>ORUFI01G00010.1 pep chromosome:OR_W1943:1:4819:7125:-1 gene:ORUFI01G00010 transcript:ORUFI01G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRRRRREVVVKTSVEHLDPASLILATDGAGPFLIHLDLTAPRSAGGGHHLPVQRRPYLSLLLPVENTVVKLQIFRNIIRLTGAMLIGEPYGRNNAMLRPAEFEESLPAPCLATSDVLDSPSVPATASQAFCAYRFLCLCLLRVLGSKNGDDDPDGASSLEDEAVHKHYQQQKHDISSRKQW >ORUFI01G00010.2 pep chromosome:OR_W1943:1:4806:7125:-1 gene:ORUFI01G00010 transcript:ORUFI01G00010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRRRRREVVVKTSVEHLDPASLILATDGAGPFLIHLDLTAPRSAGGGHHLPVQRRPYLQKHHPAHWSYADRRALWEKQCPHYTELLSRPCCGQLSSRRVCPHHAWQRPTSLTRRQFQPLPPRHFAHTAFCVSVFFVYWDPRMGTMIQMAHLAWKMKLYINIINNKSMIYLLENNGEKQK >ORUFI01G00010.3 pep chromosome:OR_W1943:1:4819:5928:-1 gene:ORUFI01G00010 transcript:ORUFI01G00010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPAEFEESLPAPCLATSDVLDSPSVPATASQAFCAYRFLCLCLLRVLGSKNGDDDPDGASSLEDEAVHKHYQQQKHDISSRKQW >ORUFI01G00020.1 pep chromosome:OR_W1943:1:7787:9292:-1 gene:ORUFI01G00020 transcript:ORUFI01G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGADSATSSPPARIHDLHSPLARIRRWRLDPVVSPVPLTWIRRLLRREGLDPATFSSPARIPSSLHTPLMQIHCRCRQEGLDPAVSTVGGAALGGEGSGRRSALVWVRCW >ORUFI01G00030.1 pep chromosome:OR_W1943:1:9958:20595:-1 gene:ORUFI01G00030 transcript:ORUFI01G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMFGRGLVMAEGDEWARHRCIDMIGVMEETTSKMLGEWSDIVALGHSCIDIEKGVVRNAAEIIAKASFSIAADDATVFHKAAGDAVPLHACVSVPLASLLHIRADRATYEAWKLGRKIDALLLDIIESRRRCEGGGRKTTTTDLLWLLLAGNEASAAAERKLTTALALSWTLLMLATHPEWRAAVREEVEEVTGWSGPMDAAAMGKLTKMGCMLNEVLRLYPPSPNVQRPAACDAEVVRGKRFPETRQADYHLKAPKGICIILIENNKRHGTLSKRTMIMPRHVSPHCRHRLMWLNPSAPSFAAGSIAHEASSVAVSCHSSH >ORUFI01G00040.1 pep chromosome:OR_W1943:1:11243:19278:1 gene:ORUFI01G00040 transcript:ORUFI01G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGQDNGDTAGDYIKWMCGAGGRAGGAMANLQRGVGSLVRDIGDPCLNPSPVKGSKMLKPEKWHTCFDNDGKVIGFRKALKFIVLGGVDPTIRAEVWEFLLGCYALSSTSEYRRKLRAVRREKYQILVRQCQSMHPSIGTGELAYAVGSKLMDVRTMSKETHIAEEVSTSQQTSQNTTGSLVEDSDYGPGGAQQSQKRESCSKSAELVGFNVHNDTSLYDSSNFIVSSTEVNNCSKDSQDYNDMGEPRYDTETFDDYPSLPVTNFFSTDGVGSNGVDKNHCSFSVPEDILRHRDERMHSFQINNNIDLIIESNSCSSDVFRASNSDSAIFHSDAYKQDRWLDDNGYNREVIDSLRISDAPEADFVDGTKSNSVVASKDRVSEWLWTLHRIVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVLYEDDADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKIMEITDVELFEHLSTIGAESLHFAFRMLLVLFRRELSFEESLSMWEMMWAADFNEDVILHLEENCLEPLLVDMRNDLSCEVKEEHRVNSYTRRKSKSRKPHHRNGEMRVACNLGMKPNTRNPLCGLSGATIWARHQQMPHISTNVLAKNGDDDLPIFCVAAILMFNDNMLKINVKRCVRMAIKLRKKYIYKGICTYAQYT >ORUFI01G00040.2 pep chromosome:OR_W1943:1:11243:19278:1 gene:ORUFI01G00040 transcript:ORUFI01G00040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGQDNGDTAGDYIKWMCGAGGRAGGAMANLQRGVGSLVRDIGDPCLNPSPVKGSKMLKPEKWHTCFDNDGKVIGFRKALKFIVLGGVDPTIRAEVWEFLLGCYALSSTSEYRRKLRAVRREKYQILVRQCQSMHPSIGTGELAYAVGSKLMDVRTMSKETHIAEEVSTSQQTSQNTTGSLVEDSDYGPGGAQQSQKRESCSKSAELVGFNVHNDTSLYDSSNFIVSSTEVNNCSKDSQDYNDMGEPRYDTETFDDYPSLPVTNFFSTDGVGSNGVDKNHCSFSVPEDILRHRDERMHSFQINNNIDLIIESNSCSSDVFRASNSDSAIFHSDAYKQDRWLDDNGYNREVIDSLRISDAPEADFVDGTKSNSVVASKDRVSEWLWTLHRIVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVLYEDDADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKIMEITDVELFEHLSTIGAESLHFAFRMLLVLFRRELSFEESLSMWEMMWAADFNEDVILHLEENCLEPLLVDMRNDLSCEMFNDNMLKINVKRCVRMAIKLRKKYIYKGICTYAQYT >ORUFI01G00050.1 pep chromosome:OR_W1943:1:19543:30768:1 gene:ORUFI01G00050 transcript:ORUFI01G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGERDADETHAWSGTASPAALWKTVASSAAMLKLALAMISAAFRTTPFSMSMQLCPNATMSLHSPSIFDVVSSITPIMSCIINNRRKKKRKGKGKVLGLTPTCGWSCCTPVPASLHSPSPPTLTSASNSNSNPMDSIRRRSAGGILGILFLVLLRWAGAGDPYAYYEWEVSYVWGAPLGGVKKQEAIGINGQLPGPALNVTTNWNLVVNVRNGLDEPLLLTWHGVQQRKSPWQDGVGGTNCGIPPGWNWTYQFQVKDQVGSFFYAPSTALHRAAGGYGAITINNRDVIPLPFPLPDGGDITLFLADWYARDHRALRRALDAGDPLGPPDGVLINALGPYRYNDTLVPPGVTYERINVDPGRTYRLRVHNVGVATSLNFRIQGHNLLLVEAEGSYTSQQNYTNMDIHVGQSYSFLLTMDQNASTDYYVVASARFVPDADKLTGVAILHYSNSQGPPSGPLPDAPDDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSMPPELIDGQMRATLNGISYIAPSTPLMLAQLFNVPGVYKLDFPNRPMNRLPKLDTSIINGTYKGFMEIIFQNNATSVQSYHLDGYAFFVVGMDYGLWTDNSRGTYNKWDGVARSTIQVFPGAWTAVLVFLDNAGIWNLRVENLDAWYLGQEVYISVVNPEDSSNKTVLPLPDNAIFCGALSSLQKEQSHRFQYSEASQITQLWKMVFFMAWLALW >ORUFI01G00050.2 pep chromosome:OR_W1943:1:19543:30768:1 gene:ORUFI01G00050 transcript:ORUFI01G00050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGERDADETHAWSGTASPAALWKTVASSAAMLKLALAMISAAFRTTPFSMSMQLCPNATMSLHSPSIFDVVSSITPIMSCIINNRRKKKRKGKGKVLGLTPTCGWSCCTPVPASLHSPSPPTLTSASNSNSNPMDSIRRRSAGGILGILFLVLLRWAGAGDPYAYYEWEVSYVWGAPLGGVKKQEAIGINGQLPGPALNVTTNWNLVVNVRNGLDEPLLLTWHGVQQRKSPWQDGVGGTNCGIPPGWNWTYQFQVKDQVGSFFYAPSTALHRAAGGYGAITINNRDVIPLPFPLPDGGDITLFLADWYARDHRALRRALDAGDPLGPPDGVLINALGPYRYNDTLVPPGVTYERINVDPGRTYRLRVHNVGVATSLNFRIQGHNLLLVEAEGSYTSQQNYTNMDIHVGQSYSFLLTMDQNASTDYYVVASARFVPDADKLTGVAILHYSNSQGPPSGPLPDAPDDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSMPPELIDGQMRATLNGISYIAPSTPLMLAQLFNVPGVYKLDFPNRPMNRLPKLDTSIINGTYKGFMEIIFQNNATSVQSYHLDGYAFFVVGMDYGLWTDNSRGTYNKWDGVARSTIQVFPGAWTAVLVFLDNAGIWNLRVENLDAWYLGQEVYISVVNPEDSSNKTVLPLPDNAIFCGALSSLQKEQSHRFQYSEASQITQLWKMVFFMAWLALW >ORUFI01G00050.3 pep chromosome:OR_W1943:1:23070:30768:1 gene:ORUFI01G00050 transcript:ORUFI01G00050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIRRRSAGGILGILFLVLLRWAGAGDPYAYYEWEVSYVWGAPLGGVKKQEAIGINGQLPGPALNVTTNWNLVVNVRNGLDEPLLLTWHGVQQRKSPWQDGVGGTNCGIPPGWNWTYQFQVKDQVGSFFYAPSTALHRAAGGYGAITINNRDVIPLPFPLPDGGDITLFLADWYARDHRALRRALDAGDPLGPPDGVLINALGPYRYNDTLVPPGVTYERINVDPGRTYRLRVHNVGVATSLNFRIQGHNLLLVEAEGSYTSQQNYTNMDIHVGQSYSFLLTMDQNASTDYYVVASARFVPDADKLTGVAILHYSNSQGPPSGPLPDAPDDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSMPPELIDGQMRATLNGISYIAPSTPLMLAQLFNVPGVYKLDFPNRPMNRLPKLDTSIINGTYKGFMEIIFQNNATSVQSYHLDGYAFFVVGMDYGLWTDNSRGTYNKWDGVARSTIQVFPGAWTAVLVFLDNAGIWNLRVENLDAWYLGQEVYISVVNPEDSSNKTVLPLPDNAIFCGALSSLQKEQSHRFQYSEASQITQLWKMVFFMAWLALW >ORUFI01G00050.4 pep chromosome:OR_W1943:1:19543:30768:1 gene:ORUFI01G00050 transcript:ORUFI01G00050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGERDADETHAWSGTASPAALWKTVASSAAMLKLALAMISAAFRTTPFSMSMQLCPNATMSLHSPSIFDVVSSITPIMSCIINNRRKKKRKGKGKVLGLTPTCGWSCCTPVPASLHSPSPPTLTSASNSNSNPMDSIRRRSAGGILGILFLVLLRWAGAGDPYAYYEWEVSYVWGAPLGGVKKQEAIGINGQLPGPALNVTTNWNLVVNVRNGLDEPLLLTWHGVQQRKSPWQDGVGGTNCGIPPGWNWTYQFQVKDQVGSFFYAPSTALHRAAGGYGAITINNRDVIPLPFPLPDGGDITLFLADWYARDHRALRRALDAGDPLGPPDGVLINALGPYRYNDTLVPPGVTYERINVDPGRTYRLRVHNVGVATSLNFRIQGHNLLLVEAEGSYTSQQNYTNMDIHVGQSYSFLLTMDQNASTDYYVVASARFVPDADKLTGVAILHYSNSQGPPSGPLPDAPDDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSMPPELIDGQMRATLNGISYIAPSTPLMLAQLFNVPGVYKLDFPNRPMNRLPKLDTSIINGTYKGFMEIIFQNNATSVQSYHLDGYAFFVVGMDYGLWTDNSRGTYNKWDGVARSTIQVFPGAWTAVLVFLDNAGIWNLRVENLDAWYLGQEVYISVVNPEDSSNKTVLPLPDNAIFCGALSSLQKEQSHRFQYSEASQITQLWKMVFFMAWLALW >ORUFI01G00050.5 pep chromosome:OR_W1943:1:23070:30768:1 gene:ORUFI01G00050 transcript:ORUFI01G00050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIRRRSAGGILGILFLVLLRWAGAGDPYAYYEWEVSYVWGAPLGGVKKQEAIGINGQLPGPALNVTTNWNLVVNVRNGLDEPLLLTWHGVQQRKSPWQDGVGGTNCGIPPGWNWTYQFQVKDQVGSFFYAPSTALHRAAGGYGAITINNRDVIPLPFPLPDGGDITLFLADWYARDHRALRRALDAGDPLGPPDGVLINALGPYRYNDTLVPPGVTYERINVDPGRTYRLRVHNVGVATSLNFRIQGHNLLLVEAEGSYTSQQNYTNMDIHVGQSYSFLLTMDQNASTDYYVVASARFVPDADKLTGVAILHYSNSQGPPSGPLPDAPDDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSMPPELIDGQMRATLNGISYIAPSTPLMLAQLFNVPGVYKLDFPNRPMNRLPKLDTSIINGTYKGFMEIIFQNNATSVQSYHLDGYAFFVVGMDYGLWTDNSRGTYNKWDGVARSTIQVFPGAWTAVLVFLDNAGIWNLRVENLDAWYLGQEVYISVVNPEDSSNKTVLPLPDNAIFCGALSSLQKEQSHRFQYSEASQITQLWKMVFFMAWLALW >ORUFI01G00060.1 pep chromosome:OR_W1943:1:33111:39164:1 gene:ORUFI01G00060 transcript:ORUFI01G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFAVQSRCAPTNSLCSCVALCERNFKLEQVEELASLIKDNLYSKHLVLSTEETLVGILQNQYHNSDDDEDEDDIVAAYRGTNRNILELQPASSYQRLLLHRLADIYGFVHESVGEGEDRHLVLQRCPETAIPPVLVSDVLWEYDNKDTSTSVVVKRKDTDLEEAWKEDAQENISAEISHLKNDADLKALQKSVAPPAPSLKEREAAYRAARERIFSAHDAKGNGTAVAKPRHVPAVAQRMIAHALGKKVESPTETAAVKNGKGKEPAESSRNKLNPRTAGGKEDSRYVENGRMRLHTGNPCKQSWRTSYSRAASSVSPDELKREQVGAAKRMFVHALRLPGVEGSDGPVRKGK >ORUFI01G00060.2 pep chromosome:OR_W1943:1:33111:39164:1 gene:ORUFI01G00060 transcript:ORUFI01G00060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCANQFAMVEELASLIKDNLYSKHLVLSTEETLVGILQNQYHNSDDDEDEDDIVAAYRGTNRNILELQPASSYQRLLLHRLADIYGFVHESVGEGEDRHLVLQRCPETAIPPVLVSDVLWEYDNKDTSTSVVVKRKDTDLEEAWKEDAQENISAEISHLKNDADLKALQKSVAPPAPSLKEREAAYRAARERIFSAHDAKGNGTAVAKPRHVPAVAQRMIAHALGKKVESPTETAAVKNGKGKEPAESSRNKLNPRTAGGKEDSRYVENGRMRLHTGNPCKQSWRTSYSRAASSVSPDELKREQVGAAKRMFVHALRLPGVEGSDGPVRKGK >ORUFI01G00070.1 pep chromosome:OR_W1943:1:41422:46484:1 gene:ORUFI01G00070 transcript:ORUFI01G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGNIVIVIGSGIVGTLLTSGEAKIALPDFRDVLSGAFKVNHLREDLQLLSRSNQVAIVTVDGRPGPGAYGITAVVAGAIGYLYIRWKGWKLSDLMFVTKRGLSDACDVVGKQLEHVSENVNAAKRHLAGRIDHVDCTLDECQEITESTRKEVTVIHEDISAFQEEMQSVHLVVRTLETKLGRLAYTQVLSSTPLPAIESPERITRAASLPPSSEPEFSGPRSPVTEASKVVHSPTTMSASGLSMLVETSMPPKRGVLSRASSMKEGSQELSNGSSSSGEPTIGRNVPNSRLFGGFGFLKSSAS >ORUFI01G00080.1 pep chromosome:OR_W1943:1:47337:53099:1 gene:ORUFI01G00080 transcript:ORUFI01G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAMDFALRLRDAANHHLSRYEPLVLLAAPLLALLAARTLHAAAAAVADRGLRTVLLALAMTAIKLLPGVSAYINAEKRKVVDQLQSGGTSTKSTLRTELPTVGLSNQVINDLETLKARDVNWQGKCSGTVYIAGSESEGHFALINKAYSMFSHTNPLHQDVFKSVAQLEAEVVAMTAALLGIKEKSSGGQICGNMTSGGTESILLAVKTSRDYMRTKKGITKPEMIIAESAHSAYDKAAQYFNIKVRRVPVNKEFLADVKGFKRCINGNTIMMVGSAPGFPHGLIDPIEELGELASRYDICLHVDLCLGGFVLPFARKLGYPIPPFDFCVKGVTSISTDVHKYGLAPKGTSIVLYKNHEIRKHQFVAVTEWTGGLYVSPTIAGSRPGGLIAGAWAAMTSLGLNGYMENTGHIMEVSKKIQRGIEDIPGLFVIGKPDMTVVAFGSDSVDIFEVNDIMSSKGWHLNALQRPNSLHICVTLQHTVIYEEFLKDLKDSVDTVKANPGPISGGRAPIYGAAGKMPDRGMVRELLVEFMDASC >ORUFI01G00090.1 pep chromosome:OR_W1943:1:65180:72495:1 gene:ORUFI01G00090 transcript:ORUFI01G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSKTTATVVANVAAAALPLPLPRAATPLDARMVKTGFDVLTYRLNLGLRSLLSSGHLHRARAMFDQMPHKNIFSLNLILSAYSSSGDLPAAQHLFLSSPHRNATTWTIMMRAHAAAGRTSDALSLFRAMLGEGVIPDRVTVTTVLNLPGCTVPSLHPFAIKFGLDTHVFVCNTLLDAYCKHGLLAAARRVFLEMHDKDAVTYNAMMMGCSKEGLHTQALQLFAAMRRAGIPATHFTFSSILTVAAGMAHLLLGHQVHALVLRSTSVLNVFVNNSLLDFYSKCDCLDDMRRLFDEMPERDNVSYNVIIAAYAWNQCAATVLRLFREMQKLGFDRQVLPYATMLSVAGSLPDVHIGKQIHAQLVLLGLASEDLLGNALIDMYSKCGMLDAAKSNFSNRSEKSAISWTALITGYVQNGQHEEALQLFSDMRRAGLRPDRATFSSIIKASSSLAMIGLGRQLHSYLIRSGYKSSVFSGSVLVDMYAKCGCLDEALRTFDEMPERNSISWNAVISAYAHYGEAKNAIKMFEGMLHCGFNPDSVTFLSVLAACSHNGLADECMKYFHLMKHQYSISPWKEHYACVIDTLGRVGCFSQVQKMLVEMPFKADPIIWTSILHSCRIHGNQELARVAADKLFGMEPTDATPYVILSNIYARAGQWEDAACVKKIMRDRGVRKESGYSWVEIKQKIYSFASNDLTSPMIDEIKDELDRLYKEMDKQGYKPDITCALHMVDHELKLESLKYHSERLAIAFALMNTPAGTPIRIMKNLTACLDCHAVIKMISKIVNRDIIVRDSRRFHHFKDGVCSCGDYWHQCDVKSGDSKGSACGWCERMKWMKSGERSMQVQMQMQQATTVLSSSSNRPWTLWCHPVPVVSSPSHAKNKKHGLRLRAGMAMASSELPDLSAIQRVVLDIEGTTTPISFVADVLFPYARDNVRRHLAATYGSSEETRADVALLRAQVEEDLAQGVDGAVAVPPDAEGEGEGAVVEALAANVESMIRADRKVTALKQLQGRIWRRGFDSGELRSEVYDDAADALRRWRAKAYIYSSGSREAQRLIFANTAAHGDLRDHLCGFFDTTIGAKREVSSYYEIWQTLGTDRPSQILFLTDVYQEAAAAKTAGLEVIISVRPGNAPLPDNHGFHTITSFAEISI >ORUFI01G00100.1 pep chromosome:OR_W1943:1:69865:72494:-1 gene:ORUFI01G00100 transcript:ORUFI01G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPHRPYKRPAISDQQRRRDLALQAQSARRADAQARARSLANSLLSPSSAAADTAVEGDSERDHEPTVAEAASKLRGSDARRWFARQIMLPEWMADAPPHLATDWHVFARPAGKRCLVVSCNGITISRLRNGSILHRFPSALPNGSKRDISGPASSYSILDCIFHEPDETYYIIDMICWRGYSLYDCTAEFRFFWVNSKLMETTAGDPPSTYHRYRFSAVPIYECTLQGLQAAYSGSTPYVKDGLLFYNKHAHYLAGITPLALVWKDEACSQYVIDTDSKGQVPSEQHIVLDLQEDGKLTTSDDPPVVFGSLDNEFIQKSNLRPGNLLRFAVKDERVKLVDGKMEISELQFVGKPNRARAFADSHSKALFQYAARHAPLRIEDLVASIQSNNMELESTDVEMQDGDFSE >ORUFI01G00110.1 pep chromosome:OR_W1943:1:75751:76207:1 gene:ORUFI01G00110 transcript:ORUFI01G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKNSGHFLNQRSHKPSLLPTLEEEAALAASATGGPSFVLHTSIAPNNPSSQITYGLTIRHAVTESEKTVASSAAIEPKKMSHAHIVDAHIEDTQWHWHPRWWGDGGECGVEGWERVYGRGGDVDALTL >ORUFI01G00120.1 pep chromosome:OR_W1943:1:78848:90298:1 gene:ORUFI01G00120 transcript:ORUFI01G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPIWVRQAEEAKLKSEAETAAAAKAAFDATFKALSASAADDPDQDDDLHRPSSPAQASRDAYSDADDDDDDRPHAPPGPVDPSKSSAAGPGIAGGSAGAPATFTVVSKDGDSRRVPTGGARVRVRVSPAAGVGGDDLEGAVKDNGDGSYAVTYVVPKRGNYMVHVDLDGSPVMGSPFPVFFSASNTAATVVTSTFPPTLPAVSSAYPNMVNQTMPNMPNYAGALSAAFPSLLGLLPAASTGASGGVVLPGVGASLGEICREHINGKCTKATDCSKLNHPPQQLLMSVLAATTSVGALSQAPMAPSAAAMAAAQAIMAAQALQAHAAQMQADSKAAGGEASGSTDKTDKGDVLKKMVQISNLSPLLTVDHIKQLFGYCGKVVDCTITDSKHIAYVEYSKQEEATAALALNNMDVGGRPLNVEMAKSLPPKTNLANSNLPMMMQQAVQLQQMQFQQALIMQQTIAAQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGETVEEKDARGKSRSPSPSARRSKSRSRSPIKYRRSRRSRSYSPPVRHTRGRRSRSPSRSYHSKYGSDRSYRDDRDKYGRSGRRESDRSRDHYSSSSRRNRSRSISPRHKKSSRSDSRSPKRHREESLSPSKSRRSARAGSRSPGQHKGSKLSPTRDHHSSRRSRRSRSRSQEKNRNSDKKDSKKSEMEDKKRRSDRGNGGDKDEKYLKDPMEDKKLDVSSVAHKRSSSASEDEMLNSNSKRSKHDAALECHERKDEDHIEEDRRDLDSVGSKSEKRSLGNGDHEKQNHDTNRKTDKSHDRDDSSRKDRKYREDESRHSRDRRSRHSSSRSHRSSRHSREKYHGDTTDQHKSKKSEEGSKSRKDDCLLDDTLSSDRRKVQSEDSPRRKHNQLAASSDVHGINHDTGVKVPNDFSEADQGIQEAKQVVHETDMSSAPCLEDPFLAQDKQDKPIVAGLNGRHEPGVDGAFVGTEESAI >ORUFI01G00120.2 pep chromosome:OR_W1943:1:78848:90298:1 gene:ORUFI01G00120 transcript:ORUFI01G00120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPIWVRQAEEAKLKSEAETAAAAKAAFDATFKALSASAADDPDQDDDLHRPSSPAQASRDAYSDADDDDDDRPHAPPGPVDPSKSSAAGPGIAGGSAGAPATFTVVSKDGDSRRVPTGGARVRVRVSPAAGVGGDDLEGAVKDNGDGSYAVTYVVPKRGNYMVHVDLDGSPVMGSPFPVFFSASNTAATVVTSTFPPTLPAVSSAYPNMVNQTMPNMPNYAGALSAAFPSLLGLLPAASTGASGGVVLPGVGASLGEICREHINGKCTKATDCSKLNHPPQQLLMSVLAATTSVGALSQAPMAPSAAAMAAAQAIMAAQALQAHAAQMQADSKAAGGEASGSTDKTDKGDVLKKMVQISNLSPLLTVDHIKQLFGYCGKVVDCTITDSKHIAYVEYSKQEEATAALALNNMDVGGRPLNVEMAKSLPPKTNLANSNLPMMMQQAVQLQQMQFQQALIMQQTIAAQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGETVEEKDARGKSRSPSPSARRSKSRSRSPIKYRRSRRSRSYSPPVRHTRGRRSRSPSRSYHSKYGSDRSYRDDRDKYGRSGRRESDRSRDHYSSSSRRNRSRSISPRHKKSSRSDSRSPKRHREESLSPSKSRRSARAGSRSPGQHKGSKLSPTRDHHSSRRSRRSRSRSQEKNRNSDKKDSKKSEMEDKKRRSDRGNGGDKDEKYLKDPMEDKKLDVSSVAHKRSSSASEDEMLNSNSKRSKHDAALECHERKDEDHIEEDRRDLDSVGSKSEKRSLGNGDHEKQNHDTNRKTDKSHDRDDSSRKDRKYREDESRHSRDRRSRHSSSRSHRSSRHSREKYHGDTTDQHKSKKSEEGSKSRKDDCLLDDTLSSDRRKVQSEDSPRRKHNQLAASSDVHGINHDTGVKVPNDFSEADQGIQEAKQVVHETDMSSAPCLEDPFLAQDKQDKPIVAGLNGRHEPGVDGAFVGTEESAI >ORUFI01G00120.3 pep chromosome:OR_W1943:1:78848:86062:1 gene:ORUFI01G00120 transcript:ORUFI01G00120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPIWVRQAEEAKLKSEAETAAAAKAAFDATFKALSASAADDPDQDDDLHRPSSPAQASRDAYSDADDDDDDRPHAPPGPVDPSKSSAAGPGIAGGSAGAPATFTVVSKDGDSRRVPTGGARVRVRVSPAAGVGGDDLEGAVKDNGDGSYAVTYVVPKRGNYMVHVDLDGSPVMGSPFPVFFSASNTAATVVTSTFPPTLPAVSSAYPNMVNQTMPNMPNYAGALSAAFPSLLGLLPAASTGASGGVVLPGVGASLGEICREHINGKCTKATDCSKLNHPPQQLLMSVLAATTSVGALSQAPMAPSAAAMAAAQAIMAAQALQAHAAQMQADSKAAGGEASGSTDKTDKGDVLKKMVQISNLSPLLTVDHIKQLFGYCGKVVDCTITDSKHIAYVEYSKQEEATAALALNNMDVGGRPLNVEMAKSLPPKTNLANSNLPMMMQQAVQLQQMQFQQALIMQQTIAAQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGETVEEKDARGKSRSPSPSARRSKSRSRSPIKYRRSRRSRSYSPPVRHTRGRRSRSPSRSYHSKYGSDRSYRDDRDKYGRSGRRESDRSRDHYSSSSRRNRSRSISPRHKKSSRSDSRSPKRHREESLSPSKSRRSARAGSRSPGQHKGSKLSPTRDHHSSRRSRRSRSRSQEKNRNSDKKDSKKSEMEDKKRRSDRGNGGDKDEKYLKDPMEDKKLDVSSVAHKRSSSASEDEMLNSNSKRSKHDAALECHERKDEDHIEEDRRDLDSVGSKSEKRSLGNGDHEKQNHDTNRKTDKSHDRDDSSRKDRKYREDESRHSRDRRSRHSSSRSHRSSRHSREKYHGDTTDQHKSKKSEEGSKSRKDDCLLDDTLSSDRRKVQSEDSPRRKHNQLAASSDVHGINHDTGVKVPNDFSEADQGIQEAKQVVHETDMSSAPCLEDPFLAQDKQDKPIVAGLNGRHEPGVDGAFVGTEESAI >ORUFI01G00120.4 pep chromosome:OR_W1943:1:78848:86062:1 gene:ORUFI01G00120 transcript:ORUFI01G00120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPIWVRQAEEAKLKSEAETAAAAKAAFDATFKALSASAADDPDQDDDLHRPSSPAQASRDAYSDADDDDDDRPHAPPGPVDPSKSSAAGPGIAGGSAGAPATFTVVSKDGDSRRVPTGGARVRVRVSPAAGVGGDDLEGAVKDNGDGSYAVTYVVPKRGNYMVHVDLDGSPVMGSPFPVFFSASNTAATVVTSTFPPTLPAVSSAYPNMVNQTMPNMPNYAGALSAAFPSLLGLLPAASTGASGGVVLPGVGASLGEICREHINGKCTKATDCSKLNHPPQQLLMSVLAATTSVGALSQAPMAPSAAAMAAAQAIMAAQALQAHAAQMQADSKAAGGEASGSTDKTDKGDVLKKMVQISNLSPLLTVDHIKQLFGYCGKVVDCTITDSKHIAYVEYSKQEEATAALALNNMDVGGRPLNVEMAKSLPPKTNLANSNLPMMMQQAVQLQQMQFQQALIMQQTIAAQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGETVEEKDARGKSRSPSPSARRSKSRSRSPIKYRRSRRSRSYSPPVRHTRGRRSRSPSRSYHSKYGSDRSYRDDRDKYGRSGRRESDRSRDHYSSSSRRNRSRSISPRHKKSSRSDSRSPKRHREESLSPSKSRRSARAGSRSPGQHKGSKLSPTRDHHSSRRSRRSRSRSQEKNRNSDKKDSKKSEMEDKKRRSDRGNGGDKDEKYLKDPMEDKKLDVSSVAHKRSSSASEDEMLNSNSKRSKHDAALECHERKDEDHIEEDRRDLDSVGSKSEKRSLGNGDHEKQNHDTNRKTDKSHDRDDSSRKDRKYREDESRHSRDRRSRHSSSRSHRSSRHSREKYHGDTTDQHKSKKSEEGSKSRKDDCLLDDTLSSDRRKVQSEDSPRRKHNQLAASSDVHGINHDTGVKVPNDFSEADQGIQEAKQVVHETDMSSAPCLEDPFLAQDKQDKPIVAGLNGRHEPGVDGAFVGTEESAI >ORUFI01G00130.1 pep chromosome:OR_W1943:1:91195:95110:1 gene:ORUFI01G00130 transcript:ORUFI01G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQHLTSRRHAELLRHLLLDGGAAVKDLRLRRVVPLTSAPLDDSSPDPAGAAAKSGSAETTPPEAQDGRERKPVVQRSKLVHAPASFGYRRLLPFLNQLTNTNQESECPSGKDNSKIDAYAESESEAQPDPVHCSISTTKEEINISSSHLSSTKMCLSRCQRSRFVHHPSSFSYKRILPFVTENEITSQEGHRTKIPRLVQEKQSSTDENLILTTGQHHFVMSGDSAEECKTAQVERLVEENESKSDRIHPLGGRLLQPAVSEAAHLELQVSTVEGQNLTQERVLASDAHLLSSDKGECTLKWNDVLPAGQHQPAASEDFSEESNKAGVEAVLEERKSVPDGNSVLDGRQLQTFVSKASPPEGTAEMQKATQKQAVTSDGDDDPLASCKGGSLAKEQPLLHATELSVKDNAEGDEVHQCQSPELGTSDVCFGGPTKVVIPSVNSHNALEQCDSMASLDEPLLDVEMTCIPLDPCATGVPYSVKETPAGVLCTSDHCSTGTPLTVEETSSSVSVVHIEPMSSKVSPVRQRGSPCLEKRGLSPKKLSPKKGILKRHTRGCKGICMCLDCSTFRLRADRAFEFSRKQMQEADDIIDNLLKEVSSLRNLMEKSAGQQETKQTACQRASQVEVVARERRRQMLMELNSHCRIPGPRVKFAQYVEERMASSPSPDSPSRRR >ORUFI01G00140.1 pep chromosome:OR_W1943:1:94857:95075:-1 gene:ORUFI01G00140 transcript:ORUFI01G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWGPVLIALVLFVLLTPGLLCQIPGSNGRVAEFHSMRTSVASIFVHALLFFAFCAIFMVAVGLHLYAG >ORUFI01G00150.1 pep chromosome:OR_W1943:1:95699:97285:-1 gene:ORUFI01G00150 transcript:ORUFI01G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLLLLFLMLAQAPNSNGDSKIKNVVVLALENRSFDHMLGWMQRLLGLPIDGLTGAECNPAPGPGPADSLLHCVSPDADLVVPDDPAHAFEDVLEQLLGFRPNDSAGAAASPSDMSGFVRSAVSVSALLTDAVMRGFTPSRLPAFSALASSFAVFDRWFSSIPGPTQPNRLFLYSATSHGAVAHDKWNLLRGYPQRTIFDSLAADALDYRVYFKTIPTTLFYRRLRTVANAARGTFRRYDAAFRDHARRGLLPALSVIEPRYFDLTGTPADDDHPAHDVANGQRLVKDVYEALRAGPQWNHTLLIITYDEHGGFYDHVPPPNVGVPSPDAIRGPLPFFFRFDRLGVRVPTIMVSPWIRKGTVVGRPPGGPTPTSEYEHSSIPATIKKIFNLSSDFLTRRDAWAGTFEHLFTDLDEPRTDCPETLPEIPPPSSSSSSTKKEDGGWLSDFQRELVQLAAFLNGDYMLSSFAQEYESRMTMTVKQADAYVRRAVKSFLEASKRAKRLGANDSAIVTMRPSLTTATTCCP >ORUFI01G00160.1 pep chromosome:OR_W1943:1:112017:113494:1 gene:ORUFI01G00160 transcript:ORUFI01G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLSSSTASAAACCKSRSRNPPLAPAPHTSTARVVRSSRRRLLLVFFSAEAAAAATSGLIQTPCGQAYPFAGTNVKKPQPPSTPYSQSQSQQQFGLDAKGRIRACPSTNPGCVSTNPTVGASCSLASPLIVPANTPTDKAAASLREAILKTQRNAVIKADEETAYGHYIQAEVDGGAGRDVMEFLLKESQSQPQEVVAAYRCVATKVIFVYPFTTAVGDSRGQSQRIAAVAQELGWYAPDLLNAATADDHSILDY >ORUFI01G00170.1 pep chromosome:OR_W1943:1:119699:120985:1 gene:ORUFI01G00170 transcript:ORUFI01G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLDVPRSLRLPTPKAQQQMDEFWRDRQKEIETTKDFSEHAIPMARLKKIASSQKGNMMMTFDMPAFLSKMCELFVQELAVRAWASAQSHNRCIILDTDIAEAIASTESYDFLVDILHNHREKHKSTPCSTLTTKRCRLVDQPSTSRPPYQHQLPLFAPTYTPAIPITPSLMPPISHYIPFQYPSLSQEVSTMMASAPIVNRSMLLIHNIARGLGLQGNNISTFTNNNIPDNIIGCSSPAVLASMMSSALLDVAGASLNPPNSHSICTMNMINSSDPSGSSIGDINVANQASIAPSEHFNPAILQESSCPSFLYNNNNDTIVVVPEGVDISGTMDVASDVAGLVINGQEEEHERKTNAEQNEIYESIDIGIINASVADGNKCSIRWDELGTADDSLLDKFLEEFQARNDGVLHSGIVLYEDHSSVT >ORUFI01G00180.1 pep chromosome:OR_W1943:1:121462:127461:1 gene:ORUFI01G00180 transcript:ORUFI01G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSSSAAVAVAEAPSPAPAPPLLTWPTPDPDPPTSWTAVAALEDQQRRRLHRIWERGVAWKPPATLPLPPLVFRLDHAGEVDADGNCLFTAARKAASAKPDARDLRHRIVRRFSHLYAAAQAPDRDAIDAAVRHLYAPDLKAGWGVHVVQELKLLAPKTLRHHLDAAINDLVDLGIQREMAAETIYRERCIAVNNGDSWAKYMSVSGSAEDEHNIITLQYTEEGLLTIDENRDGHAAAFGDDIAIECLATEFKREVYVVQAHGADAMVDEDNCVFFLPHRPRGEICEPPIFLFMKGTVAVYAVSCNVSGLKVLTVEEEIQSVRKTAEAVGDFRKTPIYIVGTDCTAKRNIAKLLANSIIYRYLCSEELLEDVLGGKDALNAFRESDLNGYLEVETEGLKQLTSMGSLVLCCGDGAVMNSTNLYAAFTHHSLISHIRLLINSSYANSLRGLLRHGVSIWIDIPLELVVNDMLKTQATSDPDSFSEAMSRVRQRHDELKERYGVSDITVSVQNVASQLGYSSIDSVTLEDMVLEIVRQIERLIRAKSMMEAAGKPF >ORUFI01G00190.1 pep chromosome:OR_W1943:1:127810:136358:1 gene:ORUFI01G00190 transcript:ORUFI01G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARSILLLLLLLAAAAASASADAPFLVAHKKVSLSRPKPGVERLAVSLDLYNQGSATAYDVSINDDTWPKEAFELVSGEMSKTLERLDPGVTASHAFVLETKVQGRFQGSPAVITYRVPTKAALQEAYSTPILALDVLAERPPEKKFEWAKVTSSMEDDDDDQRLLHSLGVTSADIHDIERRIISQATTDPADSSGPTINGGHQPDDALAKLHHKLRSVQIEIDAVASTIKGAKLKQPSGNKPHEHKGKDQPDHHGAGHLQQALAADRLTSLRKAKAQIQKEILQSHPSPSASNRKDKMLAMLVQDEPRRKKPPVGPKNIVKRPMKTVTYDDDNDFDAVLDGASAGFMETEREELIRKGLLTPFHKLKGFEKRVELPEPSHRQDDSAGQTEEAMEASRIARVAQSLKQIAQNRPATKLLDSESLPKLDAPAAPFQRLGKPLKRPVSPSSDEQEKKRPRNKTKRPLPGKKWRKANSIKESSLDDNDVGEAAVSVSDDDEDQVTEGSDELTDVTLEGGLRIPGTLYTQLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLSFLGSLHNSGLYKPSIVVCPVTLLQQWRREASRWYPKFKVEILHDSANSSSKKSKRSSDSDSEASWDSDQEEAVTRSKPAKKWDDLISRVVSSGSGLLLTTYEQLRILGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFSVPITVGGYANATPLQVSTAYRCAVVLRDLVMPYLLRRMKADVNAQLPKKTEHVLFCSLTTEQRATYRAFLASSEVEQIFDGNRNSLYGIDVLRKICNHPDLLEREHAAQNPDYGNPERSGKMKVVEQVLKVWKEQGHRVLLFTQTQQMLDIMENFLTACEYQYRRMDGLTPAKQRMALIDEFNNTDEIFIFILTTKVGGLGTNLTGANRIIIYDPDWNPSTDMQARERAWRIGQTRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKVLKDPQQRRFFKARDMKDLFTLQDDDNNGSTETSNIFSQLSEDVNIGVPSDKQQDQLYAASATPTTSGTEPSSSRHGQGKEDHCPDQADEECNILKSLFDAQGIHSAINHDAIMNANDDQKLRLEAEATQVAQRAAEALRQSRMLRSHESFSVPTWTGRAGAAGAPSSVRRKFGSTLNTQLVNSSQPSETSNGRGQSLQVGALNGKALSSAELLARIRGTREGAASDALEHQLNLGSASNHTSSSSGNGRASSSSTRSMIVQPEVLIRQLCTFIQQHGGSASSTSITEHFKNRILSKDMLLFKNLLKEIATLQRGANGATWVLKPDYQ >ORUFI01G00200.1 pep chromosome:OR_W1943:1:150019:150680:-1 gene:ORUFI01G00200 transcript:ORUFI01G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAASSVVGLSAVLPAAVKGRSLQIQAPRRVALRVRAAAAAVAVEAAEVDYSSNISVFPMEACDLIGGEACHVQMYPEAKLSSSAAVAVSRAAAEEVDRDYLSYDEPTTVFPEEACDDLGGEFCKAT >ORUFI01G00210.1 pep chromosome:OR_W1943:1:151462:153603:-1 gene:ORUFI01G00210 transcript:ORUFI01G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNLPSPIPLLHLISLPTLSLLAAAAAAAAAAAHTPEMKKIFGAKKSKDPPPSIQDATERINKRGESVDDKIKKLDEELGRYKEQIRKTRPGPSQDAIKARAIRLLKHKRMYEEQRNMLYNQTYNLDQVAFAADGLKDAQQTMNAMKAANKELKGMMKTVKIEDIDNMQDEMTDLMDVSNEIQESLGRSYNIPDDVDEEELMGELDALEADMEFESSAVPSYLQPDKESDFDAELNLPAAPTAPAAVPVSRQQVDELGLPAVPRASIRS >ORUFI01G00220.1 pep chromosome:OR_W1943:1:159830:161665:1 gene:ORUFI01G00220 transcript:ORUFI01G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHPIPADENEMTSTSPQMQMRRNKLSSHFQGDIDDDGGVGVVDIRGSPMGSAELARTGGWVAAVFIFGNEMAERMAYYGLSLNMVIFMFNVMHRPFAASANAVNNFLGISQASSLLGGFLADAYLGRYWTIAAFTTLYLLGLVALTLCATMPALQAPGQDECDGFAKLLGKCQQPHPWQMAYLYAALYTTALGAAGIRPCVSSFGADQFEERSPVLDRFFNLFYLAVTVGAIAAFTLLVYVQRNHGWAAAFGALALAMAASNALFFMGTPLYRHRVPGGSPLTRVAQVLVAAYRKRHIKHTTELLYEVGGAKSAVRGSGKIEHTEELRWLDKAAVRVEGQEEINNPWRLCTVTQVEEVKILVRLAPVSACTVMLSVVLTEFLTLSVQQAYTLNTRGLPVACMPVFPCLAILLLLALYYRAFAPLARRLTGHPHGASQLQRLGLGLLLSTLSVAWAGLFERYRRAYAIRHGFLPLFLTPMPGLSAYWLLIQYCLIGLAEVFCLVALLEFLYQEAPDAMRSLASAYAALAGGLGCFLATAINTAVDSITGDIDAGRPSWLAQNINVGKFDYFYWLLAVLSTINLIVFIYFAKAYKYRIKPPRPPPPHITSS >ORUFI01G00230.1 pep chromosome:OR_W1943:1:166003:170544:-1 gene:ORUFI01G00230 transcript:ORUFI01G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRGASIRPCNGMKVRAWRAETATAPDQAVVERRRGDSAERRDALAGAPPPPLPPERRGCSEQQGGGGMSAAGRRLGAGDGDGRMRGGGRRPPPLEFGCFAPSPLSSSGGVGPTELRRARVQIGRKPGMGHPHPHPYAPAELHLPGFVPLQLSQAQILVPYLATSLFLLLAVWLISGRCSRRLSDTDRWLMCWWAFTGLTHIIIEGTFVFAPNFFSNQNPSYFDEVWKEYSKGDSRYVARDPATVTVEGITAVLEGPASLLAVYAIASGKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWTSPFYFWAYFIGANSSWVVIPTMIAIRSWKKICAAFQVEKVKTK >ORUFI01G00230.2 pep chromosome:OR_W1943:1:166001:169684:-1 gene:ORUFI01G00230 transcript:ORUFI01G00230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPHPHPYAPAELHLPGFVPLQLSQAQILVPYLATSLFLLLAVWLISGRCSRRLSDTDRWLMCWWAFTGLTHIIIEGTFVFAPNFFSNQNPSYFDEVWKEYSKGDSRYVARDPATVTVEGITAVLEGPASLLAVGDSLPLPPSPLPYLMHGSRQKCRDREEEVEDGGVASSHSVAPRGKLLSCSAQRKRMRVVPQPRRHPSSPSRFLSLRWLAPELSAGCLNLMRNAVRLGWSGGLDLATVGMRSRLRRRWGIGGRCGSWDDKGVWSGGGARKGEEGSCFGRWGGRRGYAIASGKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWTSPFYFWAYFIGANSSWVVIPTMIAIRSWKKICAAFQVEKVKTK >ORUFI01G00230.3 pep chromosome:OR_W1943:1:166003:170544:-1 gene:ORUFI01G00230 transcript:ORUFI01G00230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRGASIRPCNGMKVRAWRAETATAPDQAVVERRRGDSAERRDALAGAPPPPLPPERRGCSEQQGGGGMSAAGRRLGAGDGDGRMRGGGRRPPPLEFGCFAPSPLSSSGGVGPTELRRARGWTTRQRNTSLRFFGLRLNATSAHWKEYSKGDSRYVARDPATVTVEGITAVLEGPASLLAVYAIASGKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWTSPFYFWAYFIGANSSWVVIPTMIAIRSWKKICAAFQVEKVKTK >ORUFI01G00230.4 pep chromosome:OR_W1943:1:166003:169684:-1 gene:ORUFI01G00230 transcript:ORUFI01G00230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPHPHPYAPAELHLPGFVPLQLSQAQILVPYLATSLFLLLAVWLISGRCSRRLSDTDRWLMCWWAFTGLTHIIIEGTFVFAPNFFSNQNPSYFDEVWKEYSKGDSRYVARDPATVTVEGITAVLEGPASLLAVYAIASGKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWTSPFYFWAYFIGANSSWVVIPTMIAIRSWKKICAAFQVEKVKTK >ORUFI01G00240.1 pep chromosome:OR_W1943:1:170488:175936:1 gene:ORUFI01G00240 transcript:ORUFI01G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQWSIDLDRALRSRHPTPFSTGTQAAYHAACPQARALALRMFAHQSPAYPLSHSLHLLFLQCTAPLVYFFLYHLPLHQRKVNAALLSVDFSYITLEALPRLIIASPTTQAQIIMAAIKIFPKLDCTLFSPHFKEAFFTLDVGKQIVLGTLEDNFKADMLLSLSRLASKSVLLFGDQVELLLLFLEHESSHCMKTVSLKCLCFMFHGSICHFPVLRTVFGTLLQLIDDDDFPLDCKRDAFTVLQKIVCGKAPIVHFVDTSELSKLVLAAESSLHSSSWEMQGTALKVIAGTLCCLQQTRSHQNMITQEVCQNFLCATPSAAAVALDKLRGLIKELARLDDSDCSKVSVANVESFQTYSAREVLGTSNDIKPETASVMASHMGADFDKLKFDPTEFSSKKEVFIVRNIILSTLKFANTCHNMLSKTPGASCNLYDRIKELIECVQQNASQYCSTYEFFHLIMCACISWYACKTRDGNQESGDPTEQPDIFFSPSIWLTQELCAIRITKMLFRKQRYWDAYMSAMYCCREGLWFVVSFVFRKLADDFGSGSFSFWFKSLLLISAGEIEMKLLLFPSAIIKLVSELKTDCDLHESFYCVETNVDSSLAGSSELHGSQAKITDICSKTFLATDHLLSNTSSSHELFFQRWFISLRASFLEILADFLGILTAHLTDQRDESHHDAIGDHSSVPREHNNSQLLALVHSSVESCRLPERFSHASVVQDLHERVDRTDSQIVSQLQQLMPICCDEVHSIQIVTRMNCSGILEKDSYRLCKFAVAYFLRLRGDTKGIATGEDSVSPLHGGMQFLSSILQRVMELPFVLPKYFFRVRPCFGAELHIYDSNPENRDGISVPSGLQLSLTLCLQWKCVLGVTLISRSYSKLYCVLAASSASCCLDATGTRSKEFEIHKKTAGMVGLNTKLMQYIEDDLRKKREKKRKKVRVEEKEMVTAFARFEASDSGMGFSSCLLDVSEFPQGSYKMKWHACCIDKDGAYCSLLPLNDGAAFSVRKS >ORUFI01G00240.2 pep chromosome:OR_W1943:1:170488:175936:1 gene:ORUFI01G00240 transcript:ORUFI01G00240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQWSIDLDRALRSRHPTPFSTGTQAAYHAACPQARALALRMFAHQSPAYPLSHSLHLLFLQCTAPLVNAALLSVDFSYITLEALPRLIIASPTTQAQIIMAAIKIFPKLDCTVGKQIVLGTLEDNFKADMLLSLSRLASKSVLLFGDQVELLLLFLEHESSHCMKTVSLKCLCFMFHGSICHFPVLRTVFGTLLQLIDDDDFPLDCKRDAFTVLQKIVCGKAPIVHFVDTSELSKLVLAAESSLHSSSWEMQGTALKVIAGTLCCLQQTRSHQNMITQEVCQNFLCATPSAAAVALDKLRGLIKELARLDDSDCSKVSVANVESFQTYSAREVLGTSNDIKPETASVMASHMGADFDKLKFDPTEFSSKKEVFIVRNIILSTLKFANTCHNMLSKTPGASCNLYDRIKELIECVQQNASQYCSTYEFFHLIMCACISWYACKTRDGNQESGDPTEQPDIFFSPSIWLTQELCAIRITKMLFRKQRYWDAYMSAMYCCREGLWFVVSFVFRKLADDFGSGSFSFWFKSLLLISAGEIEMKLLLFPSAIIKLVSELKTDCDLHESFYCVETNVDSSLAGSSELHGSQAKITDICSKTFLATDHLLSNTSSSHELFFQRWFISLRASFLEILADFLGILTAHLTDQRDESHHDAIGDHSSVPREHNNSQLLALVHSSVESCRLPERFSHASVVQDLHERVDRTDSQIVSQLQQLMPICCDEVHSIQIVTRMNCSGILEKDSYRLCKFAVAYFLRLRGDTKGIATGEDSVSPLHGGMQFLSSILQRVMELPFVLPKYFFRVRPCFGAELHIYDSNPENRDGISVPSGLQLSLTLCLQWKCVLGVTLISRSYSKLYCVLAASSASCCLDATGTRSKEFEIHKKTAGMVGLNTKLMQYIEDDLRKKREKKRKKVRVEEKEMVTAFARFEASDSGMGFSSCLLDVSEFPQGSYKMKWHACCIDKDGAYCSLLPLNDGAAFSVRKS >ORUFI01G00240.3 pep chromosome:OR_W1943:1:170488:175936:1 gene:ORUFI01G00240 transcript:ORUFI01G00240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQWSIDLDRALRSRHPTPFSTGTQAAYHAACPQARALALRMFAHQSPAYPLSHSLHLLFLQCTAPLVYFFLYHLPLHQRKVGKQIVLGTLEDNFKADMLLSLSRLASKSVLLFGDQVELLLLFLEHESSHCMKTVSLKCLCFMFHGSICHFPVLRTVFGTLLQLIDDDDFPLDCKRDAFTVLQKIVCGKAPIVHFVDTSELSKLVLAAESSLHSSSWEMQGTALKVIAGTLCCLQQTRSHQNMITQEVCQNFLCATPSAAAVALDKLRGLIKELARLDDSDCSKVSVANVESFQTYSAREVLGTSNDIKPETASVMASHMGADFDKLKFDPTEFSSKKEVFIVRNIILSTLKFANTCHNMLSKTPGASCNLYDRIKELIECVQQNASQYCSTYEFFHLIMCACISWYACKTRDGNQESGDPTEQPDIFFSPSIWLTQELCAIRITKMLFRKQRYWDAYMSAMYCCREGLWFVVSFVFRKLADDFGSGSFSFWFKSLLLISAGEIEMKLLLFPSAIIKLVSELKTDCDLHESFYCVETNVDSSLAGSSELHGSQAKITDICSKTFLATDHLLSNTSSSHELFFQRWFISLRASFLEILADFLGILTAHLTDQRDESHHDAIGDHSSVPREHNNSQLLALVHSSVESCRLPERFSHASVVQDLHERVDRTDSQIVSQLQQLMPICCDEVHSIQIVTRMNCSGILEKDSYRLCKFAVAYFLRLRGDTKGIATGEDSVSPLHGGMQFLSSILQRVMELPFVLPKYFFRVRPCFGAELHIYDSNPENRDGISVPSGLQLSLTLCLQWKCVLGVTLISRSYSKLYCVLAASSASCCLDATGTRSKEFEIHKKTAGMVGLNTKLMQYIEDDLRKKREKKRKKVRVEEKEMVTAFARFEASDSGMGFSSCLLDVSEFPQGSYKMKWHACCIDKDGAYCSLLPLNDGAAFSVRKS >ORUFI01G00240.4 pep chromosome:OR_W1943:1:170488:175936:1 gene:ORUFI01G00240 transcript:ORUFI01G00240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQWSIDLDRALRSRHPTPFSTGQRGIVGKQIVLGTLEDNFKADMLLSLSRLASKSVLLFGDQVELLLLFLEHESSHCMKTVSLKCLCFMFHGSICHFPVLRTVFGTLLQLIDDDDFPLDCKRDAFTVLQKIVCGKAPIVHFVDTSELSKLVLAAESSLHSSSWEMQVCQNFLCATPSAAAVALDKLRGLIKELARLDDSDCSKVSVANVESFQTYSAREVLGTSNDIKPETASVMASHMGADFDKLKFDPTEFSSKKEVFIVRNIILSTLKFANTCHNMLSKTPGASCNLYDRIKELIECVQQNASQYCSTYEFFHLIMCACISWYACKTRDGNQESGDPTEQPDIFFSPSIWLTQELCAIRITKMLFRKQRYWDAYMSAMYCCREGLWFVVSFVFRKLADDFGSGSFSFWFKSLLLISAGEIEMKLLLFPSAIIKLVSELKTDCDLHESFYCVETNVDSSLAGSSELHGSQAKITDICSKTFLATDHLLSNTSSSHELFFQRWFISLRASFLEILADFLGILTAHLTDQRDESHHDAIGDHSSVPREHNNSQLLALVHSSVESCRLPERFSHASVVQDLHERVDRTDSQIVSQLQQLMPICCDEVHSIQIVTRMNCSGILEKDSYRLCKFAVAYFLRLRGDTKGIATGEDSVSPLHGGMQFLSSILQRVMELPFVLPKYFFRVRPCFGAELHIYDSNPENRDGISVPSGLQLSLTLCLQWKCVLGVTLISRSYSKLYCVLAASSASCCLDATGTRSKEFEIHKKTAGMVGLNTKLMQYIEDDLRKKREKKRKKVRVEEKEMVTAFARFEASDSGMGFSSCLLDVSEFPQGSYKMKWHACCIDKDGAYCSLLPLNDGAAFSVRKS >ORUFI01G00250.1 pep chromosome:OR_W1943:1:177009:180232:1 gene:ORUFI01G00250 transcript:ORUFI01G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPGSSRPANVAAAAATEARFHSHPPQQDRRSGWAGCLSGLSCFGSQKGGKRIVPAARVPDGNASTSRGNAHQSGANSNQSAALNLSLLAPPSSPVSFSNSAIPSTAQSPNCFLSISANSPGGPTSNMFAVGPYANEPQLVSPPVFSTYTTEPSTAPLTPPPELAHATTPSSPDVPYARFLLSSMDLKTAGKDHNMPYLSTAYSGGSGLQASYPLYPESPSSSLISPASATPRTGLSSPIPEQEVPTAHWKTSRSACDTPYSRALPIPEQEVRTAHWKTSRSACDTPYSRNSPSNIFGLDSAASRNYMLDNNFFRPAASAQFYLDQAQQSFPYNNGGRISVSKDKQDVEEVEAYRASFGFSADEIVTTQTYVEIPDALDEGFSISPFGNNAPATEVDKSLFNVKVITGPKKSTEQKLSNGSPQNVVHLDIFKGTKGGDVCEDEGMVKDCHPFRKGRDEISLKPIEVRKKVGGGQSCSDAEIEYRRARSLREANGVVSWRSTLARQLQ >ORUFI01G00260.1 pep chromosome:OR_W1943:1:181583:185936:1 gene:ORUFI01G00260 transcript:ORUFI01G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCFLLDMRNIPPPLLHLLKQCLLHLANLYAAIPSADLPDRLALCYVHPAASSSSSSSPPQLKVVYRPGEKFNLRDFHHAVNNLPLDAFRPNQHGSLHTTGDVSLTNLFSNRAIYSWATDDTSKKVIALCMSAQNTQALRRSLMDAAEQCITVEFVLLETGAAFVCDGVSENSNGFIDTICDLENCVVRRYSPETQVLHGLVKRWLEELKDDKEEALQAVFVFRVPIISTVNQISCSMYASANHIIDGFPSCQICRCHGRPIDLANTNKAKWMCPITSRQLTASDVTDTAVKIGEQTVLFLPDSEGVSSLRRASSSISFDVIERTNLASLNEGLIIGTPHIVIPSSNDVEVALDDECSDQNTQLFYGLCETLFKLDQGLVCSSKCNTETMKIGSLECYYLLQPSEKGPMLLRRLAGSEEILPLPVVSRPCNSTGTKEVQNLIETSLSKIVLKDYNPLQHERGFHSRLNCLVKDSLQFGSISPACGAKDPHHLDSLSEPQILTFRGPEENKVLRLCREEGGDIQSFSFSEPQAASKEKASPRPSITEEWEQLIIIDDDFTSAVTCSTSRANPKLPSPVKPLGLDDKTSRILERLEAPRAKKQRATTSTRTGNSNTTPPPPASSIGAGTQIKKPLLPFEPSASQPLRPTFNKLRRKPTAAT >ORUFI01G00270.1 pep chromosome:OR_W1943:1:186107:189176:-1 gene:ORUFI01G00270 transcript:ORUFI01G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILRFFFFFFFFILPASLTATASTSTSSCPDGWQITPALDKCFIYIPTPLSWDRSEALCRNNFTAHLAALSSLQDLNLAKSLCGPSPSGCWVGGHRNNTASAFAWKWSDDSSSWNDTAFPADPLRANCSTTGCALATTNDACTLVTNTHAALTAKRCSDSHGLICMINHEDRCYHDHCHKEYFIVLVVVSGFILLTTLAVVVWLLVYRRSKRRRRSREGSSTSATALVPPLWKVFTSEELRSITKNFSEGNRLPGNAKTGGTYSGILPDGSRVAIKRLKRSSLQRKKDFYSEIGRVAKLYHPNLVAVKGCCYDHGDRFIVYEFVANGPLDVWLHHVPRGGRCLDWPMRMRVATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGSHLMGVGLSKFVPWEVMHERTVKAATYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPTQSVESVGWQTIFEWATPLVQSHRYLELLDPLIQELPDVGVIQKVVDLVYACTQHVPSVRPRMSHVVHQLQQLELKSAASEQLSGTSTSATSPMLPLEVRTPR >ORUFI01G00280.1 pep chromosome:OR_W1943:1:189390:194368:1 gene:ORUFI01G00280 transcript:ORUFI01G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCPFAKLASAGATCPVKSSSDNKTTINHTDDDDDDNEKTGNANTDPRVVPPKCPFGYDSNTFKLGPLSCMVCHALLHQSSKCTPCSHKFCKACILRFKDCPLCGADIQGIEPDDELQGLVDRFIDGHARIKRSHAAGDGEAASDNKTKVIYEDVSMERGAFLVQQAMRAFRAQNIESAKSRLSMCAQDIREELKSKQDNQELCSQLGAVLGMLGDCWHRTCLPNKGKLVHTLSVSLNKIGDLRYYDGDLHSARSYYARSLDVRRSAAKEHSAVASQVIDVATSLAKVADVDRNLGNESMAVEGFEEAIKCLENLKLESGEASLEQRRLSVLDFLQKQLDDK >ORUFI01G00280.2 pep chromosome:OR_W1943:1:189857:194013:1 gene:ORUFI01G00280 transcript:ORUFI01G00280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNNPPTIDTTNPIMSSLCPFAKLASAGATCPVKSSSDNKTTINHTDDDDDDNEKTGNANTDPRVVPPKCPFGYDSNTFKLGPLSCMVCHALLHQSSKCTPCSHKFCKACILRFKDCPLCGADIQGIEPDDELQGLVDRFIDGHARIKRSHAAGDGEAASDNKTKVIYEDVSMERGAFLVQQAMRAFRAQNIESAKSRLSMCAQDIREELKSKQDNQELCSQLGAVLGMLGDCWHRTCLPNKGKLVHTLSVSLNKIGDLRYYDGDLHSARSYYARSLDVRRSAAKEHSAVASQVIDVATSLAKVADVDRNLGNESMAVEGFEEAIKCLENLKLESGEASLEQRRLSVLDFLQKQLDDK >ORUFI01G00290.1 pep chromosome:OR_W1943:1:195873:197006:1 gene:ORUFI01G00290 transcript:ORUFI01G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDLQLPAAACGDHHTAAGAGLPPGFRFHPTDEELLLHYLSKRAAAEPCPAPVIAEVDIYKYNPWELPAMAVFGESDGEWYFFSPRDRKYPNGVRPNRAAGSGYWKATGTDKPISISETQQTVLLGVKKALVFYRGRPPKGTKTSWIMHEYRLANAAAASSSSYTSNMKQLASSSSSSSSSASMRLDEWVLCRIYKKKEANQQLQHYIDMMMDDDNDDEHNLQVQQQQQQQAQSHRMPRPPSISDYLLDYSDDLPPSTDQTPSLHLGFTAVNEGNNKRHKTMEEYYSISISTADMLHASSSTSNNKSTQINFSSIFEPQTPAAAGHQLMSSHNDDTSI >ORUFI01G00300.1 pep chromosome:OR_W1943:1:200062:206548:-1 gene:ORUFI01G00300 transcript:ORUFI01G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDENETHNSQKLWALPLEDELLLLDEEAATTMFADKGVDAAAVDRSACIRGGRAGVVTSRCAQQPAAGRRAVATTADEPGCARARRARMPLLFALPTSAQSAAAPLHRTLARGAAAPPCRALAFARRRFSLGRAAVAGVAAGLPHVAQEENQDDAFTDSGLERKDAQLLGKCVVQVALRDARLDTIATTYSSSPADCLCAALLLRVKARPAHYSFILAQDDGVAAVGSAAAAEQVGRAGRRGAPTINPTSSSIGDGFISEAAAGGLAVRRGVHVGCHTARFCADGSCGGGEKWWASLLGWSGQADYINAQPTSRKEARPSIGNPAAEQQGGGKRFSVLMEEKAWQPHRLAGLSPRAPSSNLLANHRSTTWKWGQRRMVLPGRRRRRGR >ORUFI01G00310.1 pep chromosome:OR_W1943:1:206514:209251:1 gene:ORUFI01G00310 transcript:ORUFI01G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPHRHHRHGHRGDDDDDDRRRHPAPAPAYGQAPPPADPYARHPPSHDYAHPPPAYGGGGYGNVVHVSHEVSDHQRPTPHYGGSEYISPVQETRPYHGGGGAPPVTHRIYCKAGEDNYSLAVRDGKVCLVRSDRDDHTQHWVKDMKYSTRVKDEEGYPAMALVNKATGDALKHSIGQSHPVRLVRYNPEYMDESVLWTESRDVGSGFRCIRMVNNIYLNFDALHGDKDHGGVRDGTTLVLWEWCEGDNQRWKIVPWYALQEKADHETAIR >ORUFI01G00320.1 pep chromosome:OR_W1943:1:220789:222609:1 gene:ORUFI01G00320 transcript:ORUFI01G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQQQQVERQPDLPPGFRFHPTDEEIITFYLAPKVVDSRGFCVAAIGEVDLNKCEPWDLPGKAKMNGEKEWYFYCQKDRKYPTGMRTNRATEAGYWKATGKDKEIFRNHHMLIGMKKTLVFYKGRAPKGDKTNWVMHEYRLADASPPPPPSSAEPPRQDDWAVCRIFHKSSGIKKPVPVAPHQVPAAANYQQQQQMAMASAGIIQVPMQMQMPSMSDQLQMLDDFSTTASLSLMAPPPPPPSYSTLPAGFPLQINSGAHPQQFVGNPSMYYHQQQQMDMAGGGFVVSEPSSLVVSPQDAADQNNNAADISSMACNMDAAIWKY >ORUFI01G00330.1 pep chromosome:OR_W1943:1:228303:235809:-1 gene:ORUFI01G00330 transcript:ORUFI01G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFRSANLASRVFDRQFLSPRPGATVNTVRQFYENLVPSYTICDIDCPDYSFRKFTDDGNYLVAFSRNHQDLIVYRPIWPTFSCNEPCDSHDLPPKAKKFDSFFKQLYSISLASSNEYICKDFFLYMECHQFGLFATSTAQSNDSSATEGAIHGVPSIEKITFYLVRLDDGAILDEKAFRNDFINLAHSIGAYLYEDLLCIVSLRYQTIHVLQIRDSGNLVEVRKIGAFCQEDDELFLHSHGQAARGVSFLPGIKQRLLSFIFRKTWNEESDQTLRVQHLKKKFYFHFQDYVDLIIWKVSRSTEQNSAFFAVYNMETTDIVSLYQNSSEELYSLFEYFYDHFHTNPQNSSHGNFISSHSNNVHALDQLRTIKNKANSTSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDEKLISAIDRHRHCTEHPIKFISVKQPNVVKFKIKPGSDSGASDSRAKRISSFLPSCFNPFFPLALSIQQTYMQPTVVNLHFRR >ORUFI01G00340.1 pep chromosome:OR_W1943:1:240741:247837:1 gene:ORUFI01G00340 transcript:ORUFI01G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKGKGKWKRPPTVKPPVMAASASDDDEIDAFHKHRDMIPLHDHDMESEDDLEHPVFDLEGISENETDDSEGDEDGNMDKAAYDEWDDKFIAKLKRAERAVKQIAGGDDSMDEHEDDHKDKNSWGRGKNAYYDAGEQSGDDEDDYEEARRIQKEEESKLSMQDFGLEDGESDEEDRAIKASNHQVKVPDGEHSFETYVKMKEEFAVLSRDEKMGVLDSSAPELVGLLSELKDAHEELMAIGPVTNEVTAGQSKDKGKMQPLEVKRACLAAYCQAITFYLLMKAEGLSVQDHPVIARLVEIKSVVEKMKHANVNFPRQKEDSDDYCMPDSNIMDVADMISLDKKNIYSNLLLRDKGVEVAELTKNDHSNKDHHEIAKRKGKDEHIGSQSLEMLKVRATLEERLKEKGLYNLTRLKRKKVSNTRTTNRSDLQTLDDFDDEVLKNTQAIKPSKVLVAAAKSNKNKFVSGDDELPKRDDIGERRRKHELRVLARVGASTLEDDDLPEEDDHTEERPNQLSEENGSDDDIGPSESEDEFYKDVKRRRTEKLSSKEQKYSPNPVIESLEEETEGDGKRKISYQMEKNRGLTRSRNKKLKNPRKKYRVKHQTKLVKRGGQVRGVKKPSGPYGGEMSGINPNVSRSVRFKG >ORUFI01G00350.1 pep chromosome:OR_W1943:1:250276:259874:-1 gene:ORUFI01G00350 transcript:ORUFI01G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGECEYRGEAVLVTPSSATPRRSLYLSNLDDQRFLRFSIKYLYVFPPSAAVAADALRAALARALVHYYPLAGRLRHHADDKLVLDCNAEGALFAEAFLPTLTAADFLRAGATAKPHKSWRKLLYRVHAATFVAVPPLVVQVTQLGCGGMVVCTAISHCVCDGIATANFLHAWAAFAAADLDLAADRDDDLSVVLHDRRALRPRCPPRVAFTHPEYHTSSSSSSLLLIQPQLMPLAPVSLTFTAAHLRRLKARSLRSCTSFEALAAHVWRAWVLSLAPPPPPDMRVKLLFSVNVRRRLKPELPWTYCGNAFVLACAEATPTELAAGAGVRLVQEAKDCIDDDYVRSTVDLLDQRRGAKPDLAATLVISAWTRLGLEDVDFGKGRAAHMGPLTSEIYCVFLPVLADPNAVTVLLSLPQPAADTFEHHCCSCFLLDLDDDQPAVPVLVDKQDHDNQLVRAHHQHDILA >ORUFI01G00350.2 pep chromosome:OR_W1943:1:250278:254879:-1 gene:ORUFI01G00350 transcript:ORUFI01G00350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGECEYRGEAVLVTPSSATPRRSLYLSNLDDQRFLRFSIKYLYVFPPSAAVAADALRAALARALVHYYPLAGRLRHHADDKLVLDCNAEGALFAEAFLPTLTAADFLRAGATAKPHKSWRKLLYRVHAATFVAVPPLVVQVTQLGCGGMVVCTAISHCVCDGIATANFLHAWAAFAAADLDLAADRDDDLSVVLHDRRALRPRCPPRVAFTHPEYHTSSSSSSLLLIQPQLMPLAPVSLTFTAAHLRRLKARSLRSCTSFEALAAHVWRAWVLSLAPPPPPDMRVKLLFSVNVRRRLKPELPWTYCGNAFVLACAEATPTELAAGAGVRLVQEAKDCIDDDYVRSTVDLLDQRRGAKPDLAATLVISAWTRLGLEDVDFGKGRAAHMGPLTSEIYCVFLPVLADPNAVTVLLSLPQPAADTFEHHCCSCFLLDLDDDQPAVPVLVDKQDHDNQLVRAHHQHDILA >ORUFI01G00360.1 pep chromosome:OR_W1943:1:260412:264567:1 gene:ORUFI01G00360 transcript:ORUFI01G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSERMAPRLAPSARPSTSATKVIAATQLIIKRVVSDKQLVKHLQIEVARLETELRIPDRASSSEIIIMERNRKIRQVEKEMEELKKQRDNAQSKLELQKKMGDNQP >ORUFI01G00370.1 pep chromosome:OR_W1943:1:275212:278777:1 gene:ORUFI01G00370 transcript:ORUFI01G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSSSSSLCDNHLLQDDLIPWPSMPFAPAPNTFGLNHQWSQPPMLSSSTDQLSSYELESLQSVESQLAAAAPPTLSPHLQAHQLSTVLMMQELGFQWSSCAAPADQHSIASSTNNNSNVMMNEEELRPRPDQSLISNPRSCSATTLLPPPHLHLDGAVLPSINVSRLQKLAAGDEPLQICCKRQAAAAAVVGHSSIRDEHVPCPYAGPPAHLIQGPSNTLQMKRNTNAAAQGRGGRHGSSTEHRSSTALPPSSKKPRLESHSSSMLPSFKVRKEKLGDRIAALQQLVSPFGKTDTASVLMEAIGYIKFLQDQVETLSGPYLRSSKNSKKLACRAAQQQRKGTSNGGDAAAKLDLRSRGLCLVPLSCTSYVTNENGVWPPPNFRGN >ORUFI01G00380.1 pep chromosome:OR_W1943:1:275306:275844:-1 gene:ORUFI01G00380 transcript:ORUFI01G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGTKNLRRNKEVADKKPEKKIQILGIPALEAGSIGGSIQRCWEQEQRAWKAMGSGHLARDDYHRGWRRKRRRPS >ORUFI01G00390.1 pep chromosome:OR_W1943:1:279101:280605:-1 gene:ORUFI01G00390 transcript:ORUFI01G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAALALALRRATSSAHKQKQKQALALTDAAASRIRQLLSLRHRPYLRLGVKARGCNGLSYTLNYADEKGKFDEVVEDKGVKVLIDPKALMHVIGTKMDYVDDPLRFMICAQHFLLSTYYLLSLPYGGFSCRSEFVFINPNSKGECGCGESFMTTSSKGSTS >ORUFI01G00400.1 pep chromosome:OR_W1943:1:281803:285076:-1 gene:ORUFI01G00400 transcript:ORUFI01G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVEHRMSPSPAPAPAPPTPVGRTDGDAPPMVLGLQLSALIDHVARVDWSLLNRIPGDRGGSQQVCIEELNHILAEVNAQILPCRDDLSSIRTIAGGSVANTIRGLSAGFGISTGIIGACGDDSQGVLFVSNMSFSGVDLTRLRTKKGHTAQCACLVDASGNRTMRPCLSSAVKLQANEFKKEDFKGSKWLVVRYARQNMEQILEAIRIAKQEGLSVSLDLASFEMVRDYRTQLIDLLETGNIDLCFANEDEARELLGGELTFDPEEALAFLAKYCKWAVVTLASKGCIAKHGKQVVQVAATGESNAVDATGAGDLFASGFLYGLVKGLALEECCKVGACSGGSVVRALGGEVRPENWQWMYKQMNASGLLLPDLKN >ORUFI01G00400.2 pep chromosome:OR_W1943:1:281803:285076:-1 gene:ORUFI01G00400 transcript:ORUFI01G00400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVEHRMSPSPAPAPAPPTPVGRTDGDAPPMVLGLQLSALIDHVARVDWSLLNRIPGDRGGSQQVCIEELNHILAEVNAQILPCRDDLSSIRTIAGGSVANTIRGLSAGFGISTGIIGACGDDSQGVLFVSNMSFSGVDLTRLRTKKGHTAQANEFKKEDFKGSKWLVVRYARQNMEQILEAIRIAKQEGLSVSLDLASFEMVRDYRTQLIDLLETGNIDLCFANEDEARELLGGELTFDPEEALAFLAKYCKWAVVTLASKGCIAKHGKQVVQVAATGESNAVDATGAGDLFASGFLYGLVKGLALEECCKVGACSGGSVVRALGGEVRPENWQWMYKQMNASGLLLPDLKN >ORUFI01G00410.1 pep chromosome:OR_W1943:1:290588:293404:1 gene:ORUFI01G00410 transcript:ORUFI01G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAADSGTAGAAAAAAKWRTDASRAFQYYLDRSTPHATGRWIGTLAVAAIYALRVYLVQGFYIVTYGLGIYLLNLLIGFLSPMVDPEAHAAASSDGPALPTRGSDEFKPFIRRLPEFKFWYAITKAFLIAFVMTFFSVFDVPVFWPILLCYWVVLFVLTMKRQIVHMIKYKYVPFSVGKQKYGGKKSAGSSSSKD >ORUFI01G00420.1 pep chromosome:OR_W1943:1:293741:294779:-1 gene:ORUFI01G00420 transcript:ORUFI01G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGDQTTTKSRILVVGGTGYIGRHVVAASARLGHPTTALVRDLAPSDPAKAQLLHTFRDAGVTLLHGDLHDHASLLRAVRDADVVISAVRATQVPDQTRLIDAIKEAGGGRVRRFIPSEFGMDPGRGASAAVEPVRSMYGSKVGIRRAVEAAGIPHTYVACNYFAGFALPSIGQFMPKAAPVDSVVILGEGHTKVVFVEEGDIGTYTVLAAVDPRAENKTLHIRPPANTMSHDELVSMWEKKTGKKLERVYVPEDAVLTKIKELEYPKNVLVSIAHAAYCRGEMSSPLDDPQDVEATQLYPEIQYTTVDEYLNTLL >ORUFI01G00430.1 pep chromosome:OR_W1943:1:298270:299331:-1 gene:ORUFI01G00430 transcript:ORUFI01G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEEKKSRILVVGGTGYIGRHVVLASARLGHPTTALVRDLSPSDPAKSQLLQSFRDAGVTLLHGDLYDHASLLSAVRNADVVISTLGALQIADQTKLIAAIKEGGGGNVRRFLPSEFGLDPDHTGAVEPARSIFTGKAAVRRAVEAAGVPYTYVVSNYFAGYALPTIGQNLPPARPVDSVVILGDGATKVVFVEEGDIGTYTVLAAVDPRAENKTVNIRPAKNAVSHEELVALWEKKTGKKLERVYVPEDAVLKQIQESEIPLNIVLSIAHAGYIRGETTTPLDPATAVEATQLFPDVQYTTVDDYLNRLL >ORUFI01G00440.1 pep chromosome:OR_W1943:1:302579:303235:-1 gene:ORUFI01G00440 transcript:ORUFI01G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAITGAVLSSKPCSLAKAARILDLFADSAASNLPSSDAATYLHTAADATKNHHRFRLDLLNYYHRGDTASASASASDKKKRKRSEDHHQAATHVKQEQEAQQVAFAADLVAEEETDKETSKKNRKKKKHESQQENARDVKQNGGAPEQRFASPEKKRNKKNHPEEEEVKTVVKGIIVSAGDSVATEKKRKKKRERADDTDNDKEHVEHTRKKPRNRS >ORUFI01G00450.1 pep chromosome:OR_W1943:1:303746:304615:-1 gene:ORUFI01G00450 transcript:ORUFI01G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEQEQPPQRYWFPYWTSPPPPPPPPPPPPSSSRYRPPSPPSSRHPHPTIPAARAAPPLGPTNRRLHQQPPPPASRDGRHEPPPKPKDVVVIPTDTVLHHKQPPPTHHHQHQQHKVKDQEEKKGDLRKDLKAGLAGMLSAASHGQQGTSIITLAGDNKGASMKISSPAPGSKGAGDDKRSSADGKGGVKAMINSNVQSINNSLLLHSSCSGGDLGVHLKLKLSSNSKSKSKTKSKEKQQHNVVADTSNKEKKPDSSQEKKEAGTSAAKPNKPSAAAKGNKPAGAANK >ORUFI01G00460.1 pep chromosome:OR_W1943:1:306677:342231:1 gene:ORUFI01G00460 transcript:ORUFI01G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRDADEIIEKLSSDRARTRDEGVKLLGTWLQGDRAFSFCRLLARNTANLKPAHLPASATWPFLIMALTKCVIADISGKKRSPKSAAARMLRLAVQCAQDFRLSGRSLLLISVAKKLFSHIWEVVKDAPSFLIEYSIILRQLLTVKEYRYQMKPRTYSSFVVLYMKKVATGFDEKISNQASSKEESFRCTLTLHVLLENPPGDYPDIMREESLFITYAKVQLELARAIPEILEKLLDVIIKELDQNFNTGAGLVWCEAPRDEKAGSLRCFQEELMDLSATALYLAYKCTPRTSHNKKKLKTEHVMTAIMDSLLRGSLVWSGSICCLIHKYGYRVDKSLLINWFKATCKGLKSVLSNANALRFQDSLLWLIRVLKEFSALLMSSARDAPPFWLTNDEMSILEGYWQDTWNSLIHALPLFSTTALVVDSVLRLLGEMIMRGDVSNSIFIRKNLLRSTFELVHSKGFSLLNEQSVLMIPETIFSLCAGFSSPVINSADTSQLFGECKNLSKDKCWSHEEELGYSVETLSEINLESPTKAMSEKCSRAHLPRHIQQPLLLELLEFTKGFMSSNKQFEKVDLPNLVYACSLVCNLIHCSLLSRVFEEKSSFLQVMLDYVTNAIKHIVSVVMKEHAELSHGLTNLGSVFDTTGSALSSFKSFMHSPLFSLWRVNNKTSSVLGVTELLDELLEAISELFAQFSSLMNNFDGDNPSKMLPVPFVSLSEDLHPDNRSSFVDMDLDMTGSGEVDPVTAGGSGSMGISPRPLECKLELVCIISTFFSVVPLHTWEVLYNLADKEVNIKVGQAILLELCTNISASSSSLSSVNQDVFLDLLNKATEISFTDWFFRIRLINCISNFIYLFPDVAQDMIGHLLSMLHDTDYRVRLYLARKIVVLFQIWEGHSELFHDVCSSIGVKMVQFSNENPVRAREVLAIGPQPVPIIETALITLAHLSLQSEDIEVECVFMISAVAAIEPSQRELAYALFDSVSKRLNYASRSKYLDQLIGPILFRWVACEVSLVSLVEVKEMFGFKSDEPKNFIEHCCSWLLSFLILRGETADLNWLSKILSQPLSAVIKGYFVPIFGLCIAARYGSGPEKDLAETVLCESLLQLGEISEPERDDLIKKHMVSIVGFLLSVSSSSRQPEIPHFSKEAVAHSVKTVVDGFMDAMDGNLAETVVIDKINIFRSDRVFKFLLAIHQQIADASHPRHIRHRLCAIEILIDVLGHRVALYSTWFYIICIVGSYIRRQPLQDQCCNILSKLLAAFNSNCSTETDAALGKQLQFVSRAPHLPPELFLLSSSSVANEASSVLADFISRAGISDVHQVIFHVPNLTEKYPIQPHISKEDKLPSDYGISDDILVGLLKLLKACLSDESAEIIDVTSRTLRGVLSTARGLNALHCLDSLDRSLLMVHSRGINAQVVEQTLLGMEKVSGVLVYYAPYGMTFIILSFFLPNFGSIVSLEDSDLWRTDGRTYKQWLCTLVGSLICHCDDIILRLCRSIAFLKVEAAELLLASALVNIAGNLDSNAGICRLISSMVEEKIFCDSNHLMKSVYLFLDALNVVRSYYVAEKARGCPSNTLKDGRSVRSKSRSPTTTPSSSWKKVYWLSVDYLVAARAANRCSCDFATLMYVELWCEEKFNMLALGPPDFSHEESLYRSFATCPFMFLAFLQLPPHIDLLVASFTRINELDSIYGITLANEITSQIIRYEHEGDWSSALEYHDLLVRSTRKENHGSLAGTALTGPSDVPSKAEEKMLNWQMHKGLMRSLQKTGCSHVLDVYCQGLSNQKSCLPQDSEFIDMQYEAAWRAGNWDFSFFIPYSSQPSTHSQSYCLFNGNLHSCLRALQNGDSEEFHVKLSNSKMDLVLAFSNASKESTKYIHSTVIKLQMLDHLTMAWDLRWKFCLNQTSKSYVGNKDFSPVPTVPTRMQLELLNKEWTFILCQTERNLDLLEPFLAFRSAVLKILGSEEHLREHLFQSASALRKGARFSLAAAALYELKELRYHMDHQAMPNSYFLFKLEEAKLLRAQGQHDMAINLGKYILKNHPDKKNVSDVYRLVGKWLAETRSSNSRTIIDDYLRHSVDFTELHKCPDKKYKSRQCRTYFHLAHYTDGLFKSYEERLSSNEWQAALRLRKYKSKELDTLMKRLKSSSKSEKTDYSVKIQELQKQLALDEEEAGKIQDDRDKFLNLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKAMMKTTKEVQSYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTIFQICYNAQLLALANGDRVKDKQRSRSSFIVDMDKKLAAENLLKDLSSSHGALIRQMKQMVEIYIKLAELETKKEVPVVTASIPVDPNCQYEEGSFPHFNGLADSIMVMNGINAPKVIECIGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLVGSFFPFGPYSTRSGGAHGRYGTGDWTYHQCRETMTNDNMGTHENMAFTYISLSCNTRPVMHHFFLERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSQNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDMIDGMGVTGVEGVFRRCCEKTLSVMRANKEALVTIIEVFVHDPLYKWALSPLKALQRQKESEDIDSCLDDSQEACEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDADRLCQMFPGWGAWL >ORUFI01G00470.1 pep chromosome:OR_W1943:1:342725:347399:1 gene:ORUFI01G00470 transcript:ORUFI01G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMETDINAPPPPAPAPAGAGEGSSSAAGPSSRKPNKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >ORUFI01G00480.1 pep chromosome:OR_W1943:1:346005:351482:-1 gene:ORUFI01G00480 transcript:ORUFI01G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVVSFPGDLAVVSFLDSNRGGAFNQLKVDLPFQTRGRRAVSLRRTCCSMQQAPPPAWPGRAVVEPGRRSWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLADQVKTFKPKLVAVRNESLVDELKEALADCDWKPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAQKHKVKILPADSEHSAIFQCIQGLPKGALRRIILTASGGAFRDWPVDKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMIETQDSSVLAQLGWPDMRIPILYTMSWPDRIYCSEVTWPRLDLCKLGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVELFIDEKIGYLDIFKVVELTCDAHRNELVTRPSLEEIIHYDLWAREYAASLQPSTGLSPVPV >ORUFI01G00490.1 pep chromosome:OR_W1943:1:354440:357536:-1 gene:ORUFI01G00490 transcript:ORUFI01G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSSTPSPQDGSGRGEFSDNLVLQTPQPMREDYIQNAVNFLGHPKVKGSPVFYRRSFLEKKGLTKEEIDEAFRRVPDPQPNSTDVAAVASQQAGIANQSAGVQPYETVQAPQAINTGPIVPHAQPQLSWSRTLIGVGVFLGVGASAAVILKKLFVPRLKSWIQGAHVEGDEISGNELKSKFYEEIKAAIQDSASAFSDIAKTNQELLASKDEDKKILTKLAQAFDSQAEAFRSLSDSLNRMSENRFYQYNLMEDHFQSAPWNGPTTNSWRASQQTNAYNTSPRSDFDSGRHPFMPVPGEPSPGAFPARSYVEQQRMQRPGYGFQPQMSNDRWNPGSPLTNYHGAPPYQQYHHGSTNAIDEAPAPAPVPAPAPPAESPFQRRWVPPQPPGVVMPEAVAAIRQPRQQVAAASRPSESAAATEQPQSGDVAGGAAMANAGNGEAEQEREAAA >ORUFI01G00500.1 pep chromosome:OR_W1943:1:361036:361611:1 gene:ORUFI01G00500 transcript:ORUFI01G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFRDHLAVIAEALCAIAADMNAAARALDPSTVISLACAAFTVAIVLVCYADICGRLATLHSQTQTPAGEDEDNNAMPPEASAASSLKDRQRSSHGTDTSSSSESSSSSSSSSSSESSSSSSSSSSESSSSSSDDDLARRRQQRNPSPPPQYKKEARRSPSPSPPSSSSTSSSSSSSGSSWWTRSARSFY >ORUFI01G00510.1 pep chromosome:OR_W1943:1:363386:367018:-1 gene:ORUFI01G00510 transcript:ORUFI01G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFKQQQQQGGGNGGGQGKRLERRLSLGEYKKAVSWSKYLVAPPGAKIRGGGEELWSADLSKLEIRTKFATGRHSRVYSGRYAARDVAIKMVSQPEEDAALAAELERQFASEVALLLRLRHPNIISFVAACKKPPVFCIITEYMAGGSLRKYLHQQEPHSVPIELVLKLSLEIARGMSYLHSQGILHRDLKSENILLDGDMSVKVADFGISCLESQCGSGKGFTGTYRWMAPEMIKEKHHTRKVDVYSFGIVLWEILTALVPFSEMTPEQAAVAVALKNARPPLPPSCPVAISHLITQCWATNPDRRPQFDDIVAILESYIEALEEDPSFLQSYIPPPHPLHHHHHQHHNHHHQQSLLRCFPRYRTTRRSASLRV >ORUFI01G00520.1 pep chromosome:OR_W1943:1:363400:363675:1 gene:ORUFI01G00520 transcript:ORUFI01G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVGWFCSEGSTGEGSVDDDDYDAGDGDGAADAEAVYMTARRMDPLQELLCSSQVWRRCRRTEAFCLGWSPSTELSDGLLPQGMKEAVVA >ORUFI01G00530.1 pep chromosome:OR_W1943:1:367700:374596:-1 gene:ORUFI01G00530 transcript:ORUFI01G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKTKKGTETDTWSRKKQQSSSRMRGGHGWQHGDPDAVQHCRQHDTHDHDNCWLLLRVTPSVKTSKKKQISLAWQLAVL >ORUFI01G00540.1 pep chromosome:OR_W1943:1:378722:379840:1 gene:ORUFI01G00540 transcript:ORUFI01G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSDSQRRKRCAACYREFNKKEHLVEHMRTSLHSAHDPRCGVCGKHCRSLDALRDHLTGALPKPECAAAFASRGCPLCLHVVLPPTAAAHSCPAAAPPLGGVLALGCKMVGAGSDGSLDVCARVCVVDEQERVVLDTFVKPHIPVTHYRYDTTGIRPEHLRDAMTPKQAARRVQELLLNGEPAWKARSSRGRARILVGHGLDHDLESLGMDYPEYLKRDTARYPALMKTSNSRLSNSLKYLTLAYLGYHIQIAGRHHHPYDDCVAALRLYRRMRGARPHTCRDAGVGPHAPPPTPAEAFPAWRQRELERMSPEELLQLSTSDYYCWCLDATD >ORUFI01G00550.1 pep chromosome:OR_W1943:1:381649:384959:1 gene:ORUFI01G00550 transcript:ORUFI01G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLPCHLLTRRRALTACAAVAALTAAAALFLLATPPTEDPTHPYLLASLLHNNTSNQPDSAAASQPPLPSTSILQLQTNLPSGFTTVPSMFLVPSPSPAENLDDGSMEETDPPDLKENPPAESAPFLQEPISSGSPIRRSDIYNKGHDMKDHAMLPPRPEVPIPLWSTAADEELIYAKKEITIAPLVSNDPDLHAPLFRNVSVFRRSYELMERLLKVFVYHDGAKPIFHSPELKGIYASEGWFMKLMEGNQHFVVRDPNRAHLFYLPYSSRQLEHNLYVPGSNTIEPLSIFVKKYIDFISTKFPYWNRTKGADHFFVACHDWGPYTTKLHDELRKNTIKALCNADLSEGVFIHGRDVSLPETFLRSPRRPLRGIGGKPAAERSILAFFAGQMHGRVRPVLLQYWGGKDADMRIYDRLPHRITRRMNYIQHMKSSKYCICPMGYEVNSPRIVEAIYYECVPVIIADNFVLPFDDALNWSAFSVVIPEKDVPKLKQILLAIPDDQYMAMQSNVQRVQKHFIWHPNPIKYDIFHMILHSIWYSRVNQIQIE >ORUFI01G00560.1 pep chromosome:OR_W1943:1:385689:389425:-1 gene:ORUFI01G00560 transcript:ORUFI01G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATACASPAAARPPLHIPLRSPPSAAHLPSAAASRRASSAACRCTASASASASPSTWDWTRWTRHFADVDQAESYASLLKFQLEEAVDNEDFAEASKLKKAILEATGNDAVAQVMSELKTAIEEQRYQDASRLTKLARTNLVGWWVGYAKDTDDSIGRIVRISPGVGRYVAKSFSPRQLVTASSGTPLFEIFLVRDDDETYTMKVVHMRPTKGTSSASSVSSATAESPAKEENESSLESSAISEGITDEANTDTTLKGDEDVEDKEQDVGNAKDSSVEGLKSVLNFFKSRIPEFKVQVINVDVSEEAELASDSSEELVQDDVKSTSENSLEDSTTEELQQDDVPDGDSDSAEDSKSPEMKLFISGVVHNKEDAGAKSYVRVPAEINNLEKDSFELYIPGKGSDRDLADTKAAKQKVADMAAKLASELMPSDVAKALWGTTKSSSKINKEVQELLKLTLSKARVKLTENTIFNRIITDSNGSDPFSGLYVGAFSPYGPEVVQLRRKFGHWNSTDEVEFFEYVEAVKLTGDLSVPAGQITFRAKIGKGKRLENRGAYPEEFGVIASYKGQGRIAQPGFKNPRWVDGELLVLNGKSTIPHLGGAELGFLYSVPEQSFLVLFDRLKLPE >ORUFI01G00570.1 pep chromosome:OR_W1943:1:391470:400736:1 gene:ORUFI01G00570 transcript:ORUFI01G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALAGRLAAASVSDHPSSSSAAATDGANADHLLHVMRAVEGAEATIRNQLEENNRLKEELMQKTRQLQRIREDAASQASSSGVAQDRRSFVATKMDASRSPASAASSDNSRTPSALHHNGAFESGEPSMQQTIRQNNALSNGASKRSSGEQPALDSAAVSQFSTPSSHSLSPTRHRKEGEHDPRLNLAGQGLLPASEMASNMSWKHDLTAKIKENEEEIAQLRKHLADYSLKQARILDDKYMLERRIAQMRMAFDQQQQDLIDAASKALSYRQDIIEENIRLAYAVQAAQQERTTFISSLLPLLSEYENLQPSVLDAQSIVSNLKVLFKHLQEQLIITEEKLKESRYQITPWQTELANDATLPVHSPTDPLGKALVTSNKSNLDIVTQTPYPHIQSPMSSPVQVRGDWGVAGNKNRQVIPTDVPPRNVDHGDMGRNSLSSSLKFRRDVSSQVSQHDPHSVPLDFEPENQNPPFKHLSRSDVSDASEGAEVQHAREHSAHWGHGDSVNLVSGIEDTNPSYPYLPTVLEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGYSTNGTTSCNFEWVRHLEDGSVNFIEGARQPNYLVTADDVDTLLAIEVQPLDDRKRKGEIVRFYANDQRKITCDPETKELIKRTLETGHINIPYGRPTEFLITSADGVEYNLKPAENALPRDTIVLVLRLFRIMAVEKRRGRKKSLFFK >ORUFI01G00580.1 pep chromosome:OR_W1943:1:404925:405585:-1 gene:ORUFI01G00580 transcript:ORUFI01G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSSQPILIMNPRISQLVASNKESTTIHKPHGFVGKNATDFVRCRPAVGKLKINSDGAYIAETGEGGWGFVIRDSEGEVILSSAGRISYLLDAFQAEVVACLAGARAANALGMGHVIFETDSIILKQAMDADDHRLAATGGLIYELKKLIGSSFLSCSFVFAPRECNRVAHALAALGCKCPRNTVEQWDMMPPGMEDLVASDFAESLS >ORUFI01G00590.1 pep chromosome:OR_W1943:1:406207:418137:1 gene:ORUFI01G00590 transcript:ORUFI01G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAGDAARLSYPPTRRDNSVVDMYHGVPVADPYRWLEDPESEDTKEFVASQVELAESVLAGCFDRENLRREVTRLFDHPRHGAPFRRGNKYFYFHNSGLQAQSVLYVQDSLDGEAEVLLDPNALSKDGTVALSTYSVSKDGKYIAYGLSESGSDWVTIRVMNIADKQTLSDKLSWVKFSSISWTHDGKGFFYGRYPAPREVELDAGTETNINLNHEIYYHVVGSDQSEDILCWKDPEHPKYSFGASVTEDGKYIILGTYEGCDPVNKLYYCEICTLPQGIEGFKETKGMLPFVKLIDNFDAQYHVVANDGDEFTFLTNRNAPKNKLVRVDIKKPELWTDILPEHERDVLESADAVNGNQLLIRDLVTGNLLHKLPLEIGSVSEISCRREDMDVFIGFTSFLSPGIIYRCNLTSAIPEMKIFREISVPGFDRTNFEVKQIFVNSKDGTKIPMFIMSKRDIELDGSHPTLLYGYGGFNISLTPSFSVSRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGARAMKQNCFDDFIACAELLISAGYTSYRQLCIEGGSNGGLLIAACVNQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDNEEEFHWLIKYSPLHNVRRPWEQSFVNCCQYPAIMLLTADHDDRVVPLHSLKLLATLQYVLCTSIEDTPQIDEVADRYSFMANMLDASWTE >ORUFI01G00590.2 pep chromosome:OR_W1943:1:406207:418137:1 gene:ORUFI01G00590 transcript:ORUFI01G00590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAGDAARLSYPPTRRDNSVVDMYHGVPVADPYRWLEDPESEDTKEFVASQVELAESVLAGCFDRENLRREVTRLFDHPRHGAPFRRGNKYFYFHNSGLQAQSVLYVQDSLDGEAEVLLDPNALSKDGTVALSTYSVSKDGKYIAYGLSESGSDWVTIRVMNIADKQTLSDKLSWVKFSSISWTHDGKGFFYGRYPAPREVELDAGTETNINLNHEIYYHVVGSDQSEDILCWKDPEHPKYSFGASVTEDGKYIILGTYEGCDPVNKLYYCEICTLPQGIEGFKETKGMLPFVKLIDNFDAQYHVVANDGDEFTFLTNRNAPKNKLVRVDIKKPELWTDILPEHERDVLESADAVNGNQLLIRDLVTGNLLHKLPLEIGSVSEISCRREDMDVFIGFTSFLSPGIIYRCNLTSAIPEMKIFREISVPGFDRTNFEVKQIFVNSKDGTKIPMFIMSKRDIELDGSHPTLLYGYGGFNISLTPSFSVSRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGARAMKQNCFDDFIACAELLISAGYTSYRQLCIEGGSNGGLLIAACVNQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDNEEEFHWLIKYSPLHNVRRPWEQSFVNCCQYPAIMLLTADHDDRVVPLHSLKLLATLQYVLCTSIEDTPQIDEVADRYSFMANMLDASWTE >ORUFI01G00590.3 pep chromosome:OR_W1943:1:406207:418135:1 gene:ORUFI01G00590 transcript:ORUFI01G00590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAGDAARLSYPPTRRDNSVVDMYHGVPVADPYRWLEDPESEDTKEFVASQVELAESVLAGCFDRENLRREVTRLFDHPRHGAPFRRGNKYFYFHNSGLQAQSVLYVQDSLDGEAEVLLDPNALSKDGTVALSTYSVSKDGKYIAYGLSESGSDWVTIRVMNIADKQTLSDKLSWVKFSSISWTHDGKGFFYGRYPAPREVELDAGTETNINLNHEIYYHVVGSDQSEDILCWKDPEHPKYSFGASVTEDGKYIILGTYEGCDPVNKLYYCEICTLPQGIEGFKETKGMLPFVKLIDNFDAQYHVVANDGDEFTFLTNRNAPKNKLVRVDIKKPELWTDILPEHERDVLESADAVNGNQLLIRDLVTGNLLHKLPLEIGSVSEISCRREDMDVFIGFTSFLSPGIIYRCNLTSAIPEMKIFREISVPGFDRTNFEVKQIFVNSKDGTKIPMFIMSKRDIELDGSHPTLLYGYGGFNISLTPSFSVSRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGARAMKQNCFDDFIACAELLISAGYTSYRQLCIEGGSNGGLLIAACVNQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDNEEEFHWLIKYSPLHNVRRPWEQSFVNCCQYPAIMLLTADHDDRVVPLHSLKLLATLQYVLCTSIEDTPQIDEVADRYSFMANMLDASWTE >ORUFI01G00590.4 pep chromosome:OR_W1943:1:406207:418137:1 gene:ORUFI01G00590 transcript:ORUFI01G00590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAGDAARLSYPPTRRDNSVVDMYHGVPVADPYRWLEDPESEDTKEFVASQVELAESVLAGCFDRENLRREVTRLFDHPRHGAPFRRGNKYFYFHNSGLQAQSVLYVQDSLDGEAEVLLDPNALSKDGTVALSTYSVSKDGKYIAYGLSESGSDWVTIRVMNIADKQTLSDKLSWVKFSSISWTHDGKGFFYGRYPAPREVELDAGTETNINLNHEIYYHVVGSDQSEDILCWKDPEHPKYSFGASVTEDGKYIILGTYEGCDPVNKLYYCEICTLPQGIEGFKETKGMLPFVKLIDNFDAQYHVVANDGDEFTFLTNRNAPKNKLVRVDIKKPELWTDILPEHERDVLESADAVNGNQLLIRDLVTGNLLHKLPLEIGSVSEISCRREDMDVFIGFTSFLSPGIIYRCNLTSAIPEMKIFREISVPGFDRTNFEVKQIFVNSKDGTKIPMFIMSKRDIELDGSHPTLLYGYGGFNISLTPSFSVSRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGARAMKQNCFDDFIACAELLISAGYTSYRQLCIEGGSNGGLLIAACVNQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDNEEEFHWLIKYSPLHNVRRPWEQSFVNCCQYPAIMLLTADHDDRVVPLHSLKLLATLQYVLCTSIEDTPQIDEVADRYSFMANMLDASWTE >ORUFI01G00590.5 pep chromosome:OR_W1943:1:406173:418137:1 gene:ORUFI01G00590 transcript:ORUFI01G00590.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAGDAARLSYPPTRRDNSVVDMYHGVPVADPYRWLEDPESEDTKEFVASQVELAESVLAGCFDRENLRREVTRLFDHPRHGAPFRRGNKYFYFHNSGLQAQSVLYVQDSLDGEAEVLLDPNALSKDGTVALSTYSVSKDGKYIAYGLSESGSDWVTIRVMNIADKQTLSDKLSWVKFSSISWTHDGKGFFYGRYPAPREVELDAGTETNINLNHEIYYHVVGSDQSEDILCWKDPEHPKYSFGASVTEDGKYIILGTYEGCDPVNKLYYCEICTLPQGIEGFKETKGMLPFVKLIDNFDAQYHVVANDGDEFTFLTNRNAPKNKLVRVDIKKPELWTDILPEHERDVLESADAVNGNQLLIRDLVTGNLLHKLPLEIGSVSEISCRREDMDVFIGFTSFLSPGIIYRCNLTSAIPEMKIFREISVPGFDRTNFEVKQIFVNSKDGTKIPMFIMSKRDIELDGSHPTLLYGYGGFNISLTPSFSVSRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGARAMKQNCFDDFIACAELLISAGYTSYRQLCIEGGSNGGLLIAACVNQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDNEEEFHWLIKYSPLHNVRRPWEQSFVNCCQYPAIMLLTADHDDRVVPLHSLKLLATLQYVLCTSIEDTPQIDEVADRYSFMANMLDASWTE >ORUFI01G00590.6 pep chromosome:OR_W1943:1:409433:418135:1 gene:ORUFI01G00590 transcript:ORUFI01G00590.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAGDAARLSYPPTRRDNSVVDMYHGVPVADPYRWLEDPESEDTKEFVASQVELAESVLAGCFDRENLRREVTRLFDHPRHGAPFRRGNKYFYFHNSGLQAQSVLYVQDSLDGEAEVLLDPNALSKDGTVALSTYSVSKDGKYIAYGLSESGSDWVTIRVMNIADKQTLSDKLSWVKFSSISWTHDGKGFFYGRYPAPREVELDAGTETNINLNHEIYYHVVGSDQSEDILCWKDPEHPKYSFGASVTEDGKYIILGTYEGCDPVNKLYYCEICTLPQGIEGFKETKGMLPFVKLIDNFDAQYHVVANDGDEFTFLTNRNAPKNKLVRVDIKKPELWTDILPEHERDVLESADAVNGNQLLIRDLVTGNLLHKLPLEIGSVSEISCRREDMDVFIGFTSFLSPGIIYRCNLTSAIPEMKIFREISVPGFDRTNFEVKQIFVNSKDGTKIPMFIMSKRDIELDGSHPTLLYGYGGFNISLTPSFSVSRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGARAMKQNCFDDFIACAELLISAGYTSYRQLCIEGGSNGGLLIAACVNQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDNEEEFHWLIKYSPLHNVRRPWEQSFVNCCQYPAIMLLTADHDDRVVPLHSLKLLATLQYVLCTSIEDTPQIDEVADRYSFMANMLDASWTE >ORUFI01G00600.1 pep chromosome:OR_W1943:1:424105:437502:1 gene:ORUFI01G00600 transcript:ORUFI01G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEKADGGGRRRRSRATSSSGSGASSTAAAAAAERKEMERRRRQDMKGLCVKLASLIPKEHCSMSKMQAASRTQLGSLDEAAAYIKKLKERVDELHHKRSMMSITSSRCRSGGGGGPAAAAGQSTSGGGGGTAAAAVVEVRQHVQEGSLISLDVVLICSAARPVKFHDVITVLEEEGADIISANFSLAAHNFYYTIYSRAFSSRIGIEASRISERLRALYTALATWSKDTYIAPTSKFGVGSGVELSSPWVPVWSDAAAGGGGGDARSEDRWMNRRKRLVPCGGGGGYIVVGNREKPAPCGGASCFVVGVRDVTVLMNRQKKLAPCGSVSGFVIGVREVAAPDGGGSFLRPASPGQAIAQSLLLVVLDCPLARWRRFCRCMLECFLCHGSISQVSQDFSPPFPHVDPRRQQFICHHSSASVGLGIWMWAPSSSVFPTSSFHAGFVVRVELTLLRFNDELRGLLLLSPVMLPQNLRLSSKPPFCAVFVEATGWRLPVCQACCTPKEAQGCIRRGIAAAPCRCAPSLQCGFITKKKQSSLRWMKSRKNSTTSTKAAGSCHTSSSGGGGGGGNCYSSSSSKMERKDVEKNRRLHMKGLCLKLSSLIPAAAPRRHHHHYSTSSSSSPPSSTKEAVTQLDHLEQAAAYIKQLKGRIDELKKRKQQAAALTTSTSNGGGGGMPVVEVRCQDGTLDVVVVSEAIREERERAVRLHEVIGVLEEEGAEVVNASFSVVGDKIFYTLHSQALCSRIGLDASRVSHRLRNLLLQY >ORUFI01G00600.2 pep chromosome:OR_W1943:1:436581:438581:1 gene:ORUFI01G00600 transcript:ORUFI01G00600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMSGSKLREQLTPIIQWVDRAEEVESRAEERRGEEEFGRAEPQIPEKGMNLKMTCNICLAAGGLIFTEDGGGITLAREIERLLLQGSEARRKNEAIKQEGRREQQRMYCMQPERRGCGGAGIGAGERRGRPTAETETIDWVPDGPFLTFSPRPKNHPPSCRSSSWAERSPISSLKRKPTVICLSIERILVFFLFSRRKM >ORUFI01G00610.1 pep chromosome:OR_W1943:1:439451:440812:1 gene:ORUFI01G00610 transcript:ORUFI01G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSAGVLLKLLDGMKAGAAKPVGEHRTALLQVTDIVPADLDDKDLLPRHGKFYVKVSDSSHSIYATLPLPQADLVLSNKLQLGQFVHLDRLDPGSPVPVIVGARPLPGRHPLVVGTPDPATRAKPAAPRRGSWGPENHAGILASPRVIKPTTLNFEDRTPVKERPPAPSPARSTASSLRKSSSVLPRITRSKSFVADRAPDHPKIPKSPFPAEKISMSCYTASRAMSRRAPPKEEEPSSPSSDDELCSSATSSKKRPSTSTRVPVPGKLNLLGKASPSTQFPS >ORUFI01G00610.2 pep chromosome:OR_W1943:1:439577:442196:1 gene:ORUFI01G00610 transcript:ORUFI01G00610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSAGVLLKLLDGMKAGAAKPVGEHRTALLQVTDIVPADLDDKDLLPRHGKFYVKVSDSSHSIYATLPLPQADLVLSNKLQLGQFVHLDRLDPGSPVPVIVGARPLPGRHPLVVGTPDPATRAKPAAPRRGSWGPENHAGILASPRVIKPTTLNFEDRTPVKERPPAPSPARSTASSLRKSSSVLPRITRSKSFVADRAPDHPKIPKSPFPAVRNPPLYTPSSIRLDSI >ORUFI01G00620.1 pep chromosome:OR_W1943:1:451628:452339:-1 gene:ORUFI01G00620 transcript:ORUFI01G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAFCYILIIVRVPLEPLDADGSEARVLHQDFILLRRRRQAEDHRDGVVHQGIRRRWQGQDRRVSR >ORUFI01G00630.1 pep chromosome:OR_W1943:1:453828:461397:1 gene:ORUFI01G00630 transcript:ORUFI01G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPSRIKLASPNKSHGLPYLLPVSAGFLPFSHRLACLLAFPIPISHQPQTAANPTSARPHRNLARFSEEEMGEYCGAAPEEDPAMALVTPLPTTTTTTTTAAAAAIKQPHYYGCFDRCSTKQVFDNLHGNISLDPLAREFVDTEEFQRLRDLKQLGLTYLVYPGAVHTRFEHSLGVYWLAGEAMNNLRLYQGEELGIDRVDMQTVKLAGLLHDIGHGPFSHLFEHEFLPRVVPGSTWTHENMSALLLDSIVDKHQIDIEADHLKIVMEMIVASSKFTATESTKEKRFLYDIVANGRNGIDVDKFDYIGRDCRACGLGCNFQYWRLLQGMRVMGDEICYPAKDYLSIHKLFTTRADLHRTVYTHAKVKAVELMLVDALVEANEYLGIALHAQDPADFWKLDDTIIKSIETAPNDELNKAKGIIQRIRRRELYKFCNEYSVPKDKLEHFKNITAQDIVCSQKSSKVLLKEEDVAVSNVKIDLTRGKDNPLESIKFFKDFGCDEKFPITDERVSHLLPAYNQDRIVRVYAKKHELVEAVSEAFENLQLRMYGEKTQVHDTPRKKRIRFH >ORUFI01G00640.1 pep chromosome:OR_W1943:1:463117:463376:1 gene:ORUFI01G00640 transcript:ORUFI01G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSSQSILLVSGLCLFAANERHSGCIQPEMAEALAIAVRCARRWRRVTRKLFWLQIA >ORUFI01G00650.1 pep chromosome:OR_W1943:1:463816:466735:1 gene:ORUFI01G00650 transcript:ORUFI01G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVKRMVDNSYHDVMESIQAEESAAESARNRLLAVSTLLEQQRGALEVVSGRMASWAIREVEMSAITAELLLLDDVAAGACDAAARLRGIKTTLELVKLIKADDVTLTLATDDLEHYALKQPGDATFAPAPSPEELDEAMKHAEAAQEHVLRCCARVRAVVRCFQGVERVGVAGAEQGGVIAEGELGVAHESLDAAVGELLKAEAAAAASTANARNVSARKQNATVPDPPIPGGAAMALKSSSTMELVSRMSKSMSVANNILAVHVPRLHALRAALDGIQDEPSRADLSSSSAATDACRLIRDTAAGLTHATIHLHNAAYYLSSVLRIALRHADADASTDFSSKLPSLAANPFPRLAAHLLASIPTPPPQPTACTLDDALLAVSVVHNTLALLLDYNLERCILYLRLLGRRNDPNLHRHNRLPLARDRLRAACVMLDFAAAYCNVAANAINAHYIKLQNEASLIFGTAGSRRGRRIDRRIIN >ORUFI01G00650.2 pep chromosome:OR_W1943:1:463816:466224:1 gene:ORUFI01G00650 transcript:ORUFI01G00650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVKRMVDNSYHDVMESIQAEESAAESARNRLLAVSTLLEQQRGALEVVSGRMASWAIREVEMSAITAELLLLDDVAAGACDAAARLRGIKTTLELVKLIKADDVTLTLATDDLEHYALKQPGDATFAPAPSPEELDEAMKHAEAAQEHVLRCCARVRAVVRCFQGVERVGVAGAEQGGVIAEGELGVAHESLDAAVGELLKAEAAAAASTANARNVSARKQNATVPDPPIPEREAN >ORUFI01G00660.1 pep chromosome:OR_W1943:1:467269:467931:1 gene:ORUFI01G00660 transcript:ORUFI01G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDPAAAAGNGGEDEAVAVKKHLATTALGALRLVTISASDAYSHTLDALHALRSAYANIVEVAPPDLAAAEALLAHDCNHSIALASRLVTHMELMAMEATIHVDRWLTSTDAAVRLQGIPAVVWRYKMDAVVVWLGNARKKLLDASADCHAVTPLLAVAAAVDEYAPDVRSQWTAAANTGLFGALGHLRGACNIIANAPAVAALARDATTTLFDLLLLL >ORUFI01G00670.1 pep chromosome:OR_W1943:1:468876:477502:-1 gene:ORUFI01G00670 transcript:ORUFI01G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARDDALMGSQLKRPNVGRSDPTAQPQHMPLGPASAAAPPPQAAAAPPAQPPAGGATAGQKLTTNDALVYLKAVKDKFQDKREKYEEFLEVMRDFKSERIDTNGVIIRVKTLFNGYPELILGFNTFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEHVYKAFLDILNMYRKDNKSIQDVYHEVAVLFADHKDLLEEFQHFLPDTSVPPQAVAPSRPGIRRDDRTSLVPPASRNEKRDKAHPHADRESVDRPDLDHVIQRRRPKDRHDYDRGDKDGELDSKDLDIGLKRKPFPRKMEDPTSADAHHGGPLENHGILGASASLYDNKDALKSVYTQEFHFCEKVKEKLEHDAYQEFLKCLHIYSQEIITRSELKNLVNDILQQHPDLMDGFNEFLEHCENIGQTGRIVKTEERKEGGKGTEKEPDRIEKVPAYKEAPSQKPVFSSKEKYIYKPVSELDLSNCQRCTPSYRLLPKHYPMPPAGNKTELGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSLKPDSPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKSLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFDYVDSNIHEDMYKIIKYSCGEVCSSSDQLDKVVRIWTTFLEPILGVQPRTHGAEDADAVKPKSRTTKSGLATVGEINTTAAGAVAKHGHDENIPQEQTPSSLARMVNGVATDTQNGFHDVDRTARRAEEPSNTAVNGRVQGASPGTNEIPAVSTQNMPTERSAENIPVARTEQHGNAKANLEPTSGVNASRSSHAGNDTAAEARAGNETLPSVEGGETGRSGSTLNGGGASEGNKGRLFNEASASHNTPKVEREEGELSPNGDFEEDNFAPFEDGAVDGVSKAKEGSTSRPFQGRSGEAQPSCAEAAGENDADADDEGEESAQRSTEDSENASEGGEDASGSESGDGEECSREDHDEEEEDMDHDDQDAKAESEGEAEGTTETHDVEGGISLPLSERFLHSVKPLAKHVPTALHDRDEKSSRIFYGNDSFYVLFRLHQILYERLLSAKTNSSSAEKKWRTSKDTNPPDLYAKFISALYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSPGRFFDLVYHENARVLLHEESIYRFECCSNPTKLSIQLMEYGHEKPEVTAVSIDPNFSSYLFNEYLSSMSDRKLSEGVFLERNKRKHSNNDEPSDSLKAMDGVKVANGLECKISCKTSKVSYVLDTEDFLFRLRKRRRFSPVGNVPEKLQASKTYAAKSLL >ORUFI01G00670.2 pep chromosome:OR_W1943:1:469007:477502:-1 gene:ORUFI01G00670 transcript:ORUFI01G00670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARDDALMGSQLKRPNVGRSDPTAQPQHMPLGPASAAAPPPQAAAAPPAQPPAGGATAGQKLTTNDALVYLKAVKDKFQDKREKYEEFLEVMRDFKSERIDTNGVIIRVKTLFNGYPELILGFNTFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEHVYKAFLDILNMYRKDNKSIQDVYHEVAVLFADHKDLLEEFQHFLPDTSVPPQAVAPSRPGIRRDDRTSLVPPASRNEKRDKAHPHADRESVDRPDLDHVIQRRRPKDRHDYDRGDKDGELDSKDLDIGLKRKPFPRKMEDPTSADAHHGGPLENHGILGASASLYDNKDALKSVYTQEFHFCEKVKEKLEHDAYQEFLKCLHIYSQEIITRSELKNLVNDILQQHPDLMDGFNEFLEHCENIGQTGRIVKTEERKEGGKGTEKEPDRIEKVPAYKEAPSQKPVFSSKEKYIYKPVSELDLSNCQRCTPSYRLLPKHYPMPPAGNKTELGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSLKPDSPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKSLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFDYVDSNIHEDMYKIIKYSCGEVCSSSDQLDKVVRIWTTFLEPILGVQPRTHGAEDADAVKPKSRTTKSGLATVGEINTTAAGAVAKHGHDENIPQEQTPSSLARMVNGVATDTQNGFHDVDRTARRAEEPSNTAVNGRVQGASPGTNEIPAVSTQNMPTERSAENIPVARTEQHGNAKANLEPTSGVNASRSSHAGNDTAAEARAGNETLPSVEGGETGRSGSTLNGGGASEGNKGRLFNEASASHNTPKVEREEGELSPNGDFEEDNFAPFEDGAVDGVSKAKEGSTSRPFQGRSGEAQPSCAEAAGENDADADDEGEESAQRSTEDSENASEGGEDASGSESGDGEECSREDHDEEEEDMDHDDQDAKAESEGEAEGTTETHDVEGGISLPLSERFLHSVKPLAKHVPTALHDRDEKSSRIFYGNDSFYVLFRLHQILYERLLSAKTNSSSAEKKWRTSKDTNPPDLYAKFISALYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSPGRFFDLVYHENARVLLHEESIYRFECCSNPTKLSIQLMEYGHEKPEVTAVSIDPNFSSYLFNEYLSSMSDRKLSEGVFLERNKRKHSNNDEPSDSLKAMDGVKVANGLECKISCKTSKVSYVLDTEDFLFRLRKRRRFSPVGNVPEKLQASKTYAAKVQRFHRFLSKP >ORUFI01G00680.1 pep chromosome:OR_W1943:1:477622:477937:-1 gene:ORUFI01G00680 transcript:ORUFI01G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFATGAGQRGGTGGEEEASLLFFFFASPLPRSSPILPSRVSRLAEAISRLSSPRVAFASRCALFAPRSGGLAGASGGQIRRRCTSSEV >ORUFI01G00690.1 pep chromosome:OR_W1943:1:479531:481193:-1 gene:ORUFI01G00690 transcript:ORUFI01G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARANEMVRADSRMMVVFSALASKSGPLTFEDSLRFVKKVKACNYMLYLSLFDILGRMELSRLEAYRELQLLFQNYPDLHEELEKFRPPVPIKHPANNIWPWLFACAVPLVAVSLIPALGNPVLWFVQQTLGEKMKA >ORUFI01G00700.1 pep chromosome:OR_W1943:1:485381:486022:-1 gene:ORUFI01G00700 transcript:ORUFI01G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFVEELKVEQAKMQGLLDHVDAFPAGGASPTREDYYTAEEIISHGISDMQICRVHKAIVMGSAPLYDAKTMGAILPPPSCPLTTDLAERLALLPPLEQTISYPDCDDVGLEGFAMRVQHAVTALGFAEEALESSFYTFNDAISLIFTKPIPPLAHSTKRKARSSIALALRCAAYAHAQATIALTRIAPPLTTTTPANNIAHSNIASNQQHI >ORUFI01G00710.1 pep chromosome:OR_W1943:1:489919:490155:1 gene:ORUFI01G00710 transcript:ORUFI01G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGASLPLPSLVRRLPDLATASSGDPREVASSSPRLYNGLFLSSMWRRQWRLPIPFPSPVQWPPDPTSSVSNSSDL >ORUFI01G00720.1 pep chromosome:OR_W1943:1:493553:500417:-1 gene:ORUFI01G00720 transcript:ORUFI01G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRQWRRGLALAALLALHLALAAAQSPAAAPAQPTPTPVPTAPAKSPPAPATPAPTATPTPPVAPAKAPPVAPAVAPVTPPPPTPKKAPPPPVTPPPVTPPPVTPPPVSPPPATPPPALPPSTPPPVAAPAEAPAALPPATTPPPVAEAPAELPPAEAPTKSKNKHRKKNKRGKKASAPAPEPLSPPAPAALSPADNQADVSGPAPSAFDLNGSNRQYGQWGFVLQTVMAALLLSLACPDQTDPSIHPCVCLAINLIISALDLVAS >ORUFI01G00720.2 pep chromosome:OR_W1943:1:499481:500417:-1 gene:ORUFI01G00720 transcript:ORUFI01G00720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRQWRRGLALAALLALHLALAAAQSPAAAPAQPTPTPVPTAPAKSPPAPATPAPTATPTPPVAPAKAPPVAPAVAPVTPPPPTPKKAPPPPVTPPPVTPPPVTPPPVSPPPATPPPALPPSTPPPVAAPAEAPAALPPATTPPPVAEAPAELPPAEAPTKSKNKHRKKNKRGKKASAPAPEPLSPPAPAALSPADNQADVSGPAPSAFDLNGSNRQYGQWGFVLQTVMAALLLSLACPDQTDPSIHPCVCLAINLIISALDLVVYEH >ORUFI01G00730.1 pep chromosome:OR_W1943:1:493722:500699:1 gene:ORUFI01G00730 transcript:ORUFI01G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLVPEWKHAFVDYSLLKKDLKRMQHDYSPQGTIITTSTPHDHHQQQQSVAAPSSYNLSHCRLLLHKLPAAFFGSNNADHAGAIQVRRRVGRGEVYETEVTPEMETTAATAAREFFARLDAQLNKVNHFYKAKEEEFLHRGHSLRKQMDILLDLKSRSSSSLSGHHRAAAGDDPSISSSSATSGAEDESTRYVTSATDTDESQHETAVMRDPEELSAEQGLEDSGSLSRQSLGRTVSSCQRKNLKINIPLTTPCRTISALTDLLRDDLVSQPKNKCDSDAGITFTTINKTKLRHAEKMIKGAFIELYKGLGYLTTYRNLNMMAFVKILKKFEKVSGKQVLSVYLRAVESSYFNSSGEALKLMDEVEDVFVRHFAAGNRRKAMKYLKPTQRKESHTVTFFIGLMTGCFVALFLGYCIMAHIAGMYTQRRDSIYMETVYPVFSMFSLMFLHLFMYGCNMVAWRKARINYSFIFEFAAGRELKYRDVFLVCTASMAVIVGVMFAHLSLAVRGFHAQAIPGFLLLGFLLLLFCPFNMVYRSTRFQFLRILRNIVFSPLYKVVMVDFFMADQLCSQVPMLRSLEYVACYYISGSYRTQEYGYCINTKHIRDLAYAVSFLPYYWRAMQCARRWFDESDTGHLVNLGKYVSAMLAAGAKVAYEKDRSLGSLSLLVIVSSSATMYQLYWDFVKDWGLLQPNSKNPWLRNDLILKSKSIYYLSMGLNLVLRLAWLQTVIHPNFGSLDSRVTSFFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKTVPLPFHEADEED >ORUFI01G00740.1 pep chromosome:OR_W1943:1:504703:508370:1 gene:ORUFI01G00740 transcript:ORUFI01G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHSDSDLLKPRDVCIVGVARTPIGALLGSLSSLPATKLGSVAIQAALRRANVEPALVQEVFMGNVLSANLGQAPARQAALGAGLPDTVPCTTVNKVCSSGMKAVMLAAQTIQLGMHDVVVAGGMESMSNAPKYVAAARRGSRFGHDVLIDGMLKDGLWDVYNDFPMGMCAELCADQHSISREEQDLYAIQSNERAIAARDSGTFSWEIAPVEISSGRGKPPLIVDKDESLAKFNSAKLRKLGPTFKKNGSVTAGNSSSISDGAAAIVLVSGQKAKSLGLQVIARIRGYADAAQAPELFTTTPALAIPKAVSNAGLQTSQIDYYEINEAFSVVALANQKLLGIPSGKLNLSGGGVSLGHPIGCSGARIIVTLLGILRHKNGKIGVAGVCNGGGGASALVVELMQPSLFTRSSL >ORUFI01G00750.1 pep chromosome:OR_W1943:1:509985:512468:-1 gene:ORUFI01G00750 transcript:ORUFI01G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSGSPTKPSPASPSPIAGDPIIPTPNNPSSPLATPSAPGSGTPVVTPSAPVSGPPSPGTAPATAADRSNKSLSPNTQDGSVSSSDGGMSSSAKAGIGVVVAILVLSLVGAAFWYKKKRRRATGYHAGFVMPSPASSPQVLGKTNYSAGSPDYKETMSEFSMGNCRFFTYEELHQITNGFAAKNLLGEGGFGSVYKGCLADGREVAVKKLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISGDQRLLVYDFVPNDTLHHHLHGRGMPVLEWSARVKIAAGSARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVADFGLARLAMDAVTHVTTRVMGTFGYLAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASKPLGDESLVEWARPLLTEAIETGNVGELIDSRLDKNFNEAEMFRMIEAAAACIRHSASRRPRMSQVVRVLDSLADVDLSNGIQPGKSEMFNVANTAEIRLFQRMAFGSQDFTTDFTQSSWDSRSRDVDASGSRPL >ORUFI01G00760.1 pep chromosome:OR_W1943:1:512572:513289:-1 gene:ORUFI01G00760 transcript:ORUFI01G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLISVFFFRWSRKPEFPLNSASNGVLTVSLPGHRNSRHRRRRAFHRDPNTRDAAQCHAGRPLHHSPGRVPSPSLRRHATPTARFPAALSATSSSGRSATAGHRATAACTRCVSTTNPVSHIAAAVATGFSAGATYTCYYPSKAVACAATPSGCITTSIVSG >ORUFI01G00770.1 pep chromosome:OR_W1943:1:517989:527667:-1 gene:ORUFI01G00770 transcript:ORUFI01G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTTDDIAEGISFQAFEDDCRLLGSLLHDVLLRELGPRFIHLLERITILAQSAVNMRSAGVEDTAVVVEKQLGAELAAMSLEDSLCLARAFSHHLNLMTIADTYHRVRKARNFADLSKSCDDTFAKLIQSGVSPEELYDTVCKQEVEIVLTAHPTQINRRTLQYKHLRIAHLLEFNERADLSLEDKEILIEDLVREITAIWQTDELRRHKPTPVDEARAGLHIVEQSLWKAIPHYLRRVSNALKKNTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSILSQWMAIDLYIRELDTLSFELSINRCSEKLANLANDILLKESASEDQKTNTWNQTGPQNNLKLQHSLALPAQLPSGADLPSCTECNDGESQIRMSKLPGNPKHKLALNITEKREDSPLPSPSHRPMGRTPSGGQLRKMFTESQIGRSSFRKLLEPSISERPGSTPYRVVLGDVKEKLMNTRRRLELLLEDLPCDRDTSEYYDTSDKLLEPLLLCYQSLQSCGSSVLADGRLADLIRRVATFGMVLMKLDVRQESGRHTETLDAITSYLDLGVYSEWDEQKKLDFLTRELKGKRPLVPPYIQVTADVQEVLDTFRVAAELGSDALGAYVISMASNASDVLAVELLQKDARLTVSGDLGRPCPGGTLRVVPLFETVNDLREAGPAIRKLLSIDWYRDHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNAFGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQTSVRQLEIYTTAVLLATLRPPQPPRDDKWRGVMEEISRVSCAQYRSTVYENPEFIKYFQEATPQAELGYLNIGSRPAKRRTTPGISNLRAIPWVFAWTQTRLVLPAWLGVGRGLQDACDKGHTHELRAMYEEWPFFQSTVDLIEMVVAKADAPMAKHYDDVLVHDAGRRTLGAELRQELARTENCVLAVSGHKKLSANNRSLRKLIDSRLTYLNPMNMLQVEVLRRLRQDDDNRKLRDALLITINGIAAGMRNTG >ORUFI01G00780.1 pep chromosome:OR_W1943:1:532471:534943:-1 gene:ORUFI01G00780 transcript:ORUFI01G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPQSRKLLQLQALSLLLFIIALHSRLHGCSGQGEAADGSASTAAAPMEEKEKRALYAAIEGFVGKGWNGSALYPDPCGWSPIQGVSCDLFNGLWYPTVMSIGPVLDNSLRCSADAKFSPQLFDLKRLKTLSFYSCFPATNPTPIPATSWDKLAGSLETLEFRTNPGLTGPIPASLGRLSSLQSLVFVENNLTGAVPAELGSLVRLRRLVLSGNGLSGQIPASLGNGHFAEQLLIMDVSNNSLTGSLPSSLGGLKGLLKMDLSNNLLQGSLPPELAGLGSLTLLDLRNNSFTGGLPSFLQGMASLQDLLLSNNPLGGSLGQLGWERLRGLATLDLSNLGLVGAIPESMAALTRLRFLALDHNRLTGDVPARLAELPNIGALYLNGNNLTGTLQFSPAFYQRMGRRFASWDNPGLCYSNAAVDAAHAPPGVTVCKVAGGVGDGRKPEASSSLMATSSASNLINGFCFFLWMVATSLL >ORUFI01G00790.1 pep chromosome:OR_W1943:1:541628:542110:1 gene:ORUFI01G00790 transcript:ORUFI01G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGFIATTCNKTHNAKCVAVLTANPDSADASTVSDLAGVALDLAVAAASDAGALINDRSSRYGGGAPEGEALRACSGAYFDAANDLDIDAHDSLGSGDYAAASRLVSGASGAADTCDAAFAAAKVSSVMVDVDQKMKDRCGVARDLINLLIKFPPSDN >ORUFI01G00800.1 pep chromosome:OR_W1943:1:542335:543352:-1 gene:ORUFI01G00800 transcript:ORUFI01G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQILHTSNPTLPTPPQPHNHHPPVPPPPKLGRRAALAIAAAPAILSATPAPSRAQEAAAAAAAPCIADLPVTAKAFLDVSIGGEPAGRITIGLFGDAAPAGASRFLSLVTGVGYRRKEFVKIVPGYVQHGGVVSYPAIPAVTERLAAEMGAVRAQCGGERSPHAAAGAVSIVVRDPSLPPPKPKLVARGGKLEVDQEQVGVVPNGTEFVITTGDAPELDASALVVGRVVDGMDVLGKIAAVPTVKDNTASPYFRVAKLIGDKRAVVAERGFNRPYTKILITNCGVIEQQQEQ >ORUFI01G00810.1 pep chromosome:OR_W1943:1:544472:549426:1 gene:ORUFI01G00810 transcript:ORUFI01G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPSSSTSSSAGPPAAAGSSGGGAAASPDSYIGSLISLTSKSEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGVQIPASTKVYEYILFRGSDIKDLQVKSSPPPPPPPQPAAPHNDPAIIQSHYSQPASASSSLPSAGSAVLPDLSSQAAQYGLQRPSFQSNIPLYQPGSVPWGSSAPPSAGNASGLSVPPMYWQGYYPPGGLPPHLQQPPLLQPGLSVPQGLQYAGLNPTLSSGPQKLSELQPPLLQPPGTTQGPSSGILPTTTAPSSANLLSPETSKPLLPNMGPLFTPPVPSVGATLPLASLPTSIAESSAMAPHNFSSLVSNKTADIPGSTLAYQSVSQAVSSTVASSSSAHMDMPVPLLASSGQLLQNAPSMLSSSQSMQTPLQMSSKDFKAVESKTRVVEPLLPDPPSRALPENNEPILPLPKQTPQKFFSSTSKTRNQSLLVCKHMLKHKLVIHSISVHILVEFIIARINFEIMIYGSSTMELVHTAITTTGAVEEVEAARSLTIVLQFLLSLVFAAPLAIFSQSVTNFTEEFDFMAMNEKFNKDEVWGHLGKKSHSRDKDGELGDDVFDEDLEDEETENPELAAKPVYVKDDFFDSLTSGTFGRGGQNGRSRFSEQRKLDTETFGDFPRHRQPYRGGGRGYRGGGRARGSYYGGRGYGSMGARGGQGNSYPHRGSY >ORUFI01G00820.1 pep chromosome:OR_W1943:1:550723:553471:1 gene:ORUFI01G00820 transcript:ORUFI01G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRVVVVVEDVGAARAALQWAVRNFIRAGDCITLLHVCPPARSRRRRRSLRLGGFQLALAFRELCNGIAEAKVEIVVREGEVGETVVATVNQLAATTLVVGLHDKSFLYRSTNPYERMRRVGCRVLGIRQHATARDGSFNAELTQIETINLHVPPPKIPFPMFTLPLGVLWRKRSKAKKRK >ORUFI01G00830.1 pep chromosome:OR_W1943:1:559686:561096:-1 gene:ORUFI01G00830 transcript:ORUFI01G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQASSKRGMLLPREAVLYDDEPSMPLEILGYHGNGVGGGGCVDADYYYSWSGSSSSSSSSVLSFDQAAVGGSGGGCARQLAFHPGGDDDDCAMWMDAAAGAMVENTSVVAGGGNNYCHRLQFHGGAAGFGLASPGSSVVDNGLEIHESNVSKPPPPAAKKRACPSGEARAAGKKQCRKGSKPNKAASASSPSPSPSPSPSPNKEQPQSAAAKVRRERISERLKVLQDLVPNGTKVDLVTMLEKAINYVKFLQLQVKVLATDEFWPAQGGKAPELSQVKDALDAILSSQHPNK >ORUFI01G00840.1 pep chromosome:OR_W1943:1:568228:571292:1 gene:ORUFI01G00840 transcript:ORUFI01G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVDPLVVGRVIGEVVDLFVPSISMTAAYGDRDISNGCLVRPSAADYPPLVRISGRRNDLYTLIMTDPDAPSPSDPSMREFLHWIVVNIPGGTDASKGEEMVEYMGPRPTVGIHRYVLVLYEQKARFVDGALMPPADRPNFNTRAFAAYHQLGLPTAVVHFNSQREPANRRR >ORUFI01G00850.1 pep chromosome:OR_W1943:1:576614:577141:-1 gene:ORUFI01G00850 transcript:ORUFI01G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAILLHLHSDETVPLLVVIVAAVAAVALVIVSLCTSSKHGKLWKQQQQRSGSSLPQLKAEESAGGGGGASRKQLLATLSGIGGKAAAMAKMVSWNRRSSRQGCSSDDDDEAGGGGLAVEEEEALWRKTIMMGDKCRPLQFSGHIAYDSDGNQLPATTISKEAANADAVNNIYV >ORUFI01G00860.1 pep chromosome:OR_W1943:1:579747:580166:-1 gene:ORUFI01G00860 transcript:ORUFI01G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTIHCKNTKKAENTNTTGIHASAAVLAWHAADARNPGSCGNMVVVVVGDGSAQGNGCVEIPAGVVGQNGNGEVVAGGDGIPDDGSMSATTRAAGHRDTTATAAAAASKIGRRDGIFALLLERICAKRRSELTNPPS >ORUFI01G00870.1 pep chromosome:OR_W1943:1:579814:580747:1 gene:ORUFI01G00870 transcript:ORUFI01G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRPILLAAAAAVAVVSLWPAARVVADIDPSSGMPSPPATTSPFPFCPTTPAGISTQPFPWAEPSPTTTTTMFPQDPGFLASAACHARTAALAWIPVLSAPLYNMGLKH >ORUFI01G00880.1 pep chromosome:OR_W1943:1:581869:582390:1 gene:ORUFI01G00880 transcript:ORUFI01G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWLQLPCSLLLVIMLVAATAAAADVVVRDDEKCAACGLPSPCGTTCTYASPPPPDVLPTPVYYPPPPPVYYPPPSPPPVAYPPPTTPSTNCPPPPYGGGGYNPTPSYNPTPGYNPTPSGWFTPPNMPSYLTPPGTLYPQDPGFRPNAAAGGAASWRAVVLAAAAVAGALAL >ORUFI01G00890.1 pep chromosome:OR_W1943:1:587747:588352:1 gene:ORUFI01G00890 transcript:ORUFI01G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAELTKGAPAITPSSPLLFFYLATTSLDYTTTSLSLSLAAMASYRLLVILVFSALVALAAGDTYPADCPYPCLLPPPTPVTTDCPPPPSTPSSGYSYPPPSSSSSNTPPSSSSYWNYPPPQGGGGGYIPYYQPPAGGGGGGGGFNYPAPPPPNPIVPWYPWYYRSPPSSPATAVTARGRSLLASVAVVTAAAAALITVF >ORUFI01G00900.1 pep chromosome:OR_W1943:1:593510:594640:-1 gene:ORUFI01G00900 transcript:ORUFI01G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLAAITNPSAAAAAAAVAANPIVLTPGAAPPPPTSSALPTQIPPSDWSLSPADPALATAASFLSTSLSSTSVSLPRFRSLLASFLTTLSNSLSLPAPSPNLPQAIRSVSPYFPAALASPVASRAASLAEYDVLLALAECGLLRHPPPSLLSSLSEADRPELVCAVVRQAADLRSSELLATLRCFLSPASDAAYDAMMSVKNRWKEAAVLAVNRCKEKGAGKKVDAMARQAALLLMMGYDGFTSPEVCLHYLFASENVDSVVFGAAVSELDGGEVVRLMKYLTKWIGKYQRFPEAQACPEAVGMPGLELCDIVPSFRVVAGALGLVFDQHFSHLALNAELKEDLKAAEMMVKQLATEAESAGPILDLLRRMQQDV >ORUFI01G00910.1 pep chromosome:OR_W1943:1:596632:599258:-1 gene:ORUFI01G00910 transcript:ORUFI01G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTKRGLSDACNVVGSQLDKVSDDVTSARKHLAGRIDRVDISLDETQEIIEGTRDEVTVIHGDLSAFQEDLQSVNLVVRSLESKLVSLEYTQVPAASVPPVIGSSELVVRRVSSLPQSTALPVLPTTTPAAEPSPRAEAPQEEQWGFVSRTSSCREGSGRFQEQRSVVTRTSSIREGSPESSNGASSSTGASTGTNTSTSRFGGLRLPGLGFLASSTR >ORUFI01G00920.1 pep chromosome:OR_W1943:1:601344:602309:-1 gene:ORUFI01G00920 transcript:ORUFI01G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDHAGKKVDVVVVGNVDGEHVGVEQARHDLHEEAAAAAAADHHATRGLAIGFLIREVMVEGLASFLVVFWSCVAALMQEMYGTLTFPMVCLVVAMTVAFVLSWLGPAHFNPAVTITFAAYRRFPVWPKLPLYVAAQLAGSLLACLSVNAVMRPRHDHFYGTAPVVVHGTRLPFLLEFLASAVLMIVIATVATDGTAGKTVGGIAIGAAVGGLGLVIGPVSGGSMNPARTLGPAIVLGRYDGVWIYVVAPVAGMLVGALCNRAVRLSHRIVAFLCGTSVGIAGSP >ORUFI01G00930.1 pep chromosome:OR_W1943:1:604307:607619:-1 gene:ORUFI01G00930 transcript:ORUFI01G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPTAAAAAAAAERPSEAAALRALVDRVRAGEVEAAREVRRLTRSSSRHRRKLAAAVEPLVAMLRSPAPDAGEAALLALLNLAVRDERNKTKIADAGALEPLLGYLQSSDLNLQEYATAALLTLSASSTTKPIISASGAIPLLVKVLKEGNSQAKNDSVMALYNLSTVTDNLQTILSVQPIPSLIELLKGGKRSSKTADKCCALLESLLSFDQGRAALISEEGGVLTIVEVLEEGSLQGREHAVGALLTMCESDRNKYRDIILNEGAIPGLLELTVHGTPKSRVKAHVLLDLLRNSPYSRSKLPADTLENIVSNIASQIDGEDRGGKAKKMLAEMVKVIGTFCNGSRHSGNNEFAGDAGPGLDRTE >ORUFI01G00940.1 pep chromosome:OR_W1943:1:622508:622738:1 gene:ORUFI01G00940 transcript:ORUFI01G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKKKPTAAAAALILILCAVMQAQVEARSMKRAPPPPAAHRAVNEDGTLPPAASPSPPPPLAAADAPPAGVYILS >ORUFI01G00950.1 pep chromosome:OR_W1943:1:627737:632069:1 gene:ORUFI01G00950 transcript:ORUFI01G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGAANWVVGMVLNKLSDELMAGYMASRELGLNMDQIKRDLNYMLALLQAAEGRDIADNLADLCNKADEAEDVLDELHYFVIRDELDGTREATPDLGDGLGAQLQHARHAARNTAAGNYWLSCFSSCCRSQSQQDDTVTGNNRNSSMAISKTEEHDQSGANGHIQESLFDRVAMSKKIKSLIQDVHSLCPPISDLLQKCSPCVPPSMERPNTSSVITQNKLYGRDAIFDQTMEQLLKGGAMHHTQNYIMSVLPIVGPGGVGKTTFAQHLYNDHRTKQHFTVMIWVCVSTTFDVKELTTKILNSLNATESQGTNIRESSLDQLHKSIQDKLKSKRFLIVFDDIWEHDFSKAASTKRFSKTEWEKLLAPFGTGETNGNMVLVTTRFPKVAETVKKGANQVDLHGLEPDXHNSVPKETVKKGANQVDLHGLEPDEFWDFFQLCAFSETQDDNDKEKLFDIGKQIAKKLKCSPLAAKTVGPLLRKKPTRKHWMEILEKEEWLKQKDGDDSIITALKISYDYLPFYLKKCFSYLALFPEDCEFDSLEISCYWDSIGIINSSGKNDTIEGIGSQYLNELYDNGFLMKGDDNHYVMHDLLHELSQIVSSRECANINYSSFRADDILPSIRHLSITIQDKYTESFKEEMEKIKKRVDIRNLRSVMIFGSYRSRRIANVVRDTLNEIRALRVLFIFMNSPHSLPDNFSKLVHLRYLKIGSPWGFKVCIPSTVSKLYHLKFLDLKSWGGSNNNLPNDFNRLINLRHFLAKKEFHSNVPEVGKMKCLQELKEFHVKKDKIGFELAQLGQLEQLRGELCIFGLKNATREEAIEAKLKHKSNLSKLRLDRGGNREKNTSSSSSRAQVVSNENQDDDIILDSLQPHSNLTELSIVNLGGGMAPSWLGSNIIHLDTLHLDGVPWATLAPFGKIQYLRELKLRNIVGMYQFGPDFPGGTTHTSFRHLKKIVFEAMPDFVKWVGGDDNSHSFFSGLERLECISCPKLNELPLSSCSSSSCTMWFPKLRRLNITRCLELSVPLVPHTSTLTYVRVNDSVRGFNTSKKLTLDGYNGALAFQNLGNLEEIYIGDVHNMSLIDFQQLRSLRRLTVTLCRDTFLRGLDEHVVVVFNSVRVLNLSGFLLTRKMLSNLFRCFPALYVLSMSPSKESHEEVKLQIPSSCSLKTIRLFKCKNLILLPLDDGQGLVNLTSLRNLHIDDCGKIFSQWYMGKPAQTTSNPFPSSLLELSICRESRIHSMALLSNLTALTSLQLIDCCNVTMDGFNPLITSNLNKLCISSCGSAPADLLAEMARTKTTMPQVAFQLKDLVVDSISAVLTAPICSFLAPTLHELGIKDDVDRVSSFSDEQEGALELLVSLKKLSFDGLWVLQSLPEGLHKFPSLTELSISHCPQIQSLPKNGLPTSLETFSVFICSSALEEESKRFTEEKERYYSESDD >ORUFI01G00960.1 pep chromosome:OR_W1943:1:636544:644467:-1 gene:ORUFI01G00960 transcript:ORUFI01G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVHRSAAASSRKCSSFSLLLIEKVPFFDAVIFRRRRHSHPSGDEISHHLKLAQSPVPAGQPVSCATTGSLSVSAFFRESMGPSGREGSGEEVNLEVANMGEAASTEEGDADAIKEDEAASTEEGDADATKEGEASTAEVEMKDPKIHTRSSPNSVILAAQELSSDARNVVITAGFEQLLYMSLEGFGNREILRFLMNNTHTEQTSEGVEIKIEGSKLPPITENVVAHVVGVPEGSGESLEFEQDTKKQGEIKKAVHNLLKLPDSVDDCEPIADEKKRDRKHKTIMNGKIGVDYLRDVMEEAKETKDVELEVKSDCGFYMLLYMERFGRMKIDDINENDVLMYRKKLVKDIYENPNNNPKDVSDEVIAQDEYHILDDTICLSPPPSDLRNSPPRPNLTVSPMSHEDGRNDGPLPPSSPGTSDGNKNLEDAQPQEEADRSSVGIAENAEAGNQANHARTSDGNQKLDDAQAQGKANRSSEGSSLDTRTDNERSRTSDDEAGGNEVDEGEKGVPSSPPRREQNPSHALDKDIPNDSVFAAMYFLEHFIGVAGVLNKLTWDEKHKENTADVPDGILALFE >ORUFI01G00970.1 pep chromosome:OR_W1943:1:644799:663806:1 gene:ORUFI01G00970 transcript:ORUFI01G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGALFLPGIFQSLSILAVLGVLVTKSGAADFQEQPACVPFSCGHLEGIRYPFRLQGDPLGCGDEAYELVCRDGRAIIHINTGKYFVKDISYNESRFWVVDANLDNSSCPLPLWNNLPYFNDMGTKLYTSAVRWATFLNCSRAINNGMYMPVACLSGNTSFVYVLTTSSSYYVQNIEPSCGYLAVIPVDDRTKNVPDYASYADVVKFMRNGFPVSFPRVESPSHSPVIKACARDTFQNFKEQMYSRNIQNWTSAIIGTELQFLGCVINYYSSATQVWVTLVLVFAVEIVKCIIVLCRFILAPLTVLTFLGYKYRKTRISIDAVEKFLRMQQAHGPKRYAYTEITAITGHFREKLGQGGYGSVYKGFLPGDGHVAIKMLSNSMCNGEEFISEVSTISRIHHVNVVRLVGFCSEELRRALVYEYMPCGSLDKYIFSPEKSLSWDKLNEIALGIARGIDYLHHGCDMQIMHFDIKPHNILLDSNFTPKVADFGLAKLYPRDDSLVPVSAARGTIGYIAPEMISRSFGTISCKADVYSFGMLLLDIAGGRRNREQHTSNSAHLYYPALVYDCLTQQEVSEISEDIGIHWVERKLCIVGFWCIQMKPAERPSMSEVPPRPFFGVDDHISEMDDCCNSSSKSMSTCCTHHLLPLLLLAAIVATTTTNHAAAAATLNITNLCPFTVWPAAVPVGGGRRLDPGTSWALDVPAGAAPGRVWARTGCTFDATGANGTCLTGDCGGALSCTGYGEPPQTLAEFSLGQADGQDLFDISLVDGFNVPMDFLPAPPPDQSPPCSKGPRCPANVTAQCPGELRAHGGCNSACRVFKQDKYCCTGNGTNTCEPTTYSLPFVRMCPDAYSYSRNDASSPGFTCPSGTNYQIIFCPPIDLTSSSPASIAVAANNRQGKKVIAGIIIASVIGSTSVLTMVMAYTSIKRRTRRRREIHEEEQELEEITLQGMPRRFTFQQLQEATDQFRDKLGEGGFGSVFLGQIGGERVAVKRLDRSGQGMREFLAEVQTIGSIHHINLVRLIGFCAEKSQRLLVYEHMPKGSLDRWIYHQQGVAIVPSVPPLDWQTRHKIITQVAKGLSYLHEECTKRIAHLDVKPQNILLDDKFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGIVVMEIISGRKNVDTSRSEQSIHLITLLQEKVKSDQLVDLIDKHNNDMQVHEQEVIEMMKLAMWCLQIDCKRRAQMSEVVKALEGTISIETNIVHDFVALWAQLHLLPQIYPDPEHLNIVSVELALLANLILCTKMSEVVVTALLLSLINHGAYTAMASAWEDKDFFRHCPVSRCRRDGPEIRFPHRLESSNTSSACGASCAKLACSGTDTILHHPFLGPCKVTAIDYKLAAIEIIPSVDFLSSCPLQKLIFNNLPEPEYRYSFCSLQSYQSGRILHCSKEITPTAYMSNFYEDETNITDYVVGPISCLSDPSYFSYLVSTWLNIYSLPLDCKAVSKDVIPIPEGSHLADGGPTFKQQAEMIISFSKTILSWFGGDTDMNCTLCESQGQRCAFSSQRNQTFCMRYEPHGSNVKVIAATSSVAAFVILSLVVVTVLYLSLKLRYNEEIHKKVEMFLRTYGASKPTRYTFSEVKKIARRFKEIVGQGGFGTVYKGELPNGVPVAVKMLENPTGDGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPKESLEKYIFLHDPNTPQELLHCKKEWSTCNQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTRARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRSWDPSIENQNEVYFPEWIYEKVITGQDFILSREMTEEEKQMVRQLALVALWCIQWNPRNRPSMTKVVNMITGRLQNIQVPPKPFVSYESHPMP >ORUFI01G00970.2 pep chromosome:OR_W1943:1:644799:663806:1 gene:ORUFI01G00970 transcript:ORUFI01G00970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGALFLPGIFQSLSILAVLGVLVTKSGAADFQEQPACVPFSCGHLEGIRYPFRLQGDPLGCGDEAYELVCRDGRAIIHINTGKYFVKDISYNESRFWVVDANLDNSSCPLPLWNNLPYFNDMGTKLYTSAVRWATFLNCSRAINNGMYMPVACLSGNTSFVYVLTTSSSYYVQNIEPSCGYLAVIPVDDRTKNVPDYASYADVVKFMRNGFPVSFPRVESPSHSPVIKACARDTFQNFKEQMYSRNIQNWTSAIIGTELQFLGCVINYYSSATQVWVTLVLVFAVEIVKCIIVLCRFILAPLTVLTFLGYKYRKTRISIDAVEKFLRMQQAHGPKRYAYTEITAITGHFREKLGQGGYGSVYKGFLPGDGHVAIKMLSNSMCNGEEFISEVSTISRIHHVNVVRLVGFCSEELRRALVYEYMPCGSLDKYIFSPEKSLSWDKLNEIALGIARGIDYLHHGCDMQIMHFDIKPHNILLDSNFTPKVADFGLAKLYPRDDSLVPVSAARGTIGYIAPEMISRSFGTISCKADVYSFGMLLLDIAGGRRNREQHTSNSAHLYYPALVYDCLTQQEVSEISEDIGIHWVERKLCIVGFWCIQMKPAERPSMSEVVEMLESDDPDNLQVPPRPFFGVDDHISEMDDCCNSSSKSMSTCCTHHLLPLLLLAAIVATTTTNHAAAAATLNITNLCPFTVWPAAVPVGGGRRLDPGTSWALDVPAGAAPGRVWARTGCTFDATGANGTCLTGDCGGALSCTGYGEPPQTLAEFSLGQADGQDLFDISLVDGFNVPMDFLPAPPPDQSPPCSKGPRCPANVTAQCPGELRAHGGCNSACRVFKQDKYCCTGNGTNTCEPTTYSLPFVRMCPDAYSYSRNDASSPGFTCPSGTNYQIIFCPPIDLTSSSPASIAVAANNRQGKKVIAGIIIASVIGSTSVLTMVMAYTSIKRRTRRRREIHEEEQELEEITLQGMPRRFTFQQLQEATDQFRDKLGEGGFGSVFLGQIGGERVAVKRLDRSGQGMREFLAEVQTIGSIHHINLVRLIGFCAEKSQRLLVYEHMPKGSLDRWIYHQQGVAIVPSVPPLDWQTRHKIITQVAKGLSYLHEECTKRIAHLDVKPQNILLDDKFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGIVVMEIISGRKNVDTSRSEQSIHLITLLQEKVKSDQLVDLIDKHNNDMQVHEQEVIEMMKLAMWCLQIDCKRRAQMSEVVKALEGTISIETNIVHDFVALWAQLHLLPQIYPDPEHLNIVSVELALLANLILCTKMSEVVVTALLLSLINHGAYTAMASAWEDKDFFRHCPVSRCRRDGPEIRFPHRLESSNTSSACGASCAKLACSGTDTILHHPFLGPCKVTAIDYKLAAIEIIPSVDFLSSCPLQKLIFNNLPEPEYRYSFCSLQSYQSGRILHCSKEITPTAYMSNFYEDETNITDYVVGPISCLSDPSYFSYLVSTWLNIYSLPLDCKAVSKDVIPIPEGSHLADGGPTFKQQAEMIISFSKTILSWFGGDTDMNCTLCESQGQRCAFSSQRNQTFCMRYEPHGSNVKVIAATSSVAAFVILSLVVVTVLYLSLKLRYNEEIHKKVEMFLRTYGASKPTRYTFSEVKKIARRFKEIVGQGGFGTVYKGELPNGVPVAVKMLENPTGDGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPKESLEKYIFLHDPNTPQELLHCKKEWSTCNQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTRARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRSWDPSIENQNEVYFPEWIYEKVITGQDFILSREMTEEEKQMVRQLALVALWCIQWNPRNRPSMTKVVNMITGRLQNIQVPPKPFVSYESHPMP >ORUFI01G00970.3 pep chromosome:OR_W1943:1:644799:663806:1 gene:ORUFI01G00970 transcript:ORUFI01G00970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGALFLPGIFQSLSILAVLGVLVTKSGAADFQEQPACVPFSCGHLEGIRYPFRLQGDPLGCGDEAYELVCRDGRAIIHINTGKYFVKDISYNESRFWVVDANLDNSSCPLPLWNNLPYFNDMGTKLYTSAVRWATFLNCSRAINNGMYMPVACLSGNTSFVYVLTTSSSYYVQNIEPSCGYLAVIPVDDRTKNVPDYASYADVVKFMRNGFPVSFPRVESPSHSPVIKACARDTFQNFKEQMYSRNIQNWTSAIIGTELQFLGCVINYYSSATQVWVTLVLVFAVEIVKCIIVLCRFILAPLTVLTFLGYKYRKTRISIDAVEKFLRMQQAHGPKRYAYTEITAITGHFREKLGQGGYGSVYKGFLPGDGHVAIKMLSNSMCNGEEFISEVSTISRIHHVNVVRLVGFCSEELRRALVYEYMPCGSLDKYIFSPEKSLSWDKLNEIALGIARGIDYLHHGCDMQIMHFDIKPHNILLDSNFTPKVADFGLAKLYPRDDSLVPVSAARGTIGYIAPEMISRSFGTISCKADVYSFGMLLLDIAGGRRNREQHTSNSAHLYYPALVYDCLTQQEVSEISEDIGIHWVERKLCIVGFWCIQMKPAERPSMSEVVEMLESDDPDNLQVPPRPFFGVDDHISEMDDCCNSSSKSMSTCCTHHLLPLLLLAAIVATTTTNHAAAAATLNITNLCPFTVWPAAVPVGGGRRLDPGTSWALDVPAGAAPGRVWARTGCTFDATGANGTCLTGDCGGALSCTGYGEPPQTLAEFSLGQADGQDLFDISLVDGFNVPMDFLPAPPPDQSPPCSKGPRCPANVTAQCPGELRAHGGCNSACRVFKQDKYCCTGNGTNTCEPTTYSLPFVRMCPDAYSYSRNDASSPGFTCPSGTNYQIIFCPPIDLTSSSPASIAVAANNRQGKKVIAGIIIASVIGSTSVLTMVMAYTSIKRRTRRRREIHEEEQELEEITLQGMPRRFTFQQLQEATDQFRDKLGEGGFGSVFLGQIGGERVAVKRLDRSGQGMREFLAEVQTIGSIHHINLVRLIGFCAEKSQRLLVYEHMPKGSLDRWIYHQQGVAIVPSVPPLDWQTRHKIITQVAKGLSYLHEECTKRIAHLDVKPQNILLDDKFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGIVVMEIISGRKNVDTSRSEQSIHLITLLQEKVKSDQLVDLIDKHNNDMQVHEQEVIEMMKLAMWCLQIDCKRRAQMSEVVKALEGTISIETNIVHDFVALWAQLHLLPQIYPDPEHLNIVSVELALLANLILCTKMSEVVVTALLLSLINHGAYTAMASAWEDKDFFRHCPVSRCRRDGPEIRFPHRLESSNTSSACGASCAKLACSGTDTILHHPFLGPCKVTAIDYKLAAIEIIPSVDFLSSCPLQKLIFNNLPEPEYRYSFCSLQSYQSGRILHCSKEITPTAYMSNFYEDETNITDYVVGPISCLSDPSYFSYLVSTWLNIYSLPLDCKAVSKDVIPIPEGSHLADGGPTFKQQAEMIISFSKTILSWFGGDTDMNCTLCESQGQRCAFSSQRNQTFCMRYEPHGSNVKVIAATSSVAAFVILSLVVVTVLYLSLKLRYNEEIHKKVEMFLRTYGASKPTRYTFSEVKKIARRFKEIVGQGGFGTVYKGELPNGVPVAVKMLENPTGDGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPKESLEKYIFLHDPNTPQELLHCKKEWSTCNQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTRARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRSWDPSIENQNEVYFPEWIYEKVITGQDFILSREMTEEEKQMVRQLALVALWCIQWNPRNRPSMTKVVNMITGRLQNIQVPPKPFVSYESHPMP >ORUFI01G00970.4 pep chromosome:OR_W1943:1:644803:663806:1 gene:ORUFI01G00970 transcript:ORUFI01G00970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGALFLPGIFQSLSILAVLGVLVTKSGAADFQEQPACVPFSCGHLEGIRYPFRLQGDPLGCGDEAYELVCRDGRAIIHINTGKYFVKDISYNESRFWVVDANLDNSSCPLPLWNNLPYFNDMGTKLYTSAVRWATFLNCSRAINNGMYMPVACLSGNTSFVYVLTTSSSYYVQNIEPSCGYLAVIPVDDRTKNVPDYASYADVVKFMRNGFPVSFPRVESPSHSPVIKACARDTFQNFKEQMYSRNIQNWTSAIIGTELQFLGCVINYYSSATQVWVTLVLVFAVEIVKCIIVLCRFILAPLTVLTFLGYKYRKTRISIDAVEKFLRMQQAHGPKRYAYTEITAITGHFREKLGQGGYGSVYKGFLPGDGHVAIKMLSNSMCNGEEFISEVSTISRIHHVNVVRLVGFCSEELRRALVYEYMPCGSLDKYIFSPEKSLSWDKLNEIALGIARGIDYLHHGCDMQIMHFDIKPHNILLDSNFTPKVADFGLAKLYPRDDSLVPVSAARGTIGYIAPEMISRSFGTISCKADVYSFGMLLLDIAGGRRNREQHTSNSAHLYYPALVYDCLTQQEVSEISEDIGIHWVERKLCIVGFWCIQMKPAERPSMSEVVEMLESDDPDNLQVPPRPFFGVDDHISEMDDCCNSSSKSMSTCCTHHLLPLLLLAAIVATTTTNHAAAAATLNITNLCPFTVWPAAVPVGGGRRLDPGTSWALDVPAGAAPGRVWARTGCTFDATGANGTCLTGDCGGALSCTGYGEPPQTLAEFSLGQADGQDLFDISLVDGFNVPMDFLPAPPPDQSPPCSKGPRCPANVTAQCPGELRAHGGCNSACRVFKQDKYCCTGNGTNTCEPTTYSLPFVRMCPDAYSYSRNDASSPGFTCPSGTNYQIIFCPPIDLTSSSPASIAVAANNRQGKKVIAGIIIASVIGSTSVLTMVMAYTSIKRRTRRRREIHEEEQELEEITLQGMPRRFTFQQLQEATDQFRDKLGEGGFGSVFLGQIGGERVAVKRLDRSGQGMREFLAEVQTIGSIHHINLVRLIGFCAEKSQRLLVYEHMPKGSLDRWIYHQQGVAIVPSVPPLDWQTRHKIITQVAKGLSYLHEECTKRIAHLDVKPQNILLDDKFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGIVVMEIISGRKNVDTSRSEQSIHLITLLQEKVKSDQLVDLIDKHNNDMQVHEQEVIEMMKLAMWCLQIDCKRRAQMSEVVKALEGTISIETNIVHDFVALWAQLHLLPQIYPDPEHLNIVSVELALLANLILCTKMSEVVVTALLLSLINHGAYTAMASAWEDKDFFRHCPVSRCRRDGPEIRFPHRLESSNTSSACGASCAKLACSGTDTILHHPFLGPCKVTAIDYKLAAIEIIPSVDFLSSCPLQKLIFNNLPEPEYRYSFCSLQSYQSGRILHCSKEITPTAYMSNFYEDETNITDYVVGPISCLSDPSYFSYLVSTWLNIYSLPLDCKAVSKDVIPIPEGSHLADGGPTFKQQAEMIISFSKTILSWFGGDTDMNCTLCESQGQRCAFSSQRNQTFCMRYEPHGSNVKVIAATSSVAAFVILSLVVVTVLYLSLKLRYNEEIHKKVEMFLRTYGASKPTRYTFSEVKKIARRFKEIVGQGGFGTVYKGELPNGVPVAVKMLENPTGDGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPKESLEKYIFLHDPNTPQELLHCKKEWSTCNQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTRARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRSWDPSIENQNEVYFPEWIYEKVITGQDFILSREMTEEEKQMVRQLALVALWCIQWNPRNRPSMTKVVNMITGRLQNIQVPPKPFVSYESHPMP >ORUFI01G00970.5 pep chromosome:OR_W1943:1:646024:663806:1 gene:ORUFI01G00970 transcript:ORUFI01G00970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGALFLPGIFQSLSILAVLGVLVTKSGAADFQEQPACVPFSCGHLEGIRYPFRLQGDPLGCGDEAYELVCRDGRAIIHINTGKYFVKDISYNESRFWVVDANLDNSSCPLPLWNNLPYFNDMGTKLYTSAVRWATFLNCSRAINNGMYMPVACLSGNTSFVYVLTTSSSYYVQNIEPSCGYLAVIPVDDRTKNVPDYASYADVVKFMRNGFPVSFPRVESPSHSPVIKACARDTFQNFKEQMYSRNIQNWTSAIIGTELQFLGCVINYYSSATQVWVTLVLVFAVEIVKCIIVLCRFILAPLTVLTFLGYKYRKTRISIDAVEKFLRMQQAHGPKRYAYTEITAITGHFREKLGQGGYGSVYKGFLPGDGHVAIKMLSNSMCNGEEFISEVSTISRIHHVNVVRLVGFCSEELRRALVYEYMPCGSLDKYIFSPEKSLSWDKLNEIALGIARGIDYLHHGCDMQIMHFDIKPHNILLDSNFTPKVADFGLAKLYPRDDSLVPVSAARGTIGYIAPEMISRSFGTISCKADVYSFGMLLLDIAGGRRNREQHTSNSAHLYYPALVYDCLTQQEVSEISEDIGIHWVERKLCIVGFWCIQMKPAERPSMSEVVEMLESDDPDNLQVPPRPFFGVDDHISEMDDCCNSSSKSMSTCCTHHLLPLLLLAAIVATTTTNHAAAAATLNITNLCPFTVWPAAVPVGGGRRLDPGTSWALDVPAGAAPGRVWARTGCTFDATGANGTCLTGDCGGALSCTGYGEPPQTLAEFSLGQADGQDLFDISLVDGFNVPMDFLPAPPPDQSPPCSKGPRCPANVTAQCPGELRAHGGCNSACRVFKQDKYCCTGNGTNTCEPTTYSLPFVRMCPDAYSYSRNDASSPGFTCPSGTNYQIIFCPPIDLTSSSPASIAVAANNRQGKKVIAGIIIASVIGSTSVLTMVMAYTSIKRRTRRRREIHEEEQELEEITLQGMPRRFTFQQLQEATDQFRDKLGEGGFGSVFLGQIGGERVAVKRLDRSGQGMREFLAEVQTIGSIHHINLVRLIGFCAEKSQRLLVYEHMPKGSLDRWIYHQQGVAIVPSVPPLDWQTRHKIITQVAKGLSYLHEECTKRIAHLDVKPQNILLDDKFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGIVVMEIISGRKNVDTSRSEQSIHLITLLQEKVKSDQLVDLIDKHNNDMQVHEQEVIEMMKLAMWCLQIDCKRRAQMSEVVKALEGTISIETNIVHDFVALWAQLHLLPQIYPDPEHLNIVSVELALLANLILCTKMSEVVVTALLLSLINHGAYTAMASAWEDKDFFRHCPVSRCRRDGPEIRFPHRLESSNTSSACGASCAKLACSGTDTILHHPFLGPCKVTAIDYKLAAIEIIPSVDFLSSCPLQKLIFNNLPEPEYRYSFCSLQSYQSGRILHCSKEITPTAYMSNFYEDETNITDYVVGPISCLSDPSYFSYLVSTWLNIYSLPLDCKAVSKDVIPIPEGSHLADGGPTFKQQAEMIISFSKTILSWFGGDTDMNCTLCESQGQRCAFSSQRNQTFCMRYEPHGSNVKVIAATSSVAAFVILSLVVVTVLYLSLKLRYNEEIHKKVEMFLRTYGASKPTRYTFSEVKKIARRFKEIVGQGGFGTVYKGELPNGVPVAVKMLENPTGDGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPKESLEKYIFLHDPNTPQELLHCKKEWSTCNQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTRARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRSWDPSIENQNEVYFPEWIYEKVITGQDFILSREMTEEEKQMVRQLALVALWCIQWNPRNRPSMTKVVNMITGRLQNIQVPPKPFVSYESHPMP >ORUFI01G00980.1 pep chromosome:OR_W1943:1:645927:648312:-1 gene:ORUFI01G00980 transcript:ORUFI01G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESDEADFFRNCPPSRCSSDGPDIKFPFRLESSSSSCGAPGMQLSCSGQDTLLLHHVLGLSKVTGIDYIYGVINIVPLAESWSQCALQKIISANYSTSVYKQYGFQYASLVSCSEEFIWDSTDSIFGPISCLSNASQSLYLVAPYAFVSILPLYCKVVSTEIMIPYTSNQGRKDYNASASTEFNESAKRITTFSEITFTWSAPNITDVCIDCERQQRLCGFSSQRRTAFCKPHGSKSPAKVIIVAVSVPTIVVLTLVVASALYLSLKTKNDDEIQLKVEMFLKTYGTSKPTRYTFSEVKRITRRFKHKLGTGGFGSVYKGELSKGVPVAVKMLENSKGEGEEFINEVATIGRIHHVNVVRLLGFCSEGTRHALIYEFMPNNSLEKYIFSRDYISSQEVLVPDKMLKIALGIAQGIEYLHQGCSQRILHFDIKPHNILLDHSFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAVSYKSDVFSFGMLVLEMLSGKRNSDPSINSQNEVFVPEWIYETIVSAQESEFAKDMTQEEKEKLRKLAIVALWCVQWNPANRPSMRKVVNMLTGSLQNLKNPPRPFVSSLS >ORUFI01G00990.1 pep chromosome:OR_W1943:1:658278:660555:-1 gene:ORUFI01G00990 transcript:ORUFI01G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLIFAILAVLARDVQGRHMCQPFCCGNLQNIKHPFRQRGDSHRCGVSSYELDCSSSKATIQINKRKYYVTSINYTDSSFWVIDATMQDTNSSCPLPQSDHLPSFQGIRGPHYTRYLVLGGAWPACFVNCSQAVKNNSMYMQVDCLSTSSSFVYVLNTTSLDGYARFENLEPSCGYLAMIPVSGLDAMPTGTENYADFVNFMRKGFTVKFPVRLDHRNSFEVIEECLNQSIRGFREGPLFSSAHLKKRIVDIFFIDFTFWACIMGKMGRTSYYVETPIYMMKMLRGKRTFYAGFVLAPLGILIFLAQKYWKTRITIDAVEKFLRMQLMLGPTRYTYTDIIAMTNRFRDKLGQGGYGSVFKGVILPGDVYVAIKMLTNSCNGEEFISEVSTIGRIHHVNVVRLVGFCAEEMRALVYEYMPHGSLDKFIFSPEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLCPRDNSFVPVSAARGTIGYIAPEMISRSFGAISSKSDVYSFGMLLLEMAGGRRNSKQEMSNSSQSYYPSWVYNQLIQQDMGEISHGFNMHELEKKLCVVGLHCIQMKSQDRPTMSEAIEMLEGGVDDLQLPSRPFFCDDEPMPPVVDSYHFSSELTEIAEEDE >ORUFI01G01000.1 pep chromosome:OR_W1943:1:665670:667640:1 gene:ORUFI01G01000 transcript:ORUFI01G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSTISTSRRNHHLVTLLLLVAASTNYAAGATLNITNRCSFTVWPAAVPVGGGMRLDPGESWALDVPANSGAGRVWARTGCSFDANGNGSCQTGDCGGVLKCKNSGKPPQTLAEFTVDQTSVQDFFDISLTDGFNVPMDFLPVPAPEQRHGAPPCSKGPRCPANITSQCPSELKAPGGCNSACNVFKQDKYCCTGTTGTKTCEPTTFSLPFVRMCPDAYSYSLDDSSSTTFTCPSGTNYQIIFCPPTDLTSSSPVPPPAPIAIGPSGLDSSSKRGGRLVATIVVSVIGSTSVLTIIIASIIIIKRRIRRHQEMQEEEQEFEELPLQGMPRRFTFQQLQEATDQFRDKLGQGGFGSVFLGQIGGERVAVKRLDQSGQGMREFMAEVQTIGSIHHINLVRLIGFCAEKSQRLLVYEHMPKGSLDRWLYHQQGSPAPALDWWTRYKIITQVAKGLSYLHEECMMRIAHLDVKPQNILLDDNFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGIVVMEMISGRKNLDTSRSEQSIHLITLLQEKVKGDQLADLIDKHSNDMQVHRQEIIEMMKLAMWCLQIDCKRRPQMSEVVKVLEGTTSIETDIDHDFVATNPVSFGVAGIVDSDPPVASNLSGPR >ORUFI01G01010.1 pep chromosome:OR_W1943:1:666002:668342:-1 gene:ORUFI01G01010 transcript:ORUFI01G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTSGSYHYSRSAAVELACSKRTMIMVSTEVEPITETTIVATSLPPLLDEESKPEGPIAIGAGGGTGDEDALLQPPGALSSLGHCDVMLAGQRGPLLHGGAPCLCSGAGTGRKSMGTLKPSVREMSKKSCTLVWSTVNSASV >ORUFI01G01020.1 pep chromosome:OR_W1943:1:669601:678355:-1 gene:ORUFI01G01020 transcript:ORUFI01G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYIRLDVEAGSIPMALRHRVSLPAERIHRSSSPRLDPTLRRRGSVSTKASTSSLLLDHAVRRWKRRQISTPSLTLVEEMSRGGKGAILSPVDISRTFPILSAGEVIHKDCSDSKATIRINTGTYYVTSINYTTSVFWVVDASLKDTNSSCPLPRSDQLPFVSGGIQGSHGGWDLALDPGPGATWVSFVNCSQAVRNNSVYVPVDCLSTSSSFVYVFGSWIMPPSVFPIIGNLETSCRYLAMIPLGGWDSPLPHNASFSDIVRSMRNGFAVHFPIIHRWSRIGHIKDCLMGSIRGFHEEPLSNQTIKDQIVDMLFIDFSFWSCIIRGVGMKDYFDMPQYMMGMLRGKIEFYGGFIVQFALFVIAPLTLLTFLAFKYWKTRIKIDAVEKFLRMQLMLGPTRYAYTDIIAMTSHFRDKLGQGGYGSVFKGVILPGDVHVAIKMLANYNCNGEEFISEVSTIGSIHHVNVVRLVGYCAEEMRRALVYEYMPHGSLDRFIFSPDKSLSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLYPQDNSFVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNSKQDMSSSSQSYYPSWVYNQLVQQKMGEIANAFNMHELEKKLCVVGLHCIQMKPPDRPTMSEVIEMLEGDVDGLQLPSRPFFCDDEPLPLLVDSCRFSSELTEISEEDE >ORUFI01G01020.2 pep chromosome:OR_W1943:1:669601:678355:-1 gene:ORUFI01G01020 transcript:ORUFI01G01020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSIPMALRHRVSLPAERIHRSSSPRLDPTLRRRGSVSTKASTSSLLLDHAVRRWKRRQISTPSLTLVEEMSRGGKGAILSPVDISRTFPILSAGEVIHKDCSDSKATIRINTGTYYVTSINYTTSVFWVVDASLKDTNSSCPLPRSDQLPFVSGGIQGSHGGWDLALDPGPGATWVSFVNCSQAVRNNSVYVPVDCLSTSSSFVYVFGSWIMPPSVFPIIGNLETSCRYLAMIPLGGWDSPLPHNASFSDIVRSMRNGFAVHFPIIHRWSRIGHIKDCLMGSIRGFHEEPLSNQTIKDQIVDMLFIDFSFWSCIIRGVGMKDYFDMPQYMMGMLRGKIEFYGGFIVQFALFVIAPLTLLTFLAFKYWKTRIKIDAVEKFLRMQLMLGPTRYAYTDIIAMTSHFRDKLGQGGYGSVFKGVILPGDVHVAIKMLANYNCNGEEFISEVSTIGSIHHVNVVRLVGYCAEEMRRALVYEYMPHGSLDRFIFSPDKSLSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLYPQDNSFVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNSKQDMSSSSQSYYPSWVYNQLVQQKMGEIANAFNMHELEKKLCVVGLHCIQMKPPDRPTMSEVIEMLEGDVDGLQLPSRPFFCDDEPLPLLVDSCRFSSELTEISEEDE >ORUFI01G01020.3 pep chromosome:OR_W1943:1:669601:678815:-1 gene:ORUFI01G01020 transcript:ORUFI01G01020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MICSSIPMALRHRVSLPAERIHRSSSPRLDPTLRRRGSVSTKASTSSLLLDHAVRRWKRRQISTPSLTLVEEMSRGGKGAILSPVDISRTFPILSAGEVIHKDCSDSKATIRINTGTYYVTSINYTTSVFWVVDASLKDTNSSCPLPRSDQLPFVSGGIQGSHGGWDLALDPGPGATWVSFVNCSQAVRNNSVYVPVDCLSTSSSFVYVFGSWIMPPSVFPIIGNLETSCRYLAMIPLGGWDSPLPHNASFSDIVRSMRNGFAVHFPIIHRWSRIGHIKDCLMGSIRGFHEEPLSNQTIKDQIVDMLFIDFSFWSCIIRGVGMKDYFDMPQYMMGMLRGKIEFYGGFIVQFALFVIAPLTLLTFLAFKYWKTRIKIDAVEKFLRMQLMLGPTRYAYTDIIAMTSHFRDKLGQGGYGSVFKGVILPGDVHVAIKMLANYNCNGEEFISEVSTIGSIHHVNVVRLVGYCAEEMRRALVYEYMPHGSLDRFIFSPDKSLSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLYPQDNSFVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNSKQDMSSSSQSYYPSWVYNQLVQQKMGEIANAFNMHELEKKLCVVGLHCIQMKPPDRPTMSEVIEMLEGDVDGLQLPSRPFFCDDEPLPLLVDSCRFSSELTEISEEDE >ORUFI01G01030.1 pep chromosome:OR_W1943:1:672261:675303:1 gene:ORUFI01G01030 transcript:ORUFI01G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLATAFLLSVLSHGTYYIAMASSSWDDQDFFRHCPPSRCSDGGPEIRFPHRLQSSNTPSSCDSSHAKLICSGQDTILHHPFLGPCKVTAIDYKKAVMKIIPFGGSSSPCLLHKFNSTNLSADVNDQNQLYLTEPGRIVRCSKEFTTSRASMIDGYKTVIADKVVRLIPCLSDTTSHFSYLVSTWLYLYALPLDCMVVSKGNIPIPSPYAGLTFKQMAERIINSAEITLDLGLGSIPYNCVRCERQGQHCAFSSQRNQTFCMHHGSRVKVIAATSVAAFVAVSLVVATVLYLSLKQRYNEEVHLKVEMFLRTYGTSKPTRYTFSQVKKITRRFKEKVGQGMEYLQHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCPRDQSIVTMTKARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRSWDPSIKNQNEVYFPEWIYEKVITGQEFVLSREMTEEEKQMVRQLALVALWCIQWNPRNRPSMTKVVNMITGRLQNIQVPPKPFVSYESHPMP >ORUFI01G01040.1 pep chromosome:OR_W1943:1:678895:696859:-1 gene:ORUFI01G01040 transcript:ORUFI01G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVYHKVVIGMLSLGYVNVAVKVLENANCNGEEFISEVSTIGRIHHVNVVRLVGFCSEELRRALVYEYMPRGSLDKYIFSSKRSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDNSFVPLNALRGTIDAGGRRHHQIQLQPACPTFTCGAHLRNASYPFRRRGDPPECGVASYELTCTDDKAIIQIDNGTYLVRGINYTDATFSVVDANMLDSSNSCPLPRWNRTPYRRYGVVEDSSRNIVQELMPSYSTITAAFVTCSREVTNNGMYSPVACLSNSSSFVYVLTGWFANYIKSLEPSCGYLAMTPVASAWLHPNASYADVVIYVLCVLADLVPDAGGRRHHQIQIQPACPTFTCGAHLRNVSYPFRRRGDPPECGVASYELTCTDDKAIIQIDNGTYLVRGINHTDATFSVVDANMLDSSNSTCPLPRWNRIPYKRWYWVVEDSSRNIVQELMPSYSTITAAFVTCSREVTNNGMYSPVACLSNSSSFVYVLTGWFANYIKSLEPSCGYLAMTPLSIGGLPVRALNASYADVVKLMRGGFAIQFPYKIDRFDYCKLKDFMAQSFQKISKERSTSSRIYGTVILDYQFWTCVLYNPRARLSISVLFEGTTPIAMWFLKWIAVFCRFILAPLVVLTFLVHKYWKRRMIIDAVEKFLRMQLMIGPTRYAYTDITAITGHFREKLGQGGYGSVYKGVLLPGNVHVAVKVLGNSNCNGEEFISEVSTIGRIHHVNVVRLVGFCAEEMRRALVYEHMPNGSLDKFIFSHDMRFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDSNFIPKVADFGLAKLYPRDNSFVPVSAARGTIGYIAPEMISRSFGAISSKSDVYSFGMLLLEMVGGRRNSKQDMSSSSQAYYPSWVYNQLVQQELGEMVTAFNMHELEKKLCIVGLHCIQMKSHDRPTMSEVIEMLDGGADGLRLPSRPFFCDDEPMPHLVGSYHLSSGLTEISEEDEY >ORUFI01G01050.1 pep chromosome:OR_W1943:1:700083:714544:-1 gene:ORUFI01G01050 transcript:ORUFI01G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALSTVLCVLALVVADANHHVVHVQGRRHQCQPFSCGHLQNVSYPFRRRGDPRRCGVWAYELDCSDDDGKATIRINTGKYYLSSINYTASIFWVVDANLQDDANSSCPLPRSDQLPCLNGIRGSHDSWNLALANGILWVSFVNCSQELITNSSSKYQPVNCLTTTSSFVYYMLSYFGTSLVPSIKDIEPSCGYLAMTPIGDGRNIVNLSEYTSYADFVKSMRGGFAVKFPTYGGPWTTWYGLIKDCLNESVSLAGSDDKALPRSRVGIKGRIIDILSIDLRFWGCMIGISRRYYLDMRLSISDLIRGVDHSLYHKLFIIYTLCLVKWIAVLCRFVFAPLAVMIFLTRKYWKTRIAIDAVEKFLRMQDMLGLKRYAYTDIIAITSHFRDKLGQGGYGSVYKGVFLPGGVHVAIKMLGGNSNCNGEDFISEVATIGRIHHINVVRLVGFCSEEMRRALVYEYMPRGSLNKYIFSSERSFSWDKLNEIALGIARGINYLHKGCEMQILHFDIKPDNILLDDNFVPKVADFGXVADMHELERKLCLVGLWCIQMKSHDRPTMSEAIEMLEGDVDALPVPLRPFFCDGDGIGNGMPPPQVMDSYFHSSELTAISEEDDGIAEYISHTGSKYDTLRDRSLVLKGMESKYHNFIVVFSKDDLWTSSNDGIRNQEQGDPGWCLVQSMIIACQTGTGSKERIANIAIIDFYFWSCFLLGDRSHNNLIYTFVLAPLAVFIFLAHKYWRNKITIDAVEKFLQMQLTLGPTRRNGYLISGPCLLHRWDEQVLKKSSSSLAVATSDSYLRGESRRSTVIRRLVKSMQFNGDEMEVDDEKAVIAAFGVTSSGCIA >ORUFI01G01050.2 pep chromosome:OR_W1943:1:697193:714544:-1 gene:ORUFI01G01050 transcript:ORUFI01G01050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALSTVLCVLALVVADANHHVVHVQGRRHQCQPFSCGHLQNVSYPFRRRGDPRRCGVWAYELDCSDDDGKATIRINTGKYYLSSINYTASIFWVVDANLQDDANSSCPLPRSDQLPCLNGIRGSHDSWNLALANGILWVSFVNCSQELITNSSSKYQPVNCLTTTSSFVYYMLSYFGTSLVPSIKDIEPSCGYLAMTPIGDGRNIVNLSEYTSYADFVKSMRGGFAVKFPTYGGPWTTWYGLIKDCLNESVSLAGSDDKALPRSRVGIKGRIIDILSIDLRFWGCMIGISRRYYLDMRLSISDLIRGVDHSLYHKLFIIYTLCLVKWIAVLCRFVFAPLAVMIFLTRKYWKTRIAIDAVEKFLRMQDMLGLKRYAYTDIIAITSHFRDKLGQGGYGSVYKGVFLPGGVHVAIKMLGGNSNCNGEDFISEVATIGRIHHINVVRLVGFCSEEMRRALVYEYMPRGSLNKYIFSSERSFSWDKLNEIALGIARGINYLHKGCEMQILHFDIKPDNILLDDNFVPKVADFGXVADMHELERKLCLVGLWCIQMKSHDRPTMSEAIEMLEGDVDALPVPLRPFFCDGDGIGNGMPPPQVMDSYFHSSELTAISEEDDGIAEYISHTGSKYDTLRDRSLVLKGMESKYHNFIVVFSKDDLWTSSNDGIRNQEQGDPGWCLVQSMIIACQTGTGSKERIANIAIIDFYFWSCFLLGDRSHNNLIYTFVLAPLAVFIFLAHKYWRNKITIDAVEKFLQMQLTLGPTRYAYTDLTAITGHFGEKLGQGGYGSVYKGVLPGYVNVAVKVLGNSNCNGEEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSSKRSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDNSFVPLNALRGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNSDMRADNSSQAYYPSWVYDRLIEQQVGAGEISATTVANMHELERKLCIIGLHCIQMKSHDRPTMSEVIEMLEGGVVGLQMPPRPFFCDDESMSPMMDSYQFSSGLTEILEEDE >ORUFI01G01060.1 pep chromosome:OR_W1943:1:699421:720496:1 gene:ORUFI01G01060 transcript:ORUFI01G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLPPATCSIWNENPKHTQDINYSHDTSDDEDFFKTCSSQRCSKHGPEIRYPFRLSTQPPSCGAPGMQLSCSGQDTILDHPVLGSCKVTMIYYRHVIMNAIPLVDSLPHCPLQKLVSVNQSTAVYKPHTSEVASVVGCSRDSIDTNQYDIVGPTSCPSLANNASQFWVETRTYPSYTTLVRVSQSLQLNFFLFYPATSSVGTFIVLSLIVATALYISLKSRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKDKLGHGAFGTVYKGELPNGVPVAVKMLENSVGEGQEFINEVATIGRIHHANILNFSLFLLSNIICGHIYSSFINCGHCTLHLLEVKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAISYKSDVYSFGMLVLEMVRVSQSLQSRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKDKLGHGAFGTVYKGELPNGVPVAVKMLENSVGEGQEFINEVATIGRIHHANIRCSKHGPEIRYPFRLSTQPPSCGAPGMQLSCSGQDTILDHPVLGSCKVTMIYYRHVIMNAIPLVDSSPHCPLQKLMSVNQSTAVYKPHTSEVASLVGCSRDSIDTNQYSIVGPTSCLSIANNASQFWYLAYPYTYIVINGQDLVLTMETTQGEKEMVRQLAIVALWCIQWNPKDRPSMTKVVNMLMGRLQNLQVPPKPFISKFLTIYLLLAFLSHGTCSYMATTASGWDDHDFFRHCSPSWCSKDGPEIRFPHRLESSSSACGASCARLACSGQDTILHHPFLGPCKVTSIDYKEAVMNIIPLVYSSSPCPLQKLIFDNLQPYYYPRCYLYSWDPAKIVRCSKEFTPSDSGIGAYPPENAAVNIVGPISCLSGTGKYFSYLVHAQLYMYLLPLDCRIISRGSIPIPGPDYSDSGGPTFKERAERIINFAETTVSWYSDDKVTFNCTECERQKQHCAFSSQRNQTFCMSRKHHGSSVKVISATSSAAALVVLSLIVAAVLYISLKSRYDEEVHLKVEMFLRTYGTSKPTRYNFSDVKKIARRFKEQLGQGGFGSVYKGELPNGVPVAVKMIEHSTGDGEEFINEVATIGQIHHINIVRLLGFCSEGTRHILIYEFMPNESLEKYIFLHDPNTS >ORUFI01G01070.1 pep chromosome:OR_W1943:1:725748:726439:1 gene:ORUFI01G01070 transcript:ORUFI01G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEGSKASVAARLFLAAASLTRRNDEPGAGDEPHVDRPHADALHLGAGAALSVAATADSESSPAANLPARSWRDADGPEVGGGEGEHRGGVDHRGIGIGGGGEAREEASVDGGGGGGSERRSAVAGHGDVTEADAAQGHRPRRQRVGEEVPDEPVSFTSIAA >ORUFI01G01080.1 pep chromosome:OR_W1943:1:726477:736460:1 gene:ORUFI01G01080 transcript:ORUFI01G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMSLRPRGRRPREACGDDRLSALPGDLLLLVLRRLYTRTALATGMLSRRWAHLPHELPALDFRVSDILRRAITGDRCILRHRGVVGASPVASRRHRVARHRPPATPSSSPTLPRRRQQPADLCRCACYAFLLRSDKHKQAPSGNNRHY >ORUFI01G01090.1 pep chromosome:OR_W1943:1:741324:742391:-1 gene:ORUFI01G01090 transcript:ORUFI01G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLAHKYWKKRITIDAVDKFLRMQLMLGPTRYAYTDITAITGHFREKLGQGGYGSVYKEFINEVSTIGSIHHVNVVSLVGFCAEETRRALVYEYMPNGSLEKYIFSPEKSFSWDKLNEIALGIARGINYLHRGCEMQVLHFDIKPHNILLDSKFIPKVADFGLAKLYPRDNSFVPVSAARGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMVGGRRNSKQDMSSSSQAYYPSWVYNQLAQQELGEVVTSAFNMHELEKKLCIVGLHCIQMNSYDRPTMSEVIEMLEGDVDGLRLPSRPFFCDDVPMPHLVDSYHFFSELTEISEEDEH >ORUFI01G01100.1 pep chromosome:OR_W1943:1:741324:755306:-1 gene:ORUFI01G01100 transcript:ORUFI01G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPGAYAPDAVQALATGFLFVVASLVLDHVEGRHHECPSFSCGHLKDVSAPFRRAADPPGCGSKPYELVCSDTNATILIDNATYHVKEIDYDYRRFWVVDANIAGSTCPLPRWNHLDQYKRKVSGHRIEVELAPAAYNHANFVRCSREVKDNGIYRPVTCASSNYSFIYVLLSIDSENPGYIESLEPSCGYLAMTPLGDWSTTVPRNASYEDVKKFMREGFAIRFPRRYGTGSINECLMDSISELGIGIEPRSIPSPEGFLIAFGMWIVKCIAVLCRFVLVPLAILAFLAHKYWKTRLAIDAVEKFLQMQQVLGPTRYVYTDLTAVTSHFRDKLGQGGYGSVYKGVLLSGDVHVAVKMLNGTSTYDGEEFISEVSTIGRIHHVNVVRLVGFCSEELRRALVYEYMPQGSLDKYIFSSERSFSWDKLNEIAIGIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRNKSFVSDRALRGTVGYIAPEMVSRSFGVISSKCDVYSFGMLLLEMAGGRRNADPNTNPNASQSYYPSWVYGQLTGEQVGETSGAADMHELQKKLCLVGLWCIQMKSHDWPTMSETIEMLEGDVNALQVPPMPFFCDGDLMPNVMDSYLHSSELTAISEDVGAIEFARTKNWVIEDGIPTATETSAVLYCLESMAIIIPGSFHRSTALRVISVLFVLAVVVPDAGGRHQHHRHDCLPFTCGRLSNVSSPFRRRGDPSECGFTSYELTCTDDKATIQIYEGTYSVTGINYSDSTFWVVDANISDSPNTLPQRIVPPYDYWSNYNPEYWLRHTIHIELEPASSRWSAFVNCSQEINNNEKYRPVACLNNTSRSFVYVLIGSIYSCYIDNLEPSCGHLAITPLHGNDTRVLEENPSCEDVVKIMRGGFAVRFPYTIDAAYSFKECRAETFRHFREEPASSAGIKYTMADTATFDIRYMACVIRVARLPAAVKLFVLLTIIAMWLLKWIAVIFRFGGGGGGAPLVVMTFLAHKYWKKRITIDAVDKFLRMQLMLGPTRYAYTDITAITGHFREKLGQGGYGSVYKEFINEVSTIGSIHHVNVVSLVGFCAEETRRALVYEYMPNGSLEKYIFSPEKSFSWDKLNEIALGIARGINYLHRGCEMQVLHFDIKPHNILLDSKFIPKVADFGLAKLYPRDNSFVPVSAARGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMVGGRRNSKQDMSSSSQAYYPSWVYNQLAQQELGEVVTSAFNMHELEKKLCIVGLHCIQMNSYDRPTMSEVIEMLEGDVDGLRLPSRPFFCDDVPMPHLVDSYHFFSELTEISEEDEH >ORUFI01G01110.1 pep chromosome:OR_W1943:1:749603:800756:1 gene:ORUFI01G01110 transcript:ORUFI01G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQFHAFSFTSISSPLHCMDFTNLLITVLLLLSPLKYKSDVATASDDEDFFKTCSSNRCSKHGPEIRLYSLGTQVTLLVFANNVNMKVGVVALALKGIKHSASIIIKTSYFYGPRVTIIAATSSVGTFIVLSLIVATALYISLKSRYNEEIHLKVEMFLKTYGTSKPTRYTFSKVKKIARRFKDKLGHGAFGTVYKGELPNGVPVAVKMLENSVGEGQEFINEVATIGRIHHANIVRLLGFCSEGTRQALIYEFMPNESLEKYIFPHDPTIENQNEFYFPEWIYERVINGQDLVLNMETTQGEKETVRQLAIVAIHHANIVRLLGFCSDGTRWALIYEFMPNESLEKYIFQIDSNVSRQFLIPKKMLDISLGVARGMEYLHQGCNQRILHFDIKSCNILLDYSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAISYKSDVYSFGVLVLEMWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFISSENHLAIVRDQDE >ORUFI01G01110.2 pep chromosome:OR_W1943:1:766373:800756:1 gene:ORUFI01G01110 transcript:ORUFI01G01110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTNLLITVLLLLSPLKYKSDVATASDDEDFFKTCSSNRCSKHGPEIRYPFRLSTQSPSCGAPGMQLSCSGQDTILDHPVLGSCKVTMIYYRHVIMNAIPLVDFSPHCLLHKFISVNQSTAVYRPHTLKAASLVGCSRDSIDTNQYNSIVGPTSCLSLANNASQFWYLAYPYTYMFILPLGCTIVSKDIPMPYSYDKNGPNFDISIFTETAKRVISTVFANNVNMKVGVVALALKGIKHSASIIVCPRVTIIAATSSVGTFIVLSLIVATALYISLKSRYNEEIHLKVEMFLKTYGTSKPTRYTFSKVKKIARRFKDKLGHGAFGTVYKGELPNGVPVAVKMLENSVGEGQEFINEVATIGRIHHANIVRLLGFCSEGTRQALIYEFMPNESLEKYIFPHDPTIENQNEFYFPEWIYERVINGQDLVLNMETTQGEKETVRQLAIVAIHHANIVRLLGFCSDGTRWALIYEFMPNESLEKYIFQIDSNVSRQFLIPKKMLDISLGVARGMEYLHQGCNQRILHFDIKSCNILLDYSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAISYKSDVYSFGVLVLEMWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFISSENHLAIVRDQDE >ORUFI01G01110.3 pep chromosome:OR_W1943:1:766012:800756:1 gene:ORUFI01G01110 transcript:ORUFI01G01110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTNLLITVLLLLSPLKYKSDVATASDDEDFFKTCSSNRCSKHGPEIRYPFRLSTQSPSCGAPGMQLSCSGQDTILDHPVLGSCKVTMIYYRHVIMNAIPLVDFSPHCLLHKFISVNQSTAVYRPHTLKAASLVGCSRDSIDTNQYNSIVGPTSCLSLANNASQFWYLAYPYTYMFILPLGCTIVSKDIPMPYSYDKNGPNFDISIFTETAKRVISTGETVFTWYSSNVTSICQQCEHEGRRCGFSSQRDQAFCQHHSPRVTIIAATSSVGTFIVLSLIVATALYISLKSRYNEEIHLKVEMFLKTYGTSKPTRYTFSKVKKIARRFKDKLGHGAFGTVYKGELPNGVPVAVKMLENSVGEGQEFINEVATIGRIHHANIVRLLGFCSEGTRQALIYEFMPNESLEKYIFPHDPTIENQNEFYFPEWIYERVINGQDLVLNMETTQGEKETVRQLAIVAIHHANIVRLLGFCSDGTRWALIYEFMPNESLEKYIFQIDSNVSRQFLIPKKMLDISLGVARGMEYLHQGCNQRILHFDIKSCNILLDYSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAISYKSDVYSFGVLVLEMWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFISSENHLAIVRDQDE >ORUFI01G01110.4 pep chromosome:OR_W1943:1:745066:749724:1 gene:ORUFI01G01110 transcript:ORUFI01G01110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSCSGQDTILNHPVLGPCKVTEISYSYATMNVIPPVDSSPPCPLLKLMSKNQSTSVYKPQGPQSQGATLVVCSRDPIPANQYDIVGPISCLGHNNQFWYLADPYTYMSVLPLDCTVISKGIPMPYSYDKKGRINWDTSNFKETANRVINDGETVFTWYSSNITSICQQCEDEGRPCGFSSQSGQAFCRHHGLRVTLVAATSSVATFVILSLVVATAFYISLKSRYNKEIHLKVEMFLKTYGTSKPMRYTFSDVKKITRRFKNKLGHGGFGSVYKGELPNGVPVAVKMLENSLGEGEEFINEVATIGRIHHASIVRLLGFCSEGTRRALIYEFMPNESLEKYIFSNGSNISREFLVPEKMLDIALGVARGMEYLHQGCNQHILHFDIKPHNISLDYSFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRSFGAISYKSDVYSFGMLVLEMVSGRRNTDPTIENQNEFYFPEWIYERVINGQDLVLNMETTQGEKETVRQLAIVALWCIQWNPRNRPSMTKVVNMLTGRLQNLQVPPKPFISSENHLAT >ORUFI01G01120.1 pep chromosome:OR_W1943:1:755365:778384:-1 gene:ORUFI01G01120 transcript:ORUFI01G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGSLDKYIFSSERSFSWDKLNEIALGIARGIKYLHQGCDMQILHFDIKPHNILLDDNFFPKVADFGLAKLYPRDKSFVSDRALRGTVGYIAPEMVSRCFGVISSKSDVYSFGMLLLEMAGGRRNADPNTNSNASRAYYPAWVYDQLIADQQVDEISTVANMHELERKLCLVGLWCIQMKSHDRPTMSEAIEMLEGDVDANQEQGDPGWCLVQSMIIACQVRRMMEIVSKAGTVLVPDAGGRHHRHGCPPFTCGNLSSVSSPFRRRGDPSECGVLSYELNCADDKATIQIDNGTYYVTGINYTDSTFLIVDADISDSPSSCPLPRWNPLRKPSFQRKSHRTIELAPAIWTRIASFVNCSQEIKNSSWIMYMPVACLSTSRSFVYVLTGRQSALIQSLEPSCGYLAMTPLGGRDSVAPVNESLSLSYADVVKSMRKGFRVQFPLRYRTGSFKQCLMDSIPQNQLVWYHSFGRYKFPALHTRSSNSITLPYAFGYNVICNSICLADLEDDCRFLLMPLAVLVFLAHKYWKTRVTIDAVEKFLRMQQMLGPTRYAYTDITAITGHFREKLGQGGYGSVYKGVLLPGDLHVAVKMLNGNSNCNGEEFISEVATIGMIHHVNVVRLVGFCSEEMRRALVYEHMPRGSLDKYIFLSERSFSWDKINEIALGIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPDRALRGTVGYMAPEMVSRSFGVISGKSDVYSFGMLLLEMAGGRRNVDPNADSSKSKAYYPSWVYDQLIADQQFDEISNVANMHELERKLCLVGLWCIQMKSHDRPTMSEAIEMLEGGVDALQVPPRPFFCDGDGMPPPQIDNGTYLVTDINYKDSTLWVVDANISDSRNNCPLPRWNRDFGYYNQMLYERHGEDSRRRSIQAELMPPYRSTRATFVTCSQEMRNNGKYRPVACMSTNSSFVYVLTGMNSNHIGSLEPSCGYLAMTPMGAGDRPLVLENASYADVVKLMRGGFAIRFILAPLVILTFLARKFWKIRITTDAVEKFLRMQLVIGPTRYAYTDLIAITGHFGEKLGQGGYGSVYKGVVLPGNAHVAVKILHFDIKPHNILLDDNFVPKVADFGLAKLCPRDQSFVPLSALRGTIGYIAPEMISRSFGIISSKSDVYSFGMLLLEMAGGRRNSDMYAENSNQTYFPSWVYDQLTEQQVGVGEIPAGTVANMHELERKLCIIGLRCIQMKSHDRPTMSEMPPRPFFCDDEPVSLAVNSHQFSSELNFRVNISIL >ORUFI01G01130.1 pep chromosome:OR_W1943:1:778408:809262:-1 gene:ORUFI01G01130 transcript:ORUFI01G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFRRSAALQAMAAFSVLALVVPDQVQGRGKCPSFSCGRLSYVHFPFRRQGDPAECGVPSYELTCADSNATIQIDKATYLVTDINYSDQYFWVVDASLDSANNCPLPRWSQTPYNENYRLGEDSHRRVQVQLSPDVDWFATFVKCSQEMNSSNVMYRPVACRSGNSSFVYVLTGLGSYLAENLEPSCEYLAMTPLALGGLENWRTATAAATLEDVNYEDVVRSMSEGFAVRFPFRSGGFIDCLRGLISDSSGEPTVYRIFVIVTNIDSYFSICGRHATQLPHPFVVLLMLVPQTFWILRVISACKFVLVMLLMWTSVAYMYWKIKMRVDEVEKFLQLQQMLTPTRYSYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGDVRVAIKMLKGDANCKGEEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPQGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHHGCDMQILHFDIKPHNILLDNNFVPKVADFGLAKLYPRDKSFVPVSAARGTVGYIAPEMISRGFGAISSKSDVYSFGMLLLEMAGGRRNADPNAENSRQAYYPSRVYRQLTRQETGEITAAADMHELEKKLCIVGLWCIQMRSCDRPMMSEVIEMLEGGVDCLQIPPRPFFCDDDYIPAMESLYLSSEVELAAISEEEDEETYTRMEAAALSTVLCVLALVVADADHHVVHVQGRRHRQCQPFSCGHLSNITHPFRRRGDPRRCGVSSYELDCSSDDGKATIRINTGKYYVSSIDYTASIFWVVDANLQDDANSSCPLPRSDQLPYVGLGIPGSHDSWDLGLDDMITWELITNSSSKYQPMNCLTTTSSYVYYMLPSFGAPSMLPSFGAPSIQDIEPSCGYLAMTPIGGGSNGNSINLSEYADVVKSMRGGFAVKFPTYGGPWTTWYGLIKDCLNESVSLADSHDNPLPRVGIKDRIIDILSIDLRFWGCMIGISRRYYLDMRLSISDMIRGVDHSLYHKLFIIYTLFVFAPLVVMIFLTRKYWKTRIAIDAVEKFLRMQDMLGPKRYAYTDIIAITSHFRDKLGQGGYGSVYKGVFLPGDVHVAIKMLDGNSNCNGEDFISEVATIGRIHHINVVRLVGFCSEEMRRALVYEYMPRGSLNKYIFSSERSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPDNILLDDNFVPKVADFGLAKLYPREKSFVSDRALRGTVGYMAPEMVSRSFGVISDKSDVYSFGMLLLEMAGGRRNADPNANSNASRAYYPAWVYDQLIADQQVDEISNVADMHELERKLCLVGLKVASPFHRRGYECGVASYELTCTDDKAIIQIDNGTYFVTGIDYSDSTFWVVDANISDSRNNICPLPRWKRIPYYYDDVSSDDEESSPYNIQVELDPASRWWSFFVNCSKEINNNVMYSPVACMGTSSSFVYVLTGRLSCYIENLEPSCGYLAMTPLDGLGRVAPAVDSLVMKTKSTGIGKLSDWFSPSVIENFVDCATAKIPSPYNILLGIILYALMFWTMLAPPCRYLLAPLVVLIFLAQKYLKRMITIDAVEKFLRMQQMLGPTRYAYIDIIAITGHFREKLGQGGYGSVYKGVLLPGDLHVAIKILNGYSNCNGEEFINEVATIGRIHHVNVVRLVGLCSEEMRRALVYEYMPRGSLDKHIFSSERRFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVSDMALRGTVGYMAPKMVSRSFGIISGKSDVYSFGMLLLEMVGGRRNADPNADSSASKAYYPSWVYDKLIADQQVDEISNFANMHELERKLCLVGLWCIQMKSHDRPTMSEAIEMLEGGVDALQVPPRPFFCDGDGIGNGMPSPQVMDSYFHSSDLTAISEEDDGIAELAITKDRIVDILSIDLRFWGCIIDVEASRYINTRLSIQNMFYAIDLSLFLRFITPLLFILCLLKWIAVLCRFLFAPLAVMIFLTRKYWKTRIAIDVVEKFLRMQDMLGPKRYAYTDIVAITSHFRDKLGQGGYGTVYKGVLLPGGVHVAIKMLDGNSNCNGEDFISEVATIGEVLWGSAPKK >ORUFI01G01140.1 pep chromosome:OR_W1943:1:800770:804782:1 gene:ORUFI01G01140 transcript:ORUFI01G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLLALLSHGTYSYSATTASGWEDQDFFRHCPVSRCSDGGPEIRFPHGLQSSNTSSACGASCAKLACSGQDTILHHPFLGPCKVTSIDYKEAIMSIIPLVYSSSPCPLQKLIFDNLQPYDYHRCKLYSWAPAKIVRCSKEFTPNGTSPVDGYAFMNNADYITGPISCPSEASHFSYLVDARLCMYVLPLDCRIVSRGSIPIPGPAYSDIGGPTFKERAEKFINFAETTVSWYSDDEVTINCTECERQKHRCAFSSQRNRTFCMSREHHGSSVKVISATSSAAAFVVLSLIIATVLYISLKSRYDEEVHLKVEMFLRTYGTSKPTRYNFSDVKKIARRFKEQLGQGGFGSVYKGELPNGVPVAVKMIEHTTGNGEEFINEVATIGQIHHINIVRLLGFCSDGTRHILIYEFMPNESLEKYIFLHDPNTSQELLAANKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTKARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSIQNQNEVYFPEWIYEKVITGQDFVLSREMTEEDRLKVRQMALVALWCIQWNPRNRPSMTKVVNMLTGRLQNIEVPPKPFVSYESHAVP >ORUFI01G01150.1 pep chromosome:OR_W1943:1:806948:809262:-1 gene:ORUFI01G01150 transcript:ORUFI01G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFRRSAALQAMAAFSVLALVVPDQVQGRGKCPSFSCGRLSYVHFPFRRQGDPAECGVPSYELTCADSNATIQIDKATYLVTDINYSDQYFWVVDASLDSANNCPLPRWSQTPYNENYRLGEDSHRRVQVQLSPDVDWFATFVKCSQEMNSSNVMYRPVACRSGNSSFVYVLTGLGSYLAENLEPSCEYLAMTPLALGGLENWRTATAAATLEDVNYEDVVRSMSEGFAVRFPFRSGGFIDCLRGLISRDEHVYGVACKFVLVMLLMWTSVAYMYWKIKMRVDEVEKFLQLQQMLTPTRYSYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGDVRVAIKMLKGDANCKGEEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPQGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHHGCDMQILHFDIKPHNILLDNNFVPKVADFGLAKLYPRDKSFVPVSAARGTVGYIAPEMISRGFGAISSKSDVYSFGMLLLEMAGGRRNADPNAENSRQAYYPSRVYRQLTRQETGEITAAADMHELEKKLCIVGLWCIQMRSCDRPMMSEVIEMLEGGVDCLQIPPRPFFCDDDYIPAMESLYLSSEVELAAISEEEDEESITGLN >ORUFI01G01160.1 pep chromosome:OR_W1943:1:810131:810855:1 gene:ORUFI01G01160 transcript:ORUFI01G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLVVVLLLSLLIYAATAWDAESFFNFKSCPPNQYSKHGPKVRFPLRLASQSPSCGTPLMELSCSGQDTILYHPVLGSCKVTAISYKHAAMSIILLVDSTPHCPLQKLISTNLSTDVYKPQKLEAASLPDCKVVSKGIQAPSTFKETENGVIGVDELVFTWYSSDITRDCQKCENEGKHCGFSSQRGRAFCYYGIFSF >ORUFI01G01180.1 pep chromosome:OR_W1943:1:817606:889215:-1 gene:ORUFI01G01180 transcript:ORUFI01G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSGFDHLRLLVGINKGLQRTSEGHTEHHRINHGGDKSQQQQIKMRIQSTAEKMMVGINRNTRLVLGSLVVFIFLAHKYWKTRITIDAVEKFLRMQQMIGPTRFAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGNVHIAVKMLTGSSSCNGDEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQAYYPSRMRSCDRPTMSEVIEMLEGGSDELQVPPRPFFCDDEQFPGVESYNMPSDLTAISEEHEDDDDESICLFESYQSCDRPTMSEVIEMLEGGTDELQVPPRPFFCDDEQLPGVESYNMPSELTAISEEHEDDDDDSICLFESYHYFKDQISGASIPHLTRALFWTEIHFVQCVSTNHDYTIKYFKQHTSRASIQDLTRALFWNETYSEVDCSNLGASKKDMIFLGIMVSAIDITKFHFVLFRLLLGSLVIFIFLAHKYWKTRITIDAVEKFLRMQQMIGPMRFAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGNLHIAVKMLTGSSSCNGDEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALIYEYMPRGSLDKYIFSPEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPAVWESVRDRLGLRCPKPASDFQGWWCVARKKIAKIDRKTFDAGIILITWLIWKERNARVFEGVATSSSLLCAAIEDEWESWKAASLYFNEHITGASIPNIARAIFWSELYFTRCRVAGHAYTTKFMTLMVIIVSAIVIIKLYKVYDTYGYHSICHRYHQALFWLMLAPLVVFIFLAHKYWKTRITIDAVEKFLQMQQMIGPMRYAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGNMRSSDRPTMSEVIEMLEGGSDDLQVPPKPFFCDDEQPLGVEPYHLSSDLTAISEAEEDDDDESISLFQSFSYHAACRPSVPPPPAGARPAVPRRATASAACRPPSLLRQPPSPPPTSAGPSASPRPQRATTRARRCRSSPVIVAQAPRPSPELARHRRPPSHFILQSSYLFKASFLDLMVCPVMPRKVVSGPDYDDEYNDDYDEYDEDYDDYGGTGHSDDIQHPTKEKESSKKSSSMVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDSTKMPVNTRTTDFGGDPEIKNASISHEKVGSTQYASVGSSSGAGKKVKHIALPEDVPVERTAQXEGYRKKICTRMRRRLKKKEKDHLLMRGLWMKAVKKEKEV >ORUFI01G01190.1 pep chromosome:OR_W1943:1:823491:824843:1 gene:ORUFI01G01190 transcript:ORUFI01G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEQQRNRANRLEDGKGRICCEDHHRRKEDSSGCCFALLQHLLQQAKKKRSLELSARTLLAAAVVSASAAAGHGMGRQGFLSYCPPSRCSEHGPEIRFPFQLESNNTTPSSCSVPCMKLACSGQDTILDSRYSYLGSPYKVTAIDYKHTTLTIIPIGDLDSCPLLNSVPLPSQPLHYHYHGANWSSCDIYNWGSAALVSCSQELTQTDIPSTHIAGPISCLSTNSTHFSYLVAYDVPTCLIPIQCEVISDGPIPIPYFYSGHASLTFRQSAERILNFADTTVYWRSFANPAAYNCSQCEQQGRRCAYSSQRNQTFCMRRGTVFFLQVLQFSVYNPLI >ORUFI01G01200.1 pep chromosome:OR_W1943:1:840702:842132:1 gene:ORUFI01G01200 transcript:ORUFI01G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIALYLSLKTRYNEEIHMKVEMFLKTYGTSKPTRYTFSEVKKIARRFKVKVGQGGFGSVYRGELPNGVPVAVKMLENPKGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRWALIYEYIPNDSLEKYIFSHDSNTSQELLVPSKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYGFGMLVLEMVSGRRNSDPSVESQNEVYFPECIYEQVTTGRDLELGREMTQEEKETMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLHVPPKPFFSADSHPIM >ORUFI01G01210.1 pep chromosome:OR_W1943:1:847168:887665:1 gene:ORUFI01G01210 transcript:ORUFI01G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLAATLLVLSLLLNLHTAASVSAWEDKDFFSYCPPSRCGEHGPEIRFPFKLESKNTPSSCGVPCMKLSCSGQYTILHNKYLGRPYKFTCQTTSSVAAFVILLLMVATALYLSLRTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIASRFKVKVGQGGFGSVYRGELPNGVPVAVKMLENSKGEGDEFINEVATIGRIHHANIVRLLGFCLEGTRRALIYEYMPNDSLEKYIFSHDSDTSQEVLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSMMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNMVYFPEWIYEQVTAGQDLALGREMNEEEKATTRQLAIVALWCIQWNPNNRPSMTKVVNMLTGRLQNLQVHVSESLQTRYNEEIHLKVEMFLKTYGTSKPTRYSFSEIKKIARRFKIKLGQGGFGSVYRGELPNGVPVAVKMLENSKGEGEEFINEVSTIGRIHHANIVRLLGFCSEGTRRALIYEFMPNESLEKYIFSDGYNILQELLVPNKMLDIALGIARGMEYLHQGGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVNSGQDLALGREMTQEEKETVRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFLSADSYPVLLAEEDTMHTENRMRGFLAAALLVFGILLNLDTAASAWEHKDFFSYCPPSRCSEHGPEVRFPFQLESNNTTQSSCGLPFMKLSCSGQDTILDNKCLGRPYKVTAIDYKHPVLTAVPLADEGNSSSPCPLLKSISIRPSLKAHNGYHYMMYQKPCETYVEHYYAALVSCSTEFAPASIPDATDDIVGPISCLSNQTHLSYLVEVHASMSLLTLDCEVISDGPIPIPVFHYSIYDLPTFRGSAERILNFSDTTVWWYRYDCPKCEQLGGRCAFSSQRNQTFCMRRGSHVKVIAATSSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKISRRFKVKVGQGGFGSVYRGELPNGVPVAVKMLENSEGEGDEFINEMLDIAIGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQVNSGQDLALGREMTQEEKETVRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFLSTDSYPVLMGGFLAAAIVVFSLRLNLDTTASAWEDKDFFKSFQLESNNTTPSSCGLPCMKLSCSGQDTILLDTNKYYLGRPYKVTAIDYKQGLLTVIPLADEENSSSSPCPLLKSIRPSVITGNPYYDIGFENPCRLYDAPYYAALVSCSTEFALASVPGPATDNDCIAGPISCLSNQTHFSYLVAVYVTMSFLPLDCEVISDGLIPIPQKVQKESSISLIPRSGGLTTIAHTQHSVKNREDAAHSARKGIKHSACVQVHMPNYIIGCRICCSFVDGCHCTLSFSEDKI >ORUFI01G01210.2 pep chromosome:OR_W1943:1:847168:888442:1 gene:ORUFI01G01210 transcript:ORUFI01G01210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLAATLLVLSLLLNLHTAASVSAWEDKDFFSYCPPSRCGEHGPEIRFPFKLESKNTPSSCGVPCMKLSCSGQYTILHNKYLGRPYKFTCQTTSSVAAFVILLLMVATALYLSLRTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIASRFKVKVGQGGFGSVYRGELPNGVPVAVKMLENSKGEGDEFINEVATIGRIHHANIVRLLGFCLEGTRRALIYEYMPNDSLEKYIFSHDSDTSQEVLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSMMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNMVYFPEWIYEQVTAGQDLALGREMNEEEKATTRQLAIVALWCIQWNPNNRPSMTKVVNMLTGRLQNLQVHVSESLQTRYNEEIHLKVEMFLKTYGTSKPTRYSFSEIKKIARRFKIKLGQGGFGSVYRGELPNGVPVAVKMLENSKGEGEEFINEVSTIGRIHHANIVRLLGFCSEGTRRALIYEFMPNESLEKYIFSDGYNILQELLVPNKMLDIALGIARGMEYLHQGGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVNSGQDLALGREMTQEEKETVRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFLSADSYPVLLAEEDTMHTENRMRGFLAAALLVFGILLNLDTAASAWEHKDFFSYCPPSRCSEHGPEVRFPFQLESNNTTQSSCGLPFMKLSCSGQDTILDNKCLGRPYKVTAIDYKHPVLTAVPLADEGNSSSPCPLLKSISIRPSLKAHNGYHYMMYQKPCETYVEHYYAALVSCSTEFAPASIPDATDDIVGPISCLSNQTHLSYLVEVHASMSLLTLDCEVISDGPIPIPVFHYSIYDLPTFRGSAERILNFSDTTVWWYRYDCPKCEQLGGRCAFSSQRNQTFCMRRGSHVKVIAATSSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKISRRFKVKVGQGGFGSVYRGELPNGVPVAVKMLENSEGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSQDSDTSQELLVPSKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIITLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQVTIGQDLELGREMTEEEKAIMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFFSADSHPVLQDSGHAGII >ORUFI01G01210.3 pep chromosome:OR_W1943:1:847168:887665:1 gene:ORUFI01G01210 transcript:ORUFI01G01210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLAATLLVLSLLLNLHTAASVSAWEDKDFFSYCPPSRCGEHGPEIRFPFKLESKNTPSSCGVPCMKLSCSGQYTILHNKYLGRPYKFTCQTTSSVAAFVILLLMVATALYLSLRTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIASRFKVKVGQGGFGSVYRGELPNGVPVAVKMLENSKGEGDEFINEVATIGRIHHANIVRLLGFCLEGTRRALIYEYMPNDSLEKYIFSHDSDTSQEVLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSMMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNMVYFPEWIYEQVTAGQDLALGREMNEEEKATTRQLAIVALWCIQWNPNNRPSMTKVVNMLTGRLQNLQVHVSESLQTRYNEEIHLKVEMFLKTYGTSKPTRYSFSEIKKIARRFKIKLGQGGFGSVYRGELPNGVPVAVKMLENSKGEGEEFINEVSTIGRIHHANIVRLLGFCSEGTRRALIYEFMPNESLEKYIFSDGYNILQELLVPNKMLDIALGIARGMEYLHQGGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVNSGQDLALGREMTQEEKETVRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFLSADSYPVLMGGFLAAAIVVFSLRLNLDTTASAWEDKDFFKSFQLESNNTTPSSCGLPCMKLSCSGQDTILLDTNKYYLGRPYKVTAIDYKQGLLTVIPLADEENSSSSPCPLLKSIRPSVITGNPYYDIGFENPCRLYDAPYYAALVSCSTEFALASVPGPATDNDCIAGPISCLSNQTHFSYLVAVYVTMSFLPLDCEVISDGLIPIPQKVQKESSISLIPRSGGLTTIAHTQHSVKNREDAAHSARKGIKHSACVQVHMPNYIIGCRICCSFVDGCHCTLSFSEDKI >ORUFI01G01210.4 pep chromosome:OR_W1943:1:843947:887665:1 gene:ORUFI01G01210 transcript:ORUFI01G01210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSKEDDVATPSKTRAFPAIVVFSLRLNLDTTASAWEDKDFFKSFQLESNNTTPSSCGLPCMKLSCSGQDTILLDTNKYYLGRPYKVTAIDYKQGLLTVIPLADEENSSSSPCPLLKSIRPSVITGNPYYDIGFENPCRLYDAPYYAALVSCSTEFALASVPGPATDNDCIAGPISCLSNQTHFSYLVAVYVTMSFLPLDCEVISDGLIPIPQKVQKESSISLIPRSGGLTTIAHTQHSVKNREDAAHSARKGIKHSACVQVHMPNYIIGCRICCSFVDGCHCTLSFSEDKI >ORUFI01G01220.1 pep chromosome:OR_W1943:1:889363:894536:-1 gene:ORUFI01G01220 transcript:ORUFI01G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFRVSRRGRRFYPPPPPPPPAAAATAADRAAAPPEGSPLPPPLPWDVSALGYLFLSIVVYLKKRAIAIVWIGLDLEPSFALNLFPDGYSISDPGKRLLVSAKGMLLFLIGDDPQKRPYSKASRALFSDIEHGCLPQVILGDMPCKFRNGTIVCEVRDYRPFLSNAGDSSGDDFPIVNRVSLRLGTERVVKDLASVVNASWTYHDQLIAESTILRALQPRLNLDPTPCLERLQNSVKKIDLGLNKGRQKIKATSIDNTSADPPENCKPKEFITCEGAVVCIENEAPEGLPRGILNGLSMDCPLALQIKKAQSAAGSDPDTAIQYSSTLMNSSVSCNIKQSASCTPAPDLLLQSKQAQIAILQVDHENEQPQKETVQLQNRKEHSNLPREIHDCQSCRPSNKHSLLSSESTKCHFQKSIRSSNNKGLNLVSPNQRPVKVNLDQTTGSKDMRVQQQKSLSAFTADCSHPSSETNNSCVEKIPKEVNYSTVRLKDRNLPSTVGPDNYCVEELKDRTTPSVISCSASSRKAPSKPPKVVTEPQPSSKRKVLGVYTYLYQEIDSNEKKQKKADTQSNTPCENVSPGEPDVTDGISSELGISPDIESCIGDPSYTIEPDIEKILSEVILTSKRHGLNERAAKLDGSERSWPLPPSKFFLSENTADIAYTQNEIMSNYPTGRTMNTRKIRRLSFHPVQYLCRGVVDECHYTLRLLESEAPDDHQVAVETIYGDEHHHANKLVDQFILLMRRDGYTLCNDIREQYEDAPQLGYLTGGYPQYPIARTMVINGSNNIDCTFHNGPPHVHANTQQQWMQAQQCPTLPSVQTNFWNPYHPGQQHYTGGILNHGGFYANRAFSMDMDQHQHVQQRQGVGWFPNGVFSMDLDQYQPVRQRQGVGQCWHCRHDIPGFFSERSYATHASTGSYNQWRQISTPLGGKVYQWDLPAFDRRVCGCPPVNYAGSSTPLSTLHPVGSPPMSSQSFGSNDGSLTSTPVQLQAAPVSIPRRSALAAGRSVAGASPL >ORUFI01G01230.1 pep chromosome:OR_W1943:1:894977:899874:1 gene:ORUFI01G01230 transcript:ORUFI01G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDQAAAAVMHEKVKLFIGVLALQFLLAGFHIVSRAALNMGISKIVFIVYRNLISLALLAPFAYFLEKKDRPPLTFSLLVEFFLLALCGITANQGFYLLGLYHLSPTYASAIQNTVPAITFAMAAVLRLEQVDLGKRHGVAKVVGTVVSIGGATVITLYKGLPLFNHNLNIKSLSSSSLILNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVLSLTCIFGLLQFLVIAAFTEEDLSRWKVNSGSELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAIILGDQLYSGGIIGAVLIVIGLYFVLWGKSEEKKSKNNNLQDQPVQGGGDDIRRHLLGQEDASRKDEEAAVTDELA >ORUFI01G01230.2 pep chromosome:OR_W1943:1:894977:899874:1 gene:ORUFI01G01230 transcript:ORUFI01G01230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDQAAAAVMHEKVKLFIGVLALQFLLAGFHIVSRAALNMGISKIVFIVYRNLISLALLAPFAYFLEKKDRPPLTFSLLVEFFLLALCGITANQGFYLLGLYHLSPTYASAIQNTVPAITFAMAAVLRLEQVDLGKRHGVAKVVGTVVSIGGATVITLYKGLPLFNHNLNIKSLSSSSLILNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVLSLTCIFGLLQFLVIAAFTEEDLSRWKVNSGSELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAIILGDQLYSGGIIGAVLIVIGLYFVLWGKSEEKKSKNNNLQDQPVQGGGDDIRRHLLGQEDASRKDEEAAVTDELA >ORUFI01G01240.1 pep chromosome:OR_W1943:1:903405:907070:1 gene:ORUFI01G01240 transcript:ORUFI01G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLTAKLTSPPAATTWLPGGGRRSAPPRRATVIRAAAVSYADELVSTAKSVASPGRGILAIDESNATCGKRLASIGLDNTEVNRQAYRQLLLTTAGLGEYISGAILFEETLYQSTTDGKKFVDCLKDQNIMPGIKVDKGLVPLPGSNNESWCQGLDGLASRCAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAIAQDNGLVPIVEPEILLDGDHAIERTLEVAEKVWSEVFFYLAQNNVLFEGILLKPSMVTPGAEHKQKATPEAIAKHTLTMLRRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQEPNPWHVSFSYARALQNSVLKTWQGRPENVEAAQKALLVRAKANSLAQLGRYTGEGESDEAKKGMFQKGYTY >ORUFI01G01250.1 pep chromosome:OR_W1943:1:907402:918062:1 gene:ORUFI01G01250 transcript:ORUFI01G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASCLRGRLRLHRRPRPLIMPSPLFLSRNPNPSPPRSATATGTTILSPPSTSASASMSTSGVYVPPMRRLRSVIASTNGSLAPPPSAAAQAQPVRAPEWRADGRSLSPPPSPPRPPRRATPPPPRQPPPQPEPFRQRSAGYARYAYDDFSEDDSDREMDRTSVSSRGGSTLDNIDEWKWKLHMLLRNEDEQEVISRERKDRRDFEQLSQLAERMGLYSRQYSRIVVFSKVPLPNYRSDLDDKRPQREVSIPSGLQREVDALLSDYLARKRTSSGSFPNAAFSRSSSTDSFATDESFLEQQDNQTSTSAVIERIQRRKSLQLRNQQESWQESHDGQSMMEFRRSLPAYKERQTLLEAIAQNQVVVVSGETGCGKTTQLPQYILESEIDAARGATCSIICTQPRRISAIAVSERVAAERGEKIGESVGYKVRLEGMKGRDTRLLFCTTGVLLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLVLMSATLNAELFSSYFGGAPMIHIPGFTYPVRSRFLEDILEITGHRLTPYNQIDDYGQEKSWKMQKQALRKRKSQIASVVEDTVQAADLRDYSARTRDSLSCWNPDSIGFNLIENVLCHICQKERAGAVLVFMTGWDDINALKEQLQANPLLGDPSKVLLLACHGSMASSEQKLIFDRPEPGVRKIVLATNLAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISKASARQRRGRAGRVQPGECYHLYPQCVYEAFADYQLPELLRTPLQSLCLQIKSLRLGSISEFLSRALQSPESLSVENAIEYLKVIGAFDRNEELTILGKHLSMLPVEPKLGKMLIFGAIFNCLDPILTIVSGLSVRDPFLTPFDKKDLAESAKLQFSCRDYSDHLALVRAYEGWREAERDRNGYDYCWKNFLSVQTLKAIDSLRRQFLFLLRDTGLVDENMTACNKWSRDENLVRAVICAGLYPGVSSVVNKEKSISLKTMEDGQVMLYSSSVNGKETKIPFPWLVFNEKVKVNSVFLRDSTAISDSILLLFGGNIKQGGLDGHLKMLGGYLEFFMSRDLASTYLSLKSELDNLIHCKLQNPRMDIQTSEELLSAIRLLVTEDPCNGRFVYGRQEQRSKKAKTMFSAAPMSHGGGGNGGDNAKNQLQTLLTRAGHDNPSYKTKQIKNSLFRSTVEFNGMQFVGQPCANKKLAEKDAAGEALNWLTGGAPSDSRDPQDMDHMSMLQKPPRRKRHHHRRG >ORUFI01G01260.1 pep chromosome:OR_W1943:1:934672:940493:1 gene:ORUFI01G01260 transcript:ORUFI01G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEDLDSADNKLLRIITMEVNGHHKPRREYNGRECNGVQSVNNFGDIDPWTAWAYKPRTVSLLLMGTCFLIWASGALDPERSFSVDRVSSVKRGVFAMIAVFLAYSFLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQTRDDARQFMKYLHPDLGVGIWAGMKTVRYFDGRTYEWVGLSRQPNIISKVKRTLGQFTPAQWDKDEWYPLLGPWRFIQVLSLCIVFMIVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDRSLSEVDAVMVVHSLDDRGVASDDVPSCVDLENLPNNDKEKAMILLLLCSQFFPPPFNIVV >ORUFI01G01260.2 pep chromosome:OR_W1943:1:933678:940493:1 gene:ORUFI01G01260 transcript:ORUFI01G01260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGHHKPRREYNGRECNGVQSVNNFGDIDPWTAWAYKPRTVSLLLMGTCFLIWASGALDPERSFSVDRVSSVKRGVFAMIAVFLAYSFLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQTRDDARQFMKYLHPDLGVGIWAGMKTVRYFDGRTYEWVGLSRQPNIISKVKRTLGQFTPAQWDKDEWYPLLGPWRFIQVLSLCIVFMIVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDRSLSEVDAVMVVHSLDDRGVASDDVPSCVDLENLPNNDKEKAMILLLLCSQFFPPPFNIVV >ORUFI01G01260.3 pep chromosome:OR_W1943:1:933931:940493:1 gene:ORUFI01G01260 transcript:ORUFI01G01260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGHHKPRREYNGRECNGVQSVNNFGDIDPWTAWAYKPRTVSLLLMGTCFLIWASGALDPERSFSVDRVSSVKRGVFAMIAVFLAYSFLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQTRDDARQFMKYLHPDLGVGIWAGMKTVRYFDGRTYEWVGLSRQPNIISKVKRTLGQFTPAQWDKDEWYPLLGPWRFIQVLSLCIVFMIVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDRSLSEVDAVMVVHSLDDRGVASDDVPSCVDLENLPNNDKEKAMILLLLCSQFFPPPFNIVV >ORUFI01G01270.1 pep chromosome:OR_W1943:1:944728:947057:-1 gene:ORUFI01G01270 transcript:ORUFI01G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGGSSGRLHALRFESQRFRLLTIVVGCFLISLTFLLSSRPDATVFSTLSPRESLEDARMPAMKMLHRSSSGGLTRDFHVDILPQQGERHAHMQQSVDQTAEKTEWVKDTVIIQEVAGERSEEAEEAAERVAADSGDEAAPAVADEDNAVKTTAAVEETTARPDQPLEEKNQQQLPVKLQDAGEPARTPEQTVTSHSVDRRPLCDFSDFRTDICDFSGDVRMAANTSEFVVVVDPAAAAVSHKVRPYPRKGDATCMGRVTEITVRATGDAAGAPRCTRAHDVPAVAFSIGGYTGNIFHDFSDVIVPLYNTVQRYRGGVQLVMVNVASWWLVKYDKLLRELSRHAPIDLAKAGAAGEVHCFPSAVVSLRAHRELIIERERSLDGLATPDFTRFLRRALSLPRDAPTRLGDGTGRRPRLLVISRGRTRLLLNLDAVVRAAEEVGFEVVVNESDVGNSIEQVGKLINSCDALLGVHGAGLTNMMFLPPGATMVQVVPWGGLQWIARMDYGEPAAAMGLNYIQYEIAVAESSLKDKYPAGDEIFTNPTGLHKRGFAFMKQTLMDGQDITIDVTRFRPVLQQALDNLATK >ORUFI01G01280.1 pep chromosome:OR_W1943:1:953643:956638:-1 gene:ORUFI01G01280 transcript:ORUFI01G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAARERKPRHSNGRAAAAAAKNLSKVEPGRHLAVVRLFPACLLALLICLCVVKFFSSLSSQSQRIGTRSRMVSSWEGSASTNVPRIPVAPLIMGRVDEDISTRSPELGVVIVFVLAVSRVVTFLVETCKGLGFCHWKPLSYPMLILKGKQGSVFKNENFKNGTDSENKSRSERQVAISTENDPPPGKEESLTKSPQTAVSESEVPKPKSKISCDDKSKDEGFPYARPIVCHLSGDVRVSPATSSVTLTMPLQQGEAAARRIRPYARRDDFLLPLVREVAITSAASEGDAPSCNVSHGVPAVIFSIGGYTGNFFHDMADVLVPLYLTTFHFKGKVQLFVANYKQWWIQKYKPVLRRLSHRAVVDFDSDGDVHCFDHVIVGLVRDRDLILGQHPTRNPKGYTMVDFTRFLRHAYGLRRDKPMVLGETSGKKPRMLIISRRRTRKLLNLRQVAAMARELGFEVVVSEAGVGGGSGGVKRFASAVNSCDVLVGVHGAGLTNQAFLPRGGVVVQIVPWGRMEWMATNFYGAPAAAMELRYVEYHVAAEESSLARRYPREHAVFRDPMAIHGQGWKALADIVMTQDVKLNLRRFRPTLLRVLDLLQD >ORUFI01G01290.1 pep chromosome:OR_W1943:1:959414:968431:-1 gene:ORUFI01G01290 transcript:ORUFI01G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEVKPAKLGLRRHLNAGFFAGFLLVLLTYVIVSQQFAMETPTAVTSRAPRIDENESVTKARVETEKKREQEWQRPKDTSGAVSAEEFSKRDSTNAKPIENGKVVCGSNGFYSDTCDVDGDVRINGTALSVTLVPASRRSERRREWKIQPYPRRTVSGIAEVTVTRQQDRAAAPACTVTHGVPGVVFALGGLTGNYWHDFSDVLVPLFVASRRYGGEVQFLVSNIQPWWLGKYEAVVRRLSRYDAVDLDRDTEVRCFRRVAVGLRMHKEFSVKPELAPGGQRLTMADFAAFLRDTYALPRAAAAGARRPRLVVIRRAHYRKIVNMDEVVRAAEAAGFEAAVMSPRFDEPVEEVARKVNAFDAMVGVHGAGLTNAVFLPAGAVVIQVVPYGRLERMARADFGEPVADMGLRYMEYSVAADESTLLEMLGPEHQVVKDPEAVHRSGWDKVAEYYLGKQDVRINVARFAATLAAAFDHLRPSHSYISIIIGGAPENDLLHEKYWSPGWPMQTFRERGEGGEMGGDHGKLMKSLKGAAQKYLGVGFLLGFFLVLLTYFTVSEQFAIAAPNAIRKTSPGHASPTIPPPVEEKRPQLPPIIEQRQAPKAEHEHAAVVQEKTPSAEEIEIQKETEEDHTKEKPTDDVTTTVEESAPAKKPACDIQGPWASDVCSIDGDVRIHGAAHDVVIPPPIEGGGSNPNPREWRVVPYSRKHMGGLKEVAVREVASAAEAPACDVRSPVPALVFAMGGLTGNYWHDFSDVLIPLYLQARRFDGEVQLVVENIQMWYVGKYKRVLDRLSRHDIVDMDRDDKVRCFPGAVVGIRMHKEFSIDPARDPTGHSMPEFTKFLRDTFSLPRDAPVSLVDNAAAVRPRLMIISRRHPRKLMNVEEVVRAAERIGFEVVIGDPPFNVDVGEFAKEVNRADVLMGVHGAGLTNSVFLPTGAVLIQVVPYGKMEHIGKVDFGDPAEDMRLKYMAYSAGVEESTLVETLGRDHPAVRDPESVHRSGWGKVAEYYLGKQDIRLDLARFEPLLRDAMDYLKHQ >ORUFI01G01300.1 pep chromosome:OR_W1943:1:977162:980254:-1 gene:ORUFI01G01300 transcript:ORUFI01G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGKAGYSYGGHHHHQDAKLLKNLSRVEPRRFGLGLVAGFLIVTCAYFSTAKFDAIHIAMISSPAKNAAGFMNASSDGSNQQQLDLDRDAMSREGSKAQVLDTDGDDKISSLGPDLGHNASALEGKKKDETFAKDSGDASVSASTDEALAKDDDAIVGAVLPPLSSEEPTNITQDSVLEDEELKVQETAPATTNPSPEKSSNNGSSPSVVPSDPATLPVQQIPPTQEAKDPPAQQIPAVPEAKVPPVQQIPTFPVVKTDSEAAPRRKEWKPLCDLWSNRRIDWCELDGDVRVAGANGTVSLVAPPGPADERTFRAESWHIKPYPRKADPNAMRHVRVLTVQSLPAPAASAAAPACTERHDVPGLVFSDRGYTGNYFHAYTDVILPLFLTARQYSGEVKLLVSDFQMWWLGKFLPVFKAVSNYDLINLGDDRRVHCFRHVQVGLTCHADFSIDPSRAPNGYSMVDFTRFMRATYRLPRDAPFPASGEQQPRRPWRPRLLVIARARTRRFVNADEIVRGAERAGFEVVVSEGEHEVAPFAELANTCDAMVGVHGAGLTNMVFLPTGGVVIQVVPLGGLEFVAGYFRGPSRDMGLRYLEYRITPEESTLIDQYPRDHPIFTDPDGVKSKGWNSLKEAYLDKQDVRLDMKRFRPILKKAIAHLRKNSGNNNTTHN >ORUFI01G01310.1 pep chromosome:OR_W1943:1:984548:985910:-1 gene:ORUFI01G01310 transcript:ORUFI01G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRMAPAMKPVPFLADPPQVSSLQMLLPPEYSHLAGIGEISSIHNGIVVIYAHRYYLLYDASNNHLTAIPPLPDSLCSPTFLPLGRTAVVVTAGDDDDDYILADIVTSSTTGLPDAKLFVCSSSSEWAETPPVRLPLPPHLCGPTYFFHVDTAFSFQGSIFWVDLLKGILICDHVSSPEGPELVFVPLPHCRDVHGKPRHCFSPNEHRSIGCVSGAIKFVALIGYCEEASCPANEVKLKTWSLSPDFKHWKEETTLTVGDSWASESFNEIGLPHVMPIPILSVNEDGIMYAVLNDIFQEPIPDHVNEFGQVLGDRLVAKANYMIRFDILQNKVLSFTKISQHGELRWLTPYLIATDFSSYLQDHTRGEASAKDEQQLED >ORUFI01G01320.1 pep chromosome:OR_W1943:1:989328:992353:1 gene:ORUFI01G01320 transcript:ORUFI01G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHPRPPPCVLLERVVRFVEAAGLTSGGASRDPDVAAIIEVGGWSWSTVQMMGSVEEMERLMAPSVKPVAFLGDPPQVSSLHMLLPPPASLDLLGIGEISSTHKGIVVIYADKCYLLYDASNNHLTAIPPIPDSVTSAPIFLPLGRGAVLVSAAGADDDDYIFADIVTSPSTRGINPALPKATIFARVKNGGEWIQSSIPPLPLPPHLCGPTYFFHIDTAFSFAGTIFWVDLLKGILICDDILSSPQGPRLAFVPLPHCIDAHDKPRHCFSPNEHRSIGRVSGAIKFLALIGYCEASCPENEVKLKTWSLSPDFKHWKEETTLTVGDIWASESFNQMGLPHVLPFSPVLSVNEDGIMYAVLNDVKKEPIPRLNEFGDSLGMQLVPKANYMIRFDMLQNKVLSSTKISKKATSRWLTNTFLATDFSAYLQDRQNAEAAGKVGASAKGKRKRMSSRQAGRSKCQGQA >ORUFI01G01330.1 pep chromosome:OR_W1943:1:1004396:1010827:1 gene:ORUFI01G01330 transcript:ORUFI01G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRESSTVAAAAPFPQLDPAGGEAAAAASPPPDPAGGEPAAAADPTGSVLWPLPRFGAATVARHGSSWVCETPTESMIHSGGRRRRHRRGSKGPYERGGDGEVDGSPREAKGCMDAAATDAQAGSTLGSGCCAAMAHAWMEEFSGDKLSINPLKETDMILLERGFCHCEKGEELPKKNQQEPPPHQLLQQKNHQQCQQQAAHTPKSMVQKPEWNTHEEVKEVHLKQNVPEPSSYLHKSSNTQEEVKEVHVKENVPAPSSYLLKSSGLKSLFVKASGVSVSIQVDVSNTKVDYLINSACEKLGVKAQDTYAVLCGKVLDYDKSLSEYLLYQNSTVEIRYRGRAGQLNWDQKFNVNDTVLYYDVNLDPALQNAGIQAFQYARFFSDFSSYNIFRRMKQLMILDNVVHRHPAHLQANIKAMAAYASHASLRASMGPYAYAWKGSAQLVPELNNVLIYQPSGKPLQWKNPLYTDNAKGCLHFANNFLKHARNRFPEEQIEAAFALHMKNFLPKILQGLAELADRTPNRQYINDIMVGWRHAGMQR >ORUFI01G01340.1 pep chromosome:OR_W1943:1:1021364:1021747:-1 gene:ORUFI01G01340 transcript:ORUFI01G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVPANSGEGGGYGEHQWSKGSVVVAAAQPGEAGSGGAPCGWRRPNRAATPGGGNGGTPASDWMGKQKGMGVLGTSNPFLPSISEDLQRMRRILKLCEWRKIRVSGIFPPVMMIESAGVGADLRR >ORUFI01G01350.1 pep chromosome:OR_W1943:1:1028306:1028575:-1 gene:ORUFI01G01350 transcript:ORUFI01G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTNVGENWKKGLAKVLDHLDSIRGKLSDLDNQQEAHHIAIQRLERAGRERHQHPGDRDADGDRDSDGRHGDRPPRYHKIDFPKFDD >ORUFI01G01360.1 pep chromosome:OR_W1943:1:1030183:1038494:-1 gene:ORUFI01G01360 transcript:ORUFI01G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMMQTPLQLRLQPRPPAVVAARHRRRLQSGFPPPNPRRGGGWCRCCANADAGKAQAQARRAYPYDEIEPRWQRHWEEHRTFRTPDIGHGLDTSKPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRFKRMQGFNVLHPMGWDAFGLPAEQYAIETGTHPKITTERNINRFRSQLKSLGFSYDWDREISTTEPTYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVIDGVSERGGHPVIRKPMRQWMLRITSYADRLLEDLDELDWPESIKEMQRNWIGRSEGAELEFSAVDKEGHDLGANLLVYTTRPDTIFGATYVVLAPEHSLLSSLISEEQRVHVEEYIELTARKSELERTDLQKEKTGVFSGSYAKNPATGEIVPIWVADYVLGSYGTGAIMAVPAHDSRDHEFALKYKLPIIKVVSPLNGNCDSEEAYADDGIMINSSSSSSGLNIDGMLSKDAALKVTEWVEANGFGKKKVNYKLRDWLFARQRYWGEPFPVIYRDDTNEMLPLQENQLPLTLPELDDFTPTGTGEPPLTKATDWVKTVEPLSGKPARRETSTMPQWAGSCWYYLRFMDPQNASMLVDKAKESYWGPVDIYVGGAEHSVLHLLYARFWHKVLYDIGVVSTKEPFKCLINQGLILGEVTKVGDHYVLKDDANIRLNARAYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKTWSTGGIEGVHRFLGRTWRLVVGTPLPDGSYGVGTTVTDEEPTLDQLRVLHKCIARVTEEIQETRFNTAISAMMEFVNAAYKWDTQPKSVIESFILLLSPFAPHMAEELWFRLGNSRSLAHEQFPEGKSEYLKESKLVLPVQINGKTRGTILVDKECSEDDAFQIAASDEKLSKYLTGKGIRKRIYVPGRILNVIPR >ORUFI01G01360.2 pep chromosome:OR_W1943:1:1030183:1038494:-1 gene:ORUFI01G01360 transcript:ORUFI01G01360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMMQTPLQLRLQPRPPAVVAARHRRRLQSGFPPPNPRRGGGWCRCCANADAGKAQAQARRAYPYDEIEPRWQRHWEEHRTFRTPDIGHGLDTSKPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRFKRMQGFNVLHPMGWDAFGLPAEQYAIETGTHPKITTERNINRFRSQLKSLGFSYDWDREISTTEPTYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVIDGVSERGGHPVIRKPMRQWMLRITSYADRLLEDLDELDWPESIKEMQRNWIGRSEGAELEFSAVDKEGHDLGANLLVYTTRPDTIFGATYVVLAPEHSLLSSLISEEQRVHVEEYIELTARKSELERTDLQKEKTGVFSGSYAKNPATGEIVPIWVADYVLGSYGTGAIMAVPAHDSRDHEFALKYKLPIIKVVSPLNGNCDSEEAYADDGIMINSSSSSSGLNIDGMLSKDAALKVTEWVEANGFGKKKVNYKLRDWLFARQRYWGEPFPVIYRDDTNEMLPLQENQLPLTLPELDDFTPTGTGEPPLTKATDWVKTVEPLSGKPARRETSTMPQWAGSCWYYLRFMDPQNASMLVDKAKESYWGPVDIYVGGAEHSVLHLLYARFWHKVLYDIGVVSTKEPFKCLINQGLILGEVTKVGDHYVLKDDANIRLNARAYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRISDVHRDSKTWSTGGIEGVHRFLGRTWRLVVGTPLPDGSYGVGTTVTDEEPTLDQLRVLHKCIARVTEEIQETRFNTAISAMMEFVNAAYKWDTQPKSVIESFILLLSPFAPHMAEELWFRLGNSRSLAHEQFPEGKSEYLKESKLVLPVQINGKTRGTILVDKECSEDDAFQIAASDEKLSKYLTGKGIRKRIYVPGRILNVIPR >ORUFI01G01370.1 pep chromosome:OR_W1943:1:1039034:1041767:-1 gene:ORUFI01G01370 transcript:ORUFI01G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEAAAPARAMGPTKLAYFDDMWALSSTATVVSLLQEEGGRRAVLVDSTVFYPQGGGQPADTGVISAGGGTRFIVDDVRMKDGVVFHYGRFEDAGDGCNSGFSEGQSVSLEVDAERRNLNSRLHSAGHLIDICMSNIGLSHFDPGKGHHFPDGPFVEYKGVIPPDQLQDKKNELEKEANELITKGAKVLAYILPYEEAAQLCGGALPSYISKDSTPRIVKFGDYPGGPCGGTHVADISIINNIKVTNIRVKKGLTKVSYSVSL >ORUFI01G01380.1 pep chromosome:OR_W1943:1:1043780:1047979:-1 gene:ORUFI01G01380 transcript:ORUFI01G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSASLLPPPSTFVPVLSPLRKPPPQHLAIRGSPHRRRGRRLSLAASSAASPDLEKEPSQSPSPSPQEKSPGDLSAVAESVKVLKEAAKTRKVPSPELLAALAKIKKAKLDTSTFFETLGGTQSPGRTWMLIFTAKGRLEKGQYFPVTAVQRFDAAGKRIENGVYLGPVGSLTFEGRLSWKKKILAFIFERVRIKVGPFGPLEISLGGGNDGREPSTKDPFFVWFYVDEEIAVAQGRGGGVAYWCRCKRVP >ORUFI01G01390.1 pep chromosome:OR_W1943:1:1049072:1051813:1 gene:ORUFI01G01390 transcript:ORUFI01G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSAPRRLHHLRPHHLSTAAALAAAPPTPTEWTTAPVASVGAATADASLFHVSLDLSSRADLLASHVAAGQFLPFRLPAAPYPIFLAISSSPPAPGLATSFDFLVKRLPGTPSACLCDLRPGDLVHVGGSVVGRGFEVGRIADARDVLVFATGSGISPIRSLIESGFGENENIDVKLFYGVRNLQRMAYQERFTNWESSGIKIIPVLSRPDDQWTGERGYVQQNAFSRMKKVVNPSSMGAILCGHKQMSEEITRALVADGVPKDRILTNF >ORUFI01G01390.2 pep chromosome:OR_W1943:1:1049072:1054397:1 gene:ORUFI01G01390 transcript:ORUFI01G01390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSAPRRLHHLRPHHLSTAAALAAAPPTPTEWTTAPVASVGAATADASLFHVSLDLSSRADLLASHVAAGQFLPFRLPAAPYPIFLAISSSPPAPGLATSFDFLVKRLPGTPSACLCDLRPGDLVHVGGSVVGRGFEVGRIADARDVLVFATGSGISPIRSLIESGFGENENIDVKLFYGVRNLQRMAYQERFTNWESSGIKIIPVLSRPDDQWTGERGYVQQNAFSRMKKVVNPSSMGAILCGHKQMSEEITRALVADGVPKDRILTNF >ORUFI01G01400.1 pep chromosome:OR_W1943:1:1052314:1053171:-1 gene:ORUFI01G01400 transcript:ORUFI01G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKFLQLLEEKKKRILEKKEAPLKWEQKLEAAAKAKADAEAKEKKLKSRKHRRRGHSSSDSESDSDSDGDRKHRKRKDRKRHRKHGHSDSDEAKRHKRRSKRRSSDSSDDTDSDEYDSGSEEENRRKKRSHRRKHRRHSSRSDSDASDYSSDDEERRSSKKDHSSSRSHRHHHHRSSGDDSGSESEERGRSRHKKHHRSSDEDATSDSNNHKHRRSRSLEESSDDAAAGEYEKVRNGKRPHKTGHHRHHHRHHHHHHDHRSSSEPNDKKRQDGQKALEGGNVD >ORUFI01G01410.1 pep chromosome:OR_W1943:1:1053193:1054032:-1 gene:ORUFI01G01410 transcript:ORUFI01G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRYAFLCIYIPIEIRGRLEKLLAESYVNYRLSKRGKRRDLFWTFEAYGRQDAPPCIISRPYRF >ORUFI01G01420.1 pep chromosome:OR_W1943:1:1055486:1062323:-1 gene:ORUFI01G01420 transcript:ORUFI01G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAKPENALKRAEELIHVGQKQAALQALHDLITSKRYRSWQKPLERIMMKYVELCVDLRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMQLSNEKAEQARNQAQALEDALDVEDLEADKRPEDLMLSYVSGEKGKDRSDREHVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHKAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESLQLYLDTRVEQLKIATELSLWQEAFRSVEDIHGLMSMVKKTPKPSVLVVYYAKLTEIFWISDCHLYHAYAWLKLFYLQKSYNKNLSQKDLQLIASSVLLAALAVSPYDHKYGASHLELENEKDRNLRIANLVNFSLDSKRENREVPSRASLFSELAAKGVIACASQDVKDLYNLLEHDFLPLDLVSKAQPLLSKISKIGGKLSSAPLVPEVFLSQYLPALEKLTTLRVLQQASQIFQSVKIDMLSRMIPFFDFSVVEKISVDAVKHNFVAMKVNHLSGAVHFGKMDIESDCLSNHLSVLADSLNKARSLIHPPVKKPSKLGENLTSLAAVVENEHKRLLARKSIIEKRKEDLERQILEKEKEEEKKRLSVLKKSAEDERIRLLNDVKLREQERIRRQLVEKEKIEAEELLQKQIKEIAKRGGKKPVLQGEVTKEAVMELAMNEQFKERQEMEKKLQKTGKQMDYLERAKRQEEAPLIEQAFQKRLEVEKILHEQEQLREIELSKQHHAGDLQEKNRLSRMLEHKNIFQERIVQRREAEFSRLKKERDERTSQLISSRKRERDTVRKLMYYLNLEEQRLQRLREEEEARKQEERRKREETERKAKLDAIAAKQLQRERELEEKKEKQRMEALMGRGAGAAEPARTPDAAPVAQPAQPVAAPAAAAAAAAPAAVVLAARDLLWHLSKTVGVHGMIAHVLICVRSAKRHPLLAMLLPQPAKMVLQAHGDLQDTQVHHLPQPGAAGATEAVCFQMWTARVRFFAVQGHC >ORUFI01G01430.1 pep chromosome:OR_W1943:1:1062351:1062778:-1 gene:ORUFI01G01430 transcript:ORUFI01G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNPRKRAASYFTWTLATLINPSPPRRRRLHSPTLPPPIPIPSTQIAAAAFASDGRTAPSTAHVSGDLQV >ORUFI01G01440.1 pep chromosome:OR_W1943:1:1064702:1065307:1 gene:ORUFI01G01440 transcript:ORUFI01G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITPSCIPLAPVAGGSSSTACKIIHVDGTVTRLARPVRASELMVDYPGQFVCDSGRLAVGCRVPGVAADELLEPRRAYFLLPMDMLYSVLTDEEMAALSSFHAATAASSSWKRIATGGGRRRDGSHGGRISEPTDHEGDDGSDDGARFFPVLSLQLHAAPDAAAAAAAGVKPSGGGGGVRRHRSWHPRLEAIDEVPCTGG >ORUFI01G01450.1 pep chromosome:OR_W1943:1:1066758:1070824:1 gene:ORUFI01G01450 transcript:ORUFI01G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRWPPEDPEIFPSRMVTGGGGGGAGGGPPGPPGEMASDDDRSVAADSWSIKSDYGSTLDDEQRYADAAEVLLASSSSSSAAASGPAAATTASVAANPSGDFSFDKDVPDSADMEPPLLGLPNYHQDGSYAEYLANFQERSHTDDWFGTENMDVLVSWTKNLCSNKDLRSCSVLDIGTGSGRLSQQLAKQGFSDLTGIDHSEGAIEVARNLAIRDGFEHINFLVDDVLESKLERRFELVMDEGTLDTIGLHPDGPVKRMMYWQSVAGLVSPGGILVITSCSRTKDELVQEVESFNQRKLSAMGSEGAQASDTAVFKYIDHVQTYPIVDSSCITTVAFSHS >ORUFI01G01460.1 pep chromosome:OR_W1943:1:1070475:1072694:-1 gene:ORUFI01G01460 transcript:ORUFI01G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALLVDRLLTESTLDAAIRKQVADLQAETVAIDYCCDGDGDGGSARKMVECRICQEEDWDTSMEAPCACCGSLKYAHRKCIQRWCNEKGDTVCEICLQQFKPGYTAPQQLFHYGSIPMNFRGNWEIARQDLHDSQIITMVPSERDFMDGYEDYLPIRTRSSTLCCRTVAIIFMSLLVLRHTLPLMIGGDGEYSLALFSLLVLRTAGILFPILVMVRALATFHRRRRQQERREMYMTSSDSEEEEDYSDTDPAQPIHSQTRLVPIY >ORUFI01G01470.1 pep chromosome:OR_W1943:1:1082333:1086719:-1 gene:ORUFI01G01470 transcript:ORUFI01G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGAGAGAAVVVVAAFVAAAVASGDTLADLGGAAKGIDSVPEVNNLGPWAKGLLKGMPDSAAGPAEGPIAKYPLVLAEERTRRPDVLDHLRMYGGGWNITNKHYWASVSFTGIAGFVLAAGWFISFGIAVAASCFWKSRIDKENDFHADILRLVLLVVFIFTLTAGSVILFCGQSKFGQEATSTVDFVVNQSDFTIQTLRNVTDYLSLAKTISVAALYLPSDVQGQIDNLKVDLNKAADTISQKTSENYRRIRKVLHNLSVALICIAALMPVLAFLGYVLELYGQRSTVYVFVTLCWTVVATLFILLGIFLILNSAAKDTCEAMDEWAQHPQAETALSNILPCVDESTTNQTLYQSKHVVVILVGIVNRAISALSNRRPHHKHPGQFMPYLCSPYDANLTDRQCKSREVTFDNATTAWLNYTCTVPDSDLCSGPRTITPEIYSQLVLAANVSYALYHYAPLMLNLQDCKFVRNTFSSIASQYCPPIWRDLSLVSAGLALIASGLTLGLLLMLFADRPQREEVSELPSGSRITPVDCSP >ORUFI01G01480.1 pep chromosome:OR_W1943:1:1100943:1101419:-1 gene:ORUFI01G01480 transcript:ORUFI01G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAISQFPAAAVRLAGPALPSRRPPQKTTTSVTLRKPAAVRSRRRDDADQFFSGGGGGGVVDEGMIELRRRIHEMRAAESGWEPPAEWAAWEKEWYGSYDADVCALVGAVQAFLMSSRPCVGVGIVAAVAVSVPATAFVLVSGLLHASQSLLANLQH >ORUFI01G01490.1 pep chromosome:OR_W1943:1:1107045:1107482:-1 gene:ORUFI01G01490 transcript:ORUFI01G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMSLLTSPAELGRRGPALKARRSSPAACCAFRRDQYSGGALVDSSMAVLRRRMREARMAENNYEAPAGWSAWEKRYYPAYVSDVSAAVGALQLLLMGTRPSVAIAAAALLFAGVPVSAVAAVHHLAQLAAESAVLLQHHVVP >ORUFI01G01500.1 pep chromosome:OR_W1943:1:1107949:1114076:-1 gene:ORUFI01G01500 transcript:ORUFI01G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRGLGQLLAALAAALFVRAVAGPGPALLPPADDEDSDADPEAGGEGGGVPPVTIRWARITCALKNKRGDVARFLLSNASGEAKSGRLLALMGPSGSGKTTLLNVLAGQLTASPSLHLSGFLYINGRPISEGGYKIAYVRQEDLFFSQLTVRETLSLAAELQLRRTLTPERKESYVNDLLFRLGLVNCADSIVGDAKVRGISGGEKKRLSLACELIASPSIIFADEPTTGLDAFQAEKVMETLRQLAEDGHTVICSIHQPRGSVYGKFDDIVLLSEGEVIYMGPAKEEPYHCPDHVNPAEFLADLISVDYSSAESVQSSRKRIENLIEEFSNKVAITESNSSLTNPEGSEFSPKLIQKSTTKHRRGWWRQFRLLFKRAWMQAFRDGPTNKVRARMSVASAIIFGSVFWRMGKTQTSIQDRMGLLQVTAINTAMAALTKTVGVFPKERAIVDRERAKGSYALGPYLSSKLLAEIPIGAAFPLIFGSILYPMSKLHPTFSRFAKFCGIVTVESFAASAMGLTVGAMAPTTEAAMALGPSLMTVFIVFGGYYVNPDNTPVIFRWIPKVSLIRWAFQGLCINEFKGLQFEQQHSYDIQTGEQALERFSLGGIRIADTLVAQGRILMFWYWLTYLLLKKNRPKYQRLLPPSEEDQNKQQVKEREAAPSSQHLGMRMRGSLNHAAIK >ORUFI01G01510.1 pep chromosome:OR_W1943:1:1114409:1116058:-1 gene:ORUFI01G01510 transcript:ORUFI01G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTLSSWPTGQWALSGWVYGVWGESTCGSLGSECVSEISSISEAPELDVRWHNLAALS >ORUFI01G01520.1 pep chromosome:OR_W1943:1:1116387:1120054:1 gene:ORUFI01G01520 transcript:ORUFI01G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADKWLLPLVSVSFVSLLLFLSALSGFSASSSLFARLPPPSYVRRGAAAPPSFAYVLSGGRGEGRKLLRLLLAVYHPRNRYLLHLSADAPESERVELAAAVSRAAPAVRAFGNVDVVGRPTAGTPMGSSGLAATLRAAAALLRLDSEWDWFVTLNAADYPLVTQDDLIHVFSSVPRHLNFIDHTSDIGWKETQRVQPIIVDAGIYLAGRNQFFQATEKRDTPDGSPWVILNRRFIEYCIFGWENLPRTLLMYFTNVMLPQEGYFHSVVCNSDFRNSTVNSDMRYMEWDDPPQMEPHFLNTTHYDEIVESGVPFARKFRENEPLLDKIDERVLHRWRHRPVPGAWCTGRKRWFNDPCSQWSNVNIVRPGPQAEKFRKHMNQIIEESASGNNSCKQ >ORUFI01G01530.1 pep chromosome:OR_W1943:1:1122758:1126072:1 gene:ORUFI01G01530 transcript:ORUFI01G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQLTFGEMISSDQSQKHLSATQEKGDQLPRPLEWSGDMVEQNKRARANGEVKQEQQQEEEEEVEEGEVSQEETQRTGAFPLVTMAAMEQTEEETQIDVRIAVALLHCHACLQPLKPPVFKCDEAHIVCSGCRCGHHGQLCGGAAVYSHCAELDAIVATAKVPCAHAPYGCSSYVVYAGVADHQRACPCAPCSCPEPGCRFRSSPAALPGHLAAGHSWPVTEIAYGKPRKLAVPPPAHVLVGEADRAVFLVSSCAVGAGAAVCVVCVRANGGGDNAAAVARYKCKLWVEVPSNDDNMAMMTSMVRSSDLAGGFPAADKGMLLWVPPEMLHGVPGGEAAILSIRIDRAAAATPKFTTTRARSQKGMH >ORUFI01G01540.1 pep chromosome:OR_W1943:1:1132593:1133852:1 gene:ORUFI01G01540 transcript:ORUFI01G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQNKRSSSAAENGHAGGKKARANVEVKQEEEEAEEGEMSQEEESAKTGPLVVATAMDDPQIDVRIAVGLLHCHACLLPLKPPVFKCEAAHVVCSGCRGNHGQLCRRAAAYAHCAELDAIVGAAKVACAHAPYGCDSYVVYGAAAEHQRACPCAPCSCPDPGCGFRGSPAALLGHFATDHPWSVTQISYAKPCRLAVPLPRRCHVLVGEDDRAMFLVVSPSPCGVGVGAAVCVACVRANGDDAAAAQYKCKLWVEVPTNSDNMVMMTSKVRSSDLSGGFPAAEQGMFLVVPPELLHEVSGETPILSIRIDRAAPAIAKPTTPRARSLRRLQ >ORUFI01G01550.1 pep chromosome:OR_W1943:1:1136635:1138243:1 gene:ORUFI01G01550 transcript:ORUFI01G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGEQSGAKKAAAWVVSPNGQVKREMAVEAARGEGAAAAAGAREEEEEVQAGGMIAAAVGDGFEGVEISVRIDLAVLHCPLCLLPLKPPTYQCAAGHLACSSCHGDVPGKKCHTCGGGGGGGVYARCPGLDTFLRAAKILCPNDLFGCRSYVAYHDVAAHQRACPHAPCSCSEPRCDFLGSPPMLLAHLVADHSWPVSKVPYGEVLTIHVPESERRHLVVAGAAGGDDERVFVLSVGALGVARAVSVACVRANAAAGPRYRCKLWAHAPGGGAADFVHMDSAVASSAAAPGGEVAVDEEARFLTVPPCFLHLLDAGTSKEMLIRLSISIDIDIDMS >ORUFI01G01560.1 pep chromosome:OR_W1943:1:1140622:1141539:1 gene:ORUFI01G01560 transcript:ORUFI01G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAPEPEAIAVRIDMAMLHCPICFLPLKPPIFQVPCLLLQCLMLHPFLVSWLHSYESFLSLSIDLCSAMLGTWLAATAAARSPAAGATRAKASASASSTPALAPWRPSSRRPRSSAPTRHTAAGATSPTTPSTTTSARARTRRAHARSPAAASPALLEHLAAAHSCHVDKVEYGKALCLRVPASERRRRLLVGEEDKRVFLLAMAAVGAASAVTLVRVAASAETAARYRCKMWANAPAAAAAAVAGAASGKADMVMVDMEVASSGVAPGGVAVEEATFLAVPPKMLHGEHKEIILGICIDKKTS >ORUFI01G01570.1 pep chromosome:OR_W1943:1:1142930:1145480:-1 gene:ORUFI01G01570 transcript:ORUFI01G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAIAASPPTVGRFLLRHRTVGSVHASLLTAGRLLRHRTAARWNRLRSAHHAVYATRALFATGNRSARRPRRPVGRKCGREIGVKNLWDILESCKKKLPLHHLQ >ORUFI01G01580.1 pep chromosome:OR_W1943:1:1176388:1176678:1 gene:ORUFI01G01580 transcript:ORUFI01G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVRANAAAGPRYVCKVWTQAPPDAETGVKDTIMMEANVRSFSVPGEVAMDDGTVLCVPPRMLHGASMEMPLHVRIDKLGAGTTNRSAIAAQTKK >ORUFI01G01590.1 pep chromosome:OR_W1943:1:1182370:1183062:1 gene:ORUFI01G01590 transcript:ORUFI01G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSGENGGATPPKKPRTAVVKKAAPEKDQTAATTIPDLSNCKHGHPSCKRCLAGLNNKCHICRQPIGDMRCRPLENVLAGMTVPCAFARFGCQEGVRYTERAQRHGHEASCQHAPCHCPFPGCSYAGAAAQLFAHIKGAHAAGSPSAVSSIRCTPVALPRGMPFL >ORUFI01G01600.1 pep chromosome:OR_W1943:1:1185928:1189475:1 gene:ORUFI01G01600 transcript:ORUFI01G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCLFHVLLREEDSRVFLLLNGGDVPKGRSLSVVCVAAAGEAELYTMAVSGGAPGALSLSASGSVPRVRRWVRYRTGGFLFVPDAYWRASGGSVSVTVHVKKLPPPELEEDTTAA >ORUFI01G01610.1 pep chromosome:OR_W1943:1:1189821:1193851:-1 gene:ORUFI01G01610 transcript:ORUFI01G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRPPPCVLLERVVRFVEAAGLTSGGASRDADVAATIEAGGWSWSRVQMMGSAEEMERRMAPSVKPVAFLADPPQASSLHMLLPPPARTTLLGIGEISGTHKGIVVIYAHRCYLLYDASNNHLTAIPPVPDSVFVPLGRSAVLVSAAGADDDDYILADIVTSCSRRGINPALPKATIFARVKNGGEWIQSSIPHLPLPPHLCGPTYFFHIDTAFSFAGTIFWVDLLKGILICDEILSSPQGPRLVFVPLRHCIDAHDKPRHCFSPDGHRSIGRVSGAIKFLALIGYCEEASCPANEVKLKTWSLSPDFKHWKEETTLTVGDIWASESFNQMGLPHVLPFSPLLGVNEDGIMYAVLNHVKEEPIPRLNEFGDMHTYKIARMLKQQARFLRKRMSSRQAGRSKRQGQAEPDPLTSEGRPTALLRPFGIGRSRASTADRLGPYGRKNSPRGIDATSEPQQPAPLGSKWGRGGLCAVAATTAACTSRAGDLAGEAQAVAVTPTATTCFLAAPAAVAPGGGRSRSRGPIFLQHMGFV >ORUFI01G01620.1 pep chromosome:OR_W1943:1:1204641:1205649:1 gene:ORUFI01G01620 transcript:ORUFI01G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTRLGMMKKNGKRTTMDHGTAKKTRSVKKVAKKTEAGLCTDQQQDVEEETTKMTYSIDSDSLECGICFLPFEDRVYMCKNGHAACGSCCVVMGRKCPSCNEPIGDIRCRPLEKVLAAMSAPCKFRASGCTETVGYTERRSHEASCPHAPCRCPFDGCTYLGLLLYNHILDEHATDAVVAMGSLRHRGITVTVHKSKPFHVVLHRGGTRVFLLLNGGDVLSGRSLSLVSVGPPPPANCELRYKIELAAVGPGQGELALSASGTVPCVRQLDVFEAKAFLFVPEAYWGSSGTVSVTVHI >ORUFI01G01630.1 pep chromosome:OR_W1943:1:1206186:1206793:-1 gene:ORUFI01G01630 transcript:ORUFI01G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHLEAPATKLYRCSSSRFSDCHDYVSTVSDLPCQLPECDGKMTLPVKHVLLSSSSLSSSTGNGSSGGEAAAVATYTIMDDLKVAAMSATVLLKSVSMTSTYLQEKTVQIGYIEGLAMLKASLESKTVLTDVFLGKKRKKWPFVLVILLLCLLLAGICGL >ORUFI01G01640.1 pep chromosome:OR_W1943:1:1217627:1219244:1 gene:ORUFI01G01640 transcript:ORUFI01G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRVGDRIAIPAAGTARRRRRDPTTGPESPVYLEGSRWSLSPAYQPSSRRRRDEEGCTYSRRRGRTGSRCNSPPRRRRRPRSCRSRSRTRSYECEDSRCCHTRPSPHSDDREDDDDEQEGSWYHPPADNDATDECANGDVICERCSYDDGGARVCRKCGTMELARSRAIGHLLRCIRFACKNRRYGCRSFLPRLDMDEDELSCDHEPCFCPIRRCGFAGAADSLARHLTARHGWGRLRVAYGEAAVVPVQSPTILRADDGRIFHLSCMRERGGGTAMSMVCIRPDHVAGAEEEFTYEVRTACQRLQMQAAVEGTSLRYGMKDAVQARVTVPDDMLLRQGDAVQVFVRKATSAAGAANNN >ORUFI01G01650.1 pep chromosome:OR_W1943:1:1219845:1220411:-1 gene:ORUFI01G01650 transcript:ORUFI01G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASILFFLLAGLAAAAHGSTEDDTTTTTNTIRLPSDGGTLAATRRTRPWKCCDNIEQLPVRTNPPQWRCNDELEPGQCFRQCEACRDPPGRPFPGRPLICDDVFWGDDPGTSCAPSSEWPWGPCCDFAICTKSIPPICHCSDEVESCAAACGQCEMVDSWSWRPLFVCRDSFTGEPGPRCTPEMHN >ORUFI01G01660.1 pep chromosome:OR_W1943:1:1220420:1257728:-1 gene:ORUFI01G01660 transcript:ORUFI01G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAADPLPSRSEQSTMGEDGGGDDDDDESSPTTTEEEGGDGVETHRWKMIPNHSQLQLEEDEGRHGYFDPSLPPPRRRRPRRAGRHHHQDHTPPDRRRISTAGADDEEAVERLPTKTNPPQWRCNDELEPSQCVAQCEVCQEAPGPFPGPLICSDVYWGADPGPFCTPRPWGDCCTNTTCTRSIPPICRCNDRVKKCAAACKDCKRVKSSKPPRYVCQDQFTGQPGPKCKHSGNMATSSILFFFLLGGLTVAVAAHGTANDDTNTIRLPSDGAKSPKMPTEKRPWKCCDDIEEQPASIFPPFWRCNDELEPSQCAAQCEVCQDQEASPGRLICGDVYWGADPGPFCTPRPWGDCCDMDICSRSLPPICRCADEVESCAAACKDCQQLESSSSSSEPPRYVCHDWFRGEPGFISIDCGIQPNTSYVHNTTKISYVADDDFTDGGSNYNVSPEYIKPQLSQRYYNLRAFPDGARNCYTARSLAPGIKYLIRASFLYGNYDGLNKLPVFHLYIGVNFWTMVNITSLGLGGSYEEAIVVVPDDFVQVCLINTGTGTPFISSLELRPLDKRLYPQVNATLGLLQLNRLNFGPTDNSLVRYPDDPHDRFWGNWDSYTSSLWKEISTASRVDNLDGDIFDAPTAVMQTAVTPRNASGNIYFFWEPWPQPNDPTPPYTVIFHFSELEILTNNASRQFYINLNGEPLIDTAYEPTYLTARYLYGLEPLERTSRYNITINATANSTLPPLINAAEIFSIISTAVIGTDSQDASSMMAIKDKYQVKKNWMGDPCMPKTFAWDKLTCSYPNSSGARIISLNLSSSGLSADISSAFGNLKALQYLDLSNNSLTGSIPDVLSQLPSLRVLDLTGNQLSGSIPSGILKRIQDGSLNVRYGNNPNLCINGNSCKAAKKKSKLAIYTVIPAVLVVLIASVTTLFCLLRRKKQGPMNNSLEQQNEMSTSTSHVLINSGYGDNVSLRLENRRFTYKELEKITNKFKRVLGRGGFGYVYHGFLEDGTQVAVKLRSESSSQGAKEFLIEAQILTRIHHKNLVSMIGYCKDGIYMALVYEYMPEGTLEEHIVGLEYLHKGCNPPIIHRDVKATNILLNTRLEAKIADFGLSKAFSYDNITHVSTNALVGTLGYVDPEYQMTMQATTKSDVYSFGVVLLELVTGKPAILHEPNPISVIHWTRQRLARGNIEDVVDTCMPSDYDVNGVWKAMDIAFTCTAQASTQRLTMTEVVMQLQECLELEDARCAIGDAHNEFYPDPRSDHNLSYNTYVSDRSNDVSQNSGVSMMEHNFGRMSNTTMATSTILLFLLAGLAAAHGDGDTTIRLPSDGAKASRPRAAKPWDCCDNIEISRLMIYPPLYRCNDEVKQCAAACKECVEAPGGDFNGGAFVCSDWFSTVDPGPKCTAALDGLSMERPWKCCDNIKRLPTKPDPPQWRCNDELEPSQCTAACKSCREAPGPFPGKLICEDIYWGADPGPFCTPRPWGDCCDKAFCNKMNPPTCRCMDEVKECADACKDCQRVESSEPPRYVCKDRFTGHPGPMSNTTMAISTILLFLLAGLVAAHGDGDTMIRLPSDGAEAPPRPPKPWDCCDNIEMSPLEIFPPLYRCNDEVKQCSAACKECVEAPGDFPRGAFVCRDWYSTVDPGHMCTAPDQPTTKRPWKCCDSIVQLPQRIFPPFWRCDDELEPGKCTAACKSCREAPGPFPGPLICEDVYWGADPGPLCTPRPWGKCCDKAFCNKMNPPTCRCMDEVNKCAAACKDCQRVESSEPPRYVCKDRFTGQPGPIMATSTILLFLLAVGGLAAAHGDTIRLPSEGDAPPQPAKPWDCCDDIEMSPLKIFPPLYRCNDEVKQCSAACKECVAAPAAGDSPCGGGAALVCRDWYSTEDPGKPCTPEREWPERTTKKRPWKCCDNIRRLPPRIHPPFWRCDDELKPGQCFAACKACREAPGPFPGPLICDDVYWGADPGPFCTPRPWGDCCDNTTCTKSIPPICSCGDKVAACDGACKDCQPVASSSEPPRFVKSTMMAASMLLFLLVLAGIVTATTTDNNIRLPSNGAAGETDGNKQAKSRPWECCDNIEMSVKQCAAACENCLRLVPGGEEDVFVCDDWYPTTDHGPVCTPRPWGDCCDKAFCTRSLPPICQCADEVASCAAACKECDMVESSAPPRFICRDHFTGEPGPNRLR >ORUFI01G01660.2 pep chromosome:OR_W1943:1:1220420:1246437:-1 gene:ORUFI01G01660 transcript:ORUFI01G01660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVHMNSGNMATSSILFFFLLGGLTVAVAAHGTANDDTNTIRLPSDGAKSPKMPTEKRPWKCCDDIEEQPASIFPPFWRCNDELEPSQCAAQCEVCQDQEASPGRLICGDVYWGADPGPFCTPRPWGDCCDMDICSRSLPPICRCADEVESCAAACKDCQQLESSSSSSEPPRYVCHDWFRGEPGFISIDCGIQPNTSYVHNTTKISYVADDDFTDGGSNYNVSPEYIKPQLSQRYYNLRAFPDGARNCYTARSLAPGIKYLIRASFLYGNYDGLNKLPVFHLYIGVNFWTMVNITSLGLGGSYEEAIVVVPDDFVQVCLINTGTGTPFISSLELRPLDKRLYPQVNATLGLLQLNRLNFGPTDNSLVRYPDDPHDRFWGNWDSYTSSLWKEISTASRVDNLDGDIFDAPTAVMQTAVTPRNASGNIYFFWEPWPQPNDPTPPYTVIFHFSELEILTNNASRQFYINLNGEPLIDTAYEPTYLTARYLYGLEPLERTSRYNITINATANSTLPPLINAAEIFSIISTAVIGTDSQDASSMMAIKDKYQVKKNWMGDPCMPKTFAWDKLTCSYPNSSGARIISLNLSSSGLSADISSAFGNLKALQYLDLSNNSLTGSIPDVLSQLPSLRVLDLTGNQLSGSIPSGILKRIQDGSLNVRYGNNPNLCINGNSCKAAKKKSKLAIYTVIPAVLVVLIASVTTLFCLLRRKKQGPMNNSLEQQNEMSTSTSHVLINSGYGDNVSLRLENRRFTYKELEKITNKFKRVLGRGGFGYVYHGFLEDGTQVAVKLRSESSSQGAKEFLIEAQILTRIHHKNLVSMIGYCKDGIYMALVYEYMPEGTLEEHIVGKTKKGKYLTWRERLNIALESAQGLEYLHKGCNPPIIHRDVKATNILLNTRLEAKIADFGLSKAFSYDNITHVSTNALVGTLGYVDPEYQMTMQATTKSDVYSFGVVLLELVTGKPAILHEPNPISVIHWTRQRLARGNIEDVVDTCMPSDYDVNGVWKAMDIAFTCTAQASTQRLTMTEVVMQLQECLELEDARCAIGDAHNEFYPDPRSDHNLSYNTYVSDRSNDVSQNSGVSMMEHNFGRMSNTTMATSTILLFLLAGLAAAHGDGDTTIRLPSDGAKASRPRAAKPWDCCDNIEISRLMIYPPLYRCNDEVKQCAAACKECVEAPGGDFNGGAFVCSDWFSTVDPGPKCTAALDGLSMERPWKCCDNIKRLPTKPDPPQWRCNDELEPSQCTAACKSCREAPGPFPGKLICEDIYWGADPGPFCTPRPWGDCCDKAFCNKMNPPTCRCMDEVKECADACKDCQRVESSEPPRYVCKDRFTGHPGPMSNTTMAISTILLFLLAGLVAAHGDGDTMIRLPSDGAEAPPRPPKPWDCCDNIEMSPLEIFPPLYRCNDEVKQCSAACKECVEAPGDFPRGAFVCRDWYSTVDPGHMCTAPDQPTTKRPWKCCDSIVQLPQRIFPPFWRCDDELEPGKCTAACKSCREAPGPFPGPLICEDVYWGADPGPLCTPRPWGKCCDKAFCNKMNPPTCRCMDEVNKCAAACKDCQRVESSEPPRYVCKDRFTGQPGPIMATSTILLFLLAVGGLAAAHGDTIRLPSEGDAPPQPAKPWDCCDDIEMSPLKIFPPLYRCNDEVKQCSAACKECVAAPAAGDSPCGGGAALVCRDWYSTEDPGKPCTPEREWPERTTKKRPWKCCDNIRRLPPRIHPPFWRCDDELKPGQCFAACKACREAPGPFPGPLICDDVYWGADPGPFCTPRPWGDCCDNTTCTKSIPPICSCGDKVAACDGACKDCQPVASSSEPPRFVKSTMMAASMLLFLLVLAGIVTATTTDNNIRLPSNGAAGETDGNKQAKSRPWECCDNIEMSVKQCAAACENCLRLVPGGEEDVFVCDDWYPTTDHGPVCTPRPWGDCCDKAFCTRSLPPICQCADEVASCAAACKECDMVESSAPPRFICRDHFTGEPGPNRLR >ORUFI01G01660.3 pep chromosome:OR_W1943:1:1220420:1246437:-1 gene:ORUFI01G01660 transcript:ORUFI01G01660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVHMNSGNMATSSILFFFLLGGLTVAVAAHGTANDDTNTIRLPSDGAKSPKMPTEKRPWKCCDDIEEQPASIFPPFWRCNDELEPSQCAAQCEVCQDQEASPGRLICGDVYWGADPGPFCTPRPWGDCCDMDICSRSLPPICRCADEVESCAAACKDCQQLESSSSSSEPPRYVCHDWFRGEPGFISIDCGIQPNTSYVHNTTKISYVADDDFTDGGSNYNVSPEYIKPQLSQRYYNLRAFPDGARNCYTARSLAPGIKYLIRASFLYGNYDGLNKLPVFHLYIGVNFWTMVNITSLGLGGSYEEAIVVVPDDFVQVCLINTGTGTPFISSLELRPLDKRLYPQVNATLGLLQLNRLNFGPTDNSLVRYPDDPHDRFWGNWDSYTSSLWKEISTASRVDNLDGDIFDAPTAVMQTAVTPRNASGNIYFFWEPWPQPNDPTPPYTVIFHFSELEILTNNASRQFYINLNGEPLIDTAYEPTYLTARYLYGLEPLERTSRYNITINATANSTLPPLINAAEIFSIISTAVIGTDSQDASSMMAIKDKYQVKKNWMGDPCMPKTFAWDKLTCSYPNSSGARIISLNLSSSGLSADISSAFGNLKALQYLDLSNNSLTGSIPDVLSQLPSLRVLDLTGNQLSGSIPSGILKRIQDGSLNVRYGNNPNLCINGNSCKAAKKKSKLAIYTVIPAVLVVLIASVTTLFCLLRRKKQGPMNNSLEQQNEMSTSTSHVLINSGYGDNVSLRLENRRFTYKELEKITNKFKRVLGRGGFGYVYHGFLEDGTQVAVKLRSESSSQGAKEFLIEAQILTRIHHKNLVSMIGYCKDGIYMALVYEYMPEGTLEEHIVGLEYLHKGCNPPIIHRDVKATNILLNTRLEAKIADFGLSKAFSYDNITHVSTNALVGTLGYVDPEYQMTMQATTKSDVYSFGVVLLELVTGKPAILHEPNPISVIHWTRQRLARGNIEDVVDTCMPSDYDVNGVWKAMDIAFTCTAQASTQRLTMTEVVMQLQECLELEDARCAIGDAHNEFYPDPRSDHNLSYNTYVSDRSNDVSQNSGVSMMEHNFGRMSNTTMATSTILLFLLAGLAAAHGDGDTTIRLPSDGAKASRPRAAKPWDCCDNIEISRLMIYPPLYRCNDEVKQCAAACKECVEAPGGDFNGGAFVCSDWFSTVDPGPKCTAALDGLSMERPWKCCDNIKRLPTKPDPPQWRCNDELEPSQCTAACKSCREAPGPFPGKLICEDIYWGADPGPFCTPRPWGDCCDKAFCNKMNPPTCRCMDEVKECADACKDCQRVESSEPPRYVCKDRFTGHPGPMSNTTMAISTILLFLLAGLVAAHGDGDTMIRLPSDGAEAPPRPPKPWDCCDNIEMSPLEIFPPLYRCNDEVKQCSAACKECVEAPGDFPRGAFVCRDWYSTVDPGHMCTAPDQPTTKRPWKCCDSIVQLPQRIFPPFWRCDDELEPGKCTAACKSCREAPGPFPGPLICEDVYWGADPGPLCTPRPWGKCCDKAFCNKMNPPTCRCMDEVNKCAAACKDCQRVESSEPPRYVCKDRFTGQPGPIMATSTILLFLLAVGGLAAAHGDTIRLPSEGDAPPQPAKPWDCCDDIEMSPLKIFPPLYRCNDEVKQCSAACKECVAAPAAGDSPCGGGAALVCRDWYSTEDPGKPCTPEREWPERTTKKRPWKCCDNIRRLPPRIHPPFWRCDDELKPGQCFAACKACREAPGPFPGPLICDDVYWGADPGPFCTPRPWGDCCDNTTCTKSIPPICSCGDKVAACDGACKDCQPVASSSEPPRFVKSTMMAASMLLFLLVLAGIVTATTTDNNIRLPSNGAAGETDGNKQAKSRPWECCDNIEMSVKQCAAACENCLRLVPGGEEDVFVCDDWYPTTDHGPVCTPRPWGDCCDKAFCTRSLPPICQCADEVASCAAACKECDMVESSAPPRFICRDHFTGEPGPNRLR >ORUFI01G01660.4 pep chromosome:OR_W1943:1:1246760:1257728:-1 gene:ORUFI01G01660 transcript:ORUFI01G01660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAADPLPSRSEQSTMGEDGGGDDDDDESSPTTTEEEGGDQTTASYNSKKMKAAMATSTLLFLLLAGAAHGAPEDTTTKTIRLPTDGGSAQQAPTTKRPWKLLAQRLPTKTNPPQWRCNDELEPSQCVAQCEVCQEAPGPFPGPLICSDVYWGADPGPFCTPRPWGDCCTNTTCTRSIPPICRCNDRVKKCAAACKDCKRVKSSKPPRYVCQDQFTGQPGPKCKHSCTEN >ORUFI01G01670.1 pep chromosome:OR_W1943:1:1258391:1260923:1 gene:ORUFI01G01670 transcript:ORUFI01G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLDCPVCFEPFKPPIFQCSVGHFICSSCCNKLNKCPGCSRTTFERCLGMERIVESAVVPCTYVEHGCTNKVTYFNKKSHEQACSYEPCFCPDSSCSFSGTVATLWEHFTTQHKWLSTEFKYYTPFDVRVKPGAHFLRAGDGQLFVMNMVPMEPVGHGVSLVCVQPNTSESSFGCNVAFSSFTGHHQISTLESVTCSSLSDGLPEDYFCIMPKALDVGVAVFLRITIDTELVDEVDDDQEEDETEEDDSDDL >ORUFI01G01680.1 pep chromosome:OR_W1943:1:1262100:1265736:1 gene:ORUFI01G01680 transcript:ORUFI01G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSADKKQGVTMGMDVLDCPVCYEPFKPPILQCSVGHFICSSCRMKLKKCPVCSRSNFERCFGMERVIESIVVPCSYAENGCTNKIHYFNKKNHEQTCSHGPCFCPDSTCGFSGPVVTLLKHFATQHKWPSTEFKYYTPFDLRVKPGAHFLQAGDGQLFVMNMVPVEPVGHGVSLICIQPNTSESSFRCNVVFSSFTGHHQISTLESVRCSSLSDGLPKNYFCIVPKSPGGGAAVLLRITIDTELVLEVEDEQDEEEDDDDYDEDEESDDEDRN >ORUFI01G01680.2 pep chromosome:OR_W1943:1:1263986:1265736:1 gene:ORUFI01G01680 transcript:ORUFI01G01680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSADKKQGVTMGMDVLDCPVCYEPFKPPILQCSVGHFICSSCRMKLKKCPVCSRSNFERCFGMERVIESIVVPCSYAENGCTNKIHYFNKKNHEQTCSHGPCFCPDSTCGFSGPVVTLLKHFATQHKWPSTEFKYYTPFDLRVKPGAHFLQAGDGQLFVMNMVPVEPVGHGVSLICIQPNTSESSFRCNVVFSSFTGHHQISTLESVRCSSLSDGLPKNYFCIVPKSPGGGAAVLLRITIDTELVLEVEDEQDEEEDDDDYDEDEESDDEDRN >ORUFI01G01690.1 pep chromosome:OR_W1943:1:1266298:1267476:1 gene:ORUFI01G01690 transcript:ORUFI01G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSSLNKRKLGEAQHDGDRVVIKKRQSVSMDMEVLHCPVCFKILSPPVFQCDLGHLVCSPCRDNLPAGGKCPSPSCSGTPSVRCVAMERVVNSVEVACAYAEHGCPDKIAYANITEHEKTCPHAPCFCPEPGCGFAAASAAALADHFTAPRRHNWPSHKLSYSQPFELRVHPGKNVLIGEEDGALFLLNVSPAAEHAVVSLFSVQPHHGPSGFRRSASHFGCSVEFSCFLGHHQRSTLDTVTSSSLSDGMPEEWFFSVPELQDSVDGDARVGVDIRITIDEAVPLFSCVDGMEDDDEDCDDDGNANNGDDDEEDGDTSDDD >ORUFI01G01700.1 pep chromosome:OR_W1943:1:1268040:1268273:1 gene:ORUFI01G01700 transcript:ORUFI01G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGFRCSVEFEGHCQASTLESVKISSLSDGLPKDRFFSVPKQQDGNAGVVLGITVDDVEDLEDEDDDSSNSDEECE >ORUFI01G01710.1 pep chromosome:OR_W1943:1:1270239:1271244:1 gene:ORUFI01G01710 transcript:ORUFI01G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTSTGSSRQRSSVATIDLDALDCTICYNPLQPPVFQCTVGHVICSSCHGKLADTSRCHMCNRDGGYRRCVAVDHILYAITVPCPNAAHGCAARTPYHDSHGHAAGCPHAPCFCPEPGCGFAAGATAALLAHFTGTHGWPATVMWRGRAAVGIPLQEGKHVLSLLDADGRGSHLFLLNVAQAGEAGLVGTVLAVEAAAHGHGDAARFECKVSFDRRGTGWRQSSTFGVRSTNLSGGLPADGFAFVAPNPPPAAATAASVTVTLFDISGEPGPPGNALRPVLPRSRRSRTRLSAQQPATTAAAVLLR >ORUFI01G01720.1 pep chromosome:OR_W1943:1:1276983:1278207:-1 gene:ORUFI01G01720 transcript:ORUFI01G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLQRHQHTLGPHHCVCSELCMHTQIHYTEETHTGRERRREQVRCSWGYVVWKAVDGVAAIASENQEFRIATGSTSRQLLIVRSAVEQLCTCTALLPPTGKKGAGNRWAPRWQCLQ >ORUFI01G01730.1 pep chromosome:OR_W1943:1:1279359:1284077:1 gene:ORUFI01G01730 transcript:ORUFI01G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDEDFKLVKIQTHVLRVNIHCDGCKHKVKKLLQKIEGVYSVALDVDNHKVTVTGNVDSDTLIRKLTRGGKHAELWSQQKGGSNQGHKGSNNQQKQQQQQGQQQHGQQHQKQGANPSKDGNKNNNILKDQGKQGGVGGLIQGLKAFKNQHSKNQLPELDSEDDDLYDDEDDEFDDDYEDDLRFLGDKMSQLGFLRQQAAAAAAANVKNKNANTATVNNHHNNVNGKKGGGGGGSAGGGNHHQNNHHQNQKNPNVINMAAANAKMANGAQKNTGAINGMMGLNHGLGTAGATPGFQGYTGFNHPSYASAGYGGLQQQHLQQQQQSNNLMASMQGYHHHPAATAAMMNNLRGLNNNMMMMHQPQQQPQMMYHRSPQISPYTAYYNPYSYYYHPGAAGYHPASNGDVETMFSDENTKGCVVM >ORUFI01G01740.1 pep chromosome:OR_W1943:1:1279455:1280280:-1 gene:ORUFI01G01740 transcript:ORUFI01G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVHNLLEYQLNTTVATLVLEAKKWKAVTSIKLREFEKEMGREWEKRGRGDGEEEWEERSNGQWLVVEW >ORUFI01G01750.1 pep chromosome:OR_W1943:1:1285748:1287546:-1 gene:ORUFI01G01750 transcript:ORUFI01G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLARHFLPHLRLGLPARCLGDPSSSPSALAIARCRNVAGPSTPPIWALGGTRFFADDRSRYDLFGKRRPGDEEFRKAWQENVDEEDCLWTGSEDEDEEENDTKMEREIKKVKKQAKENANLIDADDSDELRSICSESDEDDMALWSGSEDDDDDDIPTDAHPNERSDSYIDKVFEFDEAPKYRTISELLKAEKEPPELSPGKQARKLAVENALKKLKKGPDGRYINVFDVVTDIDILIGAFENIVSGPEYAELREGGPKKLNIQFFKDIQARMRDPNFKFSPELKLKPKSKLVPRKKWQKAQARKRKNEKR >ORUFI01G01760.1 pep chromosome:OR_W1943:1:1288441:1293934:1 gene:ORUFI01G01760 transcript:ORUFI01G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLQETYACSPATERGRGILLAGDPKTETIAYCTGRSVIIRRLDAPLDCWAYPDHAYPTTVARFSPNGEWVASADASGCVRVWGRYGDRALKAEFRPLSGRVDDLRWSPDGLRIVVSGDGKGKSFVRAFVWDSGSTVGEFDGHSKRVLSCDFKPTRPFRIVTCGEDFLANYYEGPPFKFKHSIRDHSNFVNCIRYAPDGSKFISVSSDKKGLIYDGKTGDKIGELSSEGSHTGSIYAVSWSPDSKQVLTVSADKTAKVWDILEDASGKLNRTLACPGTGGVDDMLVGCLWQNDYLVTVSLGGTFNVFSASNPDKEPVTFAGHLKTVSSLAFFPQSNPKTILSTSYDGVIMRWIKGVGYGGRLMRKNNTQIKCFTAAEEELVTSGYDNKVFRIPLNGDQCGDAESVDVGGQPNALNLAIQKPEFALVTTDSGIVLLHKSTVISTTKVNYTITSSAVSPDGTEAIVGAQDGKLRIYSINGDTVTEEALIEKHRGAITCIHYSPDVSMFASADANREAVVWDRATREIKLKNMLFHSARINCLAWSPDNRLVATGSLDTCAIVYEIDKPASSRITVKGAHLGGVHGLTFVDNDSLVTAGEDACIRVWKLVPQ >ORUFI01G01770.1 pep chromosome:OR_W1943:1:1296693:1303129:1 gene:ORUFI01G01770 transcript:ORUFI01G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPNGSANIAEKNQDNEMSTDAGEPEEVADIFIYREDVVSLKSKEDTRGLVLEVAGEYDSEGSITDDDTDTEEHEHKSSHRTENGGADGDNVSNGVDVDSQSSLPDNKVRVLWIDGVEKTEDIDSVVVMDRSFLHGDIVASATDPTGQMGLVADVSLVVDLQGPHGEIIKGVSSKDLRRIREFNVGDYVVSGPWLGRVDEVLDNVNVLFDDGSVCKVARADPMRLRPALGPLNPNASCPFYPGQRVKAVNSSVYKTSRWLNGLWKASRLEGTVTKVETVAVIVYWIASAHFATNQESVPPEEQNPKDLTLLSCFSYANWQLTDWCLPNQYTSSCTDDSLIESSEIKDSDDIPESSDVKTELTQKTDMDENPGRMDGDSSADGSNMVYEDNTCLAKQSESGTIASTVPKEGSQDNATYRKKLRKVFVKKDKRTRRRDESFERALLIANTYTKVDVIWQDGTKECGASSTLLIPIHSPNDHEFFPEQYVVDKVGNDVDDSSETKRVGLVRSVNAKDRTASVSWFKPSLHPEEPREIECNEIVSAYELDGHPDYDYCYGDVVVRLPSVSLPVESTNRENTMELDNVNSTEVSATPVADAEEQFPQKESSLEFTSLSWAGNIVGFEDGDIIVIWGDGSVSKVGPHEIYVVGREDDGASLDDGTASDGASWETVDDNQTDLPDDSAQDDSQNVADSNIERENGSFNSQDGSSVATGPLSVAFGFVTRLASELFARGKKHLDGSNSDAMDEVESHQSNEISESGDDIDKAEGENNVATSESTVVTTNDASGGKSVDVDMADKPGDSDGFKHFDVQQCPPDHHYLENMAQGTGGRKWVKKVQQEWNILEKNLPDYIYVRVFEDRMDLIRAVIIGASGTPYQDGLFFFDFHLPPEFPQVPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPSSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNALPYNENTYLLSLKSMLYILRRPPMHFEDFAKSHFSKRGKYILKACEAYLQGNGVGTLTDDACTTERSKEQPCSVGFKLALAKIMPRLITALKDAGANCDQYEHLGKTETAQEH >ORUFI01G01770.2 pep chromosome:OR_W1943:1:1297002:1303129:1 gene:ORUFI01G01770 transcript:ORUFI01G01770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPNGSANIAEKNQDNEMSTDAGEPEEVADIFIYREDVVSLKSKEDTRGLVLEVAGEYDSEGSITDDDTDTEEHEHKSSHRTENGGADGDNVSNGVDVDSQSSLPDNKVRVLWIDGVEKTEDIDSVVVMDRSFLHGDIVASATDPTGQMGLVADVSLVVDLQGPHGEIIKGVSSKDLRRIREFNVGDYVVSGPWLGRVDEVLDNVNVLFDDGSVCKVARADPMRLRPALGPLNPNASCPFYPGQRVKAVNSSVYKTSRWLNGLWKASRLEGTVTKVETVAVIVYWIASAHFATNQESVPPEEQNPKDLTLLSCFSYANWQLTDWCLPNQYTSSCTDDSLIESSEIKDSDDIPESSDVKTELTQKTDMDENPGRMDGDSSADGSNMVYEDNTCLAKQSESGTIASTVPKEGSQDNATYRKKLRKVFVKKDKRTRRRDESFERALLIANTYTKVDVIWQDGTKECGASSTLLIPIHSPNDHEFFPEQYVVDKVGNDVDDSSETKRVGLVRSVNAKDRTASVSWFKPSLHPEEPREIECNEIVSAYELDGHPDYDYCYGDVVVRLPSVSLPVESTNRENTMELDNVNSTEVSATPVADAEEQFPQKESSLEFTSLSWAGNIVGFEDGDIIVIWGDGSVSKVGPHEIYVVGREDDGASLDDGTASDGASWETVDDNQTDLPDDSAQDDSQNVADSNIERENGSFNSQDGSSVATGPLSVAFGFVTRLASELFARGKKHLDGSNSDAMDEVESHQSNEISESGDDIDKAEGENNVATSESTVVTTNDASGGKSVDVDMADKPGDSDGFKHFDVQQCPPDHHYLENMAQGTGGRKWVKKVQQEWNILEKNLPDYIYVRVFEDRMDLIRAVIIGASGTPYQDGLFFFDFHLPPEFPQVPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPSSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNALPYNENTYLLSLKSMLYILRRPPMHFEDFAKSHFSKRGKYILKACEAYLQGNGVGTLTDDACTTERSKEQPCSVGFKLALAKIMPRLITALKDAGANCDQYEHLGKTETAQEH >ORUFI01G01780.1 pep chromosome:OR_W1943:1:1297355:1309513:-1 gene:ORUFI01G01780 transcript:ORUFI01G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGNVAVAADVPNTNTVLAGRSRWNFYLKKEPSPEVKPGLGEYWMMMDPILHSLCPSRWKVEVEEEKTILVMMVWRALLNIKIEKEALITDTSNFAADKETSRQKSQSL >ORUFI01G01790.1 pep chromosome:OR_W1943:1:1308033:1311814:1 gene:ORUFI01G01790 transcript:ORUFI01G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKVELAVLLLVLVGVAAGTRPPSAPPPVTEDTLQKVAGSLEMYVDELPQMPKIYGFSMRHGHPSPIRLTIGMYQKKWKFHRDLPASTVFVFGTSAATATFPGPTIEAAQGVPLSVTWQNYLPARHILPWDPTVPTAIPRRGGVPTVVHLHGGAHPPQSDGSAFAWFTAGFGETGPAWSTPTYTYPNAQSPGVLWYHDHALGLTRANLLAGLLGAYVIRNPAVEAPLGLPCGDEFDRVLMLADRSFYADGSIYMNYTGIIPNIHPQWQPEYFGEAITVNGKAWPFLAVARRRYRFRIINTSNARYFNLSLTNGLPFTVVGSDTNYLSKPVTAASLLVSVAETFDVVVDFSQSTTSEAELVNTAPYPYPDGQAPNDLNGKVMKFVISPAKAKDTSRVPAKLLDYVAVAEEEAVQRRYIVMYEYEDAATGNPTHLYINGKRLEDPATETPRPGTTEVWEVINLTPDNHPLHLHLATFQATRVRGLVDEDAFKGCMAKLNDAVRCNVSRHAVGEEVAVPEHEKGWKNVVKIAPGYMTTIVVKFFMVDSGKPYPFWIMKTTP >ORUFI01G01800.1 pep chromosome:OR_W1943:1:1312068:1316981:1 gene:ORUFI01G01800 transcript:ORUFI01G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRFLGVCLLVAVLVLRAAVLGRGDDGGGGGRLLDPGKLEMFVDELPDMPRMRGYGVAEGGKLVAGNLTIGMYETMWKFHRDLPATRVFAYGTSKETATVPGPTIEAMQGVPTYVTWTNHLPPRHFLPWDPTLTAAAPGSGVPAVVHLHGGVQHSGSDGHSLAWFTAGFAATGPRFSSPAAYEYPNQQPPGNLWYHDHAMGLTRVNILAGLLGAYRVASPAEEAALNLPSGEAFDRNLVLFDRDFLADGSLFMNRTGNNPSVHPQWQPEYFGAVVVANGKAWPYLRVRRRRYRLRILNASNARFFRLSLSGGLRFVHVASDSVYLARPVPTRAFLLAPSEIADVVVDFAESGNATAIVLRSDAPAPYPGDPGDKAETVPVMKFVIDDDDDALSTEPDTSSVPARLTSPSQYAKPDAREAVLTRRIAMYEYTKEGTDEPTHLYLNARSYMDPVTETPREGTSELWDVINLTDDNHPLHVHLALFVALEQRSLRDVDDLKECMMARGSGGGGADACGLERHLAGGRKHVVPKQERGWKNVFKVRPGTVTRLLVRFRPLSPPDSRRFPFDVAAGPGYVYHCHILDHEDNEMMRPMKIVR >ORUFI01G01810.1 pep chromosome:OR_W1943:1:1318600:1321857:1 gene:ORUFI01G01810 transcript:ORUFI01G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDARSAAENASRHHHHHHRAHAIADRSERGLPEEHSRGSVDERFVFPWMGILVNVPTEWKNGRQVGESGNRLKEGFARFCPHKVIPLWNHRGHTGNAIVEFAKDWTGFENALAFEVHFEQQGYGRRAWMGNKYRGSQMFGWVARADDHNSPGPIGVYLRKNGDLKTVADLENEGTRKTDKLVANLASQVEVKKRHVEELESQYNETTASLERVMEQREQQLQAYNEEIRKMQDLALRHSQRIMDENKKLRSDLESKMQLLDSRSKELDKLAVQSNSDRMNLEKEKEKNDIKTKHLKMATLEQQKADESVLKLVEEHKREKQAALDKILKLEQQLNAKQKLELEIQQLQGKLEVMKHMPGKEDSEAKSKIHELSEELKDKYAEMESIESLNQALVIKERQSNDELQHARKVLIEGFQDIIVGRTNIGIKRMGVIDFKAFTNAYKQRSLEEDADVSAAELCSLWENEIKNSDWHPFRVVMVDGKEMEILSEDDGKLCELKEEHGEEICAMVTKALLELNEYNPSGRYPVPELWNFKENRKATLKEVVQYVLNQWKKNKRKR >ORUFI01G01820.1 pep chromosome:OR_W1943:1:1332390:1335038:1 gene:ORUFI01G01820 transcript:ORUFI01G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENRARVVALVVAVVVVVVGVAGNVAAAQAAVTAADLQRVAGSLQMYVDALPQMAKIRGYGFQRGQAVPINLTIGMYQKTWKFHRDLPATPVFVYGQCPDSATFPGPTIMARHDVPLFVSPPPPQSDGSAFAWFTAGFAEKGPAWTQATYRYPNVQPPGNLWYHDHALGLTRANLLAGLLGAYVIEKPEVDTPMDLPCDDDDLHLVIADRSFNVDGSLYMNFTGVAPNIHPQWQPEYFGAVVVANGKAWPFLVVHRRRYRLRILNASNARYFNVSLSNGLPIHVVGSDASYLSAPVTVSNLLLSPAEIFDVVVDFSQSPTAEVELINSAPYPFPTGAAPGPLNGKVMKFVVQPNGPLDPPDNSTVPDHEVPYASVTALPPTTMTRYIVMYEYLTPTGQSTHLYINGLRLEDPVTETPKSGTTELWQVINLTGDNHPLHIHLGMFQAVKMQQLVNLQAFTDCMTAVNDAVKCNVDQHAVGPVVPVPDHEKTWKNVIKVPPGFVTSVILDHEDNAMIRPLKLLA >ORUFI01G01830.1 pep chromosome:OR_W1943:1:1339298:1349107:-1 gene:ORUFI01G01830 transcript:ORUFI01G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIQQLAAVLLAAVVVVAAAQDEPAAAKNYQTQWDTVMSILNCKSDSLIPSYICSVISKSRWGWASDDPNDDDEYTPDHPLPAPAAGRRRWPVMTSLNLTKYVDALPRIAKIRGYGIRHGRPVPIKLTIGMYSKTWQFHRDMPPTPVFVYGQSLQTATFPGPTIVARQGVPLAVEWQNHLPDAHILPWDPKVPTAIPKKGGVPTVVHLHGGAHPPEFDGHAFAWFTRDFAENGSTWTRKTYTYPNVQAPGNLWYHDHALGLTRVSLLAGLLAAYVIEKPELEAPMSLPCGDHDLHLVIADREFYTNGSISIDREWKPEYFGLVITVNGKAWPYLSVHRRRYRLRILNASNARYFNVTLSNGALPFTVIGSDSSYLSRPVTVSNLVLSPAEIFDVIVDFSRLPAAVTEIEMLNTAPYPFPNGTQTDPNLDGKVMLFKVAGKGKVDDMPDKSKVPEHGVPYASVAALPPPTTTRYIVLYENQTAPGNLYINGLRLEDPVTETPKSGTTELWQVINLTGDNHPLHLHIATFQAVKMTKIEGFQEFKTCMIANNNTATCNLTQHAVGPVVPVPEEEKTWKNAVKIPPEFMTSVVVAFRLVEANQPYPFDATTEPGFVYHCHMGPRIQQLAAVLLAAVVVVAAARDEPAGKNYQTQWDTVMSILNCKSDSLIPSYICSVISKTRWGWSSDDPDDYTPAADPSPTAARPLRSTADLAKYVDPLPQMARIQGYGINQFGFPVPTNLTIGMYNKTWQFHRDMPPTPVFVYGQSLQTATFPGPTIVARYNVPLYVTWENHLPDAHILPWDPKIDVAIPKNGGVPTVVHLHGAAQAPDSDGHALAWFTRDFAENGSTWTQKTYTYPNVQPAAGNIWYHDHALGLTRASLLAGLLAAYIVEWPELEMPFNLPSGEFDLHLVIADRQFNADGTIYMNSTGAVPSVHPQWQPEYFGEVITVNGKAWPFQAVQRRRYRLRILNASNARYLNIRFSNGLPFTVIASDATYLSRPVTVSNLLLSPAEIFDVIVDFSLVVNPNATDIELLNSAPYPFPTGTPTNDSLDGKVMAFNVSAKWQLGDDMPMPEPVNSTTAPEIGVPFAKVTALPPTMKTRYIVLYENMTSNDPNTAKTMNLYINGLRLEDPPTETPISGTTELWHVINLTPDNHPLHLHLAEFQAVQMLQLVDPDIFKTCMLQHNDTVACNLSQHAVGALQPVPEEEKTWKNVVKIPPAYVTSVVVAFRLVHNNMPYPFDATAAPGYVYHCHILDHEDNAMIRPLTLLR >ORUFI01G01830.2 pep chromosome:OR_W1943:1:1339298:1349107:-1 gene:ORUFI01G01830 transcript:ORUFI01G01830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIQQLAAVLLAAVVVVAAAQDEPAAAKNYQTQWDTVMSILNYDPDDYTPAADPSPTAARPLRSTADLAKYVDPLPQMARIQGYGINQFGFPVPTNLTIGMYNKTWQFHRDMPPTPVFVYGQSLQTATFPGPTIVARYNVPLYVTWENHLPDAHILPWDPKIDVAIPKNGGVPTVVHLHGAAQAPDSDGHALAWFTRDFAENGSTWTQKTYTYPNVQPAAGNIWYHDHALGLTRASLLAGLLAAYIVEWPELEMPFNLPSGEFDLHLVIADRQFNADGTIYMNSTGAVPSVHPQWQPEYFGEVITVNGKAWPFQAVQRRRYRLRILNASNARYLNIRFSNGLPFTVIASDATYLSRPVTVSNLLLSPAEIFDVIVDFSLVVNPNATDIELLNSAPYPFPTGTPTNDSLDGKVMAFNVSAKWQLGDDMPMPEPVNSTTAPEIGVPFAKVTALPPTMKTRYIVLYENMTSNDPNTAKTMNLYINGLRLEDPPTETPISGTTELWHVINLTPDNHPLHLHLAEFQAVQMLQLVDPDIFKTCMLQHNDTVACNLSQHAVGALQPVPEEEKTWKNVVKIPPAYVTSVVVAFRLVHNNMPYPFDATAAPGYVYHCHILDHEDNAMIRPLTLLR >ORUFI01G01830.3 pep chromosome:OR_W1943:1:1339298:1349107:-1 gene:ORUFI01G01830 transcript:ORUFI01G01830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIQQLAAVLLAAVVVVAAAQDEPAAAKNYQTQWDTVMSILNCKSDSLIPSYICSVISKSRWGWASDDPNDDDEYTPDHPLPAPAAGRRRWPVMTSLNLTKYVDALPRIAKIRGYGIRHGRPVPIKLTIGMYSKTWQFHRDMPPTPVFVYGQSLQTATFPGPTIVARQGVPLAVEWQNHLPDAHILPWDPKVPTAIPKKGGVPTVVHLHGGAHPPEFDGHAFACTWTQKTYTYPNVQPAAGNIWYHDHALGLTRASLLAGLLAAYIVEWPELEMPFNLPSGEFDLHLVIADRQFNADGTIYMNSTGAVPSVHPQWQPEYFGEVITVNGKAWPFQAVQRRRYRLRILNASNARYLNIRFSNGLPFTVIASDATYLSRPVTVSNLLLSPAEIFDVIVDFSLVVNPNATDIELLNSAPYPFPTGTPTNDSLDGKVMAFNVSAKWQLGDDMPMPEPVNSTTAPEIGVPFAKVTALPPTMKTRYIVLYENMTSNDPNTAKTMNLYINGLRLEDPPTETPISGTTELWHVINLTPDNHPLHLHLAEFQAVQMLQLVDPDIFKTCMLQHNDTVACNLSQHAVGALQPVPEEEKTWKNVVKIPPAYVTSVVVAFRLVHNNMPYPFDATAAPGYVYHCHILDHEDNAMIRPLTLLR >ORUFI01G01830.4 pep chromosome:OR_W1943:1:1339298:1349107:-1 gene:ORUFI01G01830 transcript:ORUFI01G01830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIQQLAAVLLAAVVVVAAAQDEPAAAKNYQTQWDTVMSILNCKSDSLIPSYICSVISKSRWGWASDDPNDDDEYTPDHPLPAPAAGRRRWPVMTSLNLTKYVDALPRIAKIRGYGIRHGRPVPIKLTIGMYSKTWQFHRDMPPTPVFVYGQSLQTATFPGPTIVARQGVPLAVEWQNHLPDAHILPWDPKVPTAIPKKGGVPTVVHLHGGAHPPEFDGHAFAWFTRDFAENGSTWTRKTYTYPNVQAPGNLWYHDHALGLTRVSLLAGLLAAYVIEKPELEAPMSLPCGDHDLHLVIADREFYTNGSISIDREWKPEYFGLVITVNGKAWPYLSVHRRRYRLRILNASNARYFNVTLSNGALPFTVIGSDSSYLSRPVTVSNLVLSPAEIFDVIVDFSRLPAAVTEIEMLNTAPYPFPNGTQTDPNLDGKVMAFNVSAKWQLGDDMPMPEPVNSTTAPEIGVPFAKVTALPPTMKTRYIVLYENMTSNDPNTAKTMNLYINGLRLEDPPTETPISGTTELWHVINLTPDNHPLHLHLAEFQAVQMLQLVDPDIFKTCMLQHNDTVACNLSQHAVGALQPVPEEEKTWKNVVKIPPAYVTSVVVAFRLVHNNMPYPFDATAAPGYVYHCHILDHEDNAMIRPLTLLR >ORUFI01G01840.1 pep chromosome:OR_W1943:1:1353452:1357226:-1 gene:ORUFI01G01840 transcript:ORUFI01G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPALCAAASCSTPLRVASPVVRFRRPGAPAPVVSAARASSAAVPAVSDDLVLRIAEQLEDSVAASSPLLDPLRSASALSLLSTRWPTRRSNEAYRFTDISYLRSLPISLSSRDAPAVAPPASPYASHVHFSDGVLTSSSGAHVSALADLPPGHVRDRAAAALAASAGFADKDLFFDFNAVGAKDVVVVHVPEGVSMADDPVHIMFSYSGCGDGSMMMSNPRVLVVAEKGAEVTIIEEHFGQEDGGCYWANPVMEIIVEEDAKVVHSYVQQQSFAAAHTKWTVVQQNTSSNYEFVEVSIGARLNRHNLHVQQLGPETNTQLSTFHFSAQNKQIHDLHSKLILDHPRGRSQQIHRLIASGTGNGIFDGNIKVNRYAQQTDAGQETKCLLLSSKALVNVKPNLQIIADDVKCTHGAAISGEHDPNAIYFLQARGIDAKTAADALNFAFGAHVINQIPFKPIEKKTLAHFKALLASSRQNDE >ORUFI01G01850.1 pep chromosome:OR_W1943:1:1357428:1361602:1 gene:ORUFI01G01850 transcript:ORUFI01G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLTRPFLRPSPPPPRPTRRSSRAHCLAPSASARGPSFRRPYTSVLVVPTGVGAAVGGFAGDALPVARALGAVADCVISHPNVLNAAMLYWPMPNTLYVEGYALDRFAEGSWALQPVHQNKVGLVLDSGIEEELRLRHLQVADAARASLGLPVVEYIVTDTPLEIKTWFDPKCGKSTGSVGNSDSLLRAVEALVNRSDVNAVAVVARFPDDDPEDSDCYREGKGVDLLAGVEAIISHLIVKKFKIPAAHAPAVLPPVLSLSVSPRSAAEEIGHTFLPCVLAGLSNAPQYVMRRNGNMDNGCIVASDVDSVILPKDACGGDGTIAFARTATKNKPLIITVQENETVLDDTPDKFNIEALNVQNYWEAIGVIAAHKAGINPNALRRQGIDHLKSSLRLYPAQNRGSRKSACSPPQEKLYTHELVSSQPPPRLISEHLPAGDPPSPLSRSRKRRSFSKEEDELLLKLHALLGNRWSLIAGRLPGRTDREHYITVATSPSTASPSAKRSS >ORUFI01G01850.2 pep chromosome:OR_W1943:1:1357428:1361511:1 gene:ORUFI01G01850 transcript:ORUFI01G01850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLTRPFLRPSPPPPRPTRRSSRAHCLAPSASARGPSFRRPYTSVLVVPTGVGAAVGGFAGDALPVARALGAVADCVISHPNVLNAAMLYWPMPNTLYVEGYALDRFAEGSWALQPVHQNKVGLVLDSGIEEELRLRHLQVADAARASLGLPVVEYIVTDTPLEIKTWFDPKCGKSTGSVGNSDSLLRAVEALVNRSDVNAVAVVARFPDDDPEDSDCYREGKGVDLLAGVEAIISHLIVKKFKIPAAHAPAVLPPVLSLSVSPRSAAEEIGHTFLPCVLAGLSNAPQYVMRRNGNMDNGCIVASDVDSVILPKDACGGDGTIAFARTATKNKPLIITVQENETVLDDTPDKFNIEALNVQNYWEAIGVIAAHKAALQVCRCHRSLHHGLSPSTCRPVIHRRRLAGAERGGASPKKRTSSSSSCMLCSATVGPSSLGGYRGGQTERS >ORUFI01G01860.1 pep chromosome:OR_W1943:1:1362831:1364110:1 gene:ORUFI01G01860 transcript:ORUFI01G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYCVTGGTGFIASHLIRALLAAGHTVRATVRDPEDEAKVGFLWELDGASERLQLMKADLMVEGSFDDAVRGVDGVFHAASPVVVVGNGSNNNGKPNDDDEEEVQQRLVEPIVRGASNVLRSCARASPRPRRVVFTSSCSCVRYGAGAAAALNESHWSDAAYCAAHGLWYAYAKTLAEREAWRLAKERGLDMVAVNPSFVVGPILSPAPTSTALIVLALLRGELPRYPNTTVGFVHVDDAVLAHVVAMEDARASGRLICSCHVAHWSEIVGSLRERYPGYPIPAECGSHKGDDRAHKMDTAKIRALGFPPFLSVQQMFDDCIKSFQDKGLLPPHA >ORUFI01G01870.1 pep chromosome:OR_W1943:1:1364897:1365433:1 gene:ORUFI01G01870 transcript:ORUFI01G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLLAGIPISSAAVTTNSNIRLPTDGGGGDEWPSPPWDCCDKLKQSPLRIWPPKYKCLDEVDHCAAACEDCKRVDGGGYVCRDWYWGVNPGPKCTGGGDGDGEGEGLEAVRSRPWKCCDDAVCTRSMPPTCSCQDKVRSCSGGCGKCVQVESQPPRFRCLDRYHGFPGPKCHNQPA >ORUFI01G01880.1 pep chromosome:OR_W1943:1:1367456:1371088:1 gene:ORUFI01G01880 transcript:ORUFI01G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPWSCGWCGRRRSSGCGRGGGADREADGGGGAASGREEEQWSLFIELPVLEAATHGFSDDNLLGRGGFGPVYKGVLENGQEIAVKKLSLESRQGVREFLNEVRLLLKVQHRNLVSLLGCCASSGHKMLVYPYFPNGSLDHFLFDRKKSVQLDWPKRYQIIVGLARGLLYLHEESPVKIIHRDIKASNVLLDEQLNPKISDFGMARLFLEDATHVNTFRISGTYGYMAPEYAMNGYLSTKTDVFSFGMLVLEIVSGRKNIDKHLDAEKTDLLNYTWKLSEEGRQMEIVDPAMAEWDADEARLCVQLGLLCCQAVVSDRPDMYTVHLMLSSDSFTLPKPGKPAIHGRVGRWMSTTTTVSSAASTANTATTLGTDTMTTRASASASAAALGGIAEDDSRNSISISFTTEGR >ORUFI01G01890.1 pep chromosome:OR_W1943:1:1376645:1384370:1 gene:ORUFI01G01890 transcript:ORUFI01G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSPPPPEEEPSSPSLRLRCAVQHYEWGRRGEASLVARLSDANADDHGPDPARPYAELWMGTHPSAPSSLLADGLLRDWLARPPAALGPAVAARWGGDLPFLFKVLSVAKALSIQAHPDKDLAEVLHALRPATYKDGNHKPEMAIAVTEFRVLCGFAGIQELKDVLRTVPEVEDLVGPEDAAKLLSVKEYHGVNEVKSCLRSAFTKLMTASKEAVSEAITKLIFRLNAESKVRTLTEKENLVLSLEKQYPEDVGVLSAFFFNYIKLSPGEALYIGANEPHAYLSGECIECMATSDNVVRAGLTPKYRDVQTLCSMLTYKQVFPEILRGVPVQPYVRRYTPPFDEFEVDCCSLPPGELVVISPVPGPSVYLVMAGEGEIQVDSMPNGEKSKQGDVFFVPAYTEVKFSASGPECMQLYRAGVNSRFFN >ORUFI01G01890.2 pep chromosome:OR_W1943:1:1376645:1384370:1 gene:ORUFI01G01890 transcript:ORUFI01G01890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSPPPPEEEPSSPSLRLRCAVQHYEWGRRGEASLVARLSDANADDHGPDPARPYAELWMGTHPSAPSSLLADGLLRDWLARPPAALGPAVAARWGGDLPFLFKVLSVAKALSIQAHPDKDLAEVLHALRPATYKDGNHKPEMAIAVTEFRVLCGFAGIQELKDVLRTVPEVEDLVGPEDAAKLLSVKEYHGVNEVKSCLRSAFTKLMTASKEAVSEAITKLIFRLNAESKVRTLTEKENLVLSLEKQYPEDVGVLSAFFFNYIKLSPGEALYIGANEPHAYLSGECIECMATSDNVVRAGLTPKYRDVQTLCSMLTYKQVFPEILRGVPVQPYVRRYTPPFDEFEVDCCSLPPGELVVISPVPGPSVYLVMAGEGEIQVDSMPNGEKSKQGDVFFVPAYTEVKFSASGPECMQLYRAGQTWGNSAVNPVRHVKGTQERKFR >ORUFI01G01900.1 pep chromosome:OR_W1943:1:1382688:1392416:-1 gene:ORUFI01G01900 transcript:ORUFI01G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSWIDEMEYMGGKACTLAQIRQVCLVVPLMTDRSGCIQAKEGCMDGHLQPTGYYKLVHAQI >ORUFI01G01910.1 pep chromosome:OR_W1943:1:1392476:1393722:-1 gene:ORUFI01G01910 transcript:ORUFI01G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRVAGPMGVMSKQQQEAMAEEMMELRRGPWTLEEDNLLMNYIACHGEGRWNLLARCSGLKRTGKSCRLRWLNYLKPDIKRGNLTPEEQLLILELHSRWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLKVDANSTVFRDAVRCYWMPRLLEKMAGAATDPTPPPPPPLHHHAAMATASSSPFDFDTHLQQAEAATACVVPNNVYHHHIDPSPSTSTSAGSTAAAAAFQPLPCFSELRWDQQMQSSGELDDGAAGVFVDSASALGSLSLDGLDLGPAEYYSDSTLLDYLNSSCTGSAMMTMMTASGNAGSYNYCGGAMDGGDTWRSDEVCQAAARKLGEWGGGI >ORUFI01G01920.1 pep chromosome:OR_W1943:1:1405599:1407242:-1 gene:ORUFI01G01920 transcript:ORUFI01G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSIMSTLPLLLLLLFSSLFPAPSHAWGIHGHLIGRLSDAAAAAVRGLLPSYAGGNLSSLCSWADGVKLRYPWSAPLHYIDTPDHLCSYTYDRDCKDEDSFRGRCVAGAINNYTSQLLTYDATSPSTQYNLTQALLFLAHFVGDIHQPLHVGFTSDKGGNTIDVHWYTRKTVLHHVWDDNIIETAENDYYGEGVAEFVDALMQNITGEWSQRVPGWEECSKNQTTCPDTYASESIAAACDWAYKDVTEDSLLEDAYFGSRLPVVNLRLAQGGVRLAATLNRIFS >ORUFI01G01930.1 pep chromosome:OR_W1943:1:1408961:1413335:1 gene:ORUFI01G01930 transcript:ORUFI01G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAPLLRLRLLPLAAFVSVVSLTAAPRRAEAWGKQGHIIVCKIAEKYLSEKAAAAVEELLPESAGGELSTVCPWADEVRFHYYWSRPLHYANTPQVCNFKYSRDCHNSRHQQGMCVVGAINNYTDQLYSYGDSKSSYNLTESLMFLAHFVGDVHQPLHVGFEEDEGGNTIKVHWYRRKENLHHVWDNSIIETAMKDFYNRSLDTMVEALKMNLTDGWSEDISHWENCGNKKETCANDYAIESIHLSCNYAYKDVEQDITLGDDYFYSRYPIVEKRLAQAGIRLALILNRIFGEDKPDGNVIPLQVQ >ORUFI01G01940.1 pep chromosome:OR_W1943:1:1411253:1414335:-1 gene:ORUFI01G01940 transcript:ORUFI01G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRVVAGAAPQQQLGPTSTKGEPATGNGLFPSSASPLLLLASCPAMAALLLLSSAARVGVAAPLALRQQRPVVLPGGQLRTGSGAGAASAWAARPLRPELAAVSRPAVPARGRAPLFRPRAWMASSQIASSAFTWGTIAVLPFYTLMVVAPNADVTKRAVDSSAPYVALGILYAYLLYLSWTPDTLRAMFASKYWLPELTGIVRMFASEMTVASAWIHLLAVDLFAARQVYHDGIKNNIETRHSVSLCLLFCPIGIATHVLTKVHLESLHHGIQASVIEVLHGRLYDAVVPHL >ORUFI01G01950.1 pep chromosome:OR_W1943:1:1414721:1419309:-1 gene:ORUFI01G01950 transcript:ORUFI01G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDLAGSSSSSAMDAVVADPSHGWQKVTYPKRHRKQGAAALPSAAAPDLGFLPNGGGKVNVFEAVDRNAEKRHRALLAARDAADPDAARIAAATASAYSDDDDDDDEAQATRPEGEVKKPKVKKPKKPKVTVAEAAALIDAENLAAHLVQISESYENQQDIQLMRFADYFGRSFASVSAAQFPWAKMFKESLVSKMVDIPLCHIPEPVRNTASDWINQRSPDALGDFVMWCIDSIMSELSGQAVGAKGSKKAAQQTPRAQVAIFVVLALTVRRKPEVLTNVLPKIMGNNKYLGQEKLPIIVWVIAQASQGDLVTGMFCWAHFLFPTLCAKPSGNPQTRDLVLQLLERILSAPKARGILLNGAVRKGERLIPPVTFDLFMRAAFPVSSARVKATERFEAAYPTIKELALAGPPGSKTVKQAAQQLLPLCVKAMQENNADLTGESAGVFIWCLTQNAESYKLWERLHPENVEASVVVLSTIVTKWSELSHKLSAESLKVTLKNLRTKNEAALEAATDSGKQASIKAADKYSKEILGRLSRGGACLKGSLLVITLAVAAGFVLSPNLEIPSDWDKLQAMVASHLSF >ORUFI01G01960.1 pep chromosome:OR_W1943:1:1425305:1429288:-1 gene:ORUFI01G01960 transcript:ORUFI01G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLWACRCGADIEHTKESKAAVAAVSWGDLNEYVEEMVAYCHADEYDYLRETCDSLRQFIADQRHHISQLSAQAEAKELQLQANYEALNQSKLGCADLKKKLECEKAWTRTIVSMMILFYVLLVAVMIRQLSKEYIRVQVTHGIEEIGGIKPPRSIPSIGNFAAHDDVAGELAIFRGTSTSWVVIAGAVSIAPVVVAGAATIAVEIAVGELGFCRQWGMGNGEQRWLSRTGIAGLYCLSQGHKRPYGNTYDDKRHEWRFRRT >ORUFI01G01970.1 pep chromosome:OR_W1943:1:1429763:1438102:1 gene:ORUFI01G01970 transcript:ORUFI01G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKLKKLHAKDALEFFNQVMVEQPLLPFLVPLVLFAWFVERWVVPFSNWVPLLAAVWATIQYGRFKRRSAIEDLNKRWKHLILNTTPTTPIEPCEWLNKLLVEVWPNYMEPKLSKKFQSTVEDKIELQEFSLGCCPPTLGEHGMRWMTSGDQKVMRLGFDWDSNEMSVMFLAKLAKPLIGAARIVINSIHIKGDLLLLPILDGEAILYSFESTPEVRIGVAFGSGGSQAVPGMELPGVSTWLVKLLTETIVKTMVEPRRLCFSLPPVDLRKRAVGGVLSVTVVSASNVGRNTTNEIGIRQSSSGGSTSGIADNKTGVVKFNLYELDSGGVKVTYLTSCEIKVKYVLDDSTIFWAIGHNSGAVAKRTELCGQAVGMVVPFEDIRGELTVTLVLKEWQFSDGSVTLSNSLSNGSHSSFDVSPKLQSRTGRKLRVAVVEGKALAVNGKSGKCDPYVKVQYGKALYKTKTLSHTTRPVWNDKFEFDEITGGEYLKIKCYSADTFGDESIGSARVNLEGLLDGDSREVWVPLEKVDSGEIRLQIEPIKSDFNGILKTSSGRVEATWIELVIIEARDLIAADLRGTSDPYVRVHYGSKKKRTKVVYKTLSPDWNQTFEFPETGEPLILHVKDHNAVLPTASIGQCTVEYSMLPPNQPAVKWIPLQGVKSGEVHVKITRKVPHLEKKTSFQTDASSLGKGHKISSQMRDSLKKFTGLVDEGGDTEAMSLALTEIESIQDEQDMYIQQLEREKAALLRKIQELGSEIVRTSSGPARMPY >ORUFI01G01970.2 pep chromosome:OR_W1943:1:1429763:1438102:1 gene:ORUFI01G01970 transcript:ORUFI01G01970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKLKKLHAKDALEFFNQVMVEQPLLPFLVPLVLFAWFVERWVVPFSNWVPLLAAVWATIQYGRFKRRSAIEDLNKRWKHLILNTTPTTPIEPCEWLNKLLVEVWPNYMEPKLSKKFQSTVEDKIELQEFSLGCCPPTLGEHGMRWMTSGDQKVMRLGFDWDSNEMSVMFLAKLAKPLIGAARIVINSIHIKGDLLLLPILDGEAILYSFESTPEVRIGVAFGSGGSQAVPGMELPGVSTWLVKLLTETIVKTMVEPRRLCFSLPPVDLRKRAVGGVLSVTVVSASNVGRNTTNEIGIRQSSSGGSTSGIADNKVSQTFIEVEVGSLVRKTSTSKGPNPAWNSTFNLVLHGETGVVKFNLYELDSGGVKVTYLTSCEIKVKYVLDDSTIFWAIGHNSGAVAKRTELCGQAVGMVVPFEDIRGELTVTLVLKEWQFSDGSVTLSNSLSNGSHSSFDVSPKLQSRTGRKLRVAVVEGKALAVNGKSGKCDPYVKVQYGKALYKTKTLSHTTRPVWNDKFEFDEITGGEYLKIKCYSADTFGDESIGSARVNLEGLLDGDSREVWVPLEKVDSGEIRLQIEPIKSDFNGILKTSSGRVEATWIELVIIEARDLIAADLRGTSDPYVRVHYGSKKKRTKVVYKTLSPDWNQTFEFPETGEPLILHVKDHNAVLPTASIGQCTVEYSMLPPNQPAVKWIPLQGVKSGEVHVKITRKVPHLEKKTSFQTDASSLGKGHKISSQMRDSLKKFTGLVDEGGDTEAMSLALTEIESIQDEQDMYIQQLEREKAALLRKIQELGSEIVRTSSGPARMPY >ORUFI01G01970.3 pep chromosome:OR_W1943:1:1429553:1431108:1 gene:ORUFI01G01970 transcript:ORUFI01G01970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNREWGTEMVEPDRDGGGEEQSPAAKAGDFAGCGCGGLDRKGGILEAVRGIRSNSEGGKLQFFQLLPIACVEWSHLAFSASGIQYPQCKRGQ >ORUFI01G01980.1 pep chromosome:OR_W1943:1:1448829:1450244:1 gene:ORUFI01G01980 transcript:ORUFI01G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRRQEGSPLDLNNLPDEFGKQTVESSTTTAASSAEASRVTKKKSNGGKDEAGKVYECRFCSLKFCKSQALERETETLNRARQLVFGNDSLAAVGAQLKDVNMGGGGAAAPPPTMQMGGGGFRGGGVGGDPCIPLRPVQPRLSPPQPPPYHHYLYTTTAPPSALHPMSYPATYPAPPRHQQPAAVGDYVIGHAVSAGDALVAPPPPPHRASFSCFGAPLAAPPANVQPDNGNCNCSFGCGHSNRNVNAAS >ORUFI01G01990.1 pep chromosome:OR_W1943:1:1461967:1462574:1 gene:ORUFI01G01990 transcript:ORUFI01G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDGGAREWFGEVLGSSRTVLARGNREESRNGFKVDSDICGIGTSIWRGFKGGD >ORUFI01G02000.1 pep chromosome:OR_W1943:1:1464353:1466853:-1 gene:ORUFI01G02000 transcript:ORUFI01G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAAALGWRRRCMRKGHGSCDEHQVTETSGREEFAGADDIAGVQQQKAEMLFWFDEAVFEDTALLGGEGKDQLMYDAATTPPTPTPARCLQVESTFPMVESTRRISPHHRQCSFSHEEPKAAAAAATASSQKDIIPAAVPSPENVPVEAGEEDGHGEHGEEDDEEEKRFRGDDVKLFVNSRALADTKKLLLEGAMAGKGGVQREREEESRLGASTLTSESTSKSSVEWRSSTVTKDSETEYPFSSSSRRSSSRWESYALFRKYDEDMVYFHRVGAQKLTETESYRSIKYQPRSVSERIVQKLTPKPRPAIGLRDPYPELERVYVAQICLTWEALNWNYASFRRHAGDIAARCCPARVAQEFQQFQVLLHRFIENEPYEYGLRPEVYARMKNSSPKLLLVPEFRDEEDEKDDLISAVQFLYILEESIRTFMAFLRADKRSHYQMFREMVKRRTSSMDQTLVITLKKVNKKKKSRLKDLTRPRRCLRRTKLREEEEMSILLGLIDLKIVSRVLRMPEITDQQLHWCEEKMNRVKVDPAQGKMQRDPSPLFFPAH >ORUFI01G02000.2 pep chromosome:OR_W1943:1:1464353:1466860:-1 gene:ORUFI01G02000 transcript:ORUFI01G02000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNASGSSCCSRVAAPLHAQVLGSPSPSHGYGGAGDVCLLQAPPPAVVCGEMLALTTPAPPSLLHALASLNVLLLVAYLLLFLLAKLAAGLHRRGHGSCDEHQVTETSGREEFAGADDIAGVQQQKAEMLFWFDEAVFEDTALLGGEGKDQLMYDAATTPPTPTPARCLQVESTFPMVESTRRISPHHRQCSFSHEEPKAAAAAATASSQKDIIPAAVPSPENVPVEAGEEDGHGEHGEEDDEEEKRFRGDDVKLFVNSRALADTKKLLLEGAMAGKGGVQREREEESRLGASTLTSESTSKSSVEWRSSTVTKDSETEYPFSSSSRRSSSRWESYALFRKYDEDMVYFHRVGAQKLTETESYRSIKYQPRSVSERIVQKLTPKPRPAIGLRDPYPELERVYVAQICLTWEALNWNYASFRRHAGDIAARCCPARVAQEFQQFQVLLHRFIENEPYEYGLRPEVYARMKNSSPKLLLVPEFRDEEDEKDDLISAVQFLYILEESIRTFMAFLRADKRSHYQMFREMVKRRTSSMDQTLVITLKKVNKKKKSRLKDLTRPRRCLRRTKLREEEEMSILLGLIDLKIVSRVLRMPEITDQQLHWCEEKMNRVKVDPAQGKMQRDPSPLFFPAH >ORUFI01G02010.1 pep chromosome:OR_W1943:1:1479262:1480999:1 gene:ORUFI01G02010 transcript:ORUFI01G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDNCAIRPCLQWIRSPDAQANATVFLAKFYGRAGLINLITAGPEHVRPAIFRSLLYEACGRMLNPVYGSVGLLWSGNWQLCQSAVESVLRGMPIAQPPPSATAVPPLPTCDIRHVGARRGDVHGAAAGPVADLHRLDISSRAKFKRPGGGGAAAHRSDHAAFELVFSKPAAAMAVDVIRQAQPLNWAPGALSHESASHDAAPPESEGHSNDTADTVDGSHVSQSEPEPRATSAATEVHDAGLDLTLGLPPPPPPVQKTEPADSDGGSQQQHDHRKEMPVELGLVISTSVAAQ >ORUFI01G02020.1 pep chromosome:OR_W1943:1:1490580:1493164:-1 gene:ORUFI01G02020 transcript:ORUFI01G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPATTPLPRRRLALILCLAWALWLHGGGGGISLADAFQAPTPARRSSGSSYAVGSRPVPAAAPRWSSSSSSSASEAAARFADDKRRIPSCPDALHNSLNT >ORUFI01G02030.1 pep chromosome:OR_W1943:1:1494953:1499131:-1 gene:ORUFI01G02030 transcript:ORUFI01G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRRGGGERETETEEDETWKLRVGDDFTVPERFHRKPPFFSRIFPAGSHGKHRKIAKYYKKQENLLKDFSEMETMNEIGSLDQNAPTEEELRQMAKGERLAINLSNIINLILFIGKVLASVESLSMAVIASTLDSLLDLLSGFILWFTAHAMKKPNKYSYPIGKRRMQPVGIIVFASVMGTLGFQVLIESGRQLITNEHQVFDHRKELWMIGSMSSVAVVKFFLMLYCRSFKNEIVRAYAQDHFFDVITNSVGLVSALLAVRYKWWMDPVGAILIAVYTITTWARTVVENVGTLIGRSAPAEYLTKLTYLIWNHHEEIRHIDTVRAYTFGTHYFVEVDIVLPGDMPLSHAHDIGESLQEKLEQLPEVERAFVHVDFEFTHRPEHKAELPKDED >ORUFI01G02030.2 pep chromosome:OR_W1943:1:1494953:1502575:-1 gene:ORUFI01G02030 transcript:ORUFI01G02030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGEKQAAAAEGWRLSVNDFQLPERPKDPHFVKRVIKRCHGKHRKIAKYYKKQENLLKDFSEMETMNEIGSLDQNAPTEEELRQMAKGERLAINLSNIINLILFIGKVLASVESLSMAVIASTLDSLLDLLSGFILWFTAHAMKKPNKYSYPIGKRRMQPVGIIVFASVMGTLGFQVLIESGRQLITNEHQVFDHRKELWMIGSMSSVAVVKFFLMLYCRSFKNEIVRAYAQDHFFDVITNSVGLVSALLAVRYKWWMDPVGAILIAVYTITTWARTVVENVGTLIGRSAPAEYLTKLTYLIWNHHEEIRHIDTVRAYTFGTHYFVEVDIVLPGDMPLSHAHDIGESLQEKLEQLPEVERAFVHVDFEFTHRPEHKAELPKDED >ORUFI01G02030.3 pep chromosome:OR_W1943:1:1499152:1502575:-1 gene:ORUFI01G02030 transcript:ORUFI01G02030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGEKQAAAAEGWRLSVNDFQLPERPKDPHFVKRVIKRCHDVERSILGDRYHACPQTYQSASRPRAPWIYSLQLGPVGHFDRMSTIELRAPDHIAASAPA >ORUFI01G02040.1 pep chromosome:OR_W1943:1:1503615:1504019:-1 gene:ORUFI01G02040 transcript:ORUFI01G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRAGRPSPPFSLSALAGWLTRELQEERRIARRERGEGGADAPWRLSGGWDPLALPHYCHVGRGPSQSNKVGATSAKTVIQTTEGLRLHRF >ORUFI01G02050.1 pep chromosome:OR_W1943:1:1507997:1508383:-1 gene:ORUFI01G02050 transcript:ORUFI01G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTTAKRKRPAASDIADDAPTTVDEVSDAEVEEFYAILRRMRDATRRLGARPPPPRAPAWRPSFSWEDFADAPPKQAPPPPQQQPADHERVAENATPPRRPAPGLDLNVEPPSDAPATPRSARAPA >ORUFI01G02060.1 pep chromosome:OR_W1943:1:1524785:1529724:1 gene:ORUFI01G02060 transcript:ORUFI01G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMLASLSSSSRAAISCIPLCLLFLTLASSNGVFAAAPPKVGSGYKLVSLVEHPEGGALVGYLQVKQRTSTYGPDIPLLRLYVKHETKDRIRVQITDADKPRWEVPYNLLQREPAPPVTGGRITGVPFAAGEYPGEELVFTYGRDPFWFAVHRKSSREALFNTSCGALVFKDQYIEASTSLPRDAALYGLGENTQPGGIRLRPNDPYTIYTTDISAINLNTDLYGSHPVYVDLRSRGGHGVAHAVLLLNSNGMDVFYRGTSLTYKVIGGLLDFYLFSGPTPLAVVDQYTSMIGRPAPMPYWAFGFHQCRWGYKNLSVVEGVVEGYRNAQIPLDVIWNDDDHMDAAKDFTLDPVNYPRPKLLEFLDKIHAQGMKYIVLIDPGIAVNNTYGVYQRGMQGDVFIKLDGKPYLAQVWPGPVYFPDFLNPNGVSWWIDEVRRFHDLVPVDGLWIDMNEASNFCTGKCEIPTTHLCPLPNTTTPWVCCLDCKNLTNTRWDEPPYKINASGQTARLGFNTIATSATHYNGILEYNAHSLYGFSQAIATHQALQGLQGKRPFILTRSTFVGSGAYAAHWTGDNKGTWENLRYSISTMLNFGIFGMPMVGADICGFYPQPTEELCNRWIELGAFYPFSRDHANFASPRQELYVWESVAKSARNALGMRYRLLPYLYTLNYQAHLTGAPVARPVFFSFPDFTPCYGLSTQYLLGASVMVSPVLEQGATSVSAMFPPGSWYNLFDTTKVVVSRGEGAVKLDAPLNEINVHVFQNTILPMQRGGTISKEARATPFTLVVAFPFGATEAEAEGAVYVDDDERPEMVLAEGQATYVRFYATVRGKAVTVRSEVELGSYSLQKGLLIEKLSVLGLEGTGRDLAVHVDGANATAIATSRPYFAGAEAELHGHRDVEGHKKSVMVEVGGLALPLGKSFTMTWNMQIEA >ORUFI01G02070.1 pep chromosome:OR_W1943:1:1534087:1534487:1 gene:ORUFI01G02070 transcript:ORUFI01G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNARIGVSVSVFLSLACLPCRPPPPQPVTTTTTTAIPFRRRPCRPPWLDWTISVSVSLYERIPADTAIRYGVIRACAMVHVIIQCYKDQGTRRERCKGAQSPPESFLVAPNDWQQLE >ORUFI01G02080.1 pep chromosome:OR_W1943:1:1536771:1537082:-1 gene:ORUFI01G02080 transcript:ORUFI01G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDEDFAWAVEDYLKLSKRLVLPGRRPRPAPSLLTRPLLTAPMCPATSHTYTAASTRPSSPHSTCVSHLVGGQRGKRRGEGLTGGIYVASHAGIPRRHAT >ORUFI01G02090.1 pep chromosome:OR_W1943:1:1538783:1539307:-1 gene:ORUFI01G02090 transcript:ORUFI01G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRAQQPKLQEGQDGAGAAGNANPKPQRRVKQPRQPKAASAAAKKAAAAAAARESSSSSVGAGAAVTSAASSSCSSGADMAPTVPDVCGGGGGGAGYEAGAATTVEWDLDGGLSNGSSWWTFGVEEEKLLGWFPFVEEDFRCLGARGDAEMAFDDDIWRIHQIYEIPNYAAK >ORUFI01G02100.1 pep chromosome:OR_W1943:1:1545432:1545782:1 gene:ORUFI01G02100 transcript:ORUFI01G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGARGAGQWWMKAAGMASAEGAKAAATDFATGSVSAAGGSRVRRGEEVVSSGDGGGWWRGDVEADGMWRLSCGLVGGEVGGASEAESGACGSGRASWRPVAVWSSG >ORUFI01G02110.1 pep chromosome:OR_W1943:1:1557523:1558062:-1 gene:ORUFI01G02110 transcript:ORUFI01G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSLAHKALEARVRPKSPDHEQVGPTSRTNTSPHPPIDSTTKVWKQTGQQKGGASRKARRSGLLEEELKVEDRATETSESSMFPTRSKKSFVAEATSPPRTIGLCKRPSLATKTTTSNFTTNAAKSWGEQSSRATRQLGGEKRKGGKGGGGRRRGREVAAEVGWGRGWTPGEAPESPR >ORUFI01G02120.1 pep chromosome:OR_W1943:1:1565074:1565601:1 gene:ORUFI01G02120 transcript:ORUFI01G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNNNNNNKRARDAEDEADEAKRLRAEDLLDMLDDDTDAGGAAGDLASVMRSFEEEIVAGDVAGDVAPTTQPELGFLLEASDDELGLPPATASSSEEEAGAGEPEDAIGFGGQIWGFEDEIGGGGYAGFALTSPEAVAAAAAAAEWDDDGFDGGLFGFGDEVSALRHETMPAV >ORUFI01G02130.1 pep chromosome:OR_W1943:1:1572427:1574872:1 gene:ORUFI01G02130 transcript:ORUFI01G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQQQMLLKKVMAKKPKTKRLSGFGLKPSAAFSRPHVPSAAASLQPSRRVRVVFEDPDATDSDSDDDEDAGAASKKRYFELFIGKPASSTKQASPASTVAAYANIGKVGSTCYRGVRLRKWGKWAAEIRNPFTGHREWLGTFDTADAASAAYQSASRNFAEEKRRRGVASSASPASSATPTPTASSSSSTSAAPFAHPSPSSVLEATKPAPKPESPPLPEQAATPLLVEATNETAELPDDPEFYKDILRGLQLPDIDPMDFRAGLDALDISDVPAYMNGEQDVLFTEDMLLGDFAEEDDLDLDDIGDDFCEDFPEIPSGYDFGRGDMFRQVDFCV >ORUFI01G02140.1 pep chromosome:OR_W1943:1:1580421:1584625:1 gene:ORUFI01G02140 transcript:ORUFI01G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSWVQRLLTTATTAALLLLAACCAASALDAFHVPSVQAQAHVTKINRFHKQLNGNDKVTLTFNLSANLESLFTWSTKQVFVFLTAEYENSKNSLNQVSLWDHIIPDKDKANLQVEVKSKYPLIDQGSSLRGKKVQLVLHWHVMPKAGVMIRDRMALSEFNLPDSYTS >ORUFI01G02150.1 pep chromosome:OR_W1943:1:1583949:1587367:-1 gene:ORUFI01G02150 transcript:ORUFI01G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLLVILAVQAALIMGIFAAVAKENAVGESKAIDINPGQLKCCSNCNFSFSGLYTCDDIVKKCDPVCKKCAVVKTYPVKMFKCTDTFLGIGALNDGVENKLKVVAMKSSTLMVILVLQAVLGNTETNGGKLKCCSNCNFSFSGLYTCEDVVKKCDPVCKSCAVVKTHPVKKFKCTDTFLGMCGPPCKH >ORUFI01G02160.1 pep chromosome:OR_W1943:1:1591483:1595118:1 gene:ORUFI01G02160 transcript:ORUFI01G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIVWALLLFLLHLPTIATGSSAHFGGNNTVRCHPNQAAALLQLKQSFFWVNSPVILPTWQDGTDCCTWEGVGCDASSHLVTVLDLSGRGMYSDSFEPALFSLTSLQRLDLSMNSLGTSSTTKDAEFDRLTSLTHLNLSNSGLDGQIPMGINKLINLVSLDLSKRYVNDNSDISFNESDDEIIFTGDSYNHLQESRLMSLVENLSNLKELYLDHVDMSTNVDDWCKTLAQSVPRLQVLSLDGCSLNTPIHHSLLRLHSLTVINLQSNPGIAVNLFPDFFMGFANLTVLRLSHNNLEGWFPDKFFQLKNLRILDLSFNMNLLGHLPKVPTSLETLRLEGTNFSYAKRISSSNFNMLKELGLEGKLISKDFLTSFGLIWSLCHLELLNSELLGDSGSNLLSWIGAHKNLTCLILSEFDFSSTKPSSISNFKNLRSLWLFGCNLTRPIMSAIGDLVDLQSLDMSNCNTYSSMPSSIGNLTNLKSLYINSPGFLGPMPAAIGNLKSLKSMVFSNCEFTGPMPSTIGNLTKLQTLEIAACRFSGPIPYSIGQLKELRALFIEGCNMSGRIPNSIVNMSKLIYLGLPANYLSGKIPARLFTLPALLFLDLFGNHFSGPIQEFDAVPSYLMSLQLTSNELTGEFPKSFFELTSLIALEIDLNNLAGSVDLSSFKRLKKLRDLNLSHNNLSVIMDDEGDNSSSTYLSELKELGLACCNITKFPSILTRLSDMSYLDLSCNKISGNIPKWIWEKWSSSVVHLNLSHNMLTSMEVASYLLPFNRHFETLDLSSNMLQGQIPIPNLSAEFLDYSHNAFSSILPNFTLYLSKTWYLSMSKNNISGNIPHSICNSSLLVLNLAHNNFSGPFPSCLMEQTYFRNILNLRGNHFEGMLPTNVTRCAFQTIDLNGNKIEGRLPRALGNCTYLEVLDLGNNKIADTFPSWLGSLSNLRVLVLRSNRLYGSIGYTFEDKSGDHFPNLQIIDLASNNFTGSLHPQWFEKFISMKKYNNTGETISHRHSISDGFYQDTVTISCKGFSMTFERILTTLTAIDLSDNALEGSIPESVGKLVSLHVLNLSHNAFSGRIPPQLGGITALESLDLSSNWISGEIPQELTNLTFLTVLNLSNNQLEGKIPESRQFATFENSSYEGNAGLCGDPLPKCASWSPPSAEPHVESSSEHVDIVMFLFVGVGFGVGFAVGILMKTSWINRWFHSAVSRQRT >ORUFI01G02170.1 pep chromosome:OR_W1943:1:1595088:1596590:-1 gene:ORUFI01G02170 transcript:ORUFI01G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPRSCSDHAAAISVWGTRRRLHIRMAELAAREPGSPQLDSLQISMRSQHLGCADDGRTADASIACGIELHGLAWPRRTPPCAATTEARRCASHPCGAARSSAARAMAIGCRKSGSSLFDQRVKPSATPHIYSGQVRWRLTAE >ORUFI01G02180.1 pep chromosome:OR_W1943:1:1597905:1598630:-1 gene:ORUFI01G02180 transcript:ORUFI01G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAPELIGPRISFSHDGVVATAGAATPAARSDTSLVVVMSSWRRLPEPEFDFANAAAADVAPADRLFAGGKLLPVPPLPPVHPKPSPCKQQQATSGGGVKPTSYQRRPGSWTSPFTRSCSVNSATTAAPRSGSGSFSCPSFPLMRSRSAGSAAAAQGGGLGGVVSGGGHHRPPQHKKAGATAAAYYYGGSRNGSSGHGVRVSPVINVPSIGTSMVNMLSYLLCDCGNKTTKNRGFGLNC >ORUFI01G02190.1 pep chromosome:OR_W1943:1:1601331:1604486:-1 gene:ORUFI01G02190 transcript:ORUFI01G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKHAVVDLSEEEERRGGGAPGEGSSDEVGDAVGLGRGAESTEPEGSSAVDDAGHGVAAEVAKNDDLEEPLLEPEGVTAVGTGGGDGTSSDGGENELHEVPKNPMATRFAFRATDANATPVSTYRGMLSRSRKNAGPTRFVSAGAPPSADPETPALSTSSSELVKSSPENASAVPDADHGASPGRGNEQDLEAAEKQSEARFVSGHTAANAMPPSTFRIRPSRSRKQSSPTRSILREADPPLPAPDAAAAAAAESSHREPSRSKKQPRPGRFIPEEGEAAARAKARRSGIALDRFITSQLNNPSGPSTEWEREVTAADVVGGGQGEECTTSDQPSCSIAISDSGPPEEPLPDDRRRIYSVLAVLGVSLAVSMAVLALFYIFGSESPSPPSDPNQERGDTNRYRRRLVLSGMCCGWPKKKASIT >ORUFI01G02200.1 pep chromosome:OR_W1943:1:1607279:1608752:1 gene:ORUFI01G02200 transcript:ORUFI01G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRDHRRVLPGARRSVS >ORUFI01G02210.1 pep chromosome:OR_W1943:1:1615892:1617921:-1 gene:ORUFI01G02210 transcript:ORUFI01G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSAGAAAGPTAAAAAAAVQKQKTLLQKADADVSSLVDNFAAFINIARVNDPPVRNTQEAFQMDMRGSRLTHSADSLLKLVSELKRTAIFSGLASLTENVDRRIEILSQQAEGTERMLERIGQEAAGSLKELEAHYYSSVVRTPPDE >ORUFI01G02210.2 pep chromosome:OR_W1943:1:1615892:1617921:-1 gene:ORUFI01G02210 transcript:ORUFI01G02210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSAGAAAGPTAAAAAAAVQKQKTLLQKADADVSSLVDNFAAFINIARVNDPPVRNTQEAFQMDMRGSRLILSQQAEGTERMLERIGQEAAGSLKELEAHYYSSVVRTPPDE >ORUFI01G02220.1 pep chromosome:OR_W1943:1:1624308:1628736:1 gene:ORUFI01G02220 transcript:ORUFI01G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKVRALPSDHGYTFNTQLFNGGREVRQMWRPTPDVPFIYVISWDILVMVTHMGLRPKNY >ORUFI01G02230.1 pep chromosome:OR_W1943:1:1632725:1633528:-1 gene:ORUFI01G02230 transcript:ORUFI01G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSPRLKLFGFDVSEEETAEHEEQYSAEPENAPVCGGNGGGGGGGSDSSSSSTTTTTTATAGGDGRRYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQAAAAAAGRVAGGAAALYPRANPMVSAFAPTPHLLGGGEAGPTSWVYFSPRAAAVAGGAQGQQFHVSHGCVFPARGAGPAAVAAASPAVFSYTPATSAAAVAAASAPYVTTDEHHGRRIHASSAASLARYPGPAMVVAEPVATVPEDTCLGLDLQLSLAPAGL >ORUFI01G02240.1 pep chromosome:OR_W1943:1:1643779:1644575:-1 gene:ORUFI01G02240 transcript:ORUFI01G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRAFLLQKFSSEERLQIDTALEQGVDAVRTLVLKGFSGSIERFNLVQKYKFHSV >ORUFI01G02250.1 pep chromosome:OR_W1943:1:1646209:1647994:-1 gene:ORUFI01G02250 transcript:ORUFI01G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVATPASARLSPLTTSSPEPCRRRRLLLSAAAPLRRTRLRRRIAVVASVPDPAARPAEYTPWLIAGLGNPGSKYHGTRHNVGFEMVDRIARDEGITMNTIQSKSLLGIGSIGEVPVLLVKPQSYINYSGEALVNNKKQLHTGYYK >ORUFI01G02260.1 pep chromosome:OR_W1943:1:1663532:1667163:1 gene:ORUFI01G02260 transcript:ORUFI01G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAIVEKRPAPFVGGGGGCAGGVLLHLLDWHRRLARKRRLFSPRRLLPTSLRSSPRRLPSPPQASHPPPAPRLSSAATAAGVAAPGVGVVARLMGLESWPATGPVGAPPAARRPQKQRKVEVASPTPRADEPDVVLVLPPSQRPPPLSPAARNHHGADLPARSPRRSRLVHAAATKLLEPSARASSRASARLALAYACSSPQHRIDGHSNALQSSSMPDDFLSRSDSLPLERSSRLQPVVAQPPVLPAETEWDNVITSSRHEKHSIDTISSSDAADVVSGDAIVVLRSGFDDANVSRSSSGADAMPKDHKARTDRLSNCSRMRSSGAGVRAGEERSLRKRGTHSLQDVEGNIGSRSLVSSTHPAAGSARELMSGSRRAAHHGSGQRRELMGTITPQRSSRREVMGSSNPQRNTRRSSIDRSGLTSTTTSRIAVSTVSGQKRGSRKNVGRDNAACNREVNNPVAFASSSSVNPVTRNSSQSKVSEKRGCRRTQVISTSCSTRLPVVESSPSVVGSSEKEEFSRLLKAKINELGLSDRIESSDALSGKLTASVLQELISALTNDTNTSDSQHSNYSNAYNSQHSNYSDAVDCLNNNMSACNSNDQSHDFQNCYQRDREVESSATCMNNEPNQPSPTSVLEACFSNDTSSLGSPTEKNEGKEYFVSIENKMEDLFNLESDIVDLAISIDKTKTDAEEIFHGIDKMSSVHNLMARDFKLLEARLHSIGEAISNAELLLGNSPLSTKTSNLSLHCFIIEMLEVIMDLFGGSKSLGLTEEKKYQQTNFIFDCIIESVNSKFCDFGKCGYKAWLRLPLSLTEDLLKREISKEICNWRETRETTPNRVAEKELDQMTPRWDACQVEAFDISIAIEHDILEALVDEFAFDQW >ORUFI01G02270.1 pep chromosome:OR_W1943:1:1667941:1668525:-1 gene:ORUFI01G02270 transcript:ORUFI01G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSSSSKPILGRAMAILALPLTPISKAKGPIARNLLLFKKRGAAGKARRMLSSYGYKPHRHYNYDYVGEYQFSPSSSPLIAYPPGVSSWRRATKKRRSKARMILASLLCGGDGDLDVAVLDGLPRADEPRAAVEWEECRRDGGGAYGEGDQYEEEEEEEDDEGVDGRAERFIERFYEEMRLQRQRSLVQRLL >ORUFI01G02280.1 pep chromosome:OR_W1943:1:1672797:1678267:1 gene:ORUFI01G02280 transcript:ORUFI01G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGCQHGNFSPRLDASASQTQSAQKEKKKVKKSEEFQLEIRSHPVPSSASSLGTIGSRERHLPPYSSSPFLFIFFLLLSPTCNRLEAKEIKSKPHPGNFSIEASTRSAMAGTGASVKMIRCVVKCGGQQQHVVVAARGDRKSPAIAAPPPATVRMPGRVLCCGMRSRGADLAGVEMAAGPQPQGGVSGLFRGPRYSRVRATATVDPEDIPLEKVQAKSSGSVLPYVGVACLGAILFGYHLGVVNGALEYLAKDLGIAENAVLQGWVVSTTLAGATAGSFTGGALADKFGRTRTFILDAIPLAVGAFLSATAHDVRTMIIGRLLAGIGIGISSALVPLYISEVISPTEIRGALGSVNQLFICIGILAALVAGLPLAGNPAWWRTMFGISIVPSILLALGMAVSPESPRWLFQQGKLSQAETAIKKLYGREKVAEVMYDLKAASQGSSEPDAGWLDLFSKRYWKVVSVGAAMFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGAANVFGTMIASSLMDKQGRKSLLITSFSGMAASMLLLSLSFTWKALAPYSGPLAVAGTVLYVLSFALGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFFIGLYFLSVVNKFGISTVYLGFASVCALAVVYIAGNVVETKGRSLEEIERALSSAS >ORUFI01G02280.2 pep chromosome:OR_W1943:1:1672797:1678267:1 gene:ORUFI01G02280 transcript:ORUFI01G02280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCVVKCGGQQQHVVVAARGDRKSPAIAAPPPATVRMPGRVLCCGMRSRGADLAGVEMAAGPQPQGGVSGLFRGPRYSRVRATATVDPEDIPLEKVQAKSSGSVLPYVGVACLGAILFGYHLGVVNGALEYLAKDLGIAENAVLQGWVVSTTLAGATAGSFTGGALADKFGRTRTFILDAIPLAVGAFLSATAHDVRTMIIGRLLAGIGIGISSALVPLYISEVISPTEIRGALGSVNQLFICIGILAALVAGLPLAGNPAWWRTMFGISIVPSILLALGMAVSPESPRWLFQQGKLSQAETAIKKLYGREKVAEVMYDLKAASQGSSEPDAGWLDLFSKRYWKVVSVGAAMFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGAANVFGTMIASSLMDKQGRKSLLITSFSGMAASMLLLSLSFTWKALAPYSGPLAVAGTVLYVLSFALGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFFIGLYFLSVVNKFGISTVYLGFASVCALAVVYIAGNVVETKGRSLEEIERALSSAS >ORUFI01G02280.3 pep chromosome:OR_W1943:1:1672797:1678267:1 gene:ORUFI01G02280 transcript:ORUFI01G02280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGISIVPSILLALGMAVSPESPRWLFQQGKLSQAETAIKKLYGREKVAEVMYDLKAASQGSSEPDAGWLDLFSKRYWKVVSVGAAMFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGAANVFGTMIASSLMDKQGRKSLLITSFSGMAASMLLLSLSFTWKALAPYSGPLAVAGTVLYVLSFALGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFFIGLYFLSVVNKFGISTVYLGFASVCALAVVYIAGNVVETKGRSLEEIERALSSAS >ORUFI01G02290.1 pep chromosome:OR_W1943:1:1678916:1687753:1 gene:ORUFI01G02290 transcript:ORUFI01G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSYRLAAAITIPSTDEFLLVRQPPPPSPAPAPEEEEYLYRGYVDSELYDLPSAPLRPLAGELRSDVAIRGADSVAGRLDISRLDVSASLDQICDQFGLPSGICGEWRLLKYVEEAEFGPDAGLNTVLIIGSLESKLEALQDSWRWMSKECASGLLSGAKSGGTRIGPYACIGLLKPELPSNWTVAPALPCQEYPPGIKLVPMKSNTLQPFRTTNLVVVVATDTPGGSTCSDCVVYGDALLIDPGCCSQVHGKLAELVNSLPKKLVVLVTHHHHDHVDGLSVVQRCNPDAVLLTHKNTMSRIGKGNWSIGYTAVAGGENICIGDQQLQVVFAPGHTDGHMGVLHVNTNALIVGDHCVGQGSATLDNRAGGNMKDYFQTTYNFLDMSPHVLIPMHGRINLWPKHMLCGYLRHRRAREVSILQSIENGARTLFDIVSKTYADVDRKLWIPASFNVRLHVDHLNSQNKLPKSFSMDKFEVSCGTNFMLWWAVAYVQARSSPAILAATALAGGLAIAYALKRNSSNEP >ORUFI01G02290.2 pep chromosome:OR_W1943:1:1678916:1687753:1 gene:ORUFI01G02290 transcript:ORUFI01G02290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSYRLAAAITIPSTDEFLLVRQPPPPSPAPAPEEEEYLYRGYVDSELYDLPSAPLRPLAGELRSDVAIRGADSVAGRLDISRLDVSASLDQICDQFGLPSGICGEWRLLKYVEEAEFGPDAGLNTVLIIGSLESKLEALQDSWRWMSKECASGLLSGAKSGGTRIGPYACIGLLKPELPSNWTPFRTTNLVVVVATDTPGGSTCSDCVVYGDALLIDPGCCSQVHGKLAELVNSLPKKLVVLVTHHHHDHVDGLSVVQRCNPDAVLLTHKNTMSRIGKVAGGENICIGDQQLQVVFAPGHTDGHMGVLHVNTNALIVGDHCVGQGSATLDNRAGGNMKDYFQTTYNFLDMSPHVLIPMHGRINLWPKHMLCGYLRHRRAREVSILQSIENGARTLFDIVSKTYADVDRKLWIPASFNVRLHVDHLNSQNKLPKSFSMDKFEVSCGTNFMLWWAVAYVQARSSPAILAATALAGGLAIAYALKRNSSNEP >ORUFI01G02290.3 pep chromosome:OR_W1943:1:1678916:1687753:1 gene:ORUFI01G02290 transcript:ORUFI01G02290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSYRLAAAITIPSTDEFLLVRQPPPPSPAPAPEEEEYLYRGYVDSELYDLPSAPLRPLAGELRSDVAIRGADSVAGRLDISRLDVSASLDQICDQFGLPSGICGEWRLLKYVEEAEFGPDAGLNTVLIIGSLESKLEALQDSWRWMSKECASGLLSGAKSGGTRIGPYACIGLLKPELPSNWTPFRTTNLVVVVATDTPGGSTCSDCVVYGDALLIDPGCCSQVHGKLAELVNSLPKKLVVLVTHHHHDHVDGLSVVQRCNPDAVLLTHKNTMSRIGKVAGGENICIGDQQLQVVFAPGHTDGHMGVLHVNTNALIVGDHCVGQGSATLDNRAGGNMKDYFQTTYNFLDMSPHVLIPMHGRINLWPKHMLCGYLRHRRAREVSILQSIENGARTLFDIVSKTYADVDRKLWIPASFNVRLHVDHLNSQNKLPKSFSMDKFEVSCGTNFMLWWAVAYVQARSSPAILAATALAGGLAIAYALKRNSSNEPLQSTKYLF >ORUFI01G02290.4 pep chromosome:OR_W1943:1:1678916:1687753:1 gene:ORUFI01G02290 transcript:ORUFI01G02290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSYRLAAAITIPSTDEFLLVRQPPPPSPAPAPEEEEYLYRGYVDSELYDLPSAPLRPLAGELRSDVAIRGADSVAGRLDISRLDVSASLDQICDQFGLPSGICGEWRLLKYVEEAEFGPDAGLNTVLIIGSLESKLEALQDSWRWMSKECASGLLSGAKSGGTRIGPYACIGLLKPELPSNWTPFRTTNLVVVVATDTPGGSTCSDCVVYGDALLIDPGCCSQVHGKLAELVNSLPKKLVVLVTHHHHDHVDGLSVVQRCNPDAVLLTHKNTMSRIGKVAGGENICIGDQQLQVVFAPGHTDGHMGVLHVNTNALIVGDHCVGQGSATLDNRAGGNMKDYFQTTYNFLDMSPHVLIPMHGRINLWPKHMLCGYLRHRRAREVSILQSIENGARTLFDIVSKTYADVDRKLWIPASFNVRLHVDHLNSQNKLPKSFSMDKFEVSCGTNFMLWWAVAYVQARSSPAILAATALAGGLAIAYALKRNSSNEP >ORUFI01G02290.5 pep chromosome:OR_W1943:1:1678916:1685338:1 gene:ORUFI01G02290 transcript:ORUFI01G02290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSYRLAAAITIPSTDEFLLVRQPPPPSPAPAPEEEEYLYRGYVDSELYDLPSAPLRPLAGELRSDVAIRGADSVAGRLDISRLDVSASLDQICDQFGLPSGICGEWRLLKYVEEAEFGPDAGLNTVLIIGSLESKLEALQDSWRWMSKECASGLLSGAKSGGTRIGPYACIGLLKPELPSNWTPFRTTNLVVVVATDTPGGSTCSDCVVYGDALLIDPGCCSQVHGKLAELVNSLPKKLVVLVTHHHHDHVDGLSVVQRCNPDAVLLTHKNTMSRIGKVAGGENICIGDQQLQVVFAPGHTDGHMGVLHVNTNALIVGDHCVGQGSATLDNRAGGNMKDYFQTTYNFLDMSPHVLIPMHGRINLWPKHMLCGYLRHRRAREVSILQSIENGARTLFDIVSKTYADVDRKLWIPASFNVRLHVDHLNSQNKLPKSFSMDKFEVSCGTNFMLWWAVAYVQARSSPAILAATALAGGLAIAYALKRNSSNEPATHFIEYIDPDSDPDV >ORUFI01G02290.6 pep chromosome:OR_W1943:1:1683023:1687753:1 gene:ORUFI01G02290 transcript:ORUFI01G02290.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTNKPMAASSHSGSRLLKAVFFLLIFSLGFIMGMISMANFPNFYGSPLLSPMLLSVSSLAPSSTPMPTPTAPSPSPETPCVWPPSPPSPTDPSASLPSPTERPAAPMGLTAFLAPTSVVHTMTDEELLWRASMAPKVSRTPYSRVPKVAFLFLVRNQLPLRPLWEKFFAGHNQSLYSIYVHSYPPFAASLPIDSVFYGRMIPSQKTTWGDSNLVEAERRLLANALLDMSNERFALLSESCIPIFDFPTVYAHLTGSNDSFVDCFDNNGAMARYRQDVFAPHNITQAQWRKGSQWFEMDRALAVEVVSDEAYFPAFRGCRHCVIDEHYIPTLVSLLRWRRNANRTLTYMEWRPRSPHPRSHGARDVTEELLRKMRSGAANCTYNGAPSDICFVFARKFTPDTLGPLLDLAPKVMGFG >ORUFI01G02290.7 pep chromosome:OR_W1943:1:1687582:1694616:1 gene:ORUFI01G02290 transcript:ORUFI01G02290.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMHASSGSSLLKAVPMLLLFSSGFILGMISIANFPKFYESPLLSPMLHSLVPSSSRPIMQPSPSPETPCVWPPPLPSSTPTPAPSPPSTPTGLMGFLAPSGVTHNMTDEELLWRASMAPRVSRAPYSRVPKVAFLFLVRAKLPLRLLWEKFFAGHGKELYSIYVHSDPHFAASLPTDSVFYGRMIPSQRTTWGDANLVEAERRLLANALLDLSNERFALLSESCIPIFDFPTLYAHLTGSNDSFVDCFDNAGARARYRPALFAPHNITAAQWRKGSQFFEMDRALAVEVVSDERYFPAFRDSCAGRRGCLIDEHYIPTLVSLLRWRRNANRTLTYTEWRPRRPHPRSHGARDVTEELFGKMRGGAGNCTYNGKASDVCFVFARKFSPDALAPLLELAPKVIGFG >ORUFI01G02300.1 pep chromosome:OR_W1943:1:1698142:1700363:1 gene:ORUFI01G02300 transcript:ORUFI01G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNSGGGASAILLPLFLSALLLRCFVCYADGGGGGSLDADVAALSDFRLAADRSGALASWDLAANPAPCGTWRGVSCAGGRVTRLVLEGFGLSGDAALPALARLDGLRVLSLKGNGLTGAIPDLSPLAGLKLLFLAGNSLSGPIPPSIGALYRLYRLDLSFNNLSGVVPPELNRLDRLLTLRLDSNRLSGGIDGIALPVLQDFNVSNNLLTGRIPVAMAKFPVGAFGGNAGLCSAPLPPCKDEAQQPNASAAVNASATPPCPPAAAMVASSPSAKPAGAATSGKGKMSCAAVVAIVAGDFAVVGLVAGLLFCYFWPRLSGRRSARRLREGEKIVYSSSPYGATGVVTAAGGTFERGKMVFLEDVSSGGGKRFELDDLLRASAEMLGKGGCGTAYKAVLGDGSVVAVKRLRDATAAAASKKDFEHHMAVLGRLRHPNIVPLNAYYYARDEKLLVYEFMPNGSLFSLLHGNRGPGRTPLDWAARMRIASAAARGLAYIHHASRRGSGTPRLAHGNIKSTNILLDKAGVGRLADCGLAQLGSSPAAAAARSAGYRAPEAPPPPRPWASQKGDVYAFGVVLLELLTGRCPGSELPNGGVVVELPRWVQSVVREEWTSEVFDLELMKDKGIEEEMVAMLQLALSCASAAPDQRPKIGYVVKMIEEIRACGEASPSHESMDESSGVSVSDSPAVSEGGAISQ >ORUFI01G02310.1 pep chromosome:OR_W1943:1:1707054:1709717:1 gene:ORUFI01G02310 transcript:ORUFI01G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGRQRCKVEEELGKGGVQESSTHNLFDRMPSQHKVFKDDERIAEPVPIKSTMNKEGISMDEALDRILERFELMEANRRQEEKFNQILQKLEEVEARRSKAAEETIAAIRATTAVLKAKSPTAPMAPPTPAPTKCLTECPNNNLTWATASSSHITEDTAPTAAWELGNNKHKGHASCVVTKDSPEVTPTMCSTKCSGPTVEPDLTVAAVVTSATTVVASMELVAAGNAIGATYINNLDHPKVTHAKCSMSGSGVKRGTEQVVLAFPLMASPVEFTTSLVEPSPPTGLKLGAAICVGDQVPMKCSMKCTESDNKPLMEHPKRNPWPPAWLGWKKWYVSWTVVNYSEMRFYFIPPWPPPLKVGWLALVFSKFGAAHTDMMDIMLHWTDMKPWPPPNQNLRSIMVHLFAWKHWKVSVEVSLFAWNTKQYMNSVLLITVGTKWLIHSAVKDCFLQGKPFKLVDPLELMQVIFVLLVRDPDVEMFQIGNYSLQPENYQLTNYLVARLLKQGNLKKVLDGVDHSKNIKKSDVDVGEDNPGNISTAAKMFIDGLGIKEDSDMLCPSAQYIDNWSTKLLDEIRNGCNIYLLVALIDDELNPWCFLIDKRWYDILVLLFFTGATWKVESYALPIKDVIHMVVYFVQPLQGILLQTRQGKIENPVILDITSATQTVKFLLCYKSTIKIYPSCSSMVQISASKFRACGKENICYMLLLLVLNIGDCTFLRSTSYMLHASIACAEYWCLHFSEVVQHAICIGWIINWAILFWMEQAVCSPRIILQMPWDPGGGKLFIASGCRLGDKPDSKEGVLLGIGPAALRPNTVSSPILGPSKPNRELERKGCCTLRKRNRTEIKHASAASATTAAAATWFRLNLVDLPLFLYYLSCYYLYCSY >ORUFI01G02320.1 pep chromosome:OR_W1943:1:1718328:1722223:1 gene:ORUFI01G02320 transcript:ORUFI01G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGEYLRQFVEETAWYNEIFLSHVVPGDWWRALPHPLQSWLRNGLGGYLIYFACGFLWCFVIYYWKRHAYIPKDSIPTIEAMKKQIIVASKAMPLYCALPTLSEYMVENGWTQCYVNISEVGWPMYLVYLALYLIFVEFGIYWMHRELHDIKPLYKYLHTYHHIYNKENTLSPFAGLAFHPLDGILQAIPHVFALYLIPTHFRTHIALLFIEAVWTTNIHDCIHGKVWPVMGAGYHTIHHTTYRHNYGHYTVWMDWMFGTLREPEDILKKD >ORUFI01G02330.1 pep chromosome:OR_W1943:1:1727028:1727267:-1 gene:ORUFI01G02330 transcript:ORUFI01G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAVPGRLGPRCIVVLVAATMLRRRARHCMATMLPPCQGATSTTAVLKDGREVRREKCRGKETRREDKEDKDESHET >ORUFI01G02340.1 pep chromosome:OR_W1943:1:1732289:1734873:1 gene:ORUFI01G02340 transcript:ORUFI01G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLHDGYGQEDQPDDKRVRRMPSFSTVIREALMVKQMQTLFVALEPLLRRVVQEELQAGLVRSPRYIERMSPETPPAQPPMWKLAFRFKPQLPIFTGSKIEDVNGNPLEIILVDVDTGAPATISQPLRVEVVPVLGDFPPDDREHWTAEEFQQRGIVKERSGKRPLLTGDVSLTMRDGCVAVNELQFTDNSSWVRCRRFRIGVRVVPGSYDGPRIGEAMTEPFVVRDHRGELYRKHYPPVLGDDVWRLEKIGKEGAFHRKLTQHNVRNVQEFLRLLTVKPDELRAIMGDGMTDRMWEVTTSHAKKCVPGDKVYMYSTPHGTVYVNSIFELVKVELAGVEYQLHQLNRAQKVFVQQLLLAAYEQRNNLQEADAMALHCNDVPLLQNAAEITIPALGDTQLWIQNSLNSQEIDFQVDEIPQANFALQWTGQMYNISG >ORUFI01G02340.2 pep chromosome:OR_W1943:1:1732289:1734873:1 gene:ORUFI01G02340 transcript:ORUFI01G02340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLHDGYGQEDQPDDKRVRRMPSFSTVIREALMVKQMQTLFVALEPLLRRVVQEELQAGLVRSPRYIERMSPETPPAQPPMWKLAFRFKPQLPIFTGSKIEDVNGNPLEIILVDVDTGAPATISQPLRVEVVPVLGDFPPDDREHWTAEEFQQRGIVKERSGKRPLLTGDVSLTMRDGCVAVNELQFTDNSSWVRCRRFRIGVRVVPGSYDGPRIGEAMTEPFVVRDHRGELYRKHYPPVLGDDVWRLEKIGKEGAFHRKLTQHNVRNVQEFLRLLTVKPDELRAIMGDGMTDRMWEVTTSHAKKCVPGDKVYMYSTPHGTVYVNSIFELVKVELAGVEYQLHQLNRAQKVFVQQLLLAAYEQRNNLQEADAMALHCNDVPLLQNAAEITIPALGDTQLWIQNSLNSQEIDFQVDEIPQANFALQWTGQMYNISG >ORUFI01G02350.1 pep chromosome:OR_W1943:1:1737115:1745769:-1 gene:ORUFI01G02350 transcript:ORUFI01G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTKGVVFDVNLLENSTLEDGLAGWAAVGECTALSVHNEEPEKVPTETINTVADDYKPSGRYILAAGRAGEEDGLRRAVAGALKPRVTYRVAGWISLGDGAEGSHPVRVNLRLDDDDECVVEGGAVCAQAGRWTEIKGAFRLKASPCGATVFVQGAPDGVDVKVMDLQIFATDRRARFRKLRKKTDKVRKRDVVLKFGGAGSISGASVRVMQMDSSFPFGACINGGVIQNPAFVDFFTKHFDWAVFENELKWYWTEAQQGQLNYADADALLDFCDRYGKPVRGHCIFWAVDNVVQQWIKGLDHDQLTAAVQGRLTGLLTRYAGRFPHYDVNNEMLHGSFYQDRLGDDINAFMFRETARLDPGATLFVNDYNVEGGNDPNATPEKYIEQITALQQKGAAVGGIGLQGHVTNPVGEVICDALDKLATTDLPVWLTELDVCESDVDLRADDLEVVLREAYAHPAVEGVMFWGFMQGHMWRQDACLVNSDGTVNDAGERFIDLRREWTSHARGHIDGDGHFKFRGFHGTYVVQLATATGKMHKTFTVEKGDTPLVLDMDETTHLVMNHVEHCEDGGLAVAGWTPSGSCTLSVHDDPAPETPPPHPLSATEDDADEPRPRPSGRYVLAAHRAGERDGLCRELSRAPAAKVTYRVAGWVGLQGAVAPDGCCHAVRVEVCTDDGRPVGGGVLVAEAGKWGEIMGSFRVDDDEPPRCAKVFVHGPPPGVDLKVMDLQVFAVNKIARLRHLRKKTDKLGRRTGGTAIRVVQVENSFPIGACINKTAIQNAAFVDFFTKHFDWAVLENELKWYYTEPVQGQVSYSDADELIAFCDRHGKPVRGHCIFWAVENAVQPWVRALNGDQLRAAVEGRLRSLVTRYGGRFPHYEVNNEMLHGAFFQQRLGDDINARMFRETARMDPSPALFVNDYNVESANDPNATPERYVELVTDLQKRGAAVGGIGVQGHVTHPVGDVICDALDKLAVTGLPVWITELDVSAADEAVRADDLEIVLREAFAHPAVEGIMLWGFMQGNMWRSHAHLVDADGKLNEAGHRYVGLRQEWTSHARGQVDGSGHFKFRGFHGKYVVQLTTGAGEMKHQQFDVGKGDGPLVLDMDL >ORUFI01G02360.1 pep chromosome:OR_W1943:1:1753612:1754157:-1 gene:ORUFI01G02360 transcript:ORUFI01G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTTEKKMPQQQQVERPTALAPADAEIERVFTRFDADGDGRISPSELAAVTRAIAPPPSESAGGREVAAMMNELDTDRDGFVDLGEFAAFHGRGRGDAEHEAELRAAFDVYDVDGDGRITAAELGKVLGRIGEGCSAEECERMIASVDVDGDGCVGFEEFKKMMCRDAAATGGADKAKTE >ORUFI01G02370.1 pep chromosome:OR_W1943:1:1756542:1756994:1 gene:ORUFI01G02370 transcript:ORUFI01G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRSGNVLDPMSVDFWADADPFGAVRSLAERCPVLTNVRVDWKETPTAHVFTADLPGVRKDQAKVEVEDGGVLVISGERAREEDVDGKNDERWHHVERSSGKFQRRFRLPRGARVDQVSASMDNGVLTVTVPKEETKKPQLKAIPISG >ORUFI01G02380.1 pep chromosome:OR_W1943:1:1763365:1763865:1 gene:ORUFI01G02380 transcript:ORUFI01G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVKLFDTLAFDAWNPFSIFGTTVAADAWLASDTSAFANTYIESRETAEAYVFRADLPAGVKKEEVRVEVDEGNVLVITGERSVRREEKGQRSHHIERSCATFFGRFHLPDDAVVDLVRASMDGGMLTVTVPKVVTDKQPAIAAAAPVPAVVAPAVEAKAIEASP >ORUFI01G02390.1 pep chromosome:OR_W1943:1:1766935:1767384:-1 gene:ORUFI01G02390 transcript:ORUFI01G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNVFDPFADFWDPFDGVLRSLVPATSDRDTAAFANARVDWKETPESHVFKADLPGVKKEEVKVEVEEGNVLVISGQRSKEKEDKNDKWHRVERSSGQFMRRFRLPENAKVDQVKASMENGVLTVTVPKAEVKKPEVKAIEISG >ORUFI01G02400.1 pep chromosome:OR_W1943:1:1771460:1771912:-1 gene:ORUFI01G02400 transcript:ORUFI01G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNVFDPFSLDLWDPFDSVFRSVVPATSDNDTAAFANARIDWKETPESHVFKADLPGVKKEEVKVEVEEGNVLVISGQRSKEKEDKNDKWHRVERSSGQFMRRFRLPENAKVDQVKAGLENGVLTVTVPKAEVKKPEVKAIEISG >ORUFI01G02410.1 pep chromosome:OR_W1943:1:1772124:1778850:-1 gene:ORUFI01G02410 transcript:ORUFI01G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPEMTFRDTNDTIGMASPRFVGLTLLGLNGNWAHGFGKEFSEPQSDKYNRWMETIVRLRFKLTWLYGPRPWTLARTAVFVDLTPALGSVETSLDWEAKPFLEGSISNQEHRNKSR >ORUFI01G02420.1 pep chromosome:OR_W1943:1:1774438:1774890:1 gene:ORUFI01G02420 transcript:ORUFI01G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNVFDPFSLDLWDPFDSVFRSVVPATSDNDTAAFANARIDWKETPESHVFKADLPGVKKEEVKVEVEEGNVLVISGQRSKEKEDKNDKWHRVERSSGQFMRRFRLPENAKVDQVKAGMENGVLTVTVPKAEVKKPEVKAIEISG >ORUFI01G02430.1 pep chromosome:OR_W1943:1:1778853:1779323:-1 gene:ORUFI01G02430 transcript:ORUFI01G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTLYRPRVKSFWVLVRRLLCCRRKSYRPDYAAAGEEDDGEKSSLLLTSRSSLEELLVSDDADDDGAIDDAAVTCRSASLCAKKDGQAPVVVLPPPGLHHPVMARPAHGMVTTSSGGGRDGAAVQCRRRFMFGGLRRRLMMRRPWRPVLVAIPE >ORUFI01G02440.1 pep chromosome:OR_W1943:1:1781539:1785836:-1 gene:ORUFI01G02440 transcript:ORUFI01G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLSLLFACLAWASQAASTAADTRPQEGCAASTVCGKVTISSPFAVVPEQATESKCGWLGFQVICSNDTPYLGYYKLGYRIQILDIFYGNNSLLVSDGHKLGDFDVFSGVSKEYSCHVPRTNTSSKVGLPFSISTTNLNLFLYSCNKTLVPRDGDDDLVETMCGNKTFARVGGNYGDSSDYAAFYMEGCNATVVPVMGTDAGSYEQLIRDGFLLTWQETPSSASFLELPAPASSSSPGCLPTPCGKLTISYPFWLEEPGRPPCGSPPFQLKCNATGAYLTHTIYEAYRVVDIFTGNHTVHVVDENLPLATGCPAPPFNISDGIWQAPFVISEANAELRFLSCNKSLPAAAAPPGFHSLPCDDQNSSVRLVSDHHLHEDGIPPGCNFTVVPIVQRHNGSMAGYIASMRSGFLLEWAVVSGDCPKYQVSGGNCTYSDDLEFACNCPDGMHPDKCREFRKSEEHACGSSGGILLIVSIFIFAWHKRKKRKQTRDLKDLMHSSSSMQSYSKDLELGGSPHIFTYEELEEATAGFSASRELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYRRVEQFLNEVDILSRLLHQNLVILYGCTSRSSRDLLLVYEYIPNGTVADHLHGPRAGERGLTWPVRMTIAIETAEALAYLHAVEIIHRDVKTNNILLDNNFHVKVADFGLSRLFPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLIELISSKPAVDMSRSHSDINLANMALNRIQNHEVDQLVDPEIGYETDSETKRMVDLVAELAFQCLQMDRESRPPIKEVVEVLNCIKNGECPAEKMNKNASPKEDSHLLKDSLQYSPDSVIHRFHSQSTNHSVASNSSG >ORUFI01G02450.1 pep chromosome:OR_W1943:1:1786155:1787033:-1 gene:ORUFI01G02450 transcript:ORUFI01G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAARGAEEEGGGCLPSQKCGDLNISSPFWIIQGQADRPCGPLDFQVSCNNSTGVATLRSSTDSGFDIINISYGDRTMLVFDVHKLARLNSSTGCSIPVFNTFAKLPLTFTISPSNLNLVFYNCTKAPPAEQQRQLGLVETRCGNNTFARLGGRFDGKGDYDKYYLEGCSSSSTVFSPVLEPPDGKANASRYVELVRGGFLITWGNLPPPVPPPPELPVTSSGQGIYRLADKVVVLPNRGGWATGMSKN >ORUFI01G02460.1 pep chromosome:OR_W1943:1:1787870:1789226:-1 gene:ORUFI01G02460 transcript:ORUFI01G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFFFALVVVSAWWTAFMLAAAAREAEERGGGCPARKCGNLTISSPFWITQSQMDRPCGPLDYLVVCNNSTGNATILSSSGYGFEIKNISYEERTLLVFDPRKLEDLTSLNRCHVPSWNTSAKLAVPFRISSAAHLDLVFYNCTKAPPAERHQQLGLVETRCRNNSFARLGERYDDRSNYLEGCRATFLPVLEPPGSKANASRYAELVRGGFLITWDLPVTSSGKR >ORUFI01G02470.1 pep chromosome:OR_W1943:1:1790053:1809690:-1 gene:ORUFI01G02470 transcript:ORUFI01G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPALLLLPLASLVHAAAAIGHNETSTSGNTSCTPARCGNLTISYPFSLSGVQPVSCGYPVLDLTCDNRTGRAFLSRTFRDHLFRVDSIFYENNSLVAAVETTFAGDADCPVPDFNVTSSLSPYPFIISNTNKYLAFIYNCSIPEHVEQLQPPCGNRTMGAYISDKWNSTPPSGVRGNCNSVSVPVRGYYDGMKPVSGHYEQLIKDGFVLEWMRSLMGDQDCDGCRRRGGECRFEQLSFQCFCPDGLLCSNSTRTNTTSSHPSGKVNRGVKIAAGTAAAVVCLGILGVGSTVLYTRRKRKRSASFEGLIHGGTPLPSLTKEFSLAGLAYTHIFTYEELDEATDGFSDARELGVGGFGTVYKGILRNGDTVAVKRLYKNSYKSVEQFQNEVGILSRLRHPNLVTLFGCTSQSNSRDLLLVYEFVPNGTLADHLHGAAAARSASLDWPTRLGIAVETASALEYLHTVEPQVVHRDVKTNNILLDEGFHVKVADFGLSRLFPADATHVSTAPQGTPGYLDPMYHQCYQLTDKSDVYSFGVVLVELISSKPAVDMNRRGGDVNLANMAMHMIQSYEMEQLVDPQLGYGSDGETRRTVDLVAEVAFRCLQPEQDVRPPIGEVLDALREALRMDKVGYVKDDAGLVKKSRDGSPDCNATMPTPSSPPLWLFLFLSNLIATTHAAAEIIPNATTPSCPSYRCGHAVDIRYPFWIDDNTSGGGAYYCGYPSLRLECRRDTPVLALPSGEYAVTHILYGDRTVSLFDLGVFSRSNTCPLVGRNLSLPAGSPLSLTDRDANLTFFIHCSFMGMPAHLVACLEGDGRHHSYVFRDGDDRTPYGYAALCQDVVGMPVLRRSLLGGNYSSPLDAAVVPALNLGFELGWRPREDGDCGDCEKAGGWCGHRRRAAHEPWTFACFRTVTITARADTKSPAMLLLITLPIASSTVDAINTTNTTSPFCEPARCGNLTIGYPFWLAGKHPPECGYRAFQVTCDHKNASLKNGFWTYQIQHIFYHNSSFMVTNEQLTDGQCVIESFVNASSDLGLTLFKISPINRELVFLYNCSQGRSQLPISWAPVGCAKNESSNSYAWLAGKYKPDDDFRQLPGNCTVSMIPVLGYDGAVAKNYERLIKGGFLLDYTAAAGPDDCEDCSRSGGWCRVNVTDDGLECQCPEGLTPSGFTCVLLAAVFATATHAQLVGESCAPAACGNLTIKYPFWLRGRQPAYCGHPTFAVTCDDDDDPTGATAAPPPSLNGSYLRVLAIHYGNSSVVAYHANLVESSACRATRFNMSSSLALSLLAVSRANAGLLFSANCSRTPPTGSLPVNCTGFSGGGEWFLSLNRMYDPGGPKRAVDTVGCQYSVVPVLPWSELRSARDYAGLVRRGFLLEWTAVPGDCAACNASGGECRYDAGAMAFGCSCPGGRLQPATCGRENQPTQEDRIVTASSTSGKMHSTLLCLPLLASLFLLCHHARAECEPATCGNLTVRYPFWLGGPNLNQSSPSSASCGHPAFEVWCNGGVASLRGSQILVLSIDYNSSSFVAAHRRVADGGDGVCRTDFNISSSLALSPFTISSSNLAICFLYSCNGTEPPEIDGLVNATIPSCSKPIYAYLGGSYDRDKPPAIQAGNCTYSYLPVLWPEPPVNLTAGTNYSPQFKKGFVLEWQKNGFGDCDACNGSGGQCRYNNDSAAAFACLCSDGKLRRSTCAAAAAADAQGGGEGCKAGRCGNMSILEPFGLVTELDEETSCRWFGFQVTCNDSIPYLGYPQKNRQFKFQIIDIFYSNSSLLVTDVQKTDDFDNSSGCHVPRSNTSSKLGLPFSISPVNKKLVFYNCTKAPTAAERRVLGLVGTKCRNNTFARLEERYNESVRFLEGCDAVIVPVRGRDGVANASDYEQLISDGFLLTWQPPPQQSGKR >ORUFI01G02480.1 pep chromosome:OR_W1943:1:1809912:1815486:-1 gene:ORUFI01G02480 transcript:ORUFI01G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTTLAIHSVSQCPKGNLVFGPVITGYPRPMGPYHVMLLFGASTLSLSLSLHSPRAAATAPAAGRRRDGSAVVVRQPAGPILSGFQRYAIGVRGGNWSSQPHWGGGDAVVPWARELPWPAGRGNWTSIGIHPGRQAGVNAYTFHERKPEPEGKLTTEDGES >ORUFI01G02490.1 pep chromosome:OR_W1943:1:1811612:1844682:1 gene:ORUFI01G02490 transcript:ORUFI01G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKLLLLPILASLQLLPGAANGATVDPSCPPATCGNLTITYPFWLGSQNQSSCGPPSFRLTCNDRASGPFLRNSYIKVLGFDYGRRSLVAVHALLAADAACTVMFNVSSAFAITDRFSISQSNRELYVLSRCRERLPPPDAVPVTNCRANSSGMYAYLGGSYGTGQTPVNNGGCELSVFLVLGSSNAADMTAANYRQLIAGGFLLEWEPVGDCNACTASGGRCRYDASTSAFACLCSDGGMRPSICDGKNRGKLALIVSLSAAAGLVFAFLAWLMYRQRQKFRSAICGVYSGNTKNEEEMLKKCESLALKRYKYSELKKITKSFEDNLGEGGYGVVFKGRLQNGRMVAVKILTVSKGNGEDFLNEVMSISRTSHVNIVTLLGFCLEGPKRALVYEYMPNGSLKNYIHSESSNLATGWEMLLKIVIGIARGLEYLHQGCNTRIIHFDIKPRNILLDHEFCPKIADFGLAKLCHLNESILSMAEARGTIGFIAPEVFSRGFGVVSTKSDVYSYGMLLLEIVKVRKNIKGIADNFSETFFPHWVYDSLVSEMQCCETAYGTEEIARKMTIVGLWCIQMTPETRPSMSRVIEMLERSISELEMPPKPFLCSPIHSTNVSSYKSVNFTILLLLFSSLTLVAAAISADEQGGGSCSPRTCGNVTISHPFGFVPEQETDTKCGRLGFEVHCSNNTPYLGYYRRKYRFQVLDIFYGNSSLLVADVHKLDDFRNSSSKGCHVMTANTSSKVGQPFSVSSANLNLIFYNCTAETAAAAVRRDGGLVETKCSGGGTLVRVGGHYSDSGSYEEYSVEGCGATLVPVLGTSSGEANASSYEELISDGFLLTWQPPSVVKSQDVPFGALQNDGQLKYFGLGFMMVHPISASSTIRRDFPSRQAFRTSADANPEEGCAASTVKKAARPVPVCGKVTISSPFAVVPEQATESKCGWLGFQVICRNDTPYLGYYKLGYRIQVLDIFYGNNSLLVSDVHKLGGFDVFSGASKEYSCHVPRTNTSSKVALPFSISTTNLNLFLYSCSKALVPRDGDGDLVETRCGNKTFARVGGNYSDSGDYPAFYMEGCNATVVPVLGTDARSYEQLIRDGFLLTWQGPPSSGNSRRSKLKLILIVSLSATTSLILTCLVWITCRQKEKGSLLTLQKYVANESKIEEALKEYDSLAPKRYNYSELKKITRSFKDKLGQGGYGMVFKGILQDGRIVAVKLLTGTKGNGEEFLNEVISIGRTSHVNIVSLLGFCLQGSKRALVYEYMANGSLDNYIYSEESKIVVGWGKLQQIAIGIARGLEYLHCRCNTRIIHFDIKPQNILLDEDFCPKVADFGLAKLCRLKDSALSMAEARGTVGFIAPEVFSRGFGVVSTKSDVYSYGMLLLELVGGRRHANELTTSHSTGNYFPNRIYDCLVKDLQTHAIITEEEEIAKLMTLVGLWCIQTNPGNRPSISRVIEMLEKNINEMEVPPKPFLLIMHQTSSQLVLASFLLLLCHHAHADCEPATCGNLTINPPFWLDEPGRPPCGPPSFQLQCRGGEAFVAHSFFQTYQVVRIFTGNSSVVVVDRSLPLESGCPVPWFNISIGFVMGPFLISRANKELVFVHNCTTTKRRPPPPPQGFRRMPCSPDESFVFLGDGRPRLLLPECSMSVVPVLGLQDGDYVASMRRGLLLEWMLAPGDCQKCSASGGQCEYSSDGMGFSCKCPNGVHNPMSCVAGDSKRNGRKKTLIVAVSLLFPCAYVLIWHRKGQILCYLLCNKTRSRNERNIEKLIVSYGSLAPKRYKYSEVAKITSLLSNKLGEGGYGVVFKGKLQDGRLVAVKFLHDSKGNGEEFVNEGSKRALIYDYMPNKNPKETLGWEKLYDIAIGIARGLEYLHHGCNTRIVHFDIKPQNILLDQDFCPKIADFGLAKLCCTKESKLSMTGARGTIGFIAPEVLYRSFGVVSIKSDVYSYGMMLLEMIGGWKNVKSMVQNSSEKYFPDWIYDHFYQGDGLQACEVTSEVEEIAKKMTLIGLEHLVHKLNAESTSSATDKAHAVSEILNVEEISLLNKKAEATLQFVRESSTRAKAKGVASLLHVATATGNVISSNNNTSCAPARCGNLTISYPFSLSGVQPLYCGYPVLDLTCDNRTDRAYLSRTFRDHLYRVESIFYENSSLVAAVQTTFPGDADCPFPDFNVTTSLSPYPFIVSNTTKYLVLVYDCSLPEGFQHELTCANRTMEAYISDRWNSTPPSGVPGNCNSFSLPVRGYYDGMEPVSRHYEQLISDGFILEWRSAMGDQDCDECRRRGGECRFVQLLFQCFCPDGLLCSNSTLTHPSEGRKNVKANTDNSSAYFPNWIYDDLVKDLKRNEVMCENEEIARNITLVGLWCIQTAPEIRPSMSKVIEMLEKNINELEMPPKPILSCPAAPSSTVDAINTTNTTSPFCEPARCGNLTIGYPFWLAGTHPPECGYRGFQVTCDHKNASLMKGFWTYQIQDIFYHNSSFMVTNEQLTDGRCDIESFVNASSDLGLTQFKISPLNRELVFLYNCSQSRLQLPISWAPVSCAKNESSNSYAWLAGKYKPDDDFRQLPGSCTVSMIPVLGYDGAAAKDYERLIKGGFLLEYTAGPDDCKTCTESGGQCRVNVTNDRLDCQCSNGVSPGMICGSKTTGRTILIVLTAAAAALLLPCIYVLIWHKKGKKLRYFLCTKTSSTSERNIEALVVSYGSIAPTRYKYSEVTKITSFLNYKLGEGGYGVVFKGRLQDGRLVAVKFLHDSKGNGEEFVNEVMSIGRTSHINIVSLFGFCLEGPKRALLYEYMPNGSLDNYIYSENPKENLGWEKLYEIAIGIARGLEYLHHRCNTRIIHFDIKPQNILLDQDFCPKIADFGLAKLCRTKESKLSMTGARGTIGFIAPEVIYRSIGIVSTKSDVYSYGMMLLEMVGGRKNARSMVENSSARYFPDWIYDHFALDDGLQACEVTSEVEQIAKKMTLIGLWCVQVLPMHRPTITQVLDMFERSLDELEMPPKQNFSELLDHPVHELDTERTSYTRNTKSAEGLSEVLRVEETSLVNSNDPTKVTKQMTQVVHETKSTTHM >ORUFI01G02490.2 pep chromosome:OR_W1943:1:1844619:1848930:1 gene:ORUFI01G02490 transcript:ORUFI01G02490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPLLLLLPFLAACLLAPRHAAEAAVTSCAPRRCGNVTIAYPFWLPDSSPSSSSSSAPCGPAAFQVNCDNGSRASLARSFRSGYKILGVSYANRTVVVSNDNVQTDASGCPVPKIDVSASLSLAPFTASPANNQLVFLFNCRAPPAGFVNVTCPGAQAVVRLDTSYNNTAARVVAGGCDYAAVPVVGVPGASPTDYPQLLRGGYMLEWRAPAGDCMACNASGGQCGYDADTEAFACICSDGSSRPGICDAKKSGNKVILIVSLSICATGLVLLACIAIVYKCRRRMQNRFSFLNAMDGASRTDTAKVEKLLQSYGSLAPRRFRYSELKKITKSFSQRLGEGGYGTVFSGTLADGRAVAVKFLHHSKPNGEEFLNEVVSIGRTSHVNIVSLLGFCLEGSKRALVYEYMPNGSLDKYIYSTSAAAAAAAEAEEAEATASPDRDVLGWKVLQEIAVGVARGLEYLHDGCNTRIIHFDIKPHNVLLDEGFRPKIADFGMAKLCNPKESILSMADTRGTIGFIAPEVFSRGFGDISTKSDVYSYGMLLLEMVGGGSNVKAYAEKGASGTFFPLWVYDHLLEDGGVLQSVAAAAAATAGGGAGSPGGEEIARKMALIGLWCIQTVPANRPSMGKVLEMLERSVHELAMPPRPYHSNSSSPSRPSSYPSSASDFTQRSRLSTPGSTA >ORUFI01G02500.1 pep chromosome:OR_W1943:1:1827341:1830143:-1 gene:ORUFI01G02500 transcript:ORUFI01G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGSGEPVTVGNCGSGSAGRGGCYCCTYTSSPGMLGRSLSRSKKGARFISRGDSGVIASR >ORUFI01G02510.1 pep chromosome:OR_W1943:1:1850474:1853593:1 gene:ORUFI01G02510 transcript:ORUFI01G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCGRRFAAAGAPATARRCNLELFLEATTPVVPTTACSSKKSMNGWKQSDEENALPFFSLGDLWDGFRESSAYGIAVPIVLNGCSDGVVQYYVPYLSAIQLYGRLRRHFYHSRPSREDSDGDYCQDTGSEEMSDLEHDSCPSSTDAFSVQDTTCETSTSEASSDESESTRISHEQLIFDLARGFPELNTLRSCDLSPTSWMSVAWYPIYRIPTGPTLRDLDACFLTYHPLSTQLTGGICPEPKGNNSGVPVTTAMCLPTFAMASYRLKVAAWAPGGRDRQLVASLSHAADAWLGLLGVHHPDHRFFAARRVVSRR >ORUFI01G02520.1 pep chromosome:OR_W1943:1:1854997:1857058:-1 gene:ORUFI01G02520 transcript:ORUFI01G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLNAKLYDKYTALKKRKLLDEGLDQKRAADIKELQQAMKDWVADLQGENERLIAKLTQKEQQLVEVQTLLLDETRKTKELNSEILKLQCLLAEKNDANHIATGSPDTTAEMIIENQTPIPPAKKTPKSKSRERNIHFIEKATVPCNGFQEEGRDLDSCRRHMSISGSATEESSSTCMFHMLAESVVGMKFSVKNQTEGFSLSVSHEASGYSFTLTWVDQPGGGEWSYQYSSLGTLDRIAMGWMKEDIKFSRAMCPVFFQQISRILRQG >ORUFI01G02530.1 pep chromosome:OR_W1943:1:1863544:1866539:1 gene:ORUFI01G02530 transcript:ORUFI01G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPDLARILPRVLIVSRRTVRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVAGVHTLLDSFEPIHGVLLCEGEDVDPSLYGGGGAGSGGLSAEQLDAVRSLHPSDAAIDHEKDSIELRLARRCLERNIPFLGICRGSQVLNVACGGTLYQDVDHELPAAAAAAAAVRHINYDNYDGHRHPVRVLPGTPLHEWFAESLDGEDSRLTVNSYHHQGVRRLAERFVPMAFAPDGLVEGFYDPDAYNPGEGKFIMGLQFHPERMRKAGSDEFDYPGCPMAYQAFVRAVVAYQEKLAAAAAMPASPKLNQEMEKQRKVLVRSFSLAKNLYVSGAEAGTPRPAEQRDLDAGAEFLEVKTQPNHRTSTGAHGCQPPDFKANRRGVVCVVQQSNTAALSVQQEKRLKQMGATVRNASGYINRLKLNEEREAAARALMAKMSIDQLSDLASFYHFMGTICSEVLDRKLHSAAPAP >ORUFI01G02540.1 pep chromosome:OR_W1943:1:1866785:1869653:-1 gene:ORUFI01G02540 transcript:ORUFI01G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLRTNSYSIKEKLVVVFLLEPLHKIRQNPVLQSVAVAVAMDSAISTSSSFSPLRLSQNHGSIMSGSTRAQVLPVGRQQQQPPAGGATRLRAVSPSPSPTPPAPQPAETFGFDALKEAFSVDVVAAEARPLNVPLAAPFTIASSRLDAVSNVAVRVELRSGAVGWGEAPVLPSVTAEDQPGALAAATRACGALAGAPAAPLGAVLQDVASALPGHDFASARAGVEMALIDAIANSIRIPLWRLFGGASDSVTTDITIPIVTPNEAAQLAAKYRGQGFQTLKLKVGKNLNSDIEVLKAIRLVHPDCSFILDANEGYTANQAIEALDRLNEMGVTPVLFEQPVHRDDWEGLRDVSIVAKEKYRVAVAADESCRSLLDAQKIIDGNLAHVINIKLAKLGILGALEVIDAARKARIALMIGGMVETRIAMGFAGHLAAGLGCFSFVDLDTPLLLSEDPVFGGYEVSGPVYKFTNARGHGGFLHLDNNGLK >ORUFI01G02550.1 pep chromosome:OR_W1943:1:1871506:1873434:1 gene:ORUFI01G02550 transcript:ORUFI01G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVRTHRTTKLFGRERPLHDALGGHKAADIILWRERRVSVSIVAGATVAWYLFEVAEYHFLSLACYLAMLGMLVVFIWANASAFFNLPAPRIPEIFVSERTTRQVILALHSRLTWFVHRLYDIACGKDIKMFILTVFSLFIASVIASCFSSLTLLYLVVLGTMTLPVMYENYESEVDHLVSTAVHDLRSHVGDIDSGVFKKIPRGRGATAN >ORUFI01G02560.1 pep chromosome:OR_W1943:1:1876924:1879044:-1 gene:ORUFI01G02560 transcript:ORUFI01G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVPAAGVGVGGGGAGAGYPESTESSPRSRGGDSWDEPFPSSAAAAAAAAGGGRLRLMCSFGGRIVPRPTDKSLCYLGGETRIVAVERNASLADVHARLSRSLLGGQPFTLKYQLPNEDLDSLISVSTDEDLDNLVDEYDRIAATSSGGGSSRTSRIRLFLFPAKPESSSSLGSLLDDSSKSESWFVDALNSAISGSLDGIPRGISTDSASVNCLLGLEDDSSTHSRGGPPNSAPAEEQRANQQKLAAAAAVAAARHPHDVQSVPDSPMIDKNSSFGSTSSAPSLSNLPPIRVRPEDRPPDSRLAPQVPVEDHFAQMGISDQPVQPVIGGYMQPPPQVPIPNMAVPSISPSDASSRVFSDDDKSDHGGGGGRKPQPPKPEVPPVADPTNRAMYYNDRSPPAELKRDMPVGTDAASYRVPVPAQDAAAAAAAAAAAAQQPPAGYVYAQMHAPPPQQQPPPPQQQQPVPQQYVTAQNQHFIHNPATGTFIPIQSYYHHPVPQQAPQPPMPQPVPQPQQSHAFDPNTGMYYIPMRTNAPQAYSMPPGAAAVPPPTLVDTTPKPTVPIPQMAVKQPELQQPGLYRTTAAPTPVPASNAPAYAGMGYHHVIQTHHHPAPQPAATVAGNFGYDYGDPTRAQVFYSQAAAPPTLPPQYQPMGSPDAGQADLKQARAS >ORUFI01G02570.1 pep chromosome:OR_W1943:1:1881755:1882299:-1 gene:ORUFI01G02570 transcript:ORUFI01G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVAVAGAAAVATIVEVVGVGVGGSKWRRGRSLPVRCTARGPDGLGRLAGGTVVVTVATQPWLRWGEAWRPWTASRGRGRRLHLAGTATFGGGVGSGLAQSGAADGSGGRLGARGAGGGDGGRLGARGAAGGGGGDLSVKRSCRWVWRGLWRTKPAGGVGAVVPHVGRG >ORUFI01G02580.1 pep chromosome:OR_W1943:1:1890300:1893629:1 gene:ORUFI01G02580 transcript:ORUFI01G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGENTDGRREVQLGPHTIQTHGVRLARNHLHDWVVLILLAAVVIALHFAPPFSRFVGKGVLYSVLITAVVTTVVKNAVGRPRPDFFWRCFPDGKQLYDQVTGDVICHGEKSFLKDGRKSFPSGHTSWSFAGLGFLSLYLSGKIKVFDRQGHVAKLCIMILPLLIASLVGISRIDDYRHHWEDVFAGGLLGWGPYAYFHMLEELQVANSHNAESQQSVCGHHVELSRQHNSRTSRNDLEAGRV >ORUFI01G02590.1 pep chromosome:OR_W1943:1:1895169:1895471:-1 gene:ORUFI01G02590 transcript:ORUFI01G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKLGTCFGVGVGGGDDYYRGYTTVATDETGRKANNDVARKPVTAAAAATRDVYGRAADQPSPKPPAAARNSKVADDTGVKQPADAAAPVISRYPGHVY >ORUFI01G02600.1 pep chromosome:OR_W1943:1:1900928:1901185:-1 gene:ORUFI01G02600 transcript:ORUFI01G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPFSSCFGGKNELDFGETSRDPDYHPLSTTPSGNSYQGGDATETRYAYQQQRKPAAAPSTDGSAKPPPLAAAGWSNNKVAHHA >ORUFI01G02610.1 pep chromosome:OR_W1943:1:1902574:1903199:-1 gene:ORUFI01G02610 transcript:ORUFI01G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKHISRGAVPEDRGTLSSPARGPMCPQHSSRGSCWGIGGRDVLRAGKRIDEREGSGRLICRRAIHFSQRATARLQPTLVIKNAVCGTRTPQLIPQRSLRFPLDAYAARDLCLLDARSISASLQRAISGCSQATGVGGQVQRRAPRPGSGGHGDVVLVEQQVHTCQAALPGALELGAAAWHPASLT >ORUFI01G02620.1 pep chromosome:OR_W1943:1:1912069:1913586:1 gene:ORUFI01G02620 transcript:ORUFI01G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPILLPLLLLLLLLLAAASPRPVLSRRHASPPLATETLDVAASLSRARAAVSAEAVPLHQSAAAAVSTEVVGEEHEEGRLALRLHSRDFLPEEQGRQRHASYRSLVLARLRRDSARAAAVSARAAMAADGVSRFDLVPANVTAFEASAAEIQGPVVSGVGLGSGEYFSRVGVGSPARQLYMVLDTGSDVTWVQCQPCADCYQQSDPVFDPSLSTSYASVACDNPRCHDLDAAACRNSTGACLYEVAYGDGSYTVGDFATETLTLGDSAPVSSVAIGCGHDNEGLFVGAAGLLALGGGPLSFPSQISATTFSYCLVDRDSPSSSTLQFGDAADAEVTAPLIRSPRTSTFYYVGLSGLSVGGQILSIPPSAFAMDSTGAGGVIVDSGTAVTRLQSSAYAALRDAFVRGTQSLPRTSGVSLFDTCYDLSDRTSVEVPAVSLRFAGGGELRLPAKNYLIPVDGAGTYCLAFAPTNAAVSIIGNVQQQGTRVSFDTAKSTVGFTTNKC >ORUFI01G02630.1 pep chromosome:OR_W1943:1:1923055:1929379:1 gene:ORUFI01G02630 transcript:ORUFI01G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLLPLLVAAAVGLARAAATDDPFLSGAPGNHSYNIDCGGAADFVSVFGRRWLADQFFSAGGQAGMVAEPHRFPQPQERTLRFFPPSSAGKSSCYSLPLPPGRYYLRLFSVYDNYDSKLRTPSFDVSAAATLVLSFRSPWPEPASRYGAYSDLIFPSATSPTSDICFYSLSTDAPVVASIEVAPVHPLAYDGATTGADLILVNYGRLTCGNNLFGPGFTNDSDAFSRVWQSDIDFRNNDLNYDAITAGGRKIFGSNQPPNYFPTKLYTSAITTGGDASNEIEYLMPVDTRMSYMVWLHFAEIDAGIGSAGQRVFDVMLAGKNVTRIDIFKQVGGFTAFKWTYIVENLTSSTMSVKLVPVVGRPILCGLENYAMVPLETRTVPNQVAAMKALKDSLKIPARMGWNGDPCAPRTWDAWEGVTCLRKDKGLVITQLDLASQGLKGYITDEISHLTDLGSVIKPVYWRNSWHHSLLNNNQLDGQVPERLYSIGVHGGVIDLSGNKGLCGVPTLPACALFWEKGGLNRTGKIALGASLGFVLLVTLIVVYILCIRRGPYDYDFEFPQDLTSISAISAKRNRYQRAKSVMLAEMEAHGPDGYYTNGGAH >ORUFI01G02640.1 pep chromosome:OR_W1943:1:1929903:1930376:1 gene:ORUFI01G02640 transcript:ORUFI01G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSSELRHKYNVRSIPIRKDDEVQVVRGSYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKAILDRKARGRAADKAKGKFTADDVAAAAGGAAATGASLQEID >ORUFI01G02650.1 pep chromosome:OR_W1943:1:1932909:1934137:-1 gene:ORUFI01G02650 transcript:ORUFI01G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLLGSLVSNCNSNCPGNKSTLIPSNMCDLYQSRGEKYTEISEISSYRFRSELAATKVDVDENTSRPTLPKWKLIRSGIDNLPAPPMSSCIDKEDSTTSVKNRCKR >ORUFI01G02660.1 pep chromosome:OR_W1943:1:1946219:1947178:1 gene:ORUFI01G02660 transcript:ORUFI01G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAAMVVFSCNSGSGGSSSTTDSKQEEEEEEEEELAAMEEDELIHVVQAAELRLPSSTTATRPSSRYKGVVPQPNGRWGAQIYERHARVWLGTFPDEEAAARAYDVAALRFRGRDAVTNRAPAAEGASAGELAFLAAHSKAEVVDMLRKHTYDDELQQGLRRGSRAQPTPRWAREPLFEKAVTPSDVGKLNRLVVPKQQAERHFPFPLRRHSSDAAGKGVLLNFEDGDGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRPGDTVAFSRSAAAWGTEKHLLIDCKKMERNNLATVDDDAHVVVMLFGVDIAGDKTR >ORUFI01G02670.1 pep chromosome:OR_W1943:1:1954722:1954970:-1 gene:ORUFI01G02670 transcript:ORUFI01G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPELGTVDFATDNSGTQNFGPVFGHNRSNRMLLRKGPEHGLVEDGRQRREIASVDDDLSKMKGVGLDSLRIREGGGKLLVV >ORUFI01G02680.1 pep chromosome:OR_W1943:1:1973597:1974694:1 gene:ORUFI01G02680 transcript:ORUFI01G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSFSSTSSGASTATTESGGAVRMSPEPVVAVAAAAQQLPVVKGVDSADEVVTSRPAAAAAQQSSRYKGVVPQPNGRWGAQIYERHARVWLGTFPDEEAAARAYDVAALRYRGRDAATNFPGAAASAAELAFLAAHSKAEIVDMLRKHTYADELRQGLRRGRGMGARAQPTPSWAREPLFEKAVTPSDVGKLNRLVVPKQHAEKHFPLRRAASSDSASAAATGKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRAGDTIVFSRSAYGPDKLLFIDCKKNNAAAATTTCAGDERPTTSGAEPRVVRLFGVDIAGGDCRKRERAVEMGQEVFLLKRQCVVHQRTPALGALLL >ORUFI01G02690.1 pep chromosome:OR_W1943:1:1987179:2000759:-1 gene:ORUFI01G02690 transcript:ORUFI01G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVQEDNGGNYVKAFPLYMNALEYFKTHLKYEKDPKIKDAIAAKFTEYLRRAEEIRAVLDEGGGGGGAKGACSGRPSSPRSRASSGATSPAWRAPRRHCKRPPYCLSSFHTSLQRSPWKAFLLYGPPGTGNISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGECNENEASRRIKTELLVQMQGFDNSNDKVLVLAATNMPHVLDQAMRRRFDKCIYIPLPDLKARKDTFKIHIGDTPHSLTEGDFVSLAYQTEGFSGSDIAVCVKDALFQPVRKTQDAKFFIKADDDTWTPSEQSQPGSIQTTMQELASKGLAAKILLPPISKIDFDEVLVRQRPTAIEYVKQAVQEDNAGNYVKAFPLYMNALEYFKTHLKYEKNPKIKEAITAKFTEYLRRAEEIRAVLDEGGGGGGANGGDAAVATRPKTKGKDGEGGGDDSEQSKLRAGLNSAIITEKPNIKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLNESDFENLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFKADGDMWMPCGPKQSGAVQTTMQELASKGLAAKILPPPISRTDFEKVLARQRPTVSKKDLEVHERFTKEFGEEG >ORUFI01G02690.2 pep chromosome:OR_W1943:1:1987179:2000759:-1 gene:ORUFI01G02690 transcript:ORUFI01G02690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVQEDNGGNYVKAFPLYMNALEYFKTHLKYEKDPKIKDAIAAKFTEYLRRAEEIRAVLDEGGGGGGAKGACSGRPSSPRSRASSGATSPAWRAPRRHCKRPPYCLSSFHTSLQRSPWKAFLLYGPPGTGNISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGECNENEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLNESDFENLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFKADGDMWMPCGPKQSGAVQTTMQELASKGLAAKILPPPISRTDFEKVLARQRPTVSKKDLEVHERFTKEFGEEG >ORUFI01G02700.1 pep chromosome:OR_W1943:1:1995941:1996318:1 gene:ORUFI01G02700 transcript:ORUFI01G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKGHGQRRRRLRSGRRPALLFTDAIHAGQRRHDAGQPTSTQPTPVEGARLHQPRPALRCCRETRASLASWTEELRDKSAATDDARKGGEGSGMIETSTKVKNDDDEEKLAANASAATPRRSR >ORUFI01G02710.1 pep chromosome:OR_W1943:1:2016511:2021786:1 gene:ORUFI01G02710 transcript:ORUFI01G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPDEEDRGYAPASRPRRHPVEEEETAASPKKRDVCSWRDTRMGYILDGNSKLQIHQEKNEHMANTLEEEEKEEANSSIPRAIKDFVLPAGFPGSVSDDYLEYMLWQLPTNVTGWICHTLVTSTLLKAVGVGSFTGTSAAASAAAIRWVSKDGIGAFGRLLIGGRFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKAVGRGFRDPSFRVIQNHFAESGNLGEVAAKEEVWEVGAQLLGLSIGVFIMDTSGIKSSYPTLALTWLGVRLLHLWFRYQSLSVLKFRTVNLKRARILVRSHVAKHTVPDYVTCNEEENILTWERFLHPQISFGVPMERMLGEEESSDVVDRLLKLYRNEKYILFLNPIGTGRAAFFVTFKEAATSMSVLRSLWQAHWLDKNQLRQDDVFSWLEESVLALEHGFPDFLKQMEIAGWDQNQIILKVPKEPIMVMEHPDQEV >ORUFI01G02720.1 pep chromosome:OR_W1943:1:2021926:2028788:-1 gene:ORUFI01G02720 transcript:ORUFI01G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNVGNPDAIHEYRSLASYSHRHMGPTTRARFVHNRRRRRRRGPYAAPDDDDEEEDQQEASSSSSSSDEGEEDAEEEGSGEVDDDDGEAAEPSGKEEEVSPVAAAARSGRKASITISLKKVCKVCKSTGHEAGFKGAVYIDCPRKPCFLCKMPGHTTLTCPHRVAMEHGVIPASRRNTNTSLDYVFQSQVKGKIPMVKPQFLIPNQLECGNIKFHQRRVTCLEFHPTKNNVLLSGDKKGLLGVWDYVKLHEKITYDSVHSCILNSMKFDTTNDGLLYTASSDGTISSTDLDTGIGSSLLNLNPNGWNGPSTWRMIYGMDFNSDKGLLLVADSFGFLHLLDRRLKARIGDPILIHKKGSKVTSLHCNPAQPEVLLSSGNDHYARIWDTRKLEPNSAFVSLAHGRVVNSGYFSPQSGNKILTTCQDNRIRVWDYIFGNLESPSREIVHSHDFNRHLTPFKAEWDPKDHTETVAVIGRYISENYNGIALHPIDFIDTSTGKLLAEVMDPDITTISPVNKLHPRDDILASGSSRSIFIWKPKTESDATEERNREKAKEFVYGSGSRKKSNGKHENSSDDDSDGSCDGKKKKKAKKTRFTHTIKGKGKSKV >ORUFI01G02720.2 pep chromosome:OR_W1943:1:2021926:2028101:-1 gene:ORUFI01G02720 transcript:ORUFI01G02720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLIQSLSQASYSHRHMGPTTRARFVHNRRRRRRRGPYAAPDDDDEEEDQQEASSSSSSSDEGEEDAEEEGSGEVDDDDGEAAEPSGKEEEVSPVAAAARSGRKASITISLKKVCKVCKSTGHEAGFKGAVYIDCPRKPCFLCKMPGHTTLTCPHRVAMEHGVIPASRRNTNTSLDYVFQSQVKGKIPMVKPQFLIPNQLECGNIKFHQRRVTCLEFHPTKNNVLLSGDKKGLLGVWDYVKLHEKITYDSVHSCILNSMKFDTTNDGLLYTASSDGTISSTDLDTGIGSSLLNLNPNGWNGPSTWRMIYGMDFNSDKGLLLVADSFGFLHLLDRRLKARIGDPILIHKKGSKVTSLHCNPAQPEVLLSSGNDHYARIWDTRKLEPNSAFVSLAHGRVVNSGYFSPQSGNKILTTCQDNRIRVWDYIFGNLESPSREIVHSHDFNRHLTPFKAEWDPKDHTETVAVIGRYISENYNGIALHPIDFIDTSTGKLLAEVMDPDITTISPVNKLHPRDDILASGSSRSIFIWKPKTESDATEERNREKAKEFVYGSGSRKKSNGKHENSSDDDSDGSCDGKKKKKAKKTRFTHTIKGKGKSKV >ORUFI01G02730.1 pep chromosome:OR_W1943:1:2032352:2035806:1 gene:ORUFI01G02730 transcript:ORUFI01G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVAMAAAAAGDGGDGRGRTLGAVIKEKDEELALFLEMRRREKERGAAAAAAAAEQLLLSGDRVDVARDGMLLVDQPPPRPQPPAEHKAAAYRMTGGFRRAPGGADDFLNSDGGDKNDYDWLLTPPGTPLFPSLEESKKSPVSQTGTPKTRPTALKSRLANHPDPPSRTTLPLRATSSNNLNSAATTRRPSSSGGHTSNSSRPSTPTGRPALTNTSKGQHLLQQGQQHLQEHLALLPGHQFLQVIHTYFKIIDTCYQVIHAIIQALHTSTKQASIKVINPNKTAFCHFHPTCSIYLNLQTSPYNVQKFFTGKNYSVNTFKGQLTYCQIETMEAI >ORUFI01G02730.2 pep chromosome:OR_W1943:1:2032352:2037751:1 gene:ORUFI01G02730 transcript:ORUFI01G02730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVAMAAAAAGDGGDGRGRTLGAVIKEKDEELALFLEMRRREKERGAAAAAAAAEQLLLSGDRVDVARDGMLLVDQPPPRPQPPAEHKAAAYRMTGGFRRAPGGADDFLNSDGGDKNDYDWLLTPPGTPLFPSLEESKKSPVSQTGTPKTRPTALKSRLANHPDPPSRTTLPLRATSSNNLNSAATTRRPSSSGGHTSNSSRPSTPTGRPALTNTSKGQHLLQQGQQHLQEHLALLPGHQFLQVEHQHQLAGHPHLLQDHRYLLPGHPRHHPGPPYQHKASQYQGHQPQQDGLLPLPPNMVAWLHQFDLPQSPNQPLQCPKVLHRQKL >ORUFI01G02730.3 pep chromosome:OR_W1943:1:2032352:2037751:1 gene:ORUFI01G02730 transcript:ORUFI01G02730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVAMAAAAAGDGGDGRGRTLGAVIKEKDEELALFLEMRRREKERGAAAAAAAAEQLLLSGDRVDVARDGMLLVDQPPPRPQPPAEHKAAAYRMTGGFRRAPGGADDFLNSDGGDKNDYDWLLTPPGTPLFPSLEESKKSPVSQTGTPKTRPTALKSRLANHPDPPSRTTLPLRATSSNNLNSAATTRRPSSSGGHTSNSSRPSTPTGRPALTNTSKGSRPSTPTSRATVPSKSGPPAPRSSTPTSRSTLTSARSTTPSRTSGPATRTSIPSGRASAPASRSSTPTSRSSIPATRSSTPSSRPSIPAQSKPVSRSSTPTRRPSATSTQHGSLAAPVRSTSISKPAPTMSKSSSPAKTIASTPSRGSSPTVKSRPWKPSEMPGFSLDAPPNLRTSLPERPTSATRGRPGAPSSRSSSVEPGPAARPRRQSCSPSRGRTLNGSVPSGSSVPAVRRSHLNSGDSVNPVQMGNKMVERVVNMRRLVPPKHDDQRSSLNGLSGKSSNSPDSSGFGRTLSKKSLDMALRHMDIRRSIPNNLRPLMTSIPASSVHSARSGSTRSRPISVSDSPLATSSNASSEPSVNNNLMCLDSIEIDDELCSDRAGQYRR >ORUFI01G02740.1 pep chromosome:OR_W1943:1:2035153:2036522:-1 gene:ORUFI01G02740 transcript:ORUFI01G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKHIRLLLTLGSELALLLVARGESETLIGLLLVDPDRALCTEDAGMLVIRGRKLFGMLRRLTLSPLLRCDLLTAGTELPEGTLPFKVLPLEGEQDCRLGRAAGPGSTEEDLELGAPGLPRVAEVGLSGSDVLRFGGASSEKPGISDGFHGLDLTVGELPLEGVDAIVFAGEELLDIVGAGLEIEVDRTGAAKLPCWVEVAEGRLVGVDDLDTGLLCAGMEGLDDGVDDLVAGIDDLEVGVDDLLAGADALPEGIDVLVAGPDVLEGVVDLAEVSVDLEVGVDDLGAGGPDLEGTVARDVGVEGLEPLEVLVSAGRPVGVEGLDELDVCPPEDDGLRVVAAEFKLFDDVALNGRVVLDGGSG >ORUFI01G02750.1 pep chromosome:OR_W1943:1:2037499:2041841:-1 gene:ORUFI01G02750 transcript:ORUFI01G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSPSQSSAAAAAAAYGCCQRILLASTSLPATGRPARLGLKLRSTHSLQIRNRRFVCQAMTETEPDGDGNGDEEKEELGDDASSPSVDSVTQENGSAESETNADNTKDETVNTEPLSSSDTVQNIDGDATPASDAQENVEVVDVAVGSPLPGMKQQLDESVRIPKATIDILKDQVFGFDTFFVTSQEPYEGGILFKGNLRGQPAKSYEKITNRLQNKFGDQYKLFLLINPEDDKPVAVVVPRQTLQPETTAVPEWFAAASFGVVTIFTILLRNVPLLQDNLLSTFDNLELLKDGVYGALVTAAIIGVHEIAHILAARDTGIKLAVPYFVPSWQIGSFGAITRIVNIVRNREDLLKVAAAGPLAGFSLGFVLLLLGFILPPSDGLGLVIDPAVFHESFLVGGLAKLILGDALKEGTKLSINPLVLWAWAGLLINAINSIPAGELDGGRIAFAMWGRKISSRISSLAIGLLGISALFNDVAFYWVVLIFFLQRGPISPLSEEITEPENNYISIGVAILLFGLLVCLPYPFPFDPSQLTDFDL >ORUFI01G02760.1 pep chromosome:OR_W1943:1:2041937:2044854:1 gene:ORUFI01G02760 transcript:ORUFI01G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFPVAGGRSVSLGLFSDVSNSWELLELMQSGKLEPEVAFLNASLVPDVFPVLAAAHKAVVSQGRESLTTRTLHSELVYNYSGSKHITESLKRCGISDDTSYILAARFDASNEEIKAVEKLICGTEIDLAELETRANQPQILKHYKITTQELSISTLPDAIVCRIAARDAL >ORUFI01G02760.2 pep chromosome:OR_W1943:1:2041937:2044146:1 gene:ORUFI01G02760 transcript:ORUFI01G02760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFPVAGGRSVSLGLFSDVSNSWELLELMQSGKLEPEVAFLNASLVPDVFPVLAAAHKAVVSQGRESLTTRTLHSELVYNYSGSKHITESLKRCGISDDTSYILAARFDASNEEIKAVEKLICGTEIDLAELETRANQPQILKHYKITTQELSISTLPDAIVCRIAARDAL >ORUFI01G02770.1 pep chromosome:OR_W1943:1:2045565:2049777:1 gene:ORUFI01G02770 transcript:ORUFI01G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGAEIKDEMEEAPPLLLDEAARPRRVALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVIVVTNHEGVPQEFHGAKVIGSWSFPCPMYGKVPLSLALSPRIISEVAKFKPDIIHASSPGIMVFGALAIAKLLGVPLVMSYHTHVPVYIPRYTFSWLVEPMWQVIRFLHRAADLTLVPSVAISKDFETAHVISANRIRLWNKGVDSASFHPKFRSHEMRVRLSDGEPEKPLIIHVGRFGREKNLDFLKTVMDRLPGVRIAFIGDGPYRSELEKMFEGMPAVFTGMMQGEELSQAYASGDVFVMPSESETLGQVVLESMSSGVPVVAARAGGVPDIIPEDQEGKTSFLFTPGDLEDCLGKIQLLLTDKEFRDNMGMTARAEMEKCDWRAASKKIRNEFYNAAIWYWRKKRAELIKPLQWLAQMFLPAPEVNRITQH >ORUFI01G02780.1 pep chromosome:OR_W1943:1:2053373:2054758:-1 gene:ORUFI01G02780 transcript:ORUFI01G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYASRGNWFMARKWSPEENKQFERALAGLDLRCPDWDRVARAIPGRSALEVMNHFRDLELDVQQIENGMVPFPVYGAAAAGGAFTLQWDGAHGVGDFRNAYRFGGGGGGKRHFGRTPEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVQTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLTDDRPPSPSQSSLISNQSNTSTLTAAVAPFSSTADVKPQNAANASFNSPSRTLGMAGYGMGLQDQGLQCGGPLHDQLAASLSILF >ORUFI01G02790.1 pep chromosome:OR_W1943:1:2064687:2069354:1 gene:ORUFI01G02790 transcript:ORUFI01G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAEDIYTQDGTVDVKGNPATKKNTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQESAIAANNVTNWSGTCYITPLLGAFLADAYMGRFWTIASFMIIYILGLALLTMASSVKGLVPACDGGACHPTEAQTGVVFLALYLIALGTGGIKPCVSSFGADQFDENDEGEKRSKSSFFNWFYFSINIGALVASSVLVYVQTHVGWGWGFGIPAVVMAVAVASFFVGTPLYRHQRPGGSPLTRIAQVLVASARKWGVEVPADGSRLHETLDRESGIEGSRKLEHTGQFACLDRAAVETPEDRSAANASAWRLCTVTQVEELKSVVRLLPIWASGIVFATVYGQMSTMFVLQGNTLDASMGPHFSIPAASLSIFDTLSVIVWVPVYDRLIVPAVRAVTGRPRGFTQLQRMGIGLVISVFSMLAAGVLDVVRLRAIARHGLYGDKDVVPISIFWQVPQYFIIGAAEVFTFVGQLEFFYDQAPDAMRSMCSALSLTTVALGNYLSTLLVTIVTHVTTRNGAVGWIPDNLNRGHLDYFFWLLAVLSLINFGVYLVIASWYTYKKTADSPDDKAEHAGAN >ORUFI01G02790.2 pep chromosome:OR_W1943:1:2062948:2069354:1 gene:ORUFI01G02790 transcript:ORUFI01G02790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAEDIYTQDGTVDVKGNPATKKNTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQESAIAANNVTNWSGTCYITPLLGAFLADAYMGRFWTIASFMIIYILGLALLTMASSVKGLVPACDGGACHPTEAQTGVVFLALYLIALGTGGIKPCVSSFGADQFDENDEGEKRSKSSFFNWFYFSINIGALVASSVLVYVQTHVGWGWGFGIPAVVMAVAVASFFVGTPLYRHQRPGGSPLTRIAQVLVASARKWGVEVPADGSRLHETLDRESGIEGSRKLEHTGQFACLDRAAVETPEDRSAANASAWRLCTVTQVEELKSVVRLLPIWASGIVFATVYGQMSTMFVLQGNTLDASMGPHFSIPAASLSIFDTLSVIVWVPVYDRLIVPAVRAVTGRPRGFTQLQRMGIGLVISVFSMLAAGVLDVVRLRAIARHGLYGDKDVVPISIFWQVPQYFIIGAAEVFTFVGQLEFFYDQAPDAMRSMCSALSLTTVALGNYLSTLLVTIVTHVTTRNGAVGWIPDNLNRGHLDYFFWLLAVLSLINFGVYLVIASWYTYKKTADSPDDKAEHAGAN >ORUFI01G02790.3 pep chromosome:OR_W1943:1:2066720:2069354:1 gene:ORUFI01G02790 transcript:ORUFI01G02790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAEDIYTQDGTVDVKGNPATKKNTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQESAIAANNVTNWSGTCYITPLLGAFLADAYMGRFWTIASFMIIYILGLALLTMASSVKGLVPACDGGACHPTEAQTGVVFLALYLIALGTGGIKPCVSSFGADQFDENDEGEKRSKSSFFNWFYFSINIGALVASSVLVYVQTHVGWGWGFGIPAVVMAVAVASFFVGTPLYRHQRPGGSPLTRIAQVLVASARKWGVEVPADGSRLHETLDRESGIEGSRKLEHTGQFACLDRAAVETPEDRSAANASAWRLCTVTQVEELKSVVRLLPIWASGIVFATVYGQMSTMFVLQGNTLDASMGPHFSIPAASLSIFDTLSVIVWVPVYDRLIVPAVRAVTGRPRGFTQLQRMGIGLVISVFSMLAAGVLDVVRLRAIARHGLYGDKDVVPISIFWQVPQYFIIGAAEVFTFVGQLEFFYDQAPDAMRSMCSALSLTTVALGNYLSTLLVTIVTHVTTRNGAVGWIPDNLNRGHLDYFFWLLAVLSLINFGVYLVIASWYTYKKTADSPDDKAEHAGAN >ORUFI01G02800.1 pep chromosome:OR_W1943:1:2092837:2093743:1 gene:ORUFI01G02800 transcript:ORUFI01G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASWVASSSRRLCRRRPSAEYSWKPAAKHSWREDGPLRAHSMPTTICRYTRGGSRLELKRQATRRRSPVVGIEGGALSRRPSGIRTSCEYLDPATALTYYREGTSPGQVKDRLECGIFLNCKYCP >ORUFI01G02810.1 pep chromosome:OR_W1943:1:2112474:2115527:-1 gene:ORUFI01G02810 transcript:ORUFI01G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVDGGRAAMGRRHIGTVAHLAAGGFAGAVSKTCTAPLARLTILFQVAGMHSDVAALKKYSIWHEASRIVREEGFGAFWKGNLVTIVHRLPYSAISFYSYEHYKKFLQRVPGLDEDSNYVGVARLLSGGLAGITAASVTYPLDVVRTRLATQKTTRYYKGIFHAVSTICRDEGVKGLYKGLGATLLGVGPSIAISFTVYESLRSHWQMERPQDSPAVVSLFSGSLSGIASSTATFPLDLVKRRMQLQGAAGTSSVCKSSITGTIRQIFQKEGLRGFYRGIVPEYLKVVPSVGIAFMTYETLKSLLSSIDEDDES >ORUFI01G02810.2 pep chromosome:OR_W1943:1:2112474:2115527:-1 gene:ORUFI01G02810 transcript:ORUFI01G02810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVDGGRAAMGRRHIGTVAHLAAGGFAGAVSKTCTAPLARLTILFQVAGMHSDVAALKKYSIWHEASRIVREEGFGAFWKGNLVTIVHRLPYSAISFYSYEHYKKFLQRVPGLDEDSNYVGVARLLSGGLAGITAASVTYPLDVVRTRLATQKTTRYYKGIFHAVSTICRDEGVKGLYKGLGATLLRRMQLQGAAGTSSVCKSSITGTIRQIFQKEGLRGFYRGIVPEYLKVVPSVGIAFMTYETLKSLLSSIDEDDES >ORUFI01G02820.1 pep chromosome:OR_W1943:1:2118294:2119547:1 gene:ORUFI01G02820 transcript:ORUFI01G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTRSMAVREKMMKRKRLSPLQGHARGGQGARRRETCALERLDNMKWVENERYLVAADNPDTCFIIGVTLVFAQQDMAQGLFLLDKAATAGHKTAAYVLGLLLYKSDEARATGKKYISQVEGDGDEAATTDAGNKRTNQECRRCRKIAEDAVQEVMWKVVRRRGQLLVLPEDNHQCTTIGCGLELGWEGYEGFCSDSCRIKHEYSKFMTHEPL >ORUFI01G02830.1 pep chromosome:OR_W1943:1:2119695:2121981:-1 gene:ORUFI01G02830 transcript:ORUFI01G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFAAARRAATSTLPLLRASASAAGTTRGAAALLRPLAAAAAARPQPRSMPFSSAPSTRPSSDGELLRIIDAEIKFAEESDDHDRVEEIPDNFPFKISDEKGFNSITLTRTYQGENIEVLVSMPSLVTGDEPDRENEADEDRNEDDQEEETQKAPKSSIPLTVTISKGEEGPSLEFICTAYPDEILIDALSVMPSESGEDEMITYEGPDFNDLDENLQRAFHKYLEMRGITPMATNFLHEYMINKDSREYLIWLRRLKDFVRN >ORUFI01G02840.1 pep chromosome:OR_W1943:1:2123409:2129578:-1 gene:ORUFI01G02840 transcript:ORUFI01G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYEPLNSAGIQGGSSEYIYLVDGEEVEKVDNGEVIKVSYKVPETVDVEKCPQVRLRFAADHVGHINFPLQYDKCRDFVVKGLLANDEDLGRAFRVIEAELGFLFDFFYARYPSIKDTLAPDLAVYITFSDTGPIGSNAANNILIHGFNLDLLVTRLVIVWYIFLESYQFLAFIFSDWHKGTIGQYFILDNIQPHWVKTFLSWFSLEAQALDSSLMTRSVRLMPVVLRELKNCDGKITDGRMWMYRTGIIDLNLDRDVLLGHTYARYILTWHIATSICDYGLSMDTTAGGIPAGAGPGQHNACDFLAKCESASDKYDKLMDLGRLDHTEHNVRFLSEGARIAVYLVDSDGTSRNATQAGKQFASSSSGQLARIEVLVTVGYLLVSVLVLSNSRRRHHGGALLRLLVWGAFMFNYPSSIQNELFVVWACFLLLLGTADTMTAFSFNDSSQQTRSMMNQALYVVYLLFLILYYKGQLRGTFLVSLFLLWSYSWPYGPPGTARPRHGRAWAEAYLSTNRSRGLTKENQVVLDYIEHEPPSSTGVRSCKGNYDPETMKEYIYLVDGKEVEKVEFGQQVKQVAYGVPETVDVEKVWQCKGKLLKLSDGRRDQGVARRRDLCLSFALFKMLRLRFAVDHVGRFALPFQEGKSWDFVVKGLLADDQDLDRAYRVVEAELGFLFDFFYARYPSIKNSLAPDLAVYVAILTTSLFTLFSPDLLRYRPLRPGPGDGGDASNIIIHGFNLDLLVTRLVIVWYIFLESYQFFTFIFSDWHKVKMMCRYVRNESWHRALMEVPLKVLCHFSTITRYWKGTIGQYFLLDNIHPHWIKTFLSWFSIEAKALDSWLMTRSIRLTPEVSHAVLRELKNCNGNITDGRMWLYQKGIIDMDLDRDVLLGNPYANYILKWHIATSICDYGLSMENGATTTDDEFARNHEVAMKLSGYCAYLLAFQPELVQDNTYRSTSTVQGTLQNARDFLGGCKSHGEKYKKLIELGRSKIVMDHEMAQKSKDIIYSYDSDEEKVKKMIELDNSTSNDTVNVLKILSQGASVAVYLVDRIEDTRERWKVLAAFWANLMLYISPSDRAVAHATRMATGGEFITILWALLTHAHVVDPLQSRGGNSGLHMQLEEEERRRPLIEEQEMELVTRRKLREEQERNMQMQGQPPIQP >ORUFI01G02850.1 pep chromosome:OR_W1943:1:2130912:2132655:-1 gene:ORUFI01G02850 transcript:ORUFI01G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFAAARRAATSTLPLLRPLAVAAARPQPRSMPFSSSAPLTKPAFDSELVRIIDNAINDAEEESDGDQDRHCNCFAEIEAKEIPNNSPFKIFKFFKINYGEGSNAITLTRTYHGEKIELQVSMDSLESDDEPEPATAKVKCEKRWTSDESEYEEIQVPSKSSIPLTVTISKGDGQILEFSCTAHPEEIVIDTLSLMQPPEDDNNEMIADEGPDFDDLDESLQKALNKYLELRGITPMAAKFLQEYMIYKENEEYLLWLRKLKDFVSL >ORUFI01G02860.1 pep chromosome:OR_W1943:1:2133341:2135295:-1 gene:ORUFI01G02860 transcript:ORUFI01G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSAAAAAAATTAAAFLPGVSSASRAGTPLLSLQRQPLAGSLRAAAQGSSASSGQVVMESKVKAKKKKGSGAGNLPGAIDAEIREAQDYLAIDEQEPVPENFPFEILDEEGMSVVILKRDYKDEKIEVIVSMPNLEGGPEFDDEEAEGEGKNASKDDEDEEEDESAGDSSVSLKVTVSKGSGPKLEFTCTAFREEITIDDMLIVENAATEGDEKFPYEGPEFTELPVNVQKGLFKYLEQRGITLPTTNYMHDYMVTKQTKEYVGWMTKLKDFVRQ >ORUFI01G02870.1 pep chromosome:OR_W1943:1:2137769:2143848:-1 gene:ORUFI01G02870 transcript:ORUFI01G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTILGSLKLTPSPPSATPVRSSASSSLHFHLANSGAAALVAASLLVADPALAFKGGGPYGQQVTRGQDLTGKDFSGQTLIRQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRGADFSLANVSKVNLTNANLEGALATGNTTFKGSNIYGAGKLLILQMFHCETISVNTSAKLLMGDRSSGGGGRGWAAWIGEGSEWMSRRSSRSPPVLTIALVVLGVIILIAYFNSGSGVTVTSREAVSRSEGSCTPEVMQALPYLKKAYGNELHKVLHVGPDSCTVVSNLLKEGKVEAWGVEPYDLEDTDSSCKSLVRKGFVRMADIKFPLPYRQDSFNLVIVSDALDYLTPRYLNKTLPDLARISTDGLVIFAGNPGQQKAKVSELPKFGRPAKLRSSSWWSRYFIQTGLRENEGPLKKFEQAASKNKYKPDCQIFHLSS >ORUFI01G02870.2 pep chromosome:OR_W1943:1:2137769:2143848:-1 gene:ORUFI01G02870 transcript:ORUFI01G02870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTILGSLKLTPSPPSATPVRSSASSSLHFHLANSGAAALVAASLLVADPALAFKGGGPYGQQVTRGQDLTGKDFSGQTLIRQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRGADFSLANVSKVNLTNANLEGALATGNTTFKGSNIYGAGKLLILQMFHCETISVNTSAKLLMGDRSSGGGGRGWAAWIGEGSEWMSRRSVNPSRRGADGGLPSGGGPADGGLPSVGGLLHPKSRSPPVLTIALVVLGVIILIAYFNSGSGVTVTSREAVSRSEGSCTPEVMQALPYLKKAYGNELHKVLHVGPDSCTVVSNLLKEGKVEAWGVEPYDLEDTDSSCKSLVRKGFVRMADIKFPLPYRQDSFNLVIVSDALDYLTPRYLNKTLPDLARISTDGLVIFAGNPGQQKAKVSELPKFGRPAKLRSSSWWSRYFIQTGLRENEGPLKKFEQAASKNKYKPDCQIFHLSS >ORUFI01G02870.3 pep chromosome:OR_W1943:1:2137769:2141579:-1 gene:ORUFI01G02870 transcript:ORUFI01G02870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSLVLGDRSSGGGGRGWAAWIGEGSEWMSRRSVNPSRRGADGGLPSGGGPADGGLPSVGGLLHPKSRSPPVLTIALVVLGVIILIAYFNSGSGVTVTSREAVSRSEGSCTPEVMQALPYLKKAYGNELHKVLHVGPDSCTVVSNLLKEGKVEAWGVEPYDLEDTDSSCKSLVRKGFVRMADIKFPLPYRQDSFNLVIVSDALDYLTPRYLNKTLPDLARISTDGLVIFAGNPGQQKAKVSELPKFGRPAKLRSSSWWSRYFIQTGLRENEGPLKKFEQAASKNKYKPDCQIFHLSS >ORUFI01G02870.4 pep chromosome:OR_W1943:1:2142142:2143848:-1 gene:ORUFI01G02870 transcript:ORUFI01G02870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTILGSLKLTPSPPSATPVRSSASSSLHFHLANSGAAALVAASLLVADPALAFKGGGPYGQQVTRGQDLTGKDFSGQTLIRQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRGADFSLANVSKVNLTNANLEGALATGNTTFKDFTDVPLRDDQREYLCKIADGVNTTTGNATKETLFCK >ORUFI01G02880.1 pep chromosome:OR_W1943:1:2144698:2150265:1 gene:ORUFI01G02880 transcript:ORUFI01G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLSQIETDGGKSVDLSNYAYIFMVLHHLTFHRWKEQYFANVGVDCGLTIAGFYYVCFSCSDGSISGYYYDPNSSPFQKLELKCTNEKDSGFTFSSYELQ >ORUFI01G02890.1 pep chromosome:OR_W1943:1:2148513:2149611:-1 gene:ORUFI01G02890 transcript:ORUFI01G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRTPNGSQKKPVLGKVKSKAKKWMHLLHHKKKPQEDMMWTPRAGPSADDSKEHNDADYGSPSTARHPHSSCESACAPEVFLEASSRQNSPLPSPTAHKEQPYFKVSSRFESEMKEANEMLRESKQLRVNTTKPKTVTFAPILEQGPEPVKNDWHSKELSETATEIFSHAYAIVCEAVLRMVSIIQGAMSSYNIDRRQMLEKIVSFKRYMMLKLAPGEGDKVLSEVITEAVLDMFDAWCENVERPLVQRAKEVYSWFLPERREELPPVPLSTHPCEYEGKLHQVCKGSPICRILL >ORUFI01G02900.1 pep chromosome:OR_W1943:1:2151122:2156800:-1 gene:ORUFI01G02900 transcript:ORUFI01G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGYRPDRETDFAGFPSTTPIVIDNGASTFRIGWAGEAEPRVAFRNIVQRPRHRSSGETVTVVGDTDPALMKYFDCTRSAIRSPFDDDVVYQFEYMEYILDFGFDRLGATSEVGHPILMTECECNPSFSRARMSELLFETYGVPSIAFGIDDVFSYKYNQKLGNCGEDGLAISCEHGTCHVVPFLKGEPVLGACCRTNVGGFHITDFLRQLLSLKYPYHSASITWEKAEELKKEHCYVALDYMSELQIFKLKEKKKQILLKTTTEGRMRAKQRRAEEEALREKQEEERLHWDMDPTFVNKAEAVQQTPEPPKVWTLTAEDYRISIGIERFRCPEILFQPGMIGIDQAGIDEMVSISLRRLMEDEAVKERLCQSILVTGGCSLIPGMIPRLESGIRQFRPYLSPLKLVRAADPLIDAWRGAAAFAASSKFGRHTFSLADYREHGENLFHRYNIVYSL >ORUFI01G02900.2 pep chromosome:OR_W1943:1:2151122:2156800:-1 gene:ORUFI01G02900 transcript:ORUFI01G02900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGYRPDRETDFAGFPSTTPIVIDNGASTFRIGWAGEAEPRVAFRNIVQRPRHRSSGETVTVVGDTDPALMKYFDCTRSAIRSPFDDDVVYQFEYMEYILDFGFDRLGATSEVGHPILMTECECNPSFSRARMSELLFETYGVPSIAFGIDDVFSYKYNQKLGNCGEDGLAISCEHGTCHVVPFLKGEPVLGACCRTNVGGFHITDFLRQLLSLKYPYHSASITWEKAEELKKEHCYVALDYMSELQIFKLKEKKKQILLKTTTEGRMRAKQRRAEEEALREKQEEERPEAVQQTPEPPKVWTLTAEDYRISIGIERFRCPEILFQPGMIGIDQAGIDEMVSISLRRLMEDEAVKERLCQSILVTGGCSLIPGMIPRLESGIRQFRPYLSPLKLVRAADPLIDAWRGAAAFAASSKFGRHTFSLADYREHGENLFHRYNIVYSL >ORUFI01G02910.1 pep chromosome:OR_W1943:1:2161579:2163518:-1 gene:ORUFI01G02910 transcript:ORUFI01G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEEYLNLQSATFAQLYRCLPISLLKKENADDGNRVFMPVSALDRLGYLHIEYPMQFQIQNATTLQTSYCGVLEFTADEGFIHIPTMMMEHLGLRENDLVLLRSTSIPKATFIKLQPHTSDFHKLSEPRYLLEYNFRNYFCLTTGETIAVAAGDRFYYLDVVETRPADAVCVIETDCEVEFDQALDQAEPAAAAAMQVDGVGAGEPEPARFTGFRMRMDGKPVEEEKKTMPPPATAAAPPKRGLRFGSSAPAAGGGVKEAKSREKDDGNRFTGKKYSLQF >ORUFI01G02920.1 pep chromosome:OR_W1943:1:2163874:2166699:1 gene:ORUFI01G02920 transcript:ORUFI01G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARTPLAHRAVFMQRAAVAQKVEEKPKVEAAAAEEEEVVTVPSGGVGSSVKVARKCVVIMEGNPHPGAAKGRMSFLNFNPSIDKLTQEATGGRQSQLASPSNNHQDGSNSSRTDEVSRTRFSDFNIDSSESISLNELKRKQPELEMETPPSHRQPKTTGKSIDGDSSSQSNGRGSHKSNKREKLDWNLLRPRKSK >ORUFI01G02930.1 pep chromosome:OR_W1943:1:2167047:2168789:1 gene:ORUFI01G02930 transcript:ORUFI01G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASSVASAVEKLQAAAQDAANSSSRSAAAFSEQAHQVLVPRAAGRVVSLSTCTKISAVSFAVGVVVGFTLKRRLRRWAARLLKRIKDDD >ORUFI01G02940.1 pep chromosome:OR_W1943:1:2172750:2173088:1 gene:ORUFI01G02940 transcript:ORUFI01G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGALMGADRREPEAGGGAAALGAVGIATVSVATTLAAVFQPPPGGLLADTFYRLALSGTFLGGMTLVGASVWVADNPAARRAAGKKLLYTAIPPLLAAMGLSVAALLW >ORUFI01G02950.1 pep chromosome:OR_W1943:1:2173667:2174221:1 gene:ORUFI01G02950 transcript:ORUFI01G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASSPAWRLSAPLAVDADAELVGHTGGAHMPLDLVVLRAAATAMEISRLAVAAMCRSPWGGDAAPRFRSSTDFRSPSPGFVFSGQPLAAPPVLGQDLVGIACGRCWALGV >ORUFI01G02960.1 pep chromosome:OR_W1943:1:2175154:2177963:1 gene:ORUFI01G02960 transcript:ORUFI01G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVARREVDADAVAAVSRIPAAMGAFGLATASLTINLAATREPPPCFGDSTYYRLALVGSFLAGVAQVAAAVWVVDDPRSRRAVAKKIIYASIAPLVVAVGLTGAVLLLWRKVRERRKGEKMGKRKSDDVVTLTCGAHQSLIMMRVARLEADGAASKIAAFGLATASLTINLAVAREPPSCFGDGAYYHLALVGSFLSGVAQVGAAVWVADDPRGRHAIGKKIVYASIAPLAIAVSLTGAALLW >ORUFI01G02970.1 pep chromosome:OR_W1943:1:2187648:2187980:1 gene:ORUFI01G02970 transcript:ORUFI01G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVARLDQADGAASKIATARALAAFGLATASLAINLAATRGPPSCFGDSAWYYHLALVGSFLSGVAQVAAAVWVSDDPIGRHAVGKKIVYASVAPLAIAVGLTGAALLW >ORUFI01G02980.1 pep chromosome:OR_W1943:1:2200105:2201410:1 gene:ORUFI01G02980 transcript:ORUFI01G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAEIAAKLRVLGVCAASTAITLAAAAAAAATCEPPRCALGNSMWYYYYLVLSGTFLAGMILIGASVWVSDDDPRRRRGCAGSKLLYAAAPPLIVAVVGLSLWQLYTAAAAGRVIGFHYFVSMREKQIKGRLPYHFMAAEAEVLVVAARPEAWAGAPAANRRRRLRKVASHGTTPPKNVFSGAEDGGHDWNVAASVSALEKREDWAASSGGERDKVSASKRVPG >ORUFI01G02990.1 pep chromosome:OR_W1943:1:2208733:2209294:1 gene:ORUFI01G02990 transcript:ORUFI01G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKANKIIPKITAAALLLSAAARPRCAVVRRRHRAHGRAPLSSAPPPHSLAPLPFGQIWEEGAGSRRHPSTPLAVVAGSRAASTPAATPQPPSPPWPGAAPPRPSSPLPPSTATAGIAAAASGQGEE >ORUFI01G03000.1 pep chromosome:OR_W1943:1:2210802:2211242:1 gene:ORUFI01G03000 transcript:ORUFI01G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVGRDNLEMLQQVGSYSLEIGAAEAAGVAGEEYRSGTPPPGQFVKELLGVGSLTLAMAAGTLVYKPPHGLLFQRHVLAYYLTLVGIFLAGVAEVWTAFWLSEAAGDGRRRRRRALGRAVLWASVVPLAAIAGIGGYTVLVNMFN >ORUFI01G03010.1 pep chromosome:OR_W1943:1:2211875:2213754:1 gene:ORUFI01G03010 transcript:ORUFI01G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYVGGTGSRNTCCHWATGCCCLQGEASSASAVWPVAGVIRVGGGVVGPVAVAGIGSVVLWPASAVVVLWPASAVWPVAGVVGVIRVDGVIVGGGGGENERERKGDETAGARSRLARSRLAKDGGQRWRARLNPLVALPLS >ORUFI01G03020.1 pep chromosome:OR_W1943:1:2212071:2213401:-1 gene:ORUFI01G03020 transcript:ORUFI01G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTPATGHTADAGHNTTLPMPATATGPTTPPPTRMTPATGHTADADDASPCRQQQPVAQWQQPI >ORUFI01G03030.1 pep chromosome:OR_W1943:1:2213965:2218631:-1 gene:ORUFI01G03030 transcript:ORUFI01G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNLLLVGAAAPREAEAGDGAAESKIAAALGTLGLAAVSTATALAAAFEPAVTGGFAANTYYNLALAVTFLAGVTLIGALVWVSDKPAARRRAAGKKLLYAAIPPLVATRHRRRSIGGGAALCNAAEGLTDGSRAVRTVTYLIGASSIKASAKY >ORUFI01G03040.1 pep chromosome:OR_W1943:1:2224273:2224852:1 gene:ORUFI01G03040 transcript:ORUFI01G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPALARRESEHDGAASSNIPAVLLVLGVATVSVAINNTAAAATNYHCSLAFAISLAGAFLSGMAMIGASVWVSGDPRGRTAAGKKIIYASMAPLVLAAAGLAVVMAHSSAEWREYGLDADDALARFTYVASAVGPANRERR >ORUFI01G03050.1 pep chromosome:OR_W1943:1:2227504:2231078:1 gene:ORUFI01G03050 transcript:ORUFI01G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGPDELLKSHHILAKRREIRKREMEGVVVFADENSILRTELFDEVQKVKSVGAMPVGVLGEDEGTNEMFLQAPPGCLPLTAGCYASSPVIASGEREFAPAPFCRCPSDPRPPPPPSPSIRSLLPRADGDGYQEGNTWLSSSLCRIESLESLSTPLKNENDDSIFSLPTNIAVWAYDEGLLW >ORUFI01G03060.1 pep chromosome:OR_W1943:1:2232748:2233149:1 gene:ORUFI01G03060 transcript:ORUFI01G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAGIDNLNLELGMVGAQVAGGEAPAAGTSPETTVKALRGVGFLTLVISVGTLVYKPPHGLLFQLHVLAYYLTLVGIFFAGVVEVWTAFWVSEAGVGGGRRAFGRAVLWASVVPLAAALGIGGYTVLANVPS >ORUFI01G03070.1 pep chromosome:OR_W1943:1:2239963:2244597:1 gene:ORUFI01G03070 transcript:ORUFI01G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPYIDEDGEPLMDPYDTRDPSPEPQQQPYDDLEDDLGDDWNRGRSPTPVHGDDGAGSSSKPRKRLLKKGGGGGGGGGGGGHGMPSDGLDDWGEEAAGLADDDVDPEADAAKKRKGSSALRDLARGGGKEKKEKKRRKEDGREREGGRGMGMAREKRGGSGGKGFGGGGGGGHGDQDEGEREIQELWDTIAGGDSEDDQEGVRTLDDDNFIDDTGVDPADRYGSDNDGHSPRHYPQAEEGEEDDEIERLFKGGKKKKKNDRPRADIGLIVEQFIAEFEVAAEEDANLNRQSKPAINKLMKLPLLIDVLSKKNLQQEFLDHGVLTLLKNWLEPLPDGSLPNMNIRTAVLKLLTDFPIDLEQYDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKELVDKWSRPIFNKSTRFEDMRRYDDERAPYRRPQMKKPSSSSSGMESRDDDLDADFSQRKSGQGGARQHASRPEASPLDFVIRPQSKIDPEQIRARAKQVVQDQRRLKMNKKLQQLKAPKKKNLQASKLSVEGRGMIKYL >ORUFI01G03080.1 pep chromosome:OR_W1943:1:2246033:2249623:1 gene:ORUFI01G03080 transcript:ORUFI01G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGETTVVPIEMGGGGGGGGGGQERPKAPRRHGSHGPGHYGNHHHHHHHHRSRPPPPPPPPSEFRPFRRWFPFLVPLFVVVNVALFVVTMYINDCPAHMQATGDAIGGDVGEGAASQGCWLEPELGRFAFQSYKENPLIGPSSATLLKMGALETSKVTNDHEGWRLITCIWLHAGVVHILANMLSLLLIGIRLEKEFGFMRIGTLYVISGVGGSLLSALFMVSNISVGASGALFGLLGSMLSELITNWTIYENKFAALLTLVIIILINLAVGILPHVDNFAHLGGFTSGFFLGFVLLVRPQFGYINQKNSPLGLPMGTTKSKYKTYQIILWVHHWIHIGTERVQCQRTLFLVPLPELCTYFKVELQHAKQLLHVFAAWKSIKSDMRKQWKD >ORUFI01G03090.1 pep chromosome:OR_W1943:1:2249302:2251172:-1 gene:ORUFI01G03090 transcript:ORUFI01G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEAETHNAGGGELLVWPWTGILATTTDDDDATADAASTLAFHAHQHFAGVPTTALQEATAGDGHHHHFLVLHFGKSWAGLRDAMSLPGRFPGAGRREWRRRRSSREGATSGAVYGWPAGEDDLRGGDGVVVGRFLREAGGAARSAEDVERDEGRQEMEPIYAKLHQLEKQLEQRQALEATIRQMNMNLQARGRLGNEDHEHIYSIMVCLRTIVDEEKEMLADSCAEIMKRLRTNSDELEEYRQELIKGVENMTITASTTIGIKRMGELDERPFHLACKRRHRDDDPGGKAAMLISYWQEELKNPSWHPFKIIQVDGEDKGVVDEDDPKLRQLCKDYGDSVCNAVKAAMAELNEYNPHGRYAMNELWNFREGRKATTTEVVKYISDQLKTNSSQSDN >ORUFI01G03100.1 pep chromosome:OR_W1943:1:2251886:2253939:-1 gene:ORUFI01G03100 transcript:ORUFI01G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAAGGRGDLSAAVSDEANAWCVRFKNGAVDDGGDDDDDDVDDDGEEGGEEGEAAGGGAGETEKDSCVEKERSRRSRGEEVSEDDYVQAGGGLERRKRKATVTPQKVQTSKVGIKNKKVQALYLSDLAKEAERLSQENENLRWELKFKTKDLEHAVQTVEWKNKEIKVLKKENNELKTENENYKKNAKPLRALRLCRYCKERTTHDYRNCPKRKSDEDSDEEDGDEEESSN >ORUFI01G03110.1 pep chromosome:OR_W1943:1:2255802:2258481:-1 gene:ORUFI01G03110 transcript:ORUFI01G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREDAAAAGVTDEAKLWWLRFNNGAVDDDDDDDEEVVVEEEEEEGGEGEGGAAGETEGKGSCGEKERQSSPRSCGSSPSPGEEVSEDEYVQVGGVLERRKRKATVTPGNLQISKIETPHKLQISEVRTPHKLQIAEVEIKSKEVQALVLAQDAQRISQENENLRLQLALKTKELEHEENQKLKLELELKNKDIESLKKQNDELKAEIEYYKKTTKPPRVARRCRCCEEYTTHDYRNCPQRRSY >ORUFI01G03120.1 pep chromosome:OR_W1943:1:2260194:2261803:-1 gene:ORUFI01G03120 transcript:ORUFI01G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELEYCEEIKNLRIELAQKAKEIESLKKLNKEVEAKGESSPKNREKEDFLARMLQLEKELYEKHQLELEVTQLNGTLQVMKHLEGDDDGDIHDKMEKLSGRLERKKECLEELSRELLKKERESNDELQEARKELIMLKQQLQVMKYLDKMEKLSEILECEKKRLEELSGELVKKERESNDELQEARKELTMEVVDDDDTKLRHLWIEYGDDVCNAVKTALSEVNEYNASGRYVVPELWNFRKGRKATMKEVLKYIFGQIETTSKRRRP >ORUFI01G03130.1 pep chromosome:OR_W1943:1:2270180:2273740:-1 gene:ORUFI01G03130 transcript:ORUFI01G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDMDDYIDPYEEAEAEAAAEAAGLTSAAAAEDSSDEGDSEDDSEAESDYEERSYGLLRSGNHRVRNPDGTFRCPFCPGKKKQDYKLKDLLQHADGIGASSKRRRHGRERAFHRAFARFVRTDPSFAQDLAAINGIATTADASSNSSATGAKEKADANGGTDGSASVAAAAAGNRQEEERFAWPWSGVLAAGAGFNAEDFADRVAMFGLDDVVPLVVDDAEGADSFAIVRFANGWGGFGDALTLENHFNKNKLGKNEWDARGSAGDAVKGEDGETDVKVYGWVAREGDYNAGNVVGRYLRKHTNLTTIDEVSKSESEKSGKMVAILATQIEAKNRFLQDLETKKNATELSIARLEEDNRKLHDAYNEEMRNLHRRARDYALRVFQDNENLKLELDSRRRELNSRAKQLEKITAENANDRKKLDDQKQKAKDDNSELELASIEQQRADEDVMKLVEDQKREKEDVLARMLQLEKELHEKQQLELEVTRLNGTLQVMKHLEGDDDGDIHDKMEKLSERLEHEKKRLEELSGELVKKERESNDELQEARKELIMGLEDMLTGRTAIGIKRMGELDEKPFQNACKRKYGNDDYETKAAELVSSWQEEIKKPSWHPYKIITVDGEDKEVVDDDDTKLRHLWIEYGDDVCNAVKTALSEVNEYNASGRYVVPELWNFRKGRKATMKEVLKYIFGQIETTSKRRRP >ORUFI01G03140.1 pep chromosome:OR_W1943:1:2276312:2279812:-1 gene:ORUFI01G03140 transcript:ORUFI01G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTPSRAAAGSNSAAAAASPYRRRGRCTIRRARVRRCRMKLMYFLMDKEEKHRKRAELELEVSELEAALDKETRLGRILHCSLQGRVVCHCCLSTLVPNKIRGLLAELAIVEDEIFYLEKKVDDLRLRLHRERKWTDQCIFQQQQQNWPQNRHQRHSICSLGGRRELQGAELLPRLPCPGSDEALECESKASVGSVSSKGEEVEQIRRSSHSFENLKLPERKICLSGPNKLSEELIRLTVNIFHKLNKTTDAAELEMSSTSKLNISCIGPRSLVPKSSAITGAAISTLKNRRMSQGGDGAEKEIGCHKRFVEFTKSSFDVSRISSCLVDIKNLRILMQRLCNVDPSFLTNKQKLAFWINIYNFCIMHHGLPPSPDKLLGLLNQASVNVGGTVLNVLSIEHLILRHSPEGKQGIMDERERDLQLSYGLGYPEPNVVFALCRGSRSSPALRVYTAEDISNELERAKVEYLESSVRAASKKKVVVPKLLHWHMRDFADDVASLLEWIYSQLPGRSSSTGQLKRTIRELLGAAGAGGKAAVAKAVEVEPYSAEFHYLLPL >ORUFI01G03150.1 pep chromosome:OR_W1943:1:2281215:2284405:-1 gene:ORUFI01G03150 transcript:ORUFI01G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFFVGGNWKCNGTTDQVDKIVKILNEGQIASTDVVEVVVSPPYVFLPVVKSQLRPEIQVAAQNCWVKKGGAFTGEVSAEMLVNLSIPWVILGHSERRSLLGESNEFVGDKVAYALSQGLKVIACVGETLEQRESGSTMDVVAAQTKAIAERIKDWTNVVVAYEPVWAIGTGKVATPDQAQEVHDGLRKWLAANVSAEVAESTRIIYGGSVTGANCKELAAKPDVDGFLVGGASLKPEFIDIINSATVKSA >ORUFI01G03160.1 pep chromosome:OR_W1943:1:2290377:2303901:1 gene:ORUFI01G03160 transcript:ORUFI01G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDGGGGPSDAPPELSTQELIEQKLILFGVPQEQLQEHQEGLLIYLEEHKELIPEIAKLVLSVGADLLAAQKASNKDGDSSNSEACDEILSWLQWLMFNNEPHAMLDDLERSTAGERAVCGSVWGQNDLAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDTTAWKREGFCSRHKGAEQIKPLPEELASSVGPVLDVFLQFWKERICLVEGPPHAEGDGGNSCKRVAEELTTCVANMLLEFCSCSESLLSFVAQRIRECPDLLDALTKAERLLDKKAVKKLHELLLKLISEPAFKYEFAKVFIHYYPVTFGEVIKGCNDSLLEEYPLMPTFSVQIFTVPTLTPRLVREVNLLGVLLGCLTDLFLSCIGEDGHLQTNKWTNLYDATVRLLEDTRYVLSHEEVSKYVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHGEDENENLSAPFVLGHYLGVCHGSLVKGAFAPPEQHESTDVTICSTATKSIESSENQRLAKVGRVSQNSSVCNLGSKDSSSVGGLPPPAAWLILQCLKAIEGWLAPGIALRGKLSFLDASSSDPHNFMALLEEPLTSNKGKPNINIAEVNAKINEEPRPGDIADIPESFSSSVQDPDNLMLIDQIGLPHPSNMAGKRKMHESSNAADTQLHPENAISYTMTDGSLLYAHPDSRIEELGIINTVGWPHVVFDVSSQETSFHIPLHRMLSFLLRKAMGKCFGEDVKPGHCSVVQTNEFFSQVLRGCEPYGFASIVMEHPLRLRVFCAQVRAGMWRKNGDAAILSAEWYRSVQCPEGNNVTRLSRLEQGLESDLFLLQCCAALSSPELFVKTIQERFGLSNYTSLDLAEQNDQIVKSLPRALSSSDQLQNVLDSLAVYSNPSGMKQGKYVLRKTFWKELDLYHPRWNSRELQIAEERYYRFCKVSALNAQLPQWTHVFRPLHNISKIATSKAVLQIVRAVLFYAVYSDPLSVSRAPDNVLVTGLHLLWLALDICESESKRYANQYGMDIVQHDDESWVVLSSYAEEAFPILTYSTELVPPVSGKVKNESMLTLLVSLMHKYKEENDISFSGSKYCNIPSLIESLLKKFANLSKECFSAIRQMAPHIVPSMPQDTSCKQNPGSSDSMDKKAKARQRQAAIMAKMKAEQSKFAETMKSSGSEGQDVPMSEPDVSCSTGVVSEESLPVCSLCRDSDSKSPLCYLILLQRSRLATFVEMGHPSWENPTKSNKISGSIRREESADPSGASSFSSEEFIADTAVEPSFDSDAMEVDAFLDFSNEQHPLIRYISSLPGVYSNSNAGDTTSLETIEDDVCKTILDHMFGPNNVETKDDEQTVNTSNLSIGSKKNRSPRSSVLGTYVNCLSTKHLHPSKSSGSVTRNRFGPVDCDGIHISSCGHAVHQECHDRYLFSLKQRYVRRLGFETGHIVDPDLGELLCPVCRRFANSILPASPDFSSKSLRKTMPSVQIPSEAPPASSWMTTSNLQFPHALWLLETAGKIVGQSKFLKALSGKVNDTTEPALDPSLRRLCMLYYRRSHNSFSASERLNLSLFLWDTLRYSVVSTEIASRGRIASYSESKSCIESLRHELNSSNGFILCLLFRVAHSARNLSRLEVLLRFEGLQLLASSICSGTSGDKDLLNATKRKGIMPPMGDPTSEGGVFPDIQFWKQCADPVLAQDPFSSLLSTLFCLPVQFLMSTEFFIPFVHLFYVVCVVQALITCYGEETFDRSSFSNCLLNDVCQTMSTIDIAREYFVSKHIDPSCHPKDMVRRLTYPYLRRCALLWELLRSSSSAPLYDSSNIWEGSSHLYLNNSATDSLAVELNGIRELEDLFQIQSLDPILQDESVHMLALKWSQQFCEDYKTRKYRGVHFSTPAVPFRLMELPPVYQVLLERTGKCLNHASQCGAGVGIFLLVRKTTILLQRSIRLAFWPSPYLDAFGEEDHDMHRGKPLYLSQERYAALTYLVASHSLDRTSEVLRQTTISIYGSD >ORUFI01G03170.1 pep chromosome:OR_W1943:1:2305416:2310284:-1 gene:ORUFI01G03170 transcript:ORUFI01G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDGVRRGKEEALGAGGGQLCPECGYEYPNANPNPRLRRSHSKNCRRKMKMPVVAEEAGVAVHKRRRGLAVENASRGGGGDKVVHEGSSEQGCSAKAKEISGIHIVDFTPTSEPKLMADDPMSSHEKHMICHRHYKLYPTKVAPQCNDLSFGPFLGLRRKAMIVTKGIKVMSGETLDYKPEGGRIMFLFEAGVVDELHASAIRDPIGIYLKDKNETMCIGSITKAQPRARLWLMLSEEMKLFHNSERRSVYFNGYEFYPMYKRLHPLETYRKLWVVEVKPFEDASFNPVEDERKYYLLKVFSTAIPKEEIDVHVRSNKEVKRLGVISSYDTSFSCKLDFEDQFTLSHTSQEEDVVFYGYTLRHAGTILLFVYIDIITLCLLNFYMMMELRLNIESCLSHVPVFFAQSKYQMIQSIVRLRHSLQRENQR >ORUFI01G03180.1 pep chromosome:OR_W1943:1:2323634:2329116:1 gene:ORUFI01G03180 transcript:ORUFI01G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGDPGGWVGEAKADATRAANKRRAPSPAGGGGVEAKMRRKDAMGSSVSGSRSGGGGGRRLRHGRGRRARAFRRKLEEENKNSNNIPRASNVVIAYTPNLIRRYGTLLRNISFLLPYDGQGKAYACPSDKINVFLKWSRCNFCLFFEMMICHMFNTLKEIHISFLINPAFLDESMEVKVTNPLLSFSSNFVEDETWALVKEMLMMMFSPVQEDERAASDLYVFTKSRDSVYFRIFKITSIPAGESENSLVLDEVGLNFCLKLLDVHGAFVGSDCDQALDGLQSQNGLDLSHFEGFCLTLEEFLERNSFLKIKHIVGSRNDEECNFVRNIAKAIIRSMLSHLVNLFSLGKCLRMGTDLLSRRKILLKVSDVKFFQLEVIAYEEDEAINNVLQVVELVKSCFVGEMIPADLQGDLHDLEENPLKRLESVIDSSSLMSAKEKKGLLVNLHTEYKTNISPAISEDDRDSLFKHIPYLDCWMNRTEDNEYLKLVANLDSKKVALKVNKVGTDKRKPSKNGILQFDFMRNSDVHIPEKALKDGITPFRLSWTDYISTSRYPTYLRAIQKSLEPYMKSRKKLK >ORUFI01G03190.1 pep chromosome:OR_W1943:1:2332733:2336411:-1 gene:ORUFI01G03190 transcript:ORUFI01G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMAERQHKKRKPEKMAGARTSGHDKEEEKKVKAPSVYLVVGHEVSWATYSVFKVDPYAAAKRGGGGGDEEDPAPVPIPRRLARIRAKFCMSFAPVPVRSWIVGVGGDSADEDYAPETIVFDTETRAVIRGPNLLSTKLHPVVLTIGHKIYALAWYPSVTGQLDFVPWFEVLDLSQARVVDGHLESCEWKPLPRPPFFPWDLTPLQYLSPPMVTVESYVALASCILVSLSQQEGTHMFDVDKEQWSKLDDNSLPFVRGAVPHGPIFLGLSRAKKTITAYNITVVCQPRSGGTAPSLSIVEFPVVSDMEEEILSTPIFLSLGSPGFCSLKSWSDHPTTDDPCTRARIKLMAYHTEEPISQECIESSHGLLIPNQWKQVYEICDSSRELIWQCLITAMSL >ORUFI01G03200.1 pep chromosome:OR_W1943:1:2342641:2343096:-1 gene:ORUFI01G03200 transcript:ORUFI01G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEHGGPGAASEAPRDLMPPTKSTHTSCSRQQTMSLADGDDNAGDLSELVRARTSSGAARQKAEAGGATSSSMLSAVGSSICGFVRIRPCRRRSDSLGPPCLVRRRRFPRREVHRGGRDEDGNGDGVREEDGESDWSGMVTILEFFSGM >ORUFI01G03210.1 pep chromosome:OR_W1943:1:2346639:2349582:1 gene:ORUFI01G03210 transcript:ORUFI01G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSQKKRRSKGKDGAVAPVGLRLNRLGRASPREAAGKPVYLAVEHDDDQEVPAHSIVELGGGGGEAHLVLHNVRGMSFATVESRYGPRIVGVGGKLFTTVYDPKTSMEIPGPFLVEPKLRPVLIPRGSKLYALSRTPSVVPGLDFLPWFVYLDLNYVLVAPHDARTMGWHHLPPPPIFPVRLNPLEYRDPPEVRVASYAVVGSHILLSVQQDKGTCAFDMDTNQWDMVDANNLPFIGKAVPLGGHLFIARSIANGGAAAVYDIRVFPLQPTSSGSHKTELSILNIPVVSKGIVPGQLFCSLGKGIFSSIDVRSAATPGPDAKLHKARKKNDHVKV >ORUFI01G03220.1 pep chromosome:OR_W1943:1:2355287:2355748:1 gene:ORUFI01G03220 transcript:ORUFI01G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTRRRTTPHCCAIPVLTTSTPLVLKDADLLPVDSGGYPKSSLSRTAPHHCVVPMLAISTPLVLDDADLLPTTAVVTPNPLARCCRRRLASSPRTLGRSSASARGDDAPPFHLPRRPPLPPLLVCEAVPSVVDGGAVPASIGDHRRGGGRER >ORUFI01G03230.1 pep chromosome:OR_W1943:1:2358144:2358554:-1 gene:ORUFI01G03230 transcript:ORUFI01G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLATEKLYRMLGMYEVLTDIKSDLESLFTGYARNFFSFEVTSIVAQLGNTIRHLSMQFWIRIREGEGRRQRREEGFVGTLLRCSRVTAVVASPRHQRLLLVLLTPPPEPSCSPAGRRPWPAAPPPPPVEREGKR >ORUFI01G03240.1 pep chromosome:OR_W1943:1:2359066:2363412:-1 gene:ORUFI01G03240 transcript:ORUFI01G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCQCGSGCGGWAQAAAGRGAAGRGWRAQAAGEHLGAGGGGRSCARASRPLYPTVATLLTLSDAVCHHGETREIAQLLPVLANSDG >ORUFI01G03250.1 pep chromosome:OR_W1943:1:2364346:2367488:-1 gene:ORUFI01G03250 transcript:ORUFI01G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDALAWKFLEKLGQLIEDEVIMTLSVKRGIESLKKNLEFFNAVREDAEALAMEDPGIDSWWKNMRNVMFDVDDIVDLFMVHSQKFLLPPRPVCCNQPLFSSFAKFSFDHRIAKRIDNINEKFEEIKMNKEMFGFERTNRQQVQITIVDRSQTSPVDELEVVGEDIRRAVDDMVKMIVSNYNDNRSTVFGIQGMGGIGKTTLAQKIYNEQRIREKFQVHIWLCISQNYTETSLLKQAIRMAGGICDQLETKTELLPLLVDTIRGKSVFLVLDDVWKSDVWIDLLRSPSERGLNSRILVTSRNLDVLVEMHATYTHRVNKMNDYDGLELLMKMSLGPYEQRREFSGVGYQIVKKCDGLPLAIKVVAGVLSSKKTKAEWESIRDSKWSIHGLPKELGGPLYLSYSNLPPELKQFFLWCALLPSNFGIHRDAVAYWWVAEGFVTKMHGYSIHEAAEEYYHELIRMNLLQPKPEFVDKWMSTMHDLLRSLGQFLTKDHSLFMNMENSKALLNLRHLVISNDVKEIPAIEELKCLRSLLIFNNKNFKTINKDIFRELKHIRVLVLSGTSIQVIPESVGNLLLLRLLDLSYTKIKKLPESIGNLTSLEYLSLHCCRHLDSLPASLMRLSNISFLELEQTGIDHVPKGIAKFQKLYNLKGVFESASGFRLDELQCLPNIQRLRIVKLEKATPGGAFVLRNSLRLRELWFRCTMGANTHDITHYQMNEIERIQQVYEMLSPSSSLIYLFFEGFPGVRFPDWLCSEPEYKMPNLGHMYLNECISCSELPPAGQMPELLILQIRCADAVVNIGSELLGKGVSSATHITIFPKLKLLHIIDMSNLESWSLNTGNLRGRSEQLVLMPCLKRLFLNGCPKLRALPEDLHRIANLRRIHIEGAHTLHEIDNLPSVLWLKVKNNRCLRRISNLCILQDLFAQDCPALDQAENLISLKRLHMVDCQNAKQFRMCLLEDQQLAVDLVQMAGISFQMKLSIIRQW >ORUFI01G03260.1 pep chromosome:OR_W1943:1:2368756:2369040:-1 gene:ORUFI01G03260 transcript:ORUFI01G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSSSRGEERRGKGSGGIREIAAWGKETGEDGFVEEGTCALIGGRHAARIDDVEGCTTLDAGKNRRVGCRKNVRGNGVGRSGFPAARWWRSF >ORUFI01G03270.1 pep chromosome:OR_W1943:1:2369043:2369504:1 gene:ORUFI01G03270 transcript:ORUFI01G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAEKAEKAPVGKKPKAEKRLPAGKAEKGSGEGRKAGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >ORUFI01G03280.1 pep chromosome:OR_W1943:1:2370819:2374147:-1 gene:ORUFI01G03280 transcript:ORUFI01G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRMRLALSLLCVLMTIGTGTASDEPALLALKAGLSGSSSSALASWNTSASFCGWEGVTCSRRWPTRVAALDLPSSNLTGTPPPAIGNLTFLRRLNLSSNQLHGEIPPAVGRLRRLLSNPQLGGRIPPELGNTLPRLEKLQLRKNSLTGEIPASLANLSSLQHLSLSYNKLEGLIPPGLGDIAGLRYLFLNANNLSSGELPISLYNLSSLVMLQVGNNMLHGSIPSDIGRMLPGIQVFGLDVNRFTGVIPPLSNLSTLTDLYLSDNKFTGFVPPNLGRLQSLQYLYLEINLRQITPRAGNFSLHCQTAASCENLSSLTTLLVGSCQANREPVNDATNAQFREQQYLGSIPEDIGNLVGLSFLDLGFNSILSGVIPESIGKLTNLVEISLYNTSLSGLIPASIGNLTNLNRIYAFYCNLEGPIPPSIGDLKKLFVLDLSYNHLNGSIPKEIFELQSLSWFLDLSYNSLSGPLPSEVGSLVNLNGMDLSGNQLSGQIPDSIGNCEVMEALYLEENSFEGGIPQSLSNLKGLTILNLTMNKLSGRIPDTIARIPNLQQLFLAHNNFSGPIPATLQNLTTLWQLDVSFNKLQGEVPVKGVFRNLTFASVVGNNLCGGIPQLHLAPCPILNVSKNRNQHLKSLAIALPTMGAILVLVSAIVVILLHQRKFKRRQNRQATSLVIEEQYQRVSYYALSRGSNEFSEANLLGKGRYGSVFRCTLEDESALVAVKVFDLQQSGSSKSIEAECEALRRVRHRYLIKIITCCSSIGPQGQEFKALVFEFMPNGSLDGWIHPKSSNLTSSNTLSLSQRLNIAVDIFDALDYLHNHCQPPIIHCDLKPSNILLSEDKSAKVGDFGISRILPKSTTKTLQSSKSSIGIRGSIGYIAPEYGEGSTVTRAGDTYSLGILLLEMFTGRSPTDDIFRDSMDLHKFVAASFLHQPLDIADPTIWLHEEENDADVKNESIKTRIIQQCLVSVLRLGISCSKQQPRERMMLAEAVSEMHATRDEYLRSWMVGHEEHSTVS >ORUFI01G03290.1 pep chromosome:OR_W1943:1:2375753:2377195:-1 gene:ORUFI01G03290 transcript:ORUFI01G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCQCGSGCGGCKMYPEMAEEVTTTQTVIMGVAPSKGHAEGLEAGAAAGAGAENGCKCGDNCTCNPCNCGK >ORUFI01G03300.1 pep chromosome:OR_W1943:1:2381589:2385364:-1 gene:ORUFI01G03300 transcript:ORUFI01G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLHHQDSAFYGKELHGRRWSILQFFGFRRRLRSTKMISDKKQSQGKGSGGSRSRGSYVPLKDEDTSVMDDDKHTETTKKNKSSKKSSGKGSLGSLILKKLYGKEGHKEKMLPVAPKLLRTLSIHYLESNEYVLDGESASNGDGSSQSIMPNSTDTNIQNATFNTQDGCDNDTSSSLLKRGDIHVKQRSHRSISMDGVLHKVPYGHKASEDVIKEGLSRSASATYDRDGLKSYTVTAAKRPVNQGFRRSRSLTESLEKYSHLLDSISSNQSKRTLTSSKSTRDHSLDAPAVMTGLQSLVMTAENLVIPEHALAPYVPERIIVDGDVDAAMDEISGHKDADGSENTVLIEEYIVDKKCGVSVSTEANICTPPLLSEVIDISQGHVATCDDDQVHSSIEDNMCTVHSKSEEIDVPEEHGTTCDDDHPNLSTEAGMHTTKVSEDGRISEEHTTTSDDKQIHSSDVLKSREGTFCVPRPSQEIEAEINLSCEQETDSPMSVLDMTFPDDPASPVKYTILDDSSLKPRVLHLSDTDDSADMDLNTSTSMELSTAGLGHKNVQESDSDELSSLQADPKNEDGLIYVKNIFMKSSFRNEVLFDEWCSQNITVLQEEDCQHYEAAAAAFDFTEMSADQLLLSDLTNEVLLDIYKKYSVSKSLLSRFSSFDRPKPVGNHALKELWSKVSCHLDEQPQSSIEIDTILSNDLSKNDHWVNFQRDADHLGNMLADFVFDRLLTEFTLQLAKF >ORUFI01G03310.1 pep chromosome:OR_W1943:1:2385453:2386944:-1 gene:ORUFI01G03310 transcript:ORUFI01G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFNYQHPPFRQAATDRNLSENPLKFPIPRTCDPFLFLLPFLFGEFAFPPTFHFTNSLLAAKAPPNPRIGHQIPFFLGCVGCRRHLKSKV >ORUFI01G03320.1 pep chromosome:OR_W1943:1:2390214:2393635:-1 gene:ORUFI01G03320 transcript:ORUFI01G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVVSRSGREVVRGGVELKDSPCRGEGLEFWFLGGGGGVKISWKGLRLRLRSRICRMPSMPRSERNLKKDRLHGMMETGNVVELTWQYTIDSKKYYPARQRLTLPIQPGKSGKPVVLSAKASLSEYCEKGSGSLTVVFKDLGPQVFYSTLFFFEYLGPLLIYPMFYYLPVYKYFGYEGERVMHPVQTYAMYYWCFHYFKRIMETFFVHRFSHATSPVSNVFRNCAYYWTFGAYIAYYCNHPLYTPVSELQMKIGFGFGILCQIANFYCHILLRNLRSPSGNGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYIFLVVAASIMTNWALGKHRRLKKASTLFDGKEGRPKYPRRWVILPPFL >ORUFI01G03330.1 pep chromosome:OR_W1943:1:2395562:2398373:1 gene:ORUFI01G03330 transcript:ORUFI01G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEQPEFARERHVLFLEAMASELPADYASQEVNHLTLAYFAVAGLSLLRELDSVNKDQIAKWILSFQVHPKTDNELDNGQFYGFCGSRTTQFPSTNMKDPCHNGSHLASTYSALAILKIVGYDLANIDNKVLLSSMRNLQQPDGSFMPTHIGAETDLRFVYCAAAICSMLKDWTGMDKEKAKQYILSCQSYDGGFGLVPGSESHGTASFNL >ORUFI01G03340.1 pep chromosome:OR_W1943:1:2400069:2403534:1 gene:ORUFI01G03340 transcript:ORUFI01G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVAQILHGLVGLVRSPVSATLPQIGSRLFLTWGILWSFPETHSHILVTSLVISWSITEIIRYSFFGMKETFGFAPSWLLWLRYSTFMVLYPTGISSEVGLIYIALPYMKATEKYCLRMPNKWNFSFDFSYASILSLAVYVPGSPHMFTYMLAQRKKALAKAKAA >ORUFI01G03350.1 pep chromosome:OR_W1943:1:2403670:2413296:1 gene:ORUFI01G03350 transcript:ORUFI01G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKPNPSPRRLPPPSRSSAMAADGSVLRRLYFSVYNWVVFIGWAQVSLSMILALLDDGHEAVYAAIERHLLFAQTAAIMEILHSILGLVRSPVSSTLPQITGRLFITWGILWSFPETHSHILVTPLIISWSITEVIRYSFFGIKESFGFTPSWLLWLRYSTFIVCYPVGMVSEVGLIYIAFPFMKASEKYCIGMPNKWNFSFDYFYFSTFLMAFISILVAPYGCPAEESLVKGKNHITTQELFFAGSAKADGSMVRRLYLSIYNWVAFIGWAQVLCYMTLALLDKGHEAVYAAIERPLLFTQTAAILEVLGELEIERVGEVSSVFYSPTNYWKVTRYSFYGMKESFGFTPSWLLWLRYSTFIACFPVGVVSEICLAYTVLPFMKASEKYCLRMPNKWNFSFNYFYANVFFMAFYVPVVPYLFHHMIAQRKKALSKAKTT >ORUFI01G03350.2 pep chromosome:OR_W1943:1:2403670:2413296:1 gene:ORUFI01G03350 transcript:ORUFI01G03350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKPNPSPRRLPPPSRSSAMAADGSVLRRLYFSVYNWVVFIGWAQVSLSMILALLDDGHEAVYAAIERHLLFAQTAAIMEILHSILGLVRSPVSSTLPQITGRLFITWGILWSFPEVTRYSFYGMKESFGFTPSWLLWLRYSTFIACFPVGVVSEICLAYTVLPFMKASEKYCLRMPNKWNFSFNYFYANVFFMAFYVPVVPYLFHHMIAQRKKALSKAKTT >ORUFI01G03350.3 pep chromosome:OR_W1943:1:2403670:2413296:1 gene:ORUFI01G03350 transcript:ORUFI01G03350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKPNPSPRRLPPPSRSSAMAADGSVLRRLYFSVYNWVVFIGWAQVSLSMILALLDDGHEAVYAAIERHLLFAQTAAIMEILHSILGLVRSPVSSTLPQITGRLFITWGILWSFPEVTRYSFYGMKESFGFTPSWLLWLRYSTFIACFPVGVVSEICLAYTVLPFMKASEKYCLRMPNKWNFSFNYFYANVFFMAFYVPVVPYLFHHMIAQRKKALSKAKTT >ORUFI01G03360.1 pep chromosome:OR_W1943:1:2422534:2428175:1 gene:ORUFI01G03360 transcript:ORUFI01G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDARSGLWREDEELLPVVSGRRVRNAFGGQATGEDGRGRRLRCGGGMASVLRRLYLSVYNWIVFIGWVQVSWSMILALLEKRYEAVYAAVEQHLLFAQTAAIMEIHTHIFVTSLLISWCITEVTRYSFNGMKESFGFTPSWLLWLRYSTFIVCFPIGMVSEVGLVYIVVPFMKLQLRLLPLLEQRSKNVLEWKCRTTQTIFSLGK >ORUFI01G03370.1 pep chromosome:OR_W1943:1:2434741:2435554:1 gene:ORUFI01G03370 transcript:ORUFI01G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEPKLRWAANAARQLGVWLPPGKIRSPPPDLGREHRASVDVLVPRHFGRRVHCGGGVNSTVRRVAWRRCNGLVVRGDMDNGFVAEPAVTLSGGAAVLSTCTFLDICPLATATLSAKVGHVVRASVGERASILLSHPLPIQPNCVDGAGVCRGSSFPMAIDWRRGAGAVAVKTLSWPSGTGDSGTFGVVPFLKVSSRRPSVSLMQWVLL >ORUFI01G03380.1 pep chromosome:OR_W1943:1:2438019:2441759:1 gene:ORUFI01G03380 transcript:ORUFI01G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGPGQIAFLQWLCLAQSGPVHQSRMIWARDSPTPYWTKRLRPLNQPSMKWSFLPLGQRSRMIIREPLRRGFDTVVTLVAWTIWKECNGRVFNQQQRTWVDIVKGMAAETALWRQANSAIPALILRRDFGSQNRPYSTFIVCFPVGMVCEVVLIYIALPFMEMKALQYQASEKSDKWSFSFNYFYANLFFMASFATATAQVATVSSANK >ORUFI01G03390.1 pep chromosome:OR_W1943:1:2446896:2448607:1 gene:ORUFI01G03390 transcript:ORUFI01G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLLTTLSMENTNSHPCTRLSMDPAGSHAASGDSSGGGGGGGSTGAGGGGGGGGGDRELFIIPRRESAHPGPPDINLPLSADPSPPPPPHPPSWGIDQFDMLDVGLGTQTYESEVALTLPKLTGNGNTAVGVGARKCAKRGDSIWGAWFFFNHYFKPALVEKPKGKVTRDSSGSVSGFEKSDLRLDVFLVQHDMENMYMWVFKERPDNALGKMQLRSFMNGHSKHGEPSFPFSADKGFARSHRMQRKHYRGLSNPQCLHGIEIVSSPNLSAVPEAEMKRWAELTGRELNFSIPPEASDFESWRNLPSTDFELDRPLPLSSKITHGSHSHKKALNGSGLNLSTPPSSDDGMDLSPKCAKRRKDFFAHGADEDCVMANNSCSDREQEIEVHTGEPSWMHEFTGVAKHASGPVTAAKTIYEDDEGYLIMVSMLFSDPHSVKVSWRNTLTHGIVKISCVSTARMPFVKRHDRTFKLTDPFPEHCPPGEFVREIPLATRIPEDAKLEAYYDETGTGLEIMVPKHRVGPEEHEVQESNK >ORUFI01G03400.1 pep chromosome:OR_W1943:1:2450519:2454987:1 gene:ORUFI01G03400 transcript:ORUFI01G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALLSSSLLPSLQPRAAAARLPMLTLPSLRRHGGRRASACRVRASLHGLDSIAGLDLHAALERAEAALYTLADAAVVAADAAAGGGGGGGGGGGEAAASVAQKNGGWFGFISEALEVVLKVLKDGLSAVHVPYSYGFAIILLTVIVKAATLPLTKQQVESTLAMQNLQPQIKAIQQRYAGNQERIQLETARLYKQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGAGISWLLPFVDGHPPLGWHDTICYLVLPVLLVASQFVSMEIMKPPQTDDPSQKNTLLVLKFLPFMIGWFSLSVPSGFTNNILSTAQQVWLRKLGGAKPVVNQGGSGIITAGRAKRTSAQPAQPGERFKQLKEEESKRKGNKALAAGDSDLSASTSEDEESDDETTEEGGPEERYNSSSNKKLPNYSGKKGKRSKRKRMVNSVGQYGCVVDLGHNFDDQLGRLIFRCRTDTKHSSGTGVLLAHSKMKIVQYTTIGLRG >ORUFI01G03400.2 pep chromosome:OR_W1943:1:2450519:2454987:1 gene:ORUFI01G03400 transcript:ORUFI01G03400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALLSSSLLPSLQPRAAAARLPMLTLPSLRRHGGRRASACRVRASLHGLDSIAGLDLHAALERAEAALYTLADAAVVAADAAAGGGGGGGGGGGEAAASVAQKNGGWFGFISEALEVVLKVLKDGLSAVHVPYSYGFAIILLTVIVKAATLPLTKQQVESTLAMQNLQPQIKAIQQRYAGNQERIQLETARLYKQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGAGISWLLPFVDGHPPLGWHDTICYLVLPVLLVASQFVSMEIMKPPQTDDPSQKNTLLDEQNVRVLNQPNLLKEEESKRKGNKALAAGDSDLSASTSEDEESDDETTEEGGPEERYNSSSNKKLPNYSGKKGKRSKRKRMVNSVGQYGCVVDLGHNFDDQLGRLIFRCRTDTKHSSGTGVLLAHSKMKIVQYTTIGLRG >ORUFI01G03410.1 pep chromosome:OR_W1943:1:2456990:2460315:1 gene:ORUFI01G03410 transcript:ORUFI01G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGLENPLLGGGPVSSQGSRRRRPWTALAIAVALLAVACVVLLLLSSGGAEQGGDRSRVVSGGGGGGVGLSPHEVEAGVGAVAADDGRCSEVGAAALRAGGHAVDAAVAATLCLXLGVVHPMSSGVGGGAFIVTRDAASGDAVAFDARETAPAAATPDMYAGNPTSKYKGALAMGVPGELAGLHAAWSRYGRLPWKDLFAPAIKLARDGFTVVPPVAGALKEAERDVLADPGLRAVFAPQGRILAAGEVCRNPALADTLEAVASGGVEAFYGGAIGERFVADVRRAGGIATVDDLRAYKVEVSDAMRADAMGYTFLGMPPPSSGTVGMALVLNILDGYKSLEFLKGFLGVHRFIEALKHMLAIRMALGDPDYVNVAGNVSQMLSPAFADKIRQRIVDNTTFPPSYYFPKWSQLNDHGTSHLCVVDGDRNAVAMTTTVNSYFGAHVLSPSTGIVLNNEMDDFSVPAERTPDHLPPAPANFIAPGKRPLSSMTPTIILKASI >ORUFI01G03420.1 pep chromosome:OR_W1943:1:2460358:2469693:1 gene:ORUFI01G03420 transcript:ORUFI01G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTAVLSVQNGQLAGVVGGSGGTNIIATAAQVFVNHFIVGMHPLAAVQHPRLVPNVVVYENETVVGGEVIELSGEAREFLRRRGHRLRSTGSGAVCQFIVQDLLAPVGSAADRRQHGGGNVFHGMLTAVSDPRKGGRPAGIRRRRPCTALAIAAAALLALAACVVLHLSSGGDDRSRVVSGGGGGVRLSPHEVEAGVGAVATDDGRCSEVGAAALRAGGHAVDAADMYAGNPTSKYKGALAMGIPGELAGLHAAWSRYGRLPWKDLFAPAIKLARDGFTVVPYLEIALKKTERDVLADPGLRAVLAPEGRILAAGEVCRNPALADTLEAIASGGVEAFYGGAVGERFVADVRRAGGIAKVDDLRAYKVEVSDAMRSDAMGYTFLGMPPPSSGGVGVALILNILSGYKSLEFLKGFLGLHRFIEAFKHMLAIRMDLGDPDYVNITGNVSEMLSPAFADKLRQRIVDNTTFPPSYYFPKWSQLDDHGTSHLCVVDGDRNAVAMTTTENHLFGAHLLSPSTGIVDGQLAGVVGGSGGPFIIATVVQLVPNEVVYENVTVVDGEVFELSGEAREFLRRRGHRLTSTDSGAVCQFIVQDLLTPVAAAGDENVFHGMLTAVSDPRKDGRPAGM >ORUFI01G03430.1 pep chromosome:OR_W1943:1:2470494:2475187:1 gene:ORUFI01G03430 transcript:ORUFI01G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARAADHLEALSLEIERKLQKALNSNSQRLQLLQQLFADIALKVDDRARDVILSTNDDGIAPVDEREDTRLCFYEILANHFVKVPESGRRILDLIVQLWSQSFAANIFALLFHRWLFEVPLDGKEVSLRYSSALVQGATNYLLEEVALVPDQLSKISVQAGRGLFLLLSRFMLFYDQDYYVIELTDQLQKLKVEPVLLHYLSRLTILQGLELRMSTSTRLKACLYSFTSPGGPTYPTRAVRHAAWNTLDLLFPVGRYPRHVISLFFRLLYPWYWPSSCWNFIMTCVKTVYYYILNLIVSSWENMRRPNHQRMHRD >ORUFI01G03440.1 pep chromosome:OR_W1943:1:2479371:2483105:1 gene:ORUFI01G03440 transcript:ORUFI01G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSLITGKAGASGFGSGSTAEQVTAGVDATGLTVIVTGGASGIGLETSRVFAMRGAHVIIAARNTEAASVVRKKIIEENPKAHIDVLKLDLSSLKSVRAFADQFNSMNLPLNILINNAGVMFCPFGLSEDGVEMQFATNHLGHFLLTNLLLDNMKATAKSTGIEGRIVNLSSVAHLHTYPKGIEFDKLNDEKTYDDKMAYGQSKLANILHAKELSRRLKEEGANITINCVHPGLIMTNLMRHSFFLMRVLQFATYILWKSVPQGAATTCYVGLNPQLKGVTGQYFADCNVEKTSRFARNDALAKQLWEFSEKLIKSSSK >ORUFI01G03440.2 pep chromosome:OR_W1943:1:2479371:2483105:1 gene:ORUFI01G03440 transcript:ORUFI01G03440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSLITGKAGASGFGSGSTAEQVTAGVDATGLTVIVTGGASGIGLETSRVFAMRGAHVIIAARNTEAASVVRKKIIEENPKAHIDVLKLDLSSLKSVRAFADQFNSMNLPLNILINNAGVMFCPFGLSEDGVEMQFATNHLGHFLLTNLLLDNMKATAKSTGIEGRIVNLSSVAHLHTYPKGIEFDKLNDEKTYDDKMAYGQSKLANILHAKELSRRLKEEGANITINCVHPGLIMTNLMRHSFFLMRVLQFATYILWKSVPQGAATTCYVGLNPQLKGVTGQYFADCNVEKTSRFARNDALAKQLWEFSEKLIKSSSK >ORUFI01G03440.3 pep chromosome:OR_W1943:1:2479725:2483105:1 gene:ORUFI01G03440 transcript:ORUFI01G03440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSLITGKAGASGFGSGSTAEQVTAGVDATGLTVIVTGGASGIGLETSRVFAMRGAHVIIAARNTEAASVVRKKIIEENPKAHIDVLKLDLSSLKSVRAFADQFNSMNLPLNILINNAGVMFCPFGLSEDGVEMQFATNHLGHFLLTNLLLDNMKATAKSTGIEGRIVNLSSVAHLHTYPKGIEFDKLNDEKTYDDKMAYGQSKLANILHAKELSRRLKEEGANITINCVHPGLIMTNLMRHSFFLMRVLQFATYILWKSVPQGAATTCYVGLNPQLKGVTGQYFADCNVEKTSRFARNDALAKQLWEFSEKLIKSSSK >ORUFI01G03450.1 pep chromosome:OR_W1943:1:2482984:2484049:-1 gene:ORUFI01G03450 transcript:ORUFI01G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSLSVSGILARHPVLFYAATWTAVATAAVSVAAFAPELAFVWAVTPGAAPLASSTAGCPGGIVLPLDGPPWDAACVPAALFGRVTLDVLVPPVFAAAVVSTALWFTKAVGVWEDDDDEDDSDEATMLDKCSVKVYTDSKIDSLSWPSLLCN >ORUFI01G03460.1 pep chromosome:OR_W1943:1:2484789:2487358:1 gene:ORUFI01G03460 transcript:ORUFI01G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRASAILSAACRSSRTLFVIRRLGFLGSCTPTVRQNASVELPGAGLYPRFHQSILPIHCVVGSLRLCHSTALDGSKDVHVSEIVKILKSRDGDSELAEVLNQFADEMDEDVVLKVLQKQRSNWKVALSFFKWAAGLPQYNHGSRAYTEMLDILGRMKKVRLMRQLFDEIPVESRQSVVTNRMFAVLLNRYAGAHKVQEAIDMFYKRKDYGFELDLVGFQILLMSLCRYKHVEEAEALFLQKKDEFPPVIKSWNIILNGWCVKGSLADAKRVWNEIIASKLKPDLFTYGTFINSLTKSGKLSTAVKLFTSMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFGEMNDRGCQADVATYNTLIKHFCKINRMEKVYELLDDMEVKGVSPNNMTYSYILKTTEKPKDVISLMQRMEKSGCRLDSDTYNLILNLYVSWDYEKGVQLVWDEMERNGSGPDQRSFTIMVHGLHSHDKLDEALHYYRTMESRGMTPEPRTKLLVKAIRMKKDEPATEEPLTRKNLKLDPISRLFHVRK >ORUFI01G03470.1 pep chromosome:OR_W1943:1:2489471:2494175:1 gene:ORUFI01G03470 transcript:ORUFI01G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALASFLLLLLSVFMSIFLHFMAVRGADGEDAAALLAFKAVAVGNGGGNGVLASWNGSAGPCSWEGVACGRHGRVVALSLPGHDLSGTLSPAVGNLTSLRKLDLSYNWLHGGIPASLGQLHRLRELDLSFNTFSGEVPSNLTSCTSLEYLALGSNKLAGHIPSELGNTLTQLQVLGLDNNSFVGHWPASLANLTSLGYLSLRMNSLEGTIPPEFGSNMPRLYFLDICSNNLSGALPSSLYNLSSLMGFDAGNNKLDGSIATDIDEKFPHLQSFAVFNNQFSGEIPSSFSNLTNLTSLQLSMNGFSGFVPHNLGRLNALQNLQLGVNMLEAGDIKGWEFVESLTNCSKLEILVLSNNNFTGQFPISIANLSKTLQKLYLGGSRISGSIPSDFGNLVGLRSLYLFSTDISGVIPESIGKLENLTTLYLNNNSLSGHVPSSVGNLTNLMKLFMQGNNLEGPIPANLGKLKSLNVLDLSRNHFNGSIPKEILELPSISQYLNLSYNSLSGPLPSEVGSLTSLNELILSGNQLSGQIPSSIKNCIVLTVLLLDSNSFQGTIPVFLGDIKGLRVLNLTMNKFSGVIPDALGSIHNLQELYLAYNNLSGPIPAVLQNLTSLSMLDLSFNDLQGEVPKEGIFKNLSYLSLAGNSELCGGISHLNLPPCSMHAVRKRSKGWLRSLKIALASIAVVLFLALVMVIIMLIRRRKPVHRKKGQSLTPVVEEQFERVSYQELSNGTKGFSQNSLLGKGSYGVVYKCTLFDEEIVVAVKVFNLERSGSTRSFLAECDALRSVRHRCLLKIITCCSSINNQGQDFKALVFEFMPNGSLNGWLHPKSDMPIADNTLSLTQRLDIAVDIVDALEYLHIHCQPPIVHCDLKPSNILLAEDMSARVGDFGISRILTESASKTQQNSSNTIGIRGSIGYVAPEYGEGSAVSTLGDVYSLGILLLEMFTGMSPTDDMFRDSLDLHSFSEAAHPDRILEIADPTLWVHVDAEDSITRSRMQECLISVIGLGLSCSKHQPKERMPIQDAALKMHAIRDDAYLMFSGSLSVDMEEETKQISSDLKQQ >ORUFI01G03480.1 pep chromosome:OR_W1943:1:2494333:2495559:-1 gene:ORUFI01G03480 transcript:ORUFI01G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGGIIAALPEDVLLQVLSRVASVKSLFMLAATCRRWLRRFTDRAFLLDLWGGQRAGDLLGFFFHLQRIKVSTFGFLPVPSSPLRPLASSGSSNSVQPLAARRGVLLMRLPITRLLFLSNPVTGERHVVPRLEEYSDLGPYKVTSYAIVVSDDLAGKPQQPASSGRFTFSQLLVTTKHANSITMYVNSYSAAGGWAAPAEFLDLLRFSLAGRSTPSAVVHRGAAHWLCTDDVASATRGDRLYKLSVEVGVPAAATPRVSMTNLPVRAGGATATLLCVGGDGELTIACVFPMHVRIWKQQRRGDGDDDAAAWRRDVMWMTLPAPYPYCVPLMHGLDMGSVAMMYRSSGAVFVVDLDKKVIDRAMDCFLPLRIGREMDLPPVPYEMDLVEFFLLQLGGLCGGGSTSTG >ORUFI01G03490.1 pep chromosome:OR_W1943:1:2496808:2498055:-1 gene:ORUFI01G03490 transcript:ORUFI01G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDIMAALPEDVLLQVLSRVGNVKSLFMLAATCRRFTDRAFLRDLWGGQRAGDLLGFFFHRQRNSASTFGFLPAPPLRPHPLQLRRRAPDGAPRHPPDAAFPLQRLVRGDQPPPRPLQPYHQRAPRSPASEGPLQPWFLRRHQLRHHLLRRPRREAAAAIFRPLHVLAAARHHQAQEHQDRVPPLVLRHAQQLGRARRVPGSPPLLPGGRGIIVIRRRPPRRGALAVHRPRRERHPRRLPVQELSVEVGGTATATPRVSMTKLPVLDGGTPTPLLCVGGDGELTIVCVFIMHVRVWKQQRRGDGDGDGAAAWRRDVIWMPTEVSNYPKSYTMAHGLGRRGSVAMMYSSTGAVFVLDLDKKVMEKAMDCLLPLRMDHSLDRPPVPYEMDLVEFFLLQLGGLWLYAAEVIYRIIN >ORUFI01G03500.1 pep chromosome:OR_W1943:1:2499393:2499854:-1 gene:ORUFI01G03500 transcript:ORUFI01G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAEKAEKALAGKKPKAEKRLPAGKAEKSSGEGKKAGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >ORUFI01G03510.1 pep chromosome:OR_W1943:1:2506584:2512684:1 gene:ORUFI01G03510 transcript:ORUFI01G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLMRRLGLPLLPVLLTIAAASSSDEASLLAFKAGLTGSNSSALASWNSSGASFCNWEGVTCSRRRPTRVASLSLPSSNLAGTLSPAIGNLTFPRRLNLSSNGLYGEIPTSIGRLRRLQWLNLSYNSFSGAFPVNLTSCISLKILDLDYNQLGGIIPVELGNTLTQLQMLLLTNNSIIGPIPPSLANLSLLQDLYLDYNHLEGLIPPCLGNFPVLHELSLEANMLTGEFPHSLWNLSALRVIGVGLNMLQGSIPANIGDKFPAMRFFGLHENRFHGAIPSSLSNLSRLTDLYLADNNFTGFVPPTLGMLHSLKYLYIGTNQLEADNGKGSEFVTSLANCSQLQELMLSHNFFGGQLPRSIVNLSMTLQMLDLENNSFSGTIPHDISNLIGLRLLDLGFNPISGVIPESIGKLTNLVDLALYNTGLSGLIPSTIGNLTKLNRLLAFHTNLEGPIPATIGRLKNLFNLDLSFNRLNGSIPREILELPSLAWILDLSYNSLSGHLPSEVGTLANLNQLILSGNQLSGQIPNSIGNCEVLEFLLLDNNSFGGDMPQSLTNLKGLNVLNLTVNKLSGRIPNAISNIGNLQYLCLAHNNFSGPIPAALQNFTLLKQLDVSFNNLQGEVPVKGVFRNLTFSSVVGNDNLCGGIPQLHLPPCPILDVSKNKNQHLKSLAIALPTTGAMLVLVSVIVLILLHNRKLKRRQNRQATSLVIEEQYQRVSYYALSRGSNDFSEANLLGKGRYGSVYRCTLDNEDALVAVKVFDLQQLGSSKSFEAECEALRRVRHRCLIKIITCCSSIDPQGQEFKALVLEFMPNGSLDGWIHPKSSKCSPSNTLSFSQRLNIVIDIFEAMDYLHNHCQPSIIHCDMKPSNILLAEDMNAKVGDFGISKILPKSITKIHLNSKSSIGIRGSIGYIAPEYGEGSAASKLGDIYSLGIILLEMFTGTSPTDDMFKDSLNLHEFATAAFPDRALEIADQTIWLHETNYTDATDASMTRGIIQQSLVSLFGLGISCSKQQPRERMVLADAVSKIHAIRDEYFKSRVGSAASWSWNSSANSFCSWEGVTCSHRRRPTSVVALDLPSSDLAGTLSPAIGNLTFLRRLNLSSNDLHSEIPQSVSRLRRLRVLDMDHNAFSGEFPTNLTTCVRLTTVYLQYNQLGDRIPGIAINGNHLEGMIPPGIGSIAGLRNLTYASIAGDDKLCSGMPQLHLAPCPILDRLTCLAKEDYGSVNRCALEDEGASVTTAVKMFNLQMSGSSRSFEAECEALRRVRHRCLIKIITCCSSIDQQGQEFKALVFEFMPNEDKSAKVGDLGISKILPNSTTKTLQNSKSSIGIRGSIGYIAPEANETDVTNASTKRRIIQQCLVAVLRLGISCSKQQPRDRVLLADAVSEIHAIRDEYLRSWMVGIELNVG >ORUFI01G03520.1 pep chromosome:OR_W1943:1:2514305:2514766:-1 gene:ORUFI01G03520 transcript:ORUFI01G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKGEKGSGEGKKAGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >ORUFI01G03530.1 pep chromosome:OR_W1943:1:2516192:2519770:1 gene:ORUFI01G03530 transcript:ORUFI01G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMMSLRLELSLVLILTPIVAAVAGGGSSSSSDEATLLAFKAAFRGSSSSALASWNSSTSFCSWEGVTCDRRTPARVAALTLPSGNLAGGLPPVIGNLSFLQSLNLSSNELYGEIPPSLGRLRRLEILDIGGNSFSGELPANLSSCISMKNLGLAFNQLGGRIPVELGNTLTQLQKLQLQNNSFTGPIPASLANLSLLQYLYMDNNNLEGLIPLDLGKAAALREFSFQQNSLSGIFPSSLWNLSTLTVLAANDNMLQGSIPANIGDKFPGIQYFGLADNQFSGVIPSSLFNLSSLTIVLLYGNRFSGFVPPTVGRLKSLRRLYLYGNRLEANNRKGWEFITSLTNCSQLQQLVISDNSFSGQLPNSVVNLSTTLHKLYLDNNSISGSIPEDIGNLIGLDTLDLGFTSLSGVIPASIGKLSNLVEVALYNTSLSGLIPSSIGNLTNLNRLYAYYTNLEGPIPASLGKLKTLFVLDLSTNRLNGSIPKEILELPSLSWYLDLSYNSLSGPLPIEVATLANLNQLILSGNQLSGQIPDSIGNCQVLESLLLDKNSFEGGIPQSLTNLKGLNILNLTMNKLSGRIPDTIGRIGNLQQLFLAQNNFSGPIPATLQNLTMLWKLDVSFNNLQGEVPDEGVFKNLTYASVAGNDNLCGGIPQLHLAPCPIIDASKNNKRWHKSLKIALPITGSILLLVSATVLIQFCRKLKRRQNSRATIPGTDEHYHRVSYYALARGSNEFSEANLLGKGSYGSVYRCTLEDEGAIVAVKVFNLRQSGSAKSFEVECEALRRVRHRCLIKIITCCSSINPQGHEFKALVFEYMPNGSLDGWLHPVSGNPTSSNTLSLSQRLGIAVDILDALDYLHNHCQPPIIHCDLKPSNILLAEDMSAKVGDFGISRILPESIVKALQHSDSIVGIRGSIGYIPPEYGEGSAVSRLGDIYSLGILLLEIFTGRSPTDDMFKDSVDLHKFASAAFPGRVLDIADRTIWLHEEAKNKDITDASITRSIVQDCLVSVLRLGISCSKQQAKDRMLLADAVSKMHAIRDEYLLSQVVKK >ORUFI01G03540.1 pep chromosome:OR_W1943:1:2520917:2521378:-1 gene:ORUFI01G03540 transcript:ORUFI01G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKGEKGSGEGKKAGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >ORUFI01G03550.1 pep chromosome:OR_W1943:1:2523812:2525107:-1 gene:ORUFI01G03550 transcript:ORUFI01G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDDMAALTEDILLQIFSRVGSIKDLFKFAVTCRRWLRRFTDPAFLRGLCLDSGEGHRARLLGFFFQQTRFYRCEKMIKMRVTQQSSVCPPTFLPAPWSPLGLTDRTLTSFLAADDDTFNYAEPLAARCGIVLMRLVPRTALMIACSHLLGVCNPITGECHVLPPLNLSGLHRYLTSYAIITSTDSDLDGKQPPSSSSSGRSTFSQLYLVVQHKKDCNEYFYSYSAATCSWSAPTMCVDGRRFSLVGERSAVVHKGAAHWLFIDRVSSATQDDILYKLTAAVDTSEISLTRLPFGAGGSPLLCVSGDGKLSVACVFPIHMRVWTQQDDTPATWLRTVIRIPLAVPYPDYSHICQPREKWFNFNRGSMLVLYRSNGVFILDLEKKVMEKVMDCLLPLFSDKLNRTAVAYEMDLVEFFVLQLSGLCRGSTG >ORUFI01G03560.1 pep chromosome:OR_W1943:1:2525283:2527133:-1 gene:ORUFI01G03560 transcript:ORUFI01G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLGLKRDGSLRGQNKPNTLLGLLPTHRPNNCPGRFLPNRHLLPVPVPRSPIIPPLTPRRNSPPLPVAAAAACRSWFGGFGDLTD >ORUFI01G03570.1 pep chromosome:OR_W1943:1:2528212:2531483:1 gene:ORUFI01G03570 transcript:ORUFI01G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVLLSLISVLTIAGGSTDEATLPAFKAGLSSRTLTSWNSSTSFCNWEGVKCSRHRPTRVVGLSLPSSNLAGTLPPAIGNLTFLRWFNLSSNGLHGEIPPSLGHLQHLRILDLGSNSFSGAFPDNLSSCISLINLTLGYNQLSGHIPVKLGNTLTWLQKLHLGNNSFTGPIPASLANLSSLEFLKLDFNHLKGLIPSSLGNIPNLQKIGLDGNSLSGEFPPSIWNLSKLTVLQVYENKLKGSIPANIGDKLPNMQHFVLSVNQFSGVIPSSLFNLSSLTDVYLDGNKFSGFVPPTVGRLKSLVRLSLSSNRLEANNMKGWEFITSLANCSQLQQLDIAENSFIGQLPISIVNLSTTLQKFFLRGNSVSGSIPTDIGNLIGLDTLDLGSTSLSGVIPESIGKLADLAIITLYSTRLSGLIPSVIGNLTNLNILAAYDAHLEGPIPATLGKLKKLFALDLSINHLNGSVPKEIFELPSLSWFLILSDNTLSGPIPSEVGTLVNLNSIELSGNQLSDQIPDSIGNCEVLEYLLLDSNSFEGSIPQSLTKLKGIAILNLTMNKFSGSIPNAIGSMGNLQQLCLAHNNLSGSIPETLQNLTQLWHLDVSFNNLQGKVPDEGAFRNLTYASVAGNDKLCGGIPRLHLAPCPIPAVRKDRKERMKYLKVAFITTGAILVLASAIVLIMLQHRKLKGRQNSQEISPVIEEQYQRISYYALSRGSNEFSEANLLGKGRYGSVYKCTLQDEGEPVAIKVFDLKQLGSSRSFQAECEALRRVRHRCLTKIITCCSSIDPQGQEFKALVFEYMPNGSLDSWLHPTSSNPTPSNTLSLSQRLSIVVDILDALDYLHNSCQPPIIHCDLKPSNILLAEDMSAKVGDFGISKILPKSTTRTLQYSKSSIGIRGSIGYIAPEYGEGSAVTRAGDTYSLGILLLEMFNGRSPTDDIFRDSMDLHKFVAASFLESAMNIADRTIWLHEEANDTDGTNASTKRRIIQQCLVSVLRLGLSCSKQQPRDRMLLPDAASEIHAIRDEYLRSWMVENEQSTLN >ORUFI01G03580.1 pep chromosome:OR_W1943:1:2528539:2531617:-1 gene:ORUFI01G03580 transcript:ORUFI01G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYTSNHGTLNWTWSQVKPRVIMAKSASFPMLSGITPDKEVDPRSSVSKPIKLPMSVGMLPETLLPRAQIIRERTGKRIASKVEDP >ORUFI01G03590.1 pep chromosome:OR_W1943:1:2536048:2542960:-1 gene:ORUFI01G03590 transcript:ORUFI01G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLADILHHTPPSTWPSALAAPLLRGRLAAAHVSSLLLLPPSLSRPDLSRRFLLLLPPHLVSPVSLSLLALSFLSSSPSSSSSSFSSRHAASLLLSLASSAPSSSSSFSSLSHASSLSAFPPGAASTAAALLASSYLRLRRARDAAAVLGLSLSSGITVNQYTASQILFSLVKIRQFALARDLFDKMLQSGVHLDEYVYTAGIRAYCESRNLDGARGLVVRMESEGVKASAVPYNVLMYGLCKNMRVQEAVEVKNVMVNIGVTADEVTYRTLVYGFCRMEELEMALRITHDMIRLGFVPSEANCSFMIDELRKKELVEEAFSLACKLGDLGMVPNVFAYNALIDKLCKNERFDDADRLFKEMAGRGLEPNEVTYAILIHALCKRGMIEDALCLFDKMRDKGIKVTVYPYNSLINGYCKQGSLDRARGLLSGMVKEGLTPTAASYSPLIAGLCRNGDLSSCMELHREMAERGIAWNNYTFTALINGFCKDKKMDEAARLFDKMIDSNVIPNEVTFNVMIEGYCLVGNIRKAFQLYDQMVEMGLKPDNYTYRSLISGLCLTSGVSKANEFVADLENSYAVLNNFSLTALLYGFFREGRFTETYHLWDEMAVRGVKLDLVSFTIIVYAALKQHDKEKSCVLFREMKEQGVKPDDIFYTCMIDALSKEENMIQALNCWDQMVVDGYSPNTVTHTVLINNLCKSGYLGSAELLCKEMLAGNVLPNKFTYNCFLDYFATEGDMEKAKDLHSAMLQGHLASIVSFNILIKGLCKAGKIQEAIDLMSKITESGFSPDCISYSTIIHELCKMGDINKAFELWNEMLYKGLKPDVVAYNIFIRWCNVHGESDKALGIYTNMIRSGVQPNWDTYRALLSGISLMLHYDFSCFRGTKQYIQISVYELMDSTHNKSHRRIWFVDDHKSGEIILEFDGRLNKWGVISFRSDVKVKKLSPGPQVSFPTHQSGYMPTSPSLLLPGENVPTLLFSPYSPATKFLFLPGVSGGFPGGEATANPFLAGVVDGVPRGDAAVVPFLSVTGVGVDVAPGSDVAAIPLLSGAGAGVAPGGYAAAVLFLAGVGVGVVPGGARTSTTAAGASPTTSSIWGRRPSAPRTAASPSSSQPPYSSMQARESMGGHAPWETLNPLSYEAPGIDFSTAYSVCDEEFLHMSNFGKAVPDSFDIMQQDAVHSLFFKIKEPPAFANQTFSFMKAATTEL >ORUFI01G03590.2 pep chromosome:OR_W1943:1:2536048:2542960:-1 gene:ORUFI01G03590 transcript:ORUFI01G03590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLADILHHTPPSTWPSALAAPLLRGRLAAAHVSSLLLLPPSLSRPDLSRRFLLLLPPHLVSPVSLSLLALSFLSSSPSSSSSSFSSRHAASLLLSLASSAPSSSSSFSSLSHASSLSAFPPGAASTAAALLASSYLRLRRARDAAAVLGLSLSSGITVNQYTASQILFSLVKIRQFALARDLFDKMLQSGVHLDEYVYTAGIRAYCESRNLDGARGLVVRMESEGVKASAVPYNVLMYGLCKNMRVQEAVEVKNVMVNIGVTADEVTYRTLVYGFCRMEELEMALRITHDMIRLGFVPSEANCSFMIDELRKKELVEEAFSLACKLGDLGMVPNVFAYNALIDKLCKNERFDDADRLFKEMAGRGLEPNEVTYAILIHALCKRGMIEDALCLFDKMRDKGIKVTVYPYNSLINGYCKQGSLDRARGLLSGMVKEGLTPTAASYSPLIAGLCRNGDLSSCMELHREMAERGIAWNNYTFTALINGFCKDKKMDEAARLFDKMIDSNVIPNEVTFNVMIEGYCLVGNIRKAFQLYDQMVEMGLKPDNYTYRSLISGLCLTSGVSKANEFVADLENSYAVLNNFSLTALLYGFFREGRFTETYHLWDEMAVRGVKLDLVSFTIIVYAALKQHDKEKSCVLFREMKEQGVKPDDIFYTCMIDALSKEENMIQALNCWDQMVVDGYSPNTVTHTVLINNLCKSGYLGSAELLCKEMLAGNVLPNKFTYNCFLDYFATEGDMEKAKDLHSAMLQGHLASIVSFNILIKGLCKAGKIQEAIDLMSKITESGFSPDCISYSTIIHELCKMGDINKAFELWNEMLYKGLKPDVVAYNIFIRWCNVHGESDKALGIYTNMIRSGVQPNWDTYRALLSGISLMLHYDFSCFRGTKQYIQISVYELMDSTHNKSHRRIWFVDDHKSGEIILEFDGRLNKWGVISFRSDVKVKKLSPGPQVSFPTHQGENVPTLLFSPYSPATKFLFLPGVSGGFPGGEATANPFLAGVVDGVPRGDAAVVPFLSVTGVGVDVAPGSDVAAIPLLSGAGAGVAPGGYAAAVLFLAGVGVGVVPGGARTSTTAAGASPTTSSIWGRRPSAPRTAASPSSSQPPYSSMQARESMGGHAPWETLNPLSYEAPGIDFSTAYSVCDEEFLHMSNFGKAVPDSFDIMQQDAVHSLFFKIKEPPAFANQTFSFMKAATTEL >ORUFI01G03590.3 pep chromosome:OR_W1943:1:2535563:2542960:-1 gene:ORUFI01G03590 transcript:ORUFI01G03590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLADILHHTPPSTWPSALAAPLLRGRLAAAHVSSLLLLPPSLSRPDLSRRFLLLLPPHLVSPVSLSLLALSFLSSSPSSSSSSFSSRHAASLLLSLASSAPSSSSSFSSLSHASSLSAFPPGAASTAAALLASSYLRLRRARDAAAVLGLSLSSGITVNQYTASQILFSLVKIRQFALARDLFDKMLQSGVHLDEYVYTAGIRAYCESRNLDGARGLVVRMESEGVKASAVPYNVLMYGLCKNMRVQEAVEVKNVMVNIGVTADEVTYRTLVYGFCRMEELEMALRITHDMIRLGFVPSEANCSFMIDELRKKELVEEAFSLACKLGDLGMVPNVFAYNALIDKLCKNERFDDADRLFKEMAGRGLEPNEVTYAILIHALCKRGMIEDALCLFDKMRDKGIKVTVYPYNSLINGYCKQGSLDRARGLLSGMVKEGLTPTAASYSPLIAGLCRNGDLSSCMELHREMAERGIAWNNYTFTALINGFCKDKKMDEAARLFDKMIDSNVIPNEVTFNVMIEGYCLVGNIRKAFQLYDQMVEMGLKPDNYTYRSLISGLCLTSGVSKANEFVADLENSYAVLNNFSLTALLYGFFREGRFTETYHLWDEMAVRGVKLDLVSFTIIVYAALKQHDKEKSCVLFREMKEQGVKPDDIFYTCMIDALSKEENMIQALNCWDQMVVDGYSPNTVTHTVLINNLCKSGYLGSAELLCKEMLAGNVLPNKFTYNCFLDYFATEGDMEKAKDLHSAMLQGHLASIVSFNILIKGLCKAGKIQEAIDLMSKITESGFSPDCISYSTIIHELCKMGDINKAFELWNEMLYKGLKPDVVAYNIFIRWCNVHGESDKALGIYTNMIRSGVQPNWDTYRALLSGISLMLHYDFSCFRGTKQYIQISVYELMDSTHNKSHRRIWFVDDHKSGEIILEFDGRLNKWGVISFRSDVKVKKLSPGPQVSFPTHQGENVPTLLFSPYSPATKFLFLPGVSGGFPGGEATANPFLAGVVDGVPRGDAAVVPFLSVTGVGVDVAPGSDVAAIPLLSGAGAGVAPGGYAAAVLFLAGVGVGVVPGGARTSTTAAGASPTTSSIWGRRPSAPRTAASPSSSQPPYSSMQARESMGGHAPWETLNPLSYEAPGIDFSTAYSVSTTLWNFIIVELTVHYINRRRNKFFVTIR >ORUFI01G03590.4 pep chromosome:OR_W1943:1:2536048:2542960:-1 gene:ORUFI01G03590 transcript:ORUFI01G03590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLADILHHTPPSTWPSALAAPLLRGRLAAAHVSSLLLLPPSLSRPDLSRRFLLLLPPHLVSPVSLSLLALSFLSSSPSSSSSSFSSRHAASLLLSLASSAPSSSSSFSSLSHASSLSAFPPGAASTAAALLASSYLRLRRARDAAAVLGLSLSSGITVNQYTASQILFSLVKIRQFALARDLFDKMLQSGVHLDEYVYTAGIRAYCESRNLDGARGLVVRMESEGVKASAVPYNVLMYGLCKNMRVQEAVEVKNVMVNIGVTADEVTYRTLVYGFCRMEELEMALRITHDMIRLGFVPSEANCSFMIDELRKKELVEEAFSLACKLGDLGMVPNVFAYNALIDKLCKNERFDDADRLFKEMAGRGLEPNEVTYAILIHALCKRGMIEDALCLFDKMRDKGIKVTVYPYNSLINGYCKQGSLDRARGLLSGMVKEGLTPTAASYSPLIAGLCRNGDLSSCMELHREMAERGIAWNNYTFTALINGFCKDKKMDEAARLFDKMIDSNVIPNEVTFNVMIEGYCLVGNIRKAFQLYDQMVEMGLKPDNYTYRSLISGLCLTSGVSKANEFVADLENSYAVLNNFSLTALLYGFFREGRFTETYHLWDEMAVRGVKLDLVSFTIIVYAALKQHDKEKSCVLFREMKEQGVKPDDIFYTCMIDALSKEENMIQALNCWDQMVVDGYSPNTVTHTVLINNLCKSGYLGSAELLCKEMLAGNVLPNKFTYNCFLDYFATEGDMEKAKDLHSAMLQGHLASIVSFNILIKGLCKAGKIQEAIDLMSKITESGFSPDCISYSTIIHELCKMGDINKAFELWNEMLYKGLKPDVVAYNIFIRWCNVHGESDKALGIYTNMIRSGVQPNWDTYRALLSGISLMLHYDFSCFRGTKQYIQISVYELMDSTHNKSHRRIWFVDDHKSGEIILEFDGRLNKWGVISFRSDVKVKKLSPGPQVSFPTHQGENVPTLLFSPYSPATKFLFLPGVSGGFPGGEATANPFLAGVVDGVPRGDAAVVPFLSVTGVGVDVAPGSDVAAIPLLSGAGAGVAPGGYAAAVLFLAGVGVGVVPGGARTSTTAAGASPTTSSIWGRRPSAPRTAASPSSSQPPYSSMQARESMGGHAPWETLNPLSYEAPGIDFSTAYSIMQQDAVHSLFFKIKEPPAFANQTFSFMKAATTEL >ORUFI01G03590.5 pep chromosome:OR_W1943:1:2536048:2542960:-1 gene:ORUFI01G03590 transcript:ORUFI01G03590.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLADILHHTPPSTWPSALAAPLLRGRLAAAHVSSLLLLPPSLSRPDLSRRFLLLLPPHLVSPVSLSLLALSFLSSSPSSSSSSFSSRHAASLLLSLASSAPSSSSSFSSLSHASSLSAFPPGAASTAAALLASSYLRLRRARDAAAVLGLSLSSGITVNQYTASQILFSLVKIRQFALARDLFDKMLQSGVHLDEYVYTAGIRAYCESRNLDGARGLVVRMESEGVKASAVPYNVLMYGLCKNMRVQEAVEVKNVMVNIGVTADEVTYRTLVYGFCRMEELEMALRITHDMIRLGFVPSEANCSFMIDELRKKELVEEAFSLACKLGDLGMVPNVFAYNALIDKLCKNERFDDADRLFKEMAGRGLEPNEVTYAILIHALCKRGMIEDALCLFDKMRDKGIKVTVYPYNSLINGYCKQGSLDRARGLLSGMVKEGLTPTAASYSPLIAGLCRNGDLSSCMELHREMAERGIAWNNYTFTALINGFCKDKKMDEAARLFDKMIDSNVIPNEVTFNVMIEGYCLVGNIRKAFQLYDQMVEMGLKPDNYTYRSLISGLCLTSGVSKANEFVADLENSYAVLNNFSLTALLYGFFREGRFTETYHLWDEMAVRGVKLDLVSFTIIVYAALKQHDKEKSCVLFREMKEQGVKPDDIFYTCMIDALSKEENMIQALNCWDQMVVDGYSPNTVTHTVLINNLCKSGYLGSAELLCKEMLAGNVLPNKFTYNCFLDYFATEGDMEKAKDLHSAMLQGHLASIVSFNILIKGLCKAGKIQEAIDLMSKITESGFSPDCISYSTIIHELCKMGDINKAFELWNEMLYKGLKPDVVAYNIFIRWCNVHGESDKALGIYTNMIRSGVQPNWDTYRALLSGISLMLHYDFSCFRGTKQYIQISVYELMDSTHNKSHRRIWFVDDHKSGEIILEFDGRLNKWGVISFRSDVKVKKLTVVPFLSVTGVGVDVAPGSDVAAIPLLSGAGAGVAPGGYAAAVLFLAGVGVGVVPGGARTSTTAAGASPTTSSIWGRRPSAPRTAASPSSSQPPYSSMQARESMGGHAPWETLNPLSYEAPGIDFSTAYSVCDEEFLHMSNFGKAVPDSFDIMQQDAVHSLFFKIKEPPAFANQTFSFMKAATTEL >ORUFI01G03600.1 pep chromosome:OR_W1943:1:2547557:2548072:1 gene:ORUFI01G03600 transcript:ORUFI01G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSTISLTREKIPPRARLFAPRYFTTSAIRAETHPTRSPQTAANDTNPSTSSIRAACFPPIRAHIPSSIKPIGPVSSSSQPQILRSTHSKRTTTVSPSREEESSIHGAQGGEEAGREEARGGGAXLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >ORUFI01G03610.1 pep chromosome:OR_W1943:1:2549019:2558157:1 gene:ORUFI01G03610 transcript:ORUFI01G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLRASPLSLLSRLKPLNPSPTASHLRRLLLLSTASSTSSASCSPSPLRTLAATDAATPPPEEEAAAPAAEAKRERLQPLQWPARDALCGELGAGDAGRRVRLCGWVALHRAHAGLTFLTLRDRSGTVQVTTLPEYPEVYAVVNKLRVESVVAVEGVVRSRPTEAINTDMKTGAIEVAADNIYVLNSVTRSLPFPITTADTVKEKFPEEIRLRFRVLDLRRPQMQSNLRLRHNVVKHIRRYLEDRHDFVEIETPVLSKSTPEGARDYLVPSRVQPGMFYALPQSPQLFKQMLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMEIAFTSMEDMLKLNEELMRHIFQEVGDIKLPNPFPRLTYTEAMNRYGTDRPDLRFDWQLKDVSDAFLGSSFKIFADTLENGGVIKALCVPGGAKEFSNTDLKKGTVYTEASKAGAKGLPFLKVMDNGELEGIGPLVASLKPEKKEQLLKHLDAKSGDLILFALGEQSAANRILGRLRLFIAHKLEVIDTSAHSILWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQAEEKFGYLLECFDMGAPPHGGIAYGLDRLVMLLAGENSIRDVIAFPKTTTAQCSLTKAPSPVDPQQLKDLGFRT >ORUFI01G03610.2 pep chromosome:OR_W1943:1:2549067:2558157:1 gene:ORUFI01G03610 transcript:ORUFI01G03610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLRASPLSLLSRLKPLNPSPTASHLRRLLLLSTASSTSSASLQPLQWPARDALCGELGAGDAGRRVRLCGWVALHRAHAGLTFLTLRDRSGTVQVTTLPEYPEVYAVVNKLRVESVVAVEGVVRSRPTEAINTDMKTGAIEVAADNIYVLNSVTRSLPFPITTADTVKEKFPEEIRLRFRVLDLRRPQMQSNLRLRHNVVKHIRRYLEDRHDFVEIETPVLSKSTPEGARDYLVPSRVQPGMFYALPQSPQLFKQMLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMEIAFTSMEDMLKLNEELMRHIFQEVGDIKLPNPFPRLTYTEAMNRYGTDRPDLRFDWQLKDVSDAFLGSSFKIFADTLENGGVIKALCVPGGAKEFSNTDLKKGTVYTEASKAGAKGLPFLKVMDNGELEGIGPLVASLKPEKKEQLLKHLDAKSGDLILFALGEQSAANRILGRLRLFIAHKLEVIDTSAHSILWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQAEEKFGYLLECFDMGAPPHGGIAYGLDRLVMLLAGENSIRDVIAFPKTTTAQCSLTKAPSPVDPQQLKDLGFRT >ORUFI01G03610.3 pep chromosome:OR_W1943:1:2549019:2558157:1 gene:ORUFI01G03610 transcript:ORUFI01G03610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLRASPLSLLSRLKPLNPSPTASHLRRLLLLSTASSTSSASCSPSPLRTLAATDAATPPPEEEAAAPAAEAKRERLQPLQWPARDALCGELGAGDAGRRVRLCGWVALHRAHAGLTFLTLRDRSGTVQVTTLPEYPEVYAVVNKLRVESVVAVEGVVRSRPTEAINTDMKTGAIEVAADNIYVLNSVTRSLPFPITTADTVKEKFPEEIRLRFRVLDLRRPQMQSNLRLRHNVVKHIRRYLEDRHDFVEIETPVLSKSTPEGARDYLVPSRVQPGMFYALPQSPQLFKQMLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMEIAFTSMEDMLKLNEELMRHIFQEVGDIKLPNPFPRLTYTEAMNRYGTDRPDLRFDWQLKDVSDAFLGSSFKIFADTLENGGVIKALCVPGGAKEFSNTDLKKGTVYTEASKAGAKGLPFLKVMDNGELEGIGPLVASLKPEKKEQLLKHLDAKSGDLILFALGEQSAANRILGRLRLFIAHKLEVIDTSAHSILWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQAEEKFGYLLECFDMGAPPHGGIAYGLDRLVMLLAGENSIRDVIAFPKTTTAQCSLTKAPSPVDPQQLKDLGFRT >ORUFI01G03610.4 pep chromosome:OR_W1943:1:2549019:2558157:1 gene:ORUFI01G03610 transcript:ORUFI01G03610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLRASPLSLLSRLKPLNPSPTASHLRRLLLLSTASSTSSASCSPSPLRTLAATDAATPPPEEEAAAPAAEAKRERLQPLQWPARDALCGELGAGDAGRRVRLCGWVALHRAHAGLTFLTLRDRSGTVQVTTLPEYPEVYAVVNKLRVESVVAVEGVVRSRPTEAINTDMKTGAIEVAADNIYVLNSVTRSLPFPITTADTVKEKFPEEIRLRFRVLDLRRPQMQSNLRLRHNVVKHIRRYLEDRHDFVEPGMFYALPQSPQLFKQMLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMEIAFTSMEDMLKLNEELMRHIFQEVGDIKLPNPFPRLTYTEAMNRYGTDRPDLRFDWQLKDVSDAFLGSSFKIFADTLENGGVIKALCVPGGAKEFSNTDLKKGTVYTEASKAGAKGLPFLKVMDNGELEGIGPLVASLKPEKKEQLLKHLDAKSGDLILFALGEQSAANRILGRLRLFIAHKLEVIDTSAHSILWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQAEEKFGYLLECFDMGAPPHGGIAYGLDRLVMLLAGENSIRDVIAFPKTTTAQCSLTKAPSPVDPQQLKDLGFRT >ORUFI01G03620.1 pep chromosome:OR_W1943:1:2561631:2562507:1 gene:ORUFI01G03620 transcript:ORUFI01G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTTALSMKLLVDTNAQRVLFAEASKDVVDFLFSLLALPVGTAVKLLGKDSMVGCVGNLYASVEKLDGTYVQPGASKNALLSPVVLSPAASSNTSVLRLPAPSSSQPKSFFSCRSYDCFYYVTDVSGVKCPSCGNQMTTACTYAAPTAAQKLQAAAAEGAGKGFVQGIVTYTVMDDLTVSPMSSISSITLLNTFAVKDLGALKEQTVQLGYTEGLAILRASLQSKTVLSDVFLARRPAKQA >ORUFI01G03630.1 pep chromosome:OR_W1943:1:2563266:2564639:-1 gene:ORUFI01G03630 transcript:ORUFI01G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAAVPSSCASADAAPSTTPTIKLLIAKEAQVVLFAEAGKDVVDFLVGLLAMPVGAVVKLLAGENALGGVANVYASVRRMDAAYMQSAEARDALLNPAPAHPCLAATAGGFPSLVQPPRVQAPYVAPPPPPPPPPGHPAYQCSTIRPTTPSLPSLKAAFPPFGAGMSSDTGCRCSTCLAAAQTGKGFVRDVVTYTVMDDLTFMPMSSISSIALLSKLGVEDLSALEEKTVKIGYQEGLEILKASLQSKTVLTDVFLNRKKKARAGDKHHRSGDKNVDARATSEKKDAAAAVQMEKSAPPMPHDFDV >ORUFI01G03640.1 pep chromosome:OR_W1943:1:2572108:2574096:1 gene:ORUFI01G03640 transcript:ORUFI01G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRIYWVGGARRRAPPRTPIDLVSSSAKSGSGDAAPPPHVVEDFFGVIQLLSDGSVVRADDAALLAMPELQDVPGVQWKDAVYDATHGLRVRVFKLAAAAAGDDGGKLPVLVYFHGGGYCIGALDQSPFHTFCLRAADELPAVVLSVQYRLAPEHRLPTAIDDGAAFFSWLRGAGSADPWLAESAELARTFISGVSAGANLAHHVAVRVASGRQPVVDDVDPVVRVAGYVLLDAFFGGVERTAAEANPPADVSLLTVEMADQFWRLALPAGATRDHPVANPFGPESPSLEAVALPPALVVASGGDVLYDRVVGYAARLKEMGKAVELVEFEGAQHGFSVIQPWSPETSEVIQVLKRFVHKAIRPAEG >ORUFI01G03650.1 pep chromosome:OR_W1943:1:2575190:2584107:-1 gene:ORUFI01G03650 transcript:ORUFI01G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETTSSKALSMKLLVDSKAQRVLYAEAGKDVVDFLFSLLTLPVGTVVKVLSKDSMVGSIGELYASVEDLDATYVRSADARNVLLAPAGGFDTGKLLQLPETAAPLATKLYRCSSCDYNECYDYVSTVSGLRCQIARCPGKMTVAMKLVVSSTSTTATGSASGGEAAQPAYAVAGTGFVQGVVTYTIMDDLRVAPMSTISGITLLTTFGVTDITSLQEKTVQIGYTEGLAMLKASLQSKTVLTDINAAAPPPASTELYRGSASRFGDCCNYVSTVSGLPCQLPQCSGKMSLPVKHVMVSSTTIAGSTGGEVPATASAPSAIAGTGFVQGLVTYAVMDDLKVAPMSTIALVKSGVTHIKSLQEKTVQIGYTEGLAMLKASLQSKTVLTDVFLGKKRKKWPFVLVLLLLFILLAGKPQAQKASSDLMSMTLLIDTKAQRVLYAEARKDVVDFLLSLLALPIASGIKLLGKGSMVGCVGNLYASFEKLDDAFVQADTAKDSLLSPVVLSPAASSNTSVLRLPAPSSAQSSKSFFRCSYSSNACRSFVTNASGTKCPNCGNQMATACTYVAGGQDQNTQNAAAEGAKGGGFVQGIVTYTVMDDLTVAPMSSISSITLLNRFAVKDLGALKEKTVQLGYTEGLAILKASLQSKTVLTDVFIGLKPAS >ORUFI01G03660.1 pep chromosome:OR_W1943:1:2579805:2580038:1 gene:ORUFI01G03660 transcript:ORUFI01G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTAPSTLPPPPRPHLIAEPLVAGDRRRRQRSWWWQDGRQSTRWRGLRLTLNPLPFDHATSAASVMHAELASLKP >ORUFI01G03670.1 pep chromosome:OR_W1943:1:2588674:2589568:-1 gene:ORUFI01G03670 transcript:ORUFI01G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTSKAATLTMKLLVDTKAQRVLYAEGSKDVVDFLLSLLAVPLAGVTKLLTAGDMVGSVGNLYGSVVDKLGADYACRGDVKAALLAPTAALRLGSPADADNGGGALYRCSGCACSRSCYNFVTKVNGTPCPVCKRKMATEVSLVEPDDVSGAGAKVVTSPAAPSGEASSSKAGYAPGKVTYTVMDDLTVAPSSTVSAVAALVALGVTDIRGLQEKTVEVGYDEGLAVLKASLQSKTVLTDVFLGARRTAHRRHPMLV >ORUFI01G03680.1 pep chromosome:OR_W1943:1:2590875:2601144:1 gene:ORUFI01G03680 transcript:ORUFI01G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAELSIKLVIDTKAHKVCFAEAGSDFVEFLSSLLCLPVSNIINLLTKEHMVGSIANVFDSVEKLDADHVISNESKEPYLKPMVAPGALCPLQQLIDAQLDTDTSFFTCEGKLNHYHGIRVACGYFTVMKGSICPKCGYAMEKAMAHVKATGFVVGTARYTVKDDLSIVPASSVSTISLLAQCGVKDLSTLQERTVKIGKEEALEILLASLRTKTVLTDVFLLKKKVVVCSSSSTLHRHSPPSSSQAGGCGSGGGGGGDPSGKIMAMKTLSIKLLIDTKAQKVCFAEAGNDVVEFLASLLCLPMSTIINLLTKERMVGSMGNVLDSMEKLEDKHVTTNQSKQRYLSPTAAPNALCPLQQLLDTELNANTSFFTCEGKLNSTSYNATRFACGYFSVVKGSICPLCYTPMHKAIPHVNTSRVMAGTGTYTIKDDLSMTPASSVSSISLLAQCGVKDLTTLQERTMKIGKEEALEILLASLKSKTVLTDVFLPKKKESCKRENWFRSYYYYYPCSSIIVLDSSPSPPSSLPSQDGGSGSGGHQRRSIVGKIMAAIRLSMKLLIDTKAQKVCFAEAGSDVIEFISCLLCLPMSTIINMLTKERMVGSMGNVLDSVEKLDSKYVISSQSKERFLSPTVAPTVLCPLQQLLLDAKLNVNASFFTCEGKSTVVSYSTTKVPCGYFSVSKGAVCPVCSTQMHRAIPHVKTVGFVVGTATYTVRDDLSMTPASSVSSVSLLAQCGVKDLSALQERTVKIGKEEVLEILLASLKSKTVLTDVFLPKRKVSCKREASGLL >ORUFI01G03680.2 pep chromosome:OR_W1943:1:2590875:2601144:1 gene:ORUFI01G03680 transcript:ORUFI01G03680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAELSIKLVIDTKAHKVCFAEAGSDFVEFLSSLLCLPVSNIINLLTKEHMVGSIANVFDSVEKLDADHVISNESKEPYLKPMVAPGALCPLQQLIDAQLDTDTSFFTCEGKLNHYHGIRVACGYFTVMKGSICPKCGYAMEKAMAHVKATGFVVGTARYTVKDDLSIVPASSVSTISLLAQCGVKDLSTLQERTVKIGKEEALEILLASLRTKTVLTDVFLLKKKVVVCSSSSTLHRHSPPSSSQAGGCGSGGGGGGDPSGKIMAAIRLSMKLLIDTKAQKVCFAEAGSDVIEFISCLLCLPMSTIINMLTKERMVGSMGNVLDSVEKLDSKYVISSQSKERFLSPTVAPTVLCPLQQLLLDAKLNVNASFFTCEGKSTVVSYSTTKVPCGYFSVSKGAVCPVCSTQMHRAIPHVKTVGFVVGTATYTVRDDLSMTPASSVSSVSLLAQCGVKDLSALQERTVKIGKEEVLEILLASLKSKTVLTDVFLPKRKVSCKREASGLL >ORUFI01G03680.3 pep chromosome:OR_W1943:1:2590810:2593395:1 gene:ORUFI01G03680 transcript:ORUFI01G03680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAELSIKLVIDTKAHKVCFAEAGSDFVEFLSSLLCLPVSNIINLLTKEHMVGSIANVFDSVEKLDADHVISNESKEPYLKPMVAPGALCPLQQLIDAQLDTDTSFFTCEGKLNHYHGIRVACGYFTVMKGSICPKCGYAMEKAMAHVKATGFVVGTARYTVKDDLSIVPASSVSTISLLAQCGVKDLSTLQERTVKIGKEEALEILLASLRTKTVLTDVFLLKKKVSCKREAAT >ORUFI01G03690.1 pep chromosome:OR_W1943:1:2615237:2617850:-1 gene:ORUFI01G03690 transcript:ORUFI01G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNELLRGSESTYSLMSTMSGSGDNAAPHVVEDFYGVVKLLSDGSVVRGDESVLIPSWKDVVYDATHGLRVRVYTSRTAAAAAAGDDGGKLPVLVYFHGGGYCIGALDQSICHGFCLRAAYELPAVVLSVQYRLAPEHRLPAAIDDGAAFISWLRGQAALGAGADPWLAESADFARTFISGLSAGANLAHHVTARVASGQLAAVDPARFAGYVLVDPFLAGVERTAAEANPPADVSTLTVEMADQMWRMSLPVGATRDHPVANPFGPESPSLEAVALPAALVVASGGDVLYDRVVDYAARLKEMGKAVELAEFEGEQLGFSAAKPSSPAIKE >ORUFI01G03700.1 pep chromosome:OR_W1943:1:2618121:2619134:-1 gene:ORUFI01G03700 transcript:ORUFI01G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHAAPAPHVVEDYRGVIQLLSDGTVVRSDAGSGAGALLPPEDFPDVPGVQWKDLVYDATHGLKLRVYRPPTAGDAERLPVLVCFHGGGYCLGTFEKPSFHCCCQRLASELRAVVLSADYRLGPEHRLPAAIDDGAAVLSWLRDQAMSGPGADSWLAESADFARVFVAGESAGGNMSHHVAVLIGSGQLTVDPLRVAGYMLLTPFFGGVERAPSEAEPPAGAFFTPDMSDKLWRLSLPEGATRDHPVANPFGPDSPSLAAVAFPPVLVVVAGRDILHDRTVHYAARLKEMEKPVELVTFEEEKHLFLSLQPWSEPANELIRVMKRFIHKDEGSNNF >ORUFI01G03710.1 pep chromosome:OR_W1943:1:2621919:2622194:1 gene:ORUFI01G03710 transcript:ORUFI01G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARGRKERAPRGYVPILIGGQGEERERILVRTEQLKQPHFLALLDLAVQEFGYEQRGILCIPCTTKAFRSIVGAATPTAAAVAGGEIKS >ORUFI01G03720.1 pep chromosome:OR_W1943:1:2623147:2625642:-1 gene:ORUFI01G03720 transcript:ORUFI01G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLYNLFVLIVVFLGGGAPACSAATDTVKPGHVVGGKDKVVSNNGKFALGFFKAPAPNQEKWFLGIWFNTVPNRTTVWVANGGEPIMDAADAGSPELTISGDDGDLVALHPTTKSIAWSTNVSAKNSTSNSNNTAAVLLNSGNLVLQDTSNMSQSRTLWQSVDHPTDTLLPGAKLGRDKLTGLNRRLVSKKSMAGPSPGAYCFEVDEDTPQLVLKLCNSSVTYWSSGPWNGQYFTGIPELIGNSPGFHLGFFDNSREEYLQFNVSNEAVVTRNFIDVDGRNKQQVWLDSSQSWLTLYSNPKVQCDVYGVCGAFSVCSFSLLPLCSCMKGFTVGSVKDWEQGDQTGGCVRKNQLDCVGSNTSSSDSTDKFYSMSDIILPDKAESMQDVDSSDECMKVCLNNCSCTAYSYGSKGCLVWHTELLNAKLQQQNSNGEIMYLRLSARDMQRSKKRRVIIGVVVGACAAGLAVLMFILMFIIRRNKDKNRSENYGSLVAFRYKDLRSATKNFSEKIGEGGFGSVFRGQLRDSTGIAVKRLDGRSQGDKQFRAEVRSIGTIQHINLVNLIGFCSDGDSRFLVYEHMPNRSLDTHLFQSNGKFLDWNTRYQIALGVARGLCYLHESCHDRIIHCDIKPQNILLDASFLPKVADFGMAKFVGRDFSRALTTMRGTIGYLAPEWISGTAITPKVDVYSYGMVLLELVSGRRNSARSEEECTTTTTTSTSTDTDGNYSVYFPVQASRKLLDGDVMSLLDQKLCGEADLKEVERVCKIGCWCIQEDEVDRPTMGQVVQILEGVLDCDMPPLPRLLQRIFERPSSVSTSTPVFLFVGSPERQ >ORUFI01G03730.1 pep chromosome:OR_W1943:1:2627049:2628071:1 gene:ORUFI01G03730 transcript:ORUFI01G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKKPTIGISSFLLLLLVSAAAAARPTRQLVANDGTVAAPAVAPAAADVAAGVADAPVAAANADVPAAAAAMGPVAGAAAAGAPIGASTIPVAAGAGAVGMNGGGGGGDHPMVFFMHDILGGTNPSARIVAGIVDNTAVTGQLPFARPNGAVLPLNSGVNVKSGAAGAIDNNNIPFLTGLGGATNAAFTSNSNNNNNGVPVFAGGSLPQGTTLQKLLFGTMTVVDDELTEAPGLGSPAVGRAQGFYIASSEEGVSQTVVVTAMFKEGEFADSISFFGVHRTADSESHLAVVGGTGKFAGVKGFAKVAVVRPGGVAATAAEHETDGVETVLQFTVLLIMY >ORUFI01G03740.1 pep chromosome:OR_W1943:1:2629879:2631456:1 gene:ORUFI01G03740 transcript:ORUFI01G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHMEEIRAQDRDRRSRDMSKFKIKRKSFRLCYRDQYGTTGACDESFLLRMTPLHKELSLRTEAIYVSAFYEMLKANGMHQWVVFHEHKNTIKKSLDNLKHALRRYKKQSNKIGAILLGAKERRQVWIHRP >ORUFI01G03750.1 pep chromosome:OR_W1943:1:2632094:2640978:-1 gene:ORUFI01G03750 transcript:ORUFI01G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSKAVEAGGRSGVARAVLGYADAVAHHAGQAVAEGAKILNDRMSTQNYKSVKKMVKRLEEAAVSSRGEDRLQVLRHWLRALQEVEAQLGGLDGAAEQNAHSSEPNTSKPPFARVLFYDADIGGAPMNFRDVFLYSQALEGITLSMILQAPNEEEVPLLLEIFGLCLTGGKEINNAIMSSIQDLAKSFSNYHDEVLVKREELLQFTQSAISGLKRNSDIMRIDAEAVELWKKLNEKETSRVQQTQEDHAKTTERTSATTVEVDKLKVLATSLSNSSSKAEKRILDHRRQKEEALNFRAKKENEVSAVEKELTAEISELEKQRDELEARLKKVNISLNAAIGRLKQTREERDQFDEANNQMIFSLKAKDNELSKSITSCNVEAGVVKTWINFLEDTWQLQSSYNEQKEKRTNDELERCTDNFLKLTKYHLSTFKEILSPSIERICTYVDNLAVLQSRDVSTEHDNEELSEKTSPQKSLEEEYLETEKKIVIAFSITDHMKKLFYSEQGVNSRRDDEDVRNLFSEIEKLRERFESVERPTLDIEVRRAKVPTKERAESSPSPVQVPSTPKAETVVSPKSPAKPDQPLDLDSELAKLELEFGQVNKYSPEEISGWEFDELEEELRADISKSQ >ORUFI01G03750.2 pep chromosome:OR_W1943:1:2632094:2640978:-1 gene:ORUFI01G03750 transcript:ORUFI01G03750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSKAVEAGGRSGVARAVLGYADAVAHHAGQAVAEGAKILNDRMSTQNYKSVKKMVKRLEEAAVSSRGEDRLQVLRHWLRALQEVEAQLGGLDGAAEQNAHSSEPNTSKPPFARVLFYDADIGGAPMNFRDVFLYSQALEGITLSMILQAPNEEEVPLLLEIFGLCLTGGKEINNAIMSSIQDLAKSFSNYHDEVLVKREELLQFTQSAISGLKRNSDIMRIDAEAVELWKKLNEKETSRVQQTQEDHAKTTERTSATTVEVDKLKVLATSLSNSSSKAEKRILDHRRQKEEALNFRAKKENEVSAVEKELTAEISELEKQRDELEARLKKVNISLNAAIGRLKQTREERDQFDEANNQMIFSLKAKDNELSKSITSCNVEAGVVKTWINFLEDTWQLQSSYNEQKEKRTKDVSTEHDNEELSEKTSPQKSLEEEYLETEKKIVIAFSITDHMKKLFYSEQGVNSRRDDEDVRNLFSEIEKLRERFESVERPTLDIEVRRAKVPTKERAESSPSPVQVPSTPKAETVVSPKSPAKPDQPLDLDSELAKLELEFGQVNKYSPEEISGWEFDELEEELRADISKSQ >ORUFI01G03760.1 pep chromosome:OR_W1943:1:2633556:2633828:1 gene:ORUFI01G03760 transcript:ORUFI01G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFITDAVADPLAAGHGGCRRNRTPFRLHRTPLPFSLSLTALAVAASPAATAAGSGEQWATATGRAAVPSNLGEESEVRGGDGEGCNAV >ORUFI01G03770.1 pep chromosome:OR_W1943:1:2643024:2646825:1 gene:ORUFI01G03770 transcript:ORUFI01G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVENEGLSLNIVVLLLCFGQGKTEAFPELPAKVLIINCAIQIEVQISTAKMIKLRSALGVLEILSVLCISLVAAYTPVDNYLISCGSSVDTPVGQRLFVADDSGTVVLTSPASDAVKASPSAVSGLRDDAAMYQSARVFKAPSSYSFRIRDPGRHFVRLHFFPFVYLGYDLATASFKVSTQDAVLLDGFAPAAAARGNASTTTTTATAAAVCEEFLLDVARDTLVVTFVPLAGRLAFVNAIEVVSVPDDLIGAADSSLSTSDSTGQQLNPAVMPLQTVYRVNVGGQAVAPDSDTLWREWTSDQQLLVGPAMTKGVSYNRTPNYLPGQATANDAPAIVYATGRELIIMTNSTDDGMKQMAWQFDVGRSASYLIRFHFCDIVSSVPGRLHMNAYVDSSNAIQDLDLSAIGNGTLAFPYYRDFVLAASTPSGKLAVYVGSTSQKITTPAAILNGLEIMRILTTAGNVAVVEPTMPPGTKKKNNLAVVLGSVCGAFGFVSVAAALVIVLRRKEEKEELRTPTTSQPSTAWMPLLGRISFRSAPPSAVGSRSPSFTIDTNANTPGGGATPGMAAAASSSPSYRFPFAALQDATGNFDEGLVIGEGGFGKVYAAVLQDGTKVAVKRANPESRQGAREFRTEIEMLSGLRHRHLVSLIGYCDEQDEMILLYEYMEHGSLRSRLYGGGAATATATALSWAQRLEACAGAARGLLYLHTATAKPVIHRDVKSSNILLDDGLTAKVADFGLSKAGPDMDETHVSTAVKGSFGYVDPEYVRTRKLTAKSDVYSFGVVLLEALCARPVVDPRLPKPMVNLVEWGLHWQRRDELEKIVDRRIAGTVRPAALRKYGETVARCLADRGADRPAMEDVVWSLQFVARLQEVDGLDASDVSSLNMVHQLMPPTSLHARQRSAGESETGRTDADEDSSVVDDDYTDASMRGIFWQMVNVRGR >ORUFI01G03780.1 pep chromosome:OR_W1943:1:2647294:2650142:-1 gene:ORUFI01G03780 transcript:ORUFI01G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQINMTIDLPVASVSTPRLLGRRRRRRRRAEAISTREQRRRRRCAASPVGPSPFKMPRYDDRDRYDDRYGGNTRLYVGRLSSRTRTRDLEDLFGRYGRVRYVDMKHEFAFVEFSDARDADEARYNLDGRDFDGSRMIVEFAKGVPRGPGGSREYMGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNRCYRCGDRGHIERDCRNSPKNLKRGRSYSRSPSPRRGRSRGRSYSRSRSRSYSLQGGIAVMKGDQGALVTVGALGEAPGSPRDTQSPRGSPRDSRSPRRSASPPNGRNRSPTPNASRSPAPRDSRSPMRADSRSPADHERRDMSTAANGRSPSPRDYEDNGNHRASPRGSASP >ORUFI01G03780.2 pep chromosome:OR_W1943:1:2647294:2650142:-1 gene:ORUFI01G03780 transcript:ORUFI01G03780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQINMTIDLPVASVSTPRLLGRRRRRRRRAEAISTREQRRRRRCAASPVGPSPFKMPRYDDRDRYDDRYGGNTRLYVGRLSSRTRTRDLEDLFGRYGRVRYVDMKHEFAFVEFSDARDADEARYNLDGRDFDGSRMIVEFAKGVPRGPGGSREYMGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNRCYRCGDRGHIERDCRNSPKNLKRGRSYSRSPSPRRGRSRGRSYSRSRSRSYSRSQSPRRDSRNERRSRSPRDSRSPRGSPRDSRSPRGSPRDSRSPKGSPRDTQSPRGSPRDSRSPRRSASPPNGRNRSPTPNASRSPAPRDSRSPMRADSRSPADHERRDMSTAANGRSPSPRDYEDNGNHRASPRGSASP >ORUFI01G03790.1 pep chromosome:OR_W1943:1:2655196:2656900:1 gene:ORUFI01G03790 transcript:ORUFI01G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHDMHGGGQNGGVKGLVSKLVGGGGHGGGHGGGHGYEQGYGGHGYPPHAGAAHGAYPPQHGAYPPQHGAYPGHGYVPGAYPSNAAPHGGHMGSYHTGHGGGGGRHYGGKHKGGMFGGGKWK >ORUFI01G03800.1 pep chromosome:OR_W1943:1:2659739:2661347:-1 gene:ORUFI01G03800 transcript:ORUFI01G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNTNNNSNSSSSSEMPGKKARKPYTITKPRERWSEEEHERFLDALIMYGRDWKKIEEHVGTKTTIQIRSHAQKYFLKVQKMGLAAGLPPQYPRRRLVMQQQQQQSSPAVSSSVAATAILHGQPQCLPPHHNVAVQSSIGWECPGNLEWASTSGTAAWGNHHGLIEPPAAFVSFPGESSFMGAASFSNTSMDWTGTTSEMATASIVQDETIELPLSPDDLQFAQVYRFIGDIFDPDSPCPVETHLQKLKSMDDIIVKTILLVLRNLEDNLLSPQFEPIRRLLSTYDPNRGLSGHL >ORUFI01G03810.1 pep chromosome:OR_W1943:1:2668363:2683616:1 gene:ORUFI01G03810 transcript:ORUFI01G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESSINRWQCASNKPADGMNSYQSRSRSNGKRKKEIDRTTRYQAYEVSTESVGAGYVLPGVDGPLVAQLRSPYRGLEETIGGGALLLDQAVDAGEMRMVQHEEDRIQVAAAPFNIGVRVPGVLPGFTELGHSDPLREQATFLILQQRLSINCVPIFVSIQTAQTEARKSERERESSIGGTRRVGGACLEISSEMEAVVRLIDVAHSKLQVLGTLFRRIHLGMPAAIAMDLFGDPATVGVSPTEILEEARREISLSGARHGKTMHVFARYVVAHLHVQQDDPGTHYQDAIRFIDKGAGEDRSITEPTTQPNSLLFFSFPMDFITVEREAKLRRPRDPSDHSTSCGGDDDSPISHLSDDVLVHVLGFLPTATDLMRACAVSRWWCRLGARVPLLRFLCIDRAFDRQETLDRFVAFINNVLTRRAAGQSDAGVEELTISLKSGMSSVDVAEVDAWIRYGMQHVSNTFTLELNIPLRSGNNSNHRYLDDDEDDDDDNNGMILAELPSSPRLKSVMLSLSNARLRLPTAAAFDSLVDLSLENVRLEDNSIHLLNRLLSPACCPRLQRLRFNKLTVGRQIKTPRLRVFHMRYTSLIGKLTISAPRLEEFILPYTGRVSVINVEDMPCVRVLEIDLWLLGGSQYGLTQAGEVVQKDNGNAEVELMKDVPELPHVTSLSLRVIEMNEMYDIASVLCVIGRCKFLKHLELDIKMAHCEGPTEVSNQNQKDYHIISLEHLQEIKITCSYMRNHEVGLIKFLHTSAPALKKMRIAATRDQAYEVSTESVGAGYILPGADGQLGAQLRVPYRGLEVTIGGGELLLDQAVDARETRMVQQEEDRIQLDRSAAMDEWEELAWRLPVTLMRVSSGMEDVKLIEVALGKFQKRAAMMGRILDGTPAAIAEQEFDDPAPVGECPKVSLEKAHREISHSAASHAMARGVFFLCAVHLRTQDEPPFLHWDARHQVAIGHFERAMRSITDAMGHYAAAKDVVVVNEAFLPQEDVWRRWASAAKLLVDRAASLTTQALDEARQAHHVVALGSGMEDVKLIEVALAKFQKRSAMMGRILDGTPAAIAEQELDDPAPVGERPTVSLEKAYREISYSAARHAMARGVFFLCAVHHRTQDEPPFLHWDARHQVAIGHFERAMQSITDAMGHYAAAKDVVIVNETFLPQEDVWRRWASAAKLLVDRAASLTTLALDEARQVHHVVALELSEASSILRQWRARLVQIVSATDQRGIKHTKSRRNQFAPTSSRASLAIFFPDSTFPIAARRSSTAASRRSFSIKSLTPGRFSWSSRRLIVSRCPLHMPIRAHASSASLSAPRSLAHAHISPRPATLSINCVLVFISIQTATHTRAENTPNPKPKRERAREGEIDRRCRPPAMDEWEELARTVPATLMQVGSGMEAVRKIQVAHRKIQGRAGLMRNIRFGMPAAIAMSLFDDPAPVGVCPTVTLEEARCEISRGAARHAMADHVFVRYVELLGIQHEPPCTSRDTHHREAIRFTAMALEKVREAASLAEAAKDAVDIAETLLPQPELKTEWALAAQDLAERADYEATQALEFVKRARDVVALEFFDTWKILRRGRARSAEMKEWRELALKVPGTIMLIGDAELETLELIQAAVSKFQKHVELLQEVRHGTATATAVDNFTDPDPEGALPTELLENARRGMSKSAVRHAKAHHIFARYAAFLGIQGDEEYRSWDNKHQEAAGSMVAALKKVIDAVSDAEAAKDAVAMVGILPYQCPLWELWALRAQNQTSLSSFNATLAILDVRQAREAFFVEVLRAWLILRRYGSLQLLDDSASLWSSSTPA >ORUFI01G03820.1 pep chromosome:OR_W1943:1:2676573:2681907:-1 gene:ORUFI01G03820 transcript:ORUFI01G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAWRERFRQRVAEVDDLFVEAFELLVDNARIHLEAQMLVGDAAAAARARIQLAQGALEDASGKLASAMSLMVGAKLLVLRGGSHDPLMPYHDIGHLGDEYAAEKNACAKLRGAEREAEEACARIGMCSGHLETISLLLDHENLPGVNDLIENERLDAAVDDLLAAIGKVESGKKMANDARLDMAAEAWRARFRERVVEAASRMARMERVQGHLAAAQGHLALAAPLLADNAAAAAARDRIQRVLGALGEASSDLAFAMSVMNGAKLLVFSDVIGIEQLGDQYFPEGNAGVVLHDSVEDVEEAFAMVDSCRSHLDAVLLLLDHPRLPGVDGLIQEELAAADGDLQAAIGNAELGTELAVGARQDVSGAN >ORUFI01G03830.1 pep chromosome:OR_W1943:1:2684971:2685531:-1 gene:ORUFI01G03830 transcript:ORUFI01G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEENPWKPLFWWRVDAINQRVRVVREDLAAVEQQLASRVDKLSVASCAGDKLLMEASRALGLAVCYMEAARLLARHRGGLIAQGRIPSRHGRVHDDDLAVRRALFYLRLAKARAEEACDALDRCRGHLGAVKMLLRRREAPAGVADHVDGERASAVGDLEAARQLMVDTDAFISAALGDRSDVD >ORUFI01G03840.1 pep chromosome:OR_W1943:1:2685802:2686434:1 gene:ORUFI01G03840 transcript:ORUFI01G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACAYNPRKRERERSLGEEERWMKLARTVPAILMRIGTSRKAIKSTMKGMKAMKAAKRGDGGVFSDQMRHASEHLDGAHGRIARLIATHAEAGHLFVHCAAHIGGLKGGGGGAPAWQAWEGHRADAVLHARDARWWLCRAGGAVEAALDVFRVVEGRSGSGSHRPREAKRLRRRARDDVSKALHALTDMRHAIVLEFFDAWMVLNQNR >ORUFI01G03850.1 pep chromosome:OR_W1943:1:2687433:2688032:-1 gene:ORUFI01G03850 transcript:ORUFI01G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEVEVELTWMALFQRRVVMADAHCHKLHGLLRGLFGVLDGQAWREMVAVAEETRRMLESASTELGLAIANMGAATLLAPGGEAPRAWAPAVPLRSVDDGGIDVPRVWLVHFRLQVAAETARRLHDRLEATRVHVCAAEHLVALEEDDDGGDDDMAPWMHGLSASEQIDGLMELRETLNLAVDLVAMTAMAREEVF >ORUFI01G03860.1 pep chromosome:OR_W1943:1:2688435:2689319:1 gene:ORUFI01G03860 transcript:ORUFI01G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPQREQMALMVPELLLVVATSEGALASIELACNLLDSIEFDVLVDIAHGVPFNTTGGGEQPLYMGGGGGGGGGGGRGPSRSFGVPMDSTGGGEGSFSVDALAYEPFTFGVPMDTSGGGVEHTGGDGAATNVAADHKGRKAGIDYWANTLASAFATDGPLNAAHREITRLITLHGVAAHLLIRCLELHDFPHGDEAAWQRWWEHHDAFVPRAHDALLRLSSATSASAAAEDFLRLRSALSPGRNDWPSEAKQLVRDARRDIGEARDAVILMRDDAVREFFETWMILKRSQASR >ORUFI01G03870.1 pep chromosome:OR_W1943:1:2690041:2691975:1 gene:ORUFI01G03870 transcript:ORUFI01G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEERSKKRLRLWSRAVVHFSLCFAIGVFAALLPLAATGATSIDSIRASFRPTVAATPPVPELDLLLIVTVTRPDDDDDDGMSQEASLTRLGHTLRLVEPPLLWIVVGAENTTATARAVNALRGTRVMFRHLTYAAENFTGPAGDEVDYQMNVALSHIQLHRLPGGVHFAAASSVYDLRFFQQLRQTRGIAAWPIATVSSADQTVKLEGPTCNSSQITGWYSKDSSSNITETTWDSSSNTTQTTWDSSSNKTQTTTLAALDTNASKQNSSSGPPEINMHAVGFKSSMLWDSERFTRRDNSSTGINQDLIQAVRQMMINDEDKKRGIPSDCSDSQIMLWHLDMPRHTPKIEQATPEKESLTKGDEEESHDMTLDNVVPKTEEHETLEKENLMKGDEKGSHDMMLDNVVAKIEEQETPEKENLTKGEEKESHDMMLDNVVAKIEEQETPEKENLTKGEEKESHDMMLDNVVAKIDEQETTEKESLTKGDEKESHDMMLDNVVAKIEEQETPEKESLTKGDEKETHDMMLDNVVAKIEEQETPEEGKTKEG >ORUFI01G03880.1 pep chromosome:OR_W1943:1:2692442:2695117:-1 gene:ORUFI01G03880 transcript:ORUFI01G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFYEGGFQPEMARREAGLILGVRENVHPEKVKEAHKKVMVANHPDAGGSHYLASKINEAKDVLLGKTKGGGSVF >ORUFI01G03890.1 pep chromosome:OR_W1943:1:2695376:2697591:1 gene:ORUFI01G03890 transcript:ORUFI01G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADDANHRHEEASPLLPPGGGGGGGKKLHQSPPPSPPEAAKCCADGVPVVMGEPLGAPAPPRESWNSGVLSCLGRNDEFCSSDVEVCLLGSIAPCVLYGSNVERFAARPGTFANSCLPYTGLYMLGNALFGWNCIAPWFSHHTRTAIRRRYNLEASFGSFEAFTKQCGCCHGLVEDEGNREHLEVACDLATHYFCHPCALCQEGRELRRRVPHPGFNGRSVLVMTPPKEQTMGRGM >ORUFI01G03900.1 pep chromosome:OR_W1943:1:2698028:2704028:1 gene:ORUFI01G03900 transcript:ORUFI01G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSASPSPSSASPLQHHREALKSSVRNTAASRRREQAIAIGKERREALIRAKRVCRAPISGSDEAEMEEGDMVVDEEKACLEAKTAHAVEELKSALSIQYGTGIFFMGKGVQKKKIEALRDLRRLLSQPEVPLVDTAIKAGAVPLLVQYLSFGSSDEQLLEAAWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGAELRSTLLAQGALRPLTRLMFSSKGSTARTAAWAMSNLIKGPDPKAANELITIDGVLNAIIASLEKEDEELATEVAWVVVYLSALSDRGISLIVRSSVPQLLIGRLFSSENLQLLIPVLRGLGNLIAADDYMVDSVLTVGHNIIGLIKCLKSDNRVLRKESSWALSNIAAGSFEHKKLIFASEATPVLIRLVTSMQFDIRREAAYTLGNLCVVPTGNCELPKIIVEHLVAIVDGGALPGFIHLVRSADVDTAGLGLQFLELVMRGYPNKQGPKLVEMEDGIEAMERFQFHENEQMRNMANGLVDEYFGEDYGLDE >ORUFI01G03900.2 pep chromosome:OR_W1943:1:2698028:2704028:1 gene:ORUFI01G03900 transcript:ORUFI01G03900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSASPSPSSASPLQHHREALKSSVRNTAASRRREQAIAIGKERREALIRAKRVCRAPISGSDEAEMEEGDMVVDEEKACLEAKTAHAVEELKSALSIQGKGVQKKKIEALRDLRRLLSQPEVPLVDTAIKAGAVPLLVQYLSFGSSDEQLLEAAWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGAELRSTLLAQGALRPLTRLMFSSKGSTARTAAWAMSNLIKGPDPKAANELITIDGVLNAIIASLEKEDEELATEVAWVVVYLSALSDRGISLIVRSSVPQLLIGRLFSSENLQLLIPVLRGLGNLIAADDYMVDSVLTVGHNIIGLIKCLKSDNRVLRKESSWALSNIAAGSFEHKKLIFASEATPVLIRLVTSMQFDIRREAAYTLGNLCVVPTGNCELPKIIVEHLVAIVDGGALPGFIHLVRSADVDTAGLGLQFLELVMRGYPNKQGPKLVEMEDGIEAMERFQFHENEQMRNMANGLVDEYFGEDYGLDE >ORUFI01G03900.3 pep chromosome:OR_W1943:1:2698028:2704028:1 gene:ORUFI01G03900 transcript:ORUFI01G03900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSASPSPSSASPLQHHREALKSSVRNTAASRRREQAIAIGKERREALIRAKRVCRAPISGSDEAEMEEGDMVVDEEKACLEAKTAHAVEELKSALSIQGKGVQKKKIEALRDLRRLLSQPEVPLVDTAIKAGAVPLLVQYLSFGSSDEQLLEAAWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGAELRSTLLAQGALRPLTRLMFSSKGSTARTAAWAMSNLIKGPDPKAANELITIDGVLNAIIASLEKEDEELATEVAWVVVYLSALSDRGISLIVLRGLGNLIAADDYMVDSVLTVGHNIIGLIKCLKSDNRVLRKESSWALSNIAAGSFEHKKLIFASEATPVLIRLVTSMQFDIRREAAYTLGNLCVVPTGNCELPKIIVEHLVAIVDGGALPGFIHLVRSADVDTAGLGLQFLELVMRGYPNKQGPKLVEMEDGIEAMERFQFHENEQMRNMANGLVDEYFGEDYGLDE >ORUFI01G03910.1 pep chromosome:OR_W1943:1:2703059:2703862:-1 gene:ORUFI01G03910 transcript:ORUFI01G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSLPRLTLTPTPRANPGRRSARPTPASITCGPRDNRGPLQRGRSLSTEAILAVQSLKRLTSSDRSPAAAAAAASTSLGRLLKGDLLAAMAELQRQGHWSLALAALHVARAEPWYRPDPALYATFVSSSPATEDGAAAVDALVEAFLEEKERGGGFVDGEEDVYKLTRLVRALVAKGRARAAWRVYEAAVRMGGCEVDEYMYRVMARGMKRLGFEAEAAEVEADLREWEARISPPARDVLDEMRAREEQHNCGLTVNLIIVLET >ORUFI01G03920.1 pep chromosome:OR_W1943:1:2705162:2708294:1 gene:ORUFI01G03920 transcript:ORUFI01G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLLAAILLALSPLPMSLSAGGGGGGDTGTAEAAADRITALPGQPRVNFSMYSGYVTVDAAAGRALFYWLIEAADPASAPLVLWLNGGPGCSSVGYGASEELGAFRINPDGRSLYLNPYPWNRVANMLFLDSPAGVGYSYSNTTSDLFTAGDNKTAHDSYAFLVNWLERFPQYKYRDFYIAGESYGGHYVPQLSQLVYRNNKDVEKPILNFKGFMVGNAVIDDYHDYVGTFEYWWTHGLISDDTYQKLQVACDFESSAHASEACNKIYEVAEAEQGNIDAYSIYTPTCKKTSFLKRRLIRGNSPWLPRGYDPCTEKYSTKYYNLPEVQKAFHANVTGIPYAWTTCSDDLFYYWKDSPRSMLPIYRELIAAGLRIWVFSGDADSVVPLTATRYSIDALYLPTVTNWYPWYDDEEVAGWCQVYQGLTLVTIRGAGHEVPLHRPRQALKLFEHFLQDKPMPQPVHSIQSF >ORUFI01G03930.1 pep chromosome:OR_W1943:1:2709398:2710343:-1 gene:ORUFI01G03930 transcript:ORUFI01G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASPSREEAHSNSSFSGNGKAMAVASSASSSGSNQAQSKRAPALHMFQEIVAEKDFTASSLEDQIYTGIFLAGKTKKYWVDERTRNNCFMLFPRGLSITWSEDPRFWTWKPLKEGSSDADTDTQIEVPSLQNVCWLEIHGKLERSYLTPGVTYEVLFEVMLIDPAYGWSVPVNLQLKFPDGTVQQRKENLEEKIRMKWLELKVGEVKTQQGQKGEIEISMFEYDGGLWKRGLLIKGIKILPKQ >ORUFI01G03940.1 pep chromosome:OR_W1943:1:2710384:2711739:-1 gene:ORUFI01G03940 transcript:ORUFI01G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTESSSSAAAAAAASAMYGRGLFTRWKAWQAKRPIKASEKNWLSCSGLELFFLNIYHSRLKS >ORUFI01G03950.1 pep chromosome:OR_W1943:1:2711716:2716950:1 gene:ORUFI01G03950 transcript:ORUFI01G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAAEHSVQSVEQQLCTLITSSLRATVPDLDVEPMLEVSKPGFGDYQCNNAMSVFSRIRGSATNFRNPMAVGQAIANNLPQSNIIESISVAGPGYINITLSSNWIAQRIQDMLVCGIKTWAPILPVKRAVLDFSSPNIAKEMHVGHIRSTIIGDTLAHMFEFTNVEVLRRNHVGDWGTQFGMLIEFLFEQFPDWEDVGNQAVGDLQSFYKASKKRFDDDPDFKERARQAGESFYNPYIPPVLEELTNKGLIVESKGARVIFVEDHPLIVIKQDGGFNYASTDLAALWYRLNVEKAEWIIYVTDVGQQRHFHMLFTAAKMAGWLPEQNGKKYPKASHVGFGLVLGSDGKRFRTRCSEVVRLVDLLDEAKARSKAQLIKRFTGNGQIADWTDDELDRTSEAIGYGAVKYSDLKNNRLTDYTFSFDQMLSDKGNTAVYLQYAHARICSIIRKASKDVEKLKMTGAITLGHPYERFLGLHLIQFTEVVEQACADLQPHRLCDYLYSLSLTFSKFYTNCQVVGSPEETSRLLLCEATGIIMRQCFHLLGITPVHKL >ORUFI01G03950.2 pep chromosome:OR_W1943:1:2712225:2716950:1 gene:ORUFI01G03950 transcript:ORUFI01G03950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVSKPGFGDYQCNNAMSVFSRIRGSATNFRNPMAVGQAIANNLPQSNIIESISVAGPGYINITLSSNWIAQRIQDMLVCGIKTWAPILPVKRAVLDFSSPNIAKEMHVGHIRSTIIGDTLAHMFEFTNVEVLRRNHVGDWGTQFGMLIEFLFEQFPDWEDVGNQAVGDLQSFYKASKKRFDDDPDFKERARQAGESFYNPYIPPVLEELTNKGLIVESKGARVIFVEDHPLIVIKQDGGFNYASTDLAALWYRLNVEKAEWIIYVTDVGQQRHFHMLFTAAKMAGWLPEQNGKKYPKASHVGFGLVLGSDGKRFRTRCSEVVRLVDLLDEAKARSKAQLIKRFTGNGQIADWTDDELDRTSEAIGYGAVKYSDLKNNRLTDYTFSFDQMLSDKGNTAVYLQYAHARICSIIRKASKDVEKLKMTGAITLGHPYERFLGLHLIQFTEVVEQACADLQPHRLCDYLYSLSLTFSKFYTNCQVVGSPEETSRLLLCEATGIIMRQCFHLLGITPVHKL >ORUFI01G03960.1 pep chromosome:OR_W1943:1:2718200:2721656:1 gene:ORUFI01G03960 transcript:ORUFI01G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATGARGNQTLGAGRAQDNPMQTVDDRTNHRSPEMNYWRMSRNEAILDRFNTVRKSKDANCCGISPESSFDEMSNDSSWVWHSELLGIETRIHFKNIDIIKCVDYLKVCGEIKGYQIHQTADSGWYHACNVGAAKAEMGELCETLKAAGRERRPVEVIVGEAKILEGCEMEDCWVEASSALQLTAAQVEGGHAAVAVATADAVPAAAVRAGSP >ORUFI01G03970.1 pep chromosome:OR_W1943:1:2718813:2721827:-1 gene:ORUFI01G03970 transcript:ORUFI01G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVLLQIQLVAALSLLPPASAPPPAALPCRPDQESPLLRLKSSFSATDMSTAAFRSWRPGTDCCRWDGVRCGHGDGRVTSLDLGGRQLESRGGLDPAIFHLTSLEYLSLADNDFNGSPLPSSGFERLTELTHLSLRSTNITGVVPAGIGRLVNLVSLDLSTDFEIIDTFDDVYVFKMNSSLDAQQLAVPNLESLVANLSNLRELNLGLVNLSENGARWCNALVDSCPKLQVLRLSCCALSGPICATLPRLHSLSVIDLSFNSLPGLIPDFSNFPNLTALQLRRNDLEGFVSPLIFKHKKLVTIDLYHNPGIYGTLPNFSSDSHLENIYVGGTEFNGIIPSSIAELKSLKNLGLGATGFSGELPSSIGNLRSLKSLEISGFGLVGSIPSWVANLSSLTVLQFTNCGLSGSIPSSVGNLRNLGKLLLYNCSFSGKIPSQILNLTQLEILSLHSNNFIGTVELTSMWKLLDLFVLDLSDNNLVVVDGKGNSSTASIPKLGALRLSGCNVSKFPNFLRFQDEIEYLDLSYNHIDGAIPQWAWENWVKMDILSLKNNKFTSVGHDPFLPLSDMKALDLSENMFEGPIPIPRGYATVLDYSGNRFSSIPFKFTNYLSDVSFFKAGRNNFSGRIPPSFCSAMSLQLLDLSYNSFDGSIPSCLIEDVDKLEVLNLKENKLRGEFPDNIKESCSFEALDFSGNLIEGKLPRSLAVCKNLEVLNIGSNQINDSFPCWMGTLRKLQVLVLKSNKFFGHVAQSLGEEKGTCEFQSARIVDLASNKFSGILPQEWFNKLKSMMIKDSNLTLVMDHDLPRMEKYDFTVALTYKGMDITFTKILRTLVFIDLSDNAFHGSLPEAIGELVLLNVLNISHNSLTGPIPPQLGRLTQLESLDISSNELSGEIPQQLASLDFLTVLNLSYNKLEGEIPESPHFLTFSNSSFLGNDGLCGRPLSKGCINITSLNVIPSKKNSLDVLLFLFAGLGFGFGFALSIVVIWGIPIRKRSRVRQRAL >ORUFI01G03980.1 pep chromosome:OR_W1943:1:2734617:2735303:-1 gene:ORUFI01G03980 transcript:ORUFI01G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEFGLAAAAAAAASATVDAASGRSSRVTALRSVEDIFSDFRARRSAIVRALTEDLEKFAALCNPDLDCLCLYGNSDGTWEVAPPPEMVPPELPEPALGINFSRDTMYRSDWVALLSVFSDSWLLAVAFFHGARLDRDDRYTAPDSSLLSRSHTMLLPLLI >ORUFI01G03990.1 pep chromosome:OR_W1943:1:2736556:2741542:-1 gene:ORUFI01G03990 transcript:ORUFI01G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSYAAAASGSASSSRKPVPVAAAAARRPAPSPAAAAAPAPSPSNPSAVSDSDPSSYSSSGEETDLTASDPAAASVISSYLSVAGDGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSASCFALLHLHCIQSWAHQSSSAAPSPTWGCPKCRFPYPKSQTPTSYLCFCSKTVDPAPDPWILPHSCGDVCGRRLNADRDSGCEHNCLLLCHPGPCPPCPAIVPNAMCFCGSHRETRRCSHQRYSCSGKCNKRLGCGIHRCPVDCHDGPCPPCAVRGKHKCECGETMEERLCSERVFQCKRECGGMLQCGKHSCERGCHAGKCGGCPLQGRRTCPCGKKDYPSLDCDAEAATCGSTCEKVLGCGRHKCPERCHRGSCVETCRLVITKSCRCGGLKKEVPCYQELTCERKCQRLRNCGRHACRRRCCEGDCAPCPEVCDKRLRCGNHKCLSPCHRGACAPCPLMKTISCNCGQTFFEVPCGTEKNQKPPKCSKKCNIARLCRHKLECRPHKCHYGACPPCKLICGEELSCGHRCKLRCHGPIAPPNPEFTLKPIKRKKGKHIDSTPGTPCPPCQEVVLVPCFGHHLGQERAILCSKRRQFPCQNLCGNPLNCGNHYCTKACHVLQIPLSQPEGDQSAILSLASASAFAEPCEECNLPCQRVREPPCSHPCPLPCHLNDCPPCKALVKRPCHCGAMVHAFECMYYNNLNATKQQKVRSCGGPCHRKLPNCPHLCSEICHPGQCPSVDQCMKKVNVRCACNNLKKEWICQDVLKEYRQSGRDPKQIPKNQYAVGLLACGEDCVKKVKAADSELHLRKIQEIKTPAVEVENVPKRRKRRNRGQESVESSKFQEIKAVALKFLLVIFLCIIVVAGLYLLWKGVYRLSDWMNDMEEQRARQRHLKPGRL >ORUFI01G04000.1 pep chromosome:OR_W1943:1:2742479:2743365:-1 gene:ORUFI01G04000 transcript:ORUFI01G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPHPPHRNGNHVPAPSGESFAKFFECWISEQSRDLAALRSAASAATNPAAPPDDAELHRLVNRVLGHYEHYYRTKSAAASTDVLRMFSPSWTSTTENLYLWCGGWRPTAALHLLYSKSGAQLETQLPVFLAGGGLGAGDLGDLSAEQLQAADQLQRITVSKEREIENAAASAQESLATVKMVELAGGGGMDAEGMEMEMRSKADGMRRVLEMADGLRLETMREVVALLRPSQAVHFLIAAAELHLAVHEFGRRKDGDGAASPPPA >ORUFI01G04010.1 pep chromosome:OR_W1943:1:2748328:2749137:-1 gene:ORUFI01G04010 transcript:ORUFI01G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMQRLVLCLVVSMAIAASAQGPTAAPAAPAPATPAAPATPAAPAPASTKTTNITGVLAKAGQFNTLIRLMRSTGAAEQIDNQLNSSRNGLTVFAPTDNAFTSLPSGTLNSLSDQQKNSLVQYHVLSTLIPMSQFDTVSNPLRTQAGSNSPGQYPLNVTAEGQQVNISTGVVNATVGNALYTGDNLVVYQVDKVLLPMAIYSTPAPAPAPLSPATKKKGKTPATSVADAPEAADATPDATTPSLAAARVTAGAGVGVVLALASVWLGL >ORUFI01G04020.1 pep chromosome:OR_W1943:1:2750960:2756878:-1 gene:ORUFI01G04020 transcript:ORUFI01G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRSYLCMLEMMQPLKLALHTMGMWQLDRMIFHLQVEWHNIQGEFARGSSQIDNRTAAVKRKNPVIYPEYSINGDGYCAGSSSSTQFSNYPQPAPFSESLHRQMPPSVGPINWNDQSLVNQEGSQRNVRARHNFNNISLEPRPVHSTSNVSQSTSMKRNGPSFSTRMRTMPSGASGMHSGEMPYTMGSSNSSVPVPTLQGSSSSAIFASGVFAPRHVHGDTVPSYIHLPSVASSSSTAIPHEVIIPSYQPATSATTSTPMRASQPLPVRAVASSRHARNVLIGHANSGRNRRARSSYYGIQPLMIDAQQLIMMQQFALRESREAQDPHRAMRLDIDNMSYEDLLALGESIGNVCTGLVDEKISGCVREVIYCSSDEQQNDQDDGKCAICLEEYKDNSLLGILKCNHDFHTDCVKKWLKEKNSCPICKCLKKQSWSLNA >ORUFI01G04020.2 pep chromosome:OR_W1943:1:2751243:2756878:-1 gene:ORUFI01G04020 transcript:ORUFI01G04020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAYWTDCFMARHHGNHSLLPEMVQPNNEQLNQPLPLGQKLFVHAGNDAALKIGPSYHGNVAIRSNDLPSSSRVAQYSGHRVKNTGTLHNSYVHYPAGSSGGHVSYNPQTEPVITYPHRSEGEFARGSSQIDNRTAAVKRKNPVIYPEYSINGDGYCAGSSSSTQFSNYPQPAPFSESLHRQMPPSVGPINWNDQSLTSPFYFECFPKYINEKEWTILFYANENYAFRLHMYLNDYVGASGMHSGEMPYTMGSSNSSVPVPTLQGSSSSAIFASGVFAPRHVHGDTVPSYIHLPSVASSSSTAIPHEVIIPSYQPATSATTSTPMRASQPLPVRAVASSRHARNVLIGHANSGRNRRARSSYYGIQPLMIDAQQLIMMQQFALRESREAQDPHRAMRLDIDNMSYEDLLALGESIGNVCTGLVDEKISGCVREVIYCSSDEQQNDQDDGKCAICLEEYKDNSLLGILKCNHDFHTDCVKKWLKEKNSCPICKSAAA >ORUFI01G04020.3 pep chromosome:OR_W1943:1:2751243:2755406:-1 gene:ORUFI01G04020 transcript:ORUFI01G04020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVLIDSASAKAYWTDCFMARHHGNHSLLPEMVQPNNEQLNQPLPLGQKLFVHAGNDAALKIGPSYHGNVAIRSNDLPSSSRVAQYSGHRVKNTGTLHNSYVHYPAGSSGGHVSYNPQTEPVITYPHRSEGEFARGSSQIDNRTAAVKRKNPVIYPEYSINGDGYCAGSSSSTQFSNYPQPAPFSESLHRQMPPSVGPINWNDQSLTSPFYFECFPKYINEKEWTILFYANENYAFRLHMYLNDYVGASGMHSGEMPYTMGSSNSSVPVPTLQGSSSSAIFASGVFAPRHVHGDTVPSYIHLPSVASSSSTAIPHEVIIPSYQPATSATTSTPMRASQPLPVRAVASSRHARNVLIGHANSGRNRRARSSYYGIQPLMIDAQQLIMMQQFALRESREAQDPHRAMRLDIDNMSYEDLLALGESIGNVCTGLVDEKISGCVREVIYCSSDEQQNDQDDGKCAICLEEYKDNSLLGILKCNHDFHTDCVKKWLKEKNSCPICKSAAA >ORUFI01G04020.4 pep chromosome:OR_W1943:1:2751243:2756878:-1 gene:ORUFI01G04020 transcript:ORUFI01G04020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERAYWTDCFMARHHGNHSLLPEMVQPNNEQLNQPLPLGQKLFVHAGNDAALKIGPSYHGNVAIRSNDLPSSSRVAQYSGHRVKNTGTLHNSYVHYPAGSSGGHVSYNPQTEPVITYPHRSEGEFARGSSQIDNRTAAVKRKNPVIYPEYSINGDGYCAGSSSSTQFSNYPQPAPFSESLHRQMPPSVGPINWNDQSLVNQEGSQRNVRARHNFNNISLEPRPVHSTSNVSQSTSMKRNGPSFSTRMRTMPSGASGMHSGEMPYTMGSSNSSVPVPTLQGSSSSAIFASGVFAPRHVHGDTVPSYIHLPSVASSSSTAIPHEVIIPSYQPATSATTSTPMRASQPLPVRAVASSRHARNVLIGHANSGRNRRARSSYYGIQPLMIDAQQLIMMQQFALRESREAQDPHRAMRLDIDNMSYEDLLALGESIGNVCTGLVDEKISGCVREVIYCSSDEQQNDQDDGKCAICLEEYKDNSLLGILKCNHDFHTDCVKKWLKEKNSCPICKSAAA >ORUFI01G04020.5 pep chromosome:OR_W1943:1:2751243:2755406:-1 gene:ORUFI01G04020 transcript:ORUFI01G04020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVLIDSASAKAYWTDCFMARHHGNHSLLPEMVQPNNEQLNQPLPLGQKLFVHAGNDAALKIGPSYHGNVAIRSNDLPSSSRVAQYSGHRVKNTGTLHNSYVHYPAGSSGGHVSYNPQTEPVITYPHRSEGEFARGSSQIDNRTAAVKRKNPVIYPEYSINGDGYCAGSSSSTQFSNYPQPAPFSESLHRQMPPSVGPINWNDQSLVNQEGSQRNVRARHNFNNISLEPRPVHSTSNVSQSTSMKRNGPSFSTRMRTMPSGASGMHSGEMPYTMGSSNSSVPVPTLQGSSSSAIFASGVFAPRHVHGDTVPSYIHLPSVASSSSTAIPHEVIIPSYQPATSATTSTPMRASQPLPVRAVASSRHARNVLIGHANSGRNRRARSSYYGIQPLMIDAQQLIMMQQFALRESREAQDPHRAMRLDIDNMSYEDLLALGESIGNVCTGLVDEKISGCVREVIYCSSDEQQNDQDDGKCAICLEEYKDNSLLGILKCNHDFHTDCVKKWLKEKNSCPICKSAAA >ORUFI01G04030.1 pep chromosome:OR_W1943:1:2759400:2764548:-1 gene:ORUFI01G04030 transcript:ORUFI01G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQGDGREEDGAKVGLPALDISLAFPQATPASIFPPSASDYYQIDDLLTTEEQSIRKNVRAIMEKEIAPIMATYWEKAEFPFHAIPKLSSLGVAGGTIKGYGCPGLSITASAITMAEIARVDASCSTFILVHSSLAMVTIALCGSEVQKQKYLPSLAQLTAVGCWALTEPNHGSDASSLITTATKVPGGWHIDGQKRWIGNSTFADVLVVLARNANTKQLNGFIVRKGAPGLKATKIENKIGLRMVQNGDIVFNKVFVPEEDRLPGVNSFQDISKVLAISRVMVAWQPIGISMGVFDVCHRYLKERKQFGVPLVAFQLNQEKLVRMLGNIQAMLLVGWRLCKLYESGKMTPGHASLGKGWTSRMAREVVSLGRELLGGNGILADFLVAKAFCDLEPIYSYEGTYDINSLVTGREITGIASFKPAALAKARL >ORUFI01G04040.1 pep chromosome:OR_W1943:1:2770572:2770965:-1 gene:ORUFI01G04040 transcript:ORUFI01G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAEKAAELQDPEIRAELDRRARDDGKTVIKSGTGGKSLDAQERLAEGPRTTESGKERADDDTGAVLIEPDDKMLKEAKKNLGRK >ORUFI01G04050.1 pep chromosome:OR_W1943:1:2774700:2776515:1 gene:ORUFI01G04050 transcript:ORUFI01G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECQPLQLQEGKKLQELQPYDGCNPSVYRGPILLPRQANSAPPAVPPEMSSSSGSGRSATEARALKIHSEAERRRRERINAHLTTLRRMIPDTKQMDKATLLARVVDQVKDLKRKASEITQRTPLPPETNEVSIECFTGDAATAATTVAGNHKTLYIKASISCDDRPDLIAGITHAFHGLRLRTVRAEMTSLGGRVQHVFILCREEGIAGGVSLKSLKEAVRQALAKVASPELVYGSSHFQSKRQRILESHCSIMSI >ORUFI01G04060.1 pep chromosome:OR_W1943:1:2782228:2785830:-1 gene:ORUFI01G04060 transcript:ORUFI01G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTIGSVPTASDAAAPAPAANSAPREATLGRHLARRLAEVGARDVFTVPGDFNLTLLDELEAEAGAGHGGVRLVGCCNELNAAYAADGYARARGGGVGACAVTFTVGGLSAINAVAGAFSENLPVVCIVGGPNSNDYGSNRILHHTIGLPDFTQELRCFQTVTCYQAVVNNLEDAHEQIDAAISTAIKESKPVYISISCNLPSIPHPTFSRHPVPFFLSPRLSNQMNLEAAVETAAAFLNKAVKPVLVGGPKMRVAKACKSFVELADACGYPVAVMPSAKGLVPEHHPRFIGTYWGAVSTPFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVIGHGPAFGCVLMKDFLHALSARLKKNTAAYENYRRIYVPPGEPPLSEPGEPLRVNVLFQHIQKMLSANSAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAKAAKDKRVIACIGDGSFQVTAQEVSTMLRWGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGVVEAFHNGEGKCYTAKVRTEEELKEAIEEAQGPKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >ORUFI01G04070.1 pep chromosome:OR_W1943:1:2789391:2792354:-1 gene:ORUFI01G04070 transcript:ORUFI01G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPCLPDQAAALLRLKHSFNMTNKSECTLASWRAGTDCCRWEGVRCGVGIGVGHVTSLDLGECGLESAALDPALFELTSLRHLNLAWNNFSGSHIPTIGFERLTELTYLNLSNSKFAGQIPNTIGRLTNLISLDLSTDFFLIDLDDEFLSVATYSPAWLLVAPNIVSIVANLHNLKELYMGTIDLSSNSMVQWCSAFSNSTTPQLQVLSLPYCYLEVPICESLSGIRSLSEINLQYNFIHGPIPESFGDLPSLSVLSLTHNSLEGSFPSRIFQNKNLTSVDVRYNFELSGSLPKNISSNDILVDLLVSSTNFSGPIPNSVGNIKSLENLGVASSDFSQELPSSIGQLRSLNSLEITGAGVVGAVPSWIANLTSLTLLDFSNCGLSGKIPSAIGAIKNLKRLALYKCNFSGQIPQDLFNLTQLRVIYLQYNNFIGTLELSSFWKLPDLFSLNLSNNKLSVVDGEKNNSSWVSINYFYTLRLAYCNISNFPSALSLMPWVGNLDLSGNQIHGTIPQWAWETSSELFILNLSHNKFDNIGYNYLPFYLEIVDLSYNLFQGPIPITGPDTWLLDCSNNRFSSMPFNFSSQLSGMSYLMASRNNLSGEIPLSICDARDILLLDLSYNNLSGLIPLCLLEDINSLSVFNLKANQLHGELPRNIKKGCALEALDFSENMFEGQLPTSLVACRDLEVLDIGNNQISGGFPCWASMLPKLQVLVLKSNKFTGEVGSSAIEKDNTCEFANLRILDLASNNFSGTLHHKWLKRLKSMMETSSSATLLMQYQHNVHSTTYQFSTSIAYKGYEVTFTKILRTLVVIDVSDNALHGSIPKSIGELVLLRGLNMSHNALTGPIPSQLGALHELESLDLSSNDLSGEIPQELAQLHFLSVLNLSYNGLVGRIPDSPQFSNNLSYLGNIGLCGFPLSKECSNMTTPPSSHPSEEKHVDVILFLFVGLGVGIGFAVIIVVTWGIRIKKRSQDSRFPFWKKVLCM >ORUFI01G04080.1 pep chromosome:OR_W1943:1:2792527:2796903:-1 gene:ORUFI01G04080 transcript:ORUFI01G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPIAIGHHEPPPLLVLYLLIHLLLGFQQLCYSLGTHSNNRITAAMPVPCLPDQSAALLRLKRSFTITNDSQCTLASWRAGTDCCRWEGVRCGGANGDGRVRSLDLARCFLESAAIDPALFDLTSLRYLNLARFERLKHLTHLNLSDASIQGKIPVGIRHLTNLVSLDLSTTFYLIDQDDYYLSFGTWSDPSWWVVEPNIGSLVANLSSLRELYLGRVDLSDNGEDWCTALTNSSTPQLQVLSLRHCRLFGPICTSLSSIHSLTEINLQYNDLYGPVPDSFADLHFLRVLDLADNDLEGLFPKRILQNRNLTTVHISYNTNIYGSLPNFSPDSSLTTLIVSSTNFSGPIPSSIGNLKSLNELGVASNDFRQELPSSIGQLTSLKLLEATGAGIVGTIPSWIANLTSLVLLRFSNCGLSGPIPSSIGNLKNLTRLELYRCNFYGTISPHIFNLTHLKVMYLHSNNLTGTVELSSFWKLPHLFSLNLSGNRLTVVDGDVNSSHVNNMDILRLASCNMSKFPDALRHMSFIHYLDLSDNKIPGAIPQWAWETWSQLVLLNISHNKFSSVGCNALPVDIESVDLSFNQFEGPISSSPIN >ORUFI01G04090.1 pep chromosome:OR_W1943:1:2818742:2821800:1 gene:ORUFI01G04090 transcript:ORUFI01G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIILTQTPSVPRRLLLLLQLSFLLLLSSASNAVTPAGVPCRPDQAAALLRLKRSFAVTSNSVTAFRSWRAGTDCCGWEGVGCAAGAGANNGRAVTSLHLGDWGLESAGIDPALFELTSLEYLNLAYNNFGGSKIPSDGFVRLIRLTHLNLSSSGFTGQVPASIGNLTSLVSLDLSTYFMIVEIPDDAYETLISQTANSIWLIEPNFETFISKLTNLRDLHLGYVDMSNSGAQWCDALANSSPNLQVISLPFCSISGPICRSLSLLQSLAALNLQHNNLSGPIPDFLSNLSNLSVLRLNHNELEGWVSPAIFGQKNLVTIDLHHNLGISGILPNFSADSRLEELLVGQTNCSGLIPSSIGNLKFLKQLDLGASGFFGELPSSIAVVDGEYNSSVSLPQIVLLYLPGCSMSKFPIFLRHQYEINGLDLSDNEINGTIPHWAWETWNYISLLGLSGNRFTSVGYDPLLPLQVDLLDLSNNMLEGSIPIPRGSSTSLKYSNNGFSSMPSNFSAHLRDVTFFMADGNEISGNIPLEFCSAKSLQLLDLSYNNFNGSISSCLMDSVSTLQVLNLKGNELHGVLPDDIKEGCSFQALDISGNLIEGKLPRSLVACKNLEVFDVGFNQISDTFPCWMSTLPRLQVIALRSNKFFGQVAQSAVEKNSCEFPAARIIDLASNNFSGPLPQDQWFKKLKSMMIGYSNTSLVMDHEVPRVGRYKFSTTITYKGSAVTLTKILRTFVFIDVSENKFHGSIPGTIGELILLHALNMSHNFLTGPIPSQLGHLNQLEALDMSSNELSGVIPQELASLDFLAILNLSYNKLEGRIPPQSPHFSTFSSISFLGNKGLCGLPLSTGCSNTTSLNVIPSEKNPVDIVLFLSAGLGFGLGFAIAIVVAWGIPIRKRSTVRQRAL >ORUFI01G04100.1 pep chromosome:OR_W1943:1:2818762:2819235:-1 gene:ORUFI01G04100 transcript:ORUFI01G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGTCPVNPELERFRCVSLMRRTNPSEGIFDPPKDVTARPLLAPAPAAQPTPSQPQQSVPARHDRKAVTELLVTANERFSRSNAAAWSGRHGTPAGVTALLAELRRSRNESCRRSSRRRGTDGV >ORUFI01G04110.1 pep chromosome:OR_W1943:1:2824337:2827405:1 gene:ORUFI01G04110 transcript:ORUFI01G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYKLLFMTLLLLLLHTQLVVPSSSATSATYTNHTGVPPSAVPCMPDQASALLRLKRSFSITNKSVIAFRSWNAGEDCCRWEGVRCGGGGAAAAGGRVTWLDLGDRGLKSGHLDQVIFKLNSLEYLNLAGNDFNLSEIPFTGFERLSMLTHLNLSSSNFAGQVPVHSIGQLTNLISLDLSFRFKVTELFDMGYLYTGAYSHEWQLVLPNLTALVANLSNLEELRLGFLDLSHQEADWCNALGMYTQNLRVLSLPFCWLSSPICGSLSNLRSLSVIDMQFSGLTGRFPDFFANLSSLSVLQLSFNHLEGWVPPLIFQKKKLVAIDLHRNVGLSGTLPDFPVDSSLEILLVGHTNFSGTIPSFISNLKSLKKLGLDASGFSGELPSIIGTLRHLNSLQISGLEVVESFPKWITNLTSLEVLEFSNCGLHGTIPSSIADLTKLTKLALYACNLFGEIPRHIFNLTQLDTIFLHSNSFTGTVELASFLTLPNLFDLNLSHNKLTVINGESNSSLTSFPNIGYLGLSSCNMTRFPNILKHLNKNEVNGIDLSHNHIQGAIPHWAWENWKDAQFFFLNLSHNEFTRVGHTIFPFGVEMLDLSFNKFEGPIPLPQNSGTVLDYSNNRFSSIPPNISTQLRDTAYFKASRNNISGDIPTSFCSNKLQFLDLSFNFFSGSIPPCLIEVAGALQVLNLKQNQLHGELPHYFNESCTLEALDFSDNRIEGNLPRSIASCRKLEVLDIQNNHIADYFPCWMSAFPRLQVLVLKSNKFFGQVAPSVGEDSSCEFPSLCILDLASNKFSGTLSEEWFTRLKSMMIDSVNGTSVMEYKGDKKRVYQVTTVLTYKGSTMRIDKILRTFVFIDVSNNAFHGSVPKAIGELVLLNTLNMSHNSLTGPVPTQLSHLNQMEALDLSSNELSGVILQELASLHFLTTLNLSYNRLVGRIPESTQFSTFLNNSFLGNDGLCGPPLSKGCDNMTLNVTLSDRKSIDIVLFLFSGLGFGLGFAIAIVIAWGVPIRKWSLLGQRVP >ORUFI01G04120.1 pep chromosome:OR_W1943:1:2831621:2832469:-1 gene:ORUFI01G04120 transcript:ORUFI01G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNPLFTVTFNVSGSGSDNYGDFIAGIRKRVANPRHFSHNRPVLPPVEPDVPPRRWFHVVLRTQTSELTLATRADNLYLEGFRGSDGTSAWWELTRGLIAGATYLGFGGSYRELLGHTDNMVGVTLGPQQMTQAVDTLAGLAASGGGAARQRAGEALATLLLMVNEAVRFLTVAELVGGFMNPRAVRKSGTITADMKEQVNGWKVLSRALLTMDALQLEDSNSASKHNKVDTKKMEQEKKAWEAAEKLAVEAAKAVGILLFVEKVPAGMTKATALQLFHGN >ORUFI01G04130.1 pep chromosome:OR_W1943:1:2838131:2846635:1 gene:ORUFI01G04130 transcript:ORUFI01G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPVKTLFLPSLLLLHIHLLHLFIPSSAATYTNHTGALSPMVLCLPDQAAALLQLKRSFSVTNNSTAAFRSWKAGEDCCLWEGVRCGDADGRVTWLDLGDCGLESSRLDPALFELISLEYLNLGGNDFNVSEIPSTGFERLNNLTHLNLSTCNFAGQVPAQSIGQLTNLVSLDISFHSEIIEIWEDWREERWESRSDQRKGRRMGEWRTRLSQASRRRCFLPCSVAMAASICAGRRHRHQRWFRAQGRCRGGGGELLHNLHRHRFFVRPKHRSTSLEVFDGSYLFGGDFTHPWQLNLQNFTTLVANLSGLKELHLGFLDISEQQTDLPFCQLSSPIYESLSRLRSLSVIDLQYNFLTGPVPESFNNFSSLTVLQLRYNNLEGWVSPLIFQNKKLVVIDLHRNPVLSGTLPNFLVGSSLESLLVGHTNFSGTIPSSISNLKSFKELGLDASGFFGDLPSSIDLSFNMFEGPIPLPRDSGTVLDYSNNHFSSILPNISTQLRGTTYFKASRNNLSGNIPASFCTTNLQVLDLSYNFLSGSFPPCLMEDANVLQVLNLKQNQLHGELPHYINESCTIEAIDFSDNRIEGNLPRSLASCRKLEVLDIQNNQINDSFPCWMSVIPRLQVLILKSNKFFGQMTPTVAEESTCEFPSLRILDLASNNFSGTLKEEWFTRLKSMITDFGNETSVMEYEGDQKQIYQVTTVLTNKGSTIMMEKILRTFVFLDVSDNAFHGSIPKSMGELVLLHTLNMSHNSLTGPIPSQLGRLKQMEALDLSSNELSGVIPQELPSLDFLGMLNLSYNRLEGKIPESLHFSLFANSSFLGNDALCGPPLSKGCSNMTLPNVIPSEKKSVDVMLFLFSGIGFGLGFAIAIEHGEFPLEDATYTNHTGALPPAVPCLPDQASALLQLKRSFTITDDSTAAFRSWNAGKDCCRWEGVSCGDADGRVIWLDLGDCGLESNSLDPVLFKLTSLEYLNLGGNDFNESEIPSAGFERLSQVPVQSIGQLHSLVSLDISFSSDLIELFDHGYLINPWQMDLPNLTALVANLSSLRSLNVIDLQYNYLTGPIPEYFANLSSLSVLQLGYNKLEGWVSPSIFQNKKLVTIDLHRNPDLSGTLPNISADSSLESLLVGRTNFSGRIPSSISNIKSLKKLDLGASGFSGKLPSSIVRLDLSFNMFEGTIPLPQNSRFVLDYSNNRFSSIPTNISTQLGYTAYFKASRNNLSGEIPSSFCSNNIQVLDLSYNFFSGSIPSCLFEDANALKVLNLKQNQLHGELAHNINESCTLEALDFSDNRIEGNLPRSLVSCRKLEVLDIQNNQINDSFPCWMRVIPRLQVLILKSNKFFGQVTPTVAEESTCEFPSLRILDLASNNFSGTLSEAWFMRLKSMMIESTNETLVMEFEGDQQVYQVNIVLTYKGSAIAISKILRTFVFIDVSNNAFHGSIPESIGELVLLHALNMSHNSLTGPVPSPLGHLNQMEALDLSSNELSGVIPQELASLDFLGTLNLSYNMLEGKIPESPHFSLFSNSSFLGNDALCGPPLSKGCNNMTLLNVIPSQKKSVDVMLFLFSGIGFGLGFAIAIVIAWGFPIRRRSPARQRAL >ORUFI01G04140.1 pep chromosome:OR_W1943:1:2838235:2839558:-1 gene:ORUFI01G04140 transcript:ORUFI01G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIMQQLAAAATATALCPEPSLMAMTTAGADGGRHRDAAWQEATPPRGLRQPRPPLAHPPPLPLVRPRLPPLLPPVLHPRPLQLAAGPPGFPPRGPRRRREPWRGRPGTGRGRSDGSGGGGDGPSVYGAAGQGEEPAEAPACASMDATRLSSNAAGKRAGVGQDGFVRPGGMAVPAAAAKMAPPSKVQVKEEPVDSDMRTGWARYGQLFLRTKLPLVERLRWVRLFSRSNPVDGISDTLKSFPPRLRYSREISSKSAGSRRLLSKPQSPKSSHVTRPSASPQRTPSHRQQSSPAFHDRKAAVELFVTEKDLFSWSRAAAWSGKHRTIGERAPV >ORUFI01G04150.1 pep chromosome:OR_W1943:1:2842399:2844094:-1 gene:ORUFI01G04150 transcript:ORUFI01G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELANTLYRHLTCEIRRGEIKMGELTKPLEPGGWLRYSREVSLKSTGSRLLLSKPQSPKSSHITRPSASPQLTPSHRQQSLPAFHDRKAAVESSVMVKDRFNWSNADAWSGKHGTAGGRAPVWLVYVADDEEGMRRGLSASPETVF >ORUFI01G04160.1 pep chromosome:OR_W1943:1:2846876:2867713:-1 gene:ORUFI01G04160 transcript:ORUFI01G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEVDAPKALLPPRPAILVASAGQHLPRSKGKWYAPPSYGRSRRARPVRCPDAARRPSSRTPPEPEPPVGLVDLSPFACLRFTSSTPHPTSIPTTTPPAVHTPRSPIPSSSEVHCHLQLAGEKTRQVGTARWRPGGQGKSQSELILLLRCSPVRRCFCLPEEFGEPLKPETRKNGPVEIVTSKAEVSQSGEVEDRWATGALEIIVTEVQRCYLPRGCVAGDTLPVATVGALQLEKRFSLVRMAMNWDGGVGNSLDGAEGFRSSDGAWWEHTSGLTGAG >ORUFI01G04160.2 pep chromosome:OR_W1943:1:2846876:2866994:-1 gene:ORUFI01G04160 transcript:ORUFI01G04160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEPSRFSFHVTSIVLPEEFGEPLKPETRKNGPVEIVTSKAEVSQSGEVEDRWATGALEIIVTEVQRCYLPRGCVAGDTLPVATVGALQLEKRFSLVRMAMNWDGGVGNSLDGAEGFRSSDGAWWEHTSGLTGAG >ORUFI01G04160.3 pep chromosome:OR_W1943:1:2867054:2867713:-1 gene:ORUFI01G04160 transcript:ORUFI01G04160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEVDAPKALLPPRPAILVASAGQHLPRSKGKWYAPPSYGRSRRARPVRCPDAARRPSSRTPPEPEPPVGLVDLSPFACLRFTSSTPHPTSIPTTTPPAVHTPRSPIPMRSTATCNSPERKRDRLELQGGDLEVRASLSDHPVIVDALQERVNPAAPLLASAALLLPGDLI >ORUFI01G04170.1 pep chromosome:OR_W1943:1:2863509:2880329:1 gene:ORUFI01G04170 transcript:ORUFI01G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPQLLLILLLLTLYCSIQTVANTTIPVHCHPHQAEALLQLKSSFINPNLSSWKLNTDCCHWEGVTCDTSSGQVTALDLSYYNLQSPGGLDPAVFNLTTLRNLSLAGNDFNRTVLPSFGFQRLTKLLRLDLSEAGFFGQIPIGIAHLKNLRALDLSFNYLFFQEPSFQTIVANLSNLRELYLDQVRITSEPTWSVALAHSLPLLQNLSLSQCDLGGTIHRSFSQLRSLVVINLNYNGISGRVPEFFADFFFLSDLALSNNNFEGQFPTKIFQVENLRSLDVSFNPTLFVQLPDFPPGKYLESLNLQRTNFSGNMPASFIHLKSLKFLGLSNVGSPKQVATFIPSLPSLDTLWLSGSGIEKPLLSWIGTIKLRDLMLEGYNFSSPIPPWIRNCTSLESLVLFNCSFYGPIPSWIGNLTKLIYLELSLNSLSGRIPKLLFAHQSLEMLDLRSNQLSGHLEDISDPFSSLLEFIDLSYNHLTGYIPKSFFDLRRLTNLVLQSNQLNDREDGYPFHYFPTIKYLGLASCNLTKIPGALRDIKDRLNLSSNRLHGNVPIPLTTTRDGGVLLDYSSNSFSSITRDFGRYLRNVYYLSFSRNKISGHIPSSICTQRYLEVLDLSHNNFSGMVPSCLIQNGDVTILKLRENNFHGVLPKNIREGCMFQTIDLNSNRIIGKLPRSLSKCKSLEVLDMGNNQILDSFPSWLGNMSNLRVLILRSNQFYGSVGLPTESDATSKYFSGLQIIDLASNNLSGSLQSKWFENLETMMINSDQGDVLGIQGIYKGLYQNNMIVTFKGFDLMFTKILTTFKMIDLSNNDFNGAIPESIGKLIALHGLNMSRNSFTGRIPSKIGKLVQLESLDLSLNQLSEAIPQELASLTSLAILNLSYNNLTGQIPQGPQFLSFGNRSFEGNAGLCGRPLSKQCNYSGIEAARSPSSSRDSASPRTRTRQKQRRTGEQRSSRINSLLQRIHDDRMITETCPDLQVATLQFQPVAFSLRRVASGSGPHWNWGLGIGVYGRLVEWWWESKWGEASTRGSLDAIGGRKPVSKMISPKQQINILILLLCSYAIHSDTAAQHDTAVHCRPDQASSLLRLKASFIGTNLLPSWRAGSDCCHWEGVTCDMASGRPSFQTVMANLSNLRELHLDDVNILSSRSSWSLILADNTPQLEILSLSQCGISCSIHSSFSRLRSLKIIDLSVNWELNGKVPEFFAEISSLSILDISDNSFEGQFPTKIFHLKSLRTLDLSMNTDLSINLPEFLDGNNLETLSLTWTNLPYHTPSSFANLKSLKSLAISTTGTSKELLPSLIGELPSLKELEMWGSEWSMEKPVLSWVGNLKQLTDLTLGSYDFSQSTPSWIGNLTSLATLEMWGCNLSTSIPHQIGNLANLTSLRFEDCDFFGQKIPSWIGNFTKLRDLRIDNCGLSGPIPSTIGNLTQLEYLIIRSNDQLNGKIPQLLFTLSGLKYVEVIGNQLSGSLEDIPSPLTSSLSSIDLSDNQLSGPIPKSFFQLTNLNYLNLGSNKFIGSVELSSVWKLKNLDFLSLSNNLISLIDDEGETVSPSLPNIRYLHLASCKLTKIPGTLRYLDAISDLDLSSNQITGAIPRWIWENRTYQLNSLNLSHNMFTTVEQSPSLVNIAYLTYLDLSFNRLQGIIPIPVTTSSEIALDYSNNHFSSIVPNFGIYLENASYINFSNNKLSGNVPSSICNASKAIITDLSGNNYSGSVPACLTGSVNLSVLKLRDNQFHGVLPNNSREGCNLQSIDVNGNQIEGKLPRSLSYCQDLELLDAGNNQTVDSFPFWLGKLPNLRVLVLRSNKINGTIRGLKSGYQNSDYFTRLQIIDLASNHLSGNIHSEWFEHLQSMMNVTDDDQILEYRTKASIKSLYQDNTAFFDSAGVIGSLLEQALRGNPSTVNLSNLSCFVDLSYNNLTGRIPQGNQFGSFSSSSFEGNANLCGKPLSKQCDTPGSTSRNASATSETSSFWQDRLGVILLFFFSGLGFTVGFILAVWFQSFFHIERWTHKH >ORUFI01G04170.2 pep chromosome:OR_W1943:1:2879727:2897726:1 gene:ORUFI01G04170 transcript:ORUFI01G04170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQALMTSTPSGMWWRRRTTRGGNLSAAGGGGVGATIGASTQDSSKMMISTKQRLLTPILILLICCYSIVTAANNDTTVPCLPEQASSLLQLKNSFINNANLSSWRAGSDCCHWEGITCGMASGRVISLDLSELNLMSNRLDAALFNLTSLTNLNLASNYFWRAELPVSGFERLTDMIHLNFSHSNFYGQIPIGLACLMKLVTLDFSSNDGLYFDEPSFQTVMANMSNLRELHLDEIEIFGSTWSVVLADNIPQLEILSLFACRISGPIHSSFSRLRSLKVINLGYNFGLPSKVPEFCAELSSLSILEIAGNSFEGQFPTKIFHLKSLRTLDLSHNPNLSINLPEFPDGNNLETLGLAATNLSYHIPSSFANLKSLKRLGMSTARTSKELPSLLDKLPSLTELELQGSESGLEKAVLSWVGNLKQLTALELVSYDFSESAPSWIGNLTNLKFLWIWDCNFSGSIIPYQIGNLAKLETLDFRGCEFFGQQIPPWIGNFTKLANLEMDSCGFSGSIPSTIGNLTQLESLRITSNPQLNGKIPQSLFALPRLENVYLQENQLSGSLEEIPSPLTSSLLCIDLANNQLSGPIPKSLFHLTNLNYLILESNKFTGTVELSSVWKQKNLFVLSLSNNLISLIDDEGETVPPYLPNIKYLYLSSCNLTKIPGTLKYLDAVSLLDLSSNQITGAIPNWIWENWKGHLNSLNLSCNMFTTLEQSPSLVIVTYLTYLDLSFNRLQGSIPIPVTKSSEVALDYSNNYFSSIVPNFGIYLKNATYINFSKNKLSGHIPFSICNASKLVIVDISGNSFRGSVPQCLMESVNLIVLKLRDNQLNGVLPENSRERCNLQSIDVNGNQIEGKLPRSLSYCQYLDLLDAGNNQIADSFPFWLGILQNLRVLVLRSNKLIGTIRGLKGCHQNCNHFKRLQIIDLASNHFSGNINPEWFEHFQSMMENDNDEGQILEHTTNTKIALLYQDITVVNYKGGTLMFTKILTTFKVIDLSDNSFGGPIPKSLGKLVSLCGLNLSHNAFTGHIPSQLNSLTQLESLDLSWNKLSGEIPPELASLTSLAWLNLSYNNLTGRIPQGNQFGSFSNSSFEGNVNLCGRPLSKQCDTPCSTSPSASAPSYTNSFWQDRLGMILLFIFSGLGFTVGFILAVWFQSFCHIERWIHKHQ >ORUFI01G04180.1 pep chromosome:OR_W1943:1:2868277:2877937:-1 gene:ORUFI01G04180 transcript:ORUFI01G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMAALAVAAWAVRPTSPSCLRKVIRSTKPWGLDEETVVAPFVERLVSELASRATPASSSSAPPARPLATAPVPVASPPIAASTGGETIFVVVVPNTLASISFLRAARPTALRTRFPGRRFPSAVALLGSPASMRSSPAARVPRPPAGTTRNRWVAAAAGGGAARDGEGGSLASYSGKDQRVRGGGLAGIHGGEAKKGRGREMTERGEVKECRIETMAYQVQLTEVKGNDPAGGHVTGKKLDLGGNGRLCHAGLQYHYEWRKSTRGDSKKAGAENIRLEIQLDNCSVVNSLTFEFQKGSF >ORUFI01G04180.2 pep chromosome:OR_W1943:1:2877175:2877937:-1 gene:ORUFI01G04180 transcript:ORUFI01G04180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMAALAVAAWAVRPTSPSCLRKVIRSTKPWGLDEETVVAPFVERLVSELASRATPASSSSAPPARPLATAPVPVASPPIAASTGGETIFVVVVPNTLASISFLRAARPTALRTRFPGRRFPSAVALLGSPASMVEKLPGGEGAASAGGDNEEQMGRSGCWRRSSERWRGGIAG >ORUFI01G04190.1 pep chromosome:OR_W1943:1:2878230:2880658:-1 gene:ORUFI01G04190 transcript:ORUFI01G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSQEQRVRLSVGLLVASSSRSSSITTTRAPGVRGNNDWNQTANMKPTVNPKPEKKNKRITPTQC >ORUFI01G04190.2 pep chromosome:OR_W1943:1:2878707:2880658:-1 gene:ORUFI01G04190 transcript:ORUFI01G04190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSQEQRVRLSVGLLVASSSRSSSITTTRAPGVRGNNDWNQTANMKPTVNPKPEKKNKRITPSLSCQKLLA >ORUFI01G04200.1 pep chromosome:OR_W1943:1:2881398:2891740:-1 gene:ORUFI01G04200 transcript:ORUFI01G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSGRGVMGGGAKERRREKKRSLKVPMRINKSRDGAAKEQGAISQIHKNFKFVKLPIQEGADSEKSYDTSSKAVSCLRLPTQDNTAFSKPDSDPCNSSSVNEGSLSNKEGSSLEVRAVLIPKRFKDLRLANEDVEVDHICEPLKPRYWEFGSPKVIGSKVKSAASRRLLIKFNSLRSREMTLPEAIPHVMPSQWQQSDPARHDDKSLLWETRDGCVIVGCSDYGVTTNEENENGSEEALLGTEENVGPSKARGIKVKEKAIRGSRRPIGGFDKATQRSKKKKSDSNTSKCPVQAEVVTPSLPYTMMQIQGRSEIPTNYNHMQMPDYYHVEVTASYSARQIEADPTTKKNRQTDALHVVWLATTLLADGLAVAGQALLLASAFAGSLQGGGDYCPRAVARHRPRRWTDGLPRRWHFGGGVFTSDAAVISTIHKNTINTLAFVFDGEWRGMVSIRIG >ORUFI01G04200.2 pep chromosome:OR_W1943:1:2881398:2883591:-1 gene:ORUFI01G04200 transcript:ORUFI01G04200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQIQGRSEIPTNYNHMQMPDYYHVEVTASYSARQIEADPTTKKNRQTDALHVVWLATTLLADGLAVAGQALLLASAFAGSLQGGGDYCPRAVARHRPRRWTDGLPRRWHFGGGVFTSDAAVISTIHKNTINTLAFVFDGEWRGMVSIRIG >ORUFI01G04200.3 pep chromosome:OR_W1943:1:2885918:2886419:-1 gene:ORUFI01G04200 transcript:ORUFI01G04200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQVAHVCHDVEVDHICEPLKPRYWEFGSPKVIGSKVKVRLKSAASRRLLIKFNSLRSREMTLPEAIPHVMPSQWQQSDPARHDDKFALLMKEFLSCRREEACSGRQGTVVSLLAAVTME >ORUFI01G04210.1 pep chromosome:OR_W1943:1:2900360:2912269:-1 gene:ORUFI01G04210 transcript:ORUFI01G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAQEVCASRLLSFLSTRPQLSKELIGLQDKDLEFRKSMHPTREMTSNLIVLNVKYYQDTRLNASNLGEDTTESLTKPSTTDNLLFERSRFDKYGSLQNEGEQSVMSKTGPRRTILSKFPMPMEEARLKNFTLGEDTAESLTNPSTTDNLLFERSSEVALE >ORUFI01G04210.2 pep chromosome:OR_W1943:1:2899121:2912269:-1 gene:ORUFI01G04210 transcript:ORUFI01G04210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAQEVCASRLLSFLSTRPQLSKELIGLQDKDLEFRKSMHPTREMTSNLIVLNVKYYQDTRLNASNLGEDTTESLTKPSTTDNLLFERSRFDKYGSLQNELKNTKGGQTSEGFRSRETKLVRLPIPAGIWSVKLGGEMLRWVSSVSISNPVAGSCDALKSLPPRLRYLSDVRLKTAVSRPPLCRRRPPRSREVTRPPPPPSPSQRTPSHRQQSVPARHDRNAVVAENDRFSWSSAAAWSGKHGSELAIKEEEEDEYK >ORUFI01G04210.3 pep chromosome:OR_W1943:1:2900588:2912269:-1 gene:ORUFI01G04210 transcript:ORUFI01G04210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAQEVCASRLLSFLSTRPQLSKELIGLQDKDLEFRKSMHPTREMTSNLIVLNVKYYQDTRLNASNLGEDTTESLTKPSTTDNLLFERSRFDKYGSLQNEGEQSVMSKTGS >ORUFI01G04210.4 pep chromosome:OR_W1943:1:2895409:2899118:-1 gene:ORUFI01G04210 transcript:ORUFI01G04210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLVGLPEVTSWRVAAMRIENDYINDWNQTANMKPTVNPRPEKMNKRIMPSLSCQKLLATRLNLGIYENQHFLMHVE >ORUFI01G04220.1 pep chromosome:OR_W1943:1:2916793:2918494:-1 gene:ORUFI01G04220 transcript:ORUFI01G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPHSDNDDNAVHHAVSARSIRASHLLSRSLCSCPSWAAGATANNGYTTPSPSPPPPLQQHTPPAHSDKLLVRVEVANDNGYFLAELGETQVSDFYMGARASPDFKCNNTTNINYSSIEGAPLHDEGKRWANHDYYNIMYATGPLAFRPAIWPPKH >ORUFI01G04230.1 pep chromosome:OR_W1943:1:2921733:2927897:1 gene:ORUFI01G04230 transcript:ORUFI01G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTHRCLHSHKLPLLILLIAIVVILIQPYSIHAAANSSNTTIRCLTSQSSALLQLKSSFHDASSLSSWQPDTDCCRWEGVTCRMASGHVVVLDLSDGYLQSNGLHPALFNLTLLTNLALSGNDFMGAQLPDSGFERLSKLVSLDLSATNFAGQIPIGIGNLSSMLALDLSNNPNLYLSEPSFQTFIANLSNLRELYLDEMDLSSSGSTWSSDLAASAPQIQILSFMSCGLSGFIDPSFSRLRSLTMINLRLNVISGMVPEFFANLSFLTILELSGNAFEGQFPTKIFQLKRLQFIDLYWNDKLCVQLPEFLPGSRLEVLDLILTNRSNAIPASVVNLKYLKHLGLTTVEASMNSDILLIRELHWLEVLRLYGGSGQGKLVSFSWIGSLKHLTYLELGNYNFSGLMPSSIINLTNLTSLTLYNCSMSGPIPSWIGNLIQLNNLNFRSNNLNGTIPKSIFALPALQSLYLDSNQLSGHLEDIPIPSSSSVYDIDLSNNWLHGPIPKSFFCLPNLEYLNLESNHLTGIVELRPFWRLRSLYFLGFSNNKLSVIDGEDSPSQYLPKIQHLGLACCNLTKLPRILRHLYDILELDLSSNKIGGVIPGWIWEIWKDTLGSLDLSNNAFTSLENSPSLVTFTHLSHLNLSFNRLQGEIPIPAISLPYGVVVLDYSNNGFSSILRTFGRYLNKVAYINLSKNKLKGFVPISICSMTKLQFLYLSDNNFSGFVPSCLVEGRSLRVLNLRGNKFNGMLPKGIKEGCKLETIDLNSNQIEGRLPRTLSNCKSLELLDVSNNHILDLFPLWLGNLPKLRVLVLRSNQLYGTIKGLHNSDLTRDHFSSLQILDLANNTLSGQLPPKWFEKLKSMMANVDDGQVLEHQTNFSQGFIYRDIITITYKGFDMTFNRMLTTFKAIDFSNNSFVGVIPGTIGSLVSLHGLNMSHNNFTGAIPQQLGNLAQLESLDLSWNQLSGVIPHELTFLTSLAWLNLSNNNLTGRIPQSNQFLSFSNSSFEGNLGLCGRPLSKDCDSSGSITPNTEASSEDSSLWQDKVGVILLFVFAGLGFVVGFVLTIIFQLVCQMERLRCALGDVRRRHYRPLLGEAEAAGVRRMRETETEASSAARRGAELEQCEC >ORUFI01G04240.1 pep chromosome:OR_W1943:1:2924352:2926704:-1 gene:ORUFI01G04240 transcript:ORUFI01G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDMSKEMRAVHPIAIGLIVLSYHHVFRGNAPLSGCNVQYKNSKLGKIPQPQREQIEDVIVTNIEEFQTFAV >ORUFI01G04250.1 pep chromosome:OR_W1943:1:2928017:2933615:-1 gene:ORUFI01G04250 transcript:ORUFI01G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSHSQEVCIGGLLSSARSRETKLVRLPIPAGIWPVKLGGEMLRWVSSVSISNPVAGSCDPLKSLPPRLRYLSDVRLKTAVSRPPLCRLSAAESRCRPPRSREVTRPPPSSQRMPSQRQQSVPAGHDRNAVADAVVAENDRFSWSSAAAWSGKHGSELAIKIVAKVNLTKGKMPFFSSSGAAAAASGGASIPAAA >ORUFI01G04260.1 pep chromosome:OR_W1943:1:2929641:2932667:1 gene:ORUFI01G04260 transcript:ORUFI01G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRVAQREYEPLLLVLLLLLQTLIASSLPCLPDQAAALLQLKRSFSATTASATAFRSWPAGTDCCRWEGIRCDDGGGRVTSLDLGGRHLLSAADNLQSGGLDTAVFSLTSLRYLNLGGNDFNGSQLPATGFEMLTELTHLNISPPSFTGQIPAGIGSLTNLVSLDLSSRIYVVNQGDDGATVMSNLLPSWGFSRVNFENLIANLGNLRELYLGLVYMSNGGEGWCNALANSTPKIQVLSLPLCEISGPICQSLFSLRSLSVVDLQGNDLSGAIPEFFADLSSLSVLQLSRNKLDGFFPPRIFHNRKLTVIDIGYNYEIYGNLPNFPPNSSLIKLHVSGTKFSGYIPSSISNLTGLKELGLSANDFPTELPSFLGMLKSLSLFEVSGLGLVGSMPAWITNLTSLTELQFSHCDLSGSLPSSIGNLKNLRRLSLFKSNFSGNIPLQIFNLTQLHSLHLPLNNFVGIVELTSFWRLPYLSHLDLSNNKLSVVDGLVNDSAVSSPKVTLLRLASCNILKFPNALRHQDKIDFLDLSNNQIHGAIPPWAWETWKNLFFLDLSNNKFTSLGHDTLLPLDTRYINLSYNMFEGPIPIPEESTASQLDYSNNRFSSMPFDLIPYLAGALSLKVSMNNVSGEVPSTFCTVKSLQILDLSHNILNGSIPSCLMENSSTLKIINLRGNQLRGELPHNIKEDCAFEALDFGYNWIEGTLPKSLVACKNLVLLDVGNNQIRDSFPCWMHLLPKLQVLILKSNRFYGQLGPTLAKDEDSCELQDLRILDLASNNFSGILPGGWFIKLKSMMSVSSNETLVMKDGDTYGAFYHKPYIFTTRVTYKGLDLTFTKILKTLVLIDVSNNRFHGSIPETIATLSMLSSLNMSHNAITGPIPNQLASLHQLESLDLSSNKLSGEIPKKLASLDFLSTLNLSDNMLEGIIPESPHFLTLPNSSFIRNAGLCGPPLSNECSNKSTSNVMPHLSEEKSADVMLFLFVGLGFGVGFAIAIVVRKPCIGKYT >ORUFI01G04270.1 pep chromosome:OR_W1943:1:2934595:2935167:-1 gene:ORUFI01G04270 transcript:ORUFI01G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFLPLAILVFVSLMAASATANYGYTTPSPSPPPPPPQQYTPPAQSDKLLVKVEGMVYCQSCVQKNTHSLEGAKLLPKAEVSVICHDAKNRAIVRCRRAVADDNGYFRAELDETNVSDFYMGDPRKACYVRLRASPDFECNNPTNINYSSIEGAPLRDEGKRWADHDYYNIMYATGPLAFRPAICPPKH >ORUFI01G04280.1 pep chromosome:OR_W1943:1:2937243:2959211:-1 gene:ORUFI01G04280 transcript:ORUFI01G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLPLAILVSLLAAGATANNGYTTPSPPPPQQYTPPPPAHSDKLLVRVEGMVYCQSCAYRNTHSLNGAMPLPKAEVSVTCHDTKNRVMECKRAIADESGYFQTELGVTKVSDFFMGDPSKACHVRLQASPDFKCNNPTNINYSDIKGAPLRDEGKRWTGQGYDNRGSDVFGSGGSGRRKVERGSLAATAMAAFHPLAIIVFVSLLAAGVTANYGYTTPSPSPPPPPPQQQYTPPAHSDKLLVRVEGMVYCQSCAQRNTHSFEGAKPLPKAEVSVICHDAKNRVMVRCRRAVTDDNGYFRAELDETNVSDFYKSPTSTWVIRARRAMCGFGPRRTSNATTPQTSTTLALRVHRSVTRASGGLTMTTITSFCCKSNLIAMADFLPLAILVFVSLMSTGATANYGYTTPSPSPPPPPQQYTPPAHNDKLLVKVEGMVYCQSCMQRNTHSLEGAKPLPKAEVSVICHDAKNRAMVRCRRAVANDNGYFLAELDETKVSDFYMGDPRKACYVRLRASPDIECNNPTNINYSSIEGAPLRDEGKRWADHGYYNVIAMAAFHPLAVIVFVSLLAAGATANYGYTTPSPPPPPPPQQQHTPPAHSNKLLVKVEGMVYCQSCAQRNTHSLEGAKPLPKAEVSVICHDAKNRVMVRCHRAVANDNGYFLAELDETKVSDFYMGDPRKACYVRLRASPDFECNNPTNINYSSIEGAPLRDEGKRWADHDYYNVIAMVAFHPLAILVFVSLLAAGATANYGYTTPPPPPPPSQQYTPPAHSNKLLVKVEGMVYCQSCAQRNTHSLEGAKPLPKAEVSVICHDANNHVMVRCRRAVANDNGYFLAELDETKVSDFYMGDPRKACYVQLRGVLCASFGPRRILNATTLQISTTLALRVRRSVTRASGGLTMTTITSSMAAFQLPLAILVSLLATGATANYGYTTPSPSPPPPQQYTPPAHSDKLLVKVEGMVYCQSCAQRNTHSLEGAKPLPKAEVSVICHDANNRVMVRCRRAVANDNGYFLAELDETKVSDFYMGDPRKAWRAMCAASGVAGLRMQ >ORUFI01G04290.1 pep chromosome:OR_W1943:1:2946085:2946339:1 gene:ORUFI01G04290 transcript:ORUFI01G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSMWRYENEPPAEGISVASRGLGAPDLAPSLSSDQVERGQATTVGEGEQRRGGNRGRAAPPVMRVDGRGGGARGGGWEGEV >ORUFI01G04300.1 pep chromosome:OR_W1943:1:2959254:2967034:-1 gene:ORUFI01G04300 transcript:ORUFI01G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLHLAILVSLLAAGATANNGYTTPSPPPPPQHTPPPSPPPPAPAAHQSSDKVLVRVEGKVYCQSCEHRNSWSLDGARPLRGAEVSVTCRDAKNRAAWWRLAVADESGYFLAEFGVTRASDFLGADPRGACYARLLSSPDRKCDGLTNINAGMVGAPLRDEGKRWPGQGYDNVQYTPPAYSDKLLVRVEGMVYCQSCAYRNTHSLDGAVQLPKAEVSVTCHDAKNRVMECKRAIADESGYFLTELGVTKVSDFFMGDPRKACHVRLQASPDFKCNNPTNINYSSIEGAPLRDEDKRWTGQGYDNLPLAILVSLLAAGATANNGYTTPSLPPPPQQQYTPPPPAHSDKLLVRVEGMVYCQSCVYRNTHSLNGAMPLPKAEVSVTCHDAKNRVMECKRAIADESGYFQTELGVTKVSDFFMGDPSKACHVRLQASPEFKCNNPTNINYSSIEGASLRDEGKWWTGEGYDNVMLR >ORUFI01G04310.1 pep chromosome:OR_W1943:1:2968831:2974320:1 gene:ORUFI01G04310 transcript:ORUFI01G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYGSDDYRGGYGGRGRVGILRVVCVLASGSAPDWLVVFFVGGGGGGRGRGGGGGGGGGYGGGGVGGGYGGGGGGYGGGGGGYGGGGRGGGGGGGYGGGGGGGRGGGGGGGGRGGGGRGGGRDGDWVCPDPSCGNVNFARRTECNKCGAPSPAGGGGGGGGYNKSGGGGGGYNRGGGDFSSGGGGGYNRGGGDYNSGGRGGGTGGGGRGGGYNRGGGDDRGFDDHRGGRGGYGGRDQGNNQRGDESGYDAGSYGQVPPQGPPSYGGPGGDYAAPPSSYGGNNAYNSDSAVPPPSSYGGGPGSYPPSYGAPPPNPPYSGGAPGGQGSLPPSYDGGYGGRPMPGGGGPGAPPPYHGGGGGGGGGGGGGGYTGSAAPEPAAKVKQCDANCDETCDNARIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKNKGDACLAYEDPSAAHSAGGFYNNYEMRGYKISVAMAEKSAPRAPAYGHGGGRGGYGGGRRDNFRDGGGHGPNRHQGGGSRSRPY >ORUFI01G04310.2 pep chromosome:OR_W1943:1:2968831:2974320:1 gene:ORUFI01G04310 transcript:ORUFI01G04310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYGSDDYRGGYGGRGRVGILRVVCVLASGSAPDWLVVFFVGGGGGGRGRGGGGGGGGGYGGGGVGGGYGGGGGGYGGGGGGYGGGGRGGGGGGGYGGGGGGGRGGGGGGGGRGGGGRGGGRDGDWVCPDPSCGNVNFARRTECNKCGAPSPAGGGGGGGGYNKSGGGGGGYNRGGGDFSSGGGGGYNRGGGDYNSGGRGGGTGGGGRGGGYNRGGGDDRGFDDHRGGRGGYGGRDQGNNQRGDESGYDAGSYGQVPPQGPPSYGGPGGDYAAPPSSYGGNNAYNSDSAVPPPSSYGGGPGSYPPSYGAPPPNPPYSGGAPGGQGSLPPSYDGGYGGRPMPGGGGPGAPPPYHGGGGGGGGGGGGGGYTGSAAPEPAAKVKQCDANCDETCDNARIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKNKGDACLAYEDPSAAHSAGGFYNNYEMRGYKISVAMAEKSAPRAPAYGHGGGRGGYGGGRRDNFRDGGGHGPNRHQGGGSRSRPY >ORUFI01G04310.3 pep chromosome:OR_W1943:1:2968831:2974320:1 gene:ORUFI01G04310 transcript:ORUFI01G04310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYGSDDYRGGYGGRGRVGILRVVCVLASGSAPDWLVVFFVGGGGGGRGRGGGGGGGGGYGGGGVGGGYGGGGGGYGGGGGGYGGGGRGGGGGGGYGGGGGGGRGGGGGGGGRGGGGRGGGRDGDWVCPDPSCGNVNFARRTECNKCGAPSPAGGGGGGGGYNKSGGGGGGYNRGGGDFSSGGGGGYNRGGGDYNSGGRGGGTGGGGRGGGYNRGGGDDRGFDDHRGGRGGYGGRDQGNNQRGDESGYDAGSYGQVPPQGPPSYGGPGGDYAAPPSSYGGNNAYNSDSAVPPPSSYGGGPGSYPPSYGAPPPNPPYSGGAPGGQGSLPPSYDGGYGGRPMPGGGGPGAPPPYHGGGGGGGGGGGGGGYTGSAAPEPAAKVKQCDANCDETCDNARIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKNKGDACLAYEDPSAAHSAGGFYNNYEMRGYKISVAMAEKSAPRAPAYGHGGGRGGYGGGRRDNFRDGGGHGPNRHQGGGSRSRPY >ORUFI01G04310.4 pep chromosome:OR_W1943:1:2968831:2974320:1 gene:ORUFI01G04310 transcript:ORUFI01G04310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYGSDDYRGGYGGRGRVGILRVVCVLASGSAPDWLVVFFVGGGGGGRGRGGGGGGGGGYGGGGVGGGYGGGGGGYGGGGGGYGGGGRGGGGGGGYGGGGGGGRGGGGGGGGRGGGGRGGGRDGDWVCPDPSCGNVNFARRTECNKCGAPSPAGGGGGGGGYNKSGGGGGGYNRGGGDFSSGGGGGYNRGGGDYNSGGRGGGTGGGGRGGGYNRGGGDDRGFDDHRGGRGGYGGRDQGNNQRGDESGYDAGSYGQVPPQGPPSYGGPGGDYAAPPSSYGGNNAYNSDSAVPPPSSYGGGPGSYPPSYGAPPPNPPYSGGAPGGQGSLPPSYDGGYGGRPMPGGGGPGAPPPYHGGGGGGGGGGGGGGYTGSAAPEPAAKVKQCDANCDETCDNARIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKNKGDACLAYEDPSAAHSAGGFYNNYEMRGYKISVAMAEKSAPRAPAYGHGGGRGGYGGGRRDNFRDGGGHGPNRHQGGGSRSRPY >ORUFI01G04310.5 pep chromosome:OR_W1943:1:2968831:2974326:1 gene:ORUFI01G04310 transcript:ORUFI01G04310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYGSDDYRGGYGGRGRVGILRVVCVLASGSAPDWLVVFFVGGGGGGRGRGGGGGGGGGYGGGGVGGGYGGGGGGYGGGGGGYGGGGRGGGGGGGYGGGGGGGRGGGGGGGGRGGGGRGGGRDGDWVCPDPSCGNVNFARRTECNKCGAPSPAGGGGGGGGYNKSGGGGGGYNRGGGDFSSGGGGGYNRGGGDYNSGGRGGGTGGGGRGGGYNRGGGDDRGFDDHRGGRGGYGGRDQGNNQRGDESGYDAGSYGQVPPQGPPSYGGPGGDYAAPPSSYGGNNAYNSDSAVPPPSSYGGGPGSYPPSYGAPPPNPPYSGGAPGGQGSLPPSYDGGYGGRPMPGGGGPGAPPPYHGGGGGGGGGGGGGGYTGSAAPEPAAKVKQCDANCDETCDNARIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKNKGDACLAYEDPSAAHSAGGFYNNYEMRGYKISVAMAEKSAPRAPAYGHGGGRGGYGGGRRDNFRDGGGHGPNRHQGGGSRSRPY >ORUFI01G04320.1 pep chromosome:OR_W1943:1:2974721:2977916:-1 gene:ORUFI01G04320 transcript:ORUFI01G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSLAAAAARKRALTEQRFSELSPALSPEVVKALKGGGFRRCTPVQAAAIPLLLSHKDVAVDAATGSGKTLAFVVPVVEILRRRPSPPKPHEVLGIIISPTRELSSQIYNVAQPFFATLKGVSSMLLVGGFDIKAELKKLEEEGANILVGTPGKLFDVMERLDTLNYKNLEILILDEADRLLDLGFQKQITSIISKLPKLRRTGLFSATQTEAVKELAKAGLRNPVRVEVKTEVKPTGKDGAQQELGPSKTPLGLRLEYMICEASNKSSQLVDFLVQNNGKKIMVYFATCACVDYWAIVLPLLDSLKGSPIIPYHGKMKQGPREKALASFSALSSGILVCTDVAARGLDIPHVDLIVQYDPPQDPNVFIHRAGRTARYDQEGDAIVFLLPKEDTYVEFLKRRGVPLTERECSTNAVDIVPQIRSAALEDRNVMEKGLTAFVSFVRAYKEHHCSYIFSWKDLEIGRLGMEYGLLQIPSMPEVKHHSLSLEGFTPVKDVDVTKIKYKDKAREKQRQKTLKRKAEELALRPEIEKRRKAPEKPEKPKRKKTGKQRQAVQTKEDMDELANEYRLLKKLKRGVIDEDEYEKLTGFGESDDDDSSDGGDSDLDERKERGNKVLKKIKQKGKAKGSRRFEGRSKQKTRRR >ORUFI01G04330.1 pep chromosome:OR_W1943:1:2978989:2981709:-1 gene:ORUFI01G04330 transcript:ORUFI01G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTATRLSPPRLHAPTTPSPHLPLRRSRFSPLRAAKLEAVLTIGTHLIPHPRKAETGGEDAFFVNGDDGGVFAVADGVSGWAEKDVNPALFSRELMAHTSTFLKDEEVNHDPQLLLMKAHAATTSVGSATVIIAMLEKTGILKIASVGDCGLKVIRKGQVMFSTCPQEHYFDCPYQLSSEAIGQTYLDALVCTVNLMEGDMIVSGSDGFFDNIFDQEIVSVISESPGVDEAAKALAELARKHSVDVTFDSPYSMEARSRGFDVPSWKKFIGGKLIGGKMDDITVIVAQVKAVMIPDDEGVDEEKGQGDEQGSAVAVASSEQKEDSITT >ORUFI01G04340.1 pep chromosome:OR_W1943:1:2981967:2989723:1 gene:ORUFI01G04340 transcript:ORUFI01G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLSMRSSMSMRSRRDLPPPQQTIEKLENMVAEGNYYEAQQMYKSTGARYIAAQKYLEALDILQSGALVQLKHGQVTCGGELAIMFVDTLVKAALPYNEETFDRIRKMYDAFPRISVPHFLGDDYDDDGQKLSEAISAAKVRSESCSSFLRAAIRWSAEVGASRSGSPELHVMLAEYIYSESPETDMTKVSSHFVRGNDPKKFASMLANFMGKCYPGEDDTAIARGVLMYLSQGNLRDANLLMDELKDQLKSADLEIPKTDLIQFIKYLLPTLERDAYPLFRTLRQKYKTSTDRDPVFEELLDEIAAKFYGIRSQSALEGLFGDMFRV >ORUFI01G04340.2 pep chromosome:OR_W1943:1:2981967:2989723:1 gene:ORUFI01G04340 transcript:ORUFI01G04340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLSMRSSMSMRSRRDLPPPQQTIEKLENMVAEGNYYEAQQMYKSTGARYIAAQKYLEALDILQSGALVQLKHGQVTCGGELAIMFVDTLVKAALPYNEETFDRIRKMYDAFPRISVPHFLGDDYDDDGQKLSEAISAAKVRSESCSSFLRAAIRVEILCLFNCYSLMPLWFAKVRVDCRWMQDMTKVSSHFVRGNDPKKFASMLANFMGKCYPGEDDTAIARGVLMYLSQGNLRDANLLMDELKDQLKSADLEIPKTDLIQFIKYLLPTLERDAYPLFRTLRQKYKTSTDRDPVFEELLDEIAAKFYGIRSQSALEGLFGDMFRV >ORUFI01G04350.1 pep chromosome:OR_W1943:1:2989650:3000742:-1 gene:ORUFI01G04350 transcript:ORUFI01G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRWQVWGKPDGSLVWVPASDGPPPPAAAASEAPLPRPDPQPAATELGEARSGDAIPEGPGAADGCSVPSMADLFNQALDKLVAADGMAEAIEDSGKGAVFCTGLGGSVAVSERAVERAKALVGEVAEEISNERRQPFGDGSNLECGLGESNVSFKGGVHKDSLSPMFQTGSGKMVSLSKGSIQKARAVLEGNAENSSVIAVQSMFHTGLVRPDPVSRSSTDNAMTVLEGQTNPKQGDVADVYDKENFPLFQTGSGKAVSVSVASIQKAKAVLEQNNTENTEDFGRPDQSLIFQTGSRRPVLISERSSSVVKDGGAENIVFQTGLGRPVVVSQTSIQKARTVLDQECAKRSGHGDTNVSTTTFQTETPTSVLMSGGLTMNDRSVTPEGGVSMQGNFLEADGHLPLFQTGLGRSISVSKGSIKRASALLEPRNITKELEDEAHSDDGCATPMFKTGSGRSITASENSRKKAHVVLEGEEPVKNVNNDTGEAIAPMLHAGMQKFAPQNRNSSHKAITLMEQGSSMKEEYIDRGNEPPMFRTGSGKSVLISHSSVQKARAVLEEEGNMKKENHKQLSNVDRYIPIFTSPLKTSYARTVHISSVGVSRAATLLGLEENTLSTQLLGHVGDKLGTKITVERENSEHQFGVASVSGISGGCPISSGPAENQVLMDPHQHFAFSKTTFSDSSEQAIRFSTAGGRTMAISSDALQRAKNLLGESDLEVSPNNLLGHSSASACKENIQNSTGLRKEGEPDLLKSRGNSKTEPAQFSIPAKPDRKHTDSLEYAVPDATLANGNSVRLHAARDFHPINEIPKISKPSSRCSFGTENASDTKDKARRLQMPSGPLIDITNYIGTHSVNTDYLAGEKRRFGGRNSISPFKRPRSSRLIPVEQSYLHAILFNIKENRTEDVTDEVKLMDAKKAEKYKFKTDTGAEEFQKMLLACGASLTYTTKEWVSNHYKWIVWKLASLERCYPTRAAGKFLKVGNVLEELKYRYDREVNNGHRSAIKKILEGNASPSLMMVLCISAIYSCPDLNNSKPEDDRAHTDDDNSENKSLRPAKRNMSTKIELTDGWYSLDASLDLALLEQLEKRKLFIGQKLRIWGASLCGWAGPVSFHEASGTVKLMIHINGTYRARWDETLGLCKHAGVPLAFKCIKASGGRVPRTLVGVTRIYPVMYRERFSDGRFVVRSERMERKALQLYHQRVSKIAEDIQSEHGEHCDNTDDNDEGAKICKMLERAAEPEILMSSMNSEQLLSFSYYQEKQKIVRQNEVAKKVENALKVAGLSSRDVTPFLKVRVTGLISKHSATKSGCREGLITIWNPTEKQKSDLVEGQIYSVTGLLASSYFTEVSYLSGRGSSTAWTPLATAQTTNFEPFFTPRKAVELSHFGEVPLTSEFDIAGVILYVGNVYLLNNQNRQWLFLTDGSKFISGEKYEEQDDCLLAVSFSSKTTGEDSAFFNYALSGHIVGFSNLVKRDKDQMRHVWVAEATESSTYSLSHEIPKKSHLKEAATSAEKWASNSHPMIQHLKERVLQIVGDSGRDWHVEGQISGFGHEISCWRGNG >ORUFI01G04350.2 pep chromosome:OR_W1943:1:2989650:3000742:-1 gene:ORUFI01G04350 transcript:ORUFI01G04350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRWQVWGKPDGSLVWVPASDGPPPPAAAASEAPLPRPDPQPAATELGEARSGDAIPEGPGAADGCSVPSMADLFNQALDKLVAADGMAEAIEDSGKGAVFCTGLGGSVAVSERAVERAKALVGEVAEEISNERRQPFGDGSNLECGLGESNVSFKGGVHKDSLSPMFQTGSGKMVSLSKGSIQKARAVLEGNAENSSVIAVQSMFHTGLVRPDPVSRSSTDNAMTVLEGQTNPKQGDVADVYDKENFPLFQTGSGKAVSVSVASIQKAKAVLEQNNTENTEDFGRPDQSLIFQTGSRRPVLISERSSSVVKDGGAENIVFQTGLGRPVVVSQTSIQKARTVLDQECAKRSGHGDTNVSTTTFQTETPTSVLMSGGLTMNDRSVTPEGGVSMQGNFLEADGHLPLFQTGLGRSISVSKGSIKRASALLEPRNITKELEDEAHSDDGCATPMFKTGSGRSITASENSRKKAHVVLEGEEPVKNVNNDTGEAIAPMLHAGMQKFAPQNRNSSHKAITLMEQGSSMKEEYIDRGNEPPMFRTGSGKSVLISHSSVQKARAVLEEEGNMKKENHKQLSNVDRYIPIFTSPLKTSYARTVHISSVGVSRAATLLGLEENTLSTQLLGHVGDKLGTKITVERENSEHQFGVASVSGISGGCPISSGPAENQVLMDPHQHFAFSKTTFSDSSEQAIRFSTAGGRTMAISSDALQRAKNLLGESDLEVSPNNLLGHSSASACKENIQNSTGLRKEGEPDLLKSRGNSKTEPAQFSIPAKPDRKHTDSLEYAVPDATLANGNSVRLHAARDFHPINEIPKISKPSSRCSFGTENASDTKDKARRLQMPSGPLIDITNYIGTHSVNTDYLAGEKRRFGGRNSISPFKRPRSSRCTIFFTLLTYNSCDQTEDVTDEVKLMDAKKAEKYKFKTDTGAEEFQKMLLACGASLTYTTKEWVSNHYKWIVWKLASLERCYPTRAAGKFLKVGNVLEELKYRYDREVNNGHRSAIKKILEGNASPSLMMVLCISAIYSCPDLNNSKPEDDRAHTDDDNSENKSLRPAKRNMSTKIELTDGWYSLDASLDLALLEQLEKRKLFIGQKLRIWGASLCGWAGPVSFHEASGTVKLMIHINGTYRARWDETLGLCKHAGVPLAFKCIKASGGRVPRTLVGVTRIYPVMYRERFSDGRFVVRSERMERKALQLYHQRVSKIAEDIQSEHGEHCDNTDDNDEGAKICKMLERAAEPEILMSSMNSEQLLSFSYYQEKQKIVRQNEVAKKVENALKVAGLSSRDVTPFLKVRVTGLISKHSATKSGCREGLITIWNPTEKQKSDLVEGQIYSVTGLLASSYFTEVSYLSGRGSSTAWTPLATAQTTNFEPFFTPRKAVELSHFGEVPLTSEFDIAGVILYVGNVYLLNNQNRQWLFLTDGSKFISGEKYEEQDDCLLAVSFSSKTTGEDSAFFNYALSGHIVGFSNLVKRDKDQMRHVWVAEATESSTYSLSHEIPKKSHLKEAATSAEKWASNSHPMIQHLKERVLQIVGDSGRDWHVEGQISGFGHEISCWRGNG >ORUFI01G04350.3 pep chromosome:OR_W1943:1:2989650:3000742:-1 gene:ORUFI01G04350 transcript:ORUFI01G04350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRWQVWGKPDGSLVWVPASDGPPPPAAAASEAPLPRPDPQPAATELGEARSGDAIPEGPGAADGCSVPSMADLFNQALDKLVAADGMAEAIEDSGKGAVFCTGLGGSVAVSERAVERAKALVGEVAEEISNERRQPFGDGSNLECGLGESNVSFKGGVHKDSLSPMFQTGSGKMVSLSKGSIQKARAVLEGNAENSSVIAVQSMFHTGLVRPDPVSRSSTDNAMTVLEGQTNPKQGDVADVYDKENFPLFQTGSGKAVSVSVASIQKAKAVLEQNNTENTEDFGRPDQSLIFQTGSRRPVLISERSSSVVKDGGAENIVFQTGLGRPVVVSQTSIQKARTVLDQECAKRSGHGDTNVSTTTFQTETPTSVLMSGGLTMNDRSVTPEGGVSMQGNFLEADGHLPLFQTGLGRSISVSKGSIKRASALLEPRNITKELEDEAHSDDGCATPMFKTGSGRSITASENSRKKAHVVLEGEEPVKNVNNDTGEAIAPMLHAGMQKFAPQNRNSSHKAITLMEQGSSMKEEYIDRGNEPPMFRTGSGKSVLISHSSVQKARAVLEEEGNMKKENHKQLSNVDRYIPIFTSPLKTSYARTVHISSVGVSRAATLLGLEENTLSTQLLGHVGDKLGTKITVERENSEHQFGVASVSGISGGCPISSGPAENQVLMDPHQHFAFSKTTFSDSSEQAIRFSTAGGRTMAISSDALQRAKNLLGESDLEVSPNNLLGHSSASACKENIQNSTGLRKEGEPDLLKSRGNSKTEPAQFSIPAKPDRKHTDSLEYAVPDATLANGNSVRLHAARDFHPINEIPKISKPSSRCSFGTENASDTKDKARRLQMPSGPLIDITNYIGTHSVNTDYLAGEKRRFGGRNSISPFKRPRSSRFIAPININNPSPSDEVKLMDAKKAEKYKFKTDTGAEEFQKMLLACGASLTYTTKEWVSNHYKWIVWKLASLERCYPTRAAGKFLKVGNVLEELKYRYDREVNNGHRSAIKKILEGNASPSLMMVLCISAIYSCPDLNNSKPEDDRAHTDDDNSENKSLRPAKRNMSTKIELTDGWYSLDASLDLALLEQLEKRKLFIGQKLRIWGASLCGWAGPVSFHEASGTVKLMIHINGTYRARWDETLGLCKHAGVPLAFKCIKASGGRVPRTLVGVTRIYPVMYRERFSDGRFVVRSERMERKALQLYHQRVSKIAEDIQSEHGEHCDNTDDNDEGAKICKMLERAAEPEILMSSMNSEQLLSFSYYQEKQKIVRQNEVAKKVENALKVAGLSSRDVTPFLKVRVTGLISKHSATKSGCREGLITIWNPTEKQVRPFFTPRKAVELSHFGEVPLTSEFDIAGVILYVGNVYLLNNQNRQWLFLTDGSKFISGEKYEEQDDCLLAVSFSSKTTGEDSAFFNYALSGHIVGFSNLVKRDKDQMRHVWVAEATESSTYSLSHEIPKKSHLKEAATSAEKWASNSHPMIQHLKERVLQIVGDSGRDWHVEGQISGFGHEISCWRGNG >ORUFI01G04350.4 pep chromosome:OR_W1943:1:2989650:3000742:-1 gene:ORUFI01G04350 transcript:ORUFI01G04350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRWQVWGKPDGSLVWVPASDGPPPPAAAASEAPLPRPDPQPAATELGEARSGDAIPEGPGAADGCSVPSMADLFNQALDKLVAADGMAEAIEDSGKGAVFCTGLGGSVAVSERAVERAKALVGEVAEEISNERRQPFGDGSNLECGLGESNVSFKGGVHKDSLSPMFQTGSGKMVSLSKGSIQKARAVLEGNAENSSVIAVQSMFHTGLVRPDPVSRSSTDNAMTVLEGQTNPKQGDVADVYDKENFPLFQTGSGKAVSVSVASIQKAKAVLEQNNTENTEDFGRPDQSLIFQTGSRRPVLISERSSSVVKDGGAENIVFQTGLGRPVVVSQTSIQKARTVLDQECAKRSGHGDTNVSTTTFQTETPTSVLMSGGLTMNDRSVTPEGGVSMQGNFLEADGHLPLFQTGLGRSISVSKGSIKRASALLEPRNITKELEDEAHSDDGCATPMFKTGSGRSITASENSRKKAHVVLEGEEPVKNVNNDTGEAIAPMLHAGMQKFAPQNRNSSHKAITLMEQGSSMKEEYIDRGNEPPMFRTGSGKSVLISHSSVQKARAVLEEEGNMKKENHKQLSNVDRYIPIFTSPLKTSYARTVHISSVGVSRAATLLGLEENTLSTQLLGHVGDKLGTKITVERENSEHQFGVASVSGISGGCPISSGPAENQVLMDPHQHFAFSKTTFSDSSEQAIRFSTAGGRTMAISSDALQRAKNLLGESDLEVSPNNLLGHSSASACKENIQNSTGLRKEGEPDLLKSRGNSKTEPAQFSIPAKPDRKHTDSLEYAVPDATLANGNSVRLHAARDFHPINEIPKISKPSSRCSFGTENASDTKDKARRLQMPSGPLIDITNYIGTHSVNTDYLAGEKRRFGGRNSISPFKRPRSSRLIPVEQSYLHAILFNIKENRTEDVTDEVKLMDAKKAEKYKFKTDTGAEEFQKMLLACGASLTYTTKEWVSNHYKWIVWKLASLERCYPTRAAGKFLKVGNVLEELKYRYDREVNNGHRSAIKKILEGNASPSLMMVLCISAIYSCPDLNNSKPEDDRAHTDDDNSENKSLRPAKRNMSTKIELTDGWYVVAFSVLHELKLKDVRTFSFSQIWGASLCGWAGPVSFHEASGTVKLMIHINGTYRARWDETLGLCKHAGVPLAFKCIKASGGRVPRTLVGVTRIYPVMYRERFSDGRFVVRSERMERKALQLYHQRVSKIAEDIQSEHGEHCDNTDDNDEGAKICKMLERAAEPEILMSSMNSEQLLSFSYYQEKQKIVRQNEVAKKVENALKVAGLSSRDVTPFLKVRVTGLISKHSATKSGCREGLITIWNPTEKQVRPFFTPRKAVELSHFGEVPLTSEFDIAGVILYVGNVYLLNNQNRQWLFLTDGSKFISGEKYEEQDDCLLAVSFSSKTTGEDSAFFNYALSGHIVGFSNLVKRDKDQMRHVWVAEATESSTYSLSHEIPKKSHLKEAATSAEKWASNSHPMIQHLKERVLQIVGDSGRDWHVEGQISGFGHEISCWRGNG >ORUFI01G04350.5 pep chromosome:OR_W1943:1:2989650:3000742:-1 gene:ORUFI01G04350 transcript:ORUFI01G04350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRWQVWGKPDGSLVWVPASDGPPPPAAAASEAPLPRPDPQPAATELGEARSGDAIPEGPGAADGCSVPSMADLFNQALDKLVAADGMAEAIEDSGKGAVFCTGLGGSVAVSERAVERAKALVGEVAEEISNERRQPFGDGSNLECGLGESNVSFKGGVHKDSLSPMFQTGSGKMVSLSKGSIQKARAVLEGNAENSSVIAVQSMFHTGLVRPDPVSRSSTDNAMTVLEGQTNPKQGDVADVYDKENFPLFQTGSGKAVSVSVASIQKAKAVLEQNNTENTEDFGRPDQSLIFQTGSRRPVLISERSSSVVKDGGAENIVFQTGLGRPVVVSQTSIQKARTVLDQECAKRSGHGDTNVSTTTFQTETPTSVLMSGGLTMNDRSVTPEGGVSMQGNFLEADGHLPLFQTGLGRSISVSKGSIKRASALLEPRNITKELEDEAHSDDGCATPMFKTGSGRSITASENSRKKAHVVLEGEEPVKNVNNDTGEAIAPMLHAGMQKFAPQNRNSSHKAITLMEQGSSMKEEYIDRGNEPPMFRTGSGKSVLISHSSVQKARAVLEEEGNMKKENHKQLSNVDRYIPIFTSPLKTSYARTVHISSVGVSRAATLLGLEENTLSTQLLGHVGDKLGTKITVERENSEHQFGVASVSGISGGCPISSGPAENQVLMDPHQHFAFSKTTFSDSSEQAIRFSTAGGRTMAISSDALQRAKNLLGESDLEVSPNNLLGHSSASACKENIQNSTGLRKEGEPDLLKSRGNSKTEPAQFSIPAKPDRKHTDSLEYAVPDATLANGNSVRLHAARDFHPINEIPKISKPSSRCSFGTENASDTKDKARRLQMPSGPLIDITNYIGTHSVNTDYLAGEKRRFGGRNSISPFKRPRSSRCTIFFTLLTYNSCDQTEDVTDEVKLMDAKKAEKYKFKTDTGAEEFQKMLLACGASLTYTTKEWVSNHYKWIVWKLASLERCYPTRAAGKFLKVGNVLEELKYRYDREVNNGHRSAIKKILEGNASPSLMMVLCISAIYSCPDLNNSKPEDDRAHTDDDNSENKSLRPAKRNMSTKIELTDGWYSLDASLDLALLEQLEKRKLFIGQKLRIWGASLCGWAGPVSFHEASGTVKLMIHINGTYRARWDETLGLCKHAGVPLAFKCIKASGGRVPRTLVGVTRIYPVMYRERFSDGRFVVRSERMERKALQLYHQRVSKIAEDIQSEHGEHCDNTDDNDEGAKICKMLERAAEPEILMSSMNSEQLLSFSYYQEKQKIVRQNEVAKKVENALKVAGLSSRDVTPFLKVRVTGLISKHSATKSGCREGLITIWNPTEKQVRPFFTPRKAVELSHFGEVPLTSEFDIAGVILYVGNVYLLNNQNRQWLFLTDGSKFISGEKYEEQDDCLLAVSFSSKTTGEDSAFFNYALSGHIVGFSNLVKRDKDQMRHVWVAEATESSTYSLSHEIPKKSHLKEAATSAEKWASNSHPMIQHLKERVLQIVGDSGRDWHVEGQISGFGHEISCWRGNG >ORUFI01G04350.6 pep chromosome:OR_W1943:1:2989650:3000742:-1 gene:ORUFI01G04350 transcript:ORUFI01G04350.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRWQVWGKPDGSLVWVPASDGPPPPAAAASEAPLPRPDPQPAATELGEARSGDAIPEGPGAADGCSVPSMADLFNQALDKLVAADGMAEAIEDSGKGAVFCTGLGGSVAVSERAVERAKALVGEVAEEISNERRQPFGDGSNLECGLGESNVSFKGGVHKDSLSPMFQTGSGKMVSLSKGSIQKARAVLEGNAENSSVIAVQSMFHTGLVRPDPVSRSSTDNAMTVLEGQTNPKQGDVADVYDKENFPLFQTGSGKAVSVSVASIQKAKAVLEQNNTENTEDFGRPDQSLIFQTGSRRPVLISERSSSVVKDGGAENIVFQTGLGRPVVVSQTSIQKARTVLDQECAKRSGHGDTNVSTTTFQTETPTSVLMSGGLTMNDRSVTPEGGVSMQGNFLEADGHLPLFQTGLGRSISVSKGSIKRASALLEPRNITKELEDEAHSDDGCATPMFKTGSGRSITASENSRKKAHVVLEGEEPVKNVNNDTGEAIAPMLHAGMQKFAPQNRNSSHKAITLMEQGSSMKEDRGNEPPMFRTGSGKSVLISHSSVQKARAVLEEEGNMKKENHKQLSNVDRYIPIFTSPLKTSYARTVHISSVGVSRAATLLGLEENTLSTQLLGHVGDKLGTKITVERENSEHQFGVASVSGISGGCPISSGPAENQVLMDPHQHFAFSKTTFSDSSEQAIRFSTAGGRTMAISSDALQRAKNLLGESDLEVSPNNLLGHSSASACKENIQNSTGLRKEGEPDLLKSRGNSKTEPAQFSIPAKPDRKHTDSLEYAVPDATLANGNSVRLHAARDFHPINEIPKISKPSSRCSFGTENASDTKDKARRLQMPSGPLIDITNYIGTHSVNTDYLAGEKRRFGGRNSISPFKRPRSSRCTIFFTLLTYNSCDQTEDVTDEVKLMDAKKAEKYKFKTDTGAEEFQKMLLACGASLTYTTKEWVSNHYKWIVWKLASLERCYPTRAAGKFLKVGNVLEELKYRYDREVNNGHRSAIKKILEGNASPSLMMVLCISAIYSCPDLNNSKPEDDRAHTDDDNSENKSLRPAKRNMSTKIELTDGWYVVAFSVLHELKLKDVRTFSFSQIWGASLCGWAGPVSFHEASGTVKLMIHINGTYRARWDETLGLCKHAGVPLAFKCIKASGGRVPRTLVGVTRIYPVMYRERFSDGRFVVRSERMERKALQLYHQRVSKIAEDIQSEHGEHCDNTDDNDEGAKICKMLERAAEPEILMSSMNSEQLLSFSYYQEKQKIVRQNEVAKKVENALKVAGLSSRDVTPFLKVRVTGLISKHSATKSGCREGLITIWNPTEKQVRPFFTPRKAVELSHFGEVPLTSEFDIAGVILYVGNVYLLNNQNRQWLFLTDGSKFISGEKYEEQDDCLLAVSFSSKTTGEDSAFFNYALSGHIVGFSNLVKRDKDQMRHVWVAEATESSTYSLSHEIPKKSHLKEAATSAEKWASNSHPMIQHLKERVLQIVGDSGRDWHVEGQISGFGHEISCWRGNG >ORUFI01G04360.1 pep chromosome:OR_W1943:1:3002126:3006964:1 gene:ORUFI01G04360 transcript:ORUFI01G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEGRRGDCSVQVRKKRTRRKSDGPDSIAETIKWWKEQNQKLQEENSSRKAPAKGSKKGCMAGKGGPENSNCAYRGVRQRTWGKWVAEIREPNRGRRLWLGSFPTALEAAHAYDEAARAMYGPTARVNFADNSTDANSGCTSAPSLMMSNGPATIPSDEKDELESPPFIVANGPAVLYQPDKKDVLERVVPEVQDVKTEGSNGLKRVCQERKTMEVCESEGIVLHKEVNISYDYFNVHEVVEMIIVELSADQKTEVHEEYQEGDDGFSLFSY >ORUFI01G04370.1 pep chromosome:OR_W1943:1:3004446:3006401:-1 gene:ORUFI01G04370 transcript:ORUFI01G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLPLDVWGWITNLPPFSQWRSNAMSLCICPTPSASESSQPSVNLSVVKTPPTQPSFVTFSIFANYRVPISLWTSKPVHLKSYTQQSLDEQEMLELFVDIVDWVLRSGPNKKLSFQFPRAQIHGNLKDVFNIVFLSLAFLVCIYEAPHALRCRCLESLRTQLTGPKCKDAAKTFVRMLGANLEEQWMQTMNLAVTNWIVEQRSSHHSFGVPSPLFSYALSASGLWKVQLYCPLIAMSMEDPAVPTQDERLLFSLTYQQLEGVIQLAYKTIRRDNWIDVEIKVDNIRCDVDSLVSEILMTERGHGSEEKHFPSRVMLQITPMQQSDVLSVSVSKSSDNPTHEFGLEKGIEGSFDPPNTFGLKASVSESLTLTMKPWKFEQSVHGNTTTLNWFLHDGVNGREVYSSKPSKLSLLQPRAWFRDRYSTVYRPFTKKGGVIFARDEYGDSVWWKICGAALGKTMDWEIRGWIWLTYWPNKQKTFHSETRRLEFRECLQLPLMKSP >ORUFI01G04380.1 pep chromosome:OR_W1943:1:3008217:3012057:-1 gene:ORUFI01G04380 transcript:ORUFI01G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGKKTQTENEFRELPEKELGGVVFCCNNNTFDECFTKQLFGLPQRNILYVKNVKPGLPLFLFNYSNRQLHGIFKATSTGQLNIDRFAWMSEQSNDAKTNAKTTPFPAQVRFSTRTECPPLPESKYKSVIINNYRKDKPSHFRFELDHRQTRDLISLFLPAPVRANQNKLSIPKPPATAHTVPNPWNRPLPFLTAKAPVVSDKVKSESNVKDVDQFNVSSHSHDIVPHTLPDVEVDLASTSTTSRSNLNKDASGCDDLVAGLIKEDKESVDDDQHAKMDLPVKLQELSSLQQKEANFLEDAPVSTSAQSIRQDTRFAATLPKDSFNATSQCDTSLKDTSFVQCHEYAELYQIINDLSKKTEEMEKMKVDSDQEILLLKKLVKVMERKVEHLEQQLEKSHSSSAPLFGVTNDDVEGPSILLTGGHNGINWLSSLDSYCPATDILETLMPMSSARAYAAVATLKDHVFIFGGWNGIRSLWYNTVECYNRGANKWIGLPCLNHEKGHLAGATLNGKIFAIGGGDGSQSFSEVEMFDPAVGKWIYSLSMQQPRCAPAAAELNGVLYVIGGYDGNMSAERYDPREGFWTQLPRMRTRRGSHSVVVLGDSLHALGGLNRNTTFSSVEIFDTRANSWRRGSPLSVPRAHGCAVTLDGNAYLIGGIQSSEEYVETVEVYKEGQGWSISGSKAFGKRAFACAVAI >ORUFI01G04380.2 pep chromosome:OR_W1943:1:3008217:3012057:-1 gene:ORUFI01G04380 transcript:ORUFI01G04380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGKKTQTENEFRELPEKELGGVVFCCNNNTFDECFTKQLFGLPQRNILYVKNVKPGLPLFLFNYSNRQLHGIFKATSTGQLNIDRFAWMSEQSNDAKTNAKTTPFPAQVRFSTRTECPPLPESKYKSVIINNYRKDKPSHFRFELDHRQTRDLISLFLPAPVRANQNKLSIPKPPATAHTVPNPWNRPLPFLTAKAPVVSDKVKSESNVKDVDQFNVSSHSHDIVPHTLPDVEVDLASTSTTSRSNLNKDASGCDDLVAGLIKEDKESVDDDQHAKMDLPVKLQELSSLQQKEANFLEDAPVSTSAQSIRQDTRFAATLPKDSFNATSQCDTSLKDTSFVQCHEYAELYQIINDLSKKTEEMEKMKVDSDQEILLLKKLVKVMERKVEHLEQQLEKSHSSSAPLFGVTNDDVEGPSILLTGGHNGINWLSSLDSYCPATDILETLMPMSSARAYAAVATLKDHVFIFGGWNGIRSLWYNTVECYNRGANKWIGLPCLNHEKGHLAGATLNGQQKGMIQGKASGPNFHVCGQEEDPIQHALGGLNRNTTFSSVEIFDTRANSWRRGSPLSVPRAHGCAVTLDGNAYLIGGIQSSEEYVETVEVYKEGQGWSISGSKAFGKRAFACAVAI >ORUFI01G04390.1 pep chromosome:OR_W1943:1:3022206:3022718:1 gene:ORUFI01G04390 transcript:ORUFI01G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLRCCLACVLPCGALDLVRIVHLSGRVDEYGRAVSAGEVLAAHPNHVLSRPCSSQQGAAGRILIVSPESELERGEIYFLIPAASVPDAKRRTSTGGGGAGRGHHVRSKSEGSAVAADRLGSPAGSASPETTRMMRAQKQQHQHRRRMSTGSHASPWQPHLSCITEDP >ORUFI01G04400.1 pep chromosome:OR_W1943:1:3025997:3027331:-1 gene:ORUFI01G04400 transcript:ORUFI01G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRTSKKRKTGDERSAACGVTVTLPDHVVTEVLVRLPARSLARLRCTCRSWNAEVSLPGFQDRHHALAAAKLTFLEPAPTHMGSYRIRRRLSRRTLPWLSNCFDCPRVIGSKPCWGLVLIARPCEGYSVCNPTTGEILHLPRSHRPHCATVMGFHAPAREFKVVQLGIDEEVVGKLHAIVLTVGDARGWRAISSFQLGLGFTDDAASIDRNVQPVFADGCLHWSFRTNYLDKPHGVLSFSLADESFRRVPQPPFSMVDLVPVHLNGVRNYRLLRAKGIRSGSGEEVAMPVGKTLAELDGRLCMVRDVRHRSDHDVLLEIWKLQDYDTGSWSLDYRVDLPAPGQRQRQLLTAPWLVVPLSYLGGSRPGDKKRKLLVATTAHEAHVYDPDSGTLRTVASIDSSGDGDDDSIRLLLYQESLVRLPGMQHGLGNIKFVQLSNSEHM >ORUFI01G04410.1 pep chromosome:OR_W1943:1:3032141:3036471:-1 gene:ORUFI01G04410 transcript:ORUFI01G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEEPPAAAAMAAVLTDDFLLRSILKCLCPRDVVRAALANKSWRRAAALAVPRTPPLVGYLLHPEKIRSAQIPPVFVPSDASFPRLSLPLAPDDLSGMQIKKLTPMDDESQRLVDWLEKGVYDALKKKFLKTIIFSIYDEKGPLLEEYCLSFTYFSEITIDLRLTGSTQSIWTMRSDAAESFVNQIWISACSLVQKCISVMSGLEKIPEERIIMMRLLYFDDQTPLDYEPPFFESYDDMRKRCPLPLHTTLSDYSQDLISLHDVKSVLSNNPFHSNKVFAMDPKSKIQQGHLFCITKKDVHFYFDSGASHHMCDDDKLFKNLHEVPTEHQDTVYDASGDPVCLHMSGEVIYDQIKLSPVFYHSTLKFKVISLGELDDSNTLMYVGDKRIKIFDVNKGEMIGEGYLHEKRNEYIKNLWVVDSLCCNHMTGIKGLLSDTRREVQSFVTPRGAFLSSKIGNVKTSTVTLFNVLYCKGLRQNLISEGQLDRDGYSCTRLAHKCKIQWRGTQEVVGLAHQDDNALYYVDYFHYVSDPSLKHTRNDGECIQKLKRPRQDGSSPSSRKRTA >ORUFI01G04410.2 pep chromosome:OR_W1943:1:3032141:3036471:-1 gene:ORUFI01G04410 transcript:ORUFI01G04410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEEPPAAAAMAAVLTDDFLLRSILKCLCPRDVVRAALANKSWRRAAALAVPRTPPLVGYLLHPEKIRSAQIPPVFVPSDASFPRLSLPLAPDDLSGMQIKKLTPMDDESQRLVDWLEKGVYDALKKKFLKTIIFSIYDEKGPLLEEYCLSFTYFSEITIDLRLTGSTQSIWTMRSDAAESFDFSMFIGPKMHLSHEWLGKNSGGDLISLHDVKSVLSNNPFHSNKVFAMDPKSKIQQGHLFCITKKDVHFYFDSGASHHMCDDDKLFKNLHEVPTEHQDTVYDASGDPVCLHMSGEVIYDQIKLSPVFYHSTLKFKVISLGELDDSNTLMYVGDKRIKIFDVNKGEMIGEGYLHEKRNEYIKNLWVVDSLCCNHMTGIKGLLSDTRREVQSFVTPRGAFLSSKIGNVKTSTVTLFNVLYCKGLRQNLISEGQLDRDGYSCTRLAHKCKIQWRGTQEVVGLAHQDDNALYYVDYFHYVSDPSLKHTRNDGECIQKLKRPRQDGSSPSSRKRTA >ORUFI01G04410.3 pep chromosome:OR_W1943:1:3032141:3036471:-1 gene:ORUFI01G04410 transcript:ORUFI01G04410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEEPPAAAAMAAVLTDDFLLRSILKCLCPRDVVRAALANKSWRRAAALAVPRTPPLVGYLLHPEKIRSAQIPPVFVPSDASFPRLSLPLAPDDLSGMQIKKLTPMDDESQRLVDWLEKGVYDALKKKFLKTIIFSIYDEKGPLLEEYCLSFTYFSEITIDLRLTGSTQSIWTMRSDAAESFPLDYEPPFFESYDDMRKRCPLPLHTTLSDYSQDLISLHDVKSVLSNNPFHSNKVFAMDPKSKIQQGHLFCITKKDVHFYFDSGASHHMCDDDKLFKNLHEVPTEHQDTVYDASGDPVCLHMSGEVIYDQIKLSPVFYHSTLKFKVISLGELDDSNTLMYVGDKRIKIFDVNKGEMIGEGYLHEKRNEYIKNLWVVDSLCCNHMTGIKGLLSDTRREVQSFVTPRGAFLSSKIGNVKTSTVTLFNVLYCKGLRQNLISEGQLDRDGYSCTRLAHKCKIQWRGTQEVVGLAHQDDNALYYVDYFHYVSDPSLKHTRNDGECIQKLKRPRQDGSSPSSRKRTA >ORUFI01G04420.1 pep chromosome:OR_W1943:1:3037832:3039110:-1 gene:ORUFI01G04420 transcript:ORUFI01G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLSVQIAIVVDSYAVLDGSRSSNTEMTQQTIGRVPHDLVTTRLQGKVEGLHASLPGRKDICGTHLSFTQKRYNGQEEQVRGRGYSPAPGIGDAARAPRWSGCAAAVQPRSGLHPRRQRGVGIRILRLRAYLSASTRTWRKPEEGWMKLNFDGSSKHSTGIASISAVLRRGLELAVQNGWRLIWAEGDSKVMVDVVRDRADMQSEKDLRLCREIATLLPQLDDMAVFHVCRGGNKVAELGHRVPTWVAGASYVALAAVSIVVVPLPYPQLQHCHARCAVVRHWPKGKRERDKERGRGRRVGERMTMWPHMSVGPIVYFCVNDKWVPQIYF >ORUFI01G04430.1 pep chromosome:OR_W1943:1:3041793:3042341:1 gene:ORUFI01G04430 transcript:ORUFI01G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHVTAKEFKVVRLGVEVGKLHLHAIVLTVGDARGWRAPAAIGNHDDTFLGFTDDDASIDSDVHAPGVGGRDGCLHWSFRTDYLDKPPRRPLLLGSRRRRFLPPWLVAALRYLDDDGDLSMAEKKKRRRRKLLLATTAQEAHIYDPDSDSLRKMASIAGRATTIQCDSCCTRRALSGFLAS >ORUFI01G04440.1 pep chromosome:OR_W1943:1:3043341:3051671:1 gene:ORUFI01G04440 transcript:ORUFI01G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIYRFLCGLCSPSPEYQPHGAHPAVAALGRDIQQFEATSQVPDGLSRHVVSSKKAQANWYKKLIVTWKKARPTPRTPEEAARLVVTTLKNHQKADVEGFLVFYGLPIPNAAASTPAPHTAHVPKPQGCKFELHTLPVDAKAVADGDTITVYIDTADPRESGNVPREIQKAAAERTRARAARDYQKADGLQKMIADAGYRQVPNARGEEVLAKKYRIRLRGIDAPESAMPYGKEAKEALLKMVQGKSLKVYVYDEDRYGRCVGDIYCDGVFVQEQMLKKGCAWHYTAYDQRPELAKWEKQAQSGRKGLWAASRPQKPWEWRRDKRNVTLPGRPSSVRTPRTRDPRRAGAASASPRLPLACAGGEREELAAWAPGSWLASSSSRSRSAGRNGVVHHGLGCLVVACTPLTGIAARGVPMPTAAAAEEEEEEELRSGAKKVSSHGFNWIMSLTPKPQGVKYELHTLPVDSKAVTDGDTITVHVVTADHPGSLNVPQEVQRTAADRAEALMTKNYQRADELQKIILDAGFRQVTDSRGGQVLMKKYRIRLRGIDAPETSMPYGREAKEELTMLVQGKRLKISVYGNDRYSRLVGDVDCNGVFVQEHMLKKGLAWHYIAYDQRPELARWENQAKASQIGLWSLPNPDKPWEWRKEKRIRNSRQGKISRGFQLIFTLQSSSPEARAMPSSSSSSSAAAVAGGGSAFTPPNPSRVSFAGLKASEMNTIGWDFECFDVLKA >ORUFI01G04440.2 pep chromosome:OR_W1943:1:3043341:3051671:1 gene:ORUFI01G04440 transcript:ORUFI01G04440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIYRFLCGLCSPSPEYQPHGAHPAVAALGRDIQQFEATSQVPDGLSRHVVSSKKAQANWYKKLIVTWKKARPTPRTPEEAARLVVTTLKNHQKADVEGFLVFYGLPIPNAAASTPAPHTAHVPKPQGCKFELHTLPVDAKAVADGDTITVYIDTADPRESGNVPREIQKAAAERTRARAARDYQKADGLQKMIADAGYRQVPNARGEEVLAKKYRIRLRGIDAPESAMPYGKEAKEALLKMVQGKSLKVYVYDEDRYGRCVGDIYCDGVFVQEQMLKKGCAWHYTAYDQRPELAKWEKQAQSGRKGLWAASRPQKPWEWRRDKRNVTLPGRPSSVRTPRTRDPRRAGAASASPRLPLACAGGEREELAAWAPGSWLASSSSRSRSAGRNGVVHHGLGCLVVACTPLTGIAARGVPMPTAAAAEEEEEEELRSGAKKVSSHGFNWIMSLTPKPQGVKYELHTLPVDSKAVTDGDTITVHVVTADHPGSLNVPQEVQRTAADRAEALMTKNYQRADELQKIILDAGFRLFCLEFSTIFSFLHMVSACDTSETFQCRQVTDSRGGQVLMKKYRIRLRGIDAPETSMPYGREAKEELTMLVQGKRLKISVYGNDRYSRLVGDVDCNGVFVQEHMLKKGLAWHYIAYDQRPELARWENQAKASQIGLWSLPNPDKPWEWRKEKRIRNSRQGKISRGFQLIFTLQSSSPEARAMPSSSSSSSAAAVAGGGSAFTPPNPSRVSFAGLKASEMNTIGWDFECFDVLKA >ORUFI01G04440.3 pep chromosome:OR_W1943:1:3051676:3056864:1 gene:ORUFI01G04440 transcript:ORUFI01G04440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRAVEMSSGVYTYKHHCEGGFDIHEIYIKRSKFRVLFSYVGEIFLFANESLCINSFWSISFAFAVAKCLKYKPVKKESLLIMPTFGVQLEQHFWSGRVHRQFVPVGKLLKPVLNEHVTPITCYWSLVLLLHSEDKLVRVFKKVYPPVKMMVPIWKALDAFTNYGGMGNSVALQPNPLLINVEQGCTAT >ORUFI01G04440.4 pep chromosome:OR_W1943:1:3051676:3056476:1 gene:ORUFI01G04440 transcript:ORUFI01G04440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRAVEMSSGVYTYKHHCEGGFDIHEIYIKRSKFRVLFSYVGEIFLFANVLHALLLKVVVLSDDNPNGRVHRQFVPVGKLLKPVLNEHVTPITCYWSLVLLLHSEDKLVRVFKKVYPPVKMMVPIWKALDAFTNYGGMGNSVALQPNPLLINVEQGCTAT >ORUFI01G04450.1 pep chromosome:OR_W1943:1:3063102:3063842:-1 gene:ORUFI01G04450 transcript:ORUFI01G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKKEKEEEEEASKLQLKPAGSKVFSKLLSRESAAAAPSFRVYYGVASAGSVPFLWESQPGTPKNAMSDAVLPPLTPPPSYYTAGKVSAGGGGGGGGRKYGKHGILRLFVLPKIRLRRGGRPVSGSPTSSCASSTSSSSSSASFYSSYSLSFRSTQSPTCSSMRSLQGHGGGGRAFGDDDDDDDDGGDDDMAASTACFRVRHESFRAIKNCRVAMTVRSAISSVAAGAGAGGHGSSAAVAQKAA >ORUFI01G04460.1 pep chromosome:OR_W1943:1:3069722:3072972:1 gene:ORUFI01G04460 transcript:ORUFI01G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIRSFAFVLVLAFSVAVAESRDSFNVLAHKSFPLENKKTGLTSANGKLCQLCEQYSTEALFYLQQNETQTEILSILHHACANVGPLKQQCITLVDYYIPLFFLEVSVVTPEKFCESVHLCRKGTMLRLPSRGDTCGICHHVLVEVLIMLKDPDMQLEIVEIFLKACSKADNYVQQCKKMVLEYTPLILVKSQKFLETTDVCSTIHACKTGTQASAETMLLSAAS >ORUFI01G04470.1 pep chromosome:OR_W1943:1:3073300:3076846:-1 gene:ORUFI01G04470 transcript:ORUFI01G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPQYDLVGNPLGAVRSTFERAAAESGGHEPVAAFRGKDWGATEVFRSFLFEQGGLDKVPVLDESNLRLIKPNTLVRFRGMVQDMLGNEFYVGAFKDGSTWRTNKFSDSSPFSMPHPCDSHLWERHLFHCVPVPGQNSWTRESSPGPDLRRIASCFTSEQREKRKRGADNDAMDVSESGSGETSSSSKKTLADWESDLNCLTFPQKDDGVKISNSSVEMSMNEEHHVPEMNGGDHHIPGSSFSCLVKVYDMPESQVKLNDVSEFIGVYTFDPELAAPNDNSDDIMFDLMEDVTAQLPPSKVPRLHCLVWRNLSAHDFLPRPPAVEPSPILLRGIRQSLLSHLTLVLGKDELAAQCLLLHLLSRLRNKVDVVTVGRLSLNFTGFNRESVSIFGNQLNNLFQRLLPYSQVIPLSIEYLNTATLQPRKDNQSGRLVTGVLQLPQGTHLTFDETLLQSGSLTSKGVENTMLLKNLMESQKVEYDFEFYKLEMATDVQLLILSEGKSNILPSDLIVPFRPSSVPAVNASSEELESWRWYLATVRSLPQSTETDTYQMIQDEMVNAMRDDRSLGCSELSRWLTMAQIMAASFGEKSLSMEHWQMVKELERLRKERLQ >ORUFI01G04480.1 pep chromosome:OR_W1943:1:3078967:3082525:-1 gene:ORUFI01G04480 transcript:ORUFI01G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPRPLLTFGFLAYQTVGSLVLFVDPFLPCTRLLFNDLSGPIPNFATFSSLRVLQLGHNFLQGQVSPLIFQHKKLVTVDLYNNLELSGSLPNFSVASNLENIFVSETSFYGEIPSSIGNLKYLKNLGVGASQFSGELPSSIGWLKSLNSLEISGTTIVGTIPSWITNLTSLTILQFSRCGLTGSIPSFLGKLPQHISNFTNLSTLFLNSNNLVGTMKLASLWGLQHLRYLDISDNNLVVVDGKSWNDSGVASLILAHNKFTSVGSNPFIPLQIDWLDLSNNMFEGTIPIPQGSARLLDYSNNMFSSIPFNFTAHLSHVTLFNAPGNNFSGEIPPSFCTATELQYLDLSNNNFSGSIPSCLIENVNGIQILNLNANQLDGEIPDTIKEGCSFHALYFSGNRIEGQLPRSLLACQNLEILDAGNNQINDIFPCWMSKLRRLQVLVLKSNKLFGHVVQSLTDEESTCAFPNAIIIDISSNSFSGPLPKDKWFKKLESMLHIDTNTSLVMDHAVPSVGLVYRYKASLTYKGHDTTLAQILRTLVFIDFSNNAFNGSIPEIVGELVLTHGINMSHNFLAGPIPSQLGGLKQLEALDLSSNQLSGVIPQELASLDFLEMLNLSYNKLKGKIPESLHFLTFTNSSFLGNNDLCGPPLSKGCINMTILNVIPSKKKSVDIVLFLFSGLGFGLGLAIAVVVSWGIPIRKQATRHATERIRRGSDSGGRRSGNAASWEEERLVYGVYRPRRWATPWEGEKVHDEEDDLAVDRSEISPAPVEKSNGAGSWPSITYTTPTGRATPAAARRSAAPPPLLDGSTIGPRSLAIL >ORUFI01G04490.1 pep chromosome:OR_W1943:1:3089900:3091063:-1 gene:ORUFI01G04490 transcript:ORUFI01G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVTQHVSPPPFFGLPSPLLPATVATTAIGYRHLSRPISLPYWPTGRASVAAAGCGLLLFATGRRELGARSGRRDDGGGRIRHRDDEGGWIRHYDNGLGRICRCNDVGDQIRRRDDDATATGSREGRKRWIGPPEGRGDPTLAPLPSFLSLIWP >ORUFI01G04500.1 pep chromosome:OR_W1943:1:3093475:3094287:1 gene:ORUFI01G04500 transcript:ORUFI01G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNPLFTVTFDVSSGDNYGDFIAGIRSRVANPRHFSRNRPVLPPVEPPPPPRRWFHVVLRASPTAALTLATRADNLYLEGFRSSDGRWWELTPGILGAAPGGAAATYVGFGGSYRDLLGDTDRLTGVTLGPQQMAQAVNALAARRPADLANGAAQRRAMDAVAALLLMVHEATRFQTVSRLVAGLMHPKAASKSGAITAAMRKQVNGWQVLSAAMLGTDARPPARFAPLRDMGVDTVEEAAATVGILLFVEVPGGMTAARALQLFHHGN >ORUFI01G04510.1 pep chromosome:OR_W1943:1:3095329:3098239:-1 gene:ORUFI01G04510 transcript:ORUFI01G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALCMKLFEHKPFDARTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRNIQLSLSVLLFGVGVATVTDLQLNAVGSVLSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFIVGPFLDGFLTNQNVFAFDYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLHDPFSWRNILGILIAVIGMVSYSYFCTKEAPPKPTEASPQLNQVKESESDPLISDSLSTAENGGNAGDDEALKVPMWSSKYSKA >ORUFI01G04520.1 pep chromosome:OR_W1943:1:3103372:3104747:1 gene:ORUFI01G04520 transcript:ORUFI01G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSNNGVAGSWRKEMAGEDDGDGGAVPRRRARRRDGFHRGGSSHEWVWERILRTGWSQQVMEILGCEEDCQVVGVGASPLRVAHEDQLEPAPQLVEIRWIHSPSGWITLNCGKKFSARK >ORUFI01G04530.1 pep chromosome:OR_W1943:1:3104688:3113151:-1 gene:ORUFI01G04530 transcript:ORUFI01G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPQSPRSTKRTLPLPPKVMRYTQNKARRYIPFDATKQLDSIINDINVLKERRFSEKRTCPLDESGYERSKQSNCYFPHSFENHNNKFFPEDEDMFCETKAEECWRSKHGRLDDNLTDENSERLWKMEPFNSEDRFPTPIVEQFDTRDYGFKDRYSPEQRTSTRTNTRFETSATHDLFSDQSMMDNGNDTVLFDWERRFREKEKSTEDGSCKKPSLSVECEENKMNEKDDFHISFDNLDIPKMDAHLDGVSLFDNLEEHHKRTDDQNNLEAGYWSDKATEKQRTREPSCRLSLKEKFSNWGSTSPTTHRKGQTGLSNPSSCTVLHEDKPFNSASEMSTYQTAGSSSPERRPASKVSPVFHRPDNAIFDDDIHLQSSVSDIFGDRIEFSKPNCSMGLQSDIDMSTFLAEKVDKRKEDNFDTSKNQNADMFLPNKSVSSVRQNVGGQHSSCAQQPGKDSLLQGFSPGIDFQDSRLNSFWEDGLADNGTFQGDIELTDLLTRKNSDKNEDRIEKLSKPETKMLTETPQAYPDHRNEMRETETCSDGSEVTNPPGVQKQTSLATQVPANLGCLQETSREMLKVHAHTECVKREKIEYPCVDFSTPLHLRNKIPDVDHSKSNFMFHSPFVGEEVGIEKKIIASVSPNNSDVQYKVMLEHRVLRRLCVQKIVVDTPIKNKLDKDNHFRMMEDGYHVLPKTRFSKAN >ORUFI01G04540.1 pep chromosome:OR_W1943:1:3113930:3115816:-1 gene:ORUFI01G04540 transcript:ORUFI01G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPPSAARVALYLRRARLIDSLRLRLRSPSSPPPPPPPDDPVVALHAIRAAPTPASAISVFRALPPPQPLPLFQALASRLAAFAALPDLRSHLASFLLPPPPLARLRLLAAAGDHASALDAFASVPAKPHRPADAHNLLMGLHVRAADHAAAVGAFRAMVREGALPNARTYTVVIEHLASAGFVDQAVEVFRLLPSLRARRTTRQYNVLAEALASAGKFDQLRWLVREMVAVDGVMPGPQMRAAIAAMREAGHAEGTEDFVEELSPNERIGYAVDDVDGEGDSDDEEEEGDDDDGVDGRDKGRGRATLKPWLDPRELARALEGWEPREVAELEAAGIVWTPRLVCKLLRAFKKAETAWEFFCWVACRPGGYAHDRHTVARMVAILARAGHVELVERLLGKVRADGIVLPFATVRLVVDFYGLSKKADAAIRVFREADSICGAVSRPNLALLCSSLLRTLAKCRRAADAMELLEEMMSRGVLPDLQTFSGLMEHLAGAGELKGVHRLLGLVRQCELQPDGYMYSVLIKAYCKKERAALALKLFDEMRGAGVAPDAPTKALLVKSLWREGKLREAAQVEERCEEAAGDGLPEASPGHVWTASAADLKKVSDIYYGCFTQPAAQTVSTP >ORUFI01G04550.1 pep chromosome:OR_W1943:1:3116571:3119763:-1 gene:ORUFI01G04550 transcript:ORUFI01G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFVRVYSGGELVKGPNGVEFGNLAEEGLWFKAKPAFDELIDAVYKKLGWVPTMLSIRAQGRLNVGGGAHRHFIMVPIDDDMSWSSYVKAVFNGTEWNCLEIFVQAEICSLTEGISSERALMAIKPLYAQRQNGQPQNPEQDMSFVIPSTVNVSPLNGHPQNTRQRKPRKSTRTFSDDGCPDQNGASEAVDTTSYDLIGQYDADHRARALASGQTDKLTVLAKEAASQKGCSRGKCRAFVDQVTRTCVEVIGELGGSSLCDIVDLVPCSSTAATTAAEPEAEQQRDKEEDIHHSMAPDQETESGLGSEKRSRSRTRRTQADRTVQTRSTGKRKRGRSAPRFMYHKKLWDEGTAWCSNQLIIS >ORUFI01G04560.1 pep chromosome:OR_W1943:1:3121134:3122590:-1 gene:ORUFI01G04560 transcript:ORUFI01G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGDVLIACESFARNICRFTRAARRNDEKQDVGREMGRHGGGRRLPSVESQERKGAERDLGGSNLACVDLAGAPK >ORUFI01G04570.1 pep chromosome:OR_W1943:1:3122740:3124582:-1 gene:ORUFI01G04570 transcript:ORUFI01G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLERNPTKKRHSWWWDSHISPKNSKWLAENLEEMDKQVKEMLQLIEEDGDSFAKKAQMYYQRRPMLITHVENFYRMYRALAERYDNVTGELRKNIPTRLQYQGSLAGSDSELQRSPSPSPEPQKSWTREQSPRAAGFDVFLSNKSNGSPSPASRKEPEDLASQSESDAKSEDGEDDGIAYTLHQRVLELEDELNTVNQKLHDANEKLEVLEEKSLRCHCDSKENGNGADQSAINEKLQSSQEEINNIKNSLEVLSEEHSRLLGQNKKLEAEIVNLKEEIASDRQQYEEKLSRSDAEIDKCRQELADASEKLLQEKLSNSSVTAELQETIESIRIKLEEVSEEKLLVENKFKQLEEANSEAEKYNQELSHATEKLSEEKFRHEAEILALNQAIENLKSKLESIAKEKSLLKSWFADLEQVVERGRRIFPE >ORUFI01G04580.1 pep chromosome:OR_W1943:1:3128305:3133427:-1 gene:ORUFI01G04580 transcript:ORUFI01G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMQRMPTRKSHSWWWDSHISPKNSKWLAENLEEMDKQVKDMLKLIEDEGDSFAKKAEMYFERRPLLVTHVENFYRMYRALAERYDNVTGELRKNIPSSLQSQGSLSISESDSETQSAPPTPKPDSEETTPKQKRKPRAAGFDVFLGSGGSSDISKKGSDGSSSSSSESDSEVDELREDNGDGSPFALNERIAELEDELQEAREKLEALEEKNTRCQCEKLEEKLKDSHSEISSLQKELEGQLAHHDHEIEKCKKELEHVHEKYSHDKSTLETEIIKLQDIVKNFEGDLAKMSQEKLQLKAQVKELEQASRSLDDSSAQIMKLQEIIKDLQRRLDNDSNEKKMLEERAIEFEQVRKELEGSRTEVAELQATINNLKADLGRALEEKSQLESRINDLEHTIACNLEEFSQEKSSLGAEIQKLKEANASLEGKLTSTESQLQQLHAEKSEASISSEKQISDLNQAIADLETKLELLSSEKTTVDNKVASLLTDVTARDEKIREMDSHLHQLHLEHVKLIAEADAVTKAVSELRARVSELEEEVEEQKLMVSDGAEGKREAIRQLCFSLEHYRHGYQQLRQLLQGHHKRPLVMAN >ORUFI01G04590.1 pep chromosome:OR_W1943:1:3138670:3140887:-1 gene:ORUFI01G04590 transcript:ORUFI01G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTYPQFMFLTIDVDELMDFSSSWDIRATPTFFFLKNGEQVDKLVGANKPELEKKVAALADSA >ORUFI01G04600.1 pep chromosome:OR_W1943:1:3142371:3145370:-1 gene:ORUFI01G04600 transcript:ORUFI01G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSSSRRALHALHRRLLLHPLPSRHAPPIPRHHFPPPPSSSSSSSRFFTTTRPDARLLQLHARRLVVGGARSFAAGVGGGGSSKLAPLGQGVKGLGLGRPLDAAKNAAARYREAVGLQVEAFWRRNYMLLVGAGAVVVCIALWRVMFGIASTFVGLSEGMAKYGFLALATAMVAFAGMYTRARFTINPDKVYRIAMTKLNTSAAILEVMGAPLAGTDVRAYVMSGGGPKLKDFKFRLGGKRCFLIFPIKGSERKGLVSVEVKKKKGQYDMKLLAVDIPMASGPDQRLFLVGDEQEYKVGGGLISELRDPIVKAMAAEKEFDYLDEREDAEDEAREREEAERRQQEEEAEALRREEERLREEYEERKRREAEKTT >ORUFI01G04610.1 pep chromosome:OR_W1943:1:3150032:3153851:1 gene:ORUFI01G04610 transcript:ORUFI01G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQVQQQQQAAPQQQPPPAAAAAAGRRYGVHFSASSFIQAPLSALLEYSGILRADPGGGPHQVGGGGGGGGGEVSIRIVGSGEAAGAASERGEEGVVEDEAGAAPQANPSTSAAAAATAGGGEAGRESSSSYQRYDIQQVARWVEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKMSVLVGITILFVVHVFGVYWCYKNGDLVRPLVALAPKEIPPFWHAIFIILVNDTMVRQTAMIIKCMLLMYYKNSKGRSYRRQGQMLTVVEYFLLLYRALLPAPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLTALRALSHKDFHYGSYATSEQVSATGDMCAICQEKMHTPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFDKKAGKQAGRCFRCVRLCCSRLRAEQPYVVLNQSPRLPHQLKAKKST >ORUFI01G04610.2 pep chromosome:OR_W1943:1:3150032:3154187:1 gene:ORUFI01G04610 transcript:ORUFI01G04610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQVQQQQQAAPQQQPPPAAAAAAGRRYGVHFSASSFIQAPLSALLEYSGILRADPGGGPHQVGGGGGGGGGEVSIRIVGSGEAAGAASERGEEGVVEDEAGAAPQANPSTSAAAAATAGGGEAGRESSSSYQRYDIQQVARWVEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKMSVLVGITILFVVHVFGVYWCYKNGDLVRPLVALAPKEIPPFWHAIFIILVNDTMVRQTAMIIKCMLLMYYKNSKGRSYRRQGQMLTVVEYFLLLYRALLPAPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLTALRALSHKDFHYGSYATSEQVSATGDMCAICQEKMHTPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >ORUFI01G04620.1 pep chromosome:OR_W1943:1:3154681:3160239:1 gene:ORUFI01G04620 transcript:ORUFI01G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVSTSRGLPSPSKLGTFESPHTWQMSGPTGQAEAGSSDDQDIRLLSVSWNQDFGCFAAGTSNGFRIFNCDPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNAHYPPNKVMIWDDHRSHCIGEFAFRSDVRAVKLGKDYIVIVLERKIYVYNFTDLKLLHQIETQSNPKGLCCLSHHSNTSVLACPGVHQGHVRVEHFGLKVTRMISAHDSHISCMALTMDGLLLATASMKGTLIRIFNTMDGTRLQEVRRGLDKADIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVAGEDASNEQRSLEGPRMDHQNSSSSIDPLIQTNTGSNASSSLSFMKGILPKYFSSEWSFAQFHLPEVTRYIVAFGAQNTVMMVGLDGSFYRCSFDQVNGGQMLQKEYFRFIKADLTPLRTSAP >ORUFI01G04620.2 pep chromosome:OR_W1943:1:3155695:3160239:1 gene:ORUFI01G04620 transcript:ORUFI01G04620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVSTSRGLPSPSKLGTFESPHTWQMSGPTGQAEAGSSDDQDIRLLSVSWNQDFGCFAAGTSNGFRIFNCDPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNAHYPPNKVMIWDDHRSHCIGEFAFRSDVRAVKLGKDYIVIVLERKIYVYNFTDLKLLHQIETQSNPKGLCCLSHHSNTSVLACPGVHQGHVRVEHFGLKVTRMISAHDSHISCMALTMDGLLLATASMKGTLIRIFNTMDGTRLQEVRRGLDKADIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVAGEDASNEQRSLEGPRMDHQNSSSSIDPLIQTNTGSNASSSLSFMKGILPKYFSSEWSFAQFHLPEVTRYIVAFGAQNTVMMVGLDGSFYRCSFDQVNGGQMLQKEYFRFIKADLTPLRTSAP >ORUFI01G04630.1 pep chromosome:OR_W1943:1:3160898:3165458:1 gene:ORUFI01G04630 transcript:ORUFI01G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAGHGGRRRRAWRWAMRAAASAVVWTAVVQLASIAGLWRPRVLADCGGGGGAATGLAALAGEDRVAARLSPPALVPRRIYKSNGYLLVTCNGGLNQMRAGICDMVTIARYLNLTLVVPELDKRSFWADSSEFGDIFDVSHFINSLRDELMIVKELPMKLKLKTKRRLYSMPPVSWSNETYYLKRVLRLARKHKVIHFNKTDARLANNGLPVKLQRLRCRVNFEALRFTPQIEALGRKLISTLQKSGQFVVLHLRYEMDMLSFSGCTHGCSDEETEELTRMRYAYPWWKEKEIDSEVKRFQGLCPLTPEEITLVLKALGFKKDTLIYIASGEIYGGERRLAALKAAYPRLVRKEKLLSPEELQPFQNHSTQMAALDYMVSIASDVFIPSYDGNMARVVEGHRRYTGFRKTILLDRVKLVELLDSFQGGAMSWNEFSAAVKKAHQHRMGQPTERKVIPGRPKEEDYFYANPQECLGSREGLRDIL >ORUFI01G04640.1 pep chromosome:OR_W1943:1:3166105:3168114:-1 gene:ORUFI01G04640 transcript:ORUFI01G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVSLISAMFRRMFASAGLRPGSAVVDDDGTTLHFWAHSSLLILPSKNGAAAAAAAAGRRPVVVLVHGFGPDPTWQWGAQVGPLSRHFDLVVPTLLFFGASTTSAAPRSDAFQAAAIAALLTSGGAHLPGLGGERRELHIVGTSYGGLVARHLARALSTSAGDGQVEVGKVVLCDADLAKGAEDDAALAAKGGVAEVTELMAPADGKAFRRLMALCVHRPPKYIPDFLVRDLLRKYFADKREEKIRLIKEIVTEEQDSQLNSPLPQEILIIWGEFDQIFPVEKAHKVKEMLGEKATVKIIPNTGHLAHQEDPKMFNDILLKFLLPSPAVANGAK >ORUFI01G04650.1 pep chromosome:OR_W1943:1:3169877:3171075:-1 gene:ORUFI01G04650 transcript:ORUFI01G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKPMSSRNGEAAAAAGGGGAAEEKERKGLWSPEEDERLYTHITHRGVSTWSSLIARQVIWFLDLTRLALHSFHCAGLRRSGKSCRLRWMNYLRPDLKKEPISDREAETIISLQKLLGNRWSVIAAKMPGRTDNEIKNYWNSRIRKRQSAAAGAKGEPAPATVMEKEPTANAATVAAAPEAASPPPPPIPARLPMFSCQLLDGGGSGGATATTQSPPNAGSSDISEVSACGGNGGGEDSSRDYCFSGGDVDGDGDMVHLLALDDLDLLVDVPGLLDVDAWDCELYRADYSTSRAR >ORUFI01G04660.1 pep chromosome:OR_W1943:1:3181542:3182786:1 gene:ORUFI01G04660 transcript:ORUFI01G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGRAVEQQQGGCCSEKKKKLRKGLWSPEEDERLATHIARFGVSCWSSVPDLAGLQRCGKSCRLRWMNYLRPDLKRGRFSHHEEELILALHDKLGNSWSQIAARLPGRSDNEIKNFWNARLRKKLRQTESSSSATTAAGAAATGHRRGEADRSRPPPPASFNTFSHDDRTTPAPAAHIAAAAAVEAPAAMTTTGGAVGGGVLVDNSGGHRDAVAEAARGHVGSGDDDGFLAVLLGEYYLDAGGHGDGFSFLGGGGGGHVFS >ORUFI01G04670.1 pep chromosome:OR_W1943:1:3200234:3201196:-1 gene:ORUFI01G04670 transcript:ORUFI01G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTSNSAISSVVASAVATTTPGAGSPCAACKFLRRKCLPGCVFAPYFPPEEPQKFANVHKVFGASNVTKLLNELLPHQREDAVSSLAYEAEARVKDPVYGCVGAISVLQRQVHRLQKELDTAHAELLRYACGEVGIPTALPVTAAPRLAAAMPAPGQLAAAVSAGMYGGGRRLGVIDGGGIAPPSPHTAGCYYARNNNAGSIGADVAPVLPYASVANWTVNAISTTTTNSGSESIGMDHKEGGDSSICGDLRFGLDERGSERLQEDGSPFIPG >ORUFI01G04680.1 pep chromosome:OR_W1943:1:3208949:3223211:-1 gene:ORUFI01G04680 transcript:ORUFI01G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAAAAAAGAGAGAVALGRLVDRTRVPDPTLQRHAVAALFRHLLTSVPPPLPSAAHDALSSLLASPHPAVAAHAAASVARLAASRADLLSPELALPLLIAPLSASPSPRLASCFVKAVAALVSCALRSGSAASRFPPHDHPFVQALASGADGARAELQRQAARLVAEGVHGVVGFLRPFVMFAAVRKGDTAFVKDLIGALAAAAVAAARPDSAVPVLKLLAECLLHFGRGDGEEVRLWLSSVECLVDAYVVLLRKFAHAQMATYDAQANSVELIEMLLSQCSLHHQFMGNTSVVLGLSKHLFLAQKDLGLCYLPEISVVLSSLAFILSGLEFEHEQLSGLKLLAFLIEWRHENALRTNEAVHHFSEEIVCVLPVINLVISPSKSVKSVASHVLSRFHVLVLDLLASCSSEQQDSSMVHHISKPTSILPKLVHHLWSQSSSSGFIFTKYITSRGLAESAGNSTEPNYWTHQINEYLSALRKEKLSLDSLSSKKIQSVAISSLLSSAVSVLVMHPKIGTSAAQSLALLGATDPKLGMPLLVLILFYTKVLYSNNNCDANILLSLLESLPSLAVHGFVLPLSLQLISSMLKKDVKSVLYPIAVRLLCKMWTVTDWAFQNLQGTLDPENFSNSVDDREVFTSIAASVRDVCKQNPDRGVDLILSVSACIESRDSVVQALGLESLSYLCEEDVVDFYTAWKVISKQLLDFSIDPTVSHGLCILLRWGAMDSEAYPGTSKHLIQILWSIGTYREKNVDPLWVKARGTAFQSLSHYKLLDAFPQAVFKGKSAHHRFPGAALLTLNFTPKDILHEGKSKDLPRVHAAYEQALVEMAESMYISRNMVVALLALHSWKSFVSNWMQAVIACLDTKESSKLNKASKAADDIFKILCKCVPVSTPSVVVSIALAIGALCLVVPPTAHLVISSASDFLLRWLFQYEHEHQQWSTALSLGLISNCFHPTDKRSKLQVINGLLEVISKTESYLVKGACGLGLGYCCQALLARADNAADSELEATTQLTERASVEEILHTLTTSLVQLCPFSCYSLKKLSICGIKSLEGMEEKYVSLEDDPWAVAGLVLGLGNSVVSLYRLGAYEAIIEVKNILISWIPDVDSSSLLFDEEDSASLCMGSCLALPSVLAFCQKNLLMAICIGAGSFLSSILNDGVHAMKFTDVKDLLDTLKHIYTHPYPPLVHLGGMLGTVNAFGAGAGDLTGICRQPTNSQIKHEKESSLVRGPVLTSSVGETLSTSMIQEIFLLAKDAEDDHIKDYAAWAISFLRSRWLSKNQIIFDDDCSQRNSSDSNQSTSFSDESLVWNLSQWLRDLNFEKPDSMVSTSTVATVLRCLSKAPRLPSIDWGVIVRRLDKLSEDLTEYLYSPTSSYLDYSSEQRSMLRTSFWKGIRECLVEDVSEESSGFSCIKKCIQSLSPLLSLHKDGQPEFIEEWSAAIKCLTVAQKGLLGDMLQVEISSSFNELEHIDVAKKIIIRARMCSSGCGSVDELGNIKTTILSTRLDGVWWNVLVEVAGALYYADSRMKKQWLLDALDIGCVTAHPSTVLHFVGLLCGSCCIYMPLLIVNPTNVLSDLPVTLPSFLSSSIWDDLRNSVADKLWLLTARIYTWAEQLTHSVGLARHDHIHGSEAEMAIFLANILRCTCIAVEDHLAVEKKLKLANLEAL >ORUFI01G04680.2 pep chromosome:OR_W1943:1:3208949:3223211:-1 gene:ORUFI01G04680 transcript:ORUFI01G04680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAAAAAAGAGAGAVALGRLVDRTRVPDPTLQRHAVAALFRHLLTSVPPPLPSAAHDALSSLLASPHPAVAAHAAASVARLAASRADLLSPELALPLLIAPLSASPSPRLASCFVKAVAALVSCALRSGSAASRFPPHDHPFVQALASGADGARAELQRQAARLVAEGVHGVVGFLRPFVMFAAVRKGDTAFVKDLIGALAAAAVAAARPDSAVPVLKLLAECLLHFGRGDGEEVRLWLSSVECLVDAYVVLLRKFAHAQMATYDAQANSVELIEMLLSQCSLHHQFMGNTSVVLGLSKHLFLAQKDLGLCYLPEISVVLSSLAFILSGLEFEHEQLSGLKLLAFLIEWRHENALRTNEAVHHFSEEIVCVLPVINLVISPSKSVKSVASHVLSRFHVLVLDLLASCSSEQQDSSMVHHISKPTSILPKLVHHLWSQSSSSGFIFTKYITSRGLAESAGNSTEPNYWTHQINEYLSALRKEKLSLDSLSSKKIQSVAISSLLSSAVSVLVMHPKIGTSAAQSLALLGATDPKLGMPLLVLILFYTKVLYSNNNCDANILLSLLESLPSLAVHGFVLPLSLQLISSMLKKDVKSVLYPIAVRLLCKMWTVTDWAFQNLQGTLDPENFSNSVDDREVFTSIAASVRDVCKQNPDRGVDLILSVSACIESRDSVVQALGLESLSYLCEEDVVDFYTAWKVISKQLLDFSIDPTVSHGLCILLRWGAMDSEAYPGTSKHLIQILWSIGTYREKNVDPLWVKARGTAFQSLSHYKLLDAFPQAVFKGKSAHHRFPGAALLTLNFTPKDILHEGKSKDLPRVHAAYEQALVEMAESMYISRNMVVALLALHSWKSFVSNWMQAVIACLDTKESSKLNKASKAADDIFKILCKCVPVSTPSVVVSIALAIGALCLVVPPTAHLVISSASDFLLRWLFQYEHEHQQWSTALSLGLISNCFHPTDKRSKLQVINGLLEVISKTESYLVKGACGLGLGYCCQALLARADNAADSELEATTQLTERASVEEILHTLTTSLVQLCPFSCYSLKKLSICGIKSLEGMEEKYVSLEDDPWAVAGLVLGLGNSVVSLYRLGAYEAIIEVKNILISWIPDVDSSSLLFDEEDSASLCMGSCLALPSVLAFCQKVELLNDDLDALFNRYTSLATNLLSLKKSGTIFQNLLMAICIGAGSFLSSILNDGVHAMKFTDVKDLLDTLKHIYTHPYPPLVHLGGMLGTVNAFGAGAGDLTGICRQPTNSQIKHEKESSLVRGPVLTSSVGETLSTSMIQEIFLLAKDAEDDHIKDYAAWAISFLRSRWLSKNQIIFDDDCSQRNSSDSNQSTSFSDESLVWNLSQWLRDLNFEKPDSMVSTSTVATVLRCLSKAPRLPSIDWGVIVRRCMNVEAHIPDMLTNHHDPKLLREECLYISLAHASHISPLLHFIDDLTDLSRFRRLEINLQSILLQYLSTLMKLFSLSRLDKLSEDLTEYLYSPTSSYLDYSSEQRSMLRTSFWKGIRECLVEDVSEESSGFSCIKKCIQSLSPLLSLHKDGQPEFIEEWSAAIKCLTVAQKGLLGDMLQVEISSSFNELEHIDVAKKIIIRARMCSSGCGSVDELGNIKTTILSTRLDGVWWNVLVEVAGALYYADSRMKKQWLLDALDIGCVTAHPSTVLHFVGLLCGSCCIYMPLLIVNPTNVLSDLPVTLPSFLSSSIWDDLRNSVADKLWLLTARIYTWAEQLTHSVGLARHDHIHGSEAEMAIFLANILRCTCIAVEDHLAVEKKLKLANLEAL >ORUFI01G04690.1 pep chromosome:OR_W1943:1:3226098:3230039:-1 gene:ORUFI01G04690 transcript:ORUFI01G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRVGTRAVEGRFQASNGGGGGGGGMAPSSRLVVAHREAKPRSSHSAAPWKLPRRRAGAMPLWRVAVFASVALNVATLALLLHHYATSPPPHHHHHDAGLATRSSDAAVHRRARTASSMAPSTGKPAVTTDSVINLDHGDPTMFEEFWRETGDAAEVVIPGWQTMSYFSDVTNVCWFLEPELDRQVRRLHRVVGNAAVDGYHVLVGTGSTQLFMAALYALAPDAAAAAAGEPISVVSTAPYYSSYPAVTDFLRSGLFRWAGDADAFKGDSYIELVCSPNNPDGAIREAVLDPKTGNGRTVHDLAYYWPQYTPITKRASHDIMLFTVSKSTGHAGTRIGWALVKDRAIARKMTKFVELNTIGVSKDSQMRAAKVLAAVSDGYERRPEQTKETMTTPLRLFDFGRRKMVERWSMLRAAAAASGIFSLPEETSGFCNFTKDTATTNPAFAWLRCDREDVEDCAGFLRGHKILTRSGAQFGADARYVRVSMLDRDDAFDIFINRLSSLK >ORUFI01G04700.1 pep chromosome:OR_W1943:1:3240505:3244342:-1 gene:ORUFI01G04700 transcript:ORUFI01G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSQFMDKQILGLAASASTSSGVGVGGGGPELLDLMSPNPQEEGEDRLRRRHSSSNGSADDVLPSYDFQPIRTTAPSAAASASAAPASWGSLDSGSKAASASYNLKSAGVLEPHVLKKVSHEEDRSNFGTVTMADIDRTMKKYSDNLLHALEGVSSRLSQLEGRTHHLENSVDDLKITIGNYNGSTDGKLRQLENMLREVQAGVQILRDKQEIVETQLHLAKLQPPKSDALASDNVGSSQTDSHQHAVAPQQAAIQPQHQALTSSQPLALPALPAPNAPPPPPTLQSQPPSQYPGHLPHSQVPPVPPSAPVPSVPALPRDPYYAPPAQPTETMHQQYQAPPVPQPQAPPAPPQQYQTPPQFPQYSQPPQSGMVNPSTPLPPAAPQQPEEAMSYAPPQSYPPNVRPPSPYMPPPSGPAPPFYGQNQSMYEPPVGRPNSGPPPSYGAGGYGPQGGSGFSESYGYSGSPSHRGNAGMKSSPSPFAPSGPSSGGSGNYGRLPTAQILPQAVPINSSPSGSSGNRVPVDDVVEKVATMGFSREQVRATVRRLTENGQNVDLNVVLDKLMNDSDVQQAQKGWFGR >ORUFI01G04710.1 pep chromosome:OR_W1943:1:3246965:3250352:-1 gene:ORUFI01G04710 transcript:ORUFI01G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGSHTKTSINWAQISLPSLQQSPRLSKTQANQSFHLFFQFKDLQASRKLKASLAMAPNLSKAKDDLIGDVVAVDGLIKPPRFTLKGKDLAVDGHPFLLDVPANIRLTPASTLVPNSDVPAAAAGSFLGFDAPAAKDRHVVPIGKLRDTRFMSIFRFKVWWTTHWVGTNGRDVENETQMMILDRSGTKSSPTGPRPYVLLLPIVEGPFRACLESGKAEDYVDMVLESGSSTVRGSVFRSAVYLHAGDDPFDLVKDAMRVVRAHLGTFRLMEEKTPPPIVDKFGWCTWDAFYLKVHPEGVWEGVRRLADGGCPPGLVLIDDGWQSICHDDDDLGSGAEGMNRTSAGEQMPCRLIKFQENYKFREYKGGMGGFVREMKAAFPTVEQVYVWHALCGYWGGLRPGAPGLPPAKVVAPRLSPGLQRTMEDLAVDKIVNNGVGLVDPRRARELYEGLHSHLQASGIDGVKVDVIHLLEMVCEEYGGRVELAKAYFAGLTESVRRHFNGNGVIASMEHCNDFMLLGTEAVALGRVGDDFWCTDPSGDPDGTFWLQGCHMVHCAYNSLWMGAFIHPDWDMFQSTHPCAAFHAASRAVSGGPVYVSDAVGCHDFDLLRRLALPDGTILRCERYALPTRDCLFADPLHDGKTMLKIWNVNKFSGVLGAFNCQGGGWSREARRNMCAAGFSVPVTARASPADVEWSHGGGGGDRFAVYFVEARKLQLLRRDESVELTLEPFTYELLVVAPVRAIVSPELGIGFAPIGLANMLNAGGAVQGFEAARKDGDVAAEVAVKGAGEMVAYSSARPRLCKVNGQDAEFKYEDGIVTVDVPWTGSSKKLSRVEYFY >ORUFI01G04720.1 pep chromosome:OR_W1943:1:3258592:3265305:1 gene:ORUFI01G04720 transcript:ORUFI01G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDAVDREASGARFEWDEDSQLYYHASTGFYHDPVAGWYYSGRDGQYYIYDNGNYVPWTPDAGNEPKTHNPCDESNQDFLEPSSGLEQDIPDNQNETQRPPSEWSSDVLCLRFAYRMEETLINMFLSGYSNAEVNADISLGDSQINDGDSSETAENKPGNFASENAPSSPCDASLHQTEDELQNENSTAVHESLSEEEEKWLAQYGQVEQEIDDQPLFPSIDLWDWDMVKESVSKGQPMARLVGRLVKGSSKPHPSLPARGGLLRTAPVHEVHLDLVRVSSGKIYRLRNPSRKYLASLSSYDSSNPTKDWGFPNIYANSDNDLDKQSSAQCQSEVMDVFSMKGVSAASAKEHKINAYRDRAAERRILHRGIGIGPGQKQSSSTNFYEHEETSEGMDLMGGASVDMNFRSSGLNSAKKMMENMGWKEGEALGKSTKGMVEPIQPTVNKHGAGLGWKQTR >ORUFI01G04720.2 pep chromosome:OR_W1943:1:3258592:3264379:1 gene:ORUFI01G04720 transcript:ORUFI01G04720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDAVDREASGARFEWDEDSQLYYHASTGFYHDPVAGWYYSGRDGQYYIYDNGNYVPWTPDAGNEPKTHNPCDESNQDFLEPSSGLEQDIPDNQNETQRPPSEWSSDVLCLRFAYRMEETLINMFLSGYSNAEVNADISLGDSQINDGDSSETAENKPGNFASENAPSSPCDASLHQTEDELQNENSTAVHESLSEEEEKWLAQYGQVEQEIDDQPLFPSIDLWDWDMVKESVSKGQPMARLVGRLVKGSSKPHPSLPARGGLLRTAPVHEVHLDLVRVSSGKIYRLRNPSRKYLASLSSYDSSNPTKDWGFPNIYANSDNDLDKQSSAQCQSEVMDVFSMKGVSAASAKEHKINAYRDRAAERRILHRGIGIGPGQKQSSSTNFYEHEETSEGMDLMGGASVDMNFRSSGLNSAKKMMENMGWKEGEALGKSTKGMVEPIQPTVNKHGAGLGWKQTR >ORUFI01G04720.3 pep chromosome:OR_W1943:1:3258592:3262992:1 gene:ORUFI01G04720 transcript:ORUFI01G04720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDAVDREASGARFEWDEDSQLYYHASTGFYHDPVAGWYYSGRDGQYYIYDNGNYVPWTPDAGNEPKTHNPCDESNQDFLEPSSGLEQDIPDNQNETQRPPSEWSSDVLCLRFAYRMEETLINMFLSGYSNAEVNADISLGDSQINDGDSSETAENKPGNFASENAPSSPCDASLHQTEDELQNENSTAVHESLSEEEEKWLAQYGQVEQEIDDQPLFPSIDLWDWDMVKESVSKGQPMARLVGRLVKGSSKPHPSLPARGGLLRTAPVHEVHLDLVRVSSGKIYRLRNPSRKYLASLSSYDSSNPTKDWGFPNIYANSDNDLDKQSSAQCQSEVMDVFSMKGVSAASAKEHKINAYRDRAAERRILHRGIGIGPGQKQSSSTNFYEHEETSEGMDLMGGASVDMNFRSSGLNSAKKMMENMGWKEGEALGKSTKGMVEPIQPTVNKHGAGLGWKQTR >ORUFI01G04730.1 pep chromosome:OR_W1943:1:3269123:3273912:1 gene:ORUFI01G04730 transcript:ORUFI01G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGLSRRRRPDAAALLCCVVAVVAACMVGGALAADAQGAALLAWKRTLRGGDTALPDWNPADASPCRWTGVRCNANGRVTELSLQQVDLLGGVPDNLSAAMGTTLERLVLAGANLSGPIPAQLGDLPALTHLDLSNNALTGSIPASLCRPGSKLESLYVNSNHLEGAIPDAIGNLTALRELIIFDNQLDGAIPASIGQMASLEVLRGGGNKNLQGALPPEIGNCSKLTMLGLAETSISGPLPATLGQLKNLNTLAIYTALLSGPIPPELGRCTSLENIYLYENALSGSIPAQLGGLANLKNLLLWQNNLVGVIPPELGACTGLAVVDLSMNGLTGHIPASLGNLSSLQELQLSVNKVSGPIPAELSRCTNLTDLELDNNQISGAIPAELGKLTALRMLYLWANQLTGTIPPEIGGCAGLESLDLSQNALTGPIPRSLFRLPRLSKLLLIDNTLSGEIPPEIGNCTSLVRFRASGNHLAGDIPPEVGKLGSLSFLDLSTNRLSGAIPPEIAGCRNLTFVDLHGNAIAGVLPPGLFQGTPSLQYLDLSYNAIGGAIPANIGMLGSLTKLVLGGNRLSGQIPPEIGSCSRLQLLDLSGNSLTGAIPASIGKIPGLEIALNLSCNGLSGAIPKGFAGLARLGVLDVSHNQLTGDLQPLSALQNLVALNISYNNFTGRAPETAFFARLPASDVEGNPGLCLSRCPGDASDRERAARRAARVATAVLLSALVALLAAAAFVLFGRRRQPLFGRGSTSPADGDGKDADMLPPWDVTLYQKLEISVGDVARSLTPANVIGQGWSGAVYRASIPSTGVAIAVKKFRSSDEASVDAFACEVGVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHGGGAAIGAAVVEWEVRLSIAVGVAEGLAYLHHDSVPAILHRDVKSDNILLGERYEACLADFGLARVADDGANSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEIITGRRPIEAAFGEGQTVVQWVREHLHRKRDPAEVIDSRLQGRSDTQVQEMLQALGIALLCASTRPEDRPTMKDVAALLRGLRHDDSAEARKAGSGSAIKWADPRQPGSPTKPMAQAQAHSHTSSLAYSTTGSV >ORUFI01G04740.1 pep chromosome:OR_W1943:1:3280296:3286608:-1 gene:ORUFI01G04740 transcript:ORUFI01G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHLSLKSRSSREEEDNPLQLQPASIQTELISSSSSNSKPTKFREIQERYERDRSTSLTMASSGCSSNRLRQTIVVALLLLASSSCLASAKHRGNGTTTTVPFHGKDELRRYRKIMAQVARLKKASSPDGDVIDCVPAHLQPAFDHPKLRGQKPEAEPEERPKVGGAAAAEAEEEAVFPQAWTDGGESCPEKTVPVRRTRRRDVLRSSSAVRFGMKQPRAAGVVRRDSTSDGHEHAVGYVTGDQFYGAKASLNVWSARVATAAEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDNNPRFFTYWTTDAYQATGCYNLHCSGFVQTNNRIAIGAAISPTSVYNGRQFDISLLIWKDPRRGHWWLQLGSGPLVGYWPSSLFTHLGGHANMVQFGGEVVNTRPSGSHTPTQMGSGHFPREGFNRAAYFRNLQVVDWDNNLLPAAALRLVADHPSCYDIQGGYNRAWGNYFYYGGPGRNVRCP >ORUFI01G04740.2 pep chromosome:OR_W1943:1:3280296:3286268:-1 gene:ORUFI01G04740 transcript:ORUFI01G04740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLILPCCLGSNSSCSKSRSSREEEDNPLQLQPASIQTELISSSSSNSKPTKFREIQERYERDRSTSLTMASSGCSSNRLRQTIVVALLLLASSSCLASAKHRGNGTTTTVPFHGKDELRRYRKIMAQVARLKKASSPDGDVIDCVPAHLQPAFDHPKLRGQKPEAEPEERPKVGGAAAAEAEEEAVFPQAWTDGGESCPEKTVPVRRTRRRDVLRSSSAVRFGMKQPRAAGVVRRDSTSDGHEHAVGYVTGDQFYGAKASLNVWSARVATAAEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDNNPRFFTYWTTDAYQATGCYNLHCSGFVQTNNRIAIGAAISPTSVYNGRQFDISLLIWKDPRRGHWWLQLGSGPLVGYWPSSLFTHLGGHANMVQFGGEVVNTRPSGSHTPTQMGSGHFPREGFNRAAYFRNLQVVDWDNNLLPAAALRLVADHPSCYDIQGGYNRAWGNYFYYGGPGRNVRCP >ORUFI01G04750.1 pep chromosome:OR_W1943:1:3290529:3291107:-1 gene:ORUFI01G04750 transcript:ORUFI01G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFAAAWARQGRARAAAGVAAGSPTSAAAEIDLLLLTICRSSVIVTQNSARYSGPDEQLQ >ORUFI01G04760.1 pep chromosome:OR_W1943:1:3291985:3297167:1 gene:ORUFI01G04760 transcript:ORUFI01G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGLARDAAAAYLRRGGAPAHVFSAASRAPGPVVANPGGELRAFGLFRSPMARRADAFEVPSAAGRHGAQGVWSRSSVPALVRAGAPNSRALPFLVGRVVRGFYPQLSGHKLVKGLGMGSTLAATFCSQKVAYAEEVAEQPSEGLIGPSTKHQISKLWTIIRKYQLPVGLIALIALGWQNPLGLFINVLLILYSSRPSPYSIYLFLQEVRHGEMHQNRAFWKEEAVLTRKVDTKDYKLFSIGTVESADREVLHVIGILGNWWIYRASYGKSKNLFVMGGRKIGVAVDFSSCSKAALRWASTNLTRSGDQLILIHVNSSYHNEQGAVQLWEQSGSPLIPLAEFSDPHVAKTYAVSPDKETLEILNQMSNQRGVEVLAKILYGDPAKKLYEAVDLVPLNCLVVGNRGLSTLKRALMGSVSSYIVNNATCPVTVVKENI >ORUFI01G04770.1 pep chromosome:OR_W1943:1:3298228:3301631:1 gene:ORUFI01G04770 transcript:ORUFI01G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRAGCCVRFIGCCLAADGDAALSQSAAALHRMASAFLDAHGEPLVLLDHRVLCSHGVATVGHSQAFAAAMRQRREEIPPAPFRILLQEEYVGWAEVAACANGNKIVDKKSWRASVRNMLDAVYRMICQVLASAVRSVWAIGTYMLPLSAAEFFRRRLPASAVPEKISWRGVISTFRFQIFPDTCAIVACSVCIEAQHRLEFERLHGQGTFILELPDSTRKLRRFCLERKAWFKGKGAHIDSLLSLIQETGGVPAISTTNTRSSLLLPLHSYDYFSLRGCWTNLTPQQAAQLIFTGGPCIGSLWVDGSYTSKHHYSDDNDDDEEDMLVYRGCDPKKKIHRDKETGLHAVVCYAYRFIGKELHIRVQDNMPICSPHNWILFQAFDMFYTLRVMPLDASRLYDPLV >ORUFI01G04780.1 pep chromosome:OR_W1943:1:3303470:3306632:1 gene:ORUFI01G04780 transcript:ORUFI01G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALSSAAAALDSAITAAAAGLVGAALRHYNANNKAGAGFIPLEPSMAACRACCCGPKGFTRLVGFWARRRRSGTPPPGTSSSAAGRCRRRRNTAPPPGATPDCCCFYAELHFDRLGSLVVDTCIIVGKPPSRQEQLTDKQPRPNKKKKQWREMEEIREFCPSYEEALQLNPTDEAVSEEELRHSHCC >ORUFI01G04780.2 pep chromosome:OR_W1943:1:3303470:3306632:1 gene:ORUFI01G04780 transcript:ORUFI01G04780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALSSAAAALDSAITAAAAPPSRQEQLTDKQPRPNKKKKQWREMEEIREFCPSYEEALQLNPTDEAVSEEELRHSHCC >ORUFI01G04790.1 pep chromosome:OR_W1943:1:3307043:3308791:1 gene:ORUFI01G04790 transcript:ORUFI01G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIAAKSGGGVLAQRGGGGGNLFGLAAASALSASTSTAAATTPQRISHYLAHHPRATWEALSAAFPAADHVDAVLLSLAKNLHSSSSSYSPELVARNALTFFYWAASSSSSSTPHTLRAYCLLVHLLSRAALIRDASVLLESAIAKHSSSSPASAFLDAFFAAYEDSGTAATTRGLHLLVHAYARARLPEEALEACRYLAQRGVVPSLPAFNAVLHAAQRTGRFGVAWEVFELMTLKRVYANQSTVELVIGVLSREGALARMAALVERIHGKKCAPGVVAHVALTLKIFEEGRTEQGILLLRRMLQRNMVFDNIAYSLIVHAHCQAGDLKSACEQRDDMVRRGCRLNSFVYTCLIRVHCRAGDVDEAMQLFEEMISIGLKPYDATYSHLTAGCFRQGRMKEGSEYMDKMLHQGSVPDIGTCNDMLEALCDSGHVSKANELLTALMDKGFVPDQNTYLRMTNGYGKVGDAQGIIKIYHEMEHRGLNIGVDVFSSLIRALCKCGDLKEAEKFLAILERKLLAPTSEIYDLLISGNCEKGNTKKALWFYDRMMTGNDKLVPSADTFMMLVRRVIKPKSTCSPNC >ORUFI01G04800.1 pep chromosome:OR_W1943:1:3311276:3311614:-1 gene:ORUFI01G04800 transcript:ORUFI01G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARRLKMLVLVSLVPLALRATSLLAGHVAPPCSPESLRPEHQPAGAGDGVTMGGGGASASSYRRNRRRMEGGLAAAAVAFHARRFRPHGGGGFEADKRLAPTGSNPLHNLR >ORUFI01G04810.1 pep chromosome:OR_W1943:1:3313678:3318677:-1 gene:ORUFI01G04810 transcript:ORUFI01G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRWWAAPLAAVLAVILLPSSTATLSPAGINYEVVALMAIKTELQDPYNVLDNWDINSVDPCSWRMVTCSADGYVSALGLPSQSLSGKLSPGIGNLTRLQSVLLQNNAISGTIPASIGRLGMLQTLDMSDNQITGSIPSSIGDLKNLNYLKLNNNSLSGVLPDSLAAINGLALVDLSFNNLSGPLPKISSRTFNIVGNPMICGVKSGDNCSSVSMDPLSYPPDDLKTQPQQGIARSHRIAIICGVTVGSVAFATIIVSMLLWWRHRRNQQIFFDVNDQYDPEVCLGHLKRYAFKELRAATNNFNSKNILGEGGYGIVYKGFLRDGAIVAVKRLKDYNAVGGEVQFQTEVEVISLAVHRNLLRLIGFCTTENERLLVYPYMPNGSVASQLRELVNGKPALDWSRRKRIALGTARGLLYLHEQCDPKIIHRDVKASNVLLDEYFEAIVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGVLLVELITGQKALDFGRLANQKGGVLDWVKKLHQEKQLSMMVDKDLGSNYDRVELEEMVQVALLCTQYYPSHRPRMSEVIRMLEGDGLAEKWEASQNVDTPKSVSSELLPPKFMDFAADESSLGLEAMELSGPR >ORUFI01G04820.1 pep chromosome:OR_W1943:1:3322213:3326568:-1 gene:ORUFI01G04820 transcript:ORUFI01G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVDAAAPGGGEGAGGVRSRCGRGALHLAAANGRTHVCRFLLEGLGLPVDALSASGAPPSRPAAAARSSHVSSMLAERLISCFVFDAAAHAGETPLLLAATFGHTSTAAYLLDRGADPSTPDPNGGDTPLHWAAYNGDRELAKLLLLRGADVGAANPRGTALHVAAARGHAAVVSVLLNHGADPNKIANIVFTPLVSSLLGGSLECMKLLIQAGANVNGAGFNGATPLLLACSRTGSIGFIKCLVESGADPNIPDELDRLPIEIAAIHAEREVIEVLLPLTHQVPTLLDWSVGGIIRYVKYPAYKEWARNASCKRKDELKLQGNSAFNNEDYDAAILLYSMAMKFDNTDAKLYSNRSACWLNLGIGDEALSDAQICSKMQPDWAKGYYRQGMAFSLLQDYASASYVLRRALKLDPQNATVAKALRCYENKIYMTENVLEKRGDVLETACPRMEESGLAFVHQKKRDWSPAAAGRSVPDATFQIDKKILPVWVKIWGVPRRPLLGCGWMYLPPVNNVPESSAPEEQQITVLGSE >ORUFI01G04830.1 pep chromosome:OR_W1943:1:3328046:3328267:1 gene:ORUFI01G04830 transcript:ORUFI01G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDMACLLGWWTPRQLWLTGVDALSVSVDVADSPWGQDCSRGTKGPALSTLAYGAPWLTAWSARQEAAAAL >ORUFI01G04840.1 pep chromosome:OR_W1943:1:3329088:3333293:-1 gene:ORUFI01G04840 transcript:ORUFI01G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAAALHADAAPASAAPGSSFPDAYGDRARAAQLPPRKQQPQVAYALRRASATSSAHLHGATSRSFTGVATEGCEQIRVFLGIGCCDEVKARYSRYETIVKLASSIGTVVPVALSIVPYFYQVYTGNFERTIEVYGAPAMLAGSINSIIWLTYSIMASKKDLNLALILMHALLCMSTFTYLMCICACKRATKEGYILGAFFIGCLSAISIVVHWDLNPSKVVKIIFRCFGGISLLSCHYILLNDILGSVPKRSQKVATGFDLILSCITNLVTFIITFHDHPEHTTSLVSIVGYFFPLSEHPDADVEASRHISATRGMIPLEDALGTPETDDFIAVLTHEPQVPQFEVSYLILQVRNGFEIYSLRSMVRCQGTET >ORUFI01G04850.1 pep chromosome:OR_W1943:1:3335880:3336319:-1 gene:ORUFI01G04850 transcript:ORUFI01G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKGMLWFVAVYSPSVPFICFGGLRPPRPVEADASHAVGGYMSLLMLVSMHMHLFLQRAPYAVLKDLLDVGVKRIGIATVLVFLSCLGLSFGVTWVAIALDCVYLVIIAHVLAIWVRLVRTYALRD >ORUFI01G04860.1 pep chromosome:OR_W1943:1:3336356:3341276:-1 gene:ORUFI01G04860 transcript:ORUFI01G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLLPWTAAPAPTPTVGEMTAKAGIHRAVLWFVAFYYPSIPFIGFGGIAYMFCFCAMPDDTFSGCVRRRDLWRLTPLLLCAAYMSLLALVSMHTRLFLPRAPNAVLTDLLDVGTVRVGIPLAWLACVGTGAGFTFAIALDCVFVVLIARVLAIWSRLVRTYLHSVLPWTAAPAPTPTVGETTARTGIHRAVLWFLAVYFPSIPIFTTSGAAYALCTALQYPTFSGCVRRDLWRLTTLTLWAAYMSLLALVSMHMRLFLPRAPDAVLTDLLDVGAARIGIPLAFLACLAASLGVTRVAIALDCVFVVLIAHVLAIWSRLVRTLKLLP >ORUFI01G04870.1 pep chromosome:OR_W1943:1:3342209:3345647:-1 gene:ORUFI01G04870 transcript:ORUFI01G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDWMVGFFSVEEREEEEEEGIHSRGFRREQESTCGLTLEISLCRLASPKSEANDGGVEVVRGRGIDGEREAAAAAGRLEKERQWRSQEQEPNHRRRTGMKRLITAAMPSSTMAVDTTASEYWLNWRFMLCAVWVYSCMVLACFLIWKYEGPSSQDGNGDGGEDSEDARPPRAASGVVYLEDCWKPCLEQIHPGWLLAFRVVSFFILASLLAVDVVVDGWSVFLYYTQWTFLLVTLYFGLGSVLSIYGCYQYSYKNGDNRSGADHGTYIIAPAGESVYDQSIKNPCYSKMHGGKEIAGFWGYLFQIMFQLLIGTHSINVVFMIGDTAMNSLRFPWFRIAYFLLWTGAFVNVQWLIHASISIWWPYPFLDLAFPKAPVFGGSSDAFPLLCFIRPGYEAKTISAGKMVSSELHLRVANKNIYSVTISLLLHPANANYMELLLTVNS >ORUFI01G04870.2 pep chromosome:OR_W1943:1:3342209:3345647:-1 gene:ORUFI01G04870 transcript:ORUFI01G04870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDWMVGFFSVEEREEEEEEGIHSRGFRREQESTCGLTLEISLCRLASPKSEASRERERNRRREGGGGGGRKVGEGEAVEKSRTRTQSPKRLITAAMPSSTMAVDTTASEYWLNWRFMLCAVWVYSCMVLACFLIWKYEGPSSQDGNGDGGEDSEDARPPRAASGVVYLEDCWKPCLEQIHPGWLLAFRVVSFFILASLLAVDVVVDGWSVFLYYTQWTFLLVTLYFGLGSVLSIYGCYQYSYKNGDNRSGADHGTYIIAPAGESVYDQSIKNPCYSKMHGGKEIAGFWGYLFQIMFQLLIGTHSINVVFMIGDTAMNSLRFPWFRIAYFLLWTGAFVNVQWLIHASISIWWPYPFLDLAFPKAPVFGGSSDAFPLLCFIRPGYEAKTISAGKMVSSELHLRVANKNIYSVTISLLLHPANANYMELLLTVNS >ORUFI01G04880.1 pep chromosome:OR_W1943:1:3349684:3353080:1 gene:ORUFI01G04880 transcript:ORUFI01G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLRRSPRIKELNEKVQVNNDQVPATKPIVLQDSNTRKRKGKLPIGHARDKNAQRTPPHQLNLQSSRQMNIGGSAYMSHLLGINPTQVHDQFGFSSASFGQSSSLPQNQATYSGILPREGQTTAYLYYPFLSSFTPDASTPSGSTSKQGTPVAHDNTTEQLRKLTEANTIGGSNPRTSSHLLNHHISSFFPVTPLPNTNHGSLAPLQPPRNEQPPLPPYVSSEITTTGNRPAESFFQPPPPPPPRPLDTGAGDNDDMAAVERGPRCDLQTVDLLSLVESVGTPGFLANSARVLGSMHEPRRGVAAAAASGGAAPPPPEPSLVLGLGDGNGDGREKAWSYWNNSSAMARTMERKRRSETATAMATATQASQALPVKTTLGLGEDAMKRRELHKPNKMEM >ORUFI01G04890.1 pep chromosome:OR_W1943:1:3353011:3356452:-1 gene:ORUFI01G04890 transcript:ORUFI01G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGKHGESSPPSDRRPLHFAAFLLLADAALVALIVAFVPYTKIDWDAYMAQVDAFVEGERDYTKIEGDTGPLVYPAGFLYVYSAIKLLTAGQVFPAQILFGVLYIVNLSLVLLMYVKTEVLPWWALGLLCLSKRVHSIFVLRLFNDCFAMTFLHAAMVLIMYHKWYLGLVIFSAAVSIKMNVLLFAPSLLLLMLKAMSIKGVFFALLGAAALQVLLGMPFLLSHPVEYISRAFNLGRVFIHFWSVNFKFVPEKFFVSKELAVALLVLHLTTLLVFAHYKWLKHEGGLFHFLHSRFKDATSIGQLIFAKPKLSTLNKEHIVTVMFVGNFIGIVCARSLHYQFYSWYFYSLPFLLWKTRFPTFVRVILFLAVELCWNIYPSTAYSSLLLLFIHISILFGLWSSPAEYPYANGKK >ORUFI01G04890.2 pep chromosome:OR_W1943:1:3352885:3356452:-1 gene:ORUFI01G04890 transcript:ORUFI01G04890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGKHGESSPPSDRRPLHFAAFLLLADAALVALIVAFVPYTKIDWDAYMAQVDAFVEGERDYTKIEGDTGPLVYPAGFLYVYSAIKLLTAGQVFPAQILFGVLYIVNLSLVLLMYVKTEVLPWWALGLLCLSKRVHSIFVLRLFNDCFAMTFLHAAMVLIMYHKWYLGLVIFSAAVSIKMNVLLFAPSLLLLMLKAMSIKGVFFALLGAAALQVLLGMPFLLSHPVEYISRAFNLGRVFIHFWSVNFKFVPEKFFVSKELAVALLVLHLTTLLVFAHYKWLKHEGGLFHFLHSRFKDATSIGQLIFAKPKLSTLNKERKIKKGYGNLKL >ORUFI01G04900.1 pep chromosome:OR_W1943:1:3358628:3362945:1 gene:ORUFI01G04900 transcript:ORUFI01G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAAAASRATAWSTARHGAARVTASASFSGGGGIVAGAALPLRVRGGQLMSLPLLSGGRAVTARVAAAEAPLPADDADAAAGRERGALAETAQLGAMIVAWYLLNIYFNIYNKQVLQPLPFPYTITAFQLAFGSFVIFLMWALKLHPAPRISISQLAKIAPLAAGHMLGTVFTNMSLGKVAVSFTHTIKASEPFFTVLLSAFFLGETPSLLVLGSLVPIVGGVALASLTELSFNWIGFWSAMASNLLYQSRNVLSKKLLGGEEEALDDINLFSILTILSFLLSLPLMLFSEGVKFSPGYLRSTGLNLQELCVRAALAGFCFHGYQKLSYLILARVSPVTHSVANCVKRVVVIVASVLFFRTPISPVNALGTGVALGGVFLYSRLKRTKPKNA >ORUFI01G04910.1 pep chromosome:OR_W1943:1:3361609:3365785:-1 gene:ORUFI01G04910 transcript:ORUFI01G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAATARGPRYAPPDPTLPKPWRGLIDGNTGYLYFWNPETKAVQYDRPTAPPPSSPPAQQPPERPRNSDPAESQAQAGASRTQNAAPADDRARNDHLNDHFERRTEAAGSHAQNVPFTEQNTRSNPSSQPCSAAGVYPAQNVFSEAASGDRTSPEAYRAKHEITIVGNEAPAPFMTFQSTGFPPEILREVQQAGFSAPTPIQAQSWPIALRNRDIVAVAKTGSGKTLGYLIPGFILLKRLQHNSRDGPTVLVLSPTRELATQIQDEAKKFGRSSRISSVCLYGGAPKGPQLRDLERGADIVVATPGRLNDILEMRRVSLHQVSYLVLDEADRMLDMGFEPQIRKIVKQVQPKRQTLMFTATWPKEVRKIASDLLSNPVQVNIGNTDQLVANKSITQYVDVITPPEKSRRLDQILRSQEPGSKIIIFCSTKRMCDQLARNLARQYGASAIHGDKSQAERDSVLSEFRSGRCPILVATDVAARGLDIKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGVAYTFFCDQDSKYASDLVKILEGANQSVSQQLRDMVSRGGYGGRSRRWASSDDSYGGRGYDSGYTSRSTDNYNSGYGSQSRNASITATVAISLAILLASKPAFITAAATIKPVTIQAFMPATTINLVMVSAFMPGSIALLEAAIRAEQTMLAFVIEVGVLQAIATMKILGPRLLVSPTGKC >ORUFI01G04920.1 pep chromosome:OR_W1943:1:3367903:3370439:-1 gene:ORUFI01G04920 transcript:ORUFI01G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGGGGGGGGGGEGGSASRVGDWGVDLGEGWDWRSIPKLLSSACLFICSGGCFGCCDKAVRHVGQLSKSLITPDQNYTIGDELWSTTTIEVEQSWALDQQGVGSSQGPTEFVNHGLVLWKEIRKDWTAKTRQIPETKQIREPILSWNAAYESLLGSNKPFHQPIPLHEMVDFLVDIWEQEGLYD >ORUFI01G04930.1 pep chromosome:OR_W1943:1:3372511:3376817:-1 gene:ORUFI01G04930 transcript:ORUFI01G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASEVASLTLSLFSRNFIISLDPICSPSSSTLPISALAIAILLSLPGEDASLRRSPPAMAQMLLHGTLHATIFEAASLSNPHRASGSAPKFIRKFVEGIEDTVGVGKGATKVYSTIDLEKARVGRTRMITNEPINPRWYESFHIYCAHMASNVIFTVKIDNPIGATNIGRAYLPVQELLNGEEIDRWLDICDNNREPVGESKIHVKLQYFDVSKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPKIPLADGKNYEPHRCWEDIFDAISNAQHLIYITGWSVYTEITLVRDSNRPKPGGDVTLGELLKKKASEGVRVLMLVWDDRTSVGLLKRDGLMATHDEETENYFHGSDVNCVLCPRNPDDSGSIVQDLSISTMFTHHQKIVVVDHELPNQGSQQRRIVSFVGGLDLCDGRYDTQYHSLFRTLDSTHHDDFHQPNFATASIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLLQLRDLSDTIIPPSPVMFPEDRETWNVQLFRSIDGGAAFGFPDTPEEAAKAGLVSGKDQIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSYAWKPEGIKPEDIGALHLIPKELALKVVSKIEAGERFTVYVVVPMWPEGVPESGSVQAILDWQRRTMEMMYTDITEALQAKGIEANPKDYLTFFCLGNREVKQAGEYQPEEQPEADTDYSRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPYHLATRQPARGQIHGFRMALWYEHLGMLDDVFQRPESLECVQKVNRIAEKYWDMYSSDDLQQDLPGHLLSYPIGVASDGVVTELPGMEYFPDTRARVLGAKSDYMPPILTS >ORUFI01G04930.2 pep chromosome:OR_W1943:1:3372511:3375967:-1 gene:ORUFI01G04930 transcript:ORUFI01G04930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLLHGTLHATIFEAASLSNPHRASGSAPKFIRKFVEGIEDTVGVGKGATKVYSTIDLEKARVGRTRMITNEPINPRWYESFHIYCAHMASNVIFTVKIDNPIGATNIGRAYLPVQELLNGEEIDRWLDICDNNREPVGESKIHVKLQYFDVSKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPKIPLADGKNYEPHRCWEDIFDAISNAQHLIYITGWSVYTEITLVRDSNRPKPGGDVTLGELLKKKASEGVRVLMLVWDDRTSVGLLKRDGLMATHDEETENYFHGSDVNCVLCPRNPDDSGSIVQDLSISTMFTHHQKIVVVDHELPNQGSQQRRIVSFVGGLDLCDGRYDTQYHSLFRTLDSTHHDDFHQPNFATASIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLLQLRDLSDTIIPPSPVMFPEDRETWNVQLFRSIDGGAAFGFPDTPEEAAKAGLVSGKDQIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSYAWKPEGIKPEDIGALHLIPKELALKVVSKIEAGERFTVYVVVPMWPEGVPESGSVQAILDWQRRTMEMMYTDITEALQAKGIEANPKDYLTFFCLGNREVKQAGEYQPEEQPEADTDYSRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPYHLATRQPARGQIHGFRMALWYEHLGMLDDVFQRPESLECVQKVNRIAEKYWDMYSSDDLQQDLPGHLLSYPIGVASDGVVTELPGMEYFPDTRARVLGAKSDYMPPILTS >ORUFI01G04950.1 pep chromosome:OR_W1943:1:3383533:3384108:1 gene:ORUFI01G04950 transcript:ORUFI01G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRPPPQRHHHLVLLALAAFSCLASGTATPTPTTSSQSQQPQPAGTLLQPALAADLAARTCWYTVQIKTSCASPRRTSDAVSLAFGDAYRNEVYAARLAGSSSSPQPSSSAAFERCATDTFRVGGPCGYGVCYLYLRRSGRDGWTPQWVRVYEPTSDTPSTFYYGDPLPNAVWYGFNRCPRLAASAAAQ >ORUFI01G04960.1 pep chromosome:OR_W1943:1:3384768:3385355:1 gene:ORUFI01G04960 transcript:ORUFI01G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDNLGTGLEEIALIPQKRSELLAHTKNSTVNLFERSRNGKSPETRRKMMTMGQISIKIHAW >ORUFI01G04970.1 pep chromosome:OR_W1943:1:3385421:3388215:1 gene:ORUFI01G04970 transcript:ORUFI01G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPEGGSLIMEGDIPGTGLEKIPLIPQKRSELLAHSNSTVNLLEMSRNGRIIAINDTGNPVVPQRIWVEAKYTFVLLLVLTRSSEAQKRPLYNVLDFNATGDGNTDDTKAFLAAWEETCNNEGWPILIIPGGRTFLLKQIKFNGSCKSPIKIQMDGNIVAPNYIWASEADNLITFYSVNNLTLDGNGQIDGKGAIWWTCYTEKILAFAACNNLSVTNIHLTNSADKHMTVYRCSQVHVHNVTIVAPGDSPNTDGITMAISDHVYISNCSIQSGDDCVSMLSYTTDVNITDITCGPGHGISVGSLGRFETALVERITVSNCNFIGTKNGVRIKSWQGGMGQATGFIFENINMTAVESPIIIDQFYCPQGNCPLKDGGVAISDARFINIRGTSSEQEAIKILCSQSVHCQGIYLSNINLSWENHTALANATILNANGTVEGSVVPKVVFS >ORUFI01G04980.1 pep chromosome:OR_W1943:1:3388371:3389489:-1 gene:ORUFI01G04980 transcript:ORUFI01G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSACCYRPLSAPPLHRRRGPPPPQVAAAAATGGGQSVKAATDAEFFQTSDTRPIMLFDGVCNLCNGGVRFVQEHDPNRSIRYIPLQSESGRKLLQRSGRAPDDISSVVLVEKDRSYIKSEAVLRIMGYLNLPFPQLATFLNFVPLFLRDFAYDNVADNRYAVFGRSESESCEIL >ORUFI01G04990.1 pep chromosome:OR_W1943:1:3390426:3391864:-1 gene:ORUFI01G04990 transcript:ORUFI01G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEITEGVRNLAVEGEPAAAAAAAGGGGEGAQRRAAGSSSNRIQVSNTKKPLFFYVNLAKRYMQQHGDVELSALGMAIATVVTVAEILKNNGFAVEKKIRTSTVEINDESRVRPLQKAKIEIVLEKSEKFDELMAAAAEEREAAEAEEQA >ORUFI01G05000.1 pep chromosome:OR_W1943:1:3392845:3393367:-1 gene:ORUFI01G05000 transcript:ORUFI01G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTCQLVRVSLLSCPRGTHRSLEAADREDAGDGCSSSRPSGAYSSSPPTAWNGVEERRRGRRQAETTPEFAEADRRRACGVDRSDLGGVDQAAAELKPQTVTVTVVRYRLADEGLDEELAHMRDEYDRLRRHGGPRVSGCSSPPPLTLPPPLPASSSGAAHTHPSRRPR >ORUFI01G05010.1 pep chromosome:OR_W1943:1:3409537:3412794:1 gene:ORUFI01G05010 transcript:ORUFI01G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAEAEAVAHAVQNDRLPVRSVLQMLFSEHGKLNRLAELSGGASFGAPSLVFDLPGARCPPSARCSPSTMSSAASARTSPASRYGFITAISAATVQCSALQAQVDRLSMERRWHGGGGGFFKWSTFWFGGMSSDVARKGI >ORUFI01G05020.1 pep chromosome:OR_W1943:1:3412296:3420878:-1 gene:ORUFI01G05020 transcript:ORUFI01G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHEQCGSCVVDRCLSLNNAGRVAISGTTQFCRRRRRRRYIYYDEQASRPAETPEPGEEEASSPATNKLVAGCKAAAGGEETRDGVLKNSKVVHVHVRRGRVAEWVPRSGSVQLVSHTRCVSAEEAELLACYEGLKLAAEWIPMPFVGTY >ORUFI01G05030.1 pep chromosome:OR_W1943:1:3417526:3419939:1 gene:ORUFI01G05030 transcript:ORUFI01G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTTAGVKCGGGGAALPLSTLNHVSLVCRSLSTSLTFYRDFLGFVSVRRPGSFDFDGAWLFNYGIGIHLLQAEDPESMPPNKEINPKDNHISFTCESMEAVQRRLKEMGVRYVQRRVEEGGVYVDQIFFHDPDGFMIEICTCDKLPVVPLDAAAAHSIFAGRSPPPPVACKIRPVKQPSATKLGSVAAGGCVGEVIVVDAINGAAAAGGGGAMS >ORUFI01G05040.1 pep chromosome:OR_W1943:1:3441982:3449060:1 gene:ORUFI01G05040 transcript:ORUFI01G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAAPMPLAAMAASGRDDGDDVPLFFLHAAGAAAHLAVAVAVAARMVFGWWCRRGKDGEVRGGGGGGGGFRWRWVAASATWVLGGFGVILAAYEGYLGGGGGGGGWSRGAVVEEADAAARAVAWLLLAAYLQYRFGWRREERFPAPLRLWWALFLLLSVLAVAVHAVTGLDGRPVPAHSWALDAVSVLAGVVLLFAGFLGRREPGDSAIEEPLLNGSGASATAAGENNSNNCAADASMFTGAGFLSVLTFSWMGPLLAVGHRKTLDLDDVPGLDPGDRVAGLLPPFKTNLEALAGDGSGRKVTAFTLSKALVRTVWWHVAVTAFYALVYNVSTYVGPYLIDSLVQYLNGDERYASKGQLLVLAFIVAKVFECLSQRHWFFRLQQAGIRARSALVAVVYQKGLVLSSQSRQSRTSGEMINIISVDADRVGLFSWYMHDLWLVPLQVGMALFILYSTLGLASLAALGATVVVMLANVPPGQMQEKFQQKLMDCKDVRMKATSEILRNMRILKLQGWEMKFLSKIIDLRKTETNWLKKYLYTSTIVTFVFWGAPTFVAVVTFIACMLMGIPLESGKVLSALATFRVLQEPIYNLPDTISMLIQTKVSLDRIASFLCLEELPTDAVLKLPSGSSDVAIEVRNGCFSWDASPEVPTLKDLNFQAQQGMRIAVCGTVGSGKSSLLSCILGEIPKLSGEVKTCGTMAYVSQSAWIQSGKIQDNILFGKQMDNEKYDRVLESCSLKKDLEILPFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGELASKTVVYVTHQIEFLPAADLILVMKGGRIAQAGKYDEILGSGEEFMELVGAHKDALTALDAIDVTNGGNEASSSSKTASLARSVSVEKKDKQNGKEDDANAQSGQLVQEEEREKGRVGFWVYWKYLTLAYRGALVPFILLAQILFQVLQIASNYWMAWAAPVSKDVEPPVSMSTLIYVYVALAFGSSLCILVRALILVTAAYKTATLLFNKMHMSIFRAPMSFFDSTPSGRILNRASTDQSEVDTSIAYQMGSVAFSIIQLVGIIAVMSQVAWQVFVVFIPVLAACFWYQRYYIDTARELQRLVGVCKAPIIQHFAESITGSTTIRSFGKENQFVSTNSHLMDAFSRPKFYNAAAMEWLCFRLDMLSSLTFAFSLIFLVNLPTGLIDPGISGLAVTYGLNLNMLQAWVVWSMCNLENKIISVERILQYMSIPAEPPLSVQDDKLTQDWPSEGEIMLNNVHVRYAPHLPFVLKGLTVTFPGGMKTGIVGRTGSGKSTLIQALFRIIDPTVGQILVDSIDICTIGLHDLRSRLSIIPQEPTMFEGTVRTNLDPIGEYTDSQIWEALDRCQLGDEVRRKELRLDSPVIENGENWSVGQRQLVCLGRVILKRSKILVLDEATASVDTATDNLIQKTLRQQFSDATVITIAHRITSVLDSDMVLLLDNGVAVERDTPTRLLEDKSSLFSKLVAEYTMRSTHT >ORUFI01G05040.2 pep chromosome:OR_W1943:1:3441982:3449060:1 gene:ORUFI01G05040 transcript:ORUFI01G05040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAAPMPLAAMAASGRDDGDDVPLFFLHAAGAAAHLAVAVAVAARMVFGWWCRRGKDGEVRGGGGGGGGFRWRWVAASATWVLGGFGVILAAYEGYLGGGGGGGGWSRGAVVEEADAAARAVAWLLLAAYLQYRFGWRREERFPAPLRLWWALFLLLSVLAVAVHAVTGLDGRPVPAHSWALDAVSVLAGVVLLFAGFLGRREPGDSAIEEPLLNGSGASATAAGENNSNNCAADASMFTGAGFLSVLTFSWMGPLLAVGHRKTLDLDDVPGLDPGDRVAGLLPPFKTNLEALAGDGSGRKVTAFTLSKALVRTVWWHVAVTAFYALVYNVSTYVGPYLIDSLVQYLNGDERYASKGQLLVLAFIVAKVFECLSQRHWFFRLQQAGIRARSALVAVVYQKGLVLSSQSRQSRTSGEMINIISVDADRVGLFSWYMHDLWLVPLQVGMALFILYSTLGLASLAALGATVVVMLANVPPGQMQEKFQQKLMDCKDVRMKATSEILRNMRILKLQGWEMKFLSKIIDLRKTETNWLKKYLYTSTIVTFVFWGAPTFVAVVTFIACMLMGIPLESGKVLSALATFRVLQEPIYNLPDTISMLIQTKVSLDRIASFLCLEELPTDAVLKLPSGSSDVAIEVRNGCFSWDASPEVPTLKDLNFQAQQGMRIAVCGTVGSGKSSLLSCILGEIPKLSGEVKTCGTMAYVSQSAWIQSGKIQDNILFGKQMDNEKYDRVLESCSLKKDLEILPFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGELASKTVVYVTHQIEFLPAADLILVMKGGRIAQAGKYDEILGSGEEFMELVGAHKDALTALDAIDVTNGGNEASSSSKTASLARSVSVEKKDKQNGKEDDANAQSGQLVQEEEREKGRVGFWVYWKYLTLAYRGALVPFILLAQILFQVLQIASNYWMAWAAPVSKDVEPPVSMSTLIYVYVALAFGSSLCILVRALILVTAAYKTATLLFNKMHMSIFRAPMSFFDSTPSGRILNRASTDQSEVDTSIAYQMGSVAFSIIQLVGIIAVMSQVAWQVFVVFIPVLAACFWYQRYYIDTARELQRLVGVCKAPIIQHFAESITGSTTIRSFGKENQFVSTNSHLMDAFSRPKFYNAAAMEWLCFRLDMLSSLTFAFSLIFLVNLPTGLIDPGISGLAVTYGLNLNMLQAWVVWSMCNLENKIISVERILQYMSIPAEPPLSVQDDKLTQDWPSEGEIMLNNVHVRYAPHLPFVLKGLTVTFPGGMKTGIVGRTGSGKSTLIQALFRIIDPTVGQILVDSIDICTIGLHDLRSRLSIIPQEPTMFEGTVRTNLDPIGEYTDSQIWEALDRCQLGDEVRRKELRLDSPVIENGENWSVGQRQLVCLGRVILKRSKILVLDEATASVDTATDNLIQKTLRQQFSDATVITIAHRITSVLDSDMVLLLDNGVAVERDTPTRLLEDKSSLFSKLVAEYTMRSTHT >ORUFI01G05050.1 pep chromosome:OR_W1943:1:3448600:3449379:-1 gene:ORUFI01G05050 transcript:ORUFI01G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEQEQEKQQVKTSTTSSLPSSSERSSSSAPNNLKEGGGVESDEEIRRVPEMGGGGGSASSGAGADERQGKEDGKQQGGGGGGAAAAGGGQEQAPPARKRGRSAGDKEQNRLKRLLRNRVSAQQARERKKAYMTELEAKAKDLELRNAELEQRVSTLQNENNTLRQILKNTTAHAGKRGGGGGGKGGDGGGGGKKHHFTKS >ORUFI01G05060.1 pep chromosome:OR_W1943:1:3455634:3455969:-1 gene:ORUFI01G05060 transcript:ORUFI01G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQRSSQTFRRSGSSGLVWDERLMLDGHSERDQEDGALELRHSRSVGLIGLQRRHGDGAGHTRCNNSQAFHTRRVPPAQDPPSPKVPGCIFCGIFRKPVLSEPSKPRRF >ORUFI01G05070.1 pep chromosome:OR_W1943:1:3457744:3461614:-1 gene:ORUFI01G05070 transcript:ORUFI01G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLRRLAGTHRGRVPLAAAAAVTGGAALFCASSPPTIALMEEKGEDAAAKVALNPDKWLEFKLQEKATVSHNSQLFRFSFDPSTKLGLDVASCLITRYTPISDPDSKGYFDLLIKVYPDGKMSQYFASLKPGDVVEVKGPIEKLRYSPNMKKQIGMIAGGTGITPMLQVVRAILKNPDDNTQVSLIYANVSPDDILLKRELDRLASSYPNFKVFYTVDKPSNDWRGGVGYISKDIALKGLPRPGEDSLILVCGPPGMMNHISGDKAKDRSQGELTGILKELGYTAEMVYKF >ORUFI01G05080.1 pep chromosome:OR_W1943:1:3464522:3469021:-1 gene:ORUFI01G05080 transcript:ORUFI01G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGMVEWEVGRRRDSEDVIVLSPGPPARRRPPPGKAVEPEGRGFVYKTRVCETFVTSGRCMFEDGCTFAHGDEELRPSLTACAGGWRKPSPSLSAAAPPVAVAPTPPPAQVVHELLARGSGSGGGGHRAITKVCFEFRDKGICYFGETCAFPHVSAAEIRQGSRLSSMSSSSWEMPARRSVAVTVPRTFVSVPPVAPPPPPPHYRVNNSSSYNAASMAAAAPAASDANLVAQQPPPEQGGRKMTRLEMLSLKKMTGIYGDWLEGAREMRIWCSLVQVKRRRPEEGKRGRRMGSGIGAVLVLVAAWLTFAPPGALASSRSIKLWMNRFDYRGLMQPFGGICEIPIIKLSPYQLNDYNQEAVEKGQHRWGKWFDLSIAQEKLVNSTGGSTASSSHLVFDPSKSKRLSWHPRIFLYEGFLSDMECDHLVSMGRGNMESSLAFTDGDRNSSYNNIEDIVVSKIEDRISLWSFLPKENGESIQVLKYGVNRSGSIKEEPKSSSGAHRLATILMYLSDVKQGGETVFPRSEMKDAQAKEGAPSQCSGYAVRPAKGNAILLFNLRPDGETDKDSQYEECPVLEGEKWLAIKHINLRKFDYPKSSLASEDECTDEDDRCVSWAASGECDRNPVFMIGSSDYYGSCRKSCRVC >ORUFI01G05090.1 pep chromosome:OR_W1943:1:3472752:3474773:-1 gene:ORUFI01G05090 transcript:ORUFI01G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKEESDYDSSRSSLTAPDSRRSWISDIGSSSSVSARSFGGDTPASSCRYKPHKANQAEWEAIRRLRAGAGRVGLEHFRLVRRLGSGDLGNVYLCRLREPWSSSSMTTTAGGCLYAMKVVDKDALAFRKKLRRAEVERDILRTLDHPFLPTLYADFEASHYACLVMEFCPGGDLHVARQRQPGRRFTVSSTRFYVAETVLALEYLHMMGVVYRDLKPENVLVRGDGHIMLSDFDLSLKCDVVPKLLRPARSAAAGGKPPLPPPSSCVPPTIQPVLSCIFRGVHKCHHAKECAGGGAAAGNNGDGDGNDEEAETETAEPEVVVVEPVAARSKSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFMYEMLYGRTPFKGESNEKTLINIIKQPVTFPRLAGAAAAGEWEEMKTAQDLMLQLLAKNPKKRLGSTMGSAEVKRHPFFKGVNWALVRSVRPPEVPAPPAPAPKKVMTMSKKERQEPYNYRPENHFDYF >ORUFI01G05100.1 pep chromosome:OR_W1943:1:3479967:3482795:1 gene:ORUFI01G05100 transcript:ORUFI01G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDDIVAQDIKENPVLIYMKGFPESPMCGFSALAVKVLKLYDVPISARDILGDLKLKECVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQASELIVPRFYYQKGQLKDVLGDIAQKHEQKESS >ORUFI01G05110.1 pep chromosome:OR_W1943:1:3483066:3487503:-1 gene:ORUFI01G05110 transcript:ORUFI01G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGGTSSVASGGGKRLEYGRTHVVRPKGAHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAVFGGFPSTAWFDVADLSEDAPDDVEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCYAHGKYGNGNPYPVNLSVSVGLSGWLPCARSLKNKIESSQEAAQKASSIPLLLCHGKADDVVLYKHGEKSADALKTTGFSNVVFKSYNRGRHRDSFNINMNVGNVRMTYIVKWREYLLVYAQVV >ORUFI01G05110.2 pep chromosome:OR_W1943:1:3483836:3487503:-1 gene:ORUFI01G05110 transcript:ORUFI01G05110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGGTSSVASGGGKRLEYGRTHVVRPKGAHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAVFGGFPSTAWFDVADLSEDAPDDVEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCYAHGKYGNGNPYPVNLSVSVGLSGWLPCARSLKNKIESSQEAAQKASSIPLLLCHGKADDVVLYKHGEKSADALKTTGFSNVVFKSYNRLGHYTVPEEMDEVCKWLTANLGVSSSSSA >ORUFI01G05110.3 pep chromosome:OR_W1943:1:3483836:3487503:-1 gene:ORUFI01G05110 transcript:ORUFI01G05110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGGTSSVASGGGKRLEYGRTHVVRPKGAHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAVFGGFPSTAWFDVADLSEDAPDDVEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCYAHGKYGNGNPYPVNLSVSVGLSGWLPCARSLKNKIESSQEAAQKASSIPLLLCHGKADDVVLYKHGEKSADALKTTGFSNVVFKSYNRLGHYTVPEEMDEVCKWLTANLGVSSSSSA >ORUFI01G05120.1 pep chromosome:OR_W1943:1:3492678:3493094:-1 gene:ORUFI01G05120 transcript:ORUFI01G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASGAAFSVRPSPAAARPCVAASASAAGAARFRGDGSGGGGGGGGGGKWWAPLLGWSGQPDYIDAQPAAREEARPNPMAAAEQRGGGGKRFGVLTEEKARQLRARMMETESFHDCMYHSAIASRLASAAPADDGKH >ORUFI01G05130.1 pep chromosome:OR_W1943:1:3495983:3510830:-1 gene:ORUFI01G05130 transcript:ORUFI01G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFVYCRPTDDGTSESALLRAAFDGNLGRLKGILKSLGIEKGKTQYAVLALNKHGIGMLHAVACQGHLNVCKFLVEELGGDVNIAGKEDITPFMAAAESGDVPTVQYFLDHGGDVTKADVRGCTVLHHAAGTGCCKVTEFLLSKGIPVDIDCGLGTPLFHAANNGKDKTLKILLDHKADPNVIINNGAGSPLMSSLIYRSLKCMKLLIKAGADVNGKGTVVTPLMLAASQGGYTNFIQFLLKAGANPNIPDDLGWLPIEHAALRDCREEVEMLFPLTSPIPNVPNWSVNGIIAHAKVKNTKPMDQHQKESRKVVLKAQADLVFKQKNYAAAAKIYDLAIAHGPTAVLYANRSICRLLMGDGEGALSDAYRCRMMRPNWAKACYRQGAAHMLLKEYKHACDALMDAQKLDSGNVEIERELRKARELMAKPPDEQ >ORUFI01G05140.1 pep chromosome:OR_W1943:1:3512333:3514629:1 gene:ORUFI01G05140 transcript:ORUFI01G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPTETETETGTGARIPSHRRRKGSSDGSRAVHRRKPTPTKETEIPGGHGRPKRSSSALSLDGAGDSNPTWVILNRVGARRDSFRGDRTTSVASRTSGGKEISVSFELAPPPETSLLTLDWPQGPRPSEGTTSYPYVIAAHGNVVLLEIISTAKCPRPIDIDYFVYKAKPNGEPSLTRLPVCYWKGASGRDNPRPRIMSRVAMGVLSCSKDSFVVAEMERRSYQPSAANIYMFFSGSDGWRVFRDVRIDHINGAGWWSTDAVLSYRRRYLIWVDYLRGMIVAKLAHPGGGDPQEPALWYVPLPVDPVMGNPYDSERGRGCPEASRNVCATHHGIKFVNIINQCGGSFSITLWSWCEDGTWREDATLDAAQLWDLDCENRLPNVQPEFPIVDMENPYTVCFLLNEGNYIVPEATTWMIKVHMKKKILLGCTSYSKNGSLSHQNTTYMTARRMSERLSFISSEMPYYLSGQTMKRKLIN >ORUFI01G05150.1 pep chromosome:OR_W1943:1:3514139:3535500:-1 gene:ORUFI01G05150 transcript:ORUFI01G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIQLMDLLRTPFKGVVADIEGRVAWYKHDWVAGFRSGFRILAPTMYIFFASALPVIAFGAQLSRETNGILTTVETLASTALCGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKNQQALGERLVCIWTALMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIIEEFKVPRDADHSSPIYQFQWLYVNGLLGVIFSIGLLYTALRSRRARSWVYGQGWLRGFIADYGVPLMVIVWTAFSYTLPKDVPSGVPRRLFSPLPWESSSLQHWTVAKDLFSVPPAYIFAAILPALMVAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMVQTANEGLMNRASSLEIYGKIQGVFIEMDCEKNTDSVDKELKSLKDAMLQEVDKEGTLAEEFDPIKHIEAHLPVRVNEQRLSNLLQSLLVGACVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERLRLIFIPSSRRYKVLEGPHASFMESVPSKTITVFTIFQLVYLLICFGITWIPIAGILFPLPFFLMILIRQHVLPKFFEPNDLRELDAAEYEELEGVHHDHTLEDGESDSGSCGSRDDAEIFDELTTNRGELKHRTSSHREERHLQVHSNAIQPSKQKQLDFTEGANPAPRRPAAAASSPPPPPRLGFPSAVSIARRRFPLRVSSLISKAEQLGTSMIGLRDSTLASDGILTTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKNQQALGERLVCIWTAIMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIIEEFKVPGGVDHSSPIYRFQWLYVNGLLGVIFSIGLLYTALRSRRARSWVYGQGWLRGFISDYGVPLMVIVWTALSYALPKDVPSGVPRRLFSPLPWESSSLHHWTIAKDLFSVPPAYIFAAILPALMIAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMVQTANEGLMNRASSLEIYGKMQGVFIEMDCEKNTDSVDKELKSLKDAMLQEGDKEGTLAEEFDPIKHIEAHLPVRVNEQRLSNLLQSLLVGACVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERIRLIFIPSSRRYKVLEGPHASFMESVPSKTITVFTIFQLVYLLICFGITWIPIAGILFPLPFFLMILIRQHVLPKFFEPNDLRELDAAEYEELEGVHHDHTLEDGASDSESCGSRDDAEILDELTTNRGELKHRTFNHREERHPQAHTKAVQPRKSVEKTK >ORUFI01G05150.2 pep chromosome:OR_W1943:1:3514898:3535500:-1 gene:ORUFI01G05150 transcript:ORUFI01G05150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIQLMDLLRTPFKGVVADIEGRVAWYKHDWVAGFRSGFRILAPTMYIFFASALPVIAFGAQLSRETNGILTTVETLASTALCGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKNQQALGERLVCIWTALMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIIEEFKVPRDADHSSPIYQFQWLYVNGLLGVIFSIGLLYTALRSRRARSWVYGQGWLRGFIADYGVPLMVIVWTAFSYTLPKDVPSGVPRRLFSPLPWESSSLQHWTVAKDLFSVPPAYIFAAILPALMVAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMVQTANEGLMNRASSLEIYGKIQGVFIEMDCEKNTDSVDKELKSLKDAMLQEVDKEGTLAEEFDPIKHIEAHLPVRVNEQRLSNLLQSLLVGACVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERLRLIFIPSSRRYKVLEGPHASFMESVPSKTITVFTIFQLVYLLICFGITWIPIAGILFPLPFFLMILIRQHVLPKFFEPNDLRELDAAEYEELEGVHHDHTLEDGESDSGSCGSRDDAEIFDELTTNRGELKHRTSSHREERHLQVHSNAIQPRCGDTENWSEC >ORUFI01G05160.1 pep chromosome:OR_W1943:1:3536864:3538363:1 gene:ORUFI01G05160 transcript:ORUFI01G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRGWEALPRMVAVAVTRLKGQRLVARGCMCGCRWGRMVVTRHRPPRHPLLQGAATYAAAQGTHHRQPPRMWFSRCVRGQEGTGAAAASTEALQRVGSGASAVMDAVMSWASRRLWPGAARLRVARHVYRRQLPPLVKSDPKAAVELCFFASRSKAEVIDMLRKHTYLKELCAFTSISHHLFDKTMIPKQPNPEEAASPPAAAVGTGVAHGTRPAQERRQWRCHRREGGEVGLPLRSSAAEPFWPKLRVIAADLDFGIRRHRRSLSKIEVSLIFSMPNSPLPLSSPSRPAIGAHQQGTRVLRCRLPVWPPLCQHGAPPLGVPAQAENTSGSKSTP >ORUFI01G05170.1 pep chromosome:OR_W1943:1:3545995:3548568:-1 gene:ORUFI01G05170 transcript:ORUFI01G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELHFLVVPLIAQGHIIPMVEVARLLAARGARATVVTTPVNAARNGAAVEAARRDGLAVDLAEVAFPGPEFGVPEGLENMDQLADADPGMYLSLQRAIWAMAARLELLVRALPRRPDCLVADYCNPWTAPVCDRLGIARVVMHCPSAYFLLATHNLSKHGVYGRLALAAGDGELEPFEVPDFPVRAVVYTATFRRFFQWPGLEEEERDAVEAERTADGFVINTFRDIEGAFVDGYAAALGRRAWAIGPTFGSISHLAAKQVIELARGVEASGRPFVWTIKEAKAAAAAVREWLDGEGYEERVKDRGVLVRGWAPQVSILSHPATGGFLTHCGWNAALEAIARGVPALTWPTILDQFSSERLLVDVLGVGVRSGVTAPPMYLPAEAEGVQVTGAGVEKAVAELMDGGADGVARRARARELAATARAAVEEGGSSHADLTDMIRHVGAQ >ORUFI01G05180.1 pep chromosome:OR_W1943:1:3550395:3558910:1 gene:ORUFI01G05180 transcript:ORUFI01G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDTAGHASGGYTTTAAAHFVLVPMMAQGHAIPMTDMARLLAEHGAARVSLVVTPVNAARMAGFAAGVEEAGLPVQLVELPFPAAEFGLPDGCENVDMLPSKDLFSNFLLACGALREPFAARLRQQRPPASCIISDMIHSWAGDIARELGVPWLTDIIYRKNLLENLTDDEIVKVSGFPTPLELPKARCPGTLCVPGLKQISDKIYEAETRSDGRIMNSFQEMESLYIESFERTIGKKIWTIGPMCLCHRDSNAMAARGNKASMDDAKCLQWLDSKKPGSVIFVSFGSLSSTDPQQLVELGLGLEASKKPFIWVIKAGKKFPEVEEWLADGFEERVKDRGMIIRGWAPQMMILWHQAIGGIMTHCGWNSTLEGISAGVPMITWPHCSEQFVNEKLVVDHLKIGVEVGVKGVTQWGTEQKEVKVTRTAVETAVSMLMDEGEVAQEIRMRAKDFGMKARRALEEGGSSYNNIKLLIQEMGNKQNASAMSFASHAGAGAGDQQHRCCSRTVHFVLVPMMAQGHTIPMTDMARLLAEHGAQISLVTTPVNAGRMAGFVAAVEEAGLPVQLLELPFPAADFGLPDGCENIDMLQCKDDMRKFLEACGALREPLMARLRQHDLPPSCIVSDMMHWWTSDIARELGIPWLTFSGFCTFASLARDIVYRNNLLRDLTDEEEVVKLSGFPTPLELPKARLPGSLCVPGLEEIREKIYDEEMRSDGKVMNSFDELETLYMESYKQVTDKVWTIGPMCLCHRDRNTMAARGNKASLDEVKCLQWLDSKKPGSVIFVSFGTLVSTAPQQLVELGLGLEASNKPFIWVIKAGNKFPVVEKWLADGFEERVIDRGMIIRGWAPQMMILWHQAIGGFMTHCGWNSTIEGICAGVPMITWPHFAEQFLNEKLVVDHLKIGMEVGVKGVTQWGSEQKEAQVTRNSVETAVSTLMNEGEAAQGMRMRAKDFGIKARRALEEGGSSYNNIRLLIQEMGNEQNASAMSSAGHAVDQQRKSTTMKAHFVLVPMMAQGHMIPMTGMARLLAEHGAQVSFVTTPVNAARMAGFVTAVEAAGLAVQLVKLPFPATEFGLPDGCENLDMIQSRDLSRNFMEACGALREPLTARLRQLCPPPSCIISDMVQWWTGEIARELGIPRLTFDGFCTFASLARYIIFRDKLLDNVADEEIVTFSGFPMLLELPKARCPGSLCVPGMEQIRDKMYEEELQSDGNVMNSFQELETLYIESFEQITGKKVWTIGPMCLCDRDSNMMAARGNKASVDEAKCLQWLDSKKPGSVIFVSFGSLASTAPQQLVELGLGLEASKEPFIWVIKAGNKFPEVEEWLADGFEERVKDRGMIIRGWAPQVMILWHQAIGGFMTHCGWNSTIEGICAGVPMITWPHFAEQFLNEKFVVNLLKIGLEIGVKGVAQWGSEHKEVRVTRNAVETAVSTLMNDGEAAQEMRMRAKDLGVKARRALEEGGSSYDNISLLIQEMGNKQNASG >ORUFI01G05180.2 pep chromosome:OR_W1943:1:3550395:3558910:1 gene:ORUFI01G05180 transcript:ORUFI01G05180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDTAGHASGGYTTTAAAHFVLVPMMAQGHAIPMTDMARLLAEHGAARVSLVVTPVNAARMAGFAAGVEEAGLPVQLVELPFPAAEFGLPDGCENVDMLPSKDLFSNFLLACGALREPFAARLRQQRPPASCIISDMIHSWAGDIARELGVPWLTDIIYRKNLLENLTDDEIVKVSGFPTPLELPKARCPGTLCVPGLKQISDKIYEAETRSDGRIMNSFQEMESLYIESFERTIGKKIWTIGPMCLCHRDSNAMAARGNKASMDDAKCLQWLDSKKPGSVIFVSFGSLSSTDPQQLVELGLGLEASKKPFIWVIKAGKKFPEVEEWLADGFEERVKDRGMIIRGWAPQMMILWHQAIGGIMTHCGWNSTLEGISAGVPMITWPHCSEQFVNEKLVVDHLKIGVEVGVKGVTQWGTEQKEVKVTRTAVETAVSMLMDEGEVAQEIRMRAKDFGMKARRALEEGGSSYNNIKLLIQEMGNKQNASAMSSAGHAVDQQRKSTTMKAHFVLVPMMAQGHMIPMTGMARLLAEHGAQVSFVTTPVNAARMAGFVTAVEAAGLAVQLVKLPFPATEFGLPDGCENLDMIQSRDLSRNFMEACGALREPLTARLRQLCPPPSCIISDMVQWWTGEIARELGIPRLTFDGFCTFASLARYIIFRDKLLDNVADEEIVTFSGFPMLLELPKARCPGSLCVPGMEQIRDKMYEEELQSDGNVMNSFQELETLYIESFEQITGKKVWTIGPMCLCDRDSNMMAARGNKASVDEAKCLQWLDSKKPGSVIFVSFGSLASTAPQQLVELGLGLEASKEPFIWVIKAGNKFPEVEEWLADGFEERVKDRGMIIRGWAPQVMILWHQAIGGFMTHCGWNSTIEGICAGVPMITWPHFAEQFLNEKFVVNLLKIGLEIGVKGVAQWGSEHKEVRVTRNAVETAVSTLMNDGEAAQEMRMRAKDLGVKARRALEEGGSSYDNISLLIQEMGNKQNASG >ORUFI01G05190.1 pep chromosome:OR_W1943:1:3552283:3553322:-1 gene:ORUFI01G05190 transcript:ORUFI01G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWLDPSYMKLLPFFMQPQRPQLLSIQILFSSARGPGNLVHCRSWWDCWAPNEEPPSSKALLAFMPKSFALILISCATSPSSINMDTAVSTAVLFPTVSLLSLQPPPQFSGDPPPISHSQTALNSVAM >ORUFI01G05200.1 pep chromosome:OR_W1943:1:3555661:3557052:-1 gene:ORUFI01G05200 transcript:ORUFI01G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRLRSLDWIISRFSHPSGNPNSVAGKGSFTSCTARPAASTAVTNPAILAAFTGVVTKLTCAPCSASRRAMPVMGIMCPCAIIGTSTKCAFIVVDLRCWSTAWPAEDMAVVVSSQAEGSWKSPPLQELVDCQAANIFHTK >ORUFI01G05210.1 pep chromosome:OR_W1943:1:3559744:3561741:-1 gene:ORUFI01G05210 transcript:ORUFI01G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALSRARSLLDGIPHRRGRAASSSVSGHGAEEAVAGYVRMLAGGARPDAYTFPSLLKAAAAARGAAAAAASVGGAIHAHVVKFGMESNAHAASSLIVMYAARGDGAAARAVLEAACLATGGGAPVMWNALISGHNRSGRFELSCCSFVDMVRASAMATAVTYVSVLSACGKGKDLLLGMQVHKRVLESGVLPDQRVENALVDMYAECGDMDAAWVLFEGMQMRSMASWTSVISGLVRSGQVDRARDLFDHMPERDTIAWTAMIDGYVQVGRFRDALETFRYMQICKVRADEFTMVSVVTACAQLGALETGEWARIYMGRLGIKMDVFVGNALIDMYSKCGSIERALDVFKDMHNRDKFTWTAIILGLAVNGRGEEAIDMFYRMLRALQTPDEVTFVGVLTACTHAGLVDKGREFFLSMTEAYNISPTVVHYGCLIDVLGRAGKLKEALDTIDKMPMKPNSTIWGTLLASCRVYGNSEIGELAAERLLELDPDNSTAYILLSNMYAKSNRWKDVRRIRQIIMEKGIKKEPGCSMIEMNGIIHEFVAADRSHPMNKEIYSKLENVLTDLRNAGYVPDVTEVLVEVTEEEKQKVLYWHSEKLAVTFALLTSESNVIIRIVKNLRMCLDCHNAIKLISKLYGREVIVRDRTRFHHFRHGSCSCKDYW >ORUFI01G05220.1 pep chromosome:OR_W1943:1:3564572:3573036:1 gene:ORUFI01G05220 transcript:ORUFI01G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSSSQGQSPRTPSPRATSATAEHTRSSSEPWLVAAAVASTCDDSCVNDVENFARTVAAAKSRQLVGSSAASRPDMLASVLSHYAAKWLPDVVAASSSSSSPVTSASGRFLPPESPTATWLKKRLLLESLVAALPPDPPAPAGGGGAADDGITCDFLLKLLRAGSMVGADAALLQELESRAARRLDQATLGAVMIPAFGHGYACGTLLDVPLVLRLVRGFLKDAGAGGGGAAKAGGGGGAAAARVARLVDAYLAEAALEAGLRPAEFEELARAVPAHARPADDALYRAVDTYLKSLCRLIDARKLTAEAAAHAVQNDRLPVRSVLQVLFSEHGKLNRLAELSGGASSFGAPSPAFDLPGARCPSKREVLAQHHELRRLREDFARLQVQCSALQAQVDRLSTERRRRGGGGSGGGGGFFKWSTFWFGGMSADVARVEDSESGIERRAPAGGEKGADAEMAQVDVMRGSEILKPKLMAKWVVNLFYYL >ORUFI01G05230.1 pep chromosome:OR_W1943:1:3571613:3574577:-1 gene:ORUFI01G05230 transcript:ORUFI01G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAFTEEEKAVDDSLGYPKAYARLCRGGGGGGGGLPYGHGPPHGFLPYVLHPHEAMRAKDLNEMFPVADAEAAPTANPRGFANLLWKQLDHLGNAGFDPALFRVDAYGNVLYLHADSASPLAWDIDHWFPCARGGRTVPSNLRIVQAQVCRKKHNKLEFLVPWWDLQLGISVNQFLSIFASKNADFRNRAFTFLFVDGASEELTSMQAVEAHAFPHHFSDLTKKVGLAPAAIVSSRGSDSSVLKSLDANRPLRPNYPLIAAKKFTGEKDENFNVPMSNHGPNSTKENNNPDADGYISNPYLSIATARDSLRQREEAKKKQAELTELENEATELKQKNEEERVAIQDMEALLIKRRRRVEKCRRLAEAQSNYKAVLEKMIRDAMHQSVVYKEQLRMNQAATSTLMARLEAQRAMCDSSETELRRKYQQKDELEKQIKPFTDQARKRYRVDDDGLLEERHSLEERHSERVKYFPGIRLRSRSPLKQELRVFLEEDQRASDAYISLEEEEIAGETSTMGNFRNSPFKVINFPRRSMEDNTVDTERGRASVREKLEHLAIKERQRGRRRERTMSSRGSRATSTPVRSRDGNGKGKAAMVQCESETERSQTVSVPRTSSVPPSPPYRVTGMYGTPRYPAEKSVLLKKNNVIHRQGVGRSEGDANMNHTGKGTVDKWLQMLMEDQQQQEDPAAAYHSSEDHNTADEIASDEHQMQSRIDDESCRNEITECSDEIVEVGGEGATEQQDRCRNSFEIKERGEEKKIWFPRSDSSRGFRSLPSSPSKILGMRRGVECMSRKPKVVGDDNGRYGYEDSVSTSSSKFLTRCKQAIKKAVNK >ORUFI01G05240.1 pep chromosome:OR_W1943:1:3585251:3587888:1 gene:ORUFI01G05240 transcript:ORUFI01G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSDLTLDDHHHLTAVAAASGQATQKLQEFLSRLEEERLKIDAFKRELPLCMQLLNHAMEAYRQQLEAYQMGSQHSAAAAAAARAPLVLEEFIPVKNIGIDVVAADKAAAAGGNSVSSEKASWMVSAQLWNAPASASAADTAAKGPQTPKEHSEHHPLDTSPKLITALDGGGGGGAFLPFSKDNAMGDGSAAAAAALPELALAPAEKAADAITIAAGEVDKKPYAHDNGVVARSREAQNGGKPPSTPSDGQAVPPPPQPHRKARRCWSPELHRRFVNALQILGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPMPSPAPPTAATPQLVVLGGIWVPPEYATQAAGPAIYGAHPATQPHYTAAVAAQEYYHHHHHHLQHHPAAAALVHHRAVAPPPPLPPQQQLAPPYSAKSSASARLGSPDSDGRGSGGGGGAAASGAGRDMSESIEEEGEGEEREDDDDDDEMAATNNAHAVDGDDDDDEINTTTTTSAGAINY >ORUFI01G05250.1 pep chromosome:OR_W1943:1:3590654:3594562:1 gene:ORUFI01G05250 transcript:ORUFI01G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSLQLVGALLLPLLAVVSSFDPFHRDANPMGGGAGQGPFIPHEYVRFADVKRQCKSVLSSAAELTFDANRANGLMPELSFVKGDWKHDGDGDGGGGAPLLPFDGTDVAEDAAAGAARDPLPLASFSLTHVDAARRGRTALNVSGVLGVAISRNGTGPEMGPYVSPEFKVWPGNTELKVLLEGVYTENDDGESVLCMVGDAVLPARGGDAANPWGWAKHSDRDRFQPPITKDGNILLVLRYPKTLTLTTRAVLGELTSTNGKTHAAYFDAVHLLSQLGAYSNYQFGSEELVGTACKPHPYRDDVLAGGGGDRGLYKGTSFCGILDRFTSEDVLAVVPNWRCNTTDDALCRRLGPFETDKAVDATDGGFAGVRIVMQEVRCEPRTDGGEISARVSAVFRAVPPWEHAYTAAKRSGLGGATLSAEGVWRASSGQLCMVACLGVGAKACHSRVCLYLQTTFSATRRSITVGQITSIGGGAAHFPPLTFQRTVHPMELWSRFGVTGGEPLSLAYSYTKTKQAGEFLRRSEPFDFGTVIAKSLLSYPRKSGDAADETTSLSNLAEELTLHVAAVPDPFPRGRFERPFLQLEVLSLGSLVGRASPATFPGTPAAVGQSMASSSSSTTTKLDATAILNVSAELTISGDAYVNVSTLSLEGVYNPVDGRMYLIGCRRIQAPWRAFSAMGGVEEGMDCSIEVRVEYPPTTARWLINPTAKVHIASTRGGGDDPLRFNATALQTLPILYREQRQDILSRRSVEGILRVVTLAAAIAAEFSQLMYIKSHTDVMPYVSVVMLGVQAVGYSVPLITGAEALFARIAASSGDGGATPPPSYEVDKSQLYWTIDCVVKILILAAFLLTLRLVQKVWRSRIRLLTRSPLEPGRVPSDKKVLVYTSGAHLVGFAVVLAAHYVSVLARPVRSEASYMDARGEAHALREWAVTLEEYIGLAQDMFLLPQVIGNVVWRINCRPLKTGYYAGLTAVRLLPHVYDYVRAPAINPYFAEEYEFVNTSLDFYSRSGDVAIPLAAVALAAAVYVQQRWNYKIISKTVKTQQKKLQHLGSRVYERLPSMSSANFEAELVAGVNGGVGHGLRRDASLS >ORUFI01G05260.1 pep chromosome:OR_W1943:1:3594903:3596006:-1 gene:ORUFI01G05260 transcript:ORUFI01G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTKAVAAAAGRRGCIRSTRGPWTVRRRGRGGGVTTSLRHPTARERENNRQRERRRRQVATRIYAGLRAGAGYALPKHADQNDVLRALCAEAGYLVDDDGNVSRRHDERLAGAGAGGGGSSDQLQVSSYSGATEAAVAIQHQEQEQEISLELTLSFTYM >ORUFI01G05270.1 pep chromosome:OR_W1943:1:3608952:3617949:1 gene:ORUFI01G05270 transcript:ORUFI01G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQQSAWEAEKMLDVYIHDYLLKRNLQSTAKAFQAEGSVSSDPVGGFLLEWWSVFWDIFIARTNEKHSDVAASYIETQSIKAREQQPSQLQQQEAHSQQSSQQIQMQQLLLQRHAQQQQQQQSQQQPQQQRRQQKQQQRSESSHLPTSAHNGLVSADPPTRQSTSAASSLSAKMYEERVKNSVQRDTLDEAPAKQRFTENIGQLLESNSSSMLKSVAITAQASGQIFHGSTGGVSGTLQQVQARNQQLQASTQEIKVDTNAAVHMRAAGADGSLIGVPGANPAGNNLTLKGWPLTGLDQLRSGFLQQKSFMQSPQPLHHLQFLTPQQQQLLLQAQQNMTSSPGEMDSRRLRMLLSSRNIVPGRDGQSNAYTEVIPSVGPSLQNMCSPVQRMETDMLMKKIAAIQQHQQSSNQQQLLQHSLLSQQPPISNHLPGQQGKMGAGSVTIDGSLSNSFRGSEQVSKNQNGRKRKQPISSSGPANSSGTGNTAVPSSEPSTPSSQSPGDTISMPSLHHNASLSKALVVYGTSTAGTMGSPSNQLADMDRFVEDGCLEDHVDSFLSHDDADRRDGSRMESTKGFIFREVSSVQASTNKVVCCHFSSDGKLLATGGHDKKVVLWHAETLKQKSVLEEHSLLITDVRFSPSIPRLATSSFDKTVRVWDADNQGYSIRTFTGHSASVMSLDFHPNKDDLICSCDGDNEIRFWSINNGNIVRIFKGGSSQLRFQPSHGGYLAVASENAVSILDVETQACLRRFEGHTKHVDSVCWDPSGEYVVSVSEDTVKVWSVNAGSDDRCSLELWDMSENRTMTLAAHDSLITALASSSSGLVASTSHDKFVKLWK >ORUFI01G05280.1 pep chromosome:OR_W1943:1:3619712:3628626:1 gene:ORUFI01G05280 transcript:ORUFI01G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRSHLHKVRIPEPGSRIHKDECCVSFDTPRSEGGLYVDMTSFLGFGREHVAWNYEKSGNPVYLHIVQRRKPEPDDEADRPLKKPTLLAIGVEGGFTDQEPEYDEAFEIVILPEFTSLPFPSIDLPEKVRIAVDKVILAESADRKQQLASWVADKKKVSAHAMDLKQLDNGVIVPPTGWKCSKCDKTENLWLNLTDGMILCGRRLWDGSGGNNHAIEHYEQTKYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLSHFGIDFSSLQKTEMTTAERELDHNTNYDWNRIQESGKDAELLYGPGYTGLVNLGNSYFEKQSLKAAFAIAPADPTLDLNMQMTKLAHGMLSGKYSVPNQEGQEGIHPRMFKTVIAAKHPEFSSMRQQDALDFFLHLIDQVDQANTRNHELNPFTGFKFIIEERLQCPSGKVSYNKRSDYILSLNIPLHEATNKEQLEAFHEKKAAMDLDGKEVSNEEIVRPRVPLEACLASFSGAEEVPEFYSTALNSKTTAIKTAGFKTFPDYLVLQMRKFVMEAGWVPKKLDVYVDVPDIIDISHMRSKGIQSGEELLPEGASGDNKAEPVHPVASEDIVSQLASMGFNYLHCQKAAISTSNTGVEEAMNWLLSHMDDPDINDPISKDSQAAEQTVDETSVQTLVSFGFQEDVARKALAASGGNIERATDWIFSHPEAFSSVPTDSSTSNMEDDDAHIPDGSGRYKLMAFVSHMGTSTHCGHYVAHVLKDGRWVIFNDSKVAASVDLPKDMGYLYFFQRI >ORUFI01G05280.2 pep chromosome:OR_W1943:1:3619712:3628626:1 gene:ORUFI01G05280 transcript:ORUFI01G05280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRSHLHKVRIPEPGSRIHKDECCVSFDTPRSEGGLYVDMTSFLGFGREHVAWNYEKSGNPVYLHIVQRRKPEPDDEADRPLKKPTLLAIGVEGGFTDQEPEYDEAFEIVILPEFTSLPFPSIDLPEKVTVLDIRDCTYYIVVTYHSTTPQVRIAVDKVILAESADRKQQLASWVADKKKVSAHAMDLKQLDNGVIVPPTGWKCSKCDKTENLWLNLTDGMILCGRRLWDGSGGNNHAIEHYEQTKYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLSHFGIDFSSLQKTEMTTAERELDHNTNYDWNRIQESGKDAELLYGPGYTGLVNLGNSYFEKQSLKAAFAIAPADPTLDLNMQMTKLAHGMLSGKYSVPNQEGQEGIHPRMFKTVIAAKHPEFSSMRQQDALDFFLHLIDQVDQANTRNHELNPFTGFKFIIEERLQCPSGKVSYNKRSDYILSLNIPLHEATNKEQLEAFHEKKAAMDLDGKEVSNEEIVRPRVPLEACLASFSGAEEVPEFYSTALNSKTTAIKTAGFKTFPDYLVLQMRKFVMEAGWVPKKLDVYVDVPDIIDISHMRSKGIQSGEELLPEGASGDNKAEPVHPVASEDIVSQLASMGFNYLHCQKAAISTSNTGVEEAMNWLLSHMDDPDINDPISKDSQAAEQTVDETSVQTLVSFGFQEDVARKALAASGGNIERATDWIFSHPEAFSSVPTDSSTSNMEDDDAHIPDGSGRYKLMAFVSHMGTSTHCGHYVAHVLKDGRWVIFNDSKVAASVDLPKDMGYLYFFQRI >ORUFI01G05290.1 pep chromosome:OR_W1943:1:3629378:3636309:-1 gene:ORUFI01G05290 transcript:ORUFI01G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVEDFERSHGSEKLLETGLHYRKPIQGTGNLKFGEGSKENDEVGMPTRLASESRHCDIWPSLARIQASDRDMNPLLRRQR >ORUFI01G05300.1 pep chromosome:OR_W1943:1:3636391:3639640:-1 gene:ORUFI01G05300 transcript:ORUFI01G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSRDTRRASARVCFSLVIVVVVAMPTPSHLKNPLCFDFRAARRVPETHAWPGLDDHPVVDGGGGGGEDAVPVVDVGAGDAAARVARAAEQWGAFLLVGHGVPAALLSRVEERVARVFSLPASEKMRAVRGPGEPCGYGSPPISSFFSKLMWSEGYTFSPSSLRSELRRLWPKSGDDYLLFCDVMEEFHKEMRRLADELLRLFLRALGLTGEEVAGVEAERRIGERMTATVHLNWYPRCPEPRRALGLIAHTDSGFFTFVLQSLVPGLQLFRRGPDRWVAVPAVAGAFVVNVGDLFHILTNGRFHSVYHRAVVNRDRDRVSLGYFLGPPPDAEVAPLPEAVPAGRSPAYRAVTWPEYMAVRKKAFATGGSALKMVSTDAAAAADEHDDVAAAADVHA >ORUFI01G05310.1 pep chromosome:OR_W1943:1:3656213:3656509:-1 gene:ORUFI01G05310 transcript:ORUFI01G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLKSNDENDNNLRSGGGLGSARWIHRHWPRLGQIPSVHGSDVDDDRAGLGRGISNDGSPGMASTKSVAAIMGMVAAMMTNCNGGDFDWGLGLWFL >ORUFI01G05320.1 pep chromosome:OR_W1943:1:3656729:3666991:-1 gene:ORUFI01G05320 transcript:ORUFI01G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRSREMLELAEIRDQQKKGDKWREYRSEIERAISQWKAEIPTVQEELKGLNARNPGKSREDGEVRKLAEQWEHMGKTQEDHPTFHAASQQAKEGQIRSETAGETWVSSKDSINQYEQLDEGAGNGREEVDEHIHL >ORUFI01G05330.1 pep chromosome:OR_W1943:1:3667152:3676515:-1 gene:ORUFI01G05330 transcript:ORUFI01G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAEAAFARSGSWREEEDEQEALRWAALQRLPTVARARRGLLRSPAPGEDRVQGDDALCEVDVAGLSPGDRTALVDRLLADSGDVEDFFRRIRSRFDAVQIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHLRIYRGGRVKLPILDNVSGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLKVSGNITYNGHHLNEFVPQRTSAYVSQQDWHASEMTVRETLEFAGRCQGVGIKYDMLVELLRREKNEGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDICADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILISEGQIVYQGPREYAVDFFAGMGFRCPERKNVADFLQEVLSKKDQQQYWCHYDYPYQYVSVSKFAEAFKTFVIGKRLHDELAVPYNRHRNHPAALSTSNYGVRRLELLKSNFQWQHLLMKRNSFIYVFKFIQLLLVALITMTVFFRSTMHRDSVDDGIIYLGALYFAIVMILFNGFTEVSLLVTKLPILYKHRDLHFYPPWAYTLPSWLLSIPTSLIESGMWVLVTYYVVGYDPQFTRCLGQFLLLFFLHQTSLALFRVMASLGRNMIVANTFGSFALLVVMILGGFIITKESIPAWWIWGYWISPMMYAQNAISVNEFLGHSWSQQFANQNITLGEAILTGYGLFKEKYWFWIGVGALFGYAIVLNFLFTLFLTLLNPIGNIQAVVSKDDIQHRAPRRKNGKLALELRSYLHSASLNGHNLKDQKGMVLPFQPLSMCFKNINYYVDVPAELKSQGIVEDRLQLLIDVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVDVNTRRVFVEEVMELVELNALSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGQLIYAGPLGSKSRNLVEFFEAIPGVPKIRDGYNPAAWMLEVTSTQMEQILGVDFAEYYRQSKLFQQTQEMVDILSRPRRESKELTFATKYSQPFFAQYAACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLIYGTIFYSLGSFEWTAVKFLWYLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFCGFMIPRKRIPAWWRWYYWANPVSWTLYGLLTSQFGDLDQPLLLADGITTTTAVDFLRDHFGFRHDFLGVVAGMVAGFCVLFAVVFALAIKYLNFQRR >ORUFI01G05330.2 pep chromosome:OR_W1943:1:3667152:3676515:-1 gene:ORUFI01G05330 transcript:ORUFI01G05330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAEAAFARSGSWREEEDEQEALRWAALQRLPTVARARRGLLRSPAPGEDRVQGDDALCEVDVAGLSPGDRTALVDRLLADSGDVEDFFRRIRSRFDAVQIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHLRIYRGGRVKLPILDNVSGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLKVSGNITYNGHHLNEFVPQRTSAYVSQQDWHASEMTVRETLEFAGRCQGVGIKYGRSFHHNVLGVVPYLLGSLRFDVNQYVDMLVELLRREKNEGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDICADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILISEGQIVYQGPREYAVDFFAGMGFRCPERKNVADFLQEVLSKKDQQQYWCHYDYPYQYVSVSKFAEAFKTFVIGKRLHDELAVPYNRHRNHPAALSTSNYGVRRLELLKSNFQWQHLLMKRNSFIYVFKFIQLLLVALITMTVFFRSTMHRDSVDDGIIYLGALYFAIVMILFNGFTEVSLLVTKLPILYKHRDLHFYPPWAYTLPSWLLSIPTSLIESGMWVLVTYYVVGYDPQFTRCLGQFLLLFFLHQTSLALFRVMASLGRNMIVANTFGSFALLVVMILGGFIITKESIPAWWIWGYWISPMMYAQNAISVNEFLGHSWSQQFANQNITLGEAILTGYGLFKEKYWFWIGVGALFGYAIVLNFLFTLFLTLLNPIGNIQAVVSKDDIQHRAPRRKNGKLALELRSYLHSASLNGHNLKDQKGMVLPFQPLSMCFKNINYYVDVPAELKSQGIVEDRLQLLIDVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVDVNTRRVFVEEVMELVELNALSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDEHPFLTHSYAGQLLFMKRGGQLIYAGPLGSKSRNLVEFFEAIPGVPKIRDGYNPAAWMLEVTSTQMEQILGVDFAEYYRQSKLFQQTQEMVDILSRPRRESKELTFATKYSQPFFAQYAACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLIYGTIFYSLGSFEWTAVKFLWYLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFCGFMIPRKRIPAWWRWYYWANPVSWTLYGLLTSQFGDLDQPLLLADGITTTTAVDFLRDHFGFRHDFLGVVAGMVAGFCVLFAVVFALAIKYLNFQRR >ORUFI01G05340.1 pep chromosome:OR_W1943:1:3685339:3690335:-1 gene:ORUFI01G05340 transcript:ORUFI01G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGRLARRAVETEAPVMVKMQELLRGNKDVMSLAQGVVYWQPPEAAMNKIKEIVWEPSISKYGSDDGLPELREALLEKLRRENKLTKSSIMVTSGANQAFVNVVLTLCDAGDAVVMFAPYYFNSYMSFQMTGVTDILVGASNPETLHPDVDWLEKVLQENNPIPKLVSVVNPGNPSGAFIPKPMLERISELCRNAGAWLVVDNTYEYFMYDGMEHYCLEGNHIVNLFSFSKAYGMMGWRVGYIAHPNEADGLHAQLLKVQDNIPICASIIGQRLALYALEAGPEWIRERVRDLVKNRELLMEAMSPLGKDSVKGGEGAIYLWAKLPEKCSDDFEVVRWLANKHGVAVIPGSASGGPGYIRVSFGGLKESDTRLAAERLRRGLQELVTEGMVQ >ORUFI01G05350.1 pep chromosome:OR_W1943:1:3691778:3696052:1 gene:ORUFI01G05350 transcript:ORUFI01G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAETPGSSASPHQERLLQSLSARGWCFRDPSNEIIQELLHASPSPSPEAVETELVDVDLRLFGGKSLPDRAAAAATGRRLSYLHGPIVLQVVSVRDIYRSIIDASFKNPQQHRLLRFVLTDGISEAVAIEFFPIPFIIEDIAPGTKICLENKIPIHNGILCLSAKNISIMGGVVQSLYEEWQMNQKFSGLSRPSLRLSQNDDGVGPPPFEKLNVEARPSRTSRSQTYSDNKARKPEVGCQNVSVSSSGKPVNESSSDENKESAVEPKQSISDGRPKEVSEAIPVQNQAAAQKLLQKMTQAAPEDRYGRGHRFKGKGRQEDTPVFTLDEWEKRKSAGLKSTAQSYIDDTSRDEELARQLQEQLDLEDSYGVPESSDADPSAVLKKREAGEEIFEGEDGGEEGAEDEGEAGEDFSPIQTSNGSGNSSCGRPSHNII >ORUFI01G05350.2 pep chromosome:OR_W1943:1:3691778:3696052:1 gene:ORUFI01G05350 transcript:ORUFI01G05350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAETPGSSASPHQERLLQSLSARGWCFRDPSNEIIQELLHASPSPSPEAVETELVDVDLRLFGGKSLPDRAAAAATGRRLSYLHGPIVLQVVSVRDIYRSIIDASFKNPQQHRLLRFVLTDGISEAVAIEFFPIPFIIEDIAPEWQMNQKFSGLSRPSLRLSQNDDGVGPPPFEKLNVEARPSRTSRSQTYSDNKARKPEVGCQNVSVSSSGKPVNESSSDENKESAVEPKQSISDGRPKEVSEAIPVQNQAAAQKLLQKMTQAAPEDRYGRGHRFKGKGRQEDTPVFTLDEWEKRKSAGLKSTAQSYIDDTSRDEELARQLQEQLDLEDSYGVPESSDADPSAVLKKREAGEEIFEGEDGGEEGAEDEGEAGEDFSPIQTSNGSGNSSCGRPSHNII >ORUFI01G05360.1 pep chromosome:OR_W1943:1:3696250:3699868:1 gene:ORUFI01G05360 transcript:ORUFI01G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGGGGGGVWIRAAVAVAAGGAIAARAVRRKSVDSTAVFVGVPAMVAHTVAGYRFAGMLLVFFFTASRMTRVGEKRKRALDPDFKEGGQRNWFTFRVEASDFGSDCSRVGECGKSLERQNSYLVHTTLIGRKQVLSNSGIASVLVVLIALVTGGSDRCLDTRESSLVTALIGGVIGHYACCNGDTWSSELGILSKAEPRIITTFKRVRKGTNGGVTIDGLLAAAAAGFSIGLTFVLLGFLTTQCSSDVFWRQLLVIPLATAAGLCGSLIDSLLGATLQYSGYCSLRKKVVGVDGPTVTRISGRNILDNNGVNVVSVFLTTLITALACVYIF >ORUFI01G05370.1 pep chromosome:OR_W1943:1:3706551:3708877:-1 gene:ORUFI01G05370 transcript:ORUFI01G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETERSSTESSAASGLDFEDTALTLRLPGSLAAAAAPDPDRKRSSPSSSDAADAADNSSPLAAAADAPPAPKARVVGWPPVRSFRKNALAAKFVKVAVDGAPYLRKVDLEAYSGYDQLLRALQDKFFSHFTIRKFADDERKLVDAVNGTEYVPTYEDKDGDWMLVGDVPWKMFVETCQRLRLMKSSEAHQEPLNEAFPVPMTVWLD >ORUFI01G05380.1 pep chromosome:OR_W1943:1:3713597:3716190:1 gene:ORUFI01G05380 transcript:ORUFI01G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAPSSVLVLLGVVLLVAGGRLCECAASGGGFSVEFIHRDSPRSPFHDPAFTAHGRALAAARRSVARAAAIAGSASSSASGGGAADDVVSKVVSRSFEYLMTVNLGSPPRSMLAIADTGSDLVWVKCKKGNNDTSSAAAPTTQFDPSRSSTYGRVSCQTDACEALGRATCDDGSNCAYLYAYGDGSNTTGVLSTETFTFDDGGSGRSPRQVRVGGVKFGCSTATAGSFPADGLVGLGGGAVSLVTQLGGATSLGRRFSYCLVPHSVGNKTVASAASSRIIVDSGTTLTFLDPSLLGPIVDELSRRITLPPVQSPDGLLQLCYNVAGREVEAGESIPDLTLEFGGGAAVALKPENAFVAVQEGTLCLAIVATTEQQPVSILGNLAQQNIHVGYDLDAGTVTFAGADCAGSS >ORUFI01G05390.1 pep chromosome:OR_W1943:1:3724502:3728491:1 gene:ORUFI01G05390 transcript:ORUFI01G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGNASHRDHTIDILRNDATFPSTSHQDNHNNLDELHQTRGPLNDVPHVPESSASATPASISRNASFARRDQGHRQPNPLNSGFWISIELIVVLLLFLTFIGDFSTAIGRTQSKNQQIRVYALVACFKLALDCFFAVWFVVGNVWIFGGRTSLHDAPNLYRLCIVFLAFGFIGYALPFILCTMICCCLPCIISMMGIHEDLDFNRGATAEAIDALVAYKFQSKKFQDGEAGEDNGGVLAAGTDKERTISAEDAVCCICLSKFSNNEDLRELPCNHVFHLECVDKWLKINALCPLCKADLGGSTNAPDSSSRSSHDSNNSRVRNDVESQR >ORUFI01G05390.2 pep chromosome:OR_W1943:1:3724502:3728491:1 gene:ORUFI01G05390 transcript:ORUFI01G05390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGNASHRDHTIDILRNDATFPSTSHQDNHNNLDELHQTRGPLNDVPHVPESSASATPASISRNASFARRDQGHRQPNPLNSGFWISIELIVSLSQIIAAITVLSVSRNEHPHAPLAQWLIGYTIGCVATLPHLYWRFLHRNRQNTEQESTNQVSSERDVYEPNSYVVVSSAHGSEVVDSGNNGGVARIASPRVYALVACFKLALDCFFAVWFVVGNVWIFGGRTSLHDAPNLYRLCIVFLAFGFIGYALPFILCTMICCCLPCIISMMGIHEDLDFNRGATAEAIDALVAYKFQSKKFQDGEAGEDNGGVLAAGTDKERTISAEDAVCCICLSKFSNNEDLRELPCNHVFHLECVDKWLKINALCPLCKADLGGSTNAPDSSSRSSHDSNNSRVRNDVESQR >ORUFI01G05400.1 pep chromosome:OR_W1943:1:3729401:3729794:1 gene:ORUFI01G05400 transcript:ORUFI01G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGKGALSDHERRRVEVKAPGIIERCAAAIEKKGQPSILPETSLVPERDWWHL >ORUFI01G05410.1 pep chromosome:OR_W1943:1:3732837:3733076:1 gene:ORUFI01G05410 transcript:ORUFI01G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSSASSVCSAYRHLSSSSAMGPTPRRRRRGKVVGGGCAGVGLAGRCNAVLKEHKTRLYILGRCVSMLLCWHNHDSD >ORUFI01G05420.1 pep chromosome:OR_W1943:1:3736013:3743637:1 gene:ORUFI01G05420 transcript:ORUFI01G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIIGSTIGIFMQVIFDKYLSSKLEQWADRANLGGEFQNLCRQLDMAKAILMTLKGSPVMEEGIWQLVWDLKSSAYDAEDVLDELDYFRLMEIVDNRSENKLAASIGLSIPKALRNTFDQPGSSLFPPFKKARPTFDYVSCDWDSVSCKMKSISDRLQRATAHIERVAQFKKLVADDMQQPKFPNSRQTSSLLTEPEVYGRDEEKNTIVKILLETKFSNIQNRYRSFLVLPVVGIGGVGKTTLVQYVYNDLATITCFEVRAWACVSGFLDVKQVTIDILQSIDEEGHNQFISSLSLNNIQTMLVKKLKKRKFLIVLDDVWSCSNWELLCAPLSSGTPGSKIIITTRHHNIANTVGTIPSVILGGLQDSPFWSFFKQNAFGDANMVDNLNLIGRKIASKLNGIPLAAKTIGKLLHKQLTTEHWMSILDSNLWELRQGPEDIMPVLLLSYQHLPANIQRCFVFCSAFPKDYSFCEEELIFSWMAHGFIQCMRRDKTLEDTAREYLYELASASFFQVSSNDNLYRMHDLLHDLASSLSKDECFTTSDNLPEGIPDVVRHLYFLSPDHAKFFRHKFSLIEYGSLSNESLPERRPPGRPLELNNLRTIWFMDSPTISLSDASDDGFWNMSINYRRIINLRMLCLHHINCEALPVTIGDLIHLRYLDLRFSDIAELPESVRKLCHLQVLDVRSCKNLVKLPTGVNNLISIRHLLVDASSKLLAGYAGISYIGKLTSLQELDCFNVGKGNGFSIEQLKELREMGQSLAIGDLENVRNKEEASNSGVREKYRLVELNLLWNSNLKSRSSDVEISVLEGLQPHPNLRHLRIINYRGSTSPTWLATDLHTKYLESLYLHDCSGWEMLPPLGQLPYLRRLHFTGMGSILSIGPELYGSGSLMGFPCLEELHFENMLEWRSWCGVEKECFFPKLLTLTIMDCPSLQMLPVEQWSDQVNYKWFPCLEMLDIQNCPSLDQLPPLPHSSTLSRISLKNAGIISLMELNDEEIVISGISDLVLERQLFLPFHNLRSLKSFSIPGCDNFMVLPLKGQGKHDISEVSTTMDDSGSSLSNISELKICGSGISEDVLHEILSNVGILDCLSIKDCPQVTSLELNPMVRLDYLIIEDCLELTTLKCMKTLIHLTELTVLRSPKFMEGWKNLVEEAEGSHLRITASLKRLHIDDLSFLTMPICRTLGYLQYLMIDTDQQTICLTPEQEQAFGTLTSLKTLVFSECSYLRSLPATLHQISSLKSLHLSSCESIDSLPHLGLPGSLERLFIAGCDLLRDKCVEGGIDQHKIAHVRETILA >ORUFI01G05420.2 pep chromosome:OR_W1943:1:3735877:3743637:1 gene:ORUFI01G05420 transcript:ORUFI01G05420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIIGSTIGIFMQVIFDKYLSSKLEQWADRANLGGEFQNLCRQLDMAKAILMTLKGSPVMEEGIWQLVWDLKSSAYDAEDVLDELDYFRLMEIVDNRSENKLAASIGLSIPKALRNTFDQPGSSLFPPFKKARPTFDYVSCDWDSVSCKMKSISDRLQRATAHIERVAQFKKLVADDMQQPKFPNSRQTSSLLTEPEVYGRDEEKNTIVKILLETKFSNIQNRYRSFLVLPVVGIGGVGKTTLVQYVYNDLATITCFEVRAWACVSGFLDVKQVTIDILQSIDEEGHNQFISSLSLNNIQTMLVKKLKKRKFLIVLDDVWSCSNWELLCAPLSSGTPGSKIIITTRHHNIANTVGTIPSVILGGLQDSPFWSFFKQNAFGDANMVDNLNLIGRKIASKLNGIPLAAKTIGKLLHKQLTTEHWMSILDSNLWELRQGPEDIMPVLLLSYQHLPANIQRCFVFCSAFPKDYSFCEEELIFSWMAHGFIQCMRRDKTLEDTAREYLYELASASFFQVSSNDNLYRMHDLLHDLASSLSKDECFTTSDNLPEGIPDVVRHLYFLSPDHAKFFRHKFSLIEYGSLSNESLPERRPPGRPLELNNLRTIWFMDSPTISLSDASDDGFWNMSINYRRIINLRMLCLHHINCEALPVTIGDLIHLRYLDLRFSDIAELPESVRKLCHLQVLDVRSCKNLVKLPTGVNNLISIRHLLVDASSKLLAGYAGISYIGKLTSLQELDCFNVGKGNGFSIEQLKELREMGQSLAIGDLENVRNKEEASNSGVREKYRLVELNLLWNSNLKSRSSDVEISVLEGLQPHPNLRHLRIINYRGSTSPTWLATDLHTKYLESLYLHDCSGWEMLPPLGQLPYLRRLHFTGMGSILSIGPELYGSGSLMGFPCLEELHFENMLEWRSWCGVEKECFFPKLLTLTIMDCPSLQMLPVEQWSDQVNYKWFPCLEMLDIQNCPSLDQLPPLPHSSTLSRISLKNAGIISLMELNDEEIVISGISDLVLERQLFLPFHNLRSLKSFSIPGCDNFMVLPLKGQGKHDISEVSTTMDDSGSSLSNISELKICGSGISEDVLHEILSNVGILDCLSIKDCPQVTSLELNPMVRLDYLIIEDCLELTTLKCMKTLIHLTELTVLRSPKFMEGWKNLVEEAEGSHLRITASLKRLHIDDLSFLTMPICRTLGYLQYLMIDTDQQTICLTPEQEQAFGTLTSLKTLVFSECSYLRSLPATLHQISSLKSLHLSSCESIDSLPHLGLPGSLERLFIAGCDLLRDKCVEGGIDQHKIAHVRETILA >ORUFI01G05420.3 pep chromosome:OR_W1943:1:3736013:3743637:1 gene:ORUFI01G05420 transcript:ORUFI01G05420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIIGSTIGIFMQVIFDKYLSSKLEQWADRANLGGEFQNLCRQLDMAKAILMTLKGSPVMEEGIWQLVWDLKSSAYDAEDVLDELDYFRLMEIVDNRSENKLAASIGLSIPKALRNTFDQPGSSLFPPFKKARPTFDYVSCDWDSVSCKMKSISDRLQRATAHIERVAQFKKLVADDMQQPKFPNSRQTSSLLTEPEVYGRDEEKNTIVKILLETKFSNIQNRYRSFLVLPVVGIGGVGKTTLVQYVYNDLATITCFEVRAWACVSGFLDVKQVTIDILQSIDEEGHNQFISSLSLNNIQTMLVKKLKKRKFLIVLDDVWSCSNWELLCAPLSSGTPGSKIIITTRHHNIANTVGTIPSVILGGLQDSPFWSFFKQNAFGDANMVDNLNLIGRKIASKLNGIPLAAKTIGKLLHKQLTTEHWMSILDSNLWELRQGPEDIMPVLLLSYQHLPANIQRCFVFCSAFPKDYSFCEEELIFSWMAHGFIQCMRRDKTLEDTAREYLYELASASFFQVSSNDNLYRMHDLLHDLASSLSKDECFTTSDNLPEGIPDVVRHLYFLSPDHAKFFRHKFSLIEYGSLSNESLPERRPPGRPLELNNLRTIWFMDSPTISLSDASDDGFWNMSINYRRIINLRMLCLHHINCEALPVTIGDLIHLRYLDLRFSDIAELPESVRKLCHLQVLDVRSCKNLVKLPTGVNNLISIRHLLVDASSKLLAGYAGISYIGKLTSLQELDCFNVGKGNGFSIEQLKELREMGQSLAIGDLENVRNKEEASNSGVREKYRLVELNLLWNSNLKSRSSDVEISVLEGLQPHPNLRHLRIINYRGSTSPTWLATDLHTKYLESLYLHDCSGWEMLPPLGQLPYLRRLHFTGMGSILSIGPELYGSGSLMGFPCLEELHFENMLEWRSWCGVEKECFFPKLLTLTIMDCPSLQMLPVEQWSDQVNYKWFPCLEMLDIQNCPSLDQLPPLPHSSTLSRISLKNAGIISLMELNDEEIVISGISDLVLERQLFLPFHNLRSLKSFSIPGCDNFMVLPLKGQGKHDISEVSTTMDDSGSSLSNISELKICGSGISEDVLHEILSNVGILDCLSIKDCPQVTSLELNPMVRLDYLIIEDCLELTTLKCMKTLIHLTELTVLRSPKFMEGWKNLVEEAEGSHLRITASLKRLHIDDLSFLTMPICRTLGYLQYLMIDTDQQTICLTPEQEQAFGTLTSLKTLVFSECSYLRSLPATLHQISSLKSLHLSSCESIDSLPHLGLPGSLERLFIAGCDLLRDKCVEGGIDQHKIAHVRETILA >ORUFI01G05420.4 pep chromosome:OR_W1943:1:3735877:3736307:1 gene:ORUFI01G05420 transcript:ORUFI01G05420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPPAPWAVAAAAAAGYRQVRSQVPGSSRRPPPRRSSTPRGGRRRSRRGTSPPRIRLVMRYGYRSVIFR >ORUFI01G05430.1 pep chromosome:OR_W1943:1:3744228:3745546:-1 gene:ORUFI01G05430 transcript:ORUFI01G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKSVERLGQRRVVPAEPTPVGPLRLSWLDRYPTQMALIESLHVFKPALDRAIGGDDVAVGPARTIERALARALVHYYPLAGRLAFSDSGEVCVDCGDAGVWFTEAEASCSLEDVDYLEYPMMVPKDELLPPTPAGEEERELVLLVQVTAFACGGFVVGFRFSHAVADGPGAAQFMAAVGELARGAGGVSVEPVWGRDAIPDPAAAVIGSLPDPAGAKRLEYLAVDISADYINHFKNQYNAEAHAAAAGVAGVARCSAFEVLIAKAWRSRTRAAGFEPDTTVNLCFAMNARPLLHASLPRGGAGFYGNCYYIMRVSAPAGKVAGSSVTEVITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVRPWAHKPGARLITQCVTPDRVAAFHEGLLDLN >ORUFI01G05440.1 pep chromosome:OR_W1943:1:3751426:3756222:1 gene:ORUFI01G05440 transcript:ORUFI01G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGRPAPASFRDRTNEFRAAVESAARYASSSAAAAPSSSSGGGVGGPLDVSRGAASAHSEFNRRASKIGLGIHQTSQKLARLAKLAKRTSVFDDPTVEIQELTAVIKKDITALNSAVVDLQVLCNSQNESGNLSKDTTNHSTTVVDNLKNRLMSATKEFKEVLTMRTENLKVHENRRQMFSSSAANNASNPFVRQRPLVTRDGPESSVPPAPWASDSATTPLFQRKKTNGDHGASSSSSQPFMQQQLVQQDSYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDDTLANVEGAQGQLLKYLNSISSNRWLMMKIFFVLMVFLMIFIFFVA >ORUFI01G05440.2 pep chromosome:OR_W1943:1:3751426:3756234:1 gene:ORUFI01G05440 transcript:ORUFI01G05440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGRPAPASFRDRTNEFRAAVESAARYASSSAAAAPSSSSGGGVGGPLDVSRGAASAHSEFNRRASKIGLGIHQTSQKLARLAKLAKRTSVFDDPTVEIQELTAVIKKDITALNSAVVDLQVLCNSQNESGNLSKDTTNHSTTVVDNLKNRLMSATKEFKEVLTMRTENLKVHENRRQMFSSSAANNASNPFVRQRPLVTRDGPESSVPPAPWASDSATTPLFQRKKTNGDHGASSSSSQPFMQQQLVQQDSYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDDTLANVEGAQGQLLKYLNSISSNRWLMMKIFFVLMVFLMIFIFFVA >ORUFI01G05450.1 pep chromosome:OR_W1943:1:3756715:3760136:1 gene:ORUFI01G05450 transcript:ORUFI01G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRRGAAAEEVKLSTGNVFAALETLKKKKKGGDKAKGGSSSSSGRKREQQGAQPSSQQQQQQQKEVFWAPAPLTTKSWADVEDDDDDDYFATTAPPRPVWGTGHGDDGAKEEDEEDDDDAVHAALQEEVESEDEDLDDEVDDGAVDEPEHEVDDTSAEPAGKKAAVPVAPPKDTERQLSKKELKKKELAELDAVLAELGISGGSSNAAQDENNAEKKGSNQTGDGDAPAPSESKSSKKKKNKKAKEAKESQEPADGTEETASAEPDEDTTSVDVKERLKKMASMKKKKSGKESDTAAKIAAAEAAARSARLAAAKKKEKNHYNQQPVR >ORUFI01G05460.1 pep chromosome:OR_W1943:1:3760940:3774349:1 gene:ORUFI01G05460 transcript:ORUFI01G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCLRASPFLSPPPPLLHPSRRRRHRQGGCIHTSPGTRPLVARARFDPPPLLRLKVSDSSDCPAPHHPHSQHQPLLPTRRQQQQPPPPYQALVASLAPLWREGLFLVRCSVFAAALSVAAALSWYAQLRARSFVESRLLPAACAALGEYLQREVHLGRVRSVSPLGITLHTCSIGPHAEEFSCAEVPVMKIRVRPFASLRRGRVVVDAVLSEPSALVAQRKDFSWLGLPAPSEGSPKRHSGEEGIDYRTKTRRLAREKAAEQWNEERDKAAREAAEMGYIVPSAQSISPSIDEMMEDDGPVDTGKSSPHLCPDEMHRKDHHIDAGIDSSSKHADLEKSFGVKARIPGISFWSRMIPNPSRRRYRRKAHSKLISDTDNSSQQRILRRSAYAAVAYFQNECSGNPDDSLPGPGESSSDGGHTNGGGEEGSPNDGPTEYSETTSMDYGELPPEKSNFASTMLIGNTDVLNGSSHNQQPSQISSHSWENNEQVSEAPVLKKRKNISEDDYRQEFDFGAFGSCTYAHNWLSFWPFQLKGFPVGFNAPSASLNVQIQKLRSLFAIGPGDNSAELSQGVGQIHPGAVQQTLPITLDSVYFNGGNLMLLGYGDQEPREMKHANGHIKFKNSYNRVHVHVTGNCMEWRQDRTSQGGGYLSTDVFVDIAEQTWHANLNVVNAFAPLFERILEIPVVWNKGRATGEVHLCMSKGDSFPSIHGQLDVKGLAFQILDAPSSFSDIVATLSFRGQRVFLHNASGWFGDAPVEASGDFGLNPEDGEFHLMCQVPSVEVNALMKTMKMRPLMFPLAGAVTAVFNCQGPLDAPVFVGSGIVSRKSLSVSGMLPSAASEAVMQNKESGAVAAFDHIPFTHVSANFTFNLDNCVADLYGIRACLLDGGEIRGAGNVWICPEGEGDDSAMDINLSGSILLDKVLHRYIPGGIQLIPLKIGELNGETRLSGSLIRPKFDIKWAAPNAEDSFSDARGNIVIAHDYIMVNSSSVSFDLNTHIQTSYIDDYLLHKEMYQRKKIMPLIVEGVDLDLRMRGFEFAHIASSIPFDSPRPLHLKASGRFKFQGKVVKYSQLVDEKNHGAIQGTIDQSKLENDVSRLVGEISLSGIKLNQLMLAPQSTGFLSISPDSVMLNATGRPDENFSIEVNVPLFFGTHEAIQDGRLLSIFLQKGQLRSNICYHPENLTSLEVRNLPLDELEFASLRGFVQKAELQLNFQKRRGHGLLSVIRPKFSGMLGESLDIAARWSGDVITMEKSVLEQANSKYELQGEYVFPGTRDRFPMESQSNGFIEKAMGGHLGSMMSSMGRWRMRLEVPGAEVAEMLPLARLLSRSTDPAIRSRSKELFMQTLHSVGFNAESLRDQLKALEMYPDWLDDDTIEDITLPGLAELRGYWRGSLDASGGGNGDTMADFDFNGEDWEWGTYKTQRVLASGSFSNNDGLRLDKLFIQKDNATLHADGSILGPLTNLHFAVLNFPVGLIPALVQAIESSTTDSIHFLRQWLTPIKGILHMEGDLRGTLAKPECDVQIRLLDGTIGGIDLGRAEVLASVTPTSRFVFDANFEPTIQSGHVNIQGSVPVTYVDSNSIEEDLEGGDGKQGIIRIPVWAKDRGLTNDISETRIMRDKPDEGWEFQLAESLKGLSWNMLEPGEVRINADIKDGGMTLITALSPYSNWLQGYAEVLLQVKGTVDHPVVDGSASFHRATVASPFLRTPLTNFAGNVHVISNRLCISSMESRVGRKGRLSMKGTLPLHNSEPSANDKIELKCEVLDIRAKNILSGQVDSQLQVTGSILRPDVSGMIRLSHGEAYLPHDKGNGAVATRLSSNKSISVPAGFDQRTVSRDVSHFLGSLSTSPDGQQSETERTPEHGSFKPNIDARLNDLKLTFGPELRIVYPLILNFAVSGDLELNGMVHPKYIRPKGVLTFENGEVNLVATQVRLKNDHLNVAKFEPDLGLDPILDLVLVGSEWQFKIQSRASMWQDNLVVTSTRSVDQDVLSPSEAAKVFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLANNISFATEVEVQLGKRLQASVVRQMKDSEMAMQWSLIYQLTSRLRVLFQSTPSNRLLFEYSATSQG >ORUFI01G05470.1 pep chromosome:OR_W1943:1:3775961:3784224:1 gene:ORUFI01G05470 transcript:ORUFI01G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGSDRPSATDATESVQGLDGEETLSERASVGAGQGPSSQNGGGKEEPSSSSSAGSKRKRTSLSSDRVELNGLDAAGTSSGDSTWSEDSFNGRHGRSLPRNKDGHLEHSVNSGEVAVIRQPRGVLRLRKLAQNVSIKSGTGGRKVLRSNGITKATQVQRRKRRKSQTLKENRVGSNDPINCLKVENGTCDQDNSTNFCSENDVSVEKQPNLSGEPSKHVHPTKESSVHVQEEDNVNLEENAARMLCSLSDNMCASSLRKSAKSLNRSSKAYFVQHSEHFKDSCNKDKGMAGPARLLRNRDGKASSKKRRPRRHFYEVSPHDVDPFCIVKERIRVFWPLDEIWYFGLVKEYDPMTRLHHVRYDDKDEEWINLQNERIKLLLLPSEARHKSNRRNPRSIFKPKYEVDEREDIDRNSTGSSESGPIISLLSRSNHARSATSSNTNKQNHTHSDISPVMFDQKQSMLCSDDRPGGSPANAGEEIPEDRTTLKDSRFRFVYSRKRSCRRKNGFLNTSEQDSDLKYRKVTGALFASVAGWGSVTENVTSRRHGILVLSQPLKSIYKIMSEACHVWLLNALVLLHRGAMVSLWPAVHLEILLVDDTLGLKHLLLETSLRSAVSLFCLLVGCFNSYSKASTRNESKMLCTSVRVRISGLHGRSQVVFLMFSFVGVKYPKWKHLQGQLQHHHIKRGLSKVNCACADIKQLTNGTDQRVCTSTEHFSKGLSLDAQDSLFFTESKYSNVDPIIFCLDERSKSVQNHLDVAAAPSLLFFHHLKLRSESNLTSKSLPEFMPITLEEDQQSLPQHTSDLVHLAASASEVCSVYVSPSNTGSLDMGTAGCINHSGSASSKLNTAKRTVSMDCNSRGIGGANITSRSFPDQIMDGSLSAVCPPQKYQKRCSSISIPGDNISDPPDDKLLNKEEKAMQPTSDLVQELNEYPIGRVTPTAPRTPYHRNRFTSLSRTFGDGSKLWQEDIMVTGFAGGSKKPRTQVLYSVSPRSDEPGSKHKGHFRKIQSHSSAKRLPDNSRSEQSSPESLACVANVLVTVGDRGWREYDTQITIDSDGQSERRICVKLAEGTKYAHKVLQVLQPGATNRYTHAMIWKGGTEWCLEFPDRSQWLIFKQMHDECYSHNIRAASVKNIPIPGVCFAEAHDDHDAVSFVRSQDYIGHIGTDVEMALDESRVIYDMDSDDEVWVSRWRKLGKDSTSVTDDLFERIMDKFEKLAYSHNCNELTIDQMKELDSDNIPLDTIKVIHDYWQDKRKKKGMPLIRHFQSAMWKIHEQQLQEWESTALRIQGSSNGYQVKLPPKPALFAFCLRPRGLQPQIPYKGPKQRSHKKLMSSGSFSREQDGFYRPGRKYSEYVGNGRACESYDGGSIYSPTGYSPRFSVKTESPRAFDGLERSSTPRFLRTNSVKRTASFAFSEDHQPSPSFRHQRVKRGAPDHWNAVIHEWQSSKHLLPGASQSPRVDIEELKQRDASSAAQHAVAMAKLKREKAHLLMHKADLALHKATVALMMADAIKASSRDSSRDGRRDFRDD >ORUFI01G05480.1 pep chromosome:OR_W1943:1:3786771:3788225:-1 gene:ORUFI01G05480 transcript:ORUFI01G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAVANEAHHFLVVTYPAQGHINPARHLARRLARAAPGARVTISTAVSACRKMFGDAAAAGAGGELVDEGGVRYAPYSDGYDDGFDRAVHDSASYMTQVRVVGARTLAAVIEGFRAAGRPVTRVVYTLLLTWVADVARDHGVPVALYWIQPAAVLAAYFHYFRGTGGVDRDIAAAAAARDRMAPVRVPGLPPLRLRDLPSFLAIADDDDPYAFVLDAFRDIVAVLGRGDSPTVLANTFDAMEPEAVASLRQHGVDVVPVGPVLSFLDAAKSGGGGGAITTTTSNDLFKQNDTGYLEWLDARPAGSVVYISFGSLSTMSRRQIAEVSRGMAASGRPFLWVLRKDNRGEADDVAIAGGVVVEWCDQVRVLGHPAVGCFVTHCGWNSTLEAVASGVPAVCVPQWTDQGTNAWLVAERLGAGVRAAVSEVDGVLEAGELRRCIDAATSEAVRASAAAWREKARAAVADGGSSEKNLQAYVGKIRAN >ORUFI01G05490.1 pep chromosome:OR_W1943:1:3794547:3798790:-1 gene:ORUFI01G05490 transcript:ORUFI01G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMWSSRWLLLLAQVNTSLLVKVIPSVRQRGPKRAFASTAAGHCHQPPRRAEANAARFRVPSRRGGRYIYHHPAPLQNPSYFYDTSPPPVSFSLVSRSVGRSSGGARSTAVTPSAMNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAASNARPPTVQIRGQPVNQKTSCCSS >ORUFI01G05500.1 pep chromosome:OR_W1943:1:3798622:3798821:1 gene:ORUFI01G05500 transcript:ORUFI01G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDTVWPAAVEAKARFGPRCRTLGITLTSKEVFTWANSNNQRLLHIGDIDRTSKEMTL >ORUFI01G05510.1 pep chromosome:OR_W1943:1:3802123:3805205:-1 gene:ORUFI01G05510 transcript:ORUFI01G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAAGICSGLAYKARHGQTKTNALIWEFMSLVQRKHTHELSLSGVRSWGSMTLGSGGMAAEEGGGNGEAAARGERCVVVAVEETCCACAQLVVGPPNPMMARYVYAFVFLATNLLAWTLRDFGHPVLAELRRLRGSCQGAGYCLGAEGVLRGVSGDPARQCHPVHHLAERLLPVRDQPEEMVQVVSIAAYVGSILGVVLMYVWYAPRPSCKLNILFITVTLVLVQIMTGVSLSSKVKAGYLAPGLMGVYIVFLCWTAIRSEPHTEICNKKAEVATSADWVNIASFVIAVIVIVTATFATGIDSKCLQFKKAESEQPEDDDIPYGFGFFHFVFAMGAMYFAMLFVGWNANQTMEK >ORUFI01G05520.1 pep chromosome:OR_W1943:1:3808934:3810883:-1 gene:ORUFI01G05520 transcript:ORUFI01G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRWSVWMNHKLLSSPRRSDEPQPRCRNPRRGDSASSCSSRHGQPPPPPPYVRRRVHLVFHMHLDAAFFTDAAPIGAVHGVRSGWVNLVCKPHRLYPTSINEKTVQLTPLVSVLCCFYSRIAG >ORUFI01G05530.1 pep chromosome:OR_W1943:1:3811636:3814997:-1 gene:ORUFI01G05530 transcript:ORUFI01G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAGFLLLVVFAVAVAGGAVAAAADLDGGDGGGGVAAEWRFPSSRMRDAYVALQTWRREAIFSDPGNLTADWVGPDVCNYTGVFCAPLPWDRREVAVAGVDLNHGDIAGYLPPELGLLADLALLHLNSNRFCGVLPATLRRLRLLHELDLSNNRFVGRFPEVVLDMPALRFLDLRFNDFEGGVPRQLFDRPLDAIFLNHNRFRFDLPDNFGNSPVSVIVLAHNSFGGCLPASLGNMSGTLNEILLINTGLSSCLPPEVGMLREVTVFDVSFNRLAGPLPSAVAGMRKVEQLDVAHNLLTGAIPQAVCELPRLKNFTFAYNFFTGEPPSCAHAVPRYGDRRNCLPNRPAQRTLRQCAAFFARPPHACSSTVFP >ORUFI01G05540.1 pep chromosome:OR_W1943:1:3832038:3836371:-1 gene:ORUFI01G05540 transcript:ORUFI01G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLLLAPSPAPRPLAFRCRRGGRARRGAAIVASSSASSSSSSGDAGPSHSAAAAGAYVLARRGVLLGVSALPLLRAREAAAAAAVATPNSGDLATVYGMSFPQGFIRRKRVDFPRIDHQAQTCYKTFKIGRNTVICRPNEAIVDETKDIQKPDEPQPGETQAESPLPEALQPESSLPVTQEQTPGNPLSGLLNAIAVAASGVLAGLYGTSQQEKKALESVVSSMESKLAENEAAISLMRENYEKRLLDQQTAQKKQAMKFQEQEASLLDQLSSTKKTVTSLSEEFRREKTLAEELREEIRRLESSLAQAGDDKDVLEAKLKEKLGDVNILQEKVSLLSQEIDNKGIRIRELSSLLSSKEADYRNLCSFSDQTKESLELAEAKIQQLEEEVHRTRNDLSSKISSIDLLNEELQALNSAKNEAEEKLSELTKDYTDLKASSEARESRNSELLLEKDNMIKQLDGKLSDALSDSSKDREIIAALNKELDATKAMLENEVAAVKSLRESLQSTEEALTDSRSEVSKLSVELDEANRMNQDLVLQISKLQDEFNEMQEGLTNKLGEVESVSKALSDELVSVKEMVHKGQEELEATSNELASIVEARDNLKKELLDVFKKLESTSQELVDERKTVTTLNRELEALVKQLQMDSEARKALEADLDEATKSLDEMNRSALSLSKELEETNSRKDTLEAEKEMLSKALAEQQKITTEAHENTEDAQNLISRLQTEKESFEMRARHLEEELALAKGEILRLRRQISTSRSQKAKTLPNTNASPEVSQAPDEQPVNDNQNTSKVAAGSQYTAKRTTRRRKGGAST >ORUFI01G05550.1 pep chromosome:OR_W1943:1:3837275:3840704:-1 gene:ORUFI01G05550 transcript:ORUFI01G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRAEAEAEGEERGGGVLVGFGFGFGLAAMLRRMVVSDTDAGGGGGEAGRVRGGGGGGAALFAVPRLFVGLAAKRGAGDGGEPASRSPTSPLDPKALLLRSPRSPRTWWDAEPVGLALAAAADDDAAKNCLLLSPRVGPLKSFASLPKDCGGGHSPRPGELAKAMSCAAAATATASAAGGMSVPCGVFFYGDLKSGPEATRSGGAHPNAKRRSFDLGGGKIPGPGSLPASIGGVRRFIGSVSASEIEQSEDYTCIIARGPNPKTTHIFGDCILEPQTMDASASAAAMDVTEAPTESYWVVKCDAGAAPASGGGGGGDFLSSCFTCKKKLEGNDIYIYRGEKAFCSANCRDQQILIEEEAENNTTIVSSPPSSCSSLHEDIFMAGIKLKKKKKPMFLNSDICQLQENTN >ORUFI01G05550.2 pep chromosome:OR_W1943:1:3838658:3840704:-1 gene:ORUFI01G05550 transcript:ORUFI01G05550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRAEAEAEGEERGGGVLVGFGFGFGLAAMLRRMVVSDTDAGGGGGEAGRVRGGGGGGAALFAVPRLFVGLAAKRGAGDGGEPASRSPTSPLDPKALLLRSPRSPRTWWDAEPVGLALAAAADDDAAKNCLLLSPRVGPLKSFASLPKDCGGGHSPRPGELAKAMSCAAAATATASAAGGMSVPCGVFFYGDLKSGPEATRSGGAHPNAKRRSFDLGGGKIPGPGSLPASIGGVRRFIGSVSASEIEQSEDYTCIIARGPNPKTTHIFGDCILEPQTMDASASAAAMDVTEAPTESYWVVKCDAGAAPASGGGGGGDFLSSCFTCKKKLEGNDIYIYRGEKAFCSANCRDQQILIEEEAENNTTIVSSPPSSCSSLHEDIFMAGMFVAT >ORUFI01G05560.1 pep chromosome:OR_W1943:1:3857932:3867562:-1 gene:ORUFI01G05560 transcript:ORUFI01G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRRRQQQQQSILSFLQKKPAAAAGEEGATPERPPRPPAASVAGIMERLVRPPRQQQQGRDQDASQARHVEQRALPVKNQTTSNECSSALFLESCNAGDNKAAMLIAEEGSNMTPLQEPLKSLWPSKDDFVRASTLSPELGLDQNLPPHCPKKLPFESSNNNCIGANSSFEEFDVQTPQDTSKTVFWRSSRGADTPLTESDSDQTPLQHPSKFSFVSPNGEYVRGATLFALDSNYTPRRESSEKLSSGPSDLPYIKATKLFTEFDSNGTPSQNHLKKLSSVPKNDKRIGAGAELFSEFDPSPLKPETPVMRAVIPRLKRVQEDQRVTTNDSCSPFWGPNKKVKPAQCSPVENKVHDEMAESACSKFEWLNPSNIRDANRRRLADPLYDKTTLFIPPDALRKMSTSQKQYWNIKCKYMDVVLFFKVGKFYELYEVDAEIGQKELDWKMTISGVGKCRQVGISESGIDVAVEKLLARGYKVGRIEQMESADQAKSRGSNSVILRKLVHVSTPSTVGDSNIGADAVHLLSLKEITLASNGSRVYGFAFLDYAALKIWVGSVHDDDTFAALGALLVQVSPKEIIYETSGLSKETHRLIKKYASAGSVKMQLTPLYGLYFSDVSEIQTLIDSRGYFKASTSSWLSALNSSVNKDAVICALGGLVSHLTRLMLEDALKNGEVLAYHVYRTCLRMDGQTLVNLEIFSNNFDGGSSGTLYKHLNHCITPCGKRLLRRWICHPLKDIDAINERLDIVEGFIQNCGLGSVTLEHLRKVPDLERLLGRVKSTVGLSSAVLLPFVGEKILKRRIKTFGMLVKGLRVGIDLLDILQRQDHGISALSKAVDIPTLSSLGELIHHFEEAIDDDFPRYQDHSVKDDDANTLAMLVDLLVGKASEWSLVINALSTIDVLRSFAAMALSSFGTTCRPNILLKGKAPVLQMKGLWHPYAFAESVNGLVPNDLSLGQDLSGQNRFALLLTGPNMGGKSTIMRATCLAFVLAQLGCYVPCQSCELTLADAIFTRIGAMDRIMSGESTFLVECTETASILENATEDSLVLLDELGRGTSTFDGYAIAYAVFRHLVEAVRCRLLFATHYHPLTKEFASHPHVTLQHMACMLKPRNGGDGGEKELTFLYRLTSGACPESYGLQVATMAGLPRSIVERASAAGEMMRSKIAGNFRSSEERAEFSTLHEEWVRTIVAIGGVKDAHLDEDTMDTLFCVFHELKAHFRKRR >ORUFI01G05570.1 pep chromosome:OR_W1943:1:3868859:3872890:-1 gene:ORUFI01G05570 transcript:ORUFI01G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKRARPPASSPVDPPASPPAPEDPCVLLRRSWELASVLHFLRVFEPVIEGNLGLSAEEIETALIANNHDLARIHIALIKGIPPTIKNLKVDDGWITATAKKLSDWWTWVAEGANPFKINPGKEIDTYKQQDPIKRLLILKALCEVRSEQNDAVWYVNDEMKRGVDISNFRKEKLGSSSNGTIYWYDGDSTIGHRLYSEYVTLDFKRNLKGKNGRLTKPVINIQWETVATNLDEFVEISEKLCSKGRPESAIGEHLKTEIIPDVEKLQKKKERDLKRQQKKDELLAYATSFQTRSLRERRPVSYNYSDYDRSIKEAIKAAAKSKESDPQEAGKKEKRASHQGNKGANGGSDIGPEQKEDGQEDAGGSDVNPEQDKDGGQEDVESLDDLSSDEDEDRDYNGKDDDSSGSDGENDVGSDGENDAYDPNKGDTEEEEVFVPRKRTRLASRRFDKPPQGLRRSRRNMKNDEDVMRPGQLTPRSMTKRTMRQRPTSISKQFSLSGSEDDREMIVADSEEESGSP >ORUFI01G05580.1 pep chromosome:OR_W1943:1:3877195:3883328:1 gene:ORUFI01G05580 transcript:ORUFI01G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSVSQIKRLLGRKYSDPELQRDIAAFPFRVSEGPDGFPLVHARYLGEERVFTPTQLMAMVLSNLKGIAESNLNTAVVDCCIGIPVYFTDLQRRAVLDAATIAGLCPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVCIAGYKKGQLKILSHAYDRSLGGRDFDEVLFKHFAAKFKDEYKIDVYQNARACIRLRVACEKLKKVLSANPESPMHIECLMDEKDVRGFIKREEFEKISASILERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKILTDFFGKEPRRTMNASECVARGCALECAILSPTFKVREFQVNDGFPFSIAMSWKPDSQNGDNQQTVVFPKGNPLPSVKALTFYRSNTFQVDVTYVDTGDLQISPKISTYTVGPFNPGKGDKAKLKVKVRLNIHGVVTVESATMLEEEEVEVPVAATTEPPKDSAKMETDDAPNEAASGTDVNMQEAKAPADAAADGAENGAPNSEEKSVPMETDAKVEPSKKKVKKTNVPVAELVYGALGTTELQKAVEKEYEMALQDRVMEETKDKKNAVESYVYDMRNKLYDKYNDFVTAEDKEAFIAKLQEVEDWLYEDGEDETKGVYVAKLEELKKVGGPIEARYKEWMDRGPSIDQLAYCINSFRDAALSKDPKFDHIEMEEKQKVINQCSEAEVWLREKIQQQDALPKHANPVLLSSDLKKKAETVDRFCKPIMMKPKPAPKPQTPPQTPPTETPAGGAQTPEQQPQGAEAAGEASEGGASESTGEQMETDKPEGTEAA >ORUFI01G05580.2 pep chromosome:OR_W1943:1:3877230:3882826:1 gene:ORUFI01G05580 transcript:ORUFI01G05580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSVSQIKRLLGRKYSDPELQRDIAAFPFRVSEGPDGFPLVHARYLGEERVFTPTQLMAMVLSNLKGIAESNLNTAVVDCCIGIPVYFTDLQRRAVLDAATIAGLCPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVCIAGYKKGQLKILSHAYDRSLGGRDFDEVLFKHFAAKFKDEYKIDVYQNARACIRLRVACEKLKKVLSANPESPMHIECLMDEKDVRGFIKREEFEKISASILERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKILTDFFGKEPRRTMNASECVARGCALECAILSPTFKVREFQVNDGFPFSIAMSWKPDSQNGDNQQTVVFPKGNPLPSVKALTFYRSNTFQVDVTYVDTGDLQISPKISTYTVGPFNPGKGDKAKLKVKVRLNIHGVVTVESATMLEEEEVEVPVAATTEPPKDSAKMETDDAPNEAASGTDVNMQEAKAPADAAADGAENGAPNSEEKSVPMETDAKVEPSKKKVKKTNVPVAELVYGALGTTELQKAVEKEYEMALQDRVMEETKDKKNAVESYVYDMRNKLYDKYNDFVTAEDKEAFIAKLQEVEDWLYEDGEDETKGVYVAKLEELKKVGGPIEARYKEWMDRGPSIDQLAYCINSFRDAALSKDPKFDHIEMEEKQKVINQCSEAEVWLREKIQQQDALPKHANPVLLSSDLKKKAETTPPQTPPTETPAGGAQTPEQQPQGAEAAGEASEGGASESTGEQMETDKPEGTEAA >ORUFI01G05590.1 pep chromosome:OR_W1943:1:3887808:3893999:1 gene:ORUFI01G05590 transcript:ORUFI01G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRRAATVRIRRGQIHRVTAMPPPPQQAPEPAGLQLLLQPEVVAPNHPPPAPPAPAAVPVPPQPQGEARYRRPLVRLQAVPNEDHVPDNYGDGPDELGITPAVYQALERHLPPDLAGAPAEVKRYFMRSVLRNYVPSPSQRIRTQNQREYRERILSAYQPLHPELYTNDPSTFILPAFLQAINGNTEESITSIMMEPAPGVFAFPMLKPSFCQMLMSEVNNFLRWAQSANQRIMRPTSLDRHGRGAALSDFGLQEMLDNLMKDFISPMSTVLFPEVGGNTLDSHHTFVLEYGEADGARGFHVDDSEVTLNICLGKHFTGADMYFRGIRCGNHVNSGTHDEEYFVHPNVPGQVLLHHGSHRHGVFSVTSGRRVNMVMWCKSSVFREMKKFMTDFSGFCRECQFQRTARQVQHLQELTARISISGQESEDETP >ORUFI01G05600.1 pep chromosome:OR_W1943:1:3896533:3899922:-1 gene:ORUFI01G05600 transcript:ORUFI01G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGDSAAAAGEVRRLLAHLDSHQQLLASCHDAWSRALAHFASLDEDLAARSASLDDALAAAGASTSESLAALEAREAAVPARLAEAEAALSAAVAEAGSAEPPPADVRGALRWMCGRMDAPALWRFMAARRRELAAVRKEVGPAVAASVDPPRLVLDALADFLAAEDGAGEDQFWVLGILLRSLFDSDGRKPPEIGDTLVERAAGVAKNWSEKFGIKMELYAPDNNEVEMTEAPLVENATATEKKEEHVDEEEEEEEEDPEEMVPASEEEADAEEVEKEEEDPEEVEKEGGEAEAKVANAAKTGEVEKRKVEGDKKASGREVKEGEKGGQAEVQIFLQMVAAFGLKDRYDVDFLRRLLVDNGRRRELARIACVLGFEDSLRDVIEEFIKSGNEIEAIHIAHEAGLLERFPPVPLLKSYIKRITNKTQVALRGGRHSNSVVEEANNSECNAYKSIIRCVETCQLTSAFNLDGIRKKVARMEKEKADRRKPSGMNRFQNNKRARGASGPQSFPPSKYSRGSNSNYGSSFRNPASHSFPYTDRAGFVGPAPGARPHFAPGSSMGTRRAGVLYGGPGATFGAGHGYGAGAGHQSYHH >ORUFI01G05610.1 pep chromosome:OR_W1943:1:3905112:3906666:-1 gene:ORUFI01G05610 transcript:ORUFI01G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTACSASALAWGLGLGGFGFGSALSLFGSGGGIAGTREGYWTPERRGEEEKEEERVLGSWPVAFKVSAPSTSESESCSCSCRFAKSEGIQSHLVAGSMKSTGREIPDNLFDGSNFLKFLKIYCFRQHDESQGIIIVSPAAQLIPLINLFSL >ORUFI01G05620.1 pep chromosome:OR_W1943:1:3907577:3911628:-1 gene:ORUFI01G05620 transcript:ORUFI01G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSEDAIHEPSPANRPTPTMLHGSASAESSSTRPPPGAWPGGFDLYGWAYSEGLHRHHSGDTLWRVRSLAPSPLLPALNVSRQITWTLTDLTLRAIMGECGFRWREEFLETLGEAQKKATRFGVADLFPLSRLLPVVRSPSGDGRRELTRELAGAAGGGSGLELTEARNNPAR >ORUFI01G05630.1 pep chromosome:OR_W1943:1:3913678:3914353:1 gene:ORUFI01G05630 transcript:ORUFI01G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGEPSSRAGKGEGAQIAAVGGDRGEHTAAATTGLDKERASGIGYGGERGGGGKTTSSATTDLGDGRDRGGGGDVQGAQIDQGEERPGHASGGSNMHGA >ORUFI01G05640.1 pep chromosome:OR_W1943:1:3914421:3914838:1 gene:ORUFI01G05640 transcript:ORUFI01G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVAQEVAHCGAWPAAKSRVATRGLTTAEVAKTTATAAAIDKLVCEPVVVADEPAAATNEPVVKGTVAANEPTVREGIVSPTRLVGPERGDDLERGAAHGREGTATGERPSNRSIQKEGVDGEN >ORUFI01G05650.1 pep chromosome:OR_W1943:1:3920815:3924019:-1 gene:ORUFI01G05650 transcript:ORUFI01G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFSGDSTLCKKKDNTLDEVKEVVHVKQDAPPPSSFLPNSSGLQSLFVKACGVNISVQVDLSNTKVDYLINSACQKMRVNVKDTYAVLCGKILEYNKPMTFDEKFDDIHANTWFYTVDLVPALQRQTRTNPPVLPWLSVRYFSDFASYNIQKVLNHITAMHWENLSYNGAFNSDNIIFHNGAVTIQGVLTVQFNGVTCAKDFAKLYSIFIAKFTPHGIPLYFNDLLEYLRNCPNGENSNDEAIVAFIINHPCLQSYLERMSQLEHLDNIYPRHPGHSTTNISALGTFSWQDKVVRSQNYVFMLHEVEAAFSNCLEEYLPHVLKAIARLAQHNPQGRQYLVDVKQQSGGAANESYLASLMEASWRDGGMLEYKEKQP >ORUFI01G05660.1 pep chromosome:OR_W1943:1:3924032:3925851:-1 gene:ORUFI01G05660 transcript:ORUFI01G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPASIPLKAPSKLAAARPTRRGDGREAQRERDVALHRVTWRREGVRYRLSSSHSTAPSSSSQRRPRRRRRSAALVVVVAALPPSSSSQRRSHLRRHRNCRRRSSRGVKVARQPSGAGGQPRRCSVARQQGGQAALGLLLAGSLLRRIAVLDPATAAVDVGDAAPSPRPSESGKLHAGDPATAAVDAGKSKSKSSWTAGCVWLAGLTPTETMIHSGGRGRRRRRRRRRGSKGPYERGGGGDGDQAAKGCMDAAATGAKTGSTLSSGCCAAN >ORUFI01G05670.1 pep chromosome:OR_W1943:1:3944299:3949367:1 gene:ORUFI01G05670 transcript:ORUFI01G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPNWTRRRSTTEERPSGRYRRGTSKSSSNRGLSPPCSSSVSWIVVTSSCSCDVAAVVARRPTSPSPSPAATYDHPTDTLLPGATIGLNKVTGQSRRLVSRRSKADQAPGVYSMELGRDGVVRMLWNSSVPYWSSGGYFSSVPEMTGHLRFSFTFVDDGREMSFAYHALDASATTTYSFVDVSGQRKVLAWHEAKQDWVTVFTHPNAQREVHAVCGALGNCFKGTINIGGCTRNTPLEHCSGNRSSSSTASSTDKFYAMTGTTQPFDPRKITDAASEGECEQAYLSYCSCTANSFGSGAGCSVWHGDLLNLKQVLKDGATSNGEIILYIRLAAKEFQARRNDGVTIAGIIIAVSSASLGILALIVILKVRRNKKRLHCRTVNNIHVGGGLVPFRYSELQRATRNFSDKIGAGGFGAVFKGSLNESTAIAVKRLRGSCHEEKQFRAELRSIGIIHHTNLVRMIGFCCEGNKRLLVYEHMPKLSLDVHLFRNNASTLNWNTRYQIALGVARGLAYLHESCQDYIMHCDIKPQNILLDRSFVHKIADFRMAKLLKRDYSRVMTTTRETIEYLAPEWISGVAILHRKLMYIAIVWYC >ORUFI01G05680.1 pep chromosome:OR_W1943:1:3950181:3953308:1 gene:ORUFI01G05680 transcript:ORUFI01G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVTTTSLPPSPATPPRRHCRMRQRMLHFVCQSVVQPHRDASFPGDEGAAAQATMCGTRSGSGSSGARTDTRGSRCTRPPPPSPTVAMSSTVELFHNGQIHPMRLPPLGDVGGGGKPPPEHEARMGDERGGRLRCWSMHRLSRSTPCSAHDLLHYRTFAFDRATLTAGHADLAASRSNLAASCSALASGSIRACSHSSVESMCVDWPPLLLNFYRDASFLLVSLAAVAVVLAAGEVTIWGALAFTSLYVVYVVAVAFTHGRSPSKGHDAADHTADAFSELCNVAETKFYGDQRTTPRNHPAGRFPPRGCRLAWSNRTDIKAD >ORUFI01G05690.1 pep chromosome:OR_W1943:1:3956977:3957834:1 gene:ORUFI01G05690 transcript:ORUFI01G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIFVASQQVQIRSNLWIRSATTDTISAGQTLANDDKLVSKNASAVLWTTQANITTNNTIVMLLNSGNLILTNPSNSLEVFWESFNYPTDTFFPGAKLGWNKITGLNHRIIFKKNLVDPAIGMYREELDPTSVNQALLVPVNSTPYWSSGAWNGEYLSSIPEMASHNFF >ORUFI01G05700.1 pep chromosome:OR_W1943:1:3958207:3961231:1 gene:ORUFI01G05700 transcript:ORUFI01G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRPPYVLLERVVFFGGRELPDGTWKDAAGIVIGWSRARLLSRREAMEAMEPHPFLADPPQVSSLRMMSPTPAHAQQLGSIRDGDIASTHKGIVVIYAGFYRPGCSDDLGGCYLLYDAPTNALTAIPPLPDSPRFPTLLHLGRTAVLVDDSRSADDYILADIVTNSGLGLPEATIFAWSSLTMKKSGGEWVKSSIPRLPLPAHLCGPKHLFQIDLAFSLDSGRICWVDLLQGILFCDRILAPDGPKLGFIPLPTGYCIDVHHRLRHQMMPLARRSMACVSGAVKFVALVGLEDIHCPPNEVMLKTWVLSPDFKEWKEDSRSLSVEEMWASESFKQMGLPCVVPVSPVLSLTQDGVMYTILNVIEQVPAQVDEFGIVVVDDHLVPIANYMIRFDIRRNKVLSSTKISQHGELQWLIPSLIATDFTAYLQDHQRAEEAGKVGASAKGKRKQMEYY >ORUFI01G05710.1 pep chromosome:OR_W1943:1:3965257:3967027:-1 gene:ORUFI01G05710 transcript:ORUFI01G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVRAAAADAAVTFLWVLCVSTLGASTAAVTSYLRIHEGIHYALLVTVSLLSVLLFAFNLLCDALGGASFNPTALAAFHAAGLSSPRHSSLFPLALRFPAQAAGAVGGAMAISELMPEQYKHMLGGPSLKVDLHTGAAAELVLTFVITLAVLWIIVKGPRNPIVKTWMLSISTVCLVLTGAAYTGPSMNPANAFGWAYVNNRHNTWEQFYVYWICPFVGAVLAAWVFRAVFPPPAPKPKAKKA >ORUFI01G05710.2 pep chromosome:OR_W1943:1:3965259:3967001:-1 gene:ORUFI01G05710 transcript:ORUFI01G05710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVRAAAADAAVTFLWVLCVSTLGASTAAVTSYLRIHEGIHYALLVTVSLLSVLLFAFNLLCDALGGASFNPTALAAFHAAGLSSPRHSSLFPLALRFPAQAAGAVGGAMAISELMPEQYKHMLGGPSLKVDLHTGAAAELVLTFVITLAVLWIIVKGPRNPIVKTWMLSISTVCLVLTGAAYTGPSMNPANAFGWAYVNNRHNTWEQFYVYWICPFVGAVLAAWVFRAVFPPPAPKPKAKKA >ORUFI01G05720.1 pep chromosome:OR_W1943:1:3969505:3970041:-1 gene:ORUFI01G05720 transcript:ORUFI01G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVGGGGYSPRFQRQASCSCAPSISPGPPPISMPRRYVRGGFDLAGDYDDDEEEEYGYLGAGVFDGVHHADGKPGAASRGAGAPASASASSGRGCGTRLKGLWRRILRENKKRILLCATGCVPASSSAAAAARVPYDAYSYAQNFDDGAAWVEPENLSRSFSARFAVPSRVLQRVAV >ORUFI01G05730.1 pep chromosome:OR_W1943:1:3974305:3976476:-1 gene:ORUFI01G05730 transcript:ORUFI01G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLQQRCKPTDTGTAAKLGGSTMQSLKPGKYINKSSGNASTKYRRAGASSPQLYTEKQSAPKDGSFMEALFHDSKNVSARPPCHSGKIALQLFPIDEEFQKSLQQKNHNPYLELTVAPRKKISSVLQHLNTKWGNSQCARGELMLFPDGTRLDNINGSERWTRSDSCTAADVHVAVGSPSTFRLREQKQMTALSEFPSNFAAPSTEANTVKTMKQDNQSKETPLSWIDCISNISFGALLAEAAPSQDSKQLLPQNNSSLQQIPLTADSFDAAIASLIARQQASSQPKVSTPSLWDAEETCHAFPSQNRISRRTPGTTPSSCGASTLSVLGTILESGTDGEKQCSTEDRREEPNPQASLLANDDNNVKPDIPVSESTGEPRLGASCFQSESTGEPEVGASCSRLLSGTDSLSVSDLLANSLDAFQKFSVF >ORUFI01G05740.1 pep chromosome:OR_W1943:1:3977889:3980004:1 gene:ORUFI01G05740 transcript:ORUFI01G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKKRRPEEEEAAGAEEEMHLAFRGAANALSQVYGQAVAAQEKSFRAGERRAMENVYRWICSKHQEGLEVSVADLVAFLQTEIEHRAGEVPGSLQHTSAQPACQFPSANVQSNSFSFGNVTDALNSHTAQTGQTQTAGVLNAPPNPLRQNLHSNHHPIHCSAYGTINSLPDGIGAQSNHPPQHQNFMHCNSYEPSMDES >ORUFI01G05740.2 pep chromosome:OR_W1943:1:3977889:3979531:1 gene:ORUFI01G05740 transcript:ORUFI01G05740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKKRRPEEEEAAGAEEEMHLAFRGAANALSQVYGQAVAAQEKSFRAGERRAMENVYRWICSKHQEGLEVSVADLVAFLQVICVCGSNPCLMLLFYAQTEIEHRAGEVPGSLQHTSAQPACQFPSANVQSNSFSFGNVTDALNSHTAQTGQTQTAGVLNAPPNPLRQNLHSNHHPIHCSAYGTINSLPDGIGAQSNHPPQHQNFMHCNSYEPSMDES >ORUFI01G05750.1 pep chromosome:OR_W1943:1:3981014:3987513:-1 gene:ORUFI01G05750 transcript:ORUFI01G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELTGGFNVVLITEFSQFLGQALELPSMSASNEKWIDGLQFSSLFWPPPQDSQQKQAQILAYVEYFGQFTADSEQFPEDIAQLIQSCYPSKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTLSYDRNGFPFMSFISLFSHTSEKEYSEQWALACGEILRVLTHYNRPIFKVDHQHSEAECSSTSDQASSCESMEKRANGSPRNEPDRKPLRPLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTAYSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYDSGMRLPKNWMHLHFLRAIGTAMSMRAGIAADTSAALLFRILSQPTLLFPPLRHAEGVELHHEPLGGYVSSYKRQLHIDLYFHMCSFNCTKLEVPASEATIDATAQGIASMLCAHGPDVEWRICTIWEAAYGLLPLSSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSETSEQSRKPRSQSKNLAVAELRTMIHSLFVESCASMDLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSSEEVTNDSRLTNGRNRCKKRQGPVATFDSYVLAAVCALSCELQLFPFISKNGNHSNLKDSIKIVIPGKTTGISNELHNSISSAILHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSALKQCKWDAEISTRASSLYHLIDLHGKTVTSIVNKAEPLEAHLTLTPVKKDEPPIEEKNINSSDGGALEKKDASRSHRKNGFARPLLKCAEDVILNGDVASTSGKAIASLQVEASDLANFLTMDRNGGYRGSQTLLRSVLSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPTKASAAIVLQAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLECRLSTTIRCLSHPSAHVRALSMSVLRDILNSGQINSSKLIQGEHRNGIQSPTYQCLAASIINWQADVERCIEWEAHSRRATGLTLAFLTAAAKELGCPFTC >ORUFI01G05760.1 pep chromosome:OR_W1943:1:3991891:4007064:-1 gene:ORUFI01G05760 transcript:ORUFI01G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPGRAVMALGHHGAARQPPTTMAAAASSSTTSAAAAPATATTTVAFSFQHPTPTPSHHHHHHGVLGYSPLVLDHHHPTTAAASSHAPSPPTLHHHHGGGLHAAAPPPRSSPPHPWSCEEGDHRGRPQMGNKGEAAAAMGAMGINDAGNNTAAAAAAQHHLGVGAVRMKKVGGGGGGGGKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRFEMRNDATSWSFESKECTLWSPIKFLWNASFHAVAIHPRIPLTAARSTRGERGRLWAVRCGSDIPSQVHCPRNPVTRKYQFE >ORUFI01G05760.2 pep chromosome:OR_W1943:1:3991791:4007064:-1 gene:ORUFI01G05760 transcript:ORUFI01G05760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPGRAVMALGHHGAARQPPTTMAAAASSSTTSAAAAPATATTTVAFSFQHPTPTPSCEEGDHRGRPQMGNKGEAAAAMGAMGINDAGNNTAAAAAAQHHLGVGAVRMKKVGGGGGGGGKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPSPLNRRNVPYGALLNSYGMPHFMPSPSTHASHLPPRGQLVASVDACGPSDADRIFPLRSTARETRSRENINSSDLMDLSAIAIAHCISTKQPNHKVGASRERKKER >ORUFI01G05760.3 pep chromosome:OR_W1943:1:3991791:4007064:-1 gene:ORUFI01G05760 transcript:ORUFI01G05760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPGRAVMALGHHGAARQPPTTMAAAASSSTTSAAAAPATATTTVAFSFQHPTPTPSHHHHHHGVLGYSPLVLDHHHPTTAAASSHAPSPPTLHHHHGGGLHAAAPPPRSSPPHPWSCEEGDHRGRPQMGNKGEAAAAMGAMGINDAGNNTAAAAAAQHHLGVGAVRMKKVGGGGGGGGKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPSPLNRRNVPYGALLNSYGMPHFMPSPSTHASHLPPRGQLVASVDACGPSDADRIFPLRSTARETRSRENINSSDLMDLSAIAIAHCISTKQPNHKVGASRERKKER >ORUFI01G05770.1 pep chromosome:OR_W1943:1:4010092:4010619:-1 gene:ORUFI01G05770 transcript:ORUFI01G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPGGADPCGDGITASIHRLFLSSRLPMRDTSIMGIDGVDNRTLQHVWSSGDGAESVIPLIQQAGTLILVSLSGACLA >ORUFI01G05780.1 pep chromosome:OR_W1943:1:4015894:4038994:1 gene:ORUFI01G05780 transcript:ORUFI01G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSREVDDGPAVLQLYRWKSSQPHLELSKFREAFISPTRRLFGLLSDHGDLILSMTNVDQSQVESPSLSDSCSPVVFDCFSSIPRVKSLAWGQCYDASSQLEDSIFNEVLVVSDDDSITLHGFCHSYKSTLTDNSKLDTGDLHGEWKEWRPTQCSVPEDDEAGTKNSFRSFLTTISGSVSDGKYQAKFPLKSSLPHSAEVVSFSIYDITLSFIKFWSSNYPSKTWMQNDTKSQQGFLGHVPTTETSCNCQWECLKVLPSSSGYLIGLVLIRNEPVSCEVHQRNMKDILVVVIELNQWGIQWNFVADLQNVYDGAVPNQQWVDFQLSDDFLACLNSGGFVVIWNVRTCNIAASFSVLERCRTDIDIPMGSITPTVKTSDGENIYIGNHARRMFKRLVLASYSLVLAVVDEVGVIYLFYAKDILDFKTSMHGNFHPPSMFYRGDSFAAWEAAGHDIGSVTFCCHRSVQQLVYDFSERDNPGVVRPRKRRKHCSSNENQVDSWPSGFSTTTPMKDGLAYPDTMAVSNPLRRVILPPCRLQENIISLSPFGLTRIFKSHNADGNKHFRIVHTNFLMASLHLDERDIDASFLKRSSFQKDSTFTGECVLCSFQGYVYLITQDSLSVVLPSISVSSFSSHNNGIKFWQPTSAGGSACDALNLSSVNRPETRWKPWQIEVLDRALLYEGPAFADRLCWENGWDLKFSRLRWLQLALHYTKISDLEQSLNMLVEVNLAEEGVLQLLLASVYRLLSRAESDSEVAASSKLMVLAVRFVTRMIKCYGLLKQNKDNSVKLHEMAFLLGVIRSIQSRISAKNQNSIRMGDDKNSSKIGAEVSLNTSLPIVVVDGVSAGQSGGIESRDRQESTPAMFELVSGSNGQLALTPVESSISATMHHAIDTDQVVIPSTQGNIKDMMNRWETNNLDLRTVVKEALQSGRLPLAVLQLQLLRQRESFSNDPEDVFSEVHEIGRSIVYDLLMKGETGLAVATLERLGDDIESDLRQLMQGTVRRLLRRQIAEEMKKRGYMSSNEWKMLEIISLIEQFYPSSSFWDTYLGRENVIHDAAKIVTLPGEDKPVLVLDIHNHCPIECGDVDGAVIGSWANVNDYTNLKEFSESNLSDGYWACAAIWSDAWDKRTVDRIILDQPCHAHAYAHFSWESQFEYFVAHNDVLEVCKLLDSIPDSVLLEGILRVNVDNSQATYNTLSDVATHDYKMYLFDSEEIEPVCMEIPHVKVFRSLCNHESTSWMRMIMLQELAKKHIFMKEYWQSTTEIIPILARAGMLVNTSELCSDVLHGAYHQDCDGALHKLVLRFCVQYNTPNLLDLYLDYCNLALEKDSIPLLKEATGDCKWAQWLLFSRVKGYEYEASFCNALWNLSQEMVRHNNLAAIEIDEILYTVDDMAERIGEMSALATLMYASTPIQKSICTGSVNRNRGVSSQCTLENLSPHLQQFPTLWKILLSSCFGQDGYGCLNCSPTNEFGKSPISEYLRWRYNIFSSAGGDTSLLQMLPCWFPKSIRRLVQLFEQGPFGMQLLSNAPSSEELFTHSVTDYIYNTAGYSEANALSLEASIQKSVEEELYSSLEEKDLRVEHHLHRGRALAAFRHLLGKRASQLKSANASQVISVQSSVQADVQLILAPLSQTERPILLSVAPLAITNFEDSTLVASCTFLLELCGLCANMLRLDIAALQRISSYYKSFQQNKQCDLSSPRSPGLHVLSHGADLAPTLARTLAEDYIQSDHLHVLEQKQPSKAPKREHSSQPLKAILHHLEKASLPVLEEGRTCGFWLLNGIGDASLYRTQQNEASQHWNLVTEFCQAHHLPLSTKYLALLANDNDWVGFLTEAQVSGFPIEVVIEVAAKEIRDSRLRTHILTILKNMMSARRKSSSNVSSGSDSSFFAVDGDNSMELFSVLAVCEKQKNPGEALLNKAKQMQWSLLAMIASCFSDVTPLSCLSVWLDITASREMSLIKVDDISSKIAKNVGSAVEATNKLPSMSRNVEYRYNRKNPKRRRFLEASQESFTSGFSSCGPSPTATPNFPNFDVQQKILKPISEETKIPVDIDERFASLSSMVAVLCEQQLFLPLLRAFEMFLPLCSLLPFIRSLQAFCQMRLSEAYAHLASFSARLKDETSHAQLNSSKEESAMAGWVAVTVVKAADAVLSTCPSIYEKRCLLQLLAVVDFSDGGFSAAYFRRGYWKIILAEPSVCKDGDTYKWNDSMDDASLLASLEKDGRWEEARTWARQLESSDVAWESTFDHVTESQAEAMVAEWKEFLWDIPQERAALWSHCQSLFMKYSLPPLQAGSFFLKHAEAVGEEIPARELHEILLLSLQWLSGTMTNSSPVYPLHLLRDIETRVWLLAVESESQCKADGEYAPSSVTQNLATGNNTNIIEQTADVITKIDNSMSSPRMRITERNGIRDNNTPSFHQHLQLFESNGEGVHNTRARRRSKTNTLLRRVAKDSVESSINDSGDNSNSFNSSKIAGQARSLLSEEEFAKMEVSLSGWEQNVRPVDMEKAVLSLLEFGQITAATQLQQKLSPSYVPEELVLVDIALRVANNSSNGEISLSCFDPEALSILQSLGSNTTDPSEVMEKLAMKCGEGRGRALVRRIAAVVQTAKVLGLPFSEAFEKQPIELLQLLSLKAQDSFDEAKFLVETHTMPASSIARILADSFLKGLLAAHRGGYLDSQKEEGPAPLLWRSSDFLKWAKLCPSEPEIGHALMRLVMTGHEVPHACEVELLILSYHFYMSSSCLDGVDVLVTFAANRVESYVSEGDFSCLARLITGVSNFHSLSFILSILIENGQLELLLQKYSATDSATGAPASVRGFRMAVITSLKHFIPSDDDALSLVYKHFDMKHEAASLLESRAEQYMNSWLSRYDKERRNDELLEAMHHLVEMAEVLSTIDAGQRTHRACARASLLSLQIRIPDLLWIGLSETNARRIFVEQSRFQEALIVAEAYNINQPMEWAPVFWNQMLKPDLIEQFVAEFVLVLPLQPPMLLELARFYRAEVAARGDQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDMRLRLQLATLATGFSDVLDACNSVLDKVPENAGPLILRKGHGGTYLPLM >ORUFI01G05780.2 pep chromosome:OR_W1943:1:4015894:4031722:1 gene:ORUFI01G05780 transcript:ORUFI01G05780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSREVDDGPAVLQLYRWKSSQPHLELSKFREAFISPTRRLFGLLSDHGDLILSMTNVDQSQVESPSLSDSCSPVVFDCFSSIPRVKSLAWGQCYDASSQLEDSIFNEVLVVSDDDSITLHGFCHSYKSTLTDNSKLDTGDLHGEWKEWRPTQCSVPEDDEAGTKNSFRSFLTTISGSVSDGKYQAKFPLKSSLPHSAEVVSFSIYDITLSFIKFWSSNYPSKTWMQNDTKSQQGFLGHVPTTETSCNCQWECLKVLPSSSGYLIGLVLIRNEPVSCEVHQRNMKDILVVVIELNQWGIQWNFVADLQNVYDGAVPNQQWVDFQLSDDFLACLNSGGFVVIWNVRTCNIAASFSVLERCRTDIDIPMGSITPTVKTSDGENIYIGNHARRMFKRLVLASYSLVLAVVDEVGVIYLFYAKDILDFKTSMHGNFHPPSMFYRGDSFAAWEAAGHDIGSVTFCCHRSVQQLVYDFSERDNPGVVRPRKRRKHCSSNENQVDSWPSGFSTTTPMKDGLAYPDTMAVSNPLRRVILPPCRLQENIISLSPFGLTRIFKSHNADGNKHFRIVHTNFLMASLHLDERDIDASFLKRSSFQKDSTFTGECVLCSFQGYVYLITQDSLSVVLPSISVSSFSSHNNGIKFWQPTSAGGSACDALNLSSVNRPETRWKPWQIEVLDRALLYEGPAFADRLCWENGWDLKFSRLRWLQLALHYTKISDLEQLMVLAVRFVTRMIKCYGLLKQNKDAPDNSVKLHEMAFLLGVIRSIQSRISAKNQNSIRMGDDKNSSKIGAEVSLNTSLPIVVVDGVSAGQSGGIESRDRQESTPAMFELVSGSNGQLALTPVESSISATMHHAIDTDQVVIPSTQGNIKDMMNRWETNNLDLRTVVKEALQSGRLPLAVLQLQLLRQRESFSNDPEDVFSEVHEIGRSIVYDLLMKGETGLAVATLERLGDDIESDLRQLMQGTVRRLLRRQIAEEMKKRGYMSSNEWKMLEIISLIEQFYPSSSFWDTYLGRENVIHDAAKIVTLPGEDKPVLVLDIHNHCPIECGDVDGAVIGSWANVNDYTNLKEFSESNLSDGYWACAAIWSDAWDKRTVDRIILDQPCHAHAYAHFSWESQFEYFVAHNDVLEVCKLLDSIPDSVLLEGILRVNVDNSQATYNTLSDVATHDYKMYLFDSEEIEPVCMEIPHVKVFRSLCNHESTSWMRMIMLQELAKKHIFMKEYWQSTTEIIPILARAGMLVNTSELCSDVLHGAYHQDCDGALHKLVLRFCVQYNTPNLLDLYLDYCNLALEKDSIPLLKEATGDCKWAQWLLFSRVKGYEYEASFCNALWNLSQEMVRHNNLAAIEIDEILYTVDDMAERIGEMSALATLMYASTPIQKSICTGSVNRNRGVSSQCTLENLSPHLQQFPTLWKILLSSCFGQDGYGCLNCSPTNGYSEANALSLEASIQKSVEEELYSSLEEKDLRVEHHLHRGRALAAFRHLLGKRASQLKSANASQVISVQSSVQADVQLILAPLSQTERPILLSVAPLAITNFEDSTLVASCTFLLELCGLCANMLRLDIAALQRISSYYKSFQQNKQCDLSSPRSPGLHVLSHGADLAPTLARTLAEDYIQSDHLHVLEQKQPSKAPKREHSSQPLKAILHHLEKASLPVLEEGRTCGFWLLNGIGDASLYRTQQNEASQHWNLVTEFCQAHHLPLSTKYLALLANDNDWVGFLTEAQVSGFPIEVVIEVAAKEIRDSRLRTHILTILKNMMSARRKSSSNVSSGSDSSFFAVDGDNSMELFSVLAVCEKQKNPGEALLNKAKQMQWSLLAMIASCFSDVTPLSCLSVWLDITASREMSLIKVDDISSKIAKNVGSAVEATNKLPSMSRNVEYRYNRKNPKRRRFLEASQESFTSGFSSCGPSPTATPNFPNFDVQQKILKPISEETKIPVDIDERFASLSSMVAVLCEQQLFLPLLRAFEMFLPLCSLLPFIRSLQAFCQMRLSEAYAHLASFSARLKDETSHAQLNSSKEESAMAGWVAVTVVKAADAVLSTCPSIYEKRCLLQLLAVVDFSDGGFSAAYFRRGYWKIILAEPSVCKDGDTYKWNDSMDDASLLASLEKDGRWEEARTWARQLESSDVAWESTFDHVTESQAEAMVAEWKEFLWDIPQERAALWSHCQSLFMKYSLPPLQAGSFFLKHAEAVGEEIPARELHEILLLSLQWLSGTMTNSSPVYPLHLLRDIETRVWLLAVESESQCKADGEYAPSSVTQNLATGNNTNIIEQTADVITKIDNSMSSPRMRITERNGIRDNNTPSFHQHLQLFESNGEGVHNTRARRRSKTNTLLRRVAKDSVESSINDSGDNSNSFNSSKIAGQARSLLSEEEFAKMEVSLSGWEQNVRPVDMEKAVLSLLEFGQITAATQLQQKLSPSYVPEELVLVDIALRVANNSSNGEISLSCFDPEALSILQSLGSNTTDPSEVMEKLAMKCGEGRGRALVRRIAAVVQTAKVLGLPFSEAFEKQPIELLQLLSLKAQDSFDEAKFLVETHTMPASSIARILADSFLKGLLAAHRGGYLDSQKEEGPAPLLWRSSDFLKWAKLCPSEPEIGHALMRLVMTGHEVPHACEVV >ORUFI01G05790.1 pep chromosome:OR_W1943:1:4039565:4044118:1 gene:ORUFI01G05790 transcript:ORUFI01G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKPAAEQKAMEGGEQEALDEMEKKVHKYSRGKAAYLGTLRDKKLKGQLAAREKLYGHSAKAAVQTEKWLLPTEGGYLEPDDDGLEKTYRYQQTSLREDVDLLSSRKPFDMILPLLGPYTLGYTGNGRYMVVGGRKGHVAMMDMLNLELVKEFQVRETVRDVAFLHNEQLYAVAQKKYPYIYNRHGTEIHCLKEHGKSLKLQFLEKHFLLSSINSFGQLHYQDISTGEMVANYRTGLGRTDVMRVNPYNAVIGLGHAGGKVTMWKPTSVKPLVTMLCHSGPVTAIAFDRGGHLMATAGVDRKVKIWDLRKYEVINSYAARAQSLDFSQKGLLACSNGSQVEIFRDTGGQDYKIYMKHRMVKGYQIGKVLFRPYEDVLGIGHSMGLSAILVPGSGEPNFDTFVDNPVETSKQRREKEVQSLLNKLQPETIMLNPNMIATVRPSRKKEKKTKKEIEEEMEDAVEAAKSIEFKKKTKGRSKASKRAKKREEEVLKAKRPFLEQHKENDGRPDKKQRVSEEIELPKALQRFAKSRQ >ORUFI01G05800.1 pep chromosome:OR_W1943:1:4044797:4048970:-1 gene:ORUFI01G05800 transcript:ORUFI01G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKNQRKPGEASWPKVVLKKWLNLKSKDSEFNADEEDDDDGSDVDEQENCGCDGGEERRRADGDLADENVEGGAPYRLRRRNSETLRAQYINTKELKLCVGTWNAAGKVPPGDLDIADWLGAGAGEPADVYVLGFQEVVPLNAGNVFGAEDARPAQAWEELIRSALRRARPPASSRPRYKCYSHPPSPSRGDATAAAAAATDDDELFPGTDTDTDTNTDDDSLFSSPAESEQQNVAATPRRLTRLNHFTAAADAAAAMDDSGDEHHQRTLLKTLSRSDRVGLAWPEQPLDLLAKHATATASTTMPSSRSFRTYNSFRPSRAAAAADQSNDDLAMIADLDMDLAAARKRRSPYVRIVSKQMVGVFLTVWVRRGLRRCVHNVGVSTVGVGAMGYIGNKGAVSVSMSVYQTMFCFVCTHLAAGEKPADLHKRNADVQEIHRRTHFAGVGMPRNIYDHERIFWLGDLNYRIDLKRELRKGRAFDGWTEGVLEFAPTYKYELNSAKYIGDDQRGGRRTPAWCDRILSFGKGVKLMSYGRAELTMSDHRPVVATYAAEVEVFSSRKLQRALTLTDAEVEAGTVVAVPDHLAGF >ORUFI01G05810.1 pep chromosome:OR_W1943:1:4057665:4058381:1 gene:ORUFI01G05810 transcript:ORUFI01G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVADEVTEAMEQVEGEREEADAVADEAAEVMEQAEGEREEAIDAVAGEAGEVMKEVVEGEREEANAMADEADEAMEPEGEREEEADAVADEATEPMEQVEGEGEDGDAAAQRPALPLGRVKRIIRVDRDIKKVTNEAALLIAAATELFVGSLAAGAHRAASRRGRRAVRAVHVRAAAREHRPTADFLLDCLPAAEEAAPARAAAAGPSRGGGRGEAKPLPRGTRRIDAFFQKTT >ORUFI01G05820.1 pep chromosome:OR_W1943:1:4059226:4065362:1 gene:ORUFI01G05820 transcript:ORUFI01G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPWLLGFVVKYPEIMASAACFLLLFCRFRRRSKRIPTNWPVVGALPAIVANAGRVHDWVTEFLRAAAMSHVVEGPWGSPGDVLITADPANVAHMFTANFGNYPKGEEFAAMFDVLGGGIFNADGESWSFQRRKAHALLSDARFRAAVAASTSRKLGGGLVPLLDGVAASGAAVDLQDVFMRLTFDLTAMFVFGVDPGCLAADFPTVPFAAAMDDAEEVLFYRHVAPVPWLRLQSYLKIGHYKKMAKAREVLDASIAELIALRRERKAADANATGDADLLTAYLACQDEIGMDGAAFDAFLRDTTLNLMVAGRDTTSSALTWFFWLLSNHPGVEARILAELRAHPPSPTGAELKRLVYLHAALSESLRLYPPVPFEHKAAARPDTLPSGAAVGPTRRVIVSLYSMGRMEAVWGKGCEEFRPERWLTPAGRFRHERSCKFAAFNVGPRTCLGRDLAFAQMKAVVAAVVPRFRVAAAAAPPRPKPSIILHMRDGLKVKVHRRQED >ORUFI01G05820.2 pep chromosome:OR_W1943:1:4059226:4065362:1 gene:ORUFI01G05820 transcript:ORUFI01G05820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFWTFILLYPEIFLAIICFFWFSLFRLIRQRQKSNLPVNWPVFGMLPFLVQNLHYIHDKVADVLREAGCTFMVSGPWFLNMNFLITCDPATVNHCFNANFKNYPKGSEFAEMFDILGDGLLVADSESWEYQRRMAMYIFAARTFRSFAMSTIARKTGSVLLPYLDHMAKFGSEVELEGVFMRFSLDVTYSTVFAADLDCLSVSSPIPVFGQATKEAEEAVLFRHVIPPSVWKLLRLLNVGTEKKLTNAKVVIDQFIYEEIAKRKAQASDGLQGDILSMYMKWSIHESAHKQKDERFLRDTAVGFIFAGKDLIAVTLTWFFYMMCKHPHVEARILQELKGLQSSTWPGDLHVFEWDTLRSAIYLQAALLETLRLFPATPFEEKEALVDDVLPNGTKVSRNTRIIFSLYAMGRIEGIWGKDCMEFKPERWVSKSGRLRHEPSYKFLSFNTGPRSCLGKELSLSNMKIIVASIIHNFKVELVEGHEVMPQSSVILHTQNGMMRAHKSTETHLTSLIKS >ORUFI01G05830.1 pep chromosome:OR_W1943:1:4065446:4068807:-1 gene:ORUFI01G05830 transcript:ORUFI01G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAPQARINFAIVRAVLASIHCSEMKKTHGWQCFLCPLIWYYAATLYCCKYYNKDPRERPGLAASAVAITDHSLN >ORUFI01G05840.1 pep chromosome:OR_W1943:1:4069156:4069857:-1 gene:ORUFI01G05840 transcript:ORUFI01G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAMDGQDQYKQVQTAQY >ORUFI01G05840.2 pep chromosome:OR_W1943:1:4069156:4069857:-1 gene:ORUFI01G05840 transcript:ORUFI01G05840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAMDGQDQYKQVQTAQY >ORUFI01G05850.1 pep chromosome:OR_W1943:1:4073892:4075827:-1 gene:ORUFI01G05850 transcript:ORUFI01G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVGSGSVRDLPPSKRFKYVGGSSLGMAPCLPAKKRLCPPMLEAAAAAAVPVCLPAKKRAYAAAAAVEGGGFALCLPAKKRAYAPPVDDAVAPACLPAKKRIHAPPPPPPDSGASPSVPTTKRVDTLPPAAADKAAISPSIPVPVRKRVHAPQLPPAPAPEKAAVSPSIPVPAKKRVSATAAAAATDASPRVPFKDLVNTLPPPRDAAVSPSIPAKKSARAPPSPKDTAAPVSVCLPSNKRVMPPFLPPSPPPSKESDGARVAAVKEAKPQGSNKRGATTNSSVANGVEDDYARAEASKIQEKPKIPEKPINHEEIKEQVSKKQRSNTCRESKDQECNQSCSAISAKQSEVEALEKACKAIDLNEAAREEDSWDGERVAREPTQEAMAAAAEEEVEEEDDGVHCAVCGSTDGDPSDPIVFCDGCDLMVHASCYGNPLASFIPDGDWFCSVCTAAAAKKSKGNKPPPPPPRCCLCPARGGAMKRTTDARWAHIACALLVPEVFFRDPDGRDGVDCSRVPAHRFATACYVCESGGGCALECSQPRCGLGFHVSCGLDAGLCIEYQEAKAGGGGGGVVAGFCLEHTKLWEKQQLTGKYKIVSRGQK >ORUFI01G05860.1 pep chromosome:OR_W1943:1:4076520:4081317:1 gene:ORUFI01G05860 transcript:ORUFI01G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKVPRRLEANSVGMADWAGLQTDILGVIVKKLAVPDYLRFRAVCTSWNHLCRDVFNCPRIDPWLMLPTNALNDSKFLCVPERKNQTIRLPSTVTIFGSTWIPVGSSHGWLIFYSPSHGTMQLVNPISGMQFNLPPIGRRAFSKAMLLDMNDTNFTVAVILRDQKGYKVTRKGSNSWSSVESKHDLVDIFKHRRQLYTVDIYGTVQLWAEPPRSWPDEDAPQVNDPYHNLIHYPHQHGKLNCLVESPAGDLMRVKRQSNDKFVVWILDKGTFSWEKVDNIGDFALFVSYYSSVCYRAKDHLNLKSNCVYFIDSYSNLCAFNLENRTKELVEALEPAHAHGHPEPHAVRRRPEGQRYMWLIPSLR >ORUFI01G05860.2 pep chromosome:OR_W1943:1:4076164:4081317:1 gene:ORUFI01G05860 transcript:ORUFI01G05860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRRLEANSVGMADWAGLQTDILGVIVKKLAVPDYLRFRAVCTSWNHLCRDVFNCPRIDPWLMLPTNALNDSKFLCVPERKNQTIRLPSTVTIFGSTWIPVGSSHGWLIFYSPSHGTMQLVNPISGMQFNLPPIGRRAFSKAMLLDMNDTNFTVAVILRDQKGYKVTRKGSNSWSSVESKHDLVDIFKHRRQLYTVDIYGTVQLWAEPPRSWPDEDAPQVNDPYHNLIHYPHQHGKLNCLVESPAGDLMRVKRQSNDKFVVWILDKGTFSWEKVDNIGDFALFVSYYSSVCYRAKDHLNLKSNCVYFIDSYSNLCAFNLENRTKELVEALEPAHAHGHPEPHAVRRRPEGQRYMWLIPSLR >ORUFI01G05870.1 pep chromosome:OR_W1943:1:4082840:4083319:1 gene:ORUFI01G05870 transcript:ORUFI01G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDLSKKPQREQDGDNALLPCVIAGFLVAGHVAACTYRAAAEPRDLAFVAAAYTMLALLLYCVGRFEALAADGSSPAAAVARERLRLPVWALSTALTVLFSSRVAPMMPPPLNALVVAMSVVVTVGGFCLLFLGNAGEDDVDDDDDEDEAASDQDEEV >ORUFI01G05880.1 pep chromosome:OR_W1943:1:4086593:4090271:1 gene:ORUFI01G05880 transcript:ORUFI01G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASPSARAEVIVPPDWAAATATAAAASSEPAPPVVVVCGPKNAGKSTFSRLLLNSLLPRYGRVGYLDTDVGQPEFSPPGCLSFHVVDEALTDLLNPTLRECERCCFFGDISSKRDPETYLNCLFHLYDYFVEKYRSGASEPLPLIVNTPGWVKGAGFDMLVEMLRYICPTIVVQIRISAQSKNLPDGMFWLDCGQTGPNMINIDAPFHDALNRSLLIQKDSYGMRERRLIEYFKQCFPSDISLTTNKELAYGLTSLPPYEVSISDVMVIHLHCQVPPSEVWHSLNATIVGLAISCGTIEAGRSIPWCAGLGIIRGIDVQRGILYVITPVPLEHLQRVDLLLQGLIEIPKSLLQVRGCVSPYMPTNVLHRISERDINT >ORUFI01G05890.1 pep chromosome:OR_W1943:1:4091306:4091803:-1 gene:ORUFI01G05890 transcript:ORUFI01G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAMFGLETPLMTALQHLLDIPDGEGGAAGKQGATGGPTRAYVRDARAMAATPADVKDLPGAYAFVVDMPGLKSSDIKVQVEEERLLVISGERRRGGGEEKEESCKYLRMERRMGKFMRKFVLPDNADVDKISAVCQDGVLTVTVEKLPPPEPKKPKTIEVKVA >ORUFI01G05900.1 pep chromosome:OR_W1943:1:4095234:4095521:1 gene:ORUFI01G05900 transcript:ORUFI01G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSILPSLADREIWDATASLVEIIQAQGHRHGRLGSAPEQVGLVHRRRRCRGVRRDALGAIQSKQRSRELEIQAKQPEKSSRGLQSDSRPRKP >ORUFI01G05910.1 pep chromosome:OR_W1943:1:4096273:4098618:-1 gene:ORUFI01G05910 transcript:ORUFI01G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAWCSLSRGVRRAALELRQWSERGAASLAPDEDELEAVERQLDVGPTSVRSPVKRKAKLPESYEMLCEFFNCFESSTQLLRMKGSKASFPNICATTQHLSERKPQSIDVALLWPGRFVLCDFPGWHECLEILEVHSREVSLASDASLEDVASLTERFTGADPSLASLVHMPVVFSKNQLLHFTWLVYNSSVRTVRQPLKNLKDSSYTIRMFIPAHVYQ >ORUFI01G05920.1 pep chromosome:OR_W1943:1:4117801:4121926:-1 gene:ORUFI01G05920 transcript:ORUFI01G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGAAGRCLMLTRPSPLLRLRLLRAALATTTTTTTAAGASAVTAPTEPETTAREAPSRHELLLERLRQRHLKGVPAATPRPAQREKGRGGGGGGAQELQQKRRVEVVDSFEELGLGEEVMAALGEMGISKPTEIQCVGVPAVLAGTSVVLGSHTGSGKTLAYLLPLVQLLRRDEAMLGMSMKPRRPRAVVLCPTRELTEQVFRVAKSISHHARFRSTMVSGGSRIRPQEDSLNMPVDMVVGTPGRILDHIKDGNMVYGDIKYLVLDEADTMFDQGFGPDIRKFLAPLKNRAAKPGDQGFQTVLVTATMTKAVQKLIDEEFEGIVHLRTTTFQKRVATARHDFIKLSGSENKLEALLQVLEPSLAKGNKVMVFCNTLNSSRAVDHFLTENQISTVNYHGEVPAEERVENLNKFRNEEGDCPTLVCTDLAARGLDLDVDHVIMFDFPSNSIDYLHRTGRTARMGAKGKVTSLVAKKDVTLATRIEEAMKKNESLEALTTNNVRRAAVNPQYTSTKGRPSALKVVNQKGRRGVALQTKSSRIVKDTTSSRRRSPIRSQPRSKSTSSGKAKPVRSAKPSKSSSPSPKVAKSRPRPEGRKGDALNKLGSKLSVVGFRGRSSGKSAQAS >ORUFI01G05930.1 pep chromosome:OR_W1943:1:4122885:4123310:-1 gene:ORUFI01G05930 transcript:ORUFI01G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALRIDSDDVVLDVSNLALAAQASGAGRGDDGDAADNALMRLPRALGAAGVLTGAMAAAAAVYGSPPAGTVLARGGGMGYYVGLGGAFAAGVAEVWAAMWMAGDCPGRRVVGKRLLCAAVVPFLIVVSLGGFSVHVKN >ORUFI01G05940.1 pep chromosome:OR_W1943:1:4123419:4126250:-1 gene:ORUFI01G05940 transcript:ORUFI01G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALARIHGGKMALTTLDDRSGSGTPFTATATITAGTSTPATITAAGFRIEILTRQNREEKALKSKREQEQSHSSSREPASPRARKPEWSSQPGRVACV >ORUFI01G05950.1 pep chromosome:OR_W1943:1:4124986:4128474:1 gene:ORUFI01G05950 transcript:ORUFI01G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVVASPEESLTALLLEPAASPRDDPSVEVRLYRRGAGPVAVFRSALVGPRRDRLQVRAIQAEHGLRALFAFKPESSLRGIRIRPGPAAAAGCSAVPFRDGAVIALDGEPKGSWTKPAAVIVAGVLVPAVMVAVAVKGVPEPLRSSRVVNAIFPPWILASAVIIYARIRTRPRAAAP >ORUFI01G05960.1 pep chromosome:OR_W1943:1:4126698:4129357:-1 gene:ORUFI01G05960 transcript:ORUFI01G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDVEGASTEWDDIQRRLGNLPPKPEPFKPPAYAPKVDADEQPKSKEWLDEREPDELEDLEDDLDDDRFLEQYRRMRLAELREAAKAAKFGSIVPITGSDFVREVSQAPSDVWVVVFLYKDGIPECGLLQTCLDELATRYPATKFVKIISTDCIPNYPDRNVPTILVYNNSAVKGTYVGLQKFGGKRCTPESVALALCQSEPVLNDGQSGGDSSRDNVIEGVRRKFIEKVVAQHEEREEDDDSD >ORUFI01G05970.1 pep chromosome:OR_W1943:1:4130126:4138888:1 gene:ORUFI01G05970 transcript:ORUFI01G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGFLPDAVDAVNGALKLNWKIEWNPLSFEAKMENGLESSVSFEAKLENRLESSNPGQFKVYSGGLAWKRQGGGKTIEIEKSDLTSVTWMKVPRAYQLGVRTKDGLFYKFIGFREQDVSSLTNFMQKNMGLSPDEKQLSVSGQNWGGIDINVTLSIVGNMLTFMVGSKQAFEVSLADVSQTQMQGKTDVLLEFHVDDTTGGNEKDSLMDLSFHVPTSNTQFLGDENRTAAQVLWETIMGVADVDSSEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSIVRLFLLPKSNNPHTFVVVTLDPPIRKGQTLYPHIVIQFETEAVVERNLALTKEVLAEKYKDRLEESYKGLIHEVFTKVLRGLSGAKVTRPGSFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEFVEFERHGAGGASISSHYFDLLVKLKNDQEHLFRNIQRSEYHNLFNFINGKHLKIMNLGDGQGATGGVTAVLRDTDDDAVDPHLERIKNQAGDEESDEEDEDFVADKDDSGSPTDDSGGEDSDASESGGEKEKLSKKEASSSKPPVKRKPKGRDEEGSDKRKPKKKKDPNAPKRAMTPFMYFSMAERGNMKNNNPDLPTTEIAKKLGEMWQKMTGEEKQPYIQQSQVDKKRYEKESAVYRGAAAMDVDSGSGGNESD >ORUFI01G05980.1 pep chromosome:OR_W1943:1:4136288:4136878:-1 gene:ORUFI01G05980 transcript:ORUFI01G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIPPWTLPPAHRSREVEDEADRDDGEAAVRGAEGRRPQIEEAVVDVRAPHGTTPTPTPARKRTAAASPLGATPAPAPERKGMSAASLPGATPTPTSATERKGTTAASPRGTQSTTPARKGLAVASPPGKPLPTPRRKRNFVAGDWRGGREKERGNNFPPTRTRQRRPRLGRKNGSLQMSNDIYGYGNLDYWDVG >ORUFI01G05990.1 pep chromosome:OR_W1943:1:4141140:4145268:1 gene:ORUFI01G05990 transcript:ORUFI01G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQVGMGLGRVVLLVGAGMAGSVVIRDGRFADFVAGLQEALRDNDGGGSGSGGGVIDQIEEAVKKATMEVNQMISQPVTVITVDPAGNNGVVTTLIAPAAAAGALTYGYMRWKGISIASLMYVTKQNMANAVASMTKHLEQVQSSLAAAKRHLTQRIQHLDDKLDQQKQISGQIKEEVTGARLKLQDIGSEMQKIKQVAHGLGGKLDSIEAKQNYSLAGVMYLVEFIEQNGGRLPRSVEHLQRTARLSGITGDQKQLQGLGQLLAIESATPVGSGLHCTSARLFKAVA >ORUFI01G06000.1 pep chromosome:OR_W1943:1:4145856:4158716:-1 gene:ORUFI01G06000 transcript:ORUFI01G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPARVDSLPVAALEETARLVRRAAPKRRVAELNIEAQQASGARRGGCRRHGGRGGGVLGADGVQVLTEERHGGGVLGADGVQLLTEDQHACGYLSDAEWHPGGCFVPESEDQACGGIDNSELPPDSGFVHVSQDEASNDVPDSDLPPDGGFVPDSEDEASGGVDDSELPPDGCVVPDSEDEASSGGDDSELPPNGCVVPDSEDEASGCVHDFELAPDGCVVPDSEDETSGGVHDSELPPEGCIVPDSEDEASGGGVLNLEQKPEKGIFANLEEQHMDGIEQLVGGEEVAGLQDDVGVSAGDGGVDEFAEIRERKKSAHSKSLSTTSPSTCRLNPSSHLEASRFTSSSRSPEPEPEAAEEMGTGGGEGDKSAALPLEALLALGLDQRTAENALVNSKVTANLAAVIAEAGISGCDKTVGNLLYTVATKYPTNALVHRPVLIDYIVSTKIKNPAQLDAALSFLTNTGPDSLDTGKFEEACGVGVVVSIEEIKSTVNEVLKHNMEAILEQRYHINVGNLCGQVRKRHPWGDAKATKDEIDKKLAEILGPKTDADNVKPVKKKKEKAAKVEEKKAAVVTAAPPSEEELNPYSIFPQPEENFKVHTEIFYSDGNIWRAHNSKEILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVHWMGWEPYKVTYTSDYFQALYEHAVELIRKGLAYVDHQTAEEIKEYREKKMNSPWRDRPIEESLKLFEDMRRGLIAEGAATLRMKQDMQNDNKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSLENITHSLCTLEFDIRRPSYYWLLVALGLYQPYVWEYSRLNISNTVMSKRKLNRLVTEKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGIGITRSDNSLIRVDRLEYHIREELNKTASRAMVVLNPLKVVITNLEDEKVIDLDGKMWPDAPADDASSYYKVPFSRIVYIEKTDFRLKDSKDYYGLAPGKSALLRYAFPIKCTEVVYGDNPDEIIEIRAEYDPSKTTKPKGVLHWVAQPAPGVEPLKVEVRLFDKLFLSENPAELEDWLGDLNPNSKEVIKGAYAVPSLATAVLGDKFQFERLGYFAVDTDSTPENIVFNRTVANRELVGGSSDAMIMASTSSTIGRLVNELLMMVQFGR >ORUFI01G06010.1 pep chromosome:OR_W1943:1:4166747:4172107:1 gene:ORUFI01G06010 transcript:ORUFI01G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGSFKVTRISEGAVKPAAATPEETLPLAWVDRYPTHRGLVESMHIFRSGADAAPGVIRDALARALVFFYPLAGRIVEPEAGSPAIRCTADGVYFAEAAADCSLEDVRFLERPLLLPKEDLVPYPGDDRWGVEPHNTIMMMQITKFTCGGFVMGLRFNHASADGMGAAQFINAVGDMARGLPEPRVKPVWDREKFPNPSIKPGPLPGLPVLALDYIVLDFPTGYIDGLKAQYKAHSGKFCSGFDVLTAKLWQCRTRALNLEPGATVKLCFFASVRHLLKLDRGYYGNSIFPVKMSAPSETVLSSSVMEVVDMIRQAKERMAVEFFQFAKEETEQDPFQMTFNYESIYVSDWSKLGFAEVDYGFGPPKFAGPLVNNDFIASVVILKAPLPLDGTRMLASCVTKEHSEEFVRGMKEDLP >ORUFI01G06020.1 pep chromosome:OR_W1943:1:4173162:4179317:1 gene:ORUFI01G06020 transcript:ORUFI01G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPPPARSLADLDGDVLAHCARSLVARDVASLAVACRPLRASAYCDAVWYRLFRNQWPSQQVPRGALGLRELYIRRHTEVHQMKFVDPISAFYYPNPTEVTPSHLMLDRNYIWLSQGLMVRRLRVDCPEIELVETYKSHGARITCMRLFPLIDIPLFRGDSQTNEKALVTSSADRTVRLCWKGHSRCFRGHSGPVTALSDKLLGDGEFKLLASGGEDCTVRLWSMSTRGKNHPLISTFHGHEKALSLLSVARHKPSLLVSCSKDSKVKLWDTMAPPSSGSSSCVGSTHLSTNSAPIAVKCHESLCYIAAGSEVTTIDLRTMKKASVLALRDHRILSCEMLPSEWLICTGIKNKALLWDIRKSQELPNIVAEMQSESDEPVTFLHLDPYKVITGAPSDGQVHVWETQTGHFANTLSCGEPAKSADRSTLSAMAVDGCRIAMAGSSAEVDMVHYRDFLMSSVPVSLPGNSKEVSRFWGPQEYSDDEDEDEDDSDDEDDSDEEDHDHAWLVD >ORUFI01G06030.1 pep chromosome:OR_W1943:1:4181494:4186170:1 gene:ORUFI01G06030 transcript:ORUFI01G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEAGGLPELGSGARDPAGARRQRRRRQQRRPAMPLAGAAAQPAPPPAVQGLFEACREVFGASAGAVPSPAGVERIKSVLDSISAADVSLTRNMSYFRRVNSNGIPKITYLHLYECEAFSIGIFCLPPRGVIPLHNHPNMTVFSKLLFGELRVKSYDWADASQDSTGVSLFLFVLSIDAQLQGARLAKVKVDGTLNAPCATSVLYPEDGGNLHCFTAHTACAVLDVLGPPYDDGSGRHCQHYNVSSSAPSAGDSKPLPGDDGYAWLEECEPPDNFHLVGSTYMGPRIVDN >ORUFI01G06040.1 pep chromosome:OR_W1943:1:4194906:4197442:1 gene:ORUFI01G06040 transcript:ORUFI01G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVESPPVRDEKKVDVAAIGGAPPIVFESFAPSTQRDSTIIKKEEKMEAAKAEMGEVREENERLKTMLTRIVSDYKSLHTHFLDVVKVKEQTAAELSGDDDDDEPDDLVSLSLCTRPNAAATRRKGHERTPSSGGGGDDGRLSLGLSCARGGVASDDDDDKQASRRALPPMPVLNLSSDSSGEAAGAGAGEPTQPNKASRSSSGGGDGADDEVLQQQQAKKARVSVRVKCDTPTMNDGCQWRKYGQKISKGNPCPRAYYRCTVAPNCPVRKQVQRCADDMSILITTYEGTHSHPLPPAAAAMASTTSAAAAMLTSGSTNSTMHGSGGVHHHLPFASAVGGGGGVGLLGPTTISTATSCPTVTLDLTAPHSLLHPSSASPYAAAAAGYESSRALPAAWSSGYLAYGGAAAAQPYYAKGVAPSPFGHHFGMMGMAAAAARPAPEQLFGGQTTSPYLQRAIGGGGVAPAAVTDTIAKAITSDPSFQSVLAAAITSYMGRGGGAAAPNK >ORUFI01G06050.1 pep chromosome:OR_W1943:1:4200978:4202522:-1 gene:ORUFI01G06050 transcript:ORUFI01G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGLCHETSYAYSYPASNTSSSLFFPPLMADHIVDGGGGCSFGEFLELGHSVYSLPLPPPPSQPVVVAGGNNDQYGVSSSSAAAATTSRIGFRTRSEVEVLDDGFKWRKYGKKAVKSSPNPRNYYRCSAAGCGVKKRVERDGDDPRYVVTTYDGVHNHATPGCVGGGGHLPYPTSAAPAWSVPAAAASPPPAHAQAISRQIAGDQRRYKRRGLAPETLGASGHDTHTKRGQTISHFVTRSREN >ORUFI01G06060.1 pep chromosome:OR_W1943:1:4209938:4213414:-1 gene:ORUFI01G06060 transcript:ORUFI01G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAEAEERRLAASLTARYSDWVVEALDELPGSFILTDPAMPGHPIVYASRGLASLTGYPPREVLGRNARLFQGADTDRAAVAGVREAVRGQRPHQVSILNYRRDGEAHWVLLHLAPVFHASDGRVLHFLAVQVPIAPPASRRRTPPCRAARPPVVFAACREEARVEEECPCASHAGEVFVDVDKRGQDAEEPRVASEHEKEKALSTANSIFSALNRYSKLTGLVVCGKRCDSVGIPALSSSLNLSLGRIKQSFVLTDPHLPDMPIIYASDAFLSLTGYSREEILGCNCRFLNGPGTSVEVLEQINQHICSEQACTVHLLNYRKGGSSFRDLLHISPIRNASGKVAFHVWVHLDEGAKYDFNGLTPEVWQLGAVGAVVRILFFFEITPYTLGAWVVTLKTTLCEVKQTLLVRSRISNFGVLEFRPKIEQGQSSI >ORUFI01G06070.1 pep chromosome:OR_W1943:1:4215790:4216539:1 gene:ORUFI01G06070 transcript:ORUFI01G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSTAVPEEIEQWLVLGKQALWVEDFSGTCQLECFCASCFHAFCTHCCWFHHEPTIHMVFPVAADAAGRGVYATHGPDGCRVHPDFVEDVLAAQDYATRLPWDAFCLLCGTAFAAAACPDHHRHHHDPSLPDAVLRVERRGGRHCVRCTGSEWWFPYVEQILDDPVEDDGDEQLLPVMTRRPGSCKQCGDPDTGYLIAVCSSSCSESYRRDLAGRRQRREVRQAARAAAGDQAKQLIDGLRISNY >ORUFI01G06080.1 pep chromosome:OR_W1943:1:4218627:4218833:1 gene:ORUFI01G06080 transcript:ORUFI01G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERACAAVALPQGHNASRPPRCPSTLRLPHCRTAQGCAVAAPPQAIAVRNGTVILPRSVIRIHSQWF >ORUFI01G06090.1 pep chromosome:OR_W1943:1:4219614:4220066:1 gene:ORUFI01G06090 transcript:ORUFI01G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAADLSGVAQAKSIRSATQTKAEAPPPPQQQQPDVAAEMEEEEYWDRRRPMSPDPPELRDGLRRLKEAERAMGVDERAAAAVFARPGQKRAVSEIPEGWSAEWDDSLEMVKRYRCNYWENPNFADLLRDHGPLFARAAAAMNDMERWD >ORUFI01G06100.1 pep chromosome:OR_W1943:1:4224768:4231170:1 gene:ORUFI01G06100 transcript:ORUFI01G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTISGMFRPVFDRIRPSIASAAELSFSRVVSFTGSFNSRTGLLAAGDGEEETTPAQTAAFDIEAPATATTPYQAVRDDAAAAQEGEAAGAAAADDDADEPKRVSKIVQTVCLFVASASLAMSVNLPASGAGGALYGATLAFVCLGLFTSLGLFTSLGLSMYTIVSRPGDAAVAMVQKWAMVLAMASVPASFTLRLCATLPAETLESAWLIFFLLAGAAALYLTLAWPAVYGPSTGASTRSGSPATIFSRVVSFTGSFNSRTGLLTAADGEEETPLSPQAIETTASTGGVGAFDIEAPAAMTTTPDQAVLRDDVRGDDELIRRLSKSVQPVCLFCAAASLTMSVNLPASGGAGGALYGATLAFVCLGLFASLGLSMYTIVSRPGDAAVARVQKWAMVLALAFVLVSFTLRMWPVLVSSSSSPAPPPRST >ORUFI01G06110.1 pep chromosome:OR_W1943:1:4237877:4247007:-1 gene:ORUFI01G06110 transcript:ORUFI01G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMADAAPAPAVAAAATAEPLAAVAEEGEEGGEAAVGSTLTMERVAAAKKFIENHYRSQMKNIQERKERRFRLERQLESSQVPREQQINLLKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSSNIYAMKKLKKSDMVVRGQVEHVRAERNLLAEVASHCIVKLYYSFQDSEYLYLIMEYLPGGDIMTLLMREDTLTEHVARFYIAETILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMGDDNLRESMDIDSSFSETTNGRRWRSQHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLKFPEDSKVSPEARDLICRLLCDVDHRIGSAGADQIKAHPWFRGVAWEKLYEMEAAFKPQVNDELDTQNFMKFEEMDNAPTRTGSGPSRKMMLNSKDLSFVGYTYKNFDAVKGLKHSGPADMDPSREPNGRDKHMHTVSSGDPMIQ >ORUFI01G06130.1 pep chromosome:OR_W1943:1:4259632:4261682:1 gene:ORUFI01G06130 transcript:ORUFI01G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGDGDCVNLEPFFYDEAATVAEAAAAAERREREEQEKAREAAANARRWAAHNAALAGIREYDPAEETYIYTRYHYADLSEFDLDEESRLPPMRHTAATYAPPARALHFLCDMINVLAVRIILPSSDRSDGGGVGFPISVYGSVIARDQLDYKCVHLFRRCRDDPQLITSEDELSLILTGPHRGLVLYDALYIEVDLKMKVKGDQQQGCKDKRLSKGLIVLDGVLLSTNLSDHLRAAVKTATLDRRSTMPCAVQVTYAYVTRAVEATVSVELLHDQGG >ORUFI01G06140.1 pep chromosome:OR_W1943:1:4282870:4294279:1 gene:ORUFI01G06140 transcript:ORUFI01G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLHLRAGLGWGGGGGEGEATDREAPDPYSILTWHDYSPPSPPPPPPPVAPAATCEGDLHGQGNFSTRCEVSEEVELGGDVYITGEGSLVLLAGAALTCQRPGCVISANLSGEVRLGRGVRVIAGRVSLAAANVTIADTVVVNTTALAGDPPERTSGVPTGTHGDGGGHGGRGASCYVKDGQTQEDSWGGDAYAWSDLEHPFSYGSKGGSTSVEKDYGGSGGGIVWLYADDLIMNGTVLADGGDSSEKGGGGSGGSIYIKSKTMHGAGKISASGGNGLAGGGGGRVSINVFSRHDDTQVFAHGGKSSGCPDNAGAAGTLYEAVPKSLVVSNNNLSTQTDTLLLEFPNQPLWTNVFVKNHAKVAVPLLWSRVQVQGQLSLLSGAILTFGLTRYPYSEFELMAEELLMSDSTIKVFGALRMSVKMLLMWNSKMLIDGGGDSIVATSLLDASNLIVLKESSVIHSNANLGVRGQGLLNLSGEGDIIEAQRLILSLFYSIKVGPGSILRGPLVNGSSGDVAPKLNCEDDICPVEIIHPPEDCNLNSSLSFTLQVCRVEDIDIWGLVQGTVIHFNRARSVSVHTSGTISATGLGCRSGVGQGKILNSGVSGGGGHGGRGGDGFYNESHAEGGSMYGSADLPCELGSGSGNDTTKLSTAGGGIIVMGSWEYSLPSLSLYGSVESNGQSSTDVVTNASIGGPGGGSGGTILLFVRALSLAESSILSSVGGLGNFGSGGGGGGRIHFHWSNIPTGDEYVPVAAVKGSIRTSGGISKGKGFPGENGTVTGKACPKGLYGTFCKECPLGTYKNVTGSSKSLCVQCPPDELPHRAIYTSVRGGAYETPCPYKCVSDRYRMPHCYTALEELIYTFGGPWLFGLLLSGLLVLLALVLSVARMKFVGTDELPGPAPTQQGSQIDHSFPFLESLNEVLETNRAEESHGHVHRMYFMGPNTFSEPWHLPHTPPEQISEIVYEDAFNRFVDEINTLAAYQWWEGSIHSILCVLAYPLAWSWQQFRRRKKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVTATPDLMLGYLDFFLGGDEKRPDLPPRLRQRFPMCLIFGGDGSYMAPFSLHSDSVLTSLMSQAVPSSIWHRLVAGLNAQLRLVRRGNLRGTFLPVLDWLETHANPSLGVNGVRVDLAWFQATALGYCQLGLVVYAVEEPVSAELDGSPRIKIEQHSLTQNMHADTQLGHSRTKEALMRKRITGGILDSNSLRTLKDRRDLFYPFSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMADVLLVLFVLPLGILSPFPAGINALFSHGPRRSAGLARVYALWNITSLVNVVVAFACGLVHYKSSTKRHPSTQPWNLGTDESGWWLFPTGLMLLKCIQARLVDWHVANLEIQDRAVYSNDPSIFWQS >ORUFI01G06140.2 pep chromosome:OR_W1943:1:4282618:4294279:1 gene:ORUFI01G06140 transcript:ORUFI01G06140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLHLRLLLVVGVALGSAGLGWGGGGGEGEATDREAPDPYSILTWHDYSPPSPPPPPPPVAPAATCEGDLHGQGNFSTRCEVSEEVELGGDVYITGEGSLVLLAGAALTCQRPGCVISANLSGEVRLGRGVRVIAGRVSLAAANVTIADTVVVNTTALAGDPPERTSGVPTGTHGDGGGHGGRGASCYVKDGQTQEDSWGGDAYAWSDLEHPFSYGSKGGSTSVEKDYGGSGGGIVWLYADDLIMNGTVLADGGDSSEKGGGGSGGSIYIKSKTMHGAGKISASGGNGLAGGGGGRVSINVFSRHDDTQVFAHGGKSSGCPDNAGAAGTLYEAVPKSLVVSNNNLSTQTDTLLLEFPNQPLWTNVFVKNHAKVAVPLLWSRVQVQGQLSLLSGAILTFGLTRYPYSEFELMAEELLMSDSTIKVFGALRMSVKMLLMWNSKMLIDGGGDSIVATSLLDASNLIVLKESSVIHSNANLGVRGQGLLNLSGEGDIIEAQRLILSLFYSIKVGPGSILRGPLVNGSSGDVAPKLNCEDDICPVEIIHPPEDCNLNSSLSFTLQVCRVEDIDIWGLVQGTVIHFNRARSVSVHTSGTISATGLGCRSGVGQGKILNSGVSGGGGHGGRGGDGFYNESHAEGGSMYGSADLPCELGSGSGNDTTKLSTAGGGIIVMGSWEYSLPSLSLYGSVESNGQSSTDVVTNASIGGPGGGSGGTILLFVRALSLAESSILSSVGGLGNFGSGGGGGGRIHFHWSNIPTGDEYVPVAAVKGSIRTSGGISKGKGFPGENGTVTGKACPKGLYGTFCKECPLGTYKNVTGSSKSLCVQCPPDELPHRAIYTSVRGGAYETPCPYKCVSDRYRMPHCYTALEELIYTFGGPWLFGLLLSGLLVLLALVLSVARMKFVGTDELPGPAPTQQGSQIDHSFPFLESLNEVLETNRAEESHGHVHRMYFMGPNTFSEPWHLPHTPPEQISEIVYEDAFNRFVDEINTLAAYQWWEGSIHSILCVLAYPLAWSWQQFRRRKKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVTATPDLMLGYLDFFLGGDEKRPDLPPRLRQRFPMCLIFGGDGSYMAPFSLHSDSVLTSLMSQAVPSSIWHRLVAGLNAQLRLVRRGNLRGTFLPVLDWLETHANPSLGVNGVRVDLAWFQATALGYCQLGLVVYAVEEPVSAELDGSPRIKIEQHSLTQNMHADTQLGHSRTKEALMRKRITGGILDSNSLRTLKDRRDLFYPFSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMADVLLVLFVLPLGILSPFPAGINALFSHGPRRSAGLARVYALWNITSLVNVVVAFACGLVHYKSSTKRHPSTQPWNLGTDESGWWLFPTGLMLLKCIQARLVDWHVANLEIQDRAVYSNDPSIFWQS >ORUFI01G06150.1 pep chromosome:OR_W1943:1:4293167:4310144:-1 gene:ORUFI01G06150 transcript:ORUFI01G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTSNKKEDDETRSSSYIQMEYLWTFEVEAWYGTQLKRQLMETVHGRMEHNIANQASGLGKYIYISIVISYYYMDSEMELTTIRRSCYLYSTTLQCFYIDDFTSAGVAGSSSGAHLHTFSSPAPSPVKRRPPAASSMVLTSFLEKSLPISSNLKDQDQTIFETSSKGAVEQTYAVAVVEGAEQNWRLSNTPRPSMPALPSSTSRGKVHSTDNKSSSNNNKITSLHVQGRKQKPQPEYHGKRIMKINQQ >ORUFI01G06160.1 pep chromosome:OR_W1943:1:4299770:4304804:1 gene:ORUFI01G06160 transcript:ORUFI01G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSFLASRLGPGGPTRWSPYARAPDPGHGAGRSSVPPGGGGNSRPPLRVAPATARLLGHPARKGAAAPPGSRCRAEAEAEATAASDAACPNPPRRGCEETNPNPNPKRNPKPAERGEEPPVRAVGCGGFAFLCALAGHTEAISGISLPVGSDKLYSGSADGSVRVWDCNSGKVAGLSALGTPFRLFTHDSIYQGQFASKAMRLCVDAIKMGGKIGCMITHGPWIFVGITKSVEAWNTQTGMKSSLHGPSGLVCSMTIKDEMLFAGTGDGRIMAWKIPDKKGDSGPVAILSGHERQVISLGVSATRLYSGSLDKTIKVWDLKTLQCVQTLSEHKAAVTSVLCWDEKLLSCSLDKTVKIWAASKSGDLQVIYTHSEEHGVRTLFGMHRVGKTPVLFCSLHNSNCIRLFDLPSFDEMGKLFSKKEVRTIELAAGGLLFTGDGAGELKVWRWAPEEEPATPALVKSSM >ORUFI01G06160.2 pep chromosome:OR_W1943:1:4299770:4304804:1 gene:ORUFI01G06160 transcript:ORUFI01G06160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSFLASRLGPGGPTRWSPYARAPDPGHGAGRSSVPPGGGGNSRPPLRVAPATARLLGHPARKGAAAPPGSRCRAEAEAEATAASDAACPNPPRRGCEETNPNPNPKRNPKPAERGEEPPVRAVGCGGFAFLCALAGHTEAISGISLPVGSDKLYSGSADGSVRVWDCNSGKCVDAIKMGGKIGCMITHGPWIFVGITKSVEAWNTQTGMKSSLHGPSGLVCSMTIKDEMLFAGTGDGRIMAWKIPDKKGDSGPVAILSGHERQVISLGVSATRLYSGSLDKTIKVWDLKTLQCVQTLSEHKAAVTSVLCWDEKLLSCSLDKTVKIWAASKSGDLQVIYTHSEEHGVRTLFGMHRVGKTPVLFCSLHNSNCIRLFDLPSFDEMGKLFSKKEVRTIELAAGGLLFTGDGAGELKVWRWAPEEEPATPALVKSSM >ORUFI01G06170.1 pep chromosome:OR_W1943:1:4306354:4306530:1 gene:ORUFI01G06170 transcript:ORUFI01G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDKRALLAAENCHGGGGPVAVSFNTNAIVLLALLVCGLGAVALHIVLQCALHVTP >ORUFI01G06180.1 pep chromosome:OR_W1943:1:4310327:4312805:-1 gene:ORUFI01G06180 transcript:ORUFI01G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYLLIAALIASSHALAAHGAGGGVPLAAAAPLPFPGDLAASGKLRTDPNATVPASMDFGNITAALPAAVLFPGSPGDVAELLRAAYAAPGRPFTVSFRGRGHSTMGQALAAGGVVVHMQSMGGGGAPRINVSADGAYVDAGGEQLWVDVLRAALARGVAPRSWTDYLHLTVGGTLSNAGVSGQTYRHGPQISNVLELDVITGHGETVTCSKAVNSDLFDAVLGGLGQFGVITRARVAVEPAPARARWVRLVYADFAAFSADQERLVAARPDGSHGPWSYVEGAVYLAGRGLAVALKSSGGFFSDADAARVVALAAARNATAVYSIEATLNYAANATPSSVDAAVAAALGDLHFEEGFSFSRDVTYEEFLDRVYGEEEALEKAGLWRVPHPWLNLFVPGSRIADFDRGVFKGILQTATDIAGPLIIYPVNKSKWDAAMSAVTPEGEEEVFYVVSLLFSAVANDVAALEAQNRRILRFCDLAGIGYKAYLAHYDSRGDWVRHFGAKWDRFVQRKDKYDPKKLLSPGQDIFN >ORUFI01G06190.1 pep chromosome:OR_W1943:1:4325784:4325960:1 gene:ORUFI01G06190 transcript:ORUFI01G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDKRALLAAENGHGGGGPVTVSFNTNAIVLLALLVCGLGAVALHVVLQCALRVTP >ORUFI01G06200.1 pep chromosome:OR_W1943:1:4327205:4330898:-1 gene:ORUFI01G06200 transcript:ORUFI01G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNARTCPARGGGGGGGGVRLFGVRLTSPPEVAMKKSASMSCIASSLGSGGGSGGSSPAGTGRGGGGGGEGAAGYASDDPTHASCSTNGRGERKKGTPWTEEEHRMFLMGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQKNSSRRKRRSSLFDMVPEMPMDESPVVVEQLMLHSTQDEATSSNQLPISHLVKQKEPEFARHLSDLQLRKHEESEFTEPSLAALDLEMNHAAPFKTKFVLTMPTFYPALIPVPLTLWPPNVANVGESGTNHEILKPTPVNGKEVINKADEVVGMSKLTIGDGSSNSIEPSALSLQLTGPTNTRQSAFHVNPPMAGPDLNKRNNSPIHAV >ORUFI01G06210.1 pep chromosome:OR_W1943:1:4339173:4352841:1 gene:ORUFI01G06210 transcript:ORUFI01G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSISRTNLNCISLGDPDTKKSVALLKQACLDSGFFYVVDHGISQELMDDVFAQSKKFFDLPINEKMELLRDEKNRGYTPMLDEILDPENQVNGDYKEGYYIGIEVPEDDPQANRPFYGPNQWPSDEVLPRWRKVMEQYHSEALRVAKSIARIIALALNLEEDFFDKPEMLGEPIATLRLLHYEGGQKTGKVSNPAKGVFGAGAHSDYGLITLLATDDVVGLQICKDRNAQPQVWEYVAPVKGSTLHRVVLDGRERHSIAFFVEPSHDCVVECLPTCKSESNPPKFPPITCSAYLSQRYKDTHADLTAYSSNKA >ORUFI01G06210.2 pep chromosome:OR_W1943:1:4339173:4352841:1 gene:ORUFI01G06210 transcript:ORUFI01G06210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECSISRTNLNCISLGDPDTKKSVALLKQACLDSGFFYVVDHGISQELMDDVFAQSKKFFDLPINEKMELLRDEKNRGYTPMLDEILDPENQVNGDYKEGYYIGIEVPEDDPQANRPFYGPNQWPSDEVLPRWRKVMEQYHSEALRVAKSIARIIALALNLEEDFFDKPEMLGEPIATLRLLHYEGKVSNPAKGVFGAGAHSDYGLITLLATDDVVGLQICKDRNAQPQVWEYVAPVKGSTLHRVVLDGRERHSIAFFVEPSHDCVVECLPTCKSESNPPKFPPITCSAYLSQRYKDTHADLTAYSSNKA >ORUFI01G06220.1 pep chromosome:OR_W1943:1:4344624:4350117:-1 gene:ORUFI01G06220 transcript:ORUFI01G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLPAASSAMQWSIDLDRALRSRHPATRLRAVDAVAPRLRELGASPPAAVPPAVASALGVLPSEPRLFAHTILLRLATHFATADNAVRARILRALLLLGLDGSLPMTMACVPAEPQHQVAVLARVKAAYDAGCPRARALALRMFGCLAHLAHHSLHLRSLILSTFSSSNALQVGKQIVLGTLEDNFKADMLLSLSRLASKSVVLFCNQVELLLLFLEHESSHCMKTVSLKCLCFMFHGSICHFPVLKTVFGTLLQLIDDDDFPLDCKRDAFMVLQKIVCGKAPIVHLVNTCELSKLVLAAESSLHSSSWEMQGTALKVIAGTLCFLQQTRSHQNMITQEGSSLTYNGCQGITSNFLSTVENAEDKFVHKIVTSMVNHNISLVNQIISTGNKETIRKHTYMSSEFKMYRSMLSSMLKLLVCYPSAAAVALDKLRCLIKELARLDDSDCSEVSVANVESFQTNSAREVLDTSNDIVKPVSASMKASHMGTDFDKLKFDPAEFSSKKEVSIVHNIILWTLKFANSCHNMLSKTPSASCNLYDSIKELIESGEIEMKLLLFPSAIIKLVNELKTDCDLHENFYWVETNVDSSLADSSELHGSQAKITDICSRTFLATDPLLSNTSSSHELFFQRWFISLRASFLEILADFLGILTADLTDQRDESHHDAIGDHSSVPREHNNSQLLALVNCSLGLSELAHSYDLLAVSHGDMDRQSFSSIARLAFMCSLLAFCIAFSVDFSKVHSSVEPCRLPERFSHASVVQDLHERVDRTDSQIVSQLQQLMPICCDEVHSIQIVTRMNCSGILEKDSYRLCKFAVAYLLRLRGDTRGIATGEDSVSPLHEGMQFLSNILQRVMELPFVLPKYFFRVRPCFGAELHLYDSNPENRDGISVPSGFQLSLTLCLQWKCVLERSDIDISKLYCVLAASSASSCLDVTGTRSKQFEIRKKTAGMVGLNTKLMQFVQDDLGKKREKKRRKKVHVEQKDMVTAFARFEASDSGVGFSSCLLDVSEFPQGSYKMKWHACCIDKDGAYYSLLPLNDGAAFSVRSSPMQ >ORUFI01G06230.1 pep chromosome:OR_W1943:1:4353168:4358018:-1 gene:ORUFI01G06230 transcript:ORUFI01G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARAAATAAAASPLWKRGEGGSSGSGSGCTSCREVRRRAAAVRVRAAAPRRVEAVAMESAAETEKEEEVAAAGGGVEDMATEEVPVTPWAFSVASGYTLLRDPHHNKGLAFSEKERDAHYLRGLLPPAVVSQDLQVKKIMHNLRQYSVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRQPQGLYVSLKDKYVCLALLEYPRICLPITIDVGTNNEQLLNDEFYIGLRQRRATGKEYHELMEEFMSAVKQIYGEKVLIQFEDFANHNAFDLLAKYSKSHLVFNDDIQAGTGIAELIALEISKQTKAPIEECRKKVWLLDSKGLIVNSRKESLQAFKKPWAHEHEPVTTLLDAVQSIKPTVLIGTSGVGKTFTKEVIEAMASFNEGRAVFASGSPFDPVEYNGKIHVPGQSNNAYIFPGFGLGVVISGAVRVHEDMLLAASETLADQATQENFEKGSIFPPFTNIRKISARIAASVAAKAYELGLATRLPQPRDLEKYAESCMYTPVYRSYR >ORUFI01G06240.1 pep chromosome:OR_W1943:1:4360718:4361029:1 gene:ORUFI01G06240 transcript:ORUFI01G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGAQLRATGRIGLEPKRADLVPVHSFERAHTHVVAYQKRGSDRCIDARMSVSSIGSSSLACHGASAVGYGLMHGQVGSAAKWEQLASKWWWCARVRAYHSS >ORUFI01G06250.1 pep chromosome:OR_W1943:1:4366941:4369920:-1 gene:ORUFI01G06250 transcript:ORUFI01G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPTTETETGTGTRIPSHRRRKGSSDGSRARADASRRGPTPTKETEIPGRPLKRSSSTLSLDDAAGASNPTSTWAILNRYGARRDSFRRDDRDRTTSAVSYTSGGDQISVSFELVKPPETSLLTLDWPQGPRPSLGTTSYPYVIAAHGNVVLFQIVSPDKHARPSAIDYFVYNASRSSSSNHHPSLTRLPVSYWRRGDTIRPRIMSREGTCILSCGSKNSSSFVVAELERRSCQSSETNVYLFASGSDDWRVFRNVPIRHGDGLAHLCCWTTDAVLSCHDRYMIWVDYLVAGMIVANVEHPGRVDPPEPVLWYVPLPVDPVADIDRGRGCPQASRSLCATHHGIKFVNVNQHGGSSSSRSFSITLWSWREDQTWREDATLDAAQLWELDSENRLPNVRPEFPVVDMENPYAVCFLLNERYHTADPNATTWMIKVDMKKKVLLDCTLYSNKGSSSTARRMSEGLSFISSEMPSYLSGKTIKRFLEGPLWPATHDAIEIEENGKMGFPKKQKKLLSLFLINIDHERDVRDSPRVFPKKTEVGPAQLVYAML >ORUFI01G06260.1 pep chromosome:OR_W1943:1:4373307:4374073:-1 gene:ORUFI01G06260 transcript:ORUFI01G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGAGACQAADRVVRGKELAGRPRTDVREGGGRANAGWRMPELEEVAVAATFRLRPHRTSDARSATPAPHPSVSAPATEVAGEGSKGGSSGWELLQKLLPPPEVRRPGSHQGTYSPRACLSLERNCLVSISSAPCQLRIRTLATWTARMTAFCCCDSGGAMAAEMSTHGESSSKKEVEEVLVPDAVPQDANATDESSVGKASGRDSDGGRIAISQHLRTSLWWSQRRRSGSVRDGPAAHAPHP >ORUFI01G06270.1 pep chromosome:OR_W1943:1:4380758:4390487:-1 gene:ORUFI01G06270 transcript:ORUFI01G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLVYLSPTEDGTGASTLMKAAFCGNLGSLKGAMPIKRLEIEKVKAQDAVLAFKNDGIGLLHAAACQGQLNVCKFLVEELGGDVNIAGAQGLTPFMAAAESDDVPTVKYFLDHGGDVTKADVRGCTVLHHAAGTGCCKVTEFLLSKGIPVDIDCGLGTPLLHAANDDKDKTLKILLDHKADPNVIVNNGACSLLMSSLVCRSLKCMKLLIKAGADVNGKGALVSPLMFAASQGCYTNFIKFLLKAGANPNIPDDLGWLPVEHAALRDCREDVERLFPLTSPIPNVPEWSVNGIISHAKVKDIRPMTIDHGPTTVLYTNRSICRLLMGDGEDAQSDGNRSRMMRPNWEKACYRWSAGHMLLKEYKQLWDALMDAQKLDPGSVEIEKEQREYDIQESKDVVESKVGLYDKPTASYGVKPPNVSSVMGKTQVFVKHPHGTLSLQIDTCKDVVFLKEEVEKRMRLDVSGSFFVYQMSTLEAGIPLSSYGIEKDSNIYMCGRLLGGQRFHGSRRVHGRRKFHGRRKFKTLSQFFKKHGHEFLREVQLPDNSRTKELTELGCLVLSSLIHLFTSVFVAGKTWNGNIDMDGLIVVHGRVRIKKKPWKGLNSSKMNSDCTKLTSMIREMFVKKNVHPPYLKHLLGKLEALAVDVFTVRQQLAFDYHISLIPSLNRVNLVYQIKRCYDGWDDREKMYFRFVADNCTVPEDWCNTLMSKHLFRLVILEGQKRNIIYGSNGMSAFHLVRNYNTHAPEHSWIHVFLDSKIEHYQWFQCDSGIELMVPKYLGDFLAEAVFKFIEDEFDLTHLVTERENLGGVQVLPAAACLIIHPGKERKAILPKRKAPKNVKLSTKVFQHCYDTTSMKDLNKTHFDFFMNQTSSLLAARRAMAAPFRSSTAAPPTPSLRISS >ORUFI01G06270.2 pep chromosome:OR_W1943:1:4375453:4380720:-1 gene:ORUFI01G06270 transcript:ORUFI01G06270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRFVRRAAFDGNLGRLKDITPFMAAAESGDVPTVEYFLDHSGDVTKADVRGCTVLHHAAGTGCCKVTEFLLSKGIPVDIDCGLGTPLFHAANNGKDKTLKILLDHKADASGSPLMSSLIYRSLKCMKLLIKAGADVNGKGTVVTPLMLAASQGGYTNFIQFLLKAGANPNIPDDSTALHDCREEVEMLFPLTSSIPNVPNWSVNGISLMQKSNTKPMDQHQKESRKVVLKAQADLLFKQKNYGAAAKIYDLHRLAHGPTAVLYANRSICRLLMGDAEGALSDAYKC >ORUFI01G06270.3 pep chromosome:OR_W1943:1:4375453:4390487:-1 gene:ORUFI01G06270 transcript:ORUFI01G06270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLVYLSPTEDGTGLRAAFDGNLGRLKDITPFMAAAESGDVPTVEYFLDHSGDVTKADVRGCTVLHHAAGTGCCKVTEFLLSKGIPVDIDCGLGTPLFHAANNGKDKTLKIFPLMSSLIYRSLKCMKLLIKAGADVNGKGTVVTPLMLAASQGGYTNFIQFLLKAGANPNIPDDSTALHDCREEVEMLFPLTSSIPNVPNWSVNGISLMQKSNTKPMDQHQKESRKVVLKAQADLLFKQKNYGAAAKIYDLHRLAHGPTAVLYANRSICRLLMGDAEGALSDAYKC >ORUFI01G06280.1 pep chromosome:OR_W1943:1:4393505:4398911:1 gene:ORUFI01G06280 transcript:ORUFI01G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHVIAGKELKCYFHSHPQIPNVPNWSVDGIISHAKVNHTKPTTRGPTAVLYANRSICRLLMGDGEGALSDGDAE >ORUFI01G06280.2 pep chromosome:OR_W1943:1:4395109:4398911:1 gene:ORUFI01G06280 transcript:ORUFI01G06280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAESGDVPTVKYFLDHGGDVTKSDDKGCTQFSTMLLAQIPLNIQFILIYITNCIVPNVIANNGACSTLISALDCCSLKCMKLLIKASAGADVNNKGTGITPLMFAALQGCYTYFIKILLETGAIPNIPNVDQHQESRKAILKSHADLAFRQKNYDCAAKVYDLFCTQTGAFVDCSWVMVKVLCLMEMPNDAA >ORUFI01G06280.3 pep chromosome:OR_W1943:1:4395109:4398911:1 gene:ORUFI01G06280 transcript:ORUFI01G06280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACAESGDVPTVKYFLDHGGDVTKSDDKGCTQFSTMLLAQIPLNIQFILIYITNCIVPNVIANNGACSTLISALDCCSLKCMKLLIKASAGADVNNKGTGITPLMFAALQGCYTYFIKILLETGAIPNIPNVTRGPTAVLYANRSICRLLMGDGEGALSDGDAE >ORUFI01G06290.1 pep chromosome:OR_W1943:1:4400405:4402333:-1 gene:ORUFI01G06290 transcript:ORUFI01G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPTTETETGTGTRSHRRRKGSSDGSRARADASRRGPTPTKETEIPGRPPKRSSLTLSLDDAGASNPASTWAILNRYGARRDSFRGDDRDRTTSAVSYTSGGDQISVSFELVKPPETSLLTLDWPQGPRPSAGTTSYPYVIAAHDNVVLFQIISPDKYARPSAIDYFVYNASSSSSSSNHHPSLTRLPVSYWRRRDTLRPRIMSREGTCILSCSNNSPSFVVAELERRSCQSSETNIYLFASGSDDWRVFRNVPIRHGDGLAHLCWWTTDAVLSYHNRYMIWVDYLVAGMIVAKVAHPGRVDPPEPVLWYVPLPVDPVDGNPYDIDRGRGCPQASRSVCATHHGIKFVNVNQHGGGSSSSSSSSRSFSITLWSWREEDQTWGEDATLDADQLRDLDSENRLPNIQPEFPVVDMENPYAVCFLLNERYHTADPNATTWMIKVHMKKKVLLDCTGYSNKGSPSTARRMSEGLSFISSEMPSYLSGKTIKRQEVDKLGI >ORUFI01G06300.1 pep chromosome:OR_W1943:1:4405559:4407843:1 gene:ORUFI01G06300 transcript:ORUFI01G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPAGSFFQEAALPEQRLVEGVAFPAVLVPSAAAAAAGGGLDAFLDAVRSERASTVEPLLRGAGAVLLRGFPARAAADFDRAVDAFGYAELPYVGGAAPRSNVVGRVFTANESPPDQKIPFHHEMAQVPTFPAKLFFFCEVEPKIGGETPIVLSHYVYKRMKEKYPEFVEKLEKDGLIYTRVLGEGDDPSSPIGRGWHSTFLTKDKSIAEERAAKLGMKLEWTEDGVKTIMGPIPAVKWDESRGRKIWFNSMVAAYTGWKDARNDPVRAVTFGDGSPLPADVIAGCGEILEEECVAVPWRQGDILLIDNWAVLHSRRSFEPPRRILASLCK >ORUFI01G06310.1 pep chromosome:OR_W1943:1:4424114:4426277:1 gene:ORUFI01G06310 transcript:ORUFI01G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRGFGREEEDAAAAGESGLELCLGLPAYFSSSSSSKPSEGSTAAPAFALRSNGTNASKPSGAAAAAPVVGWPPVRSFRRNLASSSSSSSKQAPPPPSSSPQNGDKASKDGGAEKGMFVKINMDGVPIGRKVDLAAYGGYAQLSAAVDKLFRGLLAALPCAARRVVRFALCCDLMRTRCYAIARAAQSAAADGEADAAAAGEMVGGGEYTLVYEDDEGDRMLVGDVPWQMFIATAKRLRVLKSSDLPPPSLMRAAGSRKRAAADS >ORUFI01G06310.2 pep chromosome:OR_W1943:1:4424114:4426277:1 gene:ORUFI01G06310 transcript:ORUFI01G06310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRGFGREEEDAAAAGESGLELCLGLPAYFSSSSSSKPSEGSTAAPAFALRSNGTNASKPSGAAAAAPVVGWPPVRSFRRNLASSSSSSSKQAPPPPSSSPQNGDKASKDGGAEKGMFVKINMDGVPIGRKVDLAAYGGYAQLSAAVDKLFRGLLAAQSAAADGEADAAAAGEMVGGGEYTLVYEDDEGDRMLVGDVPWQMFIATAKRLRVLKSSDLPPPSLMRAAGSRKRAAADS >ORUFI01G06320.1 pep chromosome:OR_W1943:1:4428140:4431057:-1 gene:ORUFI01G06320 transcript:ORUFI01G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVSSCSPVATHFSIRLSGTGIMAAVEVEVEVEAEKVVAELRERCATPASLLRDVAAAMAGEMGAGLEKEGGSRVKMLLSYVDKLPTGREEGLFYGLDLGGTNFRVLKVHLGGSKKHVVNSESREVSIPPHLMSGTSSELFGFIAGELGKFVAEEEEGTDMPNGKKKELGFTFSFPVRQRSVASGTLVKWTKAFSIDDAVGEDVVAELQTAMVKQGLDMHVAALINDAVGTLAGARYYDEDVVAGVIFGTGTNAAYVEKANAIPKWEGELPNSGDMVINMEWGNFYSSHLPIYEKLTSGMYLGEIVRRVLLKLSLQSGIFGSIDNSKLKTRFHLRTPHISAMHHDETPDLKIVAEKLHQILEITHTSLETRKMVVEICDIVARRAARLAAAGVAGILMKLGRNGGIDNQRSVIAIDGGLFEHYTKFRECLESTLGELLGEEASKSVAVKHANDGSGIGAALIAASQSR >ORUFI01G06320.2 pep chromosome:OR_W1943:1:4428140:4431057:-1 gene:ORUFI01G06320 transcript:ORUFI01G06320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVSSCSPVATHFSIRLSGTGIMAAVEVEVEVEAEKVVAELRERCATPASLLRDVAAAMAGEMGAGLEKEGGSRVKMLLSYVDKLPTGREEGLFYGLDLGGTNFRVLKVHLGGSKKHVVNSESREVSIPPHLMSGTSSELFGFIAGELGKFVAEEEEGTDMPNGKKKELGFTFSFPVRQRSVASGTLVKWTKAFSIDDAVGEDVVAELQTAMVKQGLDMHVAALINDAVGTLAGARYYDEDVVAGVIFGTGTNAAYVEKANAIPKWEGELPNSGDMVINMEWGNFYSSHLPIYEKLTSGMYLGEIVRRVLLKLSLQSGIFGSIDNSKLKTRFHLRFKADPKISVNVANRTPHISAMHHDETPDLKIVAEKLHQILEITHTSLETRKMVVEICDIVARRAARLAAAGVAGILMKLGRNGGIDNQRSVIAIDGGLFEHYTKFRECLESTLGELLGEEASKSVAVKHANDGSGIGAALIAASQSR >ORUFI01G06320.3 pep chromosome:OR_W1943:1:4428140:4430639:-1 gene:ORUFI01G06320 transcript:ORUFI01G06320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEVEVEVEAEKVVAELRERCATPASLLRDVAAAMAGEMGAGLEKEGGSRVKMLLSYVDKLPTGREEGLFYGLDLGGTNFRVLKVHLGGSKKHVVNSESREVSIPPHLMSGTSSELFGFIAGELGKFVAEEEEGTDMPNGKKKELGFTFSFPVRQRSVASGTLVKWTKAFSIDDAVGEDVVAELQTAMVKQGLDMHVAALINDAVGTLAGARYYDEDVVAGVIFGTGTNAAYVEKANAIPKWEGELPNSGDMVINMEWGNFYSSHLPIYEKLTSGMYLGEIVRRVLLKLSLQSGIFGSIDNSKLKTRFHLRTPHISAMHHDETPDLKIVAEKLHQILEITHTSLETRKMVVEICDIVARRAARLAAAGVAGILMKLGRNGGIDNQRSVIAIDGGLFEHYTKFRECLESTLGELLGEEASKSVAVKHANDGSGIGAALIAASQSR >ORUFI01G06330.1 pep chromosome:OR_W1943:1:4437155:4439462:1 gene:ORUFI01G06330 transcript:ORUFI01G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNSAPPSLPPGRFPLLFKLDPFCLLAQVFDLVSERGVSLSPAGVANSGHGQGGEVVPQPVGRRRRREEGAGERTWEDGRGAAPAGQEAVELRQVVEGLDGGGGGSGGGGGRECGDREGGRGGVAQVDVQRHRAGAEQARHRGRRGDRGCGGRGRGGGTGGRRGRPPHQPGTTHLVGVRLRRRPGSPWPRRRRGQDPDSLPRILGEEGAASAQGAGEAAGAGARLPGEAAGGGDAAEHAGARPRAGRRPRRALVARRRAAAAAPPPPPSRPAALFPARAVYGRHEERAWRGGVQPPPVGEHRVVVVRVRPEPQDRGDGHRAAQVEVVVGQDEPSRGRRRRRRGVVRQLGVVAAPPVPPAPRRAAADIGAERAPLPGVRLVPAREAQAGDGAEHAAACAHAGDADEERLRRRRLRRVAQLPRLHVEHAIVGGEGAVPERAEAAAGAGRRRRHRRRRAEEGAAERGDPGGEGEPERRGHAALVQPCPGGVQLQDRRAQPLRPLVGAGRREGPRPLLAEEVVI >ORUFI01G06340.1 pep chromosome:OR_W1943:1:4447589:4448800:-1 gene:ORUFI01G06340 transcript:ORUFI01G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTRDNLHDKINQQTNRPKIHNYSAMDTVGWDENTSGDTGPLDLSAEGDNGNEPLFNDTDGNRGRDGICPSHDKWYLQFILTHTVPVHFLSITL >ORUFI01G06350.1 pep chromosome:OR_W1943:1:4452859:4454788:-1 gene:ORUFI01G06350 transcript:ORUFI01G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETILTGDDLMREPPSPAIPKEIASHVLQGVEPCDGILRNLFLCLQINDIEPFCQDEIVFYRQCAAKRDKEIREKMVDSEYKLRISMPLEEGKERTTQLQLEVTLLERRMILASGLEGMEGFRQRWSLHGQLEDTRKRMEALVRGMEKGESQNSQAQGS >ORUFI01G06360.1 pep chromosome:OR_W1943:1:4456240:4458409:1 gene:ORUFI01G06360 transcript:ORUFI01G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFISAYLMAYLAGNSSPTAEDLTTILESVGCEIDNAKMELLLSQVSGKDITELIACGREKFASVPSGGGGVAVAAAAPAAGGAGGAPAAEAKKEDKVEEKEESDDDMGFSLFD >ORUFI01G06360.2 pep chromosome:OR_W1943:1:4456413:4458409:1 gene:ORUFI01G06360 transcript:ORUFI01G06360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFISAYLMAYLAGNSSPTAEDLTTILESVGCEIDNAKMELLLSQVSGKDITELIACGREKFASVPSGGGGVAVAAAAPAAGGAGGAPAAEAKKEDKVEEKEESDDDMGFSLFD >ORUFI01G06370.1 pep chromosome:OR_W1943:1:4463292:4464523:-1 gene:ORUFI01G06370 transcript:ORUFI01G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRLVCFLAVAAALATTCHGWGAGAGDVVSSSAAALSFVDRLRQMMIPAAVGDGDYCDSWRVGVEANNVRGWTAAPRKCDNYVENYMRGHHYRRDSKVVVDEAAAYAEAAVLSGDPAADANATWVFDVDETALSHVKFYKKHGFGYHRTDEPAFMEWLIAGRASALPNTVTLYKKLLLLGVKILEEEKGMVIIGNIGDQWSDLLGSPEGRRTFKLPNPAYYIDNYKRAGAAVRAAVAITASSSSSSS >ORUFI01G06380.1 pep chromosome:OR_W1943:1:4471076:4476829:-1 gene:ORUFI01G06380 transcript:ORUFI01G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSPTLLALSFALLLLILLLYYSSSLLYSQLATRRRLTHACARSLPDAYESGMNRGHISSSELIDAKLEERRISTAKHCPSCGNKLDCKPDWVGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMVSGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSDRGGGAAATASAVTTAAVQQDQQRRRDSGSGSCSSTRDHEVSATSYSTAGYAVAAAVEMQHLKHAADHFSFAPFRKSFEEVGISGDQVHSNQLGRSEQQHAGQEQQPHRPLLATTTAVPATAFLISRPTNPVSNIVPPAMQHASVVLDHDQFHVPAILLHHDKFQQQQQQKLDRRSAGLEELIMGCTSSSTKGHGSKPIIYQSEALRMQKLQFLTPKRQNGLTSHTGLLTTRIIMDSSNKAGK >ORUFI01G06380.2 pep chromosome:OR_W1943:1:4471100:4476829:-1 gene:ORUFI01G06380 transcript:ORUFI01G06380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSPTLLALSFALLLLILLLYYSSSLLYSQLATRRRLTHACARSLPDAYESGMNRGHISSSELIDAKLEERRISTAKHCPSCGNKLDCKPDWVGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMVSGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSDRGGGAAATASAVTTAAVQQDQQRRRDSGSGSCSSTRDHEVSATSYSTAGYAVAAAVEMQHLKHAADHFSFAPFRKSFEEVGISGDQVHSNQLGRSEQQHAGQEQQPHRPLLATTTAVPATAFLISRPTNPVSNIVPPAMQHASVVLDHDQFHVPAILLHHDKFQQQQQQKLDRRSAGLEELIMGCTSSSTKGEASIPHSQETEWPYQPYWTPDNQDHHG >ORUFI01G06380.3 pep chromosome:OR_W1943:1:4472751:4476829:-1 gene:ORUFI01G06380 transcript:ORUFI01G06380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSPTLLALSFALLLLILLLYYSSSLLYSQLATRRRLTHACARSLPDAYESGMNRGHISSSELIDAKLEERRISTAKHCPSCGNKLDCKPDWVGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMVSGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSDRGGGAAATASAVTTAAVQQDQQRRRDSGSGSCSSTRDHEVSATSYSTAGYAVAAAVEMQHLKHAADHFSFAPFRKSFEEVGISGDQVHSNQLGRSEQQHAGQEQQPHRPLLATTTAVPATAFLISRPTNPVSNIVPPAMQHASVVLDHDQFHVPAILLHHDKFQQQQQQKLDRRSAGLEELIMGCTSSSTKGHGSKPIIYQSEALRMQ >ORUFI01G06390.1 pep chromosome:OR_W1943:1:4477514:4481185:-1 gene:ORUFI01G06390 transcript:ORUFI01G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEQENSLALSVCYASQLGCSLHPENRPPYTVLLSVYIQLDVEAGFNPFSKNTVPFGGPSVGQNHDSKCDRARRDTEGEEEDDAVPVQQQQQQRGDLISLPARWGPEHQLRCSGHAFHGRKECDTHGGAGENGSWAPAASCARLDEVHGNATFDESID >ORUFI01G06390.2 pep chromosome:OR_W1943:1:4477514:4482082:-1 gene:ORUFI01G06390 transcript:ORUFI01G06390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRDVTLERPSVGQNHDSKCTEGDRARRDTEGEEEDDAVPVQQQQQQRGDLISLPARWGPEHQLRCSGHAFHGRKECDTHGGAGENGSWAPAASCARLDEVHGNATFDESID >ORUFI01G06400.1 pep chromosome:OR_W1943:1:4482451:4488137:1 gene:ORUFI01G06400 transcript:ORUFI01G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAASGLGAIKRHGLDGQMLNVAIRCASTSVAQRSSGGFWTWLTGARSNALPPPDFTLPGVTIPPPLPDLVEPGKTKITTLANGVKIASETTPGPSCSVGVYVNCGSVHEAPETLGATQLLKKMAYTTTTNRSHLRVVREIEAVGGNVKASANREMMSYSYAALKTYMPEMVEVLIDCVRNPAFLDWEVKEQIMKLKAELAEASSNPETFLLEALHSTGYSGALATPLIASESSVSRLNTNVLEYFLAENYTAPRIVLAATGVDHDELVSIAEPLLSDMPGVTGPAKPKSTYVGGEYRRTADSSNTDVALAFEVPGGWLKEKEFVTVSVLQTLLGGGGTYSWGRHGKGLHSSLNHLANEFDQIRSIAAFKDVHSNTGIFGIHTSTDAAFVPKAIDLATRELTSLATPGKVDQTQLDRAKATAKSAILMNLESKASATEDMGRQILAFGERKPVEHLLKAVDGVTLKDITALAEKIISSPLTMASHGNVLNVPTYDSVSGKFRSK >ORUFI01G06400.2 pep chromosome:OR_W1943:1:4482451:4490513:1 gene:ORUFI01G06400 transcript:ORUFI01G06400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAASGLGAIKRHGLDGQMLNVAIRCASTSVAQRSSGGFWTWLTGARSNALPPPDFTLPGVTIPPPLPDLVEPGKTKITTLANGVKIASETTPGPSCSVGVYVNCGSVHEAPETLGATQLLKKMAYTTTTNRSHLRVVREIEAVGGNVKASANREMMSYSYAALKTYMPEMVEVLIDCVRNPAFLDWEVKEQIMKLKAELAEASSNPETFLLEALHSTGYSGALATPLIASESSVSRLNTNVLEYFLAENYTAPRIVLAATGVDHDELVSIAEPLLSDMPGVTGPAKPKSTYVGGEYRRTADSSNTDVALAFEVPGGWLKEKEFVTVSVLQTLLGGGGTYSWGRHGKGLHSSLNHLANEFDQIRSIAAFKDVHSNTGIFGIHTSTDAAFVPKAIDLATRELTSLATPGKVDQTQLDRAKATAKSAILMNLESKASATEDMGRQILAFGERKPVEHLLKAVDGVTLKDITALAEKIISSPLTMASHGNVLNVPTYDSVSGKFRSK >ORUFI01G06400.3 pep chromosome:OR_W1943:1:4482451:4488288:1 gene:ORUFI01G06400 transcript:ORUFI01G06400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAASGLGAIKRHGLDGQMLNVAIRCASTSVAQRSSGGFWTWLTGARSNALPPPDFTLPGVTIPPPLPDLVEPGKTKITTLANGVKIASETTPGPSCSVGVYVNCGSVHEAPETLGATQLLKKMAYTTTTNRSHLRVVREIEAVGGNVKASANREMMSYSYAALKTYMPEMVEVLIDCVRNPAFLDWEVKEQIMKLKAELAEASSNPETFLLEALHSTGYSGALATPLIASESSVSRLNTNVLEYFLAENYTAPRIVLAATGVDHDELVSIAEPLLSDMPGVTGPAKPKSTYVGGEYRRTADSSNTDVALAFEVPGGWLKEKEFVTVSVLQTLLGGGGTYSWGRHGKGLHSSLNHLANEFDQIRSIAAFKDVHSNTGIFGIHTSTDAAFVPKAIDLATRELTSLATPGKVDQTQLDRAKATAKSAILMNLESKASATEDMGRQILAFGERKPVEHLLKAVDGVTLKDITALAEKIISSPLTMASHGNVLNVPTYDSVSGKFRSK >ORUFI01G06410.1 pep chromosome:OR_W1943:1:4496505:4500567:1 gene:ORUFI01G06410 transcript:ORUFI01G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGVAPAPAGVPEKKLLEVKESRKAAPAAPSTSMAAKWAMKKKLVGGDAGYVLEDVPHLTDYLPELPTYPNPLQDNPAYSVVKQYFVNTDDTVTQKIVVHKTSARGTHFRRAGPRQRVYFQSDEVNAAIVTCGGLCPGLNTVIRELVCGLYDMYGVTSVVGIEGGYKGFYSRNTVALTPKSVNDIHKRGGTVLGTSRGGHDTGKIVDSIKDRGINQVYIIGGDGTQKGASVIYEEVRRRGLKCSVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFIEKRLKDNGHMVIVVAEGAGQDLIAKSMNFVDTQDASGNKLLLDVGLWLSQKIKDHFKKKRNFPITLKYIDPTYMIRAVRSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVEHLKHDDDEHHLHNTQLLEGESSPVKDSSKCNGTAAPV >ORUFI01G06410.2 pep chromosome:OR_W1943:1:4496505:4500675:1 gene:ORUFI01G06410 transcript:ORUFI01G06410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGVAPAPAGVPEKKLLEVKESRKAAPAAPSTSMAAKWAMKKKLVGGDAGYVLEDVPHLTDYLPELPTYPNPLQDNPAYSVVKQYFVNTDDTVTQKIVVHKTSARGTHFRRAGPRQRVYFQSDEVNAAIVTCGGLCPGLNTVIRELVCGLYDMYGVTSVVGIEGGYKGFYSRNTVALTPKSVNDIHKRGGTVLGTSRGGHDTGKIVDSIKDRGINQVYIIGGDGTQKGASVIYEEVRRRGLKCSVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFIEKRLKDNGHMVIVVAEGAGQDLIAKSMNFVDTQDASGNKLLLDVGLWLSQKIKDHFKKKRNFPITLKYIDPTYMIRAVRSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVEHLKHDDDEHHLHNTQLLEGESSPVKDSSKCNGTAAPV >ORUFI01G06420.1 pep chromosome:OR_W1943:1:4501299:4504608:-1 gene:ORUFI01G06420 transcript:ORUFI01G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATESRCEEEKASPHSQEVKRWVLSDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQTRVYLILEYALKGELYKELQRCKHFSERRSATYIASLAHALIYLHGKHVIHRDIKPENLLIGSQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVEKTEHDYHVDIWSLGILCYEFLYGVPPFEAKEHSETYRRIVKVDLKFPLKPFVSPAAKDLISQMLVKNSAHRLPLHKLLEHPWIVQNADPSGVYRG >ORUFI01G06430.1 pep chromosome:OR_W1943:1:4509076:4510230:-1 gene:ORUFI01G06430 transcript:ORUFI01G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQTTRRGHSKRTGHGAASTSCLRLLVPPLSSRGDRGGVVLVCVEDGEDAVLRQGGGEEGPVVAGGGRRAARLHQPPRHRRQLDLPPQQGRVEEVRQELQAAVAQLPPPRHPPWRLHRRGGRHHHLPLLQARQQVVDHRGAAGEEDGQRRQEPLEHQAQAPPRRRRRLHALTAAPGAAAPRRHAHVAVVVAAAPPAARRTDCQDRGVHLRRLPAAAAADRHRRHGAPGSLRRRRRHGRLLDVRLRRVVGVQLVGGHRRRRRRWRRRRAVPGILHELRRPRRRRHGGGRPLHRRRLLLPSRSELVIITSVVNSCVSKIKH >ORUFI01G06440.1 pep chromosome:OR_W1943:1:4530121:4531283:-1 gene:ORUFI01G06440 transcript:ORUFI01G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGEGVSSVPPWSHLPVSGVDVLGGGGGGGDEMTPYVIAALRDYLPANDVGVGADEEEEAAAMAAAVDAYACDEFRMYEFKVRRCARGRSHDWTECPFAHPGEKARRRDPRKYHYSGTACPDFRKGGCKRGDACEYAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRVLPAQQSSPRSVASSPLAESYDGSPLRRQAFESYLTKTIMSSSPTSTLMSPPKSPPSESPPLSPDGAAAIRRGSWPGVGSPVNDVLASFRQLRLNKVKSSPSGGWSYPSSSAVYGSPKAATGLYSLPTTPLASTATVTTASSFMPNLEPLDLGLIGDEEPVQRVESGRALREKVFERLSRDGAISGDATAFATAGVGLDVDWVSDLIN >ORUFI01G06450.1 pep chromosome:OR_W1943:1:4552599:4554471:-1 gene:ORUFI01G06450 transcript:ORUFI01G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSSCGNNGHNSRTCTGQRSLQESGGGYGGGGAGGVRLFGVQLHVGGAPLKKCFSMECLSSPSPAYYAAVAAAASNSSPTVSSSSSLVSVEEAGEKMANGYLSDGLMARAQERKKGVPWTEEEHRKFLVGLEKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQSSLTQKKRRSSLFDVIEDAEKAPSVNERLKLRHETTSVPAEMGFPALSLGISSMAQPEAMLLPPPSLTLTPSCSSPAVSSSSSEQPRTIHPSLMVAKPQVQLQLQPPDLELKISTVRQNDQPSSSPRTPFLGTIRVT >ORUFI01G06460.1 pep chromosome:OR_W1943:1:4557509:4557823:1 gene:ORUFI01G06460 transcript:ORUFI01G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEAALDDPDDDLDDPDDDLDDDLDDDDGGPDDLDDDDPDNGDLGDDDDGAPDDDDGGLGTGHWGRRVALAEGGENAWGPRLERRFWLAKVGQRGEKFGQPVS >ORUFI01G06470.1 pep chromosome:OR_W1943:1:4560517:4561429:-1 gene:ORUFI01G06470 transcript:ORUFI01G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWWGASRHQGRKMEGRMASSSSPIPIPSLSPPSSHQRSPPLTPRLLAHACGRKVMKAISLKGVLRTEINPSLDKVTVVGDVDSRVLVQKLSKVGKIAEECSKCTARKEAATRADEAGRAGGKTASSKDATTKSSGDGDKSEPAAVAVEYQYHHHYNWAEPAMVVPVHLPYFAANATPYYAGGYYPMPPPMSVLRHPS >ORUFI01G06480.1 pep chromosome:OR_W1943:1:4562381:4562863:1 gene:ORUFI01G06480 transcript:ORUFI01G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRDAGWQGDFGTFSFFLLLSREIYVCLFAFVQWCFCWVDGLHNPGLTRLAVLLIHGRPRSMLAVSLIAPSSYWRCFIISLGMRCAERTNHLLKSTEINRQGDVYIGRNTSNHKSSKFGYAKFNRGIERLNI >ORUFI01G06490.1 pep chromosome:OR_W1943:1:4563915:4566440:-1 gene:ORUFI01G06490 transcript:ORUFI01G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSRSVSIDVERISFGGKEHRVRTRYGSVSVSVFGDEDKPALITYPDVALNYMSCFQGLFFCPEAASLLLHNFCIYHITPQGHELGAAPISSDVPVPSVDELVDQVADVLDFFGLGSVMCLGVTAGAYILTLFATKYRDRVIGLMLVSPLCKAPSWSEWLYNKVLLNLLYYYGSRGLVKECLLQRYFSTEVRGNGQDPESEIVQACRSLLHERQGSNVWRFLQAINERHDLTEALKKLQCRTLIFVGENSQFHDDAVHMTTKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFLMGYGLYRPSQLDSSPRSTLNPFCISPELLSPESMGVKLKPIKTRISLKV >ORUFI01G06500.1 pep chromosome:OR_W1943:1:4572245:4574600:1 gene:ORUFI01G06500 transcript:ORUFI01G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNDGDDVQSLYRPVHACESLKAKEPIEQTIFFVGEKIRSSQDWLLISKGRTKRKGICGPQRAGTLNSGPKIIGPKGPWASLRQKPSPIFLAGNEPKLEQKPTECHSKLPRIQEMKSPKAGFIIVSSDETDITA >ORUFI01G06510.1 pep chromosome:OR_W1943:1:4583232:4584852:-1 gene:ORUFI01G06510 transcript:ORUFI01G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLLPAAAFAGSAPPLSQVATSAAHGEDSPYFAGWKAYDEDPYHAVDNPDGVIQMGLAENQVSFDLLEAYLRDHPEAAGWSTGGAGAGSFRDNALFQDYHGLKSFRKAMASFMGKIRGGKARFDPDHIVLTAGATAANELLTFILANPGDALLIPTPYYPGFDRDLRWRTGVNIVPVRCDSANGFQVTVAALQAAYDEAAAAGMRARAVLITNPSNPLGTTVRRKVLDDILDFVSRNDIHLISDEIYSGSVFAAPDLVSVAELVEARGGDGIAGRVHIVYSLSKDLGLPGFRVGVVYSYNDAVVTAARRMSSFTLVSSQTQKTLAAMLSDEAFAGEYIRTNRRRLRERHEHVVAGLARAGVPCLRGNAGLFVWMDMRRLLLGGGGVGSELRLWEKLLREAKLNISPGSSCHCSEAGWFRVCFANMSLDTLDLALHRISRFMDTWNGTKQQASCQQQEQQ >ORUFI01G06520.1 pep chromosome:OR_W1943:1:4590216:4592575:1 gene:ORUFI01G06520 transcript:ORUFI01G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFNLTLSRDTARPQTDRIGGILGGKEYSAWHMQFALCLANLDGLLHRCAIGAAHNSLCRVLLLHEVWEWEGEAAAAAAAAEAAAEDRALRGIWAVGLLCRWQPQPQECSRKLTISCTPLH >ORUFI01G06530.1 pep chromosome:OR_W1943:1:4594785:4595252:1 gene:ORUFI01G06530 transcript:ORUFI01G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEGRTVAAAAAGGGGLRGGARTEAEGLACPRCESTNTKFCYYNNYNLAQPRHFCKACRRYWTRGGALRNVPVGGGTRNKVAPAPCTGXCTTATSPPASCWAPCRRRRRRRRRRRPLLHRLPGRPHTRRCRTASGPWGGRTSPSRIVVVVVEL >ORUFI01G06540.1 pep chromosome:OR_W1943:1:4596486:4598857:1 gene:ORUFI01G06540 transcript:ORUFI01G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPGSPETAELPAPMVLEPPPPPSDEAAAEEEEAGVKPDRQSSSSSSSSEEEEEKEVSKDVAVETSVVVPSVVAASPDDEAAAVASGDGGDVIKHDDEAVVRPDDWASWPQQPAQTVDDVAAAATTTPQAAPEIQTMSQQPAAVAGFDPERIPKSIFQAKPPGSSSQAEWSVASNESLFSIHHGARPSGDLCGFYAGESRSHFDYFYDEAMAGAAGDHTSDWKLATVAEGSPGGSARSDATDGGGGAAKQKAAIDFRRHESGSAGSSSNFSFAFPILAETTTSPRKRDYGGMYHPLKKEAEQQQAASPASAFEEMTTEEERRRRRSWWCCCCGECCGCCWFACSWSLCCCCCRWRWCSRCSCSCPTFCRRN >ORUFI01G06540.2 pep chromosome:OR_W1943:1:4596494:4598857:1 gene:ORUFI01G06540 transcript:ORUFI01G06540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPGSPETAELPAPMVLEPPPPPSDEAAAEEEEAGVKPDRQSSSSSSSSEEEEEKEVSKDVAVETSVVVPSVVAASPDDEAAAVASGDGGDVIKHDDEAVVRPDDWASWPQQPAQTVDDVAAAATTTPQAAPEIQTMSQQPAAVAGFDPERIPKSIFQAKPPGSSSQAEWSVASNESLFSIHHGARPSGDLCGFYAGESRSHFDYFYDEAMAGAAGDHTSDWKLATVAEGSPGGSARSDATDGGGGAAKQKAAIDFRRHESGSAGSSSNFSFAFPILAETTTSPRKRDYGGMYHPLKKEAEQQQAASPASAFEEMTTEEERRRRRSWWCCCCGECCGCCWFACSWSLCCCCCRWRWCSRCSCSCPTFCRRN >ORUFI01G06550.1 pep chromosome:OR_W1943:1:4598424:4600290:-1 gene:ORUFI01G06550 transcript:ORUFI01G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADGGDPFDSLPAAIAADVLGRVADGADIAACCLASRAFLAASYACSRVRLRAAALARRRSVALAGGGGGGPPGGSAIRAAAGNAASLLGPHLRSLELDASEGWGHPDDATWVEEGEFDEGGDLHLTAREAVVAWADTAAGNALREVDVADYWPQSCWRKAEALPVISHFCHSLLKLRLKNAWLSVDGLKIMPNLTHLTLEFIRLDDEDLNKLNECFPCLQILNLIGVGGLKDPKIHLHQLKTCHWEVSNVPQSLTIRAPNLVRLELKCVRPDMLILDTPSMFTLKLTVDKLGPNVQADGLVSLKNLRIESLDLKSLLQVFAENHDITTLELELPTSTNKYELFEAVKPEYLLQLFAGISEVKLAPRFSCEMTHCLMLCTSNQFRSCLRRLLFHLPPLKDVPHLAPLFNNCAPSCEVTILFHADSSDDIRQAATSVWTLRYPGIRWQWGTWN >ORUFI01G06560.1 pep chromosome:OR_W1943:1:4602366:4605876:-1 gene:ORUFI01G06560 transcript:ORUFI01G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAAAAAFSPLRRRLPLHHRGRRLLAVAAALSPEPPAPTPTTSPPPPPRKGYFPKRNEVLELTCEGLAFKGKGVCRVDGSTFVLLCDGALPGERLLARVRRIRRGAFAEAAKLRTLEPHRDAVDAPCPLAADCGGCKAQSLAYAAQIRHKHLQVRELLVNFGKFDPRKMESSEPDAILKPIVPCDEIFRYRNKMEFSFGTKRWMQREWKEEKDDEVVKEEKVEGDGYSLGLHAPGFFDKVLHVEKCLLQSEPADKVLAIVQETWLDPALGLTPYDVHKHVGFLKHLMIRTGRNITTGAPEVMVNFVTSCYKPELLEPLVNNITKIPEVVSIMNNVNTSVGNTSVGEEEYTLYGKPTITEMLRGLTFQISANSFFQTNTKQADVLYKLIGESAGLKGDGSEIILDLFCGTGTIGLTLARRAKHVYGYEVVPEAIADAKKNAKLNGISNATFVQGDLNKINETFGKEFPKPDIIISDPNRPGMHMKLIKWLLEVKAPRIVYVSCNPATCARDLDYLCHGVEEKDLKGCYELKTVIPVDMFPHTPHIECICVLELC >ORUFI01G06570.1 pep chromosome:OR_W1943:1:4608552:4618743:1 gene:ORUFI01G06570 transcript:ORUFI01G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAKGSRTITKITIKGYDDRQHDEDPNSSSKVKLKKRKMSDLGPQWSKDELMRFYEAYRRHGKNWKKVSASVGGKSADTVEALYSVHRTFLSLPEREGTAMGFVALVTGHHNVSDESKSHKGSDQTVRASGKVRKREATGQKEKEAPHAHRSYHERRTSGLSSFKKRYYGELVKNIPRHPSGKRTPRVPVIFPADMNVAHAGIPETENAINCTKKASGATNNELECSSHGSPGISESAKFVQGQTFLEAKGTGSLKKRRIEQSMEQGQTIKDEHETAMVAKEGNDLTEYQRLTNLFSPDEMLVLDVLESLVTVPSKISEPKINIPSGTLGRDDSALSHRREEGPSVKRSKQGKQVGECSASKTRNKRRKKLIAEEVPTEGVTSNHLDLPEERQVDATECALNSDLERGTVDLPESTANISTKIPDLPSQLKPEINMSRRSKRKSKSPCGSKYVVCNGADNLQARRLQHCLSSESLRRWCTYEWFYSAVDYPWFMDNEFVNYLNFANLSHLSRLTRSEWSTIRSSLGKPRRFSDHFLAAEKDKLENYRKKVRQYYALLSEDSWDSLPPDLARPFSIGQQDRYNVQFDRPDLGVDEVKDTDCMPVNWLDNLPDDLKKRSFLSNNSHNRVEVEQIPKFTSKENWDHISGEAEPSKTMHITSDEQVEVLLSKSIFKKHYDHSLSIHGQVEIAVDIERLSNKSTSGNCGPLQPLQSVDDNVRSRGLSEHRNGHNDELDSYITSFVQMSLAQAKQMVDEAMKEISENGKSSLEETGISNEATDCKGPEPESAANSELPRNLIFNCIATLLAIKHFSEGRHPPPNIAGVLERACLMLRPSCAENLPIYNEIENFIAVIKNQILALVPSASSNARLPMYM >ORUFI01G06570.2 pep chromosome:OR_W1943:1:4610255:4618687:1 gene:ORUFI01G06570 transcript:ORUFI01G06570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAKGSRTITKITIKGYDDRQHDEDPNSSSKVKLKKRKMSDLGPQWSKDELMRFYEAYRRHGKNWKKVSASVGGKSADTVEALYSVHRTFLSLPEREGTAMGFVALVTGHHNVSDESKSHKGSDQTVRASGKVRKREATGQKEKEAPHAHRSYHERRTSGLSSFKKRYYGELVKNIPRHPSGKRTPRVPVIFPADMNVAHAGIPETENAINCTKKASGATNNELECSSHGSPGISESAKFVQGQTFLEAKGTGSLKKRRIEQSMEQGQTIKDEHETAMVAKEGNDLTEYQRLTNLFSPDEMLVLDVLESLVTVPSKISEPKINIPSGTLGRDDSALSHRREEGPSVKRSKQGKQVGECSASKTRNKRRKKLIAEEVPTEGVTSNHLDLPEERQVDATECALNSDLERGTVDLPESTANISTKIPDLPSQLKPEINMSRRSKRKSKSPCGSKYVVCNGADNLQARRLQHCLSSESLRRWCTYEWFYSAVDYPWFMDNEFVNYLNFANLSHLSRLTRSEWSTIRSSLGKPRRFSDHFLAAEKDKLENYRKKVRQYYALLSEDSWDSLPPDLARPFSIGQQDRYNVQFDRPDLGVDEVKDTDCMPVNWLDNLPDDLKKRSFLSNNSHNRVEVEQIPKFTSKENWDHISGEAEPSKTMHITSDEQVEVLLSKSIFKKHYDHSLSIHGQVEIAVDIERLSNKSTSGNCGPLQPLQSVDDNVRSRGLSEHRNGHNDELDSYITSFVQMSLAQAKQMVDEAMKEISENGKSSLEETGISNEATDCKGPEPESAANSELPRNLIFNCIATLLAIKHFSEGRHPPPNIAGVLERACLMLRPSCAENLPIYNEIENFIAVIKNQILALVPSASSNARLPMYM >ORUFI01G06580.1 pep chromosome:OR_W1943:1:4617844:4621305:-1 gene:ORUFI01G06580 transcript:ORUFI01G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRASPAAAAAGGRRRCGVRVGPTRLEGMPAAWPAVAAVKAKWPVSGGALSQMILGRCWGRGVTAVEPVGGDGVVRWDGAGDANRFRFDLDQPSAAAARAARPERGVSFSILYGFQEQGRGKDVVKLEEIGTAMISLEECCWEMQLQQQQKRGATQQQLVVVPIRVRKDGWASDAMLYVNVELVDANTPSENIGRTVSFRESRARTTTPAPTMRDNRKSLEAGTYHEVLDLKQLLDLADREHKVAVYSRSKRNSDSSSVSSMSSVSSSSTISISSASSSGGASPEPGLSSKRRLLPWRRKSRDFDKRSSESLSQELPMKYMDDDLAGSWETREFTSRDSETKLRTPVFFASIDQRDDSAGGESACTALVAVLAAALHANHPTMPTRPELDALIRDGSSEWRRLCDDEAHMAAFPNRHFDLETVLAARARPIAVQHDRAFVGFFQPESFASLSGAMSFDDIWREIAASAAAAGGEPGRADVYIVSWNDHFFVLKVEGDCYHVVDTLGERLFEGCDKAYMLRFDATSEMRSVSPPPSSPSPEEEVIVTGKECCREFIKRFLAAIPLREELEMERKGCADAPHRRLQIEFHFTVLKEEEQDQARR >ORUFI01G06590.1 pep chromosome:OR_W1943:1:4621691:4622130:1 gene:ORUFI01G06590 transcript:ORUFI01G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGMIKTSPSPACDLGDTATYTVYLLRLILLKSSPSVGDLVVWHFGHRIHSIPPIQRSTGGHGVNGVFGVREL >ORUFI01G06600.1 pep chromosome:OR_W1943:1:4633764:4636014:-1 gene:ORUFI01G06600 transcript:ORUFI01G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKWVKSLIGLKKPDREDCKEKLQVPSVNGGGGGKGRKWKLWRSSSGDHGSLWRGSRGGGGGGGHHRSASSDASDDASSAAADPFTAAVATVARAPAKDFMAVRQEWAAIRVQTAFRGFLARRALRALKGLVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQKLLEARRTKLDILREAEEGWCDSQGTLEDVRVKLQKRQEGAIKRERAIAYAYSQQIEGATKCNFWTECVIFLVFAQQQPKPTSYGRLNQSGMLLKHQHFDKSNGNWSWLERWMAARPWENRLMEEHNQTNSSSPDLLSSKNCEDSFGILGDFSEPNSVKVRKNNVSKRVCAKPPVVSHHQRIKAQSISSLSTELHNDESSASSSSCFASTPISFSTFVTTEKTEDSIRARPNYMNMTESIKAKRKACNAQRTTAGKLMEDRKASGVELKVAQV >ORUFI01G06610.1 pep chromosome:OR_W1943:1:4641003:4645604:-1 gene:ORUFI01G06610 transcript:ORUFI01G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGYGVQCAKLRFAPHSSFYYSIPFAFDFLAPSHQLGREASSSPRLATPRRDATRRGQLVAGAGAGAQWSRRPATSPTRSPTRTARPWRRGAPTAGGPPPRLSDNLAAAFRSPEDFAFLADARIAVPGGGGGGGDLLVHRCVLSARSPFLRGVFARRAAAAAGGGGEDGGERLELRELLGGGGEEVEVGYEALRLVLDYLYSGRVGDLPKAACLCVDEDCAHVGCHPAVAFMAQVLFAASTFQVAELTNLFQRRLLDVLDKVEVDNLLLILSVANLCNKSCMKLLERCLDMVVRSNLDMITLEKSLPPDVIKQIIDARLSLGLISPENKGFPNKHVRRIHRALDSDDVELVRMLLTEGQTNLDDAFALHYAVEHCDSKITTELLDLALADVNHRNPRGYTVLHIAARRREPKIIVSLLTKGARPADVTFDGRKAVQISKRLTKQGDYFGVTEEGKPSPKDRLCIEILEQAERRDPQLGEASVSLAMAGESLRGRLLYLENRGNLHIYHNGFIMLVSLELTVFGLGNKKKFLYDVVALARIMFPMEARVAMDIAQVDGTLEFNLGSGANPPPERQRTTVDLNESPFIMKEEHLARMTALSKTVELGKRFFPRCSNVLDKIMDDETDPVSLGRDTSAEKRKRFHDLQDVLQKAFHEDKEENDRSGLSSSSSSTSIGAIRPRR >ORUFI01G06620.1 pep chromosome:OR_W1943:1:4653786:4655300:-1 gene:ORUFI01G06620 transcript:ORUFI01G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTIDQAEIVKLLIEAIDMFLICTALLTFGMGMCIMFYGSRSIQKPGMQVDNLHLGSFNLKKLKEGARIQSITQAKTRIGHAILLPRRRRLLLSRLATSPRQPPPQPPAGLPREENRSKETERRKGEKGAAKNVPSCLVMPMTIVTRPRAINRASF >ORUFI01G06630.1 pep chromosome:OR_W1943:1:4666316:4666693:1 gene:ORUFI01G06630 transcript:ORUFI01G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVAAAAGMMRRGSLTIDPAGEEEAPAERVGRLVRESPVVVFARRGCYMAHVMRRLLAAVGAHATVIELEGGAAEEEEAALGGGAALPALFVGGDPVGGLEGLMGLHLSGRLVPRLREVGALCT >ORUFI01G06640.1 pep chromosome:OR_W1943:1:4666690:4679654:-1 gene:ORUFI01G06640 transcript:ORUFI01G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWTQEIPCCAQVASNHSISPQVDWGLHKPQYWALQPASTSNDCSPFPTYTINTFDTIALFIWSASDRRRRRTSPGRQQVAEADFVRSAAGGGGGPHPGGGGGLRPGGRRRRRALLSRWVAETDLVQAAGSGPRPSWLKSILSLSWSEKMRSPGRPRAHDELHGDGGVPVLSSPLHSSLSSPLLSALSPPRAPRSRRGPFYDSRNHLPTVGSRGGEPMHEWSVRRQSCEQDRVGASSASAQGRSYMQLWGFLGIRISEMAEITQLMYMEGMELSHGREDRTEEDDDGTRGGPAG >ORUFI01G06650.1 pep chromosome:OR_W1943:1:4679737:4682023:1 gene:ORUFI01G06650 transcript:ORUFI01G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKDLAEIQQQQLLAAAEENMSNLTSASGDQASVSSHPAPPPAKKKRSLPGNPDPEAEVIALSPRTLMATNRYVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRNPKEVVRKKVYVCPEAGCVHHDPARALGDLTGIKKHFSRKHGEKKWKCDKCSKRYAVHSDWKAHSKVCGTREYRCDCGTLFSRRLSWPPPPPPPWPSSEVLMIGLRCGCRRDSFITHRAFCDALAEESARAVTAAAAVAGQQQHGGGMLFSQVADVLDHQAAMAMGGHGLMQELCLKREQQQQQQQFAPSWLTAQQQQQQLEEEKRYGGGSGRGSRFRSGGDEFIGSSTPESGGAQQAGLSFGFSSTSSAPPHPAASSAHMSATALLQKAAQMGATLSRPSSHAHMAAAAAASTHNSSSSAATTNAPPPPPTSNVSSTCVGAGGYGLAFEASHFIAADESSRGARSDRDTGNGVAGAGNDGLTRDFLGLRAFSHGNIMSMAGFDPCMSTTSASSAAPYDHHHHSNKPWHS >ORUFI01G06660.1 pep chromosome:OR_W1943:1:4690863:4696767:-1 gene:ORUFI01G06660 transcript:ORUFI01G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLACCAVLLLASVLGSTGTDLGPSPVVANSPDAQDQTSSPPEPTIALGPVTLPTGCSEFLMWCGIMALKIITSIDNCPYNCFVSAPSAPSASPPVAKGAVSPAVPTRPQNAPTPVTPPKEYNAPPPVELTPPAPTHVAPVAPPQAAVENPAPVLPGTPALLPSVQAPAPSVARNPNLPIVQPPSVNNPPSRPIGSGNGVPPYPPPQRSLPAIPPSTSGVPRESVKPPVAPPIIAQAPRQQALAPSSDHSNGNSVPPANTSPPHKNSHIPRALPPKESSSQTGTAHKPPIRGSAPAETPLPQNTNMPAVPKNGSSVSHDRPPSTVAAPKPATSSRYHGRGGAPKKGEHLPFAPSYPPSHAQGPENSRAPRQSGAKRQKHHAPPPMFRGPHISPTMPPIPPQPGPKAPSAHPIWALPPPPPNLDCNSLACPEPLTDPPAGAPCVCVLPIKVGVRLSVDLYSFFPLVSDFAEEVSSGVNMAQRQVRVMGANVAGDQPDKTVVLVDLVPMQVKFDNATAFLTFENLWSKKISLKPSVFGDYEILYVVYPGLPPSPPSAPESVGDGAFGNNRNARAMKPLGVDVGRPKKRVNGSLIAIAVLSTVIALIICTLAAWLLIIRFRGSDGLAQRFPHSALPKFSRSSGTGQTLLAGRYSSPSGPSGSLGSSIATYAGQAKTFKFAEIEKATNSFDDSTVLGEGGFGCVYQGTLEDGTRVAVKVLKRYDGQGEREFLAEVEMLGRLHHRNLVKLLGICVEENARCLVYELIPNGSVESHLHGVDLETAPLDWNARMKIALGAARALAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTARGEGNQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPAGQENLVSWARPLLTNVVSLRQAVDPLLGPNVPLDNVAKAAAIASMCVQPEVAHRPSMGEVVQALKLVCSDGDEGLGSGSFSQELAAQAAAIYDVTGMEAERVLLSEMFGSTPVFTPAADSGSFRKQSSSGPLMTGKNRKFWQRLRSLSRGSMSEHGASPDFETRSQCSNR >ORUFI01G06670.1 pep chromosome:OR_W1943:1:4708849:4709307:-1 gene:ORUFI01G06670 transcript:ORUFI01G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEAAGGGERKRKRDGDAVRDDEEEEEEGVYEGIAEESVAELMRWLEMEISDAAPETETKTETESGDDPAGAAAPGFVTINGNEESCGPSFSAAASTVMASVDTRAGAPPAPPVPWPLPPAADVVPAEVVVDGVGEEWLVELLTNGPAVA >ORUFI01G06680.1 pep chromosome:OR_W1943:1:4712363:4713229:-1 gene:ORUFI01G06680 transcript:ORUFI01G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKPNPPPAAAAAAAAGNGAGGPPKMYQRPIYRPQAPAAKRRRGGRSSCRFSCCCCFFYAVLVVLLLAFVAAVAGGAFYLLYRPHRPAFTLSVARVDKLSLSSSATAPSLTDSIDVTLTAKNPNKKLVYLYDDFAVTAATAANAVPLGEGSVPGFVHDAGNITVIKATVSASALGVDPTTAATDIKKSGEFTITLDLETKAGVKVGGLKTKKIGVLVHCEGIKVAAPAPPPPPAKKKKGGVKLSVADAPSPAASVDDTTPSPPPATTVARVCQVRIRVKIWKWTF >ORUFI01G06690.1 pep chromosome:OR_W1943:1:4719200:4721137:-1 gene:ORUFI01G06690 transcript:ORUFI01G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKPAPVRVLYCGVCGLPAEYCEFGPDFERCKPWLRANAPGVYPDELLASSSSAAADVDKVGERLQGVGISAADGSTSAGDASASKQEEVKRLPGGKVKKKDKQEVVIEKIVRNKRKCVTVVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDIVEFITDTWPDVPESAIFFIEDGRKVPAA >ORUFI01G06700.1 pep chromosome:OR_W1943:1:4728885:4737131:1 gene:ORUFI01G06700 transcript:ORUFI01G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGWIVHGYTNGATATGAGNHGFTCGYAASSCGSWEFEQREQQFISSQIQHRLNEASLIAAISMHLSMDDDDDQSAVYGAAMVDDLLTPSPSTHHAVAAAAGSFPSSSSSSASFRSASVSYSPDTSSSAAAAAATGFYPELSSQVAPLLPPPPLVRNEPQPGRYTAGLPPPPPPPVTGGAFRRYARHLGPRRAPKPGACGQRMFKTAMSALAKMHMETTYRRRQYYYQQAAAAAEAAPPPPSGNNQLQHTMSERKRREKLNDSFVALKAVLPTGSKKDKASILIRAREHIRSLESKLSELEEKNRELEARLVSSPAAKNDKGETAAAEAGDETKREDLVEIEVTTTSGGSGVADAAATGGDQETCCTLNVDLRGGGGGGGMSTTDVVLRTLQCLREQIGDGASLVAMSTSAGSGGRPPSANLTLQLKISMSLSMDDVTMISPRNQMQHTMSERKRREKLNGSFVALQSRPYVLPPGSKLDKTSSILIGAREYMKFLESKLSELEEKNRELEARLVSSPAVVAKNDEEEAAAPPEAGGEVKRERIGSSH >ORUFI01G06710.1 pep chromosome:OR_W1943:1:4765164:4767170:1 gene:ORUFI01G06710 transcript:ORUFI01G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCSSWIHGYANANATAGNNGFMCGYAASCSPVEFQQQQQLVGSQIEHHLNQASLICNLFDQETMHKIKEILGFNLVGVAFYYGMQISMQMGMDDESAVYDGASMVDDLLMASSSPHHHAGAGSFQYSSPTSSSASFRSASVSCSPESSAAATTHFLGPPAPSAAAAGFHYPEVSSQAPLPLPLPPYEPQHGQYTTVLSPPPPAPELPATTTPATGGAFRRYARHLRPRRLPKPGGCGQRMFKTAMSVLTKMHVAATYNRQYYYQQAAAAAESASAAEAPPSGNQLQHMISERKRREKLNDSFLALKAVLPPGSKKDKTSILIRAREYVKSLESKLSELEEKNRELEARLASRPAAAAKNDKGETAAAPAPEAGDETKRKDLVEIEVTTSGGGAGAADAAAAAGGDQETCTLNVDLRGGGGGGGMSTTDVVLRTLQCLREQIGDGASLVAMSTSAGSGGRPPRANLTLQLKV >ORUFI01G06720.1 pep chromosome:OR_W1943:1:4774015:4776810:-1 gene:ORUFI01G06720 transcript:ORUFI01G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGGGEQSTPEFWPEGSGILPMDERPPPPALRLPRGRRDVGLSGWLRSRCSSYAVYDDINIVLHMTAVHDEEESLVNLVHPFSCVFSTDEKGISHSSRAKNPTSSCCRLRFMVAGHSQCRGDMDQD >ORUFI01G06730.1 pep chromosome:OR_W1943:1:4779520:4780119:-1 gene:ORUFI01G06730 transcript:ORUFI01G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGTIPTSSSSSGAAPPPLPLGGGGGASPLDFFSRAKARGATALATRRPWRELADPHALGLPPSLADAYLRVRANLAHYAMNYAIVVLAVVFLSLLWHPASLIVFLVCMVAWLVLYFLRDEPIVLFGRVVGDGAVLAALAAVTLVLLLLTGATANIVSSLLIGVLLVVLHAALHKAEENVDDEVGRWYTPVLPQPAH >ORUFI01G06740.1 pep chromosome:OR_W1943:1:4782131:4783570:1 gene:ORUFI01G06740 transcript:ORUFI01G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPPFAAGDSPPPTALLLPRTTTTTAGAAPAPRRSSASSRLHLLLTAALAVATSYLLLILPRTPLSAAPAPAAAARAQVKLEKPVVILISSDGFRFGYQHKAATPHIHRLIGNGTSAATGLVPIFPTLTFPNHYSIATGLYPSSHGIINNYFPDPISGDYFTMSSHEPKWWLGEPLWVTAADQGIQAATYFWPGSEVKKGSWDCPDKYCRHYNGSVPFEERVDAILGYFDLPSDEMPQFLTLYFEDPDHQGHQVGPDDPAITEAVVRIDEMIGRLIAGLEERGVFEDVNVILVGDHGMVGTCDKKLVFLDELAPWIKLEEDWVLSMTPLLAIRPPDDMSLPDVVAKMNEGLGSGKVENGEYLRMYLKEDLPSRLHYADSYRIPPIIGLPEEGYKVEMKRSDKNECGGAHGYDNAFFSMRTIFIAHGPRFEGGRVVPSFENVEIYNVIASILNLEPAPNNGSSSFPDTILLPSE >ORUFI01G06750.1 pep chromosome:OR_W1943:1:4800243:4807907:1 gene:ORUFI01G06750 transcript:ORUFI01G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAAGWAAPAFAVAAVVIWVVLCGELLRRRRRGAGSGKGDAAAAARLPPGSFGWPVVGETLEFVSCAYSPRPEAFVDKRRKLHGSAVFRSHLFGSATVVTADAEVSRFVLQSDARAFVPWYPRSLTELMGKSSILLINGALQRRVHGLVGAFFKSSHLKSQLTADMRRRLSPALSSFPDSSLLHVQHLAKSVVFEILVRGLIGLEAGEEMQQLKQQFQEFIVGLMSLPIKLPGTRLYRSLQAKKKMARLIQRIIREKRARRAAASPPRDAIDVLIGDGSDELTDELISDNMIDLMIPAEDSVPVLITLAVKFLSECPLALHQLEEENMQLKRRKTDMGETLQWTDYMSLSFTQHVITETLRLGNIIGGIMRKAVRDVEVKGHLIPKGWCVFVYFRSVHLDDTLYDEPYKFNPWRWKEKDMSNGSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFRWVAEEDHIVNFPTVRLKRGMPIRVTAKEDDD >ORUFI01G06760.1 pep chromosome:OR_W1943:1:4811155:4814681:-1 gene:ORUFI01G06760 transcript:ORUFI01G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFDGRAADPGSYRDRRSEGAFGGGTRAFAPTSKADSAAAAAAADLDGLPRFEKNFYVESPSVAGMTEEEVEAYRRRREITVEGRDVPKPVREFRDVGFPEYVLQEITKAGFVEPTPIQSQGWPMALRGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEATKFGASSKIKSTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFEPQIKKLVSQIRPDRQTLYWSATWPKEVEQLARNFLFDPYKVVIIGSEELKANHAISQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKDLINILEEAGQKVTVIDIEGMEVAGHGADFHMLLLQRAMFGLSLWMAMCISGSLNLLTNDR >ORUFI01G06760.2 pep chromosome:OR_W1943:1:4811155:4814681:-1 gene:ORUFI01G06760 transcript:ORUFI01G06760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFDGRAADPGSYRDRRSEGAFGGGTRAFAPTSKADSAAAAAAADLDGLPRFEKNFYVESPSVAGMTEEEVEAYRRRREITVEGRDVPKPVREFRDVGFPEYVLQEITKAGFVEPTPIQSQGWPMALRGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEATKFGVEIVIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFEPQIKKLVSQIRPDRQTLYWSATWPKEVEQLARNFLFDPYKVVIIGSEELKANHAISQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKDLINILEEAGQKVTVIDIEGMEVAGHGADFHMLLLQRAMFGLSLWMAMCISGSLNLLTNDR >ORUFI01G06770.1 pep chromosome:OR_W1943:1:4815590:4816826:1 gene:ORUFI01G06770 transcript:ORUFI01G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRSYHLSYLLPPLSLRHKVRTAVGVRAPVARVRRAVGVRAVVECGEDAAAAGGVEEGVGGRGRQDNDVTVMATDVDDIYGRQCIMHLLTGDDVDVPFFTLLP >ORUFI01G06780.1 pep chromosome:OR_W1943:1:4821253:4826612:1 gene:ORUFI01G06780 transcript:ORUFI01G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPHQLALIVLLVVALAALFLALLRGRCRCREGEAHQLPEQAEAGAGVAEGEEGGRERRKRRKARRRQRKGAGDDDAAGGEGDEALQLQLLRRRPRFPLASVAGALQRRITARYDDLARASQAHSLTIHQVHEFINCLVDARNELLHKSEMVQRSCRIKKALLSNPCSRRANSYDRLCEQVHKLEAEHKRLKKDADIYNYIQEQLQMSESYKLLIELSALVEKAEREDALATEAAEMTFEELLAQEKSDAAFWQRHRKLTSILPK >ORUFI01G06780.2 pep chromosome:OR_W1943:1:4823264:4826612:1 gene:ORUFI01G06780 transcript:ORUFI01G06780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLVRFFLTILANTFLHSPYQHSSPPESSQCSTPLGSESGGKRRRGRRGKQKGLGEVVSVHEKKEAKTSPFPLLLLCRATRRRITEVYDEMYQIVRAKRNDTGKVHEFINCLVDARNELLHKSEMVQRSCRIKKALLSNPCSRRANSYDRLCEQVHKLEAEHKRLKKDADIYNYIQEQLQMSESYKLLIELSALVEKAEREDALATEAAEMTFEELLAQEKSDAAFWQRHRKLTSILPK >ORUFI01G06780.3 pep chromosome:OR_W1943:1:4821253:4823299:1 gene:ORUFI01G06780 transcript:ORUFI01G06780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPHQLALIVLLVVALAALFLALLRGRCRCREGEAHQLPEQAEAGAGVAEGEEGGRERRKRRKARRRQRKGAGDDDAAGGEGDEALQLQLLRRRPRFPLASVAGALQRRITARYDDLARASQAHSLTIHQTFKIESDLGFDIMDLMMLVACVTGSYGPTIEGSPPIFCRNP >ORUFI01G06790.1 pep chromosome:OR_W1943:1:4822378:4825933:-1 gene:ORUFI01G06790 transcript:ORUFI01G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVPDRIYESICWSSANHLNLSGFMVKDSGLSGAQELGKRITPWLQLATLKAPPGTNSGYGFGLLLTMTPPHPSPSASPSSPASSFLHSRYQAASNIGMIQEEGVATWVRRWVGGGRWAVGGGVTVTL >ORUFI01G06800.1 pep chromosome:OR_W1943:1:4826156:4831308:-1 gene:ORUFI01G06800 transcript:ORUFI01G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAAADHPHRGGGGRAALGLGLGLGHLAAAALRREHRRRALAGGAVLASALLLVATPRLRHSPALHLFADMRNLLGVPNTLNVLTAYPLLLAGVPGLVLCLCGSGCFGISLRWEALGWFLFYAGNVAAAFGSAYYHLKPDDDRLIWDRVDERAGLSCLLSLLSLILVSSACERVLDDMRLWVVLNSAPCIAIPAMLFLFPPKYTHSRFWFLATGFYLLARFEGLADRKVYSVNRYFISGHSLEHLCFAMVTLILTVMLSFRNVKIVSGTHSQMRCLLRARGSTSRPSHRPRGAPPPPPAAGDQPIHHHHPPTTSAVPWNRLLRAHLGRSRGDLALALYRRMRALSPALPNSYTLPLALRAAASPRVASAVHAHALHLGLHAQHDVAGQILAAYSRLGRAADARRVFDAMPPGRTTFHWNALISAYSSGCDPDAARDAFARMAAAGARPDAVTWTALLSAHARSGKHADVLQLFGEMQRSGCEGNAESMAVALSACPYAGDLALAKGKAIHGCGVVKGLMHGYLFVTNSLICMYGKLGEMDDAKKAFRDATAKNTVTWNTLITSYAAAGLCDEALDVLAQMEQIGGTVAPNVVSWSAVIGGFASSGDTDRALELFRRMQQQWLSPNVVTMATVLSACVDLLALRLGRELHGHAMKAELDRHSLVENGLINMYAKCGKVSGARKVFDGMKTRDLISWNSMLAGYGMHGLCDEALALFTDMAGATVEPDGVTFVAVLSACGHAGRVTEGRRLFDRMVRAHKISPSMEHYTCMVYLLGRAGLLRDASELVETMPVRPDLCVWGALLNSCRIHGDAAMAEATIANVLQSEDQSTGNHVLITNLYAMCGMWDESKKVRVMTKEAGLRKNPGQSWIEVDNKVVAFAAGSAPPNLTGAEDVFGMLDDLYAEMEDEQR >ORUFI01G06800.2 pep chromosome:OR_W1943:1:4826156:4831308:-1 gene:ORUFI01G06800 transcript:ORUFI01G06800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAAADHPHRGGGGRAALGLGLGLGHLAAAALRREHRRRALAGGAVLASALLLVATPRLRHSPALHLFADMRNLLGVPNTLNVLTAYPLLLAGVPGLVLCLCGSGCFGISLRWEALGWFLFYAGNVAAAFGSAYYHLKPDDDRLIWDRLPMMISASSMLSILVIERVDERAGLSCLLSLLSLILVSSACERVLDDMRLWVVLNSAPCIAIPAMLFLFPPKYTHSRFWFLATGFYLLARFEGLADRKVYSVNRYFISGHSLEHLCFAMVTLILTVMLSFRNVKIVSGTHSQMRCLLRARGSTSRPSHRPRGAPPPPPAAGDQPIHHHHPPTTSAVPWNRLLRAHLGRSRGDLALALYRRMRALSPALPNSYTLPLALRAAASPRVASAVHAHALHLGLHAQHDVAGQILAAYSRLGRAADARRVFDAMPPGRTTFHWNALISAYSSGCDPDAARDAFARMAAAGARPDAVTWTALLSAHARSGKHADVLQLFGEMQRSGCEGNAESMAVALSACPYAGDLALAKGKAIHGCGVVKGLMHGYLFVTNSLICMYGKLGEMDDAKKAFRDATAKNTVTWNTLITSYAAAGLCDEALDVLAQMEQIGGTVAPNVVSWSAVIGGFASSGDTDRALELFRRMQQQWLSPNVVTMATVLSACVDLLALRLGRELHGHAMKAELDRHSLVENGLINMYAKCGKVSGARKVFDGMKTRDLISWNSMLAGYGMHGLCDEALALFTDMAGATVEPDGVTFVAVLSACGHAGRVTEGRRLFDRMVRAHKISPSMEHYTCMVYLLGRAGLLRDASELVETMPVRPDLCVWGALLNSCRIHGDAAMAEATIANVLQSEDQSTGNHVLITNLYAMCGMWDESKKVRVMTKEAGLRKNPGQSWIEVDNKVVAFAAGSAPPNLTGAEDVFGMLDDLYAEMEDEQR >ORUFI01G06810.1 pep chromosome:OR_W1943:1:4836072:4840173:-1 gene:ORUFI01G06810 transcript:ORUFI01G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEQVRMAVLLMLNCFVKATAPPPWPPSASSASFLDDLGDLGIAPLIRADEAATARASADFGNLSVAGVGAPRLAAAAAAAVLYPSRPADIAALLRASCARPAPFAVSARGCGHSVRGQASAPDGVVVDMASLGRLQGGGARRLAVSVEGRYVDAGGEQLWVDVLRASMAHGLTPVSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVLELDVITGVGEMVTCSKEKAPDLFDAVLGGLGQFGVITRARIPLAPAPARARWVRFVYTTAAAMTADQERLIAVDRAGGAGAVGGLMDYVEGSVHLNQGLVETWRTQPQPPSPSSSSSSSFFSDADEARVAALAKEAGGVLYFLEGAIYFGGAAGPSAADVDKRMDVLRRELRHERGFVFAQDVAYAGFLDRVHDGELKLRAAGLWDVPHPWLNLFLPRSGVLAFADGVFHGILSRTPAMGPVLIYPMNRNKWDSNMSAVITDDDGDEVFYTVGILRSAAAAGDVGRLEEQNDEILGFCEVAGIAYKQYLPYYGSQAEWQKRHFGAKLWPRFVQRKSKYDPKAILSRGQGIFTSPLA >ORUFI01G06820.1 pep chromosome:OR_W1943:1:4849945:4864083:-1 gene:ORUFI01G06820 transcript:ORUFI01G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPIGSAEAREPAELPAAVAAELERLEGRLRQLAGAEARRHLAVLGEAGAARVLRAVAESRRVRTLPGFIKYLAKREAAITRRDARGVPTALSAPAFISGPSREAHTVTQYLGNVSSGSHALARNHKVIHYIDSPARAMAPAVPNDVMMQVGSPCRCMPSGLQNYIEIDSPIQPMISTPRRVSTPSSVQDISRLIENMAGPSVSPPSPITAMPQNPTTTCHTTDNALREAASPQMLALEELGFRKIFMVFAYLASEKIENVLSVDYIRSLKFLSMAQFESQIWRTFGHKYIAASDRAKNLDSDPGMTKVYHCNVAIRGDTVVKIFKGPYIENTRTHLQKVVGDDNVLVVKFMGKLSDTKTDFSTYCEHYHKVAEDGIVLGLRRYRFFVYKDGGKEEKLKQEKIEDKNKCTSPVMCYFVRTESGWNMDEPYILSGRTVGQARELFMHISSAPTLAKYMARFALILSKTITWDADLSAVYVRRIKDEPCMDRHGNVVHKDQEPLIHTDGTGLVSVDLALNCPTSIFKGKFLKPQGIATCDESETVMRPNSLKRHRSLTAEHPLLMQFRLFYNGSAVKGTVLVDRRLPPATILIRPSMVKIETHPELSGVRSVNSSEIVSARNAKKSLSGVQSVNSFEIVSTSNRPRRTLTSRFLITLLCYGGVPEEYFLELLQSAIEGAENACYDYEDALRIAFSYADMEDSMSACMILSGIPLEESYLQHRLDFMAQQERKGIKQGKIPIDECYYLMDTTDPTGTLRPNEVCVILENGQFSGDVLVYKHPGLHFGDIHVLKATYIRDLEKEYVGYAKYAILFPISGPRSLADEMANSDFDGDIYWVSKNPKLLEHFKPSEPWVQAIKPKKTKQKKPQDCNESKLERLLFHEFLKTRFTPSFALGTAADSWLAYMDRLLTDSLDEIEKKLIEEKMLKLVDLYYLALDAPKTGNKVNIPSDLMVKQYPHFMGRSFSYHSSSILGQIYDKAEDVESLRSCNVQPIGVSLLPCFMEREAPPAARHLWQHRYEEYLTDSTMLYRAMVDKEERNMKFQELYEKYKHMLYDASEFEQTQRDPDDVFSEACVIYQIVYEKARWSNDASRCGFAWKVAGRALCHFYALKNEGDTALCSLPLLRKIIKKDHRSVQCDNGHKYASLLVCKMDSMDSVWYEVISVGN >ORUFI01G06830.1 pep chromosome:OR_W1943:1:4864504:4886401:-1 gene:ORUFI01G06830 transcript:ORUFI01G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHGGGNQMREPALPAAVGAELERLEARLGQLACAEARRQLAELGEPAAARVLRAIGEARQVRTLSGFIRHMANQERMKRNARGIPTAHSAACISGPCREEESISTPLYYNEVQMDAQTPNDMVEVGSPNQQMPLRLHDNGGSVGHIARVVPDLANPAVGSPYGRISSVLLQNQNCVEGYTPSRGMVSPASNQVGSPGHRMPSGLQRHMEIDSPIQPIVSTPERVSTPSPVRDLSRCVENMAGPSGSPPCPIWVMPQIPPAICPDTTNVLREVVSPQMLALGELEFRKIFMIFAYLSWNKKGVKPPLSTPKSSKIEDVLSVDSIRSLKSMSMAQFESRIWSTFGHDNISSSDRAKNLDSGPGMSKVYHCNVEIRGGTVVKIFKGPYIENRRTHLQKVLGDDNVLVVKFMEISSDTETDLSTYLEHYHKVAEEVYKDGGKENKMKEENREEENKKCTSSVRCYFVRTESGWNMDEPYILSGRTIGQARDLFMHIRTVLTLAKYMLRFALIVSKTITLDVDLSEVLVKLIDDEPCLDIVDCAASKLMGSNNTTEYPLLIQLRLFYNGSAVKGTVLVDKRLPPRTIHIRPSMLKIKTYPELSGVQSVNSLDIVSARNAKKSLSGVQSVNSFEIVSTSNRSGRTFTSNNLIALLHYGGVPEEFFMELLQTAIEEADNARFDYAGALNIAFNYADMEDSMPARMILSGIPLEESYLQSRLDFLSLLERKGIKNGKIPIDDCYYLMGTADPTGKLGPNEVCVILDYGQVSGDVLVYKYPGLHPGDIHVLKATYSSDIEKVVGNSKHAILFPTTGQRSLADEMANSDFDGDIYWVSLNPKLLEHFKPSKPWVPAITPNGTKQKGPEDFNESELERVLFHEFLKTRFAPSYARATAATNWLVYMDRLLTVSLDESEKKLIEKKMLKLVDLYYLALDAPKMGNKVNIPRDLMVKQYPHFMDRSPSYHSSSILGKIYDKAGDPKPLRSDNVQPTSISSLPCFAERDVPPAIKQLWQHRYNEYLADSSLLYAEEADEEEKKIKFQELYEKYKHLLYGASEFEETPRDLDDVFSEACAIYQIAYEKARSANNVARCGFAWKVAGRALCHFYTVKNEGNAVVCSLQLLRNFRFTKKYRNYINSNYNQNPNFTRN >ORUFI01G06830.2 pep chromosome:OR_W1943:1:4864504:4886401:-1 gene:ORUFI01G06830 transcript:ORUFI01G06830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHGGGNQMREPALPAAVGAELERLEARLGQLACAEARRQLAELGEPAAARVLRAIGEARQVRTLSGFIRHMANQERMKRNARGIPTAHSAACISGPCREEESISTPLYYNEVQMDAQTPNDMVEVGSPNQQMPLRLHDNGGSVGHIARVVPDLANPAVGSPYGRISSVLLQNQNCVEGYTPSRGMVSPASNQVAVQINFPTQPMAHALPNDVMMQVGSPGHRMPSGLQRHMEIDSPIQPIVSTPERVSTPSPVRDLSRCVENMAGPSGSPPCPIWVMPQIPPAICPDTTNVLREVVSPQMLALGELEFRKIFMIFAYLSWNKKGVKPPLSTPKSSKIEDVLSVDSIRSLKSMSMAQFESRIWSTFGHDNISSSDRAKNLDSGPGMSKVYHCNVEIRGGTVVKIFKGPYIENRRTHLQKVLGDDNVLVVKFMEISSDTETDLSTYLEHYHKVAEEVYKDGGKENKMKEENREEENKKCTSSVRCYFVRTESGWNMDEPYILSGRTIGQARDLFMHIRTVLTLAKYMLRFALIVSKTITLDVDLSEVLVKLIDDEPCLDIVDCAASKLMGSNNTTEYPLLIQLRLFYNGSAVKGTVLVDKRLPPRTIHIRPSMLKIKTYPELSGVQSVNSLDIVSARNAKKSLSGVQSVNSFEIVSTSNRSGRTFTSNNLIALLHYGGVPEEFFMELLQTAIEEADNARFDYAGALNIAFNYADMEDSMPARMILSGIPLEESYLQSRLDFLSLLERKGIKNGKIPIDDCYYLMGTADPTGKLGPNEVCVILDYGQVSGDVLVYKYPGLHPGDIHVLKATYSSDIEKVVGNSKHAILFPTTGQRSLADEMANSDFDGDIYWVSLNPKLLEHFKPSKPWVPAITPNGTKQKGPEDFNESELERVLFHEFLKTRFAPSYARATAATNWLVYMDRLLTVSLDESEKKLIEKKMLKLVDLYYLALDAPKMGNKVNIPRDLMVKQYPHFMDRSPSYHSSSILGKIYDKAGDPKPLRSDNVQPTSISSLPCFAERDVPPAIKQLWQHRYNEYLADSSLLYAEEADEEEKKIKFQELYEKYKHLLYGASEFEETPRDLDDVFSEACAIYQIAYEKARSANNVARCGFAWKVAGRALCHFYTVKNEGNAVVCSLQLLRNFRFTKKYRNYINSNYNQNPNFTRN >ORUFI01G06840.1 pep chromosome:OR_W1943:1:4891240:4897384:-1 gene:ORUFI01G06840 transcript:ORUFI01G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRGRGKRKQQQPPPPPTGPADARQPEGKQREEERKGMEGSDKGGAGDDGSPAPLPETVQIGNSPTYKLERKLGKGGFGQVYVGRRISSPTHGNRNSGANALEVALKFEHRTSKGCSYGAPYEWQVYNTLSGNHGVPRVHYKGKQGGFYIMVMDMLGPSLWDVWNNNSHSMSVEMVACIGIEAISILEKMHAKGYVHGDVKPENFLLGPPDTPEGKKLFLVDLGLATKWKDAGTGKHVEYDQRPDIFRGTVRYASVHAHLGRTGCRRDDLESLAYTLIFLLRGRLPWQGFQGENKGFLVCKKKMATSPESLCGIGPPPFRQFVEYVVNLKFDEEPNYAKCIALFDGIVGPNPDGRPLNTDGAQKVGQKRGRLTAAEDEEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADDRLAPHIQKGNEDDLWALIMDAGTGFTAQVHELSHYFLHKEWIMEQWERNYYITSLAGSNNGSSVVIMSTGTPYAQQSYKVSDSFPFKWINKKWKEGFYVTALATAGSRWAVVMSRNAGFTHQVVELDFLYPSEGIHQRWDSGYRITATAATCDQVALILSIPRRKPNDETQETLRTSAFPGQHVKEKWAKNLYLGSICYGRSVS >ORUFI01G06850.1 pep chromosome:OR_W1943:1:4901346:4903051:1 gene:ORUFI01G06850 transcript:ORUFI01G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDREEIGAVQMRVVASNGGWHGRGISDIDGGNTRGGGWQDNRGVEDGRIIEVTDGEIKRRRQDERRLIEMGATTGIELCRNGRRMDAARRSEQYET >ORUFI01G06860.1 pep chromosome:OR_W1943:1:4913424:4914137:-1 gene:ORUFI01G06860 transcript:ORUFI01G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGFGKRLMHVLRAVYHMLRRGLCRKRLMMDLHLLLGRGKLAGRALRDVLLAHQPHGGAAAVAVMGGGAGVARGGDSSSSPLSASFFHHNPRDVEFSCTTTPSYAPGVFPFRFRGRGGSRHAGGGASNYGGLDASAVARVFEMLNADAAAAAGAGGETPLSSMPGATPSPLLALSLGRSPAGTRQLRVTDSPFPVEPPEGAVDGRVDDKATDFIEWFRRQLLQQQASAAPTPDYRG >ORUFI01G06870.1 pep chromosome:OR_W1943:1:4922202:4922768:-1 gene:ORUFI01G06870 transcript:ORUFI01G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPAVEVERRTQSAAADRLHPTPPGPSRRANHRPASASPPSPRRRLPFHHPIPRPSPQKKRKGGEREIEKGERGRPSAPHPPSSPATAQRGAEARRRLMQREGALEAAQAVSGGPAGWCTTGGEEATREGGRCGSCSCGRLGRARRRRPRSRLGCAWRRRFGDELELGSGVVMTTAWADEDGGFGGR >ORUFI01G06880.1 pep chromosome:OR_W1943:1:4933685:4934335:1 gene:ORUFI01G06880 transcript:ORUFI01G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATATAAPEEEEGKKVMTRALEWEGCVVSPVPTATADEAWALLSDFLAFHRWHPRVAKCRPASPSAAASAAAAPPGSVVRYCEGTPRGDGAPPDWAHETLLEHDAARRFFRYEMNDNNMGFGVFVATFRVVPDAGGGDADAPGCELRWEFEGDPVRGTPKEALVARLQAGLDGMAARVQEHLMSARAADAAVIAAGGVEAADELNRDKYSIAV >ORUFI01G06890.1 pep chromosome:OR_W1943:1:4944683:4945261:1 gene:ORUFI01G06890 transcript:ORUFI01G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQRPVQPAGGGGGGGAPPEEQWRGAVEAALPGTPASAAWPHVASFYAAHRYLPGIDVCERVGDGGEDGGLLLVPGCVRHVASSAAGLWAREELLEAPDHAARRLRYAVVDSNMGFGRYVATLRVLDGGSGCRIAWAFECDAVRGEGWSEAALVARLAASVDGMAERVQQAVAAEAEARAGEEEEDGVAG >ORUFI01G06900.1 pep chromosome:OR_W1943:1:4948632:4952905:-1 gene:ORUFI01G06900 transcript:ORUFI01G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGEEMMMTSGATGRIVPVFRSVLSRRALLRLAVALHALLLWVFLLVGGGGWRRRRGDAGEGAEAGRAVRTRRRAAEEEDVRRRRALAEEVAMVEDADGEGARRWETFVVPGARRNALFCRVWAPAAAAAEMRGILVIIHGLNEHSGRYLHFAELLTSCGFGVYAMDWIGHGGSDGLHGYVPSLDYVVEDIDVLLGKIVLENPGVPCFLLGHSTGGAVVLKASLFPRIRAKLEGIILTSPALRVKPAHPIVGAVAPIFSLLAPKFQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLLHNLKKVTVPFMVLHGTADRVTDPLASQDLYNEASSRHKDLRLYDGFLHDLLFEPERDEIATDIIDWMERMLALQTV >ORUFI01G06910.1 pep chromosome:OR_W1943:1:4960036:4960650:-1 gene:ORUFI01G06910 transcript:ORUFI01G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGEGAVAAAAAAPAPAAAVARGKRAEKPRRISMEGLQRAMSDLALELTKKQQVADAAAGGGGGGEKLPEITEQQQVVVEEARCECCGMQEECTPEYARRVRERYCGRWVCGLCAAAASAEADRRCRHGGTGWTTATAEEALAAHMAVCGRFNRVGRANPVLMQTEAMREILRKRSRSNSPRDHGHGGLTRSSSCIPAITKD >ORUFI01G06920.1 pep chromosome:OR_W1943:1:4964530:4982911:1 gene:ORUFI01G06920 transcript:ORUFI01G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRLLSAPSHASAASSSPLPFAAAHPCRASWTPRPTSPPPPLSLLRATASMHPSPPPEGHSDQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGATVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPASIMYNILGEDEGEAGFTQAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKYSVKARLDKLLQRDAYDPKKVKPRAAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMYHYALSAKERGLEVIIAGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMPKGIPVATVAIGNAENAVRMLASRDPELGDKATECQHDLRDMWRPGEGVPTYKVKDVDEARRMSISVPEDQIDAAPGNCSYPKKHKQGEQELHVANDSQSSNKMEKLIIIKSSGSGSGDARHDDGGGEVETVRCACCGVAEECTAAYIGGVRAAFCGDWLCGLCSEAVKETARRDPAPGGGVAAALASHAAECRDFNATTRLNPTLSLAGSMRRIARRSFDKRTSASCQERRLGAAASKAVALARSASCDPRFCSLLAADVINGGAPPGDRCR >ORUFI01G06920.2 pep chromosome:OR_W1943:1:4964530:4982911:1 gene:ORUFI01G06920 transcript:ORUFI01G06920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRLLSAPSHASAASSSPLPFAAAHPCRASWTPRPTSPPPPLSLLRATASMHPSPPPEGHSDQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGATVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPASIMYNILGEDEGEAGFTQAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKYSVKARLDKLLQRDAYDPKKVKPRAAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMYHYALSAKERGLEVIIAGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELGDKATEYQHDLRDMVLEKAKRLEELGLERACRLTKLTYTQVPEDQIDAAPGNCSYPKKHKQGEQELHVANDSQSSNKMEKLIIIKSSGSGSGDARHDDGGGEVETVRCACCGVAEECTAAYIGGVRAAFCGDWLCGLCSEAVKETARRDPAPGGGVAAALASHAAECRDFNATTRLNPTLSLAGSMRRIARRSFDKRTSASCQERRLGAAASKAVALARSASCDPRFCSLLAADVINGGAPPGDRCR >ORUFI01G06920.3 pep chromosome:OR_W1943:1:4964530:4976297:1 gene:ORUFI01G06920 transcript:ORUFI01G06920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRLLSAPSHASAASSSPLPFAAAHPCRASWTPRPTSPPPPLSLLRATASMHPSPPPEGHSDQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGATVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPASIMYNILGEDEGEAGFTQAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKYSVKARLDKLLQRDAYDPKKVKPRAAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMYHYALSAKERGLEVIIAGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELGDKATEYQHDLRDMVLEKAKRLEELDRGLATEAQAQMEQFE >ORUFI01G06920.4 pep chromosome:OR_W1943:1:4964530:4979193:1 gene:ORUFI01G06920 transcript:ORUFI01G06920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRLLSAPSHASAASSSPLPFAAAHPCRASWTPRPTSPPPPLSLLRATASMHPSPPPEGHSDQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGATVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPASIMYNILGEDEGEAGFTQAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKYSVKARLDKLLQRDAYDPKKVKPRAAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMYHYALSAKERGLEVIIAGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELGDKATEYQHDLRDMVLEKAKRLEELGWEEYTELYLKKH >ORUFI01G06920.5 pep chromosome:OR_W1943:1:4976195:4982911:1 gene:ORUFI01G06920 transcript:ORUFI01G06920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASAGVVMVMLTKAMSSHRRRLLLLTQCDVLCRRYWIRGKRGKTKIGPDMTDAFTRMSKSIPVATVAIGNAENAGLLAVRMLASRDPELGDKATECQHDLRDMWRPGEGVPTYKVKDVDEARRMSISVPEDQIDAAPGNCSYPKKHKQGEQELHVANDSQSSNKMEKLIIIKSSGSGSGDARHDDGGGEVETVRCACCGVAEECTAAYIGGVRAAFCGDWLCGLCSEAVKETARRDPAPGGGVAAALASHAAECRDFNATTRLNPTLSLAGSMRRIARRSFDKRTSASCQERRLGAAASKAVALARSASCDPRFCSLLAADVINGGAPPGDRCR >ORUFI01G06920.6 pep chromosome:OR_W1943:1:4971030:4982911:1 gene:ORUFI01G06920 transcript:ORUFI01G06920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFILTYTQVPEDQIDAAPGNCSYPKKHKQGEQELHVANDSQSSNKMEKLIIIKSSGSGSGDARHDDGGGEVETVRCACCGVAEECTAAYIGGVRAAFCGDWLCGLCSEAVKETARRDPAPGGGVAAALASHAAECRDFNATTRLNPTLSLAGSMRRIARRSFDKRTSASCQERRLGAAASKAVALARSASCDPRFCSLLAADVINGGAPPGDRCR >ORUFI01G06930.1 pep chromosome:OR_W1943:1:4972384:4976307:-1 gene:ORUFI01G06930 transcript:ORUFI01G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATRTAPSALAPRWPSLGLQNSYVEQCLTSLQLVNPSGSGLVQAPEKAKVKQKVYELYKGTVERVTGPRTVSAFLDKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDASKQEEEEDIPSMDTLDIGKTEGIKSIPSYFSAGKKAEEEEDIPDMDTYEDSGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDKYLFIFLKFMASVIPTIEYDYTMDFDLGSTSR >ORUFI01G06940.1 pep chromosome:OR_W1943:1:4985017:4989704:-1 gene:ORUFI01G06940 transcript:ORUFI01G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAAAMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECPALANVDPKQIKVWFQNRRCREKQRKESSRLQALNRKLTAMNKLLMEENDRLQKQVSQLVYDHGRHGVAAAGMMRRVPAFPPQAAAAAGHQLATATDTSCESVVTSGHHHHQQQHNVVQPPPRDASPAGLMSIAEETLTEFLSKATGTAVEWLQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMDVVNLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLSSKQGGPSMPLVQPFIRDEMLPSGFLIRPSDVGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMVAQKISMAALRYLRQVAHEDTRSVITGWGRQPAALRALSQKLTRGFNEALNGLADDGWSVIESDGVDDVCISVNSSKVIGCNATFSSGLPIVSTGVLCAKASMLLQDVSPPSLLQFLREHRSQWADSNLDAFFASTMKPNFCNLPMSRLGGFSGQVILPLAHTFEPEEFLEVIKLGNASNYQDTLVHRDLFLLQMYNGVEESSAGTCMFRASSLRPSTHRSVMIPHCCLPDTSSPNCTLDLASTLEAATPRSRISGVNGGGGGCAAAAASSSSKAVMTIAFQFAFDGHLQDSVAAMARQYMRNIISSVQRIAVALSSSRLVPPGAGAAAAQLSPVTPEAATLPRWICQSYRFHFGAELIKSVDANSSNESILKAVWHHPSAILCCSLKTMPVFTFANQSGLDMLETTLVALQDMTLEKVFDDQGRKNLCTELPNIMEQGMACMEGGVCVSSVGRAASYEKAVAWKVVDGDGGGAHCICFMFINWTFL >ORUFI01G06940.2 pep chromosome:OR_W1943:1:4985017:4989704:-1 gene:ORUFI01G06940 transcript:ORUFI01G06940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAAAMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECPALANVDPKQIKVWFQNRRCREKQRKESSRLQALNRKLTAMNKLLMEENDRLQKQVSQLVYDHGRHGVAAAGMMRRVPAFPPQAAAAAGHQLATATDTSCESVVTSGHHHHQQQHNVVQPPPRDASPAGLMSIAEETLTEFLSKATGTAVEWLQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMDVVNLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLSSKQGGPSMPLVQPFIRDEMLPSGFLIRPSDVGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMVAQKISMALCKIQALRYLRQVAHEDTRSVITGWGRQPAALRALSQKLTRGFNEALNGLADDGWSVIESDGVDDVCISVNSSKVIGCNATFSSGLPIVSTGVLCAKASMLLQDVSPPSLLQFLREHRSQWADSNLDAFFASTMKPNFCNLPMSRLGGFSGQVILPLAHTFEPEEFLEVIKLGNASNYQDTLVHRDLFLLQMYNGVEESSAGTCMFRASSLRPSTHRSVMIPHCCLPDTSSPNCTLDLASTLEAATPRSRISGVNGGGGGCAAAAASSSSKAVMTIAFQFAFDGHLQDSVAAMARQYMRNIISSVQRIAVALSSSRLVPPGAGAAAAQLSPVTPEAATLPRWICQSYRFHFGAELIKSVDANSSNESILKAVWHHPSAILCCSLKTMPVFTFANQSGLDMLETTLVALQDMTLEKVFDDQGRKNLCTELPNIMEQGMACMEGGVCVSSVGRAASYEKAVAWKVVDGDGGGAHCICFMFINWTFL >ORUFI01G06940.3 pep chromosome:OR_W1943:1:4985017:4989704:-1 gene:ORUFI01G06940 transcript:ORUFI01G06940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAAAMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECPALANVDPKQIKVWFQNRRCREKQRKESSRLQALNRKLTAMNKLLMEENDRLQKQVSQLVYDHGRHGVAAAGMMRRVPAFPPQAAAAAGHQLATATDTSCESVVTSGHHHHQQQHNVVQPPPRDASPAGLMSIAEETLTEFLSKATGTAVEWLQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMDVVNVLPAGANGTIELLYMQARTHKPENSEPEPEILKLKFVFDLQLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLSSKQGGPSMPLVQPFIRDEMLPSGFLIRPSDVGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMVAQKISMAALRYLRQVAHEDTRSVITGWGRQPAALRALSQKLTRGFNEALNGLADDGWSVIESDGVDDVCISVNSSKVIGCNATFSSGLPIVSTGVLCAKASMLLQDVSPPSLLQFLREHRSQWADSNLDAFFASTMKPNFCNLPMSRLGGFSGQVILPLAHTFEPEEFLEVIKLGNASNYQDTLVHRDLFLLQMYNGVEESSAGTCMFRASSLRPSTHRSVMIPHCCLPDTSSPNCTLDLASTLEAATPRSRISGVNGGGGGCAAAAASSSSKAVMTIAFQFAFDGHLQDSVAAMARQYMRNIISSVQRIAVALSSSRLVPPGAGAAAAQLSPVTPEAATLPRWICQSYRFHFGAELIKSVDANSSNESILKAVWHHPSAILCCSLKTMPVFTFANQSGLDMLETTLVALQDMTLEKVFDDQGRKNLCTELPNIMEQGMACMEGGVCVSSVGRAASYEKAVAWKVVDGDGGGAHCICFMFINWTFL >ORUFI01G06940.4 pep chromosome:OR_W1943:1:4985017:4989704:-1 gene:ORUFI01G06940 transcript:ORUFI01G06940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAAAMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECPALANVDPKQIKVWFQNRRCREKQRKESSRLQALNRKLTAMNKLLMEENDRLQKQVSQLVYDHGRHGVAAAGMMRRVPAFPPQAAAAAGHQLATATDTSCESVVTSGHHHHQQQHNVVQPPPRDASPAGLMSIAEETLTEFLSKATGTAVEWLQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMDVVNVLPAGANGTIELLYMQARTHKPENSEPEPEILKLKFVFDLQLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLSSKQGGPSMPLVQPFIRDEMLPSGFLIRPSDVGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMVAQKISMAALRYLRQVAHEDTRSVITGWGRQPAALRALSQKLTRGFNEALNGLADDGWSVIESDGVDDVCISVNSSKVIGCNATFSSGLPIVSTGVLCAKASMLLQDVSPPSLLQFLREHRSQWADSNLDAFFASTMKPNFCNLPMSRLGGFSGQVILPLAHTFEPEEFLEVIKLGNASNYQDTLVHRDLFLLQDTSSPNCTLDLASTLEAATPRSRISGVNGGGGGCAAAAASSSSKAVMTIAFQFAFDGHLQDSVAAMARQYMRNIISSVQRIAVALSSSRLVPPGAGAAAAQLSPVTPEAATLPRWICQSYRFHFGAELIKSVDANSSNESILKAVWHHPSAILCCSLKTMPVFTFANQSGLDMLETTLVALQDMTLEKVFDDQGRKNLCTELPNIMEQGMACMEGGVCVSSVGRAASYEKAVAWKVVDGDGGGAHCICFMFINWTFL >ORUFI01G06950.1 pep chromosome:OR_W1943:1:4997196:5000888:-1 gene:ORUFI01G06950 transcript:ORUFI01G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATATEASAPAASRRSHPFPWLDLAISEPYYFLHLVAFFSYFAARSTVPSADDGLLLRREIQAVLTFLVLFVVKIVKEENWETCIADSLLYAKGLLLAVTLVIDYWLTVSYLLGFVVIYAVAQQPPYDGLAVSEHEILD >ORUFI01G06960.1 pep chromosome:OR_W1943:1:5001135:5004595:1 gene:ORUFI01G06960 transcript:ORUFI01G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPPPPHRGGLFSSTPLRSNGSPSPAAWWSSSREEMAREGSPVDGVVQPQQQPSPTTASGQQSQQQKVTLITLPPPREVARPEMPKDSTPSAGRVDEEEWVTVFGFLPGDTNLVLREFEKCGIVLRHVPGPRDANWMHILYQSRHDAQKALAKHGQQLNSVLIIGVKQVDPWQRQYLNEKTNENFQVGATDPFPSQHVAPSSFTTRNALAPLPSNSMPNGIGNGSGRGASGAIASPTKSVLSKVMDLMFGL >ORUFI01G06970.1 pep chromosome:OR_W1943:1:5013484:5014170:-1 gene:ORUFI01G06970 transcript:ORUFI01G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEQSSESSSTASTSSCGKKQQVAGKRKREDVGGGGEQAAAVAYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPCPEMAARAHDVAALSIKGARAVLNFPDLAPALPRPASLAPRDVQAAAALAAVMHHHKHPSSSTSTSSPPAAPPPDEHHPRHEPQQPESSREDDQQQQPAAAAAAQMAVAELVFDELAPLWVEDVVEFGTSDHCWTAYDALDPIGFQPLLWEY >ORUFI01G06980.1 pep chromosome:OR_W1943:1:5033488:5037075:1 gene:ORUFI01G06980 transcript:ORUFI01G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSPARHLGVTTGVKGFVRFWGIMDGSECPAWKRATKPKAKRGRPRKDQNVQTPNTAGESCRVQLANSPGPVTRRMLAMAQAGEGSSQVIQPSPTRAPEAKRLTPRKKLKM >ORUFI01G06990.1 pep chromosome:OR_W1943:1:5037785:5039272:1 gene:ORUFI01G06990 transcript:ORUFI01G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKCGNCDCADKSQCVKKGTSYGVVIVEAEKSHFEEVAAGEENGGCKCGTSCSCTDCKCGK >ORUFI01G07000.1 pep chromosome:OR_W1943:1:5049785:5050522:-1 gene:ORUFI01G07000 transcript:ORUFI01G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPGNGRRGATGQRETRHARRRGLTAAGGGVGDDVGDDAETGGRLGRLRGGQQRLVPRSRRRREVGARAGDGAETAAGRGDVAVGGQRWLDPSARRQRLWRRGGHDDDGAAAARTLSRLVPPWLDPAIHDRISPRWAPAGLLAGISLATSLLPSRGLLWPVEVWWLKGGNAWMWSWRDDGLCWREAGMGLDVRDGLPRCWPSVFPFLRFPPFFGRTLFWSWGTLGGGRRLRFAVGVCGGLIAF >ORUFI01G07010.1 pep chromosome:OR_W1943:1:5052552:5058840:1 gene:ORUFI01G07010 transcript:ORUFI01G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCGRAAAVDDGRCGAAAEAAVAAGKGVGMGVGMVRREGEARKVQARVGGREEAAERRRAAAAAMAMAACRVRSPVPRAVEGEQVAAGWPPWLVSVAAEAVRGWVPRRAESFEKLDKIGQGTYSNVYRARDLEKEKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGVKFTESQVKCYMQQLLCGLEHCHSRHILHRDIKGSNLLIDNRGILKIADFGLASFFDPEQRHPLTSRVVTLWYRPPELLLGATNYGVAVDLWSSGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQHPYARRVSETFKDFPPPAVALVDVLLSVDPADRGTASSALQSEFFATKPYACNPSSLPRYPPSKEFDAKRREEEARRQGIAGGKQHKYDPERRTRESRAVPAPDANAELVSSLQKRQNQVNAKSRSEMFNPSKEDSASGFPIEPPRPTHPAESSQDSQRVYTRTFHSGPLVNQNKPSKAGRSENGDIHLSGVANASDFRVVVSTRSNIRSDNGNGTVVTQAEAFPHERRLSESINERFSSSGKYDQVFQQKDDRSSRVDGAIGYGSKGNKIHHSGPLICPSGNVDQMLKENDRQIQEVFRRTRVEKSRARRGHGHSGDGHHQFGLRPSDFGAAPVFPSSRSSYRAMQQ >ORUFI01G07020.1 pep chromosome:OR_W1943:1:5066108:5068647:1 gene:ORUFI01G07020 transcript:ORUFI01G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTMGGGGGGGRGGGDHHSPTSGKTPRGDYSALATSTPTKQSPRWPSPSAAASSVLPSGAAALLESRWALPAAFGVFLFLAVTLAVATSSLSVAASLPAFFPAAKQPLPPPSPPPGAGVARLAYLVSGSKGDLDRLWRTLHALYHPRNLYVVHLDREAAVSERLELAARVANSSMFRRVGNVEVIRRSNMVTYRGPTMVANTLHACAVLLRRSRDWDWFINLSASDYPLMTQDDILHVLSSIPRNTNFIEHTGYLGWKEGQRARPVIVDPGLYMARKQDIFYVEQQRELPTAFKLFTGSAWVALSRDFAEYVVWGWDNLPRTLLMYYANFVSSPEGYFQTVLCNAPRFVPTAANHDLHHIQWDTPPRQHPHPLALADRPAMERSGAPFARKFPRDDPVLDAIDADLLGGRGRANGNGTAGAGGGDMFVRGGWCVGAGGGCDEVGDDWVLRPGPGAARLDKLMDRIVRSEAFVNSQCK >ORUFI01G07030.1 pep chromosome:OR_W1943:1:5069854:5072057:-1 gene:ORUFI01G07030 transcript:ORUFI01G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERENSWLRRTKFSHTVYTRLDHQRAPIAPLGRDVEQKLQRFISMGKSVSMPVNRDEDAAAAFKHSVSLPTARSSLQIDKEKANKQKADFDIPSSPPVNSVNSKGLKARSLVKSPSSAMLLSYLNKAHPNRDSNLKKTDRPQHKLRSKSPLPNVAPSDVFREARASSRRFTSPPPKRRGSERSIYGKSFDRQLSDMSQSPDLCSTPVSSDKHKSLKDSSWTRRYFDNGGRRRVSAVDATEVRRNRGVSMAQAVQTTVDWTLDPSKLLVGHKFASGAYSRLYKGLYDDKPVAIKFIRQPDDDDNGKMAAKLEKQYNSEVNALSHLYHKNVIKLVAAYKCPPVFYIITEFLPGGSLRSYLNSTEHHPIPLEKIISIALDVACGLEYIHSQGVVHRDIKPENILFDENFCVKIADFGIACEESMCDVLVEDEGTYRWMAPEMIKRKAYNRKVDVYSFGLLLWEMISGRIPFDDLTPLQAAYAVATRHARPVIPPECPMALRPLIEQCCSLQPEKRPDFWQIVKILEEFHSVLSQGGCLDSLKSSNSQDQKKRLLHWIQKLKPSHST >ORUFI01G07040.1 pep chromosome:OR_W1943:1:5089188:5089541:-1 gene:ORUFI01G07040 transcript:ORUFI01G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLKMLCPLLPAAAAFAAGGGGGFSPSPRGFSPRGGGMEVLSPSMLDFPSLALGSPVTPLPPLPGSDEAAAAEDRAIAEKGFYLHPSPRGNAGAAGELQPPPRLLPLFPLQSPGRQ >ORUFI01G07050.1 pep chromosome:OR_W1943:1:5099280:5099720:-1 gene:ORUFI01G07050 transcript:ORUFI01G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLRGVPVLIALVVMAAVALLPAAAADDMGGVVASASGRRKMAAAAATCDGAVGECDVDDEEEVEEMALMGAAGAASGETLMRRSLAARRPTNRYVSYAALDANKVPCNKRGQSYYQNCASQKAANPYRRGCSAITRCARNTN >ORUFI01G07060.1 pep chromosome:OR_W1943:1:5101968:5102864:-1 gene:ORUFI01G07060 transcript:ORUFI01G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVAGVAAAAAAVAAAVVVLAAASCCEARDFYVGGRDGWTTNPAEPYNRWAERNRFQVNDRLVFRYNKEDSVVVVSQGHYDGCNATDPLLRDAGGDSTFVFDSSGPFFFISGDPARCQAGERLIVVVLAVRGNATATPTTPSPPPPPTVPAAPTPRPSPPPPAAGTNGTARAPSPPVPAPAPAGSPPPPPPPPAGGSFTAPSPAGGMNFTAPAPGTNGTAAPPPRPSSAPSVRGGALLMLLVVATAGAMALV >ORUFI01G07070.1 pep chromosome:OR_W1943:1:5108212:5109292:-1 gene:ORUFI01G07070 transcript:ORUFI01G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARMKNTTMGCAFLLAAFAMAAAFVPVAESRTTPVEKTTTTQAEDGVKKPDCVPAFDPRSFPGHGGTTTPTPIPGHHGGGGSSGTTPSHGGGPSGGALPSPSHGGAAPSHGGGYGASPPVTPSPGGGYGGGSPAPSHGGGAYGSSPSTPSGGGSSPTPSHGGGAYGGGGGGAPATPASHDGHGLIPTTPGTCDYWRSHPMEMWSALGRWPSSVGHFFGSGSGGAGTGMSIQDALANTRGDGAGELMREGAAALLNSMTRSGFPYTAEQVRDAFAAAAAGGSDGAAAAQAAAFKKANEGGRA >ORUFI01G07080.1 pep chromosome:OR_W1943:1:5117573:5126634:-1 gene:ORUFI01G07080 transcript:ORUFI01G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMMTDLSCGPSSMTELTAAAAPAGSGSSAAVAAGSSEKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKSTVERYKKANSDTSNSGTVAEVNAQHYQQESSKLRQQISSLQNANRTIVGDSINTMGLKDLKQVENRLEKGIAKIRARKNELLYAEVEYMQKREVELQNDNMYLRSKVVENERGQQPLNMMGAASTSEYDHMELSSSEHHAAASALRPSAAANYPSTRAAAGLQLVLMKSEGVDTRGYVYHSTFVL >ORUFI01G07080.2 pep chromosome:OR_W1943:1:5117861:5126634:-1 gene:ORUFI01G07080 transcript:ORUFI01G07080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMMTDLSCGPSSMTELTAAAAPAGSGSSAAVAAGSSEKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKSTVERYKKANSDTSNSGTVAEVNAQHYQQESSKLRQQISSLQNANRTIVGDSINTMGLKDLKQVENRLEKGIAKIRARKNELLYAEVEYMQKREVELQNDNMYLRSKVVENERGQQPLNMMGAASTSEYDHMELSSSEHHAAASALRPSAAANYPSTRAAAGLQLVLMKSEGVDTRGYVTA >ORUFI01G07080.3 pep chromosome:OR_W1943:1:5118342:5126634:-1 gene:ORUFI01G07080 transcript:ORUFI01G07080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMMTDLSCGPSSMTELTAAAAPAGSGSSAAVAAGSSEKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKSTVERYKKANSDTSNSGTVAEVNAQHYQQESSKLRQQISSLQNANRTIVGDSINTMGLKDLKQVENRLEKGIAKIRARKNELLYAEVEYMQKREVELQNDNMYLRSKVVENERGQQPLNMMGAASTSEYDHMVNNPYDSRNFLQVNIMQQPQHYAHQLQPTTLQLGQQPAFN >ORUFI01G07090.1 pep chromosome:OR_W1943:1:5138869:5159966:-1 gene:ORUFI01G07090 transcript:ORUFI01G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGDKHIAAESCSSHMPETATKEASKSTDHEDSLELTSTQLSSNLFDLNEALPESTDLNYPYVSNPEEPNHEPRQHEPLDSDVQVIDEGRQNQQDLSLDSHGLQCQSVPESGLERARSSISPGTFLHGEFMEVSDTFFVGSSRKFAAAGAERSASMAVVAGFSIREYTASMRGAAGAEGRRLYGLGAGDLPPMEARRFRWWADELAAAPPLPPRSPSPSPSPPPPPPTKPSRRTLGKARAPKKRSISDLFAAAPPLALPSSDSGGGGNDDDDDDEALCAIMRRAREKKRKRRLQEEEEEAAGAASAPVAAAAETRDSEGNFTRKEAHDKTNLPGGLDTPQASRRPDGVHHARTDEERSPDSKRRKKVKITNLDKNNNKKIDKKRYSESKRATNKVGKQHDLKKMLPLHSILKKYTKHTSVKMVKEKHGDPKGTEVIEVCRKSVKRVKFSEVNDVLGINKQNICKLFSDALASSSSSSTXXSPGTFLHGEFMEVSDTFFVGSSRKLTELAESHGDCSSGSVKDAMTKGKSPCALPNHTVQDSFQQHQRYYAFNLNLGGSQPSNEGEVPPQDCNASARAASSSHAEMGVQQGCRPAPGQTVRLMGKDLAVSTTRGEYVSGTHSYTEDHPTKLFLELPRQGRPYLSLQAQSVPNVSANSASPSQSHIRYTAPQNLSHSFPTANALSGDRLQYDDRFSYLSGSQHHGNVLLGSPSLTSHGSAALRQNLPYVWSRYSDPSSSSTASPSAPILPTTAQHLDRRFTPTTLQALHSLVQDGIVEEARPRRIVEEASGSRRDAACPSRNAENVAARAAIPEMPSSSSGGRHARRTGPMKLTPGAKHILMPSDTTGDGTSMPVYSCVSFGSKSGNASATRNMGAGLFAIESGREMAGREDGAAAGAMEEGQDSKEVKCENSEDGSNTSRRCQGNDMISVQFMQKILTEILGTYFMIFAGCGAVVVNLSTGGAVTFPGICAVWGLVITVLVYSVGHISGTHLNPTVPSYVVAQVLGSTMASLTLRVVFGGGGSARGEHLFLGTTPAGSMAQAAALEFVISFFLMFVVSSVATDNRAIGELAGLAVGATVAVNRSIISFLRFVEKTSQTRRRRRRQQQQKDEALDKTNLPGGLDTPQASRRPDGVHHARTDEERSPDSKRRKKVKITNLDKNNNKKIDKKRYSESKRATNKVGKQHDLKKMLPLHSILKKYTRHTSVKMVKEKHGDPKGTEVIEVCRKSVKRVKFSEVDDVLGINKQNICKLFSDALASSSSSSTXIARHIFAR >ORUFI01G07090.2 pep chromosome:OR_W1943:1:5149897:5159966:-1 gene:ORUFI01G07090 transcript:ORUFI01G07090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGDKHIAAESCSSHMPETATKEASKSTDHEDSLELTSTQLSSNLFDLNEALPESTDLNYPYVSNPEEPNHEPRQHEPLDSDVQVIDEGRQNQQDLSLDSHGLQCQSVPESGLERARSSISPGTFLHGEFMEVSDTFFVGSSRKFAAAGAERSASMAVVAGFSIREYTASMRGAAGAEGRRLYGLGAGDLPPMEARRFRWWADELAAAPPLPPRSPSPSPSPPPPPPTKPSRRTLGKARAPKKRSISDLFAAAPPLALPSSDSGGGGNDDDDDDEALCAIMRRAREKKRKRRLQEEEEEAAGAASAPVAAAAETRDSEGNFTRKEAHDKTNLPGGLDTPQASRRPDGVHHARTDEERSPDSKRRKKVKITNLDKNNNKKIDKKRYSESKRATNKVGKQHDLKKMLPLHSILKKYTKHTSVKMVKEKHGDPKGTEVIEVCRKSVKRVKFSEVNDVLGINKQNICKLFSDALASSSSSSTXXSPGTFLHGEFMEVSDTFFVGSSRKLTELAESHGDCSSGSVKDAMTKGKSPCALPNHTVQDSFQQHQRYYAFNLNLGGSQPSNEGEVPPQDCNASARAASSSHAEMGVQQGCRPAPGQTVRLMGKDLAVSTTRGEYVSGTHSYTEDHPTKLFLELPRQGRPYLSLQAQSVPNVSANSASPSQSHIRYTAPQNLSHSFPTANALSGDRLQYDDRFSYLSGSQHHGNVLLGSPSLTSHGSAALRQNLPYVWSRYSDPSSSSTASPSAPILPTTAQHLDRRFTPTTLQALHSLVQDGIVEEARPRRIVEEASGSRRDAACPSRNAENVAARAAIPEMPSSSSGGRHARRTGPMKLTPGAKHILMPSDTTGDGTSMPVYSCVSFGSKSGNASATRNMGAGLFAIESGREMAGREDGAAAGAMEEGQDSKEVKCENSEDGSNTSRRCQGNDMISVQFMQKILTEILGTYFMIFAGCGAVVVNLSTGGAVTFPGICAVWGLVITVLVYSVGHISGTHLNPTVTVAFAT >ORUFI01G07090.3 pep chromosome:OR_W1943:1:5150936:5159966:-1 gene:ORUFI01G07090 transcript:ORUFI01G07090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGDKHIAAESCSSHMPETATKEASKSTDHEDSLELTSTQLSSNLFDLNEALPESTDLNYPYVSNPEEPNHEPRQHEPLDSDVQVIDEGRQNQQDLSLDSHGLQCQSVPESGLERARSSISPGTFLHGEFMEVSDTFFVGSSRKFAAAGAERSASMAVVAGFSIREYTASMRGAAGAEGRRLYGLGAGDLPPMEARRFRWWADELAAAPPLPPRSPSPSPSPPPPPPTKPSRRTLGKARAPKKRSISDLFAAAPPLALPSSDSGGGGNDDDDDDEALCAIMRRAREKKRKRRLQEEEEEAAGAASAPVAAAAETRDSEGNFTRKEAHDKTNLPGGLDTPQASRRPDGVHHARTDEERSPDSKRRKKVKITNLDKNNNKKIDKKRYSESKRATNKVGKQHDLKKMLPLHSILKKYTKHTSVKMVKEKHGDPKGTEVIEVCRKSVKRVKFSEVNDVLGINKQNICKLFSDALASSSSSSTXXSPGTFLHGEFMEVSDTFFVGSSRKLTELAESHGDCSSGSVKDAMTKGKSPCALPNHTVQDSFQQHQRYYAFNLNLGGSQPSNEGEVPPQDCNASARAASSSHAEMGVQQGCRPAPGQTVRLMGKDLAVSTTRGEYVSGTHSYTEDHPTKLFLELPRQGRPYLSLQAQSVPNVSANSASPSQSHIRYTAPQNLSHSFPTANALSGDRLQYDDRFSYLSGSQHHGNVLLGSPSLTSHGSAALRQNLPYVWSRYSDPSSSSTASPSAPILPTTAQHLDRRFTPTTLQALHSLVQDGIVEEARPRRIVEEASGSRRDAACPSRNAENVAARAAIPEMPSSSSGGRHARRTGPMKLTPGAKHILMPSDTTGDGTSMPVYSCVSFGSKSGNASATRNMGAGLLKMGFVFAHGCLHQHSCRVGGQSKKMMLLLLHSAIKIPAHNAAGLD >ORUFI01G07090.4 pep chromosome:OR_W1943:1:5138869:5150870:-1 gene:ORUFI01G07090 transcript:ORUFI01G07090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREDGAAAGAMEEGQDSKEVKCENSEDGSNTSRRCQGNDMISVQFMQKILTEILGTYFMIFAGCGAVVVNLSTGGAVTFPGICAVWGLVITVLVYSVGHISGTHLNPTVTVAFATNCDLVPSYVVAQVLGSTMASLTLRVVFGGGGSARGEHLFLGTTPAGSMAQAAALEFVISFFLMFVVSSVATDNRAIGELAGLAVGATVAVNRSIISFLRFVEKTSQTRRRRRRQQQQKDEALDKTNLPGGLDTPQASRRPDGVHHARTDEERSPDSKRRKKVKITNLDKNNNKKIDKKRYSESKRATNKVGKQHDLKKMLPLHSILKKYTRHTSVKMVKEKHGDPKGTEVIEVCRKSVKRVKFSEVDDVLGINKQNICKLFSDALASSSSSSTXIARHIFAR >ORUFI01G07090.5 pep chromosome:OR_W1943:1:5138869:5149894:-1 gene:ORUFI01G07090 transcript:ORUFI01G07090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVEAGLISRGANDGSDYVSVPSYVVAQVLGSTMASLTLRVVFGGGGSARGEHLFLGTTPAGSMAQAAALEFVISFFLMFVVSSVATDNRAIGELAGLAVGATVAVNRSIISFLRFVEKTSQTRRRRRRQQQQKDEALDKTNLPGGLDTPQASRRPDGVHHARTDEERSPDSKRRKKVKITNLDKNNNKKIDKKRYSESKRATNKVGKQHDLKKMLPLHSILKKYTRHTSVKMVKEKHGDPKGTEVIEVCRKSVKRVKFSEVDDVLGINKQNICKLFSDALASSSSSSTXIARHIFAR >ORUFI01G07090.6 pep chromosome:OR_W1943:1:5138869:5150870:-1 gene:ORUFI01G07090 transcript:ORUFI01G07090.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREDGAAAGAMEEGQDSKEVKCENSEDGSNTSRRCQGNDMISVQFMQKILTEILGTYFMIFAGCGAVVVNLSTGGAVTFPGICAVWGLVITVLVYSVGHISGTHLNPTVPSYVVAQVLGSTMASLTLRVVFGGGGSARGEHLFLGTTPAGSMAQAAALEFVISFFLMFVVSSVATDNRAIGELAGLAVGATVAVNRSIISFLRFVEKTSQTRRRRRRQQQQKDEALDKTNLPGGLDTPQASRRPDGVHHARTDEERSPDSKRRKKVKITNLDKNNNKKIDKKRYSESKRATNKVGKQHDLKKMLPLHSILKKYTRHTSVKMVKEKHGDPKGTEVIEVCRKSVKRVKFSEVDDVLGINKQNICKLFSDALASSSSSSTXIARHIFAR >ORUFI01G07090.7 pep chromosome:OR_W1943:1:5137113:5138864:-1 gene:ORUFI01G07090 transcript:ORUFI01G07090.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDTFFVGSSRKLTGELAESHGDYAFNLNLGGSQPSNEGEVPPQDCNASTGVASSSHSEMGVQQECRPAAGQTVRLMGKDLSVSTTRGEYVSGTHFYTEDHPTKLFLELPRQGRPYLSLQAQSVPNVSANSASPSQSHIRYTAPQNLSHSFPTTNALSGDRLRYDDRFSYLSGSQHHGNVLLGSPSLTSDANLPRSYGVVSAGSSVHPHNSPSFAFTHPRRMIVEEASGSRRDAACPSRNAENVAARAAIPEMQAQRTGLTFLKCQAQRTGLMKLTPGAKHILMPSDTTGDGTSMPVYSCVSFGSRRGNASATRNMGAELYKS >ORUFI01G07090.8 pep chromosome:OR_W1943:1:5149897:5150870:-1 gene:ORUFI01G07090 transcript:ORUFI01G07090.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREDGAAAGAMEEGQDSKEVKCENSEDGSNTSRRCQGNDMISVQFMQKILTEILGTYFMIFAGCGAVVVNLSTGGAVTFPGICAVWGLVITVLVYSVGHISGTHLNPTVTVAFAT >ORUFI01G07100.1 pep chromosome:OR_W1943:1:5195113:5196840:1 gene:ORUFI01G07100 transcript:ORUFI01G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCSACEAAEARVLCCADDAALCARCDLHVHAANRLAGKHHRLPLLSSSSSSSSSPSPPTCDICQDAHAYFFCVEDRALLCLACDVAVHTANALVSAHRRFLLTGVHVGLDAAADDDDKHPPHPLSSSLPRNTAPPPQPPPKRSPSPIYSDDDVIDWATGGHDIGITGNLPDWSLVDEQFNTPALPPVVTKTPPKRASRGPVTAGTAAAVFGNLAGGSPDWPLNEFFGFADFSSGFGFAENGTSKADSGKIGSMDGSPNGGRSSSSSSSAAAAATFWTSGSMVFTGPCRSSPRRPRRQGSTGNGTRATVAAPPTPARCSCRTSPRRRTPSVASPPPAPVTIP >ORUFI01G07110.1 pep chromosome:OR_W1943:1:5199482:5210875:1 gene:ORUFI01G07110 transcript:ORUFI01G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANSLVLGRVIGDVVDLFSPEVTLRVMYNGVRVVNGEDLRPSAVSARPSVEVGGDLHQFYTIVMVDPDAPNPSNPTLREYLHWLVTDIPGTTDANYGREVVCYESPRPAAGIHRVAVVLFRQMARGGVDQPPLLRHNFSTRGFADDHALAGGRPRRPPLPATVTA >ORUFI01G07120.1 pep chromosome:OR_W1943:1:5218867:5223494:-1 gene:ORUFI01G07120 transcript:ORUFI01G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVDGVSPPWSKEAVVHLLSAHQPCLLKNGLEETETTRASGLPNLRNDSINRVLIESLVERLIALPLSQGERWLGERMEQQQEPWKKGKTARSSEDGSSSSSRCHGNDVISVQFMQKILAEILGTYFMIFAGCGAVVVNQSTGGAVTFPGICAVWGLVVTVLVYSVSHISGAHFNPAVTVAFATCGRFRWKQVPSYVVAQVLGSTMASLTLRVVFVGGGGGARGEHLFFGTTPAGSMAQAAALEFVISFFLMFVVSGVATDNRAIGELAGLAVGATVAVNVLFAGPVTGASMNPARSLGPAMVAGRYGGVWVYVAAPVSGTVCGAWAYNLLRFTDKPLRDIANTASFLRRSSRRS >ORUFI01G07130.1 pep chromosome:OR_W1943:1:5223863:5226177:-1 gene:ORUFI01G07130 transcript:ORUFI01G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPLTGLEQTRRRGGGMRVNKRRSGGNTVARQPPLTPLRPPLPTHNLLPLLRLRVISSRFTLLSSSPRRREQGRGGRRGEKVGVWVGMATGGGGGGGGMGGGGRMPTWRERENNKRRERRRRAIAAKIFAGLRAHGGYKLPKHCDNNEVLKALCNEAGWVVEPDGTTYRKGYKPPERMEVIGCSVSPSPCSSYQPSPRASYNASPTSSSFPSGASSPFLPHPNNMANGVDGNPILPWLKTLSNSPSSKKHPQLPPLLIHGGSISAPVTPPLSSPTARTPRMKTDWDESNVQPTWTGSNSPCVVNTTPPSPGRTMLPDPAWLAGIQISSTSPSSPTFSLVSSNPFSVFKDAIPVGNNSSRMCTPGQSGTCSPAIPGMAPHPDIHMMDAVSDEFAFGSSTNGGHQAAGLVRAWEGERIHEDSGSDDLELTLGSSRTRAAA >ORUFI01G07130.2 pep chromosome:OR_W1943:1:5223863:5226177:-1 gene:ORUFI01G07130 transcript:ORUFI01G07130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPLTGLEQTRRRGGGMRVNKRRSGGNTVARQPPLTPLRPPLPTHNLLPLLRLRVISSRFTLLSSSPRRREQGRGGRRGEKVGVWVGMATGGGGGGGGMGGGGVGGGAGAAGVGVGGRMPTWRERENNKRRERRRRAIAAKIFAGLRAHGGYKLPKHCDNNEVLKALCNEAGWVVEPDGTTYRKGYKPPERMEVIGCSVSPSPCSSYQPSPRASYNASPTSSSFPSGASSPFLPHPNNMANGVDGNPILPWLKTLSNSPSSKKHPQLPPLLIHGGSISAPVTPPLSSPTARTPRMKTDWDESNVQPTWTGSNSPCVVNTTPPSPGRTMLPDPAWLAGIQISSTSPSSPTFSLVSSNPFSVFKDAIPVGNNSSRMCTPGQSGTCSPAIPGMAPHPDIHMMDAVSDEFAFGSSTNGGHQAAGLVRAWEGERIHEDSGSDDLELTLGSSRTRAAA >ORUFI01G07140.1 pep chromosome:OR_W1943:1:5230770:5234078:-1 gene:ORUFI01G07140 transcript:ORUFI01G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAARRLSSAAAGGDAARPGTLSSLFAPRSSPKPRAPRPEPGDGPPQERRKPRPRPRPRSRQPWGEEAAALLRRFYDGGYLPGPDLSVAPHVLSPDVVKGAAERFGHDHQVVAKWLSGSDLKKVALFGCPSVERRTVFASKRLRAFFKIQEAKICGSCKMRNSCQFVNQEVSRHDKVILSDTMRILTLFVLDTCPQQLKGEEQFGFRLTGLLLCMAPLL >ORUFI01G07140.2 pep chromosome:OR_W1943:1:5232132:5234078:-1 gene:ORUFI01G07140 transcript:ORUFI01G07140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAARRLSSAAAGGDAARPGTLSSLFAPRSSPKPRAPRPEPGDGPPQERRKPRPRPRPRSRQPWGEEAAALLRRFYDGGYLPGPDLSVAPHVLSPDVVKGAAERFGHDHQVVAKWLSGSDLKKVALFGCPSVERRTVFASKRLRAFFKIQEAKICGSCKMRNSCQFVNQEVSRHDKVILSDTMRILTLFVLDTCPQQLKVTPELKASICKLVKDTINLSQ >ORUFI01G07150.1 pep chromosome:OR_W1943:1:5242379:5242705:1 gene:ORUFI01G07150 transcript:ORUFI01G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTAAAAVLLLVFLLLTSSAPFLQARMMPGDGGEIVQASVTMMMGSSAGATAAAVAGRRSTASSSPSEEEMLLPRRPPLPLSPPAATTGARSSRMLGSVPSPGVGH >ORUFI01G07160.1 pep chromosome:OR_W1943:1:5250327:5250653:-1 gene:ORUFI01G07160 transcript:ORUFI01G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRRMVSASISASTVVRPPKVEEPRRAVDALITASAESGCHKATDDGGGGAKARDGHSRCRPLVDSRRKERGRKGWGRRSDGGERGRRRCGRRREVMEKECGVQRK >ORUFI01G07170.1 pep chromosome:OR_W1943:1:5251905:5252216:-1 gene:ORUFI01G07170 transcript:ORUFI01G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTARVLATLAIAAASVLVLVFMALTVASTAATAGVRRSEQHYSASRTAAAAAAAAAASSSSSPSAAAAAVPVSSTGGQFVAVAKTGRPPLPPSGPSDGGHS >ORUFI01G07180.1 pep chromosome:OR_W1943:1:5256581:5258131:-1 gene:ORUFI01G07180 transcript:ORUFI01G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAHHPLPHQQKAANLARTFTKLLRRKRADAVAAATAVGEPGVPDAAAASVVGDEYECSVEAAAAGVPSLSKLKLSGNLGAAYSLDAFFRNAAEKKAAGVAGVAVAQTSPQVAPDVAKDSLLANLFAGVSAVKAAYAQLQLAQFPYDAEAIQAADAALVAELSRLSDTKRRYLRDPAAAAKNAAAAGHTALYAHAEEQRHLLKTYQITARKLEGELRAKEAEADRARSSLTAELRAERAMEARLHPGRTLASLDELHLSGLNPTHFLTALRHTVKSIRSFSKSMLNSMQSAGWDLAAAAAAVHPGVQLRRAGDTKFVFESYVAMKMFANFHRRDFNLSFLDEREFYDRRRFFEEFTELKAAPASAFLDARNARWGGFGKFLRAKYLSLVHARMETAFFGRLEQRGIVSAGPGFPESSWFADFAEMARRVWLLHCLFYAFDGGAEEDGASIFQVRTGARFSEVYMESVSDGRSDEAAAAAAEERVVGFTVVPGFRVGRTMIQCRVYLSRPGRRP >ORUFI01G07190.1 pep chromosome:OR_W1943:1:5267320:5272231:-1 gene:ORUFI01G07190 transcript:ORUFI01G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWDVIVPLQRFSCMRAKRALKLAGHDREQQTGSILPGWIPSMADACMSKHESDHHKRRDDGATLTTQTLTTHAHSPL >ORUFI01G07200.1 pep chromosome:OR_W1943:1:5275801:5280754:-1 gene:ORUFI01G07200 transcript:ORUFI01G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADPALLDLIPQIHALFADPLRVISYKWLSRNFSVSSNDSKRLLQEFVNKHGADLKVIYSVSGWLKKNPTNYCVKLTSGHKLEEARQEFKDSCSVQVYSIQACIPKDTAVLWNPEFVQAEELFNRPFDEENCLRDNRFCGVLNSFVKRTANGKLVSSLLPKPINSVAAAAPLKTSSAPKEQSAKGQQQGLPGSSSPKKGTSNKAEKDISSVLDKATNAPVVKEPSIALQGNKNKAQNGKALPSNGGSLATMWGRASAKPKTPATTNPTVLPSVAVTADAQICAKEEANADSSDDEQAVHYKRGSSANNRKRRAVFDLSDDDEDDNVVAIASPEPPEQCITNPIDEVAQESNPKQENLENKQEVEKDVKCCIGRTESPECKTKSSNTVSHSGITLKEKNNGPPPNDNKQDHAAETASNSPKRRKVLKTRIDERGREVTEVVWEGEASAGDKAEKNVSDTGAANRATLSSKPQPVAKTEKSNASSKTAGNKKPAKAGTKQGNIMSFFNKMLLDEYGNDMACEVCTVGCMHPGTRAAVPLSLRRVVRFSRSLVANK >ORUFI01G07210.1 pep chromosome:OR_W1943:1:5282639:5297368:-1 gene:ORUFI01G07210 transcript:ORUFI01G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGALDLSIKESSILGDARCFYGSQVANHTMFDYDNLKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLSSACRSLEETLVRSIGLKATSLKRTEESNESQLSKLVFAIFVLLTPYLDNYQDNGEHDKERSNSYKHRNQSWTGTQSIRADPILPAGSWHQVAGLCEGHSCNRLAGSVGRPDKAAIRMLKNQVQMVDRFAECPGLSKQQVWRVRPAVVRKKMRRKLTGAMAMPALGSTDT >ORUFI01G07210.2 pep chromosome:OR_W1943:1:5282639:5297368:-1 gene:ORUFI01G07210 transcript:ORUFI01G07210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGALDLSIKESSILGDARCFYGSQVANHTMFDYDNLKLSKLVFAIFVLLTPYLDNYQDNGEHDKERSNSYKHRNQSWTGTQSIRADPILPAGSWHQVAGLCEGHSCNRLAGSVGRPDKAAIRMLKNQVQMVDRFAECPGLSKQQVWRVRPAVVRKKMRRKLTGAMAMPALGSTDT >ORUFI01G07210.3 pep chromosome:OR_W1943:1:5285326:5297368:-1 gene:ORUFI01G07210 transcript:ORUFI01G07210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGALDLSIKESSILGDARCFYGSQVANHTMFDYDNLKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLSSACRSLEETLVRSIGLKATSLKRTEESNESQVRGRCDLDLQEC >ORUFI01G07210.4 pep chromosome:OR_W1943:1:5285990:5297368:-1 gene:ORUFI01G07210 transcript:ORUFI01G07210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGALDLSIKESSILGDARCFYGSQVANHTMFDYDNLKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLSSACRSLEETLVRSIGLKATSLKRTEESNESQGPNIQVFLSFWLSRDSGPYVSA >ORUFI01G07220.1 pep chromosome:OR_W1943:1:5283295:5288123:1 gene:ORUFI01G07220 transcript:ORUFI01G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPVSFLLIFFLTTAGLTLQTCCFDSPGHSANLSTIWTCTSPTTDVLVANPLLSRPVPDNHNLHFAAGFYNYPLVNTYIFGVYTVTDADVWSANRDQLIRQNSTLSFTAEGDLVLQHPDGSLVWSTNTSGQSVAGMTLTESGNLVLYNHNNLPVWQSFDHPTDSLLPGQRLVQGMRLKPNALAVNLTASDLYYLTVHSDGLYAFAGSSNSQPYYEFTVSTGNKSQNPPAYLTLANRSLDIFVPSSSSANLEHLSLQSPALSLQYIRFESDGQLRLYEWQADQKGSTWLYVQDVFPFQYCDYPTACGEYGICSNGLCSCPTATESHIQYFRPVDDRRPHLGCTLETPISCQFVQDHQLISLPNVSYLYYDSSRVPELSDEESCKQACLTTCSCKAALFRYVDNKSAGDCTLVSQVLSLKTSYPGYDSLAFLKVQITPSPHLEKHRLVPLVPVLVGVASFFVMLTIVLVVVQIRRQQDKDGEDEFAELPGMPTRFSFQMLKLATKDFSNKLGEGGFGSVFSGQLGEEKIAVKCLDQASQGKREFFAEVETIGRIHHINLVRLIGFCLEKSHRLLVYEFMPKGSLDQWIYYKDSNDTLDWRTRRNIITDIARALAYLHEECTHKIAHLDIKPQNILLDDNFNAKVCDFGLSRLIHRDQSHVTTRMRGTPGYLAPEWLTSHITEKVDVYSYGVVMIEIINGRPNLDHSNLGGGIQLLKLLQEKAQNSHLEDMIDRKCNDMSLHQQDVIRIMKLAMWCLQSYCNRRPSMSLVMKNIQSQC >ORUFI01G07230.1 pep chromosome:OR_W1943:1:5288456:5290993:1 gene:ORUFI01G07230 transcript:ORUFI01G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGRLLLLFLTAAHAALQTCGGNQTPGPVANVSRVWSSNTSRDVLLCSVPGNGNEKLSFAAGFYCSSPCDAILFAVYITSGSGDIPVVVWSANRDLAAHQNATLSFTAGGDLVLANADGSVVWSTGTSGQFVIGMTITNSGNLVLFNDDYMPVWQSFENPTDSLLPGQMLAEGMMLRPNSSATNWTTSRQLYFTVRSDGLYAFAGSDQPQPYYRFELYSLYQVSNESITQYQYKPTFVTLVNGSLSIPGSDSLETKLPPAHSLQYLRFESDGHLRLYEWQEFKQRWVIAKDVFELHYCQYPTACGEYGICSSENCSTEGMNCLTTECSCPNTTYFKPIDNMRPTLGCAVENEISCQAMQDHQLVAIPNVAYFHLWGDSHGAPMTDEESCKKDCLSNCSCKAALFILYPNQTQALLYPDLSLSMSYLNTCYLLPEVLSLQAYLDPGYYSKDPVNARSTLYVKVQSTHLLPPSKKKTTFGYAIGATAAALVTLTIISMVIRKRCNRQRADESDFADLPGTITRFTFKMLKAATNDFSSKLGEGGFGSVFLGKLGNEMVAVKLLDRAGQGKKDFLAEVQTIGNIHHINLVKLIGFCVERSHRLLVYEYMPRGSLDKWIYYLHSNAPLDWGTRKRIITNVARGLSYLHDECRQRIVHLDIKPHNILLDDSFNAKVADFGLSKLIEREISKVVTRMKGTPGYMAPEWLTSQITEKVDVYSFGVVVMEIISGRKNIDYSQSEENVQLITLLQEKAKKGQLEDLVDKNSDEMHLHKEEVIEVMKLAMWCLQSDSSRRPSMSMVVKTMEGERAVDDNLGYNFFDLSPAISVPVEQLNSSLHPEASILSAPR >ORUFI01G07240.1 pep chromosome:OR_W1943:1:5305785:5306186:-1 gene:ORUFI01G07240 transcript:ORUFI01G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKGSENERSLANNAAAAAAGDVEEKTTKPFRFAPEVEKWTAAEKEATLAFFRAANAEAAKYMEMTEEDVVEEYRRAGKLHRYDPDKEWQKRYARAARAHPPPPCAIPRLPHIQQYLKYLEEDDQKQFSLIN >ORUFI01G07250.1 pep chromosome:OR_W1943:1:5306654:5309776:1 gene:ORUFI01G07250 transcript:ORUFI01G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETDSSPAAAEGRKPRRLRGHRKGAVTCCVASSSRPGVVASSGEDGCLCWFNLRTKDVLFTMEATNQPISSMCFKAGNEDLVYASAGNKILSFDVRMGPQAKPLDTYNYNRDEINQFHLTAITGGLDSKLAVWDFSKGRTLFSIDYGSPEMQNGSSGEQCSNPPFVHSIAVSEEGILGGSYKVCAVARGDGTVDVVDLEYELAPAKSKGLPRMADLSLSSKSTDIGDGCGSQSQGKRIHLDYTVGHTSSVSCV >ORUFI01G07260.1 pep chromosome:OR_W1943:1:5314364:5317092:1 gene:ORUFI01G07260 transcript:ORUFI01G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQIIFIGLPATKPQRNLPKKEPVTFKDRLKREEGPMPVFGVPAHSIEMCQSISVEKDTPGDGFGTPAFADVQKAIGKIRGKLRGDLPTNSSVKLSRELAYMSGAMSGWQELQIYYRSFAAKYTFYMSLHVMNKMNYSLAMKKMNAVIYNALYEFLYL >ORUFI01G07270.1 pep chromosome:OR_W1943:1:5319447:5319875:-1 gene:ORUFI01G07270 transcript:ORUFI01G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKDGETPVENSAVGDVKEEEKQPRQEKKPFGIDAYLEKWTATEQETAKTFLRALIADAHQYMEMTEEEVVEEYRRAGKLHRYDPDTEWQKRYARVARTHPPPPCLRALIPKLEQYLKLLDEDDEQDYFKLLDEDDDQDYK >ORUFI01G07280.1 pep chromosome:OR_W1943:1:5320023:5324430:1 gene:ORUFI01G07280 transcript:ORUFI01G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTDSSAAAAAEGRKPRRLRGHKKGAVTCCVASSSRPGVVASSGEDGCLCWFDLRMKDVLFTMEATNQPISSMCFKAGNEDLVYASAGNEILSFDVRMGPQAKPLDTYNYNRDEINQIAVSSKGFLAAADDSGDVKKVIPSQNLLMFSLLEKFCXELPCFGAIEGSPEMQNGSSGEQCSNPPFVHSIAVSEEGILGGSYKVCAVARGDGAVDVVDLEYELAPAKSKGLPRMADLSLSSKRTDLGDGCGSQSQGNRIHLDYTVGGHTSSVSCVTFSAFGEKGKFLVSGGNDSSIKLWDWSKGFSSETNNSAELVLDIKVNWLCTTPTDSDNLIVCDTSKVVKVYNLP >ORUFI01G07290.1 pep chromosome:OR_W1943:1:5324452:5327700:-1 gene:ORUFI01G07290 transcript:ORUFI01G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLTSVSSHLRAPPPWPPPKNSSPRTRVRCDVLAASGHVLEAASAPRGAKNSRSRAPRTDVDVQIERLCRAGELAEALRLLGSDGVDARSYGAVVQLCSDLRSLEAGKRAHFLVRASGVGKDGMDSVLGRKLVLMYVKCGDLENARKVFDEMPQVSDVRVWTSLMSGYAKAGEFQDGVLLFRQMHCSGVRPDAHAISCVLKCIAGLGSIADGEVVHGYLEKLGLGVQCAVGNALIALYSRCGHVDGSLQVFDGMPHRDVISWNSVISGCFSNGWHGKSIELFAKMWSEDLEINPVTMLGVLPACAELGYNLVGRVLHGYSVKTGLLWEFESLENGIDENLGSKLVFMYVKCGELGYARKVFDAMSSKSNLHAWNLMMGGYAKLGKFQESLLLFEKMHDCGITPDEHTISCLLKCITGLSGVMDGLVVHGYLVKYGFGAQCAVCNALISFYAKSNRIEDALMVFDEMPQRDIISWNSIIGGCASNGLYDKAVELFVRMWLEGQELDSTTLLSVMPACVQSHYSFIGGVVHGYSVRTGLISETSLGNALLDMYSNCSDWRSTNKIFRNMEQKNVVSWTAMITSYTRAGHFDKVAGLFQEMGLEGIRPDVFAITSALDAFAGNESLKHGKSVHGYAIRNGIEEVLPVANALMEMYVKCGYMEEARFIFDHVTKKDTISWNTLIGGYSRSNLANEAFTLFNEMLLQLRPNAVTMACILPAAASLSSLERGREMHAYAVRRGYLEDNFVANALVDMYVKCGALLLARRLFDMLTNKNLISWTIMIAGYGMHGRGRDAIALFEQMKGSGIQPDAGSFSAILYACSHSGLRDEGWRFFNAMRNEHRIEPKLKHYACMVDLLCHTGNLKEAYEFIETMPIEPDSSIWVSLLRGCRIHRNVKLAEKVAEMVFELEPENTGYYVLLANIYAEAERWEAVRKLKNKVGGRGLRENTGCSWIEVRGKAHIFFAENRNHPQGMRIAEFLDDVARRMQEEGHDPKKKYALMGADDAVHDEALCGHSSKLAVAFGVLNLSQGRPIRVTKNSRVCSHCHEAAKFISKMCGREIILRDSNRFHHFEEGRCSCRGYW >ORUFI01G07300.1 pep chromosome:OR_W1943:1:5327943:5329001:1 gene:ORUFI01G07300 transcript:ORUFI01G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFPEYQFSFFLHVAVSGLKQRAEGTNFWLHWRTGSSSPVVWWSKKKWRKWEEFSCSITKSLQIISQRLPSQDHLLSTKTLQMGFCFVLHGIASHAHRWGASGQKQMDLRCQERLIGVAKKSSLSSSKASRPPLPRNRQELRGGRGSNHLTRSKRLRGGSSHTLGIADVSEEHADGEPKALDLNDMKVAELRELARARRMKGYSRLKKSELIDRLKGV >ORUFI01G07310.1 pep chromosome:OR_W1943:1:5329738:5332186:1 gene:ORUFI01G07310 transcript:ORUFI01G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQANPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >ORUFI01G07320.1 pep chromosome:OR_W1943:1:5333138:5333881:1 gene:ORUFI01G07320 transcript:ORUFI01G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTASLCTVEKRGAVHVITLTGAGEHRLGPPLLSALRSAAAAVRAAAAAGAGGALVIAGEGKYFSNGFDLDWARTVPAELHASMGGAFRGLVADLLALPVPTVAAVTGHAAAAGCALALAHDAVVMRASRGFLYMSEVDAGLKIVDFFAELIRQKVPDAVNRRDLVLRGDKMTAVDALRRGIVDAAVDGGVDDVVAAAVREAEALAARGWDGEIVAETRKAIWPELWAKVKDHAADEPPPPPPRPRL >ORUFI01G07330.1 pep chromosome:OR_W1943:1:5334577:5338136:-1 gene:ORUFI01G07330 transcript:ORUFI01G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPGPEPMELDAPPPPAAVAAAAATAGISEKKKEEGGGDAVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRAMEHPNVICLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANQRMPLIYVKLYIYQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKVLVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPSLRCTALDACAHSFFDELREPNARLPNGRPFPPLFNFKHELAGASPELIHRLIPDHIRRQHGLNFAHAGS >ORUFI01G07350.1 pep chromosome:OR_W1943:1:5354739:5357218:-1 gene:ORUFI01G07350 transcript:ORUFI01G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRAALRRRHAASSPVARLLPYSSPGPCAKGGGAASTLHAATRGAPAPSIQAPSVVRRYCSAGGGYAAVRPARGASPLQVPSLVRRYGSTTGATATATPAAGVPALHRASPLPLFVPRRAYVSPRRRRVYSSEEYSSDEYSSDGYSSGQEYKYVEDCQVAKVMDHQLRRIEKVEAGLKQLGWFQIITVSLLGLVTYQCHSEVSRLDKEVAAPKSESP >ORUFI01G07360.1 pep chromosome:OR_W1943:1:5359225:5360760:1 gene:ORUFI01G07360 transcript:ORUFI01G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMGISKGGSGKEAKKPPLLLGRFEVGKLLGQGNFAKVYHARNVATGEEVAIKVMEKEKIFKSGLTAHIKREIAVLRRVRHPHIVQLYEVMATKLRIYFVMEYVRGGELFARVARGRLPEADARRYFQQLVSAVAFCHARGVFHRDIKPENLLVDDAGDLKVSDFGLSAVADGMRRDGLFHTFCGTPAYVAPEVLSRRGYDAAGADLWSCGVVLFVLMAGYLPFQDRNLAGMYRKIHKGDFRCPKWFSPELIRLLRGVLVTNPQRRATAEGIMENEWFKIGFRRFSFRVEDDRTFTCFELDDDAAVDAPTSPPDTPRTVDGGDVGAAPTRPRKAGSLTSCDSAPSLLEGRFGLGGSSRRRSSLNAFDIISFSPGFDLSGLFDQDDGGGGGAGSIPEQQKHTARFVSEAPVEVIVATLEAAAAAASMAVREREDGSISMEGTREGEHGALAVAAEIYELTPELVVVEVRRKAGGAAEYEEFFRARLKPSLRELVCDDRPCPEDSGELSRSL >ORUFI01G07370.1 pep chromosome:OR_W1943:1:5363859:5367520:1 gene:ORUFI01G07370 transcript:ORUFI01G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKMPVMNFSYSNVSPADFFTSTTKKDGVTSKISASIASCPFLPSLDPWSFTSPSCFFTLKLSVSAICSSFDTIADAGFCVMNRESAFCSLSFSNRPDRSNPLEREMMSNAFKLTGFKELAGSFALPSLSLCATRRVEQPVLLLTLLEGAQPKVRHFEVAILVDEEVLWLEVSVVDTAAVTEVHSPYQLLEVLPRSILLESPPGYPAEELTTPDILHCKVYLALAGHDLVQLDDVGVANEAHDGDLSLDLVNHADTQYLFLVNDLDGDALVGCKVPCMVHLGEGALPEHAAELIPVHEDGRLLLHPAGFFRDSSVQKKQMGGFVYKRLLCATSGLLPPSLIHVMEG >ORUFI01G07370.2 pep chromosome:OR_W1943:1:5363859:5364930:1 gene:ORUFI01G07370 transcript:ORUFI01G07370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKPTWPIVGWLLPLPQEPRDEAQFEIPLGRIHLLRRAADHHGRPRRRGLLQAPPLPLLRRRRSDLHQGHQHVPRLRAQAGGLRRGRRSGGGGGSGRPRSGDRREALRRPRLRWLGILG >ORUFI01G07380.1 pep chromosome:OR_W1943:1:5365614:5366999:-1 gene:ORUFI01G07380 transcript:ORUFI01G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKASILMNRYELGRMLGQGTFAKVYHARNLASNQSVAIKVIDKEKVLRVGMIDQIKREISIMRLVRHPNIVQLHEVMASKSKIYFAMEYVRGGELFSRVARGRLKEDAARKYFQQLIGAVDFCHSRGVYHRDLKPENLLVDENGNLKVSDFGLSAFKECQKQDGLLHTTCGTPAYVAPEIINKRGYDGAKADIWSCGVILFVLLAGYLPFHDSNLMEMYRKISKGDVKFPQWFTTDVRRLLSRLLDPNPNTRITVEKLVEHPWFKKGYKPAVMLSQPNESNNLKDVQTAFSADHKDNEGKAKEPASSLKPVSLNAFDIISLSKGFDLSGLFENDKEQKADSRFMTQKPASAIVSKLEQIAETESFKVKKQDGLVKLQGSKEGRKGQLAIDAEIFEVTPSFFVVEVKKSAGDTLEYEKFCNKGLRPSLRDICWDGQSEHPSLAQSSTLTQSSKSISRHAI >ORUFI01G07390.1 pep chromosome:OR_W1943:1:5370860:5374507:-1 gene:ORUFI01G07390 transcript:ORUFI01G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARWGPRRATVGPHLRDALRHLLFVFLVLLQAQAGRGGATLNGEGMALLELRERVEADPHGALRDWDPADATPCRWSGVHCFDGKVEILNLTGRELVGTLAPEIGRLQLLKSLILRNNNFRGKIPKEFGGLTALEVLDLSSNNLDGTIPEELMAMPLLKQLSLHDNQFQDDISSLHIQDISDEQAGCLSRKLGCWVGFKDWTSFSDLREKYSTNLASLGEPHIMQNLQSFASAVGRRLLGEVGNLPALSGNDAKSSGPVNSEEIQRAIDVLSLGSGSFSAFPNSEAEVLESAVNTDAAAMQSGAANQSTDEVSGSKHSKWAYFMIIPAAILLISLIVAPILVWRKRGHAAIGPWKTGLSGPLQKAFVTGVPKLNRPELEAACEDFSNIINTFPSCTVFKGTLSSGVEISVVSTAILSLKEWPKSSETCFRKKIDTLSRVNHKNFINLLGYCLENQPFMRMMVFEYAPNGTLSEHLHLKEFEHLDWAARMRIIMGVAYCLQYMHHDLNPPVAITDMRSDTIFMTDDYAAKIADVGIWKEVAIKAKTAKEDSSSRSECPPDIASNVYCFGTLLIEIISGKLPEADDQESMCNWAAEYLKGKSYSKLVDASLKEHNANDLEAVCEVVQECIDPDSDKRPTMRDATRKLRQALNISPEAATPRLSPLWWAELEILSAEAT >ORUFI01G07400.1 pep chromosome:OR_W1943:1:5375007:5379236:-1 gene:ORUFI01G07400 transcript:ORUFI01G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKQKSGVGINKISHVKSKERRLTYHDSHINRLPALHLWNGNLHPNQSPAPSHGMIRVLEIRPPRQRFGARGGDGRAALASASP >ORUFI01G07410.1 pep chromosome:OR_W1943:1:5379330:5391256:-1 gene:ORUFI01G07410 transcript:ORUFI01G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPAMASPPTTAAASPRRRSRLLLLVVALLLLLPLVASAAAVPHRHRLPSHHLTSLKLNASAPPTTYFEVDRPIRPPRGSVGPCSTLLLSSSFGATYGRPPVTAAYAPPSCLAGGGGGGGGASSIALAVLEWSADCRGRQFDRIFGVWLSGAELLRSCTAEPRATGIVWSVSRDVTRYAALLAEPGEIAVYLGNLVDSTYTGVYHANLTLHLYFHPAPPPPPPPQQADLIVPISRSLPLNDGQWFAVQNSTDVQGKKLTIPSNTYRAVLEVFVSFHSNDEFWYTNPPNEYIEANNLSNVPGNGAFREVVVKVNDDIVGAIWPFTVIYTGGVNPLLWRPITGIGSFNLPTYDIDITPFLGKLLDGKEHDFGFGVTNSLDVWYIDANLHLWLDHKSEKTAGSLISYEAPGLVLNVDSGFSGLDGQFVTRASRHISATGLVKSSYGEVTTNFYQRFSYVNSNVYSKNGSVQVVNQTIDAKSGVFAKDALAVLLSEELHQIFPLYVYTGTSDEEADEYTLISYVKLGVNEKETSGGKMGFSYNSLRNAQSAHGSMKVKKNLVVGGLGETHQAYKYVGTDGCYFRDVRSKNYTVLSDHSGDSCTKRNPYNGAKFSLRNDQSARRNSCLLALLLLLLLPIAPLAAPRRSRFPSTLRLASFDASPPPRPTTFFEVDRPIRPPRGSVGPCSTLLLSHSFGYTYGRAPVTAAYAPPACLAAAAAAGGSLALAVLEGRQFDRIFGVWLSGAELLRSCTAEPRATGIVWSVSRDVSRYTALLASPGEVAVYLGNLIDDTYTGVYHANLTLHLYFHPAAAPPPPEQQQQQHADLILPISRSLPLNDGQWFAIQNSTDMQSKKLVIPSNTYRAVLEVFVSFHSNDEDWYMHPPNEYIEANNISSLPGNGAFREITVQLDGDVVGAVWPFTVIYTGGVNPLFWRPITAIGSFNLPTYDIDITPFLGKLLDGKEHNFGFSVTNALDVWFIDANLHIWLDHKSEKTFGSLVNYEAPKLTLHVDSNFSALDGWFVTSASRHISATGWVNSSYGNVMTTFYQRFSYKNSNLYSKNGTFQVVNQTIDAKSGVFAKSSVVLFLEEVHRTFPLYIFSGTSDQVGDEYSLVSVVKMGFNERRISGRKQEFSYISSRNAQSAHGYMKVKKNLVVDGLGETHQVYKYAGTDGCYSRFVGSRNYTIIFDNSGDVCSKGSPHNGPKFSSVKLT >ORUFI01G07420.1 pep chromosome:OR_W1943:1:5391350:5393086:-1 gene:ORUFI01G07420 transcript:ORUFI01G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSAPLLLLCLCFTLLHFRSCEASPRDLRFSAADIAAVEAVLPSLRRARNTFFEVERPLRPPRGSSGPCSTLLLSHSFAFTFTKPPATAAYSPPPCLADAAGGARAVSLAVLEWRADCRGTQYDRIFGVWLGGAELMRGSTAEPRPGGVTWSVHKDVTKYASLLAAGNSTLAVYLGNLIDETYNGVYNADLTLHLYFRRAARSPTAASAPADRVVPVSRSLPLNDGLWFVVDNTTDVESARLAVPPNAYRAVLEVYVSSHNFDEFWYMNTPDQNGPFREVTVRLDGDVVGAVWPFPVIYTGGINPLIWRPITSIGSFNFPSYDVELTPFLGKLLDGKEHELGFAVTNAQKSWYVDANLHLWLDPKSAATSGGLVAYDAPKLTGQIVSNSSDGIDGQYDATASRNITATGWVRSSRGNITTTFTQRLTFVHTNVVTGQGSSQAINQTTEARTEVVTGDGAHALQLHQSFPLYIFLGGDGSGTSSQRLMRRVAIGFDETRAAGAGGSWAAASTLHNEQTAAAEVVLRDDQVVGASWRMHQVYEYGGSDGGCYLRNVSSVGYDVLFDHNEESCAGTRRR >ORUFI01G07430.1 pep chromosome:OR_W1943:1:5395190:5397025:-1 gene:ORUFI01G07430 transcript:ORUFI01G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVHLLLLLVFYIPAAIASRRNLRLSPADIAVPDAAAADTPTTNFEVDRPLRPPPGSSGPCSTLLLSSSFGFTYTKPPVTAAYSPPDCLAAAGGGAPAISLAVLEWRATCRGVQFDRIFGVWLGGVELLRSCTAEPRPNGIVWSVSKDVTRYASLLAAGNSTLAVYLGNLVDDQYTGVYHANITLHLYFGPTPARQQAPATAPADIIVPVSRSLPLNDGLWFQIQNATDVESASIVLPSNTYRAVLEVYVSFHGDDEFWYTHTPDGNGPFREVTVLVDGVLVGAVWPFPVIFTGGINPLLWRPITGIGSFNLPTYDIELTPFLAKLLDGKAHELAFAVTNAVDVWYVDGNLHLWLDPMTTAATASLVSYDAPRLAAVNTSHTTASRFDGLSERYYYHTTASRRISAAGWVESTSHGRITTNATQTFAFENTYAFAGDGSAETVNQTTVADAAVSATDLAGAVLYSRQAHHDFPLYVDIEAKQRQTSPHAADVTYTVAREYRETAIAAGRWLSSGTPPRRYSLRDTQSGAVDVEMRDGNAVSATWGTRQTYRLEATDGCYFRNVTSSGYSVASDESDEVCSESEEYPAGGAVIGALPAAAVRASAGELVRK >ORUFI01G07440.1 pep chromosome:OR_W1943:1:5398095:5427890:1 gene:ORUFI01G07440 transcript:ORUFI01G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKPYFIAIIIQVIYTGLFVVTKAAFNHGTNTFIFIFYRQAAATVLLLPLAIILERKNAPPMSIRLFAKLFLYALLGNTISFNLYNTGLKYTSSTVASAAASSVPVLTFFFAVLLRLEVIRLRRLSGVAKVAGVGLCLGGVLVIALYSGPALSPLNHHRAFGGGAESGSSGAATRARWVTGTLLMLLSNVTWSLWIVLMSPLLNEYPCKMLATALQSLLSAAQSLVLAAAAAARDPAAWRLRLDAGLLAVAYSAIAVTGVSYYLQAWCIQKKGPVFLAMSSPLSFVFTIFCSSFFLGEVVHLGSVVGGVLMVAGLYSVLWGKSKEHDTLTVAAGQQEEDAAAPLAAESSSSTMDAKKPYVIVIVIQLINTGLFVISKAAFNHGMNTFVFIFYRQAAASLLLLPLAIVLERNTLSLNMYNLGLKYTSPTVASATTNSIPVVTFFFALLLRRAQSIIHLWTRWVKGTLLMLLSNATWSLWTVLMASLLREYPSKLLATAAQCALSAAQSLALAAAAAGRDPAAWRLRPDAGLLAVAYSAVAVTGASLYMQAWCIEKKGPVFLAMSNPLSFVFTIFCALFVLGEVVHLGSVVGGVLMVVGLYSVLWGKSKEHDTLTLATAMPTPASVQQQEKKVAAVPAPADSSSRSAFSSRSNVQAMGAKTPYVVIVIVELIYTGMYIISKAAFNQGMNTFIFIFYRQAAASVLLLPLAIVLERRNAPPMSLRLFIKFFLCALFGSTGTLNLYNMGLKYTTSTVASAAGSSIPVMSFFLALLLRQEMIRLRSLPGSAKAAGVGLCLAGVLVIALYTGPTISPLIHHRVFAGGGHEASASGSGRTRWIVGTVLILLSNVTWLLWSMLMAPVLREYPNKLLATTWQCVISAAQSLAVAAVAAARDPAAWRLRLDTGLLAVAYSGVVVTAVAFYLMAWCIEKKGPVFLAMSTPLAFVFTVFCCIFFLGETVHAGSVVGGVLMVAGLYSVLWGKSKEQDKLTLATATPTVAAVEQKEAAAAAPDADASNSGSELHHGRLVSLEQQDRRASLPLLALLLNFRVLAAAAGSHFSPAVSRIAGHLCLSLSMAAVTLLALGNDAPDVAGEQRRGRDGEWHGDERDGPDDERGDERALAAGDGQRGGGDGGDQRVGRRSAGRGGRGEEVIYTGLYIISKAAFNQGMNTFIFSFYRQAASSVLLLPLAIILERRNAPPMSLRLFIKLFLCALLGNTGSLNLYNMGLKYTSSTVASATTSSIPVVTFFLALLLRQEVIRLSSSGVAKAAGVGLSLTGVLVIALAGDKPTEPPPRVRRRRRP >ORUFI01G07440.2 pep chromosome:OR_W1943:1:5398095:5427890:1 gene:ORUFI01G07440 transcript:ORUFI01G07440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKPYFIAIIIQVIYTGLFVVTKAAFNHGTNTFIFIFYRQAAATVLLLPLAIILERKNAPPMSIRLFAKLFLYALLGNTISFNLYNTGLKYTSSTVASAAASSVPVLTFFFAVLLRLEVIRLRRLSGVAKVAGVGLCLGGVLVIALYSGPALSPLNHHRATRWVKGTLLMLLSNATWSLWTVLMASLLREYPSKLLATAAQCALSAAQSLALAAAAAGRDPAAWRLRPDAGLLAVAYSAVAVTGASLYMQAWCIEKKGPVFLAMSNPLSFVFTIFCALFVLGEVVHLGSVVGGVLMVVGLYSVLWGKSKEHDTLTLATAMPTPASVQQQEKKVAAVPAPADSSSRSAFSSRSNVQAMGAKTPYVVIVIVELIYTGMYIISKAAFNQGMNTFIFIFYRQAAASVLLLPLAIVLERRNAPPMSLRLFIKFFLCALFGSTGTLNLYNMGLKYTTSTVASAAGSSIPVMSFFLALLLRQEMIRLRSLPGSAKAAGVGLCLAGVLVIALYTGPTISPLIHHRVFAGGGHEASASGSGRTRWIVGTVLILLSNVTWLLWSMLMAPVLREYPNKLLATTWQCVISAAQSLAVAAVAAARDPAAWRLRLDTGLLAVAYSGVVVTAVAFYLMAWCIEKKGPVFLAMSTPLAFVFTVFCCIFFLGETVHAGSVVGGVLMVAGLYSVLWGKSKEQDKLTLATATPTVAAVEQKEAAAAAPDADASNSGSELHHGRLVSLEQQDRRASLPLLALLLNFRVLAAAAGSHFSPAVSRIAGHLCLSLSMAAVTLLALGNDAPDVAGEQRRGRDGEWHGDERDGPDDERGDERALAAGDGQRGGGDGGDQRVGRRSAGRGGRGEEVIYTGLYIISKAAFNQGMNTFIFSFYRQAASSVLLLPLAIILERRNAPPMSLRLFIKLFLCALLGNTGSLNLYNMGLKYTSSTVASATTSSIPVVTFFLALLLRQEVIRLSSSGVAKAAGVGLSLTGVLVIALAGDKPTEPPPRVRRRRRP >ORUFI01G07440.3 pep chromosome:OR_W1943:1:5398095:5427890:1 gene:ORUFI01G07440 transcript:ORUFI01G07440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKPYFIAIIIQVIYTGLFVVTKAAFNHGTNTFIFIFYRQAAATVLLLPLAIILERKNAPPMSIRLFAKLFLYALLGNTISFNLYNTGLKYTSSTVASAAASSVPVLTFFFAVLLRLEVIRLRRLSGVAKVAGVGLCLGGVLVIALYSGPALSPLNHHRAFGGGAESGSSGAATRARWVTGTLLMLLSNVTWSLWIVLMSPLLNEYPCKMLATALQSLLSAAQSLVLAAAAAARDPAAWRLRLDAGLLAVAYSAIAVTGVSYYLQAWCIQKKGPVFLAMSSPLSFVFTIFCSSFFLGEVVHLGSVVGGVLMVAGLYSVLWGKSKEHDTLTVAAGQQEEDAAAPLAAESSSSTMDAKKPYVIVIVIQLINTGLFVISKAAFNHGMNTFVFIFYRQAAASLLLLPLAIVLERRNAPPMSLRLFIKFFLCALFGSTGTLNLYNMGLKYTTSTVASAAGSSIPVMSFFLALLLRQEMIRLRSLPGSAKAAGVGLCLAGVLVIALYTGPTISPLIHHRVFAGGGHEASASGSGRTRWIVGTVLILLSNVTWLLWSMLMAPVLREYPNKLLATTWQCVISAAQSLAVAAVAAARDPAAWRLRLDTGLLAVAYSGVVVTAVAFYLMAWCIEKKGPVFLAMSTPLAFVFTVFCCIFFLGETVHAGSVVGGVLMVAGLYSVLWGKSKEQDKLTLATATPTVAAVEQKEAAAAAPDADASNSGSELHHGRLVSLEQQDRRASLPLLALLLNFRVLAAAAGSHFSPAVSRIAGHLCLSLSMAAVTLLALGNDAPDVAGEQRRGRDGEWHGDERDGPDDERGDERALAAGDGQRGGGDGGDQRVGRRSAGRGGRGEEVIYTGLYIISKAAFNQGMNTFIFSFYRQAASSVLLLPLAIILERRNAPPMSLRLFIKLFLCALLGNTGSLNLYNMGLKYTSSTVASATTSSIPVVTFFLALLLRQEVIRLSSSGVAKAAGVGLSLTGVLVIALAGDKPTEPPPRVRRRRRP >ORUFI01G07450.1 pep chromosome:OR_W1943:1:5422183:5428883:-1 gene:ORUFI01G07450 transcript:ORUFI01G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSYLPHKSPGENLAPASDERRRRYASSWGRHFEETTQKSRAMGALIMVWKVNMETFTTTPGLPQSS >ORUFI01G07460.1 pep chromosome:OR_W1943:1:5436439:5438280:-1 gene:ORUFI01G07460 transcript:ORUFI01G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESCYVRVLFLLLLVVTPLPISIASPLKLRLSASEVAALEAHAPPPPPPPPPDTPTTYFEVDRPHRPPPGSFGPCSTLLLSHSFGFTYTLPPVTAPYSPPPCLAAAGGRASAISLAVLEWRATCRGVQFDRIFGVWLGGVELLRSCTAEPRPKGVVWSVSKDVTKYASLLAARNSSTLAVYLGNLVNDQYTGVYHANVTLHLYFRHPPQPGLGPADVIVPISQSLPLNSGQWFQINNNEDVESASLAVPANAYRAVLEVYLSYHGSDEFWYTYGNPFNGNGPFREVTVRIDGDVVGAVWPFPVIYTGGISPFLWRPISGIGSFNLPSYDIELTPFLGWLLDGEEHELGFAVTDAQDFWYVDGNLHLWLDPRSAATTAGIISYDAPPLEKVTAVASRGPGNEYYQTTAFRSISAAGWVQTSSYGKITATWTQRFSFVNTNQVREDTEKTVNQTTDAYSGVHVTDHSGVAYSQEAEQSFPLYIYQGQVNQTSNDSFTEETSVQLRFEEERVAAGRPGFWSRSLSNAQDSAVDVRVQEGDAVGTSWRAAQTYRYEATDGCYYRDVASQGYGVVSDHADEVCAKGSPAAGRAIAGGAATELGGDAAAAAGVARLSS >ORUFI01G07470.1 pep chromosome:OR_W1943:1:5451056:5459647:1 gene:ORUFI01G07470 transcript:ORUFI01G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRFEVRNEVGLGDPDLYGGGGGGGGGGGGGGVGAAAKKGGEAEPKALLEGVAVAGLVGILRQLGDLAEFAADVFHDLHEQVITTSARGRKVLTRVQNIEAALPSLEKAVKNQKSHIHFTYVPGSDWHAQLKDEQNHLLSSDLPRFMMDSYEECRDPPRLYLLDKFDNAGAGACSRRHSDPSYFKKAWDMMRADKTGNFQREKKSQKIKRKGSRLREPYHGQTTPRQRNGELQRALTAVQLTSSRHSATPSTDGRSLSENRSTSDVRSNPDNISRSSSFSSKARLSFTEQVLDTKPTVVPHENGHDKLSNNNLHKLSNTPLHTRLNGTSADDLGDDLKQSSLLDDMTARSPSVKWDEKAEITMSTTSVYCDDVVMDKAEHVQSKCISPEQQEIDHREMETLEQQEALHQKAKQLLVSSGLNHHDEVPSETDNYVDALNTLESETETEPELQTKSRVKPVPSLNVDVPQVELIDNIVTESPDSSVAEFPDAYQNSSMPPAPESAADFPSLSSADAPDISEPVLSGYTANPHPEVSAIATNTPVSNTEDAPGPLEISESASRAYIITLPNQSFPDSKEIPDSKAEDAPIDSPEKLEPGPSSYTPTIPIKESSIVSQNTNAENVSGDCSEGTACAISYSQHIISDKPTNEVSATNSSPDDTSSDEDTVESGGIVEVSNSQPMPLNDSLENGCATQGLPANAPTNSTGVSSVKLWTNAGLFGLEPSKPPVFGAHDGPKEDTTPGHTQPQLCHSTGCPEVHFSKPTESAQVDIPNGNSPITSSFVGKLVGICPGSTSHSSETNQSTVRTPDTVIGQTEGSTGCSTSFEHSDHKNIIGKQTSISELLESEDSAENGAEMFSKTDMTGRNNMNQVSASSFSSIAQRFLANTLQRRTPKYTDLPMSSVIVNTDANGTDESTQISSLAPNETTFEASQFEKKTENDTNGLPKSSLFSSSHYSEKSSPPLEYMKISFHPMSAFEMSKLDLDFSDENLHENADDMMLPTFQLLPGSSVPQLGSGSESEDDTFGRSYSYSSYDDLSPRLYSNSELWDQEDANGLEDHDMHNNPNQIGSFGAPISSFVEFEQMDLSGAKSTVSLTDLGDDNGLGTLDSHPAGELPNFDTLMAHQNEAFIPHNPVSLSPDEGQLPPPPPLPPMQWRTMRQVASVEEGRGSAAKEDMLESTSDLPPVHTPVQEEHLLPIAPPDQQNLLPIAPPDQQGHAKENDRKVDGVKEISNPLDIEIRASLLQQIRDKSGQQKLNGHEKSKAVGNDTKNLDEREELLQQIRSKTFNLRRTNASKTNTSSPTTANSSVVAILEKANAIRQAVASDEGGDDDSWSDI >ORUFI01G07470.2 pep chromosome:OR_W1943:1:5451056:5458678:1 gene:ORUFI01G07470 transcript:ORUFI01G07470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRFEVRNEVGLGDPDLYGGGGGGGGGGGGGGVGAAAKKGGEAEPKALLEGVAVAGLVGILRQLGDLAEFAADVFHDLHEQVITTSARGRKVLTRVQNIEAALPSLEKAVKNQKSHIHFTYVPGSDWHAQLKDEQNHLLSSDLPRFMMDSYEECRDPPRLYLLDKFDNAGAGACSRRHSDPSYFKKAWDMMRADKTGNFQREKKSQKIKRKGSRLREPYHGQTTPRQRNGELQRALTAVQLTSSRHSATPSTDGRSLSENRSTSDVRSNPDNISRSSSFSSKARLSFTEQVLDTKPTVVPHENGHDKLSNNNLHKLSNTPLHTRLNGTSADDLGDDLKQSSLLDDMTARSPSVKWDEKAEITMSTTSVYCDDVVMDKAEHVQSKCISPEQQEIDHREMETLEQQEALHQKAKQLLVSSGLNHHDEVPSETDNYVDALNTLESETETEPELQTKSRVKPVPSLNVDVPQVELIDNIVTESPDSSVAEFPDAYQNSSMPPAPESAADFPSLSSADAPDISEPVLSGYTANPHPEVSAIATNTPVSNTEDAPGPLEISESASRAYIITLPNQSFPDSKEIPDSKAEDAPIDSPEKLEPGPSSYTPTIPIKESSIVSQNTNAENVSGDCSEGTACAISYSQHIISDKPTNEVSATNSSPDDTSSDEDTVESGGIVEVSNSQPMPLNDSLENGCATQGLPANAPTNSTGVSSVKLWTNAGLFGLEPSKPPVFGAHDGPKEDTTPGHTQPQLCHSTGCPEVHFSKPTESAQVDIPNGNSPITSSFVGKLVGICPGSTSHSSETNQSTVRTPDTVIGQTEGSTGCSTSFEHSDHKNIIGKQTSISELLESEDSAENGAEMFSKTDMTGRNNMNQVSASSFSSIAQRFLANTLQRRTPKYTDLPMSSVIVNTDANGTDESTQISSLAPNETTFEASQFEKKTENDTNGLPKSSLFSSSHYSEKSSPPLEYMKISFHPMSAFEMSKLDLDFSDENLHENADDMMLPTFQLLPGSSVPQLGSGSESEDDTFGRSYSYSSYDDLSPRLYSNSELWDQEDANGLEDHDMHNNPNQIGSFGAPISSFVEFEQMDLSGAKSTVSLTDLGDDNGLGTLDSHPAGELPNFDTLMAHQNEAFIPHNPVSLSPDEGQLPPPPPLPPMQWRTMRQVASVEEGRGSAAKEDMLESTSDLPPVHTPVQEEHLLPIAPPDQQNLLPIAPPDQQGHAKENDRKVDGVKEISNPLDIEIRASLLQQIRDKSGQQKLNGHEKSKAVGNDTKNLDEREELLQQIRSKTFNLRRTNASKTNTSSPTTANSSVVAILEKANAIRQAVASDEGGDDDSWSDI >ORUFI01G07480.1 pep chromosome:OR_W1943:1:5459969:5469092:1 gene:ORUFI01G07480 transcript:ORUFI01G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLHSAPFTLLVKLPGRRRSGLTPPRCAVRGAGNVSSAAVREMAVAEGRRQHEYSIDARLRQLAPEKVSADDRLVDYETLLVARFLDILEDLHGGDFRQVVEDCLRLSGEYQSEGDPARLGELGGLLTSLDVGDAIMVASSFSHMLNLANLAEEIQMVYRKKAEASRRGDFVDEASAPTESDIDETFQRLVRGLGKSPREVFDALRSQTIDLVLTAHPTQSVRRSLLQKHASIRSCLTQLCGEGISDNEEQEIDEALQREILAAFKTDEIRRTPPTPQDEMRAGMSYFHDTIWNGVPKFLRRVDTALKNIGIDERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYFSKMADLMFEVLAALNFRFEFLSTDIVNSDFIPGGDIPSSPCGAATTSSELVPTSCTGREPYRIILGDVRDKLYNTCERARQILSKGISSIPEDQTYTNVEQVAKFKLKNYHSFLEPLELCYRSLCDCGDKLIADGSLLDLMRQVSTFGLSLVKLDIRQESERHTDAMDAITTHLGIGSYREWPEERRQEWLVSELRGRRPLFGPDLPQSEEVADVLGAFRVIAELPADSFGAYIISMATAPSDVLAVELLQRECGVKKPLRVVPLFEKLADLQQARATMELLFSVDWYKERIDGKQEIMIGYSDSGKDAGRLSAAWQLYKAQEEIVGVAERHGVKLTIFHGRGGTVGRGGGPSHLAILSQPPNTVNGSLRVTVQGEVIEKSFGEENLCFRTLQRFTAATLEHGMNPPVSPKPEWRRLLDDMATVATDEYRSIVFQEPRFVEYFRAATPETEYGRMNIGSRPSKRKPGGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFRHAMQQSDAGGGLATLREMYDEWPFFRVTIDLLEMVFAKGDPGIAALYDELLVPDDLRPFGEQLRANYVETQRLLLQVAGHKDLLESDPYLRQRLMLRDSYITALNVCQAYTLKRIRDGGFRPETRPPLSKELLGSSAVAEKLVKLNPNSEYDPGLEDTLILTMKGIAAGMQNTG >ORUFI01G07480.2 pep chromosome:OR_W1943:1:5459969:5469092:1 gene:ORUFI01G07480 transcript:ORUFI01G07480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLHSAPFTLLVKLPGRRRSGLTPPRCAVRGAGNVSSAAVREMAVAEGRRQHEYSIDARLRQLAPEKVSADDRLVDYETLLVARFLDILEDLHGGDFRQVVEDCLRLSGEYQSEGDPARLGELGGLLTSLDVGDAIMVASSFSHMLNLANLAEEIQMVYRKKAEASRRGDFVDEASAPTESDIDETFQRLVRGLGKSPREVFDALRSQTIDLVLTAHPTQSVRRSLLQKHASIRSCLTQLCGEGISDNEEQEIDEALQREILAAFKTDEIRRTPPTPQDEMRAGMSYFHDTIWNGVPKFLRRVDTALKNIGIDERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYFSKMADLMFELSMWRCNDELRARANELHRKDKLYNTCERARQILSKGISSIPEDQTYTNVEQVAKFKLKNYHSFLEPLELCYRSLCDCGDKLIADGSLLDLMRQVSTFGLSLVKLDIRQESERHTDAMDAITTHLGIGSYREWPEERRQEWLVSELRGRRPLFGPDLPQSEEVADVLGAFRVIAELPADSFGAYIISMATAPSDVLAVELLQRECGVKKPLRVVPLFEKLADLQQARATMELLFSVDWYKERIDGKQEIMIGYSDSGKDAGRLSAAWQLYKAQEEIVGVAERHGVKLTIFHGRGGTVGRGGGPSHLAILSQPPNTVNGSLRVTVQGEVIEKSFGEENLCFRTLQRFTAATLEHGMNPPVSPKPEWRRLLDDMATVATDEYRSIVFQEPRFVEYFRAATPETEYGRMNIGSRPSKRKPGGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFRHAMQQSDAGGGLATLREMYDEWPFFRVTIDLLEMVFAKGDPGIAALYDELLVPDDLRPFGEQLRANYVETQRLLLQVAGHKDLLESDPYLRQRLMLRDSYITALNVCQAYTLKRIRDGGFRPETRPPLSKELLGSSAVAEKLVKLNPNSEYDPGLEDTLILTMKGIAAGMQNTG >ORUFI01G07480.3 pep chromosome:OR_W1943:1:5459969:5469092:1 gene:ORUFI01G07480 transcript:ORUFI01G07480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISLHSAPFTLLVKLPGRRRSGLTPPRCAVRGAGNVSSAAVREMAVAEGRRQHEYSIDARLRQLAPEKVSADDRLVDYETLLVARFLDILEDLHGGDFRQVVEDCLRLSGEYQSEGDPARLGELGGLLTSLDVGDAIMVASSFSHMLNLANLAEEIQMVYRKKAEASRRGDFVDEASAPTESDIDETFQRLVRGLGKSPREVFDALRSQTIDLVLTAHPTQSVRRSLLQKHASIRSCLTQLCGEGISDNEEQEIDEALQREILAAFKTDEIRRTPPTPQDEMRAGMSYFHDTIWNGVPKFLRRVDTALKNIGIDERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYFSKMADLMFELSMWRCNDELRARANELHRKDKLYNTCERARQILSKGISSIPEDQTYTNVEQFLEPLELCYRSLCDCGDKLIADGSLLDLMRQVSTFGLSLVKLDIRQESERHTDAMDAITTHLGIGSYREWPEERRQEWLVSELRGRRPLFGPDLPQSEEVADVLGAFRVIAELPADSFGAYIISMATAPSDVLAVELLQRECGVKKPLRVVPLFEKLADLQQARATMELLFSVDWYKERIDGKQEIMIGYSDSGKDAGRLSAAWQLYKAQEEIVGVAERHGVKLTIFHGRGGTVGRGGGPSHLAILSQPPNTVNGSLRVTVQGEVIEKSFGEENLCFRTLQRFTAATLEHGMNPPVSPKPEWRRLLDDMATVATDEYRSIVFQEPRFVEYFRAATPETEYGRMNIGSRPSKRKPGGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFRHAMQQSDAGGGLATLREMYDEWPFFRVTIDLLEMVFAKGDPGIAALYDELLVPDDLRPFGEQLRANYVETQRLLLQVAGHKDLLESDPYLRQRLMLRDSYITALNVCQAYTLKRIRDGGFRPETRPPLSKELLGSSAVAEKLVKLNPNSEYDPGLEDTLILTMKGIAAGMQNTG >ORUFI01G07480.4 pep chromosome:OR_W1943:1:5468697:5469939:1 gene:ORUFI01G07480 transcript:ORUFI01G07480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALPALWIIFPLGPLSDQRKILQASLGTAVSATTSPPFAATTPAAVRRHHSAAGRRQPRRWAPLGAAGTRRPTAATKL >ORUFI01G07490.1 pep chromosome:OR_W1943:1:5470911:5476341:1 gene:ORUFI01G07490 transcript:ORUFI01G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSARQRRATAASPPTDDGYSKEAKDRRRRPSGEEEEEGIRWFLPFLALGLLRHMSASSNLIHDCDEVFNYWEPLHFLLYRSGFQTWEYSSNFALRSYLYLFIHALVAGPASMIFGEHKVRVFYSVRIFLGLISTITETVLVVALSRKYGKRLACYVLAMLCLTSGCFFASTSFLPSSFSMYAVTLSSALFLLENYAAAVSVAAAGVILGWPFSILVFLPITVLSVIADYYCYGKWTASVFNLLKYNVFGGGESHLYGTEGPSFYFKNGFNNFNFAFILALLFLGFVPFARKKYVPDLLIVVSPVYIWLAFMSLQAHKEERFLYPIYPLICVAAAAVIDTFPDFFHDKYSSEQSIFEKIAKGLRPLILGFILCASHSRTFSMLNGYGAPIQIYQHLEHHEDTGPGSVLCVGSEWHRYPSSFFIPSYISEVWWIDDGFRGLLPFPFNETLGGTTAAPSYFNDKNKASDEQYLKDIGACSLLVELDLRRPYPSRGSDLSTWETLAALPFLDRELSPALYRSFFIPHRWQQNNVFGLYKLLRRLPTDQA >ORUFI01G07490.2 pep chromosome:OR_W1943:1:5470911:5476341:1 gene:ORUFI01G07490 transcript:ORUFI01G07490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSARQRRATAASPPTDDGYSKEAKDRRRRPSGEEEEEGIRWFLPFLALGLLRHMSASSNLIHDCDEVFNYWEPLHFLLYRSGFQTWEYSSNFALRSYLYLFIHALVAGPASMIFGEHKVRVFYSVRIFLGLISTITETVLVVALSRKYGKRLACYVLAMLCLTSGCFFASTSFLPSSFSMYAVTLSSALFLLENYAAAVSVAAAGVILGWPFSILVFLPITVLSVIADYYCYGKWTASVFNLLKYNVFGGGESHLYGTEGPSFYFKNGFNNFNFAFILALLFLGFVPFARKKYVPDLLIVVSPVYIWLAFMSLQAHKEERFLYPIYPLICVAAAAVIDTFPDFFHDKYSSEQSIFEKIAKGLRPLILGFILCASHSRTFSMLNGYGAPIQIYQHLEHHEDTGPGSVLCVGSEWHRYPSSFFIPSYISEVWWIDDGFRGLLPFPFNETLGGTTAAPSYFNDKNKASDEQYVFSLAFKLYSTYFLLTILRVTTSLHFWLQLKDIGACSLLVELDLRRPYPSRGSDLSTWETLAALPFLDRELSPALYRSFFIPHRWQQNNVFGLYKLLRRLPTDQA >ORUFI01G07510.1 pep chromosome:OR_W1943:1:5481320:5493949:1 gene:ORUFI01G07510 transcript:ORUFI01G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSDDLVYMAKLAEQAERYDEMVEAMNSVAKLDEGLTKEERNLLSVGYKNLIGAKRAAMRIIGSIELKEETKGKESHVRQTAEYRRKVEAEMDKICCDVINIIDKYLIPHSSGAESSVFYYKMKGDYYRYLAEFKTGTEKIETASKTAQTDLTPTDPIRLGLALNISVFYCEIMNSPDKACQLAKNAFDEAVAELPSLSEENYKDSTLIMQLLRDNLALWNSDMADDADDIRERTDTTGAKGDPAA >ORUFI01G07520.1 pep chromosome:OR_W1943:1:5494648:5498971:-1 gene:ORUFI01G07520 transcript:ORUFI01G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGGSRAAPPADSAAVAAVAKEAEYQKGVQKLVDLLSKLNPAAKEFVPSSAAVSSPSRKALSADAPVFDYNSIGGWNGGGKESGADAYQQRRRRNGYLSQGRRRMNERARHADREDSIRRTVYVSDIDHTVTEERLADIFANCGQVVDCRICGDPHSVLRFAFIEFADEEGARTALNLGGTMLGFYPVRVLPSKTAILPVNPKFLPRTEDEKEMVIRTVYCTNIDKKVTQLDVKNFFEELCGEVSRLRLLGDNVHSTRIAFVEVSPSKTPVKPRLNRVASN >ORUFI01G07530.1 pep chromosome:OR_W1943:1:5499075:5504431:-1 gene:ORUFI01G07530 transcript:ORUFI01G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLGSVGESRFGTRWLRFVRHWSGEKMMDDSNSKDKTHSPLIDAIGESSSAQHHHAVASPNLHLLLLRPPQSHRMASLL >ORUFI01G07540.1 pep chromosome:OR_W1943:1:5505635:5512007:-1 gene:ORUFI01G07540 transcript:ORUFI01G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQAKEKCPLCTEEMDLTDKQLKPYLSLVLASDNGNGSEGRMWREMPRVSLKELCADKSNFQKEQAKSQKQKPVKVQSGVTEESIDPYSVRVIQRRLVYIVGMPSEFASDKVLRQHNFLGQYGKIESIIIDNIGANQQIPDSGRVYVTFSREEEAFRCIEAVNGFILDGRPLKATFGVTRYCHVWLSNKVCRKPICSYVHQKAPPEDICTKDDVAVYCARLQHLLGMDTKGLRSGNTLPPPGDCVSRTTVCNGNSKDKTCSDDYGVLHNHGNKNLGTLPATTLQEEKKRNSTPNNQQGLSASVSQELPPLGPKVHHLNDQLASCGDKPQASVQSANGNLNSKQVTAAGNGTVGTLSAKQYVNVVSQGSSGSGRRFTVLTRQTASSDTRSKATGQVGNASSDSQKLTSANNEHSDRIKISRSDNVKLVSQRPEEPSQMLANHLTGAIDKTHVDTDEKNARSDINEKTVCGIQMQLKESTAAHRSTVLQSLRDNPMSNNLPTLDVKSQISVVPDKPSDSQSASKTQLQPSNHKKTAVCSSDTANASDACGIANNQVLFPGGKHQTSSQGEDHSLYKRDKSQSGDQLSSQHPGNVFSPRLLTSLSSIDITAKENKGIKRHVCPPGFEELHRPSDSDKITSVSSPTYSIMCSGPDTLVQDSCSAKDQPDFISWVSECLEDGGETTQSNRSIPSTLSSTDATWRYMQYPASCFSGASNHFLVSPYPRGLSQHTVGRIENTMNCCCSHPSVSGIANHKPEYWSGSDHSYMSTGGYDVFSQSATLGMIAGMVGTSPQQPSPPVHYNDWTTGSADSDLKSPQVDHTYPMYSLF >ORUFI01G07540.2 pep chromosome:OR_W1943:1:5505635:5512007:-1 gene:ORUFI01G07540 transcript:ORUFI01G07540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQAKEKCPLCTEEMDLTDKQLKPCKCGYEIMEMDQKEECGGRCPACRSIYNKDRIMGTSISNQMHELCADKSNFQKEQAKSQKQKPVKVQSGVTEESIDPYSVRVIQRRLVYIVGMPSEFASDKVLRQHNFLGQYGKIESIIIDNIGANQQIPDSGRVYVTFSREEEAFRCIEAVNGFILDGRPLKATFGVTRYCHVWLSNKVCRKPICSYVHQKAPPEDICTKDDVAVYCARLQHLLGMDTKGLRSGNTLPPPGDCVSRTTVCNGNSKDKTCSDDYGVLHNHGNKNLGTLPATTLQEEKKRNSTPNNQQGLSASVSQELPPLGPKVHHLNDQLASCGDKPQASVQSANGNLNSKQVTAAGNGTVGTLSAKQYVNVVSQGSSGSGRRFTVLTRQTASSDTRSKATGQVGNASSDSQKLTSANNEHSDRIKISRSDNVKLVSQRPEEPSQMLANHLTGAIDKTHVDTDEKNARSDINEKTVCGIQMQLKESTAAHRSTVLQSLRDNPMSNNLPTLDVKSQISVVPDKPSDSQSASKTQLQPSNHKKTAVCSSDTANASDACGIANNQVLFPGGKHQTSSQGEDHSLYKRDKSQSGDQLSSQHPGNVFSPRLLTSLSSIDITAKENKGIKRHVCPPGFEELHRPSDSDKITSVSSPTYSIMCSGPDTLVQDSCSAKDQPDFISWVSECLEDGGETTQSNRSIPSTLSSTDATWRYMQYPASCFSGASNHFLVSPYPRGLSQHTVGRIENTMNCCCSHPSVSGIANHKPEYWSGSDHSYMSTGGYDVFSQSATLGMIAGMVGTSPQQPSPPVHYNDWTTGSADSDLKSPQVDHTYPMYSLF >ORUFI01G07550.1 pep chromosome:OR_W1943:1:5528883:5532244:-1 gene:ORUFI01G07550 transcript:ORUFI01G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKGELEQIALPAAHPPPADVRAIDLSATGPARAAAARALVAACEEQGFFRVTGHGVPPGLVRAAEAAAARFFALPQPDKEAAAGAPLGYASKRIGSAGDLGWIEYLLLCLAPAAAAAALPCAATSPTPPCPLRELLREYSAAVRRVACGVLELMAEGLGVGPADALARLVAREDSDSILRVNHYPPRPDQLGGGGGPNLTGFGEHTDPQIISVLRSNGAPGLEISLRDGAWASVPHDGDGDSFFVNVGDTLQVLTNGRFRSVKHRVVVNSEKSRVSMVFFGGPPPGERLAPLPALLGDGGRSRYREFTWKEYKGSGCKGRLADDRLCRFEN >ORUFI01G07560.1 pep chromosome:OR_W1943:1:5543701:5545647:-1 gene:ORUFI01G07560 transcript:ORUFI01G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDTPEKPYEGKPAAEARSYWRWQKEDFFPEPSFASWGAYRAALAATPARFRDRFAGRSTDADELGALRRRSENEMRRCLTWWDLTWFGFGSVIGAGIFVLTGQEAHDHAGPAIVLSYVASGLSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDVAAFIAAANLILESIIGTAAVARSWTSYLASLINKPASALRIQTSLAEGYNELDPIAVVVIAVTATLAILSAKGTSRVNWVASAVHVLVIAFVIVAGFLHAKTSNLTPFMPHGVPGVFRAAAIVYFAYGGFDNIATMAEETKNPSRDIPLGLLGSMSVITAIYCVMALVLSMMQPYTAIDRSAAYSVAFSNVGMRWAQYVVALGALKGMTTVLLVGALGQARYTTHIARSHIIPPVFALVHPRTGTPVHATVLIAAAGACIGLFSSLDVLSSLLSVSTLFIFMMMATALLVRRYYVRGVTSRTHARRLVALLSVVIGSSAGIAAYWGAAPERWVGYTVLVPAWAAGTLGIQLLVPAARAPKVWGVPLVPWLPSLSIATNLFLMGSLGAQAFIRFGVCTAIMLLYYVLVGLHATYDVAHGACSGDDVDEEVYADAAHVDDAKAAAAVAANADVERAGAKI >ORUFI01G07570.1 pep chromosome:OR_W1943:1:5550788:5551677:1 gene:ORUFI01G07570 transcript:ORUFI01G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSGGAERRISPSQLWTTTSPSPSPPSPSLEDGWVSKPRMTAARKAPLPLNRLTLLLRINLELLSLDHLSGIGPVIGRKSPSVVDCGGREFRLQTTTSDDLRVRGWHESRSTLDVKKSRLWNCGALDIGPHPVDARRLVR >ORUFI01G07580.1 pep chromosome:OR_W1943:1:5556524:5559057:-1 gene:ORUFI01G07580 transcript:ORUFI01G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEVPKNLLRISIPSSTQDTERYQTILHNRMIPSSYQVSDDSTHVSGDTYKYHVILIRYHAIFYHVSCDTRKVSYDFNHVSRDTHEVSCDTCRVSDDFYHVSRDTREVSDDFYHVSRDTCEVSDDTYQVSVHLGMETSSSDFSSTTEVAATPSSAMRIILRIRLSPAWTPEEDACLERLARGYGFRHWRRVAEEMQPRERRRSPKQCRDRWRDHLARDVYHRPFTADDDAELAHLRLRGGGGDRWKDISRAAHCRTSRAMRRRWRELRKSDAFLRALYWHPDQPVPPLLDDALSCSDVLDSSVASYRGGCDAVAGGGTIVSPGFACFAA >ORUFI01G07590.1 pep chromosome:OR_W1943:1:5559720:5563524:1 gene:ORUFI01G07590 transcript:ORUFI01G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSLICALDCTATPGTRGAPAPVAPRRRVARVRLAPRCQWRPLTARAQAAATQPDPEHQAPANGGPSAFPTTALKVGAGVALALALGGASWRARGGSAGPVLMQPAAVCSLNVVTDSASRATAERSAAAAATMRTSVDALSDSLFRREDAPRDRATLMDLVFEQVTKEQIGDRGKLTSLLQKEWSASRDSERKLDLGLLLTDVLINQREWQRAKEVCQQLTGRYQRDSRPYLHLAVINMMMAVETMLSPETANSDDIEKMSKNAMDAWKEFKTKYEHARGSTDSST >ORUFI01G07600.1 pep chromosome:OR_W1943:1:5561238:5563352:-1 gene:ORUFI01G07600 transcript:ORUFI01G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVRMRVRVRSPPVMQSKLLCLSLLYLLTTLPLALYVSFSDPASRCLVFLPFRSSAPSSAASAALFEYPREYGEHKHAIPATRALCSDPAVFSGRSLSVREGHYKTVLEEINKLCRNLSASPYAKPALRYQNGRRNSFAGNLSTVERRSFFNHTDSAVEIPCGFFKEFPVRESGDFFGQFAFFIEEKILVAHRLAMEKCNGVVVASAIFNDHDKIRQPKGLGSETLRTVCFFMFIDDATHRVLASHNILAGERGEAGTVGAWRVARLVAGAGGDHRLPYENPAMNGVIVKYLLHRLFPNARFSVWVDAKMQLTVDPLLLVHSFVAGKGADMAVSKHPFNLHTMEEAIATARWRKWGDVDAIRAQMETYCRNGLQPWSPIKLPYPSDVPDTAIIIRRHGLASDLFSCLLFNELEAFNPRDQLAFAYVRDQMSPKVIMNMFDVEVFEHIAVEYRHNLKRGNGGAGGKQGITRMASSGDIAGSSCERYLLKMWGETTE >ORUFI01G07610.1 pep chromosome:OR_W1943:1:5564318:5565441:-1 gene:ORUFI01G07610 transcript:ORUFI01G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSGLMLLLLAAAAVASASVPPSCERIECPSYEVVDSANGFEIRRYSDAMWASTAPIEDISFVAATRTGFLQLFNYIQGKNAYNETIEMTAPVLTQVAPSDGPFCVSSFVVSFYVPAKNQPDPPPAEGLHVQRWAGARYAAVRRFGGFVADSDVGEQAALLDASLQGTRWAAAVSDGRRADPTSSYTVAQYNSPFEFSGRVNEIWMLFDAKDASDM >ORUFI01G07620.1 pep chromosome:OR_W1943:1:5567753:5568256:-1 gene:ORUFI01G07620 transcript:ORUFI01G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQAIEAHREGAEVYHGAALCAEKAVELLAEIHMPLGLLPLAEMEEVGYNRATGFVWLRQKKAITHTFKQISRQVSYAAEVTAFVEDRRMKRVTGAKTKELLIWVSLSDMFIDKDDASKITFKTPTGLGRTYPVDAFAKEDDGKGKVPAAAAAANGKETAVNGKAK >ORUFI01G07630.1 pep chromosome:OR_W1943:1:5574085:5578439:-1 gene:ORUFI01G07630 transcript:ORUFI01G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding METISNIFHNDPLPPLGARANQSIKLRKFIISPYDSRYRTWETFLLVLVVYSAWICPFELAYLRNLSWKVSLVDNIIDSFFAIDIILTFFLAYLDQKSYLLVDDPKRIVAGYLACFDYGVSGASVTLFAVHCSGCFNYLIADRYPNPARTWIGAAIPNYRSQNLWVRYVTAIYWSITTLTTTGYGDLHAENQREMLFSICYMLFNLGLTAYLIGNMTNLRDTIHAASQFAARNQLPGHIKDEMLSHICLRYKTEGLKQKETLDSLPKGIRSSIACNLFLPVIEKVYLFHGVSFTCMIQLVTEMEAEYYPPREVVILQNEAPRDVYILVSGAVEERVEIDGTEKVQEVLCDGEIFGEIGVICSIPQPCAFHTIKVSQLLRLNTAVLKNIIKENSDDRRVILNNLSQKMNQDHRFSTEVMEKSLQMMHQHFGEYNRCSALNQDNEKNELKANNGHSMALEWKRVTIHMYSQRNKRPEAPLAKVINLPGSLDKLFAIACQKFNNYRLTKLVNPEFAEIDDITNFGAM >ORUFI01G07630.2 pep chromosome:OR_W1943:1:5574085:5578439:-1 gene:ORUFI01G07630 transcript:ORUFI01G07630.2 gene_biotype:protein_coding transcript_biotype:protein_coding METISNIFHNDPLPPLGARANQSIKLRKFIISPYDSRYRTWETFLLVLVVYSAWICPFELAYLRNLSWKVSLVDNIIDSFFAIDIILTFFLAYLDQKSYLLVDDPKRIVADISLPGSFLMSVQRFHINCLGKSSKSMKMALLIGYLACFDYGVSGASVTLFAVHCSGCFNYLIADRYPNPARTWIGAAIPNYRSQNLWVRYVTAIYWSITTLTTTGYGDLHAENQREMLFSICYMLFNLGLTAYLIGNMTNLRDTIHAASQFAARNQLPGHIKDEMLSHICLRYKTEGLKQKETLDSLPKGIRSSIACNLFLPVIEKVYLFHGVSFTCMIQLVTEMEAEYYPPREVVILQNEAPRDVYILVSGAVEERVEIDGTEKVQEVLCDGEIFGEIGVICSIPQPCAFHTIKVSQLLRLNTAVLKNIIKENSDDRRVILNNLSQKMNQDHRFSTEVMEKSLQMMHQHFGEYNRCSALNQDNEKNELKANNGHSMALEWKRVTIHMYSQRNKRPEAPLAKVINLPGSLDKLFAIACQKFNNYRLTKLVNPEFAEIDDITNFGAM >ORUFI01G07630.3 pep chromosome:OR_W1943:1:5573885:5578439:-1 gene:ORUFI01G07630 transcript:ORUFI01G07630.3 gene_biotype:protein_coding transcript_biotype:protein_coding METISNIFHNDPLPPLGARANQSIKLRKFIISPYDSRYRTWETFLLVLVVYSAWICPFELAYLRNLSWKVSLVDNIIDSFFAIDIILTFFLAYLDQKSYLLVDDPKRIVADISLPGSFLMSVQRFHINCLGKSSKSMKMALLIGYLACFDYGVSGASVTLFAVHCSGCFNYLIADRYPNPARTWIGAAIPNYRSQNLWVRYVTAIYWSITTLTTTGYGDLHAENQREMLFSICYMLFNLGLTAYLIGNMTNLRDTIHAASQFAARNQLPGHIKDEMLSHICLRYKTEGLKQKETLDSLPKGIRSSIACNLFLPVIEKVYLFHGVSFTCMIQLVTEMEAEYYPPREVVILQNEAPRDVYILVSGAVEERVEIDGTEKVQEVLCDGEIFGEIGVICSIPQPCAFHTIKVSQLLRLNTAVLKNIIKENSDDRRVILNNLSQKMNQDHRFSTEVMEKSLQMMHQHFGEYNRCSALNQDNEKNELKANNGHSMALEWKRVTIHMYSQRNKRPEAPLAKVINLPGSLDKLFAIACQKFNNYRLTKLVNPEFAEIDDITVIRDDWSTGHERAF >ORUFI01G07640.1 pep chromosome:OR_W1943:1:5581494:5587242:1 gene:ORUFI01G07640 transcript:ORUFI01G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSAPSSSAAAAARAAPHRPRLRSRRSLRPPPQPQPRVLPSSVACRSSALRDFQFRNISTRPTSRRDVLPVASAFDEDLGDFSLVHADGDDPLEVVVYSSESDWSDEEVVLNPTSDVELPLKSKSRFEGVEGAMTVAAHRTQQGLMNNVGLIAFLAILLLFVDWCSWRIVRLPLDSFYLTRPFLISSVLSALAGFLFAPVADNMKIHHFRRRGKLMSPSYRKPTPAMGGLFFVPIGIFVARRQVGSSTGVNGAAIITLIFAMVGFLDDISNLVMDRNRKIPHWMRLFVQIVAGIYLSIWLSSTNISTPYNTKFLVPLPPPLGLAFIGKIYLVLAAICSLSMGTGVTLVDHLDGLAGGVAALALAGLSVASLPICSEMSVFGASMSGACTGFLFHNRYRASIVMGRVGSFALGGAIATIAACSGMFIPMFIACSIFLLELLLVIFQVPFQMAKKHFLGTNRYPLRILPSYYFLKSWGIKEPYIVAGAYIISCLLTAFAGYLGLVSASDLARPSQRSKMPLTYRRQITVDMNSVENKEYSQKYLQISTSGQNTFAGG >ORUFI01G07640.2 pep chromosome:OR_W1943:1:5581494:5587242:1 gene:ORUFI01G07640 transcript:ORUFI01G07640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSAPSSSAAAAARAAPHRPRLRSRRSLRPPPQPQPRVLPSSVACRSSALRDFQFRNISTRPTSRRDVLPVASAFDEDLGDFSLVHADGDDPLEVVVYSSESDWSDEEVVLNPTSDVELPLKSKSRFEGVEVADNMKIHHFRRRGKLMSPSYRKPTPAMGGLFFVPIGIFVARRQVGSSTGVNGAAIITLIFAMVGFLDDISNLVMDRNRKIPHWMRLFVQIVAGIYLSIWLSSTNISTPYNTKFLVPLPPPLGLAFIGKIYLVLAAICSLSMGTGVTLVDHLDGLAGGVAALALAGLSVASLPICSEMSVFGASMSGACTGFLFHNRYRASIVMGRVGSFALGGAIATIAACSGMFIPMFIACSIFLLELLLVIFQVPFQMAKKHFLGTNRYPLRILPSYYFLKSWGIKEPYIVAGAYIISCLLTAFAGYLGLVSASDLARPSQRSKMPLTYRRQITVDMNSVENKEYSQKYLQISTSGQNTFAGG >ORUFI01G07650.1 pep chromosome:OR_W1943:1:5587931:5595968:-1 gene:ORUFI01G07650 transcript:ORUFI01G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRTNPPGSMVESFHGLVVVDLRTAAPLLATAVALYILIEQLSYHRKKGSMPGPPLVVVPFLGSVTHLFRDPVGFWDLQATRASKSGAGLTADFLFGRLMVFIRDSELSRRVFANVRADAFHLVGHPFGKKLFGDHNLIYMVGKEHKDLRRRIAPNFTPRALSTYAVIQQRVILSHLRRWIDRSVANGGKAEPIRVPCRDMNLETSQTVFVGPYLTVETRERFDRDYNLFNHGFITLPIDLPGSAFRRARLAVPRLKHILEDCARQSKQRMRGGGEPECLVDYLMQETVREIDEAAAAGLPPPPHTSDMETGNLLFDFLFAAQDASTSSLCWAVSALDSHPDVLARVRAEVAALWSPESGEPITAEMMTEMKYTQAVARREVVRYWPPGPVVPYIAGEAFQLTEQYTIPKGTIVFPSVYESSFQGFPDAGTFDPERFFSEARREDVVYKRNFLAFGAGPHQCVGQRYALNHLVIFMALLASLIDFRRERTEGCDVPVYMPTMVPRDGCVVHLKQRCAKSSRAFSTPRTMRTSTDPSGSIESFHGLVHLRTAAPLLAAAVALYMLIEQLSYHRKKGSMPGAPLVVPFLGSAAHLIRDPVGFWDVQAALARKSGAGLAADFLFGRFTVFIRDSELSHRVFANVRADAFHVVSHPFGKKLFGEHNLVYLVGEEHKDLRRRIAPNFTPRALSTYAVIQQRVIIAHLRRWLDRSASNGGKAEPIRVPCRDMNLETSQTVFMGPYLTEKARERFDRDYNLFNVGFITLPVDLPGFAFRRARLAGARLMHTLGDCARQSRQRMLGGGEPECLLDYLMQETVREIDEATAAGLPPPPHTSDVEVGALLFGFLFAAQDASTSSLCWAVSALDSHPNVLARVRAEVAALWSPESGEPITAEMMSAMKYTQAVAREVVRYHPPATLVPHIAVEAFQLTAQYTIPKGTMVFPSVYESSFQGFQDADAFDPDRFFSEARREDVVYKRNFLAFGAGSHQCVGQHYALNQLVLFVALFASLVDFRRERTEGCDVPVYMPTMVPRDGCVVYLKQR >ORUFI01G07660.1 pep chromosome:OR_W1943:1:5613973:5615503:-1 gene:ORUFI01G07660 transcript:ORUFI01G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSLLYGLLDLRMAAPLLAAAVALYILVEQLSYHRKKGSLPGPPLVVPFIGSATHMIRDPTGFWEMQAARARKSGVGFTADFLAGKFTIFIRDSELSNRVFANVRPDAFFVIGHPFGKKLFGDHNLIYLFGDDHKDLRRRMATNFTPRALSTYAAIQQRGIVSHLRRWLDRSAANGGKAEPIRVPCRDMNLETSQTVFAGPYLTEEARERFKSDYNLFNVGLLAFPVDLPGLAFRRARQAVARLVRMLRDCARESKARMRAGGEPECLVDYWMQETVREIDEAKAAGLPPPAHISDDEEIGGFLFDFLFAAQDASTSSLCWAVSALDSGRARPRARRGGLPLVVRHRPPATLMPHIALQNFQLTESYTIPKGTLVLPSMYESSFQGFHDPDAFDPERFFSEARREDVVYKRNFLAFGAGPHQCVGQRYALNHLVIFMALFVSLVDFRRERTEGCDVPVYMPTIVPRDGCVVYLKQRCANLPSF >ORUFI01G07670.1 pep chromosome:OR_W1943:1:5629192:5630718:-1 gene:ORUFI01G07670 transcript:ORUFI01G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVDFLDLRAAAPFVVAALAFYFLVEQLSYHRKKGPLPGPPLVVPFVGSVAHMIRDPTGFWDAQAARARKSGAGLAADFLIGRFVVFIRDSELSHRVFANVRPDAFHLIGHPFGKKLFGDHNLIYMFGEDHKDLRRRIAPNFTPRALSTYAAIQQRVILSHLRRWLDRSAANGGKAEPIRVPCRDMNLETSQTVFAGPYLTKEAREKFERDYNFFNVGLMALPVDLPGFAFRSARLGVARLVRTLGECARASKARMRAGGEPECLVDFWMQETVREIDEAKAAGKPPPAHTDDEELGGFLFDFLFAAQDASTSSLCWAVSALDSHPDVLAGVRAEVASLWSPESGEPITAEKIAEMKYTQAVAREVVRHRPPATLVPHIAGEEFQLTEWYTIPKGTIVFPSVYESSFQGFPEPDTFDPERFFSEARREDVVYKRNFLAFGAGPHQCVGQRYALNHLVLFMALFVSVVDFRRDRTEGCDEPVYMPTIVPRDSCTVYLKQRCAKFPSF >ORUFI01G07680.1 pep chromosome:OR_W1943:1:5648303:5649617:-1 gene:ORUFI01G07680 transcript:ORUFI01G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPTMATAWPEFGGGHHHHAAHGHHHQRSPSMGAFLAAPLPPFPLPPPAPANGGAQQQQQQHQPSWVDEFLDFSATKRGAHRRSVSDSVAFLDPVSDDNAGVGAHDFDRLDDDQLMSMFSDDLQPPPPQQQPAAPAASASSPSDHNSMNDEKQDKGETDEAQSECDGATPGQPASPATVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRSLLTLGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQIYHQQSLKNAESQPADAAPVRGRDNADLIGSEGAAAAAPCPHS >ORUFI01G07690.1 pep chromosome:OR_W1943:1:5662235:5664084:-1 gene:ORUFI01G07690 transcript:ORUFI01G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSRSCAWPCGLGMAGGGQEGSGNRQGVGDGVPPDGGRDSEARRKMVTALGGYGSPRGCGVPRQWQSEHCESDKVEADPIEQIQRGFSIYRANTEGKGVVDGGFTCFAMGIGRVVSAGTAAASAGPIGSSQWRLRQQK >ORUFI01G07700.1 pep chromosome:OR_W1943:1:5665516:5680983:1 gene:ORUFI01G07700 transcript:ORUFI01G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFWRPGSEKPTAAIVEDEEGGVLFLPTSTSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQGMTMIKFLTDGVLIREMMEDPLLTKYRRKNSMLESADHLPNPEPAILSVEGKGYTVEIHYVEEPVSDYLQAAVNTVLIIHEKEPPGDILVFLTGQDDIDAAVKMLNEEIQHRGRHYLGCYSSDDLLILPLYSGLPRGDQDLIFTPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEFYLKEMQPEGIPEMQRSNLVSCITQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLGILDEDAKLTVPLGFQVAEIPLSVWVSMRGVKKEFDEAKLRFAAAEKKVIDIREQLVRIIKRFGIPLTSCDRDMEAVRKAIIAGAFAYACHLEGRFYSHTSPTYSQNVISLKKPNIRVNPKWVIYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPALH >ORUFI01G07700.2 pep chromosome:OR_W1943:1:5665516:5679635:1 gene:ORUFI01G07700 transcript:ORUFI01G07700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFWRPGSEKPTAAIVEDEEGGVLFLPTSTSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQGMTMIKFLTDGVLIREMMEDPLLTKYRRKNSMLESADHLPNPEPAILSVEGKGYTVEIHYVEEPVSDYLQAAVNTVLIIHEKEPPGDILVFLTGQDDIDAAVKMLNEEIQHRGRHYLGCYSSDDLLILPLYSGLPRGDQDLIFTPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEFYLKEMQPEGIPEMQRSNLVSCITQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLGILDEDAKLTVPLGFQVAEIPLSVWVSMRGVKKEFDEAKLRFAAAEKKVIDIREQLVRIIKRFGIPLTSCDRDMEAVRKAIIAGAFAYACHLEGRFYSHTSPTYSQNVISLKKPNIRVNPKWVIYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPALH >ORUFI01G07700.3 pep chromosome:OR_W1943:1:5665516:5685620:1 gene:ORUFI01G07700 transcript:ORUFI01G07700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFWRPGSEKPTAAIVEDEEGGVLFLPTSTSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQGMTMIKFLTDGVLIREMMEDPLLTKYRRKNSMLESADHLPNPEPAILSVEGKGYTVEIHYVEEPVSDYLQAAVNTVLIIHEKEPPGDILVFLTGQDDIDAAVKMLNEEIQHRGRHYLGCYSSDDLLILPLYSGLPRGDQDLIFTPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEFYLKEMQPEGIPEMQRSNLVSCITQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLGILDEDAKLTVPLGFQVAEIPLSVWVSMRGVKKEFDEAKLRFAAAEKKVIDIREQLVRIIKRFGIPLTSCDRDMEAVRKAIIAGAFAYACHLEGRFYSHTSPTYSQNVISLKKPNIRVNPKWVIYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPALH >ORUFI01G07700.4 pep chromosome:OR_W1943:1:5665516:5678516:1 gene:ORUFI01G07700 transcript:ORUFI01G07700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFWRPGSEKPTAAIVEDEEGGVLFLPTSTSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQGMTMIKFLTDGVLIREMMEDPLLTKYRRKNSMLESADHLPNPEPAILSVEGKGYTVEIHYVEEPVSDYLQAAVNTVLIIHEKEPPGDILVFLTGQDDIDAAVKMLNEEIQHRGRHYLGCYSSDDLLILPLYSGLPRGDQDLIFTPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEFYLKEMQPEGIPEMQRSNLVSCITQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLGILDEDAKLTVPLGFQVAEIPLSVWVSMRGVKKEFDEAKLRFAAAEKKVIDIREQLVRIIKRFGIPLTSCDRDMEAVRKAIIAGAFAYACHLEGRFYSHTSPTYSQNVISLKKPNIRVNPKWVIYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPALH >ORUFI01G07710.1 pep chromosome:OR_W1943:1:5682240:5682647:-1 gene:ORUFI01G07710 transcript:ORUFI01G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGKPSTSDSLVLATAASKQSPPSLVAPPPAAAMTRPFLIVPTGGRDTLAVVVKGINGRGASPPWRPASPGGQRLRGTSDRGAEGEAQRDERHGGRRSVVAATRVEDGDAAVAGWQPAQGRWPGTLPGEQTMTL >ORUFI01G07720.1 pep chromosome:OR_W1943:1:5687057:5694841:1 gene:ORUFI01G07720 transcript:ORUFI01G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRRSFPLVPLLLFLLAAAAYGRLISDGSPASASATSLLSNPVSAVIRLTTSNSASASSPPAAAPEEKCEQSYGFLPCTTTVLGNLFLVLAYGFLMYKAATFLSAGSELLLEIMGPGLVGGLLLPILGALPDALLVLVSGLSGSRETAQSQVLIGMGLLAGSTVFLLTVLWGTCVVVGKCDIGPNGVAVDLQNNKGFSLTGTGISTDVQTSYAARIMGISVIPFIIAQFPKMLKTHHGQRLAVLLALIVSFSLVLAYCLYQVFQPWIQKRKLAYAKHKHVISGILRHAQMEALGRLLNEDGTPNEDVIKKLFHKIDMDESQTLSRAELHALIIGINFEEVDFDKNDAVDKIMDDFDTSGNDIVEEAEFVSGMKRWLNEAKRSVPTSGAYSNKFITDYHARTRQEHDLLVDRSDETVESVENPGWCITKAVGLLLLGSAIAAAFADPLVDAVHNFSNASHIPSFFISFIALPLATNSSEAVSAIIFASRKKLRTSSLTFSEVYGGVTMNNTLCLGVFLALIYIRNLTWDFSSEVLIILLVCVIMGLFTSFRTTFPLWTCLVAYMLYPLSLVVVYILDFVFGWS >ORUFI01G07730.1 pep chromosome:OR_W1943:1:5692647:5694367:-1 gene:ORUFI01G07730 transcript:ORUFI01G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEHGGSNHLQQQHQHQLAKYGGGVAGTGVARASRKNKPKKVPQRGLGVAQLEKLRIEEQKKMEGGAALSSHGALGGGDGVLGHLPPPPPPSLALSALQSRSAADGGFPAALWSPVDPIKHPYKRSLCPQPPSPMVSTGLSLTASARHPTEPPSNQMYSSSGSRSSAAAAEEERETGGVDRSWPFMFEGMAAFRTTTSRAPPLVAPFSARTTTTGELAGLADVGPDLSRYEFRATNYFSANANYSDWTSDFAHCKSSKENGRARDPAFLTLSSQPPHLIKQPHVGSNISSSSSQPFYSFLPVGPVRCERTLSECKTDVSEGVDLELKLGNC >ORUFI01G07740.1 pep chromosome:OR_W1943:1:5694992:5710101:-1 gene:ORUFI01G07740 transcript:ORUFI01G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCKSMVKTMECKSQEHKRRITFGSWTLVEHRGSAETGNVGEARRPEPGGETRERDKKGGERVAEPSGMPETRRIITIVP >ORUFI01G07750.1 pep chromosome:OR_W1943:1:5738205:5740019:1 gene:ORUFI01G07750 transcript:ORUFI01G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRARRTGAAYVDDERERDITFFKRRNGLFKCASDLSILTGASVAVVIEDQNRSKFHAVGTPTVQAVVDAALSSDVEEAAAEARPVADEQLMERIAPLERELAWLKGEAAEKDETTKASKARFKMAQKKEENEEEGDTKKKKLFFSKPDKLSSDEMNELLAEMLEIKKELNVRLPPLRRRGGKRPIQGSSVPPPPPPPPPPPQPEQQLQLPQWPNLSGPHNQLLPVAPPPFVADQPPPPPPPAAGGSLWIPELPPPPVEGSPWAGLLPLRPPRFAGMEPSFLESQQAPPPAQVSTQLAPLPLIREEAPLLQEPFLFADQAPVLAPLPAPLQMPVAETHLPLQAPLLQEPFLFSDHAPTLAPLPSPLQMPVAETHLPLQVQAPFMQEPFLFSDQAPVLAPPPTPLQMPVEAHMPLEAPWIQEPFLMPDQAPVHAPPPTPLLMPVGADHFPLEAPLFQESLIMADQKSVHALPPPPLQMPLEAHLPPAAQEYNQDLAVQQQPQEYENYDYMFENVGLSQAQPVAAGAGDAGFAAIGNDDNPFGYQQLVASPLYDGQIYFGSGVDNMGVPPAGDFGGVPEAALPEVEHASSSGWGNNITGDAGAWF >ORUFI01G07760.1 pep chromosome:OR_W1943:1:5745076:5745789:-1 gene:ORUFI01G07760 transcript:ORUFI01G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTALSGAPPAARSEDSWSQQQSYNFSGRVLLTAVVILFVIAVVFAVTRVLLYYLVVRPGGGGGGRRRGGLAGGILRSLNSLGVSGRRGLDASALAALPVTAYRKNGGGGGGGEGSNRGGPGATAADCAVCLSELADGEKVRELPNCRHVFHVECVDAWLRSRTTCPLCRAEAEVPKARASAAATATAQSSSSLGDGGITVVVTIHGGSDEAGGRSTALTGQPGSSNSPSCEAARN >ORUFI01G07770.1 pep chromosome:OR_W1943:1:5757157:5761979:-1 gene:ORUFI01G07770 transcript:ORUFI01G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPPIHHEQTPHHVPDYQLAPPLPSRLETDGACMPVQQISPALRQKREKLQTFQQEEEKNERKNKNACKRNLHELPADRRCRKSPPLAQAAHACRSSRIPLSHAPALETYAASLANQA >ORUFI01G07780.1 pep chromosome:OR_W1943:1:5761999:5763879:-1 gene:ORUFI01G07780 transcript:ORUFI01G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPWDCVYYGIRRGGWTRIGAATVAAGKKAAGDLDPRHHHHRVTHGGDGGGVGRGGSGGQEEADEQQQQQHDHHRLLQLHHHQGVQQDQEPPPVPVFHLQPASVRQLSGSSAEYALLSPMGDAGGHSHHHQHGFQPQLLSFGGVGHHHHLHQFTAQPQPPAASHTRGRGGGGEIVPATTTPRSRGGGGGGGGEIVAVQGGHIVRSTGRKDRHSKVCTARGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKNAKDAIDKLDVLPAWQPTAGGAGAGNAAAPPSSSTHPDSAENSDDQAQAITVAHTAFDFAGGGSGGTSFLPPSLDSDAIADTIKSFFPMGGTAGGEASSSTTAAQSSAMGFQSYTPDLLSRTGSQSQELRLSLQSLPDPMFHHQQHRHGGGGGGGNGTTQQALFSGAANYSFGGGAMWATEQQAQNQRMLPWNVPDPGGGGGAAYLFNVSQQAAHMQAAAAALGGHQSQFFFQRGPLQSSNQPSERGWPETVEADNQMSHHQGGLSPSVSAAIGFAAPGIGFSGFRLPARIQGDEEHNGGGGGNGDKPPPPSSVSSASHH >ORUFI01G07790.1 pep chromosome:OR_W1943:1:5782341:5784405:-1 gene:ORUFI01G07790 transcript:ORUFI01G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLVLFGDSITELSFADGGWGAALADHFARKADVVLRGFSGYNTRWALRVLARAMEGAAAAADPAAVTVFFGANDASLPERKQVHQHVPLDEYQSNLRSICAYFKEQWPSTKIILITPPPIYEPARIRDMYGEDDPSKLPERTNEAAGTYAQACLTVAKELNHPVIDIWTKMQQFPDWQTSALCDGLHFTPLGNKILFDCVLETLESIGFSQGSLQPDLPLFHDIDPKDPLKAFEI >ORUFI01G07800.1 pep chromosome:OR_W1943:1:5784514:5786800:-1 gene:ORUFI01G07800 transcript:ORUFI01G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVHQGNDFLKTEGGFGDCLIPIRVIQTDPNHQTAPPAAGICLSLYNSSPLVTDNGILDSLEARQIYKSVAATVLYKIKTCTEVK >ORUFI01G07810.1 pep chromosome:OR_W1943:1:5786825:5797371:1 gene:ORUFI01G07810 transcript:ORUFI01G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPPSSPSSKAFSRKSHAHASGPNSSKAAAAGGGGGGVAAAFDAHNGTHVRTVTFSLSSSPAARRELRRRLTAELAQVRATCKRLSSLPAPAPSSALSATDPSTPLPPHPPVSKHKSKKGNPSSNPGLSAEARRKLYAPVFKSCGALLARLMKHKHSWVFNTPVDASALGLHDYHTIITKPMDLGTVKSRLAAGHYKSPREFAGDVRLTFQNAMRYNPKGQDVHFMAEQLLNMFEEKWPEIEAEVAQLSPQPPTPSSAAPRKPKEIDNSKVLERSDSTVHAAGMEATPKQNTGRPPVLKKPKAREPNKREMTFWEKQRLSNNLQELPPEKLDNVVQIIKKRNLSLSQHDDEIEVDIDSFDVETLWELDRFVTNYKKSISKNKRKAENPVAGQDEMNHDIELEKTEHARLDEVEQDQMPPVQETLHNPEPESIDIEPPKENTADDNERYAHHHLFIWKIRRGRMRVDQVVLEVLVAIQALRLVIQTQIVHQQMALMLHSHPERNIYRPGLSHKPPHLTRLPLRRDMLPLRRLPPLSFPLPRGPTPRRLFATVASASTAASPLPWPGLHAWRRAPPSDLRTWGPHGPCASPDAADESGSEEASAGSSLAEMGALVLSTADPLSKARLTHAAFSRLVAGLPVGMAEAPDHPARPDKPIVVTQKEITTHKQMGVPLNAYMLHMLAHVELNAIDLAWDTVVRFSPLRDTLGDGFFVDFARVADDESRHFRWYSQRLAELGFSYGDMPVHNLLWRECAKSSNDVSARLAVIPLVQEARGLDAGPRLVQKLLGFGDHRSADIVTKVAQEELAHVSVGLHWFLKVCQMMGRVPDATFRDLIKEHDVVLKGPFNYPARDEAGIPREWYQEKFKHETPSKLSEQNNECANTRRTLSTCRGRWLNRSFASPFQLVRCGASLTPPRRHASAQIYIYGRCTGQTLAASHGNSHDRPFGPSNGSDLPLADYLNPGPKSPTTVLHLAAAEGWV >ORUFI01G07810.2 pep chromosome:OR_W1943:1:5786825:5796925:1 gene:ORUFI01G07810 transcript:ORUFI01G07810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPPSSPSSKAFSRKSHAHASGPNSSKAAAAGGGGGGVAAAFDAHNGTHVRTVTFSLSSSPAARRELRRRLTAELAQVRATCKRLSSLPAPAPSSALSATDPSTPLPPHPPVSKHKSKKGNPSSNPGLSAEARRKLYAPVFKSCGALLARLMKHKHSWVFNTPVDASALGLHDYHTIITKPMDLGTVKSRLAAGHYKSPREFAGDVRLTFQNAMRYNPKGQDVHFMAEQLLNMFEEKWPEIEAEVAQLSPQPPTPSSAAPRKPKEIDNSKVLERSDSTVHAAGMEATPKQNTGRPPVLKKPKAREPNKREMTFWEKQRLSNNLQELPPEKLDNVVQIIKKRNLSLSQHDDEIEVDIDSFDVETLWELDRFVTNYKKSISKNKRKAENPVAGQDEMNHDIELEKTEHARLDEVEQDQMPPVQETLHNPEPESIDIEPPKENTADDNERYAHHHLFIWKIRRGRMRVDQVVLEVLVAIQALRLVIQTQIVHQQMALMLHSHPERNIYRPGLSHKPPHLTRLPLRRDMLPLRRLPPLSFPLPRGPTPRRLFATVASASTAASPLPWPGLHAWRRAPPSDLRTWGPHGPCASPDAADESGSEEASAGSSLAEMGALVLSTADPLSKARLTHAAFSRLVAGLPVGMAEAPDHPARPDKPIVVTQKEITTHKQMGVPLNAYMLHMLAHVELNAIDLAWDTVVRFSPLRDTLGDGFFVDFARVADDESRHFRWYSQRLAELGFSYGDMPVHNLLWRECAKSSNDVSARLAVIPLVQEARGLDAGPRLVQKLLGFGDHRSADIVTKVAQEELAHVSVGLHWFLKVCQMMGRVPDATFRDLIKEHDVVLKGPFNYPARDEAGIPREWYQEKFKHETPSKLSES >ORUFI01G07810.3 pep chromosome:OR_W1943:1:5797109:5798704:1 gene:ORUFI01G07810 transcript:ORUFI01G07810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCGGDCRPIGWLLGLPFALLAVVVSFIGAIIWIVGLPISCICPCCLCVTVLLEVAVELVKAPLHVMTWFTSKIPC >ORUFI01G07820.1 pep chromosome:OR_W1943:1:5800848:5801556:1 gene:ORUFI01G07820 transcript:ORUFI01G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDDTVAVAGERWPATLEAKALLQASLPQHPFQIDAEETSGPWRMSEAVTTATTTSIFGSVAGSGSYRDRSSQTWCCLGSSLHLRRFVFLLSLADRNSIQPSRGTGDGVME >ORUFI01G07830.1 pep chromosome:OR_W1943:1:5807469:5810880:1 gene:ORUFI01G07830 transcript:ORUFI01G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKAVVVVAAVLLLLAVVARRASSQSYNAIYNFGDSITDTGNLCTGGCPSWLTTGQPPYGNTFFGRPTGRCTNGRASGGDFKKGANMAIIGATTMNFDFFQSLGLGNSIWNNGPLDTQIQWFQQLLPSICGNDCKSYLSKSLFIVGEFGGNDYNAPLFGGKSMDEVKGYVPQIIAKITSGVETLIGLGAVDIVVPGVMPIGCFPLYLTLYQSSNSDDYDGNGCLKSYNSLSVYHNGLLKQGLAGVQAKYPAVRLMYGNFYDQVTQMVQSPGSFGLQYGLKVCCGAGGQGSYNYNNKARCGMSGASACGDPENYLVWDGIHLTEAAYRSIADGWLSGPYCSPAILH >ORUFI01G07840.1 pep chromosome:OR_W1943:1:5823321:5832180:1 gene:ORUFI01G07840 transcript:ORUFI01G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSFIHKPPRARRPRRPQSGRLELAGHAAPDLAAGSSTATPLPIWSPGARRPRCRRFGRSELAGHAAPTVHCAAVLPPPLLRICRRRCSLLRVVLVLLLPAVSSCLPCRRRDDYDYDSIFSFGDSFADTGNGAVVFAEHSLFSPATKPPYGMTFFGQPTGRNSNGRLIIDFIAEKLGLPFVPPYLAHNGSFRQGANFAVAGATSLDASFFSDIPGVGKFVLNTSSSVQLGWFDSLKPLLCSPAQECKGFFHKSLFFMGEFGVNDYSFSVFGKTPLQVRSMVPDVVKTISSATERIIKRDGAKAVVVPGIPPLGCMPPNLAMFPSTDPAGYEPGTGCLRQFNEIAVYHNTLLQDAIKNVQKNHPDVRVIYADFFTPVIRIVQSPGTFGFTSDILRCCCGGGGKYNFNMSAGCGMPGATVCEDPSTHLFWDGHMTEAAYHFIADGWLNSINES >ORUFI01G07840.2 pep chromosome:OR_W1943:1:5818209:5823543:1 gene:ORUFI01G07840 transcript:ORUFI01G07840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSVSVAAVLVVLAALRPPRVAVAQKYAAIFNFGDSLVDAGNLVVDGIPDYLATARLPYGMTYFGYPTGRCSDGRLVVDFIAQEVGLPLLPPSKAKNATFHRGANFAITGATSLDTPYFQGRGLGHTVWNSGSLHTQIKWFQDMKASICKSPQECRDLFRRSLFIVGEFGGNDYNSPLFAFRPLEEVHTFVPDVKLIEEGAVELVVPGVLPIGCFPVYLSIFRKQPEMYGRRSGCIRDLNTLSWVHNAALQRKIAELRLKHPGVRIMYADYYTPAIQFVLHAEKYGFLRQTPRACCGAPGVGEYNFNLTSKCGDPGSYACDDPSNHWSWDGIHLTEASYGHIAKGWLYGPFADPPILETRHH >ORUFI01G07850.1 pep chromosome:OR_W1943:1:5835439:5836797:1 gene:ORUFI01G07850 transcript:ORUFI01G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAALLLVVLLLVGFEALPTKSGYLPIPTANASLFFAYYEATHLLTPPASTPIILWLQGGPGCSGLTGNFFELGPYFVNHDALSLSPNPFAWNRRFGLLFIDNPLGTGFSAAPSPAAIPTNQFVVAAHLFTALQSFFALQPGFRSRPFFLTGESYAGKYVPAAGSYILAVNPTLPKRLRVNLHGVAIGNGLTHPVAQVATHADTAYFMGLINAKQRRELEALQARAVELTNAARWSEAADARELVLSLLENATGLATQFDAAKQRPYETGPVGKFVNRAEVKAALGARTDVEWEDCSDTVGAAMHGDVMKSVKPGVEALLRGTRVLLYQGIRDLNLRDGVVSTEAWMRELKWDGLTAFLVADRAVWRIGEELAGYVQRSGPLSHVIVNGAGHLVPADNGRVAQEMIEDWVLQAGLFGRHGGPVLVELKLFG >ORUFI01G07860.1 pep chromosome:OR_W1943:1:5837967:5840620:-1 gene:ORUFI01G07860 transcript:ORUFI01G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSAAARCLPRRGCERRGLPRKTALTSPSSPSLGPMSSPTRELAVLDNDGFHSGGGGRTSATNKAVKRARDPSEALLFYFGVILSKTYSYIGNTQ >ORUFI01G07870.1 pep chromosome:OR_W1943:1:5843912:5845780:1 gene:ORUFI01G07870 transcript:ORUFI01G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKYLWIQIFVLLSSFSFSVETDYASIFSFGDSFSDTGNIVLIYGPARTDLVMTKPPYGMTFFDHPSGRLSDGRLIIDFIAEALGLPLLPPSFAANRSFEHGANFATAGGTALDRAFFVANNFTVMSPFNISLGDQLGWLDGMKPSLCGCKPGGCEGYFSESLFFVGELGWNNYSAVLLAGRGVDEARSLTPRVVGTIRAATQKLIDGGARTVFVSGITPMGCSSANLVLFAGSSEADYEPDTGCLRSLNLLSMEHNRQLRHALAQLGGARIIYGDFYTPLVELAATPRRFGIDGEEGALRACCGSGGGRYNFEFNMSAQCGMAGVTVCGDPSAYVNWDGVHLTEAAYHHVADGWLRGPYANPPLLSSSCSARAR >ORUFI01G07880.1 pep chromosome:OR_W1943:1:5858415:5861935:1 gene:ORUFI01G07880 transcript:ORUFI01G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAMAASRRVSVFVAALVCCSLVRLSRCGGGGGGQRAQNYTSMFSFGDSLTDTGNLVVSSPLSFSIVGKYPYGMTYFHRPTGRCSDGRLVVDFLAQAFGLPLLQPYLSRGEDVTRGVNFAVGGATAMDPPFFEEIGASDKLWTNLSLSVQLGWFEQLKPSLCSSPKDCKEFFSKSLFLVGEIGGNDYNYAFFKGKSLDDAKSYVPTVAGAVADATEADQGRGGGPRGGPGTLPIGCSSAYLTLHPSSNRSDYDSTGCLKTYNDFAQHHNAVLQDKLRLLRRSYPEARIMYADYYGAAMSFAQNPKQFGFRHGALRTCCGGGGPYNFNPKASCGVRGSSVCTDPSAYANWDGVHLTEAGYHAIANSILNGPYTSPRLL >ORUFI01G07900.1 pep chromosome:OR_W1943:1:5880181:5905161:1 gene:ORUFI01G07900 transcript:ORUFI01G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSPSNEMTLLLLLFLLGCTHYGHAGSDRPKIDSIFSFGNSYADTGNFVKLAAPVFPGIPFNNLPYGETFFGHPTGRASNGRLNVDFIAEGLGVPLLPPYHGESQDFSHGANFAVVGATALDLAFFQKNNITSVPPFNTSLSVQVEWFQKLKPTLCSTTQDYFERSLFFMGEIGGNDYVFLYAAGKTVDEAMSYVPKVVQAISAGVEAVIKEGARYVVVPGQLPTGCLPIILTLYASPAAADYDAGTGCLWRFNALARYHNAVLFAAVSLLRAKHPSVAIVFADYYRPVIKFVQNPDEFGFSESSKLRACCGGGGGAYNYDVAAACGFPGAAACPDPDAAINWDGIHLTEAAYGQVAAGWLRGPYAHPPILAAVQRSDEGNPIQMATPKLAVGQKPKLTMEHSPSNRMTFLLLLLLQLLIGCTHYAQANPGHHMIDSIFSFGNSYSDTGNFVKLAAPLLPVIPLDNLPYGETFFGHPAGRASNGRLIIDFIAGHFGVPFLPPYLGQVQNFSHGANFAVVGATALDLAFFQKNNITNVPPFNSSLSVQLEWFHKLRPTLCSKTQGCKHYFERSLFFMGEFGGNDYVFLLAAGKTVDEIMSCYVPKVIGAISAGVEAVIEEGARYVVVPGQQPTGCLPVVLTPYASPNATDYDAGTGCLWRFNELARYHNAALLAAVSLLRRKYPSATIVFADYYDPVIEFMQKPDDFAFSDSSKLRACCGGGGGPYNYNATVACGLPGTSVCPTPNTSINWDGIHLTEAAYARIAACWLHGPHAHPPILAAVRRRTASSRLRACCGGGGGGPYNYNATAACGLAGATAGDYDARGCLKKQNALARYHNSALFEAVSRLRHRYPWVKIVYADYYKPVIDFLKKPARFGESVGSMGSYWWQSA >ORUFI01G07900.2 pep chromosome:OR_W1943:1:5905118:5920809:1 gene:ORUFI01G07900 transcript:ORUFI01G07900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPSNKMTLLLLLLLLLGCTHHGQANMYSGHPKIDSIFSFGNSYSDTGNFVKLAAPVIPVIAFNNLPYGETFFGHPTGRASDGRLNVDFIAEDFGVPLLPPYLGESKNFSHGANFAVVGATALDLAFFQKNNITSVPPFNTSLSVQVEWFHKLKPTLCSTTQGCRDYFERSLFFMGEFGGNDYVFLLAAGKTVDEAMSYVPKVVGAISAGVEAVIEEGARYVVVPGQLPTGCLPIILTLYASANATDYESGAGCLRRFNELARYHNAALFAAVSLLRGKHPSAAIVFADYYQPVIEFVRMPENFGFSRSSRLRACCGGGGRYNYNATAACGLAGATACPDPAASINWDGVHLTEAAYGRIAAGWLRGPYAQPPILAAVRPSAHVVN >ORUFI01G07920.1 pep chromosome:OR_W1943:1:5911183:5911665:-1 gene:ORUFI01G07920 transcript:ORUFI01G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVAAAGDEATMLDKGDALHSSPRLRLLAPRVSSAPPPPSAESADRGLSELVVRLHRSGFLPKNLLSSCSLTVVSLDSCALPHRDHTAVLPDWGRKGGGEIGEEEKENWVPAVLLGHVQPSRAAGRPAARDREVGGGVGEEDREAGELVCSARCRSSI >ORUFI01G07930.1 pep chromosome:OR_W1943:1:5923787:5924333:1 gene:ORUFI01G07930 transcript:ORUFI01G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKASDHADHASAYWMEFLDKAVDPKATRKDCNGDNSVVRAGGTTLQDTTDLFYFILFFVHSFFFLFVCNQFHTLPFFSCAPARFQQLSSESKKLSMNVEHIIAQVSQAVNMNMAQRKTPCSKNLMGTTP >ORUFI01G07940.1 pep chromosome:OR_W1943:1:5927882:5931179:-1 gene:ORUFI01G07940 transcript:ORUFI01G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRRLIPSGFPAILRRRMRGRAAVFAAVLVVFLVACTAAAAAAAITISRKHQHRPASGAAAKAACDVFAAGSWVVDESYPLYDSATCPFIRAEFDCRRYGRPDKEYLKYRWQPSPPCSTPRFDGVALLRMWSGKKVMFVGDSLALNQYESLLCMLHAAAPNARTTVTPASGKVDPLTTARFEEFNVTIVYYLTHYLVDIVNEKAGRVLKLDAIDQARNWLSADVLVFDSWHWWPRSGPTQPWDYIQEGNTVVKDMDRTEAFSKALNTWARWVDANLLQTNTRVFFQGISPSHYRGQDWGDTASATCMGQTRPVNGTAYPGGPIPQQAVLRSALAGMAKPVYLLDFTYLSQLRKDAHPTKYNGGIFGDDCTHWCVAGLPDTWNVLFYAALTGQH >ORUFI01G07940.2 pep chromosome:OR_W1943:1:5927882:5930945:-1 gene:ORUFI01G07940 transcript:ORUFI01G07940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKPSYHKESVSSHPTYIVTFRLPPRHTSRDSALTRTGHFLAASSSSSSSRSLTSPLLSIVLYWRMRGRAAVFAAVLVVFLVACTAAAAAAAITISRKHQHRPASGAAAKAACDVFAAGSWVVDESYPLYDSATCPFIRAEFDCRRYGRPDKEYLKYRWQPSPPCSTPRFDGVALLRMWSGKKVMFVGDSLALNQYESLLCMLHAAAPNARTTVTPASGKVDPLTTARFEEFNVTIVYYLTHYLVDIVNEKAGRVLKLDAIDQARNWLSADVLVFDSWHWWPRSGPTQPWDYIQEGNTVVKDMDRTEAFSKALNTWARWVDANLLQTNTRVFFQGISPSHYRGQDWGDTASATCMGQTRPVNGTAYPGGPIPQQAVLRSALAGMAKPVYLLDFTYLSQLRKDAHPTKYNGGIFGDDCTHWCVAGLPDTWNVLFYAALTGQH >ORUFI01G07950.1 pep chromosome:OR_W1943:1:5934129:5935668:1 gene:ORUFI01G07950 transcript:ORUFI01G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMRPRSSNASSTPVAAKKEKTATRWCSQSAQEKVVVVRVQGVRGQEDVLAESDKGTSRADATAAAAAAPPPVSSKRLRWWAVVLANIVFVLGGQSVGCFSAGYTTIRGGDSLWLATVVQSCGAPLTVLLLLYFQMGSPAPPLIEPSERWWRQSSAGAGLDGRLSPSAAGCVEKRGEEKK >ORUFI01G07960.1 pep chromosome:OR_W1943:1:5936004:5941109:-1 gene:ORUFI01G07960 transcript:ORUFI01G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPVAIAVILLCTYRPPAGYNSALRVNQFADLTNDEFVSTHTGAKPPCPKDAPRGVDPIWLPCCIDWRYKGAVTDVKDQGACGSCWAFAAVAAIEGLTQIRTGKLTPLSEQELVDCDTGSSGCAGGHTDRAFELVAAKGGITAESGYRYEGYRGKCRADDALFNHAARIGGHRAVPPGDERQLATAVARQPVTAYIDASGPAFQFYGSGVFPGPCGSGSGAAAAAPTTNHAVTLVGYCQDGASGKKYWVAKNSWGKTWGEKGYILLEKDVASPHGTCGVAVSPFYPTV >ORUFI01G07970.1 pep chromosome:OR_W1943:1:5944704:5945583:1 gene:ORUFI01G07970 transcript:ORUFI01G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPSYYLSLLFSIACLLSLLPLSAANPSPSPPPPCEKSDKEMRFLFSRWMAQYGKAYSWPIEHEKRYQIWKDNSNFIGSFRSETEISSGVGAFAPQTVTDSFVGMNRFGDLTPGEFAEQFTGFNATGGLLHAAPPPCPIPPDSWLPCCVDWRSSGAVTGVKFQRSCASCWAFAAAAAIEGLNKIRTGELVSLSEQGTCGLATSPFYPTA >ORUFI01G07980.1 pep chromosome:OR_W1943:1:5946510:5948875:-1 gene:ORUFI01G07980 transcript:ORUFI01G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLDFDIGFSFDKFEENVKRFIELPKNYLDTAVDTAVEFMEDIHERMFAPSPSDEALNKANEILKDSFYDNVITGSSATSVRMEFVASNKELSSPSTSTVTAEDSFAGSVVADAPETESILTKSPESDSSEGGNIEVNEQCMLPVDTSAAEISGGKSIDVDEEVLSGNSEKPSDSCTSEDPISIGKELILWRKPLEPQSPESSAFDDAIIPEGTIVNCEEPEHSTKDTEESGKHGDLSKFSGVTISHDLTTDMSNDDDSNVWLDIDLQDDQEQTEADVSPVRQPKKTSFKKKMMRSLANKFRWSKKERNLNQAEAGNVRYQAVSSSNDLEDDWELL >ORUFI01G07990.1 pep chromosome:OR_W1943:1:5950256:5952665:-1 gene:ORUFI01G07990 transcript:ORUFI01G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPLDRAVKHPMKICKKLEQQSKPEHFLDKQWKPLFKGVETDELELLTRRLKECYDQFHCQMETNMLALELSV >ORUFI01G08000.1 pep chromosome:OR_W1943:1:5956247:5963332:1 gene:ORUFI01G08000 transcript:ORUFI01G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQASPPTKKVLVPIVAGTEPVEAAVPIDVLRRAGADVTVASADDGELVVEVMYGVRIVADALVAGGDCAAAHFDLIVLPGGVPGAANLGGCAALEAMVRRHAATGGLYAAICAAPPLALASWGMLNGLKATAHPLFVDKFPPEVAAVDASVVVDASAVTSRGPATSTEFALALVEQLYSKNKAEQIAKEMLVRYDAGYTIDEVNSVQWKCNGTPKVLVPVANGTEEMELITIIDVLRRADADVVVASAENAGVEIVARHGMRIVADTTLDEAAADDQTSSFDLIILPGGTPGAKTMSSNEKLVTLLNKQAAASKPYGAIGAATAHVLEPHGLLEGKKAADQDGGDECESRVVVDGNVITSGGTGTAMEFAVAAVEKLLGRDVAQRVAEGLLFA >ORUFI01G08000.2 pep chromosome:OR_W1943:1:5956247:5963332:1 gene:ORUFI01G08000 transcript:ORUFI01G08000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQASPPTKKVLVPIVAGTEPVEAAVPIDVLRRAGADVTVASADDGELVVEVMYGVRIVADALVAGGDCAAAHFDLIVLPGGVPGAANLGGCAALEAMVRRHAATGGLYAAICAAPPLALASWGMLNGLKATAHPLFVDKFPPEVAAVDASVVVDASAVTSRGPATSTEFALALVEQLYSKNKAEQIAKEMVLVPVANGTEEMELITIIDVLRRADADVVVASAENAGVEIVARHGMRIGGTPGAKTMSSNEKLVTLLNKQAAASKPYGAIGAATAHVLEPHGLLEGKKAADQDGGDECESRVVVDGNVITSGGTGTAMEFAVAAVEKLLGRDVAQRVAEGLLFA >ORUFI01G08000.3 pep chromosome:OR_W1943:1:5956247:5962704:1 gene:ORUFI01G08000 transcript:ORUFI01G08000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQASPPTKKVLVPIVAGTEPVEAAVPIDVLRRAGADVTVASADDGELVVEVMYGVRIVADALVAGGDCAAAHFDLIVLPGGVPGAANLGGCAALEAMVRRHAATGGLYAAICAAPPLALASWGMLNGLKATAHPLFVDKFPPEVAAVDASVVVDASAVTSRGPATSTEFALALVEQLYSKNKAEQIAKEMVLVPVANGTEEMELITIIDVLRRADADVVVASAENAGVEIVARHGMRIVADTTLDEAAADDQTSSFDLIILPASSIHELSLSKPILI >ORUFI01G08010.1 pep chromosome:OR_W1943:1:5968641:5973221:1 gene:ORUFI01G08010 transcript:ORUFI01G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSMLWQMLHQTMLNEEGQGDFVLLFAIDWPLVDISDFIGGNLVAPLTTGLEDGIRHNVMHMTSVEQFYQDCSYQVAFLCAFDEVADFYRSQLITSETRRGIVVATALEVDKASNCLSTIAATTMMFLHNRDYQRYALLEEVRNSLLKEPTLHDAIKIVVTYRKQELLQLKEQNNDPAKPEVVIVEDDEVVIEPVPKKKRTGNKGFTIPEELGGGPNAIGSTET >ORUFI01G08020.1 pep chromosome:OR_W1943:1:5975364:5979180:1 gene:ORUFI01G08020 transcript:ORUFI01G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKSFSPIPLAKHCLTVHLTGFNCTVVVQRTGHACASTETAAATTRRSIPGHSRHATPLSLHAAATPTLPLPSPAGKRGRGTHHEVATSIFPANDARITPPPPLTYGDSDTMAMAAASASAMARRAASWPRLLLLSRAFAAAAAEPKRVLVPVADGTEPVEAAATADVLNRAGARVTVATADPAGDDRGLLVEAAFGVKLVADGRVADLEGEAFDLIALPGGMPGSANLRDCKVLEKMVKKQAEQGGLYAAICATPAVTLAHWGLLKGLKATCYPSFMEKFTAEIIPVNSRVVVDRNAVTSQGPATAIEYALALVEQLYGKEKSEEVAGPLYVRPQPGVDYVIDEFNSVEWKCSGTPQEGIPGQGLLSKHKVLVPVANGSEEMEALNLIDILRRAGANVTVASVEDKLQVVTRRHKFNLIADIMVEEAAKREFDLIVMPGGLPGAQKLSSTKVLVDLLKKQAESNKPYGAICASPAYVLEPHGLLKGKKATSFPPMAHLLTDQSACDSRVVVDGNLITSKAPGSATEFALAIVEKLFGREKAVSIAKELIFM >ORUFI01G08020.2 pep chromosome:OR_W1943:1:5975364:5979180:1 gene:ORUFI01G08020 transcript:ORUFI01G08020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKSFSPIPLAKHCLTVHLTGFNCTVVVQRTGHACASTETAAATTRRSIPGHSRHATPLSLHAAATPTLPLPSPAGKRGRGTHHEVATSIFPANDARITPPPPLTYGDSDTMAMAAASASAMARRAASWPRLLLLSRAFAAAAAEPKRVLVPVADGTEPVEAAATADVLNRAGARVTVATADPAGDDRGLLVEAAFGVKLVADGRVADLEGEAFDLIALPGGMPGSANLRDCKVLEKMVKKQAEQGGLYAAICATPAVTLAHWGLLKGLKATCYPSFMEKFTAEIIPVNSRVVVDRNAVTSQGPATAIEYALALVEQLYGKEKSEEVAGPLYVRPQPGVDYVIDEFNSVEWKCSGTPQVLVPVANGSEEMEALNLIDILRRAGANVTVASVEDKLQVVTRRHKFNLIADIMVEEAAKREFDLIVMPGGLPGAQKLSSTKVLVDLLKKQAESNKPYGAICASPAYVLEPHGLLKGKKATSFPPMAHLLTDQSACDSRVVVDGNLITSKAPGSATEFALAIVEKLFGREKAVSIAKELIFM >ORUFI01G08030.1 pep chromosome:OR_W1943:1:5986090:5999355:1 gene:ORUFI01G08030 transcript:ORUFI01G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFGQWLPQSQTTADLYFSSIPIPSQFDTSIETQTRTSAVVSSEKESANSFVPHNGTGLVERISNDAGLTEVVGSSAGPTECIDLNKTPARKPKKKKHRPKVLKDDKPSKTPKSATPIPSTEKVEKPSGKRKYVRKKTSPGQPPAEQAASSHCRSELKSVKRSLDFGGEVLQESTQSGSQVPVAEICTGPKRQSIPSTIQRDSQSQLACHVVSSTSSIHTSASQMVNAHLFPPDNMPNGVLLDLNNSTSQLQNEHAKFVDSPARLFGSRIRQTSGTNSLLEIYAGMSDRNVPDLNSSISQTHSMSTDFAQYLLSSSQASVRETQMANQMLNGHRMPENPITPSHCIERAALKEHLNHVPHAKAAVMNGQMPHGYRLAQNPILPPNHIEGYQVMENLSEFVTTNDYLTASPFSQTGAANRQHNIGDSMHIHALDPRRESNASSGSWISLGVNFNQQNNGWASAGAADAASSHAPYFSEPHKRMRTAYLNNYPNGVVGHFSTSSTDLSNNENENVASAINSNVFTLADAQRLIAREKSRASQRMISFRSSKNDMVNRSEMVHQHGRPAPHGSACRESIEVPDKQFGLMTEELTQLPSMPNNPQREKYIPQTGSCQLQSLEHDMVKGHNLAGELHKQVTSPQVVIQSNFCVTPPDVLGRSTSGEHLRTLIAPTHASTCKDTLKALSCQLESSRDIIRPPVNPIGPSSADVPRTDNHQVKVSEETVTAKLPEKRKVGRPRKELKPGEKPKPRGRPRKGKVVGGELASKDSHTNPLQNESTSCSYGPYAGEASVGRAVKANRVGENISGAMVSLLDSLDIVIQKIKVLDINKSEDPVTAEPHGALVPYNGEFGPIVPFEGKVKRKRSRAKVDLDPVTALMWKLLMGPDMSDCAEGMDKDKEKWLNEERKIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAAKFPVKPEASEKPANVMFHTISENGDCSGLFGNSVKLQGEILVQEASNTAASFITTEDKEGSNSVELLGSSFGDGVDGAAGVYSNIYENLPARLHATRRPVVQTGNAVEAEDGSLEGVVSSENSTISSQNSSDYLFHMSDHMFSSMLLNFTAEDIGSRNMPKATRTTYTELLRMQELKNKSNETIESSEYHGVPVSCSNNIQVLNGIQNIGSKHQPLHSSISYHQTGQVHLPDIVHASDLEQSVYTGLNRVLDSNVTQTSYYPSPHPGIACNNETQKADSLSNMLYGIDRSDKTTSLSEPTPRIDNCFQPLSSEKMSFAREQSSSENYLSRNEAEAAFVKQHGTSNVQGDNTVRTEQNGSENSQSGYSQQDDNVGFQTATTSNLYSSNLCQNQKANSEVLHGVSSNLIENSKDDKKTSPKVPVDGSKAKRPRVGAGKKKTYDWDMLRKEVLYSHGNKERSQNAKDSIDWETIRQAEVKEISDTIRERGMNNMLAERIKDFLNRLVRDHGSIDLEWLRYVDSDKAKDYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLEMYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEEKSLVTSGTPIAAETFHQTYISSRPVVSQLEWNSNTCHHGMNNRQPIIEEPASPEPEHETEEMKECAIEDSFVDDPEEIPTIKLNFEEFTQNLKSYMQANNIEIEDADMSKALVAITPEVASIPTPKLKNVSRLRTEHQVYELPDSHPLLEGFNQREPDDPCPYLLSIWTPGETAQSTDAPKSVCNSQENGELCASNTCFSCNSIREAQAQKVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSRNPIDVPRSWIWNLPRRTVYFGTSIPTIFKGLTTEEIQHCFWRGFVCVRGFDRTSRAPRPLYARLHFPASKITRNKKSAGSAPGRDDE >ORUFI01G08030.2 pep chromosome:OR_W1943:1:5986090:5999355:1 gene:ORUFI01G08030 transcript:ORUFI01G08030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFGQWLPQSQTTADLYFSSIPIPSQFDTSIETQTRTSAVVSSEKESANSFVPHNGTGLVERISNDAGLTEVVGSSAGPTECIDLNKTPARKPKKKKHRPKVLKDDKPSKTPKSATPIPSTEKVEKPSGKRKYVRKKTSPGQPPAEQAASSHCRSELKSVKRSLDFGGEVLQESTQSGSQVPVAEICTGPKRQSIPSTIQRDSQSQLACHVVSSTSSIHTSASQMVNAHLFPPDNMPNGVLLDLNNSTSQLQNEHAKFVDSPARLFGSRIRQTSGTNSLLEIYAGMSDRNVPDLNSSISQTHSMSTDFAQYLLSSSQASVRETQMANQMLNGHRMPENPITPSHCIERAALKEHLNHVPHAKAAVMNGQMPHGYRLAQNPILPPNHIEGYQVMENLSEFVTTNDYLTASPFSQTGAANRQHNIGDSMHIHALDPRRESNASSGSWISLGVNFNQQNNGWASAGAADAASSHAPYFSEPHKRMRTAYLNNYPNGVVGHFSTSSTDLSNNENENVASAINSNVFTLADAQRLIAREKSRASQRMISFRSSKNDMVNRSEMVHQHGRPAPHGSACRESIEVPDKQFGLMTEELTQLPSMPNNPQREKYIPQTGSCQLQSLEHDMVKGHNLAGELHKQVTSPQVVIQSNFCVTPPDVLGRSTSGEHLRTLIAPTHASTCKDTLKALSCQLESSRDIIRPPVNPIGPSSADVPRTDNHQVKVSEETVTAKLPEKRKVGRPRKELKPGEKPKPRGRPRKGKVVGGELASKDSHTNPLQNESTSCSYGPYAGEASVGRAVKANRVGENISGAMVSLLDSLDIVIQKIKVLDINKSEDPVTAEPHGALVPYNGEFGPIVPFEGKVKRKRSRAKVDLDPVTALMWKLLMGPDMSDCAEGMDKDKEKWLNEERKIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAAKFPVKPEASEKPANVMFHTISENGDCSGLFGNSVKLQGEILVQEASNTAASFITTEDKEGSNSVELLGSSFGDGVDGAAGVYSNIYENLPARLHATRRPVVQTGNAVEAEDGSLEGVVSSENSTISSQNSSDYLFHMSDHMFSSMLLNFTAEDIGSRNMPKATRTTYTELLRMQELKNKSNETIESSEYHGVPVSCSNNIQVLNGIQNIGSKHQPLHSSISYHQTGQVHLPDIVHASDLEQSVYTGLNRVLDSNVTQTSYYPSPHPGIACNNETQKADSLSNMLYGIDRSDKTTSLSEPTPRIDNCFQPLSSEKMSFAREQSSSENYLSRNEAEAAFVKQHGTSNVQGDNTVRTEQNGSENSQSGYSQQDDNVGFQTATTSNLYSSNLCQNQKANSEVLHGVSSNLIENSKDDKKTSPKVPVDGSKAKRPRVGAGKKKTYDWDMLRKEVLYSHGNKERSQNAKDSIDWETIRQAEVKEISDTIRERGMNNMLAERIKDFLNRLVRDHGSIDLEWLRYVDSDKAKDYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLEMYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEEKSLVTSGTPIAAETFHQTYISSRPVVSQLEWNSNTCHHGMNNRQPIIEEPASPEPEHETEEMKECAIEDSFVDDPEEIPTIKLNFEEFTQNLKSYMQANNIEIEDADMSKALVAITPEVASIPTPKLKNVSRLRTEHQVYELPDSHPLLEGFNQREPDDPCPYLLSIWTPGETAQSTDAPKSVCNSQENGELCASNTCFSCNSIREAQAQKVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSRNPIDVPRSWIWNLPRRTVYFGTSIPTIFKGFVCVRGFDRTSRAPRPLYARLHFPASKITRNKKSAGSAPGRDDE >ORUFI01G08040.1 pep chromosome:OR_W1943:1:6005373:6008535:1 gene:ORUFI01G08040 transcript:ORUFI01G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPFGDAVAGGGLYEYQGYHGGFAGGHGLGQPAGRAPALDDGETEGMDASAAAAVAAMEMAKRNCGGGREEKAAMALKSHSEAERRRRERINAHLATLRTMVPCTDKMDKAALLAEVVGHVKKLKSAAARVGRRATVPSGADEVAVDEASATGGGGEGPLLLRATLSCDDRADLFVDVKRALQPLGLEVVGSEVTTLGGRVRLAFLVSCGSRGGAAAAAAAMASVRHALQSVLDKASSGFDFAPRAASLLGSKRRKVSTFESSSSSS >ORUFI01G08050.1 pep chromosome:OR_W1943:1:6014875:6019754:1 gene:ORUFI01G08050 transcript:ORUFI01G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRALPPMPDTLSDAFAAAVLMSSTDKPDTLPPGRLSPVSPLTHSSSSKLPTPSSSSGSSGSLSVSRAPASALASRRSHSGEIPLPSDGPPRGSRPGHRRTGSGPLIFTSCSSSATSPLTNALPAGNICPSGRLAKPLSSCSAAATPPAPTPPRAARHDVLGSGTANYGHGSIVRSRSGGVVVAEEDAVVRRAMSSADPEELKKAGNEQYKKGYFEEALRLYDRALALCPDNAACRGNRAAALIGLRRIGEAVKECEEAVRIDPSYGRAHQRLASLHIRLGHIEDAQRHLSLATPQPDLLELHKLQTVEKHLGRCMDARKVGDWKSVLRESDASIAAGADCSAMLFASRAEALLRLNQLDEADLAISSASKLDYSSSCTSDNKFCGFLANAYLFYVHAQVDMALGRFDHAVSSVDKARIIDQGNVEVVTMHNNVKAVARARSLGNELFNSGKFSEACLAYGEGLKHHPVNPVLYCNRAACRFKLGQWEKSIEDCNEALKIQPNYPKALLRRAASYGKMERWAESVKDYEVLRKELPGDTEVAEAYFHAQVALKSSRGEEVSNMKFGGEVEAITGMEQFQMATSLPGVSVIHFMTPLNQQCCKISPFVNTLCTRYPSISFLKVDISESPAVARAENVRTVPTFKIYKNGTRVKEMICPSLQLLEYSVRHYGI >ORUFI01G08060.1 pep chromosome:OR_W1943:1:6031855:6037293:1 gene:ORUFI01G08060 transcript:ORUFI01G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSCPADSSQLLYPRRGAPTTTTTSRPPLHPLIAHAPYDVVLHRSVVLSISSARDQAELASFWCYIRLGGGASAKRAAGEDDRSRSSLTAARGGGGETMSGRGRGDPLVLGRVVGDVVDPFVRRVALRVAYGAREVANGCELRPSAVDDQPRVAVGGPDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPATTGVSFGTEVVCYESPRPVLGIHRLVFLLFEQLGRQTVYAPGWRQNFSTRDFAELYNLGLPVAAVYFNCQRESGTGGRRM >ORUFI01G08070.1 pep chromosome:OR_W1943:1:6038625:6052033:-1 gene:ORUFI01G08070 transcript:ORUFI01G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRIPLKRCTAAAVVPLPGELEMEEGPTPPAGGGEGSGAAVVPAKRRRERVVPSRFRDSVVSLPLPPAKKGRPAKKAAAREGGDGEVYDVEVRAVEQQGATAAAFGAVETAVWPGDERPAQTEEELYRACRNIRRSSSSSGFSGSVVTSLSNAGGSVAPEGKPVMVVECKPKREGGDKKEDFYWPEDFVLGDVVWARSGKKCPAWPAVVIDPLLHAPAVVLNSCIPGALCVMFFGFSSGGHGRDYGWIKQGMIFPFVDYLDRFQGQALYKLKANRFRQAIEEAFLAERGFCELEMDEGCSLEKSVNDQSVPDGLQEGSGSNNDQECQSEAQVVGKSTGCCDSCGNRVPPKIAKKKKQAGEQLLCRHCDKLLQSKQYCGICKKIWHHTDGGNWVCCDECQIWVHVECDLTCINMEDLENADYFCPDCKSKRKTVPPVEQMNTPNSSECASTSKEKLPEMIPVFCFGMDGMYLPKKHMILCQCNSCKERLMSLSEWERHTGSRKKNWKMSVKLKSNGDPLVTLLDDIPCANVKSSTPSINKEELLKLLGTLALEFMLVSAVANSFRPVNARWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARDVQDFTNWVCRACELPKQKRECCLCPVKGGALKPTDIDQLWVHVTCAWFQPKVSFPVDETMEPAMGILSIPSEYFKKACVICKQMHGACTQCYKCSTYYHAMCASRAGYRMELQYSEKNGRNITRMVSYCAFHSTPDPDNVLIVKTPEGVFSTKFLPQNNEKQSGTRLVRKENLQEKVLPAKISDCPAARCLPYEMLKNKKEPGEAIAHRIMGPRHHSQESIEGLNACMKIENKRVSCGRSGIHGWGLFAAKKIQEGQMVIEYRGDQVRRSVADLREARYHREKKDCYLFKISEDVVVDATEKGNIARLINHSCMPNCYARIMSVGDEKSQIILIAKRDVSAGEELTYDYLFDPDESEDCRFFSAVQFQFQIGREWRCCSHPRVETDLKTLARRFWKVAAPYWWSEDKVQARLRLAAVFALTLATTGISVGFNFLGRDFYNALADKDQEQFTKQLLYYLGGFAVGIPIVYTRIISHITRFSFFVLRDYARETLSLRWRSWMTSYYMKRYFKNRTFYKIQSQSLIDNPDQRINDDLSAFTGTALAFSLTFLNAAVDLISFSNILYGIYPPLFIVLIVYSLGGTGISIFLGKNLVNLNFMQEKKEADFRYGLVRVRENAESIAFYGGEENELQLLLDRFRRAFENLSELLIASRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILSDFSLIVFQFQSISAFSAVIDRLGEFDDLLDGNGSSLSKPDNIDGINIIFKSTGPTVLSSNGSLTQSNPSMVLEICNLTLLTPRSGNILITDLTMELKEKDHLLVMGPSGSGKTSLLRALAGLWTSGTGDIIYHVRGSMELQTSNSGPDEPSNIQSNGEELLQSSKQRRDNGIFFVPQRPYMVLGTLRQQLLYPTWTEDVCHSSNNDPQSTDPLTFEVSTSDGVGSKSEMPTTDELIRVLEAVKLGYILPRFNGLDSMHDWASVLSLGEQQRLAFARLLLAKPTLVLLDESTSALDDMNERHLYSQIEAAGITYISIGHRKTLHKFHNKVLYISNSDSTDSNPRNWYLKPTEQMSIEESSSFAS >ORUFI01G08080.1 pep chromosome:OR_W1943:1:6055913:6058113:-1 gene:ORUFI01G08080 transcript:ORUFI01G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPPAGEGSGMMMPAKRRRERVLPSRFKDSVLVLPTAAAKKGKPAEAAAEGGVVDGELYDVELEEGDPGMLWTGDERPVQTEEELYWACRNIRRSSTSTSGSFSSSIFSGSSAVTSLSNAGGGGGNGRPEGKPTVVVECKPKSDGGERKEDFYWPEEFVLGDVVWARSGKKCPAWPALVIDPLLHAPRVVLNSCIPGALCVMFFGYSNSGLRDYGWVKQGLIFPFVEYLDRFKGQTLYKIKASRFREAIEEAFLAERGLFELETDEGCSLEKSVNDQSIPDGLLEGSGSNNEQECQSEAQVVGKSPGCDICGNRLPCKIASKKKQAGERLLCRHCDKLLQSKQYCGICKKIWHHTDGGNWVCCDECQIWVHVECDQTCIKMETCSM >ORUFI01G08090.1 pep chromosome:OR_W1943:1:6061205:6061948:1 gene:ORUFI01G08090 transcript:ORUFI01G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVTDAPPPSRFSPDDLDNFAAPPPQPTPILVVSPANPSPAPRRLLILLISPTSLALLPHLPSPPPLHASLLLPELPLGRSQPPIRVYLHAPSGTLLAAAHGPAPVPAHRARAVARSLVSALQPEEVLVLDAVRSGAYRGRLAADEPVEGKLETRAARGRGGVGAARGVAALAPPGSVVDGLGAAVLAECEMRGKAASMVVTWPEGARPAEFGVMRRVAAELGVDPAKAAAGRVAGRAELDALYT >ORUFI01G08100.1 pep chromosome:OR_W1943:1:6062779:6065870:1 gene:ORUFI01G08100 transcript:ORUFI01G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTRLHRALAGGDDDEEQPEDSILGDTEGICSLSPVQRVYGFAACLVAGLALMILSLVVFVRPIKFAVMFTFGNILAVGSTAFLIGPSQQLRMMLDPVRVYATAIYGGFVFLALIFALWIHSKVLTLIAIICEICALFWYSLSYIPFARRMVSDLMVKLCDTEL >ORUFI01G08110.1 pep chromosome:OR_W1943:1:6066298:6069582:1 gene:ORUFI01G08110 transcript:ORUFI01G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAISLLRPPREPQPRESEGEGERGRMMELRKRPRPRRVDPDFVSSPPASLLLPPPRKRARRQAAPPAAPAPAPAPARPSPAARRRPTCARVIIQSPVAGLQPSVCCPCEAPLRACRLPRASFLARRRPPFDWYEADMWTEVAKYLFGAELVRLSSTCRWFRRLLADEFIWRHAFLRDLSLLPAAADRYPPRPLHRSWRLLYAAAFSKLLPSLPPSTDDPVPLTDMTRVPSLLPPDGAHSYWFRRSSRHIGAYRIGGFLLESPYMLLTAKLAVPQWLPPQEDGPQIAIEMTGACVLPNARPGIWITDFHLVRCPNCTLNKCAGVLQVLDARHCELFLEQGFWNGTWEYEDLGDHYNDEETPTAACAIFNASTRAHESISCVLHSKSWVRRCDDPQPKAHCRPYAVALNSNLLSNSNQGLVSRFQAMRDTTGNGQIVSIRIIQQIY >ORUFI01G08120.1 pep chromosome:OR_W1943:1:6072286:6072858:1 gene:ORUFI01G08120 transcript:ORUFI01G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMLPCLVQGRTTLPAAMNPKQRLYSLKLLVKALHKLKKKMMMKPNKGKKIGSSKPSSPAAPAEAASATAAAVAGGGVEAAIGSSKPKVSPRRAAQGGQRKGVVRVKVVLTKEEAARLLSLTVVSAGAGAGGGRRKTTAQIIAEIKRMEIRRAMATSSAAVAWRPALASIPEEQHHHSPRRSLGVQQVA >ORUFI01G08130.1 pep chromosome:OR_W1943:1:6075153:6075395:-1 gene:ORUFI01G08130 transcript:ORUFI01G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAILVDIRRSSGPRRRRRLQPEEHKSPRSREIDRSETKLRVQIDYREPGRQAGPEIDRDAAPEPRTGHMGTPNRLGLI >ORUFI01G08140.1 pep chromosome:OR_W1943:1:6076233:6076819:1 gene:ORUFI01G08140 transcript:ORUFI01G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSIFFLLSFLPLTRVAFFAVGGITRGGAVREVLLTRWEGRDDQVLVYGLLLAGVDHGELMGRARFCLCPTGDDEGAAAASRRVVEAITVGCCAMDITVSFLRRRRR >ORUFI01G08150.1 pep chromosome:OR_W1943:1:6076854:6078529:-1 gene:ORUFI01G08150 transcript:ORUFI01G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASERVYVGGSDARGGGGEPVDGANFVFVCVVVGQGRAREREGPAGSRSSSGGGGGGEAARGMSPGSARARAARTAQNTAWRAILLHGGKAPTLRNCGLCCETPEDRVRLQPDVREGGGGRAAMAPWPCSAMAVARRCSSVTAYGCRDERRRRQEEMEANPVARHGALGWRGGGAVATDGVGRNWGRER >ORUFI01G08160.1 pep chromosome:OR_W1943:1:6078296:6080601:1 gene:ORUFI01G08160 transcript:ORUFI01G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSMASQAVAPLLLILMLAAAAGGASAAVQCGQVMQLMAPCMPYLAGAPGMTPYGICCDSLGVLNRMAPAPADRVAVCNCVKDAAAGFPAVDFSRASALPAACGLSISFTIAPNMDCNQVTEELRI >ORUFI01G08170.1 pep chromosome:OR_W1943:1:6079496:6081986:-1 gene:ORUFI01G08170 transcript:ORUFI01G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGAAASSSMANLLGVALVLAATAQTSARGGGGGGRHDYRMALSKSILYFEAQRSGVLPGNQRIAWRANSGLADGKANGVDLVGGYYDAGDNVKFGFPMAFTVTMMAWSVLEYGKQMAAAGELGHAMDAVRWGADYFVKAHPAPNVLYGEVGDGDSDHVCWQRPEDMTTSRQAYRLDPQHPGSDLAGETAAALAAASLVFRSSNPGYANQLLQHSKQLFDFADKYRGKYDDSMPVAKKFYGSFSGYGDELLWASAWLYQATDNRRYLDYLANNGDALGGTGWATNEFGWDVKYPGVQVLAAKFLLQGKAGPHAAVLRRYQRNADVFACSCLGKGGGGGNVGRTPGGLMYHQGWNNLQFVTGASFLLAVYADHLAAAGRGQAVVRCQAGPAARASELVALAKSQVDYILGSNPRGISYMVGYGARYPRRAHHRGASIVSIRANPSFVSCKDGYASWFGRAGSNPNLLDGAVVGGPDGRDGFADERNNYQQTEVATYNNAPLMGVLARLAGGGRGGLAEAAIKRPDNQTLLPPLAAAASPVEITQLNATASWKKDGRTYRRYAAKVSNRSPAGGKTVEELHIGIGKPHGPVWGLEKAARYGYVLPSSLAAGESAAFAYVVRGRAAPPPADVWVIGYKLV >ORUFI01G08180.1 pep chromosome:OR_W1943:1:6088076:6091119:-1 gene:ORUFI01G08180 transcript:ORUFI01G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGAAGVSMAHHLGIALVVLVFAAMAQVARGGGHDYGMALSKSILYFEAQRSGVLPGSQRMAWRANSGLADGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMAWSVIEYGEEMAAAGELGHAVEAIKWGTDYFAKAHPEPNVLYAEVGDGDSDHNCWQRPEDMTTSRQAYRLDPQNPGSDLAGETAAAMAAASLVFRSSNPGYADQLLQHSKQLFDFADKYRGRYDNSITVARNYYGSFSGYGDELLWASAWLYQASDDRRYLDYLANNADALGGTGWSINQFGWDVKYPGVQILAAKFLLQGKAGEHAGVLQGYRRKADFFACSCLGKDAADNVGRTPGGMLYHQRWNNIQFVTSASFLLAVYSDHLAGGAVRCSGGGGAVAGAAELLAFAKSQVDYILGSNPRGTSYMVGYGAVYPRQAHHRGSSIASIRASPSFVSCREGYASWYGRRGGNPNLLDGAVVGGPDEHDDFADERNNYEQTEAATYNNAPLMGILARLAAGHGARARGRLGQSLQHGIAANHTSLPHGANHQHASPVEIEQKATASWEKDGRTYHRYAVTVSNRSPAGGKTVEELHIGIGKLYGPVWGLEKAARYGYVLPSWTPSLPAGESAAFVYVHAAPPADVWVTGYKLV >ORUFI01G08190.2 pep chromosome:OR_W1943:1:6095726:6100028:-1 gene:ORUFI01G08190 transcript:ORUFI01G08190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKLVFLKDLGGFSSILCPTINIDLGIAVFMSYVAMKDSQDIQSPTELQSSAQGTNEVQSNQPNPMATDGPGGDSGSLSIASNDNRKVSREDIELVQNLIERCLQLYMNKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQYNLMKYPAPPNVPLAPMQNGMHPMPVNNLPMGYPVLQQPMMPAPGQPHIDSMACGLSSGHVVNGIPAAGDLEALENYAGTPFLASDSDILLDSPDQNDIVEYFADAINGPSQSDEEK >ORUFI01G08200.1 pep chromosome:OR_W1943:1:6106881:6111381:1 gene:ORUFI01G08200 transcript:ORUFI01G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCMLLVKPLVTREAMAELVGRVARRAYQRNGVVTDVKSFGTICLGYGIKKLDGRHFKEYQDYSGWVAQKNRCAAVNGLFALNNITLDSFEDPIYLNLVIGTLKTHFVTRHLNVSAIEGQLMQMTMMVPPSFTQELHYLNKEDRLLRWLVVKHRDAVYGVEFINEDDGRREMTDFRYRTKDEASDVDEYDDDDDDYEYEIDEE >ORUFI01G08210.1 pep chromosome:OR_W1943:1:6110583:6111092:-1 gene:ORUFI01G08210 transcript:ORUFI01G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGAAAAALALAAAVCFLLVAPAPARRPADLPPQAAVLLPEPVDYREAAADAEPLLPPKPVADADAAALAVPEEEEERGPARPRASLLCLVFRCDDGDEANAVAVRRSGGGAQDGGWWPRAAWKGEGDESNSDSDSDSDCDSDSDDDDDEGGEGGIVGWFWSLAHRF >ORUFI01G08220.1 pep chromosome:OR_W1943:1:6114641:6115245:-1 gene:ORUFI01G08220 transcript:ORUFI01G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQQEVYFVFMNFDPVYERLRADRSKEGSATLDAYLSHKHDKLLAKLLQPDTYRKKSSLAIVDGFAVEITDAQASILRLAKEVRVVEKNQELA >ORUFI01G08230.1 pep chromosome:OR_W1943:1:6115264:6116777:-1 gene:ORUFI01G08230 transcript:ORUFI01G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKTHRTEIWHIGSIHAGRPADLAEILSPLSPAGNMPSFAAAGSIRCFPASATPSPIADDPGQPAGRPAYCFKPQLAEEEDEEGRGGEEG >ORUFI01G08240.1 pep chromosome:OR_W1943:1:6136127:6137653:-1 gene:ORUFI01G08240 transcript:ORUFI01G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTIRVAVGILGNAASMLLYAAPILTFRRVIKKGSVEEFSCVPYILALFNCLLYTWYGLPVAYLMILFQKFVLRMVLPVLAFFALTAIFSSFLFHTHGLRKVFVGSIGLVASISMYSSPMVAASPNFIGCPMGILQLVLYCIYRKSHKEAEKLHDIDQENGLKVVTTHEKITGREPEAQRD >ORUFI01G08250.1 pep chromosome:OR_W1943:1:6139151:6139516:1 gene:ORUFI01G08250 transcript:ORUFI01G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCWASVAAALRRAPRRRTREPSLQEQLLAASERASVERLRERAGALQRELDAVAGETEEAEAAARRAEARAAGAGAALRAAAGEREAHEAKVRAVDEEIAAMDQRVRVLQAIVATITPK >ORUFI01G08260.1 pep chromosome:OR_W1943:1:6146795:6148829:-1 gene:ORUFI01G08260 transcript:ORUFI01G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGPGGGSGEGGRRKRQVPDIFSAGGWENTLHPRAHGCRPCYDQPQARKCATVLSPSPCMRHPMFSRHFHPPVHVHSLYPSRRPNPPDSRSPPSLIPVEEREIENPLPPNGA >ORUFI01G08270.1 pep chromosome:OR_W1943:1:6148966:6153847:-1 gene:ORUFI01G08270 transcript:ORUFI01G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKATRSTRVDGVSGEAVIEEFERVTRDAANVQRETLRRILAENGGVEYLRGLGLAGATDPATFRARVPLATHADLEPYIDRIADGDASPVLTAKPATSISLRLVSPPAAACCSLTSIENVADHGGDHLTAGACSSGTTQGKRKYLLFNEELVKSTMQIYRISYAFRNREFPVENGKALQFIYSSRETRTKGGLTATTATTNVYRSEEFKATMRDIQSQCCSPDEVIFGPDFAQSLYCHLLAGLLAAGDVQIVSATFAHSVVLAFQTFERAWEDLCADIRRGEVSPSRVTSPAVRRAMAALLAAPNPGLADEVARKCAALSNWYGVIPALWPNARYVYGIMTGSMEHYVKKLRHYAGGLPLVAAEYGASEGWVGANVEPGTPPERATFTVLPDIAYFEFIPLKPVAGDGGYAEAEPVGLTEVAAGELYEVVMTTFAGLYRYRLGDVVKVAGFYNATPKLKFVCRRNLMLSINIDKNSEQDLQLAVDAAARAVLAGEKLEVVDYTSHADVSSDPGHYVVFLELNAADPAAVDGDVMQACCDELDRAFADAGYVGSRKSGAIAPLELRVLQRGTFQKVLRHYLSLGAPVSQFKSPRCVSRSNSGVLQILAGCTVNVFFSSAYD >ORUFI01G08280.1 pep chromosome:OR_W1943:1:6157679:6161564:-1 gene:ORUFI01G08280 transcript:ORUFI01G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSDESDTQIKTRTNCKLSLFFLHFYRPFSRNIMENMSKLPSWWGYVYASLLLIHTLYVDWAFSTNQKSLGKLVNG >ORUFI01G08290.1 pep chromosome:OR_W1943:1:6166895:6169088:-1 gene:ORUFI01G08290 transcript:ORUFI01G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAKAAALEVVLAAKSSRIASLEARVSFLEAENARLRRAMADRSTGKGGPNLRRSEEMAGGRVARDVIEVSDGEEEGMAVDVRKGRGAEEGMDAVATPRKRAALRVVIGESGDEYEIDDAKGDGDGDGGDHGSVSCGDNVGLEDDDVTTALPDRKRAAALVVTSDSEDEVESQGGHGRRGKDGSRKRALRGVRDNGNEDKGVTRSRKRALRGISDNEDEDEDEDGVDGAHVVATEIESSDDDMIPIREVVKKMRKERASKGGGGFGETNGSSTPATRRSARLAKGQPKRAQSARRVLNFVEPKDCEESASDSDEDDDLDDFIINDSDCSENSANSAEPEESDASAPSEGSSSELEESDNEIDYKDVMACIGRKRNAKEWKYEAEMLSAFAAHPELCLKAVCALYRKQTKDEQEVKATILHNKQGFNQIDAARGSSIAEFLLDGDTFGPLKKTVHDLEQYDRYALEFCHKLAARYSKQLFSIYQNKEDPYFHP >ORUFI01G08300.1 pep chromosome:OR_W1943:1:6173775:6174014:1 gene:ORUFI01G08300 transcript:ORUFI01G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMAASGGQQAAGCGGRYFQMPLHYPRYRKEDYERMPEWQLDRLLSEYGLPVDGNLQHKRAFAIGAFLWGAGGGANAA >ORUFI01G08310.1 pep chromosome:OR_W1943:1:6176747:6179477:1 gene:ORUFI01G08310 transcript:ORUFI01G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLLTKRAHMQRHNPEPSKILLLPNCVVVSASDRLPGQALHPTPRGCNNISTQIRRASSEESKKKKSLRFYQVHTLVVSPISSIRFKARILLKKEGAFGVGIMAHLLQLPDLAAARPPAARRRIAAAAVVVAEARGGVKQQQQQVAVGRVIRVADPVREGRLLLLPPPLFSVPVTPSESPAAARRREEDEEERRRYYLNMGYAIRTLREELPDVFSKEPSFDIYRDDIVFKDPLNKFEGIDNYKRIFWALRFTGRIFFKALWVDIVSIWQPAENLIMIRWIAHGIPRVPWEAHGRFDGASEYKLDKNGKIYEHKVHNVAMNPPTKFKVLPVHELIRSLGCPSTPKPTYFETSSQSLSVEPDAACYCIAPCPRASVVQTPEY >ORUFI01G08320.1 pep chromosome:OR_W1943:1:6179516:6187221:-1 gene:ORUFI01G08320 transcript:ORUFI01G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRRARGGAATVAVSTEAAVAPHPVSSPAVASADWSVQGDGLRGGWLGVGFPRGEGDRRSPALLPPPSWWSANQSFASPL >ORUFI01G08330.1 pep chromosome:OR_W1943:1:6183008:6186648:1 gene:ORUFI01G08330 transcript:ORUFI01G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKKGSIRIDICLKPKDVVPEDAKKPISEEGLSPRKWLHDVWDFARQDTNRVTFALKVGLACLLVSLLILFRAPYDIFGANIIWSILTVAIMFEYTVGATFNRGFNRAVGSVFAGVFAVVVIQVAMSSGHIAEPYIIGFSIFLIGAVTSFMKLWPSLVPYEYGFRVILFTYCLIIVSGYRMGNPIRTAMDRLYSIAIGALIAVLVNVFICPIWAGEQLHRELVNSFNSLADSLEECVKKYLSDDGSEHPEFSKTVMDNFPDEPAFRKCRATLNSSAKFDSLANSAKWEPPHGRFKHFFYPWAEYVKVGNVLRHCAYEVMALHGCVHSEIQAPYNLRCAFKSEILDATKQAAELLRSLAKDLNNMKWSLQTSLLKHVHVSTERLQHSIDLHSYLFTASQEDNYAKPQLKISRVVSFKNQSGEPESKTTETRTPMAMEVESYHEMMKRQQRKLHSWPSREVDDFEDDENVVSDLIPRMRALESTTALSLATFTSLLIEFVARLDHLVEAAERLATMARFKQQIAN >ORUFI01G08340.1 pep chromosome:OR_W1943:1:6187311:6193127:1 gene:ORUFI01G08340 transcript:ORUFI01G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVASSTVFASSLPHHRATTRAPPTPPRIPRRARLPGRSVVSCLPKRGSEKLVVTRASDEEGPPEPAGQGRGGGRAWPSLDASSGGLALAAAAGVLMLQGSQQALAGTEFMGMQDVVGDLGDISTGDRTFFIAVYYGVTTLLDAASGDEEKMNEEQEEIVAYIGGSLFLAFAAVTLVEIVNS >ORUFI01G08350.1 pep chromosome:OR_W1943:1:6191730:6194326:-1 gene:ORUFI01G08350 transcript:ORUFI01G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVDDGGGGELDVFDAGRCGGGGYELGVSVGRRFGEAIRSRMSGDAVLRRRLLPFASTAPGRALVDALRDANRARYPRYWDEMVGTADGSGVPLLHVILVNFRKELLPFITEEEDQHHHREDEATAVAADADDDCSDVLIVGESAAIAAHNEDANVALLGHTYVVMATSPDGSSSFTAYTYAGELPTCAFGFNSNGVAFTLDSVPPASGEVVAGAIARNFVSRDLLEATSLEDAMNRVSSPAMSVGHSYNLMDVRRRRIVNVETASGNRFSVREAAAAPFFHANMYRHLQVNQEKALSLLGDTADDKYPIYMTGPTLYTLCTVLVDLDEATMTIYKGNPKNRDAVRVFRML >ORUFI01G08360.1 pep chromosome:OR_W1943:1:6194425:6212569:-1 gene:ORUFI01G08360 transcript:ORUFI01G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIECRVHVDINAVKKELRCRRSRMLPLAFRKLAEENKESPTAIVLATTQTAVRKGGRKRMPQSNRKELQRSLRLPQTTPTALERICIGGFTTNRPGPTSIQAHKLYKAVNLTLL >ORUFI01G08370.1 pep chromosome:OR_W1943:1:6215728:6217848:1 gene:ORUFI01G08370 transcript:ORUFI01G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDGIPFGWNDTSKGFDFSSSQFTVQAAATAQMKVAWEVSGKEIPYYSIIYVLSKSYQNFTLFNDDIVNEPLQGIRTVIAPQEP >ORUFI01G08380.1 pep chromosome:OR_W1943:1:6218189:6218386:-1 gene:ORUFI01G08380 transcript:ORUFI01G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLMRACMPGQVKKAGGDCVSVCWCATLCMMAHINVTSVSQMASAARRERGGQAGYQETLVRAWT >ORUFI01G08390.1 pep chromosome:OR_W1943:1:6218430:6222351:-1 gene:ORUFI01G08390 transcript:ORUFI01G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSGEPRRVVLLVDVDPLLPSPKASAPTAQPLASHYLAAVLPAATSLLSASPAASLSAARLFFSSLSPILSFSLLPGPLPAAPAPLSFHLHGETLASLAPLRRLALPACAHRRVPPSSSIAKSILQLEHDYPWDDDPESIRRRRVFQQTPNLVVLFTAAAEFEEFGGDADFGGRFRGVFRPVRDRLAARGVQVCWVAVGGCGEGVRRAVTELGWWFTAADAVALGSAIATPGLVWGCLGLGGEEGGSRGEVVLEIADVEGKPLVCKGCEVEVIGSTPWRLRGDSVFKMHVKAVCEVGNWEQLITGDGDAVMVRGCFQEAGKIDGEEAAEKEFFAHKIVELMLGDDKDKLGGGKPIWQLILVFLHRKNYCAMVSISDGDGNPLDGVIVPLSMNYALLHVAKNGAGFGQVVAKGPALLDSCMSDTSKEQSARKKRSKLVSKLFEATTWISFCDVLLKSADGSMPVVDLEDLYFSRYAATSKKMRFLKCWMKQVKQQCLSTSSSIVAVAEEEKHLSSKDEAETKSPVLEEDASAPLVNFSVDELVCDKEDKPMDEINCNKVDKPVGDETSDFSSMEDLEAFLDSVPQKIEQSLCSEDADLGNLAGRLVGLSVHALMIKHGKISVRYSNRGEVEDVSDGKIACEASGILLVKPKELVAKYKDRNTACATSQEIPKYSTTYKIREHELQILLRMEIMKSELGPGIEEGSKQKMIKEICSLLQFIDINLQGDSFQSNSILEFAEKTIKIRYIESMEDVIKKIYTEMEFDLFDDEVECSESLPSSSNHDVDGSNSRRHRSNSAPHLLRRDHGGGSRHEERLARAEERRNRDRRLSSFTSWVPDLRRVWALKHPGKEPAAAAAAPPQSRQGASKRRKRRRAACTDMVCETPMTVAASGKRKPGDAAGSLATVSKALFHDDEAAGISSSSV >ORUFI01G08400.1 pep chromosome:OR_W1943:1:6224030:6227156:-1 gene:ORUFI01G08400 transcript:ORUFI01G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDGDVARQLKQMTDFIRQEAVEKAAEIEAAAAEEFQIEKLQLVEAEKKRIRLEFERNEKQGDIKKKIEYSKQLNASRLEVLQAQDDLAMSMLEAAGKELLYITRDHHVYKNLLRIFIVQDKLTKKNPEQSLLRLKEPAVILRCRKEDRELVESVLESAKNEYADKANIYPPEIMVDRNVYLPPAPSHYEAHGPSCSGGVVLASRDGKIVCENTLDARLEVVFRKKLPE >ORUFI01G08420.1 pep chromosome:OR_W1943:1:6235707:6241949:1 gene:ORUFI01G08420 transcript:ORUFI01G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSKEMFSLFSFVVIFLCLITNAIYSGSKFVHATDTLLPGKSLSGNQVLISKGGAFRLGFNCLSPPCYSDSTFGIWYIKSSTCRSLLVWAPVANFCIFNPWSSSFILSEDGKLNLIIDGSLSWSSNGVETSVSAFAILLDNGNLVIRDQVNSTMVFWQSFDNPIGILLPGGWLGFNRMTGKNVSLSSKYSTDGYDAYDTGNFILDINANEGRGFTINAPDFDSGNTYKIKYSGAFPRWMGVRADGGSFLLFNDADIYVQLYPDGNVTAAKLGDCGSVLWSAPENWCDFDSYCGSNSFCIIPSKESFFESPCYDFSDLGYLMNVSLNCRYNAPHKQNVSFHPMVGVYKFPQNEWSMEVRSIRECEAACYSDCSCTSFAFNKTCLLWYGELQNTIVFDSRSEGYLMYMRVVEQKQEKSEYKVAIIVVTLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVRLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSAKLTWNLRYCIAHGIAKGLAYLHEECRHCIIHCDMKPDNVLLDAEFYPKIADFSMAKLLGRDFNRALTTMRGTIGYLAPEWISGLPITHKTDVYSYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDRRLDGNADAEQLEKACRIACWCIQDAEDHRPMMGQVVHMLEGVTDVEVPPVPRSLQYFVGMEDNNTQSAEFGCVEVGCPSGRSQDVRRNGSTTSGMPNCVSQKQNKCKPLLLSSSTVILLSSTIFVHLVCQLAYATSATDTLLPGQSLRGNQALVSKDGSFRLSLNWLSPPFGIWFTNSMCDKLVWEPDANYPIGDPQSLSLTLSGDGTLQLLSNGSHLWSTHYVKETSISVVLVLLDIGNLVIRDKTNDSMVLWQSFDYPSDTILPGGGLGFNKIIGKNISLRSSDYYSTLEIDTRKNRGFIIRYIPCGWMFAGTFPSWMKFHEDGTSFVTFNNSQTYLSFDGLYISLNKLGECNYGSNNLWFYPENYFEYCGPYGHSCSSECECPLDNYKRYRSGALQSVKLFAQVTAPVQPMHMMLHAYYGMGSCGMPRCRALVPLEDIYIRVGQRETSIKNSKRVNIAVLVTGISSLVIGIGLILLWRFHRKLFTTRSVDTNSGLMVFSYAEVKNATKKFSEKLGEGGFGSVFKGTLPGCSVVAVKKLKCVVQVEKQFRSEVQTIGMIQHINLVRLLGFCAEERKRLLVYEYMPNGSLSSHLFSDDSEKLCWQLRYHIALGTARGLAYLHEECKDCIVHCDMKPDNVLLDTDFCPKIADFGMAKLLNRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDSRLKGNADAEQLEKACRIACWCIQDYVDQRPMMGQVVLMLEGAMDVLVPPIPRSLQNFVDMEDHSTDLDTF >ORUFI01G08420.2 pep chromosome:OR_W1943:1:6237093:6241949:1 gene:ORUFI01G08420 transcript:ORUFI01G08420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSNSQLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVRLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSAKLTWNLRYCIAHGIAKGLAYLHEECRHCIIHCDMKPDNVLLDAEFYPKIADFSMAKLLGRDFNRALTTMRGTIGYLAPEWISGLPITHKTDVYSYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDRRLDGNADAEQLEKACRIACWCIQDAEDHRPMMGQVVHMLEGVTDVEVPPVPRSLQYFVGMEDNNTQSAEFGCVEVGCPSGRSQDVRRNGSTTSGMPNCVSQKQNKCKPLLLSSSTVILLSSTIFVHLVCQLAYATSATDTLLPGQSLRGNQALVSKDGSFRLSLNWLSPPFGIWFTNSMCDKLVWEPDANYPIGDPQSLSLTLSGDGTLQLLSNGSHLWSTHYVKETSISVVLVLLDIGNLVIRDKTNDSMVLWQSFDYPSDTILPGGGLGFNKIIGKNISLRSSDYYSTLEIDTRKNRGFIIRYIPCGWMFAGTFPSWMKFHEDGTSFVTFNNSQTYLSFDGLYISLNKLGECNYGSNNLWFYPENYFEYCGPYGHSCSSECECPLDNYKRYRSGALQSVKLFAQVTAPVQPMHMMLHAYYGMGSCGMPRCRALVPLEDIYIRVGQRETSIKNSKRVNIAVLVTGISSLVIGIGLILLWRFHRKLFTTRSVDTNSGLMVFSYAEVKNATKKFSEKLGEGGFGSVFKGTLPGCSVVAVKKLKCVVQVEKQFRSEVQTIGMIQHINLVRLLGFCAEERKRLLVYEYMPNGSLSSHLFSDDSEKLCWQLRYHIALGTARGLAYLHEECKDCIVHCDMKPDNVLLDTDFCPKIADFGMAKLLNRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDSRLKGNADAEQLEKACRIACWCIQDYVDQRPMMGQVVLMLEGAMDVLVPPIPRSLQNFVDMEDHSTDLDTF >ORUFI01G08420.3 pep chromosome:OR_W1943:1:6237093:6241949:1 gene:ORUFI01G08420 transcript:ORUFI01G08420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSNSQLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVRLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSAKLTWNLRYCIAHGIAKGLAYLHEECRHCIIHCDMKPDNVLLDAEFYPKIADFSMAKLLGRDFNRALTTMRGTIGYLAPEWISGLPITHKTDVYSYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDRRLDGNADAEQLEKACRIACWCIQDAEDHRPMMGQVVHMLEGVTDVEVPPVPRSLQYFVGMEDNNTQSAECWMPVWTKPRCSTKWLNNERHAELRIPGTFPSWMKFHEDGTSFVTFNNSQTYLSFDGLYISLNKLGECNYGSNNLWFYPENYFEYCGPYGHSCSSECECPLDNYKRYRSGALQSVKLFAQVTAPVQPMHMMLHAYYGMGSCGMPRCRALVPLEDIYIRVGQRETSIKNSKRVNIAVLVTGISSLVIGIGLILLWRFHRKLFTTRSVDTNSGLMVFSYAEVKNATKKFSEKLGEGGFGSVFKGTLPGCSVVAVKKLKCVVQVEKQFRSEVQTIGMIQHINLVRLLGFCAEERKRLLVYEYMPNGSLSSHLFSDDSEKLCWQLRYHIALGTARGLAYLHEECKDCIVHCDMKPDNVLLDTDFCPKIADFGMAKLLNRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDSRLKGNADAEQLEKACRIACWCIQDYVDQRPMMGQVVLMLEGAMDVLVPPIPRSLQNFVDMEDHSTDLDTF >ORUFI01G08420.4 pep chromosome:OR_W1943:1:6234869:6235720:1 gene:ORUFI01G08420 transcript:ORUFI01G08420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRCLTKCLNKDGKGQKVFEEWIALKVGWRWCPTVLIGSPYCNGATTNQLELGIWDIKNIHIVSKGSN >ORUFI01G08430.1 pep chromosome:OR_W1943:1:6242210:6242933:1 gene:ORUFI01G08430 transcript:ORUFI01G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCHPILRDVAVSHLRHVNHVPEETSRRILTSDCALIATESSPRPSTTNGDRTISMMGLKKSA >ORUFI01G08440.1 pep chromosome:OR_W1943:1:6242574:6244104:-1 gene:ORUFI01G08440 transcript:ORUFI01G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLWLSAVVSLLLSSSLHAAGAGAGASSLSVRRYDAIFSFGDSFADTGNNPVVFSWYSVFDPVTRPPYGSTFFGHPTGRNCDGRLVVDFVAERLGVPLLPPFLAYNGSFRRGANFAVGAATALDSSIFHAGDPPPGASPFPVNTSLGVQLGWFESLKPSLCSTTQGKKKCKDFFGRSLFFVGEFGFNDYEFFFRKKSMEEIRSFVPYIIETISIAIERLIKHGAKSLVVPGMTPSGCTPLILAIFADQAGPDDYDPATGCLKAQNELAILHNSLLQQSLLNLQARHPDASIVYADFFSPIMEMVRSPGKFGFEDDILTICCGGPGTALCGDQGAITCEDPSARLFWDVVHMTEVAYRYIAEDWLRIIESPGNKII >ORUFI01G08450.1 pep chromosome:OR_W1943:1:6246363:6247324:1 gene:ORUFI01G08450 transcript:ORUFI01G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAHVAIAHSLVSVYGMLGDMRHLRTSPTTEAEIRRFHLPEYVDLIRNLTPESYANDVVLRQKAEDDHGIGLLGDDNDCPAFDRLWKYCHGYAGGWLAAARALVNGGSGSHRRRIVMFLFPFRSHIAPMLQLAELLRDRGLTVNVVHTTFNSPNATRHPKLTFVPMHERPPPDAAPFRKALRWVVRRSIGQAAGGGGGGGARWHARSSTGSGTCRHAPLHTQLLAPPLPGTGTINEIREGVGKHYSVNVSLDAGCWATKASSSWAPCAGMPPCVVAVGGKPWRCAAVAEPSRLGLAALAARVAPLLG >ORUFI01G08460.1 pep chromosome:OR_W1943:1:6249363:6252690:-1 gene:ORUFI01G08460 transcript:ORUFI01G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLWLPAAVSLLLSSSLHVAGDAGGGVASLSVRRYDAIFSFGDSLADTGNNPVVFDWYSIFDPVTRPPYGSTFFGRPTGRNCDGRLVLDFVAERLGLPLVPPFLAYNGSFRHGANFAVGAATALDSSFFHGAGDPPGASPFPLNTSLSKLIGDGATTVVVPGMIPSGCSPPVLVTFADAGAAEYDASTGCLREPNEVATLHNSLLLDAVEELREKHPDVAIVHTDLFRHVSEMVQNPDKFGFQKDVLSVCCGGPGKYHYNTRIICGDEGATTCVDPSKSLYWDGVHLTEAAYHYIADDWLHAITLSARATS >ORUFI01G08470.1 pep chromosome:OR_W1943:1:6253263:6259147:-1 gene:ORUFI01G08470 transcript:ORUFI01G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERMAAGEAKTTATDTERKPTRTASTTPLSHHNSLLQDALHELRSKHPGAAATTTVVYADLFRPVMEMVES >ORUFI01G08480.1 pep chromosome:OR_W1943:1:6256233:6258858:1 gene:ORUFI01G08480 transcript:ORUFI01G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFLHVLVKDFTNHPCPYALHSINASGLFYPAAVRPNGSGEGTKLEEDYLPDRTVSFHHPSGSGGSMQFMSLGQSNNAIIGVDNECRTILYNTEWHSIRTMPSMHGCKWSPPVSLAVNNSLYVMELYPRQDGHVSFEVLAYGSQHAYGSQPVYGRMPSKPSRAYREDWYWRSLPPPPYVHYQGYEKDEAPPGYDISVEHPYKITATAVVGGGSGSSIWISTAGVGTFAFDTANDTWTKRGDWALPFRGNAEYVAEHGLWFGLSSQGDDLFCASDIAAASVSPPVVLDAWGLDHLGVTTSRKCYHSKSYLVYLGNGRFCVGRLFHVEEGDTETERFVVLMGVEVEERSDGGDSRVLRMIKHRSKRYRLSAYMTINLVA >ORUFI01G08490.1 pep chromosome:OR_W1943:1:6259968:6262059:-1 gene:ORUFI01G08490 transcript:ORUFI01G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYLPCAATSLHLLLLLASSEAAAAAAAASASLSAGGHRRYHSIFNFGDSFADTGNKPVAYAWYPLPSNVMRPPYGETFFGHPTGRSSDGRLILDLIGNVAAAAHRRRPAGLGLPFVPPYLAHGGSFGGGANFAVAGATALDAGFFHDRDIPGAGSKFPLNTSLDVQLAWFESLTPSLCGTAQDNQTRRLMKHGAKSIVVPGMIPSGCSPPALTSFYGRAGPADYDARTGCLRGINELASHHNSLLQDALHELRNCPNIHVREVGMRVGHSRGAELWDDPVSATAARYPIGFREDVLTVCCGGGGPYNFNESVACGGAAATACEDPSASLYFDGAHLTEAGYRHVADGWLSSINSCLCAQPHTMKLRRA >ORUFI01G08500.1 pep chromosome:OR_W1943:1:6262942:6289082:-1 gene:ORUFI01G08500 transcript:ORUFI01G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGDGDGELVRRVRSPSSRGRMAPTLAFLLVLLLLLAGGSGGGAFLAAAASTDTVVPGKGMAGNQTLVSKNGRFELGFFTPGSGIHYFLGVRLRNMAEYSPTFWIGDRVGVIDLPGVSLEVFGDKLYIKEDGVSLWWSSVAGNGSSSSSDGGAVAVLLDTGDLVVRDQGNPSRVLWRSFDYPGDSLLPGGRLGLDAATGTNVSLTFKGFSHNGSLQVDASRRNGFVLTTDGIDSRGAFPDWMVTSQDNGSSLVLNHPDAPNSTEFLQFNLGLISLMRWSDSTAGWVARWTFPSDCKSGAFFCGDFGACTAGGGGGCECVDGFTPSYPDEWRLGYFVTGCSRSLPLSCEANGQTEHDDSFAILDNLRGLPYNAQDEPVTTDEDCRAACLNKCYCVAYSNESGCKLWYHNLYNLSSADKPPYSKIYVRLGSKLKSNRGLATRWIVLLVVGSLAVTSVMLGLVLLCRYRRDLFASSKFEVEGSLIVYTYAQIRKATGNFSDKLGEGGFGSVFRGTLPGSTTVVAVKNLKGVGQAEKQFRTEVQTVGMIRHTNLVRLLGFCVNGNRRLLVYEYMSNGSLDAHIFSEKSNSRLEGNANVKELDITCRVACWCIQDEENDRPSMGQVVRMLEGVVDMEMPPIPASFQNLMESEDSGYPPAAEGGRPPAARVSGRDARHRGRKLYIEELGSILWTSNSTRNESNTAVAVILNTGNFVIRDQLNSSVVTWQSFDHPADKLLPGAYLGLDMVMGTNILLTLFKPPYNCTLMIDQSRKRGFIMFIDGHDKYLGTFPEWMVTYEENDNATISGWQSVWSHPSSCKVSAFHCGAFGICTSTGTCKCIDGFRPTEPNEWELGHFGSGCSRITPSNCLGVVSTDLFVLLDNLQGLPYNPQDVMAATSEECRAICLSECYCAAYSYHSACKIWYSMLFNLTSADNPPYTEIYMRIGSPRVILFLLMLLLMYKRSSCVARQTKMEGFLAVYSYAQVKKATRNFSDKLGEGSFGSVFKGTIAGSTIVAVKKLKGLGHTEKQFRTEVQTVGMIQHNNLVRLLGFCTRGTRRLLVYEYMPNGSLDSHPFSETSRVLGWNLRHQIVVGIARGLAYLHEECRDSIIHCDIKPENILLDAEFCPKIADFGMAKLLGREFSAALTTIRGTIGYLAPEWISGQAITHKADVYSFGVVLFEIISGRRSTEKIRHGNHWYFPLYAAAKVNEGDVLCLLDDRIEGNASLKELDVACRVACWCIQDDEIHRPSMRKGETADGWGNPRRRRVGVRCQPAGRPARSSALRAGDGRRRAAARGGGGGCRRYGADEGDGMSGCSSSGPRNPKGFPDNPQNVTAATSEECQAACLSECFCAAYSYHSGCKIWHSMLLNLTLADNPPYTEIYMRIGSPNKSRLHILVFILIFGSIAVILVMLMLLLIYKKRSSCVASQAKMEGFLAVYSYAQVKKATRNLSDKLGEGSFGSVFKGTIAGSTIVAVKKLKGLGHTEKQFRTEVQTVGMIQHTNLVRLLGFCTGGTRRLLVYEYMPNGSLDSHLFSETSRVLSWNLRHRIVIGIARGLAYLHEECRDSIIHCDIKPENILLDAELCPKIADFGMAKLLGREFSAVLTSIRGTIGYLAPEWISGQPITYKADVYSFGVLLFEIISGRRSTEKIQHGNHRYFPLYAAAKVNEGDVLCLLDDRLEGNASLKELDVACRVACWCIQDDEIHRPSMRQVIHMLEGIVGVELPPIPASFQNLMDGYDSDLYSVEPQPLQLRLLSNLHHHSLLRSKPTRTLPRFCWFSAMPPPRLPCLAAFLALALVVVVCAAEPDADRAALLDFLAGLGGGRGRINWASSPRVCGNWTGVTCSGDGSRVVALRLPGLGLSGPVPRGTLGRLTALQVLSLRANSLSGEFPEELLSLASLTGLHLQLNAFSGALPPELARLRALQVLDLSFNGFNGTLPAALSNLTQLVALNLSNNSLSGRVPDLGLPALQFLNLSNNHLDGPVPTSLLRFNDTAFAGNNVTRPASASPAGTPPSGSPAAAGAPAKRRVRLSQAAILAIVVGGCVAVSAVIAVFLIAFCNRSGGGGDEEVSRVVSGKSGEKKGRESPESKAVIGKAGDGNRIVFFEGPALAFDLEDLLRASAEVLGKGAFGTAYRAVLEDATTVVVKRLKEVSAGRRDFEQQMELVGRIRHANVAELRAYYYSKDEKLLVYDFYSRGSVSNMLHGKRGEDRTPLNWETRVRIALGAARGIAHIHTENNGKFVHGNIKASNVFLNNQQYGCVSDLGLASLMNPITARSRSLGYCAPEVTDSRKASQCSDVYSFGVFILELLTGRSPVQITGGGNEVVHLVRWVQSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIAMACVSRTPERRPKMSDVVRMLEDVRRTDTGTRTSTEASTPVVDVQNKAESSSAAH >ORUFI01G08500.2 pep chromosome:OR_W1943:1:6262942:6289082:-1 gene:ORUFI01G08500 transcript:ORUFI01G08500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGDGDGELVRRVRSPSSRGRMAPTLAFLLVLLLLLAGGSGGGAFLAAAASTDTVVPGKGMAGNQTLVSKNGRFELGFFTPGSGIHYFLGVRLRNMAEYSPTFWIGDRVGVIDLPGVSLEVFGDKLYIKEDGVSLWWSSVAGNGSSSSSDGGAVAVLLDTGDLVVRDQGNPSRVLWRSFDYPGDSLLPGGRLGLDAATGTNVSLTFKGFSHNGSLQVDASRRNGFVLTTDGIDSRGAFPDWMVTSQDNGSSLVLNHPDAPNSTEFLQFNLGLISLMRWSDSTAGWVARWTFPSDCKSGAFFCGDFGACTAGGGGGCECVDGFTPSYPDEWRLGYFVTGCSRSLPLSCEANGQTEHDDSFAILDNLRGLPYNAQDEPVTTDEDCRAACLNKCYCVAYSNESGCKLWYHNLYNLSSADKPPYSKIYVRLGSKLKSNRGLATRWIVLLVVGSLAVTSVMLGLVLLCRYRRDLFASSKFEVEGSLIVYTYAQIRKATGNFSDKLGEGGFGSVFRGTLPGSTTVVAVKNLKGVGQAEKQFRTEVQTVGMIRHTNLVRLLGFCVNGNRRLLVYEYMSNGSLDAHIFSEKSNSRLEGNANVKELDITCRVACWCIQDEENDRPSMGQVVRMLEGVVDMEMPPIPASFQNLMESEDSGYPPAAEGGRPPAARVSGRDARHRGRKLYIEELGSILWTSNSTRNESNTAVAVILNTGNFVIRDQLNSSVVTWQSFDHPADKLLPGAYLGLDMVMGTNILLTLFKPPYNCTLMIDQSRKRGFIMFIDGHDKYLGTFPEWMVTYEENDNATISGWQSVWSHPSSCKVSAFHCGAFGICTSTGTCKCIDGFRPTEPNEWELGHFGSGCSRITPSNCLGVVSTDLFVLLDNLQGLPYNPQDVMAATSEECRAICLSECYCAAYSYHSACKIWYSMLFNLTSADNPPYTEIYMRIGSPRVILFLLMLLLMYKRSSCVARQTKMEGFLAVYSYAQVKKATRNFSDKLGEGSFGSVFKGTIAGSTIVAVKKLKGLGHTEKQFRTEVQTVGMIQHNNLVRLLGFCTRGTRRLLVYEYMPNGSLDSHPFSETSRVLGWNLRHQIVVGIARGLAYLHEECRDSIIHCDIKPENILLDAEFCPKIADFGMAKLLGREFSAALTTIRGTIGYLAPEWISGQAITHKADVYSFGVVLFEIISGRRSTEKIRHGNHWYFPLYAAAKVNEGDVLCLLDDRIEGNASLKELDVACRVACWCIQDDEIHRPSMRKGETADGWGNPRRRRVGVRCQPAGRPARSSALRAGDGRRRAAARGGGGGCRRYGADEGDGMSGCSSSGPRNPKRSSCVASQAKMEGFLAVYSYAQVKKATRNLSDKLGEGSFGSVFKGTIAGSTIVAVKKLKGLGHTEKQFRTEVQTVGMIQHTNLVRLLGFCTGGTRRLLVYEYMPNGSLDSHLFSETSRVLSWNLRHRIVIGIARGLAYLHEECRDSIIHCDIKPENILLDAELCPKIADFGMAKLLGREFSAVLTSIRGTIGYLAPEWISGQPITYKADVYSFGVLLFEIISGRRSTEKIQHGNHRYFPLYAAAKVNEGDVLCLLDDRLEGNASLKELDVACRVACWCIQDDEIHRPSMRQVIHMLEGIVGVELPPIPASFQNLMDGYDSDLYSVEPQPLQLRLLSNLHHHSLLRSKPTRTLPRFCWFSAMPPPRLPCLAAFLALALVVVVCAAEPDADRAALLDFLAGLGGGRGRINWASSPRVCGNWTGVTCSGDGSRVVALRLPGLGLSGPVPRGTLGRLTALQVLSLRANSLSGEFPEELLSLASLTGLHLQLNAFSGALPPELARLRALQVLDLSFNGFNGTLPAALSNLTQLVALNLSNNSLSGRVPDLGLPALQFLNLSNNHLDGPVPTSLLRFNDTAFAGNNVTRPASASPAGTPPSGSPAAAGAPAKRRVRLSQAAILAIVVGGCVAVSAVIAVFLIAFCNRSGGGGDEEVSRVVSGKSGEKKGRESPESKAVIGKAGDGNRIVFFEGPALAFDLEDLLRASAEVLGKGAFGTAYRAVLEDATTVVVKRLKEVSAGRRDFEQQMELVGRIRHANVAELRAYYYSKDEKLLVYDFYSRGSVSNMLHGKRGEDRTPLNWETRVRIALGAARGIAHIHTENNGKFVHGNIKASNVFLNNQQYGCVSDLGLASLMNPITARSRSLGYCAPEVTDSRKASQCSDVYSFGVFILELLTGRSPVQITGGGNEVVHLVRWVQSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIAMACVSRTPERRPKMSDVVRMLEDVRRTDTGTRTSTEASTPVVDVQNKAESSSAAH >ORUFI01G08510.1 pep chromosome:OR_W1943:1:6270483:6282868:1 gene:ORUFI01G08510 transcript:ORUFI01G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRILGKQDVGSDDHIQSKPCSWEQCISWVIKALPYDHRRVELISYYKNRAKLLNIKFTGIDIQCCIKEVSNGNPVSQPEVYWIAACNVLVSYAERRVQR >ORUFI01G08520.1 pep chromosome:OR_W1943:1:6282897:6285338:1 gene:ORUFI01G08520 transcript:ORUFI01G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFLCKPWLPLTESAKPARSPAPSSRARTVVQQLAVLLLLLGGVGGGGGALLAAAASTTDTILPGESITGNQTLVSKNGEFELGFFNPGVGIHYFLGVRLRKLAAYSPTFWIGDRVYVVDLPRAALELFGDSLYIKEDGASLWWSSPSSSSSSSGGGRGGGAAVAILLDTGDLVVRDQRNSSLVLWRSFDYPGDALLPGGRLGLDVATGENVSLTFEGFTHNGSLRADASRRNGFVLTTDGRDTRGAFPDWMVTTQDNGGSLVLNHPDATNSTEFLQLKVGQVSLVRWSGADAGWVPRWTFPSGCKSGGGFFCGDFGVCTTATGGECRCVDGFAPSDTKEWGLGYFVTGCSRSLPLSCDANGQTEHGDSFAILDNLQGLPYNAQDEPATTDEDCREACLNKCYCVAYSTETGCKLWYYDLYNLSSADKPPYSKIYVRLGSKLKSKRGLATRWMVLLVVGSVAVASAMLAVLLLCRYRRDLFGSSKFVVEGSLVVYSYAQIKKATENFSDKLGEGGFGSVFRGTLPGSTTVVAVKNLKGLGYAEKQFRAEVQTVGMIRHTNLVRLLGFCVKGNRKLLVYEYMPNGSLDAHIFSQKSSPLSWQVRYQIAIGIARGLAYLHEECEHCIIHCDIKPENILLDEEFRPKIADFGMAKLLGREFNAALTTIRGTRGYLAPEWLYGQPITKKADVYSFGIVLFEMISGIRSTVTMKFGSHRYYPSYAAAQMHEGDVLCLLDSRLEGNANVEELDITCRVACWCIQDREGDRPSMGHVVRMLEGVVDTEMPPIPASFQNLVDGDDSNIYEENWRLRTQD >ORUFI01G08530.1 pep chromosome:OR_W1943:1:6310087:6311214:1 gene:ORUFI01G08530 transcript:ORUFI01G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGFVALKRTEHVEVTSLAVAVEATPSAKGGKGKVVVGGGGAGGGVGPTRVRVFCDDFDATDSSSDEDEEEEVTARRRVKRYVQEIRLQRAAAVAVPPVKVKGEEVFPAAVSAKMAEAAKARVVLAAGRKRKAGGVDGAEPRFRGVRRRPWGKYAAEIRDPWRRVRVWLGTFDTAEEAAKVYDTAAIQLRGRDATTNFNQSGDSASLDVPPEVAERVPQPPGASKNASPATSYDSGEESHAAAASPTSVLRSFPPSAVVATADTANKKQPPPPPLVVRETDESVDVFGCSFSDDGGFAGELPPLYTDFDLLADFPEPPLDFLSNLPVEPFSLAPFSSNNGSPDLHLDDAPSPAAAQVDDFFQDITDLFQIPVV >ORUFI01G08540.1 pep chromosome:OR_W1943:1:6326299:6328768:-1 gene:ORUFI01G08540 transcript:ORUFI01G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEEAEAVLETIWDLHDKVSDAIHALSRAHFLRAVRRHSKPAEGLVRVKGGDGVDDEAAALDAVAEEARSLHAIRAALEDLEDQFECFLAVQSQQQAERDISLARLEQSRIMLAIRLNGHRGVNKKIIDEALDFVRNVCHGVWPSLSINKPEKLGSHSGADSKNANFLGQMVASSVALARNNFSIKTLGGLLGHTGVLAIGMITLLQLHWLSSGQQSPSTCRYSYKMISQESSSQFETAMDTRISDLDVFLARG >ORUFI01G08550.1 pep chromosome:OR_W1943:1:6328920:6331170:1 gene:ORUFI01G08550 transcript:ORUFI01G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNWVNGPDDSKWAQYGLGQGPARRRGKSREPSPFDPSNGRRPPLVSFLSSRRRRRRRTSPAAVCSAPEIRPGGAIGKQTLGILYCLSLSLSLRRTCSVLRFPRLWLVGGLDRHGFDDDDDAEAGVRGGGACAAELRGRVQLRPRQVPRRPRRPPRLHRPEESEEIFPG >ORUFI01G08560.1 pep chromosome:OR_W1943:1:6332537:6335487:1 gene:ORUFI01G08560 transcript:ORUFI01G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEQAPEPPPPPPPPVAAGARAERAVAAAGAAVVSAVLVNPLDVAKTRLQAQAAGVVYNPIWSDFRCYPWCNPGMNGLGPSCSSECFQYRGTMDVFYKVTKQEGVFRLWRGTAASLALAVPTVGIYLPSYDLLRNWIEEYSDHSFPKLRPYAPLIAGSVARSLACITCSPIELARTRMQAFKVSNVGGKPPGMWKTLLGVLALRQSINHPENIRSYHLLWTGLGAQLARDVPFSAICWTVLEPTRRHLIRIVGEQSNAAVILGANFSAGFIAGVISAGATCPLDVAKTRRQIEASLTLDDLYLVS >ORUFI01G08570.1 pep chromosome:OR_W1943:1:6336310:6338769:-1 gene:ORUFI01G08570 transcript:ORUFI01G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRPKPTPVNAPAEVVFDPSAPGARRPRRPGAPSSSSSTGEWHNFMGSSLSDMYRKPAAEKSDDTSDDDEEPDIDIGKLLKDVELFGASTWKERKQLENRKVVQLGGKAIKKHRTPLSVSKPAMKNQKKREGKKAEEERLLGIFRKRDSKNSKAQKTRPEDRVLRATQGHFKNGILDVKHLLAPPKPSGRDAPEQKMRMGKKNGKGKQKGGRRKRLEFYV >ORUFI01G08570.2 pep chromosome:OR_W1943:1:6337127:6338769:-1 gene:ORUFI01G08570 transcript:ORUFI01G08570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRPKPTPVNAPAEVVFDPSAPGARRPRRPGAPSSSSSTGEWHNFMGSSLSDMYRKPAAEKSDDTSDDDEEPDIDIGKLLKDVELFGASTWKERKQLENRKVVQLGGKAIKKHRTPLSVSKPAMKNQKKREGKKAEEERLLGIFRKRDSKNSKAQKTRPEDRVLRATQGHFKNGILDVKHLLAPPKPSGRDAPEQKMRMGKKNGKGKQKGGRRKRR >ORUFI01G08580.1 pep chromosome:OR_W1943:1:6351927:6355954:1 gene:ORUFI01G08580 transcript:ORUFI01G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFAETEGKRAHDPLYQRRAAAAATPATGVPVDDVDKVVDVPGAVIVGAGPAGVAVGALLGLRGVAYVVLERCGCIASLWRHRTYDRLCLHLPKRFCELPLRPFPASFPEYPTRDQFLGYLDAYAREFGVEPVFRRAVISAEYDGESWWVYTREVVAAAAGGEQAVLGCTMTVYRSRWLVVATGENAEPVVPEMDGAGRFKGQMMHSSEYRNGDGYAGKKVLVVGCGNSGMEVSLDLCNHNARASMVVRDTVHVLPREILGFSTFGLSMWLLRWLSVQTVDWLVLLLSFLVFGDTARLGIPRPSLGPFELKSVSGKTPVLDVGTLAKIKSGDIKVTPAIQCFQEHGVEFVDGSTEEFDVVILATGYKSNVPYWLKEKEFFSEKDGFPRKGNAWKGQNGLYAVGFSRRGLSGVSMDANNIVQDIVQRLHDMGYERSENN >ORUFI01G08590.1 pep chromosome:OR_W1943:1:6370231:6370443:-1 gene:ORUFI01G08590 transcript:ORUFI01G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGVPQGGLLMEPCEVGKPVEGSWLRRPRNQLKGSEGLEAQSRDPETPESEPRMASRSGHGHCSPSNHH >ORUFI01G08600.1 pep chromosome:OR_W1943:1:6374252:6376314:-1 gene:ORUFI01G08600 transcript:ORUFI01G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWPEFLASSWGKEFVAGGVGGMAGVLAGHPLDTLRIRLQQPPPPASPGITAAPGRPASAASLLRGILRAEGPSALYRGMGAPLASVAFQNAMVFQVFAILSRSIDQPSSMSEPPSYTSVALAGVGTGALQTLILSPVELVKIRLQLEAAGQKHRRPGDHHGPVDMARDILRKEGVRGIYRGLAVTALRDAPAHGVYFWTYEYARERLHPGCRAHGGEQESLATMLVSGGLAGVASWVCCYPLDVVKSRLQAQGYPPRYRGIADCFRRSVREEGLPVLWRGLGTAVARAFVVNGAIFSAYELALRFLASSSNDQRLVMEEN >ORUFI01G08610.1 pep chromosome:OR_W1943:1:6384367:6391713:1 gene:ORUFI01G08610 transcript:ORUFI01G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSKKKSKKKKPSPAAAAGAAAPTTPPAAADGGAGGESCSPRSETLTLAAAAAAAVASETESSSSCEASTCSSSSSAFNPGSSGAASTSSLSAFSSSASTASSSAAGDERRDMAWLLDAFGTATIDQVDSAYREAGGDAFLAAGILGSSPETQPSPPPRPPDLSPRAGSGGRKASRRPKKVAVAATGMVADVIGKGYTRPATSPVNKTNAWKDGSGGDRKYSVEEAEQFLCSMLGDNSELGMGVVRDVLGQYGCDVEKALDALLDISGMSSLQNMERCFPNAQINGMSSPNIFLGNGLSEEVSTAGIEKSSYQFTDEMRNMSYNRSDNDHGFFWGELQRSYLKVACEEPHSTTSSTRSTSSISKTPQQVLDSLFKIPEQRTYEPSSMDWKKVVKRLQSFNSPITSNNQEKPKNGNGYQEFRTVAGRHYDTMKTYYQKAAMAYSKGEKSYASYLAEEGKHYRELARMEDERASREIFEARNKHITNTVTIDLHGQHVKQAMRLLKVHMMICVCMPTTFLRVITGCGVEGTGKGKIKRAVAELVEKEGVEWHEENAGTIVLRLGGPREYRFLEHDSDSD >ORUFI01G08620.1 pep chromosome:OR_W1943:1:6385114:6404004:-1 gene:ORUFI01G08620 transcript:ORUFI01G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNRPSECSVSSFAQNLLYQIYPLIELFYKGNINRYTAARKKAKNKLRRKQTLHGRKWKWYLLARNWGSSKRVVTAMRPFPEGSSMVNTCPTNAGPPPFPKPPPPPALPPDAAAAIRAPRFDSGEAAAAEAEEEEVMGRMRGTARWGAGGEGEGEAEVGDGDGEGRKGRVEAKAWKGLDADEEVVVVVERPRGMARQYEILDTHSLNERSRVYPLPITSATIPVAATATFFGLLLAFLPPDPARGERSGGRGGGEGCVSGEEPRMPAARKASPPASR >ORUFI01G08630.1 pep chromosome:OR_W1943:1:6392827:6399566:1 gene:ORUFI01G08630 transcript:ORUFI01G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILRAALRRSRPAAAALLHHRPLPSSRSLPPLPSLGRSSPLLSRFPGSAGFVYSTVADESTAPVKPKGKARKNPMKQSRFDFTKVDAALLPTVILVGRPNVGKSALFNRFIRRREALVYNTPGDHVTRDIREGVAKLGDLRFRVLDSAGLETAATSGSILARTADMTGNVLARSQFAIFLIDVRDGLQPLDLEVGQWLRRHASGIHTIVAMNKSESLDEHGVLTAAAGEAHRLGFGDPVAISAETGLGMAELYETLRPLFEDYMFQLTNNGLNQDDPTSEAETEAHEGDESKLPLQLAIVGRPNVGKSTLLNTLLQEQRVLVGPEAGLTRDSIRTQFQFDNRTVYLVDTAGWMERSGKEKGPASLSVMQSRKNLMRAHIVALVLDGEKIAKSKSSMNHPEVLIARQAIEEGRGLVVIVNKMDLLRENRPLFDKVIDAVPKEIQTVIPQVTGIPVVFMSALEGRGRIAVMRQVIDTYEKWCLRLSTSRLNRWLRKVMSRHSWKDSATQPKVKYFTQVKARPPTFVAFMSGKVQLSDTDIRFLTKSLKEDFDIGGIPIRVVQRSIPRKETVKSNSRNTGPRINTRMRTDKRTTVCEPTTS >ORUFI01G08630.2 pep chromosome:OR_W1943:1:6392827:6399566:1 gene:ORUFI01G08630 transcript:ORUFI01G08630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILRAALRRSRPAAAALLHHRPLPSSRSLPPLPSLGSAGFVYSTVADESTAPVKPKGKARKNPMKQSRFDFTKVDAALLPTVILVGRPNVGKSALFNRFIRRREALVYNTPGDHVTRDIREGVAKLGDLRFRVLDSAGLETAATSGSILARTADMTGNVLARSQFAIFLIDVRDGLQPLDLEVGQWLRRHASGIHTIVAMNKSESLDEHGVLTAAAGEAHRLGFGDPVAISAETGLGMAELYETLRPLFEDYMFQLTNNGLNQDDPTSEAETEAHEGDESKLPLQLAIVGRPNVGKSTLLNTLLQEQRVLVGPEAGLTRDSIRTQFQFDNRTVYLVDTAGWMERSGKEKGPASLSVMQSRKNLMRAHIVALVLDGEKIAKSKSSMNHPEVLIARQAIEEGRGLVVIVNKMDLLRENRPLFDKVIDAVPKEIQTVIPQVTGIPVVFMSALEGRGRIAVMRQVIDTYEKWCLRLSTSRLNRWLRKVMSRHSWKDSATQPKVKYFTQVKARPPTFVAFMSGKVQLSDTDIRFLTKSLKEDFDIGGIPIRVVQRSIPRKETVKSNSRNTGPRINTRMRTDKRTTVCEPTTS >ORUFI01G08640.1 pep chromosome:OR_W1943:1:6399704:6407457:1 gene:ORUFI01G08640 transcript:ORUFI01G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRSTTTTTSSSASNPFHAFASTLPFLPSPSPSPTSASPSPSPPAPHLAVPLILPITSSSSASAAAASPESNRGARMAAAASGGKAGGGGGLGKGGGPAFVGQVFTMLDPSGNGLMAVTTRFELPQFLASRTPMWFKRILSPLKKSENGPVFRFFMDLNDAVSYVKRLNVPSGMVGACRLDVAYEHFKEKPQMFQFVPSEKQVKAANKLLKSLPQRRTRKKLDGVPVFSAQNLNIAVATNDGIRWYTPYFFDKNLLDNILEASMDQHFHSMVQNRHTRRRRDIVDDSLTSEMMEESADSFLEPPEVQELMNEIGPVGIPLNVVTKAAEIQFLDVVDKMLLGNKWLRKATGIQPQFPYVVDSFEERTAAAIDRAATASSSFTASKDADCFQISKQPETLESDVDNSNRGKHENSNNGQSHFPFSNLLPNIWPGHDRSFKAQGRDRAFRRCDASINNDLQANPLLPKITMVGISMGEGGQMSKANLKKTMEDLTKELEQAGEKTIFSDEKDPLFVANVGDYSRITKISST >ORUFI01G08650.1 pep chromosome:OR_W1943:1:6405211:6407375:-1 gene:ORUFI01G08650 transcript:ORUFI01G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMRRAFRHLARQQRRPLSHVPESAVYGGPRPQDVGAAAGAGAGAGAGATRRVTVTTLRGKHRRGEPITVVTAYDYPSAVHVDSAGIDVCLVGDSAAMVVHGHDTTLPISLDVMLEHCRAVARGATRPLLVGDLPFGCYESSSTRAVDSAVRVLKEGGMDAIKLEGGAPSRISAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVDSAVKVVETALALQEAGCFSVVLECVPAPVAAAATSALQIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQFGNVGHVINKALSEYKQEVETRSFPGPSHTPYKIAAADVDGFANALQKMGLDEAANAAAAAAENAEKDGELPENK >ORUFI01G08660.1 pep chromosome:OR_W1943:1:6408329:6410327:-1 gene:ORUFI01G08660 transcript:ORUFI01G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSRLLTPRILLDTTAVFPPSSSVVAPSLSRQLRCTRTGGSPPAPPHRLVARRAMSNGAAEPAIYGGGGGAQQAASSAAARRVTLATLRGKHRRGEPISMVTAYDYPSGVHVDAAGFDICLVGDSAAMVAHGHDNTLPISLDLMIEHCRAVARGAARTFLVGDLPFGSYEASTAQAVGSAVRVMKEGGVNSIKLEGSAPSRISAARAIVDAGIAVMGHIGLTPQSVSALGGFRPQGKTVESAVKVVEAALALQEAGCFAVVLECVPAPVAAAATSALTIPTIGIGAGPFCSGQVLVYHDLLGTFQTSHAKVSPKFCKQYGNIGDVINRALSKYKQEVETQSFPGPSHTPYKLAATDVDAFLNALKMKGLNVAADAAADAVEYTDEKEINGTPQLKVYA >ORUFI01G08670.1 pep chromosome:OR_W1943:1:6417484:6417939:-1 gene:ORUFI01G08670 transcript:ORUFI01G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVDKAKGFVADKVARVEKPEAELAELSFQSVGRGGATLAGRVDVRNPYSHSIPICEVSYSLKSAGREVVSGTMPDPGSLTAGDTTRLDIPVKVPYDFLVSLARDAGRDWDIDYEMRVGLTVDLPILGNFTLPLTKSGELKLPTLSDVF >ORUFI01G08680.1 pep chromosome:OR_W1943:1:6418328:6418715:-1 gene:ORUFI01G08680 transcript:ORUFI01G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNVNLGKSHRCLLSHQEAWCTSTPEYILWSGPTEFTRYSKHDASRLAAAVEHDVIVALSRQSSMSPKPLAVAPALVGFEGCLTERRKKNGD >ORUFI01G08690.1 pep chromosome:OR_W1943:1:6422516:6422905:1 gene:ORUFI01G08690 transcript:ORUFI01G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARRRRRRSSATAHGGCGKQRRRQRRSRPTRWRSTELRDGVEMAHGDERRTPELRWREKRRRERERGLSLGALPAAAAREGDGGDDGAAPGGGTEQQRRWRLLTVEMAAAALDEGGEKEGGDQRYL >ORUFI01G08700.1 pep chromosome:OR_W1943:1:6438473:6439593:-1 gene:ORUFI01G08700 transcript:ORUFI01G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHLVPICLSLGVPCRRVCRARALPSLASVAAKGDSAEATPPTNVRAWIASVPSRHGGREATTPRAAVRDGWPASARPVAWVLRCARTYACGLARRVAGHIATTTTH >ORUFI01G08710.1 pep chromosome:OR_W1943:1:6439767:6441087:-1 gene:ORUFI01G08710 transcript:ORUFI01G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFTFLKFLRGGAVAGNKGGAVAATTIAASACEDGGGGGGGGGGGGEVDDDASFFDLEFAVPGDESAASDAEEERVEFNFSVAGDVASGGEVVTVDDAVAVAPGGECGEAKVVELVSEAAAPPASFLRPATKFRVLLLKLRKPKVPVPAECNGGGGGGSPAPKTNRFLIKFRVDDAPFVSLFTRDNSSRTSDAGAGAGAARPAVQALQTPEAAAITAEERRFAKEMLLKYLNKIKPLYVKVSRRYGERLRFASASEGEETDLEPDPSPSPSPSPSPAPTQPPTAAAAAAVAPAPPQPVVVACGVRAPRASVPAGLKQVCKRLGKSRSASSAVAAAPSPSLPPPPSTAGQQPQRRDDSLLQLQDGIQSAIAHCKRSFNASKGSESPLLRSMSDTRDGGRADTKDGGGGDGGA >ORUFI01G08720.1 pep chromosome:OR_W1943:1:6452006:6454601:1 gene:ORUFI01G08720 transcript:ORUFI01G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQPRAAAEGGGETLMEKIADKLHIGGDGSSSDSDADERKQPKPSAPPAPAEVATESFVDSAAAAAAEAKAKVFRLFGREEPIHKVLGGGKPADVFLWRNRNISAGVLGGATAIWILFELLGYHLLTFVCHGLIFSLGLLFLWSNASSFINKSPPRIPEVIIPEDLVVNIALSTRYEINRAFANLRQIALGRDIKKFLIVIAGLWLLSVLGSCCNFLTLVYIVFVVLHTVPILYEKYEDQIDSYGEKGWVEIKKQYAVFDAKVLSKVPRGPLKDKKH >ORUFI01G08730.1 pep chromosome:OR_W1943:1:6455491:6460898:-1 gene:ORUFI01G08730 transcript:ORUFI01G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKHVVMSAVGIGIGVGVGLGLASAPWAGGGGQGARVGVTVERVEQELRRLVVDGADSRVTFDGFPYYLSEQTRVLLTSAAYVHLKQADISQYTRNLAPASRAILLSGPAELYQQMLAKALAHYFEAKLLLLDPTDFLIKIHSKYGGGSSTDSSFKRSISETTLEKVSGLLGSLSILPQKEKPKGTIRRQSSMTDMKLRSSESTSSFPKLKRNASTSSDMSSLASQGPPNNPASLRRASSWTFDEKILVQAVYKVLHSVSKKNPIVLYIRDVEKFLHKSKKMYVMFEKLLNKLEGPVLVLGSRIVDMDFDEELDERLTALFPYNIEIKPPENENHLVSWNSQLEEDMKMIQFQDNRNHITEVLAENDLECDDLGSICLSDTMVLGRYIEEIVVSAVSYHLMNKKDPEYRNGKLLLSAKSLSHALEIFQENKMYDKDSMKLEAKRDASKVADRGIAPFAAKSETKPATLLPPVPPTAAAAPPVESKAEPEKFEKKDNPSPAAKAPEMPPDNEFEKRIRPEVIPANEIGVTFDDIGALSDIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAQASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRNRAGEHEAMRKIKNEFMTHWDGLLSRPDQKILVLAATNRPFDLDEAIIRRFERRIMVGLPSLESRELILRSLLSKEKVDGGLDYKELATMTEGYSGSDLKNLCTTAAYRPVRELIQKERKKELEKKKREQGGNASDASKMKEKDETIILRPLNMKDLKEAKNQVAASFAAEGTIMGELKQWNELYGEGGSRKKQQLTYFL >ORUFI01G08730.2 pep chromosome:OR_W1943:1:6455491:6460898:-1 gene:ORUFI01G08730 transcript:ORUFI01G08730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKHVVMSAVGIGIGVGVGLGLASAPWAGGGGQGARVGVTVERVEQELRRLVVDGADSRVTFDGFPYYLSEQTRVLLTSAAYVHLKQADISQYTRNLAPASRAILLSGPAGTIRRQSSMTDMKLRSSESTSSFPKLKRNASTSSDMSSLASQGPPNNPASLRRASSWTFDEKILVQAVYKPPENENHLVSWNSQLEEDMKMIQFQDNRNHITEVLAENDLECDDLGSICLSDTMVLGRYIEEIVVSAVSYHLMNKKDPEYRNGKLLLSAKSMKLEAKRDASKVADRGIAPFAAKSETKPATLLPPVPPTAAAAPPVESKAEPEKFEKKDNPSPAAKAPEMPPDNEFEKRIRPEVIPANEIGVTFDDIGALSDIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAQASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRNRAGEHEAMRKIKNEFMTHWDGLLSRPDQKILVLAATNRPFDLDEAIIRRFERRIMVGLPSLESRELILRSLLSKEKVDGGLDYKELATMTEGYSGSDLKNLCTTAAYRPVRELIQKERKKELEKKKREQGGNASDASKMKEKDETIILRPLNMKDLKEAKNQVAASFAAEGTIMGELKQWNELYGEGGSRKKQQLTYFL >ORUFI01G08740.1 pep chromosome:OR_W1943:1:6463696:6471352:1 gene:ORUFI01G08740 transcript:ORUFI01G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPRDASETQKEKYLSPGGTRPRGTGLLLAAHQGEEAKRTWATGPCVSFPGLHRASPRLPPPPPLSLRSGGAPRNARRNRAHRRGDRRRRRGPPPSVAGVTFFVLPRSPPGKLPAMFRPPGAFGVAPPPPPPQQQQHQPWQWQPPFQPPAATSFWQRDNVREHVGRLQETIELATAVINELEEIAQARNSVDASTQGPDSSSAKLSSEPDGSSADRPRHFVELARAMKISQDTHESLATDAANYLCSQIQNLLAPIYPAVNQGGPWEERYAMIRLAQKLQKSKRNKRWRKRKRKHVAELFQKEHADYDRIDQEADEWRARQIAKDMAQRKVENMKQIAKKKANEERKRLESEVKLMLTLWCGNPACLWTSAWKLIIVQSVCEFLSGYFSHFLPEEDDKYLERVKAAVEEEERQAASAARTDAAKDAILTAEESRKAVQCSNSREDDSDQAKSAPTLEQNQRDPGINGRNHHASQKTEHELHKDDSKGHGHYDSVSSLPFEFYHYYHGSSYDMGTLIEVRRMWDSFIRAGGSRIPGHWVQPPPPADEVWASYLVQPNCPSNST >ORUFI01G08740.2 pep chromosome:OR_W1943:1:6463696:6470086:1 gene:ORUFI01G08740 transcript:ORUFI01G08740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPRDASETQKEKYLSPGGTRPRGTGLLLAAHQGEEAKRTWATGPCVSFPGLHRASPRLPPPPPLSLRSGGAPRNARRNRAHRRGDRRRRRGPPPSVAGVTFFVLPRSPPGKLPAMFRPPGAFGVAPPPPPPQQQQHQPWQWQPPFQPPAATSFWQRDNVREHVGRLQETIELATAVINELEEIAQARNSVDASTQGPDSSSAKLSSEPDGSSADRPRHFVELARAMKISQDTHESLATDAANYLCSQIQNLLAPIYPAVNQGGPWEERYAMIRLAQKLQKSKRNKRWRKRKRKHVAELFQKEHADYDRIDQEADEWRARQIAKDMAQRKVENMKQIAKKKANEERKRLESEVKLMLTLWCGNPACLWTSAWKLIIVQSVCEFLSGYFSHFLPEEDDKYLERVKAAVEEEERQAASAARTDAAKDAILTAEESRKAVQCSNSREDDSDQAKSAPTLEQNQRDPGINGRNHHASQKTEHELHKDDSKGHGHYDSVSSLPFEFYHYYHGSSYDMGTLIEVRRMWDSFIRAGGSRIPGHWVQPPPPADEVWASYLVQPNCPSNST >ORUFI01G08740.3 pep chromosome:OR_W1943:1:6463696:6470086:1 gene:ORUFI01G08740 transcript:ORUFI01G08740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPRDASETQKEKYLSPGGTRPRGTGLLLAAHQGEEAKRTWATGPCVSFPGLHRASPRLPPPPPLSLRSGGAPRNARRNRAHRRGDRRRRRGPPPSVAGVTFFVLPRSPPGKLPAMFRPPGAFGVAPPPPPPQQQQHQPWQWQPPFQPPAATSFWQRDNVREHVGRLQETIELATAVINELEEIAQARNSVDASTQGPDSSSAKLSSEPDGSSADRPRHFVELARAMKISQDTHESLATDAANYLCSQIQNLLAPIYPAVNQGGPWEERYAMIRLAQKLQKSKRNKRWRKRKRKHVAELFQKEHADYDRIDQEADEWRARQIAKDMAQRKVENMKQIAKKKANEERKRLESEVKLMLTLWCGNPACLWTSAWKLIIVQSVCEFLSGYFSHFLPEEDDKYLERVKAAVEEEERQAASAARTDAAKDAILTAEESRKAVQCSNSREDDSDQAKSAPTLEQNQRDPGINGRNHHASQKTEHELHKDDSKGHGHYDSVSSLPFEFYHYYHGSSYDMGTLIEVRRMWDSFIRAGGSRIPGHWVQPPPPADEVWASYLVQPNCPSNST >ORUFI01G08750.1 pep chromosome:OR_W1943:1:6474954:6478542:1 gene:ORUFI01G08750 transcript:ORUFI01G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPKPHCKVYPRFPRLMEADESGVPPRPVAGDVHIPASRSAKEPIFMERHGGDLLTAMASSPDTTLAFHAARPVSISLPASPTGFDVARSGVELQRHAMTDAPCMLPLPGWPAMMAVAQPDRMIFRSQPIAVLGGAAALPQQSRSSQVHDGTSRDGAPRAAAAAATTTGKARGRRDTSYDSFKTWSGKLEKQITTHLRGGRQQQQQQQPEQRRQDEEPEDDDAAAAAAAAATTASSSRSYSSMPRVQRFFAALEGPELDKLRVHHEQPSPCRLALVEQLACTLVRSRSQRAAVVVVLVSCRRQSSEALVLPSDKTWPFLLRFPVSAFGMCMGMSSQAILWKNIAISTSTRFLHITVKINLVLWCVSVALMCAVSALYACKVAFYFEAVSPAWSPALSPAWGAPPMVAASLPHWLWYLLMAPIVCLELKIYGQWISGGQRRLSRVANPSNHLSIVGNFVGALLGATMGLREGPIFFFAVGLAHYIVLFVTLYQRLPTSETLPRDLHPVFFLFVAAPSVACLAWARITGEFGYGSRVAYFIAMFLYASLAVRINLFRGFRFSLAWWAYTFPMTSAAIASIRYSSEVKNAFTQSLCIALSVLATLTVTALFLTTLLHAAVHRDLFPNDISIAITERKLKPIAEIHEQQQQQRDKNATTAADIHPDSACIKDLEAGVPPPPSYAGDRSTTTTSTDPCPLGAGQF >ORUFI01G08760.1 pep chromosome:OR_W1943:1:6477893:6479623:-1 gene:ORUFI01G08760 transcript:ORUFI01G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFLHVLVKSFGNHPCPYSLHSINASSFLSIHRRQDRSMDHQEIKPYPKSSTTQSPIYIATYAYDTSSYSKLIKLINYTDMHVHVAV >ORUFI01G08770.1 pep chromosome:OR_W1943:1:6494051:6494890:1 gene:ORUFI01G08770 transcript:ORUFI01G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDHRGGGGGGGRSSSRLRDRLARMFRPGALLRSTCNNHATASTSSSSSSAAAAAGGVVTASACSSSRALLAADAAAAVDYCGRESFLASXXGDDVFSSDADDRGGRVVAGGGGGAKKGETEAFFSSSRSFSSDSSEFYTKKKKKNKPKKKSPSTASSKAAPPPPPPPPPTTRHQIRRKRRAARASSCVDTCGVRDGFRPVVSAAEEQVRRGFAVVKRSRDPYADFRSSMVEMVVGRQLFGAAELERLLRSYLSLNAPRHHPIILQAFSDIWVVLHGG >ORUFI01G08780.1 pep chromosome:OR_W1943:1:6499499:6503699:1 gene:ORUFI01G08780 transcript:ORUFI01G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHRSRVCGDGGLRSTCVRDRMHRSGSDPDSDRAHWYMSNSDDESFVDKDKDCRRGTVAPLLKKGPWTSWENSILEKYIKKHGERNWKLVQKNTGLLRCGKSCRRTDNEIKNYWNTRIKKCKNNRWLLYPANACNDALNEDQYGSVDPSVREKLTNNQLEDTTSMYSAPQFSYASISNILDRRLASKDYDSIEDQRNRIEVAAEYEISLPVLKTTNNDIFPSASIFANHGISNGNLSALSTTDALQMELPLIQFDPNNQFIYSRDYATHLTNLALLNDQSEELLNHIDVLNYVVMKKELSGGTHTSMTPSNELVVPRYEGDVPPLQDDFTPYLYLNGANLSIFEDIKSHCGFENVANLGVVADSLKLCESESMRRTNSKTKTRTTLA >ORUFI01G08780.2 pep chromosome:OR_W1943:1:6499499:6503097:1 gene:ORUFI01G08780 transcript:ORUFI01G08780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLRPNLKKGAFSKEEENKIINLHRKIGNKWSRMAADLPGRTDNEIKNYWNTRIKKCKNNRWLLYPANACNDALNEDQYGSVDPSVREKLTNNQLEDTTSMYSAPQFSYASISNILDRRLASKDYDSIEDQRNRIEVAAEYEISLPVLKTTNNDIFPSASIFANHGISNGNLSALSTTDALQMELPLIQFDPNNQFIYSRDYATHLTNLALLNDQSEELLNHIDVLNYVVMKKELSGGSLSPTINMPCKATAYSSELPLCKGYREQDLDFYTQ >ORUFI01G08780.3 pep chromosome:OR_W1943:1:6500783:6503697:1 gene:ORUFI01G08780 transcript:ORUFI01G08780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLRPNLKKGAFSKEEENKIINLHRKIGNKWSRMAADISSPCRRTDNEIKNYWNTRIKKCKNNRWLLYPANACNDALNEDQYGSVDPSVREKLTNNQLEDTTSMYSAPQFSYASISNILDRRLASKDYDSIEDQRNRIEVAAEYEISLPVLKTTNNDIFPSASIFANHGISNGNLSALSTTDALQMELPLIQFDPNNQFIYSRDYATHLTNLALLNDQSEELLNHIDVLNYVVMKKELSGGTHTSMTPSNELVVPRYEGDVPPLQDDFTPYLYLNGANLSIFEDIKSHCGFENVANLGVVADSLKLCESESMRRTNSKTKTRTTLA >ORUFI01G08780.4 pep chromosome:OR_W1943:1:6499499:6501039:1 gene:ORUFI01G08780 transcript:ORUFI01G08780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHRSRVCGDGGLRMHRSGSDPDSDRAHWYMSNSDDESFVDKDKDCRRGTVAPLLKKGPWTSWENSILEKYIKKHGERNWKLVQKNTGLLRCGKSCRL >ORUFI01G08790.1 pep chromosome:OR_W1943:1:6509057:6515563:1 gene:ORUFI01G08790 transcript:ORUFI01G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVVHLSVHGRLRRSPELHARPYHRPSLLRCRAFKQEADNGGEEASSSPPPPTTAEARRRRKGPLYKLKAAIQGLAGSRSAAAEAYGGEYQRAVEKAEEIFFSVATQVGRYVITMMSSGVVLGVGFQLSGGDSQMNTLIWYSWLGGVIIGTMIGANSVLEEHCKAGPRNVVITGSTRGLGKALAREFLLSGDRVVIASRSPESVLQTINELEENIQEGLSVAKKKQREILLHAKVVGTSCDVCKPEDVKKLVNFAKDELGSIDIWINNAGTNKGFRPLVNFSDEDISQIVSTNLVGSLLCTREAMNVMQYQQKGGHVFNMDGAGSGGSSTPLTAVYGSTKCGLRQFQASLLKESRRSKVGVHTASPGMVLTDLLLSGSSLRNKQMFNLICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWVRRGRWFDEEGRAVYAAEADRIRNWAESRARFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSSGGPLPGT >ORUFI01G08800.1 pep chromosome:OR_W1943:1:6522647:6527757:1 gene:ORUFI01G08800 transcript:ORUFI01G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPTRLRIALGAAKGLAYLHEDCHPKIIHRDIKSANILLDARFEAKVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGQLTEKSDVFSFGVMLLELITGRRPVRSNQLQMDDSLVDWARPLMMRASDDGNYDALVDPRLGQEYNGNEMARMIACAAACVRHSARRRPRMSQVVRALEGDVSLDDLNEGVRPGHSRFLGSYNSNEYDTGHYNEDLKKFRKMAFGSGNLESSQQTQPTEFVPNRSVSMGDARQIPETEMEMGSLKKDGDSNGTRDSQAS >ORUFI01G08800.2 pep chromosome:OR_W1943:1:6523567:6527757:1 gene:ORUFI01G08800 transcript:ORUFI01G08800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPTRLRIALGAAKGLAYLHEDCHPKIIHRDIKSANILLDARFEAKVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGQLTEKSDVFSFGVMLLELITGRRPVRSNQLQMDDSLVDWARPLMMRASDDGNYDALVDPRLGQEYNGNEMARMIACAAACVRHSARRRPRMSQVVRALEGDVSLDDLNEGVRPGHSRFLGSYNSNEYDTGHYNEDLKKFRKMAFGSGNLESSQQTQPTEFVPNRSVSMGDARQIPETEMEMGSLKKDGDSNGTRDSQAS >ORUFI01G08810.1 pep chromosome:OR_W1943:1:6528661:6532361:1 gene:ORUFI01G08810 transcript:ORUFI01G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQIDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVTKSFERLNSWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRTVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFEYIFLIQLMLEVLEGNSARQAVNARRYGTSDIAS >ORUFI01G08820.1 pep chromosome:OR_W1943:1:6533226:6535281:1 gene:ORUFI01G08820 transcript:ORUFI01G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHGPVMLLWFGRVPTVVASSAAAAQEAMRARDAAFASRARVNMAERLIYGRDMVFAPYGEFWRQARRVSVLHLLSPRRIASFRGVREQEVAALLDRVRRRCGVRGGGETVNLSDLLMSYANGVISRAAFGDGAYGLDGDEGGEKLRELFANFEALLGTATVGEFVPWLAWVDKLMGLDAKAARISAELDGLLERVIADHRERRRLSQPDGGDGDGDGDENVDHRDFVDVLLDVSEVEEGAGAGEVLLFDTVAIKAIILDMIAAATDTTFTTLEWAMAELINHPPVMRKLQCEIRAAVGVPGASGGAEVTEDHLGELRLLRAVVKETLRLHAPVPLLVPRETVEDTELLGYRVPARTRVIINVWAIGRDAAAWGDRAEEFVPERWLDGGGEEVEYAAQLGQDFRFVPFGAGRRGCPGAGFAAPSIELALTNLLYHFDWELPPHADGAAAATAARLDMGELFGLSMRMKTTLNLVAKPWSSDV >ORUFI01G08830.1 pep chromosome:OR_W1943:1:6541613:6566367:1 gene:ORUFI01G08830 transcript:ORUFI01G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMYPARRDDGDGGMRRRLPPSPPWGLPLLGHLHLLGALPHRALRSLAAAHGPVLLLRLGRVPVVVVSSAAAAEELFDDFVELLGQEPMGELLPWLGWVDALNGMEVKVQRTFEALDGILEKVIDDHRRRRREVGRQMDDGGGGDHRDFVDVLLDVNETDMDAGVQLGTIEIKAIILDMFAAGTDTTMTVIEWAMAELITHPDAMRNAQDEIKAVVGITSHITEDHLDRLPYLKAVLKETLRLHPPLPLLVPHEPSSDTKILGYSIPARTRIVINAWTIGRDQATWGEHAEEFIPERFLESGLDYIGQDFVLVPFGAGRRGCPGVGFAVQAMEMALASLLYNFDWETRVVDRRSEFGTSSLDMSEMNGLSVRLKFLNNNVDYKGQDFRLVPFGAGRRGCPGMGFAVPTIEMALASLLYNFSWEMRPVDRRCKSGTSSLDMSEMNGISVRLNWETRPVDRRCKSGTSSLDMSEVNGISVHLKYGLPLMAKSAKPQTMAVSLLVVLLVVLAIVVPLLYLVLLPAGNTTRNGAARWEDDGGDGRRRRRLPPSPRGLPLLGHLHLLGALPHRALRSLAAAHGPVLLLRLGRVPVVVVSSAAAAEEVMRSRDVEFASRPRMAMAELLLYGGRGVAFAPYGEYWRQARRICVVHLLSARRVLSFRRVREEEAAALVGRVRAAAADVVDLSDLLIAYSNTVLTRIAFGDESARGGGGGDRGRELRKVFDDFARLLGTEPMGELLPWFWWVDALRGIDGKVQRTFEALDGILERVIDDHRRRREGGRRMDDDGGGDHRDFVDVLLDVNETDKDAGIQLGTVEIKAIIMLINHPRVMHKAQNEIRAVVGNTSHVTKDHVDKLPYLKAVFKETLRLHPPLPLLIPREPPADAQILGYTIPAHTRVVINAWAIGRDPAAWGQQPDEFSPEKFLNGAIDYKGQDFELLPFGAGRRGCPGIVFGVSAMEIALASLLYHFDWEAAATDHRRRGSQAWALPVDMSEVNGIAVHLKYGLHVVAKPRMP >ORUFI01G08830.2 pep chromosome:OR_W1943:1:6541613:6566367:1 gene:ORUFI01G08830 transcript:ORUFI01G08830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMYPARRDDGDGGMRRRLPPSPPWGLPLLGHLHLLGALPHRALRSLAAAHGPVLLLRLGRVPVVVVSSAAAAEELFDDFVELLGQEPMGELLPWLGWVDALNGMEVKVQRTFEALDGILEKVIDDHRRRRREVGRQMDDGGGGDHRDFVDVLLDVNETDMDAGVQLGTIEIKAIILDMFAAGTDTTMTVIEWAMAELITHPDAMRNAQDEIKAVVGITSHITEDHLDRLPYLKAVLKETLRLHPPLPLLVPHEPSSDTKILGYSIPARTRIVINAWTIGRDQATWGEHAEEFIPERFLESGLDYIGQDFVLVPFGAGRRGCPGVGFAVQAMEMALASLLYNFDWETRVVDRRSEFGTSSLDMSEMNGLSVRLKWEDDGGDGRRRRRLPPSPRGLPLLGHLHLLGALPHRALRSLAAAHGPVLLLRLGRVPVVVVSSAAAAEEVMRSRDVEFASRPRMAMAELLLYGGRGVAFAPYGEYWRQARRICVVHLLSARRVLSFRRVREEEAAALVGRVRAAAADVVDLSDLLIAYSNTVLTRIAFGDESARGGGGGDRGRELRKVFDDFARLLGTEPMGELLPWFWWVDALRGIDGKVQRTFEALDGILERVIDDHRRRREGGRRMDDDGGGDHRDFVDVLLDVNETDKDAGIQLGTVEIKAIIMLINHPRVMHKAQNEIRAVVGNTSHVTKDHVDKLPYLKAVFKETLRLHPPLPLLIPREPPADAQILGYTIPAHTRVVINAWAIGRDPAAWGQQPDEFSPEKFLNGAIDYKGQDFELLPFGAGRRGCPGIVFGVSAMEIALASLLYHFDWEAAATDHRRRGSQAWALPVDMSEVNGIAVHLKYGLHVVAKPRMP >ORUFI01G08830.3 pep chromosome:OR_W1943:1:6541613:6556749:1 gene:ORUFI01G08830 transcript:ORUFI01G08830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMYPARRDDGDGGMRRRLPPSPPWGLPLLGHLHLLGALPHRALRSLAAAHGPVLLLRLGRVPVVVVSSAAAAEELFDDFVELLGQEPMGELLPWLGWVDALNGMEVKVQRTFEALDGILEKVIDDHRRRRREVGRQMDDGGGGDHRDFVDVLLDVNETDMDAGVQLGTIEIKAIILDMFAAGTDTTMTVIEWAMAELITHPDAMRNAQDEIKAVVGITSHITEDHLDRLPYLKAVLKETLRLHPPLPLLVPHEPSSDTKILGYSIPARTRIVINAWTIGRDQATWGEHAEEFIPERFLESGLDYIGQDFVLVPFGAGRRGCPGVGFAVQAMEMALASLLYNFDWETRVVDRRSEFGTSSLDMSEMNGLSVRLNNQTLSVARTRAKQWPSHSSPPSLYSSPSSRRSCTSCCFPP >ORUFI01G08830.4 pep chromosome:OR_W1943:1:6556607:6566367:1 gene:ORUFI01G08830 transcript:ORUFI01G08830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALRERFLNNNVDYKGQDFRLVPFGAGRRGCPGMGFAVPTIEMALASLLYNFSWEMRPVDRRCKSGTSSLDMSEMNGISVRLNWETRPVDRRCKSGTSSLDMSEVNGISVHLKYGLPLMAKSAKPQTMAVSLLVVLLVVLAIVVPLLYLVLLPAGNTTRNGAARWEDDGGDGRRRRRLPPSPRGLPLLGHLHLLGALPHRALRSLAAAHGPVLLLRLGRVPVVVVSSAAAAEEVMRSRDVEFASRPRMAMAELLLYGGRGVAFAPYGEYWRQARRICVVHLLSARRVLSFRRVREEEAAALVGRVRAAAADVVDLSDLLIAYSNTVLTRIAFGDESARGGGGGDRGRELRKVFDDFARLLGTEPMGELLPWFWWVDALRGIDGKVQRTFEALDGILERVIDDHRRRREGGRRMDDDGGGDHRDFVDVLLDVNETDKDAGIQLGTVEIKAIIMLINHPRVMHKAQNEIRAVVGNTSHVTKDHVDKLPYLKAVFKETLRLHPPLPLLIPREPPADAQILGYTIPAHTRVVINAWAIGRDPAAWGQQPDEFSPEKFLNGAIDYKGQDFELLPFGAGRRGCPGIVFGVSAMEIALASLLYHFDWEAAATDHRRRGSQAWALPVDMSEVNGIAVHLKYGLHVVAKPRMP >ORUFI01G08830.5 pep chromosome:OR_W1943:1:6537851:6541529:1 gene:ORUFI01G08830 transcript:ORUFI01G08830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSLAALLHSPLLLAVLLLVFSWLIHLTAYSNTVVSRAVFGDESARGLYGDVDKGRALRKLFENFARLLGTEPMGELLPWLGWVDAVRGLDGKVQRTFEALDSIIEKVIDDHRRRRRRREVGRQMDSDDDGGGGGDHRDFVDVLLDVNETDKDAGIRLGTIEIKAIILRLFYGRDMAFAPYGEFWRQARRVTVLHLLSPRRVLSFRGVREQEVAALLDRVRRCCGGGGETVNLSDLLMSYAHGVISRAAFGHGSAHGFDGDEGGEKLRKLFADFEGLLGTMTVGEFVPWLAWVDKLTGLDAKGARTSAAMDGLLERVIADHRERRRSRGQAVGDGEADADHRDFVDVMLDVSEAEEGAGAGAGGVLFDTVAIKAVILLINHPRVMRKLQDEIRAVVGTSSASAAAAAAATGGGQVTEDHLGELPFLRAVIKEMLRLHAPGPLLLPRETVEDTELLGYRVPARTRVIINAWAIGRDAAAWGDSAEEFVPERWLDGGGGGGVEYAQQLGQDSRFVPFGAGRRGCPGAGFAALSVELALANLLYHFDWELPPPAASGIMATTRLDMDELFGLSVRLKADLNLVAKPWSPGAS >ORUFI01G08830.6 pep chromosome:OR_W1943:1:6541613:6566367:1 gene:ORUFI01G08830 transcript:ORUFI01G08830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMYPARRDDGDGGMRRRLPPSPPWGLPLLGHLHLLGALPHRALRSLAAAHGPVLLLRLGRVPVVVVSSAAAAEELFDDFVELLGQEPMGELLPWLGWVDALNGMEVKVQRTFEALDGILEKVIDDHRRRRREVGRQMDDGGGGDHRDFVDVLLDLINHPRVMHKAQNEIRAVVGNTSHVTKDHVDKLPYLKAVFKETLRLHPPLPLLIPREPPADAQILGYTIPAHTRVVINAWAIGRDPAAWGQQPDEFSPEKFLNGAIDYKGQDFELLPFGAGRRGCPGIVFGVSAMEIALASLLYHFDWEAAATDHRRRGSQAWALPVDMSEVNGIAVHLKYGLHVVAKPRMP >ORUFI01G08830.7 pep chromosome:OR_W1943:1:6537851:6556749:1 gene:ORUFI01G08830 transcript:ORUFI01G08830.7 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSLAALLHSPLLLAVLLLVFSWLIHLTAYSNTVVSRAVFGDESARGLYGDVDKGRALRKLFENFARLLGTEPMGELLPWLGWVDAVRGLDGKVQRTFEALDSIIEKVIDDHRRRRRRREVGRQMDSDDDGGGGGDHRDFVDVLLDVNETDKDAGIRLGTIEIKAIILDMFAAGTDTTMTVIEWAMAELITHPDAMRNAQDEIKAVVGITSHITEDHLDRLPYLKAVLKETLRLHPPLPLLVPHEPSSDTKILGYSIPARTRIVINAWTIGRDQATWGEHAEEFIPERFLESGLDYIGQDFVLVPFGAGRRGCPGVGFAVQAMEMALASLLYNFDWETRVVDRRSEFGTSSLDMSEMNGLSVRLNNQTLSVARTRAKQWPSHSSPPSLYSSPSSRRSCTSCCFPP >ORUFI01G08840.1 pep chromosome:OR_W1943:1:6568981:6569466:-1 gene:ORUFI01G08840 transcript:ORUFI01G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLGYWIVYDLDAKELRTWYEERLLRDRGMARWPEYGAGDREEVRSLIARVREEYWRRMPEHRRQKFVARLEEERRLKEESDQRQQLAAAADERRGAQMAAVDDGKLRASSRSSTQPPRRRRWAPPPPPPRLLGILLWILAAEIVLLFVYKFMLPSFRFF >ORUFI01G08850.1 pep chromosome:OR_W1943:1:6571383:6580427:1 gene:ORUFI01G08850 transcript:ORUFI01G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEYLHHEHYEIVHYCDQKPSNVLFDEETTVHVADFGIAKLLLGDDTSKITNHGKHAWNIWRHGKAAAKAAARQLLYNARASEQRAAWCGKPAIATHGEQLVHKDKQCHVQSTDHSERRRARATCSASESCSLKSSLESDLRIDRLFVGEVTIRQWVNQAFSAKLVHVLDDKLQLDESSIEDLNHLLLPIFEVGLLCSSDSPDLKKLLYTINVTPELLIISLTVTALAAGASSSPSPSINSSSGGGAAADLAALLAFKAQLADPLGVLAGSWTTNVSFCNWVGVSCSRRRRPERVTGLSLPDAPLGGELTAHLGNLSFLYTLDLTNTSLVGPVPADLGRLRRLRSLLLGDNLLSAAIPPAPTHLHLGNNNLSGEIPPDLLHGMRRLSRIALHMNQLTGDLPPLLFNGTPSLTFVNLGNNSLTGGVPHGVASSPLSLPMLEYLNLRGNRLAGAVPPAIYNMSRLRGLVLSHNNLTGWIPTTSNGSFHLPMLRTFSISSNGFAGRIPAGLAACRYLQTLSISSNSFVDVVPAWLAQLPYLTELFLGGNQLTGSIPPGLGNLTGVTSLDLSFCNLTGEIPSELGLMRSLSTLRLTYNQLTGPIPTSLGNLSQLSFLDLQMNQLTGAVPATLGNIPALNWLTLSLNNLEGNLGFLSSLSNCRQIWIITLDSNSFTGDLPDHTGNLSAQLSIFSASENKLTGGLPSSLSNLSSLEQLQLPGNQLTGPIPESITMMPNLVRLDVSSNDISGPIPTQIGMLSSLQRLDLQRNRLFGSIPDSIGNLSELEHIMLSHNQLNSTIPASFFNLGKLVRLNLSHNSFTGALPNDLSRLKQGDTIDLSSNSLLGSIPESFGQIRMLTYLNLSHNSIGDSIPYSFQELANLATLDLSSNNLSGTIPKFLANFTYLTALNLSFNRLEGQIPDGGVFSNITLQSLIGNAALCGAPRLGFSPCLQKSHSNSRHFLRFLLPVVTVAFGCMVICIFLMIRRKSKNKKEDSSHTPGDDMNHLIVTYHELARATDKFSDDNLLGSGSFGKVFKGQLSSGLVVAIKVLDMHLEEVAIRSFDAECRVLRMARHRNLIKVLNTCSNMEFRALVLHYMPNGSLDMLLHSQGTSSLGLLKRLDIMLDVSMAMEYLHHEHYEVVLHCDLKPSNVLFDEEMTAHVADFGIAKLLLEYGSLGKASRNSDVFSFGIMLLEVFTGKRPTDRLFVGEVTIRQWVNQAFPAKLVHVLDDKLQLDESSIQDLNHLLLPIFEVGLLCSSDSPDQRMSMAGVVVTLKKIRKDYEEKN >ORUFI01G08860.1 pep chromosome:OR_W1943:1:6577345:6580322:-1 gene:ORUFI01G08860 transcript:ORUFI01G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLWRWYQQCLATHPVRTQVVSSGILWGLGDIGAQAVTHYSAPGRPRHHQHHAKNPPEDKDKEFKIDWKRVGITSSFGFAFVGPVGHYWYEYLDRFILRRYQPKTFKFVVSKVAADGLLFGPVDLLLFFSYVGLASGRSVEQVKDDVKRDFIPALVLGGTIWPAVQIANFRFIPVRYQLLYVNLFCLLDSCFLSWIDQQGDAPWKQWFTSFQKIEGQKGKV >ORUFI01G08870.1 pep chromosome:OR_W1943:1:6583403:6586842:1 gene:ORUFI01G08870 transcript:ORUFI01G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARFRIRPKHSTMVENGVQLTLTSTVKTNGKIRINGEVLNGSHLKEKHEAGSNGALHPSNGQAKQPPSPPQKQKQKLLCTTCGKGHTCQAVIARTRQMRAMIDARRPHQAHSAFRHLVDDGHRPSLVTYTTLLAALTSQRAFDTIPWLLAEVEDAGLRPDSIFFNALINALVEARRMGEATNTFLRMGHSGCRPTASTFNTLIKGYGIAGRPEESQRVFDMMASGGAGGEAAVRPNLTTYNILVKAWCDAGRLEEAWRVVARMRASGADPDVVTYNTLASAYAKNDETWRAEELVVEMAQQAGLRTSERTWGIIVGGYCREGRLGEALRCVRQMKDSGVLPNVIVFNTLLKGFLDANDMAAVDDVLGLMEQFGIKPDIVTYSHQLNALSSMGHMAKCMKVFDKMIEAGIEPDPQVYSILAKGYVRAQQPEKAEELLRQMGRLGVRPNVVTFTTVISGWCSVADMGNAVRVYAAMRDAGVRPNLRTFETLIWGYSELKQPWKAEEVLQMMQDAGVRPKQTTYCLVADAWKAVGLVENANRALGSSSSSGDLLDADDDEEPYFPDNHGDDKLQSFERTNGHAKSDASRSMQVTRASMSLKTARSPSPSLLRRSCRLPVRSTWLCRKQLQMQFGVYGQSISSLKMVFLS >ORUFI01G08870.2 pep chromosome:OR_W1943:1:6583113:6586842:1 gene:ORUFI01G08870 transcript:ORUFI01G08870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVENGVQLTLTSTVKTNGKIRINGEVLNGSHLKEKHEAGSNGALHPSNGQAKQPPSPPQKQKQKLLCTTCGKGHTCQAVIARTRQMRAMIDARRPHQAHSAFRHLVDDGHRPSLVTYTTLLAALTSQRAFDTIPWLLAEVEDAGLRPDSIFFNALINALVEARRMGEATNTFLRMGHSGCRPTASTFNTLIKGYGIAGRPEESQRVFDMMASGGAGGEAAVRPNLTTYNILVKAWCDAGRLEEAWRVVARMRASGADPDVVTYNTLASAYAKNDETWRAEELVVEMAQQAGLRTSERTWGIIVGGYCREGRLGEALRCVRQMKDSGVLPNVIVFNTLLKGFLDANDMAAVDDVLGLMEQFGIKPDIVTYSHQLNALSSMGHMAKCMKVFDKMIEAGIEPDPQVYSILAKGYVRAQQPEKAEELLRQMGRLGVRPNVVTFTTVISGWCSVADMGNAVRVYAAMRDAGVRPNLRTFETLIWGYSELKQPWKAEEVLQMMQDAGVRPKQTTYCLVADAWKAVGLVENANRALGSSSSSGDLLDADDDEEPYFPDNHGDDKLQSFERTNGHAKSDASRSMQVTRASMSLKTARSPSPSLLRRSCRLPVRSTWLCRKQLQMQFGVYGQSISSLKMVFLS >ORUFI01G08880.1 pep chromosome:OR_W1943:1:6586620:6588531:-1 gene:ORUFI01G08880 transcript:ORUFI01G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLATSSPSRSPKAAAAYYVQISPSRDSHDDGDKSPSTQATPVYNNSPLDSPSHHSSSFGRHSRVSSASRFSGNLRSASARSRPGGRRRLGAKGWRDVDAIIDEEEEGAYDEFDDDDGGGYEPSRCCVLAFRFSLLALAFTLVCLIVWGIARHYKPGVLVKSLTVGNFYAGEGIDRTGVPTKLVTMNCSLQINVHNPSTMFGIHVSSTSIQILFSQIAIANGQLEKFYQPRSSHHVASAIVHGEKIPLYGAGETFALSNAGGAVPLTLDLVVRARGYVIVLHVIALCDKFLYTNLEVGFKQYPLLVS >ORUFI01G08890.1 pep chromosome:OR_W1943:1:6591376:6594126:-1 gene:ORUFI01G08890 transcript:ORUFI01G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLGVLLVAPMNAYLEQELDRRCRLFRLWESPADRRDDYLRAHASSIRAVVPYALQGVDAAMIDALPSLEIVSSFSVGIDRVDLDACRRRGVRVTNTPDVLTDDVADLAVGLAIAALRKIPQADRYVRAGKWKSKGDFTLTTRFSGKRVGILGLGRIGLAVAKRAEAFDCPISYHSRSEKPFPKYKFYPNVVDLAANCDVLVVACSLNPETRHIVNRKVIDALGPEGVLINIARGAHVDEPELISALLEKRLGGAGLDVFEDEPFAPEQPFELDNVVLVPHVGSDTEETCRAMADLVLQNLEAHALNQPLLTPFSGKRVGIIGLGRIGLAVAKRVEAFDCPVNYYQRTKQDHPGYTYYPSVVELAASSDVLVVACPLNEHTRHIVNREVMEALGPRGVLINIGRGPHVDEAAMVAALLDGRLGGAGLDVFEDEPNVPEALLGMDNVVLVPHVGSATHETRTAMADLVLGNLEAHVAGKPLLTQVV >ORUFI01G08900.1 pep chromosome:OR_W1943:1:6596348:6600435:-1 gene:ORUFI01G08900 transcript:ORUFI01G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQDSFRSVVCRSLSKSLSSKTKESSYPEIAQCAVPCVVTLQPTVCRGCQGRDWSPSQSNREDMSMMLQKDYLMASSLSRRFAEDLLRGAMDLQDSLTMLEKFQTASRSMRVSNKKRRPEGCERSPDTSGFRGALSEASNAKKMVGRSASSGLDGELTNSTDELKRVIKDSFYRKNILSVYPNDEQASMSQSLHYMPNKNSLSKPNEQKKAAPRSLPSCAPGQSEKSKSPSLVAKLMGLDGLPTHNSNTFKKDESMKTVSSPRALFDIEMPKVQQNDAHMSSPYSRKSNVSLYDSTVVNEIGSMKTIRREKGIEQPQTRAAKDIKVVSHTSRKQQIKETTEMGRRSSDKQRPHSTYRNREGRKDTKSKTGSASRSSANTVKRPDKKSMIASSSSSSTCRTRKPVARKAPSNSREKAVSSRSRKNSTIDDIVAYELHREFIQVDGPSTEHSATPSDESCQSVVDWDTEPSIDGIREDLSESYEASVTTSSAERTDSANGDPFHPSTHLVSKNEVEIKDEMSLLLLSDQPFLTRAAELIGIGEPGHLINRYKGIRKAQMGNHELFVDTATEQLERKHRQRNSLCYTGIWSQKCRTAPYFSLEALLTDIRDATRKLSIYTEDDDGCTTKDTLYMKLEKDLRCTDASINSVWDMGWEDWIFMEETQCFIRDVGESILSGLIEEAALDMWVH >ORUFI01G08910.1 pep chromosome:OR_W1943:1:6602551:6602838:-1 gene:ORUFI01G08910 transcript:ORUFI01G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGASCLITLSPQLKDYEDTFSLTGKIQNFRELLYYSDGLNSEEKRGSRWLGTAANDVSLSDFSPAATTNSPLIMLWSLANLVRLGLVAPWISK >ORUFI01G08920.1 pep chromosome:OR_W1943:1:6606905:6615332:1 gene:ORUFI01G08920 transcript:ORUFI01G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLDLRRLLKKEKSAMNQKGDDLSMGGPPVRHGVPPKEIGPLKRAVQTYNGKNWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIVQMVNKLGPKKWSTIAQALPGRIGKQCRERWYNHLNPGINKEAWTQEEEITLIHAHRMTDNSIKNHWNSSVKKKVNSYMSSGLLTQVSCLPLNEYSANCNSSPAMTQQNSEDSGCFAVREVENSSGCSQSSLAKVSCSQVHDTTVPLGCDLQVNANFDKNEAHDSQSSMGPQACYTSAEAVASALPAVHCHVSSSNLDPDQHLQEDFAQGLNLDMTIDEMPTVPSFADNQTVCSIENHERSLEPYDVAMEVPLSMLSSDSGAEQKLHFMSEADFNSPNCLKSELWQDISLQGLLSGPDAVEADSISRSNHQSDVYSSEADTHFLAPPYMPQTSNSSSVMGLADDQSPQMSVPPSLICSNAMTDDAPFDNRPGRKEMPLSQAEVVTQSSSSSGDAEMFANPGCSNDRHVPSSTMESIPECGDQQVTNAEEPEASLEKEPSLTQSVTAPDEQDKGALFYEPPRFPSLDVPFVSCDLVTSGDLQEFSPLGIRQLMHSTMNVCTPMRLWGSPTHDESTGVLLKSAAKSFICTPSILKKRHRDLLSPIPDKRIEKKYGTEKDRGVSDTSSTGIQTSCINATKDDALITTVLRIERSASSKSLEKKLVFSDENKENLGYTTEQTKDGQSAGNDEHMDEQTTGERSSATNVATNDDLSGNLQPAGILIEHSGDDPISPDYGKNTMNQKLNTNVKSLSVCKEGVCAKSKPTELIVEKSSPCINVDYEYVNILADTPGIKRGLESPSAWKSPWFVDMHFQGSYFTSPADSYDALGLMKQINVQTAAALVEAREVLASGGQCDNISSDKENTGNPDAKKEPGTTKLQTKIMAEGRVLDFECTTPERSSDKNAGSNLGRYLSSPIPSSHLLKSEDFSRLFTRKVG >ORUFI01G08930.1 pep chromosome:OR_W1943:1:6616808:6619982:-1 gene:ORUFI01G08930 transcript:ORUFI01G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEGGGGGGGAVYDPSYVPDSVKTFVAHMYRHVRDKNVYEIHQMYEGGFQRLSDRLFRDAPWPAAEAVSPYCDGDHVFLLLYRELWYRHAYARASSSSSSSAPLTAGQRAESWANYCDLFSVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKLKNKSDDELHQLKQFDKAWNVYGVLNYLQALVEKSMIAQILEREKEGLEQFTATDGYDYQGGSNVLKMLGYYSMIGLLRIHCLLGDYRTGLKCLAPIDLNQQGVYTIVIGSHISAIYHYGFANLMMRRYAEAIREFNKILLYILKYKQYHQKSPQYDQILKKNEQMYAFLAVCLSLCPQHNLIDENVSTQLKEKYNDKMTKMQRFDEETYAAYDELFSYACPKFITPSPPALDQPLTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSTITIAKLAQYMEVDEATLRSILMTYKHKMHAVDNNGKIVSSADFDFYIKEDVIHVMESKPIKRHGDYFLRQILKFEEMIGELEKVQFD >ORUFI01G08940.1 pep chromosome:OR_W1943:1:6620334:6628446:1 gene:ORUFI01G08940 transcript:ORUFI01G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMVDRATSDMLIGPDWAKNMEICDICNRDPGQSKDVVKALKKRIGHKNPKVQILALTLLETAIKNCGDIFHMHVAERDVLHEMVKIVKKKSDQNVKEKVLTMIDTWQEAFGGPRARYPQYYAAYHDLVRAGAAFPKRSDRPAPLFNGQSPAGRNMRSPDQQDEAESSAGNDFPALSMSEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVELVDQCRTYKQRVVLLVNATADEELMSQGLALNDDLQRVLAKHDAIAAGIAVRVEKKPKSLQALVDTEDSMNQDSKKEQALVDIEDPTTQETNKEPSQSASVQSPFEQLALPAPPVSNGSATPAPKSDLGVDLLSWDDNPSTTENSLALVPVTDPVVDSTPSQNALAIVDIFSQNNTTNNIAKPADPFGVNSSSTLPGSQPYNAPTQHPLQAQQQPQQVGLYPNGGAVNPGTSYPTSSGWNGQIANNATPPAQQTVNYDEQSGALPPPPWEAQSAPSGDMSNGGMQSHPVSNGQFGGMPSLPTPSNQMGGMQPLHPQMNQMGGPQAHQMYNNQQPGAMQPSQPAVTQMQSGFANQFGSMPPHSMPGMQFPGMQPSPMPGAQPVMMYAQPMMMPGMQFAAMPQPRMYGPQMSQYRLVQQQAAQYYSNSQGRPTYYAGMNDLSQKMYGLSMQDSSYMGMNSSPYSTTPSSSSSMGQPSKPEDKLFGDLLSIAKTKQNKA >ORUFI01G08950.1 pep chromosome:OR_W1943:1:6648596:6658597:1 gene:ORUFI01G08950 transcript:ORUFI01G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAVDQEGARVVGTNCMLARGGTGAVAPVLELTATPRQDAAAEAGVDEPAQHQCEHFSIRGYVALLQKKDPKFCSLSRIFHDQKKCDEHKASSSPFSVAKFRRWDCSKCLDKLKTSDNGTAPRTLPAKQNGTSDGCSITFVRSTFVPASVGSQKVSPSTQSSHGKNADRSTLPKSVQEGNDSKCNAPSGKNGAAEANTDSPMKDLQGPAQNYDVAANVSEDNTSVDVGALPEVPQITWHIEVNGADQPPSTPKLSEVVLKRNEDENGKTEETLVAEQCNLTKDPNPMSGKERDQVAEQCNLTKDPKPVSGQKCEQICNEPCEEVVLKRSSKSKRKTDKKLMKKQQHSKKRTAQADVSDAKLCRRKPKKVRLLSEIINANQVEDSRSDEVHRENAADPCEDDRSTIPVPMEVSMDIPVSNHTVGEDGLKSSKNKTKRKYSDVVDDGSSLMNWLNGKKKRTGSVHHTVAHPAGNLSNKKVTPTASTQHDDENDTENGLDTNMHKTDVCQHVSEISTQRCSSKGKTAGLSKGKTHSAASTKYGGESTRNGQNIHVLSAEDQCQMETENSVLSRSAKVSPAEHDIQIMSDLHEQSLPKKKKKQKLEVTREKQTMIDDIPMDVVELLAKNQHERQLMTETDCSDINRIQSKTTADDDCVIVAAKDGSDYASSVFDTNSQQKSLASQSTQKELQGHLALTTQESPHPQNFQSTQEQQTHLRMEEMVTIAASSPLFSHHDDQYIAEAPTEHWGRKDAKKLTWEQFKATTRNSPAATCGAQFRPGIQAVDLTSTHVMGFSSNYASCQPVIAPLDRYAERAVNQVHARNFPSTIATMEASKLCDRRNAGQVVLYPKESMPATHLLRMMDPSTLASFPNYGTSSRNQMESQLHNSQYAHNQYKGSTSTSYGSNLNGKIPLTFEDLSRHQLHDLHRPLRPHPRVGVLGSLLQKEIANWSENCGTQSGYKLGVSTGITSHQMNRKEHFEALNSGMFSAKWNALQLGSVSSSADFLSARNSIAQSWTRGKGKMVHPLDRFVRQDICITNKNPADFTTISNDNEYMDYR >ORUFI01G08960.1 pep chromosome:OR_W1943:1:6655792:6658103:-1 gene:ORUFI01G08960 transcript:ORUFI01G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAATRFIKCVTVGDGAVGKTCMLICYTCNKFPTDYIPTVFDNFSANVSVDGSVVNLGLWDTAGQEDYSRLRPLSYRGADVFILSFSLISRASYENVQKKWMPELRRFAPGVPVVLVGTKLDLREDRAYLADHPASSIITTEQGEELRKLIGAVAYIECSSKTQRNIKAVFDTAIKVVLQPPRHKDVTRKKLQSSSNRPVRRYFCGSACFA >ORUFI01G08970.1 pep chromosome:OR_W1943:1:6665065:6671173:1 gene:ORUFI01G08970 transcript:ORUFI01G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAAAAPPAPAWMAAGARRWLEEAGVAFDGSDRRAFNALPLAGARVSLAEAGRAVCSLRVTAELTDAEGNWHPGAIAAAADDVCAAAIMSVEGIIKVSVHYDISYFSPAKLHEEVELDGRVVEQKGKMTAVTVEIRKKDSGELVAIGRQWMSTTRPKKDQASSKL >ORUFI01G08970.2 pep chromosome:OR_W1943:1:6665065:6669738:1 gene:ORUFI01G08970 transcript:ORUFI01G08970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESPAAAAAALRLAAVARRWLENPRDSLARSREEGCGDAFNTVVMPGFRVSLAEPGRLVCSFRVPAAVADADGRWHAGAMAAAVDNLCAAVVYTADGVHRFTISQAMSFFSPAAHSEEVEMDGRVAHRKGKLTAAVVEVRRKASGELVAIGRQWMTSTRARPEKNGESRSKL >ORUFI01G08970.3 pep chromosome:OR_W1943:1:6665065:6669738:1 gene:ORUFI01G08970 transcript:ORUFI01G08970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAAAAPPAPAWMAAGARRWLEEAGVAFDGSDRRAFNALPLAGARVSLAEAGRAVCSLRVTAELTDAEGNWHPGAIAAAADDVCAAAIMSVEGIIKVSVHYDISYFSPAKLHDADGRWHAGAMAAAVDNLCAAVVYTADGVHRFTISQAMSFFSPAAHSEEVEMDGRVAHRKGKLTAAVVEVRRKASGELVAIGRQWMTSTRARPEKNGESRSKL >ORUFI01G08970.4 pep chromosome:OR_W1943:1:6665058:6667725:1 gene:ORUFI01G08970 transcript:ORUFI01G08970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKCLSHIYVPDGRGHLPELVKPVHPPPAARRRKPVARPHGRQRCGGAAGPGVDGRRCPEVAGGSRRRLRRLRPPRLQRAAPRRRARLPRRGRPRRLLAPRDRRAHGRGGELAPGGDRGGGGRRVRSGDHVGGGHHQGLRPLRHLLLLAGQAPYDGGDGGDPEEGFRRAGGDRPAVDVDHQAKEGSS >ORUFI01G08980.1 pep chromosome:OR_W1943:1:6669494:6676109:-1 gene:ORUFI01G08980 transcript:ORUFI01G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTPTYSSVVAHTAAFLAELIADPLLRRHLLSAAAAAGGVGRKQRQHPAATLQALSLVLDALDTAASAPPSLSSLRAAERLLLSLLVATPLSCLLLALASASRRRGGAAAAAAAAVLDLFALDPALARHELAPAAFEALFAPRLLPVMRHFAARRASTAAAAAEAASQRGEDGSVETAAMSAMRVLSLMSGAQAQEMRDLEREYEKVLDANCIAYALYLKKILETGDAAKETYSPPPPPPELVFGVGDDDQDGDVDDETTAETDEAVSSQNDVRYNPIWADADEAADLYPRQGSGKGWRELMRPPSLYPQRVPPHLIVQQQQSSPSPTGRGSPVARLRAGHSPATPSSDVSMEDSPSSSELLAGREEKHTASPLSRPAGRARPRGEEDDDDDVAAMLSPEHASSVMGGDGGGDVARRPVVATPKDFVCPITSQVFDDPVTLETGQTYERRAIQEWLDRGNATCPITRHRLHGAHLPSTNYVLKRLIAAWRDQNPAASSSAPTPPPPATTTMDSPAAAAPFKISSPSPDATVSQASAPSPTSVIAQASLDSAVGELRAAVSCLCTSEELADSERSVLRIERLWREAAGAEHVVLAALAKPAVVNGFVEILFNSVSAQVLQVAVFLLAELASRDDAVVQTLTRVDSDVDCLVALFKKGLVEAVSLIHLLSPSPEQLVEMDMADALVATIRRGGGDDDGGAVVKMCVKPKAASVILLSQILVEGGRDSSSPAVAKSALVSERFIRSVAASLEAEQVEERVAAVRILLRCVAEDGHCRSSIVENSALAAVLDAFHVVGDADKFDIVRLLSELLKLKRRSAADHLLRTIKEASSFSMMHTLLVYLQSTTPEQSPVVAGLLLQLDLLVKTTFFSQHFFRFVKKNLMFDGEINAQMVCQVEPRKISMYREEAVDSLIQCLKNSDYPRSQLLAAETIMNLSGKFSSSGRPLSRSSLLKLAREEEKAAAEWERKAAYALVSHEFGLVFEALSGCLAAAKNGELFTASLVSAAWLVRMLPLLPDTGVLGAARVCLLRQLVLVLRSGKHGSDRALAMVALRSFMNDREGMHEITTYIKDVLRTLRELKKSSGLAFEMLKLLSDGQESSIDMWNHKELNHADCSSNGEVTSIAYFKGYIFSGHSDGTLKVWEGSENILRLVHESQEHTKAITSLAVLHSEEKLFSGSLDRTIRVWQLRDALRCVEVHDAKDPVQSLAVAAAMACFAPQGSGVKVLSWNNGGGAKVLNASKVVRSMALVHGKLFCGCNDGGVQEIDLASGTIGVIQQGSKRIIGKASPIYSLHLHGDLLYTGSTSLDGASVKIWSSSNYSLVGTIPSSVEVRSLVVSSDLVYLGSRNGVVEIWSREKLTRIGALQAGGGGGGGRVQCMAVDADGDVIVVGTSDGRIQAWGLT >ORUFI01G08990.1 pep chromosome:OR_W1943:1:6677630:6680314:-1 gene:ORUFI01G08990 transcript:ORUFI01G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRWWPVLVAAAMMATAAEGFISAKTWSAVRRANDRGGPFVGSSCPTPTRWIPFRFGAIGGQNVVMVMTGLSMLNAGLTTQLLLSLFRVKGIVHWGIAGNADEGLQIGDVTIPEHWAHLSLWNWQRYGDGPENELPLEAAGDYTRDLGFLNFSDYTAAGPSPNELNSIWFQPEEIFPVSGTPEQRQHAFWVPVSSRYFSLAEKLEGMELPACVNATTCLPRAPRVTRVRRGCSANVFLDNAAYRQFLRAKFGCTPVEMESAAVALVAHQHAVPFLTIRSLSDLAGGGSSLGNEAGEFLAIAAQNAVDVMLNFVPLLADGGAAHDAVAADM >ORUFI01G09000.1 pep chromosome:OR_W1943:1:6688905:6706227:1 gene:ORUFI01G09000 transcript:ORUFI01G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVASRARRSSGPRGVAFCRWTNGLLHPRFVFPEAAAVAGSGAGSAQGAFCVKWVLRMVLNKVLELFCVKKKDSKKKVAAPHPTANVSTNNSLLDPFSTGNGTVLSVQKHEPECSSVISSMTRTEYGFESDGCNSFSHFDVQDFSDHYYAKNSPGKTSKDWVKTIQNEWRLLQKDLPESIYVRVYEDRIDLLRAAIVGPAETPYHDGLFFFDVHFPSEYPQSHRLTHVHIPSLMSYESPMHTCHGKWSSIPSSVQLQA >ORUFI01G09000.2 pep chromosome:OR_W1943:1:6688905:6706227:1 gene:ORUFI01G09000 transcript:ORUFI01G09000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVASRARRSSGPRGVAFCRWTNGLLHPRFVFPEAAAVAGSGAGSAQGAFCVKWVLRMVLNKVLELFCVKKKDSKKKVAAPHPTANVSTNNSLLDPFSTGNGTVLSVQKHEPECSSVISSMTRTEYGFESDGCNSFSHFDVQDFSDHYYAKNSPGKTSKDWVKTIQNEWRLLQKDLPESIYVRVYEDRIDLLRAAIVGPAETPYHDGLFFFDVHFPSEYPQSHRLTHVHIPSLMSYESPMHTCHGKWSSIPSSGRTARRSTLTSSRFFMHRFFKYHCHARLGLRGELVKEGRRRLHLDGLARILGHDAAVVAMASATLGKKDASKVHIEIGMHGGVAPLDYILYCTTKIWF >ORUFI01G09000.3 pep chromosome:OR_W1943:1:6688905:6700633:1 gene:ORUFI01G09000 transcript:ORUFI01G09000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVASRARRSSGPRGVAFCRWTNGLLHPRFVFPEAAAVAGSGAGSAQGAFCVKWVLRMVLNKVLELFCVKKKDSKKKVAAPHPTANVSTNNSLLDPFSTGNGTVLSVQKHEPECSSVISSMTRTEYGFESDGCNSFSHFDVQDFSDHYYAKNSPGKTSKDWVKTIQNEWRLLQKDLPESIYVRVYEDRIDLLRAAIVGPAETPYHDGLFFFDVHFPSEYPQSHRLTHVHIPSLMSYESPMHTCHGKWSSIPSSGRTARRSTLTSSRFFMHRFFKYHCHARLGLRGELVKEGRRRLHLDGLARILGHDAAVVAMASATLGKKDASKVPASSSALALAPEVGRRELLPTIAVVMAVTPNATSPSLPAPGVAQPGIRSGASSSNSFH >ORUFI01G09000.4 pep chromosome:OR_W1943:1:6688905:6700633:1 gene:ORUFI01G09000 transcript:ORUFI01G09000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVASRARRSSGPRGVAFCRWTNGLLHPRFVFPEAAAVAGSGAGSAQGAFCVKWVLRMVLNKVLELFCVKKKDSKKKVAAPHPTANVSTNNSLLDPFSTGNGTVLSVQKHEPECSSVISSMTRTEYGFESDGCNSFSHFDVQDFSDHYYAKNSPGKLDACAHPFFDELREPNAHLPWQMVVHSLLCSTSSMNHFSKDSVPEKYNYVNYLAMEKCVLLLLVLRKDCKEKHPNVKQIGLRLYFGPYFVSCMAFNTIGFLLFFMHRFFKYHCHARLGLRGELVKEGRRRLHLDGLARILGHDAAVVAMASATLGKKDASKVPASSSALALAPEVGRRELLPTIAVVMAVTPNATSPSLPAPGVAQPGIRSGASSSNSFH >ORUFI01G09000.5 pep chromosome:OR_W1943:1:6688905:6706227:1 gene:ORUFI01G09000 transcript:ORUFI01G09000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVASRARRSSGPRGVAFCRWTNGLLHPRFVFPEAAAVAGSGAGSAQANQEQGGSVARSNSLTHVHIPSLMSYESPMHTCHGKWSSIPSSVQLQA >ORUFI01G09000.6 pep chromosome:OR_W1943:1:6695993:6706230:1 gene:ORUFI01G09000 transcript:ORUFI01G09000.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCVLLLLVLRKDCKEKHPNVKQIGLRLYFGPYFVSCMAFNTIGFLLFFMHRFFKYHCHARLGLRGELVKEGRRRLHLDGLARILGHDAAVVAMASATLGKKDASKVHIEIGMHGGVAPLDYILYCTTKIWF >ORUFI01G09000.7 pep chromosome:OR_W1943:1:6695993:6700633:1 gene:ORUFI01G09000 transcript:ORUFI01G09000.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCVLLLLVLRKDCKEKHPNVKQIGLRLYFGPYFVSCMAFNTIGFLLFFMHRFFKYHCHARLGLRGELVKEGRRRLHLDGLARILGHDAAVVAMASATLGKKDASKVPASSSALALAPEVGRRELLPTIAVVMAVTPNATSPSLPAPGVAQPGIRSGASSSNSFH >ORUFI01G09010.1 pep chromosome:OR_W1943:1:6709552:6717508:-1 gene:ORUFI01G09010 transcript:ORUFI01G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGGELKSSSLVQQMVWVGTGNSSSSSIMGSLRQLPCSEEQDAASSPASMLFLPQQLLLHASSNSSPCLNIPEVNLSTGLHPLGSFHGDVQQQEIISGMPDQSWRQLLLGGLVGDHEKYSVATALLSKGLDDEASMPHEASAAAYDFYGHGGGAGDEILQASPEASSCKSQLSQMLLQAAASSPRSCVTTSGLGSSMMEFSNTAAVAPAAEPELTRKHHAGQSDNSSECNSTETGSALKKARVQASSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETIGYIRFLLGQIEALSYPYLGQCCSANPMQQQTGIMAGERSTDGLFPEFPAGQDAEKDGKKQQAKKDDDLRSRGLCLVPVSCMPHLAADNDVVVGSDFWAAAGGGGGGGAPPLAGMNLR >ORUFI01G09020.1 pep chromosome:OR_W1943:1:6722922:6733878:-1 gene:ORUFI01G09020 transcript:ORUFI01G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDLALDRVQAGRHGWKAAASEASGCSVGRSRTKSKGKEGRHLSLPHLYLLSSPHTPPSLSNSLPVDRVVAAGGRGAKVARGDGGSGGPPPPKSAWIRWRWRAGGRVAVDPAWRGRSQKWRGWGARWWASGAMAVPCADPTVAAVPHPEAGGGRSIAEVVARGVWRWREEAAAAAIPRVDPGKRRGRGSARAPGGGVRGEGCSH >ORUFI01G09030.1 pep chromosome:OR_W1943:1:6742487:6747583:1 gene:ORUFI01G09030 transcript:ORUFI01G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLELDYIGLSPPPPPPSSSSAAAARADDVDLKGTELRLGLPGSESPDRRPAAIAAAAATATTLELLPAKGAKRVFPDEAALTPPTAASGKGKAAREGEEVGAEEEDKKVAAPPQPAAKAQVVGWPPIRSYRKNTMATNQIKSNKEDFDAKQGQGFLYVKVSMDGAPYLRKVDLKTYKNYKDMSLGLEKMFIGFSTGKEGAENQKDGEYVLTYEDKDGDWMLVGDVPWEMFTDSCRRLRIMKGSDAIGLAPRAGEKSKNRN >ORUFI01G09030.2 pep chromosome:OR_W1943:1:6742487:6747583:1 gene:ORUFI01G09030 transcript:ORUFI01G09030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLELDYIGLSPPPPPPSSSSAAAARADDVDLKGTELRLGLPGSESPDRRPAAIAAAAATATTLELLPAKGAKRVFPDEAALTPPTAASGKGKAAREGEEVGAEEEDKKVAAPPQPAAKAQVVGWPPIRSYRKNTMATNQIKSNKEDFDAKQGQGFLYVKVSMDGAPYLRKVDLKTYKNYKDMSLGLEKMFIGFSTGKEGAENQKDGEYVLTYEDKDGDWMLVGDVPWEMFTDSCRRLRIMKGSDAIGLAPRAGEKDGGDYPS >ORUFI01G09030.3 pep chromosome:OR_W1943:1:6742487:6748222:1 gene:ORUFI01G09030 transcript:ORUFI01G09030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLELDYIGLSPPPPPPSSSSAAAARADDVDLKGTELRLGLPGSESPDRRPAAIAAAAATATTLELLPAKGAKRVFPDEAALTPPTAASGKGKAAREGEEVGAEEEDKKVAAPPQPAAKAQVVGWPPIRSYRKNTMATNQIKSNKEDFDAKQGQGFLYVKVSMDGAPYLRKVDLKTYKNYKDMSLGLEKMFIGFSTGKEGAENQKDGEYVLTYEDKDGDWMLVGDVPWEMFTDSCRRLRIMKGSDAIGLAPRAGEKSKNRN >ORUFI01G09040.1 pep chromosome:OR_W1943:1:6752783:6753370:1 gene:ORUFI01G09040 transcript:ORUFI01G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSHAGGEMCMEGGGGGGGGGRARRAGGKKAAAAAEQQHKVAKQPQRGLGVAQLEKIRLHNQMVAALRSAAGGDAPYSPPPPQPQPFASPPFHLPPLQQDCYEAADHRRIAAGGGVQPYYEGMLPYGSGRLAAASPAFVAYEVKGDHHHGQYGSSEQQRQPQYYSWMSSSYDGYSGGRSSAGSSSEELDLELRL >ORUFI01G09050.1 pep chromosome:OR_W1943:1:6755516:6756923:1 gene:ORUFI01G09050 transcript:ORUFI01G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPIARTSCKQKKYTEARRRGPRRRRRRRPQRAPAIEETSSARVLRLIPCIAPAVPDTPGFYGDEDRYFFCRWDTEPECSGVGCYDYIENDVLKTEQIVQVGHGARGDVTILPTLVINNVQYRAHYQSPNYAQA >ORUFI01G09060.1 pep chromosome:OR_W1943:1:6757591:6786753:1 gene:ORUFI01G09060 transcript:ORUFI01G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKFKLGRKIGCGSFGEIYLATHVDTYEIAAVKIESSKTKHPQLFYEAKLYNALQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRKFTLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGVEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFRDLFTRQGYEFDYVFDWTVLRYKQGQKVQHGSGATITRATPGDLDKTAGVNGAFPHNEAREQTGPSHLAGSAAQLQVKRSTERGAHPNIQHTENITQDMTARKHLAASVLPGAEWRKDGNSRQLGQLDALHQKQSFVSNNGSSSGRGEMLRRCSIYFKWFRVMYLKSQLSITMQHAMEQCHHHQQQSNGQQLQRLEGIEEEGGAAEKWPPPTTVRPPETPTETMEFLARSWSLSAAEISKALRVLSGKGVSDDVHDAAAAVAGDRKERRSPVTMDGRRHEQASEENEAASMRADASSMAAAAQGGAMSPPISPRANLDVKLLRATATAAAAAGGRGGGGKTTMGTWIKEQKERKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSPPPDHPKNGGAAAPAPAPAHAAGAAGAKTAAAIASAAALVASHCVEMAQAIGASHDQILGAIQSAVNAQTSGDIMALTAGAATALRGAAMLRARLHKEIQAAALPGGGAGAGDISGREPERDTSPFAFVSRGGELLKRTRQGTITVIIKMRSAHMAGTFIKTKKFVVLDICSEIPAWAGREVEEGSHRRGYFGIKTVERMIEFECRSKYEQHKWVQGITEMLNRRAGELQPLAAKAKAHRPNRRRRVAFPTNHSGELAFFSTDSHYAVDSPMSSFTHFISLPLGIHPQLVDKLNEFKRSILTSNEYKGFRIDESIFAIPESLHLTVLMLELKGENIAKASSVLQSVSDKLMEALKNRPISIQLRGLACMKGSPDKAWVVYAPVLEVGVQGRLQQVCATVRMLHLMLGRYSKNTRNMNGANISFPRFIFVRHAGLMKVDTTTAALRFPCPGICKRSEPRNNHFARARAVGQPSRQAKPEAAAAAAAAVSEPRGLHTTHVGLRFPRKSARSPSMRRGFRAALPPGAQGASNNSAKHKKRKSAVQRWRPISTEAAAPKADLNEMSGPVSKQVEENSASDGTTNVVIEVSTYNASLPENKLATEDTMEDASFNKDIDRSNLSEKCSSSVQVDAPLMRFVKGKGGTMQKQIEDETGVKIIFPSSKEETCVVLEAKTTEDIRKASEKIAKVLEEAVKSPILDYSHFISLPLAIHPSLVEKLNHFQCSILGTSSNVDSDKGEDLSEGSMDEIDHEQKQERSPSVSIKMQAHEESVRVKMDIKGSQPDFGIDKSIFIKPKTFHLTVLMLKLWNKDRIAKASDVLQSVSSQVMEALENRPISIQLRGLTCMKGSPARARVVYAPVLEVGEEGRLQQVITDAFVKSGLVLERDARQELKLHATIMNVRHRKSKRWNQRNDSFDARNIFRKYGEHDWGEYLIPEIHLSQRFKFDERGYYYCCSSIPLPAAEMQTE >ORUFI01G09060.2 pep chromosome:OR_W1943:1:6757591:6786753:1 gene:ORUFI01G09060 transcript:ORUFI01G09060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKFKLGRKIGCGSFGEIYLATHVDTYEIAAVKIESSKTKHPQLFYEAKLYNALQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRKFTLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGVEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFRDLFTRQGYEFDYVFDWTVLRYKQGQKVQHGSGATITRATPGDLDKTAGVNGAFPHNEAREQTGPSHLAGSAAQLQVKRSTERGAHPNIQHTENITQDMTARKHLAASVLPGAEWRKDGNSRQLGQLDALHQKQSFVSNNGSSSGRGEMLRRCSIYFKWFRVMYLKSQLSITMQHAMEQCHHHQQQSNGQQLQRLEGIEEEGGAAEKWPPPTTVRPPETPTETMEFLARSWSLSAAEISKALRVLSGKGVSDDVHDAAAAVAGDRKERRSPVTMDGRRHEQASEENEAASMRADASSMAAAAQGGAMSPPISPRANLDVKLLRATATAAAAAGGRGGGGKTTMGTWIKEQKERKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSPPPDHPKNGGAAAPAPAPAHAAGAAGAKTAAAIASAAALVASHCVEMAQAIGASHDQILGAIQSAVNAQTSGDIMALTAGAATALRGAAMLRARLHKEIQAAALPGGGAGAGDISGREPERDTSPFAFVSRGGELLKRTRQGTITVIIKMRSAHMAGTFIKTKKFVVLDICSEIPAWAGREVEEGSHRRGYFGIKTVERMIEFECRSKYEQHKWVQGITEMLNRRAGELQPLAAKAKAHRPNRRRRVAFPTNHSGELAFFSTDSHYAVDSPMSSFTHFISLPLGIHPQLVDKLNEFKRSILTSNEYKGFRIDESIFAIPESLHLTVLMLELKGENIAKASSVLQSVSDKLMEALKNRPISIQLRGLACMKGSPDKAWVVYAPVLEVGVQGRLQQVCATVRMLHLMLGRYSKNTRNMNGANISFPRFIFVRHAGLMKVDTTTAALRFPCPGICKRSEPRNNHFARARAVGQPSRQAKPEAAAAAAAAVSEPRGLHTTHVGLRFPRKSARSPSMRRGFRAALPPGAQGASNNSAKHKKRKSAVQRWRPISTEAAAPKADLNEMSGPVSKQVEENSASDGTTNVVIEVSTYNASLPENKLATEDTMEDASFNKDIDRSNLSEKCSSSVQVDAPLMRFVKGKGGTMQKQIEDETGVKIIFPSSKEETCVVLEAKTTEDIRKASEKIAKVLEEVHVMHFF >ORUFI01G09060.3 pep chromosome:OR_W1943:1:6757591:6786753:1 gene:ORUFI01G09060 transcript:ORUFI01G09060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKFKLGRKIGCGSFGEIYLATHVDTYEIAAVKIESSKTKHPQLFYEAKLYNALQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRKFTLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGVEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFRDLFTRQGYEFDYVFDWTVLRYKQGQKVQHGSGATITRATPGDLDKTAGVNGAFPHNEAREQTGPSHLAGSAAQLQVKRSTERGAHPNIQHTENITQDMTARKHLAASVLPGAEWRKDGNSRQLGQLDALHQKQSFVSNNGSSSGRGEMLRRCSIYFKWFRVMYLKSQLSITMQHAMEQCHHHQQQSNGQQLQRLEGIEEEGGAAEKWPPPTTVRPPETPTETMEFLARSWSLSAAEISKALRVLSGKGVSDDVHDAAAAVAGDRKERRSPVTMDGRRHEQASEENEAASMRADASSMAAAAQGGAMSPPISPRANLDVKLLRATATAAAAAGGRGGGGKTTMGTWIKEQKERKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSPPPDHPKNGGAAAPAPAPAHAAGAAGAKTAAAIASAAALVASHCVEMAQAIGASHDQILGAIQSAVNAQTSGDIMALTAGAATALRGAAMLRARLHKEIQAAALPGGGAGAGDISGREPERDTSPFAFVSRGGELLKRTRQGTITVIIKMRSAHMAGTFIKTKKFVVLDICSEIPAWAGREVEEGSHRRGYFGIKTVERMIEFECRSKYEQHKWVQGITEMLNRRAGELQPLAAKAKAHRPNRRRRVAFPTNHSGELAFFSTDSHYAVDSPMSSFTHFISLPLGIHPQLVDKLNEFKRSILTSNEYKGFRIDESIFAIPESLHLTVLMLELKGENIAKASSVLQSVSDKLMEALKNRPISIQLRGLACMKGSPDKAWVVYAPVLEVGVQGRLQQVCATVRMLHLMLGRYSKNTRNMNGANISFPRFIFVRHAGLMKVDTTTAALRFPCPGICKRSEPRNNHFARARAVGQPSRQAKPEAAAAAAAAVSEPRGLHTTHVGLRFPRKSARSPSMRRGFRAALPPGAQGASNNSAKHKKRKSAVQRWRPISTEAAAPKADLNEMSGPVSKQVEENSASDGTTNVVIEVSTYNASLPENKLATEDTMEDASFNKDIDRSNLSEKCSSSVQVDAPLMRFVKGKGYWSLEPVSDMHYPQLSSVEDNAGLSLSVTENQSYSLACSGTMQKQIEDETGVKIIFPSSKEETCVVLEAKTTEDIRKASEKIAKVLEEAVKSPILDYSHFISLPLAIHPSLVEKLNHFQCSILGTSSNVDSDKGEDLSEGSMDEIDHEQKQERSPSVSIKMQAHEESVRVKMDIKGSQPDFGIDKSIFIKPKTFHLTVLMLKLWNKDRIAKASDVLQSVSSQVMEALENRPISIQLRGLTCMKGSPARARVVYAPVLEVGEEGRLQQVITDAFVKSGLVLERDARQELKLHATIMNVRHRKSKRWNQRNDSFDARNIFRKYGEHDWGEYLIPEIHLSQRFKFDERGYYYCCSSIPLPAAEMQTE >ORUFI01G09070.1 pep chromosome:OR_W1943:1:6771494:6772646:-1 gene:ORUFI01G09070 transcript:ORUFI01G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYTFVCRSSGEEWTAKQLKGELEASAATPYELQRRLVAAASAADSAAGVQSSFAMVSPSSAVFQVIIGAVGGGAAIGGAAAGGAAAGGAAAEAPKAEEKKEEEKEESEDDLGFSLFD >ORUFI01G09080.1 pep chromosome:OR_W1943:1:6790125:6795999:1 gene:ORUFI01G09080 transcript:ORUFI01G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLALGHHREATDPGCLRAVVAELLLTFLFVFSGVGSAMAAAKLGGGGDTIMGLTAVAAAHALVVAVMVSAGLHVSGGHINPAVTLGLAAGGHITLFRSALYAAAQLLGSSLACLLLAALTGGEEAVPVHAPAPGVGAARAVAMEAVLTFSLLFAVYATVVDRRRAVGALGPLLVGLVVGANILAGGPYSGASMNPARSFGPALAAGEWADHWIYWVGLWLGWFMRASSWARPAMSRFLGMTATSKLIDR >ORUFI01G09080.2 pep chromosome:OR_W1943:1:6794609:6795530:1 gene:ORUFI01G09080 transcript:ORUFI01G09080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPMTKLELGHRGEAWEPGCLRAVAGELLFTFLFVFIGVASTITAGKAVGGAGEAAAVTAAAMAQALVVAVLATAGFHVSGGHLNPAVTLSLAVGGHITLFRSALYVAAQLAGSSLACLLLRCLTGGAATPVHALADGVGPVQGVAAEAVFTFTLLLVICATILDPRRAAPPGTGPLLTGLLVGANTVAGGALTGASMNPARSFGPALATGEWAHHWVYWVGPLAGGPLAVVAYELLFMDVEDAGGAHQPLPQE >ORUFI01G09090.1 pep chromosome:OR_W1943:1:6795080:6799606:-1 gene:ORUFI01G09090 transcript:ORUFI01G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMHDSSRFSEDDRESHRMGSITPINGRKRTHTAVQSPEATWTSRERAFPGFTSLLRQRLVGPTGVLHVHEQEFVGHHREGPTCQRPDPTQWWAHSPVASAGPNDLAGFMDAPVSAPPATVLAPTRSPVSSGPVPGGAALLGSRMVAQITSSSVNVNTASAATPWTGPTPSASACTGVAAPPVRHRRRRHAREEPASWAAT >ORUFI01G09100.1 pep chromosome:OR_W1943:1:6799644:6801672:-1 gene:ORUFI01G09100 transcript:ORUFI01G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAVAIFTSGEYTHVHAIYIEIFTLSLPISSHLVPERIREVELVMEFGRRKSFSFFEEDWKARPTAAARTPVHHHYARSPAREAAPHTPPRLSLSSVHGVELPGLVGVGGGGGGGGGVGGMCSPWVQSPLHGRVRFPPSPAAIYHCLSALHRLDGDVHALAVARGVLFTASDSGRVRAWAAPGCFNRGYLDVGRGRVPAIAACGGTLVTSHSRDHHVRVWTVCASAVCDHIRAKKAATLPAKGGILSFTKRRPPHHRDTVSCLVLHAVAGLLYTASHDHTVKAWKLSDGSCADSFVAHDGAINAMLINEADGCIFTGSADGTVKMWRRVYGGTTHALIIALRSELSPVNALTLCHAAAATGATRRCFLYAGSSDGYVNVWEKEASAGRPAHAGFLKGHRLAVFCLASGCGGRVVVSGSEDATMRVWRRDGKGGSTSHTCLAVIEGHRGPVRCLAVGGGEAGDVEGSMVVYSAGLDKSVKVWRIRVVGKEEEEDDDEDDLDDDDVDVVVGEEDAAETMSTAAGKVDTEARDEAAAAEAVVAEEEAEVVVGLGATPVLSPVWVEKRRTSRG >ORUFI01G09110.1 pep chromosome:OR_W1943:1:6817756:6825070:1 gene:ORUFI01G09110 transcript:ORUFI01G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLPSPLRRLLPLSQTLAAATPAPLLHLSRRLFPSSSSSSSSPSPRAACLRALAYRGGQAGGGGGGRRGHHNHLLRRGNSTVGKRSEEKMGGGGGGGGGGGGGGGEAEVAFNKTRAEGKDGRKGRSMELKSRKLNPINTICYVQILGTGMDTQDTSPSILLFFDKQRFIFNAGEKLQAAFQVNIWGPSDLDFLASAMRSFIPNRAMLHTHSFGVEQNISSSQSKDATVIVDDEVVRISAMFVKPRYNNEASCLNDSNLKPGDTAIIYACELPELKGKFDPAKAAALGLKPGPKYRELQLGNSVQSDAFDKMVHPSDVLGPSIPGPTVLLVDCPTKYHMHELFSLQSLIRFYEDSSEQAGSPKKVNCVIHLGPSSVTEALDYQNWMRKFGATQHIMAGHEIKNMEIPILKGSARISSRLHFVCPHLFPSSGFWPVEPVIDVDSENNKVSSLQACESVSASNLLKFHLRPYAQLGLDRASIPSLTTYGDIVDELLSEIPEIKEVPEQISKFWQSNSVGKHMLMVEEPWITENSSVCNVVDENSKLQDGTPLRSSGWRKHPKDTPDIPCCVENATREDMEITFLGTGSSQPSKYRNVSSIYINLFTQGGILLDCGEGTLGQLKRRFGVSGADDAVKSLKCIWISHIHADHHTGVARVLALRSKLLKGVPHKPLLVIGPRPLERFLNAYSTLEDLDMQFLDCRQTLKPSIEAFLSDNATESATSQLESTIFAPGSKMENYSRKPASPRDTTALTNLKDVLHESGLEVLYSVPVLHCPQAFGVVLRAKEKVSRAGKAIPGWKVVYSGDTRPCPALVDASRDATILIHEATFEDSMKDEAIARNHSTTKEAIAVGTSAGAYRVILTHFSQRYPKIPVFDEVDMQKTCIAFDLMSVNLADLPVLPKVLPHLKLLFKDEMVVDESDEIQEAVM >ORUFI01G09120.1 pep chromosome:OR_W1943:1:6822075:6824845:-1 gene:ORUFI01G09120 transcript:ORUFI01G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTIGFSVLHNSLLDLIRFVNHHLIFEQELQVRKNLWQYWQVREIHTHQVERNASLLHINLIKNWDFRVSLAKVGQDYTTLCPAPVEHMDADETEKENPLMFHGPKSRGWEEMRAYKMKT >ORUFI01G09130.1 pep chromosome:OR_W1943:1:6826713:6832174:1 gene:ORUFI01G09130 transcript:ORUFI01G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSRRAVESYWRSRMVDGVTADDDKVAPVYKLEEICELLRASDASIVKEVADFVLKRLDNKSPVVKQKALRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYKGHPDPLKGDSLNKAVRETANDAIAAIFSTEEPKPAVATEVLGKRIQGFGNTNFEPSRDDKKSFLSELSEVVGIGSASIKQGESRSSSGASKNVSSGSWGPSPSSSAPTDDTGSSQTGVKTREERLLDTIATSSGVRLQPTRDALQIFLTEAAKLDAVALSRALENKLNSPLWQVRMKAICVLEAIVRKQDTDPYSIITSYFCENSASVVRCCELPQVSLREKASKVLNLLVGEQPTGSNNFSETKTTVPAAQMPDLIDTGDQDDPGAQNSAQEGSERIMGNSTFTSSVDDLLGGEPIADISTTTSNGNGGDPFADVSFHETADTKDTNDLFSGMTVEEKATAALHDSSSINKNELPDIFGSSPEPFFQERVEDKGTVNDLMAGLNLNGTAQAQPGIKTESNNTVNVSQLFDMNSQTTNVANSAAMTGILGQSFYQQQQVPLQYNLPSQMLLNPAFAGQQLNYGAMSVLLAQQQQLLQNLGNFNAGLGHSSLNAMNSGNASVLPDIFNSSNQPQHVAVMSNSKKDETKAFDFVSDHLAAARGSKK >ORUFI01G09130.2 pep chromosome:OR_W1943:1:6826713:6832174:1 gene:ORUFI01G09130 transcript:ORUFI01G09130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSRRAVESYWRSRMVDGVTADDDKVAPVYKLEEICELLRASDASIVKEVADFVLKRLDNKSPVVKQKALRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYKGHPDPLKGDSLNKAVRETANDAIAAIFSTEEPKPAVATEVLGKRIQGFGNTNFEPSRDDKKSFLSELSEVVGIGSASIKQGLSNFAASHSSMITNDNGGPYKSPNLRRSLTTETDKYGRYDPSEIQGESRSSSGASKNVSSGSWGPSPSSSAPTDDTGSSQTGVKTREERLLDTIATSSGVRLQPTRDALQIFLTEAAKLDAVALSRALENKLNSPLWQVRMKAICVLEAIVRKQDTDPYSIITSYFCENSASVVRCCELPQVSLREKASKVLNLLVGEQPTGSNNFSETKTTVPAAQMPDLIDTGDQDDPGAQNSAQEGSERIMGNSTFTSSVDDLLGGEPIADISTTTSNGNGGDPFADVSFHETADTKDTNDLFSGMTVEEKATAALHDSSSINKNELPDIFGSSPEPFFQERVEDKGTVNDLMAGLNLNGTAQAQPGIKTESNNTVNVSQLFDMNSQTTNVANSAAMTGILGQSFYQQQQVPLQYNLPSQMLLNPAFAGQQLNYGAMSVLLAQQQQLLQNLGNFNAGLGHSSLNAMNSGNASVLPDIFNSSNQPQHVAVMSNSKKDETKAFDFVSDHLAAARGSKK >ORUFI01G09140.1 pep chromosome:OR_W1943:1:6833605:6838345:1 gene:ORUFI01G09140 transcript:ORUFI01G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGYVGVGQGSSSSSSSASCRAADVAAWGSSTQQQQKRQRCQVEWGGEGSILGTRVWVFGSGLRPHTVSVEFSCRVRLPEGKKVMPERRKKAAWGQWPCGGEWLAGLPGSSDDQVGLSTSNSLQMSEPEPRDFDNGENEEEDYYLDEDDCIYDDGDGYDYEFDGGDYFNQRLADKFDDLDLPPGVEATVPWLQKIITNEEQSSSKLTVEDESTNKSANKSQLFKQFDTVKNFSDHHYAATSGDVTKRDWVKRIQHDWKLLEKDLPASIYVRVAEDRMDLLRAAIIGPKGTPYHDGLFFFDIQFSNSYPANPPSVYYHSGGLRINPNLYNNGKVCLSLLGTWAGSGCETWNPSQSTMLQVLVSIQALILNEKPYFNEPGYASYANSVSGERIAMEYNDNTFLHSCRTMLYSLRRPPEHFADLVTSHFRERGHTILAACRYYMEGHKVGSVVPEEKEPEYGDAGASTSSASASAAAAAALKPRPDKVDSVSRRPTFNDNLKTLFEELLMEFNVKGADTAKFLAEKVKKSSGATTTAPVGGARYAAEVVDEWMD >ORUFI01G09140.2 pep chromosome:OR_W1943:1:6833605:6838345:1 gene:ORUFI01G09140 transcript:ORUFI01G09140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGYVGVGQGSSSSSSSASCRAADVAAWGSSTQQQQKRQRCQVGSSDDQVGLSTSNSLQMSEPEPRDFDNGENEEEDYYLDEDDCIYDDGDGYDYEFDGGDYFNQRLADKFDDLDLPPGVEATVPWLQKIITNEEQSSSKLTVEDESTNKSANKSQLFKQFDTVKNFSDHHYAATSGDVTKRDWVKRIQHDWKLLEKDLPASIYVRVAEDRMDLLRAAIIGPKGTPYHDGLFFFDIQFSNSYPANPPSVYYHSGGLRINPNLYNNGKVCLSLLGTWAGSGCETWNPSQSTMLQVLVSIQALILNEKPYFNEPGYASYANSVSGERIAMEYNDNTFLHSCRTMLYSLRRPPEHFADLVTSHFRERGHTILAACRYYMEGHKVGSVVPEEKEPEYGDAGASTSSASASAAAAAALKPRPDKVDSVSRRPTFNDNLKTLFEELLMEFNVKGADTAKFLAEKVKKSSGATTTAPVGGARYAAEVVDEWMD >ORUFI01G09150.1 pep chromosome:OR_W1943:1:6838729:6839796:-1 gene:ORUFI01G09150 transcript:ORUFI01G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLHLQSPFLLWSPAPPTPPLPPSPPSKTRRPPPPPPPFCPHLSVPCVGLPLPPPCPPPPGAIRFPLWHGAATIPASSVCRSVRGHFVEHLPHVEGRVPGDGEGAFAGVPPEMLPPKKRLLRYHPYAAAWTIQEMANHARGQGGFGGKRPAVPTPPGVEEDDGLRAELRRLRISRPALVLTKRLTPSDRSREKARLVLPEGLVRTSPLLGMLTAGERHLVLTGDGGGLPVPAFDRLGRAYAMALKRDRSPTCRSYRLTGQWSLFASRHAMHDGDAVEVRAFRPPAWQARLESRGEGGLGMALLLRRPRGQPTPPAAVNDAAFWSYRERGAADGLLLLARTAPRRGDGGVQITVP >ORUFI01G09160.1 pep chromosome:OR_W1943:1:6841343:6845475:1 gene:ORUFI01G09160 transcript:ORUFI01G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPGRAHPLAASPLHTPLPARPRPQLRLSTSTSCAAMKSYRLSELSDAEVGGLKARPRIDFSSIFGTVNPIVEDVRMRGDAAVKDYTVKFDKVALDDVVVRVSDLPDVELDPAVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTVVLATPPSRDGSICKEVLYCAKKAGVTHVLKAGGAQAISAMAWGTVSCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVVAGDGVDLGAIEAEVSKQCSALPRGEFASKALGHSFTVFAKDMVEAISFSNMYAPEHLIINVKDAEQWEDLVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLSEEGLRSLGPHVAKMAEVEGLEAHRRAVTLRLQDIEATVTV >ORUFI01G09170.1 pep chromosome:OR_W1943:1:6845812:6850885:-1 gene:ORUFI01G09170 transcript:ORUFI01G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRGGGSASGAGGGGGGGASSASAFATRVLLLLTLLPMALAAFAFALQWRGGMRDPAGAAWPAETQRFPGMENSPLGSSSSSSGGGGGGGSYFAVTSSQSSSAAADCAEILGRSAASSSHGGISLYRGWGFDSDAGLTPKICITGSTSAGLHQILPWLYYHKVIGVSHFFLFVEGEAAKPAVTSVLESIRGVKIIYRTKELKEKQDRSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIIMARDAGMDWIIHLDTDELIHPAGAREYSLRRLLLDVPDNVDMVIFPNYESSIERDDIKDPFTEVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARVQEHLRPNGAHRWHNYMKTPNEIKLEEAAILHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFILEFDRLAFIIASTATEEEMRNWFREHVLWNDKDTNLKLLRKGAIIRGLKESGVFTTAVTSAKAHAKFKSSNTDLKNKESIHPNITQGDHLQATVRKILEMVDAQEEAMPPMSPPGFLHQTVETALS >ORUFI01G09180.1 pep chromosome:OR_W1943:1:6857463:6859524:-1 gene:ORUFI01G09180 transcript:ORUFI01G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAGVDMREMTSVWKTKVLTGLNKLFDKDGKKAAAAEFLKSFNKEEIGKEIDDKKTELEPKVVEVVESSPPEIKALLKDKKTASKIKKNGPAVTKFLEELAKIDFPGAKPVSDAVAKSGTTPLSPAIAFILEKVAPFVPKEEPKPEPEAEAAAETTSREVAVEEEKKEEEAAPAEPAAAAAEAAAPSTEVVEEKKEEEKPAEAAAPAAEPEKQ >ORUFI01G09180.2 pep chromosome:OR_W1943:1:6857463:6859126:-1 gene:ORUFI01G09180 transcript:ORUFI01G09180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVWKTKVLTGLNKLFDKDGKKAAAAEFLKSFNKEEIGKEIDDKKTELEPKVVEVVESSPPEIKALLKDKKTASKIKKNGPAVTKFLEELAKIDFPGAKPVSDAVAKSGTTPLSPAIAFILEKVAPFVPKEEPKPEPEAEAAAETTSREVAVEEEKKEEEAAPAEPAAAAAEAAAPSTEVVEEKKEEEKPAEAAAPAAEPEKQ >ORUFI01G09190.1 pep chromosome:OR_W1943:1:6863785:6888425:-1 gene:ORUFI01G09190 transcript:ORUFI01G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNLAASRRSSSSSSVAAAAAKRPAVGEGGGGGGKAAAGAAAAKKRVALSNISNVAAAAGGGGGGGPPGKAGNAKLNLAASAAPVKKGSLASVRNVGTNRASAVKSASTKPAPAISRHESAAQKESVLPPKVPSIVPTAALAPVTVPCSSFVSPMHSGDSVSVDETMSTCDSMKSPEFEYIDNGDSSSVLGSLQRRANENLRISEDRDVEETKWKKDAPSPMEIDQICDVDNNYEDPQLCATLASDIYMHLREAETRKRPSTDFMETLQKDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFRDELFIVQDPALHLEFLANYVAELSLLEYDLLSYPPSLVAASAIFLAKFILQPAKHPWNSTLAHYTQYKSSELSDCVKALHRLFCVGPGSNLPAIREKYTQHKKFNSATWAAPVKKGSLASGRNVGMNRVSAVKSASTKPAPAISRHESAPQESVLPPKVLSIVPTAAPAPVTVPCSSFVSPMHSGDSVSVDETMSTCDSMKSPDFEYIDNGDSSSVLGSLQRRANENLHISEDRDVEETKWKKDAPSPMEIDQICDVDNNYEDPQLCATLASDIYMHLREAETRKRPSTDFMETIQKDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGIACMLIAAKHEEICAPQVEEFCYITDNTYFRDEVLEMEASVLNYLKFEMTAPTAKCFLRRFVRVAQVSDEDPALHLEFLANYVAELSLLEYNLLSYPPSLVAASAIFLAKFILQPTKHPWNSTLAHYTQYKSSELSDCVKALHRLFSVGPGSNLPAIREKYTQHKYKFVAKKHCPPSILHAADVIDLNMVIQREA >ORUFI01G09200.1 pep chromosome:OR_W1943:1:6879834:6883833:1 gene:ORUFI01G09200 transcript:ORUFI01G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGGASHKAASGSAPSGAAAANPTAMLSALMSKRAKLQEELRSIERQVYEMETTYLQESNQFGSVLKGFESFLSSSKNTSNLKRSRKFQADERLFSLSSVTSPAVDEHMAGRDDGREYGSGRSKGATTPANGQGKPKKGGRPGGRDGKRIRPSNDPDLDDEEDF >ORUFI01G09210.1 pep chromosome:OR_W1943:1:6895059:6898691:1 gene:ORUFI01G09210 transcript:ORUFI01G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMSYNKLTITNDRMDFNTRGNAVPNGKLDRNQSGVSANRPSASKPTARSKKKPDQADKTTPNPAQNSASSAARSEAATVTTTTKSPAISVDRSSIARSNSLDSSSYGQAKRHTGGDSRWDAVRSASSVDCPLGLVHFRLLKRLGYGDIGSVYLVELRDTDAFFAMKVMDKESLISRNKLVRAQTEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCSGGNLHSLRQRQLNKHFNEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCSVCPMLVKSSSVHAGANGVVKGLAAGGGGDGEGVGVGCMQPSAFLPRILPKRSRKTSKSDLGLLHGSPLEFNAEPTDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGVFLYELLHGMTPFKGSSNRATLCNVVEQPLRFPDGGAFPAPAAASGVARDLIRGLLVKDPGKRIASRRGATEIKQHPFFEGVNWALVRSAHPPSVPDPVDFSQFGVREKPAAAPTKVAKPAASDSSSGDFEYF >ORUFI01G09220.1 pep chromosome:OR_W1943:1:6899586:6903059:-1 gene:ORUFI01G09220 transcript:ORUFI01G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNKVLELFCVKKKDSKKKVAAPHPTANVSTNNSLLDPFSSGNGTVLLVQKHEPECSSVVSSMTRTEYGSESDGYNLFNQFDVVQDFSDHYYAKNSPGKTSKDWVKTIQNEWKLLQKDLPESIYVRAYEDRIDLLRAAIVGPAGTPYHDGLFFFDVRFPSEYPQSPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGTGCEKWGKSKSTILQVLVSIQGLVLNDKPYFNEPGNKNSANTAPGEKYSLAYNQTAFLLSCRTMMYSLRKPPKHFESLVARHFHERERVILDACDAYISGAVVGSSSAKGTKHPRNNNRSFADFKKSLEKYSELLRKDLAANRTHFLKLTRDSPAAADEIVECTSS >ORUFI01G09230.1 pep chromosome:OR_W1943:1:6906245:6906589:-1 gene:ORUFI01G09230 transcript:ORUFI01G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVDDLELLSDGADLAGGRMLQGEIDPEVNGRQHGGVASAVSGHRTARLFPPFFGPHLLPRLAVLLPGRWLEARGYASSNALCELFFAPSHESLTVSDKELVVYLGSEFFSL >ORUFI01G09240.1 pep chromosome:OR_W1943:1:6906662:6909722:-1 gene:ORUFI01G09240 transcript:ORUFI01G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRMVPLPLRCFPSTRSTPSLPPSLPPRASVPLPGQQYTRELLTPIGCGSLSEFKVDCFAAAAQPQHCNSSNSKICY >ORUFI01G09250.1 pep chromosome:OR_W1943:1:6911754:6916986:1 gene:ORUFI01G09250 transcript:ORUFI01G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDQPIKKRGRPPGSKNTKNKMEQKMELVHQRLALLDSSSGSDRDDDIGPRMLMPKEVENEQRTVPGIPQTCNTQNTSNGRTNTTEVPVKGQNKCASYLPKKSSVQAFCGSAMKRAQEIQTKLPAEHPSFVKHMLHSHVVSGFWLGLPAGFCNKYLPKHDTDIVLEDENGNNHNTNYLGGKQGLSAGWRGFAINHDIKVGDVVVFELVHIIRDKNISPTDRAPGLKSFYACKKRKISKEATDNATKPKEDPETTRVSSKVAHDDTQNLVHEAIDGIRFSDSEMSFDDVMSYSNFNIVVDGLVIDCKFPDHQRRTYYELCCAQKSFLHRHLLRQLSLTLVVGVIMETINIAEGIRACGAGTSSQEDFLIWKKTLQSFDLLGMNVAFLLKRVDDLLGLPEQPRDPSECSKYNELKLERSRAGEKVKALESMMLTVKDVLKKIDAEMEEMESSVRNHDIALRKIATAPW >ORUFI01G09250.2 pep chromosome:OR_W1943:1:6912369:6916986:1 gene:ORUFI01G09250 transcript:ORUFI01G09250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKMELVHQRLALLDSSSGSDRDDDIGPRMLMPKEVENEQRTVPGIPQTCNTQNTSNGRTNTTEVPVKGQNKCASYLPKKSSVQAFCGSAMKRAQEIQTKLPAEHPSFVKHMLHSHVVSGFWLGLPAGFCNKYLPKHDTDIVLEDENGNNHNTNYLGGKQGLSAGWRGFAINHDIKVGDVVVFELVHIIRDKNISPTDRAPGLKSFYACKKRKISKEATDNATKPKEDPETTRVSSKVAHDDTQNLVHEAIDGIRFSDSEMSFDDVMSYSNFNIVVDGLVIDCKFPDHQRRTYYELCCAQKSFLHRHLLRQLSLTLVVGVIMETINIAEGIRACGAGTSSQEDFLIWKKTLQSFDLLGMNVAFLLKRVDDLLGLPEQPRDPSECSKYNELKLERSRAGEKVKALESMMLTVKDVLKKIDAEMEEMESSVRNHDIALRKIATAPW >ORUFI01G09260.1 pep chromosome:OR_W1943:1:6918744:6922118:-1 gene:ORUFI01G09260 transcript:ORUFI01G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQPPPRRRSARIAAAHGGGGGDTTLRERILRRPDGYIGSPEKRSTQTFWINDGYYMVPREVTYRPGLHRIFDEVLVYAASNKRRDPSMDTLSVEVDVVERSVSVFYNGRGVVPVELVDEERGVYAPEMFFGHLHDDDEEDDQNKATNDGGGGYGVKLANLFSTEFIIETTDGCRMKKYKQVFSENMGKKSVRHITDCNQGENWTIITFKPDLARFNMTYLEEDHVTLMWKRVVDMAGILGDSVQVEWDGVRLRINSFNNYVRLYIDSPVSDRSGAGFPRVYEKLNDWCEVCLSLSDDGHFQQVSFVNGFETLKGGTHVDYVTELITTHLMNLLNEHYEECNFNVDDVKRYLWVFLNVIIDNPTFDSQTKETLTTPPGRLGSKLELPKSFSKIAFGNGLIRRLFGYRGPPGYRGPLDAKTGVSSRD >ORUFI01G09270.1 pep chromosome:OR_W1943:1:6924327:6926955:1 gene:ORUFI01G09270 transcript:ORUFI01G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATLGSPEPAAKPRLRCADGRHRRRLIVVLCIVGVALAVGVAVAVAIAVLGRSRMTSSSGGGRAPRGRAPTEAIARTCGVTLYPELCVGELMAFPGAAGAGDAELVPMSLNATHRRVVDALYNATALGGAAALLAGARSGAAYGDCVEMLDAAEELLARSVGAIAAPPPPPDSVDADTAGRDDDDIMTWLSAALTSHDTCMDSLQEVGAGDDDGGRIKPQMLGYLGNLGEHLSNSLAIFAARGRPGGELSDVPVHNQLHRRLLTIDDDDDDDGSFPRWVRHNDRRLLQAAAAEIEADMVVAKDGTGTHRKIRDAIKAAPEHSRRRVVIYVKAGVYTENVKIGSKKTNLMLVGDGAGKTVVVGYRSVHDNYTTFHTATLAVAGAGFIMRDMTVENRAGAARHQAVALLVSGDHAVVYRSAVLGYQDTLYAHAQRQFYRDCDVAGTVDFVFGNAAVVLQNCTLWARRPLPGQENTVTAQGRRDPNQSTGISVHGCRLLPSPELELAPAARRGRAATYLGRPWKPYSRAVYMMSYMAGHVHAAGWLAWDASGRAPDTLYYGEYRNSGPGAAVGGRVPWPGHRVIKLPEEAMEFTVGRFIGGYSWLPPTGVAFVAGLTV >ORUFI01G09280.1 pep chromosome:OR_W1943:1:6932761:6933540:1 gene:ORUFI01G09280 transcript:ORUFI01G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPRTRDPAIAIAGDGAARRGPPPMQPPGPPPVRHGPPPVRQQHGYYYEEEQRPLHLHVRTARSSSSALASCLVAAAFLALAVGGAGAALFVLFRPRPPDIAVAAVRLPAFASGPNGTVAFTFEQTAAVRNPNRAPLAHFDSSLRVAYAGGELGSVYIPAGLIDGGRTKDMSASFAVPAFAAATPPSLPQEQMAAAAAASAQQQQPAAAAAVMEVDSLLVVKGRVTVLRVLTHHVEAAKVCRVGVSPVDGKVLGFRC >ORUFI01G09290.1 pep chromosome:OR_W1943:1:6933738:6936879:-1 gene:ORUFI01G09290 transcript:ORUFI01G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDDLPLVEGLDDGRDLKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYLFHYIIEGRVCYLTMCDCSYPKKLAFQYLEDLKNEFERVNGNQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVSEMSNRLISDTRIYAEKAKDLNRQALIRKYALVAIVIGIVLMLFWVKNKIWLMDGQGTYHPKLHGMSCDSDVLAAIEYVRLCCWRKNMNNLDDTFELKCY >ORUFI01G09290.2 pep chromosome:OR_W1943:1:6933738:6936879:-1 gene:ORUFI01G09290 transcript:ORUFI01G09290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDDLPLVEGLDDGRDLKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYLFHYIIEGRVCYLTMCDCSYPKKLAFQYLEDLKNEFERVNGNQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVSEMSNRLISDTRIYAEKAKDLNRQFTTSPVFTSVTRLSFNGFQALIRKYALVAIVIGIVLMLFWVKNKIWLMDGQGTYHPKLHGMSCDSDVLAAIEYVRLCCWRKNMNNLDDTFELKCY >ORUFI01G09290.3 pep chromosome:OR_W1943:1:6933738:6936879:-1 gene:ORUFI01G09290 transcript:ORUFI01G09290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDDLPLVEGLDDGRDLKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYLFHYIIEGRVCYLTMCDCSYPKKLAFQYLEDLKNEFERVNGNQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNFQEVLGVEVSEMSNRLISDTRIYAEKAKDLNRQFTTSPVFTSVTRLSFNGFQALIRKYALVAIVIGIVLMLFWVKNKIWLMDGQGTYHPKLHGMSCDSDVLAAIEYVRLCCWRKNMNNLDDTFELKCY >ORUFI01G09290.4 pep chromosome:OR_W1943:1:6933882:6936879:-1 gene:ORUFI01G09290 transcript:ORUFI01G09290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDDLPLVEGLDDGRDLKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYLFHYIIEGRVCYLTMCDCSYPKKLAFQYLEDLKNEFERVNGNQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVSEMSNRLISDTRIYAEKAKDLNRQALIRKYALVAIVIGIVLMLFWVKNKIWLMDGQGTYHPKLHGMSCDSDVLAAIEYVRLCCWRKVILIARLSASVVRDFGMQCNNLR >ORUFI01G09290.5 pep chromosome:OR_W1943:1:6933882:6936879:-1 gene:ORUFI01G09290 transcript:ORUFI01G09290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDDLPLVEGLDDGRDLKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYLFHYIIEGRVCYLTMCDCSYPKKLAFQYLEDLKNEFERVNGNQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNFQEVLGVEVSEMSNRLISDTRIYAEKAKDLNRQALIRKYALVAIVIGIVLMLFWVKNKIWLMDGQGTYHPKLHGMSCDSDVLAAIEYVRLCCWRKVILIARLSASVVRDFGMQCNNLR >ORUFI01G09290.6 pep chromosome:OR_W1943:1:6933882:6936879:-1 gene:ORUFI01G09290 transcript:ORUFI01G09290.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDDLPLVEGLDDGRDLKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYLFHYIIEGRVCYLTMCDCSYPKKLAFQYLEDLKNEFERVNGNQIETAARPYAFIKLMDGQGTYHPKLHGMSCDSDVLAAIEYVRLCCWRKVILIARLSASVVRDFGMQCNNLR >ORUFI01G09300.1 pep chromosome:OR_W1943:1:6938769:6940984:-1 gene:ORUFI01G09300 transcript:ORUFI01G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGIAVSPSNNDALDLAVAQLDGDQSSYHHTPEVFLLYLAVPGVPLAKMQVLESDSVAAVKLRIQNSKGFVARNQRLVFEGRELSRNDSHIRDYGVRYGSVLHLVIRLSDPRRTAVRTVYGRKFKFQVDQRRNARYMKQEISRNVESPNGIGESMTLVNGEKLDESTLISTICETNTSDTDFLANKSENFNGNEIEESFEQLSISSDIGNNLQFDDAKEKYPLIEPVLVNPSVTLTPKITGMIEATLAGLEMEHTPVMSSEGTGGVYFMLDSSGQEYVAVFKPINEEPMAKDNPNGYPLSSDGEGLKRGTRVGEGAFREVAAYILDHPISGYRVSDELGFAGVPPTVLVRCLNGYVDQTKYDCAEKEPKIGSLQMFVKNSGSCEEFGPRAFPVQEVHKIAVLDMRLANTDRHGGNILIRKDENGQIELIPIDHGYCLPESFEDCTFDWLYWPQARQPFNVETLDYIKSLDEEEDIKLLKLNGCEPSSKCVRVFRLSTMMLKKGAVRGLTPYEIGNMLCRENITTKSKIEEIVEEAEHVVLPGIGEKAFMEAISGIMDRYLNELFK >ORUFI01G09310.1 pep chromosome:OR_W1943:1:6945983:6947543:-1 gene:ORUFI01G09310 transcript:ORUFI01G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLPVGRSEFPGILVFSGLPIGVCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCMFRPYNCPYAGSECAVVGDIPYLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYSYSLEVGANGRKMVWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRITGRIWKEQQTPDGACIPNLCS >ORUFI01G09320.1 pep chromosome:OR_W1943:1:6950010:6950444:-1 gene:ORUFI01G09320 transcript:ORUFI01G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNRSYTLNLLQFKMIEMAFVPLLIFHLQARCNNWGGRRSHGADRVNEMMVDPLSQYHVCSSVIRHALSLPPTTLELHKGQLVHEHDEKGQPTLEFRETRNLRRLWLHRSLTRTMMHGLEEITTRELDEDDNVEAKGGWRDL >ORUFI01G09330.1 pep chromosome:OR_W1943:1:6952133:6954502:1 gene:ORUFI01G09330 transcript:ORUFI01G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLWLQLPAPPASAAVHNPVFLTGNLSSSLLCKKHPQAARGSILCSSSSSSNSSASVVTKEQEAVAAASSSQEEGVAVSETEFVSYRDDPNFRGCRGCGREEVERGCNGEGRIQGGIATVPGFGWWPIKAYRPCPGFVASGGRYRRQGQSMDDVASGRGKKRELRGDPVQEISSLEMLDKDVQNFNPSLQNSVADVISQCLMAFMGCILLPGVIAKLYRNFESTTIL >ORUFI01G09340.1 pep chromosome:OR_W1943:1:6955547:6959900:-1 gene:ORUFI01G09340 transcript:ORUFI01G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQPAERGVEAPPLTLSIFEFNSKVMQDELQKLALKVNHHEENIRFLKSELNAVEDSCADLGIKIGNYYSSMAAIANNDTSVEEAEQRTIQSILKQDETAAGIICQLKIRHYQHASKLPLMKDVLGVVATLGKINNDNLSRVLSEYLGLDNMLGVVCKTYDGVEGLETYDKEGMVDKTSGIHGLGRTIGKFLDGRFTVFCLENIRPFSGDFMIDDPQRKLLLHKPRLPSGEFPPGFLGFAVNMIHLDQANLSCLTAGGHGLRETLFYSLFSQLQVYKTRAELRNAIPLINDGAVSLDGSILRPNGSFCLGNRASLGIQFPVTANLGVSNLPVTITELEEQVKHKNWEKERLLEDIKRQEDLLNQVKDLFSKKKEQFMAYITQPGMLQRASQASPTIPSPATPGSNPFGSRPPHLR >ORUFI01G09340.2 pep chromosome:OR_W1943:1:6955547:6959680:-1 gene:ORUFI01G09340 transcript:ORUFI01G09340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDELQKLALKVNHHEENIRFLKSELNAVEDSCADLGIKIGNYYSSMAAIANNDTSVEEAEQRTIQSILKQDETAAGIICQLKIRHYQHASKLPLMKDVLGVVATLGKINNDNLSRVLSEYLGLDNMLGVVCKTYDGVEGLETYDKEGMVDKTSGIHGLGRTIGKFLDGRFTVFCLENIRPFSGDFMIDDPQRKLLLHKPRLPSGEFPPGFLGFAVNMIHLDQANLSCLTAGGHGLRETLFYSLFSQLQVYKTRAELRNAIPLINDGAVSLDGSILRPNGSFCLGNRASLGIQFPVTANLGVSNLPVTITELEEQVKHKNWEKERLLEDIKRQEDLLNQVKDLFSKKKEQFMAYITQPGMLQRASQASPTIPSPATPGSNPFGSRPPHLR >ORUFI01G09350.1 pep chromosome:OR_W1943:1:6965390:6968729:1 gene:ORUFI01G09350 transcript:ORUFI01G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAHLVLALLLPLALLPPAARGTEETPQYTTVHAESDFEVRRYRDTVWMSAPSDDISFHVATKLGFHRLFQYLMGANLNSSRIRMTTPILTSIVPGAGPLHSSAYFVRLYLPAKFQASPPVPLPELNLHPDRWPSHCIAVRSFSGYARDNNVVEEAEKLALSLSRSPWANSTNYPSKSAYSIAQYNNPFRIIGRLNEVWFDVDCKSTGVEAY >ORUFI01G09360.1 pep chromosome:OR_W1943:1:6967892:6971147:-1 gene:ORUFI01G09360 transcript:ORUFI01G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTESIQDGDDILLSGGDDDRNGGSIAEIQTTVDLGVAFGSEKLLNLEMLLMEIAHRATEIEPLVLDAESISAESVQRVSEFDLLHCILDSEVKELEKLVDSIEVDIGNGGKMMASDEDPVSEVNSKLRDAAVSLNQMQDLISAIRRQSANFVNVIDPSQDNSGTSEDGGYENGHGSSRSAMPAEEQRNTLQLLNQSIASELDLGKKLHDSESVVEELKLKLHHVEHESYFLEESVEAISERMFAAENASELFLGASKELIGKVNTIQFHLSASIRREGDLKSKLEQSLTESNGSNSTLEKMKQDSEKEVMPTQAQPDSEFLTLQDKIQQLEEWLRESKSGLPLTIASTGENEVGEDEMSTFENIVNDIKDVVFRAESRTQNAEAKCKQLSHANIQLDEELKSLKSQGSDRAGLLEEKLKESETQLLHAKASIEAIVERHSIFKSSMSDMEQVIDDLKEKYLKAETRAENAESKCTLLTDTNLELSEELSFLRGRVDTLENSLCKANQLKMSAAKDIGIKTKTITDLVAKLALERECLHLQIVTLTKKNRMLAQKCKENITEVTLLNNKIAASEGELKTTKVMEEMVSDSSPTQTKVKRVADTLGEEEDEITAPPEDNSGACSTPNTSCWLLFSSAYRTNEVTMSYDLLTQLVGPDRKQE >ORUFI01G09370.1 pep chromosome:OR_W1943:1:6972923:6977410:-1 gene:ORUFI01G09370 transcript:ORUFI01G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPETLDTLSGWFAQSLSPDAAARRAAEQSIESAKCSPGFGLALLGLASSPRHDPQSRLAASVQFKNLLRRRWPKPSPDGGGDDDADHLPPSDCAIIKANLLQLLLTAPPLIQAQLSEALAAAAASDFPARWESLLPSIVSSLGTALSAGDIPATNSLLAAAASLFSRFRNAFDSNTIRLDLKYCLENFAAALLEVFLSTSRRLQAAAPTVTPPESRPVFECLRLCCEIFYSLNSIDLPEFFEDHMREWMTEFRAFLTTSYPPAIEADGAPDALRAAVCDNLQLYMEKYEEEFRGYLKEFVEAVWGLLMAPSVSPSRGQLAVTAIRFLTTVAESVHHALFGSPDAMKQICDSVVIPNLRLRDDDEELFEGNWVEYVRRDAEGSDADTLRRAACRLLRGLAANYREQVAALVSAQVQQMLAAYAADRTNNWKEKDAAIYLVISLMQKPGATGGGTPVVDMESFFTSVIVPELQAPDWESEPMLKATVLRFLKEFKDQIPKATALALLPSVIRFLIHESNVVHSYAATFIENLLIIKDMVPVPSANVITRAPRYVAADINPYAQPIVQNLSKALSFPESYENPYLMKCLMRVLGIANIAGQIVHEITARLVGILMEVCNNPKNPDFNHYLFEALAAVIGRAGEQDPALLPVFEASLFPVLQRILVEDISEFWPYAFQIFAQLVNLSRPPLSQNYMQLFGVLLSNATWDRPPCVPALVRLLRAFLRKIPNELNQEGRLPNILVIFRSLLSRSSTEDSAFYMLNTLVENVSFDIMNPHINEIWSALFTRLQTRQAVKFVNSLVVFMSLVVVKYGPGVLVSSVDAIQPNIFMTILQRFWIPNLKFIKGTVEVKLTSVASTKLICESALLLDGAAAQTWGKLLDSIVALLSRTDQDGAQQDQNDGADADSQRTSGYSVSFVRLQYAGKSEDDLLKEVNDPKQFLVTSLATLSAQSPGRFGPIIEQNVDPANKGALIQLCAAYNTNIEPMALL >ORUFI01G09380.1 pep chromosome:OR_W1943:1:6978400:6984481:-1 gene:ORUFI01G09380 transcript:ORUFI01G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSDLTFLPLPAVMAVSAAGIGPTGGALSRCRAAAAGVGPGGVQSCRRGGASGPSPLSSTNPAAATMNRTADGMEIPKFHQAVVTDQRCSTLDLLHVILRPSPIQPSSRIRNEYCSRRVTFPNHLSSQNLRIIRIICHMAY >ORUFI01G09390.1 pep chromosome:OR_W1943:1:6979717:6980190:1 gene:ORUFI01G09390 transcript:ORUFI01G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVITLILVVITVSTMLASPVECTKIPGAFPIGMSPYNFTTMIDIFKVAMLVPTEDCTSNVEMCISETCSYIRKALDGVVDAAPPAKQAETKEATAKMAGIAATMLDTAMASGEKRQVAAVSIAFMLAADAIDASAPADKFRVMDETFKAAASPIA >ORUFI01G09400.1 pep chromosome:OR_W1943:1:6990301:6993383:-1 gene:ORUFI01G09400 transcript:ORUFI01G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPPARKAEKSPWVGDRAGSPAPNEPRTGSARLGSGSNPTGVEKAKAPSFSFHTSPPLFFSLSTSQVASLLRPRNPLANQRNLRHHHHHHRLRLRLRLLRARRIRGTLALAWGIRASPSPGGGGCSDSASGGWGGPPLSSWGGAEETPPVLDSVAAAPIRAREPQHGIMVMKMEADEDGANGGTGGTWTDEDRALTASVLGTDAFAYLTKGGGAISEGLVAASLPVDLQNRLQELVESDRPGAGWNYAIFWQLSRTKSGDLVLGWGDGSCREPHDGEMGPAASAGSDEAKQRMRKRVLQRLHSAFGGVDEEDYAPGIDQVTDTEMFFLASMYFAFPRRAGGPGQVFAAGVPLWIPNTERNVFPANYCYRGYLANAAGFRTIVLVPFETGVLELGSMQQVAESSDTLQTIRSVFAGAIGNKAGVQRHEGSGPTDKSPGLAKIFGKDLNLGRPSAGPGTGVSEADERSWEQRTGGGSSLLPNVQRGLQNFTWSQARGLNSHQQKFGNGILIVSNEATPRNNGVVDSSTATQFQLQKAPPLQKLPQLQKSHQLVKPQQLVSQQQLQPQAPRQIDFSAGTSSKPGVLTKKPAGIDGDGAEVDGLCKDEGPPPALEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKLKEMEVERERLIESGMIDPRDRTPRPEVDIQVVQDEVLVRVMSPMESHPVRAIFQAFEEAEVHAGESKITSNNGTAVHSFIIKCPGAEQQTREKVIAAMSRVMNSG >ORUFI01G09410.1 pep chromosome:OR_W1943:1:7001485:7009293:1 gene:ORUFI01G09410 transcript:ORUFI01G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKRKSPVSSPPLLRHLAAEQGRGADLHLASRGGEGILAGEDMASRSTPSKRPFQKNSSEQNGRGKWQKTKHNSLQQPQLIVQPGVPIFRILCPTSKSGNVIGKGGGIIAKIRQETGVKIRVDEVVPGCDERVIVITAIDKDREVSHVQTKENDGGVACSVDGNHGMEKDHTKEEKDESNKEMDDSEKGLGKEEKDDLEKDHDKEDRDESGKDNDKKADDSSVAKDTNSEPEAQLELEKGMPLAVKAILLVFDRIFVNEMENGTGDASGERNHVSLRLLVLDSQVGWLLGKNGSVIKQMSTDSCCEIRVSKDKLPLCALPRDELCQITGELDSVRKGLNTVAQLLFTHPPKESDVLGAHNSGSSRSFFNQPDVLPPGMQPNLHLPFQGPNVAHLPNFPEALMHGHGSVPPEPLTFRLLCSSDKVGGIIGKGGNNIKSIQNDTGCEIKVLDTVPKSEDRIVFISGPAHPGDGISPAQNAILHVQRKIVPTSNTKEGPAICRLIVSPNQVGCLLGKGGSIIAEMRKLSGAHIIVLSKDKIPKGVPENDEVVQISGASEAIQEALMQITARLRNHLFRDRMASTVPNVQPPFGLVDPQFGSYAGNHDSISPRIFPNVPQFHKDFIGRPLDEMSAPWTMKGMQVVGDPISLPDIPGMAHRGMGGFPGPGQPSIVSTITADVMVPKLVLPSLCGEDGGCLNRIREVLWKISQSFHCEIEMLWKLIVLLLAEGRFGDAYGPWGPPCVRPWAFCDWLRSCTGRSSPAVLT >ORUFI01G09420.1 pep chromosome:OR_W1943:1:7012642:7013823:1 gene:ORUFI01G09420 transcript:ORUFI01G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSKDVTVADVYRPPPTSVSLFDISAIEEPWLIATGKKNDDEEEEEDEEEEEEEEEEEEGKKPTTTVMPLPLLDKLDGYDLAPASWSEVSKALEDIKPALSSNTTTTENAKKKTKKKKKKKQPAPPPQPPTTTTTVLPEPVKAIEAAAKKAAAAPSARGANEEVDRRPPPPELTGRRVVKDNPFLMRDRENKGNDGGAAAAAARWRRRDPFEGYPERRPPGASGGGVVLYTTTLRGVRRTFEDCERARKAVEACAEAVSAAGGSPVVVDERDVSLHGEYLRELRGLAGAGDAPPRLFVMGRYLGGADACAELAESGKLREMMRWARARGEACAAKDGRGCEGCGGARFVPCWECGGSCKVVAAGATAAAADVERCAKCNENGLMLCPICH >ORUFI01G09430.1 pep chromosome:OR_W1943:1:7015118:7015726:1 gene:ORUFI01G09430 transcript:ORUFI01G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASHPLLYACAYRDSALVADLAHAPPPHDGGAAEDDAPAVAAALVAGAPAHHRHVTHTASGRAHAVLLAPPLVLAAVSRAPQLPSSHLLLFLRRLRCLPGNRMRDEMPRLALRLPFPDEEALAREAGEVAAAEAEAEEAERREGELARRTPKRERRARSGGAGWTWRRKLWLIVLADLVLLFVLFAAWLAVCKGFSCIGR >ORUFI01G09440.1 pep chromosome:OR_W1943:1:7023632:7027093:1 gene:ORUFI01G09440 transcript:ORUFI01G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRCDASPRPGCETEMRRLALPLPTPVRRRGRRRVLFVAWLARVQGIQLHWPVRPGYLPVPSPSPIFGCGNRHKKGSSAEVGVTERTPGDEPF >ORUFI01G09450.1 pep chromosome:OR_W1943:1:7043765:7048818:1 gene:ORUFI01G09450 transcript:ORUFI01G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVPYKILCKVVNVELKAETETDEVFAQITLQPDPDQENLPTLPDPPLPEQPRPVVHSFCKILTPSDTSTHGGFSVLRRHANECLPPLDMSMATPTQELITKDLHGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLISGDAFVYLRSETGEQRVGVRRLVQKQSTMPASVISSQSMHLGVLASASHAIKTNSIFLVYYRPRLSQSQYIVSVNKYLAASKVGFNVGMRFKMSFEGEDVPVKKFSGTIVGEGDLSLQWSGSEWKSLKVQWDEVTNVNGPERVSPWEIETCDGTAPAINVPLQSATKNKRPREPSETIDLQSLEPAQEFWLSGMPQQHEKTGIGSSEPNCISGHQVVWPGEHPGYGAASSSVCQNPLVLESWLKDFNSSNKGVSPTLSEISQKIFQVTSNEARIATWPARSAYQAEEPTSKLSSNTAACGYRTEEVAPNASKVVEGKKEPAMFRLFGVDLMKCTSISTTTDDKSSVGAGEASAKGTGSHEDSGQLSAFSKVTKEHIAADESPQEIQSHQNYTARTRIKVQMHGNAVGRAVDLANLDGYEQLMNELEEMFNIKDLKQKWKVAFTDDEGDTMEVGDDPWLEFCQMVRKIVLYPIEDEKKIEPHPKLLSSANPEQDQKTGF >ORUFI01G09460.1 pep chromosome:OR_W1943:1:7054267:7058061:1 gene:ORUFI01G09460 transcript:ORUFI01G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITMSPSSVSSHHLDVDAASTSEDMSSLQEGLLFSDSLKDLRNLRSQLYSAAEYFEVFYRNNSQKSTVMTSLKDYTVEALVSTVDHLGFVSYKVDNLVKERSDEVNETEFRVSSVEQRVRICQQTIDQEGRSQQSLLIRAPKYHRRTDIVESAIHPVSEPPRLYASWQAIYNEERAFTISFSSWHTSSITKQEQVHQYRIPIPLHDLPRLQEGHLFIQSILDKLQCSYTLTGAITKSRRKAQARAGGSSNHCSQGVGGGTMSHCTATWTNIDFLNVTVNQLSLVHLSDI >ORUFI01G09470.1 pep chromosome:OR_W1943:1:7068603:7074519:1 gene:ORUFI01G09470 transcript:ORUFI01G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPSSSLPGAGEGGGGGIGGGGGDLWPFDSLTTSLLFSSVSASPQPLPASSSSWLTPPSPLWLFDERQLLPLDMGAPAAPATAPPAEAAAVVEEVHRTRSGNSDTTSKRVDQINSKWQFHLSIDDNTDSSCLFKERLTQALRYFKESTDQHLLVQVWAPVKSGDRYVLTTSGQPFVLDQQSIGLLQYRAVSMMYMFSVDGENAGELGLPGRVYKQKVPEWTPNVQYYSSTEYPRLNHAISYNVHGTVALPVFDPSVQNCIAVVELIMTSKKINYAGEVDKVCKALEAVNLKSTEILDHPNVQICNEGRQSALVEILEILTVVCEEHKLPLAQTWVPCKYRSVLAHGGGVKKSCLSFDGSCMGEVCMSTSDVAFHVIDAHMWGFRDACVEHHLQKGQGVSGKAFIYRRPCFSKDISQFCKLEYPLVHYARMFGLAGCFAICLQSMYTGDDDYILEFFLPPNCRNEDDQNALLESILARMKKCLRTLKVVGNGDTNEVCLQISNVLIIETEDLKTNVHFENSEGCFRESPESNGSQRVHEVDNDGNKVSIMSERHLLADDNSQNNGASVGRPNGSGASDSLHKSNKPPERRRGKAEKTISLDVLQQYFSGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKQVIESVQGSDAAFNLTSITGPLPIPVGPSSDSQNLEKASPNKVAELSNLAVEGDRDSSLQKPIENDNLAILMSQQGFIDANNNLQLEADKASHSRSSSGEGSINSRTSEASCHGSPANQTFVCKPIASTFAEPQLIPEAFTKEPFQEPALPLSRMLIEDSGSSKDLKNLFTSAVDQPFLARSSNLALMQNSGTVTIKASFKEDIVRFRFPCSGSVTALKDEVAKRLRMDVGMFDIKYLDDDHEWVKLACNADLEECMEISGSHVIRLLVSDVAAHLGSSCGSSG >ORUFI01G09480.1 pep chromosome:OR_W1943:1:7075835:7080500:-1 gene:ORUFI01G09480 transcript:ORUFI01G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAAPPPQPHPPASAHHHHHHRRRARLPRLAAASSSSSSSRFRRRFSSSSSSSSPSSAPSPSPSSSSSSSSSYGGSGGGGGGEIHYASPPPPPPAAPTGAPVYVTLPADAVGPGGGVARRRAMAASLAALAGAGVAGVAVELWWGVVERQGPGVYDWAGYLELAAMARRYGLRVRAILAFHQCGAGPHDPPWIPLPQWVLEEMDKLPDLSYMDRYQRRNKEYISLGCDILPILKGRSPMQAYSDFMRSFRDAFKEYLGAIVTEVQIGMGPGGELRYPSCPTETLSQAGISSELGEFQCYDKFMQASLSARAQLIGMQDWGNGGPAGTDGSRQNPEETSFFRADGGCWNTPYGRFFLEWYSGMLLLHGERLCMVADAVFSGSGVTIAGKVSGIHWHYYTCSHPSELTAGYYNTLLRNGYLPITQMFARYKAALCCSCFDLRDEERNNSKSSPEGTLRQLMVAAKMCNLPLNGENSVTRLDDTSLNQVIRSSRLYSGGTSGTSFSFNYVRMNKSLFEFHNWNRFTKFVRQMSDARTFLARLEFRRGQHYLSSMSVVWVVSRACA >ORUFI01G09490.1 pep chromosome:OR_W1943:1:7085801:7087368:1 gene:ORUFI01G09490 transcript:ORUFI01G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAKARWIVAVVLRVAAAGAAAVAAVLMAMSHDEVIVYGMEVQAKFRYTPSLVFFVAANAAVSACSLVVLLVPSSTSKLAARLLLMADVVLGMVLAGAFAAAGAMAELGKNGNSHAGWIAICVQVPLFCDRVRSALVAGSATIVLYYLMLMYSIYTLPMFP >ORUFI01G09500.1 pep chromosome:OR_W1943:1:7088268:7090324:-1 gene:ORUFI01G09500 transcript:ORUFI01G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASLATQPSPAACLPSLPAGGRLRLRLAPPPRAPPRLCKRAGKLRVVTIRSAHSGVSNVSVETPPDNEASVTGAAYGFRGATTSLTNEMLTSSKKITLVRHGLSTWNAESRVQGSSNLSVLTETGAKQAEKCRDALANMKFDSTAEIIWKGKEEPLIFLDSLKEAHLFFLEGMTNADAKKEYPELYTRWREDPSNFKVNGIYPVRKFRSIDVNNGGMCVFTVNKRGEAMLQALNMTAHMYSDHTYQY >ORUFI01G09500.2 pep chromosome:OR_W1943:1:7088268:7090324:-1 gene:ORUFI01G09500 transcript:ORUFI01G09500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASLATQPSPAACLPSLPAGGRLRLRLAPPPRAPPRLCKRAGVVLIAVGKLRVVTIRSAHSGVSNVSVETPPDNEASVTGAAYGFRGATTSLTNEMLTSSKKITLVRHGLSTWNAESRVQGSSNLSVLTETGAKQAEKCRDALANMKFDSTAEIIWKGKEEPLIFLDSLKEAHLFFLEGMTNADAKKEYPELYTRWREDPSNFKVNGIYPVRKFRSIDVNNGGMCVFTVNKRGEAMLQALNMTAHMYSDHTYQY >ORUFI01G09510.1 pep chromosome:OR_W1943:1:7090674:7093274:-1 gene:ORUFI01G09510 transcript:ORUFI01G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTAHLNPRLVFCASGGGAAAGERSYSEQMGRRVLNNARRTTAKAAHLRRPQDISEGNRTIQNSNASNTAGLMDYFVITTPNFVLDHEETISQNVGGQQWKKCKNLFTNPKSLSRPQGTK >ORUFI01G09520.1 pep chromosome:OR_W1943:1:7100447:7103938:-1 gene:ORUFI01G09520 transcript:ORUFI01G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRILVIGGTGRLGRHLVTASLDAGHPTAVLVRRPATAGARADSPVKAKLIEELCDNGARLVYGDVNDHDILVAAIKNADVVICAVGHTTPHKLVENQIKIMEAIRDAGNVKLAEQMLEPARSILGAKLRVREALRASGIPHTIVCGYLVHGFLLPKAGNPEADGPPVTTATISGDGKQKAMFVDEKDMSAVTIKAEEDPRTVDKILYVQPPANLCSLNQLVSVLEKKIGRDLEKCYVPEEELAIKIEAASPFPLNFQLAIVHSALLPGVASCGQTAVRVEATELYPDMEYVTVEEYIDGLI >ORUFI01G09530.1 pep chromosome:OR_W1943:1:7111797:7113713:-1 gene:ORUFI01G09530 transcript:ORUFI01G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRVMNTALRATVNAGRRRRALPYGPSPPSRSPSSTSSSTEDIRVKEIEQYIIWMLPTWQWGYVVITTPNGVLDHEEGPLLGYFH >ORUFI01G09540.1 pep chromosome:OR_W1943:1:7116058:7119902:-1 gene:ORUFI01G09540 transcript:ORUFI01G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTKTSNNGSTILVIGGTGIIGRHIVAASLDAGHPTLVLVRPTAASAAVDVDSDKAKLLASLVASGATIVYGDMNDRESLVAAIRQADVVISAVGHRGTVELDGQLKVVEAIKEAGNVKRFVPSEYGCDVEQAEEGTLEPARSIIAAKVRVREAVRAAGIPYTFVCSYWAHGFMLPRLGDPLVDRPPATVATAVEDERAANKILYVRPPANKLSLGQLVRLWEKKSGNTLQKRYVSDLQLANQLAMVHSTLVAGVCEQTINPDVGAEATELYPEMDFLTVDSYLDALLLHA >ORUFI01G09550.1 pep chromosome:OR_W1943:1:7153065:7153433:-1 gene:ORUFI01G09550 transcript:ORUFI01G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGRPGWLPSLGFAFLSFNCGMAIYRSSSDPSAVAFVVVAYLALIALFRCLHLLERAPAGGQARASMKAAVWGLSTLLTLMFSYKVAAIMPLWGAAGVWVMGLGTIVAGFYAFFVHREAP >ORUFI01G09560.1 pep chromosome:OR_W1943:1:7156568:7156846:-1 gene:ORUFI01G09560 transcript:ORUFI01G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLCDDRTTFVFWDAYHTSDATNQVIADRLYADMVSAGAVQGNGNVTTASTRAPRVIVDRAASKALKYRQQINRPLCAGTTPSPIRYDGDE >ORUFI01G09570.1 pep chromosome:OR_W1943:1:7160697:7163996:1 gene:ORUFI01G09570 transcript:ORUFI01G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGGAVPGDVLERARRHHPGPGDDVRPARRPHGPPRPRRVRHRHDPRRPPLRARPAPRPLPPLRRQGPHRHPVPARHAPQHPRPDDGGVQLPEGVHPLLAQRRRRRLPPVLRRLRRAGVLRRRHPDRLLPVPPRRARGDHVGAHEAAAVRHHEERQLPPQRAVHHGRRGPRRVRVGVGGRRGQRRRGAHGERGVRHGRRRAGAPGVRQDPRRVHRQAAARAGAEAGGVRPPQGRHHPPHRRRRGQALLRRDGVRRQRPPRPAHRRVGRPAHRRREGGEDDDGAVGSALGGHEIGAGQDRSPVQVMDYWSWVRLLGRTSERGVLPTCGFMTVSFTC >ORUFI01G09580.1 pep chromosome:OR_W1943:1:7165612:7168295:1 gene:ORUFI01G09580 transcript:ORUFI01G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAAGEKPALRKPVFTKVDQLKPVTSGHTLTVKVVSATPVPGRARPGVAAPSRPPRIAECLVGDETGAIVFTARNEQVDLLKPGATVIMRNAKIDMFKGSMRLAVDKWGRIEATEPASFTVKEDNNLSLVEYELVNVTE >ORUFI01G09590.1 pep chromosome:OR_W1943:1:7168573:7171953:1 gene:ORUFI01G09590 transcript:ORUFI01G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAGAGGEQEAPSVEAAFAGQPPPPWWQQVTVRAVAVSVVLGTLFSFMAMRTGLTAGFVPSFNMSASLLSFFIIKSWTRLMARCGVASQPFTRQENVVVQTCVISCATLSIYGGFTSYLLAMNETVAKAAGGGTDGRNVYTLHTGKIVAFLFLVTFSSLFCTLPLRNTMIVDYKLIYPSGSAVAGIVNSFHTPKGATKAKLQVNAMFKSVAGSFAWAFFQWFYTGGDGCGFHAFPLFGLEAYKEKFYFDFSASLVGVGMICPHLINFSMLLGSISSSGFIWPALQAKQGEWYTDPSPTSFKGINGYKVPMGVSMVLGDCLFQLGAITVKAVQHYRKGRQEQKLAVDGAADDGGGGCVPDDDDENKWHATYDERRRNQVFLSDGIPDQFAVAGYVALAALSTALVPRIFPQIRYHHVAVCYAVAPLLAFCNSYTSGLMDWSLATVYGKLAIFVVGASVGAASGGVIAGLAACGVMMVVIGDAAELMHDFKTAYLTLTSPVSMFASQAIGTALGCVVNPAVFLAFRWLAGAEHPPGDPRSAYAAPMAVAYRGIAVLGVEGVGTLPRHAIALCAACFAAAVFLDTAGAAARAARWRVGGWVPNPMAMAIPFFVGPTFAIDMCVGSLLLMAWRRADRQGAATLAVVVASGLICGEGLWTLPSAVLAMLKVQPPICMKFLSRSQIQEVRQHFVLGAADIQPAVTLTHHHHQ >ORUFI01G09600.1 pep chromosome:OR_W1943:1:7173623:7174403:-1 gene:ORUFI01G09600 transcript:ORUFI01G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSLLRSSASLLRAAPARSASASSSAARPSLRRALAAPPRILRSPVELSVCVESLLPLHSATAAARMTSMLAVPGQGLGWLTEGQDETR >ORUFI01G09610.1 pep chromosome:OR_W1943:1:7174564:7181557:1 gene:ORUFI01G09610 transcript:ORUFI01G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKVISRSTDEFTRERSQDLQKVFRNYDPALRSQEKAVEYTRALNAAKLEKIFARPFIGAMDGHVDAVSCMAKNPNYLKAIFSGSMDGDIRLWDIAARKTVCQFPGHQGAVRGLATSTDGDLLVSCGVDCTVRLWKVPMLKMVDTNDAIGDASQPSAVYTWKHAFWGVDHQWDGNLFATVGAQVDIWDQNRSEPINSFEWGKDTVLSVRFNPGEPDVLITSASDRSITLYDLRMSSPARKLIMKTRCNSICWNPREPMNFTAVNEDTNCYSFDARKLDEAKVVHKGHVSAVMDIDYSPTGREFVTGSYDRTVRIFQYNGDHSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSKASEQLGVLLPRERRKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAANLRRTMIEAENRKEERRRAHSAPGSMPVQPFRKRRIIKEVE >ORUFI01G09610.2 pep chromosome:OR_W1943:1:7174027:7174651:1 gene:ORUFI01G09610 transcript:ORUFI01G09610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVTSVFTFREPPEALPGNGEHGRHPRGGGGAVQRQQGLHAYTQLNRRPAQTTKPTPYQSRIPVRGASGGCRALVPYLRMRGGAASARRRDGRAAEEDADADLAGAARRREADERSSDLAAAAAIVEDSEMGFPFVFPPAVADTPRPLFRFPLPSDSPTAAAAAAWCGGEGFK >ORUFI01G09620.1 pep chromosome:OR_W1943:1:7182854:7188975:-1 gene:ORUFI01G09620 transcript:ORUFI01G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVSTLRSPKADQRAGVGGHHVVGFVPAPPSPADVADEVDAFIVDDSCLLEYIDFSCCDVPFFHADDGDILPDLEVDPTELLAEFASSPDDEPPPTTSAPGPGEPAAAAGAKEDVKEDGAAAAADYDGSPPPPRGKKKKDDEERSSSLPEEKDAKNGGSDEVLSAVTTEDSSAGAAKSCSPSAEGHSKRKPSSSSSSVAAGKNSHGKRKVKVDWTPELHRRFVQAVEQLGIDKAVPSRILELMGIECLTRHNIASHLQKYRSHRKHLMAREAEAASWTQKRQMYTAAAAAAAVAAGGGPRKDAAAATAAVAPWVMPTIGFPPPHAAAMVPPPPHPPPFCRPPLHVWGHPTAGVEPTTAAAPPPPSPHAQPPLLPVWPRHLAPPPPPLPAAWAHGHQPAPVDPAAYWQQQYNAARKWGPQAVTPGTPCMPPPLPPAAMLQRFPVPPVPGMVPHPMYRPIPPPSPPQGNKLAALQLQLDAHPSKESIDAAIGDVLVKPWLPLPLGLKPPSLDSVMSELHKQGIPKVPPAASGAAG >ORUFI01G09630.1 pep chromosome:OR_W1943:1:7192896:7204517:-1 gene:ORUFI01G09630 transcript:ORUFI01G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCDAVAASLIGESLVATQKYKEIMELERSRDAWSDVRHAKAPGRPGLERIICIAAQGRKRRMGPGVCASWSVPGHNVVCRAHALS >ORUFI01G09640.1 pep chromosome:OR_W1943:1:7210004:7218986:1 gene:ORUFI01G09640 transcript:ORUFI01G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLGVDRGAGDDDLKKAYHKLAMRWHPDKNPTNNKKEAEAKFKQISEAYEVLSDPQKRTIYDQVGEEGLKGQPPPGAGGPGASPFYPGGAHSNSFHFNPRSADDIFAEFFGFRGPFSSMGGMPSVSGGMRGDPRFPGFGNEYFSSRFGGEGSTSMHQPSHQLVKPPPIENRLPVSLADLYKGVTKKMKISREIIDFNGRVSQQEEILQIEVKPGWKRGTKITFEEKGNQAPNMKPADIVFIIEEKPHDIFTREGNDLVITEKISLVEALTGYTARIITLDARSLSVPINSVIHPDYVEVVPGEGMPNPKGPNKKGDLKIKFNIRFPSRLTSDQKAGFKRLLGS >ORUFI01G09650.1 pep chromosome:OR_W1943:1:7216320:7219921:-1 gene:ORUFI01G09650 transcript:ORUFI01G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALGTLSGGAAGVAGLLRLRRATPSPAVATPFPAAAAARCAAAAAAVVPDGGQLVWGRQLRPALLLPAAGGLLQPPTSPSSSQAGRRQALRPPAAATSGEAKPAGFLEKYPALITGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLLVGVVYCLVSWTVGLPKRAPINSTLLKLLFPVALCHALGHVTSNVSFATVAVSFAHTIKALEPFFNAAATQFVLGQQVPLPLWLSLAPVVLGVSMASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALIVCIPPAVIIEGPQLLQHGFNDAIAKVGLTKFVSDLFFVGLFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNRITTQTGIGTCIAIAGVAIYSYIKAKIEEEKRAKSA >ORUFI01G09660.1 pep chromosome:OR_W1943:1:7219985:7222806:1 gene:ORUFI01G09660 transcript:ORUFI01G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGRRAALGGGGGRDLGGGGELGADRVDLPASPCAASGRGREHVAVKVGDAARQGEARRAEREVGKGKVNREVAKWRVEGRGRGVEWADRVVAAHHGSILFRTRVPFADPDFVAPGHFPVDCFVYTAGATAASPPSLTQLPRCFIDGFSDPVEDEYYKPYQLQRQQIMLDENIGFLSYSGDGGHEFMVADIRNYHGDSLELCIFNHHASSPSPSPSPEQWRIQRLEMHHASACKIGHSKKQLSDG >ORUFI01G09670.1 pep chromosome:OR_W1943:1:7241008:7243726:1 gene:ORUFI01G09670 transcript:ORUFI01G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKLVGARCSWLSLLYVDRAIDAARMGPPLLPSTSWVILSREVYACGDGDGGGGGIPLPEGADLALELAAPPRVSKLSVSRRITPAKVSPFAKWKSFVIAIDPSAGLVLLLAPPPPGPGPGELRSFTDADGKVHTYHVTTMPTQRYFVCDIAARTAYYLPDPEGCVFNNDLSIIAAPGGGGKYLVVEFKFIVGGDKATLLCFSSETGLWEKKPVNNPLPRWIWRCFDVGSYAGKLYWVDTAAGLLFCDPFVDEXGQRELQGGWAAGEGPGARARPPQEPRRGVLLRGGPARRGRKFGKTEVLRSCERLNLLCDTCGEMDEHDERYDSSMEC >ORUFI01G09670.2 pep chromosome:OR_W1943:1:7236874:7241416:1 gene:ORUFI01G09670 transcript:ORUFI01G09670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVPLPRVELPPEHDEDCHGCDYCAERAFVSRRCVRLSDGKFRCVDIGSASDGAGATTKVSMHTLVDPGRPEAGTKVWTLEAPTNSASPPIAAANAGLHGLATAAPRLNPRLAAAGNRVEKLTMGSGSLLLSLLLVLVVVNVGVAVNQDGLSLLDARRALAAPDGALADWNARDATPCSWTGVSCDAGVGGGAVTGISLAGLNLTGSFPAALCRLPRVASIDLSDNYIGPNLSSDAVAPCKALRRLDLSMNALVGPLPDALAALPELVYLKIDSNNFSGPIPESFGRFKKLESLSLVYNLLGGEVPPFLGGVSTLRELNLSYNPFVAGPVPAELGNLSALRVLWLAGCNLIGAIPASLGRLGNLTDLDLSTNALTGSIPPEITRLTSVVQIELYNNSLTGPIPVGFGKLAELQGVDLAMNRLNGAIPDDFFEAPKLESVHLYANSLTGPVPESVAKAASLVELRLFANRLNGTLPADLGKNSPLVCVDMSDNSISGEIPPAICDRGELEELLMLDNKLSGRIPDGLGRCRRLRRVRLSNNRLDGDVPAAVWGLPHMSLLELNDNQLTGVISPVIGGAANLSKLVLSNNRLTGSIPPEIGSASKLYELSADGNMLSGPLPGSLGGLEELGRLVLRNNSLSGQLLRGINSWKKLSELNLADNGFTGAIPAELGDLPVLNYLDLSGNRLTGEVPMQLENLKLNQFNVSNNQLSGALPPQYATAAYRSSFLGNPGLCGDNAGLCANSQGGPRSRAGFAWMMRSIFIFAAVVLVAGVAWFYWRYRSFNNSKLSADRSKWSLTSFHKLSFSEYEILDCLDEDNVIGSGASGKVYKAVLSNGEVVAVKKLWGLKMGTDVENGGEGSAADNSFEAEVKTLGKIRHKNIVKLWCSCTHNDTKLLVYEYMPNGSLGDVLHSSKAGLLDWSTRYKIALDAAEGLSYLHHDCVPAIVHRDVKSNNILLDAEFGARVADFGVAKVVEATVRGPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVLLELVTGKPPVDPEFGEKDLVKWVCSTIDQKGVEHVLDSKLDMTFKDEINRVLNIALLCSSSLPINRPAMRRVVKMLQEVRAEATRPRLEKDGKLSPYYYEDTSDQGSSV >ORUFI01G09680.1 pep chromosome:OR_W1943:1:7244267:7254116:1 gene:ORUFI01G09680 transcript:ORUFI01G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHRFISRAALVHLPVAARAASVFSRANGVRGFEGVICFEIVTEHVSHERLSLSRERKTSVSPNFLPLVGVDLRAKEVLEYETHKMTVPENARVFPYGLLPMVLPPALSAARMTPPPSSPTWVFLNRKAHVCGGEGGDSEPVLLQGADDVSLELAAPPRESVLTVSPRVLLGDVSVLALDPSAGLVLPVLGDDGEDDDTMFVSCGYNLATKLA >ORUFI01G09690.1 pep chromosome:OR_W1943:1:7254636:7261422:1 gene:ORUFI01G09690 transcript:ORUFI01G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSWVILSCEPRVCGDDTVLPQGADVALSLAAPPRVAVLSVSRRVSPAEVDPCARCKSPFVLALDPSAGLVLLVAPPPPSPDDSGDLRSWTDRDGNERTFRVSLIPRPLYFVCDVAAATASHVPDPERLIFNNDLGVIAAPGGGRGSYMVVEFQFIVGGDEATLLRFSSETGLWEKKRVNNPLPRWIWRFFDVVSHAGKLWWVDTAAGLLFCDPFVDEPHMEYVPLPRVDLPPEHDGDCLGCGYCAERALASRRYVQLSDGKFRCVDMGSASDGATTKVTMHTLVDPGTKVWTLEYAVSFADIWASESYKAAGLPEKAPVLALVHPKNPDMVYFFVKDQLVGVDLRAKEVLEYETHKMTVPENARVFSYGLLPMELPPALSAGLSKEGAANNSSARMAPPSWVILSCEPRVCGGGDDPVLPQGADVALSLAAPPRVAVLSVSRRVSPAEVDPCARCKSPFVLALDPSAGLVLLVAPPPPSPDDSGDLRSWTDRDGNERTFRVSLIPRPLYFVCDVAAATASHVPDPERLIFNNDLGVIAAPGGGRGNYMVVELQTIVGDDEATLLCFSSVTGEWEEKDVANPLPSWIWTFYDIICHDGKLWWVDIAAGLLFCDPFADEPDMKYVPLEDKEDDLQSEDEDDDDGCGYCAERVLATGRIVQLIDGKFRCVEVSSPSHGAAPEVSMRTLVNPETAEWAPEYTVSFADIWASESYKATELPEKAPQLRNAFVHPMNPDVLYFFLKKHILGVDVRARKVVEYEARDSSESVLPWKLPPALSAGLSQEGAANGANDGVPSASPTSLPSDPKGA >ORUFI01G09690.2 pep chromosome:OR_W1943:1:7254636:7261422:1 gene:ORUFI01G09690 transcript:ORUFI01G09690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSWVILSCEPRVCGDDTVLPQGADVALSLAAPPRVAVLSVSRRVSPAEVDPCARCKSPFVLALDPSAGLVLLVAPPPPSPDDSGDLRSWTDRDGNERTFRVSLIPRPLYFVCDVAAATASHVPDPERLIFNNDLGVIAAPGGGRGSYMVVEFQFIVGGDEATLLRFSSETGLWEKKRVNNPLPRWIWRFFDVVSHAGKLWWVDTAAGLLFCDPFVDEPHMEYVPLPRVDLPPEHDGDCLGCGYCAERALASRRYVQLSDGKFRCVDMGSASDGATTKVTMHTLVDPGTKVWTLEYAVSFADIWASESYKAAGLPEKAPVLALVHPKNPDMVYFFVKDQLVGVDLRAKEVLEYETHKMTVPENARVFSYGLLPMELPPALSAGLSQEGAANGANDGVPSASPTSLPSDPKGA >ORUFI01G09710.1 pep chromosome:OR_W1943:1:7269296:7269679:-1 gene:ORUFI01G09710 transcript:ORUFI01G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTCASCWAFSTTGAVEGDNFLASGNLLNLSEQQLVNCDHTKTECGCGGGLLTNAYAYLMSSGRLMEHFPMAIGAFLSDHHEKEAKSF >ORUFI01G09720.1 pep chromosome:OR_W1943:1:7269721:7270068:-1 gene:ORUFI01G09720 transcript:ORUFI01G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGPLVEVKLEGIFVKLDGRPPGLLPEAQFAAFVRRHWREYSGPEEYAWRLRVFAANLTRAAAHQVLHPTARHSVTPFSDLIREEFEARFTGLAANGDDEAADAGHGGGGRPPC >ORUFI01G09730.1 pep chromosome:OR_W1943:1:7277440:7279175:1 gene:ORUFI01G09730 transcript:ORUFI01G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGHGGGGGEGTAPALELLDEYWFFSNTLGKNGRHGGGGGGGRPPMLPRSPSTVSGGGGRPGKGVEAVGTSRLFASAGRRLLRTPSLPSPRVGMEIAKEDEEVVEEAPAAAGGGGDQEADAEDDDLNWSSIYEGVLRTRIAEEGVRSALRRAPSMPVTSSATGRDDDARREDTAAAATGSTTPGMSRLRHAHSTLERHCRSHTPTTKADRTPRTSGGGDGGHRRQPPRRELRSFSANQQPLVRHQSLFHDKKWKSSSDLESIEVQGFRDLGFVFDQEELRESLADVLPGLRGKPTPTGSGSASDNDDANTATTATGSDAVAAVRRPYLSEAWYHVRRPAPRSPAAAAMRLQQADARSAAEMKDQLRMWAQAVACNVRQEC >ORUFI01G09740.1 pep chromosome:OR_W1943:1:7280500:7284242:-1 gene:ORUFI01G09740 transcript:ORUFI01G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDEPLDFEKEDPLLPKARPAKRKKVIGLDDLLEDFFASGKDDLKAYGIESKHGSKGYNSDDEDKKVKEKEIKFRKFVEEYEEQSKELDAGDDVPQWGQRVFGCQKSPSVLTVTGVENCQLLKSFCANEHLGFDLNIEQGEGFLEGLLVDGWLLKLVLLHGSVEDSIASWALTKLLYSCNKKFRVAASDFWDSVLSLNEADKLSVDIGHFPSYSVLKSAILNYGYIFDNCTEVSTSESVIADDGPPQNIIEWLKITSACCKIRNVHSIFSSSEAEELLVIVISLFLDRQLEGLLLILGDCLSSLILYFNSSEWESSCLIVAQSISQRVTMDLNCLRIVDCITGTDYRSKFLRSQLALQFLKVSFGLKVANVEKILKSVMSINVKDKDCNFFSLYVYLVLMDNLLFSSDAFRDKHAIINSWRNYLRNCSTQIGCTDWRLYASKVRNKASYLLQGAVLRRSAGGASLPVQ >ORUFI01G09750.1 pep chromosome:OR_W1943:1:7291138:7291533:1 gene:ORUFI01G09750 transcript:ORUFI01G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADEEREVRRAVEEKPVVVVGRRGCCMAHVARRLLLGQGANPAVLEVGDDADPAALVDAALQARRRKDGGDKAAAGDGGGGAAVAFPAVFIGGGVGGGVVGGLDRLMAMHMAGELVPVLKQAGALWL >ORUFI01G09760.1 pep chromosome:OR_W1943:1:7317933:7318243:1 gene:ORUFI01G09760 transcript:ORUFI01G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGLSSGGGRAAEAAAAATGPLKQQWQRDGRRCTARFVDDFVNDLWIVDDIVDGQL >ORUFI01G09770.1 pep chromosome:OR_W1943:1:7321654:7323267:1 gene:ORUFI01G09770 transcript:ORUFI01G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGADPEAEVIALSPRTLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLRQRSGKEPRKRVYVCPEKSCVHHNPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEETARLNAASGAAAAAAAATATSLCGQSYLFAGSGGPGMAGMRPNMMMPPAAAAAGGQLRAGQILGPAAGGVGGDLCDGGVARHGGLSLWGGEALPSMGHIGVLASGGAATVPPQLYVDLFAPSSGAPPPQFDAAQLSWLYGNGKLSSSNASELTSATAAAKEAESVPSVFSNQQHAKPAAPTDMSATALLQKAAQIGAVTSTAAMPLVSPFEPTKPGGATASPADECGKFDGAALFAAASHHNANLGGAMSELTAAAGNVPYDVLSAVRHHAGLKDAGGVGREETRDFLGVGVQALCSSSIHGWI >ORUFI01G09780.1 pep chromosome:OR_W1943:1:7326169:7329427:1 gene:ORUFI01G09780 transcript:ORUFI01G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVAKLGTLALRTLSKPIASRLKNQAAVHPKFRNFIICIAQINHRVTTRIQRRIYGHATDVEITPLNEEKAVQAATDLIGEGFIFSVAVAALIFEVQRSARSEARKEEARKQELEVELKQREESLSKDLEDLKLRLAEIEHLAKGRGLSGILNFKGVHGAESSKAATPA >ORUFI01G09790.1 pep chromosome:OR_W1943:1:7330074:7330920:1 gene:ORUFI01G09790 transcript:ORUFI01G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGSGGAGACAVCKHQRRKCEPNCELAAYFPANRMNDFRALHLVFGVANLTKLIKANATEAARRRAAETLTWEARWRERDPSEGCYREVSCLRRDNAALRAENAALRRQADQCACCAGAAGVRHASSPTTLQHQLLLVSAYNGATAARPGNVVPHNATVVPGGFVAAAAGVRGANGNGAMSSVRPPPHHVQAPATQTVTGFVAHAQDDRYRAVSVCPPAANAAAVPRSGAAVRGQGDYRDKISDAAR >ORUFI01G09800.1 pep chromosome:OR_W1943:1:7331691:7333648:-1 gene:ORUFI01G09800 transcript:ORUFI01G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVQRVLSASVEVEGRVVSAIGPGLLVLVGVHEADTDADADYICRKVLNMRLFPNEKTEKAWDQSVLQRKFEVLLVSQFTLYGILKGNKPDFHVAMPPAKAKPFYASLVEKFQKSYSADAVKDGIFGAMMKVSLVNDGPVTMQVDSSSLQNPAQSSNGDVGSVGDNEAQVPKETS >ORUFI01G09810.1 pep chromosome:OR_W1943:1:7335902:7341370:-1 gene:ORUFI01G09810 transcript:ORUFI01G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGELPIVYHIGIVLAALWAAGSLGFRHSVLFLLAFLYLYMVNARCAMKLRKRIQHEEMKSAYQRRLLSDAESVRWLNYAIKKMWPICMEKIVSQLLRPIIPWFLDKFKPWTVSKAGVQELYMGRNSPLFTSMRVLPETSDDDHLVLEIGMNFLSAEDMSAVLSMQLHKSVGLGMTANMHLTSMHILVGVKFVRSWPFLGRVRLCFVEPPYFQMTVKPLIGHGLDVTEFPGISGWLDKLMDTAFGQTLVEPNMLVIDVEKFVSTPSDNDWFSIEERPPVAYVKLEILEGSDMKPSDMNGLSDPYVKGRLGPFKFQTQIQKKTLSPKWFEEFKIPITSWESLNELAMEVCDKDHMFDDSLGTCTIDIHELRGGQRHDKWISLKNVKKGRIHLAITVEDISEEKGLEESSRKVDAELPISTSVNKFNADELPDEKQVLVDEVEHINIDGQEQPGGLYVHRPGTGVPKTWESRKGRARNPDTEIYKEVDKSKDAPTPKSSGQGGFFGSFFRKSLKKGSFHDIDPGIPTTPGPQSATELDPKIPQTPRPNLKEAGEKRTSIKIVVDEDAKPTRSAGDAENLTEDVAKVMEKNAGEPGRSLTSILSRKISRKKPEDKLSDIPEQTEAQASELVKEGPVPVEGKPIDGHPTTENGNGDGASGEAAETQTSAQTSQ >ORUFI01G09820.1 pep chromosome:OR_W1943:1:7344219:7344464:1 gene:ORUFI01G09820 transcript:ORUFI01G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGGVERRRPRAAILQLLPDDRWKRKHRVVLVAGKDYHALERWPVQQFRRRDAVSAGNHIGGNGAARRKCLRRHHLRGF >ORUFI01G09830.1 pep chromosome:OR_W1943:1:7349277:7352018:1 gene:ORUFI01G09830 transcript:ORUFI01G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGDEREKAKGGGGGGGGGGGGGEYGTFQGPPSYPPPRPPVVGYPQPAPPPGLYGQGDPYYRPRGGYQGIPARDYEAGARGHGHDRLPCCGIGIGWFLFIVGFFLGAIPWYVGAFLLWCSRVDYREKPGYVACAIAAVLATIAIIIGATAGAA >ORUFI01G09840.1 pep chromosome:OR_W1943:1:7353186:7355427:-1 gene:ORUFI01G09840 transcript:ORUFI01G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGGGGGGGKQVLWEFHATGPRNISNPSWRDLIRSSWTDPNYRRIAVSCFVQAAYLLELDRQEKRNGESALAPNWWKPFKYKLVRPLIDSRDGSIYGVLLEWDQLAALSDLIVLRPNGAPKVVLAIRGTVLKQSTVVRDLEDDFRFFTMESLRGSVRFTGALEALKSAIDKRGSNNVCIAGHSLGAGFALQVGKTLAQDGIFVECHLFNPPSVSLGMGLRKLQEKAGKVLKRYVSGSSSNATELSHPTEDGGAASEIGEEKLIKEVKRWVPNLYINSCDYICCFYADRSGVATVTAEKHDGHSGTHSKLFVIAKGPKKFLEAHGLQQWWSDDYELQLAVHDSKLMYRHLKSLYVNES >ORUFI01G09850.1 pep chromosome:OR_W1943:1:7358931:7370554:1 gene:ORUFI01G09850 transcript:ORUFI01G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPRRVSFRDGRLASRKAEEAALRRHQAATWLESVIGPFGLSRCPSEQEFVAAVRNGIVLCKAINKIQPGAVPKVVANASCDSQPSTAFQYFENIRNFLVAVQELKLPCFEASDLEKDNIDAGSVGKIVDCVISLKSYHEWRQRGGSYGHLKHLKSPLATRSASHVQSEYVCSGSSSTPKRLDLVETDTERQPNQNVGPNCQEAMERLQKVILDCMISCKENLDNDSLKKDPYKLVGTILSRQLEKEQFEPLLQLFSPEGVTVKNESCPPIESSNSQVENRRRLLQAQESELLELKSMFQEVKIDFRTLKTQFQDDIIKLGDNVQGLSKAALGYNQAVKENKSLYNLLQELRGNIRVFCRIRPLINSESISSIEHIGNDGSIMVCDPLKPQTTRKIFQFNKIFGPTTTQDEVYKETQSLIRSVMDGYNCGPSGGLSSQDLGISYMALNDLFKTSTSREDVKTSSNGLLNLPDAKKCPVQSPSDVINLMLLGEKHRASSPTAMNHRSSRSHSILTVHVNGKDMSGNVTRSSLHLVDLAGSERVDRSEATGDRLKEAQHINKSLSCLGDVITALAQKNSHIPYRNSKLTQLLQSSLGGNAKTLMFAHISPEADSYVETLSTLKFAQRASCVELGTAHANKESNEIRELKEQVENLKRALAAKELEKSSFKLKENTVVRERAKQVPERTPPRPRRLSLENTGIGKGSIPDRKGPKSPLSVTKLNRDHATIHDSIDGFNHHIMHQGSVMQMSATSSEDPVREETEKIITTVDTVPFCGLHPDAYISSKQSGLDTLLRTPCRSRNLNLEVGQTDEPSSSAKLEKMTSSNATKKGSHLRKSIQSSIGKLIHGSERRNVQHLGQATPAKIANSTNNDVPSSITPDLRLRRRQSLTGLPPPPSTMSRRSSLGGKSDIGSDKRGAKTPPPVNSAAKAKRWL >ORUFI01G09860.1 pep chromosome:OR_W1943:1:7367833:7373209:-1 gene:ORUFI01G09860 transcript:ORUFI01G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSSSSPWAGRMAAAFGAPFLWLVCLIYFIQVFCLDSCLVPNEGHDETVTINITNLGSAMADVVIDAMIAEAVRSAGPEFAGDLQSLSWSSMAVGGIFGSLLGGYALSNLPIHVIYIIFSALPLFQLISCIFVEESPKGFESRMDNAAPKHVEDQTIDASPGKSSSESYKYEDTRRRKGARRNNKRKSLSKRPEDHDKNKSTNLRPYLSLKSAFFSLCTAFKQPTILRPMAWFFFSNVAIPNISTVMFYYQTEVLHLEASFLGTARVIGWFSLMLGTYIYNRYFKHKKLRNILMFAHVGLAIIGVLDILLVLRLHVHYGITDKYMVLWGSALADAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNFGSTLGSFLGAALASALSISSAQFDNLALGLVLSAGVIQNRKIKGSMMKDGNRG >ORUFI01G09860.2 pep chromosome:OR_W1943:1:7368298:7373209:-1 gene:ORUFI01G09860 transcript:ORUFI01G09860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSSSSPWAGRMAAAFGAPFLWLVCLIYFIQVFCLDSCLVPNEGHDETVTINITNLGSAMADVVIDAMIAEAVRSAGPEFAGDLQSLSWSSMAVGGIFGSLLGGYALSNLPIHVIYIIFSALPLFQLISCIFVEESPKGFESRMDNAAPKHVEDQTIDASPGKSSSESYKYEDTRRRKGARRNNKRKSLSKRPEDHDKNKSTNLRPYLSLKSAFFSLCTAFKQPTILRPMAWFFFSNVAIPNISTVMFYYQTEVLHLEASFLGTARVIGWFSLMLGTYIYNRYFKHKKLRNILMFAHVGLAIIGVLDILLVLRLHVHYGITDKYMVLWGSALADAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNFGSTLGSFLGAALASALSISSAQFDNLALGLGVQLIGTLLPVGFLFLIPKEVTGLTS >ORUFI01G09870.1 pep chromosome:OR_W1943:1:7376140:7376790:-1 gene:ORUFI01G09870 transcript:ORUFI01G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVRGKAGTGAAAAAAAATRTKWRSGVQERIYGRRLLDALRATRDGAAGGGGGAPPQPRAVKAAADSALALTARGQSRWSRAILLAGAASSRRRVLVKAGGKIRRGGGGNSCRRPQARAAAAAAKAAAAASSAGEPPMLKEKKVKERLRVLGRLVPGCRKLPAPALLEETADYVAALEMQVKAMRALADALAAAQLSSSTPQQAEAAADETEMER >ORUFI01G09880.1 pep chromosome:OR_W1943:1:7396360:7396721:1 gene:ORUFI01G09880 transcript:ORUFI01G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVDRNAVSWTAAIGVLMRAGRVCRMLQCHDFCVLQQRSCPRCFYALFEGMPRKNVISWIAMISGGYDQNDVGYGKDEAHAKHLGMCQCARHIAWFADGQTAKLGYW >ORUFI01G09890.1 pep chromosome:OR_W1943:1:7396605:7398690:-1 gene:ORUFI01G09890 transcript:ORUFI01G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQGDRIMGAGVELDLPKRQLPITKLGSLAICKPSNMSGTLAHAKVLGVGFILPVAHI >ORUFI01G09900.1 pep chromosome:OR_W1943:1:7398800:7399466:-1 gene:ORUFI01G09900 transcript:ORUFI01G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFSRHAGFFAWKTGWRPRQPETLPFSDTMTASPLFLGPATDTAAADRPGGESSGPAVDFLTLSKDEERLQEEPRGAAGEDDDEDNDEIGEDIARLMALLGLSPPRRGLEGGGGDDDSGGCDCSGGEGFLAKVVGVVGPKCDKEKRRVDGWVEHYFSGGECREPARLAHLLLAKAAASSSSSSWEGEGHRGASPFAFPATVKEFLDRDAPPRCTEE >ORUFI01G09910.1 pep chromosome:OR_W1943:1:7400319:7405061:-1 gene:ORUFI01G09910 transcript:ORUFI01G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLGIAGASKASKWQSHASRVQMPHKKPELFILLKTRRTCKAWYWCWCLLQSKMARGAQPSASLLLFSLGLVLLYFSSGSTIRLAEGQKTWCVAKPSADDKVLTANLNYACSQVNCGVIQQGGPCFNPNNLVSHAAVAMNLYYAAHGRNAWNCYFQNSALVVQSDPSYGSCTYY >ORUFI01G09910.2 pep chromosome:OR_W1943:1:7400319:7405061:-1 gene:ORUFI01G09910 transcript:ORUFI01G09910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLGIAGASKASKWQSHPSALDPSTHLSLLGLHSKAWYWCWCLLQSKMARGAQPSASLLLFSLGLVLLYFSSGSTIRLAEGQKTWCVAKPSADDKVLTANLNYACSQVNCGVIQQGGPCFNPNNLVSHAAVAMNLYYAAHGRNAWNCYFQNSALVVQSDPSYGSCTYY >ORUFI01G09920.1 pep chromosome:OR_W1943:1:7405924:7428708:-1 gene:ORUFI01G09920 transcript:ORUFI01G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVDEQISAGKQAKHKHWWTRNRKWMVMACVPHVVRGDRPRGFDCGIKLKIPPRATRLAVHRSIAPRRKTIDDHPYFVASTDHHGRLLLCASQGPEPEPPVLDAFYRGPLGVHHGFPMAYFICDTCTRRCTRLPDRRLPILHPGNVCLVDTASGGFIVTDLHPTPGDQQAILFMYNSASGVWKDRVVNYPPRDRPWGGNGVVVHQMMIWWVDLSYGLLADLAFDQLRRVVPGIHHDLRFIPLPDGCELPPGTADLDKCRCVGLRRDDLRYVQIHKRDGDPAVSIWTLDQLAGTWSFDCEASFKAIWNDEGYRATKLPPEVPTVALIHPEHPGEVAYFFLHSRVFGVDLRACSVLECEFFAMLSPPMRYHSSRFVRAWRLPRWKGQWVTEDGSELWMVLACVPHVVQDGYFKPGFDNGFKFRLPPGATRLVVHRSIAPRRKTIDDHPYVAGGDCHGRLLLCATQGPEPEPPVLDGFYHRRPLGDGDHRHGLLPKAYFICDTRTHKSTRLPDPGLPILHPGNACLASISRDAYLVADLHPTVVGADHATLLLYSSASGAWSNLELNYPPRDRPWGGNGVVVWPKEIWWVDLSYGFLALDLSVAHRELRFVPLPVGRELPPGTGGRDLEKSRCVGLNFGELRYVEIDERDGVDPIVSMWTLLDEDAGTWSFDCEASFKAIWADEGYKATKLPPEIPTVALIHPEHPGDVAYFFLHSRLFGVHLGQCRVLEWQFFEMLHPPMAYHSSRFVRLWKNIPAFRLLLLRLCTTSTSTPPHGITTSAAVAAAAAAAAYAYAEPLGLTRAPFSSSASSPAETMASASPPPSTWVILGSIPRVCGADEKGAGGGIPAGADLSLALKAPPRVSLLTIPSRIFPSATTSDNFPSVMAADPSGLLLLHADQGRAKGPTVIDRPGRQEFMWRQFVPGYFVLDAATATAVALPDPELVMHMGHMGLLASPDGGGRYVVAELQPILHADHATLLCFSSDVGEWVEKEVAYPFPPRQLAPNGAVSHSGRLWFVDLSWCLITCDPFAPAPALRFVPLPPGKELRCREAWGVLDKYRCVRVSAGKLRFVDMYKATAPHQRGPHKISVWTLADPDSEEWTLEHEASFAEIWADDSYKATGLPDKIPVLALIHPENPDVVYFFLEEHLFGVDVRARKVVGSEVYELVAPPSEVLATRFVRAWELPPALSSARMSSPPPATLSTAAADVSHPAPDAPAGSTVLAAPPCVSLDPAAPAHQQPADTVSAPPPPSSTWVILGSIPRVSAAADGELPVGADLSVALAAPPRVAILTISPDVFPEPPTPRFFPFVLAADTSGLLLLQANLGIPMSREVVDRPHRQGLRWRDAASRYFVLNATTASAFHLPDPEEPILHQALLGLIASPRGDGHYMVAELQPLIGCDKATLLCFSSEVGEWVEKSVRYPLPPRPLAPICVFSHHGRLWWVDLTWGVITSDPFADEPVLGFIPFPAGKVLQCREAWGVADKYRYVGVSAGKLRFVDTYTAPRRGVPPKVSVWTLADPDSTEWTLEHEARFDDIWADESYKATGLSKKIPVLALIHPENPNVVYFFLEEHLFGVDVRDRKVVECEAYELVAPPSCFIANRFTRAWTLPRALSSGISNWSNAINLAEKAKSRPSRRAAKKSSRMMGSPGDYHLVVSNELNYLSYGVGVGHWEMTSTLMLACSSNTPNSY >ORUFI01G09930.1 pep chromosome:OR_W1943:1:7433518:7435599:-1 gene:ORUFI01G09930 transcript:ORUFI01G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLYPPCSDEYRLLCAGNVGMIRRTADRDHPIRLLAELQIESGNGIHRATLLRYSHELGGWASTKVNYPPGRRSWCGDGVIVHAGMLCGVAAGRRARHRCGVQRGGSAARGAATALRGGGVVRRRRGGAAVPCRGGVVASRLWESGVSVVVAPTPAPTVFSSVDGLHQQEVLHFLALSSVKKIGDMNWYEHIDDTSIESDMNLFMSTPCTYI >ORUFI01G09940.1 pep chromosome:OR_W1943:1:7437415:7447175:-1 gene:ORUFI01G09940 transcript:ORUFI01G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREISLASTAAVEEPAAAPARVRRRRQLHLFSVAAEVHEEVLHFLALSSVKKIGDMNWNHDTSHDTEKNHDTSLVSHDPSKNHDTSHVSHDLPKVSSVKPDNTIHDTEEDHDTSQDRDTSQVSHDSTNVSSMKPVGTMIAAKILTVLMTGPSQHVVLPLVSSSLMQAFSAKPCIVLIARSYPCKTRFRGCFIGKQKSRRTARVPLERSEESRAAIPRRFHWDVEELADDARAGGRKRGITRFLGGFAMMRRSRRTVGRRVGRKSVRRRSGGWRRVTRRQRAVEAVVAEAACLEDPAVLMAEKSTDALLDDILLEILQRLADDLRSVVRASALSRRWRHLPHMLPDIRLHARDFTQPDIGSGGRHNNAAGYTVDQTMAAYTATARWLLEPTITTPQRVTKKSIDLAFFLRDPYLHSIGRAVGHAIDGGGGTEELDLTVEADIAVADLTDEHKVSLGRRFWSFFQACPVVFRWLTRLTVDDCLSGPDDIPTLVNTCGRLRFLELRHSDVVDDAVLEIDAPRSQLVCLKLHHCNFRRVDLIRVPKLRRVRFGYVPCLDNIAFGSECLFWHEPFVLSQWLSGARSLSILYLNFRGQKLCRHTCEACECEYGAEKTNVTRKTSDFKHHNLSLLEMKGFEVKKRVMQYLGLSQCTELIGFNKMKKKKKAKSSQDSRCIGASAPTVLTRHRGGADEAVAAGGCVAEALEPRDLAVAVVVELDEASRRRRSLPCSGPPPPSPSPPPSRPRPFTAASNRSPLQGLTRTTAEATRSAALAGEMPSSAANTATDKLRRRWTETDVGGGLGRRCSRSPWWRRRGEARVRRRGRRGGGGEVNGEEAARSRRRRRGAWEGEEERAVRLREREGSRERKGR >ORUFI01G09950.1 pep chromosome:OR_W1943:1:7448243:7480259:-1 gene:ORUFI01G09950 transcript:ORUFI01G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSPHLFDGAAPKFRRDERMAAPPREATWVILACVPSVSSSDGDFEAGDHLDFDWRDPPGVSLLTLRQSDSVFVSPAPRDFCPDRDDHPYVVAYRLLYAGNVGMIRRTAADGDHPIRLLAELQIESGNGIHRATLLRYSQELGLGGWASTKVNYPPGRRSWCGDGVIVHAGMLWWNLTDELDLNFSRDKADELLSTTGRLFINPRFQELRNATAFPKYLSFVIVKATDAYEASCLMRDFVSHVSMDGSNIVPRVSGESIVNYPPGRRSWCGDGVIVHAGMLWWVDLSFGLLTCDAFAAKPDMRFVPLPEGCKLPYSSDADHAKHRCVNVSDGELAFVQIHDYDTAAGRGAPSTIMISMWTLQQSDAGEESVWSLRHRVRVDEIWDHVTYRKTMMPRRVPVLALLHPKELGVVFFFQITSRNSWMFAVDLVTRIVLECKKYKMPQLPTMYHSSRHNLTDELDLNFSSDKADELLSTTGRLFINPRFQELRNATAFPKYLSFVIVKATDAYEALCLMRNFVSHVSMDGSNIVPRVSAETGHRRGGRHRQAPRCIKASAGNKMRYVQIHGPPDEQVVTIWTLDDRVHARWRREYEVPFVETWDGKRYKRSEMERKEERAAEEMQMREAAKGWWVILVSVPHVAHDEFHFPPGTELRLNFGAPPFASRITVPRRIAPDRKAIDNYPYLAAADERHGRLLLYATQGPDPEPRPALDAFYLRPLGVHHGFAKAYFICDTTTREASRLPDPDHPFAILYPGNVGLLCYSISFYVAELQPAPASGTATLLLYRSDSDAWVDEELSYPPHDRPWGGNGMVSHQDRLWWVDLSYGLLTCDVVYGDDPPDLHYVPLPQDSELPAGTPDLEKRRCVGVSAGRLRYVQIDDEPDGDPIVRMWTLLDEDAGEWGFDCAASFVAIWDDEAYKATKLPRQVPAVALIHPTGPGDVVYFFLRSRIFAVDVRARRLLEWRFFEMLHPPMRYHSSQFVPMSEAPPMEKVDTAVKDKRWQKKDGRKWVLLACVPHVVRGDYFEAGMDNVIKAALAPRATRLVVHRSIAPRRKTIDDHPFVAGADCHGRLLLYASQGPEPEPPVLDGFYRGPLGEHHGFPKAYFICDTLKHKSTRLPDHGFPILHPGNAGLVAITKTIFHVADLHPIVGSDKAALLIYFSVPEIWATHVVDYPPRDRPWGGNGVVVHKTIVWWVDLSYGLLSCDISARRQNLRFVPLPPDCELPPGTPDLDKRRCVGFRGDDLRYMGIHEREYDGKPVVSMWTLVDQDAGTWRLDCQPLVKDIWNDEGYKATKLPREIPTVAFIHPELPGNVAYFFMRSRLFGVNLYTRKVLEWQFFAMLNPPMRYHSSRFVRAWACPNSGSTFYMPHMEHDVFSFVILRKAKDSLGCKLYSKRSSFKEKFHAVLNHTTTFEEFESAWSALIDEYSLQENTFLQKIFDDRKHWASPYFRELFFAKMSTTQRSESMNHVLKTYVSATSTMHNFVLQYDKFINDRIAAEDKAEFETNRVYMSSSYIIEKIEDDGTYVLVHADSEARESWTMASSPTSRERDMAAAVVVEEEVGDAQPRQEWIMLAAVPDVRRSSRMFPPGTDFVLALKKPPHVSHVTVAARIAPGLPATPTRFPYVVAVDAGGAFLLCVTQRAREPPLATGADASVGRARRRREFARVPAYFLCDAHTGVASRVPDPPVGGPLSDFHRVGLISRPCGGGGGGGGGGGGEGVAYAIAELVPMLGTDHATLRLYWSATGLWLSKEVKYAGLGHPDSWANDAVISHAQKLWWVDLSCGLLACDPFTEHQDLLFVPLPDGCVPPVAGTENDLIKHRCVTSSGGKLRYIQIHSRLGVPIISVWVLADPEHATWDCECHLPFSEIWSRRWVSRITRKKSLMVAAAHPVHTGMLFFVHGPRQEPIHSGCESKKDD >ORUFI01G09960.1 pep chromosome:OR_W1943:1:7471661:7472548:1 gene:ORUFI01G09960 transcript:ORUFI01G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVVPCPSAAAYGPGGCDGVGCSSSHNVWAHRVRHNGGVYRLCSSCVLLGHRDAFCSVCLDVFPGDAPFQDDFYNPIVSCSCCGVEPVAAAHLACLTDPSYFVCPACAAAAEGRTFTYAPSSGAPHALAERVLLVAARLAHESVARAAAAAREQAERLIREAAAARKRARDMVDVACRVLEAEARDAKEQAAAPPSPVLTKKTTPKNSAANRSSDKPLKINSIQKPALAFAAAAAAAAAAASSTPLSTPSPAGERKPMKQGRVLIAV >ORUFI01G09970.1 pep chromosome:OR_W1943:1:7480800:7481628:-1 gene:ORUFI01G09970 transcript:ORUFI01G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDAEDSDGERVRLFVGQVPCSMAEEEILAVEAVTASCTAKDNAVPSGGRWLGPAGTDAAVTDGAQRKHAAIGRRAAEAPPPSPLRPPPASSLNKGRGKREKRREGEEKNVQLACGSHITAKIDTSVRFGESSSINSFAIYIGAE >ORUFI01G09980.1 pep chromosome:OR_W1943:1:7488238:7496295:-1 gene:ORUFI01G09980 transcript:ORUFI01G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKTLQGTQQQYAASGFPTQQYPTSGWTQSAAEILQLDNMDQDTSVVRNIIHRKIVEYLNERKEFCNFDLSFLMEIGKCIDRHLFEKADSKIKYMDLETLRTRLNAIVNSASFRGSMFHWSASAASSKLNSQQLPVMEVPIYHDRVTPGPNNLPSCAYNVSSTQGYNQYENCMGAANFAHSLADKPKQMPERLANTIFTSCASTLPKCSPSIDVLHTGHIKEHFSGDAYQNDSSQPSTSGSSSSLSAVWDQTTCSSAMRTLPMDSFSTVNGQNLSTNNKSLYPTTGQGPLLQQYIECEMKQETWSRSLEQSDQSNITTGNHDLYHAQIHPYINGEHKRDRCIQMKEKLGHTSDHEGFSREKSSNLSNHFMHHQQGFMTNYGACSPVSKTVDRAEQTSNSTVSKPTSPASDGSSGKHYPAKRLKVDVPHLVHVNEMEASKEQQPAANETYASAETVQSEVTNSPTKSPCCTSLGDNIACTDNVHGMDMVRLSGSAVQTEEEFRRENSDIEMKDAKVDLLDQTLSGDSLRARKRRGASVLYALTSEELKDHLCTLNHDTSQSKVPTEELLSVEGLPDQNTCNLCGMERLLFEPPPRFCALCFKIINSTGSYYVEVENGNDKSSICGRCHHLSSAKAKYQKRFSYAETDAEAEWWVQCDKCKAWQHQICALFNPKIVDPEAEYTCAKCFLKEKDNEDVDSLEPSTILGARELPRTRLSDHIEQRLSERLVQERQQRAIASGKSVDEVPGVEGLTVRVVSSADRTLQVQPRFKDFFKKEQYPGEFPYKSKAILLFQKNEGVDVCLFAIPEIKSASGEALRTFVYHEILNLVKKAVKEGVVVERNTLYDFFLQPTNECKTNISAAWLPYCDNDFWPGEAERLLEKKDDDTSQKKETQLGRLLRVAKRDDRKGNLEDILLVHKQFCKHCHHPIVSGSSWVCTSCKNFFLCERCYAEELNTPLKDRHPATTKQKHAFERIEEEPLPETDDVDPTMESKYFDSRIDFLKHCQDNQYQFDTLRRAKHSTMMILYHLHDSTCSSCHRAMDQCLAWRCLVCLGCNFCDSCYKQDGESLHIHKLRQKKDHHVLQKYTLQDYLEGLVHASRCFDRSCTSKLCLTLKKLFFHGVRCHTRARGGGGCHMCVFMWKLLFTHSLLCDNADCSAPRCRDIKAYIADRSMTDLSISG >ORUFI01G09980.2 pep chromosome:OR_W1943:1:7488238:7496295:-1 gene:ORUFI01G09980 transcript:ORUFI01G09980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKTLQGTQQQYAASGFPTQQYPTSGWTQSAAEILQLDNMDQDTSVVRNIIHRKIVEYLNERKEFCNFDLSFLMEIGKCIDRHLFEKADSKIKYMDLETLRTRLNAIVNSASFRGSMFHWSASAASSKLNSQQLPVMEVPIYHDRVTPGPNNLPSCAYNVSSTQGYNQYENCMGAANFAHSLADKPKQMPERLANTIFTSCASTLPKCSPSIDVLHTGHIKEHFSGDAYQNDSSQPSTSGSSSSLSAVWDQTTCSSAMRTLPMDSFSTVNGQNLSTNNKSLYPTTGQGPLLQQYIECEMKQETWSRSLEQSDQSNITTGNHDLYHAQIHPYINGEHKRDRCIQMKEKLGHTSDHEGFSREKSSNLSNHFMHHQQGFMTNYGACSPVSKTVDRAEQTSNSTVSKPTSPASDGSSGKHYPAKRLKVDVPHLVHVNEMEASKEQQPAANETYASAETVQSEVTNSPTKSPCCTSLGDNIACTDNVHGMDMVRLSGSAVQTEEEFRRENSDIEMKDAKVDLLDQTLSGDSLRARKRRGASVLYALTSEELKDHLCTLNHDTSQSKVPTEELLSVEGLPDQNTCNLCGMERLLFEPPPRFCALCFKIINSTGSYYVEVENGNDKSSICGRCHHLSSAKAKYQKRFSYAETDAEAEWWVQCDKCKAWQHQICALFNPKIVDPEAEYTCAKCFLKEKDNEDVDSLEPSTILGARELPRTRLSDHIEQRLSERLVQERQQRAIASGKSVDEVPGVEGLTVRVVSSADRTLQVQPRFKDFFKKEQYPGEFPYKSKAILLFQKNEGVDVCLFAMYVQEYGSACPSPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILNLVKKAVKEGVVVERNTLYDFFLQPTNECKTNISAAWLPYCDNDFWPGEAERLLEKKDDDTSQKKETQLGRLLRVAKRDDRKGNLEDILLVHKQFCKHCHHPIVSGSSWVCTSCKNFFLCERCYAEELNTPLKDRHPATTKQKHAFERIEEEPLPETDDVDPTMESKYFDSRIDFLKHCQDNQYQFDTLRRAKHSTMMILYHLHDSTCSSCHRAMDQCLAWRCLVCLGCNFCDSCYKQDGESLHIHKLRQKKDHHVLQKYTLQDYLEGLVHASRCFDRSCTSKLCLTLKKLFFHGVRCHTRARGGGGCHMCVFMWKLLFTHSLLCDNADCSAPRCRDIKAYIADRSMTDLSISG >ORUFI01G09990.1 pep chromosome:OR_W1943:1:7498150:7498526:-1 gene:ORUFI01G09990 transcript:ORUFI01G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLCVAWIFQLTWELGHKSDGETVQWLLQQAEPAIVAATGTGTIPDGHISVLAAQSLSQFYHQVGSADAAGQLPHPHHHHQHHQQQQEDGEDERYDGESDEESGQ >ORUFI01G10000.1 pep chromosome:OR_W1943:1:7499209:7500408:1 gene:ORUFI01G10000 transcript:ORUFI01G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAFGAAVAYPASGAPAALALAFVLGYGALLFRLPFSVYALEFLRQEFPIARTPLSVQACVAVTPVALSVAVLAVLNQARAGGGGDVALATCVVWAADVAAVLSLAWCLTHGGALAMALTRRKQYEESGKALERMMKGYNPKDPAAVLFALDLGEIRDASVRLAAAVSAACAVAGGVAVGGGGGGMSYTGLSYAAAFFALPMLCLSYFQKTCAYPVDMPKHLAAYDRPHLKVIRYACVRFVAAVSAASAIAGGLVVGGVSWIGLSYAAVFFALPMCLLYFREKYGFSMSDMPSLLKWCNVSVPMAALALLFRLVTAARQAAAPDVRLVAIAGTVWAVDAAAIGFLGWRSTREMAKPILRANASEIFTSFVMVCLRYWLYLHVFYILGNGSQRWFNSL >ORUFI01G10010.1 pep chromosome:OR_W1943:1:7500783:7501277:-1 gene:ORUFI01G10010 transcript:ORUFI01G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKTKERGGHRRSLHLLPRIQQLELHAHLEFDFIWSIGGGQMLAALPLAPNQVVGHAHEKAHGTQGRRLGLGCDLGGRGGCPHTRACLSVPCAREGEARDKEDIWVRIGRGEVVRSGGRQAMALRRRRLGKVRRSDRGIGRNHDHEAKGSRTWMMDETEYDE >ORUFI01G10020.1 pep chromosome:OR_W1943:1:7502239:7503482:1 gene:ORUFI01G10020 transcript:ORUFI01G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALSSSFAVAAAAAGGAPWRGVVSAGRAAPRRRVALVVRAQSEPEVEPTKEETATSSSSPSPATTPTPSPAAAAPKAKPAASTKLWDVLAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLDQAGSWSGLAWFAATAAVLSAASLVPLLRGETAEARSGGVMSADAELWNGRFAMLGLVALAFTEFLTGSPLVNV >ORUFI01G10030.1 pep chromosome:OR_W1943:1:7505462:7509275:-1 gene:ORUFI01G10030 transcript:ORUFI01G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAQPQQQPQQQQEQQPVAAAAVPTPAPPASEAQPQKPTRVSLSYEEISKLFSLPIAEAASILGVCTSVLKRICRSHGIVRWPYRKLVSGKSGDDTKNAEREKAKGLLEISKVAKQKALSASGLSTVSPGAFQGVAKSQQGSSKAGQVSPPGKQNVLGGSAILSYGTQTKGIPTYMDDFKYGFPSSGLSLQTMKWWGTDSHTETTPAKDDNGEAPESANEASKGMTDDELDWGADEAEAEADADSAITTEPSAQLCSLRRKAVDDGRKLLTGKSCGGLELCRLNKRQKMALAQVFGASLPEQLRSKLG >ORUFI01G10030.2 pep chromosome:OR_W1943:1:7505462:7509275:-1 gene:ORUFI01G10030 transcript:ORUFI01G10030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAQPQQQPQQQQEQQPVAAAAVPTPAPPASEAQPQKPTRVSLSYEEISKLFSLPIAEAASILGVCTSVLKRICRSHGIVRWPYRKLVSGKSGDDTKNAEREKAKGLLEISKVAKQKALSASGLSTVSLHQAPYDDLLSLLKRFAAFVLTLKSGHIDRSPGAFQGVAKSQQGSSKAGQVSPPGKQNVLGGSAILSYGTQTKGIPTYMDDFKYGFPSSGLSLQTMKWWGTDSHTETTPAKDDNGEAPESANEASKGMTDDELDWGADEAEAEADADSAITTEPSAQLCSLRRKAVDDGRKLLTGKSCGGLELCRLNKRQKMALAQVFGASLPEQLRSKLG >ORUFI01G10040.1 pep chromosome:OR_W1943:1:7511527:7512213:-1 gene:ORUFI01G10040 transcript:ORUFI01G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTANDAAAAAPGKLRRPSRAAAAALCPCKGRGAPKPPPVAVIAHECPSAMRALVVEVPAGRDVVSCVAAVARRARRGALVLGASGRVADVVLREPAAVVLRGTMEILGLAGCFFPSPPPHAAAEGAPGGGGASAAVFLAGPRGGVLGGGVAPGGLVAAGPVVVVLATFVAAAFDRLPLLKGEETANSEGCDVHGVTRRRRCGAQPPPPQQQRCGWALCRKLGAKS >ORUFI01G10050.1 pep chromosome:OR_W1943:1:7524249:7527015:1 gene:ORUFI01G10050 transcript:ORUFI01G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGHLGGGGGGGGGLLALDASPRPLGFLNLLSPPPFHRSTMEADDSGGGGGRARRSVEVDFFSDEKKNMKKSRVSGGAAAEADDAKGPAAAGLAIKKEDLTINLLPAGNNARSDRSMVVDDDAASRLDHEEKSRSSNELAAMQAELGRMNEENQRLRGMLTQVTTSYQALQMHLVTLMQQRPQMMQPPTQPEPPPPHQDGKAEGAVVPRQFLDLGPSSGAGGEAAEEPSNSSTEAGSPRRSSSTGNKDQERGDSPDAPSTAAAWLPGRAMAPQMGAAGAAGKSHDQQAQDANMRKARVSVRARSEAPIIADGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRSILITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMPSADGAAGLMSSNFLARTVLPCSSSMATISASAPFPTVTLDLTHAPPGAPNAVPLNAARPGAPAPQFQVPLPGGGMAPAFAVPPQVLYNQSKFSGLQIRRRRPRRRSPCRSCCSAAAAAITADPNFTVALAAAITSIIGGQHAAAAGNSNANNTNTNTTSNTNNTSSNNTTSNNTNSETQ >ORUFI01G10050.2 pep chromosome:OR_W1943:1:7524249:7527015:1 gene:ORUFI01G10050 transcript:ORUFI01G10050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGHLGGGGGGGGGLLALDASPRPLGFLNLLSPPPFHRSTMEADDSGGGGGRARRSVEVDFFSDEKKNMKKSRVSGGAAAEADDAKGPAAAGLAIKKEDLTINLLPAGNNARSDRSMVVDDDAASRLDHEEKSRSSNELAAMQAELGRMNEENQRLRGMLTQVTTSYQALQMHLVTLMQQRPQMMQPPTQPEPPPPHQDGKAEGAVVPRQFLDLGPSSGAGGEAAEEPSNSSTEAGSPRRSSSTGNKDQERGDSPDAPSTAAAWLPGRAMAPQMGAAGAAGKSHDQQAQDANMRKARVSVRARSEAPIIADGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRSILITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMPSADGAAGLMSSNFLARTVLPCSSSMATISASAPFPTVTLDLTHAPPGAPNAVPLNAARPGAPAPQFQVPLPGGGMAPARRRRPRRRSPCRSCCSAAAAAITADPNFTVALAAAITSIIGGQHAAAAGNSNANNTNTNTTSNTNNTSSNNTTSNNTNSETQ >ORUFI01G10050.3 pep chromosome:OR_W1943:1:7525254:7527015:1 gene:ORUFI01G10050 transcript:ORUFI01G10050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLTRADPDRWSWMDRSIFTLSRLAAMQAELGRMNEENQRLRGMLTQVTTSYQALQMHLVTLMQQRPQMMQPPTQPEPPPPHQDGKAEGAVVPRQFLDLGPSSGAGGEAAEEPSNSSTEAGSPRRSSSTGNKDQERGDSPDAPSTAAAWLPGRAMAPQMGAAGAAGKSHDQQAQDANMRKARVSVRARSEAPIIADGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRSILITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMPSADGAAGLMSSNFLARTVLPCSSSMATISASAPFPTVTLDLTHAPPGAPNAVPLNAARPGAPAPQFQVPLPGGGMAPAFAVPPQVLYNQSKFSGLQIRRRRPRRRSPCRSCCSAAAAAITADPNFTVALAAAITSIIGGQHAAAAGNSNANNTNTNTTSNTNNTSSNNTTSNNTNSETQ >ORUFI01G10050.4 pep chromosome:OR_W1943:1:7524249:7527015:1 gene:ORUFI01G10050 transcript:ORUFI01G10050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGHLGGGGGGGGGLLALDASPRPLGFLNLLSPPPFHRSTMEADDSGGGGGRARRSVEVDFFSDEKKNMKKSRVSGGAAAEADDAKGPAAAGLAIKKEDLTINLLPAGNNARSDRSMVVDDDAASRLDHEEKSRSSNELAAMQAELGRMNEENQRLRGMLTQVTTSYQALQMHLVTLMQQRPQMMQPPTQPEPPPPHQDGKAEGAVVPRQFLDLGPSSGAGGEAAEEPSNSSTEAGSPRRSSSTGNKDQERGDSPDAPSTAAAWCRCQAEGWRRRSPCRRSRRRRPRRRSPCRSCCSAAAAAITADPNFTVALAAAITSIIGGQHAAAAGNSNANNTNTNTTSNTNNTSSNNTTSNNTNSETQ >ORUFI01G10050.5 pep chromosome:OR_W1943:1:7524249:7527413:1 gene:ORUFI01G10050 transcript:ORUFI01G10050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQMGAAGAAGKSHDQQAQDANMRKARVSVRARSEAPIIADGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRSILITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMPSADGAAGLMSSNFLARTVLPCSSSMATISASAPFPTVTLDLTHAPPGAPNAVPLNAARPGAPAPQFQVPLPGGGMAPAFAVPPQVLYNQSKFSGLQMSSDSAEAAAAAAPAAVALAGAAVARRRRRSPLTPTSRWRSRRRSRPS >ORUFI01G10060.1 pep chromosome:OR_W1943:1:7554088:7556102:-1 gene:ORUFI01G10060 transcript:ORUFI01G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALYITICSILFIVSKMLISFLLYKKWARKKRIIENSLTGGKMVMFRSAAMQSLSPKSFLTMIMGLSNKDIIGSGGYGTVYRLRVGEKAAFAVKKLSRGSAEMDRGFERELDTMGDIKHRNIVPLCGYYAAPHFNLLIYELMPNGSLDTILHGKEETRRALGWAARHKIAAGVARGLAYLHHDCIPHVIHRDIKSSNILLDHNMEARVSDFGLATLMKPNHSHVTTVVAGTFGYLAPEYFETGRATTKGDVYSYGVVLLELLTGKRPTDESFLENGTRLVTWVKETMEEKREEHAVDSALESSFPAEEVKLVFKVADKCLESEPCNRPTMAEVVKMLEHAKNTTA >ORUFI01G10070.1 pep chromosome:OR_W1943:1:7558826:7559190:1 gene:ORUFI01G10070 transcript:ORUFI01G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTVAKSSEEVPPARGLPLYLHPPFPRGPERRGEGAGLGCGGRAAHDDSSAPDVVFGRCASSMDRHPPLAISPWRLRPRSLLAV >ORUFI01G10080.1 pep chromosome:OR_W1943:1:7562293:7564620:-1 gene:ORUFI01G10080 transcript:ORUFI01G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSRSLKPFAASSIAVCGVAVAAPTKPAATPVVARFGMLTRFHAGYFRISLALSGQALLWRTLSDASTDPRALGPVVRSLPSAAFVLLWSLALLTLVALCALYAARCLLRFPAVRAEFRHHVAMNYLFAPWISWLLLLQAAPPLLHLRPDARPYRALWWAFSLPILALDVKVYGQWFTRGRKFLSMVANPASHITVIGNLVTARAAARMGWHEGAVAMFAVGAAHYLVLFVTLYQRFLGSDSLPAMLRPVFFLFFAAPSMASLAWDAISASFDTCCKMLFFLSLFLFASLVSRPTLFKRAMRRFSVAWWAYSFPLTVLALAAAEYAQEVREVAASVLMLALAILSVAVTLALMVFTVLRTNDLLPHDDPFSCPPLAR >ORUFI01G10090.1 pep chromosome:OR_W1943:1:7570521:7572210:1 gene:ORUFI01G10090 transcript:ORUFI01G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAYGMDENQCRWILRRYLEYWWHRYSSALEAELLAIRDGIILAYQWTLRPCIVETDCLEAVHLLQMKGKILSDQVFLVREVCDLMTGDREILLRKVHHSVNSGSHFLANKARIPVPYATAINERTKHMHAKAKGGKDLQIADRADVEREAISSRCAEHPRCPAGGGHRDRGADPWCVCLPVPLPMQVAQKCQNPKKQADDAGDGGHR >ORUFI01G10100.1 pep chromosome:OR_W1943:1:7576620:7577885:-1 gene:ORUFI01G10100 transcript:ORUFI01G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLKPVALLLLILNLCMYAILAIIGGWAVNISIDRGFILDSGLRLPAHFHPIFFPIGNWATGFFVVFSLLAGVVGIASGLVGFSHIRHWNYYSLQPAATTGLLAWALTVLAMGLACQEISLDRRNAKLGTMEAFTIVLTATQLFYVLAIHSGSRGPVPVERPHAVAGGY >ORUFI01G10110.1 pep chromosome:OR_W1943:1:7591672:7592699:-1 gene:ORUFI01G10110 transcript:ORUFI01G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLKPVALLLLILNLCMYAILAIIGGWAVNISIDRGFILGPGMRLPAHFHPIFFPIGNWATGFFVVFSLLAGVVGIASGLVGFSHIRHWNYYSLQPAATTGLLAWALTVLAMGLACQEISLDRRNAKLNDL >ORUFI01G10120.1 pep chromosome:OR_W1943:1:7598886:7599293:-1 gene:ORUFI01G10120 transcript:ORUFI01G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAVLLMALALTLAAAGTGTGAELETAAIRRRQSRFLASAKNSPPLSYYDCKRKPPSVCLEPGSPGATCCKGACVDTGSSFAHCGSCNHVCKYGETCCGGHCVDLLSDRKNCGDCFVRCPSKKCSFGLCDYAG >ORUFI01G10130.1 pep chromosome:OR_W1943:1:7605434:7607290:1 gene:ORUFI01G10130 transcript:ORUFI01G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEEASGGGDKYRSFMYGEGEKDTVWRLGSPPNYDVVNKLFEEERTKEWPEGSLEEKVQRLLKTWEMELVHKVRPEDQKSVHSQKFCSSTNGMRFLNRKDLMAIGSYNAFLQTKLPPEHRIYDPDKETLESGMATFLTAFPRGFAIEVLDVYSGPPRIVFKFRHWGHMEGPFMEHPPHGERVEFFGICIFHVDEEMKVEKAEFFYERGNFLASFLSAPAAAAAEAASGSGCPVMGGN >ORUFI01G10140.1 pep chromosome:OR_W1943:1:7610554:7616244:1 gene:ORUFI01G10140 transcript:ORUFI01G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIVVANPVVEMDGDEMTRVIWKWIKDKLIFPFLDLDIKYYDLGLPNRDATGDKVTIESAEATLKYNVAIKCATITPVLDTQFKFDFGRIIHEPTDEGRVKEFNLSAMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWIKPICIGRHAFGDQYRATDTVIKGPGKLKEEQIELDVFNFTGAGGVALSMYNTDESIWAFAEASMNMAYQKRWPLYLSTKNTILKKYDGRFKDIFQENYETKWRAKFDDAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLIAQGFGSLGLMTSVLVCPDGRTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTTGLGHRAKLDDNKRLLDFVQKLEAACVGTVESGKMTKDLALLVHGPNVSRDKYLNTVEFIDAVAEDLRTRLSE >ORUFI01G10140.2 pep chromosome:OR_W1943:1:7610554:7616244:1 gene:ORUFI01G10140 transcript:ORUFI01G10140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIVVANPVVEMDGDEMTRVIWKWIKDKLIFPFLDLDIKYYDLGLPNRDATGDKVTIESAEATLKYNVAIKCATITPDEGRVKEFNLSAMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWIKPICIGRHAFGDQYRATDTVIKGPGKLKEEQIELDVFNFTGAGGVALSMYNTDESIWAFAEASMNMAYQKRWPLYLSTKNTILKKYDGRFKDIFQENYETKWRAKFDDAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLIAQGFGSLGLMTSVLVCPDGRTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTTGLGHRAKLDDNKRLLDFVQKLEAACVGTVESGKMTKDLALLVHGPNVSRDKYLNTVEFIDAVAEDLRTRLSE >ORUFI01G10150.1 pep chromosome:OR_W1943:1:7613313:7617824:-1 gene:ORUFI01G10150 transcript:ORUFI01G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEASGGRDKYRSFMYGEGEKDTVWRLGSPPNYDVVNKLFEEERTKEWPEGSLEEKVQRLLKTWEMELIHKVRPEDQKSVHSQKFCASTNGESYSLRSACTKLPPEHRIYDPDKETLESGMATFLTAFPRGFAIEVLDVYSGPPRIVFKFRHWGYMEGPFKEHPPHGKRVEFFGICIFHVDEEMKVEKTEYFYERGNFLASFLSTPAAAAAAAEAASGSGCPILVSDKKWRILLKEEGQELLGGWCEAIRIKLNQVRPHVLPA >ORUFI01G10150.2 pep chromosome:OR_W1943:1:7613365:7617824:-1 gene:ORUFI01G10150 transcript:ORUFI01G10150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEASGGRDKYRSFMYGEGEKDTVWRLGSPPNYDVVNKLFEEERTKEWPEGSLEEKVQRLLKTWEMELIHKVRPEDQKSVHSQKFCASTNEVAHFAKGGRPGAAGRMV >ORUFI01G10160.1 pep chromosome:OR_W1943:1:7618748:7623365:-1 gene:ORUFI01G10160 transcript:ORUFI01G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSDPHLSIFSPSEVEFVAEDEIVEIVPNIRMEALNMICGDFGPFFPQIASKVPLWLAVALKKRGKCTIRTPDWMTVDRLTQVLDAERESPKEFQPLPFHYIEISKLLFDHARDDISDAYLVRSLIEDIRDVRFHKVETGLETISGRTHAVKLKNLSAMEVNIVRPFMVRTLQAFYKHDSPQMIQQADNTGSRPTPVTDRGPRRDLRRSLVSSRLGKNWYLLTVNL >ORUFI01G10160.2 pep chromosome:OR_W1943:1:7618750:7621836:-1 gene:ORUFI01G10160 transcript:ORUFI01G10160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSDPHLSIFSPSEVEFVAEDEIVEIVPNIRMEALNMICGDFGPFFPQIASKVPLWLAVALKKRGKCTIRTPDWMTVDRLTQVLDAERESPKEFQPLPFHYIEISKLLFDHARDDISDAYLVRSLIEDIRDVRFHKVETGLETISGRTHAVKLKNLSAMEVNIVRPFMVRTLQAFYKHDSPQMIQQADNTGSRPTPVTDRGPRRDLRRSLVSSRLGKNWYLLTVNL >ORUFI01G10170.1 pep chromosome:OR_W1943:1:7629805:7630245:-1 gene:ORUFI01G10170 transcript:ORUFI01G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKPPEKMIARNVRHTAAASPFLPLDMSLDVVEEDGLGVEVPSNATSRGRKGDVVAVCVRANGEAAAVIRCEWVTEDGNCNIRNNRPKSSASTGKKSKGLLHMQNYNSTPSTSLFLWMIIPFYVSMTINLLGGSIIKSEPLNKNR >ORUFI01G10180.1 pep chromosome:OR_W1943:1:7630743:7631873:1 gene:ORUFI01G10180 transcript:ORUFI01G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLAQSTAVAKVAASGCLRRSPNPSVTFQRSPSLLLSPAACRRRCRRGCSVSVDVRCSLGAMVTPELNGGDVGVGVGGGSFDFQRYLSARADAVHDALDRAMPRGFPERLCESMRYSVLAGGKRVRPVLALAACELVGGDAAAATPVACAVEMIHTMSLIHDDMPCMDDDALRRGRPSNHVAFGEFTALLAGDALHALAFEHVARGCGDHGVPADRTLRAVAELGSASGTGGVAAGQVADKESEGLPVSLAMLEYIHVHKTARLLEAAAVSGAIVGGGADAEVERVRRYARCVGLLFQVVDDVLDMTSTSEQLGKTAGKDVEADKATYPKLLGVDKAREYAADLLAMAEAELDGFDAERAAPLRHLARFIAYRQH >ORUFI01G10190.1 pep chromosome:OR_W1943:1:7633132:7633770:1 gene:ORUFI01G10190 transcript:ORUFI01G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGALRGKLHGCALRLRGDSLPVVVSVLAVAALCATALSRVVVFFLPLVASTSLCCAAAYLLVAASEPDQEGAAGAAAAKEVVLVRGDRAEVGVLQVFDGANATVYAAAADADAMRVGCFLHYRPRGAGGGGGWTKRGVDEDGEEVVFAGRLAAVCGGDGDDVEEELAALRVDRLAEGVWDSYFGGWSRWNYVTDGHYYDEDATIFLDS >ORUFI01G10200.1 pep chromosome:OR_W1943:1:7635800:7636676:-1 gene:ORUFI01G10200 transcript:ORUFI01G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARMLVLLASLCALLLTASAAKWTPAFATFYGGSDASGTMGGACGYGDLYGAGYGTRTAALSTALFNGGASCGACFTIACDTRKTQWCKPGTSITVTATNFCPPNYALSGDAGGWCNPPRRHFDMSQPAWETIAVYRAGIVPVNYRRVPCQRSGGIRFAVNGHSYFELVLVTNVGGSGAVAQMWIKGSGTGWMAMSRNWGANWQSNARLDGQALSFRVQADDGRVVTAADVAPAGWSFGATYTSSAQFY >ORUFI01G10210.1 pep chromosome:OR_W1943:1:7641686:7642517:-1 gene:ORUFI01G10210 transcript:ORUFI01G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLLVVLFLSLCCASRLRGEAAQQWTSATATFYGGSDASGTMGGSCGYGNMYSAGYGTNTTALSSALYGDGASCGACYLVTCDASATRWCKNGTSVTVTATNYCPPNYSESGDAGGGGNPPRQPLAAGIVPVRYARTPCRRVGGIRFGIAGHDYYELVLVTNVAGSGAVAAAWVKGSGTEWLSMSRNWGENWQSNAYLTGQALSFRVQADDGGVVTAYDVAPANWQFGSTYQSDVNFSY >ORUFI01G10220.1 pep chromosome:OR_W1943:1:7643823:7646469:-1 gene:ORUFI01G10220 transcript:ORUFI01G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGSSWSSLLLGAVAVALAVAAAPSLAGDPDYLQDICVADLNSEVKVNGFPCKANATADDFFSGVLASPGAAANTTTGAVVTGANVEKVPGLNTLGVSLARIDYAPGGLNPPHTHPRATEVVFVLYGELDVGFVTTANKLLSRTISQGDVFVFPRGLVHFQRNTGGNPAAVVSAFNSQLPGTQSIAATLFAASPAVPDAVLAKAFQIDDEEVDKIKAKFAPKKT >ORUFI01G10230.1 pep chromosome:OR_W1943:1:7652537:7654916:-1 gene:ORUFI01G10230 transcript:ORUFI01G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREYDFIKFIYFFKKILHKGNLSVQSLRDPSPVSPSSLRLVSTPLLSSPREFAHRLRRSPSPPPSCCFRRITSRRNLPSRSRYCLLRSSPCAAAKTNRGEGRAAISIWRAEQSSGGEGILAVRSGARAATRPARELSPPLFLVIMSDMKDTNAAGPETVGNPDPMDQTEDNSMPSAQEQELAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKSGQQGAAKPKGPLEALRPKLQPTRQQQQRSRRLINTSSENEDGDGAGAEDMNIN >ORUFI01G10230.2 pep chromosome:OR_W1943:1:7652537:7654916:-1 gene:ORUFI01G10230 transcript:ORUFI01G10230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREYDFIKFIYFFKKILHKGNLSVQSLRDPSPVSPSSLRLVSTPLLSSPREFAHRLRRSPSPPPSCCFRRITSRRNLPSRSRYCLLRSSPCAAAKTNRGEGRAAISIWRAEQSSGGEGILDTNAAGPETVGNPDPMDQTEDNSMPSAQEQELAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKSGQQGAAKPKGPLEALRPKLQPTRQQQQRSRRLINTSSENEDGDGAGAEDMNIN >ORUFI01G10230.3 pep chromosome:OR_W1943:1:7652537:7654916:-1 gene:ORUFI01G10230 transcript:ORUFI01G10230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREYDFIKFIYFFKKILHKGNLSVQSLRDPSPVSPSSLRLVSTPLLSSPREFAHRLRRSPSPPPSCCFRRITSRIMSDMKDTNAAGPETVGNPDPMDQTEDNSMPSAQEQELAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKSGQQGAAKPKGPLEALRPKLQPTRQQQQRSRRLINTSSENEDGDGAGAEDMNIN >ORUFI01G10240.1 pep chromosome:OR_W1943:1:7657433:7658545:1 gene:ORUFI01G10240 transcript:ORUFI01G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGCSGAATALLDLVAVRGTIQLVWRNLHKSSVGSCRSGRKHCCLSCRAVADGYVGFAEATVYGARGVGEPFVVRAQGISAIRPCDAEESAAHALISIIKKECSVEFDDTNWFDMNCYHVETERLKRALGRARKKCNTLAKKARLLEIGWDRALDSLGSVNQICDDICSSVVGGPDADDLSHREVGVLYDVHRLGEYAESFVDEGLANLTSVAARYI >ORUFI01G10250.1 pep chromosome:OR_W1943:1:7659109:7670747:-1 gene:ORUFI01G10250 transcript:ORUFI01G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQFSCELQLASLPHIASSFRGREGGIFAFVIYCSLRNDMEPSRFVRICPRKLPHDAIGIMTAIGPVQTVSCGVVMKAVLNVHITNGSLHGNPQAVRAIKPNFGQKEAVHVKVSDICDLNPHEALGNSYIVKIAIKDLAPAEAWWYIACSTCKRGAGREGNTYKCSRCITNAIETRVAIVGVDPSDLANHDAKTAEFTFFGEIGYQLIGIPILNLVASVQGARGIVPSEIKTVFGKQYVIRISVSRGSLQRTRISYQVDSLMLASPDAAHTGTLPSHDASVASSQHGSSPADAIEPHTIIGSSLQSMTPSTPLVLPDPKVLAMAQPNKKRKSSAIDEDLAQEGSSPREHDCQKASVVRALFVDKLPPQPPKWL >ORUFI01G10250.2 pep chromosome:OR_W1943:1:7659606:7670747:-1 gene:ORUFI01G10250 transcript:ORUFI01G10250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQFSCELQLASLPHIASSFRGREGGIFAFVIYCSLRNDMEPSRFVRICPRKLPHDAIGIMTAIGPVQTVSCGVVMKAVLNVHITNGSLHGNPQAVRAIKPNFGQKEAVHVKVSDICDLNPHEALGNSYIVKIAIKDLAPAEAWWYIACSTCKRGAGREGNTYKCSRCITNAIETRVAIVGVDPSDLANHDAKTAEFTFFGEIGYQLIGIPILNLVASVQGARGIVPSEIKTVFGKQYVIRISVSRGSLQRTRISYQVDSLMLASPDAAHTGTLPSHDASVASSQHGSSPADAIEPHTIIGSSLQSMTPSTPLVLPDPKVLAMAQPNKKRKSSAIDEDLAQEGSSPREHDCQKASVVRALFVDKLPPQPPKCM >ORUFI01G10250.3 pep chromosome:OR_W1943:1:7659606:7670747:-1 gene:ORUFI01G10250 transcript:ORUFI01G10250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQFSCELQLASLPHIASSFRGREGGIFAFVIYCSLSLHGNPQAVRAIKPNFGQKEAVHVKVSDICDLNPHEALGNSYIVKIAIKDLAPAEAWWYIACSTCKRGAGREGNTYKCSRCITNAIETRVAIVGVDPSDLANHDAKTAEFTFFGEIGYQLIGIPILNLVASVQGARGIVPSEIKTVFGKQYVIRISVSRGSLQRTRISYQVDSLMLASPDAAHTGTLPSHDASVASSQHGSSPADAIEPHTIIGSSLQSMTPSTPLVLPDPKVLAMAQPNKKRKSSAIDEDLAQEGSSPREHDCQKASVVRALFVDKLPPQPPKCM >ORUFI01G10260.1 pep chromosome:OR_W1943:1:7680517:7682832:1 gene:ORUFI01G10260 transcript:ORUFI01G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDEEKCTLTVLGPVDPVKIVHRLKKKCFAAAVVSVEDDKPKEPDPPAPEKKKEDDDDPCQCQCKEAECACVKD >ORUFI01G10270.1 pep chromosome:OR_W1943:1:7683519:7685093:1 gene:ORUFI01G10270 transcript:ORUFI01G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTVIKADLIGRACKSEILAIVATIKGIKSMDIDAEKCTLTVVGIVDPVQIVRKLRKKCFSACIVSVEDEKPKEEKDPCKEAKEKLEKAWKEYCEKCNVKLKPGCEPTPCEPCFPPSSPCSFPPISCHNRNICPPPCPPPCPKPCPPPCPPWGYGYGCYYEERCPGGECVIQ >ORUFI01G10280.1 pep chromosome:OR_W1943:1:7686115:7687272:-1 gene:ORUFI01G10280 transcript:ORUFI01G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADELAAASHSSSSPADEDDEEGTDSDGSNPDHAAAPHAPPEPTAAIPPPPPPQPQPGAGAEDSRRLFQRLWTDEEELLILRGFLDFTARRGTTFASHQYDTGPFYDEIRRRLSFDFTKSQLVEKLRRLKKKYRLCAARMASSPHAAAAGFAFRTPHEGAIYDLARHIWPPALKRDGTASDDDDINPAAAAATAAVTTPVAMEDGFGGSAPTPTPTPRGRGGRRVRRRMAQEQEAAALPSAPALTSTDGAHQEPLVAAMENTLPQIAQLPPVSETEPMPVIANGANEEAVRSVLSRLLKEFITSFAVVGQTGPGMGLNMGFGGAGLNADIAGLGFGIAGLNPGVPGADRWRQHQILELEVYLKRIELVREQVTAALDELRSSEG >ORUFI01G10290.1 pep chromosome:OR_W1943:1:7689293:7690683:-1 gene:ORUFI01G10290 transcript:ORUFI01G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPLGQAQRRQGQRYGLIEKIGSLGWPRAHAHTGGGRDPVAAVARTSAASRGRRQRVSGKHDPFVSHFSKMKRSTTLKIILPSPVQSSESTPTTETDESTPTLETAGTSASNNRQNNVALAPNVVVADTGLRTPIEHLDVNIRDAARREYCWVGPSDGRDAARREYCWVGPSGGLSSIQGYLDEEDISGENELSVENS >ORUFI01G10300.1 pep chromosome:OR_W1943:1:7694715:7695479:1 gene:ORUFI01G10300 transcript:ORUFI01G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAAPPLAEEDMKLMRIGRTIFRSQDFSGTCRRDSYCIRCGVAFCSHCCRYHHRHAAVSRWYDPLLRVDLHGGGRPVLPTRTADGRHALPRGVAACMAAQDYTSRLPRDAFCLPCGASFRADLCPHHGAHADAATGEPLADAVLRGIEEHGGGGRHCVRCTGSEWWADLMGVVLGDPVLTGVDEEGAYYELLPVLKATESKCLRCGDDMEGKLRIGFYCSLDCFREDQRTIEERRQRRVAMHAARHSGNN >ORUFI01G10310.1 pep chromosome:OR_W1943:1:7698834:7702117:1 gene:ORUFI01G10310 transcript:ORUFI01G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYRKETIDRKKPHKKAWQAGSLLPPPSSPHFAGPIAETKAQNQVTLDLFGTSCSCAFRFARTRGAAIAQKQKRVTRERGGAQTPSRHRPRPVADDVLSLGRPSPLAHRARRAPTALGKLPRRVVLIRFNRLPEEPGGVEIGNP >ORUFI01G10310.2 pep chromosome:OR_W1943:1:7699003:7702117:1 gene:ORUFI01G10310 transcript:ORUFI01G10310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALGWSEGEVMRPESKPCSRLMRQTAGVFSVGGALSFWVLCRLHYGPRITVPRSLRWASCGAISVSSASALLVRLFSPECEPQNIAAYDKLGHKTG >ORUFI01G10310.3 pep chromosome:OR_W1943:1:7701212:7702117:1 gene:ORUFI01G10310 transcript:ORUFI01G10310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALGWSEGEVMRPESKPCSRLMRQTAGVFSVGGALSFWVLCRLHYGPRITVPRSLRWASCGAISVSSASALLVRLFSPECEPQNIAAYDKLGHKTG >ORUFI01G10320.1 pep chromosome:OR_W1943:1:7702901:7703356:1 gene:ORUFI01G10320 transcript:ORUFI01G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNLALCCARGRGAAAGAYCCRPPGDQAVPPLRVDAAAADDGGDWCCYEELPVSTPPHVPRGLARGDEDDDHDHDDDDGLELTTRGAPGVRDDADDQQLVSPAAAAGGVGFVAKSWIASVYERLSRTFSVLPVTSSPPCRGASGPTHEFI >ORUFI01G10330.1 pep chromosome:OR_W1943:1:7704873:7713431:1 gene:ORUFI01G10330 transcript:ORUFI01G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSIELARRLCLQPPARRTGTGRCRPRGRLGAPSLRVPRPPLSLSLSLWSSLRCRALDSTRPVAVEGGLGDEEDEDEEGESYFALTSSRLSRVDYLGESTKGDLNVRREHLDAFGGNGKSTLHGPIEEIAWQEAREAESLLRELGIADPFSVRHSPRGIFCTRTLNLRSISVIGYDMDYTLIHYNVMAWEGRAYDYGMANLKGMGFPVDDLEFDPDLVIRGLIMDKDKGNLVKADRFGYIKRAMHGTQMLSTSAVSEIYGRELVDLRKESRWEFLNTLFSVSEAVMFMQMVDKLDQGLVPAELGPLDYKGLYNAVSRALFRAHVEGQLKSEIMAEPERFVEPDPELPLALLDQKEAGKRLLLITNSDYHYTNKMMNHAFNRFLPNDMAWRDLFEMVIVSARKPEFFQISHPLYEVVTNDGLMRPCFKANSGGLYSGGSAQMVEKSLDIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEDEFDALIRSHVQKEQLITLIQQKEVVGDLFNQLRLALQRRTNSRPAQTLAATCMDDQELTESMQKLLIVMQRLDEKIAPMLESDGELFNKRKIIF >ORUFI01G10340.1 pep chromosome:OR_W1943:1:7713868:7718524:1 gene:ORUFI01G10340 transcript:ORUFI01G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMRWMADGDGRWELDVETPATMEGAARPVPGDPLPLGLSRGPRVTRTKQLDFLHRFMASPLVPSFSASGAGLSVHHAHLVHLAHNLSFTILEQLHVQKLVAVVKEKLSNRQEVSWSNDIKRHLHDVMSLGVGTELLITPDTTLLLELYNLKKGDRGKAIFRHKANYLDTFYAIWYLVMKLPHQNITLEASWPGLFIDKNGVYWDVPLSLSADLASVGSESGLSYHVLLQQNSGEPKCFGGGEETSDVPVALLPGLCAKAAVSIKKSIDVWRKKEDKLKNVQPYDVFLSEPHVSFTGIIGAVASGSFGDCSKRMSMQNEILKSNAFKYFDERNKFAAFADLFASVNFTAQHGNFQRLLLDLTRLAQDFFFSRRPDLETFCDVCPDVIVSLQQQIVGPFSFRVESTVTIDPKKQDHFVRVDDSVFAIDWALKVLGSAKATAWYSPKHQEAMVELRFFET >ORUFI01G10350.1 pep chromosome:OR_W1943:1:7720742:7725816:1 gene:ORUFI01G10350 transcript:ORUFI01G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSRGLLARLRHLSIAGPRLPPCCRPFSAEPLVSHPDDDDAAAGGGGGGGEGSGGGRIIEARAGVMGPDSRRTGVIGVKCGMSAMWDKWGAKVPITVLWVDDNVVCQVKTAEKEGFFALQLGAGQKKEKHLTKPEVGHFRAQGVPLKRKLREFPVTEDALIPLGTTITVRHFVPGQFVDVTGITKGKGFAGGMKRHGFSGMPASHGASLSHRSIGSTGQRDAPGRVFKNRKMPGRMGGVQRTVKNVWVYQIDPARNLLYLKGQVPGPQGSFVFVKDSIYKKPDIAKLPFPTYFSQEGESEELLVADLGDIDPFMVAD >ORUFI01G10360.1 pep chromosome:OR_W1943:1:7727053:7732130:1 gene:ORUFI01G10360 transcript:ORUFI01G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALDWTPPLPASLLTTTPRRLLEPSSTFPLPLLRPSPPPPPPISPPPPPPPAAAELPAADMDDACAVCAEPLEWVAYGACAHREVCSTCVARLRFVLRDLRCCLCITPCPAVFVTKAMGDRTKVIPDFSALRGAGGEGKAGEYWHHEATQTWFDDADQYRMISAMCRLSCSVCDSNKEEEEERTGKAAKAKRKSKIRSVDQLKGHLLDRHGLYMCDLCLEGRKVFICEQKLYTMSQLNQHIKSGDSEVDGSEVERRGFGGHPMQHSGQYDYFRNYDDLEMHFQRDHFLCEDKGCLEKKFVRHNGVEHGKHMPGAVDSSSSSMQNGIAAVGHGLGGQSDSSRVPLQSLSISSSSGQSSETRQSFARNRVLQQACVPPLSRQEVHDARVGSVLQEASFPSLPAQSRKAPAHSQSSRTAARIGDQQFRPLSVTSNRNVALAQQGTRTLPENTHVSGLAQYSKRTENMHQAVQPQFLKNNSLIPSGSTSRPVHVPSSAGNERQDTFSNSQVLSSVEDILAANKALVEKMRAALGMDQDMFNAFKEIAGEYRQGVINSSEYLSYVKQFGLLHLVPEMARLLPDAQKQKELADAYYANLRLTSLQENGGGGTDNSKQGNQNKKGKGGVPDAIGTSNAATDPLKDKLLNTAIKFQSNYMPQEGCCGVQRKEGRTTDGSSQGLPLKGAWQSRGGQRLFMSKAKK >ORUFI01G10360.2 pep chromosome:OR_W1943:1:7727053:7732130:1 gene:ORUFI01G10360 transcript:ORUFI01G10360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVDSSSSSMQNGIAAVGHGLGGQSDSSRVPLQSLSISSSSGQSSETRQSFARNRVLQQACVPPLSRQEVHDARVGSVLQEASFPSLPAQSRKAPAHSQSSRTAARIGDQQFRPLSVTSNRNVALAQQGTRTLPENTHVSGLAQYSKRTENMHQAVQPQFLKNNSLIPSGSTSRPVHVPSSAGNERQDTFSNSQVLSSVEDILAANKALVEKMRAALGMDQDMFNAFKEIAGEYRQGVINSSEYLSYVKQFGLLHLVPEMARLLPDAQKQKELADAYYANLRLTSLQENGGGGTDNSKQGNQNKKGKGGVPDAIGTSNAATDPLKDKLLNTAIKFQSNYMPQEGCCGVQRKEGRTTDGSSQGLPLKGAWQSRGGQRLFMSKAKK >ORUFI01G10370.1 pep chromosome:OR_W1943:1:7735598:7735965:-1 gene:ORUFI01G10370 transcript:ORUFI01G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSKMMAVAAVLVLAVVGAAEARNIKAAAAAAAESKDTVVQPTTFPPFDRFGSAVPAFGGMPGSSIPGFSLPGSSGSTPGGLGGFGSMPMFGGLGGGSPGLGGGMPGSPAAADKQAKKP >ORUFI01G10380.1 pep chromosome:OR_W1943:1:7741795:7744203:1 gene:ORUFI01G10380 transcript:ORUFI01G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDAAAGGGGGGGIAAGPAPALLCFDLKPFLAALTVLTLITAAWQLRSYSSVLPSPFPVCADPAALSPPRALAVRTVASSGNASVSSDPGGPPASLPEVGNKKPAAAAAAADPNRREFRAVGSAAALFVQMGAYRGGPYTFAVIGLASKPTHVYGKPWFRCEWVPNANGSAAAAAARPMRAANTYHMLPDWGYGRVYTVVVVNCTFARVPNADNAGGKLVLNAYYGASPARYERIVAMEEAPGAYDAAEFRPPHRYDYLYCGSSLYGNLSAARVREWMAYHARFFGLRSHFVFHDAGGVSPAVRAALEPWVRAGRATLQDVRAQAEYDGWYYNQFLVVNDCLHRYRHAARWTFFFDVDEYIFLPDGRSLEAVLAELEPYTQFTIEQNPMSSRLCARNPDEPETDYSNEWGFEKLVFRNSITGVRRDRKYAIQAKNAYATGVHMSENVIGNTTHKTEHLIRYYHYHNTINVIGEVCREFVPIPPNGGLIWSEKTPWYYDDSMKRIADTVREFERKTIGDVRV >ORUFI01G10390.1 pep chromosome:OR_W1943:1:7746926:7747414:1 gene:ORUFI01G10390 transcript:ORUFI01G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGEGRWAAARGRAGGRRAGRWRGWGRGGRRRPWPEASGGGRGTADGGARWELERCREEDGEEEQERRKREEAAAEEEAVPATKSVGATRGDDRRRIRTGDGHRTSPLPSDPHGCAIHLAGRGEGRGGVGEKRRRRPFPLVGSGRGSSCGGGGNCGGGGA >ORUFI01G10400.1 pep chromosome:OR_W1943:1:7769342:7773956:1 gene:ORUFI01G10400 transcript:ORUFI01G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKLPDQMHDLKIRDDKEVEATIINGKGTETGHIIVTTTGGRNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPLIYVKLYMYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVQSLSISVVILSAIVQYQVFHKRLPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGIPSDIMAKLIPEHVKKQCSYAGV >ORUFI01G10400.2 pep chromosome:OR_W1943:1:7769342:7773956:1 gene:ORUFI01G10400 transcript:ORUFI01G10400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKLPDQMHDLKIRDDKEVEATIINGKGTETGHIIVTTTGGRNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPLIYVKLYMYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRLPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGIPSDIMAKLIPEHVKKQCSYAGV >ORUFI01G10400.3 pep chromosome:OR_W1943:1:7769383:7773956:1 gene:ORUFI01G10400 transcript:ORUFI01G10400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGVAPSGLKNSSSTSMGAEKLPDQMHDLKIRDDKEVEATIINGKGTETGHIIVTTTGGRNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPLIYVKLYMYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVQSLSISVVILSAIVQYQVFHKRLPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGIPSDIMAKLIPEHVKKQCSYAGV >ORUFI01G10400.4 pep chromosome:OR_W1943:1:7769383:7773956:1 gene:ORUFI01G10400 transcript:ORUFI01G10400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGVAPSGLKNSSSTSMGAEKLPDQMHDLKIRDDKEVEATIINGKGTETGHIIVTTTGGRNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPLIYVKLYMYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRLPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGIPSDIMAKLIPEHVKKQCSYAGV >ORUFI01G10400.5 pep chromosome:OR_W1943:1:7769605:7773956:1 gene:ORUFI01G10400 transcript:ORUFI01G10400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGVAPSGLKNSSSTSMGAEKLPDQMHDLKIRDDKEVEATIINGKGTETGHIIVTTTGGRNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPLIYVKLYMYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRLPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGIPSDIMAKLIPEHVKKQCSYAGV >ORUFI01G10410.1 pep chromosome:OR_W1943:1:7774147:7778473:1 gene:ORUFI01G10410 transcript:ORUFI01G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYYCDYCEKQFQDTPAARKRHLDGAQHHRARALWYDAVRRQELHGGGGGAPPLLHQPGAAAIGVCQHFVRTGTCKFGDSCRYFHPKPPPANPGPAPSGPVSGPMAQQSNIQGSQPNFVGYQAADGSSFSGNILGGHTSWGNLPPSLRPPPEGGYPPFPFVDWG >ORUFI01G10410.2 pep chromosome:OR_W1943:1:7774147:7779173:1 gene:ORUFI01G10410 transcript:ORUFI01G10410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYYCDYCEKQFQDTPAARKRHLDGAQHHRARALWYDAVRRQELHGGGGGAPPLLHQPGAAAIGVCQHFVRTGTCKFGDSCRYFHPKPPPANPGPAPSGPVSGPMAQQSNIQGSQPNFVGYQAADGSSFSGNILGGHTSWGNLPPSLRPPPEGGYPPFPFIVCIQAAWRSTPGHRRHETSHRSSSTSLSEKKPHRRGIAGCFEQDLSASSAASLAPLTSCLSSTSTPSSKQQREESHWLLLARQLPMAVWNDAQGGATYSELLSCLFRGFR >ORUFI01G10410.3 pep chromosome:OR_W1943:1:7774147:7778473:1 gene:ORUFI01G10410 transcript:ORUFI01G10410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYYCDYCEKQFQDTPAARKRHLDGAQHHRARALWYDAVRRQELHGGGGGAPPLLHQPGAAAIGVCQHFVRTGTCKFGDSCRYFHPKPPPANPGPAPSGNILGGHTSWGNLPPSLRPPPEGGYPPFPFVDWG >ORUFI01G10410.4 pep chromosome:OR_W1943:1:7774147:7779173:1 gene:ORUFI01G10410 transcript:ORUFI01G10410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYYCDYCEKQFQDTPAARKRHLDGAQHHRARALWYDAVRRQELHGGGGGAPPLLHQPGAAAIGVCQHFVRTGTCKFGDSCRYFHPKPPPANPGPAPSGNILGGHTSWGNLPPSLRPPPEGGYPPFPFIVCIQAAWRSTPGHRRHETSHRSSSTSLSEKKPHRRGIAGCFEQDLSASSAASLAPLTSCLSSTSTPSSKQQREESHWLLLARQLPMAVWNDAQGGATYSELLSCLFRGFR >ORUFI01G10420.1 pep chromosome:OR_W1943:1:7782833:7783401:1 gene:ORUFI01G10420 transcript:ORUFI01G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSSAALAPPTVGERWTRSPGASAWHNMSDEELL >ORUFI01G10430.1 pep chromosome:OR_W1943:1:7783409:7785747:1 gene:ORUFI01G10430 transcript:ORUFI01G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVRRFGARHNDERRNGTSKTVPELSTVGSKSTVGRCTALQRVALVKPHRITHPMRAKLAVPVPSPAPPRPPSVTFRCLRPRTPPTRAPATPARALGNGGGGGGGGSPLGRAWPGVAAALFGAGFVLGPLLDGIHSRVGLQLYHNGAVDVGPLHTHILVPPLLGAFYSTVGMLQLFLDERVSPPAAAAGGSKATGSPQKTAASLVFLAVFIEASAEMYRAGVPSNVEAYVLFAGAELAWLLLDGTWLGFAVACLVGTACPLAEIPLIKLFDCWSYPNADVQLLGEGIVSWTTTCYFVYTPFLANLARWVKAELAVDDAAR >ORUFI01G10440.1 pep chromosome:OR_W1943:1:7787539:7790440:1 gene:ORUFI01G10440 transcript:ORUFI01G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNLSSSYSSLFEPLLALSSLLLRRLSAAVRHHRGRNTPPASSDVPPPPPPSSSPLELDRLYGRTVVVDVDAWLLLPAVDAFTFFMVVAVEAGGFLRGLLLLLVYPLLCLLLGDGDGGGGARARAMATVALVGLEEREVARVGRAVMPRFLMAAAAAEGAEAVRAARRSVAVSATLPRVMVEAFLREHVGVDAVVGPELRSVAGVVAGIMDDADAARVAARRLRALLGDEMDQGEADGAGAAVGLVGEGRSGGTVHYLFSRYYCKEKSKWRPLPRRREYPRPLVFHDGRLAFPPTPSAALAMYAYLPFGVALAVSRIIALSLLPYGRATFLVGALTGVHYRLVGAGHDAAGGGGGGRLYVCNHRTLLDPIVVAAALGKPVTAVTYSLSRVSEMIAPIRTARLTRDREEDRRSMAALLARGDLVVCPEGTTCREGYLLRFSPLFAELGADVNPVALDARVDMFHGTSTTPAAKWMDPFYFMMNPKPSYRVEFLPRAAPAPAAEDGGDSIRVANRVQRQIGEALGFELTGMTRKDKYMMLAGNEGVVAAAAAAAATIKASR >ORUFI01G10450.1 pep chromosome:OR_W1943:1:7794012:7794639:1 gene:ORUFI01G10450 transcript:ORUFI01G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWAEITLKPWGQHGELGTGKTRVEVDATSAKRSSCTSRYSKSRVTEATAVDVERGETRQRSRPRVARQHGTMGEFEVKTGHDDSGD >ORUFI01G10460.1 pep chromosome:OR_W1943:1:7796925:7802057:-1 gene:ORUFI01G10460 transcript:ORUFI01G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLSRPHVSMKPEDPNPLLLAHPNQTIVIPEPDGPEPQFTRLGRRLAASHSRTLPSPLPPAAYGAGGHGEGPRRRRPPTTGLAGLTRRPLLLSRRPKRRASIISREPSPEIDDELFNEVYGKAYSGPVATTTNNVTPRVNDEKRPLEREKSDEEDGPPDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESARDKQVRDLFTERTISQIEKDVGCKIKMDEKFLFVSGKDRLILAKGVDAVHKIIQEGKGKNTSSSPKRDRLRSPVRTTSDIRPRHTDSRWSHSPRSAPRSQSKGYYNERPLDGRSHDDMPKFSKESPQGRALKHTPRASANYGAKGRPAQSKSPCQPSYIDDSLRSNDGNNQYAATYVPNTWSTETRGTDSRLSLKFDLPSYPQTLEELEMEFKREAMELAIARDKEEDEENYKHRESLREMRENHMKRVTAMRSMHSRKWDEFLEQSFKRHQQAHPTSYAQTSYPDYDQRATQFAATGPPNDSKSEYPYITDSYSAPRPHAAYSEFEHERHDDFGRTYGRY >ORUFI01G10460.2 pep chromosome:OR_W1943:1:7796925:7802057:-1 gene:ORUFI01G10460 transcript:ORUFI01G10460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLSRPHVSMKPEDPNPLLLAHPNQTIVIPEPDGPEPQFTRLGRRLAASHSRTLPSPLPPAAYGAGGHGEGPRRRRPPTTGLAGLTRRPLLLSRRPKRRASIISREPSPEIDDELFNEVYGKAYSGPVATTTNNVTPRVNDEKRPLEREKSDEEDGPPDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESARDKQVRDLFTERTISQIEKDVGCKIKMDEKFLFVSGKDRLILAKGVDAVHKIIQEGKGKNTSSSPKRDRLRSPVRTTSDIRPRHTDSRWSHSPRSAPRSQSKGYYNERPLDGRSHDDMPKFSKESPQASANYGAKGRPAQSKSPCQPSYIDDSLRSNDGNNQYAATYVPNTWSTETRGTDSRLSLKFDLPSYPQTLEELEMEFKREAMELAIARDKEEDEENYKHRESLREMRENHMKRVTAMRSMHSRKWDEFLEQSFKRHQQAHPTSYAQTSYPDYDQRATQFAATGPPNDSKSEYPYITDSYSAPRPHAAYSEFEHERHDDFGRTYGRY >ORUFI01G10470.1 pep chromosome:OR_W1943:1:7803893:7814707:-1 gene:ORUFI01G10470 transcript:ORUFI01G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRRASSGRGLLEDARRKTFLNFLAVVFTLELITRINLIAQTSALNVVAPAISPSQSWRPVRSMLSKAKVDISISVSEQRRKKLYSSPATLSVHPPMSAPSYSSISDAAPPDAASNTSAAPSGLVQPPVSPHNACCSHNMVQKRGSQDCHCVYPVRVELFLRNVSLTSNWSDEFLGELASQLSLRVTQFEIVNFYVVGASGLNITMYIAPHTGISFSADQVTAMNYSLSQHTVQINPVLVGDYNLLNLTWFRPLVLAPAPTFTISPKPSPSQASTVPRHSADTSNEKHMSLITIICIFIGALIAVLVIAMFICFCKLRKGKRKVPPVETPKQRTPDAVSAVDSLPRPTSTRFLAYDELKEATNNFDPSSMLGEGGFGRVFKGVLTDGTAVAIKKLTSGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSNRESSQNLLCYELVPNGSLEAWLHGTLGASRPLDWDTRMRIALDAARGLAYLHEDSQPCVIHRDFKASNILLEDDFHAKVSDFGLAKQAPEGCTNYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRRPVDMSQPSGQENLVTWARPILRDKDTLEELADPKLGGQYPKDDFVRVCTIAAACVSPEASQRPTMGEVVQSLKMVQRSEFQESIPTPPARPNVRQSSTTYESDGTSSMFSSGPFSGLSPFETENISRTAFSEDLHEGRVRCSPAVALALVVAAAAAAAATAKLYSPADRILVNCGSTTDGLDAEGRRWVADATNDTWLTDSGKSSIMAAADELETMLPSSIPYMTARVFTMDTVYNFTVNPRDRHWIRLHFYPSSYNGLEPQDFRFSVFTTTGYTLLHNFSVYFTTKALTQAYLIREYSLPRVPEGHFGVTFSPSPMMNVTYAFVNGIEVISMPDMFNNPATMVGFADQTADVSAAAFQTMYRLNVGGAYIPPSNDSGLTRPWYDDTPFVQGPLRGLVYNAGPHFHIKYPSDAAEYAAPPEVYLGGRSMGRDQRLNQNSNLTWSLHVECNFTYVVRLHFCELQLIHGNQRVFDIYINNRTAQTDVDVLEMATERGVPVYKDYAVRLSNDTADEHLWVAVHPSVMLRPQFYDAILNGLEVFKVNNTGGSLASPDPVPYKLLAEKELGWGGPPEFSTDNPANMASVMGGTAGGAAAAGIVAAICVVVYSNKRSKKLGGGGADSHTSAWLPLYHSHTSGKSSGHITANIAGMCRHFSFAEIKAATKNFSNDLAIGVGGFGVVYRGVVDGDVKVAVKRSNPSSEQGITEFQTEVEMLSKLRHRHLVSLIGFCEEDGEMVLVYDYMEHGTLREHLYHNGGKPTLSWRHRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILVDDNWVAKVSDFGLSKSGPTTLNQSHVSTVVKGSFGYLDPEYYRRQQLTDKSDVYSFGVVLFEVLMARPALDPALPRDQVSLADYALACKRGGALPDVVDPAIRDQIAPECLAKFADTAEKCLSENGTERPTMGDVLWNLESAMHFQDAFDAAAGRPVPALDAAAGSSSHLDDGSTASINTLATSSTSHPHEPCVDVVLEPDDVVAERATFSQLVQPTGR >ORUFI01G10470.2 pep chromosome:OR_W1943:1:7803893:7814707:-1 gene:ORUFI01G10470 transcript:ORUFI01G10470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRRASSGRGLLEDARRKTFLNFLAVVFTLELITRINLIAQTSALNVVAPAISPSQSWRPVRSMLSKAKVDISISVSEQRRKKLYSSPATLSVHPPMSAPSYSSISGDSDLSFYSSDMSDNLVQHNRRSEAEISTHVDAAPPDAASNTSAAPSGLVQPPVSPHNACCSHNMVQKRGSQDCHCVYPVRVELFLRNVSLTSNWSDEFLGELASQLSLRVTQFEIVNFYVVGASGLNITMYIAPHTGISFSADQVTAMNYSLSQHTVQINPVLVGDYNLLNLTWFRPLVLAPAPTFTISPKPSPSQASTVPRHSADTSNEKHMSLITIICIFIGALIAVLVIAMFICFCKLRKGKRKVPPVETPKQRTPDAVSAVDSLPRPTSTRFLAYDELKEATNNFDPSSMLGEGGFGRVFKGVLTDGTAVAIKKLTSGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSNRESSQNLLCYELVPNGSLEAWLHGTLGASRPLDWDTRMRIALDAARGLAYLHEDSQPCVIHRDFKASNILLEDDFHAKVSDFGLAKQAPEGCTNYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRRPVDMSQPSGQENLVTWARPILRDKDTLEELADPKLGGQYPKDDFVRVCTIAAACVSPEASQRPTMGEVVQSLKMVQRSEFQESIPTPPARPNVRQSSTTYESDGTSSMFSSGPFSGLSPFETENISRTAFSEDLHEGRVRCSPAVALALVVAAAAAAAATAKLYSPADRILVNCGSTTDGLDAEGRRWVADATNDTWLTDSGKSSIMAAADELETMLPSSIPYMTARVFTMDTVYNFTVNPRDRHWIRLHFYPSSYNGLEPQDFRFSVFTTTGYTLLHNFSVYFTTKALTQAYLIREYSLPRVPEGHFGVTFSPSPMMNVTYAFVNGIEVISMPDMFNNPATMVGFADQTADVSAAAFQTMYRLNVGGAYIPPSNDSGLTRPWYDDTPFVQGPLRGLVYNAGPHFHIKYPSDAAEYAAPPEVYLGGRSMGRDQRLNQNSNLTWSLHVECNFTYVVRLHFCELQLIHGNQRVFDIYINNRTAQTDVDVLEMATERGVPVYKDYAVRLSNDTADEHLWVAVHPSVMLRPQFYDAILNGLEVFKVNNTGGSLASPDPVPYKLLAEKELGWGGPPEFSTDNPANMASVMGGTAGGAAAAGIVAAICVVVYSNKRSKKLGGGGADSHTSAWLPLYHSHTSGKSSGHITANIAGMCRHFSFAEIKAATKNFSNDLAIGVGGFGVVYRGVVDGDVKVAVKRSNPSSEQGITEFQTEVEMLSKLRHRHLVSLIGFCEEDGEMVLVYDYMEHGTLREHLYHNGGKPTLSWRHRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILVDDNWVAKVSDFGLSKSGPTTLNQSHVSTVVKGSFGYLDPEYYRRQQLTDKSDVYSFGVVLFEVLMARPALDPALPRDQVSLADYALACKRGGALPDVVDPAIRDQIAPECLAKFADTAEKCLSENGTERPTMGDVLWNLESAMHFQDAFDAAAGRPVPALDAAAGSSSHLDDGSTASINTLATSSTSHPHEPCVDVVLEPDDVVAERATFSQLVQPTGR >ORUFI01G10480.1 pep chromosome:OR_W1943:1:7819622:7820155:1 gene:ORUFI01G10480 transcript:ORUFI01G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLAHATLLLVVAVVAAAACWTTAAGENEEVAEICKKTSYPEVCIATAGKQASKYGAAVDPLAVLNMQVDAFALRTEAARKHLTEAVKTATPKAAKALDLCDSLYLDVEDNLGAARRAIGFKDAVTIRAMMGMAAQDMQGCDEQFRKVGEKNPMDHFNRSLLEMSEICRSLSNMI >ORUFI01G10490.1 pep chromosome:OR_W1943:1:7821777:7826902:1 gene:ORUFI01G10490 transcript:ORUFI01G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERVEVRRNRYKVAVDAEEGRRRREDNMVEIRKSRREESLLKKRREGLQAQAPVPASAATGVDKKLESLPAMIGGVYSDDNNLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVQFLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSSSDDVREQAVWALGNVAGDSPKCRDLVLANGALLPLLAQLNEHTKLSMLRNATWTLSNFCRGKPQPSFEQTRPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDAQTQCIIDHQALPCLLSLLTQNLKKSIKKEACWTISNITAGNKDQIQAVINAGIIGPLVNLLQTAEFDIKKEAAWAISNATSGGSHDQIKYLVSEGCIKPLCDLLICPDIRIVTVCLEGLENILKVGETDKTLAAGDVNVFSQMIDEAEGLEKIENLQSHDNNEIYEKAVKILEAYWMDEEDDTMGATTVAAPQGATFDFGQGGGAAQFK >ORUFI01G10490.2 pep chromosome:OR_W1943:1:7821681:7826902:1 gene:ORUFI01G10490 transcript:ORUFI01G10490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERVEVRRNRYKVAVDAEEGRRRREDNMVEIRKSRREESLLKKRREGLQAQAPVPASAATGVDKKLESLPAMIGGVYSDDNNLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVQFLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSSSDDVREQAVWALGNVAGDSPKCRDLVLANGALLPLLAQLNEHTKLSMLRNATWTLSNFCRGKPQPSFEQTRPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDAQTQCIIDHQALPCLLSLLTQNLKKSIKKEACWTISNITAGNKDQIQAVINAGIIGPLVNLLQTAEFDIKKEAAWAISNATSGGSHDQIKYLVSEGCIKPLCDLLICPDIRIVTVCLEGLENILKVGETDKTLAAGDVNVFSQMIDEAEGLEKIENLQSHDNNEIYEKAVKILEAYWMDEEDDTMGATTVAAPQGATFDFGQGGGAAQFK >ORUFI01G10500.1 pep chromosome:OR_W1943:1:7827376:7828354:-1 gene:ORUFI01G10500 transcript:ORUFI01G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAMPAAVTHDDLSLRKAQERRAARSSGRAAVALVSLSVLCGIVGFILCLAAEGSRSEASHYLMTVGGGGANAAANNGQVDVCFYNSSGRAPLAFAIGAFLMLAVAMFAEHAYMLLAVAAPDSSAAGLAVAEGHPRVPSDPARLTWQTCCLFFVTWICFGLAEVMLMVGIAVESGHVSDWRKPRAVCHRVRPGVFAAAGILGLITVVVGFVVYVTALQTNKLRAQHPVGGYYVGHGAPHPGMPPPPMPYGPHPHPHQPPPPAAAATAPSAPEITPAACQVQPSRAACVTKQCADAV >ORUFI01G10510.1 pep chromosome:OR_W1943:1:7834078:7834737:1 gene:ORUFI01G10510 transcript:ORUFI01G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHAHAAAGSPAATAPSSPTRRRCAGKDDVDDADDDGGGSEQFFFFSAPASPVHYILRSPPTANSDSATAAAAAAAAERSSGFWPPSIWPSSRSKKTATLALPCPCPCPPSLQPARRSTSSERSSAPPPRRRATSLPYRQGLVLGCLGFGARSYGLAKSMHPLSSG >ORUFI01G10520.1 pep chromosome:OR_W1943:1:7836108:7837879:-1 gene:ORUFI01G10520 transcript:ORUFI01G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGPAAFVNGEILKMFVGRRVRTVVQAQREEGGLLIGQSTDGHQLTIKGASGAPMSHYVEIIGIAEPNQAIRAEVCTDFGENFDPAPFNGLCKLANGQMKDLFL >ORUFI01G10530.1 pep chromosome:OR_W1943:1:7842869:7843646:-1 gene:ORUFI01G10530 transcript:ORUFI01G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVSMTWCWHRSFLLMMACVCSWSITCCKSDEAAAGAFHGQKRSTEDIVARAIICFSDRYIFSGCQGQYRLGPEGALRVPAAEAEAFCGGPCLAETRLVLGCVDGIMDSFRFYNGASVRDVRLALDRGCGLRGDFDVLRRLGGDGYDYGGGPRGLVTTPAPLLLGAVVAVLLWG >ORUFI01G10540.1 pep chromosome:OR_W1943:1:7845569:7850031:1 gene:ORUFI01G10540 transcript:ORUFI01G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRFVRGISPAASAAPQPPPPPVAQRHHQQQPSRGVLHAPLLRLWPLGGGGGGGGGGGGGGGERVGAVGGAVRGEEARSQRAAEAEADERKQGNWVLQMLRVQPQWAEAADAEAAGVTGAAREGGQEALTAGRDGDECCASCGGGDDEGCCGVEEDDESDGKVFDRATFSRLLRKVSLGEAKEFSKMSYLCNIAYMIPKITPKLLRRYNLRFVTSSVQEKAKTGVDQKQELKTEEGESSDQESEVVENPEPGSNKKKESGLGVNPFGAYHVMSSAASYLHSRAMGVVPFGSRNDGKNDPTIMAIVNGESGEGLTMDEASFVATTNSVTSMVAAKEETRQAVADDLNSSRSCPCEWFICDDDQGSTRYFVVQGSESIASWQANLLFEPVKFEGLDVLVHRGIYEAAKGMYHQMLPYVKSHLRSSGKSATLRFTGHSLGGSLALLVNLMLLMRGAAPASSLLPVITFGAPCIMCGGDHLLRKLGLPRNHVQSVTMHRDIVPRVFSCNYPDHVANILKLANGNFRSHPCLTNQKLLYAPMGEMFILQPDKRLSPHHDLLPPDSGIYHLSDSSSSSGTSLRRLRSAMSTFFNSPHPLEILKDKSAYGPKGAVYRDHDVNSYLRSVRAVVRKEVRRHRETRRGRWRRLLLWWPLGAHGALAGAGVLLDAAVEGGRETARQARAHARLLVVLLVPAKLLVIGAFLVVRLM >ORUFI01G10550.1 pep chromosome:OR_W1943:1:7855606:7860149:-1 gene:ORUFI01G10550 transcript:ORUFI01G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAADKERFAESKKELDALLADDSLATVPFLILGNKIDIPYAASEEELRYYLGLSNFTTGKGNVNLADSNVRPLEIFMCSVVRKMGYGEGFKWMSQYIK >ORUFI01G10560.1 pep chromosome:OR_W1943:1:7862760:7871312:-1 gene:ORUFI01G10560 transcript:ORUFI01G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGARASPVSVPLAAVPKAGGTYPPLTAHTPFQPPPAGPSLAGWMANAAAATSSVPSAVVAASSLPVPPNQAVPIMKRPTITDYQSAESEQLMKRLRPSGHGVDEATYPAPIPQPLWSVEDLPRTVACTLSQGSSVTSMDFHPTRHTLLLVGSTNGEITLWEVGMRERLFSKPFKIWDIQACSPQFQSVAKESSISINRVTWSPDGDLIGVAFAKHLIHLHAYQQPNETRQVLEIDAHSGAVNDIAFSRPNKQLCVVTCGDDRLIKVWDMHGQKLFSFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDHMGSRVDYDAPGKWCTTMLYSADGTRLFSCGTSKDGDSYLVEWNESEGSIKRTYSGFRKKSAGVGVVQFDTAQNHILAAGEDNQIKFWDVDNTTMLSSTEADGGLPGLPRLRFNKEGNLLAVTTVDNGFKILANADGLRTLRAFGNRPFEAFRSQYEASSMKVSGAPVVAGISPNIGRMDHIDRNSPAKPSPIMNGGDPASRSIDIKPRISEERPDKAKPWELMEVLNAQQCRVATMPETPDQASKVVRLLYTNSGVGLLALGSNAIQRLWKWARNDQNPSGKATANVVPQHWQPNSGLVMQNDTADTNPEDAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQRRITGLAFSNNLQILVSSGADAQLCVWATDTWEKKKSVAIQMPAGKTPSGDTWVQFNSDWSRLLVVHETQLAIYDASKMERIYQWIPQDALSAPISHASYSRNSQLVFAAFTDGNIGIFDVENLRLRCRIAPPAYLSSAAINSNPSVYPLVVAAHPQESNQFAVGLSDGSVKVIEPLESEGKWGTTPPTENGVPNGRTSTSSATSNPAADQIQR >ORUFI01G10560.2 pep chromosome:OR_W1943:1:7862760:7871312:-1 gene:ORUFI01G10560 transcript:ORUFI01G10560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGARASPVSVPLAAVPKAGGTYPPLTAHTPFQPPPAGPSLAGWMANAAAATSSVPSAVVAASSLPVPPNQESEQLMKRLRPSGHGVDEATYPAPIPQPLWSVEDLPRTVACTLSQGSSVTSMDFHPTRHTLLLVGSTNGEITLWEVGMRERLFSKPFKIWDIQACSPQFQSVAKESSISINRVTWSPDGDLIGVAFAKHLIHLHAYQQPNETRQVLEIDAHSGAVNDIAFSRPNKQLCVVTCGDDRLIKVWDMHGQKLFSFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDHMGSRVDYDAPGKWCTTMLYSADGTRLFSCGTSKDGDSYLVEWNESEGSIKRTYSGFRKKSAGVGVVQFDTAQNHILAAGEDNQIKFWDVDNTTMLSSTEADGGLPGLPRLRFNKEGNLLAVTTVDNGFKILANADGLRTLRAFGNRPFEAFRSQYEASSMKVSGAPVVAGISPNIGRMDHIDRNSPAKPSPIMNGGDPASRSIDIKPRISEERPDKAKPWELMEVLNAQQCRVATMPETPDQASKVVRLLYTNSGVGLLALGSNAIQRLWKWARNDQNPSGKATANVVPQHWQPNSGLVMQNDTADTNPEDAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQRRITGLAFSNNLQILVSSGADAQLCVWATDTWEKKKSVAIQMPAGKTPSGDTWVQFNSDWSRLLVVHETQLAIYDASKMERIYQWIPQDALSAPISHASYSRNSQLVFAAFTDGNIGIFDVENLRLRCRIAPPAYLSSAAINSNPSVYPLVVAAHPQESNQFAVGLSDGSVKVIEPLESEGKWGTTPPTENGVPNGRTSTSSATSNPAADQIQR >ORUFI01G10570.1 pep chromosome:OR_W1943:1:7886270:7886458:-1 gene:ORUFI01G10570 transcript:ORUFI01G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKPKALLAQSKQKKSPTQIGATTIITYIVLGALVVSSVYYALKYWQNRGPAAAEGIVGN >ORUFI01G10580.1 pep chromosome:OR_W1943:1:7889294:7893022:-1 gene:ORUFI01G10580 transcript:ORUFI01G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGALLLLLLFVLACGGNGGAAAAVFSGCSFESQEEAEAFEAALLQQACFNVTAFGGGGGGGGGEGGCVSRLDTARGGAGSGPVPVLRAAVRDTLGEAVGAVAAVAGLASLSNHAREEMAVRDCVELVGYSVDELGWALDAMADPDGGVAAAEEEDETEPETRRRRRRGARAEDDIHAWLSAAMGNQGTCLDGFHGTDSRLLRRVESAVTQLTQLVSNLLAMHKKLRDITPQHQHQHHHHPGNNNNKNGTADGAAAGGDDTGPSSDLPPWVTDVVDDVEEEVTATRGRGRSSSSGRKAMRVDVVVAQDGSGRWRTVSEAVARAPSHSRRRYVIYVKRGVYEENVEVRKKKTNIVIVGEGMGETPEHGRRLDHLPERHAVALRVDSDRSAFFRIAVEGHQDTLYAHSLRQFYRDCRVSGTVDFIFGNGIAVIQRTTISTLPPAAGQNAGSVTAQGRRDPNQNTGFALHACIVEAKYPTYLGRPWKPFSRVVVMESYLGAGVQPRGWLEWDGDGGELATLFYGEYRNYGPGANIGGRVRWPGYHVIMDAAVAVRFTVRRFIDGLAWLPSTGVTFTADLNRK >ORUFI01G10590.1 pep chromosome:OR_W1943:1:7895203:7907792:-1 gene:ORUFI01G10590 transcript:ORUFI01G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKGAPPPYISLGRATLGQGGLCREEKVELWKVSEHMTEVELLTTFQDAAIVNETSRI >ORUFI01G10600.1 pep chromosome:OR_W1943:1:7896842:7902359:1 gene:ORUFI01G10600 transcript:ORUFI01G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGAVEDGRAGGELCDNSRQNGVDDAELGETSRQKNGVDDAELGDNRRRENGGGRRSHAPGFEANKNDTLLVVATLITALTYQLGTNVPGGYWQDDAADGSHAAGDPIMRDKNRRRYWLFMAASWAGFGSSMLLTLGLLTGVPSRSRAVQWPFLVSYSSLVLTFITSQSRTPLAMDVVIWGAVMAVLTVGIKFG >ORUFI01G10610.1 pep chromosome:OR_W1943:1:7908372:7908638:-1 gene:ORUFI01G10610 transcript:ORUFI01G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWAGFGSSMLLTLALLTGVPPRSRAVQWPFLVSYSSLVLTFVTSQSGTSLAMDVLIWAAVMAVLAVGIKYRRLDRLRFLFCPPAP >ORUFI01G10620.1 pep chromosome:OR_W1943:1:7911710:7915112:-1 gene:ORUFI01G10620 transcript:ORUFI01G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGNARGLPRRGGGGRAGAAAAGAGASQAVASGVFQINTAVSTFQRLVNTLGTPKDTPDLRERIHKTRQHITQLVKDTSEKLKQASEADHRVEVSASKKIADAKLAKDFQAVLKEFQKAQRLAVEREAAYAPFISQAGLPQSYNSSEVNNGADKLAEQRTALLESRRQELVFLDNEIVFNEAIIEERDQGIQEIQHQITEVNEIFKDLAVLVHDQGQMIDDIDTHIENAVIATTQAKGQLSKAAKTQKSNSSLICLLLVIFGVVLLIVIIVLAA >ORUFI01G10630.1 pep chromosome:OR_W1943:1:7915664:7922306:-1 gene:ORUFI01G10630 transcript:ORUFI01G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSKTLALDILLSSRLVDPKLSRQQHATVPDLLIATDLIKSTDTMLASPGSSVSVDNEMTHRGLDG >ORUFI01G10640.1 pep chromosome:OR_W1943:1:7922164:7925801:1 gene:ORUFI01G10640 transcript:ORUFI01G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVRHRTVEVASGVRLHVAEAGPEDGPAVLLVHGFPELWYSWRHQMRALAARGFRAVAPDLRGYGDSDAPPGRDSYTVLHLVGDLVALIADVGQPRVFVAAHDWGAAVAWQLCLLRPDLVTAFVALSVEYHPRNPTRSPVQTLRAVCGDGHYICFFQKPGVAEAEFGRGDIKCLLKKFYGMRKAAPLIIPPGKTLFDSIDSDGTCPAWLSEEDISYYAEKFEKTGFTGGLNYYRCIDLNWELTAPWTGVPIKVPTKFIVGDQDLTYNIPGVKDYIHKGGLKACVPNLEDVVIMEGVAHFINQEKPDEVSDHICGFFSKF >ORUFI01G10650.1 pep chromosome:OR_W1943:1:7923910:7946578:-1 gene:ORUFI01G10650 transcript:ORUFI01G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPAPASPAAPFCQLRPIFFFNPQATDGLRAAQVMQPKWCGPNSRSSSTVPNDIDRHGSRARGYLGVTAWARGSQE >ORUFI01G10660.1 pep chromosome:OR_W1943:1:7951362:7952111:1 gene:ORUFI01G10660 transcript:ORUFI01G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTTKRRQRDWRRGFDGSELKIATMLWIDGEKAALVIFGLDEEVDDKGLGAADLTTMMGSSSSWQEQRPEGDNGDGSDVLGSSGSEEMTTTEMERWLSRVDGEEGAPLLAEFGEGVDAVPSLEQGHKGDGQGPSPNEGPNPVYVTAYLVWLQLIGEMPTCRAMRCT >ORUFI01G10670.1 pep chromosome:OR_W1943:1:7967707:7968276:-1 gene:ORUFI01G10670 transcript:ORUFI01G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHSASSSIHPTIHPVLFYILAGPYILLWLIPAPAQVQSGEEIISTLKYELEEKRNQVHRLQDDIEKCHVLIEDNAAKLKDNEQNRVELQEQTDRCQSSVRFWKYLFWLILLGLVASNYIAPKMI >ORUFI01G10680.1 pep chromosome:OR_W1943:1:7969658:7971052:-1 gene:ORUFI01G10680 transcript:ORUFI01G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPVAQLGKSSGAGDAATGGGGEVADFLLADSSPRRSSSAAKETGLDGTEGTHDGGAAGDHGEAGEAGAAAARGHGGGGEVGPDGDGADGVQEEALVARCVVCRRRQVADEGEGGVCSVCLRRRMLSSVVCVDDMSAVADATAGVGMLYYCPSCDAFGRGGVHDHEVLVLGMFRGDWCAWLTGAERMAPIFLGIESDRLPSPFLGRPYLLQPSPGTRCRVCSDIASLDGLYSRLATLCTISCWAHADHAAAAAAHPWVLSLLEVGCSAQPEQLLDLFCTTCREAFLYGDCHCDDHHHHLLPLVFHSRMGLCVQISRGHWLWSVWESIADAELAADILHSSATSTRLIPIRGRTAQRCRWCQKRLLDGGGTTCSLRCRLSLPTLLPRALRVPA >ORUFI01G10690.1 pep chromosome:OR_W1943:1:7972033:7972745:-1 gene:ORUFI01G10690 transcript:ORUFI01G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGTAKKRTSMSTSSGHRGGAHHRRRGGDVDGREETVKGKQQQTAAHSAAVPPATSPHRDCCSRTRRHSRSLLISTDELDREAVGDPRLELALP >ORUFI01G10700.1 pep chromosome:OR_W1943:1:7972293:7972490:1 gene:ORUFI01G10700 transcript:ORUFI01G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELLALDVASPRSLAVAATKARKVEAEFPATPMTEHLVDMEKQVWASFYSAGFWRSPSQREDRR >ORUFI01G10710.1 pep chromosome:OR_W1943:1:7974404:7975204:1 gene:ORUFI01G10710 transcript:ORUFI01G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKQLVQVQPKKIEMWQWQPPPLHPGVVTMAKHKLQLEDLSQTCRRDHYCVRCVHAFCSHCCDDHHFVPLGSHIVIPIAGVDAATGKPVIPAHYPRRPDLPITDFVIGLINANDFAEEHPRDAYCMYCFMAFSTALCHHHHTCAADCVLRIVRSHDGRHCVRCTGDEPWFPYMESVLGDPVAVEEEEGDDGEVVAVLLLLPVLRRSSPTACVHCGGEVPKHMRRSVLCSPACDAAHQLEVAQRRERRDAVLAARRLAKLNIHAV >ORUFI01G10720.1 pep chromosome:OR_W1943:1:7975920:7977291:-1 gene:ORUFI01G10720 transcript:ORUFI01G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRHREVEVASGVRLHVAESGPEGGPVALLVHGFPELWYSWRHQMRALAARGFRAVAPDLRGYGDSDAPQGRDSYTVLHLVGDLVALIADLGRPQVFVAGHDWGAVVAWQLCLLRADLVTAHVSLSVEYQPRHPRMSVLQAVRVLCGDDHYVCRFQKPGVAEAEFARLDLNHLFKMEVFGMRKPATIILPQDKTFFDAIDSDGTCPPWLSEEDISYYADKFGKTGFTGGFNYYRCIDLDWELTAPWTGALINVPIKFIVGDLDLTYNTPGVKDYIHKGGFKANVPNLEDVVVLEGVGHFINQEKPDEVSEHICEFFSKFLM >ORUFI01G10730.1 pep chromosome:OR_W1943:1:7980336:7980835:-1 gene:ORUFI01G10730 transcript:ORUFI01G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRAIIRVEHSCRVPAGCDGGGFGGEDSCGEGVVRGCGCRLVLAARRHRLSISPSSHRSPAPPRPLDACRCRRWRAFSPRAHVTD >ORUFI01G10740.1 pep chromosome:OR_W1943:1:7980992:7981210:-1 gene:ORUFI01G10740 transcript:ORUFI01G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLYLARAVLATPARMFVPSCPRVWQTWCDVLSFTVRLHRLFDVIFLNDRRDRVPVFVSCVSSRTLVHDAL >ORUFI01G10750.1 pep chromosome:OR_W1943:1:7981224:7981876:1 gene:ORUFI01G10750 transcript:ORUFI01G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKTSREGLRDAADDDQCGRRGCRCVNGDEDDESGEAAVREDNTAAAPCRCSDEKSTGDVAMLRRAPLSTATTSGGVDHRRRHCGPRGRRSGNPKLDGVDACSMTIGCRSRRGGGRRKPISDRKRKITAASSSAVR >ORUFI01G10760.1 pep chromosome:OR_W1943:1:7984650:7986017:-1 gene:ORUFI01G10760 transcript:ORUFI01G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEGRRVTSPAMLRQRRQLRWEMCRAAYALDALRIRNAAAAAASRRRRRRCQPLLLSLGGDGDATVMESLEAALGGAKELVVLLAGCPRLSRQPYSAYLFMERCMFGRQMEKEQIVDFLLRPACSSAGDPNPGVLPVVGGPEVGKRTLVEHVCIDERIRQYFAKIHRLSSDDLMAAGDDDEHRRFGIDPSARSLVVVDVVGDVEEEPWRRLCSSVRRENGDGKVVIICRTAEHAARLGTAPRPVTLDNLRRPELWYMFRVLAFGGADPEDRPELVAIAAELFEGVKHFAMIAAVNAHAAALRADMTARSWRRIARAAIDAHGGRGEHGPVRDEDDSYYLYRPSMDGPHCLFYGKRKLTTWTPAASTTPTVTMQDLLSGRVVPGVDTPRFDVLVWRSPIPPHCSYVATCDMGRAQQFVVASGGRRPFERRKPSGNLDHGECLNKKRRPMYSGDG >ORUFI01G10770.1 pep chromosome:OR_W1943:1:7989409:7993979:1 gene:ORUFI01G10770 transcript:ORUFI01G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEATRRLAGGGGGGAALRASSDVDRKPAAAGSPPPGAAAAAGHKIQLKSADMKEEMRQEAFDIARVAFEKHTMEKDIAEYIKKEFDKNHGPTWHCIVGRNFGSYVTHETNYFVYFYIDSKAVLLFKSGN >ORUFI01G10780.1 pep chromosome:OR_W1943:1:7995410:7995730:-1 gene:ORUFI01G10780 transcript:ORUFI01G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALSTSAAAAAAAAARALAARVTTTRGYAASAASSAMRRAAAAVEGKGAAGMTQAKDGSSSSAAREVSWVPDPVTGHYRPSNFAGGADAADLRAAHLARSYARA >ORUFI01G10790.1 pep chromosome:OR_W1943:1:8000844:8001188:-1 gene:ORUFI01G10790 transcript:ORUFI01G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPERAARITRFLKPYLLRMHFSNKYVSAQVVHTPTATVACSASSQEKLLRPNMESTRDVAAAAKIGKLLGERLLQKGIPAVCIHMKREQKYHGKVRAVIDSVREAGVKLL >ORUFI01G10800.1 pep chromosome:OR_W1943:1:8005056:8013588:-1 gene:ORUFI01G10800 transcript:ORUFI01G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEEKRVGVGEARAPLAVEALRGKIVEKVKGNRVTLIVGDTGCGKSSMVPQFLLEENMEPILCTQPRRFAVVAIAQMIAESRNCQVGEEVGYHIGHSNVSNLNSKRSRIVFKTAGVVLEQMRDKGIAALNYKVIILDEIHERSVESDLVLACVKQFMMKKNDLRLILMSATADITRYKDYFRDLGRGERVEVIAIPSSPRSSIFQRKVLYLEQIVDILKMDSESLSTKYCSGPNTAADAGLKPDVYELIHRLLLHIHQNEPDIGKSILVFLPTYYALEQQWIRLLSASSMFKVHILHRSIDTDEALQTMKVSKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDSAELVWVSKSQAEQRKGRTGRTCDGQIYRLVTGPFYNSLTDHEYPAILRLSLREQVLMICCAESRAMNDPHVLLQKVLDPPDSDVVEDALESLVQIRALDKPTSPRGRHEPTFYGCLLNSLPLSFDASVLALKFGDTGSICEGILISIMLDIQPLPIVQPFGHQQLCKMYRNNYFEEEGIDLQTGKKEAALVGNLCAFQFWQRMFKDKYRLDCLINVVNTHEPKASNGFVAKPEDEWCAFHNLVPTALNYISEIYDDIMGTLHRFRPSFLVKINPPMCAATPYVSPADFGTTTVVKTLKTLIKEMKTQSAADRVTYRELVHGYVQPALENEMCVFFLNGSCNRGDTCHFSHSSRAPRPICKFFLTLQGCRNGNSCSFSHDSGSLVSSSITSGICSQENRATSVCCKRLLPAAGDGHILVMNDKSLQFACKLCNYYDPTKIIACTPGPHSFESDSVTKGLKILQNLADPSYLFIGGEHKLSVPWTKLSRVFWFADIDSNESISEQVVLQKFFQHIAIKTLSEKMSDLQVIVIMNNAKFVQLQVERLARECFLFLGESFMFDEATLGWFSDTPNYPRGMQVSAPVAYIFSMHPPTGIQFGDYASELRKVLYSN >ORUFI01G10800.2 pep chromosome:OR_W1943:1:8005056:8013588:-1 gene:ORUFI01G10800 transcript:ORUFI01G10800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEEKRVGVGEARAPLAVEALRGKIVEKVKGNRVTLIVGDTGCGKSSMVPQFLLEENMEPILCTQPRRFAVVAIAQMIAESRNCQVGEEVGYHIGHSNVSNLNSKRSRIVFKTAGVVLEQMRDKGIAALNYKVIILDEIHERSVESDLVLACVKQFMMKKNDLRLILMSATADITRYKDYFRDLGRGERVEVIAIPSSPRSSIFQRKVLYLEQIVDILKMDSESLSTKYCSGPNTAADAGLKPDVYELIHRLLLHIHQNEPDIGKSILVFLPTYYALEQQWIRLLSASSMFKVHILHRSIDTDEALQTMKVSKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDSAELVWVSKSQAEQRKGRTGRTCDGQIYRLVTGPFYNSLTDHEYPAILRLSLREQVLMICCAESRAMNDPHVLLQKVLDPPDSDVVEDALESLVQIRALDKPTSPRGRHEPTFYGCLLNSLPLSFDASVLALKFGDTGSICEGILISIMLDIQPLPIVQPFGHQQLCKMYRNNYFEEEGIDLQTGKKEAALVGNLCAFQFWQRMFKDKYRLDCLINVVNTHEPKASNGFVAKPEDEWCAATPYVSPADFGTTTVVKTLKTLIKEMKTQSAADRVTYRELVHGYVQPALENEMCVFFLNGSCNRGDTCHFSHSSRAPRPICKFFLTLQGCRNGNSCSFSHDSGSLVSSSITSGICSQENRATSVCCKRLLPAAGDGHILVMNDKSLQFACKLCNYYDPTKIIACTPGPHSFESDSVTKGLKILQNLADPSYLFIGGEHKLSVPWTKLSRVFWFADIDSNESISEQVVLQKFFQHIAIKTLSEKMSDLQVIVIMNNAKFVQLQVERLARECFLFLGESFMFDEATLGWFSDTPNYPRGMQVSAPVAYIFSMHPPTGIQFGDYASELRKVLYSN >ORUFI01G10810.1 pep chromosome:OR_W1943:1:8015171:8018248:-1 gene:ORUFI01G10810 transcript:ORUFI01G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDKFWRMPECYIRGNTIKYLRVPDEVIDKVQEETSKSRSDRRPPGVGRGRGRGDIGTKPGGRGIGRGQDDGGSKGGGGRGRGGIGGKGGIKGGGRGRG >ORUFI01G10820.1 pep chromosome:OR_W1943:1:8021571:8021966:-1 gene:ORUFI01G10820 transcript:ORUFI01G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVALLAFLLAAASAASSASAAAATLVEGGVVGRAAVVMRRGGRTCRGTVGECMEFLGVDGEGEDELAAAATGKRRVLQGGSGYIGYDALRRDSVPCSQRGASYYNCQPGAEANPYSRGCSAITQCRG >ORUFI01G10830.1 pep chromosome:OR_W1943:1:8030573:8030902:-1 gene:ORUFI01G10830 transcript:ORUFI01G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVEKQQATAGTAVAGGRRKALVHTPSGQVVSSYAALEARLTALGWERYYEDPSLFQFHKRGSLDLISLPADFSAFSSVHMYDIVVKNRDSFRVVDA >ORUFI01G10840.1 pep chromosome:OR_W1943:1:8038471:8043205:-1 gene:ORUFI01G10840 transcript:ORUFI01G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYAAAEGGGGGGGGGSTDTGLEESMWRMGLGGGGGGGGEAVAAGRLPERPGEADCVYYLRTGACGYGENCRYNHPRDRAAAAVLNGGGKTTHSAEYPERPGQPVCEYYMKNGTCKFGSNCKYDHPREGSVQAVMLNSSGYPLRSGEKDCTYYVKTGHCKFGSTCKFHHPEIGGVSETPNMYPPVQPQPISSSHPYQHLAGWQMGRPPVLPGSFLSGSYPPMMLPSTVVPMQGWNPYISPVNQVASAGGHQTVQAGPFYGLSHQGPSAAVTYGSQYAPLSSSTMPSSSSKQEPAFPARPGQPECQYYLKTGSCKFGSACKYHHPQYLNTPKSNCMLSPLGLPLRPGSQPCAYYTQHGFCKFGPTCKFDHPMGTLSYSPSASSITDLPIAPYPLNYAVAPVAPPSSSSDLRPEYLLTKEFSANQSASPGTTCGPAGAMLKAYAPHMLIRPQTSGAGGMVTTHGGEL >ORUFI01G10850.1 pep chromosome:OR_W1943:1:8048092:8050670:-1 gene:ORUFI01G10850 transcript:ORUFI01G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKRRARVSRDGDGGGGGAPVAAESKSLYEVAPGGADGGASPDSLRNTPSNIARLEDAIEHCAARRKYLARTKSPSDGEDTAYGMP >ORUFI01G10860.1 pep chromosome:OR_W1943:1:8064303:8069518:-1 gene:ORUFI01G10860 transcript:ORUFI01G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEVSSRLLRTSLLSPFSPLRVSFTVRLRIAFPSELLASTVWSAACARSGGPRASTLSAKLYKISRTVQRYRPQVAGCWVPGIGHWAEAAARGRAIYTRCLLPESAPRQARLQRQKCTLTSGRVSRASGSGGEGMDNSAEVAWPQFHGRESHNDGGGMSVDLRLRARAERMLTTATKVAGVWGLPACRGGSEEARIEDLL >ORUFI01G10860.2 pep chromosome:OR_W1943:1:8064303:8068920:-1 gene:ORUFI01G10860 transcript:ORUFI01G10860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIMREDHSRTVQRYRPQVAGCWVPGIGHWAEAAARGRAIYTRCLLPESAPRQARLQRQKCTLTSGRVSRASGSGGEGMDNSAEVAWPQFHGRESHNDGGGMSVDLRLRARAERMLTTATKVAGVWGLPACRGGSEEARIEDLL >ORUFI01G10860.3 pep chromosome:OR_W1943:1:8069214:8069518:-1 gene:ORUFI01G10860 transcript:ORUFI01G10860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEVSSRLLRTSLLSPFSPLRVSFTVRLRIAFPSELLASTVWSAACARSGGPRASTLSAKVIQ >ORUFI01G10870.1 pep chromosome:OR_W1943:1:8072459:8074296:-1 gene:ORUFI01G10870 transcript:ORUFI01G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCSRRLLCSLFLAIAALCIHVLDYVRKLAVTINGHTLGPTIHAVQGDTIVVNVKNSLPTENHTVLLNDWWHRSTYEQAAGLASVPMVWVGEPQSLLINGRSRFMNCSSLPATECAIDATAAVVPPRVRGCGRFGAYFSWRPARCTLDGADVGFTYDSDMRRTCSQWGPHWINLSQGQTGL >ORUFI01G10880.1 pep chromosome:OR_W1943:1:8075344:8077424:-1 gene:ORUFI01G10880 transcript:ORUFI01G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQPPNADAQHTDIPDGRSFLGVPRYYRHSLTVLQRAVRSWNAHNHKHPMTAAVAPSAFVSTSDRSLLAVQAVVAEFDRFLDAYDFNAVGWSRDHPMAAAAGRFLDKRNPNTDKNNPSGLAGVARRFVMFNGAVCEAQLRWLDGN >ORUFI01G10890.1 pep chromosome:OR_W1943:1:8078065:8079474:1 gene:ORUFI01G10890 transcript:ORUFI01G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDTKDMLKNVDWKTVGGSVSTDPSQPVVKKRLPKKIRQVPECYFLPRRSLPSALAIYGAACAAGVGAGMLLEVWINKKIKEDSAIVWEMGK >ORUFI01G10900.1 pep chromosome:OR_W1943:1:8081077:8085618:-1 gene:ORUFI01G10900 transcript:ORUFI01G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPHAAAAAAGGGEGEGGASPDTGLEGPMWRMGLGGGGGGGGGGGGGDGDAAGRLPERPGEEDCVYYLRTGACGFGDRCRYNHPRDRGGTEFGGGARNAAALDYPERAGQPICEYYMKTGTCKFGTNCKYHHPKQDGAVLPVMLNNSGFPIRLGEKECSYYMKTGQCKFGTTCKFHHPEFGGVPMTPGIYPPLQSPSIASPHPYASLANWQMGRPPVVPGSYIPGSYTPMMLSSGMIPLQGWSPYPASVNPVVSGGAQQNVQAGPVYGMGHHGSSSTIAYGGPYVPYASSTGQSSNNQQEHGFPERPGQPDCQYYMRTGDCKFGATCKYHHPRELSAPKSGYMVNSLCLPLRPGAQPCAYYAQNGYCRYGVACKYDHPMGTLGYSPSALPLSDMPIAPYPIGFSIATLAPSSPSPDLRPEYISTKDQSVNQVTSPVAASEPVGSILPKGVFPADTMMRAQTNTTSGGSSSPGGGR >ORUFI01G10910.1 pep chromosome:OR_W1943:1:8102462:8107333:1 gene:ORUFI01G10910 transcript:ORUFI01G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLACLFPCPQEVRDEDEEPRSGQRVSSVEMFGADWLVSLVAVLVELNMLSVEYSKSSESCPLKTEGSIDMVGIRRNKGHGEATIFTLRELADATNNFSTECLLGRGGFGSVYKAFLNDRQVVAVKQLDLNGLQGNREFLVEVLMLSLLHHPNLVKLFGYCVDGDQRLLIYEYMPLGSLEDRLHDLRPGQEPLDWTTRMKIAADAAAGLEYLHDEAIPAVIYRDIKPSNILLGEGYNAKLSDFGLAKLGPVGDKTHVTTRVMGTHGYCAPEYLSTGKLTIKSDIYSFGVVFLELITGRRALDSNRPPDEQDLVAWARPLFKDQRKFPKMADPSLHGHFPKRGLFQALAIAAMCLQEKAKNRPSIREVAVALSYLASQTHESQNTAARHTLPGPSVPRVLDNQINQDTSLPSQHGVHMPPLAGTDHMVQEVKENCRSSSHRPGRGRVTPNGADRERALAEANVWVEAWRRQEKTSKMR >ORUFI01G10920.1 pep chromosome:OR_W1943:1:8111869:8119016:-1 gene:ORUFI01G10920 transcript:ORUFI01G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFHVYEAIGRGKHSTVYKGRKKKSIEYFAVKSVDKSQRSKVLNEVRMLHSLDHPNVLKFYSWYETSAHFWLILEYCVGGDLKGLLEQDKKLPENSIHDLAYDLVKALQFLHSQGIIYCDLKPSNVLLDESGCMKLCDFGLARRLKDIEKTNPGDVPQPLKGTPCYMAPELFQEGGVHSYASDFWALGCVLYECYSGRPPFVANEFTQLVKSIISDPTPPLPDNPSRSFQNLINCLLMKDPAERLQWSELCEHHFWRSRMSIIPLPPQPAFDNMVDLSATPYLVERNGDKPSRQSTPPKPRDGLRKKDENSAKVFTPVKNMLSGKKNNAKPSCKADGLKGVNILRMSRVAKRNLQREKDKENYRRHPTEASENDTEVKIENNDMELDFGENPEGDAPDDNDGSDNPGSAEDEKLSTQGTDGNEENCMSNQMDMLTDEGPVKAETMIKTEHNCSENLDVVATPPSICMRKAQRAKTTSGAAAGSEPSDISAAFWHPTDLAVKPVMPGRKGDKAVETVPMLPFEALPAVDYIKLPREQMNAFNSQILQSLSGTFQVSEKQNIIKYLEMLSINSDAANIITNGPIMLLLIKMLRLSKTSVLRVQIASLMGLLIRYSTILDIELASSGIFNALSDGLRDKHDKLRRFCMATLGELLFYISTQSDQDTKEINAQESPLKDNRATASWQVPSSVIALVSSILRKGEDDLAQLYALRTIDNICSQGTDWTSRFASQDVISHLCYIYRATGKQENTRLIAGSCLARLARFSSSCIHLILERLAFKDIACTLIKGNSPTEEPSVILNEHKIFTSRILPSLSVLYKGNKDGDARFLCLKILSDVMIVIFSDSSLTSNEQTVSDLEKISQKYFLPMYPSFAEDEDPIPIYAQKLLVMLMEHDYVKVSDILNEATVSRCFEFLLGDLSNANVSNVKLCFALASAPDMDTDILSQLQVVRRIGNLLEFVTAKDMDDFLEPTLELCRAFIIRGISSDKIVALSKEPALLVDSAFSMSIAVDQQSCVMDICDFGGNMGIFLDLVGSSDPHISDLASDCLVLLLKAAPREATVGLLTNLPKLSVVLDLLKHGTCLRLTRLLYCLAFSCRQYLAQGMIVSISLSALMRVEALVSAFKGSHDGCLADAASYLGAELQRLPRCG >ORUFI01G10930.1 pep chromosome:OR_W1943:1:8120812:8127512:-1 gene:ORUFI01G10930 transcript:ORUFI01G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDRAVRGSSDRRLRTKYDNAVYVVQRAFALYPFEEVAFSFNGGKDSTVLLHLLRAGYYLHKSSSDGEVEMNTIQNCPVRTIYFESPCAFPEINSFTYETVSTYGLPLETIRSDFKSGLEGLLKERPTKAIFIGTRIGDPNAVGQEQFSPSSPGWPPFMRVNPILDWSYRYTSIGSIYDTVPNALLCDSTTGKSFRPAYMLSDGRLERAGRTKKNISSVSSNGTNSTEVEQTISRSASIIVVGDEILFGTVEDKLGAGLCKKLHAIGWRVSHVAVVSNEIDSVAEEVERCKSTDDMVFLVGGLGPLHSDISLAGVAKAFGVRLAPDEEFEEYLSQLIGDNYTGDRNEIKCKNVVILAATNVDELETEWGCLLDTQESGLVMAKSFVSKHLCTSLLDVKIAPVVAKLCIDFSDVYIGCYRISRSGPLVVSFIGKDNQRVEAAAEKLTNSFEGQFSQVDSCK >ORUFI01G10930.2 pep chromosome:OR_W1943:1:8120812:8127512:-1 gene:ORUFI01G10930 transcript:ORUFI01G10930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDRAVRGSSDRRLRTKYDNAVYVVQRAFALYPFEEVAFSFNGGKDSTVLLHLLRAGYYLHKSSSDGEVEMNTIQNCPVRTIYFESPCAFPEINSFTYETVSTYGLPLETIRSDFKSGLEGLLKERPTKAIFIEQFSPSSPGWPPFMRVNPILDWSYRYTSIGSIYDTVPNALLCDSTTGKSFRPAYMLSDGRLERAGRTKKNISSVSSNGTNSTEVEQTISRSASIIVVGDEILFGTVEDKLGAGLCKKLHAIGWRVSHVAVVSNEIDSVAEEVERCKSTDDMVFLVGGLGPLHSDISLAGVAKAFGVRLAPDEEFEEYLSQLIGDNYTGDRNEIKCKNVVILAATNVDELETEWGCLLDTQESGLVMAKSFVSKHLCTSLLDVKIAPVVAKLCIDFSDVYIGCYRISRSGPLVVSFIGKDNQRVEAAAEKLTNSFEGQFSQVDSCK >ORUFI01G10940.1 pep chromosome:OR_W1943:1:8129142:8141913:-1 gene:ORUFI01G10940 transcript:ORUFI01G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVALTIKHVLLLCPFATAVWDEERCRRRAGVGSEVERRGDSKPSRRGHRPPATARAAPLRREQKRAREDELATASSGWTPESGSGRRAAAKATRWRGAGVQRRRPTARLCVGGGWGLGLGRTGSEKHGCGEAASAGESGVASAGESGGGEAAPTSPRHPALSSAAAPGARDEDAGLVGGVGGEGGTGEAGARARSRRRQIGQERGHMGRKAPSVVCCCFPFTVAELVVLAVVCVPAALCHLAVRGGHRRRVCSAKQKEMGELLTLDVTSPRSLAAAAAKARKVEVEFPATPMAEHLGEAEVARWKSGLQAALHWVARLLSSAGEDARPAADLRVLLPVLACPLSPVPILPRLPRHVHFYLAACVPNAQLSGGSGMTERVSQMERFKGLAEERKLREKRKD >ORUFI01G10950.1 pep chromosome:OR_W1943:1:8134048:8134400:1 gene:ORUFI01G10950 transcript:ORUFI01G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAATTTATCGSKGQAQRSGTHRQPHVRIPSEAAATVRRRGRWATQSSVGGEDGDAAMVVSPHKVVARRAAAHSSVLEGAGRMLKGCDLHHVRNAVLCRTGFLD >ORUFI01G10960.1 pep chromosome:OR_W1943:1:8136400:8140315:1 gene:ORUFI01G10960 transcript:ORUFI01G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAHLRKPKPPPLLPSHSLSSQVPIPSRHPHTSAPLAVAAARRRHATSWPSPPHASLTRIPESSRCSPSPAHLRGLAFALGAAARRGRWPVAGALADSALSRLASPPLILLRLSGDTAPQKKKKNVASPTTMRRCKEEDLFLESFLASQIYEPGPAFEENSEEAMLDISQTESTELWLIQWPLNQLDASDFHGQELTLKLHRDGKLSSLESSSGKSYDLVSFAAQQPDATVFLPSGPEAKAVGKIARRVSLVRYPDPEELEKPGLGSLTPSSKKSAGSSKKTRSRFTSGSKNRSSQGSAQSLGQQSAEPAHKHNQKRKDESSLGHSNVSGKSAEGSQVRGGDSGTTSEVPQTPVEKSKKKNKKVRIAE >ORUFI01G10960.2 pep chromosome:OR_W1943:1:8136400:8140315:1 gene:ORUFI01G10960 transcript:ORUFI01G10960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAHLRKPKPPPLLPSHSLSSQVPIPSRHPHTSAPLAVAAARRRHATSWPSPPHASLTRIPESSRCSPSPAHLRGLAFALGAAARRGRWPVAGALADSALSRLASPPLILLRLSGDTAPQKKKKNVASPTTMRSYEPGPAFEENSEEAMLDISQTESTELWLIQWPLNQLDASDFHGQELTLKLHRDGKLSSLESSSGKSYDLVSFAAQQPDATVFLPSGPEAKAVGKIARRVSLVRYPDPEELEKPGLGSLTPSSKKSAGSSKKTRSRFTSGSKNRSSQGSAQSLGQQSAEPAHKHNQKRKDESSLGHSNVSGKSAEGSQVRGGDSGTTSEVPQTPVEKSKKKNKKVRIAE >ORUFI01G10970.1 pep chromosome:OR_W1943:1:8151632:8153143:1 gene:ORUFI01G10970 transcript:ORUFI01G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPSLPPDLHRVLSLLPRLASPRHLLQAHAYLLPRGGHRHARVASALLLASLRLPLRDHAAALVRRVHPSVSLRAAARLRGRGGGGLAAQLHSLLVRAGHAADPHASASLVQAYCSCGSVASARRVFDETAASADVVSWNVMIDGYVKSGDLARARELFDVMPGRNVVSWTMVIGAYAQMKQPEEAIEVFRRMQVEGIEPDGVALLSVLSACGDLGVVDLGEWVHRFVLRRGLCQEIPLMNAIIDMYVKCGSVKKALEVFEGMEQKSIVTWTTMIAGFALHGLGLEAVELFRRMEKENVSPNDITFLAVLSVCSHVGLTDLGRWYFKTMVSQYKIKPRVEHYGCMIDLLGRAGCLMEARGLVQDMPFKANAAIWGALLAAARTHGDTELGEQALLHLIELEPHNSGNYILLSNIYAEQERWDAVRELRISMRDRGLRNVPGASSIDVDGMVHEFTSRDGSHPSLHKIREVLCAINSNIKSVGHIALLPESLHDVEEG >ORUFI01G10980.1 pep chromosome:OR_W1943:1:8155188:8159762:-1 gene:ORUFI01G10980 transcript:ORUFI01G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLPDTLSSLMGFNKHLTPSWIESVSHIIDGLSPTKPQMKVMVEKDENISDDNTESEAKVQKIQDELVSLNAQLKQITLQRREALNNYLDLKGNIRVFCRIRPFHHEESYSSRNLFTLDESNVFLKVAETKRKQYKFDKVFDQFSTQGDVFSEVEPVIKSALDGYNVCIFAYGQTGSGKTYTMEGKPTNLGAIPRGIQTLFNQASECNNRFLFTFSMLEIYMGNIRDLLAPRSKTNGIKNVPSLSIKSDPDGGIEIEDLVAVTVNSFQEVKRLYEMGTRLRSTASTMANSTSSRSHCLIRISLTSLNATERRKATSKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKPHVPYRNSKLTQVLRDSLGCESKTLMLVHISPDEGDLCETICTLGFATRVRSIRLESEEPPEMKARKETLLIDLGQKVNDLEHECEDIRRKIKNLEESMEHLTGPQPTIYSNFDMSHLSSEELKTDVSSNVRNSKNRREASSRLPRFMKPTASSQHRIGLNNRTPIINRLKPPVPPRRRPSSVYAESVMVPVNAAPWQSECSSECSMSLTSDMNWTPSIRDGTECSQDASEYEIKQVIFSEHEKSSHDQVTCYTDYPLAESRDIQIKIEEKGIVDIDNWLHQQIVEKTSTFRSKMVLDIPGVTEAEIHVSSIPSPTTMACTKEDSQVKDEVMGLTLQSSTDYVEDIKQSKTDNQFTAKELCTPPFKEFSSNNEVKGHKNEHPVYHGRPRRSLQEELENCTLEKPNMDSKSHRSHDDKHKTGNVLSYTGE >ORUFI01G10990.1 pep chromosome:OR_W1943:1:8160130:8161107:1 gene:ORUFI01G10990 transcript:ORUFI01G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVGAASGERIHCLNPSWGSKVSITSQEKGHRVKQGGSGDELMIDYIPWHMATTFNGWMRCLANENHSRSAVIRKGSPTMCHAELFSEATGGTVA >ORUFI01G11000.1 pep chromosome:OR_W1943:1:8164576:8165427:-1 gene:ORUFI01G11000 transcript:ORUFI01G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSGIRSFCHGVDSTSTTMQRRLVGADAASSSFLTVPTSTASSVGVAESEAAAAVTLEQMILQLDLEEAAARKAQQQQQPRRASCVNSSDGRVLRSARDALSQYPRFSLDGGRDAMYRASFSDHHHYYYHDAALASSSSGHRRSPPPCRGMPPTVAGESVVWCKPGVVAKLMGLDAVPVPVRGGGQRRGGAAATAGGRRKASGAPPLASVIAGGGGRKRRGRRTGREEEELEKERLFMALHGYDVAVARACHAGALHPSVAPNVSGMGRGAEDGWGFRLPH >ORUFI01G11010.1 pep chromosome:OR_W1943:1:8166434:8167167:1 gene:ORUFI01G11010 transcript:ORUFI01G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPFEVYKEDVMPVMKEEKIFRDEALRLFLEEWIDARCKMEDKLDRALEKYRSSKGEGFPRRQRMPPRQPPLTLRRHPPTPKTSLPMMPTKCSTASFNDGCARMAASSSHTNKVPIPTVTLELGYGEHKAHTPCIDTTDCSKETHAKCLMAALNVNGGSNQAVVAFLTMTDMFKIIPTYVEPMDIFSARSTIDHKENIPMPHRRRMHLMVNGLAECLLASSSPSSSLFPYSPSLVGRAAV >ORUFI01G11020.1 pep chromosome:OR_W1943:1:8170096:8173974:1 gene:ORUFI01G11020 transcript:ORUFI01G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGALASTIVRQVLTKFGSSVWDELAVLCTFRADLAAMEAQFATIRAVLADAAVRDWLRRLRDVAHDIDDFLDACHTDLRRGEGGGDCSVCGGLTPRSFAMAHRLRSLRRELGAVAASKDRFSLSPDARPPASRQLPSVPLMRETISMVDEAKTVGRSADKERLMRMVLDAAGDDDDDDDDGVSVIPIVGIGGLGKTTLAQLAFNDRRANDEVFDPRIWVSMSAGFSLATLVQAVHPIVAAPSERCDLATTTTTNLEAIARFLSMAFTGNKYLLVLDDVWSESHDEWERLRLLLRGGKRGSKIIVTTRSRRIGMMVGTVPPLMLKSLSDEDCWELFKRKAFEEADEELYPKLVRIGKEIVPKCGGVPLAAKALGSMLRFKRNEESWIAVRDSEIWQLDKEETILPSLKLSYDQMPPVLKQCFAYCSVFPRNHEIDKGKLIQQWVALGFVEPSKYGCQPVSDKADDCFEHLLWMSFLQEVDQHDLSKKGLEVDGRVKYKIHDLVHDLAQSVAGDEVQIISAKRVNGRTEACRYASLHDDMGSTDVLWSMLRKVRAFHSWGRSLDINLFLHSRFLRVLDLRGSQIMELPQSVGKLKHLRYLDLSSSLISTLPNCISSLHNLQTLHLYNCINLNVLPMSVCALENLEILNLSACNFHSLPDSIGHLQNLQDLNLSLCSFLVTLPSSIGTLQSLHLLNLKGCGNLEILPDTICSLQNLHFLNLSRCGVLQALPKNIGNLSNLLHLNLSQCTDLESIPTSIGRIKSLHILDLSHCSSLSELPGSIGGLHELQILILSHHASSLALPVSTSHLPNLQTLDLSWNLSLEELPESIGNLHSLKTLILFQCWSLRKLPESITNLMMLESLNFVGCENLAKLPDGMTRITNLKHLRNDQCRSLKQLPNGFGRWTKLETLSLLMIGDKHSSITELKDLNNLTGELRIECWSHKMDLTTAAKRANWRNKKKLSKLTLLWTIPCSADDFENVETFLEVLVPPENLEVLEIDGYMGTRFPSWMMKSMESWLPNLVSLDLSNIPNCSCLPPLRHIPYLQSLHLRYMAGVHSMSSEILVKRQKCVLYQSLKELHFEDMPNLETWPTSAATDDRATQPEGSMFPVLKTVTATGCPKLRPKPCLPDAITDLSISDSSEILSVRKMFGSSSSTSASLLRRLWIRKSDVSSSEWKLLQHRPKLEELTIEYCEMLRVLAEPIRYLTTLRKLKISNCTELDALPEWIGDLVALESLQISCCPKLVSIPKGLQHLTALEELTVTACSSELNENCRKDTGKDWFKICHIPNIVIS >ORUFI01G11030.1 pep chromosome:OR_W1943:1:8172004:8178652:-1 gene:ORUFI01G11030 transcript:ORUFI01G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVERVEDVFSEIFRSDADVEDDDPNIFLTDNISLLSEIDKSVIASGRHGFGLSFGHPVAVLKGTY >ORUFI01G11040.1 pep chromosome:OR_W1943:1:8176455:8176922:1 gene:ORUFI01G11040 transcript:ORUFI01G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPDPDSGGARCNGSPVEAGGATGRRLRLAGKEDRWPMEAHARRRVGIGQRRCEVASGGGGRGGPRGGPRGGGGWSTGGTTVTGWRGRREEVLRIVGPSGSGRARDNDGGSCSRVGCAADKGEEARPAAKVGAVRGAVSSEGRHDATRVAARGG >ORUFI01G11050.1 pep chromosome:OR_W1943:1:8178305:8182897:1 gene:ORUFI01G11050 transcript:ORUFI01G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGGGGGVMAGPGVAGGGGGGGGGGVGGDVELVSKTLQFEHKLFYFDLKENPRGRYLKISEKTSSTRSTIIVPVAGVAWFLDLFDYYIRTDERDAFSKELRLDTKVFYFDIGENKRGRFLKVSEASVNRNRSTIIVPAGSSGEEGWEAFRNVLLEINNEASRLYVLPNHPNQQHLEPPERLPGLSDDVGAGFIAGHGSQSASGPEVDVERLVDLPPQEEISGMGMSKVIRADQKRFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMTGANVRTVESSQR >ORUFI01G11060.1 pep chromosome:OR_W1943:1:8186718:8192477:1 gene:ORUFI01G11060 transcript:ORUFI01G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKRSSFLLVVVVVFALLLLTSMAAGGRKMLINKHQVQSMETSDDESMHQGQEDDEMLAMVHERILRQVKTNDYGTYDPTPTMAKPHAKEIPN >ORUFI01G11060.2 pep chromosome:OR_W1943:1:8189458:8192477:1 gene:ORUFI01G11060 transcript:ORUFI01G11060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKRSSFLLVVVVVFALLLLTSMAAGGRKMLINKHQVQSMETSDDESMHQGQEDDEMLAMVHERILRQVKTNDYGTYDPTPTMAKPHAKEIPN >ORUFI01G11070.1 pep chromosome:OR_W1943:1:8197077:8198609:1 gene:ORUFI01G11070 transcript:ORUFI01G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESLPSTPPLLTSVDPSSSRSRSLNVDENSTTKAHRSPGHQLCRQNLDNKVLSFKSFNESYLEEGRPLSSMPSVYSKDIMAGGSHERWSVDNDLLGHVTTNRTRSNAPHSTSLAPGQEEVCKLCSKQLKEQSTWNAHELAIVAVLFCGHSYHASCLDGISVESEKYDPPCPIRTHGEKYFTNLYGDVINRADVSSLQEDPRAD >ORUFI01G11080.1 pep chromosome:OR_W1943:1:8200011:8209642:1 gene:ORUFI01G11080 transcript:ORUFI01G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCIAAKERPQPCVTPIEVSAFRNVRHSPSWSFRWDNRTHIEDIMEMPALFSNHSSGSIRPETKSGSIAPTDGFSNGGSPSDMFNKLKCHKSDRKRESSKIARSDLRGRSTTSNSSPEAKLSRKSLDTVSVASDSKMSISVPSTPPAISRADPSSSSRGHSLPTDADSMRKARRSPGYQLYRQVSDSKIPSLRSLNEGASPEGRPSSSMLSVCSNDLSAVGSHGESSDGWSMRTFSEMVASSQRERWSVDSELLGSVSSKMTRSNASNNPTTHSPDQEVCKLCLKLLKERSTWNAQELAVVAVLLCGHVYHADCLDSLTAEADKYDPPCPVCTHGEKCTVKLFGKLESKTKNKIPKNVIVDVNLDGSSKHQKENRREPRLGTSSSLKGSFSRPFLRRHFSIGSRPSQSISESESARKKGFWARHWRE >ORUFI01G11080.2 pep chromosome:OR_W1943:1:8201446:8209642:1 gene:ORUFI01G11080 transcript:ORUFI01G11080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCIAAKERPQPCVTPIEVSAFRNVRHSPSWSFRWDNRTHIEDIMEMPALFSNHSSGSIRPETKSGSIAPTDGFSNGGSPSDMFNKLKCHKSDRKRESSKIARSDLRGRSTTSNSSPEAKLSRKSLDTVSVASDSKMSISVPSTPPAISRADPSSSSRGHSLPTDADSMRKARRSPGYQLYRQVSDSKIPSLRSLNEGASPEGRPSSSMLSVCSNDLSAVGSHGESSDGWSMRTFSEMVASSQRERWSVDSELLGSVSSKMTRSNASNNPTTHSPDQEVCKLCLKLLKERSTWNAQELAVVAVLLCGHVYHADCLDSLTAEADKYDPPCPVCTHGEKCTVKLFGKLESKTKNKIPKNVIVDVNLDGSSKHQKENRREPRLGTSSSLKGSFSRPFLRRHFSIGSRPSQSISESESARKKGFWARHWRE >ORUFI01G11090.1 pep chromosome:OR_W1943:1:8206483:8210809:-1 gene:ORUFI01G11090 transcript:ORUFI01G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMANQHQLRGTRRSARARGGCTGEPSRRAAARLWFFSESLRDMVLPPGFGFHPKDTELISHYLKKKIHGQKIEYEIIPEVDIYKHEPWDLPAKCDVPTQDNKWHFFAARDRKYPNGSRSNRATVAGYWKSTGKDRAIKMGKQTIGTKKTLVFHEGRPPTGRRTEWIMHEYYIDERECQACPDMKDAYVLCRITKRNDWIPGNGNELDNSDPHPEPCDAPPSVISTEQLNPDAEPVVGVEAAPVTVAEPDGVTTSAITANIPSPSDGINLDDWLNELFDPFFDPEQSLASADLSPDEQNVESSNLGALAPKVEQDYSSPNENVVDDTEYLLPEDVYNILHPGTDDFNMLQNPLDQYHIQYATDVWSGIQKEELWLPQANAEPSQSNEAADNGIIRRYRSMKTLEACVPQCMGKTQAKMRVGINKMATSSSESINQTIKFENSGRLVEHQKNQAHDVASTKRSDAGKPSTELSSNRGFLRGIRNAFAGCSDARWNMTLVAVFAIGVAVVALHVSQRLGLSQRDRQHT >ORUFI01G11100.1 pep chromosome:OR_W1943:1:8218200:8225856:1 gene:ORUFI01G11100 transcript:ORUFI01G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRGVRSPRPMAAAAAASPPQLRPGHMLVLGTGFVGRYVSQRLLAQGWRVSGTCTSPAKKTELEMLGMDASVFDATSSSLTNLRSLQDATHLLISIPPIPGIGDPLLSSHSDLQTTLSNSNLQWLCYLSSTSVYGDCGGAWVDEDYTVNPKSESAKLRNAAEKGWLNVIDDLDLSAFIFRLGGIYGPGRSAVDTIAKSKSLSRRQKLRESKQYTARIHVADICQAILASMSIRSARKIYNVVDDDPAPRSEVFAFAGSLVERKHPGLIMDSVVPATQDRIVAAEKRVSNVRLKEELGVRLLHPTYKSGLQSILESWSVESSFPNRTGDV >ORUFI01G11110.1 pep chromosome:OR_W1943:1:8231831:8235700:1 gene:ORUFI01G11110 transcript:ORUFI01G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVNGGELRVRVVSRRLVKASDESIRPHVLAVSNLDLIPQTIQVSMFCIYPKPSTGGDFHDVVAAFAAGLPSLLNHFFPLAGRIVTNPCSGLPEIHCHNQGAELVVGEANVALSSLDYGTMGSSIEKILLPYAGDVALSVQVVSFACGGFTVAWGTNHVLVDGSALSMLVGAWSELARSGALAAGARPNHDRSVFRPRAAQSYDASLDKEFTPLDDGERQVNVLTSDESFVARLYYIDAAEIARLREAANRDDGGARATRVQAVSAYLWKALATAVGARDERCRMVWWVDGRRRLTSSPERRAAMRNYVGNVVTFALAEATVDEIQRKPLPEVVSMVRHAITEEPAYDERFQELVDWAEEHKTERYMETVCIGLGSPTTPSHCPGQELVSEPSARNLPKPSSPKGGGRGVGYRAEVAVIPNGVVVF >ORUFI01G11120.1 pep chromosome:OR_W1943:1:8243671:8245963:-1 gene:ORUFI01G11120 transcript:ORUFI01G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCINGGELHVRVVHRRLVQASDESLHPHVLAVSNLDLLPQTIQVSMFCIYPKLNTSGGGFHDVVAAFAAGLPSLLNHFFPLAGRIRVSPCSGLPEIHCYNQGAELVVGEADVALASLDYGTVGASVGKILLPYAGDVALSVQVVSFACGGFTVAWGTNHVVVDGSALSRLVSAWSELARSGTLSPGSWPNHDRSVFRPRAPPSYGASLDEAFTPLDGERQVNVLTRDESFVDVRLYYIEAADIARLREQARATRVQAVSAYLWKALATVVGARDARCRMVWWVDGRRRLTSSSQELRAAMRNYVGNVTTFALAEATVEEIQRKPLAEVASMARDAITAPAYDEHFQELVDWMEVHKAGKQRYIDTASIGLGSPAVSVTAFASFEVDTDFGYGHAAMALPTSSSSARLCTGFVQIAARPGGGDGSWFASAILWPRLAAALESDERLGRIFKPVTADYVGNVVTFTLAEATVEEPLPGGGVHGARRDHRAGKLVAETWTRWRNTRASSSARHVEAATVRLGSPTVTVSAFSSFRLDTDFGFGREAVPLCSGFVQIAARPCGDGSWLASAFVWRRRSNPTSSACSGLSRRSTLNCSITPSYR >ORUFI01G11130.1 pep chromosome:OR_W1943:1:8261422:8264985:1 gene:ORUFI01G11130 transcript:ORUFI01G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVVEGTTMVGWVVSGVAFWWTAFLLARGAFPKRSYDFCNRAVSAAHAAAAVSLACLSVADWSRPLSPLAAASSPPQMKALAVTLSYMVYDAACCYLNDDVRVDNTVHHLVSIVGIAAGLAYRRCGTEMVASLFVTEISSPLLHLREILKEFGIKDTDLNLLVDILFAVIFSVARMGFGPYLTYVTVTADNPILIKAMATGLQLVSAYWFLRILRMVRHKLGKKRPAPKVAGD >ORUFI01G11140.1 pep chromosome:OR_W1943:1:8263982:8269396:-1 gene:ORUFI01G11140 transcript:ORUFI01G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSSAAAASGGGRGPAHHHRTRLLLLLLLAVAACASTAGFLLRGAMLDPCDVDARRGSGSSAAAVATTRTGAVAGNPLEFMRSKLVLLVSHELSLSGGPLLLMELAFLLRQVGSQVVWITNQRSEETNDVTYSLEHKMLSHGVQVLPARGHEAIDTALKADLVILNTAVAGKWLDAVLNDHVPQVLPKILWWIHEMRGHYFKLEYVKHLPLVAGAMIDSHTTAEYWKTRTHDRLKIQMPQTYVVHLGNSKELMEVAEDNVARRVLREHIREFLGVRSEDLVFAIINSVSRGKGQDLFLQAFYQGVQLIEQKKLKVPTMHAVVVGSDINAQTKFETQLRDFAVKNGIQDRVHFVNKTLAVAPYLAATDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIVVDGSTGLLHPAGKEGVAPLAKNMVRLASHEEDRVSMGRKGYGRVKEMFMEHHMAGRIAAVLQEVLKKSREHSHS >ORUFI01G11150.1 pep chromosome:OR_W1943:1:8270652:8278087:1 gene:ORUFI01G11150 transcript:ORUFI01G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGWETLASCPASVIHSLIKVLLVVTFMQWPATAIVVVPNSNCFTFDNNSRLVDFADLIGKNFEYNEKGSVPSDLVVQFCKDVQRRSQAGYTDFGRFISSRSFITGSQPIDFIQTFQYGDLVHCETTFEKMGRTSQVYNGLTQLGFEQSHHEFSFQGEQSHVSLYLSAVFSLSGLVGKPSLKVNPVKGLDVTLTGSGTNGAMPTTLSPTILNVIWRCEIARSSPYEVNILIPVEGYDPIEFTLTKECVHIQEKESNPMRGWATFGIISCIFIVLSSLLCCGGFIYKTRVQHQSGLYALPGMTILSAFLDAVGGPSYMRADDHGGNHASQASWERMPGTSQAAEHGTKDRRYGSL >ORUFI01G11150.2 pep chromosome:OR_W1943:1:8271068:8278087:1 gene:ORUFI01G11150 transcript:ORUFI01G11150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLLLVVTFMQWPATAIVVVPNSNCFTFDNNSRLVDFADLIGKNFEYNEKGSVPSDLVVQFCKDVQRRSQAGYTDFGRFISSRSFITGSQPIDFIQTFQYGDLVHCETTFEKMGRTSQVYNGLTQLGFEQSHHEFSFQGEQSHVSLYLSAVFSLSGLVGKPSLKVNPVKGLDVTLTGSGTNGAMPTTLSPTILNVIWRCEIARSSPYEVNILIPVEGYDPIEFTLTKECVHIQEKESNPMRGWATFGIISCIFIVLSSLLCCGGFIYKTRVQHQSGLYALPGMTILSAFLDAVGGPSYMRADDHGGNHASQASWERMPGTSQAAEHGTKDRRYGSL >ORUFI01G11150.3 pep chromosome:OR_W1943:1:8271068:8278087:1 gene:ORUFI01G11150 transcript:ORUFI01G11150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLLLVVTFMQWPATAIVVVPNSNCFTFDNNSRLVDFADLIGKNFEYNEKGSVPSDLVVQFCKDVQRRSQAGYTDFGRFISSRSFITGSQPIDFIQTFQYGDLVHCETTFEKMGRTSQVYNGLTQLGFEQSHHEFSFQGEQSHVSLYLSAVFSLSGLVGKPSLKVNPVKGLDVTLTGSGTNGAMPTTLSPTILNVIWRCEIARSSPYEVNILIPVEGYDPIEFTLTKECGFIYKTRVQHQSGLYALPGMTILSAFLDAVGGPSYMRADDHGGNHASQASWERMPGTSQAAEHGTKDRRYGSL >ORUFI01G11160.1 pep chromosome:OR_W1943:1:8278895:8279902:1 gene:ORUFI01G11160 transcript:ORUFI01G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAVGAAANGVAAFFGNTLFAPFRSLLDVSCDGVCAGTWDAFCFIDHLCALSLGKLILFLVLSYLMLLVMWKLGGKCVLKSACKTAMAACSCCCHAMAAAPCYLWRALRSTRRVRRGRRRRRDDDVEEGRGGGGGWGGSSSGFGWSSNEEEEEEEEEEGGSSSTGGGEYGGGRRRHGRSGGGGARKQERMRRSLRLRPASFKEKAVATAARRSRSSHGHGESGGGGGGRRLRRVGSSSRRM >ORUFI01G11180.1 pep chromosome:OR_W1943:1:8294316:8296052:-1 gene:ORUFI01G11180 transcript:ORUFI01G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQPDQGCRHPGLPGHRLCYDGLHDLLRLGARVVNCGNKPYPYPYHGLPWTEVARRRGETKQSINRQPMEVAIDQINWEF >ORUFI01G11200.1 pep chromosome:OR_W1943:1:8302939:8308349:1 gene:ORUFI01G11200 transcript:ORUFI01G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAQASAVVAAPVAAAAAAGATGHQHEGARGDAPPKQVAQAMERLGRAGRLIADIRLGADRLLEALFVAGDAPPHSAPQHVEKTALAVAQEEAAMHRHFDDLRALGRQLEESGVLNGALKARGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDEGFNHLHDGEPGLAKKPRLPASNGELEERTLSEILKNLENEVPNMKIFTYRRLDWSKRAASLATLMNDDFVDPSKELNLQNMSKSGSGDTTPIDQVAIIELLAPSIFRAIVSLHPAGSTDPDAVAFFSPTEEHADKALQYFVSVEPTKSLSLLLRWIASYQTLFTKVCSKCGRLLLMDKSLALLLPPVQRPYHHQTSSVGSDPQDAYHIGCSSYDA >ORUFI01G11210.1 pep chromosome:OR_W1943:1:8309825:8313022:1 gene:ORUFI01G11210 transcript:ORUFI01G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLRAPDGTTRRVDLDPTTATLADLTASASLVSTSPTAASSRPCRPLSWRGGMASPTATTPSAPPPPPPPPAACAARYDFLNSKPPPNYVARLGRGAAGFTTRSDIGPAMGRGRGKPPGDDGDGDGGGDEEEKGYDENQKFDEFEGNDAGLFSNAGHDDDDDDREADAVWEGIDRRMDSRRKDWREARLKQEIESIPEIGDYSLRRNKKRRFESFVPVPDTLLEKARQEQGHVTALDPKSRAAAAGAETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGVTIVDPRGYLTNLKSMKITSDAEISDIKKARLLLKSVIQTNPKHPPGWIAAARLEEVAGKLQVAQQLIQRGCEECPTNEDVWLKACRLASPDEAKAVIARGVKEIPNSVKLWLQAAKLETSDLNKSRVLRKGLEHIPDSVRLWKSVVELANEEDARLLLHRAVECCPFHLELWLALARLETYDQAKKVLNKAKEKLPKEPAIWIMAAKLEEANGNTELVNEVIERSIKTLQGKGLGIDREAWLKEAEAAEHAGSVMTCQAIVKNTIGVGVDDEDRKRTWVADAEECKKRGSVETAPAIYAHALSVFKFKKSIWLKAAQLEKRHGTEESLYILLSKATTYNRHAEVLWLMYAKEKWLAGDVPAAQTILQEAYAYLPNSEEIWLAAFKLEFENNEPERARILLSKARERGGTERVWMKSAIVERELGNVDEERKLLEEEKINGLRKSRAVLTMARKKNPATPELWLAAVRAELRHRNKKEADALLAKALQECPTSGILWAAAIEMVPRPQRKAKSSDAIKRCDHDPHFDKARSWLNRAVTLAPDIGDFWALYYKFELQHGNADTHKDVVQRCVASEPKHGERWQAIAKAVENSHLSIEALLKKAVVALGQDENPNAVDP >ORUFI01G11210.2 pep chromosome:OR_W1943:1:8309825:8313022:1 gene:ORUFI01G11210 transcript:ORUFI01G11210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLRAPDGTTRRVDLDPTTATLADLTASASLVSTSPTAASSRPCRPLSWRGGMASPTATTPSAPPPPPPPPAACAARYDFLNSKPPPNYVARLGRGAAGFTTRSDIGPAMGRGRGKPPGDDGDGDGGGDEEEKGYDENQKFDEFEGNDAGLFSNAGHDDDDDDREADAVWEGIDRRMDSRRKDWREARLKQEIERYRASNPKITEQFADLKRKLVDLSAQEWESIPEIGDYSLRRNKKRRFESFVPVPDTLLEKARQEQGHVTALDPKSRAAAAGAETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGVTIVDPRGYLTNLKSMKITSDAEISDIKKARLLLKSVIQTNPKHPPGWIAAARLEEVAGKLQVAQQLIQRGCEECPTNEDVWLKACRLASPDEAKAVIARGVKEIPNSVKLWLQAAKLETSDLNKSRVLRKGLEHIPDSVRLWKSVVELANEEDARLLLHRAVECCPFHLELWLALARLETYDQAKKVLNKAKEKLPKEPAIWIMAAKLEEANGNTELVNEVIERSIKTLQGKGLGIDREAWLKEAEAAEHAGSVMTCQAIVKNTIGVGVDDEDRKRTWVADAEECKKRGSVETAPAIYAHALSVFKFKKSIWLKAAQLEKRHGTEESLYILLSKATTYNRHAEVLWLMYAKEKWLAGDVPAAQTILQEAYAYLPNSEEIWLAAFKLEFENNEPERARILLSKARERGGTERVWMKSAIVERELGNVDEERKLLEEEKINGLRKSRAVLTMARKKNPATPELWLAAVRAELRHRNKKEADALLAKALQECPTSGILWAAAIEMVPRPQRKAKSSDAIKRCDHDPHFDKARSWLNRAVTLAPDIGDFWALYYKFELQHGNADTHKDVVQRCVASEPKHGERWQAIAKAVENSHLSIEALLKKAVVALGQDENPNAVDP >ORUFI01G11220.1 pep chromosome:OR_W1943:1:8326494:8328980:1 gene:ORUFI01G11220 transcript:ORUFI01G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKSQEVSWNDCDRDNAAGFALRARAGRVSDAAWPLLSPLDWNGLKMPGSPGLIPRVAQMELFAASSGSSMRSVLGTHSDFSSLSSFSGREESLECFPRGEPLPEQLLLLDDDGDMQPPFVLIHGMFHAMAGQLDKQPHSAGGTRGYGNGGAESLEATGIMGEFMFIHGAELVLQLSDWEADSGMLVPGTIIGTLFHPMFHGYM >ORUFI01G11230.1 pep chromosome:OR_W1943:1:8328377:8331282:-1 gene:ORUFI01G11230 transcript:ORUFI01G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDKDPGIKLFGRVIPLAPEAEAAAAADGSDQPEAAAAAAAAAAAEVEPAAQDEDHHKETEERKYDEMKVDVPQEEEDNEMKVDAPQEKKDNEVTADVPEEKGNDEMRVDASESIESIEPVSRSTLDNKKEDQGQMNNVEEKAASDSKDENEKTANDESGQDKVLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKSKSSSLHYRHLLMAPDCMMGSRVEISKSMNPEAFASAHSTPIQPIGRNETVLKFGPEVPLCESMASVLNIQEQNGTNAAAVPTGENQEDNSCISSITSHNVLPENAAQVDKNSTPVYCNGVGPVPQYYLGAPYMYPWNIGWNNVPMMVPGTSMPESASQSESCSTSSAPWMNMNSPMMPVASRLSAPPFPYPLVPPALWGCLSSWPAMAWNIPWIRTNGGCMSPSSSSNSSCSGNGSPLGKHSRDSSLPLKEDKEEKSLWVPKTLRIDDPDEAAKSSIWATLGIKPGDPGIFKPFQSKGESKGQAASETRPARALKANPAALSRSQSFQETS >ORUFI01G11240.1 pep chromosome:OR_W1943:1:8337168:8339236:1 gene:ORUFI01G11240 transcript:ORUFI01G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTKKNATVPPPPRLAARLLASSTRSDVAAARMRSRSMKPHLPFFSSGVAAFLLVSLNKVHYFHHHRNKLELDMSAWMGGSGCVDGSSDNAGGGS >ORUFI01G11250.1 pep chromosome:OR_W1943:1:8340603:8346928:1 gene:ORUFI01G11250 transcript:ORUFI01G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSEAWKSSAAAGHGDGDGDGDRTPPPTPPLPTPLLPPTLAPLQRSLVFGRVEGEAMSSEARESSATAVDRTPPPTPPSPPPPPPPPTNGTLTPPPSSAPSGGRATSSEARESPHATSVDDGGRAPPPPPLPRPTSARATPATTPSADGSSSSSSSTSGGSLPRGEMAHAVESMEKLRAAVSKLDEMRRVRSLLFPDKDSFMHLVSRYLIREEKEMIDWNKVERPTPEMVVPYDSLVQAPRDIPEIRNLLNKLAVLKLNGGLGTTMECVAPKCTIEVRSGLTFLDLAIMQTEIVEKYTNIEIHTFNQNKYPRIITEKFLPLSSEGSTGSHCWYPPGHGDVFFSLCKSGILDTMLSQGKEYVFIASSENLGATVDIEIESLTSTEILNHLIHNKNEYCMEVTPKTSADVKGGSLICYEGRVKLLEIFQVPYENVAFAYRRVNLKAIKRLVKAEALKMEIIPNLKEVDGVKVLQLEKEAGSAIQCFEKAIGVTVPRSRFLAVKNTSDLFLILSDLYIVMDGTVTRNPARDNSTNPLIDLGPEFRKVDSFLDRFKSIPSIVALDSLKISGDVWFGSRITLKGEVTIAAQLGLKLDILDGSVFDNKHSTHSSGAIKYTMKLDEGADCASIDSALNRLNPGSTLILKKDDFYRYIDPIQAQNRAMFHSESNCIITATLKDNWFAKLVQGKLWLIILVFHQFKLLSRRRLRWGERRRRTLRSIHQDIQSYLDNYSDSDMWHLIVHSVARVISNVLEDVNRNYMKNHYLGFLAIHSTVFAIEVIGSYAVELNYDIEHYAEPPEL >ORUFI01G11260.1 pep chromosome:OR_W1943:1:8341253:8348692:-1 gene:ORUFI01G11260 transcript:ORUFI01G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSDFQTAAVGMGFSLSCGVLLVVMSLLPLPRVYQAPYTRSTEEESNNSQQKLTRQINSEVARDEVHEGVLVFVTAQGKSQR >ORUFI01G11270.1 pep chromosome:OR_W1943:1:8351266:8353044:1 gene:ORUFI01G11270 transcript:ORUFI01G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIANRTEAITVGELFAQLVSFETRGSNYDSLAFTVACEGFDRRQGGDCMRPRTQGRKVPFILKMMILIPGEDIKNPREVLRAS >ORUFI01G11280.1 pep chromosome:OR_W1943:1:8362801:8364041:1 gene:ORUFI01G11280 transcript:ORUFI01G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQRRRRRGGSLAVLLLLLLLAALLLSLSLLARVDAAAAAAATVSSANLDWNEGEVAVATPLGQEAVAAAEEEGDRPPERVEMESINDYGQASANNRHNPHP >ORUFI01G11290.1 pep chromosome:OR_W1943:1:8365440:8368730:1 gene:ORUFI01G11290 transcript:ORUFI01G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEASPPAPPEIPTRCHHCAGPLSKDMETSSWTVPPLVRDSFSMIGSAIGGTAGAFYGFNHSTSSYCLLFGMCWVSRWDNTSACTAGIIILSRSHSVVLLCPHKFS >ORUFI01G11300.1 pep chromosome:OR_W1943:1:8370710:8372276:1 gene:ORUFI01G11300 transcript:ORUFI01G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYRVAPRPVYLSTAAAGGDHGDGPRRLPRGVAGGGGGGAELDIFSAERYFNADDVVKKECEQPRQEVAVDAASQSGRTVACSSEASWTSRSGLLSGHAQSAASAGAAAKLQMAGRGDAAVARMAKREHCAGRKAVTVDVASEQRSPVTPRTHARFDNSHVVADSTIFKANAPPPPPPPPCEEEPVKMKISPGSSTFPPPLANSIFAAAPNRGGGGGGAAPPPIVGRRVVSSGGFTFPVAVGAAKVVSTVGDEPPRESLEVFRPIDEESVLADPPADHLATVGGRGGGGGGGGLAAGFARAPGVAAVATDEEAMSDASSDLFDLESFAASSSFPTTCRGRSSRRNSREEEEDDGLPYGGAAAAAVEPALSECMYAPSEVSVVWSVATAEGGAFDAASVANFSSAASACCVEEFSFVPPPDSAATAAAGGHEGFTAAMSRSAARKKGGGGFLSSCRCEKAVSVGPTPVRMVRPEVNVKTTGGGHAAGLAGGGAARYHPGRVRMPVRT >ORUFI01G11310.1 pep chromosome:OR_W1943:1:8378367:8381457:-1 gene:ORUFI01G11310 transcript:ORUFI01G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVRSISSISSGPFSVESSNGATTEKNLTLEEQQEKINDLRKELGEHSSEEIQDFLSDASCLRFLRARNWNVQKASKMMKSAVKWRVSYMPQKINWDDIAHEAETGKIYRADYKDKHGRTVLVLRPGLENTTSGKGQIKYLVYCLEKAIMNLTEDQEKMVWLTDFQSWTLGSTPLKVTRETVNVLQDCYPERLGLAILYNPPRIFESFWKIVKPFLDHETYKKVKFVYSSDKESQKIMADVFDLDKLDSAFGGRNPATFEYNSYAERMRADDIKMGSSFKSNGITLPQDHSHVSADKEANGGDSDASSEDSFYSGTDSPNHEEGGSIPA >ORUFI01G11310.2 pep chromosome:OR_W1943:1:8377869:8380763:-1 gene:ORUFI01G11310 transcript:ORUFI01G11310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFKSSNGATTEKNLTLEEQQEKINDLRKELGEHSSEEIQDFLSDASCLRFLRARNWNVQKASKMMKSAVKWRVSYMPQKINWDDIAHEAETGKIYRADYKDKHGRTVLVLRPGLENTTSGKGQIKYLVYCLEKAIMNLTEDQEKMVWLTDFQSWTLGSTPLKVTRETVNVLQDCYPERLGLAILYNPPRIFESFWKIVKPFLDHETYKKVKFVYSSDKESQKIMADVFDLDKLDSAFGGRNPATFEYNSYAERMRADDIKMGSSFKSNGITLPQDHSHVSADKEANGGDSDASRALKMGKLD >ORUFI01G11310.3 pep chromosome:OR_W1943:1:8378367:8380763:-1 gene:ORUFI01G11310 transcript:ORUFI01G11310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFKSSNGATTEKNLTLEEQQEKINDLRKELGEHSSEEIQDFLSDASCLRFLRARNWNVQKASKMMKSAVKWRVSYMPQKINWDDIAHEAETGKIYRADYKDKHGRTVLVLRPGLENTTSGKGQIKYLVYCLEKAIMNLTEDQEKMVWLTDFQSWTLGSTPLKVTRETVNVLQDCYPERLGLAILYNPPRIFESFWKIVKPFLDHETYKKVKFVYSSDKESQKIMADVFDLDKLDSAFGGRNPATFEYNSYAERMRADDIKMGSSFKSNGITLPQDHSHVSADKEANGGDSDASSEDSFYSGTDSPNHEEGGSIPA >ORUFI01G11320.1 pep chromosome:OR_W1943:1:8388317:8392748:1 gene:ORUFI01G11320 transcript:ORUFI01G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGTREVLMLEAPPAPSGAPWRAPPDAEAVDALPYIDGDYGDPAVKREVDRMVEEEMRRGSRKPADFLRDLPPVPAIGFENHPMLAKEYERVRAGKPPATIEMSRYGLEPPPANKRNDVAAWRQALRNAQSQLHHQIIRIENLELMLKYGVEVWKLQNRQMESVLSSKTLVVNSMP >ORUFI01G11330.1 pep chromosome:OR_W1943:1:8393234:8394601:1 gene:ORUFI01G11330 transcript:ORUFI01G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAAAACHSPARLVVSCSSSAPPRRPLRVAVVGGGPAGASAAEALASAGAQAFLLERNPSGAKPCGGAIPLCMLDEFAIPRDLVDRRVTRMRVLSPSNLAADFSRALPPGAHIPMLRREVLDSFLRRRAADAGATLVPGLVTSLSLPSDPATDPYLVHYISSDSGGPSSSRCVLEVDAVIGADGANSRVAREVGAGDYSTAIAFQERIRLPDEAMAYYDDLAEMYVGGDVSPDFYGWVFPKCDHVAVGTGTVAAKPEIKRLQAGIRARAAGKVAGGRVVRVEAHPIPEHPRPRRVVGRVALVGDAAGYVTRCSGEGIYFAARSGRLCGRAMADEWGLTGAVTEAGIRSGYLRRWDDEFRTTFRFLDLLQRVFYGGNAGREALVEMCADEHVQRRTFDSYLHKRMAPAEPWGDLRLLWRTAAAMVRCGVLGREVERLRRLEQQAADQVRLRH >ORUFI01G11340.1 pep chromosome:OR_W1943:1:8395148:8397700:-1 gene:ORUFI01G11340 transcript:ORUFI01G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIANKA >ORUFI01G11350.1 pep chromosome:OR_W1943:1:8400124:8403990:-1 gene:ORUFI01G11350 transcript:ORUFI01G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRVDAAACDSWRPPPTLPLPHARRALALRVGGGGGGPAFASLTVREGGRGEEVRGGEEGEVGEGKGKQQLLPAAGVLVRHPLAMLALVPNAVALFAAGAAAGAVAKTITAPLDRVKLLMQTHSVRVVGESTKKGIGFLEVIRIVPYSAVQLFSYEVYKKFFRRKDGELTVFGRLAAGACAGMTSTLVTYPLDVLRLRLAVQSGHSTMSQVAMNMLRDEGLASFYGGLGPSLIGIAPYIAVNFCVFDLMKKSVPEKYKSRPETSLATALLSATFATLMCYPLDTVRRQMQMKGSPYNTVLDAIPGIVERDGLIGLYRGFVPNALKNLPNSRRSYVFIFLVVKVWGSDVG >ORUFI01G11350.2 pep chromosome:OR_W1943:1:8400709:8403990:-1 gene:ORUFI01G11350 transcript:ORUFI01G11350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRVDAAACDSWRPPPTLPLPHARRALALRVGGGGGGPAFASLTVREGGRGEEVRGGEEGEVGEGKGKQQLLPAAGVLVRHPLAMLALVPNAVALFAAGAAAGAVAKTITAPLDRVKLLMQTHSVRVVGESTKKGIGFLEVIRIVPYSAVQLFSYEVYKKFFRRKDGELTVFGRLAAGACAGMTSTLVTYPLDVLRLRLAVQSGHSTMSQVAMNMLRDEGLASFYGGLGPSLIGIAPYIAVNFCVFDLMKKSVPEKYKSRPETSLATALLSATFATLMCYPLDTVRRQMQMKGSPYNTVLDAIPGIVERDGLIGLYRGFVPNALKNLPNSSIKLTAFDTVKTLISTGQKELEKLMQENQEKMS >ORUFI01G11360.1 pep chromosome:OR_W1943:1:8413912:8425094:-1 gene:ORUFI01G11360 transcript:ORUFI01G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCNRQGVWLLDPFVSVDLHGGPLCAGSHDAALISFPSSYAPVVKEAGNNSATFMESILEAGNNSASLAFCRLLLYVITTNGMRLGAEADSGKSIGSWKQQCKPSFLSIVALRGLVKPMDNLPASNTPRLHFVAALNHPSSFLGDSSPLPSPSQCRRRLANRPTTVRLSPPPSPRLVSPPPLNTVRCGCYTLTPLDIAACPPLSRIGGYKENKEEEERIRGAHPKFSLHFSLSSIGDDEQPTTTEINFFYKVPLHFSLSSIDDDE >ORUFI01G11370.1 pep chromosome:OR_W1943:1:8427933:8430625:-1 gene:ORUFI01G11370 transcript:ORUFI01G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASVSASGDPMAECPPAAAAAEGSDAMDCGGGGGRSNARVAGVLRGFLAVQQRRAEAYSTLRRGFSEYMANGGELAFQQLCASVTAEFNDCSKQVLEMVALLSTPEICRGDLANLLKDVQAHEKVKLHLTARIQVLKKAGRPSERLVNHADCRSSNMAQHVCVHVKEITEAAGTEDAEADAEYDGALKEAIQGVQEAVTSINEHMEEVRYEIDALGSEIIGNNLAEVEEAFPDTLLIK >ORUFI01G11380.1 pep chromosome:OR_W1943:1:8432232:8436366:-1 gene:ORUFI01G11380 transcript:ORUFI01G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKLDSKSPAAARSAAARAAAAAAAAAAAAVAEPSSQPEALAEDPAPSSQPLGLSSEGAGERMMSREAGGGEEEEVEEVEVEEEVEVDEDEEGDGEGEGEEEEEAAERDADSIQALLNSFPKDQLVELLSAAALSHEDVLTAVHRAADADPALRKIFVHGLGWDATAETLTEAFSAYGEIEDLRVVTDRATGKCKGYGFILFSRRSGARAALREPQKKIGNRTTACQLASVGPVPPGGMATNPAPAVAPAPAQLALPPVSEYTQRKIFVSNVGADIDPQKLLQFFSKYGEIEEGPLGLDKVTGKPKGFALFVYKTLDSAKKALQEPHKQFEGVVLHCQKAIDGPKPNKGGGLGGLYGAGTSGGRKGAGGYGAHSHSLPGAAVGGHVMPSPVSSLTSLPGVAGGPGVNPALGQALTAILASQGGGLGLNNILGVGANGSGLPNPGASAGLGSSGLPGMPGAGGYLGGYGGGGGYGSTPPGGPGRNYMGH >ORUFI01G11390.1 pep chromosome:OR_W1943:1:8437959:8441485:-1 gene:ORUFI01G11390 transcript:ORUFI01G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRGCHRRLVSLRGVTAPSLLLPPITTTTTSMAAPHPHSHPAKTLRASPPPPSTAGSAPKRSRTMATDAAATAHSASAGCSAMKAEFAKHAEYLNTLNDKRERLVKASRDLTMNSKKAIFQVHRISKNNKEEVLSKAENDLTVVVNQYIGKLVKELQGTDFWKLRRAYTFGVQEYVEAATFCRFCKTGTLLSLAEINDSLLELGDKSVEPLQINVLDYVLGVADLSGELMRLAIGRISDGEVEYAKNICAFVRDIYRELTLVVPLMDDNSEMKKKMETMLQSVVKIENACFSVHVRGSEYIPLLGSSADPDYSFFGASDFDQ >ORUFI01G11400.1 pep chromosome:OR_W1943:1:8441489:8443469:-1 gene:ORUFI01G11400 transcript:ORUFI01G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWERQSAGWHVGPRPWGRKYRLDGGVYDGWGQQRVGMHGWRLLPRCFRWGSCSSSSVPWTVGPSCGLCGLVGLWPWHTPYKYKSHQARQRKRKCRDGVGPTI >ORUFI01G11410.1 pep chromosome:OR_W1943:1:8443679:8452494:1 gene:ORUFI01G11410 transcript:ORUFI01G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKSWPALEEARQKVAAAEAAARPGAGNAGGDGEPAKGAQAQQPSPPPPQSQAPNRTHKFDGHGNPNKNNQAYHRNGPKRRSPAANGTPSYPAAMPYHQHPGQPFYYPVIPSPVILHEYPYPPFAVPVPSHDPHAGKSGYENSMPAYVPVDQAGGNEGNRPVPPQPRGDLHAWRPPVGARPQPGLEGRGHFNHNWQNPQMFGTRENTSVPQGAGPRAFMRPMAHLPHTLGYINGPPYPGPMPPMYYYMPAVPMEPMRGPPRFVQNQPPPHPVLSPEAAELRAKILTQVEYYFSDSNLDHDNFLKSLMDEHGWVPISKVADFNRLKKMTTDIQLIVEALANSSLLEVQDGNMRRRSDWSKWVSLSGTSLPSPSSTSMDNTTGECNKDAYSEDEKKPHPRSVDCVGMGASDEPSQDTLSSSVTSLNKGLSNISIDNKPKSISACSLNSQKHEAAFRTGDVKVQKVNTKIKVPDSQRERGFCNDFPSDSPSFSGDQSTFLLDEELELEHAELSHDLYSHKRVDDEEDDFYVDDQDVNRLIIVTQDGPQAFSKEEISRINEGLYYYENHAYNQRSSQAGTIDIDSKPAGGSKGNPINIVNNGIEDSGQPIPRRRHNRGNRKAQSSHKQRFFPGNFANNTNNRSHYGGVSESPPSNSIGYFYGSTPENHRSSRLSSSPHGIPTGSSPVGSVPKSFPPFQHPSHQLLEKNKFQQQRYNKFKNRCIAERKKLGIGCSEEMNSLYRFWSYYLRDNFNDDMYKHFKKFALDDAAANYRYGLECLFRFYSYGLEKNFQRNVYEDFEQLTLEFHHKGDLYGLEKYWAFHHFRNQDSSPIIKHPELERLLKEEFRTIEDFKARKAAEKETGSSTAAAAGHNKADAK >ORUFI01G11420.1 pep chromosome:OR_W1943:1:8459619:8460233:1 gene:ORUFI01G11420 transcript:ORUFI01G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGVLVSLLFAAFSLPCLLLLLVLAEAGLRLASLALRGGRYAWPTRSDFLGYRIARRRRAAVSGGAGGADGEEEAQPTAECCDRLAVAMYRRGRDAAPVDCVFCLSRVDDGEEVRELRCRHVFHRACLDAWLVLPRATCPLCRDCLLPPPCEPPRSFPLDYYDDDDDVHFGFNDFSITSSTTTTTSAAAAVAYPHGVALWPM >ORUFI01G11430.1 pep chromosome:OR_W1943:1:8480374:8485159:-1 gene:ORUFI01G11430 transcript:ORUFI01G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGQGRSPLGFGTETCGPGWGSPEYKLHSLHGFKLVGNCSVLSRRRYTKPMNSDTDAVGTRPKSGWWLVCMV >ORUFI01G11440.1 pep chromosome:OR_W1943:1:8485232:8485742:-1 gene:ORUFI01G11440 transcript:ORUFI01G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDALRPHHVDRDPGHGGEILERLLLLLLPRCLGRRPLALGHRRRRRRSSRHGPELPEAVSAHSAAITLVLSPPNSSDSSSTIDAIDQSSKNLTQQRDRSEWGEKKTKQARNCTQLLGDSAVATSDFGFGWIGNSSSSGGCYISHRDCSARGYGGRAAGGILVPP >ORUFI01G11450.1 pep chromosome:OR_W1943:1:8485473:8485724:1 gene:ORUFI01G11450 transcript:ORUFI01G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVLLLSELFGGESTSVMAADWYMSGHSLREFRPVAAAPAAAAAVAKCERPAAEAAGEKKKEESFEDLAAVSRIAVDVMWP >ORUFI01G11460.1 pep chromosome:OR_W1943:1:8486198:8488821:-1 gene:ORUFI01G11460 transcript:ORUFI01G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNAIQYAVVDAFAAEPFKGNPAAVCLLEGEDAAAAADERWMQSVAAEFNLSETAFLIRDPSSSAAAADAAPRFRLRWFTPVAEVNLCGHATLASAHFLFTTVLAKQQHAAAAMVEFVTRSGILTAKKVPAPPPPANDGGVPGEEKLFIELDFPMIDLVEYDSAETLSIPETLNGARVVSVWKSSTAGDLIVELSSGKEVADIIPNINEIKKCDGRGVIVTGPAPAGSDYDFFSRFFCPKFGIDEDPVCGSAHCVLAPYWGGKLGKQKLTAFQASPRSGTLYLELDGENRRVRIQGEAVTVMAGTLLA >ORUFI01G11460.2 pep chromosome:OR_W1943:1:8486198:8488821:-1 gene:ORUFI01G11460 transcript:ORUFI01G11460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNAIQYAVVDAFAAEPFKGNPAAVCLLEGEDAAAAADERWMQSVAAEFNLSETAFLIRDPSSSAAAADAAPRFRLRWFTPVAEVASPFPPTLGFLLLVSPVFIRTRRRRRLQVNLCGHATLASAHFLFTTVLAKQQHAAAAMVEFVTRSGILTAKKVPAPPPPANDGGVPGEEKLFIELDFPMIDLVEYDSAETLSIPETLNGARVVSVWKSSTAGDLIVELSSGKEVADIIPNINEIKKCDGRGVIVTGPAPAGSDYDFFSRFFCPKFGIDEDPVCGSAHCVLAPYWGGKLGKQKLTAFQASPRSGTLYLELDGENRRVRIQGEAVTVMAGTLLA >ORUFI01G11470.1 pep chromosome:OR_W1943:1:8489867:8492807:-1 gene:ORUFI01G11470 transcript:ORUFI01G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLRKATVGGSAAAAAARWASRGLASVGSGSDIVSAAPGVSLQKARSWDEGVATNFSTTPLKDIFHGKKVVIFGLPGAYTGVCSQAHVPSYKNNIDKLKAKGVDSVICVSVNDPYALNGWAEKLQAKDAIEFYGDFDGSFHKSLDLEVDLSAALLGRRSHRWSAFVDDGKIKAFNVEVAPSDFKVSGAEVILDQI >ORUFI01G11480.1 pep chromosome:OR_W1943:1:8504674:8508323:-1 gene:ORUFI01G11480 transcript:ORUFI01G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFSGAGAHRPSCPSAANCAKWAQTYLKYCLCSTRDGMALTLGLLSVISWGVAEVPQIITNYKHKSTEGLSLAFLMTWIVGDFFNLIGCFLEPETLPTQFYMALLYTITTVILTGQTVYYSHIYHRLKAKKARATSKPQRHQRADASLREKLLGPKLPSFVCLDKNLEYRFVSSIWSARSLSSSPVPMSGTWSANYHQTNSPPEIDDQKESLVSEFSPAQYAASPLIKNSLSVVPWMSLLLGMSVLHFLVGTTHQEVPNGIVIPVGRRLLLLADDHADSSVSNGSGSGIGSFLGWVMAGLNPLMFTFALVGNVTYVGSILVKSMDWSKLKPNLPWLVDAGGCVLLDTFVSFCKLFTFRANTSYLLYVLSLNPKAGIYAHPRSELICN >ORUFI01G11490.1 pep chromosome:OR_W1943:1:8511889:8516323:1 gene:ORUFI01G11490 transcript:ORUFI01G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRDTPLYRSPAAPKDYLHPQPPVVALSYLRSTSFAFGWIDLLESTQDTKVTPDIKNYTDIIGQFLLQTRISKTYIQPLMGGRKTMDIQVEAADRISVTLTLHVSTHGRCNLKKRMGNFCSEQWHTSSVRLHLSLPQGTSTPNDNRCLLNAMYIAYAIVKSPRANGALPL >ORUFI01G11490.2 pep chromosome:OR_W1943:1:8511889:8516323:1 gene:ORUFI01G11490 transcript:ORUFI01G11490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRDTPLYRSPAAPKDYLHPQPPVVALSYLRSTSFAFGWIDLLESTQDTKVTPDIKNYTDIIGQFLLQTRISKTYIQPLMGGRKTMDIQVEAADRISVTLTLHVSTHGRCNLKKRMGNFCSEQWHTSSVRLHLSLPQGTSTPNDNRCLLNAMPRANGALPL >ORUFI01G11500.1 pep chromosome:OR_W1943:1:8532517:8532783:1 gene:ORUFI01G11500 transcript:ORUFI01G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSICWHNAAVVGLLVRRGREGVAAGQLDAFATASAASPLSTPSPAAPSLAAPLPAATVAAVRDLHRRPRHRWPRRHLAFLTFAVA >ORUFI01G11510.1 pep chromosome:OR_W1943:1:8535122:8540280:1 gene:ORUFI01G11510 transcript:ORUFI01G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPKIPRRSPDSKDKDSDRNKERDAKNDWDSSRAYGSETDCKEEMCDSNKRKGLTMGEIVVDNSRSVDSCHETELHVLRDDRQDKSVEIKDILHDGVAKSDYAQRQIDLDSERRNGTGDNSRVDVLRDDKLDSGRDRNWSDRTREPEGSKDYVRNRQWQDSKEANDSEWKNAHERLDGGSFHGRAGYRRDSRGRSESIRGSSTYGGRYDSSDSIEIRPNNNLDFGREGSVSGRRYDVGAHRDATPGTNGDKSANPEADQSGSTSTISQFPQHGPKGDRSSRGRGRPNSRDSQRVGGTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGPLLPGVFVPPFPGPLVWPGARGVDVNMLSIPPNLPIPPVAAEHRFAPSMGAGPGHNIHLNQIGSGIGAPTNVSGLSFHQLGTQSREMAHDKPPAGGGWTPHRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNAEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKEAYIKNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIEGLRPKSPPQKN >ORUFI01G11510.2 pep chromosome:OR_W1943:1:8535122:8540429:1 gene:ORUFI01G11510 transcript:ORUFI01G11510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPKIPRRSPDSKDKDSDRNKERDAKNDWDSSRAYGSETDCKEEMCDSNKRKGLTMGEIVVDNSRSVDSCHETELHVLRDDRQDKSVEIKDILHDGVAKSDYAQRQIDLDSERRNGTGDNSRVDVLRDDKLDSGRDRNWSDRTREPEGSKDYVRNRQWQDSKEANDSEWKNAHERLDGGSFHGRAGYRRDSRGRSESIRGSSTYGGRYDSSDSIEIRPNNNLDFGREGSVSGRRYDVGAHRDATPGTNGDKSANPEADQSGSTSTISQFPQHGPKGDRSSRGRGRPNSRDSQRVGGTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGPLLPGVFVPPFPGPLVWPGARGVDVNMLSIPPNLPIPPVAAEHRFAPSMGAGPGHNIHLNQIGSGIGAPTNVSGLSFHQLGTQSREMAHDKPPAGGGWTPHRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNAEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKEAYIKNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIEGLRPKSPPQKN >ORUFI01G11510.3 pep chromosome:OR_W1943:1:8535372:8540280:1 gene:ORUFI01G11510 transcript:ORUFI01G11510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPKIPRRSPDSKDKDSDRNKERDAKNDWDSSRAYGSETDCKEEMCDSNKRKGLTMGEIVVDNSRSVDSCHETELHVLRDDRQDKSVEIKDILHDGVAKSDYAQRQIDLDSERRNGTGDNSRVDVLRDDKLDSGRDRNWSDRTREPEGSKDYVRNRQWQDSKEANDSEWKNAHERLDGGSFHGRAGYRRDSRGRSESIRGSSTYGGRYDSSDSIEIRPNNNLDFGREGSVSGRRYDVGAHRDATPGTNGDKSANPEADQSGSTSTISQFPQHGPKGDRSSRGRGRPNSRDSQRVGGTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGPLLPGVFVPPFPGPLVWPGARGVDVNMLSIPPNLPIPPVAAEHRFAPSMGAGPGHNIHLNQIGSGIGAPTNVSGLSFHQLGTQSREMAHDKPPAGGGWTPHRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNAEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKEAYIKNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIEGLRPKSPPQKN >ORUFI01G11510.4 pep chromosome:OR_W1943:1:8535372:8540429:1 gene:ORUFI01G11510 transcript:ORUFI01G11510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPKIPRRSPDSKDKDSDRNKERDAKNDWDSSRAYGSETDCKEEMCDSNKRKGLTMGEIVVDNSRSVDSCHETELHVLRDDRQDKSVEIKDILHDGVAKSDYAQRQIDLDSERRNGTGDNSRVDVLRDDKLDSGRDRNWSDRTREPEGSKDYVRNRQWQDSKEANDSEWKNAHERLDGGSFHGRAGYRRDSRGRSESIRGSSTYGGRYDSSDSIEIRPNNNLDFGREGSVSGRRYDVGAHRDATPGTNGDKSANPEADQSGSTSTISQFPQHGPKGDRSSRGRGRPNSRDSQRVGGTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGPLLPGVFVPPFPGPLVWPGARGVDVNMLSIPPNLPIPPVAAEHRFAPSMGAGPGHNIHLNQIGSGIGAPTNVSGLSFHQLGTQSREMAHDKPPAGGGWTPHRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNAEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKEAYIKNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIEGLRPKSPPQKN >ORUFI01G11510.5 pep chromosome:OR_W1943:1:8536438:8540280:1 gene:ORUFI01G11510 transcript:ORUFI01G11510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQLADYHLRMDSRSPKIPRRSPDSKDKDSDRNKERDAKNDWDSSRAYGSETDCKEEMCDSNKRKGLTMGEIVVDNSRSVDSCHETELHVLRDDRQDKSVEIKDILHDGVAKSDYAQRQIDLDSERRNGTGDNSRVDVLRDDKLDSGRDRNWSDRTREPEGSKDYVRNRQWQDSKEANDSEWKNAHERLDGGSFHGRAGYRRDSRGRSESIRGSSTYGGRYDSSDSIEIRPNNNLDFGREGSVSGRRYDVGAHRDATPGTNGDKSANPEADQSGSTSTISQFPQHGPKGDRSSRGRGRPNSRDSQRVGGTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGPLLPGVFVPPFPGPLVWPGARGVDVNMLSIPPNLPIPPVAAEHRFAPSMGAGPGHNIHLNQIGSGIGAPTNVSGLSFHQLGTQSREMAHDKPPAGGGWTPHRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNAEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKEAYIKNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIEGLRPKSPPQKN >ORUFI01G11510.6 pep chromosome:OR_W1943:1:8536438:8540429:1 gene:ORUFI01G11510 transcript:ORUFI01G11510.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQLADYHLRMDSRSPKIPRRSPDSKDKDSDRNKERDAKNDWDSSRAYGSETDCKEEMCDSNKRKGLTMGEIVVDNSRSVDSCHETELHVLRDDRQDKSVEIKDILHDGVAKSDYAQRQIDLDSERRNGTGDNSRVDVLRDDKLDSGRDRNWSDRTREPEGSKDYVRNRQWQDSKEANDSEWKNAHERLDGGSFHGRAGYRRDSRGRSESIRGSSTYGGRYDSSDSIEIRPNNNLDFGREGSVSGRRYDVGAHRDATPGTNGDKSANPEADQSGSTSTISQFPQHGPKGDRSSRGRGRPNSRDSQRVGGTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGPLLPGVFVPPFPGPLVWPGARGVDVNMLSIPPNLPIPPVAAEHRFAPSMGAGPGHNIHLNQIGSGIGAPTNVSGLSFHQLGTQSREMAHDKPPAGGGWTPHRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNAEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKEAYIKNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIEGLRPKSPPQKN >ORUFI01G11520.1 pep chromosome:OR_W1943:1:8540911:8544587:1 gene:ORUFI01G11520 transcript:ORUFI01G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGASGMGQPPTDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKSFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >ORUFI01G11530.1 pep chromosome:OR_W1943:1:8546350:8547621:1 gene:ORUFI01G11530 transcript:ORUFI01G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAGEPFSGRATGGDGGVRSDVMAPPAMAEEAKVSCLPLAREVGRRAAAAGGGQGRNFIVSPLSFHAALALVADGARGETQRELLGFLGSPSLAELHRSPTTRLVARLRHLPNTSFACGVWVDRGRALTPEFADAAASRYAAVAEPADFATQPEQARERVNAFVSDATEGLIRDVLPPNSVDSSTVVVLANAVHFKGTWSLPFHPSATFHAPFHLLDGGAVRAPFMTTEIPFERHVAAFPGFTALKLPYKNVGGGGGGDGVPRAAFYMLLLLPDGDGALKLADLYDMAVTTPEFIKKHTPAAEAPVRRLMVPKFKFSFKFEAKSDMRKLGVTRAFAGGDFSGMVTGGDGLFIAEVYHQATIEVDELGTVAAASTAVVMMQKGSSLPPVDFVADRPFLFAVVEELTGAVLFLGHVVNPLAE >ORUFI01G11540.1 pep chromosome:OR_W1943:1:8548251:8550664:-1 gene:ORUFI01G11540 transcript:ORUFI01G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADKLGDEDKDGRRGQADGMAGNEEFRVSGEMAGKGSYVPPQYIPLYGLDTEEDRVSAEENDAARHKLTRDPTQWSSGICACFDDPQSCFIGATCPCFLFGRNAQFLGSGTLAGSCTTHCMLWGLLTSLCCVFTGGLVLAVPGSAVACYACGYRQALRAKYNLPEAPCGDLTTHLFCHLCAICQEYREIRERTDSGSSAPTVTPPAIQTMDEP >ORUFI01G11540.2 pep chromosome:OR_W1943:1:8548251:8549824:-1 gene:ORUFI01G11540 transcript:ORUFI01G11540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGSYVPPQYIPLYGLDTEEDRVSAEENDAARHKLTRDPTQWSSGICACFDDPQSCFIGATCPCFLFGRNAQFLGSGTLAGSCTTHCMLWGLLTSLCCVFTGGLVLAVPGSAVACYACGYRQALRAKYNLPEAPCGDLTTHLFCHLCAICQEYREIRERTDSGSSAPTVTPPAIQTMDEP >ORUFI01G11550.1 pep chromosome:OR_W1943:1:8553977:8556393:-1 gene:ORUFI01G11550 transcript:ORUFI01G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLAAAAASPLTSLDLATSPATASRPAAAAASALRKRPAQPPPPRRKKAGHTSSSTRPRWQTALSVAAKNAVLLAVLLYVGDLAWRAARPAPPRPVDQAAMAGYDARVADVEASLARAFRMLQVQLEAVDRKIDGEVGAVRGELAALLEEKRLELEGQLKRLDARADDLSDALGALKRMEFLRKDEFDKFWNEVKESLGSGPGTEVDLDQVRALAREITMGEIEKHAADGIGRVDYAVASAGGKVVRHSDAYDAGKRGGFFSSLLSGDTAASPKKILQPSFGEPGQCFPLQGSSGFVEIKLRKGIVPDAITLEHVSKDVAYDMSTAPKDCRVSGWYQEAHNEAYSGHAASAKMYVLTEFTYDLDKKNVQTFDITAPDVGIINMVRLDFTSNHGSSALTCIYRIRVHGHEPVSPGMSVSQS >ORUFI01G11560.1 pep chromosome:OR_W1943:1:8566755:8567945:1 gene:ORUFI01G11560 transcript:ORUFI01G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFSFEEVEAATGGFAAKNLVGKGSHGNVYVARLVCGGDGGGGRVRKKKVVVVAVKRASHALGEAKLANEIAVLAAAGEVAGVVNLVGVAAGRREGERMLVMEYMADGSLHDLLHRPTTARQPPPPWPRRVEIALDVAEAVRALHGGEPRVIHRDVKSANILLGRDGRARLADFSLAVKVPAAPGGGGATAAAAGPAPAGTIGYLDPCYTEPDRLGPESDVFSFGVVLLELVSGRKVMDVSASPSSIVAWAVPLVAAGMAREVLDGRLPAPRRAREELAVARVLAVAARCVSEAVERRPAMSDVVAELHAALESAGWPRRPRRRGDAHGLTGTLYRRVVSWGASRLHVRRRRVRTSKIECTEHSGSEGSGAQAQPNYPGSNPRLSNSNKNIFDIN >ORUFI01G11570.1 pep chromosome:OR_W1943:1:8570291:8573208:-1 gene:ORUFI01G11570 transcript:ORUFI01G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >ORUFI01G11580.1 pep chromosome:OR_W1943:1:8575803:8576578:1 gene:ORUFI01G11580 transcript:ORUFI01G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIMEGPHLGVVDRRRAARRTIAALRRRRRRVSGDAPPLAPPCAEPFRLGPSHRRAPLLCSVANGRKKTTGRKKRTREGEIMTCGPHFISVQ >ORUFI01G11590.1 pep chromosome:OR_W1943:1:8578871:8590724:-1 gene:ORUFI01G11590 transcript:ORUFI01G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGAGEPLRRAWGYHPECPGCRVDRRNEEREGIPYTELSLIWLVTVSSTLPIQSLFPFLYFMIRDLHIAKQEEDIGFYAGFVGASYMFGRALSSVIWGIVADKYGRKPIIIITLISIIIFNTLFGLSSSYWMALTSRGLLGLMCGILGPIKAYATEVCRKEHGHLGLSLVSSSRGIGLIVGPAIGGYLAQPADKYPSIFSEKSIFGRFPYFLPCLCISLLAIVALLASFWLPETLHKHTQDMVLEDSISVEEGLSGPTAEENSAGCLNLFTNWPLMSAIIAYCIFSLQDVAYAEVFSLWAVSDRKYGGLSFSSQDVGSVLAFSGLFLLVFQILVYPSVAKSVEPITLVRIVAVTTITVFNILMNDAVAQDVRASANGVAVTLMSIFKAIAPAIAGAMRPPGILHAEHLHNHRSCEHFQAVLR >ORUFI01G11590.2 pep chromosome:OR_W1943:1:8578871:8590724:-1 gene:ORUFI01G11590 transcript:ORUFI01G11590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGAGEPLRRAWGYHPECPGCRVDRRNEEREGIPYTELSLIWLVTVSSTLPIQSLFPFLYFMIRDLHIAKQEEDIGFYAGFVGASYMFGRALSSVIWGIVADKYGRKPIIIITLISIIIFNTLFGLSSSYWMALTSRGLLGLMCGILGPIKAYATEVCRKEHGHLGLSLVSSSRGIGLIVGPAIGGYLAQETLHKHTQDMVLEDSISVEEGLSGPTAEENSAGCLNLFTNWPLMSAIIAYCIFSLQDVAYAEVFSLWAVSDRKYGGLSFSSQDVGSVLAFSGLFLLVFQILVYPSVAKSVEPITLVRIVAVTTITVFNILMNDAVAQDVRASANGVAVTLMSIFKAIAPAIAGAMRPPGILHAEHLHNHRSCEHFQAVLR >ORUFI01G11600.1 pep chromosome:OR_W1943:1:8591067:8592254:-1 gene:ORUFI01G11600 transcript:ORUFI01G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARREEIGEAMAGDGEEKGMKAVGRRRTRRRLVRRRTTRRRWGGGDAAAVSAKEDDAEAMGRRQCSSVGWEEENAAAVAGQGDSAARGVAGRGSRAVVASSTGGVFARE >ORUFI01G11610.1 pep chromosome:OR_W1943:1:8595092:8626859:-1 gene:ORUFI01G11610 transcript:ORUFI01G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIECNKKLRFREGEGEGEGEVETCSQNAETGTSWSCSCFQTRRTASVPPCIPTTAPDVIHRGRAGGLPVDSIYNPNNVIMRGGAERRKSGGECVVGSLGCAPSSGSSDRFSSSWVDVGSGGGCEGIAYGVGDGFDSLIDRGPQKPPSCKRSARERITWTFAAVYSPATRFSCIASEAAVDAMKALTATPFRRRGAPAAAAATATLEREKTPTLPKLTAQNNTPIEEREPPNPKGAHVWIPLPGPDEEAGGGGGGEREEAGGPTGGGGEEAAEEEAQVARWRRRPEEGPHRRRAARFDVRACVAGLSLPLAFAAAVVVRVKREGEGRGRWGLGVAASRRQAKSGASQERERWVALAAAGGGGDAVTGGPPACCGGCTGSITSWIRWGQAMR >ORUFI01G11620.1 pep chromosome:OR_W1943:1:8597695:8609496:1 gene:ORUFI01G11620 transcript:ORUFI01G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLRPSPPPRHLRLLLRRLLSTAAGRPSRLLPLPASSSARLLVRPRVAVAAAAAGAPLRRNGVAVRAFMASTAASEAMQEKRVAGEYTAANVQVLEALDGVRTRPGMYIGSTGSRGLHHLVYEILDNAVDEAQAGYATKVDVILHGDNSVSVTDNGRGIPTDIHPQTKKSCVETVLTLMHAGGKFGGSKSGYTVSGGLHGVGLSVVNALSEALEVTVWRDGKEYRQNYSRGKAITTLTSRTLSDESSSRQGTRIRFWPDKHIFTTTMDFDFNTIAGRIRELAFLNPELTIALTKEEDDLQVQHNEYCYAGGLVEYVKWLNTDKKSLHDPIAFRKEMDGITVDVSLQWCSDSYSDTVLGYANSIRTIDGGTHIDGLKTSLTRTINNFAKKSKTLKDKDISLSGEHVREGMTCIIAVKVPNPEFEGQTKTRLGNPEVRRIVEQSVQENLTEYLELHPDVLDSILSKSLNALKAALAAKRARELVRTKSVLKSSSLPGKLADCASSDPEESEIFIVEGDSAGGSAKQGRDRKFQAILPLRGKILNIERRDEAALYKNEEIQNLIVALGLGVKGEDFNKEALRYHKIVILTDADVDGAHIRTLLLTFFFRYQKALFDEGCIYVGVPPLYKVERGKQAHYCYDDADLKELVNTFPTNASYHIQRFKGLGEMMPAQLWETTMDPERRMLKQLKVEDAAEANVVFSSLMGTRVDVRKQLIQNAASMVNLEHLDI >ORUFI01G11630.1 pep chromosome:OR_W1943:1:8611576:8618118:1 gene:ORUFI01G11630 transcript:ORUFI01G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFIGNEQMQGIMKPEGGEMGWTLFAITVNDRRLRHLHPRVEQPDQAQWQQQDGHHRRSTQPAGPPSSPRLDREIPCARSAAATLPTSKFRRRRVPLLWIRRRRPPYLQASPPLEPAASTSPQPLSSSIAMSGGMAQSISLVAATGVEAGGHGGASAVVEVVAGGRDGAPAVTEEAAGAGADERRRSPRRRRVLRPSGTSSSGRFCRMCLSRI >ORUFI01G11640.1 pep chromosome:OR_W1943:1:8618399:8626469:1 gene:ORUFI01G11640 transcript:ORUFI01G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPTPSPAQPKTRKKDHVRLNNPFPRAVPSSSLRHRDAAPPLSFSPSSKLAHGAHDFPVGLHFLLRWDPSLGGAVSLAPRRGGGGATMWETVPGVAFVSAASVDTEADECRGSFALRDGRARLVPDRQRVDRIRAVYRRDADADADADLLRVAGAAFQASEHEQARFPVVVITGVVSARKPTPSPSCLCGRRRAAAAAGRPVLSARYWILLEEKSDTQVAFRVNLGDYQWSCDHDRHATHPSPSPSPSPSTSPRTHRAGSILRLRLSTRVQRSSGGSKKKKKLAFAAAGVPADREELAPLVPAAAAKEELEFNRVWMTLASSREERFYGFGEQFSRVEFKGKRVPVLVQEQGIGRGDQPITFAANLLNRIVRPADENSETAATVTDRGALVREFIACASGGNWSTTYAPSPFYMTSKMRSLYLEGYDYSIFDLTKPDRVQIQVYGSSVQGRILHGGSPTELITSYTESTGRPPALPRWITSGAVVGMQGGTDAVRRVWKQLQDHDVPVSAFWLQDWVGQRKTSIGSQLWWNWEVDDDHYAGWNDLVRDLRRRGVRTMTYCNPCLVPKANARRHLFEEAKKLGLLVRDAAGEPYMMPNTAFDVAMLDFTNPAARAWFKGEVLAVMARGGAAGWMADFGEGLPLNARLHSSGSGDDGPVAAHNRYPELWARVNREFADEWRSGEHRRVADDADDGDGDGELVFFVRAGFRESSRWAMLFWEGDQMVSWQANDGIKSSVVGLLTGGMSGFPLNHGDAGGYCTVDLPLLRYRRSEELLLRWLELSAFTVVFRTHEGNKPGSNCQFYSNNRTLAHFARCAKIYKAWEFYRIQLVEEAAEKGLPVARHLFLHYPEDQRVQKMTYQQFLVGTEMLVVPVLDKGRSTVTAYFPMSDGGLWKHVWTGDEFGGRTSRGGVGEGMSHGSEAEVEARIGFPAVFVRVGSTVGERFVRNLRDLKVL >ORUFI01G11650.1 pep chromosome:OR_W1943:1:8626988:8628359:-1 gene:ORUFI01G11650 transcript:ORUFI01G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLMPLGAVFFLGASFIQASKAFLMLFPCWRLRLIVPAPVVVALLKKSGRFSSLDEFDNDDLSRVPSPNRTSRVPSPRHRRRRXAAAAQAKHQKQQQQQTSKHWSRPARFVRSVRAAFRSFPILPAPSCRGLPSLPHLPGLHHGGAGGAVRNHFHGSTRTTGTLYGHRRARITIAFHDSPGSPPALLLDIAVPTAKFIQDVSAAGMVRVTLECDKQQHQPPPHAHPPGDPLPPRRLLDEPVWSAEVNGESVGYAARREATEADERVMRLLHAMSMGAGVLPAVAADAPTSAADGEVTYMRAHFDRVVGSKDAETYYMHNPEGCATGPELTIFFIRT >ORUFI01G11660.1 pep chromosome:OR_W1943:1:8629006:8629342:-1 gene:ORUFI01G11660 transcript:ORUFI01G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGEAGAFNGRRAVPRWAGLQQPQASQWPMGHGGGVELKPPEHLERVELELEPSTDLSGLAYVEGELLVHSRRATTSWWASAFLVASRTACGFPLD >ORUFI01G11670.1 pep chromosome:OR_W1943:1:8631745:8637066:1 gene:ORUFI01G11670 transcript:ORUFI01G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGVSGFQNAPVTRAVVLASGLLSVVFSAQRRARALVLSHQGIVKNFRLWKLFASGFAFQSTPELLFGVYLLYYFRVFERQIGSNKYSVFSLFTISVSLLLEILSLVLLKDTNYLSTLACGPYGLIFASFIPFFLDIPVTSRFRIFGVNFSDKSFIYLAGLQLLLSSGKRSLIPGICGLIAGSLYRLNVLGIRRMKMPQVIASFFARIFAPSSGGSSRPSRSLVGNMSSRTSRAVQNNQPSGFAPVVEPPESSIAMLVSMGFDGNAARQALMRARNDINTATNILLEAQTR >ORUFI01G11680.1 pep chromosome:OR_W1943:1:8637590:8643514:1 gene:ORUFI01G11680 transcript:ORUFI01G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAADLPAGSQDHMNALRSTALRRSTLHWDAAAAFFAPPFRSRSCRRRRVPAPAAAAETRPTRSPSRARARARAKLLADADTRDPWLASLSLLPVDDGEAAAAAAAASTGWAIGVDPDTGGAIAVLSPDGSSQVFDNPFVHIVVSEFIRKRLDTKSIIELLRGLDAPPGTTAYIEKSSPFPTDGKLGWWSTGFSYGLWIAALVASGFSVVPVASQTWKAYFGLTRSGSPKDDSRQAASILFPDKALSLNRKKHHGRAEALLLAAYGKGLVLPSGEFSKTL >ORUFI01G11690.1 pep chromosome:OR_W1943:1:8641560:8645635:-1 gene:ORUFI01G11690 transcript:ORUFI01G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVRNIEGVSLPVLTPNLKGFEAAVAAGAKEVAVFASASEAFSKSNINCTIKESLARYKDVALAAKELKIPMRGYVSCVVGCPVEGYVPPSNVAHVAKELYDMGCYEVSLGDTIGVGTPGTVVPMLEAVMFFVPKEKLAVHFHDTYGQSLSNILISLQMGVSVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGISTNVDLGKVMAAGEFICNHLGRQSGSKAAIALGSKVATANASKL >ORUFI01G11700.1 pep chromosome:OR_W1943:1:8647024:8651585:-1 gene:ORUFI01G11700 transcript:ORUFI01G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPATGGGFPAQDYPTIDPTSFDVVLCGTGLPESVLAAACAAAGKTVLHVDPNPFYGSLFSSLPLPSLPSFLSPSPSDDPAPSPSPSSAAAVDLRRRSPYSEVETSGAVPEPSRRFTADLVGPRLLYCADEAVDLLLRSGGSHHVEFKSVEGGTLLYWDGDLYPVPDSRQAIFKDTTLQLREKNLLFRFFKLVQAHIAVSAAGAAAAGEGEASGRLPDEDLDLPFVEFLKRQNLSPKMRAVVLYAIAMADYDQDGVESCERLLTTREGVKTIALYSSSIGRFANAEGAFIYPMYGHGELPQAFCRCAAVKGALYVLRMPATALLVDEEKKRYVGIRLASGQDILCQQLILDPSYEIPSLDMPSDAPVSNLPRKVARGICIISSSVRQDTSNVLVVFPPKSLEEEQITAVRVLQLSSNLAVCPPGMFMAYLSTPCTDAFTGKKCISKAIDALFSTKVSNDLEDHLEKNSEENKESVKPTLLWSCVYVQEIIQGTSGTALSCPIPDENMDYRSILESTKMLFTDICPNEEFLPRNSAPKYASDNDSDSAEALYST >ORUFI01G11710.1 pep chromosome:OR_W1943:1:8651610:8660078:-1 gene:ORUFI01G11710 transcript:ORUFI01G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIEMGSRRLESGDGFKWEWKGEGMDKTEREDSAHAREDFCPGREADAVSTLSHLGGRAASRGRHGGLHRRAWTCGGRRLATAGVEQAAALAGSGGARRVAHAVAHMDLGGTEAGAGTRGGRREGSCSRQEQLCAWAVTNLSIKGSRQLTDGTKHRRMMRLLGYGSPVHSPQSESHRARRTHAQATWDCDVHPPLTEKKRPKRRRRIPNPYRFHAALPSAACAGGENCGGDPQFQPRVTAACGCRGVLLEPLYD >ORUFI01G11720.1 pep chromosome:OR_W1943:1:8661793:8672605:1 gene:ORUFI01G11720 transcript:ORUFI01G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMVVSSTEGAVRILLGKLADVLAGRYALLLGARDEIQELKDELESMNACLRDLAAAGDDDDRNEQVNAAASRLTRTWMKQVREVAFDAEDCIDTFWCYIGHHYGARGVRCYCVPKVVYTLKTLKVRNNLAIKIQSLRTRVQRVSERRLRYMLNPTGSTSKSTNGSLSSSNYIDQERRLSALNIDESRLVGMADKTEEVTKLLDEGHVPNLKVVSVVGFGGLGKTTLAMTVYKSPAVKGIQSRAFVAVSQNYDPRALLESLLKQLIQRPFLREPRSVDEETSTEDPLKGIETWDICQLINRCRNYLENKRYFIVLHDLWRPEAWMTLKIAFPDNDKRSRILITTRNHLVAQICCYYPHDCIYSMEPLPSEESRHLFFKRVFKLDKCPSQYQDLVDISDAILRKCNGLPLAIVSIGGMLARMKNKTYAEWQKVCDRLDCGLEINNTVGGMRKILSLGYNDLPYHLKACFLYLSVFPEDFEIKRGPLIRRWAAEGFIGRVRGSNLEEIADKYFDEFISRNIVTPIRIDSSGEVRSCRVHDIMLEVISAISVQENFISLLGNYSYSITGHDKIRRLSIHVGGGKEQDFSCRNLSHLRSLTILGCKEKPIPIALADLTLLRVLDLEGCGWLSDSDLKDICKLYLLRYVSLRSTNISKLPRAVGNLKELLTLDVRSTYIRELPATITQLRCLKHLLAGRYKYYTRTHHVKHFASKEAVTIPAGLKNMSALQSIAPVNISSSFRAMHELGELSQLTKLCAINRKGVEKWRPFATSLSKLSNSLRHLSVIHIDKMEHGLEFLMDLSSPPLFLKKLYFWGRVSALPPWISSLSNLVRLSLRENYLESELVKILGKLHSLLSLKLYVNSYLGTELCFEHNLFPRLKQLMIDNLKNLDELSFKGGAPDLERLTLAFVKAPERGISGIENLPKLKEVEFFGIIVDSVVEGVIAAAKIHPNHPRVYRDETIDPRSLTTA >ORUFI01G11730.1 pep chromosome:OR_W1943:1:8675089:8682796:-1 gene:ORUFI01G11730 transcript:ORUFI01G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSSTEGVVHILLGKLGEFLSDKYVLLSGVRHEIQELKDDLESMNACLRDLAAAGDYHQTQQTRTWMKQVREVAYDAEDCIDSFRYHVGGDRYRDEDLAGWLRRTVLRPLTTLRAMYKLAVEVQSLKARALMVSERRLRYKLEPPAAASSSGEYAPRCYDDLDRRLPALSVDESRRRPQQDQSRPQAAGHGRRRRRLGPPEGGVHRRLRRPRQDDAGGDGVQEPRGAGHPAQGIRDGDPELQPSSSAGIVGGAALRANARFTLLHEEDDHGSRRILRGIETKDIPQLLAHCSTHLRDKRYFVVVDDVWSLEDWASLKPAFPDNDIHSRVVITTRNRQVAESCCSLPVDRVYSMDVLQDDQSRKLFFNTVFRSNKCPAGYRRLETISGNILAKCGGLPLAIVSVGGMLAQAENKTPAEWMKVCDRLGSRLSTSAMMERMRRIMSLSYHDLPYHLKACFLYLSVFREGYEIKRGPLVQRWAAEGFVGGRRECTPEEAAGKYLDEFVGRSIVTPTRVVSNGVVRCCKVHDIMLEMMTEKCMEENFISLLGSPSKHGHQQHAMMVAAGHDKIRRLSVHGVHTSQGKQAGGVHDKHLCRRRIKKDEEQDDVLSSGDLSCVRSLLMLRCIEKPIPVISFAKLKLIRVLDLEGCRWLSNHDLEDICKLSLLRYLSLRDTDVQRLPRLIGRLKELLTLDIRETDVRALPETITRLGRLRHLLAGRYRYYTRSHRVKLFEPFEAVTIPPGLAAMGSLQTIAHANVASSSIAMGELGDLPGLTKLCVMNCEEGPSKWEPSVISLNKLSYSLRSLSILHWQYDNAGLEALLDLTSPPIFLEKFFLWGKLSTLPSWVSHLSNLVDLCLRENFLNGEVIIEQLGKLPSLLSLKLYRASYLGRELRFREKLFLRLKQLIVDNLPNIEELSFQGGAPQLERLTLAVLKKPEDGIFGIDKLPMLKEVEFYGHIMIDSVVAEMVAVCRNHPNKPRICQRCICTKDEDEDVCSVYKQALATHREDPAGEVSAAMEASRGGELDGGRDEDVDEAGEGGVAYNAEDCIDGFWHHRGRHYRGDEGLVAGWLRRTVIQPLETLRAMHKLALDVQSLKALALKVSERRLRYKLEPPATATAAWTVPSYDDLDRRLPALNMDESRGPASWASAARRKPSSSCWRTVVIMAATTTRRRLAGRWSISIVGFGGLGKTTLAATVYNSPIDGAGDPAPGVRDAREAPQPSLPQAIPSVIHGERELCIPENLFRRLKHLIVDNLPNLDELSFQGGAPELGRLTLPFLKEPADGIVGIDKLLRLKEVEFFGHTTVDSVVEGMVDVYKAHPNRPRVYRNDRPMEDSESSS >ORUFI01G11740.1 pep chromosome:OR_W1943:1:8687101:8691002:1 gene:ORUFI01G11740 transcript:ORUFI01G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSSTEGVVRILLAKLGEFLSDKYVLLSGVRHEIQELKDDLESMNACLRDLAAVGDYHQSQQTRTWMKQVREVAYDAEDCIDSFRYHVGGDRNHDEGLLVVAGWLRRTVLRPLTTLRAMYKLAVEVQSLKARALKVSERRLRYRLEPPAAASSSGEYAPRCYDDLDRRLPALSVDESRLVGVRSKTRAILKLLEHKDDGDDDGLARRKVVAVVGFGGLGKTTLAAMVYKSPAVQGIQHRAFVTVTRSCNLRAMLESLLEQLFAPARDPRCSRKEIMAMEKDEILRGIETKDIPQLLAHCSTHLSDKRYFIVVDDLWSLEDWASLKPAFPDNDKHSRIIITTRNRQVAESCCSLPGDRVHFMDVLPNDQSRELFFKTVFQKEHCPSEYRSLEGISGDILTKCGGLPLAIVSVGGMLAQAENKTPVEWTKVCGKLGSGLSTSAAVRGMRRILSLSYHDLPYHLKACFLYLSVFREGYEIKRGPLVRRWAAEGFVGGGREWTPEEAAGKYIDEFVGRSIVTPTRVATNGVVRCCKVHDIMLEVMTAKCVEENFISLLGSVTSYGRHQHTAATTTGHDKIRRLSVHGSHMPQGQQSRSGGGDEDIFRFRRRRKKGEGEGQDDVLSSVDLSCARSLLMLRCIEKPLPAISFKRLKLLRVLDLEGCRWLSSRELDDICKLSLLRYLSLRDTGVQRLPRSVGRLKQLMTLDVRETDVRELPETITRLGHMRHLLSGRYRYYTRSHRVKLFEPFEAMTIPPGLSAMESLQTIAHANVASSGVAMGELGDLPSLTKLCVMNCEKGPNKWKPFIVSLNKLSYSLRSLSILHWLNEDAGLEELLDLDSPPIFLEKFFLWGKLSMLPPWVSHLGNLVDLSLRENFLDGKEVIEQLGKLPSLLSLKLYYQSYMGRELHFREKLFPRLKQLIVDNMPNLDELSFQGGAPELERLTLAVLKEPADGISGIDKLPRLKEVEFFGHVIVDSVVESMVAVCKKHPNKPRVYRGDRPMEMDSELSS >ORUFI01G11750.1 pep chromosome:OR_W1943:1:8691739:8694460:-1 gene:ORUFI01G11750 transcript:ORUFI01G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSGVVVLDNGGGLLKAGFGGDMNPTAVVPNCMAKPPGSKKWLVADQLQAQDVDVTGMTLRRPIDRGYLINQEVQREVWERVIRNLLQVDPNNSSLLLVEPQFNPPALQHATDELVFEELGFKSLCVADAPSLVHLYEASRQPSLFRAQCSLVVDCGFSFTHASPVLQNFTLNYAVRRMDLGGKALTNYLKELISYRSLNVMDETLLIDDAKEKLCFVSLDVPGDLRLARLSSNDNPFRCSYILPDGITYKKGFVKDLDEACRYSSLPANGESVRKDSSDSDRSKFEDKKKPELSQNEFVLTNERFLVPEMLFHPIDLGMNQAGLAECIVRAIQACHPHLQPVLFERIILTGGSTLFPRFTERLEKELRPLVPDDYQVKIIAQEDPILGAWRGGSLLAHRPDFESMCITKSEYEEMGSMRCRLSGIFESSVHL >ORUFI01G11750.2 pep chromosome:OR_W1943:1:8692290:8694460:-1 gene:ORUFI01G11750 transcript:ORUFI01G11750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSGVVVLDNGGGLLKAGFGGDMNPTAVVPNCMAKPPGSKKWLVADQLQAQDVDVTGMTLRRPIDRGYLINQEVQREVWERVIRNLLQVDPNNSSLLLVEPQFNPPALQHATDELVFEELGFKSLCVADAPSLVHLYEASRQPSLFRAQCSLVVDCGFSFTHASPVLQNFTLNYAVRRMDLGGKALTNYLKELISYRSLNVMDETLLIDDAKEKLCFVSLDVPGDLRLARLSSNDNPFRCSYILPDGITYKKGFVKDLDEACRYSSLPANGESVRKDSSDSDRSKFEDKKKPELSQNEFVLTNERFLVPEMLFHPIDLGMNQAGLAECIVRAIQACHPHLQPVLFERIILTGGSTLFPRFTERLEKELRPLVPDDYQVKIIAQEDPILGAWRGGSLLAHRPDFESMCITKSEYEEMGSMRCRRRFFH >ORUFI01G11760.1 pep chromosome:OR_W1943:1:8694534:8696339:-1 gene:ORUFI01G11760 transcript:ORUFI01G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTICLYPTALPDFPETLLPLRHSVHTRAAAALTLAAAHPAVPPSPIPPLPRTTPPPLSFLAVGAPPPLQECL >ORUFI01G11770.1 pep chromosome:OR_W1943:1:8698880:8702169:-1 gene:ORUFI01G11770 transcript:ORUFI01G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAATTRPASSSAAAPLPLFLLLAVAAAAAALFLVGSASLAMAGHVLGGAHDAPSAANSVETDALARFAVDEHNKRENALLEFVRVVEAKEQVVAGTLHHLTLEALEAGRKKVYEAKVWVKPWLDFKELQEFRNTGDATTFTNADLGAKKGGHEPGWRDVPVHDPVVKDAADHAVKSIQQRSNSLFPYELLEIVRAKAERGNKEEKFKAEVHKNLEGAFVLNQMQQEHDESSSQ >ORUFI01G11780.1 pep chromosome:OR_W1943:1:8712697:8715404:1 gene:ORUFI01G11780 transcript:ORUFI01G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAWWFAVAVVVCGLVGGGSAGLLETNPGLAYNFYQKSCPNVDSIVRSVTWAQVAANPALPGRLLRLHFHDCFVQGCDASILLDNAGSEKTAGPNLSVGGYEVIDAIKTQLEQACPGVVSCADIVALAARDAVSYQFKASLWQVETGRRDGPVSLASNTGALPSPFAGFSTLLQSFANRGLNLTDLVALSGAHTIGKASCSSVTPRLYQGNTTSLDPLLDSAYAKALMSSCPNPSPSSSTIDLDVATPLKFDSGYYTNLQKKQGALASDAALTQNAAAAQMVADLTNPIKFYAAFSMSMKKMGRIDVLTGSKGNIRKQCRSAS >ORUFI01G11790.1 pep chromosome:OR_W1943:1:8717800:8720322:-1 gene:ORUFI01G11790 transcript:ORUFI01G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAGSDERQMRPVVYVPSLFLVRARQSLWSAVAATGDRQRGGSNVDGRRLEEEARGVEGKEMGRPASRARRPASRAGMPARRLASSAAGEEAAGARRCHADAGWRNTEIQPASMESNVDLRCQQTGAPAGECTSTSSLRGWYRLYSRSMDVCKLVVNDGFGPALPSGGALPERDVYDTDQYMLALIYHTRMRRYECLTGERMARKKIRDAWSKLSPPPPDLSDTHDTRRHRSRRAPSPSPASKLPPPPPLPPRPGGLVPSSAARLA >ORUFI01G11800.1 pep chromosome:OR_W1943:1:8722199:8723677:1 gene:ORUFI01G11800 transcript:ORUFI01G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVGHCRELSPPGGGVRGRHCRLRSVTQLDCPVFDDHDLDLDRRERNGHAGLSPRRSLSSPCFSTVVPPAADRAEPARDDGEKMMPRVEIVAGGHARGVHELIAEAAGAIATGTRLVPAQGGIGGALLLEDGRSVDHVAVIKPLLDDASSPSHGGGGGYASKAVLREVAAFLLDHDGFARVEPTALIKISRPAMPTTTASIQRFAAHECDAGELGPSRFSVASVHRIGSLDVRLLNIDRHAGNILVKKSPESECASGGSTLTPLDLVPIDHGLCLPEQLDDPYFEWLHWPQSSLPFSGAELEYVASLDPFRDAAMLRAELPSLTEAAIRILTLCTIFLQRAAAAGLCLADIGDMMTREFSAMEEGLSALESLCKNAYDSSTTTAGSSPRKHHHSDDSDDESTQFGMDDVPAGLPPHLFLLGGGGIAKSVSFSAAEQGAAGRGAARKRMSFKALSGDEWAAFLDRFEQLLPAALDAKKRAGLKLTRLGTSF >ORUFI01G11810.1 pep chromosome:OR_W1943:1:8740189:8744732:1 gene:ORUFI01G11810 transcript:ORUFI01G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPPPAEPPPPPAAAAAATNPPASTAPTEDGEVEEGATPPRTSALGTANWGTATLVGVFAGLLYGGSKEASASVSKDAEVMLKMGSTTDKREQYRLMRDAMEKRFIRVAKGSLVGGVRLGMFTATFFGIQNLLIEKRGVHDVFNIAGAGSATAAAFGLILPGSPMWRARNVLVGSALGAGVCFPLGWIQLKLAEKANLEMASSKPTDLVEEQGNQSGVGAAIERLGRSLKK >ORUFI01G11810.2 pep chromosome:OR_W1943:1:8740189:8743816:1 gene:ORUFI01G11810 transcript:ORUFI01G11810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPPPAEPPPPPAAAAAATNPPASTAPTEDGEVEEGATPPRTSALGTANWGTATLVGVFAGLLYGGSKEASASVSKDAEVMLKMGSTTDKREQYRLMRDAMEKRFIRVAKGSLVGGVRLGMFTATFFGIQNLLIEKRGVHDVFNIAGAGSATAAAFGLILPGSPMWRARNVLVGSALGAGVCFPLGWIQLKLAEKANLEMASSKPTDLVEEQGNQSGVGAAIERLGRSLKK >ORUFI01G11820.1 pep chromosome:OR_W1943:1:8743495:8746990:-1 gene:ORUFI01G11820 transcript:ORUFI01G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKLAFPQDSPPLAILCAAKVAGVSLTLDPKLASGSAPTLHLGSGDFIHGVNTILRYIARVASVTSFYGQDAIQAAYVDQWLDYAPVILSGSEFEAACSFLDGYLASRTFLVSYGLSIADIVVWSNLAGTGQRWESLRRSKKYQNLVRWFNSIADYSDTLDEVVSAYVGKRGIGKSPAPSLKEKLPDSKQNISIPEVDLPVAKVGEVCVRFAPEPSGYLHIGHAKAGLLNKYFAERYKGRLIVRFDDTNPSKESNEFVENVLKDVETLGIKYDIVTYTSDYFPQLMEMAENLIKQGKAYVDDTPKEQMRSERMDGVESKCRNNTVQDNLSLWKEMINGTERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRIGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQEMGLRRVEIYEFSRLNMVYTVLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALVQFILEQGASKNLNLMEWDKLWTINKKIIDPVCGRHTAVLKDQRVLFTLTNGPEEPFIRVLPRHKKYEGAGKKATTFTNKIWLESADASVISIGEEVTLMDWGNAIIKEIKTQNGIITELLGELHLEGSVKMTKLKLTWLPDIEDLVSLSLVEFDYLIKKKKLEEDDNFLDNLNPCTCQEFPALGDANMRNLKQGEIIQLERKGYYRCDAPFIRSSKPIVLFAIPDGRQKSATK >ORUFI01G11830.1 pep chromosome:OR_W1943:1:8748844:8752770:-1 gene:ORUFI01G11830 transcript:ORUFI01G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAFSPPRVSPDARAMFATLPASPSPHLRLAARPRALSASAPTTAAVAATKQRFLAPPRPDPAAPGGCGGGGARDVVAMVVPFLRGTAWQQPPPDLASFLYKNRIVYLGMCLVPSVTELMLAEFLYLQYDDAEKPIYLYINSTGTTKNGEKLGYETEAFAIYDAMRYVKVPIFTLCVGNAWGEAALLLASGAKGNRAALPSSTIMIKQPIGRFQGQATDVDIARKEIRNVKIEMIKLLSRHIGKSVEEIAQDIKRPKYFSPSEAVDYGIIDKVLYNEKSQEDGGVVSELKRSNLI >ORUFI01G11840.1 pep chromosome:OR_W1943:1:8755688:8760014:1 gene:ORUFI01G11840 transcript:ORUFI01G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDEDPPTEPERWRDLDMLLSRPGNLVHADFNPSPGLRDSLGSLVEVLVVGAGGLGCELLKDLALSGFKNIHVIDMDTIDVSNLNRQFLFRVQDVGKSKAEVAAKRVMERVSGVNIVPHFCRIEDKEIEFYSQFSIIVLGLDSIEARSYINSVACGFLEYDSDDKPIPETLKPMVDGGTEGFKGHARVIIPGTTPCFECNIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWNEALKRAELFGISGVTYSFTQGVVKNIIPAIASTNAIVSAACALEALKLISGCSKTVSNYLTLETLNMVLFEVHYFSLTICRYNGLDGTHINVSEFAREKDCLVCGPGTLIELGTSTTLSEFIKMLEEHPKLLMSRASVTHEGDNLYMQAPEVLEQMTRPNLGVPMFELLKGAARTTVHVTGMAENNGKKVSSLRKLRVTFKGVEESSKMDESS >ORUFI01G11850.1 pep chromosome:OR_W1943:1:8763911:8766010:-1 gene:ORUFI01G11850 transcript:ORUFI01G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKQSQFKGQGKKKTIPPNRHGKAPHVRKGKRAVKPTKFTKDMDADKELTKFINQCNEKKAASLASKEGGDLSILKADVDPSNSN >ORUFI01G11860.1 pep chromosome:OR_W1943:1:8772275:8773072:-1 gene:ORUFI01G11860 transcript:ORUFI01G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTLTSIYTYSSVLVVEARFCLHLLFLSTPAPTSTHHEWQPREDAAAGEASRASSANRASPGNRAGAAAGQDEAAGEDAAAAAAGEDAAGGEEDSRASPANRASSAAPAAAADPRSALTATTAAPAAPAAFSASEATEAAAATAAQEAAEAAAATEVKADLANGAGTAGPAGQAGPAGTAATVGTAGRVVPRCVNGGVVGGAEAICLGRRRRRRSLIRYEDTLDSTTTVDAAVVALHLYLNLVSRSTVRSSVLCGLRVLNSFML >ORUFI01G11870.1 pep chromosome:OR_W1943:1:8787464:8788249:-1 gene:ORUFI01G11870 transcript:ORUFI01G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPISRKGTEEEASSPTQRTCTLRRPTPAVARLATSQLLGTGSEKKRWKREKREREKVKGGGGNREEVGPPKKLESIFWWPGYVEARPLCQRVSDIYLALNPAAHKACMLLERYRRIQARRRAAMERYRRMRVQRRVDVVQRRRKKRLVKRALIFVLPALETAMLGLTLLRDTLVLDSYTT >ORUFI01G11880.1 pep chromosome:OR_W1943:1:8792789:8797429:1 gene:ORUFI01G11880 transcript:ORUFI01G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAARKAAAAARLPAAVLVVVAVGAFLISYNLLAIVLRGGGGAATGAGRERDPVVAMPGWMRAAGSAGGGRRRPFHVALTATDAAYSRWQCRVMYYWYKRMQARPEGADVGGFTRVLHSGKPDALMGEIPTFVVDPLPAGKDHGYVVLNRPWAFVQWLEKAKIEEEYILMAEPDHIFVRPLPNLARDDPAAFPFFYITPSEHESVLRKYYPKERGLVTNIDPIGNSPVIIKKTQLEKIAPTWMNVSIQMKEDQETDKAFGWVLEMYAYAVASALHGVQHILRKDFMIQGVLTYGKIGEWRFDKRAYQDRPPPRNLTLPPPGVPESVVTLVKMVNEATANLPGWDDGR >ORUFI01G11890.1 pep chromosome:OR_W1943:1:8798160:8798952:-1 gene:ORUFI01G11890 transcript:ORUFI01G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPMMATAARPRDDQPRRRQALLLAASALLFLLCGGGAPGAGGVVVAVAATASATATPGLVFHVGGPRGWRVPDANTSYTWWAMNNRFHVGDSLYFRYGGGDSVLVVDREAFDGCNATEPVARFAGGATTVPLGRPGFFCFISGAPGHCDGGQRLIVRVMVHPAPGAPAPAPSAAAAATSHPGASASGPGASSGAAAVAGGGAGAAVAAAAMGVLAGLVLLLQ >ORUFI01G11900.1 pep chromosome:OR_W1943:1:8800087:8804066:-1 gene:ORUFI01G11900 transcript:ORUFI01G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAVWVPSPCSPSPVPPRHRRGRWRRGSASSSSSSAFRACAGGDASGDGGGGGRPARMVLHDSLEGAGVSTEHARAAREGFAKQVGRLTRLDAETSIAISRGADLARAALCVAAEDDSLVSHSSVPLPVEAFVSRLDDLSTGFLADGYLPPAGAPPQVFLDHLDRYLYVHKGFRRKNGSSDGRAIYLHSVLTCRLGSALMLSLIYSEILKMLRLYGLLEFNVEIFFPHDLNSLPRGYDKHKSKLGDEPHIMTSKSLLVEILKTLKNTFWPFQSNQSGSLFLNAVSANQHGPGNVGDNQTTPHGNISTIEVAAAKAAQHRLMRGVWTNVRFGDMRRALAACERLILLNHDPRELRDYAALLYHCGYYEDCLQYLASYQTAVHWNNPLEIMEDEAVNTLRARVSLILAEDGWSSRRSPATSYWTKNSEPW >ORUFI01G11910.1 pep chromosome:OR_W1943:1:8806528:8807551:1 gene:ORUFI01G11910 transcript:ORUFI01G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACDREREISFASFGFKRPMMGRGKSTATTANVPVMSACDREREVSFASFGLKEQRQRMCLWNVPMMECFLWVQEQRQRMCLWNVPIIEREVGVCLLWVQEQRQRMWTNARAGKSVFASKNLWFKSNGSSNGVRKDERRRRRGSNRGDGGEGSSVFASKSNGNECGQMPARASNDSGEVAVEWYAKRDIDRASEFIDRVHRGMLTDASGEQDG >ORUFI01G11920.1 pep chromosome:OR_W1943:1:8807663:8808033:1 gene:ORUFI01G11920 transcript:ORUFI01G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTDGNGTPRVTSTGGPLSSSTGCTAGYSPTPARDIDRRASKFIDRVHHGMLAGAGGEQDR >ORUFI01G11930.1 pep chromosome:OR_W1943:1:8809187:8811704:-1 gene:ORUFI01G11930 transcript:ORUFI01G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPADAKAEAAKMDLLEDDDEFEEFEIDQEWDDKEDGDEAIQQWEDDWDDDDVNDDFSLQLRKELEECNTQKI >ORUFI01G11930.2 pep chromosome:OR_W1943:1:8809189:8811370:-1 gene:ORUFI01G11930 transcript:ORUFI01G11930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPADAKAEAAKMDLLEDDDEFEEFEIDQEWDDKEDGDEAIQQWEDDWDDDDVNDDFSLQLRKELEECNTQKI >ORUFI01G11940.1 pep chromosome:OR_W1943:1:8813091:8814028:1 gene:ORUFI01G11940 transcript:ORUFI01G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGENNTGAHGSGNVPPPATAAGNVPPPATAASTAAGTAAASGKQQARGAGAEGQSVVRRLQSELMALMMGGDPGVSAFPEGDNIFSWVGTIAGSAATAYEGTSYRLSLAFPSEYPYKPPKVRFETPCFHPNVDAHGNICLDILQDKWSSAYDDKWSSAYDVRTILLSIQSLLGEPNNDSPLNTQAAALWPNQDEFRKMVEKLYKPTA >ORUFI01G11950.1 pep chromosome:OR_W1943:1:8815739:8819185:-1 gene:ORUFI01G11950 transcript:ORUFI01G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKVPKVGMKFNSEQEAYDLYNAYAGEKGFSIRRSSYHHVLEVLIREQKLQGMVIVLVGQKLDASVKHGNLEVPNDQAFLHVSRYYAALDASTSNPTHVSMTPENQGLHQQGRSIQQFDTDLYNLFN >ORUFI01G11960.1 pep chromosome:OR_W1943:1:8823568:8824692:-1 gene:ORUFI01G11960 transcript:ORUFI01G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEQALTMYESRVGQPFALMYWWKAVCDSPKWNAHVTLLGHGTTKIRAEFDVNAPPVEEQPAQVRPMGIKRAKMGKQTDYSEEVKELIKSLCKSHAKQEDETAEMKEFQQKLSEEKLEAANVLLKAAQEKTKARLIEQQTKLLERFTQMISVDTSRMETWAREAHIKACTLMSDQLWGKGATEE >ORUFI01G11970.1 pep chromosome:OR_W1943:1:8829041:8831765:1 gene:ORUFI01G11970 transcript:ORUFI01G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFSSVLSAAGVGGDEHEEERGEGEEAAAEQGEEGEAESGGGWSFGGLIKTLAEEIDVRPRDEQEAEEGGEEVAEGEGEVEEEADAGGGWIFGGLIKTLAGPAALAVTWAPPLRLPTPKCERAPLLRKLAVGAPPPRRAGKLPHPRNPAATADAGGGWIFGGLIKTLAEEIEEQRKVNSQLEAEEEAAAAAAAAAAAAAEEEGGETAGSGDGGEWSFGGLIQTFATRSESVLEGYRRDIQDLGSGLRLETATLRAAAARAAAAFPGALEAGASAASNRLESVGQAVDDLGAAAAVLLSHANEALRSVDADGEDGAGDGSSHPSDSASASGASWRASLPSKKYTRFEAQVLALRADPATFTEEPEDADGFAKWRDAFRIDERKEEIEGVLKESPGLESFVERLVPSVVDYDMFWCRYFFAVDKLRQAEDVRTKLVTRAMSKEDEEELSWDVDDDDEDDDNGDHKEGANTVVNKKEEQIEEPVSHKTEDDKQGADTPDVSEDKKTTLAAEKDGNGESKDEVAAPKSSNDMGREEKIDSSKESEFSAASPPSAQEEDLSWEEIEDVGDEDEKKKPIPRSSPPSKAEDIRKRFSSVEDDEELSWDIDE >ORUFI01G11980.1 pep chromosome:OR_W1943:1:8836432:8846676:1 gene:ORUFI01G11980 transcript:ORUFI01G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAADPLSASAPFPTIPAASSPRHPRAARPRRQPAPFRSSDHPGAASASASASSSSSSSSTRRLGVDIPVRHGVRRGAGAAGSRAPSGFVFGGGGGGGDTAAPGLVDVEGCSPTLASSWSSSSFVFGASDTRSSFSFGSSKAAPSSSFSATVGELTIDDPRNRQGDVDVSGGNGSLPEMITDSVLSPNFVRQEIDHRDEGLGAPSQTMGRESTESSCSLVGQVDRPSLCTTENVATQFAKDEGKISADGADSRESKSVFVFGENSEERGFTTKITETEIKKGDSVEKKIVAFGSDQLDASVAEGNACTESSFQGERHGCGSSMRDHRGVSTRAKASNALPFGLVVQDSGAKVSSTKLSDERRSAGVRTSELGDLGLVDEQSFTVHDNDAASREYGGVKGVSMNKRAVQQEKFSAHQVPLPLFISDQKAAPEVNLHLKEVTNFRLEDSDISKGNSGTKEEDVNCFSPQATESNHDRTVFISVTNLENSSRSDFIFAASTDHSKLHSQRRPSKKKIGGMSNHANSVESHQSSAIGLAHSEISRQQYTDLPAQWTKYNKTDPKTVTVSVGPAIKENIGHQEDCETWRIRGNQAYAEGQLAKAEECYTHGINSVSLNEASWKSLMLCYSNRAATRMSLGRMREALSDCRKATDIDSSFLKAQIRAANCLLALGDVEEAQKGFEICLKSNHEASLDSKITEEASDGIKKAKKVSNFMLLSKEYIVKKEFDKIPSALQMISDALSTSTYSDNLMMMKAEALLLLQRYEEVIRFCEETLHLAEENSFSPCQHSKIIDLDNCSSSVKLWRYYIIAKSYFFIGKLEEAHQFLKKLGQEALAAGNKAFQAGKYSEAVEHYTAALLSNTESPRFSAICFANRAAAYQAMGQILDAIADCSLAIALDSNYSKAISRRAGLYELIRDYDQAGNDLRRLISLLERQLQENIYTPSEKSDGIRSSLNRSNLRLSALERDAKKGISLNVYLILGIEPSCTFLDIKKAYRKAALRHHPDKAGNFLVRSENINDAVWRDIANDIRKDADYLFKLIGKAYAILSDPTTN >ORUFI01G11980.2 pep chromosome:OR_W1943:1:8836432:8846676:1 gene:ORUFI01G11980 transcript:ORUFI01G11980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAADPLSASAPFPTIPAASSPRHPRAARPRRQPAPFRSSDHPGAASASASASSSSSSSSTRRLGVDIPVRHGVRRGAGAAGSRAPSGFVFGGGGGGGDTAAPGLVDVEGCSPTLASSWSSSSFVFGASDTRSSFSFGSSKAAPSSSFSATVGELTIDDPRNRQGDVDVSGGNGSLPEMITDSVLSPNFVRQEIDHRDEGLGAPSQTMGRESTESSCSLVGQVDRPSLCTTENVATQFAKDEGKISADGADSRESKSVFVFGENSEERGFTTKITETEIKKGDSVEKKIVAFGSDQLDASVAEGNACTESSFQGERHGCGSSMRDHRGVSTRAKASNALPFGLVVQDSGAKVSSTKLSDERRSAGVRTSELGDLGLVDEQSFTVHDNDAASREYGGVKGVSMNKRAVQQEKFSAHQVPLPLFISDQKAAPEVNLHLKEVTNFRLEDSDISKGNSGTKEEDVNCFSPQATESNHDRTVFISVTNLENSSRSDFIFAASTDHSKLHSQRRPSKKKIGGMSNHANSVESHQSSAIGLAHSEISRQQYTDLPAQWTKYNKTDPKTVTVSVGPAIKENIGHQEDCETWRIRGNQAYAEGQLAKAEECYTHGINSVSLNEASWKSLMLCYSNRAATRMSLGRMREALSDCRKATDIDSSFLKAQIRAANCLLALGDVEEAQKGFEICLKSNHEASLDSKITEEASDGIKKAKKVSNFMLLSKEYIVKKEFDKIPSALQMISDALSTSTYSDNLMMMKAEALLLLQRYEEVIRFCEETLHLAEENSFSPCQHSKIIDLDNCSSSVKLWRYYIIAKSYFFIGKLEEAHQFLKKLGQEALAAGNKAFQAGKYSEAVEHYTAALLSNTESPRFSAICFANRAAAYQAMGQILDAIADCSLAIALDSNYSKAISRRAGLYELIRDYDQAGNDLRRLISLLERQLQENIYTPSEKSDGIRSSLNRSNLRLSALERDAKKGISLNVYLILGIEPSCTFLDIKKAYRKAALRHHPDKAGNFLVRSENINDAVWRDIANDIRKDADYLFKLIGKAYAILSDPTTNCSTTKKKPSH >ORUFI01G11980.3 pep chromosome:OR_W1943:1:8836432:8845768:1 gene:ORUFI01G11980 transcript:ORUFI01G11980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAADPLSASAPFPTIPAASSPRHPRAARPRRQPAPFRSSDHPGAASASASASSSSSSSSTRRLGVDIPVRHGVRRGAGAAGSRAPSGFVFGGGGGGGDTAAPGLVDVEGCSPTLASSWSSSSFVFGASDTRSSFSFGSSKAAPSSSFSATVGELTIDDPRNRQGDVDVSGGNGSLPEMITDSVLSPNFVRQEIDHRDEGLGAPSQTMGRESTESSCSLVGQVDRPSLCTTENVATQFAKDEGKISADGADSRESKSVFVFGENSEERGFTTKITETEIKKGDSVEKKIVAFGSDQLDASVAEGNACTESSFQGERHGCGSSMRDHRGVSTRAKASNALPFGLVVQDSGAKVSSTKLSDERRSAGVRTSELGDLGLVDEQSFTVHDNDAASREYGGVKGVSMNKRAVQQEKFSAHQVPLPLFISDQKAAPEVNLHLKEVTNFRLEDSDISKGNSGTKEEDVNCFSPQATESNHDRTVFISVTNLENSSRSDFIFAASTDHSKLHSQRRPSKKKIGGMSNHANSVESHQSSAIGLAHSEISRQQYTDLPAQWTKYNKTDPKTVTVSVGPAIKENIGHQEDCETWRIRGNQAYAEGQLAKAEECYTHGINSVSLNEASWKSLMLCYSNRAATRMSLGRMREALSDCRKATDIDSSFLKAQIRAANCLLALGDVEEAQKGFEICLKSNHEASLDSKITEEASDGIKKAKKVSNFMLLSKEYIVKKEFDKIPSALQMISDALSTSTYSDNLMMMKAEALLLLQRYEEVIRFCEETLHLAEENSFSPCQHSKIIDLDNCSSSVKLWRYYIIAKSYFFIGKLEEAHQFLKKLGQEALAAGNKAFQAGKYSEAVEHYTAALLSNTESPRFSAICFANRAAAYQAMGQILDAIADCSLAIALDSNYSKAISRRAGLYELIRDYDQAGNDLRRLISLLERQLQENIYTPSEKSDGIRSSLNRSNLRLSALERDAKKGISLNVYLILGIEPSCTFLDIKKAYRKAALRHHPDKAGNFLVRSENINDAVWRDIANDIRKDADYLFKLIGKAYAILSDPTTNCFS >ORUFI01G11980.4 pep chromosome:OR_W1943:1:8836432:8846676:1 gene:ORUFI01G11980 transcript:ORUFI01G11980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAADPLSASAPFPTIPAASSPRHPRAARPRRQPAPFRSSDHPGAASASASASSSSSSSSTRRLGVDIPVRHGVRRGAGAAGSRAPSGFVFGGGGGGGDTAAPGLVDVEGCSPTLASSWSSSSFVFGASDTRSSFSFGSSKAAPSSSFSATVGELTIDDPRNRQGDVDVSGGNGSLPEMITDSVLSPNFVRQEIDHRDEGLGAPSQTMGRESTESSCSLVGQVDRPSLCTTENVATQFAKDEGKISADGADSRESKSVFVFGENSEERGFTTKITETEIKKGDSVEKKIVAFGSDQLDASVAEGNACTESSFQGERHGCGSSMRDHRGVSTRAKASNALPFGLVVQDSGAKVSSTKLSDERRSAGVRTSELGDLGLVDEQSFTVHDNDAASREYGGVKGVSMNKRAVQQEKFSAHQVPLPLFISDQKAAPEVNLHLKEVTNFRLEDSDISKGNSGTKEEDVNCFSPQATESNHDRTVFISVTNLENSSRSDFIFAASTDHSKLHSQRRPSKKKIGGMSNHANSVESHQSSAIGLAHSEISRQQYTDLPAQWTKYNKTDPKTVTVSVGPAIKENIGHQEDCETWRIRGNQAYAEGQLAKAEECYTHGINSVSLNEASWKSLMLCYSNRAATRMSLGRMREALSDCRKATDIDSSFLKAQIRAANCLLALGDVEEAQKGFEICLKSNHEASLDSKITEEASDGIKKAKKVSNFMLLSKEYIVKKEFDKIPSALQMISDALSTSTYSDNLMMMKAEALLLLQRYEEVIRFCEETLHLAEENSFSPCQHSKIIDLDNCSSSVKLWRYYIIAKSYFFIGKLEEAHQFLKKLGQEALAAGNKAFQAGKYSEAVEHYTAALLSNTESPRFSAICFANRAAAYQAMGQILDAIADCSLAIALDSNYSKAISRRAGLYELIRDYDQAGNDLRRLISLLERQLQENIYTPSEKSDGIRSSLNRSNLRLSALERDAKKGISLNVYLILGIEPSCTFLDIKKAYRKAALRHHPDKAGNFLVRSENINDAVWRDIANDIRKDADYLFKLIGKAYAILSDPTTN >ORUFI01G11980.5 pep chromosome:OR_W1943:1:8836432:8846676:1 gene:ORUFI01G11980 transcript:ORUFI01G11980.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAADPLSASAPFPTIPAASSPRHPRAARPRRQPAPFRSSDHPGAASASASASSSSSSSSTRRLGVDIPVRHGVRRGAGAAGSRAPSGFVFGGGGGGGDTAAPGLVDVEGCSPTLASSWSSSSFVFGASDTRSSFSFGSSKAAPSSSFSATVGELTIDDPRNRQGDVDVSGGNGSLPEMITDSVLSPNFVRQEIDHRDEGLGAPSQTMGRESTESSCSLVGQVDRPSLCTTENVATQFAKDEGKISADGADSRESKSVFVFGENSEERGFTTKITETEIKKGDSVEKKIVAFGSDQLDASVAEGNACTESSFQGERHGCGSSMRDHRGVSTRAKASNALPFGLVVQDSGAKVSSTKLSDERRSAGVRTSELGDLGLVDEQSFTVHDNDAASREYGGVKGVSMNKRAVQQEKFSAHQVPLPLFISDQKAAPEVNLHLKEVTNFRLEDSDISKGNSGTKEEDVNCFSPQATESNHDRTVFISVTNLENSSRSDFIFAASTDHSKLHSQRRPSKKKIGGMSNHANSVESHQSSAIGLAHSEISRQQYTDLPAQWTKYNKTDPKTVTVSVGPAIKENIGHQEDCETWRIRGNQAYAEGQLAKAEECYTHGINSVSLNEASWKSLMLCYSNRAATRMSLGRMREALSDCRKATDIDSSFLKAQIRAANCLLALGDVEEAQKGFEICLKSNHEASLDSKITEEASDGIKKAKKVSNFMLLSKEYIVKKEFDKIPSALQMISDALSTSTYSDNLMMMKAEALLLLQRYEEVIRFCEETLHLAEENSFSPCQHSKIIDLDNCSSSVKLWRYYIIAKSYFFIGKLEEAHQFLKKLGQEALAAGNKAFQAGKYSEAVEHYTAALLSNTESPRFSAICFANRAAAYQAMGQILDAIADCSLAIALDSNYSKAISRRAGLYELIRDYDQAGNDLRRLISLLERQLQENIYTPSEKSDGIRSSLNRSNLRLSALERDAKKGISLNVYLILGIEPSCTFLDIKKAYRKAALRHHPDKKKKPSH >ORUFI01G11990.1 pep chromosome:OR_W1943:1:8860289:8865444:1 gene:ORUFI01G11990 transcript:ORUFI01G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEQEQEEEVIIVGAGPSGLAAAACLSVRGVTGCLVLERDDCVASLWRHRTYDRVRLHLAKRYCALPHAPHGEASPTYLPRDDFLRYLDAYASRFGVRARLRREVRSARYDAARARWLVDAVDLATGRAERYAARHLVAAAGENDERVVPEVPGMETFPGKVVHAADYRSAEGFKGKSVLVVGGGNSGMEIAYDLAVGGAATSIVIRSELHLVSKEIWNLAMTLYRYLPVWVIDKVVLLMCAAVFGDTARYGLRRPAVGPFTMKATTTMYPVVDVGTFAKIRSGEIRVLPAAIKGVRGRDVEFADGQRHAFDAVVFATGYRSTTKHWLKSDDGLIGDDGMAGRSYPDHWKGENGLYCAGMVRRGIYGSYEDAEHIADDISKQLRSSSKPTHNNGSA >ORUFI01G12000.1 pep chromosome:OR_W1943:1:8868247:8874417:1 gene:ORUFI01G12000 transcript:ORUFI01G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTKDSDEIFVDSTSSDSKSSENDDVISDSEDSKTSCDEISKEELLRSLKLKLNKKKVSVDCNLKRKRSETAEVDFKNQLDSALLEIFRDNVLIGMKRKLHCHKSKKKSVMTDATEETKLTRFSVKYFSEVLEKLSKRHRDIISKSCFKTLLLFEKCFVPYRLALWIAQKVYVNSCDIIDQDKVIPLSKESVHIVLGLPVGGLPISSNYEIGKQKILDTFGLSSLPTVNFFGDKLIMNESMSDDQVLISFLMVSSNCFLCPNSSLQPSTKYLSAFADLTSIDKLDLSNLVFEWLMKHLSKLEKSKSFGGCLYYLVVNYLDFLNFGMRKVLQDTPRIKVWKGTMIKKFSKFDKISKGVYGKRPIKDFSESCYKMIETGTAKATFLQRLDSAIGVDLPQEIKKDINELLLHHLGPDENCIDDRVKNLLIDIFVLLSNASKPSVPDNTGMISSPPKITKARFVGFNERKPIYFDHEKPQFQIWDSDDDNINQEDNLRSEVTPRHGLKSSKIVPDSYSPACPIELNKTKIIPLDLSQNLYDLSQNQENNSENEDQLIMVTLEDSETQSQHNEKENLPVQQQYTKSTENKKDSPEVVFLGERQSTENCLDITSKTNVLYNKINTFIVNPDKKLKMCTASPERVLLCNVDRNVGQCCSSQKPQHDLRRILQPARYSTDPYSPERQSFCATAYDRQVYNAVCKISKSSFQDKVVVDIDGVHCKFFTFGDSFKPGGELSNFLFFPIVKNRYWFVFAIDLKAQRFVFLDSMYDEDSICHQQIRPKLISNYSLAWNLYVKDHPIDFNNYTIIYPPVPKQTNRFDCGIFTLKFMEVC >ORUFI01G12010.1 pep chromosome:OR_W1943:1:8874736:8881591:-1 gene:ORUFI01G12010 transcript:ORUFI01G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINEKIDDREANLQYAQKGITSLSDLEKGKGEEQEKSTDSGKKSHGLKIMKQLAGKIEAGTSTNSVNSKSGTEEKILKISNDLKKADEKQLQEVKTLRGFETDTDKKAQGFKTMKQLPGIFEDGTCTALVQSNSGLAFLEKNYNTTQSYKMQENRDNIKSGNRGHLSDIGSKIEKKNTTNQTGLALLEENYNTTLSYRVQENMDITKTGKGKIMKQIAGNNKDFSETEAKLDKTKNSEADIGCSALNESEKKNGANNAKVDYENNVLSLLDQDYIADSFISYTSLMEHIISSQPKRCNELKVEGTQNDTMETGIGQDYQKVDESLNSHVEYQSEQRCSMDILNLQESVQDKSLEQTSEIGYSNVDNQSQHAWSLDIFNLQESVQSGEEEKKEATSKKRKPNKKENTEIGKSHQDEVQQLSKRVKKKSEINTSK >ORUFI01G12020.1 pep chromosome:OR_W1943:1:8885239:8887791:1 gene:ORUFI01G12020 transcript:ORUFI01G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTPFTSIRSTRATIDELCSAKAAAMAVAAKGEEMTPEHEEEVIIVGAGQSGLAAAACLSVRGVASCLVLERDDCVASLWRHRAYDRLRLHLPKRHCALPRAPHAAAAPDYLPRDDFAAYLDAYASRFGVRTRLRREVRSARHDAARARWLVDAVDLATGKAERYAARHLVAAAGENDERVVPEVPGMDTFPGKVVHSADYRSAGAFKGRSVLVVGCGNSGFEIAYDLAAGGAAAVSIAVRGEVHLVSREVWSVGMALQRYLPTWAVDKVVLLMCAVVFGGDTARYGLRRPAVGPFAMKMTTPAYPVFDVGTFAKIRSGEIRVVPAGIKSVRGGDVEFADGRRHAFDAIVFATGYRSTTKQWLKSDDGLIGDDGMAGRSYPNHWKGENGLYCAGMVRRGIYGSGEDAELIADDISKQMKRWSSEPVYNGHISNGSP >ORUFI01G12030.1 pep chromosome:OR_W1943:1:8898722:8899426:1 gene:ORUFI01G12030 transcript:ORUFI01G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVAAGGDSGWSSGSATFYGGSDASGTMGGACGYGNLYSAGYGTSTAALSTALFNNGQSCGACFEVRCGGGGSCLAGTVAVTATNLCPPNYALAGDAGGWCNPPRPHFDMAEPAFTRIAQARAGVVPVQYRRVACAKQGGIRFTITGHSYFNLVLVTNVGGAGDVTAVSVKGSRSGWQAMSHNWGANWQNGANLDGQPLSFRVTASDGRTVTSDNVAPSGWSFGQTFSGGQF >ORUFI01G12040.1 pep chromosome:OR_W1943:1:8905166:8905685:-1 gene:ORUFI01G12040 transcript:ORUFI01G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDERRRRGFLTPLSLSAPAVPPLRRAPVALPLPPPHCPTVMPPRRSCHSRRAPTAPPLPLSYCRQAHEALSISLAMRIKREEKVPRKRHVDAIWNDDQVNSPRKCHGGQNRRGSRFAMVLKVRGWVIPGFAVEGCDSTRHKS >ORUFI01G12050.1 pep chromosome:OR_W1943:1:8927332:8928429:-1 gene:ORUFI01G12050 transcript:ORUFI01G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEMMGGFFGHPPPPPATAAVGEEEEEVVEETEEGGHGGGVQGKLCARGHWRPAEDAKLKDLVAQYGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLMAAHRAYGNKWALIARLFPGRTDNAVKNHWHVLMARRHREQSGAFRRRKPSSSSASPAPAPAPPPPPQPVVALHHHHHRYSQQYSGYSGAAESDESASTCTTDLSLSSGSAAAAAAAAAAANIPCCFYQSTPRASSSSTAACRAPRVAAAADTVAFFPGAGYDFAAAPHAMAPAAASTFAPSARSAFSAPARRGEPPGAVDQRGGAHTTTDSHTIPFFDFLGVGAT >ORUFI01G12060.1 pep chromosome:OR_W1943:1:8955902:8956942:-1 gene:ORUFI01G12060 transcript:ORUFI01G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGCADSPAVTVVEVTARRGRRAVRKRRAVEIDADSQQASGGSRGGCRRRGGRVLGAGGVHYLTEEQHACGYMSDAERHPGGGFVVDSDDEASGGVPNSDLPLGSGFVLDSEDEVCGGDPESELPPEGTFVPDSEGEEYGAVPNFELPPGGGFVPDFEDETCIIPDSEEEACGGDLDSELPPNSCVVPDSKEEACGDDPDSELPPDGCVVPDSEEEACGGDPDSQLPPDSCVVPDSEEEACGGDPDSELPPDGYVVPDSEEEACGGDHDSELPPDGCVIPDSKDEACGSDHDSELPPDGVAAGDEGVDEFAEIRESMLRILLPLYFPIPHK >ORUFI01G12070.1 pep chromosome:OR_W1943:1:8975027:8989873:-1 gene:ORUFI01G12070 transcript:ORUFI01G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRGFPRSRACGAAFSGGGSEEKRAERAWMPGEFNGSGDGVNIRRLGLPAWRRLSRRIVRSFGFLAPSPSVGLNAVRSGGWVGGLHRSIRRKCRCHVYRERGTTLGETNCCHLQVGPDLPPGPTVSEYVSPPGYHRQAGKKADCSASTATQVDDTWGQKPSGGEHGGRGGRAPGVKASFQLGRRARGGHLARPRVAAHPRVLAGDTCTFPIHPRATVARVASTRSCEVPVLPFVPCRCVFSSYKRERECVCGEARRSSFERREGGQGGGAHHPLGEVASVLASIGQRFDSFGEEVCTWSPTVVNLKYEDDRPVDGKGIGRKVLDKLQQTYASELANKDFAYDGEKSLFTIGALPQVNNEFTVVLEDFNTGKSSANGGSPGNDSPGNDRKRVRRPYQTKTFKVELNFAAKIPMSAIAQALRGQESENTQEAIRVIDIILRQHSAKQGCLLVRQSFFHNNPSNFVDLGGGVMGCRGFHSSFRATQSGLSLNIDVSTTMIVKPGPVVDFLLANQKVDHPNKIDWAKAKRALKNLRIKTSPANTEYKIVGLSERNCYEQMFTLKQRNGDGEPEGVEVSVYEYFVKNRGIELRYSGDFPCINVGKPKRPTYFPIERSSLVEKSRQKPEERMSVLSDVLKRSNYDSEPMLNSCGISIARGFTQVAGRVLQAPKLKAGNGEDLFARNGRWNFNNKRLIKASSIEKWAVVNFSARCNIRDLVRDIIKCGGMKGIKVEDPFDVIEEDPSMRRAPAARRVDGMIDKMQKKLPGQPKFLLCVLAERKNSDIYGPWKRKCLAEFGIITQCVAPTRVNDQYITNVLLKINAKLGGLNSLLQIETSPSIPLVSKVPTIILGMDVSHGSPGQSDIPSIAAVVSSREWPLVSKYRASVRSQSPKLEMIDGLFKPQGAQEDDGLIRELLVDFYTSTGKRKPDQVIIFRDGVSESQFTQVLNIELDQIIEACKFLDENWSPKFTLIVAQKNHHTKFFVPGSQNNVPPGTVVDNAVCHPRNNDFYMCAHAGMIGTTRPTHYHILHDEIGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVSQFIKFDEMSETSSSHGGHTSAGSAPVPELPRLHNKVRSSIYKLS >ORUFI01G12070.2 pep chromosome:OR_W1943:1:8959939:8975020:-1 gene:ORUFI01G12070 transcript:ORUFI01G12070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHGDEGEPSAMAKPPKKLPMSRKGFGTRGQSIQLLTNHFRVSVRQMDGHFYHYHVEVKYEDGGPVEAKGVCRRVVDKLQETYASELAGREFAYDGEKGLFTAGALLQTKHQFVVVMEDASSSGRTTTRRSPGGDDGSPGGSDRKRMKRPMAVKKFMVEISFAAKDPMSAIAEVLRGQETENSMEALRVLDITLRQHSAKHFGLRWTMLAQQIQPGGSTNGPDRAKVEEDKMKLEFEMAAKILACEATYKKKITKLKKEADHVKNVSTTMIVRPGPVIEFLLFNQNIKNPHEIDWGKAKRALKNLRIKTTHTGSEFRIIGLSEDTFYSQTFQIKRKNGNGGSDTVEEVTVFEYYRKNWKIDLKGSAHFPCLNVGKPKRPTYIPLELCHLVPLQRYKKALSTLQRSTLVERSRQNPQERMFVLSGVLRDSDYNSVPMLRECGISIAQEFTQVAARVLPAPKLKSGDGEDIFASNGRWNFNKNRLIQPKRVQRWVVVNFSAQCNAHHLAQRLIHCGNLKGLPVDPEDHVFQERSHMGRERAETRVNDMFQQLLSGDKPSFVLCVLPERKNCDIYGPWKRMCLVKYGIVTQCLAPTKINDQYLTNVLLKINAKLGGLNSLLQIERNQAIPLLSKTPTIILGMDVSHGSPGRDDVVSSLEWPLISKYKASVCTQSPRLEMIDSLFKLVGNEDHVIIRDGVSEGQFNQVLNIELAQIIKACEFLANEKNDSEWSPKFTVIVAQKNHHTKFFQTDRSNKVVNVPPGTVVDKGICHPRNCDFYMCAHAGMIPIKQTMEEVNKVS >ORUFI01G12080.1 pep chromosome:OR_W1943:1:8994703:9004367:1 gene:ORUFI01G12080 transcript:ORUFI01G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHTKNFSDPASTAAAAAPPGGGLRGDGRPALAGVLMIRAHSHPPPHPSAPRRSSASCNHESTTKKKAPENEVWEGPPLVLAVLQLKTNRQNEEGVLAYAVTIAQQYRTTARCNPTPVSLN >ORUFI01G12090.1 pep chromosome:OR_W1943:1:8994775:9003740:-1 gene:ORUFI01G12090 transcript:ORUFI01G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEAQSYASDDKAGVKEIPRSDISKRELLFHVFQEPAVIGSGSRAISARTVLLWRTPWTPARINIEDKSYKMAMDDPSCSCRFLLWFLCFVACVLLESAASVHSWCPLHPSLRQEAKFKQKTNKFWEYQEQSNTWVEISMPFNLMSCINDTCTKVGSIEQPERRHGRASISSQEEKDAEIDDNDQADRNDPVLPIRKRISLTRMSESSVWVTGQSGSIYERFWNGLAWVIAPHELPISVGYATATFIVNTTILALSEAGILYQLQLNEHAQPIWTEVIFNFEQQFIVLGEKTQSQAMHIRNGIVSYDGRKLFLSITNGSLVEVTELQPLRWTYHGHPPGGDVSYISDAGNARPGTVFTVSSTGDLYEFDRESRPSWKKHIWSEETAENVSLSSSVGCALHGLLGSNSVSLFLITKDGLLVERRLHRRKWKWYKHGAPKSQRLSSITEVQQDESNDATSMYFTTTTGKVFEYQFPKYTGGAQSNKIRGLWVNHMSPENTKVARNVRGVQVQVGRVIFPLDDGRLGELHLPGMGGDDFGPSQHYSMRRKVPNKYEWSILDAPETEGWNAEYCTEEHGPTNCITGAKNVAADTKSNDLGNIPPSRWRKEDKQQYLERNGDDLLWINCTAMRKGRQVASGSPWDGIPGLPRRVTTDDALFFVNKRGRLLQFTVALRKFKWKDCQSPPDTKIAFIVDQEVFRRNVIFAVGRNGRLYQYNRITELWHKHYQSPHLVLSRSPGTAMRPSHLSLAGSIFMVSEHGGLVEYHFSPQDGWEWVEHGTPHRDVAIVGAPGPCFDGSQLFIIGSDGDVYRRHLENWTWRWTSHGHPSAPSAVAMNAAGGDKSCATPGSAADAHYADGFVGSCDEKVAAARPVPFSEDAVVFELRDGRLAELRRGADGCGGGWEWARIISTPASACMTSYWTAVAT >ORUFI01G12090.2 pep chromosome:OR_W1943:1:8994775:9003740:-1 gene:ORUFI01G12090 transcript:ORUFI01G12090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWEAQSYASDDKAGVKEIPRSDISKRELLFHVFQEPAVIGSGSRAISARTVLLWRTPWTPARINIEDKSYKMAMDDPSCSCRFLLWFLCFVACVLLESAASVHSWCPLHPSLRQEAKFKQKTNKFWEYQEQSNTWVEISMPFNLMSCINDTCTKVGSIEQPERRHGRASISSQEEKDAEIDDNDQADRNDPVLPIRKRISLTRMSESSVWVTGQSGSIYERFWNGLAWVIAPHELPISVGYATATFIVNTTILALSEAGILYQLQLNEHAQPIWTEVIFNFEQQFIVLGEKTQSQAMHIRNGIVSYDGRKLFLSITNGSLVEVTELQPLRWTYHGHPPGGDVSYISDAGNARPGTVFTVSSTGDLYEFDRESRPSWKKHIWSEETAENVSLSSSVGCALHGLLGSNSVSLFLITKDGLLVERRLHRRKWKWYKHGAPKSQRLSSITEVQQDESNDATSMYFTTTTGKVFEYQFPKYTGGAQSNKIRGLWVNHMSPENTKVARNVRGVQVQVGRVIFPLDDGRLGELHLPGMGGDDFGPSQHYSMRRKVPNKYEWSILDAPETEGWNAEYCTEEHGPTNCITGAKNVAADTKSNDLGNIPPSRWRKEDKQQYLYVNTHERDEIESYNFLSRSIDINFHMRVMHADRSLFLITDNGLTFEYLNNNGVWLWLRHEHTTSMKGTVGSYNGSLYLVDVHGNLHIRERNGDDLLWINCTAMRKGRQVASGSPWDGIPGLPRRVTTDDALFFVNKRGRLLQFTVALRKFKWKDCQSPPDTKIAFIVDQEVFRRNVIFAVGRNGRLYQYNRITELWHKHYQSPHLVLSRSPGTAMRPSHLSLAGSIFMVSEHGGLVEYHFSPQDGWEWVEHGTPHRDVAIVGAPGPCFDGSQLFIIGSDGDVYRRHLENWTWRWTSHGHPSAPSAVAMNAAGGDKSCATPGSAADAHYADGFVGSCDEKVAAARPVPFSEDAVVFELRDGRLAELRRGADGCGGGWEWARIISTPASACMTSYWTAVAT >ORUFI01G12100.1 pep chromosome:OR_W1943:1:9010828:9013823:-1 gene:ORUFI01G12100 transcript:ORUFI01G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKSTDNINNKLQLVMKSGKYTLGYKTVLRTLRNSKAKLVIISNNCPPLRKSEIEYYAMLAKVTVHHFHGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKTTAFTSQPKFRGNIVLGLENFVPGPNHVFN >ORUFI01G12110.1 pep chromosome:OR_W1943:1:9017910:9022944:1 gene:ORUFI01G12110 transcript:ORUFI01G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLLQGSVLPRMAGRAAAAPFSTASGETVRATLFPGDGIGPEIAESVKQVFNVAGVPIEWEEHYVGTEVDPRTESFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCNSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTNGRERVSAIHKANIMRKTDGLFLKCCREVAEKYPEIVYEEVIIDNCCMTLVKNPGLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGICLAEAVHGSAPDIAGKNLANPTALMLSAVMMLRHLQFNNQADRIHNAILQTISEGKFRTADLGGKASTSDFTKAVCDHI >ORUFI01G12120.1 pep chromosome:OR_W1943:1:9023767:9028385:-1 gene:ORUFI01G12120 transcript:ORUFI01G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVSHASAAAPPPPPTGYAPFVDPAAAAAPPPAEKDAAADEEKVDYMNLPCPVPYEEIQREAFMSLKPDVFEGLRFDFTKMLNPFFGLSHSGFGCIVTILVRLSVNMGSMELPSQGADVIKVPTSNYEFGANFMDPKMMLIGRVSHDGRVTARVKCDLLENLCLKINAQLTNEPHYSQGMFSFDYKGKDFRSQFQLGNNAFYGGNYIQSVTKNLSLGTEAFWLGQQRKSGVGFVARYDTKKMVATGQIATTGLVSLSYVQKVSEKVSLASDFMYNHMAKDVTASFGYDYMLRQCRLRGKIDTNGVVSALLEERLTPGVNFVLSAELDHWKKDYKFGFGMVLGE >ORUFI01G12130.1 pep chromosome:OR_W1943:1:9032462:9033517:1 gene:ORUFI01G12130 transcript:ORUFI01G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQKSREERAEAAAHRAADELHAARRDEPGGGGGGMLGTVQESARSLLGAVRDKIPGPGSGGAGAGAAAGEGKAAEAKGFAADKAEGARRALAGSAAARKGETDESAWQHGEDVRRRAAEKAEEARRRSEPQPSSEEKGRSATENIYGSAASAAEAFRQKMTMPEDVVEQKRAEAAAGGNKGTAAATATATNTGGEAAAEEVMMRVKAADQMTGQAFNDVGKMGEEGTGMAAGDGGRRR >ORUFI01G12140.1 pep chromosome:OR_W1943:1:9035495:9036805:-1 gene:ORUFI01G12140 transcript:ORUFI01G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVLLISLLAPPSAPGSAAAAAQPPPPVTAATLVYLESPTDTPGQKLVGALLDAAVFVALVAVVTFVLVALYYYRCTGFLKNYMRFSAFFVLFSMGGAIAAAVLRRLGAPLDAATALVLLFNASAVGVLSVFASAVPIVVRQGYMVALAVIVAAWLSRLPEWTTWVMLIALALYDLVAVLAPRGPLRMLVELASSRDDELPALVYESRPTVGPASGSSSYASAMGSVEMQPVADPGRSGGNQYDRVEQEDDSSRAVVEMRDVGGSRSSIRERNLEREAPMAVSVSGHSSNQGGSSQHAVIQIEQHEEGETVPLVSAASANNAAPNEEHRESSSSDSGMEFEMFESTRGIKLGLGDFIFYSVLVGRAAMYDLMTVYACYLAIIAGLGCTLILLSICKHALPALPISILLGVTFYFLTRLLMEPFVVGSSTNLVMF >ORUFI01G12150.1 pep chromosome:OR_W1943:1:9037713:9041770:-1 gene:ORUFI01G12150 transcript:ORUFI01G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLPRAPVSSSAAAASPGGRALLLLRVGGGGSGRCAGVAAAAAPGWRRPFPAASVAARSAGTTPGEVAVDPKVEAILDSVKWDSKGLAVAIAQNVDTGAILMQGFANKEALATTISTRKATFYSRSRSSLWTKGETSMNFINVHDIFLDCDRDSIIYLGKPDGPTCHTGAETCYYTSVYDALQGSKPNQDRQVVSTLYSLEDTISRRKEEIVTEGSGKPSWTKKLILDNRLLCSKISEEAGELNQTLLENEDESRTISEMGDLLYHAMVLLRVKGVRMEQVLEVLRKRFSQSGIEEKASRNKS >ORUFI01G12160.1 pep chromosome:OR_W1943:1:9042508:9044420:1 gene:ORUFI01G12160 transcript:ORUFI01G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAAGGLYYCHMCASTVSAVAAAEGEVEIKCPYCHSGFVEEIESARGVATGGGGAISSVWAPIIDGMVGGGGGDAVRRHRRSRRLADAAGADDGYYRDLALLDFSESRRRTAALLLLMQEFRERQLQRLESATATISAAAAEAGAVVGTSRDAEGVALADYFLGPGLDALMQRVGDGDAGRQGTLPAKKEAVESMPTVEVAAGGDCDSACAVCLEDYAAGERATEMPCRHRFHAKCIVPWLKMHSSCPVCRFQLPTDDDDDSSKSARGGAAHSGGGRRLSQPAPRVDGGGLGRLPAVMQELRSILSQPSPASTSGSSSHAQQHSDE >ORUFI01G12170.1 pep chromosome:OR_W1943:1:9047084:9051962:-1 gene:ORUFI01G12170 transcript:ORUFI01G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMEEQQEGAAGVMRRRPKTKIVCTLGPASRSVEMIGRLLRAGMCVARFNFSHGSHEYHQETLDNLRAAMESTGILCAVMLDTKGPEIRTGFLKDGKPVQLKKGQEITVSTDYSIKGDDNMISMSYKKLAVDLKPGSVILCADGTITLTVLHCDKEQGLVRCRCENTAMLGERKNVNLPGVIVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKVLGKHAKSIMLMSKVENQEGVANFDDILAQSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICLQAESCVDHAAVFKSITASAPIPMSPLESLASSAVRTANSAKAALILVLTRGGTTARLVAKYRPSMPILSVVVPELKQTDSFDWTCSDEAPARHSLIVRGVIPMLSAATAKAFDNEATEEALGFAISNAKAMGLCNSGESVVALHRIGTASVIKLLTAN >ORUFI01G12180.1 pep chromosome:OR_W1943:1:9055500:9062125:-1 gene:ORUFI01G12180 transcript:ORUFI01G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHALLLLLLLRISASAAASRPPLDTLGIPPQDEAYFRGGVIRCRDGSGRFARDKLNDDFCDCPDGTDEPGTSACPEGKFYCQNAGHSPITIFSSRVNDGICDCCDGSDEYDSNVTCKNTCWEAGKAARDKLKKKVATYKSGVVIRNQEIQKAKVAFAKDEAELAKLKGEEKILQGLVDKLTEQKKLIEKAEEEERLRKEKEEKRMKEEAEKQAADEKKASDASQEVDSQENHETVQEDESKVAEHHDGHATSHDNHTPESESSVEQHDPESQDDISIKAAPADESPPEETSAAPTKEQESTPADSEGLSREELGRLVASRWTGEKVDEVSKDDKNEHEAEHDMPEHSEETHEDESDVPESAEDSYAGYHSEVEDDRHKYDDEDFSHESDDEYVDDHDEHVASYKSDDDQKGDDHSDFTASGQASWLDKIQQTVQNVLRTFNFFKTPVDLSEASRVRKEYDDASSKLSKIQSRISTLTDKLKHDFGKEKEFYYFYDQCFESKEGKYVYKVCPFKKASQVEGHSTTSLGRWDKFEESYRVMQFSNGDRCWNGPDRSLKVRLRCGLNNELNGVDEPSRCEYVAVLSTPALCDEQKLKELEQKLKASSNQRDHDEL >ORUFI01G12180.2 pep chromosome:OR_W1943:1:9055516:9062125:-1 gene:ORUFI01G12180 transcript:ORUFI01G12180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHALLLLLLLRISASAAASRPPLDTLGIPPQDEAYFRGGVIRCRDGSGRFARDKLNDDFCDCPDGTDEPGTSACPEGKFYCQNAGHSPITIFSSRVNDGICDCCDGSDEYDSNVTCKNTCWEAGKAARDKLKKKVATYKSGVVIRNQEIQKAKVAFAKDEAELAKLKGEEKILQGLVDKLTEQKKLIEKAEEEERLRKEKEEKRMKEEAEKQAADEKKASDASQEVDSQENHETVQEDESKVAEHHDGHATSHDNHTPESESSVEQHDPESQDDISIKAAPADESPPEETSAAPTKEQESTPADSEGLSREELGRLVASRWTGEKVDEVSKDDKNEHEAEHDMPEHSEETHEDESDVPESAEDSYAGYHSEVEDDRHKYDDEDFSHESDDEYVDDHDEHVASYKSDDDQKGDDHSDFTASGQASWLDKIQQTVQNVLRTFNFFKTPVDLSEASRVRKEYDDASSKLSKIQSRISTLTDKLKHDFGKEKEFYYFYDQCFESKEGKYVYKVCPFKKASQVEGHSTTSLGRWDKFEESYRVMQFSNGDRCWNGPDRSLKVRLRCGLNNELNGVDEPRIGTEAQGIFEPA >ORUFI01G12190.1 pep chromosome:OR_W1943:1:9064230:9064685:-1 gene:ORUFI01G12190 transcript:ORUFI01G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAAKARCSVPFILSALAALSCVFLVHGLYGLIELFGSPELSPEAMLLIFALCFLLLAAAAAAAAVTTTTTSVVGYRRALLHREAAAVVMPTTSTAEDAAVVGMAGVEGELPVVADEAAAAAARRMDMQTTQDYPSSGANSRHDPRNPH >ORUFI01G12200.1 pep chromosome:OR_W1943:1:9087142:9092888:1 gene:ORUFI01G12200 transcript:ORUFI01G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLAALSSFGGRWLRSGRMRRRRRSFGGVAAALGGGGWLAAAALGGGGQRAGGWRVVVVVGGGEDENERRGWIGNRPRQIGIEVMPNLGNGVKTNNDLPLVSDKLLIVKGIPFCPNNSKKNDLQGISRPDGRIEIDSMTEDVKTEPDGSVPEKILKKPDKILPCPRCNSMETKFCYFNNYNVHQPRHFCRNCQRYWTAGGAMRNVPVGAGRRRNKHVSKYCQAMMTCNNTVAPGDVSDVVHHQVITHGSSLLPATLKENETPTEFISEVPPCKSSASILDIGEPNDTDLVPLASGDNKEEKSCASSVVVSSCSENLMPDNAIMKEPNNRSGCCNGVALPFPTGPALVLPWSLGWNSVALMPATQCSMQPVLGLKDGIPCPPSWPPQLMVPAPGICTPVVPIPLVPPLWSCFPGWPNGMWNAQCPGGNTTVLPSTAPNKISCSGSSSLVLGKHSREESLQEEEKTRNNLWVPKTLRIDDPAEAAKSSIWATLGIKPDDKGIFKSFQPNVAKNGTAPESPQALQANPAAFSRSQSFQETT >ORUFI01G12210.1 pep chromosome:OR_W1943:1:9089043:9098250:-1 gene:ORUFI01G12210 transcript:ORUFI01G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERPLLDASPRPTQQPPASSMLPPPQPEPPLRAERLAFSLEVPDPFRREPDPSSAAASRREEGEEGGGEEESRAVVVGEPSSSAAAGFAGNAVRTAKYSVLTFLPRNLFEQFRRLSYVYFLAITVLNQLPQVAVFGRGASVLPLAFVLFVTAVKDAYEDLRRHRSDRQENNRLARVLLAPPAAGEFAPKKWKHIRVGDVVRVASSETLPADMVLLATSDPSGVAHVQTVNLDGETNLKTRYAKQETQLRFSQDGGIGGVLHCERPNRNIYGFQANLEIDGKRVSLGPSNIVLRGCELKNTTWAIGVVVYAGKETKVMLNSSGAPSKRSRLETQLNRETVILSIMLIGMCTTASVLVGIWILNHRGDLEFTQFFREKDYTTGKNYNYYGMGMQIFITFLMAVIVYQVIIPISLYISMELVRLGQAYFMGADRDLYDESSRSKFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIRGVDYSSGKDSCGYSVVVDDLLWTPKMAVKTDPRLLKLLRGGGTDEETKLVLEFFLALAACNTIVPLVLDTRDSKQKLIDYQGESPDEQALVYAAASYGIVLVERTSGYVVIDVLGDRQRFDILGLHEFDSDRKRMSVIVGCPDKTVKLYVKGADSSLFGITKNSLDLDIVRATEAHLHKYSSFGLRTLVIGMRELSQAEFEEWQLAYENASTSVLGRGNLLRSVAANIENNIRILGATGIEDKLQDGVPEAIESLRQADIKVWILTGDKQETAISIGYSCKLLTNDMTQIVINNNSKESCKRSLEEALATIKKLRIASTGTQSPELASESAGVTLALIIDGNSLVYILETELQEELFKVARECSVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMSYMILYNFYKNATFVLVLFWYVLYTAFTLTTAITEWSSLLYTVLYTSLPTIVVGILDKDLSKETLLAYPKLYGSGQRDEKYNVNLFVLNMLEALWQSLVAFYMPYFAYRQSTIDMSSLGDLWALAPVIVVNMLLAMDIFRWNWIVHAFVWGTIAATTICLFVIDSIWFLPGYGAIFHIMGTAMVPHFVIKAFTEYFTPSDIQVAREIEKFENVNQVNRSEVPMTRQPGKQLHRGGTRGIGTTGVQIPGAGTISCGGHEGGQGIPSFNPRTGCIEHWVAGMRATLFHPRLQGSTKAEAKGTSTNWDIPHSSTSGPISLAVPAEVPGLRADQSPFSSVLKPNCKPIRFSENCLLE >ORUFI01G12220.1 pep chromosome:OR_W1943:1:9098279:9109003:-1 gene:ORUFI01G12220 transcript:ORUFI01G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKTKLQEGLKRFKGMQQIRQHEHTKLEYRHCKKCGACPLTTAPEDLGGRARGSSVKRQQKRDWGKGSRGEIWGILTDEEGAGERPPLGAGDDGEGEPVRGDEGVQQRHRRDAPDRRRLLRRPLEPAQSEPASSAAAAASTSAAVHGLIPPRVAGGGGVWERDLGGEAVEERREKASEWESTKRAEARALLTLFSPASPPPATSRPNPPPPRAAMPPVVAH >ORUFI01G12230.1 pep chromosome:OR_W1943:1:9104596:9108229:1 gene:ORUFI01G12230 transcript:ORUFI01G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGGGGGGGGGFGLGGLQWTAEEASTIGGIATVSLLHSFIPTHWLPFSIVARAQRWPLSRTLLVTAFGGVLHVVSTALLGITAVTMANTIAGEETVHKLASLLLIVLGGSYILLFALGKGGHGHAHNHPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMILAIIVLLFSTITVMTSLVALSFYGASQIKFHWVERYDKILVGSVLCLVGVLTYVFHHHDGDEHSLHEHVHRKLVGL >ORUFI01G12240.1 pep chromosome:OR_W1943:1:9109010:9110506:1 gene:ORUFI01G12240 transcript:ORUFI01G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGDGDYYRTLGIERGASKAEVKAAFYRLAPLHHPDRHAASDAAARAAAGGRFRRVYDAYTVLHSDATRAAYDHLPRTATSPPTSRGSGGGAASGSSYGRCFSRPQPPSMKLPVILFFSLVTGSALLVALSRGKNKAANEGATMVQGN >ORUFI01G12250.1 pep chromosome:OR_W1943:1:9112022:9113351:1 gene:ORUFI01G12250 transcript:ORUFI01G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGGGDHYRTLGIGRGASKAEVKAAFLPPRAAAPPRPPPRRHLRRRRPRRRFRAVYDAYGVLYNDAARAAYDLRLRSSSSSSAAATSGSSSGAYRHGRSGAASASASSGPTGSGSAGYRHRRGGGGYGHTHGDATGSASSSSGPSRSGYRQGGGGGAHDGGPVRSYSLHGPKDAHVF >ORUFI01G12260.1 pep chromosome:OR_W1943:1:9118901:9122413:1 gene:ORUFI01G12260 transcript:ORUFI01G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHYQTLGLRRDATKAEVKAAFRRRALRDHPDRHAHSPDAAARADAARRFRLASDAYRVLSDDRLRAEYDLRIRPSSFYGRASSSASASASSASYDYGYGYGHRRGGGSWRRPPPGGGGAASAGFDWDLLLKSITRRGFLINLGFASVLLTGAAFLDGSILEIWKMNNSGKSFEDAMESIEKVKIQKGNR >ORUFI01G12270.1 pep chromosome:OR_W1943:1:9124661:9125374:-1 gene:ORUFI01G12270 transcript:ORUFI01G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVSLDATWAHLPAPPPPPPHHTWLSPAADDAITAALWASMAPPSASSYCSSAASPTPSTSTTTTTTTSSSAASAEILAGGGARAAAAATRPSGRVSKRKPRPSRRAHTTYITADPADFRRMVQEITGFPVPGAHTAYASASSAPAPPHAAAALACVLPTLDTSAFLLDRASPPPPQQQPQPERKNKTPTTTMASTPPPPPAAADEAAASSLLLQELEELIGASAFPTLESWGMI >ORUFI01G12280.1 pep chromosome:OR_W1943:1:9145748:9160868:-1 gene:ORUFI01G12280 transcript:ORUFI01G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLELLCEKLYNSRDSAERAHAESTLKCFSENSDYISQCQYILDNASTPYALMLASSSLLNQVNDRTNYVINYLAMRGPKLQTFVIKSLIQLVCQITKFGWFDDDKFRDIVKEAADFLSLASQDHYFIGLKILYHLVGEMNQANAMPLTLHRKIACSFKDQFLLQIFQISLTSLHQLKSEVPDDFRRDPLSLALRCLSFDFVGCPVDESSEEFGTVQLPASWRPLLQDPSIVQIFFDYYKVNDTCVSKEALECLVRLASVRHSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGWFKVNFQLSELLSIEFYGEWIGLVAEFTTKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKSDTPSMLDETVPKITEGFIASRINSVQASFANDSSDDTLDNVDVLQEQLESLPYLCRFQYQNSSIYIINIMEPLLQAYTERSRLPAPGDANELSVIEGQLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQQLYARLSELLGLNDHLVLLNAIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESTKFIIANHSRDSFPFLEEYRCARSRTTFYYILGCLVFMEDTPVKFRSFMEPLLQLIWRQPLMLLSGTDVVVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSRMPLLLKAISLCADEPEVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSCILKYKGIWISLAVLSRALCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDILAFKKLSKAFYGYIEVLFSSHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQCASAIDSLAAFYFNNITAADGPPSPAALNLARHIGEFPTLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMINEQMFSNLRAQILASQPVDQQQRLSQCFEKLMSDVARNLEPKNRDKFTKNLTTFRHDFRVLSRVLELDGAFCQWTYGSQFFSLAHGIC >ORUFI01G12290.1 pep chromosome:OR_W1943:1:9169054:9174950:1 gene:ORUFI01G12290 transcript:ORUFI01G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRTGEATPPPQAHAEEEPGRGKRRRVVARETRLQQQEEEEEGEEEGASSEPETRPRPPPATPATAEEPAATAAGAEARAQLAINIRRMLAVEAERRGRIAERIGVQAEGPILTLEDTFCDIDDGSAKSQVARKVALGVSQSIVSLSSFAGRKRIRVCSGFVIRWNDSTSIGTILTSAALVRPPCGDDVRVEVFLPSGDISICQISMVDFHHNIALVEVTSNFKLQEAVILKYIIDKGDVLALGRSYEGGLLMCSRGEISNRASIFECSELLVSSCEITMAGTGGPLVNYNGHVVGINFFEENQTPFLSMAIVFKCLEHHQIFGRIIRPWIGFWFTSIQMVPLSHLEHIYRKFSDVDNGLYISNVAEGSPADIAGICQGDILMKCGGKFLSTAPEFGAMLMDKCKETMEEYDQETNGDFSAKRITVEIVIKRENDGSTIEKTISAGLIEEFNYNRWPTPIPSYKVRRDTIGREKGMIPKFVEY >ORUFI01G12300.1 pep chromosome:OR_W1943:1:9175363:9178546:-1 gene:ORUFI01G12300 transcript:ORUFI01G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVSPPEDGDGRPIAMEGQAVEDLAASALAARGLVTAPGGLGAPADALAVAANGHNANASAVAAARTLLPNAPLPAMEFNLPVFQVQIKLAMLLGEGISSQTNTTSPVRIPTAAAPKKMTPKRKLHIVQFWGICSWQLQQVQERLAAYQPSQASQCSSHGQAAAGQPSQSQAAASQPDDRLAAWEHAN >ORUFI01G12310.1 pep chromosome:OR_W1943:1:9181100:9181423:-1 gene:ORUFI01G12310 transcript:ORUFI01G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSENRARGTIILKVTESTPPNRGELAVGVHTNAGTCPYQEALSKKKKKKKKKHMKNASPCISMDTRIKKLAMRPIIGNVSFRQQNSLAVNQELNGINELEVEKNST >ORUFI01G12320.1 pep chromosome:OR_W1943:1:9184327:9184662:1 gene:ORUFI01G12320 transcript:ORUFI01G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFFIFLFFFIFLVFLPLLPTPPWHSGVVCRTTTPCRVPSVHGGSCVGGSGFACDRRLAVSARCDVRGRCGAVKNEHGLANNSEKSQNGFHRRLQVGVADFSGKSKYG >ORUFI01G12330.1 pep chromosome:OR_W1943:1:9199603:9204920:1 gene:ORUFI01G12330 transcript:ORUFI01G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELFHDLACSVSKDECFSSGEAFCSLPENICHLSVVLPDSKSVVLTKVQRHLQSLMVVKRSASEYPGSFVPLLKILGLNDLLMKCRFLRALNLSCTTIRELPSSIMKMKHLQFLALNNTKIQSLPAEIGQLQTLQTLELKYCCCLIELPESTMNLTKLRHLDVQKEPGNVHVSMPHGIGQLTDLQTLTVFNTGDDLSHCSIGDLKNLSGLRGHVHITGLQNITASDDAKEANLVGKQFLGALTLEWCWSSQDMEDDSDKEIANQVLHNLQPNTNLQELSIRNYSGNLFPNWIQDSSLSMLVSITIDDCQDCNEIPHLGDLPFLKYLLIHKMYAVESFGQRSNPLTTEGKHAPIFPSLEILNLWEMYSLQFWNGTNNGDFPRLSHLCISRCPKLTNLPPLISLLYLSFHCGDQLPAYSELPSLKSLKIESFQKLKSVRFCPEMPMDKYTVKYLLKKTTTDDGWNWRKYSEKDLLGSKYKRCNQKQSTGCVARKIVEPNNDDPNTWLVTYLYEHNHKQEARPCDKPSPSDLHVQLASGNT >ORUFI01G12340.1 pep chromosome:OR_W1943:1:9211691:9213897:1 gene:ORUFI01G12340 transcript:ORUFI01G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLSPTVSFLASSSASPPRARALPASANVASTIPAPRLQCKNLSSQSPLNASFTKKRLVSVHASAEAGAEEAGTDQPEEPKPTVSIETMPLETKQKMIMEQRAKMKLAKKLRQRRRRLVQKRRLRKKGRWPPSKMKKLKNV >ORUFI01G12350.1 pep chromosome:OR_W1943:1:9214949:9223395:1 gene:ORUFI01G12350 transcript:ORUFI01G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRAHFVILVLVYRLLVPLSAEPDQTRESYVVYMGGGGAGAGAGAGVEEEAARAMHMEMLTSVAPAGDDQGRAAAALTQSYHHAFQGFAAELTEAEAAALSGHERVVSVFRDRALELHTTRSWDFLDVQSGLRSDRLGRRASGDVIIGIVDTGVWPESASFSDAGMGPVPARWRGVCMEGPDFKKSSCNKKLIGARYYGSQPGSASSSAAAGAVTATGGSPRDAVGHGTHTASTAAGAVVPGAGYYGLARGAAKGGSPASRVAVYKACSLGGCASSAVLKAIDDAVGDGVDVVSISIGMSSAFQSDFLADPIALGAFHAHQRGVLVVCSGGNDGPNPYTVVNSAPWILTVAASSIDRSFHSTIVLGNGTLVKGIAINFSNQSITGGQYPLVFGPQVAGRYTPVSEASNCYPGSLDAQKAAGKIVVCVGTDPMVSRRVKKLVAEGAGASGLVLIDDAEKAVPFVAGGFPFSQVATDAGAQILEYINSTKNPTAVILPTEDAKDDKPAPVVASFSARGPGGLTEAILKPDLMAPGVSILAATIPTADKEDVPAGKNPSPFAIKSGTSMACPHVAGAAAFVKSAHPGWSPSMIRSALMTTATTRNNLGQAVASSTGAAATGHDMGAGEISPLRALSPGLVFDTTTRDYLNFLCYYGYKEQLVRKLAGAGAAGAAFACPRGAPSPDLIASGVNYPSISVPRLLAGRTATVSRVAMNVGPPNATYAAAVEAPPGLAVKVSPERLVFSSRWTTAAYQVSFEIASDGAGAGAGASKGYVHGAVTWSDGAHSVRTPFAKFLCGGRLIFGPDAGSLFLSTVLIVAPLVGLCCQCITKMNSISSEKQVLGLPVLIATIVLGLADLAFLLMTSSRDPGIVPRNARPPESCGGGDEEGVAGDVTTPSAEWVTAASPHLRLPRSKDVVVNGCVVKVKYCDTCLLYRPPRASHCSICNNCVRKFDHHCPWVGQCIGLRNYRFFFLFISTSTLLCVYVFVVSWLNIVAHKDGNDGSLLKSMAGEPLSVVLIVYTFVSVWFVGGLTVFHLYLMSTNQTTYENFRYRYDKKENPYNRGAISNIAEVFCAGIPPSMNNFRSWVAPPPLEEPDDVSGQLPPRNGADLTGGVKEKVDLEMGRNGGIIPAILRGLDYDEMEKNDVSVHIKDRGAAPAAPDPFMAGRWHNEDCESTPTAVSHHVNSERL >ORUFI01G12360.1 pep chromosome:OR_W1943:1:9226432:9229424:1 gene:ORUFI01G12360 transcript:ORUFI01G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMELSLLNPAAMRGLSAAKPRVVSSRRIVRFRVASSAAAPPAAKPGTPKKRGKTEIQETLLTPRFYTTDFDEMERLFNAEINKQLNQEEFDALLQEFKTDYNQTHFVRNPEFKAAADKMEGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIFRHLKANPEYQVYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVISELFRCLHGPTVHTEDCYCAPLLTVFVCDPLQVYVTMYLNDCQRTTFYEGIGLDTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINKKIIAIGESDDIPLVKNLKRIPHVAALVSEIIAAYLMPPIESGSVDFAEFEPQLVY >ORUFI01G12370.1 pep chromosome:OR_W1943:1:9230560:9237780:1 gene:ORUFI01G12370 transcript:ORUFI01G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDADMEDYGFEYSDDEPEEQDVDIENQYYNSKGYASCPWRASGWGQLAVSRDCVREGALTSVHGLWWSRYREKKVKRRNNRRREGMVETDPEGALAGFDQVVRMEPEKAEWGFKALKQTVKLYYKLGKYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFSLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQREDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYTKALSIKSAIPHPRIMGIIRECGGKMHMAERQWADAATDFFEAFKNYDEAGNPRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQKNDIMEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISQELNFPEKDVEQLLVSLILDNRIQGHIDQVNKLLERGDRSKGMRKYQAIDKWNTQLKNIYQTVSNRVG >ORUFI01G12370.2 pep chromosome:OR_W1943:1:9230560:9237780:1 gene:ORUFI01G12370 transcript:ORUFI01G12370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDADMEDYGFEYSDDEPEEQDVDIENQYYNSKGMVETDPEGALAGFDQVVRMEPEKAEWGFKALKQTVKLYYKLGKYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFSLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQREDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYTKALSIKSAIPHPRIMGIIRECGGKMHMAERQWADAATDFFEAFKNYDEAGNPRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQKNDIMEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISQELNFPEKDVEQLLVSLILDNRIQGHIDQVNKLLERGDRSKGMRKYQAIDKWNTQLKNIYQTVSNRVG >ORUFI01G12380.1 pep chromosome:OR_W1943:1:9239737:9242185:1 gene:ORUFI01G12380 transcript:ORUFI01G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAKLESRLKSDKFWPFCYLTTHHRISGKAKNGLNVRMNPITDTMFSIRNRICSPGCIMTIMSQIHI >ORUFI01G12390.1 pep chromosome:OR_W1943:1:9240437:9254734:-1 gene:ORUFI01G12390 transcript:ORUFI01G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDKAGGDDAAAAAAAPLLVSAAGRRRRCPGCLTEERCKADAGIPYLNFFYIWVVCLCSYLLVVGEQLNLQGEEEQTSLPAIAAMTGGGDADRNAPLLAGGRKVYHERCPGCRQQRKVQANDRLPYLGFLYTWIACLCAGMIRDLKVAKEEQDIGFYAGATYFLGRTISAVPWGIFADKYGRKPCIVISILSVIVFNTLFGLSTTYWMAIVTRGLLGLLCGILGPIKAYASEVCRKEHQALGISLVTSSRAIALVVGPAIGGFLSQPAKKYPNLFSEESETLHMHHDDKEVIDALEAQDATSDLGETTKESGSGRMGHTKSLLKNWQLMSAITLYCVFSLHDTAYLEIFSLWAVSSRKYRGLSFTSQDVGIVLAISGFGVLVYQLAIYPLLAKYVGPIKPFRYAAVLSILLLSTYPFMANLYGLELKATITIACNILQNTAVTQEQRGVANGISVTLMSIFKAVAPAAAGILFSWAQKHITGLFLPGEQILFLMLNMVSIFCRKSKKNTRTVSSYYHTR >ORUFI01G12390.2 pep chromosome:OR_W1943:1:9241965:9260984:-1 gene:ORUFI01G12390 transcript:ORUFI01G12390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDKAGGDDAAAAAPLLVPAAAGRRRRCPGCRTKERCKADAGIPYLNFFYIWVVCLCSSLPIQSLFPYLYFMIRDLKVAKEEQDIGFYAGATYFLGRTISAVPWGIFADKYGRKPCIVISILSVIVFNTLFGLSTTYWMAIVTRGLLGLLCGILGPIKAYASEVCRKEHQALGISLVTSSRAIALVVGPAIGGFLSQPAKKYPNLFSEESETLHMHHDDKEVIDALEAQDATSDLGETTKESGSGRMGHTKSLLKNWQLMSAITLYCVFSLHDTAYLEIFSLWAVSSRKYRGLSFTSQDVGIVLAISGFGVLVYQLAIYPLLAKYVGPIKPFRYAAVLSILLLSTYPFMANLYGLELKATITIACNILQNTAVTQEQRGVANGISVTLMSIFKAVAPAAAGILFSWAQKHITGLFLPGEQILFLMLNMVSVIGFILTFKPFFALPDMR >ORUFI01G12390.3 pep chromosome:OR_W1943:1:9241965:9254734:-1 gene:ORUFI01G12390 transcript:ORUFI01G12390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDKAGGDDAAAAAAAPLLVSAAGRRRRCPGCLTEERCKADAGIPYLNFFYIWVVCLCSSLPIQSLFPYLYFMIRDLKVAKEEQDIGFYAGATYFLGRTISAVPWGIFADKYGRKPCIVISILSVIVFNTLFGLSTTYWMAIVTRGLLGLLCGILGPIKAYASEVCRKEHQALGISLVTSSRAIALVVGPAIGGFLSQPAKKYPNLFSEESETLHMHHDDKEVIDALEAQDATSDLGETTKESGSGRMGHTKSLLKNWQLMSAITLYCVFSLHDTAYLEIFSLWAVSSRKYRGLSFTSQDVGIVLAISGFGVLVYQLAIYPLLAKYVGPIKPFRYAAVLSILLLSTYPFMANLYGLELKATITIACNILQNTAVTQEQRGVANGISVTLMSIFKAVAPAAAGILFSWAQKHITGLFLPGEQILFLMLNMVSVIGFILTFKPFFALPDMR >ORUFI01G12400.1 pep chromosome:OR_W1943:1:9265456:9270584:1 gene:ORUFI01G12400 transcript:ORUFI01G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLLPLSVSCRVPGSPPAPRSRRFLDPGGGRGVGDGLGGIRVFRRRALRGTDVRSNTSSSSSRKGRHDDARHDGGYGDDGDAGALLASVRRLLLSGSAQDDAAEGEAEEDEQGQFPKRWAIVFLCFSAFLLCNMDRVNMSIAILPMSAEFGWNPQTVGLIQSSFFWGYLLTQIAGGIWADTVGGKTVLGFGVIWWSIATALTPFAAKLGLPFLLVTRAFMGVGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPLLIHNFGWPSVFYSFGSLGVFWFSTWASKAYSSPLEDPGISAEEKKLITSQTTGGEPVKEIPWGLILSKPPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAVSANFGGWIADTLVSRGLSVTTVRKIMQSIGFLGPAFFLTQLSHIDSPAMAVLCMACSQGTDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFKVSVVLYLVGTLVWNLFSTGVYAQKAR >ORUFI01G12410.1 pep chromosome:OR_W1943:1:9269938:9273561:-1 gene:ORUFI01G12410 transcript:ORUFI01G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAWSPALAAVLLAAAVASASNSEGDALYALRRALADPRGVLQSWDPTLVNPCTWFHVTCDRAGRVTRLDLGNSNLSGHLAPELGHLEHLQYLELYKNNIQGTIPAELGSLKNLISLDLYNNNITGTIPKELGKLSSLVFLRLNDNSLNGPIPRDLAKISSLKVIDVSNNDLCGTIPTSGPFEHIPLNNFDKNPRLEGPELQGLATYDTNC >ORUFI01G12420.1 pep chromosome:OR_W1943:1:9281083:9289592:1 gene:ORUFI01G12420 transcript:ORUFI01G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMSPRTDTSTDDTDDNHMLEPGQLALAAASDSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQAYGNFSQGIFISSSVDQTHSMSGNGALAFDMEYARWLEEHNRQINELRSAVNAHAGDNELRGVVDKIMSHYEEIFKQKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSELLKLLSTQLEPLTEQQLSGIANLQQSSQQAEDALSQGMEALQQSLAETLAGSLGSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQSARALLVISDYSSRLRALSSLWLARPKE >ORUFI01G12420.2 pep chromosome:OR_W1943:1:9281083:9289592:1 gene:ORUFI01G12420 transcript:ORUFI01G12420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADMSPRTDTSTDDTDDNHMLEPGQLALAAASDSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSVDQTHSMSGNGALAFDMEYARWLEEHNRQINELRSAVNAHAGDNELRGVVDKIMSHYEEIFKQKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSELLKLLSTQLEPLTEQQLSGIANLQQSSQQAEDALSQGMEALQQSLAETLAGSLGSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQSARALLVISDYSSRLRALSSLWLARPKE >ORUFI01G12420.3 pep chromosome:OR_W1943:1:9281083:9289592:1 gene:ORUFI01G12420 transcript:ORUFI01G12420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADMSPRTDTSTDDTDDNHMLEPGQLALAAASDSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKAKAYTTRTRIATSSSAGALAFDMEYARWLEEHNRQINELRSAVNAHAGDNELRGVVDKIMSHYEEIFKQKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSELLKLLSTQLEPLTEQQLSGIANLQQSSQQAEDALSQGMEALQQSLAETLAGSLGSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQSARALLVISDYSSRLRALSSLWLARPKE >ORUFI01G12420.4 pep chromosome:OR_W1943:1:9281083:9289592:1 gene:ORUFI01G12420 transcript:ORUFI01G12420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADMSPRTDTSTDDTDDNHMLEPGQLALAAASDSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSVDQTHSMSGNGALAFDMEYARWLEEHNRQINELRSAVNAHAGDNELRGVVDKIMSHYEEIFKQKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSELLKLLSTQLEPLTEQQLSGIANLQQSSQQAEDALSQGMEALQQSLAETLAGSLGSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQSARALLVISDYSSRLRALSSLWLARPKE >ORUFI01G12420.5 pep chromosome:OR_W1943:1:9285424:9289592:1 gene:ORUFI01G12420 transcript:ORUFI01G12420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQWLKLVDDVAKLGDFFMAYVQQLENSRLKLTQLEQELQRARQQGIFISSSVDQTHSMSGNGALAFDMEYARWLEEHNRQINELRSAVNAHAGDNELRGVVDKIMSHYEEIFKQKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSELLKLLSTQLEPLTEQQLSGIANLQQSSQQAEDALSQGMEALQQSLAETLAGSLGSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQSARALLVISDYSSRLRALSSLWLARPKE >ORUFI01G12430.1 pep chromosome:OR_W1943:1:9289114:9298167:-1 gene:ORUFI01G12430 transcript:ORUFI01G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNKEAPLGCLKPISQYNPQEQRSNGFPENSEKKNDSIATERVLEASPLPNQGFFRPVQRTEYYAYPFIYADYQMPGQPQPYNLDNQFYQINRDHSFPIESRVQYLPFKMPPQGYPPDAQLQEFQYFVVIDFEATCDKENNPHPQEIIEFPSVLVNSATGQLEASFQTYVRPAYNQLLTDFCKELTGIQQIQVDRGVPLSEALLMHDKWLEEKGIKHKNFAVVTWSNWDCRVMLESECRLKRIRKPPYFNRWINLKVPFQDVFGGVRCNLKEAVQLSGLTWEGRAHCGLDDARNTARLLALLMHRGFKFSITNSLVWQSAPQSITCQSSPAHSPYPNQSHHKPMEVMGSPVQVNPYAGITVKKPMYCHCGVLSQIKVTYRPGPMHGRYFYGCGNWTSTRGANCDYWVWLS >ORUFI01G12440.1 pep chromosome:OR_W1943:1:9304779:9305107:1 gene:ORUFI01G12440 transcript:ORUFI01G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVLALLRWSNHAAKKALRALKALVKLQALVRGFLVRRQAAATLQSMQALIARRPPCAPTASAPAPPPISLTSTTLPFGPAARWYAAGEISPTTSPCIYSPY >ORUFI01G12450.1 pep chromosome:OR_W1943:1:9305354:9305533:1 gene:ORUFI01G12450 transcript:ORUFI01G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLPCYLPGGAAAPPPRIAVPTSRHFPDYDWCTLEKARPATVQSTPRYAHAPPKQRP >ORUFI01G12460.1 pep chromosome:OR_W1943:1:9312232:9312643:1 gene:ORUFI01G12460 transcript:ORUFI01G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLLAAWFLREPQASSAAKQRARRAPGTVTGDGHRLVLLSPATASGTAPPFPLLLAHNSHMFIGERQRFVIGWKWHFNDAIPRNGIVAKPTLGSGRMSNFSSLAPPKLYKS >ORUFI01G12470.1 pep chromosome:OR_W1943:1:9313039:9317871:1 gene:ORUFI01G12470 transcript:ORUFI01G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRIVAHNLFCHFSPIQPFSLAFSTRTDDNAPGLVQIGIHSVLQFLLLEYVEESKLFDLGERDYASRVDLVAKVHGIYKAEKYIENVPASHRGEVVYRTLLANCVAIANVKKTEQVFNKMKDLGFPVTVFSCNQLLLLYKRVDKKKLDAKNVEEHHERDCQKLDCCHQPKPLVCQSSFSSGRFMWSRVFSSQAGASSGDKQDELEEGFSDLEVPPEADKKDLDLTSDESSDEDTIDAIGLSQVEADAKPEEPIKKSQSTLLKALLVSPRVDVAGATKKWLNDGNTLERSELFYVLLSLRKRKLYTKALQKLGDVLTMMEKENVKPSLFTYKLLVDTKGAARDIEDMEKVIQAMQADGIEPDLLIQATIARHYIFGGYREKAEAILEQIEGDDINENRSACKFVLPLYAFLGKKADVERIWKVCEVNARLDECMSAIEAFGKLGDVEKAEEIFENMFKTWKTLSFEYYNAMLKVYANKKLFDKGKELAKRMGDDGCRLGPSTLDSLVKLYSDAGEVEKADSILHKLSYKNKIKPLYTTYLMLLDSYSKKGDVHNAEKLFSKVRQMGYTGRIRQYQLLLEAYLNAKTPPYGFKERMKADDIFPNRAVASLLAATDPFNRKNAMSELLD >ORUFI01G12480.1 pep chromosome:OR_W1943:1:9318630:9320104:-1 gene:ORUFI01G12480 transcript:ORUFI01G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVRFENSSEIGVFARLTNAYCVLPDGGAENFFSVFESELADVVPVVRTSIGGTRIIGRMCVGNKNGLLLPHTTTDQELQHLKNSLPDQVVVQRIEERLSALGNCVACNDHGTEEIISDVLGVEVFRQTIAGNILVGSSPADVGGGPGGAVDAAGGATGRRVPSTGAARVVAAGMAVNDWAAFCGADTTATELSVVESVFRLRDGRPGALGADMSRSLVVSSYL >ORUFI01G12480.2 pep chromosome:OR_W1943:1:9318630:9320104:-1 gene:ORUFI01G12480 transcript:ORUFI01G12480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVRFENSSEIGVFARLTNAYCVLPDGGAENFFSVFESELADVVPVVRTSIGGTRIIGRMCVGNKNGLLLPHTTTDQELQHLKNSLPDQVVVQRIEERLSALGNCVACNDHVALTHPDLDQASSPADVGGGPGGAVDAAGGATGRRVPSTGAARVVAAGMAVNDWAAFCGADTTATELSVVESVFRLRDGRPGALGADMSRSLVVSSYL >ORUFI01G12490.1 pep chromosome:OR_W1943:1:9320133:9321157:-1 gene:ORUFI01G12490 transcript:ORUFI01G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERRSCPLASYTGRTVHLHAAPALTIRKLGTNETNSYPKNNNAPKGTWRKRNRVQPNNTATTRSPTWYKTSTAPSSSIVDPTQRHHQRPPPSPPLPSPPAVYARAQEFLVG >ORUFI01G12500.1 pep chromosome:OR_W1943:1:9351764:9356444:1 gene:ORUFI01G12500 transcript:ORUFI01G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVATFVLACLVFLSKPCAREMRLFLSTLCQELALALLVFLAGLRLLGGVGAGAAAETTMPLMPSFKRKRAAAVEEGGGGGGGGGGEEAGGEPSVLDLPELAIECILARLPPSELRNMAGVCRSMRERCRGDHLWERHMSEKWGGVLGHAAREEWRTYLASAAYTGGAAASCSLAGGGRHRRWLAALSCVCPVVSWMRPRADGGSGGKSAGPVLDDSIMSWYLSMESGKFWFPAQVYNREHGHVGFMMSCYDAELSYDFHSDTFRARYPPHGRRTVVLEDGVHWDRVRAPPVDTHAHDLHASDCLHELRPGDNIEIQWRRNKEFPYGWWYGVVGHLESCDGSEHFCRCHLSDTVVLEFNQYTPGSRWRQALVNRKDHREEGNEGDGFYGGIRKLRSKDEISKWRQLWPTDILE >ORUFI01G12510.1 pep chromosome:OR_W1943:1:9356258:9359044:-1 gene:ORUFI01G12510 transcript:ORUFI01G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSSMACHSLLESGSNLSAADELFQNQRSEQGIYWTLWDSRLSDDLNTTTVYSDNHGSNGGGTQSFDTSEHCSTVPSDSDEQPGYSSQFEPLHMEQTNDMFLSQFSDEEVRRMDAPFQALDMFPDSMHRLMSYEHILNGALVSDSKNQEVNMDQDDMDTCGFPLYFSHGLQDDGGLPSFAKGMAGASATERGDPGSSPPGFEEAVLEELEEVMVQMARTTRICLRDAFYRLAEGSRSPRSAAAAADGAAVAEATSASTTRPRRRRDAIDRTVVSLTFRPPPCSPEAEAAMMRPTRA >ORUFI01G12520.1 pep chromosome:OR_W1943:1:9359782:9362925:-1 gene:ORUFI01G12520 transcript:ORUFI01G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRQWGAGAGAGDVPAQERKGEAAKIARRPKTTTVVAQQPPRIRRALADVSNLVNGRAALPVVNRQKAAAAAAADKCRKPIKQRNENNKAAKPEVIVISSDSEKHKKNPAQRAASRRAPIQTLTSILTKCSRASDGVISPKKELIYDIDASDSHNELAVVDYVEDIYRFYRNTENTYRPLCTYMVSQTEINERMRAILTDWLIEVHYRLMLMPETLYLTVYIIDQYLSLENVPRKELQLVGVSAMLIACKYEETWAPLVKDFLVISDNSFSRQQVLSTEKSILNKLQWNLTVPTMYMFILRYLKAALGDEELEHMTFFYAELALVQYSMLFFAPSVIAAAAVYAARCTLGLSPLWSDLLEYHTGLAEPQLLECARRLVSLHAAAPESRQKVVYKKYASPKLGAVSLHSPAKKLLPPPSPVAA >ORUFI01G12520.2 pep chromosome:OR_W1943:1:9359782:9362925:-1 gene:ORUFI01G12520 transcript:ORUFI01G12520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRQWGAGAGAGDVPAQERKGEAAKIARRPKTTTVVAQQPPRIRRALADVSNLVNGRAALPVVNRQKAAAAAAADKCRKPIKQRNENNKAAKPEVIVISSDSEKHKKNPAQRAASRRAPIQTLTSILTKCSRASDGVISPKKELIYDIDASDSHNELAVVDYVEDIYRFYRNTENTYRPLCTYMVSQTEINERMRAILTDWLIEVHYRLMLMPETLYLTVYIIDQYLSLENVPRKELQLVGVSAMLIACKYEETWAPLVKDFLVISDNSFSRQQVLSTEKSILNKLQWNLTVPTMYMFILRYLKAALAAAVYAARCTLGLSPLWSDLLEYHTGLAEPQLLECARRLVSLHAAAPESRQKVVYKKYASPKLGAVSLHSPAKKLLPPPSPVAA >ORUFI01G12530.1 pep chromosome:OR_W1943:1:9363004:9363858:-1 gene:ORUFI01G12530 transcript:ORUFI01G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQTPSINGRLEGGQDGVVSLRRHGKIPQFATKKNQPIAYKAIALPLLLLRNQSISSSRRQESEEQRRGRRIRERGEEEEEEEEEAE >ORUFI01G12540.1 pep chromosome:OR_W1943:1:9367832:9368575:-1 gene:ORUFI01G12540 transcript:ORUFI01G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAATLMSSFSHRHSAPLYLFYNVASLPKHHAGRGGGGGGGGGGGGFEFATAAGGKQQGGVDDGEDGGGAGVRACSSDLSAAAFADELFREGALLRPLKLPPRLQTPSASAAASAATSPTAASKAASSSRSPFASRRSKHEGFDPFAAALEKVRRDVAAAAPIRRARSLSPLRGPAVAAAAHRKENNPYAAAVPARAVTASRPPWRRRRRGMKHLLCGAAMATRPHRGDGGGAPYRRGLLVCFGF >ORUFI01G12550.1 pep chromosome:OR_W1943:1:9370062:9375192:-1 gene:ORUFI01G12550 transcript:ORUFI01G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSTLLVHFDKGSAAMAGEIKADLEGSDVAAKVDAMKRAVMLLLNGETLPTLFITVVRYVLPSEDHTIQKLLLLYLEIIDKRDAAGRGLPEMILICQNLRNNLHHPNEYIRGVTLRFLCRLSEPEVLEPLVPSILENLDHRHHFIRRHALSAISSIYRLPHGDQLVPDAPELVERALASEQDASARRNAFLMLCTCAQERAVAYLLSNADRVAEWPDLLQMAAVDLIRKVCRSPNRADKGRYIKIIIALLSSPSTAVVYECAGALVSLSSAPTAVRAAANTYCELLSSQSDNNVKLIVLDRLNELRTSHRDVMVDVVMDVLRALASPNLDVKRKVLDLVLDLLTARNVEEVLLYLKKEVVKTQAGELEKSGEYRQMLVQAIHACAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCSCALWILGEYSLSLSEVENAISTIKQCLGDVPFYTVSEEGEATDSAKPAQPVVNSVTVSSRRPVVLADGTYATQSAATEAISTPSVAPGSLSSTLNLRSLILSGDFFLAAVISCTLTKLVLRLEEVQPSMVEVNKACTGALLVMTSILQLGQSSYLPHPIDNDSYDRIVLCVRLLCNTGDDVRKVWLQSCRQSFAKMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRRGMSQLELEDEVQDDLKAATGGFTKDADDANRLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTIINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVTFRNMWAEFEWENKVAVNTVIQNEKEFLDHIIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQFDGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >ORUFI01G12560.1 pep chromosome:OR_W1943:1:9376763:9377359:1 gene:ORUFI01G12560 transcript:ORUFI01G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAGGEGDDGSCGGHRRRRRRRKPRRTRAKVAAAEDEGHKRGRGPATAGEGVGWAQETATAVVAGDGGRCRRGRGGRTGALEDSGARARGGCGRGQRGPADAGKTTGWTLATTAAAKDEDNGRGVADASDDGGRMRARMAATAQRMCARTTTAAEDEDGGCRVADADEDSDRGAADAGDDGGMDAGDEGCRGRTRTG >ORUFI01G12570.1 pep chromosome:OR_W1943:1:9384165:9385881:-1 gene:ORUFI01G12570 transcript:ORUFI01G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQRRSISSPGGNLSPPLLVVAAAVFVVAGLSAAVPGAAAYKNYTVGDDKGWYDGLTHPGVDYQEWADGKNFSLGDFLIFNTDKNHSVVQTRNETLYKSCDYNDSGPDDTVEWSAAAPEFSKDAVTVAVPLLKEGSSYFFSGNYDGEQCESGQRFAIAVAHGQGLPPDLRPPAAEAPGPSSSAGAAAGDKAADFSHPKNVSNSPADTSTTAPLDDADDAPTTGGAGRSIARLGSGLAAAATLLFFVVQV >ORUFI01G12580.1 pep chromosome:OR_W1943:1:9389776:9391287:-1 gene:ORUFI01G12580 transcript:ORUFI01G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISASSLPAHLAIETLARLGSERTARQRDEVFDAEVDDGGEVGREKMLLEELLRCQIQEWYPAFRRHTVPTVILPLPAAFLRYLAGRPAYPDTGGEHPEGRDDDADPLPFFLPAITSGRSAFPPAHAHHPDPVSLLDRDNSDLFFDSADDDDRDADSPLRPAFPELEAAVDSAIAELGGAALPKLNWSAPKDAAFMSADGTIRCTCFAEVAMLLRSSDCVAHDLACARPSCKDFVPPAGVRRNAATGADEGARPNVYKNTKDTTEEQTDQHEALETSGTGAPPNGGESSNDAGSMSDSKESADKGGNQSAVDGETDDAPQEDVGEESNWTWVDDGFQYYLALRKWYPGLRPESEFRCFVRQRRLIAMSQRDPSAYYPSLPGWSAEVQPKIEAFFEQVIEPQFASENYTFDVYVRADGRVKLIDFNPWGGYTLPLLFTWEELEQEEREEVEVRVVMQHGAVRPGLMTAVPYDMLDWGEGSGWDVFLKKADDELNKQMASLGADS >ORUFI01G12590.1 pep chromosome:OR_W1943:1:9393254:9394022:1 gene:ORUFI01G12590 transcript:ORUFI01G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAALFLPQSIAEQHVTEVVAITMTMPTSLLPARSRKDTQVNNKALRIKDIIMNYKEKAIYLTIYLSTQIVEVVPPLNKHRSPVICKIAHDLAE >ORUFI01G12600.1 pep chromosome:OR_W1943:1:9395870:9396923:1 gene:ORUFI01G12600 transcript:ORUFI01G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGVFFLPQFTAKKYVSENKHGLGTKPKQAQHHAIAITEQHPIAIVRKPLPDHVSKLERQATILEGCKVGIEKRNNTDIADLRLEATKCKLNDAYQEAENS >ORUFI01G12610.1 pep chromosome:OR_W1943:1:9403961:9406084:-1 gene:ORUFI01G12610 transcript:ORUFI01G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVLMGKRGAGDDDGIVDHVIDVSIAKHLLSPLHAVVVLHMDSDPHPRQYPHRQTSKFWRMIISAGHPAPAEIINLLSSKPYLPNKINNQINDPPLKKNHDHANDPTIRWEHAIRNRGRIELAE >ORUFI01G12620.1 pep chromosome:OR_W1943:1:9407393:9411047:1 gene:ORUFI01G12620 transcript:ORUFI01G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAGSGMERWREMFRGADIYDVIRNAILIAGADSPRELLRRRQGIIEWLFAVAPVTVPVPAPLACGRVVDGAGNRLPPAAIPDGGGHHHDDNDGNFAAAEAQTSLIDQQILEALYDEIEEDTQVINEVLRIKDILINYKEQSVDTLFDGLRRLQLMRLSISVLKSTQIAEAVAPLNKHRSPVICKIARDLAKGWKGVAADWVGPSSANADTSPDISNPSTVEDDFGLPTPPMDVGAFFLPQSAAEQYVSEFLHKADDDDDESLIPNAKNDCGFGGYKMEIAKPVANMDENILRKGKDLSRQHGHPMRQANLQMKLVDPNVNTIPKIHGLPTKQTPPLRQTNLQLGKTQGPRLHIKPASRFSIVTTKPNKPTHSQYTSRSQFSEETQNKYGLGTKPKQAQHHAIAITEQRPIAVVRKPLPDHVSKLERQATILEGYKVGIGKRNNTDIADLRLEATKRKLNDAYQEAENS >ORUFI01G12630.1 pep chromosome:OR_W1943:1:9418838:9419077:1 gene:ORUFI01G12630 transcript:ORUFI01G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATYADSELVVTVPKGAAPDDDGDGDGAARSRGWRLRRARPARSSSAAVLRREHLLPLRPEHRHRHHPPLPAATTRD >ORUFI01G12640.1 pep chromosome:OR_W1943:1:9434141:9436756:-1 gene:ORUFI01G12640 transcript:ORUFI01G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGDYEDEEEQVPEDE >ORUFI01G12650.1 pep chromosome:OR_W1943:1:9449023:9453407:-1 gene:ORUFI01G12650 transcript:ORUFI01G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRRSLPLPLLLLVFPVSLFVVLLLHHRSSIPAAELLSGPGPDPRRFSLLIKVLAYDRPGPLRRCLRSLAAADYAGDRVALHVLVDHPRPNASLDASREILAEADALRWPHGEKRVHYRAANAGLQAQWIEAWWPGSDDEFAFVVEDDLEVSPLYYRFLKRLVMAYYYDRENYSPYVFGASLQRPRFVAGKHGNKIQLDSETHLFLYQMVGTWGQLLFPKPWKEFRLWYDEHKSKGIKPILEGMKTTGWYKKMGERIWTPWFIKFVHSRGYFNFYTNFLKERALSVSHRDAGVNYGRSVGPDSTLLDGKNLDFNLWELQPLNKLKWYDFCFAEVLPGRVIRKFSELGSVLKSVQLENNVVLISLYSLEQRIARNLICYLEKSGMRNYIFLVDNTEFLDDLAHRGHPVIDAISLLQSIKMSSSIYSDDFVKEIVVKAYVIKNCLDLGYNLWVLNGNTISLGSKLNEPSDQSVDFFAAESVDLMFLRGSQSSKKTWNELDILRMADGMMSSKSGFSSSLEHKNFVRVLTGVLGNNGAVRLGKLDEEIMAVELGPNTSNRSLSEGHCKVLFWSHSMTSDSVQSQLENRGLWLIDSDSSCSAVVCGQKQK >ORUFI01G12660.1 pep chromosome:OR_W1943:1:9453581:9458472:1 gene:ORUFI01G12660 transcript:ORUFI01G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWVAVQSGRGKTVNCSSGRGNPSERPCPHSTASLLVVPDPLLLSSPLHSSRSRKVREPANSPAIPRAAAVAAWVGEEMAGLGKAFYAVGFWIRETGQALDRLGCRLQGNYYFHEQLSRHRTLMNIFDKTPHVHRDAFVAPSASLIGDIQVGQGASIWYGCVLRGDANNVQIGSGTNIQDNSLVHVAKSNLSGKVFPTIIGDNVTVGHSAVLQGCTVEDEAFVGMGATLLDGVVVEKHGMVAAGALVWGGNPAKFLRKLTDDEITFIKESASNYSTLAKAHAAENAKPVEKTEFEKLFRKKSAHQDEEHDSMIGATREVTPELTPSSSAQ >ORUFI01G12670.1 pep chromosome:OR_W1943:1:9469178:9469999:1 gene:ORUFI01G12670 transcript:ORUFI01G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRHACFLLLLPLLVLQLLLAGGSLVDDLPAATHQVPRLVGPQRKLQQLPNPRPQPQPLPQPNPNPQPQPLPQPQPQPQPQPQPLPQPQPQPQPLPLPGPQPLPQPGPQPNPNPQPLPQPNPNPQPLPQPDPNAPPLPLPQPNPNNPQPLPQPDPNAPSLPLPQPDPNAPPLPLPQPDPNAPPQPLPQPDPNNPQPLPQPDPNAPPQPLPQPDPNSPPQPLPQPDPNTPPGQQINAKISSQPDSIGGARTLYPYGVIYNLMPLFSLFFYMF >ORUFI01G12680.1 pep chromosome:OR_W1943:1:9471487:9471819:1 gene:ORUFI01G12680 transcript:ORUFI01G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGKYSSSERKKGPEKDTSIRSATVSFSSSSSSAGTRPSCWRSVVTTATARRRCCGGDEHDTAARASVLHGDHHLGGIGKKVDASAGAGVRTLVERNDFYCQECNTHGK >ORUFI01G12690.1 pep chromosome:OR_W1943:1:9472244:9474951:-1 gene:ORUFI01G12690 transcript:ORUFI01G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGMSSGRRPSFYGGGGGGGVGRPRGMLPLLALQVLLEYGRAGASRPPVTAALLAANALLYLRPGSLDALLPSLNRVAFNPHLIIHYCDLTRFFLSAFYHLSETHFFFNMSSLLWKGIQLETSMGSVEFASMVAALLGMSQGITLLLSKGLLLFGNDEAYYDQYAVGFSGVLFGMKVVLNAWSDDYVFLHGVVIPAKYAAWAELLLIQAFIPGTSLIGHLGGILAGLAYLWLKRSFSGPDPLSLLISGIGKAVRWPVGSFSGLAVLRVTPQVEAELAVVQQERMVEVYGDAQPALMTTRRRQISVKCAAVHVRTMLFLIDSTSKLGGMGSHRLRRFAVGGSRDFPDDNRSRRVVGIMVGSRHLRASIPEVHIDRNRA >ORUFI01G12700.1 pep chromosome:OR_W1943:1:9479087:9487282:1 gene:ORUFI01G12700 transcript:ORUFI01G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGEQMVCVTGAGGFIGSWLVKELLHRGYAVRAAVRDPEGRKNAHLHALERAKRRLSLHRADVLDCNSLRAAFNLCDGVFHVASPVSDDPELLPTAIEGTKNVINAAADMGIKRVVFTSSYGAAHMNPNRRSDQTLDETCWSDLEFCKQTQNWYCYAKTVAEKTATEEASKRGVQLLVVVPAVTVGEMLQPTLNASVYRVATYMRGTKSAYPNAVAAYVDVRDVARAHALVYEHPDARGRYLCIGSVLHRSEFVRLLRELFPQYPITTRCEDNSKPMVKPYQFSVQRLEALGMQFTPLKESLYKTVISLQDKGHLPAISPRSALKPATANRSTTMVTGRSEQMVCVTGAGGFIGSWLVKELLHRGYFVRGAMREPADIKNAHLHVLDGAREGLSLYRADVLDRNSLRAAFALCDGVFHVASPVSNDPELLPAAIEGTKNVINAAADMGVKRVVFTSSYGAVHMNPNRRSDQIIDESCWSDLEFCKQTQMLAERTAMEEASKRGVNLLVVVPAVTVGEMLQPTLNASVHRVATYMMGTKSAYPNAVAAYVDVRGRYLCIGSVLHRSEFVRLLRELFPQYPITSRCKDNSKPMVKPYKFSVQRLETLGMQFTPLKESLYRTVISLQDKGHLPAAISRRSAL >ORUFI01G12700.2 pep chromosome:OR_W1943:1:9479087:9486985:1 gene:ORUFI01G12700 transcript:ORUFI01G12700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGEQMVCVTGAGGFIGSWLVKELLHRGYAVRAAVRDPEGRKNAHLHALERAKRRLSLHRADVLDCNSLRAAFNLCDGVFHVASPVSDDPELLPTAIEGTKNVINAAADMGIKRVVFTSSYGAAHMNPNRRSDQTLDETCWSDLEFCKQTQNWYCYAKTVAEKTATEEASKRGVQLLVVVPAVTVGEMLQPTLNASVYRVATYMRGTKSAYPNAVAAYVDVRDVARAHALVYEHPDARGRYLCIGSVLHRSEFVRLLRELFPQYPITTRCEDNSKPMVKPYQFSVQRLEALGMQFTPLKESLYKTVISLQDKGHLPAISPRSALKPATANRSTTMVTGRSEQMVCVTGAGGFIGSWLVKELLHRGYFVRGAMREPADIKNAHLHVLDGAREGLSLYRADVLDRNSLRAAFALCDGVFHVASPVSNDPELLPAAIEGTKNVINAAADMGVKRVVFTSSYGAVHMNPNRRSDQIIDESCWSDLEFCKQTQMLAERTAMEEASKRGVNLLVVVPAVTVGEMLQPTLNASVHRVATYMMGTKSAYPNAVAAYVDVRGRYLCIGSVLHRSEFVRLLRELFPQYPITSRTVISLQDKGHLPAAISRRSAL >ORUFI01G12700.3 pep chromosome:OR_W1943:1:9479087:9487282:1 gene:ORUFI01G12700 transcript:ORUFI01G12700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGEQMVCVTGAGGFIGSWLVKELLHRGYAVRAAVRDPEGRKNAHLHALERAKRRLSLHRADVLDCNSLRAAFNLCDGVFHVASPVSDDPELLPTAIEGTKNVINAAADMGIKRVVFTSSYGAAHMNPNRRSDQTLDETCWSDLEFCKQTQNWYCYAKTVAEKTATEEASKRGVQLLVVVPAVTVGEMLQPTLNASVYRVATYMRGTKSAYPNAVAAYVDVRDVARAHALVYEHPDARGRYLCIGSVLHRSEFVRLLRELFPQYPITTRHAVHSFERELVQDSDKLTGQGSPTSNLTSFSPNRSTTMVTGRSEQMVCVTGAGGFIGSWLVKELLHRGYFVRGAMREPADIKNAHLHVLDGAREGLSLYRADVLDRNSLRAAFALCDGVFHVASPVSNDPELLPAAIEGTKNVINAAADMGVKRVVFTSSYGAVHMNPNRRSDQIIDESCWSDLEFCKQTQMLAERTAMEEASKRGVNLLVVVPAVTVGEMLQPTLNASVHRVATYMMGTKSAYPNAVAAYVDVRGRYLCIGSVLHRSEFVRLLRELFPQYPITSRCKDNSKPMVKPYKFSVQRLETLGMQFTPLKESLYRTVISLQDKGHLPAAISRRSAL >ORUFI01G12700.4 pep chromosome:OR_W1943:1:9479249:9487282:1 gene:ORUFI01G12700 transcript:ORUFI01G12700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGEQMVCVTGAGGFIGSWLVKELLHRGYAVRAANWYCYAKTVAEKTATEEASKRGVQLLVVVPAVTVGEMLQPTLNASVYRVATYMRGTKSAYPNAVAAYVDVRDVARAHALVYEHPDARGRYLCIGSVLHRSEFVRLLRELFPQYPITTRCEDNSKPMVKPYQFSVQRLEALGMQFTPLKESLYKTVISLQDKGHLPAISPRSALKPATANRSTTMVTGRSEQMVCVTGAGGFIGSWLVKELLHRGYFVRGAMREPADIKNAHLHVLDGAREGLSLYRADVLDRNSLRAAFALCDGVFHVASPVSNDPELLPAAIEGTKNVINAAADMGVKRVVFTSSYGAVHMNPNRRSDQIIDESCWSDLEFCKQTQMLAERTAMEEASKRGVNLLVVVPAVTVGEMLQPTLNASVHRVATYMMGTKSAYPNAVAAYVDVRGRYLCIGSVLHRSEFVRLLRELFPQYPITSRCKDNSKPMVKPYKFSVQRLETLGMQFTPLKESLYRTVISLQDKGHLPAAISRRSAL >ORUFI01G12700.5 pep chromosome:OR_W1943:1:9479087:9486985:1 gene:ORUFI01G12700 transcript:ORUFI01G12700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGEQMVCVTGAGGFIGSWLVKELLHRGYAVRAAVRDPEGRKNAHLHALERAKRRLSLHRADVLDCNSLRAAFNLCDGVFHVASPVSDDPELLPTAIEGTKNVINAAADMGIKRVVFTSSYGAAHMNPNRRSDQTLDETCWSDLEFCKQTQNWYCYAKTVAEKTATEEASKRGVQLLVVVPAVTVGEMLQPTLNASVYRVATYMRGTKSAYPNAVAAYVDVRDVARAHALVYEHPDARGRYLCIGSVLHRSEFVRLLRELFPQYPITTRCEDNSKPMVKPYQFSVQRLEALGMQTVISLQDKGHLPAAISRRSAL >ORUFI01G12700.6 pep chromosome:OR_W1943:1:9479087:9487282:1 gene:ORUFI01G12700 transcript:ORUFI01G12700.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGEQMVCVTGAGGFIGSWLVKELLHRGYAVRAAVRDPEGRKNAHLHALERAKRRLSLHRADVLDCNSLRAAFNLCDGVFHVASPVSDDPELLPTAIEGTKNVINAAADMGIKRVVFTSSYGAAHMNPNRRSDQTLDETCWSDLEFCKQTQNWYCYAKTVAEKTATEEASKRGVQLLVVVPAVTVGEMLQPTLNASVYRVATYMRGTKSAYPNAVAAYVDVRDVARAHALVYEHPDARGRYLCIGSVLHRSEFVRLLRELFPQYPITTRCKDNSKPMVKPYKFSVQRLETLGMQFTPLKESLYRTVISLQDKGHLPAAISRRSAL >ORUFI01G12700.7 pep chromosome:OR_W1943:1:9479249:9487282:1 gene:ORUFI01G12700 transcript:ORUFI01G12700.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGEQMVCVTGAGGFIGSWLVKELLHRGYAVRAANWYCYAKTVAEKTATEEASKRGVQLLVVVPAVTVGEMLQPTLNASVYRVATYMRGTKSAYPNAVAAYVDVRDVARAHALVYEHPDARGRYLCIGSVLHRSEFVRLLRELFPQYPITTRCKDNSKPMVKPYKFSVQRLETLGMQFTPLKESLYRTVISLQDKGHLPAAISRRSAL >ORUFI01G12710.1 pep chromosome:OR_W1943:1:9490024:9493636:1 gene:ORUFI01G12710 transcript:ORUFI01G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLLCDSPLSHLLPPHLAARTTAVEPPPTAPAPASPATAASTGALPCLRAPLLVLPHRPAARTTAVEPPPTTPASVSAATAASTGALPRLRAPLPVLPHRPAARTTAVEPPPTTPASVSAATAASTGALPRLRAPLPVLPHRPADDNRRASADRPRARLPATAALTSALPRLRAPLPILPHRLAARTTAVEPPSTAPALPPDSPIWMLGHKPSSSPDIYLIKRTLKLPVTRPPRSKQPPPTAPAPVPLLPLHPASSKMKTKAKAKKPKRKGRHANVLAPVLGSTRQQQQRLGRFVDSGV >ORUFI01G12720.1 pep chromosome:OR_W1943:1:9494783:9497675:1 gene:ORUFI01G12720 transcript:ORUFI01G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPFAHRPPTIRCHPASIAVTIGCRPLPRRRLLSLLDQGRQPPSGVIHCHGRPPPDRAGQHPSPTPARHGQLHTLAVVEAECIIEAGNVVEGPM >ORUFI01G12730.1 pep chromosome:OR_W1943:1:9499404:9500507:1 gene:ORUFI01G12730 transcript:ORUFI01G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIFFPHEFFRPRAPAAVARDDEAEGTKPILAPHELFHHEAPAAAAATVVRDEESDEEPIVGIVGLEMSDFQVIGELGGGLYNVVYKARLRRCPHGGVFALKTPYYDLGGREEDEAVAAVLRRVEGLEHVVRCHAMFRRNESQRVAVFEHMNGGSLDRALSRRGGRGLPEPALAEVAAACLAALRGLHSRGVVHLHLNPFHILADADGNIKICDFDDAKIIPPGPGSTVYSGIGFGSPEYMSPERCAPMAMAGARVARAADVWSLGITVLHLYQGYCPARPLPSKRTLERLSYEISHGEPPSVPDEDTRASIELRGFVSACLQKCVCTRATVAELLNHPFVAERDVAESRRVLKEVIVATMDKDDY >ORUFI01G12740.1 pep chromosome:OR_W1943:1:9501366:9501671:-1 gene:ORUFI01G12740 transcript:ORUFI01G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHDVRVRLCLPGDQRRRLASDDLGDPHQAFKHLAFLLTSVNDASPKPTSGYLDVIATTCMPTCRIRRAYSAAAARSTLISPSSSTSNTRAGSSAPSASC >ORUFI01G12750.1 pep chromosome:OR_W1943:1:9504693:9505467:-1 gene:ORUFI01G12750 transcript:ORUFI01G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQLWVAAACAVVLALAAPSLAGDPDMLQDVCVADLASPVKLNGFPCKANVTADDFFFAGLKNPGNTNNPAGSNVTAANVQSFPGVNTLGVSMARIDYAPGGQNPPHTHPRATEIIFVLEGVLEVGFITTANKLFTKTVTAGEVFVFPRGLVHFQQNRGHGPAAVIAAFNSQLQGTQAIAATLFAAAPPVPSDVLAKAFRVDVPQVDAIKAKFK >ORUFI01G12760.1 pep chromosome:OR_W1943:1:9524885:9528010:1 gene:ORUFI01G12760 transcript:ORUFI01G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRPAVCSPSALAPPRHRLLSLGCARTAAPSGGLPLRLRLRSSPAPRGHGADLVGAVELQAKVNSKCFFDVEVGGEPAGRIVIGLFGEVVPKTVDNFRALCTGDKGYGYKGCSFHRIIKDFMIQGGDFQNNNGTGGRSIYGECFDDENFTLKHTGPGVLSMANAGPDTNGSQFFICTVKTPWLDNRHVVFGHVLEGMDVVKNLESQETSRSDIPKQPCRIVNCGELPVDG >ORUFI01G12770.1 pep chromosome:OR_W1943:1:9532175:9534491:-1 gene:ORUFI01G12770 transcript:ORUFI01G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAAATARKLGSHLPRLRDIIDHDDEDDDFVEEEEEEEEEWEDMSKRMSRLSVEGSDGGDADDEDDGYLRGEEEEEEEDGDEVRSDVNGEYGDGGGGGGQWHPYGDDDPRNPQAPSSASLPGTPDRGAPAPSPWMYSKEYASETEAARWPGGDKRRMRHRRERMMREVWLDRAWQMRKQRRQMLMQGQGLGADAVTVVVSAAAAGGESPARGVAMDMEEMRACRDLGLDLPCDWTVEIPCYALSGVDTASSGGNSPASGSWRISSPGDDPKDVKARLKVWAQAVALASASRLGS >ORUFI01G12780.1 pep chromosome:OR_W1943:1:9542483:9543821:-1 gene:ORUFI01G12780 transcript:ORUFI01G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQPLLHPSPPLFLSPLLFSLAEEPAGGEVREEAGGGGGSPAAIRRRPASERGGGPAQMDLGGCISFAGGSLHRPLGAGGSRGEPRAFFAHLADAAADDDAGFLAAAEGRGVLFLLAPTTPLAAGLRAAKQRRASAADEFAVKEAAEEKKATAARSKAKTAAKAKLSKAPAATASKTSMEPSRSRLPLIHFSLLASLCSARWRDDSRGFLWCPASRPPRRGPLAIVVYAHDETAMSPEQEDWEINGIDRSGLDAQVAEAYSANLVQIATPRTPRPALVLTPVTADEVRAYVVCCRNHGLTVRARSGGHNYEGLSYRSLRSSGDGEEAARFAVVDVAALWVVRLDAARGVACTKARATRAVRSVVAAGLPPPPPISSPPGGCAAVREKKREEERWRGGRRG >ORUFI01G12790.1 pep chromosome:OR_W1943:1:9545174:9550151:1 gene:ORUFI01G12790 transcript:ORUFI01G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWLSALTTSSYSDWLRFCMGAGISENVPWSKSGHLSSSSSCAWLSFSGAKSDHGNMLVSKRELVLCSSNPNPLLPLLPVLLLLLGLLVSQ >ORUFI01G12790.2 pep chromosome:OR_W1943:1:9549805:9552334:1 gene:ORUFI01G12790 transcript:ORUFI01G12790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVALLRSTSARGLKVSGSLLTWRKLCINHRGAATNVCIPGQKESSLFFISSSQLCCFSLGPLSSNHKSVLQSKKIISGTSQDAKQSAVRTRPMLMIAFAELQTA >ORUFI01G12800.1 pep chromosome:OR_W1943:1:9549013:9550514:-1 gene:ORUFI01G12800 transcript:ORUFI01G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSTVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIVELHAVLGNRWSQIATRLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLAEVDRSKATPTISNDRTSESSDVDPSSGVALHNLSHLLSETAQSSELLPVKVTKPRTQAPGLARLKVPPKELFLDQLTSGHENLPSCRSSGPIPNFPFQQLLCYNNDFNSMDVGNRNSLWYNQNESSSSTISTVMPPVSPSTLSTSTGLNPSPDNANSRGTGIHNSQFYWDTNNPSSSSSTGSSGNNGLGFELQSTSSLLETNIFPWSDLAPEKDSQAQLEEELKWPDLLHGTFSEMPAPMQNLSQSLYEDVVKAESQFNMEGLCAAWSQNLLPQQHLPVVSDMYDKDLQRMSLSFENI >ORUFI01G12810.1 pep chromosome:OR_W1943:1:9565317:9567399:-1 gene:ORUFI01G12810 transcript:ORUFI01G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGLRCTKAGRRGRRCSGPTCRQRLTGGGASVHQPWIRSGGRSRLAVAGPVLAFSRLCVLALSVCGWRVVIL >ORUFI01G12820.1 pep chromosome:OR_W1943:1:9568006:9568245:-1 gene:ORUFI01G12820 transcript:ORUFI01G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWIWGSGSRRRGMKVAAVDDAAREVAAGDAARRWRCPDPCGAGWIWESGSRRRGRKVAAVDDAAAPELAAVAAGDCG >ORUFI01G12830.1 pep chromosome:OR_W1943:1:9590086:9593673:1 gene:ORUFI01G12830 transcript:ORUFI01G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDERGEWEAAVREEVGVGGWWDDPDGDELRARFKAFTGQRRDWPQPKLLFWKGLLLRVARRLRLCSAPARLVLGVWFARPGGLTPLCLPQVLEEMRADGEILLKSELIDPTTGSLYQLVRRMSQMAVISKQPIAQDDILVFKSLIEERAAEIVDQLRNSHWTSTCIVTISKFNAFFHGQEDSHVALCYLTQCGKARYIVDRRQDSVEGVKFSLMAAQVPAVSKLDHNTLHLILTEEKLQQQLDVLDRQWQISRRRALVSFKSGDKQSAYRYVRQSKLFSESRKRFTPLLERVEEVISLIASAETTKKVNEAIKVSIQAMNEHHVSVEEVNEHLKEVDDLVATQREIDAALGSVILQSMDSEENIEEEFMKLEAELQDEFPHVQEDPVSHANEEFPNDEDVDSLSNNLSNIKLEAI >ORUFI01G12840.1 pep chromosome:OR_W1943:1:9596836:9601292:-1 gene:ORUFI01G12840 transcript:ORUFI01G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSRESPTATTSPSCCGRTARRWCTGGRSTRRGGKNPPQPPSPPFGFFGGTGGGGGGSSSRAQERQPGGIDAFAKVGGGFGALGMAPAVHDFASGFGATTQDNGDDDTVPWIHYPIIDDEDAAAPAALAAADYGSDFFSELPPAAPPTDLASLPASNHNGATNNRNAPVATTTTREPSKESHGGLSVPTTRAEPQPQPQLAAAKLPRSSGSGGGEGVMNFSLFSRPAVLARATLESAQRTQGTDNKASNVTASNRVESTVVQTASGPRSAPAFADQRAARKAPEATVATSSVCSGNGAGSDELWRQQKRKCQAQAECSASQDDDLDDEPGVLRKSGTRSTKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKACYVLQIDKASMLDEAIEYLKTLQLQVQMMSMGTGLCIPPMLLPTAMQHLQIPPMAHFPHLGMGLGYGMGVFDMSNTGALQMPPMPGAHFPCPMIPGASPQGLGIPGTSTMPMFGVPGQTIPSSASSVPPFASLAGLPVRPSGVPQVSGAMANMVQDQQQGIANQQQQCLNKEAIQGANPGDSQMQIIMQNVPVLKRSMAEEFHVRPTNNFQI >ORUFI01G12850.1 pep chromosome:OR_W1943:1:9601382:9605842:-1 gene:ORUFI01G12850 transcript:ORUFI01G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISPKKVRSSAVSTHALSALRINRVRHEDSACSDRTAALPAAGCLCLLNPDAPGFRLRLRN >ORUFI01G12860.1 pep chromosome:OR_W1943:1:9608897:9614937:-1 gene:ORUFI01G12860 transcript:ORUFI01G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVVPGLDGKPGSVSLELGSKPGCFLVAGASTKVQVGCRSRGSDGEDDSSPRGPLVAGVVDNGGDGWEWRRKSGCDDERERRRN >ORUFI01G12870.1 pep chromosome:OR_W1943:1:9609024:9609410:1 gene:ORUFI01G12870 transcript:ORUFI01G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAITTTTDTMMATAWCIHHRWASSMLDLPSPASRVQIRHQACGSAGAAGLGSGGSVAVGPHERGSSAAGPREALPCCRDHPHRCGKLSAVLVIKAILAEALRCFNFFPKTLTKDTAEGTTPTLGCQ >ORUFI01G12880.1 pep chromosome:OR_W1943:1:9617330:9620974:1 gene:ORUFI01G12880 transcript:ORUFI01G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAMATATSATAAPPLRIRDAARRTRRRGHVRCAVASGAAEAPAAPGARVSADCVVVGGGISGLCTAQVLATKHGVGDVLVTEARARPGGNITTAERAGEGYLWEEGPNSFQPSDPVLTMAVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPGDLPFFDLMSIPGKLRAGLGALGVRAPPPGREESVEDFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEDTGGSIIGGTIKTIQERGKNPKPPRDPRLPTPKGQTVASFRKGLTMLPDAITSRLGSKVKLSWKLTSITKSDNKGYALVYETPEGVVSVQAKTVVMTIPSYVASDILRPLSSDAADALSIFYYPPVAAVTVSYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGSTNTGIVSKTESELVEAVDRDLRKMLINPKAVDPLVLGVRVWPQAIPQFLIGHLDHLEAAKSALGKGGYDGLFLGGNYVAGVALGRCVEGAYESASQISDYLTKYAYK >ORUFI01G12880.2 pep chromosome:OR_W1943:1:9617330:9620974:1 gene:ORUFI01G12880 transcript:ORUFI01G12880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAMATATSATAAPPLRIRDAARRTRRRGHVRCAVASGAAEAPAAPGARVSADCVVVGGGISGLCTAQVLATKHGVGDVLVTEARARPGGNITTAERAGEGYLWEEGPNSFQPSDPVLTMAVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPGDLPFFDLMSIPGKLRAGLGALGVRAPPPVCVLSPLCILDSLVKFDCAERFRRRFQGREESVEDFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEDTGGSIIGGTIKTIQERGKNPKPPRDPRLPTPKGQTVASFRKGLTMLPDAITSRLGSKVKLSWKLTSITKSDNKGYALVYETPEGVVSVQAKTVVMTIPSYVASDILRPLSSDAADALSIFYYPPVAAVTVSYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGSTNTGIVSKTESELVEAVDRDLRKMLINPKAVDPLVLGVRVWPQAIPQFLIGHLDHLEAAKSALGKGGYDGLFLGGNYVAGVALGRCVEGAYESASQISDYLTKYAYK >ORUFI01G12890.1 pep chromosome:OR_W1943:1:9623155:9623481:1 gene:ORUFI01G12890 transcript:ORUFI01G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPVVWLLFSSLPLPHFRVLGTRWLQRGMLTCCERSGVLDGDGVGVGTWEDGVRNLSTSWGAAWNFHCDDESGGRVVWRHRAIATVLDE >ORUFI01G12900.1 pep chromosome:OR_W1943:1:9637702:9640200:1 gene:ORUFI01G12900 transcript:ORUFI01G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECKGGGMSPSSSMDSRTHPALSTTSSAATARRDLSTDLRLGLSLSTSSSSSLLQAAAAAAAADDSIPSTPRNSQVHADWPPIKPFLRSALQKASAAGGGGARRRRTLFVKVYMEGVPIGRKLDLLLLDGYDSLLIKLCHMFKTPITYADVMECHQQVPGQKAAHVLTYEDQDGDWMMVGDVPWEYTLPEQRKEAQDCKDG >ORUFI01G12910.1 pep chromosome:OR_W1943:1:9644260:9646685:-1 gene:ORUFI01G12910 transcript:ORUFI01G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHRPTPPPVEVYRLHRKLSDQKDQSPYLASDHDADGERREEDGKEDVAAVGPDFVIVVMVMVVMMMIRPINVYQRPKASPTVNPN >ORUFI01G12920.1 pep chromosome:OR_W1943:1:9644725:9645871:1 gene:ORUFI01G12920 transcript:ORUFI01G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHHHHHHHHDDDHKVGANCCNIFLSILLPPFAIGVVVGCKKEFWICLLLTCLGYLPGIIYAICIISGTLQADAVPSRGMQSSKYLNRD >ORUFI01G12930.1 pep chromosome:OR_W1943:1:9649481:9651300:1 gene:ORUFI01G12930 transcript:ORUFI01G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHHHHHHHHDDHSVGANCCNIFLSILLPPLAVGVVVGCKKEFWICLLLTCLGYLPGIIYAICIISGAHIQK >ORUFI01G12940.1 pep chromosome:OR_W1943:1:9651860:9652145:-1 gene:ORUFI01G12940 transcript:ORUFI01G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVGIVTLLFPFLRVKTLFRFSDGRCLRFNAIFLPGGFVRKTLPYVVCGLFIGSRSCSSKLSNDDLCFILQYGVTKFFSLFSEWV >ORUFI01G12950.1 pep chromosome:OR_W1943:1:9652219:9652919:-1 gene:ORUFI01G12950 transcript:ORUFI01G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAGGGSDGRRRCSALADKVAGVGWRGFSGAESWLVVLLLGEVVVGFIGLSLTWFVTVNNSVVGGLIIGKILHDRLGTLPFLELLPFYWLVLGLVEFGARDHCDASFCWCLEWSRSCLAGCGKLGNDNPLAPLLLLFSWRVYWVGVWWSRSCLPSDKLGNDNPLPLSSVALW >ORUFI01G12960.1 pep chromosome:OR_W1943:1:9658214:9662269:-1 gene:ORUFI01G12960 transcript:ORUFI01G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKERRMRVEGWMGRTEMIDRRWQRLHSGERERKLCVRKRMGSSDFDRGARFGGVDDGRLGEGTKRCEEMVGAIWDVGFERDNPDRSMRNEHRAFMYLASELEEDAERREDDGDEDVDAVGRAVRHVLQQL >ORUFI01G12970.1 pep chromosome:OR_W1943:1:9658232:9658555:1 gene:ORUFI01G12970 transcript:ORUFI01G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGTANCIDILIAIILPPLGVFLKFGCKVEFWLCLLLTFFGYLPGIIYAVYAITK >ORUFI01G12980.1 pep chromosome:OR_W1943:1:9663328:9667358:1 gene:ORUFI01G12980 transcript:ORUFI01G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMRLASWQSIVDAIELFELLGTKSGWYLFAFGYPYVLVWVLETEGVAEKLMMNVVFFMGDGDLVVLKIALTLSNMLCEDMKAGPDRVLVLYDQTS >ORUFI01G12990.1 pep chromosome:OR_W1943:1:9668636:9669998:-1 gene:ORUFI01G12990 transcript:ORUFI01G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTPAPRATPFLLAAVLSIVVVAASGAEARWYGGGGGGGYSPSPSPVSSIVSEQLYASLFLHKDDAACPARGFYTYASFVRAATRFPRFAATGCADARKREVAAFLAQISHETTGGWATAPDGPYAWGLCYKEEINPQSSYCDATDKQWPCYPGKSYHGRGPIQISWNFNYGPAGQALGFDGLRNPEIVANCSDIAFQTALWFWMTPRDTKPSCHQVMVGEYRPGPADVAANRTAGFGLVTNIVNGGLECNRAGDARVNNRIGFYRRYCQVLGVDVGPNLDCEHQQPF >ORUFI01G13000.1 pep chromosome:OR_W1943:1:9672068:9675092:-1 gene:ORUFI01G13000 transcript:ORUFI01G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSATVQDMVPPLATLETRSFLFPIGHSWSRRPPHLPPPTELRSSPAAAAAAKLQRCHSGGSSMAHRKSKGKRKGAKRQGKWTMSYNDSQNM >ORUFI01G13010.1 pep chromosome:OR_W1943:1:9678540:9679262:1 gene:ORUFI01G13010 transcript:ORUFI01G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNRIILKKVAKDSTRRLTFKKRRRGLIKKAGELASLCGIGVCVVVYGEGEVKPEVWPSAPEARAILSRFNSAPNIDRFKRVTNQEQYLRKRIAKARERTSKADDVNRERDATIMLYEAATGKRPVADLNVQELTNLGLVINERINHLKERIERLGGAALMAPPPSTQPTEASSSLPPLVPYANGAGMEGNKRMKVSTHQKGWFINMSTMTGDAGTSADVEGNTGVGTSARGDMMHLSN >ORUFI01G13020.1 pep chromosome:OR_W1943:1:9692519:9693439:1 gene:ORUFI01G13020 transcript:ORUFI01G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIVLDRIANDATRRATFKKRRRGLLKKASELATLCDVDACLVVYGEGDAEPEVWPSTEVAMNVLRQFRALPEMEQCKKMMNQEDFLRLRIGKLKEQLRKMDRDNHERETLILLHDALQGRLGTYESLSVEQLTSVDCLASARLKVITDRLVEIRAPNEDGQVLVPPPPPPPPALPAPPPPPAPMLPLAPPPTHVTPAMPLSSMPPPAFHGMNHHHHQNHFINHGGNDQNAWLMNVARNGGDLGALVYSAFASSSSSNTGGAGTSAAGAAAPGPDMMDLANPDMPGFGCPWDDDSAGPSFPPM >ORUFI01G13030.1 pep chromosome:OR_W1943:1:9696149:9696490:-1 gene:ORUFI01G13030 transcript:ORUFI01G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWVRTITSPFRKVFGAQPHKDGGKRPQQPNSGMMVQHVVVDAERSKLHGEVMACAYEDVQVMWDMLDKARIREFNSS >ORUFI01G13040.1 pep chromosome:OR_W1943:1:9713951:9714606:-1 gene:ORUFI01G13040 transcript:ORUFI01G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGKKKSVLASLFGFKSGGERRRQQQQEEMATAAAAGRKQQYYCPERRRRVWPSDEDNDNYYAERDIDRRASEFIDKVHRGMLIAGGEQDG >ORUFI01G13050.1 pep chromosome:OR_W1943:1:9737358:9737654:-1 gene:ORUFI01G13050 transcript:ORUFI01G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKKRSVFAFLFGFKSNGDGDGGRRDEAAAREQQGYYGHQQHPWGRTTTKTKVWPSDYDDDNYYGRQWYAERDIDRRASEFIDRVHRGMLAGAGGE >ORUFI01G13060.1 pep chromosome:OR_W1943:1:9774035:9782716:1 gene:ORUFI01G13060 transcript:ORUFI01G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRPPPPKHHHHRRRRGGGGEDGGEEEEEETGRLSLRGGGFWRRHDGEEEEEKGGGRRGEIKEVDFFLGASGRDVVVASRRHDDGFRGTTHGGGGGGDVNKEATTAAVDVELRRVVEENRRLRGMLDELNRSYSALYHQYLQVTQQQNHRHPDHHLIMNNNNNRPSLAQTHRTAATTTATTQQFLEPRASSTAQATADADMAASDDEAGRGGGDGDASSPSLSNAAGGGGGGGNKMRRVGGQDETAAAAPARENGEQQAAAAAELPCRKPRVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAIGCPVRKQVQRCAEDKTVLITTYEGNHNHQLPPAATTMANTTSAAAAMLLSGPAASRDGAAAALLGHHHHHHPAAMFHQSFPYASTMATLSASAPFPTITLDLTQTPAGGAGAASLLHALHRPPVIHPGAAAQAMPFAVPPQLAMYLPQQRAAAAGLGGAGAARQPSVMETVTAALAADPNFTTALAAAISSVVAGGAHHQALSTTPRGSAAGAGDGNGNGSSAAAVATGAASPAATAEAPAASGSPPRLATQSCTTSN >ORUFI01G13070.1 pep chromosome:OR_W1943:1:9792459:9795056:-1 gene:ORUFI01G13070 transcript:ORUFI01G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAEVRVVAAIRVPLLRRSPPHLEQIPLSLFDVWFLPQPPIQRLFLYDDDGGGGGADDYFPSLVESLRSSLADAVAVFFPLAGKLTPLHRRRRRRLLAVRRRGRGRAESDGDARALSAAKRHDVPAFLRLVPSLEAPELPAPVLAVQVTRFVGGGGRGGVAVGVAVHHAVADGLSFWRFMDVWSAAARGRPSPPAPAFDRSAIVHPMAADVARRILRKVTPELPLANVATPRHDHAPSAVADGVAFLEVEVDGGTPARTPTERLDVPAFLRLVPSLEVPELPAPVLAVQATRFVGGGGGGGVAVGVAVHHAVADGQSFWRFMDVWSAAARGRPSPPAPVFDRSAIVHPMAADVARRILRKVTPELPLANVATPRHDHAPSAVADGVAFLEVEVDGGTPARTPTERLDVPAFLRLVPSLEVPELPAPVLAVQATRFVGGGGGGGVAVGVAVHHAVADGQSFWRFMDAWSAAARGRPSPPAPAFDRSAIVHPMAADMARRILRKKAPELPLIPTANLLRRTWERHVVTTLELDSHRIGHIKNRIAELDEATTASPGTERRPRRLPSTFVAVAALVWSSVVRARASRQPDDGARAHLVFPADCRWRLDPPVDAAYFGNCVRCCVAGAAAGDLADAHRGVLHAREAIREAIDGFLEHPMVEAFDAWIDAVAALVRQPGFVAVTASPRFQVYEVDLGWGAPSRVEFASESLPNGMVAMTAGRKEASVQVMATLRPEHMEAFRSQLLYW >ORUFI01G13080.1 pep chromosome:OR_W1943:1:9796504:9804666:-1 gene:ORUFI01G13080 transcript:ORUFI01G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPDDDAAAAAAAGAAENGYGNGNGNGNGPAPRPPPAKRPRSVISAAQIRAEFEHHEAGVARVNNGSFGCCPSSLLDAQARWQRLFIAQPDDFYFHALQPGLRRSRAAVAGLVNAGDVAEVSLVDNATTAAAIVLQHAAWSFAEGRFSRGDAVLMLHYAYGAVKKSIHAYVARAGATVVEVPLPFPVASADAIIAEFRAALDVAKAGGRKVRLAVIDHITSMPSVVIPVKELVAICREEGVDKVFIDAAHSIGQVPVDVRDIGADFYTSNLHKWFFCPPAVAFLHTRKDDPIASQLHHPVVSHEYGNGLPMESGWIGTRDYSAQLVVPESIDFVNRFEGGIEGIRSRNHEKVIEMGKMLAEAWGTFLGTPPELCGSMVMVGLPGCLGVESDDDAMRMRTMLRKDFMVEVPIYYNSRRVEAQEMAKDKNGDAVTGYVRISHQVYNVTEDYEKLRDAVNKLVADGFTSSKLRPSQKGAKSGNDGLMNIAANQHSGGRYVVADYLDVDRRDSQRCGSALRRAGDQAAEEKDLSGGRWPSRAWPFHGGSSTMGRNHLCLVTCLWILSCAVLLHASPDGLLRISLNKKRLDKKTLDGAKLAREESHRLRADGLGDDIVPLDNYLDTQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSVKCYFSIACYLHHRYKSKGSSSYKKNGESCSISYGSGSIAGFFSEDSVLVGDLAVKNQMFIETTREPSLTFIIGKFDGILGLGFPEISVGGAPPIWQGMKEQQLIEKDVFSFWLNRDPDAPTGGELIFGGVDPNHYKGSHTYVPVTRKGYWQFEMGDLLIDDYSTGFCSGGCAAIADSGTSLLGGPTTIVAQINHAIGAEGIVSMECKQVVRDYGDMILEMLIAQASPMKLCSQIGLCAFDGTRSVRNNIESVVDKEKVGSDLSCTACEMAVVWIQNQLRHNQTRELILQYADQLCERLPSPNGESAVDCDEISNMPNLSFTIANKTFTLTPEQYVVKLEQQGQTVCISGFMAFDVPPPRGPLWILGDVFMAAYHTVFDFGKNRIGFAESA >ORUFI01G13080.2 pep chromosome:OR_W1943:1:9796504:9801193:-1 gene:ORUFI01G13080 transcript:ORUFI01G13080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAANQHSGGRYVVADYLDVDRRDSQRCGSALRRAGDQAAEEKDLSGGRWPSRAWPFHGGSSTMGRNHLCLVTCLWILSCAVLLHASPDGLLRISLNKKRLDKKTLDGAKLAREESHRLRADGLGDDIVPLDNYLDTQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSVKCYFSIACYLHHRYKSKGSSSYKKNGESCSISYGSGSIAGFFSEDSVLVGDLAVKNQMFIETTREPSLTFIIGKFDGILGLGFPEISVGGAPPIWQGMKEQQLIEKDVFSFWLNRDPDAPTGGELIFGGVDPNHYKGSHTYVPVTRKGYWQFEMGDLLIDDYSTGFCSGGCAAIADSGTSLLGGPTTIVAQINHAIGAEGIVSMECKQVVRDYGDMILEMLIAQASPMKLCSQIGLCAFDGTRSVRNNIESVVDKEKVGSDLSCTACEMAVVWIQNQLRHNQTRELILQYADQLCERLPSPNGESAVDCDEISNMPNLSFTIANKTFTLTPEQYVVKLEQQGQTVCISGFMAFDVPPPRGPLWILGDVFMAAYHTVFDFGKNRIGFAESA >ORUFI01G13080.3 pep chromosome:OR_W1943:1:9796506:9801193:-1 gene:ORUFI01G13080 transcript:ORUFI01G13080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAANQHSGGRYVVADYLDVDRRDSQRCGGSSTMGRNHLCLVTCLWILSCAVLLHASPDGLLRISLNKKRLDKKTLDGAKLAREESHRLRADGLGDDIVPLDNYLDTQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSVKCYFSIACYLHHRYKSKGSSSYKKNGESCSISYGSGSIAGFFSEDSVLVGDLAVKNQMFIETTREPSLTFIIGKFDGILGLGFPEISVGGAPPIWQGMKEQQLIEKDVFSFWLNRDPDAPTGGELIFGGVDPNHYKGSHTYVPVTRKGYWQFEMGDLLIDDYSTGFCSGGCAAIADSGTSLLGGPTTIVAQINHAIGAEGIVSMECKQVVRDYGDMILEMLIAQASPMKLCSQIGLCAFDGTRSVRNNIESVVDKEKVGSDLSCTACEMAVVWIQNQLRHNQTRELILQYADQLCERLPSPNGESAVDCDEISNMPNLSFTIANKTFTLTPEQYVVKLEQQGQTVCISGFMAFDVPPPRGPLWILGDVFMAAYHTVFDFGKNRIGFAESA >ORUFI01G13080.4 pep chromosome:OR_W1943:1:9796504:9801193:-1 gene:ORUFI01G13080 transcript:ORUFI01G13080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAANQHSGGRYVVADYLDVDRRDSQRCGGSSTMGRNHLCLVTCLWILSCAVLLHASPDGLLRISLNKKRLDKKTLDGAKLAREESHRLRADGLGDDIVPLDNYLDTQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSVKCYFSIACYLHHRYKSKGSSSYKKNGESCSISYGSGSIAGFFSEDSVLVGDLAVKNQMFIETTREPSLTFIIGKFDGILGLGFPEISVGGAPPIWQGMKEQQLIEKDVFSFWLNRDPDAPTGGELIFGGVDPNHYKGSHTYVPVTRKGYWQFEMGDLLIDDYSTGFCSGGCAAIADSGTSLLGGPTTIVAQINHAIGAEGIVSMECKQVVRDYGDMILEMLIAQASPMKLCSQIGLCAFDGTRSVRNNIESVVDKEKVGSDLSCTACEMAVVWIQNQLRHNQTRELILQYADQLCERLPSPNGESAVDCDEISNMPNLSFTIANKTFTLTPEQYVVKLEQQGQTVCISGFMAFDVPPPRGPLWILGDVFMAAYHTVFDFGKNRIGFAESA >ORUFI01G13080.5 pep chromosome:OR_W1943:1:9801193:9804666:-1 gene:ORUFI01G13080 transcript:ORUFI01G13080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPDDDAAAAAAAGAAENGYGNGNGNGNGPAPRPPPAKRPRSVISAAQIRAEFEHHEAGVARVNNGSFGCCPSSLLDAQARWQRLFIAQPDDFYFHALQPGLRRSRAAVAGLVNAGDVAEVSLVDNATTAAAIVLQHAAWSFAEGRFSRGDAVLMLHYAYGAVKKSIHAYVARAGATVVEVPLPFPVASADAIIAEFRAALDVAKAGGRKVRLAVIDHITSMPSVVIPVKELVAICREEGVDKVFIDAAHSIGQVPVDVRDIGADFYTSNLHKWFFCPPAVAFLHTRKDDPIASQLHHPVVSHEYGNGLPMESGWIGTRDYSAQLVVPESIDFVNRFEGGIEGIRSRNHEKVIEMGKMLAEAWGTFLGTPPELCGSMVMVGLPGCLGVESDDDAMRMRTMLRKDFMVEVPIYYNSRRVEAQEMAKDKNGDAVTGYVRISHQVYNVTEDYEKLRDAVNKLVADGFTSSKLRPSQKQETMA >ORUFI01G13090.1 pep chromosome:OR_W1943:1:9808931:9813535:1 gene:ORUFI01G13090 transcript:ORUFI01G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQSGGDAAANGVDADVDGAASPPSAKRPRAGAGAAAITDAEVRAEFAHHDRAVARLNNGTFGCCPASVLAARARWQRLFLSQPDAFYFHHLQPGLARSRAAVAAAVGAGDASEVSLVDNVTTAAAIIMQHVAWSFAEGDFARGDVVLMFLYTYCSIKNSIHAYVARAGATVVEVPLPFPVSSPDAIVAEFRAALAVARDGGRRRVRLAVIDHITAMPTVLIPVKELVAICREEGVDKVFVDAAHAVGQVPVDVRDIGADFYASNLHKWFFCPSAVAFIHTRKDDPVSSKLHHPVVSSEYGNGLPMESAWIGVRDYSAQLVVPDVVDFVNRFDGGVEGIRRRNHDKVVEMGTMLAAAWGTFLGTPPEMCGSMLMVGLPGSLGVGSEDDAVGLRTMLRKQFKVEVPLYYNSKAAAADAPPEMVKDGNGDPVTGYVRISHQVYNVREEYEALRDAVAKLVADGFTCRKLRPPEKEETLA >ORUFI01G13100.1 pep chromosome:OR_W1943:1:9815094:9816882:1 gene:ORUFI01G13100 transcript:ORUFI01G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTKPDKFREASRQTVSNDHGCPVDHHSVQRVLNHPFGFSIQRTGCFIQQKDLGILHNRTSDRDTLLLASRQLSSPLSNCCAITLQIFR >ORUFI01G13110.1 pep chromosome:OR_W1943:1:9815810:9821370:-1 gene:ORUFI01G13110 transcript:ORUFI01G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESWRDAEANASSSASVAAADSSPGNGKGGGGGGGAAVARGERAASASASARVPFHKLFAFADKTDAALMALGTLGAVANGAALPFMTVLFGNLIDAFGGAMGIHDVVNRVSMVSLEFIYLAIASAVASFVQVTCWMITGERQAARIRNLYLKTILRQEIAFFDKYTNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVVTFLGGFIVAFAQGWLLTLVMMATIPPLVVAGAVMSNVVAKMASLGQAAYAESSVVVEQTIGSIRTVASFTGEKQAVEKYNKSLKSAYKSGVREGLAAGLGMGTVMVLLFCGYSLGIWYGAKLILLKGYTGAKVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINRKPEIDAYSTTGMKPDDIRGDIEFRDVYFSYPTRPDEQIFRGFSLSIPSGTTVALVGQSGSGKSTVISLIERFYDPQLGDVLIDGVNLKEFQLRWIRSKIGLVSQEPVLFAASIKENIAYGKDNATDQEIRAAAELANASKFIDKMPQGLDTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHQGTLVEKGPHHELLKDPEGAYSQLIKLQEANRQDKSDRKGDSGARSGKQLSINQSASRSRRSSRDNSHHSFSVPFGMPLGIDIQDGSSDNLCDGMPQDVPLSRLASLNKPEIPVLILGSIASVISGVIFPIFAILLSNVIKAFYEPPHLLRKDSQFWSSMFLVFGAVYFLSLPVSSYLFSIAGCRLIKRIRLMTFEKVVNMEIEWFDHPENSSGAIGARLSADAAKVRGLVGDALQLVVQNTTTLIAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVVSFSAEEKVMDLYKKKCEGPLRTGIRTGIISGIGFASFYAGARLVEENKTTFPKVFRVFLALAMAAIGVSQSSTLTSDSSKAKSAVSSIFAIVDRKSRIDPSEDAGVTVETLHGNIEFQHVSFRYPTRPDVEIFRDLCLTIHSGKTVALVGESGSGKSTAISLLQRFYDPDVGHILLDGVDIQKFQLKWLRQQMGLVSQEPALFNDTVRANIAYGKEGEATESEIIEAAKLANAHKFISSSHQGYGTTVGERGAQLSGGQKQRIAIARAIVKDPKILLLDEATSALDAESERVVQDALDRVMVNRTTVIVAHRLSTIQNADLIAVVKNGVIIEKGKHDTLMNIKDGAYASLVALHSAASS >ORUFI01G13110.2 pep chromosome:OR_W1943:1:9815810:9821370:-1 gene:ORUFI01G13110 transcript:ORUFI01G13110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPESWRDAEANASSSASVAAADSSPGNGKGGGGGGGAAVARGERAASASASARVPFHKLFAFADKTDAALMALGTLGAVANGAALPFMTVLFGNLIDAFGGAMGIHDVVNRVSMVSLEFIYLAIASAVASFVRKCWNTPLYSTLLYCLAAKIAKLNLLLDDLVTCWMITGERQAARIRNLYLKTILRQEIAFFDKYTNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVVTFLGGFIVAFAQGWLLTLVMMATIPPLVVAGAVMSNVVAKMASLGQAAYAESSVVVEQTIGSIRTVASFTGEKQAVEKYNKSLKSAYKSGVREGLAAGLGMGTVMVLLFCGYSLGIWYGAKLILLKGYTGAKVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINRKPEIDAYSTTGMKPDDIRGDIEFRDVYFSYPTRPDEQIFRGFSLSIPSGTTVALVGQSGSGKSTVISLIERFYDPQLGDVLIDGVNLKEFQLRWIRSKIGLVSQEPVLFAASIKENIAYGKDNATDQEIRAAAELANASKFIDKMPQGLDTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHQGTLVEKGPHHELLKDPEGAYSQLIKLQEANRQDKSDRKGDSGARSGKQLSINQSASRSRRSSRDNSHHSFSVPFGMPLGIDIQDGSSDNLCDGMPQDVPLSRLASLNKPEIPVLILGSIASVISGVIFPIFAILLSNVIKAFYEPPHLLRKDSQFWSSMFLVFGAVYFLSLPVSSYLFSIAGCRLIKRIRLMTFEKVVNMEIEWFDHPENSSGAIGARLSADAAKVRGLVGDALQLVVQNTTTLIAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVVSFSAEEKVMDLYKKKCEGPLRTGIRTGIISGIGFASFYAGARLVEENKTTFPKVFRVFLALAMAAIGVSQSSTLTSDSSKAKSAVSSIFAIVDRKSRIDPSEDAGVTVETLHGNIEFQHVSFRYPTRPDVEIFRDLCLTIHSGKTVALVGESGSGKSTAISLLQRFYDPDVGHILLDGVDIQKFQLKWLRQQMGLVSQEPALFNDTVRANIAYGKEGEATESEIIEAAKLANAHKFISSSHQGYGTTVGERGAQLSGGQKQRIAIARAIVKDPKILLLDEATSALDAESERVVQDALDRVMVNRTTVIVAHRLSTIQNADLIAVVKNGVIIEKGKHDTLMNIKDGAYASLVALHSAASS >ORUFI01G13110.3 pep chromosome:OR_W1943:1:9815810:9821370:-1 gene:ORUFI01G13110 transcript:ORUFI01G13110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPESWRDAEANASSSASVAAADSSPGNGKGGGGGGGAAVARGERAASASASARVPFHKLFAFADKTDAALMALGTLGAVANGAALPFMTVLFGNLIDAFGGAMGIHDVVNRVSMVSLEFIYLAIASAVASFVQVTCWMITGERQAARIRNLYLKTILRQEIAFFDKYTNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVVTFLGGFIVAFAQGWLLTLVMMATIPPLVVAGAVMSNVVAKMASLGQAAYAESSVVVEQTIGSIRTVSITSSKVASFTGEKQAVEKYNKSLKSAYKSGVREGLAAGLGMGTVMVLLFCGYSLGIWYGAKLILLKGYTGAKVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINRKPEIDAYSTTGMKPDDIRGDIEFRDVYFSYPTRPDEQIFRGFSLSIPSGTTVALVGQSGSGKSTVISLIERFYDPQLGDVLIDGVNLKEFQLRWIRSKIGLVSQEPVLFAASIKENIAYGKDNATDQEIRAAAELANASKFIDKMPQGLDTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHQGTLVEKGPHHELLKDPEGAYSQLIKLQEANRQDKSDRKGDSGARSGKQLSINQSASRSRRSSRDNSHHSFSVPFGMPLGIDIQDGSSDNLCDGMPQDVPLSRLASLNKPEIPVLILGSIASVISGVIFPIFAILLSNVIKAFYEPPHLLRKDSQFWSSMFLVFGAVYFLSLPVSSYLFSIAGCRLIKRIRLMTFEKVVNMEIEWFDHPENSSGAIGARLSADAAKVRGLVGDALQLVVQNTTTLIAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVVSFSAEEKVMDLYKKKCEGPLRTGIRTGIISGIGFASFYAGARLVEENKTTFPKVFRVFLALAMAAIGVSQSSTLTSDSSKAKSAVSSIFAIVDRKSRIDPSEDAGVTVETLHGNIEFQHVSFRYPTRPDVEIFRDLCLTIHSGKTVALVGESGSGKSTAISLLQRFYDPDVGHILLDGVDIQKFQLKWLRQQMGLVSQEPALFNDTVRANIAYGKEGEATESEIIEAAKLANAHKFISSSHQGYGTTVGERGAQLSGGQKQRIAIARAIVKDPKILLLDEATSALDAESERVVQDALDRVMVNRTTVIVAHRLSTIQNADLIAVVKNGVIIEKGKHDTLMNIKDGAYASLVALHSAASS >ORUFI01G13120.1 pep chromosome:OR_W1943:1:9821447:9823480:-1 gene:ORUFI01G13120 transcript:ORUFI01G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTRNSGWLARRGGGCAIDADGVDLDGLHVVVGGDQEMRCCLGHELGGINRFLKKSSSALRLLLCPI >ORUFI01G13130.1 pep chromosome:OR_W1943:1:9849735:9851581:-1 gene:ORUFI01G13130 transcript:ORUFI01G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSISSDDLPQDGFRIIYRCLQLGVGHLYATRGCAGHWLHLRRPNRRATDLLPYLRWWFFKGQLSFPGFTKRREKSRQMSEIPTCQVSHLSSLSVSSFHTAPRPTPPQCSLWKASVYILGAAGSNIVFMAVLSDSVVLAVHRINSDGTGASRFSEFNAQMSAIARLHHHAAPCCLRPNQARGKPPHSP >ORUFI01G13140.1 pep chromosome:OR_W1943:1:9852333:9853005:1 gene:ORUFI01G13140 transcript:ORUFI01G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDRVALLSAEVAPLLAASSSSSSSLVAAPGVDGDGATPGRLLIVIGGGGAGQGRGRSSLAVAVVPPEVTEPAAARSRLEGRKRERRGEEINMRRGEEEEIRMIKFEVLRGKRVLGRKKFVQERGIFTCGLLKWLEPYGKMEVDFYRCDQLRSAYNLKRFSYRKIVSVEVIYVCNHIYVHMTYATIRLNML >ORUFI01G13150.1 pep chromosome:OR_W1943:1:9861173:9864983:-1 gene:ORUFI01G13150 transcript:ORUFI01G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGSTATASTRCRWWRRAGRWRRRTWPGWWG >ORUFI01G13160.1 pep chromosome:OR_W1943:1:9871554:9873437:-1 gene:ORUFI01G13160 transcript:ORUFI01G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFATLAIRKALDKLSSILPAISLLASSSSAAAMVRQGHEQDLEDLRMLERTMHRIHATLKDAEQHWDIRDESAKLLLKELKELAYDAEDLVDEYEYELEVNRCKAEALQPSASVASHKRRAHHE >ORUFI01G13170.1 pep chromosome:OR_W1943:1:9874903:9876932:-1 gene:ORUFI01G13170 transcript:ORUFI01G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTFPDARSLSTSPSHVNTAKALGRNSLELVDSVLGASVERETRGQIRRGSSRGTWQQRCGEGAAAASVLVHGRGSGGAGSPTSGARRGSNSGARAGEGAALGASGAGSGRQGADPVSGSSAGEQDLGSSGSAAGRPHPSMGERRGGGGTRVWEGAALGSNSAGEWAAGSKSGSAAAARGAGPREQGGSTASWPRPSLGTRIRRWLSTHYFQSDSIVPPLSTSACPSIVRLPLIAGDKSRRNGFGAGESHGRASSSTAGGGRVHGGLAGRSGVGAFMEMTTEARIWIWIW >ORUFI01G13180.1 pep chromosome:OR_W1943:1:9894010:9894799:1 gene:ORUFI01G13180 transcript:ORUFI01G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASYFFPLFSPFLLSLSLSLLVVLSSYRHRSGHPALRLFVWAASMLFLLLVSYVVSAAAKWDAARVPLLFAWIVFLQMLQNTIDTTRSSLSTIGNGSGNSKFRPTDRHLEEDSPGEEERDTRGIPLGGGGDGVGDEREEERGGRLDEEAKHRVAGAAAVGAEHDEEGK >ORUFI01G13190.1 pep chromosome:OR_W1943:1:9919687:9924546:1 gene:ORUFI01G13190 transcript:ORUFI01G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGEAEAECTRASLLGRYEIGRTLGEGNFGKVKYARHLATGAHFAIKILDRNKILSLRFDDQIRREIGTLKLLKHPNVVRLHEVTIRINPHKLFLDLLASFEKQTNYAMQKHHHRLTSCHLAAGGSPLAAAAVAASKTKIYMVLEYVNGGELFDKIAVKGKLSEHEGRRLFQQLIDAVSYCHDKGVYHRDLKPENVLVDRRGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYVMLVGYLPFDDRNLVVLYQKIFKGDTQIPKWLSPSARDLLRRILEPNPMKRINIAGIKEHEWFQKDYTPVVPYDDDDDNYLDSVLPIKEQIDEAKQEKPTHINAFQLIGMASALDLSGFFEEEDASQRKIRFTSTHSPKDLFDKIENVVTEMGFQVQRGNSKLKVMKNGRGSKNLRNPSSFLVCTEVVELGPSLYVVELKKSHGDPILYRQLCERLSDELGVCKTEQIQRTESLEDDLESFDSGSSLPGF >ORUFI01G13190.2 pep chromosome:OR_W1943:1:9919687:9924546:1 gene:ORUFI01G13190 transcript:ORUFI01G13190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGEAEAECTRASLLGRYEIGRTLGEGNFGKVKYARHLATGAHFAIKILDRNKILSLRFDDQIRREIGTLKLLKHPNVVRLHEVAASKTKIYMVLEYVNGGELFDKIAVKGKLSEHEGRRLFQQLIDAVSYCHDKGVYHRDLKPENVLVDRRGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYVMLVGYLPFDDRNLVVLYQKIFKGDTQIPKWLSPSARDLLRRILEPNPMKRINIAGIKEHEWFQKDYTPVVPYDDDDDNYLDSVLPIKEQIDEAKQEKPTHINAFQLIGMASALDLSGFFEEEDASQRKIRFTSTHSPKDLFDKIENVVTEMGFQVQRGNSKLKVMKNGRGSKNLRNPSSFLVCTEVVELGPSLYVVELKKSHGDPILYRQLCERLSDELGVCKTEQIQRTESLEDDLESFDSGSSLPGF >ORUFI01G13200.1 pep chromosome:OR_W1943:1:9925335:9927485:-1 gene:ORUFI01G13200 transcript:ORUFI01G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEFTYQVKWEMSEDGSPATYFSFFMVLPFMESSTALQMESEPIVGCDGDGCASGAGTWPLHHFRRLDGVHCRLCSSCLLLEYRSFYCCCCFLLLGPEPPAHFDDGDPILAPPVPVATCRLCNEAVAHRYCLQSDDDTFVCAACVAAAHGWRFSYTPTAPPPAALAATTTGGVVSDAPLDIRATRIMLLASRISLAVLRKAAAAARATAERLFVEAKAEKARAYRALAVALGVDAEVPSANHGADEPEPLPMLQAPPPPEDMAPESSSTATNMGALPPSENVAPPESDASSVAMALAMAPPSENLPSEGNLVAMAMGLDLNAPPPSPAADTIGVGDVAEMTMAAEASSSSPPLPPPPPPQPRRRPLQLFPDDDM >ORUFI01G13210.1 pep chromosome:OR_W1943:1:9936171:9937260:-1 gene:ORUFI01G13210 transcript:ORUFI01G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLILFLLYLPTAGRTVTRLALDAARWRLLVLYTYLAPWFVYAAVGAYELDSWRCLSLARLDGPGGCAADGRAGAAAGAAGSAAHGEEAGEGERRRPAPEKGREAASARPAPRWRAWGPLRSPRATACAWRGTGGSGTGSCRRPPRA >ORUFI01G13220.1 pep chromosome:OR_W1943:1:9938088:9939830:1 gene:ORUFI01G13220 transcript:ORUFI01G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISERATTTPSSSYYSLELDPLLSDLAEKKLSLRRSLAWLDAELKDAKIKLASKEQLLAQESENRKKFAESRARSMEEEVKKLHKCLQDKDEQLRTSICSTEQQYLSSYKLDILRSQISVAQATAEASAESAMLARLQCLSLSGGHEKINSLGECELRVKKVEEQLDLVQKFLEAKELSQLEKNQMTTVHELKKKVLKLECTLKVSRAQLRKLHKMVERRDKPLKKLQSRLPLKQQTACDKQKLWESSGFRIIASMSILALAMLSKR >ORUFI01G13220.2 pep chromosome:OR_W1943:1:9938088:9939867:1 gene:ORUFI01G13220 transcript:ORUFI01G13220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISERATTTPSSSYYSLELDPLLSDLAEKKLSLRRSLAWLDAELKDAKIKLASKEQLLAQESENRKKFAESRARSMEEEVKKLHKCLQDKDEQLRTSICSTEQQYLSSYKLDILRSQISVAQATAEASAESAMLARLQCLSLSGGHEKINSLGECELRVKKVEEQLDLVQKFLEAKELSQLEKNQMTTVHELKKKVLKLECTLKVSRAQLRKLHKMVERRDKPLKKLQSRLPLKQQTACDKQKLWESSGFRIIASMSILALAMLSKR >ORUFI01G13230.1 pep chromosome:OR_W1943:1:9944832:9951563:1 gene:ORUFI01G13230 transcript:ORUFI01G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGTCSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAASGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLMLASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLLCIFGGKYLIQEATEKLLDDTKMQRGPQCLTFSCSFPSTSGRGFIEVEDLDQSSLSFPFVVAEEDVCSEIRTLEHLLNLVSFDDTLVEKNDLLASRDRALNFLHEFGWFLQRSHIRATSETPKDCTEGFPAARFRWLLSFAVDREFCAVIKKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >ORUFI01G13230.2 pep chromosome:OR_W1943:1:9944832:9951563:1 gene:ORUFI01G13230 transcript:ORUFI01G13230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGTCSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAASGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLMLASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLKILIKAAFHFPLLLLKKMSHIRATSETPKDCTEGFPAARFRWLLSFAVDREFCAVIKKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >ORUFI01G13230.3 pep chromosome:OR_W1943:1:9945218:9951563:1 gene:ORUFI01G13230 transcript:ORUFI01G13230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGTCSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAGVDVNSLHENHSLSNTLLLLLKQLSGLDSSGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLMLASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLLCIFGGKYLIQEATEKLLDDTKMQRGPQCLTFSCSFPSTSGRGFIENSCFLFQVEDLDQSSLSFPFVVAEEDVCSEIRTLEHLLNLVSFDDTLVEKNDLLASRDRALNFLHEFGWFLQRSHIRATSETPKDCTEGFPAARFRWLLSFAVDREFCAVIKKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >ORUFI01G13230.4 pep chromosome:OR_W1943:1:9945218:9951563:1 gene:ORUFI01G13230 transcript:ORUFI01G13230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGTCSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAGVDVNSLHENHSLSNTLLLLLKQLSGLDSSGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLMLASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLLCIFGGKYLIQEATEKLLDDTKMQRGPQCLTFSCSFPSTSGRGFIEVEDLDQSSLSFPFVVAEEDVCSEIRTLEHLLNLVSFDDTLVEKNDLLASRDRALNFLHEFGWFLQRSHIRATSETPKDCTEGFPAARFRWLLSFAVDREFCAVIKKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >ORUFI01G13230.5 pep chromosome:OR_W1943:1:9945534:9951563:1 gene:ORUFI01G13230 transcript:ORUFI01G13230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGTCSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAASGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLMLASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLLCIFGGKYLIQEATEKLLDDTKMQRGPQCLTFSCSFPSTSGRGFIEVEDLDQSSLSFPFVVAEEDVCSEIRTLEHLLNLVSFDDTLVEKNDLLASRDRALNFLHEFGWFLQRSHIRATSETPKDCTEGFPAARFRWLLSFAVDREFCAVIKKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >ORUFI01G13230.6 pep chromosome:OR_W1943:1:9945448:9951563:1 gene:ORUFI01G13230 transcript:ORUFI01G13230.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGTCSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAASGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLMLASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLLCIFGGKYLIQEATEKLLDDTKMQRGPQCLTFSCSFPSTSGRGFIEVEDLDQSSLSFPFVVAEEDVCSEIRTLEHLLNLVSFDDTLVEKNDLLASRDRALNFLHEFGWFLQRSHIRATSETPKDCTEGFPAARFRWLLSFAVDREFCAVIKKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >ORUFI01G13230.7 pep chromosome:OR_W1943:1:9945739:9951563:1 gene:ORUFI01G13230 transcript:ORUFI01G13230.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGTCSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAASGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLMLASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLLCIFGGKYLIQEATEKLLDDTKMQRGPQCLTFSCSFPSTSGRGFIEVEDLDQSSLSFPFVVAEEDVCSEIRTLEHLLNLVSFDDTLVEKNDLLASRDRALNFLHEFGWFLQRSHIRATSETPKDCTEGFPAARFRWLLSFAVDREFCAVIKKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >ORUFI01G13240.1 pep chromosome:OR_W1943:1:9952604:9954995:-1 gene:ORUFI01G13240 transcript:ORUFI01G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDTFLFTSESVNEGHPDKLCDQISDAVLDACLAEDPESKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRGIGFVSNDVGLDAEHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGACAWLRPDGKTQVTVEYQNDNGAMVPLRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDREILRIVTENFDFRPGMIIINLDLMRGGNGRYLKTAAYGHFGREDPDFTWEVVKPLKWEEPSA >ORUFI01G13250.1 pep chromosome:OR_W1943:1:9959854:9961289:-1 gene:ORUFI01G13250 transcript:ORUFI01G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPQCELLMPLESLEMDVGQSHLAAAVAAAMPGELNFHLLHSLDAAAAAASSTAASASSQPTVDYFFGGADQQPPPPAAVQYDQLAAPHHHQTVAMLRDYYGGHYPPPAAAAAATEAYFRGGPRTAGSSSLVFGPADDESAFMVGPFESSPTPRSGGGRKRSRATAGFHGGGPANGVEKKEKQRRLRLTEKYNALMLLIPNRTKEDRATVISDAIEYIQELGRTVEELTLLVEKKRRRREMQGDVVDAATSSVVAGMDQAAESSEGEVMAAAAMGAVTPPPRQAPIRSTYIQRRSKETFVDVRIVEDDVNIKLTKRRRDGCLAAASRALDDLRLDLVHLSGGKIGDCHIYMFNTKIHPGSPVFASAVASRLIEVVDEY >ORUFI01G13260.1 pep chromosome:OR_W1943:1:9970694:9973608:1 gene:ORUFI01G13260 transcript:ORUFI01G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPAAAAAAGGVQRLKSLCPVRRISSLKVPWRRDAALDAAILRDRRYRLASRLVREVLLSPGRRLLLRYLSKRRQRIRLPVLVPTFLRRYPTLLSVSPPPNPVASPSPHLLSFLEFASRHHALHSPLLASRLAKLLMISSTRALPVPKIAAAKRDFGLPDDFLTSLVPRYPHLFRLVGDPGPDASGNAFLELVSWDDQLAKSVIELRADKEADVVGIRPRPNFTVKLPRGFYLKKEMREWVRDWLELPYVSPYADTSGLHPASPEAEKRLIGVLHEVLSLSVERRMAVPIIGKFCDEFRLSNAFANAFTRHPGIFYVSLKGGIKTAVLREAYDENGELVDKDPMIELKERFVAIMDEGHREYLEELRKKREELEKQRLQEAYRGAKVGTGIEDEMEEEGIDESDEDDDSEEGEALMTWGAVAKLTRSGVGNGIAAFVLEPLNRGHGGAAGPVGGVWAGSRRWRIGSHCGEREATAAWQGAGGQQLHAGAVARGKQASKHICIM >ORUFI01G13270.1 pep chromosome:OR_W1943:1:9974307:9994893:-1 gene:ORUFI01G13270 transcript:ORUFI01G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTVAVICSLVAVQLWVTLLAGELKVGYYDDKCSGVEDVVKSHVIKAIILNRGNGAALVRLIFHDCFVRGCDGSVLLDASGVNPRPEKVAPVSIGLEGFDILQEIKADLERRCPGVVSCADILIFAARDASSILSNGRVRFDVPAGRLDGLVSSANEAQAELPEPTFTIRQLIDSFARKNFTVEELVVLSGAHSVGDGHCSSCTARLAAPPDQITPSYRNLLNYKCSRGGGADPAVVNNARDEDLATVARFMPAFVGKLRPVSALDNTYYRNNLDKVVNFNSDWQLLTQDEARGHVHEYADNAALWDHDFAASLLKLSKLPMPAGSKGEIRNKCSSINHRYRGMKLILMVAFQAMSLISISTASLQYNFYGSSCPNAEQTISNVVYGLIDADPSMAPALLRLHFHDCFVMGCDASILLDPTKANGSPEKTAIPLRGYDAVNKIKAAVEAVCPGKVSCADILAFAARDSVTKSGGLVYPVPSGRRDGDVSSAFSVFSSIPSPFFDADELVQSFAAKGLTVDDLVALSGAHSIGTAHCSGFKNRLYPTVDASLDASYAAAPPPTTAPVSPATLGNQYFKNALAGRVLFTSDAALLAGRNDTAEKVRENAGDLTAWMARFAASMVKMGGIEVLTGARGEQVTVKMCSAARGVRRHGSPVIIAWAIVFFSVFASSEAQLQVGYYNYTCPRAEDLVRNVVRAAILRDPGNGPGLVRLFFHDCFVRGCDASVLLDAVPGSNATVEKMSQANNPSLRGFAVIDRAKRVLERRCRGTVSCADIVAFAARDACGIMGGIDFAVPSGRRDGAVSAESDVLNNLPPPFFNATQLVAGFAAKNLTADDMVVLSGAHSFGRSHCSAFSFRLYPQVAPDMDAAYAAQLRARCPPPAAPPATGRRDRVVDLDPVTKLVLDNQYYKNIQRGEVLFTSDATLVSQSDTAALVDLYARNRKLWASRFAAAMVKMGNLDVLTGSQGEIRKFCNRESTLASAVVEACVLMNELGS >ORUFI01G13280.1 pep chromosome:OR_W1943:1:9995552:9996922:-1 gene:ORUFI01G13280 transcript:ORUFI01G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPNFVVGVGGRGGQICRQAPARLPPPSSRASSPVELPRIVPYRPAPSQIDCRQLVRRQARPPPSPPPSSSSAVEPSAAESSAVESFAASAGRRSPFFRGCWGGGGRGGWCAGEAKPRRSSDGRRRRRLAARPQIHDALRERGEGRYSGVAVPRGAAARSWQEQRRCPHKTSATNTLSPRYATRCGEGGGWSGGEVASDDGVRSWGKEEDKATEEKTVTLRIESGGKVFFSLERERPLIFSLVKN >ORUFI01G13300.1 pep chromosome:OR_W1943:1:10028341:10031158:-1 gene:ORUFI01G13300 transcript:ORUFI01G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSYALCFAIVAGAIAASLWAMLSSRKRPPSDGSSPPHAVDDAAAASIGSAGASAMWSSRWSGVRPAWLLLASRAAAAVALAGVLLWDALTYDLTIMVYYTEYVSSVNDKSRLSGSLMEINHGADQKGGAGLNQLGRFMQIVYQVLGGAVVLTDVVFWALIVPFMYSSHFSLNAVMGCIHSFNLVFLLIETTLNNLEFPWFRMTYFVLWTCSYVIIQWVVHVCGLKWWPYPFLNPAAPWAPLWYFCIALLHLACYTVYWAIIDACRARYKARRASPPTAGSGGQPGRSTSPPASLFRAHPACYVAGQTAMGRREN >ORUFI01G13310.1 pep chromosome:OR_W1943:1:10032533:10034585:-1 gene:ORUFI01G13310 transcript:ORUFI01G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAEVMHQVVPLLEASFHRRCSVKGVDEVSPPVEEMSPEAASEAAIEVPELMVKAPVESLQFSPNIRSGSFADIGPRRYMEDEHIRIDDLSGHLGSLLMCPAPNAFYGVFDGHGGPDAAAYMKRHAIRLFFEDSEFPQALEEDESFYESVEKSIHNAFLSADLALADDLAISRSSGTTAIAALIFGRQLLVANAGDCRAVLCRKGVAVEMSRDHRPTYDAEHERITECGGYIEDGYLNGVLSVTRALGDWDMKMPQGSRSPLIAEPEFQQTTLTEDDEFLIIGCDGIWDVMSSQHAVTIVRKGLRRHDDPERCARELAMEAKRLQTFDNLTVIVICFGSELGGGSPSSEQAPIRRVRCCKSLSSEALCNLKKWLEPNE >ORUFI01G13320.1 pep chromosome:OR_W1943:1:10039823:10044492:-1 gene:ORUFI01G13320 transcript:ORUFI01G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAGSRATPDHGNLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHLLAIDRVVQKALDNANVSESDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFHLPIVGVHHMEAQALVSSSRARLVSMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQVVSGGVASNQYVKNSFESDLLRRMAYSSYALHQGFALTMADLMILLLLMKPDDFAGSLLFR >ORUFI01G13320.10 pep chromosome:OR_W1943:1:10040171:10044492:-1 gene:ORUFI01G13320 transcript:ORUFI01G13320.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAGSRATPDHGNLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHLLAIDRVVQKALDNANVSESDLSAVAVTYDLRPRWPLGEEYSDGRCVARSMKTARVHPSLASMIQGSVH >ORUFI01G13320.11 pep chromosome:OR_W1943:1:10039825:10041927:-1 gene:ORUFI01G13320 transcript:ORUFI01G13320.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSIKYFVVSGGVASNQYVKNSFESDLLRRMAYSSYALHQGFALTMADLMILLLLMKPDDFAGSLLFR >ORUFI01G13320.12 pep chromosome:OR_W1943:1:10041216:10041927:-1 gene:ORUFI01G13320 transcript:ORUFI01G13320.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSIKYFVVSGGVASNQYVKNSFESDLLRRMAYSSYALHQGFALTMADLMILLLLMKPDDFAGNVTNKYAQLIELNKTKFTIKHRKLFCFL >ORUFI01G13320.2 pep chromosome:OR_W1943:1:10041216:10044492:-1 gene:ORUFI01G13320 transcript:ORUFI01G13320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAGSRATPDHGNLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHLLAIDRVVQKALDNANVSESDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFHLPIVGVHHMEAQALVSSSRARLVSMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQVVSGGVASNQYVKNSFESDLLRRMAYSSYALHQGFALTMADLMILLLLMKPDDFAGNVTNKYAQLIELNKTKFTIKHRKLFCFL >ORUFI01G13320.3 pep chromosome:OR_W1943:1:10039823:10044492:-1 gene:ORUFI01G13320 transcript:ORUFI01G13320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAGSRATPDHGNLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHLLAIDRVVQKALDNANVSESDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFHLPIVGVHHMEAQALVSMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQVVSGGVASNQYVKNSFESDLLRRMAYSSYALHQGFALTMADLMILLLLMKPDDFAGSLLFR >ORUFI01G13320.4 pep chromosome:OR_W1943:1:10039823:10044492:-1 gene:ORUFI01G13320 transcript:ORUFI01G13320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAGSRATPDHGNLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHLLAIDRVVQKALDNANVSESDLSAVAVTVGPGLSLCLRDMLCYPPIVSMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQVVSGGVASNQYVKNSFESDLLRRMAYSSYALHQGFALTMADLMILLLLMKPDDFAGSLLFR >ORUFI01G13320.5 pep chromosome:OR_W1943:1:10041216:10044492:-1 gene:ORUFI01G13320 transcript:ORUFI01G13320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAGSRATPDHGNLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHLLAIDRVVQKALDNANVSESDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFHLPIVGVHHMEAQALVSMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQVVSGGVASNQYVKNSFESDLLRRMAYSSYALHQGFALTMADLMILLLLMKPDDFAGNVTNKYAQLIELNKTKFTIKHRKLFCFL >ORUFI01G13320.6 pep chromosome:OR_W1943:1:10041216:10044492:-1 gene:ORUFI01G13320 transcript:ORUFI01G13320.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAGSRATPDHGNLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHLLAIDRVVQKALDNANVSESDLSAVAVTVGPGLSLCLRDMLCYPPIVSMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQVVSGGVASNQYVKNSFESDLLRRMAYSSYALHQGFALTMADLMILLLLMKPDDFAGNVTNKYAQLIELNKTKFTIKHRKLFCFL >ORUFI01G13320.7 pep chromosome:OR_W1943:1:10041928:10044492:-1 gene:ORUFI01G13320 transcript:ORUFI01G13320.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAGSRATPDHGNLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHLLAIDRVVQKALDNANVSESDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFHLPIVGVHHMEAQALVSSSRARLVSMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQFSDVDLCSELLFYIWKKDANEQLNGP >ORUFI01G13320.8 pep chromosome:OR_W1943:1:10039823:10044492:-1 gene:ORUFI01G13320 transcript:ORUFI01G13320.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAGSRATPDHGNLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHLLAIDRVVQKALDNANVSESDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFHLPIVGVHHMEAQALVSRFRCDNTKIAISLMLVVSGGVASNQYVKNSFESDLLRRMAYSSYALHQGFALTMADLMILLLLMKPDDFAGSLLFR >ORUFI01G13320.9 pep chromosome:OR_W1943:1:10039823:10044492:-1 gene:ORUFI01G13320 transcript:ORUFI01G13320.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAGSRATPDHGNLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHLLAIDRVVQKALDNANVSESDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFHLPIVGVHHMEAQALVSSMTCVQGGLLVRNIQMEDVLHGSLLFR >ORUFI01G13330.1 pep chromosome:OR_W1943:1:10045514:10048575:-1 gene:ORUFI01G13330 transcript:ORUFI01G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIAPLHLTNPSSTPLLSLPSLRPIPIGKGIPISVAAAAHAQAGLGRTGPVLGFCIADGPETACDVAQQGGYTVTHSLRRFSLDPFLSSSSVRRRQGKWSHRGCGGGGAAASGASSLLPLPPVRSSCSASHISPNPRRSPLFPGGNHAAASRNSLLPRSLIIYFGVLSNVFGYWILSLEKMRTAIPHTPNDEEMVWMTSYMIYFVLVISLCRICRRKKYKIHDAGDLQQENMFSVLNFDGGNAYEQIIEATENFSEKYCIGAGGYVSVYVAKLSNGKNFAVKKINATENGRLINEQMFYREIEATMQIRHKNIVKVFGYCCTARDKFIVYKYMKGGNLLTALKSYRSASELDWKRRLCIAQDVAHALSYLHHDCSDPIVHRDVTTKNILLDLEFRACLSDFGIAKILDADGSGHTRLAGTKGYLAPELAYTTKVTEKCDVYSFGVVVLELLMGSHPGDFVSSISCPSKKSTPMKDLLDTRLPPPAGEVASEIFGLITVAIQCLHPNPSTRPTMPSAIHLFSKFSRAVDLDYLHADIMEFCLL >ORUFI01G13340.1 pep chromosome:OR_W1943:1:10048500:10053465:1 gene:ORUFI01G13340 transcript:ORUFI01G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGLVDSSSGFPETSTSGATDRLTDDISEMSIRDKEVEAVVVSGNSMDIGHTIVTTVGGRNGQPKQTISYIAERAVGRGSFGVVFQAKCLETGERVAVKKVLQDARYKNRELQTMQVLDHPNVACLKHYFCSTTAKEELYLNLVLEYVPETVHRVIRHYNKMSQRMPLIYVKLYMYQICRALAYIHNCVGVCHRDIKPQNILVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPVFPGDSGVDQLVEIIKFPPFLLAHAGDLLYFIQVLGTPTREEIKHMNPNYTEFKFPQIKAHPWHKIFHKRMPSEAVDLVSRLLQYSPHLRCSALEVLIHPFFDELRDPNARLPNGRTLPPLFNFKPRELKGASMEFLVKLVPQHAKKQCAFLGL >ORUFI01G13350.1 pep chromosome:OR_W1943:1:10048702:10053701:-1 gene:ORUFI01G13350 transcript:ORUFI01G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLVGGRTKEHPLLETGIVKLHALGGWISGTKAKEIDREREREIPTDRFGDGNANPEEEVATDAGERRPAAGTPWRPDLEPGAERA >ORUFI01G13360.1 pep chromosome:OR_W1943:1:10055948:10058144:1 gene:ORUFI01G13360 transcript:ORUFI01G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAAGRTAAIALLLALAFASSFISAADGARSARHHHAKHAKRNAAHPPSQAPGPAARHAPGPARHHGAPAPHPGRRSPPAPAPANPPSSDPMPGGAPSAAPAAGAATVYDIVKDFGAAGDGVTDDTDALKTAWDTACADDGAGVVLAAAGRSFLIHTTVFTGPCQGSVTLQVDGTIVAPSEPATWPANNKRNWLVFYRADGVSLVGAGLIDGKGQKWWDLPCKPHKGGNTHGPCDSPVAMRFAISNNVTVRGLKVQNSPEFHFRFDNCNGVRVDGLSISSPALSPNTDGIHVENTSDVLITNTVVSNGDDCVSIGAGTLNVHIENVTCGPGHGISIGSLGKAGTKACVANVTVRNAVIRHSDNGVRIKTWQGGSGSVSAVAFENVRMDAVRNPIIIDQYYCLSKSCENETTAVFVNGVSYSGIRGTYDVRGPPIHFGCSDAVPCTNITLSDVELLPASGDTVDEPFCWNVYGNAATPTVPPVSCLSSGFPNYTEKKDLQCY >ORUFI01G13370.1 pep chromosome:OR_W1943:1:10084313:10087163:1 gene:ORUFI01G13370 transcript:ORUFI01G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSPRRLGFLAALVFAVSVSASVSSVSGGSGPITTNGGNYTRVCDPARFAAAGLDMAGFPYCDASLPYADRVRDLVGRMTLEEKVANLGDRAGGAPRVGLPRYLWWGEALHGVSDVGPGGTWFGDAVPGATSFPLVINSAASFNETLWRAIGGVVSTEIRAMYNLGHAELTYWSPNINVVRDPRWGRASETPGEDPFVVGRYAVNFVRGMQDIDGATTAASAAAATDAFSRPIKVSSCCKHYAAYDVDAWNGTDRLTFDARVQERDMVETFERPFEMCIRDGDASCVMCSYNRINGVPACADARLLTETVRRDWQLHGYIVSDCDSVRVMVRDAKWLGYTGVEATAAAMKAGLDLDCGMFWEGVHDFFTTYGVDAVRQGKLKESAVDNALTNLYLTLMRLGFFDGIPELESLGAADVCTEEHKELAADAARQGMVLLKNDAALLPLSPEKVNSVALFGQLQHINATDVMLGDYRGKPCRVVTPYDGVRKVVSSTSVHACDKGSCDTAAAAAKTVDATIVVAGLNMSVERESNDREDLLLPWSQASWINAVAEASPSPIVLVIMSAGGVDVSFAQDNPKIGAVVWAGYPGEEGGTAIADVLFGKYNPGGRLPLTWYKNEYVSKIPMTSMALRPDAEHGYPGRTYKFYGGADVLYPFGHGLSYTNFTYASATAAAPVTVKVGAWEYCKQLTYKAGVSSPPACPAVNVASHACQEEVSFAVTVANTGGRDGTHVVPVYTAPPAEVDGAPRKQLVAFRRVRVAAGAAVEVAFALNVCKAFAIVEETAYTVVPSGVSRVLVGDDALSLSFPVQIDLQAAA >ORUFI01G13380.1 pep chromosome:OR_W1943:1:10090187:10094259:1 gene:ORUFI01G13380 transcript:ORUFI01G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTLSTYLPLLAMVLVQLGLAGLNVMSKLTMASGMSPYVLLAYRNFIAAAFLAPIAFLVERATLNQVLYFVGLKYSSPTVASALNNTLPAVTFLLAALLKMEPVAGRAGRAKVAGTALCVAGSMLMTFYRGPLVRTLASPVHWPYVQGTMAAEAAAHAGGHAVVLGAVLVIGSNVAWAIWFIIQKNLSKSFACPYTSTALMALIASVQCAAIAGAAERRFSAWELGLDIRLVGALYAGIVASGMVCTVMSWCIQERGPVFVSMFSPLMLIVVAVVGWGILGEKIHVGSVIGAVIIVVGLYTVLWGKGRDLDGAAVAIASLPGDEEMNGVVGADDTTGRAPPVGQTRHDSCQRKVAA >ORUFI01G13390.1 pep chromosome:OR_W1943:1:10093944:10105221:-1 gene:ORUFI01G13390 transcript:ORUFI01G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTILRLRRRDAARRRPPRLLLARGKTTATQHVAARHLDHTFEKLASAHLQLVMAVPLVDALRGSPSELLALPDHAHHFPLQLHRRGALPLSLSLTAPAAELLAVTTSPAAAAAGSGNGGVTVTGRATPRVPSDLEEGSELSCRVCPTGGARPVVSSAPTTPFISSSPGKLAMATAAPSKSLPLPQSTVYSPTTMITAPITLHTHHAATISKDYNRVVSKQSTCNYRVICSSRTQR >ORUFI01G13400.1 pep chromosome:OR_W1943:1:10102081:10104279:1 gene:ORUFI01G13400 transcript:ORUFI01G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAETGKVVQWRRWAFANLGSVLSNFGSLWLLLAPLLAAYAPRRLFKTYFNLFLRRHARRLLAVVDPYVTVDVSEPGGASAHYSRYGRVTDSGDSTYEEVKAYLSDGCAGEARELRAEGASEGDGVVISMRDGQDVADEFRGAALWWTSVVREDAQGQQRAHTRRCQRLTFHHRDRRLVVDEYLPHVRRKGREILFSNRRRRLYTNNKSGDSFRYDYKAWSYIDFDHPTTFDTLAMDTARKREIIDDLDAFRSDRDFYRRAGKPWKRGYLLHGPPGTGKSTMIAAMANYLDYDIYDVELTVVKDNNDLRRLLIETTSKSIIVIEDIDCSLDLTGDRAATQRRGRQNDRDDGSRRHDRDGSMVTLSGLLNFIDGLWSACGGERIVVFTTNHVDKLDAALIRRGRMDMRIEMSYCGIEAFKTLAKNYLDVDDHRLFGPVGEILGRESITPADVAECLMTAKRAGSDDESSRLEIVIDELKQTAEANAKAKAEAEAKAKAEAEEEAKAAEMDRDNTREEQNGRKSPKI >ORUFI01G13410.1 pep chromosome:OR_W1943:1:10105516:10107369:1 gene:ORUFI01G13410 transcript:ORUFI01G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPSPPTYNLITHKASRRMTSRSTFLLDERRRGVAVAAAADLAAAGSSGEGGWLDAAVLLPYNGADDLADGVASLAADKLAYSVASLAAAGSPDPDVDSPDLAAAGSSGEGGWLDVAVLLPHDGADDLADGVASLAADELAGSVASLAAAGSPDRAVAATTRWLSLDVAVFFPPRRRR >ORUFI01G13420.1 pep chromosome:OR_W1943:1:10109012:10110274:-1 gene:ORUFI01G13420 transcript:ORUFI01G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLRRRWAAADDGVLYEVARRIPCEIDRRHMGRVCNSWRVALVKLKAPAPPPPLPWLALPESDDGLPATVSCVLSGCRTHAFSVLQGARGARYFGSYDGGWLFLAVGGQAQCQALLNLKINGFQTLDLPNLAPVNSVNPNRDREMAIVAATLSCQPTEQGCIVAGIIESSPNLVAVGHVTRSIAFWRMGDQVVLPVLWALEEDNPLMRLEEVEDLLCHHGAFHFLTRAEDVLACEEPPVFYRDSVSLVPANMFFLPRVHDENETVLARYLVGSGKKLLMVVRLASGRGQRTTSAFRVFQKKKFNTGEEDEPSQNRSAHFEYYWSELDELDGRMLFVGLGCSRSYKAGDGRYPGMEEGVYFLDDPSIHQMIIGDAPKPPYLCSDNGKWSRAPTDPQGQVERCFPERGPSIHSPPVWILP >ORUFI01G13430.1 pep chromosome:OR_W1943:1:10120435:10124227:1 gene:ORUFI01G13430 transcript:ORUFI01G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRESLPTLAMVMVQLGFAGMNVVSKLALDTGMSPYVLIAYRNIIAAVFLAPFAYYFERKSGMVITKKVLVQIFFSSIFGATLNQVLYFVGLKSTTPTVACALSNTLPALTFAMAAAFRMESVRLSAAAGQAKVFGTVVCVGGSMIMPFYKGPLLRLWASPIHWRFAESAASGAAAPAAGGAAVLGDVLIILSCAAWAVWFIIQTKMSERFSAPYTSTTIMCLMAGVQCAGVSAAMDRSVAVWKLGFDIRLYSVLYIGVVGSGIAFALMSWCIQVRGPLFVSMFSPLMLVVVAIVGWAILDEKIHVGSAIGSVLIVAGLYMVLWGKAREMGSPSDLDGGGGGGGVVELNGKGADAATTLPVFCTTTNKHETTRNGCSN >ORUFI01G13440.1 pep chromosome:OR_W1943:1:10132992:10133186:1 gene:ORUFI01G13440 transcript:ORUFI01G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDSAGVDDTLRASSSGAPVGVLLERQANECEEDNSTDKSEWMSAVSRRRGCDRQGDNVQASQ >ORUFI01G13450.1 pep chromosome:OR_W1943:1:10139803:10141240:1 gene:ORUFI01G13450 transcript:ORUFI01G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCPKFMQIELRSGLVLLPLTYTPSRVARDGGKKKRGKAAGAGTSRLRSAPAPMKAESVGSSIYPGVNAVEGVADAAQGGLGFPEVPPGFEKVKEAPAPATPAPVASPSTASAKKVWISLESHLNFVCPGAGEGARVAVTRCRRPALELEDGLHVAAEPAASPKLGVAFLPVEPGVSVVALTAGGAEDEDGSGWVQNGGNGGGGYGNAGRGGNDAGWLSD >ORUFI01G13460.1 pep chromosome:OR_W1943:1:10143147:10144028:1 gene:ORUFI01G13460 transcript:ORUFI01G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPCGWTTSAAAAVAEEEDVRRGPWTVEEDAVLAGYVAANGEGRWNELARAAGLRRTGKSCRLRWLNYLRPDVRRGDFTPQEQLLILELHFRWGNRWSRIAQHMPGRTDNEIKNYWRTRVQKHAKQLGCDVNSRQFKDVMRHLWMPRLVERIHAAAASSERAAPPPCAAAPASHSGMCHSPDPSTTTSSTAGSSVTHGEQFPSSTNHHLMTMASVTTAAADWSSEQCGSGSATSTSVGISYDMFEGSWSELLARAYDDDGADSSLLPDFQMADTGDNCWWSNLEDIWSQQPY >ORUFI01G13470.1 pep chromosome:OR_W1943:1:10150737:10154975:-1 gene:ORUFI01G13470 transcript:ORUFI01G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIEGGSGRGGEVHGGGRSRWRAPAKAHIWMGEVEVLVAVIVLMSSFCSGYEEGCDGSHLFQDPPADHTVHHPRQTRTPRMLCNRFCQRMEDDVHSTTSLQERAEKRKKFYQKSEEKIHAKELEQTQAKSKGEENNPATWKSVSEECAGYMREFLTDLAYCSNVEVVAREATA >ORUFI01G13470.2 pep chromosome:OR_W1943:1:10148424:10154975:-1 gene:ORUFI01G13470 transcript:ORUFI01G13470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIEGGSGRGGEVHGGGRSRWRAPAKAHIWMGEVEVLVAVIVLMSSFCSGYEEGCDGSHLFQDPPADHTVHHPRQTRTPRMLCNRFCQRMEDDVHSTTSLQERAEKRKKFYQKSEEKIHAKELEQTQAKSKQAF >ORUFI01G13470.3 pep chromosome:OR_W1943:1:10148424:10150711:-1 gene:ORUFI01G13470 transcript:ORUFI01G13470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFDVNEMLLSNLPYYADHRYRNKRIGGAPIPSTRSALPTLQRRARATMACSAQSAQGRGRERRRHALRRVLREGRRIGNGAEQAF >ORUFI01G13480.1 pep chromosome:OR_W1943:1:10157203:10160602:-1 gene:ORUFI01G13480 transcript:ORUFI01G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGDESSSRRARWVPRAARTVGRVRRAAGGGRHRGHWTGTEAAVVLVPHLRLMLPGRFAATVDVAERQELLCFRRRPLSILCCALCFLSRSDDAGGDADEAEEDYEEELHACVDSPLPPASVSSFSSHRRKRREREGGAWGNGIGEGLLRVVAKMANKRLVALVLPRVTGHMSRVERRRRTARADRGGTHVTAGTAACVSISTTSPARVSHGSARLDATTNRARVAHRSGGLVLTSSLRRYGGAGSVT >ORUFI01G13490.1 pep chromosome:OR_W1943:1:10170265:10170552:-1 gene:ORUFI01G13490 transcript:ORUFI01G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKDDRFCYNGNKYRKGKDAVAVMFEAIWFDDEVAFDFPMGICATKASHHASIWKPS >ORUFI01G13500.1 pep chromosome:OR_W1943:1:10174252:10176251:1 gene:ORUFI01G13500 transcript:ORUFI01G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKHATTLISSLCSRGAVCHARALFDEMPERDVVAWTAMLSGYASNGLRREALDVFRRMVAAGAAPNEYTLSSVLTACRGPCAPAMAMPLHAVAVRRGVDRMPYVVNALIDSYASLAEGVVDARRLFDALGSGRTAASWTSMIAGYARWGQERTGLRLFKTMLKDGVELSTFACSIALHACTLVIDLCLGQQLHLQCIKKALDVNLAVVNSLIDMYCTCARILDARSLFDGTPERNLITWNTMIAGYSQCDPLMALQLLLEMNDEPNCFTLTSITSACADLAALRCGKQVHGAVLRRSYSDDLQMGNALVDMYSKCGSITNAKNVFDRMGCKDKFSWTSMIAGYGMNGYGNEAVQLFSSMIHAGVHPDHVVFLSLISSCSHAGLVDEGWNFFRSMINEYNLQPNKEVYGSVVNLLARAGRLREALDLIDTMPFAPDEYVWGALLGASKMHNNVEMGRLAARKITEINPDDVKNYIMLASIYAAGSKWGEYAFTRRSLRGIGSRKEAGISWIEVMDKMYSFTAADSSSPQVCLADEVLHILSQHMDDVGSEFCHIIFKAT >ORUFI01G13510.1 pep chromosome:OR_W1943:1:10178582:10182408:-1 gene:ORUFI01G13510 transcript:ORUFI01G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPSSGHTNFYRFVRRWLANPLGHHQHHHLRRSTSTGISSSTYPRIIPRCPSSSSSAQDRDRLRDKTMILDVEGGILMSSSTFPYFMLVAMEAGGFLRGFIMLCVHLVLWCLAPLLPEEVKLKVMVMVCFFGLKEKKVARVARAALPKHFLEGVGMEGLEAVRGVKSVVGVSRVIPRVMVKPFLEDYLGVDVVVGREVKMVRGFYVGLLENMSDGRLELADLEGEEMIGFGSSSGYSGHDHHHLFSWCKEVYLVTPEEKRKWSPLPRDQYPKPLVFHDGRLAFRPTFQATLAMLTWLPFSLPLTIFRTLIFVTLPYPVSVAIGSVFGVRTRVINSPVGQAKADHPRNPKGHLYVCNHRTLLDPVYIAAMLNKKVSAVTYSVSRLSEWISPIPTIRLTRDRDEDRRRMEEALRRGDLVVCPEGTTCREPYLLRFSPLSLELVDEVYLVALVNWSDMFYGNSTGRSKCLDSFYYFMNPRPAYDVEFMEKVPTRMVVDGKTCESKHVANMVQGEIGRVLGFECTKFTRENKYLALAGNRGVVDANQ >ORUFI01G13520.1 pep chromosome:OR_W1943:1:10186130:10189293:1 gene:ORUFI01G13520 transcript:ORUFI01G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGWANFGPYSRNEIRVLWQFCGPKRRAPTSLAGPLALASASASLSSFSLPHGSRVRIAPPPRRRRNSGEILPLPALPSQGRRGGVPQRGREGGGNARREGGGGGGRRPPEAPRDPHAAAQEARHPLQA >ORUFI01G13530.1 pep chromosome:OR_W1943:1:10190206:10193176:-1 gene:ORUFI01G13530 transcript:ORUFI01G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGGDNGTDVNMISSQAKTPWNDLIAMKDDHHIPCCSSRSQDFAVKSGEDRTSDGEMKVGLLDKSMGEKEKKKRSKKPPRPPRPPSASPLDAADQKLISELSELASLKRARIERMKALKKMKNTKPASSIGNLVALIITIIFCLVILWQGVFSKYGAGIIFHGSPISSGRSHGSLFSIQFYKKNETATSPRSSSSAPNNTGITTRLEIHGEARGVTE >ORUFI01G13540.1 pep chromosome:OR_W1943:1:10195748:10198398:-1 gene:ORUFI01G13540 transcript:ORUFI01G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRLLLPVALTMTQKHGGGEKVWARPWRSSSRAGDASFVEAIVAQARVFDFRSSLVDLLTVSAARALLILYVDGGCTVSFGSAAIDTTVTSDAAAADEWVRRVRASAATTPRGGGGLLVGLDCEWKPCDHLWPAVAPTVAILQLCAGDSCLILQLLHVAGARRVPPLVGDLLADPSVRLVGIGIGENAAKLADGYGVRCAAPVDLEDVCDRRLGRLPGARRLGLKGYVREVLGLTMEKPMDVTRSDWERRHLDAAQVRYACSARGSSPTSRQRDRHACLQCTWVVI >ORUFI01G13550.1 pep chromosome:OR_W1943:1:10200467:10201072:-1 gene:ORUFI01G13550 transcript:ORUFI01G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTTTRHTVRFGSATIDTTVTSDVAAADEWARGVRAAARGGRGLIVGLDCEWKPNHVSWKTSKVAVLQLCAGERFCLVLQLFYANRVPPAVADLLGDPSVRLVGIGVGEDAAKLEADYGVWCAAPVDLEDACNRRLGLVGTGRRLGLKGYAREVLGMAMEKPRRVTMSNWEKRELDPAQVEYACIDAYVSYKLGERVLAN >ORUFI01G13560.1 pep chromosome:OR_W1943:1:10207085:10208786:1 gene:ORUFI01G13560 transcript:ORUFI01G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVLAPIIALVIGIGTLAFMAISPQVCHAAAGGSATVARSIFVSKKGSGADFTRIQDAINSVPFANRRWIRIHIAAGVYKEKVSIPANKSFILLEGEGRQQTSIEWADHAGGGGGDSGTADSPTFASYAADFMARDITFKNTYGRMAPAVAALVAGDRSAFYRCGFVGLQDTLSDLLGRHYYERCYVEGAVDFIFGEAQSIFHRCHISTAAAAAPGFITAQGRSSASDASGFVFTSCTVGGAAPAYLGRAWRAYARVVFYRTAMETLEMVESGCTGPGSNRTGRVPWEKTLSGEELAKLVDISYVSRDGWLAAQPR >ORUFI01G13570.1 pep chromosome:OR_W1943:1:10209298:10213719:-1 gene:ORUFI01G13570 transcript:ORUFI01G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQIFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSDGFQKLFFGQEEIAIPVHPTIEAACNAHPTADVFINFASFRSAAASSMSALKQPTIRVVAIIAEGVPESDTKQLISYARANNKVIIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGKDEYSLVEALKQGKVQKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALKDAGAVVPTSYEALETAIKETFEKLVEDGKISPVTEITPPPIPEDLKTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIIEQGYGVGDVISLLWFKRSLPRYCTQFIEMCIMLCADHGPCVSGAHNSIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRNLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVQLLQKYAHTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLSGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >ORUFI01G13580.1 pep chromosome:OR_W1943:1:10221939:10227141:1 gene:ORUFI01G13580 transcript:ORUFI01G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAESHTSMAENSSKNPLVLDECIVSASCPSPVQSILCDPGRKRKVPMLDQQSKGAFCAGQFSQTTPFVPNVCRDKFVSTFNLPSRRAVRHRLMFGSDNAPNTTSDSSPGIINLISGGPTLAADETFCGLPRPQPCVAAESRRKRKAAMIHKRGEKLCPAIYSPSSRSVWRRIIPNDNVVRQQCHTQLQQQNLSSASHQDPRQPFAGRHQSFAYIHHAFRLRGSVLMFAL >ORUFI01G13590.1 pep chromosome:OR_W1943:1:10235283:10248279:-1 gene:ORUFI01G13590 transcript:ORUFI01G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLLLALLCLAAFSAAAYASDEIHGCGGFVEASSGLAKSRKASDSKLDYSDITVELCTIDGLVKESTQCAPNGYYFIPVYDKGSFMVRVKGPKGWSWKPETVPVVIDQNGCNGNADINFQFTGFMISGKVVGAVGGKSCSKHGGPSGVKVELSTNSDELVASALTSSTGEYSFANIIPGRYKLRASHPNYEIETRGSSEVDLRFGNAVADDVFFLSGYNIYGSVVAQGNPILGVHLYLYSNDVTEVPCPQSISDAPREGALCHAVSGADGKFTFSSLPCGSYELLPYYKGENTVFDVSPPSLLVSVEHSHMTIPQKFQVTGFSVGGRVVDGYGAGVEGANVIVDGQLRAVTDNLGYYRLDQVTSKKYTIVAEKDHYKFNRLENFMILPNMASIDDITSVRYDVCGIVRTVTPNSKSMVTLTHGPENVKPQRKLVSENGRFCFEVLAGEYRLSALPVDTEGSSSLMFSPGFIDVNVNSPLLDIEFSQSQVNLHGKVLCKEQCNQNILLSLVRLAGGIEQEKKTVTLEQDNVNFAFKKIFPGKYRLEVKHSSSEAAAQDDWCWDQNAMDINVGSDDVTDIVFVQKGYWVELVSTHETKAYIQHPDSSKLDLLIKKGSQRICIETPGQHELHLINSCISFGSSPVVFDTKNPMPVHISAKKYLVRGELHVEMGSLQEIDLSKNIGVDVFKSDGSFIEKISATPVLGKSYQNDISAFEYSIWAEFGEDFIFVPRDDSTGRKNILFYPSSQQFSVAVNGCQYTVPSITAKTGLYLEGSVSPAISDVDIKILAAGKSKYASLKERDIAMETKTNSEGSFFAGPLYDDIGYTVEASKAGYHLKQTGPYTFSCQKLGQILVRIYGEQDAELLPSVLLSLSGEEGYRNNSISGSGGTFSFGNLFPGSFYLRPLLKEYKFTPSAVAIDLNSGESREVEFRATRVAYSAMGSVTLLTGQPKEGVFVEARSESRGYYEEATTDSFGRFRLRGLVPGSIYSVRVVAKDDHRFAAVERASPEYVSIDVGQDDISGIDFVVFERPESTILSGHVEGDDLDMLQPQLSVEIRSAADPSRIESVLPVPLSYYFEVQNLPKGKHLVQLRSGLPSHTHRFESEIVEVDLDKQPQIHVGPLKYKTEERHHKQELTPAPVFPLIVGVSVIALVISMPRLKDLYQSAVGMTTLGSAAAPIKKEPRKTIMRKRA >ORUFI01G13600.1 pep chromosome:OR_W1943:1:10268195:10273685:1 gene:ORUFI01G13600 transcript:ORUFI01G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRKAMWLYPKVVGFNPPERWGHSACFFEGVVYVFGGCCGGLHFSDVLTLNLETMAWSSLATTGARPGTRDSHGAALVGHRMMVFGGTNGSKKVNDLHVLDLRTKEWTKPPCKGTPPSPRESHTVTACGGCDRLVVFGGSGEGEGNYLNDVHVLDVATMTWSSPEVKGDVVPAPRDSHGAVAVGSRLVVYGGDCGDRYHGEVDVLDMDAMAWSRFAVKGASPGVRAGHAAVGVGSKVYVIGGVGDKQYYSDAWILDVANRSWTQLEICGQQPQGRFSHSAVVLNTDIAIYGGCGEDERPLNELLILQLGSEHPNGRYNISMCKVLSNHWSQERRKFLRTENQRDPNMSNGELGPRSREAEIEQRNPFLRGLENGHVKRRRTGDVRLKETESEQEEHSLSLSQHSSPSQSDQEQNGAQKLSASPNGSISALQPFVHLNTNGTLRAAGGVSPRTLKTDQFLRTIAPQQRHEVQFLAAEPKLHHRPPTPPLIGAEVHGTIDGAFDSGYLMTAVVNGQLFRGVLFAPGPGVTAPRPTLHHPILMSSAIPPQQQQQRPVLAHAIPVHARPVPQATGFVLPDCSNHARQAFPASAAAAAAKIIKSEPERGGSDLHDVVLTLGGPGAGK >ORUFI01G13610.1 pep chromosome:OR_W1943:1:10274785:10281136:-1 gene:ORUFI01G13610 transcript:ORUFI01G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSCVVAVPRALPLQPPRCPPRRRTLSSSRSLPAATLAARARGRHAAVVECDAVLKALSLVSPKGGDAPRPEGAPGEAHQAAAKGAKTAAAAGEEKDARSLYRRLSALGGAGEGSVSRVMNKWVREGREARAADLAKYVKELRKYKRHAHALELMEWMVNTKGMNMSYTNHAIRLDLIYKVRGIEAAEQYFAGLPDPGKNHKTYGALLNCYCSAKMEDKATDIYRKMDELGISSSTLPINNLMSLYVKIGQHRKVTSLFEEMKVKNVKPDNLTCCLLMSSYAALNKIDTVGEVLKEMEEKKVALGWSAYSTLASLYVNANMVEEAESALKKLESLIDVQAGRQPFDFLMSLYASVGNLSEVNRVWNLIKANFQKVTNTSYLGMLQALYKLNDDDRMKQIYEDWESNYENYDARLTNMMTRAHLRNGLTKEAELLWEKVKEKGAEFDSKTCELFLEHYMGKGDMTSALNWVENMTKLPRKKSKLDQEKISCFLKYFEEHKDVEGAERFLNCLRTSGCIDGKAYESLLRTYLAAGKTSRSIRQMIKEDKIEICYGIGKLLKRIADKGR >ORUFI01G13620.1 pep chromosome:OR_W1943:1:10280260:10281305:1 gene:ORUFI01G13620 transcript:ORUFI01G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRDGDGDASPGFIADSHLRLPAHPVPTSPPCRPRFLQRPARAAGAQTEVSCSCCGCDPTSASRRRGGVCRRRSSSNTTTPAAAAREDAKQHHGAKT >ORUFI01G13630.1 pep chromosome:OR_W1943:1:10283783:10284221:-1 gene:ORUFI01G13630 transcript:ORUFI01G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTLTFSRMFMAEKLNCIMSCCQVINNLLLDVSMSNEQPLSGADDILPILIYITIG >ORUFI01G13640.1 pep chromosome:OR_W1943:1:10286510:10291061:-1 gene:ORUFI01G13640 transcript:ORUFI01G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTPGLSAAARLVIRRFLTTGAEAAEAVAPHAARAKGKKDKRPLGRRLLELGDAAGEGSVSRVLDEWVREGREEAIAAADLAKCARDLHKVKRDAHALELMDWMVNTKGMSMTYARYALHLELLYSVYGIEAAEEYFSGIPSFTRDQNHRTYGALLNCYCSAKMEEKATNIYRRMDELGIPSSTKLMNNLMGLYLELGQHSKAANLFDEMKERNVQPDELTCCILMRSHAAHNKIDTVKETFYNMSLLDVPKQWSIFRTLGSIYMNAGMVEEAELAFMRAQEFLGFDHGRHPFYFLMRQFASIGSLRGVNRVWKDIKMTFSYNRTNFSYLLMLQCLYKLGDTDRMKEIYKEWKYRYENYDPRLTNMLTRAHLRNGMTNEAELFWEKVKERGGDFDFETCELFREHYLGKGDTTSALKWAEKMTKLPKKQGKQDQETCKFLKWFEEDKVVEGAKSTCNCSNCLRNADSKTCEPLLAPADLLPD >ORUFI01G13650.1 pep chromosome:OR_W1943:1:10295961:10297063:-1 gene:ORUFI01G13650 transcript:ORUFI01G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENELKDDGVRLQNRWKTGHWDMKELDASMNMLQRVGARKNNPCIQERDGPTHAMSETNGPSEAH >ORUFI01G13660.1 pep chromosome:OR_W1943:1:10297076:10301546:-1 gene:ORUFI01G13660 transcript:ORUFI01G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAATAALRRLPQSQAPRRQARGLQYASPERRPLDGARWALYARLSAHLPSGGMVEELGRWLRERRPLSEEQVLFCVRRFRKFKQNKHALQLMDWMEARGVNLELKHHALRLDLVSKLNGIHAAEEYFGSLPDIFRSKQTYSTLLNCYAEHRMAEKGLELYENMKAMNIVSDILVYNNLMCLYLKTDQPEKIPTTVVKMQESGIQPNKFSYFVLTESYIMMNDIESAEKVLKELQEVNSVPWSLYATLANGYNKLQQFDKAEFTLKKAEEVLDKHDVFSWHCLLSHYANSGNLSEVKRIWESLKSAFKKCTNRSYLVMLKALKKLDDFDTLQQIFQEWESSHEHYDMKIPNIIIQAYLDKGMVDKAEAMRQTTMAQDHSNYRTFCIFAEFYLEKSKMNEALQVWKDAKKMVKGQDWVPEKLVNRYLKHFEDSKDVDGMETFCECLKNLGRLDAEAYEALIRTYISVGRTNPSIPQRMEVDRVDIRPEMFESLKAISTEGVERWFSGKPFQLEVLEMAGDEIDVKQPTQQHFKH >ORUFI01G13670.1 pep chromosome:OR_W1943:1:10302052:10302745:1 gene:ORUFI01G13670 transcript:ORUFI01G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSSLPAGVRLYLPPCHVRVHARRVHATAARYGGEARCHQEHLRRAAEDADDAFHLAHIGFIVSRHAILSAKGRHFLVVASAWELTLALAAAASRRPFASLHLTGGGAISGCPLLSDFRWSLPEVEPYPANLFMADSSMSCGVQATAKKLDLEAPVVATTATSDNNGHSELQLCSQMWCGRRETRRHEFRRCSVCGAANYSSRCWTGMHKAQCMPMDLWLLIDGEA >ORUFI01G13680.1 pep chromosome:OR_W1943:1:10302334:10302729:-1 gene:ORUFI01G13680 transcript:ORUFI01G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHKSMGMHCALCIPVQHLAHARAVVGRAAHRAPSELMPTGLPPAAPHLGAELELAVAIVVAGGCCCYYWRLEVKFLGRRLHATGHARVGHEEVGRVGLHLREAPPEVGEKRAAADGAASSEVEGCEGAP >ORUFI01G13690.1 pep chromosome:OR_W1943:1:10305714:10318318:-1 gene:ORUFI01G13690 transcript:ORUFI01G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDASIESESGAIDQSGPESLRQPSGGGCVRSRLGGRPNGSNRPLHRRRARGSPADGGGNRRTRWLGTIAPTIASVVERAAEGERKRERSDLPFSATSAFNACSTSRSPVPTPILDAIAGHRSSYTATPILFLHRRGQERARDDISLSFFLFYAEVVPPDETSALPLSH >ORUFI01G13700.1 pep chromosome:OR_W1943:1:10318420:10321764:-1 gene:ORUFI01G13700 transcript:ORUFI01G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTNLPSHGAQAAEAEALAAAADLEQGLTRHLMEYHQSEASSDESARQRPRVGRVPPHVRNLDGGAEAYTPKFVSIGPIHHADATLRRHSHDLKVAYLHALIARRTPDPIDEVAVLAALIGYKAGVAAVEDRARRFYKEPVDEHLTAEAFVDLLVLDAAFLLEHMLNLATGYEDPLLHRTHWAPSQLHSDLIRFENQVPFFVVAELLALSPLHRDPELEACRSGRRDFLRSIGVHCLLRKDDEELKTLPPSDDIHHLLHLYSLSLTEARLRRPHAHAGLGATAWRALWKLPIVTLMPFAYLLCSGGADDGKGEEEDEAAAVKLPNIPSATDLQRVGIKFKRAPRKPDGGFLDVRLEDGDTLVIPMVNIEQFTAPQLQNLIALEQATPELPDDCSCYAFFMDNLVANPADVALLESEGILKSNLGSHKAVVTYFNKLCKGNKLEVEGNYLRSVFEALMERNRNPMYAWIRTLRKKYFSSPWGIIAMVVTLFVFASTVLQTYISIVQYYFANNGDY >ORUFI01G13710.1 pep chromosome:OR_W1943:1:10331770:10333476:1 gene:ORUFI01G13710 transcript:ORUFI01G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQNESPTPLPCRRRSSFPAGTPVPLSHGFDLHRHRRASTPGISFLYVAPWSSTATVQAEAEGSGLIQRADGRRCGSWPWIGSPSSTRRRGGTTVEEDGFAVAVVHVDLLLIPFLSSNMWIEGGGTSPPAANQGGLPQHFLMRGGSGSGGPIPVLLVEREEPTYSETRKEHEKIKPVVVVPLECHPGHYPQHVLNQRSSPAANTKGQG >ORUFI01G13720.1 pep chromosome:OR_W1943:1:10356949:10357416:1 gene:ORUFI01G13720 transcript:ORUFI01G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHQSQIKSFATAKRRSCLVLAAGAAAPAGWCGSPHSSSSSPSVEVDATATGAASVVVEVEDGTRRSAGAGASVVVSTAAAAASELHRSWSATVSGVLPTNLLMTSVERCILAVLGVCGCVLTLAAWASADLLLAGKEEDAGGCGRERRRQCWM >ORUFI01G13730.1 pep chromosome:OR_W1943:1:10368551:10376045:1 gene:ORUFI01G13730 transcript:ORUFI01G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRVGGAASEASGVGVVVGGGGGSSSSDLTELMKAQIAGHPRYPTLLSAYIECRKVGAPPEVASLLKEIGRERRAGGGGGGAGQIGVDPELDEFMEAYCRVLVRYKEELSRPFDEAASFLSSIQTQLSNLCSGATSPPATTATHSDEMVGSSDEDQCSGETDMLDIGQEQSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARSALLEWWNTHYRWPYPTEEDKLRLAARTGLDPKQINNWFINQRKRHWKPSDGMRFALMEGVAGGSSGTTLYFDTGTIGP >ORUFI01G13730.2 pep chromosome:OR_W1943:1:10368551:10369605:1 gene:ORUFI01G13730 transcript:ORUFI01G13730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRVGGAASEASGVGVVVGGGGGSSSSDLTELMKAQIAGHPRYPTLLSAYIECRKVGAPPEVASLLKEIGRERRAGGGGGGAGQIGVDPELDEFMEAYCRVLVRYKEELSRPFDEAASFLSSIQTQLSNLCSGATSPPATTATHSGNQHIHYICMHTWQ >ORUFI01G13740.1 pep chromosome:OR_W1943:1:10399364:10399865:-1 gene:ORUFI01G13740 transcript:ORUFI01G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIERDADRVEVEHREVGEGRGDPPEKSGREVDPVKHEARRSSGQQRGRRCLTSRSTGYSSFSSWVSGVNSTSSECPTSCDRMASCHHRKSTDMRMALSSGRISRAFSPGSSRSVARRR >ORUFI01G13750.1 pep chromosome:OR_W1943:1:10403314:10403688:-1 gene:ORUFI01G13750 transcript:ORUFI01G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLTNVGLSTPAAAASSLVRPVAGAGRVVFPRVGRGGFAAVRASGPATPPDISDKMSESIDKAKEACAEDTASGECAAAWDEVEELSAAASHARDKLKETSDPLEAYCKDNPETDECRTYDN >ORUFI01G13760.1 pep chromosome:OR_W1943:1:10409090:10410043:1 gene:ORUFI01G13760 transcript:ORUFI01G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRANGSSCSLASLMAAIFFLLVVSSRGAHGGRIAIYWGQNGNEGTLADTCATGNYAFVNLAFLCSFGSGQAPQLNLAGHCDAYSGACANLTADIARCQSMGVKVLLSIGGGAGGYSLASKQDVSHLARYLWESFLGGRPSAPGGRRPLGDAVLDGVDFDIEGGGGDPRYYGDLAAYLKAYSGKGAAGKEVLLSAAPQCPFPDQWVGKALDTGLFDYVWVQFYNNPPCQYAAGSGGGAANLLDAWRQWTSGVEARYIFLGLPASPGAAGSGFIPVGSLESQVLPALKASSKYGGVMLWSRYYDDQDGYSSAIKNAV >ORUFI01G13770.1 pep chromosome:OR_W1943:1:10412524:10421924:1 gene:ORUFI01G13770 transcript:ORUFI01G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVEEQMVVKAIREECPWESLPKRLQSTLHTKEEWHRRFTCLASTLFHGFLILLLASTQLVLYPYHLADYICRVSRISPFRYYCDILFEAMKNGLFSIALRISLYLQLIISDGNNLVITLKHGEQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKELLPTQPVDFPIDPWWGVCLVNFTIEEFKKLSEEETATIDKICKEEANSYVLFDPKVIDGLYKRGLVYFDVPVYPDDRFKVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSANATVAELAATLLADLYQLQAAASFACRLGWAVKLMDADSVLEDAPTFPSNILSDDEEGSNASINSEKSGQQLLSVDAGPRKISGTAHVALVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIAELCSDLASLEGKKFEGVLEEFANHAFSLRCFLECLQSGGVSTNVNIDKAGEAKLTTSSLQDNVTAHLTKINIEDTDEMPQQKHSGLNSSDGKMLTSSATLLESGEGMEGNDVEGSGTTELDGSTDINVVKTKRKYRVDILRCESLASLPPATLERLFLRDYDIIVSMVPLPSSSVLPGSSGPIHFGPPSYSSMTPWMKLVLYTAGDCGPLSAVFMKGQRIRLLPKPLAGCEKALIWSWDSSVVGGLGGKFEGNLVKGNLLLHCLNSMLKQSAVMVQPLSVNDLNASGNLVTVDIPLPLKSDDQSIASVIAQTNLPQEQVLNLASVLKDLSSKFELSTLGYLRLLRLHRLTESDESHLENGSYQWVPLSLEFGIPLFSPKLCERICERVVESCILQKEDLAEHYDVMQTVRRRLRELCSEYQATGPVAKLFNKRGSSKDLPRVLINTISGRWNPVNDPSAPSEHERLKLAGRQRCQTEVVGFDGTFIRSYALPPEHDEAGTKSLSEEQSSAHDGKPDTEDADSKDVLLPGVNLIFDGAELHPFDISACLQARQPLWLIAEASAASSTMI >ORUFI01G13770.2 pep chromosome:OR_W1943:1:10412524:10421763:1 gene:ORUFI01G13770 transcript:ORUFI01G13770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVEEQMVVKAIREECPWESLPKRLQSTLHTKEEWHRRFTCLASTLFHGFLILLLASTQLVLYPYHLADYICRVSRISPFRYYCDILFEAMKNGLFSIALRISLYLQLIISDGNNLVITLKHGEQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKELLPTQPVDFPIDPWWGVCLVNFTIEEFKKLSEEETATIDKICKEEANSYVLFDPKVIDGLYKRGLVYFDVPVYPDDRFKVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSANATVAELAATLLADLYQLQAAASFACRLGWAVKLMDADSVLEDAPTFPSNILSDDEEGSNASINSEKSGQQLLSVDAGPRKISGTAHVALVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIAELCSDLASLEGKKFEGVLEEFANHAFSLRCFLECLQSGGVSTNVNIDKAGEAKLTTSSLQDNVTAHLTKINIEDTDEMPQQKHSGLNSSDGKMLTSSATLLESGEGMEGNDVEGSGTTELDGSTDINVVKTKRKYRVDILRCESLASLPPATLERLFLRDYDIIVSMVPLPSSSVLPGSSGPIHFGPPSYSSMTPWMKLVLYTAGDCGPLSAVFMKGQRIRLLPKPLAGCEKALIWSWDSSVVGGLGGKFEGNLVKGNLLLHCLNSMLKQSAVMVQPLSVNDLNASGNLVTVDIPLPLKSDDQSIASVIAQTNLPQEQVLNLASVLKDLSSKFELSTLGYLRLLRLHRLTESDESHLENGSYQWVPLSLEFGIPLFSPKLCERICERVVESCILQKEDLAEHYDVMQTVRRRLRELCSEYQATGPVAKLFNKRGSSKDLPRVLINTISGRWNPVNDPSAPSEHERLKLAGRQRCQTEVVGFDGTFIRSYALPPEHDEAGTKSLSEEQSSAHDGKPDTEDADSKDVLLPGVNLIFDGAELHPFDISACLQARQPLWLIAEASAASSTMI >ORUFI01G13780.1 pep chromosome:OR_W1943:1:10422529:10424078:-1 gene:ORUFI01G13780 transcript:ORUFI01G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALRLATRPSPRRKTPWGAAPSPAPRGELGTTGDTSPRAPTHVALLPRPLYTNPRQPPPSRLHRSPPHPPPPPLRRTHVAARLVERVRRRMSSSRLDTWTLKEEVASMDKRWLVDLGHPLVNRVADSFIRAAGVGAARAVKSAGKEAFQWGLAAGVYSGLTYGLREARGCHDWKNSAVAGAIAGVAVALTGDTGNADHMVHFAITGAALSSAASLLSGIY >ORUFI01G13790.1 pep chromosome:OR_W1943:1:10432551:10435138:-1 gene:ORUFI01G13790 transcript:ORUFI01G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDEVCCSCAMRQCRICHEEEEEGCTAMESPCGCSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFEPGYTAPPKKAQPAHVAVTIRESLEVPRPSYEPEDTPLIGEQDYAECAGAAGRSATWCRSVAVTFTAVLLLRHLVAVVTVGAAHQYAFSLLTIYLLRASGILLPFYVVMRLISTVQKGQRQYQLQLLQEQRRNAARMHRLHGQEEQNQHAILVR >ORUFI01G13800.1 pep chromosome:OR_W1943:1:10445384:10447181:1 gene:ORUFI01G13800 transcript:ORUFI01G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPEDGASAAAAAATADPAAAAAEAPGRRIVVAVDESEESTHALTWCLANVVSSSGGDTLVLLHARRPRPVYAAMDSSGYMMTSDVMASMDKYAAAVSAAAVGKAKHICAAFPHVTVETMVESGDPRDVICDATEKMAADLLVMGTHGYGLIQRAFLGSVSNHCAQNCKCPVLIVKRPK >ORUFI01G13800.2 pep chromosome:OR_W1943:1:10445603:10447181:1 gene:ORUFI01G13800 transcript:ORUFI01G13800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPEDGASAAAAAATADPAAAAAEAPGRRIVVAVDESEESTHALTWCLANVVSSSGGDTLVLLHARRPRPVYAAMDSSGYMMTSDVMASMDKYAAAVSAAAVGKAKHICAAFPHVTVETMVESGDPRDVICDATEKMAADLLVMGTHGYGLIQRAFLGSVSNHCAQNCKCPVLIVKRPK >ORUFI01G13810.1 pep chromosome:OR_W1943:1:10447316:10447611:-1 gene:ORUFI01G13810 transcript:ORUFI01G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALELTGEVVQDLVCLFWDAVETGDPLHGGGGKGRGRMYLKKSFLKGSRRNFANRDI >ORUFI01G13820.1 pep chromosome:OR_W1943:1:10450133:10451242:-1 gene:ORUFI01G13820 transcript:ORUFI01G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNQRYSRKHNKKSGEAESEE >ORUFI01G13830.1 pep chromosome:OR_W1943:1:10453921:10466422:-1 gene:ORUFI01G13830 transcript:ORUFI01G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGFGGAGRHDEEAPAMRPAPQQRYRTVESHDRAVVQMAPMEFGSSADASASAGPRYIKPGTNLRTDARMHMASSNGRSSNGSQGDSKLELFGFDSLVNILGLKRMVGEQAQASASTRDGENAGIAIGHPKVVFHLSDRGQWLNVGGEEPVVSYYFSPATGTGTRRRWRLEASAVGLGREETETKLDTMMGVFVPCLQNILGIIYYIRFTWIVGMGGVWQSLVLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAEFFQESVTVVTNTFVNGTAAGNATTISTPNLHDLQVYGIIVTILLCFIVFGGVKIINKVAPAFLIPVLFSILCIYIGVFIAPRPNASKWITGLSITTLKDNWSSDYQRTNNAGVPDPNGALLGLYFPAVTGIMAGSNRSASLKDTQRSIPIGTLHATISTTMMYLLSVFLFGALSTREGLLTDRLLCAAVAWPSPAVVYAGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKAYEGSEPHVATLFTSFICISCVIIGNLDVITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKLHHWSLSLIGALLCIVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSMGANQVHPKNWYPIPLIFCRPWGKLPEDVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHESAEDAKTACRQLSAYIDYRRCEGVAEIIVAPSTSIGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCITANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQADVIVVTVKSWEADPDRSGGSKKDDPEVYRSAQSRIRTYISQLKEAAERERRPLMEGGKQVVVDEQKVEKFLYTMLKLNATILRHSRMAVVVLVSLPPPPLNHLAYCYMEYMDLLVENIPRILIAEEIH >ORUFI01G13830.2 pep chromosome:OR_W1943:1:10454330:10466422:-1 gene:ORUFI01G13830 transcript:ORUFI01G13830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGFGGAGRHDEEAPAMRPAPQQRYRTVESHDRAVVQMAPMEFGSSADASASAGPRYIKPGTNLRTDARMHMASSNGRSSNGSQGDSKLELFGFDSLVNILGLKRMVGEQAQASASTRDGENAGIAIGHPKETETKLDTMMGVFVPCLQNILGIIYYIRFTWIVGMGGVWQSLVLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAEFFQESVTVVTNTFVNGTAAGNATTISTPNLHDLQVYGIIVTILLCFIVFGGVKIINKVAPAFLIPVLFSILCIYIGVFIAPRPNASKWITGLSITTLKDNWSSDYQRTNNAGVPDPNGALLGLYFPAVTGIMAGSNRSASLKDTQRSIPIGTLHATISTTMMYLLSVFLFGALSTREGLLTDRLLCAAVAWPSPAVVYAGIILSTLGAALQSLTGAPRLLAAIANDDILPAYEGSEPHVATLFTSFICISCVIIGNLDVITPTITMFFLLCYAVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSMGANQVHPKNWYPIPLIFCRPWGKLPEDVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHESAEDAKTACRQLSAYIDYRRCEGVAEIIVAPSTSIGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCITANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQADVIVVTVKSWEADPDRSGGSKKDDPEVYRSAQSRIRTYISQLKEAAERERRPLMEGGKQVVVDEQKVEKFLYTMLKLNATILRHSRMAVVVLVSLPPPPLNHLAYCYMEYMDLLVENIPRILIVRGYRRDVVTLFT >ORUFI01G13830.3 pep chromosome:OR_W1943:1:10454332:10466422:-1 gene:ORUFI01G13830 transcript:ORUFI01G13830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGFGGAGRHDEEAPAMRPAPQQRYRTVESHDRAVVQMAPMEFGSSADASASAGPRYIKPGTNLRTDARMHMASSNGRSSNGSQGDSKLELFGFDSLVNILGLKRMVGEQAQASASTRDGENAGIAIGHPKVVFHLSDRGQWLNVGGEEPVVSYYFSPATGTGTRRRWRLEASAVGLGREETETKLDTMMGVFVPCLQNILGIIYYIRFTWIVGMGGVWQSLVLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAEFFQESVTVVTNTFVNGTAAGNATTISTPNLHDLQVYGIIVTILLCFIVFGGVKIINKVAPAFLIPVLFSILCIYIGVFIAPRPNASKWITGLSITTLKDNWSSDYQRTNNAGVPDPNGALLGLYFPAVTGIMAGSNRSASLKDTQRSIPIGTLHATISTTMMYLLSVFLFGALSTREGLLTDRLLCAAVAWPSPAVVYAGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKAYEGSEPHVATLFTSFICISCVIIGNLDVITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKLHHWSLSLIGALLCIVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSMGANQVHPKNWYPIPLIFCRPWGKLPEDVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHESAEDAKTACRQLSAYIDYRRCEGVAEIIVAPSTSIGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCITANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQADVIVVTVKSWEADPDRSGGSKKDDPEVYRSAQSRIRTYISQLKEAAERERRPLMEGGKQVVVDEQKVEKFLYTMLKLNATILRHSRMAVVVLVSLPPPPLNHLAYCYMEYMDLLVENIPRILIVRGYRRDVVTLFT >ORUFI01G13830.4 pep chromosome:OR_W1943:1:10454332:10466422:-1 gene:ORUFI01G13830 transcript:ORUFI01G13830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGFGGAGRHDEEAPAMRPAPQQRYRTVESHDRAVVQMAPMEFGSSADASASAGPRYIKPGTNLRTDARMHMASSNGRSSNGSQGDSKLELFGFDSLVNILGLKRMVGEQAQASASTRDGENAGIAIGHPKVVFHLSDRGQWLNVGGEEPVVSYYFSPATGTGTRRRWRLEASAVGLGREETETKLDTMMGVFVPCLQNILGIIYYIRFTWIVGMGGVWQSLVLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAEFFQESVTVVTNTFVNGTAAGNATTISTPNLHDLQWITGLSITTLKDNWSSDYQRTNNAGVPDPNGALLGLYFPAVTGIMAGSNRSASLKDTQRSIPIGTLHATISTTMMYLLSVFLFGALSTREGLLTDRLLCAAVAWPSPAVVYAGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKAYEGSEPHVATLFTSFICISCVIIGNLDVITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKLHHWSLSLIGALLCIVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSMGANQVHPKNWYPIPLIFCRPWGKLPEDVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHESAEDAKTACRQLSAYIDYRRCEGVAEIIVAPSTSIGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCITANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQADVIVVTVKSWEADPDRSGGSKKDDPEVYRSAQSRIRTYISQLKEAAERERRPLMEGGKQVVVDEQKVEKFLYTMLKLNATILRHSRMAVVVLVSLPPPPLNHLAYCYMEYMDLLVENIPRILIVRGYRRDVVTLFT >ORUFI01G13830.5 pep chromosome:OR_W1943:1:10454330:10466422:-1 gene:ORUFI01G13830 transcript:ORUFI01G13830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGFGGAGRHDEEAPAMRPAPQQRYRTVESHDRAVVQMAPMEFGSSADASASAGPRYIKPGTNLRTDARMHMASSNGRSSNGSQGDSKLELFGFDSLVNILGLKRMVGEQAQASASTRDGENAGIAIGHPKETETKLDTMMGVFVPCLQNILGIIYYIRFTWIVGMGGVWQSLVLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAEFFQESVTVVTNTFVNGTAAGNATTISTPNLHDLQVYGIIVTILLCFIVFGGVKIINKVAPAFLIPVLFSILCIYIGVFIAPRPNASSLYFPAVTGIMAGSNRSASLKDTQRSIPIGTLHATISTTMMYLLSVFLFGALSTREGLLTDRLLCAAVAWPSPAVVYAGIILSTLGAALQSLTGAPRLLAAIANDDILPAYEGSEPHVATLFTSFICISCVIIGNLDVITPTITMFFLLCYAVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSMGANQVHPKNWYPIPLIFCRPWGKLPEDVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHESAEDAKTACRQLSAYIDYRRCEGVAEIIVAPSTSIGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCITANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQADVIVVTVKSWEADPDRSGGSKKDDPEVYRSAQSRIRTYISQLKEAAERERRPLMEGGKQVVVDEQKVEKFLYTMLKLNATILRHSRMAVVVLVSLPPPPLNHLAYCYMEYMDLLVENIPRILIVRGYRRDVVTLFT >ORUFI01G13830.6 pep chromosome:OR_W1943:1:10454332:10466422:-1 gene:ORUFI01G13830 transcript:ORUFI01G13830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGFGGAGRHDEEAPAMRPAPQQRYRTVESHDRAVVQMAPMEFGSSADASASAGPRYIKPGTNLRTDARMHMASSNGRSSNGSQGDSKLELFGFDSLVNILGLKRGQWLNVGGEEPVVSYYFSPATGTGTRRRWRLEASAVGLGREETETKLDTMMGVFVPCLQNILGIIYYIRFTWIVGMGGVWQSLVLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAEFFQESVTVVTNTFVNGTAAGNATTISTPNLHDLQVYGIIVTILLCFIVFGGVKIINKVAPAFLIPVLFSILCIYIGVFIAPRPNASKWITGLSITTLKDNWSSDYQRTNNAGVPDPNGALLGLYFPAVTGIMAGSNRSASLKDTQRSIPIGTLHATISTTMMYLLSVFLFGALSTREGLLTDRLLCAAVAWPSPAVVYAGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKAYEGSEPHVATLFTSFICISCVIIGNLDVITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKLHHWSLSLIGALLCIVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSMGANQVHPKNWYPIPLIFCRPWGKLPEDVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHESAEDAKTACRQLSAYIDYRRCEGVAEIIVAPSTSIGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCITANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQADVIVVTVKSWEADPDRSGGSKKDDPEVYRSAQSRIRTYISQLKEAAERERRPLMEGGKQVVVDEQKVEKFLYTMLKLNATILRHSRMAVVVLVSLPPPPLNHLAYCYMEYMDLLVENIPRILIVRGYRRDVVTLFT >ORUFI01G13830.7 pep chromosome:OR_W1943:1:10454330:10466422:-1 gene:ORUFI01G13830 transcript:ORUFI01G13830.7 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGFGGAGRHDEEAPAMRPAPQQRYRTVESHDRAVVQMAPMEFGSSADASASAGPRYIKPGTNLRTDARMHMASSNGRSSNGSQGDSKLELFGFDSLVNILGLKRIVGMGGVWQSLVLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAEFFQESVTVVTNTFVNGTAAGNATTISTPNLHDLQVYGIIVTILLCFIVFGGVKIINKVAPAFLIPVLFSILCIYIGVFIAPRPNASKWITGLSITTLKDNWSSDYQRTNNAGVPDPNGALLGLYFPAVTGIMAGSNRSASLKDTQRSIPIGTLHATISTTMMYLLSVFLFGALSTREGLLTDRLLCAAVAWPSPAVVYAGIILSTLGAALQSLTGAPRLLAAIANDDILPAYEGSEPHVATLFTSFICISCVIIGNLDVITPTITMFFLLCYAVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSMGANQVHPKNWYPIPLIFCRPWGKLPEDVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHESAEDAKTACRQLSAYIDYRRCEGVAEIIVAPSTSIGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCITANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQADVIVVTVKSWEADPDRSGGSKKDDPEVYRSAQSRIRTYISQLKEAAERERRPLMEGGKQVVVDEQKVEKFLYTMLKLNATILRHSRMAVVVLVSLPPPPLNHLAYCYMEYMDLLVENIPRILIVRGYRRDVVTLFT >ORUFI01G13840.1 pep chromosome:OR_W1943:1:10454348:10455568:1 gene:ORUFI01G13840 transcript:ORUFI01G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDIFWKFTPRPTKYERNRVPILRMNLICCKKTIREICHIVLKFLQGLFNFHAKNCTSH >ORUFI01G13850.1 pep chromosome:OR_W1943:1:10472389:10478341:1 gene:ORUFI01G13850 transcript:ORUFI01G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVASLGLRSSGSYGSLQQSNGQSPAPAPSPPLAARKAGKMSFGGAGAGGRGLLFARICKLTSRRRRMLLLLLVAAAVLFCFLFSSLVSKDEDAPPGIETMLGISDQVRSFVNPVWTSSGRPITQGDSLNGNGLNTASQTEKQSDSDSSHKKLQGLSWSFPPSIVLEHHPCENFSFFPPPIDRKRTGPRPCPVCYVPVEQALALMPGAPSASPVLRSLNYLSGDNLISKESNHGSLFGGYPSLEERDKSYDIKDSMTVHCGFARGKIPGVNTGFDIDRADLSEMWQCQGIVVASAIFGNYDIMQQPKNISVFSKDTVCFFMFLDEETEAAIKNTTTIDNSKRIGLWRVVVVRNLPYSDARRNGKVPKLLLHRLFPNVRYSIWIDGKLKLVRDPYQLLERFLWRKNVSFAISRHYRRFDVFEEAEANKVGGKYDNASIDYQIEFYKREGLTHYSSAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIRKRVNWTADMFLDCERRDFVVQAYHRELWEQILRSPPPPQPRLVRQQPRKMLPDNTAKEPGKASGSKRVSAKRTRDKKSGSKRAHRSKVTGGKEFIQL >ORUFI01G13860.1 pep chromosome:OR_W1943:1:10483677:10484171:1 gene:ORUFI01G13860 transcript:ORUFI01G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLALRCVCGGGAARRPLVIGPDGRPVCVEEAATGAAELMIEAPGHVVARAADAAKERRVRAMAADEPLRAGEVYLLVPASRAGARLGDREVEAIGRLIVSGGGRKKGRSKQPGGKRIFPEVVVDMSAAEEDEMGTQVQAQAQAHCRRSTQWRPALDTIYEA >ORUFI01G13870.1 pep chromosome:OR_W1943:1:10494678:10495345:-1 gene:ORUFI01G13870 transcript:ORUFI01G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLRFNDEPRGDPSLSPVTHTPKSIAQQQTSVLCRFRGGSRWGLTVCQTECTSFEAQDSSRRGFAADPCRLATFSVVRLFQEDCFRSSVNPPFSEVALLLSVFILGYVMGFIL >ORUFI01G13880.1 pep chromosome:OR_W1943:1:10495914:10496444:1 gene:ORUFI01G13880 transcript:ORUFI01G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPPPSELHPPGSPFERQPTGESTSAKTTKTAQSKASKGRAATGSNDDNDAGDGPRVATVAAGRVRAAAAAEDWIRATAVATGRVRTAAIASGRNHVVAAAADLIRAAAAAADWIRAAAVASGRIRVSIAVASRNLVASTVAGRNRVAAAISNFHRHTNEKKTGRTRPNETSPTT >ORUFI01G13890.1 pep chromosome:OR_W1943:1:10504987:10509181:1 gene:ORUFI01G13890 transcript:ORUFI01G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGEDNRAATQREEDPTFYEAAIKDGNRLQGSSQNKYGGISPKKPLINKDHERAYFDSADWALGKCYLFLQQGASNSTKGTTEPLKPKLQVAETVRVWQGNHRGGIEFDPIINWDVNMDIVSM >ORUFI01G13900.1 pep chromosome:OR_W1943:1:10510470:10511381:-1 gene:ORUFI01G13900 transcript:ORUFI01G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTLRPFTIWRWQGENELANVGQQQRRGKEAGAVRGWVGDERQHGLGDDDGLGGTGITMRLGVRSSATTIFPSSRGGVLAGNRCCLALAHALSQRVD >ORUFI01G13910.1 pep chromosome:OR_W1943:1:10511380:10513840:1 gene:ORUFI01G13910 transcript:ORUFI01G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKNGHRIKERQEEVTGGTKGRATTGSNDAEDGPRAATVVVGRFRAAAATEDWIRAAAVASGRNHVVAAAADLIRAAAAAADWIRAAAVASGRIRVAIAVVSRNLVASTVAVRNRVAAVISNFHRHTNEKKTGRTRPNETSPTT >ORUFI01G13920.1 pep chromosome:OR_W1943:1:10542618:10548735:1 gene:ORUFI01G13920 transcript:ORUFI01G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLRRGRWTKEEDEKLARYIRENGEGAWRSMPKNAGLLRCGKSCRLRWINYLRADLKRGNISPQEEDIILNLHATLGNRWSLIAGHLPGRTDNEIKNYWNSHLSRKGYEFLRGGGGGAVVDVDLSKLPGGGKRRGGRTGRSSSGKEKTRTKEKDKAPAPEAAHNDDDGGGGGGGIDNVSAASHSHREEQAQASASGLTSDGLEEGPLGLSEEMVSGPVGPVSPKPERVAGGGPGGAATEAVGQDMGDKSMDWDLVGLDDGFANDDMWGSLSWDYGELVGPDGVHQGEVLSDLFFLGNL >ORUFI01G13930.1 pep chromosome:OR_W1943:1:10556646:10557447:-1 gene:ORUFI01G13930 transcript:ORUFI01G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRESGGAMAARRFSFSWADEVEREEAAEQQQQQQEEDDDEENQPPPPPRRCGETGEQAKANPFGAARPREVVLAEKGVDWRARDRELDDASRRGSAIRSRSRVHGSKRHARDAPVAARRHEDSTPASRRRMISLPPVSYGSAWGGKRKCAGQDEPSRQDRPVAEHCRRVFGQLNIGEGGEFSRRSSTESRGSVCTDRTEASNAAAAETETSVGQRRMSRRRSRKNVRKMESTKSKKQQTLQL >ORUFI01G13940.1 pep chromosome:OR_W1943:1:10559128:10559376:-1 gene:ORUFI01G13940 transcript:ORUFI01G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTTTDPSTSTPPPPGAFRRCSGRVRGAGISCAGCFGDAESKRRRRVAVYKAYAVEGKVKASLRRGIRWFKRKCSAIFRA >ORUFI01G13950.1 pep chromosome:OR_W1943:1:10560939:10561969:-1 gene:ORUFI01G13950 transcript:ORUFI01G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWSASTTTFAAIAIAVLLAAGHALPAMVVHCAAARGGAATTTAAGAVRRLLVRVVVSPPTGDSYLGRVNTNPGPSPGPVWKHQT >ORUFI01G13960.1 pep chromosome:OR_W1943:1:10571098:10571835:1 gene:ORUFI01G13960 transcript:ORUFI01G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASYLQPRRGTNGRRIMEHGAGEEMVAFYEAWVGREERIVADLTDALLPARRRRDVLAPLVDAAVGHVSEYYERKARLADRDVVAALDPRWLNPLERTFLWAWGWKPALVFRFADGAVAGGSSHQQQRRALERVRAATAEAEREVDREVAVVQESLAGPRVLAALRRQHPRNGEADEAVAAVGRSLRVLLAAADALRERTVRDVVGTLAPDQAGAFLAAMLRFHLGVHRAGRNWGSGNGGRRGL >ORUFI01G13970.1 pep chromosome:OR_W1943:1:10600944:10601297:1 gene:ORUFI01G13970 transcript:ORUFI01G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLAVPLLLTLCLLAAHLLTVACARHHPPSPPETEGFDVVDTSPTNDGPSPAGGHGNHPSAAVVIPAGGASPGGVSSTESRARGGFISHDMSLCHCRGGGVPVTDAAQHGGRHP >ORUFI01G13980.1 pep chromosome:OR_W1943:1:10603258:10612658:1 gene:ORUFI01G13980 transcript:ORUFI01G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALGPPPLHALAATRQAPRCPPAAAAPPTHGYRRGAAVLSGRRGRHTLSSIQLMHAVRGGNLQVEPNMLHSPKPLMSTRRGCVASPTIANVDDCTEGEGALGYTMTQICDKHNDPQICDKFIEFFMYKKPQTKDWRKVLVFREEWERYRPYFYKHCQARIDMENDSSMKQKLVVLARKVKKIDNEIEKHMELFTQLRENPTDINAIVARRRKDFTGGFFQHLNFLVNAYNGLDERDAIARLGAKCLSAIHAYDCTLEQLDLDSAQSKFDDILNSSSLDDACDKIKSLAKTKELDSSLILLINRAWAAAKDSTTMKNESASGDCFKGHAAKDKRKTERTVGGRGGEAEVGEMNVKDIMCHIYTTTKESLKSISPPEMKLLKYLLNIEDPEERFGALATAFSPEVEHEAKDEDALYTTPNELHKWTKMMLDSYHLNKEETDFMDARKMSDPVIIQRLTLLKETIEEEYMKKYIHPEEQESEDDEDSEE >ORUFI01G13980.2 pep chromosome:OR_W1943:1:10603258:10612658:1 gene:ORUFI01G13980 transcript:ORUFI01G13980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALGPPPLHALAATRQAPRCPPAAAAPPTHGYRRGAAVLSGRRGRHTLSSIQLMHAVRGGNLQVEPNMLHSPKPLMSTRRGCVASPTIANVDDCTEGEGALGYTMTQICDKHNDPQICDKFIEFFMYKKPQTKDWRKVLVFREEWERYRPYFYKHCQARIDMENDSSMKQKLVVLARKVKKIDNEIEKHMELFTQLRENPTDINAIVARRRKDFTGGFFQHLNFLVNAYNGLDERDAIARLGAKCLSAIHAYDCTLEQLDLDSAQSKFDDILNSSSLDDACDKIKSLAKTKELDSSLILLINRAWAAAKDSTTMKNEVKDIMCHIYTTTKESLKSISPPEMKLLKYLLNIEDPEERFGALATAFSPEVEHEAKDEDALYTTPNELHKWTKMMLDSYHLNKEETDFMDARKMSDPVIIQRLTLLKETIEEEYMKKYIHPEEQESEDDEDSEE >ORUFI01G13990.1 pep chromosome:OR_W1943:1:10618002:10622034:-1 gene:ORUFI01G13990 transcript:ORUFI01G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPGVASRAADRFYCPPPRRHLLHHHHNQASKAAAAAVERQPRSAAAAAKEEEEETNLECFIASTAVRVPAHRLPRTSSSSRERGAAGAPPYYELADLWEAFAEWSAYGAGVPLLLNGTDGVVQYYVPFLSAIQLFAARPPSSTSGRLGEDSDGESAQDMSSESDHEHLRCRCLVNSISADQDGFSSDDSESGNQELYPVFQYMEHDAPYGRQPLADMISLLANRFPDLRTYKSCDLLPSSWISVAWYPIYRIPTGPTLKDLDACFLTFHSLSTPAEGTLSGHPETNVFHDSKIYDVPGKVTLPLIGLASHKFNGSMWTSNQEHEQQLTKSLLKAADDWLCQRRVNHPDYRFFLSH >ORUFI01G14000.1 pep chromosome:OR_W1943:1:10641023:10645011:-1 gene:ORUFI01G14000 transcript:ORUFI01G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDATTPRYDEFKRIYHLFLFHAHPFWLQLLYFLFISLLGFLMLKALPMKTSMVPRPMDLDLIFTSVSATTVSSMVAVEMESFSNSQLLLITLLMLLGGEVFTSILGLYFTNAKYSSKMIATLPDDDDHGGSGKPPPPTTSPSSTLVELELAPPMDVVVVNPTTTATTHDEVELGLGRRNKRGCTCTTTHTSSSSSASKTTTTRLLMFVVMGYHAVVHVAGYTAIVVYLSAVGGAGAVVAGKGISAHTFAIFTVVSTFANCGFVPTNEGMVSFRSFPGLLLLVMPHVLLGNTLFPVFLRLAIAALERVTGWPELGELLIRRRRGGGEGYHHLLPSSRTRFLALTVAVLVVAQLALFCAMEWGSDGLRGLTAGQKLVGALFMAVNSRHSGEMVLDLSTVSSAVVVLYVVMMYLPPYTTFVPVQDKHQQTGAQSGQEGSSSSSIWQKLLMSPLSCLAIFIVVICITERRQIADDPINYSVLNIVVEVISAYGNVGFSTGYSCARQVRPDGSCRDLWVGFSGKWSKQGKLTLMAVMFYGRLKKFSLHGGQAWKIE >ORUFI01G14010.1 pep chromosome:OR_W1943:1:10676160:10680883:-1 gene:ORUFI01G14010 transcript:ORUFI01G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDAAAPSGGGGGGGGGEDEAVRPLLPAEAEEELDATYAPYARRDAYGTMGRGPLRAARRVELYLRAALLVPLRFVAGMLLLVAYYLVCRVCTLFVDEVAEEGRPRLRGWRRVAVVRAGQGLSRAMLFVFGFYWIRETHRSYPNAEDVHQGQSEELELPGAIVSNHVSYVDILYHMSVSFPSFVAKESVSRLPLVGLISKCLGCIFVQRESKASDSKGVSGAVTERVQEAYQDKNSSMMLLFPEGTTTNGDYLLPFRTGAFLARVPVQPVILRYPYTMFSPAWDSMDGARHVFLLLCQFVNYIEVVRLPVYCPSEQEKEDPKLYANNVRKLIATEGNLIFSNLGLAEKRVYHAALNGNSRAIHQKDD >ORUFI01G14020.1 pep chromosome:OR_W1943:1:10682413:10683049:-1 gene:ORUFI01G14020 transcript:ORUFI01G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAQLACSVTPGSLALPLTVELATCTPRGSSIQIWWLLIVRGLTPQPWCRRRRNRTIYRLGACGHRICPVRSLEKGGVKFLVEKMEEEEGSCSCAATKMATSQAVSKRANEEEGGEGSATPLALLHPRIPAPAPVRTPPARAQPGWGAKLNSDVSRGPGCGRRFARVDPGPNDHAGNQTASSCTRTCEPHSMQVTKHA >ORUFI01G14030.1 pep chromosome:OR_W1943:1:10685882:10686088:-1 gene:ORUFI01G14030 transcript:ORUFI01G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEQRPSASAYTRDRNAGNEMASPVAMSTSVRCVGSKDDDDHSSKNTSAQKEAGCQEEARTVERRCRA >ORUFI01G14040.1 pep chromosome:OR_W1943:1:10689789:10690815:-1 gene:ORUFI01G14040 transcript:ORUFI01G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFLKQAKQYAATRPAYPPELFDFIASKTARHDLAWDVGTGSGQAIPPLAKLYKDVVGTDTSAQQLSYAPRLPNVRYVHTPPDLPLDGIHAAVAPPGSVDLVTVAQAFHWLDLPRFYAQVRSVLRAPQPQQAAGVLAAWCYTEPCVDAAVDDAFWRLYNGSQPYWAPNRRLVDDRYSGVDFPFDPVDGEAHTGPFEFSTERRMDLDGYLAYITSWSAYQTAKEKGVELLDEATVRGFADAWGGDRVEVKTVRYPIFLRIGKVRTE >ORUFI01G14050.1 pep chromosome:OR_W1943:1:10699791:10700526:1 gene:ORUFI01G14050 transcript:ORUFI01G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKLRETGCRATVCSDMEAASALLLERWREFDFVVAADTFGSLKLKRMELLCTEKGLKLVGCILFDKTIETKIDFR >ORUFI01G14060.1 pep chromosome:OR_W1943:1:10702094:10706066:1 gene:ORUFI01G14060 transcript:ORUFI01G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEAAQEQPAAQNQPRKPRFVWTLQQNAKFSEAYKEELSRSGKVVPTKLLKRLKSMNETGLTLQNISSRLQKYRLSLKRQTSHVDQSTSTDSTTASSIQTKQTPALLQQIIHPRALHTQLAPDVHQVITTNLPQQHIQHYQPNQILSQLYNMHHQQVERLSEPHSNEPIYKEYNNLAQRFTQVNYHGHSSIHDHHYANIIKKLLPPNVMQPCDLINALPQQPAAATACCMQSNTQTVSSALLVKGMQNHPPDHHIQAFGVLDMGTAQYMGQQLNMYTAEGNWRGTTPQNMHPISQVHRHVSEPPPSYFSNNAKANGRSQEDLDVVLQQKLVINDSLVRIIPF >ORUFI01G14070.1 pep chromosome:OR_W1943:1:10710620:10714612:1 gene:ORUFI01G14070 transcript:ORUFI01G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSTLAGFLAKVYTSVQVPSCSSCDPKKLPATASEFDEMKVILCRIRAVLADADRREIEDLHVNMWLYELRQVAYDLEDIIDELSYKTVQPEAETNTHEHADLKRKFEVLETVNSPVHDHEESLDTDMLDKISKVRNRLKSINSFRESLSLREGDGRIRVSTTSNMRASSSLASETGTFGRDGEKNKLLDSLLNNDNGTDNNLQVFSIVAMGGMGKTTLAKLIYNDEQVKDHFQIRAWAWVSEVYDVTRTTKAIIESITREACGLTELEALQNKLQHIVSGKRFLIVLDDIWIINLLQWDELRQPLDHGGRGSCIVTTTRNQNVAQIMSRLPQVNLDGLNLAASWALFCHCIRQGCHSLKLSGTLETIGRGIVEKCSGVPLTIRVIGGLLSSETNEETWNEILTSDIWNLTEGKNWVLDVLKVSYVHLPAEIKPCFLYCALFPRGHMFDKENIVRMWVAHGYLQATHSDRMESLGHKYISELVARSFFQQQHAGGLGYYFTMHDLIHDLAKSLVIRDQNQEQELQDLPSIISPRVDIIGSKYDRHFSAFLWAKALETPLIVRSSRGRNQESLRSLLLCLEGRNDDFLQVNFTGNSIMLHFERDFFTKPHMRFLRVLELGSCRLSELPHSVGNLKQLRYLGLSCTDVVRLPQAVCSLHNLQTLDLRCCRFLVELPKDIGQLQNLRHLDYNVLGRNDSTIPVCKFKSLPEGIGKLTKLQTLPVFIVHFTPMTAGVAELKDLNNLHGPLSISPLEHINWERTCEARVADLIKEVHVTRLCLRWNSHIRYGDNSKPQEKSLEEFDREVLDSLEPHNKIQWIEIEKYMGCSYPKWVGHPSFNRLETVIISDFSSDSLPPLGQLPHLRHLEVREMRHVRTVGSEFYGDGAALQRFPALQTLLFDEMVAWNEWQRAKGQQDFPCLQELAISNCLSLNSLSLYNMVALKRLTVKGCQDLEAIKGLEECWVSINHSQINCTDTSGYSEIVDGNGSECPNSTLPARLEVIQIYDCMSLPNSSLQQAIGITRVFRQRSNSDMVYPDQKEVDESIVLII >ORUFI01G14080.1 pep chromosome:OR_W1943:1:10729209:10729721:-1 gene:ORUFI01G14080 transcript:ORUFI01G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRTYKGGVKAYWKHRGYYRLDAAAAQRRAPLPTAELGGGARRGGAPAQEPRRARRHRGWRVRRGLGRRVLRALSPRRWLVRLRDAYVSAMLRLASSPAVGFGAGAPYCTAGQESFARPRQLKEYDEKVLVEIYRSILARGGVPVAVPAGGPAATATAAATTIRLSTAA >ORUFI01G14090.1 pep chromosome:OR_W1943:1:10740838:10741495:1 gene:ORUFI01G14090 transcript:ORUFI01G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTLSELKENEVDSEFDKQPAPLAWLEGRGGRQRVEIWECHLLDVDEAKVQCFDSLLHNAYVVTSYSSSTVLSSSNSLTVSYPSSLQRDGSNDSRRTSAGGVLGKGFFIRHCGIGVEESQLKFELAVACASLLEETLKLALATRRSSAMTSVEEEASGSPQGGAH >ORUFI01G14100.1 pep chromosome:OR_W1943:1:10754573:10755446:-1 gene:ORUFI01G14100 transcript:ORUFI01G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAGGGAEASRGGGERSGGGGEASARKGRAGAGASSGWVASGGGVRRRRHVLSYPEPNHFRHDPSWHAAICHCARLHRPPTSTSPALHVATAPSPMHGGGFSALPGAAPGRDRRESPAQAQVAEQAAFRGRDHRAPCESLDEARKAHARHVKLGVDRSLRHARPLLAACALAADWPGSMAYAASIFAALDDPEAFDYNTLTRDYSASPAAAAPAAALSLACHRRLRVTRRGRRCSVPCLPPMRERKSEREERGRVGGK >ORUFI01G14110.1 pep chromosome:OR_W1943:1:10764588:10764839:-1 gene:ORUFI01G14110 transcript:ORUFI01G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGNWDLCQAAADTVLRGDSLHALSAVPAAFTDRDMAGLYGNVGAAAGSSSSSPENDNSSASAPRRKRPRNNVAGGVGQQQQ >ORUFI01G14120.1 pep chromosome:OR_W1943:1:10765041:10765585:-1 gene:ORUFI01G14120 transcript:ORUFI01G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRRRLAAEGRWRKATLEELEKDRCASAADEAMAKIACLRRLTWRSTQMQSCN >ORUFI01G14130.1 pep chromosome:OR_W1943:1:10769010:10769697:-1 gene:ORUFI01G14130 transcript:ORUFI01G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPARSLLGIAAMLADYFPRELDLATVVAFLFADLTNFGGPITSSSTTLSLMPSDLVSEGERRWKTLLTLGKASIGSLAIGDGIIGREGEGGWRLWGGVVEEGREEDGDYGGKVVKEGREEENGDNRNGTRAEKRR >ORUFI01G14140.1 pep chromosome:OR_W1943:1:10772973:10796589:-1 gene:ORUFI01G14140 transcript:ORUFI01G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGWILVPPREMRRGAGRPEAIKGSGGPLFGFNPSLRGIPWGLVYSHTALISSNRIIRARICGRHGNHNKPWWPGAGRWRRPPLRQIRPEGRRQDEWPAGGGMGGRPSNFWAVDFRRPNCHCNPTAPELASGSSGGGGRRAPAWPSGGGCGGRWRLVERQRLRRAAAAGRAAVGGRVAAAVEGSGGGRQALVWLSGGDCGGRRQAGPRVAASRRLAADSICDVNLSQSQNKITRISITIGLRIINHSSNNWNNMNNTRQNTWVKEDLLAMDLSSYVKKVKEAATGEGARMVKEATTGNLGESEPGGSRSGGPQPPGDRIWRPPPRGDHRRPPPILRDEEAAAGAQGRRRSSERGGAVARGWPTAGGEALVVLAAGRREEAPAEVEV >ORUFI01G14150.1 pep chromosome:OR_W1943:1:10781995:10786169:1 gene:ORUFI01G14150 transcript:ORUFI01G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESETPRITELHVRMDCNGCEHKIRKTLRAIDGVSEVYVDAASQKVTVVGIADPERIVKAIRKTKRVPTIFSHTDPAAPPPPPAEGEAAPPPADAPPPEEAPAAEPAPSEAAPPPPAEADQAAAPPATDATVIHMVHDYPYTHDHHHGHGHHLFGRDHWPASHHPAGGMVNYGGGAPYYAAHSYSHRASPYVSEYGYVGSPAHHEGRFYSSHDYYYPAAAGGRGKGDGSQITSMFSDENPNACTIS >ORUFI01G14160.1 pep chromosome:OR_W1943:1:10790991:10795597:1 gene:ORUFI01G14160 transcript:ORUFI01G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATMATAVGAAVVLYFVLSRRLAQEDGGXXGGGGGGGKRRRVRAARRPAQPPATWIEAVGTLAETLRFTYSETLGKWPIGDLAFGIKYLMRRQGNLHVASVYAGNNCIELKGPEIMEELIVLRRLIDLCFLFSKKPFPVFLELAGFSPEDVLIEEPKAGILKPAHTILRDECTKSFLVLIRGTHSMKDTLTAATGAVVPFHHSLLDEGGVSKLVLGYAHCGMVAAARWIARSITPCLCQAVSQCPDYQIRVVGHSLGGGTAALLTYILREHQELSSTTCVAFAPASCMTWELAESGKHFVRTIVNGADLVPTVSTSSIDDLRSEVTASSWLNDLRDQIQQTRFLNVVYRSATALGTRLQSFSGARDRVAGAGALLRPVSSKTQVVMKQAQNVAQAVARSRSAFSSWSCMGARRRGVGVVAASAKEEITVETHVTSTTNSESYVVEQRGTKTMEELQYTADSVSVHEETEEEALLSEHESSREHAEEEITEGEMWFQYEKDLDRQAEVEAQTRQEEAAAAKEIMEEESAVLKNVEDRQSFSSDSLERQQFYPPGRIMHMVAMPPADSCPDDPVAADECSVGIYETPRDLYSKIRLSNTMINDHYMPMYKKTMEILIEKFANNDENFCTDSTVE >ORUFI01G14170.1 pep chromosome:OR_W1943:1:10798335:10809851:-1 gene:ORUFI01G14170 transcript:ORUFI01G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRSAAREGEEAEEEEVEEYGGGYVRMPQEPEGEAAAAGAGSFLRLPESAGAFDELPRARIVGVSRPDAGDITPMLLSYTVEVQYKQFRWLLYKKASQVLYLHFALKRRAFLEEFHEKQEQVKEWLQNLGIGEHIPVVHDDDEADDVHVPSQHDEHSVKNRNVPSSAVLPVIRPALGRQQSVSDRAKVAMQEYLNHFLGNMEIVNSREVWAVLKPGFLALLQDPFDPKLLDIVIFDVSPHMDRNGEGQSTLAREIKEHNPLHFAFEVSSGGRTIKLRTRSSAKVKDWVSAINTARRPPEGWSHPHRFGSFAPPRGLTEDGSVVQWFLDGQAAFNAIASSIEEAKSEIFITDWWLCPELYLRRPFHHHESSRLDILLESRAKQGVQYLFVCNRFGSIKCLTGSCLHEMIIDKCMKFNFADIGCMHFKIYILLYKEVSLALKINSMYSKQRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDTPEHKVVDVPPSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDIARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGKIKESNEEVSKQTHVEDIKGQKLSSLKAPASCQDIPLLLPHEPDHQASNNGELGLNGLDNNHGHSDHPNKTHWKQPIPNRKAKQDTSLQDLQMKGFVDNLGTPDVSSVIGHYDTSKQNVHHMDNEWWETQERGDQVDYVLDIGEVGPRATCCCQVVRSVGPWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKRCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDVVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSIMDGRHWEAGKFSLSLRLSLWAEHLGLHPGEVSQIMDPVDDLTYNNIWMGTAKANTKIYQNVFSCVPNDHIHSRSQFRQGFAHRKEKIGHTTIDLGVAVEITETHKDGDLAGTDPMEKLQAVRGHLVSFPLEFMCQEDLRPFFGESEYYTSPQVFH >ORUFI01G14170.2 pep chromosome:OR_W1943:1:10798335:10809851:-1 gene:ORUFI01G14170 transcript:ORUFI01G14170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRSAAREGEEAEEEEVEEYGGGYVRMPQEPEGEAAAAGAGSFLRLPESAGAFDELPRARIVGVSRPDAGDITPMLLSYTVEVQYKQFRWLLYKKASQVLYLHFALKRRAFLEEFHEKQEQVKEWLQNLGIGEHIPVVHDDDEADDVHVPSQHDEHSVKNRNVPSSAVLPVIRPALGRQQSVSDRAKVAMQEYLNHFLGNMEIVNSREVWAVLKPGFLALLQDPFDPKLLDIVIFDVSPHMDRNGEGQSTLAREIKEHNPLHFAFEIFITDWWLCPELYLRRPFHHHESSRLDILLESRAKQGVQYLFVCNRFGSIKCLTGSCLHEMIIDKCMKFNFADIGCMHFKIYILLYKEVSLALKINSMYSKQRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDTPEHKVVDVPPSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDIARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGKIKESNEEVSKQTHVEDIKGQKLSSLKAPASCQDIPLLLPHEPDHQASNNGELGLNGLDNNHGHSDHPNKTHWKQPIPNRKAKQDTSLQDLQMKGFVDNLGTPDVSSVIGHYDTSKQNVHHMDNEWWETQERGDQVDYVLDIGEVGPRATCCCQVVRSVGPWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKRCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDVVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSIMDGRHWEAGKFSLSLRLSLWAEHLGLHPGEVSQIMDPVDDLTYNNIWMGTAKANTKIYQNVFSCVPNDHIHSRSQFRQGFAHRKEKIGHTTIDLGVAVEITETHKDGDLAGTDPMEKLQAVRGHLVSFPLEFMCQEDLRPFFGESEYYTSPQVFH >ORUFI01G14170.3 pep chromosome:OR_W1943:1:10798335:10809851:-1 gene:ORUFI01G14170 transcript:ORUFI01G14170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRSAAREGEEAEEEEVEEYGGGYVRMPQEPEGEAAAAGAGSFLRLPESAGAFDELPRARIVGVSRPDAGDITPMLLSYTVEVQYKQVKEWLQNLGIGEHIPVVHDDDEADDVHVPSQHDEHSVKNRNVPSSAVLPVIRPALGRQQSVSDRAKVAMQEYLNHFLGNMEIVNSREVWAVLKPGFLALLQDPFDPKLLDIVIFDVSPHMDRNGEGQSTLAREIKEHNPLHFAFEVSSGGRTIKLRTRSSAKVKDWVSAINTARRPPEGWSHPHRFGSFAPPRGLTEDGSVVQWFLDGQAAFNAIASSIEEAKSEIFITDWWLCPELYLRRPFHHHESSRLDILLESRAKQGVQIYILLYKEVSLALKINSMYSKQRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDTPEHKVVDVPPSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDIARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGKIKESNEEVSKQTHVEDIKGQKLSSLKAPASCQDIPLLLPHEPDHQASNNGELGLNGLDNNHGHSDHPNKTHWKQPIPNRKAKQDTSLQDLQMKGFVDNLGTPDVSSVIGHYDTSKQNVHHMDNEWWETQERGDQVDYVLDIGEVGPRATCCCQVVRSVGPWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKRCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDVVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSIMDGRHWEAGKFSLSLRLSLWAEHLGLHPGEVSQIMDPVDDLTYNNIWMGTAKANTKIYQNVFSCVPNDHIHSRSQFRQGFAHRKEKIGHTTIDLGVAVEITETHKDGDLAGTDPMEKLQAVRGHLVSFPLEFMCQEDLRPFFGESEYYTSPQVFH >ORUFI01G14170.4 pep chromosome:OR_W1943:1:10798335:10809851:-1 gene:ORUFI01G14170 transcript:ORUFI01G14170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRSAAREGEEAEEEEVEEYGGGYVRMPQEPEGEAAAAGAGSFLRLPESAGAFDELPRARIVGVSRPDAGDITPMLLSYTVEVQYKQVKEWLQNLGIGEHIPVVHDDDEADDVHVPSQHDEHSVKNRNVPSSAVLPVIRPALGRQQSVSDRAKVAMQEYLNHFLGNMEIVNSREVWAVLKPGFLALLQDPFDPKLLDIVIFDVSPHMDRNGEGQSTLAREIKEHNPLHFAFEIFITDWWLCPELYLRRPFHHHESSRLDILLESRAKQGVQYLFVCNRFGSIKCLTGSCLHEMIIDKCMKFNFADIGCMHFKIYILLYKEVSLALKINSMYSKQRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDTPEHKVVDVPPSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDIARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGKIKESNEEVSKQTHVEDIKGQKLSSLKAPASCQDIPLLLPHEPDHQASNNGELGLNGLDNNHGHSDHPNKTHWKQPIPNRKAKQDTSLQDLQMKGFVDNLGTPDVSSVIGHYDTSKQNVHHMDNEWWETQERGDQVDYVLDIGEVGPRATCCCQVVRSVGPWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKRCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDVVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSIMDGRHWEAGKFSLSLRLSLWAEHLGLHPGEVSQIMDPVDDLTYNNIWMGTAKANTKIYQNVFSCVPNDHIHSRSQFRQGFAHRKEKIGHTTIDLGVAVEITETHKDGDLAGTDPMEKLQAVRGHLVSFPLEFMCQEDLRPFFGESEYYTSPQVFH >ORUFI01G14170.5 pep chromosome:OR_W1943:1:10798333:10809851:-1 gene:ORUFI01G14170 transcript:ORUFI01G14170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRSAAREGEEAEEEEVEEYGGGYVRMPQEPEGEAAAAGAGSFLRLPESAGAFDELPRARIVGVSRPDAGDITPMLLSYTVEVQYKQVKEWLQNLGIGEHIPVVHDDDEADDVHVPSQHDEHSVKNRNVPSSAVLPVIRPALGRQQSVSDRAKVAMQEYLNHFLGNMEIVNSREVWAVLKPGFLALLQDPFDPKLLDIVIFDVSPHMDRNGEGQSTLAREIKEHNPLHFAFEIFITDWWLCPELYLRRPFHHHESSRLDILLESRAKQGVQIYILLYKEVSLALKINSMYSKQRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDTPEHKVVDVPPSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDIARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGKIKESNEEVSKQTHVEDIKGQKLSSLKAPASCQDIPLLLPHEPDHQASNNGELGLNGLDNNHGHSDHPNKTHWKQPIPNRKAKQDTSLQDLQMKGFVDNLGTPDVSSVIGHYDTSKQNVHHMDNEWWETQERGDQVDYVLDIGEVGPRATCCCQVVRSVGPWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKRCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDVVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSIMDGRHWEAGKFSLSLRLSLWAEHLGLHPGEVSQIMDPVDDLTYNNIWMGTAKANTKIYQNVFSCVPNDHIHSRSQFRQGFAHRKEKIGHTTIDLGVAVEITETHKDGDLAGTDPMEKLQAVRGHLVSFPLEFMCQEDLRPFFGESEYYTSPQVFH >ORUFI01G14180.1 pep chromosome:OR_W1943:1:10821861:10822208:1 gene:ORUFI01G14180 transcript:ORUFI01G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTETDCGRRCTSKHWNHHGSVWRLGRARRHRDGKGEMEVGDGSEGGGDKRRMDDPIIVNHYRACNSYRFHRQPSSSSTDRLRRCCPILADRHLPVDELESSPATADAGDLGKRD >ORUFI01G14190.1 pep chromosome:OR_W1943:1:10829372:10834129:1 gene:ORUFI01G14190 transcript:ORUFI01G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVCACAWPTAPTLVALFATGYEEGVAEAPHDVDPMTNGHVVFQRVENNCSLRYFTENEIRQITRGYSILLGKGSFGKVYKGMLDGRCPVAVKRYIHGTRKEEFAKEVIVHSQINHKNVVRLLGCCTEENALMIVMEFICNGNLNDILHCSNTNGRVPFSLGKRLDIAIEVAEVLWCMHSMYNPVLHGDIKPANILVDENLSPKLSDFGIARLLCANGAQHTNNIIGSIGYVDPAFCMNGILTPKSDVYSFGVVLLEIITRKKAVDGTITLAQRFTEAVEQGKKVMHLFDEDINNTKNMNFLEDIGKLAVKCLRREVEVRPEMVEVATSLRMIRKALEEEEGNLIQQNISAPSNSIPSKNVKSSAQQFGNLKIFKQEEIKLMTKNYSMKFREEFCERLYNGVIGTTHAVIVKQVRTSSESDRMMFLKTMSILSQKYHKNIANVAGFHLGDSISECVYESCCDLSQGNDGHVCFCNRNLYDIICTREKLPLHLRLSIAVQCAEGLVHIHSLLAENPDSHSTGLLGNFRSINIFLDKNFVPKVFNSNLSTFLGLSVMQKHTASVDRPNDQRSQIYYLDGRDISGQLFNPKSDVYSFGAVLLELITWKTVRYMSSGRVHMLTKDFLDTYRIDHSAAISFGKKVYDEQASGDNKPNQHVAPPLTKKFVKTPPTIVSIIPLNILEKITSNFSNDALIGEGPDARVFFGELSDGQKSAIKKLDPNEKIVVQVLTISRMLKHDNIVQILGYFIEGENRVLAYEYAPKGSLHDILHEGVRGAQPGTPLSWEQRVKIALSAAKGLEFLHEKAVPPVIHTNIRSNNIFIFGNDVAKIGDLGVSKQLYPESDNDYYNTRLYPLRSFGYDAIAPEYAMKDFHA >ORUFI01G14200.1 pep chromosome:OR_W1943:1:10837176:10838581:1 gene:ORUFI01G14200 transcript:ORUFI01G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMVVGSVASAPVGRWGAASPRLPLPTVKLVSESSVLKVEERSVVEQGAEGHFGGEASRYPFSRWRLGLAALILKGVSKPFQYSNVMNKVMSFELTESEIFTFYLISQFNIWWDNR >ORUFI01G14210.1 pep chromosome:OR_W1943:1:10846563:10858905:1 gene:ORUFI01G14210 transcript:ORUFI01G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFAGKEDEQRQRLASRKKASEAAGNHLLLSNSSTGLRMDCQENNLKSFLQTNGHVVLQRVDNNYSLRYFTKNEVWHITNGYSIMLGKGAFGEVYKGILDDGCPVAVKRYIHGNLKEEFAKEVIVHSQINHKNVVRLLGCCTEENALMIVMEFICNGNLDNVLHCSNTKGCVPFPLYKRLDIAIEVAEALWCMHSMYSPVLHGDVKPANILLDEKHSPKISDFGIARLLCANGAQHTKNIIGSIGYVDPAFCENGILTPKSDVYSFGVVLLEIITRKKAVDGTITLAQSFTDAIEKGKKVMNLFDEEINDKQNMNFLEDIGKLAVKCLRRDVKVRPEMVEVATSLRMIRKDLEGEQGNLTQQHTSTPNNSTPSKNEGSAGRQFGNLNIFKQEEIKHMTRNYSMTFREEFHERLYNGVLGMVHAVIIVKQVSTSSKTDREVFLKTMGILCQKYHKNVANVAGFHLGEYISECVYESCCELSQVYDEEGKCFLHEAIAIGVECLKLDVQMRPEMSDVLSRLRIISAAQSIRSKLMGPQAKDCGDNGPSQYIAPTPVNNDVKIPSPPTSASTISLDILKKITRNFSNNSLIGEGSHAKVFFGVLKDGKNSAVKKLNPNEETIVQVSTISKMLKHDNVVQIHEYFIEGENRVLVYEYAPKGSLHDILHGREGVTGAQARPPLSWVQRVKIAITAAKGLEFLHEKAVPPVIHTNIKSSNILLFGNDVAKIGDLGVSKQLHVEDYDYSYTRVVPQIFRYEAPELRGQYSVKSDVYTFGVVLLELLTGRKVFDHTLPRGQMSLVKWATPRLSKDKVKQCVDPKLGRAFPLKAVARMAAVAALCIQFEAEFRPSMSIVVRALSMLESSTSSKQPSIGEAAGAYNLETGQQILHHWMLDRPDRMQYSTVTALAAWPLQDHRY >ORUFI01G14210.2 pep chromosome:OR_W1943:1:10846563:10858775:1 gene:ORUFI01G14210 transcript:ORUFI01G14210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFAGKEDEQRQRLASRKKASEAAGNHLLLSNSSTGLRMDCQENNLKSFLQTNGHVVLQRVDNNYSLRYFTKNEVWHITNGYSIMLGKGAFGEVYKGILDDGCPVAVKRYIHGNLKEEFAKEVIVHSQINHKNVVRLLGCCTEENALMIVMEFICNGNLDNVLHCSNTKGCVPFPLYKRLDIAIEVAEALWCMHSMYSPVLHGDVKPANILLDEKHSPKISDFGIARLLCANGAQHTKNIIGSIGYVDPAFCENGILTPKSDVYSFGVVLLEIITRKKAVDGTITLAQSFTDAIEKGKKVMNLFDEEINDKQNMNFLEDIGKLAVKCLRRDVKVRPEMVEVATSLRMIRKDLEGEQGNLTQQHTSTPNNSTPSKNEGSAGRQFGNLNIFKQEEIKHMTRNYSMTFREEFHERLYNGVLGMVHAVIIVKQVSTSSKTDREVFLKTMGILCQKYHKNVANVAGFHLGEYISECVNNGHISFSNRNLYEIICSTEKLPLHCLEGLVHIHSFLAENPESRGTSLFGNFRSANIFLDKNFMPKVFNANLSTFLGLCAVQQCTASVDCIHDQRSQKYYLDPKDVSDHLFNPKSDVYSFGVVLLELITWKTAKYKSGGQAHMLTTDFLDTYRIDHSATDFFVKKVYDEEGKCFLHEAIAIGVECLKLDVQMRPEMSDVLSRLRIISAAQSIRSKLMGPQAKDCGDNGPSQYIAPTPVNNDVKIPSPPTSASTISLDILKKITRNFSNNSLIGEGSHAKVFFGVLKDGKNSAVKKLNPNEETIVQVSTISKMLKHDNVVQIHEYFIEGENRVLVYEYAPKGSLHDILHGREGVTGAQARPPLSWVQRVKIAITAAKGLEFLHEKAVPPVIHTNIKSSNILLFGNDVAKIGDLGVSKQLHVEDYDYSYTRVVPQIFRYEAPEYATQLRGQYSVKSDVYTFGVVLLELLTGRKVFDHTLPRGQMSLVKWATPRLSKDKVKQCVDPKLGRAFPLKAVARMAAVAALCIQFEAEFRPSMSIVVRALSMLESSTSSKQPSIGEAAGA >ORUFI01G14210.3 pep chromosome:OR_W1943:1:10846563:10858775:1 gene:ORUFI01G14210 transcript:ORUFI01G14210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFAGKEDEQRQRLASRKKASEAAGNHLLLSNSSTGLRMDCQENNLKSFLQTNGHVVLQRVDNNYSLRYFTKNEVWHITNGYSIMLGKGAFGEVYKGILDDGCPVAVKRYIHGNLKEEFAKEVIVHSQINHKNVVRLLGCCTEENALMIVMEFICNGNLDNVLHCSNTKGCVPFPLYKRLDIAIEVAEALWCMHSMYSPVLHGDVKPANILLDEKHSPKISDFGIARLLCANGAQHTKNIIGSIGYVDPAFCENGILTPKSDVYSFGVVLLEIITRKKAVDGTITLAQSFTDAIEKGKKVMNLFDEEINDKQNMNFLEDIGKLAVKCLRRDVKVRPEMVEVATSLRMIRKDLEGEQGNLTQQHTSTPNNSTPSKNEGSAGRQFGNLNIFKQEEIKHMTRNYSMTFREEFHERLYNGVLGMVHAVIIVKQVSTSSKTDREVFLKTMGILCQKYHKNVANVAGFHLGEYISECVNNGHISFSNRNLYEIICSTEKLPLHCLEGLVHIHSFLAENPESRGTSLFGNFRSANIFLDKNFMPKVFNANLSTFLGLCAVQQCTASVDCIHDQRSQKYYLDPKDVSDHLFNPKSDVYSFGVVLLELITWKTAKYKSGGQAHMLTTDFLDTYRIDHSATDFFVKKVYDEEGKCFLHEAIAIGVECLKLDVQMRPEMSDVLSRLRIISAAQSIRSKLMGPQAKDCGDNGPSQYIAPTPVNNDVKIPSPPTSASTISLDILKKITRNFSNNSLIGEGSHAKVFFGVLKDGKNSAVKKLNPNEETIVQVSTISKMLKHDNVVQIHEYFIEGENRVLVYEYAPKGSLHDILHGREGVTGAQARPPLSWVQRVKIAITAAKGLEFLHEKAVPPVIHTNIKSSNILLFGNDVAKIGDLGVSKQLHVEDYDYSYTRVVPQIFRYEAPELRGQYSVKSDVYTFGVVLLELLTGRKVFDHTLPRGQMSLVKWATPRLSKDKVKQCVDPKLGRAFPLKAVARMAAVAALCIQFEAEFRPSMSIVVRALSMLESSTSSKQPSIGEAAGA >ORUFI01G14220.1 pep chromosome:OR_W1943:1:10859749:10864612:1 gene:ORUFI01G14220 transcript:ORUFI01G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEHKNPGAQEPPLPCGGEVPRHESFHKHLPIPAAGVGGGQVDNPSSYQIAGRVLLAVSGAFSGVLLALVVLHLCNRGRRGGGHSGDSRTTRRRERRLLRSLAIGAGDDDRDGGAAPSPRGLDPAVLRALPVVVVAAAGAAPVDCAVCLAEFEAGDKARALPRCGHRFHVECIDAWFRENSTCPLCRADVEAPYDADGAQPEVRIDIAGDAPSVAAKGSAPAMGRLPSGTDLEKTRRAERRRATAAGDASLRRIKGGDDERRLGYAHADRRREHRVAASYAGRLEDARAVQHHDLYAGEVLEEIPRAAMTMRRTGGVGCRNAKGADADATAAGEECGEVGGEAHEDGAREEDAAVSFGIGSLGPVAMSDRGTSSSVSGDTDADSVPTADAAAPLLAPHGRALTGCLVVVNVALVLLVYLYFWRVFSRKRAAAAASARSDDDDEASSSASAPPPAAAAVSVRTRDDVLASLPVFVVRSSGGEKAEAEAECAVCIAELRDGDECRALPRCGHRFHASCVDGWLRLHTTCPLCRASVVALAAAPERKGGVADTTAAAEDVDARV >ORUFI01G14230.1 pep chromosome:OR_W1943:1:10867197:10873381:1 gene:ORUFI01G14230 transcript:ORUFI01G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREARQEEEEEEERDAPAVPPGENDEERDRNLSSRVVSLLFGGDISAQTFEKWVSLVRKRSGAFRPSGFPRRNSRIEVMPSGSFSLFSAADLSEQVVTAELIGKEDIPLTSSQPTEISLWERLGNASALDIESPDFSWNMLSSLHHTEHSSSSDHSEDEMCKPLEVTVNSGGVVFFALFNSSSNVLPKEAAAVIKFSSSKMSTQAERLGYEFARLLGVQTPQARVVHNSSLEWQDIRKAAENARSVAVSNSDEVGEMTCSELLEALELSRCLLLMSYIHGSPLLESSKAFSPREAACVTASSLGRVLMLDLILRNEDRLPCRQLGWRGNPANLMISDRPSAPSVDRLDDSKCTTESSIPTITQLVQSDKRTHTANATINSPELVSMSPKPDALKSVRGNADSLDGPVHIVAIDTGVPRRPPAGRRVKDHERYPKVVQLMLNNSDYSSNILYEISGGKLGTPGPDEAIAFTDSCCSISDEDNTAAIHEFRGAFRAALRDLEGFHLFLLQLYQKLDGVLRVFLSIVTKGSEESDNNDATVPDFPSPGANYSTPCAPSKQQNSELHGDSEILKSTTKPSSAGSRGSSDSVSPLSRESWSNKYFKGSAEGPRSLRMTMKLRDFYKTPKVDPELVKEIEQWNEALKSDVIKFCEENNFHSGFFDGNENNMVADAYELKVRLEHIIERIALVSDAANTERPSLVINNLFIGGALAARSMYTLQHLGITHILCLCSNEIGQSDSQFPDLFEYKNFSISDDDDANISDLFEEASDYIDHVDHVGGKVLVHCFEGKSRSATIVLAYLMLRKGLTLAKAWNLLKKVHRRAQPNDGFAKALLALDRKLHGKVSMDWQHKRPEMKVCPICSKNVGLSTSSLKLHLQKAHKRLSAGSVDSAMTLEIQKSIQSLRISRGGSLSPSQKLTKAFADELSF >ORUFI01G14240.1 pep chromosome:OR_W1943:1:10877472:10878512:-1 gene:ORUFI01G14240 transcript:ORUFI01G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSLLPSAGEDDESQREVYEQLRQLVSTYPTVPSGLDTPYYRHPDGWYTFLPAMVSVMVAQRHFTARDTDIIIATFPKCGTTWLKALLFATVHRDGGGAGGVEDDAALAQLRARNPHQLVPFLEIQVYVRDRAPDLSSLPAPRLLATHIPRPSLPASVAISGCKVVYMCRDPKDCLVSLWHFLDAQRPEPRGDVGEDFRLFCDGVSLVGPYWDHVLAYWRWHVERPGQVLFMTYEELSGDTLGQLRRLAEFVGRPFTGEERAARVDEAIVKACSFESLAGAEVNRSGTIELMEEPMRNAEFFRRGVVGGWPNYLSPEMATRIDEITESKFRGSGLVLPTMMTM >ORUFI01G14250.1 pep chromosome:OR_W1943:1:10884846:10885127:1 gene:ORUFI01G14250 transcript:ORUFI01G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEVINYGGEMIYGQFKLLNSVPRQISYTSLMQQIIHSPRVTYQPNTTSILTYIIYLKTKEQIINE >ORUFI01G14260.1 pep chromosome:OR_W1943:1:10887495:10888881:1 gene:ORUFI01G14260 transcript:ORUFI01G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRVQREQHHRSRSLIGGKPKKERKKRRNGFLPVSRRRRCRRPCHPPRRAGCGGRGTYRRAVGQLLPRGRVQEDRPTLRPLHRDALRRPVRQVVGHGGARQGGRPGGAEERVGDGHLPLQHLRRRQHREEDGAAAAVPRGLLREVRGGGGAADGRDGGAGHGGVRGGDGAGGGGAGGGEDVPEGVQGRAAAPEHPHLAQPRGRPALQHRLHHHQADPRVTER >ORUFI01G14270.1 pep chromosome:OR_W1943:1:10889497:10891600:-1 gene:ORUFI01G14270 transcript:ORUFI01G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHIATALSATLLLSLLVLGRSDATLPPPATPVPPSTACNGTTDPTFCRSVLPTNGTSNLYTYGRFSVAKSLANANKFLGLVNRYLSGGRLAAGAVAALQDCQLLSGLNIDFLSAAGATLNRTSSTLLDPQAEDVQTLLSAILTNQQTCADGLQAAASAWSVRNGLAVPMSNSTKLYSVSLSLFTRAWVRPSTKKPRTATPKPPRHGGRGRGLFDATDDEMVRRMALDGAAAAVSTFGAVTVDQSGAGNFTTVSDAVAAAPTNLDGTKGYFVIHVTAGVYAENVVVPKNKKYVMMVGDGIGQTVITGNRSVVDGWTTFNSATFAVLGQGFVAVNMTFRNTAGPAKHQAVALRCGADLSTFYQCSFEAYQDTLYTHSLRQFYRACDVYGTVDYVFGNAAVVFQDCTLYNRLPMQGQSNTVTAQGRTDPNQNTGTTIQGCAIVAAPDLAANTAFATTNYLGRPWKLYSRTVIMQSVVGGLIDPAGWMPWDGDYALSTLYYAEYNNSGAGADTSRRVTWPGYHVLNSTADAGNFTVGNMVLGDFWLPQTGVPFTSGLN >ORUFI01G14280.1 pep chromosome:OR_W1943:1:10904979:10905535:1 gene:ORUFI01G14280 transcript:ORUFI01G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGEAAQSRDDGGGAEDGGNGRGWQRGSQGAVAAGEDGRGEAKERWQQARMATVGGDWEVETGEATRSGGSGWGRRRQLGVAPIRIAFCSSAVVDICAKEAACSDVSADDVDENPKLRSAYCCASPLSGMELLMNIADPYITAGFLAPAIASSWCCCAVSHDGVNRCCSLLRHN >ORUFI01G14290.1 pep chromosome:OR_W1943:1:10908516:10918096:1 gene:ORUFI01G14290 transcript:ORUFI01G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHAGRPGPLLVLLAVTLAAAAATAVGASSDTAPFYPSAEEAAAAHCEGTLYPELCLSTLADIPDLHTKSLPDVICGTVNRTKDAVAATSYNCSHYINSKYLTPRDRLAISDCMELLDTTMDELQATTSDLESPAVAGGNNGSASMAAKRVTMDHVMTELSAAMTNQYTCLDGFDYKDGERVRHYMESSIHHVSRMVSNSLAMAKKLPGAGGGGMTPSSSSPDTATQSESSETTQRQPFMGYGQMANGFPKWVRPGDRRLLQAPASSITPDAVVAKDGSGGYTTVAAAVAAAPANSNKRYVIHIKAGAYMENVEVGKSKKNLMFIGDGIGKTVIKASRNVVDGSTTFRSATVAVVGNNFLARDLTIENSAGPSKHQAVALRVGADLSAFYRCSFVGYQDTLYVHSLRQFFRECDIYGTIDFIFGNSAVVFQSCNLYARRPLPNQSNVYTAQGREDPNQNTGISIQKCKVAAASDLLAVQSSFKTYLGRPWKQYSRTVFMQSELDSVVNPAGWLEWSGNFALDTLYYGEYQNTGPGASTSNRVKWKGYRVITSASEASTFTVGNFIDGDVWLAGTSVPFTVGL >ORUFI01G14300.1 pep chromosome:OR_W1943:1:10919955:10920527:-1 gene:ORUFI01G14300 transcript:ORUFI01G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLLLLPSSSLSPFSLFFSPSSSPSVGGAWRQASPQRRRTAAPAVLSPSLVYPVIVAFSSSPAPLSAFLLFNHASSCSLSTSLPTFPALLKSCARAFNQSSRASAALVFISNGMELHCLVLMIGCGKDRYVRNALVSMYGKFGRLGDARKAFDEMPAKNAVSWNALDRGSSCRCGAADWTGASGSLFDD >ORUFI01G14310.1 pep chromosome:OR_W1943:1:10920902:10922215:1 gene:ORUFI01G14310 transcript:ORUFI01G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPVNVGAAFYYIEKQVQGGDEIINASQHLILTLQLLRGCNVTHEWPGPESNQLMNWIQRGPSIGSSMAPEVKGPNYEITGPKFLLFHCKFRLIFSSKKY >ORUFI01G14320.1 pep chromosome:OR_W1943:1:10922740:10927260:-1 gene:ORUFI01G14320 transcript:ORUFI01G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSLTGSHRPVAFSCAAAVAPAVAFSCAVVAFSCSSSLRLQAARAARPRRRRRSSRSRSATPTTPTTPLLPPQPPGHADHAASPTAAAGPSWIGSAGWQAWNPRTAARGRNRQKLLQAAATSPLFRSHQDKDLNQIHQLYIKDQTRKMKNFLCRLFHLTAHPHTCQFIPQVKIRKRKMMKMMLSPYHFQRAFG >ORUFI01G14330.1 pep chromosome:OR_W1943:1:10927982:10930517:1 gene:ORUFI01G14330 transcript:ORUFI01G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRCLFLLAVLLPHRNAAVVAAASPHHGPAPHDYRDALTKSILFFEGQRSGKLPPSQRVSWRGDSGLSDGSSIKARNSPLLRVDLVGGYYDAGDNMKFGFPLAFSMTMLAWSVVEFGGLMKGELQHARDAVRWGSDYLLKATAHPDTVYVQVGDANRDHACWERPEDMDTPRTVYKVDPSTPGTDVAAETAAALAAASLVFRKSDPAYASRLVARAKRVFEFADKHRGTYSTRLSPYVCPYYCSYSGYQDELLWGAAWLHRATKNPTYLSYIQMNGQVLGADEQDNTFGWDNKHAGARILIAKAFLVQKVAALHEYKGHADSFICSMVPGTPTDQTQYTRGGLLFKLSDSNMQYVTSSSFLLLTYAKYLAFSKTTVSCGGAAVTPARLRAIARRQVDYLLGSNPMGMSYMVGYGAKYPRRIHHRASSLPSVAAHPARIGCSQGFTALYSGVANPNVLVGAVVGGPNLQDQFPDQRSDHEHSEPATYINAPLVGALAYLAHSYGQL >ORUFI01G14340.1 pep chromosome:OR_W1943:1:10944862:10947782:1 gene:ORUFI01G14340 transcript:ORUFI01G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSAVAAAVALDDCGLSVSARFSARRRQIRQRWTPAAALGVAAAWEYGRKRISSAAVRLVTDDFESPVTGEGPLESQIELIKITLVPISNPLRPEPSRCLHPNSIAFTSPSASLAPPSPPQLPLTRRRRLVLHLRTPAEVASIGCKWWSGTSDNLPVMGLGPWPHLLRPPVKAVTCKAIRDETLYPVTDEKIWRSDFA >ORUFI01G14350.1 pep chromosome:OR_W1943:1:10949704:10950948:1 gene:ORUFI01G14350 transcript:ORUFI01G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQ >ORUFI01G14360.1 pep chromosome:OR_W1943:1:10958587:10959042:1 gene:ORUFI01G14360 transcript:ORUFI01G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQLQLGEVQASWKNLMTIPPRRGEVFPNGLDDGVRAPSNGAPAFSSNSLVMSVRKVAGPSKMIEKTSTVTEETATSVKASPAGGVSVRRPHTIAITTAASLGLGVGAMMPAQWQALTGWHSVDSATGGLMGTASVWASPIRWTRWPPG >ORUFI01G14370.1 pep chromosome:OR_W1943:1:10959589:10960035:-1 gene:ORUFI01G14370 transcript:ORUFI01G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPSLAPVRRTSSTQPQTPVADDASARNRNVAGVLGVLIWPGAASASRRRQYQLTLATLSTTSSGILIRRRPSSCRPAGARRGSPELPPTAMTSICSHAGGQASRIVSKPADDDGGKYSCSTGGRTTGGRRARTVEHHGNKLADAC >ORUFI01G14380.1 pep chromosome:OR_W1943:1:10965498:10966539:-1 gene:ORUFI01G14380 transcript:ORUFI01G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFLATVERDAVSVVAGNSVLSSANIARLSAMLAPSPLPDDPPQPPLRERRPKIIRIINDRRNNIRRCYKGLLEMADAALRKYAHQTGEQYELHTIYGETFRKDYEEFHVYVHMNFMASSSSYKALQAPQPVCFFAEALRPPRPGYHEDDITLCSIVQPSPTDIDSCHGSLANNHRIDHPEAGMHFVGKHNKMDGSDYDWDWPHTADVYYRFFDPDRDVGLVEHLYKVITRFSALYGGSTDEDDNEDITR >ORUFI01G14390.1 pep chromosome:OR_W1943:1:10966594:10974096:-1 gene:ORUFI01G14390 transcript:ORUFI01G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVLRLASRSRSRSGLRPTLAAPRPSPVPPPPPRVGPTPVAPSPAPLRGLLLPLSPLRLASPDAFSSSSPSPAWLLDGLNWRRAGIGRRWFATEASAASTAAELVDVPLAQTGEGIAECELLRWFVTEGDQVDEFQRLCEVQSDKATIEITSRFKGKVHQIHFGPGDIVKVGETLLKMMVGDSQTVSHDSIASSTDHSHAVDAANPSGEGSVPSGTLSTPAVRHLAKQYGLNISDIQGTGKDGRVLKEDVLSYAASKGLCKEPTSALEENIDQDNSAREFCPVPASASGPPRPLPEPGKNGGFFSFRIHRRSNGRRRRGRDKMNEEVVSAGSPLKASSSPPPLCSFGIWSDQRKSPRLPWWKQQFISESEEDDDEVMPPASHVVEDSDEGEEEEDDDDDDDDDDEKVVPPPTPTPPPPQAPEEEEEEARALRRSLLSLIHIDYIEAIRRLPAADLRTALARGVLVGGHCYGPLHHPADNILLNSIWYAAAFPLHADDRIDVAVITANSLSRAVQRSLDGLVASLRHRRPDLSRDDALRHLRADLRAAVASARGSPPPPLPAESDSEAEAAAYRAAQHPKPAALAHFLATVLPAVVTDAASVLAGKPSLSSSDIARLSAMLAPSPLPDEPPQPPLRERSPKIIRIINDRRNNLRGWYKILLQLANAALRKYAQQTGEEYELHTIYGETFLKDRNERAEYIHINFMASPSSCQCLQASPVCFFAEVLRPPRFKYHEADITLCCIVRPSPNDADSCHGCLIENHRIDHPEAGMRFCGKKHSKMDPNGYGHGWDWPSIADVEYRFFDPDKDVGLVEYLDGVITDIKARIRDLSIRDEDDSDEDSSDDDISGYSMRFCNFCKSYVGKRDPRFGG >ORUFI01G14400.1 pep chromosome:OR_W1943:1:10977754:10980929:-1 gene:ORUFI01G14400 transcript:ORUFI01G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKFSFEDISAQNQVKASVQRKIRQSIADEYPGLEPLLDDLLPKKSPMIVVKCQNHLNLVVVNNVPLFFNIRDDPDIMKKFQVDRGAIKFVLSGANIMCPGLTSPGGSLDVEVEEETPVAIMAEGKQHALAIGYTKMSAKDIKTINKGIGVDNMHYLNDGLWKMERLE >ORUFI01G14410.1 pep chromosome:OR_W1943:1:11018873:11022668:1 gene:ORUFI01G14410 transcript:ORUFI01G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMNALLVTLTNMDGLSDQQKEWRNKDAIEHRDRYKLSESVPTATPTHVVAIDPQHLALNEEEDGLVGIEGPKQKIIRMLMDEEEGSRKLKVISIVGSAGIGKTTLAKQVYLEIKGRFDCSAFVSLSQNPSMNKIFTHILSQVGFKTRRPLHREYDLIDELKQYLRGMRYLIVINNIWSESVWDTICLAFALRKCGSRVIITTCIEDLGSVCRPNFYGHIYKVEPLNDFDSRMLFFRRVFSNEDACPDHLRKVSEEILRMCGGVPLAITSVASILAGQGDMMIEKWERILSSRGYELETDPTIGWMRHVLNFSYSNLCPELRTCLLYLSTFPEYCSIRKDDLIRQWIAEGLVKEKFGCDQYEIAEIYFNNLIKRSIINPVDIDDCGMVMSCRVHDLMIDLIISKAIEENFIAILDDQHTMRGSHEARRFTLHFNRDLENTEQNLVLTRSVHHQTRSISFLGPIQCMLVISEFKYLRLLQIEVYYSNIDSYDLTHMCEFFQLRYFRIRGILCKLPEKIGGLKYLETFDIDDNVKNIPSDVCKLSSMAHLTLPKHAKIPSGIDKLVALRTLKVSTSYDTSIEFYEGFGSLRKLRELELGATNYMSRDKVVSLAASLMKLGSCSLRSLILRNGDLSLEAGDRLNFWSPPPLHLRRLHVFEVVFSYVPDWIAKLDKLTSMVIRIREISKDGFGVLARLPCLLFLRLSVHRVKEEDATIVVCSRTFQHLKEFWFRYKVPCLVFEPLAMPRLQILNIQFDEECLYGRDDGVPCEPAGIEHLTNLMQISAHIHLAHHWMWQTPALPRRKVKHFSERHDEDGHGQVEEEAPVYKKAAEAMLTRATSKHPGIHYVNIQFIQM >ORUFI01G14420.1 pep chromosome:OR_W1943:1:11027240:11027652:-1 gene:ORUFI01G14420 transcript:ORUFI01G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVASSCLSLLAQRRGYSVAAAVAKGAGRRADEKKVAAAVAKRTMAKAAEEKTAWVPDPVTGYYRPAGGAKEVDAAELRAKLLSNSKRMAAN >ORUFI01G14430.1 pep chromosome:OR_W1943:1:11038664:11039822:-1 gene:ORUFI01G14430 transcript:ORUFI01G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFERLHAGDDDGGGGDNLMAFKWLHAGDDDCGGSDNLMAFERLHAGNETVLTAARLGCSLLFVPLYVPLLLGTWPTSCTLSDASSMALIDRHIAQGR >ORUFI01G14440.1 pep chromosome:OR_W1943:1:11040183:11042003:1 gene:ORUFI01G14440 transcript:ORUFI01G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAVPIPQPSLRLTLPSRDVYSRRRSRRPSRRKSQRHLTTVKPPSGEEERDREISVGGWGRRENGGWQGSKRGIEEDSAGSA >ORUFI01G14450.1 pep chromosome:OR_W1943:1:11067981:11069225:-1 gene:ORUFI01G14450 transcript:ORUFI01G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASDDAAAAGGRFDFDVIVVGAGIMGSCAAHAAASRGARALLLERFDLLHHLGSSHGASRTIRDAYAKAHYPPMVRLARRLWADAEAESGYRVLTPAPQLTVGPPGDASLLAAVGNSGARRVDEDDLAGRWGGAFRGVPDGWVAAVSELGGGVLNATKAVAMFQALAVKGGAVVRDNAEVVGVVKKDGEAGVFVRTSGGEEFHGAKCVVTVGAWTSKLVKSVAGVDLPIQPLHALVLYWKVKPGRERELAAEAGFPTFSSHGDPHVYGTPSLELPGLIKINYDGGPPCDPDGRDWAGGGGDAASRVARWIEEFMPDHVEAAGGPVVRQPCMYSMTPDKDFVIDFLGGEFGDDVVVGAGFSGHGFKMGPAVGRILAEMAMDGEARTAAEAGVELRHFRISRFEGNAMGN >ORUFI01G14460.1 pep chromosome:OR_W1943:1:11076537:11078384:-1 gene:ORUFI01G14460 transcript:ORUFI01G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTPRCAPFPNPNPNPDASPPPSSPMTPRAPSMRHHPPHLYLAEVVASWHPFHKKPCLSDRSTAPPSAHFADAPETQTQTPTPPLSASGGGGGGSFRWLGPRKRRRRGAGSRSVSGRSSDRRRSGTCSDFHVTCGAGGGGATDSSGEMWASDVGEVRMRDVPMATEFGPAAPVGGAGSGSGGTGAAAEVAAADSGYGSEPGYRGDVELGYGDEIDEEEEDGRQQLFFWGEEIGDCIADMNKMGIVGDNNFGEQKSHHRCRRKKHDVRMLDP >ORUFI01G14470.1 pep chromosome:OR_W1943:1:11080081:11087719:1 gene:ORUFI01G14470 transcript:ORUFI01G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKFMYENIQSLHKTSEAITKHCLDLPFLTATGFGISPMFHKDIDLAGSVGSWSKIHYEVAKCKSARTQNACTAGIYTYLAETMSRRNSRTIYVGNLPGDIREREVEDLFYKFEDPRDADDAICGRDGYNFDGYRLRVELAHGGRGQSYSYDRPRSYSSGRRGGVSRRSEYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGATVGIVDYTTYEDMKYAIRKLDDSEFRNAFSRAYIRVREYDDRSRSRSRSYSRSRSYSRSTMAALIVDCIVPQICFSVTVTCG >ORUFI01G14470.2 pep chromosome:OR_W1943:1:11080081:11087719:1 gene:ORUFI01G14470 transcript:ORUFI01G14470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKFMYENIQSLHKTSEAITKHCLDLPFLTATGFGISPMFHKDIDLAGSVGSWSKIHYEVAKCKSARTQNACTAGIYTYLAETMSRRNSRTIYVGNLPGDIREREVEDLFYKFEDPRDADDAICGRDGYNFDGYRLRVELAHGGRGQSYSYDRPRSYSSGRRGGVSRRSEYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGATVGIVDYTTYEDMKYAIRKLDDSEFRNAFSRAYIRVREYDDRSRSRSRSYSRSRSYSRSTMAALIVDCIVPQICFSVTVTCG >ORUFI01G14480.1 pep chromosome:OR_W1943:1:11093565:11095072:1 gene:ORUFI01G14480 transcript:ORUFI01G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRPIMPRWCYNGPFAIVLVPWLASPVYYRISPFPDPKQSTSTPIGGRASSSAKPRVLDIQVTLFGPSDYASFSSDEWDDKEEGNEAVQQWEDDWDDDDVNDDFSLQLRKELESIPSKN >ORUFI01G14490.1 pep chromosome:OR_W1943:1:11096948:11105165:1 gene:ORUFI01G14490 transcript:ORUFI01G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAVDAEGECGGGKSHNTLKPDGVRVAAASTTLDSSDSHGPAADVQGGGGSGERLDSSDGEGRATDVQGRSSAGESLVVKSEHACAADDPVGDGALLAPANKPTGADPLAVASSSHDIDAVSADPANDEEDGDTTECSSSFGNSCCETDDEADHGGSEVDSPFSENADGVQALIRPRKKKVTAEWRSAVRPMMWRCQWLELRMKDLLSQVSKYDRELALINKGKELQQAVNMTNGSRSESAQSSKGRENSCMERRKRRRLEETVNTSLYIKKHEILSYFFDKQNKGAETDGILIDDDSSGPVGNDVKGGIHTVGLLEPKEYDMVAEQLTLQKFLLTIDGIRSQVLRLQDRLSKVRSKQENMVSLVDHAHIKVSEKRLRTQKRSFSYKKDRYSKSKKKKNLNILSKEEDKPAHAVISTLSKRAPDCQTEVTMYSSEEKSGERCQSHKKAITVDLLLPNGHMGDLCKDNDDVLIDNQAANEGYQPFENAKQPMDKSLELTEKVCETANLRVGSNSSPVEVTSTSAPFRVENASVSLEARSTPGQVVKQEPVFEKPPALKHVYSGKRRRKLKMEGSGPVSGFKTQSKEASKTPATKKKTESTSPAAKKLKIEETTAPDEGKKAVKTHSTGKKRKAGKSCSSTKNQEAENSSCAARKDISESTPSKPRIEKAVLVAVNSRRSQRVRKPKIY >ORUFI01G14490.2 pep chromosome:OR_W1943:1:11097056:11105165:1 gene:ORUFI01G14490 transcript:ORUFI01G14490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAVDAEGECGGGKSHNTLKPDGVRVAAASTTLDSSDSHGPAADVQGGGGSGERLDSSDGEGRATDVQGRSSAGESLVVKSEHACAADDPVGDGALLAPANKPTGADPLAVASSSHDIDAVSADPANDEEDGDTTECSSSFGNSCCETDDEADHGGSEVDSPFSENADGVQALIRPRKKKVTAEWRSAVRPMMWRCQWLELRMKDLLSQVSKYDRELALINKGKELQQAVNMTNGSRSESAQSSKGRENSCMERRKRRRLEETVNTSLYIKKHEILSYFFDKQNKGAETDGILIDDDSSGPVGNDVKGGIHTVGLLEPKEYDMVAEQLTLQKFLLTIDGIRSQVLRLQDRLSKVRSKQENMVSLVDHAHIKVSEKRLRTQKRSFSYKKDRYSKSKKKKNLNILSKEEDKPAHAVISTLSKRAPDCQTEVTMYSSEEKSGERCQSHKKAITVDLLLPNGHMGDLCKDNDDVLIDNQAANEGYQPFENAKQPMDKSLELTEKVCETANLRVGSNSSPVEVTSTSAPFRVENASVSLEARSTPGQVVKQEPVFEKPPALKHVYSGKRRRKLKMEGSGPVSGFKTQSKEASKTPATKKKTESTSPAAKKLKIEETTAPDEGKKAVKTHSTGKKRKAGKSCSSTKNQEAENSSCAARKDISESTPSKPRIEKAVLVAVNSRRSQRVRKPKIY >ORUFI01G14500.1 pep chromosome:OR_W1943:1:11136213:11137669:1 gene:ORUFI01G14500 transcript:ORUFI01G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPVAEADEKSPFGRLTAEEFYARHGVVNSSSTFVNPRGLRIFTQRWVPAGVDAPLLGAIAVVHGFTGELSRVIMAYVYEIK >ORUFI01G14510.1 pep chromosome:OR_W1943:1:11167296:11168285:1 gene:ORUFI01G14510 transcript:ORUFI01G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPVAEADEKSPFGRLTAEEFYARHGVLHTSSTFVNPRGLRIFTQRWVPAGGDAPLLGAIAVVHGFTGESSWTVQLTAVHFAKAGFAVAAVDHQGHGFSEGLQGHIPDIVPVLEDCEAAFAPFRADYPPPLPCFLYGESLGGAIALLLHLRDKERWRDGAVLNGAMCGVSPRFMPPWPLEHLLWAAAAVAPTWRLAFTRGNIPDRSFKVPWKRALAVASPRRTTAPPRAATALELLRVCRELQSRFEEVELPLLVVHGGEDTVCDPGCAEELHRRAGSKDKTLRVYPGMWHQLVGEPEENVDKVFGDVLDWLKSHAAAAAAARGEGQQ >ORUFI01G14520.1 pep chromosome:OR_W1943:1:11171943:11172411:-1 gene:ORUFI01G14520 transcript:ORUFI01G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSHVREVLGNLRVHEIDLELTREGGIKDGAQQPEFEKTMAISLFGARSFGFLEGFRRGFHGGDGDAVARKWWSGLADDYAVAAKLCARSGAPVDEVVFPSLQWTKKDGVKRTFARHGERSMERKRVDVEHSLTSTEAFVFLTAGDDNEMTI >ORUFI01G14530.1 pep chromosome:OR_W1943:1:11174200:11174583:1 gene:ORUFI01G14530 transcript:ORUFI01G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRSFKVPWKRALAVARPRSTMAPPRAATARELLRVCCEVQSRFQEVELPLLVVHGGDDTLCDPECAEELHRRAGSEDKTLRVYPGMWHQLVGEPEENVDKVFGDVLDWFKSHAAAAAATPGEGQQ >ORUFI01G14540.1 pep chromosome:OR_W1943:1:11191124:11192767:1 gene:ORUFI01G14540 transcript:ORUFI01G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRGVVGSAAMLGLEMHLAHPQMHAAAYQQPDPHGGGGGGFQQQVAAVRQQQQQSYSPYSAGASSRVIKAPGHDDGMGNGAGKGGVVQQQQQPGSVGCPWTRMKWTDGMVRLLINVVYSVGDDGDGVAAGGAAGGKASAGAAGHGKAGGSGSHGAHGQAAAQQKKGKWKSVSRAMMESGHMVSPQQCEDKFNDLNKRYKRVVDLLGRGKACKVVENHALLDAMDELTHKAKDEARKLLSSKHLFFREMCAYHNSGAAAAAAAHGPHGAGAAGVEATACFHHPPPASMAAASSAARQAAAAAPSLGMKDSSAGPEDDEDDSEDVPSSNEVDDEDDDDDDDDDEVGPGMKSRRIYGGHRVHHHHHHHNGHHKRRRGDDVSSAGAGDDDDEDGVKRARGAASAAGGGDDEGPSAVQQLQSELAAAVAGGGDPQQVRQWVRRRTVEVEEQQVAHEVRAYHLERQRLKWERFRANKERDMERARLRNDRLRIDGRRMLLLLRQKDLDFDIAEANSSSVDHLTSSAPPPLAALQQQQQPLGSSPSTAGGHPN >ORUFI01G14550.1 pep chromosome:OR_W1943:1:11206611:11210820:1 gene:ORUFI01G14550 transcript:ORUFI01G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLRRLLDGAALVAREAARRASAPDVLRSALLAATDLAGLTRGTPRTPRPTPPLGPHPTAAAAGGEARASVVYFSHDDAGPVSPPRRPPQDPPLEQRPPARESPHPAQAKEITEAGVAAAVGAAEPEPVAVARPPDGEAAGRSPAPSPSPSPVVRVEKRRRPRERRVPSTPFTRALGFAGLGAGLAWGTLQESARRVVYGRPADADGKRSAMSPFLSDQNAERIALALCRMRGAALKVGQMLSIQDESLVPPPVLAALDIVRQGADVMPRKQLNSVLDAELGQDWSSKLTSFDYEPLAAASIGQVHRAVLKDGSDVVMKIQYPGVADSIESDIENVRLLLSYTNLIPKGLFLDRAMKVAKLELARECDYVLEATNQKRYKELLSDSEGYYVPKVIDELSSKKVLMSEFVPGFPIDKVAMLDQETRNYTDPNWSNFLFDEPTRKFNLIDFGAARDFPKRFVDDYLRMVVACANKDRAGVLEMSRRLGFLTGEEPEVMLDAHVQAAFIVGVPFAKPGGHDFRANNITHSVSNLGATMLKHRLTPPPDEVYSLHRKLSGAFLACIKIGAVVPCREMLFKVYEQYNFSDDRPEVLSSTG >ORUFI01G14560.1 pep chromosome:OR_W1943:1:11207397:11222348:-1 gene:ORUFI01G14560 transcript:ORUFI01G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQQQRLVHTCQTSYAAFSRKMEHKYVRVEEEAAVGEALDGGGGGEEGAELVAVEDRGEAAGGAAGEQHMLGGGHADVEQTGGRNKNVHRVFTRNKELERRICMRVGADRDSDVSPAGACIDHFCACGYLDGGSKRNGRQRRQREPDAAIVFAPPLGYRPRQQLHFRRQASGGWGEKAGAARRCRGSAGQRRREWRHSMTDRKLKSNLAASDWRYYRWRHKGFVLDAQHLSHLERCTPHPAQRQGDTLSILI >ORUFI01G14570.1 pep chromosome:OR_W1943:1:11217461:11223620:1 gene:ORUFI01G14570 transcript:ORUFI01G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMERIGVTKLHHHLLLLVLLLVVAAAGGGSVQAAEEDEMSGRRRRSRRRRAADVMVPITILNSAVDKGAVCMDGTPPAYHLDPGSGGGNRSWVVNLEGGGWCNNARTCRFRTASRHGSSDHMERRIAFTGIMSSAAADNPDFHSWNRVKIRYCDSGSFAGDAFDEGLKLQFRGQRIWGAVIQHLLDVGMASAEHVLLTGCSAGGLAAILHCDQLRALLPAAATVKCLSDGGLFLDAVDVAGGRSLRSYYGDVVGLQAVAPNLPETCTDHLDATSCFFPQNIIDGIKTPIFLLNAAYDVWQIEQSLAPNAADTSGTWRVCKFNRAACNASQLQFLQGFRDQMVAAVRVFSESRSNGLFINSCFAHCQSELTATWNGGSPALQNKGIAKSVGDWYFGRAEVKAIDCPYPCDKTCHNII >ORUFI01G14580.1 pep chromosome:OR_W1943:1:11226619:11227274:1 gene:ORUFI01G14580 transcript:ORUFI01G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDCLPYCRSDNPCGVVGACPYISAAAAGAGALAAHPLPFGHMACPAACHSERTCAGTNWWPRRRRILHGKWLKHILCSAGGRSKAERRRHVCSTSPDAHRGCYFSPSPSTGWASAHGGGGGGSWYASGSGVGRMVQVPAIDAAPVCIAAGGISFDGMICVSKTNFTSMVVMSGFGVLFLAYLVFNLFLSLN >ORUFI01G14590.1 pep chromosome:OR_W1943:1:11229758:11233289:-1 gene:ORUFI01G14590 transcript:ORUFI01G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELQEKGKKADVVAMKETNLTTVVLGSSKRKVATTVPRANEGSYVEEADPRRLTTTTTTKTDTVRVNIEKMLEQLSRPARLDGYSIYRVPASVRDSVDNKHYEPRLVSIGPYHRSKHHLRAMEDRKRLYLLRFLHDQHDDDDGSGRRDGLLQDCVGRVRKLEARARACYFESPATGDGEDDDDMFVEMLLLDGCFVVQLFIQWFCGATDPVFDVGWNLPLLHTDLLMLENQIPYFVLLALYDAYSHDPNRPPSARPKPSLTTIITSYFSEKEGRQPATTTATEDAIDHLLHLYHSTFVMPPPDHLPAPVQADCGGKLPRTIRCAKELTMHGVKFVRKPETTNVLDVTFCRDTGVFQIPRVAIEDSTCIRYMNLVAFEQCRGEAAVAEKHLTSYVVLMDYLINTAEDVVILDRADVMENKLANEEEAAKFFNQLRLSSYINYDDHYLAPVYRDVDAFCRRKWPKYKAKFRRDYLNSPWAIFGFCLATTFAVITLFNTIVTILQTFFHLFK >ORUFI01G14600.1 pep chromosome:OR_W1943:1:11249117:11250066:1 gene:ORUFI01G14600 transcript:ORUFI01G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIPNIGREKNIDEDRSNSNSPWPDLIAIGLLLSLLLFLLVYKTLLDAPLMVQFAAVKQKARRLL >ORUFI01G14610.1 pep chromosome:OR_W1943:1:11251787:11252377:-1 gene:ORUFI01G14610 transcript:ORUFI01G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHTDLDQDNIMTTNYGELLKACQQELEAHFEELKMRQGIIKQENIIVPVEDKSKANKKNTYNSLETIGPRFTPAAHYSNPTGVLLPVRPVALAGPTG >ORUFI01G14620.1 pep chromosome:OR_W1943:1:11253084:11255280:1 gene:ORUFI01G14620 transcript:ORUFI01G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDKFNNLLRRIEEFEWTQVEVDKRRSDESLSQDGGSIMDTQIQKNAKDLQISVEKKQTKADPLVGVVVTCAATDTTFVELVAVDDTLSTTYVDNPAPFHGCNGYVRSCASTSLVLKELDVGADTTCTTNIDVPDHPKETVVGP >ORUFI01G14630.1 pep chromosome:OR_W1943:1:11255304:11255900:1 gene:ORUFI01G14630 transcript:ORUFI01G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFLTTEGEFKVVPTSIEPIVIFLPRAMTDLKTQLMEHPQEESMATSLGVEGLRPSPWPGLNFSEAVEHLVPSWPLPTQSLPLTYQSNFALIFTAVHKGNIQIPFLFRSNAFEKFFFVE >ORUFI01G14640.1 pep chromosome:OR_W1943:1:11256410:11257792:-1 gene:ORUFI01G14640 transcript:ORUFI01G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARQEDTVRVNIETMLEHLTTPAARLGDGYSIYRVPANVDRKHYEPRLVSVGPYHRSKHHLSAMEDRKRLYLLRFLDDGGESGHRRGLLLQDCIDRVRELEPRARACYFESPATGDDGEDDDGDMFVEMLLLDGCFVVQFFIQWFSGVADPIFNVGWNLPLLHTDLLMLENQIPYFILLALYDAYTHDGDGDLDRPARRPKPSLTSIITAYFSQKEGRQPAATETAQLQEEDDIDHLLHLYHSTFVKPPDHLPARRHRHGGGGSRPPRTIRCAKELAMHGVRFVPKVGTSNILDVAFHHGVFEIPRVAVDDSTCTRFMNLAAFEQCRGGDAETPAAGKHLTSYVVLMDYLINTAEDVVILERADVMENNLANEEAAAAFFNQLRVCSYIDYDDHYLAPVYRDVDAFCRRKWPKYKAKFRRDYLNSPWAIVGFCFATTFAVVTFFNTIVNILKTFFHVLH >ORUFI01G14650.1 pep chromosome:OR_W1943:1:11262463:11262773:-1 gene:ORUFI01G14650 transcript:ORUFI01G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRLLEPTTVDLGRADPTMGSNDGGGGGVGSAAIGEGVARSGGGGFWCGGNGNF >ORUFI01G14660.1 pep chromosome:OR_W1943:1:11273899:11281631:1 gene:ORUFI01G14660 transcript:ORUFI01G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAPPNIGGGGPPPPAVLALPHGMVVVGDEAVQRAVVLRLGVNFRATVCSDINAAVEMLLQRMKEFDFVVISEELIIGSSRPEIMKLLREETGLRLLVLRNEGGNEYSFVPIVRRSDTQRLDGGGDSLVGKKDDQREETSTARREGEQSSQHRQRKKRFIWTPELSKIFKEIYEELLLTGIIISRNIKRIFLNKNKKTNNYLLMTIPILVQSKVNEDLHLFNSKL >ORUFI01G14670.1 pep chromosome:OR_W1943:1:11281737:11282188:1 gene:ORUFI01G14670 transcript:ORUFI01G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLETCIIITKTPTTCNLPMYYLIKAKGFNMSFNKEEVIEATYQNQLTTRRVSASHAIAKAQASQRVTMTKDMHPISQLHQQDYETTPDDSSNNTNSIYEKCQL >ORUFI01G14680.1 pep chromosome:OR_W1943:1:11289987:11291957:-1 gene:ORUFI01G14680 transcript:ORUFI01G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKKVCQQSRILERIAQLNWPIMPRGASTRDVCIDINHGLSNISTTLSLNRKCSVYRIPAHVRELDKAYYEPRMVSIGPYHRKEKHLQAMEEHKWRYLRDFLSRGLVNETADHRMRRYTDMIRRLEPEVRECYFESTDLDSTEFVAMLLLDASFIIEFFVKWFSGEDDPLFSVSWSLPLLLNDMLMLENQIPFFVIERLYDISTFDPDRPEDAQPKPSLIGIITDYLRGIEDAEVRHDRENVHHMLHLYHCCFVQPLELPRNANEEGGNANNIGNPFLFLPKMIPCATQLREFGVHIKKNKHARSMFDISFRNGTLEIPRVAIEEMTRSRYMNLIAFEQCHDNGKYLTSYAVFMAYLINTAQDAILLQRYDVIDNKLANEEEAAKFFSQLHACSYINYDEHYLAPVFRDINTYCRRWWPKRRARLCHDYFASPWAVISFLAALIFMGFSIFKIVVMILSVFFHFHERNRTMKWVSNRLVVYLDALSVISNGLGVFSGEVDVQTIRRSYRMLRLKSNTCGGEDFIWHTRVIVCRS >ORUFI01G14690.1 pep chromosome:OR_W1943:1:11296888:11297352:-1 gene:ORUFI01G14690 transcript:ORUFI01G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLISERHSKKDCCLPYCQKAAPCGRIHCPYLTTTAAAKPDGGTDHKRKAVIVSSAQPARAERTTTGESSKRKGQGGEALNVHGAIASSAGGDHARKRFRMWGLW >ORUFI01G14700.1 pep chromosome:OR_W1943:1:11298906:11299247:-1 gene:ORUFI01G14700 transcript:ORUFI01G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGMGDGSGPLGSPPRGSGDRLELEAGGGSPPCGSSGGRHSGGRRGLWRQHGSSLHARGSIAGDNGRCRSGDGLKHEAGGSSPRADPTACGTVAAGTDLGGNADLASTSWR >ORUFI01G14710.1 pep chromosome:OR_W1943:1:11302940:11303860:1 gene:ORUFI01G14710 transcript:ORUFI01G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACHSASEVGRAENRGYLHVFLLAPLSIYDATAGGAGYYAQYCYTLGIGSQGSFIPLWVA >ORUFI01G14720.1 pep chromosome:OR_W1943:1:11314288:11318164:1 gene:ORUFI01G14720 transcript:ORUFI01G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGDEAVQRAVVLRLGVNFRATVCSEINAAVEMLRERTKEFDFAVISEESIRSLRPEIMKFLGEETGLRLLDPVPTKILELMKLRIGPMNDPELTRNTVSSYLQKYKAYLLKQEQKDQQLPIDDNTNTSSIKSQQGSASLQQQIMNYGENDSMDNQSIILSQTRYNEHSNKQPKLLTANYHGPKNMHHHHKNTNMQPSNVLRPPNLINAKGFNMSFNKEEINEAIYQSQLTTRRVSATHAIAKAQASWRVTMTKDMHPISQLHQQDDETTPDDSSNNTNSIYEKCVDMHL >ORUFI01G14730.1 pep chromosome:OR_W1943:1:11319708:11329410:-1 gene:ORUFI01G14730 transcript:ORUFI01G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVVPSPIIKPIGITGIGIVNNSESWLNASLNIFRSSSVQTSLRFLCRCWRPDCTLARRCFLRLLVALFTDEAIAAAAAVAAMVVVAGAPLLLSPCGDAACSLDDDDAACGISLLTVADRPTTTGSTSSTPRKFTPSLATTARRTASSPTTTSPCGGASVVIGGGRRRPPASGGGGGALMIEREEIVDKQAPGLMKEAERFLSLSNIDRLWKEHLQA >ORUFI01G14740.1 pep chromosome:OR_W1943:1:11324997:11325391:1 gene:ORUFI01G14740 transcript:ORUFI01G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLAPPHGLVVVGDDAVRRAVVARLGVNFRATVCSEINAAVEMLRERMKEFDFVVISEELIIRSSRPEIMKFLRETGLRLLGICLFST >ORUFI01G14750.1 pep chromosome:OR_W1943:1:11326358:11330953:1 gene:ORUFI01G14750 transcript:ORUFI01G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAAIASSVKRATNNRRKQRRAKVQSGRQHRQRKRRLVWTDELRKMFREAFNQLSLTQNLNSYHSVLIIKIQEDYEKNTRCPQKYFKLMKLMIDPMNDLSLTRDNIASYLQKHKKRLQKEYQKRQNLLEQKDQQLPIVDNTNTSSIQQKNMHYGKYDSMNNQPLVLSESCYNEHSNKQPKLKEPTLLTANYHGLRNMHHHHKNIINMEPSNVLRPPNLNNAKGFNMPFNKEGVDEAIYQSQLMAHQVYANHVDATAQTTWEVTMTQDMRPISQVHQHGCETTPVDSSNNTNGISENNNYHVGRKNSRANMVGILWPLLR >ORUFI01G14760.1 pep chromosome:OR_W1943:1:11329446:11341950:-1 gene:ORUFI01G14760 transcript:ORUFI01G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPAAATCATALRFAHPSSSSSSSSSSSSSSLPSPRPLGGACGGRPQHGRRSLRAPRRRGGGDRVAARAGLGGLLGGMFGGAGGDDGDAARKRYADTVARVNSMEPEVSALSDADLRARTAKLQERARAGESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTPEQRRENYLCDITYVTNSELGFDYLRDNLAMTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIAEVFERDIHYTVDEKQRNVLLTEEGYADAEEILDINDLYDPREQWASYVLNAIKAKELFLRDVNYIVRSKEVLIVDEFTGRVMPGRRWSDGLHQAIEAKEGFPKLCGMTGTAATESQEFESIYKLKVTVVPTNKPMIRKDESDVVFRATNGKWRAAVVEISRMNKVGRPVLVGTTSVEQSETLSEQLHEAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVDPLDGVIISKKQASPKKTWKTNESLFPCELSKDALSYVKESVEVAVKAWGEKSLTELEAEERLSYSCEKGPTRDEVIANLRSAFMKIMDEYKVYTEEEKKQVISAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALASDSLESLIVEYAELTIDDILEANIGPDTPREDWDLSKLIAKLQQYCYLLDDLTPELLEGKSSSYEDLQEYLRTRGREAYYQKAEIVEKQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSVYQFKPVMKNQEEEKSEKKGTKKKVDKGANKLGAAQAAS >ORUFI01G14760.2 pep chromosome:OR_W1943:1:11329446:11341950:-1 gene:ORUFI01G14760 transcript:ORUFI01G14760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPAAATCATALRFAHPSSSSSSSSSSSSSSLPSPRPLGGACGGRPQHGRRSLRAPRRRGGGDRVAARAGLGGLLGGMFGGAGGDDGDAARKRYADTVARVNSMEPEVSALSDADLRARTAKLQERARAGESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTPEQRRENYLCDITYVTNSELGFDYLRDNLAMTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIAEVFERDIHYTVDEKQRNVLLTEEGYADAEEILDINDLYDPREQWASYVLNAIKAKELFLRDVNYIVRSKEVLIVDEFTGRVMPGRRWSDGLHQAIEAKEGVPIQNETITLASISYQNFFLQASDNFFPMLESYSHMIFPKLCGMTGTAATESQEFESIYKLKVTVVPTNKPMIRKDESDVVFRATNGKWRAAVVEISRMNKVGRPVLVGTTSVEQSETLSEQLHEAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVDPLDGVIISKKQASPKKTWKTNESLFPCELSKDALSYVKESVEVAVKAWGEKSLTELEAEERLSYSCEKGPTRDEVIANLRSAFMKIMDEYKVYTEEEKKQVISAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALASDSLESLIVEYAELTIDDILEANIGPDTPREDWDLSKLIAKLQQYCYLLDDLTPELLEGKSSSYEDLQEYLRTRGREAYYQKAEIVEKQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSVYQFKPVMKNQEEEKSEKKGTKKKVDKGANKLGAAQAAS >ORUFI01G14770.1 pep chromosome:OR_W1943:1:11342973:11343484:-1 gene:ORUFI01G14770 transcript:ORUFI01G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASGWLHEEYAPRSSSLVAQSCIGSNAAPTKIDFSSMDPALLGTGRWVQVRDQVDLLITDNWFDAHYPQLTRELRASMFDNLTPSTAATIASRSPSTATSAGLPNLDAYESFAVIDGLKPKNVRAFVDLVFARQLLVSNQSLTPHPQSPLIQDFVNSAQRSAS >ORUFI01G14780.1 pep chromosome:OR_W1943:1:11344490:11348893:-1 gene:ORUFI01G14780 transcript:ORUFI01G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSTPPQPQPQPAHPSPQFPAVFTPPPPPTSGFSSRGSPSPGTGSAAAQAQFSTPPGPPVFSSPLRPAAVPFRATPASPQPVPFASRGGGYSSSSSSAAVSLPTSSGPHFLNGVATPTSHLPSSAAAPPPPPPPPPEESPYVLFSAHKVLKQKKLLNAPSLGFGALVSPGREVAPGPETVEHDPRRCLNCGAYVNLYCDVLIGSGQWQCVICKKMNGSEGEFVVSSKHDLLQWPELASSAIDYVQSGNRRPSFVPVPDTRVSGPIFILIDECLDEAHLQHLQGSLHAFVDSLPPTARIGIISYGRTVSVYDFSEGAAVSADVLPGNKSPTHESLKAIIYGTGIYLSPVHASLPVAHTIFSSLRPYQLSLPEVSRDRCIGAAVEVALGIIQGPAAEVSRGIIKRSGGNYRILVCAGGPSTFGPGSVPHSVQHPNYAYLEKTAMKWMERLGHEAQRHSTVVDILCAGTCPVRVPVLQPLAKCSGGVLLLHDDFGEAFGVNLQRASTRAAGSHGLFEIRCSDDMLVTQVIGPGEEASPDSHETFKHDTSFCIQMHSVEETQSFSVSMESKGDIKNDFVYFQFAVHYSNMYQTEITRVITMRLQTVDGLSAYLASVQDDVASVIIGKRTVLRARTASDAIDMRLTIDERVKDLALKFGTQVPKSKLYRFPKELASLPECLFHLRRGPLLGSIVGHEDERSVLRNLFLNASFDLSFRMLAPRCIMHREGGTFEELPAYDLAMQSNAAVVLDHGTDIFIWLGAELAAQEGQSAAALAACRTLAEELSELRFPAPRILSFKEGSSQARYFVSRLIPAHKDPTYEQESRFPQLRTLTPEQRSRLKSSFIHFDDHSFCEWMRSLKLVPPEPS >ORUFI01G14790.1 pep chromosome:OR_W1943:1:11350725:11355072:1 gene:ORUFI01G14790 transcript:ORUFI01G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEETASGSKPPAAATTIRLVNFISEDQLDEAKRTRGERADDGTAQRDKPLFQILQENKEKKDAEFNERFKHRPPKALDEDEMEFLDKLASSRKEYEQQVANEEAEQLRSFQEAVAARSNIIHEEAPTVSRPEESKPKAKRSQPALLKNVIISVKPQAKKAKLDGEDKPPAKELPSNGHSADHKPPDATKGVLGSLVQYDDDESSDGDV >ORUFI01G14800.1 pep chromosome:OR_W1943:1:11355976:11356341:-1 gene:ORUFI01G14800 transcript:ORUFI01G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFDLLALSGNNETLAKFAAFVVVQALVYLILSRSSAVFFSGAGAGTASFRRPAVERSESARRRMAALLAAEMTPRRSGDAPPTPAGIQRRGRRSNDDDGGDVCVDVELELMLIRCSFSS >ORUFI01G14810.1 pep chromosome:OR_W1943:1:11365775:11366155:-1 gene:ORUFI01G14810 transcript:ORUFI01G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYHFDFDLAGHETLAKFAAFVVVQALVYLILSNSSAVFVSGGVAGHGRSASFRRPDGVERSESARRMAALLAAEMTPRFGGGEPSSPAGGGQPQGGRSTSNDDDGARGDVELELLLIRCCSFSC >ORUFI01G14820.1 pep chromosome:OR_W1943:1:11375995:11376782:-1 gene:ORUFI01G14820 transcript:ORUFI01G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKGREGLDIKEWSHPASASALPARVRLRARMPPWALEAGRAAAWPERMPQPQLCVLLHAPLGPGGWSRRRLAGADAAAAALRPPHLTQSSRARRTSRQPSSADEIGMGIGGLGESGGDGQMGKFGSRFKTQNKTSLADTPTPRLSCRSSSHDAASPLSLTRLLLCTEEYEGFSGRREVWRAPHLALPSPPPLE >ORUFI01G14830.1 pep chromosome:OR_W1943:1:11377956:11378402:-1 gene:ORUFI01G14830 transcript:ORUFI01G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVCADDPEHCHYNDINELSPHCLQEIQRLFEDYKKNEKKKVVADAFLPANTARDAIQYSIDLYA >ORUFI01G14840.1 pep chromosome:OR_W1943:1:11382394:11383772:-1 gene:ORUFI01G14840 transcript:ORUFI01G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKISHRFLPDDLVLDIVARSRSPATIIRCAAVSKPLRRLILHPSFLRRVVIPSGTGHDDDDPSFIPSLLLGVYHRPRDDPCCPLAFVPAARGAAAGASIAAASSLPPVSPPADPWRRRRVRFGGPYRPMSSRRSLVVLRRRCDVVSHHHGGELTVWNPASGGRWVLPPHDHEVLDQSIVLLDVVNHHHHHHNGGAAAAAVTICSSSFKLLVAHLPAESSPRTLNVQVFSSDDGEWGPPLACAIPTDCELHSHAKPVVLRGAVHWLCLTHSRHRILVLKWPNRDAAAAAGAQATMASLMKLPPPCESGAYDTCLALSPSPSDGTGAGAATSLSVVVLVGDHIAVWVRAAAARWERRHVVREESIIAAWPMEGSSLGDGWLGRTRLGWFCEGSGALLLERDDDDGRRRPLVLDMGAMVVRKVDMDCREAEFVHYEVDLVSYMMFVMRAF >ORUFI01G14850.1 pep chromosome:OR_W1943:1:11384815:11385114:-1 gene:ORUFI01G14850 transcript:ORUFI01G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRSAGRSGLPRKTPSTLPSSPALGPMSSPTRKLPGVGVLDNDGFYDGGGGGGAKLFFTTTHVGGMPRAAQKRAKGRPLLPSPDRHGREATSTAAPP >ORUFI01G14860.1 pep chromosome:OR_W1943:1:11388515:11394326:-1 gene:ORUFI01G14860 transcript:ORUFI01G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASTLEIEARDVVKIVLQFCKENSLQQTFQTLQNECQVSLNTVDSIDTFIADINAGRWDAVLPQVAQLKLPRKKLEDLYEQIVLEMAELRELDTARAILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQESTKEKRRAQISQAIASEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDEEETYPTTLSHQTKFGKKTHPECARFSPDGQYLVSCSVDGIIEVWDYISGKLKKDLQYQADESFMMHDDAVLSVDFSRDSEMLASGSQDGKIKVWRIRTGQCLRRLERAHAKGVTSVTFSRDGTQILSSSFDTTARVHGLKSGKMLKEFRGHNSYVNCAIFSTDGSRVITASSDCTVKVWDTKTTDCLQTFKPPPPLRGGDATVNSVHLSPKNSDHIIVCNKTSSIYIMTLQGQVVKSFSSGKREGGDFLAASVSPKGEWIYCVGEDMNMYCFSYQSGKLEHLMKVHDKDVIGITHHPHRNLIATYAEDCTMKTWKP >ORUFI01G14870.1 pep chromosome:OR_W1943:1:11401396:11401884:1 gene:ORUFI01G14870 transcript:ORUFI01G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGFGEVWQRVKGGRKGDARRDAASMDTTAMRRRDAPVEIGKTWIPLPPRVIVPAAVLALRAQG >ORUFI01G14880.1 pep chromosome:OR_W1943:1:11409449:11417006:-1 gene:ORUFI01G14880 transcript:ORUFI01G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFLIGLRRVNDGSSLRVLRALCQYRTGTCQLGNMNIAIDTREISIPLSTLHTVTQKPDQVIEKLLNSNRSAIDQLATINYTISTLTKNIWEVRREEERKKGGGGEMWGANSISK >ORUFI01G14890.1 pep chromosome:OR_W1943:1:11410395:11415687:1 gene:ORUFI01G14890 transcript:ORUFI01G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSSSPSSPSLKDHLSSPTGPLHLKVWEVICIVLGAFMVVIFFVTVWLTIRSRKRVRRASANIPITQIPAISKEIKEVRVEQVPTSDFAAHDGVLMTIQDKSSEKESDKVMVHLGVSKSKRGDESHSGSFRYMDKDLGFQSADEGGSGTFRHNSAHAITAPSPLVGLPEFSYLGWGHWFTLRDLEVATSRFSKDNILGEGGYGVVYRGQLINGTPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLEQWLHGAMSHRGSLTWEARVKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFDAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVVLLEAITGRDPVDYGRPANEISVPFQKFCYYSILLKLTRRSEEVVDPTIETRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESDDPIPRGDRRSKHNRGGSTEMDSQRDNNSDTDKSDNPDSKPSRSRASSSK >ORUFI01G14900.1 pep chromosome:OR_W1943:1:11417014:11421128:-1 gene:ORUFI01G14900 transcript:ORUFI01G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIARGERRFFPFGAEGKERKEGGKARPTGAKESQGVINRERNGQKRNQQQERNQASKKRRDEGEKEKRGPAAGVVVFLPPSLSSPLLSISILPSIHSSPHPSHCSRRLDSVEDLQEKSDMGCFSCCGADDVGKKKKRDDPYVPIPDPGGNYGRSKPGPPAPSRSPPTSRNLPIAVPAIPLDEIKGITKNFSSDALIGEGSYARVFFGVLRDGRRSAVKKLDSSKQPDQEFLVQVSAVSRLKHENIIQLIGYCAGGSIRVLAYEYAPRGSLHDILHGKKGVKGAQPGPALSWMQRVKIALSAAKGLEFLHEKAEPRVVHRDIKSSNIMLFDNDVAKVGDFDVSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLSTKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVKQCVDPRLEGDYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALNPLLNSRPNNRPASFTDAGERSGL >ORUFI01G14910.1 pep chromosome:OR_W1943:1:11423671:11424106:-1 gene:ORUFI01G14910 transcript:ORUFI01G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGRNERRGWWWMHASVVSVMEKVRWCQLVAKAADDDDCGGDYLGTPTMAILEAEDDEDMPLLPESLTCRGGELPPYHRDSLRG >ORUFI01G14920.1 pep chromosome:OR_W1943:1:11424380:11435527:-1 gene:ORUFI01G14920 transcript:ORUFI01G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLVGGVQLEDGGLETVVERKVKGGRSETMTYGSRYHTRNEEVRGDQNPLWTQGALPPWATGPWAVGLKNKKTILLTEDGGMENLLFTIF >ORUFI01G14930.1 pep chromosome:OR_W1943:1:11428382:11435311:1 gene:ORUFI01G14930 transcript:ORUFI01G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPWTGKAPLLTKEEKARRRGVRLHTPLGEEAPRTVSAHGIMMEVRGRRKLDLARVSPGDGRSREEVLGEPLTAAEVRDLVKPHISHNRQLNIGRDGLTHNMLEMIHCHWRRQEICKVRCRGVPTVDMKNLCYHLEEAPEGLTKEEADEMRRRGKDLLPICKLAKNGIYIYLVRDVRDAFEGSDLVKIDCEGLNPSDYKKIGAKLRDLVPCVLLSFDNEQVLMFRGKEWKSRYPKPLTLIPKIRKNNVPMSSDESSSDEATDDDDCLAVREVLRPKMFELWTNAIESSVALMLDDAEVDALTPDSLLTRVEDFSVTSQAVEHSFPAVLVANDESNPDVLNAEYTEDEPETGTLEPQQHEFTESSDVAEDYHFEDDMLKRLESSVPLGALPIDAVVKQLNDE >ORUFI01G14930.2 pep chromosome:OR_W1943:1:11428382:11435354:1 gene:ORUFI01G14930 transcript:ORUFI01G14930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPWTGKAPLLTKEEKARRRGVRLHTPLGEEAPRTVSAHGIMMEVRGRRKLDLARVSPGDGRSREEVLGEPLTAAEVRDLVKPHISHNRQLNIGRDGLTHNMLEMIHCHWRRQEICKVRCRGVPTVDMKNLCYHLEEAPEGLTKEEADEMRRRGKDLLPICKLAKNGIYIYLVRDVRDAFEGSDLVKIDCEGLNPSDYKKIGAKLRDLVPCVLLSFDNEQVLMFRGKEWKSRYPKPLTLIPKIRKNNVPMSSDESSSDEATDDDDCLAVREVLRPKMFELWTNAIESSVALMLDDAEVDALTPDSLLTRVEDFSVTSQAVEHSFPAVLVANDESNPDVLNAEYTEDEPETGTLEPQQHEFTESSDVAEDYHFEDDMLKRLESSVPLGALPIDAVVKQLNDE >ORUFI01G14940.1 pep chromosome:OR_W1943:1:11435981:11436400:-1 gene:ORUFI01G14940 transcript:ORUFI01G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEWRWGGQIQPRLAGSGLGQQRWRLVGEDSARSPSSRSPLFLQPSPTELIVIVADEPPLHRLSPRSGTPPTPSATSTAGPSAAALSRGQRQGEGWKRNSGRGSDGQGTLTLANASSGSVSQQKGGEERSPAKDHAPS >ORUFI01G14950.1 pep chromosome:OR_W1943:1:11438034:11448033:-1 gene:ORUFI01G14950 transcript:ORUFI01G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDGKMMKGVKVASAKRIERDGSSGQIDKAHTIQGSSPSQCDEKLDDAGAGDGWSLAATPIPLLLQLAAFLPWPDTDKLPSPSMSSCYVVWSEDDHGLGDAARGRKRDKNEATSLKGMMN >ORUFI01G14960.1 pep chromosome:OR_W1943:1:11448163:11449347:-1 gene:ORUFI01G14960 transcript:ORUFI01G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCRGIGFVSDDVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYLNDAGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPDKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILKIVKENFDFRPGMMTINLDLKRGGNRFIKTAAYGHFGREDPDFTWEVVKPLKYEKASS >ORUFI01G14970.1 pep chromosome:OR_W1943:1:11460327:11463602:1 gene:ORUFI01G14970 transcript:ORUFI01G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEGEPSRERDLGKKPMAMDEGASPWRGQAKEGDNLEAAPVRCCSCSPWVIGDEKKHLPLLFVCPPRPRATVLTAPRRLHPDSGKSSCVPYVIVDDALPSGRFLLRATDGWYGLNGAYYICCDCDARTRVATPPPPSGSLDHLNFPRRRSVEDSRHRGYCLVAQAQLHPTSTTTTQQHETVVDYPARDNKWDVKECPHHQRAMGGCHGGVLCCADLPYGFLTSCVPFADELRRLRYVELPQGCVMVGDDEKHHRWLFATVRVKIHGIPDAPVVSSWTLFYVLTALMVPSGLYVGAIGEVAERPTAPTLWHKILHWLARPFLNWPSLWDKIKQCVLKAVGWPLPVEHSWLNVLGPPIKQIGNTCSVSAVALCIEAKFHKYGFRCTIERPPHKLLQDCLDSSFLEPDEGIPAIRVIEVLSKTGGLTTTNGLILPITGRIPHWIEHEGWSMKEVAEFIYEHGPVIAVVWVVRHEFRACIGDVVYYGLPDRSLRDREDKDQCMHVVVCFGYRFTQSFDLHLSIMDSSTDDGPTRWLHYTSVDGLYSPEIAKPLLG >ORUFI01G14980.1 pep chromosome:OR_W1943:1:11475447:11476220:1 gene:ORUFI01G14980 transcript:ORUFI01G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCWFVFFQTHLLALVGGAGEGRLRILSAAERKGNGLALQQESFCSFLLQEWRNSGSCGAKSRQSRGEESLTDGDEKCT >ORUFI01G14990.1 pep chromosome:OR_W1943:1:11479408:11483576:-1 gene:ORUFI01G14990 transcript:ORUFI01G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVLTQRGTQIGGGIIDIEKLARQLIMGSVMGSLALAYHDAREFRMETKRKAEHEVNLLRPPALLHWDGGDGEQPPPLDERRRIVQRWIEYEKRKGPRRFEYLPGEDGANDARPNVRIALYYYNCNHPGAEFDCVRSLSAHFASFREEPLFVVRRIEGLQEPVHHTTKRELSITEEPGRTETVQEAPLVQYRSSCAFCSDRHYEVLHPSEEEFVCGKEGQEVEPSGWFYWMMDIGGRLPDRILVRPRCFLDDVSDFCSFVFYVFFIWLARLASAIKVSSIG >ORUFI01G14990.2 pep chromosome:OR_W1943:1:11479408:11482752:-1 gene:ORUFI01G14990 transcript:ORUFI01G14990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLALAYHDAREFRMETKRKAEHEVNLLRPPALLHWDGGDGEQPPPLDERRRIVQRWIEYEKRKGPRRFEYLPGEDGANDARPNVRIALYYYNCNHPGAEFDCVRSLSAHFASFREEPLFVVRRIEGLQEPVHHTTKRELSITEEPGRTETVQEAPLVQYRSSCAFCSDRHYEVLHPSEEEFVCGKEGQEVEPSGWFYWMMDIGGRLPDRILVRPRCFLDDVSDFCSFVFYVFFIWLARLASAIKVSSIG >ORUFI01G15000.1 pep chromosome:OR_W1943:1:11484512:11490260:1 gene:ORUFI01G15000 transcript:ORUFI01G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQRHGRRGGRWQGEASSAGEHGSGEAAAAAGECGCGWGAAARTPRRSASSSTPLGLIVRSLGGRTKVGGRRQAVQRPDQGGTTILSLCYY >ORUFI01G15010.1 pep chromosome:OR_W1943:1:11490331:11490655:-1 gene:ORUFI01G15010 transcript:ORUFI01G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAASEAVAEFQRRGSTATSGDAASTAPPPTPVLLANDNASPSGMHADLYYASLARGLLIEPQPPLTIVEGCCDDEGYGGVEMELWS >ORUFI01G15020.1 pep chromosome:OR_W1943:1:11502819:11504845:1 gene:ORUFI01G15020 transcript:ORUFI01G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSAASTAARRSSPPRLAVSGFNSCSWSHGTNIPSTAALYLGGEWGRLSTDRSRFRPPANVPVRANSDHDRRSTTVMWPSPRRWLLAHTTAKLPATHSASVSAARRPPLPSVPPAPALAWPPPCREISDCIARPHVRIALNYYNFNHPGAEFDCVRPLSAYFASFGGQIWSHVNFLARRRGCIDAPVLRFFAELFYYGRLAETPVVVSCTILRGM >ORUFI01G15050.1 pep chromosome:OR_W1943:1:11569806:11570111:-1 gene:ORUFI01G15050 transcript:ORUFI01G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPKILNGFDIIASSPSFDMSGLFQERGERMRFVSGASVADIIAKLEEIAGMVSFMAWTKDCQVSIEATRNGQKSALAISAKVFELTCELVMVQLSMVSL >ORUFI01G15060.1 pep chromosome:OR_W1943:1:11576488:11586834:1 gene:ORUFI01G15060 transcript:ORUFI01G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDNLIPVAGERWSVVVEAKALLRASLPDAGSYICTSCSMWLAAEDRVESADDGWLLLRNVELISIPHRYILPRFYRRLLLATRLPSPLPMWEVGSKSKTPRSKFVTFETCRDTRKTKNSERKTRR >ORUFI01G15070.1 pep chromosome:OR_W1943:1:11576512:11597242:-1 gene:ORUFI01G15070 transcript:ORUFI01G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNGVGGKQTGSRRRKTAGLRGGRRRVWRMVFAAGSTALYIVVDQLDATSSTTGTWYGVAIPAAEEDDRLLTTLQLSLTSPWLPVSTSMLPILTMAATNFSFHSVSFAAKLLADAPSVAFTRSWLSEKKSPSVAGVLTSIAVVAVADAFDGHSALSSAAYPGLSPLGVISQQADERREIIRSRSVREEIVSCTAQEETEHPGGVSMSISLLTLEGDICMYGGNKMSCRCYPASGSEARSSAFASTTTDHRSPATGIN >ORUFI01G15110.1 pep chromosome:OR_W1943:1:11630320:11630670:-1 gene:ORUFI01G15110 transcript:ORUFI01G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSVGAVLRAGLAVGLLLLAAGAAACHGARAVPGEPEPEPATYRPQNVYGFGGFYPGPNINWVFPGPNGVTPQVGFGGMPGSSSSVFPGAGGASPLTPGGGGVIGIHGATKKP >ORUFI01G15120.1 pep chromosome:OR_W1943:1:11631604:11642273:-1 gene:ORUFI01G15120 transcript:ORUFI01G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSPEKAGAACPECLERRILSGLPGSCFSFVHGLHESPLPFASAAVVQIASDGAEECNGSENNSLENGSQIDLRGKESTDADDNHRKQSIINTITKLTPTRYLARAATSEIRELISSYLNLTTEESVMNSLNLLSENKIVGSGGLDFLNFTGFSEFNDIHPSGHVRHPNILPVLGIVETYDCCYMLHPKSPYTLENIMHYSPEALWSDWHIRFLIYQIISALNCGFAESKRPALTLCCFEEDCSSRAIYSGFNLSSSLDWQSYSKRWWMGELSNYEYILVLNKLAGRRWGDPAFHTVMPWVIDFTVRPDENSDIGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKNILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDPRIFVSLHSEMSNLALPSWVTSAEEFICLHRDALESDRVSRQLHHWIDITFGYKLSGESSVEAKNVMLPPSDPAKPKSTGRRQLFMKPHPKRLTITPHSTYHNKMESCAKCQGGSSSMTTGLLLKDHIPPAMSSQIDYLEEFEQANVFMKLENHLCPIYDYANDSSCCCSSVKYNKSPCSNQDIVPPESVLSVAPDFDFCSFLECFESDDSSPTGYQELLRWKQKSCSVNEYHANDIFSVGCILAEIYLHRPLFDADLLSAYKETGVLPGAIQELPIHVAVLVESCIQREWKRRPLAKHLLESQYFPPSVRSAYMFLAPLQLICTPRDRLKYVAKLASEGTLRAMGECAAEMCAPYCLPLVSPSLSDIDTALALLKEFVKCLSVQATKDLILHIIQKILQAEYSHLKVSLLQDSFVRELWKKLGKQTYIEKVHPLVIMNLYNSPNKITASAASVVLIGSILPIIQCFGKGLCPDGIDTLVRIGGLLGESFTVKQILPLLRNVILSCIESSKINKPEPQHSWNSFSLMDGLSALEGLVSVLPVKTILRELLQDQVCLHIKVLTQVAASALVDLCQQIGPENTATYVLPHLKELFAELAFSHESSGLSVPTKGLKFFDGNKTEPAKMESRIDLVFLLYPFLAALVGIEKLRECYSTWFLLEQALQRLYGWKPSTDHSGSSENMKGQRFRPGNYTSSEPAPTKLVAEIGKNGRNMTVSNQGSRLEHGSSSDNLCASTSGNQPWFWFPSPDRNCWAPDFLGRSAGMKDELPWKIKASVLYSARAHPGALRSLAVHDDECTIFTGGVGPGFKGSIQKWELPNMNCSSGYYGHEEVVNSICILSITGKVASCDGTIHIWNAQTGKLIAVHTESSISFPQQTASIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESKDKLVAGMGNGSIRFIDISQDQKLHLWKSDSDEISFSSLVSAICSCASDKLKKDSTAASSSWIAAGLSSGYCRLLDERSGNIVAVWRAHDGHITKLAAPEDHLIVSSSLDKTLRVWDLRGNLSTQSNIYRSHSDGIINFSAWGQDMVSVSRNKIALTSLSRPTSEIGHQQLTFQNLYSSDRGVKYKNMSVLSTISVLPLSRLFVVGTEDELT >ORUFI01G15120.2 pep chromosome:OR_W1943:1:11631604:11642273:-1 gene:ORUFI01G15120 transcript:ORUFI01G15120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSPEKAGAACPECLERRILSGLPGSCFSFVHGLHESPLPFASAAVVQIASDGAEECNGSENNSLENGSQIDLRGKESTDADDNHRKQSIINTITKLTPTRYLARAATSEIRELISSYLNLTTEESVMNSLNLLSENKIVGSGGLDFLNFTGFSEFNDIHPSGHVRHPNILPVLGIVETYDCCYMLHPKSPYTLENIMHYSPEALWSDWHIRFLIYQIISALVYLHDFGVHHGNLKPSAILMSDSLWPYLSISDICPVKQNCGFAESKRPALTLCCFEEDCSSRAIYSGFNLSSSLDWQSYSKRWWMGELSNYEYILVLNKLAGRRWGDPAFHTVMPWVIDFTVRPDENSDIGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKNILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDPRIFVSLHSEMSNLALPSWVTSAEEFICLHRDALESDRVSRQLHHWIDITFGYKLSGESSVEAKNVMLPPSDPAKPKSTGRRQLFMKPHPKRLTITPHSTYHNKMESCAKCQGGSSSMTTGLLLKDHIPPAMSSQIDYLEEFEQANVFMKLENHLCPIYDYANDSSCCCSSVKYNKSPCSNQDIVPPESVLSVAPDFDFCSFLECFESDDSSPTGYQELLRWKQKSCSVNEYHANDIFSVGCILAEIYLHRPLFDADLLSAYKETGVLPGAIQELPIHVAVLVESCIQREWKRRPLAKHLLESQYFPPSVRSAYMFLAPLQLICTPRDRLKYVAKLASEGTLRAMGECAAEMCAPYCLPLVSPSLSDIDTALALLKEFVKCLSAPEYSHLKVSLLQDSFVRELWKKLGKQTYIEKVHPLVIMNLYNSPNKITASAASVVLIGSILPIIQCFGKGLCPDGIDTLVRIGGLLGESFTVKQILPLLRNVILSCIESSKINKPEPQHSWNSFSLMDGLSALEGLVSVLPVKTILRELLQDQVCLHIKVLTQVAASALVDLCQQIGPENTATYVLPHLKELFAELAFSHESSGLSVPTKGLKFFDGNKTEPAKMESRIDLVFLLYPFLAALVGIEKLRECYSTWFLLEQALQRLYGWKPSTDHSGSSENMKGQRFRPGNYTSSEPAPTKLVAEIGKNGRNMTVSNQGSRLEHGSSSDNLCASTSGNQPWFWFPSPDRNCWAPDFLGRSAGMKDELPWKIKASVLYSARAHPGALRSLAVHDDECTIFTGGVGPGFKGSIQKWELPNMNCSSGYYGHEEVVNSICILSITGKVASCDGTIHIWNAQTGKLIAVHTESSISFPQQTASIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESKDKLVAGMGNGSIRFIDISQDQKLHLWKSDSDEISFSSLVSAICSCASDKLKKDSTAASSSWIAAGLSSGYCRLLDERSGNIVAVWRAHDGHITKLAAPEDHLIVSSSLDKTLRVWDLRGNLSTQSNIYRSHSDGIINFSAWGQDMVSVSRNKIALTSLSRPTSEIGHQQLTFQNLYSSDRGVKYKNMSVLSTISVLPLSRLFVVGTEDELT >ORUFI01G15120.3 pep chromosome:OR_W1943:1:11631604:11642273:-1 gene:ORUFI01G15120 transcript:ORUFI01G15120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSPEKAGAACPECLERRILSGLPGSCFSFVHGLHESPLPFASAAVVQIASDGAEECNGSENNSLENGSQIDLRGKESTDADDNHRKQSIINTITKLTPTRYLARAATSEIRELISSYLNLTTEESVMNSLNLLSENKIVGSGGLDFLNFTGFSEFNDIHPSGHVRHPNILPVLGIVETYDCCYMLHPKSPYTLENIMHYSPEALWSDWHIRFLIYQIISALVYLHDFGVHHGNLKPSAILMSDSLWPYLSISDICPVKQNCGFAESKRPALTLCCFEEDCSSRAIYSGFNLSSSLDWQSYSKRWWMGELSNYEYILVLNKLAGRRWGDPAFHTVMPWVIDFTVRPDENSDIGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKNILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDPRIFVSLHSEMSNLALPSWVTSAEEFICLHRDALESDRVSRQLHHWIDITFGYKLSGESSVEAKNVMLPPSDPAKPKSTGRRQLFMKPHPKRLTITPHSTYHNKMESCAKCQGGSSSMTTGLLLKDHIPPAMSSQIDYLEEFEQANVFMKLENHLCPIYDYANDSSCCCSSVKYNKSPCSNQDIVPPESVLSVAPDFDFCSFLECFESDDSSPTGYQELLRWKQKSCSVNEYHANDIFSVGCILAEIYLHRPLFDADLLSAYKETGVLPGAIQELPIHVAVLVESCIQREWKRRPLAKHLLESQYFPPSVRSAYMFLAPLQLICTPRDRLKYVAKLASEGTLRAMGECAAEMCAPYCLPLVSPSLSDIDTALALLKEFVKCLSVQATKDLILHIIQKILQAEYSHLKVSLLQDSFVRELWKKLGKQTYIEKVHPLVIMNLYNSPNKITASAASVVLIGSILPIIQCFGKGLCPDGIDTLVRIGGLLGESFTVKQILPLLRNVILSCIESSKINKPEPQHSWNSFSLMDGLSALEGLVSVLPVKTILRELLQDQVCLHIKVLTQVAASALVDLCQQIGPENTATYVLPHLKELFAELAFSHESSGLSVPTKGLKFFDGNKTEPAKMESRIDLVFLLYPFLAALVGIEKLRECYSTWFLLEQALQRLYGWKPSTDHSGSSENMKGQRFRPGNYTSSEPAPTKLVAEIGKNGRNMTVSNQGSRLEHGSSSDNLCASTSGNQPWFWFPSPDRNCWAPDFLGRSAGMKDELPWKIKASVLYSARAHPGALRSLAVHDDECTIFTGGVGPGFKGSIQKWELPNMNCSSGYYGHEEVVNSICILSITGKVASCDGTIHIWNAQTGKLIAVHTESSISFPQQTASIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESKDKLVAGMGNGSIRFIDISQDQKLHLWKSDSDEISFSSLVSAICSCASDKLKKDSTAASSSWIAAGLSSGYCRLLDERSGNIVAVWRAHDGHITKLAAPEDHLIVSSSLDKTLRVWDLRGNLSTQSNIYRSHSDGIINFSAWGQDMVSVSRNKIALTSLSRPTSEIGHQQLTFQNLYSSDRGVKYKNMSVLSTISVLPLSRLFVVGTEDELT >ORUFI01G15120.4 pep chromosome:OR_W1943:1:11631036:11642273:-1 gene:ORUFI01G15120 transcript:ORUFI01G15120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSPEKAGAACPECLERRILSGLPGSCFSFVHGLHESPLPFASAAVVQIASDGAEECNGSENNSLENGSQIDLRGKESTDADDNHRKQSIINTITKLTPTRYLARAATSEIRELISSYLNLTTEESVMNSLNLLSENKIVGSGGLDFLNFTGFSEFNDIHPSGHVRHPNILPVLGIVETYDCCYMLHPKSPYTLENIMHYSPEALWSDWHIRFLIYQIISALVYLHDFGVHHGNLKPSAILMSDSLWPYLSISDICPVKQNCGFAESKRPALTLCCFEEDCSSRAIYSGFNLSSSLDWQSYSKRWWMGELSNYEYILVLNKLAGRRWGDPAFHTVMPWVIDFTVRPDENSDIGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKNILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDPRIFVSLHSEMSNLALPSWVTSAEEFICLHRDALESDRVSRQLHHWIDITFGYKLSGESSVEAKNVMLPPSDPAKPKSTGRRQLFMKPHPKRLTITPHSTYHNKMESCAKCQGGSSSMTTGLLLKDHIPPAMSSQIDYLEEFEQANVFMKLENHLCPIYDYANDSSCCCSSVKYNKSPCSNQDIVPPESVLSVAPDFDFCSFLECFESDDSSPTGYQELLRWKQKSCSVNEYHANDIFSVGCILAEIYLHRPLFDADLLSAYKETGVLPGAIQELPIHVAVLVESCIQREWKRRPLAKHLLESQYFPPSVRSAYMFLAPLQLICTPRDRLKYVAKLASEGTLRAMGECAAEMCAPYCLPLVSPSLSDIDTALALLKEFVKCLSVQATKDLILHIIQKILQAEYSHLKVSLLQDSFVRELWKKLGKQTYIEKVHPLVIMNLYNSPNKITASAASVVLIGSILPIIQCFGKGLCPDGIDTLVRIGGLLGESFTVKQILPLLRNVILSCIESSKINKPEPQHSWNSFSLMDGLSALEGLVSVLPVKTILRELLQDQVCLHIKVLTQVAASALVDLCQQIGPENTATYVLPHLKELFAELAFSHESSGLSVPTKGLKFFDGNKTEPAKMESRIDLVFLLYPFLAALVGIEKLRECYSTWFLLEQALQRLYGWKPSTDHSGSSENMKGQRFRPGNYTSSEPAPTKLVAEIGKNGRNMTVSNQGSRLEHGSSSDNLCASTSGNQPWFWFPSPDRNCWAPDFLGRSAGMKDELPWKIKASVLYSARAHPGALRSLAVHDDECTIFTGGVGPGFKGSIQKWELPNMNCSSGYYGHEEVVNSICILSITGKVASCDGTIHIWNAQTGKLIAVHTESSISFPQQTASIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESKDKLVAGMGNGSIRFIDISQDQKLHLWKSDSDEISFSSLVSAICSCASDKLKKDSTAASSSWIAAGLSSGYCRLLDERSGNIVAVWRAHDGHITKLAAPEDHLIVSSSLDKTLRVWDLRGNLSTQSNIYRSHSDGIINFSAWGQDMVSVSRNKIALTSLSRPTSEIGHQQLTFQNLYSSDRGVKYKNMSVLSTISVLPLSRLFVVGTEDVL >ORUFI01G15130.1 pep chromosome:OR_W1943:1:11653146:11653899:-1 gene:ORUFI01G15130 transcript:ORUFI01G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGNGDVHAINTNGPSPILRVVLDAKNSLINCAKYIVLSESGDLLQVWRYYHYVNNNKERRTRELVVYKVDLVEHKLVELKDIEGHALFIGFNSSFLRVEDFPMLTPNSVYCTDDTVHYIYHSRFGFREVSAFHLEDSSFTDLLPIGSRLNWPPPVWFRPSYSKGTLCHNSAD >ORUFI01G15140.1 pep chromosome:OR_W1943:1:11658676:11659134:1 gene:ORUFI01G15140 transcript:ORUFI01G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTLPPSLATLWPVRPQRGHFGATIGDPPPSSPSPSRCVAVGAYGGGGAGCAPCFAVFSHQHKLFYSQPSPPRAKLRLVGRIDGRKEEAAHHSRRQPSLQKEAAAKPASAVEAPTKKQKLAMERKEIDQERHCQSTESGIAAAKFKLQT >ORUFI01G15150.1 pep chromosome:OR_W1943:1:11661486:11662766:-1 gene:ORUFI01G15150 transcript:ORUFI01G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSRVSSPSTTSQHPPPPQALSSPPSPHASRRARRTRTRTREAAAWTRTRTATADADEGGCVGPAAEVVDEDGGGWQREAAVVEGAVDADEVGGGDGRGRWQRLRTTTRTRAAADADTDEGSSVGHGEHRRGRQAGNGGDHDSPSLHRISRMPIACDAFYVSS >ORUFI01G15150.2 pep chromosome:OR_W1943:1:11662306:11662766:-1 gene:ORUFI01G15150 transcript:ORUFI01G15150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSRVSSPSTTSQHPPPPQALSSPPSPHASRRARRTRTRTREAAAWTRTRTATADADEGGCVGPAAEVVDEDGGGWQREAAVVEGAVDADEVGGGDGRGRWQRLRTTTRTRAAADADTDEGSSVGHGEHRRGRQAGNGGDRGI >ORUFI01G15160.1 pep chromosome:OR_W1943:1:11672721:11677668:-1 gene:ORUFI01G15160 transcript:ORUFI01G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASVTGELLEQEAPSSSISGVDWSQLPADLLVCIFGVLEVPDVFSSGVVCRAWHASFLEARRLGICSSNPGPCLVFSSGDRDPSVVTLHSLTTGKNYYVTMPDPPFRTRYIVGSSHGWLITADERSNLLLVNPATQAQIPMPPPETIANVRIRCNGEGVPHGYDLFKLDMSSQDFDTETEPDDLSWEEGRFYFYTRVVLSADPSSGNCTVMILHLLRNLLFFARVGDTHWTWINVNELCWNYHDVLYNDDDRLFYAIRGNGDVHAINTNGPSPILRVVLDAKSSLVDCAKYIVLSELGGLLQVWRYHHYVNNNKERRTSELVVYKVDLVEHKLVELKDFEGHALFIGFNSSFFLREEDFPMLTPNSVYCTDDTVTYICHSRFGFREVSSSVTGELLEHEAPPSTPGLDWSQLPADLLICILGTLEIPDIFSSGVVCRSWHASYLEACRLGICSNNPGPCLVFSSSDRDPSITTLHSLTTGKDHYVTMPDPPFRTRYIVGSSHGAAQITMPPPETIANVRIRCNGEGVPDGYDLFTMDMSSRDFDTETEPNDLSWEEGRFYFYKRVVLTADPSSRNCTVMILHLLDDFLSYARVGDNHWTWIDVDELCCCYHDVLYKDDDRLFSAIRATGDVHAIDTNGPSPMLRVVLDTKNSLINNTKYIVLSESGDLQVWRYYKHVNNDRRTRELIVYKVDLGEQKLAELKDFDGRALFIGFNSSFFLRVEDFPMLAPNSVYCTDDSMEYIYCVRFGFREVGAFHLDDSSFTDQLIGSRLNWPSPIWFWPSFTKNWKEVENNSNTLAAESKVNTSDDTTSAAMLVISGWGLMKSDTNFTKVDKATQSMHMVFGCETTGNWVDHCHCLVKIAEGKYVAVQIFSNPLGWQLLGNLF >ORUFI01G15170.1 pep chromosome:OR_W1943:1:11683252:11683719:-1 gene:ORUFI01G15170 transcript:ORUFI01G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKQKHKHKKVKLAVLQFYKVDDATGKVTRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYNQQA >ORUFI01G15180.1 pep chromosome:OR_W1943:1:11684002:11687541:1 gene:ORUFI01G15180 transcript:ORUFI01G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSSGDMGSSGAKDVILDEPLLTSGSAESSQQRSTDADTKSRVEDIWKKMNSGMPAKMPKPVMNKLSTPAKEKKSTTGNNWMSVLGLSPSKASTNDQGSKNGQKQAQQETSEDAKKLAASALAAVRDAASAAAGRGKVEITEVRDFAGKDIEIKKLVDADSTEAIEKAKAAGAAPSALDHILEQIKKKQKLSVLDKTKKDWGEFKEENKGMEEELDQYKKSSNKYLDKVSFLQRADYREFERERDARLSMMSKRKSDTRED >ORUFI01G15190.1 pep chromosome:OR_W1943:1:11687911:11691873:1 gene:ORUFI01G15190 transcript:ORUFI01G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAACCYRPAAAGRASLDAFATSSPSLRPSSSGVFFISSSSSRWWMRRRRGEGKVKISSSSRARAKPMSRPALFSPVAMEWQECSTEIEVDVPCSVAYQCYSERETIPQWMPFISSVKILEDKPDQSRWTLKYEILGRDVEFSWLARNMTPTKNQKIHWRSLEGLQNRGAVRFFPKSSSSCRVQLTVAYEVPEILAPVASALKPFLEGLLMQGLERFATFAKERYSKIPQP >ORUFI01G15200.1 pep chromosome:OR_W1943:1:11692615:11695683:-1 gene:ORUFI01G15200 transcript:ORUFI01G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAILARRRAAEALLRRPLGAAGVSALRASYAAVAGEESDVVVVGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKSSFAHHGVKFSNLEVDLPAMMAQKDKAVAGLTKGIEGLFKKNKVTYVKGFGKLASPSEVSVDLSDGGSTVVKGKNIIIATGSDVKSLPGVTIDEKKIVSSTGALCLSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEVRKQFQRMLEKQKMKFMLKTKVVGVDTSGDGVKLTLEPAAGGEQSVIEADIVLVSAGRVPYTAGIGLESVGVETDKAGRILVDKRFMTNVNGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKALGIPYRVGKFPLLANSRAKAIDDAEGLVKVVAEKETDKILGVHIMAPGAGEIIHEAVLALQYGASSEDIARTCHAHPTVSEALKEACLQTFTKAIHI >ORUFI01G15210.1 pep chromosome:OR_W1943:1:11698331:11698510:1 gene:ORUFI01G15210 transcript:ORUFI01G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGPSPVASDLLLQLPAQIEMVYIPGEFHDLAVVYIPGEFHDLAEQLQVKLAGDMPL >ORUFI01G15220.1 pep chromosome:OR_W1943:1:11702137:11710104:-1 gene:ORUFI01G15220 transcript:ORUFI01G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCTGVLLILTLAVLLLLLSPSPSTAPPPAATAAGGPAARLLPTLPGLSRLYPPPANSTAHHSWRLLRPLLLRSDALPGTAAGVLEAADAWRNLTLAVAASAAGGKDGRRQGDLDVSCRSSVEGDLGGVGARGVKIPCGLAEGSAVTVVGVPKPGAAWFRVEMVGGGGEVVVSVNVSLGVAEMVVEQSSWTREEGWGLSERCPPVGDADRNSSSLLSLVDGLVRCNQQAGVSGLQGRNNTMANVTANEHENEKRPKGRANFGGSFSIIEGEPFTATLWAGAEGFHMTVNGRHDTSFAYRERLEPWSVAEVKVSGDLELLSVLANGLPVSEEVDMASVELMKAPPLSKKRIFLLIGVFSTGNNFKRRMALRRTWMQYEAVRLGEVAVRFFTGLHKNEQVNMEILKEAQMYGDIQFMPFVDYYTLITLKTIAICMFGTKVVPAKYIMKTDDDAFVRIDEVISSLKKSDPHGLLYGLISFQSSPHRNKDSKWFISPKEWPVEAYPPWAHGPGYIVSRDIAKFIVHGHQERTLQLFKLEDVAMGIWIQQYKNSGQKVNYVNDDRFYSEGCDSDYVLAHYQSPRLMMCLWEKLQKEYQPEERNFLRFNPSNPLKPNRMNRCGEIQTIDFSGSAAPVVNCICVPLKHSFDRASYIVRAPWGDILQVWRSFELDDGEEPRTYELAVFKLDLATEDLVQIKDLRGHALFVSFFVSVNEFPVLTPNCVYLAHDSTKCRRFKHIAKAVRVYNLQDDTFADQYTQSSWKNCPPPALWFQPTWSLNK >ORUFI01G15230.1 pep chromosome:OR_W1943:1:11703239:11703469:1 gene:ORUFI01G15230 transcript:ORUFI01G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPSSIAADCTMARSLSKRWRQAGSKQDGRTPAARRASDCFLLGWEPPFGCLGVVASIGAAGTNVYGVLHLRAS >ORUFI01G15240.1 pep chromosome:OR_W1943:1:11714760:11720108:-1 gene:ORUFI01G15240 transcript:ORUFI01G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVFTRSLLMYFNKIVRSLRPLVHSPPPQPAAADNIVRWPSDDELLPAPDTTTTSVVTTVCKVEGGLLMSPSTFPYFMLVALEAGGGGGGLLRGLLLLLLYPALRLLGHDRAIRVMAMVSFAGVRKDGFRLGSSTLPRLLLEDVSAEVFEAAVRRRRCVCVSGMPREMVEPFLREYLGVDAVVAPEVRAFGGYYLGLMESDGEVLRRLDMEEVIGGGEKEETCGDGDGRVVVGIGGRGRSFSRIFQKYCKEVYVATKSARRRWRPLHPRRYAKPLIFHDGRTAFRPTAAATLAMFMWLPLGAPLAILRTAVFLLLPFSISVPLLAALGMHSRRISSSSLPPAAAAAHNLFVCNHRSLVDPLYVSAATGRTDLSAATYSISRLSEILAPIRTFRLTRDRATDRAAMQAHLSLPGGGGGGLVVCPEGTTCREPFLLRFSPLFTELGADVQPVALHSAVSMFHGTTAGGWKLLDPLYLLMNPTPAYVVQLLDPVAVGGEGGGGGPELANEVQRRIAEALGYTRTALTRRDKYLALTGNDGGVDRRRVAGSHHHQEGVLNG >ORUFI01G15250.1 pep chromosome:OR_W1943:1:11738450:11741212:1 gene:ORUFI01G15250 transcript:ORUFI01G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPVKPPEELSPVGAPRSRVRAMPPSPSLSPPAKAPSHSHAKTPSMPPAERPALPPTKAPAAISPATPPQLSPAKAHSTHHHAKPPSLPPAEPPVPSPSPEHPPRHSPSKPPAYAPAKPPTALRPAIPPAAMPKPPSVAPVQPPQRPPAPATKPPPSFPPQLAPTMPPPAHAPAETPAPPTTPPALPPATTAPSPKNSSSSPPPPCTGGGGGISNVFDVRAFGATGNGSSADGDTRAFRAAWKAACSAESATVLVPSDGVFTITSTIFAGPCKPGLTFQIDGVLMPPDGPASWPAADGRRQWIVFYRADGMTLSGKGTIEGNGEEWWNLPCKPHRGPNGSTLPGPCESPALIKFVASSDVSVQGLRMENSPQFHLKFDGCSRVLVDGLVVSSPASSPNTDGVHVENTSSVRILNSRISNGDDCVSIGGGCSGVRVENVTCVHGHGISIGGLGARGARACVSNVTVRGARVVDSDNGVRIKTWQGGAGSVSGVVFDAVQMVNVRGCIVIDQYYCDAHGGAGAGCANQTAAVRVDGVAYRGIRGTYNPRGGGGAPVRFACSDTVACTGITMTDVELLPAGGGDEGGGASAGAKLADPYCWNAYGVMETLTQPPVHCLQEGRPESLQDQLASC >ORUFI01G15260.1 pep chromosome:OR_W1943:1:11741938:11746200:-1 gene:ORUFI01G15260 transcript:ORUFI01G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAETSEASTAGLALAEANINWQRLDKTRFHVIGAILFTAQQGVLHPTAVVKTRMQVAEGGLSHMSGFSVFRRILRSDGIPGAFRGFGTSAVGALPGRVFALTSLEVSKEMAFKYSEHFDMSEASRIAVANGIAGLVSSIFSSAYFVPLDVICQRLMAQGLPGMATYRGPFDVISKVVRTEGLRGLYRGFGITMLTQSPASALWWSSYGGAQHAIWRSLGYGIDSQKKPSQSELVVVQATAGTIAGACSSIITTPIDTIKTRLQVMDNYGRGRPSVMKTTRVLLEEDGWRGFYRGFGPRFLNMSLWGTSMIVTYELIKRLSVKPE >ORUFI01G15270.1 pep chromosome:OR_W1943:1:11754019:11760343:1 gene:ORUFI01G15270 transcript:ORUFI01G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMTPEQIYGQNVYVPATANPYPYGYTEVGSPTEWYNNQSSLGYDGQDIYFPGFQTEGTQCMYYAAPDNGSGHPSYSPYPINSSFIVDGSYLPLEYVGDAADQTCQIVPSPYYVPTILPYAHDNVLGNTTAPLHPPVYVPTLPSYTVTSTNHALPSVPPVATKNDVIANPPIQSTIVTSKQFLDHASDPKVQLRNPIPLKKELADGSMMPVKYPHTSQAFSNFPERRSAAKHSPQEKFSVNNGSGFVGSNVQRWAAAEKFEPNSNLSGRIGSASPKMKLSNVDGLGGADKPCGQKSSAIIAKSYTSRLSVGDPEGTIVIRCNQYNSDDLRVDYPFAKFFVIKSIGEDDVHKSIKYGVWSSSSSGNSKLDIAFKDANRIAKRNSTKCPVFLFFSVNGSGLFCGMAEMVGPVDFHKDMDFWCQDKWTGSFPVRWHIVKDVPNYTLQHILLQNNENKPVTHSRDTQEIPYVPGISMLKILKAIKVKECLLDDFMKYEEDEARSKHYFRRSKLSHNAPDFVPVAQRRKDVTDVRQPKSGNVLIDRTPVIQNMSVKPQGSNAIKPQDQCLQVVEKQASDDGKENRHQENRNVRQANDKVVKTGTKQPQASTVKTSVDSKQQYWKKVEFPGQNPNSAVHGSSKAHEKHLNESKAPEKHSNGANCSSATVSLKTAREETIVARVSSLAISSQNSSVDVVKIGSMPVLVNKANV >ORUFI01G15280.1 pep chromosome:OR_W1943:1:11763227:11766608:1 gene:ORUFI01G15280 transcript:ORUFI01G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGLVTAGGGGGGKVMSLRLQYYVVLGGVTAVVLLACLRYTPTAAAVAAVGYGFWGNGDGASLAAAGAAATTVGGTGTSAAATTGGGGAGGGRSPSRVVIFNFGDSNSDTGGMAAAMGLNIALPEGRTYFRRPTGRISDGRLVIDFICESLNTPHLSPYLKSLGSDFSNGVNFAIGGSTATPGGSTFSLDVQLHQFLYFRTRSIELINQGVRTPIDRDGFRNAIYTIDIGQNDLAAYMNLPYDQALYGHGGRKFWVHGTGALGCLPQKLSIPRDDDSDLDGNGCLKTYNAAAREFNAQLGAACRRLRQRMADAAVVFTDVYAAKYDLVANHTLHGIERPLMACCGNGGPPYNYNHFKMCMSAEMELCDMGARFASWDGVHYTEAANAIVAARVLTGEYSTPPVRFASLVNSTAVPNDG >ORUFI01G15290.1 pep chromosome:OR_W1943:1:11768509:11768901:-1 gene:ORUFI01G15290 transcript:ORUFI01G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVEGTDSTVTVLGTARSAFTIVKKRVVEVKEVDPAAAATTTAAATMTTTEPQEGSSGSNPLPSSRSGRQWLGARVVDPEARDGGVATGGGARSQEAGGGFGDGSGTAAHPKAELKRGWRIWVFFFARK >ORUFI01G15300.1 pep chromosome:OR_W1943:1:11771068:11774407:1 gene:ORUFI01G15300 transcript:ORUFI01G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMHPGESLNIGYLSPYLKALGSDYSNGANFAIAGSATLPRDTLFSLHIQVKQFLFFRDRSLELISQGLPGPVDAEGFRNALYMIDIGQNDVNALLSYLSYDQVVARFPPILDEIKDAIQTLYDNGSRNFWVHGTGALGCLPQKLSIPRKNDSDLDSNGCLKTYNRAAVTFNAALGSLCDQLSTQMKDATIVYTDLFPLKYDLIANRTKYGFDKPLMTCCGYGGPPYNYNITIGCQDKNASVCDDGSKFVSWDGVHLTEAANAIVAKGILSSDYSRPKIKFDQFCKV >ORUFI01G15310.1 pep chromosome:OR_W1943:1:11774616:11775778:-1 gene:ORUFI01G15310 transcript:ORUFI01G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIAVVVLLVCTFMALQAMAADAYYDNNGGGGGDDSVTMQMFEEWMAKFGKTYKCHGEKEHRFAVFRDNVRFIRSYRPEATYDSAVRINQFADLTNGEFVATYTGVKQPPPATHPHPHPEEAPRPVDPIWMPCCIDWRFKGAVTGVKDQGACGSSWAFAAVAAMEGLMKIRTGQLTPLSEQELVDCVDGGGDSDGCGGGHTDAAFQLVVDKGGITAESEYRYEGYKGRCRVDDMLFNHAARVGGYRAVPPADERQLATAVARQPVTAYVDASGPAFQFYGSGVFPGPRGTAAPKPNHAVTLVGYCQDGASGKKYWIAKNSWGKTWGQQGYILLEKDVASPHGTCGLAVSPFYPTV >ORUFI01G15320.1 pep chromosome:OR_W1943:1:11775920:11782633:-1 gene:ORUFI01G15320 transcript:ORUFI01G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAVALSLGRSESAAAGLGCNGVSTTTMVVADCIAYDEWTVETRNGRINLSRPFLIDGQNPNHDS >ORUFI01G15330.1 pep chromosome:OR_W1943:1:11780782:11781908:1 gene:ORUFI01G15330 transcript:ORUFI01G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPHLGLVLSITCLLLQLLLVAANPPPPPPLRRPSCDKSDREMRFMFSQWMSKYSKRYSCPEEQEKRYQVWKANTDFIGAFRSQTEISSGVGAFAPQTVTDSFVGMNLFGDLASGEFVRQFTGFNATGFVAPPPSPSPIPPRSWLPCCVDWRSSGAVTGVKLQGSCASCWAFAAVAAIEGLHRIKTGELVSLSEQVMVDCDTGSNGCGGGRSDTALGLVASRGGVTSEERYPYAGARGGCDVGKLLSDHSASVSGFAAVPPNDERQLALAVAXRLPRQGRVVAAGHLRPRHLALLPDGLTLTTPPPPAGGGAAHGVREHACVPGRLC >ORUFI01G15340.1 pep chromosome:OR_W1943:1:11785617:11788827:1 gene:ORUFI01G15340 transcript:ORUFI01G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVATTTLLLTSHEAKPAKAPSIHDAIEVYIGATGARQLLKAMLLAFAWAFDAQQVFMSVFTDAEPPWHCTGVVDAVAAAAGDSGSSCSSPATSASPCALPPGTWEWDRLAKTSVVSDWALNCSGGGPALVSLPASSFFTGNLVVVLPYSLICAPMCDCGCESPATTTPLLTSHEAEPSIDDVIEAYIGATGARQLLKAMLLAFAWAFDAQQVFMSVFTDAEPPWHCTGVVDAAAAAAADSGSSCSPPAASASPCALPPGTWEWDRPAETSVVSDWALNCGPALVSLPASSFFAGNLAGGFLLATLADTHLGRRKMLLLSLVTMSVAAALTAFSPNVWVYSALRFVSGFGRSMVGTSAMVLSTELDGKRWRNTVSAAGFVFFSVGFVSLPALAYTFREASWRNMYVWTSLPSLCYAVLLYLLVQESPRWLLVRGRKQEAIEAVRQIASLNGGGGGITTSSFSMLDACAVELGDGGEGMFATLHSIWERRWALRRLAAITAASFGVGMVYYGMPLNVGSLSPSNLYLSVAYNAVAELPSSILAWLLMGRWFNRRGSVVALTTASGLCSLAACVPAVVLPDGARMAAEVASFFASCTAYDMMLMYTIELFPTSVRNSAVGLVRQAVALGGVVAPVLVALGRETTSYWSSSFGVFGLAVGCLGLLVTCLPETRGRRLSDTMEEEEAAVLSSSGASDMDNNGELV >ORUFI01G15360.1 pep chromosome:OR_W1943:1:11796818:11798058:1 gene:ORUFI01G15360 transcript:ORUFI01G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASTFDGDMLGWWSACRREMAKEHRRNVDGLFIYTTWGIWLQRNARIFNGTYSTVMQVVDSIIAMCKAYIGAHEKDETISGLHMFPRVSDGSLRRSFLLPGDAATRRGSLHRPRWQGPTWAKAHQRSSSRACGKATEEERQHTAARVVAAEQRHGPRRQVEDGKLDVSIVEPGRASFISRQLLNVMANLFDPLTMRSSLATVRHGSREQNSGFALASGASITQVDIDGEMLVP >ORUFI01G15370.1 pep chromosome:OR_W1943:1:11805606:11808686:-1 gene:ORUFI01G15370 transcript:ORUFI01G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDIWTLNLFCDGALNGPMHVQKNMDRNIICYFNLVGLIVDIGYLDCDFIYFLYFIIFTNIHMDVENARMTYIMKRREYHNKKDGRGVASMVAIESDWQVDEMCKQFESEKRQCISQSNTLHGPKTELVTDLGDAIAEVDDLCVLVNDAVSDNSNPSLVDEDFLQLYNNDLEGKLKEIKRQKEDPDERCEGDTDVEDIFPLTINLLDTRSTPIARRKGKETAIQHDNPPSPLANKTIHTESSHVQEPRFVANFQDGFGIRLVEEEVEQARCHLGEAGGEAGQQVN >ORUFI01G15380.1 pep chromosome:OR_W1943:1:11809555:11814995:1 gene:ORUFI01G15380 transcript:ORUFI01G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGVVVAVVAVVAVMMAVAVAAGEISDDGGDQPSPSPSPSASCARRPVVFAFGDSNTDTGGIAAGMGYYFPLPEGRAFFRRATGRLCDGRLVIDHLCESLNMSYLSPYLEPLGTDFTNGANFAISGAATAPRNAAFSLHIQVQQFIHFKQRSLELASRGEAVPVDADGFRNALYLIDIGQNDLSAAFSAGGLPYDDVVRQSLYYNGAKNLWIHGTGPLGCLPQKLAVPRADDGDLDPSGCLKTLNAGAYEFNSQLSSICDQLSSQLRGATIVFTDILAIKYDLIANHSSYGFEEPLMACCGHGGPPYNYDFNVSCLGAGYRVCEDGSKFVSWDGVHYTDAANAVVAGKILSADYSRPKLPFSYFCSA >ORUFI01G15390.1 pep chromosome:OR_W1943:1:11810260:11838503:-1 gene:ORUFI01G15390 transcript:ORUFI01G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGAAARAAAGGRRRCGEQLHGRKPAAGGMVAFVNGTFTMEMMMRLLEENESDMAQPQVGDVGDATDSGTEVAKSPRRNGQLLVGEKT >ORUFI01G15400.1 pep chromosome:OR_W1943:1:11846338:11851275:1 gene:ORUFI01G15400 transcript:ORUFI01G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHQVVGENYANPKTCFFHVLFKAGALAFYILSALFVTNFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEIDDEGNSVWKFECLDGESLARMNKKDSWLFWWTLYLTAAAWIVLGIFSLIRLHADYLLVVGVCLSLSIANIVGFTKCNKDAKKNVADWTRTTLLSSGVRSTIQSAFGV >ORUFI01G15410.1 pep chromosome:OR_W1943:1:11856312:11857043:-1 gene:ORUFI01G15410 transcript:ORUFI01G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFEMLQRRQRTAGRRRRLLLAFGLSKGGGKRFKDHLRMLHVRGIVPKLTRERGIEGMSRRPDLRKKGSISSTAVPRIDSSSRPPSGMPAMLLAQWLGQRWLESTGTAKRRTAAPWTPRTPTSASSYQVEEVVHVPMDKMRRSSPEVSKIGSNTFGQRNEEGVLGDKAQGYLRPTVSKNSLSSATSARQVERRRRGVHQQISTFMGCLPPKVHFRGVPELNTHNCDVL >ORUFI01G15420.1 pep chromosome:OR_W1943:1:11861482:11866429:1 gene:ORUFI01G15420 transcript:ORUFI01G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQTPMGLAAAAAAAVRPCRRRLLSSATAAAAATVATATPLFPRCPHPHHHLHGRRLPFLASAASQQQQQSGQTAASPATPPIPSDPRAAVSGNLPFFDRVLFPDTFPVETPPPSSAAASAAAAADEEVASALKAREETEAEREAWRLLRRAVVSYCGEPVGTVAAEDPECTETLNYDQVFIRDFVPSALAFLMRGETEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKIRAVPLDDNNEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYCKITGDNALQERVDVQTGIKLILSLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLVMNDGSKNLLRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDATNKFNIYPEQIPSWLVDWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAITSSLTTPKQAEGILSLIDEKWDDLIANMPLKICYPAMEDDEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPELARRAIAVAEEKLAADKWPEYYDTRSGRFIGKQSRSYQTWTIAGFLTSKMLLENPELASILTCDEDLELLEGCACCLSKKRTRCSRRAAKSHVVE >ORUFI01G15430.1 pep chromosome:OR_W1943:1:11866750:11876694:-1 gene:ORUFI01G15430 transcript:ORUFI01G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAQQHKCRSSIRSSHSSNINAMVVPAAAAPECGRREAAAAAAAAVFCRRGRGVVVPTVDMSAPAGRGELSRQVARACAGSGFFRAVNHGVPPRVSAAMDAAAAAFFARAGAQKQLAGPPDPLGYGSRSIGANGDVGELEYLILHASPDAVARKASAIDREDPRRFSQVVNDYVEAVRQLACHVLDLLGEGLGLRDPTSLTRLITATDNDSLIRINHYPPSCAAAAGDHKSGGGPAPTAAIGFGEHTDPQILSVLRANDADGLQLLLPDAAAAGDSVWVPVPPDPSAFFVNPRLSTIYFAAPPLHARISALPETVAAGAPRRYRAFTWAEYKRTMYTLRLSHNRLDLFHAGDGDGDAGVGDDDDHE >ORUFI01G15440.1 pep chromosome:OR_W1943:1:11887401:11901772:1 gene:ORUFI01G15440 transcript:ORUFI01G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAATARCLLLPLLSPLQSPHKQQQLIFAALLLLFFFSSLQSLHCYAAAGYNEQQEADRVAFLPGQPSSPKVSQFSGYITVNRQNGRALFYWFFEAQALPSQKPLLLWLNGGPGCSSVGYGAASELGPLRVSRNGAGLEFNKFAWNKEANLLFLESPVGVGFSYTNTSSDLTKLNDGFVAEDAYNFLVNWLDRFPQYKDHEFYISGESYAGHYVPQLADLVYERNKDKKANRYIKLKGFIVGNPLTDDQYDSKGLVEYAWSHAVVSDGIYERVKKVCNFKISNWTNDCNEAMSSIFRQYQEIDIYNIYAPKCNLAQTSRVAAFDHALEASDQEQFSRRIRMFSGYDACYSSYAEKYFNKPDVQKAFHANANGMLPGKWKVCSDSILRSYNFSVLSVLPIYSKLIKAGLRIWLYSGDADGRVPVIGSRYCVEALGLHIKRDWQPWYLNRQVAGRFVEYDGMTMVTIRGAGHLVPLNKPEEGLTLIDTFLLGKQLLTHR >ORUFI01G15450.1 pep chromosome:OR_W1943:1:11901749:11905214:-1 gene:ORUFI01G15450 transcript:ORUFI01G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCASPRLKSLCHHPLFIILLALSLLQTITAEDEQEADRVAFLPGQPRSPQMSQFSGYITVNSQNGRALFYWFFEAQALPSKKPLLLWLNGGPGCSSVGYGAASELGPLMVNGNGTGLEFNKFAWNNEANLLFLESPVGVGFSYTNTSSDLESIDDRFVAEDTYNFLVNWFKRFPQYKNHDFYISGESYAGHYVPQLADVVYERNKHVETNQHINLKGFIVGNAETDDYYDYKGLVEFAWSHSVISDQLYKHVNNVCDFRLSPRSNECNHVMGYIYDQYDMIDIFNVYAPKCNTDDSSLFSTSYSTADMNAKKRLKGTRMYSGYDPCYSSHIEDYMNKMDVQKSLHANTSGLIKDRKWSICSYSIFDNYDITVFSVLPIYSKLIKAGLRIWVYSGDVDGRVPVIGSRYCVEALGLPVKSQWQPWYLNNQVAGRFVEYQGLTMATVRGAGHAVPQDKPEQALVVINSFLSGRRLPTKNNR >ORUFI01G15460.1 pep chromosome:OR_W1943:1:11907958:11910838:-1 gene:ORUFI01G15460 transcript:ORUFI01G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAVEVEEGDERAAELARKKAAAAAAAAAKKAEEAAVEEEEVVNGEEEVEEEAVDGEEGDGDEEGDGEEEGDDEEEDAEEGEKGAAGHTVVEISDEEDEEDGEEGDGGDDDDDDDDDDDDDDDDEEEEEEVEGDEQEELGTEYLVKPLGDAEDEEHSSDFEPDENGEGAEDEEIDEDDDGDDDSAKAQSSSKRKRSGGDDEDDDDDDDDGDDDDDGRPSKR >ORUFI01G15470.1 pep chromosome:OR_W1943:1:11918532:11919059:-1 gene:ORUFI01G15470 transcript:ORUFI01G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPHVNLLFPPLSTSLSSPLLSPPLFSVSIHSLPEDQPSRGERSSAAGGGGGGDEAWVDDREEGARVSARPHASSASSRRHHVRLPKLAYSTDPVGCSSSQAMHAASSSHRLSPAAPPPTRLFARSAPSGPMVYTRGNSSLSTSKTSDSRSSNVSVEDEPRRPGAAVRLVLVHA >ORUFI01G15480.1 pep chromosome:OR_W1943:1:11944215:11946411:1 gene:ORUFI01G15480 transcript:ORUFI01G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTDDFVHAGIITAAVFAVLLVALSTYGRRFRHPAIRFVMLGACTIFLPLTSSIIFVLLRRSTESKCDGTAPAKGKSNPDIQNMWTLLLWIALIILIKGNADVASAGVAMSAAFPASGDVSIDGQRVRPPLELLAQYAWLAYLIYLCIPVAGWLGIVNKAIFIAFCVLGLAKMALKLAAFWSASFSFALGKNAPLISGYMAQLVEDGGNHGGVPRYIVAGEKEEHVKENPKGYRIKGDALTNKKSDLVTLDRVWQMAAPDSDSLLATRPELRDLCLSYSLFKSLRRRLSGYPLADAGSPNALDFVLRGMGQGGGGGSAERLFRVLIDELWFASDFYYSPISLSSFSGWCAVLNHLFSALIVVGAVTVGWIYRTKQVVIFDGSQAFYYIVTVVLLLSVVFIEIWEIVADVCSNWTKMALLAHYIRHDSPWRRFRFVHSALDAVLRWFRPARRWRDKIGQNSVLEPRRFRKRNGFLAEKFYGRAGLMESVGVSLIVIEAMYRSFRNIYGLRTNELASRRNSESASRRQRRQGEFDTVTDKILAWHVATRLFEITHARTSPDNKIVACHLSYYCAYLVAAVPELLPDCPAWTQKRYKKVATDVRAVLGSHGIAGGSTASASDAQLSQLGDRDKVLRDGVAIVGRLVEEFAEGEGVDEELAWQFLANFWSEMVIYVAPSENVKGHVEAMGRGGEFVTLVWALLLHAGITTRPPAQAVLYRRETV >ORUFI01G15490.1 pep chromosome:OR_W1943:1:11953132:11953974:-1 gene:ORUFI01G15490 transcript:ORUFI01G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRFRAVQRFNDKMGQNSVLQPRRFHNPPIVLPKKMVHRASLHKSANVPTQVKAAVLAALKRSNGRLSNGVAAIQRSTLRDTVIWSCQGDHIVTANVILVWHIGTSLFEMKYLRIKSSPRTADMITATHLSQYCAYLVAAVPELLPNDATWTKAHCKEVARDIKKALDGEGNDFNHFVDALGASCRHKVLQQGSKLAKQLVGEVGRLEDREGETKGVGEAALWKLLAEFWSEMVLYLAPSDNVRGHAEALARGGEFITLLWALLLHAGITSRPGSVAEP >ORUFI01G15500.1 pep chromosome:OR_W1943:1:11960215:11965023:-1 gene:ORUFI01G15500 transcript:ORUFI01G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVFIHGQYLIVSLLMASTGVLAVLQVALITYGHRCRHPALRFLQWGASVVFIPLTAYIISHYMSKVKAQSVVCPHVYPQYYSFQNHESQQCLPFFFTRSLIRWSVLIQIIKINTDTAFLSVSTDGRSLLGGTSISRTFVELSAYSIWTTGLVLYYYYSIWTTRLVSYTWPYLLLFCPMLPLCALCNIKVLVKLAAFQRARCSFALGRNVKVISGYMAQVYNEKDNNGSPPPYITMGEEKQHLEKTPDGYRIKVSSLGTTTSFTLLTTDRIWNMWLSGDPLLVSQSGLKQLCLSFSLFKSLRRRFAGHPLVEDGEIADTPNIGLKDVAGDRLKLHSCSASV >ORUFI01G15510.1 pep chromosome:OR_W1943:1:12076905:12077114:1 gene:ORUFI01G15510 transcript:ORUFI01G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAALETSHHGCDQGQQRRRQLPSPPARMASSIAAAAATAGSSPLIADGVEDIDGSSGSLALPCGWR >ORUFI01G15520.1 pep chromosome:OR_W1943:1:12090723:12093366:-1 gene:ORUFI01G15520 transcript:ORUFI01G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLVSYGKNKSPILPSGNKHQCIGRSGSSALIAKNRSLSSDKLKPGSGKSSVFLRLSFPPSNVHDGILPTPGFHNASSSTTRDGILPTPVDRNTACSSKLFHTKFFRRSCWAKRKNDKAHWRAKSRSDPSREIPAKGRELIIFGNNQSPSSSSPALSPYSPNFPSSPNPISPQLPQLCPSPTCPSLAKKQKSIHPDGKRVAKVMFASSEITSDPEVRQGRARKHKIQTPVSTEGLRRSPRFTGLGEKLEIHTDTPKKKTKVKPMVTSFKPSKDDRVKHLPRPSQWPSCKRLVLRNVVFFLRKWQMGNFSRTRMIKSLIVPMAMLSLLSLMSRDYLFVKNLLLCPKWLILCYSHNLCHSQCLCWSTICETCPYFCYIYVRMVPMMIYHVKYCWRFITEVNWNCFREVRLILPIFLTHQSYPNEYISKK >ORUFI01G15530.1 pep chromosome:OR_W1943:1:12095488:12095856:1 gene:ORUFI01G15530 transcript:ORUFI01G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTETIKEFFNVNGQIIGAAVLAVLAMVVVALGTYGRHCSHPALRLFVWGASTVFLLLSTSIISNLLKGVQVDKCSNASPQASGGVQQKDRPDIRKMWIILLWSAIILIIKGKSDTSATAR >ORUFI01G15540.1 pep chromosome:OR_W1943:1:12095858:12098346:1 gene:ORUFI01G15540 transcript:ORUFI01G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSSGDVSVDGQKVRPPLEYLLKYIWLIYLIVVCYPLEDWVGTTRRNIFVASCMLGLAKLLLNLFASWRASSSFAILPFKEGKPNFVVTFALLVAVALVEVWDIVAGVCSNWSKMAMLGHYIRHEPQWRRCRRAHAALDAMLRFRPARRWRNKIGQNSVLEPRRFCRRSGLLSEKLYGRAGLMRSVEVSPAVKDAVLRSLMSSYGRSSRGRAAERRVGSKVDWLWYGSRKSWASDDGDGCVSTTDIILAWHVATRLYEMRCSLHASSPTPSASSSDMAAACHLSNYCAYLASAAPELLPDIATWTEKRYREVTADDSAAGETTTAQQRYERLVATLSAGARDKALRRGAEIARRLAEEYTTAAEDDDEASAWLFLADFWSEMMLYVAPSENVKGHVEAMARGGEFVTLLWALLLHAGITARPEAPSRIIP >ORUFI01G15550.1 pep chromosome:OR_W1943:1:12099468:12102067:-1 gene:ORUFI01G15550 transcript:ORUFI01G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVGALSGMVDALPAKLGDLLQQEYTLLSGARGDVGFLQSELGTMNAALLRCESLESPDVQTRAWVAQVRDLAYDIEDWIDLFAHRVDGGAAASPGAAAATSSSSSSGGFLSWVRCCVNKVTTLPARHVIATELQELKNRVIELSEQRKRYRFDPPARHAGGRSGVAAVDPRLVALYADTSSLVGLDAPVKKVSEMVVDDGTTGLKVVSISGMPGAGKTTLATAVLRRLKEENKFHCSAFVSVGQKPDIVGKTLKGILSQIGNGYAGGEDIGRLIGMLRDELKDKRYLIVIDDLWGRTEWSTLKCCFRDDNLGSRIMVTTRNDELAKECSSNSDESVYKTGLLSDADSKDLFSNKAFGKGKDCPNHLKDLYDIIVERCGGLPLAISSVAGALAHRFSKDEWERYESNLLPSSHSDELNLKQILNLSYNDLPSHLKSCMLYLSIFPNKYEIDVERLVRRWIAEGFIADARHASKEETARSYLTDLISRNLIQALHLRHNGTPSCYTLHPVIHDFIVVKSMEENFVTVLDAKKEALSTNNGTVRRLSLQNSVKQDLAGARNDMIKHARSVTVFGHANGVPRLNDMSVLRVLDLEGCNGPLCLDGLCKLILLRYLNLRGTDVSELPAQIGELRCLETLDVRSTKVKELPASIVSLEKLMHLLAGNAKLPGEISKMNGLLTLSCANVWKNTGSVLPELADLANLRELELFCDASEISGDNKTRVSFSSDGFKRLKQLSIQGSLPSVAFVNSSLRKVEVLELKYEKGISDGSNGVSGIEHLPSLKHVLIEFSQKDAGATATIASVRNAAEMVHPNHPDVSVKVDGKAI >ORUFI01G15560.1 pep chromosome:OR_W1943:1:12102352:12102941:1 gene:ORUFI01G15560 transcript:ORUFI01G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRPAASASSMPVTAADDGDDGDDGHDLMSLGLSSFDMIRHQAKTFALALVDGIGLIEGAIIGV >ORUFI01G15570.1 pep chromosome:OR_W1943:1:12106025:12113080:-1 gene:ORUFI01G15570 transcript:ORUFI01G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRRRSGRYSRLPRRPSIQIQQPTPSSLLIQRNSKSTCDAALPPPDLYTLIKILPFKEGKPNFVVTFALLVAVALVEVWDIVAGVCSNWSKMAMLGHYIRHEPQWRRCRRAHAALDAMLRFRPARRWRNKIGQNSVLEPRRFCRRSGLLSEKLYGRAGLMRSVEVSPAVKDTVLRSLMSSYGRSSRGSVSAAERRVGGKVDWLWYGSRKSWACDDGDGCVSTTDIILALYEMRCSLHASPMPSPSSPDMAAACHLSYYCAYLASAAPELLLDSAAWTEKRYKELTADVTAALAKDGAAGETTTAQQRYERLRCPSG >ORUFI01G15580.1 pep chromosome:OR_W1943:1:12106523:12107290:1 gene:ORUFI01G15580 transcript:ORUFI01G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILPFKEGKPNFVVTFALLVAVALVEVWDIVAGVCSNWSKMAMLGHYIRHEPQWRRCRRAHAALDAVLRFRPARRWRNKIGQNSVLEPRRFCR >ORUFI01G15590.1 pep chromosome:OR_W1943:1:12111529:12112965:1 gene:ORUFI01G15590 transcript:ORUFI01G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLQLEHTPATMSHTSTSATATRRANVTTKDLEAAAQRRKLQDLDGSIKNYQELKYEMH >ORUFI01G15600.1 pep chromosome:OR_W1943:1:12113404:12113680:1 gene:ORUFI01G15600 transcript:ORUFI01G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFSILPCMAEILEAVTTATTTSIFGSVTGSGSYQDRSGQTWCCLGSSLHLRRFVFLLSLAG >ORUFI01G15610.1 pep chromosome:OR_W1943:1:12120413:12127565:-1 gene:ORUFI01G15610 transcript:ORUFI01G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATICENIPKPQTGAAGAKRTHGLGMRERCPKRCHTLEKRNRNGPAHWACGTEAHTRNGRAAPRWYAGPVGVNSVPHVGRGSAKILGTWRRQWQGGRALWFCGTVAVAHAGCAGPTARPHYPLPRVLLLSSSTRMADDWPYLLLSKDRCISPCTTDVFQPSKWLEGIMITWKQDNKVHADGEQIQGKPQWANVQVRSAYDYFTEAFDLLKEDNVQWCPYTDKETQRRAPNGLSTLCLRDSSYWLTKKMLVYDIAVEAYSPQRVMRQFGLYQEVPVLPGETVPPRYTYVDIPEIADVDTLYPMQSAPVTHLTGDIVEELYAETTSLWEKLRDNIAGSHEDMMSALDRMRQKCKRIMRAASCRHASDMHRPTGHRFADPLPERPSTSSMPSTSRPSTSARPSASTRPKPAVRPVEPSTIIRPDNEGSTAVIPSIPHASTTGQWQGGFAPYAGSSQLVPPIVQKLEARSSKEGIPQINMPMFSMGTNDQWQGAHTYNTGSYIIAAPEGGIYQMSINENTQPQGASFLDMLGHGDWLFSQPPIMQPQTTGMYSPKQRMEYAGSTQSYGKPCSYGGGSSTAHHEIGPSQLDEPPPITQPTQDYSHVDFSGVEVVRRSVRERHSPERLSLLGRRPPTGARRKGKTKALHE >ORUFI01G15620.1 pep chromosome:OR_W1943:1:12129489:12130771:-1 gene:ORUFI01G15620 transcript:ORUFI01G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETIKEFFNVNGQIIGTAVLAMLATVVVALGTYGHHCSHPALRLFVWGASTVFLLLSTSIISNLLKGVQVDKCSNASPQASGGVQQKDKPDIRKMWIILLWSAIILIIKGKSDTSATAPRSPLARXCMLGLAKLLLNLFASWRASSSFAVGKNARLVSGYMEQLEEEGDEVGGHDQVPRYIVTGGKEEHVATGARGYRIRRDALDDESSSLVTLDRVWRMAEHGDVNGLLAKRPELRDLCLSFSLFKSLRRRLSGYPLDDAGSTKALEFVLRGMNAAGSACAVNADRVFHVLVDELSFASDFYFAGLPLCTYSGWCAALNYIFSVLIVVGATAVGTIYKVEKVIIF >ORUFI01G15630.1 pep chromosome:OR_W1943:1:12157458:12158688:1 gene:ORUFI01G15630 transcript:ORUFI01G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPVALAASRLQGPMRFAFDLGDRGCVGGSSWPASAPVGSGNDDDGGVPPWRWMTGAADSGSSDGGGGECGDKAIEAAGRVVVMGGGDGVGQRWGLVFCPFLCRQWRGLAASKSDRG >ORUFI01G15640.1 pep chromosome:OR_W1943:1:12170213:12177033:1 gene:ORUFI01G15640 transcript:ORUFI01G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADHMEKTVPTVVPARPPNSEGERKSTNFHPSLWGDFFLNYEPPTAPQQACMKGRAEVLREEVRTLLKGLKEVQKILDITMAIQRLGLDMYYVNEINELLHFVYSSDYNDKDLNLVSLRFYLLRKNGYNMSSGIFLSFKDNEGNFIVDDTRSLLNLYNAANLRVYGEKVLDEAATFTISRLEGVLESSDSILSTEVSFALEAPIFRRARIVEMRNYIPIYEIEATRNETILEFAKLNFNLLQLLYCEELNKITLWWKELKVKSNLSFSRDRIVEMYFWMNGALYEPHYSHSRIILTRVTAFMTIIDDIFDTYGTTEESMLLAEAINRWDESAIGLLPEYIRGFYAYLLKTFDSFEEELGPEKRYRLKRLVQAYTKELKWRDEDYTPKTLEEHFEVSMRSSGGFTLAAASFVGMDDIATKDIFEWILSYPSLFKTFDIFVRLSNDIVSNKREQTGDHYASTIQCYMKEHGTTIHETYQRLRELIEDSWKDMVEHCTNPIDDQPLIMPQTVVNFARTVTTMYTHGDAFTSSHTIKEMISSIYVVPIQV >ORUFI01G15650.1 pep chromosome:OR_W1943:1:12194264:12204521:1 gene:ORUFI01G15650 transcript:ORUFI01G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAADAVIPACAAVGIAFAVWQWLLVSRVKVSPYSAAAAAARNGGAGRAVFRPEGEVDDDDGGCGDDEEADGDGGVAAMARCAEIQSAIRVGANSFLFTQYKYLAAFTAVFAVVIFLFLGSVHRFSTESQPCQYTRGKACKPALANAVFSTIAFLLGAATSVASGFLGMRIATAANARTTVEARRGIGPAFAAAFRSGAVMGFLLASLGLLVLYVAIKVFGLYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESTCAALFVGSISSFGADHNFAAVSYPLLISSAGLIVCLITTLFATDLYRVKTVDGVAPALKLQLLISTVLMTVGVLVVTFTALPHEFTMFDFGEVKRVKNWHLFFCVTIGLWAGLAIGFTTEYFTSNAYSPVRDVADSCRTGAATNVIFGLALGYKSVIVPVFAIAVSIYVSFTLASIYGIAVAALGMLSTVATGLAIDAYGPISDNAGGIAEMAGMSHRIRQRTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGMAVINVLSPKVFVGLVVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFAAIPGLMEGRATPDYASCVRISTDASLREMMPPGALVLLAPLVAGTFFGVQTLAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGASDHAKALGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLIFK >ORUFI01G15660.1 pep chromosome:OR_W1943:1:12203054:12219076:-1 gene:ORUFI01G15660 transcript:ORUFI01G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQERRGGEGGGGGGEAAAANTSGMQRVKVYRLTDGGKWDDRGTGHVNIDFLEDSKELGLTVLDEEDNETLLMHNITSEDIYRKQEDTIISWRDPEVATELALSFQEAAGCSYIWDNICDIQRNIQFNNLGALEVGPRPTSVSLEASRVLHSNGFIADESFRSVNGELRELPPVELSNLPLILKTILEGGITDQMRVAELITQDREFFPKLLDIFRICEDLENLDDLHMIFKLVRGIILLNSSSIFDKIFSDEFILDIIGALEYDPDVPKVQKHRAFLKDHVVFKEDVILPRVLDDGTLASLNTMIHSNNAAVISLLKDDSCFIQELFARMRLSNISMESKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFDIISDVLQSQDRKIVSAGTDVLILFLNQDPNLLRSYIVQQEGNSLLGLLSSTAHHSNHKLSISYSFFQVKGMVTDFGEAMHCQFLEILRILMDSFTMSGAHRDAVIEIFYEKHLDYLVDVIASSCPPRNISRASNSAGNTETEVNRTKPEILLNVPLSNQQCNRENPCLDSTEGEDDFLIRHVVKMNLFKPIIDAFVENGDRYNMLQSGVLELLEYIRKEGLKQLIIYANESFWDQLMKFEHFGSIQAFRLKYQQYLESAETKLSANVPDIRKKAEERGLEKEEEDYFNEDSDEEDSVRRTKHAQKQDGGAKLTNGSEADDVSSRPKSGGLVDYADDDDEDFNPPPKEPDRPEEDDEPLTIAKLKRKLMNSKVDGKQSDGEFHKRQKIETRITSVKIGASTNLVSKRMDDLEKQEPRSPASSSSGSEANGVFGEHSVHSEEHQRSADNTEASRQAGGDCVNAMGNLSTEKSVNTTNTNDSEPYSVLA >ORUFI01G15660.2 pep chromosome:OR_W1943:1:12203054:12219076:-1 gene:ORUFI01G15660 transcript:ORUFI01G15660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQERRGGEGGGGGGEAAAANTSGMQRVKVYRLTDGGKWDDRGTGHVNIDFLEDSKELGLTVLDEEDNETLLMHNITSEDIYRKQEDTIISWRDPEVATELALSFQEAAGCSYIWDNICDIQRNIQFNNLGALEVGPRPTSVSLEASRVLHSNGFIADESFRSVNGELRELPPVELSNLPLILKTILEGGITDQMRVAELITQDREFFPKLLDIFRICEDLENLDDLHMIFKLVRGIILLNSSSIFDKIFSDEFILDIIGALEYDPDVPKVQKHRAFLKDHVVFKEDVILPRVLDDGTLASLNTMIHSNNAAVISLLKDDSCFIQELFARMRLSNISMESKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFDIISDVLQSQDRKIVSAGTDVLILFLNQDPNLLRSYIVQQEGNSLLGLLVKGMVTDFGEAMHCQFLEILRILMDSFTMSGAHRDAVIEIFYEKHLDYLVDVIASSCPPRNISRASNSAGNTETEVNRTKPEILLNVPLSNQQCNRENPCLDSTEGEDDFLIRHVVKMNLFKPIIDAFVENGDRYNMLQSGVLELLEYIRKEGLKQLIIYANESFWDQLMKFEHFGSIQAFRLKYQQYLESAETKLSANVPDIRKKAEERGLEKEEEDYFNEDSDEEDSVRRTKHAQKQDGGAKLTNGSEADDVSSRPKSGGLVDYADDDDEDFNPPPKEPDRPEEDDEPLTIAKLKRKLMNSKVDGKQSDGEFHKRQKIETRITSVKIGASTNLVSKRMDDLEKQEPRSPASSSSGSEANGVFGEHSVHSEEHQRSADNTEASRQAGGDCVNAMGNLSTEKSVNTTNTNDSEPYSVLA >ORUFI01G15660.3 pep chromosome:OR_W1943:1:12203054:12219076:-1 gene:ORUFI01G15660 transcript:ORUFI01G15660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQERRGGEGGGGGGEAAAANTSGMQRVKVYRLTDGGKWDDRGTGHVNIDFLEDSKELGLTVLDEEDNETLLMHNITSEDIYRKQEDTIISWRDPEVATELALSFQEAAGCSYIWDNICDIQRNIQFNNLGALEVGPRPTSVSLEASRVLHSNDESFRSVNGELRELPPVELSNLPLILKTILEGGITDQMRVAELITQDREFFPKLLDIFRICEDLENLDDLHMIFKLVRGIILLNSSSIFDKIFSDEFILDIIGALEYDPDVPKVQKHRAFLKDHVVFKEDVILPRVLDDGTLASLNTMIHSNNAAVISLLKDDSCFIQELFARMRLSNISMESKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFDIISDVLQSQDRKIVSAGTDVLILFLNQDPNLLRSYIVQQEGNSLLGLLVKGMVTDFGEAMHCQFLEILRILMDSFTMSGAHRDAVIEIFYEKHLDYLVDVIASSCPPRNISRASNSAGNTETEVNRTKPEILLNVPLSNQQCNRENPCLDSTEGEDDFLIRHVVKMNLFKPIIDAFVENGDRYNMLQSGVLELLEYIRKEGLKQLIIYANESFWDQLMKFEHFGSIQAFRLKYQQYLESAETKLSANVPDIRKKAEERGLEKEEEDYFNEDSDEEDSVRRTKHAQKQDGGAKLTNGSEADDVSSRPKSGGLVDYADDDDEDFNPPPKEPDRPEEDDEPLTIAKLKRKLMNSKVDGKQSDGEFHKRQKIETRITSVKIGASTNLVSKRMDDLEKQEPRSPASSSSGSEANGVFGEHSVHSEEHQRSADNTEASRQAGGDCVNAMGNLSTEKSVNTTNTNDSEPYSVLA >ORUFI01G15670.1 pep chromosome:OR_W1943:1:12220710:12228078:-1 gene:ORUFI01G15670 transcript:ORUFI01G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYHDSHEEEMRGRGAEVASEGGGGRWSPYDLGAQSGRTSRPLQGRPCIDQNLTKQIQSKYNSNLEDSRCVGGRTVQSYEPWRTAVGVPAHGGDAVDSECAAPCRARHAKRLLELPSPRLPRPRRRAAESGCRRAVACADTPGRCLADVLASPPSGRAVTHAICAASPSPPDPSHHGAGPSADVRSPQRPRRLDRVGRRLVVPPLLPHPPMHHFSTAPIAMPPHDVDATPSRPAAPPRSAATQSPRAISALPLLRVRIREERERAGRDETAREREDRPPRTAAAGFTASGEGGGCGGDGGSDEMGSTTAMGDCSAAAMGGWRSRRDIKKYKALVFHGQEEIARKE >ORUFI01G15680.1 pep chromosome:OR_W1943:1:12221975:12222590:1 gene:ORUFI01G15680 transcript:ORUFI01G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGDWVAAERGGAAGRLGVASTSCGGMAMGAVEKWCIGGWGSRGGTTRRRPTRSRRRGRWGDRTSALGPAPWWLGSGGDGDAAHMAWVTARPLGGEAKTSARQRPGVSAQATARRQPDSAARRRGRGRP >ORUFI01G15690.1 pep chromosome:OR_W1943:1:12229539:12239274:1 gene:ORUFI01G15690 transcript:ORUFI01G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTAISLSAAATAAAAVGGARPGAIRPAELRFCGLRREALGLRSLRAPPRAAATPRKAAAATGNGAAGSGGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQDEHHMKSLGLQVSTAGYDRQAVADHANNLASKIRSNLTNSMKALGVDILTGFGTIVGKQKVRYGKVGFPDNEITARNIIIATGSVPFVPNGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRILINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENVNVVTQRGFVPVDERMQVMDADGNAVPNLYCIGDANGKLMLAHAASAQGISVVERISGKDNILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEISVVKTSFKANTKALAENEGDGLAKMIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKFAVHAHPTLSEVLDELFKAAKVNAGVPHSVNEPVAA >ORUFI01G15690.2 pep chromosome:OR_W1943:1:12229539:12239274:1 gene:ORUFI01G15690 transcript:ORUFI01G15690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTAISLSAAATAAAAVGGARPGAIRPAELRFCGLRREALGLRSLRAPPRAAATPRKAAAATGNGAAGSGGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQDEHHMKSLGLQVSTAGYDRQAVADHANNLASKIRSNLTNSMKALGVDILTGFGTIVGKQKVRYGKVGFPDNEITARNIIIATGSVPFVPNGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRILINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENVNVVTQRGFVPVDERMQVMDADGNAVPNLYCIGDANGKLMLAHAASAQGISVVERISGKDNILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEISVVKTSFKANTKALAENEGDGLAKMIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKFAVHAHPTLSEVLDELFKAAKVNAGVPHSVNEPVAA >ORUFI01G15700.1 pep chromosome:OR_W1943:1:12243103:12245643:1 gene:ORUFI01G15700 transcript:ORUFI01G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDDSLATVPFLILGNKIDIPYAASEEELRYHLGLSNFTTGKGKVSLGESNVRPLEVFMCSVVRKMGYGDGFKWVSQYIK >ORUFI01G15710.1 pep chromosome:OR_W1943:1:12248327:12251939:1 gene:ORUFI01G15710 transcript:ORUFI01G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPGGHHASPASAAKSKSSTAAAASASGQGSSHHHHHHHSGGGGGGGGGADAFATTLKRKRGVFQKDLQHMMYGFGDDPNPLPETVALVEDIVVEYVTDLVHKAQNVASKRGKLLTEDFLYLIRKDVRKLHRATELLSMNEELKQARKAFDVNEETLATNNE >ORUFI01G15710.2 pep chromosome:OR_W1943:1:12248327:12252063:1 gene:ORUFI01G15710 transcript:ORUFI01G15710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPGGHHASPASAAKSKSSTAAAASASGQGSSHHHHHHHSGGGGGGGGGADAFATTLKRKRGVFQKDLQHMMYGFGDDPNPLPETVALVEDIVVEYVTDLVHKAQNVASKRGKLLTEDFLYLIRKDVRKLHRATELLSMNEELKQARKAFDVNEETLATNNE >ORUFI01G15720.1 pep chromosome:OR_W1943:1:12253193:12262397:1 gene:ORUFI01G15720 transcript:ORUFI01G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRGAKLQRAKEEIAGGEKFQNLPRVQTQNKPNRSIVSYSYPKSSNVIEFLHRHTPQRKVHFTSFLTPTPASPARFPAAAAAAAAELAGEAALAEEWPAMGPPQQARGGGIDIEACARPIAVDHRIKLPYYFRIAGNLLRQAKIYRDENNLVDLYVILLRYSSLVCETIPKHRDYHTFKLREVDFFRLAPHNQSKLIEVLSELESLKPVVQRQITEHNRARGGAIESNSINGTIAVNNITKQHMTNPYTYQPFVGSNNGSFERPVPGGNHQMAPLMSAQPDRPTRKQLANLPFPKEETLARHSILGPNGLHGQWTGPVTAIKVQYPSNLDLIKSDVSSLFPSVLNQDGQNGPSTISTDSTQIENDDMKSVLSLDDGRWSKLAEECASVPSVSLEEELSQLSIKQPSPPPVLAELERRPIAPSEVADPTPGLAVSETGRYQNLHVPVKLMECFLRVAEANTKRSLETCGVLAGTLKKRTFYVTALIIPKQKSTSDSCEATNEDELFDVQDKGSLFTLGWIHTHPTQSCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTRRKHGIFHLSDPGGMGVIHDCPERGFHPHKAPLDGSPIYEHCSHVYMNPDVKFDVIDLR >ORUFI01G15730.1 pep chromosome:OR_W1943:1:12267029:12268049:1 gene:ORUFI01G15730 transcript:ORUFI01G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIVSLGRRSVLGASFWRFAYFIDGDLRSFVWLGDAAAPAQGGGRKSTTTPLIPVGVNKAPNIDPNLYFVAITSIKVGSGETCGELDWCYTVPARIEAYVAEGIAP >ORUFI01G15740.1 pep chromosome:OR_W1943:1:12276558:12280554:-1 gene:ORUFI01G15740 transcript:ORUFI01G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVTAAAPPLGIALVGPTRARRGGGLSLSSSSSSSCCYLSMCGRGVLRGGCARMREPLPPAPIGLDRFLCGYMRRDGHEDGERSQGIEDSLMFGPDDDSGSNIPTQVETLVRGTATVATPEYKSIPDLDYLQELLAIQQQGPRAIGFFGTRNMGFMHQQLIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVQNLIEKPQYDHLPLIEASRLCNMDIISKVQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >ORUFI01G15750.1 pep chromosome:OR_W1943:1:12298249:12298695:-1 gene:ORUFI01G15750 transcript:ORUFI01G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRMVCSQMSIFTFQQSIPVWTGTKILVVGSNRIQRLVEFGTARYQFCSCYGTSREQRWKRKRATTSWIGDFNAIAVWIDATTATRNDEPPPPPGMTSRRRLDFNSAGTGNDEPLPPGVSGSTSASLGAGKKSSISARRCQEGLLL >ORUFI01G15760.1 pep chromosome:OR_W1943:1:12300321:12300680:1 gene:ORUFI01G15760 transcript:ORUFI01G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARPVHPLRVHGESIRGLLLLLLLFVVQCSLLSCCLGHAAAAADAVDRDDPVVTATAGRGRRFLPSPALQLHSVQVNVAAHPWSKERRRSRRRRRRAATLMAVSKHQVPTGANPDSN >ORUFI01G15770.1 pep chromosome:OR_W1943:1:12301020:12303515:-1 gene:ORUFI01G15770 transcript:ORUFI01G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPLGGGGGGGGDGNNNGKWKGKEKVVPEYGKNRHGMPVGCYFVPKDLELFAILRCKLVRSQLPGALNNVFEHIRILEFHPALLHETYIGNEEDGYIYFFSRRQFATKARNKRRPTRVAKGGTWKASGGSKTLRSKKVGGIDVGQKLTMVFYERRFEGDQNPIKTLGHARLEDLAVYRLYKIKRKEDEEPVNAAAAASSTDEPSTSSALPPPTPPRPLPDMAGPSSATPLLPLQLPDMAGPSSSTPLLPLQLLGLAGSSSAMSLPLPLQLPGLAGSSSAMSLPAQQMPGMAGSSSAMPLPLSLPGLAGGMMSMADQANMASTSQASTPSSELLQDWYDEFEITYGAVAPPSPSTISWEEPQSSPTGWWPSPNGEPVQHDGYLGMAANPTSYMMEHPLPTAAIPPEPMTPPTSSPAPPPAVDNHHRLSPPHDAAGSNYNHPELAGYNGGVQAQHEHQHQPQEPQPALLVDGEDGYGAIADGDGDTQLGVAELDTERIAEMVNHIMDGEFEFKFEDNTVLKYNEVFPDDDKVVAAPMMIDGGGDGDGADGVSFRPLVDKNVI >ORUFI01G15780.1 pep chromosome:OR_W1943:1:12303610:12304044:-1 gene:ORUFI01G15780 transcript:ORUFI01G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSREAGGTLDQSPSCAAAAEEGKLSARELCEKIRKKVIPAQSDGELSVLRRRRRRLRLAPSPRHATRERRRRD >ORUFI01G15790.1 pep chromosome:OR_W1943:1:12304208:12318126:-1 gene:ORUFI01G15790 transcript:ORUFI01G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASNSRSDAAPLDRRGLALISRVRTRQRHPMQITCYVHGCCPGQKFCTGKRTPFGAFSFERFRPMRRDRKEGHRHTNT >ORUFI01G15800.1 pep chromosome:OR_W1943:1:12304278:12306766:1 gene:ORUFI01G15800 transcript:ORUFI01G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMNSVQPNAQFHVPHKSLSAIRHIVISKYEGSFMVMVTQIGCMGTILAARKDESVFSDPTYNVLFGKRDEATMKYIVSTIIENRL >ORUFI01G15810.1 pep chromosome:OR_W1943:1:12311727:12315764:1 gene:ORUFI01G15810 transcript:ORUFI01G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRISPRKTLPLFAALALALAWAFAAPAFADGDDVVALTESTFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVFIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRSAEALAEFVNTEGGTNVKLATIPSSVVVLGPDNFDSIVLDENKDILVEFYAPWCGHCKHLAPIYEKLASVYKLDDGVVIANLDADKHKDLAEKYGVSGYPTLKFFPKGNKAGEDYDGGRELDDFVKFINEKCGTSRDTKGQLTSEAGRIASLDALAKEFLGAANDKRKEILSNMEEEVVKLSGSAAKHGKVYIAIAKKILDKGHDYTKKETERLERMLEKSISPSKADEFIIKKNVLSTFSS >ORUFI01G15820.1 pep chromosome:OR_W1943:1:12318270:12319490:1 gene:ORUFI01G15820 transcript:ORUFI01G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKETVIKMAKELSVLCDVPVALVCAVGGAVEVWESEEGVLDRYRALPPEVRATRAHTHRGYLERELRARRAKLAKVREEGAFKSWGRDALSGIITAEEAPALLESIDAAIAAATARQEALALLDGGGLHLQHVLASASDAVAPVVGGHGVQVQYIGGSGGGGGSQQEMTPAADGDGARNADQYDDILPWDGNTFEAHNAHVMLPACGFQCTGDYRVDMDGYVWGAPDDANAYHGWPDEAMWCTDESCSCNAATATAVPAMYHPPTLDTVHGSFLAAPAQPLAFSTGADFINAPNDFLTVGVGGSFINVGDYSAQSLADEFHHLSDATNQLDQTHYPPFGGTGGAEPGDTQSHSWGDYYLAQSSANECQLLGVDGGDIHLDQTHCLGGAGGAEPGDTKSHNWGG >ORUFI01G15830.1 pep chromosome:OR_W1943:1:12322354:12323577:1 gene:ORUFI01G15830 transcript:ORUFI01G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKIPIGLIAHRQKRAATYAKRKESLRKKAEELSTLCGVRVAFVCAGPVVPGGGGGAAGKEEVWESEEGVLAEYRALPPEARAQHAHRVYLEEEVGKERAKLARVRQDGAFPSWDAALDGITADEARALLESIDAARAAANARREALGLPDDGNGVDDDGGLDLQQQQEHVPPGGSDAVVVPVGHGVLQYTGSGGGNQMQTTPAADGINCADLYGAVPWDDTFQPQVMRTGDHFVPMDGYLWQAPGNGWPDLATGCTNESCSCNAAAAAAAMPAMYPPTLDTVHGSFLAAPAQPIPIAFSTSTDFIDAPNDFLTMGLCGGFTNVGDYSAAQPQSSADGGFQLGDTFAAEPGDTQSQNWGSFINVVSDDSAQCNCNAAIHLDQMYYLFGGTGGGEPSDTQSRHWGS >ORUFI01G15840.1 pep chromosome:OR_W1943:1:12325063:12326347:1 gene:ORUFI01G15840 transcript:ORUFI01G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAKTPMGLIPFPKKRAATFARRKETVMKMAKELSVLCDAQVAVVVGNPGGGAAEKAAWESEEGVRAKRSLTHREYLRGELRKQRAKLAKVREEGAFKPWDDALDGIAEEETRKLHKYLSDKIEAARARMEAMGLQLGDVDDNGVNGDDGGGLDLQQHVPPSASDAKEFESVPVVHGGQYIGSSSGGGGGDIQMQTTPAADGISFSEQYVPPPWEWDGTFPSQPQAVQPEHGIQYNAPMEGYPSQVPGNGLPDLATGSIDVAAAAAATTAPARYPPTLDTGRHGSFLVAPRAQPLAFSTAGADFINAPNNFLTTGVSVSDYSVQSSGYGIGNQIDNAKQLLYQMQMQYPVGGTGGAEPSNTQTQSPDLRSFSNVVGDYSYTAAQSSANRLDQMHHPVGGRGSTGGAAADPSDTQSKNRGS >ORUFI01G15850.1 pep chromosome:OR_W1943:1:12332006:12332467:1 gene:ORUFI01G15850 transcript:ORUFI01G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEEDATYGKMQESLMEEARELSILCGVDVALLCAGGPGTGDGDGGGAVSTAEVAVWESEEGVLASYRAILPRPEAHTLRECLELKLARERAKLAMVRQCRNLCVHLWDDTVSYISTAEEARALLESMDAAMVAATARWEALRWVYWTTATP >ORUFI01G15860.1 pep chromosome:OR_W1943:1:12332552:12333051:1 gene:ORUFI01G15860 transcript:ORUFI01G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGSSGGGGNQPHTTPAGDDINYSDDQSFSRNAAVAAAATTTHEPTAAAQPIASSTGADFADAPNGFLAMDVGGSLIKSATTRRSARPMGSSSGTPTMANLDQIHYLVGGSAFSIDALESPTLLVRCKTLRIAIQFMLPLRHGRFRANVPSNHRRTETKS >ORUFI01G15870.1 pep chromosome:OR_W1943:1:12333227:12336832:-1 gene:ORUFI01G15870 transcript:ORUFI01G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMGGGDGEAAPMASPTGLPACLPVRGEPSVPRGDEGRAMRTGLERWRQQVQSLACIDSNNR >ORUFI01G15880.1 pep chromosome:OR_W1943:1:12348366:12350605:-1 gene:ORUFI01G15880 transcript:ORUFI01G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATGSDAKSQVAGEGNITTSNKVGEQAISGQIQAYSYLMTFPHRTIIGMDMLMISNNVTSLMPIGQDGLMSLGPALAMTHTPIPMIIPWGNVMRRFYIIYGVHVHCWFIYLFTDFVTGDTATLSRYLTFRITPSGSRHLELAGT >ORUFI01G15890.1 pep chromosome:OR_W1943:1:12354887:12355732:-1 gene:ORUFI01G15890 transcript:ORUFI01G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLADSAARKLLHGASAQGVAAGMSLGLDGLLQLVADLFASLVHLFVLPFRAMGHAIQWFFAGVVAGLGGAARVLVLPLETLSRWLQAAVAGIASAAHLLVLPFEAFWRWLRDAAAAALPYVLAIVAVVCVVALLWLSCTFLCSAAALIGPPLAGAAISCGAFLLPAAVRTGQALVYAVCYAARDAGVVLAWVLPRCGRCCVVLVTMKAPGAAGMVISRGAFESLPRLYFLPDTALRWRRRGRRRVLRAARRVGVRCAGRRPLPRVVINKLLSVLVAGNA >ORUFI01G15910.1 pep chromosome:OR_W1943:1:12381240:12401225:-1 gene:ORUFI01G15910 transcript:ORUFI01G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALADSAAARKLLLHGAATDAAAVGMSFGLDGLLDGLWPLVKRLYASLLATLASAVHGLVPLLESLWRGLRAAAAAALPYVLVIAAVLCVVALVWLAWPFLFPAAAVIGLALVGVVGCCALLLLAAAVLIGRALVYAVCFGVVLLFVAAKVVGKVLDRVLPACARCCYDRVTTTATTMSAPDAAGMDISRAAYESLPELYAQILRSAGPVVAAAVFCSHPVAWACAAPVAALFLFRALDKRLPPSEPCVLPAAAYPDTVTDVSGSRSIGQHVSEDDDMSDSCSIVDPYHGEHVILTIRQRIHLRIHVVNNVCPLIAGLFSGLAHLLLLPFQAIAHGFEALGHAIQALFAGIVAGLGSVAHLLLLPFEMLWRGLQAAVAGIGHGFEGMWEGIQWFFASIVAGIGSAAHLFVLPFEAFARWIQAAAAGISHGFDGMWHGIHGFFTNVVAALAGAAHGLVLPFEAFWRWLQTAAGGIGSGFQGLWQNMLAFFANFLATLAGAAHDLVQPFEAFWKWLKTAAADAAADISFRLDGLWPLLAMVVLADSAARKLLHGAVGRARTLAGDAAVGMSFGLDGLWQLIAGLFGGLIHLLLLPFQALAGAIAHVFEALGHAIQWLLAGVVAGLGSLVHLLVMPFEMLWRGLQAAVAAIGHGFEGMWQGIQGFFASIVAGLGSAAHLFVLPFEAFWRWIQAAAAGISHGFDGMWHGIQGFFADVVAALAGAAHGLVLPFEAFWRWLQTAASGIGSGFEGLWQNMRGFFASVVATLAGAAHELVHPFQAFWKWIQTAFADAGAGISFGFDGFWQYIRSFFASIVATLAGAAHELVQPLGAFWKWLKTAAADAAADISVRLDGLWPLVKRLYASLLATLASAVHGLVPLLESLWRGLRAAAAAALPYVLVVAAVLCVAALVWLSWPFLLHAAVQIGQALVYAVSRGAHYVFAACGQCCVPLTMMRAPGAGAVGLMISRPAFESFPKLYFQILRSAGRVVAAAVFLAPPIARACAAPVAALFGASS >ORUFI01G15920.1 pep chromosome:OR_W1943:1:12384182:12386722:1 gene:ORUFI01G15920 transcript:ORUFI01G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKAKISGGVSKRQCGPRRSIPRDHVGAHQRLVEDYFAPESLYPERLCYAMVNAAGMLANGRDADELGSVAGLGRALEEEGMEHHTTRGAKDLMQDGHGSSERY >ORUFI01G15930.1 pep chromosome:OR_W1943:1:12405983:12406837:1 gene:ORUFI01G15930 transcript:ORUFI01G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIKLPFLAILFALEENLSPRRPRALPYLVLPPPMEVEQVLSITSNPKVDSITNGDNVIIIPSVSTEEKEHINGAAETNHVVMITAASMDRTDGDDRTMKEEETDGIAIARSASSNGSRQQDKKRGAFGLFRAMFMSFNGSASIKKRAAAAAATMGYQKKAEAAGGGAAADVERSSSDVASWKNLVDGMRPLRLHGHLEYYPPPSPDRSDGTSMTSSYSSAQDLQELVNGHGKEDEEEEKNSLETEDGGCSPNPIDMQAEEFIAKFYEQFRLQKSDSFNNRAD >ORUFI01G15940.1 pep chromosome:OR_W1943:1:12407540:12408598:-1 gene:ORUFI01G15940 transcript:ORUFI01G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCFSPPFLSLFALALAGHGDAGARTPTAARGAGGWCGQRRRLLGQRELPPGGARAQGGSTENQSRSSLHRLMVQHDNVLATDLLAVLATDLPAQRRRISLSWAATSAVPTRGREGEAGHAIDAEAEEEEDASNRAVAGSGGGEGDADADEEERILSEGAGAGHADADDHHGDADDHREKRGTPTPTTTMPAARGLPPPQPSSPPPPPPPTGRRSPSTGLRTLARLPPPLLRASTPLRFAGRRTRTPPPLAAVAAPSSFSPASPRPACREVRERDEEGREEGKDREEADMDNPDMWGPRGSHGDSAAT >ORUFI01G15950.1 pep chromosome:OR_W1943:1:12416461:12420535:1 gene:ORUFI01G15950 transcript:ORUFI01G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHPSICISSSALYCFALPHACCHVDVLLLTNTSLLQFSFFVQAIALPLNLTFFAGPLNLTYFSVLYTDSVTGHIVPGDETSLRRQQCKCCCSNGTGPPGPRAGTGSFLQMDTWRIPGGGIAKFRGLHVAQ >ORUFI01G15970.1 pep chromosome:OR_W1943:1:12423051:12424041:1 gene:ORUFI01G15970 transcript:ORUFI01G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVNKKILVEQQRFSSYPSSSPFVLNVLEEAISGTDTLRSLPVGSSAAGKLLFFEQEIDGGYGLAPQMC >ORUFI01G15990.1 pep chromosome:OR_W1943:1:12445594:12461854:1 gene:ORUFI01G15990 transcript:ORUFI01G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLLLLLVLATTSWSPAASGQDTSSATVSPLNTHCNATAGNHTAVGSAYLSNLRALGGALSRRALATGFASGSYGAAPDEVHGLVLCRGDFTGGNCTDGLASAFRDAAAQFCPGAADATVYYDQYMIRYTNDGRLLSDPGDNEPLWSGKNMNEVAGADAAARFMAKATELMNRTADLAAFGSSSSPSRYATGETWFDEQGVSVVYGLVQCTPDLTGEQCRSCLAGIIAQMPKLFGDASSRPVGGRILGVRCNLRYEKDVFFKETSTTIKLNMPKKGLSTMLKIVIFGVPCLVLIISVVLLRPYIRDLVILEREIVSESDERFSLFKFSKIKDATDNFSRENKLGEGGFGHVYKGRLTTNQDIAVKRLAPNSAQGFKEFKNEIKLIACLQHRNLVRLLGCCIKSKERILVYEYMPNGSLDGLIFGEEEVKPNWHVRRHIIEGIAEGLLYIHDYAHACIVHRDLKPSNILLDHEMNPKISDFGIARICLSSVTESNTTTAIGTFGYIAPEYCSQNVYSTKSDVFSFGILVLEIISGKRAVGSYKLSGRSYELRRYAWQLWKEERCDELVDPSFGEDYQEMDIIRCIQVALLCVQDSAEDRPTMHDVTTMLSNGNRRLLMPAQPAFYITFFLIILLPLFPKPFLLAAVVPARGDGGAAVAARGDGGAVVAARGDDGAAVLVPDDDGATVAGCDDDGAFLLMATLLLIARLPTRHPPSLFVPKILQTRLANNDGIVAAVLGELSRQAVGDALTGLGLELENSATISTEGMVNTTLNFKPKVSTCEP >ORUFI01G15990.2 pep chromosome:OR_W1943:1:12445594:12461854:1 gene:ORUFI01G15990 transcript:ORUFI01G15990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLLLLLVLATTSWSPAASGQDTSSATVSPLNTHCNATAGNHTAVGSAYLSNLRALGGALSRRALATGFASGSYGAAPDEVHGLVLCRGDFTGGNCTDGLASAFRDAAAQFCPGAADATVYYDQYMIRYTNDGRLLSDPGDNEPLWSGKNMNEVAGADAAARFMAKATELMNRTADLAAFGSSSSPSRYATGETWFDEQGVSVVYGLVQCTPDLTGEQCRSCLAGIIAQMPKLFGDASSRPVGGRILGVRCNLRYEKDVFFKETSTTIKLNMPKKLLLQRDLVILEREIVSESDERFSLFKFSKIKDATDNFSRENKLGEGGFGHVYKGRLTTNQDIAVKRLAPNSAQGFKEFKNEIKLIACLQHRNLVRLLGCCIKSKERILVYEYMPNGSLDGLIFDFGIARICLSSVTESNTTTAIGTFGYIAPEYCSQNVYSTKSDVFSFGILVLEIISGKRAVGSYKLSGRSYELRRYAWQLWKEERCDELVDPSFGEDYQEMDIIRCIQVALLCVQDSAEDRPTMHDVTTMLSNGNRRLLMPAQPAFYITFFLIILLPLFPKPFLLAAVVPARGDGGAAVAARGDGGAVVAARGDDGAAVLVPDDDGATVAGCDDDGAFLLMATLLLIARLPTRHPPSLFVPKILQTRLANNDGIVAAVLGELSRQAVGDALTGLGLELENSATISTEGMVNTTLNFKPKVSTCEP >ORUFI01G16000.1 pep chromosome:OR_W1943:1:12461273:12461548:-1 gene:ORUFI01G16000 transcript:ORUFI01G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAADHHGGHHHQTTKKIISQGSDGIGGGGGGKSRVDLYAGAVAQRALYGPTTTSRCRGAARQRQQAVAGGKDSKQPSRLSKMSGAEGT >ORUFI01G16010.1 pep chromosome:OR_W1943:1:12470240:12480637:1 gene:ORUFI01G16010 transcript:ORUFI01G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIHKIASLRRESSLWRRGDDGVYFSRSSTGASSSRFRDEEDDEEALRWAALERLPTRDRVRRGILLQAAEGNGEKVEVDVGRMGARESRALIARLIRAADDDHALFLLKLKDRMDRVGIDYPTIEVRFEKLEVEAEVHVGNRGLPTLLNSIINTVQLLLRTFNLKALINRILEDLGRYDNPFTLCDYKMVYEQGKLQAIGNALHISPTRKQPMTVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLEDNLKVSGKVTYNGHGMDEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRYELSRREKAENIKPDQDIDVYMKASAIGGQESSVVTEYILKILGLDICADTVVGNDMLRGVSGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSIGQTIRILGGTAVISLLQPAPETYNLFDDIILLSDGQIVYQGAREHVLEFFELMGFRCPQRKGVADFLQEVTSKKDQEQYWYRNDIPYSFVPVKQFADAFRSFHVGQSIQNELSEPFDRSRSHPASLATSKFGVSWMALLKANIDRELLLMKRNSFVYIFKAANLTLTAFLVMTTFLRTKMRHDTTYGTIYMGALYFALDTIMFNGFAELGMTVMKLPVFFKQRDLLFFPAWTYTIPSWILQIPVTFFEVGVYVFTTYYVVGFDPNVSRFFKQYLLLVALNQMSSSLFRFIAGIGRDMVVSQTFGPLSLLAFTALGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGRSWNKSFPGQNDTVGISILKSRGIFTEAKWYWIGFGALIGYTLLFNLLYTVALSFLKPLGDSYPSVPEDALKEKRANQTGEILDSCEEKKSRKKEQSQSVNQKHWNNTAESSQIRQGILPFAQLSLSFNDIKYSVDMPEAMTAQGVTEERLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLVFSAWMRLPSEVDSETRKMFIEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTVAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIDIFEAFDEVDNSLLSIWIKLFLMKRGGEEIYVGPLGQNSSKLIEYFEGIEGISKIKDGYNPATWMLEVTSTTQEEMLGIDFSEIYKRSELYQFVSFHAVLVNCSI >ORUFI01G16020.1 pep chromosome:OR_W1943:1:12478772:12484449:-1 gene:ORUFI01G16020 transcript:ORUFI01G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGLRAYREVLRLVRRLPADARPYYAKYARENFVNYRDLSADDDLAALLRRAYAHSSWVLSKYSIDADAAAGRLKEVCGAEGGAFAGTKFYNLQ >ORUFI01G16020.2 pep chromosome:OR_W1943:1:12484071:12484449:-1 gene:ORUFI01G16020 transcript:ORUFI01G16020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGLRAYREVLRLVRRLPADARPYYAKYARENFVNYRDLSADDDLAALLRRAYAHSSWVLSKYSIDADAAAGRLKEVCGAEGGA >ORUFI01G16030.1 pep chromosome:OR_W1943:1:12492484:12494643:1 gene:ORUFI01G16030 transcript:ORUFI01G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLELQTPVAAAAALLLSTASSTISMEGTVLCSANHAPLTPISFLERTALVYPDRLAIVASDGSAGVAVSRTWRDTRARCLRLAAALTGLLGVQRHDVVAVFAQNIPAVCELHFGVPMAGAVICTLNSRLDAAMAAVLLRHSEAKVVFVDRALLGVAQKALVLVAEAGARRPVLVLISELLDENERSPPDAKIKVTRVDYEYEHLLSAAAAGSSPDFAIRWPADENEPIALNYTSGTTSRPKGVIYSHRGAYLSSLAAVIVNAMAETPVYLWTVPMFHCNGWCQVWGVAAQGGTNVCVRRVTAAAIFDSVARHGVTHMGGAPTVLSMIVNATADERRRQPGGRRRRVTVMTGGAPPPPQVLFRMEEQGFLVIHSYGLTETYGPATVCTWKPEWDALTAEERARIKSRQGLHHVGLEAADVKDPATMRSVPADGRTVGEVMLRGNTVMSGYYKDGGATAEALAGGWLRSGDLAVREEDGYIKILDRSKDIIISGGENISTVEVEAALFGHPAVEEAAVVGRPDEYWGETPCAFVKLRGGGGGGGGGAAVEEELMAFCRARLPRYMAPRTVVVVEEELPKTATGKVQKVALRERAKAMGSLPAAASSSSRRAPTGTAGSGRSKL >ORUFI01G16040.1 pep chromosome:OR_W1943:1:12502971:12509581:1 gene:ORUFI01G16040 transcript:ORUFI01G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSIGAMRYEMEKYVPVLLSFAMQVVLFFAGRFHVHITDKFTRVLISSTYLGADIIAIYALGGLSRQEGNPQSIAFFWAPFLLIHLGGQDTITAFKMEDKNAWLTRSGKLLFYAVLAVFVFFNSIGRHKELLLAGIFMFATGFIKYFTRSWSLKWGSFESIENSTIRHMYEIQLPEASNGSNMTYYDYVLTALNSMLQIHDIFAARSLRSTANSESEDEEIVESEEAARSVREDEEAVELEKATRSVDIDQEIVEQKEYKILIKDDEVVEPKENKISTENDEAIQSTGNNTPIEGDEEVQLEGDEISIENRKAELEKIIIETIIKPELSLVQLQLGMMYDDLYTKALLLRKKGGIALRFIAISTSIVAFALFLSTEKRRYSKVDIAVTMSLFIGELLLEVCAVLIFMMSPWTWAWLKVRKYNWLACFSWYLFSSRIGWPENRPRWSNSMGQYNCVNRLVGISPPTSCTPKIMTYLRNIANKVGAKEISWINKLIHTGYVKTDRDTMERVVFGLYGLMNEVDGQDTEYLEWRYVGSFLEQIQDVLTADFGIALLMMHMVTEVFLRQYPGNHSLVDVCRKLSNYMIYLLVNHPSMLPLNTSAISSIKTAERMFQRRTNDISDVEHYKNIHDSLLVGDQPEGDGVLDELVEMWVRVLLYSAGKSRAELHVEQLASGGELITFAWLLMAKNDCGDSRMKRIQITNFSPRDESDDCYDLPMKEGHAFHIVHRSEVDIQRD >ORUFI01G16050.1 pep chromosome:OR_W1943:1:12513428:12519515:1 gene:ORUFI01G16050 transcript:ORUFI01G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERMGIRQKGYKASMDAAEGRRRREDITVILRKADRDRALKEKRRRPTATAAAEGLPQAAHSSAIEKKLESLPMMVQGLYSDDSSMQLESTTQFRKLLSVDHCPPIDEVIRSGVLPRFVEFLTREEYPQLQFEAAWALTNIASGTAANTMAVIEHGAVPIFVKLLSSPREDAVWALGNVAGDSTKCRNLVLMHGAMLPLLQQLNEHAKLSMLRNSAWTLSNFCRGKPQPDFKHVEPALPVLRELILSQDEEILTDSCWALSYLSDGSNDNIQAVIETGVCDWLVELLSHPSPVVLIPALRTVGNIVTGDDAQTQCIVGHPQALPCLFNLLTTTQKKSVKREACWTISNITAGTKEQIQAVIDSNIIAPLLHLLQHAEFDVKKEAVWAISNATSGGTFNQIEYLVSQGCIKPLCDLLVHQDSKTVLTCLEALDNILRVGEAKKNLGACNMNIFVPMVDEADGLDKIEDLQNHDNVEIYNKAVYVLESYWVQEDDQQPFLIPSVSESESDNEMFQFGSFGKGKFLFTNGWNCESESDNEMFQFGSFGEVPDLDGNAP >ORUFI01G16060.1 pep chromosome:OR_W1943:1:12523040:12526148:1 gene:ORUFI01G16060 transcript:ORUFI01G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDRKVVGIGVAEEGRRSCVECRATTTPMWRSGPTGPRSLCNACGIRYRKKRRQDLGLDLNQPQKQEHGEVIPEVKDSNSNSNNCNSGSGNSSSNLQVVPKRRLLMGVEEAALLLMTLSSPSASTLLHG >ORUFI01G16060.2 pep chromosome:OR_W1943:1:12523273:12526148:1 gene:ORUFI01G16060 transcript:ORUFI01G16060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSGPTGPRSLCNACGIRYRKKRRQDLGLDLNQPQKQEHGEVIPEVKDSNSNSNNCNSGSGNSSSNLQVVPKRRLLMGVEEAALLLMTLSSPSASTLLHG >ORUFI01G16070.1 pep chromosome:OR_W1943:1:12530517:12534210:1 gene:ORUFI01G16070 transcript:ORUFI01G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSGPREACDVTGLDEFYKRMQARVWRTRGQLIFAAAVVTILVGSGVYSRRHRRHGFARFVFLGASTLYLPVVSYLVSDIGGENCGLPKDVKECKDMSAFFLEAWAILALIFGANSFVIAAADDHGGQNVHRPVAELLVRAIWTSYISVHHFRIIFPLDRVFISMSCGFVLVRIVVKLYAFLKAQRSFAHGRNPRLIAGYMDQLKQDIMSSSSSSHHAQAVNVALPLLVMGEDEQQVEEGPHGYRFRDRKGNESLVTIGKVQIMSSTDGVLSSWPPLKDLCLSFSLFKLLRRRFARCVVVEEGSEIGPNMVCTLFDSDTEPERIVSIVADELSFACDFYHSSLPVSCSVFWLFVLNILFSFAGTACCLFIAIRTIQHVVSVAQVGPMSSDPFYHQITCVLICGKNDQAKQFGSILFFMVLSFLFVIVLIFDEVWEIVSYMCSNWTKVTLICYYITKPTWQQSPRMRRLIRCVLQFRCNLLGYWSDKMGQTSLMDTNMNIGPIVRIKQLLGLPVQTKQVKIPTEVKAAIINTLKSQNWRPTDCITSLQQSHIGKSFSWACKGDGTSDVILVWHIATCIFEIRHSTEPLIADSISNKITATYLSQYCAYLLSSASELLPDDKAWSKKSYESVKKIVDPIFSGRNDKPLEYEYILLLLVEKSRSDMILNKGLTLGKQLVEGIEDEEMGWTVLAGFWSEMILYIAPSDNIDAHRRAIARGECSYSIPTTTPKKIDVRFIVVVISYATLLVIDVRFIVLAVFPFIALAFIAALCVALIRAEADNGGEPGASSSSASSAAATDREGNKNTTTTAAEEAEQLTAMSVVPYWALCVTGHGPRHGPRRHPVSPLPQRHTGRAGPDADEAQLALLRKASLVVLLAGDRARHGRQAARRERCAAALRAGASFTSIDRSSTVITVDTIKSNRNGLVVFLGAGAVAGFGYLAASMDEHGVSRCAMTSVSCVVSGLLVYYAVFMLRQWPRPPSLVWRRPSSYSSFGRMLYC >ORUFI01G16080.1 pep chromosome:OR_W1943:1:12534963:12537744:-1 gene:ORUFI01G16080 transcript:ORUFI01G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFPPKCHPLPCQSVGAPPQPVGPKLLSSPLPPAATPAVPRRLLFPVAAGIWDFLSGGGAGAPAAASLAVRRGMQLFRQGDVAGSVAEFDRAIELDQRQKQYLWQRGLSLYYLDRFEEGAEQFRLDVAANPNDTEESIWCFLCEAQLYGVGLDSRSVMREAYALFKDGGDPEKLASNFSSGSEGEIFYSSLYTGLYYESQKDAELAKSHIVAACRSPYGSRSGDYMASLALVHCQCRNWTLE >ORUFI01G16080.2 pep chromosome:OR_W1943:1:12534963:12537744:-1 gene:ORUFI01G16080 transcript:ORUFI01G16080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFPPKCHPLPCQSVGAPPQPVGPKLLSSPLPPAATPAVPRRLLFPVAAGIWDFLSGGGAGAPAAASLAVRRGMQLFRQGDVAGSVAEFDRAIELDQRQKQYLWQRGLSLYYLDRFEEGAEQFRLDVAANPNDTEESIWCFLCEAQLYGVDEARKRFLEANSRSVMREAYALFKDGGDPEKLASNFSSGSEGEIFYSSLYTGLYYESQKDAELAKSHIVAACRSPYGSRSGDYMASLALVHCQCRNWTLE >ORUFI01G16090.1 pep chromosome:OR_W1943:1:12545036:12547153:1 gene:ORUFI01G16090 transcript:ORUFI01G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTRRFPHIRQQQQQHSNGRNRSESLSRTSLKWVKFTEIRIFRTESQNLAVGRWVLARCRLGLLILQSSVGRALSGTAKKVLRVRDFGGALMAFGLEI >ORUFI01G16100.1 pep chromosome:OR_W1943:1:12598651:12601208:-1 gene:ORUFI01G16100 transcript:ORUFI01G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCESGSASQNPRGFGGGGGTNKAAVTRTGDGEARRRRDCQAAATVGRREGEARAPRTVARTTGGHKGEARRGQRDAGEAHARPTIVGTAPRNSAAGGK >ORUFI01G16110.1 pep chromosome:OR_W1943:1:12608313:12610478:1 gene:ORUFI01G16110 transcript:ORUFI01G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGDGCIDNKKMLDDYFRPIVSGIVCRNLVLVISVIIAAVLVGAGSFSRRYWRHGSIRLLFLGAYTLFLPLVSYIVSRIKENYKMENGIIMVECSDVSVVYLLMWASLLQIVVANYCTAIATHDDEHRNNGPTVQLLLGAIWILFLVVRDFWQYTYSSTTLKWLIAIPCALNIAKILAKLCAHEMSRRSFEVGGRNTQLITGYMEGDKHGIPLILMGEDKQKVEKGPRGYRFTDDSANSSTLVTMDSVANMASIKDGIVSSFKSGQPFEDLCLSFSLFKLLRQRFTRCPLVEEDYRRRSIPNLMIKLEQGDDAQGIVNMIRDELSFASDFYYSYLPISYSCWWLPILNAVLSSLVITYCLATQMYLGSPLVKRLIRCMLRLRCKALNHSYKMGQTSIMDTNMKIIVKAVRRLLRLSDQKMEYVEIPPEVNTAILAKFRDSNWSLPTVTASLQQSSIGNILLYGNGKGTSDVILVWHIATCIFKIKHPHEPSNAPAVTATRLSRYCAYLLSSAPELLPDDKVWSKNIYKSVKKITEPIFRKSNKGPIGYEDILQKLKEKSNDNTELKNGVALGKQLVDETRDAEQEGWEILAGFWSAMVLYIAPSDNVGAHREAIARGGELITILWAMLTHAGIISRPRTGHAV >ORUFI01G16120.1 pep chromosome:OR_W1943:1:12623059:12624712:-1 gene:ORUFI01G16120 transcript:ORUFI01G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKKSTGPSTRSSYRDPSIDSPVKPLHDYQQLLISAIGVNCIISQAAPKLTKRSDETAWSTTSMGLADFSMLLFVTGVYIRMMHSTMCKFLGLETYITAASLVMADYAVLLLINTRYIGVFIIPVILLVFIAALCTKLWDKSSPYIQQNYRSSRVSLATLPCWLQLLSSTILNPDNEQQDDTIVFSQFLLFFSSALGALAVMVAKLPAGVSPGAAQVLPVLQKTCIVLLLLTVHTMAAEWIGEDVIVACMPGLVAVLVWFTVHFDHDARNATVVSIDNVLSYRSQAVAILSSAVGLLAYLTGSYAAYERELVESRCRWCLCMVSSSSALSHVNLWMLQHWPERTFHLEELLKLFRFCRKICLSATLVLALMSIGGWVRNLIAGSIAIVSALVGFALFVTMGRKPEPRNVARTRKNAASRPDDQNPSSQRFLKESRPSGGFFAPAFESFGDFIDRKLGIAN >ORUFI01G16130.1 pep chromosome:OR_W1943:1:12633824:12635011:1 gene:ORUFI01G16130 transcript:ORUFI01G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMRKRVQAFTEGVVLMVCPVLLAVSLKKADLKSNGNGSLVGGGISLLAAITLEAGLLAVLFLGINDSLPASHGLLLRASKLLVHLCALLLMALAFVILLLIDMDRHMYCLAGLVLAPLVPFTLFRCYRSARDGGDDHAEGGGAAALAPLVDFSAAVTTLLFLGLEGLALEGQSSAACRGMERLFTASLGVTYLTCVLGVFVMLVGTVPDPAMASTDDQGDRSAKVCYFAELLNATLSVAFAVVVVLITAAPLREQAWLVFVPLILSFVTWMYRAIVGDGVGDIKPAASLELTKVTFTGFLAVAVPTFSNTPVGISTRGFVALSAAAVMSDLGWRLLMTGRMDRNDQRMASTAMVSVANVASLCAHLCVAAAVLPFATLAVNAVSSNEPGSGCH >ORUFI01G16140.1 pep chromosome:OR_W1943:1:12648977:12650109:1 gene:ORUFI01G16140 transcript:ORUFI01G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRSLASSHRPVTFSCTATVAFSCAVVAFSCSSSRRLQAARAARPRRRGNDSGHSSRSCSATPMTPTTPLHPQPQHHADNADDAAPPAAAAGPRRPRRFSRSHRRAFMDRERWLASMESKNHSKGKKSSEASPGGSHLASVQKPPRQRPKSNPPTSHQESDSENEEFSMPAVSSDSTPSHLSVHSSSQDKEVDGETIDEGNSGSPGSKRKGKRKKGISVSPTNSVARVKRSDCWKLFKVVDVPSKTEKGVTETKAKCRFCYRLFAYKKGGATSTLNRHIKKCTTYLNKLGRHKA >ORUFI01G16150.1 pep chromosome:OR_W1943:1:12719707:12721716:1 gene:ORUFI01G16150 transcript:ORUFI01G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSYWAFLRESAWINRRGFEMDALMNRSRAFAEAVVIMVCPVLLAVALKKVDLKSQERSRAVPIFMLVMAALTLAFGTVPFLALSLSKRFSDHRWRLPAKATTWLAPSSCACLVGLACWIIHLILSARWAYAFPAMGAVFGLCIVIHSVRYCRAGGDPANLVHPGDVLSTTDELTDRKRREALQKAMEGKLDESLEFLAGVTALLFLGLEGLALEGQINGGQGRLAAPMGLCFFACLFGACFVLVQTIPPSPPPSATDTSLRANIVRNLPAICGMFMACAIAVVMFSIMVVLVKLLALMLLSPLFLILLVHAFDLVFPGGGGGGGGGEDVS >ORUFI01G16160.1 pep chromosome:OR_W1943:1:12724163:12725388:1 gene:ORUFI01G16160 transcript:ORUFI01G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELVYLYPVTLVPAVVGRFSILASPLLKEFEEHNLQFTSIIPLFFFYSYISKFLSDLQGQPLPLVKLYARALHRFTSCSSSKSRASLRIYMDTAVVVVLSYLSLLKINLSYIWLAVFPTLTLAFIAALFNEELRGDRRAAVAEKDDAGGGKITRSSSRRWWLCPTGCYARWGSFMELAGAAPGLAPASELLRRATLVVMLVTAHAAAAELLGEATIALLCLPELAPALFWFTLHLDGESSPAATIDGIKSHRNVLSVLAAAAVASVAYLAAAMGERGLSVSTITMVSCGVSGLLVRCAVVVLGQWPGQAETAGSTAASLMEVVLVLKFWGNTLLAVAAMLLLLALLTAFRLCLQEPMFATVAKCFSDYIDKVPQMIV >ORUFI01G16170.1 pep chromosome:OR_W1943:1:12727570:12728823:1 gene:ORUFI01G16170 transcript:ORUFI01G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMSSYTTPSAASAADLRGAGTSGSPDESSHPAPDPDPRFHHHPPPPPPPPPPPGPKKPSPSSPEKGPPASEGGLDRRVRGRVRARRRTVAVAEAEGRRRMMVVEEEEGAAAEISMVGGDGRAIGEGEKERKRRRVGKWGKSYVWRVVSDGEERRGGRDGHAAAERGICVGRARGGIPSSGFRRCWDLSPSRVWLLHHKFDFVVVANRERLGFIIRTGL >ORUFI01G16180.1 pep chromosome:OR_W1943:1:12727978:12728508:-1 gene:ORUFI01G16180 transcript:ORUFI01G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISAAAPSSRPPPPPLSLLLLRPLASSSPVPPATATSSSTTIIRRRPSASATATVRRRARTRPRTRRSKPPSDAGGPFSGDDGDGFFGPGGGGGGGGGGGWWWNRGSGSGAGWEDSSGEPDVPAPRRSAAEAALGVVYELMCLIALSNCTQFAVRRLAGLLAARVAALRFVPTVC >ORUFI01G16190.1 pep chromosome:OR_W1943:1:12733678:12733995:-1 gene:ORUFI01G16190 transcript:ORUFI01G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAEFLPSVVNTDKLGMLAGRVGIELVETATELEILLAGAGFDRGGVWSDLAAGLTSAACCIAAIIIVHLHLHHPSLPGAIEATMFIVLVFPEPSEAVAAISIC >ORUFI01G16200.1 pep chromosome:OR_W1943:1:12737176:12738510:1 gene:ORUFI01G16200 transcript:ORUFI01G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTLDVHRSLRPPMPMAQQQMDEFWRDRQKEIEMTKDFSEHMIPMARLKKIVSSQKGNMMMTFDMPAFLSKMCELFVQELAARAWACAQSHNRCIILDMDIAEAVASTESYDFLVDILHNHSVKQKSTPCSSTKRCRLVDQPSTSHIPHQHLLPQFAPTYTLAIPITPSLMPLISQCTPSSFPSLPQEKFPLMAPTPIVNRSMLFINNIARGLGLQGNNINAVANNNILDNIVGCSSPAVLASMMNPALLGPSGAPLNPPNSQSYNCTMDIINSNDACGSNNSSVIVANQANIAPSGHFYPIALQSSCSTFLHSNNNDTITAILEGVDISDIMHVTSDVDAATKVFSGQEEQHEKETNVEWHHQNEIYESIDIRIINATTRDGNKCSISWDELGMADDSLLDNFLEELQVRKDDVSDTRIAFNKDPFLDDAVLSNPSTSNGNK >ORUFI01G16210.1 pep chromosome:OR_W1943:1:12743454:12748668:1 gene:ORUFI01G16210 transcript:ORUFI01G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGFVESGSFSRSSSFGGFEEWMALVRKRNGSGTPSSSSPQQPRSGGFAAQSSVSSEGLELGHPYSDAIDYSLSYQLPTTSLWDRLGRVTMMDIESSDFNWSSLSSLHHTKHTDTSTEPYEDDTSRSFEVTVNSGGVVFIALFKTSEYDDLPSKETAAVIKIAPSRMATQSERFGYELAKWLGVRTPQGRVIHNFSSEWHQMKDAVENARDAAISSCDELEEMICTEMLEALELSRCLFLMNYVHGSPLLENTMPFDSHDSAEKTAEALGRVLILDLVLRNEDRLRCRPLGWRGNYANLLVADRNAYANLDSLDDVYDSAIIRYKPEIIRSPQNRKPRRAVSISGSIGSDTSDLMLPDNPSQPEISSFHIIAIDSGVPRRPPACKRAKDQESYPKLVELTLNNWDYSSNLLFELSFGKLGIPGPEEFDVSSDLSYHSPLSESDMVAAVNSFRGGFRSALRDLQRFHIFLVTLYQKLDGLLKIFFNLMYKVLNEYDREDAGSSDSPCSTETHMDSHDSEVPKHMRRPSRTLSRDNFDLSSPSSRENFMIKNFKGSSDASRGLRLTMKLRDFNKYAKADGELCKEIEQWNDMLRTEVVKLCQDNSFNTGFFEGIDNSIAVDAYELKVRLEHILERISLISDAASTERPSQITDYLYIGGALAARSTYTLKHLGITHVLCLCANEIGQAESQQPDRFDYQNFSINDDENADISDVFQDASDFIDYVQHLHGKVLVHCFEGKSRSATVVLAYLMLRKKFTLLEAWNMLKKVHRRAHPNDGFAKVLLDLDKKLHGKISMEWHHRRPAMKVCPICGKNAGLSSSSLKLHLQKAHRKISSGSVDTAMSLEIQKAVEAIKAGRGGGDSPTHSAEKVTS >ORUFI01G16210.2 pep chromosome:OR_W1943:1:12743454:12750975:1 gene:ORUFI01G16210 transcript:ORUFI01G16210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGFVESGSFSRSSSFGGFEEWMALVRKRNGSGTPSSSSPQQPRSGGFAAQSSVSSEGLELGHPYSDAIDYSLSYQLPTTSLWDRLGRVTMMDIESSDFNWSSLSSLHHTKHTDTSTEPYEDDTSRSFEVTVNSGGVVFIALFKTSEYDDLPSKETAAVIKIAPSRMATQSERFGYELAKWLGVRTPQGRVIHNFSSEWHQMKDAVENARDAAISSCDELEEMICTEMLEALELSRCLFLMNYVHGSPLLENTMPFDSHDSAEKTAEALGRVLILDLVLRNEDRLRCRPLGWRGNYANLLVADRNAYANLDSLDDVYDSAIIRYKPEIIRSPQNRKPRRAVSISGSIGSDTSDLMLPDNPSQPEISSFHIIAIDSGVPRRPPACKRAKDQESYPKLVELTLNNWDYSSNLLFELSFGKLGIPGPEEFDVSSDLSYHSPLSESDMVAAVNSFRGGFRSALRDLQRFHIFLVTLYQKLDGLLKIFFNLMYKVLNEYDREDAGSSDSPCSTETHMDSHDSEVPKHMRRPSRTLSRDNFDLSSPSSRENFMIKNFKGSSDASRGLRLTMKLRDFNKYAKADGELCKEIEQWNDMLRTEVVKLCQDNSFNTGFFEGIDNSIAVDAYELKVRLEHILERISLISDAASTERPSQITDYLYIGGALAARSTYTLKHLGITHVLCLCANEIGQAESQQPDRFDYQNFSINDDENADISDVFQDASDFIDYVQHLHGKVLVHCFEGKSRSATVVLAYLMLRKKFTLLEAWNMLKKVHRRAHPNDGFAKVLLDLDKKLHGKISMEWHHRRPAMKVCPICGKNAGLSSSSLKLHLQKAHRKISSGSVDTAMSLEIQKAVEAIKAGRGGGDSPTHSAEKVTS >ORUFI01G16220.1 pep chromosome:OR_W1943:1:12749001:12753336:-1 gene:ORUFI01G16220 transcript:ORUFI01G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGGREREAAGPAFSFSVDLVAAARRLLALLRSGDGERGGAGVVGPRSVRRYEELWMPLVAAEGAGGEAPMLVPPPDVRLVWLCHCFHHESYAAYCASRFGRLINRPSILDADNEEYAADHCRDVWAAHYPSEPFDLEDNETEGNSSNDKSASEIIEMVQRYTGLAARFASPFISEGVYHVAARRRYMRFLELIKKIVSTTQGNTRLVPSLDILLMWLAHQSFPVSYYADMAAMAVKDNVAKIVVGYGEVVSEEMVERTRVLWEEAYDEPYDMAGSEIDKAEVDAAREAFYWETAASEEDANRLYKGLQPRFIMEVYVFLKGEFDSEHTSKEFLRLRTQRCYRSLKLNIPLFNLSCKNWQKTWHLYCEFSTRGLIIEVRRSTSGCFRNSKLIKNISFSWSDMLHEKSLMLTEELDVRMKAMASITPPVQAPYLLKCVPDRVTDDGGAMISDVILRTKGYRPQEGRWLTRTVLDHSRKECFVIRIRIGRGIWRRGAETPIAVKWEDRIIEIREGSWSYIANASSVGYAPEKVVGTATPEKDQQDSKVVWRFSTGDVLTVKLGDDLNFHIRNESSGEEARLLVGRRLNYRINKESTLNDRNDEEEYLTLVRTSPDHLGDRATMLLNWKLLAVEFLPEEDAVFVLLLCMAIARTMTEIRREDVSGLLVRRRICEAKVGQRDWGSVMLPNSPSLDPHLQPWYRNAAHVLSSAETASNRVMLMKYSPADGKDELYRKALIP >ORUFI01G16230.1 pep chromosome:OR_W1943:1:12756627:12758349:-1 gene:ORUFI01G16230 transcript:ORUFI01G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALSVPEISSSKKLSDEVTQSSEAREEESDEDMKEPITRLFVHGFLSLVISAVGNYIIPLLTSHSEPEQTAKRSAMPIAVFCLGMITPVIGNGMRFRILQPKQQYGSGVALHITTARIVLISYVFLLLINTRYISLAVFPAIMIVFIGALCRKFWVEETCRRQLRHDSPGASKASSVLRKSEEQLTLIVAVLPFWLQLPGVMLARGTWQQDRVLVSHFLVFISSAMVALATLIARTVPAGIYPGVSRVLPVMHRTCIALILVSVHTMAGEWFGTKSMALACTPELVALLIWFSVHLNHAHDARACKIICLFAVSLLWAWAATYDEMTILQGYWRSSFWGISGLSGGLCYFSSWILKQWPKDSFRPTTDHHASLLLQLLRISAEISLFTSVPSIALQLPRWVSRISAQIRLFASVLTTALQLGLLVPIIYVLLKSVEVIMFYVLIYCVCFLPLIGVEYRPGMSVNRRKASISIQLRPIMLLVLLSILISHFTGR >ORUFI01G16240.1 pep chromosome:OR_W1943:1:12759762:12760420:1 gene:ORUFI01G16240 transcript:ORUFI01G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPVSFLLPLCPKTLTQVQGGQTLPPCKRNSICIYDLPMSQRSTHKKRRTYKDYGEPGTQYQTESEANLRLLLKM >ORUFI01G16250.1 pep chromosome:OR_W1943:1:12763609:12766493:1 gene:ORUFI01G16250 transcript:ORUFI01G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYYSVRGGGQSWISTVCPFIWIVYPIGFLLPSLAEDLDSSTGWPNSSSMSYFRNVSKDCICSVPLKMDCKDSLKNRIRIFTEAVVVMVCPVLLSFALKKVDLTANRSKQIVDSISPIGALTLEAGILPFLGLCLSTVLGERLAWLVLASKLLIHLCVILLMALAFVILLLISKSNIVCLSIWIPFIPFILWLGYNSVKYEEHENADDATKSAHHGKLEISVDFSASITSLLFLGLEGLALEGQASAIKGLDAHLSDSLIVSFVTCVLGVVFMLVGTAPPVYGMGRLVDSLRILDTSLAIAFGVIVVLITIAPLKEAAWLVSIPWILSFFVWLFIRLFDHDEGEDRDVKPVSLELTKAAFTGFLAVSIPSFSNSSTSGGYTHAFIVLTGSAVLAGLAWRLITHVKKPSRAMVWAENLAIIYAHGI >ORUFI01G16260.1 pep chromosome:OR_W1943:1:12776856:12778028:1 gene:ORUFI01G16260 transcript:ORUFI01G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPSRPMTSPVLLVVCTLLALQAMAADAYYNNGSDDGVTMQMFEEWMAKFGKTYKCHGEKEHRFGIFRDNVHFIRGYKPQVTYDSAVGINQFADLTNDEFVATYTGAKPPHPKEAPRPVDPIWTPCCIDWRFRGAVTGVKDQGACGADEDQDGAADAGPCGASSNHAVTLVGYCQDGASGKKYWVAKNSWGKTWGQQGYILLEKDVLQPHGTCGIAVSPFYPTV >ORUFI01G16270.1 pep chromosome:OR_W1943:1:12786302:12787340:-1 gene:ORUFI01G16270 transcript:ORUFI01G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMFEEWMAKFGKTYKCHGEKEHRFGIFRDNVHFIRGYKPQVTYDSAVGINQFADLTNDEFVATYTGAKPPHPKEAPRPVDPIWTPCCIDWRFRGAVTGVKDQGACGSCWAFAAVAAIEGLTKIRTGQLTPLSEQELVDCDTNSNGCGGGHTDRAFELVASKGGITAESDYRYEGFQGKCRVDDMLFNHAASIGGYRADGASGKKYWLAKNSWGKTWGQQGYILLEKDIVQPHGTCGLAVSPFYPTV >ORUFI01G16280.1 pep chromosome:OR_W1943:1:12788367:12789482:1 gene:ORUFI01G16280 transcript:ORUFI01G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQWMAKYAKHYSCPEEQEKRYQVWKGNTNFIGAFRSQTQLSSGVGAFAPQTITDSVVGMNRFGDLTSTEFVQQFTGFNASGFHSPPPTPISPHSWQPCCVDWRSSGAVTGVKFQGNCASCWAFASAAAIEGLHKIKTGELVSLSEQVMVDCDTGSFGCSGGHSDTALNLVASRGGITSEEKYPYTGVQGSCDVGKLLFDHSASVSGFAAVPPNDERQLALAVARQPVTVYIDASAQEFQFYKGGVYKGPCNPGSVNHAVTIVGYCENFGGEKYWIAKNSWSNDWGEQGYVYLAKDVWWPQGTCGLATSPFYPTV >ORUFI01G16290.1 pep chromosome:OR_W1943:1:12802554:12802769:-1 gene:ORUFI01G16290 transcript:ORUFI01G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSQKLGDCGDCGASAQAHGQRGRRRHGRISAVARELVRAVDLDPSSTQTVGMPLLCLITPAISASIST >ORUFI01G16300.1 pep chromosome:OR_W1943:1:12804298:12810317:1 gene:ORUFI01G16300 transcript:ORUFI01G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKGRTEMEVGADGVAVITICNPPVNSLSIDVLLSLKENYAEALRRNDVKAIVVTGKGGKFSGGFDISSFGSVQGGKVEQPKVGYISIDIITDTLEAATKPSVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGVIPGFGGTQRLPRLVGLTKALEMMLLSKPIKGAEAHQLGLVDSLVSADDLVNTARRWALDICELKRPWIKSLYKTDKLEPLGEAREILKFARAQARRQAANLEHPLVCIDVIEEGIVSGPRAGLWKEANAFQGLLFADTCKNLVHVFFSQRATSKVPGATDLGLMPRKVTKVAILGGGLMGSGIATAMILSNYPVILKEVNEKFLNAGIDRIKANLQSRVRKGKMTEERYEKAMSLVTGVLDYERFKDVDLVIEAVIENVKLKQQIFSDLEKYCPSHCVLATNTSTIDLNLIGEKTRSKDRIVGAHFFSPAHVMPLLEIVRTQHTSPQVVVDLLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQSALLFVDLGMDVYKIDRACTKFGMPMGPFRLADLVGFGVAAATGMQYLENFPERVYKSMLIPLMMEDKRTGEASRKGFYKYEDKRKATPDPEIMKYIEKSRSMAGVTPDTELMKLSEKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRGGIMFWADSIGAKYIHDKLEVWAKRYSDIFKPCSYLAERAANGLPLSAPAKQVKARL >ORUFI01G16310.1 pep chromosome:OR_W1943:1:12813318:12815353:1 gene:ORUFI01G16310 transcript:ORUFI01G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAVKKADGKTQQALKVAKAVKSGSIKRKSKKIRTSVTFHRPKTLKKARDPKYPRVSAPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >ORUFI01G16320.1 pep chromosome:OR_W1943:1:12816853:12822277:-1 gene:ORUFI01G16320 transcript:ORUFI01G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIACNMQVLLKTTSMTLVKIGPWGGNGGSAQDISVPPKKLLGVTIYSSDAIRSIAFNYIGVDGQEYAIGPWGGGEGTSTEIKLGSSEQIKEISGTHGPVYDLADIVTYLKIVTSANNTYEAGVPNGKEFSIPLQDSGHVVGFFGRSGTLIDAIGIYIKFGPSERVKEVSGTHGTLQTLADILTYLKIVTDVTTHEFGVPNGTAFSVPLQDDARAVGFFARSGLLVDAIGVYVQP >ORUFI01G16320.2 pep chromosome:OR_W1943:1:12816853:12821040:-1 gene:ORUFI01G16320 transcript:ORUFI01G16320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVKIGPWGGNGGSAQDISVPPKKLLGVTIYSSDAIRSIAFNYIGVDGQEYAIGPWGGGEGTSTEIKLGSSEQIKEISGTHGPVYDLADIVTYLKIVTSANNTYEAGVPNGKEFSIPLQDSGHVVGFFGRSGTLIDAIGIYIKFGPSERVKEVSGTHGTLQTLADILTYLKIVTDVTTHEFGVPNGTAFSVPLQDDARAVGFFARSGLLVDAIGVYVQP >ORUFI01G16330.1 pep chromosome:OR_W1943:1:12831002:12831211:-1 gene:ORUFI01G16330 transcript:ORUFI01G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFSKAFIIMFEEMSNHGMAFIIGARLQCRAAAARGGVRNRCSPPAPLTTASWCSSSEFIGGKIREGE >ORUFI01G16340.1 pep chromosome:OR_W1943:1:12832610:12832930:1 gene:ORUFI01G16340 transcript:ORUFI01G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDRNSTPLRLSPPTSPPQVGFGTTFLKWLAFFAAVMRVLLLSHSNGELAHALGVELDLPDKPAGHDVRSRCYAFLVEDGVVKVLNLKEGVAFTTSSIEEMLKAL >ORUFI01G16350.1 pep chromosome:OR_W1943:1:12835336:12836355:1 gene:ORUFI01G16350 transcript:ORUFI01G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSQLGLPMKGQHLKECKLLATEFEEYSTLVDSVLESLRKEVEEKTPNRQLGELASEEANGSFAYCMSEEKKKLKRVAQGVKNCLDKQHPTKKKVQVVPD >ORUFI01G16360.1 pep chromosome:OR_W1943:1:12838408:12842808:-1 gene:ORUFI01G16360 transcript:ORUFI01G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTRASMGAMEGAAVDEVVRRLVEGGRGGRQVQLSEAEIRQLCVEAKRVLLSQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPTSTYLFLGDYVDRGKQSLETICLLLAYKVKYPDKIFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFCDCFNCLPMAALIDDKILCMHGGLSPELNSLDQIKDIERPTEIPDYGLLCDLVWSDPSPDSEGWGESDRGVSCTFGADKLVEFLEKNDLDLICRAHQVVEDGYEFFAQRRLVTIFSAPNYCGEFDNAGALLSIDESLMCSFQILKPNDTGAPHSRKPTSNKTPKTGNA >ORUFI01G16370.1 pep chromosome:OR_W1943:1:12850341:12851441:1 gene:ORUFI01G16370 transcript:ORUFI01G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRRTAPPAPRAWIYAVPLPTTRRQRRPGAAPPAPRTRAPAGSTPLPSDSRNKLQTLKDGQVTCQIAN >ORUFI01G16380.1 pep chromosome:OR_W1943:1:12852000:12852733:-1 gene:ORUFI01G16380 transcript:ORUFI01G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRAVPLLVLGMALCVLALFHSAASASCYLRRHCASFVFCGIGGGDVWFWGYGTTDKLDVKLRDLQ >ORUFI01G16390.1 pep chromosome:OR_W1943:1:12856749:12860157:1 gene:ORUFI01G16390 transcript:ORUFI01G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPSVVIPILVVLVSRLLTSALVHLLWKPYAITKLFRGQGITGPKYRLFVGSLPEIKRMKAAAAADEVAAGAHSHDFIPIVLPQHSKWATDHGKTFLYWLGAVPAVSLGRVEQVKQVLLERTGSFTKNYMNANLEALLGKGLILANGEDWERHRKVVHPAFNHDKLKFMSVVMAESVESMVQRWQSQIQQAGNNQVELDLSRELSELTSDVITRSAFGSSHEEGKEVYQAQKELQELAFSSSLDVPALVFLRGNTRAHQLVKKSRTMLMEIIEGRLAKVEAAEAGYGSDLLGLMLEARALEREGNGLVLTTQEIIDECKTFFFAGQDTTSNHLVWTMFLLSSNAQWQDKLREEVLTVCGDAIPTPDMANRLKLVNMVLLESLRLYSPVVIIRRIAGSDIDLGNLKIPKGTVLSIPIAKIHRDRDVWGPDADEFNPARFKNGVSRAASYPNALLSFSQGPRGCIGQTFAMLESQIAIAMILQRFEFRLSPSYVHAPMEAITLRPRFGLPVVLRNLQG >ORUFI01G16400.1 pep chromosome:OR_W1943:1:12874888:12876255:1 gene:ORUFI01G16400 transcript:ORUFI01G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELSFTVHRREAVLVGPSVSTPCETKRLSDIDDQESLRYHVPGLLVYRGGQPPAPCVRDNDPSGIIRAALSRALVHYYPLAGRLREVEGRKLVIDCSGEGVVFVEADADVRLEEMEAAAAGGHGLRPSFPCVDQLVPDVRSSGRGGSVLSCPLVGIQVTRLLCGGFIVGTAVNHSVCDAMGIVQFLNAVADIAGGLPAPAVHATWSRELLDARSPPAPAFPHREYDMIDILPGGGREADNIVRSFLFSSTDIAALKEEALLPHPEHRLRGGSSTATSFEVLAAFLWRARTAALEIPADEEVRLVVVVGFSRIAALGLPSGYYGNTCAYPTVVMTAGELLRGCTLGDVVRLVQEAKAAVTAEYVRSTAECLVLRRRPRLARTNLFVVTDVRRVGFDRVDFGWGDPVYGGPARALPTVSLLVNVKGSSNVVGAVVSLPSLVMGRFSAELESFLNT >ORUFI01G16410.1 pep chromosome:OR_W1943:1:12894890:12896464:1 gene:ORUFI01G16410 transcript:ORUFI01G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVILLPLVVIITSTMLLLLIISTAKKRHHGTANLPLPPAPPSVPVVGPLLWLVRARSNLEPAIRELHRRHGPILSLTFLSPRAAIFVSSREVTHRALVQRGHTFASRPPAIAPFAVLTSGQCTVSSAPYGPLWRSLRRNLTSGVLGHGSRAPLYAPARRWALHLLTSDLAAASGNTGGGVAVAVVDCLQFAMFSLLTYMCFGKRLDRRGVREIEAVQRELFSSYISFQVFAFCPTVTKRLFFRRWQKVLSIRRRQEDIFLPLIEERRKRIKISSMDNDGSMVCCYVDTIISHKLPKEAGDRRLTDGQLVSLCTEFLTASVDTIVTALQWIMARVVEQPEIQAKLLDEINRVVSSDKEHVDEEDIKSMAYLKALVLEGLRRHPPAHFLLSHAAVEETSLDGHRIPAGRSVNFSVADVAHDENVWSRPEEFLPERFLDGGEGAGTDLTGSREIKMMPFGVGRRICPGLGLALLQLEYFVANMVREFEWGMVDGDCGGGINLAERPEFTVIMEQPLRALVVPRRRE >ORUFI01G16420.1 pep chromosome:OR_W1943:1:12896811:12899051:-1 gene:ORUFI01G16420 transcript:ORUFI01G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAMAATCSALSSLGDIMLVVREEEEETREGGAVELLAAELTAVHAALRDDYLADVPPARLDEQAKAWSGHARELACDAALLARRADGSPDAAPAETVKALLERAADLSRRRPRPTAAVDPRRPAAAVDAGPPATEIVGLDAAKDDLIKKLCDDVDGDEQSEQRLKTVSIVGAAGLGKTTLAKMVYDTLRPRFDCGAFVSVSAINPDMAMVFMRMLRQLDDDDKHESVGGEEPSVSGEAQLVDQLSKFLRDRRYVQYLIVIDDLWDKPSWEMIKHALVENYCGSRIITTTRNFSVADQAGMPYELKPLSAENSKILFLQRIFGHDNKICLDDEFAEVADKILKKCDGVPIAILALASLLAGKIGDKKEWGRAHAFRR >ORUFI01G16430.1 pep chromosome:OR_W1943:1:12906274:12906774:1 gene:ORUFI01G16430 transcript:ORUFI01G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMLFSHPVLTARVCSHGAQAAEDGGDEPGWQRMAAEPEQRWTRRRTQVPPPITAVPFLPPLVPAAAMGRRRVVARSHPPPELAVNGSPALKIGGNGTSASHLPEERIPASLLPAFHLLPTPVLPLSTSSCRRMCPSHIHLHPRPSGAACHLLLPCHGKVGKEKK >ORUFI01G16440.1 pep chromosome:OR_W1943:1:12907780:12909755:-1 gene:ORUFI01G16440 transcript:ORUFI01G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAFDLNEPPPPELDLNETIDWMSSLDDCHESPAHKLDYDGDEEGRGNEDEENGDGGEDVAGGDEQDDQAGLEAQVHAGDEHAEGVLMQDLSLGENLRKRRYYSDELKIAIYLVLLAKADPPVLHRGVSKQVALKFGVPLRLVQHVWQNGKEKGCVDGVVNKLFKNVGRKRIEIDLEAIRDVPSGERATLRRLADALGVKKTTLHNRLKEVKFRWR >ORUFI01G16450.1 pep chromosome:OR_W1943:1:12935135:12943111:1 gene:ORUFI01G16450 transcript:ORUFI01G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQINIPPDSQQESVAVGGNETVAAPAREIGAPGRRGAGAGGRVFYVGGTPFALYWEGSAGRGVVGNAASAAGMGAEEEEEPASAVGREGGGGGGGARAAGAGAGGDTADDDDSGESAAAVVPCSICLDAVVAGGGDRSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRQIERGNWLYANGSRPSQDVSNDDWGHDEDFYDANQPETSRSVFLPFRFQWCPIGRLAQLPSVFERVSTTLHDFMGQNFTSEHLPVSAPGATPPGPYIAYFQPLQSSASSSSSHVTERTMDGTTYHDHWNPLPGPSDGRPLATVHPIDFHHNHWTHLPNSYSQPNSNNGVAEQMAIPVVPMRVGGLDSDSQQRGSLPSVYGNGSGSRSRIPSVPPMAPQFMRPHGNINEQYQQNSSSLYAAPQRRTAVQAVQDSMNFTLFPQAPTGPNSMETEDAGGNQFYAWERDRFAPYPLMPVDSEANWWGSTPQSHGVTDHSAAPGRRLFGQWIGAGRSPPPPPPPPADNSSYRQMHIPRM >ORUFI01G16460.1 pep chromosome:OR_W1943:1:12959083:12965349:1 gene:ORUFI01G16460 transcript:ORUFI01G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFVPYSFASPRVCQCVLVVCVLVRRLHTAPSNAAAAAVTDGGDQDKTKSAKDDDGDDKVQCKKEKIVTATKKGAAVLDQYIPDNIKTAYHVLQVGDEIYDATMNQTNVGGNNNKFYIIQALESDAGGNFMVYSRWGRVGTRGKGKLQGPFSREQAIDEFERKFHDKTDIHWSYRKGSHCYAHKYTWLEMDYGEADKETNKKTSSITNQLEETKLETRTASFISLICDISMMKQQMVEIGYNADKLPLGKLSKSTILKGYDVLKRISNVISGADTDRTQLEQLTGEFYSVIPHDFGFKKMSEFIIDTPQKLKAKLEMVEALSEIEIAIKLLEDDSSDQDDPLYARYKQFCCDFTPLEVDSEEYSMIKTYLTNTHGKTHTGYTVDIVQIFKVSRLGEMERFQKFASAGNRMLLWHGSRLTNWAGILSQGLRIAPPEAPISGFMFGKGVYFADMFSKSANYCCASEACKSGVLLLCEVALGEMNELLYGDFGADNLPNGKLSTKGVGQTEPNIAESKITDDGMVIPLGKPEKGSLMYNEYIVYNVDQIRMRYILNVNFNFKRWG >ORUFI01G16470.1 pep chromosome:OR_W1943:1:12972321:12983104:1 gene:ORUFI01G16470 transcript:ORUFI01G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLRVEELRAELQRRGLDASGNKPVLVRRLDAAIRKEEEEEAAVSAAAKEEADAGGVVDGEGNGEDKRKRKRRGDGEDVDNSESDAAKLEGMSYRELQALAKSRGLAANGSKKEVIERLLCAPSDTDGGVQDKKKIAKDGDDRVEECRKEKIVTATRKGAAVLDQHIPDHIKMTYHVLQVGDEIYDATMNQTNVGDNNNKFYIIQALESDAGGSFMVYNRWGRVGARGQDKLHGPFSSREQAIYEFEGKFHGKTNNHWSDRKSFECYARKYTWLEMDYGEADRETNKKVSPSTDQIKETKLETRIASFISLICNISMMKQQMVEIGYNADKLPLGKLSKSTIFKGYDVLKRISNVISRADRRQLEQLTGEFYTVIPHDFGEFIIDTPQKLKAKLEMVEALGEIKIATKLLEDDSTDQDDPLYARYKQLSCDFTPLEVGSEEYSMIKTYLANTHGKTHTSYTVDVVQIFKVSRHGEMERFQKFATAGNRMLLWHGSRLTNWAGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYASEACRSGVLLLCEVALGEMNELLNADYDANNLPKGKLSTKGVGQTEPNTAESKITDDGVVVPLGKPKAEPSKRGSLLYNEFIVYNVDQIRMRYVLHVSFNFKKRADTGDAGDEATSGNSLVGDVTVGGRSHLSGAAAGEGDPVSGAAVGGDDHTGCGTTVDGDPIGGVAARGGEDLAGGDGAAVVDANAGGDGPSANAATQATQTQAATAPTATDAVAGADPGSDSLAASTTAGGDNPDCDDHATYNHGFFKALPHLET >ORUFI01G16480.1 pep chromosome:OR_W1943:1:12984035:12986437:1 gene:ORUFI01G16480 transcript:ORUFI01G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRQPGDAPASAAASEADLAQLSTAIAAGEDLGPFVRRAFACGRPEPLLASLRAAARDREAEIEELCRAHFHDFIRAVDDLRSLLADADALKGSLSASHAALLSSAAPLLASLESFLAARGLAGNLSSALASSRRCVRLLALANRANAHLQGGNHNLYLALRAVDAIDRDLASGPEPLPLPTLRRMLLSLIPAVRAHAEREISREFSDWMVSIRAASRHLGQVAIGRSAAARQRQEELRSKHRPLEECITLDDDGVGDLDDFAAAAATADVADGAAAASFDLTPLYRAMHIHHTLALGERFKKYYLENRKLQLTSDFDVIAATPFLESHQVFFSQIAGFFIVEDRVFRTGGGLTSRPDVDALWDSAVAKMVSVMEDNFSRMQTANHLLLITDYAALLSATMRRYGYPVGMLLDVLARHRDKYHDLLLADCRRQVVEALAADKFDQMLMRKEYEYSMNVLAFGIQSSDITPAFPYVAPFSCTVPDICRIVRSFIEDSVSFMAHGGGGDTYAAVKKYLGRILSEVVDASIQKLVDSGGGLSVSQAMQVAANMSVMERACEFFTGHAAQLCGVPLRAVERGRRDFPLRKSRDAAEALLLRLLRSKVDEFMGQSDGVTWMADDPPAGGNEYANEVIIYLETLTSTAQQILPLPVLRRVLVAVLAHISERIVGLFLNDSVKRFNASAVTGIDTDLKMFEAFGESMSSLFVDSDQESAANEMKAALVEARQLVNLLMSNSPENFLNPVIREKSYNKLDYKKVAAISEKFRDSSESYFSTFGTRGARQNPKKKSLDTLIKRLREAS >ORUFI01G16490.1 pep chromosome:OR_W1943:1:12999652:13000279:-1 gene:ORUFI01G16490 transcript:ORUFI01G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLILIMWRIKDGVVKIGMFGATTNGTMRDIDVAPVSLKSVTVGSIDTVDCISFNFEDKDGNELAVGPWGGTLGRDHTFVLKSNEYVREVSGTFGPFATQHLDRTVNSLTFVTSQGTIYGPFGTPNGTSFRIPVEKGSIVGFYALADGFVSAIGFYVRQ >ORUFI01G16500.1 pep chromosome:OR_W1943:1:13013142:13014167:1 gene:ORUFI01G16500 transcript:ORUFI01G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITALSQCDHGEGAIKLFMEMLRKGLEPDPFVLSSLLNACASLSAYEQGKQVHAHLIKRQFMSDAFAGNALVYTYAKCGSIEDAELAFSSLPERGVVSWKFICKTSPQLLPKEIAHQVTI >ORUFI01G16510.1 pep chromosome:OR_W1943:1:13017035:13025756:-1 gene:ORUFI01G16510 transcript:ORUFI01G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIWIPLPHPSTPPPPSPKTQNRAPLPALSIFCISLRRPLSFAATAARIAASAPSKAGEGRRRSAASRWANLTADDNLIAPLTNLLAIGSAVEIPLPSPLEMDATALAASLVPSWSAVVVLFSYLGYLATAGAVLPGKLVPGAVLPDSSRLHYRCNGLVSLLLLLVLSALGVYMGWMSPTVIADRGIELLSATFIFSVIVTFLLYYSGLRSHHKSSSLKPHVSGNFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLAGSANLSVILYQFFCAWYIVDYFVHEELMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNNVELSLLAATVNCFIFVIGYLVFRGANKQKHVFKKSPKALIWGKTPKLVGGKLLVSGYWGIARHCNYLGDILLALSFSLPCGTSSVIPYFYPTYLFILLIWRERRDEARCSEKYKEIWVEYCKLVPWRIFPYVY >ORUFI01G16520.1 pep chromosome:OR_W1943:1:13034504:13034790:1 gene:ORUFI01G16520 transcript:ORUFI01G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPELLSKEEGNYSSKINVVIAEEEEKRRSRRRGTRLGYRRQVEVVVPRLGWGRGHREVFPVGLKVVASYVIRTILN >ORUFI01G16530.1 pep chromosome:OR_W1943:1:13036710:13038269:1 gene:ORUFI01G16530 transcript:ORUFI01G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALFPAAVGASASMSSLLDGAIPAPALGRGKGAIFGADPSQLPTATALSLHKRALAHVPSTRIPPPPPTSTS >ORUFI01G16540.1 pep chromosome:OR_W1943:1:13039198:13043899:1 gene:ORUFI01G16540 transcript:ORUFI01G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGEGSEEREREREWEEAAEAVAYDSCTWPPPVVAVCGPGNSGKSAFSRLLLNTLVGRYKKVAYLDTDVGQPEFTPPGCFFFGDCSAKKNPKLLLSYIFSLYDYFLKELYRFEDTDNPNKSAIPLVINTSGWVKGTGLHMLTEMLKYASPTHVIRLRTSVEGKNLPAGMFWLDEPEGDPAINLVEIRAAQHSPRHINGYKAFECSLLIKKEARIIRDLRIIAYFRQCLPMEFPVFSYNDLIQGFASIEPFQLPLSKLQVIDLHSQVSDYTVHHFLKGTIVGIATSASVALSNQCSTPCCIGLGFIKAIDVSRDCIHLITPVSRQLLENADIFFCSSFTVPTCLLQVVSDTASDIADRLRELNCHG >ORUFI01G16550.1 pep chromosome:OR_W1943:1:13046966:13047460:1 gene:ORUFI01G16550 transcript:ORUFI01G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPKERLAAWISSRGRGFAAATSAAPHLATPPPLSAVKLSSAPNHLPPMKVSVPSAKLSSPRPPPLVQVPPPQFENVLWIHCRRRRDEQLVYSHSRALNDMRSHRFLGKQSAGTLGCCGHAPPRIPVPPPHPHCQRRERRLGDDNTIPIAIATPSPMPHWETA >ORUFI01G16560.1 pep chromosome:OR_W1943:1:13048355:13048582:1 gene:ORUFI01G16560 transcript:ORUFI01G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYGNGEGSDEWEMAAEEEEEARKRDCTREWEEAAEAVVYDSCTWPPPVVAVRGPGNSGKSAFSRLLLNTLVGR >ORUFI01G16570.1 pep chromosome:OR_W1943:1:13051021:13053282:1 gene:ORUFI01G16570 transcript:ORUFI01G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATGFGSDVFVANALVAMYGGFGFMDDARRVFNEADSERNAVSWNGLMSAYVKNDQCGDAIQVFGEMVWSGIQPTEFGFSCVVNACTGSRNIEAGRQVHAMVVRMGYDKDVFTANALVDMYMKMGRVDIASVIFEKMPDSDVVSWNALISGCVLNGHDHRAIELLLQMKYSGLVPNVFTLSSILKACSGAGAFDLGRQIHGFMIKANADSDDYIGVGLVDMYAKNHFLDDARKVFDWMFHRDLILCNALISGCSHGGRHDEALSLFYELRKEGLGVNRTTLAAVLKSTASLEAASTTRQVHALAVKIGFIFDAHVVNGLIDSYWKCSCLSDANRVFEECSSGDIIACTSMITALSQCDHGEGAIKLFMEMLRKGLEPDPFVLSSLLNACASLSAYEQGKQVHAHLIKRQFMSDAFAGNALVYTYAKCGSIEDAELAFSSLPERGVVSWSAMIGGLAQHGHGKRALELFGRMVDEGINPNHITMTSVLCACNHAGLVDEAKRYFNSMKEMFGIDRTEEHYSCMIDLLGRAGKLDDAMELVNSMPFQANASIWGALLGASRVHKDPELGKLAAEKLFILEPEKSGTHVLLANTYASAGMWNEVAKVRKLMKDSNIKKEPAMSWIEVKDKVHTFIVGDKSHPMTKEIYAKLVELGDLMSKAGFVPNVDVDLHDLDRSEKELLLSHHSERLAVAFALLSTPPGAPIRVKKNLRICRDCHVAFKFISKIVSREIIIRDINRFHHFRDGTCSCGDYW >ORUFI01G16580.1 pep chromosome:OR_W1943:1:13067537:13069113:1 gene:ORUFI01G16580 transcript:ORUFI01G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKIGQWGGNGGSAQDISVPPCKLTSVTIRSGQAIDAITFSYVGMDGLEHVVGPWGGPGGSPTTFKIGPTERVKEFSGTHGPFGTLADIVTYLKIVTDATTYELGVKSGTPFNVPLQGNATVVGFFGRSGALLDAGWQSGATGTGSAYPYPCL >ORUFI01G16590.1 pep chromosome:OR_W1943:1:13072525:13073590:1 gene:ORUFI01G16590 transcript:ORUFI01G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCLWWARAVLGWAAHLTYYSRYPSSNAGATMVLPLSVLTAATPDADTARRTRRPAPTPPPARQRFHRSLPPQNGAAIALPLSVTTAASRDADTTCNTLCPLPPHAIASAAPFLCTPVLSQPFL >ORUFI01G16600.1 pep chromosome:OR_W1943:1:13077291:13077522:1 gene:ORUFI01G16600 transcript:ORUFI01G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTNTVVQTISVTAAATGVHDFRFAVAGEEDFYQSEAFSVGGHNWAIRYYPKSPTATAPGCLSTPFS >ORUFI01G16610.1 pep chromosome:OR_W1943:1:13079368:13089901:-1 gene:ORUFI01G16610 transcript:ORUFI01G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFVLVDDFGPFKPPAASASTTSFSASHYDDMFDSYFNRSAEPAEPSPSSSAPSPPPPVFDKPVFDDADADAADPFDAIPLFGDGGDGGEGEDFLDSLGKGAKKPDVSEPEVVGFDDDLIPALGNTKSKTPVGEEEVEQEAEAVGFVDDVIPEWFGGSASTTPMKPTPQAEPKATGFEDDVIPGEEPRTRPENESISSSKTSVSMPGDAFVTLGATSNLGNSNFGLFTDHLDNMGKSESKNMDPCSTANGMFDSSNIFVGVPKPMSSSSFASEKESVFGDSKSLDGIYSMNHSIKMPKEKPVQQASAETISSILPEMHIHEAPGTTGFNNSDPLSTSMQDQLPEENQCSKMSDDVWLTVSDVVLVTQPTSAPPPSRPPPTLAAKKGPTESNTSNAYPHDHNQGYNPFISSTNTSKTPKIDELEDFVMAKPSSLANGCLQDLNHNGIGIGQDSSTSAAGFMDWAELKHSKGVNQGNFDSLFASSQYQEKEKAVLYASGMESRDEEELLEHEKKQREKEEEQRKLERDREEELEREREMMRRREHEERKRREKEREARHIVEKAMREAPAEARERAAAEARERAAKAAAEAKERVAEEARERAAKAAAEARERAATEAREKAAAEARAKAERAAVDKVAAEARRRAERAAVERAAAEARQRAANEARKRAEAEARARESQQKTAQPDLDSFFGMPSRSSSVPRSQTATTNPFDVQPQGGSDFGSIRRTSSGSASPFAQPPSTNLMDDLSSIFGAPSSSAVFQEVDGESEERRKARLERHQRTMERAAKALAEKNERDLQVQWEQEERHRIGETLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWRPVSLTDLITAASVKKEYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKFNSEELF >ORUFI01G16610.2 pep chromosome:OR_W1943:1:13079368:13089901:-1 gene:ORUFI01G16610 transcript:ORUFI01G16610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFVLVDDFGPFKPPAASASTTSFSASHYDDMFDSYFNRSAEPAEPSPSSSAPSPPPPVFDKPVFDDADADAADPFDAIPLFGDGGDGGEGEDFLDSLGKGAKKPDVSEPEVVGFDDDLIPALGNTKSKTPVGEEEVEQEAEAVGFVDDVIPEWFGGSASTTPMKPTPQAEPKATGFEDDVIPGEEPRTRPENESISSSKTSVSMPGDAFVTLGATSNLGNSNFGLFTDHLDNMGKSESKNMDPCSTANGMFDSSNIFVGVPKPMSSSSFASEKESVFGDSKSLDGIYSMNHSIKMPKEKPVQQASAETISSILPEMHIHEAPGTTGFNNSDPLSTSMQDQLPEENQCSKMSDDVWLTVSDVVLVTQPTSAPPPSRPPPTLAAKKGPTESNTSNAYPHDHNQGYNPFISSTNTSKTPKIDELEDFVMAKPSSLANGCLQDLNHNGIGIGQDSSTSAAGFMDWAELKHSKGVNQGNFDSLFASSQYQEKEKAVLYASGMESRDEEELLEHEKKQREKEEEQRKLERDREEELEREREMMRRREHEERKRREKEREARHIVEKAMREARERAAAEARMQAEREARQRAERAAVQKAAAEARERAAAEARERAAKAAAEAKERVAEEARERAAKAAAEARERAATEAREKAAAEARAKAERAAVDKVAAEARRRAERAAVERAAAEARQRAANEARKRAEAEARARESQQKTAQPDLDSFFGMPSRSSSVPRSQTATTNPFDVQPQGGSDFGSIRRTSSGSASPFAQPPSTNLMDDLSSIFGAPSSSAVFQEVDGESEERRKARLERHQRTMERAAKALAEKNERDLQVQWEQEERHRIGETLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWRPVSLTDLITAASVKKEYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKFNSEELF >ORUFI01G16620.1 pep chromosome:OR_W1943:1:13082393:13084206:1 gene:ORUFI01G16620 transcript:ORUFI01G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAAAGTAARRGAARLRRDSDGDGTLSSPAVVSSGGHLLPGEFLPFPSPLASSSSVRVSCARATAAATATAHDGDRHGGGRRSITPPHGGAVEALALRQGEAGGHGSCAQHKEGGVTVGWILVLIGQAQPGSKLLAGFKKFETMAHRTEALPNILQFTTEN >ORUFI01G16630.1 pep chromosome:OR_W1943:1:13092858:13103470:1 gene:ORUFI01G16630 transcript:ORUFI01G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSYYPSDPSPPANPRGRPRDTSVVVVVLDTSEVYIVASLSTRKDTQVIYVDPTTGYLHYLGKHGEDLFDSEAAALNYITNGSKILCKSTTYSKAVLGYAVLGSYALLLVATQLSATVPTLPGGGCIYTVAESQWIKIQLQNPQAQGNGESKNIKELAELDIDGKYYFCETRDITRPFPSRMTLREPDEEFVWNRWLAKPFMDIGLLGHCVVLLQGFAECRSFGGTGQQGGIVALLARRSRLHPGTRYLARGINACSGTGNEVECEQLVWAPRKDGQGQSIPFSSYIWRRGTIPIWWGAEIKNAVSVEAEIYVADDPFNGSLQYYQRLGRRYGNKSLEVNATSQKKPGVVPIVCVNLLRYGDGKPETVLVDSFKSSLEYLRSTKKLGKTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMAIGFSEGKYYNVKQQLKECKGSVIFNDDINGGFCMQSIQNGVVRFNCADSLDRTNAASYFGALQVFVEQCSRLSISLDVDAMFGLSSSRYPEYNGRNPRTLPPGWEERFDSVTGKSFYIDHNTRTTTWEHPCQEAPQKPWKRFDMTFDQFKGSTMLAPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAAQNVKITLQRRFQNYINDSSRQKQFEMFLGLRLFKHLPSIPISPLKVLSRPSGCMLKPVPSITPVADGGSSLLSFKKKDLTWVCQQGADYVELFIYLGEPCQVCQLLLTVSHGVDDSSYPATIDVRVGSSVDTLKLVLEGACIPQCSNGTNLLIPLTGRIDPEDLAVTGKSARPNIQESTYLPLLYDFEELEGELNFLNRVVALSFHPSARARTPITLGEIEVLGVSLPWVDMLTDSRRGPDFVELLHEKLSSIPGNVGSKEFANSSNSFLPQNGIVGSERASSTKSSSSVLQGSSGNFVDFLTGDFDMPNQSDATENTSFVNVEQTNSFDDDFDVNPFATASETPSAKVNSQVEEFDSAHIYLKFFESFSGNIKGKGLNFEQMMKLEIKRLCLDLSAAERDRALLSIGVIPATVDPNRSVDYSYLLKLSSLADYLALLGHTVHEDRVNASIGLENINGHAIDFWNICENDESCTGDVCEVRALSSSHASATSENSSIFVECSQCGRTACKACCAGKGAFLLLNNTYRDLKIYGGSQGGGYSALADNFVCKSCCSEVIKHALYVDYVRVLRSLRKKGRTEQAVLKAVNQVCGLEFSRISDFTKSVQYGQKQLKQLLDGEESLAEFPYASFLQTVETSDDSEPLLSLLAPFGIGEQKSYWKAPLDNTSVEFSIVLGGLSDVSGAAIIVGSCGYSTSDCPIVEIWAGNKINREDRTFIGKWDVHDMMLSSPHLSGPEKTSSMSEEPRHIKFHFPNPIRCRIVSIKMTLNHIDSHSTKFREEFDLLSLSEGTFSESKPTTPQNSFIHAKRIVIFGNTLRKETNPDTSMGIMRMKTYLDRSQPLGRFRIPVEAERLRDNDLVLEQYLLPNTPGIAGFRLDFFNVVRLRVTHSPSSSELDMKEFSLIPMEDRVINPAILYLQVTIVKESGKLVVEEYRLPEVKVNTPLYYDFQDLQQDVRCVLFRLLGDVTAFVDDIAEIDGSNLRNLPLATGLSLSNKIKLYYYADTYEMGKIGSLSAV >ORUFI01G16630.2 pep chromosome:OR_W1943:1:13092858:13103470:1 gene:ORUFI01G16630 transcript:ORUFI01G16630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSYYPSDPSPPANPRGRPRDTSVVVVVLDTSEVYIVASLSTRKDTQVIYVDPTTGYLHYLGKHGEDLFDSEAAALNYITNGSKILCKSTTYSKAVLGYAVLGSYALLLVATQLSATVPTLPGGGCIYTVAESQWIKIQLQNPQAQGNGESKNIKELAELDIDGKYYFCETRDITRPFPSRMTLREPDEEFVWNRWLAKPFMDIGLLGHCVVLLQGFAECRSFGGTGQQGGIVALLARRSRLHPGTRYLARGINACSGTGNEVECEQLVWAPRKDGQGQSIPFSSYIWRRGTIPIWWGAEIKNAVSVEAEIYVADDPFNGSLQYYQRLGRRYGNKSLEVNATSQKKPGVVPIVCVNLLRYGDGKPETVLVDSFKSSLEYLRSTKKLGKTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMAIGFSEGKYYNVKQQLKECKGSVIFNDDINGGFCMQSIQNGVVRFNCADSLDRTNAASYFGALQVFVEQCSRLSISLDVDAMFGLSSSRYPEYNGRNPRTLPPGWEERFDSVTGKSFYIDHNTRTTTWEHPCQEAPQKPWKRFDMTFDQFKGSTMLAPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAAQNVKITLQRRFQNYINDSSRQKQFEMFLGLRLFKHLPSIPISPLKVLSRPSGCMLKPVPSITPVADGGSSLLSFKKKDLTWVCQQGADYVELFIYLGEPCQVCQLLLTVSHGVDDSSYPATIDVRVGSSVDTLKLVLEGACIPQCSNGTNLLIPLTGRIDPEDLAVTGKSARPNIQESTYLPLLYDFEELEGELNFLNRVVALSFHPSARARTPITLGEIEVLGVSLPWVDMLTDSRRGPDFVELLHEKLSSIPGNVGSKEFANSSNSFLPQNGIVGSERASSTKSSSSVLQGSSGNFVDFLTGDFDMPNQSDATENTSFVNVEQTNSFDDDFDVNPFATASETPSAKVNSQVEEFDSAHIYLKFFESFSGNIKGKGLNFEQMMKLEIKRLCLDLSAAERDRALLSIGVIPATVDPNRSVDYSYLLKLSSLADYLALLGHTVHEDRVNASIGLENINGHAIDFWNICENDESCTGDVCEVRALSSSHASATSENSSIFVECSQCGRTACKACCAGKGAFLLLNNTYRDLKIYGGSQGGGYSALADNFVCKSCCSEVIKHALYVDYVRVLRSLRKKGRTEQAVLKAVNQVCGLEFSRISDFTKSVQYGQKQLKQLLDGEESLAEFPYASFLQTVETSDDSEPLLSLLAPFGIGEQKSYWKAPLDNTSVEFSIVLGGLSDVSGAAIIVGSCGYSTSDCPIVEIWAGNKINREDRTFIGKWDVHDMMLSSPHLSGPEKTSSMSEEPRHIKFHFPNPIRCRIVSIKMTLNHIDSHSTKFREEFDLLSLSEGTFSESKPTTPQNSFIHAKRIVIFGNTLRKETNPDTSMGIMRMKTYLDRSQPLGRFRIPVEAERLRDNDLVLEQYLLPNTPGIAGFRLDFFNVVRLRVTHSPSSSELDMKEFSLIPMEDRVINPAILYLQVTIVKESGKLVVEEYRLPEVKVNTPLYYDFQDLQQDVRCVLFRLLGDVTAFVDDIAEIDGSNLRNLPLATGLSLSNKIKLYYYADTYEMGKIGSLSAVVSAMMEY >ORUFI01G16640.1 pep chromosome:OR_W1943:1:13105379:13106617:-1 gene:ORUFI01G16640 transcript:ORUFI01G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSDERMKELKVVVSLETIIGDANEFIKLSSTYPCLSRQPHSMYLLLDKCMFGRQMEMECVINFLLHGEITYGADHLGVLPIIGPGKVGKRTLVEHACIDERVRSHFSQIVFFSKDGLTHGNIVTLKDCGTTKHQTDDALGGSKRRLVVVELDGEIDQGLWERFYSASKSCFAYGSKIIITSRSDKIASFGTTQPHKVRAFGSSDPTENPKLASLAMDMASEVSGCFTSVNMFNGPLRSNTITCFWSFVLATIRGFKQKNLSIYNSTNPLDPWAVMASLYIPRANKNLDPVAILNNYQRNCNEAHNYSGLITASSPSAASQITVQDIMFGTATPLGQFKVLAWRSHIPPYYSCVFDCEIKRPPTRMVSRKKKTKKIGI >ORUFI01G16650.1 pep chromosome:OR_W1943:1:13113284:13114321:1 gene:ORUFI01G16650 transcript:ORUFI01G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVPAAATATASRLILVHGAGHGGWCWYRVATMLRAAGHRVHAPDLAASGADARRLRDAPTFADYSRPLLDAVRALPGGERAVLVGHSLGGMSVALAAEELPERVAAAVFVAAFMPDCASPRPSVIDKLPWLDWMDSVRDEEHAPPSVKLGPELMRRKFYQLSPEEDFTLAQSLVRMGSSYVDDMRRRPPFSEARYGAARKVYVVCGEDLAIVEAYQRRMIADCPVEEVREIAGADHMAMFSAPAALAGHLADVANTYA >ORUFI01G16660.1 pep chromosome:OR_W1943:1:13116416:13120259:-1 gene:ORUFI01G16660 transcript:ORUFI01G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTDSRKRLSADHRLLPSFPPSPPPPSKKPKLAPLPSSSSLADASSPPPSPPPPHPSSSSAAAQGPSSSYSAAAAAGAGGASTSYASSLPPSRHRRLPPPPPHPRPIHGPQRVLRAFRLGSALSRSNPSCFTPSPSSPQHPRTLGLEQYVELVDSVAHPPPLPPSPSTADAPSRVEVIAVDDAEERKDDEEAREEEEEEVKGSVVVRRVPLYKELYEASSRRRDAKLRTLEFEVRLAEKGRLGLEQLADVLPRFGPRKEDEPFVPFTDEDEDSVDHALGGRNRRERLVVHESSNIVITRETLQCLNETEWLNDEVINLYLELLKERELREPNKFLKCHFFNTFFYKKLITGGYDYKSVRRWTTKRKLGYSLLECDKIFVPIHKEVHWCLAVINIRDKKFQFLDSLGSMDMKALRTLARYLVDEVKDKSGQHIDALSWKQEGVKNLPLQENGWDCGMFMLKYIDFYSRDMGLTFGQKHMHYFRKRTAKEILNLRAE >ORUFI01G16670.1 pep chromosome:OR_W1943:1:13121019:13142457:-1 gene:ORUFI01G16670 transcript:ORUFI01G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASSSSAAPAPPWWLTSRTCDVLPSASPSSSGFAEWAAFLFLSDCSQRVLLSALASLFLLLLLCFALRRAVSSSSSSRRRRGGADGIDGDDGKRPLLHRPGPAPAVRVGVGYVVALSASLALAVFYAVLLVLSLVTRGGGGGVLEPVFLALQCAAHLAAAAVVAHEKRFRAAHHPLTLRLFWLAASALAVLLAGSAIARLASGAAALPDDALAIAVLVLSLPLPLLAIAGATGITVVVAAAESSHEEGAEGNGNGEDVRDYKNVTLYATASWPSRLMWAWMHPLLKRGYRAALDLTDVPTLAPEHRPERMYELFLSNWPAAWATKDNNPVRHALLRCFWPLFLLNASLAVLRLTVMYVGPTLIQSFVDFTSASPRRPLWDGVRLVAALLAAKTAEAFCSHQYNFHCQKLGMQIRGALITALYRKGLRLSCSARQKHGLGMIVNYMAVDAQQLSDMMLQIHYLWLMPLQVGVALGLLYLYLGPPVTAALGGVVAVMMFVLAGARRNNRYQFRLMTERDKRMKATNEMLNYMRVIKFQAWEEHFSARIEAFRRGEFGWLTRFMYSISGNIIALWSAPIAIAALVFATSVLLGVRLDAGLVFTATSFFKILQEPMRNFPQYMTSAELDEGAVERGPAVGAGMTAVRVRGGEFAWEEEEEAAGQQAVLRGIDIDVRAGTLAAVVGMVGSGKSSLLGCILGEMRKISGEVTVRGSMAYVPQTAWIQNGTIEENILFGRGMQRERYREAIRVCSLDKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDADVYLLDDVFSAVDAHTGSDIFRDCVRGALRDKTVLLVTHQLDFLRNAHAIYVMRDGAVAQSGRYHDLLRTGTDFAALVAAHESSMELVESAAPGPSPSPAGNLPLSRQPSSAPKERESASSNGDIKTAKASSRLIKAEERASGHVSFTVYRQYMTEAWGWWGLMLVLAVSVAWQGSTMAADYWLAYQTSGDAFRPALFIKVYAIIAAVSVVIVTVRSLLVATIGLDTANIFFRQVLSTILHAPMSFFDTTPSGRILTRASSDQTNVDLLLPFFVWMSVSMYITVIGVVIMTCQVAWPSVVLVVPLLMLNLWFRKYYISTSRELTRLESITKAPVIHHFSETVQGVMVIRCFQKQDNFFHENLSRLNASLKMDFHNNAANEWLGLRLELIGSLVLCVTALLMVTLPSNIVLPVERIKQFTNIPSEAEWRIKETAPSANWPHKGDIDIIDLKFRYRHNTPLVLKGITVSIHGGEKIGVVGRTGSGKSTLIQALFRIVEPSEGKIIIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPLQLYSDDEIWQALERCQLKDAVTSKPEKLDASVVDNGENWSVGQRQLLCLGRVMLKHSRILFMDEATASVDSRTDAVIQKIIREEFSACTIISIAHRIPTVMDCDRVLVIDAGLAKEFDSPANLIERPSLFGALVQEYATRFKVSAP >ORUFI01G16680.1 pep chromosome:OR_W1943:1:13142822:13161003:-1 gene:ORUFI01G16680 transcript:ORUFI01G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGNGPPGAGGNGPAPGARGNGGAPGDNTNGGNTNTSTSTALFSSVRSRRRRLAAVGARSGVVGRPGGVRAAAAWRRGAFARIRSRSFAFVRRSFAAVGARSSTVGRPGGVGAAVAWRRGGHKAPISLTRNEL >ORUFI01G16690.1 pep chromosome:OR_W1943:1:13168895:13169467:-1 gene:ORUFI01G16690 transcript:ORUFI01G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPFLSSETRFSPKKSTLKQQGEGGGINDIAFRKPPHQLMASSYTSSVSSARNQSDSSKDNPATAFLASPCNGAQQLVIEDIYV >ORUFI01G16700.1 pep chromosome:OR_W1943:1:13175900:13180766:-1 gene:ORUFI01G16700 transcript:ORUFI01G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRAIRSMEVMVHSSTFLLPKLHQPANSPAKKYALVVLNQNLPRFVPRLWTHAKLRICADGGANRIFDEMFQMTNDPDYESTRKRYIPEIIEGDMDSIRPEVKQFYSSQGSKISDKSHNQETTDLHKCISRIHRCTPDHEKTNLCVLVTGALGGRFDHEAANINILYLFSDMRIVLLSDDCLIRLLPKTHKHEIYIESSVEGPHCGLFPVGAPSGSTTTTGLKWNLSEAKMRFGSMISTSNIVHAEKVTVQSDADLLWTISLRNLT >ORUFI01G16710.1 pep chromosome:OR_W1943:1:13182509:13183246:-1 gene:ORUFI01G16710 transcript:ORUFI01G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTPLNISFRRAIVGQKLIKWNDLILRLANITLSNEKNCFVWSLHKNGYLHVFLLAPLLVYDATTGGAGYYAQWCYTLGIGSQGSLIPLWVA >ORUFI01G16720.1 pep chromosome:OR_W1943:1:13197842:13214425:1 gene:ORUFI01G16720 transcript:ORUFI01G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLVTDVPVVDGIMDIENYAPDMVYVEPEKEKHEEQNVLSMPEAKITKLEEGKHTKAGEGNEFHQEELEYSAENPITAGSIEVSDLALSSIQSIQCEESDQLKLLRTYVESDGMTIVEAKIQKEQSSPKDIEVEVVGLQDISGLKLELDVLGLEEQNIRCSQVEKFQDKTEFVADKYHERHLDHVELVVPGNDKEHDALYVVEPSASLLDDEGTNFVEDDKLAHAKLESLLKNVSENRVESLVQAEANTNETELLEPDMKSNADLKAIRIVKFKESDDMKLCKEDCISDCFAMEEDDMIKATSAVNDNGVSVVIHEAKDDNKNVFEDHGMIEDKTYIVPQKYFEPEKFSIEFKNLNGEAEEDKQKEILVLQDKSNMMQDKKDQNNLEQTNSNGGEEELQVKDKDLVALEVEESELKVTGLVAVSDIELRTTEAIRCEQSDRVKLTRDDLESDCSAVHTDKVLRDASLGKNFEESGANLAAADDDKNHIVDWGMKEENSISLQVETIEDKTNFMPEKFSELEKVYTGCEKLNNKKEEQEENDKINTLKDEKDHNTFEHTDSIKGEVQLPDKDKNLVTLEAEGSVLEVMHSVSLRYAELRSIETLQGEEGDGVKSMEDFLKSDCMIVQANVHNELSTPTNVDVEEVDLQDDETFYIGEPKAVKLEDVNETNIEEDDKMVLEEVESPIKNDNKTVLVEVESPVKNVCLETLETERDILSEDEKEMEVGHVNECSEIEVGLMVKEVVNKIELEEADSETKSNVDKKPIQILQFEHMDEAEFGADDSKSNYLAVNADNVQRDASLRKNIEESSASLDGADDEKNDMAYCGMKEANTMSLHVETMEEKTNFTPEKSSVPGKNYTEFEKLNDEGEEEEQNDKIITLKDEKDHNTFEHTYSIGGEVELLVKDENLVMLEAERSVPEVMDIIAVSDAELRLIEHPHCEVTDAVKSAGDYSESDYMILEGNLHNEPSTPTDVGVETVDLQDETFYIGEPKATKLEDEDEPNTEKNDKMVVGEVETPMKNVSLESLETTENITSEDENEIKAGHLCESSENDIRFPEKEDTNKIDLEVADSVMEGYDDQKPIKILQWEHMEEAEYGTADSKSDLLTMYADKVQRDASRGMNVEESGASLEGVDGGKNDVANWGVKEGNNLILQVETMEEEINFMSEKFSDPKKFYTRFEKENDKIEEQEENDKSSTLNDKKDENTLEHTDSVGGDVKLLVKDESLVTLEAKASALEVMDLVLVSDVELSSNETLQCEESDGAKSTGDYLEDEPSTPTDVKVEEVNLQDETFYIKEPKVAKLEDEMETNTEEKDKIIVVEVESPVKHLNLETGENIKLEDEKEMEVGHLNKSRGNEMEFLVKEDAKITELAQTNLDIKNNADQRAIQNIQCINMDEAEFVTDDLKSDCLAMHADNVQRDASLTKNIEESSASLEGADDEKNNMADCRMEVGNTMSLQVVTMKEKTNFMLENSSVQENDNTGFETLNDKREEQVKNIKLNPLKDENNHNTFEHTYIIEGNVELHVKDEKLVTLETEGSELEVMDTVLVSDAELRLMEPPQCEEIDAMKSTGDFSESHCLILEANVHNEPSTSTDDVVEAADLQDETFYIEEPKEAKLADENNKMVTVEMESLVKNLSLELLESEKNVMLEYEKEMKVGHVGQSDENDVGLLVEEDAKKIKLEEADSDIKSNVDKKAFQILQCEYMDEAKFGTVDSKSNYLAVHEDNVQREASLTNNVQESSASLDSADDEKNDIADCGMKERNTMSLQVGMMEENTNFIQVQSSGLEKDCTRFEKLNDKREEEEKNEKTNTLKEEKDHNTFEHTYSIGEGVELLVKHENLVTLEAEGSVLEVMDTILVSHAKLRLMEPPQCEEIDAMKSTGDYLESYCTILEANVQNKSSTPTNFEVEVTDLQDETLYIGEPKASKLEDHSEPNTEENDKMVVVEVESPLKNVRLGSMETREYITLEEKEMDVGHLGASSGNDFQFLEKEDTHKIELVEADSSIMCNDDQKPIKKLQWEHMEEAKLGTTERSALEVMNSVLGSDVELSSIETLQCEESDRAKLTRDYLECDCVIVEANVQDEPSTPTDVEDEEVDLQDETSYIGEFKDAKLEDEKETISEEINKMVAVEVESSVNKLCLETTENITLEDEKQMGVGHLDKSSGDEVFSVKELTNKSELVHANLDINNNSEQKAIQNLQCIKIDEAELVAVDSKSDCLAVHAGNVEVDASMTKNIEESGACLEGADNSKNDVVDFRMKEGNNMSSQGETMEEKTNFMLEKYSVSEKDLKRSEKLNDVEEEQEENDKTSTLKEEKDHNTFEHAYSIRGEVGLLMKDVTLVTLEVEGSALNILDSALVSDDALRLMETPQCEQSEGVKSTGNYLESNCVTEEANVDNKPSTPIDVEVEVTNLQDQMLCIGEPKAAKLEDQNEPNTKENNKMVGVVVDSPEKHTSLETLETREHVTSEDEEEIDVGHLGESSGNDTGFLDKEDTNKIELQEADSGIQCNDDQKPIKILLWEHMEEAELGTAEGSTLEVTDSVLVSDVELSSIETVQCEESDGSKSTEDYFESDCMMEQANVQDESSTPTYVEVEEVDLPDETSYIGDPNSAKLEDEKQTVTEENDKMVIVKVESSVKNLSLEVAENITLEDEKEMEVGNLGKSSGDEVFPVKEDANITELAQAGSDIKKKSGQKAIQNLQRIEMDEAEFVTVDSKSDCLDLNSGSVQIDASLTENIEESVASLEGADNAKNVVVGCWMKEGNTMSMQVEMMEKTNFMHEKSSVPENIYTIFEQMNDEGGEEEAKEKTNTLKDVKDHYTFDHTNSIGGEMELLVKDENLVTLGAEGSALDFLDSVLMSDTELRFVEPLQYEESDGVELTGDYSKIDCVIVDANVHNEPSTPIDVEVEATDLQDETFYIGHPKVAKLEDENETNTKENNKMVGVEVDSPLKNISLETMQTRKNVILEDEEEIEVDHLIESSGNEVGFIGGEVDLLVEDGNLVTLGAEESALEVMDSVSVSYAELRSIKTFRCEESDGVKSTKDYLESDCMIVPSDVHYELSSPRNVEVEAVDLRDETFYIGETKAAKLEEKNETNTKEDDKMVLVEVESPVKNEDKTDLVELESFVKNVSLETFGTEENTMSEYVKEKEVEYFDESNENDLGFLVKEDAQNIELEEINSDKKSNADKMSIQILQLEHIDETEFGTDESKSDCLSVHLGKLQRDSSGRNNVEESGASLDSANDEKIDMADHGMKEGNVVSLQVDMMEVKTNFMPKKCTVPEKDYTGFENLNDEGEEQEENDKSNTLKDEKHHNTFELKDSAGEEVELRVKGENLVTLVTEGSALEVMDSILVSGVKLRLIEPLQCEESDGVELMEDYSESDCMIENANVNNESSTPIDVEVETADIQDETFYIGEPKTSKLEEGNKTNTEENDKIVVVEVEPPVNNLSSETLETRENITTKDEEEMEVGHLNESSGIEVGFLVKEDTNKIKLLEADSNIKSNADKKDIQILQYEHTDESEFGTNDPKSDSLFVHVDMVQRDASLGTNIEECGASLEAADNEKKDMADCGMKEGNTTSLQVETMEEKTNLISKKSSVPEKVYTGFEKLNDEAKEQEGNNRSNTLEHGKDNNTFEHTNSIRGEVEWLEKDNNLVTLLTEGSALEVMDSVSVSDDELGSIETLKCEESDGVKMTRDYLESDCLQVEATLKNEASTPTNVEVETVDLQHDKFYKREPIATKLEDENETIVDENTVFTEEGIPMENVSLKTIETGEIIMSEDKEDNKVGHVEPSGNEAGLIEKEDANGIEFKNVESDIRSNADQKDIQILHCEYVDKETNFTSKKFNKLEKVYIEFKKLNDEVEEEKNDKSNIPKEKEDRFTVGHTEGSVHEVMQSVLVSDADLRSIETLQCEESNGVKSTGDYLESGCIIVETNVCNEPLAPKDVEGEVVDLQDETFYIGEPKATKSEDHNENNTEEEDKMVFAEVESPVKIDDEMVLADVESPMKNVSLETLKTEENIMLEDEREKNTSEHTDLIRAEVELLVIDENLVTLEAEGRTLEIIKSVLISDAELRSIETLQCEDSDGAKSTENYSESDHLIVEANVHIEPSIPTDVDVEVVDLQDDTVYVGEPRDAKLVGENKTKMEEDDMMVLAEVESPVKNVSLETLEAEKDILSEDKEHMEVEHVQSHENETGFIVKEVNKIEFEEADSDVRSDVDQKAIQNFQFEHMDEAEFGIEDLNSDCLTMHEDKLQRDASRGKNLEGSVASIESVDDDKNDKADCGMKEGNTISLQVETTEHNTNFMSEKSNKPEKIHTGFEKLNDEVEEQEENGKSNTLKDQKENNTCEYTDSIGGEVNLLVIDENLVTFEEERSVLEVIESFSVSDAELISIETLDCEQSEGVKLARDFSKSDWMIIEAKEYNEPSTQMDVEVETVDLEDETFHVGELRASKSEHDNETIIEEDDKLILADVESSVKNVTLETLETEKNTMLEDEEEMEVGHVVDSCENEVVCLVKEGGNKIEFEETIQIVQHVEEKTNFVPEKSSVPEKVFTEFEKLNDEVEEHVENDKNIILKNKKGRNTFEHTNKIGGDIQLLVKDKNLVTMEAERNELEVANSVVVSDPELRSIESFQCEENDGMKLTRNYLESDCMILETNVHEESSTSSDVEVEAVNLRDDTFHIRESTAAKLDDENKTNTENISVVITNKLQKDVKLSLGKKIVSEISQKPINEDVVLSSEQAMEGDKKIELDANIEGNIVRNDIEMVTHNCEICDNCANTMEEDTNGSSHNSPTHVMDSSNTLIYIIKEAITGDEEGIDSSILVTDLQHVASGSHGGNMCPKYFRSSESNSSSRTCISSYNDTKMEYNFTDMTVTKKDKKLHQKLELITEKFLNLLSRMGANTMDFNLDHHHHKSSQQYHDNQKDLSFSCNILVLGKIGVGKSTVINSIMGEEKNKINAFDGATTNVRLVSSVVDGIKVNIIDTPGLRTNVMDQGWNKKILSTVNSYTKKCPPDIILYVDRLDSWSNHFDDIPLLKTITTILGTSIWVNTVVTFTHANSIPPDNSNGDPMTYETFIAQRSHIVQQSIQQATGDMCLINAFSFVENYLYCKRNCQGKKVLPTIQNWRKYLLILCYSTKPKYQPKASIHHKGLKEDSSIEVDDYSEVCDDEYEYGQLPTLWPLMKAQFDELMKDKNKDECAYHVKLIQGMQFNGVTQGSMPCDNDLNPLQKNRMSPILNMVIEPSFDFDDPPTHQYNLLEPTSIITRKHVLGAHTWDHEYNFDGASLEKTLVLHKPTKCFEATLVEFSKDMKKSRIHFNSSFRSKHVDDASHCLGYNIQNAWKKLAYCIWGETTTKDTKHKTVGGLSVMFLGDTMLTGVKIEDYISVGESLALLSQYLLRRHSKMALHIGLNTLRNGQINLKMSTSKMVQIALLGLVPLATSMYKSFVHSAEHN >ORUFI01G16730.1 pep chromosome:OR_W1943:1:13219675:13222781:-1 gene:ORUFI01G16730 transcript:ORUFI01G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPPFPPLLLLVAVIFSFLSSSCFAVTSHEAAAIARRQLLNFEKHGDHVHIDIDIEIKVSNPRLAAAHRALHALKRALYSDPGNFTGDWAGPDVCAYNGVLCAPSPDNASASAVASLDMNAADVAGYLPREIGLLSDLAVLHLNSNRFCGVIPEEVANMTRLYELDVSNNRLVGAFPGAVLRVPELSYLDIRFNDFDGPIPPELFLRPYDAIFLNNNRFTSGIPDTIGRSTASVIVLANNDLGGCIPPTIGQAAATLDQFVFLNNSLTGCLPLESGLLANATVFDVSHNLLTGAIPATMGGLAKVEQLDLSHNTFTGVVPGDVCGLPALTNLSVSYNFFAGEDAQCSSALLDAKLDKSLEDEANCMGNVRPMQRSAGLTAGWSEEIKRGGIKIFNCSTLDSSYGLLRKIRSAVNKMTKHLSGSVVGP >ORUFI01G16740.1 pep chromosome:OR_W1943:1:13227365:13243544:1 gene:ORUFI01G16740 transcript:ORUFI01G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTGENKKPFDSSTRQNDVTVYPSSYSVPAQPNEQYPPDQGHGHPRLKATTLKDVVGGVTEVFPVNKLATKEDADKVAATAMQKRWEACRNSILHRAVMFFFSAGKAITDGRDKVRVRTEARPKCVRERRLGHRWIGFTNEISIRGGGSDGFSCSHGPDRAVAVASAASAGESGGWIQISVPIGPASAHLILNSPRASNSAPAPPPSSAINSHTRRPHVHPHRHSSPPPPPPPPPPPSPAMASSASLQRFLPPYPHAAASRCRPPGVRARPVQSSTVSAPSSSTPAADEAVSAERLEPRVEQREGRYWVLKEKYRTGLNPQEKVKLGKEPMSLFMEGGIKELAKMPMEEIEADKLSKEDIDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSEQTRYLASVIEAYGKEGCADVTTRQNWQIRGVTLPDVPAILDGLNAVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRSYTNLLSSYITSNFQGNPTITNLPRKWNVCVIGSHDLYEHPHINDLAYMPAVKGGKFGFNLLVGGFISPKRWEEALPLDAWVPGDDIIPVCKAVLEAYRDLGTRGNRQKTRMMWLIDELGMEAFRSEVEKRMPNGVLERAAPEDLIDKKWQRRDYLGVHPQKQEGMSYVGLHVPVGRVQAADMFELARLADEYGSGELRLTVEQNIVIPNVKNEKVEALLAEPLLQKFSPQPSLLLKGLVXAVRRAGADRRRHPGRAVRGRAEGEGGGRGVGTQTGVFIHKKPSRSMPQEHNSVSHLQSNYRNLNQKSCDAMEGSNLKSAALLEQLHVHLASGAGKELVEMIGFVYQLNISPKKLGFDEEVFIVVDLKKGVVSKGPYEGKPDATFSFTDDDFLAISSGKLNPQMVFIMGKLKIKGSISAAQKFTPDIDLPQAIQTVGYRSNTMVANKHFLSLSVLIVLLGLSSNLAAGQVLFQGFNWESWKENGGWYNLLMGKLGGRHRRRRHHPRLAPSAVPLCYMPGRLYDLDASNHRGVPWQGRPGDRRHRHQPPHGGAQGRPGHLLPTPASTGART >ORUFI01G16740.2 pep chromosome:OR_W1943:1:13227365:13244929:1 gene:ORUFI01G16740 transcript:ORUFI01G16740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTGENKKPFDSSTRQNDVTVYPSSYSVPAQPNEQYPPDQGHGHPRLKATTLKDVVGGVTEVFPVNKLATKEDADKVAATAMQKRWEACRNSILHRAVMFFFSAGKAITDGRDKVRVRTEARPKCVRERRLGHRWIGFTNEISIRGGGSDGFSCSHGPDRAVAVASAASAGESGGWIQISVPIGPASAHLILNSPRASNSAPAPPPSSAINSHTRRPHVHPHRHSSPPPPPPPPPPPSPAMASSASLQRFLPPYPHAAASRCRPPGVRARPVQSSTVSAPSSSTPAADEAVSAERLEPRVEQREGRYWVLKEKYRTGLNPQEKVKLGKEPMSLFMEGGIKELAKMPMEEIEADKLSKEDIDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSEQTRYLASVIEAYGKEGCADVTTRQNWQIRGVTLPDVPAILDGLNAVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRSYTNLLSSYITSNFQGNPTITNLPRKWNVCVIGSHDLYEHPHINDLAYMPAVKGGKFGFNLLVGGFISPKRWEEALPLDAWVPGDDIIPVCKAVLEAYRDLGTRGNRQKTRMMWLIDELGMEAFRSEVEKRMPNGVLERAAPEDLIDKKWQRRDYLGVHPQKQEGMSYVGLHVPVGRVQAADMFELARLADEYGSGELRLTVEQNIVIPNVKNEKVEALLAEPLLQKFSPQPSLLLKGLVXAVRRAGADRRRHPGRAATCRGGCTIWTRPIIEAFHGKGVQVIADIVINHRTAEHKDGRGIYCRLPPRLGPAHDLPRRPLRRRHRKPGHRRRTSTTSTSASSGSSSAGSTGSRWTSASTRDDLRRQPRHRLDAAPVAVSLRQGYAYILTHPGNPCIINSAKLFQFYDHFFDWGLKDEIERLKPAGDPPGAGALLLALAELKRADAARDGSHEREIYKCAHGPAIRQNDVTVHPSSYSVPTQPNEQYPPGHGHIRKFPSCGCHLSQHLPF >ORUFI01G16740.3 pep chromosome:OR_W1943:1:13243727:13244929:1 gene:ORUFI01G16740 transcript:ORUFI01G16740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWWPAKATTFVDNHDTGSTQHLWPFPSDKDTPTSSPTPATHASNSESSCCKLIDELKINSAKLFQFYDHFFDWGLKDEIERLKPAGDPPGAGALLLALAELKRADAARDGSHEREIYKCAHGPAIRQNDVTVHPSSYSVPTQPNEQYPPGHGHIRKFPSCGCHLSQHLPF >ORUFI01G16750.1 pep chromosome:OR_W1943:1:13227686:13228069:-1 gene:ORUFI01G16750 transcript:ORUFI01G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAVYKSGEAYRGKKVLVVGCGNSGMVVSLDLCDHSALPAMVVRDAVHVLPGEVLGKSTFELAVLLMAWLPLWLVDKILVLLAWFVLGNLAKLGIRRPTTGRLGAMGRRLGGGRAAPAVRRPASRR >ORUFI01G16760.1 pep chromosome:OR_W1943:1:13245151:13245899:1 gene:ORUFI01G16760 transcript:ORUFI01G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEADKLSKEDIDVRLKWLGLFHPRKHYGLELVAAGSPTAGRVAGSGWVVGSCEDRGGSGIW >ORUFI01G16770.1 pep chromosome:OR_W1943:1:13253550:13259213:1 gene:ORUFI01G16770 transcript:ORUFI01G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYFRAGYPEEVIILYKRLKLNQIGFNGKTITFVMKSCTELKNLYLGKGVHADSLKLALSGNKFVGSSLIGLYSKFSKTNDSRGVFEEIINKDIVAYTSMITGYSETVDSIAWNAFEIATDMLQNNLEVNRVTLVSLLQIAGNLGALQEGKSLHCYSIRRAIGVSDDILETSIVNFYTRCGAYQSAATVLQNSKGTVASWNALLSGLNRAGQSFNAIQYLPVMLHEHKVTPDSVTFANVLSACAELCYFCFAASIHAYFIRRFIPMDVVLTTALIEVYTKCTRVMRSKYLFDQLIIKDVVSYNAMIYGYLQNDMANEATSLLNYMMAEGVAPDFATVLSLLAAFADQRDLVRGRWIHGFAIRHGFCSDVDVENQILYMYSACGKIAAARAIFDSLEKKNLVSWTAMMKGCLSNGHADEVVQLFQVMQKYGEKPDSVSLVTAVQAVSDLGHLNGLKQIHCFVYRSLLEKDKITANSLISAYAKCGMLDLSAGLFFSLKYRNLDTWNAMISAYAMHGFHINVLEMFKQMEEENIQPDELTFSTVLTACSHAGLVKDGWRIFNSMTSVYSVLPQEEHYGCMVDLLGRAGHLEDGYKFIKLSTLKDKSTIFCALLSACRTHGNTRLAHAISKELLEHGPQNPGIYALISEVYAQEGQWNEVANTKARADLSGLKKHPGSSLIESMEQGMS >ORUFI01G16770.2 pep chromosome:OR_W1943:1:13253550:13259213:1 gene:ORUFI01G16770 transcript:ORUFI01G16770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLSILVRNFAGNTSSKFNKVALLKLSRWLSGSTPNTNSLSSKKHNFDKSALLFQGCADVRFLKKIHANVFTYGLCWDVILGSKILSCYANLGALHESRLVFQKIVNDDISLWNSAMVDYFRAGYPEEVIILYKRLKLNQIGFNGKTITFVMKSCTELKNLYLGKGVHADSLKLALSGNKFVGSSLIGLYSKFSKTNDSRGVFEEIINKDIVAYTSMITGYSETVDSIAWNAFEIATDMLQNNLEVNRVTLVSLLQIAGNLGALQEGKSLHCYSIRRAIGVSDDILETSIVNFYTRCGAYQSAATVLQNSKGTVASWNALLSGLNRAGQSFNAIQYLPVMLHEHKVTPDSVTFANVLSACAELCYFCFAASIHAYFIRRFIPMDVVLTTALIEVYTKCTRVMRSKYLFDQLIIKDVVSYNAMIYGYLQNDMANEATSLLNYMMAEGVAPDFATVLSLLAAFADQRDLVRGRWIHGFAIRHGFCSDVDVENQILYMYSACGKIAAARAIFDSLEKKNLVSWTAMMKGCLSNGHADEVVQLFQVMQKYGEKPDSVSLVTAVQAVSDLGHLNGLKQIHCFVYRSLLEKDKITANSLISAYAKCGMLDLSAGLFFSLKYRNLDTWNAMISAYAMHGFHINVLEMFKQMEEENIQPDELTFSTVLTACSHAGLVKDGWRIFNSMTSVYSVLPQEEHYGCMVDLLGRAGHLEDGYKFIKLSTLKDKSTIFCALLSACRTHGNTRLAHAISKELLEHGPQNPGIYALISEVYAQEGQWNEVANTKARADLSGLKKHPGSSLIESMEQGMS >ORUFI01G16770.3 pep chromosome:OR_W1943:1:13253551:13259124:1 gene:ORUFI01G16770 transcript:ORUFI01G16770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLSILVRNFAGNTSSKFNKVALLKLSRWLSGSTPNTNSLSSKKHNFDKSALLFQGCADVRFLKKIHANVFTYGLCWDVILGSKILSCYANLGALHESRLVFQKIVNDDISLWNSAMVDYFRAGYPEEVIILYKRLKLNQIGFNGKTITFVMKSCTELKNLYLGKGVHADSLKLALSGNKFVGSSLIGLYSKFSKTNDSRGVFEEIINKDIVAYTSMITGYSETVDSIAWNAFEIATDMLQNNLEVNRVTLVSLLQIAGNLGALQEGKSLHCYSIRRAIGVSDDILETSIVNFYTRCGAYQSAATVLQNSKGTVASWNALLSGLNRAGQSFNAIQYLPVMLHEHKVTPDSVTFANVLSACAELCYFCFAASIHAYFIRRFIPMDVVLTTALIEVYTKCTRVMRSKYLFDQLIIKDVVSYNAMIYGYLQNDMANEATSLLNYMMAEGVAPDFATVLSLLAAFADQRDLVRGRWIHGFAIRHGFCSDVDVENQILYMYSACGKIAAARAIFDSLEKKNLVSWTAMMKGCLSNGHADEVVQLFQVMQKYGEKPDSVSLVTAVQAVSDLGHLNGLKQIHCFVYRSLLEKDKITANSLISAYAKCGMLDLSAGLFFSLKYRNLDTWNAMISAYAMHGFHINVLEMFKQMEEENIQPDELTFSTVLTACSHAGLVKDGWRIFNSMTSVYSVLPQEEHYGCMVDLLGRAGHLEDGYKFIKLSTLKDKSTIFCALLSACRTHGNTRLAHAISKELLEHGPQNPGIYALISEVYAQEGQWNEVANTKARADLSGLKKHPGSSLIESMEQGMS >ORUFI01G16770.4 pep chromosome:OR_W1943:1:13253551:13259213:1 gene:ORUFI01G16770 transcript:ORUFI01G16770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLSILVRNFAGNTSSKFNKVALLKLSRWLSGSTPNTNSLSSKKHNFDKSALLFQGCADVRFLKKIHANVFTYGLCWDVILGSKILSCYANLGALHESRLVFQKIVNDDISLWNSAMVDYFRAGYPEEVIILYKRLKLNQIGFNGKTITFVMKSCTELKNLYLGKGVHADSLKLALSGNKFVGSSLIGLYSKFSKTNDSRGVFEEIINKDIVAYTSMITGYSETVDSIAWNAFEIATDMLQNNLEVNRVTLVSLLQIAGNLGALQEGKSLHCYSIRRAIGVSDDILETSIVNFYTRCGAYQSAATVLQNSKGTVASWNALLSGLNRAGQSFNAIQYLPVMLHEHKVTPDSVTFANVLSACAELCYFCFAASIHAYFIRRFIPMDVVLTTALIEVYTKCTRVMRSKYLFDQLIIKDVVSYNAMIYGYLQNDMANEATSLLNYMMAEGVAPDFATVLSLLAAFADQRDLVRGRWIHGFAIRHGFCSDVDVENQILYMYSACGKIAAARAIFDSLEKKNLVSWTAMMKGCLSNGHADEVVQLFQVMQKYGEKPDSVSLVTAVQAVSDLGHLNGLKQIHCFVYRSLLEKDKITANSLISAYAKCGMLDLSAGLFFSLKYRNLDTWNAMISAYAMHGFHINVLEMFKQMEEENIQPDELTFSTVLTACSHAGLVKDGWRIFNSMTSVYSVLPQEEHYGCMVDLLGRAGHLEDGYKFIKLSTLKDKSTIFCALLSACRTHGNTRLAHAISKELLEHGPQNPGIYALISEVYAQEGQWNEVANTKARADLSGLKKHPGSSLIESMEQGMS >ORUFI01G16770.5 pep chromosome:OR_W1943:1:13254029:13259213:1 gene:ORUFI01G16770 transcript:ORUFI01G16770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLSILVRNFAGNTSSKFNKVALLKLSRWLSGSTPNTNSLSSKKHNFDKSALLFQGCADVRFLKKIHANVFTYGLCWDVILGSKILSCYANLGALHESRLVFQKIVNDDISLWNSAMVDYFRAGYPEEVIILYKRLKLNQIGFNGKTITFVMKSCTELKNLYLGKGVHADSLKLALSGNKFVGSSLIGLYSKFSKTNDSRGVFEEIINKDIVAYTSMITGYSETVDSIAWNAFEIATDMLQNNLEVNRVTLVSLLQIAGNLGALQEGKSLHCYSIRRAIGVSDDILETSIVNFYTRCGAYQSAATVLQNSKGTVASWNALLSGLNRAGQSFNAIQYLPVMLHEHKVTPDSVTFANVLSACAELCYFCFAASIHAYFIRRFIPMDVVLTTALIEVYTKCTRVMRSKYLFDQLIIKDVVSYNAMIYGYLQNDMANEATSLLNYMMAEGVAPDFATVLSLLAAFADQRDLVRGRWIHGFAIRHGFCSDVDVENQILYMYSACGKIAAARAIFDSLEKKNLVSWTAMMKGCLSNGHADEVVQLFQVMQKYGEKPDSVSLVTAVQAVSDLGHLNGLKQIHCFVYRSLLEKDKITANSLISAYAKCGMLDLSAGLFFSLKYRNLDTWNAMISAYAMHGFHINVLEMFKQMEEENIQPDELTFSTVLTACSHAGLVKDGWRIFNSMTSVYSVLPQEEHYGCMVDLLGRAGHLEDGYKFIKLSTLKDKSTIFCALLSACRTHGNTRLAHAISKELLEHGPQNPGIYALISEVYAQEGQWNEVANTKARADLSGLKKHPGSSLIESMEQGMS >ORUFI01G16770.6 pep chromosome:OR_W1943:1:13254029:13259213:1 gene:ORUFI01G16770 transcript:ORUFI01G16770.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLSILVRNFAGNTSSKFNKVALLKLSRWLSGSTPNTNSLSSKKHNFDKSALLFQGCADVRFLKKIHANVFTYGLCWDVILGSKILSCYANLGALHESRLVFQKIVNDDISLWNSAMVDYFRAGYPEEVIILYKRLKLNQIGFNGKTITFVMKSCTELKNLYLGKGVHADSLKLALSGNKFVGSSLIGLYSKFSKTNDSRGVFEEIINKDIVAYTSMITGYSETVDSIAWNAFEIATDMLQNNLEVNRVTLVSLLQIAGNLGALQEGKSLHCYSIRRAIGVSDDILETSIVNFYTRCGAYQSAATVLQNSKGTVASWNALLSGLNRAGQSFNAIQYLPVMLHEHKVTPDSVTFANVLSACAELCYFCFAASIHAYFIRRFIPMDVVLTTALIEVYTKCTRVMRSKYLFDQLIIKDVVSYNAMIYGYLQNDMANEATSLLNYMMAEGVAPDFATVLSLLAAFADQRDLVRGRWIHGFAIRHGFCSDVDVENQILYMYSACGKIAAARAIFDSLEKKNLVSWTAMMKGCLSNGHADEVVQLFQVMQKYGEKPDSVSLVTAVQAVSDLGHLNGLKQIHCFVYRSLLEKDKITANSLISAYAKCGMLDLSAGLFFSLKYRNLDTWNAMISAYAMHGFHINVLEMFKQMEEENIQPDELTFSTVLTACSHAGLVKDGWRIFNSMTSVYSVLPQEEHYGCMVDLLGRAGHLEDGYKFIKLSTLKDKSTIFCALLSACRTHGNTRLAHAISKELLEHGPQNPGIYALISEVYAQEGQWNEVANTKARADLSGLKKHPGSSLIESMEQGMS >ORUFI01G16770.7 pep chromosome:OR_W1943:1:13254139:13256553:1 gene:ORUFI01G16770 transcript:ORUFI01G16770.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLSILVRNFAGNTSSKFNKVALLKLNVRFLKKIHANVFTYGLCWDVILGSKILSCYANLGALHESRLVFQKIVNDDISLWNSAMVDYFRAGYPEEVIILYKRLKLNQIGFNGKTITFVMKSCTELKNLYLGKGVHADSLKLALSGNKFVGSSLIGLYSKFSKTNDSRGVFEEIINKDIVAYTSMITGYSETVDSIAWNAFEIATDMLQNNLEVNRVTLVSLLQIAGNLGALQEGKSLHCYSIRRAIGVSDDILETSIVNFYTRCGAYQSAATVLQNSKGTVASWNALLSGLNRAGQSFNAIQYLPVMLHEHKVTPDSVTFANVLSACAELCYFCFAASIHAYFIRRFIPMDVVLTTALIEVYTKCTRVMRSKYLFDQLIIKDVVSYNAMIYGYLQNDMANEATSLLNYMMAEGVAPDFATVLSLLAAFADQRDLVRGRWIHGFAIRHGFCSDVDVENQILYMYSACGKIAAARAIFDSLEKKNLVSWTAMMKGCLSNGHADEVVQLFQVMQKYGEKPDSVSLVTAVQAVSDLGHLNGLKQIHCFVYRSLLEKDKITANSLISAYAKCGMLDLSAGLFFSLKYRNLDTWNAMISAYAMHGFHINVLEMFKQMEEENIQPDELTFSTVLTACSHAGLVKDGWRIFNSMTSVYSVLPQEEHYGCMVDLLGRAGHLEDGYKFIKLSTLKDKSTIFCALLSACRTHGNTRLAHAISKELLEHGPQNPGIYALISEVYAQEGQWNEVANTKARADLSGLKKHPGSSLIESMEQGMS >ORUFI01G16780.1 pep chromosome:OR_W1943:1:13253996:13263376:-1 gene:ORUFI01G16780 transcript:ORUFI01G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAPCYDTAEDWFMANLQYWYYSWYQTGLRLRQATWGALNRMKNPQNDSVAADLPCWCGVALVLAVGICKMDGCPARLGTWEEAILLLGCLSWGSEIVRIYRTAVEVADHLIVIKRLSIKHMPSTTQQVNHATTIHLNPINSRGHIISEL >ORUFI01G16790.1 pep chromosome:OR_W1943:1:13263561:13267533:-1 gene:ORUFI01G16790 transcript:ORUFI01G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHADALRWKDGKGKVAEQKQSSAKKDALESSKKKKQNRKKKRKQQANPPPKRPALQGDKPSNLENARSETKKDTELAASNSSEDLNGQHHLVLVEEEDLPPLGKSAALVDPNTSRQGKRRPNPKEPMAKSLLAASALLLALLLAVAGGAAAAGEVPLAWELGVGGGGGGEEDSFGFSSEDAAADGAAVVRRVLQGQGYISYGALRRDTTPCSVRGASYYNCRPGGQANPYSRGCSAITRCRG >ORUFI01G16790.2 pep chromosome:OR_W1943:1:13267533:13270278:-1 gene:ORUFI01G16790 transcript:ORUFI01G16790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAIVAAPALLLLALLIALATGGADAAPGEVPLSWELGVVGADDAFGFPGEEAADSATAVVRRVLQQGSYISYGALRRDTTPCSVRGASYYNCQPGAEANPYSRGCSAITQAATVAAVAAACVLALAAGAGQAPASWERERELGIGGINNPRMNGTKYRLYLGLDPYEHRILSFD >ORUFI01G16800.1 pep chromosome:OR_W1943:1:13266798:13269355:1 gene:ORUFI01G16800 transcript:ORUFI01G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIRCPSLSPTEHFQDSSASFLADDCFCSATLPFPSFHLRASACMARLSSMRGYMTRLKTK >ORUFI01G16810.1 pep chromosome:OR_W1943:1:13280090:13286165:-1 gene:ORUFI01G16810 transcript:ORUFI01G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGASALRPHLALLPAGGGGGGGGGTRSYALQALSFVSPLLPHCGRRRRCVLRSKASSSPSPPPSPGKEAVAVPTAESCVNLGLELFSKGRVKDALEQFENALELNPNPVEAQAALYNKACCHAFREESNKAADCLRTALRDYNLKFGTILNDPDLAPFRASPEFKELQEEALRGGEDIGSGFRRDLKLIIQGGDGAPDLLETVGNAAINIGGIVVLVALYFWENKKEEQQITQISRNETLSRLPVRLSTNRIIELVQLRDITRPVILAGSKASITRAMQRAERYRTELLKRGVLLIPVIFGASQKAQSKPRGFGSSRSAASAPSVGDDFEKRTESIAAKSRLKAEERFKADIVSPEQWESWIRDQQESEGVTPGEDVYIILRLDGRVRRSGRGMPNWNDILQELPRLEELLSKLER >ORUFI01G16810.2 pep chromosome:OR_W1943:1:13280090:13286165:-1 gene:ORUFI01G16810 transcript:ORUFI01G16810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGASALRPHLALLPAGGGGGGGGGTRSYALQALSFVSPLLPHCGRRRRCVLRSKASSSPSPPPSPGKEAVAVPTAESCVNLGLELFSKGRVKDALEQFENALELNPNPVEAQAALYNKACCHAFREESNKAADCLRTALRDYNLKFGTILNDPDLAPFRASPEFKELQEEALRGGEDIGSGFRRDLKLISEVQAPFRGVRRFFYVALTAAAGISTFFTIPRLILAVQGGDGAPDLLETVGNAAINIGGIVVLVALYFWENKKEEQQITQISRNETLSRLPVRLSTNRIIELVQLRDITRPVILAGSKASITRAMQRAERYRTELLKRGVLLIPVIFGASQKAQSKPRGFGSSRSAASAPSVGDDFEKRTESIAAKSRLKAEERFKADIVSPEQWESWIRDQQESEGVTPGEDVYIILRLDGRVRRSGRGMPNWNDILQELPRLEELLSKLER >ORUFI01G16820.1 pep chromosome:OR_W1943:1:13286365:13288513:1 gene:ORUFI01G16820 transcript:ORUFI01G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTGENYRLLYDTKGRFRLQSVKDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHAFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAANA >ORUFI01G16830.1 pep chromosome:OR_W1943:1:13290457:13292874:1 gene:ORUFI01G16830 transcript:ORUFI01G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARGQGGLREEAVCTTVAKYPP >ORUFI01G16840.1 pep chromosome:OR_W1943:1:13301232:13304551:-1 gene:ORUFI01G16840 transcript:ORUFI01G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTDAYNLTALENSIIKEIIDTRAFSLRKDEKPNEQVEMIDKFVNRCVGSPLAATALGSLLRTKETVQEWQAILMRSSICNEETGILHILKLSYDDLPSYMKQCFAFCAMFPKDYVIDVDNLIHVWMANGFIPDEKNVPLETIGNYIFHELASRSFFQDMKQVPFQEYGSKHGNCYRRLCRIHDLMHDVALSVMGNECFSITENPSQKEFFPSTVRHILLSSNEPDTTLNDYMKKRCQSVQTLLCDVLVDRQFQHLAKYSSVRALKLSKEMRLIQLKPKILHHLRYLDLSNTYIKALPGEISILYSLQTLNLSDCYCLRRLPKQMKYMTSLRHLYTHGCLNLKHMPPDFRKLTSLQTLTCFVVGSGSKCSNVGELQKLDIGGHLELHQLQNVRESDAIHTKLDSKRKIMELSLVWDNEEPRNETADSSHNKVMEALRPHDNLLVLKVASYKGTTLPSWVSMLEGLIELDLSTSYTRCENIPQLWQLQYLQLLRLAGFDRLQYLCSIGENSTTCSIFPKLKELTLENLKSFKGWWDKTERQEQPSCDNDNNKTPTALPNFPQELQLIELNKIDRWQQVEATHVKTPMFPNLENIRIMDCPKLASLPEARKLSVLHITKGSQQLLFCIPRYITSLSTLSLLQEGVETAPPAKHNLIEWVDDNENWKGESPLADMRLNNFNMFFLSGAHALWTCFAQLIVLRICRCDVLIHWPEKEFQGLVSLKTLGIRSCNKLKGYAQAPERSTSGGGQLLTRLESLTIIECKSLVEVFNTPPSLKYLHIRRCPELKSIFGKQRRGSTLIEGPCSDNIVSAPVLEPSSPAGDHFSPPESLESPHSGELPSLVKLTLCYCKSLASSSLPNSPQAYSSLQGLIIMECPALKVLPTCLRQRLGSLEWKELDARHEDANKIEIKPILILIMHDVLSIKILVKSLKISKWIQQINVKGLEV >ORUFI01G16850.1 pep chromosome:OR_W1943:1:13319817:13326314:1 gene:ORUFI01G16850 transcript:ORUFI01G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSDSLFQRRATGSAGPSTTQIRRQRPSLARPRTDPLGAAGGEPRGRLGDGWRTTVVHRVESGGGRRSRSLLSACCRHRGCPPPARRLLSERNS >ORUFI01G16860.1 pep chromosome:OR_W1943:1:13350637:13350954:-1 gene:ORUFI01G16860 transcript:ORUFI01G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVSFLFRKLFIGVRESIVNQPGTRWLQLEQAREVPDEEPLNLHLLGETFLHLFATAFGLLASVWATVVLLGGFATSLDKMDFWFATIIVFIESSRYVYPSF >ORUFI01G16880.1 pep chromosome:OR_W1943:1:13382245:13386165:-1 gene:ORUFI01G16880 transcript:ORUFI01G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAAVYSSSSLDGAEDLDDGVRNMLSGNDELQRRRCLSRSPLKLGGGTATGLLERCSAGSACQCPLLLVDGGEEVGGGTRGWGGPGRQRRSPMKARGSPPPFNIVATKDVYEDGQAVHIVEGHCNEQKAAEIKDDDLSIKPIDFGHILVHLSGSDELRRRRCLSRSPLKLGGGTATGLFERCCAESELPHKCYGPQSDVWSSGVVLYVLLSGVPPFWAEPQGIYDAVLKGLIDFQSVLKISDSAKDLIGKMLSHYPSECEDGLDEGI >ORUFI01G16890.1 pep chromosome:OR_W1943:1:13391336:13394834:-1 gene:ORUFI01G16890 transcript:ORUFI01G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQCFAFCAVFPKDYEIDVEMLVKLWMANDFIPSKDGVCLEKIGHSIFNELARRSFFQDVEETSLSKYDREDKLCRFRKTCKIHDLMHDIALHVMREECITVTSRPNSMQLKDSSRHMFLSCHRTNTLLDAFFEKRTVPLQTVLLTISSLCFAQHLFKYNSLRALDIPYYLQRACLIQAKHLHHLRYLNLSWSLNMERLPEEISILYNLQTLDLSGCSLLRCLPKNMKYMSSLRHLYTHGCKKLECMPPELRKLTALQTLTYFVVGNALDSSNIGELQKLNLRSELELCNLENSSEEQANGANIKQKVDLTHLSFKWSSDIKKEPEHYENVLGALRPPAKLQLLKVRSYKGANFPTWMTDNSTLRHLTELHLVDCPLCMEFPEFWQLHALQVLYLTGLDNLQCLCSGASNIMVSSAFGNLKKLKLQDLKSLNRWSTMEGDEPTFPLLEDIHVKNCPMLTRLPNTPNLRILKLKENRPHLSQSVLVSRYMSSLSQIKLSICDDEAMLLPVNEVEASVTKLKLFGCNMLFTSSQSRTTLGLWKCFRNLEKLEIKSCAVLMFWPLREFHSLESLKELRVKSCNNLKAKPVDGEPAQGQPLPHLTSLQINSCQELSELFNLPRSLNYIYIHSCPKLKSVWDEQEDTELGSAHADQLATLRTNTQDPSPSAREHHLPCLEFLSISNSGDNLSGFRDLPPSLKGLSLHDCPKVQFLSGKLDALRYLSINSCKSLRSLELCPGDLPSLTTLRIVSCKSLTSLPDGPRAYSSLESLEIKYCPAMKSLPGRLQQCLESLEEKHLSHMRSSDPQEGAKLLEPKSWKYLICRNRDLMAP >ORUFI01G16890.2 pep chromosome:OR_W1943:1:13391336:13394834:-1 gene:ORUFI01G16890 transcript:ORUFI01G16890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQCFAFCAVFPKDYEIDVEMLVKLWMANDFIPSKDGVCLEKIGHSIFNELARRSFFQDVEETSLSKYDREDKLCRFRKTCKIHDLMHDIALHVMREECITVTSRPNSMQLKDSSRHMFLSCHRTNTLLDAFFEKRTVPLQTVLLTISSLCFAQHLFKYNSLRALDIPYYLQRACLIQAKHLHHLRYLNLSWSLNMERLPEEISILYNLQTLDLSGCSLLRCLPKNMKYMSSLRHLYTHGCKKLECMPPELRKLTALQTLTYFVVGNALDSSNIGELQKLNLRSELELCNLENSSEEQANGANIKQKVDLTHLSFKWSSDIKKEPEHYENVLGALRPPAKLQLLKVRSYKGANFPTWMTDNSTLRHLTELHLVDCPLCMEFPEFWQLHALQVLYLTGLDNLQCLCSGASNIMVSSAFGNLKKLKLQDLKSLNRWSTMEGDEPTFPLLEDIHVKNCPMLTRLPNTPNLRILKLKENRPHLSQSVLVSRYMSSLSQIKLSICDDEAMLLPVNEVEASVTKLKLFGCNMLFTSSQSRTTLGLWKCFRNLEKLEIKSCAVLMFWPLREFHSLESLKELRVKSCNNLKAKPVDGEPAQGQPLPHLTSLQINSCQELSELFNLPRSLNYIYIHSCPKLKSVWDEQEDTELGSAHADQLATLRTNTQDPSPSAREHHLPCLEFLSISNSGDNLSGFRDLPPSLKGLSLHDCPKVQFLSGKLDALRYLSINSCKSLRSLELCPGDLPSLTTLRIVSCKSLTSLPDGPRAYSSLESLEIKYCPAMKSLPGRLQQCLESLEEKHLSHMRSSDPQEGKYGLWMAP >ORUFI01G16900.1 pep chromosome:OR_W1943:1:13395956:13397071:-1 gene:ORUFI01G16900 transcript:ORUFI01G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVTSMVIGPLVSMVKEKASSYLLDKYKVMEGMEEQHEILKRKLPAILDVITDAEEQASHREGAKAWLEALKKVAYEANDIFDEFKYEALRREAKKNGHYRELGMNAVKLFPTHNRIVFRYRMGNKLRRIVQFIEVLVAEMNAFGFKYQRQALASKQWRQTDSIIDYSEKDIVERSRATEKQKIVKALLENDDIMVLPIVGMGGLGKTTFAKLIYNEPKIQENFELKRWVCVSDEFDLGEIASKITMTTNDKDCDKALQKLKQEVCGKRYLLVLDDVWNRDADKWAKLKTCLVQGGAGSAILTTTRLTEVARTMGSVQAHNLTTLEKSFLREIIERRAFSLQKEKPSELVDMVDKFVDRCVGSPLAARR >ORUFI01G16910.1 pep chromosome:OR_W1943:1:13402135:13408163:-1 gene:ORUFI01G16910 transcript:ORUFI01G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHMNMADLEAGMVAAATDQGNSTRSQDDAATLIPNSGNLGSSNRSTKTARFKDDDELVEITLDVQRDSVAIQEVRGVDEGGSGHGTGFDGLPLVSPSSKSGKLTSKLRQVTNGLKMKSSSRKAPSPQAQQSAKRVRKRLDRTKSSAAVALKGLQFVTAKVGNDGWAAVEKRFNQLQVDGVLLRSRFGKCIGMDGSDEFAVQMFDSLARKRGIVKQVLTKDELKDFYEQLTDQGFDNRLRTFFDMVDKNADGRLTAEEVKEIIALSASANKLSKIKERADEYTALIMEELDPTNLGYIEMEDLEALLLQSPSEAAARSTTTHSSKLSKALSMKLASNKEMSPVRHYWQQFMYFLEENWKRSWVMTLWISICIALFIWKFIQYRNRAVFGIMGYCVTTAKGAAETLKFNMALVLLPVCRNTITWIRSKTQVGAVVPFNDNINFHKVIAAGVAVGVALHAGAHLTCDFPRLLHASDAQYELMKPFFGEKRPPNYWWFVKGTEGWTGVVMVVLMAIAFTLAQPWFRRNKLKDSNPLKKMTGFNAFWFTHHLFVIVYTLLFVHGTCLYLSRKWYKKTTWMYLAVPVVLYVSERILRLFRSHDAVGIQKVAVYPGNVLALYMSKPPGFRYRSGQYIFIKCTAVSPYEWHPFSITSAPGDDYLSVHIRTRGDWTSRLRTVFSEACRPPTEGESGLLRADLSKGITDENARFPKLLVDGPYGAPAQDYREYDVLLLIGLGIGATPLISIVKDVLNHIQGEGSVGTTEPESSSKAKKKPFMTKRAYFYWVTREEGSFEWFRGVMNEVSEKDKDGVIELHNHCSSVYQEGDARSALIVMLQELQHAKKGVDILSGTSVKTHFARPNWRSVFKKVAVNHENQRVGVFYCGEPVLVPQLRQLSADFTHKTNTRFDFHKENF >ORUFI01G16920.1 pep chromosome:OR_W1943:1:13446989:13450101:-1 gene:ORUFI01G16920 transcript:ORUFI01G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGLTGGIASGKSTISNLFKASGIPVVDADIVARNVVQKGTGGWKKIVEAFGNDVLLENGEIDRARLGQIVFSDPEKRQVLNRLLAPHISSGIFWEILKLWIKGCKVIVLDIPLLFETKMDQWTHPVIVVWVNEATQIERLMSRDGCSEEQARNRINAQLALDWKKSQADIVIDNSGTLDETKEKFQEVLRNVSEPLTWKERLRSRDGLFSVVVCTAVGVLLAQKNLL >ORUFI01G16930.1 pep chromosome:OR_W1943:1:13463798:13466848:-1 gene:ORUFI01G16930 transcript:ORUFI01G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTSGGRRLAIERRAGGAGRGGTRHGARVQGSRPAARRDLGEVSISMILKFEIIFRLHNKIAFILEFGVNVTIGMRASGLQDPVALVSIGGWSPNQGTPQLAIILNPSLRRRCGLCPGWPYWAAAMGLSDLTLSTELEPMGLSSTEQGKLGTLTGSRQVEPRGKSMGTAIDGEPTAIDLFNELHCSKTKGFSEPVKKAIEDMHAREALTSSSGPPSTNDGIWTGNEVAELGI >ORUFI01G16930.2 pep chromosome:OR_W1943:1:13463798:13466848:-1 gene:ORUFI01G16930 transcript:ORUFI01G16930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTSGGRRLAIERRAGGAGRGGTRHGARVQGSRPAARRDLECDYRNEGLGTARSGGAGEHRRVEPQPGHATASHHPQPFLAPQMWPLPWVAILGGGNGIERPHFKLGTLTGSRQVEPRGKSMGTAIDGEPTAIDLFNELHCSKTKGFSEPVKKAIEDMHAREALTSSSGPPSTNDGIWTGNEVAELGI >ORUFI01G16930.3 pep chromosome:OR_W1943:1:13463798:13466848:-1 gene:ORUFI01G16930 transcript:ORUFI01G16930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTSGGRRLAIERRAGGAGRGGTRHGARVQGSRPAARRDLGEGMRASGLQDPVALVSIGGWSPNQGTPQLAIILNPSLRRRCGLCPGWPYWAAAMGLSDLTLSTELEPMGLSSTEQGKLGTLTGSRQVEPRGKSMGTAIDGEPTAIDLFNELHCSKTKGFSEPVKKAIEDMHAREALTSSSGPPSTNDGIWTGNEVAELGI >ORUFI01G16940.1 pep chromosome:OR_W1943:1:13466322:13466783:1 gene:ORUFI01G16940 transcript:ORUFI01G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPRSRRAAGLLPCTLAPCRVPPRPAPPARLSIASRRPPLVIVIVAASVAAVVAVVAATVAVVAAAAAAVAARSRLPKPAAAAPEGGGGWIRVLPVLPLTPGRSRVRRPLDSCAAVTPEDGRRRIRSPPSFPGRGSDTGKGEEVAGGGAMD >ORUFI01G16950.1 pep chromosome:OR_W1943:1:13470276:13472308:-1 gene:ORUFI01G16950 transcript:ORUFI01G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLWRRACVWRKGSRGWAGFAGPQLGSNSFSPTALVAVTCGYYGEGRGCGERGTVAKCVGVAKGIQRLAWLCGPQLGFNSFSPPTLVAGTVAKGGGVAKGVHRQGPPAWKQLIFAPALRKGSRGWAGIAALQLGLNSFSPHPLSPYLAVGVAKGFQRLGWLRGPSAWKQLIFAPSPCRRTMRVLWRRAWVWRKGSRGWAGFGGPRLDLTPFRPQLLSSYLAGTVAKGHGCDERGPKAWASSRPPSLEATHFRPQPLSPYHAGTVEKGVDVAKGVQRLGWLRSPQLGLNSFSPPALVAIPCRYCGEGCGCGKSGREAGLASRPPAWT >ORUFI01G16960.1 pep chromosome:OR_W1943:1:13490833:13523443:-1 gene:ORUFI01G16960 transcript:ORUFI01G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNRPIYLVGDSFGGCLALSVAARNPQIDLVLILINPGSRYYLCWKQCQVNFMSQFHIFSVLSWVYLFLACDPLKMAMVSVENNLSPPKTLQKLSDSLTSMLPLLSELADIIPRDTLFWKLKLLKSGAAYANSRLHAVQAEVLLLASGNDNLLPSGEEADRLFKSLKNCRVRYFKDNGHTLLLEDGVNLLSVIKGVNMYRRGRQRDPVTDYIPPTLSEFKKTFNEDHKLFHLALSPVMLSTLKNGKIVRGLTGVPDQGPVLFVGYHALMGIELSPLYEEFLREKRTSFRGMAHPILFGGKHESSRQELSRFDTISMYGGLPVTAINMYRLFERNQFVLLYPGGVREALHRKGEAYKLFWPDQPEFVRMAARFGVTIIPFGFVGEDDVLELVADYNDQKNIPYLREWIESINREAQRVRDSVKGEDGNQDVHIPALLPKVPGRFYYLFGKPIEMKGMDNVVRDRKSANEVYLHIKSEVESLMSYLKRKREEDPYRSIAQRAVYQASWGASAESDGASRTRPSVAQDKKKNKGRWRSPEEPPGLRESAQHRAVDRREERSRRFTAMATLSLPLHSQIALWRQRRRRHDLFERISSCHQFKHAGWRLQVSYKGLETLYDDGYQKAKDLDYYYRSLGELVEHDSGPPRLFCPVDAGSPIEDAPLMLYLPGVDGMGMGLFMHHKALGRIFELRCMHIPFHDRTPFEELVEMVEDVVRAEHATSPNKPIYLLGTSFGGCIALAVAARNPCIDLVLVLVNPATSFEKSDIKQLLSVSSPLSDRARIAITSLLNYNIDNEVDMALSSMKSGRHPLEALNRLTSNISSFLKHSNILNKIPEDTLGWKMKLIQQAASYANCRLESVSAEVLLLVSCADRLLPSKSEADRLQRMLPKCKVFFFENHGHSLLLEYGVHVSSIIKCTSLYRHSRRYHRVFDYIPPSATELKEVEKAGSDLRARTCPAMFSTMGDGVVVRGLAGVPEEGPVLLVGNHMLLGIELISLATEFLRRKGRVLRGIAHPLLFPNKTKTWSEGHDFFDFLNLWGGVPMTYKYIYQLLAAGEFVLLYPGGHREALHCKGEEHRLFWPDQTEFVRMAAQFNATIVPFGVVGEDDLMELLCTFEDIRNAPFGKEIMQAYSNHLKLRDIDHEVFFPGVYLKIPGRFYYRFGKPIPTKGMQAVMTDKQAAGELYLHVKSEVKAMIAYLLEKREEDKFRSILPRILYQLGCGHDSEIPSTLLTMSMSIALHAVLPPAAATPRRRPTRLRASSTEAPASGEKGQEDTDRKSGTGMRRRRRRAKKVQEVGLEALYDDGFGEATCGPPAVHEPPLLLFLPGIDGVGMELIMQHKSLGKVFEVRCLHIPVNDRTPYEGLLQIMEESVKYEHNLSPNRPIYIIGDSFGGCLALSLASRNPEIDLVLILAILPLLEMVPSNLPVTLPHLLRYLIGDPLKMAMVSIQNNTSPQDTLESFSDSLSSMLPLLSEFGHIVRMDTLVWKLKLLMSGVDYTNSRLNAVQAEILLLASGNDNLPPSGEADRLFKALKSCKVRYFRTSSDRLLMESSFNLLTVIKGASMYRQGKQRDTITDFLPPTISEFKRTFGEDFKLLHHLLSPVMLSTLRNGKIVRGLAGVPDKGPVLLVGYHQLLAMEITSMAEEFLREKKAVLRTLAHPVFFVGNYEILRQELSFFDVVPLYGGVQVSPINTYRLFERDEFVLLYPGGIREALHRKDEDYQLFWPDQPEFVRMAAQFGVTVIPFGCVGEDDMLEIVLDYNELKNIPYIRETIESFNQDCPGVRSTVKGEEGNQVLHLPAVLPKLPGRLYYLFGKPIEMKGMDGVQRDRESANQLYLDIKSEVENIMSYLKRKREQDPYRSITARTFYQATWGVTAQIPTFEP >ORUFI01G16960.2 pep chromosome:OR_W1943:1:13490833:13523443:-1 gene:ORUFI01G16960 transcript:ORUFI01G16960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNRPIYLVGDSFGGCLALSVAARNPQIDLVLILINPGSRYYLCWKQCQVNFMSQFHIFSVLSWVYLFLACDPLKMAMVSVENNLSPPKTLQKLSDSLTSMLPLLSELADIIPRDTLFWKLKLLKSGAAYANSRLHAVQAEVLLLASGNDNLLPSGEEADRLFKSLKNCRVRYFKDNGHTLLLEDGVNLLSVIKGVNMYRRGRQRDPVTDYIPPTLSEFKKTFNEDHKLFHLALSPVMLSTLKNGKIVRGLTGVPDQGPVLFVGYHALMGIELSPLYEEFLREKRTSFRGMAHPILFGGKHESSRQELSRFDTISMYGGLPVTAINMYRLFERNQFVLLYPGGVREALHRKGEAYKLFWPDQPEFVRMAARFGVTIIPFGFVGEDDVLELVADYNDQKNIPYLREWIESINREAQRVRDSVKGEDGNQDVHIPALLPKVPGRFYYLFGKPIEMKGMDNVVRDRKSANEVYLHIKSEVESLMSYLKRKREEDPYRSIAQRAVYQASWGASAESDGASRTRPSVAQDKKKNKGRWRSPEEPPGLRESAQHRAVDRREERSRRFTAMATLSLPLHSQIALWRQRRRRHDLFERISSCHQFKHAGWRLQVSYKGLETLYDDGYQKAKDLDYYYRSLGELVEHDSGPPRLFCPVDAGSPIEDAPLMLYLPGVDGMGMGLFMHHKALGRIFELRCMHIPFHDRTPFEELVEMVEDVVRAEHATSPNKPIYLLGTSFGGCIALAVAARNPCIDLVLVLVNPATSFEKSDIKQLLSVSSPLSDRARIAITSLLNYNIDNEVDMALSSMKSGRHPLEALNRLTSNISSFLKHSNILNKIPEDTLGWKMKLIQQAASYANCRLESVSAEVLLLVSCADRLLPSKSEADRLQRMLPKCKVFFFENHGHSLLLEYGVHVSSIIKCTSLYRHSRRYHRVFDYIPPSATELKEVEKAGSDLRARTCPAMFSTMGDGVVVRGLAGVPEEGPVLLVGNHMLLGIELISLATEFLRRKGRVLRGIAHPLLFPNKTKTWSEGHDFFDFLNLWGGVPMTYKYIYQLLAAGEFVLLYPGGHREALHCKGEEHRLFWPDQTEFVRMAAQFNATIVPFGVVGEDDLMELLCTFEDIRNAPFGKEIMQAYSNHLKLRDIDHEVFFPGVYLKIPGRFYYRFGKPIPTKGMQAVMTDKQAAGELYLHVKSEVKAMIAYLLEKREEDKFRSILPRILYQLGCGHDSEIPSTLLTMSMSIALHAVLPPAAATPRRRPTRLRASSTEAPASGEKGQEDTDRKSGTGMRRRRRRAKKVQEVGLEALYDDGFGEATVRDYFDALRATPLDGGGGGGPPRWFCPVECGPPAVHEPPLLLFLPGIDGVGMELIMQHKSLGKVFEVRCLHIPVNDRTPYEGLLQIMEESVKYEHNLSPNRPIYIIGDSFGGCLALSLASRNPEIDLVLILAILPLLEMVPSNLPVTLPHLLRYLIGDPLKMAMVSIQNNTSPQDTLESFSDSLSSMLPLLSEFGHIVRMDTLVWKLKLLMSGVDYTNSRLNAVQAEILLLASGNDNLPPSGEADRLFKALKSCKVRYFRTSSDRLLMESSFNLLTVIKGASMYRQGKQRDTITDFLPPTISEFKRTFGEDFKLLHHLLSPVMLSTLRNGKIVRGLAGVPDKGPVLLVGYHQLLAMEITSMAEEFLREKKAVLRTLAHPVFFVGNYEILRQELSFFDVVPLYGGVQVSPINTYRLFERDEFVLLYPGGIREALHRKDEDYQLFWPDQPEFVRMAAQFGVTVIPFGCVGEDDMLEIVLDYNELKNIPYIRETIESFNQDCPGVRSTVKGEEGNQVLHLPAVLPKLPGRLYYLFGKPIEMKGMDGVQRDRESANQLYLDIKSEVENIMSYLKRKREQDPYRSITARTFYQATWGVTAQIPTFEP >ORUFI01G16960.3 pep chromosome:OR_W1943:1:13490833:13523443:-1 gene:ORUFI01G16960 transcript:ORUFI01G16960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNRPIYLVGDSFGGCLALSVAARNPQIDLVLILINPGSRYYLCWKQCQVNFMSQFHIFSVLSWVYLFLACDPLKMAMVSVENNLSPPKTLQKLSDSLTSMLPLLSELADIIPRDTLFWKLKLLKSGAAYANSRLHAVQAEVLLLASGNDNLLPSGEEADRLFKSLKNCRVRYFKDNGHTLLLEDGVNLLSVIKGVNMYRRGRQRDPVTDYIPPTLSEFKKTFNEDHKLFHLALSPVMLSTLKNGKIVRGLTGVPDQGPVLFVGYHALMGIELSPLYEEFLREKRTSFRGMAHPILFGGKHESSRQELSRFDTISMYGGLPVTAINMYRLFERNQFVLLYPGGVREALHRKGEAYKLFWPDQPEFVRMAARFGVTIIPFGFVGEDDVLELVADYNDQKNIPYLREWIESINREAQRVRDSVKGEDGNQDVHIPALLPKVPGRFYYLFGKPIEMKGMDNVVRDRKSANEVYLHIKSEVESLMSYLKRKREEDPYRSIAQRAVYQASWGASAESDGASRTRPSVAQDKKKNKGRWRSPEEPPGLRESAQHRAVDRREERSRRFTAMATLSLPLHSQIALWRQRRRRHDLFERISSCHQFKHAGWRLQVSYKGLETLYDDGYQKAKDLDYYYRSLGELVEHDSGPPRLFCPVDAGSPIEDAPLMLYLPGVDGMGMGLFMHHKALGRIFELRCMHIPFHDRTPFEELVEMVEDVVRAEHATSPNKPIYLLGTSFGGCIALAVAARNPCIDLVLVLVNPATSFEKSDIKQLLSVSSPLSDRARIAITSLLNYNIDNEVDMALSSMKSGRHPLEALNRLTSNISSFLKHSNILNKIPEDTLGWKMKLIQQAASYANCRLESVSAEVLLLVSCADRLLPSKSEADRLQRMLPKCKVFFFENHGHSLLLEYGVHVSSIIKCTSLYRHSRRYHRVFDYIPPSATELKEVEKAGSDLRARTCPAMFSTMGDGVVVRGLAGVPEEGPVLLVGNHMLLGIELISLATEFLRRKGRVLRGIAHPLLFPNKTKTWSEGHDFFDFLNLWGGVPMTYKYIYQLLAAGEFVLLYPGGHREALHCKGEEHRLFWPDQTEFVRMAAQFNATIVPFGVVGEDDLMELLCTFEDIRNAPFGKEIMQAYSNHLKLRDIDHEVFFPGVYLKIPGRFYYRFGKPIPTKGMQAVMTDKQAAGELYLHVKSEVKAMIAYLLEKREEDKFRSILPRILYQLGCGHDSEIPSTLLTMSMSIALHAVLPPAAATPRRRPTRLRASSTEAPASGEKGQEDTDRKSGTGMRRRRRRAKKVQEVGLEALYDDGFGEATVRDYFDALRATPLDGGGGGGPPRWFCPVECGPPAVHEPPLLLFLPGIDGVGMELIMQHKSLGKVFEVRCLHIPVNDRTPYEATSFAKTPLQAILPLLEMVPSNLPVTLPHLLRYLIGDPLKMAMVSIQNNTSPQDTLESFSDSLSSMLPLLSEFGHIVRMDTLVWKLKLLMSGVDYTNSRLNAVQAEILLLASGNDNLPPSGEADRLFKALKSCKVRYFRTSSDRLLMESSFNLLTVIKGASMYRQGKQRDTITDFLPPTISEFKRTFGEDFKLLHHLLSPVMLSTLRNGKIVRGLAGVPDKGPVLLVGYHQLLAMEITSMAEEFLREKKAVLRTLAHPVFFVGNYEILRQELSFFDVVPLYGGVQVSPINTYRLFERDEFVLLYPGGIREALHRKDEDYQLFWPDQPEFVRMAAQFGVTVIPFGCVGEDDMLEIVLDYNELKNIPYIRETIESFNQDCPGVRSTVKGEEGNQVLHLPAVLPKLPGRLYYLFGKPIEMKGMDGVQRDRESANQLYLDIKSEVENIMSYLKRKREQDPYRSITARTFYQATWGVTAQIPTFEP >ORUFI01G16960.4 pep chromosome:OR_W1943:1:13490833:13523443:-1 gene:ORUFI01G16960 transcript:ORUFI01G16960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNRPIYLVGDSFGGCLALSVAARDPLKMAMVSVENNLSPPKTLQKLSDSLTSMLPLLSELADIIPRDTLFWKLKLLKSGAAYANSRLHAVQAEVLLLASGNDNLLPSGEEADRLFKSLKNCRVRYFKDNGHTLLLEDGVNLLSVIKGVNMYRRGRQRDPVTDYIPPTLSEFKKTFNEDHKLFHLALSPVMLSTLKNGKIVRGLTGVPDQGPVLFVGYHALMGIELSPLYEEFLREKRTSFRGMAHPILFGGKHESSRQELSRFDTISMYGGLPVTAINMYRLFERNQFVLLYPGGVREALHRKGEAYKLFWPDQPEFVRMAARFGVTIIPFGFVGEDDVLELVADYNDQKNIPYLREWIESINREAQRVRDSVKGEDGNQDVHIPALLPKVPGRFYYLFGKPIEMKGMDNVVRDRKSANEVYLHIKSEVESLMSYLKRKREEDPYRSIAQRAVYQASWGASAESDGASRTRPSVAQDKKKNKGRWRSPEEPPGLRESAQHRAVDRREERSRRFTAMATLSLPLHSQIALWRQRRRRHDLFERISSCHQFKHAGWRLQVSYKGLETLYDDGYQKAKDLDYYYRSLGELVEHDSGPPRLFCPVDAGSPIEDAPLMLYLPGVDGMGMGLFMHHKALGRIFELRCMHIPFHDRTPFEELVEMVEDVVRAEHATSPNKPIYLLGTSFGGCIALAVAARNPCIDLVLVLVNPATSFEKSDIKQLLSVSSPLSDRARIAITSLLNYNIDNEVDMALSSMKSGRHPLEALNRLTSNISSFLKHSNILNKIPEDTLGWKMKLIQQAASYANCRLESVSAEVLLLVSCADRLLPSKSEADRLQRMLPKCKVFFFENHGHSLLLEYGVHVSSIIKCTSLYRHSRRYHRVFDYIPPSATELKEVEKAGSDLRARTCPAMFSTMGDGVVVRGLAGVPEEGPVLLVGNHMLLGIELISLATEFLRRKGRVLRGIAHPLLFPNKTKTWSEGHDFFDFLNLWGGVPMTYKYIYQLLAAGEFVLLYPGGHREALHCKGEEHRLFWPDQTEFVRMAAQFNATIVPFGVVGEDDLMELLCTFEDIRNAPFGKEIMQAYSNHLKLRDIDHEVFFPGVYLKIPGRFYYRFGKPIPTKGMQAVMTDKQAAGELYLHVKSEVKAMIAYLLEKREEDKFRSILPRILYQLGCGHDSEIPSTLLTMSMSIALHAVLPPAAATPRRRPTRLRASSTEAPASGEKGQEDTDRKSGTGMRRRRRRAKKVQEVGLEALYDDGFGEATVRDYFDALRATPLDGGGGGGPPRWFCPVECGPPAVHEPPLLLFLPGIDGVGMELIMQHKSLGKVFEVRCLHIPVNDRTPYEGLLQIMEESVKYEHNLSPNRPIYIIGDSFGGCLALSLASRNPEIDLVLILAILPLLEMVPSNLPVTLPHLLRYLIGDPLKMAMVSIQNNTSPQDTLESFSDSLSSMLPLLSEFGHIVRMDTLVWKLKLLMSGVDYTNSRLNAVQAEILLLASGNDNLPPSGEADRLFKALKSCKVRYFRTSSDRLLMESSFNLLTVIKGASMYRQGKQRDTITDFLPPTISEFKRTFGEDFKLLHHLLSPVMLSTLRNGKIVRGLAGVPDKGPVLLVGYHQLLAMEITSMAEEFLREKKAVLRTLAHPVFFVGNYEILRQELSFFDVVPLYGGVQVSPINTYRLFERDEFVLLYPGGIREALHRKDEDYQLFWPDQPEFVRMAAQFGVTVIPFGCVGEDDMLEIVLDYNELKNIPYIRETIESFNQDCPGVRSTVKGEEGNQVLHLPAVLPKLPGRLYYLFGKPIEMKGMDGVQRDRESANQLYLDIKSEVENIMSYLKRKREQDPYRSITARTFYQATWGVTAQIPTFEP >ORUFI01G16970.1 pep chromosome:OR_W1943:1:13508525:13509213:1 gene:ORUFI01G16970 transcript:ORUFI01G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLVTKERIYAAHLFSSTCHSIVEERGKGGGGERSIGGDLSEDTTGEVYDIEYRCSEKSAAKEKGGSGKVYIIGGDLSEDTASEVRGIKYFCSEKSATEECGKGGGGDLNPSILEDSSKQGD >ORUFI01G16980.1 pep chromosome:OR_W1943:1:13511246:13514739:1 gene:ORUFI01G16980 transcript:ORUFI01G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGFPQARRLLRRMGLEKEDAYFWKQMGKGMLCTYALFGAAWLWNETSPLGWWTLKPRPKEEREMAHLYERRMFPYPGDEEAVEEFIKSGGALGTTIGPKGFADSNMDSDNMQKQLQSKKFDQEAQKLWFRMRNEVVQELQEKGFDPKF >ORUFI01G16980.2 pep chromosome:OR_W1943:1:13511246:13514739:1 gene:ORUFI01G16980 transcript:ORUFI01G16980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGFPQARRLLRRMGLEKEDAYFWKQMGKGMLCTYALFGAAWLWNETSPLGWWTLKPRPKKQLQSKKFDQEAQKLWFRMRNEVVQELQEKGFDPKF >ORUFI01G16980.3 pep chromosome:OR_W1943:1:13511246:13514000:1 gene:ORUFI01G16980 transcript:ORUFI01G16980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGFPQARRLLRRMGLEKEDAYFWKQMGKGMLCTYALFGAAWLWNETSPLGWWTLKPRPKEEREMAHLYERRMFPYPGDEEAVEEFIKSGGALGTTIGPKGFADSNMDSDNMQKQLQSKKFDQEAQKLWFRMRNEVVQELQEKGFDVE >ORUFI01G16990.1 pep chromosome:OR_W1943:1:13518865:13519605:1 gene:ORUFI01G16990 transcript:ORUFI01G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIELPKFDICVASIPYGISSPLTAKLLIGSHRFRARFARRLMGTPGHGERNLLAINARLVADMRLLMDVSRPEFSSLVEIRPKQTRPKEFAAGVELHEWLAFTRACTGQHKLQRQHQPPPKKKKSKKKRKTLGVLFKLAQGHAGGGSEDGGVVVAGNNEDDRDGDVGVASGFSREEVVAFKERIAGALRSAALAGKTASQLPNDELLRLLRLFIHWGIRFGRGVENIKFLTQIGK >ORUFI01G17000.1 pep chromosome:OR_W1943:1:13526542:13526826:1 gene:ORUFI01G17000 transcript:ORUFI01G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETREAAADATAAHGGCGWPAGIAAGMASSAMAQPATSMMSAWSVAGGAGVARQGAQQEVKPVEMETGEVAARGDWPAGGAGAVKPTRWQRC >ORUFI01G17010.1 pep chromosome:OR_W1943:1:13546818:13547180:1 gene:ORUFI01G17010 transcript:ORUFI01G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDEAEGLKARLSLPKSERCGDKGDGGGGRKRKKTYLNVLGVCCSKEGVEVLMRLPAPAMERLVAEVARGLVGGGDAHARDRGEGGRREEHMRYGALIGGPIILEIFFSTFKMPHQAA >ORUFI01G17020.1 pep chromosome:OR_W1943:1:13570675:13575189:1 gene:ORUFI01G17020 transcript:ORUFI01G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRGKPGLNGSGGGAAAFDYSSRRGYYTGAGAALPPLAAGSRAPPVDPCCVALRVFVLLGTLASAVVMAADRQSTTVQIAAGEELAPPLRVPVTAKWTYSSAFVYFVVANAMVFAFSAAALAAVRRRSAVVPVMVGDLVAMALLFSAVGAAAQFGLLGERGNAHVRWAKVCDVYGPFCERAMAAVVVALIAAFADLVLLMLTILTIHKASSYY >ORUFI01G17030.1 pep chromosome:OR_W1943:1:13576108:13579228:1 gene:ORUFI01G17030 transcript:ORUFI01G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSDARGGRREDGERWSREGNGRRQPVDHLLGPPTTCARRSTTGERRMQAVVAGSTSAGVRRHEVLQWRRAWDNRSWCDFAPFATTNLNMVNFDALLACANQQMSLMLAGYLCVHCVFVSEKLL >ORUFI01G17030.2 pep chromosome:OR_W1943:1:13576108:13581692:1 gene:ORUFI01G17030 transcript:ORUFI01G17030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVARCGPPTRAADGAKTANGGAVKVMAAASQWTTFLVPLRPARVDLLPGNAGCRPSWLDLPALVYDGTKFCNGVVLGTIGVGAILLLLPQPTSTCLQGTCACTVYLSLRSYCEGGDISLQASVKVLKEVTKTRKVPVLEVPLALTKIKKANLETSSFFETLRGTEFPVRTWMRIFVKVVVEEEEEAASEREARARISDAFPLTTAGRRARRERQASIRNSEAAAATGSSTQGRPAEAAARMEGSQPSDSVPTLTHTASQRGVNSGTSRREWTMAGDAPAARSALAAMSMETRLVTQCTSGARARTAERSSHARRPHHAAVRSSSMFLDPARPEVGSL >ORUFI01G17030.3 pep chromosome:OR_W1943:1:13576108:13581692:1 gene:ORUFI01G17030 transcript:ORUFI01G17030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVARCGPPTRAADGAKTANGGAVKVMAAASQWTTFLVPLRPARVDLLPGNAGCRPSWLDLPALVYDGTKFCNGVVLGTIGVVLSSNVLSHWNKNDMAERIRREGASVKVLKEVTKTRKVPVLEVPLALTKIKKANLETSSFFETLRGTEFPVRTWMRIFVKVVVEEEEEAASEREARARISDAFPLTTAGRRARRERQASIRNSEAAAATGSSTQGRPAEAAARMEGSQPSDSVPTLTHTASQRGVNSGTSRREWTMAGDAPAARSALAAMSMETRLVTQCTSGARARTAERSSHARRPHHAAVRSSSMFLDPARPEVGSL >ORUFI01G17030.4 pep chromosome:OR_W1943:1:13577481:13581692:1 gene:ORUFI01G17030 transcript:ORUFI01G17030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMVSPNNPCIIEDKDDLVAEDTNHALYLQGTCACTVYLSLRSYCEGGDISLQASVKVLKEVTKTRKVPVLEVPLALTKIKKANLETSSFFETLRGTEFPVRTWMRIFVKVVVEEEEEAASEREARARISDAFPLTTAGRRARRERQASIRNSEAAAATGSSTQGRPAEAAARMEGSQPSDSVPTLTHTASQRGVNSGTSRREWTMAGDAPAARSALAAMSMETRLVTQCTSGARARTAERSSHARRPHHAAVRSSSMFLDPARPEVGSL >ORUFI01G17030.5 pep chromosome:OR_W1943:1:13576108:13581692:1 gene:ORUFI01G17030 transcript:ORUFI01G17030.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVARCGPPTRAADGAKTANGYLCVHCASVKVLKEVTKTRKVPVLEVPLALTKIKKANLETSSFFETLRGTEFPVRTWMRIFVKVVVEEEEEAASEREARARISDAFPLTTAGRRARRERQASIRNSEAAAATGSSTQGRPAEAAARMEGSQPSDSVPTLTHTASQRGVNSGTSRREWTMAGDAPAARSALAAMSMETRLVTQCTSGARARTAERSSHARRPHHAAVRSSSMFLDPARPEVGSL >ORUFI01G17030.6 pep chromosome:OR_W1943:1:13576112:13581692:1 gene:ORUFI01G17030 transcript:ORUFI01G17030.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVARCGPPTRAADGAKTANVLSSNVLSHWNKNDMAERIRREGASVKVLKEVTKTRKVPVLEVPLALTKIKKANLETSSFFETLRGTEFPVRTWMRIFVKVVVEEEEEAASEREARARISDAFPLTTAGRRARRERQASIRNSEAAAATGSSTQGRPAEAAARMEGSQPSDSVPTLTHTASQRGVNSGTSRREWTMAGDAPAARSALAAMSMETRLVTQCTSGARARTAERSSHARRPHHAAVRSSSMFLDPARPEVGSL >ORUFI01G17030.7 pep chromosome:OR_W1943:1:13576112:13581692:1 gene:ORUFI01G17030 transcript:ORUFI01G17030.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVARCGPPTRAADGAKTANGYLCVHCASVKVLKEVTKTRKVPVLEVPLALTKIKKANLETSSFFETLRGTEFPVRTWMRIFVKVVVEEEEEAASEREARARISDAFPLTTAGRRARRERQASIRNSEAAAATGSSTQGRPAEAAARMEGSQPSDSVPTLTHTASQRGVNSGTSRREWTMAGDAPAARSALAAMSMETRLVTQCTSGARARTAERSSHARRPHHAAVRSSSMFLDPARPEVGSL >ORUFI01G17040.1 pep chromosome:OR_W1943:1:13580316:13581652:-1 gene:ORUFI01G17040 transcript:ORUFI01G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEERTAAWWGRRAWELLSAVRARAPLVHCVTNLVSMDIAANALLAAGASPAMVHSLREVPEFTPRCDAVCVNVGTLSEGWLPSMRAAASAGRPWVLDPVAAAASEFRMEACLSLLALRPAVVRGNASEILALASRSLAASSSSSTTTFKGADSTHDSGDALQDAKALARSTGAVIAVSGAVDYVTDGERVVGVSNGVAMMQKITATGCAATALIAAFLAVVEEPSDAMAAAACALAVFGLAGEIGMESGAKGPASLRMHLIDALYCLDVQTVTSRVKISLHS >ORUFI01G17050.1 pep chromosome:OR_W1943:1:13585340:13585735:1 gene:ORUFI01G17050 transcript:ORUFI01G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLIYCVATRRARSMLPEPTSGEGEQLELVPSLDLPAELAADLVTISHFVLQSLPSFPILCRSPRGSPTDLVPRSYAAIIVAVSSSMPLTSRRSRRCPIELGFLRAICAAMERHLGVVAGVGEREGWWWP >ORUFI01G17060.1 pep chromosome:OR_W1943:1:13586936:13599416:1 gene:ORUFI01G17060 transcript:ORUFI01G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPAVASAVAAISAVMDWRSSPDARSAAFAYLESVKTGDVRALANTSFLLVRKDQSSEVRLHGFKMLQHLVRLRWEELSVAERNEFANLTVNLIPEVVGPHEEWALKSQTAALVAEVVRREGVALWNTLLPSIVSLSNNGPIEAELVAMILRWLPEDITVHNEDLEGDRRRALLRGLTESLPQILPLLYSLLEKHFVAALSAHTNQQMELAKQHVGTITAVLNAANAYAEWAPVTDLAKYGLIHGKRPLDVAIVEYDAAMSNIFQLLMNIAQDFLVRSKMQPNVIDVNEYEFAMCICETMVALGSSNMQCILADVARTLHFLQQMLEYYQHYKITLHFQSLLFWLVVLREPSKAKSVARVSSDTPAAGNSASTGGGSTEREKKGVSVLITDEMYSTILDVTFKRMLKKSTSASSGLLELWSEELEGKSDFCNYRAKLLDLIKVIASQRPGITATSIVQRINIVFGDANEATKSSQDLDAMEGAQLGLEAVVSAIFDGSSDYSKIDQDTKFQIHRIFEGLLQQLLSLKWSQPNLAVIHGHYLDSLGPFLRHYPDAVACIVNKLFEILTSLPITIQDPSNNFRQARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRAEHNHLCEAFLVMASSAGIQQQQEVLAWLLEPINKMWTQVEWQNAYLSDPSGLTHMFADSQFMWSIYHNITLFEKALKRGGSKKSASAPQALATTVLLRCIHMLWAEPFSQSLAGEVKAAKSMTVAEQTSLLGETNKLTKGQVASADGLLDVQREGESKENTIRNWLRGIRDSGYNVIGLSASLGDPFFRCIEGSSITAALMENVQAMEFRHLRQLIHLVIIPLVKYCPPELWRMWISNLLQPLFVHCQQALDFSWSSLLREGRAKVPDNFGNLSGSDLKVEVMEEKLLRDLTREVCSVLWVLASPGLNSGLPSLEQLGPANRINSSLKDLELFVSSSITGFLMLNVSTAVPALRITVEVFSWTDSEAVTKIIPFCGALIHLAVATNRAELSQFVAKDLFSSILHGLSVELNSITSSELVGLCREIYIYLSDRDPAPRQVLLSLPHMKQEDLLAFDESLSKTASPKDQKLLMRSLLLLASGNKLRALVGQKATNLETGALLHAMDLVLRKMTTLD >ORUFI01G17060.2 pep chromosome:OR_W1943:1:13586936:13599416:1 gene:ORUFI01G17060 transcript:ORUFI01G17060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPAVASAVAAISAVMDWRSSPDARSAAFAYLESVKTGDVRALANTSFLLVRKDQSSEVRLHGFKMLQHLVRLRWEELSVAERNEFANLTVNLIPEVVGPHEEWALKSQTAALVAEVVRREGVALWNTLLPSIVSLSNNGPIEAELVAMILRWLPEDITVHNEDLEGDRRRALLRGLTESLPQILPLLYSLLEKHFVAALSAHTNQQMELAKQHVGTITAVLNAANAYAEWAPVTDLAKYGLIHGKRPLDVAIVEYDAAMSNIFQLLMNIAQDFLVRSKMQPNVIDVNEYEFAMCICETMVALGSSNMQCILADVARTLHFLQQMLEYYQHYKITLHFQSLLFWLVVLREPSKAKSVARVSSDTPAAGNSASTGGGSTEREKKGVSVLITDEMYSTILDVTFKRMLKKSTSASSGLLELWSEELEGKSDFCNYRAKLLDLIKVIASQRPGITATSIVQRINIVFGDANEATKSSQDLDAMEGAQLGLEAVVSAIFDGSSDYSKIDQDTKFQIHRIFEGLLQQLLSLKWSQPNLAVIHGHYLDSLGPFLRHYPDAVACIVNKLFEILTSLPITIQNIADTMAYLQGEGRLLRAEHNHLCEAFLVMASSAGIQQQQEVLAWLLEPINKMWTQVEWQNAYLSDPSGLTHMFADSQFMWSIYHNITLFEKALKRGGSKKSASAPQALATTVLLRCIHMLWAEPFSQSLAGEVKAAKSMTVAEQTSLLGETNKLTKGQVASADGLLDVQREGESKENTIRNWLRGIRDSGYNVIGLSASLGDPFFRCIEGSSITAALMENVQAMEFRHLRQLIHLVIIPLVKYCPPELWRMWISNLLQPLFVHCQQALDFSWSSLLREGRAKVPDNFGNLSGSDLKVEVMEEKLLRDLTREVCSVLWVLASPGLNSGLPSLEQLGPANRINSSLKDLELFVSSSITGFLMLNVSTAVPALRITVEVFSWTDSEAVTKIIPFCGALIHLAVATNRAELSQFVAKDLFSSILHGLSVELNSITSSELVGLCREIYIYLSDRDPAPRQVLLSLPHMKQEDLLAFDESLSKTASPKDQKLLMRSLLLLASGNKLRALVGQKATNLETGALLHAMDLVLRKMTTLD >ORUFI01G17070.1 pep chromosome:OR_W1943:1:13601290:13605528:1 gene:ORUFI01G17070 transcript:ORUFI01G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIALTWTNRVDMASAILLSIAIMAQLSSISAQPAPGCQSHCGDMEIPYPFGIGTECAIEPGFVIYCNKTADGSMKPFLINVEVLNISLLHGQTRALNALSTYCYNDVTKSMESSRWSLDFSTWPYRFSNLHNKFVVIGCNTLSYIYNGEYTTACASVCAKAPTNDSCDGVGCCQNNIAKGLNSYNVTFFTVYNDSSNLQSNPCSYAALVETDTFRFKTEYVTTMKFNETYNGQQPVVLDWAIGKVGCKEANMTSYACRSKHSECVDSINGPGYLCNCTLGYHGNPYITDGCIDVNECEQNQSPCPKGATCRNTEGWYHCSCPVGRKLAKETNTCNPDISLIIGVSIGSIVLVIIIFFVRIIFERRKLTDVKKKYIQEHGGLLLFEKMKSDQGLAFKVFTQAELEQATNKFEKSQILGHGGHGTVYKGITKDNITVAIKKCALIDDRHKKEFGKEMLILSQINHKNIVKLLGCCLEVDVPMLVYEFIPNGTLFDLIHGKNRTLHIPFSSLLRIVNEAAEGLAFLHSYANPPILHGDVKTSNILLDENYMAKVSDFGASILAPNDEAQFVTMVQGTCGYLDPEYLQTCQLTEKSDVYSFGVVILEILTGQMPLKLEGSELQKSLSSSFLLAMKENNLEAMLDSQIKGHESMELLSGLAELAKQCLDMCSENRPSMKDVAEEISRLRKLSKHPWIQRDSETEGYLSGPSTSNFEIEQSTEYTRKDEQMPINPSTSYFIR >ORUFI01G17080.1 pep chromosome:OR_W1943:1:13633927:13651301:-1 gene:ORUFI01G17080 transcript:ORUFI01G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAADTLLFAMRLLVLMCLVIAAAMQMQAASGAPPTAAKLAHCLKSCGGANISYPYGVGAGCFRPGFELTCDHSTKPPKLLLLGVATNTNTTTTKIVDQYRDGYVQAYVSFNIATTPGVLGTYNRTWESPGRILSIGPDYYALVAVGCGIEVYLVDPDTEDMLGYCFSMCTDMAMMHKEAEGKACSGMGCCVVTFRRPVRAFRVSITQREATQPFQVANASTIKVFLSEESTTYHYNFTIGDLLSDEINETTVASTSAYLSTFIADQPNCTIAQKDPERYACGDNKCLDADDENGGYRCACIKYTNSNPYLDGDCDQGYEPTRNRQNCSRSCGNMTIPFPFGLQEECSANRKFLLNCTSKQAFIGGSYTQYQVTNISLDQGLLFVNFSQHEEAYSELVEISRDNISQWVESWIDEFNDFDVSQHYGIWKWFVTNMTCEKAKKSSAYACISTNGECTGVTHGHVHLGYRCKCSTGYEGNPYILTSARYPMIVRECATTKQEATVALVALMAHLSIQPKGNALPPSNIILSWRGIQKKIRRDYFHKNKGLLLEQLISCDDSVAHKTKIFSLDELEKATNNFDSTRILGSGGHGTVYKGILSDQRVVAIKKSKIVEQSEIDQFVNEVAMLSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLYDLLHGNLQSKCVLTWWNRIRIALEAASALAYLHCAASVPIFHRDVKSANILLDDNFTTKVSDFGASRSVSIDETHVVTIVQGTFGYLDPEYYHTGQLNEKSDVYSFGVILIELITRKRPIFLNSIGEKQNLCHHFLQRQQNNTTSEIVDVQVLEEADQWEIDEIASLAEICLRLRGEQRPKMKEVELRLQLLRSKVAKKKNRVEVSRENEIDPLLLYTPSSSSVNPRDFNSASHNDATRCYTMEQELVSWTNLPR >ORUFI01G17080.2 pep chromosome:OR_W1943:1:13633927:13651301:-1 gene:ORUFI01G17080 transcript:ORUFI01G17080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAADTLLFAMRLLVLMCLVIAAAMQMQAASGAPPTAAKLAHCLKSCGGANISYPYGVGAGCFRPGFELTCDHSTKPPKLLLLGVATNTNTTTTKIVDQYRDGYVQAYVSFNIATTPGVLGTYNRTWESPGRILSIGPDYYALVAVGCGIEVYLVDPDTEDMLGYCFSMCTDMAMMHKEAEGKACSGMGCCVVTFRRPVRAFRVSITQREATQPFQVANASTIKVFLSEESTTYHYNFTIGDLLSDEINETTVASTSAYLSTFIADQPNCTIAQKDPERYACGDNKCLDADDENGGYRCACIKYTNSNPYLDGDCDQGYEPTRNRQNCSRSCGNMTIPFPFGLQEECSANRKFLLNCTSKQAFIGGSYTQYQVTNISLDQGLLFVNFSQHEEAYSELVEISRDNISQWVESWIDEFNDFDVSQHYGIWKWFVTNMTCEKAKKSSAYACISTNDIDECSIPNDCKGMCHNQAGGYSCTRCPHGTSFDPAERKCTSTKQHNIVLGISHFNRSLFNKITVKWKRGIQKKIRRDYFHKNKGLLLEQLISCDDSVAHKTKIFSLDELEKATNNFDSTRILGSGGHGTVYKGILSDQRVVAIKKSKIVEQSEIDQFVNEVAMLSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLYDLLHGNLQSKCVLTWWNRIRIALEAASALAYLHCAASVPIFHRDVKSANILLDDNFTTKVSDFGASRSVSIDETHVVTIVQGTFGYLDPEYYHTGQLNEKSDVYSFGVILIELITRKRPIFLNSIGEKQNLCHHFLQRQQNNTTSEIVDVQVLEEADQWEIDEIASLAEICLRLRGEQRPKMKEVELRLQLLRSKVAKKKNRVEVSRENEIDPLLLYTPSSSSVNPRDFNSASHNDATRCYTMEQELVSWTNLPR >ORUFI01G17090.1 pep chromosome:OR_W1943:1:13652525:13652710:-1 gene:ORUFI01G17090 transcript:ORUFI01G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNGGVGLLPLARRRVAAARLLPWVDSMTSGGGDCCSRRWIRRRRWRMCGSVGFSHSGSG >ORUFI01G17100.1 pep chromosome:OR_W1943:1:13655317:13656231:1 gene:ORUFI01G17100 transcript:ORUFI01G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGNAAVVTATTGDAAAVGGGAASRQRRRGDGGGAACGLPHWPPPTRPTRRRRGEELRAGGSARQADRAAAGGATADAPAAQSCRRTGRQRGEQPAGRADGKRASTLRKRKMAPTGSGMGSARTPPPSSSMAVDLAPAPSTVWPAGDGDGRRCGEEGRQAGARGRGRRRHRARPAIPIFSPRNTQEGRSPLSVSSQELGESDGGGLPQPPNELGDIAMARPAALPLVGIEAEACAIAGRDRFHISVGVQWPW >ORUFI01G17110.1 pep chromosome:OR_W1943:1:13665635:13671847:1 gene:ORUFI01G17110 transcript:ORUFI01G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKLLLSVALVMLHLASISAQPNPWCKKQCGDVKIPYPFGIGTGCAIGEGFEIICNRNADGIDQPFTGNIEVLDISVVYGRSRVLGSITTNCYNSSTGSANVNSWWMDLSTSPYRFSDAYNTFVVIGCNTLAYIYNGLNRTSYTTACASVCGGPEDLTNGSCLGVGCCQNANAIPKGLTRQDIYLYTIYNTSESDSWKFNPCSYAALVETESFSFSTEYITTMRFNDTYEGQQPLVLDWAIGDVSCEVAKNMTSYACHSGNSICVDSKNGPGYLCNCSEGYQGNPYLPDGCTGKFSSSLCNSLSLYLQFNEILNDVLSDYLKGVNLKPSFPMSKVICNKRDDTSYIGGINVNECEQNPSPCTKGETCRNTIGWYYCSRPSCPLGRKLARETNTCNPDINLIIGICIGSVALVITIFFMRLMFERRKLTDVKKKYFQQHGGLILFDKMKSDQGLAFKVFTQAELEHATNKFEKSQILGHGGHGTVYKGITKDNITVAVKKCALIDDRHKKEFGKEMLILSQINHKNIVKLLGCCLEVDIPMLVYEFIPNGTLFDLIHGKNRTFHIPFSSLLRIVNEAAEGLAFLHSYANPPILHGDVKTSNILLDENYMAKVSDFGASILALSDEDQFVTMVQGTCGYLDPEYLQTCRLTDKSDVYSFGVVLLEVMTGQMPLKFEGPEIQKSLSSSFLLAMKENNLEAMLDSQIKDHESMELLSGLADIAKKCLDMCSDNRPSMKEVSEELSRLRKFSKHPWIQRDTEIESFLSGPSTSNLETEHSYLSGPSTSNFEIEHNTEYRRKDEEMPINPSTSYFIR >ORUFI01G17120.1 pep chromosome:OR_W1943:1:13673660:13675926:1 gene:ORUFI01G17120 transcript:ORUFI01G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDGRAVAPVSVREAAMAIPVAVSEVPTEHFVRDIGAAMGVRFLACEATYYNGDLVGGALIPKPAANHGANWIPRDTVFCLIMHMSARYILLNSLELFMEGRDGGGGAGGRDSFSANSASTSSAKKSRIDTSMVSWDWYRHLGSVGVGWDRFLRRTLSDVTVKWCQSGV >ORUFI01G17130.1 pep chromosome:OR_W1943:1:13676603:13688356:-1 gene:ORUFI01G17130 transcript:ORUFI01G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLCWLLFLVAAMATLHLALGVPPSARDLRHCPTSCGDVNITYPFGIGTGCFRPGFELICNTTTKPPKLFFGNTTEISYQYPYGYVRASVVFNIATTPGLLGTYSRSWQAPGRVLSTYTTNSLVIVGCGIDVYLFRSHTNILQGYCRSECTNMALMDKKLSGRPCNGIGCCTIDLPGGVRAFRFNITQREETHSVALGNSTIKAFLSDSQFYFNTADLLSEKINANTIGATSLFFSVAITDQLNCSTAQLGLNKTDYACSDGSTCVDQRNQRGYSCTCPADSFDYDNPYLLHGCKQEYYISARKKRNCSEFCGSTNIPFPFGLEQGCSAKKKFQLNCTSNQTLIGRPPMQMEVTSISVDEGLVYLDRVDENTTARHFTDYEVDRLLENIFMDDTDFNLSKVYGVWKWSVSNISCETANNNTAYACISDHSECVPVTQSNVYFGYRCKCSPGYKGNPYTRPGCTDIDECSRPNFCKGICKNREGNYSCTGCPYGTYFDPVETKCIPTQPHERRHNIVIGVVIGLVVGTGVLALSLVLTILLRRWKRGIQKKIRRAYFRKNKGLVLERLISSDESVAHSTKIFSLEELERAPDHFNSTRILGRGGHGIVYKGILSDQRVVAIKRSKIVEQGEIDQFVNEVAILSQIIHRNVVKLFGCCFESEVPLLVYEFISNGTLYDILHGDMSTECSLKWDDRVRISLETASALAYLHCAASIPIFHKDVKSANILLNDNFTTKVSDFGASRSISIDETHVVTIVQGTFGYLDPEYYHTGQLTAKSDVYSFGVILVELLTRKKPIFLNCSGEKQNLCHYFLQSLRDKTTTDMLDSQVVEEGNLGEIDEFVSLAEACLRLRGEDRPTMKEVESRLQLLRANITKKIQDESQKNVEAMQLFPSVYDSTSFTQNVDIGMDADSLTQLASTCHTMEQELVSLTR >ORUFI01G17140.1 pep chromosome:OR_W1943:1:13697529:13700583:1 gene:ORUFI01G17140 transcript:ORUFI01G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLVQVLQAISMCAFLLVDARAVRLYPSLQEENNSLGQYLLHAAPNQKMMLYKTFMMSNSILTRKVVHDINSAKVSYFATHQSTGPNENYYGLRATMDVYGHELKPGQLSGGALWVSHFGDDGKLSSYNAVGAGWHIDPERYGDSRPHFYTSWTRDGYATTGCYNMDCPGFERANGAAVAPGASIDPVSDDKSLQSITVEVLTGTSGDWWVYYGFNGVPTGVGSYPKSLFTNMAEKANAIAFGGYVVTRRALPTPPMGSGSHPHTNKSRAASLTNLGVINQDGNTNPINADLPTYMGNE >ORUFI01G17150.1 pep chromosome:OR_W1943:1:13714952:13716881:1 gene:ORUFI01G17150 transcript:ORUFI01G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYAIAPLMCVLPLIQNELCMHLFLHQAWGGPTKNQYAVVIPPDSPNGFGGTAANDWVITDGLDASRSNTVGRGQGFTINNSLSKFSFYTSFNLVFENGRFNGSTLQITGVLPQSANGEWSITGGTGELALARGIAKFKAVQMSSLSNVYELTLHAYYSPMDSCGNPVATCGESWKVGTTQDGITV >ORUFI01G17160.1 pep chromosome:OR_W1943:1:13721340:13723329:-1 gene:ORUFI01G17160 transcript:ORUFI01G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRENKRPNPSGSQAKKKQTIKGRSTQHVNPCNYALAVVIDLEKEHNATSSQQNPVRYSNSERPQRGRRDAETTVDLPEGVQPLNTDEAEKTDDFMSKVASCLNIKRGSQLISQAEKDLLTRSCDWGRFRVPTPYNQLSLRLSNLLLGSQYQPGDEPEAGVYDLPRHIATLAQQFINSTTKRWVEDSAYLKKAGIFRGVMASIYKCQINPVLVAAFLTYWNVDGYTLITSQGGMGYPLHTMYDAIGHTNFWTPTLHNIYADECPLQLNEGPGLVTIATWVNHFFGNDPVSIQSFLPDGFVDPTKPLYEDRGFHVELRNDRPTAIMCDLKMSYVYTYPLVVYRATFIAAWLCTYCVPVEEGKFIRPEVFAMAVEIAQGSRRAIGITSMAFLYRALNNIHHYVAARKALATSLSCPVLDPPCIVDFRNYRSMDFKSEHELFWDFNQDGSGLRSLDFLGRSGIRFQTVNQEFEMFDNRAFMCNG >ORUFI01G17160.2 pep chromosome:OR_W1943:1:13720218:13721334:-1 gene:ORUFI01G17160 transcript:ORUFI01G17160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDLLVSCTVGGVTYRRGDHFDNQVYCPHRFAPSKETPTQQQKPAESVSTPPECQERSTQAEAKTTSPSPAASPKPTEVLKPGSKQSGENIEESRACKAHSDDIATRASFQTSQHESLTLSPRSETVLNSSVTTHTDPYSEGLAIPHDDIGTLPMGEFSDIEALLDGGTNPETGLSLDSPTFSNATQEDHVDSHLPENDEACTESKFDTGREESSPQ >ORUFI01G17170.1 pep chromosome:OR_W1943:1:13724520:13725550:-1 gene:ORUFI01G17170 transcript:ORUFI01G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVYKYMRAPAGVRLLPSRGMLNGKIRQIQVYASRKWRRFDFQNASIDEKEEASHWEVSNLLGTPPADRSM >ORUFI01G17180.1 pep chromosome:OR_W1943:1:13728367:13732117:1 gene:ORUFI01G17180 transcript:ORUFI01G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRTVPRSLQVRPMRYPGNPIRLRSTVQQYQLWCHRRVVFCYGPHNSMGLFRSLQLLPFPLRRRTPSLSSSSFPSERAEIATAWVNRRLLVSAGLSFHVVLGEIAGCRSSHRIVSPKIAIHQLSWAAGKAAPSPADHRIVGIFFLGGLPGSQGEVLYELLRGMGGQKVSSSPMASSNSWMNAPPPPPTPSTLSLSPHTTASSTLHVALVVVLCVAALAGALLFSIVLHIVYTKWLKPRIFARAAPPPPSQEVVVQMPPFSRGLASVKKLIGR >ORUFI01G17180.2 pep chromosome:OR_W1943:1:13728367:13732117:1 gene:ORUFI01G17180 transcript:ORUFI01G17180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVKCFMSFFEEWEVRKINRGNIKYTSSCFATLSSSPMASSNSWMNAPPPPPTPSTLSLSPHTTASSTLHVALVVVLCVAALAGALLFSIVLHIVYTKWLKPRIFARAAPPPPSQEVVVQMPPFSRGLASVKKLIGR >ORUFI01G17180.3 pep chromosome:OR_W1943:1:13728367:13732117:1 gene:ORUFI01G17180 transcript:ORUFI01G17180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPADHRIVGIFFLGGLPGSQGEVLYELLRGMGGQKVSSSPMASSNSWMNAPPPPPTPSTLSLSPHTTASSTLHVALVVVLCVAALAGALLFSIVLHIVYTKWLKPRIFARAAPPPPSQEVVVQMPPFSRGLASVKKLIGR >ORUFI01G17190.1 pep chromosome:OR_W1943:1:13736908:13740658:1 gene:ORUFI01G17190 transcript:ORUFI01G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTRPAMSVLLLLFLPLAAAQPWQVCQDSRGKYTSNSTYQANIQSLSSTLPAKAAAPSTGLFATRVAGNAPDTVYALAFCRGDITNASACAGCVASGFQDAQQLCPFNKAASLYYDLCLLRFADENFLATNNSDVVMLMNSQNFTASVGSVRLLLFTLLNATAESAASSSRRFTTSRLDVSSLPTLYCLMQCTPDLTAGECAACFEDFPRLTLQYLDGARGGRILATRCTMRYEIYPFYSGDTMLRIINLATTVPEMNTTAPTTPVTVYPQPAGPGGASAPPPPQPNPGIPEQAQRSPYHKSKVWIVAIVAPLLAILFCFMLSIVWIRRGRKGEVNMQNNIAAVNRLEEDALVWRLEERSSEFSLFEFSELLEATDNFAAENRLGQGGFGPVYKGQLHDGVEVAVKRLASQSGQGFTEFKNEVELIAKLQHTNLVRLLGCCIQGEEKILVYEYLPNKSLDFFIFDVDKTSLIDWNKRCGIIEGIAQGLLYLHKHSRLRVIHRDLKASNILLDQDMNPKISDFGLAKIFSSNNTEGNTKRVVGTYGYMSPEYASEGIYSIKSDVFSFGALLLEILSGKRNSGFHQYGDFLNLLGYAWHMWEEGRWLDIIGASIPQTIPTEGLRKYINIALMCVQENADDRPTMSDVVAMLSSESAVLPEPKHPAYYNLRVSKVQGSTNVVQSISVNDVTITSNPEGR >ORUFI01G17200.1 pep chromosome:OR_W1943:1:13751423:13752217:1 gene:ORUFI01G17200 transcript:ORUFI01G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSPRPMSNAQPNYSKQSEVGRRKVEVMGGVFVYSDSCGGNIARNLIVDYGSGKIALTAACIHFCVPGTALNLSFRRCHSGPINTCSAAADDRGRSRFHHCH >ORUFI01G17210.1 pep chromosome:OR_W1943:1:13759978:13760154:-1 gene:ORUFI01G17210 transcript:ORUFI01G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCCMGCNDDDGGSGGGDGGLDPKGFLLALMIALVLFMICHRPQPRRNNYVVYRCY >ORUFI01G17220.1 pep chromosome:OR_W1943:1:13761513:13766038:-1 gene:ORUFI01G17220 transcript:ORUFI01G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAVSSLLATPTPTSRPRPVSTTTAPFSVNLSTAAARAPRLLLLSRRPRPRPAAAVLGVSNDTGVKMAGSDIVGKNDLLIVGPGVLGRLVAEKWQEEHPGCKVFGQTASTDHHNELSNIGIIPSLKGSTFPQKVPYVIFCAPPSRSDDYPGDVRVAASNWTGEGSFVFTSSTALYDCSDNELCNEDCPSVPIGRSPRTDVLLKAENVVLEAGGCVLRLAGLYISSIDDYLKGTLDTRPDHIINQIHYEDAASLAIAIMKKGHRGRIFLGCDNKPLSRQEIMDSVNRSGKFDTKFQGFTGTDGPLGKKMENSRTRSEIGWEPKYPSFTEFLGLDS >ORUFI01G17220.2 pep chromosome:OR_W1943:1:13761513:13766038:-1 gene:ORUFI01G17220 transcript:ORUFI01G17220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAVSSLLATPTPTSRPRPVSTTTAPFSVNLSTAAARAPRLLLLSRRPRPRPAAAVLGVSNDTGVKMAGSDIVGKNDLLIVGPGVLGRLVAEKWQEEHPGCKVFGQTASTDHHNELSNIGIIPSLKGSTFPQKVPYVIFCAPPSRSDDYPGDVRVAASNWTGEGSFVFTSSTALYDCSDNELCNEDCPSVPIGRSPRTDVLLKAENVVLEAGGCDAASLAIAIMKKGHRGRIFLGCDNKPLSRQEIMDSVNRSGKFDTKFQGFTGTDGPLGKKMENSRTRSEIGWEPKYPSFTEFLGLDS >ORUFI01G17220.3 pep chromosome:OR_W1943:1:13761513:13766038:-1 gene:ORUFI01G17220 transcript:ORUFI01G17220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAVSSLLATPTPTSRPRPVSTTTAPFSVNLSTAAARAPRLLLLSRRPRPRPAAAVLGVSNDTGVKMAGSDIVGKNDLLIVGPGVLGRLVAEKWQEEHPGCKVFGQTASTDHHNELSNIGIIPSLKGSTFPQKVPYVIFCAPPSRSDDYPGDVRVAASNWTGEGSFVFTSSTALYDCSDNELCNEDAASLAIAIMKKGHRGRIFLGCDNKPLSRQEIMDSVNRSGKFDTKFQGFTGTDGPLGKKMENSRTRSEIGWEPKYPSFTEFLGLDS >ORUFI01G17230.1 pep chromosome:OR_W1943:1:13769691:13776007:-1 gene:ORUFI01G17230 transcript:ORUFI01G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYISPDRSLEGACGDPGPLFGDHDGSLLEHMGFHGDPQHVSPQLNEGLLVDSTDQISYLAADSPSFMNDQIPCNTMKSASTSPASPLKQADDHHVHIDSDMENDAAEQNLHDSYSEAQTTSLGYGIHRRTEVADAAQPTELHESNGNNDTSNFQEETTQSDTYLGDAMLNENGSRDYQLNNSGADDDEIPNSPALEMEDVDTRALHETSHDEKYEAEDDQMNGRNSSPCDEHDEDNCNSVIEPSYLDVMEHENPGTKNGMLTPSNQWDSPPESSARLEKGTPSPDRMVSLPVGRSPRTHSPKELDSPRPENEKKGFAQEERLTKERLSIKEKGLTKEGLPIKEKGLTKEGLPIKEKGLTKEGLPIKEKRLTKEGLPIKEKGLTKEGLPIKEKRLTKEGLSIKEKGLTKEGLPIKEKGLTKEGLPIKEKGLTKEGLPIKEKGLTKEGIPIKEKRFTKEGLPIKEKGLTKEVLPIKEKGLTKKGLPIKEEGLPTKEAQKAFTTAQIISFQSSRPWSPPANRKTGLGKPGRNLFVAGFSYATTERDLEKKFSKYGRVTSARVVRDKRSGDSRGFGFLSLEKDEDADAAIRACDETEWNGRIILGPAADKRELIPFNVCNLCAQDVMPCQICTRRSSSSSWSPADLAKWLSSTALRGMNGIQICEALILNRLVDLPIIYSNVGSNHHVYICTFAR >ORUFI01G17230.2 pep chromosome:OR_W1943:1:13769691:13772424:-1 gene:ORUFI01G17230 transcript:ORUFI01G17230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDIEGNMVDLGQGLLTQGVTIGDLQEGIHHGADHLLPVIVIIHLEGRGHHLPTGRLDWVSLDGICFSYATTERDLEKKFSKYGRVTSARVVRDKRSGDSRGFGFLSLEKDEDADAAIRACDETEWNGRIILGPAADKRELIPFNVCNLCAQDVMPCQICTRRSSSSSWSPADLAKWLSSTALRGMNGIQICEALILNRLVDLPIIYSNVGSNHHVYICTFAR >ORUFI01G17230.3 pep chromosome:OR_W1943:1:13772424:13776007:-1 gene:ORUFI01G17230 transcript:ORUFI01G17230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYISPDRSLEGACGDPGPLFGDHDGSLLEHMGFHGDPQHVSPQLNEGLLVDSTDQISYLAADSPSFMNDQIPCNTMKSASTSPASPLKQADDHHVHIDSDMENDAAEQNLHDSYSEAQTTSLGYGIHRRTEVADAAQPTELHESNGNNDTSNFQEETTQSDTYLGDAMLNENGSRDYQLNNSGADDDEIPNSPALEMEDVDTRALHETSHDEKYEAEDDQMNGRNSSPCDEHDEDNCNSVIEPSYLDVMEHENPGTKNGMLTPSNQWDSPPESSARLEKGTPSPDRMVSLPVGRSPRTHSPKELDSPRPENEVGKLATSQNSPVRRRSRSPGKHETNRRRASSRELSPHGRQNSPSPPEKKARREHRHGDGSPRRRSKKGFAQEERLTKERLSIKEKGLTKEGLPIKEKGLTKEGLPIKEKGLTKEGLPIKEKRLTKEGLPIKEKGLTKEGLPIKEKRLTKEGLSIKEKGLTKEGLPIKEKGLTKEGLPIKEKGLTKEGLPIKEKGLTKEGIPIKEKRFTKEGLPIKEKGLTKEVLPIKEKGLTKKGLPIKEEGLPTKEAQVKIKVTFEEN >ORUFI01G17240.1 pep chromosome:OR_W1943:1:13777299:13777565:1 gene:ORUFI01G17240 transcript:ORUFI01G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSSKDAARNMGALKFHLSCIAGLSQCTAGKLPNGSSTAVGIRQGSDAAPRVNQQAAVAQQVLRLRLRLRYRHMRVIERIDGACMD >ORUFI01G17250.1 pep chromosome:OR_W1943:1:13780825:13781103:-1 gene:ORUFI01G17250 transcript:ORUFI01G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASDHFRIGLPDNMIQSAKKEMSQLAYLVRDIRELMMGGRDIRVSKIQRSQNNVSHFLANRGRTNSCSVFWPDESCNLISQTVHDEALAE >ORUFI01G17260.1 pep chromosome:OR_W1943:1:13783745:13787754:-1 gene:ORUFI01G17260 transcript:ORUFI01G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSFRFHSSALPAAAAQLRLSRGLRPPPSRRRLHNTFSPTLSISPPSRPAAIAIASPLGASQEASAMAVSASEGGGQYDLLIVGPGVLGRIVAKRWQQEHPGCKIFGHTATTDHHSELTQLGIIPSLKGPAVQKVPYVIFCAPPYRTDDYPGDLRVAASNWNGQGSFLFTSSTAVYDCSDNGLCSEDSPCVPIGRSPRTDVLLKAENAVLEAGGSVLRLDAASLAIAIMKRRLRARVFVGCDNQPLSRQEIMDLVNRSGKFDTKFQGFTGTDGPLGKRMENSKTRAEIGWQPKYPSFTEFLGLSN >ORUFI01G17270.1 pep chromosome:OR_W1943:1:13804392:13811786:1 gene:ORUFI01G17270 transcript:ORUFI01G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGRPSSSPPAAARKGRRKKRSSPLPAPSTDSPAADQSPGRGRPRRRLGRKPGPRQGCVPAPAAAEQLAAGWPPWPVAVAGEALRGWTPRRADTFEKLNKIGSGTYSNVYRARDTVSGRIVALKKVRFDNLEPESVKFMAREILILRKLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDVKFTLPQIKCYVQQLLSGLEHCHNNNVLHRDIKGSNLLLDNNGILKIADFGLATFFDPRHKRPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLHGKPIMPGRTEEKVKESCQSMIAIEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIREAFKDFPPSSLPLVETLLAIDPAERQTATSALQSEVCPYLFLLSIVTENVSVKFGLYQGPHLYEGLNGYFDFQYRFQKFFATEPYACDPSSLPTYPPSKEMDAKMRDEEARRLRAAAKAKGEGVKRTRTRDRSQRAGPAPEANAELQANLDQRRRMITHANAKSKSEKFPPPHQDGAMGNPLGSSRHMEPMYEHQDASFSTVVPIQKGSSQTWSGPLVDPAALGQSRRKKQTALDAKAAAYSKQLQKDKGGTRAR >ORUFI01G17280.1 pep chromosome:OR_W1943:1:13818253:13825333:-1 gene:ORUFI01G17280 transcript:ORUFI01G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVKYEEEEEEVSSSGEEEEEQSDGAGFGSGEEEDEEEEEAPAAGAGEAAGGEEEEVDEEEIEAVTTGAGADEEEEEGGAAAAAPGEGDEESQSTEDDEAVAGEDDDADEAEGGAVVGKREKARLKEMQKLKKQKIQEILDTQNAAVDADMNNKGKGRLKYLLQQTEIFAHFAKGNQSKEKKPRGRGRHASKMTEEEEDEEYLKEEEDALAGSGGTRLLSQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWIKEIQRFCPILRAVKFLGNPEERNHIRENLLQPGKFDVCVTSFEMAIKEKTTLKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGEHLVENAGKMVLLDKLLPKLKDRDSRVLIFSQMTRLLDILEDYLMYRGYQYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVVLYDSDWNPQADLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDDLLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEETTAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKFGSLLNSIYILDFLKEENKLDFKKLVSDNWIEPPRRERKRNYSESEYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNNQRLNELYEKEVRYLMQANQKKDTIDGEDEDQLEPLTAEEQEEKEQLLEEGFATWTRRDFNTFIRACEKYGRNDIGSIAAEMEGKTEEEVQRYAKVFKERYKELSDYDRIIKNIERGEARISRKDEIMRAIGKKLDRYKNPWLELKIQYGQNKGKFYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDEQERQARKDKRMAKNMTPTKRSALRVSEGETTPSNSFKRRRQSLMDDYVGSGRRKRG >ORUFI01G17290.1 pep chromosome:OR_W1943:1:13827609:13828847:1 gene:ORUFI01G17290 transcript:ORUFI01G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSGWSSKKVCVVGAGMAGLAAARELRREGLDVTVLEQSAGVGGQWLYDAATDGGDPLGMAGVHSSIYSSLRLNSPREVGGFSDFPFRPTNGGGGDVRRYPVHGELLRYIREFCDVFGLMDAVRLDTTVVRVAMAPPRRDGSLRWTVRSRHNGDAETEEVFDAVVVATGHYSQPRLPSIDGMDKFININMNVKNARMIYIICASILYEARGMFLYNEIEGRTKAGMQF >ORUFI01G17300.1 pep chromosome:OR_W1943:1:13829189:13839666:1 gene:ORUFI01G17300 transcript:ORUFI01G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNGAQGSKGASSTTTNPTNDDKKKNPAQAPGADADADADGGGKKNNSDYVDKTLSTTANLVKLLPTGTTLAFQALSPSFTNHGRCLAINRYISGGLIAFCCAICALLSFTDSIIDRKGRPYYGLAFPADEDTGKGGFVPFNYEKPRRPSNGASAAADDDDDSWEVYFVDFDPEELYKRRLRPLDFLHATLRVFVFLALAFSDAGIQTCLFPQESATWREALVNMPLGVGFVASFVFMIFPSTRKGVGYPREAQTGTEGGADADKDGKAEPPKTATNGGSGGVDAEGSKQKNNDDCPKTTTTNDGSDGGDGAGSSVQKNSANQVVPIQPSTKEISNRADEKIANIVSSTWRLEAMVLVEAFACGGCAVPILQGCLIEFGLQGYKQTTKTIWSDLAQQEAARLRDGWVWRGGGNLGRGRWGWGRADTNTLITDALANGKPHSPCTYDSMIGGAARPPTPPAVVDKTMCAACDILKLLPTGTVLAFHELAPSFTNHGGAASRYTTAALIAACTASCVLLSFTDSLVSHVDGRRLYYGVATLRGFRPFNFEGTREEMEERFGDLPGMKVRALDFVHALVSAVVFVVVALGNADVQGCLFPDAGTGFTEMFRNLPMGLGLLASMVFMIFPTTRKSIGYTDMMPHKEDYGKGGNNTPGQTTPSV >ORUFI01G17310.1 pep chromosome:OR_W1943:1:13841800:13843861:-1 gene:ORUFI01G17310 transcript:ORUFI01G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQELLSRMSRIRISQKARSSHLIRYGEVRVLARKSAFCMDKEHLKEGRDSRQSGYQEICYVAACNLLVKRKEQCYTWHTQDEVMTNFVEWMFIYRDEGILSRTIPLSESAARGTLQMETSDEALLKALEQGILIACNSSAKVPDEQQTHSLVSNEYELPPIETAIH >ORUFI01G17320.1 pep chromosome:OR_W1943:1:13845541:13845852:1 gene:ORUFI01G17320 transcript:ORUFI01G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVNRLAAQRAVVIFSMSSCCMCHTVTRLFCELGVNPTVVELDEDPRGKEMEKALARLLGRSPAVPAVFIGGRLVGSTDKVTSLHLSGNLVPLLRNAGALWV >ORUFI01G17330.1 pep chromosome:OR_W1943:1:13851848:13860074:-1 gene:ORUFI01G17330 transcript:ORUFI01G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHERKTIDLEQGWEFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYEKYRESFEEYITSMVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFHYLDRYFISRRSLPQLSEVGLSCFRDLVYQEIKGKVKSAVISLIDQEREGEQIDRALLKNVLDIFVEIGLTSMDYYENDFEDFLLKDTADYYSIKAQTWILEDSCPDYMLKAEECLKREKERVAHYLHSSSEQKLLEKVQHELLTQYASQLLEKEHSGCHALLRDDKVDDLSRMYRLFSRITRGLEPVSQIFKQHVTNEGTALVKQAEDAASNKKPEKKEIVGLQEQVFVRKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQAKFEEFISTHSELNPGIALAVTVLTTGFWPSYKSFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFEAKTIELIVTTYQAALLLLFNGVDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILSKEPNNRSISPNDVFEFNSKFTDKLRRLKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNVYRYLA >ORUFI01G17330.2 pep chromosome:OR_W1943:1:13851848:13860074:-1 gene:ORUFI01G17330 transcript:ORUFI01G17330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHERKTIDLEQGWEFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYEKYRESFEEYITSMVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFHYLDRYFISRRSLPQLSEVGLSCFRDLVYQEIKGKVKSAVISLTYFLEQIDQEREGEQIDRALLKNVLDIFVEIGLTSMDYYENDFEDFLLKDTADYYSIKAQTWILEDSCPDYMLKAEECLKREKERVAHYLHSSSEQKLLEKVQHELLTQYASQLLEKEHSGCHALLRDDKVDDLSRMYRLFSRITRGLEPVSQIFKQHVTNEGTALVKQAEDAASNKKPEKKEIVGLQEQVFVRKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQAKFEEFISTHSELNPGIALAVTVLTTGFWPSYKSFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFEAKTIELIVTTYQAALLLLFNGVDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILSKEPNNRSISPNDVFEFNSKFTDKLRRLKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNVYRYLA >ORUFI01G17330.3 pep chromosome:OR_W1943:1:13851848:13860074:-1 gene:ORUFI01G17330 transcript:ORUFI01G17330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATHERKTIDLEQGWEFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYEKYRESFEEYITSMVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFHYLDRYFISRRSLPQLSEVGLSCFRDLVYQEIKGKVKSAVISLIDQEREGEQIDRALLKNVLDIFVEIGLTSMDYYENDFEDFLLKDTADYYSIKAQTWILEDSCPDYMLKAEECLKREKERVAHYLHSSSEQKLLEKVQHELLTQYASQLLEKEHSGCHALLRDDKVDDLSRMYRLFSRITRGLEPVSQIFKQHVTNEGTALVKQAEDAASNKKVFVRKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQAKFEEFISTHSELNPGIALAVTVLTTGFWPSYKSFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFEAKTIELIVTTYQAALLLLFNGVDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILSKEPNNRSISPNDVFEFNSKFTDKLRRLKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNVYRYLA >ORUFI01G17340.1 pep chromosome:OR_W1943:1:13866444:13874532:1 gene:ORUFI01G17340 transcript:ORUFI01G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSPRIPVVDLEDGWRDVLAGVAKLKCILDGSNVVHFVPDEYMHLYTTVYNMCTQKPPNDYSQVLYDRYKQALDDHIESVVLPSLNEKHGVFLLREIVQRWEKHKLMVRWLRRFFDYLDRYYVTRRSLDSLKDLGWSSFRDLVFDKLKSTVATIMIGMIDDEREGNLIDRPLLKNALDIYVEIGDSQLNYYSDDFEQSFLNGTTDYYSKKAQTWILENSCPEYMLKAEECLQKEKDRVANYLHSTTEPKLFAAALFELIDRRAEEILNKENSGCKVLLCDEKTEDLARMFRLFSRITDGLLPVSKIFKEHVIAEGMSLLKHATDAANSRKDEKKGVVVGLPEQDFVRSVIELHDKYMAYVTNCFQSNSVFHKALKEAFEVFCNKDVVGCSSAELFAAYCDSILKRGGSEKLSDEAIDESLEKVVKLLTYLSDKDLFVEFHRKKLGRRLLFDKNTNDEHERILLSKLKQFFGGQFTSKMEGMLKDITLAKEHQSSFEEYVSNNPESNPLIDLNVTVLTTGYWPTYKNSDINLPLEMVKCVEVFKEYYRSDKQHRKLTWIFSLGNCVVIGNFDAKPVEFVLNTYQAALLLLFNEADKLSYSDIVSQLKLSDDDAVRLLHSLSCAKYEILNKEPSNRVISPEDEFEFNSKFTDRMRRIKVPLPQIDEKKKVVDDVNKDRRFAIDASLVRIMKSRKVLGHQQLVAECVEQLSRMFKPDIKIIKRRIEDLISREYLERDSENAQTYKYLA >ORUFI01G17350.1 pep chromosome:OR_W1943:1:13872873:13877442:-1 gene:ORUFI01G17350 transcript:ORUFI01G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVADCESGLSPADVTGAGAANRNPSCGVVYGDLSTSPLYVYKSTFIIGSLRRFQDEEIVFGVFSLVFWTLTLIPLLKYVFIVLAADDNGEGGTFALYSLLVRHAKFSLMPNQEAADEELTSYYRPGYAPQETPILTALRRFLENHRKSRTFLLVTVLFGASLVIGDGVLTPPMSVLSSFSGLQVHSTALTSGEVEILSCTVLVCLFMVQHWGTHRVAFLFAPVVIIWLLLLGALGVYNIVVWNPRVLRALSPYYLVRFFQHTGKDGWISLGGILLSMTGKQTLFCSLHCDSSTTYFIIIYIWTEAMYADLGHFTAASIRVAFVGLIYPCLVLQYMGQAAFLSKSPHCDIHFVFFESIPTGIFWPVLVIATLAAIVGSQAVISATFSIVRQCTALGCFPRVKIVHTSRRIHGQIYSPEINWILMLLCIAVTMGLRDTTLIGNAYGMACAGVMLVTTLLMALVIVFVWQYSCLVAALFLVAFGVVEAVYLSAALMKVPQGGWLPLVLSLVFVAVMYVWHYGTRRKHQFDVQNKVSLRWIHALGPSLGIVRVPGIGIIYSELATGVPAIFSHFVTNLPAFHQVLVFICVKAVPVPHVRDEERHLVGRIGPREFRMYRCVVRHGYKDVLAEDTDFENDLVLRIAEFVQMEADFDQRCSISDDGVVASVEVEGRMAVVPRPSDLARTGLLMREPGEEESVVARAAAAAKPESLIHSMHTMHEAESPGFASRRRVRFEVANQHTDPRVKDELSALVEAKHAGVAYIMGHSYIKARKSSSVFKKFAVNVAYAFLRKNCRGPGLVLNIPHISLIEVGMIYYV >ORUFI01G17360.1 pep chromosome:OR_W1943:1:13878715:13879203:-1 gene:ORUFI01G17360 transcript:ORUFI01G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGIIEGGSSVAEGELRTVVAGGDGEGTSKQRQQAGTMEPARSGGGGAKNGGGGARLLLCVLAAKAHAGLLRGAPYEHHRPIHRRRFPFRPSPPASVARAPPPPPLESHRPQLHSSSMAATATRSSPVVVVIAFRLGARATSAVAQPHPRGGPSRGGPGE >ORUFI01G17370.1 pep chromosome:OR_W1943:1:13880743:13881756:1 gene:ORUFI01G17370 transcript:ORUFI01G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSNSRRTRTLPPQATQNSLPSSSTTSTPTILLLILCRPAMAYRLLELTLVSASDLKKVTLFSRMHVYAVASISGSNVPMPMHGTHADRNGGSNPAWNTVLHFPVPARFDTRGLALHVQLRARRSFGGHRDVGDVFVPLDDLLAGAHDSGEPRPASYQVRRPMSARAHGTLYFCYRFTDVKHPALEAIEAATATSSATKQGQYVPMYAQDSDEKATEKSVSSPVTAYPPPSNAVVAHPPVVPYGAPYGGGYPPHQQQYGYAGQPPYAYNAGPPPPATYGYAAAQQPAARKGGRMGMGLGLGLLGGAVGGMMLGEMVGDMEADAAYDAGFNDALEF >ORUFI01G17380.1 pep chromosome:OR_W1943:1:13881840:13885971:1 gene:ORUFI01G17380 transcript:ORUFI01G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAMSGHKIGDGEAKACDLTYKADMRYNIQTIDTDAPQPAPPPPRASIAAPRPPLASPPRRPSTSRRRGPSPPRALPSPLLRANRAGAPLLSTRAAAAPRLPTAPLPLAGEAPPLHLHQKPSLVYEGNFVGGRQLSWFMDAYEHNATWWLTSRQVREKQQWESGAQVINGDLQKINQTCDLNEAHSFSQLLSTTTC >ORUFI01G17390.1 pep chromosome:OR_W1943:1:13888394:13890184:1 gene:ORUFI01G17390 transcript:ORUFI01G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASAYLFSAFLSARCCCFCWPITGKGERKGEKKKRKIRGFCGIIGLVPMWYSRDPTQTSLLWTQVGSTIPPHPQIQQRQVQSQHVAEEQSVEGSPDRFVGSVGIDPHKPLDLHSMEDQESSASNTTRDVNVIEGDAPSNANPRDPPHREATDPVHHWLEEYDLQYPTSTVPLQQQLDQQLRDPLVFRHKEKRCMPMGTSMLPKSFASTRVEIRPEPLERLTKTTKSADPIAPR >ORUFI01G17400.1 pep chromosome:OR_W1943:1:13890508:13891695:-1 gene:ORUFI01G17400 transcript:ORUFI01G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKVYGWVVSPWMARVLVALEEAGAEYEVVPMSRSGGDHRRPEHLARNPFGEIPVLEDGDLTLYQSRAIARYIFRKYKPELLGLGEGGSLEESAMVDMWLDVEAHQHEAAVRPILWHCIINKFEGRDRDQGVVDESVRKLEKVLEVYEARLSGSRYLAGDRISLADLSHFSFMRYFMATEYAGVVDAYPHVKAWWEALLARPTVQKVMAGMPPDFGFGSGNIP >ORUFI01G17410.1 pep chromosome:OR_W1943:1:13902976:13905198:-1 gene:ORUFI01G17410 transcript:ORUFI01G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTPLLTPYKMGQFDLAHRVVLAPLTRCRSYGNVPGPHNAAYYAQRAARGALLVAEACAVSETARGYPDVPGIWSAEQVGAWRHVVDAVHAKGAVFFCQIWHTGRVSPTGRFDLSNTSAVSFQKFLQPNTADDLSLIDAEFQPNGQAPISSTDKQVTPQVSHDGQVLEFAPPRRLKTEEIPNIVDDFRIAARNAIEAGFDGVEIHGANGYLIDQFMKDSVNDRSDAYGGSIENRCRFAAEVITAVAGEIGAHRLGVRLSPFADYMDCHDSDPEALALRAVGLMNDLGVLYCHMIEPRMCVGAGEDGSKPVIAHGRLLPFRKAFRGTFMVNGGYDREEGDKAVADGYADLVAYGRLFLANPDLPERFRRKAGLNKYDRSTFYTSDPVVGYTDYPFLDDQNSEVATR >ORUFI01G17420.1 pep chromosome:OR_W1943:1:13910184:13912433:-1 gene:ORUFI01G17420 transcript:ORUFI01G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTPLLTPYKMGQLNLAHRIVHAPVSRFRSYGSMPQPHNLLYYAQRATPGALLIAEASAVSYAALGRSNDDAANGPIHRQRPGPPGFLFGTNLTDYNSATDGVKATESGVNDRNNLSKWWFMSRLNESGNAGGAEESHYTLPSSLDAPGLWNQEQIEAWRPIVDAVHAKGALFFCQIWHNGRVFSTDNPVTPQVSYFGNTDDLAPAAPQRLETGEIVQIVEDFRVAARNAIKAVLRFDEGFNGVEIHAANGHLLHQFMKASVNDRTDEYGGSVENRCRITVDAMSAVAEEIGADRVGVRLSPFADHCHEEGTDPEEVALHLIGVMNGLGVLYCHVIEPRCVSSSEEHRARRNVPHRLLPFRRAFHGTFIVNGGYDREEGDKAVGDGYADLVSYGRLFLANPDLPERFRQKAALNAYDRSTFYTPDPVVGYTDYPFLE >ORUFI01G17430.1 pep chromosome:OR_W1943:1:13932068:13932961:-1 gene:ORUFI01G17430 transcript:ORUFI01G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKVFGRAISTNVSRVLVCLEEVGADYELVTVDFLAGEQNSPEHVERNPFGKIPALRDGDLVLFESRAIAKYILRKYKSSEVDLLRESDIGEAALVDVWTEVEAHQYYPALSPVVFECIIYPIMRGVPTNQQVVDESLEKLKKVLKTYEARLSKSRYLAGDFLSFADLNHFPFTYYFMATPYASLFDAYPHVKAWWEGLMSRPSIKKISANMPTMF >ORUFI01G17440.1 pep chromosome:OR_W1943:1:13937862:13939710:1 gene:ORUFI01G17440 transcript:ORUFI01G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDLLREGNMKEAAMVDLWTEVETHQFNPALSPIVFQCIINPALHGISTNQKVVDETVEKLKKVREVYEAHLSENTYLAGDFVSFTDLSHFSYTFYFMATPYASLFDSYPHVKAWWERLVARPQETWCRNGTSYSEGVRKS >ORUFI01G17450.1 pep chromosome:OR_W1943:1:13946264:13948269:-1 gene:ORUFI01G17450 transcript:ORUFI01G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPAQSTNVARVLLCLEEVGAEYEVVNVDFTVMEHKSPEHLKRNPFGQIPAFQDGDLYLFGNLREAAMVDVWTEVETHQYNSAISPIVYECIINPAMRGIPTNQKVVDESVEKLKKVLEVYEARLSQSTYLAGDFVSFADLNHFPYTFYFMGTPYASLFDLYPHVKAWWERLMARPSVKKLAAVMAPRGA >ORUFI01G17460.1 pep chromosome:OR_W1943:1:13951730:13953472:1 gene:ORUFI01G17460 transcript:ORUFI01G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPLMRAVEVGPCRCHHKLAFRREGGWEASDGHRAPLPTGRHPLPVFNRAVLSSSVLDSSSAGVDSRSVSSGRPRAPAGAAAATSSGACSLLTGACDATTGRVVHLAVNQDDNLTTTVPLAVAGLTHLHSLRLHHLPPQHFLLIREAILELVH >ORUFI01G17470.1 pep chromosome:OR_W1943:1:13956272:13976620:1 gene:ORUFI01G17470 transcript:ORUFI01G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPAKSTAVARVLVCLEEVGAEYELVGVHIPAGEQKSPAHLARNPFGQVPAFQDGDLILFESRAIAKYILRKSASDLLKESNLAQSAMVDVWLEVESQTFDTAMSAITFQCLTIPTFMGGIADDKIVEENLGKLKKALEVYEARLGRFRYLAGDFISLADLSHFPMAHYLLATPHASVLDAYPHVKSWINDLMKRPAVKRRKMAPAKVYGPAMSTNVMRILVCLEEVGAEYEVVPVDMSTGEHKRPPHISRNPFGQVPAFEDGDLTLFESRAISKYILRKHGSDLLRESNLSESAMVDVWLEVESSHFDGAMSPIIFQCFIVPMFMGGATDMAVVNESLEKLKKALEVYQAQLSKSKYLAGDFISLADISHLPTVYYLLASAHASVLDAYPRVKAWIDDVMQRPSVKKRSRSAMSSAGEEVGSTPPAEVEASTLITLRDGDEVSFFPDGTRTMPVTLTVKDNKGRRVTHTMRRLDVICTLFRLYFDMLPSTAPREGVFMYNGREISFYQTPEKCDMNDGDEITFHPFSKPSTFVTLTIKGSTDDGGRSGVVVTRPMRRTDELQRLIDYYFAMVPTNDQNGEWAVTYGGRQVGGEETPADYEMEDGDQLRLVPASKPSREPASMYRHGCVLAYEGRRVHGSQTPDDLKLEDGDIIYALACQRSMSPVKVFGSAPFTNVARVLLCLEEVGADYEIVDVDFGAREHKGPDHLARNPFGQVPAFQDGDLMLFESRAICRYILRKHRATDEANLLREGDPSESAVVDAWLDVEALRYEPSVHAVFVQRRVVPALGGEPDERVIAESVARLRETLAVYEARLEATRGYLAGGEVSLADLSHFPYTRYFMEMPYAAPVFGAYPRVTAWWERLLARPSVRKVAAMMSGGEG >ORUFI01G17470.2 pep chromosome:OR_W1943:1:13956272:13976620:1 gene:ORUFI01G17470 transcript:ORUFI01G17470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPAKSTAVARVLVCLEEVGAEYELVGVHIPAGEQKSPAHLARNPFGQVPAFQDGDLILFESRAIAKYILRKSASDLLKESNLAQSAMVDVWLEVESQTFDTAMSAITFQCLTIPTFMGGIADDKIVEENLGKLKKALEVYEARLGRFRYLAGDFISLADLSHFPMAHYLLATPHASVLDAYPHVKSWINDLMKRPAVKRRKMAPAKVYGPAMSTNVMRILVCLEEVGAEYEVVPVDMSTGEHKRPPHISRNPFGQVPAFEDGDLTLFESRAISKYILRKHGSDLLRESNLSESAMVDVWLEVESSHFDGAMSPIIFQCFIVPMFMGGATDMAVVNESLEKLKKALEVYQAQLSKSKYLAGDFISLADISHLPTVYYLLASAHASVLDAYPRVKAWIDDVMQRPSVKKRSRSAMSSAGEEVGSTPPAEVEASTLITLRDGDEVSFFPDGTRTMPVTLTVKDNKGRRVTHTMRRLDVICTLFRLYFDMLPSTAPREGVFMYNGREISFYQTPEKCDMNDGDEITFHPFSKPSTFVTLTIKGSTDDGGRSGVVVTRPMRRTDELQRLIDYYFAMVPTNDQNGEWAVTYGGRQVGGEETPADYEMEDGDQLRLVPASKPSRFVTVNLLTMVKAERTYTLRRTDKLQGLMDLCLSREPASMYRHGCVLAYEGRRVHGSQTPDDLKLEDGDIIYALACQRSMSPVKVFGSAPFTNVARVLLCLEEVGADYEIVDVDFGAREHKGPDHLARNPFGQVPAFQDGDLMLFESRAICRYILRKHRATDEANLLREGDPSESAVVDAWLDVEALRYEPSVHAVFVQRRVVPALGGEPDERVIAESVARLRETLAVYEARLEATRGYLAGGEVSLADLSHFPYTRYFMEMPYAAPVFGAYPRVTAWWERLLARPSVRKVAAMMSGGEG >ORUFI01G17470.3 pep chromosome:OR_W1943:1:13956272:13976620:1 gene:ORUFI01G17470 transcript:ORUFI01G17470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPAKSTAVARVLVCLEEVGAEYELVGVHIPAGEQKSPAHLARNPFGQVPAFQDGDLILFESRAIAKYILRKSASDLLKESNLAQSAMVDVWLEVESQTFDTAMSAITFQCLTIPTFMGGIADDKIVEENLGKLKKALEVYEARLGRFRYLAGDFISLADLSHFPMAHYLLATPHASVLDAYPHVKSWINDLMKRPAVKRRKMAPAKVYGPAMSTNVMRILVCLEEVGAEYEVIVDVDFGAREHKGPDHLARNPFGQVPAFQDGDLMLFESRAICRYILRKHRATDEANLLREGDPSESAVVDAWLDVEALRYEPSVHAVFVQRRVVPALGGEPDERVIAESVARLRETLAVYEARLEATRGYLAGGEVSLADLSHFPYTRYFMEMPYAAPVFGAYPRVTAWWERLLARPSVRKVAAMMSGGEG >ORUFI01G17480.1 pep chromosome:OR_W1943:1:13973683:13974216:-1 gene:ORUFI01G17480 transcript:ORUFI01G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLAAQLRALVAQSTEPAAFLEEAEPLYRHAVALRPQGRIFKQHRWQQHSAVTLQPRGGSVTRREALMFSVTRGEALMFPLPAAPSPGVAAQPRPCRWDWRWRRCHGRPQRWQRRRRRHNTTWVSGSRARFPGDARAVSAVGGANSGEGWSKARRRACGSSRERDGWAWHSCVAMA >ORUFI01G17490.1 pep chromosome:OR_W1943:1:13978827:13979954:-1 gene:ORUFI01G17490 transcript:ORUFI01G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAELRVEALSLSGVSAIPPEYVRPEEERADLGDALELARAASDDADAARIPVVDISAFDDDGDGRRACVEAVRAAAEEWGVMHLAGHGLPGDVLDRLRAAGEAFFALPIAEKEAYANDPAAGRLQGYGSKLAANASGKREWEDYLFHLVHPDHLADHSLWPANPPEYVPVSRDFGGRVRTLASKLLAILSLGLGLPEETLERRLRGHELAGVDDDLLLQLKINYYPRCPRPDLAVGVEAHTDVSALSFILHNGVPGLQVHHAGSWVTARPEPGTIVVHVGDALEILTNGRYTSVLHRGLVSRDAVRLSWVVFCEPPPESVLLQPVPELLADGAGKPLFAPRTFKQHVQRKLFKKLKDQQDNNAAAASNGMITK >ORUFI01G17500.1 pep chromosome:OR_W1943:1:13985395:13988853:-1 gene:ORUFI01G17500 transcript:ORUFI01G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAAAAAMAAASLSDDLEPATDRTRIRSILADGAARAGERVVVGGWVKTGREQGKGTFAFLELNDGSCASNLQVLVDAAVHPLAPLTATGTSVLVEGELKKPPEGAKQRVELRVDRVIEVGEVDPAAYPLPKTKLTLENLRDVVHLRSRTNTIGAVARIRHQLACATHRFFDENGFLYVHTPIITTSDCEGAGEMFQVTTLFSHAEKVEKELKENPAPSASDIEAARVVVKEKGDVVAQLKTAKASKQEITAAVAELNKAKENVSRLEERSKLKPGIPYRDDGTVAYENDFFKRQAFLTVSGQLQVETYACALSSVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFANLQDDMNCAERYVQYLCKWLLEHCREDMEFMVKNYDKTAIERLELVSSTPFQRISYTKSVELLKNVTDKKFENKVEWGIDLASEHERYLTEVIFKKPVIVYNYPKEIKAFYMRLNDDQKTVAAMDVLVPKVGELVGGSQREERLDLLKTRIQDAGLPLEPYEWYLDLRRFGSVKHSGFGLGFERMILFATGLENIRDVIPFPRYPGRADL >ORUFI01G17510.1 pep chromosome:OR_W1943:1:13995681:13998213:-1 gene:ORUFI01G17510 transcript:ORUFI01G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHWDRSSRPPGLRCQLFHHHQIDERTTYGQDLPNISPHSQNPSSARGSLAYGLIRRHAAVALSRADGDASAHAAGRRFPASRNGVRAWRVFSSIVVGPSMAVRPTSIAIGAASIHCIISTVCELDLEMWYLPISCESFEPPGSQLLKRPGLQVVIHYFDKQSTEFINSAAGAITVSYVMHLLTGRICIMCFLQRDNVLGVCKEHDYLGGSFQQ >ORUFI01G17520.1 pep chromosome:OR_W1943:1:14002783:14004930:1 gene:ORUFI01G17520 transcript:ORUFI01G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLINLLLTEVAAIVSIVLLALLVVLSSYRRRSGHPALRLFVWAASTLFLPLVSYAVSAAAKWDAARVPLLLAWTVFLQMLRNTIDTARSSSSTIGNGSGSSKFRPSVEQLARMGWVAFLIVSSDGTAGSPQLTGVLLWLWVLSLAKLVHRLVAAELAKNSFAVGLNAYLISDYMKQLYGQDQGDHDVQAPPLLVMGEEKLQIEARPQGYRIGRTSPPPLCVDAGHVVTMDRICRLFSSGDPFVASNPQIKDTCLSFALFKLQLRRFVGCPIAEAGSRRAVAFVLDGLLGESHERVFRVIETELSFLADFLYSKLTVFYASGWWFPVLNSMLVFATWVSCLAAGGAIVHDMACRGTTLASNYDNLRKYLQNHDTVFHIIVGLDVLVTVSFIIAIVFTEGWEIATYVRSDWIKVSAICEYARRPSWRKSPWTRRNVGRVLPLKPMQRWDDRFGQTSILQLRPCYCGCVSRQVDRIAKSSATVPAAVKTAVVDTLRTNQGNLGNGVLSLQRNGVADKLAWACHHAGDERSVSEQILVWHVATRLLEIKGSEGAHGRHDDGDGDGGGDSDTVVVATRLSRYCAYLVALKPELLPDHPAWTEELYEGVVEEVSRVLARFAGAVVRYERAATCLGGSTNATLRKAAKLGRQLAEELGGDEELPWRVLADFWAELVVYLAPSENVAAHSKALRRGGEFITVLWALLGHAGIVSRPDTDV >ORUFI01G17530.1 pep chromosome:OR_W1943:1:14015461:14015649:-1 gene:ORUFI01G17530 transcript:ORUFI01G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKKSGSGFWSSAASWFACLGASKAAAAGSGSGGHAGYDAAGGMVGAAKHFSSSHKINFG >ORUFI01G17540.1 pep chromosome:OR_W1943:1:14022783:14024155:1 gene:ORUFI01G17540 transcript:ORUFI01G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVYGLPMSTNVARVLVCLEEAGEQYEVVPIDFSIAEHKSPEHTSRNPFGQVPALQDGDLILFESRAISKYVLRKNNSELLKEHNLSDAAKVDVWLEAESHHFDEPMSVVIYQCLILPVYFGGQTDAKVVEENLEKLKKTFQVYEERLCKFRYLAGDFLSLADLSHFPTAYYLLATPHAAMLDKFPLVKAWIDGMLARPSVKKVIEMMKATA >ORUFI01G17550.1 pep chromosome:OR_W1943:1:14029169:14031376:-1 gene:ORUFI01G17550 transcript:ORUFI01G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSSARRMSHTQFIELLRRRASCPRHGEALHAWALKSGAASHAPVANSLINFYSSLPRPLLVAAFAVFDDIPPAARDVASWNSLLNPLSRHRPLDALSRFRSMLSSSTVLPSPHSFAAAFTAAARAASAPAGTAAHALACKIPSAVSNVYVCTSLLNMYCKLGIVSDARRVFDGMPQRNSFSWSTMVAGYAAEKCSEEAFDLFRLMLEECPSEKSEFVATAVLSAVSVPLGLLMGEQMHGLIVKDGLLDFVSVENSLVTMYAKAGCMGAAFHVFESSRERNSITWSAMITGYAQNGEADSAVSMFSQMHAAGFTPTEFTFVGVLNASSDLGALAVGKQAHSLMVKLGFEVQIYVKSALVDMYAKCGCIADAKEGFDQLYEVDIVLWTAMVSGHVQNGEHEEALTLYARMDKEGIIPSKSTIASGLRACAGIAALEPGKQLHTQIVKYGLGLGAPVGSALSTMYSKCGNLEDGMSVFRRIPDRDVIAWNSIISGFSQNGCGNGALDLFEEMKMEGTIPDNITFINILCACSHMGLVDRGWEYFSLMTKDYGLTPRLDHYACMVDILSRAGMLKEAKDFIESITIDHGTCLWRIVLGACRSLRDFDVGAYAGEQLMELGTGDSSAYILLSNIYSSQRKWNDVERVRHLMRLRGVNKDPGCSWVELNSRVHVFVVGEQQHPEAENINAQLRRLAKHMKDEGYHSSSKLSFDEELGPLAESHEEDQLEWISAAYS >ORUFI01G17560.1 pep chromosome:OR_W1943:1:14048688:14050359:-1 gene:ORUFI01G17560 transcript:ORUFI01G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARCEKRALSPPRRKIPRKCKRGRTVGAIAPNGAAPWMESPWASLDGDIIRLVAEHALAGDVADYLRLRAVCRHWRSSTVSPRGRSVVDPRFHPRRWILFPESHGLFPGHRKLHGRVRFFNVSTGAFARLLLPFFPDHFVIDSVDGLLLLQRDRDSAIRILHPFTGDIVELSSLETLRPQVEPFFTSTELAYMRAMERKEMGIFSYFNRICAALSFGPDGVITIMFVVTRVQRVAFATSADQQWTLSNWQTNLSWKYMAFQGKIYAASIWVNFSPNRIFVIDPPRVEANGSASSFSLPEPKLFATCPVEKLFGFAYLVNCESEVLLIGHTDRSYSQAVVYRLADIILGRFIPLTRFGDYTIFMDERSLCVSSKAVPGIADGSLIYRHHGKFLAQYHVRSGTLSTAAEGRIEEGYRLAPYSLIHHLFACCLMTFGTKDNYFAGIRRINGAIRQSSKAGVTLECTVSYCLSSSTASMNNYVLLTLSVLLLMPSDLRLCSRCLVNKEGSPRICVSMLFNVVLFFLL >ORUFI01G17570.1 pep chromosome:OR_W1943:1:14051000:14052118:-1 gene:ORUFI01G17570 transcript:ORUFI01G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPGPVVPPSLSTLVLPHTSSASSYATVAASTSSDNTTASSSFSVEDYLVNRCNLYLNVSCHTGALHHQGRAELGWTEAQVKTAAAKIPTVLMLSVERLRKNWEFLTKEVGMDAERVANFPVMLS >ORUFI01G17580.1 pep chromosome:OR_W1943:1:14055348:14057835:1 gene:ORUFI01G17580 transcript:ORUFI01G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAKIPALLWFLLAGLVLALAVNPAHGAKTRHYDFFITETNYTRLCHEKSILTVNGQFPGPTIYARKGDFIIVNVHNNGNKNITIHWHGVDQPRNPWSDGPEFITQCPIRPGGNFTYQVILFEEEGTLWWHAHSDFDRATVHGAIVIHPKRGTTFLFRKLDKEIPWWNDDVEHVLDKAKRIGGDVEPSDTNTINGQPGDMFPLCSRDDTFKVAVQQGNTYLLRVINAGLTNDMFFAIAGHRLTVVGIDARYTKPITVDYIMIAPGQTMDVLLKANRTLGSNSRYYMAARTFITLPVDTIRFNNSTATAIVEYTDSAVARPVGPPEFPVLLPAIKDEDAAMAFVKQLRSLGNQDHPVHVPKQVDEHMLIDIDINFLPCDANNATNKLCEGPQGNRFAASLNNVSFQNPAIDVLDAYYYGSGRGVYEENFPNKLTVIVNPTGDINGGGPLLTKRGTKVKVLEYGTVVEVVFQDLSIENHPMHLHGFTFYVVGRGSGTFDERRDPATYNLIDPPFQNTVSVPKSSWAAIRFRADNPGVWFMHCHFDRHVVWGMDTMFIVKDGKTPQAQMLPRPPNMPEC >ORUFI01G17590.1 pep chromosome:OR_W1943:1:14058982:14059599:1 gene:ORUFI01G17590 transcript:ORUFI01G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARPPDDDDDDDGCMRQRRDPLLASLSRSSLAIEPPRRPCHAADGRRRRRTHAPSSHPVDNGNGNLGDDDSGWRRLATVQMTATAAGDLVDNGGAPAWVQDARRDAHRRALRRRPALLRRIELGSPRTPPWLPPHWAAAAQRPWPRGSTTPSWRCRRRMEAGARGGARRLRRRRRQGRGRLRHRGEEPKEMGGGIYVGPMVGQ >ORUFI01G17600.1 pep chromosome:OR_W1943:1:14063631:14067796:1 gene:ORUFI01G17600 transcript:ORUFI01G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIASSGGASPRPPPPHLEAYRHGVPPPYYHSYPRPPPGAAAPPPVPVPAHVERHRAVAVSVGVNVKGDTLRLVPDDDDDGRSLLLAFSFDADGPGSITVCFFAQEDKCALKTAKENLLQPVTVPFKEGRGQEFKQPSGSGIDVSRFEESELTNVGEGGVFPVAFKVQMDVSGNQESEGAHETEQSKYLVKYAIFVKKDNAEYGVHVVQQILWVNGIRYVLQEIYGIGNTADKNAHEDDSGKECVVCLSEPRDTAVLPCRHMCLCRECAQVLKYQTNKCPICRQPVEGLREIEVDNKPIPQQGSQQLTAPQ >ORUFI01G17610.1 pep chromosome:OR_W1943:1:14072440:14076758:-1 gene:ORUFI01G17610 transcript:ORUFI01G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRRNEEDVLVGFTVDEILRRTEGQMDARMLDSNIGMISMVVHYFVLLPLVDTSLLTYALKASRGSFNRISSLPDVHLHDIVSHLPAKDSPLTKPITERNHAQPPAKTLAHLPPTPPSSFLLLPSSALPSLLPSAAAAAASNREEEKMVKKSKKSKSKRVTLRQKHKVLRKVKEHHRKKRKEAKKEGKSHRKKVEKDPGIPNEWPFKEQELKALEARRAQALQELELKKEARKERARKRKLGLLEDEDIANLASAASAQGSEFAEKDAAKENASLDVVKSQDHSERAFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVRKADPSKRIVLLLNKIDLVPKESVEKWLTYLREEMPTVAFKCNTQEQRTKLGWKSSKIDKSSNIPQSSDCLGAENLIKLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVQLDKKVKLLDCPGVVMLKSSNSGVSVALRNCKRVEKMEDPISPVKEILDLCPHEKLLSLYRVPTFTSVDDFLQKVATLRGKLKKGGIVDVEAAARIVLHDWNEGKIPYFTVPPKRDAVDDSDAVIISETGKEFNIDEIYKAESSYIGGLKSLEEFRHIEIPSNAPPQIDEEMLEDGKKQNEPAQENHDESMSDANEREGAKTASASTQNDKLYTAEGILDPRKRKAEKKRRKANKFSVLTDMDADYDFKVDYQMKDALAEEGNDGGDEEPKEADPMTGVDDA >ORUFI01G17620.1 pep chromosome:OR_W1943:1:14084784:14087807:-1 gene:ORUFI01G17620 transcript:ORUFI01G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEALKARDIAAKKMESKDFVGAKRIALKAQRIFPELENISQMLTVCEVHCAAEAKMNGLLDFYGVLQVDVMADEATIKKQFRKLAFSLHPDKNGFAGAEAAFKLVAEAQSTLSDRTKRRAYDIKWRIASKQATQPKQGAQPAQAAQPKQCTQPPLATKRNQSAQPTHNTQQSAQPKQSTQPMQATQPKHATEPMEKTDANRASNAKEGYGSSVRPPSAGEAFWTMCVNCKTKYQYYSNVLNHKLRCQNCKKDFRAVMLNEQDVPSVFSSSAAKSAGQHCDVPKQEDCSTKFSSAANRDAKPMVNGGQHDEQMKNSASVRAGGEGTVNHTESIRKGGLEFSTLHVSSAANVGSKAGGKMTSCPTPDVAGRQNPGNRVNTSAETGVMNIPNPRRSARRKENADASIIQDTPSKKRRTILDWFSNPDSSRKKVADDNVVRADGQACEPHVSSEAHNHQKGTTSNEGNQEKRKDVAHDTNAQKKSGIPGNFSYPDPEFFDFDRCRDVSMFAVDQIWALYDDRDGMPRYYARIRRIDTTNFRVQFTWLEHDAKNEEEDKWTDEELPVACGNFFLGKTVVSQDALMFSHIVSWVKGRKRSSYEIYPRKGEVWALYKGWSMQWSSDADKHRAYEYEAVEILSNFTVEAGAAVGPLVKIKGFVSLFAKVKEKPSFVIPPSEMLRFSHSIPFFRTKGDEKVGVAGGFLELDTASLPSNLDVAFPSVTLDSCMPVCKTMNSGFNDFTGYEQGALKENLMNEGKRKDHSLERTPVHQQSAAYSSPSTFDYPNSEFHNFEEYRSYSKFERGQIWALYSDLDQFPKYYGWVTKVDTDPFRVHLTWLEVCPQLEQENMWLEQNIPVSCGTFKIRNWRIKLDTNDAFSHLVETSQVGWKRYFEIHPQVGEIWAIYNNWAPGWVPSSKDTFEYTIGEITDCTEASTKVLLLTRVDGYRAVFKPDSVRGTLEIPTNENIRFSHLIPSFRLTKENGGKLCGFYELDPASVPDTFLFRSGR >ORUFI01G17630.1 pep chromosome:OR_W1943:1:14087939:14088508:-1 gene:ORUFI01G17630 transcript:ORUFI01G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDVLAWVGPSPTSGLRNAPSLVRCPISPRPRPPVSSAPRPLRPPPALRRDAADPHPASGGAGLHPVTASRSAGAGHVLLLPPISSPVRAPELVKP >ORUFI01G17640.1 pep chromosome:OR_W1943:1:14090064:14121407:1 gene:ORUFI01G17640 transcript:ORUFI01G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLCVPLVSRTVEAMQADAAAAAAAGADLVEIRLDFIEGFRPREHLPSLLRGCPLPALVTYRPNWEGGQYDGDDATRFEALRLAMELGVDYVDIELKVADKFISFISGSKPEKCKLIVSSHNYESTPSCEELADLVARIQAVGSDIVKIATTASDIADVSRMFQVMVHCQVPMIGLVMGEKGLMSRVLSPKFGGYLTFGTLDATKISAPGQPTVKELLDIYNIRRIGPDTKVLGLIANPVKQSKSPILHNKCLQSIGYNAVYLPLLADDLARFLSTYSSQDFSGFSCSLPFKVDAVQCCHEHDPVAKSIGAINTIIRRPDGKLVGYNTDYIGAISAIEDGIGGPGSKDAAISPLAGRLVVVVGAGGAGKAIAYGAKEKGARVVVANRTYEKAVSLAAAVGGHALRLAELETFRPEEGMILANATSLGMYPNVDGTPIPKKALSFYDVVFDAVYAPKVTRLLREAEECGIKVVSGVEMFVRQAMGQFEHFTGGIEGEWRSDGCEKFDEQCPTTRGVRVIFRNGKNIVLSLFRRQPQFGNSRVSDTMPNCLISLARPAAPTLPHSHLTNPRHPATPTATAATPKPNTPPDPTRPAPHLTLHHQYSGTLPLPISLARAFTSSLPKEEEEEMTLLCVPLVARTVEAMRADAAAAAAAGADLVEIRLDFIGSKFRPREDLPRLLRGCPLPAIVTYRSEPRPSPALATLPYVVLSQAATAHRALDWFDTGFAPNWEGGQYEGDDATRFEALRLAMELGVNYVDIELKVADKFISFIYGSKPEKCKLIVSAHNYESTPSCEELADLVARIQAVGADIVKIATTANDIVDVSQMFQVMVHCQVPMIGLVMGEKGLMSRVLSPKFGGYLTFGSLDATKVSAPGQPTVEELIDIYDIRRIGPDTKVLGVIANPVKQSKSPVLHNTCLQSVGYNAVYLPLLADNIARFLSTYSSPDFSGFSCSLPFKVDAVQCCHEHDPVAKSIGAISTIIRRPDGKLLGYNNDYIGAICAIEDGIGGPGSKNAAVTPLAGRLLVVVGAGGAGKAIAYGAKEKGARIVVANRTYEKAVSLAAAVGGHALRLAELETFRPEEGMILANATSLGMYPNVDGTPIPKQALSFYDVVFDAVYAPKVTRLLREAEECGVKVVSGVEMFLRQALGQFERFTNGIEGIVSF >ORUFI01G17650.1 pep chromosome:OR_W1943:1:14133436:14134650:1 gene:ORUFI01G17650 transcript:ORUFI01G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGGRDGRRRLRRRHCFGPRCRTLGSTLTSNKVFTWANSNNQRLLHHVGGIDRISKSYICTSCSMWLAVEDRVESVGDMMDGCATSGSSLYHTATSFYYSIVDYYSLPGCPLHYQYGVIRCGDIHMWEAGSK >ORUFI01G17660.1 pep chromosome:OR_W1943:1:14138126:14139652:-1 gene:ORUFI01G17660 transcript:ORUFI01G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDVPFEVALAAGDLRLVLVNGEDRDYLREEQRSSAIGIPCEKVRESGFLKDNFSQRWGSGLDSIRS >ORUFI01G17670.1 pep chromosome:OR_W1943:1:14164093:14164425:1 gene:ORUFI01G17670 transcript:ORUFI01G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLMEATGDSESDAAAVDRGSCPADDGDAESCCGGDQDGGGGAAAAGSVEALSWERWMREYCAGYQLVVAADDGKCAAPATEDDVAAAGGSDAESDRLFWEACIAHGF >ORUFI01G17680.1 pep chromosome:OR_W1943:1:14170386:14174801:-1 gene:ORUFI01G17680 transcript:ORUFI01G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSDLDHTMVDHHDEENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEAMVPDDGWEEYLNNKWDRNPETEQRPHKVSFFVDKKSAQEVIKSLSGNMEKCGLDVKIIYSGGQDLDILPQGAGKGQALAYLLKKLSSCGKPPNNTLVCGDSGNDAELFSIPGVHGVMVSNAQEELLQWYAENAKGNPKIIHATERCAAGIIEAIGHFKLGPSVSPRDVGFPYVKEDHIKPTDAVVKFYVLYEKWRRAEVPKSDSVVQYFKNITHANGVIIQPSGLECSLHASVDALSSCYGEKQGKKYRTWVDRLFVSQSGSDSWLVRFDLWEAEGDARLCCLTSLALNVKPETPAGFLITHVHKTWLKGYSSADEQSSKL >ORUFI01G17690.1 pep chromosome:OR_W1943:1:14176381:14176797:1 gene:ORUFI01G17690 transcript:ORUFI01G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDLKEQPKWCRVLEESSHNKRNKISESGAYTSSSNQEIEEKTERKEKCLERQKAAKQRKKGKGAPSTLGDKPSQNMVLFHEAITTKAATLLKAAEATLIGAEAKIKENASAKREEARVEKYQMYLKIDGEGYINLQ >ORUFI01G17700.1 pep chromosome:OR_W1943:1:14178694:14180320:-1 gene:ORUFI01G17700 transcript:ORUFI01G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPLYLLLLALLVAVPFLCLTRWSLRHGGGGGGRLPPSPWALPVIGHLHHVAGALPHRAMRDLARRHGPLMLLRLCELRVVVACTAEAAREVTKTHDLAFATRPITPTGKVLMADSVGVVFAPYGDGWRTLRRICTLELLSARRVRSFRAVREEEVGRLLRAVAAAAAVAALTTPGATAAVNLSERISAYVADSAVRAVIGSRFKNRAAFLRMLERRMKLLPAQCLPDLFPSSRAAMLVSRMPRRMKRERQEMMDFIDDIFQEHHESRAAAGAEEDLLDVLLRIQSQDKTNPALTNDNIKTVIIDMFVASSETAATSLQWTMSELMRNPRVMRKAQDEVRRALAIAGQDGVTEESLRDLPYLHLVIKESLRLHPPVTMLLPRECRETCRVMGFDVPEGVMVLVNAWAIGRDPAHWDSPEEFAPERFEGVGAADFKGTDFEYIPFGAGRRMCPGMAFGLANMELALAALLYHFDWELPGGMLPGELDMTEALGLTTRRCSDLLLVPALRVPLRDHER >ORUFI01G17710.1 pep chromosome:OR_W1943:1:14207206:14212921:-1 gene:ORUFI01G17710 transcript:ORUFI01G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLTDEQLRDSPSRKDGIDEATETALRVYGCDLIQESGILLKLVKRVAASCVWLAGKLEESPRRSKHIIIVFHRMECRRENVPIEHLDVFSKKYSDLKHDLVRTERHLLKEMGFICHVEHPHKFISNYLATLEAPELTQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRHGVPLPEDPPWWNVFDADEAGIQEVCRVLAHLYSLPKSQYIQVYKDNDSFTHRRTSDTNASKESPATTVASDKGTPVPSSSSQEKDALIKAGSDKVKEKGDDDGKTLPSEPNGKEGPAVNLKSEKSESNVDRSRERERDRSRGRDRDSRGRDSDRDSKGRDSDRERERDREADRDRQRRHHSKDRSSGYSDKEKSRHRSSRDRGDHYSSHSSRDKDRHRRQ >ORUFI01G17710.2 pep chromosome:OR_W1943:1:14207206:14212921:-1 gene:ORUFI01G17710 transcript:ORUFI01G17710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLTDEQLRDSPSRKDGIDEATETALRVYGCDLIQESGILLKLYPSAPPPRSPHLFPPCSYAQVKSIIFACGGFLDAPKTTSSDGHSTSIRVAASCVWLAGKLEESPRRSKHIIIVFHRMECRRENVPIEHLDVFSKKYSDLKHDLVRTERHLLKEMGFICHVEHPHKFISNYLATLEAPELTQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRHGVPLPEDPPWWNVFDADEAGIQEVCRVLAHLYSLPKSQYIQVYKDNDSFTHRRTSDTNASKESPATTVASDKGTPVPSSSSQEKDALIKAGSDKVKEKGDDDGKTLPSEPNGKEGPAVNLKSEKSESNVDRSRERERDRSRGRDRDSRGRDSDRDSKGRDSDRERERDREADRDRQRRHHSKDRSSGYSDKEKSRHRSSRDRGDHYSSHSSRDKDRHRRQ >ORUFI01G17720.1 pep chromosome:OR_W1943:1:14223027:14223347:-1 gene:ORUFI01G17720 transcript:ORUFI01G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTLQQYDATPSDILRLTEMECDSIAESVREEENGDGGREERGGDERWILEGLGGFGFWGGGCVFVGYGSLGTPVVWVHLARWGGNVSSSSGFALENWILFYDHL >ORUFI01G17730.1 pep chromosome:OR_W1943:1:14227377:14232273:1 gene:ORUFI01G17730 transcript:ORUFI01G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRIRSRDGTDRITVPDPAAATVGDLQRLIAARVTVPVPLQRLSLDPALLLPSSASAALLADPAAPLSSLRLSNGSFVYLSYPPDARSSQPPPPKALSAAGSFGKKMTMDDLIARQIRVTRQEAPLCAAASFDRDSANAFQLHVAESLAFATKRAGFLYGRVDADTKEVFVDFIYEPPQVGTEDVVQLMRDAQEEARVDAIAHGLGMRRVGLVFTQAVGRKTSDTGEYTMSNREVLQATELQAEGGIPEWVTAIVKLEVGDDGSGDVHFEAFQMSEICVKLFKDGVLETEIGDKDDPRLSKMRKEVVAGGKDTMEVDNDFFLVPVKISDHQGPLSTGFPIENRGNPVAMSALKSHLDRAKHLPFVKRISDFHLLLLVAAFLDIKADVPALTACVKNQSVVPEGYQLLIESLAGA >ORUFI01G17740.1 pep chromosome:OR_W1943:1:14232614:14233771:1 gene:ORUFI01G17740 transcript:ORUFI01G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFNKAVDDLRTWLSAALTYQGTCLDDFLNTTTDAAAKMPNALNSSQELMEDIVAVVDQFSSTLGSLSIGQWLLAEDGMPTWMSEGGKQPLLEAPRPEAEPEEFEPNVMVVLHPDLEKFTDKVAGGTGLHNRIVAPHPDLEKAKTYMARPWKEYSGTIFVQNELDALVDPVGWLDVNDQIW >ORUFI01G17750.1 pep chromosome:OR_W1943:1:14253080:14256766:-1 gene:ORUFI01G17750 transcript:ORUFI01G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGANNKGLVCLIAAAAVVLVFAGSSGIAAAQAAGLKKGFYKKSCPKAEEIAQRVVWNRVAGNPELAAKFLRMFFHDCFVRGCDASVLLDSASNTAEKNAAPNLSLAGFEVIEEVKAAVERECAGVVSCADIVALAARDSVSYQYRRSLWEVETGRRDGTVSSDQEALADIPAPTSTFPILLANFSAKGLGLQDLVVLSGGHTIGIGHCNLFSSRLFNFTGKNNPSDVDPSLNPSYARFLQGQCRRNQQDPNDNSTVVPMDPGSSVSFDSHYFVNLKARQGMFTSDATLLTDGRAAALVDKLRDPGVFLDHFKNSIKRMGQIGVLTGAAGQIRKRCNAVNS >ORUFI01G17760.1 pep chromosome:OR_W1943:1:14271321:14272118:1 gene:ORUFI01G17760 transcript:ORUFI01G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICAAAADHSRASPSSAATQPTTICWRPSKVKEEVEEDGMVVDPPDDASEASDNLRATGFQDTPTVGELVLRHLRPRLRGFHCADGDVPVIGVRDDPAAAAPLDLVARHGGAADRRRGEAFYFVRRRRCRRPNVRRTVAEGGGGGGGAGGLWKKSWTGSGKSVTDLGVVVPWSKTCYCFYRRDEGGRLSTFGGGWVLAEYEITEPGTYRRADEEEDDDDYWVLCHVRKTASKKRKRNRCDEAVAARAVAGTESKSYLVCGLTAN >ORUFI01G17770.1 pep chromosome:OR_W1943:1:14305055:14306752:-1 gene:ORUFI01G17770 transcript:ORUFI01G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARANDSHSVTIPDGMFPTPRMEDAAAGASSDTKPAAGTNTPTSTPKDDGSKPAAAQDNVLSASANLAQLLPTGSVMAYQALSSSFNNHGECYTSNWWLTVSLVTFLTVFCIFFAFTDSITHKGKVYYGVAMSERLRIFNIEVGDSIADEEGKLIIMPEQGKDLTQEQREVLNQLKKRKLHWLDGVHAFFTAVVFLSVAFSDVGLQKCLFPHAGHDTMELLKNMPLGMSFLSSFVFMIFPTTRHGIGFSDSSTTASSKDASRKVADIYTMTSDQNRRESSNNAASNVANHKNINGNEENANSKPAAQDKVLSASANLAQLLPTGSVMAYQALSPSFNNHGECYTSNWWLTVSLVTFLTVFCIFFAITDTIYYNGKVYYGVAMRGGLKIFNKEDNDPNFYIEPDNKKENENKNGTATELQAVGQQKSPSSSNESEHNGEKKGKLTWLTSIFEKKGGEKVKQDNKLTAEKELKDKLERMKLNWLDGLHAFFTAVVFLSVAFSDVGLQRCLFPDAGHDTMELLKNMPLGMSFLSSFVFMIFPTTRSGIGFSNPTSKGDDKAKPHANAK >ORUFI01G17780.1 pep chromosome:OR_W1943:1:14335663:14339472:1 gene:ORUFI01G17780 transcript:ORUFI01G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKQTLPLWLSLHSSSTGRGEVLWTESVRGAACRQVASAYTAINSTLKGEMNSKKSKCTCTLTDDLVVDILSRLPLKSVCCFKCVCKSWASLFSDQYFCTKLPRRPAGLLYQDSNNSSIQIAKLPSGNSEIGTSLSFMPHHENLKLVDCSNGLILFTHGSKSDSPDSSHFIVCNPATQEWIALPDTCPRVNGSDYIAMLAFNPSSSCHFFVFNFQKRRSPHSGVFVITEVEIFSSEDFTWIADDAFETEIMMISMPHVLLHGVLYLRTVEHSVFAIETPHMYKPWIHRWTFELPGDSCPMNNYIWGCLGESSGILHYMQPNYDGCWLNVWRLESRHQQWSMTHSLSMIDAFGRGTLVHGDPFSVDWSADYGMLSFDLEREIVFLHDRVSSKVLSYSIRTGKLCEMGDLPRNSLYYVPYWRKFPVVEEDQYWL >ORUFI01G17790.1 pep chromosome:OR_W1943:1:14340240:14341307:1 gene:ORUFI01G17790 transcript:ORUFI01G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCWRGAAQRLWPMAEVAVAEEDSDGDGCVNGWPAGGRGDGSGWLAQLAVAEADVERTRRRGTDLVSNVNVLIKPVN >ORUFI01G17800.1 pep chromosome:OR_W1943:1:14360124:14360918:1 gene:ORUFI01G17800 transcript:ORUFI01G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGSFPKNDGSSRKEAYLSPLHEALRGTTAVQGLGEYVGHNSSTTPPIISGPQETTILPSHNYSSYNPNTALAPPPFQLPHQQNFLTTPMGTYFDSSEQAHIMSTESPPVTSLLQGDPFAVVHAHLNTTGVLDNGPIFENSATSLLVPEVNSMPSVYPFPLQNIQPFISGTISQQQRLQSGSSSHVESFGPPYVPREQPEPIGGTKSVQVPAGRSGVVNERVYTCRHCPNATFSTPQAYGGHMSAHSKKDKKNMSSGPSSRG >ORUFI01G17810.1 pep chromosome:OR_W1943:1:14380834:14381690:1 gene:ORUFI01G17810 transcript:ORUFI01G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAENYHLAGSPTLALLDGCQVLSANRICAENRRSTLRKTKKITCSHPTAILITILPLLFPLMPSSLVLASTVLLAPGLRCAFSGMPLPPPILYPHTLSLFIVGSKLYRLPIVRTGDSTISFGIDKKKKGKRKKTDVCSIALDEDQINVYQFGTLLNVDQLGGIVTCTIIPRDLIIN >ORUFI01G17820.1 pep chromosome:OR_W1943:1:14388056:14388873:-1 gene:ORUFI01G17820 transcript:ORUFI01G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLGVGEEEVRRPATSDQQGRRAVARRLLGQAAVGARRGGRRRRRHVCCFLCCRHGRRVVGDRAHSCMLAPRRREQKGQLGQGRLVEGRRYRCHLLEVKTRAEVRHSPPCWRRTAPPRRPRAAMQPMQAYDEACKEQHWGDVDAADVGFSAGAGFTGLLLDCSLNQIQRPAGRDAEADREFQ >ORUFI01G17830.1 pep chromosome:OR_W1943:1:14391319:14392788:-1 gene:ORUFI01G17830 transcript:ORUFI01G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPIPSLILSLSLSLSRRPSYSDSSALVPQMGGGNESFGVVLLEMLTGRRSMDKKRPAGFGYVFKGWIDPNITSPAKLGTDLTVVVRSLEQDALQGHREWVDYGLC >ORUFI01G17840.1 pep chromosome:OR_W1943:1:14396074:14399757:1 gene:ORUFI01G17840 transcript:ORUFI01G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADSGDFGSGNKLPDDLTLDVLSRLPYKSFCRAKCTCTGWLSFSSNPHYCDKLPKPLTGFLYQKSDSSAIEVASLCPDDRSFDTSLSFLPRYEWLELTDSCNGLVLCKYGRNTSSPSVANFVVCNPATRQWMELPETLLEPEGHSYATKLAFDPSWSPYFYVFNFEEKRNPVERWACISKVAIFSSRNSTWFMDDKWEPSNQISVDCQPHVLLGGKLFLQTSSCRVLVIDAFHNTEQPSHWIFDLPGYKPTSPMVDCLTGYLGHKSGVLHYVQPDTGGRTLLVWARDGYPHGDWNLKHRLSMSDAFGQDIFLDEHFDGFLSCHYDIQSLDLERGLVFLCHFAAERLLSYSLSTGKLTKIRDGLRRYLYYVPNCSMFPAKETEKDQDVSEP >ORUFI01G17860.1 pep chromosome:OR_W1943:1:14412529:14412850:-1 gene:ORUFI01G17860 transcript:ORUFI01G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWVNLRKEFGRQCFTTVGFMKLAVGIVRVKLDSLCRGELNHSNVPYSRFWSCVIRVIPTPEV >ORUFI01G17870.1 pep chromosome:OR_W1943:1:14414634:14414855:1 gene:ORUFI01G17870 transcript:ORUFI01G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSNAHPGKVVEGGGREGDGRNGRSKSSDRPIREGGGGGLTQGGGRGERGKMESPRRGGVGGARVCLANLR >ORUFI01G17880.1 pep chromosome:OR_W1943:1:14414875:14416718:-1 gene:ORUFI01G17880 transcript:ORUFI01G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPAQDRPTSLHASILPLGLSAQPSRAEPAQIGPWPSRSLLLWAHLAHAQGAAVAAVSPLPDAVRRFVPPPSSCRRREGSVTNVSCDVMPPTSAAESNRHHQPINEQSWRRAPLLHAAATEFSLSSSSATALRHRLSPPIKGRRGPLFLLTQTDLLKLSLSFSPMSDH >ORUFI01G17890.1 pep chromosome:OR_W1943:1:14415116:14415400:1 gene:ORUFI01G17890 transcript:ORUFI01G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQLTLVTDPSRRRQDDGGGTKRRTASGSGETAATAAPCACAKCAHRRRERLGHGPIWAGSARLGWADSPRGRMEACRLVLIGLIEGNLAQNE >ORUFI01G17900.1 pep chromosome:OR_W1943:1:14438569:14441891:1 gene:ORUFI01G17900 transcript:ORUFI01G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQLTLVTDPSRRRQDDGGGTKRRTASGSGETAATAAPSLHRVVGALWHGGIRWRQRFGSIREWRRRHEEIEVDSWRGIELRNGGKAALDDGRHLPELRKREGSGSAKREGFGLGARQRRRQHGNDVAVTMSQLEATA >ORUFI01G17910.1 pep chromosome:OR_W1943:1:14445712:14446766:-1 gene:ORUFI01G17910 transcript:ORUFI01G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTASHEWCRDQRVECTAMTKAWRCGHEDGQDGGGAGTPRDVAHARSDDIGGGSCGIDQVKRGKRMQGASTAACNAGDEVGNSSTGLRGSGAHPRWSRRTWCGAIAAGAAATTSSHGGEGSPQWAASPQDAAHPVDSNITSLARSSLRNPVVRTPLAAAAWIWEKRGIEEEEEYDKWAQGNKYK >ORUFI01G17920.1 pep chromosome:OR_W1943:1:14446384:14446593:1 gene:ORUFI01G17920 transcript:ORUFI01G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTVGSPHRHGRRWWLLLLLRLRRTMSSCSTLDVHPTDPRKPVLLLPTSSPALHAAVDAPCILLPRFT >ORUFI01G17930.1 pep chromosome:OR_W1943:1:14459874:14460368:1 gene:ORUFI01G17930 transcript:ORUFI01G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKLAIALAMVAAMALPSQAQNSPQDYVRLHNAARAAVGVGPVTWDTSVQAFAENYASQRSGDCSLIHSSNRNNLGENLFWGSAGGDWTAASAVQSWVGEKSDYDYASNSCAQGKVCGHYTQVVWRASTSIGCARVVCSNGRGVFITCNYKPAGNFVGQRPY >ORUFI01G17940.1 pep chromosome:OR_W1943:1:14472708:14476939:1 gene:ORUFI01G17940 transcript:ORUFI01G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGECIYNNPPVLVTPSKPTPKLALYLSNLDDQRLLHFPIQYIYVFTGTLDMDTLKVALSRVLVDYYPLAGRLRASNEHDGKLIIDCNSEGVLFAEGFLPGLTAGDFILGHAKPHKSWKKLLYKDEQSFVCTPPLVVQVTHLSCGGTILCTAIAHCVSDAFGAAHFLRAWARAAMSEDSELAHPAVAPCHDRRALAPRCTPRIAFAHPEYTAASGGDDASAVAEASSRLFAPPLSPVSVTFTAAHVARLKKLYAPSASPLEQCTSFEALAAHVWRAWVRALDPPASLPIKLLFTMGIRRLVKPELSGGCYCGNGFVLACAESTAGQLAASAPDAARLVQETKQRVDDDYVRSVIDLLEVRRGCLPDLAATFTISSLTRQGLEDIDFGAGTPVHFGPLTSEVYCLFLPVIGDPRGATALVSVPQAAADSFERCCHDGLDDVDVEDKNQLPNIGDGMAS >ORUFI01G17950.1 pep chromosome:OR_W1943:1:14482876:14483379:-1 gene:ORUFI01G17950 transcript:ORUFI01G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKLAICSLFVLAVVAATMFHCSDAQNSPQDYLSPQNAARSAVGVGPMSWSTKLQGFAEDYARQRKGDCRLQHSGGPYGENIFWGSAGADWTAADAVRSWVDEKKYYNYASNSCAAGKVCGHYTQVVWRDSTNVGCARVRCDANRGIFIICNYEPRGNIVGRRPY >ORUFI01G17960.1 pep chromosome:OR_W1943:1:14489841:14490554:-1 gene:ORUFI01G17960 transcript:ORUFI01G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCSTTVIYASGGDQRLDMERLAVYDSSTGAWSARPWTNTSDIDMPLPLKVKRYSAMHAPGRICWKRLRGGSPNSLVSLDAETMGFPEVVPPPGVLLGPSYAVGDTDDGSMCLVNMEERGTMRLVMLSKKKWHLRVWLLGKSGCGGRAWVLDQEQETSLTTSTEDAADMLWDYDRACRVVAVNAGVVLMCLHSPRRLNDRYIAFRLSNLEVVASFSASEPVFQYQMPWPPVLLPTA >ORUFI01G17970.1 pep chromosome:OR_W1943:1:14490692:14491147:-1 gene:ORUFI01G17970 transcript:ORUFI01G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKASPSSFSGDPPPAASPTTVDSFCDDVIAEFLVRLPSVPSLARAACAVKRWRRVASSPAFLRRFHALHPGQAQPLLGHYYYTECSSRPVFQPAQPTFSDPELSAVVRHGDFFLTPVTSMGRLEVEDCHQGRLLLRNCDTNESSPFSTL >ORUFI01G17980.1 pep chromosome:OR_W1943:1:14491975:14493180:1 gene:ORUFI01G17980 transcript:ORUFI01G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRKRKRAGKPTCLPPADASFPSIDSLNDDVLEEILVRLPCIASLARAACACARLRAIASSWAFLRRFRTLHPSLLGHFATDADDESVIPTFHPARAQFDGCSDAAVRGGDFFLTRVDANAGWRVQDCRHGRLLFANESDLLVYDPLSRRGVSIRRPSWYPSSHFTHCLLAGYGGDGCPGSFRVVSVEHNGERAARGAVYSSCTGAWRRGRWDYDRVINPKRPSEYSYFPGMQAAGRIYWKHRDTTKLQVFDAGPMRFSYVHLPEGVHPRSKYAVGEAEDGGCCLVVLADAPHGTVFKVWRLRTGKGSWPWAWTWELERRLPACEVIGKVQYPPIRHVCAVVAGVVLICFQNHAGPHRHIAFWLSNMQVEATFRSAGWAYPFLMPWRHSSPLLLPSAKF >ORUFI01G17990.1 pep chromosome:OR_W1943:1:14494096:14495407:-1 gene:ORUFI01G17990 transcript:ORUFI01G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQVYLEIDEVTTGVSLPTWCRCRRDKGDDVREARGGGSTVALNEMTTTLSYNGME >ORUFI01G18000.1 pep chromosome:OR_W1943:1:14497583:14502840:1 gene:ORUFI01G18000 transcript:ORUFI01G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLGRAPCCYCFTEPHPAGGVAAAVRLRLLPPARAANTSSEPAARLRAVLEQVDEELRKGNDEAALSLVRGSQGADGGLRFFGAARQVPQRLYTLDELKLNGIDTSAFLSPVDLTLGSIERNLQIAAVLGGLSVSAAFELSKLQVLFLFLGLLSLWSVDLVYFGGGVRNLILDTIGHNLSQKYRNRVIQHEAGHFLIAYLLGVLPKGYTITSLDTFIKKGSLNVQAGTAFVDFEFLQEMLNKFSCIALAGVATEYLLYGYAEGGLADIGQLDGLLKGLGFTQKKADSQVRWAVLNTVPALRRHKKARSQLAEAMSSGKSVGSCIGVIEENINSEDI >ORUFI01G18010.1 pep chromosome:OR_W1943:1:14513350:14514090:-1 gene:ORUFI01G18010 transcript:ORUFI01G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKYGIEPCEEHYSCMVDVLARAKNFEKAEELTKEMPFNLSSIGWTSSLSACRTHGIMDLRARAAKEILNLTPYSASTHVVLSNIYATVGKWEEAAQIRKLLRNRGIRKKPGCSWIDLGRIVHIFVANDISHPRIKDVYKFLEVMSEKMKLAGYVPDKIWALAKDHAAGGETRLRHNSKKLALAFGLINTVEGEPILVMKNLRTRGDCHNAIIIISAITFRQITVRDAHRFHCFSDGSCSCGDYW >ORUFI01G18020.1 pep chromosome:OR_W1943:1:14516607:14519749:1 gene:ORUFI01G18020 transcript:ORUFI01G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMTFNQVLIKFGSASLLNPADPTLQQRLTNRRPSLLGQHSQLPSAHFTDKETRRVIGRICYFFVGSATSCNQQTYSNFLKV >ORUFI01G18030.1 pep chromosome:OR_W1943:1:14519933:14520451:1 gene:ORUFI01G18030 transcript:ORUFI01G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGWAIGEDGGADVGTQDSTHGAAAGRRLALWSGREQRRQGTRRQRPHGTQRRRRRTPPPAAASASATGRDGARDEGGGWWRRRLEAELGMRRGYGSEVGFASTTEEARRQWKSVAAEEARRGGGGDGVDFFIDNGPIDHYDDLANRIHDPNGSPMPLPLSRSISDGFPVL >ORUFI01G18040.1 pep chromosome:OR_W1943:1:14520787:14522105:1 gene:ORUFI01G18040 transcript:ORUFI01G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRGAFPWCPPWWRRGRGRRRGRVLPQHQVKATAAEVPADADRQADTFGEPEAGGLVGDGVVHLVEHRAGADPCCLATDVDHPLPPPLDPRRRPEREGARERQMLFPARYAYARI >ORUFI01G18050.1 pep chromosome:OR_W1943:1:14523375:14525736:-1 gene:ORUFI01G18050 transcript:ORUFI01G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDAKTRRGLAAATSRRRGAERASSRGSPAQQDHRRLDYHVFPDDLGGLGAAAPRCDLERRVHGAALLGAFSPCFHGSSSSHLPPQHSSEEVSAAAATREQEQTLRLFLQDIERSIVFGICKNPETTEFFRDHRRRLDDYFAAAKNLLQMLEHPVLAYGDLHNRAKSLLVTAMGSLAVELCHLKIWKPDALASYLGCTPTSIWELARSSCRGGGDGSGSASSASWMSTSRSCSGGSSGPNGVSFDGYYMALSEERTVRSGQASSVTASHIDLKSVSILNKIADFMIGVGHEQMLRGAFDQHSEHLVRYIEILDIDKILGNHMEESTELLLKVWTSTMRTVFSVLDEMRTQLNQKDHGTFSSLKVDYFSAIAKESVMKLLNYANAICIQVGPNDPSCRDTHASVKHFPSKMVNLLIMFQALEYAKMEILDLFLGQTKGPILMEIERLTNGLSAVFLVLLVELNGLLRSQHLVISNTGVHHVTQHIMGLMRLLVEQKDKVHMMLNDNPDKFGQVVTQLISSLEFMLDMNSRSLALQGQQLVFLLNNINFVLEQANNYTDLKLILGESWCLQRHVQLDQFLASYVEASWTPVMSSFIITRIPKILWPQQLFDKFNSRFEMTYNVQKTWKVTDPVIRQKLREKITQKVIPLYRMYLESYSDKKQKSARFNVEHLEARLLEIFEG >ORUFI01G18060.1 pep chromosome:OR_W1943:1:14527849:14529226:1 gene:ORUFI01G18060 transcript:ORUFI01G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENASVVNADVEWRDEYGIDTEDWIIESIPRYKYLKLKYETADGVRIKLFMLELEACLYAEPQMGLGNEGEDHELYEDPDVVREAFERQARLQHIANGDESSSSGGSSFVVSVDSDWSLKYGGR >ORUFI01G18070.1 pep chromosome:OR_W1943:1:14546304:14546687:1 gene:ORUFI01G18070 transcript:ORUFI01G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVILANSGKGGGHGEHQWSKGSAVVAAARPGAAGSGGTPYGWRRPNRAATSGGGAGDVPAMDLKGKERGKGVLGMENPFVPSISEDLQRMRRILELNQWRKIRVLGIFPPVTTIEAVGVGADLRR >ORUFI01G18080.1 pep chromosome:OR_W1943:1:14552687:14553163:1 gene:ORUFI01G18080 transcript:ORUFI01G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFQRSSMKKNQWPGFTLFLRTQWSRRRPKATTDDDERAAGGTATTAALRFTTTGYLRWDLAQNNQRLGFAEPREVAAQSGDDRGGSARRLERLPATEREGARGEKVSGDGGERERWRPKEELEGALYIAMGAQDRAHIDGKNLGKFRILAGKRKG >ORUFI01G18090.1 pep chromosome:OR_W1943:1:14557503:14557763:1 gene:ORUFI01G18090 transcript:ORUFI01G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRCIERARLVSKARFSRFSHPDYGDAIFTRRRSAEAMASVSGSGDGGRDCGGGGDIGGGEGVGCEVRMATARWLGVRQQQLWWW >ORUFI01G18100.1 pep chromosome:OR_W1943:1:14557787:14558164:1 gene:ORUFI01G18100 transcript:ORUFI01G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASDGYPRAWETAPSDRRGIVWWRGRRQAWRREAQPMATEAGXVPHVGRACVVVEPRCVSRGFAGGEQRVKTQSGLGRTDNDVPPPEGVVVLSHPSRVITGRKPGLVSFESQRTAAADFRRFSS >ORUFI01G18110.1 pep chromosome:OR_W1943:1:14568472:14580044:1 gene:ORUFI01G18110 transcript:ORUFI01G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKRKTHHGGSCHQQQSCPSHGNELSAIDEEVSHLTRLKSEPCERTRASLHAGKKRHISTFKLLSGRESNCLGIGRFSSADCSYALRKHLPVKGPWCVDDMDSEAYISQFSADGSLLIGGFRGSHIRIYNAEKKWTIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPIVHMVDIQNGMRESHANITQVHEGLEFSNDDDGFSFAIFSVKFSKDGRELVVGNNNESICIYDIGSNKVTERIHAHSADVNAVTFADEGSDVLYSGSDDSLCKVWDRRCNKRGKQVGVLTGHLDGITFIDSRGDGHYFISNCKDQTIKLWDIRKMSSTVKDCTPKAYEWDYRWMTYPSEARYFKHPYDQSLSTFRGHSVLRTLIRCYFSPIHSTGQRYIYTGSSDQCVYIYDVATGKIVEKLRWHGSIIRDCSWHPYFPTLVSSSWDGYLVRWEATEDDKDPSMLKTGKQRMHPEGYTFSFVF >ORUFI01G18110.2 pep chromosome:OR_W1943:1:14568472:14578061:1 gene:ORUFI01G18110 transcript:ORUFI01G18110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKRKTHHGGSCHQQQSCPSHGNELSAIDEEVSHLTRLKSEPCERTRASLHAGKKRHISTFKLLSGRESNCLGIGRFSSADCSYALRKHLPVKGPWCVDDMDSEAYISQFSADGSLLIGGFRGSHIRIYNAEKKWTIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPIVHMVDIQNGMRESHANITQVHEGLEFSNDDDGFSFAIFSVKFSKDGRELVVGNNNESICIYDIGSNKVTERIHAHSADVNAVTFADEGSDVLYSGSDDSLCKVWDRRCNKRGKQVGVLTGHLDGITFIDSRGDGHYFISNCKDQTIKLWDIRKMSSTVKDCTPKAYEWDYRWMTYPSEARYFKHPYDQSLSTFRGHSVLRTLIRCYFSPIHSTGQRYIYTGSSDQCVYIYDVATGKIVEKLRWHGSIIRDCSWHPYFPTLVSSSWDGYLVRWEATEDDKDPSMLKTGKQRMHPEGYTFSFVF >ORUFI01G18110.3 pep chromosome:OR_W1943:1:14569778:14580044:1 gene:ORUFI01G18110 transcript:ORUFI01G18110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKRKTHHGGSCHQQQSCPSHGNELSAIDEEVSHLTRLKSEPCERTRASLHAGKKRHISTFKLLSGRESNCLGIGRFSSADCSYALRKHLPVKGPWCVDDMDSEAYISQFSADGSLLIGGFRGSHIRIYNAEKKWTIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPIVHMVDIQNGMRESHANITQVHEGLEFSNDDDGFSFAIFSVKFSKDGRELVVGNNNESICIYDIGSNKVTERIHAHSADVNAVTFADEGSDVLYSGSDDSLCKVWDRRCNKRGKQVGVLTGHLDGITFIDSRGDGHYFISNCKDQTIKLWDIRKMSSTVKDCTPKAYEWDYRWMTYPSEARYFKHPYDQSLSTFRGHSVLRTLIRCYFSPIHSTGQRYIYTGSSDQCVYIYDVATGKIVEKLRWHGSIIRDCSWHPYFPTLVSSSWDGYLVRWEATEDDKDPSMLKTGKQRMHPEGYTFSFVF >ORUFI01G18120.1 pep chromosome:OR_W1943:1:14582168:14589644:1 gene:ORUFI01G18120 transcript:ORUFI01G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSDLVAAVAAPFQFHLPPPGAKLATIAGFTSPPRASSASLAEVGRGDQRWCGQEEKPTSCRPCTSSPQAAIDKTAHEPPSTSPLHTAVHEPENRRRFVAYYCTCRSWRRNGGTDGNVPSHAQTEILTGVVRPPGHPRKEKKKKEKKTKKGERYAESSQLLAFAFGFGSAPPLPSRYDELFFVLVYPKLWVSQGILFIVVYTWPCEPAKGSRVYAYTKTADDLPHKHTLSISAMPAYISKSHEELRHEDYQRGDKGGDGLQKIMVNSAPVPPMPMLSSVGAPVNMINSSQPAFSLKSKTNFSTPFSPAATADQQSAQLQFSTQNHYYTSNPFWPAPIGLVRPCSSTGLQSSTPGLNCTGITSFPFLPTKQPSVGTQSSTLFPTTFVHPSVELQKNASTHCASSQTAPCTTHGGILFGTAANTVSLTTSSTEPTTSSLGPTSCPATRHGDAPSTGFACQENVFSNSAAYTSTINADVPTNTIDLLLPNNIRLVRLRFSSTNDGNGSVASEVHCHHDAKTSETPISLCIYPGENQELIIKSMVQPAKSHTGKQSSPTGGHPDDQSGRSKVCNSAAGLPSSGPGENQKGNSSAGHKTPKSPLAAPRCEAIAESVLPRLYSADYYTVPSIVELAVGERDEPGYCSHVKGFTVGRHGYGSVKFDGETDWRRLDIGSIVEFNEREIIVYRDVSNTPPVGQELNKPAEVTLLNVKCVEQKNGLQFTEGPAVDRYKEILVQWTKDHGAEFVSFDAAKGEWKFRVKNFNM >ORUFI01G18130.1 pep chromosome:OR_W1943:1:14601085:14601854:-1 gene:ORUFI01G18130 transcript:ORUFI01G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQEQDKQLVVHLAAATAADQLARMCSAGEPLWVRQRGAEVMAVEEHARMFRCEILLTSMNYQGTAIKYLIIAHTNKPSRRSGNGKDLVDTIESQNHEATDHQKDAKD >ORUFI01G18140.1 pep chromosome:OR_W1943:1:14607825:14609747:1 gene:ORUFI01G18140 transcript:ORUFI01G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPSPLLFLLLLLPFPAAVRSQPAAAPAPQQQCPLNFTALRPFLAQPLPPDDSARCLLAVQSVRLLLALHLAATGSFVLPANSSCLPPLRAELPFALPSPSACGLQGLDALLASPGCANVSTLGDFDAAVPPSSRADMNASCNRDLTPVPDCTSCTTSLSKAAAAYLLPGSPNDGGNNVTGCVQYPFIYAGAAASPRGADDPATANCLYLLKVNSASKASSSVPGWVYGVVFGCVAMVLLVAAAAASWFLVRRRRRRAAAAALAKAAADSRSKRSQAMESISASTTLVQFTYDEIKAATGGFARESIIGRGGFGNVYKGVLPDGAEVAVKRFKNCSAAGDAAFAHEVEVVASVRHVNLVAIRGYCIATTEREGHQRMIVCDLMHNGSLHDHLFGAGECQMTWPVRQRIAIGMARGLAYLHRGAQPAIIHRDIKASNILLDDDFEAMVADFGLAKFAPEGMTHVSTRVAGTLGYVAPEYALYGQLTEKSDVYSFGVVLLELLSGKRAFISLGEGQNFVLSEWAWLLVRRGKTVDVIQEGMVEPGPTEVMEKYVLVAALCTHPQLHARPTMDQVVKILEADSASGPLIIPERPLPVVANLAEIERSASSSGSGQLFSPSGFRSFTHINEDAALESPKEE >ORUFI01G18150.1 pep chromosome:OR_W1943:1:14638040:14638651:-1 gene:ORUFI01G18150 transcript:ORUFI01G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQWQRVEIRRKGWAARDGGGDGAKLTRHNGGADGMRTDQLTSDANRNKSGLINSPTQNVRQCKTPADCRT >ORUFI01G18160.1 pep chromosome:OR_W1943:1:14646383:14646736:1 gene:ORUFI01G18160 transcript:ORUFI01G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVLRPHNVLLPSPAQRRIRATAATHQRTANNHQSDARTKPTTAGSRRRQGRGSSAARKAAARPVAVEVYAGPAFSVSPEPSSVPLPQFPFRKAAVTAAVDDAATRDLRRMLRLE >ORUFI01G18170.1 pep chromosome:OR_W1943:1:14652299:14655027:-1 gene:ORUFI01G18170 transcript:ORUFI01G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNSGYPSKQMKVSLARRQAQNKIQRLYARATNQETEAQPEEVDLPFPPTAGSQEGAPLNQDEPAPPSK >ORUFI01G18180.1 pep chromosome:OR_W1943:1:14661239:14662123:-1 gene:ORUFI01G18180 transcript:ORUFI01G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTHIFLLPLRVQHGGRGASTSGSRDRAGKPLPPPQPQSLFVRSALLYYPHTPSIFVADLPLPCGLPVAVALDMCLRPVNHSRRSGVVPPDVSAEAEGDDLSSASTLASTPSARVASRLLLPPPIAILFYSLSPSSSLLFFIAIAEGGSGVGDGKGRDGVPHLPRREEAAWGDEERRRRGRSVRRSTSGGSGSARKDDGGSGREDRRGGVAAAARRGRAAAAAVGQIARRSSGGGGGLARKGGGGARRSGGGGSVKRRRSE >ORUFI01G18190.1 pep chromosome:OR_W1943:1:14667596:14669655:-1 gene:ORUFI01G18190 transcript:ORUFI01G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGVIPDVMMPSLLADVEVSHLAGFDVTPSPHAEPSPRPQLRHDNPSRSRVPPLERVSRRSEVVFPPLDSPFQAPGYRSVQPVSISLPASPTGFGVPVALPIAVGDAGEPADLRRQAMSNAARDAEEQQLAAQGKGSNNNVRFVQPDKVVFRSQPIPGGKPARRAASNRGGRMMSRDRRYDSFKTWSGKLERQLAHLAGAGPEVPEEEEDGCDGDAISSHHTKSMPQVDRFFAALEGPELDKLRSSEELVLPSDKTWPFLLRFPVSAFGICLGVSSQAILWKTVATSTPTRFLHVTTKVNLVLWCVSLALMCVIAAIYACKVVFFFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPPSVATELPRWLWYALMTPILCMELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPVFFFSVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVACMAWAKITGEFGLGSRVAYFIAMFLYASLAVRINFFRGFRFSLAWWAYTFPMTGAAIASIRYSTEVDNAFTKALCVALSVLAMLTVLALLATTIVHGFVLRNLFPNDISIAITERKVKPIVELHEMLGSNDSAAGRSNDDIEAGVATVESS >ORUFI01G18200.1 pep chromosome:OR_W1943:1:14672798:14672998:1 gene:ORUFI01G18200 transcript:ORUFI01G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGIGRGGHRARKRLGNEEDASEGGGTLGIRSLGEEEVVRREGRAGREVAIAVGRRVSGKEVTVG >ORUFI01G18210.1 pep chromosome:OR_W1943:1:14674701:14680682:-1 gene:ORUFI01G18210 transcript:ORUFI01G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTKREVAPPPCATANSSASSSGPPGVHIPPAAPYPYGGPLFPTPLPSWFPFPPSQAMAGSSAYRPPTDAKTDVQIDLEQWLVATNQDG >ORUFI01G18220.1 pep chromosome:OR_W1943:1:14710095:14710604:1 gene:ORUFI01G18220 transcript:ORUFI01G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGDRGDHRDHGASTRSSTVSRSPPTLRSSLEERSTSSRRCSGTTSLCPTHTPCIASLARAPVLALSATAFLLALPEGRQLHALATKLGLVPSHTIIAKSLLHLYSSGGLSGVALDLFRCIPDRLLVSWNTAVDALVSNGDHLATLNLLLGSFHELDLDPLSASEQG >ORUFI01G18230.1 pep chromosome:OR_W1943:1:14718911:14719522:-1 gene:ORUFI01G18230 transcript:ORUFI01G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCRSSHPTPQCLQWSEPHYSLDIHSTPAFGLCVTLAALLSLTTVDNLLIGFICHLFHIRMLDRTASSIGIQGADVDPNGYAETMGNLKAQGKT >ORUFI01G18240.1 pep chromosome:OR_W1943:1:14721387:14721704:1 gene:ORUFI01G18240 transcript:ORUFI01G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAVFLAGWRHYRYRVLEGSPLTPLVHVTSHGGRAAGWFGKDLNSSRLDLFYWLLACIGVANLVFYVVVANRYSYKTVMAGGKVVDDKAGDIECAAAAAAAY >ORUFI01G18250.1 pep chromosome:OR_W1943:1:14725939:14727467:1 gene:ORUFI01G18250 transcript:ORUFI01G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDAPCGELLPVLPSSSAPPSQPRGHGFLAGELLPVLPSSSSPADYECYVHYTESVAPGMANLIGYCCDSDERLLIAEFMPNDTLAKHLFH >ORUFI01G18250.2 pep chromosome:OR_W1943:1:14725939:14727469:1 gene:ORUFI01G18250 transcript:ORUFI01G18250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDAPCGELLPVLPSSSAPPSQPRGHGFLAGELLPVLPSSSSPADYECYVHYTESVAPGMANLIGYCCDSDERLLIAEFMPNDTLAKHLFH >ORUFI01G18260.1 pep chromosome:OR_W1943:1:14779644:14780981:1 gene:ORUFI01G18260 transcript:ORUFI01G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEKKKKNRKRNLSWRRHCPESPPRAEQRRPSIRHRCASNRTKVPAVAALVLGSAAHPLPLLSSTRKGDARPSTNAACLRRLWGFAGTATCPHIPFPGGLLRRTPPRVV >ORUFI01G18270.1 pep chromosome:OR_W1943:1:14808010:14810524:-1 gene:ORUFI01G18270 transcript:ORUFI01G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWGWAAAAAAAAAWVAVKVLEVLWWRPRRVEEHFARQGITGPRYRFLVGCVREMVALMVAASAKPMPPPYRSHNVLPRVLAFYHHWKKIYGNPPPPPLLLNSILSQKQQPRTRRWQVAVVGERFAPGRYDIDMMAALGSTFLIWFGPTPRLAIADPELIREVLLARADRFDRYESHPMVRQLEGEGLVSLRGDKWAHHRRVLTPAFHMDNLRLLLPCVGMTVLDMADKWRAMAEADKSGEVEIDVSDWFQVVTEDAITRTAFGRSYEDGKVVFKLQAQLMAFASEAFRKVFIPGYRFLPTKKNTSSWKLDKEIRKNLVTLIGRRQEAGDDEKLDGCAKDLLGLMINAAASSNGGKRSALPVSPITVNDIVEECKTFFFAGKQTTSNLLTWAIVVLAMHPEWQERARQEVLDVCGADGVPSREQLAKLKTLGMILNETLRLYPPAVATVRRAKADVELGGYLRIPRDTELLIPIMAVHHDARLWGPDAAQFNPARFAGGVARAARHPAAFIPFGLGARMCIGQNLAILEAKLTVAVILHRFEFRLSARYVHAPTVLMLLHPQYGAPIVFRPRSSSQPTCEKMNPLTSS >ORUFI01G18280.1 pep chromosome:OR_W1943:1:14829303:14831247:-1 gene:ORUFI01G18280 transcript:ORUFI01G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFRIGTASLLLAWILSSLRPTVARIRRRRRREGRIQRPLPLHGSCGRLHSSSFGSHRFSAPLSCRSVGGGDGRGGSGDLFPSRMVPVVSPPPSLSHGSAAGDDGRDGPGGLSYGDDGDGFQEVSMSTTRPSASSGHKSRFTKPKGFCSNGMAGASGYLGSYGGDGGVEFIDNLESTLIGGALTSSTKSLAIFNSIISIVCN >ORUFI01G18290.1 pep chromosome:OR_W1943:1:14831085:14831363:1 gene:ORUFI01G18290 transcript:ORUFI01G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSEAGHRIRGEEEVVGSAPPVAGDGGSAQQWGGETTGSRRGGVKPSQSGNASSKKLNPAAARGKRRHQQQQQRRLGAAGGGMVDLVGNNY >ORUFI01G18300.1 pep chromosome:OR_W1943:1:14846645:14871878:1 gene:ORUFI01G18300 transcript:ORUFI01G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRTGGCAGRCLLPLVLLAVLASLAQVTFHLIWCIEGEGWVVAHSWWAKLVGFARSQPGESPSVIYFLVVQLSAAVLALVEVFGSRLYQDSCCLNFSFGIEQIGRRHANVLLRGSVFRTFSINFFTYGFPDMMIWETIGLWHYSIPGLFLLAQFCLGVFVALCNLVNNSVFVYTTTEGGASSSDDHLIDEKEDTMVLIVATLAWGLRKLSRLSNKSTTKDFMKIGSIVCFCAVHSHGFKMLFALSAVLRHTPSTPVGFTILKAGLNKSVLLSVYNSQNSRNGQADRSTHGLVSKFVKLYPDLGFDPEASLLMNVWQSLAVLVVMQLYSYERRQNSDKNFGVSDASESGLLGFLRRLLIWHKYLFQMLCEPAQMCPGQQFHGLSVFLGLKHYDAGFWGVEYGLRGKVLVIVACTIQYNVFHWLDLMPTSLLHEGKWEEPCQLFISGDTSSNARDNNKDSHSSNRFSSLFSKVQGLIGSSSSSSLSSGSTCQTSEPVQNETSGSDEGKRYSFSKIWGMSKESHKWDKRKIISLRRERFETQKTTFKCYMKFWMENLFKLRGLEINMIVLLLASFTLLNVISIFYITCLVECPGYTVSMTLKFIVANAGKIQGFSLHIAQNVGWITSRSAFVEIVIFLLVSIQSYIFSSGEFDYVSRYLEAEQIGAMVHEQEKKALKKTEQLQHLRRSEEQKRERNMQVERMKSEMYNLQSQLNRMNSFTPINNASHSEGLRHRRNTKLYTDIDTPLQDSGIGSPRKEDKTGSTDSSQSFEFSVEDAQKSLTDLMFRTPCDTPRSPIRGTSEEFKVTDNARNSLGSTSEITEVEENEGKVNHNLLKLQYGRGAVKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPEEPHSSDHPAEDDIYDMVESQRETHDGQLLRTHSVTSGNGTKSSANMPIGVIFRLLSMVYLGALFLYALCVNYGPSYLFWVIVLIYTELNILSQYIYQIVIQHCGLNIHIPLLQRLGFPDDKIKASFVVSILPLFLSSITAKDGEWVPVTEFSFLSARNNVEEKQRMPYNWRDRLKNIHLPVMNLIRMIGRGISRYWLSLTQGAESPPYFVQVTMEVNHWPEDGIQPERIESAINRVLAIAHEERCQANSPSSCHSCSRVRIQSIERSKENSSMALAVLEVVYAAPLDCQSAGWYKSLTPAADVEKEIHESQKAGLFEDVNFPYPVVSVIGGVFFLVAMFYQSVLKNKSEFLEVYQLEDQFPKEFVFILMILFFLIVVDRIIYLWSFATGKVIFYLFNLVLFTYSVTEYAWGMELVHRNVGGFVLRAIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQLEDIYASLFLVKCDAILNRANHQQGEKQTKMTKFCSGICLFFIYSSGNPTNIANPIIDVSVKIDIKALGGRLTFFKTTVCEKIPWKHMRAYDDVDPLDYLGGYNVEDIQLICCQPDASTMWLIPAPVQTRFIQSLEETEMIFGNMELILNWDFLRARPKGKELVKYESPVDRSPSVDDVKRVLNGTINSFRITDAYPRYFRVTGSGEVRRLEASIDSVSGELLLNNGTPPWWSFYDTNPSDLAGCQGLNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLNINGLFEKLEIVAGPVSIQTNKIVHFLDYVTI >ORUFI01G18300.2 pep chromosome:OR_W1943:1:14846645:14871878:1 gene:ORUFI01G18300 transcript:ORUFI01G18300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRTGGCAGRCLLPLVLLAVLASLAQVTFHLIWCIEGEGWVVAHSWWAKLVGFARSQPGESPSVIYFLVVQLSAAVLALVEVFGSRLYQDSCCLNFSFGIEQIGRRHANVLLRGSVFRTFSINFFTYGFPDMMIWETIGLWHYSIPGLFLLAQFCLGVFVALCNLVNNSVFVYTTTEGGASSSDDHLIDEKEDTMVLIVATLAWGLRKLSRLSNKSTTKDFMKIGSIVCFCAVHSHGFKMLFALSAVLRHTPSTPVGFTILKAGLNKSVLLSVYNSQNSRNGQADRSTHGLVSKFVKLYPDLGFDPEASLLMNVWQSLAVLVVMQLYSYERRQNSDKNFGVSDASESGLLGFLRRLLIWHKYLFQMLCEPAQMCPGQQFHGLSVFLGLKHYDAGFWGVEYGLRGKVLVIVACTIQYNVFHWLDLMPTSLLHEGKWEEPCQLFISGDTSSNARDNNKDSHSSNRFSSLFSKVQGLIGSSSSSSLSSGSTCQTSEPVQNETSGSDEGKRYSFSKIWGMSKESHKWDKRKIISLRRERFETQKTTFKCYMKFWMENLFKLRGLEINMIVLLLASFTLLNVISIFYITCLVECPGYTVSMTLKFIVANAGKIQGFSLHIAQNVGWITSRSAFVEIVIFLLVSIQSYIFSSGEFDYVSRYLEAEQIGAMVHEQEKKALKKTEQLQHLRRSEEQKRERNMQVERMKSEMYNLQSQLNRMNSFTPINNASHSEGLRHRRNTKLYTDIDTPLQDSGIGSPRKEDKTGSTDSSQSFEFSVEDAQKSLTDLMFRTPCDTPRSPIRGTSEEFKVTDNARNSLGSTSEITEVEENEGKVNHNLLKLQYGRGAVKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPEEPHSSDHPAEDDIYDMVESQRETHDGQLLRTHSVTSGNGTKSSANMPIGVIFRLLSMVYLGALFLYALCVNYGPSYLFWVIVLIYTELNILSQYIYQIVIQHCGLNIHIPLLQRLGFPDDKIKASFVVSILPLFLSSITAKDGEWVPVTEFSFLSARNNVEEKQRMPYNWRDRLKNIHLPVMNLIRMIGRGISRYWLSLTQGAESPPYFVQVTMEVNHWPEDGIQPERIESAINRVLAIAHEERCQANSPSSCHSCSRVRIQSIERSKENSSMALAVLEVVYAAPLDCQSAGWYKSLTPAADVEKEIHESQKAGLFEDVNFPYPVVSVIGGVFFLVAMFYQSVLKNKSEFLEVYQLEDQFPKEFVFILMILFFLIVVDRIIYLWSFATGKVIFYLFNLVLFTYSVTEYAWGMELVHRNVGGFVLRAIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQIYSSGNPTNIANPIIDVSVKIDIKALGGRLTFFKTTVCEKIPWKHMRAYDDVDPLDYLGGYNVEDIQLICCQPDASTMWLIPAPVQTRFIQSLEETEMIFGNMELILNWDFLRARPKGKELVKYESPVDRSPSVDDVKRVLNGTINSFRITDAYPRYFRVTGSGEVRRLEASIDSVSGELLLNNGTPPWWSFYDTNPSDLAGCQGLNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLNINGLFEKLEIVAGPVSIQTNKIVHFLDYVTI >ORUFI01G18300.3 pep chromosome:OR_W1943:1:14846645:14871878:1 gene:ORUFI01G18300 transcript:ORUFI01G18300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRTGGCAGRCLLPLVLLAVLASLAQVTFHLIWCIEGEGWVVAHSWWAKLVGFARSQPGESPSVIYFLVVQLSAAVLALVEVFGSRLYQDSCCLNFSFGIEQIGRRHANVLLRGSVFRTFSINFFTYGFPDMMIWETIGLWHYSIPGLFLLAQFCLGVFVALCNLVNNSVFVYTTTEGGASSSDDHLIDEKEDTMVLIVATLAWGLRKLSRLVSKFVKLYPDLGFDPEASLLMNVWQSLAVLVVMQLYSYERRQNSDKNFGVSDASESGLLGFLRRLLIWHKYLFQMLCEPAQMCPGQQFHGLSVFLGLKHYDAGFWGVEYGLRGKVLVIVACTIQYNVFHWLDLMPTSLLHEGKWEEPCQLFISGDTSSNARDNNKDSHSSNRFSSLFSKVQGLIGSSSSSSLSSGSTCQTSEPVQNETSGSDEGKRYSFSKIWGMSKESHKWDKRKIISLRRERFETQKTTFKCYMKFWMENLFKLRGLEINMIVLLLASFTLLNVISIFYITCLVECPGYTVSMTLKFIVANAGKIQGFSLHIAQNVGWITSRSAFVEIVIFLLVSIQSYIFSSGEFDYVSRYLEAEQIGAMVHEQEKKALKKTEQLQHLRRSEEQKRERNMQVERMKSEMYNLQSQLNRMNSFTPINNASHSEGLRHRRNTKLYTDIDTPLQDSGIGSPRKEDKTGSTDSSQSFEFSVEDAQKSLTDLMFRTPCDTPRSPIRGTSEEFKVTDNARNSLGSTSEITEVEENEGKVNHNLLKLQYGRGAVKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPEEPHSSDHPAEDDIYDMVESQRETHDGQLLRTHSVTSGNGTKSSANMPIGVIFRLLSMVYLGALFLYALCVNYGPSYLFWVIVLIYTELNILSQYIYQIVIQHCGLNIHIPLLQRLGFPDDKIKASFVVSILPLFLSSITAKDGEWVPVTEFSFLSARNNVEEKQRMPYNWRDRLKNIHLPVMNLIRMIGRGISRYWLSLTQGAESPPYFVQVTMEVNHWPEDGIQPERIESAINRVLAIAHEERCQANSPSSCHSCSRVRIQSIERSKENSSMALAVLEVVYAAPLDCQSAGWYKSLTPAADVEKEIHESQKAGLFEDVNFPYPVVSVIGGVFFLVAMFYQSVLKNKSEFLEVYQLEDQFPKEFVFILMILFFLIVVDRIIYLWSFATGKVIFYLFNLVLFTYSVTEYAWGMELVHRNVGGFVLRAIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQLEDIYASLFLVKCDAILNRANHQQGEKQTKMTKFCSGICLFFIYSSGNPTNIANPIIDVSVKIDIKALGGRLTFFKTTVCEKIPWKHMRAYDDVDPLDYLGGYNVEDIQLICCQPDASTMWLIPAPVQTRFIQSLEETEMIFGNMELILNWDFLRARPKGKELVKYESPVDRSPSVDDVKRVLNGTINSFRITDAYPRYFRVTGSGEVRRLEASIDSVSGELLLNNGTPPWWSFYDTNPSDLAGCQGLNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLNINGLFEKLEIVAGPVSIQTNKIVHFLDYVTI >ORUFI01G18300.4 pep chromosome:OR_W1943:1:14846645:14871849:1 gene:ORUFI01G18300 transcript:ORUFI01G18300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRTGGCAGRCLLPLVLLAVLASLAQVTFHLIWCIEGEGWVVAHSWWAKLVGFARSQPGESPSVIYFLVVQLSAAVLALVEVFGSRLYQDSCCLNFSFGIEQIGRRHANVLLRGSVFRTFSINFFTYGFPDMMIWETIGLWHYSIPGLFLLAQFCLGVFVALCNLVNNSVFVYTTTEGGASSSDDHLIDEKEDTMVLIVATLAWGLRKLSRLSNKSTTKDFMKIGSIVCFCAVHSHGFKMLFALSAVLRHTPSTPVGFTILKAGLNKSVLLSVYNSQNSRNGQADRSTHGLVSKFVKLYPDLGFDPEASLLMNVWQSLAVLVVMQLYSYERRQNSDKNFGVSDASESGLLGFLRRLLIWHKYLFQMLCEPAQMCPGQQFHGLSVFLGLKHYDAGFWGVEYGLRGKVLVIVACTIQYNVFHWLDLMPTSLLHEGKWEEPCQLFISGDTSSNARDNNKDSHSSNRFSSLFSKVQGLIGSSSSSSLSSGSTCQTSEPVQNETSGSDEGKRYSFSKIWGMSKESHKWDKRKIISLRRERFETQKTTFKCYMKFWMENLFKLRGLEINMIVLLLASFTLLNVISIFYITCLVECPGYTVSMTLKFIVANAGKIQGFSLHIAQNVGWITSRSAFVEIVIFLLVSIQSYIFSSGEFDYVSRYLEAEQIGAMVHEQEKKALKKTEQLQHLRRSEEQKRERNMQVERMKSEMYNLQSQLNRMNSFTPINNASHSEGLRHRRNTKLYTDIDTPLQDSGIGSPRKEDKTGSTDSSQSFEFSVEDAQKSLTDLMFRTPCDTPRSPIRGTSEEFKVTDNARNSLGSTSEITEVEENEGKVNHNLLKLQYGRGAVKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPEEPHSSDHPAEDDIYDMVESQRETHDGQLLRTHSVTSGNGTKSSANMPIGVIFRLLSMVYLGALFLYALCVNYGPSYLFWVIVLIYTELNILSQYIYQIVIQHCGLNIHIPLLQRLGFPDDKIKASFVVSILPLFLSSITAKDGEWVPVTEFSFLSARNNVEEKQRMPYNWRDRLKNIHLPVMNLIRMIGRGISRYWLSLTQGAESPPYFVQVTMEVNHWPEDGIQPERIESAINRVLAIAHEERCQANSPSSCHSCSRVRIQSIERSKENSSMALAVLEVVYAAPLDCQSAGWYKSLTPAADVEKEIHESQKAGLFEDVNFPYPVVSVIGGVFFLVAMFYQSVLKNKSEFLEVYQLEDQFPKEFVFILMILFFLIVVDRIIYLWSFATGKVIFYLFNLVLFTYSVTEYAWGMELVHRNVGGFVLRAIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQIYSSGNPTNIANPIIDVSVKIDIKALGGRLTFFKTTVCEKIPWKHMRAYDDVDPLDYLGGYNVEDIQLICCQPDASTMWLIPAPVQTRFIQSLEETEMIFGNMELILNWDFLRARPKGKELVKYESPVDRSPSVDDVKRVLNGTINSFRITDAYPRYFRVTGSGEVRRLEASIDSVSGELLLNNGTPPWWSFYDTNPSDLAGCQGLNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLVNIYRSPHMLLEYTKPD >ORUFI01G18310.1 pep chromosome:OR_W1943:1:14874406:14875083:-1 gene:ORUFI01G18310 transcript:ORUFI01G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAVHVQMPAVDTEACCDDEKNPQPAREIISDHVAVRPTPAVDKTLSGASDLLKLLPTGTVLAFQALAPSFSNHGVCHAVANRYLVLALIGACAASCMLLSFTDSLIGHDGKLYYGVATLRGFRPFNFAGTREEHGTVFKDLSRFRITALDFVHAFFSAVVFLAVAFADAAVQTCLFPEAEADMRELLVNLPLGAGFLSSMVFMIFPTTRKSIGYTDMTPHSQ >ORUFI01G18320.1 pep chromosome:OR_W1943:1:14899053:14899826:1 gene:ORUFI01G18320 transcript:ORUFI01G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLETKLIDMPQPQETNGDGEAAPEADISMATTLMPNGVGERKATAAPALPAARPPPEMTDKVMASTANLAQLLPTGTALAYQALSTSFTNHGQCYRSNRWLTAGLVAVLTASSIFFSLTDSVVGRGGKLYYGMATPRGFNVFNLSREEEEAQELSRTKLRELRVRPLDIVHAFFTAVVFLTVAFSDVGLTKCFFPDAGNDTKELLKNLPLGMAFMSTFVFLLFPTKRKGIGYTDTTPRPAPETNKPTQVSDHMP >ORUFI01G18330.1 pep chromosome:OR_W1943:1:14919913:14920635:1 gene:ORUFI01G18330 transcript:ORUFI01G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPGAIVINMASNTNGESTAVTTNNLAPSTPKAVDESTTSSKTDVATKTVTDKVMASTANLAQLLPTGTVLAYQALSPSFTNHGECNAANKWLTAVLVGVLAGLSLFFSFTDSVVGQDGKLYYGVATRRGLNVFNMSREEEEAKKLSHSELRLRPLDFVHSFFTAMVFLTVAFSDVGLQNCFFGQNPGGNTKELLKNLPLGMAFLSSFVFLIFPTKRKGIGYNDNTPNRKAEDVTKN >ORUFI01G18340.1 pep chromosome:OR_W1943:1:14931111:14931691:1 gene:ORUFI01G18340 transcript:ORUFI01G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVEKSNSDSNKNENVSHRKCCATKENPRNDLTFMNTGCKLTGTTNLKRLSRRLLCFGKGHGYTA >ORUFI01G18350.1 pep chromosome:OR_W1943:1:14959157:14963424:-1 gene:ORUFI01G18350 transcript:ORUFI01G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTPAVLPQWAIGYAELGGGGSSSSAAMEEVKALMFAAGVDAAESSHIGHDPRLQSWSVEELVQADLVLFSSSSVQVLNNR >ORUFI01G18360.1 pep chromosome:OR_W1943:1:14964085:14983894:1 gene:ORUFI01G18360 transcript:ORUFI01G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLDLPSHCLLPRLRRPTSGRLLLHLRLKPLSSSSYTTTTTTTTSSSTSSSRAPQVPPSLHGPSLRRGRRLPGETDGLLSFARIFDLAALRVPAAACAPLERRLRGHLLNWPRVRNVVRQPGDDGGLLFPVPHPSLATPSSLPTAVARREKLAREFNARGFVQFPNLANMSRPPARKRKGKKDEGGGEAAATARDTKDKVYVVEVIGERKEEHGDEWMGLVGEEGFGRITWRGGPTRLLLLDENYANKRVDDLPEAVKVVLDHETNKDGSSSYELVQCQLTLFYNYWPMNEILEEILPEGIIVPTGFETVGHIAHLNLRDDHLPYKKLIAQVVLDKNKPKIQTVVNKIDAIQNDYRTMQLEVLAGIDSLVTTVIESGLRFQVDLSTVYWNSRLSTERQRLVDHVFKNSDVVCDVFSGVGPIAISAARKVKYVYANDLNPTAVEYLERNIVLNKLERKIEVFNMDARRFISSIYSSQHVHPVTQVVMNLPNDAAEFLDVFRGISWNHHLAVPSCVMPNIHVYGFSKAEDPEYDFHERINLTLGENVSDVEMHRVRLVAPGKWMLCASFTLPVSVAFAKPNYIALPLNDTFRSVKIDISRMTTRAGAPLAVFLVSSLKSAAARLSHGEQLHALAAKSGLLTSNLFVRNSLLAFYSRVAPSLAYHLFDEIPPLLRDATAHNILLSALARAGRLERAQCLLAEMPQRDAVSFTTVISALSRSGHPERALAVFRDMLTEAVQPNEVTLAEVLTAMACDHGAPAPVGAAHGVAVRRGLDGFVIVATNLVHAYGAVSQVPSARSIFELMPDRNTVTWNTMLNCYVKAGMINMAAEVFGVIPERDEALRTYVAMVGTVGIRANEVILVGLVKACSRHSAVSEGQQLHTVILKNGFDAHAFVQATLIHYYGSCDFIDHAQMQFKLSDKSHVASWNALMASLLRRNLVHEARQLFDDMPERDTISWSTLISGYVQSGNSNMALQIFCSMLDAGVEPNEITLASALSAVANSGTLGQARWIHDYIISRSIQLTDKLSAGLINVYAKCGSIAEAVQLFNHVKDKSISVSPWNSIICNLAIHGYVNMSLELFSQLQSTTHIKPNSITYLGVLNACCHAGMVAEGKRQFESMRQQYGIQPEIKHYGCMVDLLCRAGYLEEAELLIKTMPMKADVVAWGCILAAARTQGNVALGEKAAEELSKLDPSHGASKIALSNLYADAGHWSNVSVVRKELQNENLERLTGSRRHQNLVFHYYGKESTETEQGAELILHAIMVIPSSCSLFLVP >ORUFI01G18360.2 pep chromosome:OR_W1943:1:14964085:14983894:1 gene:ORUFI01G18360 transcript:ORUFI01G18360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLDLPSHCLLPRLRRPTSGRLLLHLRLKPLSSSSYTTTTTTTTSSSTSSSRAPQVPPSLHGPSLRRGRRLPGETDGLLSFARIFDLAALRVPAAACAPLERRLRGHLLNWPRVRNVVRQPGDDGGLLFPVPHPSLATPSSLPTAVARREKLAREFNARGFVQFPNLANMSRPPARKRKGKKDEGGGEAAATARDTKDKVYVVEVIGERKEEHGDEWMGLVGEEGFGRITWRGGPTRLLLLDENYANKRVDDLPEAVKVVLDHETNKDGSSSYELVQCQLTLFYNYWPMNEILEEILPEGIIVPTGFETVGHIAHLNLRDDHLPYKKLIAQVVLDKNKPKIQTVVNKIDAIQNDYRTMQLEVLAGIDSLVTTVIESGLRFQVDLSTVCIYSAWLLTSNRSGYRSLAGDVFSGVGPIAISAARKVKYVYANDLNPTAVEYLERNIVLNKLERKIEVFNMDARRFISSIYSSQHVHPVTQVVMNLPNDAAEFLDVFRGISWNHHLAVPSCVMPNIHVYGFSKAEDPEYDFHERINLTLGENVSDVEMHRVRLVAPGKWMLCASFTLPVSVAFAKPNYIALPLNDTFRSVKIDISRMTTRAGAPLAVFLVSSLKSAAARLSHGEQLHALAAKSGLLTSNLFVRNSLLAFYSRVAPSLAYHLFDEIPPLLRDATAHNILLSALARAGRLERAQCLLAEMPQRDAVSFTTVISALSRSGHPERALAVFRDMLTEAVQPNEVTLAEVLTAMACDHGAPAPVGAAHGVAVRRGLDGFVIVATNLVHAYGAVSQVPSARSIFELMPDRNTVTWNTMLNCYVKAGMINMAAEVFGVIPERDEALRTYVAMVGTVGIRANEVILVGLVKACSRHSAVSEGQQLHTVILKNGFDAHAFVQATLIHYYGSCDFIDHAQMQFKLSDKSHVASWNALMASLLRRNLVHEARQLFDDMPERDTISWSTLISGYVQSGNSNMALQIFCSMLDAGVEPNEITLASALSAVANSGTLGQARWIHDYIISRSIQLTDKLSAGLINVYAKCGSIAEAVQLFNHVKDKSISVSPWNSIICNLAIHGYVNMSLELFSQLQSTTHIKPNSITYLGVLNACCHAGMVAEGKRQFESMRQQYGIQPEIKHYGCMVDLLCRAGYLEEAELLIKTMPMKADVVAWGCILAAARTQGNVALGEKAAEELSKLDPSHGASKIALSNLYADAGHWSNVSVVRKELQNENLERLTGSRRHQNLVFHYYGKESTETEQGAELILHAIMVIPSSCSLFLVP >ORUFI01G18360.3 pep chromosome:OR_W1943:1:14964085:14983894:1 gene:ORUFI01G18360 transcript:ORUFI01G18360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLDLPSHCLLPRLRRPTSGRLLLHLRLKPLSSSSYTTTTTTTTSSSTSSSRAPQVPPSLHGPSLRRGRRLPGETDGLLSFARIFDLAALRVPAAACAPLERRLRGHLLNWPRVRNVVRQPGDDGGLLFPVPHPSLATPSSLPTAVARREKLAREFNARGFVQFPNLANMSRPPARKRKGKKDEGGGEAAATARDTKDKVYVVEVIGERKEEHGDEWMGLVGEEGFGRITWRGGPTRLLLLDENYANKRVDDLPEAVKVVLDHETNKDGSSSYELVQCQLTLFYNYWPMNEILEEILPEGIIVPTGFETVGHIAHLNLRDDHLPYKKLIAQVVLDKNKPKIQTVVNKIDAIQNDYRTMQLEVLAGIDSLVTTVIESGDVFSGVGPIAISAARKVKYVYANDLNPTAVEYLERNIVLNKLERKIEVFNMDARRFISSIYSSQHVHPVTQVVMNLPNDAAEFLDVFRGISWNHHLAVPSCVMPNIHVYGFSKAEDPEYDFHERINLTLGENVSDVEMHRVRLVAPGKWMLCASFTLPVSVAFAKPNYIALPLNDTFRSVKIDISRMTTRAGAPLAVFLVSSLKSAAARLSHGEQLHALAAKSGLLTSNLFVRNSLLAFYSRVAPSLAYHLFDEIPPLLRDATAHNILLSALARAGRLERAQCLLAEMPQRDAVSFTTVISALSRSGHPERALAVFRDMLTEAVQPNEVTLAEVLTAMACDHGAPAPVGAAHGVAVRRGLDGFVIVATNLVHAYGAVSQVPSARSIFELMPDRNTVTWNTMLNCYVKAGMINMAAEVFGVIPERDEALRTYVAMVGTVGIRANEVILVGLVKACSRHSAVSEGQQLHTVILKNGFDAHAFVQATLIHYYGSCDFIDHAQMQFKLSDKSHVASWNALMASLLRRNLVHEARQLFDDMPERDTISWSTLISGYVQSGNSNMALQIFCSMLDAGVEPNEITLASALSAVANSGTLGQARWIHDYIISRSIQLTDKLSAGLINVYAKCGSIAEAVQLFNHVKDKSISVSPWNSIICNLAIHGYVNMSLELFSQLQSTTHIKPNSITYLGVLNACCHAGMVAEGKRQFESMRQQYGIQPEIKHYGCMVDLLCRAGYLEEAELLIKTMPMKADVVAWGCILAAARTQGNVALGEKAAEELSKLDPSHGASKIALSNLYADAGHWSNVSVVRKELQNENLERLTGSRRHQNLVFHYYGKESTETEQGAELILHAIMVIPSSCSLFLVP >ORUFI01G18360.4 pep chromosome:OR_W1943:1:14964085:14981300:1 gene:ORUFI01G18360 transcript:ORUFI01G18360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLDLPSHCLLPRLRRPTSGRLLLHLRLKPLSSSSYTTTTTTTTSSSTSSSRAPQVPPSLHGPSLRRGRRLPGETDGLLSFARIFDLAALRVPAAACAPLERRLRGHLLNWPRVRNVVRQPGDDGGLLFPVPHPSLATPSSLPTAVARREKLAREFNARGFVQFPNLANMSRPPARKRKGKKDEGGGEAAATARDTKDKVYVVEVIGERKEEHGDEWMGLVGEEGFGRITWRGGPTRLLLLDENYANKRVDDLPEAVKVVLDHETNKDGSSSYELVQCQLTLFYNYWPMNEILEEILPEGIIVPTGFETVGHIAHLNLRDDHLPYKKLIAQVVLDKNKPKIQTVVNKIDAIQNDYRTMQLEVLAGIDSLVTTVIESGLRFQVDLSTVCIYSAWLLTSNRSGYRSLAGDVFSGVGPIAISAARKVKYVYANDLNPTAVEYLERNIVLNKLERKIEVFNMDARRFISSIYSSQHVHPVTQVVMNLPNDAAEFLDVFRGISWNHHLAVPSCVMPNIHVYGFSKAEDPEYDFHERINLTLGENVSDVEMHRVRLVAPGKWMLCASFTLPVSVAFAKPNYIALPLNDTFRSVKIDISRMTTRAGAPLAVFLVSSLKSAAARLSHGEQLHALAAKSGLLTSNLFVRNSLLAFYSRVAPSLAYHLFDEIPPLLRDATAHNILLSALARAGRLERAQCLLAEMPQRDAVSFTTVISALSRSGHPERALAVFRDMLTEAVQPNEVTLAEVLTAMACDHGAPAPVGAAHGVAVRRGLDGFVIVATNLVHAYGAVSQVPSARSIFELMPDRNTVTWNTMLNCYVKAGMINMAAEVFGVIPERDEALRTYVAMVGTVGIRANEVILVGLVKACSRHSAVSEGQQLHTVILKNGFDAHAFVQATLIHYYGSCDFIDHAQMQFKLSDKSHVASWNALMASLLRRNLVHEARQLFDDMPERDTISWSTLISGYVQSGNSNMALQIFCSMLDAGVEPNEITLASALSAVANSGTLGQARWIHDYIISRSIQLTDKLSAGLINVYAKCGSIAEAVQLFNHVKDKSISVSPWNSIICNLAIHGYVNMSLELFSQLQSTTHIKPNSITYLGVLNACCHAGMVAEGKRQFESMRQQYGIQPEIKHYGCMVDLLCRAGYLEEAELLIKTMPMKADVVAWGCILAAARTQGNVALGEKAAEELSKLDPSHGASKIALSNLYADAGHWSNVSVVRKELQNENLERLTGSSGILQL >ORUFI01G18370.1 pep chromosome:OR_W1943:1:14981036:14996619:-1 gene:ORUFI01G18370 transcript:ORUFI01G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHQMSLENALSLVRSKRPQVAPNEGFMSQLENFEKSMQVKIGLRSSSTSGLGSRRMSVGWCEADSAGDGSATTKGGANGFRRPSTCGGGARRSRGERCGMATPWPSSRRFGALAIVGDSGQFIEWRSVQSVLGFDFANKLQGYNPRLPHQLSLASS >ORUFI01G18380.1 pep chromosome:OR_W1943:1:14999457:15002362:-1 gene:ORUFI01G18380 transcript:ORUFI01G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPEAGRSERDGREQDDDYEQQQARVLMALMQGFCAARYRKADNIPCPIVQGLYLGSVGAAMNKDALKSLNITHILIVARSLNPAFAAEFNYKKIEVLDSPDIDLAKHFDECFSFIDESISSGGNVLVHCFAGRSRR >ORUFI01G18390.1 pep chromosome:OR_W1943:1:15063268:15071627:1 gene:ORUFI01G18390 transcript:ORUFI01G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXRLPLAPLPPPTQPLSSRHRLQAPLLPHPPRVVAIIVPVALRRWRHCVAVSDRRHRVQPSPPPASVNDEVGAPTAASQAARKAEGPILAAPDLLLISTRTPQPTTHRRRHGRRRRPHCRRRQQTALRSHFTTSSSRGIHRTPHQCLPLPSHLCPLGHR >ORUFI01G18390.10 pep chromosome:OR_W1943:1:15063268:15067146:1 gene:ORUFI01G18390 transcript:ORUFI01G18390.10 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXRLPLAPLPPPTQPLSSRHRLQAPLLPHPPRVVAIIVPVALRRWRHCVAVSDRRHRVQPSPPPASVNDEVGAPTAASQAARKAEGPILAAPDLLLISTRTPQPTTHRRRHGRRRRPHCRRRQQTALRSHFTTSSSRGIHRTPHQCLPLPSHLCPLGHR >ORUFI01G18390.2 pep chromosome:OR_W1943:1:15063268:15071627:1 gene:ORUFI01G18390 transcript:ORUFI01G18390.2 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXRLPLAPLPPPTQPLSSRHRLQAPLLPHPPRVVAIIVPVALRRWRHCVAVSDRRHRVQPSPPPASVNDEVGAPTAASQAARKAEGPILAAPDLLLISTRTPQPTTHRRRHGRRRRPHCRRRQQTALRSHFTTSSSRGIHRTPHQCLPLPSHLCPLGHR >ORUFI01G18390.3 pep chromosome:OR_W1943:1:15063268:15071627:1 gene:ORUFI01G18390 transcript:ORUFI01G18390.3 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXRLPLAPLPPPTQPLSSRHRLQAPLLPHPPRVVAIIVPVALRRWRHCVAVSDRRHRVQPSPPPASVNDEVGAPTAASQAARKAEGPILAAPDLLLISTRTPQPTTHRRRHGRRRRPHCRRRQQTALRSHFTTSSSRGIHRTPHQCLPLPSHLCPLGHR >ORUFI01G18390.4 pep chromosome:OR_W1943:1:15063268:15071627:1 gene:ORUFI01G18390 transcript:ORUFI01G18390.4 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXRLPLAPLPPPTQPLSSRHRLQAPLLPHPPRVVAIIVPVALRRWRHCVAVSDRRHRVQPSPPPASVNDEVGAPTAASQAARKAEGPILAAPDLLLISTRTPQPTTHRRRHGRRRRPHCRRRQQTALRSHFTTSSSRGIHRTPHQCLPLPSHLCPLGHR >ORUFI01G18390.5 pep chromosome:OR_W1943:1:15063268:15071120:1 gene:ORUFI01G18390 transcript:ORUFI01G18390.5 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXRLPLAPLPPPTQPLSSRHRLQAPLLPHPPRVVAIIVPVALRRWRHCVAVSDRRHRVQPSPPPASVNDEVGAPTAASQAARKAEGPILAAPDLLLISTRTPQPTTHRRRHGRRRRPHCRRRQQTALRSHFTTSSSRGIHRTPHQCLPLPSHLCPLGHR >ORUFI01G18390.6 pep chromosome:OR_W1943:1:15063268:15071627:1 gene:ORUFI01G18390 transcript:ORUFI01G18390.6 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXRLPLAPLPPPTQPLSSRHRLQAPLLPHPPRVVAIIVPVALRRWRHCVAVSDRRHRVQPSPPPASVNDEVGAPTAASQAARKAEGPILAAPDLLLISTRTPQPTTHRRRHGRRRRPHCRRRQQTALRSHFTTSSSRGIHRTPHQCLPLPSHLCPLGHR >ORUFI01G18390.7 pep chromosome:OR_W1943:1:15063268:15071627:1 gene:ORUFI01G18390 transcript:ORUFI01G18390.7 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXRLPLAPLPPPTQPLSSRHRLQAPLLPHPPRVVAIIVPVALRRWRHCVAVSDRRHRVQPSPPPASVNDEVGAPTAASQAARKAEGPILAAPDLLLISTRTPQPTTHRRRHGRRRRPHCRRRQQTALRSHFTTSSSRGIHRTPHQCLPLPSHLCPLGHR >ORUFI01G18390.8 pep chromosome:OR_W1943:1:15063268:15071120:1 gene:ORUFI01G18390 transcript:ORUFI01G18390.8 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXRLPLAPLPPPTQPLSSRHRLQAPLLPHPPRVVAIIVPVALRRWRHCVAVSDRRHRVQPSPPPASVNDEVGAPTAASQAARKAEGPILAAPDLLLISTRTPQPTTHRRRHGRRRRPHCRRRQQTALRSHFTTSSSRGIHRTPHQCLPLPSHLCPLGHR >ORUFI01G18390.9 pep chromosome:OR_W1943:1:15063268:15071627:1 gene:ORUFI01G18390 transcript:ORUFI01G18390.9 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXRLPLAPLPPPTQPLSSRHRLQAPLLPHPPRVVAIIVPVALRRWRHCVAVSDRRHRVQPSPPPASVNDEVGAPTAASQAARKAEGPILAAPDLLLISTRTPQPTTHRRRHGRRRRPHCRRRQQTALRSHFTTSSSRGIHRTPHQCLPLPSHLCPLGHR >ORUFI01G18400.1 pep chromosome:OR_W1943:1:15102514:15103291:-1 gene:ORUFI01G18400 transcript:ORUFI01G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSAPPLRPSHRSALPGQFALAAPDVRQGARLHAPISPSAGVAGLVLTKPIPSATDRSKNFVLMGAWTGGGAAVSSL >ORUFI01G18400.2 pep chromosome:OR_W1943:1:15101799:15103291:-1 gene:ORUFI01G18400 transcript:ORUFI01G18400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSAPPLRPSHRSALPGQFALAAPDVRQGARLHAPISPSAGVAGLVLTKPIPSATDRRSKGSNNLLLSRTTPTSVSHCPHTAPSIARPRHSSFVPPSPLSQRRRRALGGSSAALPPHQSRPRQLLRRTAATSVVNPGASSAALLPPPS >ORUFI01G18410.1 pep chromosome:OR_W1943:1:15103179:15103619:1 gene:ORUFI01G18410 transcript:ORUFI01G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWRRAPWRTSGAARANWPGSADRCDGRRGGADEIVIGGGTDRCNGWRDGGDRCDGQRLGGGDCDSGADQCDGRRGTSSRMARQRECYWKGRGGDGGVICGAMRWGDAAVVEGRGRCAREGGIWEGGRDLGDVGCNSDDFRLLDV >ORUFI01G18420.1 pep chromosome:OR_W1943:1:15119135:15124020:1 gene:ORUFI01G18420 transcript:ORUFI01G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASSPPLAPPATIPSSTSALRHLLPLSGLRFRRLPAAVGRGYRSRPGFRYRAAAGPSPPSSEPPPRSPHGQTSRLQYHENVGKRGYQDYRIGYAYSLQFCSGWHCFSGEVLGMGITIQEAQSANDSVRNREDPLSKFTGNTKVGAAPCQSSSDTPKEAGSMVGTSACTLHSTATKT >ORUFI01G18420.2 pep chromosome:OR_W1943:1:15119170:15124020:1 gene:ORUFI01G18420 transcript:ORUFI01G18420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASSPPLAPPATIPSSTSALRHLLPLSGLRFRRLPAAVGRGYRSRPGFRYRAAAGPSPPSSEPPPRSPHGWQERLSRLQDRIRIFFAVLFWMALFFWGSAWDGNNNSGGTKRQRFRNTKVGAAPCQSSSDTPKEAGSMVGTSACTLHSTATKT >ORUFI01G18430.1 pep chromosome:OR_W1943:1:15148494:15154784:1 gene:ORUFI01G18430 transcript:ORUFI01G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLHPKLSPHKNPIPLCLFPSSAFHRTPCDHSLTTARDHHCEALWPELEVGGSGGGAALPPSPLGRLDAKERRRTPSGAINAVCLCSATWNFVVPPFLGLGMHELTISNTELDHLFDGMQQKEDTFSQIALAPTLLGGREYYTIHMHLLGAIKRCNFIQHTVTTIRLNLVLHDGAFANLNL >ORUFI01G18440.1 pep chromosome:OR_W1943:1:15160177:15167038:1 gene:ORUFI01G18440 transcript:ORUFI01G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFFFSGRLGGGSIWRPSLPGSKRSVRVTTALQARVVNAVQLLGGHKTTRRIIGQEWKRRGEPNITHKEKLVRNGAWRGSTSRYSFCYHLEEADLHQCHQILTCTHLKKKFYFHFQDYVDLIIWKVSRSSEQNLAFFAVYNMETTDIVSLYQNSSEELYSLFEYFYDHFHTNPQNSSHGNFISSHSNNVHALDQLRTIKNKASSSSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDKPNVVKFKIKPGSDSGASDSRAKRISSFLFHPFFPLALSIQQTYMQPTVVNLHFRR >ORUFI01G18440.2 pep chromosome:OR_W1943:1:15160189:15160741:1 gene:ORUFI01G18440 transcript:ORUFI01G18440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEGCSSWRCCRRRVGRNLLVFWTAACFPSASEYCLHLPLLQSSPAQFHRRPSPFPPRPSATASSFSTLLSPATPPPPYLLTSSLHLADASWGRPDPAFLSSKPPDPSPS >ORUFI01G18450.1 pep chromosome:OR_W1943:1:15168970:15170151:-1 gene:ORUFI01G18450 transcript:ORUFI01G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKSNPMNPCWTLDVQCAGARPRPPLAHVGRLPTRGRATPPPASPRAAARLHARGRAARLPTPTARLPTLLPAAASPFTAVAPPPAAGRLMEDGIEGRRRKERGGEEEGEEGRKRGKGRRKRIGPEKRKRGDVPILSKYLSGT >ORUFI01G18460.1 pep chromosome:OR_W1943:1:15170580:15173461:1 gene:ORUFI01G18460 transcript:ORUFI01G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVILRLTVLICKKCFSITMKTLCTEELYKGELHDVFLSLQEAAQPLAMKMKALLARMLYSMLSQEMMSQ >ORUFI01G18460.2 pep chromosome:OR_W1943:1:15170580:15173463:1 gene:ORUFI01G18460 transcript:ORUFI01G18460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVILRLTVLICKKCFSITMKTLCTEELYKGELHDVFLSLQEAAQPLAMKMKALLARMLYSMLSQEMMSQ >ORUFI01G18470.1 pep chromosome:OR_W1943:1:15175237:15176338:-1 gene:ORUFI01G18470 transcript:ORUFI01G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQQQQVERQPDLPPGFRFHPTDEEIITFYLAPKVVDSRGFCVAAIGEVDLNKCEPWDLPGKAKMNGEKEWYFYCQKDRKYPTGMRTNRATEAGYWKATGKDKEIFRNHHMLIGMKKTLVFYKGRAPKGDKTNWVMHEYRLADASPPQPPPPPSSAEPPRQDDWAVCRIFHKSSGIKKPVQVPMQMPMQMQMPVAHQVPAANYQQQMAMASASIIQVPMQMQMPSMSDQLQMLDDFSTGSLMAPPPPPPSYSTLPGFPLQINGGAQQFVGNPSMYYQQQQQQQQQQMDMAAGGFVVSEPSSLVVSPQDAADQNNAADISSVACNMDATIWKY >ORUFI01G18480.1 pep chromosome:OR_W1943:1:15183794:15184618:-1 gene:ORUFI01G18480 transcript:ORUFI01G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTTTQQQQTTRSSKEAGGGAAARSRGVSSKKSPWYQRAVEVLLLIWKQPTGAGTGTGTTTKAAAAAGVTGGKAAASGMGARLRKSSSLNVAALFTRVCLCAPISMYNEQALYFQAGDVTPHRSYSYPRASSASASGCGGGGNSNPLVAPPPAAEQRALMRTPGPGGESARRPVFRGKSLTDDILMRRVVGDEAEQHARSLRLFHIDRCGRPAQPSARPARKSTRASWRNPAKPTCFPRAAYD >ORUFI01G18490.1 pep chromosome:OR_W1943:1:15185394:15187394:1 gene:ORUFI01G18490 transcript:ORUFI01G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVRSSVVLRMCDKIGNFVHLLVTFLSSFAVAFAQQNSCVMKSTYSSNVPENVAAGMAMGTVIVLLFCGYSLGISYDAKLILEKGYTCTGAQVMNVIFVVLIGLLV >ORUFI01G18500.1 pep chromosome:OR_W1943:1:15196423:15196695:-1 gene:ORUFI01G18500 transcript:ORUFI01G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVIVVPWEQGQLGSANGAGTPCSAEACNTSRYSSAQEDHASSPYSWSSTVEQLHAVLHLGMNTEMSKLTNYVSINHENHKKKTTLQI >ORUFI01G18510.1 pep chromosome:OR_W1943:1:15326977:15327306:1 gene:ORUFI01G18510 transcript:ORUFI01G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGYALLSSVLLVLLALSIITLVPRMPSPQSFFRSPPPRLINSRVPHPSDASDCIFSDGKWVRDAAAVTAYREDCPFLDPGFQCISNGRSNSSFRYWRWQPHGCQLPK >ORUFI01G18520.1 pep chromosome:OR_W1943:1:15327831:15328040:1 gene:ORUFI01G18520 transcript:ORUFI01G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFADYNLSVEYYRAPMLVMIDRFPASSGAVRGAVRLDMLPRHANRWAGADVLVFNTGHWWNEHKTIKS >ORUFI01G18530.1 pep chromosome:OR_W1943:1:15384399:15386440:-1 gene:ORUFI01G18530 transcript:ORUFI01G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVTIAPDLELLFRPVWVSSLKTNNSIEFATLENIALKIPLDSQELKWTKKATLKLPKDTFHKASHSLGWTCGRGFGQVDMIRNGGTRESNDRLALLRPNKCPTARMDQYPTRQECVWPVGP >ORUFI01G18540.1 pep chromosome:OR_W1943:1:15414246:15418135:1 gene:ORUFI01G18540 transcript:ORUFI01G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHLTSRSLLVQAQYPISRLPSNLRLSLSHHKQPAAVAKRRRAPAPSHPAFSSVIRGRPKKVPIPENGEPAAGVRVTERGLAYHLDGAPFEFQYSYTETPRARPVALREAPFLPFGPEVTPRPWTGRKPLPKSRKELPEFDSFMLPPPGKKGVKPVQSPGPFLAGTEPRYQAASREEVLGEPLTKEEVDELVKATLKTKRQLNIGRDGLTHNMLENIHSHWKRKRVCKIKCKGVCTVDMDNVCQQLEEKVGGKVIHHQGGVIFLFRGRNYNYRTRPIYPLMLWKPAAPVYPRLVKKIPDGLTPDEAEDMRKRGRQLPPICKLAKSNVQNRKYLREVDVEHETTTFICQKHLKCGITVEPMLLLLLSVFVVIVYIPFFLKIFKGKNGVYLNLVKQVREAFEACDLVRVDCSGLNKSDCRKIGAKLKDLVPCTLLSFEFEHILMWRGNDWKSSLPPLEENDFKVASDQILNSKEAGSGSALTPIELVNNATSLKKCNLIEGAEKLEDSMKSSFENGMILGSACGNPGVCNSEGIDGTESSADAPIEFSPSNSARDLDPSQTSTLYCQSSLLDKSENGELIEMYPDRCGNSEQSPDVPEALTCLMGSSDEIHELETMRRNCKHLNGSDGVNSDSIVPSYMEGILLLFKQAIDSGMALVLNENEFADANYVYQKSVAFTKTAPRYLVLRHTPRKSHGTQKTEPAKNVRINKHLEEHKVSDHVKKKEIVMGGSRMQRNDHAREFLSDVVPQGTLRVDELAKLLA >ORUFI01G18550.1 pep chromosome:OR_W1943:1:15429309:15430895:-1 gene:ORUFI01G18550 transcript:ORUFI01G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHVLKDNQLSLVLAKAMRDQKTTLQPVRQLMALSNSSSNSSVLPLAPSAVATHSDSNNIVTKVMSSLLCWEHAQREECTSKIHQQSLQDYRISTSRADEARKSVIYFSYGETAQFFSSDCNQCLKHQTSMWLSTSAMHLILLQFRGTTTNSRNPHDVSRKLAEIVPYMGKKYPRIRFRNV >ORUFI01G18560.1 pep chromosome:OR_W1943:1:15456934:15457389:1 gene:ORUFI01G18560 transcript:ORUFI01G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCGVAASPASCGWWIQRSEATDKGRESEGSFPAPTLVDDADCSVSRPLGVSAVAVLHLPLLHVCRAASRTAVPHLFQLKLNPAAPPHARLAVELRRLTPPMAYRVASLIAHRLPEQQCCLRRLLLPSQGVGFKGIRDFWSGVERLFCIL >ORUFI01G18570.1 pep chromosome:OR_W1943:1:15459122:15462649:-1 gene:ORUFI01G18570 transcript:ORUFI01G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSLTRPPPPPFVWNGRSLDLSPNTTGLPPPPLSTTPISLCSRPASMHDTGLSARAAGASSSSSPYAGASSATSVGDDDDESKIRKANPAFVAAAYARLHSSHRAAASFLLLLAVAATAFLIGRARPRADCPPPRLDARFLALPDAAAASDFGALGIVEWTSKDLLRGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFVARWLKPDLMIESGAFKGHSTWVLRQAMPNTKIISLSPRHPEKYLKKGPAYVDGNCTYLAGKDFIDFGSVDWGKLLRNHGISDLSRVLVFFDDHQSELKRLKQASIVGFRHIIFEDNYDTGTGDHYSLRQICDQEHIRGGGHSCFWDSDEARLRSRRKSFWEKAVETDELCGKDDAWWGVRGYMRDNFNHSNKAISYKEHFQNSRLVESVLDLYWELPPVAGPSLTHQTRYDPARASDPIIEDGRFGMFQRIGLARLDASVFNGYTQMSYVQISGSMLSREDA >ORUFI01G18580.1 pep chromosome:OR_W1943:1:15501714:15506419:-1 gene:ORUFI01G18580 transcript:ORUFI01G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVVPPVTPSPAEDADALLKAFQGWGTDEQAVIGVLAHRDATQRKQIRLTYEENYNENLIQRLQSELSGDLERAMYHWVLDPVERQAVMVNTATKCIHEDYAVIVEIACTNSSSELLAVKRTYHVLYKCSLEEDVAARATGNLRSLLLALVSTYRYDGDEVNDALAKSEAKILHETVTNGDTDHGELIRIVGTRSRAQLNATFSWFRDERGTSITKLHAPRFDHQALQHGADPTGYSHALRTALRCISDANKYFVKVLRNAMHKSGTNEDSLTRVIVLHAEKDLKGIKDAFQKRASVALEKAIGNDTSGDYKSFLMALLGSGI >ORUFI01G18590.1 pep chromosome:OR_W1943:1:15541358:15543394:1 gene:ORUFI01G18590 transcript:ORUFI01G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRSHPLMPSQGAAGPSGSRGRDRALPPVLGRSLPAPSLAPPPKRRTLKLPASRPI >ORUFI01G18600.1 pep chromosome:OR_W1943:1:15544053:15549971:1 gene:ORUFI01G18600 transcript:ORUFI01G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLHTWPSRTSTPTARPIILHHASAPPWTPLGGWEGRGERERGEREAQTTTTQVASGGGGEASKRNAILRRVTELEDFLPFPFAVAGDATVGTRGAARIGLSFGCGASGGRHLRRMFEWNDDQQQGILVLEEAADDCERASEDDRIISKEAHLAERVLWAFRCYRVRLGCARSHKVGDAIWAEFNENEDHIVPYPKDTEDSALVSVGDQKKNDEETDNIPGLTERSSSGQTEFPVLEKQPASQASEHYSATQLDVESWPDLPSLNATLDRNYSDDNIASTYLDFSSAPSLEKVTGNTTVQLDGETEVFGNDHEEKSNSFLDCDWGNIGDFDDFDRLFSNGDSIFGNEMVADGSNFLSASSDLVDTTVQSIPFPHIPLNKQLSSDHGSSLLINETSGGTTEQESKVVDANAKSGEQAEHKNHLNNEYSGKPNQFPKEGDVQKKSVRSRRRTEERGKSKMSNSTSGFSQNQGQHRPASSHSLAKAPAQPLQTPQYLLLHDNKNMGQLQQANQFIFPDYGYPSYQFPGIPLMSNVQAESHQTKPATTNYRTSIDSPKQSSSAEKSQDIPSRPLMMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQDGSGSDTMVPQAYSPKSKNPDSLGSSVVIDDNANKVFSLELIPTGHEEIQKSSGIPDDPFIEEKIYYQLQDALAKLDTRTRRCIRDSLLRLAHSVSERQITSDRSSANKSNKDDDEVSEDTSKRRSPASEAETNTNPIDRIVAHLLFHKPCSKVSTPAKEEIKSSTPLPTEPDSYRRTWGTIRKSPEWARNDTAAFTGTNELLNINMVSCL >ORUFI01G18600.2 pep chromosome:OR_W1943:1:15544053:15550408:1 gene:ORUFI01G18600 transcript:ORUFI01G18600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLHTWPSRTSTPTARPIILHHASAPPWTPLGGWEGRGERERGEREAQTTTTQVASGGGGEASKRNAILRRVTELEDFLPFPFAVAGDATVGTRGAARIGLSFGCGASGGRHLRRMFEWNDDQQQGILVLEEAADDCERASEDDRIISKEAHLAERVLWAFRCYRVRLGCARSHKVGDAIWAEFNENEDHIVPYPKDTEDSALVSVGDQKKNDEETDNIPGLTERSSSGQTEFPVLEKQPASQASEHYSATQLDVESWPDLPSLNATLDRNYSDDNIASTYLDFSSAPSLEKVTGNTTVQLDGETEVFGNDHEEKSNSFLDCDWGNIGDFDDFDRLFSNGDSIFGNEMVADGSNFLSASSDLVDTTVQSIPFPHIPLNKQLSSDHGSSLLINETSGGTTEQESKVVDANAKSGEQAEHKNHLNNEYSGKPNQFPKEGDVQKKSVRSRRRTEERGKSKMSNSTSGFSQNQGQHRPASSHSLAKAPAQPLQTPQYLLLHDNKNMGQLQQANQFIFPDYGYPSYQFPGIPLMSNVQAESHQTKPATTNYRTSIDSPKQSSSAEKSQDIPSRPLMMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQDGSGSDTMVPQAYSPKSKNPDSLGSSVVIDDNANKVFSLELIPTGHEEIQKSSGIPDDPFIEEKIYYQLQDALAKLDTRTRRCIRDSLLRLAHSVSERQITSDRSSANKSNKDDDEVSEDTSKRRSPASEAETNTNPIDRIVAHLLFHKPCSKVSTPAKEEIKSSTPLPTEPDSKIPTDAPGGPSENHQNGQEMTLQPSL >ORUFI01G18600.3 pep chromosome:OR_W1943:1:15544053:15549971:1 gene:ORUFI01G18600 transcript:ORUFI01G18600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLHTWPSRTSTPTARPIILHHASAPPWTPLGGWEGRGERERGEREAQTTTTQVASGGGGEASKRNAILRRVTELEDFLPFPFAVAGDATVGTRGAARIGLSFGCGASGGRHLRRMFEWNDDQQQVGDAIWAEFNENEDHIVPYPKDTEDSALVSVGDQKKNDEETDNIPGLTERSSSGQTEFPVLEKQPASQASEHYSATQLDVESWPDLPSLNATLDRNYSDDNIASTYLDFSSAPSLEKVTGNTTVQLDGETEVFGNDHEEKSNSFLDCDWGNIGDFDDFDRLFSNGDSIFGNEMVADGSNFLSASSDLVDTTVQSIPFPHIPLNKQLSSDHGSSLLINETSGGTTEQESKVVDANAKSGEQAEHKNHLNNEYSGKPNQFPKEGDVQKKSVRSRRRTEERGKSKMSNSTSGFSQNQGQHRPASSHSLAKAPAQPLQTPQYLLLHDNKNMGQLQQANQFIFPDYGYPSYQFPGIPLMSNVQAESHQTKPATTNYRTSIDSPKQSSSAEKSQDIPSRPLMMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQDGSGSDTMVPQAYSPKSKNPDSLGSSVVIDDNANKVFSLELIPTGHEEIQKSSGIPDDPFIEEKIYYQLQDALAKLDTRTRRCIRDSLLRLAHSVSERQITSDRSSANKSNKDDDEVSEDTSKRRSPASEAETNTNPIDRIVAHLLFHKPCSKVSTPAKEEIKSSTPLPTEPDSYRRTWGTIRKSPEWARNDTAAFTGTNELLNINMVSCL >ORUFI01G18600.4 pep chromosome:OR_W1943:1:15544053:15550408:1 gene:ORUFI01G18600 transcript:ORUFI01G18600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLHTWPSRTSTPTARPIILHHASAPPWTPLGGWEGRGERERGEREAQTTTTQVASGGGGEASKRNAILRRVTELEDFLPFPFAVAGDATVGTRGAARIGLSFGCGASGGRHLRRMFEWNDDQQQGILVLEEAADDCERASEDDRIISKEAHLAERVLWAFRCYRVRLGCARSHKVGDAIWAEFNENEDHIVPYPKDTEDSALVSVGDQKKNDEETDNIPGLTERSSSGQTEFPVLEKQPASQASEHYSATQLDVESWPDLPSLNATLDRNYSDDNIASTYLDFSSAPSLEKVTGNTTVQLDGETEVFGNDHEEKSNSFLDCDWGNIGDFDDFDRLFSNGDSIFGNEMVADGSNFLSASSDLVDTTVQSIPFPHIPLNKQLSSDHGSSLLINETSGGTTEQESKVVDANAKSGEQAEHKNHLNNEYSGKPNQFPKEGDVQKKSVRSRRRTEERGKSKMSNSTSGFSQNQGQHRPASSHSLAKAPAQPLQTPQYLLLHDNKNMGQLQQANQFIFPDYGYPSYQFPGIPLMSNVQAESHQTKPATTNYRTSIDSPKQSSSAEKSQDIPSRPLMMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQDGSGSDTMVPQAYSPKSKNPDSLGSSVVIDDNANKVFSLELIPTGHEEIQKSSGIPDDPFIEEKIYYQLQDALAKLDTRTRRCIRDSLLRLAHSVSERQITSDRSSANKSNKDDDEVSEDTSKRRSPASEAETNTNPIDRIVAHLLFHKPCSKVSTPAKEEIKSSTPLPTEPDSKIPTDAPGGPSENHQNGQEMTLQPSL >ORUFI01G18600.5 pep chromosome:OR_W1943:1:15544053:15550408:1 gene:ORUFI01G18600 transcript:ORUFI01G18600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLHTWPSRTSTPTARPIILHHASAPPWTPLGGWEGRGERERGEREAQTTTTQVASGGGGEASKRNAILRRVTELEDFLPFPFAVAGDATVGTRGAARIGLSFGCGASGGRHLRRMFEWNDDQQQVGDAIWAEFNENEDHIVPYPKDTEDSALVSVGDQKKNDEETDNIPGLTERSSSGQTEFPVLEKQPASQASEHYSATQLDVESWPDLPSLNATLDRNYSDDNIASTYLDFSSAPSLEKVTGNTTVQLDGETEVFGNDHEEKSNSFLDCDWGNIGDFDDFDRLFSNGDSIFGNEMVADGSNFLSASSDLVDTTVQSIPFPHIPLNKQLSSDHGSSLLINETSGGTTEQESKVVDANAKSGEQAEHKNHLNNEYSGKPNQFPKEGDVQKKSVRSRRRTEERGKSKMSNSTSGFSQNQGQHRPASSHSLAKAPAQPLQTPQYLLLHDNKNMGQLQQANQFIFPDYGYPSYQFPGIPLMSNVQAESHQTKPATTNYRTSIDSPKQSSSAEKSQDIPSRPLMMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQDGSGSDTMVPQAYSPKSKNPDSLGSSVVIDDNANKVFSLELIPTGHEEIQKSSGIPDDPFIEEKIYYQLQDALAKLDTRTRRCIRDSLLRLAHSVSERQITSDRSSANKSNKDDDEVSEDTSKRRSPASEAETNTNPIDRIVAHLLFHKPCSKVSTPAKEEIKSSTPLPTEPDSKIPTDAPGGPSENHQNGQEMTLQPSL >ORUFI01G18600.6 pep chromosome:OR_W1943:1:15544053:15549971:1 gene:ORUFI01G18600 transcript:ORUFI01G18600.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLHTWPSRTSTPTARPIILHHASAPPWTPLGGWEGRGERERGEREAQTTTTQVASGGGGEASKRNAILRRVTELEDFLPFPFAVAGDATVGTRGAARIGLSFGCGASGGRHLRRMFEWNDDQQQVGDAIWAEFNENEDHIVPYPKDTEDSALVSVGDQKKNDEETDNIPGLTERSSSGQTEFPVLEKQPASQASEHYSATQLDVESWPDLPSLNATLDRNYSDDNIASTYLDFSSAPSLEKVTGNTTVQLDGETEVFGNDHEEKSNSFLDCDWGNIGDFDDFDRLFSNGDSIFGNEMVADGSNFLSASSDLVDTTVQSIPFPHIPLNKQLSSDHGSSLLINETSGGTTEQESKGDVQKKSVRSRRRTEERGKSKMSNSTSGFSQNQGQHRPASSHSLAKAPAQPLQTPQYLLLHDNKNMGQLQQANQFIFPDYGYPSYQFPGIPLMSNVQAESHQTKPATTNYRTSIDSPKQSSSAEKSQDIPSRPLMMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQDGSGSDTMVPQAYSPKSKNPDSLGSSVVIDDNANKVFSLELIPTGHEEIQKSSGIPDDPFIEEKIYYQLQDALAKLDTRTRRCIRDSLLRLAHSVSERQITSDRSSANKSNKDDDEVSEDTSKRRSPASEAETNTNPIDRIVAHLLFHKPCSKVSTPAKEEIKSSTPLPTEPDSYRRTWGTIRKSPEWARNDTAAFTGTNELLNINMVSCL >ORUFI01G18600.7 pep chromosome:OR_W1943:1:15544053:15550408:1 gene:ORUFI01G18600 transcript:ORUFI01G18600.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLHTWPSRTSTPTARPIILHHASAPPWTPLGGWEGRGERERGEREAQTTTTQVASGGGGEASKRNAILRRVTELEDFLPFPFAVAGDATVGTRGAARIGLSFGCGASGGRHLRRMFEWNDDQQQVGDAIWAEFNENEDHIVPYPKDTEDSALVSVGDQKKNDEETDNIPGLTERSSSGQTEFPVLEKQPASQASEHYSATQLDVESWPDLPSLNATLDRNYSDDNIASTYLDFSSAPSLEKVTGNTTVQLDGETEVFGNDHEEKSNSFLDCDWGNIGDFDDFDRLFSNGDSIFGNEMVADGSNFLSASSDLVDTTVQSIPFPHIPLNKQLSSDHGSSLLINETSGGTTEQESKVVDANAKSGEQAEHKNHLNNEYSGKPNQFPKEGDVQKKSVRSRRRTEERGKSKMSNSTSGFSQNQGQHRPASSHSLAKAPAQPLQTPQYLLLHDNKNMGQLQQANQFIFPDYGYPSYQFPGIPLMSNVQAESHQTKPATTNYRTSIDSPKQSSSAEKSQDIPSRPLMMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQDGSGSDTMVPQAYSPKSKNPDSLGSSVVIDDNANKVFSLELIPTGHEEIQKSSGIPDDPFIEEKIYYQLQDALAKLDTRTRRCIRDSLLRLAHSVSERQITSDRSSANKSNKDDDEVSEDTSKRRSPASEAETNTNPIDRIVAHLLFHKPCSKVSTPAKEEIKSSTPLPTEPDSKIPTDAPGGPSENHQNGQEMTLQPSL >ORUFI01G18610.1 pep chromosome:OR_W1943:1:15552033:15553643:-1 gene:ORUFI01G18610 transcript:ORUFI01G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHHRATLLLHQQQRKGDATAEEGQQLVAEEGAGKMKELRRRLVDYACHHRKHGHDALLRMLAGFALVSCLLLLLPGSPFSAAVDDLLQMGRTRLDDETPPPPPCAAVSNGTICCDRTAMRTDVCIMRGDVRTEAASNSLFLLVPPPDNSTAAAGRDERIRPYTRKWESSIMSTIDELRLRAVPEGGAAPASCDVRHDVPAVVFSTGGYTGNVYHEFNDGIIPLYITARQYNKKVVFVMLEYHDWWMTKYGHIVEQLSDYAPIDFTNDRRTHCFPEAVVGLRIHDELAIDAARMPGNRTIQDFRRMLDDAYRGRIQMIIEEEEKAAAVALGTPTQGSIRKKSALKDDKPRLVIVSRNGSRAIENEAELVRAAAGAGFRVAVLQPRQDTELAKMYRALNASDVMVGVHGAAMTHFLFMRPGSVFIQVVPLGTDWAAETYYGEPARRLGLRYMPYKIKPAESSLYRQYAKDDAVLTDPDTVNAKGWQVTKKVYLDGQNVRLDMVRFRRRLRDAYDHWAELRRRHNADSTETEQRKPW >ORUFI01G18620.1 pep chromosome:OR_W1943:1:15602172:15609905:-1 gene:ORUFI01G18620 transcript:ORUFI01G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPSSRQAMGEINIEAMARPVSVDHRISIAYYFRIADNLLRQANIYREEKNLVDLYIILLRYSSLLCETIPKHRDYHAFKLREKEFLKKGPHNSDKLLNVVNELETLKPVVLRQIADLNRGVTQEPNSQSGTSAAISQMDHLTQSSCFTQPLVGSPTGLLKMPFSGGKHQVEPTQSGRPDSQLVKSYGNLPYPKEETLSRHSVLGPNGLHGKWTAPVTGIRIQYPSNAELTKSDVSSLVPSILNQDLLYGPSRSQDFPTNKNEDMQSVLSLDDGQLSLPVEEATSVSPGFEEEFSQLNIRQPSPPPVMAQVHPQHRAISTSTVADLGPGIASSSTGRYQNLHVPVTLMECFLRVAEANTANNLETCGILAGTLCQATNEEEIFEVQDKGSLFTLGWIHTHPTQTCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTTRKHGIFHLTDPGGMGVIHDCQESGFHPHEEPLDGTSIYEHCSHVYMNPNVKFDMVDLREL >ORUFI01G18630.1 pep chromosome:OR_W1943:1:15615387:15616629:1 gene:ORUFI01G18630 transcript:ORUFI01G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEATVGATAAAIDQLGEGGDAADGAPGSSSLDAGAKSSSSLGAATDQSGEDGDTTDAALGSSSPVEHERKKSLAKSDKWAEKVNEAEETGGKSRVDELEQRP >ORUFI01G18640.1 pep chromosome:OR_W1943:1:15619262:15620197:1 gene:ORUFI01G18640 transcript:ORUFI01G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQNCRKDPLTYMTMTMSLAKHAPRWYATLFPAPYALACTNQSNQQASAHCADRHRSGPPGHARMLRRAVPILSLCSTTRRTEVEGQKRFSIDRHHRRLLDRRRRLLEVNSAIAAAVAGNDDIDAAASGKDDIDAATAAGNDEFAAAVACFNVAAATAAGKDDIDAAAAGKDDIDAAATGNDKFATAAACFNAAAAGKDEFDAATAACFNACRNPPLAAT >ORUFI01G18650.1 pep chromosome:OR_W1943:1:15619865:15620242:-1 gene:ORUFI01G18650 transcript:ORUFI01G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIGREWRRRCVEQSSGGERRIPACVEAGRGGGIELVLPSGSGVETSGGGSKLVVPGGGGVDVILPGGSGVDVVLPRGGSGGDVEAGDGSSELVVPGGGGGVDVVLPGGGGVDVVIPGDGGGDG >ORUFI01G18660.1 pep chromosome:OR_W1943:1:15620663:15632781:-1 gene:ORUFI01G18660 transcript:ORUFI01G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLKELGWAKRWSSQPNVSCRTKLWMDANVVMAKPSTTRCSGIGPSSRCSFSNTQMKGSSYWG >ORUFI01G18670.1 pep chromosome:OR_W1943:1:15650722:15651024:-1 gene:ORUFI01G18670 transcript:ORUFI01G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGLRYMKQIQPSNQHAVSAAEPGGGDQQPAQRRRERTWLPPNPWGRGGTHGGPLEVVDKPPDLLVVELAAAGNRLSPSSNHREPWMPPHLPSPTCISR >ORUFI01G18680.1 pep chromosome:OR_W1943:1:15654221:15662417:-1 gene:ORUFI01G18680 transcript:ORUFI01G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKIDQEEAVCRCRDRRKLMADAVQARNAFAAAHSAYTVLLKSTGGALSDFAQGETPDLVASHAHLAAPTQAAASVSAPPPPSTAAVLIAPSPPPPPFLDFSHATLQRSSSTPNIPMPDPKAATKTQLAAGASIREEDEVEDDADGHIRTESEDDDDDDEDDDSDDDHHEHDDVLVEEMVHGQPQKRVMMGSVGSSPVTPPPPPRPNPSPPATRTTPPPPMPEPQMATTWDYFFGPTTTPPPTLEQPPEESWMDKREKESVPEMKAPIMKPAVDGSAAPMHVAEEQPPQTALEKEKAIEQLAANLPPSKPLIRKPPKAAPGPPAEVRYQHASSMGAVETRKGKTMSGTASLLQIVAQLDDSFLKASESAHDVSKKLEATRMHYHSNHADRHIDHSTKIMHVITWNRSFKNLPNQDDFGDNFEIDERFETHATVLDRMLAWEKKLYDEVKAGELMKIDYQKKVALLHKQKKRGVKLETLEKTKAAVSHLHTRYIVDMQSMDSTVSEINRLRDKQLYPKLVDLVDGMANMWSSMHHHHKWQLVIISGIRNFEAPPVPRETTDQHYKQTCDLRDIVREWHMQFEKLMDHQKGYIRALNAWLKLNLIPIESNLKEKVSSPPRLVEPPIKDLLYAWHDQLERLPIELAKTAIKSFAEVISNIVLLQEEEVNLRRRCEETRRDLNRKKAQFEEWHQRYMERKASQGEDANNSEVAEAVNSDPVADRKTAIEEVEIRLREEMGHHNRIARQVREKSLANLRMHLPELFRNMTDFAGFCYEMYSNLRKSAVLPKDEVQG >ORUFI01G18690.1 pep chromosome:OR_W1943:1:15663510:15665356:-1 gene:ORUFI01G18690 transcript:ORUFI01G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHRKTSFAVGMPSSLPHKDRVPQRSTPQALWEVGHMDHQPCRMVNIKAMAFVQKKKHQGHGR >ORUFI01G18700.1 pep chromosome:OR_W1943:1:15689470:15694841:1 gene:ORUFI01G18700 transcript:ORUFI01G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAMAASLRTFLRPHRCRLLLRQSRSLSASAAPAGAAAASTVIRRSVVEVLRERGLVEATTSESLGSASASPRELKAYCGFDPTAESLHLGNLLGLVVLSWFRRCGHNAVALVGGATGRVGDPSGKSAERPELDLAAVETNSNAIKSLIGQILDRAPEPSQHSQSGKNLNLEQNEQALVNSGEKMGSFQILDNYDWWKDITLLDFLKEVGRFARVGTMIAKESVKKRLMSEDGMSYTEFTYQLLQGYDFLYMFRNMGVNVQIGGSDQWGNITAGTELIRKILQVEGAHGLTFPLLLKSDGTKFGKTEDGAIWLSPKMLSPYKFYQYFFSVPDIDVIRFMKILTFMSLDEIQELEESMKKPGYVPNTIQRRLAEEVTRFVHGEEGLEEALKATEALRPGAQTQLDSQTIEGIADDVPSCFLPYGQVLKSPLVDLAVSTGLLASKSAVRRLIKQGGLYLNNIRIDSEDKLVEEGDVVDGKVLLLSAGKKNKMVVTSRFISLKASHVPASVDRAQMTTLVGPEISARFVTQNTTIRKR >ORUFI01G18710.1 pep chromosome:OR_W1943:1:15696322:15713047:-1 gene:ORUFI01G18710 transcript:ORUFI01G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGENFGVGSGPYPVTLAIFVRIPPRQFALVHRLRLRLRRSPSIPRASRDAVVSPAASAASILRKMDQHHVQQQQYVDPYRTMVLSPQPDHLNALQYNHQQQPQPPPQATPPPPQHHHASLASHFHLLHLTTRLADAIGKGTRDQNSDALVEDLTSQFARCQQLLNSISGTLSSKSIDPDPKIQ >ORUFI01G18710.2 pep chromosome:OR_W1943:1:15696322:15713047:-1 gene:ORUFI01G18710 transcript:ORUFI01G18710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGENFGVGSGPYPVTLAIFVRIPPRQFALVHRLRLRLRRSPSIPRASRDAVVSPAASAASILVSTSSAPPPAPIPIHQGKRKMDQHHVQQQQYVDPYRTMVLSPQPDHLNALQYNHQQQPQPPPQATPPPPQHHHASLASHFHLLHLTTRLADAIGKGTRDQNSDALVEDLTSQFARCQQLLNSISGTLSSKSIDPDPKIQ >ORUFI01G18720.1 pep chromosome:OR_W1943:1:15717774:15719345:-1 gene:ORUFI01G18720 transcript:ORUFI01G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCSNPFNCSKKWPEGAVTFISNPPLLGTSSKRRVDDPPSSSCSFKHVASKQPRTPGGQPVGPSRQRMPTAPLLRPLSSRVKRPPPGWIGAARAGTSGPEVAGQTTGDLGELGGDMTAATTKDATDQGTDGGIGGGGFVSYKRDIACAYASSPFSVASFSPVSFSSASLLHGLLLHRVLSRLHTTDPGRSRLARMRKSWKKFRVGSARCCRPSMSRPSKLPGSGGGKKGFDDAADKADCTTMYEAGLVLLEKMQKIVNRSTAKSEALRSVFDNITEIEQI >ORUFI01G18730.1 pep chromosome:OR_W1943:1:15723341:15728193:-1 gene:ORUFI01G18730 transcript:ORUFI01G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGALLSHSPPGLGGFPPRHHHHHRLSVLRCVPLLPSPAPEPLSCRHGRHLRCAAVDGGAGRETERPSPPAPQREESPSGSLGAALEDPSPQPVQNGSFGGITEDEEQSSLYNFLYPSKELLPDDKEMSIFDHLEELRDRIFVSVLAVGAAILGCFAYSKDLIRILEAPVSVQGVRFLQLSPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFVLPGLTRDERKFLGPIVLGSSVLFYLGIFFSYTVLAPAALNFFVNYADGAVESLWSIDQYFEFVLVLLFSTGLSFQVPVIQLLLGQVGLVSSDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQMLLAGPLLGLYLGGAWMVKLTGR >ORUFI01G18740.1 pep chromosome:OR_W1943:1:15731229:15733187:1 gene:ORUFI01G18740 transcript:ORUFI01G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPAKLGGRASSAALPSRPSSHVARAFGVDTGAAGRITCSLQSDIREVANKCADAAKLAGFALATSALLVSGASAEGVPRRLTFDEIQSKTYMEVKGTGTANQCPTVEGGVDSFAFKAGKYNMKKFCLEPTSFTVKAEGVAKNAPPEFQKTKLMTRLTYTLDEIEGPLEVSSDGTIKFEEKDGIDYAAVTVQLPGGERVPFLFTIKNLVATGKPESFGGPFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENVKNASSSTGNITLSVTKSKPETGEVIGVFESVQPSDTDLGAKVPKDVKIQGVWYAQLE >ORUFI01G18750.1 pep chromosome:OR_W1943:1:15733832:15734038:-1 gene:ORUFI01G18750 transcript:ORUFI01G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPPLQIYRLGACGHRIRAVQSLEKGGVEFLVEKVVEATTRRGGRQAPGKFLVEKMAVRRRRWRRAE >ORUFI01G18760.1 pep chromosome:OR_W1943:1:15735093:15735473:1 gene:ORUFI01G18760 transcript:ORUFI01G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVRTSRGHSIQIWWPLVVRGLTPNHGVAAAPDLPLRSLWAPDPRGPVAGERRGGVSGGEGGGGYDKKRRKASPRQVSGGEGGGGYDKKRRKASPRQVSGGEDGCAATKMAMSRVEAKRANEEE >ORUFI01G18770.1 pep chromosome:OR_W1943:1:15761478:15766828:1 gene:ORUFI01G18770 transcript:ORUFI01G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTLLYYSKLLVRCSAYEKDGSGGGRVRVNGAAHRVPLQVGAALETKINRSLAGLMRPPVLSQPPTEEEAEGRRSQRQNIPSEKQTVDPFRQAVIVEGGVRYRQTVVVRSYEVGPDRTATLETVLNLLQETALNHVWMSGLLGDGFGATHAMITNNLIWVVSRMHVQVDHYPIWYIILVFVFVHGVLFVQRGRGKKIYAHQTEVGCRGEVLEIDTWVGSSGKNGMRRDWLVRGRSSGAIFVRATSTWVMMNKVTRRLSKMPKEVRDEISPWFIDRHAIDEVATDKIIKLDTNATYVDSDLKPKRSDLDMNHHVNNVKYVRWMLETLPDQFLQQHQLSSIILEYRKECGSSDVVQSICQPDEDTIMPGENVSIVMGPSLSQEIINGHHSLAGALQQWPTKYTHLLQLKANDKYEEIVRGRTTWKKKSYSISNVLKF >ORUFI01G18780.1 pep chromosome:OR_W1943:1:15771511:15782339:1 gene:ORUFI01G18780 transcript:ORUFI01G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGPAERRDEAQAAMGNGNGVAPPPLRPAGRPSGAPACADRRLRLNPNMEHKPQDYSDVRGEYAPAVYSALERHLPPSVLDANREIKLQLMREVLGHYWPHGERNKVQRHREYRQRILNHYKSAAGSWYKRAQLVGQEPLHKELYKMRPSSFFLPTFLEAIRTNTEESFRSIMTEPVPGVYSFAMLQPNFCEMLLQEVENFEKWVHTMKFKIMRPNTMNKYGAVLDDFGLEVMLNQFMEQFIAPMSTVLYPEVGGGTLDTHHAFVVEYGKDRDVELGFHVDDSEVTLNVCLGKQFSGGELYFRGIRCENHVNSETQHEEMFDYSHVPGRAVLHRGRHRHGARPTSSGLRINLLLWCRREMKKYQKDFSGWCGECKREKKERQIHAVKATKLAFLRGAGGATI >ORUFI01G18790.1 pep chromosome:OR_W1943:1:15791281:15791617:1 gene:ORUFI01G18790 transcript:ORUFI01G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSRGAVAAVAGDGSGRGSNSIMRLGQWRRWLGNSGGKGVAAASGCRGGGGKGVAAADGDQQQQQHAPGAARKQQEHHGTESMVRLLDNYG >ORUFI01G18800.1 pep chromosome:OR_W1943:1:15798747:15799401:1 gene:ORUFI01G18800 transcript:ORUFI01G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVGRIGRYLKKGRYAQRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPKTAEKAAAAGKEAKSPKKAAGKSPKKA >ORUFI01G18810.1 pep chromosome:OR_W1943:1:15802193:15803350:-1 gene:ORUFI01G18810 transcript:ORUFI01G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRGGRLAGLASRMVGAKPFSTEIFVSRLSFYTTEEELKNVFSPFGAVEEDAEKAVKAMDGRN >ORUFI01G18820.1 pep chromosome:OR_W1943:1:15803699:15804016:-1 gene:ORUFI01G18820 transcript:ORUFI01G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPVEKKPKAEKRLSESKASSKEGGAGDKKGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAAHLARYNKKPIATSREI >ORUFI01G18830.1 pep chromosome:OR_W1943:1:15805214:15812250:-1 gene:ORUFI01G18830 transcript:ORUFI01G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTEAPQEVGVEPTVKERLQSMLERIVLQSQVRGYLGCYTTTYAVDRRAVAAAIPPTPTELPPPHLRQRHGEKNKHEREGGKSSNNKRRRRRSRDPSSD >ORUFI01G18840.1 pep chromosome:OR_W1943:1:15813697:15814137:-1 gene:ORUFI01G18840 transcript:ORUFI01G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGGGKDAEFARAIEGRESSWRRWRGVTNARVGSEYWQWWFDGRRKWIKISHQEVTGGLGKYITDNHVFVGFDTTPMVAPAMSPFIHILTSLSFIPYSHRCRNINVQDTCSSSIIPYTNRMSIDIRTRVNS >ORUFI01G18850.1 pep chromosome:OR_W1943:1:15816177:15816713:1 gene:ORUFI01G18850 transcript:ORUFI01G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQHCHCRCTTATEGLENMEDVDLEEQLAPPPPSPLPSSAEPLHGLCRKPGAGSHRALVATPVRALWMVVLMVGLLFTVHLLVHDVRTFVLLVAESFCIFFVMSGVAACERRQHDASGGACRGFSTVAEVALWSFAMALTVTMTFWVAAGMSLPAVAALYLLSLLVVSACFAVLLGA >ORUFI01G18860.1 pep chromosome:OR_W1943:1:15826346:15826686:1 gene:ORUFI01G18860 transcript:ORUFI01G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDVALSGWDNFGCVATLIRILLRGNVDGIGVPSDVSTRLAIIVAAMLLYSPGENLVLDVQNSDGFIMSQLLEDIVLEVL >ORUFI01G18870.1 pep chromosome:OR_W1943:1:15826648:15837919:-1 gene:ORUFI01G18870 transcript:ORUFI01G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAKIGREHEQQQQQHGRVNGSGRVAAVGGGSGGGGDEIEIEVAAAAGASPSRQHGGLHGDVQAPTWKRFLAHVGPGFVISIAYLDPRRHLAELCMGEYPKYVKYCLWLLAELGVIAATIPGVLGTALAYNMLLHIPFWAGARKMEFTISVLMLVMATCFFMELGKVNPPAGGVIEGLFIPRPKGDYSTSDAVAMFGSLVVPHNLFLHSSLVLTRKMPYTSKGRKDASTFFLLENALALFIALLVNVAIVSISGTICANNLSFADTSTCSSLTLNSTYVLLKNILGKSSSTVYGVALLVSGQSCMVATSYAGQYIMQGFSGMRKCIIYLVAPCFTLLPSLIICSIGGTLRVHRIINIAAIVLSFVLPFALIPLIKFSSSCTNIGPYKNATSIIRIAWILSLVIIGINIYFFCTSFVAWLVHSDLPRVVNAIISSLVFPFMAAYIAALIYLAFRKVNLSDPFPTNSVSGEIEVQHIQIQEKQEDLDLTSTFAIEPVRWGQRHWNIPPSENLQYDVFKKL >ORUFI01G18870.2 pep chromosome:OR_W1943:1:15826648:15837919:-1 gene:ORUFI01G18870 transcript:ORUFI01G18870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAKIGREHEQQQQQHGRVNGSGRVAAVGGGSGGGGDEIEIEVAAAAGASPSRQHGGLHGDVQAPTWKRFLAHVGPGFVISIAYLDPRRHLAELCMGEYPKYVKYCLWLLAELGVIAATIPGVLGTALAYNMLLHIPFWAGARKMEFTISVLMLVMATCFFMELGKVNPPAGGVIEGLFIPRPKGDYSTSDAVAMFGSLVVPHNLFLHSSLVLTRKMPYTSKGRKDASTFFLLENALALFIALLVNVAIVSISGTICANNLSFADTSTCSSLTLNSTYVLLKNILGKSSSTVYGVALLVSGQSCMVATSYAGQYIMQIVLSFVLPFALIPLIKFSSSCTNIGPYKNATSIIRIAWILSLVIIGINIYFFCTSFVAWLVHSDLPRVVNAIISSLVFPFMAAYIAALIYLAFRKVNLSDPFPTNSVSGEIEVQHIQIQEKQEDLDLTSTFAIEPVRWGQRHWNIPPSENLQYDVFKKL >ORUFI01G18870.3 pep chromosome:OR_W1943:1:15827614:15837919:-1 gene:ORUFI01G18870 transcript:ORUFI01G18870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAKIGREHEQQQQQHGRVNGSGRVAAVGGGSGGGGDEIEIEVAAAAGASPSRQHGGLHGDVQAPTWKRFLAHVGPGFVISIAYLDPRRHLAELCMGEYPKYVKYCLWLLAELGVIAATIPGVLGTALAYNMLLHIPFWAGARKMEFTISVLMLVMATCFFMELGKVNPPAGGVIEGLFIPRPKGDYSTSDAVAMFGSLVVPHNLFLHSSLVLTRKMPYTSKGRKDASTFFLLENALALFIALLVNVAIVSISGTICANNLSFADTSTCSSLTLNSTYVLLKNILGKSSSTVYGVALLVSGQSCMVATSYAGQYIMQGFSGMRKCIIYLVAPCFTLLPSLIICSIGGTLRVHRIINIAAIVLSFVLPFALIPLIKFSSSCTNIGPYKNATSIIRIAWILSLVIIGINIYFFCTSFVAWLVHSDLPRVVNAIISSLVFPFMAAYIAALIYLAFRKVNLSDPFPTNSVSGEIEVQHIQIQEKQEDLGVHL >ORUFI01G18870.4 pep chromosome:OR_W1943:1:15827614:15837919:-1 gene:ORUFI01G18870 transcript:ORUFI01G18870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAKIGREHEQQQQQHGRVNGSGRVAAVGGGSGGGGDEIEIEVAAAAGASPSRQHGGLHGDVQAPTWKRFLAHVGPGFVISIAYLDPRRHLAELCMGEYPKYVKYCLWLLAELGVIAATIPGVLMLVMATCFFMELGKVNPPAGGVIEGLFIPRPKGDYSTSDAVAMFGSLVVPHNLFLHSSLVLTRKMPYTSKGRKDASTFFLLENALALFIALLVNVAIVSISGTICANNLSFADTSTCSSLTLNSTYVLLKNILGKSSSTVYGVALLVSGQSCMVATSYAGQYIMQGFSGMRKCIIYLVAPCFTLLPSLIICSIGGTLRVHRIINIAAIVLSFVLPFALIPLIKFSSSCTNIGPYKNATSIIRIAWILSLVIIGINIYFFCTSFVAWLVHSDLPRVVNAIISSLVFPFMAAYIAALIYLAFRKVNLSDPFPTNSVSGEIEVQHIQIQEKQEDLGVHL >ORUFI01G18870.5 pep chromosome:OR_W1943:1:15827614:15837919:-1 gene:ORUFI01G18870 transcript:ORUFI01G18870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAKIGREHEQQQQQHGRVNGSGRVAAVGGGSGGGGDEIEIEVAAAAGASPSRQHGGLHGDVQAPTWKRFLAHVGPGFVISIAYLDPRRHLAELCMGEYPKYVKYCLWLLAELGVIAATIPGVLGTALAYNMLLHIPFWAGARKMEFTISVLMLVMATCFFMELGKVNPPAGGVIEGLFIPRPKGDYSTSDAVAMFGSLVVPHNLFLHSSLVLTRKMPYTSKGRKDASTFFLLENALALFIALLVNVAIVSISGTICANNLSFADTSTCSSLTLNSTYVLLKNILGKSSSTVYGVALLVSGQSCMVATSYAGQYIMQIVLSFVLPFALIPLIKFSSSCTNIGPYKNATSIIRIAWILSLVIIGINIYFFCTSFVAWLVHSDLPRVVNAIISSLVFPFMAAYIAALIYLAFRKVNLSDPFPTNSVSGEIEVQHIQIQEKQEDLGVHL >ORUFI01G18880.1 pep chromosome:OR_W1943:1:15854975:15858740:1 gene:ORUFI01G18880 transcript:ORUFI01G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQWDKLESIAYPLLLTHRTRTLERFWMSVNVARGMDMGCPPPRRPVGALRCPVLLRAGGGGGGGLAHCFVGARRLVDLDLPAASTGDCYYYRLRRLHLTGVSLGGRFVEQVRLRLPEREMRWHPDMWGPRGSHTDSAATSNKTRVKTVEGPIDRKTGKACEPVVRSNPVLGPTSFCCASSPDLVSPVVDARYELVWIDSVMFRRGLLIPIPIAINFGRNIDLIKRGTFLALDIHDLGMAIVPVYPFVETPLRLGMFLGILLGQMLYPMGKQACA >ORUFI01G18890.1 pep chromosome:OR_W1943:1:15860591:15861183:1 gene:ORUFI01G18890 transcript:ORUFI01G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVDSVTTLPKRRAPTYCPERSSISITDLPVAYHLNLKQKVITIAALAPKRPPSLRKPAVIVPVFDNRTIRWKLTSCWDLWNEKALLPPRSLQPHSLLQWWRSRERTGRKRW >ORUFI01G18900.1 pep chromosome:OR_W1943:1:15863225:15864364:-1 gene:ORUFI01G18900 transcript:ORUFI01G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAKLHSPTTPLLAHAHHDDRLLLCSPPAAVEFPVLKSRAARGALLLAANYAALFVGSLSSSLLSRFYFAHGGADRWLATLVQSAGFPALLLPLMCARRPASRPFAGFTPRLVMYCVLLGLVMGLNNLLYSCGTSYLPVSTTALLLSMQLAFTLALAAALVRVPLSFANFNAVVLLTLSSLLLALRHGAGGGGGGADATSPDYLVGVAATLGAALLFALYLPAAELVYRHGGVTGFRMVVEAQVIMEAVATAVGAAGMVSSAGGKWPWDGVEATWDLSPAAYYAVVGAAVLSWQLCFLGTAGTVFLTTSLHGGICMTALLAVNVAGGVVVFGDEFGPEKAVAMLLCLWAFSSYVYGEYKKGDKAMANEEDQGILDRV >ORUFI01G18910.1 pep chromosome:OR_W1943:1:15866746:15867253:-1 gene:ORUFI01G18910 transcript:ORUFI01G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQRRALAPWPALLPRLLHIGGAKFSTLCMLQKHVGSADPTVLTWLHHYSSTSAVSSLYPLSGEPALEVDPRWPGGGKGGIDRRGEAVRTSRGGENRHAEQEADGVDDGVHEEARNESANGTVAGEDAGQNRNQN >ORUFI01G18920.1 pep chromosome:OR_W1943:1:15873793:15874919:1 gene:ORUFI01G18920 transcript:ORUFI01G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLLAREELYKWGLARAKGILALVPNTEYSPVCSRKTIEFDINIQISNNTTFSIAHRNEYLRGLVDCSPSKSLTFSEVGVLDAEAQRS >ORUFI01G18930.1 pep chromosome:OR_W1943:1:15877364:15884847:-1 gene:ORUFI01G18930 transcript:ORUFI01G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDSQAPLLLPRGSHRKEEEEEEYAAAGKVRGCCGGDGEGGWWREATAEAGRLASLAAPMIAVALLQLMMQLISTVMVGHLGEVALAGAAIANSLTNVSGFSVLMGLACGLETICGQAYGAEQYHKLALYMYRSIIVLLVVSVPIAIIWVFIPEVLPLIGQQPEIASEVGKYALWLIPGLFAFTVAQCLSKFLQTQSLIFPMVLSSSITLALFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIVLSPSCEKTRAPLTWEAFSGIGSFLRLAVPSALMICISTVVLVYNLPHGIGTAASVRVSNELGAGNPEGARLVVGVALSVILCSAVLVSVTLLALRHFIGIAFSNEEEVINYVTRMVPVLSISVITDSLQGVLSGVSRGCGWQHLGAYVNLGAFYLVGVPVALFFGFAMHLGGMGFWMGMVAGGATQVTLLSIITAMTNWRKMAEKARDRVFEERIPTQSV >ORUFI01G18930.2 pep chromosome:OR_W1943:1:15877366:15884847:-1 gene:ORUFI01G18930 transcript:ORUFI01G18930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDSQAPLLLPRGSHRKEEEEEEYAAAGKVRGCCGGDGEGGWWREATAEAGRLASLAAPMIAVALLQLMMQLISTVMVGHLGEVALAGAAIANSLTNVSGFSVLMGLACGLETICGQAYGAEQYHKLALYMYRSIIVLLVVSVPIAIIWVFIPEVLPLIGQQPEIASEVGKYALWLIPGLFAFTVAQCLSKFLQTQSLIFPMVLSSSITLALFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIVLSPSCEKTRAPLTWEAFSGIGSFLRLAVPSALMICISTVVLVYNLPHGIGTAASVRVSNELGAGNPEGARLVVGVALSVILCSAVLVSVTLLALRHFIGIAFSNEEEVINYVTRMVPVLSISVITDSLQGVLSGVSRGCGWQHLGAYVNLGAFYLVGVPVALFFGFAMHLGGMGFWMGMVAGGATQVTLLSIITAMTNWRKMAEKARDRVFEERIPTQSV >ORUFI01G18940.1 pep chromosome:OR_W1943:1:15941839:15942406:1 gene:ORUFI01G18940 transcript:ORUFI01G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGARDGTIRREDNRRRRRGLGGMGRRRVGGVRRRRAEEEGWGAGECDAKLRIGERGSVMRIREMRSGAMGGSWEKISSADACERILR >ORUFI01G18950.1 pep chromosome:OR_W1943:1:15943298:15947683:1 gene:ORUFI01G18950 transcript:ORUFI01G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTAAPAAMKVDGSALAGRALAAAGARHMFGVVGIPVTSLASRAAAAGVRFLAFRNEQSAGYAAAAYGFLTGSPGLLLTVSGPGCVHGLAGLSHATANAWPLLMVSGSCSQPDAGRGDFQELDQIAATKPFIKIAVKATTIADIPRLVFQALAATVSGRPGGCYLDIPSDVLHQTLTESEAAALIDAAAADSAKSDSSPPKHKSLDEGIEKAAELLRRAERPLVVFGKGAAYSRAEDAIWKLVDTTGIPFLPTPMGKGVVPDTHPLSATAARSLAIGQCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVCEEEIELRKPHVGIVGDAKRVVELINREIKDQPFCLAPSHPWVEAITKKARDNVLKMEAQLAKDVVPFNFLTPLRIIRDAILAEGNPAPVVVSEGANTMDVGRAVLVQNEPRTRLDAGTWGTMGVGLGFCVAAAVAEPDRLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNNGVYGGDRRSPDEITGPYKDDPAPTSFVPAAGYHKMMEAFGGKGYLVETPDELKSALSESFRARKPAVINVIIDPYAGAESGRMQHKN >ORUFI01G18960.1 pep chromosome:OR_W1943:1:15948553:15950175:-1 gene:ORUFI01G18960 transcript:ORUFI01G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLRRPATHLLCSARSAHAGNAPRVLDEMPLPPLAPSRRTTTLVRAHHLLGAMRGPGFCTTVGSESDVEPRFTVVPGAAQEGLAPGVSEAAERVCRVVSAQPEHRIAPVLDALGVTVSPQLVAEVLKNLSNAGILALAFFRWAERQQGFRYSAEGFHNLIEALGKIKQFRLVWSLVEAMRCRSCLSKDTFKIIVRRYARARKVKEAVETFEKMSSFGLKTDLSDYNWLIDILSKSKQVKKAHAIFKEMKRKGRFIPDLKTYTVLMEGWGHEKDLLMLKAVYQEMLDAGIKPDVVAYGMLISAFCKSGKCDEAIKVFHEMEESGCMPSPHVYCMLINGLGSMERLDEALKYFQLSKESGFPMEVPTCNAVIGAYCRALEFHHAFKMVDEMRKSGIGPNTRTYDIILNHLIKSEKIEEAYNLFQRMERDGCEPELNTYTMMVGMFCSNERVDMALKVWKQMKEKGVLPCMHMFSALINGLCFENRLEEACVYFQEMLDKGIRPPGQLFSNLKEALVEGGRITLAQEVTQRLEMLRRTPMRG >ORUFI01G18970.1 pep chromosome:OR_W1943:1:15958317:15958952:1 gene:ORUFI01G18970 transcript:ORUFI01G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPATTTPTPTPAGDHDAAATACKPAETTTALITCRSSSCSAQQQQQQQQQQEEPLGDDQLGELREIFRSFDRNGDGSLTQLELGSLLRSLGLKPSTDELDSLIQRADTNSNGLIEFSEFVALVAPELLYDRAPYSEDQIRRLFNIFDRDGNGFITAAELAHSMAKLGHALTVKELTGMIKEADTDGDGRISFQEFSRAITAAAFDNIFS >ORUFI01G18980.1 pep chromosome:OR_W1943:1:15960109:15963371:1 gene:ORUFI01G18980 transcript:ORUFI01G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRYFRQDHGDKSGSSSSSSSSSSGSDSDREPAEEAAPTEEVEEQQEEQEDEQHVGEEDSGEEQEEELEPVVEQESSGYQSEYSSGNDVDEPSADSDEHIILRHEEDPEINSSVKRASSGKADSTKDASDTDDALEVDFNNYILKCKSVYKCKLCPRIICLNEEMVRVHLKSKRHARSKKLLGEGRLKLMLNSDGELEEEQETHAERHARTVALAQQVQKSKKDSGRQRQNRRRKKRSQNHVEKKQKPLTSDKKKRKIEK >ORUFI01G18980.2 pep chromosome:OR_W1943:1:15960275:15963371:1 gene:ORUFI01G18980 transcript:ORUFI01G18980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRYFRQDHGDKSGSSSSSSSSSSGSDSDREPAEEAAPTEEVEEQQEEQEDEQHVGEEDSGEEQEEELEPVVEQESSGYQSEYSSGNDVDEPSADSDEHIILRHEEDPEINSSVKRASSGKADSTKDASDTDDALEVDFNNYILKCKSVYKCKLCPRIICLNEEMVRVHLKSKRHARSKKLLGEGRLKLMLNSDGELEEEQETHAERHARTVALAQQVQKSKKDSGRQRQNRRRKKRSQNHVEKKQKPLTSDKKKRKIEK >ORUFI01G18990.1 pep chromosome:OR_W1943:1:15965819:15974832:1 gene:ORUFI01G18990 transcript:ORUFI01G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSGHPAHDATASGGTEHTSTAAAQCRSTTVAQWRPGRHGYGGACPKSRGPPASRSLGEARDVRRGAGRCRGETASAPDSWHLGCDIGSKIPAVAMARAVRRSKAGSSSRPTRKRKNCRLNNTGFGGDQGRKKWESGSGLLLKCTGRRHHPNVQGAVTTQKH >ORUFI01G19000.1 pep chromosome:OR_W1943:1:15975608:15976657:-1 gene:ORUFI01G19000 transcript:ORUFI01G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTILVPTPLYLSASSHSNPESIAEAEAEADANADYEEGGALVLPPEVESNKHVDLRKGTKLQSIPLKCPELQIPWKQPTQQQEVSQTGKTGEEVSRWLLMVASPSGHWTISLGAEEGVEDACHGLAGGDIGQPSARRVLESSLHSAGSXPARGRFAAGGDVASSTPFATGLRPRLLRRCCRLVACRLLLSPGGLRLSREEKKRVRERERRGRERVMT >ORUFI01G19010.1 pep chromosome:OR_W1943:1:15976956:15979616:1 gene:ORUFI01G19010 transcript:ORUFI01G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLKMKRDEKRGDSMLNIGEALGWVKDSHKEDYVMEDMYDQQSLTRHQQVQNKL >ORUFI01G19020.1 pep chromosome:OR_W1943:1:15981332:15984558:1 gene:ORUFI01G19020 transcript:ORUFI01G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARPGRLAASTLPNDSAAAAASTVLSILRGEDPASLPAAGIDPCPAVFQQLRPSLPTVPDSALPALARWAGEATAVSLLTSRGLFAAAWRLLLAPSPTPPPLAAFAPLVRRYARLGRAPAALRAFQFLRRHPDRYMAGGDIPAAASLLNMAVDALCKEGHPRAAVELFERWRREEPDSPPDERAYNILLHGWSRAGRLDKVGKLWAEMRLAGVRPTVVTYGTLIEGLCVKRRPDQAIALLDEMREEGIEANLLTCNPIVYALAQAGRFQDAHKVLEKFPLYGVAPNISTFNSLVMGYCKHGDLAGASSVLKVMTERGISPTTRTYNYFFMTFAKNSDVESGMNFYSKMIGNGYSPDQLTYVLLVKMLSRANRLELVVQMIQEMRTHGFEPDLATSTMLIHLLCRRHQFEEACAEFEDMFKRGIVPQYITYQKLMRELKRLGLVHLIQKLTNLMRSVPHSTKLPGSYRDKEGDDSMEKRKLILQKAQAVSDVLKECKDPKELGKLKEDEETDVEVADSAHLQMSWIAMRPVYASCSDIALNQATQEKMMPHSKSNQ >ORUFI01G19020.2 pep chromosome:OR_W1943:1:15981332:15984558:1 gene:ORUFI01G19020 transcript:ORUFI01G19020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLARPGRLAASTLPNDSAAAAASTVLSILRGEDPASLPAAGIDPCPAVFQQLRPSLPTVPDSALPALARWAGEATAVSLLTSRGLFAAAWRLLLAPSPTPPPLAAFAPLVRRYARLGRAPAALRAFQFLRRHPDRYMAGGDIPAAASLLNMAVDALCKEGHPRAAVELFERWRREEPDSPPDERAYNILLHGWSRAGRLDKVGKLWAEMRLAGVRPTVVTYGTLIEGLCVKRRPDQAIALLDEMREEGIEANLLTCNPIVYALAQAGRFQDAHKVLEKFPLYGVAPNISTFNSLVMGYCKHGDLAGASSVLKVMTERGISPTTRTYNYFFMTFAKNSDVESGMNFYSKMIGNGYSPDQLTYVLLVKMLSRANRLELVVQMIQEMRTHGFEPDLATSTMLIHLLCRRHQFEEACAEFEDMFKRGIVPQYITYQKLMRELKRLGLVHLIQKLTNLMRSVPHSTKLPGSYRDKEGDDSMEKRKLILQKAQAVSDVLKECKDPKELGKLKEDEETDVEVADSAHLQMSWIAMRPVYASCSDIALNQATQEKMMPHSKSNQ >ORUFI01G19030.1 pep chromosome:OR_W1943:1:15988921:16002748:-1 gene:ORUFI01G19030 transcript:ORUFI01G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAGGAAASSASAPPPPRRTPLERTADAAEELYRLRDTFFPRDPVEKAAALRALADDALAVLDSLPPEQRKTPQQRAVYEFLRGKILDVFPDYHKEAEDHLSKAVKLNPSLVDAWLCLGNCIWKKGDLDSAMNCFSLALSKGADKKILCQLSMLERSMAQGSEGQAQLVEESIKHAKEAVMLDIRDGNSWYNLGNAYLTSFFVSGSWDHMKLHHSVKAYQNAEKDETTKCNPDLYYNCATADKYLENYERALRGFEAAALKDPGLGADTEGQLRSKRLASSVSSLSEVNIKSSHKKATIGILSEGLNKTVAVLGKVILLIRHDNIAPMYYLTCDLDQSYFILSVYGLRNEAIKEGDRVALLDPYYRILDISWKEQRYQFKSIRVDFPEQILVNEKAPPPHHVVRASIHAHNKP >ORUFI01G19040.1 pep chromosome:OR_W1943:1:16019051:16022736:-1 gene:ORUFI01G19040 transcript:ORUFI01G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDQGPLRGVIMENPPMVSSSTGVVNCLLDKLAKHEARNPELMGSLRCGLEKLKNDFLDKFAAQEEPNTHVNEWMRQAREVSYDIEDWIDGLLPHVLIRRQDLSEHVEEFEALIKDAYYRCIRYKLVPLPKADINYLGKNEIGSSLDINLLKLGKETERVGVYGQEEEGLVKQLVDNDHTKLKVITIVGIEGLGKTTLANKIYKKVHCQFGYCAFVSFCRNQSIQTTLLNIIHQIRRETDDSINNLNEQQIARDLINYLGNKRYLVVIDNVESARVWKAIKCAFPENNLGSRIIATTPLSHVSETCSCQPNNFTHVMEFLSEHDSKRLFLRTIFGSEENCPSEFREAIDGILKVCCGMPLAIIVTAAFFASRSAELAHPKMVEKFISSVEKQYPMLLRIRKIIHISYADLSLPIKSCLLYLSIYPENHIIKKDRLIRRWIAEGFVPQRDKGSSWEIAEIYFVELLKRRLIQPVYRGGSEEIMGCTVHTLIHDFVVSLSDEENFVIQDANINCMPRDVIRRFSIDYKDQNDQDDILASRSMLLNKVRALTIFGVVQKLEPDKISNFKFLRVLELGDSLRLDSINLRSITGLYLLRYLGLGGCHNIHNLPKDIGKLQNLETLDLRQTNVRELPESSNQLKNLVSLLELGGSINHLCQSDNRIEYSE >ORUFI01G19050.1 pep chromosome:OR_W1943:1:16024436:16029172:1 gene:ORUFI01G19050 transcript:ORUFI01G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFRALQSVLGKLSYVIELDKNLTSSVKSDLRVIKNEMAMMNTHISSSEHYGNLQDAIDEQWILQLQELAYDMEDSIDTLYRTPKLWFLRRSISIFTGTDHRPGFINEVQSFKERLMSLKGWKSFSPITQIRGRENGYLDDAVPSVTQSDCPWLSDNLVGIDQPKLELLNLLMPEGHADSREARQVVSIVGHDGLGKTTLAKAVFHSEDIANSFPDCRIWVVASDHSDLKGLLVDILCKVDGGTIETRSSLGLEQIRGKLRSCLQKTRYFIVIDDLHLQAELTWIDIESALPKSTSNRIIITTSILSVANACSRGSYVYKMQGLNKDCSKTLFWNNVYGHEYPCPLPPDLERYSEGIFNKCDGSPLALRTIGRYLYPQRNSLTGKQCQHLVHELGTKLARADCQLEAINRVLHQRYNRFLGHGPRACLLSLSMLHSKSGRPIKTKSLLRRWLAEGFVVRYGQTGENDAHECFKQLIDQSILDAVEIRYNSEVKRSQLPGLMLEFLIQKSISINFFTAITEGNIVRGNSTVRIRRVSIQDITKDETITEMQSMEEFTHVRSLTLTNSHIVNNFSVIKKTVDSQDCNLIRVLDIEKCTGVTADVLDTICNLFRLLKYLSIRDSDADKIPPKIQKLVCLETLDIRGTDVVSLPLEVFKLPMLVHMFGKVKLPSELKKVKGETNICDDHGLSSRILETLAGFCIDDDCGFEKILEHMKFLKKVKIWCSDSPPSDGSTELLVSCLNKRIEGRRALESMSIDFGNNSIDFINNVNDLGMLSSVKLRGNLTSFPNFISPHDVVLSELHLVSTGLPIETISNLQNLTYLEYLRVEEENDRPEFWDRSFVVRSGGFLSLRRLCLAGPKVPRVQIERGAMLHLTSLYLLSGDYGDEVGVRGIAYLNNLNEVMLHPDVSKTSFEAWKAATMSHKNRPYVRKAIRPEERGNRERQ >ORUFI01G19060.1 pep chromosome:OR_W1943:1:16036041:16040940:-1 gene:ORUFI01G19060 transcript:ORUFI01G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISHAFMKPANVRVSRGVLAESVGHGRSTQDSTLRACTQPLGLNMTFLPLAAISLSEPRTHDQDRAEREERRAKGGATMPAPSPSPNIRDIDGSQNEEQAAAGCVGAAQSRKAVE >ORUFI01G19070.1 pep chromosome:OR_W1943:1:16086945:16091259:1 gene:ORUFI01G19070 transcript:ORUFI01G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAIQLYSLLFKLILRRRLSSLSASASSSSFGVSSRAAADHHHPSPPSNPSFSSAAGTDAVATKDLHPDPLSSLHLRLFLPNPHHSAAPAAAANAPPPLRRNSFPQPAHDAGSPAAAVGQELSRRASASFSGVSPSAAPCYGGYLPTARYGRRLPVIVQFHGGAFATGAADSAANDAFCRRVARLCDAIVVAVGYRLAPESRYPAAFEDGVTVLKWIAKQANLAACGRTMARGAGSGGADSFGAALVEPWLAAHADPSRCVLLGVSCGANIADYVARKAVEAGKLLDPIKLDWMKDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDVLLKTPLAQACAEDIAIWVKKYISLRGHELSY >ORUFI01G19070.2 pep chromosome:OR_W1943:1:16086945:16091727:1 gene:ORUFI01G19070 transcript:ORUFI01G19070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAIQLYSLLFKLILRRRLSSLSASASSSSFGVSSRAAADHHHPSPPSNPSFSSAAGTDAVATKDLHPDPLSSLHLRLFLPNPHHSAAPAAAANAPPPLRRNSFPQPAHDAGSPAAAVGQELSRRASASFSGVSPSAAPCYGGYLPTARYGRRLPVIVQFHGGAFATGAADSAANDAFCRRVARLCDAIVVAVGYRLAPESRYPAAFEDGVTVLKWIAKQANLAACGRTMARGAGSGGADSFGAALVEPWLAAHADPSRCVLLGVSCGANIADYVARKAVEAGKLLDPIKVVAQVLMYPFFMGTSPTQSELKLANSYFYDKSTCLLAWKLFLPEGEFSLDHPAANPLVPGKGPPLKLMPPTLTVVAELDWMKDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDVLLKTPLAQACAEDIAIWVKKYISLRGHELSY >ORUFI01G19080.1 pep chromosome:OR_W1943:1:16094693:16098945:1 gene:ORUFI01G19080 transcript:ORUFI01G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSETLDQSHNPPPLHGRLRLARRLPSPPGGDRSPRRARVPDADAEEGGRRRRRRSTDSDDERGDRDRDRHRRRHRRRSPSSESSDGGRSRRHRRDESSRRQRDERRRDRGGREERRRSPDRKEPTPPLPPPPPLLPEMIPGRTGGIYIPPFRMAQMMREVEDKSSPEYQRLSWDALKKSINGLVNKVNATNIKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDELDPETNLNVFRANPNFAEDEKAYENLKRSILGAESSDDEEGSDDASDEDAEEESDDEEDEEQMEIRDQTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNEKLNDPNMQDSFDSIFPKDHPKNTRFSINFFTSIGLGGITETLREYLKNMPRLIMQQQKPASSESGSSDSGSASDSSSSESESSSDESEKKRSKRRKSFATVLS >ORUFI01G19090.1 pep chromosome:OR_W1943:1:16108032:16111213:1 gene:ORUFI01G19090 transcript:ORUFI01G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADADEETPLVEESTAAIISCAGAGAAAVARSARFLLPRAGATAAALPGCPPCAGPVLGDGHRVEFHGWPCSSALWRRWVERLRPRHEPLWREVGILDAVLATAAACRVRRDGGLVLQLAAFWCGATSSFAFPWGEATVTLEDVAVLGGLPLLGAPVTARLPGTLAGDVAALEAVRAALHRSKCKNSTYSTWLHHFLGRDGGDGDGEDAEAEAQSLLEHGAFLATWLSLYVLPAGAAAVRPQVFPLAARLARGQSVALASIYNDLTALKRHLASSTKWRHPFVAWAPLHVVQLWAWERFPELRPDKAATSAHATADGHGAPPPWAARWHNARTELQPAHIHAVLMSPMEFEWRPYGSSGFALQLDKAGIWIHGRDIVRSRELLSFAHCLRPYELVGLRCVEHYLPHRVTRQLGFDQDVPGNVPRASSNSSVAWATYKMEPQDVKFTLPRHEPGVTVEYAQWWEPYSSACAAAVANAAKMKQLDGVDCPRKRNAEGFVDGDSGKRRHLEIAEDPEDEIPLIDRQNSITMTVNGSSNHVEIVGIGKDSMASWARNGGNGSPLHKSTQQALSDAEAVLETTVGEDEASDYVITEDKKNSKVCCLVEDATSDDSNKAIGPAASVTRKSIPKDVVVISDDEFDEELSSKDDEKNTIYLSSNTMETTKCTLQALDVKREVVITGNGEQGSPLLKEVRVHSKCYDIIEINDDESIEVTRKEDEAIAMHVNSDPMETTKCTLQELDVKREVVITGNGEQSSPLLKEVRVQSKCYDIIEIDDESGEVTSKGEEAITMHLNSPMLDKIASTLREANEESKPGNTSDKRENSVLKDIMPRNNCDSEDATVLNDITLRKELAEVTHISSAQINVDTSEASTKEMRACITSGEADKRGKLNKKRLAALEGDEKENEDMSVSNQEIGSHMDCQEVNKKGNNESSSSILVDGNADHVKKTVSTKTPCKNADQEGFHQSREVGMEEMVRGASEAQQAQKAQLEAAIDGLKEEIAMLERQVRDTNPRKA >ORUFI01G19100.1 pep chromosome:OR_W1943:1:16114837:16115113:1 gene:ORUFI01G19100 transcript:ORUFI01G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTPAAPTPRSEAALAGELRGRRTTRHHAGRQALGRCSGLRLGGATSGGTSSSRSSSRHGDQERGIEYIEFFLCS >ORUFI01G19110.1 pep chromosome:OR_W1943:1:16116855:16119744:-1 gene:ORUFI01G19110 transcript:ORUFI01G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSSEKGVMPWRTVGLSAWCAAARHEVGGEAQSPTPTSPAAGGAQSRGEELGLAAARGDPTCRTHNITPLATFPTPSSFEGAFSLPALPCSSPLPLLLMPPRAPAASAARLHAHVLELHGCGGGGGGLALRRAHAASLVSGALATSLPLAGALLLSYAALSDLASARLVLRHHPLRLRSAFLWNSLSRALSSASFPSEALRVYNLMLRSAVRPDDHTFPFALHAAAAAVAFAEDKGLELHASALRRGHLADVFTGNTLVAFYAACGKACDARRVFDEMPARDVVSWNSLVSAFLVNGMFHDARRALVSMMRSGFPLNVASLVSVVPACGTEQEEKFGLSIHALAVKVCLNTMVNLANALVDMYGKFGDVEASMQVFDGMLEQNEVSWNSAIGCFLNAGFYGDVLRMFRKMSEHNVMPGSITLSSLLPALVELGSFDLGREVHGYSIKRAMDLDIFVANSLVDMYAKFGSLEKASTIFEQMKDRNVVSWNAMIANLVQNGAETEAFRLVTEMQKSGECPNSITLVNVLPACSRMASLKMGKQIHAWSIRRGLMFDLFISNALIDMYSKCGQLSLARNIFERSEKDDVSYNTLILGYSQSRWCFESLLLFKQMRSVGIDYDAVSFMGALSACTNLSVFKHGKEIHCVLVRRLLSGHPFLSNSLLDLYTKGGMLVTASKIFNKITKKDVASWNTMILGYGMHGQIDIAFELFELMKGDGLDYDHVSYIAVLAACSHGGLVDKGKKYFSQMVAQNIEPQQMHYACMVDLLGRAGQLSKCAEIIRDMPFPANSDVWGALLGACRIHGNIELAQWAAEHLFELKPEHSGYYTLMINMYAETGRWNEANKIRKLMKSRKVQKNPAYSWVQDQDGNKLQAFLVGDG >ORUFI01G19120.1 pep chromosome:OR_W1943:1:16123141:16127757:-1 gene:ORUFI01G19120 transcript:ORUFI01G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRRSPASPAVAIALFVFLTYGCGGVCEAAPASAVVKSVPGFDGALPSKHYAGYVTVEEQHGRNLFYYLVESERDPAKDPLVLWLNGGPGCSSLDGFVYEHGPFNFESGGSAKSLPKLHLNPYSWSKVSSVIYLDSPAGVGLSYSKNTSDYNTGDLKTAADSHTFLLKWFQLYPEFLSNPFYIAGESYAGVYVPTLSHEVVKGLHDGVKPTINFKGYMVGNGVCDTVFDGNALVPFAHGMALISDDIYQEAQTACHGNYWNTTTDKCENALYKVDTSINDLNIYDILEPCYHSKTIKKVTPANTKLPKSFQHLGTTTKPLAVRTRMHGRAWPLRAPVRAGRVPSWQEFARGSRPSGVPCMSDEVATAWLNNDDVRAAIHAQPVSSIGSWLICTNVLDFIHDAGSMISYHKNLTGQGYRAFIYSGDHDMCVPYTGTEAWTRSLGYGVIDSWRPWHLNGQVSGYTQGYEHGLTFATIKGAGHTVPEYKPQESLAFYSRWLAGSKL >ORUFI01G19130.1 pep chromosome:OR_W1943:1:16136495:16137182:1 gene:ORUFI01G19130 transcript:ORUFI01G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQCPPRCRPSHHTPNRRAFITATAPPPSSIPLGFCTTRGKKMQMMELYAFLGHVGSTSLFSLWLNLSFWVAIDGDCVCASGFGNRRADGVGLSYNQR >ORUFI01G19140.1 pep chromosome:OR_W1943:1:16172232:16172492:1 gene:ORUFI01G19140 transcript:ORUFI01G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAQQLIGRGSGAVVVVVMDSLGMAASSEPKACEALGVRETRAGLASARFPREPLVKIRANPFPLPLLSLFLSLLLFFKAWTSA >ORUFI01G19150.1 pep chromosome:OR_W1943:1:16180807:16181514:-1 gene:ORUFI01G19150 transcript:ORUFI01G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNGAGSGGEAGDGGQELEAAAAMVAATPAISGAGDWPGENQGRKWSAVVAAARPVAAGSGGAPCSRRRPNRAATPGGGAGGTPASDGMGKERLEVSRRHSFVVRPRASVCGRVARAGRHELSANMRKRGVGLARGGTGSGSAWLGLAE >ORUFI01G19160.1 pep chromosome:OR_W1943:1:16181916:16184483:1 gene:ORUFI01G19160 transcript:ORUFI01G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVVDDYSLPDPGDEEDKDDDWNTDEKAPPLASPDKNAYWNDDDDGSSGYLPPRKKQK >ORUFI01G19170.1 pep chromosome:OR_W1943:1:16187830:16192769:1 gene:ORUFI01G19170 transcript:ORUFI01G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEEITDGSDLLNCILTSRHLDYYWYSRIPVSQNPAPLPQIRFTPQSLAPLTPAAGGAAQPMGIPAASVAARTAVGAALAAVIAARAVRRRSLDGSGGAAGFVVMAIHLACGYRYGALVLAFFFTSSKATKIGADRKRRIEDDFKEGGQRNWIQVLANSLIATILVIILATMTGGQDQCLDSHESKVITGIIGGIIGHYCCCNGDTWSSELGVLSDEQPRLITTLKPVRKGTNGGVTLQGLLAATAGGLIIGLTFVFVGLLTVECSFDVALRQLLVIPISATAGLLGSLIDSVLGATLQFSGYCSVRKKVVSKRGPTVTKISGMTILDNDAVNAVSVLLTTAVTAYACIRIF >ORUFI01G19180.1 pep chromosome:OR_W1943:1:16195130:16199654:1 gene:ORUFI01G19180 transcript:ORUFI01G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNSGEPSTAPQPNRWYELRLGSSCRDPSPTAKFCTLRYEFKPASIDKTQAGSLQKTKDNRVTVEFHNNQPGKPKVTFEGSQEEYKDNDGVLFFDGETFRLERLHRAVKRLRHVRVPGESSAATSATTGMGESHSPPLPKVGKSPAMSKPAVHSVPVEVERIDIGEPENPGLRNNNRSTTYQPVTTNPFSFSPDPNDQEENLDILGDDDNGSPNNMSSGQGASVRGFDINIPNQLDIDDEIADVDVSDEADEGLNAAEALRAQVNAEGQQDEQETSSSSGSSSSSSSSGSGSGSGSSSSDSDGSDGDSASSGGDVDI >ORUFI01G19190.1 pep chromosome:OR_W1943:1:16200445:16200918:-1 gene:ORUFI01G19190 transcript:ORUFI01G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPSSFLSSLPSLTFIISLSSGVLPVNEEERGEGDGWSSRARGFISVAILTGAQAQGKERE >ORUFI01G19200.1 pep chromosome:OR_W1943:1:16227175:16228742:1 gene:ORUFI01G19200 transcript:ORUFI01G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDSLSDMCSLTETKEALKLRKKRPLQTVNIKVKMDCEGCERRVKNAVKSMRGVTSVAVNPKQSRCTVTGYVEASKVLERVKSTGKAAEMWPYVPYTMTTYPYVGGAYDKKAPAGFVRGNPAAMADPSAPEVRYMTMFSDENVDSCSIM >ORUFI01G19200.2 pep chromosome:OR_W1943:1:16227339:16228742:1 gene:ORUFI01G19200 transcript:ORUFI01G19200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDSLSDMCSLTETKEALKLRKKRPLQTVNIKVKMDCEGCERRVKNAVKSMRGVTSVAVNPKQSRCTVTGYVEASKVLERVKSTGKAAEMWPYVPYTMTTYPYVGGAYDKKAPAGFVRGNPAAMADPSAPEVRYMTMFSDENVDSCSIM >ORUFI01G19210.1 pep chromosome:OR_W1943:1:16231026:16231463:-1 gene:ORUFI01G19210 transcript:ORUFI01G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYLLVRRGSVGTSGVVIVAAAPEKRETGGWIWRQRKRGYWRESERIEAAAVLPFSLVAVFPSCSYRLRAPATVASPATERHPPLSRLACWPPAALPRPEREKRRKRRVMTWITLTCGDHVGPTLTQPSRRLKPESKPLRDLL >ORUFI01G19220.1 pep chromosome:OR_W1943:1:16232680:16239774:1 gene:ORUFI01G19220 transcript:ORUFI01G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAMAALPASPCSSSSSPSSLFLAPNPCCWKAAPRATVRASASAARRTLSSAWELPGPSAARPAARKPRLEELDTTNMLLRQRIVFLGSPVDDMSADLIISQLLLLDAEDKTKDIKLFINSPGGSITAGMGVYDAMKFCKADISTVCFGLAASMGAFLLAAGTKGKRFCMPNARIMIHQPSGGAGGKVTEMGLQIREMMYEKIKINKILSRITGKPEEQIDEDTKFDYFMSPWEAKDYGIVDSVIDEGKPGLVAPLAGAVPPPKSRVWYLWNASGPTRKIMKNLPSEEKLIQNVQVETMGSSRRSQQLEFWYGGNLSGS >ORUFI01G19220.2 pep chromosome:OR_W1943:1:16232680:16238558:1 gene:ORUFI01G19220 transcript:ORUFI01G19220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAMAALPASPCSSSSSPSSLFLAPNPCCWKAAPRATVRASASAARRTLSSAWELPGPSAARPAARKPRLEELDTTNMLLRQRIVFLGSPVDDMSADLIISQLLLLDAEDKTKDIKLFINSPGGSITAGMGVYDAMKFCKADISTVCFGLAASMGAFLLAAGTKGKRFCMPNARIMIHQPSGGAGGKVTEMGLQIREMMYEKIKINKILSRITGKPEEQIDEDTKFDYFMSPWEAKDYGIVDSVIDEGKPGLVAPLAGAVPPPKSRVWYLWNASGPTRKIMKNLPSEEKLIQNGNGSASGDDGKFKEISTA >ORUFI01G19220.3 pep chromosome:OR_W1943:1:16232680:16238558:1 gene:ORUFI01G19220 transcript:ORUFI01G19220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAMAALPASPCSSSSSPSSLFLAPNPCCWKAAPRATVRASASAARRTLSSAWELPGPSAARPAARKPRLEELDTTNMLLRQRIVFLGSPVDDMSADLIISQLLLLDAEDKTKDIKLFINSPGGSITAGMGVYDAMKFCKADISTVCFGLAASMGAFLLAAGTKGKRFCMPNARIMIHQPSGGAGGKVTEMGLQIREMMYEKIKINKILSRITGKPEEQIDEDTKFDYFMSPWEAKDYGIVDSVIDEGKPGLVAPLAGAVPPPKSRVWYLWNASGPTRKIMKNLPSEEKLIQNGNGSASGDDGKLLHIVKLNVVWQ >ORUFI01G19220.4 pep chromosome:OR_W1943:1:16232680:16238558:1 gene:ORUFI01G19220 transcript:ORUFI01G19220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAMAALPASPCSSSSSPSSLFLAPNPCCWKAAPRATVRASASAARRTLSSAWELPGPSAARPAARKPRLEELDTTNMLLRQRIVFLGSPVDDMSADLIISQLLLLDAEDKTKDIKLFINSPGGSITAGMGVYDAMKFCKADISTVCFGLAASMGAFLLAAGTKGKRFCMPNARIMIHQPSGGAGGKVTEMGLQIREMMYEKIKINKILSRITGKPEEQIDEDTKFDYFMSPWEAKDYGIVDSVIDEGKPGLVAPLAGAVPPPKSRVWYLWNASGPTRKIMKNLPSEEKLIQNGNGSASGDDGKFKEISTA >ORUFI01G19230.1 pep chromosome:OR_W1943:1:16242063:16250511:1 gene:ORUFI01G19230 transcript:ORUFI01G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRLVVVLLLALLVAGAARAAEQAAGEDGIRGGAGADHQEAAGITGGLSRRSFPAGFVFGTAASAYQVEGMALKDGRGPSIWDAFVKTPGEIANNATADVTVDEYHRYKEDVNIMKSMGFDAYRFSISWSRIFPTGTGKVNWKGVAYYNRLINYMLKIGITPYANLYHYDLPEALEVQYGGLLNRKIVEAFADYAEFCFKTFGDRVKNWMTFNEPRVVAALGYDDGNFAPGRCTKCTAGNSATEPYIVAHHLILSHASAVQRYRHKYQHIQKGKIGILLDFVWYEGLTNSTADQAAAQRSRDFHVGWFLHPIIYGEYPKSLQVIVKERLPKFTADEVHMVKGSIDYVGINQYTAYYVRDQQPNATTLPSYSSDWHAAPIYERDGVPIGPRANSDWLYIVPWGLYKAVTYVKEKYGNPTMFLSENGMDDPGNVTIAQGVHDTTRVAYYRSYITKLKEAIDDGANCIGYFAWSLLDNFEWKLGYTSRFGLVYVDFRTLRRYPKMSAYWFRDLVSSKN >ORUFI01G19240.1 pep chromosome:OR_W1943:1:16253311:16253787:1 gene:ORUFI01G19240 transcript:ORUFI01G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPHTISGTSPFPRNSSTAAEMIVTEQEHLQPRHRRSRKRDRPPPTPPSGNIKAAPAPLPEGGGHGHEEEARDEDVDRFYALLDEVREMRELWRRNGDCVATKRTSVDGGQKKQDRQQLWRPTFVMEDFAFELKGSQVVQPEKKVDSAPNLDLSLSM >ORUFI01G19250.1 pep chromosome:OR_W1943:1:16277856:16278167:-1 gene:ORUFI01G19250 transcript:ORUFI01G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAGEKKAVDMRGGARARHPPPFTPNPIATMGFLHTGGGRNDRNQSREKTAIAPLSLHRWPNPCRAEVFEAGARTEDMDATSTRRRMEAATAESRERRMMWP >ORUFI01G19260.1 pep chromosome:OR_W1943:1:16280270:16280785:1 gene:ORUFI01G19260 transcript:ORUFI01G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLSTGEKTKKMATTSRPSSPLPPEEETAAETTTSEEEEQQQMERFYALVANVRALRAMFKEAALPSCREDDVSGGGGGEQRQKRPRAAPWRPAFEMAVFECRGGGGTTTDDIEAATTKGQDGNCKKGKRSEANAAAEEDKGEVIEGKPVAIAIVADGPGKSTTMPDSN >ORUFI01G19270.1 pep chromosome:OR_W1943:1:16320623:16322752:1 gene:ORUFI01G19270 transcript:ORUFI01G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLLPTRHHRRPPQTDPAGDDARCSSRCIARSFSMEAEGSSSQPPPVLLLRPTPHQPPSLAVSRGDGGVVSDAEQGDLVHEMVEPMSKKNAPLFTGPPPERPENPVIRDPLFGKALPTPTDCFTLAAAWARPRANGHHPGEPCAAPSLVWVETFCCLDHGRRRRRIAASA >ORUFI01G19280.1 pep chromosome:OR_W1943:1:16337525:16337864:-1 gene:ORUFI01G19280 transcript:ORUFI01G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWRWARSLARKKRAAFFAMLYILYVSDAGSWWHGWDVGPTMPSNQLDGPRNKRVKRRNKRERRREMMRKRRKRRGREDEEQVELGPS >ORUFI01G19290.1 pep chromosome:OR_W1943:1:16344426:16344764:-1 gene:ORUFI01G19290 transcript:ORUFI01G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPARRGWGVGALARAFLVLLLLLLAAATTTTIGFGCRGAEAIRVIPPHGPAPGSARSSRGHGHRRSHGNAARVVDAAMPVVGTRPVPALSPAADEESKRRIPSCPDPLHNR >ORUFI01G19300.1 pep chromosome:OR_W1943:1:16348277:16348621:1 gene:ORUFI01G19300 transcript:ORUFI01G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPTPDGAPSPRVDGGPAHGGKPPTAPRLRAWMAGRRVEERLTTRRGDGGDGRSGAAAAGSGLPAVGSGASMTDLAGASPRATTMGAEGGGGGWRLAAAGEGGRHVWRTQW >ORUFI01G19310.1 pep chromosome:OR_W1943:1:16349761:16350365:1 gene:ORUFI01G19310 transcript:ORUFI01G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDGRVRERGDPFFSLTLSLSNPTTWMDVKHGEGGDSCLMPGPRRGVGAVAARRRGLDGGSAKMASTNNRQRPSLWAGNGDACRRRFPLGALPYFLLFPSGFFMSKPCLGYLARTAAALLASFSFLEASFRSPIALGCCVHVLFKQ >ORUFI01G19320.1 pep chromosome:OR_W1943:1:16363810:16364742:-1 gene:ORUFI01G19320 transcript:ORUFI01G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEVGIESTCSRGEVERGKRGIDQKVLTRYASHHPSGWLHRLTRSLGRCRWRGSRSQDRIGEDNEVMENNTACQMQYVSSVYLFDELSLQRICEGWLL >ORUFI01G19330.1 pep chromosome:OR_W1943:1:16364993:16365254:-1 gene:ORUFI01G19330 transcript:ORUFI01G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWTGVLTMAAVAGKATAQCGVDGSDDGARLNAMGGGGEREHGGEVVFWGNGGDAEVELGTALPTAQVAWRDGD >ORUFI01G19340.1 pep chromosome:OR_W1943:1:16366589:16367014:-1 gene:ORUFI01G19340 transcript:ORUFI01G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHWKRLYDMGARRVLVMGTGPLGCAPHVMRAAELFNPQLSRALQELAGEPVPVEEHVAERRGGGKVPQERTSERIEVEAERVQRRQIVVAAEDDADAAMATADTASPRAFRQIERDERAVVVWQRGTRHAPWKCGRGQP >ORUFI01G19350.1 pep chromosome:OR_W1943:1:16374314:16378012:1 gene:ORUFI01G19350 transcript:ORUFI01G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPFTDDLLAEILLRLPSAASLQRAALASKCWLAVASGPDFLRRFRARHTSSPLLGLFVSHGSSGLPVFHPAATVRSDPDLGAAVLGGDFSLIRVGDGEDPRWQLRDCRNGRLLLCGGRSVAVYDPVSRRRVSIRRPQDDPFSDAYIADCLLHGRGDNGAASFRVVSVQRHGRRMRAAEYNSGTREWSFHPWVENMRRPRRGQAMHAAGIIFWKCEDNFVILLDTLTMEFSMLSLPVSLFQPSKYAIGEMEDGVCCLVCLDGTMDNVHMQVWLLMEEDGGGRRWELEKEMPVSEVLDRHSLVRQVRTVASGLVLVSWDDRYPQFAIDLKNMKVMAEFRCSGETYLFQTPWPPALLVDSEVQPADLAIPLQSAEYVEPLQMIATQNMMKHVNLAAERTDVVNSEGPLDLVLEPHGPLDAQQAMAAEAETLVVTADLKLVRSTEAQNQSVAEKPEIMKGPEVPVSKRSMSRLEKRRGERYESALHKAMERKARYMGGVEQLSTSLGRNYRRSEKPIVVDSSYERYYQCRQRREKPIVVDSRYGKYYQRRQRRPAAGVQGR >ORUFI01G19360.1 pep chromosome:OR_W1943:1:16382468:16383049:-1 gene:ORUFI01G19360 transcript:ORUFI01G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGRWRRRLLSPPTATRTSPKTNLPIKALWWCLVWRGREEVSTALSQLVPTIFSMSMKVEKRSSSESMSSKPSPSALPTRPKLSGKRKAASDLAWRQGQWQPRPAAWARHAATTARDGFVAHVRHHCLLGKLIVGAPVHDVEQSRRLQWRLRHSRCASFSSPDQRSCPRDIAVHKTSTIVAVAPAPDDGGGE >ORUFI01G19370.1 pep chromosome:OR_W1943:1:16384218:16389538:1 gene:ORUFI01G19370 transcript:ORUFI01G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKKPHKELKLSVPAQETPVDKFLTASGTFKDGELRLNQRGLQLISEETADEPQSTNLKVEDVQLSMDDLEMIQVIGKGSGGIVQLVRHKWVGTLYALKGIQMNIQEAVRKQIVQELKINQATQNAHIVLCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCAFISSCIQKDPAERMSASELLNHPFIKKFEDKDLDLRILVESLEPPMNISE >ORUFI01G19380.1 pep chromosome:OR_W1943:1:16391134:16391478:-1 gene:ORUFI01G19380 transcript:ORUFI01G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQRGWVCVYDRALVDPEGKRRVVGAVAAPATVANGGFIRAPRKPTNHSKFTGGRAFRELASGKGACSGGPAASTTGGKGRHKFKHDEIKAYYLELEAGADDAVDELGSL >ORUFI01G19390.1 pep chromosome:OR_W1943:1:16410458:16425741:1 gene:ORUFI01G19390 transcript:ORUFI01G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPVSRMMFDDDDDEPQLNAVDNYYLLDAREVPVCLSVLPFQFKDTDEVPECKKDVFLWGTADPGIKVYRKVIAWKLGLQGKQPEISVLSAEGSWISLTKPKNSYEEKIRTILITVQMLHFLKKKPEEPEKNLWSHLRKIFDKFEVRPSEDDLRNHRSLIKHFAEKDSTLAKSEILQGFTQETSRKKFSEVGSDKVEIKVPFIADDEDIEEMADVDNNIESDEEEEEDLFDSICSICDNGGDLLCCDGPCMRSFHAKIGTGEDSYCDTLGYTEAEVQAMKTFLCKNCEHKQHQCFICGALEPSDGPAAKVFLCNNATCGHFYHPKCVARQLHSKNKNEASELEMKIANGHSFTCPSHWCFHCKGLEDRTQEELQFAVCRRCPKSYHRKCLPREISFEDSENEDAITRAWELSKRILIYCLDHEIDTDIDTPIRDHIKFPRTPNIEKPARLLKKSTKVVKKKRPFSESVPDQLSTELRKLPDMVCIQESDRARKISARSSSEQFVVKPDKKKARFLKDTPQPDPCLLKDASTGITKPAKEQGKLLVTMPSSSTSTKIPQSSFPRVDSETEKRVMALVEREASYLTLKDISRKCLMPSTHAYSGRQVDRIIATGKLERSVQAVGAALKMLENGGNVNDAKAVCEPEVLKQLTRWHSKLRVYISPFIYGTRYSSFGRHFTKVEKLVEIVDKLHCYVEPGDTIVDFCCGANDFSRLMKEKLDKVQKKCHFKNYDLIQPQNCFAFERKDWMTVRPKELPHGSKLIMGLNPPFGVKASLANKFIDKALTFKPKLVILIVPKETKRLDQKKTPYDLIWEDSDCLSGKAFYLPGSVDVNDKVVEGWNASAPPLYLWSHPDWTRKHRKVAEEHNHTSIGRIASHVEEDNLSDNLPMMKETESYGIHNSRSGKEENAGQTSCNLKGTNLSDLPVRRQAEAGNKRNVGPGKEKETTEKTSCDVREVILSDDRPVKTQAGYGQVKAKEYHRSDSLPVKKQAETNYQQISQSGKEKEHSMDESNSTHNRSRKRTPDQVDGSLPPEKQVEVAYEERMAIPIKKSTHQEKQLDAGDRLNAHRGSEIMGAKFTEQANSDMSMSSSDSSNAQNKSRSGSPFIPIGHPADKSTGRDSFISYPPKEHLVSKQATYQGRYLASNNECNNAFEGKIDPPFHTNIDDISRKFSPGTDFEVNRQHFTAPTGNVYSLQSLRDSDRFYRTQRSEDRNTNSLESTTGDAPLHGHPTRYSRQVGDDNYLQTSRVPSTLHEQAHPRTHVGHADGYLQTSQYSLGSSGATYGQQTSSYGLPGTSTPYSIMDKYAPGFLAPSAQRSSVMDMYALVPSASGSSVMDKYAPPLEDTNYSARGVPQYPYRQLGSSGGGWPRS >ORUFI01G19390.2 pep chromosome:OR_W1943:1:16410458:16425741:1 gene:ORUFI01G19390 transcript:ORUFI01G19390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPVSRMMFDDDDDEPQLNAVDNYYLLDAREVPVCLSVLPFQFKDTDEVPECKKDVFLWGTADPGIKVYRKVIAWKLGLQGKQPEISVLSAEGSWISLTKPKNSYEEKIRTILITVQMLHFLKKKPEEPEKNLWSHLRKIFDKFEVRPSEDDLRNHRSLIKHFAEKDSTLAKSEILQGFTQETSRKKFSEVGSDKVEIKVPFIADDEDIEEMADVDNNIESDEEEEEDLFDSICSICDNGGDLLCCDGPCMRSFHAKIGTGEDSYCDTLGYTEAEVQAMKTFLCKNCEHKQHQCFICGALEPSDGPAAKVFLCNNATCGHFYHPKCVARQLHSKNKNEASELEMKIANGHSFTCPSHWCFHCKGLEDRTQEELQFAVCRRCPKSYHRKEISFEDSENEDAITRAWELSKRILIYCLDHEIDTDIDTPIRDHIKFPRTPNIEKPARLLKKSTKVVKKKRPFSESVPDQLSTELRKLPDMVCIQESDRARKISARSSSEQFVVKPDKKKARFLKDTPQPDPCLLKDASTGITKPAKEQGKLLVTMPSSSTSTKIPQSSFPRVDSETEKRVMALVEREASYLTLKDISRKCLMPSTHAYSGRQVDRIIATGKLERSVQAVGAALKMLENGGNVNDAKAVCEPEVLKQLTRWHSKLRVYISPFIYGTRYSSFGRHFTKVEKLVEIVDKLHCYVEPGDTIVDFCCGANDFSRLMKEKLDKVQKKCHFKNYDLIQPQNCFAFERKDWMTVRPKELPHGSKLIMGLNPPFGVKASLANKFIDKALTFKPKLVILIVPKETKRLDQKKTPYDLIWEDSDCLSGKAFYLPGSVDVNDKVVEGWNASAPPLYLWSHPDWTRKHRKVAEEHNHTSIGRIASHVEEDNLSDNLPMMKETESYGIHNSRSGKEENAGQTSCNLKGTNLSDLPVRRQAEAGNKRNVGPGKEKETTEKTSCDVREVILSDDRPVKTQAGYGQVKAKEYHRSDSLPVKKQAETNYQQISQSGKEKEHSMDESNSTHNRSRKRTPDQVDGSLPPEKQVEVAYEERMAIPIKKSTHQEKQLDAGDRLNAHRGSEIMGAKFTEQANSDMSMSSSDSSNAQNKSRSGSPFIPIGHPADKSTGRDSFISYPPKEHLVSKQATYQGRYLASNNECNNAFEGKIDPPFHTNIDDISRKFSPGTDFEVNRQHFTAPTGNVYSLQSLRDSDRFYRTQRSEDRNTNSLESTTGDAPLHGHPTRYSRQVGDDNYLQTSRVPSTLHEQAHPRTHVGHADGYLQTSQYSLGSSGATYGQQTSSYGLPGTSTPYSIMDKYAPGFLAPSAQRSSVMDMYALVPSASGSSVMDKYAPPLEDTNYSARGVPQYPYRQLGSSGGGWPRS >ORUFI01G19390.3 pep chromosome:OR_W1943:1:16410458:16425741:1 gene:ORUFI01G19390 transcript:ORUFI01G19390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPVSRMMFDDDDDEPQLNAVDNYYLLDAREVPVCLSVLPFQFKDTDEVPECKKDVFLWGTADPGIKVYRKVIAWKLGLQGKQPEISVLSAEGSWISLTKPKNSYEEKIRTILITVQMLHFLKKKPEEPEKNLWSHLRKIFDKFEVRPSEDDLRNHRSLIKHFAEKDSTLAKSEILQGFTQETSRKKFSEVGSDKVEIKVPFIADDEDIEEMADVDNNIESDEEEEEDLFDSICSICDNGGDLLCCDGPCMRSFHAKIGTGEDSYCDTLGYTEAEVQAMKTFLCKNCEHKQHQCFICGALEPSDGPAAKVSLQQQNTQRKEISFEDSENEDAITRAWELSKRILIYCLDHEIDTDIDTPIRDHIKFPRTPNIEKPARLLKKSTKVVKKKRPFSESVPDQLSTELRKLPDMVCIQESDRARKISARSSSEQFVVKPDKKKARFLKDTPQPDPCLLKDASTGITKPAKEQGKLLVTMPSSSTSTKIPQSSFPRVDSETEKRVMALVEREASYLTLKDISRKCLMPSTHAYSGRQVDRIIATGKLERSVQAVGAALKMLENGGNVNDAKAVCEPEVLKQLTRWHSKLRVYISPFIYGTRYSSFGRHFTKVEKLVEIVDKLHCYVEPGDTIVDFCCGANDFSRLMKEKLDKVQKKCHFKNYDLIQPQNCFAFERKDWMTVRPKELPHGSKLIMGLNPPFGVKASLANKFIDKALTFKPKLVILIVPKETKRLDQKKTPYDLIWEDSDCLSGKAFYLPGSVDVNDKVVEGWNASAPPLYLWSHPDWTRKHRKVAEEHNHTSIGRIASHVEEDNLSDNLPMMKETESYGIHNSRSGKEENAGQTSCNLKGTNLSDLPVRRQAEAGNKRNVGPGKEKETTEKTSCDVREVILSDDRPVKTQAGYGQVKAKEYHRSDSLPVKKQAETNYQQISQSGKEKEHSMDESNSTHNRSRKRTPDQVDGSLPPEKQVEVAYEERMAIPIKKSTHQEKQLDAGDRLNAHRGSEIMGAKFTEQANSDMSMSSSDSSNAQNKSRSGSPFIPIGHPADKSTGRDSFISYPPKEHLVSKQATYQGRYLASNNECNNAFEGKIDPPFHTNIDDISRKFSPGTDFEVNRQHFTAPTGNVYSLQSLRDSDRFYRTQRSEDRNTNSLESTTGDAPLHGHPTRYSRQVGDDNYLQTSRVPSTLHEQAHPRTHVGHADGYLQTSQYSLGSSGATYGQQTSSYGLPGTSTPYSIMDKYAPGFLAPSAQRSSVMDMYALVPSASGSSVMDKYAPPLEDTNYSARGVPQYPYRQLGSSGGGWPRS >ORUFI01G19390.4 pep chromosome:OR_W1943:1:16410458:16425741:1 gene:ORUFI01G19390 transcript:ORUFI01G19390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPVSRMMFDDDDDEPQLNAVDNYYLLDAREVPVCLSVLPFQFKDTDEVPECKKDVFLWGTADPGIKVYRKVIAWKLGLQGKQPEISVLSAEGSWISLTKPKNSYEEKIRTILITVQMLHFLKKKPEEPEKNLWSHLRKIFDKFEVRPSEDDLRNHRSLIKHFAEKDSTLAKSEILQGFTQETSRKKFSEVGSDKVEIKVPFIADDEDIEEMADVDNNIESDEEEEEDLFDSICSICDNGGDLLCCDGPCMRSFHAKIGTGEDSYCDTLGYTEAEVQAMKTFLCKNCEHKQHQCFICGALEPSDGPAAKVSLQQQNTQRKDHEIDTDIDTPIRDHIKFPRTPNIEKPARLLKKSTKVVKKKRPFSESVPDQLSTELRKLPDMVCIQESDRARKISARSSSEQFVVKPDKKKARFLKDTPQPDPCLLKDASTGITKPAKEQGKLLVTMPSSSTSTKIPQSSFPRVDSETEKRVMALVEREASYLTLKDISRKCLMPSTHAYSGRQVDRIIATGKLERSVQAVGAALKMLENGGNVNDAKAVCEPEVLKQLTRWHSKLRVYISPFIYGTRYSSFGRHFTKVEKLVEIVDKLHCYVEPGDTIVDFCCGANDFSRLMKEKLDKVQKKCHFKNYDLIQPQNCFAFERKDWMTVRPKELPHGSKLIMGLNPPFGVKASLANKFIDKALTFKPKLVILIVPKETKRLDQKKTPYDLIWEDSDCLSGKAFYLPGSVDVNDKVVEGWNASAPPLYLWSHPDWTRKHRKVAEEHNHTSIGRIASHVEEDNLSDNLPMMKETESYGIHNSRSGKEENAGQTSCNLKGTNLSDLPVRRQAEAGNKRNVGPGKEKETTEKTSCDVREVILSDDRPVKTQAGYGQVKAKEYHRSDSLPVKKQAETNYQQISQSGKEKEHSMDESNSTHNRSRKRTPDQVDGSLPPEKQVEVAYEERMAIPIKKSTHQEKQLDAGDRLNAHRGSEIMGAKFTEQANSDMSMSSSDSSNAQNKSRSGSPFIPIGHPADKSTGRDSFISYPPKEHLVSKQATYQGRYLASNNECNNAFEGKIDPPFHTNIDDISRKFSPGTDFEVNRQHFTAPTGNVYSLQSLRDSDRFYRTQRSEDRNTNSLESTTGDAPLHGHPTRYSRQVGDDNYLQTSRVPSTLHEQAHPRTHVGHADGYLQTSQYSLGSSGATYGQQTSSYGLPGTSTPYSIMDKYAPGFLAPSAQRSSVMDMYALVPSASGSSVMDKYAPPLEDTNYSARGVPQYPYRQLGSSGGGWPRS >ORUFI01G19400.1 pep chromosome:OR_W1943:1:16426578:16430013:-1 gene:ORUFI01G19400 transcript:ORUFI01G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLRPSPPPPWAIPRRSSGERTKPCRSRSRSRTGTSKQTFPVPLLVGKVGRRPFPVQCSIVRCCLSSTDAIHSTSDDIHEDNGHGHFLMKSTSDLQKVISSCFGKACLLSSVMLVLPPSCFAEPCEPEYSLPNMPLLFAIAMIGATVGGLLARQRRGELKRLNDQLRQINAALRRQAKIESYAPSLSYAPVGSKIPESEVIVDPQKDRLISYLRAGKNYLRNQAPDKAFPEFKAAFDLAQSLGDHVEEKKAARGLGASLQRQGKYKEAIKYHSMVLNISKLTGEDAGVTEAYGAIADCYTELGELEKAGKFYDKYIARLEND >ORUFI01G19410.1 pep chromosome:OR_W1943:1:16438504:16443487:1 gene:ORUFI01G19410 transcript:ORUFI01G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLPTKRTISLVVEQRRRWLHRIPRTMNSTDAQHFGPPSRLASALWKLFACGCFPDPSESHLMRCFPPIAQRMKFASC >ORUFI01G19410.2 pep chromosome:OR_W1943:1:16438504:16443487:1 gene:ORUFI01G19410 transcript:ORUFI01G19410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLPTKRTISLVVEQRRRWLHRIPRTMNSTDAQHFGPPSRLASALWKLFACGCFPDPSESHLMRCFPPIAQRMKFASC >ORUFI01G19420.1 pep chromosome:OR_W1943:1:16440457:16447731:-1 gene:ORUFI01G19420 transcript:ORUFI01G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAIKCMRHAKRTVLTADDVDSALSLRNVEPVYGFASGDPLRFKRAVGHKDLFYIDDREVDFKEIIEAPLPKAPLDTAVVAHWLAIEGVQPAIPENPPVDAIVAPTENKRTEHGKDDGLPVDIKLPVKHVLSRELQMYFDKIAELTMSRSETSVFREALVSLSRDSGLHPLVPYFSYFIADEVTRSLGDLPVLFALMRVVQSLLHNPHIHIEPYLHQLMPSIITCMVAKRLGHRLSDNHWELRDFSANLVGSVCRRFGHAYHNIQTRVTRTLVQGFLDPQKSLTQHYGAIQGISALGPSAIRLLLLPNLETYMQLLEPELQLDKQKNEMKRKEAWRVYGALLCAAGKCLYDRLKLFPNLLSPSTRPLLRSNKRVVTNNPNKRKSSTDLSTSQPPLKKMTTDGAMNSMTSAPMPGTMDGFSTQLPNPSMTQTSSSGQLVESTASGVIRRDQGSNHTQRVSTVLRLAWKEDQNAGHLLSSLYEVFGEAIFSFVQPPEISFFL >ORUFI01G19420.2 pep chromosome:OR_W1943:1:16440457:16447731:-1 gene:ORUFI01G19420 transcript:ORUFI01G19420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAIKCMRHAKRTVLTADDVDSALSLRNVEPVYGFASGDPLRFKRAVGHKDLFYIDDREVDFKEIIEAPLPKAPLDTAVVAHWLAIEGVQPAIPENPPVDAIVAPTENKRTEHGKDDGLPVDIKLPVKHVLSRELQMYFDKIAELTMSRSETSVFREALVSLSRDSGLHPLVPYFSYFIADEVTRSLGDLPVLFALMRVVQSLLHNPHIHIEPYLHQLMPSIITCMVAKRLGHRLSDNHWELRDFSANLVGSVCRRFGHAYHNIQTRIRLLLLPNLETYMQLLEPELQLDKQKNEMKRKEAWRVYGALLCAAGKCLYDRLKLFPNLLSPSTRPLLRSNKRVVTNNPNKRKSSTDLSTSQPPLKKMTTDGAMNSMTSAPMPGTMDGFSTQLPNPSMTQTSSSGQLVESTASGVIRRDQGSNHTQRVSTVLRLAWKEDQNAGHLLSSLYEVFGEAIFSFVQPPEISFFL >ORUFI01G19430.1 pep chromosome:OR_W1943:1:16449200:16450885:-1 gene:ORUFI01G19430 transcript:ORUFI01G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPTAEALRPGDQGWRRDARRAVEALLLRWRVAAEPGGQLVEALCSVPLVAMQAALQEDWICPSVLRAWMIANLKQMTIQIIFWNPWLYVCKHTHATVELYTSPAPPPYRYTIRSDTGLLLASLEEGSSSLCSALLLSL >ORUFI01G19440.1 pep chromosome:OR_W1943:1:16455367:16456362:-1 gene:ORUFI01G19440 transcript:ORUFI01G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSEGCTIRPCLQWIKTPEAQANATVFLAKFYGRAGLLNLLAAGPDHLRPAVFRSLLYEACGRIVNPIYGSVGLLWSGQWQACQAAVEAVLKGDPVVQVSSEAAAAAQATPPLRAYDIRHVSKDAEADAAANLLRVARGGRTRFKRASSSSNSKHGAKLAGAAAAKRAASPSSSSPTHETEPEAVVVVGDHDDDHHHPALSHEAHEEESAGSHDHDDDDHVDDGDNNDMAIADVTPPRAGSEDTEVETGSHVSQAEQSPVPVEHEEGEEEEVGLELTLGFQPLVVRASRRPSSAEARCDLSGLSAESSRIGLRLELPA >ORUFI01G19450.1 pep chromosome:OR_W1943:1:16470086:16472464:-1 gene:ORUFI01G19450 transcript:ORUFI01G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAPGPKLQKAMVAVDESEFSHHALEWALRNLAPTIAPPLLVLTVQPLLPLGYVSAASFGSPLGTPVVAPELIKAMQEQQQQLSQALLDKAKQICAQHGVAVETMIKVGDPKEMICQAAEESKVDLLIVGSHSRGPVQRLFLGSVSNYCMHHSKCPVLVVKKQE >ORUFI01G19460.1 pep chromosome:OR_W1943:1:16474637:16475437:-1 gene:ORUFI01G19460 transcript:ORUFI01G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSISMSRRLFKGLTINPALASGMTCQHHQLQQHAPVSGTAKGKAKLKSGQQLKRNTIGAKKGGAPSTGGGGGGGRGRREAIERITQIAESCLNASTPLRHLSPKERLREAKREELGLISKERQRELDLAKAKAKSKGTREGDGGRVLMGPPGLDYISLGLVDEDAIPKYELTVEDGRRLAKQYSQVLMRRHRARQTAESSLLSLKKEAIAALPEKLRAAAMIPDMTPFPANRYMATLTPPIEGYIEKVRDAAKKYSVKEKLR >ORUFI01G19470.1 pep chromosome:OR_W1943:1:16478646:16481766:-1 gene:ORUFI01G19470 transcript:ORUFI01G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQYVEAQRQARPDLADAYADLADLYQRKLWHQLTLKLDHFLQLPAAQTGDTIIQLYNNFISDFETKINLLKLAHFAVIASRQYPDKDAAISFLEGVTAKLRETRERRIDEPVLYVKMQIAAINLEKGDQKECKKLLDEGKSTLDSMTDVDPTVHASFYWISSQYHKACQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCKVHNAALSAQPALVQNERKLLEKINILCLMEIIFTRPSEDRTIPLSVIAERTKLSISDVEYLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLVAA >ORUFI01G19480.1 pep chromosome:OR_W1943:1:16483732:16486892:1 gene:ORUFI01G19480 transcript:ORUFI01G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGRGSTEDAGVNGDRESRSTTPDPNASSVMTHGAWVGGRACVGSSGGGHDSNNAGTLVPAPVPASSSSVSAGRPSRRPRWWRIMIKMLCTNGVWWLEDLQDLLACYLSLNAAEHHRTIVALFRRVILV >ORUFI01G19490.1 pep chromosome:OR_W1943:1:16492477:16495902:-1 gene:ORUFI01G19490 transcript:ORUFI01G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSSSSPCMIASLRSSHRCRLSPSATATSPPRLRTLPPRRCRRNPSSSSSSSRQAAAISMAPANPRHRLIAPIHAAAAAGGGGGGAGATSPSGLPSLVGIAHLLVSLGIVLASDKFLKQAFAAASIKFPSALFGMFCVFSVLVVLDAFAPALAKGFMDFFEPATLFIQRWLPLFYVPSLVVLPLAVRDVPAASGLKIFLIIFGGWFASLMVAGYTALTVRKIVQTQLIPAEPMSKPSPFATLEFWAWGAVFVASFAVAYVNPTALGTTARTCLPFLLASTVLGYMVGSGLPSGVKKVLHPIICCALSADLAAIAYGYLSRSGVDAVLGDYLTKAPSNPGAGDVLMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSIAIASTFSLYSTAILGRVIGLEPSLTISILPRCITVALALSIVSFFEGVNSSLTAAVVVLTGLIGANFVQAAMDKLGLNDPIARGIGTASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLICSVPAVRQSLSDARKIARIYVYRHIFCDYVSVVIRSKRVILYPSVLTTTKLAPTFHEAAAALQQPAGEQGTDSVVLLELAFDHFVTQRTKCL >ORUFI01G19490.2 pep chromosome:OR_W1943:1:16492477:16494534:-1 gene:ORUFI01G19490 transcript:ORUFI01G19490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGYTALTVRKIVQTQLIPAEPMSKPSPFATLEFWAWGAVFVASFAVAYVNPTALGTTARTCLPFLLASTVLGYMVGSGLPSGVKKVLHPIICCALSADLAAIAYGYLSRSGVDAVLGDYLTKAPSNPGAGDVLMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSIAIASTFSLYSTAILGRVIGLEPSLTISILPRCITVALALSIVSFFEGVNSSLTAAVVVLTGLIGANFVQAAMDKLGLNDPIARGIGTASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLICSVPAVRQSLSDARKIARIYVYRHIFCDYVSVVIRSKRVILYPSVLTTTKLAPTFHEAAAALQQPAGEQGTDSVVLLELAFDHFVTQRTKCL >ORUFI01G19490.3 pep chromosome:OR_W1943:1:16495058:16495902:-1 gene:ORUFI01G19490 transcript:ORUFI01G19490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSSSSPCMIASLRSSHRCRLSPSATATSPPRLRTLPPRRCRRNPSSSSSSSRQAAAISMAPANPRHRLIAPIHAAAAAGGGGGGAGATSPSGLPSLVGIAHLLVSLGIVLASDKFLKQAFAAASIKFPSALFGMFCVFSVLVVLDAFAPALAKGFMDFFEPATLFIQRWLPLFYVPSLVVLPLAVRDVPAASGLKIFLIICMHITTLLSLHAFCYFFLPPDHRAYLLRLCFLRILHSTTSYLIC >ORUFI01G19500.1 pep chromosome:OR_W1943:1:16500458:16504064:1 gene:ORUFI01G19500 transcript:ORUFI01G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPSATRYLLPSPRYTSLRASSLLPVVATMVCPHRHRGGSPFPLEPCLDYPDGAGVVCPFPGLAAAHAILDDASGYIASKPSTAAGILEVMRRPEDRFVVISSQGAAFDPPTIGSSKTIVRRVHTQTFLSFVMAISLLQPTTLLALPTTTPTRATTEASHRADEASHFQFHLLFLPPCNGLGGFYIIGNHLCQVFNKFSDRTLYGLHIFKDIIVNHLWIGLRVKFVSYGVLMHKSVLHLQFYTIIVLHDIAVHSDYFQWIMYEALQCKIVPRQLNPLDRYSKSLCTYRVYDYSATKYWPIPIIT >ORUFI01G19500.2 pep chromosome:OR_W1943:1:16500458:16504064:1 gene:ORUFI01G19500 transcript:ORUFI01G19500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPSATRYLLPSPRYTSLRASSLLPVVATMVCPHRHRGGSPFPLEPCLDYPDGAGVVCPFPGLAAAHAILDDASGYIASKPSTAAGILEVMRRPEDRFVVISSQAISLLQPTTLLALPTTTPTRATTEASHRADEASHFQFHLLFLPPCNGLGGFYIIGNHLCQVFNKFSDRTLYGLHIFKDIIVNHLWIGLRVKFVSYGVLMHKSVLHLQFYTIIVLHDIAVHSDYFQWIMYEALQCKIVPRQLNPLDRYSKSLCTYRVYDYSATKYWPIPIIT >ORUFI01G19510.1 pep chromosome:OR_W1943:1:16508433:16508807:1 gene:ORUFI01G19510 transcript:ORUFI01G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAVARLMAARREDIGEAKDDAVGDGEADAAASWTTVAEFTPSADLTTTVEPAKGTRGW >ORUFI01G19520.1 pep chromosome:OR_W1943:1:16520041:16528933:1 gene:ORUFI01G19520 transcript:ORUFI01G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKMEGPSAPAMRRDPYEVLSVPRDSSDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDTAGFEALENEGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTVSPNVLEEAMSGTVTVRPLPVGSSATGKVDKQSAHFYGVTISEEQAQSGIVVRVTSAAQSKFKLLFFEQEINGGYGLALQEDSQKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSALKSGTHIFAVYGDNFFKPASYTIEAMCAKSYEDTTQRLKEIESKILEKRNDLRQFETEYRKALARFQEVTNRYTQEKEAVDDMLRERDDIHSSFTTERTMVNSVGAGSSSSRYPTESPENGNIDGKDKSSKKKWFNLNLNRSDKKA >ORUFI01G19530.1 pep chromosome:OR_W1943:1:16530311:16533148:1 gene:ORUFI01G19530 transcript:ORUFI01G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPAAPAPAPSLVDTLFQRSLDDLVKSLRADPSAAGESAAVARALSEIHREIRAPDAATKAVALQKLTYLSSLHFASVASHPLAFPAIELIASPQLPHRRLAYLAASLSLHPASLSLLPLATHQLHKDLSPSTSSAANHHVTALALQLLASPAAAAAPDLPVHLAHDLVPHLSRGSPRAIAAAARVIAASPSAAVPVLFKPLAACLASPDPRASAAAAAAFCELSAPPADAAPFLPLAPDLYNLLTTSRSNWALIKVLKVFARLAPLESRLAARIVDPVCQLLARSAAMSLTFECIRTVLTALPAHDAAVRLAIGKAKEFLAAEDDPNLRYLGLLALGMLGPAYASTLHECRGVIAQSLGDADSNIRREALHLMMGLIDDSNVTDIAGMLVSHASKSDPEFANDILGAVLSACGRNVYELVSDFDWYVSLLTDMGRNLHCAQGDEIGRQLVDVGLRVQDARPELVHSSRTLLIDPALLGNHLLCPVLSAAAWVSGEYVNFTKDPVELVEALLQPRTSLLPMSVRAVYIQAVLKVITFCCNLYVERLSDSSKEVSVALNGLSMDQTVSGGSDAPIGSSNEQITVPRMMEKDPFSLKSVVHMINLIETTVGPLVECNEVEVLERARNLIGFVYSLREIQELKESKFDDDKHSRVKELVKNMQTVLSHEIGPVSLNAQEKVSLPDDLVLNENLAELVDIISEDDTTLSSSIVFYPRSCGSVETRDEPALSLGSSSLLSEHRKRHGLYYLPTGKAEDGPVDYPHANDPLLPASSESALDDKLKTIQPVTGGKKPKAVKSRPKVVKLDGEDFLSSMVASASVPKEDSLSGAVRGVLLGRDLKPSSSQKASDKAYEGIINKMDSGESSSQWKNNVDADFVGHPTSSSRPSIQQSHDKESTNPLESDGKEARKHRRSRSGHRQGKHKHRERHSTQPDVPQAPIIQDFLL >ORUFI01G19540.1 pep chromosome:OR_W1943:1:16541408:16542937:-1 gene:ORUFI01G19540 transcript:ORUFI01G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSPPPAAAQPPRPPTRAAESGEEGGDPGKDEVAAPPKGDSALPRSGSGFGSGNAAAPDGPAKSPMKPKKRVTAPPSSDHGSRENLAAGSPSNEVPAPQGDDAAQEDDLPESPPKSKKNRKKKKKALRAGDSGKVAAPDDPAEPTRPQQDVGEVDGRLAESEQEVPPNAGKAKKKASAQARKKPSAKQHAAAQEEEDGDLMAEAEEEVAPRQGDEEDGKGPLPQRKSKRVAALSGSICPPDPKRAKIVDAQKPGFGRKWNGNDEIMILEALVDQIRSGGNVPQEPGHPLFHELVQRLEGRTFNHSDVREKVRSLKRRYNDVVLSGLAITKDHDLQLHELSCEIWGRSVAHAGDDKQRCLARDEQSSLARDEQKSFAANEEKSLARDEQSSLARDEQKSFARDEKSLARDEEKSLASDEQRSFDDMCKQFPLLAKEIKVLMEGQPAIMELFPRLDGDQVVAIEKKLENLRWIDMKRKKKMAVKMAKIRKGLIYKLEGAAILADGNMIH >ORUFI01G19550.1 pep chromosome:OR_W1943:1:16544103:16545169:1 gene:ORUFI01G19550 transcript:ORUFI01G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTPSEPLSPRSFALADTVRNAHHPHGTSGDPTLIASVVTTTSTAARKAITALLAKHATIDTSSSSKSSSFAADATPSELATSLAQALAPLASHLYLPASTSHMVN >ORUFI01G19560.1 pep chromosome:OR_W1943:1:16551335:16554262:1 gene:ORUFI01G19560 transcript:ORUFI01G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAGEENVPGVNNVQLQKKVHKKTAKTNQRAGNHKKG >ORUFI01G19560.2 pep chromosome:OR_W1943:1:16551335:16554267:1 gene:ORUFI01G19560 transcript:ORUFI01G19560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAGEENVPGVNNVQLQKKVHKKTAKTNQRAGNHKKG >ORUFI01G19570.1 pep chromosome:OR_W1943:1:16556743:16557471:1 gene:ORUFI01G19570 transcript:ORUFI01G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVQTVQCDQPSKQQLTEAAEAAAAAAAAASLRIFGYEVGGGGGGGGVAAAAGARREAADVVVGAGRRFECQYCCREFANSQALGGHQNAHKKERQQLKRARLQLAVAAAGTPAGMGFAAAQAPAPPPGHVIAVGHHAGSVASYAMPRWVYLAAHHLQPPAVGLPFHATVQAAAGACHGGGDVETRLLSVAAAGRGSSTAGSSAHSYEVCAPAAPADDSDEEASAMGLDLHLSLAPASSA >ORUFI01G19580.1 pep chromosome:OR_W1943:1:16561138:16561374:-1 gene:ORUFI01G19580 transcript:ORUFI01G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMERRWWRPQIRRRRGGRRWATHAGIPRLPLAFSGTPLASAGIGGEENGRIWLSCPDPARPRVGMGILQVPSVCR >ORUFI01G19590.1 pep chromosome:OR_W1943:1:16568005:16575419:-1 gene:ORUFI01G19590 transcript:ORUFI01G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPSSEAAAAHHHHHQRRQHAGAAGGSGLVPLAALIKEEARAERPMGSGSRICARDEEDGGGGAGAEGGRRWRRPLLRYGCAAQSKKGEDFFLLRTDCARPSTSSSSSSSLASSPPHTFAVFAVLDGHNGNAAAIYTRDNLLNHVLSAMPRGLSREEWLHALPRQTSGTTATFVIIDGWTITVASVGDSRCILDAQGGAVSLLTVDHRLEENVEERERVTASGGEVGRLSVVGGAEIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPYVKQVKLSNAGGRLIIASDGIWDALSSEAAAKCCRGLPAELAAKQVVKEALRTRGLKDDTTCIVVDMIPPDQTIRHPSPPKKINKLKSLIFRKKTKDHPNKLTKQLSAAGMVEELFEEGSAMLSERLGNDSSGRRTSSSLFTCAICQVDLEPSEGISVHAGSIFSSSSSKPWEGPFLCSDCRDKKDAMEGKRPSGVKVL >ORUFI01G19600.1 pep chromosome:OR_W1943:1:16582116:16590925:-1 gene:ORUFI01G19600 transcript:ORUFI01G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGRGGNGARVMVREAACNAIAGGSAGVISATVLCPLDVIKTRLQVYGLPSNLSSTAPPGFQHILKNEGLPGLYRGLSPTIVALFPTWAVTFSVYNHLKGLLHSQGDNTGELSVQANILAASCAGIATAVATNPLWVVKTRLQTQGMRTGVVPYTSIWSALRRIAEEEAGVTHVAIQLPVYENVKLYFAKRDNTTVDKLSPGKLAICSSGSKVAASIITYPHEVVRSKLQEQGRARHGAVHYTGVIDCIKQVYQKEGIPGFYRGCATNLLRTTPNAVITFTSYEMINRLMHQLLPH >ORUFI01G19600.2 pep chromosome:OR_W1943:1:16582116:16590925:-1 gene:ORUFI01G19600 transcript:ORUFI01G19600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGRGGNGARVMVREAACNAIAGGSAGVISATVLCPLDVIKTRLQVYGLPSNLSSTAPPGFQHILKNEGLPGLYRGLSPTIVALFPTWAVTFSVYNHLKGLLHSQGDNTGELSVQANILAASCAGIATAVATNPLWVVKTRLQTQGMRTGVVPYTSIWSALRRIAEEEGIRGLYSGLLPSLAGVTHVAIQLPVYENVKLYFAKRDNTTVDKLSPGKLAICSSGSKVAASIITYPHEVVRSKLQEQGRARHGAVHYTGVIDCIKQVYQKEGIPGFYRGCATNLLRTTPNAVITFTSYEMINRLMHQLLPH >ORUFI01G19610.1 pep chromosome:OR_W1943:1:16600925:16604960:1 gene:ORUFI01G19610 transcript:ORUFI01G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAVELGRRHGGDHRFYDASRVRRGYHHHGLPKARWAPAVHQEKAAEEPSPSPARAPVPPPGVAGNLERFVAAVTPFVPAQFPSKMQRAARGWRGCGVDAEREEAPHFFLRDVWEAYREWSAYGAGVPLVLDGCDGVVQYYVPYLSAIQLYGDPAVLRLSSGPRHIMDDSDGEYHDSSSDASSDYELGRVKHLTQEGFSSDDGESGDLHGRLLFQYLDLSARFPGLRTLRSCDLSPRSWISVAWYPIYRIPTGPTLKDLDACFLTFHRLSTGPEEDNGGAVKYWGPGSKPTIPLSVFGMASYKFSNSIWSSTDGDRQLASFLQQAASDWLRDSRTSHPDYQFFASRGAYNR >ORUFI01G19620.1 pep chromosome:OR_W1943:1:16611597:16614282:-1 gene:ORUFI01G19620 transcript:ORUFI01G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFGGGSSLTSVVPDATPAPAAPPGTGTGANAQVLYVFNRGGVCLLYREWHRPLRTLDPTQDQKLMFGLLFSLRSFTAKIDPTTAEKGNLGVPLLPGQGCSFYSFKTNTYKLNFLESPSGIKLILITHPRTGDQRDTLKHIYNLYVEYVVKNPLYAPGTPIKLYRS >ORUFI01G19630.1 pep chromosome:OR_W1943:1:16616968:16618645:-1 gene:ORUFI01G19630 transcript:ORUFI01G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIRVPRSQRAKRELLKHAPKLVETGKKTLILHGTKTSAVLNSVLADLFHLKRDNAVKYSKKNDNIRPFESGGETSLEFFSLKTDCSLIVYGSHSKKRPNNLVLGRTYDHHIYDLVEVGVENYKSIESYVYDKKLAPKLGSKPFFAFIGEHFESVEELKHLKEVLLDLFKGEVVENLNLAGVDRVFVCTAISPTTVYMMHCALRLKRSGTSIPRIELVEVGPSMDLVVRRHRYPVESLKKEAMKTADHAKKMKNVTKDPVHGKLGKVYIPDQQIAKMSLSNDVKGLKRERREAKKNKDHSKKQKINPE >ORUFI01G19640.1 pep chromosome:OR_W1943:1:16627934:16634839:1 gene:ORUFI01G19640 transcript:ORUFI01G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRPPSTPASKIERTPMSTPTPGGSTRVKEEKIFVTVRVRPLSKKELALKDQVAWECDDNQTILYKGPPQDRAAPTSYTFDKVFGPASQTDVVYEEGAKDVAMSALTGINATIFAYGQTSSGKTFTMRGVTESAVNDIYRHIENTPERDFIIKISAMEIYNEIVKDLLRPESTNLRLLDDPEKGTIVEKLEEEIAKDSQHLRHLISICEEQRQVGETALNDTSSRSHQIIRLTVESRLREVSGCVKSFVANLNFVDLAGSERAAQTHAVGARLKEGCHINRSLLTLTTVIRKLSSDKRSGHIPYRDSKLTRILQLSLGGNARTAIICTMSPAQTHVEQSRNTLFFATCAKEVTNNAKVNMVVSDKQLVKHLQMEVARLEAELRTPDRASSSEIIIMERDRKIRQMEKEMEELKKQRDNAQLKLEELQKKMGDNQPGWNPFDSPQRTRKCLTYSGSLQPSNKMKIRSSIRQSATAPFMLKHEIRKLEQLQQQLEVEANRAIEVLHKEVECHKHGNQDAAETIAKLQAEIRGMQSVRSDRDVDMITDEGNGSDLKEEISRLHMQDNDIAKLEAKLENVQRSIDRLVMSLPNVGTQCNETTPKSNRAKKKKRMLLPLGVSNINRPNLIRAPCSPLSSSRPLEPEVENRAPEGDTVSHEGSERATPTKSEDTGDVSSRDETPRYRRSSSVNMKKMQKMFQNAAEENVRNIRAYVTELKERVAKLQYQKQLLVCQVLELESNEGKTNDMEEDSEENAGSLQDGPDSWDRLFKEQMQHIIQLWDLCHVSIIHRTQFYLLFRGDRADQIYIEVEVRRLTWLQQHFAEVGDASPAAGDDSTISLASSIKALRNEREFLARRMGSRLTEEERERLFIKWQVPLEAKQRKLQLVNRLWTDPNDQAHIDESADIVARLVGFCEGGNISKEMFELNFAVPASRKPWLMGWQPISNMIREKTQLW >ORUFI01G19650.1 pep chromosome:OR_W1943:1:16636369:16640991:-1 gene:ORUFI01G19650 transcript:ORUFI01G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAHQVPAHIKPGPSFHLLCRCDFGGPVVRRQGRRRGAMRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTAQTLKAIPLITKIRHERHKKHITERQKQGKTKQRERDAKELEQDIQMLPKKDTMLSTQKTKVVVKVSQQQTEENLMEE >ORUFI01G19650.2 pep chromosome:OR_W1943:1:16636369:16640699:-1 gene:ORUFI01G19650 transcript:ORUFI01G19650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTAQTLKAIPLITKIRHERHKKHITERQKQGKTKQRERDAKELEQDIQMLPKKDTMLSTQKTKVVVKVSQQQTEENLMEE >ORUFI01G19660.1 pep chromosome:OR_W1943:1:16640977:16650995:1 gene:ORUFI01G19660 transcript:ORUFI01G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRHFGPFEICNVSTSDTPAVCSRADVSARLDPLRRSPPLSSSSLPFQKSHAFASLVSSEFPTRPTGLSRDPPTTACGGSAPRRRRLIDRVGRRRPPPPPDPEVMEATGAGAGEERWASLCNCVVNFLLEERYHLTALELLQELQEDGRHAHALRLRSFFSDPALFPPDLVARASSAPPGADPQSLLEEKIAAEEKLALTDYDLRLAREDLSRLKLELQKQKESSPDGSNATDALTNEGSSHHDKRDAKISTLGPLKDNERKDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWINSSACVPDALRRYYYQYLSSTTEAAEEKISILRENETLLKDNESLGAEKDALIKSREVANSQIAALRKSLEAAHKDIKEKEKTVQDLKQSLDVQRKELNDCRAEITSLKMHIEGTRSSKRLSSGDTDGLIPANSMEEIVVLSSEHDNLKGSESITSKLASEVSLAEGKKKDHENMESSLEGSPGPEAEVSCSTAENSGYGTSGEDKSGTNTCFEDLSVNGNLHGSGNSQGDSDSISVYLTDDKVHNEKVESPYKQKSSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIEKHPDSDVRDSLTHTLFNLIKRPDGQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLVEDSATVVREAATHNLTLLLPLFPNLDKYYKVEELMFQLVCDPSGAVVNVALKELVPAVVRWGDKLDQILRILLAHILASAQRCPPVSGVEGAIDSHLRVLGEQERWNIDVLLRMLTELLPFIHQKAISTCPFAADPSTGTMPESYFSKSCLKLYAAGDTEWSAFEWMHTECLPDLIKLACLLPAKEDNLRTVIRKYLLDVSGRYGIDYLEHVMLPVFLVAAGDIDSSDFTYFPLATQSRVRGLRPKTSIAEKLGIVCVLPLLLSGILGYPSKRQQLEEYLRKLLIQNTKDGSFSMHHTAEIIDAVRMFEEHHVAVFNIVWEMVVISDANLKTNAAALLKALVPYISVKVASTHVLPALITLGSDQNLTVKYASIEAFGAVAQHFKNDMVVDKIRIQMDAFLEDGSHEATVSVIRALAVAIFKLTSAPPTGNDIERRREIANVFCEALRAVDATDLPATSVRDLLLPSIQNLLKDLDALDPAHKEALEVIARERSGGKLESLGKVMGAHLGIASSMSSFFGESSLLVKKESGEQHDTAATTPSQPTPQTQQENTRFGRIMLGGFGDMLRGKAKGSDEPS >ORUFI01G19670.1 pep chromosome:OR_W1943:1:16653921:16655262:1 gene:ORUFI01G19670 transcript:ORUFI01G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAAAAAARRAAPPPLLPPVRLSRSPCYPHQVFLPLQPYPGHRPPALLTAYANAGLPAAASRLFDEMPARDAVAWNARPGTAAYAFRGMATARFAPTAATLCTMALRPGCCVENSRSFMDAFLILGRIELNGIALTCALTACSATANLMYSMLVKCKAVRHGSTSQTILCNALIDMYAKCGRTMAARIVFDQMACRNVVSWSSMIDAYSCHGHGEAALGLFKRMEKVAPVVLPNEVTFLAVLSACGQSGLVDEGGAMLHLMKRQYGINPGPEHYACFIDLLGRAGQNDEAWYLYCSFIITRSELSGSICVAMLNACKANMDVLRGNKVALHLLEVDPENPGSHVLISNFHAVARQWSESDEPRRIILDKGSLGVQLIDFNSWY >ORUFI01G19680.1 pep chromosome:OR_W1943:1:16664496:16670974:1 gene:ORUFI01G19680 transcript:ORUFI01G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDGLVVVSDPYLQRRFSQADLRALQAQYAALRDAAPSGRLRLRDLPAALSSAGVGAGKGGDAEKENSAPAAAQLTEEEWASVLKAVARADERPHQGVGFELFLRVYAEMQLRLKGAAVGKKAGGGGGGIARSSSSSAAAFLTAATTTLLHTISESEKASYVGHINAYLAEDPFLNTALPIDPATDHLFHLTKDGVLLCKLINLAVPGTIDERAINTKKLLNLWEKNENHTLCLNSAKAIGCTVVNIGTQDLAEGRPHLILGLISQIIKIQLLADVNLKSTPQLVELVEDSKEMEELMSLSPEKILLRWMNFQLKKGGFQRTVTNFSSDIKDSEAYACLLNVLAPECSAKPSPMSVKDLLHRARLVLEHADRMGCKRYLTPKDIVDGLPNLNLAFVAHIFQKRNGLSKQMKQVSFVDGLSDDAQVSREERSFRLWINSLGISTYINNVFEDLRNGWVLLEVIDKIAPGSVNWKMANRPPIKLPFRKVENCNQVLKIGKELKFSLVNIAGNDIVQGNKKLILAFLWQLMRYNILQLLKNLRFHSNGKEITDNDILIWANKKVKDSGKHSRMESFKDRSLSSGIFFLNLLGAVEPRVVNWSLVTKGEKDEEKQMNASYIISVARKLGCSIFLLPEDILEVNQKMMLTLTASIMYWHLKKPTSFSLDTENGSSCETSSISTSDDSASESSFDDSGAR >ORUFI01G19690.1 pep chromosome:OR_W1943:1:16683229:16686563:1 gene:ORUFI01G19690 transcript:ORUFI01G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLLLLLALPAAAGGLAADGQALLAFKAAVLRDPEGALADWDASTAADPCAWNGVSCGAGSGAGGADRRVVALSLPRKGLVGSLPASPLPASLRHLNLRSNRLFGELPAPLLSAAAGLQSVVLYGNELYGPIPPELGDLPYLQILDLSSNSLNGTLPPAILRCRRLRSLALGWNNLTGALPQGFARGLSALEHLDLSHNRFSGAVPEDIGNLSRLEGTVDLSHNQFSGQIPASLGRLPEKVYIDLTYNNLSGPIPQNGALENRGPTAFVGNPGLCGPPLKNPCSPDAMPSSNPFVPKDGGSGAPGAGKNKGLGKVAIVAIVLSDVVGILIIALVFFYCYWRAVSSKEKGNGGAAGSKGSRCGKDCGCFSRDESATPSEHTEQYDLVPLDQQVRFDLDELLKASAFVLGKSGIGIVYKVVLEDGLTMAVRRLGEGGLQRFKEFQTEVEAIGKVRHPSIVTLRAYYWSYDEKLLIYDYIPNGSLSAAIHGKPGTMTFTPLPWDGRLKIMQGVAKGLSFLHEFSPKKYVHGDLRPNNVLLGSNMEPYISDFGLGRLANIAGGSPFTQSDHAGIEKAQSQQSDASVSPLVGKGSCYQAPEALKTLKPSQKWDVYSYGVILLEMITGRSPVVLLETMQMDLVQWVQFCIEEKKPSADVLDPSLARDSEREDEMIAALKVALACVQANPERRSSMRHVAETLDRLNGSG >ORUFI01G19700.1 pep chromosome:OR_W1943:1:16700961:16703256:1 gene:ORUFI01G19700 transcript:ORUFI01G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLFALTLGHGARPAAPLPRRGTSLRAEVAALLHWKSTLKGFSQHQLGTWRHDIHPCNWTGITCGDVPWRQRRHGRTTARNAITGIALPGAHLVGGLDTLSFRSFPYLASLDLSDNGHLSGTIPPGISSLLMLSSLNLSSNQLTGNIPPSIGDLGRISSIDLSYNNLTGEIPPALGNLTKLTYLSLLGNKLSGNIPWQLGKLHDISFIDLSLNLLVGPISSLFGNLTKLTSLFLVGNHLSGPIPDELGEIQTLQYLDLQQNNLNGSITSTLGNLTMLKILYLYLNQHTGTIPVEFGMLSSLVELDLSENHLTGSIPSSVGNLTSSVYFSLWGNHITGSIPQEIGNLVNLQQLDLSVNFITGPVPSTIGNMSSLNYILINSNNLSAPIPEEFGNLASLISFASYENQLSGPIPPSLGKLESVSEILLFSNQLSGQLPPALFNLTNLIDIELDKNYLVGPLPDLCRGKKLETLHLSHNNLNGSMPKTLRDCISLRSLGISYNKMDGDISDALGVYPNLWWLSLASNKLVGRLSPNLGSCQNLTELSFADNMIEGGIPSELGNLKNLVKLSLSTNRLTGEIPPEIGKLVNLNSIDLRNNQLSGKVPNQIGQLKSLEILDFSSNQLSGAIPDDLGNCFKLQSLKMSNNSLNGSIPSTLGHLLSLQSMLDLSQNNLSGPIPSELGMLEMLMYVNLSHNQFSGAIPGSIASMQSLSVFDVSYNVLEGPIPRPLHNASAKWFVHNKEKTD >ORUFI01G19710.1 pep chromosome:OR_W1943:1:16715464:16715864:1 gene:ORUFI01G19710 transcript:ORUFI01G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETPAAGRSGVLKHIVLARFKEEVTPERLDHLIRGFGGLVNLVPSMKAFNWFVSLPSNSHPRPRASPRSPACRFSGCAPFVRWLCGCPVSVASVTRGRAAGSGARRVVKY >ORUFI01G19720.1 pep chromosome:OR_W1943:1:16721155:16722523:1 gene:ORUFI01G19720 transcript:ORUFI01G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLDRRGRGTDVSIENMHHGFTHVFECTFESTEGVKEYIEHPAHLEFAKEILLAMEKTLIIDYMPTAVNNS >ORUFI01G19730.1 pep chromosome:OR_W1943:1:16729186:16731709:1 gene:ORUFI01G19730 transcript:ORUFI01G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGSGGVVKHILLARFKEDVAPERLDQLIRGYAGLVDLVPSMKAFHWGTDVSIENMHQGFTHVFESTFESTEGVKEYIEHPAHVEFANEFLPVLEKTLIIDYKPTIVNNS >ORUFI01G19740.1 pep chromosome:OR_W1943:1:16735415:16740423:-1 gene:ORUFI01G19740 transcript:ORUFI01G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATARPAPPPLPRSPLPPRFTQYNSSDDPPRGLRGARRLTLHPPSPARRRARSLHLRCRLSDSASDPDPLRVAFACGGAGGHVYAAIALADELHALLPSSASLFLGAPSPSLESDAAASAPYPFAPIPRCLPHAVLDAALHLRRFRPHVLVATGGAPALPACLAALLLGVPFVIQDQDAGPAPATRLLAPLARRIFLGFNAPVRLLPKRKCAVYGNPVRMSIRKCQISKADAMASFFPRIGTVGEEGVEVLLVLGGTEGSPEINVALLNMYYEMLRERRNRYIIWQTGPEGFCEMESLVRSHRRLLLTPFLHELDKAYAAADVVVSRAGSMSCTEVLVTGKPSILIPLPTMVDDHQTKNAYIMADVMGAKVITEDELDSSSLRSIIDEVFGDEKLMSDMSQKALSAARPNASTDIIRHICSLVGSSCTT >ORUFI01G19750.1 pep chromosome:OR_W1943:1:16742295:16742961:-1 gene:ORUFI01G19750 transcript:ORUFI01G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLALASVPETRMLGEEDQNTPGAGPLVISLHSLFLLFLSLEGAPVAGRRAVAGAVSLSLSPSPLAALRPPRPAASFAPPRRATGPVAVRAATAASNSPAAATPKKRVATGLTKPRPVSPALQAVVGAAEIPRTEALKMFFFAPPPSRSRRRKRTRMRRRR >ORUFI01G19760.1 pep chromosome:OR_W1943:1:16744053:16758671:-1 gene:ORUFI01G19760 transcript:ORUFI01G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTNEHLVGVTGDDHERFLLRIKNRFDRVGLELPTIEVRAEGLAVEAEAYTWRSPAAPRVFTSMGNTLLALANAMHVLPITWKTKYTILHETNAIIKPCRFCGIRKKHIAESLVWKVSGRVTYNGHGMEQFVPERTAAYISQEDLHAGEMTVRETLASSARCLGTGDRQDLLNELTRREKEANVTPEHDIDMFMKDETSVENRSFPLEFLALLPSHTTVASESLCSLSSHHPLGPREKVKQGLICCHRVKPGWPKEEAIKDCGVEILLLSCQSKSAALSLFSLMQYYCINFLSDSPMLFLLPIRICKWRREQNSNKLYNAGEILIGPARALFMDDISTGLDSSTAFQIVNFLRQMVHILGETAVISLLQPSHEMYDLFDDIIFLSEGHIVYQGPKEKAVDFFESLGFICPHRKAIADFLLEVTSRKDQQQYWSREDEPYRYFTVERFSEAFHTGQTITKVLEVPLERNLSSLSALETSKYGVRKRKLVKAIFSREFRLLRRNPSVYILTVLSFVAMTVFWHNNMRHDSVDDGGIYLGVLFFFVAETMFSNMCDLGGTIMKLPLFFKQRDVFYPAWAYTFPTWILKIPITLIQVTIWVTMTYYPIGFDRNIGRLAKHYFLLLALSQMSSSLFRLVAGVTRNMFAAKIFGTFTMLLLLLLSGFVVSSKNLNKFWMLGYWISPLMYAQNAISTNEFTAHSWSKVLPGSSESLGASVLKSRGLFLETKWYWVGLGALVGYTFLFNCLYTSSVSRRATLPFMPLSLTFNDIRYSVDMPKEKKVCAGTEDRLEILKGVSGAFRPGVLTALMGFSGAGKTTLMDVLAGRKTGGYTEGTINISGYPKKQETFSRVFGYCEQSNIHSPHLTMFVENVMELLELTSLQDAHVGLAEENGLSSEQRRRLTIAVELVANPSIIFMDEPTSGLDARGAAIVMRTVRNLVDTGKTIVCTIHQPSIDIFESLDEGIECVNRIKDGYNPATWMLEVTSTVQEQMSGIDFSEIYKKSELYQRNKALIEEISRAPANSGDLLFPNKYSQTFFKQCLICLWKQNLFASHVSTPYRTKPQDLFNSMGSMYSAVLVLGIQNASGIQPVIAMERIVFYRERASGMYSALPYAFAQVAIELPYVFVQTLIYGVLVYTMIGFEWTIAKFFWYLFFMYFTLLYFTFFGMMTVGIAPNGVIAAKIPIWWRWYYWICPVAWTLYGLGASQFGDVEEKLDTGETVAKFMRSYYGFKHEFLEMVAIVTMACPVAFAFLFGFSLKNINFQKR >ORUFI01G19770.1 pep chromosome:OR_W1943:1:16761170:16761658:1 gene:ORUFI01G19770 transcript:ORUFI01G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDELVERRRTATAPCDAQDGHRLPDVAPAAGGTREPEHARLIARRKESTYRRTAFGNREGSNLLLAARRGCSAALLARRHSVEQAAGADACVIGIRKDLRQGQQVPPRAEIGHTIQGKLASTHTSEYLTPAGTQDDRRRSRLSTTLVGNWKEERSVGLRQD >ORUFI01G19780.1 pep chromosome:OR_W1943:1:16772117:16772378:1 gene:ORUFI01G19780 transcript:ORUFI01G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWVRWLTPWLDERPKGYLFKRSSPLAGEFRSTQSPTSPCRPGRTRKGANRQQQQELLVSVEAQAE >ORUFI01G19790.1 pep chromosome:OR_W1943:1:16775190:16776627:-1 gene:ORUFI01G19790 transcript:ORUFI01G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMDNVIRFMFILSVVYGAAYAKKSEAKVASAPGLAAAVNTVFDITELGAVADGKTDSTKAVQDAWDAACGLAGSQKVVIPKGEFMTGPLNFSGPCKGYVTVQIDGTMFGSNDIPKYNKGNWIEILHIDNVLVNGSGTLDGQGAAVWKDECKILPNTLVLDYVKNGTVSGLKLVNAKFFHINVYMSKGVTIKNVTITAVANSPNTDGVHIGDSSEISVSDSTIATGDDCISVGPGSSRISIQGITCGPGQGISVGCLGRFKDEKDVTDVTVRDCVLRNTSNGVRIKSYEDVLSPITASRLTFENIRMDGVANPVIVDQKYCPEKDCPEKKGSKTVTIKNVTFRNITGTSNTPEAVSLLCSDQLPCSGMELLDVNLKYDGKDNKTMAVCTNAKGISKGSLQALACL >ORUFI01G19800.1 pep chromosome:OR_W1943:1:16787032:16798482:-1 gene:ORUFI01G19800 transcript:ORUFI01G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGESVPSWVELLLSTQFFTTCSSHLISPRNECNFFCIDCQTPQASFCYYCRLSHHSSHHVIQIRRSSYHDVVKVSELEDILDISDVQTYVINSSRVVYLTERPQLRSCGVSNTKLSSSQTYKCEICSRTLLDDFRFCSLGCNFAAIKRDNEKNVAQNGIASNANEVKIGTNNGSTNAGSANEISSDANNYRNEIPSSTRRVLGALSLPSQPVHRAAVAGDVPAVLPLDEVDEVVHDALVEALAAEVPVAVGGEDVEEAVVGHERGDVQILAAEVEHHDALAIAPHVVQTVRHGGGDRLTDNAHYVEPGDVAGVADSPALRVVENPLVFTMKGEFVPPWLELLLSTQFFNTCTSHHNSPRNECNLFCIDCQAPEAAFCYYCRSCHHSSHRVIQIRRSSYHDVVKVSELEDILDISDVQTYVINSARVVFLNERPQLRGCGVLAIKSSPSSLSSYNCETCSRVLLDAFRFCSLGCNLIGIKNDVETVVANDGIAHNDKDIEIDGSNGTANTNGTGKGIEICGNNGTIANTGNEDEICSDASKNKEILSSTRVVRHRRKGIPRRAPFF >ORUFI01G19810.1 pep chromosome:OR_W1943:1:16791869:16793915:1 gene:ORUFI01G19810 transcript:ORUFI01G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMAAAATLLLAVSGLASGLVVPADERCHSTDNAVLGIDIGATYSCVAVYCKGRVEIIPNDQGSRLTPSWVAFTDGGGRLVVGEAAKEQAVGSPGRAIHDFMRLLGKKLGDDDVQREMTRLPYAVVDMEGKPHVLVEAADGDVRVLSPEEIAAAVLAKMKKTAEAHLGRTVSSAVVAVPVYFNDAQRRAISDAGDIAGLDVMRIVSEPIAAAVAYGLDNVRSNGKRVVVFDLGGENLDVTALVADDGFFDVLATNGDGYLGGEGFDQRVVNHFVDLIKRKHGRDITGDGRAMHRLRRDAQHQVRVEIEALLDGGVDLSETLTRAQFEELNDDLFARTMAPLRKTMADAGLEKGDINEIIHVGGSTRIPKVQQLIRDYFDGKKEIVKVNNPDETVAYGAAVIGRHVAGDDDDKPTMLGPLDLPSFLSDTISIETAGGAVTPMIPRRSRLPAERTHVFTTYLGRQTAVAINVFQGEGSTAKDNTLFGRLVLTGIPPASVWNWGWRWRPIQVTVKVDELGDIHVEATDKGGSGKSERLSIVSGEGHEHGRLSKEEIDRMIREVAEDLVEEERIVKERVDALNMLETYIVKNTAVTGGETDCEAKARAASEWLDGNPAAEKEDYEEKLKELEDACGPVHGGRARDIWTWPR >ORUFI01G19820.1 pep chromosome:OR_W1943:1:16806013:16806642:-1 gene:ORUFI01G19820 transcript:ORUFI01G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQRNARTHHVVINMEEMREEDPDHHNHEPTDGIAGNRQSMHIRVPLLGGLLLLLIYPPPTKVIIPRKPVQGVLLLCLGGALGVIWRMQGTHLLQGWLDRLAIVGEQWLGGVQQETRLQMVDRGLELMLIGLWRCAQRRHPSHDAELELPRDGRTPRK >ORUFI01G19830.1 pep chromosome:OR_W1943:1:16809193:16809827:-1 gene:ORUFI01G19830 transcript:ORUFI01G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDNLALSVVCERSPGTAVEAASGRPPKAGSERARRQTMSRLYDELGALLPNLPPRASTTRIVEEAIACVGELRARTAELEAYSAVAAAAGRAARDGPAEVVASGKTSCFAVRLRAARARPGALTRVLEVFQRHGVAVLAATVARDGEETAVTVTTAAVAPRVLETIKAEIICAA >ORUFI01G19840.1 pep chromosome:OR_W1943:1:16817397:16824503:-1 gene:ORUFI01G19840 transcript:ORUFI01G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGAPSSHPPPWRRLLRYALLCALLPPWGTSEANEQLAEFPPRGWNSYDSFSWIVDENTYMQNAEILAEKLLPHGYEFAVIDYLWYRKYVHGAYTDSYGFDNIDEWGRPFPDLQRFPSSRIDKGFSQLANKVHGMGLKFGIHLMKGISLQAVNGNTPILDIKTGKPYVEDGRQWTARDIGLTHRTCAWMPHGFMSVNTDIGAGKAFLRSLYQQYADWGVDFVKVDCIFGTDYSPKEIITISELLAELDRPIILSISPGTEVTPALAKNISQHVNMYRITGDDWDNWKDVSSHFDVSSSFAAANKIGAIGLRGRSWPDLDMLPFGWLTNAGVNQGPHRQCELTSDEQRTQIALWSMAKSPLMYGGDLRHLDNDTLSIITNPTLLKINHYSINNMEFHHVHSERTSKEDKHSSRFISEDLVHVPKIDGVSLGLTACSDDKANGWYMFSQHGKSDHICRNYGMQDDKNISFCLGKTIPLLTSDDIIVHNEEYQTKFHLANMDSDDACLDASGSQRRTSSDSKFPMFSRCRWHAMQMWELNEKGNLISSYSRLCATVESNNKGVVTTGAVARAWIATGSKGEIYLAFFNLDSMSRKITARISDLEKVLGSTFIRKDTCSCTEVWSGRNFGRVEEEISAVVKSHGSMVFEITC >ORUFI01G19850.1 pep chromosome:OR_W1943:1:16828404:16831901:-1 gene:ORUFI01G19850 transcript:ORUFI01G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGVTRTADQILEKYLSNSDRPYYQGGQSIYFDGWKGFGTSAILADIAELARRKKSMDYEIVLHVDCSVWESRRTLQRMIAKELNLGGSTMALFDKQDEDDDFSGIEKSSRAEIDEVAKLIFQAVKDRSCLLIVHNGSDDEIDFLRFGVPVLERRNTVLWTFRGRFRLEPAIKDKVKNADLFLSIDQEDGCLNKDADLTGEWYHEQNGKQWEFLQSLWVLDIRDTNWDWILSPSKVVLMVELRELYLKATGRSWHDQIFLDMSCLSKLQMLRVIDSSTYMKAAVHDSFQHMMNLELLDLSGNTTLHVLPNLSGASKLKVLILDGCVGLEVVEPNTLPRSLESFSFDGFGPASRSEEEEEEEEEARPNTYINQEHTCVISNISLEGCEQLKSLFLRGLPNLKELNLSGTRIQALDLEAMQVQQLERLFLLGCANLTRVKWIDPSNPPLKLLCIDTRGKAARAMDGVCQGSHLFTQQEHEAHPSTHVVATDARFLRGFRAGGYGNTIAFGRYVPSQHFHLHISDTVNDKPVLPRAKEKDASSRDGLIPGFPYLDVIDKVFNNDGEDGCSVPYCKHPVPLDCHIEIAEGGSNLEIEQDLYGMGSLIYNTQSLHIHDNSSISIGNLGVKGNKQFKNLRWCHVTRCLKMHTVFFCDDDWRYGNFFPSLETLWVSHLVQARCIWSTGLRFWKPIARTTPAALSKLRCIHLHSCPRLRHVLPWSLPTMESLETIHITYCGELTQIFPKPGSCWTERTEFPSLRRIHLQDLPMLQDICERAMSAPMLETIKLRGCWGIKRLPAIHAGRPRDKPPAVVDCEKDVWDKLEWNGDGMEASRSLFSPRHSRYYKKDLPRGSVLR >ORUFI01G19860.1 pep chromosome:OR_W1943:1:16839641:16839934:1 gene:ORUFI01G19860 transcript:ORUFI01G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPSRSGGAVPTAASTAMTVSVASAGGSVGRGGNRGRIWQRRLPPPPLFSIPPVAVVASATAADGSGGGGDDQGDLTSAPWTDPVVATALVASR >ORUFI01G19870.1 pep chromosome:OR_W1943:1:16840457:16840822:1 gene:ORUFI01G19870 transcript:ORUFI01G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPATAVPVAASPSFFISPSSSSLFSPSLLFSRSRASGAKNYSPLGGGLLISGMQRELRRDGWIGLAVFSSGRIWGVAGHPAPAACLTASGAGPPIPGWWRRCGVGRQWWCEGDGQTWLL >ORUFI01G19880.1 pep chromosome:OR_W1943:1:16858299:16858919:1 gene:ORUFI01G19880 transcript:ORUFI01G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDGLRAEELRLDGSRAGGGARRWPHAAANDHRRRRPYRRSTHPCPLSSSPQPEPARRIRRSPATDPPPPSPPPLDLPPPPSLQPSFAAAALPITGSAVTESLPPPAQGACHRSSAPSSSPQGLPRTVVLALPRAASQGEKRERAWTDNGAREHGRCERAGRSGAAHAVHSSQVGRARPTDFAGSVGSGSKRNIPLQGPTQPTHP >ORUFI01G19890.1 pep chromosome:OR_W1943:1:16861244:16862254:-1 gene:ORUFI01G19890 transcript:ORUFI01G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACAWDALPEHLQERILSLLPLTALLPVAAASRALRRLLRSRAFHALLTPHRLDAFFLLSPRLAFHPLSRRLLPAPPAASPPVVSSPSHLVTAASLLRLPPLPATSYLLAVVLPIPASSSSSSSCSREFTLVAVTAGARVRSYTLDSADPSPRWDPRGDLPLPFALLGNAAGDRRQLFVLGRGPDAILVFDLATGQWTVLPAAMPLGLTTAHLFVFGGRLFLVGGVERFGVVERVLVWRLEGSEAAAEWTEVGAMPEEVFDELVAGRHGSFWHFQAADRMGIVCLYNAVDGRLVMFDAVDGGWTRLSRVSGLDVEESRRWFGHVVEPRVELLLG >ORUFI01G19900.1 pep chromosome:OR_W1943:1:16864195:16864401:1 gene:ORUFI01G19900 transcript:ORUFI01G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSDPTLATEIAEVAAAKGYAAVDASVSGGDRGACKATLSIFAGSDAAVVTRLTPLFKLMGNALYMG >ORUFI01G19910.1 pep chromosome:OR_W1943:1:16867090:16867646:1 gene:ORUFI01G19910 transcript:ORUFI01G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKATRPPSPASPSLVLRPPAKPRGRKDDGGGASFLSPRRGRGAARNRPDLCRLAGSSEEEVGGGAVPGGVTAGEVRLRDGWIWPDDDRGWWEHPWIRRPVLWATEAAVGGDGATARRPAEAHATGAEAHATDAKASETAMAMEVMSVEAETCQRRF >ORUFI01G19920.1 pep chromosome:OR_W1943:1:16867710:16868056:1 gene:ORUFI01G19920 transcript:ORUFI01G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAEPGEVAARGDWPAGGGDAVCPCTSEGFDGGGAMVWWTVGENLARPLSDRQSMVLKCHSSPEGVIVPSHPSRVVAGRKPSLGSLETLTDDGGCVSIASLLGDVV >ORUFI01G19930.1 pep chromosome:OR_W1943:1:16869551:16872928:1 gene:ORUFI01G19930 transcript:ORUFI01G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTVRRSGAHELSAGGAARCERRACGGTGAPKRGLGVQARARKDGACAGARGRCCMLPGGGCTASLCLDVLRWFRAPIRVIGLDEFCDFGTVRDLPAPPPLTTGECSMHFSMKRPHEDL >ORUFI01G19940.1 pep chromosome:OR_W1943:1:16872671:16875716:-1 gene:ORUFI01G19940 transcript:ORUFI01G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNYEPPARMTKNNIRANKPGTQVCSQVAYWKFIQTLDKDQLQAIDDLGFGGLTKMNGVQIRRILCKQIAREYDEHTGAFNINGTMHEITIEDVDHILGVPSEGVELVEVPQAIQADVDDPKEKEKNEALQATKAALFALYKDKRETKITLSALRDSLNLNKSCDDHFKRQFVLYTIGLILCPTTERFVHSDYLNLLINIADIKRTNWASLTLNHLKRSIVSFQHDKVNLKGNQILLLVAVISIQVMEKFRVSHIHPSIDYTGRDRPLIQYWDVKKARKREKILYGVGQIVNDIKLPIEATKEYTTKDHSGTDANQPSNMDNTKAQTTDMVDVQLQLKTMNEHLIILRKEIQQAKKKKDTSNSPIAKRVQTTGRARTSTKKRGPPPPPPPQYIPKACLAQMLQKFQFTCTEEDEFLIDYINTSPPDRVLIIDTYIMYLEHKYLEESQARRRVYMMKTFITGKISIDCVHEISKRQLEKGYISRITNQIAQNEQIFIPINRNKNHWYLAVVHVKQRQIQLLDSSPSTGLSFYRSSCGLFMLKCMEHWNGSKLTTKFKQGDIDIFRRKLAAILVGSTSNDNTDIPTYNK >ORUFI01G19940.2 pep chromosome:OR_W1943:1:16873209:16875716:-1 gene:ORUFI01G19940 transcript:ORUFI01G19940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNYEPPARMTKNNIRANKPGTQVCSQVAYWKFIQTLDKDQLQAIDDLGFGGLTKMNGVQIRRILCKQIAREYDEHTGAFNINGTMHEITIEDVDHILGVPSEGVELVEVPQAIQADVDDPKEKEKNEALQATKAALFALYKDKRETKITLSALRDSLNLNKSCDDHFKRQFVLYTIGLILCPTTERFVHSDYLNLLINIADIKRTNWASLTLNHLKRSIVSFQHDKVNLKGNQILLLVAVISIQVMEKFRVSHIHPSIDYTGRDRPLIQYWDVKKARKREKILYGVGQIVNDIKLPIEATKEYTTKDHSGTDANQPSNMDNTKAQTTDMVDVQLQLKTMNEHLIILRKEIQQAKKKKDTSNSPIAKRVQTTGRARTSTKKRGPPPPPPPQYIPKACLAQMLQKFQFTCTEEDEFLIDYINTSPPDRVLIIDTYIMYLEHKYLEESQARRRVYMMKTFITGKISIDCVHEISKRQLEKGYISRITNQIAQNEQIFIPINRNKNHWYLAVVHVKQRQIQLLDSSPSTGKQDTELTLVVGP >ORUFI01G19940.3 pep chromosome:OR_W1943:1:16872671:16873123:-1 gene:ORUFI01G19940 transcript:ORUFI01G19940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERYFEISGYNERSNQTIWPDFDLSTWPVFSVTSIPRQKDLSSCGLFMLKCMEHWNGSKLTTKFKQGDIDIFRRKLAAILVGSTSNDNTDIPTYNK >ORUFI01G19950.1 pep chromosome:OR_W1943:1:16878390:16880775:1 gene:ORUFI01G19950 transcript:ORUFI01G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTGPSPPSASRRQSQDFSTVHTGQDDSPAMDSTAKTHLKVSGSLNNIQFDLPVEIVEKVNHFANEVTEMRRGFDNILMVQELQMQQIADLTHGVSRYPRKKEISIDPANSIAKRVHGLDGRPIIANKKFDYDRRTFVENKKDFDSEATFPEQCTVIDIQSLDRENTIVHIDDVVLTGANLECLTKLYCYDDDKKSISPETIDAFVEHYRHTKSVVDGNAYIERASVVSMLMLSAFYDGVDINRYKNMMHESAGHRYLRHDMVFLPICTSLKQLLQGVEKCIKLAMDSDVHYPTWTDFNVTNWDISIRYLARKKDRTSSGLFIIKFIEYWNGVCLTKTFFQEDIDEYRRKIAAILYNSPSNKIQNHVQAISEEI >ORUFI01G19960.1 pep chromosome:OR_W1943:1:16886906:16887432:1 gene:ORUFI01G19960 transcript:ORUFI01G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHKVFLLFLAVLGSLAILSQSESTSDMDGDKSTVDLCSESSGGQYCCFPGNGCYPDRAQCISAAKTGRTPTAPRTLLHLPLPPSHRNRCAITRICQEICHLCLETISRHDQEIATFLAFLFEFIFSLVD >ORUFI01G19970.1 pep chromosome:OR_W1943:1:16889504:16891334:-1 gene:ORUFI01G19970 transcript:ORUFI01G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKDGASKLPLATSSNHQMKSKASKYIHQPSKGIVIREGPPKKATVVSSTSTEGGISTSMILHVSTYDRCNSKKGWTKFAAQMT >ORUFI01G19980.1 pep chromosome:OR_W1943:1:16922341:16922664:-1 gene:ORUFI01G19980 transcript:ORUFI01G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTKEAAAPCPPVPTYPLAVVAAPSHPLLSPSTRSVRGEEAATGEDGHGEDGSAPAAARGARPQALGGRAQPSASTLSRPTPPGRRRWCSVFYGFVCICVDFYGL >ORUFI01G19990.1 pep chromosome:OR_W1943:1:16931596:16931972:1 gene:ORUFI01G19990 transcript:ORUFI01G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGGEGGDTSHGGALLLSRRFACAMVAGGRSGAVPLDHGASHSGAPKRTISQAAPPERVRNGTLPLVSPALSAAYKNPQARGRMSHFPISFSPPSRALAKLS >ORUFI01G20000.1 pep chromosome:OR_W1943:1:16931989:16933461:1 gene:ORUFI01G20000 transcript:ORUFI01G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLVSWSDGLPPEILLIVLAHIHCLADRVSFAAACRNWRSTSKLADAEADKPAPPPPRRHVPWLLAPSPVERPTITSLVSGQTRRISIPAGLRGARFFGSYTGGWLAAALTMCGEHLVANVHSGETVSLPSRVVSQVTCTRKTTTVAIIRAVALSAAPNSAGCLAAAFVCGTSNLAFCRVGGPSKSNVWTGMEVFPGTIDDMIFFEGRFHALTTCELMTVFSISPSPESDLPDGRVEICHRSFFMPMRIETVMPHLRESADMSRYLVVSRNKLLMVVRYYVTAHTAAAAIAGVGVSHARTMLFKVFQMEKFCSHGGFWEEIEDLDGRVLFLARCCSRAFEVSEIHGFEGGSIYFLDDINFHLSLVIKDKADYPCADVGMYAISPTDGVARPGMQLAAGMRPSIYSTKHHLLRIMDCKGIGRIYRYVSTALEGSGLMPPPSGSDTASTSSSASAAATNKRKNERSILGTIWGIRSAPFSRFSPPLWLEP >ORUFI01G20010.1 pep chromosome:OR_W1943:1:16941269:16942509:1 gene:ORUFI01G20010 transcript:ORUFI01G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKAALAALLLFMVVAANGEVGHGGHAAVPLRRSLGLGWMTGLKGGPPTGMQPSSIRPCNWRRWEAFEQRRGEVHPHAAGLQATAYPTDV >ORUFI01G20020.1 pep chromosome:OR_W1943:1:16943278:16951297:-1 gene:ORUFI01G20020 transcript:ORUFI01G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLVSSLLSSTSSLLAILRSPLSDLYPRSGHPTASADLQRLKRLLSRIQATLEDAEEQGLQDNYVKLWLKELKDLALDAEDVLDDYRYELLQSQVQELQGDYPRKRKHMDNDEKDNDSIDERINEMINRFEEISRDRDALKLRFEDGHKIVDRGNWMKSRPTSHLIDESLVFGRIDEKEDIIKSVLSHQDMEPSGIVVLPIVGMGGIGKTTIAQMVYNDSRVRKHFEHSGWIHVSPTFDVHKLTIAITESLTMKNYGFTQLSLVHGVLLEEVQGKKLFFVLDDLWNECESSWQDFLSPLRHAQTVTILVTTRSKEVARLVQTVQLYHLGCIPDKDCWLLFQHYAFGNQHESEQSILVQIGRKILQKCGGLPLAVKSLGCLLRSTMDEHAWMEILESELWELDEEDNIFPALRLSYYWLPTRLKPCFLLCSLYPRNLGFTKDDIIQLWVAQGYIYSTNGKTCREIGNEYFNELHARSLIETYRKPVCWDINKRKLCLSRFTYNERQGFSSKKRERQGFSSRNEGRQGLSSKEKAREAMFYLKNKLQYETYLKKPSTSIGRFKLHDVIFDLAKSFTRGEQCTAMFGTTCMPPVADLKLQFPNPSFMYLRSLILNSNHDVSGMVYSIGNLRHLRYLSLNCKMRELPESVCRLHSLETLIISSLRILKSSNFQNLFSLRCLHVSFDFMDGSLDQFSDLYCLGTLCLKHCCNITYLPLHIRSLLNLQHLQLVGISNIRRLDHASFRYNKSNSTWQPDALFPSLESLELENLCNLEDLCGLQNSDCSKLQSLTVRNCSKLSRIPCFTSLRNLVISKSVVKIIQFSLDNMLSNLQTIDIRDCLHLSTLVGLQNLSCLMSLYISHCPQLLILPSENMPCKPCHAFVADCPKLKQWCEKHEFNYFQVTRKMHISDVRLITEYGVKNFVGVQHLTIENCTQIGQNLLSSTKSWLPSNLRFLQFSSCTFSGVLNFHKGLSMLSGLEIRNCAKLESLIVLTELEISDCRGFMYIGGLRNFRDLESLKLLHCPLLQLRDLMPAAPETAVICCCPRLKKWCEWHDIEYKVNQRSDILFVSMMLIHSDIFVPD >ORUFI01G20030.1 pep chromosome:OR_W1943:1:16953579:16954470:-1 gene:ORUFI01G20030 transcript:ORUFI01G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRTEIQITIKPCHPRGRNPSRCRCPSSSLLRASPPPELVAGKAGRRVGIAAAGPSSSAPPRSGAGVAMMAAGRRGRGDGDGAATAWSWARRWGATVSVLRGSSDG >ORUFI01G20040.1 pep chromosome:OR_W1943:1:16955824:16960307:-1 gene:ORUFI01G20040 transcript:ORUFI01G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLISSLLSSASSLLAILRGPSSALYPHSCYPTASADLQRLKRLLLRIQATLDDAEEQGMQDNYVKLWLKELKDLARDAEDVLNDYRYELLQCQIRECQVDCPRKRKHLDTDEKDDDSINERINEITNRFEEISRDRAALQLRPEDGQKIAGRSDWLKSQPTSHLLDESLVFGRIDEKENIVESVLSQSMKPGIVVLPIVGMGGIGKTTVAQMVYNDVRVREHFEHSGWIHVSPTFDVLRLTTAITESLTKRNCGFTQLSLVHEVLLKELDGKKLFFVFDDVWSECESSWHDVIRPLSYAQTVIILVTTRSKEVARLAGTVKPFYLTAIPNDDCWLLFQHFAFGKQCVNEKSSLVQIGKKILQKCGGLPLAVKSVGCLLRSKTDEHTWMEILESELWELDEKDNIFPALRLSYYWLPTRLKPCFLLCSLYPTYLGFTKDEMIDLWIAQGYVYSTGGKTRQEVGDEYFNELHARSLIETSSGQLLREAHYFDELIGRSVIDSLYENVERLRNIEPSYYASSLNLFPMDSMKSFSSERIDNFQLSKYIDMKQSLIETYLERSSKSIQRFKLHDVIFDLAKALVLNSNQDVTNMICSIGNLKHLRYLSLNCYLQELPESVSRLYSLETLVISNLRILRATNFHNLVSLKSLHVYFEFLDGSLDQFCKLDMLDTLCLKRCSNLTYLPLHVGSLINLQRLKLIGIPNIRNLDHASFKYRRNNSITRYQEATFPSLEELELDKLCKLEDWYGIQHSDCPKLQRLTIRDCTKLRTVPCFIALKKLVISNCALMSLQFSVSNVTSKLQTIDIRDCLCLSTLVGLQNLSDLMSLYIARCPRLLVLPSESMICKPHYTFIADCPKLKLWCEKYEFNYFQVTRKMQISDVQLITEYGVENFMTVEHLTIDKCSEIGQNLLSSTKSWLPSKLRFLQFSSGTFSGVLNFHKGLSMLLRLEIRSCTKLESLIGLHKLYGLRELVLIECPLLDISTETKFPYRLSSLIIRGCHQILSLHLNSPAVLRELEISDCQGFMYIGRLSDFTDLESLKLLHCPLLQLRELMPVFPETAMICSCPRLKKWCEWHEIEYMEIQENPEDLYGKQ >ORUFI01G20050.1 pep chromosome:OR_W1943:1:16970224:16971685:-1 gene:ORUFI01G20050 transcript:ORUFI01G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDKFLQMLLLDGCFIIVSLYGTAGLFVRKQEVGDGSSCNVVKMEEDRANYGIYELLVSDDSTSRLLTDNIGECIEGVLFNYPKSIKEFERPVDFHHLVHLCHMYFRPRAEEHQHCAGIQYFGYFRKKYFKVGNQLEENHWVGTDKQNFSLHGEPQILRWRRAEQYHDAGIEFKKRPFDHKSPHSLLDINFVHGVVEIPFLMIDDKTSFLFRNIVAVEQSCPQFGNYFTAYLLSLPKDVTILAKRGIIVHQMHSDDEVSVLFTKLGKNVDFDVNGKYYLRHLCHVMEEHYQSRLNR >ORUFI01G20060.1 pep chromosome:OR_W1943:1:16982214:16984136:-1 gene:ORUFI01G20060 transcript:ORUFI01G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLQEDGAKLSALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSAPLGDITNVSIDDLRRCHVNDCSTLQQGSNHALLQSVDRTGPGSDQQHMITPRRLPFTVINNVAHYDNMDHTGSPFSCILQGATQNSHTLPANTGKFVVFA >ORUFI01G20060.2 pep chromosome:OR_W1943:1:16982214:16984136:-1 gene:ORUFI01G20060 transcript:ORUFI01G20060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLQEDGAKLSALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSGSDQQHMITPRRLPFTVINNVAHYDNMDHTGSPFSCILQGATQNSHTLPANTGKFVVFA >ORUFI01G20060.3 pep chromosome:OR_W1943:1:16982214:16984136:-1 gene:ORUFI01G20060 transcript:ORUFI01G20060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLQEDGAKLSALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSAPLGDITNVSIDDLRRCHVNDCSTLQQGSNHALLQSVDRTGPGSDQQHMITPRRLPFTVINNVAHYDNMDHTGSPFSCILQGATQNSHTLPANTGKFVVFA >ORUFI01G20060.5 pep chromosome:OR_W1943:1:16982214:16984136:-1 gene:ORUFI01G20060 transcript:ORUFI01G20060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLQEDGAKLSALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSGSDQQHMITPRRLPFTVINNVAHYDNMDHTGSPFSCILQGATQNSHTLPANTGKFVVFA >ORUFI01G20060.6 pep chromosome:OR_W1943:1:16982214:16984136:-1 gene:ORUFI01G20060 transcript:ORUFI01G20060.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLQEDGAKLSALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSGSDQQHMITPRRLPFTVINNVAHYDNMDHTGSPFSCILQGATQNSHTLPANTGKFVVFA >ORUFI01G20070.1 pep chromosome:OR_W1943:1:16984897:16985313:1 gene:ORUFI01G20070 transcript:ORUFI01G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARRTARASGRNDGGGYAGRLGESKAAQWGRMAMAATTMVDKIDGGGGGAAVASLAVGRQWGGGGVAGATEDGEVVGEDGDGGGEDGSKNRWRWSGGGVTGATDDGWRWGGGGVAGATDDGEAGRIDGVHACGEGR >ORUFI01G20080.1 pep chromosome:OR_W1943:1:16992521:16992979:-1 gene:ORUFI01G20080 transcript:ORUFI01G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSTNSCSIIARRFAHGSRGFGAPRRLLEASAKREGEGEARKAEEIRREGDDGAQRTGTETAKPKEGGGLGRFGPTYFRSRIVWKGKIKVGNNLVRGIHRPRGCEHDMWAMLGDHGPRAGCLIGSCCVTWKVSLSRGALFDPLYATAKGRL >ORUFI01G20090.1 pep chromosome:OR_W1943:1:16992737:17000924:1 gene:ORUFI01G20090 transcript:ORUFI01G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEFVDNVIAVIKESVKTFTYESLNNIARLINGISALLLTLLPGKANMLEGISGWELRPSFRGPRLPRWMESGVSSFNEFIHELSVDSDGESVTDSIPGDDENEDFICPSSPLSQSSRLSHTSSFSRRDRRLRRPIRYAISWILWPARFLVSLLVILFNAIKFRIMQTSNKPPESPHISRNFRSRRGLHIKDQVLQRTTDRRRGVVEDLHLAIEIFIESVFDIVHKGAHYVLSPSEVWKKLFCWIHGSSSPVVDVPTANVGSDNPAPTERKTIYRHPLNTDSRTCEDVITELGYPFEAIKVVTSDGYVLLLERIPRRDSQKVVLLQHGILDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNLRGLVSREHVDKNISSYKYWKYSVNEHGTKDMPAIVEEIHKIKTSELGKSRPHISEETEDQNDKIKNLEVQASQDDERDNQPYKLCAVCHSLGGAVMLMYVVTSRIAQKPHRLSRLVLLSPAGFHEDSNVVFSMVEKLILFVGPVLAPFIPGLYIPTRFFRMLLNKLARDFNNYPALGGLVQTLMGYVVGGDSSNWVGVLGLPHYNMDDMPGVSFHVALHLAQIKRAKRFQMYDYGSPVANMEAYGTPEPLDLGAHYGLIDIPVDLVAGQRDRVISPSMVKKHYKLMRKAGVEVSYNEFEYAHLDFTFSHREELLSYVMSRLLLVSDPGKGRIKQTSVRLRKLKKAQSEIENDSDSKEHNGIDNVVTDEQNGHVHAA >ORUFI01G20100.1 pep chromosome:OR_W1943:1:17003587:17014362:1 gene:ORUFI01G20100 transcript:ORUFI01G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGDEAEKEGKRRRGRGRSSRRSRDASSDPDPDSASSPSPSSASSPSRSPDRRSRSRSSGSKRRKASSSSRRHRHHHHKSSGRPRRSRDDDDERRRRRRRRDEERGRRGGDASESSGSGSEEPDRAEEAREIVRDILGDFPAVAGELRQLLQMIDNGEGIDISGISDKPLVKRLKKLFRSLKLRESSNGAYLLPPKSVPTLDVVGSALVASGELADNENKNPASPTRQEQPSSNFDVQNKNDSTPEEPAKNDADEQPPKRRVIGPAMPSRELLAAAAEMTEALRSRDAEVEADDGFLIGPPPPAMVAEAASANEAERFEEVTRILAADENSPYDVVGINWKMSSDNIKKRYWKLSLLVHPDKCPHPSAQEAFVKLNNAFKDLQDPEKRGVIDEKIKKKEEMEQFEVELKAMREAAEWRRLQGVSLEGDDELLTVPKQAQAPKRDEWMTTLPPERKPGVPMHSTTSFSMNGKEGRGDTSVWTDTPLERAQKAQQNYLEAYNKAKAIADADEEKIKTSDASLVDTYNSSKRSVSLVQKHRESKKEKKKQKQRDKEEWEGNHPWKPWDREKDLTAGRQNVNLDPENMAQGLSSRFSSGAVQRNFL >ORUFI01G20110.1 pep chromosome:OR_W1943:1:17016323:17019139:1 gene:ORUFI01G20110 transcript:ORUFI01G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVILLAVKKICVALGNEAINQATSKFKKFVSQITELQGSMGRIKRELRLIHQFLSRMDVRNRNNETYEIWVEEIRMLAHGIEDTVDDYLQLVSHKHDTGWSTYLKKGFTRPNILLSLNKIALSIKDAEANLMHLFQAKDRWVSMVGDGNNPSNDSSNYIVERSQHLASISRSLGEEDLVGVNQNRETLEEWLADDLLERSVITLHGMGGLGKTALAANAYMREKEKFQCHAWVSISQSYCIKDVLKCLITELSRNVKKTNWGNITDMDTGGFREELKRFLKLQKCLIVLDDVWAPEVINDLFGAHVPNLKGSRILVTTRIDDVAQLAFPDRRITLEPLCEKESWELFCRTAFPRETNHECNAELLHLIDQIVSKCKGVPLAIVSIGRLVFVRDKTKEELRRIHDQLDWELINNPSLEHVRNILYLSYIYLPTQLKSCFLYCSLFPEDHLLKRKALIRWWIAEGFISKRGRSTMEEVAEGYLQELVNRNMLQLIDRNSFGRIKSFRMHDIMHELAVDLCQRECFGVAYDEDNRRWEHEDRDERRLVVHKLNKDIDQEISCAHSLRSVITLDNSMISSSSILCLVVDNCRYMSILELSGLPISTVPDAIGDLFNLRHLGLRGSNVKFLPKSIEKLTNLLTLDLFRSSILELPRGIVKLTKLRHLFAEKQTDRHRRLFRWCTGVSIPRGLENLTSLQSLQALEAQDESVRCLGELRQMRGLRLWKVKASLCERLYESLLQMKCLSYLSITASDEDDVLQLDGLNPLPPSLHKLRLSGRLAHTMLGAESPLFQEDAGGRNLYSLRLFWSQLKEDPLPSLSRLLNLTELHFTRAYNGEKLVFLTRWFPKLKVLRLRDLPNLKRMDIQQGAMVSLERLRLINLSSMEEVPLGIEFLMPLKYLSFEEITVVFLLSLRQSRIGGMRWWHTLREENDMEAGVRT >ORUFI01G20120.1 pep chromosome:OR_W1943:1:17020029:17020477:1 gene:ORUFI01G20120 transcript:ORUFI01G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAPTRWRYEVGAHGDGVPLMLWLQGGGWPGSAARASGRGQIHGRLGRIWPVTVQVCSKSFTPLLANILPSMLLLPFYGRSASILGHWELRGKGRCGAFVELTTSR >ORUFI01G20130.1 pep chromosome:OR_W1943:1:17023404:17024117:-1 gene:ORUFI01G20130 transcript:ORUFI01G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSLFSFLSSPLLSCSLLVRSPAAEEGETAGKPSRAPPPREALPPPHPTATTTLTCEAAGCWPLLPPLLVFTPMITKEGRLTTVGELIPVEGGAAEIERCGGDVEAWSERGEPGLPAVGQQRAPTCDPKTVSSRVPSHREIAWDDRARRWRQPRRTGPTAAGLSIVMALLAGSPSHPVITGIFDGGGSSSMAKTAKISSRGGGDRRRRGGSMAMREKAPQAFRWRSCPATLSGRS >ORUFI01G20140.1 pep chromosome:OR_W1943:1:17024861:17027331:1 gene:ORUFI01G20140 transcript:ORUFI01G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMFYLTLRDDSLFMGGEMGDQAFLNYLIRSLYNKAKEIADADDEKSKTSDASLVDKYNSSKRSVSLVQKHKESKKEKKKRSRSNERKKKGKGTINHPWKPCDREKDLTAGRQNVNLDPEKMAQGLSSRFSSGAVQRNFKDDHAFSENLMAAGRRGVGTSLPLARGPLLLYDDG >ORUFI01G20150.1 pep chromosome:OR_W1943:1:17028401:17029864:1 gene:ORUFI01G20150 transcript:ORUFI01G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGTRASQQQEEAAGIENGGGKDQLPEDTSKLNITVLWLAIYFRLMDSFGKLALAWATVVLLGGFSTLIKPKDFWFVTIIVVMQITS >ORUFI01G20160.1 pep chromosome:OR_W1943:1:17041359:17043888:1 gene:ORUFI01G20160 transcript:ORUFI01G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRDELTHVGLGSWRKNTIKNGEASKGSSSVRQNQRNVLVRMFCPKRHVKMTIFQTTLTWMEAAALVACIFISFRRLLKQDYVDPKDKVKDDHKNIRGSLNVFYGLALAHSMSYYILIVAFQFLVAPLVRLVHSSYKLHDWGMDAVSEYTAKKLWAFIRYNVREALEMNLITFGKELARSDSIDDQLKGVRILDYIIRWEKQHLSRAGVLDIYKIEKYKGRVLTSIRASTETLERVVNMLGLNLKSLEEEETRGHAASIVLELAPYLLFENLPAMPQLIASLLTTGRERINEGPHDINSVELTCYGVKILERLVENPDNRRSVADANVVLSKIVELLNFRDDCKVPVPDETENRSQEEIVEASLNVLHKLVSTTGETGEALRSTISKNSEIMSNIRKILYQHDNKNSSLSVHAVKILSCLAMHETAREVIGSSCQIVRKLVSSVLPRPMDIVQDGNNGSTVADSAAQALVLLSTGSEYNRATILDKINLEELVGMLFDASMEQRIMIAHLLKHLRTYSGPGYGNQLKKVIDGSLPKLLEEIKVAVQKLDNPEFPDGQPSHDLKELKAEEGKLLDSFIGLSSQICHSLQAIDFDKALQSANLTMDTYAQDDYVGVFKRYEMDKALKEVAETATELESFELFCSGSGVGKHDEPISSAVRSTLALLAGDSA >ORUFI01G20170.1 pep chromosome:OR_W1943:1:17054283:17054985:1 gene:ORUFI01G20170 transcript:ORUFI01G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPKNDAEAFDQPDPNDERLPEEKLNTMAVRIAFFYRVMNGCGALAFAWATVVLLGGYATLIKQKDFWFVTIIVFMEATCPGKPTLTSDRLIADTPLDSDNICVRCRYRRPSSFTAGTDLKLFTGMRRHQSHREL >ORUFI01G20180.1 pep chromosome:OR_W1943:1:17060435:17060725:-1 gene:ORUFI01G20180 transcript:ORUFI01G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGAAVAHARTDGLLATFNPMTGKKYELGYCTSSDEPEPRAHRCSWHGWVLGKEGDDEVFLLNPTKGRIMHLPPMTRGPFFGSISFTSVLGSPD >ORUFI01G20190.1 pep chromosome:OR_W1943:1:17061126:17067129:1 gene:ORUFI01G20190 transcript:ORUFI01G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMENEDEEEAPRSPASVIRINDQTHEDVLVPACCRRRHGGHRELAMATVDDPQGPQIEKRVLLFFNTSKPEDQFFIGLPEAIIKADRIAVLGRGSWRRYRSDEEKPDDYTKIFLELFSAFINGRSCLAVLYQTTLGYAQLAAVIGTMVISSLRLKRQDYVDPIYQQNDDHKNIRWSLNIFYGLALSQCIVYFLVSILANPLKRMLRVGLTYKLGFWGVLSLARYVEECLLKCVSGDLRGAVSMDLVSFSNELLSSDSIENQLIGFRIVDHLLRSKMYKQRVLKKIRVSIGTIQMAVHMLSLKIDMDTDTRGHAARVLLELAPDLQVESFPGILAFLAADDTARKEIRNSRLIVRMLISFLAGEMNVVQDPIPRKMMETLATEALVLLTTHFKEKIVLSIVRESNVQAILAETMVEDMENIVHVLSDESADHRIGVGKLLQNLRAYQGAEYTELFKIIDKALPKVLETIDLAESKIESDSSDDHSSHAQELIDSAEGKGKLLESFIGLTVQICTNGDEMVFTDALRSANITVDEFVLKLKMILTVYKSPTADFPGVRRVVIQQMNWMMEKNPAYIVVFKKHEMDIILKETAETATKIENFLLFHSGVGAFEHEESISSIVSKSLGLITGSFA >ORUFI01G20200.1 pep chromosome:OR_W1943:1:17072891:17077336:1 gene:ORUFI01G20200 transcript:ORUFI01G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPILRRIIPLLMLLLTLWPVPSSSLPDEYSDREALLQFRAALSVSDQLGSLSSWNGSTGSDFCRWGGVTCSRRHPGRVTSLNLSSLGLAGSISPVIGNLTFLQSLDLFNNTLSGDVYFTSQLHRLHYLELAYNDFSGDLPVGLCNCSNLVFLSVEANELHGAIPSCLGSLLQLKVLYLGENNLTGTVPPSLGNLTMLLQIALYQNQLEGTIPEGLSGLRYLQYIQASRNSLSGTLPPLFFNISSLQYLGFSSNKLHGRLPPDAGTRLPNLQVLRLGGIGNNFSGTIPASLSNATEIQVLGLARNSFEGRIPPEIGKLCPVSVQMGSNKLQANDAGDWEFLRYFTNCTRLQVIDLSDNTLGGILPSFIANLSRSIQWLSMAKNQISGIIPPGIGSLKGIEDLEFQGNNLFGDIPGDIGRLRNLKVLWLNMNNMSGGIPFSIGNLTQLLTLDLSNNQLNGSIPKSLGSMERLTNLDLSSNRLVESIPDVIFSLPSLTDSLLLSDNYLSGALPPKVGNLRRATTLSLSRNNLSGKIPTTLGDCASLVYLALDSNHFTGSIPPSLGNLRGLSILNLTRNALSGSIPQQLSNIHGLQQLYLAHNNLSGTIPQFLEKSSALIELDLSYNHLSGEVPSHGLFANMSGFSVLGNYALCGGIAELNLPPCEVKPHKLQKQMLLRILLLVSGIVICSSLLCVALFLFKGRKQTDRKNATSDLMLNEKYPRVSYHELFEATDGFAPANLIGAGKYGSVYRGNLSLPSAVNVVVAVKVFTLQHASSSRSFMAECEALRNVKHRNLIKIITCCSSMDSRGNDFRALVFEFMPKYSLDRWLHPRIHEQTHKLSIAQLLNIAVDVADAIDHLHNNSCPTVIHCDLKPSNILLSADWTAYVADFGLAKLVGESIEKSGLSAGDSSTVGIRGTIGYVAPEYGAGGQASVVGDAYSFGITLLEMFTGKAPTDNMFREGLTLHLHAEMTLPEKISEIIDPALLHVEQYDTDAEILTCLSSVIEVGVSCSKENPSERMDMKHAAAKLNRIREEMRYDTIVRVLIPYLAIPKPSGSSLPPPQHRQTTQASIRIWMQ >ORUFI01G20210.1 pep chromosome:OR_W1943:1:17101576:17102717:1 gene:ORUFI01G20210 transcript:ORUFI01G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEIVQDIQDEEDEEDEERVSREMPRRSIDSAAARRSIDSATPRQSIDSTASSSKKRKKDSNKLKNTLSSDPFMDVFANVQGDLRDVTKHVGAMVASMQREAEIQEKAMAEEDPLQKIQNEAILECQKLGLTGTEVVNAAAAFVKVPAQMSMLLALPESLRREYVLKILAEFHISIGLGDWRLPMS >ORUFI01G20220.1 pep chromosome:OR_W1943:1:17106647:17119172:1 gene:ORUFI01G20220 transcript:ORUFI01G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHATAASTTTNVAAEVLGLPEAKLNWMILRWVFIDKLISSFGALALAWATIVLLGGFSTLIKQKDFWFVTIISFMEAARARAWHRMRPCEDGARAAVRWRGAAQCSRGPVMLGRASAARAARHANAVYCQSRFPPIGIHRRLQVGCGGFRRERQKRNKNKEKERNSFSRLDRRDAKRICEFLPFFFLGLRLFSSAVDPRDQFILNAPEVVAAETERFEAMENGSWQRHISINRQVSSSSVTAQGLRAKVASYYAKRCSCGFFLAKLLLMGFALVLYSAAVASVVLAALRLSKQDYVDPADQGSSDHKSIKGSLNLFYGLVLVQGASDLLAQAMFTVADIQLVLKITEAYQLGPLGKQMVSHYMLVTYLRCSGGNVREAMNMDLVSFAMELVRSNSIADRLVGVGVLDSILRVPKYRALALMRLRASADTVGGVVSMLGLTNNTRKEVNTRGHAAGVILELSRDLLLESFPAMLPIVSSLIVAADNSGNDVTVSMEFTWFGVKILNKIMDNPDNCNKVADADGQVIASIVNLTAVTGDDRSLSIVSSSAVRDEEIILEAVQVLHKLVSAAGDSGRVLRCKVSDNVYVLRNISKILQHPRSQVKLLVEAIGVLACLALDETGREEIASSPQIIRKLVSFLVPRSQMISEISADRRQLAKPNAEALVMLAMDNQSIVWKIQEELKPQDMQKLVEMLSADSTGFKTNVAKLSGILHANSRAEHAHLQKTIINTALPTLLKAIKSEVEKLEDPVLYAGEHANNFQEWRTKQGALLESFVGLSVQICTSIHASDFNEALRSANVTVYMVMQKLRKILDLYKSPAIEFPGIRRVAVELIIWMKQCSSHCNEVFFQCEMDKALKEVAGTEERLEMFKIFYYGVGIVKHSEPISSLMGTEMCGLHLEDVAKSKLEGEKLKELARPSEDAE >ORUFI01G20230.1 pep chromosome:OR_W1943:1:17163301:17164985:1 gene:ORUFI01G20230 transcript:ORUFI01G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRAQAVLAGAGQVRQGGLAEHLAQLRHLADANAGGEPRAEVLHPPQLHEPRPPPLQHPRHHQRHRRRSGRRAAGRPDHRPPGHGQPRGGGAGPAGHEAPPPPPPGRRAAAHAHVQRRAHGPPRRRPHGARRRRHAGGVPAGPRAVRRARRLPGATGQDAPMTRHGRT >ORUFI01G20240.1 pep chromosome:OR_W1943:1:17170488:17171180:-1 gene:ORUFI01G20240 transcript:ORUFI01G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIILVIWKLSDHKHFQAQQQQLKDPCPHLHPAVPMAAVLPAAAEDAPSLVVKPKEEFNISPDSGGGESCVTTESDEDASTAASYTSDARQSLAPPPQKHSVLEQKFSLPLPGVAETALDSGRDDDDLDAMWNAIMQKTRPATASYTAPLCLPRARSPPLPLRSANAAAQPAACSSTAVASPFHSAGHRALDRQLRLPAPLRRRRCHLALLYRPPSSPSLPRPTPHVRL >ORUFI01G20250.1 pep chromosome:OR_W1943:1:17171964:17183176:1 gene:ORUFI01G20250 transcript:ORUFI01G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLAAAPPPAQHVAPAFPNLPRSRRRHLLGLQLLLRRHRPVSVGHHHHHHHRRRRRLLLAGAYAPGDGGAGQDVDSSESTSSTGSAYIGLFVRMLGLDNDPRDREHAVYTIWQYSLGGRKCIDEIMQFHGCVALIVSLLRSDSVRACEAAAGLLRNITSVKLYRDVAIESGAMEEIFSLLCKSTITPEMLEQSLCTIWNFSIEENLRYKILSSGMLTRMVRFLDDEDIKVKEAAAGIISNLALSHSNHGALVEAGVIPKLVQLLQNKEDDYKIIRKEAKSSLLALSTDEYYHTLIIEEGLVRVPLVGSAVYKAFRPLPHSWPSFPDGSEIQRSSRPSKYGATELLLGLSVGEKETEPDEAKVNAMIGRSNQQFLARISAIELDDEGNEQSGGSQRNDLYTILPWVDGVARLVLIIGLEDASAIAKAAKAIGDASKNEHMRSSFKEAGAVKPLLQLLNHTDVPVRKAAVYALEKLSVSSIVCEQIKTDGGLKLLADIVKDPNTPVEQLEKIIIMLSRIFNTGISMVAVPDSSAINGSEDTMHSEKSNGSCGDIDGGTNGTSFAYLKQEETSSVSIIDFDVISRLTRVLREASPSLQEQVASVLEHLAAFDQHATAMTAARIESVIEAVLEMGVIHGTMGDPENFDELPTVVIEQVSRAVSATVRLLTKLLDFDIFVRSINTEKCIALLRKMFKSSIPLQSKDWLAACLIKLQSTAGLSGHESVSSIDMEITIYETIPRLVDQMMTSFSFENKRNAVIELNKIVSGGVMEYTRAIATAGGIFPLVKMIEEGDGDALEASLAILYNLSMDPENHPAIIAAGAVPLLKRIVVAESSHWNRALELLRTLPV >ORUFI01G20250.2 pep chromosome:OR_W1943:1:17171964:17181606:1 gene:ORUFI01G20250 transcript:ORUFI01G20250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLAAAPPPAQHVAPAFPNLPRSRRRHLLGLQLLLRRHRPVSVGHHHHHHHRRRRRLLLAGAYAPGDGGAGQDVDSSESTSSTGSAYIGLFVRMLGLDNDPRDREHAVYTIWQYSLGGRKCIDEIMQFHGCVALIVSLLRSDSVRACEAAAGLLRNITSVKLYRDVAIESGAMEEIFSLLCKSTITPEMLEQSLCTIWNFSIEENLRYKILSSGMLTRMVRFLDDEDIKVKEAAAGIISNLALSHSNHGALVEAGVIPKLVQLLQNKEDDYKIIRKEAKSSLLALSTDEYYHTLIIEEGLVRVPLVGSAVYKAFRPLPHSWPSFPDGSEIQRSSRPSKYGATELLLGLSVGEKETEPDEAKVNAMIGRSNQQFLARISAIELDDEGNEQSGGSQRNDLYTILPWVDGVARLVLIIGLEDASAIAKAAKAIGDASKNEHMRSSFKEAGAVKPLLQLLNHTDVPVRKAAVYALEKLSVSSIVCEQIKTDGGLKLLADIVKDPNTPVEQLEKIIIMLSRIFNTGISMVAVPDSSAINGSEDTMHSEKSNGSCGDIDGGTNGTSFAYLKQEETSSVSIIDFDVISRLTRVLREASPSLQEQVASVLEHLAAFDQHATAMTAARIESVIEAVLEMGVIHGTMGDPENFDELPTVVIEQVSRAVSATVRLLTKLLDFDIFVRSINTEKCIALLRKMFKSSIPLQSKDWLAACLIKLQSTAGLSGHESVSSIDMEITIYETIPRLVDQMMTSFSFENKRNAVIELNKIVSGGVMEYTRAIATAGGIFPLVKMIEEGDGDALEASLAILYNLSMDPENHPAIIAAGAVPLLKRIVVAESSHWNRALELLRTLPV >ORUFI01G20260.1 pep chromosome:OR_W1943:1:17185511:17185846:-1 gene:ORUFI01G20260 transcript:ORUFI01G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLAALLAACDRGVRALRLNHAAGTASSHRPDPDGGTSGGDVGRSRNCSWHRLVRDRGAAATTMTAAADGGADDDNRVWWPRCRRRHTRGRCARRGWTAEKGRWNWEWW >ORUFI01G20270.1 pep chromosome:OR_W1943:1:17199701:17200006:1 gene:ORUFI01G20270 transcript:ORUFI01G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELEVEPHAAAEEKAKKHTQIGQEIESRIWPPKCEGWSFTEVTCQAQLLDKNAQPVSQICLTTVGQVGNVGGEPEVMKMTTALEDIMLKIEEMSGTTLLT >ORUFI01G20280.1 pep chromosome:OR_W1943:1:17219895:17220294:1 gene:ORUFI01G20280 transcript:ORUFI01G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWLGWETMESIKKKLLKRRRRRRGGEMKKQEKEGGGGGISKVVREHKARLYIIRRCVVMLLCWHD >ORUFI01G20290.1 pep chromosome:OR_W1943:1:17248838:17252714:1 gene:ORUFI01G20290 transcript:ORUFI01G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLYGDLPPPSSSADDDKPSAAGWSSAAKMAPPTLRKPPATFAPPPSVLRNSRPAPKAPAAQPPPPPTLPIETTTSTSFQPALVTVQSTVMEEYDPARPNDYEDYRKDKLKRAKEAEVRKELERRRREEEERERERELREREGRDALNISGEEAWKRRAAMSGSAAPRPSSSPPHGDGFAIGNSSSSGLGLGAGGQMTAAQRMMARMGWKEGQGLGKQEQGITAPLVAKKTDRRGGVIVDENSSKQEKKPKSVNFDGPPTRVLLLRNMVGPGEVDDELEEEVASECSKYGTVLRVLIFEITQADFPAEEAVRIFILFERAEEATKAMIDLEGRFFGGRVVRATFFDEERFGKNQLAPMPGEVAGFD >ORUFI01G20300.1 pep chromosome:OR_W1943:1:17254466:17258789:1 gene:ORUFI01G20300 transcript:ORUFI01G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTTLAPKRRKAEASPSPSPSPMGDSSDGGYSDSDLHDAEESFYSARSGSEDDRQVSSSNDDDDSEEEEQEEREMDEEEDEEDDDDEEMNEEDDEDEGEEMNELEKEYRTLQTNQQNILETLKQHRDDDVSKGQAVKNQKVLWDKALEMRFLLQKAFSTSNKLPKEPIRSMFCDHNQEIEQAYLDLLNSSKQTLGSMMELQEALLERNRATKDVTDTDNSSELNGEDDEWSEVQKLQKRITPFRNSEIDKWQRKTQVTTGAAALKGKLHAFNQNISDQVTSYMRDPSRMINRMHLRKSTLGVFGEEVGEHENNKEENNTEGDPELVDDSEFYQQLLKEFLESCDAGASESAFYALKKQQHKKRKLVDRRASKSRKIRYHVHEKIANFMAPVPMVIPPMAPKLFENLFGMGNQKSTTAINTVGSLLRVPMQMVNMGRT >ORUFI01G20300.2 pep chromosome:OR_W1943:1:17254466:17258386:1 gene:ORUFI01G20300 transcript:ORUFI01G20300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTTLAPKRRKAEASPSPSPSPMGDSSDGGYSDSDLHDAEESFYSARSGSEDDRQVSSSNDDDDSEEEEQEEREMDEEEDEEDDDDEEMNEEDDEDEGEEMNELEKEYRTLQTNQQNILETLKQHRDDDVSKGQAVKNQKVLWDKALEMRFLLQKAFSTSNKLPKEPIRSMFCDHNQEIEQAYLDLLNSSKQTLGSMMELQEALLERNRATKDVTDTDNSSELNGEDDEWSEVQKLQKRITPFRNSEIDKWQRKTQVTTGAAALKGKLHAFNQNISDQVTSYMRDPSRMINRMHLRKSTLGVFGEEVGEHENNKEENNTEGDPELVDDSEFYQQLLKEFLESCDAGASESAFYALKKQQHKKRKLVDRRASKSRKIRYHVHEKIANFMAPVPMVIPPMAPKLFENLFGMGNQKSTTA >ORUFI01G20310.1 pep chromosome:OR_W1943:1:17270617:17271639:-1 gene:ORUFI01G20310 transcript:ORUFI01G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWASLPADLLFSISSHLREPEDFVRFRAVCPQWRAAVSHKEHAFFQPWIMASRWLEDEYSENVLFYSLSTLKTIKVHVPDMKSRRIAASGSSHLIAIDKDDDLSAVLINPLSGKTTALPRLPKFFHDNGAHGWITGEGVITVVLNNWMSENMALWYRGGGITMKGWAVVPRWKLRLRVSHYLRKLAAYGDQMEMHLIDLGGDNEDSVVLLQETKKVELLGGCWPRSDEVFKATRPCHHEWFSLYRNVEQEEIPVHDIGNVMVVQSRDSCTRTYMIPASRDFAALGSRNAFYYLWKQFDAGGSYNALFKKCLASEVLTFVKRLPEDWKLSDEWFMPSLKY >ORUFI01G20320.1 pep chromosome:OR_W1943:1:17287394:17287654:-1 gene:ORUFI01G20320 transcript:ORUFI01G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAAVSEPMSRIDEQCAENKGGAASKQRHPSDGAVAWTVAAAYAKEPCAEAETVTVAAGKVATTACFF >ORUFI01G20330.1 pep chromosome:OR_W1943:1:17291982:17293013:-1 gene:ORUFI01G20330 transcript:ORUFI01G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWASLPADLLFSISSHLREPEDFVRFRAVCPQWRAAVSHEEHAFFQPWIMASRWLEDEYSENLVFYSLSTLKTIKVRVPDMKGKRIAASGSGHLVAIDNDDDLSAVLVNPLFGKTTALPRLPEFFHDNGTHGWVTGEGVITVVLYNWMSESMALWYHGGGITMKGWAIVPGRKLWLRMPYYLRMLAAHGDQMEMHLTDLDRDNDNSVVLLQETQKVELLGGCWHGSDELFKATTPYHHEWFSLYRMVKQEEIPVHDIKNAIVVQSRDSCTRTYMIPASCDFAALCSRNAFYYLRKQFDDGGSYYALYKKCLASEELTFVKHLPEDWKLSDEWFMPTLKIGTL >ORUFI01G20340.1 pep chromosome:OR_W1943:1:17318572:17319281:1 gene:ORUFI01G20340 transcript:ORUFI01G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGAVSSGIMGTVTGREDPCGHGLPGAWERESRKSFDSGTRREGKISGALLHRLISHRLLSPTSLAHAASSPPPTLSVPPPPSGRTINHPPPLFCFLDVDGDFVPVKAHHRCDADAPHEDQRRSPKWRKRLLMFNTFKTDVSDVVAKCFKTDLIVFHHFNS >ORUFI01G20350.1 pep chromosome:OR_W1943:1:17321309:17336865:1 gene:ORUFI01G20350 transcript:ORUFI01G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRFAQLATTSDDDDDDAPAADAPPKAKPPPPPSSSSGPKKPKQRRLSSAAADDDDDVEEDDLELEEEEEDEKDLEEMRRNEEEERREETQTRRRRRRRGRKPKRPAEESEEDEEEEEEEAKAEEAREEENTEAVPIGEPVKITGRGKKQRKHYTSFEYEGNTFELEDPVLLTPEDSKEKPYVAILKDITETEGSLSVTGQWFYRPEEADKKGGGSWKASDTRELFYSFHIDDVPAESVMHKCVVHFIPQHKKIPSRKEHPGFIVQKVYDTVAKKLWNLTDKDYEDNKQHEIDLLVKKTIDRIGQLSDIEPADAPGDNNDQLSNKRGLRKRPVLPIDVSRDDALAGKSEQFGKAETPGSDKLKNYATLVKYKAVTGDQYRDRWLDKLVDTIPLTSKESAGASHADPGGATKSSTNGSSAKEDNEKSYSPDVIVSIMASLERSTYEALGSDFQKYNQKLRQLLFNIKNSPVLRNRLMNKELDPPVLLTMSPDELKVGLTAAERTSEPEESRKLQMTDARCVRCAEKEVGVSDIIHAGHGDRYQLECNACGHSWFSSRDAITTLTVDTPTSAGGSVGTAPWATAKFDVMEKQLTSPRDHQPDKPLADALHKSAAPYMPTLEKQKSFGKHKPDEPSSAPAAGHE >ORUFI01G20360.1 pep chromosome:OR_W1943:1:17338402:17343291:-1 gene:ORUFI01G20360 transcript:ORUFI01G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRGGAAAQPSGRRGDTTAAAAGDLVTTQVSLGGFDAGVAAGDLADFLEHEVGLVWRCRVKTSWTPPDSYPDFALPTAPASASAAAAPPRYDRVPPHAFVHFARPEGARRAADLAGETRLILRGKPLRVASAPDSSLRVSRRSSIAPFRFPDVRLEVGALPSPGAFLAAWRGPDAGLDLSVDPFDGCCRLVFTRDTAFTFPGFREVAAIRCDVKLEFPVRDVLEVRLYRLDCSLLLRLAAAPLVHYRTADDDFHEPVPFDLLDDDDPWIRTTDITPSGAIGRCGVYRISFSARFWPKMDRALDYMRERRVAIVDCGGGWGPRRGLTVRDELEFGEPMQDVFFCLQHAEGLKFPLLFMVNALVHKGIINQHQLTPEFFSLLGRSEENVNVAALRDFWGDKFPVFDACGRLKKALNRVARNPKLLCSKVGDDHAEVRRLVITPTRAYCLPPEVERSNRVLRHYHEVADRFLRVTFMDEGMQVLNNNVLNSFTAPIVKDLMSNFFQQKTTVYKRVRMLLTEGFHMCGRKYSFLAFSSNQLRDKSAWFFAEDRKTTVEAIRKWMGRFTSKNVAKHAARMGQCFSSTYATVTMRPDEVDESFDDVVHNEYIFSDGIGKITPDLALEVAERLQLTDNPPSAYQIRFAGFKGVIAVWQGHGDGTRLFLRPSMRKFESNHLVLEVVSWTKFQPGFLNRQIIILLSSLNVPDSIFWQMQETMLSNLNNILSDRDVAFEVLTTSCADDGNTAALMLSAGFEPRTEPHLKAMLLAIRSAQLQDLLEKARIFVPKGRWLMGCLDELGVLEQGQCFIRATVPSLNSYFVKHGSRFSSTDKNTEVILGTVVIAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPQKGERPHANEASGSDLDGDLYFVTWDEKLIPPGKKSWNPMDYSPPEAKQLPRQVSQHDIIDFFLKNMISENLGRICNAHVVHADLSEYGAMDEKCIHLAELAATAVDFPKTGKLAIMPPHLKPKVYPDFMGKEDGQSYKSEKILGRLYRSIQEASNGDVVSQEVCTPNDLPYDIDLEVPGASDFLASAWQCKCSYDAQLSALLSQYRVRTEAELVTGHITFLVKNSSKKQGDIKDRLKTAYSALRKEFKSTFESIASDQCEIGDDEKNLLYEMKASAWYQVTYHPKWVEKSRGILGPDGEEIPASLSFAWIPVDYLARIKLRCHGKVRVEGQKPVERLAAYISERI >ORUFI01G20370.1 pep chromosome:OR_W1943:1:17354701:17362049:-1 gene:ORUFI01G20370 transcript:ORUFI01G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGGATAASAARALRSRLIPDTSHHPATALAPIASPRSSSSSSSSSAPTIAAAVPAVAEATAAAAAVSRQAGSVSDALRHYGRCYFELSKARLSALVVATSGAGYVLGSGNMVDIAGLCCTCAGTMMVAASANTLNQVFEIKNDAKMKRTMRRPLPSGRISPAHAAMWATSVGVAGTALLAWKANGLAAGLAASNLILYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAASSELSLNAMILPAALYYWQIPHFMALAYLCRNDYLAGGYRMFSFADPTGKRTAWVSLRNCLYMLPLGFFAYNWGLTSEWFSLEASLLTLGLTIGALSFVLEPTPKTARRMFYGSLLYLPAFMAGLLLHRLPNEQKEHNVTQTSEITGILYGAEQQDEERARQKREDRKPSRIHSRPPVAYASVAPFPFLPVPIYVSTQGHEL >ORUFI01G20380.1 pep chromosome:OR_W1943:1:17369473:17381715:1 gene:ORUFI01G20380 transcript:ORUFI01G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGVVQHRWEMDIGPTLALPFNVQAVPEKINWEDHISKNSAEWGWQMAVCKMFDERPVWPRQSLYECLIDDGLQISQSQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDPDSRMFQRIDFRMPPELRNLPRKERRPEKWTEMCKLEVMPSKSFIFLQLFELKDDFIQAEIRKPSHQPTCSHLTGWFCKPMIKTLRLQLSIRLFSLCPNEDAKNFLRNAYELIERSKKQEALCRSQQLRENKEASDRHNGTEDQAGGNNSDSEDAEDDEEEDKESDGYESPPMADDVPDFTLDDPYTSGEGFSNGYLEEMLRNFPLHEDGQNKPGDAPNNTEASDGEFEIYEQPSDDEESSDG >ORUFI01G20390.1 pep chromosome:OR_W1943:1:17382642:17383563:-1 gene:ORUFI01G20390 transcript:ORUFI01G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAVAEADEVGGDSCGGQGRRQRHTEVTSTVDKDEGSGGRSGQRRQRRRTRTCATMAARPWTWTLRRRQRRVARLGACGDFVNLKICRPSLPEVLIGVGPLSYQIKVDFGAFLRNKYPEFGMLSFLNHCYSYANLNSCKIPFPNTGTINISRRWMRQ >ORUFI01G20400.1 pep chromosome:OR_W1943:1:17384835:17386970:1 gene:ORUFI01G20400 transcript:ORUFI01G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLSDCCWQCTGSRCSPTTSRTTPGTSRGSSRERTRPFKTSIVFAHDREGTSVLFKVLSAFAFRDISLTKIESRPHRHRHPIQFVDGANVGTAKHFEYMFYIDFQASMAEVRAVGDTGVHLLPPRARQLPHGHDAMDDDRSTRLMLKFSEIRDRVVVFRQLCPSSSFAITSWKLRTVPTTMAIQGQIPFVQRLAFVFRSVSKSLERLGAAPPTKSFWTRNYLLKLQNSLDIAWQSLSLMERTFHRLESKQVDKVASLAAVAAAATDAANRDRLESKQVDEVSANKACVHSAVIIFLAVSNERAAYGALSAYRSSLEICRANMTVFNDMHISVNDKLLDVAGAGEKLQANIRIASGMTRILAIFLGPWIQRINGAEGIPPTMRIAFSFCSEHMWSIKTCADTPFLLLGCGAFSLRRRLKLSMAAMRCLQLQSSEASETLYDWDAWDALPSI >ORUFI01G20410.1 pep chromosome:OR_W1943:1:17395514:17397902:1 gene:ORUFI01G20410 transcript:ORUFI01G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKEEPIELVAVAPPHFMLGSVWPLLADNGKRKRRSCCGGRITTLNTATSSVELHLRLEHGGDGARVVFLVIGALGRVLRLLLWLLGQAHVTTMEVADNDDPSEREGATSPRSLPAVDEFSQITIGLHASPSNKRARHRIFPSSSSSSAVRLSRPSPHRGADDATRCGHLGLVRAHPGLRDLNAALTTTTTSGGSADASFFLDAAHALAASALRVPTITGGAIRLMVSDRIPKKLAAAESKGDSKAAVHLRLELATLHAREGRLDEALAAAVQLARDNPGDIRPRLAAAALCCLHGRSGTAFEWLKSVPESARRFKTSDRFVTIVVYAMPGSSPQRVEEGVDGMVVDVAAAIAEDTLSMKLEEGEWSTLERLELAVLGRLLRRFVSKRFAAAAYPEFKSWTWTRPPPPPINATESQLNKALVLCSQAMLAPVLGARPLCGERLREAMQRYRAAVARDPSDRRAYELAAALCSIAGHAAEERDAWLRGEERHCDRGRGATAGRGGGLQLQALLDEQVAAAALGLGGDRTARDPHRGRVLAAAWREVDAGLAAALRDGDHLTMAERAQLRGLRCVLRAKMQPLLDTAANSTGPDNSPQQRSH >ORUFI01G20420.1 pep chromosome:OR_W1943:1:17400518:17405128:1 gene:ORUFI01G20420 transcript:ORUFI01G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESEAAPGTGKLVCVTGASGYIASWLVRLLLARGYTVRATIRDTSDPKKTLHLRALDGANERLHLFEANLLEEGSFDAAVNGCDCVFHTASPFYHNVKDPKAELLDPAVKGTLNVLGSCKKASIRRVIVTSSMAAVAYNGKPRTPDVVVDETWFSVPEICEKHQQWYVLSKTLAEEAAWKFSKDNGFEIVTVNPAMVIGPLLQPSLNTSAEAILKLINGSSSTYPNFSFGWINVKDVALAHILAYEVPSANGRYCMVERVAHYSELVQIIREMYPNIPLPDKCADDKPSVPIYQVSKEKIKSLGLELTPLHTSIKETIESLKEKGFVTFDSSNL >ORUFI01G20430.1 pep chromosome:OR_W1943:1:17413984:17415394:-1 gene:ORUFI01G20430 transcript:ORUFI01G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEATASHERRLPLTRAVPTDVSSHRRGERAVAIAFVVVIELSLNNLTLAGVVLLHQSGRDAPNAKVVGEDGEQVEVNGVLVPNEHGFGGQEGVVESEHMEDVVGELMVDYLA >ORUFI01G20440.1 pep chromosome:OR_W1943:1:17423836:17424460:1 gene:ORUFI01G20440 transcript:ORUFI01G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATLFSRASPSRVGRVCEVSELPLVKCPFCNVDSMIVERTCKKQDNFNRKFYRCLRGQNSGAQCKFFMWQGEYAVWLVKEGVLHAWTDCNAQRTQVEVPDSLNASMGGVNDTIEKMRSELKKAMSTIRVAGIILVTAVVVFVAMNAMK >ORUFI01G20450.1 pep chromosome:OR_W1943:1:17445628:17445894:-1 gene:ORUFI01G20450 transcript:ORUFI01G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLSGAEVREGSEEGSPLSEMEECGCQWEEGGDSVGVVVRGRGRGGVTLVRGGGTRLTVGARGDGIICCQGHRAGRGQRRCHPCL >ORUFI01G20460.1 pep chromosome:OR_W1943:1:17451059:17452504:1 gene:ORUFI01G20460 transcript:ORUFI01G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKAVYRVVVNNFLALAAAAVAAVLLRRPDELAARLRAVSSPLHAATAAVLAAGVARLVRARRARGVYLVEYGCFRPRPCYRAPFATCLEHAHLMPYLVDEESVAFAIRLLERSGLGDETCVPDAYHYMPPDRSLKASRDESELVIFSAVDDVFARSALTPADIDVLIVNCSIFTPTPVFADMVVNRYKLRAEVQNVNLSGMGCSAGLVSVGLAKNLLQVSPPGTNVLIVSTEILSSQYYVGTERAMLLPNCLFRMGAAAMILSNSPDHARFRLGRVVRTVTAARDSDYRCVFQEEDEQGNTGIRLSKDLATTAGHALKSNIAAFGPLVLPASEQLLVAISFLKRKLKQLSGHAGKVRLYRPDFRTAFEHFCIHAGGRGVIDEVQHGLGLSDDDVEASRMTLHRFGNTSSSSVLYELAYLEAKGRMKRGDRVWMISFGAGFDCNSVAWECVKPAPDADGPWVDCIHRYPVQLPEIAKDV >ORUFI01G20470.1 pep chromosome:OR_W1943:1:17470206:17470673:-1 gene:ORUFI01G20470 transcript:ORUFI01G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRGWRGSPPLSPRSGRRGEGGERWRRRRFPSLPDSAGGRLGKGGSGGGDSPPPSQIQPEGDRGRAAAAATVPLPPRSDRREAGEGRQRWRQFPSLLDPAGGRPGESGSGGSSPPSQIWSEGGGGRRWRRMWVEARVGCGEFFLFVKMIFTGG >ORUFI01G20480.1 pep chromosome:OR_W1943:1:17476122:17479533:1 gene:ORUFI01G20480 transcript:ORUFI01G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPRAGDGAAAAAAKEAPAVSYLQACVELDDWWLERVEGEEGKVRVVGSNTTTSRAGRRFTSASIKTRHASGDLETEDGIIIMIARPPNISKMHLNGFPDEVSKHFSLGFPVQWENIINANMAEMNKQPQSPLKSTEYYIEKFLRGNLKYSMGLFSWDGLNIYQRSRSDADRFPSERLSNSSNGRPTVEDPTANTDCNVNFMGTLATSEEFCTGRMDMPEEPRATPSETCGNDQENNQHLCMLMNTCENGNKVQHGTSSVGPSVVPAEKYVSKAEQDALLVNDSTSHVSSVLGDCATPKCGKSLTHLGTKDALETNEGMNPQFGVPQGSEGSTVRRLRNGKVIVISTSASTKKVYRRARMQDNTFSENVIPNKNVTCPTGLISQENVGSVAVTAAAKLQIHDTPRKVTITP >ORUFI01G20490.1 pep chromosome:OR_W1943:1:17482592:17485294:1 gene:ORUFI01G20490 transcript:ORUFI01G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKIKAIESIYSPPPPTSSRLTRVVVVVETLAARAAASSPARRLQPSSCLAPPPADRRAPPAPLRPMDPQQPEPVSYLCGDCGAENTLKPGDVIQCRECGYRILYKKRTRRIVQYEAR >ORUFI01G20500.1 pep chromosome:OR_W1943:1:17486597:17491116:1 gene:ORUFI01G20500 transcript:ORUFI01G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWATNGPQSLGMHLGVKLALRRPNGSHGEIDVVRPHQGSREIELLADRSSLMALLPMLLTFYPWVMQFRNYSSGLGGDSTATGDSSSTRVAADPDTHQDFQPTTKSSNMSFDDIVSQDIKENPVLIYMKGYPDAPRCGFSALAVRVLKQYDVPISARDILGDLKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQAKGSA >ORUFI01G20500.2 pep chromosome:OR_W1943:1:17486597:17491116:1 gene:ORUFI01G20500 transcript:ORUFI01G20500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWATNGPQSLGMHLGVKLALRRPNGSHGEIDVVRPHQGSREIELLADRSSLMALLPMLLTFYPWVMQFRNYSSGLGGDSTATGDSSSTRVAADPDTHQDFQPTTKSSNMSFDDIVSQDIKENPVLIYMKGYPDAPRCGFSALAVRVLKQYDVPISARDILGDLKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQAKGSA >ORUFI01G20500.3 pep chromosome:OR_W1943:1:17486597:17491116:1 gene:ORUFI01G20500 transcript:ORUFI01G20500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWATNGPQSLGMHLGVKLALRRPNGSHGEIDVVRPHQGSREIELLADRSSLMALLPMLLTFYPWVMQFRNYSSGLGGDSTATGDSSSTRVAADPDTHQDFQPTTKSSNMSFDDIVSQDIKENPVLIYMKGYPDAPRCGFSALAVRVLKQYDVPISARDILGDLKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQAKGSA >ORUFI01G20500.4 pep chromosome:OR_W1943:1:17486597:17489745:1 gene:ORUFI01G20500 transcript:ORUFI01G20500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWATNGPQSLGMHLGVKLALRRPNGSHGEIDVVRPHQGSREIELLADRSSLMALLPMLLTFYPWVMQFRNYSSGLGGDSTATGDSSSTRVAADPDTHQDFQPTTKSSNMSFDDIVSQDIKENPVLIYMKGYPDAPRCGFSALAVRVLKQYDVPISARDILGDLKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQAKGSA >ORUFI01G20500.5 pep chromosome:OR_W1943:1:17486597:17489745:1 gene:ORUFI01G20500 transcript:ORUFI01G20500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWATNGPQSLGMHLGVKLALRRPNGSHGEIDVVRPHQGSREIELLADRSSLMALLPMLLTFYPWVMQFRNYSSGLGGDSTATGDSSSTRVAADPDTHQDFQPTTKSSNMSFDDIVSQDIKENPVLIYMKGYPDAPRCGFSALAVRVLKQYDVPISARDILGDLKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQAKGSA >ORUFI01G20510.1 pep chromosome:OR_W1943:1:17492693:17493925:-1 gene:ORUFI01G20510 transcript:ORUFI01G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPTPRRPRSWSDIPADIAGLVLRLLPAHADRARFAAVCPQWRATARQRPLPPPPPLPLLALPDGTFYSPPYDEPFRFPGFGFAGYKATCGSRLVFPRDDGCFLVNPFTGATVTLPALSSVRLRPPNAVAKYDQQGTAYPVTWMHIRGSEHLHISKLILCLPSLVAAIVGDGHISQILVCKPGGLSWSVRAYDMVRNFQDMAFYQGKLYAIANDDEDLLVVNISQDQSTGDPQVSKIGQAIKGEPFHSVWHEFGTMDILANKKLYLVESHGSLLMIRRKIWCWSKQASDTDPEASRPIVAGPNEFEVFKADFEQSRWVKMTTLGDEQVLFLGRRCSRAMSVSQYGMSGDQIFFLDDEEENLKQYYYSTEITSFCVCDMRDGQVDSPLPKASWKRCDEMRPVAWLFPQD >ORUFI01G20520.1 pep chromosome:OR_W1943:1:17498941:17503787:1 gene:ORUFI01G20520 transcript:ORUFI01G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRRRRSISSLLSHHRHLPNRPGLSSCRCCSGHPSLPLYQSPTSPSARRPGTQYAADVATLWPLEARRPAHPLLSLLSAHCEAEEEENEGRRKRKKNKRKGYSLTGTSTNLLDVGDDDVVDELENCNRQSTFHPTRCTRTIVFLSLSTCTHASSHAQISNHHSKNTQQIDKASELSTSAAEAPNPAAAAPSEGGARRLLHAAGRWCRIHSGNHRCRRIPRRHRRMRRRNPSPPPPAS >ORUFI01G20530.1 pep chromosome:OR_W1943:1:17507309:17511462:-1 gene:ORUFI01G20530 transcript:ORUFI01G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLSSRKPVGMDVSQLAWMLTSIKLKGDSNNKRLVTIKEGFEYSQAAVSQLESTSGLKQQNLEKNEYEESPVPNLLDESMEKEVACSSVELNQSASATDQENVSQKIVVTITSEKEFPVGSSFVTPRKNKLVDVTDHKDSAELVKTRSIQNKKGEMPSCSVTGKARPYNAYQMSGSSRKRARKGWTTLKQIAEKEELERKEKMGNFVIPFFMQ >ORUFI01G20540.1 pep chromosome:OR_W1943:1:17532932:17537410:-1 gene:ORUFI01G20540 transcript:ORUFI01G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPIHQEQYKTMSSRRGGGGRRGRGRGRGRSALAENDMDFHETSALSSPSTTSDKEDNIEFTSQQPCLVSPAAEHVSNTLLNPKINHRSDAIFGD >ORUFI01G20550.1 pep chromosome:OR_W1943:1:17538607:17541847:-1 gene:ORUFI01G20550 transcript:ORUFI01G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIDLMQSHDEFINAVKSRLTKLEGTNLAYLCMIDYTYEEALLSLAPAVYAAVPARLRNHRTMMRHVFDQNGIKGAIAAVAKLPDNAVQADVVSTLKRKLDLFSLDIFLSFLPVLAGLLTSKAERHAIVSLELLLDLIKIFGPVIRSTLSAHSAVGVDIQAEQRRGGQSAQLAQELNLSLHDLVVI >ORUFI01G20560.1 pep chromosome:OR_W1943:1:17545495:17546818:-1 gene:ORUFI01G20560 transcript:ORUFI01G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIVPQGDHAVRLGNDASIGQVVQLESLNVSVLGGASQTVDLQTLYNIEGWDAYALAFQATDEQASLEFRNPGMEDDPTCGPILDNVAIKKLFTPDKPKESNMPLWEVCGGGG >ORUFI01G20570.1 pep chromosome:OR_W1943:1:17551811:17555915:1 gene:ORUFI01G20570 transcript:ORUFI01G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQLLLRRAFSSSSFLSSPFRRPPLHPARSFVPPRAAMASSAAPFHMVQIQRDDTTFDAYVVGKENAPGIVVLQEWWGVDYEIKNHAVHISQIGEGYRALIPDLYRGKVALDVAEAQHLMEGLDWPGAVKDIQASVKWLKANGSPKVGVTGYCMGGALSIASGVSVPEVDAVVAFYGTPPSELADASKAQAPIQAHFGELDSFVGFADVTAAKSLEEKLKSSGVPHEVHIYPGCSHAFMNTSPEAVKRRKEMGLTDENQAAIDLAWSRFSTWMGRFLGSA >ORUFI01G20580.1 pep chromosome:OR_W1943:1:17563450:17564007:1 gene:ORUFI01G20580 transcript:ORUFI01G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAYNKKLANWEKSNRMCLIYVRGAISPEVIGEIIDSNDIKTYLANIEESFEFAPETHANTLVSEMITSHYDGKSGIRKHILEMTHMENQLRSMDMEISDGFLVHLIMRSLGPNYDPFKINYNTQKEKWTIQELISHSVEEEERQRAEKQKIKDQLNLTNAFDKGKKVYQGESSNKNSEPEGEQK >ORUFI01G20590.1 pep chromosome:OR_W1943:1:17590687:17593083:1 gene:ORUFI01G20590 transcript:ORUFI01G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRRAALAAALAGTAAVAAKLSGISVRVSHPPQDGAATTTRGHLGLVRAHPGLRDLNAALAGSSSGRDALFLDAAHALADGALRAPTFTGRYLREFAKKLAAAESTVVYQVEMGLALVHARDGRFDQALADVARLVADRPGDPHLRITSAALRLLHGRMGTPAEWLNGLPEDAGWGVAFEVVFAMPGSSPLYTQGAADRVVMLLAAKLAEAVLVKNFEQGEWSVADKLAISLLLTALRLFVSKYSRFPYFTRPKSTPPPPSSGGREIKPVNLQPVFLVECSQAMLASLLRARPLCGERLREARATAERALADAEAEGDDLAAVDVNLLLAFLAARDGDFDDALRRYKAAVQKDPSDSRPYELAAALCAINGDAAESEAWRRDGKQHGRATVAVAVAELELPALLDELVVAAALGSGILTTLDLERGGRRRLVLVAAWREVDARLAAAVLDDDLTLPERVQLRLLRRLLRGETQLLLDTASHGLMKNSTQLGTRWTS >ORUFI01G20600.1 pep chromosome:OR_W1943:1:17594914:17608493:-1 gene:ORUFI01G20600 transcript:ORUFI01G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTHTYPAPSHGPRQERGVCIGNPLVWDPNPTPPALCLTRRPHPLFALKPQPHPTPPSPPACGDNAAASLPLSLSSRYWSLAPRRRAATVISQGGRRGRAPCRPRPAPPEPAPPSRSPSAEALLPPRIEGGLLLSGGSSPVVETASSSSSVLLEVARIRTWIDFLPRVICNLLGHRRPPMMGSSVPPQNLGPPMPMQFRPVIHQQQPPQFMQPGQQFRPVGQAMPGANIGMPGQMPHFQQPTQHLPHSGQVPPASQAYQPSSVPPPIVQSWGTAPGQNVPLVQPGHQPMSSSATMPSINSSETSSSDWQEHTSADGKKYYYNKKTRQSSWEKPAELMTPLERADASTEWKEFTTQEGRKYYYNKVTKQSKWTIPDELKIARELAEKASNPRPDQETETTAGAPSGPTSNSVEPSSVPANQSSTTIMGALSTLDAAANSVPPGAGPSHNMENTSSSSNTAMQNGGPSTVVTPVISTEIPSVASDAGISRANNEYPSLASTADTQNGASAEELEEAKKTMAVPGKINVTPVEDKTSEEEPVTYANKLEAKNAFKALLESSNVESDWTWDQAMRVIINDKRYGALKTLGERKQAFNEYLNQRKKLEAEERRIKQRKARDDFLAMLEESKELTSSTRWSKAITMFEDDERFSAVERPREREDLFESYLMELQKKERARAAEEHKRHIAEYRAFLESCEFIKTSTQWRKVQERLEDDERCSRLEKIDRLEIFQEYIRDLEKEEEEHKRIHKEQVRRQERKNRDEFRKMLEEHVAEGMLTAKTRWRDYCAQVKDSSVYLAVASNISGSMPKDLFEDVMEELEKQYQDDKARIKEAVKSGKIPMTTSWTLEDFETAVTEDDTLKGITNINMKLIFDDQVERLREKEVKEAKKRQRLGENFSDLLYSIKEISASSTWDDSKALFEDSQEYKALDSETYSRELFEECVVHLKERLKEKERLREEEKARKEKEREEKERRKEKEKKEKERKEKERDKEKEREKDKGKDRSRKDEMDIDAVDADSHGSKDKKREKDKEKKHKRRHHDTADDVSSERDEKDDSKKSRRHSSDRKKSRKHTHASDSDSENRHKRHKKDRDSSRRNGTRDDLEDGELGEDGEIH >ORUFI01G20610.1 pep chromosome:OR_W1943:1:17616550:17617302:-1 gene:ORUFI01G20610 transcript:ORUFI01G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAMEKKRFPTLAQVAKMASLLLLFLLLPLVPSSLRQPYLYLLFNALVVALGVEAGFLAAISSGPRDDKLLPKPAAAAAALRLSHSNRPGEVDVAAAATNGRHHHVNGSSSSSAVIVAASPSLTPKAAALEAAAGSRDVVVIAGGAMPAAAAAKKSKKMRRCPSRASLFFIGGGDGEDVVVHEEEEGCWTKGGGGGGGEQMMSKQELFTKAEAFIGNFYKQLKMQREESWKKLQDLYHHHHHHYKTTAL >ORUFI01G20620.1 pep chromosome:OR_W1943:1:17631569:17635243:-1 gene:ORUFI01G20620 transcript:ORUFI01G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPINLLIVDDAAKINECDLIIPLRLPVTHILMLGDDFNLQPSKVRENARFTMSPFKRLLNLGFRKHMLTEQYAIHPSIWQFRNEKFYEGRITNGATVISPEYNKKFKGLKFPNYCFIDVTGTDGPSCKEKNTIELATIQYMLEIISKSADSFEGETYQVVILSMLFKDENTILQIEKINAAITKARHCLWMFGEAASVSARGGVFRELVDDMIERKCILKWNTIATSQSKYALESDDFHGSSSASSNETIHHVASNFRIKSQPQLPIKKDQEIKTVFNTVKNGKFPVAHFRMSENFFSLRPGEIGHYDYQKPYLHPVCSLLASHAVMIIGSAMTMTEVNKRRENSALSI >ORUFI01G20630.1 pep chromosome:OR_W1943:1:17642824:17644668:1 gene:ORUFI01G20630 transcript:ORUFI01G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRLHIFVNSARHAINSSAFICRFIAYHLSPLLIHLSYFLIIDILGFVSLVVLRPSNHKYNPRYVDMFFLSTSAVIVIGLATIQMEDLSSSQIAILTLLMFLGSKMFLSFLGLVLESSKQNKHDPENRTVSSVTVCKQSQLEEAIPQTPSMNSIDIKKRCLKYLVFVVLAYMIIILVTGSLLVFMYIAHVSSARDVLTRKSINKALFSISVTVSSFTNGGLLPTNESMAVFSSNNGLLLLLIGQILAGSTLFPVFLRLVIWALRGLRLAKAEEPDFMMNNSSAVGFSHLLPNLQTIFLAAVEVAFVAMTVILFCCLNWDSVVFAGLSSLQKITNALFMAVNARQAGENSIDCSLVAPAALVLFMVMMYTPSLTKLFSACQDHKRIGPESDDRTSKGKPFLKMMAFSPLGFNTTVIMLVCITERRSLSTDPLNFSTFNIILEVISAYGNIGLSTGYSCSRQLQHQEGIACHEKAYNFSGWWSEPGKLILVLAMLCGRLNSKDSTSARTR >ORUFI01G20640.1 pep chromosome:OR_W1943:1:17647301:17649139:-1 gene:ORUFI01G20640 transcript:ORUFI01G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRRRSGRPDVSYGNSDGIAHNRSVILGTIHGYYKEALAVLPLDDLPELAPRLVGAGVCFGFGDPTTNIIANTLSSFLPDKPDPDHRAKKHKRKASIKTPSAAARSDAAGDAPSPPPETRTIAERSLEGLITFLTSYFRYLPTWDALRYLCLANADLLVAVRLIELDRYYNTKDERFHISSYAARAALTCVASSARQPDVDGFIAGSFSLASHLEFVTQTVLADRSYVLSVEKILLLSGMLKKKPRMLDKSDNPMIFADERRLRNCHTDANASGDANCEKVPGGLTISLRAVLLDKIHAKYLKAISRLPMQDVRARYHRALVNGGYCYGPFSCVTNIIVNTLWYDSTFPAMEKLEVDMICTSTFVRVESRSLRGLIKLLLTCIPEISEHDAMIYLLKNNLKVRKAIEMAGAEGWKSSWDVSAYKAAADASFHPEPEAYVQFSTQSLPKVQAAVKSLLRASTPLSSNDVLQLATLLPSSNCISAKSLETIVDLSTDALDMFIAFKEKFVTQQGFFHRKIEAALRKKFYASSLLS >ORUFI01G20650.1 pep chromosome:OR_W1943:1:17651614:17658498:1 gene:ORUFI01G20650 transcript:ORUFI01G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAADPATALAPAPTPPPARGTAAGPRLLLLQSPPPAFPLGSNDDQLERARARAAARAASVRRRSLAASLAPRAAAQQQHDLLNRDQVMDLFHNCIKLASENKINQKNTWELGLIDHLSEIIQAGEEDDDETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGRGDEADSEEGSNPKHSQEGTNKKDADRRISPTSTLESSFDSLNVKKFDVAFTVDPLYHQTTAQFDEGGAKGLLSYNLGVYDSCRVLFDSFEAPDKCILSDMQTEMVELIGLSFAKEQIEQMIIHMPLCNDISPTLSNIVYQFDDENRRPPHEAISRQIPVMEDQVVDGNDVANDDITQNDMQNDCGTWDFGGCDDQESVYDEHCDPMDHSSMNGQEETDEYTFESAEGLDVNERIDKIADFLSFGMGFSAKTNAWAGPEHWKYRKAKDLDPVPTKPDDSDAPKKTKKKRGKDEPDIDFSKALEHDMPNIFAPPKNSKSLLLPANRATSNNKLPEDCHYRPESLVKLFLLPDVLCLARRRKKPLGGSRENTDDFIPSEPWDGDDFCNDHVDEGNGDTDVEDAVDLITKPRQVNKIDIQYDKVSKQVDVHALKEVLWNHINTSADTDDLEDKDTESPLCLSKVLQDLPSCNPDAAATEISPHLYFICLLHLANEHSLTLRDRPTLDEIDIYIPASSLVK >ORUFI01G20660.1 pep chromosome:OR_W1943:1:17659665:17683350:-1 gene:ORUFI01G20660 transcript:ORUFI01G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGLSGPQPSLRRGLSRAFTMRPEGYSGEDGGEYSEESELVPNSLAPIVPILRAANEIEEENQRVAYLCRFTAFEKAHTMDPNSGGRGVRQFKTYLLHRLEKDEHETQRRLAGTDAKEIQRFYEHYCKKNLVDGLKTKKPEEMARHYQIASVLYDVLKTVTPEKFHAEFDIYAKEVEKEKASFSHYNILPLNISGQRQPVMEIPEIKAAVDLLRKIDGLPMPRLDPVSAEKETDVPTVRDLFDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGANAYQSDRHNHVYGSQMHSDTVRSLMRKIFENYISWCRYLHLESNIKIPNDASTQQPEILYIGLYLLIWGEASNMARDLYDIISDRRQDFDPPFRREGSDDAFLQLVIQPIYSVMKQEAAMNKRGRTSHSKWRNYDDLNEYFWSKRCFKQLKWPMDSAADFFAVPLKIKTEEHHDRVITRRRIPKTNFVEVRTFLHLFRSFDRMWAFFILAFQAMVIVAWSPSGLPSAIFDPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQMIRYILKFVVAVAWLIILPTTYMSSIQNSTGLIKFFSSWIGNLQSESIYNFAVALYMLPNIFSALFFIFLPFRRVLERSNSRIIRFFLWWTQPKLYVARGMYEDTCSLLKYTLFWILLLICKLAFSFYVEHNLGVVITVWAPIVMIRTLGMLRSRFEAIPIAFGKHLVPGHDSQPKRHEHEEDKINKFSDIWNAFIHSLREEDLISNRVVDRIHDRIEDSIRRQSLRTDEDIEPIKTQIANLLQDIMEIITQDIMKNGQGILKDENRNNQLFANINLDSVKDKTWKEKCVRLQLLLTTKESAIYVPTNLDARRRITFFANSLFMKMPKAPQVRSMMSFSVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFQPTDEESLKTKMDEIRPWASYRGQTLTRTVRGMMYYRRALEIQCIQDKTDIVKLEHRRTVESSQQGWASFDMARAIADIKFTYVVSCQVYGMQKTSKDPKDKACYLNILNLMYPSLRVAYIDEVEAPAGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFESEKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLERSILLDPRIEQNIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSSMYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWISEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRSKSILVYGLSWLVMLSVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVCNLTISDVFASILGFMPTGWCILLIGQACSPLVKKAMLWDSIMELGRSYENLMGLVLFLPIGLLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEE >ORUFI01G20660.2 pep chromosome:OR_W1943:1:17659665:17683350:-1 gene:ORUFI01G20660 transcript:ORUFI01G20660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGLSGPQPSLRRGLSRAFTMRPEGYSGEDGGEYSEESELVPNSLAPIVPILRAANEIEEENQRVAYLCRFTAFEKAHTMDPNSGGRGVRQFKTYLLHRLEKDEHETQRRLAGTDAKEIQRFYEHYCKKNLVDGLKTKKPEEMARHYQIASVLYDVLKTVTPEKFHAEFDIYAKEVEKEKASFSHYNILPLNISGQRQPVMEIPEIKAAVDLLRKIDGLPMPRLDPVSAEKETDVPTVRDLFDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGANAYQSDRHNHVMHSDTVRSLMRKIFENYISWCRYLHLESNIKIPNDASTQQPEILYIGLYLLIWGEASNSHQYKNTIIPIRQDFDPPFRREGSDDAFLQLVIQPIYSVMKQEAAMNKRGRTSHSKWRNYDDLNEYFWSKRCFKQLKWPMDSAADFFAVPLKIKTEEHHDRVITRRRIPKTNFVEVRTFLHLFRSFDRMWAFFILAFQAMVIVAWSPSGLPSAIFDPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQMIRYILKFVVAVAWLIILPTTYMSSIQNSTGLIKFFSSWIGNLQSESIYNFAVALYMLPNIFSALFFIFLPFRRVLERSNSRIIRFFLWWTQPKLYVARGMYEDTCSLLKYTLFWILLLICKLAFSFYVEHNLGVVITVWAPIVMIRTLGMLRSRFEAIPIAFGKHLVPGHDSQPKRHEHEEDKINKFSDIWNAFIHSLREEDLISNRVVDRIHDRIEDSIRRQSLRTDEDIEPIKTQIANLLQDIMEIITQDIMKNGQGILKDENRNNQLFANINLDSVKDKTWKEKPFCFCISVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFQPTDEESLKTKMDEIRPWASYRGQTLTRTVRGMMYYRRALEIQCIQDKTDIVKLEHRRTVESSQQGWASFDMARAIADIKFTYVVSCQVYGMQKTSKDPKDKACYLNILNLMYPSLRVAYIDEVEAPAGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFESEKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNVTHHEYMQVGKGRDVGMNQISSFEAKVTVLTVYVFLYGRLYLVMSGLERSILLDPRIEQNIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSSMYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWISEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRSKSILVYGLSWLVMLSVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVCNLTISDVFASILGFMPTGWCILLIGQACSPLVKKAMLWDSIMELGRSYENLMGLVLFLPIGLLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEE >ORUFI01G20660.3 pep chromosome:OR_W1943:1:17659665:17683350:-1 gene:ORUFI01G20660 transcript:ORUFI01G20660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGLSGPQPSLRRGLSRAFTMRPEGYSGEDGGEYSEESELVPNSLAPIVPILRAANEIEEENQRVAYLCRFTAFEKAHTMDPNSGGRGVRQFKTYLLHRLEKDEHETQRRLAGTDAKEIQRFYEHYCKKNLVDGLKTKKPEEMARHYQIASVLYDVLKTVTPEKFHAEFDIYAKEVEKEKASFSHYNILPLNISGQRQPVMEIPEIKAAVDLLRKIDGLPMPRLDPVSAEKETDVPTVRDLFDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGANAYQSDRHNHVMHSDTVRSLMRKIFENYISWCRYLHLESNIKIPNDASTQQPEILYIGLYLLIWGEASNSHQYKNTIIPIRQDFDPPFRREGSDDAFLQLVIQPIYSVMKQEAAMNKRGRTSHSKWRNYDDLNEYFWSKRCFKQLKWPMDSAADFFAVPLKIKTEEHHDRVITRRRIPKTNFVEVRTFLHLFRSFDRMWAFFILAFQAMVIVAWSPSGLPSAIFDPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQMIRYILKFVVAVAWLIILPTTYMSSIQNSTGLIKFFSSWIGNLQSESIYNFAVALYMLPNIFSALFFIFLPFRRVLERSNSRIIRFFLWWTQPKLYVARGMYEDTCSLLKYTLFWILLLICKLAFSFYVEHNLGVVITVWAPIVMIRTLGMLRSRFEAIPIAFGKHLVPGHDSQPKRHEHEEDKINKFSDIWNAFIHSLREEDLISNRVVDRIHDRIEDSIRRQSLRTDEDIEPIKTQIANLLQDIMEIITQDIMKNGQGILKDENRNNQLFANINLDSVKDKTWKEKPFCFCISVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFQPTDEESLKTKMDEIRPWASYRGQTLTRTVKLEHRRTVESSQQGWASFDMARAIADIKFTYVVSCQVYGMQKTSKDPKDKACYLNILNLMYPSLRVAYIDEVEAPAGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFESEKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLERSILLDPRIEQNIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSSMYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWISEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRSKSILVYGLSWLVMLSVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVCNLTISDVFASILGFMPTGWCILLIGQACSPLVKKAMLWDSIMELGRSYENLMGLVLFLPIGLLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEE >ORUFI01G20660.4 pep chromosome:OR_W1943:1:17659665:17683350:-1 gene:ORUFI01G20660 transcript:ORUFI01G20660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGLSGPQPSLRRGLSRAFTMRPEGYSGEDGGEYSEESELVPNSLAPIVPILRAANEIEEENQRVAYLCRFTAFEKAHTMDPNSGGRGVRQFKTYLLHRLEKDEHETQRRLAGTDAKEIQRFYEHYCKKNLVDGLKTKKPEEMARHYQIASVLYDVLKTVTPEKFHAEFDIYAKEVEKEKASFSHYNILPLNISGQRQPVMEIPEIKAAVDLLRKIDGLPMPRLDPVSAEKETDVPTVRDLFDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGANAYQSDRHNHVYGSQMHSDTVRSLMRKIFENYISWCRYLHLESNIKIPNDASTQQPEILYIGLYLLIWGEASNMARDLYDIISDRRQDFDPPFRREGSDDAFLQLVIQPIYSVMKQEAAMNKRGRTSHSKWRNYDDLNEYFWSKRCFKQLKWPMDSAADFFAVPLKIKTEEHHDRVITRRRIPKTNFVEVRTFLHLFRSFDRMWAFFILAFQAMVIVAWSPSGLPSAIFDPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQMIRYILKFVVAVAWLIILPTTYMSSIQNSTGLIKFFSSWIGNLQSESIYNFAVALYMLPNIFSALFFIFLPFRRVLERSNSRIIRFFLWWTQPKLYVARGMYEDTCSLLKYTLFWILLLICKLAFSFYVEHNLGVVITVWAPIVMIRTLGMLRSRFEAIPIAFGKHLVPGHDSQPKRHEHEEDKINKFSDIWNAFIHSLREEDLISNRVVDRIHDRIEDSIRRQSLRTDEDIEPIKTQIANLLQDIMEIITQDIMKNGQGILKDENRNNQLFANINLDSVKDKTWKEKPFCFCISVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFQPTDEESLKTKMDEIRPWASYRGQTLTRTVKLEHRRTVESSQQGWASFDMARAIADIKFTYVVSCQVYGMQKTSKDPKDKACYLNILNLMYPSLRVAYIDEVEAPAGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFESEKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLERSILLDPRIEQNIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSSMYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWISEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRSKSILVYGLSWLVMLSVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVCNLTISDVFASILGFMPTGWCILLIGQACSPLVKKAMLWDSIMELGRSYENLMGLVLFLPIGLLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEE >ORUFI01G20660.5 pep chromosome:OR_W1943:1:17659665:17683350:-1 gene:ORUFI01G20660 transcript:ORUFI01G20660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGLSGPQPSLRRGLSRAFTMRPEGYSGEDGGEYSEESELVPNSLAPIVPILRAANEIEEENQRVAYLCRFTAFEKAHTMDPNSGGRGVRQFKTYLLHRLEKDEHETQRRLAGTDAKEIQRFYEHYCKKNLVDGLKTKKPEEMARHYQIASVLYDVLKTVTPEKFHAEFDIYAKEVEKEKASFSHYNILPLNISGQRQPVMEIPEIKAAVDLLRKIDGLPMPRLDPVSAEKETDVPTVRDLFDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGANAYQSDRHNHVMHSDTVRSLMRKIFENYISWCRYLHLESNIKIPNDASTQQPEILYIGLYLLIWGEASNMARDLYDIISDRRQDFDPPFRREGSDDAFLQLVIQPIYSVMKQEAAMNKRGRTSHSKWRNYDDLNEYFWSKRCFKQLKWPMDSAADFFAVPLKIKTEEHHDRVITRRRIPKTNFVEVRTFLHLFRSFDRMWAFFILAFQAMVIVAWSPSGLPSAIFDPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQMIRYILKFVVAVAWLIILPTTYMSSIQNSTGLIKFFSSWIGNLQSESIYNFAVALYMLPNIFSALFFIFLPFRRVLERSNSRIIRFFLWWTQPKLYVARGMYEDTCSLLKYTLFWILLLICKLAFSFYVEHNLGVVITVWAPIVMIRTLGMLRSRFEAIPIAFGKHLVPGHDSQPKRHEHEEDKINKFSDIWNAFIHSLREEDLISNRVVDRIHDRIEDSIRRQSLRTDEDIEPIKTQIANLLQDIMEIITQDIMKNGQGILKDENRNNQLFANINLDSVKDKTWKEKPFCFCISVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFQPTDEESLKTKMDEIRPWASYRGQTLTRTVKLEHRRTVESSQQGWASFDMARAIADIKFTYVVSCQVYGMQKTSKDPKDKACYLNILNLMYPSLRVAYIDEVEAPAGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFESEKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLERSILLDPRIEQNIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSSMYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWISEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRSKSILVYGLSWLVMLSVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVCNLTISDVFASILGFMPTGWCILLIGQACSPLVKKAMLWDSIMELGRSYENLMGLVLFLPIGLLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEE >ORUFI01G20670.1 pep chromosome:OR_W1943:1:17685789:17685995:-1 gene:ORUFI01G20670 transcript:ORUFI01G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWREVVWAWARWPVEVTMASWAGTAADERHCLVVATGLGIADSLTESIGFWKGCSGVGRHWALARGG >ORUFI01G20680.1 pep chromosome:OR_W1943:1:17686043:17688125:-1 gene:ORUFI01G20680 transcript:ORUFI01G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRGDAVAPGGGAAALSGDAALPGMEKDGDAVESLAVDLEAMVGSMENAGDGGLQWLKSACVYAALAALVPSKIRAKMGSSRMARTSWDSSTLTAVRVAAVGEDLGDVAAVLLPNAGKVPGRRRDINVIHGGEAAEEERRRVGARGEAAEEWHGWSRTEDGELDVPAAESGLREDTTDDGVIEDGEDKLGELDLDSGGSLGGGGLVVEVEGVRVAALVGLGVLDGGHEGVGDGGFIGVEAKEEEAETEGSLSRETTKQRSRALSWMRGE >ORUFI01G20690.1 pep chromosome:OR_W1943:1:17696923:17723474:-1 gene:ORUFI01G20690 transcript:ORUFI01G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSTEVVVPAGAGAGAGAGRWRRDALAHTLGSRRLPEGVADAGERVPDAVAPGVMPFIRAADEVEQDSPRVAFLCRRYAYNKVQRMDPSSVQRGVRQFKTYMSVKLDQDDTQVMGNDAKEIQRFYKSYCAELSRISEKRNFEEVARRYQVASALYEVLRDVTNNKVDSEVMKIAKVIEEKSVHFKNYKYNIIPLNFPGSSEAIVELHEIKGAIDALNSIDGLPMPHMSSMHTDGNKSIRDLLDWLSLAFGFQKSNVENQRENLVLLLANIGTRTAGQDHPLVDTVNKLWKKILQNYQSWCSYLHVSSSIMNVETVTQNKQQLMLLHIGLYLLIWGEASNVRFMPECLCYIFHHMARQLHKMIEENNFQSPPGFEEEGSFLKTAIEPIYKVLQKEAHKSKGGTAGHSTWRNYDDLNEHFWSEKCFARLNWPWDLTADFFYQGRTTSTKPKTNFVEVRTFLHIFRSFNRMWMFFILAFQAMLIVSWSSSGSLSALADATVFRSVLSVFITAALLNFIKVTLDIVLTFQAWGNMDWIQIVRYLLKFFVAIAWIIILPLAYSSSIRYPSGAGKLLNSWVGNWHNPSVYNVAIIIYIVPDILAAFLFLLPQLQNIMERSNWRAIGLIMWWIQISPIIGPTKFLLNQGVGNYEWHEIFPFLPHNLGVVITIWAPIVMVYFMDIQIWYAIFSTAFGGVSGALSHVGEIRTLGMLRARFKSMPEAFNKSHATAHREVPTALHMAMTSKEGDYHELIEKIRLDQARFNAVIECYESLVLILKNLLLDNNDQKIVDAIDKTVLDSVENNTLLEDFHMAEIGKVSNTLAKLLHLLSNESTDGTAERKIINALQDFMEITTRDFMKDGQGILKDENERKQRFTHLDMDMIKESFWKEKFVRLHLLLTMKDSAMDVPTNLDARRRITFFANSLFMKMPKAPEEVLYSSHELNKKNEDGISILFYLQKIYPDEWKNFLERIGVDPENEEAVKGYMDDVRIWASYRGQTLARTVRGMMYYRRALELQCYEDMTNAQDGEESARSKAIADIKFTYVVSCQLYGMHKASKDSREKGLYENILNLMLTYPALRIAYIDEKEVPLPNGKMEKQYYSVLVKGNDEEIYRIRLPGKPTDIGEGKPNNQNHAIIFTRGEALQAIDMNQDNYLEEAFKMRNLLEEFLIKHGKSEPTILGVREHIFTGRVRFHYGHPDVFDRIFHLTRGGISKASKVINLSEDIFAGFNSTLRQGNVTHHEYIQLGKGRDVGMNQISNFEAKVANGNGEQTLCRDIYRLGHRFDFYRMLSLYFTTVGFYFNSMVAVLTVYVFLYGRLYLVLSGLEKSILQDPQIKNIKPFENALATQSIFQLGMLLVLPMMIEVGLEKGFGRALGEFVIMQLQLASVFFTFHLGTKTHYYGRTILHGGAKYRGTGRGFVVRHAKFAENYRMYSRSHFVKALELLILLVVYLAYGISYRSSSLYLYVTISIWFLVFCWLFAPFVFNPSCFEWHKTVDDWTDWWHWMSNRGGIGLAPEQSWEAWWISEHDHLRNGTIRSLLLEFVLSLRFLIYQYGIVYHLHIVHGNRSFMVYALSWLVIAIVLVSLKVVSMGREKFITNFQLVFRILKGIVFIVLISLVVILFVVFNLTVSDVGASILAFIPTGWFILQIAQLCGPLFRRLVTEPLCALFCSCCTGGTACKGRCCARFRLRSRDVLRKIGPWDSIQEMARMYEYTMGILIFFPISVLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQNGIIGKGIKSFIEDTHAGIKISPQDNNFLGLHDRLALVSLVTLVFLLGIMIPQVPYNNAVNYGPNGYGGRDQNNKPSTPMRSHLLTMTPKILTIGIADEHFGAVVRRAGRNITEIIQASGARIKISDRDNWNIRSYSGSRVYDNAQGVSHMMGRRGSSWCRWWVALLVLAVAADAVGCTSVSYDDRSLVIDGQRRIILSGSIHYPRSTPEMWPDLIKKAKEGGLDAIETYIFWNGHEPHRRQYNFEGNYDVVRFFKEIQNAGMYAILRIGPYICGEWNYGGLPAWLRDIPGMQFRLHNEPFENEMETFTTLIVNKMKDSKMFAEQGGPIILAQIENEYGNIMGKLNNNQSASEYIHWCADMANKQNVGVPWIMCQQDDDVPHNVVNTCNGFYCHDWFPNRTGIPKIWTENWTGWFKAWDKPDFHRSAEDIAFAVAMFFQKRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNLRQPKYGHLKELHSVLKSMEKTLVHGEYFDTNYGDNITVTKYTLDSSSACFINNRFDDKDVNVTLDGATHLLPAWSVSILPDCKTVAFNSAKIKTQTSVMVKKPNTAEQEQESLKWSWMPENLSPFMTDEKGNFRKNELLEQIVTSTDQSDYLWYRTSLNHKGEGSYKLYVNTTGHELYAFVNGKLIGKNHSADGDFVFQLESPVKLHDGKNYISLLSATVGLKNYGPSFEKMPTGIVGGPVKLIDSNGTAIDLSNSSWSYKAGLASEYRQIHLDKPGYKWNGNNGTIPINRPFTWYKATFEAPSGEDAVVVDLLGLNKGVAWVNGNNLGRYWPSYTAAEMAGCHRCDYRGAFQAEGDGTRCLTGCGEPSQRYYHVPRSFLAAGEPNTLLLFEEAGGDPSGVALRTVVPGAVCTSGEAGDAVTLSCGGGHAVSSVDVASFGVGRGRCGGYEGGCESKAAYEAFTAACVGKESCTVEITGAFAGAGCLSGVLTVQATC >ORUFI01G20700.1 pep chromosome:OR_W1943:1:17751699:17760342:1 gene:ORUFI01G20700 transcript:ORUFI01G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAREAADGGDINGGGGGGGERSSSPAAAAAGNSDVGRVAVRRMFAFADGADAALMAVGAAAAVANGMAQPLMTFIFGDVINAFGSTSSPDVLAKVTKVILNFVYLGIGAGFVSTLQVSCWTITGERQAARIRALYLKAILRQDIAFFDKEMSTGQVVERMSGDTFLIQDAIGEKSGKCIQLLSTFFGGFIIAFVRGWLLALVLLSCIPPIAVAGAFVSRLMTRISTRMQEKYGDAGNIAEQTIGAIRTVASFNGEKQAINTYNKFIRKAYESTLQEGVVNGLGLGTVMAILFCSYGLAVWYGSKLIVNRGYNGGIVINVLMSVMMGAMSLGQATPSITAFAEGQGAAYRMFKTIKRQPDIDVCDTKGIILEDITGDVELKDVYFSYPTRPEYLVFNGFSLQIPSGRTMALVGESGSGKSTVISLVERFYDPQSGEVLIDGIDIRRMNLGWIRGKISLVSQEPVLFSSTIRENIAYGKEDQTLEEIKRAVELANAAKFVDKLPNGLETMVGERGIQLSGGQKQRIAIARAIIKNPRILLLDEATSALDMESERVVQDALNRIMLERTTIIVAHRLSTVKNADVISVLQQGKMVEQGSHVELMKKPEGAYAQLIQLQGAQQDAEIHNDDTDMIIRSDSGSRSINVKPRSQSTSFRRSITKGSSFGHSGRHPIPAPLDFPDPMEFKDDLGMEETTDKVPRGQKKASISRLFYLNKPEAFVLVLGSVTAAMHGLMFPIFGILISSAIKMFYEPPSELLKDSRFWASMFVVVGASAFVLIPTEYFLFGLAGGKLVERIRSLTFRSVMHQEINWFDKPEHSSGSIGARLSVDALNVKRLVGDNLALNVQTVSTVISGFTIAMVANWKLALIITVVVPLVGFQAYAQMKFLKGFNKNAKLKYEEASQVATDAVGGIRTVASFCAEQKVIEAYEKKCESPVRQGIREGVVGGLGFGFSFLVFYFTYALCFYVGAKFVHQGVATFPEVFRVFFVLVLATSGISRTSAIGADSTKANESAVSIFEILDRKSKIDSSSEEGVVIASVRGDIEFHNTVALVGESGSGKSTAIALLERFYDPDTGKILLDGVDLKTFKVSWLRLQIGLVAQEPVLFNDTIHANIAYGKQEQASQEEIMAAAEAANAHQFISALPDGYSTVVGERGIQLSGGQKQRVAIARAIMKDPKVLLLDEATSALDAESERVVQEALDRVMVGRTTVVVAHRLSTIKGADIIGVLKNGAIVEKGGHDELMRIKDGTYASLVELSSSSR >ORUFI01G20710.1 pep chromosome:OR_W1943:1:17760425:17761156:-1 gene:ORUFI01G20710 transcript:ORUFI01G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPPVRYYVPFEEADDRSHDLEDEEPYCPPPLSPPYSPAQSPPPPTSPAYHDDHADHTTNSCTFTSAGGAYSYTITSANVGDYFYLDDDADAMDEEQPDEPENRPDPLVVLQQAESLAECALQHYNGDAANEVKYELVAATATASDFMDCWDAFYYDVNFFARAAAGADDQAAPRFFFAELRHRTAMLPTCLVSLDNDDEIQLDPQPLCCFDDVPFGVVIKHPKGWKMIDRDNTLISSPSV >ORUFI01G20720.1 pep chromosome:OR_W1943:1:17765347:17765538:1 gene:ORUFI01G20720 transcript:ORUFI01G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDETELPLLGEDEGKEEKPLVSVEPMLAGIREGKGETTASAGIGARGRHRLLRRGEEREGP >ORUFI01G20730.1 pep chromosome:OR_W1943:1:17765923:17766126:-1 gene:ORUFI01G20730 transcript:ORUFI01G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAATAAATQRRELTNLAATVAAHVLVFPASGQGHINCIMHFAMGDIVELLESLGTNGSRVKGD >ORUFI01G20740.1 pep chromosome:OR_W1943:1:17767717:17768382:-1 gene:ORUFI01G20740 transcript:ORUFI01G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPPAVRYYVPCEEADDIHDLGEEEQFCPLPLSPPYSPAQPSPPPTSPPASPTLLPTSPLSDDHADHTTDSLITFASTVDVAADDDFYFDFDFDADDDAMDEKHAEYLAECALKHYNGDATNEAKYELVAASATASGFMDCRGAFHFHVSFFARAAGAGAAEAAPRFFLAELHHRISMLPTTCVVSLNNDDEIQMDPQPSGCFDDEPFDVIIKHPKGLNK >ORUFI01G20750.1 pep chromosome:OR_W1943:1:17780227:17790897:1 gene:ORUFI01G20750 transcript:ORUFI01G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGARPSGETTSSVANSGGRSVGGDGHGRRRGAAAAAARVPLRRLFAFADWADAALMAVGAAAAAANGMAKPLMTFVVGDVIHAFGSAGANSSRRHDGDDDVVARVTKVIMNFIYLGVGAGLVSALQVSCWTITGERQAARIRALYLKAILRQDIAFFDKEMNTGQLVERMSGDAFLIQDAIGEKAGKCIQLLSTFFGGFIIAFVRGWLLALVMLSSIPPVAVAGAIMSRLMVKLTIRMQAKYGDAGIVVEQTIGAIRTVVAFNGEKKAINTYNKFIKKAYESALQQGVINGLGLGSIISVFFSSYGLAVWYGSRLIVERGYNGGIVINVIMAIMISAMSLGHATSSITALAGGQGAAYRLFRTIERQPDIDACCTTGDIFEDVKGDVELKNVYFSYPSRPEHLVFDGFSLQVPSGTRMALVGESGSGKSTVISLVERFYDPQSGEVLIDGVDIRRINLGSIRRKIGLVSQEPVLFAGTIRENITYGKEDPTLEEINRAIELANAAKFIDKLPNGLETMVGERGIQLSGGQKQRIAIARVIIKNPRILLLDEATSALDMESERVVQEALNKVMLERTTIIVAHRLSTVKNADMISVLQHGKLVEQGSHEELMKKPEGSYCKLIHLQETRQEAVAPNDDPDMIIRNDFDSRIINSKTRSQNISFRKSTSKSSSFGHSGTHPFTSTCDLSDPMEVHDDQHIKETTDKMSNCQEKASILRLFSLNKPEAFVLALGSITAAMHGVIFPVFGILVSSAIKMFYEPRGKLVERIRSLTFKSVMYQEISWFDKPENSSGSIGARLSTDALNVKRLVGDNLALNFQTLSTIISGFTIAMVANWKLALIITVVVPLVGFQAYAQMMFLKGFNKNAKSKFEDATQVATEAVGGIRTITSFCAEQKVMNAYEKKCASPIIQGIRDGVVGALGFGFSFLVFYFAYALCFYVGAKFVHQGTATFAEVFRVFFVLVLGINEISRTSAIGSESRRVNESVVSVFKILDRKSKIDSSNDEGVVIASVRGDIEFQNVCFKYPLRPNVQIFKDLSLSIPSGKTAALVGESGSGKSTVISLLERFYEPDAGRILFDGVELETLKVSWLRLQIGLVAQEPVLFNDTIRANIAYGKQGDASEEEIIAAAEAANAHQFISGLPDGYNTIVGERGIQLSGGQKQRVAIARAVIKDPKVLLLDEATSALDSESERVVQEALDREVVGRTTVVVAHRLSTIKGADIIGVLENGTIVEKGRHEELMQIKGGIYSSLVELSSSSM >ORUFI01G20750.2 pep chromosome:OR_W1943:1:17780227:17790897:1 gene:ORUFI01G20750 transcript:ORUFI01G20750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREGARPSGETTSSVANSGGRSVGGDGHGRRRGAAAAAARVPLRRLFAFADWADAALMAVGAAAAAANGMAKPLMTFVVGDVIHAFGSAGANSSRRHDGDDDVVARVTKVIMNFIYLGVGAGLVSALQVSCWTITGERQAARIRALYLKAILRQDIAFFDKEMNTGQLVERMSGDAFLIQDAIGEKAGKCIQLLSTFFGGFIIAFVRGWLLALVMLSSIPPVAVAGAIMSRLMVKLTIRMQAKYGDAGIVVEQTIGAIRTVVAFNGEKKAINTYNKFIKKAYESALQQGVINGLGLGSIISVFFSSYGLAVWYGSRLIVERGYNGGIVINVIMAIMISAMSLGHATSSITALAGGQGAAYRLFRTIERQPDIDACCTTGDIFEDVKGDVELKNVYFSYPSRPEHLVFDGFSLQVPSGTRMALVGESGSGKSTVISLVERFYDPQSGEVLIDGVDIRRINLGSIRRKIGLVSQEPVLFAGTIRENITYGKEDPTLEEINRAIELANAAKFIDKLPNGLETMVGERGIQLSGGQKQRIAIARVIIKNPRILLLDEATSALDMESERVVQEALNKVMLERTTIIVAHRLSTVKNADMISVLQHGKLVEQGSHEELMKKPEGSYCKLIHLQETRQEAVAPNDDPDMIIRNDFDSRIINSKTRSQNISFRKSTSKSSSFGHSGTHPFTSTCDLSDPMEVHDDQHIKETTDKMSNCQEKASILRLFSLNKPEAFVLALGSITAAMHGVIFPVFGILVSSAIKMFYEPRSELLKNSRLLGSMFPVLGISTFLLIPTEYFLFGLAGGKLVERIRSLTFKSVMYQEISWFDKPENSSGSIGARLSTDALNVKRLVGDNLALNFQTLSTIISGFTIAMVANWKLALIITVVVPLVGFQAYAQMMFLKGFNKNAKSKFEDATQVATEAVGGIRTITSFCAEQKVMNAYEKKCASPIIQGIRDGVVGALGFGFSFLVFYFAYALCFYVGAKFVHQGTATFAEVFRVFFVLVLGINEISRTSAIGSESRRVNESVVSVFKILDRKSKIDSSNDEGVVIASVRGDIEFQNVCFKYPLRPNVQIFKDLSLSIPSGKTAALVGESGSGKSTVISLLERFYEPDAGRILFDGVELETLKVSWLRLQIGLVAQEPVLFNDTIRANIAYGKQGDASEEEIIAAAEAANAHQFISGLPDGYNTIVGERGIQLSGGQKQRVAIARAVIKDPKVLLLDEATSALDSESERVVQEALDREVVGRTTVVVAHRLSTIKGADIIGVLENGTIVEKGRHEELMQIKGGIYSSLVELSSSSM >ORUFI01G20750.3 pep chromosome:OR_W1943:1:17780227:17790897:1 gene:ORUFI01G20750 transcript:ORUFI01G20750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREGARPSGETTSSVANSGGRSVGGDGHGRRRGAAAAAARVPLRRLFAFADWADAALMAVGAAAAAANGMAKPLMTFVVGDVIHAFGSAGANSSRRHDGDDDVVARVTKVIMNFIYLGVGAGLVSALQVSCWTITGERQAARIRALYLKAILRQDIAFFDKEMNTGQLVERMSGDAFLIQDAIGEKAGKCIQLLSTFFGGFIIAFVRGWLLALVMLSSIPPVAVAGAIMSRLMVKLTIRMQAKYGDAGIVVEQTIGAIRTVVAFNGEKKAINTYNKFIKKAYESALQQGVINGLGLGSIISVFFSSYGLAVWYGSRLIVERGYNGGIVINVIMAIMISAMSLGHATSSITALAGGQGAAYRLFRTIERQPDIDACCTTGDIFEDVKGDVELKNVYFSYPSRPEHLVFDGFSLQVPSGTRMALVGESGSGKSTVISLVERFYDPQSGEVLIDGVDIRRINLGSIRRKIGLVSQEPVLFAGTIRENITYGKEDPTLEEINRAIELANAAKFIDKLPNGLETMVGERGIQLSGGQKQRIAIARVIIKNPRILLLDEATSALDMESERVVQEALNKVMLERTTIIVAHRLSTVKNADMISVLQHGKLVEQGSHEELMKKPEGSYCKLIHLQETRQEAVAPNDDPDMIIRNDFDSRIINSKTRSQNISFRKSTSKSSSFGHSGTHPFTSTCDLSDPMEVHDDQHIKETTDKMSNCQEKASILRLFSLNKPEAFVLALGSITAAMHGVIFPVFGILVSSAIKMFYEPRSELLKNSRLLGSMFPVLGISTFLLIPTEYFLFGLAGGKLVERIRSLTFKSVMYQEISWFDKPENSSGSIGARLSTDALNVKRLVGDNLALNFQTLSTIISGFTIAMVANWKLALIITVVVPLVGFQAYAQMMFLKGFNKNAKSKFEDATQVATEAVGGIRTITSFCAEQKVMNAYEKKCASPIIQGIRDGVVGALGFGFSFLVFYFAYALCFYVGAKFVHQGTATFAEVFRVFFVLVLGINEISRTSAIGSESRRVNESVVSVFKILDRKSKIDSSNDEGVVIASVRGDIEFQNTAALVGESGSGKSTVISLLERFYEPDAGRILFDGVELETLKVSWLRLQIGLVAQEPVLFNDTIRANIAYGKQGDASEEEIIAAAEAANAHQFISGLPDGYNTIVGERGIQLSGGQKQRVAIARAVIKDPKVLLLDEATSALDSESERVVQEALDREVVGRTTVVVAHRLSTIKGADIIGVLENGTIVEKGRHEELMQIKGGIYSSLVELSSSSM >ORUFI01G20760.1 pep chromosome:OR_W1943:1:17793335:17796340:1 gene:ORUFI01G20760 transcript:ORUFI01G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELRKAREKLEREQRERVQRAKDKADRERRAKAEAARRRDALEASHRERRLDAARAQEEAQQKMEEVMQLGKGISFSHMFEALRYDGPGDKIKLPPSSFKELSDEGALDKGPMYFRLSKVRDSVPGAPQDNDADEATCCGVLEFTAREGSAELTPHVWNNLFRGDSPDVPLIEVRYVSLPKGTYAKLKPEGVGFSDLPNHRAVLETALRNHATLSENDFVVVNYGQLQYKLKVLELKPASSVSVLETDVEVDIEGPDSVLDNVENQHVLVPLETGKVESGVVEEGKFRYYKFLVDEGMGEKVASRHANIEVKIETYTSGGDTDIYVSRHPLVFPTQHRHEWSSHEMGSKVLILKPRDASLSSGTYSIGVYGFKGTTKYQLSVAIKDVLNGQRIGEQASASSSVDVDSVVCKNCKRYISNRTSLLHEAYCVRHNVVCMHDGCGVVLRKEEATDHVHCNKCGQAFQQREMEKHMKVFHEPLQCPCGVVLEKEDMVQHQSSTCPLRLIVCRFCGDTVQAGGEPLDARDRLRNMCEHESICGSRTAPCDSCGRSVMLKDMDIHVIAVHQKS >ORUFI01G20770.1 pep chromosome:OR_W1943:1:17798059:17808492:-1 gene:ORUFI01G20770 transcript:ORUFI01G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILATINILSAITFLLAFAFLRLQPINDRVYFPKWYLKGARESPSHGGAFVRKFVNLDMRSYLKVLSWMPAALKMPEDELISHAGLDSAVYLRIYLIGLKIFAPITVLAFIILVPVNWTNITLQSSKVQHSDIDKLSISNIPVGSKRFAAHLTMAYVFTFWTCYVLLREYEIVATMRLRFLASEKRRPDQFTVLVRNIPPDPDESIGELVEHFFLVNHPDHYLTHQVVYNANKLDKMVKEKKKMQNWLDYYQLKYERNTSQRPTTKTGFLGCFGSKVDAIEYYTSEIERIEKEETDERGKIMKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWDNLSIPFVYLTIRRLIIAVAFFFLNFFYVLPIAFVQSLANIEGIEKAAPFLKPLIEMRTIKSFIQGFLPGIALKIFLILLPSILMFMSKVEGLTSVSSLERRSAFKYYIFLFFNVFLGSIIAGSALEQLKTFLHQSANEIPRTIGEAIPMKATFFITYVMVDGWAGVAGEILRLKPLIIFHLKNFFLVKTEKDREEAMDPGSIGFDSNEPQIQLYFLLGLVYAVVTPFLLPFILIFFGLAYVVYRHQIINVYNQEYESAAAFWPSVHGRIIVALIVSQLLLLGLLSTKGAGQSTPVLLVLPVVTFYFYKYCKNRYEPAFVEYPLQDAMRKDTLERAREPGFDLKGYLMNAYIHPVFKGDEDDEKFSISDEPEAEQVLVATKRQSRRNTPVPSKYNGSESPSLAEIVNDQRL >ORUFI01G20770.2 pep chromosome:OR_W1943:1:17798059:17806599:-1 gene:ORUFI01G20770 transcript:ORUFI01G20770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQDIGVSAAINILSAITFLLAFAFLRLQPINDRVYFPKWYLKGARESPSHGGAFVRKFVNLDMRSYLKVLSWMPAALKMPEDELISHAGLDSAVYLRIYLIGLKIFAPITVLAFIILVPVNWTNITLQSSKVQHSDIDKLSISNIPVGSKRFAAHLTMAYVFTFWTCYVLLREYEIVATMRLRFLASEKRRPDQFTVLVRNIPPDPDESIGELVEHFFLVNHPDHYLTHQVVYNANKLDKMVKEKKKMQNWLDYYQLKYERNTSQRPTTKTGFLGCFGSKVDAIEYYTSEIERIEKEETDERGKIMKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWDNLSIPFVYLTIRRLIIAVAFFFLNFFYVLPIAFVQSLANIEGIEKAAPFLKPLIEMRTIKSFIQGFLPGIALKIFLILLPSILMFMSKVEGLTSVSSLERRSAFKYYIFLFFNVFLGSIIAGSALEQLKTFLHQSANEIPRTIGEAIPMKATFFITYVMVDGWAGVAGEILRLKPLIIFHLKNFFLVKTEKDREEAMDPGSIGFDSNEPQIQLYFLLGLVYAVVTPFLLPFILIFFGLAYVVYRHQIINVYNQEYESAAAFWPSVHGRIIVALIVSQLLLLGLLSTKGAGQSTPVLLVLPVVTFYFYKYCKNRYEPAFVEYPLQDAMRKDTLERAREPGFDLKGYLMNAYIHPVFKGDEDDEKFSISDEPEAEQVLVATKRQSRRNTPVPSKYNGSESPSLAEIVNDQRL >ORUFI01G20780.1 pep chromosome:OR_W1943:1:17834025:17834810:-1 gene:ORUFI01G20780 transcript:ORUFI01G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETIIRLRSSSKQLEGHHGTAPLEEGPSYVRFVCDVKVRCWSRRLGGGGEPVRHDGIKFTLETERKHVLDGAGGDVFLDYEETRRMAWAVFTGMRELRCVDLSRSNWATPMPDDDAAAWIHRAVRRNHDDGLAGGHYRFAARVKVAVELVFSEPVSLVRGLVWLETRAGDTCGICLDGLTASERCKTPPANLPCGHAFHPPCITRWLFKGTTCPICRDDLTGLAAAPWESGVMSCPGCIMPSTPCVEDCPSLKALSLNS >ORUFI01G20790.1 pep chromosome:OR_W1943:1:17838030:17838821:-1 gene:ORUFI01G20790 transcript:ORUFI01G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETRIRLMGIQNKLDECHVGKAPLEVGPSYVRFLCALTVKYSTRRLGGGGVAVPLQPAAPHGGGGIRQFTMTMQSDVRPVDDPFVFLDRDAARRVVWAAMVAALPGLDRYDLSDGNWETPRPDAAVAAWIHGLARASYLGRGKRVGHYRVVVFVEVEVELVFSEPKALVADVVAAGGGAGKPCGICLDDLDADGLTTPVRLPCGHAFHGQCIAGWLLEGRTCPMCRRDLSRLVLAPSCYQQYGAPRISQLAQLGWTFISSV >ORUFI01G20800.1 pep chromosome:OR_W1943:1:17843953:17847669:-1 gene:ORUFI01G20800 transcript:ORUFI01G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGGAFPTIYSLTQCTPDLSSESCFKCLQGTIQESLKWFDGRRGGRIIGVHCLIRFETSIFYNGEPMRIMGPSTNSTSADGNRSKRKLSGLAVSIVFPVMGVLLFCVILGFGWIIRRNKIGKASLQEKTSTYLYEEEALAWPIQGQSSELLLEIAVKRLDSHSGQGFVEFRNEIQLIAKLQHSNLVRLLGCCSKGEEKILVYEYLPNKSLDFFIFDEPNQRALLDWNKRLAIIEGIAQGLLYLHKHSRLRVTHRDLKGSNVLLDHNMNPKISDFGLAKIFSSNDIEGNTKRVAGTYGYMAPEYASEGLFSVKSDVFSFGVLTLEIVSGKRNPGFHQYGDFLNLLGYAWQLWTEGRWLKLIDVVLLTDCLVEAPLMMKCVNIALLCVQENAADRPTMSDVVAMLSSEGVSLPVPKHPAYFNVRVRNGEASSAIDLELCSVNEVTITAPGCR >ORUFI01G20800.2 pep chromosome:OR_W1943:1:17843953:17847669:-1 gene:ORUFI01G20800 transcript:ORUFI01G20800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGGAFPTIYSLTQCTPDLSSESCFKCLQGTIQESLKWFDGRRGGRIIGVHCLIRFETSIFYNGEPMRIMGPSTNSTSADGNRSKRKLSGLAVSIVFPVMGVLLFCVILGFGWIIRRNKIGKASLQEKTSTYLYEEEALAWPIQGQSSELLLEIAVKRLDSHSGQGFVEFRNEIQLIAKLQHSNLVRLLGCCSKGEEKILVYEYLPNKSLDFFIFVRNIGEAYLQLGCSGKPYMTYHVCADEPNQRALLDWNKRLAIIEGIAQGLLYLHKHSRLRVTHRDLKGSNVLLDHNMNPKISDFGLAKIFSSNDIEGNTKRVAGTYGYMAPEYASEGLFSVKSDVFSFGVLTLEIVSGKRNPGFHQYGDFLNLLGYAWQLWTEGRWLKLIDVVLLTDCLVEAPLMMKCVNIALLCVQENAADRPTMSDVVAMLSSEGVSLPVPKHPAYFNVRVRNGEASSAIDLELCSVNEVTITAPGCR >ORUFI01G20810.1 pep chromosome:OR_W1943:1:17856643:17858784:1 gene:ORUFI01G20810 transcript:ORUFI01G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKISAIHRTPPPLSWDFGRTGGAAAAAPRPRYKRALPMASPATATASLCCRLVRLPLLVPYARRRSPLSTRCSAAQSPDAVDREYADLNLRPLYPNRGHHLRIRQHVNPLSSSFSEPTEPPEWKEVFEDPLLPLMVDIGCGSGRFLIWLAKNSGERRNYLGLEIRQKLVERSQFWVTELGLRNVYFMFANATVSFNQIASSYPGPLSLVSILCPDPHFKKRHHKRRVLQSQLVDSITNNLCLGGRVLLQSDVLEVAADMRESGHRSYPSSNG >ORUFI01G20810.2 pep chromosome:OR_W1943:1:17856643:17859540:1 gene:ORUFI01G20810 transcript:ORUFI01G20810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKISAIHRTPPPLSWDFGRTGGAAAAAPRPRYKRALPMASPATATASLCCRLVRLPLLVPYARRRSPLSTRCSAAQSPDAVDREYADLNLRPLYPNVRPLLPPPGLPSLPPQRGHHLRIRQHVNPLSSSFSEPTEPPEWKEVFEDPLLPLMVDIGCGSGRFLIWLAKNSGERRNYLGLEIRQKLVERSQFWVTELGLRNVYFMFANATVSFNQIASSYPGPLSLVSILCPDPHFKKRHHKRRVLQSQLVDSITNNLCLGGRVLLQSDVLEVAADMRERFDEYSDVFEHVDCIDKDLRCDNEGWLLDNPMGIRTEREVHAELEGATIYRRMYQKTRDVSH >ORUFI01G20810.3 pep chromosome:OR_W1943:1:17856643:17859540:1 gene:ORUFI01G20810 transcript:ORUFI01G20810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKISAIHRTPPPLSWDFGRTGGAAAAAPRPRYKRALPMASPATATASLCCRLVRLPLLVPYARRRSPLSTRCSAAQSPDAVDREYADLNLRPLYPNRGHHLRIRQHVNPLSSSFSEPTEPPEWKEVFEDPLLPLMVDIGCGSGRFLIWLAKNSGERRNYLGLEIRQKLVERSQFWVTELGLRNVYFMFANATVSFNQIASSYPGPLSLVSILCPDPHFKKRHHKRRVLQSQLVDSITNNLCLGGRVLLQSDVLEVAADMRERFDEYSDVFEHVDCIDKDLRCDNEGWLLDNPMGIRTEREVHAELEGATIYRRMYQKTRDVSH >ORUFI01G20820.1 pep chromosome:OR_W1943:1:17859854:17867944:-1 gene:ORUFI01G20820 transcript:ORUFI01G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGALRRVGKYEVGRTIGEGTFAKVKFAQNTESGESVAMKVVDRSSILKHKMADQIKREISIMKLVRHPNVVRLHEVLASRKKIFIILEFITGGELFDKIIRHGRLNEADARRYFQQLIDGVDFCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAQGGALLRTTCGTPNYVAPEVLSHKGYDGALADTWSCGVILYVLLAGYLPFDEVDLTTLYGKIESAEYSFPAWFPNGAKSLIHRILDPNPDKRIRIEEIRNDEWFKKNYEPTREIESEEVNLDDVNAAFDDPEEDADHTLDDEAGPLTLNAFDLIILSQGLNLAALFDRRQDYDKLQNRFLSRKPAKVIMSSMEVVAQSMGYKTHIRNYKMRVEGLNANKTSHLTVMLEIFEVAPSIFMIELQRAAGDTSDYNKFINNYCSKLDDIIWNFPIEKSKSRISRLSKRYEVY >ORUFI01G20820.2 pep chromosome:OR_W1943:1:17860167:17867944:-1 gene:ORUFI01G20820 transcript:ORUFI01G20820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGALRRVGKYEVGRTIGEGTFAKVKFAQNTESGESVAMKVVDRSSILKHKMADQIKREISIMKLVRHPNVVRLHEVLASRKKIFIILEFITGGELFDKIIRHGRLNEADARRYFQQLIDGVDFCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAQGGALLRTTCGTPNYVAPESVFPSSSLTPSLFPSLSPLPSRPPAPRWPGTAPPPSSSSPAQGSAAQGSAVLVHEDEAGLWFPFADSLEKDIFSDLFYEAPVAAAATAAAAGLGADGDSDGEGKPCKDDAAMAEERGGPGYDGALADTWSCGVILYVLLAGYLPFDEVDLTTLYGKIESAEYSFPAWFPNGAKSLIHRILDPNPDKRIRIEEIRNDEWFKKNYEPTREIESEEVNLDDVNAAFDDPEEDADHTLDDEAGPLTLNAFDLIILSQGLNLAALFDRRQDYDKLQNRFLSRKPAKVIMSSMEVVAQSMGYKTHIRNYKMRVEGLNANKTSHLTVMLEIFEVAPSIFMIELQRAAGDTSDYNKFINNYCSKLDDIIWNFPIEKSKSRISRLSKR >ORUFI01G20820.3 pep chromosome:OR_W1943:1:17860167:17867944:-1 gene:ORUFI01G20820 transcript:ORUFI01G20820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGALRRVGKYEVGRTIGEGTFAKVKFAQNTESGESVAMKVVDRSSILKHKMADQIKREISIMKLVRHPNVVRLHEVLASRKKIFIILEFITGGELFDKIIRHGRLNEADARRYFQQLIDGVDFCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAQGGALLRTTCGTPNYVAPEVLSHKGYDGALADTWSCGVILYVLLAGYLPFDEVDLTTLYGKIESAEYSFPAWFPNGAKSLIHRILDPNPDKRIRIEEIRNDEWFKKNYEPTREIESEEVNLDDVNAAFDDPEEDADHTLDDEAGPLTLNAFDLIILSQGLNLAALFDRRQDYDKLQNRFLSRKPAKVIMSSMEVVAQSMGYKTHIRNYKMRVEGLNANKTSHLTVMLEIFEVAPSIFMIELQRAAGDTSDYNKFINNYCSKLDDIIWNFPIEKSKSRISRLSKR >ORUFI01G20830.1 pep chromosome:OR_W1943:1:17877152:17880017:-1 gene:ORUFI01G20830 transcript:ORUFI01G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEERDAAAASSLAEFHASRAGVRGLVESGATAVPPLFLPPGCGRERSTPPTPPRATAFAIPTVDLSLPRSATVPLVRAAATSCGFFHVTSHGVPRGTVASAVAAVRAFHEQPAASRSPCYSLAPVGGVAYSTIPIQQPPPQDGSSSDHRAATAASPLLPWRDSLVVRFGPGPEAPDLGRLPASCRDALPEYQRSLTVFGKEMAGLLSEALGGGGVGAERLEREMQVEGWLMACHYYPPCPEPERVVGSLEHTDPSLFTVLAQDAVGGLQVRREEEEGGGGGGEWVDVAPVAGALVVNVGDVLKMVSNEEYKSVEHRVVIKSSQDARVSIAVFFNPAKRDASDLFGPLPELLTAERPARFRRFSVPEFMRSRRESGHGKSSIDSFRIAAD >ORUFI01G20840.1 pep chromosome:OR_W1943:1:17881628:17882301:1 gene:ORUFI01G20840 transcript:ORUFI01G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSIQGYGTFEVQRVHLATLEAGSARHSKSGMKMKTRCHDHELHDADGRSILRKAIAHGFLPM >ORUFI01G20850.1 pep chromosome:OR_W1943:1:17887331:17889858:-1 gene:ORUFI01G20850 transcript:ORUFI01G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETSIFGRDKEKNWVISKLTESSIQQNIKIVSVIGLGGSGKTTLAKLVFNDGNTIKQHFELILWVHVSREFDVEKLVEKLYEAIAGDKPNHLPLQRVSRTISDKLAGKKFLVVMDDVWTEDHAHWEQFMVHLKSGAPGSSILLTARSRKVAEAVDSTYTFDMPFLSEDNSQKVFEQNLGSAAIGLDPEFLQIGTEIMKKCSGVPLAIKVLAGVLRGMKGIEEWQSIRDSNLLDVEDEERKIFACLLLSYIHLPHHLKRCFLHCSIFPRGYVIKRRHLISQWIAHGFIPTNQAQQPEDVGIGYFDSLLKVGFLQDQEQDHSDEVTCKMHDLIHDLSRKILQDEFVSGIETIDQTKKCRYLSLTSCSGKVDRKLYDKVRAFYVSRCKLASDRTMNKQRCIRTVILKYMNIDSLHLFVSNFEYMGYLEISNVNCEALPDAISHCWNLKALHVIKCTRLANLPESIGKLKKLRTLELNVAWNVKSLPQSIGDCDSLGSLYLENCGIKDMPNSIEKLENLRVLSFVYCTDLQQLLPSEPYGKLRNLQTITLTFCTAFKHLPQCITLLGHLQYVDLSCCTELRELPEGIGALKKLEVLNLERCRRLCGLPAGCGQLIRLQQLGLFVIGDRTKHARISELEKLDKLNGELQIKNIKHVKDPFDAEMVHLKRKNGIRKLSLDWSSRPPEISP >ORUFI01G20860.1 pep chromosome:OR_W1943:1:17914932:17915738:-1 gene:ORUFI01G20860 transcript:ORUFI01G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKLPETMATARIRSAAVAAAWRRSTEAVAARMRFTAATWLRSTEAAAVRLRPAVEAAAAAARLLPVAAAAARMLLAAAAPARLRLAAAAAAPLLLAAAVAVPMRPATTAVVRMRPAVLAWMRYGWSPLLQSSPSCYQDSEFFATIFGLSHWLAGFGEVLPLCLTALTMQGLSFRVEHRLDLQSWFAGLQYELLRFNDELRGNLLRSPVMLTPKSTASQQTSHMCRFRGGNRRGFPVCQAECINRGPRMQSSRHCRSTLSICSIAA >ORUFI01G20870.1 pep chromosome:OR_W1943:1:17915296:17915884:1 gene:ORUFI01G20870 transcript:ORUFI01G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKNSLRGDHPYRIHASTAGRIRTTAVVAGRIGTATAAARSNGAAAAAASRNLAGAAAASSIRAAAAATGSSRAAAAAASTAGRNRTAAASVDRNQVAAVNRIRAATASVDRLQAAATAADRIRAVAIVSGSFRVAIAVTSCIHVAAAVSSFHTAPTRKEREEPDLTRLARLLY >ORUFI01G20880.1 pep chromosome:OR_W1943:1:17920173:17920376:-1 gene:ORUFI01G20880 transcript:ORUFI01G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERMESTILPRLALLLEHAERTIPPEQKQLRTDMEQWACRLRSAFYDIEDILDLADYNRLENKVN >ORUFI01G20890.1 pep chromosome:OR_W1943:1:17978169:17982673:1 gene:ORUFI01G20890 transcript:ORUFI01G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIRTVSSLGEVNGALQEMGINTIDQAHQVQFRLHKQTSLKEATEIKMMIQTGRHGFRLVNPELLDCKFDARVKLEEWYNTMLDACMAQCDHELFSLEASIAELKDLMLSTDDQIPHIGPEIHHRNRGVQQMLYPNPPFPIDPDYEFGTPQQRVPYQAAYTTDAERNDAVSRDKRAQRAVWNTNLRLLEVKKSALEKKKTELERRLKAEFKKVNEQQSDLGVGYANYQSPYQA >ORUFI01G20890.2 pep chromosome:OR_W1943:1:17978169:17982504:1 gene:ORUFI01G20890 transcript:ORUFI01G20890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIRTVSSLGEVNGALQEMGINTIDQAHQVQFRLHKQTSLKEATEIKMMIQTGRHGFRLVNPELLDCKFDARVKLEEWYNTMLDACMAQCDHELFSLEASIAELKDLMLSTDDQIPHIGPEIHHRNRGVQQMLYPNPPFPIDPDYEFGTPQQRVPYQAAYTTDAERNDAVSRDKRAQRAVWNTNLRLLEVKKSALEKKKTELERRLKAEFKKVNEQQSDLGVGYANYQSPYQA >ORUFI01G20890.3 pep chromosome:OR_W1943:1:17978169:17982673:1 gene:ORUFI01G20890 transcript:ORUFI01G20890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANIRTVSSLGEVNGALQEMGINTIDQAHQVQFRLHKQTSLKEATEIKMMIQTGRHGFRLVNPELLDCKFDARVKLEEWYNTMLDACMAQCDHELFSLEASIAELKDLMLSTDDQIPHIGPEIHHRNRGVQQMLYPNPPFPIDPDYEFGTPQQRVPYQAAYTTDAERNDAVSRDKRAQRAVWNTNLRLLEVKKSALEKKKTELERRLKAEFKKVNEQQSDLGVGYANYQSPYQA >ORUFI01G20900.1 pep chromosome:OR_W1943:1:17978260:17978490:-1 gene:ORUFI01G20900 transcript:ORUFI01G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEESGAVPDLDREAEDRQLVEAYDARADGVARSGWEGRSGGASGRRMGMGTGTGDAAAEAPATGRGGKGGGGG >ORUFI01G20910.1 pep chromosome:OR_W1943:1:17986110:17987431:1 gene:ORUFI01G20910 transcript:ORUFI01G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQV >ORUFI01G20920.1 pep chromosome:OR_W1943:1:17987985:17993034:1 gene:ORUFI01G20920 transcript:ORUFI01G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHRILPPATAQTPQLHRQPLFPPPNRGEGELATRGRRPPLHYAPVAATSALLGVSNSPKFSLSIGGPATNVDALSTWPQRRSQTDQSSIPWAVAIAGRAVMHHRCLREKIRLKSAMRSLWSIKGVMSLMNLNDGSTVMAKAFSPVLNLANLAEEVQIAYWRRINLKKAEEMSPTAKLGDTMLQLVQPHSGPKAGGHQRRLSLHDHVVETTTWGKTQKLLQHRRPVTLSTARHCLRSSRPCDPKNERRERKLGGRTPTVTYEPPPNYEEVYYAAAEQEEGEQEMVAGEVIPVEDEFVGDDSVG >ORUFI01G20920.2 pep chromosome:OR_W1943:1:17987985:17993034:1 gene:ORUFI01G20920 transcript:ORUFI01G20920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHRILPPATAQTPQLHRQPLFPPPNRGEGELATRGRRPPLHYAPVAATSALLGVSNSPKFSLSIGGPATNVDALSTWPQRRSQTDQSSIPWAVAIAGRAVMHHRCLREKISLMAFIAAEEMSPTAKLGDTMLQLVQPHSGPKAGGHQRRLSLHDHVVETTTWGKTQKLLQHRRPVTLSTARHCLRSSRPCDPKNERRERKLGGRTPTVTYEPPPNYEEVYYAAAEQEEGEQEMVAGEVIPVEDEFVGDDSVG >ORUFI01G20930.1 pep chromosome:OR_W1943:1:18145736:18148118:1 gene:ORUFI01G20930 transcript:ORUFI01G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLMLLLLGRELRDVVGGSGGLGTGGGGLPSPTSHAWRRHPFPDGGGHGDDDGGGWRSAAIPLSPCRYRRRAGTVGLSHGCHRLCARVQVISEITLAAAISMHGVSDSFWSSSS >ORUFI01G20940.1 pep chromosome:OR_W1943:1:18165593:18168937:-1 gene:ORUFI01G20940 transcript:ORUFI01G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRREKWWEVSSTRRRLRPLSNPSIRLRLPLPLPVASASAAATAAAASPVPVPIPILRPGRATPSAGASYASTSCQSSTSRSRSGTAPPSSVASASRLRSAAPSLHVGFCAAAKKDILSSVTMNNIRTVASLDEVNGVLQEMGINAIGQAHQVQFCLHEQTSLKEATEIKVITRPGRHGFKLVNPELLNCKFKAKVKLDVCYKTMFNACMVQCDQELLPLEARIAQLKNLILSTDDQIPHRGPEVDQRNRGVQLMLYPNPPTILIMSSVVQNAQRNAAVARDKCAQRAVWNTNLRLLEVKKSVLEKKKIELERVLREEFDKMIEEQSDLGVFKEHETENLLEVLNAR >ORUFI01G20950.1 pep chromosome:OR_W1943:1:18170127:18171007:1 gene:ORUFI01G20950 transcript:ORUFI01G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHPYFRSLMVLGLGYIWYSLAVKNAGVIVGAVYSAAVDGGVGRLPAAALPVGGSKVHVGSNEGLIWHHTPWLGAHAAKGPVRLLRPRARVLQVRTSHVLNLTPGTGGGEGGGGGERKAVARRWSSAVAREVAASPRSPRSSPASLACRKRR >ORUFI01G20960.1 pep chromosome:OR_W1943:1:18172168:18173943:-1 gene:ORUFI01G20960 transcript:ORUFI01G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATKFIKCITVGKTCMLTCYTSNKFPTDYIPTVFDNFSANVSVDGDIVNLGLWDTAFSGWGTSSTWDYGTLLGMPELRRFAPNAPIVLVGTKLDLRDHKSYLADHPAASSITTAQNIKALFDTAIKVVLEPPRRRGETTMARKKTRRSTGCSLK >ORUFI01G20970.1 pep chromosome:OR_W1943:1:18182200:18183471:1 gene:ORUFI01G20970 transcript:ORUFI01G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDKLDLILRRMEEFKRRRVEADQRRRAEYQSLKAALESWMPEIQKNAEDLQFLVGDEQSKVTPTACSTECPNGSSPSTTARFIYDDEGTTPTVFLEHEDGEGKDHMPFIVIKDLPEFTPTMCSMICSSSDTKPDLIVPAVVTCATSVESSLEIVVTGSTTNDTHIDTPDSSKAMPANCSTVGLDVKGGTDHTKFTCQTMMVVPEGVLVPNASSKVFSPWLMVEMDLIPLLPTWCSMKCPKDKKLLMGNAKRNSWPARWLGRVIRGWKLQPVPWLGSKLYWEGIPLMPPWSPPARVSFLAWEPFDVGVLVIGIVILRHELAKLKPWPPPNQTNIRNIMVQLQRCKYRKIRVEMSLDAWKELWNLASHESCTFNGTSSLQNYISWLKQNVCGPLNRGDYKDLLDIILLIQLPIGAYCWSYLS >ORUFI01G20980.1 pep chromosome:OR_W1943:1:18183500:18184181:1 gene:ORUFI01G20980 transcript:ORUFI01G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFGRNDIGCIEQNRHTIARETKACVMLELGIGRVLHILNILETATGYGSVRNLFEVSRNTRQWYNIEMKKLLQEASKISSYVASIPKKNSPIPKYSACTQVHIRSKAIIDFIDHDMGPWGSVFLKFKAISKQTTFQQAEK >ORUFI01G20990.1 pep chromosome:OR_W1943:1:18192062:18202995:1 gene:ORUFI01G20990 transcript:ORUFI01G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMTARWAQFIEATGLQVQEPAVFRVLSTSKMHFIIFAKDGYLRCPVPDKPRDSEPTRQSFRTSSPQGKATTITSPIQCCIKGKLKHDGTSTSASNNRRTISEMCFCTKDIRLSAEVKNYIKDIAPFLQPSDKFYVTAINATFMKEGRVYLVKEFSKKYIAPLAR >ORUFI01G20990.10 pep chromosome:OR_W1943:1:18195405:18202995:1 gene:ORUFI01G20990 transcript:ORUFI01G20990.10 gene_biotype:protein_coding transcript_biotype:protein_coding MYMTARWAQFIEATGLQVQEPAVFRVLSTSKMHFIIFAKDGYLRCPVPDKPRDSEPTRQSFRTSSPQGKATTITSPIQCCIKGKLKHDGTSTSASNNRRTISEMCFCTKDIRLSAEVKNYIKDIAPFLQPSDKFYVTAINATFMKEGRVYLVKEFSKKYIAPLAR >ORUFI01G20990.2 pep chromosome:OR_W1943:1:18192062:18202995:1 gene:ORUFI01G20990 transcript:ORUFI01G20990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMTARWAQFIEATGLQVQEPAVFRVLSTSKMHFIIFAKDGYLRCPVPDKPRDSEPTRQSFRTSSPQGKATTITSPIQCCIKGKLKHDGTSTSASNNRRTISEMCFCTKDIRLSAEVKNYIKDIAPFLQPSDKFYVTAINATFMKEGRVYLVKEFSKKYIAPLAR >ORUFI01G20990.3 pep chromosome:OR_W1943:1:18192062:18202995:1 gene:ORUFI01G20990 transcript:ORUFI01G20990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYMTARWAQFIEATGLQVQEPAVFRVLSTSKMHFIIFAKDGYLRCPVPDKPRDSEPTRQSFRTSSPQGKATTITSPIQCCIKGKLKHDGTSTSASNNRRTISEMCFCTKDIRLSAEVKNYIKDIAPFLQPSDKFYVTAINATFMKEGRVYLVKEFSKKYIAPLAR >ORUFI01G20990.4 pep chromosome:OR_W1943:1:18192062:18202995:1 gene:ORUFI01G20990 transcript:ORUFI01G20990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYMTARWAQFIEATGLQVQEPAVFRVLSTSKMHFIIFAKDGYLRCPVPDKPRDSEPTRQSFRTSSPQGKATTITSPIQCCIKGKLKHDGTSTSASNNRRTISEMCFCTKDIRLSAEVKNYIKDIAPFLQPSDKFYVTAINATFMKEGRVYLVKEFSKKYIAPLAR >ORUFI01G20990.5 pep chromosome:OR_W1943:1:18192062:18202995:1 gene:ORUFI01G20990 transcript:ORUFI01G20990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYMTARWAQFIEATGLQVQEPAVFRVLSTSKMHFIIFAKDGYLRCPVPDKPRDSEPTRQSFRTSSPQGKATTITSPIQCCIKGKLKHDGTSTSASNNRRTISEMCFCTKDIRLSAEVKNYIKDIAPFLQPSDKFYVTAINATFMKEGRVYLVKEFSKKYIAPLAR >ORUFI01G20990.6 pep chromosome:OR_W1943:1:18191063:18202995:1 gene:ORUFI01G20990 transcript:ORUFI01G20990.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVECRGGGRRGTTLAPPPANGESMAPAAAAVHARRRSDSRWWGQLWSETTAAVHARGRSEEERRVVAEIAHARRRSASRAWSSRANCVVFGAVIARGLRLFARGDEEDMRMDVWKKNLPCARARKPFVVSSLDLLMLLHKLRRRNSQRNCSCTHSPIATRWPTSTPLRIPLLPTDASNMSNPKAIPQLLGARSSEKAARWIRTMESALKPPRKDELVSCSHTRWQAFRWDAAHARAFKLQENNDAYMLSRCSNRMHSIGWTVFSSVHNDPMASDVIAPSPWTIFDCKNGFRLFTEAKDGGSEGKIDLSLCAIADELPDPTLRGRPPCLLAVFSSLSGGGDPARLNGSDVNNDRVWTSDPPPYTQDEDTNEAMKGEVDVLCVGYMMRCLRLKIIISYFAIFVVIFSFNLAKQQWKCMLTG >ORUFI01G20990.7 pep chromosome:OR_W1943:1:18192062:18202995:1 gene:ORUFI01G20990 transcript:ORUFI01G20990.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYMTARWAQFIEATGLQVQEPAVFRVLSTSKMHFIIFAKDGYLRCPVPDKPRDSEPTRQSFRTSSPQGKATTITSPIQCCIKGKLKHDGTSTSASNNRRTISEMCFCTKDIRLSAEVKNYIKDIAPFLQPSDKFYVTAINATFMKEGRVYLVKEFSKKYIAPLAR >ORUFI01G20990.8 pep chromosome:OR_W1943:1:18192541:18202995:1 gene:ORUFI01G20990 transcript:ORUFI01G20990.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYMTARWAQFIEATGLQVQEPAVFRVLSTSKMHFIIFAKDGYLRCPVPDKPRDSEPTRQSFRTSSPQGKATTITSPIQCCIKGKLKHDGTSTSASNNRRTISEMCFCTKDIRLSAEVKNYIKDIAPFLQPSDKFYVTAINATFMKEGRVYLVKEFSKKYIAPLAR >ORUFI01G20990.9 pep chromosome:OR_W1943:1:18191063:18202995:1 gene:ORUFI01G20990 transcript:ORUFI01G20990.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVECRGGGRRGTTLAPPPANGESMAPAAAAVHARRRSDSRWWGQLWSETTAAVHARGRSEEERRVVAEIAHARRRSASRAWSSRANCVVFGAVIARGLRLFARGDEEDMRMDVWKKNLPCARARKPFVVSSLDLLMLLHKLRRRNSQRNCSCTHSPIATRWPTSTPLRIPLLPTDASNMSNPKAIPQLLGARSSEKAARWIRTMESALKPPRKDELVSCSHTRWQAFRWDAAHARAFKLQENNDAYMLSRCSNRMHSIGWTVFSSVHNDPMASDVIAPSPWTIFDCKNGFRLFTEAKDGGSEGKIDLSLCAIADELPDPTLRGRPPCLLAVFSSLSGGGDPARLNGSDVNNDRVWTSDPPPYTQDEDTNEAMKGEVDVLCVGYMMRCLRLKIIISYFAIFVVIFSFNLAKQQWKCMLTG >ORUFI01G21000.1 pep chromosome:OR_W1943:1:18210188:18210487:1 gene:ORUFI01G21000 transcript:ORUFI01G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTMGKDLAVGGESWREYGSEAEAEAVGCRRRSVSRDDDDDPLCRPRISYCQHDIVTKDSHSSQISYTLTAITWQLDSTCDRDAHIQNVASIRKWDD >ORUFI01G21010.1 pep chromosome:OR_W1943:1:18218741:18225584:-1 gene:ORUFI01G21010 transcript:ORUFI01G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLSKLPTPSASPSRSSPHGPPHSTPLPLAPAFPFDVLHLPGCGRSDVVADSCEGLDPMKEATPVEGFGSVAAFMEAAERYASQGGGGAATEHFMVFIQETRAKAEEACRLAVLIQEATAGGGSNAVAISKLRKVVATARGGGGSDAAKALEVCKATDVMHKEVAAPADLMQEGTAAEEVAEPADLMQEGTAAEEVAEPADLMQEGTAAEEVAFRPPFLIPTPTTGDIGSDMRGLIPVVESISSISHGEVNNPSYHQRTILEDDSDHKALFEKSLVGQINIEDMSGKAKDVINEEGSSEDGNSEEMKDSDDDVGMVIGGYAQDPYDDSGLEELMQDEDALEKSVFLIVIKVEIKRKGIMVVTSIYLQNLIHDINIVYNNKYHVY >ORUFI01G21020.1 pep chromosome:OR_W1943:1:18219742:18220138:1 gene:ORUFI01G21020 transcript:ORUFI01G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYRIICMAKSIVFVHGTHMVFVIVDDVDVMDQVLQVNGGHNHNAFPFDLNFDDDEEDLQYHPGL >ORUFI01G21030.1 pep chromosome:OR_W1943:1:18227367:18228130:-1 gene:ORUFI01G21030 transcript:ORUFI01G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLSSRKPVGMDVTSIKLKGDRAVSQLESTSGLKQRNLVKNEYEESPVPNLLDESMEKEVASSSVELNQSASATEEENVSQKIVVTITNEKSTHETEPVSANKIAICQPDVPSKAEFSVGSSFVTPRKNKVVDVMVDVTDHKGFCRTGQDKKHSEQERGDAKFSYWQGPYNAYQMSGSSRKRARKGWTTLKQIAEKEELERKEKMGNFVIPFFMQ >ORUFI01G21040.1 pep chromosome:OR_W1943:1:18228154:18233353:-1 gene:ORUFI01G21040 transcript:ORUFI01G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRGGHGHAPAAPLRAVSLREESSGKTRADAASLLRVQHLQRLAAWAGPPSAPCWGHASPPTPRRPGYLWPPPPSYARVLQPGFNCTIRIKNNKRKAKRRKKLNTCQNSISYLCHFCGDQIPVPFLWETKT >ORUFI01G21050.1 pep chromosome:OR_W1943:1:18247582:18250373:1 gene:ORUFI01G21050 transcript:ORUFI01G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNALGLSVAATSTGSPFHDVCCYGAGIAGNIFALVLFISPLPTFKRIVRNGSTEQFSAMPYIYSLLNCLICLWYGLPFVSYGVVLVATVNSIGALFQLAYTATFIAFADAKNRVKVSSLLVMVFGVFALIVYVSLALFDHQTRQLFVGYLSVASLIFMFASPLSIINLVIRTKSVEYMPFYLSLSMFLMSVSFFAYGVLLHDFFIYIPNGIGTVLGVIQLVLYGYFRKGSREDSLPLLVTHT >ORUFI01G21050.2 pep chromosome:OR_W1943:1:18247520:18250373:1 gene:ORUFI01G21050 transcript:ORUFI01G21050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNALGLSVAATSTGSPFHDVCCYGAGIAGNIFALVLFISPLPTFKRIVRNGSTEQFSAMPYIYSLLNCLICLWYGLPFVSYGVVLVATVNSIGALFQLAYTATFIAFADAKNRVKVSSLLVMVFGVFALIVYVSLALFDHQTRQLFVGYLSVASLIFMFASPLSIINLVIRTKSVEYMPFYLSLSMFLMSVSFFAYGVLLHDFFIYIPNGIGTVLGVIQLVLYGYFRKGSREDSLPLLVTHT >ORUFI01G21050.3 pep chromosome:OR_W1943:1:18248012:18250373:1 gene:ORUFI01G21050 transcript:ORUFI01G21050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCLSPITAGNIFALVLFISPLPTFKRIVRNGSTEQFSAMPYIYSLLNCLICLWYGLPFVSYGVVLVATVNSIGALFQLAYTATFIAFADAKNRVKVSSLLVMVFGVFALIVYVSLALFDHQTRQLFVGYLSVASLIFMFASPLSIINLVIRTKSVEYMPFYLSLSMFLMSVSFFAYGVLLHDFFIYIPNGIGTVLGVIQLVLYGYFRKGSREDSLPLLVTHT >ORUFI01G21060.1 pep chromosome:OR_W1943:1:18251161:18258884:-1 gene:ORUFI01G21060 transcript:ORUFI01G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVPPEESNRCVRGCCRSAAIPLHLPPSSFSLLSPIAKGSESTVYEARLGGERVAAKKPVLSTSDDLDKFHYQLQLLWWVLPIELDHPGLARLVAAHAHPPNYLMFFDFFEPPNLADKIHVEEWSPSVQQVVTIATDLAKALQYLNILGIVHRDIKPANILIDKDLHPHLADFGLAMYQKDIKHVSVENWRSSGKPTGGFHKKNMVGTLIYMAPEILRKDIHTEKSDLLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTAAIVSQGLRPALALPESGAPPSLLSLIQRCWDPDPQQRPSFKDITEELKIIIEKHIAVNSCSPASPANKSQNGNTEVHHYQEALSWLNQGELFAKGNKLDSRVDHWSDIFDQSSKYCPTLSWGSFATCGRRETMEDTHFMLPHMSEEKDLHAFGIFDGHRGSAAAEFSVRAVPGFLKQFNSNTSPTDALTEAFVRTDIAFREELILHQMSKRITQKNWHPGCTAVTALILRNKLFVANAGDCRAILNRAGEPFPMTRDHVASCPKERERIVKEGTEVKWQIDTWRVGAAALQVTRSIGDDDLKPAVTAQPEVIETVLSPDDEFLVMASDGLWDVMSNEDVLSIIKDTVKEPGMCSKRLATEAAARGSKDNITVIVVFLRPVSTAERIY >ORUFI01G21060.2 pep chromosome:OR_W1943:1:18251161:18258884:-1 gene:ORUFI01G21060 transcript:ORUFI01G21060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVPPEESNRCVRGCCRSAAIPLHLPPSSFSLLSPIAKGSESTVYEARLGGERVAAKKPVLSTSDDLDKFHYQLQLLCELDHPGLARLVAAHAHPPNYLMFFDFFEPPNLADKIHVEEWSPSVQQVVTIATDLAKALQYLNILGIVHRDIKPANILIDKDLHPHLADFGLAMYQKDIKHVSVENWRSSGKPTGGFHKKNMVGTLIYMAPEILRKDIHTEKSDLLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTAAIVSQGLRPALALPESGAPPSLLSLIQRCWDPDPQQRPSFKDITEELKIIIEKHIAVNSCSPASPANKSQNGNTEVHHYQEALSWLNQGELFAKGNKLDSRVDHWSDIFDQSSKYCPTLSWGSFATCGRRETMEDTHFMLPHMSEEKDLHAFGIFDGHRGSAAAEFSVRAVPGFLKQFNSNTSPTDALTEAFVRTDIAFREELILHQMSKRITQKNWHPGCTAVTALILRNKLFVANAGDCRAILNRAGEPFPMTRDHVASCPKERERIVKEGTEVKWQIDTWRVGAAALQVTRSIGDDDLKPAVTAQPEVIETVLSPDDEFLVMASDGLWDVMSNEDVLSIIKDTVKEPGMCSKRLATEAAARGSKDNITVIVVFLRPVSTAERIY >ORUFI01G21060.3 pep chromosome:OR_W1943:1:18251161:18258884:-1 gene:ORUFI01G21060 transcript:ORUFI01G21060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVPPEESNRCVRGCCRSAAIPLHLPPSSFSLLSPIAKGSESTVYEARLGGERVAAKKPVLSTSDDLDKFHYQLQLLCELDHPGLARLVAAHAHPPNYLMFFDFFEPPNLADKIHVEEWSPSVQQVVTIATDLAKALQYLNILGIVHRDIKPANILIDKDLHPHLADFGLAMYQKDIKHVSVENWRSSGKPTGGFHKKNMVGTLIYMAPEILRKDIHTEKSDVYSFAISINELLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTAAIVSQGLRPALALPESGAPPSLLSLIQRCWDPDPQQRPSFKDITEELKIIIEKHIAVNSCSPASPANKSQNGNTEVHHYQEALSWLNQGELFAKGNKLDSRVDHWSDIFDQSSKYCPTLSWGSFATCGRRETMEDTHFMLPHMSEEKDLHAFGIFDGHRGSAAAEFSVRAVPGFLKQFNSNTSPTDALTEAFVRTDIAFREELILHQMSKRITQKNWHPGCTAVTALILRNKLFVANAGDCRAILNRAGEPFPMTRDHVASCPKERERIVKEGTEVKWQIDTWRVGAAALQVTRSIGDDDLKPAVTAQPEVIETVLSPDDEFLVMASDGLWDVMSNEDVLSIIKDTVKEPGMCSKRLATEAAARGSKDNITVIVVFLRPVSTAERIY >ORUFI01G21070.1 pep chromosome:OR_W1943:1:18260775:18261710:1 gene:ORUFI01G21070 transcript:ORUFI01G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADRRFKIFAAADAFGQPLKDAVVAHLRAHPSVADVVDLGVDKYYAAAAAVARSLVAATPSDPDLEARGVVVCGTGAGVAIFANKYPGVYATHCATAADAVNTRSINACNVLALSGLATPPDAAAAIADAWLATPFRAPCPASGDAPWPEDIQRFFDSAPAEMAAIPDAPSVPSDSACAICCLRKGMEFEPVGIMPGGEMRIVRESPTSAYVRFKAGSVEPAHHHTFGHDLVVISGKKKVWNLTKEESYDLVDGDFLFTPAGDVHRVRYFEDTEFFIRWDGHWDIFLDEDLDAARSAIDAELGAATAK >ORUFI01G21080.1 pep chromosome:OR_W1943:1:18277892:18281407:-1 gene:ORUFI01G21080 transcript:ORUFI01G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVILLAVKKICVALGNEAINQAASKFKKFVTQLTELQGSMGRIKRELRLIHQFLSRMDVRNRKNETYEIWVEEVRILARGIEDIVDDYLQLVSHKHDKGWSSYLKKGFMRPNILLSLNKIATAIKDAETNLVHLFQAKDRWVSMVGSGNTTTSNDTSNYIVERSQHLASISRSLGEEDLVGVDQNREKLEEWLADELLGHSLITLHGMGGLGKTALAANAYRREKEKFQCHAWVLISQSYCIKDILKCLIAELSRNVKKNNWDNINDLDTGGLREELKRFLKLQKCLIVLDDVWAPEAINDLFGAHVPNLKGSKILVTTRVDDVAHLAFPDRRITLEPLREKESWELFCRTAFPRETNHKCAAELLHLIDQIVSKCKGVPLAIVSVGRLILVRDKTKEELRRIHDQLDWELVNNPSMEHVRNILYLSYIYLPTQLKSCFLYCSLFPEDHLLKRKALIRWWIAEGFINKRGRSTMEEVAEGYLQELVNRNMLQLIDRNSFGRIKSLRMHDIMHELAVDLCQRECFGVAYDDNNRHWEHEDRDERRLVVHKLNKDIDQEISCAHSLRSVITLDNSMISSSSILCLVVDNCRYMSILELSGLPINMIPDAIGDLFNLHHLGLRGSNVKFLPKSIEKLTNLLTLDLFRSSILELPRGIVKLTKLRHLFAEKQKDRHRRLFKWCIGVSIPRGLENLTNLQSLQSLEAQDESVRCLGELRQMRGLRLWNVKANLCERLCVSLLQMKFLSYLSITASDEDDVLQLDGLNPLPPSLHKLRLSGRLAHNMLGAESPLFQEDAGGQNLYSLRLFWSQLTEDPLPSLSRLLNLTELHFTRAYNGEKLVFLTRWFPKLKILRLRDLPNLKRMDIQQGAMASLERLRLINLSSMEEVPLGIEFLMPLKYLSFEEITVDFLWSLRQSRIVSAVNKRTEIN >ORUFI01G21090.1 pep chromosome:OR_W1943:1:18283788:18284744:1 gene:ORUFI01G21090 transcript:ORUFI01G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWPPIEEQRAGLAPRRKYPYFYPSSTSVGDQRRGDSWCTAGAARGRVFVAGGVCAGYEPAVACSGALWDPAASPPAAAWAPILPPHDERFSRDAAEAVCSGGKVCMVNLRGRGAKECVVFDLRADRWEDMPPGMFAGWKGPAAASLPDDGETIYVVDEERGALTAYDWGTARWRMVAESERLKGATVGELRARRQVDEGAKGNLSKVAFRHVTFG >ORUFI01G21100.1 pep chromosome:OR_W1943:1:18302014:18302737:1 gene:ORUFI01G21100 transcript:ORUFI01G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDRFPTLCGIFPDVFRLQQQLRDVYSSGQPNIASNNGGGGISTGTFFCMTSEGEAQRKLLFIWFKDIHFSGSGRTQIQQFPTLVNLNASLFRDVYVATICLIKRSQ >ORUFI01G21110.1 pep chromosome:OR_W1943:1:18308233:18308583:1 gene:ORUFI01G21110 transcript:ORUFI01G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGKRKREEYSSDSEQRSRSRARRRRRLAAQAAALRSENCAVEVAAREAVGRCAAVDAENELLRAREAELAARLRSLRDDLQAQRMQQQEALPSSSPPQPPPPPRPSTSVDLNH >ORUFI01G21120.1 pep chromosome:OR_W1943:1:18326420:18326968:1 gene:ORUFI01G21120 transcript:ORUFI01G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGVVCTTVTPTSSAGSDQVVAGDGEAARRPVAPAVMEERKRKRKESNRLSAQRSRARKQQQLDELAGQVAALRARNGALGLAAREAARRCAAVRAENELLRARSVELAARLDSLTDLAQCLHDAAAASSFAAAPPMMMAGAATAFPTGAVGAAAGFMMPQLPPPLLDATTMGMHCNYYY >ORUFI01G21130.1 pep chromosome:OR_W1943:1:18334274:18334558:-1 gene:ORUFI01G21130 transcript:ORUFI01G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVACERVQNPTLRPEDSSMLPPPALDLAGTVSLVLPPCLSVALGAASSGPELKGAGSGRPELKRTGSGYPELAPNKSKDEGKRSIGWRQWQ >ORUFI01G21150.1 pep chromosome:OR_W1943:1:18385299:18387720:1 gene:ORUFI01G21150 transcript:ORUFI01G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLVLFGDTITELSFAAGGWGAALADHFARKLGADVVLRGGRSGWWRGPWRAPPPTPTRRPSSPCSSLDEYIYQANLRAICGYLKVASESDSVSRRYMEKMTLQNYRNVPAGTNAQACLAVAKELNYPTSGQRCSNFLTDKLLHYGMDLFQVAFDGLHFTPFGNKILLDCVLETLESIGFSLIFLCSMISTPRTL >ORUFI01G21160.1 pep chromosome:OR_W1943:1:18408343:18411015:1 gene:ORUFI01G21160 transcript:ORUFI01G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAGLVYQLFQHEMFPWTFSVLALFPFLLLVLHYLATNHRTPTTCKETKNHHPPPPSPPRLPIIGHLHLIGGLLHVSLRELAHRYGPDLMLLHLGQVPNLIVSSPRAAEAVLRTHDLVFASRPYSLIADILLYGPSDWRQSRRIITTHLLTNKKVRSYRVAREEEGMAVDMTELFSTFSNDLICRLVSGKNFQGEGRNKLFRQLFKANSVLLAGFNLKDYYPGLARLKAVSMVMCAKARNTRKLWDELLDEIIDERMSKQQCEHDEGNDQDEMNFVNVLLLQEQGITREHLKAILVDMYQAGTETSSVVLVFAMAELMQKPHLMAKLQAELRTTIPKQGHELITERDLTDMTYLKAVIKETLRLHPPTPLLLPHLAMADCNIDGYTVRSGTRVIVNAWAIGRNSESWEAAEEFLPERFVDDGSAANVDFIGTDFQFLPFGAGRRICPGINFASASMEIILANLLYHFDWDVSAEAAIDKDGIDMAEAFGLSVQLKEKLLLVPVDYKDGMQDSAVILL >ORUFI01G21160.2 pep chromosome:OR_W1943:1:18408343:18411015:1 gene:ORUFI01G21160 transcript:ORUFI01G21160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAGLVYQLFQHEMFPWTFSVLALFPFLLLVLHYLATNHRTPTTCKETKNHHPPPPSPPRLPIIGHLHLIGGLLHVSLRELAHRYGPDLMLLHLGQVPNLIVSSPRAAEAVLRTHDLVFASRPYSLIADILLYGPSDWRQSRRIITTHLLTNKKVRSYRVAREEEARNTRKLWDELLDEIIDERMSKQQCEHDEGNDQDEMNFVNVLLLQEQGITREHLKAILVDMYQAGTETSSVVLVFAMAELMQKPHLMAKLQAELRTTIPKQGHELITERDLTDMTYLKAVIKETLRLHPPTPLLLPHLAMADCNIDGYTVRSGTRVIVNAWAIGRNSESWEAAEEFLPERFVDDGSAANVDFIGTDFQFLPFGAGRRICPGINFASASMEIILANLLYHFDWDVSAEAAIDKDGIDMAEAFGLSVQLKEKLLLVPVDYKDGMQDSAVILL >ORUFI01G21160.3 pep chromosome:OR_W1943:1:18408329:18411015:1 gene:ORUFI01G21160 transcript:ORUFI01G21160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHELSTKGMAVDMTELFSTFSNDLICRLVSGKNFQGEGRNKLFRQLFKANSVLLAGFNLKDYYPGLARLKAVSMVMCAKARNTRKLWDELLDEIIDERMSKQQCEHDEGNDQDEMNFVNVLLLQEQGITREHLKAILVDMYQAGTETSSVVLVFAMAELMQKPHLMAKLQAELRTTIPKQGHELITERDLTDMTYLKAVIKETLRLHPPTPLLLPHLAMADCNIDGYTVRSGTRVIVNAWAIGRNSESWEAAEEFLPERFVDDGSAANVDFIGTDFQFLPFGAGRRICPGINFASASMEIILANLLYHFDWDVSAEAAIDKDGIDMAEAFGLSVQLKEKLLLVPVDYKDGMQDSAVILL >ORUFI01G21170.1 pep chromosome:OR_W1943:1:18430139:18430608:1 gene:ORUFI01G21170 transcript:ORUFI01G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWADEDYAEIMVAYWADDGSCRRARRDHPARHRRTTLKEKSGEDTPVAFLVTRRVVGSEVPLGPSGTLVGLSREEGHSDGWSYEGSPAQLAGVLDCAEFEGDAEAEDSTPCAPTGVQQ >ORUFI01G21180.1 pep chromosome:OR_W1943:1:18437187:18440121:1 gene:ORUFI01G21180 transcript:ORUFI01G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAGLVYQLFQNEMFPWILALFPFLLLALHYLATNHRTPTTCKETRNHLSPPSPPRLPIIGHLHLIGDLPHVSLRELAHRYGPNLMLLHLGQVQNLVVSSPHAAEAVLRTHDHVFASRPHSLIGDILLYGPSDVGLSPYGEQWRRSRRIVTTHLLANKKVRSYHVAREEEVHKVMTKVHELSTKGMGVDMFELFSTYSNDLICRLVSGKNFQGDKGRNKMFRQLFKANYVLLAGFNLEDYYPGLARLKAVSWVMCAKARNTRKLWDELLDEIINDRMSKQPCEHDRGNDDQDEMDFVDVLLLQERGITRDHLKAILVDMFQAGTETTSVVLVFAMAELMQKPHLMAKLQAELRTNIPK >ORUFI01G21190.1 pep chromosome:OR_W1943:1:18461162:18466181:1 gene:ORUFI01G21190 transcript:ORUFI01G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGGGGGDGNSPPPSVSSPDVRPSSPLPATNSSPPQSGRRGGGRRRRGSASPYPSSPSLGGFETPPHPGRRTPSGGAAARQQRQNWTGGRFPPTPSTPMSTDDVPLSSEAGDEDTPETDGGGGGGAGADATPVFVWGTNISVQDVNAAILRFLRHFRDPRDAGRVDPVMDEGKYMRAIHRILELEGGESLDVNAHDVFDHDPDLYGKMVRYPLEVLAIFDIVLMDLVARIEPLFEKHIQTRIYNLKSSVCLRNLNPSDIEKMVSIKGMIIRCSSVIPELKEAVFRCLVCGFYSEPVMVDRGRVTEPHICQKEQCKATNSMTLVHNRCRFADKQIIKLQETPDEIPEGGTPHTVSVLMHDKLVDAGKPGDRVEITGIYRAMSIRVGPTQRTVKSIFKKTDKSRLHVEDSMETDNPNANKTTEDDFLRDKVEKLKELSKLPDIYDRLTRSLAPNIWELDDVKRGLLCQLFGGNALRLPSGASFRGDINILLVGDPGTSKSQLLQYMHKLSPRGIYTSGRGSSAVGLTAYVTKDPETGETVLESGALVLSDKGVCCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPTESRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQTDRRLAKHIVSLHFENPNIEELEVLDLPTLVAYISYARKHIQPQLSDEAAEELTRGYVEMRKRGNSPGSRKKVITATARQIESLIRLSEALARMRFSEMVEVQDVVEAFRLLEVAMQQSATDHATGTIDMDLIMTGISASERQRRDNLVAATRNLVMEKMQLGGPSVRMIELLEEIRKQSSMEVHLHDLRGALGTLMTEGAVVIHGDSVKRV >ORUFI01G21200.1 pep chromosome:OR_W1943:1:18482147:18483235:1 gene:ORUFI01G21200 transcript:ORUFI01G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGRLGTATARVVRRMMTRLKDGGAPWDYEEAYPVLYLGGGEGGAGDRQWEPGAVGLALSLRASALAGGGGYGLATAAAVVGFAGGRHGGRGVCFADAGQWLSRRRQQRSGSSRLVAPGRGAASVGRGGCEGSAGRGRASGRGGAEASSALHSLAARFAGRGGMGGLGATTFGSHPMCSMKCLQGKRWERGERDGVLGHWQVGSRVLGPTDQRSTGIGEMRNISSQGFFFREG >ORUFI01G21210.1 pep chromosome:OR_W1943:1:18509818:18511638:-1 gene:ORUFI01G21210 transcript:ORUFI01G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRESAAACSPKPKLRRGLWSPEEDEKLFNHISRYGVGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGSFSQQEEELIISLHKILGNRWSQIAAQLPGRTDNEIKNFWNSCLKKKLRQRGIDPATHKPLNDGGAGAGEEHHDDGDKQQLMDDVDDCFAIGGGGSSDSLAPPHSPAVSFDPLSVTNVPTTMMQSSSSPYGAAGGEHSSFRSDTLCDYGGSGGGVDVVSDAGTYSAYTGDSSSNSNSTAWTCGSVVVGGAGELPPPPLLPHMDMFGRVDAEPPPYPPFDVQARFSPWHHHHHHHHEPTLPTPPQRLDGGGGAAASFPIRSLSRDMPESCFDLGRGALDDEFGVDFL >ORUFI01G21220.1 pep chromosome:OR_W1943:1:18526290:18531418:-1 gene:ORUFI01G21220 transcript:ORUFI01G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPIVLQLLIILGNGMAMVAAQPWHTCGASNYTADSMYRLNLDGMSASLFPEGAGGSGGGIFVRGSSGADPDKVYAVALCRGDVDDAPACSSCFDAAFRRAMQLCPRSKDAAIYYDECLLRFSDTDILNMDSVRRLNTSEIVHGALVLMNLTSEPMLPGRSTATANFTGFLKTMLTDVVGQVLSTRRHYAAIRMEMDDGSSSSTTAVPREFYCLAQCAPDLIEDICYSCLTNFSDRAVRYEEVLHWRAHVELRVVGVECYCSKSSTAASLAATTNAKTQDKVLAIALVAPLLALFICVIVSFILTRHIRGEFTICLRGNFSEENKLGQGGFGPVYKGRFPDGVEIAVKRLASHSGQGLTEFKNEIQLIAKLQHTNLVRLLGCCYQRQEKILVYEYLPNKSLDFFIFDETRRALVDWNKRLAIINGIAQGLLYLHKHSRLRIIHRDLKAGNILLDHEMNPKISDFGLAKIFSTNDTEGNTKRIVGTYGYMAPEYASEGLFSIKSDVFSFGVLILETVSGKRTSSFHRHGDFINLLGHSWQMWKDETWLQLVDTSLVIESHTPEMARCINIALLCVQENAADRPTMSEVVAMLTSESMTLPEPKYPAFYHMRVTKEEPSTVIMASSANGITLSIVDGR >ORUFI01G21220.2 pep chromosome:OR_W1943:1:18526290:18531418:-1 gene:ORUFI01G21220 transcript:ORUFI01G21220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPIVLQLLIILGNGMAMVAAQPWHTCGASNYTADSMYRLNLDGMSASLFPEGAGGSGGGIFVRGSSGADPDKVYAVALCRGDVDDAPACSSCFDAAFRRAMQLCPRSKDAAIYYDECLLRFSDTDILNMDSVRRLNTSEIVHGALVLMNLTSEPMLPGRSTATANFTGFLKTMLTDVVGQVLSTRRHYAAIRMEMDDGSSSSTTAVPREFYCLAQSTIKNEQKFSQVATPILDQVLKFYRLKRLEPLPRYDTKKFYTGAPTWSSGSSASNAIVPSPAPQPASLPPPTPKHKKPISKVLAIALVAPLLALFICVIVSFILTRHIRGEFTICLRGNFSEENKLGQGGFGPVYKGRFPDGVEIAVKRLASHSGQGLTEFKNEIQLIAKLQHTNLVRLLGCCYQRQEKILVYEYLPNKSLDFFIFDETRRALVDWNKRLAIINGIAQGLLYLHKHSRLRIIHRDLKAGNILLDHEMNPKISDFGLAKIFSTNDTEGNTKRIVGTYGYMAPEYASEGLFSIKSDVFSFGVLILETVSGKRTSSFHRHGDFINLLGHSWQMWKDETWLQLVDTSLVIESHTPEMARCINIALLCVQENAADRPTMSEVVAMLTSESMTLPEPKYPAFYHMRVTKEEPSTVIMASSANGITLSIVDGR >ORUFI01G21230.1 pep chromosome:OR_W1943:1:18532929:18533150:1 gene:ORUFI01G21230 transcript:ORUFI01G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRGDQPRFGNKRRPDERDQERWDELAARREEEDLRDKLRREFERRKEENPTKADEDAKRQDQQCLAAESW >ORUFI01G21240.1 pep chromosome:OR_W1943:1:18533172:18534586:1 gene:ORUFI01G21240 transcript:ORUFI01G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKWVNDPRGDNQEEEEFMESEDEDGKVDIPDYFPKLSEDVSSDKEMQTYPQQKGIEEKTPILSKRDLLTEETVINRCGRDRAPSDNKCGAPKRSCSVSREDNTPLETGMIDNVRSTPGGDKGPIQTNRSGEDQVSKDQENSNSGNLSL >ORUFI01G21250.1 pep chromosome:OR_W1943:1:18549702:18553897:1 gene:ORUFI01G21250 transcript:ORUFI01G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVGEKRIWVFRLATQGGGLDDLLHARLLVSGPAPPDHDLRLRLLLLRSYAARGDLATAARLLDEAPPPSPLLHNALIRAHARRLDLRAALALFARMRRSRSSAATATAPDAHTFACVLRACADCSRPDVARVVNGIVVCAGMSSHPVVGSALVSAYAKLGPVGDARRVFDGLPEPDLVLWNCMMSGYGYRGMWNDGLDHFSAMRKAGERPDGYSMVSLVSSFWNREALAFGQAIHGMCIKGGYDSGHHVRSALVSMYFRCGCMDSGHSLFGNLLDADLVTWSSLITGLLHICKYEESFGLFRQMCNSGRRPDSILVASLLSACASMVNISYSKEIHCYAFRVGAHTDIRVSSSLMDAYAKCGFADLAYGVFFQMPDKNLVMYNTVISNLGSHGFAMKAIEVLDEMVSDKLKPDSVTFSALLAACCHAGLLEEGWKLFRRMRDEFNMAVKTEHYVYIVRLLATFGQLKEAYGLIQTMPAPADSGVWGALLWGCCVHRDSSLGRIVADKLFELYPDKASYRVMLSNLYASQEMWWDAEEVCLTYMTFICFLLQSPLDDLSTLICYLELRINQAISVSLNLSVLNYVFYLMLIFVFLKQM >ORUFI01G21260.1 pep chromosome:OR_W1943:1:18555164:18559314:1 gene:ORUFI01G21260 transcript:ORUFI01G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHGFLFFLLFFVQHLHTIISLLDSPGGSLASLPEWQPMPCKSVSVNPLCSSYLYVTPEGRNLSEVASDFSGNASLFQRITRLSGSEDLLVNVPCVCEAINATMTGLFHDTNYRVKDGDMGDIINSKTFSGLALNVGDGQILHKEEKLIIHLPCGCSSTAPEGVLSYAVQDGDTLGNIASLFRSSWKDILDLNPRVANPDFIKPGWILFIPMGVAGPSNKKIDPFQTERPVIFSLRAIEDATSNFDEKRKIGEGGYGSVYLGFIGTHEIAVKKMKASKSKEFFAELKVLCKIHHINVVELIGYAAGDDHLYLVYEYVQNGSLSEHLHDPLLKGHQPLSWTARTQIAMDSARGIEYIHDHTKTCYVHRDIKTSNILLDNGLRAKVADFGLVKLVQRSDEDECLATRLVGTPGYLPPESVLELHMTTKSDVYAFGVVLAELITGLRALVRDNKEANKTKSLISIMRKAFKPEDLESSLETIVDPYLKDNYPIEEVCKLANISMWCLSEDPLHRPEMREVMPILAQIHMASIEWEASLGGDGEVFSGVSNGR >ORUFI01G21270.1 pep chromosome:OR_W1943:1:18558572:18581052:-1 gene:ORUFI01G21270 transcript:ORUFI01G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNLDVFEACHERLQHCARATRCTILTKVAFSQGTSTYVLVFYRNIIAAVVLLPVALAVERKTAPPLSLKVSLKLFVHALCGMESFKLKMCHGIVKISGIVFCAVGVSVLALYQGPDLKSFIKHHLFSHTNRVGTHSSRNWILGIFLQFLATLMWALWAVLQGPLLEEYPSKLLNTTLQIVFSAVQSFFMALVLERDFSRWKLGFDIGLVAIIYCHNKRSADGCGPVYCSLGEEDRRGGYQQSRRHVIAILFLVPVAFVLERKTAPPLTFKVISGAINIYSLGLSYASATSSSAIFNLLPAVAFILALLMKMESLNLKRINGIAKVSGVVLCIVGVIILAFYQGPELKSFNHHHLFRTSTVYAAATSHPATTWILGIFLTTLSTTCWALWTVLQGPMLEVYPSKLLNTTIQIVFATIQCFFIALAIERDFSRWKLHLDMGLIAVIYSGVLVSGVAYYMQVWVIDKSGPVFLAMTMPITLLVTIMLSSFVLGEAVTLGSIISGVVMVGGLYCVLWAKKSEQAAISKQQMGLLHAIKAVSPDCAQREVLVVAFFIRSIYGGVQIVTKIAFNRGMSTTVFVFYRHAIAILFLVPVAFVVERKTAPPLSYKILLKLFVHALYGIAGSVNIYGLGLSYSSATSSSAISNLLPVLAFFLAVLMGMESLNLKRIHGIAKVFGVLFSIVGVIILAFYQGPELKSLNLQHLSSRNVVPTGSTAYTTKAWTSGIFLTVLSTTSWALWTVLQGLMLEVYPSKLLNTTIQMVFATIQCFFIALAVERDFSRWKLGLDAGLIAVIYSGALVSGLAYYMQVWVIDKSGPVFLAMTMPITLIVTIVLSSFVLGEAVTLGSIISGVVMVGGLYCVLWAKKAEQAIASKEEATLPVQATQV >ORUFI01G21270.2 pep chromosome:OR_W1943:1:18558572:18581052:-1 gene:ORUFI01G21270 transcript:ORUFI01G21270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNLDVFEACHERLQHCARATRCTILTKVAFSQGTSTYVLVFYRNIIAAVVLLPVALAVERKTAPPLSLKVSLKLFVHALCGMESFKLKMCHGIVKISGIVFCAVGVSVLALYQGPDLKSFIKHHLFSHTNRVGTHSSRNWILGIFLQFLATLMWALWAVLQGPLLEEYPSKLLNTTLQIVFSAVQSFFMALVLERDFSRWKLGFDIGLVAIIYCGIVVSAISFYMQIWIIDKRGPVFLCMTVPLTLVITIILELLIGEAVTLGSIISGALMVVGLYTVLLGKRIEEEGISSQGGMQIVTKFAFNEGMSTSVFVFYRHVIAILFLVPVAFVLERKTAPPLTFKVISGAINIYSLGLSYASATSSSAIFNLLPAVAFILALLMKMESLNLKRINGIAKVSGVVLCIVGVIILAFYQGPELKSFNHHHLFRTSTVYAAATSHPATTWILGIFLTTLSTTCWALWTVLQGPMLEVYPSKLLNTTIQIVFATIQCFFIALAIERDFSRWKLHLDMGLIAVIYSGVLVSGVAYYMQVWVIDKSGPVFLAMTMPITLLVTIMLSSFVLGEAVTLGSIISGVVMVGGLYCVLWAKKSEQAAISKQQMGLLHAIKAVSPDCAQRIAGSVNIYGLGLSYSSATSSSAISNLLPVLAFFLAVLMGMESLNLKRIHGIAKVFGVLFSIVGVIILAFYQGPELKSLNLQHLSSRNVVPTGSTAYTTKAWTSGIFLTVLSTTSWALWTVLQGLMLEVYPSKLLNTTIQMVFATIQCFFIALAVERDFSRWKLGLDAGLIAVIYSGALVSGLAYYMQVWVIDKSGPVFLAMTMPITLIVTIVLSSFVLGEAVTLGSIISGVVMVGGLYCVLWAKKAEQAIASKEEATLPVQATQV >ORUFI01G21270.3 pep chromosome:OR_W1943:1:18558572:18581052:-1 gene:ORUFI01G21270 transcript:ORUFI01G21270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNLDVFEACHERLQHCARATRCTILTKVAFSQGTSTYVLVFYRNIIAAVVLLPVALAVERKTAPPLSLKVSLKLFVHALCGMESFKLKMCHGIVKISGIVFCAVGVSVLALYQGPDLKSFIKHHLFSHTNRVGTHSSRNWILGIFLQFLATLMWALWAVLQGPLLEEYPSKLLNTTLQIVFSAVQSFFMALVLERDFSRWKLGFDIGLVAIIYCHNKRSADGCGPVYCSLGEEDRRGGYQQSRRHVIAILFLVPVAFVLERKTAPPLTFKVISGAINIYSLGLSYASATSSSAIFNLLPAVAFILALLMKMESLNLKRINGIAKVSGVVLCIVGVIILAFYQGPELKSFNHHHLFRTSTVYAAATSHPATTWILGIFLTTLSTTCWALWTVLQGPMLEVYPSKLLNTTIQIVFATIQCFFIALAIERDFSRWKLHLDMGLIAVIYSGVLVSGVAYYMQVWVIDKSGPVFLAMTMPITLLVTIMLSSFVLGEAVTLGSIISGVVMVGGLYCVLWAKKSEQAAISKQQMGLLHAIKAVSPDCAQRIAGSVNIYGLGLSYSSATSSSAISNLLPVLAFFLAVLMGMESLNLKRIHGIAKVFGVLFSIVGVIILAFYQGPELKSLNLQHLSSRNVVPTGSTAYTTKAWTSGIFLTVLSTTSWALWTVLQGLMLEVYPSKLLNTTIQMVFATIQCFFIALAVERDFSRWKLGLDAGLIAVIYSGALVSGLAYYMQVWVIDKSGPVFLAMTMPITLIVTIVLSSFVLGEAVTLGSIISGVVMVGGLYCVLWAKKAEQAIASKEEATLPVQATQV >ORUFI01G21270.4 pep chromosome:OR_W1943:1:18558572:18581052:-1 gene:ORUFI01G21270 transcript:ORUFI01G21270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNLDVFEACHERLQHCARATRCTILTKVAFSQGTSTYVLVFYRNIIAAVVLLPVALAVERKTAPPLSLKVSLKLFVHALCGMESFKLKMCHGIVKISGIVFCAVGVSVLALYQGPDLKSFIKHHLFSHTNRVGTHSSRNWILGIFLQFLATLMWALWAVLQGPLLEEYPSKLLNTTLQIVFSAVQSFFMALVLERDFSRWKLGFDIGLVAIIYCGIVVSAISFYMQIWIIDKRGPVFLCMTVPLTLVITIILELLIGEAVTLGSIISGVVMVGGLYCVLWAKKSEQAAISKQQMGLLHAIKAVSPDCAQREVLVVAFFIRSIYGGVQIVTKIAFNRGMSTTVFVFYRHAIAILFLVPVAFVVERKTAPPLSYKILLKLFVHALYGIAGSVNIYGLGLSYSSATSSSAISNLLPVLAFFLAVLMGMESLNLKRIHGIAKVFGVLFSIVGVIILAFYQGPELKSLNLQHLSSRNVVPTGSTAYTTKAWTSGIFLTVLSTTSWALWTVLQGLMLEVYPSKLLNTTIQMVFATIQCFFIALAVERDFSRWKLGLDAGLIAVIYSGALVSGLAYYMQVWVIDKSGPVFLAMTMPITLIVTIVLSSFVLGEAVTLGSIISGVVMVGGLYCVLWAKKAEQAIASKEEATLPVQATQV >ORUFI01G21270.5 pep chromosome:OR_W1943:1:18558630:18581052:-1 gene:ORUFI01G21270 transcript:ORUFI01G21270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNLDVFEACHERLQHCARATRCTILTKVAFSQGTSTYVLVFYRNIIAAVVLLPVALAVERKTAPPLSLKVSLKLFVHALCGMESFKLKMCHGIVKISGIVFCAVGVSVLALYQGPDLKSFIKHHLFSHTNRVGTHSSRNWILGIFLQFLATLMWALWAVLQGPLLEEYPSKLLNTTLQIVFSAVQSFFMALVLERDFSRWKLGFDIGLVAIIYCHNKWSGDGWRSLLRSLGQES >ORUFI01G21280.1 pep chromosome:OR_W1943:1:18563030:18563209:1 gene:ORUFI01G21280 transcript:ORUFI01G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRREAGTAAGWRAYAKREKGGGRWPALLAVTCGGRGETCKCWAGCLEYPELPESRSP >ORUFI01G21290.1 pep chromosome:OR_W1943:1:18563306:18563602:1 gene:ORUFI01G21290 transcript:ORUFI01G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSERVKGMVKGFDATNGFSFITPDDGSEDLFIHQSSLKFDGYRSLNDDDVIELSVGSSDDGRTKAVDVTAPGSDAHTGGSRPSCGHIPTAGRPLVVS >ORUFI01G21300.1 pep chromosome:OR_W1943:1:18583785:18584813:-1 gene:ORUFI01G21300 transcript:ORUFI01G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLQIPARSGGLRRLLLLGGADGIRRPYSTGDRRRRVIREARQEEEDEAFLRTLNFGADPENNPPPPPPPPPRRPGGAPDDSSPHAAFPTDILRRAAGKQPLPPPQQPIGESLMEKLKLGDAAATSAAGNSGERPQPEREPTKPPPPEHEAAQPEDVDEIFRKMKETGLIPNAVAMLDGLCKSGLVQEAMKLFGLMREKGSIPEVVVYTAVVEAFCKALKLDDAVRIFKKMQGNGVIPNAFSYWLLIQGLCKGGRLDDAVAFCVEMFEAGHSPNAMTFVGLVDEVCKAKGVEEAEKLVRSFQDRNFAIDEKSIREHLDKKGPFSPVIWEVIFGKKKSGRPF >ORUFI01G21310.1 pep chromosome:OR_W1943:1:18584987:18586777:1 gene:ORUFI01G21310 transcript:ORUFI01G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSRIPPSTLTSLLPRALNPRVAVVDLVAGHLTASDAPDEARPIEDELSRLLPYLGSDELTAVVLRAGHSHPLPTLRFLLALPPPAQPSPTHLAFLAGSLASSRLFSQALDALSHLLRLHPGHDALPTLLRSSATAPHPSLPGLLVKALLRHARLRDALRAALRATAAGAPPDAAAFNALLAALSRAGRFDDLWAARAVMARAGVRPNAHTFNILVAALCRGEDAERAQGFLEELEEQGFEPDGVTYNTLLSGYCRRGRLQDALHLFDVMPYRRVQPDLVSHTVVMDALCKAGRVRDARRMFDRMIQSGLSPDAVAYSVLIAGYCNEGRLREARFLLMEMVGCGFSSEGFALKVVVESHVKFSKLLTCLNMVAPIRKHGVVIPSQSYSCLIGALCEDMRPNAARGLLHWMIEDGHSPSLAMYNMIIECFCQCDIVEEALDVKVEMISREVRLDFNTYRALITCFCRLGRSLDGESIMAEMIESGFQPNEAICSALVCGFCKEGALNRAELILRAFVLDFHVHCNESYNALMRAYCETTSSKESLELQNRMLELGFVPNSETCRSLILGLSKSIDLVSSDDGFSCISSKDNGGNAE >ORUFI01G21320.1 pep chromosome:OR_W1943:1:18588718:18589812:-1 gene:ORUFI01G21320 transcript:ORUFI01G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASCSSPFPPPPSPAESNAPSASAMHHPPHPAAGGGGPVMPYADADRTLRALAGAAEGFGRRAIGGLHGPLYRVTSLDDDGHGTLRQACRAHGPLWIVFDVSGDIHLRTYLRVTSHKTIDGRGQRVRLLGKGLQLKECRHVIVCNLQIEGGRGHDVDAIQIKPSSADIWIDRCSLADCDDGLVDITRGSTDVTVSRCRFSRHDKTMLVGADPSHTGDRGIRVTVHHCFFDGTRQRHPRVRFGRAHLYNNYTRGWGIYAVAAGVEAQVASQCNVYEAGAERKAVFRYVPERAADREEAEAGWVRSEGDAFLNGARPCLVDGGDAAVFRPEEYYERWTMEAASPALKEVVQLCAGWQPVPRPPGE >ORUFI01G21330.1 pep chromosome:OR_W1943:1:18593010:18597526:1 gene:ORUFI01G21330 transcript:ORUFI01G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSCGGGSRVKVVGQVERVDGATLSYAEFVDRFMRPNLPVVLTGLTSSWRSCHDWTLAAAADRRAPDLDFLARSFPSPLVQVADCSSREFSDQKRLEMSMREFVDHWAASSSNGDSDGSLLYLKDWHFVKEYPGYVAYTTPTFFADDWLNIVSPSHGRLRRRRRPRHHPSPPPSCSAVGKAVRSQGPRRRGFAHLALGRLGELFGAVAVVLGRLGELFGAVAVVTV >ORUFI01G21340.1 pep chromosome:OR_W1943:1:18599861:18602452:1 gene:ORUFI01G21340 transcript:ORUFI01G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGSLIGKLGAALAKEAATYGASLLCQEVSALKRLFAQIRDVKDELESMEAFLHGAERFKDIDETTGNYDAVDEFTYKLEDKHGGFTAKMRKRIKHVKAWRRLALRLQDIKQRLENADRRKVRYDLSVIGSSGHSKSPEQSFQFAREEDLVGIEINKELLMQWLAGDSEQGCKIATVWGMGGVGKTTLVSHVYKTVKLDFDVSGFVTVTNSYQFDDLLKKISTEFEIPIDADNIAVGSLVESIHHHLQGKRYILVLDDVWQPDNAFPTESTGRFIFTTRMQEVALLATKKCTIELAPLDAHCSWQLFCKEAFWNADNKTCPEELQDIALMFVDKCAGLPIAIACIGRLLSCKHPIYSEWEHVYKDLELQLTNNVILDVDIVLKVSLEDLQRNLKNCFLHCTIFPESYAFNRKRLIRHWIAAGYIQEVGSKTVEEVAEGYLNELVNRSLLQVVQRNLSGRVRRCRMHDIIRLLALRKSKEEFFCQVHKGSEACSIENTRRLSIQNASIQHLSGSSAPCLRSLHVFNTNLRIDSLEAFLKSFKFLSTLDLQGVSIKRLPKIVFDLFNLRFLGLRKTYIEYLPKELSRLQNLEVLDAYDSKLLILPVEVATLRKLKYLYVVRVPEGSSDRVLAFDGLQVPMGICNLIDLLALQLIEASTEVLHHIGCLTKLRTFAIGKVRTEHCADLCDAIMRMTHLVHITINSADEEEVLQLETLRLPSTISKIELGGMLSKESISRLISTSSNLVNLTELNLLFSKFNEDSFACLLNLHNLVELYLSKAYDGRELIFHATSFPKLKLLSVWDAPNLRKIAIQQGALQSLVRLLLADCPELRDVPDGIEHLRTLEYLTI >ORUFI01G21350.1 pep chromosome:OR_W1943:1:18609155:18612413:-1 gene:ORUFI01G21350 transcript:ORUFI01G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAASSLLVAVVVLVLVAAAEAAAANETSSSATAALRAGRELRRYRRVQALLRRVNKPAVRTIESPDGDLIDCVAAHLQPAFDHPRLRGQRPLRGPPERPRGWRPRPGPNDTAAGDAGVQLWASSAGGASCPEGSVPIRRTTEADVLRASSVRRFGRAPTARVRRDSVSGGHEHAVGYVAGEEYYGAKASINVWAPKVSTPEEFSLSQIWVIAGSFGNDLNTIEAGWQVSPQLYGDNSPRFFTYWTTDAYQTTGCYNLLCSGFVQTNSRIAMGAAISPTSGYKGGQFDISLLVWKDPNHGNWWLEFGNGELVGYWPSFLFSHLASHASMVQFGGEVVNTRADGGAHTATQMGSGHFAGEGFGGASYFRNLEVVDWDNSLVPLAAGFHVTADHPDCYDIQGGVNAVWGNYFYYGGPGKNVKCT >ORUFI01G21360.1 pep chromosome:OR_W1943:1:18622471:18637959:-1 gene:ORUFI01G21360 transcript:ORUFI01G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNWIHLSASSCYCKYITAENPCPLARLRPRRGGGTFDDVSILAIDSVVFEVLATNGATHLGGEARTSTTTTSSVSWPKPWLWKMDGVDKDKGNGKVHFRDSSPQEAFRTYKRRRQPRPEPQQQPQPQPQSEPEPQQQPQPQPQPQPQPEAEAEAEAEAKAADVTETFWKSRDIGWKHGIMIDENRQHWKCMYCHLTRYGGGVSRLKRHLAGDLDVKMCPKVPADVSEKIREHLRKKRERRKKRAAQNRDNCVTAKSTSDDIKSGKDPLPVDSEVLTGVDTVLEEVTNQTNHDNQDLTYPKATMLLRGIRDIGWEHAVDLDGNKRRWKCKWCSLCRSGGVTTLKAHLTDSSCPNIPKEISKKVLNFIEEKRAARHLFNSAAKSPFNVKFDEDAVNLSEIQVEGTPPLTDDRQPLGNSLHIQTSECTINEFEKVAAGSNQQGAEHSNQLLNHGEQLMKSSDQPEEHCTLEHGRCQVLDNNKQQTMDNKTDNPEHKEVLKHPKKTRFNIRKHIVIVDESARHWRCRYCGLDGYGKTSRLHFHLAAVFRHPKCPSVPKEVFAKARHHIHLKRRLNVKKAGQQARSRPHILGQSSQQQQNNNPVLSNYPTRLRDNAWEHSLIHDKEKGHWKCKWCSLEGYHGITRLKWHLVGWQNRPQCLNVPEDVAKTIRDKMISREKQKEGRLNLDVIDSCNMPCSSESLQFDQENFAEGKGSSEDFNQAERQSNTLNTVCNTTHPPQNSNNYQGLQENGLYSSKNKSEKQTERYDCWSHWRYVLDGLMHLPGALEGPGIQSCIRDVLLYGSAEFGTVGDKVEMDSNRKVSSDGNIAKCQSVLVDVLKSENFALLCNVLGRTVHQDEQRTKYFDFTMIDSRMKNGDYGRAPLLFKHDLKMVERERGSDDSEENLKGAAATNLEPMNMVKSNALVLSTSQGFNQLDQPDPMDVCDEQNGTNCNECGKVAKIDSILTCKRCMLAFHVSCIEPPVPSTSTGSWCCKTCSTICNESAEVGMALVHYEPNRLHGHCVACKDLEFCRPPRCEETASERAPADNSRAIVIPSAEPVEDVELSDIDVRGLCKMCGNPEEKDKRFLVCGHTHCLYKYYHISCLKATQIASDKQLDKPCWYCPSCLCRVCHSDRDDDLTILCDGCDEAYHLYCITPRRTSIPKGKWYCSSCAIERAKEGMARHEKRMLKLHRKDDPGLQGMRYEMVDMILAAAEMLSDDEQQGT >ORUFI01G21370.1 pep chromosome:OR_W1943:1:18638030:18639092:1 gene:ORUFI01G21370 transcript:ORUFI01G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDLGSLMMRSTVSPPGVDTGVLPVCEHLGVDLCEGDDSPRRLGTFSSSPTPHAAASTSSPPLSFPNADLVLRLDPCPDYEVDFDVSEDHHSSIDLHVSSASLLHSHYFGALLSDRWSPAPTSIARREERRRQRG >ORUFI01G21380.1 pep chromosome:OR_W1943:1:18640959:18643089:-1 gene:ORUFI01G21380 transcript:ORUFI01G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHNILREISSQITIFFNPRYFADLGNPRYFSLDDKHAPEWNSLQHQVVSAFLAAVYSENMLTSGKMMNESIMMP >ORUFI01G21390.1 pep chromosome:OR_W1943:1:18645240:18647438:-1 gene:ORUFI01G21390 transcript:ORUFI01G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTKLVEEEDGCYYYDYGGYGDGVVDDEGRATELRPMALSRPHTQAFHLAWMSLFACFFAAFAAPPILPAMRPALVLAPSDASAAAVASLSATLVGRLAMGPACDLLGPRRASGVASLVCALALALAAVFASSPAGFVALRFVAGLSLANFVANQHWMSRIFAPSAVGLANAVAAGWANVGSAAAQVVMPVAYDAVVLRLGVPVTVAWRVTYLLPCAMLVTTGLAVLAFPYDLPGGGGGRCPGGGGGRRRSFWAVVRGGVGDYRAWLLGLTYGHCYGVELIMENVAADFFRRRFRLPMEAAGAAAACFGAMNAVARPAGGVASDEVARRFGMRGRLWALWAVQSAGAALCVLVGRMGAAEAPSLAATVAVMVACAAFVQAASGLTFGIVPFVCKRSLGVVSGMTASGGAVGAIVTNRLFFSGSRYTVEEAISCTGITSLLCTLPVALVHFRRQGGMFCGPSATIDGDGDVDDDDDYMLLK >ORUFI01G21400.1 pep chromosome:OR_W1943:1:18673700:18697889:1 gene:ORUFI01G21400 transcript:ORUFI01G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCAEPCHGWLAGHRRRISARCLMCNRTYSSVMGGRHARRCTEGGLWVAVRDVEAGAANSGREPELYSEFF >ORUFI01G21410.1 pep chromosome:OR_W1943:1:18713917:18714320:-1 gene:ORUFI01G21410 transcript:ORUFI01G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPSSSAPPRSGAGVATMAAGRRGRGDGDDAVTARTRRWGATVSALHGSSDGRRGDQIRPQIWSPRLAGVTGDGLGYRWRRSADPAGGWLAAAMVADVAATKLATTAARAATPALVKNLAATREARRPR >ORUFI01G21420.1 pep chromosome:OR_W1943:1:18716738:18721140:-1 gene:ORUFI01G21420 transcript:ORUFI01G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLLLLALLCLCGGAAGDPRTAVARQECAPGGAVSGPALADNFVPAMDDLNSNVSANGFGTSAVGTTAGLNPNAVFGLGQCYRDLSPVDCKLCFAEVRSLLPKCYPSAGGRLYLDGCFGRYANYSFFSETLGPDDAVTCGVVGGDGAGGGNYTGANPRGFADAVRAALANVTGVAASAAVPGGGDGYAVGSASAGGATAFALAQCWGSLNATACGQCLRAAAAAAARCAPAAAEGRALYTGCYLRYSTRLFWNLNSTAGSGSSRHNDVVWILLGSSLGAFVIVFIVVFLAWKKKIFRNKKRSKSFIDIYRDGVPVRIAQSSLNFKYEELRKATNYFDPANKLGQGSYGAVFKAILLDGKQVAVKRLFLNTREWVDQFFNEVELISQVRHKNLVKLLGCSVNGPESLLVYEYYFNKSLDLFLFDASRSRNLTWNLRVDIIQGIAEGLSYLHEESETRIIHRDIKASNILLDDKFKPKITDFGLARAFGEDRTHLTTGVAGTLGYMAPEYLAHGHLTEKADVYSYGILVLELVTGQRCSGSIGSHGGHFLLTKVWNHYKNKAVEMIADRSIYEDTIRDEVMHVVQIGLSCTQANAGDRPTMTKVVELLRSHRHDVEIILSDPPFLDVEAFEDIKQGEQSRLLSARSAHSVSGSSRSYLSGR >ORUFI01G21430.1 pep chromosome:OR_W1943:1:18729689:18730441:1 gene:ORUFI01G21430 transcript:ORUFI01G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVEAEVAQALVPAAEAESLSLSLSRRRTAQRRGGMDSGAQGWARLAGRVERCRHGAETEGARGRAVDGSVARGGGSGEHLVVSGSSFPTVAARTELGHARPTWPLLRPPSSSRWTWPLQAASGGGGKETEEGGGCGGGGGHSCSPPTPADMFPLLVSCRRPPLRVTSTAAVLALLAIATTFLLTSHARRSSAHPRAQLPAFAFAASAFIIGCTSDLLLHHQRPSDLRLRCRPPAPTLPPTSPPLRAAL >ORUFI01G21440.1 pep chromosome:OR_W1943:1:18731958:18735857:-1 gene:ORUFI01G21440 transcript:ORUFI01G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFPRLASPSHHESSSFMSTLVTRSLRGGGGATKTRRRGRPGGDGDGSLLRPPPSLRLHVHQLELEKTNLLLRGTLTSMDMRARDLTRKRREEDDDDMMLFIFPALHLLSSSGARNKEEKEPKKQKKSVGVEGLMERYLDMRTKQAEDEAAQLAREREAHLAKEKENNDFSIKKCISILNSMVVVTKQEKAKAYTVFKNAENREIFGD >ORUFI01G21450.1 pep chromosome:OR_W1943:1:18735076:18735627:1 gene:ORUFI01G21450 transcript:ORUFI01G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFQEKHNSVANFLEEMNSVLMSLVKKQRINDPSMMNWSLQLKDAIDEVEFTRTARIVKESSLLFFSEGVTAVAPWARAMGGGGGSGRVDAPTLCCDQERCPTGWRRRQEREKRRKNPNPRLACSPERGKGSVLTGEKVAAGGESRRRRLRVAPAVASSLRRRLLWRVFWAGAQGKRRDGPG >ORUFI01G21460.1 pep chromosome:OR_W1943:1:18739440:18741701:1 gene:ORUFI01G21460 transcript:ORUFI01G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGAILCLVASTAPAPALSAGRTTFSVSSFGAAGNGIADDSEIDGDVLAPPGMGYWPKARRPLQWLNFKWLDGFTIQGTGTVDGQSTLLRSVSPANVSQHWYISGVKPTLIRFYSSFNVSVRNIRITNSPQCHLKFDSSGGIKVKNITISSPGDSLNTDGIHLQNTRDVDIRSSSIGCGDDCISIQTGCSNVHMKNINCNPGHGISLGGLGKDNSLACVSDVFAEHINVENALYGVRIKTWQGGKGTVRNVTFSNVRVANVATPIAIDQFYCDAGGGGARCGNRSDAVGITGVAYRRVAGTYTYQPVRLACSDARPCTGVSMADVRLSPASAAGAGGLRQPLCWKSYGEAMGMIEPTGIACLQRSNGFVMPLTKPFNYTC >ORUFI01G21470.1 pep chromosome:OR_W1943:1:18742807:18754744:-1 gene:ORUFI01G21470 transcript:ORUFI01G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTAAAADVIDLSSDDDDEVPVPSTSAAAAAAARRVAPSTSPRDVTPYALVDVKPALLYPLQPPGVVVGGSGALVPVKEELPVLTPVPLLAAGYSPSTPSTKVALPAPRLCRQFWKSGDYVVAQRNPDADAPGGRNRLRINPRFLHSNATSHKWAFGAIAELLDNAIDEVNTGATFVRVNEFTNPRDGSSSLLIQDGNGFKTSTMRLGADVIVFTQNQNNWVPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDISTASYTQMLRHDQKLFSSNLAILLKWSPFASEAELLKQFDDIGEHGTKIIVFNLWFNDDGDMELDFNSDKKDILITGAHRKAYTSVLYLHIPDNFRIVLRGHDVESHNVINDLMYPECVLYKPQIVGLAELSAITTIGFVKGAPEIDVQGFNVYHKNRLIAPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSVLYQRLESRLKEMTYEYWDLHCHRIGYDNKKLPKSSRALNRANQMNAGSSPPIVPRQLLAADIPTSSCAVPTFMAPALRQKQMGLKRNIDALGSKTDSADQDGSHLDVSQRRRFNEYRTLTLENDKLRGECLQYEESAKQLALKEQKLRSQIALERKKYEELLQELRSLDVKTEI >ORUFI01G21480.1 pep chromosome:OR_W1943:1:18769548:18775386:1 gene:ORUFI01G21480 transcript:ORUFI01G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGTAPAAPRYAPDDPSLPKPWRGLVDGTTGYLYYWNPETNITQYEKPLPPEDQLPPPPPLPPPPPRSGRGDRDRDRRDRSRSRTPPRRDHRDRDRDRDRRHDDHRSAPSHHHPLPAAAAVAADDPSTEAYRHRHEITVVGDNVPAPITSFETGGFPPEILKEIQRAGFSSPTPIQAQSWPIALQCQDVVAIAKTGSGKTLGYLLPGFMHIKRLQNNPRSGPTVLVLAPTRELATQILEEAVKFGRSSRISSTCLYGGAPKGPQLRDLDRGVDVVVATPGRLNDILEMRRISLKQVSYLVLDEADRMLDMGFEPQIRKIVKEIPPRRQTLMYTATWPKEVRRIAEDLLVHPVQVTIGSVDELVANSAITQNVELITPSEKLRRLEQILRSQDSGSKVLIFCTTKRMCDQLARTLTRQFGASAIHGDKSQSEREKVLSHFRSGRSPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVAYTFFCDQDSKYAADLIKILEGANQRVPRDLADMASRGGRGGRKRNRWATRSDRGGSHSELDSRYGGRDGLSGSSGRLDSSRSSRRHDYGDDGRSRRSGRGRSRSRSRSDSDRYSRSPKRSRRHSRSRTRSRSRSRSRSYTRNRRASRSRSRSPGASRRHERSATGSGSALPDSGHGERKRTPEADPSRNHTNHSDPKDDRHPEDGKVGKVDLDRSPTPQDKSGPYSPAYNGKTSRSVSPGNQVEGNNKAAEVSKNPDPSSPPHHGKTREDEEEGMIDEDGEIADDPRANATVQNGGDN >ORUFI01G21490.1 pep chromosome:OR_W1943:1:18782214:18786222:-1 gene:ORUFI01G21490 transcript:ORUFI01G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARRSTAASDGGGGSSAAGSDGEDDRYLSASSALGTPSSLATLLPSSDLWDHQMDLLLLDDPVAGFPKAHQLTRLHPPPEPPRPDPPAPAAAGDAVLPRPPEPDPTQVDNLDDNHLFDDMVQEMEHILLNSGEPHESASFTDYRANNSSQAHHFRDGSTTASTSGTDDAYVYPLPHHRSKIDWVEVVGAKQRTGDVSFGERMVGVREYTVYLLKVKSGEDDWEIERRYREFYALYQQLKLLFAEKGFSLPPAWRNVEKESSKLFGNASPDIVNERSSLIQDCLCSLLVSSYPFGTPTPLVSFLSPGSPAYEYSLLKTLIPRSLQRLSSDSHSKGSSCNGTSHKDSASMGKTISLVVEDRPRKSTRQLLELQHYNCAGCHRHLDAGRTMLQEIVQTIGWNKPRFCAYTGQLFCASCHTNDTAVLPAKVLHHWDFSLYPISQLAKAYLDSIYDQPMLCVSAVNPFLFAKVPALLNIMSIRKKIAAMLPCVQCPFRNSIFRGLGARRYLLDGNDFFALRDLVDLSKGAFAALPVKVQTISNRILVHITEQCLVCYDSGVPCAARQACDDPLALIFPFQEDEATKCGSCGSIFHKQCFRKISICPCGKDAASKGRKIVALEQAVRDDASRPSTQLIQPPSFSSSSGFFSDIISKARPDKLWKPRNSSPVILMGSLPDTELLNATFNFTLGPRKVTRRRRAGGKLRRRNSKGDDDDDAVISPLTVTLSPVSFSLPFPLLSCVAAP >ORUFI01G21500.1 pep chromosome:OR_W1943:1:18786719:18787580:-1 gene:ORUFI01G21500 transcript:ORUFI01G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETLNAVYEQGHNGKAIRFSNLFFKNKMSFRKHVGKFKEQEITKTVKLNGTKPDHPPG >ORUFI01G21510.1 pep chromosome:OR_W1943:1:18787879:18817493:1 gene:ORUFI01G21510 transcript:ORUFI01G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEVKDNEVYEEDLVDYEEEVENGTDGGANAANASADVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGLQQIDPVAGQVGALVLCHTRELAYQICHEFERFSKYLPEVKVAVFYGGVHIKKHKDLLKNDCPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPAISIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADSYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYTSYSTDFKTRRRRRRVRFESRLQLLSPQRAGTMGEAEVKDNEVYEEDLVDYEEEVENGADGGAAAANASADVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGLQQIDPVAGQVGALVLCHTRELAYQICHEFERFSKYLPEVKVAVFYGGVHIKKHKDLLKNDCPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPAISIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADSYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >ORUFI01G21510.2 pep chromosome:OR_W1943:1:18787879:18817493:1 gene:ORUFI01G21510 transcript:ORUFI01G21510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEVKDNEVYEEDLVDYEEEVENGTDGGANAANASADVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGLQQIDPVAGQVGALVLCHTRELAYQICHEFERFSKYLPEVKVAVFYGGVHIKKHKDLLKNDCPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPAISIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADSYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >ORUFI01G21520.1 pep chromosome:OR_W1943:1:18823035:18830562:-1 gene:ORUFI01G21520 transcript:ORUFI01G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVKWQKETFPGIEIDTSQPPVVFKTQLYTLTGVPPERQKIMVKGGILKDDADWSTLGVKDGQKLMMIGTADEIVKAPEKGPVFVEDLPEEEQVVALGHSAGLYNLGNTCYMNSTLQCLHSVPELKSALLSYSDNVRGNGVDQASHNLTVATRNTFGELDQSVRPVAPLLFLQTLRKKYPQFAQQQNNVYMQQDAEECWTQLVYTLSQTLTSETSEPPAGQMKELFGIDLVSRVHCAESGEESLERESVYSLKCHISHDVNHLHEGLKHGLKSELEKASPSLGRTALYTREYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYDFCSDELKQKLQAPRQMLRDAENAKFGLKAQGKASSSKENEKQLTGIYDLIAVLTHKGRSADSGHYVGWVKQDDGKWIEFDDDNPSIRKEEEILKLSGGGDWHMAYICLYKARVI >ORUFI01G21530.1 pep chromosome:OR_W1943:1:18836164:18843544:1 gene:ORUFI01G21530 transcript:ORUFI01G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYRALIAAGATAVCCLVCAVWAFSSSSSSSASKKHQRQRQQRPLSPGCCGCARCGCRAAAVNGEMAVGGEQKKAPGPSPAAAAAAAAAGASMMEQLVPEITTHALSYLDYTSLCRLSMTNSAMRRAANDDGAWKALYHKDFTVEQHNITPPNGWKAYYAATKAIMNVNTEFYNIIREGSLPAMSHFWLNADYVKCIHATGELFTGYNAVMDSWGLLFNWGQDGGQGIAFQLRDVRARVLGEVAWVNMKAHVDVDPGHFHVTNVYEFRNGRWYMVHHHSSLMADPAPHNLFA >ORUFI01G21540.1 pep chromosome:OR_W1943:1:18840915:18843296:-1 gene:ORUFI01G21540 transcript:ORUFI01G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYDREFYQFSDQLRLQTASFSGLSLGDSIWSSPSDRRNEPAFDGEYHHFSSPSPAKNAIANINGVAGNLDGPGLIGSGKLAFGATKADRYNSVNLPVDNNNNNKSYGGAAKINNNNVNAFGFNKMGGYNNSSNGGGNYGGNGGDVKSYFNKSVGRPASNNNNNNSNGGGGYYGKKGGDGAGGKKKHAKNSDSGAQASDKRFKTLPASEALPRDEAIGGYIFVCNNDTMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPTAWEDKKCPGESRFPAQVKVATRKIYDPLEEDAFRPILHHYDGPKFRLELSVAEALSLLDIFADKDDA >ORUFI01G21550.1 pep chromosome:OR_W1943:1:18865976:18869212:1 gene:ORUFI01G21550 transcript:ORUFI01G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSECAATMPATTAHHHHHHAVAYFGLSCALAVLHRRSGGGGGGCDLGAGPRRCRWSRRRELALRERVGELEREVEELRRRRGEDARANEKVAGIFAAHEQRWFAERKGLRRQVHAVVAAARAREAAHGEAVAELTRQLEEQEQRAAEAAEQEAGRREEAEGKLRAAEEAAERAGKEAQEHAAELRKHRAALAELATARRELEAELARAARRGDAAEAELGEALERRDEAASTAAELSAECARLRRDAEHKDKILSAMLRKSKIDMEDREMLVREVKMCKARRKQAELEADRWRKMWESRHRRGSRSSARCAAAADHPGCSDKLTSPDAAVAPCAHDTKILFVDRVEDADAAKKCRHTAAPPPPTTKDPTTVECVDRYPSHVDDKPVVEEYQDLQEWFKMETEKYTSMIRRRHSAEVEAFTEQLQLKDEKLEAFRWSAASMDSEASRLRSRLQELEARLSEQEQRRAALEALLVARDDENRSLMERLAASDDQGRALDVVVVDGGGGGGCEHRAPRSPEGAEAADARLAVAEIKSLEPVSPGGDTNKVFDMEETEARDVEISVQNDVSAAVSPDELQLVEHDDHRAIAPARNSYTCEIEEEEDEEKELPSSSSSSSLALVVAAPPEQRTTASKMDIQALAVSYKIKRLKQQLLVLENLAAAAAGGKDTVTTATNPSTNTAAATAAGGGGGGGRQQYPRSYQMMVSFLSKHVKRYQSLEDKIDDLCTRMEESKRGGGRERHHRRRRQRRESEEDGSGDREQSAALARFLEETFQLQRYMVATGQKLLEMQSRIAPSLERAAGNGGGNDGVDMGRFMDVVGALLRDVQRGLEVRIARIIGDLEGTLTFHGILHTTF >ORUFI01G21560.1 pep chromosome:OR_W1943:1:18872249:18877671:-1 gene:ORUFI01G21560 transcript:ORUFI01G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSAPNPHKSPNPSNPSTSMAERRAATPMTGCDRGDGSELANYQFLNSLMLSEKSFFDQDFESLCAHARPSVAGLHASQSLIKKATVVTGSGEEGGGRGRLGVGARRVVVIGGGNIVEEAHDEEGLPTLTLLGGRRAANPSSAGFVLGAEEAAPRRGMWAQTAVVCSGEDGKKKEGRRWKNGGVGWKT >ORUFI01G21570.1 pep chromosome:OR_W1943:1:18877721:18878017:-1 gene:ORUFI01G21570 transcript:ORUFI01G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGFKSSGSHNNNGKFGGAAGFESNGDYSSGGRGIEPPRTSWTVDLTVETTPATMDPAASGNDVAGTVSSTTTFLRSGEPSSLASADDDRSDGEEI >ORUFI01G21580.1 pep chromosome:OR_W1943:1:18879270:18894059:1 gene:ORUFI01G21580 transcript:ORUFI01G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARACLVVALLLLVALFSPSEATSSTSLRRRQVRSLLKRLNKPPLATFQSLDGDIIDCVHISNQPAFDHPLLKDHTIQMRPSIQPSGLYGEATRPFTQTWNQNGEKCPDNTIPIRRTKEEDVMRATSVTTFGKKTHGGSPHPHSHLGGVTDGHHYGVAYATGDSNYYGTKVTINVYPAMYGDDKTRLFIYWTRDAYNTTGCYNLACSGFIQTNPQFVIGGSLSPVSIYGSTQYEYDYLVWKDPAGGNWWLQLQGNYVGYWPSSIFTLLQTGVADTVEWGGEVYSPQITAPMGSGHFPEEGFGKATYSRAIQVVDSSNHLKPPNGVGLIASLPNCYNIMTGSSSTTSWGTYIYYGGPGCPQNSQIEIPMAAARTWRRGGACLVVVALLILLVAIVSPSEATSSTSLGRRQVRSLLKRLNKPPLATFQSPDGDTIDCVHISRQPAFDHPLLKNHTIQNGEKCPDNTIPIRRTKEEDVMRATSVTTFGKKTHNGSPHSHLAGVTDGHHYGVAYATGDAKYYGTKVTINVYPAMYGDDKTRLFIYWTDPARGNWWLQVQGNYVGYWPSSIFTRLRTGVADTVEWGGEVYLPRITTPMGSGHFPEEGFGRATYSRAIQVVDSSNHLKPPKGVGLIAPLPNCYNVIAGSSSTTNWGTYIYYGGPGCPRNSQIEVM >ORUFI01G21580.2 pep chromosome:OR_W1943:1:18879270:18894059:1 gene:ORUFI01G21580 transcript:ORUFI01G21580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARACLVVALLLLVALFSPSEATSSTSLRRRQVRSLLKRLNKPPLATFQSLDGDIIDCVHISNQPAFDHPLLKDHTIQMRPSIQPSGLYGEATRPFTQTWNQNGEKCPDNTIPIRRTKEEDVMRATSVTTFGKKTHGGSPHPHSHLGGVTDGHHYGVAYATGDSNYYGTKVTINVYPAMYGDDKTRLFIYWTRDAYNTTGCYNLACSGFIQTNPQFVIGGSLSPVSIYGSTQYEYDYLVWKDPAGGNWWLQLQGNYVGYWPSSIFTLLQTGVADTVEWGGEVYSPQITAPMGSGHFPEEGFGKATYSRAIQVVDSSNHLKPPNGVGLIASLPNCYNIMTGSSSTTSWGTYIYYGGPGCPQNSQIEVISEDVEARWSVLGGGGAPDPPGGYRLAIGSYFVYFFGAATGAEPPQAPQQASSRNLSDSLHSIILSSRTIQSRPSILPSGMYDEAAHPFTQTWNQNGEKCPDNTIPIRRTKEEDVMRATSVTTFGKKTHNGSPHSHLAGVTDGHHYGVAYATGDAKYYGTKVTINDPARGNWWLQVQGNYVGYWPSSIFTRLRTGVADTVEWGGEVYLPRITTPMGSGHFPEEGFGRATYSRAIQVVDSSNHLKPPKGVGLIAPLPNCYNVIAGSSSTTNWGTYIYYGGPGCPRNSQIEVM >ORUFI01G21590.1 pep chromosome:OR_W1943:1:18901799:18902984:1 gene:ORUFI01G21590 transcript:ORUFI01G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTEATATTTVSGGSGRAAPAALCLQAARGAWSRTSKVLDVDAASPTAAPSLGSSVGVPFLWEEAPGRPKVVVVAPEHFAPPPPLAPAADERAPVSHGGEAAPASGGDRGDGDKARHVVVPLKLPPRLQAAAAAAAAADSSLSPNTVLHGPYGGNKPPRPLTRSGSTASHRRKPSAVGVSLWRKATAAARGKKHDYDAAALDASCRSPASSSSSSSSSSSSSMSYFADDDHRRKADGHGDPEPEADGEECGAKSTVRITRFKRNKSLPSVNTSHLWILTWNSKKYF >ORUFI01G21600.1 pep chromosome:OR_W1943:1:18905656:18909822:-1 gene:ORUFI01G21600 transcript:ORUFI01G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQHPAPGAEFVADRDAARAEVERALGGYSFRDGGALLLEDALTHSVHPRDEAGGRARHQRLEFLGDAALGLAFATIFYRDDPGLDQGDLTVLRSANVSTQKLARVAVRRRLYPLLRRYNCAPQDHEVSRFTKSVEGPYSGDPIEGPRVLADIVEAIVGAVYLDSKLDLEVLQKVAKLLCEPIITKKALLEDPESMLNELGGEHREDLEIKILAWRKVANVVDDGREQAITTSGLGNGSEDEVGKLRTIRIEEA >ORUFI01G21610.1 pep chromosome:OR_W1943:1:18918619:18921796:-1 gene:ORUFI01G21610 transcript:ORUFI01G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPAHRAGGHG >ORUFI01G21610.2 pep chromosome:OR_W1943:1:18918619:18921796:-1 gene:ORUFI01G21610 transcript:ORUFI01G21610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPAHRAGGHG >ORUFI01G21620.1 pep chromosome:OR_W1943:1:18928025:18928624:1 gene:ORUFI01G21620 transcript:ORUFI01G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLARAAASAARAALRPAPLAGRVLGSPLPTPLAPARAARILRRSAAAASAGLETLMPLHSAVAGARLRSCIAADSSCWSSLSQV >ORUFI01G21630.1 pep chromosome:OR_W1943:1:18933202:18934860:-1 gene:ORUFI01G21630 transcript:ORUFI01G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHECCYYGGSIGGDWLNPLAAIPPPCSSSSSSWSSQLLLLSDHDDVLLHSAGDHGGAVAGIGGACMTADLVNLNGFDHVVIRDEEMEMAAGYLPVAASAAAAADVDHYMYQQFQLEPDQFVSTLPAVAVAVAATAGGGSHDDELLRMPFTDIDLDAFADARDVVVGVGEPKPSPQHTLDAAIALPAVGGGGAHHFGTQDDDVKFDVTKQRNDAALAGDDSLSMVIVESYEMGMRRHAAEQEQEQKPKIITSAATTLTPLPLPPPPPPPPRVTRSRRDGSSAATAGGKTRLDHIGFEDLRRYFYMPITKAAREMNVGLTVLKKRCRELGVARWPHRKMKSLKSLILNEMGSKGMSAAAMRRELEALENCCALMERNPAVELTERTKKLRQACFKENYKRRRAAAVDVLDLDHCFSFAAGHCHRHHHQQLALPPPPAAAADHRRRDFFGY >ORUFI01G21640.1 pep chromosome:OR_W1943:1:18940890:18944210:1 gene:ORUFI01G21640 transcript:ORUFI01G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVASFRRGGVGIAGMSSSTLEEVQMQETLIFSDTIKDLKMLKSQLYSAAEYFELAYTQEDDKQEVMNNLKEYSVKALVNTVDHLGSISFKVSSLIDQRFDEVDDTNLRVSCIHQRAQVSQACMDKEGLSQQSLVITAPKYHKRYILPAAAASQAKAKEKQPSFSKLRSIARAPSQRARSSSPAQRPPSENTIPTKRADKRSESPIPRTTPLTRSGSLPQKPSLLKTSSVRVQMHTSEHKKLASVRSQADRNDDKEGEQTPKKGKKFLKSLLSRRKSRKEEPLPCYFDDY >ORUFI01G21650.1 pep chromosome:OR_W1943:1:18945162:18957546:-1 gene:ORUFI01G21650 transcript:ORUFI01G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPDELPPLPLALHPPHLIPPAPAADPRALSFLPDLGGLPWVAYGAASFLVVSHLPSPPREGSSSSGGGGGGDDDGPFFRQAIDLRAPVSAVTWCRRGGGELAAAAGSSVSVFQPAPSSSPGSFGWVLRWAITETFAVAAVAWTGSGDGILLAGEGVAMWARAESSWKLAWRCSPQVAQSLASATHFLQGPVATAAATPSSEGGVPPVLVIVNDAKVGVEKVELAHPKPVSMIQWRPRSLFVSDQSEVRREILMTCCLDGTLRLWSEDEAAKSKKHRVLQRSFSVIAVIEMSNTLNGVLGVDITVKWAIETGSVVSRDEDDNFTLFSGDPGHNQVGKCEWLADVEYSCLCWAPSVIQQNRFLVLGSEKGADCFVVSIQNEGDVLSCQKMFTIPFFEDQGRHGTYLNADMFSAVICKGSSVFPTCLDGEYPTCVSATPLNNTVLSLQQHGSGTASCYHIATGYSDGTVKLWKMSFADNPLHTEKESHIWQLVGTFGADRGPITAISLSNCGRIATVGRNVQKNTTSIHIWKAVKLMGDGSFLLEDALTLQGPVVGLDWLSLGDGRFLLAVYLLNELHIYSHKHPSFKNVLHTVNSKEKHLWSCIALSHSPHDIASFLWGPKATGVLVHKNHLALFSSWLVRRANESSTQICDCPAADIHELPCTKHFNEDIFGRFSLSENYSNTMLLQKHSAHCSNDLWNLLDIAAKMSGPLASYHPRALIQSLYSGQWKRANTVLQHLVQSMQANKISNTLLECSFCGKSCHNIPECPLSESFTDMTSNDISNRGLLWGDNQRSTAFSLLSPSNSYPRMEDINTTTSTSQSSEINKLLATNVSISTISDMERTQIVALSDLLGKITDQSHASPYKSLDEAGRRFWVAVQFERLYALRRSEDPSSAEVFHVDSASIAWALQSDCQDDLLNSVLPAEPSWSEMRNLGMGLWYTNVSQLRTKMEKLARLQYLKSKDPKDCALLYIALNRTKVLVGLFKISRDEKDKRLYEFLSRNFQEEKHKSAALKNAYVLMGRHQWELAIAFFLLGGDTSSAISVCAKNLQDEQLAIVICRLLEGSGGPLERNLIANVLLPEAVDKGDHWLSSLLEWMLGNYSQSVNQLLDCHLKSLIEESSIPGDTNVFADPGVGQYCAIIATKSSFRNCVGEAQSANLSKLSLAMASCALNRCGLPLEALEYLCCNSGIEGKDNTSLDGGDKKIVYGILNPFHASSNWLSASVVSDVESNLKITMASKYLSRVLRNQSLCSRCSLPLTKDKVLQEFNSNHVNELSRDVKAALQVFDKKFSLQVADIAEKILTFCCNDGIFFLAYVLLWGSISSDVGTGTCGLEGCAFHPIDYMFMVSSKESCKFLTQYVVSCCFICSALNMDCANTTPCTIKVGKYIMASLSHFLSTSRLLLKQDNTRTFVLGRTSAMLTVMDLLEYNMEFSFSWLCHDIKALLTMTSPVIGACVNRESFQVLLDQLLQAVHDKIHGVSIGTDGGTVNGLLCNIQQERSENQSLPIDEKWHLIGISLWTRLSSFMKQFLTEFVEKERIELETSASDVEFKDLISSVVAKFLMGSLHFVSSLLVKLHASFFREKVLKKSNSSVLFWLSQPRSNNNSHEQFSSIFQLANSENIEVFFDTLWEISAHPVDICTAFVDEEMNCFPLNSISLTRSWKAMTEATLVESENNFAQRSEENRDNLSSKNNEKIQRSIDNTPYGVEVTLEPKRKGLIADFERPRELVRRNGELLEAICLNSINEQQGAIATNRKGLVFFNWNDKQDNKNLAEYIWAGSDWPLDGWAGCESTPTSTSFSPSVGLGRRKGSHLSSGGPTISLGSLAKPGRDLTGGGAFGIPGYAGIGASGFGWGEPDEFEDFVDPPATLENIHSRALSRHPSLPLLLVGSSNTHVYLWEFGKDSAMATYGVLPAANIPPPYALASISAVQFDYYGQRFATAALDGTVCTWQVESSQPYQSILIDHRDVAFLTASGSVLAAAGCSSNGANVVIWDTLAPPSTCQTSIMCHEGGVRSLSVFDRNIGCGSISPLIVTGGKSGDVTLHDFRFISTGKTKHHRSSNEHDVKASSTSMHDTKSGTSNGVSNSGMIWHIPKAHTGSVSSVSTIPNTSLFLTGSKDGDVKLWDAKSSQLVFHWQKLHERHTFFQPTSRGFGGVVRAAVTDIQVLPNGFVSCGGDGSVKLVQVKNDFADVRPH >ORUFI01G21660.1 pep chromosome:OR_W1943:1:18962810:18966684:1 gene:ORUFI01G21660 transcript:ORUFI01G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPRVPRLPLASASAAGAGLRCCVSGGRAGSAAWCHASAAGSVASSSSELEAIRWGTAKLQGARDEMEDEVVLRPGSLLDGFSFAAVFDGHAGFSAVEDELYKECAAALDGGAVLSTKNLDAITDSIQRAFATVDANLSTWLEQMDKEDESGATATAMFLRNDVLVVSHIGDSCLVVSRGGRPQAVTNFHRPYGNKKASLEEVKRIRAAGGWIVDGRICGEISVSRAFGDIRFKTRKNEMLVKGVKEGRWTEKFISRINFKGDLIVSSPDVSLVELGPDVEFVLLATDGLWDYIKSSEAVALVRDQLRQHGDVQVACEALGQIALDRRSQDNISIVIADLGRTNWKELPAQRPNLFLELTQAVATVGAVSLGIYISSLLALQ >ORUFI01G21670.1 pep chromosome:OR_W1943:1:19004164:19011905:-1 gene:ORUFI01G21670 transcript:ORUFI01G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAACPWYRFLPLLPCFSLSSSLSFSLPLILPLSQSRPSSNPSRRPASPIAAAAVEMTGANHRATPAAASYPHCWVLYARMACPEARLNKDCKTARRLGLPVEVLQEGQPKVLHDAVDNIVVKAGWIVRVSSASGLAAALVQAENHAPAPAPVDQPLRVFIVPYLPPAEAPLILSKIEQASADDSVPGFIVINPNLLETKEKARLDIMHEISRVQNIRDKYRHPSSPATATAPLAPADVPNKPKPKPKDLKELKEQYLLAHLVAGHWRMASNILEYVDNFLFQSLREKDEEEVRIAMQRLQDDLQKKHHGSQHSVRRIAHELLGIATMSKWEYRKELPLPAAEDGMTMKKKLKCHAKKLRKLLTKHTLMGVVPLVWAKLEPMPMITSSGRTIKSNARFTGPEWTA >ORUFI01G21670.2 pep chromosome:OR_W1943:1:19004164:19011905:-1 gene:ORUFI01G21670 transcript:ORUFI01G21670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAACPWYRFLPLLPCFSLSSSLSFSLPLILPLSQSRPSSNPSRRPASPIAAAAVEMTGANHRATPAAASYPHCEAVKARMACPEARLNKDCKTARRLGLPVEVLQEGQPKVLHDAVDNIVVKAGWIVRVSSASGLAAALVQAENHAPAPAPVDQPLRVFIVPYLPPAEAPLILSKIEQASADDSVPGFIVINPNLLETKEKARLDIMHEISRVQNIRDKYRHPSSPATATAPLAPADVPNKPKPKPKDLKELKEQYLLAHLVAGHWRMASNILEYVDNFLFQSLREKDEEEVRIAMQRLQDDLQKKHHGSQHSVRRIAHELLGIATMSKWEYRKELPLPAAEDGMTMKKKLKCHAKKLRKLLTKHTLMGVVPLVWAKLEPMPMITSSGRTIKSNARFTGPEWTA >ORUFI01G21670.3 pep chromosome:OR_W1943:1:19004164:19006943:-1 gene:ORUFI01G21670 transcript:ORUFI01G21670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWANTVRWGGSQHLPWHTKSAGYERFQRRRCEIGRCIGMGGSTRHWRMASNILEYVDNFLFQSLREKDEEEVRIAMQRLQDDLQKKHHGSQHSVRRIAHELLGIATMSKWEYRKELPLPAAEDGMTMKKKLKCHAKKLRKLLTKHTLMGVVPLVWAKLEPMPMITSSGRTIKSNARFTGPEWTA >ORUFI01G21670.4 pep chromosome:OR_W1943:1:19007037:19011905:-1 gene:ORUFI01G21670 transcript:ORUFI01G21670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAACPWYRFLPLLPCFSLSSSLSFSLPLILPLSQSRPSSNPSRRPASPIAAAAVEMTGANHRATPAAASYPHCDAVGFYT >ORUFI01G21670.5 pep chromosome:OR_W1943:1:19004164:19005588:-1 gene:ORUFI01G21670 transcript:ORUFI01G21670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MACPEARLNKDCKTARRLGLPVEVLQEGQPKVLHDAVDNIVVKAGWIVRVSSASGLAAALVQAENHAPAPAPVDQPLRVFIVPYLPPAEAPLILSKIEQASADDSVPGFIVINPNLLETKEKARLDIMHEISRVQNIRDKYRHPSSPATATAPLAPADVPNKPKPKPKDLKELKEQYLLAHLVAGHWRMASNILEYVDNFLFQSLREKDEEEVRIAMQRLQDDLQKKHHGSQHSVRRIAHELLGIATMSKWEYRKELPLPAAEDGMTMKKKLKCHAKKLRKLLTKHTLMGVVPLVWAKLEPMPMITSSGRTIKSNARFTGPEWTA >ORUFI01G21680.1 pep chromosome:OR_W1943:1:19015297:19019718:1 gene:ORUFI01G21680 transcript:ORUFI01G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSPPLQPQQAPPPTTAGSSMPARRAHMEDGICPVNKRRRLALSPCAEVYNSKRIRSQWVDFQSLPEDILSRIMSKLTLKQAVQMSMVSTVFRRAWIFHPDLLFGTEELFGTSDRQLRALSTNGFIDTINFILRKHSGLGVSDFGVKFELWKEHARDIDGWVSFAIASKARVLVLNFSPYIGLRENSYSFPCHLFNDRNGSHFKVLQLDTVTFGPTPDFCGFANLTMLTLKHVLVLDNFQYFLPKCPALEWLEILMCSQLHNLHVSEPLPRLEFLRVQGCAINKIELHAPKLTTFEYRGCFKVIIALHKCLKLKTASIASHIEDNLEYVFTGLPNGLPHVERLHVKVFVRTQIPGFTQPPLKFINLRHLIMRITLGSAKRFGKNAVLQLAYLLEAAPLLVDLHLDMTCADICEDPPARDVIIHRPYYNLKRACMTGFNGNGGQIALVRFILRNAVKLEKMTIVPKGRRTGKMMGEYELSLRMIRKKIVPKDKNGVLVIL >ORUFI01G21690.1 pep chromosome:OR_W1943:1:19026034:19029546:1 gene:ORUFI01G21690 transcript:ORUFI01G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEAGTVTSISAAEETPEARCSYDGGHRRPPSSLQPFPPFFGSGEATGGGKGGKWEIGRFPALALCVPIVAAAAPPLSVIASHRRRWFSSVRRQQLQCAPLQEAQLDGKVKLEKDDKRRLCQWWWRHWNAILVVILDMGIVKARRRWPTFTPCQNWINNDGNSSAGP >ORUFI01G21690.2 pep chromosome:OR_W1943:1:19026477:19029546:1 gene:ORUFI01G21690 transcript:ORUFI01G21690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIVAPPPPSNHFPLFLDLERQQVGGGKGGKWEIGRFPALALCVPIVAAAAPPLSVIASHRRRWFSSVRFLSGEPLLPTSAVLCCWRFHLLLLLILVAAAVLTSCCCANVLLLQQRVSTWCCQQQEGIEGEEEEQIGTNVSSCSVHPCRRHNSMARWLKLEKDDKRRLCQWWWRHWNAILVVILDMGIVKARRRWPTFTPCQNWINNDGNSSAGP >ORUFI01G21710.1 pep chromosome:OR_W1943:1:19039189:19040319:-1 gene:ORUFI01G21710 transcript:ORUFI01G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSFTTNCKDITFNRKIWVHISQPVPMSLSLHDIQQAIWLDTQMQEEIFNVPDQVLAADEIQRFGGTDFVGWRHFLNQDFAMFATAGDDHWNPEDHLPSFKDDSLIPYDVPSCHLIFIPLLQPLHYSLYAFDMEKTKLYILDPLRDASKRSEDTAERHLKTKFHISSALKELDCAFHVLYYMRNWDGTCLVNPPKSVSFLYTLGSTRSSKGISVQLIVIQNNEAILPDFVVHYLKLSNKI >ORUFI01G21720.1 pep chromosome:OR_W1943:1:19040427:19040984:-1 gene:ORUFI01G21720 transcript:ORUFI01G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACTSDSDKEQELNFLAHTPDQPISKSVDASDKSGEGYQQTELSSFSPYSMLKETSGARIFMREEYACTKLPPKSKRRIIGGPSDILFDRLKRSIKPSHSVKSPFLSKQHSFVRHDQKALDDLYTCNFNH >ORUFI01G21730.1 pep chromosome:OR_W1943:1:19041123:19042082:-1 gene:ORUFI01G21730 transcript:ORUFI01G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNSTNPTLVRYSSVRLQAAGEERPNLTGEERPNVPRARRPSAPTPAPTFAVLQQVRMFQVVVALVLFSLEPAQARKIKFQKKQVASRPFYLDNLDFGTDSPEHKNIPRIGMYNDSMIAEFIERDVILKNRNPFPTYGKMKKLPTIDIFFGFQLRNKHDEKYNLGHHTGATEVQSHVVCKSIQ >ORUFI01G21740.1 pep chromosome:OR_W1943:1:19043463:19047647:-1 gene:ORUFI01G21740 transcript:ORUFI01G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDGLLSVRLTKLNKQFGAWILCKLDPSSVTLYWREETGFLEKRKEERFLGEGTSGKDVANTNQVRVASAFDSERGRQDARVRAARARQSGKAGAGECGSDGEGV >ORUFI01G21750.1 pep chromosome:OR_W1943:1:19053937:19054877:-1 gene:ORUFI01G21750 transcript:ORUFI01G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDATGARVYRETARWQNAAKWSSTRCRTGADSGDVSGYPASPMATAAARLFLHSLQPPAPAGEPHNGLGASWRRGLHFIHWAWIGAMGRACLGYEGRRGDGDARTWAMAQLRVSWRESRRAVRSAAWRGTGPGTTRYGRDDAGAGVGPARCDARRDTTRCGCGAASAVWLGLGSDAAEGKEARLRRGTGVTGAAGSDVVHAVDGIERLAGRE >ORUFI01G21760.1 pep chromosome:OR_W1943:1:19123823:19125814:1 gene:ORUFI01G21760 transcript:ORUFI01G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKAAAMAMRKPGDWSCRSCQYVNFCKREACQRCGEAKLGVERTDYAAMGGGWEVKPGDWCCRCCAVNNYASRGSCFKCGAAKNDSAAAVAQGWGFSVASQAGWKNGDWICPRMECNVQNYANRTECFRCNFPRYYVD >ORUFI01G21770.1 pep chromosome:OR_W1943:1:19127590:19129337:-1 gene:ORUFI01G21770 transcript:ORUFI01G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGLQPFHLLVPYPCHLIVERLSPNVLKTNSVVDPSEPKILDASSSASRTGQYSATTHLSGTIGVMGISASSSLRFLQKLVHWSTRDGEEAPPFLVCNDPLLKKELMSSQNSQRPSDCNTALGKLRLRRLLLEKSGVCCIAMPCNTLHAYHDEISQGCSVPSLHIGDCVVKELKSANLKPVEYGSNVCVGILCTDNTLNAKCYLNKLESQGFEVLLPDKASLEHTVLPAIGAFRRGDMEGARNLLRISLQVMFVRAVNTIILASDDFVGILPDDDPLLKKCIDPMDALVRETIMCARTDSLRP >ORUFI01G21780.1 pep chromosome:OR_W1943:1:19130643:19133835:-1 gene:ORUFI01G21780 transcript:ORUFI01G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRKERAAEARASTSKPSPAPAPAPAVQVQRRPVGGGRWTSRRISFYASRAFFLLIILQIPLFRVPCRAGTCTTPIQVTSSQLVSNEIFPPSVVKALLFPGAIASNLTKSMTFPRWNDLFDIYNLTEAKTASAVIDLQRLEILAGSYFCAAGALVGVINPGRMTLFGTLLVIWGLVKEALFGKPVNSDPTQSVHVYPTILIALICAFLSITYNVKKTVRNSQSVSITKPLQSSAKSKLK >ORUFI01G21790.1 pep chromosome:OR_W1943:1:19138813:19139818:-1 gene:ORUFI01G21790 transcript:ORUFI01G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHGSSRKTKAEQWLFGGRWRRTVKETKHPVASEAKPPAPTFPTAIQKDGDICLEKSRVHLPGLGQREIIDIAPGRKSMPEVEINMKEVREIIDIAPGRKSMPEVEINMKEVVSVLGVKVMAADMSPFMQLHAFRCAKRSHDSLDKFSSRQLAHDVKKEFDKVYGPTWHCIVGTSYGSFVTHARGCFLYFSMDKIIVMLFKTKIRKVLASS >ORUFI01G21800.1 pep chromosome:OR_W1943:1:19140233:19141198:1 gene:ORUFI01G21800 transcript:ORUFI01G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLYDQKEVLKYSTTSLCSSGSIFWMSVGPAYVRVLTNVPFSMTSSFSPVVYNLVPLKQVNLHLPESENWYLQNTVPNVCMPLKSSIPFFRAWGFK >ORUFI01G21810.1 pep chromosome:OR_W1943:1:19142487:19143653:-1 gene:ORUFI01G21810 transcript:ORUFI01G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHLRPLSAAALLLSPAAPLPTAVAASARRASPGGRRWSSVRASAGGGGWLSGLLGGKGGGGAPTAMTVTPGTVKAGDPVLHEPAQEVAPGDIPSEKVQGVIDRMVAVMRKAPGVGLAAPQIGVPLKIIVLEDTQEYISYAPKKDIEAQDRRPFDLLVIINPKLKTTSKRTALFFEGCLSVDGYRALVERHLDVEVSGLDRNGRPIKVEASGWQARILQHECDHLEGTLYVDTMVPRTFRIVDNLDLPLPVGCPPIGAR >ORUFI01G21820.1 pep chromosome:OR_W1943:1:19145181:19145789:-1 gene:ORUFI01G21820 transcript:ORUFI01G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPPFPSSSSPPLTFLLWAGRPAGEEEVTGARRERRSDCRRRGDGARRYKSRLCGAMSSSRGPSSPLSHPNPIDPFAAVAAATAEAPPLRNPIIPHDPPSPEMEATAEALTWEGCCVGGGAGLSISLTCTGGSIGRSGGAAGVAWAKPARGRAAAAAALRVQGGQAGVRDGAEAEEMQLRRVQGADHGYGQALPLPHPLR >ORUFI01G21830.1 pep chromosome:OR_W1943:1:19148372:19148617:1 gene:ORUFI01G21830 transcript:ORUFI01G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAATGVAADEVNRRASGGGRREAGDRVFFLIDRMTQRDGVLIMEHYMLRPLSSILTLPIYGNMTGNHDREKEREPVIEET >ORUFI01G21840.1 pep chromosome:OR_W1943:1:19159933:19164754:1 gene:ORUFI01G21840 transcript:ORUFI01G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDDAVKCLRIGKAAADAGDSARAVKFLSKAKRLDPSLPIDHLLDPLLNQDDPPSSSASSSSPQAPPPPPPRSSAAAASAEEATGSDGLRERKQKGKKKEEDESAGERSYTSEQLEVVRQVKKHTRDYYQILGLEKDCTVEDVRKAYRKLSLKVHPDKNKAPGAEDAFKAVSKAFQCLSDAESRKRYDLVGSDEPVTYNRRAASTARAYNGFYEDEFDPDEIFRNFFFGGMAPATTRQFGQFGTFHFRTGGMHHGHGAQNSGGSTLRMLIQLLPVLLLLLLNFLPSSEPVYSLSRSYPYEHKFQTTRGVTYYVKLPNFEEQYPHQSTERATLERHVERDYFSILSQNCRVEVQRRHWGLSYETPHCDMLRKFEATAQ >ORUFI01G21850.1 pep chromosome:OR_W1943:1:19188665:19196781:1 gene:ORUFI01G21850 transcript:ORUFI01G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFWVDASSDFDGGAGKKSMQKNGKFNNMKAALAGSITTKELRTMMHILGLNPTEAELQDIIGEVDTDGSSGSFDFHEFLRLIVR >ORUFI01G21860.1 pep chromosome:OR_W1943:1:19201019:19202815:1 gene:ORUFI01G21860 transcript:ORUFI01G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKRHGYLCACVQEVEKKTSAWSGGGGGGGNMVRDAVDYRGCPADRSATGGWVAAALVLGIELCERLSTMGIAVNLVTYLTGTMHLPSAAAANVVTDFMGTSFLLCLLGGFLADSFLGRYLTIAVFALVQSIGTALLAASTLVTHLRPPPGEQPTPVQMAVLYACLYLIALGTGGLKSSVSGFGTDQFDDRDGRERAAMGLFFNRFFFFISLGTLLAVTVLVYVQDHVGRIWAYGICSAAMLAAIAVFLSGTRRYRYKRSSGSPIVHILQVLVAAARKRGVVKRPPTAAELYEDDRPEHARIAHTAQFPCLDMAAVVAGEEDNEVAGPGGPAAPNPWKLCSVSRVEEVKMVARLMPVWATTILFWTIYAQMITFSVEQATTMDRRVGAGFEIPAASLTVFFVGAIMLTLAVYDRVFIPLCRVLTGKQGFTNLEKIGIGLALSILGMAAAALCEKKRLAVAVAATTGNSTPISVFLLTPQFLLVGAGEAFIYTGQLDFFITRSPKGMKTMSTGLFLTTLSLGFFFSSVLVSLVKGATTWLGDTIDRSRLDYFYWLLAVLSVLNLAAYLVCAKWAATAAATSPEQQQQHTAVADADEKC >ORUFI01G21870.1 pep chromosome:OR_W1943:1:19203401:19208641:-1 gene:ORUFI01G21870 transcript:ORUFI01G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTLVEGGGGRGRRGGGPFRETVAACDVRPRPPPPPPPPPPLAEDGAAPGRELNVFDMVEAYHREGWWPGVVSAAWPARGRKAAAAMYTVSFPSCREEAKLPASLVRRRRAFVRGRWMDARDVVPRVPQYDEGSNVEVMLDTGKHRAAWVTATVIKMVSSKNYVVRLKNKEGSVNIVDYCYIRPQPTFDRKKFEYELESSAEVEVNLGGAWSLGVISDVGSCGYGVRLKGHDSSEEEDYMLVLRALLRPYCKQDDQELMPCTAKAHFNNNAGQLIPLPSYPVLEKLPVKVLPEMNEMKETNHAHLQAEFLAPDDCTAGDQNYALPIKVEVESWVADIRKKEAAMQTITDSGEDNSRRPRSGDSEIPNSSKLEPYSSEQQRHTFQFVSRNKLEVPVRHKKAPDALEMNTNSVVFSPKEQTHSKKIIDASHYESYASLQQHPLGQCQVPNYWSAAGQSSFVHPSITMNLFTILPAPNSHPTTFASSLLMGPCEKIEISCRCSP >ORUFI01G21870.2 pep chromosome:OR_W1943:1:19203401:19208641:-1 gene:ORUFI01G21870 transcript:ORUFI01G21870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTLVEGGGGRGRRGGGPFRETVAACDVRPRPPPPPPPPPPLAEDGAAPGRELNVFDMVEAYHREGWWPGVVSAAWPARGRKAAAAMYTVSFPSCREEAKLPASLVRRRRAFVRGRWMDARDVVPRVPQYDEGSNVEVMLDTGKHRAAWVTATVIKMVSSKNYVVRLKNKEGSVNIVDYCYIRPQPTFDRKKFEYELESSAEVEVNLGGAWSLGVISDVGSCGYGVRLKGHDSSEEEDYMLVLRALLRPYCKQDDQELMPCTAKISCRCSP >ORUFI01G21880.1 pep chromosome:OR_W1943:1:19209136:19209345:-1 gene:ORUFI01G21880 transcript:ORUFI01G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVARAQVGGRDGGSRREKWPHQLLNGGDCGLSRWRQGKAIPTDGGKMGKEIAAAVEREVGIAAAERK >ORUFI01G21890.1 pep chromosome:OR_W1943:1:19209363:19209578:1 gene:ORUFI01G21890 transcript:ORUFI01G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAKASTSRVPLLVPPDHGHRTPLVEAAAAGLARASKSQKAVEEPGSATRRRKSMQVEHHSSNEEKSWK >ORUFI01G21900.1 pep chromosome:OR_W1943:1:19212754:19218348:-1 gene:ORUFI01G21900 transcript:ORUFI01G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLDLAGAIMPSSTAVVPAAAEASSRIILVHGTGHGGWCWYRVATLLRAAGHRVHAPDLAASGADARRLRDDDAPTFDDYSRPLLDAVRALPDGERAVLVGHSFGGMSVALAADTLPDKVAAAVFVAALMPDCASPRPDVIEKLPLTDWVDCATDEEHAPPSVLFGPEFMRRKLYQLSPEEDITLSRSLVRVSSYYVDDMRRQPPFGEDRYGAVRKVYVVCGQDQAIVEAYQRRMIAGCPVEEVREIAGADHMAMFSAPVELAGHLADVANTYT >ORUFI01G21910.1 pep chromosome:OR_W1943:1:19218402:19219354:-1 gene:ORUFI01G21910 transcript:ORUFI01G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPAAGAAGRIILAHGACHGGWCWYKVAALLRAAGHRVDAPDLGAAGQRGLGVGGAPASSFADHARPLLDAVRALPDGERAVLVGHSFGGMSVALAAETFPDKVAAAVFVAAFLPDCANPPSHPIDTVINSYHDDKITLSFPLIFAMNFCHCQYQESDWMDTVIDPSHAPPSILFGPEFLKKKLYQLSSPEDYTLAKSLVRASSLYVDELRRRAAFREDRYGAVRKVYVVVENDMAIVQEHQRWMVANAEVAEVRVMDAGDHMAMLSAPEELAGHLADVANTYI >ORUFI01G21920.1 pep chromosome:OR_W1943:1:19223067:19223306:-1 gene:ORUFI01G21920 transcript:ORUFI01G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCCLLPTPSTSDGGGRGRGHGGRRQEGDGHEGRRGGQRRSWRMAAADGAGDNGGRGGRWWSRLATTPLSFVASLMAGR >ORUFI01G21930.1 pep chromosome:OR_W1943:1:19224222:19229649:1 gene:ORUFI01G21930 transcript:ORUFI01G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPELMDDLVDEILLRLPPDEPACLARASAVCKRWRRLLSDRAFLLRRRRRAPPPLLGFLHNLDNADHPDRFVPTTALPIPPPPPELHCGMALDCRHGCALLDAYPSRIDLVVWHPMTGHLLRRLPRPDVPYLFYYNAAVLCAAAAAGCDHLDCHEGPFRVVVVGTEEDNEAWATVYSSESDEWSPPTSARLARGPEFCVEGKPATLIGDSLYFALVFGIGVVKFDMKRHRLSLIDPPAELDDGFVLMPLDNDGVLGLAAVEDHSLLSVWSMDVSLDHGHGVANWEKCRVIELDSLLPNLDHSTPVLPIGFVEGANIIFLRTDAGVFTLELRSMRVTKVCKNGFFYAVVPYTSFYIPGVHLQQQHSGPQISNGRAWGSQAANMRRGSSEQPRERAPLHQQRRAGRPVNSQRPTGDMVRSSGRASRLASQDCSVLTHVLVDHYSYRLTPEAAFRATMGTIQDSDIIIVVFHNMKPEDCLLSSILCFVQASQDAHYGAGNLITNSLAHLKDKNLHYSSAPRVKTLCSKRVKAVTSTAMNREPVSSSLKSRSIWTPMIQATSTLSGTCNPIMIWQSVQPDESRTTTGSVLGETTLIVCMGCTFLFLSAKMMAPACSAALPTMGRRMMLMKLTERPHDCEVGSMVPTTYSERTEMTAVMRASQKSALANPSAGSSSSSPASPPSTSCGSNSWRCLEEEVGDVGRQHDEAAGAGEVEGRRGRGGGDGVLAGGDGVAEEERGGVAEDGEEEEGGADVGLVAVGWRAPRLTTPPQKREVPRTRRRLERTEPSREHLTTSIFPLSSANSAMISSVAFPHVAFSSPPTAPHAYTIINTPQQQVQLLHIQCLGCVW >ORUFI01G21930.2 pep chromosome:OR_W1943:1:19224222:19229649:1 gene:ORUFI01G21930 transcript:ORUFI01G21930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPELMDDLVDEILLRLPPDEPACLARASAVCKRWRRLLSDRAFLLRRRRRAPPPLLGFLHNLDNADHPDRFVPTTALPIPPPPPELHCGMALDCRHGCALLDAYPSRIDLVVWHPMTGHLLRRLPRPDVPYLFYYNAAVLCAAAAAGCDHLDCHEGPFRVVVVGTEEDNEAWATVYSSESDEWSPPTSARLARGPEFCVEGKPATLIGDSLYFALVFGIGVVKFDMKRHRLSLIDPPAELDDGFVLMPLDNDGVLGLAAVEDHSLLSVWSMDVSLDHGHGVANWEKCRVIELDSLLPNLDHSTPVLPIGFVEGANIIFLRTDAGVFTLELRSMRVTKVCKNGFFYAVVPYTSFYIPGVHLQQQHSGPQISNGRAWGSQAANMRRGSSEQPRERAPLHQQRRAGRPVNSQRPTGDMVRSSGRASRLASQDCSVLTHVLVDHYSYRLTPEAAFRATMGTIQDSDIIIVVFHNMKPEDCLLSSILCFVQASQDAHYGAGNLITNSLAHLKDKNLHCTCRVKAVTSTAMNREPVSSSLKSRSIWTPMIQATSTLSGTCNPIMIWQSVQPDESRTTTGSVLGETTLIVCMGCTFLFLSAKMMAPACSAALPTMGRRMMLMKLTERPHDCEVGSMVPTTYSERTEMTAVMRASQKSALANPSAGSSSSSPASPPSTSCGSNSWRCHDEAAGAGEVEGRRGRGGGDGVLAGGDGVAEEERGGVAEDGEEEEGGADVGLVAVGWRAPRLTTPPQKREVPRTRRRLERTEPSREHLTTSIFPLSSANSAMISSVAFPHVAFSSPPTAPHAYTIINTPQQQVQLLHIQCLGCVW >ORUFI01G21930.3 pep chromosome:OR_W1943:1:19224222:19229649:1 gene:ORUFI01G21930 transcript:ORUFI01G21930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPELMDDLVDEILLRLPPDEPACLARASAVCKRWRRLLSDRAFLLRRRRRAPPPLLGFLHNLDNADHPDRFVPTTALPIPPPPPELHCGMALDCRHGCALLDAYPSRIDLVVWHPMTGHLLRRLPRPDVPYLFYYNAAVLCAAAAAGCDHLDCHEGPFRVVVVGTEEDNEAWATVYSSESDEWSPPTSARLARGPEFCVEGKPATLIGDSLYFALVFGIGVVKFDMKRHRLSLIDPPAELDDGFVLMPLDNDGVLGLAAVEDHSLLSVWSMDVSLDHGHGVANWEKCRVIELDSLLPNLDHSTPVLPIGFVEGANIIFLRTDAGVFTLELRSMRVTKVCKNGFFYAVVPYTSFYIPGVHLQQQHSGPQISNGRAWGSQAANMRRGSSEQPRERAPLHQQRRAGRPVNSQRPTGDMVRSSGRASRLASQDCSVLTHVLVDHYSYRLTPEAAFRATMGTIQDSDIIIVVFHNMKPEDCLLSSILCFVQASQDAHYGAGNLITNSLAHLKDKNLHCTCRVKAVTSTAMNREPVSSSLKSRSIWTPMIQATSTLSGTCNPIMIWQSVQPDESRTTTGSMMAPACSAALPTMGRRMMLMKLTERPHDCEVGSMVPTTYSERTEMTAVMRASQKSALANPSAGSSSSSPASPPSTSCGSNSWRCHDEAAGAGEVEGRRGRGGGDGVLAGGDGVAEEERGGVAEDGEEEEGGADVGLVAVGWRAPRLTTPPQKREVPRTRRRLERTEPSREHLTTSIFPLSSANSAMISSVAFPHVAFSSPPTAPHAYTIINTPQQQVQLLHIQCLGCVW >ORUFI01G21940.1 pep chromosome:OR_W1943:1:19228132:19232165:-1 gene:ORUFI01G21940 transcript:ORUFI01G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRKLAARHPHGRSRTAHNMSSSSLRKKSDAALVRKVPVAPLRPLLANLQEVFLATKLAVLFPAVPLAIAAQCFRFDQVWVFALSLLGLIPLAERVSFLTEQIALYTGPTVGGLLNATCGNATELIIALFALLKGKIEVVKCSLLGSVLSNLLLVLGTSLFCGGVVNLGARQPYDRNQSDVSTALLFLAVLCHSAPLLLRYAVAAGEHSVSATSAAASLDLSRACSFVMLASYVAYLFFQLKTHRQLFEPQEVDGGDAGDDDEEPALGFASALFWLALMTAVISVLSEYVVGTIEPTSQSWGLSVSFISIILLPIVGNAAEHAGAIIFALKNKLDITLGVALGSATQISMFVVPLSVLVAWIMGVQMDLDFKLLETGSLFMAVLVTAFTLQDGTSHYLKGILLLLCYIVIGACFFVARQPAGHANSNGALLDVPTGSMSVQAA >ORUFI01G21950.1 pep chromosome:OR_W1943:1:19237584:19239650:1 gene:ORUFI01G21950 transcript:ORUFI01G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLGVAAAANLGAMAVEAVGMATALALARDRVVKVMMEAETVGTAMSEALRATTAAALGVAVAAASARDWEYCVWDWELELRMLRQFKKFHKMMDEVIKGL >ORUFI01G21960.1 pep chromosome:OR_W1943:1:19260464:19261233:-1 gene:ORUFI01G21960 transcript:ORUFI01G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAVAPAPLGVRTRTRSLALQKRQGGEYLELRSRRLEKLPPPPPPPPRRRAPVTTPDAPAAESAEVEGSFGGGGGGEVQSCLADQAPGITGGVLDPPAAKHATGTVADRRPPLHKDKPTGGAKKPHTSSGGGRMCGSVNSCIGYRHQVSCHRADARFTTPAGPFGDILLTCRLACQGGEK >ORUFI01G21970.1 pep chromosome:OR_W1943:1:19266125:19266877:-1 gene:ORUFI01G21970 transcript:ORUFI01G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDGAGGGGEQLTVLGAWGSPFLVRVRLALNLKGLSYEYVEVDLAGKSDLLLAANPVHAKVPVLLHAGRPVCESMLIVEYLDEAFPSSSSSAAAATTILPAADDPYARAVARFWAAFVDGELLSGWMGIYDGGKTGEERAAALARTRAALDALEGALRERAGGRWFGGERVGLVDVALGGFVPAMLASEPTTGVRIVDADRTPLLAAWVERFCALEEAKAAMPPLERLIAAGKKRYADLQAAAAASE >ORUFI01G21980.1 pep chromosome:OR_W1943:1:19269738:19277029:1 gene:ORUFI01G21980 transcript:ORUFI01G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELNLLRQHQHQHQHHLVVRGLGEEIDLEIGPGDDPSFPGAALVGVTPGAHDPADDHKSLLIPCSQPVAEGQPQPTPPQVEEHDGLLRLPGQTKKKKKVVKKWREEWADTYKWAYVAVHDNTSRIFCTVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQTASKENAQPPEIERPVYVKALSKTAASILESILKKDPHEAEFIQSIQEVVHSLEPVLVKNSQHVQILERLLEPERCFIFRVPWVDDRGEAHVNRGFRVQFSQALGPCRGGLRFHPSMTLSVAKFLAFEQTLKNALSQYKLGGAAGGSDFDPKGKSESEIMRFCQSFMDELYRYLGPDQDFPAEDVGVGPREMGYLFGQYRRLSGHFQGNFTGPKIFWSGSSFRTEATGYGLVFFARVVLADMNKELKGLRCVISGSGKIAMHVLEKLLSCEAIPVTVSDSKGYLLDTEGFDYMKYSVLRNIKAQQRSLKEYLKSYPNAKYIDDAKPWSEKCDVAFPCASQNEIDQAEALAIINSGCRVLIECSNMPCTAQAVDILRTAKVVVAPAKATAAGGVAVGELELNPEFSLMQWSVEDFENKIQDAVKQTYDRSIKAAQDYGIMKENPESLVHGANICAFLNIAQAMTDQGCV >ORUFI01G21990.1 pep chromosome:OR_W1943:1:19276370:19279348:-1 gene:ORUFI01G21990 transcript:ORUFI01G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYEQEQEMEVEALQAILMDDIKEIDPSESGLSTTARCFQIVLSPQDDDFDESAYVPVQLALIFAHTEKYPDEPPLLNVKSVRGMKSEDLASLKEKLEQEATENLGMAMVYTLVTSAQDWLSEKYGQNAGDGESEENEAEEEEVIVPHGEAVTVESFLAWRDRFEAELALQRAKLMPESALTAPKEKKLSGRQYFESGRHTMKGASTTADEEEEEEEDIDFDEDFDDDEEDMLEHYLAEQSGKSAA >ORUFI01G22000.1 pep chromosome:OR_W1943:1:19286117:19286635:-1 gene:ORUFI01G22000 transcript:ORUFI01G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTHQRYLFYAHNHLYTVDDLLSHHARPVELVGATRTPLPSVRTGLTLESAEPLERRRIWAYVARSGCPRAALATGPRRGGRRCQVGRRQDTGCPRSGGKEGWREERDEEGERKREGGAGATGTAFAGGGNKSGTRLGSPAHSGSRLRVAQGKRHGSHGRRKSPCDLKYSR >ORUFI01G22010.1 pep chromosome:OR_W1943:1:19286708:19287616:-1 gene:ORUFI01G22010 transcript:ORUFI01G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDLVFSIRLRGGSGRGDVPTCGEGEAPRLTLSLRKRRNTDKEGSSSNAFKKVNDARERCHC >ORUFI01G22020.1 pep chromosome:OR_W1943:1:19290075:19297281:1 gene:ORUFI01G22020 transcript:ORUFI01G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGAGAADSEWPDGVTGRDAEVGALVWVRRRNGSWWPGQILSADELPENCVVPPRSSGTPIKLLGRPDGSIDWYNLEKSKRVKPFRCGEYEECIEKAKAQARQHKRAYNEGKYVRREDAIMHALELERARFPNEDDTDEHDTSGLLFESQNSYCAKSKNINELNKKSSRTARDLYDIEEESAKGLSQALTLYKQPQNVSSSSTRYASSSRKKHKASNDFEDDTVQGSQRMRDLTEIGSKKHSSYVLNGHRDLPLLESASFGYSLSGTNGIKGDQQSHSATKRKRSNIGQAYENSRKKDRRRPLSKLCKDSAVAVPAYSHWDPSGHSSAQYSGGKMSNAFEPSRGKFGFPLDVNNYSYSSGTSSVETLLDASCANHDGVAKVIPVKEAEVSCMPGFLNNDCSDGDEYFDTPLVMEEDALEEDHLHKYESCASVKGQISKPRKQTAEYTELVIPSPHGHRSSKKKSMSFVSQRTQENHKDRTLLAQHGRTVKGQALDTDAVEVDARVSSAFCKPPALKNNMQLAIVPADGCASTLEQQYYGSGPEHDESSETISNRSQSEKGAPSSPYYEPLQVIPPEQKSGLEPSSPHVVKPIKNARTDYKVYDVELAAQGSYKGHRVPLVSLMSKWNGKPIVGYPITVEVLKDSSSAASRNDLRPATSSLNNLLKRSEPAEPRQARSSHSSRPASRPKPSGKKKISEHDTDKSRRPHTKKSATSPRKMRRLSSFASSRRDGASRKPVVGKISGPTIACIPLRLVFSRINEALSFPVRSENPT >ORUFI01G22030.1 pep chromosome:OR_W1943:1:19294978:19297440:-1 gene:ORUFI01G22030 transcript:ORUFI01G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLAENRVVSYEAGKALADEIGIPFLETSAKDATNVEKAFMTMAGEIKNRMASQPATNASKPATVQMRGQPVAQQSSCCS >ORUFI01G22040.1 pep chromosome:OR_W1943:1:19301665:19302054:1 gene:ORUFI01G22040 transcript:ORUFI01G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGKKEMAPSKEDGAAAKGGARSYARCFSGLEFSVGPGSLRDADAGKLKSQIKKWAKAVVAYARQISFGSPRAAARSSSSRRAMSSTRGRDGHAEGGGGGGAATARSATFPSKSDLGEANNDEIVPAT >ORUFI01G22050.1 pep chromosome:OR_W1943:1:19303386:19306644:-1 gene:ORUFI01G22050 transcript:ORUFI01G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALLPGCLAAPPPPPPLVTTSSTASPSAHLRTLRLPSGLAVSRRAGFGEAARAAATERGAIEEEEEEEEEEEGFPEWGNGDEDEYDHDPEIGDIMGEYFDDPNKAQSRMEERIKKKRHKIVQAKTGSPNPMKVVFNKFDFSNSYIWFEFYHALLPKDVTLICDALRSWHIVGRLGGCNSMNMQLSQLPLDCQRPTYDALEGANTTPTSFYNIGDLEIQDNIARVWVDIGIHEPLLLDILLNALTTINSDHVGIKQVQFGGSEFQNWSEDLKTEEAGYSVHKI >ORUFI01G22060.1 pep chromosome:OR_W1943:1:19306702:19306899:-1 gene:ORUFI01G22060 transcript:ORUFI01G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRQAHPAITPPFSQPRSTPFRFLIQTTRTTSTPTTTHPGRVSSRRRRREARELELELGSGSFG >ORUFI01G22070.1 pep chromosome:OR_W1943:1:19310303:19324290:1 gene:ORUFI01G22070 transcript:ORUFI01G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPPATEPPAGGGGGGGGAPAPGRRLRRGVGFRSLKMVSVSMDEPLPAEPVGVAYGRLANGLAYYVRSNPKPRMRAALSLAVKVGSVVEEEDERGVAHIVEHLAFSATSRYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLEKERGAVLEEYRGGRNATGRMQDSHWALLFEGSKYAERLPIGTEKVIRTVPHETVRHFYHKWYHLSNMAVFAVGDFPDTQAVVEMIKEHFGQKAPPSCPPPVIPDFPVPSHVEPRFSCFVESEAAGSAVVVSCKMPADRIKTVTDYRDSLAESMFHCALNQRLFKISRRNDPPYFSCSSAADALVRPVKAYIMTSSCRERGTVEALESMLLEVARVRLHGFSEREISIARALMMSDIESAYLERDQMQSTTLRDEFLQHFLHEDPVVGIEYEAQLQKTLLPHISSAEVVKFAANFSTISSCVIKVVEPHAHASLEDLKAVVLKVNTLEQDNAIPPWDEEQIPEEIVSQSPEPGSILDKVEHPGIGATEMILSNGMRICYKCTDFLDDQVVFTGFAYGGLSELSEDEYTSCSMGSTIAGEIGIFGYRPSVLMDMLAGKRAEVGTKVGAYMRSFSGDCSPSDLETALQLVYQLFTTKVEPREEEVKIVMQMAEEAIYAQERDPYTAFANRAREINYGNSYFFKPIRISDLKKVDPIRACEYFNNCFKDPSAFTVVIVGNIDPSISVPLILQYLGGIPNVGNAVQPLTRDDLKGLPFKFPETIIREVVRSPMVEAQCFVQLGFPVVLKSTTMTEDIHYVGFLSKLLETKIMQVLRFKYGQVYSVNVGVFLGGNKPSRSGDIRGDISVNFSCDPDMSSKLVDFVLEEISFLQNEGPSEEDVLTILEIEQRAHENGLQIQDEGRLKVREALTPQSMQMALQRVVPFPCRKQFTVVILMPKSSCWNSFKALLTWSPGGFSRDAKVVALNESVAGSVKSVFKPWEQRLDTSGGFLESNEGDPELLVFIPSHYKKVHVQIHLVLLIHLFLLSIACCVDLCMRFTSDVKIKSISVVGGADGTSPSRMRALVSELYLFCYLTHLFVPLNRMFINREGIDFNDAQNMQPVQEWELAENLQGVLEYQTRYSRFQGVANLTLHFPENFGGDTTKIYYIGLRGEATQNKRDVVATIVYEIMPNPSDHKTKSETGGGFSHVE >ORUFI01G22080.1 pep chromosome:OR_W1943:1:19327000:19331129:1 gene:ORUFI01G22080 transcript:ORUFI01G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRTEKGGDPELIRKSQRNRSASVELVDEVIALDDQWRQRQFELDKIRQELNKTSKEIGKLKAKKEDASALIQSTEEIKKRLAAKETEVQEAKGTLDAKLVTIGNIVHESVPVSDDEANNLIVRTWGERRLEGNLKNHVDLCKMLDIVALEKGADVAGGRGYYLKDEGVLLNLALINFGLAFLRKRGFKPMQTPFFMRKETMGKCAQLAQFDEELYKAIIIVKQSGNDTFSLIKCNFSGMRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNDNESWEMHEEMIKNSEDFYKEIGLPYQLVSIVSGALNDAAAKKYDLEAWFPASKTYRELVSCSNCTDFQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKENGVEVPKALQPYMGGIDFLPFKLDSKQVARLQIK >ORUFI01G22080.2 pep chromosome:OR_W1943:1:19327000:19331209:1 gene:ORUFI01G22080 transcript:ORUFI01G22080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRTEKGGDPELIRKSQRNRSASVELVDEVIALDDQWRQRQFELDKIRQELNKTSKEIGKLKAKKEDASALIQSTEEIKKRLAAKETEVQEAKGTLDAKLVTIGNIVHESVPVSDDEANNLIVRTWGERRLEGNLKNHVDLCKMLDIVALEKGADVAGGRGYYLKDEGVLLNLALINFGLAFLRKRGFKPMQTPFFMRKETMGKCAQLAQFDEELYKAIIIVKQSGNDTFSLIKCNFSGMRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNDNESWEMHEEMIKNSEDFYKEIGLPYQLVSIVSGALNDAAAKKYDLEAWFPASKTYRELVSCSNCTDFQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKENGVEVPKALQPYMGGIDFLPFKLDSKQVARLQIK >ORUFI01G22090.1 pep chromosome:OR_W1943:1:19332598:19336043:1 gene:ORUFI01G22090 transcript:ORUFI01G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDSVLDAVLDEEALDYDGDDVEMADADDAVEEAQAQEDPVAITAPTAAATGGGGGGGEGDGGVGQAGKNKNKKKKKRKKSARTKNKGKPDGPPKIADINRFVNETCKRLKEKKSYLVWNAVGCLGVSAISDLVRELLSIIIKAIGFQVEAIQKCGGQIVADGSRFRTGGGILWNILKSREPKAYKEIMAKGRELEKQFRYKQGRPQTSRNEDASSQGSALIDEDIEPHGEKEVSDDPERLIDAEKSPHVPDNNKAERKPLADRIRVLVAYDDLFEEGEIHEGDAP >ORUFI01G22100.1 pep chromosome:OR_W1943:1:19336149:19338753:1 gene:ORUFI01G22100 transcript:ORUFI01G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTAATRRWWKRRGGGGGDGAEDGDDLIPMDIQDQEEMVRSLEQKQAQQSRRWRRVFAGFLLGYAAFLVYSGFHHAAAPWELRYHAYFMEDLSSPMVVVADWIAALACLFSIKGLLHSWKKWMWYSFYVSILVALFWTYYLLRLPRIRWDVAWLPFGPLIASALSLYVDHSMLESMQDINTLRSYMYNYKAL >ORUFI01G22110.1 pep chromosome:OR_W1943:1:19346725:19353145:1 gene:ORUFI01G22110 transcript:ORUFI01G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASLPLPASRFLSPPPHPTPAAAAAAACCSRRNISCARAAPRALEAPQASRPPPRPSPRRSAVAEVKAAPDPVAALTRFEDVLQTQDCNIILRHYGETRRWDELSKVFGWMQEHDMLNIASYSSYFKYLGLSRNPARALQVYSAIRENPTRIHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDLFTYSTVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKERKIWEAMILFNDMKEKGVKSGKKCVLSMENHGIGFLLMAYNDVQYLVPNNTLSVYYGYAFSIMISALHRGGYREESKQLAKEFEAKNATYDLVMLNTSLRAYCSTNDMESVMIMLRKMDESNISPDAITFNTLIRYFCMAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCILVPAGLLKDAYVVVKDNSEFISRRSLGNFARSFMASGNINLINDVMKAVHRSGWRISQFGVEVETPDFWMFKLDIFGKAIQRYIQKPDKKQLLLCLLDWMTGQGYSVDSSSRNLLLRNAQLFGQKQLIAEILSKQQGASRITSQRHQK >ORUFI01G22110.2 pep chromosome:OR_W1943:1:19346725:19353145:1 gene:ORUFI01G22110 transcript:ORUFI01G22110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASLPLPASRFLSPPPHPTPAAAAAAACCSRRNISCARAAPRALEAPQASRPPPRPSPRRSAVAEVKAAPDPVAALTRFEDVLQTQDCNIILRHYGETRRWDELSKVFGWMQEHDMLNIASYSSYFKYLGLSRNPARALQVYSAIRENPTRIHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDLFTYSTVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKERKIWEAMILFNDMKEKGVKSGKKCVLSMENHGIGFLLMAYNDVQYLVPNNTLSVYYGYAFSIMISALHRGGYREESKQLAKEFEAKNATYDLVMLNTSLRAYCSTNDMESVMIMLRKMDESNISPDAITFNTLIRYFCMAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCILVPAGLLKDAYVVVKDNSEFISRRSLGNFARSFMASGNINLINDVMKAVHRSGWRISQFGVEVETPDFWMFKLDIFGKAIQRYIQKPDKKQLLLCLLDWMTGQGYSVDSSSRNLLLRNAQLFGQKQLIAEILSKQQGASRITSQRHQK >ORUFI01G22110.3 pep chromosome:OR_W1943:1:19346725:19354640:1 gene:ORUFI01G22110 transcript:ORUFI01G22110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASLPLPASRFLSPPPHPTPAAAAAAACCSRRNISCARAAPRALEAPQASRPPPRPSPRRSAVAEVKAAPDPVAALTRFEDVLQTQDCNIILRHYGETRRWDELSKVFGWMQEHDMLNIASYSSYFKYLGLSRNPARALQVYSAIRENPTRIHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDLFTYSTVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKERKIWEAMILFNDMKEKGVKSGKKCVLSMENHGIGFLLMAYNDVQYLVPNNTLSVYYGYAFSIMISALHRGGYREESKQLAKEFEAKNATYDLVMLNTSLRAYCSTNDMESVMIMLRKMDESNISPDAITFNTLIRYFCMAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCILVPAGLLKDAYVVVKDNSEFISRRSLGNFARSFMASGNINLINDVMKAVHRSGWRISQFGVEVETPDFWMFKLDIFGKAIQRYIQKPDKKQLLLCLLDWMTGQGYSVDSSSRNLLLRNAQLFGQKQLIAEILSKQQGASRITSQRHQK >ORUFI01G22110.4 pep chromosome:OR_W1943:1:19346725:19353145:1 gene:ORUFI01G22110 transcript:ORUFI01G22110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASLPLPASRFLSPPPHPTPAAAAAAACCSRRNISCARAAPRALEAPQASRPPPRPSPRRSAVAEVKAAPDPVAALTRFEDVLQTQDCNIILRHYGETRRWDELSKVFGWMQEHDMLNIASYSSYFKYLGLSRNPARALQVYSAIRENPTRIHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDLFTYSTVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKERKIWEAMILFNDMKEKGVKSGKKCVLSMENHGIGFLLMAYNDVQYLVPNNTLSVYYGYAFSIMISALHRGGYREESKQLAKEFEAKNATYDLVMLNTSLRAYCSTNDMESVMIMLRKMDESNISPDAITFNTLIRYFCMAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCILVPAGLLKDAYVVVKDNSEFISRRSLGNFARSFMASGNINLINDVMKAVHRSGWRISQFGVEVETPDFWMFKLDIFGKAIQRYIQKPDKKQLLLCLLDWMTGQGYSVDSSSRNLLLRNAQLFGQKQLIAEILSKQQGASRITSQRHQK >ORUFI01G22110.5 pep chromosome:OR_W1943:1:19346725:19354640:1 gene:ORUFI01G22110 transcript:ORUFI01G22110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASLPLPASRFLSPPPHPTPAAAAAAACCSRRNISCARAAPRALEAPQASRPPPRPSPRRSAVAEVKAAPDPVAALTRFEDVLQTQDCNIILRHYGETRRWDELSKVFGWMQEHDMLNIASYSSYFKYLGLSRNPARALQVYSAIRENPTRIHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDLFTYSTVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKERKIWEAMILFNDMKEKGVKSGKKCVLSMENHGIGFLLMAYNDVQYLVPNNTLSVYYGYAFSIMISALHRGGYREESKQLAKEFEAKNATYDLVMLNTSLRAYCSTNDMESVMIMLRKMDESNISPDAITFNTLIRYFCMAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCILVPAGLLKDAYVVVKDNSEFISRRSLGNFARSFMASGNINLINDVMKAVHRSGWRISQFGVEVETPDFWMFKLDIFGKAIQRYIQKPDKKQLLLCLLDWMTGQGYSVDSSSRNLLLRNAQLFGQKQLIAEILSKQQGASRITSQRHQK >ORUFI01G22110.6 pep chromosome:OR_W1943:1:19346725:19354035:1 gene:ORUFI01G22110 transcript:ORUFI01G22110.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASLPLPASRFLSPPPHPTPAAAAAAACCSRRNISCARAAPRALEAPQASRPPPRPSPRRSAVAEVKAAPDPVAALTRFEDVLQTQDCNIILRHYGETRRWDELSKVFGWMQEHDMLNIASYSSYFKYLGLSRNPARALQVYSAIRENPTRIHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDLFTYSTVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKERKIWEAMILFNDMKEKGVKSGKKCVLSMENHGIGFLLMAYNDVQYLVPNNTLSVYYGYAFSIMISALHRGGYREESKQLAKEFEAKNATYDLVMLNTSLRAYCSTNDMESVMIMLRKMDESNISPDAITFNTLIRYFCMAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCILVPAGLLKDAYVVVKDNSEFISRRSLGNFARSFMASGNINLINDVMKAVHRSGWRISQFGVEVETPDFWMFKLDIFGKAIQRYIQKPDKKQLLLCLLDWMTGQGYSVDSSSRNLLLRNAQLFGQKQLIAEILSKQQGASRITSQRHQK >ORUFI01G22120.1 pep chromosome:OR_W1943:1:19369024:19373833:1 gene:ORUFI01G22120 transcript:ORUFI01G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARARLRLVLPPLAALLLFAHLAAVAVARPRWEEEGSNLRLPSERAVAAGAAADDAAEAAEGTRWAVLIAGSNGYYNYRHQADVCHAYQIMKRGGLKDENIIVFMYDDIAHNPENPRPGVIINHPQGGDVYAGVPKDYTGKEVNVKNLFAVLLGNKTAVKGGSGKVLDSGPNDHIFIFYSDHGGPGVLGMPTYPYLYGDDLVDVLKKKHAAGTYKSLVFYLEACESGSIFEGLLPNGINVYATTASNADESSWGTYCPGEYPSPPPEYDTCLGDLYSVAWMEDSDVHNLRTESLKQQYNLVKERTSVQHTYYSGSHVMEYGSLELNAHHVFMYMGSNPANDNATFVEDNSLPSFSRAVNQRDADLVYFWQKYRKLPESSPEKNEARKQLLEMMAHRSHVDNSVELIGNLLFGSEEGPRVLKAVRATGEPLVDDWSCLKSMVRTFEAQCGSLAQYGMKHMRSFANICNAGISAEAMAKVAAQACTSIPSNPWSSTHRGFSA >ORUFI01G22130.1 pep chromosome:OR_W1943:1:19382341:19382529:1 gene:ORUFI01G22130 transcript:ORUFI01G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSKALAILAVLAVAAISAVSAADAPAPSPTSAAGAAATPLAAALVASAAAFLFAAIRH >ORUFI01G22140.1 pep chromosome:OR_W1943:1:19388847:19389032:1 gene:ORUFI01G22140 transcript:ORUFI01G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSAAAVIAFAVVAAAALATVASAADAPAPAPTSGAVAAVSAPLSVCCVAGLLLALLRH >ORUFI01G22150.1 pep chromosome:OR_W1943:1:19392966:19397683:-1 gene:ORUFI01G22150 transcript:ORUFI01G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSRVLLAAVAVLAAALAGCGAGAALDDPAGLLRRAKEAEFAGWMVGLRRRIHENPELGYEEFATSELVRRELDALGIPYRHPFAVTGVVATVGTGGPPFVALRADMDALPMQESVEWEHKSKVPGKMHGCGHDAHVAMLLGSARILQEHRDELKGTVVLVFQPAEEGGGGAKKMIDDGAVENIEAIFGVHVADVVPIGVVASRPGPVMAGSGFFEAVISGKGGHAALPHHTIDPILAASNVIVSLQQLVSREADPLDSQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFLKESFNQLKQRIEEVIVSQASVQRCNAVVDFLDKDRPFFPPTINSAGLHDFFVKVASEMVGPKNVRDKQPLMGAEDFAFYADAIPATYYYFLGMYNETRGPQAPHHSPYFTINEDALPYGAALQASLAARYLLEHQPPTTGKAKAHDEL >ORUFI01G22160.1 pep chromosome:OR_W1943:1:19400173:19400795:1 gene:ORUFI01G22160 transcript:ORUFI01G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSENDMLNANNSASDDFYSCGEASPCSLAASAPSCPASRLGLSKKLEARELCRAELKLPTSLARLELVSSSSQADELAQARLVDNPTPGGGTPEDQPFERHGGSRGEEEQRGRIHGCVCAERRWEEECV >ORUFI01G22170.1 pep chromosome:OR_W1943:1:19402522:19411222:1 gene:ORUFI01G22170 transcript:ORUFI01G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVFEGYERQYCEISASLARKCTAASALQGEKLKQKASEIKSGIDGAEALIKKMDLEARNQQPSVRAGLLAKLREYKSDLNNLKGTLKRVTTGNAQQGSREELLESGMAETLGVSADQKSRLLRITEKQNKTTDRIRDSHRTMLETEDLGVSLLQDLHQQRERLIHAHGTLDNVDDNIGKSRRIMGAMVRRMDRNKWIIGFIIALLVLVILVILYFKLLVATEHTIDMIRDSKRTMLETEDIGVFLLQDLHQQRGRLIHAHDILHNVDDNIGKSRRIIGAMVRRMDRNKWIIGFIIALLVLAILHARFGLGIIGMSLEDHGCQFLAGNMVKPSDWRLVLTAVHVLDEKVQEGLSVAPHSTGHVWRCREPLEDEERDLDTWFSIRSRSVEKMTWPQSTSRSSSGSSVAHLKNSRMKQPSSKGVFG >ORUFI01G22180.1 pep chromosome:OR_W1943:1:19407574:19408211:-1 gene:ORUFI01G22180 transcript:ORUFI01G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPHVISSAGARWDKPTEAEGRRRPLVRRRLPWVPSPRRQLSSSVVEAGDGLGGESRIADGGRRQRELVHPLARSDRSRWWRPYCSWFAWTRSISDSQIAAATAGDDKLASAINALEELLHKGSPALAAYERHVIFLKRA >ORUFI01G22190.1 pep chromosome:OR_W1943:1:19411331:19411782:1 gene:ORUFI01G22190 transcript:ORUFI01G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGQIGRMRPPELRMSWMRGRVTSMEREGVTMTPMRARQRQRRGGVAADERHKGAAARVASAVEGRGGESHVGRKREWRRARRSGAGDERCRDDDGDDDDENPTMTTTMQAASAASTGGGGDKLGDPTRETSAVATTMRTRLRR >ORUFI01G22200.1 pep chromosome:OR_W1943:1:19412162:19412420:-1 gene:ORUFI01G22200 transcript:ORUFI01G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHKWRCLQDLLSRCAGSGTASDLVAEMRALEAQARACYSERPMGMDSAFGSPSWDMTG >ORUFI01G22210.1 pep chromosome:OR_W1943:1:19424576:19426464:-1 gene:ORUFI01G22210 transcript:ORUFI01G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYENRRVEAEERAHVDLVDIKKVLEVRLPVVEKVGGTRATGQGRRRAVGADGGAHGGTPGAAARTAARSGWRRGGGEGGGSAVCRRRGSGSCFVHILMLDLIISYGVFGQRNADFEVAAVAATKTVDDESVESFVGAGKRLDAVEWSWLRLHARAGCLDEELRHESLPTSRSSSEKGVTPWRAVRSSAWCATPPSRTVVLVHADAFPVPSRAAVPPFPFPVTVATAGNLRANPVSYAVELVRAPKGGVGAIPGVASFLIPYRFGDGPELRRRRPPSATKRARGRRREMR >ORUFI01G22220.1 pep chromosome:OR_W1943:1:19431469:19432101:-1 gene:ORUFI01G22220 transcript:ORUFI01G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTPPPRPKTRKIPRATVLLVAGVTFVLGSAAPEHMFDVTFDRRSGVMKISVIEIDDMKRPLLVSLMAFEQTLRMVETGLLTSYVALMINLIVTARDVELLREHGVLKSLLADDDEAARFFSRIGEGCAIDYDRQAFADLYEDLRQYCNSHFYYRCRLALFDFRRNYLGSPWKTISLVAAASSSSSPLRKLTSPCFLPKIDSHEMMFK >ORUFI01G22230.1 pep chromosome:OR_W1943:1:19437486:19437686:-1 gene:ORUFI01G22230 transcript:ORUFI01G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLIVTARDFTLLRRHRILESLLADDEEAARFFARLGDCGAINYKEQAFAGLYEDEKFQWQNTKI >ORUFI01G22240.1 pep chromosome:OR_W1943:1:19441567:19443141:-1 gene:ORUFI01G22240 transcript:ORUFI01G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSELWLLWAVFSASLVFLYLTIRRRSGAGAGGKPPLPPGPTPLPLIGNLLDLRGGVIHDKLAALARVYGPVMMIKLGLNDAVIISSRDAAREAFTRYDRHLAARAIPDTFRANGFHERSAVFLPSSDERWKALRGIQGTHIFTPRGLAAVRPVRERKVRDIIAYFRDHAGEELVIRQAIHTGVLNLVSSSFFSMDIAGMGSETARELREHVDEIMTVFAQPNVSDYFPFLRRLDLQGLRRSTKRRFDRIFSILDDIVERRLVDRGERGGEGGASSNSSKSKHQYDGGDFLDALLELMVTGKMERDDVTAMLFEAFVAGGDTVAFTLEWVMADLLRNPPVMAKLRAELDDVLGGKDQSAIEEHDAARLPYLQAVLKESMRLHSVGPLLHHFAAEDGVVVGGYAVPRGATVLFNTRAIMRDPAAWERPEEFAPERFLAREGKAPVDFRGKEADFIPFGSGRRLCPGIPLAERVMPYILALMLREFEWRLPDGVSPEELDVSEKFMSVNVLAVPLKAVPVKVIN >ORUFI01G22250.1 pep chromosome:OR_W1943:1:19450449:19510120:1 gene:ORUFI01G22250 transcript:ORUFI01G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLRCRRRRRKKDFGAMKLSDLGKPDEDLFVWRGDTSRLESFGNEHGRGRGRGSPGFAKWTVQEAPGERFDWLEMKGFYCCEAQENVMQGFSMAVSSMQAGEKAVFTIPPELEGTMSRCPADIPANLPPNQALQFDVELISLITITDILDNEGILKKTIKRGVGNDKPCDLDEVLVNYNACLEDGMSVSMSEGVEFNLAEGFFCPAFARAVETMTEGEEVVLIVKPEYGFGERGRPSIGDEAAVPPDATLYVYLQLMSWKTVRHIGQNGTILKKTLRRGNLEGHHTENQAVVGVRLIGKLHDGAVFDQRGHQGDEPFEFVVDEEQVSDDLEEAVLTMWEGEVSLFTIPPQCLQDQHMVVPPGSSVTYEIELVSVEVRAKASVDEAVTLATVVSKNVSFREESNFLDNLKDGERKVLAELRAKVEETIVEGKLFDDGKEKDLMALGLHPPEKMLNASREKAVFTIPPELAGTKSRCPVDIPGNIAPNEALRFDIELISLVTITDILDDEGILKKIIKRGLGSDKPCDLDEMSVSMSEGIEFNLAEGFFCPAFARAVETMTEGEEAVLIVKPEYGFGERGRPSIGDEAGVPPDATLYVYLQLMSWKTVRHIGENGTILKKTLRRGNLEGQQTENEAVVGVRLIGKLQDGAVFDQRGHEGDEPFKFMVDEEQVSEGLEEAVLTMREGEVSLFTIPPHRVQDQLLVVPAGSSVTYEIELVSVDKPPRLMSQAETIEAAAEKEKEGDKLFSSSKFFRAYRRYYKARQIILLRSGRGETDEEIKQMLISLTFKAAECANQLQRYEQAYHRYREILEYDPGNVKAREMTGRAFPEASLGIDTAAMHRGLDQLFRPKEEFRVCGYMVPEFSEMRLKQGHKYHGSINIFVPPIPRPDTNANQAVPAAPTGHRLTHSPATPPGANNEKTNSSSRVPVAARKGLASCFRCISSDSN >ORUFI01G22250.2 pep chromosome:OR_W1943:1:19450449:19510120:1 gene:ORUFI01G22250 transcript:ORUFI01G22250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLRCRRRRRKKDFGAMKLSDLGKPDEDLFVWRGDTSRLESFGNEHGRGRGRGSPGFAKWTVQEAPGERFDWLEMKGFYCCEAQENVMQGFSMAVSSMQAGEKAVFTIPPELEGTMSRCPADIPANLPPNQALQFDVELISLITITDILDNEGILKKTIKRGVGNDKPCDLDEVLVNYNACLEDGMSVSMSEGVEFNLAEGFFCPAFARAVETMTEGEEVVLIVKPEYGFGERGRPSIGDEAAVPPDATLYVYLQLMSWKTVRHIGQNGTILKKTLRRGNLEGHHTENQAVVGVRLIGKLHDGAVFDQRGHQGDEPFEFVVDEEQVSEGLEEAVLTMREGEVSLFTIPPHRVQDQLLVVPAGSSVTYEIELVSVDKPPRLMSQAETIEAAAEKEKEGDKLFSSSKFFRAYRRYYKARQIILLRSGRGETDEEIKQMLISLTFKAAECANQLQRYEQAYHRYREILEYDPGNVKAREMTGRAFPEASLGIDTAAMHRGLDQLFRPKEEFRVCGYMVPEFSEMRLKQGHKYHGSINIFVPPIPRPDTNANQAVPAAPTGHRLTHSPATPPGANNEKTNSSSRVPVAARKGLASCFRCISSDSN >ORUFI01G22260.1 pep chromosome:OR_W1943:1:19450467:19451764:-1 gene:ORUFI01G22260 transcript:ORUFI01G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASLEASVEATLDAISGDQWRARLQPFTIFRVPAYVRDGNRTAYEPRLVSIGPYHHGGAALRAMEDHKWRYLHDLLSRRAGDGAAAVVTASALVAEMRTLEPRARACYSERPVGMDSSDDFVRMLLLDGFFILEFFFKWHTKEADSLCDVGWGLTLVAADLLLMENQIPFFVLERLYEAVAGMQPDKESLFNLLIEYISDEEPIRRPSGDWDVHHLLHLYYEYFVPKQPRPRLPESARKAPAAPTRTILRASELREAGVTLVRRSAARDREIDDMKRPLLVNLMAFEQTQAGEEPRLLTSYVALMGQLIVTARDVELLRRRGVLESLLADDEEAARFFSRLGEGAAMDFSRQAFAGLYEDVRGYCGSWWHRNRAALRRDYFGSPWSAISVVVAAIVVFLAATQTYFTVFPAK >ORUFI01G22270.1 pep chromosome:OR_W1943:1:19464072:19464923:-1 gene:ORUFI01G22270 transcript:ORUFI01G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQIPFFVIERLYEAVAGVQGTRQSLLNLLAVMYIGNEEPIKWPSGDWDVHHLLHLYYECFVPNRSTPPPRGRRSARSGRQAATTTRAPRAIPCATEMRHAGVKFVTRRSRPAPADAGETTTYDVVFDDRGGVMEIPAILIDDARRPLLANLIAFEQSQGGEVARLLSSYLIVTARDVELLQRRGVVENLLDNDEEAAQFFNRLGDIDPVDYDTQVFAGLYENVTRYCGMWRNKHMAGLRRNYFASTWLAISVVVAAFVVVLAATQTYFTVFPSNK >ORUFI01G22280.1 pep chromosome:OR_W1943:1:19510766:19512052:-1 gene:ORUFI01G22280 transcript:ORUFI01G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEASLEATLSAVRDDQWRMAQPFTIFRVPAYARERSRTSYEPRMVSIGPYYHGAAALRAMEDHKRRYLHDLLSRPDAVAVTASALVAEVRALEAQARACYSERPAGMDSDDFVRMLLLDGCFILEFFFKWADRQPDVLCDAGWGHTLIATDLVLMENQIPFFVLERLYGAVFLLGDRSSLLRLIVEFIGREDEDPVRWPSGDWEVNHILHLYYECFVPKRIPSSPPPAAPPGRQNTRAPRVIPCAVEMSEAGVTFAVRRNVPGYDVAFDARRGVMETPAVLIDDERKPLLANLIAFEQSLGSDEGEAILLSSYVSLMGQLVVTARDVALLRRRGVLENMLANDDDAARFFNHLGDCGAVNHDSHAFVGLYKDVDRYCGSWWRRRTVALRRDYFASPWSAISFVAAAVAVVLAAMQTYFTMFPLNKG >ORUFI01G22290.1 pep chromosome:OR_W1943:1:19528676:19531289:-1 gene:ORUFI01G22290 transcript:ORUFI01G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYALYWWADLDNLTNLQPRYGCDDPTYPYYFKLRCENCGEVSAKATCVSLGEVVDLPNGRSTANLVQKCKLCGRDASIVMIPGQGTPLTNEQSQKGDRTCLMVFDCRGCEPIDFAFGNGWKAESLEGTSFDIDCSEGEFADYDEKGECPVGVGKLRSEFRVVKKQESRGKTKYV >ORUFI01G22300.1 pep chromosome:OR_W1943:1:19532084:19532569:-1 gene:ORUFI01G22300 transcript:ORUFI01G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPGNGHLPASTDLPDHSSASDSEAEAEADADYRPISGAATDSDTDTDPDPAPHHRLGSIGNGVSELDLDSGGDDDHCEGAVAEEEEGLRLGEEVARAFSEDERRRRAPLPAGSAARIVDAMRGVEFPSAPPPWAGSVPEDQWLDRLRSLRAGAGAGHPH >ORUFI01G22310.1 pep chromosome:OR_W1943:1:19532881:19533444:1 gene:ORUFI01G22310 transcript:ORUFI01G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARSSPSLPTTWASCCVPCSPRRSWPRSATTGPSGWDSYSWAFTAKHSVVLFIVHNPGVSDDEACGPLIDSFAIKTLQPPQRTKEWGLRGGPVDEDDYSSLFPWTILSTTKSVKYIDAAHYA >ORUFI01G22320.1 pep chromosome:OR_W1943:1:19535364:19537068:-1 gene:ORUFI01G22320 transcript:ORUFI01G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIMGKVGGYWFKQNAGKEINNIGDDINSISSSIGDGAKWMVNKIKGKMQKPLPEFLKEYDLPVGLFPQDATNYEFNEETKKLTVYISSACEVGYKDSSVLRFSTTVTGYLEKGKLSEVEGLKTKILIWTKVTAVRTEAAKVHFAAGMNKARNRDAYEVVRDGVGIDKF >ORUFI01G22330.1 pep chromosome:OR_W1943:1:19538819:19539061:1 gene:ORUFI01G22330 transcript:ORUFI01G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANGDAPARGSAAAAASLRRRRTTSSGTGGGGASTMLQFYTDEAAGRKMSPNSVLIMSIGFIAVVALLHVFGKLYRTSA >ORUFI01G22340.1 pep chromosome:OR_W1943:1:19540525:19541490:1 gene:ORUFI01G22340 transcript:ORUFI01G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPVEVTFLDGDDDSDDDDRWYYSPRREAVLCIGDDLALVDVTFPVDDMDTNPWFRGIAQLCRGHRLRAPLVVGLVALRGRAPPYNWCPWDASGVRGHPRDPRNPIRCIAICVGGSHALVYQPCCDRGSSKYTGGVLPFSEGGCKMARLRAFLRDRRVTVACVGAREAAEKLAEEWEVDVARPVELTDLFARAFGKVAGVDTVKPPKEPEPDRRWMTTSALLRAEAKAEAEAAKENHYSYSKRGKRPAAEVVKGLSMERMAHVALGPEMRLAPWPEKAADADWGSCYLEKSDWKYAARDAYLCFEIAAVCLQKLGAPVGN >ORUFI01G22350.1 pep chromosome:OR_W1943:1:19543834:19549246:-1 gene:ORUFI01G22350 transcript:ORUFI01G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLFPRLHVNDAAKGGGPRAPPRNKMALYEQFTVPSHRFSGGGGALASARGSLARSTSAASQSQVYGCDMPLFEPFNVPSNGPGHSVEKMNSNSVNRQINGSRKDSGMLSTQPKGIDKYGSGSRAECAPQQRVEKGIKSSSGRKLADDDEFIVPSVFSARFPQYSTKERAGVQEESTPLVALSPHKSPPAVSKSPTKCYNTVSKNLERINVSDVKSRGSQKDKETGPAQTLKNVEVEHFSSFEASKDMFGSKHAKVCPKTGTINDLDEPHLENSEHQATSRNGSSVKFQNPPVRRNTISAKPSPGIENTNGHCNLPQGGLKEAGTKRKRLEAQDNAEKIDDLSDSSVECITAWEISPDEIVGAIGAKHFWKARRAIINISLMKAKYNDILILLYQQRVFAAQVFELHKLVKVSSWIEFGVEYENNQNLYLTYKQVQKLIAASPHVLIEGDPCLGNALLASKKKMAEENLKAQPVLVATNDDVQPSLQEPELSKENSEENPPSPRDTAPVSGHHDQTAKIGASKSNLRATPVASDNRQNNWGVQLQPPQNQWLIPVMSPSEGLVYKPYSGPCPPAGSILAPFYANCTPLRLPSTTGDFMNSAYGVPIPHQPQHMGAPGTPTMPMNYFPPFSVPVMNPVALASAVEQGRHPSMPQPYGNFEQHSRMSCNMSHPSGIWRFHASRDSEAQASSASSPFDRLQCGGSGPVSAFPTASAQNTQPQPSSGSRDNQTNVIRVIPHNNSQTASESAARIFRSIQMERQQDDS >ORUFI01G22360.1 pep chromosome:OR_W1943:1:19555236:19556106:1 gene:ORUFI01G22360 transcript:ORUFI01G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSSGSVSAENKKGNSSRGKGKKAAAAAPVAADSSSTAAAAAGAGDEVNRTATVRMSQPQIDLFMSFDPPPLEPVTGVSKEEEDRFAKIDAQLAKWEKEIRADAEMVKSQYEQKGYVEYEIDADLFPARAPPRPGRRRARHGVTKLD >ORUFI01G22370.1 pep chromosome:OR_W1943:1:19559369:19562113:-1 gene:ORUFI01G22370 transcript:ORUFI01G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLTLIATPCTDPRSSSSFALAPRLPPRRSRDDILIDPRNAAAARRRVPVRGAVRATTLRSDQEPAGGDSGATTTKLSAWTSVRQERWEGDLSVDGHLPPWLNGTYIRNGPGMWDVGEHAFHHLFDGYATLVRVSFRGGGGARATGAHRQIESEAYRAAVARGRPVLREFSHCPAPAKSLLDRVGDLVGLVTGAALTDNPNSAVLPLGDGRVMCLTETTKSSVLIDPDTLETVGRFRYTDRLGGMVQSAHPIVTDTEFLTLLPDLVRPGHLVVRMEAGSNERKVIGRVDCRGGPSPGWLHSFAVTEKYVVVPEMPLRYSSASLLTSELAPFYAFDWVPASGSYMHVMCKSTGKTVASVEVPPFMAIHFINAYEEEGDEAAVVVDCCEHYGDPAIIETLVLSRLRSLRGKDVLPNARVGRFRIPLDGSPFGELETALDPEEHGRGMDMCSINPARLGRKYQYAYACGARRPCNFPNTLTKIDLVEKKAKSWHEEGSVPSEPFFVARPGATDEDDGVVISIVSSDDGEGYALVLDATTFEEIARVRFPYGLPYGFHGCWIPATEE >ORUFI01G22380.1 pep chromosome:OR_W1943:1:19572060:19572676:1 gene:ORUFI01G22380 transcript:ORUFI01G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVSTMEAGALHGELRAEDECRVVEEVGEGGSKVGKRAGAAKGKDVVGVSVEAVAEKEAYEDNIVRLRMRLPKAEMVWLVKESHNVAEKKL >ORUFI01G22390.1 pep chromosome:OR_W1943:1:19594250:19595642:-1 gene:ORUFI01G22390 transcript:ORUFI01G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGFNEMILSESVWNGGGGGGDGDGGAVVLPPEVGGVNAVDGSGMTMLERLVLDEALAAAILELQGIQAPGCGGGGGKVAVVPPPTAGDGGVEAAVAFAAMATGTPAYADVDADVLQRQRQHHHRHQGAMGMAAEYDVAPATPAVTLSAVPPPPPPPAFATAAASVDGGGAMDATVFSGIGNDDVVDAVSATVAMTTATATTSQCERVRGGGGGGGCGRKQRRPGRKRKAAEPTAAAAAADMSSQDNPLCSLLASNPAGADGGIQIAFSTSAPASKRAKPSLSSSSSSISFDGRGPGGNCGGGGGDDPLYEPDTEALAQVKEMIYRAAAMRPTVAARQRRERISERLRVLQKLVPGGAKMDTASMLDEAANYLRFLKSQIRELQTLDRRNYPNAAMSINTAAATTMATSSSPTYNNSNAAMPAFAFPETLGCGGGGGVEQLI >ORUFI01G22400.1 pep chromosome:OR_W1943:1:19605861:19610057:-1 gene:ORUFI01G22400 transcript:ORUFI01G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVTKPGQLPVEFLEPSAAQKLVIGFDCEGVDLCRHGALCIMQIAFPDAVYLVDAIEGGKELIEACKPALESEYVTKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLLEEQEGKKRGYDEYISFVSLLADPRYCGMAYPEKEEVRTLLRQDPNFWTHRPLSEMMIRAATDDVRFLLSIHEKMMEKLSKVSLWRLSVRSELYCRCFCINDNKYADWPPLPTVPDEIEPDVYVPEVDILSVLDVPPGKMGRVIGRKGSSIMEVKASCNVEIHIGGAKGPPDRVFIIGPVQEVRKAEAILRGRMLEF >ORUFI01G22410.1 pep chromosome:OR_W1943:1:19620250:19620699:-1 gene:ORUFI01G22410 transcript:ORUFI01G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSKSYAGGRTTMQIEPYYGGGGGGGGGRPVDFRSYSYSGGGGGGRGGAGASSYQYQYEYGGGGSGKVGYWGSVAEEERGTGQAAAAAAAKRSKSKRRWLALGDPDMERKRRVASYKAYSVEGKVKGSFRKSFKWIKDRYLHLVYGWS >ORUFI01G22420.1 pep chromosome:OR_W1943:1:19623887:19626923:1 gene:ORUFI01G22420 transcript:ORUFI01G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAMTDTDGAHKNYPGKMTIFVFLACLVASSGGLIFGYDIGISGGVTSMDSFLIKFFPSVYAKEKEMVETNQYCKFDSELLTLFTSSLYLAALIASLFASVITRKFGRRITMLGGGVIFLVGAILNGAAADVAMLIIGRILLGIGVGFSNQAVPLYLSEMAPARMRGMLNISFQLMITVGILAANLINYFTDKIAGGWGWRVSLGLAAVPAVIMAGGSLFLPDTPNSLLSRGKENEARAMLRRIRGTDDVGPEYDDLVAASEASKAIENPWRTLLERRYRPQLVMSVLIPTLQQLTGINVVMFYAPVLFKTIGFGGTASLMSAVITGLVNMFATFVSIATVDRLGRRKLLLQGGVQMIFAQFILGTLIAVKFGTAGVANISRGYAIVVVLCICVFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSVVVVFNMAFTFIIAQIFLMMLCHLKFGLFYFFGAMELIMTGFVFFFLPETKGIPIEEMDRIWGKHWYWRRFVGAGAGGKVEITSTV >ORUFI01G22430.1 pep chromosome:OR_W1943:1:19628106:19629961:-1 gene:ORUFI01G22430 transcript:ORUFI01G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAGAGDGAPKHYPGKMTVFVFIACLVASSGGLIFGYDIGISGGVTSMDPFLSRFFPSVYAKEKEVVDTNQYCKFDSEPLTLFTSSLYLAALIASLFASVITRKLGRKMTMLGGGFIFLIGAVLNGAAVNVAMLIIGRILLGIGVGFSIQAVPLYLSEMAPAKMRGMLNIIFQLMITVGILFANLINYFTDKIAGGWGWRVSLGLAAVPAVIMTVGSILLPDTPNSLLSRGKENEARTMLRRIRGTEDIGPEYDDLVAASEATKAIENPWRTLLERRYRPQLVMSVLIPTLQQLTGINVVMFYAPVLFKTIGFGGTASLMSAVITGLVNMFATFVSIATVDRFGRRVLFIQGGIQMIIAQFILGTLIAVKFGTAGVANISQGYAIVVVLFICLFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSVVVVFNMAFTFFIAQIFLMMLCRLKFGLFFFFGAMELIMTGFVFVFLPETKGIPIEEMDRIWGEHWYWSRFVGAGRNRVMQMASTNV >ORUFI01G22440.1 pep chromosome:OR_W1943:1:19635813:19647675:1 gene:ORUFI01G22440 transcript:ORUFI01G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFCGTQSIKSTTRLLDDDSSSSAAAGNSYVVIDIDDDDDGGVGDSDDDSACSSSSAEGPCCAVCMEPLEWVAVGPCGHAVVCSVCAARIRSSRSWQPDLRCCICRAHCPFVVVTRAAAAAAPAAMPAVNSYQEWRARGYYWYCTTMLAYFDDVEQYRATRAIARGEVKGGAAVDVDGNDGGGGGRRTLSSCVDVFRFLLIVAIFALFGVLFGSVFSVGHSQAIDDIPQFYASSCSATAYHSHAMEMSRLDASGRGSSRTAADDKGGHVVIDIDAADDIPIPFCVVCMEPLEWVAVGPCGHRVVCSACAARVRSAPYSDHRCCTCRTPCPTVFVTKAAAAAADGELNYLLQLQGDAGSLQDGRVGEYWYLAPMSAYFDDERQYEAAAASSLMMKHQRPPPDADGEFQPRHGGDRGDGALPGDEFGAPELSFLALFFAACGAVVGLGFTGFGTGWGQKVAIVLGSAGIYAPLGTSIVWFMNKNAYCRSAATQDGQVGEYWYCAAMSAYFDDERQYEATAKAEAAAAAGCLKQRPAGADDDDGERDQRYGTAQFLKYSFFAALFGVCIGFVFAVDAPGWGGRVGIVAGSAALSVAVGSVLWFLRKYGYFCGQQQQD >ORUFI01G22450.1 pep chromosome:OR_W1943:1:19640885:19642143:-1 gene:ORUFI01G22450 transcript:ORUFI01G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLDADDANSGSAASATHSHAIGDMPQPDASSRTSADEDDHGHNVGIDDIDDAGDNGSIGSDSDVPSCAVCTEPLEWAAVGPCGHRAVCSACAARVRSAPNPDNRCCICRTICPFVVVTKAAAGVVSFSTLPAVASHDDGRVGEYWYCAAVSAYFDDEQQYEAAKAVASRRHGDQPAMVHRAVHGVGSPKAPTMQIALRVYKTHSHIEIGPDFTEPGFPVME >ORUFI01G22460.1 pep chromosome:OR_W1943:1:19649942:19650379:1 gene:ORUFI01G22460 transcript:ORUFI01G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEIELAEAMTDSDRGVSEEEVTDSKTDSSTRPLRRHRLPDEEEEETTPGDKKDTTAPGDEKDDTELAARLTSCN >ORUFI01G22470.1 pep chromosome:OR_W1943:1:19658970:19659368:1 gene:ORUFI01G22470 transcript:ORUFI01G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAAAQDDGRPVGAYWCSAAMSAYFDDKKHYDQVTKQVVVAAIDRCFLRTPPRRPDVDASPLRRLCVRMSWRGHVLALLVVVLVTALVGGWVGYLTSGDEMMSDRIGIVAGITALWGALAAVVYGIIAVFH >ORUFI01G22480.1 pep chromosome:OR_W1943:1:19661597:19663089:-1 gene:ORUFI01G22480 transcript:ORUFI01G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLDPNSGSGATVAAASHSHVVVDMPQLDASSHSTASYDDKSGHVVIDIESEGRSGTTGSDIILSCVVCTEPLEWVAVGPCGHRAVCSMCVARVRAGPDADKRSCICRTRCYTVAVTKAATAADTLHAFPKTVPVTSRDWRIGKFWYYAAMSAYFDDRNHYKETKRAVRDFLEKQRAAPSPPPPCPDDDVTDENLEAQPPAPHHPRVSWCGILFVLVAFAFVCGPPALRAGVHRVETCATAATRTHQDEVLRISKAPKSQPSATHQDGSLPIAMEKLDGEDREEEEEEEEEEEKKQAASISVMLTH >ORUFI01G22490.1 pep chromosome:OR_W1943:1:19670196:19678408:-1 gene:ORUFI01G22490 transcript:ORUFI01G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHILLLLLAVTVLLSSPTWAAAGGEDQAVASQEVNPLHYNCSLSGGKYEPNSTYEANLRALASLLLAEARATAFASDSFGAAPDAVYGIALCRGDYAGDACAGGLRKAFRDAIDHGVFCAGFRDVTVYYDEHMFRFSGEDFRASLTNAPAWVTWNMNGVAGAAAFGDRVMELINTTAEFAAWNSSKRGYATGEAGFGELDVGATRLGLVEQQCRSSPDLVIFALVQCTPDLSPAGCLSCLSGIASQMPRWFTGAADYRLGGRILGVRCNLRYEVDRFFLESNETIKIHMPKQKGGMSKTDIALITISGVVTPVLPLILIGFIVKKIRDCKLRRELGDWEKTVTEEIDERFSLYPFSMIRDATENFSAENRLGHGSFGQVYRGILQNGLQIAAKRLDQTTWQGLEEFLNEIRIIIRLQHANLVRLLGCCVNRKEQILVYEYMPNRSLDYVLSDRERGASLSWFMRRHIINGIAQGLDYLHNHAPEGLIIIHRDMKLSNILLDSENNPKISDFGIARKFCLNGTEPYVTHPVGTPGYMAPEYIHGDLTPKYDVFSFGVLVLEIISGRRVRSPIFNQHGRSIHLLTYAWNIWSNRRYNELLDPYLRVEFQEELTRQIQIALLCVQKNPGDRPDMHEVTMWLSNNGLGLSEPQEPAYLNVPLGYNDDFVTARPDLEAGIIELQ >ORUFI01G22500.1 pep chromosome:OR_W1943:1:19695593:19700371:1 gene:ORUFI01G22500 transcript:ORUFI01G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGPMLADPTLMVRADQRGPPHMVLVDRYVALVDDIQEVIDEIGGVSFDVSLKAALDALPECSDGQEWDEQQQSAIRAEITRHRAEVLEPAFAIFRDRKACRPAAPGTMRKEPMASRCNSGSYYREVLDGIDPRFSHLTGGAIVNSLTIGVSWPPSHDLWNHPLSASISSCHDCLLVLYFGNYRPGISSPGRTYLASNRATLFLWWSPSSSPLADGQWIQKEVLLPIPATSNQDKDDATRPPTYSFRENMVFAVSTTSLCWVDLRTGILVCDIDKLNTSTDDEDDRLLFCFIPLPEECVMKPGLLSRERPAEEHRTMICMDPETILFVSMNSYIQGLPIGDTVLMTWTLKFPLKNHWTWEKHSAPSLCVGDLLNDLPVLKESKNDGKTQHIANCPVSSIDRQNHLITSLTITKYERKHENGQWGVVELYEVSIDMDRRTVLELSSLESRHSNIFAADFSCCLQQGDLHIVDRINSLIFPFFPLLFLILP >ORUFI01G22510.1 pep chromosome:OR_W1943:1:19718759:19729061:-1 gene:ORUFI01G22510 transcript:ORUFI01G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLLLFLSPVVVLSSSAWTARGEDEAVAVASPEVNPLYYNCSLSGGRYGQNTTYEDNLKALAARLVGVARVSNFASHTVGSAPDAAYGIALCRGDYTGDECANGLRKAFENAVENRLFCDRFRDATIYYDQYMLRFSGEDFRANLTNAPAWVAWNMNNVTGAGGAAKFGGRRRVQYYRDIRTPTGQWFHVVRAPPCHARYATGEAGFGELDVGETSVGMVEQQCSSSPVMYALVQCTPDLLPADCRSCLAGIASQMPRWFSSNTSYRLGGRILGVRCNLRYEVDRFFLESNETIKIHMPKPKVIQESERQKKLAKLETEIIDEIGPLFSRYTLQQIKEATRDFSNEIGKGGFGHVYKGKLPSGTNVAVKRLAVSSSGQGFDQFMNEIKLMATLQHRNLVRLLGFCIQNEENILIYEYMENGSLDDVFSDPERKSRLLDWSTRLRVIDSIAQGLLYLHRLAKQNTCIVHRDIKANNILLDASMNAKISDFGIAKIFCPNLMESATTKGCGSFGYIAPEVLLTGTFSDKSDVYSLGVLILEIISGTKVNSACFFQQGRSDNLLTCAWQLWDAQRYKDLVDRSLISAGENIEDAVLIRYVQMALLCVQANPEHRPNIDKIVAMLSNTEALDVPKEPPAYYNVQVPTSSNHSGAVTPTVFYTSISS >ORUFI01G22520.1 pep chromosome:OR_W1943:1:19756588:19757054:1 gene:ORUFI01G22520 transcript:ORUFI01G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQGERSNSNKNKSNNSQKPSGSKQDSSGSQTKDAPFKTPHCPFCEVDGYWQRNCSCFKVWLAKKGIQYRLESSKRGAKPNRVADKLAKEAIMPRSVHSMCACQNRILLTLTEKAL >ORUFI01G22530.1 pep chromosome:OR_W1943:1:19759768:19764555:1 gene:ORUFI01G22530 transcript:ORUFI01G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYMIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISERKIATSIEALCRGYPCEFQSYFHYCRSLRFEDLPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQITSVPPRAIAAAAGQSSGMSPIANNNRLSATEEGRRSGWSDDPTRRQVPPTGINAGSLSKQKSPVRPDMSTSKDALFSSSTMLGRSSGSLRRPVVSSSRELQSSEAEPSRSRTPDASPGTFQRSAPPRRSSQMLDYSDPRHSSSGRHAANKNYESTIRGIQGLNFDANDRIHY >ORUFI01G22530.2 pep chromosome:OR_W1943:1:19759768:19764369:1 gene:ORUFI01G22530 transcript:ORUFI01G22530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYMIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSVAF >ORUFI01G22540.1 pep chromosome:OR_W1943:1:19767382:19768041:-1 gene:ORUFI01G22540 transcript:ORUFI01G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTVTHPAAAAALNHERHLLRRLAVSSPTILHAAWVTALVAVCLALCITHSRKPLPSSSSSSKAARRERGASSSTRRRSAPGDEGSSGGVGGSSAKAAATAXDDDDEDAGGDPAAAAAAAADEGGTTLWTKTIILGERCRVEDDEDEDGGGGAVVRWRSYRPRQPRSLPMTRSNSFAGVGSRSLQLQGGGGASRPPPAADVPFHLGRTASLPAKDEL >ORUFI01G22550.1 pep chromosome:OR_W1943:1:19772944:19778144:1 gene:ORUFI01G22550 transcript:ORUFI01G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGAGPIVIGQACEFDYSGTQACKALAEEGYEVVLVNSNPATIMTDPDLAHRTYIGPMTPPLVERIIAAERPDALLPTMGGQTALNLAVSLADSGALDRLGVRLIGASLPAIRAAEDRQLFKQAMDRIGLKTPPSGIGTTLEECISIAEDIGEFPLIVRPAFTLGGTGGGIAYNRAEFEDICRAGLAASHTQQVLVEKSLLGWKEYELEVMRDMADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPADGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPVLTTQMKSVGEAMALGRTFQESFQKAVRSLETGFAGWGCAPIKELDWDWEKLKYSLRVPNPDRIHAIYAAFKKGMRIQDIHEISFIDKWFLTELKEVVDVEQFLISRGLDQLSKDDFYQVKRRGFSDTQIAFATSSSETDVRLRRLALEVAPTYKRVDTCAAEFEANTPYMYSSYEYECESVPTNKKKVLILGGGPNRIGQGIEFDYCCCHASFALREAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVTNVIDLERPDGIIVQFGGQTPLKLALPIQQYLEDKKLVSASGTGLVKIWGTSPDSIDAAEDRKRFNAILEELGIEQPKGGIARSESDALSIASEVGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPERPVLVDKYLIDAIEIDVDALADSVGNVVIGGIMEHIEQAGIHSGDSACSLPTRTVSAKCLDIIRSWTTKLAKRLNVCGLMNCQYAITTSGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGVTLPELGYTQEVVPKHVSVKEAVLPFEKFQGCDILLGPEMRSTGEVMGIDYEFSGAFAKAQIAAGQKLPLNGTVFLSLNDLTKRHLAEIGRGFRELGFNIIATSGTAKVLQLEGIPVEPVLKIHEGRPNARDMLKNGQIQVMVITSSGDALDSKDGLQLRRLALAYKVPIITTVDGARATIDAIKSLKNKSIETLALQDYFQTTDASQNLQAAQSAS >ORUFI01G22560.1 pep chromosome:OR_W1943:1:19782024:19783519:-1 gene:ORUFI01G22560 transcript:ORUFI01G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPRRRIRGRKHRLVKLPSARASDDRSVRLLPSPLLPPHFGLVLSLAPAPTLMQSVSPHNNRVIHPSIHPSILPPPIYGRANHAASQSPTAQLHSSPNKLTAFSFIIFLHSSPPPSSAEQLGREQQVDVVFVFFKEKPMTLRPLNTERSFLLSSPKPHSPRDACSPPVRSPSSTRLLACRKLPSSSKPMATGAGVLERSLSFKNWEPTAAEEAAVAAPPPHDEAASRCINGARPGILLLQQSPKAKQGDAATSPAQAALIEFISPKPRSELDQAATKVQKLFKGHRTRRNLADCAIVVEELWWKAYDSACLNIKSISFFDEAKQETAASRWSRAGKRIAKVGKGLSKNEKAQKLALQHWLEAVSPSSWNYRSHLFALV >ORUFI01G22570.1 pep chromosome:OR_W1943:1:19801926:19805743:1 gene:ORUFI01G22570 transcript:ORUFI01G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQESTFSSASTAAQVNACALDLLPVYAKELIAGGAAGAFAKTAVAPLERVKILLQTRTHGFQSLGILQSLRKLWQYEGIRGFYKGNGASVLRIVPYAALHYMTYEQYRCWILNNFAPSVGTGPVVDLLAGSAAGGTAVLCTYPLDLARTKLAYQVSNVGQPGNVLGTAGRQPAYSGIKDVFKTVYKEGGARALYRGVGPTLIGILPYAGLKFYIYEDLKSRVPEDYKRSVVLKLSCGALAGLFGQTLTYPLDVVRRQMQVQNKQPHNANDAFRIRGTFQGLALIIRCQGWRQLFAGLSLNYVKVVPSVAIGFTTYDMMKNLLRVPPRERLYQSSGNA >ORUFI01G22580.1 pep chromosome:OR_W1943:1:19806303:19811051:-1 gene:ORUFI01G22580 transcript:ORUFI01G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQRKNSKKVKDSNGSSSKKDKDSRGKNIFDSAKGGLGALAGTLQTAKNDAGEKAENLQDDVKTTIGAILRRGSGVLEKAKEIGGHSEASQSKELEQGSEEQGKKDMEALTKVMDKVKEVKSNPEVVEKLDKVKEDISSLAHALHLGKHDKEHESEEKAKEGETAKSADEGASASKSEDSGVVVQAVEEIQAVVTAVQQQLHTEGAAAETPNEAAAAETSAEGEKPEESKRDVEKDDPSKRLDFKGFFAMIFERCCNPGNKKKD >ORUFI01G22590.1 pep chromosome:OR_W1943:1:19817245:19820617:1 gene:ORUFI01G22590 transcript:ORUFI01G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKTLARAGSSLLGRLLASPSPLRAGLPPPSLLSRIQPLVPPPPPPQPRPETTVEAYEAQAVARLSSLPGEISFPCGLPSLRFIIEDGKDPVANEPLELLPKRTYQPSTIKRKRTHGFLTRKSTKGGRKVIARRIAKGRHRISV >ORUFI01G22600.1 pep chromosome:OR_W1943:1:19823013:19825988:1 gene:ORUFI01G22600 transcript:ORUFI01G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPQTPRARRAAHPNSHMASSSSSSSLCRLLIPRPTTRRFSGGGGEGGMAAAAPVKREVKPEAGEGWGGGDLGVVPPPPRPMEGLGEAGPAPFVAKTYEMVADAATDAVVSWGPGGSGASFVVWDPHALAAGVLPRFFKHANFSSFVRQLNTYGFRKVTPDRWEFANEAFLAGQKHLLKNIKRRRVSKPLVDSQLRNKASVVFGQPEAPGEVVSLKRDRAALRAEVIMLKQQYNACKSQLIAMEEMVRNIERRQQQTIGFFAKVLTNPAFVQQVLLNYVNKNGLRGAAKRQRLMENEEQHADSPLNKGMEAASVMEADVSPGSTGCGTVGKVETTPMCNFQNIENMCDDVWEELDALPETGMEQEEKAGIGSFDVEEFVGRPCGWVDDCPYLVEPMQFVEH >ORUFI01G22610.1 pep chromosome:OR_W1943:1:19826393:19826857:1 gene:ORUFI01G22610 transcript:ORUFI01G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGPTLLFISTDGDASSLSLLAIPPPSSSSLTGGLPLRPELFGREARVIWSRWLVAAITVASVGGGGGGGRSTAMGLKEGSDGDDVDGRSSRGRRRRLLGTFADTSFSAGGREEAGGGGGDEIYVKKPAAAVKKLAVTVTTRDETSWQCRCCL >ORUFI01G22620.1 pep chromosome:OR_W1943:1:19828502:19829840:-1 gene:ORUFI01G22620 transcript:ORUFI01G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSRSTSSGAAKKPSPRNPRCAACKFLRRACSPEECRLAPHFPASQPERLQSVERVFGMRKVLELAHKAGPEYLDDILSSIVYEAEAWGRDPVWGPTGVVGALELEIGTARADLAVLQGQDQDQPPALAPYFPAGQPPAQFGNVHRLFRLNNVLRMMEETRREERDDLMAAIVYEADAWARDPRYGVAGVVRSLTNELARVRLDEIAFHLLGTDETYDGYKEEDALAVDPVSGAQAGIGVLGGSGADLQENTGILLGADEPGPGELAVDPIKDVFDIDRLLAVDDDLSSGTQP >ORUFI01G22630.1 pep chromosome:OR_W1943:1:19830180:19832056:1 gene:ORUFI01G22630 transcript:ORUFI01G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRRLRRRGHDGRTEGGVCGRRMGWLLGLGFGRKMVAIAGWCCTAASSVAPSCGHNTMPPSAPVAGQPLPPLAAHQSLVPPPLCPPPFTGATFPVARQIGGKVKQRKAEFQEPGAMISWKRREQHLKNTGGRSTRNILQALKVPAEH >ORUFI01G22640.1 pep chromosome:OR_W1943:1:19841318:19848784:1 gene:ORUFI01G22640 transcript:ORUFI01G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQSKACVMILNRQGLIRCPVKTPSNSSSANKRPLDPSGQLTRASTFDHASPSKSVPFLRNGTENVCIASFHRPVYRRRAIGAPQPLVLLMLRRQYALKGS >ORUFI01G22640.10 pep chromosome:OR_W1943:1:19833970:19848737:1 gene:ORUFI01G22640 transcript:ORUFI01G22640.10 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMDGDFAPLLELIKLHRMYGLLLVMDVPWTVGDHCDVGVIGLTKCSGTHGLLPCFDTFLLVSIQRETICVHPLDFMIWPQSCLPQFSHQ >ORUFI01G22640.11 pep chromosome:OR_W1943:1:19833970:19848784:1 gene:ORUFI01G22640 transcript:ORUFI01G22640.11 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMDGDFAPLLELIKLHRILGQLEIIVMLVHNHVFRSFRINEAECNKSKLLEATGLETGETILFWMPSQSKACVMILNRQGLIRCPVKTPSNSSSANKRPLDPSGQLTRASTFDHASPSKSVPFLRNGTENVCIASFHRPVYRRRAIGAPQPLVLLMLRRQYALKGS >ORUFI01G22640.12 pep chromosome:OR_W1943:1:19833970:19847180:1 gene:ORUFI01G22640 transcript:ORUFI01G22640.12 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMDGDFAPLLELIKLHRMYGLLLVMDVPWTVGDHCDVGVIGLTKCSATIMSSAVFASMRLNATNQSYLRGDNLKLKTSHGLKIKIKIKEVASTLYMTT >ORUFI01G22640.13 pep chromosome:OR_W1943:1:19844965:19847178:1 gene:ORUFI01G22640 transcript:ORUFI01G22640.13 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLRLRLNTKAQHRRGKTHRSKLGTKIGPHSHRAHEALARHKSPDFRRTGPPSRTNTSPQPPKDSTARSGRRPTEGRSITEGRRPGRPEEELRVGVRGTQDLGVNHVSGEELLVSRDKTSRLWQPPPRYHQRRERGALGRAAEQLDNWQQRSTGSSDGERKGGRKPPLLLRFAGLRPPEPAAAASTDSAHAPLREPSPPTRRSSLLHRRKSEGLPDPATATPDLPPPSRETSPPPLTGRGDNLKLKTSHGLKIKIKIKEVASTLYMTT >ORUFI01G22640.2 pep chromosome:OR_W1943:1:19833991:19848784:1 gene:ORUFI01G22640 transcript:ORUFI01G22640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMDGDFAPLLELIKLHRMYGLLLVMDVPWTVGDHCDVGVIGLTKCSGTHGLLPCFDTFFHNHVFRSFRINEAECNKSKLLEATGLETGETILFWMPSQSKACVMILNRQGLIRCPVKTPSNSSSANKRPLDPSGQLTRASTFDHASPSKSVPFLRNGTENVCIASFHRPVYRRRAIGAPQPLVLLMLRRQYALKGS >ORUFI01G22640.3 pep chromosome:OR_W1943:1:19840161:19848784:1 gene:ORUFI01G22640 transcript:ORUFI01G22640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQSKACVMILNRQGLIRCPVKTPSNSSSANKRPLDPSGQLTRASTFDHASPSKSVPFLRNGTENVCIASFHRPVYRRRAIGAPQPLVLLMLRRQYALKGS >ORUFI01G22640.4 pep chromosome:OR_W1943:1:19833991:19844933:1 gene:ORUFI01G22640 transcript:ORUFI01G22640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMDGDFAPLLELIKLHRMYGLLLVMDVPWTVGDHCDVGVIGLTKCSGTHGLLPCFDTFLLVSIQRETICVHPLDFMIWPQVKGKCTNLATKATPTRNAIDEVTERGARPSRRSLQGGEQRQKPLPTPAHNWTGFSPKGRKSPQDNTSKEETAPAGVDVADPGRPDRAFAEDSLKRCRTSKKPKQKC >ORUFI01G22640.5 pep chromosome:OR_W1943:1:19833991:19844933:1 gene:ORUFI01G22640 transcript:ORUFI01G22640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMDGDFAPLLELIKLHRMYGLLLVMDVPWTVGDHCDVGVIGLTKCSDNLGLQPQVKGKCTNLATKATPTRNAIDGDRCSIDPSSPACYSHFAGGARPSRRSLQGGEQRQKPLPTPAHNWTGFSPKGRKSPQDNTSKEETAPAGVDVADPGRPDRAFAEDSLKRCRTSKKPKQKC >ORUFI01G22640.6 pep chromosome:OR_W1943:1:19833970:19844933:1 gene:ORUFI01G22640 transcript:ORUFI01G22640.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMDGDFAPLLELIKLHRMYGLLLVMDVPWTVGDHCDVGVIGLTKCSDNLGLQPQVKGKCTNLATKATPTRNAIDEVTERGARPSRRSLQGGEQRQKPLPTPAHNWTGFSPKGRKSPQDNTSKEETAPAGVDVADPGRPDRAFAEDSLKRCRTSKKPKQKC >ORUFI01G22640.7 pep chromosome:OR_W1943:1:19833970:19847180:1 gene:ORUFI01G22640 transcript:ORUFI01G22640.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMDGDFAPLLELIKLHRMYGLLLVMDVPWTVGDHCDVGVIGLTKCSATIMSSAVFASMRLNATNQSYLRGDNLKLKTSHGLKIKIKIKEVASTLYMTT >ORUFI01G22640.8 pep chromosome:OR_W1943:1:19844965:19848784:1 gene:ORUFI01G22640 transcript:ORUFI01G22640.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLRLRLNTKAQHRRGKTHRSKLGTKIGPHSHRAHEALARHKSPDFRRTGPPSRTNTSPQPPKDSTARSGRRPTEGRSITEGRRPGRPEEELRVGVRGTQDLGVNHVSGEELLVSRDKTSRLWQPPPRYHQRRERGALGRAAEQLDNWQQRSTGSSDGERKGGRKPPLLLRFAGLRPPEPAAAASTDSAHAPLREPSPPTRRSSLLHRRKSEGLPDPATATPDLPPPSRETSPPPLTGYSHLTQHIIHNHVFRSFRINEAECNKSKLLEATGLETGETILFWMPSQSKACVMILNRQGLIRCPVKTPSNSSSANKRPLDPSGQLTRASTFDHASPSKSVPFLRNGTENVCIASFHRPVYRRRAIGAPQPLVLLMLRRQYALKGS >ORUFI01G22640.9 pep chromosome:OR_W1943:1:19833970:19848784:1 gene:ORUFI01G22640 transcript:ORUFI01G22640.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQSKACVMILNRQGLIRCPVKTPSNSSSANKRPLDPSGQLTRASTFDHASPSKSVPFLRNGTENVCIASFHRPVYRRRAIGAPQPLVLLMLRRQYALKGS >ORUFI01G22650.1 pep chromosome:OR_W1943:1:19850954:19851331:-1 gene:ORUFI01G22650 transcript:ORUFI01G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTPPPPCAACAHQGRPSCPAGCPLAPYFPADRPERFEYANLLYGVDGILRRLEAAGPDPGTRRATMASIVFVSDARAADPVHGAYGVIRNLQQELASVKAEIAAIRQKQQQAQPPPPPEDGH >ORUFI01G22660.1 pep chromosome:OR_W1943:1:19852539:19852799:1 gene:ORUFI01G22660 transcript:ORUFI01G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAPVSFQGQGRHGWRPPPPFSPPPPSSLLHLAAARADCRKPRGCEEVGGGAFLLPRGRGSVPTTPTSWGRRRCGGIGVGVGASG >ORUFI01G22670.1 pep chromosome:OR_W1943:1:19853694:19854156:1 gene:ORUFI01G22670 transcript:ORUFI01G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGCLCGCCEDAGESLTVLLAGSTMARPWVSFPSLEALSWHSFISSQISPGENLVPIFGRAAAASHVVSSLGASLRRSSNASMTVDGFTFLGLLLFCGGRHALRLFLLMKSKLLADGVRRRLATMTCCSLFQGVLVLAV >ORUFI01G22680.1 pep chromosome:OR_W1943:1:19854539:19854882:1 gene:ORUFI01G22680 transcript:ORUFI01G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVTTADAARRSTGSAFLYPQLTGHCNHLYQLGDPGEVALAPCASKGSLHAGGKEEDGETSVGVPQDKVRQRGASPPHMLAHAEGKIQLHVVHGQR >ORUFI01G22690.1 pep chromosome:OR_W1943:1:19856505:19856750:-1 gene:ORUFI01G22690 transcript:ORUFI01G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKEETIVYEADPDALTVDLVDDPLVKEFRDKLVDEVLTIDAGDMEALFEEHQENPELERTLMLISQSDQDADAADGDTP >ORUFI01G22700.1 pep chromosome:OR_W1943:1:19859098:19867294:1 gene:ORUFI01G22700 transcript:ORUFI01G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSPVPPAAAPASLAAAPPTTDVLSRRRAHLDSASYRALSRLFSHCLHLHPPRHAARPDAEVEPAAAAAIPPGGSGGLPHGDSPPPADVGGDRGKNLEVEVALGNHAPHETPSTSASPDAAVNPTTDPGVVPQGTEEGRVAGVERVEGVEEVVFAGGTSGEADADGDELGAGAGLMGDDEALRSMQACLDGEDSELVIEMVGNDNEQLQLDVMMNNLSGLIDDASACVMSAQSCGVSGDKLQSDDRVAEEVKELGAGIGNDRSVCSLDHGSLDGGGGFEEGEIEGDTQNLDADDSGNSELQDDVELEEDFDSRRIEEDGSCGHDLKSNLHLIPQKGNGDTARNMLCNSKGDSQMHVARAQAVSYDEVLDWNETPLPDDKALKHGNTRKRTLTEERKAKKTKTKRIKRALQREAEGVKRLKLQPVIKPKVVKVCHFYLHGKCQQGNLCKFSHDTTPLTKSKPCTHYARGSCLKGDDCPYDHELSKYPCHNFMENGMCIRGDKCKFSHVIPTAEGPSTPDAKKSNASSVPEKANCQEQTSRQKTSTVYSGEPATSVPIKHHSILKNLAGISGNAQKDVVSTEKHKNPTGGPHQNFGRPQPADGKKLGKHNGHRSAPLLDEKDSSKQANLHPCSEPKKNSLPTTAAVPSSHTWMLFSDEPVPMRLCSIFCIPHTSFIAVTPSCKLQLLMWTYFAELGANIYCQILKIGNYQMNALLFNPQAYNSKSQFLIFISLQQQEANSAAKGSMPVCIHQLVLSMFLNKDLNWKVGVYLFVWDYENAKRHMT >ORUFI01G22700.2 pep chromosome:OR_W1943:1:19859098:19866081:1 gene:ORUFI01G22700 transcript:ORUFI01G22700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSPVPPAAAPASLAAAPPTTDVLSRRRAHLDSASYRALSRLFSHCLHLHPPRHAARPDAEVEPAAAAAIPPGGSGGLPHGDSPPPADVGGDRGKNLEVEVALGNHAPHETPSTSASPDAAVNPTTDPGVVPQGTEEGRVAGVERVEGVEEVVFAGGTSGEADADGDELGAGAGLMGDDEALRSMQACLDGEDSELVIEMVGNDNEQLQLDVMMNNLSGLIDDASACVMSAQSCGVSGDKLQSDDRVAEEVKELGAGIGNDRSVCSLDHGSLDGGGGFEEGEIEGDTQNLDADDSGNSELQDDVELEEDFDSRRIEEDGSCGHDLKSNLHLIPQKGNGDTARNMLCNSKGDSQMHVARAQAVSYDEVLDWNETPLPDDKALKHGNTRKRTLTEERKAKKTKTKRIKRALQREAEGVKRLKLQPVIKPKVVKVCHFYLHGKCQQGNLCKFSHDTTPLTKSKPCTHYARGSCLKGDDCPYDHELSKYPCHNFMENGMCIRGDKCKFSHVIPTAEGPSTPDAKKSNASSVPEKANCQEQTSRQKTSTVYSGEPATSVPIKHHSILKNLAGISGNAQKDVVSTEKHKNPTGGPHQNFGRPQPADGKKLGKHNGHRSAPLLDEKDSSKQANLHPCSEPKKNSLPTTAAVPSSHTWMLFSDEPVPMRLCSIFCIPHTSFIAVTPSCKLQLLMWTYFAELGANIYCQILKIGNYQMNALLFNPQAYNSKSQFLIFISLQQQEANSAAKGSMPVCIHQLVLSMFLNKDLNWKVGVYLFVWDYENAKRHMT >ORUFI01G22710.1 pep chromosome:OR_W1943:1:19878556:19883161:1 gene:ORUFI01G22710 transcript:ORUFI01G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHHLQQQQQQEEEEGGGLFLVEEAAEAADQQQESSMSNLTSSASTVPPPPPPSSGNNGNNSNKRKRSLPGNPDPEAEVVALSPATLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRGSKEAVRKKVYICPEASCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCDKCSKKYAVHSDWKAHSKICGTREYKCDCGTIFSRRDSFITHRAFCDALTEESAKAIGGIPAAMAAPGHHHHHHHHHHQLLFSPPPVMAHHQELAALQEQQQQQHHQDVMQSPHQHQQQQQQRQQLVQQNCGYAVKPEMAPWPTTMPYDHHHPLLQPLCNANAAATAQSSATSAPPTTPQLPAAAAAAHLSATALLQKAAQMGATIGGAGTGAAGAHYAHMASPAGAGEPAGGSATFGLGLSCLNTHQDGGGGGGNGLIPAGMMGHLARTASHGRSGEDVAGAGGGGGDGMTRDFLGLRAFSHRDILLAGFDSSCMGHVNAAAGMAGYEPPPPHHGQPQHQHQQQQQQQQGGSNEPWHGMGSHS >ORUFI01G22720.1 pep chromosome:OR_W1943:1:19905415:19912576:-1 gene:ORUFI01G22720 transcript:ORUFI01G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSATAGRRSPFSALLLYVTFALVILLLLTSYSPSIPHRGRSLHRRLKLHPRNPSLSGAGAGAPTGGNGAPQQQQNHHHAAPFDPEIAELERRLEDKEWEREHYRILHGDGGGGEADEHMREWEEWNLEQSRADQLHRSAREMELYDKNGDGVVSYGDFRAQHNESSGEVNSLGFPWWKEEHFNASDADGHGFLNKTEFNDFLNPSDSENPQIINLLCKQEIRQRDKDGDGKLNFEEYFHGLHDHIHGYDDENADISHIGNNTVAKERFSKLDKDSDGFISEHELEPVLDKLHLSERYYARQQAAHAISEADKDHDGRLTLDEMIENPFININMNVENARMTYIVKWREEKVKL >ORUFI01G22720.2 pep chromosome:OR_W1943:1:19905415:19912576:-1 gene:ORUFI01G22720 transcript:ORUFI01G22720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSATAGRRSPFSALLLYVTFALVILLLLTSYSPSIPHRGRSLHRRLKLHPRNPSLSGAGAGAPTGGNGAPQQQQNHHHAAPFDPEIAELERRLEDKEWEREHYRILHGDGGGGEADEHMREWEEFLREDEDFINDDERFNLGDRIRALFPKIDLAPRDGFASLDELTRWNLEQSRADQLHRSAREMELYDKNGDGVVSYGDFRAQHNESSGEVNSLGFPWWKEEHFNASDADGHGFLNKTEFNDFLNPSDSENPQIINLLCKQEIRQRDKDGDGKLNFEEYFHGLHDHIHGYDDENADISHIGNNTVAKERFSKLDKDSDGFISEHELEPVLDKLHLSERYYARQQAAHAISEADKDHDGRLTLDEMIENPFININMNVENARMTYIVKWREEKVKL >ORUFI01G22730.1 pep chromosome:OR_W1943:1:19921437:19922192:1 gene:ORUFI01G22730 transcript:ORUFI01G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEEAGPSSSGHSSPTSNTSTTPPPQPPPPPPPPPHPQACAACKHQRRRCTPECRLARYFPANQPARFRNAHRLFGIKNILRVMASASEELRDDAMKSVVYESDAWVIDPVGGAAGIVKGLSQELARLKAELDAVKGLIELHRRAAAQQQQQPPVAVASNGGFLPSPPPPPQGQQQLLFLPPPPPTMMLQDGHCDDETVEDDYLVDPPAVDAAAATSTAPERHGDGHAPPANVKEEGNVDHTSSMSFRDR >ORUFI01G22740.1 pep chromosome:OR_W1943:1:19924213:19924728:1 gene:ORUFI01G22740 transcript:ORUFI01G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPWRPFVADISPVAVADDDDPPAACAACAHLGRACPTGCPLARCFPAAGDQPADGRLFRNAFRLFGVGNVVGFLLAAGGDPGKRRDAAVSVAYEADARADDPVRGAHGVVMDLERELDCLKAELATAQSALARHRQYAQPPPPPPDALDRARALNYFCHGCCGDVDGAA >ORUFI01G22750.1 pep chromosome:OR_W1943:1:19925256:19925506:1 gene:ORUFI01G22750 transcript:ORUFI01G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAGAADGEAGGCRARSRGGHVAPIALTAGNGVGEVGGSRGQCVLVCGGGGLIVAPSVRPCPRGAPRFLLAGGSVSQG >ORUFI01G22760.1 pep chromosome:OR_W1943:1:19927550:19930966:1 gene:ORUFI01G22760 transcript:ORUFI01G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPAATASTPRWSSSSTISACPSQAAMCITVLDPSSEISNHTSTAAQSPPKSGRRGGFDIGDNGGRSVPCAQGAEDGVLAGVPARVILHGDEKVRLLNAQRLFGTRNIRRFVQATLPEKRDDLMSSIKYEAQVWARNPQSGATGVMWHLERKVERELAKLSKLRQKLEMCKNLAAKKSILEAKGVGVRQVTSKEQEQERQYQACPARISAWTLRLFVHRSACEIPISQTESHIACMQFFGRAFMAFVGQIQEEAINHEAEDAHYDFFPLQPHLVLDSPRNPHPLGRPPLTRSHNVHG >ORUFI01G22770.1 pep chromosome:OR_W1943:1:19931758:19931997:1 gene:ORUFI01G22770 transcript:ORUFI01G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPAGLVGLNDIDHGVRQVWADTRHGIAGGEHERVHAETFALAPQPHKQHRPAYHAAHFDNNDLLLGTHAAIDFYEVDA >ORUFI01G22780.1 pep chromosome:OR_W1943:1:19932058:19935223:1 gene:ORUFI01G22780 transcript:ORUFI01G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDDYLDDPPAIDAVAYVTWIRQRSHNIKRKQHLDLVVLGGLVLASYHLLDDALIDLAAAMSTAPERHGDGRAPPADDKREGTHKNLVANKGIPEAKGVGVRQVTSKVLEQEHHCHACPARMDATLVCLPQCLLVTHFPDGKPQSLHAVLRKAFMAFAGLDQGEVINHEAEDVHHDFFPLQDHPALNSPCNPHPLGGHYCRARTTCLAGLFGLNDIDHGGRQVWADTRYGIAGGEHERVHAETFALVPQPHKQHIPAYHATHFDNNDLLLGTHAAIDVYEADAAAAVVSAAAADATRWPLDDEMVEDDYLDDPPIIDAAATSTAPERHGDGRAPPADVKGEGDVDSSMSFRDRRDCHDRHNCCMFAPFVCG >ORUFI01G22790.1 pep chromosome:OR_W1943:1:19936947:19937688:1 gene:ORUFI01G22790 transcript:ORUFI01G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMLVYSPECLLDTHFPNGKPHNLHAILWRRRSTRMQDVHHDFFPLQAQLALDSPATPTHWGCRCCRARTTCTAGFIGLNNIDHGGRQVWANTRYGVADAEHERVHAETFALAPQPHQQHRPADHAARFDNSDPFLGTPHGHRPASKAWGSWSTRSSIGGSRTVQVQQQQQQQPASNFQDNILLLSGDLIANAGREETIVYEADPDALAVDLVDDRSVGG >ORUFI01G22800.1 pep chromosome:OR_W1943:1:19937081:19937410:-1 gene:ORUFI01G22800 transcript:ORUFI01G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWGAQEGVAVVEPGRVVGRPVLLVRLWRQREGLGVHALVLCIGDAVPGVGPNLSSAVVDVVEANESGRARCASAATAASPVGGGCRRVERQLGLEGEEVVVHILHPS >ORUFI01G22810.1 pep chromosome:OR_W1943:1:19940327:19940773:1 gene:ORUFI01G22810 transcript:ORUFI01G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPPHHPPCAACAHQGRPSCPAGCPLAPYFPADRPERFEYANLLFGVDGILRRLEAAGPDTVTRLATMASIVFVSDARAADPVHGAYGVIRNLQQELASVKAEIAAIRQKQQQAQQPPPALEDAPPPPPPPPPSLFSTILFSLHT >ORUFI01G22820.1 pep chromosome:OR_W1943:1:19944311:19944754:-1 gene:ORUFI01G22820 transcript:ORUFI01G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTCAAAWGAMATGRSTAARAARQGREAARHGARRGSDGDSARGGEGLETSGTRGAAAAVRGSERRRDASATATARGDARQAAAATQRRAARRGARGDGTAAQQRHMAWRRGDGDMTRAARGSGDGTAKVRCGSAGGARGGRAMG >ORUFI01G22830.1 pep chromosome:OR_W1943:1:19945597:19947010:1 gene:ORUFI01G22830 transcript:ORUFI01G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAYAITASSPASSPAGHELASLSVLASSTSPSPTYKRPPSPHELVRPSLPFLLPTTHFVQHLVGVLQLLPGSTTAAELRHSAVPRAIIVTSPSYEAPPVEVTVDMPSGGLSLRFFWKNGTLMRHRCSRPHQFGLKPFPKLGHDVAVPQRSPLLRLAPPPPVEGRLSSSAHPLAAAVPPRPVPVAPSRALIDHDDNRKIKKP >ORUFI01G22840.1 pep chromosome:OR_W1943:1:19950110:19965014:1 gene:ORUFI01G22840 transcript:ORUFI01G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRVLSQVARNRSAYAICNEIIASNPSRILRGDTIAGGTLRNLHERYQSSYVGSFARRMRQMDSPSEASLLKEIYRSDPERVIQIFESQPSLHSNPSALAEYVKALVRVDRLEDSTLLKTLQRGIAASAREEENLGSVSENLGSVSAFRSAGQVTKDGILGTANAPIHMVTAETGQFKEQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESNTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFMDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKSDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDESRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGMALGMVAQLPDKDETSVSRKQMLARLDVCMGGRVAEELIFGDSEVTSGASSDFQQATAVARAMVTKYGMSKQLGFVSYNYEDDGKSMSTETRLLIEKEVKCFVENAYNNAKNILIKHNKELHALANALLEHETLTGAQIKNILAQVNNKQQQEHAIEAPQKTPAVPSSPAASAAAAAAAAAAAAQQAAAKAKGEIAGIGS >ORUFI01G22840.2 pep chromosome:OR_W1943:1:19957811:19965014:1 gene:ORUFI01G22840 transcript:ORUFI01G22840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRVLSQVARNRSAYAICNEIIASNPSRILRGDTIAGGTLRNLHERYQSSYVGSFARRMRQMDSPSEASLLKEIYRSDPERVIQIFESQPSLHSNPSALAEYVKALVRVDRLEDSTLLKTLQRGIAASAREEENLGSVSENLGSVSAFRSAGQVTKDGILGTANAPIHMVTAETGQFKEQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESNTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFMDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKSDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDESRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGMALGMVAQLPDKDETSVSRKQMLARLDVCMGGRVAEELIFGDSEVTSGASSDFQQATAVARAMVTKYGMSKQLGFVSYNYEDDGKSMSTETRLLIEKEVKCFVENAYNNAKNILIKHNKELHALANALLEHETLTGAQIKNILAQVNNKQQQEHAIEAPQKTPAVPSSPAASAAAAAAAAAAAAQQAAAKAKGEIAGIGS >ORUFI01G22840.3 pep chromosome:OR_W1943:1:19950045:19955804:1 gene:ORUFI01G22840 transcript:ORUFI01G22840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRVLSQLARSRPASTIYNELITSRPSWLLRGDVNGGGTLKNLNERYQSSFVGSLARRVQNLDVPSEASLLKEIYKSDPERVIQIFESQPWLHSNRLALSEYVKALVKVDRLDDSTLLKTLRRGMAVSGGEGERVGSSSALKSAGQATKDGILGTANAPIHMVTSETGHFKDQIWRTFRSLALTFLVISGIGALIEDRGISKGLGLSQEVQPIMDSKTKFSDVKGVDEAKAELEEIVHYLRDPKRFTHLGGKLPKGVLLVGPPGTGKTMLARAVAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFMDEIDAIGGSRNPKDQQYMRMTLNQLLVELDGFKQNEGIIVIAATNFPQSLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMLKVLKSDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDESRKLTAYHEGGHALVAIHTEGARPVHKATIVPRGRTLGMVSQLPEKDETSFSRKQMLAWLDVSMAGRVAEELIFGDSEVTSGASSDFQNATKMARAMVTKYGMSKQLGFVSYNYEDDGKSMSTETRLLIEQEVKSLLENAYNNAKTILTKHSKEHHVLAQALLEHETLTGAQIKKILAQANSTQQQQEHAAEAPRKTPAAPSSPAASAAAAAAATAAAAAKQAAAKAKGVAGIGS >ORUFI01G22850.1 pep chromosome:OR_W1943:1:19956779:19958188:-1 gene:ORUFI01G22850 transcript:ORUFI01G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPTRKRTLCEGGGGGGGGRVALEKRAKPQERRGLGRGGGEEFAGGEVGGREEGWATSVDGHAAQGDTDRREEFSRRSSSAIVEAAAATLTDAAVADRCCQAAATSVHHHRHRSRCRRAHHPSAARCGSSGEEEGVAVAARDGGGGGGGRTKARDVSEVENKMSLSGSNDLDVVSCDDMGGGMGDDDDEDAEHGKPCNANQHSTHAPLRLAMLPLCEKEAEKEREGESMRGRGKK >ORUFI01G22860.1 pep chromosome:OR_W1943:1:19964009:19966597:-1 gene:ORUFI01G22860 transcript:ORUFI01G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAAYLLCARAAAAAPTLRLRGGGRGARLVFSCSASSSSPSGEGGFSGKVGFLGLGIMGAPMASNLINAGCDVTVWNRTRSKCDPLLSLGAKYEPSPADVASSCDVTFAMLADPESAVEVACGANGAAQGMAPGKGYVDVSTVDAATSKLIGKHITSTGASFLEAPVSGSKKPAEDGLLIFLTAGDESLYNRVASLLDVMGKSRFFLGDVGKGADMKLVVNMVMGSMMVSFSEGLLLSEKVGLDPNTLVEVISQGAISAPMFSLKGPSMVKAAYPTAFPLKHQQKDLRLALALAESVSQSIPTVAAANELYKVAKSLGLADQDFSAVIEALKAKEQSK >ORUFI01G22870.1 pep chromosome:OR_W1943:1:19966789:19967536:-1 gene:ORUFI01G22870 transcript:ORUFI01G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIRALRNMDDDEVFAYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFRHPRTTYNGSSRS >ORUFI01G22880.1 pep chromosome:OR_W1943:1:19967582:19967977:-1 gene:ORUFI01G22880 transcript:ORUFI01G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERVVGAEGDGGRPGRRTAGRRRRRIAHGAAGDALRVGAVRGGGGGLDFFASRSHAAHLIDLVTSPWPGRVVASKHAAASSRALRRAARTAHHQRHRGSRAPRL >ORUFI01G22890.1 pep chromosome:OR_W1943:1:19967609:19969260:1 gene:ORUFI01G22890 transcript:ORUFI01G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMMCCSGSSPQSTRRRGGVLGRDDAAGPRRGDEVDEVGGVGARREEVEPATAAAHRADAEGVAGGAVGDAPPPPPRRPPSGPAAVALCSTAKKKKRGKEKNIH >ORUFI01G22900.1 pep chromosome:OR_W1943:1:19974286:19975161:-1 gene:ORUFI01G22900 transcript:ORUFI01G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAYVPASKPVPVAAARAANGVANGGGGGVGGGGGGGAARPPPMVPGRVPPPPMYRPKPMQAPARRRRSRRGWCCACCLWMTLVVVGLVFLGAIAAGVFYVAYHPQLPTFAVTSLRLAALNVSDSDAVTSRIEFTVTARNPNDKIAFAYGDIAAAFAADGADVGDGTVPGFVHPAGNTTVIKGDASAAAATVDPLVANGLRSRKSHAMSVEMDSKVGFQIGRFKSKRINVRVLCAGFTAALAKNTPSAPPIVVAAAPSPVRSVVKASSSSSSTTDAKCKLRVKIWIWTF >ORUFI01G22910.1 pep chromosome:OR_W1943:1:19996219:20004623:1 gene:ORUFI01G22910 transcript:ORUFI01G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACFSTQLIDGDGVFNVSGLENFMKEVKMGECGLSYAVVSIMGPQSSGHRLPKDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQTPLENLEPILREDIQKIWDGVPKPHAHKETPLSEFFNVEVVALSSYEEKEELFKEQVASLRDRFQQSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKIASFTADEEWQQFEEAVQHDYVPGFGKKISNLLDRCLSEYDMEAIYFDEGVRTSKRHQLESKLLQLVNPAYQNILDHLRTRTLEVFKESFDKSLEKEGFAVAARDCTKVFLEKFDKGSEDAAIQQVKWDPSKIKDKLKRDIEAHVASVRAKKLSELCSKYEGQLTKALAEPVEALLDSASEETWPAIRKLLQRETKSAVSGFESAMASFELDEVTQKELLSKLESHGKSVVESKAKEEAARVLIRMKDSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTMAAIRLDEDGDNIENTLSLALVDTARPGTTDRSIQSFDPLASSSWERVPEEKTLITPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLKNPLYLGVIFVVFLVGKAMWVQLDIAKEFQNGFLPAVLSLSTKFVPTIMNILKRLADEGQRPAAPERQREMELQPKSTRNGSHSNVTSAGSSSITSSESGPEYSSPIAH >ORUFI01G22910.2 pep chromosome:OR_W1943:1:19996974:20004623:1 gene:ORUFI01G22910 transcript:ORUFI01G22910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDACFSTQLIDGDGVFNVSGLENFMKEVKMGECGLSYAVVSIMGPQSSGHRLPKDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQTPLENLEPILREDIQKIWDGVPKPHAHKETPLSEFFNVEVVALSSYEEKEELFKEQVASLRDRFQQSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKIASFTADEEWQQFEEAVQHDYVPGFGKKISNLLDRCLSEYDMEAIYFDEGVRTSKRHQLESKLLQLVNPAYQNILDHLRTRTLEVFKESFDKSLEKEGFAVAARDCTKVFLEKFDKGSEDAAIQQVKWDPSKIKDKLKRDIEAHVASVRAKKLSELCSKYEGQLTKALAEPVEALLDSASEETWPAIRKLLQRETKSAVSGFESAMASFELDEVTQKELLSKLESHGKSVVESKAKEEAARVLIRMKDSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTMAAIRLDEDGDNIENTLSLALVDTARPGTTDRSIQSFDPLASSSWERVPEEKTLITPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLKNPLYLGVIFVVFLVGKAMWVQLDIAKEFQNGFLPAVLSLSTKFVPTIMNILKRLADEGQRPAAPERQREMELQPKSTRNGSHSNVTSAGSSSITSSESGPEYSSPIAH >ORUFI01G22920.1 pep chromosome:OR_W1943:1:20013594:20018205:1 gene:ORUFI01G22920 transcript:ORUFI01G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVWCSLDSMPADIAAGIHQPPPPDLHDPSFWPAFADCAASFIAGGGGDNACFDELMAGGSSGDTRMVAMDDGDDGSGFLVGDAEAEHLMLSSSSPSSLSSGRSLSIDSAGSMSSFSLDAAAALAMSTLAVPHPYPPPVAHGMFASGAGGGGGGGAVDDHEDAIMRAMMAVISSASASPSSSGGSASSPTPFSRDSGAHHQPAGQPAMAAPQHPRGGNGGHVVVKSSSSSGGLAVPMDQKPGGGGRGRQQEEAAAASATNSSQLYHMMSERKRREKLNDSFHTLRSLLPPCSKKDKTTVLINAAKYLKSLETEITELEGTNTKLEKHIAGGGGAADAAMRARRAQQRAKVQISKAADSQSQQLVSLTVMVMVECDVVELVLHILECLRWMKEISVLSVYADTYSPQLLLKAIANIKLQIVGGDWNEASFHEAMTKAANDATISCAPLAITAAQ >ORUFI01G22930.1 pep chromosome:OR_W1943:1:20022298:20024285:1 gene:ORUFI01G22930 transcript:ORUFI01G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRRKASSSLAGPLAAQRRERTGEPGAAAAAAAKNSAVTLASAVDDSHHVPATRHGDVAGLIGVVVPFGSMESYDRLRLIGEGACGAVFRARHVATGETVVVKIAHKNGGGGGGGDEALLREAEMLAACVGNPAVVRLREVARHPETSKLHLVMDYVGPSLADLLTHRLDGALTEAEARGVMRQLLAGVGQMHARGVIHRDIKPGNVLVGAADGRVRICDLGLGGPASAAPPRTQLVGTLWYMSPEQYLGGGEYGPAVDMWALGCVMAELLTGETLFPADTEYHQVVLVAGVLGVADEKMDGLPLGVTTRPSQLRRKVPEEKLSPAGFDRAQRLVARDMTAVAAWQRRGRHGGDSAGELAAGGGVELGGGGYRGGGDSVTVVQGVGDGDGGGDGIGRGRKGSGFGPYAATTRCSAATAAVSPDPRLSAGSGGGPAAVGGG >ORUFI01G22940.1 pep chromosome:OR_W1943:1:20038392:20055396:-1 gene:ORUFI01G22940 transcript:ORUFI01G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIFEYFVVCGMGPEIRTLDGVKGYHGVDDMYMPAFLDQLPPSSHALYPPPPPQLPTCVLPAGVRIYSSGLDANDFSTYPRSYPIVLTEGDGSKIYVSCIAFRDPICEDILEAYQIPANSFADKCICFVSHSPSFQVLRDALEEIFVLCFSPAGCSKPLWDIISHLVSKVPLPTPGKNRVLFAIENCLLSVEAPPKEWLPHADISFQPLVQCLDVDKLIQLFTAVLLERRILLRSNKYTLLTLVSEAICHLIYPLRWQHVYIPIIFSSGVDYIDAPTPYMMGLHSGVDTSTVTMDGVVVVDLEYNRITTSEEIPPIPETEHNFLRGEILKLLQPNVMGIDYMKINLGSMGDHSLRTGTKSWGQEHDFQLRLIFLRFFALIMSGYRNFIDNASPSGFNTQAFLKKRSRATNQPVESMSMFIETQGFLDYLERCNNAEENTNNLLDKLQDATGRGQNPLAIFPSHVADPEIITIADSETGGSEPGKRFCYKRFPTNARTEEQEEKRKSILAVASGASKQVPNSPSIPTIGGGPKVESLSPRERAAERERMVLDIKVKLQGLWLRLLRLGATEDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWQCRLTDEQFIAVKELLKTAITRANSRNDMLTIRDALEVSAEMYKKDPNNVQDYVQRHLLSLPVWEELRFWDGYFEYLMENCSNKSTNYVTLVTAQLIVMATHMAGLGLSDIDSWNMIEKIAERNNLGYKQLIKLRALLTHLQQLRIGYWGVATGKGQQPPSYGMASPRALDVSDESQQPAEASGLGRNWVQSMFSRDRSLRASSFNRTNEVKVGATAGKTDLPAAQKKIQTNMRTLRGHTGAITALHCVTRKEVWDLVGDREDAGFFISGSTDCTVKIWDPSLRGSELRTTLKGHTRTIRAISSDRGKIVSGADDQSVIVWDKQTFKLLEELKGHDAPVSSVRMLSGERVLTASHDGTVKMWDVRTDTCVATVGRCQSAVLCMEYDDSTGILAAAGRDMFKLQGHTKWIRSMRMTGETIITGSDDWTARVWSLTRGTCDAVLACHAGPILCVEYSPSDKGIITGGIRCVKNLTLHSASVLSISASDHWLGIGAADNSMSLFHRPQERFGGFSNTGSKVAGWQLYRTPQKTAAMHRTWIGKESAAVVATDFFGFGMPPRVSKLYQDPCPCKENVNCTVVFVVCY >ORUFI01G22950.1 pep chromosome:OR_W1943:1:20058287:20061060:-1 gene:ORUFI01G22950 transcript:ORUFI01G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMSRRWAERGRGVCGLPEDNNNDPSAILCARPCVNGVEMSARVAVRRYLPSMGGDNTYDST >ORUFI01G22960.1 pep chromosome:OR_W1943:1:20082476:20083431:-1 gene:ORUFI01G22960 transcript:ORUFI01G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWGKEKAENCGNSVQVRGDDATPEMRHGDRRRGRFCLGVANMGLGISGSKVAQAELLAGSQRRE >ORUFI01G22970.1 pep chromosome:OR_W1943:1:20090964:20093271:1 gene:ORUFI01G22970 transcript:ORUFI01G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASAPSAQEEPLQPGTMQFRKQLAAAVRSISWTYAIFWSISTTRPGVLTWKDGFYNGEVKTRKIGSSTMELTAEQLLLQRSEQLRELYSSLLSGECADQQRRRRPVTALSPEDLGNMEWFYMVCMTYAFRPGQWYTSLIYSIIS >ORUFI01G22980.1 pep chromosome:OR_W1943:1:20099434:20099900:-1 gene:ORUFI01G22980 transcript:ORUFI01G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAERRHDHTRGSSLQFMQTISAAGCGGGCGGALGLRWRLRRGSVQRWWRPAGLGSGVVEAEAVEA >ORUFI01G22990.1 pep chromosome:OR_W1943:1:20109010:20110163:1 gene:ORUFI01G22990 transcript:ORUFI01G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEEPNVVNRITTAFWEFQLLACSDEPISSGTPSSPSSPLTKETGDANTVLIDDLFLAHSDAILAGGDQEDHQLGNDLGQQQAATAMEIDDDMIYSLIRNWDNDSSSSWIELLDHVVVSPASCFVPWKRTELDKQAVAGGGEAAQRLLKKAVGGGGAWMNRAAGSSIKNHVMSERRRWEKLNEMFLTLKSLVPSIDKVDKASSLAETIAYLKELERRVQELESGKKVSRPAKRKPCSERIIGGGDAGAVKEHHHWVLSESQEGTPSNVRVIVMDKDELHLEVHCRWKELMMTRLFDAIKSLRLDVLSVQASAPNGLLGLKIRAKVVSLT >ORUFI01G23000.1 pep chromosome:OR_W1943:1:20125870:20126625:-1 gene:ORUFI01G23000 transcript:ORUFI01G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTGGGGSPKEAVVPSAASGDTTLGRHLAHRLVGISDVFAVPGDLNLTLLNHLISPSPASTSSAAATSSTLASYAADGYARARGVGACAVTFTVRGQLLHGCRHRSHRFWNQVMGDEARKQAPPLGIRSARARLRPRRSGSVVVGGGSASPSSPPSPSSETTAFWDRHGATAALLPRRACRAHCIGNALPFSRVVVAEQRLACSVGQEEKSERETRKGEDGEERGG >ORUFI01G23010.1 pep chromosome:OR_W1943:1:20127222:20129808:-1 gene:ORUFI01G23010 transcript:ORUFI01G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSPGPAHRTVTDLSVRPSCLVSPVAVRANGNTHENAEKGARRGRWTFWRQELRRQSCVSIVASQAATVALHYKASKPEQQTTCAAEETSMRWRGMGWRPAEEGSSSGTSSTQITCAGGSRRCRRRAQPPYWGSRTPTQRTHGRGMARRAASEWSSSGPTPLALAPAEATTSGERWSAMEAERCLSPALAPNRGCGDVVATRMDVRWCGDSMHRSCPARHLAKQVAGGVLAGLGPGQAQWGSVSLRPRKEGGGKLGRPREGGQQDLGLAGLNKEKVHPRSLNLSTKYKIVRKTN >ORUFI01G23020.1 pep chromosome:OR_W1943:1:20134278:20134745:-1 gene:ORUFI01G23020 transcript:ORUFI01G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSPPEGLHLHASCLLNRRVTSSSCWTPLRRRLDAYTPTPATFAAVGLIPPRRPPSPPPGGVVPVVDGYFAIAWRLAPPCRPPTSLPDDIVPVQDGYFIINWRLASPRRLLSPLCQILHFFNMMIIRLISRTRTRLQ >ORUFI01G23030.1 pep chromosome:OR_W1943:1:20134786:20135476:-1 gene:ORUFI01G23030 transcript:ORUFI01G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGRLLRRRQEAYTSTPATYVATSLPSDIVPVQDGYIIAAWRPKPPRRPHLTLLGDIVSMLDNYFVASWRLAPPR >ORUFI01G23040.1 pep chromosome:OR_W1943:1:20166771:20172146:1 gene:ORUFI01G23040 transcript:ORUFI01G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASAPSAQEEPLQPGTMQFRKQLAAAVRSISWTYAIFWSISTTRPGEASN >ORUFI01G23050.1 pep chromosome:OR_W1943:1:20187232:20190075:1 gene:ORUFI01G23050 transcript:ORUFI01G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDDDVIYSLIRNWDNDSSSSWIELLDHAIVSPASCFVPWKRTELDKEAVAGGEAAQRLLKKVVGGGGAWMNRAAGSCSIKNHVMSERRRREKLNEMFLILKSLVPSIDKVDKASILSETIAYLKELERRVQELESGKKVSRPAKRKPCSETIIGGGGGGGAGAVKEHHHWVLSESQEGTPSDVRVIVMDKDELHLEVQCRWKELMMTRVFDAIKSLRLDVLSVQASAPDGLLGLKIRAKYASSAAVVPAMISETLRTAVAGY >ORUFI01G23060.1 pep chromosome:OR_W1943:1:20201666:20204963:-1 gene:ORUFI01G23060 transcript:ORUFI01G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRLVAAAAIVVVVLVLAGAGAAGAAGAGEVRALLDLAAGLDPTGRLLPSWAPGRDPCGREGGGGFEGVACDGATGAVANVSLQGKGLAGTLPPAVAGLTALTGLYLHYNRLTGALPRELAALSRLTDLYLNVNNFSGPIPPEIAAMPSLQVVQLCYNQLTGGVPTQLGLLKRLTVLELQSNHLSGAIPASLGDLPQLVRLDLSFNNLFGSIPVRLALLPRLLALDVRNNTLTGSVPSELAKLQGGFQYANNTDLCGTGLPALRPCTPADLISPDMPQPFSAGISPQITPGSSSDGHGHCTGTHCPPSTKALAAVVVVAVILLAVTGAGMFALSWYRWRKQRVVAGSPAAVGGRCSTDAAGKDSFRKSASSTLVSLEYSNGWDPLADGRGGIGFSQEVAQSFRFNMEDVESATQYFSELNILGKNGNFAATYRGTLRDGTSVVVKRLGKTCCKQEEAEFLKGLKLLAELQHENIVGLRGFCCSRARGECFLVYDFVPNGSLSQFLDIDADDVARSNGRVLEWSTRISIIRGIAKGIEYLHSTRANKPPLVHQNISADKVLVDYTYRPLISGSGLHKLLVDDLVFSTLKASAAMGYLAPEYTTTGRFSEKSDVYAFGVIVFQILTGKSKIMQLPLESSNDEDLIDGNLRGCYSAAEAAKLAKIASACTSENPDHRPTMEELIQELCTF >ORUFI01G23070.1 pep chromosome:OR_W1943:1:20216790:20221738:-1 gene:ORUFI01G23070 transcript:ORUFI01G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIADLPIATSHRANLLAAGYSSLAALSAASPPRLARDLSIEVHEAEEILKVAVGANKSKGADGPSTSSVLKGVQNAWDMLSDEQSRRHINTGSADLNNILGGGIHCKEVTEIGGVPGVGKTQLGIQLAINVQIPVEYGGLGGKAVYIDTEGSFMVERVYQIAEGCISDILEYFPHCHDKAPAGQEKLKPESFLADIYYFRICSYTEQIAVINYLEKFLGEHKDVRIVIIDSVTFHFRQDFDDMALRTRVLSGLSLKLMKLSKAYNLAVVLLNQVTTKFTEGSFQLTLALGDSWSHSCTNRLILYWNGNERYGFLDKSPSLPVASAPYAVTVKGVRDAVNSNSKRVRFLVMPSCVNWVLVLEQ >ORUFI01G23070.2 pep chromosome:OR_W1943:1:20216790:20221738:-1 gene:ORUFI01G23070 transcript:ORUFI01G23070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIADLPIATSHRANLLAAGYSSLAALSAASPPRLARDLSIEVHEAEEILKVAVGANKSKGADGPSTSSVLKGVQNAWDMLSDEQSRRHINTGSADLNNILGGGIHCKEVTEIVIGLINTSSLIQVVSQESVKLNWGMLYTILNANSDEDFTTKDLTFVRIQLAINVQIPVEYGGLGGKAVYIDTEGSFMVERVYQIAEGCISDILEYFPHCHDKAPAGQEKLKPESFLADIYYFRICSYTEQIAVINYLEKFLGEHKDVRIVIIDSVTFHFRQDFDDMALRTRVLSGLSLKLMKLSKAYNLAVVLLNQVTTKFTEGSFQLTLALGDSWSHSCTNRLILYWNGNERYGFLDKSPSLPVASAPYAVTVKGVRDAVNSNSKRVRFLVMPSCVNWVLVLEQ >ORUFI01G23080.1 pep chromosome:OR_W1943:1:20228894:20232780:-1 gene:ORUFI01G23080 transcript:ORUFI01G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDTDKLQSLQINPNKETNLVAVFKNDYVDDEDDEDMDPQVTLGFIEEPEGPEDWHLLLPQHFPNKAGGVPAWLDPVNLPSGKSRCCDFCGEPLRFVLQVVFHLYDKLQVYAPIQCKETAYHRTLFVFMCPSMACLLLDQHEQGKDRAGEKVCSRCRKSSYCSKKHQELHWRAKHKNECHQISGSHNASAIMPDAGKVFAGNIWPEYMVVDETEKVSCFASCENRSELLMEQGQSEEDDMTASLMDQFEVDDDNRCWASFLERISREQDQVLRYCRESTAKPLWVVYSGSLTNAAKPSCIYCNGPLCYEFQIMPQLLHYFHVENEPDSLDWATIIVYTCKGSCDQNVSYMEEFVWVQLSPATTRTNQSTCPPAGL >ORUFI01G23090.1 pep chromosome:OR_W1943:1:20235602:20237566:1 gene:ORUFI01G23090 transcript:ORUFI01G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVIAECRPKPLFTTGPFLSAVGGGGGGVDRISGLPDDLLFVILSKLPVRDAVATSALSPRWKSLWSSVPLRLDDAGLLHRRDGTRLGREGVAATVSAVLAAHPGPVPAASVGCCLSSDDQGYQLGGWLRALAAKGVRQLCLMGAPWSPRAALPSAVFSCSSLRRLFLGSVQCNWDLIPDHACFPELREIQICNALMKSQDLSLVLAVCPALETVEILASRNKIPTVRMSSHTIRNTLLWKSVAKEVNVLDTPCLSRVVLWQDLLLPHSRYNSKVTISRATKMRISGYLDTGINTLVINETTVKVNTNISFKTLIPSVKVLGLSVHFGVRKEALMSISFLRCFPEVETLHITSKTDKASEAEQFSFWGKVDPVECVTSHLKKLVFHGMPWCPGNLEFLKFIVEGAYLLEKVLIVLPKGTYTSMHSVITKLKLAPLTSASWASHICKMEVVQSSQGTLSYQRASDHSVDDPLDYSL >ORUFI01G23100.1 pep chromosome:OR_W1943:1:20239080:20242897:1 gene:ORUFI01G23100 transcript:ORUFI01G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPASPQSLPPVAATRSRGRPYEGWTLKELIGEVRRLRRAASSAPPRPAGVLDLDQGDARASDTVAPSPPSPCESQEQPHHLGKVRKIVNVAHDIVVNFCNAIRAPEAFKLVAAAENVKPHLLKIDHDDNLLFLQFKAALEVHNVNHEIAISLDKYTNCPLSGTEIAELTQPLRRNVQEVWFGYRSNRLQFCNARQTSAVFCFSGHLSFTFGLNKAFSG >ORUFI01G23110.1 pep chromosome:OR_W1943:1:20245213:20246553:1 gene:ORUFI01G23110 transcript:ORUFI01G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLCDNMVEEILVRLPEEQHLRRASAACRRYGDIIRRPGFATRHRELHSPLLSGGVVLHGVRRRCPRVDGTAAHVRGSYAFFLPAGAGDASASSSLELDLAGLLPSPTDRELAYLLSTGAADPDAARRSVFIVHSSSSAGLLLCCRGYVNPVHYYVCDPVARRCVALPELPWPPAFDKSGMLSVAAGGGGGFQVVLFEKRGFAHGGGHLDLEVFSSDSGEWAAMRIPRPQDLAGFRCFAPPHLRHDGAAAYWLGFEPRDRAVVYGAADHSIRLIPVPRRVHDPSALNRFVGERRGGALLRYAHFDAAEFEVWDTDDATPTRWALVHRAALKDVVARSPRAVAAKFVHGRIVRHIHHHDANWNWCSSFKLIGFDPVHDDDVFLFGATNGSGCVAAYSLTLGKLSLRCKIDTADGSSSLCGCDMFPYVRASTTSPRADIPGMVYTNA >ORUFI01G23120.1 pep chromosome:OR_W1943:1:20251028:20251624:-1 gene:ORUFI01G23120 transcript:ORUFI01G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAGAAPPESTGRRTTEEEESRIWRKRKEGDGGVVPIVGKPQQVGSPRPGPWQPAPEQPSSPTSPRRRSPSSPPPGLDVAAGSRAAAHRRLASPSSARRCRWKYQIRPREGRIRAHHALAWARRRRHRPAWCRVARAVAAARPRRRVAHANAATIPPAPRRFAAAKRGPDPSSPALDPNIVAGAVLPPPVSSSPQPR >ORUFI01G23130.1 pep chromosome:OR_W1943:1:20255704:20258148:-1 gene:ORUFI01G23130 transcript:ORUFI01G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYGQQPTGDRLESATLVQSMESTKDTIVRRITESAATVDSADVQAAAAKLTAAVEYRFDVAKADVDRIMAGRGRDEVDQRDGGPRGWRSEEDHGDDVAGRGKEDQDGDGAAGHGEEVHGSGGPRRWLCVGGGPRWWLWLGRRSPAVAARQGRSEKDHGGDATGRGEDDQGGGGPRGWRGKGAARRTTAATRQDAARRTRVVAVRQDVVTRTTAATRQDAVRRSTAAAVWQGTARWSTAVVARQGLARMSTAARLSQDVARGP >ORUFI01G23140.1 pep chromosome:OR_W1943:1:20284252:20287171:1 gene:ORUFI01G23140 transcript:ORUFI01G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGYGFRFSDDDVESASSLTVGERLCAAFLPFVAIAEAVFFALTDCLADLLPPSAAASRHRRSAASSYLAAVARKWNHQQRGRVGIGCTSLTLRQLARLADESRCFSVNEVEALFELYKKISCSIIDDGLIHKEELQLALFKTPSGQNLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPLEDKINCKYTSFRHYLLKFMYRVAFRLYDLRQTGFIEREEYKSGSDGMVMQMVIAILSESDMKLSEELLEAIIDKTFEDADADRDGKINQQEWKEFVLRHPNLLKNMTLPYLRDITTVFPSFVFNTAVED >ORUFI01G23140.2 pep chromosome:OR_W1943:1:20284252:20287171:1 gene:ORUFI01G23140 transcript:ORUFI01G23140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGYGFRFSDDDVESASSLTVGERLCAAFLPFVAIAEAVFFALTDCLADLLPPSAAASRHRRSAASSYLAAVARKWNHQQRGRVGIGCTSLTLRQLARLADESRCFSVNEVEALFELYKKISCSIIDDGLIHKEELQLALFKTPSGQNLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPLEDKINFAFRLYDLRQTGFIEREEVMQMVIAILSESDMKLSEELLEAIIDKTFEDADADRDGKINQQEWKEFVLRHPNLLKNMTLPYLRDITTVFPSFVFNTAVED >ORUFI01G23150.1 pep chromosome:OR_W1943:1:20292239:20294879:1 gene:ORUFI01G23150 transcript:ORUFI01G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFNDVFQTHPRQKGPEVNLFLLNPISSPSRASTAHLPIGVESRASDRDERLRLEQRGGGEDFRLRSSRRRRRRLSRRAAPPSTAIFQSAPRRDGRRRQARPRVAAGGAVGEEGGLHAPHLPVPPRRQHLHRSLRICKDLQERPGKEERSDCCCCSCSCSIVIPSCPSC >ORUFI01G23160.1 pep chromosome:OR_W1943:1:20292810:20306226:-1 gene:ORUFI01G23160 transcript:ORUFI01G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLVSTSAAAAAIPSPPPPALTLPPPRRRIPPPLTAGALLCNRTGSGHASPRGRRPLPPPAMSHPLSSEPHALEQRAVVVTNKHGEKLVGVLHHTGSSKIVVLCHGFISTKNDSLILDLMAALTKKGISVFRFDFSGNGESEGEFEYGNYRKEADDLHSVVSYLCKEKYDVTAIVGHSKGGDVVTLYASIYDDVRLVINVSGRFDLEKGIEERIGEGSIDRINKEGYLDVKDKSGNVQYRVTKESLMERLNTDIRAVSMSITKECRFFTVHGSADETIPVEDAYEFAKHIPNHKLQVIEGANHNYTAHREELADAVIRRSLFPPLFAAAPPGILRSNPEHATAAAAAIRQPWRSRSPPLPRVHRFPEERVVVTNKHGEKLVGLLQHMGSNKIVVICHGFTASKNDSIIVDLANALTKKGVGIFRFDFSGNGESEGEFQYGNYRKEADDLHSVISHLNQEKYDVKAIVGHSKGGDVVVLYASIYDDVRTVVNLSGRFHLEKGIEERLGKEFMNIIDKEGYIDVKTNSVHGSADEIIPVEDAYEFAKHIPNHKLHVIEGANHCYTAHRKELSDAVVDFITSSELTLQSHNRS >ORUFI01G23160.2 pep chromosome:OR_W1943:1:20294805:20306226:-1 gene:ORUFI01G23160 transcript:ORUFI01G23160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLVSTSAAAAAIPSPPPPALTLPPPRRRIPPPLTAGALLCNRTGSGHASPRGRRPLPPPAMSHPLSSEPHALEQRAVVVTNKHGEKLVGVLHHTGSSKIVVLCHGFISTKNDSLILDLMAALTKKGISVFRFDFSGNGESEGEFEYGNYRKEADDLHSVVSYLCKEKYDVTAIVGHSKGGDVVTLYASIYDDVRLVINVSGRFDLEKGIEERIGEGSIDRINKEGYLDVKDKSGNVQYRVTKESLMERLNTDIRAVSMSITKECRFFTVHGSADETIPVEDAYEFAKHIPNHKLQVIEGANHNYTAHREELADAVIRRSLFPPLFAAAPPGILRSNPEHATAAAAAIRQPWRSRSPPLPRVHRFPEERVVVTNKHGEKLVGLLQHMGSNKIVVICHGFTASKNDSIIVDLANALTKKGVGIFRFDFSGNGESEGEFQYGNYRKEADDLHSVISHLNQEKYDVKAIVGHSKGGDVVVLYASIYDDVRTVVNLSGRFHLEKGIEERLGKEFMNIIDKEGYIDVKTNSVHGSADEIIPVEDAYEFAKHIPNHKLHVIEGANHCYTAHRKELSDAVVDFITSSERQAANDVKMPEFPETGAT >ORUFI01G23170.1 pep chromosome:OR_W1943:1:20306953:20312914:1 gene:ORUFI01G23170 transcript:ORUFI01G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRPNAAGPPPPEGIGGSSTAEASSTGEERPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMAMAPRLLQSVFASFGDLYLYKLSKLIFNNHVAQWALFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVKGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRIIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVRRFFHSHFKVDRDLQSSVVVYSQKSVL >ORUFI01G23170.2 pep chromosome:OR_W1943:1:20306953:20313794:1 gene:ORUFI01G23170 transcript:ORUFI01G23170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRPNAAGPPPPEGIGGSSTAEASSTGEERPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMAMAPRLLQSVFASFGDLYLYKLSKLIFNNHVAQWALFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVKGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRIIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVRRFFHSHFKVDRDLQSSVVVYSQKSNA >ORUFI01G23170.3 pep chromosome:OR_W1943:1:20306953:20312914:1 gene:ORUFI01G23170 transcript:ORUFI01G23170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRPNAAGPPPPEGIGGSSTAEASSTGEERPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMLFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVKGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRIIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVRRFFHSHFKVDRDLQSSVVVYSQKSVL >ORUFI01G23170.4 pep chromosome:OR_W1943:1:20306953:20312011:1 gene:ORUFI01G23170 transcript:ORUFI01G23170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRPNAAGPPPPEGIGGSSTAEASSTGEERPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMAMAPRLLQSVFASFGDLYLYKLSKLIFNNHVAQWALFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVKGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRIIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVRRFFHSHFKVDRDLQSSVVVYSQKSVL >ORUFI01G23170.5 pep chromosome:OR_W1943:1:20306953:20313794:1 gene:ORUFI01G23170 transcript:ORUFI01G23170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRPNAAGPPPPEGIGGSSTAEASSTGEERPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMLFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVKGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRIIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVRRFFHSHFKVDRDLQSSVVVYSQKSNA >ORUFI01G23180.1 pep chromosome:OR_W1943:1:20311596:20312803:-1 gene:ORUFI01G23180 transcript:ORUFI01G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEFIPNGTLFELIHGKYSRITSISLDARLRIAQESAEAPAYLHSSASPPIVHGDVKSPNILLGDKYIAKVTDFGASRMLPKDEIQFMKMNILGVGTELFQDVAQLAKCCLSTTGGERTLTTEVAERLKAIRSTWREQLIESANGETVQYVRTKICHGMICPLFVLPDGLSYFHHGRSSEKDIVGTSILEVDDFSDAEEDWKDAGRSRWRVGLLETMKSSWKTTTFCLFVTCSLLKLG >ORUFI01G23190.1 pep chromosome:OR_W1943:1:20323136:20329038:1 gene:ORUFI01G23190 transcript:ORUFI01G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVAVAFVVAVAAVLAAAASAAVTYDRKAVVVNGQRRILISGSIHYPRSTPEARRTRFPFLLLTMWPDLIEKAKDGGLDVVQTYVFWNGHEPSPGQYYFEGRYDLVHFIKLVKQAGLYVNLRIGPYVCAEWNFGFPALAAEMQKFTTKIVEMMKSEGLFEWQGGPIILSQIENEFGPLEWDQGEPAKAYASWAANMAVALNTSVPWIMCKEDDAPDPIINTCNGFYCDWFSPNKPHKPTMWTEAWTAWYTGFGIPVPHRPVEDLAYGVAKFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLLREPKWGHLKQLHKAIKLCEPALVAGDPIVTSLGNAQKSSVFRSSTGACAAFLENKDKVSYARVAFNGMHYDLPPWSISILPDCKTTVFNTARVGSQISQMKMEWAGGFAWQSYNEEINSFGEDPLTTVGLLEQINVTRDNTDYLWYTTYVDVAQDEQFLSNGENLKLTVMSAGHALHIFINGQLKGTVYGSVDDPKLTYTGNVKLWAGSNTISCLSIAVGLPNVGEHFETWNAGILGPVTLDGLNEGRRDLTWQKWTYQVGLKGESMSLHSLSGSSTVEWGEPVQKQPLTWYKAFFNAPDGDEPLALDMSSMGKGQIWINGQGIGRYWPGYKASGNCGTCDYRGEYDETKCQTNCGDSSQRWYHVPRSWLSPTGNLLVIFEEWGGDPTGISMVKRSIGSVCADVSEWQPSMKNWHTKDYEKAKVHLQCDNGQKITEIKFASFGTPQGSCGSYTEGGCHAHKSYDIFWKNCVGQERCGVSVVPEIFGGDPCPGTMKRAVVEAICG >ORUFI01G23200.1 pep chromosome:OR_W1943:1:20329517:20330117:-1 gene:ORUFI01G23200 transcript:ORUFI01G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHTHRAFLLCNYLLLGFLVHCGGRRWCRGGDWQWAPGGGRRVGDSAWVLWRWQASPAATRQLLSLVAPSPQLSRSAVHPAAVGT >ORUFI01G23210.1 pep chromosome:OR_W1943:1:20330300:20330836:-1 gene:ORUFI01G23210 transcript:ORUFI01G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTRAPPVIHSPLSFPSSSPTIPISSEVAPPAAAPEAAGDRLAQNPRAGGRATARRAAPTPILSRPRRRHRCGRAMFPGPSSSSAAAGPGFTEKNGLHVDPMAAAAWSGGRTNGKEDAEMVLADQEELATPSASAGVGGREGRRRREWIGGGGRGGASGGAAGASAGAGAGVDAEGR >ORUFI01G23220.1 pep chromosome:OR_W1943:1:20331260:20332842:-1 gene:ORUFI01G23220 transcript:ORUFI01G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLDVPRSLRLLAPKAQQQMDEFWRDRQKEIETTKDFSEHAIPMARLKKIVSSQKGNMMMTFDMPAFLSKMCELFVQELAVRAWASAQSHNRCIILDTDIAKAIASTESYDFLVDILHNHRVKHKSTPCSTLTTKRCRLVDQPSTSHMPYQHQLPQFAPTYTPAIPITPSLMPPISHYIPFQYPSLSQEVSPMMASAPIVNRSMLLIHNIARGLGLQGNNISTFANNNIPDNIVGCSSPTVLASMMSPALLEVAGTSLNPPNSHSICTMNMINSSDPSGSSIGDINVANQASLAPSGRFNPAILRESSCPSFLHSNNDTIVAIPEGVDISGTMDVASDVAAIVINGQEEHERETNVEHHQQNEIYESIDIGIINASVADDNKYSISWDELGMADDSLLDKFLEEFQVRNDGVLRTGIELHEDPFLGDVMLANPSTSNANK >ORUFI01G23230.1 pep chromosome:OR_W1943:1:20344374:20346571:1 gene:ORUFI01G23230 transcript:ORUFI01G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIDLKGLAGGDEERERTMAQLHEACKDWGFFWVENHGVEAALMEEVKSFVYRHYDEHLEKKFYASDLAKNLHLNKDDGDVLVDGGDLADQADWEATYFIQHRPKNTAADFPDIPPAARESLDAYIAQAVSLAELLAGCISTNLGLAGAAGVVDAFAPPFVGTKFAMYPPCPRPDLVWGLRAHTDAGGIILLLQDDAVGGLEFHRGGREWVPVGPTRRGRLFVNIGDQVEVLSGGAYKSVVHRVAAGAEGRRLSVATFYNPGPDAVIAPATAAAPYPGPYRYGDYLDYYQGTKFGDKAARFQAVKKLFS >ORUFI01G23240.1 pep chromosome:OR_W1943:1:20347003:20347350:-1 gene:ORUFI01G23240 transcript:ORUFI01G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDGGVRLHPLLHPPHVPPRRRPGLVGLLRRVVGVFLCCAGASRRGASHVGGAVREQVEKASAEHAAEMERLISELPLFTLVVLPKSSRARCRHPLLLRAVSGEEGDCRRRTEG >ORUFI01G23250.1 pep chromosome:OR_W1943:1:20349603:20355521:-1 gene:ORUFI01G23250 transcript:ORUFI01G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPVPQGPWWSWGIGEQCKWWLERMEDGEKSNGGGDGGLRFSLQFDPGKLGLDRALLGLPVSALLGHLLGWSSQGASMAVAEGGGEGETAAGVAALASAFAVYLVATYASDHRRQPQQPRRPLRLRKRDLSPLNSSARPRALPTPDDGLRILSSNEECLETVIHGASVGAGDDEPEIVARVVIPPAADDDMAAGANAGGGGGGGDRSEKKEREEEEDEEVERLKELWLSLMEREQRLQLRQAELDELREQDATARELDRRAAAAAAVEARMLELKAASLREENRRLEEARASELDAVRGKLARAREKLAELRARVEREREEAAREAAALRARASALERSGAEREVAAAAEAAALRDRVAGMEKDGAEREGALAAEAEAARRRMAELEKNVEEREAAMAAEAAALRAANAGLEEENMELALRLQEAEQTASTVNLVIEEDVVKEAKYLRETNERLTRQIEQLHADHCAHVEELVYLKWVNACLRYELRTHDGDDGAGRISARDLSKSMSFRSSEKAKELMLKYGTHGLDGFDPSIFSPLHESVYGDGDGDDFEQRRPNGDVVVDEAPRSPSTAVAMAAAAAGAESPSRRGNKLKFLGNIKKLLPTSKKGHGRGDRRSSRKQSAAAEAEPPRDEHLEKALQWLSSHDVLDDDDSYESTPLSSCERTPLSSVTTAGSTHARSTGGAAGETAAAAASRLLEAETARARSDVGASSYGREAPSRYHALRPYHPGAGAGNVGGDGPRASPEKRELRRRSEELRSPASMFAGARDNRMHQLQSNA >ORUFI01G23260.1 pep chromosome:OR_W1943:1:20359286:20359549:-1 gene:ORUFI01G23260 transcript:ORUFI01G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRYKGGGKGEEEGKVLESRFAGELSELARSFEVAVAFLFLALPSSCSLASSTTAIEHAARCAAARGDRAAIQLAVAPQGRLSGRA >ORUFI01G23270.1 pep chromosome:OR_W1943:1:20374306:20375384:-1 gene:ORUFI01G23270 transcript:ORUFI01G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSCAVGGGDTRQRWSSESKDGVFFLCWCRVLWSAPSIGGGGQWRTQNKNYRSVVGGLGSPGADALCGGGCFGILGDGLGAPSAATPCGTPEAPSAVVSCDGLGALGAPALCGGGGPNCCSPATVQTLCGTSFLQSEGYFFVGSLLLFVCRTLLQYYESIANIGLLQRQVLSQHLISPVMLTNCSSKFYFLLFQNDSILARSTVQVEQTLLLRSNDRIRRTNLLSPHQTFDLYRFRGDSCRSLPDCQATSMSMEAKEICFLRLVSLYPVRDGLLP >ORUFI01G23280.1 pep chromosome:OR_W1943:1:20377302:20384709:1 gene:ORUFI01G23280 transcript:ORUFI01G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGPLSPDEHRATSPPGIMHHQPAMTIVVAVDRDRNSQLAVKWVVDHLLTGASNIILLHIAVHPPAANHGFAMAEATHGALEAEMREIFVPFRGFCTRNGVHVSELVLEEADVSKALIEFITVNKIQSIALGASNRNAFTKKFKNADVPSSLMKGAPDYCNIYVVAKGKSVNVRLAKCGVDGGCGGGGGYEGDSSIRSLYTRRCSRGKLPPATPSPDSSRRSVDSRTLPELTTRPPFRERSLPSSSSKPVVLSSRAAPDCGGGGVDGSYRSTRRSVSNESFVGDLDFGQSSRFSSMDFCDSLDMSSLSASPRESSSPLSAPQREVEVEMRRLRLELKQTMDMYNAACREAINAKQRTKELQLLKLEEARRLEEARHAEEAALAMAEMEKTKCRAAMEAAEAAQRLADLEAQRRRNAEVRARREADEKVRALDAISSHDFRYRRYNIDDIELATERFSDELKIGEGGYGPVYRASLDHTPVAIKVLRPDAQQGRKQFQQEVEVLSCIRHPNMVLLLGACPDYGCLVYEYMDNGSLEDRLFRRGGTPPIPWSQRFRISAEIATALLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPAVADSVTQYRLTATAGTFCYIDPEYQQTGKLGVKSDIYSLGVLLLQVLTARPPMGLTHHVEKAIDAGTFAQMLDVTVKDWPVDDAIGFAKLALKCTEMRRRDRPDLATVILPELNRLRNLGHAYEARMSAAAADAAAHAQDNVGSPTVVGASWRTAES >ORUFI01G23280.2 pep chromosome:OR_W1943:1:20377294:20378443:1 gene:ORUFI01G23280 transcript:ORUFI01G23280.2 gene_biotype:protein_coding transcript_biotype:protein_coding M >ORUFI01G23290.1 pep chromosome:OR_W1943:1:20386102:20387903:1 gene:ORUFI01G23290 transcript:ORUFI01G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTFSGKQRMMTSIAWQRCVVYGLRRLDDVPGNSDEMACDVAGAGDALRAPSSGAPVGVLLEQPADEFEEDGNVDESERTSVMSRRGGCDRQGDNVQRLGDITSPEEEDRGVEDAVPRGVAQRTALQNLDRLLTHAAS >ORUFI01G23300.1 pep chromosome:OR_W1943:1:20392116:20392754:-1 gene:ORUFI01G23300 transcript:ORUFI01G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVVEAVNDEIDDESWRGFCSSIIDSGCSKIIIISRVDTISRLGTTQALKLKRLRQHEFWYFFRALYLWKFKFRRASGANTNPQEDCNADQRCFPSCKHESSGIFSFLSVVSIHGTFPVIAAITILSDHTDGPFHLCNSGYKTISGYSVKDGLRKITADDVLNKTSAFYEGNFEFLRWRSPIPPITAT >ORUFI01G23310.1 pep chromosome:OR_W1943:1:20394317:20395714:-1 gene:ORUFI01G23310 transcript:ORUFI01G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLSVVASDIISRLISSLITKYSNQSTADHKLERLQWLLLRARTIVEEAHGRQISNQGMLLQLRQLMQSMYQGYYILNAFQEQHITGKRRRSSSSLKLKKLQAAMEDLESAIDDSKKEFVVFLLGCPCLPQRPYDTHLFREKCMFGRHEEKELIREFLLQPCDSPLRVLPIIRPREVGKNTLIEHVCNEESVREHFSRVVRFKSDDLNNEENQESFFKTSELVASSTMSLVVVELVNDDISDETWRGFCSSIANGCSKMIVISRSETISRLGTTQALKLKRLKRHEFWYFFRTIAFGTADPEEHPELLRIARRIATQIKGASIAANIFARLLRANLNVKFWSYTWRFIKKAVELQLLACGGSWDIVSNSRHYYLSGHSDGPLHLCNDGYKTVAAGCLVNDELPRIIADDMLIRTNAFSEGSFDILRWKSPIRPYYCYIANCVVKKAPQIVQPKDKSLKRRRGI >ORUFI01G23320.1 pep chromosome:OR_W1943:1:20396671:20402412:-1 gene:ORUFI01G23320 transcript:ORUFI01G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRESCTPDARGTPWKGRLRSHHPALPTSPSLLPSSSAKNQKKKREQEAQSFKRRAAPKKAKDTGKCGSRSQDAAEKPLLPAPPRRSPRLAGNPPALESMVRGKQSAIVPFRRSLRLRHNQNSQNAFSMDQNHESSSRRSQKNTVVKLSMRMVSHKDSQKIFCQDSQGIPPRIRVPDLSCKKTQKEELNSNCCEKLARKRKRGTEERMSSSKRQSHKDPKSLSLKCQESTPTNKPRNTSHKKGENNSSSMPQPKFCDGRLMNAERNNKELNGSERRETQCGLNNWTEEQDMALRKAYFTARPSPNFWKKVSKMVPGKSAEECLSRVHADLSTPTPIAPRPRTSKMKFSPLGHFTLSDPKHPNVLEPSFRRRTAKQKSLAAQKTVRHLLKKQCLTDQTQEADHFSIFETSPTVLPVEFSFEDSPGTPNSSGKKLLARLETVKNVGINPAEPSPAVLKPIKNVILHEKYVDRLSRREGTTRPRKKAAGSKALDSVKTQQAGGVKAARNALITEATDFISHFKKMQANPLAHVVEDDEDDEIDGGCTVSAPEAYVEYVPSYKKRNR >ORUFI01G23330.1 pep chromosome:OR_W1943:1:20414453:20430600:-1 gene:ORUFI01G23330 transcript:ORUFI01G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILFSALASEIASRTISFIVAKYQKQTTMDKTIRLHQLLLRARTIIEEADGRHISNQAMLLQLRQLRNAMYEGHYVLDTFKGQTEVNKPFNNLSKIQVSIESLESKIGDLKEFVVFLMDCPRFLREPYNTYLFMERCMFGRHVEKDHIIDFLMQPSSLSLEILPVIGPREIGKRTLVEHVLNKEMVQKHFSHIIRLSSDDLNNIENDSTSKGHNLISFTERSLLVVELEHEADLVAWGRFRSSLSKINIMIKVLLISCVQKVSTSGTTGALKLKRMRSDEFWNFFRTLCFGSENPYEHQLLLSMALKMAKLAKGDFVCANIVSRLLRANFSTEFWSHMLDRMIKGQRLHFHLFGEHANDRVGKNRSHIVFDIADGTIILANKIYTSTTSLEDTGVPKITVENLLNKTAIIPTEGNFEVLRWQSPIAPYYSYLGNCRKGIVSLTDKEKLIRYQIRCGSMFSGVNTGLSVLGMGSFATSTSTAIYEKRKRSNRLNLFYWLTIPIRYQIRCGAVFSGVNTGLRVLGMGSLATSTSTAIYEKRKRSKIAYTYSTDYIRCSILRVSTIIEEADSSTTRNLVECGTRKIASEDISLKGVAAPSEGSFELVKWRSPIPPYYSYLVKECVVQKASEVVPEDRGLKRKRRYRKQNTMDKMIRLHRLLLRAFTIIEEVDGRYISNQGMLLQLRQLRIVMYKGHYVLDTFKGHAEVSRSFNLNKIQVIIENLESMMEVLPIIGPQEIGKKTLVEHVLNEEMVKRKFSCIICLNSDGLRNLLGDGSPIEQNNLIYSNGKCLIVVELQHDNDLIAWRRFQSSLSMIKFVSKVILISSVQGVSRLGTTQALRLKKMRKDEFWYFFKTLSFGSTNPDEHKELIPIAMKIAVLINRDFIGAHVFSRLLRTNRNAQFWRRMLLFLNKVIECNLHVFGEHVSDIVGSNRPYYVLSNRDDAPHIWCTSSNTIPGHLVDWGSTIITMEGIMSESVALPTEGNFQVIRWQSPIAPYYSYISNCEIRKVSQVVSEEKCPKRNRSWINRVIASHTCHLLHCCKVSEEGHHGQVATYILYQLHLRVHTIIEEVDGRYASNQTNQGMLWQLKNVVYEGHHVLDTFRQHPEERKTFNLNKIHQTIESL >ORUFI01G23340.1 pep chromosome:OR_W1943:1:20431894:20436656:-1 gene:ORUFI01G23340 transcript:ORUFI01G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVYVLEPPTKGKVVVQTTAGPLDIELWPKEAPKAVRNFVQLCLEGYYDGTLFHRVIKSFLVQGGDPTGSGTGGESIYGAPFADEFHTRLRFNHRGLVACANAGTPHSNGSQFFISLDRCDWLDKKNTIFGKVTGDSIFNLLALADIETDKDDRPVYPQKILSVEVLWNPFDDIVPRQLKKTEPTAKGDIEGKSKKKAVKQLNVLSFGDEVEEEENEAASSVKDKIKSIHDVLDDPRFLKGEAPDEQLTKEQEDKKKETVQSVREALVSKKSDFRELEHDSETDDYPDDENEEDFDNRMRSQILRKRRELGDIRSSETSKKTDKAHRKDKELPVHRSDDDNDDDNEDHQLTKSRKFSMKKKGIGSEASAERMSKGDANLQLLNPAEQEKHLQKQKRRRLQGREDETLAKLQKFKASFLSKNPATGNTEKKTDEEDYTGWHSNRLTFEPDSSKDGMTRKDDPDDYVVVDPLLEKGKQKFNKMQAKLKRREQRSSRQPSVALQACRVKGFTSLRKHSL >ORUFI01G23340.2 pep chromosome:OR_W1943:1:20432265:20436656:-1 gene:ORUFI01G23340 transcript:ORUFI01G23340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVYVLEPPTKGKVVVQTTAGPLDIELWPKEAPKAVRNFVQLCLEGYYDGTLFHRVIKSFLVQGGDPTGSGTGGESIYGAPFADEFHTRLRFNHRGLVACANAGTPHSNGSQFFISLDRCDWLDKKNTIFGKVTGDSIFNLLALADIETDKDDRPVYPQKILSVEVLWNPFDDIVPRQLKKTEPTAKGDIEGKSKKKAVKQLNVLSFGDEVEEEENEAASSVKDKIKSIHDVLDDPRFLKGEAPDEQLTKEQEDKKKETVQSVREALVSKKSDFRELEHDSETDDYPDDENEEDFDNRMRSQILRKRRELGDIRSSETSKKTDKAHRKDKELPVHRSDDDNDDDNEDHQLTKSRKFSMKKKGIGSEASAERMSKGDANLQLLNPAEQEKHLQKQKRRRLQGREDETLAKLQKFKASFLSKNPATGNTEKKTDEEDYTGWHSNRLTFEPDSSKDGMTRKDDPDDYVVVDPLLEKGKQKFNKMQAKLKRREQVHRAKDYLIS >ORUFI01G23340.3 pep chromosome:OR_W1943:1:20432396:20436656:-1 gene:ORUFI01G23340 transcript:ORUFI01G23340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVYVLEPPTKGKVVVQTTAGPLDIELWPKEAPKAVRNFVQLCLEGYYDGTLFHRVIKSFLVQGGDPTGSGTGGESIYGAPFADEFHTRLRFNHRGLVACANAGTPHSNGSQFFISLDRCDWLDKKNTIFGKVTGDSIFNLLALADIETDKDDRPVYPQKILSVEVLWNPFDDIVPRQLKKTEPTAKGDIEGKSKKKAVKQLNVLSFGDEVEEEENEAASSVKDKIKSIHDVLDDPRFLKGEAPDEQLTKEQEDKKKETVQSVREALVSKKSDFRELEHDSETDDYPDDENEEDFDNRMRSQILRKRRELGDIRSSETSKKTDKAHRKDKELPVHRSDDDNDDDNEDHQLTKSRKFSMKKKGIGSEASAERMSKGDANLQLLNPAEQEKHLQKQKRRRLQGREDETLAKLQKFKASFLSKNPATGNTEKKTDEEDYTGWHSNRLTFEPDSSKDGMTRKDDPDDYVVVDPLLEKGKQKFNKMQAKLKRREREWAGRSLT >ORUFI01G23360.1 pep chromosome:OR_W1943:1:20458357:20464960:1 gene:ORUFI01G23360 transcript:ORUFI01G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAVAAALAPAPATAPVFSPAAARLTLIAAAAADPIAAVVAGAMDGVVTVPPVRTASAVEDDAVAPGRGEEGGEASAVGSPCSVTSDCSSVASADFEGVGLGFFGAAADGGAAMVFEDSAASAATVEAEARVAAGARSVFAVECVPLWGHKSICGRRPEMEDAVVAVSRFFDIPLWMLTGNSVVDGLDPMSFRLPAHFFGVYDGHGGAQVANYCRERLHAALVEELSRIEGSVSGANLGSVEFKKKWEQAFVDCFSRVDEEVGGNASRGEAVAPETVGSTAVVAVICSSHIIVANCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPVPEITIVPRAKDDECLVLASDGLWDVMSNEEVCDVARKRILLWHKKNGTNPASAPRSGDSSDPAAEAAAECLSKLALQKGSKDNISVIVVDLKAHRKFKSKS >ORUFI01G23370.1 pep chromosome:OR_W1943:1:20466245:20468671:-1 gene:ORUFI01G23370 transcript:ORUFI01G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGDDLKKPKLAGHFRSCSAYRLSCIDCGEFFTQETVQGHTQCISEAEKYGPKGQNKASNNAQGKQDKPKPNADVDINVGLSTYPPWFCSLCKTTTTSKQTLLSHADGKKHRAKAKAYHASQKQANGVEQTPKETVGAPVTESAQVNNERSTENERGVDNDAAKRKRANDTTSEEPDNTKRQNNLSVNSGEVVQSSNEEAETKAKSKGTKDELVSSANLKGSKKQKIKWKKIITKVLQTNPDGVLKLKKLQKLVTKELLECGLTEDKEQMHAILMDKISSSSRFSVDGKRIRLVAKD >ORUFI01G23380.1 pep chromosome:OR_W1943:1:20472180:20478356:-1 gene:ORUFI01G23380 transcript:ORUFI01G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQCEDCGGDDLKKPKLAGHFRSCAQPTGQETVQGHAQCISGAEKYGPPKGQNKASMEIFPSCAGNHLASAATSRQAEGEDRISPLPDNILLLILQELAARVEAIRTCSLSRRWRWLPWLLLEPRISVKKFIPSGVELWMVDKRALDRVAGRFCRAVSRFLAISDATRWLKLMLEFFKNCMNPLFETLSFGIAVDSPRVFGALTKLELRHLQLRSADVARVHARGWSTWRCSTATGVVPGEELVIDVAPGSSALRVLELECCIPKLTRLSVDSWFPDGAPVSLGSVPILADLSLIHGADIRTRPGGGGGELEDPRPLQGSFSKLTVLNLGDGQYELLWMIFFLQAAPFLQNFNLSIQKDMRSRHGRQQETPYCETTCSEFKHKHLKSLKIAGFKVEEKYMEFVRMVMELAMALQTIILTDEESCNYYRPTPTGSRYPKGDREKSSIVKQLMDGITSKVQIFIE >ORUFI01G23380.2 pep chromosome:OR_W1943:1:20472180:20478356:-1 gene:ORUFI01G23380 transcript:ORUFI01G23380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQCEDCGGDDLKKPKLAGHFRSCAQPTGQETVQGHAQCISGAEKYGPPKGQNKASMEIFPSCAGNHLASAATSRQAEGEDRISPLPDNILLLILQELAARVEAIRTCSLSRRWRWLPWLLLEPRISVKKFIPSGVELWMVDKRALDRVAGRFCRAIWIELEDPRPLQGSFSKLTVLNLGDGQYELLWMIFFLQAAPFLQNFNLSIQKDMRSRHGRQQETPYCETTCSEFKHKHLKSLKIAGFKVEEKYMEFVRMVMELAMALQTIILTDEESCNYYRPTPTGSRYPKGDREKSSIVKQLMDGITSKVQIFIE >ORUFI01G23390.1 pep chromosome:OR_W1943:1:20472235:20472925:1 gene:ORUFI01G23390 transcript:ORUFI01G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFSLSPLGYLDPVGVGRGDGDGTATARSWAWRWGATVSALRGSSDGRRRRQIWSPRLAGVTGDGLGRRRRRSTDLAGGWLAAAMVAGVAAMKLATTAADCGACRDAGPRQEPRCHEGGVAPSSMLRL >ORUFI01G23400.1 pep chromosome:OR_W1943:1:20482537:20483862:-1 gene:ORUFI01G23400 transcript:ORUFI01G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSAAGVSPSRAVATATTMTAYAVLARGMARELVVPHDLCAVVSWATTLVHARLGPRPAERRTAIINRVDEDGRHDSCFADAHAYLATKIDPRALGRFRLSGVGGGGERGRWNSLSMVPATRCPTSSRAWSSGGLPSPRKAARRRERELKIYMNESWSWRGIVHHHPATFDTVAMDPGLKRSIVADLDPGQGRGYLLYGPPGTGKSSLVAAIANHLRFNLYDLDLFQVHDNSVLHPRRRGHRLLLHLQVEGRRWQRAQHDLSDKIQLPHPTNNAIFLIEQQSLTLSGLLNFIDGLWSTSGEERVVVFTLHHQLQGTPRRGAAPAGADGHACSPAWTPRRPRCRRCCCAASDDVDAALRALVEFLQEKKRAMCRSHQEQSSLKVQSLR >ORUFI01G23410.1 pep chromosome:OR_W1943:1:20486279:20491911:1 gene:ORUFI01G23410 transcript:ORUFI01G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTASAATGGDEPPPEPHHQAGVQRPPKNDGDGGEEAGGGNVGVPAAAADEDPTAGAVGAAGRGSRRRKAGRRAAAPDEEDLDTILAEIDQNMASAALDSMPEMNLAQASASTAMDVAATVNDVGAEVKDDEMEAESAAAKRRKRKKEKEKERKTTSKVAEADAKKPPRHVRVMLEVLAKRKEAEELRKREEELRKRKAEEERLQREEDERMVEEMKMQQKERDKGKTMKKRQDGKTLTGKQKEEARRLDAMRRQFLGQSRILARSDLGNDGGANERKKRPIYDSKRKKVQSKADEAANGDGGHMQELHKVNKEEEECAIMEEQPHYRVEEDGEKIKLEEIKGAESVERINFEERITKEEKNEAMKSSNEEVVSLVTGWKNRIEEWDVDVDENDKDTRKLTPKRDPAKVDKAEKYTDLRSPICCILGHVDTGKTKLLDCIRCTNVQGGEAGGITQQIGATFFPIENIRERTKELKAGAALHVPGFLVIDTPGHQSFSNLRTRGSSLCDIAILVVDIMRGIQAQTIESLNILKRHKADFIIVLNKVDRLFGWKRCPNAPIKKALKQQAEGVKMEFDARLTDCTVLEVKVTEGHCTTIDVVLANGFLREGDQIVTCGMQGPIVTHIRALLTPHPMKELRIKCPYQHHKEIKASQGIKISAPGLEHSVAGTSLFVVQPGDDQEKSVNKAMAEMVVLMNRIDKNSDALIEFLKSPAVNIPIYDFNIGPVHRKDVMKASVMLEKKKEYAAIFAFDVKVMPDAREIANESGVKIFVADVIYHLFDQFTTYIEGLREIEKDEKIVEAVFPCVLKIIPDYVFNLKDPIVLGVDVLEGVAKVGTPLCLPSNGFARIGNIASIQNSSKQVDVARKGEKVAIKNIVLGVFDRSQEALQMSKRNVSGDLTLKEWELVRTLKHIFRIP >ORUFI01G23420.1 pep chromosome:OR_W1943:1:20492339:20494968:-1 gene:ORUFI01G23420 transcript:ORUFI01G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPMELLPRPSNQRVAIAGEDRISELPDDVLVSILEKLGNTRQAVATSVLSRRWLHLPWWIRRPFLSIFQFLPRSARAGGEDGFKNLQQRDLDRAIPPFVRAAMAFLASPTATHCLALRFLLTDEEDDELVYAVRHLLLAAGAAADAGGGGARCSSVQLDVRATADTTEAQMRDGARRRLLLTGLVARPRGLLITKLRLERLWLTAADVAAVLGACARLVHLTLHGCRAGRGEGAALAIDGAPELRELVVRGCGYRRVELRRAPKLVRLTLESWSSTTTTAPLRLAAAPCLREISLVNSCTRRSSQRFRLSELLASATNLDCLSLNFRNEEIWIQPEDSNRFVAAFGRLTTLSLCRIFDECDLLWTLYLLKAAPSLRKFSIGVQKHSCQYGGSEVKQRQILFPEKRNMFWIDFNFQHYHLAQLEICGFEANDKYMIFTRLIMEQAKNLKVVILSDEKTCDECDFQDDGTSSTGSSYPKNKEEKRLIQKQLTEGISSPVRVLVL >ORUFI01G23430.1 pep chromosome:OR_W1943:1:20497618:20504347:1 gene:ORUFI01G23430 transcript:ORUFI01G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTNANAGAGGGHRQGVPRVPPSRRDAGREDGVAEDGSTGAPAATTVIPGCAAARAVGAAGSKWRRKGTSGREAPYEEDSGRVRSAFGLDAVLSYTPQVVMVFTENDNKGREDGTHTQGHNLSLTWPPPKDDIRGDGHDEAGHRIARDAAAATGARSVDVAGRNPRKEKSGRAARPDAVDLGKQDPSGVSPTSGVSSPALAPSCLSEDGNFHRENVEMQAERANGWRRKERKAAVEASEVEVKRPGNQKGHGLLDQSGDSVGNNHVLISSSNHERKKRPMYNSEAAKEKNRVQSSSNDRFHRLNNDKIKECSSAEKVPKLSDVNTKEKSEIDQLTKGAILANEDQYEWDDLFFDDGGSKFLTVENKCEVEGVEASDKNDMGTRGLAHPAKVEQARKDMGLRSPVCCFLGHSGLLLIDTPGHETFRNFRCIGSRLCDIAILVVDVILGLQMQTLESLDLLKKHKIDFIIALNKVDNIDGWKQYPNASFEKALALQSESVRMGFEKRLSDIVSQLNAQGIVSGLYYINKDKDDKFKNIVPTSSISCEGISDLLLLLSDRAQKMNERLTIRDKIECTILDVKFIEGHGTTIDVVLSSGVLHLRDQIIMCGSQGPIKTHIRALLTPNKMKELQVKSPYQHHKEIKCARGITISAPGLEYSIAGTCLYVVRPGDDQQDVVNNVLSDIATSSNWIDKSKEGVYVQASSLGSLKAITEFLKSPAMNIPVCDFRLGPVLRKDVMKARFMVRKKTQYATILAFNVKVSPDAQKLASQDGVKIILADVIDHLFEQFRKYIFPRNEKTEEVVFPCVLKIIPDCVFNRKGPIVLGVDMLYGVAKVGTPLCIPSKGFIRIGKIASIQNSHKQVDVAREGEKVAIKIVGSNQDEANNSFGRTFGLDDELVSYITKESIDVLKEHHREIADEVMLSSLPEADDVLVPILHKLEDTQQAVATTVLYVQT >ORUFI01G23440.1 pep chromosome:OR_W1943:1:20517106:20519544:1 gene:ORUFI01G23440 transcript:ORUFI01G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDARARSGDGAGAGPGASGATTSYMSIDGEENGGAGGAKVWVLVLLFSLIVLMLLPSAVRRGGPWGGGGGGGGGGGGYQRGRGGGISLKSGWDVVNLFLVAFAILCGLLGRAGDGESSSGSASTAAAAGAASEGGRREHQVSPSLAAAPAAEAVGEEPSTAEVWASLNTSAAASYGGNNHYGSTGIRRLKSSSSYPELRLDSDGVWGLTSPEAAWRFYDDAELYRTRRPERSWDVDAPQKRTTTTPEVKTIPVDTYEVRRKSLPPKEERRRRRGSIERLPSMAEIAEEERPHPQPQQPVETVTPTPPPLARSRRWNPEMLEVVLEQESRVEETTMPPPPPPLTRSRRWNSERLDAVLDQVARVDETAMPPPLTRSRRWNSERLDLDAVLDQVARVEEETVMPTQTLARSRTWNPEMLDAALEQQQDTLMPPPTLTRSRRWNSERLDAVLEEMRVEEVAPAATPPPPARPRRRSRSVESLPRTEELEAEIVVEEIKYPLPSSSPAMFPPGTPPPPPPLPPATAPRSKKKRSGSVGGAKDLASAIATFYQKKRKSIGIKSKKKHHHNHHHHHHLSDGHYSSPSSDASASPDSTVRTTNPAPAPPRRPPPPPPPPPSSIFYNLFKKGGSKSRRIHSVAPPQPPPPPPPTTRRSRKPPQPPSRPAPPPPPPPQQQPPFYPRRAVVYYTYPLPPPSPPLPPPPPPPPPPMSEGEEEAPPSVTASPAPAYCASPDVNAKADKFIERFRAGLTLEKINSYREKWQRQIQDDSSSAMAVAEEEGEFMVIGSLFDDDDEEDIISLPETPATATAVAVGF >ORUFI01G23450.1 pep chromosome:OR_W1943:1:20524775:20528910:-1 gene:ORUFI01G23450 transcript:ORUFI01G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHGKVKNRREEEGSAAVQEKGKTRVERNLIKVKVKLGRLLSMDGDRSRRSAAAITRPPSHGGPAWRRMIAWIQLLKVFVQRYGEVARWRTAFLLFLALLAERGLRRAYLSWKESRLRPRAAAAVTLQAAFRGMAARRELSLRRRAARAAAAALIQARWRARAAAREHAAAVICQCAWRRRAARRRLARLRIEQERAAEACRLREMVDVLQQAVEDAEVRVIAEREAAKKAIAEAPPVIKEIVVQVVDTDKVDSLAAEVARLKDLLGAEMKATFDAKKAVAEAELRNEKLARLLGVEEVKNRQLQESLKRMEMKASDLEEENQKLRGAVASVPYVRLPSNVNRDDPDLEPTPENEDENENEKAVYCEVKPMIVDRGVDIHENNSQLPGLNDPEAEKQQQELLIKCISEDLGFSIGRPIAAYLIYRCLIHWKSFEEDRTTVFDRIIQKISAAIEARDNNETLAYWLSNSCTLLLLLQKTLKINGAAALARQRRRASPLKTPQENQAPNHPDRSPVPDGRLVGGLGEVCQVEAKYPALAFKQQLTALLEKVYGIIRHNLKKELSPLLGLCIQAPRTFVVSPRGSGAQGTDLAQQASMAHWQSIIKILTNSLNVLKSNYVPPFLICKLFTQLFSFINVQLFNSLLLRRECCSFSNGEYVKAGLDELEHWCFWLTEEYAGSSWDELKHIRQAVALLILEEKHSKSLKEITDEYCPALSMQQLYRISTMYCDDKYGTLGIPPEVVSSMRTKMVQQGSNSPSTQDDINSFLLDDDFSIPFSIDDIAKLMVHIDIADMDLPPLIQENKGSPHLMP >ORUFI01G23460.1 pep chromosome:OR_W1943:1:20533017:20534785:1 gene:ORUFI01G23460 transcript:ORUFI01G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDANPSVARSASPSPTTLSASSCSPPNTTAASTSSPSRRTSVVMASWIWPATRGKKKAGDDVVDRPSTMWWIGRQLRFLVSIKAIASAVRRGVVHATSHMTASRLARLRNLTAVSDMSEKDGEVDLRGGAAAKTRWAEPARAAADRMMGVSAELAGQETVMSVIGKNRVSDTVKAVDRAWRSLIANM >ORUFI01G23470.1 pep chromosome:OR_W1943:1:20536092:20536477:1 gene:ORUFI01G23470 transcript:ORUFI01G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIGTCAAIALLVLVAFVASSGAAADQPRCCVDFHSWGGNTGCGPGQNDACNSWCQSQCRGGECKPRGDRHFCHCFC >ORUFI01G23480.1 pep chromosome:OR_W1943:1:20538998:20540584:1 gene:ORUFI01G23480 transcript:ORUFI01G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAKWGDLPENVLLGVTACLPCRADRVRMACVNRQWRAAVREGPRPPPPAPQLPPLPPQLPWLIFPSSATPSFYSWIGRTSHPLPLPPDVRVARFCGSSDGGWFVLALDSSHRYALYNLNSGHRVELPPGIVSPSGKQFPLVARFATLSASPPTPSSSPRPYMLAAVVLVSRRLDVAFWVQGSKCWFPHRGPRLNQPQDVVYYNGGFYFVTADEGVVVYWPGYGRLTNNQMRMRRVEYNMLRRDDYLEDMGFIGGNGSITRYLVESRGQLLMVARYIYNEGGTEVLRVFRFHVMPLTPAAAISGRPRATWLPVDYLEGRMLFVGKGCSRSFEAARFPGFEDAIIYFLDEGFVHDTTASAAVQERPRYSFTDMGRISGRFSFNAMMICQGKM >ORUFI01G23490.1 pep chromosome:OR_W1943:1:20543882:20562958:1 gene:ORUFI01G23490 transcript:ORUFI01G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSYAAVKCLNTSSSSRKRFVFKSFSQRVEEIDIDVYRSLHEELNTADDFISFYEETIHLVQTLPQIVLHCEKIFSGLLKRINMKARLSLEPILMLVAALSRDILEDFLPFLGRHANAILALLSDGGDRDPEIMEQVFTSWSYVMMYLQKYLVKDVVQVLRITAPLRFFPKDYVREFMAESVSFVLRNAPNGQQIEGVRNVLLEAAKNPSPVHIDGITALLCHVMRGTYTRLHSRAGKIMEFLLSKSNLTTIQEKFPDDKANILKLVKSLVIILGNLSFISPFYDPVFKLTDLSMLVFVRKLLTKGPKIIQTFESQILRAASLGMTGECDPQNLLDLFSIFAVNLSSPNKDLRVLTLRILSYFGKMDQRLGTDEERPHKRQKTEDSGDDTIDMKYANVLDTLLAVESTPISVSTSRKIAIFVSRIQMSLSSKMVHEDYIPLLLHGIIGILYNRFSDLWPPALDCLAVLISKHKELVWDQFIQFIATHQSNGPSVKNQDKLEATIQPQSIFDCFSIYLSTNYDCTPLETVATLLLQSLQKISDVAESRSRHLVPLFLTFMGYDNSNITSVDSYISNKCKGKQWKTILKEWLNVLRLMRNARSLYQSKILQEVLTKRVLDESDPDIQSKALDCLLNWKDEFLTPYSKSLKNLIDSKTLREELTTWAVSYDSLSIQKDHRSSVVPLVIRVLTPKLKKFKLLGSRKSLIPGNLRLEIFGSQSDNLLGNISDIVEASTEICLENLTWKKANGFLHLVEEIFGTFGMAHISPVLDVLLLIVVRLLESCMRNLRSMNEEDYPSKQSNDPDDECSMTLEAGNSMSLKEHSKDLPSADHNKLSLLMMKLLIMLVKCNILSVVTPISYLTVMQESVSIKQLKDLRSLCIRIVSLALNQYGSNDFGEKFWNIFFTSVKPLIDCFRQEASSSEKPSSLFSCFMAMSQSPKLASLLGAHNLVPAIFSILTVKKASGSITSYALEFIENLIKLDTDLEQHGDHSLKKILVPHMDVLLHSLNDFVSYRRELHRKSGTWLGQRELRLFKLLMKYITDPSSAEHVLDLILPFFSKKDLNPDECLEALRVVGGILANLRCGVSAKILNALNPLLATAGLELRLCICDIYVGLSFHEPSVSTLAMLVRDLNAVSTSELGEVDYDTRIKAYDTIQPQSFLDMREEHVGAILSHCVYDMSSEELIFRQSASRALQSFLDFSASIMNNESKHCIETENNSNGIWTKGSIHQILEKTYLHNMGVAMSKDISIQKEWIILLREMVYNFNHVPSLNSFIPLCKEDLEEDFFHNITHLQAGKRSKALSLFKQRIKDTEFSEDVTMKVFVPLFFNMFFDVKAGKGEQVRDVCLDTLSSIAAKVQWEHYRTILMRCFRELSLKPDKQKIILRLICAVLDSFHFMKPAHDVSRNSDAMNEDSDSSLTFSSTIVSSEKQHYLQKIVFPQVQKLLGADPEKVNVSINLVALKILKLLPVDYFESQLSSIIHRICNFLKNRLESIRDEARSALAASLKELGIGYLQFVVKILRAILKRGYELHVLGYTLHYLLSKTITSDMNGRLNYCLEDLLAVVESDILGDVAEQKEVEKIASKMKETKKRMSLETLKLISQCVTFKTHSLKLISPISSHLQKHLTPKLKSKLEMMLHNIALGIECNPSTETFDLFVFVYGLIKDTITAGESQCKENAGSGHGQENTRRNKLLGLHDSGLQNSYIITKFAVALLRNRLKSIKLHKNDEELLSKLDPFVKLLAECLSSKHESVLSISFRCLALLIKLPLPSLKDNANLIKNVLMDIAQRAGNSNGHLVTSCLKLLADLLRGFRISLSDDQLQIIVHFPIFVDLQTNPSPVALSLLKAIVKRKLVSPEIYDIVVRIGELMVTTQTESIRQQCIQILLQFFLNYPLSEKRLQQHIDFFLTNLSYEHPSGREAVLEMLHDILTRFPQRIVDDQGQTFFLHLVVALSNEQHQNVSSMILRAIQKLLGRIGDQGKNSIFEYTLSWYTGEKQNLWSASAQVIGLLVGDRSLGIGKHLNNILANAKQIMECSVIASGGQVDLADETCLPFWKESYHSIAMMERLLARFPELYFKQNMEEIWMIVCKLLIHPHSMLRNISSSLVASYFSFVEKSKREKKFDGKSSLLIQPSMLFLIAVSLMKQLRAELSDTTANNLIVQNLSYSVCNLHTLVKQTTSPHQFWSSLSSSDHSAFLEGFELFGSTKAKNAFLICTSASTDVNGSNLDGGEELTSLLVSSLLKRMGKIAMQMQDTQMKIVFNCFSMISSALGAEVSLSYANLFLGPLYKVCEGFAGKVISDDVKQLADSVRDKLCDLIGTEKFVEVYNSVRKGLKQKRESRKQSEKLIAAVDPARHAKRKLRIAAKHREHKRRKIMTMKMGRWLR >ORUFI01G23500.1 pep chromosome:OR_W1943:1:20566302:20567445:1 gene:ORUFI01G23500 transcript:ORUFI01G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVEYYTPEVGFHSKQHNPPPVAAAPLEAGGGREQSRREAAVNLGKMDRGPAPVSGGAATGGVPRSKNGSKIAFKTRSEVDVLDDGYRWRKYGKKMVKNSPNPRNYYRCSSEGCRVKKRVERARDDARFVVTTYDGVHNHPAPLHLRPQLPPPGGYSIAGAPAVVAPHGRLGLEEAEVIALFRGTTATSLLLP >ORUFI01G23510.1 pep chromosome:OR_W1943:1:20576829:20581795:1 gene:ORUFI01G23510 transcript:ORUFI01G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVEDDAAAVLTNGGAGAAEVRRRRDQAKEILSKQAVKIATKAEEHERFIFKVTHLLGVLGFGTFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLDFCYYANTFLLVMILFYPKDEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKLVSVLIHLLPGIVIFTIRWWNPQTFAAMHPEGRAARVTWPYVEDKSYLWTWLFAVPLAAYTLWQLMYFLIVNVLRRQRLLKDPEVMTSYRELSKKAQKANNIWWRLSGLLGDRNRPLMYILLQALFTVATMALTVPIFLSFQMHVVFQILKVCASTWNGGSFILEVMPRQVVQKEKKKLEMKPMEEANSSQNAEESQGDLSANGQHSSEHS >ORUFI01G23520.1 pep chromosome:OR_W1943:1:20581958:20582335:1 gene:ORUFI01G23520 transcript:ORUFI01G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLSLLSALALAFHAVNTGVAVYRSRADAAAVALVLASSLALALLFLCLRLYEGAPPAEAARRRWLRRAVWLLSAALTAAFTRRVAGAMPPAGAVLVWAMSAATAGGGFYALLVVDDGRDLGAK >ORUFI01G23530.1 pep chromosome:OR_W1943:1:20585284:20585946:-1 gene:ORUFI01G23530 transcript:ORUFI01G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQAAISTCCSSSCLSRPPPPFARRRRFKVTAMAPQKKVNRYDEKWSKQWFGAGIFAEGSEEVEVDVFKKLERRKVLSTVEKAGLLSRAEELGVTLSSLEELGLLSKAEDLGLLSLVEAAAAASPDALASVSLPLLVAAIAAVVLVPDDSAALVALQAVLAAVLLAAAAGLFVGSVVLAGLQESD >ORUFI01G23540.1 pep chromosome:OR_W1943:1:20586552:20589697:1 gene:ORUFI01G23540 transcript:ORUFI01G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPAAAAAAVGAMRPWNYVVTAHKLTVVARSCVGNFTAPDHLDLSLTVQQLSGNLPVLRLEYLLTHQGLQPMLDAPVYGRIATIELFRPCVSQGEMLLTTLDALQTRDRDYNITWMTAVEMLDDYVYIGADNCYNLFTVLKRRVGRLLVIGQYHLGDLVNRFHQGPLVMQDPG >ORUFI01G23540.2 pep chromosome:OR_W1943:1:20586552:20589697:1 gene:ORUFI01G23540 transcript:ORUFI01G23540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPAAAAAAVGAMRPWNYVVTAHKLTVVARSCVGNFTAPDHLDLSKFTRIEIGVSAYSSGSSGQGEMLLTTLDALQTRDRDYNITWMTAVEMLDDYVYIGADNCYNLFTVLKRRVGRLLVIGQYHLGDLVNRFHQGPLVMQDPG >ORUFI01G23540.3 pep chromosome:OR_W1943:1:20586552:20589449:1 gene:ORUFI01G23540 transcript:ORUFI01G23540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPAAAAAAVGAMRPWNYVVTAHKLTVVARSCVGNFTAPDHLDLSSGRDASDHIGRPTNKGQTDELSRDYNITWMTAVEMLDDYVYIGADNCYNLFTVLKRRVGRLLVIGQYHLGDLVNRFHQGPLVMQDPG >ORUFI01G23550.1 pep chromosome:OR_W1943:1:20598700:20603460:1 gene:ORUFI01G23550 transcript:ORUFI01G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTHSKVEDEEAVRRCKDRRKLMKQLVRRRVELAAAQTAYLQSLRNTGATLRQFAEVESALSQQPPAGIAVHPSPPPPPPPPPPPPPVPVPPAYSVTSSVPPYSMTSSLPPSPRPPPPLPFSPIVIRRKKRDGELDEDDSTDDDDDTDSCSTPLPPPPPPGVEWEYLEPFAMRPLNFPSSLADRIDKEAASQVTMDDDNWVETNTEFDGYDDESVSGNVEGIVSSVQLNQAKSRALGDDNSSMVSWVTKDSDTSAMAWRSKKSLAGIAKEIDEYFLKAAASGSDIVILLDSSGGQPDPSELEANRVKLSTKGQEKCLFASNHFQRITFVVLGAGKNSKSAKVFSTISWSWSFKSAQANRQSSMHSSDASGYGYHGKTLEKLYEEEQKLYKLVKDEEFARLQYRKNTSLLQRLESGDHDKLHAEKVRDNIEELQARIISLEEAVGLTCLSISKLRDEELYPQIIELSAGLVHMWRNMYECHQVQNHIAQQANLLGNLPGNEPTTDTHCQATSQLEVEVSAWHSSFCNLITLQRDYVTILNQWIKLTDCLPDNDGFMKSSSGIRSLCAELQRALTGLPEKVAAEAIKTFLSVIHTIVVQQTEERQLKKKSDQIESKFHTQLEKHSNNATQNSGQPTLAKLDTFKKQVEEEKARYLNSVRTSRAMTLNNLQTSLPNVFHALMGFSGVCVQAFEGISRCSEIVASHSGAVSPAISS >ORUFI01G23560.1 pep chromosome:OR_W1943:1:20605949:20613701:1 gene:ORUFI01G23560 transcript:ORUFI01G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNTRLINFLRDRAQVEQLLRYVVEEVPDDAEKKRSFKFPFIACEIFTCEIDVILRTLVEDEQLMELLFSFVKSDHPHSTLLSGYFSKVVICLMLRKTAPLMAYVQGHPEIVVQLVDLIGITSIMEVLIRLIGADETIYSNYGDTLQWLENTDVLEMIADKFSSSDSPEVHANAAEILCAVTRCAPPSLAAKICSPSFVGRLFRHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGALVTASPETVDGMLESLGNLLKLLDTSDAENVLPTTYGCLRPPLGKHRLKISVSDQMEYRKEGTQDLCQSGPPNWIGSVLLTIGSETAEKELIRQSAIKRSVDLFFEYPYNNFLHHHVENIIVSCLEGKRTELVEHVLNDCDIVGKILAAEKLSSLSTESTGPTIPSDGKSIPKIGNIGHMTRITNKLIQLGSSNGTIQIHLQENSEWVDWQTDVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDMEENQGTLERDDEDVYFDDESAEVVISSLRLGDDQDGSLFTNSNWFTFDGERGITDRLAAVPSSSPNSEEISPDTEETDDGEVVIGTEDHMDTVNLGNGPIEEAEDAAEFTKHPATSTEDEQLQNAEGIERHLDVSNGDAEASTEAAEAAPVSSAPSSDEVQTERTADEPTGSSDSGNSVSEVLPDPEDSSIDPANTAVSSEQTVDNEDVELPTKEVPSVDVETKTDEIKANE >ORUFI01G23560.2 pep chromosome:OR_W1943:1:20605949:20613701:1 gene:ORUFI01G23560 transcript:ORUFI01G23560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNTRLINFLRDRAQVEQLLRYVVEEVPDDAEKKRSFKFPFIACEIFTCEIDVILRTLVEDEQLMELLFSFVKSDHPHSTLLSGYFSKVVICLMLRKTAPLMAYVQGHPEIVVQLVDLIGITSIMEVLIRLIGADETIYSNYGDTLQWLENTDVLEMIADKFSSSDSPEVHANAAEILCAVTRCAPPSLAAKICSPSFVGRLFRHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGALVTASPETVDGMLESLGNLLKLLDTSDAENVLPTTYGCLRPPLGKHRLKIVEFISVLLTIGSETAEKELIRQSAIKRSVDLFFEYPYNNFLHHHVENIIVSCLEGKRTELVEHVLNDCDIVGKILAAEKLSSLSTESTGPTIPSDGKSIPKIGNIGHMTRITNKLIQLGSSNGTIQIHLQENSEWVDWQTDVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDMEENQGTLERDDEDVYFDDESAEVVISSLRLGDDQDGSLFTNSNWFTFDGERGITDRLAAVPSSSPNSEEISPDTEETDDGEVVIGTEDHMDTVNLGNGPIEEAEDAAEFTKHPATSTEDEQLQNAEGIERHLDVSNGDAEASTEAAEAAPVSSAPSSDEVQTERTADEPTGSSDSGNSVSEVLPDPEDSSIDPANTAVSSEQTVDNEDVELPTKEVPSVDVETKTDEIKANE >ORUFI01G23570.1 pep chromosome:OR_W1943:1:20613775:20616986:-1 gene:ORUFI01G23570 transcript:ORUFI01G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEIDEEVEAEGGGQVVMCRKMSNISSGKEKAKHIFNDSGLDLLLFMQKWRWPSARKFPTTYRSTITRSTRELQGNWRLDVLYKSQEYKLHYTLETKHRNRNTANSKDGIRMAAMENLSNLKPEPAASKQFEERRHRSIDVGALNYDPREQEERLMERNYTAQVQCAARGVE >ORUFI01G23580.1 pep chromosome:OR_W1943:1:20616777:20618831:1 gene:ORUFI01G23580 transcript:ORUFI01G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLHGKQLFVLTVAIVILSTTWLKNLAGLGRRGRQVLPHGGKQPLESEQVAHHLPTTLSLYFFIYFIGHGVFPTVHSLMKSKKDFPKAQVTLNLPSGKLYTRITILTTLISLLEKYRLEIQLIATVIKEKLSLTTAAATDAENNRQTRVLTSTAVVVSTVVLACTVPFFSYLMSFNGSSLNVTIAVLFPCLSYLKIYMP >ORUFI01G23590.1 pep chromosome:OR_W1943:1:20623808:20624653:1 gene:ORUFI01G23590 transcript:ORUFI01G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPTSLHDCGRDSDDDDFRDMDYDVVALTNNLSQALRYGIYSNDDMEENQGTLEHDDEDVYFDDESAEVVICSLRLGDDQDG >ORUFI01G23600.1 pep chromosome:OR_W1943:1:20627274:20627453:1 gene:ORUFI01G23600 transcript:ORUFI01G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGASFRRSCLNLSNVICSIGMLYVSYVLSQGRWPSLVLFTMVGAICFHTGNLNDRCMC >ORUFI01G23610.1 pep chromosome:OR_W1943:1:20629251:20630273:1 gene:ORUFI01G23610 transcript:ORUFI01G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMRMDQYSNNAPVTLNLPTGKLYTRIAILTTLITPLAKYVLPITTVNEEKLSTTTVAAADVEHNGLTRVLTSTAVVVSTVVLACTVPFFSYLMPFNGSSLNFTIVVLFPCLSYLKIYMPRFGVGRFEVAAIVGILVIGVCIAFVGTYTSLHQIIGTAY >ORUFI01G23620.1 pep chromosome:OR_W1943:1:20667882:20668499:1 gene:ORUFI01G23620 transcript:ORUFI01G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLIAAGLLLLLLRLAFAADGITGCPDRCGYVDIPYPFGIGPNCSRGDGFDIACNTTNSTGVLVPTLAAAHRHAIQVRKLTVFPRPEVKVMLPVAYMCYNSSGNVTKQFDGDVELNNKGVYRISDERNMFVVIGCNTVAWNQHVDSGGKGLYRNLYYAGCVTYCGDSRSAMDGKCAGVGCCHVDIPPELTNNVVTFEQWPRGD >ORUFI01G23630.1 pep chromosome:OR_W1943:1:20668575:20668907:1 gene:ORUFI01G23630 transcript:ORUFI01G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNRRMPVWLDWAIRDLHGNASSVASCPAPEVEKKKPAGYACVSANSECVNSTNGPGYYCNCSNGYEVNPYDRDGCQGKNVGPGANKILLRLER >ORUFI01G23640.1 pep chromosome:OR_W1943:1:20698299:20701381:-1 gene:ORUFI01G23640 transcript:ORUFI01G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKSPIDEAPFYGKHEQEDLGTIAYSARAPSSVRNMLPQAAGGFTKPSASTPATSWTAACASIADLPSQGRWLSLALFTKLYASTPSTSWTAACASIAELLDIGHLTFSGYGLTAIGLIIIMVNENLKVNGGIKLAPGLVLLISSVMCSLNSTVTTVLGYLSYGEDVQVKVTLNLPTGKLYTKIAILTTLITPLAKYALVIQPITMAIEDKLSAMMAAVADNRNNGLTRVLTSSRRCQHDGAGVHFALLRLPHVVHRVLTERRRCHVVPVPELPQDLHAPRKSCPLRGGGDCWDTGHWSVRHHRWHLHFPSPDYRHILIGHLWVWW >ORUFI01G23650.1 pep chromosome:OR_W1943:1:20701875:20703865:-1 gene:ORUFI01G23650 transcript:ORUFI01G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGGGGNSLPSPSCGDDKKRRVCYYYDPGISTVDYGEGHVMVPHRVTMAHNLVAAYGMLGDMRRLRTAPATAAELADFHDEGYLALLQDLTPDGCGGDDGGHLREEGGGRGVDNPVFDRLWDYCLRYSGGSLAAARTLGSGTADIAINWSGGMHHACRGGARGFCYVNDIVLAIRELLAHFRRVLYVDIDVHHGDGVQAAFEASNRVMTVSFHQHGGGFFPGSGAVADVGKKGPGRYCALNVPVSVGIGDEEYHRLFEPIMARVMEVFQPEAVVLQCGADSLAGDRLGELNLTTRGHAQCVSFIRSFNLPLLLLGGGGYTINHVASCWCNETAVAIGKEIPNDIPKHGFDVFYKNQEYKLHYKLETKHLHRNRNTANSIDGIRMAAMENLSKLKLEAAASVQFEERRHRSINVGDLYYDPREQEEEEESPTARLHRKLYFEPTGDQESLYTKHRCNVQPGESSSRKQRKLK >ORUFI01G23660.1 pep chromosome:OR_W1943:1:20708250:20711216:1 gene:ORUFI01G23660 transcript:ORUFI01G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKSPIDEALLHRQEDLRDEVDYDMEHLLLPTGSNMEQHLLLPTGGGSFCMTGASFGRSCLNLSNVISGIGMLSVPYALSQGGWLSLTLFTMVGTICFYTGNLIDRCMRVDRCVWSYPDIGYLAFGSYGRMAIGLVIYVELYLVAISFLILEGDNLDKLLPGIVVEILGYQVHGKQLFVLVAAAVILPTTWLKNLSMLVYISAVGLVSSVALTASLVWAGVAGKGFHMEGSSLLNLSGLPTALSLYFVCFAGHGVFPTVYSSMNSKKDFPKVLLISLVLCSLNYAVTAVLGYLIYGEDVQAQVTLNLPTGKLYTRIAILTTLITPLAKYALVIQPVTIAIEEKLSATTDAEINRLTRVLTSTAVVISTVVLACTVPFFGYLMSFIGSSLNVTVAVLFPCLSYLKIYMSRGGVGCFEMAAIIGILVIGVCVAVVGTYTSLQQIIGTF >ORUFI01G23670.2 pep chromosome:OR_W1943:1:20713631:20716300:-1 gene:ORUFI01G23670 transcript:ORUFI01G23670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHRKMDRPDETVKFPSCDAYFDTIQSKKKLPLSLQESLTAAFAQIPVSSFPDVPNGRVIEIPGDTSVLDAVRILSKHNIRAAPVLNPECGAPADWKGRYLGIIDYSAIILWVLENAEIAAVALSAGSATAAGVGMGAVGAVGVAALGATGPAAVAGLTAAAVGAAVAGGLTAEKGVAKDGLTAADHLGEDFYKVLLQQEPFKSTTVRSIVESYRWSPFVPITLDTSMLTVLLLLSKYRLRNVPVIEPDKPIIKNFITQTGVVKGLQQCKGRDWFDYISALPLSDLGLPFMSLDEVITVNSGDLILEAFKCMKDNKIGGVPVVEGPNKKLLGSVSIRDIRFLLLRPDLFSNFRQLTVMEFMKTIGSTVSDSGNGDSGNGLVKSHLSPVRLTLLLAAIASRITHRIYVVDGDFEVVGVVTLRDVISCFIYEPPGYCDNYLASAMDKLEEKGAGSVDTS >ORUFI01G23670.3 pep chromosome:OR_W1943:1:20713631:20715674:-1 gene:ORUFI01G23670 transcript:ORUFI01G23670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPDETVKFPSCDAYFDTIQSKKKLPLSLQESLTAAFAQIPVSSFPDVPNGRVIEIPGDTSVLDAVRILSKHNIRAAPVLNPECGAPADWKGRYLGIIDYSAIILWVLENAEIAAVALSAGSATAAGVGMGAVGAVGVAALGATGPAAVAGLTAAAVGAAVAGGLTAEKGVAKDGLTAADHLGEDFYKVLLQQEPFKSTTVRSIVESYRWSPFVPITLDTSMLTVLLLLSKYRLRNVPVIEPDKPIIKNFITQTGVVKGLQQCKGRDWFDYISALPLSDLGLPFMSLDEVITVNSGDLILEAFKCMKDNKIGGVPVVEGPNKKLLGSVSIRDIRFLLLRPDLFSNFRQLTVMEFMKTIGSTVSDSGNGDSGNGLVKSHLSPVRLTLLLAAIASRITHRIYVVDGDFEVVGVVTLRDVISCFIYEPPGYCDNYLASAMDKLEEKGAGSVDTS >ORUFI01G23680.1 pep chromosome:OR_W1943:1:20717952:20720273:-1 gene:ORUFI01G23680 transcript:ORUFI01G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFTLFRDEVQKASEKVHRHHANDDEAGLFLSLGLSLGSSPDACQCHASKKDEADAGNGGGDGYLALALRCAPAAGEPMVHPKRQRATTNSSSSSSICGEYGGGAAAAAVPAGHDDDDRSCMITAASTANRPGRVVLRTRCSAPTKTAKGNPWPRGYYRCTGAPGCPVKKQVQRCNHDTSVLVTTYDGVHNHPITPYAAALPPSSSSSSSAAAAMLASSSSSSTWSELQRAMPAAQSSWSQRNYPIQADVVAKAIWDPKFQATVAAAVASYVRDREQSARVAGGKGAGELFNLAPPC >ORUFI01G23690.1 pep chromosome:OR_W1943:1:20728581:20732813:-1 gene:ORUFI01G23690 transcript:ORUFI01G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRGSKAISPLSPIQPAPSFLLPPNPPYATLRSYIPNRPFLTFVPTLIASFPRRLYNLNHWVPFGVCYAMKRAGSKGVLESSSSSSKKTTRRQKKPPTSSLEELELPNSAMNKIQEVHDIARDVFFAATPGFVPSSLAEANLTKLLDTLKLEDFGLDASMPYFRADPQGHPKVTYVHFGDDSLNFSFGVFCLPQSAVIPLHDHLGMTVFSKILHGSMHIKSYDWVKTPNGAHFAKVRTNTIYDDSSKTTVLYPESGGNLHCFTAETACAVLDVMGPPYSSVEGRDCSYYGVCPSPRGVSRRITDELSDWLRKERCTFNMNAVLVKPSHSQCVFVAFGLNQKGEYSLSCPLSARPPQEHVGNLGHGFLVAGGTHARAPHRHVKDGRGRRETSLSIVALHARRARRPGEEGRWMLAGSMGKVVGLEKLAGFLRPTMPEKGEGRATDGGRGPALSWGRSRPRLLLRQIRTARRPSLPGRFHAAELALLRSRYQRPSLLTSPSSLPCQVHVADLVGPRRRPRSPSPARAPIPSVAPATPSS >ORUFI01G23700.1 pep chromosome:OR_W1943:1:20738633:20742337:-1 gene:ORUFI01G23700 transcript:ORUFI01G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGWEMLSFNIHDGFLEAIVRGNRSGLLTAADYNNLCQCENLDDVKMHLTATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMMCQATEPLSTFLQYITYGHMIDNVVLIVTGTLHERDVNELLEKCHPLGMFDSIASLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCEKLGGATAEIMCDLLSFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDVDQVRGVMEKYPPYQAIFAKISYGESQMLDKAFYEEEVRRLCLSFEQQFHYAVFFAYIRLREQEIRNLMWISECVAQNQKNRVHDSVVFIF >ORUFI01G23710.1 pep chromosome:OR_W1943:1:20751007:20754249:-1 gene:ORUFI01G23710 transcript:ORUFI01G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAPPPESGPPPPGPPGAMRVARRLAVEVVDARDLVPKDGLGTSSAFAVVDFDGQRKRTRTVPRDLSPQWHERLEFAVHDPAAMHAEALDVSLYHDRRFNPSGGGGGGGGKNHFLGRVRIYGSQFSRRGEEGIVYFPLEKRSLLSWIRGEVGLKIYYYDEAPPPPEDRPPEGGGGDNAPPPEVPPEAPRDLPDIPAPTEAAVEVQQPPVHPPVIIVEEAPPPMHHPMMPPMHGPHGPMMPPAVHGPHGPMAPPMHQHQHPQPQPEPEPEPEPDGGEAGYPPEVRKTRMATERVRIARHLSGGLGPEYYATSPRVISGRFVSTGDAVEPVQSSYDLVEPMRYLFVRVVKVRGIRACEGPYVKIQAGPHTLRSRPGRDVSGTGNPEWNQVFAINHAKPEPTLEISVWDGGAPSPIEAFLGGVCFDLSDVPVRDQPDGPLAPQWYRLEGGEPGMVTGDIMVAVWIGTQADEAFPEAWNTDAPYAAYTRSKVYQSPKLWYLRASVIEAQDLRVPAPPPGLPFDVRVKIQVGFQSARTRRSVASRSSGSAFAWEEDLMFVVSEPLDESLVVLVEDRSMIKEPALLGHATIPVNSVEQRLHERQLVASRWFSLEGGTSDIGIGPGGGPPGFYSGRLHLRLCLEGGYHVLDEAAHVCSDYRPTAKQLWRPPVGVLELGIIGACGLLPMKTKGGAKGSTDAYCVAKYGKKWVRTRTVTDSLNPRWNEQYTWQVYDPCTVLTVAVFDNWRMFAFAGAGDEQRQDYRIGKVRVRVSTLESNRAYTASYPLLVLLRSGLKKMGEVQLAVRFTSPAHLPDTWATYTSPLLPRMHYLRPIGVAQQEALRAAAVRVVAAWLERSEPPLGREVVRHMLDVDAHTWSVRRAKANWFRIMGVLAWAVGLARWLDSVQRWRSPPTTVLVHVLYLVLVWYPELAVPTASLYVFLIGVWYYRFRPRGPAGMDARLSQADTVEADDLEEEFDAVPPPEVLRARYERLRTLAGRVQRVMGDVAAQGERVQALVSWRDPRASRIFVGVCLAVAVALYAMPSKMVAVAGGFYYLRHPMFRDPMPPAAVNFFRRLPSLSDRML >ORUFI01G23720.1 pep chromosome:OR_W1943:1:20762218:20764472:-1 gene:ORUFI01G23720 transcript:ORUFI01G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWYHKTREHTKLWVANRQAPLTDPESSQLSISSDGNMVLLDRATRSPVWSTNITGIAAAANSTVGVILNTGNLVLADASNTSAVLWQSFDHLDNTWLPGSKLRRNKLTGEATRLVAWKGSGAGAGTCGSPGTAATSTGEAAAATGRPRRRNRDLKSPYTFLYVDAENESYVVFEVKDEALLSRIVVGVAGQIMLWGWVESAATWVLFWSEPTLCDVYSLCGSFSVCTDGSVPECGCLQGFVERQPRQWLYGDQTAGCARITGLQMPCGGGGQASGKTTKRDDTFFTMPKANSLPTGGVAAPSATASAHDDCELACLGNCSCTAYSYNGSCTLWYGDLINLRGANGSGTDGYRISIRLGVASDLSGTGNTKKMTIGLVVAGVVAAAVTLAVLVAVLVMRSRRAKALRRLEDSSSFLTVFTYRDLQLVTNNFSDKIGGGAFGSVFKGALPGDATPVAVKKLEGVGQGEKQFRAEVSTIGMIQHVNLIRLLGFCTDRTRRLLVYEHMPNGSLDRHLFGSGSGHGGGVLSWKTRYQIALGVARGLHYLHDKCRDRIIHCDVKPENILLDGAFAAKVADLGLAKLMGRDDSSRVLTTTRGTVGYLAPEWIAGTAVTAKADVYSYGMMLFEIVSGRRNVEQRRRQAEAADDDEYDSGAGGTVEADFFPLTAVRMLFDVDGDLRDAVDGNLGGEVDMGEVERACKVACWCVQDAESARPTMGMVVKALEGLVDVNFPPMPRLFMVGLSTGSSHT >ORUFI01G23730.1 pep chromosome:OR_W1943:1:20770556:20771074:-1 gene:ORUFI01G23730 transcript:ORUFI01G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCVPKGSRSAKGAAAAEVEPRDVDLQPEVKWHDGAAGYVARLDLAGFRKEEFRVQVDGAGRVTVRGQRPAGHVRLHREFQLPPAADVDRIAARFDGATLCLTVPKRPAGGAAEVVMATMEDARVEAEMQMEMEKERARWDRGSAIAAAVAAFALGVVVSHRIFATRNGSA >ORUFI01G23740.1 pep chromosome:OR_W1943:1:20779260:20780622:-1 gene:ORUFI01G23740 transcript:ORUFI01G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHRVLEYLVGIQIGGDLREQVWLDGAAAGYVVRLDIAGFSKDEVDVRVNGATGRVTVLGQRPAAAGPHVRLRRVIQLPPTADSDRVAARFVGTTLFLTVPKKRPAAAAAATGVVMATTMEVAETETETEKKKERAARWDSGSVVAAAAAAAAAFALGVVVSHGILLYTRNG >ORUFI01G23750.1 pep chromosome:OR_W1943:1:20781876:20782097:1 gene:ORUFI01G23750 transcript:ORUFI01G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTPNGLLMLTNGTNQLKGHAFFPVPLQFHRAPNSTAMQSFSTAFVIGIIGAFEDQGSGNLAAAGGSSRAA >ORUFI01G23760.1 pep chromosome:OR_W1943:1:20782950:20783898:-1 gene:ORUFI01G23760 transcript:ORUFI01G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQQQQRDGDFEPVYEWLDAGAHYLLRVNVPEFKKEELQVHVDPAGRLTVRGQHGGLRLNKVFQLPPTCNLDAITGRLEASVLVLTVPKKPATTAAAAALPPKANQEEEKETKKADEHDVAGKPPPPPPKTDSDQSERRTQLSAREKKEEPPKATAPAAAPPQPAARERHDEEEKARAEHKARLSREADRRIEAARARLAAQQAASRPAPAPAPEPEKTAAWWKERAAEEGMKLAEAIGKNKEVVATAVAAFALGVFVSTKLFSRNN >ORUFI01G23770.1 pep chromosome:OR_W1943:1:20784470:20787945:1 gene:ORUFI01G23770 transcript:ORUFI01G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYFAGLYNENMIKIRGDMSEICRSSSTKNKYMEDLAACMHRVLASRQPEMIVHVLRFV >ORUFI01G23770.2 pep chromosome:OR_W1943:1:20786998:20790625:1 gene:ORUFI01G23770 transcript:ORUFI01G23770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLCCVEVEESTVAMRERFGKFDGVMEPGCHFVPWFLGLQARGPLSLRLRQLEIRCPTKTKDNVYVTIVTCVQYRALADKASHAFYTLINTRSQIQAHVFDVLRTSIPKLALEEVFDKKKEIAEALEEEVAEAMAPYGYEVMDMVLVAQYLDTVREIAAASASGCSAAAAVPFLPHGPAAARDAVAQIRDGLLQAVQPPAAAAAASVAAVGLPLPLPVASVCEGITEEQ >ORUFI01G23780.1 pep chromosome:OR_W1943:1:20790319:20792316:-1 gene:ORUFI01G23780 transcript:ORUFI01G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLYTDIGKKTRDLLYRDYGTHHKFTLTTCTPEGVTITAAGTRKNESVFGELQTQLKNKKLTVDVKANSESDLLTTVTVDEFGTPGLKSILSLVVPDQRSGKLELQYLHEYAGINASVGLNSNPMVNLSGVFGSKELSVGVDVAFDTATSNFTKYNAALSLTNSDLIASLHLNNHGDTLIASYYHLVKHHSNTAVGAELSHSFSRNESTLIFGSQHSLDPHTTVKARFNNYGMASALVQHEWRPKSLITISGEVDTKAIEKSTKVGLSLVLKH >ORUFI01G23790.1 pep chromosome:OR_W1943:1:20796513:20797845:-1 gene:ORUFI01G23790 transcript:ORUFI01G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSTSSSSPATAAGGSSYSAEAAQALCCACVGQSTVAVEEAWGRYDAVLGPGCHFVPWCVGRRVAGYLSLRVQQLDVRCETKTRDNVFVTVVASVQYRALADRAYDAFYCLTNAHAQIQSYVFDVIRASVPNMNLDEVFGQKKEVARAVEEELARAMTMYGYEIVQTLIVDIVPDEVVRRAMNDINAAARLRVAAAERAEADKIQQVKRAEGEAEAKYLAGVGVARQRQAIVEGLKRFVPNEKDVMDMVLVTQYFDTIRDIGATSRSSTVFIPHGPSAVRDMAAQVRDGLLQATAAAGGGAATLKAL >ORUFI01G23800.1 pep chromosome:OR_W1943:1:20801047:20805314:-1 gene:ORUFI01G23800 transcript:ORUFI01G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGKRREEEGRRRRKGKGAGEMVLQQEEEDAAPAMGCWIRIPRRLGGGCMSSRSKVDSSTTTSGGGGGGSARVGGESKSANDGCRDHSVQPMASGSTTSSNTGSISPSSIVGEELKLAFQLRRFTFNELKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVDFLGNLQHPHLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWAIRMRIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPYLGERRRFYRLVDPRLEGNFSIRGAQKTAQLACACLNRDPKARPLMSQVVEVLKPLLNLKDMASSSYFFQSMQQERAASLGNPIGSQSMKAQGTFARNGQQPMRSLSYGPHASPYRQSPRPNNGKLQ >ORUFI01G23810.1 pep chromosome:OR_W1943:1:20810941:20811816:1 gene:ORUFI01G23810 transcript:ORUFI01G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKVINKHYPDDFDPSKIPRRRQHKKQMVVRMMLPMTVRCAACGEYIGRGTKFNSRKEDVAGERYLGAVQVFRFYIRCSRCSAEIVFRTDPASAGYALESGATRPSYEPWPAAAAEAGREERGGGDAMTALEGRCRDARREMGVDAALEEMRSLKSRRAGVTPEQLLESLRRRGEALAELEEDDEKLISSIAFGNAKERSLRRIDDGDDEDDEEDFFESCLARAAMATTSHQAKKRPPPPPRDAVKSLVVSKKRRPESMDQAAWPPSSGKTTASNGALQVLCCNYDDEE >ORUFI01G23820.1 pep chromosome:OR_W1943:1:20813654:20818914:1 gene:ORUFI01G23820 transcript:ORUFI01G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPSKIPRRRQPKNQQIKVRMMLPMSIRCGTCGTYIYKGTKFNSRKEDVEGEKYLGIQIFRFYFKCTKCSAEITFKTDPQNSDYTVESGASRNFEPWREEDEVADREKRKRDEEEMGDAMRALENRAMDSKQDMDILAALEEMRSMKSRHAGVTVDQMLEILKRSAHDKEEKTVAELDEEDEKLIKSITFRNSQDYVKRIEDDDDDDEDLVIPGQSSSTSKMNGSSQSVSNATDVLTKTSGSDNANKEGNKIWPSKMPKFIVKPKSTPTDPIKKQKTETTAGPDTGKAPVAEENSEPAQNNVLQSLCQNYDSDESE >ORUFI01G23830.1 pep chromosome:OR_W1943:1:20819375:20820936:1 gene:ORUFI01G23830 transcript:ORUFI01G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERPVSHRSSCTVTDADEDDLPTQWNKWRRAAALSRRTRRAPRVAVPASPTCACGGRMRRVPKPRPMPTAVDERADGACGGLAPVLPPAAAAAWYPYDLMCTECDGETGWRRRKPACWAADWKAARPRQRWRPYPSFADVAAALGDLRLGAGDDDDHSVCSLEPLTERSER >ORUFI01G23840.1 pep chromosome:OR_W1943:1:20829869:20833917:1 gene:ORUFI01G23840 transcript:ORUFI01G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSPAAAAASALLRRLGPRVSGGGGGASTHRRFAPPVSTSILSRYSSAPTSSPSPPPPPPPPPSDAAADGDNRAESGEPGSSLSITIDRSGLYNPPEHSHEPSSDSELVKHLKSIIKFRSGPISVAEYMEEVLTNPQSGFYINRDVFGTSGDFITSPEVSQMFGEMTGVWAMCLWEQMGQPEKVNLIELGPGRGTLLADLLRGSSKFVNFTKALNINLVECSPTLQKVQYNTLKCEDEPIGDKTRTVSKLCGAPVHWHASLEQVPSGLPTIIIAHEFYDALPIHQFQKASRGWCEKMVDLAEDSSFRFVLSPQPTASLLFLSKRCGWASSEELEKVEHIEVCPKAMEITEQIADRISSDGGGALIIDYGKDGIVSDSLQAIRKHKFVHILDNPGSADLSAYVDFASIRHSAKEASDDISVHGPMTQSQFLGSLGINFRVEALLQNCATDEQAESLRTGYWRLVGDGEAPFWEGPDDQTPIGMGTRYLAMAIVNKKQGTPVPFE >ORUFI01G23840.2 pep chromosome:OR_W1943:1:20829869:20833917:1 gene:ORUFI01G23840 transcript:ORUFI01G23840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSPAAAAASALLRRLGPRVSGGGGGASTHRRFAPPVSTSILSRYSSAPTSSPSPPPPPPPPPSDAAADGDNRAESGEPGSSLSITIDRSGLYNPPEHSHEPSSDSELVKHLKSIIKFRSGPISVAEYMEEVLTNPQSGFYINRDVFGTSGDFITSPEVSQMFGEMTGVWAMCLWEQMGQPEKVNLIELGPGRGTLLADLLRGSSKFVNFTKALNINLVECSPTLQKVQYNTLKCEDEPIGDKTRTVSKLCGAPVHWHASLEQKASRGWCEKMPTASLLFLSKRCGWASSEELEKVEHIEVCPKAMEITEQIADRISSDGGGALIIDYGKDGIVSDSLQAIRKHKFVHILDNPGSADLSAYVDFASIRHSAKEASDDISVHGPMTQSQFLGSLGINFRVEALLQNCATDEQAESLRTGYWRLVGDGEAPFWEGPDDQTPIGMGTRYLAMAIVNKKQGTPVPFE >ORUFI01G23850.1 pep chromosome:OR_W1943:1:20837116:20840720:-1 gene:ORUFI01G23850 transcript:ORUFI01G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAERSAGAGAAATAAPESGGGGAGERRSRFRRICVYCGSAKGRKASYQDAAVELGKELVERGIDLVYGGGSIGLMGLVSHAVHDGGRHVIGVIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPFLSFIDMAVSEGFIAEDARRIIISAPTARELVLKLEEYVPEYEVGLVWDDQMPHSFAPDLETRITSS >ORUFI01G23850.2 pep chromosome:OR_W1943:1:20837116:20840720:-1 gene:ORUFI01G23850 transcript:ORUFI01G23850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAERSAGAGAAATAAPESGGGGAGERRSRFRRICVYCGSAKGRKASYQDAAVELGKELVERGIDLVYGGGSIGLMGLVSHAVHDGGRHVIGVIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPLLNIYQVGLLNVDGFYDPFLSFIDMAVSEGFIAEDARRIIISAPTARELVLKLEEYVPEYEVGLVWDDQMPHSFAPDLETRITSS >ORUFI01G23850.3 pep chromosome:OR_W1943:1:20837116:20840720:-1 gene:ORUFI01G23850 transcript:ORUFI01G23850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAERSAGAGAAATAAPESGGGGAGERRSRFRRICVYCGSAKGRKASYQDAAVELGKELVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPFLSFIDMAVSEGFIAEDARRIIISAPTARELVLKLEEYVPEYEVGLVWDDQMPHSFAPDLETRITSS >ORUFI01G23860.1 pep chromosome:OR_W1943:1:20862676:20865297:-1 gene:ORUFI01G23860 transcript:ORUFI01G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSMAVAAAAAAPRSLLFPVSPSPSQPRRVPALARAGRSQRRHAALARPARRHLDDAMSAGFVRSLNAPPDEDGARSGGRYDPRPGDFAVGVVVSGTEARLDVAVGADRLATLLAKELLPLYRADLPAFAEAAPPRPGSVGAVASPAAGEGDRKPGERGGGRTLVPPGTVVFAEVLGRTLSGRPLLSARRLFRRLAWHRARQVMQLDEPIEGLRAFLPKFELMDRINTFTDLKNKVGCSIRVCITRLDEETNDLIISEKKAWEMTYLKEGTLLQGIVHKIFPYGAQVRIAGTNRSGLLHISNISRGRVLSVSDILKIDEEVKVLVVKSNVPDKIALSISDLESTPGLFLSEKARVFSEAEEMAERYREQLPADSKNAKLDADLPGGTIPFDDEATLYANWKWFKFLEDGKLGAVTTEHNGS >ORUFI01G23860.2 pep chromosome:OR_W1943:1:20862678:20865297:-1 gene:ORUFI01G23860 transcript:ORUFI01G23860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSMAVAAAAAAPRSLLFPVSPSPSQPRRVPALARAGRSQRRHAALARPARRHLDDAMSAGFVRSLNAPPDEDGARSGGRYDPRPGDFAVGVVVSGTEARLDVAVGADRLATLLAKELLPLYRADLPAFAEAAPPRPGSVGAVASPAAGEGDRKPGERGGGRTLVPPGTVVFAEVLGRTLSGRPLLSARRLFRRLAWHRARQVMQLDEPIEGLRAFLPKFELMDRINTFTDLKNKVGCSIRVCITRLDEETNDLIISEKKAWEMTYLKEGTLLQGIVHKIFPYGAQVRIAGTNRSGLLHISNISRGRVLSVSDILKIDEEVKVLVVKSNVPDKIALSISDLESTPGLFLSEKARVFSEAEEMAERYREQLPADSKNAKLDADLPGGTIPFDDEATLYANWKWFKFLEDGKLGAVTTEHNGS >ORUFI01G23870.1 pep chromosome:OR_W1943:1:20865466:20870165:1 gene:ORUFI01G23870 transcript:ORUFI01G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLWRVRLSSFLVGTATASAAGFFFIYKDHLLARAAIARQVEDIKETSEKHYESLNQRVSALESRNELGDTKADTPEAKTSYRITGLQRQETVTTREYQAEMLGPRSKIQDRMAGLFTRQAAEYAAARPVYPKDLFVKLASLTAHHRVAWDVGTGNGQAAIGVAEHYDSVVATDVSAEQLRRAVPHPKVRYLHTPDAGADDDDLVAALGGEGCVDLITVAEAAHWFDLPAFYGAARRLLRKPGGVIAVWGYNYRVSPVEDMMSRFLHTTLPYWDSRARYVIDGYRDLPFPFDGVGLGKEGEPAGFDMEHEMAFPGLVRMLRSWSAVATARQRGVDLLDERVVRRLEEEWGGASLVRKVTFKAFLLTGTVRADDPA >ORUFI01G23880.1 pep chromosome:OR_W1943:1:20870390:20874217:1 gene:ORUFI01G23880 transcript:ORUFI01G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTAEEEAALKAGVAKHGTGKWRTILRDPEFTALLRLRSNVDLKDKWRNLSVTAGGYGSRERARVALKGGKRGPKALAEPMDADEKNPDIDDNAIIDAQPLAVVVEPMQLESTPEKEKSVARLDDLILEAIKKLKEPSGSNRTTISSYIEEQYWPPEDFQRLLSTKLKALVATGKLIKVNQKYRIAPSSNSSGGKSIKVYSTGEMNIENNNVRQLSKPQVDAELDKMKSMSKEEAASFAARAVAEAEAAIAEAEEAARAAEAAEAEADAAKAFLDAVVTTMQNRNHASAVY >ORUFI01G23890.1 pep chromosome:OR_W1943:1:20874713:20876332:-1 gene:ORUFI01G23890 transcript:ORUFI01G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPIAKAAHELPSLYRLALKTPEILVGAGKSGQADNEALDLFDGMPPKNQVAWNKALGMLVGAGRIERALSFFHEMPGKNAASYATMIGGLSRAGAASVARRLFDDLPLGKHNVFTWTAMVSCHVRNGEPREAVQLFAALYGELYERGVLPNAHTLSSLLKACVALQSLAMATQLHALALKLLEEENTDNTFVWNGLIDVHAKVGALCDAEKVFDGIRFKDASSWTIMMDGYSRHKLIDKALYLFRSMKNKDAFTWNVIISCLWQNRRGEDALRLFIDLLRLGDRDNGGAKPDASIYTTVLHICSVLSLLTLGRQVHARAVKSGLSRSHVFVGNSLMNMYSCSGSTADLEKVFDEMAARDVVSWNTAIQGLGQNGRGRRALAFAERALALGLYNGSTFVAILASCSHAELVAEGMAYFDAMEVEYGVERAFEHYVGAIDLLGRAGRLRDAHGLLLGMPFAPSALAWTTLLHCCLAHKNCSIGSVAARELRALQPDGGGWNYERLLRGCGGSGGGGGGGGEAGGGKSSEHLPGCSWVV >ORUFI01G23900.1 pep chromosome:OR_W1943:1:20879187:20881070:-1 gene:ORUFI01G23900 transcript:ORUFI01G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKTDAAPRLDEADRTLYSTFCGAANSLSQLYTQAMAQQKLSFQAGERHSLEKLHQWILRKHEEESRLTAADIMSHIQHELDYGGNDPHVSPRVHQHSANPFANSSIQPSAGSYGQATVGFAPRPSISDQSKNTIFSNALSSPVRRSLQSYHLTQGSGNGGRNAETNSAGQNRETNSGGSNDTSMDMVSDSAGNEYY >ORUFI01G23910.1 pep chromosome:OR_W1943:1:20882933:20885093:1 gene:ORUFI01G23910 transcript:ORUFI01G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSHGLALLLLGRKDEEKPVKVSPWNQYRLVDREAEQVYHLASRKDQAPGKCAPFICFGRAAAGLEGASPPKLSSGNTSGSSSEESSASANEGTNGSLTGNEKKGCLKSNSRRDSLEHCIVVSEGEEPRESLEEVQTLKSGMERRKVQWTDTCGKELFEIREFEASDEGLSDDDMENEGFRKSEVLLARYACAGDFSSIRVRYLWIQDKESWLILCLLLNYLLVTWWLCLANLHTLGLQF >ORUFI01G23920.1 pep chromosome:OR_W1943:1:20886130:20887975:1 gene:ORUFI01G23920 transcript:ORUFI01G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPSIPSIKVKVGGVAVSPPRHRACRSSFAVIRSSKAEGAPRRPAAPPLSPPPKTPTLSTPPTLSQPPTPVKPAAPSSSPPSPPSQDPEPKQAAAPVAVAAPAAAGAVTLEYQRKVAKDLQDYFKQKKLDEADQGPFFGFLGKNEISNGRWAMFGFAVGMLTEYATGSDFVQQVKILLSNFGIVDLD >ORUFI01G23930.1 pep chromosome:OR_W1943:1:20888640:20891828:-1 gene:ORUFI01G23930 transcript:ORUFI01G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKLPPLPPLTLRRSSSSSSAAAAAASPPPPPPRRLPPPVPLRDLLAHRLPPTPTPDRPPLSRPHPHADVLLRGRRPGCDASPESLHLEIVKRGLTHDLFLANHLVNSYAKGARLDAARGVFDGMPSRNAVSWTCLISGHVLSGLPEDAFPLFRAMLREGPGCRPTSFTFGSVLRACQDSGPDRLGFAVQVHGLVSKTEFTSNTTVCNALISMYGSCSVGPPILAQRVFDTTPVRDLITWNALMSVYAKKGDAICTFTLFRAMQYDDSGIELRPTEHTFGSLITATYLSSCSLGLLDQLFVRVLKSGCSSDLYVGSALVSAFARHGMLDEAKDIYLGLKERNAVTLNGLIAGLVKQQHGEAAAEIFMGARDSAAVNVDTYVVLLSAIAEFSTAEQGLRKGREVHAHVLRAGHIYRKIAVSNGLVNMYAKCGAIDKACRVFQLMEARDRISWNTIITALDQNGYCEAAMMNYCLMRQNSIGPSNFAAISGLSSCAGLGLLAAGQQLHCDAVKWGLYLDTSVSNALVKMYGECGRMSECWEIFNSMSAHDVVSWNSIMGVMASSQAPITESVQVFSNMMKSGLVPNKVTFVNFLAALTPLSVLELGKQIHSVMLKHGVTEDNAVDNALMSCYAKSGDVDSCERLFSRMSGRRDAISWNSMISGYIYNGHLQEAMDCVWLMMHSEQMMDHCTFSIVLNACASVAALERGMEMHAFGLRSHLESDVVVESALVDMYSKCGRIDYASKVFHSMSQKNEFSWNSMISGYARHGLGRKALEIFEEMQESGESPDHVTFVSVLSACSHAGLVEGGLDYFELMEDYGILPRIEHYSCVIDLLGRAGELDKIQEYMKRMPMKPNTLIWRTVLVACQQSKHRAKIDLGTEASRMLLELEPQNPVNYVLASKFHAAIGRWEDTAKARAAMKGAAVKKEAGRSWVTLTDGVHTFIAGDRSHPNTKEIYEKLNFLIQKIRNAGYVPLTEYVLHDLEEENKEELLRYHSEKLAVAFVLTRSSSGGPIRIMKNLRVCGDCHTAFRYISQIVGRQIILRDSIRFHHFKDGKCSCGDYW >ORUFI01G23940.1 pep chromosome:OR_W1943:1:20896993:20899672:-1 gene:ORUFI01G23940 transcript:ORUFI01G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPHSPARGSFGFQAATSPGQWNEVAASIDKVVEMRAAAPPADLTVVMASSSPEKKHPPGCGSRDSQPIACTDDPPLSESPTTDLGCHDPRDEDADHPAPPMGKDSDDIFASTNSDNMNMLIGDIDEDSDDDGQNFTKEQEKEIMRKWLETYDKKNREFMVACEEFQKQRGDETSASRPVFPFKPLPETTDFCITKSLCYHREYKTNDTSETASTIGWLEPKEMLQIFSLRLSSSLSYPISVYGIFAVRDYLDPLRNYVFNRTRDDPVIVEQDPFTLPLCSPCRGMYVIEYALFEVDLWVKMEGGVSNDKQLLSAYVEIFARGVFNKEMSGRILSDHCYLDTHYMYLSRSIEAVIQVSTEIDSNDPRHVKFTAFCSGFDNEILLFNGKLCKDKSFEHIVAMKSKGKLVVRLEFEGSLFCWTFNDGDLGAVNSPDDSVLKQFHVRVVFSPKQCVPPTYNSFFLWRRSESVQKA >ORUFI01G23950.1 pep chromosome:OR_W1943:1:20904748:20915630:-1 gene:ORUFI01G23950 transcript:ORUFI01G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWEDEPSGGSREPSEPSGCTDLIMPPLRPESPPELLDRLLLHDEEEKETTSYVASAHPAPIEYGSDDDAGLTRFVDDMYSCVELDDVVDKETVCPGQKYTRDQAKERVNFRKPHVLGANVTHNHIPAPHQLAPPARSMRGLFRAGKHPSSVPAHVTTHPSSSSCSLRQCSSSFKFRGAARHAHAKASIACSVLHQLIKLLKTWGFLKFTPKEISRKWLATYIRRNAEHTEAYKEFVASGYDYAQLLRYPLRLFPKTSYHCIKKSIYCDHHEYLTFTTSETASTIGMNEPNDMLQIFSLKLSSNESYPISVYGIFAVRDELDRLRNYVFNRTRDNPVLIEQDSITLPLCSPCRGMYILSFALFEVDLWVKAESEGGGSDDKQLLSEYAEIENRGLKDPMIYGRIPSDRCLLDVDCMFLLNSVEAVIQVFTKDDSDNPHRVRFTAFSSGFDHEIVLYDDRLCKKGKLFQNVVAVKSKEKLVIRLEFEGSTFRWTFQDGAVAAVRLLRAAASATRYLLPASPTVVMASSPEKKHPHGCGSRDSQHPIACPDDPPMSESPTTDVLAHDPRDEDYDHHPASPMGRDSDNVFASTNSDNMLIGDIDEDSDDDGYTDEETTCPGQNFTKEQAKEIIRKWLETYDKRNREFMVACEEFQKQGGDETSASCPVFPLKPLPETTDFCTTKSLCYHREYKTNDTSETASTIGWLEPKEMLQIFSLRLSSFISYPISVYGIFAVRDYLDPLRNYVFNRTRDDPVIVEQDSFTLPLCSPCRGMYVVEYALFEVDLWVKMEGGVSNDKQLLSAYVEIFARGVFNKEIRGRILSDHCYLDIHYMYLSQSIEAVIQVSTEIDSNDPRHVRFTAFCSGFDREILLFNGKFCKDKSFQHIVAMKSKGTLVVHLEFEGSLFCWTFNNGELGAVNSPDDSVLKQFHVRVLFSPK >ORUFI01G23960.1 pep chromosome:OR_W1943:1:20915923:20920498:1 gene:ORUFI01G23960 transcript:ORUFI01G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRSRKRARQDWDGAGARPPPAERAVVARGGASPPWRDDDRDGHYVFDLGENLNRRCTFGRVLECWDRETHEYVAIKVVRSIRKYRDAAMIEIDVLNRLAENERYRSLLPYWPAVSRFKDGLTIAIIYALISMLIKQLSFFAHKDMHELRLIHTDLKPENILLVSSEYIRVPGSKKNSQDEMHFKCLPKSSAIKLIDFGSTAFDNQEHSSIVSTRHYRAPEIILGKLVIF >ORUFI01G23960.2 pep chromosome:OR_W1943:1:20915923:20919161:1 gene:ORUFI01G23960 transcript:ORUFI01G23960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRSRKRARQDWDGAGARPPPAERAVVARGGASPPWRDDDRDGHYVFDLGENLNRRCTFGRVLECWDRETHEYVAIKVVRSIRKYRDAAMIEIDVLNRLAENERYRSLLPYWPAVSRFKDGLTIAIIYALISMLIKQLSFFAHKDMHELRLIHTDLKPENILLVSSEYIRVPGSKKNSQDEMHFKCLPKSSAIKLIDFGSTAFDNQEHSSIVSTRHYRAPEIILGKLVIF >ORUFI01G23970.1 pep chromosome:OR_W1943:1:20922347:20931189:1 gene:ORUFI01G23970 transcript:ORUFI01G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGGDSKGFEVPKLEIKFTKLFINGRFVDAVSGKTFETRDPRTGEVIAKIAEGDKADIDLAVKAAREAFDHGPWPRMSGFARGRILHKFADLVEQHVEELAALDTVDAGKLFAMGKLVDIPGGANLLRYYAGAADKVHGETLKMARPCHGYTLKEPVGVVGHIVPWNYPTTMFFFKASPALAAGCTMVVKPAEQTPLSALFYAHLAKLAGVPDGVLNVVPGFGPTAGAAISSHMDIDKVSFTGSTEVGRLVMEAAAKSNLKPVSLELGGKSPVIVFDDADLDTAVNLVHMASYTNKGEICVAGSRIYVQEGIYDAFVKKATEMAKKSVVGDPFNPRVHQGPQIDKEQYEKILKYIDIGKREGATLVTGGKPCGENGYYIEPTIFTDVKEEMSIAQEEIFGPVMALMKFKTVEEAIQKANSTRYGLAAGIVTKNIDVANTVSRSIRAGAIWINCYFGFDPDVPFGGYKMSGFGKDMGMDALEKYLHTKAVVTPLYNTPWL >ORUFI01G23980.1 pep chromosome:OR_W1943:1:20948938:20955707:1 gene:ORUFI01G23980 transcript:ORUFI01G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGDCGNGKAAAGGGGLVVPEIKFTKLFINGEFVDAASGKTFKTRDPRTGDVLAHIAEADKADVDLAVKAAREAFEHGKWPRMSGYERSRVMNKLADLVEQHADELAALDGADAGKLLTLGKIIDMPAAAQMLRYYAGAADKIHGESLRVAGKYQGYTLREPIGVVGVIIPWNFPTMMFFLKVSPALAAGCTIVVKPAEQTPLSALYYAHLAKLAGVPDGVINVVPGFGPTAGAALSSHMDVDSVAFTGSAEIGRAIMESAARSNLKNVSLELGGKSPMIVFDDADVDMAVSLSSLAVFFNKGEICVAGSRVYVQEGIYDEFVKKAVEAAKNWKVGDPFDAATNMGPQVDKVQFERVLKYIEIGKNEGATLLTGGKPTGDKGYYIEPTIFVDVKEEMTIAQEEIFGPVMSLMKFKTVEEAIEKANCTKYGLAAGIVTKNLNIANMVSRSVRAGTVWVNCYFAFDPDAPFGGYKMSGFGRDQGMVAMDKYLQVKTVITAVPDSPWY >ORUFI01G23990.1 pep chromosome:OR_W1943:1:20959581:20959778:-1 gene:ORUFI01G23990 transcript:ORUFI01G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVYLLLFTAFFLSGLMQLSTAKQTAPAPAAAPARVIDAKAIDQAIAYLLMFAALFVTYFSH >ORUFI01G24000.1 pep chromosome:OR_W1943:1:20965146:20965886:1 gene:ORUFI01G24000 transcript:ORUFI01G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDNISKKAKLRKSIQLFLSRNLKKIPPIHIPSSAIPAKITSNRLLSTCRFPRTPSLHGGGGGGGDHRTTDDDDGSNGRDQAATLSDVDRFLFDNFRSLYIHDGDNHQQRRQPPPSPGKFTQPAAAETSSSRSESVAEDARGTSSGDEDDNNSSTAIMLFSVDPYTDFRRSMQNIIEMHHGEEPQPLDWDFLEELLFYYLQLNEQSVHKYILKAFADLTAGAHVSCPARGKPQWADKSVRSRKHY >ORUFI01G24010.1 pep chromosome:OR_W1943:1:20968645:20978086:-1 gene:ORUFI01G24010 transcript:ORUFI01G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGSREQMCIHEEVSKLRGRQQNNACHYLCKKRWCRHHNSVAEFMRNNSELGSEACDIEDLVNIGRTKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLNGIPWDNAVLIFDEAHNLESICADAASFDLLPNNLSSCIAEAQECIQLCSAKRTFENSADKQFDPENYAILKALLMALEKKISEVVIDSKELGHTKPGNYIYEFLSELNITSETSKKLIDTIDGASLLLEEGNSAETGPGMKAKATVCRLETIRDILDIIFRGGGQSHAKYYRFHVNECQQNSGDALKVLGKVSRTLSWWCFNPGLAMEEFLKLDQIWVGVVPVGPSGHPLNSSYRTRETLKYKQELGITIVNFARIVPDGLLVFFPSYSMMDKCINCWKDRNHENSSDEHTIWQRICKHKQPVIEPRQSSNFPNAIEDYAAKLRDSSTTGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFATPTDPKVRLKRDYLDKLGSASNKNSKGRNGMYNKRQELSIRLLDVSLDIAMTMEQLFICYKKYGEVVQGLTRFFRDKVSIDSSKPNETDFNDNIVLLADKHKPQETISALAVTTANENQRTALSVNPTTKRSNYIKFAQITPANRSTLSMKHGCSSTSQLLYSGDKLSTDAQVIDLAADVATSHLAGYRFKSLGPKKAKVMVGSKDVCFDDGSPKLQHNVESRALAGCLGEQSTASSKKSNITHAPGNSGAIHEKSGGQESNAGPAFLKLAREKLSTAEYRDFVEYMKALKLKTMHIKDSLDAIAKLFSSPERLPLLEG >ORUFI01G24010.2 pep chromosome:OR_W1943:1:20968645:20978086:-1 gene:ORUFI01G24010 transcript:ORUFI01G24010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGSREQMCIHEEVSKLRGRQQNNACHYLCKKRWCRHHNSVAEFMRNNSELGSEACDIEDLVNIGRTKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLNGIPWDNAVLIFDEAHNLESICADAASFDLLPNNLSSCIAEAQECIQLCSAKRTFENSADKQFDPENYAILKALLMALEKKISEVVIDSKELGHTKPGNYIYEFLSELNITSETSKKLIDTIDGASLLLEEGNSAETGPGMKAKATVCRLETIRDILDIIFRGGGQSHAKYYRFHVNECQQNSGDALKVLGKVSRTLSWWCFNPGLAMEEFLKLDQIWVGVVPVGPSGHPLNSSYRTRETLKYKQELGITIVNFARIVPDGLLVFFPSYSMMDKCINCWKDRNHENSSDEHTIWQRICKHKQPVIEPRQSSNFPNAIEDYAAKLRDSSTTGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFATPTDPKVRLKRDYLDKLGSASNKNSKGRNGMYNKRQELSIRLLDVSLDIAMTMEQLFIAVTTANENQRTALSVNPTTKRSNYIKFAQITPANRSTLSMKHGCSSTSQLLYSGDKLSTDAQVIDLAADVATSHLAGYRFKSLGPKKAKVMVGSKDVCFDDGSPKLQHNVESRALAGCLGEQSTASSKKSNITHAPGNSGAIHEKSGGQESNAGPAFLKLAREKLSTAEYRDFVEYMKALKLKTMHIKDSLDAIAKLFSSPERLPLLEG >ORUFI01G24020.1 pep chromosome:OR_W1943:1:20980835:21005225:1 gene:ORUFI01G24020 transcript:ORUFI01G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEREAALLARVAANHLYLGQFEALRAALLSLRRRADPGLAAGFLRAVVAAGGRVPGVLWSAPPACPSPSHLAWLTALELAALPSTPNPEALRLKAEFLVLLQHVADDPAATGAEARGTLSRLLDLGVARLKREVEGGGEAGVGAEEVAVSQEDLTGLWGVFLDKAELFDALCSGVSRQVALDSGLGTDVLLWLRRSVQLAHLDAMKSLVAAGDLEGATGHLRFLCLDHGVEEDEYNLRIALGDLVRRGWPKPSNYGGTWFESHDRIIKMFGSALQSSTPQLVQLIQLILDDILSEEIEDHGASDANWMPLPFKKFMETLSLERGAYLDDKTMLNRAITSCKKDLYHYSRISGKHVLEVVMETVLSLIKREQLQEAANAISMFPLLQPLVAVLGWDILKGSMHKKLVNDCDSFYWQTSCEEYLCDLLCFHLDVACFVSSVNSGRQWNLRNSLLFTQQEQDSDVNSTEILDPFVENLILERLAVQTPIRSAALSLGEMEKSAIDGNEHHYQIALSYLREMQSFMEAIKSTPRKIYMVSIVLSLLHMDDSIKLSEVAPSECSVSHECFDSNVESEEKNMVTSFVGLLLDILRHNLMLDMDNQSSMGLSPAGRQALEWRFKHAKHSIEDLDWRLSVLQRLPPLSERQWSWKEALVLLRAAPSKLLNVCMQRANYDIGEEAVQRFSLPAEDKASLELAEWVAGAYRIALVEDAVNRATDNSNATQELDILSFRAQLGPLTTILLCIDVAATSARSGDMCRFLLDEATSLLSEIFPGSSPKVGPNYWDQIQEVALISVIKRILQRLRDILDLEGYPYLQLVFTEMNASSSTESSRVGQKQRPLGLLHQMIDDAFKGKRQFLNGNFFYFIRLSGKLHNVARAIVDEDSDGTYSKESIKIEKRDILSSEKGIILGHGLRILKQASRTDQTASTVVENNAEHKGSTSRYLGPVSTKPSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYERPKDLLTRLVFERGSTDAAAKVADTMGVDFVHEIISACVPPVFPPRTGQGWACIPLLPTLSKINSENRSQSAQGWSAHDSSLSSRQEPLYPLQLNLVKHLAQLSSVRAVLACVFGSSILSGDSELCSNVKDAKQAPEIKRSFYEFALEQSERYPTLNRWIQMQCNLHRVSESAVSAETDNEVTLHQPKGKFSSKRAREPDSDAESEIEDIVISGKSTSNSLESPKCDEAKLEPTTFISFDWDNEGPYEKAVERLINEGKLTDALALSDRCLRNGASDKLLQLLIEQREEISLGARQSRAYGSHNFGSDTWQYCLRLRDKKLAAQLALKYLHNWDLDAAANVLTLCICHLPENDPMWSEVLRMKQSLQRYGHIMTADDHYTRWQEVEVDCEDDPEGLALRLAAKGAVSAALEVAESASLSIDLRRELQGRQLVKLLTTDPLNGGGPAAASRFLSTLRDSNDALPVAIGAMKLLPDLRSKQLLVHFFLKRTVGNLSDDDVARLNSWALGLRVLSLLPLPSQQRCSSLHEHPQLILEVLLMMKQLQSASLILKEFPSLRDDKLIVTYAKKAISINVNSTPREPRLTISGSRAKQKKVAAPAKTNFVQSFGNFQREARKAFSWVPRDSGTKTPPKDILRKRKSSGSGGERSSWEATPSVQEERAPEYPSEGQERLPFVSAPEEWVLTGDPDKDNATRACHRYESSPDITLFKHILFSTVCPGRDFQIIQETRTCNAQALLSLCTNDSIAGKGALEICITQMRDVLSSLQLPLNASMDNIARAYHATETYVQALSYAKNLLKKLVGTSDLSSSSERSRDVDDISVDTGSSSAGSQNIDGLSDLLPLADLWLGRAELLQSLLGSGIIASLDDFADKESSTNLRDRLVSDERYSMAVYTCKKCKIDAFPVWVAWGHALVRMEHYSQARVKFKYGKLCLQALQQYKGDATHFVLEIISTIEGGPPVDVSSVRSMYEHLAKSAATIFDDSLSADAYLNVLYMPSTFPRSERSRQSKGPMDSQFESVGSYLEDGPRSNLDGIRYAECIHYLQEYARPEMLAFMFRHGHYAEACSLFFPSNQPTDEGETSLSSIPRNDPLTTDYGTIDDLCDLCLGYGAMTVLENTILTITQSPTYQGSAMTQYMNAILTRICNYCETHRHFNYLYNFLVLKGDHVASGLCCIQLYVNSMSQEEALKHLGHAKSHFEEALSVRDRTTEATKLVPRTARNKSASEKMTREMIMKFSTRVSYQMDVVKALNSVDGPQWKTSLFGNPTDAETLRRRCMVVETLAEKHFDLAFRMLHEFDLPAVDIYAGVAASLAERKKGGQLTEFLKNIRGTIDDDEWDQVLGAAINVYANKHKERPDRLIDMLLSNHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >ORUFI01G24030.1 pep chromosome:OR_W1943:1:21005973:21006498:-1 gene:ORUFI01G24030 transcript:ORUFI01G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREQEEKYLQWRSSLVEKLAGIELNLERVKFRMSVEIPPSDDFRAMKKSWENFYASELLSSRNPVRKIAKRPDTILVRGVPSRWFAETRISSKASTLVTHTIIESC >ORUFI01G24040.1 pep chromosome:OR_W1943:1:21015608:21018041:1 gene:ORUFI01G24040 transcript:ORUFI01G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSLVPQTSSESIAQKMGFFRVPDLLVKLSSKCLIELDAVRSPTSPLDLIFFPGLGAKSPRSSFLGDRVGLGLVDSLTDDSSTPLGSRKVLLGSEMRITDNVTSKNSFTAPVEAGVVDQKDESMCDDLKGSFMSLDDIVNSEDYTRVVCRGPNPRTTHFFGDHVLEFEGEQLMPDESKSEESLPPRLEEGMMSFCYFCGEKLEEGKDIYVYQGDKAFCSMECRENFMEDEMEEGEPDLSAPPSSPVANDGCIFQLIQ >ORUFI01G24040.2 pep chromosome:OR_W1943:1:21016528:21018041:1 gene:ORUFI01G24040 transcript:ORUFI01G24040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSLVPQTSSESIAQKMGFFRVPDLLVKLSSKCLIELDAVRSPTSPLDLIFFPGLGAKSPRSSFLGDRVGLGLVDSLTDDSSTPLGSRKVLLGSEMRITDNVTSKNSFTAPVEAGVVDQKDESMCDDLKGSFMSLDDIVNSEDYTRVVCRGPNPRTTHFFGDHVLEFEGEQLMPDESKSEESLPPRLEEGMMSFCYFCGEKLEEGKDIYVYQGDKAFCSMECRENFMEDEMEEGEPDLSAPPSSPVANDGCIFQLIQ >ORUFI01G24050.1 pep chromosome:OR_W1943:1:21026568:21028965:-1 gene:ORUFI01G24050 transcript:ORUFI01G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRRPLHTLPILPLLGRHLSSSAAAAEAVTADHHHHHDADLLSPPFDYLPGHPRPDAKHDELILAVPRASSGRHVAAKERKAGRVPAIVFEQENGQEGGNKRLVSVQSKQIRKLVDHLGRSFFLSRLFRLQVWSEHAGQGELIESVRVLPRKVHLHAGTDEPLNVTFMRAPSSALLKIDVPLMFIGEDASPGLRKGAYFNTIKRTVKYLCPADIVPPYIEVDLSELDVGQKLLMRDLKVHPALRLLQSPEQPICSIIGSRAPDQKKSK >ORUFI01G24060.1 pep chromosome:OR_W1943:1:21031046:21035383:1 gene:ORUFI01G24060 transcript:ORUFI01G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAMVWDPWLIVSQIVCLQCLYYLALGLLMALLVGTRVPRLTLLYLFDFATLTPRTTTGWCVIAAFLLAAAAGAAFMLYVIERAKKCLDFAATLYIIHLFICIVYGGWPASVTWWVVNIAGLAIMSLLGEYLCIRRELKEIPISRLRASV >ORUFI01G24070.1 pep chromosome:OR_W1943:1:21038537:21041985:1 gene:ORUFI01G24070 transcript:ORUFI01G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKPNTASRGPPYSLSLYAYICIRAPPILYTYGYTNPFPKRIQNLKRTYHAAASARAIAFPSNTQVRISHGGGDAGRARAAAGRPLQGQGEPAAGGGAAVRRGGAGGAEGHALPRRPVPRARRDAAGAARVAGGEVLRAGAGLGRGVLRGELLVRPPRRRHHRQPLHPAGHQLRHPRRHPAGHRPLFVLRAAAGVRGDGELEEPRGGAGGDVVAAGGVHRRRQGEGVRRPAAVHAARLHVGLLHRRPAGGARAPQAGDPGGFHVAAGDHRVHGRHGDRNHAAAAQGLPRHDALHHQDRHRLRPPLHLPQHAPVAVAEHGSWRLLPHLPRLHRASEATAAEAVLGVSDVSSVSGRRRMRLLVSDQRPQAWHPYSWYSEAWHQPELDITAQVPAGVRRRRHEGRVRVRDARLSGRRRRRQELRGDEERAHRRQQGDGRLRPHEPHRLLHLLLHHHRCVLEDGGELPRRVPDGDVERGDVGVHGAGAGRAGAAVPAHAAGGAGGHHHQLHARAGQAPRDPAPVRGGQGRLRRLRRRAPRRRLLHHDHRPRRRRGHLRAQGAPARGAPVDEQARPRVLRLRCRRRRRRPRLLRRRAVPRRGDRAQHSRPPGRRLAGLLRQRRVPPGEDRAVGGGRGEGRRRRGPALRRPRHRRRDGDRQPRDRDAAGGARRAGEEGDEDGGDEPEDGGGGEAGAVRARGARRRELDVPLQWRRLGRVPVHAPGLQARRRPSGVDLDSRGRWIGTRVSICTAHMRMCAVLYVLLTHCDLKSTCISFHKYYGTIIAYHDHITIIRKVAHAHARTLILLYY >ORUFI01G24080.1 pep chromosome:OR_W1943:1:21066368:21068080:1 gene:ORUFI01G24080 transcript:ORUFI01G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTTNHSAKSILLLALLLVPHLAAASSGDALISQRRRLLDYHGGGGGHGGGDVYVDPSYTFPNARLRDAYVAMQAWKRAVLSDPHNVTGTWIGPDVCAYEGVFCAAARDDPHLVVVASVDLNHADMAGHLPDELGLLADLAVLHANSNRFCGAVPSTLERLHLLHELDLSNNRLVGAFPDVVLRLPSLRYLDLRFNDFEGPVPAELFDRPLDAIFLNSNRLRFRIPDNVGNSPASVLVLANNDFGGCLPASVANMSGTLDEIILMNTGLKSCIPPELGMLTGLAVLDVSHNSLMGAIPGELARLENIEQLDLGHNRLTGDVPEGICHLPHLQNFTYSYNFITGEPPVCMHVKAFDDRRNCIPGRPDQRPAEQCQFQNTHHVNCDAFRCKKFVLPSPPPPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSPVYYSSPPPPYYEVSPEDRYLSPPPPPAYHEAPPPPYYEVSPEDRYLSPPPPPAYQETPPPPPQYEVSPEDRYLSPPPPSPVKWKLPVYEYSSPPPPAATWKP >ORUFI01G24090.1 pep chromosome:OR_W1943:1:21071278:21071775:-1 gene:ORUFI01G24090 transcript:ORUFI01G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALCAARIFQLTRELGHKSDGETVQWLLQQAEPAIVTAMGTGVGADIRRTLPPFPKLRPLQVAPPPPPHVGCRRGVRRCHIGFATMFAAHVAAAMPGLELGHSQDGHIGVLFARSLSQFYHQVGGASATGQLPHPHHHHQHHQQQQEDGEDDRDDGESDEESGK >ORUFI01G24100.1 pep chromosome:OR_W1943:1:21073039:21073694:-1 gene:ORUFI01G24100 transcript:ORUFI01G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTGRVVALGAVAVVVALTFLAGGADADCYDFCFKDCMARENNMVDYCSYACDKTCQPDKPTLYSSSSSSRLAGDMECQLSCALGSCHRLLPDGKGAVEACFGQCYDGCKTTAAARLPRPLRAGHYVLSSPPDDVDHDPDHRYVFSSPHDDVDHDPDQVFASPPDDIDRHVFAAPPDVLAALPGEPDHA >ORUFI01G24110.1 pep chromosome:OR_W1943:1:21081902:21083994:-1 gene:ORUFI01G24110 transcript:ORUFI01G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRMREYGWEEGARQAASIYASQTDRGSIAISIRIFPYKLPHGTPVSTHPDLAAAASPAPHPKLSHWVDPAASAAPPRELATADTTAQVHPGSFTKAVLAASDAEVVIGEVKRVVVRDGRVIGVEVKGRGVVDADAVVFALGPWSGGEVYICGITKDEEVPNDPATITGDPDSIAALHEIAGRVSSQLKREEGAEVVAEQACYMPCTSDGLPVIGEMPGVKGCYVATGHGGWGILNAPATGAALAELILNGSASIFDLSPFSPARFLNKRTRFSLTSAKLPDAMFCLNVNRLEQEDAILPQDLLQDKVVAFNKMQLIRNVSKKFDWVTCLDIQVQGYFALFDYLIQFLLVEFIETLDFLNIRAAISVKEKRKMHRIFI >ORUFI01G24120.1 pep chromosome:OR_W1943:1:21089963:21090759:-1 gene:ORUFI01G24120 transcript:ORUFI01G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAAVAVLLLAVAAAPLFAAASAHGRGYLGAKSWPPDGSLSSSSSGEAAAADVDDGHTDWKCYSSCMSKCYSSAKVQCYKKCGDKCFHHGPKPGPKPKPKPSPPKPKPGPKPKPPKPGPKPKPPKPGPKPKPKPPKPGPKPKPKPPKPGPKPKPGPPQPWWPIPFPKPPCPPGADGSSSAVDDKNN >ORUFI01G24130.1 pep chromosome:OR_W1943:1:21091669:21092208:-1 gene:ORUFI01G24130 transcript:ORUFI01G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYTATRAHAPPRLRFSFIASSIHGAAVSSSVLAKLAASMPVTREIIAGAGAGSAAAAAAVLALLVAAAGGDELAAAVGSSSSIMPPCFHACFDQCVQREEYWFCQFSCYRRCGAGAIAIAIAAGRFSGAGDCEHACALSMCGQIDPGSKMMAVCRDTCGKSYAAAGCRRRPTSLTAAV >ORUFI01G24140.1 pep chromosome:OR_W1943:1:21094351:21101550:1 gene:ORUFI01G24140 transcript:ORUFI01G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTTPADAQPEQAHAAEKPPAAPLTPEEAEAEAETAGSGDDEEYVSDPDDALLPEMRRREASDDEGSEEGRARIGSDRGDGDDGDGQGAAEVYEDEAYEDDDEEYYDDLAEEEVGEGFEEEYDGRAEPPKVVAGAQGEDGEKGDVEGEAAVEGDGEEKKEQEPFAVPTSGAFYMHDDRFQEESRGRRRRMFGGRKLWDAKDDQAWVHDRFEEMNLHEEHYEDKRMSRGRFRGRGGGGRTRGTGHGFARGGKYRGYNEDINNNHQNRPQKVVRGRGPRRYEAVAKNNRDVVGFQRKQPARSRESAASASAVRESGQTLNAQSEMAPPKKNVVNSSLNSASPPFYPSGASNPDFSVPAQRRDNMQAGGSNKVFPSSMKMDDNAKVQSGPAVRRDYGARDRFQHADGPVRQSPRSGGTSLNSSGFAASTVNHGQSSVVRTQGGNGIPSNNQSTSSLHQNPRAPTHQQSHTSVVHQKSGQVQTQSAMRIPTQQLNHRTGNPSTTQHLPVRSTESVENGLYPSSNKSNASSGAGKTNSQEAGRGSFMYGGAQVIGAAGAIGLAQGEQNFPGTPALLPVMQFGSQHPGGVGVPTVGMALPGYVAQQQMGMGNNEMTWLPLLTGAAGAFGGSYPPYIALDPAFYSRSSGQTSSSVPSRESIANKGASPPRNDIVNEEVDQRQNKPRRQILRDELQSVKHHAIFLSP >ORUFI01G24150.1 pep chromosome:OR_W1943:1:21102684:21103663:1 gene:ORUFI01G24150 transcript:ORUFI01G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGLKKAFRWFPNSNEDHHHLEEDEGSSERRGLLRSHLEQVVPVTDLEDEPNASSSAVKEPKTVALKVSMHCHCCARKVEKQILKMEGVVSFKVELENKKVTVVGNVNPMEVLESICKVMKSAQILAAA >ORUFI01G24160.1 pep chromosome:OR_W1943:1:21110932:21111414:1 gene:ORUFI01G24160 transcript:ORUFI01G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTAQTAALLAAAAALFLLASAALPVVRSDAGGDGDVTAYDELRHRGFPLGLLPANVRGYTLDSGSGDFAVDLASSCRIVLPAGSYLASFSDRLTGRLDDRRISGLSGIRVRAFFRWWSITGIRADGDELVFEVGSVSAKFPARHFNASLECPAKADS >ORUFI01G24170.1 pep chromosome:OR_W1943:1:21121705:21123761:1 gene:ORUFI01G24170 transcript:ORUFI01G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLNPRVVGCGERTLVLSHGYGGSQAIWDRVLPHLAETNKVVLFDWDFSGGGGDGEKAAAEEEEEYTFEGFADELVALMEEMGVSGAVYVGHSMAGMIGCIASINRPGLFTHLVLVGASPRYINSDDYEGGFDEPEIDAMLATISSDFLSWAKGFVPLIVGAAADNPSAAETLARTFFAMDPRVADALARMIFLGDNRGVLGRVAAPCTLVHASGDPAAPPCVGRYMEGRIGRAALVTVDSAGHFPQLVAPDEMLRILDAVLAEEEEAAAKGGGIAIVMEERGSLAAVGEVEVKGDIDVAT >ORUFI01G24180.1 pep chromosome:OR_W1943:1:21125359:21129268:1 gene:ORUFI01G24180 transcript:ORUFI01G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLGQGQSRPRLIPVRCQVERLLPISCRLAQLLQYDCSVATGKAVRSSASYKSLSRDVLRTPSPLPPATMASSSLSTSDNVVYHVF >ORUFI01G24190.1 pep chromosome:OR_W1943:1:21130483:21132571:1 gene:ORUFI01G24190 transcript:ORUFI01G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIMRAIFACIPGMRSPASPGGCLSAAFGGGDDDGEEGEGEGEDRISRLPDAVLSSIVSRLPVKDGARTAVLSPRWRRVWASTPLVLDDADLLPDDDGPQIFWRDVADAVSRVLAAHPGPFRCVRLTNACSYAGSRGAAALSRWLGVLAAKGVQELVLVFLHAWPVRVEPPADVLRVSSLRRLYLGLWRSFPDTEHLRPGADVFPNLVELGICRTDIKAKDLDRLLQCSPALETLAFVVSYNTQPNVRVRSRSLRCVLFWMSIAEELAVVVAPRLERLILWNGCPCTRRVRRDFRTRVKIGYTPELKVLGYLEPRIHVLEIANTVIEAGTKPSPGTMVPTVKVLAMKVRFGVRQEAKMLLSFLRCFPNVETLHIMDVAPVECLKAHIKKVVFKNFRGERSELAFLRFVLERAQILQTLVVVLTDGDGDHASQEELGNRLKPLIYSTHRASKCAEFFIFVRCGGTSWSFRTASDLSRSDPFDC >ORUFI01G24200.1 pep chromosome:OR_W1943:1:21139394:21139693:1 gene:ORUFI01G24200 transcript:ORUFI01G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWGSPRRRRRGGGALRGGRLRRRVTESPDPVAPLAGATGDGLGRRRCRSGVGGWPVTGFSGVGSSVTTALGRRRLAFVGGGSSPALGGARFGAGCR >ORUFI01G24210.1 pep chromosome:OR_W1943:1:21143721:21190668:1 gene:ORUFI01G24210 transcript:ORUFI01G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRALMTMEKVLVQMEKDGVDSRMLDLGADCMCKLLVASLPDPPVYPDALLSANDDDSSGDGTGAEDRIGALPDDLLGGVVSRLPIKDAVRTAALSSRWRRIWLSAPLVLVDGHLLPPGEEAGQLPLDASGAVAAAVSRVLEAHPGPFRYVELTSSAMGARARRGDLARWLHLLAVKGVRELVFVNRRRPLDVALPATVFALAPLSRLYLGTWKFPDTAALPRGAGFPHLRELGLYCVAMEDRDLDFVLANSPVLECLGIYYSQRQIVLLRLASHSLRCVQICMCIAEDIAVVDAPRLERLLIWEMFEDDNHATRLSIGHAPNLQLLGYLRPGIHVLENGNTIIKAGTKASPRTIIPSINVLALKVRFEVRNEAKLLPSFLRCFPNVEKLHVKSEKSDEPVGRLNLKFWQEAGRIECLQSCIKYVVFHGYRGDRSELTFLKYILGSGQVLQEMVIVVANGMFSTQDEVGEKLVKPLSSVKMASGDCNITVVEGTVHDEEDSCCLRTMVACAVSTGDCGRETMRRWIRLPLCAPCAWIQLWRRRRGAGGASADPVPPSSRVDPARMKTMGRRQDGGNLRGGELVLRHPWSSGGHPRRRWHRACLSPSFHLLHRRKERPPPVVSVLNLETTESHLFSIRRIQKEKKAARINNTINQLFFLFLDRRIRRHGVDFYPVSSSPLHFSAFSSASDPKTLAGGMGDIVRQILGWFPGHPASGNGATLSAAEGYGSEDRVSALPDDLLRNIVARLPVKDAARTAALASRWRRVWSSTPLVLRDADLLLSAGEAAGVAAVGRALAGHPGPFRVVHIEHCMFAFHRPELAEWARLVAAKGVEDLVFVNIPVHYFDVPLPADILRCAALRRLFIGNWNFPATSGFPRGADVFPHLLELYIWNTVMSARDLNHLLACSPVLQSLALALNSHPNRVRLCSQSLQCVLLFLSKAEEVAVVDAPLLQRLVLWKISTSYDTDDELPVKIRLACAPELQVLGYLEPRAHQLQIGETIIKADTKVIPSSMVPSIKVLALRVNFCVQEEIAMLVSFLRCFPNVETLHIESDIDDEFTGQPHAKFWQEVCPVECINSHVKKIVFHDFHGDKCELEFIDFIARTAQELQALLLMLTSKTYGPVVDVDEVNSQLGVLSFASEECITSLLGPKVQMALDTRNRQRRADQRSDVPGSMSSTSSRMKAMVASILFFHPRLFSIICLTCISMGSHDDALRSWFRAFADKHLEELAFLNLHYPNDVMLPNTGIVPCTHTFRELQEICLHCCILHEWDVKNLLTCSPKVENLLLISSSCGWLLRLHIRCRCLRCLLHWASSFEDLVLVFTPCLERLILWKDDALYWRDSKKIKICSTPKLQWHDASALYTVVVPSVKVCATTIHFVGVCKDEQMVPGFLKFLPIWSTWILVPRYLLKGRAHVGDLVHRHGWSFQEVELLHMDHRENQAFHGGAHDVHGKGHVIGVDQREQLNLRAADEQPVKVISLSVSLAQDELPQAWQEDGFAGPQGQLLRWLAKERDNVAHHGGAGEDLGREGGGTAHAAEVHDDKILDVVIAFQGTDPIPEAVVAVEAGDGESDGVEGAGVGVGGARHRLHHRRVCFWKPLATGPTMGQNRASSRTEGHKSLDPGLGMGQHHWRQAGGTQGREELGWELADAGSIRWGSGVGGGWRKRKPIEDPQSTQLDGFEFWEEINSVECVRSSIKKVVFHGFSWKNSEIAFINSIAEGGLVLGKICIFQSRHGTAPDDDELNAKLSMVASLNIGLGRTEIIFSGEDPTWVSSILSSHPGPFNSIHLTCSSMGSHNDALKSWFKAFADKHLKELAFLNLNYPNDIMVPTDLFCCKSLKRLYLGGVQLPANTGIIPCSHTFHELWEICLYRCILHEWDIENLLTCSPKVEKLSLVNSACGWPLRLHIRSHSLRCMLHWASSLEELAMVSTPCLERLILWRDDALHWSDCKKIKICSMPKLQVIGYLNPADHVLQIRDTVIKEWSSRSPNDMKASAATVVPSVEVLAMTIRFGVHEEERMVPCFLKCFPSSIARTQTTNEVNLEFWKDVGSIQCVRSIIKRVIFDDFSGEECELAFLSFIAQNANQLEEIYIIPSKKDLSAGSSLGNVINHFMSSILWASAYCRVQVCASAKNAWNYQMASDLSLYDPFGYIVNNDSFLSFPGTWLSYEWCLNVNILLLRLDVVVPAPSRPDGVTVSGEDFIGSLPGHVRSAIVSRLPVADAAARTGVLSSSQWNGLWSSTPLVFQDSDLILAANFTSVAPVAATVSRVIENHPGPFHTVTLTSYFPESERDTFAGWIRAVAAKGVRDLTLHNIPWSGLHVLPADLLQCCAALERLRVCVWRFPATAGVLHPGGGDGDGAAPPSFPRLRELVLNRSAIEEADLENVVACSPALRTLVLAFSRGAPGRVRLASGSLRCVVLCQSLVDELAVVAAPLLERIVLRWCASGTHHGHLMRIRISRASSIKAIGYLKPTCHGLHIDATVIKPGITASPDEVAVPSVKILGLQVRFGVAAEATMVSCMLRCFPNVETLHIMPIEDPQSTQLDDFEFWEEINSVECVRSSIKKVVFHGFSWKNSEIAFINSIAEGGLVLEKICIFQSRHGAAPDDDELNAKLSMVASLNIGLGRTEIIFSGEDPTWCYRAAADLSRADPFDCCR >ORUFI01G24210.2 pep chromosome:OR_W1943:1:21143721:21190668:1 gene:ORUFI01G24210 transcript:ORUFI01G24210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRALMTMEKVLVQMEKDGVDSRMLDLGADCMCKLLVASLPDPPVYPDALLSANDDDSSGDGTGAEDRIGALPDDLLGGVVSRLPIKDAVRTAALSSRWRRIWLSAPLVLVDGHLLPPGEEAGQLPLDASGAVAAAVSRVLEAHPGPFRYVELTSSAMGARARRGDLARWLHLLAVKGVRELVFVNRRRPLDVALPATVFALAPLSRLYLGTWKFPDTAALPRGAGFPHLRELGLYCVAMEDRDLDFVLANSPVLECLGIYYSQRQIVLLRLASHSLRCVQICMCIAEDIAVVDAPRLERLLIWEMFEDDNHATRLSIGHAPNLQLLGYLRPGIHVLENGNTIIKAGTKASPRTIIPSINVLALKVRFEVRNEAKLLPSFLRCFPNVEKLHVKSEKSDEPVGRLNLKFWQEAGRIECLQSCIKYVVFHGYRGDRSELTFLKYILGSGQVLQEMVIVVANGMFSTQDEVGEKLVKPLSSVKMASGDCNITVVEGTVHDEEDSCCLRTMVACAVSTGDCGRETMRRWIRLPLCAPCAWIQLWRRRRGAGGASADPVPPSSRVDPARMKTMGRRQDGGNLRGGELVLRHPWSSGGHPRRRWHRACLSPSFHLLHRRKERPPPVVSVLNLETTESHLFSIRRIQKEKKAARINNTINQLFFLFLDRRIRRHGVDFYPVSSSPLHFSAFSSASDPKTLAGGMGDIVRQILGWFPGHPASGNGATLSAAEGYGSEDRVSALPDDLLRNIVARLPVKDAARTAALASRWRRVWSSTPLVLRDADLLLSAGEAAGVAAVGRALAGHPGPFRVVHIEHCMFAFHRPELAEWARLVAAKGVEDLVFVNIPVHYFDVPLPADILRCAALRRLFIGNWNFPATSGFPRGADVFPHLLELYIWNTVMSARDLNHLLACSPVLQSLALALNSHPNRVRLCSQSLQCVLLFLSKAEEVAVVDAPLLQRLVLWKISTSYDTDDELPVKIRLACAPELQVLGYLEPRAHQLQIGETIIKADTKVIPSSMVPSIKVLALRVNFCVQEEIAMLVSFLRCFPNVETLHIESDIDDEFTGQPHAKFWQEVCPVECINSHVKKIVFHDFHGDKCELEFIDFIARTAQELQALLLMLTSKTYGPVVDVDEVNSQLGVLSFASEECITSLLGPKVQMALDTRNRQRRADQRSDVPGSMSSTSSRMKAMVASILFFHPRLFSIICLTCISMGSHDDALRSWFRAFADKHLEELAFLNLHYPNDVMLPNTGIVPCTHTFRELQEICLHCCILHEWDVKNLLTCSPKVENLLLISSSCGWLLRLHIRCRCLRCLLHWASSFEDLVLVFTPCLERLILWKDDALYWRDSKKIKICSTPKLQWHDASALYTVVVPSVKVCATTIHFVGVCKDEQMVPGFLKCFPSSIARTQTTNEVNLEFWKDVGSIQCVRSIIKRVIFDDFSGEECELAFLSFIAQNANQLEEIYIIPSKKDLSAGSSLGNVINHFMSSILWASAYCRVQVCASAKNAWNYQMASDLSLYDPFGYIVNNDSFLSFPGTWLSYEWCLNVNILLLRLDVVVPAPSRPDGVTVSGEDFIGSLPGHVRSAIVSRLPVADAAARTGVLSSSQWNGLWSSTPLVFQDSDLILAANFTSVAPVAATVSRVIENHPGPFHTVTLTSYFPESERDTFAGWIRAVAAKGVRDLTLHNIPWSGLHVLPADLLQCCAALERLRVCVWRFPATAGVLHPGGGDGDGAAPPSFPRLRELVLNRSAIEEADLENVVACSPALRTLVLAFSRGAPGRVRLASGSLRCVVLCQSLVDELAVVAAPLLERIVLRWCASGTHHGHLMRIRISRASSIKAIGYLKPTCHGLHIDATVIKPGITASPDEVAVPSVKILGLQVRFGVAAEATMVSCMLRCFPNVETLHIMPIEDPQSTQLDDFEFWEEINSVECVRSSIKKVVFHGFSWKNSEIAFINSIAEGGLVLEKICIFQSRHGAAPDDDELNAKLSMVASLNIGLGRTEIIFSGEDPTWCYRAAADLSRADPFDCCR >ORUFI01G24220.1 pep chromosome:OR_W1943:1:21163280:21163770:-1 gene:ORUFI01G24220 transcript:ORUFI01G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCGGANGINDGKRETISKRRLLGRADLVLTTISRGVVSGSGAPLTNGGEDGVCGQGKGGRTWWFAWTQFSSGHNVGQGFCDMILRALYPLCAIEGRNVELGLIWASCGERIKRQITSPFN >ORUFI01G24230.1 pep chromosome:OR_W1943:1:21163792:21165963:-1 gene:ORUFI01G24230 transcript:ORUFI01G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPGMKEGTRESNLISPSLAIPSVESLAIKLMFGSKDQENRLLSFLKLFPNVKTLYMCPPPQHDRLLGFSTPDLKIFEDEWH >ORUFI01G24240.1 pep chromosome:OR_W1943:1:21166955:21167507:-1 gene:ORUFI01G24240 transcript:ORUFI01G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRHGVNTNTRSSNEEAQCSKHRRQRQRMCNRSSQPHELLMSKRFSTFGLQVLDIPLMQNATMQTDLLELTKSVGTRDDAGVRQLDATKLDLLQGIATEEISKVEEGELLKVLVSKSPKPAPKRVIVRPHANAGWKNRMLATMAFIRELVEDMLPGTSDL >ORUFI01G24250.1 pep chromosome:OR_W1943:1:21172015:21174202:-1 gene:ORUFI01G24250 transcript:ORUFI01G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNQRLREILAYLPPPPASSNTAAAPPDGAGAGAVFTTSSSSHARGGDRISQLPAELLQDVARIWGSVPLVLDDALFVIPTTPQAGAGAVTGRISSRSHHAAVVVDKVSRAIDSHPGPFCSVRLTSTNFHRHNRLGHWIRAMGRKGSVEDLVLVHPGGVARAVTLPPEVLTCTSMVRLAVARCGLPPYADVDLPRLRELVLCEGHFRAANELGRMLAGCPKLESLTLINPNDMPYSAMEVVSSTMKILVLCIFHTKVLDLLDAPSLERLIIWRPMLVMSPYTFMIKITRAPILRAIGYLDTILHVVQIGGTIIEAGTKISPSLTIPSVETLAIKLSFGSKEQENRLLSFLKIFPNVKTLYVMFTFYNLHGVVLGDLPFIRAVMGTARLLKEMRLFPCDKIFFNR >ORUFI01G24260.1 pep chromosome:OR_W1943:1:21175158:21177235:-1 gene:ORUFI01G24260 transcript:ORUFI01G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYMSVNKIANMSPSFEQVPWHQYPCAPDRKNYHKVYDPPCPLSSCVFPDKHVVDFWMKNLKSILCVCSQLTKFMFYNLHGVVLSDLAFIKAVMGTTRLLKEIKIFICPVLQP >ORUFI01G24270.1 pep chromosome:OR_W1943:1:21177250:21178019:-1 gene:ORUFI01G24270 transcript:ORUFI01G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLPTFRFRQPPPTPLPHLIEPASASSHPSSSRPCVPPACRQWCCPIPRPGSRDLCPSVLDDALGPRCQGLPKTHSAVVKTVSRTTDSHPGPFHSVRLTITSFNRHDSLRYWIRALERNDNDLVIVHLSGMRCAATLPPKILTCTSMVCHIVALFGQPPKAVFLPSLRELILCEGYTQANDFDRLLVSCPEIELLSLINPNDMPFSMDIMSPTMKSLVLSMVHMKELNFLEAPSLERAII >ORUFI01G24280.1 pep chromosome:OR_W1943:1:21182162:21182866:-1 gene:ORUFI01G24280 transcript:ORUFI01G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRVEISDDLELRHRADLDLLTVTPVQSIIPPKDEALEAWSGYHSELLQRGGPMQHASEAVAADVQPQWPTTSTVDQRELLHLWAAGQQVLNVPLVQDASIQTDLPEFMECVGTRDDASVCRQLDTTKVEPLQGLATEEISRYHDVIWVVQVEEGKLLEVLVSKCLEPALEGVIVRPHTAAGQMDTVEGARVGGQDAGDPSDHGAVGEIIEDVLPGTSDLGVIEAERHRVPNP >ORUFI01G24290.1 pep chromosome:OR_W1943:1:21197189:21198180:-1 gene:ORUFI01G24290 transcript:ORUFI01G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSIARQRRPIPTTPSPHVLARRLARTQQRESRPAAAVRYIYVPLSDIDLNLTMEINVDIKYLQNLSEQAALSLRHYKVPISFL >ORUFI01G24300.1 pep chromosome:OR_W1943:1:21217874:21224967:1 gene:ORUFI01G24300 transcript:ORUFI01G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLGEERRRSSPVSCVPISIYFAVFPYCMQTMLYRGLCTTIEVVLELWVLRLPCLVGASLSHACSREGAQADSPLVESATANSTTPHQKVILAEPLLPGKEADFADDDDVEAQLTSYHTGASFSRTCLNLTNAVSGIGVLSMPYAVSQGGWLSLLLFVLVGAVCYYTGTLIERCMRADGSIASYPDIGQYAFGATGRRAVAFFMYVELYLVAISFLVLEGDNLDKLFPGATMEILGYQLHGKQLFIVLAAAVILPTTWLKNLGMLAYVSAAGLIASVALTASLIWAGVAETGFHRNSNTLNLAGIPTSLGLYFVCFTGHAVFPTIYSSMKNSKHFSKVLLISSVLCSLNYGLTAVLGYMIYGDDVQSQVTLNLPSGKLYTKIAIVMTLVNPLAKYALLVAPITAAVEERLSLTRGSAPARVAISTAILASTVVVASTVPFFGYLMSFIGSFLSVMATVLFPCLCYLKIYKADGIHRTEMVAIAGILLLGVFVAVTGTYTSLLQIIATF >ORUFI01G24300.2 pep chromosome:OR_W1943:1:21215966:21218029:1 gene:ORUFI01G24300 transcript:ORUFI01G24300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKSPIDEALLHGKHEEALLHGKHEHVEQQLLPTSGGSFCITGASFGRSCLNLSNVISGIGMLSVPYALSQGGWLSLALFAMVGAICFYTGKLIYRCMRADRCVRSYPDIGYLAFGRYGRTAIGLIMYVELYLVAISFLILEGDNLDKLLPGTVVKILGYQVHGKQLFMLVAAAVILPTTWLKNLSMLAYVSAVGLVSSVALTVSLVWAGVADKGFHMAGSSILNLSGLPTALSLYFVCFAGHGVFPTVYSSMRARKDFPKVLLISSVLCSLNYAVTAVLGYKIYGEDVQAQVTLNLPTGKLYTRIAILTTLITPLAKYALVIQPVTTAIEEKLSTAAAAVAADAENNRLTRVLTSTTVVFSTVVLACTVPFFGYLMSFIGSSLNVTVAVLFPCLSYLKIYMPRGGVGRFEVAAIVGILVIGVCVAVIGTYTSLHQIIGTF >ORUFI01G24310.1 pep chromosome:OR_W1943:1:21225271:21227368:1 gene:ORUFI01G24310 transcript:ORUFI01G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDKFVKQARQPQAERRDGVLLRGDDEHGRGGDGRFPDPEPAVADADAASSGRARLEQWESAVAAAQAAGERQRAAVRGWSRWKGGVGGCRRRKAAVWSRGLEEKETRAPGPRRVGSWSRNAATSSGLKRNLRWCTSSSPGRSLSLASSDAGSYSGWSYAGRGGWRASRGSLAHTGQTLRAFRELTDGLQELRDPRRVDEVVADLGAEHEAATPQSRHL >ORUFI01G24320.1 pep chromosome:OR_W1943:1:21229752:21237714:1 gene:ORUFI01G24320 transcript:ORUFI01G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMDEQQPLHILFLPFLVPGHLIPIADMAALFAARGVRCTILTTPVNAAVVRPAVDRANDDALRGDAGGAPAIDIAVVPFPDVGLPPGVESGTALASEEDRGKFFHAIRRLREPFDRFMAEHHPDAVVADGLFTWSVDAAAEHGVPRLVFLGTGVFARSCQESMVRHNPVEACPDDDPDAAVSLPGLPHRVEMRRSQMIDPKKRPDHWAYFKMMNDADQRSYGEVFNSFHELETDYVEHYRTALGRRAWLVGPAAFASKDFAARGAAELSPDADGCLRWLDAKPHGSVAYVSFGTLSSFSPAEMRELARGLDLSGMNFVWVINGAADDTDASGQWMPEGFPELISPHGDRGLTIRGWAPQMLILNHPAVGGFVTHCGWNSTLEAVSAGVPMVTWPRYADQFFNEKLIVEVLKVGVSVGSKDFASNLENHQVIGGEVIAGAVRRVMGDGEEGAEAIRKKAAELGVKARGALEKGGSSHDDVGRLMDALMARRTSVDASELAELSPSAIYSVPKHTEEKLGVA >ORUFI01G24330.1 pep chromosome:OR_W1943:1:21261361:21265970:1 gene:ORUFI01G24330 transcript:ORUFI01G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHFLQVNRQEDYRSTDTEAGAAARREGYNKRRPETTTEKSGRRGTAGAELQSRPRIHLLRRQLTGSLLHLLELFALGFIFGFKLLGVDFYDGLSTNKASGSFNTFLESTGSFTVNEVEALYDLFRKLSNSIIKDGLIHKEEFHLALFRNKKTNLFVDRVFDLFDQKGNGVIEFDEFVRSLSVFHPDAPEEQKAGFAFKLYDLRQTGFIERHELKEMVLALLDESDLNITSDAVEMIVDRTFDQADTKGDERIDQEEWNEFVKNNPYVLRNMTLPYLKDLTMIFPSFVIHSEVSEADMVA >ORUFI01G24330.2 pep chromosome:OR_W1943:1:21261361:21265970:1 gene:ORUFI01G24330 transcript:ORUFI01G24330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHFLQVNRQEDYRSTDTEAGAAARREGYNKRRPETTTEKSGRRGTAGAELQSRPRIHLLRRQLTGSLLHLLELFALGFIFGFKLLGVDFYDGLSTNKASGSFNTFLESTGSFTVNEVEALYDLFRKLSNSIIKDGLIHKEEFHLALFRNKKTNLFVDRVFDLFDQKGNGVIEFDEFVRSLSVFHPDAPEEQKAGFAFKLYDLRQTGFIERHELKEMVLALLDESDLNITSDAVEMIVDRADTKGDERIDQEEWNEFVKNNPYVLRNMTLPYLKDLTMIFPSFVIHSEVSEADMVA >ORUFI01G24330.3 pep chromosome:OR_W1943:1:21261361:21265970:1 gene:ORUFI01G24330 transcript:ORUFI01G24330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCLPTKQVGRSTHSLNPREAVALAAETSFTVNEVEALYDLFRKLSNSIIKDGLIHKEEFHLALFRNKKTNLFVDRVFDLFDQKGNGVIEFDEFVRSLSVFHPDAPEEQKAGFAFKLYDLRQTGFIERHELKEMVLALLDESDLNITSDAVEMIVDRTFDQADTKGDERIDQEEWNEFVKNNPYVLRNMTLPYLKDLTMIFPSFVIHSEVSEADMVA >ORUFI01G24330.4 pep chromosome:OR_W1943:1:21262776:21265970:1 gene:ORUFI01G24330 transcript:ORUFI01G24330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCLPTKQVGRSTHSLNPREAVALAAETSCENVDLPDFVNIATGTPFTVNEVEALYDLFRKLSNSIIKDGLIHKEEFHLALFRNKKTNLFVDRVFDLFDQKGNGVIEFDEFVRSLSVFHPDAPEEQKAGFAFKLYDLRQTGFIERHELKEMVLALLDESDLNITSDAVEMIVDRTFDQADTKGDERIDQEEWNEFVKNNPYVLRNMTLPYLKDLTMIFPSFVIHSEVSEADMVA >ORUFI01G24330.5 pep chromosome:OR_W1943:1:21262776:21265970:1 gene:ORUFI01G24330 transcript:ORUFI01G24330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCLPTKQVGRSTHSLNPREAVALAAETSCENVDLPDFVNIATGTPFTVNEVEALYDLFRKLSNSIIKDGLIHKEEFHLALFRNKKTNLFVDRVFDLFDQKGNGVIEFDEFVRSLSVFHPDAPEEQKAGFAFKLYDLRQTGFIERHELKEMVLALLDESDLNITSDAVEMIVDRGPHHDIPKFCDSLRSQRSRHGCLT >ORUFI01G24340.1 pep chromosome:OR_W1943:1:21267010:21268915:-1 gene:ORUFI01G24340 transcript:ORUFI01G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGEEGGMTYGEVVDYFNSLQDPPAQERIDCIIPYLISLLPAPFVPAPPDAASADDSEDDHFSFTSSDDYSAAEDARSFPSPPPGDGEEDHISRLADDLLSEIISRLSTKEAARTMALSTRWRRVWAKTSLLVDDAHLRDADNEVSLVRAISRCVDAHPGPVRAARITHVAFYHHEYALRRLVASLADKNVEDLILFNRPWPLNMPLPDDIFRCAYLRRLYLGAWMFPEVAAAALVNLRELGLFHCIIPDRDFDALLSLCSKLEVLSLAMSYNCPSRLRIKSPSLRAAVEWMSSLDGMVVDGAPCLERLLLHHAIPVAERTPIKIVSAPRLEVLGILDLQLHELQIGGTTIRPGMWMFVKSSAKLPSLKILAVKVCLAIEREIKLLMTLLKCFPHLETLHIKSIPPCASPEIANCADVWESLGSCECLKSHLKTVSIQGFHTERYEVLCLKYLILEGEVLETVAFFCEDKVCFAAKDDEAAEIELMFPKNLVQDRWSFQSAIDLSLDDPFFYAVES >ORUFI01G24350.1 pep chromosome:OR_W1943:1:21275739:21276312:-1 gene:ORUFI01G24350 transcript:ORUFI01G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACITNPSSSRSTTRGCGQEKPNAARIPSDAVQLGLCAQPTMVPETAVSSRSHVVFAHVDVLQHGEANEEALALLIDYLSSGNSPGVEGALELATSRSVSFAGTPWSPQSRDMCSNARTWSTSRRGLGPTPLTSFGALEMELGGPNWREGR >ORUFI01G24360.1 pep chromosome:OR_W1943:1:21277429:21278931:1 gene:ORUFI01G24360 transcript:ORUFI01G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSLLATALLLLLLAIAGGPNAAAVAEAVRYQTLVATPLSPHPYTATAVEDDGLFQGSLAADEGGAAASTVGLRVVHRDDFAVNATAAELLAHRLRRDKRRASRISAAAGGAAAANGTRVGGGGGGSGFVAPVVSGLAQGSGEYFTKIGVGTPVTPALMVLDTGSDVVWLQCAPCRRCYDQSGQMFDPRASHSYGAVDCAAPLCRRLDSGGCDLRRKACLYQVAYGDGSVTAGDFATETLTFASGARVPRVALGCGHDNEGLFVAAAGLLGLGRGSLSFPSQISRRFGRSFSYCLVDRTSSSASATSRSSTVTFGSGAVGPSAAASFTPMVKNPRMETFYYVQLMGISVGGARVPGVAVSDLRLDPSTGRGGVIVDSGTSVTRLARPAYAALRDAFRAAAAGLRLSPGGFSLFDTCYDLSGLKVVKVPTVSMHFAGGAEAALPPENYLIPVDSRGTFCFAFAGTDGGVSIIGNIQQQGFRVVFDGDGQRLGFVPKGC >ORUFI01G24370.1 pep chromosome:OR_W1943:1:21294199:21304664:-1 gene:ORUFI01G24370 transcript:ORUFI01G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDNLRLGREAASAHAVASGRLPSSICRECFYIVFEDEIHQTIVENNLFKPGDRVAIGASGGKDSTVLAYVLSELNHRHKYCLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIISYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKVDKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEILDYFSTECIYSPNAYRGFAREFIKDLERMRPRAILDIINQVRILGSPQQQGCQSKEHKLCKACVLLDGLNRGLPKLGVGRTNGVAGGDSDCEQQAKRPERSRSSLQGKHGNIDF >ORUFI01G24370.2 pep chromosome:OR_W1943:1:21294199:21304664:-1 gene:ORUFI01G24370 transcript:ORUFI01G24370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARDNLRLGREAASAHAVASGRLPSSICRECFYIVFEDEIHQTIVENNLFKPGDRVAIGASGGKDSTVLAYVLSELNHRHKYCLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIISYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKVDKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEILDYFSTECIYSPNAYRGFAREFIKDLERMRPRAILDIINQVRILGSPQQQGCQSKEHKLCKACVLLDGLNRGLPKLGVGRTNGVAGGDSDCEQQAKRPERSRSSLQGKHGNIDF >ORUFI01G24380.1 pep chromosome:OR_W1943:1:21302348:21302671:1 gene:ORUFI01G24380 transcript:ORUFI01G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVELLQPVVVVEEGFVVCVLDECGETWVKADNIGDVAVLVDTSGTVAASTRECLGLRPSTVYFAVNLVGETRVRAHSLATAGKGKHMRIERNREETVNRFNVRFSS >ORUFI01G24390.1 pep chromosome:OR_W1943:1:21315386:21317579:1 gene:ORUFI01G24390 transcript:ORUFI01G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRREGGGGGNGGCDPPAVTDALSMDGGLREVSLSVVFSVWCLLFLLRSQFLHSQTDPSDFYDDVEDGMRENYCKVMPLEAYIFPTEYNASAAAPTCQPSLHPPDQPQQETDHRSLEPFNNTTGGKSSAEAAALDELDEFRSRILQGKAENGRVPDGATPAAHRLEPSGAEYNYAAASKGAKVLAHNREAKGAANILGGDKDRYLRNPCSADDKFVDVELSEETLVRTIGLANLEHYSSNFRDFELYGSPSYPAPAEEWELLGRFTADNAKHAQRFVLPDPRWTRYLRLRLATHYGSGFYCILSYLEVYGIDAVEQMLQEIISGSGADTDASAAAKAEEGGDGGTLRNDTAQVNARLDGVGGGGGSAAGRNDSAGDGAGAKNNGSRMTVAGDGKPAAAGRFHGDAVLKIMMQKMRSLELGLSTLEDYTKALNHRYGAKLPDLHTGLSQTTMALDRMKADVRDLVEWKGNVAKDLGELKEWRSNVEEMRSIQETMQNKELAVLSISLFFACLALFKLACDRVLFLFTRKGAAAAERMCGASKGWILVLASSSFTTFLVLLYN >ORUFI01G24400.1 pep chromosome:OR_W1943:1:21318203:21320955:-1 gene:ORUFI01G24400 transcript:ORUFI01G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLAQLRSKAAQASELVSKHGCAYYKEVMEKNKQHVVQPPTVEKCQELSKQLFYTRLASLPGRYEAFWKEFDGVKQVWKNRKELKVEDLGIVTLFGVELYAWFCVGEIVGRGFTITGYKV >ORUFI01G24410.1 pep chromosome:OR_W1943:1:21328402:21335584:1 gene:ORUFI01G24410 transcript:ORUFI01G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWLDLCPHHLLSSPFLPSSPSRSPPSSLLSSSPLPLHSIGHSTPHETPNPRRPRRARVAHPPFSSRRWSAASLPPPDPAAPPAFTPPTRTHPIPPHRRAGGGGRARRGGVVPGTGFAFAARVEVFGERSAGEEVQDVDIISAIEFNKSGQHLATGDRGGRVVLFERTDAKDNACRKEAEKVDYSVSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANGALFLLSTNDKTIKFWKVQEKKVKKVSEMNLSPSNASANGRPAGSNASGSSAYLPNGGISKPVGLNSLRLPVVVTSQETSLSASCRRVFTHAHDYHINSISNNSSKGSIRLVDLRQSALCDTHSQVFEQHEAPGSRSFFTEIIASISDIKFSKDGRHILSRDYMTLKLWDINMNSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCQSGDGLRVATGSYSNIFRVFGCGTGSNEATTLEATRNPTRRQLQNPTRPARSLSTLTRVVRRGAESTGIEANGNSYDLSTKLLHLAWHPSENLIACAAANSLYMYYA >ORUFI01G24410.2 pep chromosome:OR_W1943:1:21328773:21335584:1 gene:ORUFI01G24410 transcript:ORUFI01G24410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQTLAGLAALAAPALLLSPVPAAAASSPAQASPSPPEWRFSQVFGERSAGEEVQDVDIISAIEFNKSGQHLATGDRGGRVVLFERTDAKDNACRKEAEKVDYSVSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANGALFLLSTNDKTIKFWKVQEKKVKKVSEMNLSPSNASANGRPAGSNASGSSAYLPNGGISKPVGLNSLRLPVVVTSQETSLSASCRRVFTHAHDYHINSISNNSSKGSIRLVDLRQSALCDTHSQVFEQHEAPGSRSFFTEIIASISDIKFSKDGRHILSRDYMTLKLWDINMNSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCQSGDGLRVATGSYSNIFRVFGCGTGSNEATTLEATRNPTRRQLQNPTRPARSLSTLTRVVRRGAESTGIEANGNSYDLSTKLLHLAWHPSENLIACAAANSLYMYYA >ORUFI01G24420.1 pep chromosome:OR_W1943:1:21336190:21339431:1 gene:ORUFI01G24420 transcript:ORUFI01G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSIVGAAGASRAELQKELRIWAVSSISVFQNQQFFGVLLNMLVNPPLPVYSLLSADDEILVRDVLYDALILVDYSFINKGAGVDQADSSLLPLYVSRLLITHDAINDARSKGDQGRAMSFMNAFFTSNIPTYFAKCATSQVGFNQLSKPAAITPQALLKWLVDLEDKGFKVFGENVSRIRERLMYDEVKNGYQSRMTHSDADLFFIDKQSGGEVMDTRAGEDEEAVEMETADNAFMAAAQSMKAMANGMRKRKDCGAEDANVVKFVKYKVEDSSVKDYFLSANNGMSSGSEVENPQSDDEMEETD >ORUFI01G24420.2 pep chromosome:OR_W1943:1:21335965:21339431:1 gene:ORUFI01G24420 transcript:ORUFI01G24420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGTHPPVSPPPRPLPSSKTPHAPRPFRTPPPFPNQTPSPSPLTLLPSKPRVRVSAPLLPTGFRIHPPPPPPPAMAAGSIVVDFPSMGAACCFSSLESLLRDSTSRFLAAVSAAPDPDLTNFRSLFSRVLNTYPDPPLEAVWFFSALSFHDNPGDLRSLLHLLSAFTASSRSAAKPLALLAPVVSELYHSAKPRREAEALVEAVLSYISICSSRAAPAAGDGAGAGADAGSLLPAFGELVKVWSVRHSRDRCPFQVLFPLVGEDARRELMREGCSVAFLAGAVVAEAFLLRLCLKVQGAAGASRAELQKELRIWAVSSISVFQNQQFFGVLLNMLVNPPLPVYSLLSADDEILVRDVLYDALILVDYSFINKGAGVDQADSSLLPLYVSRLLITHDAINDARSKGDQGRAMSFMNAFFTSNIPTYFAKCATSQVGFNQLSKPAAITPQALLKWLVDLEDKGFKVFGENVSRIRERLMYDEVKNGYQSRMTHSDADLFFIDKQSGGEVMDTRAGEDEEAVEMETADNAFMAAAQSMKAMANGMRKRKDCGAEDANVVKFVKYKVEDSSVKDYFLSANNGMSSGSEVENPQSDDEMEETD >ORUFI01G24430.1 pep chromosome:OR_W1943:1:21340160:21342637:1 gene:ORUFI01G24430 transcript:ORUFI01G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLPALHHEPLLSRSHRRLPPSPPPPPLPSRLAAAHPSAPSPSPRDDERLRLRAEELRSYAAALQGCAASRALRRGKALHARLLRSGPRPDAFLHDSLLNMYCKCGRLADARSVFDGMPHRDVVAWTAMVSAITAAGDAGAALRLFAEMSEEGVVPNGFALAAALKACTVGSDLGFTPQVHAQAVKLEGLLDPYVSSSLVEAYVSCGEVDVAERALLDSPVRSDVSWNALLNEYARDGDYAKVMLVFDKLVESGDEISKYTLPTVLKCCMELGLAKSGQAVHGLVIKRGLETDRVLNNCLIEMYSKCLSAEDAYEVFARIDEPDVVHCSLMISCFDRHDMAPEAFDIFMQMSDMGVKPNQYTFVGLAIVASITGDVNLCRSIHAHIVKSGFSRTKGVCDAIVGMYVKTGAVQDAILAFDLMQGPDIASWNTLLSGFYSGNNCEHGLRIFKELICEGVLANKYTYVGILRCCTSLMDLRFGCQVHACVLKSGFQGDYDVSKMLLDMYVQAGCFTNARLVFDRLKERDVFSWTVVMSTYAKTDEGEKAIECFRSMLRENKRPNDATLATSLSVCSDLACLGSGLQLHSYTIKSGWNSSVVSSALVDMYVKCGNLADAEMLFDESDTHDLVEWNTIICGYAQHGHGYKALEAFQEMIDEGNVPDEITFVGVLSACSHAGLLDEGRRYFKLLSSVYGITPTLEHYACMVDILAKAGKLAEAESLINEMPLTPDASLWKTILGACRMHGNIEIAERAAEKLFESQPDDISSCILLSNIYADLKRWNDVAKLRSMLVDRGVKKEPGCSWIEINGKLHVFLSQDGCAKY >ORUFI01G24440.1 pep chromosome:OR_W1943:1:21343115:21344509:-1 gene:ORUFI01G24440 transcript:ORUFI01G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVDGDTAAAAAAGGGVVVVFDFDRTIIEWDSDDWVITKLGASDAFRRLRPTMRWNPLMDRMMVELHAQGRSADDIRDCLKSAPLDAHVLSAITTASALGCDLRVASDANAFFIETVLEHHGVLGCFSEISTNPARVDGNGRLRISPFHDPDDSSPHGCSLCPENMCKGKIIERIQATANGKRHFIYIGDGRGDYCPSLKLGEGDYVMPKENYPLWNLISSNKQLLKAEVHPWNNGEELEQTLLKLVNKLITPPAQPSQFEYKCDMSNPVSTEVGQALRVPH >ORUFI01G24450.1 pep chromosome:OR_W1943:1:21345011:21347520:-1 gene:ORUFI01G24450 transcript:ORUFI01G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMNYEEGAGLGKYGHGIIDPIKPTIKYGKGGIGKFESPYDSDSNYDMGPPAEPELERGTGEAEPEAIVDAEEVRAMDTLQRECEAYAAARARERHHEKVRAYNMRGQRPPKHDTAAADDWEGITSGYTAIKRALKVVRGQSESGKLTLGGLIHEFAGVKAKFPEEYRTNRMPYKAISFAAPLLHSRLSRQYNASEYGGTEPLLNRTLVMVEALKDMLGADTSAAYPRLIHDLVMAPPLDAWRWRAEEPKPMLRFINRWKGLLPQATMDSILDEVILPELVAAADVFRLTTWSCKPSVCVGTWIPHLGHARLRIVYIIISRRLRDRLCGGISDYDYRLALPWKKVFDPMSWDEHIKRHVLPHMRKALHDLEISVRMTWLQNNNFFPLVMRWASIVPVKYMVPLLIQGFFKKWMYANYRYLMGERPRLDEAMAWSMEAIPTSQFQSSVVAVVDV >ORUFI01G24460.1 pep chromosome:OR_W1943:1:21353472:21354700:1 gene:ORUFI01G24460 transcript:ORUFI01G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQHAHTPQQQQLSLKQQSEVDMAAATMALSSPALAGKAAAKVFGEGRITMRKSAAKPKPAASGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWAVQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >ORUFI01G24470.1 pep chromosome:OR_W1943:1:21357167:21361347:-1 gene:ORUFI01G24470 transcript:ORUFI01G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGEPLWHGVRHGGGGGDARQQHHHHHQLSHGFGAKDASASSPWSSSDGLWTDGLVCAFEFVRGGGGAHGFVTPANLCRSKCCSLLQSKDLAVQDRRRSLAAKIGDNGDEPRPPTVAPAESLVAGGLLFELLGQSVGDPARDEEDIPIVLRAWQAQNFLITALHVKGSAPNVNVIGVTEVQELLSACGSTGTAPKNIQEVIAHLASRLARWDDRLWRKYVFGAADEIELKFVNRRKHEDLKLLCMIFNQDIRRLATQVIRVKWSLHAREEIIFELLKYLGGSTTKSLLEAIKKDARQMIEEQEAVRGRLFTIQDVMQSTLRAWSQEKSLRITHNLTIFGGCGLVLSIIAGLFGINVDGIPGAENTPYAFALFSALLFLVGLLLIIVGIVYFGLQKPISDEQVQVRKLELQELVSMFQHEAETHARVKEGVLRTDLPPRAADLICDDNGDSRLLVCDC >ORUFI01G24480.1 pep chromosome:OR_W1943:1:21370012:21373916:1 gene:ORUFI01G24480 transcript:ORUFI01G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTMAREMKMSTGENLQAGLLSRTPPFGLRLWVVLGISIWAAILFVLGCICFFLIYWRKRGNRFGDTAEPEIPDITKEIAVDEARNGVAAENVQRQESYTLSLKERQTNKGSRKMLAHFLSCKSSGSHNLVGCSSMYQNDKAQCSYSSDEGTSGHNEREYSQYATMSTSPQIGLPEFSHLGWGYWFTLRDLEDATNGFSDDNIIGEGGYGVVYHGRLINGTDVAIKRLFNNMRAGRKRVQG >ORUFI01G24490.1 pep chromosome:OR_W1943:1:21379494:21379964:1 gene:ORUFI01G24490 transcript:ORUFI01G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAAEGERPRALRGVGEGKMRSRGRRRCRCCGVRINGEELTAVLGLVSKSTRNIVGRLILIQRLEGKKVTWKHQNSEIAISEDDLYRRTALLRMRLAAAHRRQLRTDSPAAASLAHPLQCRG >ORUFI01G24500.1 pep chromosome:OR_W1943:1:21382342:21384612:-1 gene:ORUFI01G24500 transcript:ORUFI01G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVNLSAVVDWVSVVNKLPSLRFLRLFGCQLSSTVDSVPNNNLTSLETLDLSLNNFNKRIAPNWFWDLTSLKLLDISDSGFYGPFPNEIGNMTSIVDIDLSGNNLVGMIPFNLKNLCNLEKLNVAGTNINGNITEVFNRLPRCSWNKLQKLFLPDCNLTGSLPTILEPLSNLSKLELGYNNITGPIPLWIGELSNLTMLGLSSNNLDGVIHEGHLSGLESLDWLILSDNNHIAIKVNSTWVPSFKQITDIELRSCQLGPKFPTWLRYLTDVYNLDISNTSISDKVPDWFWKAASSVTHLNMRNNQIAGALPSTLEYMRTIVMDLSSNKFSGPIPKLPVSLTSLDFSKNNLSGPLPSDIGASALVSLVLYGNSLSGSIPSYLCKMQSLELLDISRNKITGPISDCAIDSSSANYTCTNIVNISLRKNNLSGQFPSFFKNCKNLVFLDLAENQFSGTLPAWIGEKLPSLVFLRLRSNSFSGHIPIELTSLVGLQYLDLAHNNFSGCIPNSLAKFHRMTLKQDKEDIFSGAIRYGIGINDNELVDYIENITVVTKGQERLYTGEITYMVNIDLSSNNLTGEIPEEIISLVALTNLNLSWNSLSGQIPDKIGSLSQLESLDLSHNVLSGGIPSSITSLTYLSHMNLSYNNLSGRIPAGNQLDILEDPASMYVRNIGLCGHPLPNNCSINDDPKIERDDLDNMSFHFSMIIGFMVGLLLVFYFMLFGSRLRSTCFVFVDGLYDRTYVQVAVTCRRLWRRN >ORUFI01G24510.1 pep chromosome:OR_W1943:1:21384683:21387395:-1 gene:ORUFI01G24510 transcript:ORUFI01G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAMGMGRTRCGGAGNGEEVCIHVLIALALLLFTPIISNEASANANSTGGCIPSERSALISFKSGLLDPGNLLSSWEGDDCCQWNGVWCNNETGHIVELNLPGGSCNILPPWVPLEPGLGGSIGPSLLGLKQLEHLDLSCNNFSGTLPEFLGSLHNLRSLDLSWSTFVGTVPPQLGNLSNLRYFRSWF >ORUFI01G24510.2 pep chromosome:OR_W1943:1:21384681:21387395:-1 gene:ORUFI01G24510 transcript:ORUFI01G24510.2 gene_biotype:protein_coding transcript_biotype:protein_coding METAMGMGRTRCGGAGNGEEVCIHVLIALALLLFTPIISNEASANANSTGGCIPSERSALISFKSGLLDPGNLLSSWEGDDCCQWNGVWCNNETGHIVELNLPGGSCNILPPWVPLEPGLGGSIGPSLLGLKQLEHLDLSCNNFSGTLPEFLGSLHNLRSLDLSWSTFVGTVPPQLGNLSNLRYFRSWF >ORUFI01G24520.1 pep chromosome:OR_W1943:1:21390935:21393802:-1 gene:ORUFI01G24520 transcript:ORUFI01G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPTLLTQRATASAILVFLFVSVAQSASSFHPASTTTVTRPAVSGSCIPSERKALLTFKDSFWDRAGRLYSWRGEDCCRWKGVRCDNTTGHVVRLDLRNTDEDDWSNGLILSTSEMSPSIVDLHHLRYLDLSYNDFNFTSIPDFLGSLSNLRYLNLSAANFWGTLPSQLGNLSNLQYLDLAYSELISVRDLSWLMGLPFLSYLDLSTVDLSSERNWVHAVNKLPSLQVLVLSSCGLNNTVSTLSHSNLTHLEVLDLTFNLFSSPLAHNWFWDLTTLKKLFLYDCAWSGPIPDAFGNMSALESIVLHGTDLSGNIPTTMKNLCNLQELDLYDININSSISELMERLPKCSWNKLRKMDLHCANLTGELPTWIGHLASLSYLDLSENMIVGSVPDGTGNLTNLNYLDLSQNSLVGHIPVGIGAFGNLTSLNLGQNSFSGVLAEYHFATLERLEFLDLSSNSLKLDLHEAWIPPFKLKKGYFESCDLGPQFPSWLRWQTDIVVLDISNTSIKDDLPGWFWTVSYNAYELYLSSNQLGGALPEKLELPSMQAMDLSDNYLSGKLPANLTVPNLMTLHLHHNQIGGTIPACLCQLRSLRVINLSYNQLTGEIPQCSVDQFGFSFLVIDMKNNNLSGEFPSFLQNAGWLLFLDLSYNKLSGNVPTWIAQRMPYLEVLILRSNMFCGNLSNQLNKLDQLHFLDVAHNNISGSIYSSIRSLTAMKYSHTSGLEYLHTSWLDDYTGASISMSIKDQELNYTFQSTNNIMLIDMSYNSFTGPIPRELTLLKGLQSLNLSGNQLSGTIPNDIGILRRLESLDLSYNDLVGEIPSSLSDLTFLSCLNLSYNNLSGRIPSGQQLQTLNNLYMYIGNPGLCGLPLSTNCSTNRTNKIVQNEHDDASHDTTYLYISTSAGFVVGLWIVFCTILFKKSWRIAYFQFFDQIYDKIYVQAAVSKAVLIRKFL >ORUFI01G24530.1 pep chromosome:OR_W1943:1:21395882:21397103:-1 gene:ORUFI01G24530 transcript:ORUFI01G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGPWLGAGAGAAAFPASAQAQMVVQRELVAVGRLPRCRSAPSPFLELPPFFVGSWIEAAGCQQGNVRMPKQCRLVPGSSLARSGEEAGEWWNGGVLGQLSGMVDWKLTGGGASVGYGGSHVPPIDYAFGRGNPPEGIVEVPLLPRQGTLGENLVQLWTDDDGVFWRHNPCEGVVLESSCRSGVVGLVVIGLA >ORUFI01G24530.2 pep chromosome:OR_W1943:1:21395171:21395879:-1 gene:ORUFI01G24530 transcript:ORUFI01G24530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKGLRWDGMHKFVLTVSAVWGRSSSAVPGSERSSGGGARGGGGGCGQAAVVDEAEAAASAEARRLPDLRGAPAVLAEVAALVVAVLAEVAALVEAAAGTVARRLPDLRGVAALAEVADGTVTPFARPRWDEFIRQFFVDQLVPDLRGIFLSRD >ORUFI01G24540.1 pep chromosome:OR_W1943:1:21399356:21402096:-1 gene:ORUFI01G24540 transcript:ORUFI01G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCEAATLEGLQPRMPSAVKRARIRWESNPGWVMLDCRVGDMSGDDNLGAITVAKGTTSTGDGITVAFSAAAPPAISRLLFALHPNKNRQTTDSDSDSDSSDSDSDESDSSARGRVIAAHGNSVLLSCIFNVRDPITPYVASLREELFIYQPARAVDLTRLPPCYHGVINIDGSRNIGILCRNNGEFVVAHLGGMTSVGDGGSGLPITRPVAAKLCKYVGGFWSTNWLRIHHAAGEDQDLCWWETDLAVPFGDSLCWVDYLRGILLCDVFSPIPDFRYVRLPVNPYPGNDLESCPTPFTITSWTLTSDRLSWIKDASLDANVFFSLASNEHLPQIVPEFPLVDMEDPNVIYFALPLEEGSNDKAAFVALDMVRRTLGLRNTYTLRSTLKPGDDNSSTTSCNLFGNEPFLPFEFSNYLNLDAAYNRRKIVVWSLGRGSRAGLDHLIPGIPQGDEEHDRGESTGRVAQSCDGLCGHAARGGIGNDACSTEGAKTYYTLQDDKAIHSASTGGRVLAQKIGPKTPLPTVQGSYFA >ORUFI01G24550.1 pep chromosome:OR_W1943:1:21406972:21414414:-1 gene:ORUFI01G24550 transcript:ORUFI01G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALDESVLDLIFCETQKVNNYFIRFTMLITAGSNNGFQRVVDVGSGLSASRQAGRLLHSLWWRPRRLELALRAQGLRGTRYRFLTGDLGEHGRLNREAWARPLPLRCHDIAPRIKPFLHGTVVREQHGKRRQPCITWFGPTPEVNITDPELAKVVLSNKFGHLERVRFKEVSKLLSQGLSYHEGEKWVKHRRIINPAFQLEKLKLMLPAFSACCEELISRWIGSIGSDGSYEVYCWPEMKSLTGDVISRTAFGSSYLEGRRVFELQAEQFERAMKCMQKISIPGYMSLPIENNRKMHQINKEIESILRGLIGKRMQAMKEGESTKDDLLGILLESNTKHMEENGQSSQGLTIKDIVEECKLFYFAGAETTSVLLTWTMLLLSMHPEWQDHAREEIMGLFRKNKPDYEGLSRLKIVTMIFYEVLRLYPPFIEIGWKTYKEMEIGGVTYPAGVSIKIPVLFIHHDPDSWGSDVHEFKPERFSEGISKASKDPGAFLPFGWGPRICIGQNFALLESKMALCLILQRLEFELAPSYTHAPHTMVTLHPMHGAQMKVRAI >ORUFI01G24560.1 pep chromosome:OR_W1943:1:21421020:21451988:-1 gene:ORUFI01G24560 transcript:ORUFI01G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENGHRVSGIAAIMGIGKAVPAHVFPQKSFPDYYFDISNSNHMVDLKAKFTKISASEESLSLAVLSPSPLITITQAKCAYRSASGKNMRTMIEKRHLYTSDDLLRSTPSITAYNSTSLTLRQELANHGEVVPGTRDAVVSELREEGIVFTLHRDVPRQIGDSIGRLVERALLRQQQPANASIGAADAAAPDLNGMFWVVHAGGREILDRMESKLGLGKEKLEASRAVMAQYGNTRSSCVVLVMEEMRRRSEERGLRTAGEGLDMGMLVGFGPGLTVETIGEKWAKHRRILNPVFQLEKLKLMLPVFSACCEELISRWMGAIGSDGSYEVDCWPELKSLTGDVISRTAFGSSYLEGRRIFELQGELFERVMKSVEKIFIPGYMYLPTENNRKMHQINKEIESILRSMIGKRMQAMKEGESTKDDLLGILLESNMRHTEENSQSSQGLTIKDIMEECKLFYFAGADTTSVLLTWTILLLSMHPEWQDRARIEILGLFGKNKPEYDGLSHLKIVTMILYEVLRLYPPFIELKRRTYKEMKIGGVTYPAGVIINLPVLFIHHDLEIWGSDVHEFKPERFSEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCLILQRLEFELAPTYTHAPHTMITLHPMHGAQIKIRAI >ORUFI01G24560.2 pep chromosome:OR_W1943:1:21423604:21451988:-1 gene:ORUFI01G24560 transcript:ORUFI01G24560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENGHRVSGIAAIMGIGKAVPAHVFPQKSFPDYYFDISNSNHMVDLKAKFTKISASEESLSLAVLSPSPLITITQAKCAYRSASGKNMRTMIEKRHLYTSDDLLRSTPSITAYNSTSLTLRQELANHGEVVPGTRDAVVSELREEGIVFTLHRDVPRQIGDSIGRLVERALLRQQQPANASIGAADAAAPDLNGMFWVVHAGGREILDRMESKLGLGKEKLEASRAVMAQYGNTRSSCVVLVMEEMRRRSEERGLRTAGEGLDMGMLVGFGPGLTVETIGEKWAKHRRILNPVFQLEKLKLMLPVFSACCEELISRYLPTENNRKMYQMNKEIESILRGMIGKRMQAMKEGESTKDDLLGILLESNTRHMEVNGQSNQGLTIKDIMEECKLFYFAGADTTSVLLTWIMLLLSMHPEWQDRAREEILGLFGKNKPDYDGLSRLKIADHGQ >ORUFI01G24560.3 pep chromosome:OR_W1943:1:21421020:21444887:-1 gene:ORUFI01G24560 transcript:ORUFI01G24560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPASSPTILVAFGLVGLVLAWQAGLQLHRLPLPLRCHDIAPRIEPFLHDAVVRPEQHYGKPCITWLGPTPEVHVTDPELAKVVMSNKFGHFEKIRFQALSKLLPQGLSYHEGEKWAKHRRILNPAFQLEKLKLMLPVFSACCEELISRWMGAIGSDGSYEVDCWPELKSLTGDVISRTAFGSSYLEGRRIFELQGELFERVMKSVEKIFIPGYMYLPTENNRKMHQINKEIESILRSMIGKRMQAMKEGESTKDDLLGILLESNMRHTEENSQSSQGLTIKDIMEECKLFYFAGADTTSVLLTWTILLLSMHPEWQDRARIEILGLFGKNKPEYDGLSHLKIVTMILYEVLRLYPPFIELKRRTYKEMKIGGVTYPAGVIINLPVLFIHHDLEIWGSDVHEFKPERFSEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCLILQRLEFELAPTYTHAPHTMITLHPMHGAQIKIRAI >ORUFI01G24560.4 pep chromosome:OR_W1943:1:21421020:21423594:-1 gene:ORUFI01G24560 transcript:ORUFI01G24560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGGWLLMWAPASSPTILVAFGLLFGLVLAWQAGLQLHRLWWRPRRLEKALRARGLRGSRYRFLTGDLGEHGRLNREAWARPLPLRCHDIAPRIEPFLHDAVVRPEQHYGKPCITWLGPTPEVHVTDPELAKVVMSNKFGHFEKIRFQALSKLLPQGLSYHEGEKWAKHRRILNPAFQLEKLKLMLPVFSACCEELISRWMGAIGSDGSYEVDCWPELKSLTGDVISRTAFGSSYLEGRRIFELQGELFERVMKSVEKIFIPGYMYLPTENNRKMHQINKEIESILRSMIGKRMQAMKEGESTKDDLLGILLESNMRHTEENSQSSQGLTIKDIMEECKLFYFAGADTTSVLLTWTILLLSMHPEWQDRARIEILGLFGKNKPEYDGLSHLKIVTMILYEVLRLYPPFIELKRRTYKEMKIGGVTYPAGVIINLPVLFIHHDLEIWGSDVHEFKPERFSEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCLILQRLEFELAPTYTHAPHTMITLHPMHGAQIKIRAI >ORUFI01G24570.1 pep chromosome:OR_W1943:1:21438884:21440660:1 gene:ORUFI01G24570 transcript:ORUFI01G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIANT >ORUFI01G24580.1 pep chromosome:OR_W1943:1:21495989:21496629:-1 gene:ORUFI01G24580 transcript:ORUFI01G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSRAANAFTVATACTGGLCAIVGVEEGERKREEKVKRERKRNHIYMTNKTNYAPYIPQTMMHGSFMTSVRLGEGYGSDVR >ORUFI01G24590.1 pep chromosome:OR_W1943:1:21504901:21505832:-1 gene:ORUFI01G24590 transcript:ORUFI01G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNKPLRTIEVLEITEEEAVGREEDLLALAQLAGILAFIFFLLSESALVKVHLYSPFAHLPTLPVV >ORUFI01G24600.1 pep chromosome:OR_W1943:1:21519694:21525159:1 gene:ORUFI01G24600 transcript:ORUFI01G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACFGGVVEGRQGMMVSSTQQQQLQLQRKGKAVAEKGGAAGAAAAEKVVVAVRAATREISKTALMWALTHVVQPGGSILLLVVVPSHSSGRKFWGFPLFAGDCASGNKTMLDQKDISELSSQMMDKLKNVYDPNKINVKTKVVSGSPPGVVAAESKRAQASWVVIDKELKHEEKHCVEELQCNIVVMKRSQPKVVRLNLVGSPDKDSKVSCSLPPMLDSSVGKTTTDVKEPRTSIRGPAVTPNSSPDLETTFESTEAGTSSVSSSDPGTSPYSASDTNGSMKKEAPATKDRVQHLDINISDSDSETLSPPASFSLQPWMVDILQGSASSRTHGKGPRKARTATADALLENISKLDLLNEISSMRSRSDLNFRGNVRDAVSLARSAPPGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGLCVEDRRRLLVYEYICNGSLDSHLYGRNKETLQWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDYEPLVGDFGLARWQPDGDMGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPFLEEYAIDELIDPRLGDRYCENEVLRILEGDMVVDSGSVSAPSSDSGSRSWRMLNEQQNCRDWSPARQDSHRVVEGKNSYDALRAAWDRNKQSVSHRY >ORUFI01G24610.1 pep chromosome:OR_W1943:1:21533140:21536815:-1 gene:ORUFI01G24610 transcript:ORUFI01G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKNQFDLLVDVDNDDPSHLIAAAEKKAAAAAASPKPAAQAKLPTKPPPPAQAVKESRNYGAPAREGAGRNGPGRGSGGFGGGRIGQRRDFGEGDTNGVEGGYGASGFGDGIVRREEGEHRPSERGHGPRQPYRGGGRRGGYTGGEAGDESGRAPHRAYERRSGTGRGYGMKREGAGRGNWGTVTDEALAQESGEAVSIEVSVTVTEENKQEDVPQSDEVEKHKEGESNEEEEKEPEDKEMTLEEYEKVLEEKRKALLSLKVEERKVVVDKELQSMQQLSVKKDSDEVFIKLGSDKDKKKENVERDERTRKSLSINEFLKPAEGERYYSPGGRGRGRGRGRGDRGGFRDGYSSRGPVAAPRIEDQAQFPGLAGRLVH >ORUFI01G24620.1 pep chromosome:OR_W1943:1:21538793:21543734:1 gene:ORUFI01G24620 transcript:ORUFI01G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNADSIKLKVTIINCIIKTCRRFGQPGYEPINRASELEGAAMELTAGAMSLLLKKVCELLMAELNLDKKLTKSIGDLRTELTMMHGVVRWIGEVPPEQLDGQVRLWARQVREISYDMEDAVDAYLVRVADGEPEAAKQNRRLSESLKRAARLFTKGRALHQIAGAVEEAQGRGKSLSDLRQKYGGLKLHGAGEGCAAIDPRLTALYVEVAKLVGVDKARDELSELLLSSSGGSMQQQQQLRTVSVFGFGGLGKTTLARAVYESIREQFDCAAFVSVSRNPNITKIFRKLLFELDREQYSDINDLDRDDVQLIDELRSFLQSRSRIITTTRKINVSKACCSSGDDKIYEMKRLSDDDSKKLLYTRIFTHENNCPHELKQVSTDILKKCDGVPLAIITIASLLAGNNNRPIKTKDQWHNLLNSIGRGLTVGEGVDDMQKILSFSYYDLPPHLKTCLLYLSIFPEDYEIERDRLIWRWIAEDFVQCENNWDNLFEVGESYFNELINRSMVEPVGIDFEGRAQACRVHDMMLDFILPLSKEENFITIIDDSEHRTSWQHKNDNKIRRLAIQNTCRMAEEATASSMSQVRSFTLFRPGVNSMPSLSLFQVLRVLDLEGCDLSKFSNLNLRHVGKLSHLRYLGLRRTYIAELPTEIGNLKVLQTLDIRGAHGIRELPPAITGLRQLMCLRLDWDTRLPRNGGLATLTSLEEMTGLRVRRDSADGVVRELRCLKKLRVLRLQWGEMEHGAGRAVVGALGELQGIQSIEIYAYGGGGGGVGNVGDGWVPPACLRRFVSNGPTSAFSALPAWVRCSPLPRLAFLDVWVDRVRRGDIGVVGELPALQSLRLRATGRIDARPAVERFAVRAGAFPCAAACALLHFVTAPSMFPRGAMPRVRRLSFSLRAWDFAAGGDGGGGGGLRLGLRDLGMQNLPSLEDVRVEVWYKNTGDGGGSAVTRKVEEALRRVAAVHPNRPAINIRRRKMTTGSAQSDSSTLSI >ORUFI01G24630.1 pep chromosome:OR_W1943:1:21552315:21554540:1 gene:ORUFI01G24630 transcript:ORUFI01G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSYCGNYGHNSRTCSSSASAGHRDTTMLCDGGDGGGGSGLRLFGVQVHVAAGGGGGGGGGGLPMKKSYSMDCLQLAAAGAAPGSLVSPSSSSSSSMLLSIDEGGLERASNGYLSDGPHGRIVQERKKGVPWSEEEHRLFLVGLEKLGKGDWRGISRSYVTTRTPTQVASHAQKFFLRQSSIGKKKRRSSLFDMVPICENGARVSEQLSGEGAAAAAAASTSLSLMNTHETSSDRVAAIDLNSTEEDDTVGASGRPFFPVVLMEQQQQASHGHGHHHHCTPLDLELGMSVSSTPSIGT >ORUFI01G24640.1 pep chromosome:OR_W1943:1:21562994:21567373:1 gene:ORUFI01G24640 transcript:ORUFI01G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSSSCCLVLITMITVAMITANSYVHAQRGSGGGGKCIAAERAALLSFKAGITSDPAKLLKSWRGDDCCSWSGVREREERFLTRCSNETGHVVGLDLRDAFFLSNETFVWCFSGVAPNGMLGEISSSLLALKHLKHLDLSGNYLGGVGLPMPSFLGSFKSLTYLNLACMNFDGRLPPQLGNLSRLQHLNLATYQENTMRPGDVSWLRHLGLLRFLDMSGLNLTSNGDWVRLVTGLSYLKVLQLGGCGLSLPHEPTAHSNISSLEILDLSSNRVDTINPAYWFWDVRTIRELQLGRNQITGPSPAAIGNMTSLEVLTLGGNYISGVKSEMMKNFCNLRWLELWSNEINQDMAEFMEGLPRCTKSSLHILDLSATNITGGIPSWINHWRNLRSLQLSANKLEGLIPLEIGKMTNLSTLYLDNNQLNGSVSEEHFASLASLENIDLSYNSIHITINSDWVPPFSLYQALFARSKMGPHFPLWLKGQSNVYFLDISDAGITDNLPDWFWTVFSNVKYLNISCNQISGTLPATLEFMTSAMTLDLNSNRLTGLLPQLPQYLRTLDISNNSLSGPLPLIFGAPMLTQLVLSINKINGTIPSYICELKYLEVLDLSDNFLVGKLPRCSNGSEAKQELNMSPDSTQMQLSALILYNNDLSGKFPEFLQHCQELTLLHLAHNKFVGELPIWIAEKLPRLSYLQLRYNLFSGSIPVQLTKLENLRYLDLAYNRISGSIPPTLGGLKAMIQGNSTKYTNPLVWNYYRPRNPNDFNDGYYVKYHNSLLVVVKGQELYYTSTLIYMVGLDFSCNNLGGDIPEEITSLVGLKNLNFSHNHLTGNIPEKIGLLRYVESLDLSFNMISGEIPSSLSDMASLSYLNLSFNNLSGRIPSGNQLDTW >ORUFI01G24650.1 pep chromosome:OR_W1943:1:21572151:21572801:-1 gene:ORUFI01G24650 transcript:ORUFI01G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLVDAGALGDAAAVVMASRKRTSKRVCNCLPVNEVPFYSWLCDCRHTIPAKQRCGFDTIIEWLRVFEHRQRSGTEGAKAMSEEATLWRLARTAIPQLGLGHTIVLGDQ >ORUFI01G24660.1 pep chromosome:OR_W1943:1:21572331:21574156:1 gene:ORUFI01G24660 transcript:ORUFI01G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSNPHRCFAVLFRDAITTAAASPKAPASTNGCIAAERDALLSFKAGITRDPKKRLSSWLGENCCQWSGVRCSNRTGHA >ORUFI01G24670.1 pep chromosome:OR_W1943:1:21573577:21576846:-1 gene:ORUFI01G24670 transcript:ORUFI01G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAHVRDSSSFGRGGGEEEVPVAPAGDELAGSGLKPLLSAKSRRMGAPAGWRAGKRRRNSGLEETTTRTRRHGGEGRGGEAEKDLLDVLVTEGIDGGVARFLSFSGGGSLRLSMAVVGNGDCRIRELGTILCKGITTKTWVADRPFEAITSMVAITSKVKAFQQS >ORUFI01G24680.1 pep chromosome:OR_W1943:1:21580039:21585342:1 gene:ORUFI01G24680 transcript:ORUFI01G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHDEVPLEAVVVDSIFSHIQAGGAAEVAAGSAGRSRRWDAADEWSGGAAGDQDDGHCATAGSSGDEGDDDTDEEERIPSGGAGARAQLLAHCRCLPSLPPAAAARAQLFPLWPPPVPSSSVVVADAHLFPRRPCARGCAQLTKPSSLGGRRHARLTGHPPPAAGARHSSPVAVGFTARRSSPPPPPSPSPATEARACGSDPTQPPPPAKRRRTTRRVVGIDSLGEDLLLDILLRLPTLASLRAAVASSPSFRRRFRDLHPPPFLGAFGDPDGHDGLPVFLPARRTRDRDRGGDFLLTCLQDPDHDAPLRWRVADCRDGYLLLFNSDAGLVATVNPMAPRMTDLIKTPFRINNSASNGAIQDESLPISLDVHLISSEEDPMSFQLVWLCHDEFRVQVSVFSNDTRDWCFLPWVDIEERVSSPDVPQDGTKYWLMSGMQANGLIFWPFQNGKHMLVLDTGTMEFSVFDKKQGVQGWRFVDGVNCDDEADQLGINGGLDVVAIKDGFVYLAATGMILSLCLETRKLEKLFPMSFQFPLHPYIMAWPPTLVGNYGSFAEIQDDISNHHRQTQGKQRSHPPSMDASAASMLWTLIIILAAAAAASSVHPPQQQSYGVGCIAAEWAALLSFKEGVMADPLRLLDSWQGAGDCCRWNGVGCSNRTGHVVKLDLRNTLYWDDQRQVRLDNPHAMRGQVSTSLLALRRLKYLYLSGNNLGGPGIAIPSFLGSLESLVYLNLSCIDFFGEVPTQLGNLSRLSYLDVGSMYYSGQIFSSDLSWLGRLSSLKYLDMSGINLSMVSDWAHVVNMLPNLRVLNLELCQLTRSNPPLLHSNLTVLEKLVLSSNNFYGPLATN >ORUFI01G24680.2 pep chromosome:OR_W1943:1:21580039:21585342:1 gene:ORUFI01G24680 transcript:ORUFI01G24680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHDEVPLEAVVVDSIFSHIQAGGAAEVAAGSAGRSRRWDAADEWSGGAAGDQDDGHCATAGSSGDEGDDDTDEEERIPSGGAGARAQLLAHCRCLPSLPPAAAARAQLFPLWPPPVPSSSVVVADAHLFPRRPCARGCAQLTKPSSLGGRRHARLTGHPPPAAGARHSSPVAVGFTARRSSPPPPPSPSPATEARACGSDPTQPPPPAKRRRTTRRVVGIDSLGEDLLLDILLRLPTLASLRAAVASSPSFRRRFRDLHPPPFLGAFGDPDGHDGLPVFLPARRTRDRDRGGDFLLTCLQDPDHDAPLRWRVADCRDGYLLLFNSDAGLVATVNPMAPRMTDLIKTPFRINNSASNGAIQDESLPISLDVHLISSEEDPMSFQLVWLCHDEFRVQVSVFSNDTRDWCFLPWVDIEERVSSPDVPQDGTKYWLMSGMQANGLIFWPFQNGKHMLVLDTGTMEFSVYEFPIYSKLVQGCSFGVGETKDGIPCIAYVNGATIVVLIRRFDKKQGVQGWRFVDGVNCDDEADQLGINGGLDVVAIKDGFVYLAATGMILSLCLETRKLEKLFPMSFQFPLHPYIMAWPPTLVGNYGSFAEIQDDISNHHRQTQGKQRSHPPSMDASAASMLWTLIIILAAAAAASSVHPPQQQSYGVGCIAAEWAALLSFKEGVMADPLRLLDSWQGAGDCCRWNGVGCSNRTGHVVKLDLRNTLYWDDQRQVRLDNPHAMRGQVSTSLLALRRLKYLYLSGNNLGGPGIAIPSFLGSLESLVYLNLSCIDFFGEVPTQLGNLSRLSYLDVGSMYYSGQIFSSDLSWLGRLSSLKYLDMSGINLSMVSDWAHVVNMLPNLRVLNLELCQLTRSNPPLLHSNLTVLEKLVLSSNNFYGPLATN >ORUFI01G24680.3 pep chromosome:OR_W1943:1:21574875:21582339:1 gene:ORUFI01G24680 transcript:ORUFI01G24680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MINEPENLETWFLFGEALENGFGAFDVFGLFHYSISCVLQGQQLEYSKGLVYLVGLDFSSNKLSGHIPKEIGSLVELVNLNLSWNQLAGNIPDQIGELHQLTSLDLSYNQFSGEIPSSLSNLTFLSYLNLSYNNLSGRIPRGHQLDTLNADDPSLMYIGNPGLCGYPLAKNCPENGTSQGQTVKSHHDGSFCAGLSVGFVIGVWMVLASLLFKKSWRFSYFHHFDRQYDRLNATARAAGDGGSRVAARGFRAARGYGHHHISTTPPSVAAIGDGGTLQWAIQNFIRASDYITLLHVCPPARLRSLRLGGFQLALAFRELCNGIAEAKVEIVVREGKVGETVVVTVNQLAATTLVVGLHDKIPQLN >ORUFI01G24690.1 pep chromosome:OR_W1943:1:21579646:21580523:-1 gene:ORUFI01G24690 transcript:ORUFI01G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAGVSAAAEGGRLGQLSTAARAWAAGEEMGVGDNDRGAGHGRGNSWARAAAAGGRDGRQRQWARSWARAPAPPDGILSSSSVSSSPSSPLDPAVAQWPSSWSPAAPPLHSSAASHLRDLPADPAATSAAPPAWMWEKMESTTTASKGTSSWAAAIVDLAAPRALHAGARGRGCWPAECGGQTTAWWRTSFELPEGEGELEAAEA >ORUFI01G24700.1 pep chromosome:OR_W1943:1:21585424:21588207:1 gene:ORUFI01G24700 transcript:ORUFI01G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALQVLDMQDNDNITGMFPPTLKNLCNLQEVFTGTNLSRDITEQMERLPKCAWDKLQALNLDATNMTGNLPVWLGNLTNLKDLSVSGNQLSGPVPLGLGALTKLTILYLGHNNLTGIISEDYLANLCNMVILDLSYTSLEVVVGSTWTPPFKLIRAQLVSCQLGPGFPILFKHQKGIIYIDVSNAGIADAIPSWSNMFSGQIPSEITELEDLQYLDLAKNNISGIIPQSLATLKGMSSENQDPRQTGLNGPFVQTSE >ORUFI01G24710.1 pep chromosome:OR_W1943:1:21595381:21596016:1 gene:ORUFI01G24710 transcript:ORUFI01G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRMTDFINMPFRINDSAANNAGAADATGQEEESSPISLDLHLICSEEDPMSFQLVWLCHDESRVQATVFSNGTNGWCHLPWVDIEARASPVAPHDGNKHWLKPGMQANGLIFWPFKNKEHMLVLDTNTMKFTVHEIPVFSEVQQGCSFAVGETKDDVPCIVCVVGTTVSVWMRKFDEKGVERWRFADSILSSEEANQLGIHGGLKVVTL >ORUFI01G24720.1 pep chromosome:OR_W1943:1:21597771:21599725:1 gene:ORUFI01G24720 transcript:ORUFI01G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQTGGKGGLARAGCGARRAAREALAQLAVGQLGQGQEEDVLGREKEGEDGERKKKKKGRKTSTACSAERLSHVKSSTRTSSSRPAPWWRRSRMAAAVAVTSSPPRPSTSPRLSWSSWEADELEEAATAPRPSTSRRRRPASPRAAIATSVEAVVLAPGRRPRSVRAEVVAIAPRPPPAEPAAVAPRPPPAEPAEPTAIAPRPPPAESVPKPPPAVAAPPPPPPPPAFSPPAHSGVMVRMVTWERRTERVMVPIL >ORUFI01G24730.1 pep chromosome:OR_W1943:1:21600930:21601780:1 gene:ORUFI01G24730 transcript:ORUFI01G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKMARPISLDVGSSLPLNLRADHLRRLMQQLDKLLQAPARRSQRGRGRCAGIYPSPSLEHGTSEEELWRRCSQRRAVQRCRWQWRRRCGVVLLVWRSQREETRGHGRQCQHHSPCRVRMARQSPEKLIELLHEATEMTSTKIERKRRSDIERNGASHLTLHGASEFFTVLARLEHADGMLDNKYMNREM >ORUFI01G24740.1 pep chromosome:OR_W1943:1:21603718:21604467:-1 gene:ORUFI01G24740 transcript:ORUFI01G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQRERPREDRVHEETRGADHAHPSVPHAAAAASATATATATRTMSLHAGGVVVVDGKEKGKKEEGEGKRKGKAPAAAEAVRGRARLRGEQLRQLHEIFLRFDLDGDGSLTKLELAALLRSLGLRPAAGDEIHALIAAIDADGNGTVEFDELASSLADLILGPCRPSVAVDQAELAEAFRAFDRDGNGFISAAELARSMARMGHPICYAELTDMMREADTDGDGLISFEEFTAIMAKSALDFLGLAAL >ORUFI01G24750.1 pep chromosome:OR_W1943:1:21606169:21606627:1 gene:ORUFI01G24750 transcript:ORUFI01G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQRSGRGVAGDAAAVGEAKGDNGGRGAEPPHLSHPRRVGLVPPPPVAGVSARLCHHRRRRGAATTAAAASLLDEDVGNNAATTTTTTTGPRRRRRRSALRGGRRPGRRGGWGKDYARVAAVSGNAQQHGSVYWKHVAAAVLASLDLART >ORUFI01G24760.1 pep chromosome:OR_W1943:1:21606715:21607278:1 gene:ORUFI01G24760 transcript:ORUFI01G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSSFMASLLVGGYAYIDKTDGAWMNLKTDRALRQRRAPIGGVAGGVAGGPGRVGGGAGVESGDGGGGDRGGRRGGGVLDGDGDDPCPRATSADASEPSEADPAATAASASSAGRFDAMRCREGRGSRSPPSSNLHPAAAQHQSPATPRHRLSATRRAAKRRLGCPPTPLSPLAVGAAWLPLSLW >ORUFI01G24770.1 pep chromosome:OR_W1943:1:21608132:21611829:-1 gene:ORUFI01G24770 transcript:ORUFI01G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEGSTGGGGGGGDPQRLKRIGAAAYDYENDSRWAGYWSNVLVPPHLASRPDVVDHFKRKFYQRYIDPDLIVEPMSSTSSSQSTKPAARSSATPSNENVRARDSGSSARSTAASQPSQTERTANSLWLDGRTIHFSINAWVLVVASLGILPILPKHIASKAYRLSLLGTICSSAYSLYVTYGKPRAWNMAAIQPWLQSIIVAKDFVHLMFSLMMFTSNVHYKIALLPVLCWALDHVARFLRRNFARSSLKYLEEPCLWVETNNTTLSLLCSNAEITLGFLMIVSLFSWRRNIIQTFMYFHLLKLMYHAPVTSGYHQSAWARIGRAVNPYIHRYAPFLNTPISAVQRWWLR >ORUFI01G24770.2 pep chromosome:OR_W1943:1:21608132:21611829:-1 gene:ORUFI01G24770 transcript:ORUFI01G24770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEGSTGGGGGGGDPQRLKRIGAAAYDYENDSRWAGYWSNVLVPPHLASRPDVVDHFKRKFYQRYIDPDLIVEPMSSTSSSQSTKPAARSSATPSNENVRARDSGSSARSTAASQPSQTERTANSLWLDGRTIHFSINAWVLVVASLGILPILPKHIASKAYRLSLLGTICSSAYSLYVTYGKPRAWNMAAIQPWLQSIIVAKDFVHLMFSLMMFTSNVHYKIALLPVLCWALDHVARFLRRNFARSSLKYLEEPCLWVETNNTTLSLLCSNAEITLGFLMIVSLFSWRRNIIQTFMYFHLLKLMYHAPVTSGYHQSAWARIGRAVNPYIHRYAPFLNTPISAVQRWWLR >ORUFI01G24770.3 pep chromosome:OR_W1943:1:21608132:21611829:-1 gene:ORUFI01G24770 transcript:ORUFI01G24770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEGSTGGGGGGGDPQRLKRIGAAAYDYENDSRWAGYWSNVLVPPHLASRPDVVDHFKRKFYQRYIDPDLIVEPMSSTSSSQSTKPAARSSATPSNENVRARDSVASLGILPILPKHIASKAYRLSLLGTICSSAYSLYVTYGKPRAWNMAAIQPWLQSIIVAKDFVHLMFSLMMFTSNVHYKIALLPVLCWALDHVARFLRRNFARSSLKYLEEPCLWVETNNTTLSLLCSNAEITLGFLMIVSLFSWRRNIIQTFMYFHLLKLMYHAPVTSGYHQSAWARIGRAVNPYIHRYAPFLNTPISAVQRWWLR >ORUFI01G24780.1 pep chromosome:OR_W1943:1:21630942:21631875:-1 gene:ORUFI01G24780 transcript:ORUFI01G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKLDLPVPGGPYRSGQDVREVRVEGLVLVLLVEAHLEGPDLEPVVAVPATAVAVAVAAAGVVAADEAPPELGALMDVGHLLALLHAEHHALGLVDAADPEALHVGVDPGGEVRPDGVVELVVGPALGLLDDDHVLGRHARPQVPDAGEEQVPHLVRHQLVHDAAHQHRRRRQGRRRGDGPLVRLDGLVVGRHGWLAVRRACCCCWLWYVSENLLSFQTKCLVVVLSGRMLVMVGVE >ORUFI01G24790.1 pep chromosome:OR_W1943:1:21630953:21632941:1 gene:ORUFI01G24790 transcript:ORUFI01G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKAIESYKRAVTTAASLAASAMLVRGVVNELVPYEVRDLLFSGVGYLRSRMSSQHMVIIEETEGWTNNQLYDAVRTYLATRINTDMQRLRVSRVDETKSMMFSMEEGEEMADVHEGSEFRWRLVCRDNSSSSNGNGNGRGGNGNYRLEVRSFEMSFHKKHKDKALNSYLPHILATAKKIKDQDRTLKIYMNEGESWFAIDLHHPSTFTTLAMDHKQKQSVMDDLERFIKRKEYYKKIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCTLELQQREEGQESSKSNPSEDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYKERLDPALLRPGRMDMHVHMGYCCPESFRILASNYHSIDNHATYPEIEELIKEVMVTPAEVAEVLMRNDDTDVALEGLIQFLKRKKDVGKEGKAENVEQVVKAEETEKGMTKKNDVPENQDPQDASK >ORUFI01G24800.1 pep chromosome:OR_W1943:1:21632923:21635614:-1 gene:ORUFI01G24800 transcript:ORUFI01G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGELRRTVGDPGRGRPQIGAQREHVRSLPAASTATSSGGHNGKGLPPPPRLRPAIASSRVSFRRGFLEARGGKKLRVSCLRRILAVSACSGEADSDAGAAPAESTFDLNLPRRSLLVQFTCNACGERTKRLINRVAYERGTIFLQCAGCQVYHKFVDNLGLVVEYDLREENGMNTDTET >ORUFI01G24810.1 pep chromosome:OR_W1943:1:21635341:21638909:1 gene:ORUFI01G24810 transcript:ORUFI01G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAARLNLRMQKEVKLLLNDPPHGVSLNLSGDESALSSLLSFEARIQGPDETVYSKGVFVLKIQIPERYPFQPPNVTFVTPIYHPNIDNGGRICLDILNLPPKGAWQPSLNIATVLTSIGLLLSDPNPDDGLMAEISREYKYNRQVFDINARSWTEKYANPSAIGASGWSSVDVSTLAPNIQEEKLSLEPLPKASNKNCDGSRKKMRLLSQKLSLKSVPEENTTTGKKDLVTNHLSSTARPTDPTACLSDVSGKLNDTSESISVIADSAVTSKKEYQGTRRNLQLLGQGLPVTSEGPSKNSNGSVEDKLPNHLLASASSNTKHPVMGSSDNALEKSSAKRIGESLDSLYKASEGDGTNVRSLGQKLSLKSAKPESKSNVQKENMAPNHLPSLSGFSNLDKRQSHVISGGNSIGHTNLVQQNSNNENVLPSTQLIPSKECNQGRKKLHLLSKRLSLKSELPADKTIEKEYMQTDCSQNNRKPNELPLSAPVLKNQILGTIDPQKDARQSKSSIQQNTTPVERIVVSDSEDSADECERPSRSRLSLMRRRLAGKPRS >ORUFI01G24820.1 pep chromosome:OR_W1943:1:21639051:21643218:1 gene:ORUFI01G24820 transcript:ORUFI01G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDALHRLCLLVCVLIGAGCSQSPRHQRLPATTTTTAGNVQRRPPGVAGALGSPLIGHDGRLIACSEKKSLVAFERNGSIAWMVTLGHTCKEGISPVAERDEIYLVAEDNKVIKITPKKLHTADPPSEVFFSYNATPGRSEEIIGLSISGSSSSLFLTIRNRGLFAFSLHAELQWSAGPVADLVSRLGCKTNISGCYFNSPPVVDRCEGTLYVSNTEGQLYSLYIKSGQYRWIQDLGSLDKVMNIVPGNNGLLYIVLPRKSIVMGLDVLTGNISWQQTIGPLSNEKILPPVDSNGWISVGSLDGTLYSISPNGDIRRFPERTTPGSVIHASPVLDCSGFSVYVSQTIMEAKSNQTIGDSTSLSVMKSSSTLLTLLTPANGTIHWTGNYPGELSDFLSSTDLNDFALDETIVLRLFSAARIGSTTQCYTRSMFLFSGDRNIRLVLFFHFIVIVIAIVNCFCCIFWRKKKLQKNGLKKFLEKRHSLHTKRKILGKRISELEQKTVHDASSNEALGQLGETVNAKECIERKLCSSYSLGRDMLGLKHDSILPLNSTKYKSHSFRNSREESITVFNTFSGTSSSENGTSSCSGESESCSDCSYGDEMLGTNFQSAAQEAGPSNYADRADQVFQDECVSDIKSTNPHKEEYLMEAMHDKAPSKRMYLKRRRTFPSSKQNI >ORUFI01G24830.1 pep chromosome:OR_W1943:1:21643187:21646532:-1 gene:ORUFI01G24830 transcript:ORUFI01G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSTRASAAGGQPWSSAAPAPASAPGRGGARREILTNHHHHGLKEKMRALTLFYEQHKQQLASSQGGGARGRRSIQYAVGEVGGDENGRNAEEEDDVGRKRHDAVPAAVLRENMAPPEERAPPPPPAPPPKSSHVVVFSRQADPTEKENVSHGGIATMSCPIKKAAPALPAPAARKLSLGGGMAARLKTAGEAGAGNGDAAGSRIMVFVRLRPMSRKEKDAGSRSCVKIVNKKDVYLTEFASETDYLRLKRVRGRHFCFDSSFPDTTTQAEVYSTTTSDLVEGVLQGRNGTVFCYGATGAGKTYTMLGTMESPGVMVLAIKDLFTKVRQRSHDGNHSIQLSYLEVYNETVRDLLSPGRPLLLREDKQGTVAAGLTHYRAYSTDEVMKLLQQGNQNRTTEPTRVNETSSRSHAILQVIVEYRSIDGGSIVTRVGKLSLIDLAGSERALATDQRTQRSIEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGSCNTVMIANISPSNLSFGETQNTLHWADRAKEIKTKALTTANEEVLRVTDSETDQAKLVLELQKENSELRQQLARQQQKLLTVQAQTLASNASPQQSPAPSAQISTPCSTQRKVKRSILAGNCFNTPDSKRPATENAQVRDLQRKVKAMEAEIEKMKKEHLLQLKQKDEFIRDLINRKTSNVPEAATCERRVATRASVRKAQKDAAAAGELRSPSHRFTSPVPTAKKRTFWDIGGNSPSTLAVNGRKTRSHVAAETPKGTSMLLQPGFARQRAIH >ORUFI01G24840.1 pep chromosome:OR_W1943:1:21647776:21653994:1 gene:ORUFI01G24840 transcript:ORUFI01G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGIGATAGLAACRSAAAITAVRYRLADKDLLVLVTSDKELVHMHDEYDRLRPKSRPVHTDGLESQAHVSEAQQAGTRQRGSFSLSPSHRKRKQRRPLLSSPDDASPLAADPLVSRHPTMATGGGGGGDIGADSERRLKKAMDKLYHFPKPKAGTGPGSSKPSSASTSSALSIGRAGKAAGAGGRRFGMVRGSRLPSQLAAMSAISPPPPCRPWDRADLMRRLATFKAMTWFAKPKVISPVNCARRGWINIEPDVITCEACEARLLFSTPSSWAPQQVEKAAAVFSLKLDNGHKLLCPWIDNICDESLALFPPTPPPVLVENYHEGFSSLLRLSALPRISCSSLESMKKRSPQLEQFLLKPFSSSVVLKGGFILTEDSTIKDLDHTFQDADTYYQALKIISLCGWEPRLLPYAVDCGTKSHSDANSSSTLTQPGLINNSMEDRVVVYAPNEVDGSTVIADARQAYQHYDPLSVVLDCQFCGACVALWPFSLVERPLQLFKLISDSSRQDEQTEGHAGRVSGAGPSKTANIGFNFTIAGGPPPTRQNFRPRVSLPVVSRHLKADLSSHGHFISSGSDNHMVPVTLHASGLTKHKRSMDESHMLEGNNTISTDAGTTTNGADHQRENSVNGTSNLVANPEHQQGGSHSDTSRVTSTGEVSNEESETGHAAIKSHTSTDELGQHGSDPKSLPVEDSSNAHDLAKTCTNNSRPVQAATLTKSSNDGEKGASQPSGSQGLYDKLNEFDPMKQHRTFCPWICPDGGETLPGWRLTLPALLSQDKRIDEDSQVEPQISLLSEEDDPVTSVRKLFMTPPSKKLRIHRAEKG >ORUFI01G24850.1 pep chromosome:OR_W1943:1:21656888:21669993:1 gene:ORUFI01G24850 transcript:ORUFI01G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRYPHRPPEQHPRRHHQRHRSHCRGHLPLHLLPLLPQQEAPEDVGCAGRGVGVHAGGDSGRASERAHAQEAFHDRRHPLRLLRLHHVLLPAHHHGESDKDEERRVHAVLPVAGVLPQRRLLDGLRAHPLRHLCDHPQRPRCHLRCHPAHPLRLLLPDHTQEDQGRQGRRDALRHLRSRCRRHRQWRQCRLRHLVTTHHPPAELISHPSHLFSSAAPRKN >ORUFI01G24850.2 pep chromosome:OR_W1943:1:21667066:21669993:1 gene:ORUFI01G24850 transcript:ORUFI01G24850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDAARNVVGIIGNVISFGLFLAPVPTFWRICKRKDVEEFKADPYLATLLNCMLWVFYGIPVVHPNSILVVTINGIGLLVEGTYLLIFFLYSPNKKRLRMCAVLGVELVFMLAVILGVLLGAHTHEKRSMIVGILCVFFGSIMYFSPLTIMGKVIKTKSVEYMPFFLSLVCFLNGVCWTAYALIRFDIYVTIPNGLGALFGAIQLILYACYYRTTPKKTKAAKDVEMPSVVVSGTGAAAAAGGGNTGGGSVSVTVER >ORUFI01G24860.1 pep chromosome:OR_W1943:1:21664839:21665740:-1 gene:ORUFI01G24860 transcript:ORUFI01G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKWRPPPPTSVMGEIAAAGRGAEDTQPSEDKADKGKGTQTAAAGTCLGEERAGRDQGEERPGDTNGGGNVLGAQTAAVAATNLDKETGGGGEHNDSGDVRAAQEVAHCGAWPTTKSRVSTRGLAMDEVAKTTAGTPMVVTVEPAEQTAAVIDEPVSEPVVAADESVAAANEPMEGTVAADKPTVREGIVAPTRLISPEKGDGPEREGGPPERGGMGRGPRIEASRRSASSNMGSMVS >ORUFI01G24870.1 pep chromosome:OR_W1943:1:21671489:21674078:1 gene:ORUFI01G24870 transcript:ORUFI01G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILYQCKEFLKIQKFRRTASYAGFYCFTALMTYAYTSNTTRAGISRGDQFYASYPAGTELLTDTAKLYKAALGNCFEIDDWGPIEFSIMAKHFDRQGKPPYAYHAQYMAHLLSHGQLDGSG >ORUFI01G24870.2 pep chromosome:OR_W1943:1:21672308:21674078:1 gene:ORUFI01G24870 transcript:ORUFI01G24870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDILYQCKEFLKIQKFRRTASYAGFYCFTALMTYAYTSNTTRAGISRGDQFYASYPAGTELLTDTAKLYKAALGNCFEIDDWGPIEFSIMAKHFDRQGKPPYAYHAQYMAHLLSHGQLDGSG >ORUFI01G24880.1 pep chromosome:OR_W1943:1:21674844:21675251:-1 gene:ORUFI01G24880 transcript:ORUFI01G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLLSKASSAVAACARRVSRATRRLLRARLLRRGGGGGGGETGKPDGGGGGGGEGLWRRAILMGERCEPLSFPGAIHYDSRGRRLSQPRRAKAKPAAATAALLCRSSDAVDEAVAAANNSKAARYVAVSLLRD >ORUFI01G24890.1 pep chromosome:OR_W1943:1:21676715:21677713:-1 gene:ORUFI01G24890 transcript:ORUFI01G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLPLLLLLLAAAAAASASARHVITFTPARGVSPASLAWDPTAQHFVVAGGGEAVLSVSDAGVTESIASHRGASAVAVDDRRRRLLVASPGSVSAYDLRTPRPHARLFSATLPDPAAPPGGVAVDPHSGAAFLTVGARIYKVSPDGDLAALPPSPAYGGPDPLASLAAHVSRGFLLVGQPSTGRLLRVDMEDGAARAVSGALTPPSPAAVAVRSDGTVAVGGGATLRLVGSNDGWSSCAERDEAEPDGGAAAAVAAVAVRERRRVYALVAAADAEKAAAWRIEEVSWKKEGEGEMVVGFVFVGVALAIFMFWRFQMRELAGSMNKKIR >ORUFI01G24900.1 pep chromosome:OR_W1943:1:21679784:21680194:-1 gene:ORUFI01G24900 transcript:ORUFI01G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSAIFMLFLALGILAIAVHGGRTHAIIEPAKKSAAAGVAQPQFDPITICSPSNFCIPEAWSSCYRCIVKPDDNPPFRTIDECNSNCPVPPANA >ORUFI01G24910.1 pep chromosome:OR_W1943:1:21686464:21686896:-1 gene:ORUFI01G24910 transcript:ORUFI01G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSAIFMLFLALGSLAIVVHGGRTHAVVVVEPAKKSAAGGGVVQPEMDPITICSPSNFCIPEAWSSCYRCIVKPDDNSPFRTIDECNSNCPVPPANA >ORUFI01G24920.1 pep chromosome:OR_W1943:1:21694463:21696418:1 gene:ORUFI01G24920 transcript:ORUFI01G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTLANVPDARYFPRAASRRATLPAFQLGFATLRLSSSLLLVDTIKDIFRRPRPYICTRHPPRFARPLLDVARTFSSRAIDIAIDRSIGRMQQGRGRRRMMQGSSYYAVLGVHPGASAAEIRAAYHRLAMKWHPDKITSGRVDPEEAKSRFQQVHEAYQVLSDEKRRALYDSGMYDPLDDDQEEDVEGFHDFLQEMVSLMATVGREEPVYSLDELRSMLDGMMQDFASSELPSPSGGFFAGAPSSPFADTGAAQQQRGVGSASARAHAHPQVVGNSACLSRMAFSSY >ORUFI01G24920.2 pep chromosome:OR_W1943:1:21694659:21696418:1 gene:ORUFI01G24920 transcript:ORUFI01G24920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGRGRRRMMQGSSYYAVLGVHPGASAAEIRAAYHRLAMKWHPDKITSGRVDPEEAKSRFQQVHEAYQVLSDEKRRALYDSGMYDPLDDDQEEDVEGFHDFLQEMVSLMATVGREEPVYSLDELRSMLDGMMQDFASSELPSPSGGFFAGAPSSPFADTGAAQQQRGVGSASARAHAHPQVVGNSACLSRMAFSSY >ORUFI01G24930.1 pep chromosome:OR_W1943:1:21697341:21697823:1 gene:ORUFI01G24930 transcript:ORUFI01G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPSQMLRLLLLQVAILAAVLATPSLGRVIGGSKCKCLMCVCDVDPHPLPPSRHHPPPPEEPEPEPTPVYHHYPPPTTPAYSLPPPAQPYGQYPYPYPSQGQAGAAYWPPSTPAEMYPQVRGYIRSAAAHRRRPGHGGLSSRLVVVSVLLVSGLMPLLV >ORUFI01G24940.1 pep chromosome:OR_W1943:1:21706061:21706501:-1 gene:ORUFI01G24940 transcript:ORUFI01G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPMTSIVLLVCTLMALQAMAASAYYNNGSDDGVTMQMFEEWMAKFGKTYKCHGEKEHRFGIFRDNVHFIRGYKPQVTYDSAVGINQFADLTNDEFVATYTGAKPPHPKEAPRPVDPIWTPCCIDWRFRGAVTGVKDQGACGK >ORUFI01G24950.1 pep chromosome:OR_W1943:1:21710450:21711005:-1 gene:ORUFI01G24950 transcript:ORUFI01G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASREERWETRKQKEETKEKRKNRRRSLAVRGATYFSGQRITYWLRCCAQLQRSDEDIMLINNNYTVQRRHSQRTRTHPYEHTHANPTPMSIFEDWAGRFWRD >ORUFI01G24960.1 pep chromosome:OR_W1943:1:21715537:21717805:1 gene:ORUFI01G24960 transcript:ORUFI01G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAQQAWRGGRRRPSEHPDVSATAAATQRRERMNMAAVVVAHVPVFPAPGVVSWPRRQRRPSAAGAFLEVPPATPCAVAIVSVAPCLAHVAVVAPPARPAPAPTEACCAAFLRGVSPSGGGGEGCFCHLLRDPLLLGFPVNTARLGALLPTCAAANANASAAAAVEAATLFADTCRDLKSLPEMRFLPDPPPTPTISPAAVPGSMPPTTEERSTPVPVPPQDRSGSETSTPSRNFLVVLLALTAAAAADLIQL >ORUFI01G24970.1 pep chromosome:OR_W1943:1:21718678:21719196:1 gene:ORUFI01G24970 transcript:ORUFI01G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPVDEELPAAGAGAGDTEAAACGGGGGRRRDQAGQAAGGGGGVVEVLAATCGHAVVVAVVVVVAVAAAAAGSRRGGVPQLRATTAADDAGGTRELGRRRVVGGGGGGADGEASACRVEAVRRPGRRERGGAEPVRGGGAAAPAELVGDQEVAAPGEEGCTYRASLSLAH >ORUFI01G24980.1 pep chromosome:OR_W1943:1:21719894:21729624:1 gene:ORUFI01G24980 transcript:ORUFI01G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGQRGGYSRLAGDEEVATASGGGGGDYDERKLRLLGYEPQLKRNLSLLSNFAVSFSIVSVLTGITTLFGTGLQFGGPATMVYGWPIAGAMTLVVGLAMAEICSAYPTSGGLYFWSARLCSHRRWGPFASWLTGWLITYSLAARAAHHTTTPHTVTRLTRRDLPSNSNLALLLSPARAQASERSAAMTWNKAPAADAEAGGGGDTGHARLRELGYKQELKRDLSVLSNFAFSFSIISVLTGITTLYNTGLSFGGPATMTFGWFVAGAFTMTVGLSMAEICSSFPTSGGLYYWSARLSGKRWAPFASWITGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGNNGGGYMASKYVVIAFHAAILLSHAAINSLPITWLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAKFVFTHFNTENNAGIHSNFYIFVLGLLMSQYTLTGYDASAHMTEETKNADRNGPIGIISAIGISIIVGWGYILGITFAVKDIPYLLNPENDAGGYAIAEVFYLAFKSRYGSGIGGIICLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPLSSVWHKVNKHEVPINAVWLSALISLCMALPSLGSLVAFQAMVSIATIGLYVAYALPILFRVTLARKHFVPGPFNLGRCGVAVGWAAVLWVATITVLFSLPVSYPVTKDTLNYTPVAVGGLFLLVLSSWLLSARHWFKGPITNLDG >ORUFI01G24980.2 pep chromosome:OR_W1943:1:21719894:21729624:1 gene:ORUFI01G24980 transcript:ORUFI01G24980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGQRGGYSRLAGDEEVATASGGGGGDYDERKLRLLGYEPQLKRNLSLLSNFAVSFSIVSVLTGITTLFGTGLQFGGPATMVYGWPIAGAMTLVVGLAMAEICSAYPTSGGLYFWSARLCSHRRWGPFASWLTGWLITYSLAARAAHHTTTPHTVTRLTRRDLPSNSNLALLLSPARAQASERSAAMTWNKAPAADAEAGGGGDTGHARLRELGYKQELKRDLSYVATLPLSLSLSRPFSPFSWLTLNRFTRATAACRVLSNFAFSFSIISVLTGITTLYNTGLSFGGPATMTFGWFVAGAFTMTVGLSMAEICSSFPTSGGLYYWSARLSGKRWAPFASWITGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGNNGGGYMASKYVVIAFHAAILLSHAAINSLPITWLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAKFVFTHFNTENNAGIHSNFYIFVLGLLMSQYTLTGYDASAHMTEETKNADRNGPIGIISAIGISIIVGWGYILGITFAVKDIPYLLNPENDAGGYAIAEVFYLAFKSRYGSGIGGIICLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPLSSVWHKVNKHEVPINAVWLSALISLCMALPSLGSLVAFQAMVSIATIGLYVAYALPILFRVTLARKHFVPGPFNLGRCGVAVGWAAVLWVATITVLFSLPVSYPVTKDTLNYTPVAVGGLFLLVLSSWLLSARHWFKGPITNLDG >ORUFI01G24980.3 pep chromosome:OR_W1943:1:21719894:21729624:1 gene:ORUFI01G24980 transcript:ORUFI01G24980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGQRGGYSRLAGDEEVATASGGGGGDYDERKLRLLGYEPQLKRNLSLLSNFAVSFSIVSVLTGITTLFGTGLQFGGPATMVYGWPIAGAMTLVVGLAMAEICSAYPTSGGLYFWSARLCSHRRWGPFASWLTGWLITYSLAARAAHHTTTPHTVTRLTRRDLPSNSNLALLLSPARAQASERSAAMTWNKAPAADAEAGGGGDTGHARLRELGYKQELKRDLSYVATLPLSLSLSRPFSPFSWLTLNRFTRATAACRVLSNFAFSFSIISVLTGITTLYNTGLSFGGPATMTFGWFVAGAFTMTVGLSMAEICSSFPTSGGLYYWSARLSGKRWAPFASWITGCVDFSLAQLIQVIILLSTGGNNGGGYMASKYVVIAFHAAILLSHAAINSLPITWLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAKFVFTHFNTENNAGIHSNFYIFVLGLLMSQYTLTGYDASAHMTEETKNADRNGPIGIISAIGISIIVGWGYILGITFAVKDIPYLLNPENDAGGYAIAEVFYLAFKSRYGSGIGGIICLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPLSSVWHKVNKHEVPINAVWLSALISLCMALPSLGSLVAFQAMVSIATIGLYVAYALPILFRVTLARKHFVPGPFNLGRCGVAVGWAAVLWVATITVLFSLPVSYPVTKDTLNYTPVAVGGLFLLVLSSWLLSARHWFKGPITNLDG >ORUFI01G24980.4 pep chromosome:OR_W1943:1:21719894:21729624:1 gene:ORUFI01G24980 transcript:ORUFI01G24980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGQRGGYSRLAGDEEVATASGGGGGDYDERKLRLLGYEPQLKRNLSLLSNFAVSFSIVSVLTGITTLFGTGLQFGGPATMVYGWPIAGAMTLVVGLAMAEICSAYPTSGGLYFWSARLCSHRRWGPFASWLTGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGNNGGGYMASKYVVIAFHAAILLSHAAINSLPITWLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAKFVFTHFNTENNAGIHSNFYIFVLGLLMSQYTLTGYDASAHMTEETKNADRNGPIGIISAIGISIIVGWGYILGITFAVKDIPYLLNPENDAGGYAIAEVFYLAFKSRYGSGIGGIICLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPLSSVWHKVNKHEVPINAVWLSALISLCMALPSLGSLVAFQAMVSIATIGLYVAYALPILFRVTLARKHFVPGPFNLGRCGVAVGWAAVLWVATITVLFSLPVSYPVTKDTLNYTPVAVGGLFLLVLSSWLLSARHWFKGPITNLDG >ORUFI01G24990.1 pep chromosome:OR_W1943:1:21730300:21733358:1 gene:ORUFI01G24990 transcript:ORUFI01G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTLSPPPPPVRFAAAAGAEAEADEEELEPLFDYSRVQPTMAFSFDDTDIEKSDIFVHCNKRRKVADGDGDANADEKGDKGEQKAAKAAAVVDLGEEDWLPPPPPPKPKSTVTDESEQSSVLKELRLQKQAMAKFAESADDFLEKLAQTARQKVEARIPTEHIDLDKSPERHEAREKVVVTVQDKAGHHQFRLYKDEKFGKLFRAYAKKVNLSVADLTFAFDGDKVDAESTPEDLGLEDEDMVEVLHKTR >ORUFI01G25000.1 pep chromosome:OR_W1943:1:21734392:21757912:-1 gene:ORUFI01G25000 transcript:ORUFI01G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIFLMLQQRYGEKYGQVLDPNQASLLKAATCGQSSGPILPGGIGDLSSTLQQVQARSPRLPIPEQNIKIRINPILTNRDVISDGSLLGLQGSNHGGRNFMLKGWSLMQKPLLQSPQQFQQLQFLTPQQQLLLQTHQNMASLPANDVETRRLWMLHNNKNMAIHLDGQINNNSGHIIPNIGSPDQIGGSRNKIDMLIAKIAHLQQLQQQGHSQQQQLQQSTISHQQAQSLNQLHHQQAQSIGSMLDGSIPNSFGLANRASKKRKKIVSSSERANSSGTSNNVGSSSSSAPSTPFTHTPRDEMSMPQLKYNGGKSKSLSMFGYDDTKSLISPTNPLGDVDQLQEDGSLDENVESFLSQEDMDPQETMGHCMDASKGFGFIEVAKARASTNKVDCCHFSSDGKLLATGGHDKKVVLWFTDDLNIKATFEEHSMIITDVRFSSIMTRLATSSFDKTIRVWDANNPEYSLHTFIGHSTSVVSLDFHPNKEDIICSCDSDGEVRCWSIDNGSCVNCVRGGAIQLRFQPHHGKYLAVVSEKMISILDAETLHIYRSDLQGHLKNIHSVCWDATGGYLASVSEDSIKSLELWDIREKNIVTINNAHDGMIPSLAASNASGLIASATAKAFQAEGKVSSDPVARTNEKHSDVAASYIETQHMKAREQQQQQQQQPPQQRQQQPQHIQMQQMLLQRAAQQQQQQQQQQQQQQQQQQQQQQQQQQQRRDGTHLLNGTASGLPGNNPLMRQNQSTANVMATKMYEERLKLPSQRDGLDEVSIKQRYGENAGQLLDSNEALLKASASGQSSGQILHGTVGGLSGSLQQVQSRSPQIPGPAQSIKTEMNPILTPRSAGPEGSFIGVQGSNQAGNNLTLKGWPLTGLEQLRSGLLQQKSFVQNQQQLQQQIHFLTPQQQQQLMLQAQQNMASPTSSDVDSRRLRMMLNNRNVGQTNSGGDIIPNIGSPSLSGGDVDILIKKKIAQQQQLLQQQSNSQQHPQLQQPAVSSQQSQSSNQFLQQEKPGIGTMPVDGGMPNSFGGVDQTTKKRKKPGSSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPQLQQNGGSAKPLVMFGSDGAGSLTSPANALADVDRLLEDGSLDENVESFLSQDDMDPRDSLGRSMDASKGFGFAEVAKARASATKVTCCHFSSDGKLLATGGHDKKTSYSLRTFTGHSASVMSLDFHPNKEDMICSCDGDGEVRSWSINNGSCLTFVKVFKGGATQMRFQPQKGKYLAAASEKAIYILDGETQLACRNPLQGHTKNIHSLCWDSTGDNLASVSEDSVRIWSFAPGHDGEFVNELSCSGNKFQSCSLELWDIREKNAMTVHSAHDGLVAALAASSATGKVASAQFEGVGQLVNESRSS >ORUFI01G25000.2 pep chromosome:OR_W1943:1:21734749:21757912:-1 gene:ORUFI01G25000 transcript:ORUFI01G25000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIFLMLQQRYGEKYGQVLDPNQASLLKAATCGQSSGPILPGGIGDLSSTLQQVQARSPRLPIPEQNIKIRINPILTNRDVISDGSLLGLQGSNHGGRNFMLKGWSLMQKPLLQSPQQFQQLQFLTPQQQLLLQTHQNMASLPANDVETRRLWMLHNNKNMAIHLDGQINNNSGHIIPNIGSPDQIGGSRNKIDMLIAKIAHLQQLQQQGHSQQQQLQQSTISHQQAQSLNQLHHQQAQSIGSMLDGSIPNSFGLANRASKKRKKIVSSSERANSSGTSNNVGSSSSSAPSTPFTHTPRDEMSMPQLKYNGGKSKSLSMFGYDDTKSLISPTNPLGDVDQLQEDGSLDENVESFLSQEDMDPQETMGHCMDASKGFGFIEVAKARASTNKVDCCHFSSDGKLLATGGHDKKVVLWFTDDLNIKATFEEHSMIITDVRFSSIMTRLATSSFDKTIRVWDANNPEYSLHTFIGHSTSVVSLDFHPNKEDIICSCDSDGEVRCWSIDNGSCVNCVRGGAIQLRFQPHHGKYLAVVSEKMISILDAETLHIYRSDLQGHLKNIHSVCWDATGGYLASVSEDSIKSLELWDIREKNIVTINNAHDGMIPSLAASNASGLIASATAKAFQAEGKVSSDPVARTNEKHSDVAASYIETQHMKAREQQQQQQQQPPQQRQQQPQHIQMQQMLLQRAAQQQQQQQQQQQQQQQQQQQQQQQQQQQRRDGTHLLNGTASGLPGNNPLMRQNQSTANVMATKMYEERLKLPSQRDGLDEVSIKQRYGENAGQLLDSNEALLKASASGQSSGQILHGTVGGLSGSLQQVQSRSPQIPGPAQSIKTEMNPILTPRSAGPEGSFIGVQGSNQAGNNLTLKGWPLTGLEQLRSGLLQQKSFVQNQQQLQQQIHFLTPQQQQQLMLQAQQNMASPTSSDVDSRRLRMMLNNRNVGQTNSGGDIIPNIGSPSLSGGDVDILIKKKIAQQQQLLQQQSNSQQHPQLQQPAVSSQQSQSSNQFLQQEKPGIGTMPVDGGMPNSFGGVDQTTKKRKKPGSSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPQLQQNGGSAKPLVMFGSDGAGSLTSPANALADVDRLLEDGSLDENVESFLSQDDMDPRDSLGRSMDASKGFGFAEVAKARASATKVTCCHFSSDGKLLATGGHDKKTSYSLRTFTGHSASVMSLDFHPNKEDMICSCDGDGEVRSWSINNGSCLTFVKVFKGGATQMRFQPQKGKYLAAASEKAIYILDGETQLACRNPLQGHTKNIHSLCWDSTGDNLASVSEDSVRIWSFAPGHDGEFVNELSCSGNKFQSCVFHPSYPYLLSLELWDIREKNAMTVHSAHDGLVAALAASSATGKVASVSHDRFVKLWK >ORUFI01G25010.1 pep chromosome:OR_W1943:1:21765873:21767723:1 gene:ORUFI01G25010 transcript:ORUFI01G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLPLPLPATRHPPKPHEASASASASLHAALASLSQQCGAGGGGGGALRDAFALVARAERDACPAAVVSVGPEAYASLLQCCVAAGCLRAGRQVHAAAVKRGPRYCRHAYIGTKLAVFYARCGALGDAERAFSALPAKNVFAWAAVIGMWSRAGLHGKALAGYAAMLEAGVPADNFVVPNVLKACAGLGLLGPGRAVHGYAWKAGVGNCVYVMSSLVDFYGKCGEVDDAREVFDVMPERTVVSWNSMLMGYIHNGRIDEAADLFYEMRVEGVLPTRVSVLSFLSASADLEVLDGGRQGHAVAVSSGLEMDLILGSSMINFYCKVGLVEAAEVIFEQMVERDIVTWNLMISGYLQDGQTDKALTTCHRMLESGLKFDCVTLASVIMACVKSYRMEVGGAAHAYAVRNNLESDKTVFCSLIELYSSSGRIEQMRRVFDSIRRRDIATWKAMICAYADHGMGSEALKLLYQMQLEGTSPTAACWDSVLSAFIRNGQLDDALSTFYEMLQTSTRPNLRTWSLLISGLSRNGMHPEVMNLCCKMQEVEPAPSPTIFSAALLAVKSAASVQYGKAMHACIVKKGLLLSKSVVQSLLNMYGSFNDRGTVESLLRFLAAAQ >ORUFI01G25020.1 pep chromosome:OR_W1943:1:21772486:21777871:-1 gene:ORUFI01G25020 transcript:ORUFI01G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVTRAVAAAVLVVVVVVAAAELVAAEPPPSERSALLAFLAATPHERRLGWNSSTSACGWVGVTCDAGNATVVQVRLPGVGLIGAIPPGTLGRLTNLQVLSLRSNRILGGIPDDVLQLPQLRLLFLQNNLLSGAIPPAVSKLAALERLVLSSNNLSGPIPFTLNNLTSLRALRLDGNKLSGNIPSISIQSLVVFNVSDNNLNGSIPASLARFPAEDFAGNLQLCGSPLPPCKSFFPSPSPSPGVSPADVPGAASSSKKRRLSGAAIAGIVVGAVVLALLLLVAAVLCAVSKRRRGAAAGAGAAAARGVPPPGSGEGTGMTSSSKEDMGGASGSAAAAVAAVAAEPSRLVFVGKGAGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVARREFDAHMDALGKVEHRNVLPVRAYYFSKDEKLLVFDYLPNGSLSAMLHGSRGSGKTPLDWDARMRSALSAARGLAHLHTVHSLVHGNVKSSNVLLRPDADAAALSDFCLHPIFAPSSARPGAGGYRAPEVVDTRRPTYKADVYSLGVLLLELLTGKSPTHASLEGDGTLDLPRWVQSVVREEWTAEVFDVELVRLGASAEEEMVALLQVAMACVATVPDARPDAPDVVRMIEEIGGGHGRTTTEESEEGVRGTSEEERSRGTPPAAPTP >ORUFI01G25030.1 pep chromosome:OR_W1943:1:21789762:21790029:1 gene:ORUFI01G25030 transcript:ORUFI01G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVHHGRLQHEHDHAYLTQQLDLPLVEASNLITNFTGTADSFAGRLLWTIAAGGVLSQLGMLGLVVSALVPTLCPAPCGAATAAAS >ORUFI01G25040.1 pep chromosome:OR_W1943:1:21798622:21800514:1 gene:ORUFI01G25040 transcript:ORUFI01G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPCGSETATQLLVAIPPLFSLLLQSFSPSKAKAGCAAVSPSSTFCLLTIAPAGSPLTDHVEDPTGLTGIEVDLEGYAEASEGHVPGFGQVDMIRNGGTHESSDRLALLRPSKCPTARTDQYPTGQ >ORUFI01G25050.1 pep chromosome:OR_W1943:1:21800608:21801125:1 gene:ORUFI01G25050 transcript:ORUFI01G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSFECAVLPVKKLCHWTIGSSLRRRRKGKNSMVLTSSCSRSICFQALPVVFLSYFVYGSSVCGECVCPVGP >ORUFI01G25060.1 pep chromosome:OR_W1943:1:21810648:21814916:1 gene:ORUFI01G25060 transcript:ORUFI01G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLNHGGASPSARGKWLESVCGKYLQPPLKFSSDRTHMAVGLVLAPSFGLCEELPACGAATIPSSSDGTSSVTLSHRYGPCSPADPNSGEKRPTDEELLRRDQLRADYIRRKFSGSNGTAAGEDGQSSKVSVPTTLGSSLDTLEYVISVGLGSPAMTQRVVIDTSSDVSWVQCEPCPAPSPCHAHAGALFDPAASSTYAAFNCSAAACAQLGDSGEANGCDAKSRCQYIVKYGDGSNTTGTYSSDVLTLSGSDVVRGFQFGCSHAELGAGMDDKTDGLIGLGGDAQSLVSQTAARYGKSFFYCLPATPASSGFLTLGAPASGGGGGASRFATTPMLRSKKVPTYYFAALEDIAVGGKKLGLSPSVFAAGSLVDSGTVITRLPPAAYAALSSAFRAGMTRYARAEPLGILDTCFNFTGLDKVSIPTVALVFAGGAVVDLDAHGIVSGGCLAFAPTRDDKAFGTIGNVQQRTFEVLYDVGGGVFGFRVGAC >ORUFI01G25070.1 pep chromosome:OR_W1943:1:21818325:21822563:-1 gene:ORUFI01G25070 transcript:ORUFI01G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPWRIAAVGWGVTVVGWLIAPIMNLLVNKFVSYIGFNASRKLRELEIHTLPKLEDMLRELEEQRMQKEAEDDRSAVKKLEQPCEELRSALYEAEDILDLIDYHQIKNKVNDCDDDINWLHYLQDAVGACIKLCTSKSAALFPISRPPPDSTDPNCSSKSAALLPISRSPLDTPAPDPNCSSSKSASAAPLPISRPPPDTTDPFPLEGVDIVPSLQRVRSFYQLACFYRNWSYEGSDSFLTICAKRKLRKRIEAIEEILNDWKHLCTESHQSHLSNQERSSRWNTISSNYRQSIKPVTKPTVFGRDEEREVIHRILREGPDDHAASSSNRSGKTTLAQYVCDYEKEDKDKYFDPIILIHVSETLRADYIFHDMLEEITENRHSSITDRRELQNKLKKELRGKRFLLVLDDVNDKNDQEQRDLLSPLDVGKRGSRILVTARRTDVALRANRYIQISDLDKEIYFSMFMHYALEGTSFDDRDFIPLGRKIAEKLQRSPIAAVIVGVRLKQNPDITYWRATSNLDVLNSTTGALLWSYQQLDMDVRRCFEFYSIFPRRYELERERLISMWIAQGLVKTTNAREEDMEDVGELYFHELQVCSFLQLKRKVNSDTSSGEYFTAHDSLYDVAKMVAGSDRVEIKKGIVQHISKYVRHVCIMFYDEVFPEQILELANLRTLIMCYSIKEMSKNDFERVLMRLRKLRVVYLDLQDMRTVPACIGELKHLRYLGISPSLNYNDITLPAEFAKLYHLHEFSVTPFVNLQFSSPAKMGNLVNLRYMHTWKGLDIPNIGRLKSLRNLFRFTVRKEKGYEIHQLEYLNNLRGRMFIDCLDNIRSKEEAVRARLADKRHITDLTLSWGGDERSASRTAPVTEMSSGPELQMQAEVLEELHPPAWITSLCIREYNGTTYPSWLSVKGVVQQGEFPAALQILMFWSCKGSNNPPMIGERFGLLHHLSITDCSWNSLPANLDCLTKLDILTIQECPNIQSLPTLPQSLVNIVVFQCNRFLTESCRIRGHPNWQKIRHIPYQSIH >ORUFI01G25080.1 pep chromosome:OR_W1943:1:21833391:21835499:1 gene:ORUFI01G25080 transcript:ORUFI01G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVRIEVLVLLGVAALFVLLILGSYRRQSSRNAVRVSIWVAYAASIPMVSYTLGLMQSSPYKNSLFSVWAIILFIFLGSADSLSAYRLQDNDNWKRFYFEQLIHSFWVGWLMVSSGAGSDFRYVLWPIYVIVVLKSGTRILSFKLASRRSMLSESTKWVADYMTYERELSTAGEWDPVTMRGYRYVVAGEEKQRRKVEAPEYVSKLDGDDRAKAKLVTVEQIWRCNGSLLCGDGDRAGQLKDVCLSMALSKMLNRRFAGFHKLVESELDKTHDFLFRGLLHGQKYVERAFRVIEVELAFVHDYFYTKYFVIYMYRHDDTVLSCAMIPFCGWLAYMLFQRVHVPNDELKLIDDHNNNFDALITAVLIIGVALVEGLQVYIYLASAWCKVALISKYVARESWSSRQWVANLIGCITSFKSFRSWEDKLGQYTLLKNVDYIPINFMYYATMFLVDRTKKGRKEDKRVRLSMKVKKAVIDTLRSSNGQLTNGVKSLKANGIEVFRKLSWSCTTVRTTTHTIIAWHIATTLCEVEDEERHRMDSTTTNYKDVACSLSRYCAYLVAFAPELLPDHSFVSQTIFDALVDEATQELLNLKTLEQRCEKLKEIGKVSDMEQNGGDNRLIVLGARLGCQLLEIENPSRRWKVLSDFWAEMVLYLAPSDDARERLETLTRGGEFITHLWALLTHGGILERSTTGAGQCQNV >ORUFI01G25090.1 pep chromosome:OR_W1943:1:21843046:21878003:-1 gene:ORUFI01G25090 transcript:ORUFI01G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGEIQKVASMRLGGSMRGDSGSMWRRGDDVFSRSSREEDDEEALRWAALEKLPTYDRVRRAILPLGGDDGAVDGGGKGVVDVHGLGPRERRALLERLVRVADEDNEKFLLKLKDRVDRVGIDMPTIEVRFEHLEAEAEVRVGNSGLPTVLNSITNTLEEAGNALGILPNRKQTMPVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLGKDLKASGKVTYNGHGMEEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKAAAMGGQEANVNTDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQTVHILGGTAVISLLQPAPETYNLFDDIILLSDGQIVYQGPREDVLEFFESTGFKCPDRKGVADFLQEVTSKKDQRQYWARHDKPYRFVTVKEFVSAFQSFHTGRAIANELAVPFDKSKSHPAALATTRYGAPGKELLKANIDREILLMKRNSFVYMFRTFQLMVVSLIAMTLFFRTKMKRDSVTSGGIYMGALFFGVLMIMFNGFSELALTVFKLPVFFKQRDLLFYPAWSYTIPSWILKIPITFIEVGGYVFLTYYVIGFDSNVGSFFKQYLLMLAINQMAGSLFRFIGGAARNMIVANVFASFMLLIFMVLGGFILAREQVKKWWIWGYWISPMMYAQNAISVNELMGHSWNKIVNSSASNETLGVQVLKSRGVFPEARWYWIGFGAMIGFTILFNALFTLALTYLRPYGNSRQSVSEEEMKEKRANLNGEIVGDVHLSSGSTRRPMGNGTENDSTIVDDDTEVTQRGMVLPFTPLSLSFDNVRYSVDMPQEMKAQGVADDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSINISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPEDVDSNTRKMFIEEVMELVELKSLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGHHSSELIKYFESIPGVSKIKDGYNPATWMLEVTTIGQEQALGVDFSDIYKKSELYQSNKALIKDLSQPAPDSSDLYFPTQYSQSSLTQCMACLWKQNLSYWRNPPYNAVRFFFTTVIALLFGTIFWDLGGKVTKSQDLFNAMGSMYAAVLFIGVMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIEIPYTLVQATVYGIIVYAMIGFEWTAAKFFWYLFFMVFTLLYFTFYGMMAVGLTPNYHIASIVSSAFYAIWNLFSGFVIPRPRVPIWWRWYCWACPVAWTLYGLVVSQFGDIETPMEDGTPVKVFVENYFGFKHSWLGWVATVVAAFAFLFASLFGFAIMKEMDAAAEMQKVVSLRRGGGGSSSRGAASMWWSADNGVFSRSRASSSGEDGEDDEEALRWAALEKLPTYDRVRRAVLPVVEEGGGGGEAGKKVVDVLSLGPQERRALLERLVRVAEDDNERFLLKLKERIDRCVLCCTTLRHDVAVAADAVACRVFDDLRVVILMCRVGIDIPTIEVRFEHLEAEAEVRVGNSGLPTVLNSMTNKLEGAANALGILPNKKQTMPILHDVSGIVKPRRMTLLLGPPGSGKTTLLLALAGRLGKDIKFSGQVTYNGHQMEDFVPQRTAAYISQHDLHIGEMTVRETLSFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKVRCLLGPANALVGPANALFMDEISTGLDSSTTFQIVKSLRQAIHILGGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREGVLEFFELMGFKCPERKGVADFLQEVTSRKDQKQYWMQHDKPYRYVPVKDFASAFQSFHTGKSIANELATPFDKSKNHPAALTTSRYGVSAMELLKANIDREFLLMKRNSFVYIFRACQLMVVSAIAMTVFFRTKMHRDSVTDGVIFMGALFFSVMMIMFNGLSELPLTIFKLPVFFKQRDLLFFPAWTYTIPSWILKIPMSFIEVGGFVFMSYYVIGFDPSAGRFFKQYLLMLAINQMAAALFRFVGGAARNMIVANVFGSFMLLIFMVLGGFILVREKVKKWWIWGYWISPMMYAQNAISVNEFLGHSWDKVLNNSLSNETLGVQALRSRGVFPEAKWYWIGFGALLGFIMLFNGLFTLALTYLKPYGKSQPSVSEEELKEKQANINGNVLDVDTMASSTNLAIVDNTETSSEIADNSQPTQRGMVLPFAPLSLTFDNIKYSVDMPQEMKAHGIVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPQVTVSESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHQSSELIKYFEGIKGVSRIKDGYNPATWMLEVSTISQEQALGVDFCDIYRKSELFQRNKALIQELSTPPPACLWKMHLSYWRNPPYNAIRLFFTTVIALLFGTIFWDLGGKTGKSQDLFNAMGSMYSAVLFIGVLNSQSVQPVVSVERTVFYRERAAGMYSAFPYAFGQVAIEFPYTLVQSIIYGIIVYSMIGFKWTAAKFFWYLFFMFFTFLYFTFYGMMAVGLTPSYHVASIVSSAFYGIWNLFSGFIIPRPKVPIWWRWYCWICPVAWTLYGLVASQFGDIMTPMDDGTPVKIFVENYFDFKHSWLGVVAVVIVAFTMLFAFLFGFAIMKLNFQKRYAGVVEMQKAASFRREGGGSMASMWLSADGNGAFSRSSSSSSRRMRGEEDDEEALRWAALQKLPTYDRVRAAILPMVEGEGGEAGGGGGGRRVVVDVHSLGPHERRALLERLVRVADDDNERFLLKLKERISRVGIDMPTIEVRFEHLEVEAEVRVGNSGIPTVLNSITNKIEEAANALGILPTRKQTLRILHDISGIIKPKRMTLLLGPPGSGKTTFLLALAGRLKDLKFSGQVTYNGHQMEDFVPQRTAAYISQSDLHIGEMTVRETLSFSARCQGVGSRFDMLTELTRREKAANIKPDADVDAFMKASAMEGQESNLITDYILKILGLEICADTMVGDDMVRGISGGQRKRVTTGEMLVGPASQCTNAFFMDEISTGLDSSTTFQIVKSLRQTIHILGGTAVISLLQPAPETYDLFDDIILLSDGHIVYQGPRENVLEFFELMGFKCPERKGVADFLQEVTSRKDQKQYWAQHDKPYRYVPIKEFASAFQSFHAGRSIANELATPFDKSKSHPAALTTSRYGVSAMELLKANIDRELLLIKRNSFVYIFRTIQLMTVSAMAMTVFFRTKMHRDSVADGVIFMGALFFAVMMIMLNGLSELPLTIFKLPVFFKQRDLLFFPAWTYTIPSWILKSPMSFIEVGGFCFMSYYVIGFDPNVGRFFKQYLLMLAVSQMAAALFRFVGGAARNLIVANVFGSFMLLIFMVLGGFILARDKVNKWWIWGYWISPMMYAQNAVSVNEFLGHSWDKVLNNSLSNETLGVQALRSRGIFPEAKWYWIGLGALLGFIMLFNILFTLALTYLKPDGKSQPSISEEELKEKQANINGNVLDVDTMASSNNLAIVGSTGTGSEIADNSQPTQRGMVLPFTPLSLTFEDIKYSVDMPQEMKAHGIVEDRLELLKGVSGCFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYPKKQETFARVSGYCEQNDIHSPQVTVSESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDALVGLPGVNGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSSELIKYFEGIQGVSKITDGYNPATWMLEVTTVSQEQALDVDFCDIYRKSELFQRNKALIQELSTPPPGSSELYFPTQYSQSFLIQCLACLWKQHLSYWRNPPYNAIRLFFTTVIALIFGTIFWDLGGKMGQSQDLFNAMGSMYAAVLFIGVLNGQSVQPVVSVERTVFYRERAAGMYSALPYAFGQVAIEFPYTLVQSVIYSIIVYSMIGFQWTVAKFFWYLFFMFFTLLYFTFYGMMAVGLTPSYHVASIVSSAFYAIWNLFTGFVISRPATPVWWRWYCWICPVAWTLYGLIVSQYGDIVTPMDDGIPVNVFVENYFDFKHSWLGFVAVVIVAFTMLFAFLFGFAIMKLNFQKR >ORUFI01G25090.2 pep chromosome:OR_W1943:1:21843046:21878003:-1 gene:ORUFI01G25090 transcript:ORUFI01G25090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGEIQKVASMRLGGSMRGDSGSMWRRGDDVFSRSSREEDDEEALRWAALEKLPTYDRVRRAILPLGGDDGAVDGGGKGVVDVHGLGPRERRALLERLVRVADEDNEKFLLKLKDRVDRVGIDMPTIEVRFEHLEAEAEVRVGNSGLPTVLNSITNTLEEAGNALGILPNRKQTMPVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLGKDLKASGKVTYNGHGMEEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKAAAMGGQEANVNTDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQTVHILGGTAVISLLQPAPETYNLFDDIILLSDGQIVYQGPREDVLEFFESTGFKCPDRKGVADFLQEVTSKKDQRQYWARHDKPYRFVTVKEFVSAFQSFHTGRAIANELAVPFDKSKSHPAALATTRYGAPGKELLKANIDREILLMKRNSFVYMFRTFQLMVVSLIAMTLFFRTKMKRDSVTSGGIYMGALFFGVLMIMFNGFSELALTVFKLPVFFKQRDLLFYPAWSYTIPSWILKIPITFIEVGGYVFLTYYVIGFDSNVGSFFKQYLLMLAINQMAGSLFRFIGGAARNMIVANVFASFMLLIFMVLGGFILAREQVKKWWIWGYWISPMMYAQNAISVNELMGHSWNKIVNSSASNETLGVQVLKSRGVFPEARWYWIGFGAMIGFTILFNALFTLALTYLRPYGNSRQSVSEEEMKEKRANLNGEIVGDVHLSSGSTRRPMGNGTENDSTIVDDDTEVTQRGMVLPFTPLSLSFDNVRYSVDMPQEMKAQGVADDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSINISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPEDVDSNTRKMFIEEVMELVELKSLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGHHSSELIKYFESIPGVSKIKDGYNPATWMLEVTTIGQEQALGVDFSDIYKKSELYQSNKALIKDLSQPAPDSSDLYFPTQYSQSSLTQCMACLWKQNLSYWRNPPYNAVRFFFTTVIALLFGTIFWDLGGKVTKSQDLFNAMGSMYAAVLFIGVMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIEIPYTLVQATVYGIIVYAMIGFEWTAAKFFWYLFFMVFTLLYFTFYGMMAVGLTPNYHIASIVSSAFYAIWNLFSGFVIPRPRVPIWWRWYCWACPVAWTLYGLVVSQFGDIETPMEDGTPVKVFVENYFGFKHSWLGWVATVVAAFAFLFASLFGFAIMKEMDAAAEMQKVVSLRRGGGGSSSRGAASMWWSADNGVFSRSRASSSGEDGEDDEEALRWAALEKLPTYDRVRRAVLPVVEEGGGGGEAGKKVVDVLSLGPQERRALLERLVRVAEDDNERFLLKLKERIDRCVLCCTTLRHDVAVAADAVACRVFDDLRVVILMCRVGIDIPTIEVRFEHLEAEAEVRVGNSGLPTVLNSMTNKLEGAANALGILPNKKQTMPILHDVSGIVKPRRMTLLLGPPGSGKTTLLLALAGRLGKDIKFSGQVTYNGHQMEDFVPQRTAAYISQHDLHIGEMTVRETLSFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKVRCLLGPANALVGPANALFMDEISTGLDSSTTFQIVKSLRQAIHILGGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREGVLEFFELMGFKCPERKGVADFLQEVTSRKDQKQYWMQHDKPYRYVPVKDFASAFQSFHTGKSIANELATPFDKSKNHPAALTTSRYGVSAMELLKANIDREFLLMKRNSFVYIFRACQLMVVSAIAMTVFFRTKMHRDSVTDGVIFMGALFFSVMMIMFNGLSELPLTIFKLPVFFKQRDLLFFPAWTYTIPSWILKIPMSFIEVGGFVFMSYYVIGFDPSAGRFFKQYLLMLAINQMAAALFRFVGGAARNMIVANVFGSFMLLIFMVLGGFILVREKVKKWWIWGYWISPMMYAQNAISVNEFLGHSWDKVLNNSLSNETLGVQALRSRGVFPEAKWYWIGFGALLGFIMLFNGLFTLALTYLKPYGKSQPSVSEEELKEKQANINGNVLDVDTMASSTNLAIVDNTETSSEIADNSQPTQRGMVLPFAPLSLTFDNIKYSVDMPQEMKAHGIVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPQVTVSESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHQSSELIKYFEGIKGVSRIKDGYNPATWMLEVSTISQEQALGVDFCDIYRKSELFQRNKALIQELSTPPPGSSELYFPTKYSLSFLNQCLACLWKMHLSYWRNPPYNAIRLFFTTVIALLFGTIFWDLGGKTGKSQDLFNAMGSMYSAVLFIGVLNSQSVQPVVSVERTVFYRERAAGMYSAFPYAFGQVAIEFPYTLVQSIIYGIIVYSMIGFKWTAAKFFWYLFFMFFTFLYFTFYGMMAVGLTPSYHVASIVSSAFYGIWNLFSGFIIPRPKVPIWWRWYCWICPVAWTLYGLVASQFGDIMTPMDDGTPVKIFVENYFDFKHSWLGVVAVVIVAFTMLFAFLFGFAIMKLNFQKRYAGVVEMQKAASFRREGGGSMASMWLSADGNGAFSRSSSSSSRRMRGEEDDEEALRWAALQKLPTYDRVRAAILPMVEGEGGEAGGGGGGRRVVVDVHSLGPHERRALLERLVRVADDDNERFLLKLKERISRVGIDMPTIEVRFEHLEVEAEVRVGNSGIPTVLNSITNKIEEAANALGILPTRKQTLRILHDISGIIKPKRMTLLLGPPGSGKTTFLLALAGRLKDLKFSGQVTYNGHQMEDFVPQRTAAYISQSDLHIGEMTVRETLSFSARCQGVGSRFDMLTELTRREKAANIKPDADVDAFMKASAMEGQESNLITDYILKILGLEICADTMVGDDMVRGISGGQRKRVTTGEMLVGPASQCTNAFFMDEISTGLDSSTTFQIVKSLRQTIHILGGTAVISLLQPAPETYDLFDDIILLSDGHIVYQGPRENVLEFFELMGFKCPERKGVADFLQEVTSRKDQKQYWAQHDKPYRYVPIKEFASAFQSFHAGRSIANELATPFDKSKSHPAALTTSRYGVSAMELLKANIDRELLLIKRNSFVYIFRTIQLMTVSAMAMTVFFRTKMHRDSVADGVIFMGALFFAVMMIMLNGLSELPLTIFKLPVFFKQRDLLFFPAWTYTIPSWILKSPMSFIEVGGFCFMSYYVIGFDPNVGRFFKQYLLMLAVSQMAAALFRFVGGAARNLIVANVFGSFMLLIFMVLGGFILARDKVNKWWIWGYWISPMMYAQNAVSVNEFLGHSWDKVLNNSLSNETLGVQALRSRGIFPEAKWYWIGLGALLGFIMLFNILFTLALTYLKPDGKSQPSISEEELKEKQANINGNVLDVDTMASSNNLAIVGSTGTGSEIADNSQPTQRGMVLPFTPLSLTFEDIKYSVDMPQEMKAHGIVEDRLELLKGVSGCFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYPKKQETFARVSGYCEQNDIHSPQVTVSESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDALVGLPGVNGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSSELIKYFEGIQGVSKITDGYNPATWMLEVTTVSQEQALDVDFCDIYRKSELFQRNKALIQELSTPPPGSSELYFPTQYSQSFLIQCLACLWKQHLSYWRNPPYNAIRLFFTTVIALIFGTIFWDLGGKMGQSQDLFNAMGSMYAAVLFIGVLNGQSVQPVVSVERTVFYRERAAGMYSALPYAFGQVAIEFPYTLVQSVIYSIIVYSMIGFQWTVAKFFWYLFFMFFTLLYFTFYGMMAVGLTPSYHVASIVSSAFYAIWNLFTGFVISRPATPVWWRWYCWICPVAWTLYGLIVSQYGDIVTPMDDGIPVNVFVENYFDFKHSWLGFVAVVIVAFTMLFAFLFGFAIMKLNFQKR >ORUFI01G25100.1 pep chromosome:OR_W1943:1:21899157:21901640:-1 gene:ORUFI01G25100 transcript:ORUFI01G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAAASPFAAPHEMMARPAAAAPEATMMDLGQLLRLTDFDKIANLGDGASGVVAKVLLRGCGSSAVFALKTAHYCGGGAEVEVEALRRVAAGAGPSGSWSPHVVRCHAVFRCAGGEPAMLLELMDAGSLGRVLAARRGGEGRRGLPEPALAEVAARCLAGLAHLHSRGVVHLDLKPDNLLASARGDVKIGDFSVSRIFLGGAGERLRVPVAAGSTAYLSPERFEPNAHAGPRGACAADVWALGVTVLELFLGRCPILPVGERPSWERLKKAICDGEAPSLPASAAASAELRGFVASCLHKDPRRRATVAQLLAHPFVARRDGEACRRQLREIIVETMSRTYGTRRSLAAAGIYSAPASLAYLAAEASPVHPLAARNKARAAATLVQAWRARRQPHHGRAYHGSPPRAPAHRPHPSLSSASLTPASAAAVAVASTSAAGGGGGGGEAEYEVSDDHRAARERHERVVQELLQRRRTFAMAVPTNDFAVRARLRRLGEPVTLFGEREMESQDRLRALMVCLEADGHLDRLVRAQEKEQGGASAEEEEELAATDCRWRTARLRPARTEICARQWQRTPVTPVAPRPQPDRSRGQPGAAPIANPAAPPPLLLLVHSRHHCRRCTER >ORUFI01G25110.1 pep chromosome:OR_W1943:1:21903184:21910984:-1 gene:ORUFI01G25110 transcript:ORUFI01G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREVHRMASLRREGSMWRSGGDVFSRSSSRFQDEDDDEEALRWAALERLPTRDRVRRGILLQASEDGGAGGEKVEVDVGRLGARESRALIERLVRAADDDHERFLLKLRERMDRVGIDYPTIEVRFENLEVEADVHVGNRGLPTLLNSVTNTVEAIGNALHILPNKKQPMTVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLDKDLKVSGKVTYNGHGMHEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRYEMLTELARREKAANIKPDHDIDIYMKASAMGGQESSVVTDYILKILGLDICADTVVGNEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPREHVLEFFEFMGFRCPARKGVADFLQEVTSRKDQGQYWCRRDRPYRFVPVKQFADAFRSFHVGRSIQNELSEPFDRTRSHPAALATSKYGVSRKELLKATIDRELLLMKRNAFMYIFKAVNLTLMALIVMTTFFRTSMRHDRDYGMIYLGALYFALDTVMFNGFAELAMTVMKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFLEVGVYVFITYYVIGFDPSVSRFFKQYLLLLALNQMSSALFRFIAGIGRDMVVSHTFGPLSLLAFAALGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGHSWSQILPGENVTLGVSVLKSRGIFAEAKWYWIGLGALLGYTLLFNLLYTVALSVLSPFTDSHASMSEDALKEKHANLTGEVVEGQKDTKSRKQELELSHIADQNSGINSADSSASRKGMVLPFAPLSISFNDVRYSVDMPEAMKAQGITEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVYESLVFSAWLRLPSEIDSEARKMFIEEVMDLVELTSLRGALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSSKLIEYFEGIDGVSRIKDGYNPATWMLEVTSSAQEEMLGVDFSEIYRQSELYQRNKELIEELSTPPPGSTDLNFPTQYSRSFITQCLACLWKQNWSYWRNPSYTAVRLLFTIVIALMFGTMFWNLGTRTKKQQDLFNAMGSMYAAVLYIGVQNSGSVQPVVVVERTVFYRERAAGMYSAFPYAFGQVAIELPYIMVQTLIYGVLVYSMIGFEWTVAKFLWYLFFMYFTLLYFTFYGMMAVGLTPNESIAAIISSAFYNVWNLFSGYLIPRPKIPVWWRWYCWICPVAWTLYGLVASQFGDIQHVLEGDTRTVAQFVTDYFGFHHNFLWVVAVVHVVFAVTFAFLFSFAIMKFNFQRR >ORUFI01G25120.1 pep chromosome:OR_W1943:1:21919727:21920473:-1 gene:ORUFI01G25120 transcript:ORUFI01G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFYHRQRHRRKRSTRLRIRCEEKPSPLHMAGEGRGRVVSRLSCGARMASPPPGDDEAARALALEQCERDFNAVIDRMLNLNLDGDDAAASSAASPEPPAPQAAPAPEVAAAAAVDGAARGDRGYWVETMMRELWAAASMDDARERGARVLDAFGAAVGAGTAARLDAASRQIGFLKRAVLFHHRLRTAQEKAQRELRWQLDDYREQVRRLEASNYALSLHLRQADLRRGGGGGGAMPHGPGNPEIF >ORUFI01G25130.1 pep chromosome:OR_W1943:1:21923041:21926298:1 gene:ORUFI01G25130 transcript:ORUFI01G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSSSWARALVQISPYTFSAIGIAVSIGVSVLGAAWGIFITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPTALVHHPESLRAGYAIFASGLIVGFANLVCGVCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSSQATWPAKA >ORUFI01G25140.1 pep chromosome:OR_W1943:1:21927014:21927585:-1 gene:ORUFI01G25140 transcript:ORUFI01G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYGIAIYLETLLEGHGTDAEDGEVRPEVGVTALQQLHLEVDGEREEVEVLEQVVAGVAVTEALRPPASSSSAVVEEDPPALTGKEHARTMALVDREGDGDLGEECEGGGERPRRRQRQGALVEEAASQRADDGVKEARRDHPRHRPDLADAVPSLSTSAAEDDDPFLLAGFISMPLDFS >ORUFI01G25150.1 pep chromosome:OR_W1943:1:21931282:21939144:1 gene:ORUFI01G25150 transcript:ORUFI01G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGRDEEVATDAVGAGGVDVGGETSKLIDQDCMAILDVLARRIGVRRCGLWLRPGGRNNARATPTIRVRTETQPQKSHLLLLLPLPPRPPPATWEPRAPGLHLAALLAGGRHAELQVTIIRMDGNNENIQFSWGKKRAKGGIKMDTQFYDSFTFDNVKYSLYDNVYLFKSGESEPYIGKIIKIWQQNQAKKVKILWFFLPDEIRKHLSGPVMEKEIFLACGEGVGLADINPLEAIGGKCTVLCISKDERNRQPSPRELAMADYIFYSCTLSEQLPEKIAGVEGNLLLNSKVEQVTSCSDQEVHGVDQKMLNVPVPLPQSTVMEDESPVAAVSLPPSVFKEENVASAIPFPQPVVKEESAAAAIPPPHVALKEESVSKSTENITKPAQKVLPGERPPKRVKFSENVTVQNVPLDVPERPSRTGPLELAGRQADRSKWFKIPWDTRLRNADEQGTLVYIQNLDIQFAAADIEELIRDALQLNCIAKPINHPTYDDPNNGKAYAIFKTKSAADSAISKINSGLVVGGRPLYCSKGLLKVPKPSETLLGHLTINNIRMGIRQREEQKKAVSTSHCSQPNTMEYDLALDWMLVRAKQETKFRTLHKKHKDERKTFASKMGK >ORUFI01G25160.1 pep chromosome:OR_W1943:1:21940458:21943817:1 gene:ORUFI01G25160 transcript:ORUFI01G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEGDAAAGNKEKGGGGGVDRTSLDGVRDKNVMQLKKLNTALFPVRYNEKYYHDTIASKEFSKLAYYSDICVGAIACRLEKKEGGAVCVYIMTLGVLAPYRSLGIGSKLLNHVIDLCEKQNIPEIYLHVQTNNDDAIAFYKKFGFEITKTIEKYYKNITPPDCYVLTKFIGQAATKK >ORUFI01G25170.1 pep chromosome:OR_W1943:1:21946046:21948724:-1 gene:ORUFI01G25170 transcript:ORUFI01G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGGYSALDDPKASGSVPAATGPDPPTIKFADSNLQIFPPSEAKGKISGAYRPPTDADDTFSSSKSGGGGRGGGGGGAGSDDAGQGGWFRMFSVAAYKPYFDVDTSDVVERIWESVFPFRGTFTEKTSENPDLYGPFWTCTTLIFVAASIATFVTYLSHKWHKKEWNYDINLVTWSAGLFYGYVTFVPLGLYVILKYFSAPAGLVQLWCLYGYSLFIFIPASLLSIVPIEIFRWVIAGVAGFMSATFVAMNLRAHIVNSGERWFLIVAGIFLLQLGLAVLLKLYFFTITV >ORUFI01G25180.1 pep chromosome:OR_W1943:1:21950700:21957076:-1 gene:ORUFI01G25180 transcript:ORUFI01G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKENGRGKQKRVHGHLIHEQTPYFKLMIVIYSVLQLRERFKVLSYNILADYLAQEHQFLYERIPSFIMDWNWRKEKLVFEFGLWSPDILCLQEVDKFTDLEQEMATRGYNGIWKMRTGNATDGCAIFWRTARFQLRYQEDIEFNKIDLRDNVAQICVLESVIPGNVQTESSPNHPQQAKQIIVCNTHVLYNPKRGDIKLGQVRTLLDRVYALSKTWNDAPSPLYNFMLEQKLNLSGLVKSNISGQQTSTAQGLYTGPNTARFYPPFHTTNSKEGSISYPNDHKPQPEARNVTENSRLSGREPTLTDTASDSFLNPESSTNPHEQELMGCVKGPTKEAYTSDAEAHTNTTNGEETSVVNNSSEGYGVIKKSSVEETNVTNFSSASTTVNDETLQSDSSEIVDRSQLLPSYESSESKDSWEELAGGSNNSSNTLANFPGHVISGKATCDFERNNVQSDTLFDISKVRPDEKEQDSEPLSTQNNCMRSESKSNCFSDPLKFADTLHQMSNLRLEEENNTEPTQLTSPLEPLQHTDCAFSDTCDVQCTPEVINRLSKSHSCSNELGNCSCAFEDDGASNEVLCSDVNADPSIFKEFSGVNEFLLEDEDQLQTTSDGSPSAQQVITSDKRYYDYDPYRWTPDEIKAATGNEDCTFVEHNLKVRSVYTDVEDFEGTKDANKEPLVTSYNRKFMGTVDYIWASEDLQTVQVLDTFPKEILKQTIGFPTKKWGSDHIALVCELAFTK >ORUFI01G25180.2 pep chromosome:OR_W1943:1:21950700:21957076:-1 gene:ORUFI01G25180 transcript:ORUFI01G25180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNWRKEKLVFEFGLWSPDILCLQEVDKFTDLEQEMATRGYNGIWKMRTGNATDGCAIFWRTARFQLRYQEDIEFNKIDLRDNVAQICVLESVIPGNVQTESSPNHPQQAKQIIVCNTHVLYNPKRGDIKLGQVRTLLDRVYALSKTWNDAPSPLYNFMLEQKLNLSGLVKSNISGQQTSTAQGLYTGPNTARFYPPFHTTNSKEGSISYPNDHKPQPEARNVTENSRLSGREPTLTDTASDSFLNPESSTNPHEQELMGCVKGPTKEAYTSDAEAHTNTTNGEETSVVNNSSEGYGVIKKSSVEETNVTNFSSASTTVNDETLQSDSSEIVDRSQLLPSYESSESKDSWEELAGGSNNSSNTLANFPGHVISGKATCDFERNNVQSDTLFDISKVRPDEKEQDSEPLSTQNNCMRSESKSNCFSDPLKFADTLHQMSNLRLEEENNTEPTQLTSPLEPLQHTDCAFSDTCDVQCTPEVINRLSKSHSCSNELGNCSCAFEDDGASNEVLCSDVNADPSIFKEFSGVNEFLLEDEDQLQTTSDGSPSAQQVITSDKRYYDYDPYRWTPDEIKAATGNEDCTFVEHNLKVRSVYTDVEDFEGTKDANKEPLVTSYNRKFMGTVDYIWASEDLQTVQVLDTFPKEILKQTIGFPTKKWGSDHIALVCELAFTK >ORUFI01G25190.1 pep chromosome:OR_W1943:1:21958141:21960993:-1 gene:ORUFI01G25190 transcript:ORUFI01G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPQRIHRDAGGYPAAAAETDPLLAHPPPPRSPAEIEDEEIEDEEIEAASAACCRICLESETEPGDELISPCMCKGTQQFVHRYCLDHWRSVKEGTAFSHCTTCKAQFHLRVECLEDNLCRKMMFRLFVARDVFLVFLAVQTVIAAIGGMAYLLDKDGQFRNSFSDGWEHILSNHPVPFYYCVGVVVFFVMVGFIGLIVQCSSFNTNDPCLAGCRNCCYGWGILDFPASIEACLALAVIFVIVFAILGVAYGFFAATVAVQRILQRHYHILTKRELTKEYVVEDLRGCYTPPKMDPEQEQRLRTLQLIMVAF >ORUFI01G25190.2 pep chromosome:OR_W1943:1:21958681:21960993:-1 gene:ORUFI01G25190 transcript:ORUFI01G25190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPQRIHRDAGGYPAAAAETDPLLAHPPPPRSPAEIEDEEIEDEEIEAASAACCRICLESETEPGDELISPCMCKGTQQFVHRYCLDHWRSVKEGTAFSHCTTCKAQFHLRVECLEDNLCRKMMFRLFVARDVFLVFLAVQTVIAAIGGMAYLLDKDGQFRNSFSDGWEHILSNHPVPFYYCVGVVVFFVMVGFIGLIVQCSSFNTNDPCLAGCRNCCYGWGILDFPASIEACLALAVIFVIVFAILGVAYGFFAATVAVQRILQRHYHILTKRELTKEYVVEDLRGCYTPPKMDPEQEQRLRTLQLM >ORUFI01G25200.1 pep chromosome:OR_W1943:1:21963247:21966309:-1 gene:ORUFI01G25200 transcript:ORUFI01G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPRLCALALPFLAVAACLDVPSHGTPKLTNKCGHCKNKLDFGKEFVCCSDCSDPTILIKHRKMGYCKSGAELSMQLKPHEIYHWVAGPWMKCSSPCDGGVRYRDVACYGNLSDATIKHYPVDDASCSADEMPARQEACNEQSCGVDMAEQTNSRKNGMSGWLVALILLLGLGAIGGIVFTSYTYYLRRTSGRNGFVYVMMEAYS >ORUFI01G25210.1 pep chromosome:OR_W1943:1:21975966:21978967:1 gene:ORUFI01G25210 transcript:ORUFI01G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVTVLVLLLACAAARAAAAVVTDGLLPNGNFEEGPPKSDLVNGTVVRGANAIPRWETSGFVEYIESGHKQGDMLLVVPQGAHAVRLGNEASIRQRLAVTRGAYYAVTFSAARTCAQAEQLNVSVSPEWGVLPMQTIYGSNGWDSYAWAFKAKMDEVALVIHNPGVEEDPACGPLIDGVAIRALYPPTLAKGNMLKNGGFEEGPYFLPNASWGVLVPPNIEDDHSPLPAWMIMSSKAVKYVDAAHFAVPQGARAVELVGGKESALVQEVRTVPGWTYRLSFAVGDARDGCAGSMVAEAYAARASIKVPYESKGTGGYKRAVLEFAAIANRTRVVFQSTFYHTMTDGSLCGPVIDDASLVGLRKKTAGRRLLL >ORUFI01G25220.1 pep chromosome:OR_W1943:1:21981130:21984962:1 gene:ORUFI01G25220 transcript:ORUFI01G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGGGRRKAAASLGRWRRSRMVDWGGLSKRREATSPARVRRRLPLPHKSHQPPLSSSLAFATFESKLIPHTSACLPPISSARKPPPMALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEVSAKSNYNFEKPFLYLARKLAGDGNLHFVETPALAPPDVTIDLAAQQQHEAELAAAAAQPLPDDDDDLIE >ORUFI01G25230.1 pep chromosome:OR_W1943:1:21986563:21987909:-1 gene:ORUFI01G25230 transcript:ORUFI01G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINLGNKVEAWCGDPSMGGWRLGVVVTTSGGTAATSSPAAYGGGDLEAGDLVEALDDRMWKLAELVRAGDGDGEGEFTVKIVGSPSAITVPPSMVRVRQVLTDGDIWVATYKGEEIPGAREPTARPNAAANRRAPAAAAKHRPAADQFAPPPAPSHQWAKIKRSRHATDHDAAGEVRRVEANSKRIRAMEEEEGELLVGYGNVEVVRANEPPPTAVFVNKQQEMSDEETDDDAKSVSSAGSGSSSNSESSSDGSSSESDNGDRAAPRSPPGDAQVAANQPPPPPLQPQCQPHIKEERADDDDVRTKSRAATAMKPRPAVAPIMQRRLANEQPPPPLAAAAAAVGEQIHRLEVDAYGALMRVFHATGALTWEKEELLTQLRLQLHVSSDEHLQLIRALNGGRRRLPKPEN >ORUFI01G25240.1 pep chromosome:OR_W1943:1:21990287:21991520:1 gene:ORUFI01G25240 transcript:ORUFI01G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKESWGRLTPPPPLPTLVTFNIDTNTLTDRHSNIVWIKTVLFSGNWSIIPDSSCLNASKTSGSNLKPLLFEVNKFREQ >ORUFI01G25250.1 pep chromosome:OR_W1943:1:22024349:22024600:1 gene:ORUFI01G25250 transcript:ORUFI01G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRIAVGAVKHGGGPVAAAALGAVVAQRLPSALATLGAAAVPIGAGGTRRCGGGRRLPSAPVALGAAAVQEDDGSYRCRQP >ORUFI01G25260.1 pep chromosome:OR_W1943:1:22029092:22033452:1 gene:ORUFI01G25260 transcript:ORUFI01G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLPTAAPAWPTASCWQRMWVHPGPSFPHRMMIRHPLLSSLHAARLMPCKCTAPSSPIAKHHFEEQINTTLRNIHSIEFYSSNLHEVALRFRLLRQQGYWVSPDEFNKFKCEDGSFKSDINNDPKGLLSLYHAAYLLTHNERALKEAILFATHHLELLSGSLEFPLAEQVKRALQIPLPRTLKRVEALNFIFEWWKDVSSDINLDYTRDRVVECYFCAYIVYYEKEYARARMMLAKKIMLISLLDDTYDVHATLEEARKFNEALQRWDKNAVSLVPEGLKRFFLSIMSNFRDFEDELEPHEKYRNAYNIKAFQILSNNYLQEAEWFHQKYIPSFTEHAAVSLVTGGAIELPVSIIVGMGDIATKDAFDWALSYADAGRAFGEVSRFMDDLAVSQNGREKMDVANAVECYMKEHGVTSDVAEAEISEMVEGAWRTLNQARFEDRVYLPFVQRIANVSMSIALLFHGKRDGYTNSHELKDMFESHFVNPIPLDHLDTIEDM >ORUFI01G25270.1 pep chromosome:OR_W1943:1:22037220:22039622:-1 gene:ORUFI01G25270 transcript:ORUFI01G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPQLRAATSRPLSWRSNCTAAAASASQPSEPLSAHFTNGRPLSRAPAVVRDLSSVLRAILAASPSSHSRAYPLLKSAAFDARLAPDALVDAVLSAVGGPGSRQATALLSRLLASLCRAGRAGAAAAAYASMEARGVTPDAKSRTDLLAVTARSASAADALTLLAEMRGKGRPLDAWMFDVVMRACFKEGMYDDAVRLFDEMPASEIEPDQRVCSVAIASLCKLRDANRALLVLRKMQDAGFVPWDFTFNSVVDVLVKGGRMEEALHIKDELLATGKKMSVVLATTLMHGYCLQREVRKALDIFEETLRDGLVPTDVTYTVLIRGCTEEGMPEKAYELCRQMRDHGLLPSTNEFNMVIKGLLNDKLWKDAVSLFKEMADSGIPDAFTYNILIHWLCQRRKIREALNLWEKMNETGVKPYIVTYHSLLLCYCVNGCMDEAVKLYTEMPGKGFTPNVVTYTTLMKGHINKAAFDKAYALLAEMKQNGVSCNDYTYNTLINGLCVVGRVCEVGEMLKRFETEGFVPTAMTYNSIINGFIKAGMMGSAFAVYQQMCAKGIPPNIVTYTSFIDGYCKTSCCDLALKMLNDVRCKGLRPDIAAYNSLIYGFCQEGNMSHALQVLVLMLKDGLLPNISVYNSFITGYKNLKMMEEALRFYEKMIKEGIDLDTATYTTLIDGFSKDGNVTFALKLYSEMVAKGNIPDHITFTALTHGLCRNGDIDDARKLLDEMNRLDIRPNVLMYNMLINGYLRNGKLQEAFRLHDEMLERKIMPDDTTYDILVGMKSLGSDSPIDAENPNLSSTG >ORUFI01G25280.1 pep chromosome:OR_W1943:1:22041131:22044038:-1 gene:ORUFI01G25280 transcript:ORUFI01G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVPWKVLEFYSGIGGMRYSLAASGARAEVVEAFDINDVANDVYELNFGHRPYQGNIQTLTASDLDKYKAQAWLLSPPCQPYTRQGLQKHSADARAFSFIKILNLMKNMCFPPQMLFVENVVGFEVSDTHDQLIEVLSDLNFNTQEFILSPLQFGIPYSRPRYFCLAKREPVSFQNPSDNSKLLRTPTFLTLVRAGHNRCNPDEDELELVCKPISDFLETRSLNVADQDSSVNGSDGCTPRGIISQDYVVPLNLIERWGSAMGSLLATSNNLKRISKEDLEISSLKELGLRFFTPREVANLHSFPSSFHFPNHISLRQQYAMLGNSLSVAVVGPLLRYLFAET >ORUFI01G25290.1 pep chromosome:OR_W1943:1:22044642:22045495:1 gene:ORUFI01G25290 transcript:ORUFI01G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHLQTLAPALRRAASASATGPASASAAGPASLAGAAPLSTAAAATFPPPQSKTASRMGLFSMPGGDTRQPSYGDRLMESQQLPQDYRANVPRSGDTMSRIAGGENPSYFGTPSRIFDEHKQSLVKGKRDFVHVLLKRNKTFVTMTDVRGNRKTGASAGCLEDKKGRSRLSKYATEATAEHVGRAARKMGLKSVVMKVKGTVFFNKKKKVILSFREGFRGERVREQSPVVFIHDVTQLPHNGCRLPKQRLV >ORUFI01G25300.1 pep chromosome:OR_W1943:1:22053444:22055941:-1 gene:ORUFI01G25300 transcript:ORUFI01G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVSILCLKFTIPFLLHIMCLIS >ORUFI01G25310.1 pep chromosome:OR_W1943:1:22059000:22063819:1 gene:ORUFI01G25310 transcript:ORUFI01G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLQTLAPALRRAASASASAAASGAAGPASLARAAPLSTAAAAAFRRTSPLLSGDKPATVEDVMPIATGLEREELAAELKGEKRFDMDPPVGPFGTKEAPAVIESYYNKRIVGCPGGEGEDEHDVVWFWLKKDEPHECPVCSQYFVLKVIGDGGDPDGHDDDDEHHH >ORUFI01G25320.1 pep chromosome:OR_W1943:1:22082249:22086495:1 gene:ORUFI01G25320 transcript:ORUFI01G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGSSSSGGRGGRRVEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLPLPNIKWICPTAPTRAVAAFGGFPCTAWFDVEDTSVDGRDDIEGLDASAAHVANLLSSEPSDVKLGIGGFSMGAAAALHSAACYAHGRFTNGVAYPVTLSAVIGLSGWLPCSRTLKSKMDSSQTALRRAGALPILLSHGRADEVVTYRNGEKSADFLRGSGFQYLNFKPYNGLGHYTIPEEMDDVCKWLSSRLGLDRSRG >ORUFI01G25330.1 pep chromosome:OR_W1943:1:22087088:22089688:-1 gene:ORUFI01G25330 transcript:ORUFI01G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRSTRAVSYERRRSRVSPYPSNGKGCARRSEESAAAAAAAAAAAKQAAEWEDVRCPVCMDHPHNAVLLVCSSHEKGCRPFMCDTSYRHSNCFDQYRKASKESSKDSGASAAAAPECSECQQPIKLSCPLCRGPVSHWTKDYDARKYLNVKVRACTKESCEFRGAYGQLRRHARENHPTVRPTQVDPERQRDWHRMEQQRDLGDLFSMLRSGLSAREDGIGVSEGEEDISERALHSPSITMVFIVRTGRSILHYREAFPGHHRRRTILLLGEAFGRESSPLGGASGSGDGDTTARENDEGDDDATLSTEALAGSQHDGEVDGIQLSLLLPPFLELQILMVASVRNQVKGCACCKSGCRKLYCECLQVTDTDIQRFDDNGDSSGTSGYSSGTIMGQYKL >ORUFI01G25340.1 pep chromosome:OR_W1943:1:22097679:22098560:-1 gene:ORUFI01G25340 transcript:ORUFI01G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVQVRCASPWVRAHGTPLPRARRRMHLARPPMASAAAAARARRASPPRRRSDRAQGRTRCRMNPARRIRPVAEEWAAATPASHPPRFRTRRKKGMTPPTRGRTRPPAQREDTAKGSRAAPPPPPRLRAQVAPPRYPAPARGRTKAAPAGPPPPGGPLPPWATPRWPDLETAAGSGWHGGVGGMAPVEERRLVGRGLLGEEEEKGNGMAGVNPCVRSPVVRVPPASSPWVRGWRRDGGGHWERENGSGAKREAIKWGCFYWGLAQDG >ORUFI01G25350.1 pep chromosome:OR_W1943:1:22097867:22104999:1 gene:ORUFI01G25350 transcript:ORUFI01G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPEAPVPPESARMGSPPPSHSPSPPPLQGDPSLPTDAPPPEPSPQPHHATPIPPPSPGQATEGWPRAEGGPPGAAAPPAPPSFFPSLELGTSAAPPALEAAAAGAGQPGSPSPCPPAEPAAEFYPGSAASSPSSSSYETAEDDSPASPPPTPPPLVLCASPDSSYTESSPARDRFGDEEGSHAAPEPPPPPTPLEGGPDASSAEPAAMASRAREPMGSRTAPEPPTPPLESGQEGFQQQQPHPPPTTHPECDSSEPAELPLPPTSPAEIAYTSPDSVEVDVVAVSPEEAPGSTVAMEVMYGETDTSAVSVSPVLGSAETDAAEIDVIAGMQEEAPGSTVAMEVMYRENDTAAVSVSPVLESREPDVAEGDVVAGVQEAHGSKFSMEVMYRETDAAAVSVSPVLESGEPDKAENDAVEEMQEEAHGSRFAMEATYGEPDASAVSVSPVLESVEPDVTEIDVVSEIQEEAPDSTLAMEVTSGETDTAAVSAFPVLDGGDPDAAEKNVVAGMQEEAPDSTLAIEVTSGETDTAAVSVSPVLEGVDPDAAEIDVVAGMQEEAPGSTLAMEVTYRETDATAASVSPVLDSGDPDAAEVDVVVGMQEQAAGSALAMLVTYGETDTSAVSVSPVLESGEPDAAQIDVVAGMQEEAPGSMLAMEVTYREVDAATVSVSPVLESVEPDAAKIDVVARMQEEAPGSKLAMEVMYGETGTAAVSVPLVLESREEGSLQESMQRPSSPTMNIEQESMQRPFSPTIGTETSSPEMAPAGSENCKVSWLPLPPPTPLGESMPSLPVAAAPKVLLVMLEEAVESVPSSEALDVEKPASITQAEPSSPNTPPPGFENFKSSWLPLPTTPPPVETTDVLPDVVVTKAVEAPIEEVSRPLPALEVTNMESDTVLSILPTTVLPTEGTEGLLQQPLLRPPSPVVQSEPCLQNEMAPPGFENFKSSSEPCSAEEIAPPGSDNFKSSSEPCLPEEMAPPGFENFKSSSEPCSQEEMAPPGFENFKSSWPPLPTLPQTVPDAAAADALAATVEEAAGPPPALELEAMDVDMDAIHPPPLPFDSGVESLQKPLPRAPSPIMQEAPCSPDRAPPGFETYKSSQLLLPSPSLAQTTNVRQDQSVTEPVSVIEEAPQLLHSVEVMGVHMDAVPPLLPSSESGADGLSPQQFAQPPPAEKDTTTCLPDMVHSGCDNSEPSQLLSLPAVISPVQTPDGLADVPAIDRVAVALEESPQRPLVSGEMEASTVPIRSSPLKNISEGSLPQLESESHSPTSQAADSLLDASDSKSVAVASEEMSQLPLASQATTTDLVSTTAMQPQSEGIVDESLQPQHPPSSTAHDSPCLQDSVPLVPPPPSPYLNKEVGQMVCGSCRILLAYFRGAGYVHCTCCQTMNYVLEAHEVGKVHCGHCATLLMYPFGAPAVKCSLCLFVTEIGERNVRRRLSIEQPTRTNSSGLAEA >ORUFI01G25360.1 pep chromosome:OR_W1943:1:22105378:22106540:1 gene:ORUFI01G25360 transcript:ORUFI01G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRNRLRKTKAHVSSRRRAAGKRASERRPPLGSPMEFGSGGRDARARRQLQAAGRAAAYLGGGFLLLSAASSAAVRSLRSLSDANQRKFAAPCGACEGKGTYACRLCRGSSTIEWSPLHDPVFVNPCLCPTCDGTRVQRCLNCLGKGYA >ORUFI01G25360.2 pep chromosome:OR_W1943:1:22105378:22108568:1 gene:ORUFI01G25360 transcript:ORUFI01G25360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRNRLRKTKAHVSSRRRAAGKRASERRPPLGSPMEFGSGGRDARARRQLQAAGRAAAYLGGGFLLLSAASSAAVRSLRSLSDANQGAALLELPWKRLRLKGQCVLAFGLSLATGISARSAKGDRLSYLLHEVAKFLSLAED >ORUFI01G25360.3 pep chromosome:OR_W1943:1:22105378:22106540:1 gene:ORUFI01G25360 transcript:ORUFI01G25360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRNRLRKTKAHVSSRRRAAGKRASERRPPLGSPMEFGSGGRDARARRQLQAAGRAAAYLGGGFLLLSAASSAAVRSLRSLSDANQRKFAAPCGACEGKGTYACRLCRGSSTIEWSPLHDPVFVNPCLCPTCDGTRVQRCLNCLGKGYA >ORUFI01G25370.1 pep chromosome:OR_W1943:1:22118289:22123608:1 gene:ORUFI01G25370 transcript:ORUFI01G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGDARIVVVAVAAFVLVGVAVEGKGEGGGGGGVGVCFERIFSFGDSLTDTGNFLLSVPEDFPDPARSLPYGQTFFGRPSGRYSDGRNLLDFFDFFSLILPSGTGTPFGFPVCFVYSVGVGSPSGKISYVLVRKHLVCRSCRRTWLAATSGKAQISLNGSFFRDRGVEPTWTPHSLDEQMQWFKKLLTTVSSSESELNDIMTKSLFLVGEVGGNDYNHLIVRGKSLDELHELVPKVVGTITSAITELINLGAKKLVVPGNFPIGCVPLYLSIFPSQKEDYYDEKTGCIKWLNEFTEYHNRLLQEELEKLRNLYPDVSIIYADYYGAALNIFLAPLQFGFTVPLNSCCGSDAPYNCSPSILCGHPGSVVCSDPSKYTSWDGLHFTEATYKIIIQGVLGSYANPPLSETCRGGAYKVSQLHQCTDNPTNTVTYDSMSSFI >ORUFI01G25380.1 pep chromosome:OR_W1943:1:22130974:22131303:-1 gene:ORUFI01G25380 transcript:ORUFI01G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGLRGAFYGGGIKQIGKQLGGAAFVIAWNLVVTTAILLGIGLFIPLRMPDEQLMIGDDAAHGEEAYALWGDGEKFNATQHDLSRGGGGGDRDGPERLSILGARGVTI >ORUFI01G25390.1 pep chromosome:OR_W1943:1:22136602:22136874:-1 gene:ORUFI01G25390 transcript:ORUFI01G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGGGWQRLKRRLNRMWCRYTRSSQLRPKHNFRDPMVLRLHPYVPPEALVECACGGGWWLTTSSVQWLQHIPLMLSFLQGMVVGSFG >ORUFI01G25400.1 pep chromosome:OR_W1943:1:22140967:22142742:1 gene:ORUFI01G25400 transcript:ORUFI01G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDELTTKPIEIKFSSCRGVTFEPKPSPASPFAIAAAAAAAACPAKPPPAAPSTGRWIWLPLLSSSRYSSFSRIIPAKPDGGVGRSQSRASSHFCDLDVAGDEEEDDGVSVFDGHDEEMAVAAAAAADVEDDLKGKKKPSVSAAAAPATARRSRLAVILFDQGLFTVYKRLFVLCVALNAAAVALAASGHFPYAERRAAVFAMGNILALTLCRSEAALRVVFWLAVALLGRPWVPVVAKTGVTAILQSLGGVHSGCGVSSVAWLAYALVQALRRRDEMPPEIVAVASAILFLLALSCAAAFPLVRHLHHNVFERTHRFAGWGALALLWTFVVLSAGYDREARSYVPLAGAVLAGREDLRLAAAITFFTALPWLTVRRVPVTVTAPSTHAAILTFQGGVRAGLLGRISRSPLSEWHAFGIISDGRRTHAMLAGAVGDFTRGLVADPPTHLWVRGVHFAGLPYLIGMYRRATMVATGSGICVFLSLLMQPSTTTATELSLVWVAKGVEANYGEEIRAAVAAAAGGKSMAGRVVVHDTAVMGRPDVRELAVAAARRWGAEVVVVTSNPEGSRDVVSGCRKAGIPAFGPIWDS >ORUFI01G25410.1 pep chromosome:OR_W1943:1:22147673:22150299:1 gene:ORUFI01G25410 transcript:ORUFI01G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMSAASSPSCLLLLPRPTKPTPPLLPSTSSCRRPPRCRIRSLRPENPAPPAAGVARAVASSSSPMEMEAAQTQACGGGEGQQEAMRLLFVEMGVGYDQHGQDVTAAAVRACRDAITSNSIPAFRSGSIPGVNTEQMKLQIKLGVPRPTQHLLDVERVKAVFPYGKIISFEVVDGGMICSSGVCLEAMGDKNDDCYIVNAAVYVGY >ORUFI01G25420.1 pep chromosome:OR_W1943:1:22150376:22151690:-1 gene:ORUFI01G25420 transcript:ORUFI01G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVPVSRHLRVALLALLVVAVAAAAAARGDQPRRGHSMAARHERWMARFGRAYADAAEKARRMEVFAANAERVDAANRAGGDRTYTLGLNQFSDLTDDEFARTHLGYSWAPPPPSHRHGHRAENGTAAAAADDTDVPDSVDWRARGAVTEVKNQRSCGSCWAFAAVAATEGLVQLATGNLVSLSEQQVLDCTGGANTCSGGDVSAALRYIAASGGLQTEAAYAYGGQQGACRAGGFAAPNSAAAVGGARWARLYGDEGALQALAAGQPVVVVVEASEPDFRHYRSGVYAGSAACGRRLNHAVTVVGYGAAADGGGEYWLGENQGGGGGVARGGAAGGNCGIATYAFYPTMDS >ORUFI01G25430.1 pep chromosome:OR_W1943:1:22158105:22159844:-1 gene:ORUFI01G25430 transcript:ORUFI01G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRWLCLILAAAAAAAASGAPAPEDDPGMPMVARHRCWMARVGRTYADAAEKARRFELFRANAERIDAANRAGDLTYTLGLTPFADLTADEFRARHLMPDADVDEPATARVLFEQEEKAAKQHLPPSRPPAVWGSKDWRDLGAVTPVQDQDKNNCNSCWAFAAVAATEGLIKIETGNVTPLSAQQVLDCTGGDNTCKGGHIHEALRYIATASAGGRLSTDTSYRPYDGEKGTCAAGSGSASSSSVAVVIRGVQKVTPHDKDALRAAVERQPVAADMDSSDPEFRGFKGGRVYRGSAGCGKKRNHAVAVVGYGTASDGTPYWLLKNSWGTDWGENGYMRIAVDADCGVSSRPAYPFV >ORUFI01G25440.1 pep chromosome:OR_W1943:1:22160440:22166989:-1 gene:ORUFI01G25440 transcript:ORUFI01G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLAATTTTTTPPCGGLLTARASASSPGCFLRALPRSRAMASASGADAAAAAVAPPSLLVFSGGTAFNGVVEELKKVTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTSEALSVRTLLGHRLPLDPSEAKLEWYQIVEGEHALWDGVSQPYRETIRAFLVYFHNEILRRSAEMFCFTNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGRKEVVNKDCNSCSALPSRIKRVFYMSSEGSNLLHEVFPEANRTVLEQLSKVDCIVYAMGSLFTSVCPSLVLRGIGETIASRSIPKVLLLNGSHDRETTGLPASGFVTAITDSLNRTYGYPDKSLKNLPKDYVNALLVPKGGQIPLDIKNLSSKGIFHVVTVDSIHDGKTGIIFDPHSLIQALTSLISDRRFVEPDLLTENVESLNK >ORUFI01G25440.2 pep chromosome:OR_W1943:1:22160440:22166989:-1 gene:ORUFI01G25440 transcript:ORUFI01G25440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLAATTTTTTPPCGGLLTARASASSPGCFLRALPRSRAMASASGADAAAAAVAPPSLLVFSGGTAFNGVVEELKKVTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTSEALSVRTLLGHRLPLDPSEAKLEWYQIVEGEHALWDGVSQPYRETIRAFLVYFHNEILRRSAEMFCFTNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGRKEVVNKDCNSCSALPSRIKRVFYMSSEGSNLLHEVFPEANRTVLEQLSKVDCIVYAMGSLFTSVCPSLVLRGIGETIASRSIPKVLLLNGSHDRETTGLPASGFVTAITDSLNRTYGYPDKSLKNLVSSVTVPLNRFSANDWRNYASYHLLALEDGNIPQISPKDYVNALLVPKGGQIPLDIKNLSSKGIFHVVTVDSIHDGKTGIIFDPHSLIQALTSLISDRRFVEPDLLTENVESLNK >ORUFI01G25450.1 pep chromosome:OR_W1943:1:22169976:22173515:1 gene:ORUFI01G25450 transcript:ORUFI01G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSAAAMANKPSLAVAAASRQRWALATSLCALLCLSLVVSAGLLLLGSTRPFRRPLFAAPQQQQLQQQREVVGEAPWERYVKLAQAASPGGARDRAPDLGGDEGAEGDDDDAISTAPAPAPSPAAEEGGDEESCDLFQGRWVRDGAAAGGYPLYEAAECPFLSDQVTCRRNGRPDAEYEQWRWEPRGCGGGGGGGSREAALALALEQCRNRRVVFVGDSLNRNMWESLACLLYTAVPDRSRSRVLDVASDYRIFRAMDYNCSVEFFWSPFLVTLETKQDRTRALKLDQLPATLEKLRGADVLVFNTGHWWTHTGNLRAWDHLEADGKPVEMGGEEAFNQALGTWASWVDQNVDSARTRVFFRSISPEHKSENWCYNQTSPITDETKIVPWFPRSLVSIVERNIRSTRTPVTYLNITRLSELRVDAHPSVYTITREGKPLSTEQRQQPLVYADCSHWCLPGLPDTWNLLLLASLARSPVNVH >ORUFI01G25460.1 pep chromosome:OR_W1943:1:22172525:22177987:-1 gene:ORUFI01G25460 transcript:ORUFI01G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYPKKRKPDENGAAVASSPAAGAAALGLTRDDLLRLVEPLSRDQLADIAATAALASGVALDAVRAAADRDPALRKLFVRGLGWETNSDSLRAIFSAFGDLEEAVVITDKSTGRSKGYGFVTFRHADSAVLALKEPSKKIDGRMTVTQLAAAGAAGGASGGAAGAGGAPAADVSLRKIFVGNVPADMPSERLLAHFAAYGEIEEGPLGFDKQTGKFRGFALFVYKTPEGAQASLVDSVKVIDGHQLVCKLAIEGKKGKQSQQQQQQSGPGGAQPPQMLQGGPPDMPGSGLGLGGPQMGAQYGGPGSLSSYGAFGGVGAGLGGPNPYANLPSSMGGGGAGLGSLGNQMPSGMGGAGAGAYGPGGLGGGSFGGSSQFGAGGMGAYGGLGMGGASSLYRMQQGSGGLPSGGYGEGNYPLPGPGFRGQEGGMSPGPGGRAPMYPNVPPYF >ORUFI01G25470.1 pep chromosome:OR_W1943:1:22181780:22186233:1 gene:ORUFI01G25470 transcript:ORUFI01G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGEQSQAKAEAEEAVELVLFQVAECYVYLIPPRKTAASYRADEWNVNKWAWEGTLKVVSKGEECIIKLEDKNTGELYARAFLREDEPHPVEPVIDSSRYFVLRVEENIDGRQRHAFIGLGFRERPQAYDFQAALHDHMKYLNKKKTAEEMVQHYEKSSSVDYSLKEGETLVLQLKNKPAVEPGFSLVSYFNGPIGQNSLAQKESGAKIKSAFFEQGLNKLSFNEKANSKEAPVSLKLPPPPPSPVSPTDSGIAASPFKAEFPSQDQPAADTGADTTPFKAEFPSSHEQPAADNVASSPPPKAEAAPQEQPTAAEKAPQESVDDDFGDFQAAG >ORUFI01G25470.2 pep chromosome:OR_W1943:1:22181780:22186233:1 gene:ORUFI01G25470 transcript:ORUFI01G25470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGEQSQAKAEAEEAVELVLFQVAECYVYLIPPRKTAASYRADEWNVNKWAWEGTLKVVSKGEECIIKLEDKNTGELYARAFLREDEPHPVEPVIDSSRYFVLRVEENIDGRQRHAFIGLGFRERPQAYDFQAALHDHMKYPCVHYLKHDIYLNKKKTAEEMVQHYEKSSSVDYSLKEGETLVLQLKNKESGAKIKSAFFEQGLNKLSFNEKANSKEAPVSLKLPPPPPSPVSPTDSGIAASPFKAEFPSQDQPAADTGADTTPFKAEFPSSHEQPAADNVASSPPPKAEAAPQEQPTAAEKAPQESVDDDFGDFQAAG >ORUFI01G25470.3 pep chromosome:OR_W1943:1:22181780:22186233:1 gene:ORUFI01G25470 transcript:ORUFI01G25470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGEQSQAKAEAEEAVELVLFQVAECYVYLIPPRKTAASYRADEWNVNKWAWEGTLKVVSKGEECIIKLEDKNTGELYARAFLREDEPHPVEPVIDSSRYFVLRVEENIDGRQRHAFIGLGFRERPQAYDFQAALHDHMKYLNKKKTAEEMVQHYEKSSSVDYSLKEGETLVLQLKNKESGAKIKSAFFEQGLNKLSFNEKANSKEAPVSLKLPPPPPSPVSPTDSGIAASPFKAEFPSQDQPAADTGADTTPFKAEFPSSHEQPAADNVASSPPPKAEAAPQEQPTAAEKAPQESVDDDFGDFQAAG >ORUFI01G25480.1 pep chromosome:OR_W1943:1:22186780:22192477:-1 gene:ORUFI01G25480 transcript:ORUFI01G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAEAAAAAPRPLQAAAIGVCAETGFWDALRRLKLDVLGTDDSPIPITGYYTPRQYEKIASLFRICPESILPPSANSFGDRNNCPVPGTLLNTNNMRGFQNLDRALLLKAEAKKILHDIKSGKVEENPALLLRFLVISFADLKNWKVYYNVAFPSLIFDSKITLLSLKLASQVLKQEEATSLSNAFTEWRKSSETTVVPFFLINISPDSSATIRQLKDWKACQGNGQKLLFGFYDHGNRGFPGWALRNYIAFVSLRWKIEKVHFFCYREKRGRPDIQQSLVGEASFPAPHGWDERDYVPEAIGWEGETAGKESKEMKPKEIDLSSINPASQDEEKQLMHLKLMGWRHFPVNLDKLAGVRCLLLGAGTLGCEVARLLMTWGVRKLTVVDDGCVSMSDLVKQSLYTDKDCGVPRVTAIVPHLKERCSAVEVEGIQMGIPKLEYNISASKISSITDDCKRLQTLVDSNDVVFLLNETWEGMWLPTLLCADKNKIAITVLLGYDNYLVMRHGAGPGTKSGGMDEGIAQIENLSTQDALGRQRLGCCFCSDTTSLVNSDHNGALDQQSAVILPGLTSVASGKAVELFARMLHHPDEIHAPGDIAGTDTEHQLGLLPHQMQGSLSKCVLSTVLCNSSSNCIACSNAVLSEYRRRGFDFVTQAITCPTYLKDLTGISDLKKPFASKISASIPVSKTSASIPVNLEKLSSARCLLLGAGTLGCDVARILMDCGVRKLTVVDSGRVVVSNLARQSLYTSDDRDSPKASAILGRLRERCPSVDAKGIKMEIPMPGHPVSPNEAVSVLEDCKRLQELVSSHDAVFLLTDTRESRWLPTLLCANENKIAITAALGYDSYLVMRHGAGPGTNCGSPDVVAAADTLSAEDVLGRQRLGCYFCNDVVAPVDSVSNRTLDQQCTVTRPGLASITSGCAADLFTRMLHHPDGIHAPGEIAGTSSEGPLGLLPHQIRGSLSQYNLLTLLGYSSSNCTACSNAVLSEYRRRGMDFVMQVINEPTYLEDLTGLTDLMKSAAYSQVEWIDEVDDDDEMDI >ORUFI01G25490.1 pep chromosome:OR_W1943:1:22196885:22197088:-1 gene:ORUFI01G25490 transcript:ORUFI01G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSETPKTEWPELVCRTIKEAKEKIKADRPDLKIEVVPVGTIVTQEFDENRVRIWVDTVAKTPTIG >ORUFI01G25500.1 pep chromosome:OR_W1943:1:22198969:22199187:1 gene:ORUFI01G25500 transcript:ORUFI01G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSKPCGGLKTEWPELVGLTIEQAKAKIKADRPDLQVEVLPVGTIILGVVVPNRVILWVDTVAEIPKIG >ORUFI01G25510.1 pep chromosome:OR_W1943:1:22201156:22202615:-1 gene:ORUFI01G25510 transcript:ORUFI01G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAAVAAAAAAAEPTVSKSAPELVPPAGPTPRGALPLSSIDKTAAVRVSVDFIQVFPPATGGPAADGQDAAVARMRDGFARALVPYYPVAGRIAEPAPGDVVVDCTGEGVWFVEATASCSLADVNNLERPLLIAKEHLLPRPPPEEKLEDLILMAQVTKFTCGGFAVGICFSHLVFDGQGAAQFLKAAGEMARGLPAPSVPPVWDRDAIPDPPKPPPRGPPPSFTAFNFVTQVVDVSPESIARIKEDFSASPTGGGQACSTFDAVTAVVFRCRALAASSLPDDAEVRLGFAASTRHLLAGVLPAVDGYYGNCVYPVGIARHRGAVRGAALAEVVGVVREAKEALAARFTDWLGGGGGGGDHYDVPLDYGTVTVSDWSRVGFNEVDYGFGEPGYVFTLNDDVNIVASVIYLRPPAPKRGIRLMLRCVEEPHAAAFADELAKFA >ORUFI01G25520.1 pep chromosome:OR_W1943:1:22203662:22206355:-1 gene:ORUFI01G25520 transcript:ORUFI01G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTKSPPALVPPAGPTPGGSLPLSSIDKTAAVRVSVDFIQIFPSSAEAAKDQAASVAAMREDFARALVHYYPVAGRIAEPVPGEPEIDCTGEGVWFIEAEASCSLEEARNLERPLCIPKEELLPRPPPEVRVEDTVLLAQITKFTCGGFAVGICFSHLVFDGQGAAQFLKAVGEMARGLPEPSLKPIWARDAIPNPPKPPLGPPPSFTAFNFEKSVIEISLDSIKRVKDQVASETNQKCSTFDVVTAMMFKSRTLAIDFAPDADVRLGFAASTRHLLNNVLPSVDGYYGNCVYPGGLAKTSQEVKDASLVEIVTAIREAKDVLSTRFLDWMSGGAKENHYNVSLDYGTLVVTDWSHVGFNEVDYGFGEPSYVFTLNDDVNIVPSVVYLKPPKPKQGIRLVLQCVEAQHSKVFGEELQKLA >ORUFI01G25530.1 pep chromosome:OR_W1943:1:22215331:22217172:-1 gene:ORUFI01G25530 transcript:ORUFI01G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVASPRETVSPPMSLGKVGVAVVGGGRRRSRYRLETRGLSYVLPARGAAARLFLGGGGEERLLLRGVTCEAPPGEVVAIVGPSGAGKTTLLSVLAGSADPARVVAGEVLVNGRAMDAARFRRVSGHVPQDDALFPMLTVEESLVYSARLRLRGAGGGNGGAAVARARELMAELGLRHVAGSRVGRVSGGERRRVSIGVDLVHDPAVLLLDEPTSGLDSGSALHIVKMLRDMAAAHGKTVVLTIHQPGFRILELLDRVVLLADGAVRHHGSLGFLEARLAASGHGIPPHVNVLEYAMECIDSLKPEVTTADASHADAASGSSRRGASSAPYANSAAAEVCILSARFAKTVLRTPQLFAARMAQSLLAGAFLGTVFLGAGDLQSRLGFFAFTLTYVLSSTTEGLPVFLQERRILERETSRGAHRVASYVASNAAVFLPLLLASALLYAAPVYWLAGLAREPGRFAYFSLVVWLVMLTANSFVACFSALAPSYIVANSLIAGLIGCFFLFSGYFVASKNIPRYWVFMHYASLFKYPFEAFLVNEYGGARGGAECLAEVGGGLCVLDGATLLRQQGMRASMRWSNVAVMLGFVVGYRLLCFVFLWFRCHRMRR >ORUFI01G25540.1 pep chromosome:OR_W1943:1:22234562:22242947:1 gene:ORUFI01G25540 transcript:ORUFI01G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMKTLTQALAKASAVIEKTVTTTVQEVTGPRPLQDFELLEQAGSGGPGLAWRIYTARPREGAPSAPYPVVSVWVLDKRALAEARARAGLTKAAEDAFLDLARADAARLVRLRHPGVLHVVQALDETKAAMAMATEPVFASVANALGCLDNVGKVPKELKGMEMGLLEVKHGLLQVSETLDFLHNNAHLAHRAISPETVFITLNGSWKLGGFGFALSVDQATGSLTSTQQFHYSDYDVEDTALPLQPSLNYTAPELVRSGDSKVGSACDIFSFGCLAYHLVARRPLLDCHNNVKMYMNSLTYLTSEAFSNIPADLVVDLQRMLSVDVASRPSAMAFTGSSFFRNDTRLRALRFLDHLLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKGDFELSTLPALVPVFTSASGETLLLLVKHADLIIHKATQEHLISHVLPMLVRAYDDTDPRLQEEVLRRTVPLSRQLDVKLLKQSVLPRVHGLALKTTVAAVRVNALRCLGDLVPSLDKEGILEILQTLRRCTAVDHTAPTLMCTLGVANAIFKQCGVEFAAEYVIPLVFPLLTAHQLNVQQFAKYILFVKDITSKIEEKRGVTVTDNGNTEVKVSPSLANGIHSEPLSRGVGQTEQIQTAKGAAWDEDWGPTKKASTPSQSSDSNARMKQPSDPFDFSTQTKQPSALPFDFSTQQPKPSSAIPQVTPATISPAPSLPSLQSLAPSSGPQTSGSCVPVDIEWPPRKNTSSDFNAPLSVSEQSKSGGLSSDGLADIDPFADWPPKASSGASILAVGHLSSTSQSISGLSTGNIGFSGNSGSLGQMKTNQMSWSAKPNTTNLMAVNSTGNYLNQGNSALGFGNPIGGRSTGSLSSSTTQGPPRLAPPPSASVGRGRGRNQGQSALSRASRTPHSNVSSEQPPILDLL >ORUFI01G25550.1 pep chromosome:OR_W1943:1:22267568:22271710:1 gene:ORUFI01G25550 transcript:ORUFI01G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADRIRVRAAALALDGGGGGAVRDKPDAKADVFADLGSPVSPLRARASVATSSSSSSGSAKSPAPSNAGALALAGGRSHSGELTAESTPPRLPGHRRCGSGPLIFSGGSSGGSGGGGGDRGSTASSPMTNALPAGNICPSGRVPVAAAAPPPPRSRPDVLGSGTGNYGHGSIMRGGGGMAPARSSIDSSSFLGHAPRSPATFPAASSASSGSLQDVTRLGNEWYKKGKHAEALRHYDRAVALCPESAACRGNRAAALAGLGRLADALRDCEEAVRLDPANGRAHSRLAGLCLRLGMISKARRHLTQAGHLHQSDPSEWEKLQEVEMHQGRSIDARKVGDWKSALREADAAIAAGADSSRLLLAIRSEALLRLHKLEEADSTLASLLKLDSVLLYRMGANPSGMLAESYVSIVRAQVDMALGRFDAAVEAAENARFIDPGNAEVGMILNNVKLVAKARAQGNELYKAAKFSDASIAYSEGLKYEPSNPVLYCNRAACWGKLERWEKAVDDCNEALRIQPNYTKALLRRASSYAKLERWADCVRDYEVLHKELPADTEVAEALFHAQVALKTTRGEDVSNMKFGGEVEMVTSVEQLRAAIGSPGVSVVYFMSIMNQQCTLITPSVNSLCSECPSLNFLKVNVEDSPMVAKAENVRIVPTFKIYKDGVKVKEMICPSLHVLRYSVRHYAVSSS >ORUFI01G25560.1 pep chromosome:OR_W1943:1:22279549:22285680:-1 gene:ORUFI01G25560 transcript:ORUFI01G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPFYPHGHGGAAGGEGAAAAGYSSYEVDLIAARYGGRPLANPSSAAADLDARLAGARRSMGVLYHQPIMGSHSTVEQIEALYSSNTMTKRPRLESSLPIYPQRPGEKDCAFYMMTRTCKFGGSCKFDHPQWVPEGGIPNWKEAANVEESYPEQEGEPDCPFFMKTGKCKFGSKCKFNHPKEKVNALASGNTNDFYAKTGKCKFRAMCKFNHPKDIEIPSSQNEPESAVTVEGETDIGSAADSVSAKMQTPVAAAQEFNSKGLPMRPGEVDCPFYMKMGSCKFGSTCRFNHPDRLVLNFPLPLGQTILPTPESMLLNSSANFMQGFDFHAAHMPVGPGPVTYPQRPGATVCDFYMKTGFCKFADRCKFHHPIDRSAPDPSANWEPAEESVQLTLAGLPRREDAVVCAFYMKTGVCKFGMQCKFDHPPPQEAIAKVSNSGS >ORUFI01G25570.1 pep chromosome:OR_W1943:1:22288199:22291171:1 gene:ORUFI01G25570 transcript:ORUFI01G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWRAAGGARAVLRRLSAAAEAAAKQDGRVFAASYSGSSGGVNAPFGLGQYANLLRAQAFASRGVALNFHQLIRNAGISTTRNLLAADDAMVPVSSPLTPPLGDGEQTDKKGAIVKRLKVQAIKKDIKQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANAAHNHGLDPDKLIVEEAFVGKGLYLKRLSYHAKGRCGVMVRPRCRLTVVVREATAEEEAKIAKLRVSNYKKLTRKEKQLMPHRLIEVSPRWARKRKEEAGAAA >ORUFI01G25580.1 pep chromosome:OR_W1943:1:22294039:22296107:1 gene:ORUFI01G25580 transcript:ORUFI01G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEPSRSRLPLLHHFSLLASLCSARWRDDSRGFLWYPAPRPPRRGPLAIVVYAHDETAMSLEQEDWEINGIDRSGLDAQVAEAYSANLVQIASPRTLRPVLVLTPVTADEVRAYVVCCRDHGLTVRARSGGHDYEGLSYCSLRPSGDGEGAARFAVVDVAALQAVRVDAARGVARTKAGATRAIWFQNVCLQNGKRRLNLTVVLSICEQI >ORUFI01G25580.2 pep chromosome:OR_W1943:1:22291894:22294598:1 gene:ORUFI01G25580 transcript:ORUFI01G25580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVFSASARRLLSTVAGGAGAGSAAELPVSIGHLRSLARAGRLADIDAALAPHLASHSVAAVSALSSVGLPDRASALLATIRNPTAAHLNALLAPLLRRHRLVGLVPTLLAAHPSVPRDDATEGIHAKALCIATGADSALHLLQRESPPPSLQLFTSVIDSYYKQRKPHRAEQLWREMVEDHGIVPDAAAHNVRITYKAATGTVEEVKELIRAMREDAGLQPDIVSHNGLMRAMARHGRVDEMMEVYKRLEKGSASAAAEGKSAPDCATYTCVVAALCKAGRWSEADDVFYEAVKRRKLADLGTARVLVRGLKEAGKGRAARRVVIGLRKKFPDRFDGPWKDLEELAGIAGEDEEGDVEGEDDEQPPATTTTTAALNTSPILELGTAAEEKKATAARSKAKTAAKAKAEQSSGRDREQDDHGAKPLAPPSPPPLLAARLAVFRAVARRQPRLPLVPRPAPPSAWPACYRSLRS >ORUFI01G25590.1 pep chromosome:OR_W1943:1:22300579:22305183:1 gene:ORUFI01G25590 transcript:ORUFI01G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHSTRLQLLPIPAHGPIQLQLARVCSATRHLARRPLAGGGGGAIPHRVLRGACTTAPASRPPPYPLSPSDLDAVSALLPRLVSAGNVPAAGRLLTAALLLPGSTERLPFSPLAAHLASMPTLTPAFALLTAIRHHPLRPSPLPLATPLLGHLLSRRRAREAASVVRWLCRPDSPQRPDSATFAVAVAGLCRLEDPRNALAALREMAVDGIRTSAKLRESVRDAMLQDARIEEAWALEAAMKQPDSGKLAELVQKLLEEWED >ORUFI01G25600.1 pep chromosome:OR_W1943:1:22307467:22312781:-1 gene:ORUFI01G25600 transcript:ORUFI01G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKSCGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVLEKERKGEYLGKTVQVVPHITNAIQEWIERVAMVPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLSVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKELEENVKEKLSQFCHVPAANIVTLYDVSNIWRIPLLLRDQKAHEAILKVLNLDSFARGPKLDEWVARATLFDALQDTVRIAMVGKYTGLSDSYLSVLKALLHASVDCRRKLVVDWVASTDLEDSTAIEAPDAYKAAWDLLKGANGVLVPGGFGDRGIQGKILAAKYARENNVPYLGICLGMQLAVVEFARSVMNLPEANSTEFDPNAKTPCVIFMPEGSKTHMGGTMRLGSRRTFFEVADCKSAKLYGNVSYVDERHRHRYEVNPDMVPEFENAGLQFVGKDETGRRMEIIEIPNHRYFVGAQFHPEFKSRPSKPSPLFVGLIAASSGQLDRLLQGSCNGHVVSTKHSLSNGAYTSTVHQNGHAKKLANGLSNGTYYPNGNGVHA >ORUFI01G25610.1 pep chromosome:OR_W1943:1:22343645:22354897:-1 gene:ORUFI01G25610 transcript:ORUFI01G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLPAKEANLFKVIVKSYETKQYKKGLKAADSILKKFPEHGETLSMKGLTLNCMDRKSEAYELVRRGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLTLKPNHRMNWIGFAVAHHLSSNSSKAIEVLEAYEGTLEDDYPPENERYEHSEMLLYKISLFEECGMLDRALEEMQKKESKIVDKLSFKEQMACILLKLGRFEEAEKTYRSLLFMNPDNYKYFIAVQKCLGLYSENGQYSTDDVDRLCELYSSLKEEYGWSSAVKRIPLDFLEGEKFQEAADNYVRPLLTKGVPSLFSDLSPLYEQPGKANILEELFLKLERSIRTSGCFPGSSHTEPPSTLLWTLFLISQHYDRRGQYDIALDKIDEAISHTPTGKILQHAGNFSAAAALADEARSMDLADRYLNSECVMQMLQADQVGLAEKTAVLFTKDGDQHNNLHDMQYELASGESYYRQGDLGRALKNFLAVEKHYADMTEDQFDFHSYCLRKMTLRAYVSMLKFQDRLHAHEYFHKAAAGAIRCYMKLHDSPSKSSTEENDEMSKLPPAQRKKLRQKQKKAEARAKREAEEKQEDETTSSHTSKSGKKQNARPVDLDPHGEKLVQIENPLAEGTKYLKLLQNNSSDSLETHTLSFELNMRKQKILLAFQAVKQLIKLDENSPDSHRCLIRFFHKINNLPSPGTDSEKLIWNVLEAERPDLRQLHGKSLVEVNRSFLEKHSASLTHRAAAAEMMYLLEPDKKLEAIKLIEDSVNSTASGNSVLGPVNEWKILDCIDVHKLLETIFGDQDVANSWKARCAEYFPYSTYFEGIKSASAAYCSVANSLEDSSENGIVANAQMKSADGETCTLNGTVHIVDELSNLSIR >ORUFI01G25620.1 pep chromosome:OR_W1943:1:22360653:22363552:-1 gene:ORUFI01G25620 transcript:ORUFI01G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEFAANGGIHGSLTGISIGVGVSSTQKAFGDIAFAHSFSNILIEIQQTLFAHRCLLCFVVHDTIKAPPPSEVKVMKSATRLSVVTTTVFYMLCGCMGYALPDNLLTGLGFYESFWLLDVANVVHLPTQIKRFLTPIRPTPTNHPIQHTHHAPSQHHTLQNLPQTPPPPPPSPWRAAAAAAGYASKSTTLPQKQQRVRDHAFDGIMEVQKRVRRFLALHSLLLYAAAPTALAGGGGGAVSVPFSRLGALARRQLRLAPLDAGRFLLRHPHAFHLFLHPVHRVLHARLTPRAAAALRLEADAVASSLPASIVRIRKLLLLAPPHHRLRLEHIRLLRRDLGLPDDFAESIIQAHPALFRLTPDQFVEFVPSPSDPPGLSVAAVERAREQHYREHRNPGAGEEDVRFAFPTRFPPGFKIGKYFRIAVWKWQRLPYASPYADVSGHDLRSLEARRRMEKRAVAAVHELLSLTVEKRTTLERLALFRDALGVPKKIKEFLLKYQGIFYISTRGNQGKLHTVFLREAYYKGELVEPNEIYAARRKLEELLMLNPQKANLDRMFTSMGRGWDELGGGRRGGAELREEFLGEASDRRTNTKVDGEYGGDSGDDSGVESLYIE >ORUFI01G25630.1 pep chromosome:OR_W1943:1:22367503:22377015:1 gene:ORUFI01G25630 transcript:ORUFI01G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADPFLAASSPAHLLPRTLGPAAPPGTAASPSAARGALLDGISRPLKGSKELVEQARMAMKAVGDIGKLYGGDGAGVAAAAADGKNNQLGRRPAPDRKRFRLKTKPPANKPVQNVDYTELLNIEDPDEYFLTLEKLERADKEIKRLRGEVPTEGTYNNRGIEPPKLRPGLLRRKSVHSYKFSASSDAPDAIEAPASQTETVTESQTTQDDVHGSAHEMTTEPVSSRSSQDAIPDISAREDSFVWKDNSFTLNYLLSAFKDLDEDEEENLLRKTLQIKEISIGKVCLPDFNVPGDTPASNTTEQKNPMSDHALERSAPGSNLARISQLEKRIFVGDALEDKHADLSKDDESDGSPESLLCKQSPVRRSSDAVGLMINEGSTAMETSSPSIKSPEHVLESESNPPDGVTTDEQPTENSPIGVDRDSELVKEKGTSSRHSVSLEEDVMPIDCTVSPPDHLEGGSTEVLTNITSRNVSPLHHGDGNSEHQEIVGGDVAQDNPIHTLETPPEDTPQNQSEIHRGSIEKLAVDKSNALSPSQGKQHRGKNKKQPSKRGKRETDNPIHTSETPPMDTNPQNQSEIHRGSIEKLAVDKSNALSPSKGKEQRGKNKKQPSKRGKRKTDNLIHTPEIPPEDTDNTVHTPEIPPEDTYPQNQSEIHRGNTEKLAVDINNALSPSKGKEQRGNNKKQPSKRQKRAAGEAGDLETHAPNFEPEIQPHVQDTDDEQQPACTSQSPSPSNGTSKNEVRKRNKKQDLNRRKSLADAGLTWQAGVRRSTRIRSKPLQHWLGERFIYGRIHGTMATVIGVKSFSPSQEGKGPLRVKSFVPEQFSDLLAESAKY >ORUFI01G25630.2 pep chromosome:OR_W1943:1:22367503:22377015:1 gene:ORUFI01G25630 transcript:ORUFI01G25630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASADPFLAASSPAHLLPRTLGPAAPPGTAASPSAARGALLDGISRPLKGSKELVEQARMAMKAVGDIGKLYGGDGAGVAAAAADGKNNQLGRRPAPDRKRFRLKTKPPANKPVQNVDYTELLNIEDPDEYFLTLEKLERADKEIKRLRGEVPTEGTYNNRGIEPPKLRPGLLRRKSVHSYKFSASSDAPDAIEAPASQTETVTESQTTQDDVHGSAHEMTTEPVSSRSSQDAIPDISAREDSFVWKDNSFTLNYLLSAFKDLDEDEEENLLRKTLQIKEISIGKVCLPDFNVPGDTPASNTTEQKNPMSDHALERSAPGSNLARISQLEKRIFVGDALEDKHADLSKDDESDGSPESLLCKQSPVRRSSDAVGLMINEGSTAMETSSPSIKSPEHVLESESNPPDGVTTDEQPTENSPIGVDRDSELVKEKGTSSRHSVSLEEDVMPIDCTVSPPDHLEGGSTEVLTNITSRNVSPLHHGDGNSEHQEIVGGDVAQDNPIHTSETPPMDTNPQNQSEIHRGSIEKLAVDKSNALSPSKGKEQRGKNKKQPSKRGKRKTDNLIHTPEIPPEDTDNTVHTPEIPPEDTYPQNQSEIHRGNTEKLAVDINNALSPSKGKEQRGNNKKQPSKRQKRAAGEAGDLETHAPNFEPEIQPHVQDTDDEQQPACTSQSPSPSNGTSKNEVRKRNKKQDLNRRKSLADAGLTWQAGVRRSTRIRSKPLQHWLGERFIYGRIHGTMATVIGVKSFSPSQEGKGPLRVKSFVPEQFSDLLAESAKY >ORUFI01G25630.3 pep chromosome:OR_W1943:1:22367503:22377015:1 gene:ORUFI01G25630 transcript:ORUFI01G25630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASADPFLAASSPAHLLPRTLGPAAPPGTAASPSAARGALLDGISRPLKGSKELVEQARMAMKAVGDIGKLYGGDGAGVAAAAADGKNNQLGRRPAPDRKRFRLKTKPPANKPVQNVDYTELLNIEDPDEYFLTLEKLERADKEIKRLRGEVPTEGTYNNRGIEPPKLRPGLLRRKSVHSYKFSASSDAPDAIEAPASQTETVTESQTTQDDVHGSAHEMTTEPVSSRSSQDAIPDISAREDSFVWKDNSFTLNYLLSAFKDLDEDEEENLLRKTLQIKEISIGKVCLPDFNVPGDTPASNTTEQKNPMSDHALERSAPGSNLARISQLEKRIFVGDALEDKHADLSKDDESDGSPESLLCKQSPVRRSSDAVGLMINEGSTAMETSSPSIKSPEHVLESESNPPDGVTTDEQPTENSPIGVDRDSELVKEKGTSSRHSVSLEEDVMPIDCTVSPPDHLEGGSTEVLTNITSRNVSPLHHGDGNSEHQEIVGGDVAQDNPIHTLETPPEDTPQNQSEIHRGSIEKLAVDKSNALSPSKGKEQRGKNKKQPSKRGKRKTDNLIHTPEIPPEDTDNTVHTPEIPPEDTYPQNQSEIHRGNTEKLAVDINNALSPSKGKEQRGNNKKQPSKRQKRAAGEAGDLETHAPNFEPEIQPHVQDTDDEQQPACTSQSPSPSNGTSKNEVRKRNKKQDLNRRKSLADAGLTWQAGVRRSTRIRSKPLQHWLGERFIYGRIHGTMATVIGVKSFSPSQEGKGPLRVKSFVPEQFSDLLAESAKY >ORUFI01G25630.4 pep chromosome:OR_W1943:1:22367503:22377015:1 gene:ORUFI01G25630 transcript:ORUFI01G25630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASADPFLAASSPAHLLPRTLGPAAPPGTAASPSAARGALLDGISRPLKGSKELVEQARMAMKAVGDIGKLYGGDGAGVAAAAADGKNNQLGRRPAPDRKRFRLKTKPPANKPVQNVDYTELLNIEDPDEYFLTLEKLERADKEIKRLRGEVPTEGTYNNRGIEPPKLRPGLLRRKSVHSYKFSASSDAPDAIEAPASQTETVTESQTTQDDVHGSAHEMTTEPVSSRSSQDAIPDISAREDSFVWKDNSFTLNYLLSAFKDLDEDEEENLLRKTLQIKEISIGKVCLPDFNVPGDTPASNTTEQKNPMSDHALERSAPGSNLARISQLEKRIFVGDALEDKHADLSKDDESDGSPESLLCKQSPVRRSSDAVGLMINEGSTAMETSSPSIKSPEHVLESESNPPDGVTTDEQPTENSPIGVDRDSELVKEKGTSSRHSVSLEEDVMPIDCTVSPPDHLEGGSTEVLTNITSRNVSPLHHGDGNSEHQEIVGGDVAQDNPIHTLETPPEDTPQNQSEIHRGSIEKLAVDKSNALSPSQGKQHRGKNKKQPSKRGKRETDNLIHTPEIPPEDTDNTVHTPEIPPEDTYPQNQSEIHRGNTEKLAVDINNALSPSKGKEQRGNNKKQPSKRQKRAAGEAGDLETHAPNFEPEIQPHVQDTDDEQQPACTSQSPSPSNGTSKNEVRKRNKKQDLNRRKSLADAGLTWQAGVRRSTRIRSKPLQHWLGERFIYGRIHGTMATVIGVKSFSPSQEGKGPLRVKSFVPEQFSDLLAESAKY >ORUFI01G25630.5 pep chromosome:OR_W1943:1:22367503:22377015:1 gene:ORUFI01G25630 transcript:ORUFI01G25630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASADPFLAASSPAHLLPRTLGPAAPPGTAASPSAARGALLDGISRPLKGSKELVEQARMAMKAVGDIGKLYGGDGAGVAAAAADGKNNQLGRRPAPDRKRFRLKTKPPANKPVQNVDYTELLNIEDPDEYFLTLEKLERADKEIKRLRGEVPTEGTYNNRGIEPPKLRPGLLRRKSVHSYKFSASSDAPDAIEAPASQTETVTESQTTQDDVHGSAHEMTTEPVSSRSSQDAIPDISAREDSFVWKDNSFTLNYLLSAFKDLDEDEEENLLRKTLQIKEISIGKVCLPDFNVPGDTPASNTTEQKNPMSDHALERSAPGSNLARISQLEKRIFVGDALEDKHADLSKDDESDGSPESLLCKQSPVRRSSDAVGLMINEGSTAMETSSPSIKSPEHVLESESNPPDGVTTDEQPTENSPIGVDRDSELVKEKGTSSRHSVSLEEDVMPIDCTVSPPDHLEGGSTEVLTNITSRNVSPLHHGDGNSEHQEIVGGDVAQDNPIHTLETPPEDTPQNQSEIHRGSIEKLAVDKSNALSPSQGKQHRGKNKKQPSKRGKRETDNPIHTSETPPMDTNPQNQSEIHRGSIEKLAVDINNALSPSKGKEQRGNNKKQPSKRQKRAAGEAGDLETHAPNFEPEIQPHVQDTDDEQQPACTSQSPSPSNGTSKNEVRKRNKKQDLNRRKSLADAGLTWQAGVRRSTRIRSKPLQHWLGERFIYGRIHGTMATVIGVKSFSPSQEGKGPLRVKSFVPEQFSDLLAESAKY >ORUFI01G25640.1 pep chromosome:OR_W1943:1:22377532:22379769:-1 gene:ORUFI01G25640 transcript:ORUFI01G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQPMKPKYSEARLLPAFLSPTTRPPAASTARSRRRAAHLPLGFFPSGGAIQITGSSLSRRPRLASPVTAMGEPSKELLDLPSEPKPPSLIESILVGRREQQQHKEGKRKAGPPTDPLPKSQVLGRVKDFLGEMAKANEKLHLDVKNKRPEEYDIEALTGNEKEYIEMDLLLGVADLHSEKAVEVAEATMNGFPPSGRSFACSSSDSEDDSDGSDEDSGDETNMSSKDADEPEAQASKGKKPNKRQKIVVLN >ORUFI01G25650.1 pep chromosome:OR_W1943:1:22381094:22383681:1 gene:ORUFI01G25650 transcript:ORUFI01G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLSPPPSPSPSPTPSSLHPRQALRFAVGTGGGGRARATSTGTRRRAALVPCSSSVSARGPASGGDGLALERRRLLLSGLVSSFVLVLPVSDSHAVAEMDEDVKMATLVDPINAYSFLYPVELPGKKFTFKWVESRKPERYSSAAPLSPDARQRIVSERVDMIHNVVISVSIGPPNSRFPPSKDKSKWDPKDVADWILAEKSSLKVTTGQRMTESSVLDAHSSDVDGEPYWYYEYLVRKSPTQSAPEPNLFRHNVACTAERDDGAFFTENSGILSPPTPYRKLRSSIPGSMEILFYKMKPSSVVQNEA >ORUFI01G25660.1 pep chromosome:OR_W1943:1:22384022:22384861:-1 gene:ORUFI01G25660 transcript:ORUFI01G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPTFAFDHFVVVDFEATCERGRRIYPQEIIEFPAVLVDAATGRLVSAFRAYVRPRHHPRLTDFCRELTGIAQGDVDAGVGLAEALLRHDEWLRAAGVVEGGGRFAVVTWGDADCRTMLEQECRFKGIAKPAYFDRWVDLRVHFEAAFGGGGQRVKLQEAVRAAGLEWVGRLHCGLDDACNTARLLVELLRRGVPISITGSLPAAPPPLEQARKQQQQQEMQQLLVPCGAAVCCYCGVASTGGVMAMPGSTQRRGFYGCGNWAGVSWAA >ORUFI01G25670.1 pep chromosome:OR_W1943:1:22387904:22390042:-1 gene:ORUFI01G25670 transcript:ORUFI01G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAPLAPMPRVKLGTRGLEVNMPACSIVNSYFPVFPFVRFALNRPVTVSKLGFGCMGLTGAYNSPLDDDAGAAVIAHAFRRGVTFFDTSDVYGPLANEILLGKALKQLPREQVQVATKFGIRRGADGVRAVCGRPEYVRACCEASLGRLGVDYIDLYYQHRVDTTIPIEDTIGELKKLVEEGKVRYIGLSEASPDTIRRAHAVHPITAVQMEWSLWARDIEPEIVPLCRELGIGIVPYSPIARGFFGGRGVTEQLSAESNLQGHPRFSAENLEKNKQLYLKTEELAKKHQCSPAQLALAWVLHQGDDVVPIPGTTKIKNLDANIDSLKVNLTDDDLKEISSQVREEDVAGGRQYTSFAHYTWKYADTPKK >ORUFI01G25680.1 pep chromosome:OR_W1943:1:22392425:22397892:1 gene:ORUFI01G25680 transcript:ORUFI01G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGGGGVEEDQAGSSSLCPPAAEAEAAAAEAEAAAIARAARPPRPGRDKRLGVRHPLKHRRFRAGGKAAVAAGAREVGEATTVAEATATGPPKGSDEDDEARYICGGWTRSSNLQLGLMLFRLLIGTDLSFARLPSIVDNICSCIQACCDDGRMSCGYSSFRGRRANMEDFYDIKSSKVDDNQINLFGIFDGETYRKTDSDFLDAETNINREDGSTASTAIFVGNHIYVANVGDSRTVMSKAGKAIALSSDHKPNRKDERKRIENAGGVVTWSGTWRVGGVLAMSRAFGNRFLKRFVVAEPEVQEQEIDDDLEFLILASDGLWDVVSNEHAVAFVKAEEGPEAAARKLAEIAFARGSTDNITCIVVKFLHAKMAVDAASSSERS >ORUFI01G25690.1 pep chromosome:OR_W1943:1:22402995:22406907:-1 gene:ORUFI01G25690 transcript:ORUFI01G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAANERSIAIPGPGDDQPAAQPAALAGGGDEDEGAWKTAQKWLNRFVRVVAFVERTGNAVGTLAFTWATVVVLGGYSTDLREDFWYAMAIVFLEAYRVFSRQNKSDDKFLFKTTGGIRVLKLSSTLELLYFLNAVIVMLCLSVILTVVLTHVFPKKRYVPLVLAALLVLLARFPIIWLLKRANRPGSGRRVVAIVLRLTPLAAILALGCSLVLLYGAPPVTVLASVLLLFMTFVLCQQLIAVREKIKRPAPLQRPTAAGEASPPPPSFAQRAWLVLANTMLVVCPPLMVAFLTATFGFLGLYVVLTAVALGNFQIPAAVARVAISSARLAGRVDRVSTGNVNLVPSLKIFYGLVLAQGALYIVACLTDPFSVLLRRWLARRCKLGTRSVDLYYEHAYDAWMEDGLLAMEDANIVSFAVDSLSAPAEPSRSRERVLAGVTVLHCFLRQRRGSKARLASSKIITSTNAIATLIGMLGWGAEEDRQIRLFAAKVIGEVAGELRIARFPGTVQLISSLLDAPSCSKKEQDSGGSTQTKAAAGNVNTDSTCCCCFPKPSCPRRIKNLWSAPDEEPLDDDEDALPIMGMLILEKLASDPENCAEIWRATNLVSKVIGFIACSSNEAQRNRRPITASSLKLVAKLAGAKGEIGVTLRRKISDHPFLVSSLAGILEDDGAGTEEWAPAMDILAKLCVNADTRQEVGEIAAIITRLVQEFFPSQRDQQASSTQDDRQLRLAAGEALATLATESPGNCSAILKEFKGKYCDLVNDLKNMISARDEDGCRCAASLLQNLCAHSGDELRHLGFSDHLASALKVILEKILNTKGKQLEVLIGLTAQIHNAMPACFKDALESLANNTAEALVQKMVDTLNSSKKPSPECPRMRRAIVELAISIVETRTLPYGYAADFRKKGMVEALSKVKRTPSKVERYRLFFGDAGVSEQLKYRHL >ORUFI01G25700.1 pep chromosome:OR_W1943:1:22408658:22416222:1 gene:ORUFI01G25700 transcript:ORUFI01G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLLLRTQSGLPVLARAFPSCLCLRVPARRRRGAPPLTAAKVDVADAVGRRVRSGGAAVPKRRRSRRDAEEEEEEGLAFSRVVTGRGRGVREEGVAEGEAPEFDAAKSGDESGGVDGSYLSDTRFDQCTISPLSLKAVKDAGYERMTQVQEATLPVILQGKDVLAKAKTGTGKTVAFLLPAIEVLSALPNSRRDQLRPSINLLVMCPTRELAIQVAVEAKKLLKYHRSLGVQVVIGGTRLTQEQRSMQANPCQILVATPGRLKDHVENTPGFSTRLKGVKVLVLDEADRLLDMGFRRDIERIIASVPKERQTLLFSATVPEEVRQISHIAMKKNYKFINTVKDGDEETHAQVSQMFMIAPLDLHFSILYDVLKKHVAEDADYKVIIFCTTAMVTKLVAEILSQLRLNIREIHSRKSQSARTKVSDEFRKSRGLILVSSDVSARGVDYPDVTLVIQVGVPADRQQYIHRLGRTGRKGKEGQGLLLLAPWEKYFLSSIKDLSISEATVPSVDSSTQTIVKDAVRKVEMRSKECAYQAWLGYYNSNKTIGREKSRLVKLAEEFSQSMELSVPPAIPKQILRKMGLNNRVFRPFATAAAAAAARAAVDAADEIEIVRRGEMMSGGPSDATHRKRRRRRGPKGSGVDGPSIPRAVTTNGAGPEEEEVVEGKAMELDAGMSAAEVGGVVGSHLSETRFDQCPVSPLSLKAIKDAGYEKMTQVQEATLPIILQGEDVLAKAKTGTGKTVAFLLPAIELLSTLPRSPSINLLVICPTRELANQVAAEARKLLKYHRSLGVQVVIGGTKLPQEQRSMQSNPCQILVATPGRLKDHLENTPGFSNRIKGVKVLVLDEADRLLDMGFRRDIEKIIAFIPKERQTLLFSATVPEEVRQISHVAMKRGYKFINTVKEGDEETHSQVSQMYMVAPLDLHFSILYNVLKKHIAEDADYKVIVFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGLPADREQYIHRLGRTGRKGKDGLGLLLLAPWETYFLNSVQDLSVSQAVVPTIDSSIQTGVKDALGRVETKSKESAYQAWLGYYNSNKAISRDKSRLVRLAEEFSQSMGLAIPPAIPKLILRKMGLNNVPGLRSV >ORUFI01G25700.2 pep chromosome:OR_W1943:1:22408658:22416222:1 gene:ORUFI01G25700 transcript:ORUFI01G25700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLLLRTQSGLPVLARAFPSCLCLRVPARRRRGAPPLTAAKVDVADAVGRRVRSGGAAVPKRRRSRRDAEEEEEEGLAFSRVVTGRGRGVREEGVAEGEAPEFDAAKSGDESGGVDGSYLSDTRFDQCTISPLSLKAVKDAGYERMTQVQEATLPVILQGKDVLAKAKTGTGKTVAFLLPAIEVLSALPNSRRDQLRPSINLLVMCPTRELAIQVAVEAKKLLKYHRSLGVQVVIGGTRLTQEQRSMQANPCQILVATPGRLKDHVENTPGFSTRLKGVKVLVLDEADRLLDMGFRRDIERIIASVPKERQTLLFSATVPEEVRQISHIAMKKNYKFINTVKDGDEETHAQVSQMFMIAPLDLHFSILYDVLKKHVAEDADYKVIIFCTTAMVTKLVAEILSQLRLNIREIHSRKSQSARTKVSDEFRKSRGLILVSSDVSARGVDYPDVTLVIQVGVPADRQQYIHRLGRTGRKGKEGQGLLLLAPWEKYFLSSIKDLSISEATVPSVDSSTQTIVKDAVRKVEMRSKECAYQAWLGYYNSNKTIGREKSRLVKLAEEFSQSMELSVPPAIPKQILRKMGLNNRVFRPFATAAAAAAARAAVDAADEIEIVRRGEMMSGGPSDATHRKRRRRRGPKGSGVDGPSIPRAVTTNGAGPEEEEVVEGKAMELDAGMSAAEVGGVVGSHLSETRFDQCPVSPLSLKAIKDAGYEKMTQVQEATLPIILQGEDVLAKAKTGTGKTVAFLLANQVAAEARKLLKYHRSLGVQVVIGGTKLPQEQRSMQSNPCQILVATPGRLKDHLENTPGFSNRIKGVKVLVLDEADRLLDMGFRRDIEKIIAFIPKERQTLLFSATVPEEVRQISHVAMKRGYKFINTVKEGDEETHSQVSQMYMVAPLDLHFSILYNVLKKHIAEDADYKVIVFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGLPADREQYIHRLGRTGRKGKDGLGLLLLAPWETYFLNSVQDLSVSQAVVPTIDSSIQTGVKDALGRVETKSKESAYQAWLGYYNSNKAISRDKSRLVRLAEEFSQSMGLAIPPAIPKLILRKMGLNNVPGLRSV >ORUFI01G25710.1 pep chromosome:OR_W1943:1:22422770:22426626:1 gene:ORUFI01G25710 transcript:ORUFI01G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVAAAHAAAASAGGRRARREPASMHAGIRRSRSEPHLRCSRRGGAAGAALTTSRSIGVFPFQFGAAPLRTPPLPDGGGDGSRLLTVAGPDDEPDDAPGPEMPADRRRPDAHWLDRLLELRSRFHDPTKRHSSDNNGLIFQDDDDDDDVYHLDGDDGCGVNYEDDDEQVDDRWDRESFSKLLARAPLGEARLFAQLAFLCNMAYVIPEIKVEELKKYYGLRFVTSSLEKKAEAGEIRSKLDVDSTRPRAAPACEAAAATTSGPQPRRPIRSHLAYEVAASAASYVHARARGLLSFGGAGGQQPRAEEGGHGRLYNSGVAAYVAASTVTAVVAAEDEARQEAARDLRSPLSSPCEWFVCDEADARTRCFVIQGSDSLASWQANLLFEPTMFEETGVLVHRGIYEAAKGIYEQLMPEIAAHLAAHGERARLRLTGHSLGGSLALLVSLMLVARGVVGPEALLPVVTFGAPSVFCGGQRVLDALGVGEGHVRSVAMHRDIVPRAFSCRYPGHAVALLKRLNGVLRNHPCLNNQRMLYTPMGTTYILQPDGAASPPHPFLPEGAALFRLDPEGRAERPARHVVASALRAFLNSPHPLETLSDLSAYGSEGAILRDHESSNYFRALNALTRVPRRRKQPEIVWQLPGVERLQQYWWPGIAGTVFPAAPPVSVRNKELVSEA >ORUFI01G25720.1 pep chromosome:OR_W1943:1:22427762:22434227:-1 gene:ORUFI01G25720 transcript:ORUFI01G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQASLLLRPLPSPLPPRRRLPLPSSSASFPRAGHHRRLPLPLRALASEGPQPAPSPAPDPPPPELPAAPEAEEVVGTAAAEGGGKVEEEELEDLVEKGRAWVLALAAAVVAAARRFFDWVVSGDWMSWWPFWRPDRRLQRLIDDADANPADPAKQSALLHELNKFSPEDVIKRFEQRSHAVDSRGVAEYLRALILTNGIADYLPDEQSGRSASLPALLQELKQRVSGNEDKPFMNPGISEKQPLHVVMVDPKATGRSTRFAQEIFSTVLFTIAVGLMWVMGAAALQKYIGSLGGIGASGVGSSSSYSPKELNKDIMPEKNAKTFKDVKGCDDAKKELEEVVEYLKNPSKFTRLGGKLPKGILLTGSPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIVFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPVSSDVDVNAIARSTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEFAKDRIIMGTERKSMFISDESKKACLFKLLYFILRELILTAYHESGHAIVALNTQGAHPIHKATILPRGSALGMVTQLPSQDETSISKKQLLARLDVCMGGRVAEELIFGEDNVTTGARNDLHTATELAQYMVSNCGMSDAIGPVHVKERPSVEMQSRIDAEVVKLLREAYGRVKRLLKKHEKQLHALANALLERETLTADEINKVVHPYQEEPQLSFQEEDFALT >ORUFI01G25730.1 pep chromosome:OR_W1943:1:22442597:22446095:1 gene:ORUFI01G25730 transcript:ORUFI01G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQRWWLLLAVAAAAAVVVGAGAQETCSDMVPAAPRRGAWMSLASFGGGGGDGRTLSTAAFQAAVASIERRRAPGGALLYVPPGVWLTGPFNLTSHMTLFLARGAVIRATQDTSSWPLIEPLPSYGRGRELPGGRYMSLIHGNGLQDVVITGDNGTIDGQGSAWWDMWKKGTLPFTRPHLLELMNSSDVVVSNVVFQDSPFWNIHPVYCSNVVIRNVTVLAPHDSPNTDGIDPDSSSNVCIEDCYISTGDDLIAIKSGWDEYGMAYGRPSSHITIRRITGSSPFAGFAVGSETSGGVEHVLAEHLNFFSSGFGIHIKTNTGRGGFIRNVTVSDVTLDSVRYGLRIAGDVGGHPDDRYDRNALPVVDGLTIKNVQGQNIREAGSIKGIATSAFSRICLSNVKLNGGAAVRPWKCEAVSGAALDVQPSPCTELTSTSGMSFCTNSL >ORUFI01G25740.1 pep chromosome:OR_W1943:1:22447378:22452722:-1 gene:ORUFI01G25740 transcript:ORUFI01G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQPQFRYTQPPSKVIHLRNLPWDCTEEELVELGSPFGKVVNTKCNVGANRNQAFVEFVRGKNVYLQYSNRQEIVNSKSSGEAAGNVLLVSMEGVLPDAVSIDVLHLVFSAFGFVQKIATFEKASGYQALIQFCDTETASSAKAALDGRCIPSYLLPELDVPCTLRINYSAHTVLNVKFQSHRSRDYTNPYLPVAPSAIDGSGPDGKKQEAESNVLLASVENMQYVVTIDVLHEVFSAFGFVQKIAIFEKNSGFQALIQYPDIQTAVAAKEALEGHSIYEGGYCKLHLTFSRHTDLNVKVNNERGRDYTGGNTAPTSNQPSILGPQPVYSGAYNNAPSSATGAVVPPGTTLTPPGAPSHPYTSSEPLPQTPAVPSGGAPLYTSQGILQGPPGVPPAQFPGYGSPQFPPGSAQAQMHQQHPVQGSQQMPGQMNHQPPPGSFMQYPGDGGRPVQDAPGQQAMPFHGHGGGQHLPPGYGGQPQFQQGPRPPMPPQQFPMYGDQQFPPGTGPQMMMPFAGQGGGQQHPFRPYNSH >ORUFI01G25750.1 pep chromosome:OR_W1943:1:22454448:22455019:1 gene:ORUFI01G25750 transcript:ORUFI01G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADERWPATVEVKALLWASLPDAGQHLNQQGGMMDGCYRATSSSSLYHTATSFHDSIVDYCSPPGCPLRY >ORUFI01G25760.1 pep chromosome:OR_W1943:1:22456700:22457801:-1 gene:ORUFI01G25760 transcript:ORUFI01G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGGSQLGKNSKTSDGRETKATSSLLLLITAIHFVALCPKGENEDARKEVNSTAQNFVHFTEEEEDIVFRMHRLVGNRWELIAGRIPGRTAEEVEKFWAIKHQAT >ORUFI01G25770.1 pep chromosome:OR_W1943:1:22481634:22481924:-1 gene:ORUFI01G25770 transcript:ORUFI01G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTNPMLSIPSHSSLPNLEVPIPARPVLILATGGGCSSHRRHPRILDSGVGQKEVFGVVQVVVVSRSARASSFAVMPMSSPVARLPCCYDLSCCF >ORUFI01G25780.1 pep chromosome:OR_W1943:1:22482371:22484827:-1 gene:ORUFI01G25780 transcript:ORUFI01G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVSKKEYTVGRKRRSTAPAATSSHAGGYTLLRSETPAATDLVNTFMDWAGDAPLDAPPRRLHAAALLRLQARLLALATVSLEDVTGKVILVTGLIRNRRAGWEASLRDVAARAREIGSPDVLVIPSQGGLLAWGRRSGALGLGRRRRVWRAAAVALLPFPLSFGSVLVSELWCPADDSGRGEGAVRVSEDPNCVLSTWRDADNDPCGWPGVTCVDGGGGGRVGDVELANFSLTSYLPSELSLPYNQLAGQIPL >ORUFI01G25790.1 pep chromosome:OR_W1943:1:22508166:22513558:1 gene:ORUFI01G25790 transcript:ORUFI01G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRDRRRPRDPSPETSRASTSAASSSSGSRFRPALLAPLLLLLLLLILAALHFSGRLSLPRPQAPPQRTRLSVHERGLVRRDVSASEILAEHATVSENRSRRHFRNPVLAYVTPWNSKGYDMAKLYSTKFTHISPVWYDLKSDGNKLVLEGQHNFDAKWVSELQSNGSLVLPRVVLEAFPGVVLMKKKLRDKAIDLIVNECSYNCQFVIWLSVNRDKGYDGVVLESWSRWAVYGVLDDSELRNRALQFVKHLGKTLHLTSSNLSTHHHLKLIYVVPAPRMKELNNQEFRPEDLLQLADSVDGFSLMTYDFSGPQNPGPSAPLSWIQYSLKTLLAAKGSASHGHSHMIFLGINFYGNDFLLSKGDGGNAITGRDFIYLLDKYKPSLQWDEKSSEHFFVYSDKGLRHAVFYPTLMSLSLRLDEAQDWGTGLSIWEIGQGLDYFFDVL >ORUFI01G25800.1 pep chromosome:OR_W1943:1:22513777:22514759:-1 gene:ORUFI01G25800 transcript:ORUFI01G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSGSQGKNSKTSDGCETKEVNKTAQNFVHFTEEEEDLVFRMHRLVGNRWELIAGRIPGRTAKEVEMFWAVKHQNT >ORUFI01G25810.1 pep chromosome:OR_W1943:1:22516053:22518082:1 gene:ORUFI01G25810 transcript:ORUFI01G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMEVGNELFMSPCINHQINQQEWEMEERKGSPCAWVPSLRSYHPYQIKEKMLSGWSVYQYVDQMLAIRAPVLAWEHLYWYLNIISPMKGLKVSMLG >ORUFI01G25820.1 pep chromosome:OR_W1943:1:22520986:22526018:-1 gene:ORUFI01G25820 transcript:ORUFI01G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERQVAELGAGAACVGWNHCGRRLAAGAVDGFVSVYDSQSQPSPSSKWQAHEHAILNIVWLPPDYGDAIACVCADGTLSLWEEVSEDDQLPTWRKCKVFESGNSHILNVQFGLQLSSLKMVTAYSDGQVKVYELLDSLELDKWQLQAEFQNITDPVSRSGKPACTSASIAWSPRRGESQQASFAIGFNSDSPNFNSCKIWEFEEAHQRWLPLVELGSPQDKGDIVHAVAWAPNIGRPYEIIAVATCKGIAIWHIGLSAESDGSLSTENVAVLSGHDGEVLQLEWDMGGMTLASTGGDGMVKLWQANLNGVWHEQAVLDCNVSH >ORUFI01G25830.1 pep chromosome:OR_W1943:1:22527083:22528814:1 gene:ORUFI01G25830 transcript:ORUFI01G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPVAAESGSDLRFGLLEEGRPHPPVAAAAAAALVGGAQRRRNPTPPWLHALRLYCIVAATAMVAVFAAEVFPRCKGKQDVLLCVVALAGAVFTGPILGFMLTTCAAEAAAADDDHEAARVASRYTRCEENVGRSVILAVALLGLYAIYLAAVSCGGEVDRFLLGAYYGVMGVGVIVGHSVSWIMGCFLRRD >ORUFI01G25840.1 pep chromosome:OR_W1943:1:22529704:22531152:-1 gene:ORUFI01G25840 transcript:ORUFI01G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVHATVPHVVLLPSPGAGHVAPAAQLAACLATHHGCTATIVTYTNLSTARNSSALASLPRGVTATALPEVSLDDLPADERIETRVFTVVRRTLPHLRELLLSFLGSSPAGVTAFLADLLCPAALAVAAELGIPRYVFFTSNLLCLTTLLYTPELATTTTCECRDLPEPVVLPGCVPLHGADLIDPVQNRTNPVYQLMVELGLDYLLADGFLINTFDAMEHDTLVAFNELSDKGVYPPAYTVGPLVRSPSVEAANDVCIRWLDEQPDGSVLYVCLGSGGTLSVAQTAELAAGLEASGQRFLWVVRFPSDKDVSASYFGTNDRGDNDDPLSYLPEGFAERTKGAGLAVPLWAPQVEVLNHRAVGGFLSHCGWNSTLEAASAGVPMLAWPLFAEQRMNAVMLSSERVGLAVRMRPSSARPDNGVVPREEVGSAVRKLMVGEMGAVARKKAGELRAAAEMASAPGGPQHQALAEMVGKWKGRG >ORUFI01G25850.1 pep chromosome:OR_W1943:1:22536980:22538423:-1 gene:ORUFI01G25850 transcript:ORUFI01G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHAVTPHVVLLPSPGAGHVAPAAQLAARLAMHHGCTATIVTYTNLSTARNSSALASLPTGVTATALPEVSLDDLPADAHIVTRIVTVVRRSLPHLRELLLSLLGSSSLAGVTAFLTDMLCPAALAVAAELGIPRYVFFTSNLLTTTCECRDLPEPVVLPGCVPLHGADLVDPLQDRANPVYPLIVELGLDYLLADGFLVNTFDAMEHDTLVAFKELSDKGVYPPAYAVGPLVRSPSGEAENDTCIRWLDEQPDGSVMYVCFGTGGTLSVAQTAELAAGLEASGQRFLWVVRFPSDKDVSASYFGTNNRGDDDDPTSYLPEGFVERTKGAGLAVPLWAPQVEVLNHRAVGGFVSHCRWNSTLEAASAGVPTLAWPLFAEQKMNAVMLSSERVGLAALRVRPDDDRGVVTREEVASAVRELMAGKKGAAARKKARELRAAAAVASAPGGPQQQALEAVVGEWKGRG >ORUFI01G25860.1 pep chromosome:OR_W1943:1:22564419:22565942:1 gene:ORUFI01G25860 transcript:ORUFI01G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESAPARNERRGQHVVLLASPGAGHLLPVAELARRIVQYDGFTATIVTHTNFSSAEHSSTFSSLPPSISIAALPEVSVDDLPADARVETRILTVVRRALPHLRDLLRSLLDSPAGVAVFLSDLLSPRALAVAAELGIPRYVFCTSNLMCLTSFLHNPVLDRTTTCEFRDLPGPVLLPGCVPLHGSDLVDPVQDRANPVYRLVIEMGLDYLRADGFLVNTFDAMEHDTAVAFKELSDKGVYPPAYAVGPFVRSPSGKAANDACIRWLDDQPDGSVLYVCLGSGGTLSTEQTAEVAAGLEASGQRFLWVVRYPSDKDKTASYFSVSGDGDGEDSPTNYLPEGFLERTKGTGLAVPMWAPQVEILNHRAVGGFVSHCGWNSTLEAVAAGVPMVAWPLYAEQRMNAVMLSSSRAGLALRPSNAREDGVVTRDEVAAVARELITGEKGAVARRKARELREAAAKATRAPGGPSRQAFEAVVGGAWKKAAAAARGGRAGEPDDNGTAVTAQ >ORUFI01G25870.1 pep chromosome:OR_W1943:1:22566983:22567528:1 gene:ORUFI01G25870 transcript:ORUFI01G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALFMPSKLSLTLSMVVVAVVAPPLPSSSSSPSPTAASPQPPLRLRQHSPSPPLPSSRSRCSSSPPAYAERSKPAGDVTSGGGTLLPRHAQPGEPVREAVTVEMAPETVVQAALSRKQSANSSPHSGSAQESVSPSILSKASPGREGEGRKEERGRCLQRERKEACSSENKKEKERERV >ORUFI01G25880.1 pep chromosome:OR_W1943:1:22573251:22577535:-1 gene:ORUFI01G25880 transcript:ORUFI01G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAFDAEAGAAAKPPAADAGAAFVLESKGRSHPSTPRPPSARNARADSAVICGWVPSRGDLAGTWWHAGFHLTTAIVGPTVLTLPYALRGMGWALGLTVLTAVGAVTFYEYSLMSRVLEHCEARGRRHIRFRELAADVLGITINSPDPFLSTAPHHHTHMTFPCIAPWSGWMFYFVVIVQTAINTGVCIGTILLAADCLEIMYTSLSPNGPLKLYHFIIIVAVALAFLSQLPSFHSLRHINFASLLLSLGYTILVSAACIGAGLSKDAPGKDYTLSSSKSEQTFNAFLSISILASVYGNGILPEIQATLAPPAAGKMMKALVLCYSVIAFAFYIPSITGYWAFGSHVQSNVLKSLMPDTGPALAPTWLLGLAVLFVLLQLLAIGLVYSQVAYEIMEKSSADATRGKFSRRNVVPRLLLRTLYLAFCAFMAAMLPFFGDIVGVVGAVGFIPLDFVLPVVMYNIALAPPRRSPMFLANTAIMVVFSGVGAIGAFASIRKLVLDAGQFKLFSNNVVD >ORUFI01G25890.1 pep chromosome:OR_W1943:1:22581754:22586846:-1 gene:ORUFI01G25890 transcript:ORUFI01G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRRRIGLDERAQCSEQRALDWHALKQDPVELLRKLDELREQITRTCQIVEPPREHRRAGRRALSLLPENPEPPPMPGYHRSRYGGGRYGHGLPPSPYEPLRPEIGERYSRQSSGRYRQYQGRQWDGCGVGHGNYNPSYTCSCPHCLHGQRTAPQEEHIPMARYFAGQHECYRFERSPSVSSDYDRRSVASSLYSHRSVSKKRAEYFRKKAEHLCRPVYGAAPFVVCSSCYQLLQVPMEKCMGRNRLQCGSCSQIVSLKREEKVIPFSPSASFCVPKIEQGSNDQTRRDFEHQLNEFANSAFYNLNEHSSMQINIDFGDDHSVSSSISHDRTEKGCGSSRSIQLKTDGLLLSPSRSGDIESPKDILCERDAECQVEPSDARVSPCSPVLEDKLVDPLCSQEKDNNSEDLGMANISDVNCKGEHKVNDDDDGSLSMGSEQKRKECDEDSLVDESMCKTHEQKSKDDHSSPEDVSKTHEFDSTKDNISSAVDGNEKHEFESKKDDTNSLEGESLNKEHEQKSKEDENSGLEGENVKKGFDKNNKESENSALEDANAPLEDTRNASDAASLSEISEEKKTEEENGSLDQPFVEDGNAFAESGGSSFNERTNSGFSRGSSETALEEDQPSTGKSGDSSFFAGFLKKGFKDLSLFNQSMDSVKVSINGHPISERALKKAEKKAGPVEPGSYWYDYRAGFWGVMGRECIGIIPPFIREFNYPMASNCASGDSGVFVNGRELHQRDLDLLVGRGLPRISGKSYSVEISGNITDEETGKKLRSLGKLAPTQLKY >ORUFI01G25890.2 pep chromosome:OR_W1943:1:22581754:22586867:-1 gene:ORUFI01G25890 transcript:ORUFI01G25890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLKEMKYRRRIGLDERAQCSEQRALDWHALKQDPVELLRKLDELREQITRTCQIVEPPREHRRAGRRALSLLPENPEPPPMPGYHRSRYGGGRYGHGLPPSPYEPLRPEIGERYSRQSSGRYRQYQGRQWDGCGVGHGNYNPSYTCSCPHCLHGQRTAPQEEHIPMARYFAGQHECYRFERSPSVSSDYDRRSVASSLYSHRSVSKKRAEYFRKKAEHLCRPVYGAAPFVVCSSCYQLLQVPMEKCMGRNRLQCGSCSQIVSLKREEKVIPFSPSASFCVPKIEQGSNDQTRRDFEHQLNEFANSAFYNLNEHSSMQINIDFGDDHSVSSSISHDRTEKGCGSSRSIQLKTDGLLLSPSRSGDIESPKDILCERDAECQVEPSDARVSPCSPVLEDKLVDPLCSQEKDNNSEDLGMANISDVNCKGEHKVNDDDDGSLSMGSEQKRKECDEDSLVDESMCKTHEQKSKDDHSSPEDVSKTHEFDSTKDNISSAVDGNEKHEFESKKDDTNSLEGESLNKEHEQKSKEDENSGLEGENVKKGFDKNNKESENSALEDANAPLEDTRNASDAASLSEISEEKKTEEENGSLDQPFVEDGNAFAESGGSSFNERTNSGFSRGSSETALEEDQPSTGKSGDSSFFAGFLKKGFKDLSLFNQSMDSVKVSINGHPISERALKKAEKKAGPVEPGSYWYDYRAGFWGVMGRECIGIIPPFIREFNYPMASNCASGDSGVFVNGRELHQRDLDLLVGRGLPRISGKSYSVEISGNITDEETGKKLRSLGKLAPTQLKY >ORUFI01G25890.3 pep chromosome:OR_W1943:1:22586852:22587934:-1 gene:ORUFI01G25890 transcript:ORUFI01G25890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVAALQVPRGLVGIYKALSVGSLVVSVTTRTLPLRVPFPSSSSSLPWRNPRAAPRNPKWRAGGAATPLCRSLAAASWSEEHSVTSRSAKWWGSRKRVFSGRRAPWGAI >ORUFI01G25900.1 pep chromosome:OR_W1943:1:22593649:22594062:1 gene:ORUFI01G25900 transcript:ORUFI01G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKQEGVVERGGDGKVVWDMGSSLYDSYELASLCRILDRHIGTDLPSLHGEPRQEGLAAGAPPPPPTERNGQQVVVLRDDRRGRTGTGRKVTLRTLFRAAASWAIRQRKAHDCACVGAATTGAIQPVVSPGRPVKL >ORUFI01G25910.1 pep chromosome:OR_W1943:1:22601898:22604396:-1 gene:ORUFI01G25910 transcript:ORUFI01G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQLGEAVGSINALMAFEDDLRINPRQCRLLADACARALAAVTGQVRAQLRFDERGAKWRAIEAPLRELHRAFRDAEAYVRQCLDPRGSWWARAAAMAHGTECVEQHLHNVLWCVAVALEAIDAAGEIAGSDPDELARGRLVLARKYDRDMLDPKLFEHAFGKLYLVSQELVARMDMAWKEDRWVISQMFDEMKGPAASKPLSKNEHRLAELLAAAMGKLHPASVLLGSDYSVRRRLGGRLKEVHWMGESFAMKHFIGDTDAAGAEVALLCSVAHPNVAHAAYCFHDEEKKEYFVVMDQLMAKDLGSYVKEVSCPRRRIPFPLVVAVDIMLQIARGMEYLHAKRINHGELNPSNVLVKPRQPDGGYVHVKVAGYGQPAGITAGGAKASANGNANGNDNSCIWYAPEVLRSDGVADAAAAGRCTEKADVYSFAMICFELLTGKVPFEDNHLQGDKTSKNICAGERPLFPFQAPKYLTALTKRCWHADPAQRPAFASICRVLRYVKRFLILNPEQQQQQQGQTDDAPKPAVDYLDIEAQLLKKLPAWQRGGEAPRVADVPFQMFAYRVMEREKAAGAVHVAKDRASDSGSDGNSLYGDENGFGAMSPEHTFSAVSNGTLRSRPASSDGRLPTAKKADGKAPRQAGPQPKVKPVNTAARTPHSARRALGVKPDDHLQTNGAPTARRRTPEMASE >ORUFI01G25920.1 pep chromosome:OR_W1943:1:22609082:22612015:1 gene:ORUFI01G25920 transcript:ORUFI01G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFRPLRRIQVDPEPAPAAPPPPAAAAANGGAGEDVSAAPAAGLLMASRVRRRSAVYRDCKGDYIGVPNDPCLTKILSKQGDNKVLFADKVLKFTQSGKMKRRILVITDFALYLVDPDADILKRRIALAAVDKLCISKLSDNFFAIIVPTEYDCLMASTRKKEIVDIIIKAIKSNSEYQPQVASSNRFEYHAAAEVIKEVEFEEAEGGVKTRITHKAKAKS >ORUFI01G25930.1 pep chromosome:OR_W1943:1:22627326:22629266:1 gene:ORUFI01G25930 transcript:ORUFI01G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASCGLGFGDSINSLDTSRHWSTVQHTSGLKATTIDYSTYSSFSIASSKTLKRKRSAMAGPEGTGNPLLTLGLGHSPSSSDNSKVSSATAYAMSPSSLKEADEESSADLGLNFELCLGNDMVHCQKKSPVGAENSPLTNSHKLDLQLSLSTGSPESAVTNTNMVSPIIHGGLEIPVTNCSPAIIGEGSVPCTWVFEKSVISSSYASEATYTFPFSKVPKTGDAAMSSPVISSTLVTSMKSPVACTSGSINPQQRNSITKNCQFPGCVKGARGASGHCIAHGGGRRCQKPGCQKGAEGRTIYCKAHGGGRRCQFLGCTKSAEGRTDHCIAHGGGRRCSHDGCSRAARGKSGLCIRHGGGKRCQKENCIRSAEGHSGFCISHGGGRRCQFPECTKGAQGSTKFCKAHGGGKRCTFSGCNKGAEGSTLFCKGHGGGKRCLFQGGGVCPKSVHGGTQYCVAHGGGKRCAISGCTKSARGRTEYCVRHGGGKRCKFEGCAKSAQGSTDFCKAHGGGKRCSWGQVDLNFGVGAPQCDKFARSKTGLCSAHCALVQDHCVRGGTLGAATFQFATDAKFDEMEVTPVKGDPHAQASSDDQSHLGGAHPLAVPAITSDRFSEGRVHGGGLLALLSRGGNHANAGNSKNGALLP >ORUFI01G25940.1 pep chromosome:OR_W1943:1:22630096:22636652:-1 gene:ORUFI01G25940 transcript:ORUFI01G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEDGGGAGAGAGAGAGGYKRGHPPPPSPLLRSVVGPTRRGSARSRLARFLLFEKVDYLQWIGTAAAFFFVTILVVAFLPGSAVFERPTMLLPSRRAGGGRGGGAEALLPRGLGVVETGEGVAFEPTRLRERWARERREEADGLAKLGNPVRRFGVRKPRLAMVFGDLSPGAMQLQMVSVASVLEAMGYEMKVFTFKDGPCTNIWRTIGVTVDLLPEDTDLHISVDWLDYDGILVNSIEARLEPFKSIPVIWNVQESSLAHRISEYNSSGMIQILDGWKEAFSRANVIVFPNYVLPVMYAAFDSGNYFVIPGSPAVPFQDRISAKSYDQDVRVSMGLSPSDFVISIVGSQFSYGGFLMEEALVLQAVGSLLQQYPSENSTQLELKVRILAENVTEKHRMALEAVSLNVGFPRGAVEHVASEDKDNLLGISDLVIYGSCLNEQSFPSVLVQAMCLEKLVIAPDLEIIRKYIDDGMNALLFPSKNIGKLTQVLLQAVSNGKISVLGQKIASAGKVHAKNLMASETIEGYAVLLENVIKFPAEVLTPLTAGEIPVALKQEWKWHLFEDVKHLYHMNGTLAGYNILQKLEEKWRSNQMEDHHSNASKIDDTFSLMAWEEERADEIANIKKRLEEEEFWKEHLQVSGWIDIMQLKERSEQPHGTWEEVYRNVKRVERMKNDLHERDDKELERTGQPLSIYEPFFGEGTWPFLHRSSLYRGIGLSSKGRRPGADDIDASSRLPLLNNGYYRDILGEFGAFFALANRIDRIHKNSWIGFQSWRVTARKANLSKKAETALLEAIQTQKHGDAFYFWVRMDQDERNLASQDFWSFCDAINAGNCRSAVLKAFQRMYGVQLDDDLHTLPLMPNDGDTWSVMQSWVLPTRSFLEFVMFSRMFVDALDAQMYDKHHETGHCILSLHRDQHCYSRVLELIVNVWAFHSSRRMVYVDPETGAMQEQHLLNGRRGQMSIQWFSLATLKSMDEDLAEEFDEDHPDRRWLWPKTGEVFWQGVYERERNMRQQEKERRKQQSKDKIQRIKKRARQKTLGRYIKPPPEDAGSLNDTRTVDR >ORUFI01G25950.1 pep chromosome:OR_W1943:1:22640789:22644763:-1 gene:ORUFI01G25950 transcript:ORUFI01G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPSPLAHEATRARRRNPHQQLLLLHPNPNSRPQAGLDKQNSHLSPRREVAATAMISATATAAFLAAAPASSSSCTTHRRRSGLPAISASLATASSTEEPLLVRAARGEDGLPRPPAWMMRQAGRYMAEYQALAKRHPSFRERSETTELIVEITLQPWRAFAPDGVILFSDILTPLPAIGVPFDISDSKGPVIQSPVRSEEQVRELTPIDFEKLRFVGESLKILRTEIDGQAALLGFVGAPWTIATYVVEGGMTNTYTNIKSMCHTAPNVLRGLLSHLADAISEYIIYQVNSGAQCIQIFDSWGGQLPPHVWEQWSKPYIKQIVNKIKIECPNVPLVLYINGNGGLLERMTDTGVDVIGLDWTVDMADGRRRLGNKISVQGNVDPAFLFSPLPVLTDEIHRVVKSAGPKGHILNLGHGVLVKTPEEAVAHFFDVTRSLRYDTLFQGCVTEVLEPVA >ORUFI01G25950.2 pep chromosome:OR_W1943:1:22641618:22644763:-1 gene:ORUFI01G25950 transcript:ORUFI01G25950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPSPLAHEATRARRRNPHQQLLLLHPNPNSRPQAGLDKQNSHLSPRREVAATAMISATATAAFLAAAPASSSSCTTHRRRSGLPAISASLATASSTEEPLLVRAARGEDGLPRPPAWMMRQAGRYMAEYQALAKRHPSFRERSETTELIVEITLQPWRAFAPDGVILFSDILTPLPAIGVPFDISDSKGPVIQSPVRSEEQVRELTPIDFEKLRFVGESLKILRTEIDGQAALLGFVGAPWTIATYVVEGGMTNTYTNIKSMCHTAPNVLRGLLSHLADAISEYIIYQVNSGAQCIQIFDSWGGQLPPHVWEQWSKPYIKQIVNKIKIECPNVPLVLYINGNGGLLERMTDTGVDVIGLDWTVDMADGRRRLGNKISVQGNVDPAFLFSPLPVLTDEIHSIYP >ORUFI01G25950.3 pep chromosome:OR_W1943:1:22639568:22641472:-1 gene:ORUFI01G25950 transcript:ORUFI01G25950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRGEEVLRLVSLSVWVASLGVRWVVSRVVKSAGPKGHILNLGHGVLVKTPEEAVAHFFDVTRSLRYDTLFQGCVTEVLEPHLFPAHELGFSWNADQDWAEIESLDIQSYPQANFATVQDKLGAEIY >ORUFI01G25960.1 pep chromosome:OR_W1943:1:22646911:22652876:-1 gene:ORUFI01G25960 transcript:ORUFI01G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKEQAKDLDKPDSKQEMVEEAAGGDEGGQEMLVVKSFGLPSCPTSELLRIKRCTPIWSPALDYGRRHQMKQRGGDARRLVIVQNRREKGARGTPPWRTPCRRHLLASSRRPPPGPISLHHHGGCHPLQLHRRRGLLLPPPELAKSGYCKAESPDLKVEWAWIVETAPPSSWAPPPPPELAKSGYCKAAVTRSEGRMGLDCGDSRRCDESNGWELHGADAREGADSARLPALAGDVDASAYEHAAPNRSQLANSQ >ORUFI01G25970.1 pep chromosome:OR_W1943:1:22656817:22663495:1 gene:ORUFI01G25970 transcript:ORUFI01G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRTSRHHRAAPEQPPPQPKPKPQPQQQQQQWPRPQQPTPPPAAAPDAAMGRVLGRPMEDVRATYTFGRELGRGQFGVTYLVTHKATGKRFACKSIATRKLAHRDDIEDVRREVQIMHHLTGHRNIVELRGAYEDRHSVNLIMELCEGGELFDRIIARGHYSERAAAALCREIVAVVHSCHSMGVFHRDLKPENFLFLSKSEDSPLKATDFGLSVFFKPGEHFKDLVGSAYYVAPEVLKRNYGAEADIWSAGVILYILLSGVPPFWAESEDGIFDAVLRGHIDFSSEPWPSISNGAKDLVKKMLRQDPKERLTSAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKVVAENLSDEEITGLKEMFRSLDTDNSGTITLEELRSGLPKLGTKISESEIRQLMEAADVDGNGTIDYAEFISATMHMNRLEKEDHILKAFEYFDKDHSGYITVDELEEALKKYDMGDDKTIKEIIAEVDTDHDGRINYQEFVAMMRNNNPEIAPNRRRMF >ORUFI01G25980.1 pep chromosome:OR_W1943:1:22662001:22664332:-1 gene:ORUFI01G25980 transcript:ORUFI01G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQAWAAGLGGLAVAGVGEEGGGGGPAPTTVDEASMERSKSFVKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLYEQQASEVSTLELKVACLNQQVLTCQTYTDKEGIRQQQMTGTATRHHKHYIVPTLANKRMQAFSEMQTDADIDSRPRPYPSAKTLFWHLASEKNSKTNGARQSEFVLEETKATKPASRGKEPSTSPLPKHLQTNLASSDFAMHNVGMKDQPGVRHLSSFSSFDNPRGRQIQKAPLRTKSMLAAFFVKHKSGKMKNVSVR >ORUFI01G25990.1 pep chromosome:OR_W1943:1:22668538:22669406:-1 gene:ORUFI01G25990 transcript:ORUFI01G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSRLKVLRLKYPYYGERGRERRTTSVVLVAGGQRACGGGRREGEEDCAARGTTVPAPSGEGAEVGKDDGGRRMARRRGRRSEAPSPSSLPPPCTPPPCRPRRLPPPLSARGIRRRQPGPPQRSSPCVASSSSPYPRRCRLLANARVGSPRSRVVASTAVASSSPHRPPPYPPPSRPLPPPPGEASTPPGRPSPLPLFPLSL >ORUFI01G26000.1 pep chromosome:OR_W1943:1:22673868:22675352:-1 gene:ORUFI01G26000 transcript:ORUFI01G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASALSATAAATVVVAVVAVLVAVCRGEFTVVVPDSSSSAALVNAPQTGLSDRARTDPAEQRAVQEVMAATGNGWASGIADVCRGRWHGIECVPDRGEVYHVVSLSFGALSDDTAFPACDAARATLSPAVLALPHLRSLFFYRCFTANPQPVPAFLGRLGPAFRSLVLRENGHVGAIPPELGNLTALRVLDLHGNNLTSAIPATVQSLAHLQLLDLSYNQLAGEVPPFKFQHLSILDLSHNALQGGVPASLGQCRSLLKFDLSQNRFAGTIPDALGDLSDLILLDLSHNALSGPIPAALGRLSSLRSLILGDNRMQFTTVPGDIFAGLRALTTLVLSGMGLEGSLPESIGELGHLRVLRLDNNEFTGVIPASFRRLERASELRVDGNRLVGPIPFGKQMMWRLGKKLRVGGNEGLCYDAKQQGLEGVVALAGVADCDSVRSRTTQHLGGRLRNTGGLPAAAAAAPSVGVGAGVCVGSWHVFVGVLVSLHLARL >ORUFI01G26010.1 pep chromosome:OR_W1943:1:22678182:22679002:1 gene:ORUFI01G26010 transcript:ORUFI01G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVVLDLELPDPVSAAAPLLNPRRGAAGVGSGADGDARQEVATARRGQEGMDGRCGAACPCWWRREGDAGGVTVTPWTAMHEARSDTWPCLAGVCVRRKPAWVAWVLAVAVVTDDGITGESLARPWAGMTTTPLGVVPLLGGVHQEPFAHNGSHRWTPAMPPKPYKSSCLTRPSLPCFRSLLYRGCSAFLFGCCWVESELLHCKGATKLGNDDTVLQSLYRIVDASCVQEMVLW >ORUFI01G26020.1 pep chromosome:OR_W1943:1:22679785:22681869:-1 gene:ORUFI01G26020 transcript:ORUFI01G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGNDVRIRMDGGVYGESEQSSGEAALPRLLIEVPSQVIDGFDCVGGGGDATATATLSEQSKELEMLGEEKDVVISIPAPVYAPRSVSVSAAYEHEGAQIPYSVSLSMPASPSGFHFSQFGMAAAKAKAVHRDEARVAPAETRFDDAHPPAVGRVQAHSPRLLLNQTRFHSQPILHLSKNDETTRRCDSTRDKRFDQFKTFSGRLERQLSTLRGRPAQEHMTNGEGAPEPNIAEEETEQVPGADRYFDALEGPELETLRATETTVLPKDEKWPFLLRFPISAFGMCLGVSSQAMLWKTLASAPPTSFLHVSPVVNHVLWWISLALMGFVSFIYLLKVVFYFEAVRREFYHPIRANFFFAPWIACLFLVQGVPRPVTEVHHGVWYALMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGAKMGLREGPIFYFAVGLAHYMVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWAKILGEFDYGARIAYFIALFLYMSLAVRINFFRGFRFSLAWWAYTFPMTGAAIATITYATEVTNVLTRALSIGLSGIATVTVAGLLVTTMFHAFVLKDLFPNDVSIAITRKKPKFSKILAHFRSSSSDMKEYVLSISKPPSSDSDSSVSSKATTVTDPSVTRVKAEP >ORUFI01G26030.1 pep chromosome:OR_W1943:1:22704414:22704737:1 gene:ORUFI01G26030 transcript:ORUFI01G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSRSRTPPCRSIPSPAFVNFSSSWRRMIWWQLHFSRSLAVDSRHRSPKHHCRFDSRRRCESAWCPTA >ORUFI01G26040.1 pep chromosome:OR_W1943:1:22712650:22717561:1 gene:ORUFI01G26040 transcript:ORUFI01G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSIIASAVGVGVGVGVGIGLVSSRLTGLATGGGAPAASCGVWWWTAATSASPSTTSRTTSGRLIEHSKLALTSTAFVHLSPTILPNHIRVLSASSRTILLCGPSEAYLQSLAKALANQFSARLLLLDVIDFACKLHHKYGGPSNTQTRERSMTEAAFDRVSSLVGAFNLFRKKEEPTGTALVVLITHLQYGFSYPWYLPRRTMIPNLLNTLIIVSASEISPVILYIRDVDDLLGSSEKAYCMFQKMLKKLSGRVIVIGSQFLDDDEDREDIEESVCALFPCILETKPPKDKVLLEKWKTQMEEDSNNNNNQVVQNYIAEVLAENNLECEDLSSINADDDCKIIVAYLEEIITPSVSYHLMNNKNPKYRNGNLVISSESLSHGLRIFQESNDLGKDTVEAKDETEMVVPDNEYEKKIRPTVIPANEIGVTFDDIGALADIKECLHELVMLPLQRPDFFKGGLLKPCKGVLLFGPPGTGKTMLAKALANAAGASFLNISMASMTSKWYGESEKCIQALFSLAAKLAPAIIFIDEVDSMLGKRDNHSENEASRRVKNEFMAHWDGLLSKSNERILVLAATNRPFDLDDAVIRRFEHRIMVGLPTLESRELILKTLLSKETVENIDFKELAKMTEGYTSSDLKNICVTAAYHPVRELLQKEKNKVKKETAPETKQEPKEKTKIQENGTKSSDSKTEKDKLDNKEGKKDKPADKKDKSDKGDAGETTLRPLNMEDLRKAKDEVAASFASEGVVMNQIKEWNELYGKGGSRKREQLTYFL >ORUFI01G26040.2 pep chromosome:OR_W1943:1:22712777:22717561:1 gene:ORUFI01G26040 transcript:ORUFI01G26040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSIIASAVGVGVGVGVGIGLVSSRLTGLATGGGAPAASCGVWWWTAATEQSKLALTSTAFVHLSPTILPNHIRVLSASSRTILLCGPSEAYLQSLAKALANQFSARLLLLDVIDFACKLHHKYGGPSNTQTRERSMTEAAFDRVSSLVGAFNLFRKKEEPTGTALVVLITHLQYGFSYPWYLPRRTMIPNLLNTLIIVSASEISPVILYIRDVDDLLGSSEKAYCMFQKMLKKLSGRVIVIGSQFLDDDEDREDIEESVCALFPCILETKPPKDKVLLEKWKTQMEEDSNNNNNQVVQNYIAEVLAENNLECEDLSSINADDDCKIIVAYLEEIITPSVSYHLMNNKNPKYRNGNLVISSESLSHGLRIFQESNDLGKDTVEAKDETEMVVPDNEYEKKIRPTVIPANEIGVTFDDIGALADIKECLHELVMLPLQRPDFFKGGLLKPCKGVLLFGPPGTGKTMLAKALANAAGASFLNISMASMTSKWYGESEKCIQALFSLAAKLAPAIIFIDEVDSMLGKRDNHSENEASRRVKNEFMAHWDGLLSKSNERILVLAATNRPFDLDDAVIRRFEHRIMVGLPTLESRELILKTLLSKETVENIDFKELAKMTEGYTSSDLKNICVTAAYHPVRELLQKEKNKVKKETAPETKQEPKEKTKIQENGTKSSDSKTEKDKLDNKEGKKDKPADKKDKSDKGDAGETTLRPLNMEDLRKAKDEVAASFASEGVVMNQIKEWNELYGKGGSRKREQLTYFL >ORUFI01G26040.3 pep chromosome:OR_W1943:1:22712690:22717561:1 gene:ORUFI01G26040 transcript:ORUFI01G26040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSKSASAEQSKLALTSTAFVHLSPTILPNHIRVLSASSRTILLCGPSEAYLQSLAKALANQFSARLLLLDVIDFACKLHHKYGGPSNTQTRERSMTEAAFDRVSSLVGAFNLFRKKEEPTGTALVVLITHLQYGFSYPWYLPRRTMIPNLLNTLIIVSASEISPVILYIRDVDDLLGSSEKAYCMFQKMLKKLSGRVIVIGSQFLDDDEDREDIEESVCALFPCILETKPPKDKVLLEKWKTQMEEDSNNNNNQVVQNYIAEVLAENNLECEDLSSINADDDCKIIVAYLEEIITPSVSYHLMNNKNPKYRNGNLVISSESLSHGLRIFQESNDLGKDTVEAKDETEMVVPDNEYEKKIRPTVIPANEIGVTFDDIGALADIKECLHELVMLPLQRPDFFKGGLLKPCKGVLLFGPPGTGKTMLAKALANAAGASFLNISMASMTSKWYGESEKCIQALFSLAAKLAPAIIFIDEVDSMLGKRDNHSENEASRRVKNEFMAHWDGLLSKSNERILVLAATNRPFDLDDAVIRRFEHRIMVGLPTLESRELILKTLLSKETVENIDFKELAKMTEGYTSSDLKNICVTAAYHPVRELLQKEKNKVKKETAPETKQEPKEKTKIQENGTKSSDSKTEKDKLDNKEGKKDKPADKKDKSDKGDAGETTLRPLNMEDLRKAKDEVAASFASEGVVMNQIKEWNELYGKGGSRKREQLTYFL >ORUFI01G26050.1 pep chromosome:OR_W1943:1:22717772:22719741:-1 gene:ORUFI01G26050 transcript:ORUFI01G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASSDPISDNLVVFSRMARCSVSRVVLLLLCCMHLSAAAAGAAVYNVESYDARPDGRTDASRALASAWSAACRSPEPATVYVPDGEFFVSHSAFAGPCSGGRMTVQIDGTLVAPSGYTGSASSGGEWIVFDHVDGLTVSGGTLDGRGESLWACKAAGHGGCPDGATSMKVLNSRDVVISGVKSVNSELYHVVIDGCEGVAVQDARIVAPGSSPNTDGIHVQSSSAVTITGASIQTGDDCISVGPGTSNLRVEHVSCGPGHGISIGSLGKESEEGGVENVTVSGAAFVGTENGLRIKTWGRAARSGAYVRGVVFEHALMRDVSNPIIIDQSYCPNDGGQGCPHQVAVKFDCSASKPCSGLGLQDIKLTFDGGKPAEATCQHADGTASGVLMPPSCL >ORUFI01G26060.1 pep chromosome:OR_W1943:1:22722247:22724836:1 gene:ORUFI01G26060 transcript:ORUFI01G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPRTRPPPLLLWRRGLGERRGGGGGIMVGEAWVPRVRRQDEDVDEAPQGGRLRWRERGKRRPASRLPPSRSRTWARTQRRTPPPTTRPTAPRTRRLGRSQGGWPTRARTAWRPLPSTSAPVAWGPWWTPWRRRRHCGRPPKRLLWKGPSMAETRGGGRRITRGIDGNGEGRHQKSRRRRVDNPAGPCDRVIDKLREGEVVVWRRGWLVGGETVVARVAEDDLDSSKQNFRMQLPGIKHTFYSREMIHQNSDVVHAVGAAVPAVLGFKKQKFMKLCSNFCGSLADAFSELSWTDGQTLVRDLQERKGKTL >ORUFI01G26070.1 pep chromosome:OR_W1943:1:22726671:22728092:1 gene:ORUFI01G26070 transcript:ORUFI01G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLRLLAARRFRRRAVSTITATAPATPCGGGGWYGEDEGPFFDLDLSCCSASAPASSAESGSESEDYSSCAGESDFVISLQRSRSASPSYEERVFYVGGGSGWARAAAAAPAHLKFCASEPSDAASRYGSGRRGKLRTLSFGSAKAAFYGGRASFSRSSNSARSARLFAAFGYGSPDQGDEANRRTPSRDVIRRYLSKISRQLRRVAPSAGADLRLRKSRSASAAQTAACQSPPPRRDDSLLEQQDGIASAIAHCKESLHRVRLAIAAVTERSTKLAVPIGFEFSRRSRGLRRSFALNFAEEGEMKKKKKKTREPSSTNCLLKASRKENAVVQRAREFSFINLSSSLVRVSELSSFFCVVLGAGKELLLGSFSHSPPHVQAWSCQLCMSLVVTPLFHILLLATRPVIRHLKSTAFFSLTRSNRVPHARFLRT >ORUFI01G26080.1 pep chromosome:OR_W1943:1:22731769:22742905:-1 gene:ORUFI01G26080 transcript:ORUFI01G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIIGADQKAHPIPKWLLPATTRSAALGSSSEPRRLLRPPPGGRLKFVRGGEPSSVPRGFLIPPGGVWSSGGFWGSSPLRRPPFSKFNILDLHELINKSIPLSFMEASSWLCYQARGFGSSRVWLWLLLALVLLNCSLVLSASPYLVGMGSFDITGPAADVNMMGYANTEQIASGIHFRLKSRAFIVAEPNGKRVVFVNIDACMASQIVTIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIEQSIVEAHNNLRPGKIFVNKGDLLDAGVNRSPSAYLNNPAEERSKYEYNVDKEMTLIKFVDDELGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQMGLPKQSAHANSDDLRSLHKTSVLPRRVSTIIPEPNEITDDLIQLASSYEASGGRRLAGSSITRRIRSTQQNKPKFVSAFCQSNCGDVSPNVLGTFCIDTNLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRVIGNRQFLKARDLFDSASEEIQGKIDYRHTYLDFSKLEVKVSTSAGGQQTVKTCPAAMGFAFAAGTTDGPGAFDFRQGDVKGNPFWKLVRNLLKTPGKDQVECHSPKPILLDTGEMKEPYDWAPAILPVQMIRIGQLVILCVPGEFTTMAGRRLRDAVKTVLTSGNSEFDKNIHVVLAGLTNSYSQYITTFEEYQIQRYEGASTLYGPHTLSAYIQEFQKLAMAMIANKEVPTNFQPPDMLDKQIGLLPGVVFDSTPLGVKFGDVNSDVPGNSTFNKGSTVNATFYSACPRNDLLTDGTFALVEKLDGNNNWVPVYDDDDWSLRFKWSRPARLSSRSFATLEWTVPEDAAAGVYRLRHFGASKPMFGSVRHFTGTSRAFAVR >ORUFI01G26090.1 pep chromosome:OR_W1943:1:22750884:22751550:1 gene:ORUFI01G26090 transcript:ORUFI01G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEERGDRQERDDGEGGEEERRERGGVGGLVDRAKGFVAEKIAKIPKPDATLDRVSFKGVSRECITLHSHLDVNNPYSHRIPICELTYTFKSGDKVIASGTMPDPGWIAASGTTNLELPVKVPYDFIVSLIKDVSGDWDIDYVLEVGITIDLPVVGSFTIPLATEGEMKLPTFRDLLF >ORUFI01G26100.1 pep chromosome:OR_W1943:1:22755141:22760565:1 gene:ORUFI01G26100 transcript:ORUFI01G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASDLESKAKAAFVDDDFELAAELYTQAIEASPATAELYADRAQAHIKLGNYTEAVADANKAIELDPSMHKAYLRKGAACIRLEEYQTAKAALELGYSFASGDSRFTRLMKECDERIAEELSEVPVKKAEDGAAAPSVASFVEEKDDAANMDNTPPMVEVKPKYRHDFYNSATEVVLTIFAKGVPAENVVVDFGEQMLSVSIEVPGEEPYHFQPRLFSKIIPEKSRYQVLSTKVEIRLAKAEQITWTSLDYDKKPKAVPQKIIPPVLLIALLLSAESAQRPSYPSSKSKKDWDKLEAEVKKEEKEEKLEGDAALNKFFRDIYSDADEDMRRAMMKSFVESNGTVLSTNWKDVGSKKVEGSPPDGMELKKWEY >ORUFI01G26110.1 pep chromosome:OR_W1943:1:22763204:22764799:-1 gene:ORUFI01G26110 transcript:ORUFI01G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPLLLRAVVVVAMEHFNDWDLQAVVRSCSFPQSEPPRVGVGVPAAPGAGGAPVVVAPPARAPDGPDQMARASASALYDLEYLDLDHKPFLLPGSSSSSSSSRAVARARGEDDGKGRHEVMISFPAAAAASTSGAQPRSPSGRKPGIRTPRPKRSKKSQLKKVVYEVPVADGGVSSDLWAWRKYGQKPIKGSPYPRGYYKCSSMKGCMARKMVERSPAKPGMLVVTYMAEHCHPVPTQLNALAGTTRHKSAPTGDDDKPTSPGPAAGRAAAGEGVVKCEDVDANELSAMAADGGAEDTAAAADDDGELWPEGMGLELDEFLGPMDDDVFEFDHVLEDDGVLGRRLSL >ORUFI01G26120.1 pep chromosome:OR_W1943:1:22773532:22773849:-1 gene:ORUFI01G26120 transcript:ORUFI01G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDIATKAAAGAQDSGSGGDTPPSTDGGCGREGRSVAARVRRAAAQEEVAFVAAATNLEELNGTVEEVDGAEELVAPEKGRRLMANAEKERQRSREASGRHCGE >ORUFI01G26130.1 pep chromosome:OR_W1943:1:22776127:22780520:1 gene:ORUFI01G26130 transcript:ORUFI01G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGRRRYSSEQLMFDVPANAGGGAGKWGQRGGVRRGDGEIFVSVEPTTPARLRGGEAAAAAAGESPGQRQQLSPGLLDLHAFDTELISDFQVPGIGMYDGAQKFGYGNGGFDDSDPTFAPNKQMSKSTVFAESNFLKAFPEKEKAAPVAKIKVVVRKRPLNKKEISKKEEDIIDIEQQSNSLTVHETKLKVDLTEYVEKHEFVFDAVLDEDVSNDEVYRETVEPVVPAIFNRTKATCFAYGQTGSGKTYTMRPLPLKASQDILRLMHHTYRNQGYQLFVSFFEIYGGKLFDLLNERSKLCMREDGKQKVCIVGLQEYRVSDVETIKELIEKGNATRSTGTTGANEESSRSHAILQLAIKKRVDGNDSKPPRLAGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQTHIPFRGSKLTEVLRDSFIGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGSNTKKDLSLAAAPLRESSPSLLASAVPSFSSAEVMNDITERSNFGWTKQQYVKEHQAPTFVDRMQKVKEDTEFSLSNGGYFKEQRTKGSVPVGIAEVPDTVYQQGRQPTRKARDLTSDNNMRNSIAYPIIRRVVPDEDEHLNELLQEEEDLVSAHRKQVEETLDMIKEEMNLLVEADQPGNQLDDYITRLSGILSQKAAGIVDLQARLAQFQRRLNENNVLLYAQCP >ORUFI01G26140.1 pep chromosome:OR_W1943:1:22784939:22786587:1 gene:ORUFI01G26140 transcript:ORUFI01G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHTELALGLIGCCGGDGQQQTAPFVAKTYQMVCDPRTDALVRWGRDNNSFVVVDPAAFSQLLLPCFFKHGNFSSFVRQLNTYGFRKVHPDRWEFAHESFLRGQTHLLPRIVRRKKRGEGGGGGGGASCSFGGGAGEHQVAAAAASVGMSGEEEDAAEDVLAKEAALFEEVQRLRHEQTAIGEELARMSQRLQATERRPDQLMSFLAKLADDPNAVTGHLLEQAAERKRRRQHLPSHEPTVCPLPPAPPPQPPQPLLALAGAAAMDGTYWWTTEHHHHHHHQMKPMTVLPSLEPPTASCGVHQVPELGGGGVMGLTTDGEAKVEPPFPFCLLGQAFF >ORUFI01G26150.1 pep chromosome:OR_W1943:1:22793375:22794846:-1 gene:ORUFI01G26150 transcript:ORUFI01G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRLGDEGGSWAPCMVKEVEEKLGGIYDGKFEQRLIQVIVPSILQYVCTACLQYGRSSWCSSPRRPVGGRWEELAAWVSDNHHAICKGGGGGRGGKGHDSKAMSTPIFFIPDAPFHAQLEFNPGFLSFMRFRGLLWRFLLIHKCNRYSLWWEHFFLHNDEVVLVFQIISSEVVAAGLVSFLVFDGVSPRFD >ORUFI01G26160.1 pep chromosome:OR_W1943:1:22798933:22800033:-1 gene:ORUFI01G26160 transcript:ORUFI01G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPFVDKSPHRRLDGLGAGGWLKQRLAQILVRSTCTTNTTTTTTTTSSTAFVSLDKTNADTHQEPPPPPSPYFCTPCTYQRPKLDAPGRPRRRRRSASLVHISIDCTGGAGATSGRRSVHSDAPLLPYSSSLPTKDGRKQSRARRKARSSPSTSRRHCPSSSWGRARLPRGAPGQYSSSSSTVTDDELAPFSTDEEGGEEADTRTLFSSLSFSSDSTSEFYHTNSSSSLARKGHKNAPARRPPARRASARITSDPADAFRPVVSVAATKKQHNDMKKKEETAIKRQLGTDDDTAAGAGMAVVKRSSNPYADFRSSMVEMVVERRICSVPEMEELLGSYLSLNSPQHHPAILAAFEDVWEAVFGEE >ORUFI01G26170.1 pep chromosome:OR_W1943:1:22806154:22812210:-1 gene:ORUFI01G26170 transcript:ORUFI01G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGKGGAPPDKLADRTGDQYGSLSLTMPPLFIQEDVSEPTTRSLQLGPGSYKSPAGPREPRIMRSSATHVAGHPWDFRQAYRLAATVSHPLLLLATVLLLDADPRLHMPELAYAAAEEKKRVVNCVSIQRPPIEDIIHASAYIGPVQLCFHVHGTPAGIAEISLRDTTLAPIDDQDVKGHFGSGEEEDKL >ORUFI01G26170.2 pep chromosome:OR_W1943:1:22806154:22812298:-1 gene:ORUFI01G26170 transcript:ORUFI01G26170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRRGNGSSAFLLRAEREKTHLAAAAAAYGDGREGRRAASPPEGDAAQLLAMDTTKRPLRLTASSQDRGPIWIIVINHASPVYSRAAGPREPRIMRSSATHVAGHPWDFRQAYRLAATVSHPLLLLATVLLLDADPRLHMPELAYAAAEEKKRVVNCVSIQRPPIEDIIHASAYIGPVQLCFHVHGTPAGIAEISLRDTTLAPIDDQDVKGHFGSGEEEDKL >ORUFI01G26180.1 pep chromosome:OR_W1943:1:22812427:22821594:1 gene:ORUFI01G26180 transcript:ORUFI01G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAPTAAKSASPSQPSGKSEVADLKQQLRQLAGSRAPDADDQRRDVFKRVISCMTAGIDVSAAFGEMVLCSATSDVVLKKMCYLYVGVHARNHPDLALLTINFLQRDCHDQDPTIRGLALRSLCSLRVPNLVEYLVSPLATGLKDPSAYVRMIAAVGAAKLYHISATACLDADLPAALKALMLSDPDAQVFGLLVCWPTAGSLFKSVIGGQVVANCMHALQEIWTLEAAKSEEAAREIETLYSKPVVFYLLNRIKEFSEWAQCLVLELVSNFLPSDNNEIFDIMNLLEDRLQHANGAVVLATIKVFLHLTMSMTDVHQQVYERIKAPLLTLVGAGSPEQSYSVLCHLHLLVMRAPMLFSSDYKSFYCQFSDPSYVKKLKLEMLTAIANESNTYEIVTELCEYAGNVDVPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMDKEYVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNIQEPKGKAALIWMLGEYSQDMHDAPYILESLVENWEEEHSPEVRLHLLTAVMKCFFKRPPETQMALGATLTAGLSDTHQDVHDRALFYYRLLQYDPAVAERVVNPPKQAVSVFADTQSSEIKDRIFDEFNSLSVVYQKPSYMFTDKEHRGPFEYSEDLTNLAVGAETTENTISAQRYQESDNDLLLSTSDKEDNATATSNGSSASTYNAPSDLSGPSLLSSQIPSETSLINPGAPTYSSQSNFSLDDLLGLGVPQASAPPPAPALTLNSKPVLDPGTFQKKWGQLALSLSQECSLSPQGAASLMNPQSLIRHMQNNYIQCIASGGQPPNYKFFFYAQKDGATAFFLVECIVNTASAKAQIKVKADDGTAAEAFSTLFQSALSKFGLS >ORUFI01G26190.1 pep chromosome:OR_W1943:1:22822070:22822312:-1 gene:ORUFI01G26190 transcript:ORUFI01G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPSLKPKPKVKGARKGSPAADEEQSTAAAAVRFVKEWTTWTMKKTKVAAHYGFIPLIIVVGMRSEPRPSLAQLLSPV >ORUFI01G26200.1 pep chromosome:OR_W1943:1:22827705:22830512:1 gene:ORUFI01G26200 transcript:ORUFI01G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGGGGGGGDRHGPYHQHGHLGRGEGADYVYSSSDMESFFFSQPGGVGIGGGGGGVVGAGGADEIMPYSSITDYLQGLLDPSGLARHLDVACPSSQDTAVKQELSVDVTSHDSQGTGGVAGEGVAQATPNSSASFSSSDGEAEGGKSSRRCKKGQAKAEEEDDKDEEDGENSKKPNKPKKKAEKRQRQPRVAFLTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTPKCGVKKRVERSYQDPSTVITTYEGQHTHHSPASLRGGGGGVGIVGGHHHHHLFMPGVHGLPPSHLMPAGFHPELMGLMHHHPAMAAAAANPSMYFPGVAASAPPPPAVAGGGAMPPNDHPPLQQHHFTDYALLQDLFPSTMPSSNP >ORUFI01G26200.2 pep chromosome:OR_W1943:1:22827705:22830568:1 gene:ORUFI01G26200 transcript:ORUFI01G26200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGGGGGGGDRHGPYHQHGHLGRGEGADYVYSSSDMESFFFSQPGGVGIGGGGGGVVGAGGADEIMPYSSITDYLQGLLDPSGLARHLDVACPSSQDTAVKQELSVDVTSHDSQGTGGVAGEGVAQATPNSSASFSSSDGEAEGGKSSRRCKKGQAKAEEEDDKDEEDGENSKKPNKPKKKAEKRQRQPRVAFLTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTPKCGVKKRVERSYQDPSTVITTYEGQHTHHSPASLRGGGGGVGIVGGHHHHHLFMPGVHGLPPSHLMPAGFHPELMGLMHHHPAMAAAAANPSMYFPGVAASAPPPPAVAGGGAMPPNDHPPLQQHHFTDYALLQDLFPSTMPSSNP >ORUFI01G26210.1 pep chromosome:OR_W1943:1:22837743:22839383:-1 gene:ORUFI01G26210 transcript:ORUFI01G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLHKQWQSSYFFLATRWRRSCLPSPSRSLDAASHLPLVSDFCRSLDAASHLPLVSDFRLYSPPKFEVFVLCGVLPTSPGALFCLTGGQG >ORUFI01G26220.1 pep chromosome:OR_W1943:1:22845497:22846084:1 gene:ORUFI01G26220 transcript:ORUFI01G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSSRAIWIACCKSDGVQGRAPLRLLLRAALPPAARCRRQETPRGGLIPWRGVRDRVGCVARISPLPQRPCRLLPCRHRLRCYRLTLEHPLPAAYEDSTAALAWVLSVADPWLAAHGLLSRVFLAGDSASGNIYHHLVMCHGLTSQHLSCRLKGIVMIHPWFWGKEPIGGKAATWGAEGAMGVRVPRRGGRRG >ORUFI01G26230.1 pep chromosome:OR_W1943:1:22846092:22848261:1 gene:ORUFI01G26230 transcript:ORUFI01G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTAAGAPGLENLVCEKVMVCVAEGNTLRWRGRAYAVAVTSASRWSRRTSERRPAKAAKKHRE >ORUFI01G26240.1 pep chromosome:OR_W1943:1:22848937:22851885:-1 gene:ORUFI01G26240 transcript:ORUFI01G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVQQLQAKQAAQHYTYKAHTSHATSAVALLLLLSSPLLSSPLLPPKSPSFFLVLLLLHEATSASPPRDRLQDPILISPPPTNSSRPALAVRRSHLQENPFPLWFFVLDDIGCVKIENVELKRFRIVKEVGTIVMNVRIFAYYQLMQVCQRDPTAFTGNPSFAYGHEADGYIANGPLGGQCNYRVPVSPAFGAPSGMTSPQLRTSLGGFEFQPSRLNPSATNAFPSYPEPICRSSGQDNGNLEEVSSSFKEDTREIDALLSSDEESDEDDVKSTGRTPDCFESDSLDSSSPPRSRKMHHSSSQSSVFHGSMDTVTHERMRNMVTVLRGIIPGGDQLDTASVIEEAVRYLKFLKMEAKKLGVEVSDN >ORUFI01G26240.2 pep chromosome:OR_W1943:1:22848937:22851885:-1 gene:ORUFI01G26240 transcript:ORUFI01G26240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVQQLQAKQAAQHYTYKAHTSHATSAVALLLLLSSPLLSSPLLPPKSPSFFLVLLLLHEATSASPPRDRLQDPILISPPPTNSSRPALAVRRSHLQENPFPLWFFVLDDIGCVKIENVELKRFRIVKEVGTIVMNVRIFAYYQLMQVCQRDPTAFTGNPSFAYGHEADGYIANGPLGGQCNYRVPVSPAFGAPSGMTSPQLRTSLGGFEFQPSRVCPRNFIIFDQTDDKGRIMCHPALVSKLNPSATNAFPSYPEPICRSSGQDNGNLEEVSSSFKEDTREIDALLSSDEESDEDDVKSTGRTPDCFESDSLDSSSPPRSRKMHHSSSQSSVFHGSMDTVTHERMRNMVTVLRGIIPGGDQLDTASVIEEAVRYLKFLKMEAKKLGVEVSDN >ORUFI01G26240.3 pep chromosome:OR_W1943:1:22849919:22851885:-1 gene:ORUFI01G26240 transcript:ORUFI01G26240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVQQLQAKQAAQHYTYKAHTSHATSAVALLLLLSSPLLSSPLLPPKSPSFFLVLLLLHEATSASPPRDRLQDPILISPPPTNSSRPALAVRRSHLQENPFPLWFFVLDDIGCVKIENVELKRFRIVKEVGTIVMNVRIFAYYQLMQVCQAEYFRQLLKPVT >ORUFI01G26250.1 pep chromosome:OR_W1943:1:22855011:22856783:-1 gene:ORUFI01G26250 transcript:ORUFI01G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMGAAAHVDATQAGVHASWRGSTCLGRWYTTGALNGGEDVWLQIPEIPHRAIGPEEGEADPKTDPPKCSVISGPGTENIFRPIKHPMLMHTGYNQRGPWMRKVSTLAPDKRGDRIRTCGRHERMHGLQNLFTHMLSLQPPRAIDMDLSGLLITKVCIISMRIAYIRTY >ORUFI01G26260.1 pep chromosome:OR_W1943:1:22857392:22860651:1 gene:ORUFI01G26260 transcript:ORUFI01G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREKEAMGIGIGIGIGTGTGAALPFGEASPWSLLGGAVAALLLVWAAQMLEWAWLAPRRMERALRAQGLRGTQYRFLHGDLTEDLRLVTAARSKPVPMDRPHDFIPRVAPLLHRALEEHGRVSFTWFGPMPRVTITDPDLVREVLSNKFGHFEKTKLATRLSKLLVGGLVILHGEKWVKHRRIMNPAFHAEKLKRMLPAFSASCSELIGRWENAVAASVGKAELDIWPDFQNLSGDVISRAAFGVRHHEGRQIFLLQAEQAERLVQSFRSNYIPGLSLLPTENNRRMKAIDREIKSILRGIIEKRQKATKNGEASKDDLLGLLLQSNMDYYSDEDGKSSKGMTVEEIIDECKLFYFAGMETTAVLLTWTMVALSMHPEWQDRAREEILQVFGRNKPDINGVSRLKVVTMVLHEVLRLYPPVVMMNRRTYKEIELGGVRYPAGVMLSLPVLFIHRDAAAWGHDAGEFDPGRFAEGVARACKDPGAGAFFPFSWGPRICIGQNFALLEAKVALGMILQRFAFELSPAYAHAPYTVLTLHPQHGVPVRLRRL >ORUFI01G26270.1 pep chromosome:OR_W1943:1:22862524:22874596:-1 gene:ORUFI01G26270 transcript:ORUFI01G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTSVPWSSMVYGLLGLALLWQVHRLLVRLWWQPQRLERALRAQGVRGTSYRFLTGDLKDYGRLSKEAWARPLPLRCHDIAPRVAPFVHRTIAEHGKACLSWFGPIPKVTIADAEIAKDVLSNKMGHFEKLKFPVLSKLLADGVANYEGEKWAKHRRILNPAFHLEKLKLMLPAFSACCEELVGRWAASLGSDGSNEIDVWPEMQSLTGDVISRTAFGSSYLEGRRIFQLQAEQQELFMGAIQKISIPGYMSLPTKNNRRMYQIKNEVESIIRDLVQKRMHAMKDGERTKDDLLGILLESSTRHADENGHSGPGMTIEEVMEECKVFYFAGMETTAILLTWTMVVLSMHPEWQHRAREEVTMILYEVLRLYPPGIGFVRQTYKEMEIGGVKYPAGVMIELPLLFIHHDPDIWGSDVNEFKPERFAEGISRASNDHGAFFPFGWGPRICMGQNFALLEAKMALCMILQRFEFELAPSYTHAPHIVLMLPVPYASAFLSPHRATGERPAREEALPPPLLMLMMLGAASQWILAAAAAAAVAALLWLAVSTLEWAWWTPRRLERALRAQGIRGNRYRLFTGDVPENVRLNREARKKPLPLGCHDIIPRVLPMFSKAVEEHGKPSFTWFGPTPRVMISDPESIREVMSNKFGHYGKPKPTRLGKLLASGVVSYEGEKWAKHRRILNPAFHHEKIKRMLPVFSNCCTEMVTRWENSMSIEGMSEVDVWPEFQNLTGDVISKTAFGSSYEEGRRIFQLQAEFLPTKNNRRLREIEREVSKLLRGIIGKRERAIKNGETSNGDLLGLLVESNMRESNGKAELGMTTDEIIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQERAREEVLHHFGRTTPDYDSLSRLKIVTMILYEVLRLYPPVVFLTRRTYKEMELGGIKYPAEVTLMLPILFIHHDPDIWGKDAGEFNPGRFADGISNATKYQTSFFPFGWGPRICIGQNFALLEAKMAICTILQRFSFELSPSYIHAPFTVITLHPQHGAQIKLKKI >ORUFI01G26270.2 pep chromosome:OR_W1943:1:22861225:22873024:-1 gene:ORUFI01G26270 transcript:ORUFI01G26270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILYEVLRLYPPGIGFVRQTYKEMEIGGVKYPAGVMIELPLLFIHHDPDIWGSDVNEFKPERFAEGISRASNDHGAFFPFGWGPRICMGQNFALLEAKMALCMILQRFEFELAPSYTHAPHIVLMLPVPYASAFLSPHRATGERPAREEALPPPLLMLMMLGAASQWILAAAAAAAVAALLWLAVSTLEWAWWTPRRLERALRAQGIRGNRYRLFTGDVPENVRLNREARKKPLPLGCHDIIPRVLPMFSKAVEEHGKPSFTWFGPTPRVMISDPESIREVMSNKFGHYGKPKPTRLGKLLASGVVSYEGEKWAKHRRILNPAFHHEKIKRMLPVFSNCCTEMVTRWENSMSIEGMSEVDVWPEFQNLTGDVISKTAFGSSYEEGRRIFQLQAEFLPTKNNRRLREIEREVSKLLRGIIGKRERAIKNGETSNGDLLGLLVESNMRESNGKAELGMTTDEIIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQERAREEVLHHFGRTTPDYDSLSRLKIVTMILYEVLRLYPPVVFLTRRTYKEMELGGIKYPAEVTLMLPILFIHHDPDIWGKDAGEFNPGRFADGISNATKYQTSFFPFGWGPRICIGQNFALLEAKMAICTILQRFSFELSPSYIHAPFTVITLHPQHVFNQLRWASTDDSKLSISSHTLCHFDPGLVMLIENPVIPLLPNRPGNQGCDGVESPSVSLVNLHAVITKPQVEGCFIEWQEIGQSNCSEGKPPPLP >ORUFI01G26270.3 pep chromosome:OR_W1943:1:22862524:22873024:-1 gene:ORUFI01G26270 transcript:ORUFI01G26270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILYEVLRLYPPGIGFVRQTYKEMEIGGVKYPAGVMIELPLLFIHHDPDIWGSDVNEFKPERFAEGISRASNDHGAFFPFGWGPRICMGQNFALLEAKMALCMILQRFEFELAPSYTHAPHIVLMLPVPYASAFLSPHRATGERPAREEALPPPLLMLMMLGAASQWILAAAAAAAVAALLWLAVSTLEWAWWTPRRLERALRAQGIRGNRYRLFTGDVPENVRLNREARKKPLPLGCHDIIPRVLPMFSKAVEEHGKPSFTWFGPTPRVMISDPESIREVMSNKFGHYGKPKPTRLGKLLASGVVSYEGEKWAKHRRILNPAFHHEKIKRMLPVFSNCCTEMVTRWENSMSIEGMSEVDVWPEFQNLTGDVISKTAFGSSYEEGRRIFQLQAEFLPTKNNRRLREIEREVSKLLRGIIGKRERAIKNGETSNGDLLGLLVESNMRESNGKAELGMTTDEIIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQERAREEVLHHFGRTTPDYDSLSRLKIVTMILYEVLRLYPPVVFLTRRTYKEMELGGIKYPAEVTLMLPILFIHHDPDIWGKDAGEFNPGRFADGISNATKYQTSFFPFGWGPRICIGQNFALLEAKMAICTILQRFSFELSPSYIHAPFTVITLHPQHGAQIKLKKI >ORUFI01G26270.4 pep chromosome:OR_W1943:1:22873057:22874596:-1 gene:ORUFI01G26270 transcript:ORUFI01G26270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTSVPWSSMVYGLLGLALLWQVHRLLVRLWWQPQRLERALRAQGVRGTSYRFLTGDLKDYGRLSKEAWARPLPLRCHDIAPRVAPFVHRTIAEHGKACLSWFGPIPKVTIADAEIAKDVLSNKMGHFEKLKFPVLSKLLADGVANYEGEKWAKHRRILNPAFHLEKLKLMLPAFSACCEELVGRWAASLGSDGSNEIDVWPEMQSLTGDVISRTAFGSSYLEGRRIFQLQAEQQELFMGAIQKISIPGYMSLPTKNNRRMYQIKNEVESIIRDLVQKRMHAMKDGERTKDDLLGILLESSTRHADENGHSGPGMTIEEVMEECKVFYFAGMETTAILLTWTMVVLSMHPEWQHRAREEVLSLFQKNKLDYEGLSKLKTCRDDIEITKSHGN >ORUFI01G26280.1 pep chromosome:OR_W1943:1:22877460:22895086:1 gene:ORUFI01G26280 transcript:ORUFI01G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATGMEVGVSLEAGKPAAAPWGMLYYGVPALLVLGALYRAAERCWLGPRRVAGALQGQGLRGTAYRFPAGDLPENARRSKEARAKPMPPCHDIVPRDILSNKFGHFEKFTLKSLGKLIALGLASYEGEKWARHRRILNPAFHLEKLKRMLPAFSTCCSEMIDRWDSKLAGSDGPFELDIWQEFQNLTGDVISRTAFGSSFMEGRRIFQLQEEQADRIIKTIQYIYIPGYLYFPTENNRRMKENSREIEGLLRGIIEKRSRAVENGELSGDDLLGLMLKSNMDSGEPSNLRMSTEDVIEECKLFYFAGMETTSVLLTWTLVVLSMHPEWQHRAREEVTMILHEVLRLYPPAVTLSRRTFKEIQIGGITYPAGVGLELPIILIHHNTDVWGKDAHEFKPERFADGISKATKTNQQAFFPFGWGPRICIGQNFAMLEAKMALCVILQNFEFQLSPSYTHAPYASVTLHPQHAMVLGAWLMSPASVPWSLLAYGVLGLVLLWQAGRLLHSLWWRPRRLELALRAQGLRGTRYRFLTGASGSTGRLNREAWARPLPLRCHDIAPRVAPFLHNAVREHGSACFTWFGPTPKVTITDPDLAKGVLSNKFGHFEKPKFPTLTKLFSDSLANHEGEKWVKHRRILNPAFHLEKLKLMLPAFSACCEELVSKWMESLGSDGSYEVDVWPEMQILTGDVISRTAFGSSYLEGRRIFQLQAEQTERLLKCMQKIVIPGYMSLPTKNNRKMHQIKKETDSILRGLVDKRMQAMKEGECTKDDLLGLLLESNMRHTEEDGQSNHGLTIEEVIEECKLFYFAGMETTSVLLTWTILLLSMHPEWQDRAREEILGLFGKNKPEYEGLSRLKIVTMILYEVLRLYPPAVTFTRKTYKQMEIGGVTYPAGVIVELPVLLIHHDPNIWGSDAHEFKPDRFVEGISKASKNPGAFLPFGWGPRICIGQNFALLEAKMALCMILQCFKLELMPSYTHAPYSMVTLRPMHAMVLGAGLRCPASVPWSSLAYGLLGLVLLWQGGRLLHRLWWRPRRLEKALRARGLRGSRYRFLTGXLNREAWARPLPLRCHDIAPRVAPFLHSSVREHGKACFSWFGPIPKVTIANPDLAKDVLSNKFGHLEKHKFQGLTKLLSDGVASHEGEKWVKHRRILNPAFHLEKLKRMLPAFSTCCEELISRWMESLGSEGSYEVDVWPEMQSLTGDVISRTAFGSSYLEGRRIFQLQAEQAERLLKCVQKIIIPGYMSLPTKNNRKMHQIKKEIDSILRGLIGKRMQAMREGESTKDDLLGLLLESNMRHTAEHGQSSQGLTIEEVTMILYEVLRLYPPAVTLTRQTYKQIEIGGVTYPAGVIIELPLLLIHSDPDIWGSDVHKFNPERFAEGISKASKDPGAFLPFSWGPRICIGQNFALLETKMALCMILQHLELELALSYTHAPQSLPVESRRLKVWAFVSDVRGHWASASPAQSWSRGWRCPLLPAAATSPAAHLAPAAAGGASLLRDSPAGAEREAPLAAAAAAAGRGVGRVGRRRGESTAMVFGELFSRASLPPPWSLLAYGLVGPVLLWQAGRLLDRLWWRPRRLERALRAQGLRGTAYRFLLGDLREFGRLNEEAWSSAPLPLGCHDIVPRVTPFVHRNVRDNGRPCCFSWFGPIPSVTITDPAQVRDVLSNKLGHFEKPKLPALTKLLADGLTSHDGEKWVKHRRIMNPAFHLEKLKLTLPAFSTCCEELVGKWMDSLGPDGSCELDVWPEMQSLTGDVISRTAFGSSYSEGRRIFQLQTEQAELFIGAIQKIVIPGYMYLPTKKNRRMRRINSEVESILRGIIGKRMQAIAEGESTNDDLLGLLLESNMRHADENGRSSPGMTTEDVIEECKLFYFAGMETTSVLLTWTMVVLSMHPEWQDRAREEVLGLFGRDKPEYEGLSRLKTVTMVLYEVLRLYPPAIVFSRKTYKEMEIGGVVYPRGVILELPVLFIHHDREIWGRDVHEFRPERFAEGISRASNDRGAFLPFGWGPRVCIGQNFALLEAKMALCMILQRFEFELAASYTHAPHTVMTLHPMHGAQMKLRMI >ORUFI01G26280.2 pep chromosome:OR_W1943:1:22880131:22895086:1 gene:ORUFI01G26280 transcript:ORUFI01G26280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTPAMVLGAWLMSPASVPWSLLAYGVLGLVLLWQAGRLLHSLWWRPRRLELALRAQGLRGTRYRFLTGASGSTGRLNREAWARPLPLRCHDIAPRVAPFLHNAVREHGSACFTWFGPTPKVTITDPDLAKGVLSNKFGHFEKPKFPTLTKLFSDSLANHEGEKWVKHRRILNPAFHLEKLKLMLPAFSACCEELVSKWMESLGSDGSYEVDVWPEMQILTGDVISRTAFGSSYLEGRRIFQLQAEQTERLLKCMQKIVIPGYMSLPTKNNRKMHQIKKETDSILRGLVDKRMQAMKEGECTKDDLLGLLLESNMRHTEEDGQSNHGLTIEEVIEECKLFYFAGMETTSVLLTWTILLLSMHPEWQDRAREEILGLFGKNKPEYEGLSRLKIVTMILYEVLRLYPPAVTFTRKTYKQMEIGGVTYPAGVIVELPVLLIHHDPNIWGSDAHEFKPDRFVEGISKASKNPGAFLPFGWGPRICIGQNFALLEAKMALCMILQCFKLELMPSYTHAPYSMVTLRPMHAMVLGAGLRCPASVPWSSLAYGLLGLVLLWQGGRLLHRLWWRPRRLEKALRARGLRGSRYRFLTGXLNREAWARPLPLRCHDIAPRVAPFLHSSVREHGKACFSWFGPIPKVTIANPDLAKDVLSNKFGHLEKHKFQGLTKLLSDGVASHEGEKWVKHRRILNPAFHLEKLKRMLPAFSTCCEELISRWMESLGSEGSYEVDVWPEMQSLTGDVISRTAFGSSYLEGRRIFQLQAEQAERLLKCVQKIIIPGYMSLPTKNNRKMHQIKKEIDSILRGLIGKRMQAMREGESTKDDLLGLLLESNMRHTAEHGQSSQGLTIEEVTMILYEVLRLYPPAVTLTRQTYKQIEIGGVTYPAGVIIELPLLLIHSDPDIWGSDVHKFNPERFAEGISKASKDPGAFLPFSWGPRICIGQNFALLETKMALCMILQHLELELALSYTHAPQSLPVESRRLKVWAFVSDVRGHWASASPAQSWSRGWRCPLLPAAATSPAAHLAPAAAGGASLLRDSPAGAEREAPLAAAAAAAGRGVGRVGRRRGESTAMVFGELFSRASLPPPWSLLAYGLVGPVLLWQAGRLLDRLWWRPRRLERALRAQGLRGTAYRFLLGDLREFGRLNEEAWSSAPLPLGCHDIVPRVTPFVHRNVRDNGRPCCFSWFGPIPSVTITDPAQVRDVLSNKLGHFEKPKLPALTKLLADGLTSHDGEKWVKHRRIMNPAFHLEKLKLTLPAFSTCCEELVGKWMDSLGPDGSCELDVWPEMQSLTGDVISRTAFGSSYSEGRRIFQLQTEQAELFIGAIQKIVIPGYMYLPTKKNRRMRRINSEVESILRGIIGKRMQAIAEGESTNDDLLGLLLESNMRHADENGRSSPGMTTEDVIEECKLFYFAGMETTSVLLTWTMVVLSMHPEWQDRAREEVLGLFGRDKPEYEGLSRLKTVTMVLYEVLRLYPPAIVFSRKTYKEMEIGGVVYPRGVILELPVLFIHHDREIWGRDVHEFRPERFAEGISRASNDRGAFLPFGWGPRVCIGQNFALLEAKMALCMILQRFEFELAASYTHAPHTVMTLHPMHGAQMKLRMI >ORUFI01G26280.3 pep chromosome:OR_W1943:1:22877460:22879918:1 gene:ORUFI01G26280 transcript:ORUFI01G26280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATGMEVGVSLEAGKPAAAPWGMLYYGVPALLVLGALYRAAERCWLGPRRVAGALQGQGLRGTAYRFPAGDLPENARRSKEARAKPMPPCHDIVPRDILSNKFGHFEKFTLKSLGKLIALGLASYEGEKWARHRRILNPAFHLEKLKRMLPAFSTCCSEMIDRWDSKLAGSDGPFELDIWQEFQNLTGDVISRTAFGSSFMEGRRIFQLQEEQADRIIKTIQYIYIPGYLYFPTENNRRMKENSREIEGLLRGIIEKRSRAVENGELSGDDLLGLMLKSNMDSGEPSNLRMSTEDVIEECKLFYFAGMETTSVLLTWTLVVLSMHPEWQHRAREEVLSAFGRDKPNFDGLSRLKTASACQNLNIFLHPYLITSGSTHVNLLTMPRYSVYLLQVTMILHEVLRLYPPAVTLSRRTFKEIQIGGITYPAGVGLELPIILIHHNTDVWGKDAHEFKPERFADGISKATKTNQQAFFPFGWGPRICIGQNFAMLEAKMALCVILQNFEFQLSPSYTHAPYASVTLHPQHGAQIILTRL >ORUFI01G26290.1 pep chromosome:OR_W1943:1:22924673:22928299:1 gene:ORUFI01G26290 transcript:ORUFI01G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPHAWVQASHIVDGASPPLHPWSLLLYALGALAALWWAWRALDRFWLRPRRLGRALRSQGLRGTDYRFPSGDLKEFARLLAAALAAPMPPLSHDVASRALPFELAAIKQHGNVCVTWFGPEARVIVSDPKLFREILANKNGRFGKQKSILWVQNLLADGLTSHQGEKWVAHRRIMNHAFHLEKLKRMLPAFAACSSELISRWQDSVGADGAQEIDVWPEFQNLTGDVISRSAFGSSFSEGRRIFQLQSEQARNVMKMAKALYFPGYRFLPTELNRRTKANAREVRELLKGIITKRESAMKDGHAVNDDLLGLLLETNIKESQEAGSSKPTMTTKDIIEELKLLYFAGSDTTAVLLTWTMVLLSMHPEWQDRAREEVLRVFGKNSPDFEGINHLKVVTMILHEVLRLYPPILLLGREAYEETELGGVTYPPGVTFALPIACIHHDPDVWGEDVGEFKPERFAEGVSRASKDSPALVPFSWGPRICVGQNFALLEAKMALSMILQRFSFGLSPSYTHAPFPIPTLQPQHGAQIKLTKL >ORUFI01G26290.2 pep chromosome:OR_W1943:1:22920467:22924725:1 gene:ORUFI01G26290 transcript:ORUFI01G26290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVVFAAGDERPLMLVWAAVAGAVLAWCAVRAMEWAWWRPRRLERALRSQGLRGTPYRSPAGDAPLNVQLSAEARARTMPLGCHDVVPRAMPLFHQAMKEHGKVSITWFGPVPRVTITKPELVREVLSNKFGHFEKLKFGRFQRLLHNGLGSHEGEKWAKHRRIINPAFHLEKLKRMLPAFAACCTELVDKWEGLAKGGDEPYEVDVWPEMQSLTGDVISRAAFGSSYLEGKRIFQLQGEQIELIVATMNKIHIPGYIHLPTKSNRRMKQIAAEIEGMLKRIIAKRESALKAGEASSDDDLLGLLLESNLDHSKGNGGAASSGISIDDVIGECKLFYFAGMETTSVLLTWTMVVLSMHPEWQDRAREEVLHVFGSRAPDYDGLSRLRIVTMVLYEVLRLYTPLTALQRKTYKPMELGGGRYPAGGGGCVHHDKDVWGADADEFRPERFAEGISKASREAPAFFPFGWGPRICIGQNFALLEAKMGLSMILQRFSFDLSPSYTHAPFPVGLLQPEHGAQVRLTRLN >ORUFI01G26300.1 pep chromosome:OR_W1943:1:22928771:22934151:1 gene:ORUFI01G26300 transcript:ORUFI01G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFEEHGISSREDSDCSKANCSISNYVPSKDATGEHSAGKDNSSAESESNRVEVQQSSDSAINKMKV >ORUFI01G26310.1 pep chromosome:OR_W1943:1:22934962:22936428:1 gene:ORUFI01G26310 transcript:ORUFI01G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVARLVARSARSSAEHNCSRRKLASTARCGGGGGTKEEILKVPQSSAATMAEPAAGDAAARSRTASQVAAGIAQGERKKYKLPPTPSHELSRSTEYSPGPENQTLLTLNWAPVDGEQWAVAGMARWTR >ORUFI01G26320.1 pep chromosome:OR_W1943:1:22939730:22945375:1 gene:ORUFI01G26320 transcript:ORUFI01G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYGISERSSCPLPVLSLSIFLLLRACLLARLLSLPVGAFVRDLRLSERGGEIVLREGTAARSWVHLGWTFPTGTAVLSTCFLQMPALRMNKMLSTDYLGTQELHSFCKTTEILEDSQSQEIALDRTAVGSTLLSHQNVCSTSEVSGGNFGIAEVSFLQDEYDAETTGVLPPSFLSCGSRSMLPISVPSSSSSSLETVLFSDSTYSDLQVKETNHNTTAMDENNEFLQLILSSNDEGYNAGSEFQVWDVLDFYFSESFSAVQFDSLMGFTNDVSSSHHDCMNLVDMVERPVALLSLNDTEEQNNSTDEFPDDTSSYLQMKPSDSETESNYASRDVAVTEYVDEKPLSRGLPDLMDVDSPGRLSKSARSKQITLVLDLDETLVHSTLDHCDNVDFTLQVFFNMKNHTVYVRQRPHLKMFLEKVAQMFDLVIFTASQRIYAEQLIDRLDPDGRLISHRIYRESCIFSEGCYTKDLTILGVDLAKVVIVDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVGVEDVRPIISKTFHHTLEQN >ORUFI01G26320.2 pep chromosome:OR_W1943:1:22939730:22945375:1 gene:ORUFI01G26320 transcript:ORUFI01G26320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENNEFLQLILSSNDEGYNAGSEFQVWDVLDFYFSESFSAVQFDSLMGFTNDVSSSHHDCMNLVDMVERPVALLSLNDTEEQNNSTDEFPDDTSSYLQMKPSDSETESNYASRDVAVTEYVDEKPLSRGLPDLMDVDSPGRLSKSARSKQITLVLDLDETLVHSTLDHCDNVDFTLQVFFNMKNHTVYVRQRPHLKMFLEKVAQMFDLVIFTASQRIYAEQLIDRLDPDGRLISHRIYRESCIFSEGCYTKDLTILGVDLAKVVIVDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVGVEDVRPIISKTFHHTLEQN >ORUFI01G26330.1 pep chromosome:OR_W1943:1:22950427:22951785:1 gene:ORUFI01G26330 transcript:ORUFI01G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLSRAAAVSLLAIVLVLTAATSTSPAAAAARFPEEARPTRSGYLNVTSTNSLYFAFYEATDPVTTQPAAVPLLVWLQGGPGCSSLIGSFAELGPYLLLDSTSALARNDNRWNRRFGVIFIDNPLGAGFSAPASGDDIPTDERTIAAHLLAALQLFMALDPAFRARPLFLTGESYAGKYIPAAASHILDANAKLTDDRRVNLQGIAIGNGMTHPVAQVTVHADQAYFAGLINAEQKAKVEEMQDKTVSLIKSKKWAAARRERNRIIAFLKNATGVATPFNYAREKGYPTRPLRDFLNTGEAKAALGARSDVEWARCSEAVSAALADDIMRSARGDVEAVFLAPDGVRVLLFQGVFDLHSGPASVEAWVRELAWPGLGAFLAAERAVWRLGDEQLAGYVQRSGALANAVIVGAGHMAAGDNRPAAQAMIEGWVLQTGPFDGSGQRVVGSLF >ORUFI01G26340.1 pep chromosome:OR_W1943:1:22957205:22962521:-1 gene:ORUFI01G26340 transcript:ORUFI01G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDQRKKSSTEADFFTEYGDASRYKIQEVIGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNDKARRYLSSMRKKEPILFSQKFPSADPLALDLLQKLLAFDPKDRPTAEEALAHPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYINGTERTTFLYPSAVDQFRKQFAHLEENGGNGPVIPMDRKHTSLPRSTIVHSTPIPAKEQPRIGPSRDKPSDEPYSNPREFDRFSGNAPRTSQAPQRVPTARPGRVVGPVLPYENGATKDSYDARRLAMNSGYPPQQQIPQAYGYYQIPGKSACSELSQAERYTLHQQAYTCANSATVTDVALDMRAPPFHLSGGPKSDSSERLAAETNLYTRSLNGLAATAAGVAASAHRKVGVVPYGMSRMY >ORUFI01G26350.1 pep chromosome:OR_W1943:1:23004259:23006526:1 gene:ORUFI01G26350 transcript:ORUFI01G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEFVDVERGVVAEGALDDDASPIEEVRLTVPVTDDSSLPVWTFRMWTLGLLSCVLMSFLNQFFSYRTEPLIVTQITVQVASLPLGHILARVLPRRKFKAPALLGGGECSLNPGPFNMKEHVLVSIFANAGCAFGSGSAYAVMIVDIIRAFYGRSISLFPAWLLITTTQVLGYGWAGLMRKYVVEPAQMWWPGTLVQVSLFRALHGKGEEKEENKEGSGGGMSQAKFFLIALACSFLWYAVPGYLFPTLTSVSWVCWIFSKSVTAQQLGSGMKGLGLGAFTLDWTAVSAFLYSPLISPFFATANILAGYVLLMYVVVPVSYWGLDLYNARRFPIFSSHLFTATGSTYDITAIVNDRFEIDMDGYHRMGRINMSTFFALSYGLGFATIAATVTHVALFHGKEIYRRFRASQRDKPDVHTRLMKSYRDVPSWWFYAMLALSMAVSLLLCTVLRSAVQLPWWGLLFACAMAFVFTLPISIITATTNQTPGLNIITEYVIGLMLPGKPIANVCFKAYGYMSMSQAVSFLSDFKLGHYMKIPPKSMFLVQLVGTVVASTVNLVVAYWLLGSIPNICQDALLPADSPWTCPNDRVFFDASVIWGLVGPRRIFGPLGNYGALNWFFLAGAVGPVIVYLLHRAFPSKTWIPMINLPVLIGATSYMPPATAVNYNSWLIIGIIFNFFVFRYRKLWWKRYNYILSAALDAGVAFMAVLLYFSLSMENRSISWWGTAGEHCPLASCPTAKGINLGADSVCPVVL >ORUFI01G26360.1 pep chromosome:OR_W1943:1:23008143:23008679:-1 gene:ORUFI01G26360 transcript:ORUFI01G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVVTVQAEEDEMVRQRQRQRQLVRERGRRIKAAAELGLARSSSGGRQWGRALGRRALLLRKGPATAALSSSTLLLETSAGQEESKQGKAMEGEAEQEEEEEEEEEEVMVDEKVAVLRQLVPGGEAMAVERLLDETADYIAALRAQVGVMRALACLLSGLGSPPEKEISVTPEKPI >ORUFI01G26370.1 pep chromosome:OR_W1943:1:23010504:23010847:-1 gene:ORUFI01G26370 transcript:ORUFI01G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKTFGQIPMPVPMPDGHGHARRPFIGRKFRSALPENRIDAFSPELRYFTVRILKIMGNAVNTPISVCSAIARMAVGKAA >ORUFI01G26380.1 pep chromosome:OR_W1943:1:23013526:23029915:1 gene:ORUFI01G26380 transcript:ORUFI01G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEANFGQKEAINVKVFDICDLNPHEALGNSYVVNIIIRDLVPAEPWWYIACSICKRGTAREGNAYKCPRCSTDAIETRYRVAIMGIDPSDLANDQAKAAEFTFFGEIGEQLIGRPVLNLVASVHGARDIVPPEIKAIFGRQYVIRTSVSRGSLQRNRVSYQVDSLMLPPPDPSHAICLPSHDTCVGSSGHGSTSANAVEPHAIVLSSTQSMPPSTPLVLPDTKDTRDSHGDQHEATPPTPQVIAIAQLDKKHKSSTADENLGHGGSSPDEHDCRKPSVVRTLFVHKLPPEPPK >ORUFI01G26380.2 pep chromosome:OR_W1943:1:23013526:23029915:1 gene:ORUFI01G26380 transcript:ORUFI01G26380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEANFGQKEAINVKVFDICDLNPHEALGNSYVVNIIIRDLVPAEPWWYIACSICKRGTAREGNAYKCPRCSTDAIETRYRVAIMGIDPSDLANDQAKAAEFTFFGEIGEQLIGRPVLNLVASVHGARDIVPPEIKAIFGRQYVIRTSVSRGSLQRNRVSYQVDSLMLPPPDPSHAICLPSHDTCVGSSGHGSTSANAVEPHAIVLSSTQSMPPSTPLVLPDTKDTRDSHGDQHEATPPTPQASNIFYTHINYMHMSLSDLPDHYYFIRS >ORUFI01G26380.3 pep chromosome:OR_W1943:1:23013526:23029915:1 gene:ORUFI01G26380 transcript:ORUFI01G26380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDPSDLANDQAKAAEFTFFGEIGEQLIGRPVLNLVASVHGARDIVPPEIKAIFGRQYVIRTSVSRGSLQRNRVSYQVDSLMLPPPDPSHAICLPSHDTCVGSSGHGSTSANAVEPHAIVLSSTQSMPPSTPLVLPDTKDTRDSHGDQHEATPPTPQASNIFYTHINYMHMSLSDLPDHYYFIRS >ORUFI01G26380.4 pep chromosome:OR_W1943:1:23013723:23029915:1 gene:ORUFI01G26380 transcript:ORUFI01G26380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPTDFWVFCFLGCAAGLGILCWRFHLGEARICLPRLLLAKMDYSLLKDVTQESHRWRVRVRATRFSEFTTANEPDKILRLDLVLLDEQGDMMDAQIPRCHVDQFKPLLKEGAVYYIKYFEVAEARPQYRPVDRLLMAKFTAHTTVIEDTRPPSTFPSYACKILSFDELRARAYKKDILSEKLPSWHYGVPMLHNSTLKTCSSKQTMDLLSCYLLV >ORUFI01G26380.5 pep chromosome:OR_W1943:1:23013626:23029915:1 gene:ORUFI01G26380 transcript:ORUFI01G26380.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPTDFWVFCFLGCAAGLGILCWRFHLGEARICLPRLLLAKMDYSLLKDVTQESHRWRVRVRATRFSEFTTANEPDKILRLDLVLLDEQGDMMDAQIPRCHVDQFKPLLKEGAVYYIKYFEVAEARPQYRPVDRLLMAKFTAHTTVIEDTRPPSTFPSYACKILSFDELRARAYKKDILSEKLPSWHYGVPMLHNSTLKTCSSKQTMDLLSCYLLV >ORUFI01G26380.6 pep chromosome:OR_W1943:1:23013526:23029268:1 gene:ORUFI01G26380 transcript:ORUFI01G26380.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIEANFGQKEAINVKVFDICDLNPHEALGNSYVVNIIIRDLVPAEPWWYIACSICKRGTAREGNAYKCPRCSTDAIETRYRVAIMGIDPSDLANDQAKAAEFTFFGEIGEQLIGRPVLNLVASVHGARDIVPPEIKAIFGRQYVIRTSVSRGSLQRNRVSYQVDSLMLPPPDPSHAICLPSHDTCVGSSGHGSTSANAVEPHAIVLSSTQSMPPSTPLVLPDTKDTRDSHGDQHEATPPTPQASNIFYTHINYMHMSLSDLPDHYYFIRS >ORUFI01G26380.7 pep chromosome:OR_W1943:1:23013526:23022719:1 gene:ORUFI01G26380 transcript:ORUFI01G26380.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLLKDVTQESHRWRVRVRATRFSEFTTANEPDKILRLDLVLLDEQGDMMDAQIPRCHVDQFKPLLKEGAVYYIKYFEVAEARPQYRPVDRLLMAKFTAHTTVIEDTRPPSTFPSYACKILSFDELRARAYKKDILSEKLPSWHYGVPMLHNSTLKTCSSKQTMDLLSCYLLV >ORUFI01G26390.1 pep chromosome:OR_W1943:1:23030675:23032163:-1 gene:ORUFI01G26390 transcript:ORUFI01G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHPLIGEFNHRLHHLPPALSDWMRFKGTENPPLQADLYFSTQEQRHLVHIRSKMLLSEILRSIGANEARYNCHAVTDGYVGSAEASIYGVRGGEEPFLVRAHGIPAIRPCDAEESAAHALIAVIKKECRVEIEDTNWLDMNRYHAEVFRLKRALGRVRKERNSLAKKARLLEIGWDRALDSLAFVNQICNDTCSFALPWCW >ORUFI01G26400.1 pep chromosome:OR_W1943:1:23034802:23042068:1 gene:ORUFI01G26400 transcript:ORUFI01G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTAGQVSFLLGLFPVLIAWIYSEVLEYRKSSSMKVHSDSNLENGTVKEDDKTVLLEGGLSKSPSTKFRINSTKANLIRFITMDESFLLENRAVLRAMAEFGIVLVYFYICDRTNIFPESKKSYNRDLFLFLYILLIIASALTSLKKHHDKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSLARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGSLGLFNKYNEIPSVMAMKIVSCFLAVILIWEIPGVFELLWSPFTFLLGYKDPEPSKANLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNSLCPSALLHHCLCRMQFIMLWFQVERWMEKLEESETKVRLSIKGTIISISLVAGYLWYEYIYKLDKITYNKYHPYTSWIPITWLGKITLETYISQIHIWLRSNMPNGQPKWLLSFIPGYPLLNFMLATAIYLLISYRVFELTGVLKSAFIPSRDNNRLYQNFVAGIAISVCLYFLSIVLLKIPIV >ORUFI01G26410.1 pep chromosome:OR_W1943:1:23042907:23046358:1 gene:ORUFI01G26410 transcript:ORUFI01G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRPPRFQPLPAPIPASTASTTALAARPSSSAHAIICAAAASPFTEATSSSRYRRDAWSYAASDGDSSSSSAAAAAAAASSGRRDDEIALQLPELRRLLEALRASRGRGAEGEGGGGGPGRVALVGTGPGDPELLTLKAVRAIEAADLVLYDRLVSNDVLDLVGEGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLQQQGIRVEVIPGITSASGIAAELGIPLTHRGVATSVRFLTGHSRNGGPDPLYVAENAADPDTTLVVYMGLSTLPSLAPKLMKHGLPPDTPAVAVERGTTPQQRMVFAMLKDFVNEVKSEDLVSPTLIIIGKVVALSPFWIDSSKQGAQSIENLYAAETKR >ORUFI01G26420.1 pep chromosome:OR_W1943:1:23064072:23070625:1 gene:ORUFI01G26420 transcript:ORUFI01G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPTILPKIAAHWLFTFYRAARKLRGHAFQYCRSSSATTTKPPPPSPVSPAAKCTVVFPDAADKAVVFGFDGALMRSAALFPYFMLVACEGGSLLRALLLLCAFPLVWALGERSDAGVRVMAFVTFFGLRPRDMDLVARAVLPKFYMEGLNAQVYSRLWLPARRKVVVTGAPRVMVEWFLKEYMAADVVVGGELHVVRVGRGRYFTGMLCGPGGSAAPALKHKALQAEALGTDNAMADVAVVGNASQLDHPCFPYCKEVYVVNRESTKTARLPRDRYPKPLIFHDGRLAFLPTPSAALAFFLFLPLGVILSVIRISIGILLPYKISFGAGALFGVRYRTSGLRAPEPGVKRRGVLYVCTHRTLVDPIMLTAALQKPVPAVTYSLSRLSEVIAPIKTVRLTRDRERDAETMSRLLEHGDLAVCPEGTTCREPYLLRFSPLFAELADDMEPVALDAQVTTLYGTTASGHKWLDPVVFFANPQPAYRVDFLGAVPREWTRAGGRAGAEVANWVQRRLGEALGYECTGLTRRDKYMMLAGNDGVVAK >ORUFI01G26430.1 pep chromosome:OR_W1943:1:23076696:23078783:1 gene:ORUFI01G26430 transcript:ORUFI01G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLGLLVLLLAFCGGAEHHGAEAAGDASARGASARRGLATVSVAKPSYPTVTTPMSASTSPSTMPMSSSPSAFPSLATAGGGGGGGGGGSWCVASQSASPTALQVALDYACGYGADCSAIQPGGSCFNPDTVHDHASYAFNSYYQKNPVATSCDFGGTATITNTDPSKLISVPTQQHLNPCGHNFLTSLLVFHPCRFRVVPVFSLERWWSEHAAPAVPDHPAAANPDDADADHPDDTNTDHAGHRDADLRWIHDPS >ORUFI01G26440.1 pep chromosome:OR_W1943:1:23083578:23084017:-1 gene:ORUFI01G26440 transcript:ORUFI01G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAGKAREARPAARKPDWRERRSRWREAGLAREVRPVVEEAGGKGSDHGARRSCRGDVARSSAHEGWSTGGAPVQWSHMSAEVEWWWSIGDERQVKTQPGLGHTDKLWFIPPPEGVVVPSHPSRVFAG >ORUFI01G26450.1 pep chromosome:OR_W1943:1:23084106:23085000:-1 gene:ORUFI01G26450 transcript:ORUFI01G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADMAPSWLGREGAALAAPHRRPADEVKLSVEEAVAPAEVVAAQTEEANEVAAVSGGGGARDGGGKGRDWQGVGDDCDYGLRQRGRRSSGCDEEGEVRGSNGSRMEGGGKDGDGGQDLVTTEADPAPWRTDLVWGELAAVAALRSSQRRCQRQRHRAHGDGGGGGWTAANNTVAGRRQRRYRLLWQRGVLAVAMVAAVAGRRRGGCGQSAARWPSAATAMVVVTVCTVGRGMAVSDS >ORUFI01G26460.1 pep chromosome:OR_W1943:1:23087182:23092138:1 gene:ORUFI01G26460 transcript:ORUFI01G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDLSSEMRRTVLGLTLWVWIAIGVVALLVAILLMICIWMASRRKTKRTMDNLRQTQIPIFSKEIPVDRVGGRSLAQTMHEREQPSFPPQDKHTNREPGKTLGHMALSKSSEPDNMSQGSSVCNVDRAGSVHSGEDGSTGHGRKPYSPAAFVSASPLVGLPEFSHLGWGHWFTLRDLELATNRFSRENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMRQHGVFSWENRMKVVIGTAKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKVSDFGLAKLLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGREPVDYSRSGNEVNLVEWLKIMVANRRAEEVVDPILEVRPTVRAIKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYREDRRNRRSRTGSMDIESIAEGSNSTEFANKVERTGSSTSDRSQS >ORUFI01G26460.2 pep chromosome:OR_W1943:1:23087182:23092082:1 gene:ORUFI01G26460 transcript:ORUFI01G26460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDLSSEMRRTVLGLTLWVWIAIGVVALLVAILLMICIWMASRRKTKRTMDNLRQTQIPIFSKEIPVDRVGGRSLAQTMHEREQPSFPPQDKHTNREPGKTLGHMALSKSSEPDNMSQGSSVCNVDRAGSVHSGEDGSTGHGRKPYSPAAFVSASPLVGLPEFSHLGWGHWFTLRDLELATNRFSRENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMRQHGVFSWENRMKVVIGTAKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKVSDFGLAKLLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGREPVDYSRSGNEVNLVEWLKIMVANRRAEEVVDPILEVRPTVRAIKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYREDRRNRRSRTGSMDIESIAEGSNSTEFANKVERTGSSTSDRSQS >ORUFI01G26470.1 pep chromosome:OR_W1943:1:23094296:23094604:1 gene:ORUFI01G26470 transcript:ORUFI01G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVGKVGEWIRRRMMPRRSKSNAAGSRSRSEGDGGEALPAPPQRKLRARALPAALRWRPRGRLLAVLYEKVVYHLLWLVESIVVVARLCFFVMRFGLKQL >ORUFI01G26480.1 pep chromosome:OR_W1943:1:23097167:23104241:1 gene:ORUFI01G26480 transcript:ORUFI01G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTASRICTLLSILFVSCMLASAANDGLIRIALKKRPIMESIYGELVPKSGTVDHEVALGYSGVRMNSADEGFYDPVTEAINHVRVHQQRMLRDIEAAAMEGRLKHFWSYRGFRERGSLKNGTQNHPLALKNFLNAQYFGEIGVGCPPQNFTVVFDTGSSNLWVPSAKCVFSLACYFHRKYESRSSSTYMENGTPASIHYGTGSIHGYYSQDQVTIGDLVVNNQEFIEATHEPGLTFLAAKFDGILGLGFKEISVEGADPVWYNMIQQSLVTDKVFSFWLNRNANDINGGEIVFGGADESHYKGDHTYTRVTRKAYWQFEMGDFLIGGRSTGICVDGCAVIADSGTSLIAGPIAAIAQIHAHIGATGVANEECKQVVARHGHEMLELLQDKTPPAQVCSKIGLCKSDGAHGISDGIESVLGETHKSADEVSDATCNACEMAVTWMQSEFVQNHTKEGKLEYANQLCGNMPSPVGSYVDCRHIGHLPNVAFSIGGRAFELTPEQYILKFGEGFLAHCMSGFTALDIPPPIGPLWILGDVFMGAYHTIFDYGKMRVGFADSA >ORUFI01G26490.1 pep chromosome:OR_W1943:1:23107230:23108021:-1 gene:ORUFI01G26490 transcript:ORUFI01G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAAAAAAADDAGRPGAAAARLRVMWRVVRAAEALALAVLLSRSLPLLPVAAGAAGAVLRVGASFLLHPCSVFLLANGIVVLLVALSRRDRPSSSSSSSSSSSSCSDDHDQFLSFAGAHLPPLPAAITGAAAADQEEGAVFEDKQAVHVTPARAAPRRSRSEKVGRGRRPTRAASPELRRSESERCRRRRRSLSSSSASLADWGMEEDDGGEKEEEEFRRAVEAFIAKQQTRFHREESFVLVAGAGAGDETSAAAAAVEVK >ORUFI01G26500.1 pep chromosome:OR_W1943:1:23118202:23118588:-1 gene:ORUFI01G26500 transcript:ORUFI01G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMGDRRCSVLPTPFTSASPPDPQSSPRSTPPRCLVKVAVAMSGEGGRREQHRGRGRESSAGRRMGAEVKVKWRRLGANEVVRSHPLALSPPSSRRFLLPYRRPHRVVLHAAELIGAPAKGVGSLVG >ORUFI01G26510.1 pep chromosome:OR_W1943:1:23123124:23129513:1 gene:ORUFI01G26510 transcript:ORUFI01G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDQPIRIKIMLGMKGGGEERISNGTSGWQGDGSSVEAVGMACGCGRIDCGSLVVMASSMTRESLETRKLNHGAMTFIVAGLGRVEEDSETDFSFKDANKLCSLVRKQQALAKKKRKWLRSLIPREDGLIKPIKRPKFLKDAYLAESYVRSDEVSCEKVIAHVEKCFDFQSDGYSHHIVQDGLQFFKLQKGKDGSLSPQGLADMQLIINKLSNEALHSVANIVTHNRVSFEKTRPAMNKIIEDHLPQYLANLRDENDMSQLSHILTNSFSCRSNSLNIATPISPKMLSSINQALNVLGTLTIQSLVAMKRKLDEISFTPKFSFVPRISRKAHMVTVIRKQCNKMISRVGESGDLPKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVLNAIWSIQKLKKGDLKLLRPILCQGSNDEMLFKTTVRRVIYQMRHQMPLRQKIDFTEQRKGAEVEAVLNLMNCGSECHSDEQVMSLGCDDYSCDNDFVLTEGYKNFGHQQHKIDEACSSSMANPVGLS >ORUFI01G26520.1 pep chromosome:OR_W1943:1:23132119:23132800:-1 gene:ORUFI01G26520 transcript:ORUFI01G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGARVLEELVPHGTPTKKAPLVAPPPPPPPPNNQESESDSDSDDGQKSDSSDDEALPVPNPALQANKNVPPSDDDEDDDQESDSGDARGRKASALDAKIKQLTLAQVRVSLQGRGLEKELIKLLSGLLK >ORUFI01G26530.1 pep chromosome:OR_W1943:1:23136249:23136809:-1 gene:ORUFI01G26530 transcript:ORUFI01G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEAEAAQTSPAEGQQRCPDRRAAWSWSWSWMDAPDVELATEIGVCAEEGVGCGGGRGSTGDGFERESGETGAGAGRQDGGLGRRREGDGFDSGQKGEVRQKRIARMRLRRGEGAFGNTKGVV >ORUFI01G26540.1 pep chromosome:OR_W1943:1:23136422:23143344:1 gene:ORUFI01G26540 transcript:ORUFI01G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYNGRQPRLSLPHLPLPASTASVRSASVAPPPSGRYRIRPPPVADPIRRLAAQLRLRSPHSLSQTRRLLIPVLRRNLRPPPRRHRSPSPVQRRAHPSTTTTTTTPPADPDIAAVPPPAKSVRPPPQPASPPLSLHRGNRHASPQPPPIRSHAAVPPPLKVMALELRTTPATPSGSPRLLPTGTAHWRRF >ORUFI01G26540.2 pep chromosome:OR_W1943:1:23136422:23142830:1 gene:ORUFI01G26540 transcript:ORUFI01G26540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYNGRQPRLSLPHLPLPASTASVRSASVAPPPSGRYRIRPPPVADPIRRLAAQLRLRSPHSLSQTRRLLIPVLRRNLRPPPRRHRSPSPVQRRAHPSTTTTTTTPPADPDIAAVPPPAKSVRPPPQPASPPLSLHRGNRHASPQPPPIRSHAAVPPPLKGQHQRRLADLHACCPPALPTVSNCTPKP >ORUFI01G26550.1 pep chromosome:OR_W1943:1:23154196:23154711:-1 gene:ORUFI01G26550 transcript:ORUFI01G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSTSFLPTQAAAAATRTTLRSLVPSQRMRCSMRKKGLHPEIYEDAKVYCNGELVLVTGGTKPEYTVDVWSGNHPYYVGDTSAMVVMDSQIEKFRKKWGHIKEYWPEDQWREMHPDGDPEFDPEEEGSAGAS >ORUFI01G26560.1 pep chromosome:OR_W1943:1:23157074:23165446:1 gene:ORUFI01G26560 transcript:ORUFI01G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMMPLDTNACAQPMRRAGEGAGTERLMERLNIGGMTQEKALRKRCFGDGVTGTARCVFTSDADRDTPHLRTQSSRKNYADASHVSAVILGGGTGVQLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHHTYLGGGINFTDGSVQVLAATQMPDEPAGWFQGTADAIRKFMWILEDHYNQNNIEHVVILCGDQLYRMNYMELVQKHVDDNADITISCAPIDGSRASDYGLVKFDDSGRVIQFLEKPEGADLESMKVDTSFLSYAIDDKQKYPYIASMGIYVLKKDVLLDILKSKYAHLQDFGSEILPRAVLEHNVKACVFTEYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFFTSPRYLPPARLEKCKIKDAIISDGCSFSECTIEHSVIGISSRVSIGCELKDTMMMGADQYETEEETSKLLFEGKVPIGIGENTKIRNCIIDMNARIGRNVIIANTQGVQESDHPEEGYYIRSGIVVILKNATIKDGTVI >ORUFI01G26560.2 pep chromosome:OR_W1943:1:23157832:23165448:1 gene:ORUFI01G26560 transcript:ORUFI01G26560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMMPLDTNACAQPMRRAGEGAGTERLMERLNIGGMTQEKALRKRCFGDGVTGTARCVFTSDADRDTPHLRTQSSRKNYADASHVSAVILGGGTGVQLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHHTYLGGGINFTDGSVQVLAATQMPDEPAGWFQGTADAIRKFMWILEDHYNQNNIEHVVILCGDQLYRMNYMELVQKHVDDNADITISCAPIDGSRASDYGLVKFDDSGRVIQFLEKPEGADLESMKVDTSFLSYAIDDKQKYPYIASMGIYVLKKDVLLDILKSKYAHLQDFGSEILPRAVLEHNVKACVFTEYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFFTSPRYLPPARLEKCKIKDAIISDGCSFSECTIEHSVIGISSRVSIGCELKDTMMMGADQYETEEETSKLLFEGKVPIGIGENTKIRNCIIDMNARIGRNVIIANTQGVQESDHPEEGYYIRSGIVVILKNATIKDGTVI >ORUFI01G26560.3 pep chromosome:OR_W1943:1:23157074:23165448:1 gene:ORUFI01G26560 transcript:ORUFI01G26560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMMPLDTNACAQPMRRAGEGAGTERLMERLNIGGMTQEKALRKRCFGDGVTGTARCVFTSDADRDTPHLRTQSSRKNYADASHVSAVILGGGTGVQLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHHTYLGGGINFTDGSVQVLAATQMPDEPAGWFQGTADAIRKFMWILEDHYNQNNIEHVVILCGDQLYRMNYMELVQKHVDDNADITISCAPIDGSRASDYGLVKFDDSGRVIQFLEKPEGADLESMKVDTSFLSYAIDDKQKYPYIASMGIYVLKKDVLLDILKSKYAHLQDFGSEILPRAVLEHNVKACVFTEYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFFTSPRYLPPARLEKCKIKDAIISDGCSFSECTIEHSVIGISSRVSIGCELKDTMMMGADQYETEEETSKLLFEGKVPIGIGENTKIRNCIIDMNARIGRNVIIANTQGVQESDHPEEGYYIRSGIVVILKNATIKDGTVI >ORUFI01G26560.4 pep chromosome:OR_W1943:1:23157827:23165448:1 gene:ORUFI01G26560 transcript:ORUFI01G26560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMMPLDTNACAQPMRRAGEGAGTERLMERLNIGGMTQEKALRKRCFGDGVTGTARCVFTSDADRDTPHLRTQSSRKNYADASHVSAVILGGGTGVQLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHHTYLGGGINFTDGSVQVLAATQMPDEPAGWFQGTADAIRKFMWILEDHYNQNNIEHVVILCGDQLYRMNYMELVQKHVDDNADITISCAPIDGSRASDYGLVKFDDSGRVIQFLEKPEGADLESMKVDTSFLSYAIDDKQKYPYIASMGIYVLKKDVLLDILKSKYAHLQDFGSEILPRAVLEHNVKACVFTEYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFFTSPRYLPPARLEKCKIKDAIISDGCSFSECTIEHSVIGISSRVSIGCELKDTMMMGADQYETEEETSKLLFEGKVPIGIGENTKIRNCIIDMNARIGRNVIIANTQGVQESDHPEEGYYIRSGIVVILKNATIKDGTVI >ORUFI01G26560.5 pep chromosome:OR_W1943:1:23160230:23165448:1 gene:ORUFI01G26560 transcript:ORUFI01G26560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMMPLDTNACAQPMRRAGEGAGTERLMERLNIGGMTQEKALRKRCFGDGVTGTARCVFTSDADRDTPHLRTQSSRKNYADASHVSAVILGGGTGVQLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHHTYLGGGINFTDGSVQVLAATQMPDEPAGWFQGTADAIRKFMWILEDHYNQNNIEHVVILCGDQLYRMNYMELVQKHVDDNADITISCAPIDGSRASDYGLVKFDDSGRVIQFLEKPEGADLESMKVDTSFLSYAIDDKQKYPYIASMGIYVLKKDVLLDILKSKYAHLQDFGSEILPRAVLEHNVKACVFTEYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFFTSPRYLPPARLEKCKIKDAIISDGCSFSECTIEHSVIGISSRVSIGCELKDTMMMGADQYETEEETSKLLFEGKVPIGIGENTKIRNCIIDMNARIGRNVIIANTQGVQESDHPEEGYYIRSGIVVILKNATIKDGTVI >ORUFI01G26570.1 pep chromosome:OR_W1943:1:23166387:23170359:1 gene:ORUFI01G26570 transcript:ORUFI01G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSDEDSEISDSEIDEYADKFYARLVAGEFKVKDGQSYSCPFCRGKKKKDFNINNLIQHASGVGAASNRQAKDKATHRALAKHLKNGLTKSSGQQSQTAVVEPQPLPNRDEKFVWPWMGVLVNVPTEWKDGRQIGRSGNHLKEQLSRFCPLKIIPLWNFRGHSGNAIVEFGKDWHGFRNALAFEDYFGKEGYGKRDWKEKQNQGSNLFGWVARAEDHTSPGLIGDHLRKNGDLKTINDLENEGARKTDKLVANLANQIEVKNRHLQELEVTYNERTTSLEKMMGQREQLLQKYNEEIRKMQQLAQRHSQKIIDENQKLRSELESKMSELNTRSKELDEIAAKSDYDRRIIDQEKQKNAIKSSHLKLATLEQERADENVLKLVREHKREKEAAVKKILKLEQQVDAKQKLELDIQQLKGKLEVMKHMPGDEDSALKNKIDELSEELQEKMDELDAMESLNQTLVIKERKSNTEMQDARKELENGLLDLLDGQSHIGIKRMGELDLEAFSKACRKMSSEEDAEITAAILCSKWQAEIKNPDWHPFRFVLVDGQEKEIIEDDAKLQELKEEHGEDIYRLVRDALCEINEYNPSGRFPVGELWNFKDKRKATLKETVQFVLRQWRANRRKR >ORUFI01G26570.2 pep chromosome:OR_W1943:1:23166924:23170359:1 gene:ORUFI01G26570 transcript:ORUFI01G26570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSDEDSEISDSEIDEYADKFYARLVAGEFKVKDGQSYSCPFCRGKKKKDFNINNLIQHASGVGAASNRQAKDKATHRALAKHLKNGLTKSSGQQSQTAVVEPQPLPNRDEKFVWPWMGVLVNVPTEWKDGRQIGRSGNHLKEQLSRFCPLKIIPLWNFRGHSGNAIVEFGKDWHGFRNALAFEDYFGKEGYGKRDWKEKQNQGSNLFGWVARAEDHTSPGLIGDHLRKNGDLKTINDLENEGARKTDKLVANLANQIEVKNRHLQELEVTYNERTTSLEKMMGQREQLLQKYNEEIRKMQQLAQRHSQKIIDENQKLRSELESKMSELNTRSKELDEIAAKSDYDRRIIDQEKQKNAIKSSHLKLATLEQERADENVLKLVREHKREKEAAVKKILKLEQQVDAKQKLELDIQQLKGKLEVMKHMPGDEDSALKNKIDELSEELQEKMDELDAMESLNQTLVIKERKSNTEMQDARKELENGLLDLLDGQSHIGIKRMGELDLEAFSKACRKMSSEEDAEITAAILCSKWQAEIKNPDWHPFRFVLVDGQEKEIIEDDAKLQELKEEHGEDIYRLVRDALCEINEYNPSGRFPVGELWNFKDKRKATLKETVQFVLRQWRANRRKR >ORUFI01G26580.1 pep chromosome:OR_W1943:1:23170630:23171386:1 gene:ORUFI01G26580 transcript:ORUFI01G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVETRISITDFSCKMSDKHAVWVWGTHNLHNKATSESTSTTTACSSVHIRCNATVKYASRRLRGNGKPVRDRQPDKPWTTEETRPASTTAPGSDEACGICQEKFGMGCGAGASSDPVNLPCEHAFHANCVLAWFYKGNTCPVCSHDVCGQLVAAPWTKLAA >ORUFI01G26590.1 pep chromosome:OR_W1943:1:23172008:23174535:1 gene:ORUFI01G26590 transcript:ORUFI01G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGAAGQAGGGGPAAAAAAGEYWSEALKSFLDHIPVSLDGSVPDAIDSMYRSDVAGAVIVDDVRTSFGKFVDRDIGFVEFPSLVLWAIEEFDKLGSGAGDKNSDFLTSLKQHPQIAETKIAWLAKSFLWEPFFPVRSHDTLFHAMLLFSKHRRINVVPVVELMNSSVIGFVTQNAVMELLLSSSGLEWLDKIADKQLSEFRFANTTKPVSVYSDQTLSDALHILSKEKIGVAVVDRKTSCLIGSIQCSDLYQLLDDSSLFRNRKTLSAEEFVKLKSKDENISTENSSASGGQNVLSLRTGQRITAGLPVTNRKSDTLKQAMEKLTASRSSCSFIVDEHGRVEGVVTARDIISVFSPPCMDSRIDGGTFFSAALAQTGCRVEHGQMIQNS >ORUFI01G26590.2 pep chromosome:OR_W1943:1:23172008:23174535:1 gene:ORUFI01G26590 transcript:ORUFI01G26590.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGAAGQAGGGGPAAAAAAGEYWSEALKSFLDHIPVSLDGSVPDAIDSMYRSDVAGAVIVDDVRTSFGKFVDRDIGFVEFPSLVLWAIEEFDKLGSGAGDKNSDFLTSLKQHPQIAETKIAWLAKSFLWEPFFPVRSHDTLFHAMLLFSKHRRINVVPVVELMNSSVIGFVTQTLSDALHILSKEKIGVAVVDRKTSCLIGSIQCSDLYQLLDDSSLFRNRKTLSAEEFVKLKSKDENISTENSSASGGQNVLSLRTGQRITAGLPVTNRKSDTLKQAMEKLTASRSSCSFIVDEHGRVEGVVTARDIISVFSPPCMDSRIDGGTFFSAALAQTGCRVEHGQMIQNS >ORUFI01G26600.1 pep chromosome:OR_W1943:1:23186200:23188199:1 gene:ORUFI01G26600 transcript:ORUFI01G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVKGPVVVTGASGFVGSWLVMKLLQAGYTVRATVRDPSNVGKTKPLLELAGSKERLTLWKADLGEEGSFDAAIRGCTGVFHVATPMDFESEDPENEVIKPTVEGMLSIMRACRDAGTVKRIVFTSSAGTMYFVSKSLAEKAAMEYAREHRLDLISVIPTLVVGPFISNGMPPSHVTALALLTGNEAHYSILKQVQFVHLDDLCDAEIFLFESPEARGRYVCSSHDATIHGLATMLADMFPEYDVPRSFPGIDADHLQPVHFSSWKLLAHGFRFRYTLEDMFETAVRTCREKGLLPPLPPPPTTAVAGGDGSAGVAGEKEPILGRGTGTAVGAETEALVK >ORUFI01G26610.1 pep chromosome:OR_W1943:1:23193662:23216188:-1 gene:ORUFI01G26610 transcript:ORUFI01G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDSQPLSLDTTPTSADPIRVCIIGPQSGQRRWTNSYCACKWVAWLDIGSCQTTSSGVAATVVPPLAHHYTSPTPVASSPLRDMEQQTTSYEELDGGFCAYYCGTASLVPLLAVASISLVNNSIVQDIENMVRLFIEKALINREWREYNLIMSKLWSAQPGGFARFAGSSQMVPPMRTEDGSSQFLPGHAAFSGVPQVNMPMFPTGMYTADQMMGYAGSTQSYREPCVATVGGRQRHNTR >ORUFI01G26610.2 pep chromosome:OR_W1943:1:23194075:23216188:-1 gene:ORUFI01G26610 transcript:ORUFI01G26610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDSQPLSLDTTPTSADPIRVCIIGPQSGQRRWTNSYCACKWVAWLDIGSCQTTSSGVAATVVPPLAHHYTSPTPVASSPLRDMEQQTTSYEELDGGFCAYYCGTASLVPLLAVASISLVNNREGLRGLRDPAKWFLRCVQKMEARSFFQGMQHFPVYLKLTCPCFQRV >ORUFI01G26610.3 pep chromosome:OR_W1943:1:23194075:23214682:-1 gene:ORUFI01G26610 transcript:ORUFI01G26610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQTTSYEELDGGFCAYYCGTASLVPLLAVASISLVNNREGLRGLRDPAKWFLRCVQKMEARSFFQGMQHFPVYLKLTCPCFQRV >ORUFI01G26610.4 pep chromosome:OR_W1943:1:23214684:23216188:-1 gene:ORUFI01G26610 transcript:ORUFI01G26610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDSQPLSLDTTPTSADPIRVCIIGPQSGQRRWTNRSRRHRCASLGTPLHLPDTRRVQPAP >ORUFI01G26610.5 pep chromosome:OR_W1943:1:23193662:23193965:-1 gene:ORUFI01G26610 transcript:ORUFI01G26610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYQISINENAQSQGPSFFEMLGQGDWLFSQPPIMQPQTTGMYTADQMMGYAGSTQSYREPCVATVGGRQRHNTR >ORUFI01G26620.1 pep chromosome:OR_W1943:1:23216115:23216531:1 gene:ORUFI01G26620 transcript:ORUFI01G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTRMGSAEVGVVSRDRGCESWSIVAEGESRKGEQGALHGEGEDRRRSRREEVRVCGFALGQGRRNARRGEGAGRGGVGERRGGVDAWMTAAAWMREPVVEAARTWRRKGFRRRGSVAAPVVRGGKEAKGKEWRRRW >ORUFI01G26630.1 pep chromosome:OR_W1943:1:23219955:23228345:-1 gene:ORUFI01G26630 transcript:ORUFI01G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAAAAAPPRHRERRRHRRKASSTDAAAAYGDVFGGPPQFAAAFDGVPADYGEVFGGVAASCSIPYLDLPPAAARDDGAGAGAYGEIFGRFDFGDFAEPYEDLLAEAVALAAEIASSSESSRSSVRKESGQLDADPSILHQHYSTVGYDQHFDEDEFSPISSPPDSGKQFSMSYNKATRGRPDDIVKMTTCMVEPPISYVVDSRNISNKSAMDQVVVVDCDTFANGEKGSMGLTFPSSSSLKSASSDSVADQNLHTPTCHPISKNDCEDEDYHKRLSTHSASSEEVPSPDYPFLRVSNNSLHTQPIKVQPPLLAPSKLLNKKESKANGEKGSTGLTFPSSSSVKSASSDPMADQNLHTPTCHPISKTDCEDEDYHKRLSTHSASSEDVPSPDYPFLRVPNNSLHTQPIKVQPPSKLLNKKESKANGDSEVSTNSAAAAAAIKEAMEFAEARLKAAKELMERKGDSFKLRKKPGHHRGTKSTELKESMAPEEVRVYDEKLTMRRIVKEEKTYEETALVNKNGDSSAVNLTHCDHNEKGVLQPRKPQHTAQSGSKLEQLGKWTSGAEFYELISPDQKCKTNSVTCEGDNVQTTNPSSKLGQFEKGKGETTSGDFVGCGKSWDGGDIAELRMEHVNLREYAIGSTEDGCKAPTAPEISFSNEKPTYQESTETHFKECVGAQNYQERYGDDGAFEISCVDSSKLHAPEIPGASLESCISGGHCNGNKSPSDASTKETTSLGESNKENNNIEALEVPCADEMQSQILQEYHEFRNENIDEKKASQVKVSKLEESVEYYETPNFQKSSSTAHGETETVEKEKMFSFSDELRPQNKNIGITEAPPESLIHKEIKKFGTEEKAYITLVGDVVQKSGSLEREANITLESASANENEEAEEANAFVEGINVMETHVSTYGTSVEDSDQIQDSENRMDGMGDLVSHGNEEAAKDPWLDNSEKSQVEEIFSHEEGQLSVEGGIDGGPNDAYAGVNAINDGNGNDSETKVIIDDGTDFNTKMSTCSKELNASFLESSASMQHLSQIDKSIAAQTSDESTPLENLGEDCREREFPEENSTALEQGQAIGSKMEGDDKDKQSKLNVKDQKYFHLDSYIVPKFTENTTLNFVQKLIDETPDGQRIEGRENVKKTLRETEKEVLHRLDEDKEIYKMEREKEQAKERSRRELEEEKERERERAKDRLAVQRATKEAHERAFAEARAKAERIALERITLARQRASAEAREKEEKATAEAATEKASREARLKAERAAVERATAEARERAIEKAKAAADAKERMERFRSSFKDSFKSTNQDNQLDKQFQKTASNNYERSTDSSNQVVEFESALRHKARSEREHRTAERAAKALAEKNMRDMLAQREQAERHRLAEYLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQSVPLTDLITATAVKKAYRRATLCVHPDKLQQRGATIRQKYICEKVFDLLKS >ORUFI01G26640.1 pep chromosome:OR_W1943:1:23230135:23230992:-1 gene:ORUFI01G26640 transcript:ORUFI01G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLPWRSSQPQQPPSLDACSGPPLDKLGALKRLLALIVQGVDIASLPIAAVEAADERHRGQPGRCASRGAPWRPSSQQRDGRRHHRRHGGVGGSPRYRLWLHRSRLEPLPTPTAAADTEEQRLRLENTVETNSRRPLYDNGDKPRILELATRVLDELVEMCSSSEPLWVRGVETDRDILNYDEYVCLFHRDHGGYGDRMAGWSVEAYSVGSTRQGRSFSKSHMCVTKRAFGFLTATFQKVTAHNCFYKSHGPTKHTLRLCLIVKVGNLLLWHGKRSSLLPHD >ORUFI01G26650.1 pep chromosome:OR_W1943:1:23242614:23244616:-1 gene:ORUFI01G26650 transcript:ORUFI01G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSLPLLVSSLLLALFALGAHADVKRYQFDIVMSNVSRLCHEKAMVTVNGSYPGPTIYAREGDRVIVNVTNHVKHNMTIHWHGLKQRRNGWADGPAYVTQCPIGSGGSYVYDFNVTRQRGTLWWHAHIAWMRATVHGAIVILPAAGVPYPFPKPDDEAEIVLGEWWHADVETVERQGSMLGMAPNMSDAHTINGKPGPLVPFCSEKHTYALQVQSGKTYLLRIINAAVNDELFFSIAGHNMTVVEIDATYTKPFAASTVQLSPGQTMNVLVSADQSPGRYFMVAKPFNDYAGVPTSVVPALPQTMPATNSTGSVAAFHDKLRSLNSPRYPADVPLAVDRHLLYTIGLNIDPCETCLNRSRLAASLNNITFVMPRTALLQAHYYGQKGVFAADFPDRPPARFNYTGVPLTAGLGTSLGTRLSKIAYNATVELVLQDTNLLSVESHPFHLHGYNFFVVGRGVGNFDPAKDPAKYNLVDPPERNTVGVPAGGWTAIRFRADNPGVWFLHCHLEVHTSWGLKMAFLVEDGSGPDESVLPPPKDLPKC >ORUFI01G26660.1 pep chromosome:OR_W1943:1:23246139:23247694:-1 gene:ORUFI01G26660 transcript:ORUFI01G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPLYGVVNSPCRSHCRAAATLLVSVSLLCSCFAIAMPSVVVTVDQSGKGDHRRIQDAIDAAPANDSSRTVIRIKPGVYRRVGNQEKVVVDKPYVTLTGTSATSTVIAWNESWVSDESPTVSVLASDFVAKRLTFQNTFGDSAPAVAVRVAGDRAAFYGCRFVSFQDTLLDETGRHYYRGCYVQGATDFIFGNGRALFDKCHLHSTSPDGAGGAFTAQQRSSESEETGYSFVGCKLTGLGAGTSILGRPWGPYSRVVFALTYMSSTVRPQGWDDWGDPSNQRTAFYGQYQCYGDGSKTDGRVAWSHDLTQAEAAPFITKAWVDGQQWLR >ORUFI01G26670.1 pep chromosome:OR_W1943:1:23248783:23249406:-1 gene:ORUFI01G26670 transcript:ORUFI01G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQATALVLSFGSYHLGVHSRGSDIDVLVVGPSYVDRDFFGALAAALAETAAVAELQPPARARRARASDQDEVPRRAGGPRVRWRVGRRPRWTRAARASGTYGRRDAAGKGERHGQDSAGGAPAMDLRSPLPPRRRPPVLLSRRSPPHPSHAMPREKSERERDEEGREEGKRELTWMSDMWGPRGSHADSAATSDKIGSKPPKDLK >ORUFI01G26680.1 pep chromosome:OR_W1943:1:23251971:23254258:1 gene:ORUFI01G26680 transcript:ORUFI01G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHGIRGKVALNPVLKLPQGELVVFTDQFEPGIGRRTSAGLQHLLQELLRLKMQGITKALRFHGKQLKLTVLQHMNKGIFSWATLISRIQTESPTVIIPHIGLENIRVREILNAKGEAKAGAVYWCCTSHFVHEAIKHMTAHNVGALVVLKSGDEKQLAGIVTERDFARKILLPGRPSEETRVGDIMTEEDKLITVSSNTNILQAMELMTERHIRHVPVFDEKVVGMITIGDVVKTIVDQQHQEVKQLKKYIRGDYY >ORUFI01G26690.1 pep chromosome:OR_W1943:1:23261168:23261449:1 gene:ORUFI01G26690 transcript:ORUFI01G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKASSSSSASAASSEWTKQQNKQFERALAVYDTDAPDRWHNVARYMGGAKSAEEVRRHYERLQADVEHIEAGGVPFSLGYGATPQAGRR >ORUFI01G26700.1 pep chromosome:OR_W1943:1:23262807:23263127:-1 gene:ORUFI01G26700 transcript:ORUFI01G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTSGENGHRRPNKEVEGTSVAQRQSSDGVDAVFDGEANARIWEEKGNGSARGDGGLNDHSAREIERGRNKITPD >ORUFI01G26710.1 pep chromosome:OR_W1943:1:23265288:23267370:1 gene:ORUFI01G26710 transcript:ORUFI01G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSVSSSRAPQWTARQNEQFERALAVYDRDTPERWHNIARAVAGKSADEVKLYYDLLVEDVKRIETGKVPFPAYRCPPPAIAG >ORUFI01G26720.1 pep chromosome:OR_W1943:1:23270000:23278104:1 gene:ORUFI01G26720 transcript:ORUFI01G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTAAGGGANNNPNKSLEVNPAPGDSVSSLSFSPKANHLVATSWDNQVRCWEIQPGGQCQAKASISHDQPVLCSAWKDDGTTVFSGGCDKQIKMWPLLSGGQPMVLSGHEAPVKELAWIPQMNLLVSGSWDKTLRYWDVRQPQPAHVQQLPERCYALSLSYPLMVVGTADRNVIVFNMQNPQAEFKRIVSPLKLQTRCLAAFPDQQGFLVGSIEGRVGVHHVDDGQQGKNFTFKCHRDGNDIYAVNALNFHPVHHTFATSGSDGGFNFWDKDSKQRLKAFNKCPSPITCSTFNQDGSIFAYAVCYDWSKGAEKHNPSTAKTNIFLHSVQESEVKGKPRVNKK >ORUFI01G26730.1 pep chromosome:OR_W1943:1:23280317:23281015:-1 gene:ORUFI01G26730 transcript:ORUFI01G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSEHEEDAGDVGGGCSSPPTPPHRVLTSAAPETIRCRYHECLRNHAAASGGHVVDGCGEFMPASTEEPLACAACGCHRSFHRRDPSPGSAGAARLLQLHLPASINSRAPPALLLPPAAAASKQGLPFPGYGTPSGGTGTTTASSSDERLRPSPVQPRRRSRTTFTREQKEQMLAFAERVGWRIQRQEEATVEHFCAQVGVRRQALKVWMHNNKHSFKQKQQQENRQEQQQ >ORUFI01G26740.1 pep chromosome:OR_W1943:1:23282245:23288356:-1 gene:ORUFI01G26740 transcript:ORUFI01G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFYSGVLIFSVLLISLWTVTPVLSHSELDYGRRAKNEKTPNDGNDMSKEIGKGEEQHVNQEAADAAVVLKTKEEIAKRTAEHIQSTIGSSRVAIHEKEELLEKTAEVMSHMAGEVSDQLSKVAKEHTKIAVGSIATALKFKQEVLKQAAQRVKDVSEDVHMATKAKQEILQNVAHDMGKVAGDMATNMAKMAEVAAGVAGGAAAGVATGIAGGFAGGARVHVSGGIHANIHISASASAHAKASAAASAGVGAKASKSVSGNVGNNAEEYAGANGNVHGKAKAGISAGFGISAGAKVAAGIGANAGVGGDAQTNAKAGVGAGVGISGGAKVAGGIGAKAGVGADANANAKAGIGAGVGISGGDKVGADIGAKAGVGGNANAKAGVGAGVGISGGAKVGTEIGAKAGVGGDVNAKAGIGAGVGISGDAKVGGDIGAKAGVGADANANAKAGIGAGVGISGGAKVGADIGAKAGVGGDAYTKAKAGVGAGVGISGGAKVAGGIGANAGVGADANANAKAGIGAGVGISGAAKVGADIGAKAGVGGDVNAKAGIGAGVGISGGAKVDADIGAKAGVGADANANAKAGIGAGAGISGGAKVGADIGAKAGVGADANAKAGIGAGIGISGGAKVGADIGAKAGIGADANANAKAGIGAGIGISGGAKVGADIGAKAGVGGDTYTKAKAGVGAGVGISGGVKVGAEIGAKAGVGADANTNEKAGIGAGVGISGRAKVGVGIGAKAGIGANANANEKAGIGAGVGISGGAKVGADIGAKAGVGADANANAKAGIGAGAGISGGAKVGADIGAKAGVGGGAIAKAGIGAGVGISGGAKVGADIGAKAGVAGDANAKVGIGAGVGISGGAKVGADIGAKAEVGGDANAKAGIGAGVGISGGAKVGADIGAKAGVGAHANAKAKASIGAGVGISGGAKVGADIGAKAGVGGDVYTKAKAGVGAGVGISGGAKVAGGIRTNARVGADANANAKAGIGAGVGISGGAKVGADIGAKAGVGGDVNAKAGIGAGVDISGGAKVGTDIGAKAAIGADANANAKAGIGAVASISGGAKVGADIGAKAGVGADVNTKAGIGAGGGISGGAKIGADIGAKAGVGADANANAKDGIGAGAGISGGTKVGADIGAKAGVGADVNAKAGIGAGVGISGGAKVGANIGAKAGVGTDANANAKAGIGAGAGISGGAKVGTDIGAKAGVGADANSKAGIGAGGGISGGAKIGADIGAKAGVGADANANAKAGIGAGVGISGGAKVGADIGAKAGVGADANANAKAGIGAGVDISGGAKVGADIGAKAGVGGDAYTKAKAGVGAGVGISGGAKVGADIGAKAGVGADANANAKAGIGAGVGISGGAKVGGGIGANVGVGGDAKANANAGVGANAKAGVGARIGGSIGAKADVGGDAKANVDAGAAISKDAKIDAGISKEDKINASIGGNAGANANASAGAGVGLGIGAGITGGVKVGGGIGANAGVGGDAKANADAGINAGAGISKDAKIGANISKEDNISAGIGGNAGVNANAGIGAGAGLGIGVGISGGAKVGGGIGANAGVGGDAKANADTGLNADAGISKDAKIGASISKEDKINAGVGGNAGANANAGIGISAGLSGGAKVGGDIGANAGVGGNAKANADAGLNAGAGISKEDKISAGIGGNAGANVNAGVGAGVGIGIGAGISGGAKVGGGIGANAGVGVDAKANADAGLNAGAGIAKDDKIGAGISKEDKSSAGIGGNAGANANAGVGVGANLGIGASISGGAKVGGGIGANAGVGGDAKANADANASGGGGAVGGQAGANANANANAGANVGIGASKHIGFGFGAGGSFHFHASAKAHANANAAISGSEGSNIGAGASASKSVGAGIGAGVGVHTGMNIGFHGGIGGNANVGSNAGIGASGKENNGVEEEKSKSAEASTNKEYGSAN >ORUFI01G26750.1 pep chromosome:OR_W1943:1:23296254:23296703:1 gene:ORUFI01G26750 transcript:ORUFI01G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSHPNPTKNSPLTNNPRGARRRPPSGCYPSSSLPSPCASSWRHRPSAQQKLAALLRAGSSRRCNPSSSFPLSMRQQPTQPPFLTAAADATAAPRTDATASSERQRRCSLPPRGRRYHSHGTCPPPPPPPPLPLARPAATLSVTGDKN >ORUFI01G26760.1 pep chromosome:OR_W1943:1:23301530:23302611:-1 gene:ORUFI01G26760 transcript:ORUFI01G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPAEPAGGGEEVVGDRQERGRAALRRRRRRQPDEEKEAAKDGETRWQPDAGEILVVDVEVEEGLDDGGEVDGNGGARRRGHRWRGRGGELDVLELTGGVGGSRARARGRQAAPSFPGSHLTPHVIDDISYSGGSVTAFEESGASIAPITVDLASFVKSLRDLDVDR >ORUFI01G26770.1 pep chromosome:OR_W1943:1:23305370:23307238:-1 gene:ORUFI01G26770 transcript:ORUFI01G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTENYDPYYPDRPVVDQYIPVCAKHPGLGSKPAFIWADDQAGGGSGVSPRETLTYSELDSAVQRMAAGLLEVLRRGDTVLVLASPGIRLVKLMFACQRAGLVAVPVIPPDPSVIGTPAEGPAHRHLLRAVSQARPRAAVADAGYVGAVTRSSISALMSLNWVSVDRLERWPAVASTVAATGDGVYEGCGPRETYLVQYTSGATGDPKPVVVTAGAAAHNARAARKAYDLRPGSVVVSWLPQYHDCGLMFLLLTVVSGATCVLTSASAFVRRPRLWLELISEFKATCTPVPSFALPLVLRRGRSEHGTRPLQLQTLRNLILVNEPIYKSPVDEFLEEFGPAGLRASSISPSYGLAENCTFVSTAWRGTEVTLPSYKKLLPSARLPQPSLLTEALDVEIVVVDAETGKLVEDGVEGEIWLSSPSNGSGYLGDSPASREVFGARLQGRAGPCFVRTSDLGVVHGTERYLYVLGRTTDAIVVADSQRRVPAHYIETAAFESSPGRLRGGCIASFTTLPVSPSPVVVVAELQNGGGGGDMAGICHGIRQAVWREVGVTVARVVLAESGGVPKTTSGKLQRGAARAKLLAGKLPKVFEARYAGLEPAAGVLMVKESASRWARLQSPL >ORUFI01G26780.1 pep chromosome:OR_W1943:1:23308376:23310587:-1 gene:ORUFI01G26780 transcript:ORUFI01G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVEAPKPLVYFLLRRAGLRQHTVDVDGAGTVISFWMPEGKVPKDRGTVRDVAPEGAAAADSGKQQKAAAKPAGNGKERPAVVLVHGFAAEGVVTWQFQAGVLAKHYDVYVPDLLYFGGSTSPSTDRSPGFQAECLAAALRKLGVERCTVVGFSYGGMVSFKMAESHPDLVTSLVVSGSVIAMTDSISEASLERIGVKSSAELLLPETVKGLKALLSIATHRKLWFPDRIHRDYLEVMFTNRKERAELLEGLVVSNKDATVPVLPQKILLLWGENDNIFNIELAMTMKEQLGEKAMLQSISKAGHLVHIERPCVYNQHLKEFLAYVNAESPKETA >ORUFI01G26790.1 pep chromosome:OR_W1943:1:23319888:23323875:1 gene:ORUFI01G26790 transcript:ORUFI01G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVKGLGLLLLLVLLALCSTIDVCDARRGKHWRPRSSPSSSLLRNKGKGKKGSSNRQHGSNRPSPKPPLSPPPSPGNGKGYQSPYQPSPSPSPNAPVSPSPVNGSGHASPKSPTPSCGKGNQPPSRPTPTSPQGAVFNVVDFGAKGDGVSDDTKAFEAAWAAACKQGASTVVVPSELEFLVGPISFSGPYCKPNILFQLDGTIVAPTSAKAWGSGLLQWIEFTKLNGVSIQGNGIINGRGQQWWTYSDIDDDEDDDTQYDVEFERMPQVKPTALRFYGSFNVVVAGITIVNSSQCHLKFDSCQGVMVHDVTISSPENSLNTDGIHLQNSKDGGLGLVQDVRFSNIQVSEVQTPIIIDQFYCDKRTCSNQTSAVAVSGVQYENIRGTFTIKPVHFACSDSSPCSGITLTGVQLRPVQIPHYRLNDPFCWQAFGELYTPTVPPIACLHLGKPAGNNLQSYHDLC >ORUFI01G26800.1 pep chromosome:OR_W1943:1:23323915:23327743:1 gene:ORUFI01G26800 transcript:ORUFI01G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRETSDPFAPLLGGDDCVSIQTGCSNINIHNVNCGPGHGISIGGLGRDNTKACVSNVTVRDVNMFRTMTGVRIKTWQG >ORUFI01G26810.1 pep chromosome:OR_W1943:1:23326778:23329418:-1 gene:ORUFI01G26810 transcript:ORUFI01G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVMYKTDGIGLSAPQVGVNVQLMVFNPAGVKGEGEEIVLVNPVVYKMSKRLLVYEESCLSFPGIYANVVRPDNVKIDAQDVTGAKIKFKLSGLSARVFQHEFDHLQGILFFDRMSLDVLESVREGLKVCKNVIIVQNNEIASKFYLIAVVNARTV >ORUFI01G26820.1 pep chromosome:OR_W1943:1:23330509:23337522:-1 gene:ORUFI01G26820 transcript:ORUFI01G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIKELGEGSSHAGQVVIRGLPSELSYADLADYFIKYGKIVDLIIIRAKGTAQAGDSAKITYADAAISDKIIKCRHIIKGKHVVVDRTLMEDTIQYKDKKTNRRITLDGLPWTVSNGSGFVLFSSELAVIKILSNGNTVNLGGEKVSINRSGAFVIAATGHHIKHPFLLPSEIFSSLFPHQKDGLEWLWRLHCEKSGGGILADDMGLGKTRQASAFLAGLFYSDLTQRVLIVAPGTILHQWIAELTKVGFNEDLIHSFWCAKTRHDSLAQVLKEGGVLLITYDLVRLYNEELNGMSSKSSKMRRACPSWDYVILDEGHVLKNPNTKNAAALKSLSRGQTVVLTGTPVQNNLSEFHSLMSLCCPTVLGSLAAFERDFCKPIDMGNVLEATTEVVMISSKKAMEFRKMVRPYFLRRTKESIESLLPNKADLVIWLKLTPYQIELYETFMKSNLIDKTVKGSTFVATMLLQKICNHPQNLTAVDSCEEQLALKENRTLQGIVKKLEALIAKNTTKTSNCLKSCKLTFILQLLKTWQEKLKEEGHKVLIFSQTRLMLDEIEEALTNKGVHFARMDGTVTASKREAIIKVFLLFLEDSMVVFYFYTMGFQSKDGPPIFLMTTKVGGIGLNLTNASRVIIADPSWNPSLDNQCVDRVYRIGQEKNVIIYRLITSCTIEERIYEKQVSKEGIFKAATEERDFRRYINKLGYKEFLKLPEMGFGTSLLQKRLEIETMTDNMSELAVNPKAKPVRNTSFRVEKVEVDRTVKIEAKRAELECKTKLYEHIKEAAHDSGANVLRQMKAIEKEISELTSQEEIEEKKRKGG >ORUFI01G26830.1 pep chromosome:OR_W1943:1:23340416:23340767:1 gene:ORUFI01G26830 transcript:ORUFI01G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMECTWQELVAKLEEEPERSLAAVVGDAGAVDADAGVKTVFYAAPNGVVELVPPPADQGPAVDAPPDVILPVWYL >ORUFI01G26840.1 pep chromosome:OR_W1943:1:23345872:23347661:1 gene:ORUFI01G26840 transcript:ORUFI01G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIHDLYMLRQSSRAMQTSITEITARLAKVTELVLALRAGGDVGNYDDDALSAAHAVDSRGAVVTRDGATFSAIAPVRGYGGGTGDDDALFTAIGPIGGGATALSCSAIFSVVVCIRGGGSDVTPHSSPVSLGTINSTVAMEDYRVASSPFPSTTTAAARDLTTASFSGGCDYAERCSTTRSIACGANGVGSRQAMRAGKEGTAAAAQREQHARQSPGAPPPTRAAGGRCQYQIWRYLGPFHYWIRLSHVSDEAMDRTNPRGLHLGVMSSGRMLIDVNPPTLLGDEGETNEGARSEVSIDNQRGAADIGCSSTLCAGMLCVRHITMAQLVPTSTRHGTCGIMVDTYTGLVPAVSWLIPTGFRPGTCGIMVDVSGTN >ORUFI01G26850.1 pep chromosome:OR_W1943:1:23349502:23354399:-1 gene:ORUFI01G26850 transcript:ORUFI01G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSISRNINPADQEMLKTYKRRRVNRASIPQLLDEVLMEIFIRLPAKTLARFKSVCKAWHTIISEPFFIRSHLRHSAFKHKQEPSFFVILHALDNVVEITFSNNVPVFRWKDGQGNACLVHAMDFHESDTLRQATGLGLDPRTNMYKVARYFYRSVDHTIGTYDAAMEVFSIGQDAFWRETSEVPPYPVRSMESPIHSKGYLFWNIDERFLKGQSRGFLCFSLEDETFSLIPHPCPYLPLNHGTSLVSELDGELCVGLFISGQQQLWMYNGNQWDQRFSINVPGPNDLYIPLDILPHDQLLLQRGPHLYHHNHQSSEDIKEVARMDQLNYQSPPGEPYVYMVIPYMESLVRAYLTKNFLFNLKQLYEEHHMSLRSHVWRDTDKLSYKKMGIPKGKIFIINPKEDYNSWNYWKMPSPNVDL >ORUFI01G26850.2 pep chromosome:OR_W1943:1:23349502:23354399:-1 gene:ORUFI01G26850 transcript:ORUFI01G26850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSISRNINPADQEMLKTYKRRRVNRASIPQLLDEVLMEIFIRLPAKTLARFKSVCKAWHTIISEPFFIRSHLRHSAFKHKQEPSFFVILHALDNVVEITFSNNVPVFRWKDGQGNACLVHAMDFHGEYQIINKMSHCDGLVLFPTDTKLYVINLTTSDVLRLPDNQESDTLRQATGLGLDPRTNMYKVARYFYRSVDHTIGTYDAAMEVFSIGQDAFWRETSEVPPYPVRSMESPIHSKGYLFWNIDERFLKGQSRGFLCFSLEDETFSLIPHPCPYLPLNHGTSLVSELDGELCVGLFISGQQQLWMYNGNQWDQRFSINVPGPNDLYIPLDILPHDQLLLQRGPHLYHHNHQSSEDIKEVARMDQLNYQSPPGEPYVYMVIPYMESLVRAYLTKNFLFNLKQLYEEHHMSLRSHVWRDTDKLSYKKMGIPKGKIFIINPKEDYNSWNYWKMPSPNVDL >ORUFI01G26850.3 pep chromosome:OR_W1943:1:23354407:23355411:-1 gene:ORUFI01G26850 transcript:ORUFI01G26850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPTGRCGRVGRASVSASADPPLAPPTPVVAGDAPLPPPIHHRCPCFTEACRAYPPPTLLHPLTPLPPSFFFLNFGAGPPPRRTGCLLRHGLEYRKEYDAGRQQHQPKKEDIHDT >ORUFI01G26860.1 pep chromosome:OR_W1943:1:23358554:23383887:-1 gene:ORUFI01G26860 transcript:ORUFI01G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEGLFGKKTLLTLPPRTLAAAARSPSSPTLFLSLSRRRRLRLPGRFVSSSPSIVPATITGPLNLSRHRTCSPSSPTLSLSLSHRLCLAPPPPPRAVVAVASRRRRPPPPPSSAPQTLAAAARSLSSHLLPRCSFFRYYSRDDVSSIVLEKKTFLVERFDKYVKTLGSGIHVLAPLVDHIAYVHSLKEEAIPIPDQSAITKDNISIQIDGVLYVKCQQFASLIRATSTYEALLAFKKAVTTSDGIFLNWREQDVDPCNWKDVRCDSHTKRQLTSNLCHFRGDSRRSFPVCQTVSISMEAQGFSRRNFAAELCRSDPPLLFSLYWKFASLDYLDSSMLEMVACFTLYPFVGVVAVTIPFLILSSSHNGFGRIWIVLAIYMSIRAFISTWRNQKMRGIYVLLLGILLFDLTLQVPSVLLGLLSIGCLLYF >ORUFI01G26860.2 pep chromosome:OR_W1943:1:23358554:23383887:-1 gene:ORUFI01G26860 transcript:ORUFI01G26860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEGLFGKKTLLTLPPRTLAAAARSPSSPTLFLSLSRRRRLRLPGRFVSSSPSIVPATITGPLNLSRHRTCSPSSPTLSLSLSHRLCLAPPPPPRAVVAVASRRRRPPPPPSSAPQTLAAAARSLSSHLLPRCSFFRYYSRDDVSSIVLEKKTFLVERFDKYVKTLGSGIHVLAPLVDHIAYVHSLKEEAIPIPDQSAITKDNISIQIDGVLYVKCQQFASLIRATSTYEALLAFKKAVTTSDGIFLNWREQDVDPCNWKDVRCDSHTKRVGVVAVTIPFLILSSSHNGFGRIWIVLAIYMSIRAFISTWRNQKMRGIYVLLLGILLFDLTLQVPSVLLGLLSIGCLLYF >ORUFI01G26870.1 pep chromosome:OR_W1943:1:23391167:23391801:-1 gene:ORUFI01G26870 transcript:ORUFI01G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHSLTAVKLEMTLRAVDNSDTSYYNVETTIESQCLHQSLTCGILSNSTSYCWKYPRSTIVVLYHL >ORUFI01G26880.1 pep chromosome:OR_W1943:1:23391248:23392749:1 gene:ORUFI01G26880 transcript:ORUFI01G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVKPTALRFYGSFNVVVAGITIVNSSQCHLKFDSCQGVMVHDVTISSPENSLNTDGIHLQNSKDGGLGLVQDERFSNIQVSEVQTPIIIDQFYCDERTCSNQTSAVAVSGVQYENIRGTFTIKPVHFACSDSSPCSGITLTGVQLRPVQIPHYRLNDPFCWQAFGELYTPTVPPIACLHLGKPAGNNLQSYHDLC >ORUFI01G26890.1 pep chromosome:OR_W1943:1:23395243:23398157:-1 gene:ORUFI01G26890 transcript:ORUFI01G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLHLRLGPRLRGFASSFAPLLAAHPRALPLSRMGSVAPLAAARARRGFGSAVATAPPAEDEDFATAADLQFEPPLKVVKYPDPILRARNKRINTFDDNLRSLTDEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPAGVKGEGEEIVLVNPVVYKMSKRLLVYEEGCLSFPGIYANVVRPDNVKIDAQDVTGAKIKVKLSGLSARVFQHEFDHLQGILFFDRMSLDVLESVREGLKDLEKKYEESTGLVSPESIENYKGRKDLISFSR >ORUFI01G26900.1 pep chromosome:OR_W1943:1:23399337:23399785:1 gene:ORUFI01G26900 transcript:ORUFI01G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGTMWWWRTTMTQQMATVKIASLPLMLPLLLRHLKYDQNTKPDPNLTCIAVAPPTAWRWRHMKCRMVHGANRRRDAIYAIQIPVTPNGNVELTCHDAGLHHGHKILGSLESFKDVFFSLSLTRCHVNQLSMMS >ORUFI01G26910.1 pep chromosome:OR_W1943:1:23401780:23402578:1 gene:ORUFI01G26910 transcript:ORUFI01G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRMCGRAGEPAVRKGPWTLEEDLILVSYISQNGEGSWDNLARSAGLNRNGKSCRLRWLNYLRPGVRRGSITPEEDMVIRELHSRWGNRWSKIAKHLPGRTDNEIKNYWRTKIHRKPRGRSQLLQEPCEDAMGMGMSTTTSEAASTSASSGQSQASPGVWDEYMQASSFPHPELVSFAADHHLEMAGVGEVAAAAAAQFVPTEFGFNDGFWNFVDNFWETMPVSDVV >ORUFI01G26920.1 pep chromosome:OR_W1943:1:23407602:23409077:1 gene:ORUFI01G26920 transcript:ORUFI01G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDEQQPLHILFFPFLAPGHLIPIADMAALFAARGVRCTILTTPVNAAVIRSAVDRANDSFRRNNGGLAIELTVVPFPDVGLPPGFESGTALTTQDDRDKFFLGIRLLHEPFDRYLSEHHVDAAVVDSFFRWAADAAAEHGVPRLGFLGTSVFARACTNSMLRNNPLETAPDDPDAVVPLPGLPHCVELRRSQMMDPKKRPDHWEKFQSLDAADQRSFGEVFNSFHELEPDYVEHYRTTLGRRVWLVGPVALANKDVAVRGTSELSPDADGYLRWLDAKPRGSVVYVSFGTLSSFSPAEMRELARGLDLSGKNFVWVINGADADASEWMPEGFAELIAPRGERGLTIRGWAPQMLILNHPAVGGFVTHCGWNSTLEAVTAGVPMVTWPRYADQFYNEKLITEVLEVGVGVGSMDFASKLENRRVIIGGEVVAGAIGRVMGDGEEGEAIRKKATELGVKARGALEKGGSSYDDVGILMDELMARRGSVNV >ORUFI01G26930.1 pep chromosome:OR_W1943:1:23412661:23413098:-1 gene:ORUFI01G26930 transcript:ORUFI01G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDAARRARRPTRWHGSGAPAVEEAEAAAWIRSGGGGGGGRGGRLDDGGDMDLEGVDDDNSTTAARQWDLEGVDDDDSTTAAARRRPRLSSPPMPSVVVLASETATDDIFPPPPSHFSSAHPLASPLPHRRLDSVARPVSYKPV >ORUFI01G26940.1 pep chromosome:OR_W1943:1:23420955:23421269:1 gene:ORUFI01G26940 transcript:ORUFI01G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARDGIGGAATANPRTDPAAAAGRPLPRGIPLPSLPNPDPAEGRGVDGGAAGGERGSGGRPPSLAGSSSPPLPVLPSPDPAEGRGVSGGAAEGEHRCRWRMA >ORUFI01G26950.1 pep chromosome:OR_W1943:1:23424918:23426408:1 gene:ORUFI01G26950 transcript:ORUFI01G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEGEQQPLHILFFPFIAHGHLIPVADMAALFAARGVRCTILTTPVNAAVIRSAVDRANDASRGTGSPEISITLFPFPDVGLPPGVESVPGISSKAEQEKIAEAFLRFREPFDRFLAEHHTDAVVVDSFFHWSSDAAADHGVPRLAFLGSSLFARACSDSMLRHNPVEASPDDPDAVVSLPDLPHRVELRRSQMMDPREREGEWAFLQLVNAADQRSFGELFNSFREMEPDYVEHYHTKLGRRAWLLGPVALAAGKGMAERQDTDTDSGRLSPDEERCLRWLDGKAAGSVVYISFGTIARLLAAELTEIARALQLSGKNFLWIITREDTDASEWMPEGFADLMARGERGLVVRGWAPQVLVLNHPAVGGFVTHCGWNSVLEAVSAGVPMVAWPRYTDQFYNEKLIVEMLKVGVGVGAREFASFIDHRSQVIAGEVIAEAIGRVMGEGEEGEAMRKKVKELREKARSAVKEGGSSYDDAGRLLDELMARRSSGTS >ORUFI01G26960.1 pep chromosome:OR_W1943:1:23434966:23435580:1 gene:ORUFI01G26960 transcript:ORUFI01G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARPYRFPVPAEGGEPTRRRSAAQSCGTCGASAVASCVALCCCPCAVVGCLTLALVKAPYAAGRRCVARLAAGKRRRMIRPGVATTPRKTNRVWDLDDGQLQEWRPAGADGAVRAERKQDRREPGRTGGAASAAPPGDASVDAISAVGEGSGRARPRVDAAEKTWVEIYQLGHWGFGRLSFSQPQVIRGDTCGNDGVAASRQ >ORUFI01G26970.1 pep chromosome:OR_W1943:1:23452253:23457417:1 gene:ORUFI01G26970 transcript:ORUFI01G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSRRGPGAMDDENLTFETSPGVEVISSFDQMGIRDDLLRGIYAYGFEKPSAIQQRAVLPIISGRDVIAQAQSGTGKTSMISLSVCQIVDTAVREVQALILSPTRELAAQTERVMLAIGDFINIQVHACIGGKSIGEDIRKLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDEADEMLGRGFKDQIYDVYRYLPPELQVCLISATLPHEILEMTSKFMTDPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTERMRSNNFTVSAMHGDMPQKERDAIMGEFRSGATRVLITTDVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKKEDIRILRDIEQYYSTQIDEMPMNVADLI >ORUFI01G26970.2 pep chromosome:OR_W1943:1:23452271:23457417:1 gene:ORUFI01G26970 transcript:ORUFI01G26970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSRRGPGAMDDENLTFETSPGVEVISSFDQMGIRDDLLRGIYAYGFEKPSAIQQRAVLPIISGRDVIAQAQSGTGKTSMISLSVCQIVDTAVREVQALILSPTRELAAQTERVMLAIGDFINIQVHACIGGKSIGEDIRKLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDEADEMLGRGFKDQIYDVYRYLPPELQVCLISATLPHEILEMTSKFMTDPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTERMRSNNFTVSAMHGDMPQKERDAIMGEFRSGATRVLITTDVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKKEDIRILRDIEQYYSTQIDEMPMNVADLI >ORUFI01G26980.1 pep chromosome:OR_W1943:1:23456257:23462593:-1 gene:ORUFI01G26980 transcript:ORUFI01G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSMQAEVEEMRAALLHGHGGGAAAAAAAAGWRPSAGDADVKRAAGGDGGAAGPRTVCVTGGISFVGFAVVDRLLRHGYTVRLALETQEDLDKLREMEMFGEDGRDGVWTVMANVTDPESLHRAFDGCAGVFHTSAFVDPGGMSGYTKHMASLEAKAAEQVIEACVRTESVRKCVFTSSLLACVWRQNYPHDRRFPTIIDENCWSDESFCRDNKLWFALGKTAAEKTAWRAARGRDLKLVTVCPALVTGPGFRRRNSTASIAYLKGARAMLADGLLATASVETVAEAHVRVYEAMGDNTAGGRYICYDHVVKRPEEFAELERQLGIPRRAAAAAAAQDSGDRPARFDLCRQKLARLMSTRRRCTYDDYYSVAFD >ORUFI01G26990.1 pep chromosome:OR_W1943:1:23485031:23485777:1 gene:ORUFI01G26990 transcript:ORUFI01G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERPRDRTVEEAAAFSGDESDGEFEFPFVSRETDAGGVADELFADGRIRAFYPVFGRVLDDVAVTPAAAAAEERRPPLGRLFLEEGRTSSVGSTSSSSSSTDIAELDGVSPDSYCVWVPGSSPASSPSRPPRKSGSTGSIARWRRISELVVGRSHSDGKEKFRFLSAPSSPARDHPKPKPTTKGGAAAATKLHTELDTIAAGHRLSYSPNHKAHGGATRRTFLPYRQDLMGIFANVNGLSRTHHRPF >ORUFI01G27000.1 pep chromosome:OR_W1943:1:23494516:23494987:1 gene:ORUFI01G27000 transcript:ORUFI01G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRCLHIAFALALVLIEMGSFDEADMVCAHSLLVPDLTDPVHNFISPKEQVDAIITSKAPDVLEQIHVFSVSLS >ORUFI01G27010.1 pep chromosome:OR_W1943:1:23500619:23509192:1 gene:ORUFI01G27010 transcript:ORUFI01G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAAAAAQSWCFATVTPRSRATVVASLASPSPSSSSSSSSNSSNLPAPFRPRLIRNTPVFAAPVAPAAMDAAVDRLKDGFAKFKTEFYDKKPELFEPLKAGQAPKYMVFSCADSRVCPSVTMGLEPGEAFTVRNIANMVPAYCKIKHAGVGSAIEYAVCALKVELIVVIGHSRCGGIKALLSLKDGAPDSFHFVEDWVRTGFPAKKKVQTEHASLPFDDQCAILEKEAVNQSLENLKTYPFVKEGIANGTLKLVGGHYDFVSGNLDLWEP >ORUFI01G27020.1 pep chromosome:OR_W1943:1:23511569:23516205:1 gene:ORUFI01G27020 transcript:ORUFI01G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRLMSTTAATDSLTASTPQPRRRRRQRHIYARRNKCALLLRLSPIVFVRIATRGRGDASRMGACCCCFPVYKPARENPMRSTRESLIQHKPRPTTPYHPPPPPFITYTDKGMNAVERFKTGFENFRNTIYEYFSDALRVRRKRPELFERLKTGQSPKYMVFSCADSRVCPTLTFGLQPGEAFTVRNIASMVPAYDKRGQCSIGSAIEYAVVVLKVECIIVIGHSCCGGIKELLSLKEDRPNTFHFVDDWVKIGLAAKKKVERENMLLPFDDQCTVLEKVEVKLMPVYGSRTSSARLMSMKLEWKGTLSSTYIHTVSRKLDLRHRHAWHGLNHDLHPHSPWA >ORUFI01G27020.2 pep chromosome:OR_W1943:1:23511569:23516205:1 gene:ORUFI01G27020 transcript:ORUFI01G27020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRLMSTTAATDSLTASTPQPRRRRRQRHIYARRNKCALLLRLSPIVFVRIATRGRGDASRMGACCCCFPVYKPARENPMRSTRESLIQHKPRPTTPYHPPPPPFITYTDKGMNAVERFKTGFENFRNTIYDKRPELFERLKTGQSPKYMVFSCADSRVCPTLTFGLQPGEAFTVRNIASMVPAYDKRGQCSIGSAIEYAVVVLKVECIIVIGHSCCGGIKELLSLKEDRPNTFHFVDDWVKIGLAAKKKVERENMLLPFDDQCTVLEKVEVKLMPVYGSRTSSARLMSMKLEWKGTLSSTYIHTVSRKLDLRHRHAWHGLNHDLHPHSPWA >ORUFI01G27030.1 pep chromosome:OR_W1943:1:23521806:23523575:-1 gene:ORUFI01G27030 transcript:ORUFI01G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNDDEQTAPTSTATEPARPTVGITGQLVKQVRLNKYHNDVASMAPHDQELLLELRGSSSSTDRAGLDLVAVIDVSGSMDGDRIDKVKTALQFVIRKLSDLDRLCIVTFCTNATRLCPLRFVTAAAQAELKALVDGLKAYGDTNMKGGLETGMSVVDGRSLAAGRAVSVMLMSDGYQNHGGDARDVHLKNVPVYTFSFGASHDSNLLEAIARKSLGGTFNYVADSANLTGPFSQLLGGLLTIIAQDLELTVTRFHGEVTIKRVVWVDAGTYPQTTASDGSSVTVSFGTLYSAEARRVIVYLALADKTASPPYDANVCLAQYRFTFQAQQVTSNPDLITIKRRPSAAPGAARKPQPVENELARRQHADMIRAARDMAEANKMEDARNKLEEARKALEENFNQAANPTVAMLLEELRQLRGLMERQYLYNKEGRPYAASSLASHDRQRVATRGQADGVRLYTTPHMDTYLKQAEQFEKNPDEAPPPATKHVPEPDQVVDQEPPAPPAEADVVPDVPRDMAAGDRRTLSAALRVAAAVLSLAAFVLMASVRTSGWDSDRYDLYEQYYRLLHDHLPVASKHHAFLTCNKSRC >ORUFI01G27040.1 pep chromosome:OR_W1943:1:23536704:23536883:-1 gene:ORUFI01G27040 transcript:ORUFI01G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTAIHNSGEESVAAGVACDRTHNRGRTREDSQKKNERREKKIRAKLSFYVVSLLSID >ORUFI01G27050.1 pep chromosome:OR_W1943:1:23539903:23543399:1 gene:ORUFI01G27050 transcript:ORUFI01G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLATATAHHPAGPSRRRLHHRRGAMFSVSCWRIGAIASLVAAAAATTVLLNFSLPSSPHVPATDFTGKLSAAISPPPPPSSPPPAPATPPPPPPAPPPPAARPRRREPSYWRMAPEEALRYAKKEIMAAGPVIDDPDLYAPLFKNVSQFKRSYELMERILKVYIYQDGRRPIFHTPPLSGIYASEGWFMKLLKESRRFAVTDPAKAHLFYLPYSSQQLRISLYVPDSHNLRPLAAYLRDFVKGLAAKYPFWNRTRGADHFLVACHDWGSYTTTAHGDLRRNTVKALCNADSSEGIFTPGRDVSLPETTIRTPRRPLRYVGGLPVSRRGILAFFAGNVHGRVRPVLLKHWGDGRDDDMRVYGPLPARVSRRISYIQHMKNSRFCLCPMGYEVNSPRIVEALYYECVPVIIADNFVLPLSDVLDWSAFAVVVAEKDVPDLKKILQGITLRKYVAMHGCVKRLQRHFLWHARPLRYDLFHMILHSIWLSRVNQIELHE >ORUFI01G27050.2 pep chromosome:OR_W1943:1:23539903:23543399:1 gene:ORUFI01G27050 transcript:ORUFI01G27050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLATATAHHPAGPSRRRLHHRRGAMFSVSCWRIGAIASLVAAAAATTVLLNFSLPSSPHVPATDFTGKLSAAISPPPPPSSPPPAPATPPPPPPAPPPPAARPRRREPSYWRMAPEEALRYAKKEIMAAGPVIDDPDLYAPLFKNVSQFKRSYELMERILKVYIYQDGRRPIFHTPPLSGIYASEGWFMKLLKESRRFAVTDPAKAHLFYLPYSSQQLRISLYVPDSHNLRPLAAYLRDFVKGLAAKYPFWNRTRGADHFLVACHDWGSYTTTAHGDLRRNTVKALCNADSSEGIFTPGRDVSLPETTIRTPRRPLRYVGGLPVSRRGILAFFAGNVHGRVRPVLLKHWGDGRDDDMRVYGPLPARVSRRISYIQHMKNSRFCLCPMGYEVNSPRIVEALYYECVPVIIADNFVLPLSDVLDWSAFAVVVAEKDVPDLKKILQGITLRKYVAMHGCVKRLQRHFLWHARPLRYDLFHMILHSIWLSRVNQIELHE >ORUFI01G27060.1 pep chromosome:OR_W1943:1:23543561:23545599:-1 gene:ORUFI01G27060 transcript:ORUFI01G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETPRTPAPDRPPPPVPVRVPVPEPQPEPETPQASPSPPPAPDPPTPLLFPESAPSTPREEYHTPPPSLDEARDEALVPHQEGVVDVNGGSEAAAKSPQLSPVRLQVSPSPHRLLPPAPGSPAVNGEDGAAGTAQGRRPGRPQLHLATGRLFRTPSQGSLAMSSPSPSPTPPSPLTPAPATTAPAPTPTAKSKSGQNTPKHKEALKPPATPVATAIAIPFNPAEEAMTSPLRIGNGKAARLDHQHGPVAGAAENGGDVPPEVAAVAAVGERRTTSVALRVATAVLSLVSFALMVSARTSGWAGDHYGRYEQYRYAVGVNIVVCIYSIAQAFGEIRRLVLAYLLMSASSAAASRNDLWMSSFGKDPFNKKINSAVWFSFIAFIGLATNSLISTANLFSMI >ORUFI01G27070.1 pep chromosome:OR_W1943:1:23547686:23550883:-1 gene:ORUFI01G27070 transcript:ORUFI01G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLLRWGASSLRGGHSSAPPSSRLFSALRRPPAAARCEPGSRVMLKGMDYPELENWVRSQGFRPGQAMMLWKCLYGNNVWAHCYDELAGLNKDFRKMLTDHADLKALTVKDILNASDGTRKILFSLEDGSVIETVVIPCTSGRTTVCVSSQVGCAMNCQFCFTGRMGLRKHLSTAEIVEQAVFARRLFSDEFGSITNVVFMGMGEPLHNIDNVLKASAIMVDEQGLQFSPRKVTVSTSGLVPQIKRFLQESNCALAVSLNATTDEVRNWIMPINRKYNLSLLLGTLREEIRLKKKYKVFFEYVMLAGVNDSVDDAKRLVDLVRGIPCKINLISFNPHSGSQFKPTPDEKIIEFRNILIQDGLVVFVRLSRGDDQMAACGQLGEPGDYQLPLLRVPEKFQVAL >ORUFI01G27070.2 pep chromosome:OR_W1943:1:23547686:23550883:-1 gene:ORUFI01G27070 transcript:ORUFI01G27070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLLRWGASSLRGGHSSAPPSSRLFSALRRPPAAARCEPGSRVMLKGMDYPELENWVRSQGFRPGQAMMLWKCLYGNNVWAHCYDELAGLNKDFRKMLTDHADLKALTVKDILNASDGTRKILFSLEDGSVIETVVIPCTSGRTTVCVSSQVGCAMNCQFCFTGRMGLRKHLSTAEIVEQAVFARRLFSDEFGSITNVVFMGMGEPLHNIDNVLKASAIMVDEQGLQFSPRKVTVSTSGLVPQIKRFLQESNCALAVSLNATTDEEIRLKKKYKVFFEYVMLAGVNDSVDDAKRLVDLVRGIPCKINLISFNPHSGSQFKPTPDEKIIEFRNILIQDGLVVFVRLSRGDDQMAACGQLGEPGDYQLPLLRVPEKFQVAL >ORUFI01G27080.1 pep chromosome:OR_W1943:1:23552980:23557460:1 gene:ORUFI01G27080 transcript:ORUFI01G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPGAGGGSGDAGFVRADQIDLKSLDEQLERHLGRPAERAASQHGGSGSRRGESARLGLGEEPPQAPHHQRRREDWEIDPAKLVIRGVIARGTFGTVHRGVYDGQDVAVKMLDWGEDGHRSEREISSLRAAFAQEVAVWHKLDHPNVTKFIGAIMGARDLNIQTEHGHFGMPSNICCVVVEYLAGGALKNFLIKNRRRKLAYKVVVQLALDLARGLSYLHSKKIVHRDVKTENMLLDKSRTVKIADFGVARIEASNPSDMTGETGTLGYMAPEVLNGHPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPAMAEVVSMLEAIDTSKGGGMIPTDQPQGCFSCFGRHRGP >ORUFI01G27090.1 pep chromosome:OR_W1943:1:23557524:23561784:1 gene:ORUFI01G27090 transcript:ORUFI01G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRMITRRYGMFERMHPWPSDRTPSSRAVVILPTPSRGKGEERRPAAAAYSSGDPIPLIRSLPPPPPPQAPIHSAPRALPPMGVTTRLPAPPGGGLQRRAPRGILPASLPVERPARRRLAPGVRAASGIPGPGGSPVPRRTTPAPADAAAAAPPSAAASSASSAIDFLTLCHRLKTTKRKGWINHSIKGPESIADHMYRMALMALIAGDLPAVDRERCIKIAIVHDIAEAIVGDITPSDGIPKAEKSRREQKALNEMCEVLGGGPIADEIKELWEEYENNSSIEANLVKDFDKVEMILQALEYEKEHGKVLDEFFLSTAGKFQTEIGKSWAAEVNARREQRCGKQK >ORUFI01G27100.1 pep chromosome:OR_W1943:1:23563804:23564667:1 gene:ORUFI01G27100 transcript:ORUFI01G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKDGGGGGDRRGWRPSGVRWRAWWTRPAAATTMDEDGDSVECNGGHGGDGRGRWQPQRRTRTATQWSATAAVTDEAADGGGAGNDDGRGRRPGEARDDDGNGERWQRWWTQSTAYRTQMANPSLLR >ORUFI01G27110.1 pep chromosome:OR_W1943:1:23566702:23572017:1 gene:ORUFI01G27110 transcript:ORUFI01G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLNGRTITLVVDSCDSVENVKARIHDREGVPPHQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRRGMNDIKVKMLTGKEIDVDIEPTDTMGRIKERIDEREGRLVYGGKQLADDKTVHEYDIEAGSVLLHDRPCSQGLEMTPSITFKKMSDKTDVYHLRVITLNLNLLTESRYRPELDNPPNRRWSPTRTLGVAAVSELETNPNGESLPLPPIKTTGPEPHNTTPVLHRSIQVSTQSQYAHSIEAKPRGFVVRRKSSTNQQPNPSSIDVRRDTKMQIVVETQMGKLITLEVESSDTICQGEGPGEGGHPDPAGPAAALLRPQVAGERLHGGWLQHPGRLDAEPLSPPPSRQHEHQGEASQRQRARIEEEGTPSRQIGQLIYKEVLVTDDKAAHEYNIEAGSVLHLTLNLRA >ORUFI01G27120.1 pep chromosome:OR_W1943:1:23573564:23578577:-1 gene:ORUFI01G27120 transcript:ORUFI01G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAQIFHHVASSKDFIPFMYCLMFVMSKLHLKLICWALEHVARFLRRHFTNSSLYRRQRNPMQTFMYWQLLKLMYHSPFTAGYHRAIWLKIGRTVNPYIHRYTPFLHDPINAGMRWWFR >ORUFI01G27130.1 pep chromosome:OR_W1943:1:23584011:23586907:1 gene:ORUFI01G27130 transcript:ORUFI01G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNSEALERYKNAITAASSVVGAAMLLRRIVADVLPDTALGALLLLPPPSSRRHCVVIEEFDGAFYNRVFLAAKAYVSTLLAAAPVPLMKASLPRGAGAEQITLAMRPGTAVVDVFDGAELTWRLSSHGGGGGGRRRGGDDAREVFKLSFDGRHKDMVLGAYLPAVMARVAAMSQGQRQAKLYSNEWGKWRPVRLRNASTFATLAMDAALREAVVDDLDRFLGRKEYYERTGRAWKRGYLIHGPPGTGKSSLVAAISNHLRFDVYDLELGGVRSNTELRKLLIRMKNRSILLIEDVDCAVVAAPRREPHGGPDGSNTPSVNRKVTLSGLLNMVDGLRSSSGHERILIFTTTHVDRLDQALLRPGRMDMHVHMGYLGFGAFRELAATYHGVAGDDHPLFPEIEALLREVEVAPAEVAERLLMTDDAGAAIEMVAKLLRDRKAGTEEDGGGYVSQKLHAGTGRRHPRASRRGGGGGAVVATTTRRGVFGDEIGMEISHGQGRRGVRGRGRGRR >ORUFI01G27140.1 pep chromosome:OR_W1943:1:23588298:23597369:1 gene:ORUFI01G27140 transcript:ORUFI01G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSSAEEVGALLSRSDSSGRRRRSSPVQSASPRPAGCGCGGPRRQSSFRDDVGHAASETYLVTRLTFSLLQYLGLGYRWMSQLLALTIYAILLMPVGYYYFFSSQVRRSIVYGEQPRNRLDLYIPKDINRPCPVVAFVTGGAWIIGYKAWGSLLGRRLAERGIIVACIDYRNFPQGTIGDMVSDASQGISYVCNNIASYGGDPNRIYLVGQSAGAHIAACALIEQAVKESSGQSISWSVTQIKAYFGLSGGIMEGEESLSRYSPEIVVKQSSSQTIALLPPIVLMHGTEDYSIPSSASQTFADVLQQAGAQAKLQLYEGKTHTDIFIQDPLRGGRDPLVEDVLSIIHVDDEITQEKIALAPAPRRLIFAEEFVH >ORUFI01G27140.2 pep chromosome:OR_W1943:1:23588298:23596096:1 gene:ORUFI01G27140 transcript:ORUFI01G27140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSSAEEVGALLSRSDSSGRRRRSSPVQSASPRPAGCGCGGPRRQSSFRDDVGHAASETYLVTRLTFSLLQYLGLGYRWMSQLLALTIYAILLMPVGYYYFFSSQVRRSIVYGEQPRNRLDLYIPKDINRPCPVVAFVTGGAWIIGYKAWGSLLGRRLAERGIIVACIDYRNFPQGTIGDMVSDASQGISYVCNNIASYGGDPNRIYLVGQSAGAHIAACALIEQAVKESSGQSISWSVTQIKAYFGLSGGIMEGEESLSRYSPEIVVKQSSSQTIALLPPIVLMHGTEDYSIPSSASQTFADVLQQAGAQAKLQLYEGKTHTDIFIQDPLRGGRDPLVEDVLSIIHVDDEITQEKIALAPAPRRLVFEWQLQLARRFSPFYFAVS >ORUFI01G27140.3 pep chromosome:OR_W1943:1:23588298:23596096:1 gene:ORUFI01G27140 transcript:ORUFI01G27140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSSAEEVGALLSRSDSSGRRRRSSPVQSASPRPAGCGCGGPRRQSSFRDDVGHAASETYLVTRLTFSLLQYLGLGYRWMSQLLALTIYAILLMPAWFGAILSSIRGRKSSDERNRKGLDLYIPKDINRPCPVVAFVTGGAWIIGYKAWGSLLGRRLAERGIIVACIDYRNFPQGTIGDMVSDASQGISYVCNNIASYGGDPNRIYLVGQSAGAHIAACALIEQAVKESSGQSISWSVTQIKAYFGLSGGIMEGEESLSRYSPEIVVKQSSSQTIALLPPIVLMHGTEDYSIPSSASQTFADVLQQAGAQAKLQLYEGKTHTDIFIQDPLRGGRDPLVEDVLSIIHVDDEITQEKIALAPAPRRLVFEWQLQLARRFSPFYFAVS >ORUFI01G27150.1 pep chromosome:OR_W1943:1:23596379:23596774:-1 gene:ORUFI01G27150 transcript:ORUFI01G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKAVKPAGLAKEPAAKLSETATKPAAAKGGVKKAEQKPREPKKKSFGYFHVKSGEEQQAGGSQKLIVATGEMEIVRGSPSYAMLWDYESRLSLPLVTP >ORUFI01G27160.1 pep chromosome:OR_W1943:1:23601891:23602424:1 gene:ORUFI01G27160 transcript:ORUFI01G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRLHAPTPGGGRLAAASAAAAAGGTTEARVYFSSFPFKCYHGFWIWKLRLVHVHLAILVLARLLMQLLIYQEKARASNILILVVVDR >ORUFI01G27170.1 pep chromosome:OR_W1943:1:23609021:23609932:-1 gene:ORUFI01G27170 transcript:ORUFI01G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPHESSFSFSRRHFKWPVLGKSSSHGASNAGEDDFIKAEDDEEATMAFSSTCPSFHSEDFVSPPPCKPLKQQQQQQPQQQRRKGRTAVSRLRTALAAALAGRHRQVGLGARLTGTLYGHRRGHVHLAFQVDPRACPALLLELAAPTASLVREMASGLVRIALECERAKGGGACAFPTAAAAPSSSSSSSSSSSAGGRKLVEETVWRAYCNGRSCGYAVRRECGAADWRVLRALEPVSMGAGVIPAACGGGEGDVMYMRARFERVVGSRDSEAFYMMNPDCGGSGSNNNGGPELSVYLLRV >ORUFI01G27180.1 pep chromosome:OR_W1943:1:23619452:23623284:1 gene:ORUFI01G27180 transcript:ORUFI01G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLGALIFPCFLLLICARADDTVSRNRPLSGGQRLISSGGLFALGFFQPVVNNSDDRAPNRWYLAIWYNKISKTTPVWIANRATPISDPNLSQLTASEDGNLALFDQARSLIWATNITNNVNSTVGVILDSGNLVLAPASNTSNFLWQSFDEPTNVWLPGAKLGRNKITGQITRFISWKSSVDPSPGYYTLEIDPNGGDQFIHLWNNSAIYWETGKWIGNMFTGIPEMALYPKEVLSYKFTVNNQESYFVYRTNASIATAMFIMEISGQVKTVVWMESKKDWVPFLALPKAQCAVYFLCGSFAMCTENAVTFCSCLRGFSKQYNGEWRYGNPSGGCMRNTKLQYDGNSSSKTTADEFYALAVAKLPDKAWGLATGTDGFLQLTRKATVIGASTAGAILVTLIVIIGILLILRKRNLSEANKVEGSLVVFRYRFLQHVTKNFSERLGKGSFGPVFKGTLPDGTLIAVKKLDGVSQGEKQFRAEVSTIGTIQHVNLIRLLGFCSERSMKMLVYEFMPNGSLDRYLFGSTPLTLSWKTRYQIALGIAKGLAYLHEKCRSLIIHCDIKPENVLLGADFMPKIADFGLAKLLGRDFSRVLTTMRGTIGYLAPEWISGTAITTKADVFSYGMMLFEIISGNRNADWHRQGEQGAGTFFPVLVAMRLPEGKIQDLLGSELSADANLEEVERACKVACWCIQDDENTRPTMGEIVQILEGLVDVSFPPVLWYLHVLAQRSNFSTEETSH >ORUFI01G27190.1 pep chromosome:OR_W1943:1:23626057:23626734:-1 gene:ORUFI01G27190 transcript:ORUFI01G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSFLARRLLLTRRVLSSPLRPFSTTDSSSSSSSSSSSDDSRAGSDAGPDPEQQQPPPAGQDQQAAARPRAGDTRPLENGLDPGIYKAIMVGKVGQEPIQKRLRSGRTVVLFSLGTGGIRNNRRPLDREEPHQYAERCSVQWHRVCIYPERLRSPAPQHVSTSRPGEPSVASADELSRKRSEGPIPDV >ORUFI01G27200.1 pep chromosome:OR_W1943:1:23629332:23629878:-1 gene:ORUFI01G27200 transcript:ORUFI01G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGAHNLFSSLFSFSLSSSTSRPSGSSRGDAARAARPAEGDPTVTRGGSGKALASTCRRSRRRTAQGRAAPHGRHGRHGRARVARWRGGAPGWLVHGELTGGRTVAGPARRRFLIGEIKGDYSFYGTRGGQRSEDLPRDGGTTKFDVAGVE >ORUFI01G27210.1 pep chromosome:OR_W1943:1:23643967:23648568:1 gene:ORUFI01G27210 transcript:ORUFI01G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGHDFYTVMAAVVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNLRFLAADTLQKLLVLAGLAAWSRLPSRTGAPRLDWSITLFSLSTLPNTLVMGIPLLIAMYGPYSGSLMVQIVVLQCIIWYTLMLFLFEFRAARMLIADQFPDTAASIVSLHVDPDVVSLEGGHAETEAEVAADGRLHVTVRRSSVSRRSLLVTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHADFFAMVGGGPPPPTPAAVRGSSFGASELYSLQSSRGPTPRQSNFDEHSARPPKPPATTTGALNHDAKELHMFVWSSSASPVSEVSGLPVFSGGGGGGALDVGAKEIHMVIPADLPQNNGSGKEHEDSTAELHPKVVDVDGPNAGGGAAGAGQYQMPPASVMTRLILIMVWRKLIRNPNTYSSLLGLAWSLVAFRWHVSMPAIVEKSISILSDAGLGMAMFSLGLFMALQPSIIACGKSAAVVSMAVRFLAGPAAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLLYYILLGL >ORUFI01G27210.2 pep chromosome:OR_W1943:1:23643967:23648568:1 gene:ORUFI01G27210 transcript:ORUFI01G27210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISGHDFYTVMAAVVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNLRFLAADTLQKLLVLAGLAAWSRLPSRTGAPRLDWSITLFSLSTLPNTLVMGIPLLIAMYGPYSGSLMVQIVVLQCIIWYTLMLFLFEFRAARMLIADQFPDTAASIVSLHVDPDVVSLEGGHAETEAEVAADGRLHVTVRRSSVSRRSLLVTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHADFFAMVGGGPPPPTPAAVRGSSFGASELYSLQSSRGPTPRQSNFDEHSARPPKPPATTTGALNHDAKELHMFVWSSSASPVSEVSGLPVFSGGGGGGALDVGAKEIHMVIPADLPQNNGSGKEHEEYGAVALGGGGGGENFSFGGGKTVDGAEAVDEEAALPDGLTKMGSSSTAELHPKVVDVDGPNAGGGAAGAGQYQMPPASVMTRLILIMVWRKLIRNPNTYSSLLGLAWSLVAFRWHVSMPAIVEKSISILSDAGLGMAMFSLGLFMALQPSIIACGKSAAVVSMAVRFLAGPAAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLLYYILLGL >ORUFI01G27220.1 pep chromosome:OR_W1943:1:23659285:23662321:-1 gene:ORUFI01G27220 transcript:ORUFI01G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSPSGLELTMAVPGLSSSGSEGAGCNNNNAGGGCNMRDLDINQPASGGEEEEFPMGSVEEDEEERGVGGPHRPKKLRLSKEQSRLLEESFRLNHTLTPKQKEALAIKLKLRPRQVEVWFQNRRARTKLKQTEMECEYLKRCFGSLTEENRRLQREVEELRAMRVAPPTVLSPHTRQPLPASALTMCPRCERITAATGPPAVRPPPSSAAAAAPSPFHPRRPSAAF >ORUFI01G27230.1 pep chromosome:OR_W1943:1:23674775:23675195:-1 gene:ORUFI01G27230 transcript:ORUFI01G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMWLWCTLAQQQQLKQEEEALQTGVADRPADESNKQHGRPLQHSTQQRAQEGMHAANSHGHGHGSEWPLPGQATMGSQQQQRHRARIHASGSGARTSSHPAGADHGHSPRR >ORUFI01G27240.1 pep chromosome:OR_W1943:1:23682508:23687552:-1 gene:ORUFI01G27240 transcript:ORUFI01G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPIAPPLCPLALSASPTATTGSGAAPESGGGGRSGIRRGSAATEGHTGAQQGRALGAMDAKKGSGEPEFFSEYGDASRYEVTEVVGKGSYGVVAAAVDTHTGGRVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKVKICDFGLARVSFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLMGKPLFPGKNVVHQLDLMTDLLGSPSGETISRIRNEKARRYLGNMRKKPRVPFSQKFPGADPMALHLLERLLAFDPKDRPTAAEALTDPYFTGLANSEREPIAQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMMQKYLRGGDQSNFLYPRSDALYNVTNSFHYLCKLGVDRFKRQFAHLEEGVAQGDKTSPQLRQHHYGNKGEERVVRNGDEPDPTADYCIKLHVGEQPGHSSVTDGLNKPLLSARNFLKSESIGASQCVVIKEKREKDEESMSEYMNEAADGVPHKIAQLKT >ORUFI01G27240.2 pep chromosome:OR_W1943:1:23682508:23687552:-1 gene:ORUFI01G27240 transcript:ORUFI01G27240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPIAPPLCPLALSASPTATTGSGAAPESGGGGRSGIRRGSAATEGHTGAQQGRALGAMDAKKGSGEPEFFSEYGDASRYEVTEVVGKGSYGVVAAAVDTHTGGRVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKVKICDFGLARVSFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLMGKPLFPGKNVVHQLDLMTDLLGSPSGETISRVGFTFPIRNEKARRYLGNMRKKPRVPFSQKFPGADPMALHLLERLLAFDPKDRPTAAEALTDPYFTGLANSEREPIAQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMMQKYLRGGDQSNFLYPRSDALYNVTNSFHYLCKLGVDRFKRQFAHLEEGVAQGDKTSPQLRQHHYGNKGEERVVRNGDEPDPTADYCIKLHVGEQPGHSSVTDGLNKPLLSARNFLKSESIGASQCVVIKEKREKDEESMSEYMNEAADGVPHKIAQLKT >ORUFI01G27240.3 pep chromosome:OR_W1943:1:23682508:23687552:-1 gene:ORUFI01G27240 transcript:ORUFI01G27240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPIAPPLCPLALSASPTATTGSGAAPESGGGGRSGIRRGSAATEGHTGAQQGRALGAMDAKKGSGEPEFFSEYGDASRYEVTEVVGKGSYGVVAAAVDTHTGGRVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKVKICDFGLARVSFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLMGKPLFPGKNVVHQLDLMTDLLGSPSGETISRIRNEKARRYLGNMRKKPRVPFSQKFPGADPMALHLLERLLAFDPKDRPTAAEALTDPYFTGLANSEREPIAQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMMQKYLRGGDQSNFLYPRERVVRNGDEPDPTADYCIKLHVGEQPGHSSVTDGLNKPLLSARNFLKSESIGASQCVVIKEKREKDEESMSEYMNEAADGVPHKIAQLKT >ORUFI01G27240.4 pep chromosome:OR_W1943:1:23682508:23687552:-1 gene:ORUFI01G27240 transcript:ORUFI01G27240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPIAPPLCPLALSASPTATTGSGAAPESGGGGRSGIRRGSAATEGHTGAQQGRALGAMDAKKGSGEPEFFSEYGDASRYEVTEVVGKGSYGVVAAAVDTHTGGRVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKVKICDFGLARVSFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLMGKPLFPGKNVVHQLDLMTDLLGSPSGETISRVGFTFPIRNEKARRYLGNMRKKPRVPFSQKFPGADPMALHLLERLLAFDPKDRPTAAEALTDPYFTGLANSEREPIAQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMMQKYLRGGDQSNFLYPRERVVRNGDEPDPTADYCIKLHVGEQPGHSSVTDGLNKPLLSARNFLKSESIGASQCVVIKEKREKDEESMSEYMNEAADGVPHKIAQLKT >ORUFI01G27240.5 pep chromosome:OR_W1943:1:23682508:23687552:-1 gene:ORUFI01G27240 transcript:ORUFI01G27240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPIAPPLCPLALSASPTATTGSGAAPESGGGGRSGIRRGSAATEGHTGAQQGRALGAMDAKKGSGEPEFFSEYGDASRYEVTEVVGKGSYGVVAAAVDTHTGGRVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKVKICDFGLARVSFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLMGKPLFPGKNVVHQLDLMTDLLGSPSGETISRIRNEKARRYLGNMRKKPRVPFSQKFPGADPMALHLLERLLAFDPKDRPTAAEALTDPYFTGLANSEREPIAQPISKLEERVVRNGDEPDPTADYCIKLHVGEQPGHSSVTDGLNKPLLSARNFLKSESIGASQCVVIKEKREKDEESMSEYMNEAADGVPHKIAQLKT >ORUFI01G27250.1 pep chromosome:OR_W1943:1:23688060:23688542:1 gene:ORUFI01G27250 transcript:ORUFI01G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPPAARAALPGPPRPLRGQAHTDLPLRRRIQHHAYDSARLVGFLALAVTLAALLVLAGVTLTVAFVALVVLSPLLLLTSPLWVPMAAAVFVSGAASIIGWCLAVGAVAAGTWAYRYFTGRHRRPVGAHRVDYDVGAAPRAAGWATTRGSTARAPAST >ORUFI01G27260.1 pep chromosome:OR_W1943:1:23690855:23692763:1 gene:ORUFI01G27260 transcript:ORUFI01G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITALQLLPPILGLPATARNGYAVRRPRMMTVTCCRHNQATTVHESRLTSSLSRRDALSYMSSAFIATLLVAGPAEARTSRQENKRKVREKLEKLREKALGPDDKNGAIRKKESLANLLIPPKLVEATI >ORUFI01G27270.1 pep chromosome:OR_W1943:1:23693144:23694280:-1 gene:ORUFI01G27270 transcript:ORUFI01G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEPHQILPDDLVLEIIARSSPATIIRCGAISKPLRRRILHPAFLRRLRVVNAGDDTGNSRCGFVPSLLLGLYRRAKDLCSPLALVPPDTAGAASIATSLALVPPATPINHGANHSACIFGPYLPLSSRRSLIVLRRRCRVIGHQDYLHSGLTVCNPVSGERWVLPPHEVSDETVVLLDVNHNDQAIGTHSFKLLAAQLLVSPARTLIFQVFSSDEREWGTPVACPIYRICLSDGDSNVVVLRGAVYWLCCEYSGYSILSLKRRGDGGEPKASLVNLPESCKSGMHNMCLALSPAAAADDGTSNAALLSVVVHGSDRILVWVRRLRTTARGRSRRWELRHVIRENSIRRPGFSRRMVERKVARAVLRGEWRPRFGGG >ORUFI01G27280.1 pep chromosome:OR_W1943:1:23695901:23696125:-1 gene:ORUFI01G27280 transcript:ORUFI01G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVPVCVQCGTHSNPCRCKVLGPTLGFVAFVVAGVVEWPLGAFVYLFRHRKGRRIMGHPANVVYPRITSAIPI >ORUFI01G27290.1 pep chromosome:OR_W1943:1:23698365:23699137:-1 gene:ORUFI01G27290 transcript:ORUFI01G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAFREAEARGDGGTRGAPRTAAPATATARPSASSPSSRPSTAPPPPRAPRRRCPSRRRLWPWRPLATPAGLSALRIRHSASPSPVAPLRPSPPRSIPPAS >ORUFI01G27300.1 pep chromosome:OR_W1943:1:23705204:23705611:1 gene:ORUFI01G27300 transcript:ORUFI01G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRPAPVLVLAILAVAASTAAAAAIEGEVKCGGCSPCGGADCPVLYPSPPPPALPPPPPYYYYSPPPPAYYPGSYCPPPPAAYVQFGGGAQSGRGPLYPQDPGFMPSSAPSSHGSRAVRLFTACAAFASLWFLW >ORUFI01G27310.1 pep chromosome:OR_W1943:1:23706402:23707386:1 gene:ORUFI01G27310 transcript:ORUFI01G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding DCSRPADCTGRTTSPALAAAAAKASATTATSPAPTTTLTLWPPPTRQRGRHRRARHHLAVKAPAVPAPAATTSSPRPAPPRSPPPRSQGHRRTGHRRHSTTISSPRPAPPRSPPPRSFGRRRTNHCRHSTTTAPATKSRPRALATSQPPPLPHTRGIELGYSSGENLSTRKDKNTLPPLQHSRYHLSHEKICTWVPRFSHRSIRQDEGPRFGTGRAAGWE >ORUFI01G27320.1 pep chromosome:OR_W1943:1:23709318:23711668:1 gene:ORUFI01G27320 transcript:ORUFI01G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGGRCRRVNGKRDDGDELGDAGGDNGDDDDANLTTTTTVRAAIAASAGGGSAAYSRREAAAGGKRRRWHSGKKFDDMIEKELSRRSAKCSDADGVAIGEEDSVVISSEDRSPVHHHPWRAFPSNVIIITAAWPCIMTAVALGNKASGNELLVVMLQGIGDCLK >ORUFI01G27330.1 pep chromosome:OR_W1943:1:23715367:23715897:1 gene:ORUFI01G27330 transcript:ORUFI01G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEEAFPIGFTKGIRSYWRSRKYHSVDSSAAGRGTSNLVRLGGGSGSGSDGGAWAVRLGGMFRTRVKAAAPAATTTAVAKVPARVLGRVRDAYVDAMVGVAKKQAAAALSQPGAGTTEALWQKRVPVRRSRGQSKKQLRQKADELGQRLVMEMYKSVLASRDLSSMLQASRAQ >ORUFI01G27340.1 pep chromosome:OR_W1943:1:23717629:23718986:-1 gene:ORUFI01G27340 transcript:ORUFI01G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALVSPVRSALELKPFNFGDQRLASSPRYLPSGDDALYRCSSPFSPSFGFSSPSPLATSVSLSPSSSASLVDDGDDGGAAADATGQRLQLARLALQYQEVADRYELCLSHLAEAAEEAAALRLENAELRVTNSDLALRLALLSGKHTAAVAVADEIRRLRLGEQKVAAATKERTPEKLAVLPKSISVRSTSYLKLNQQSQAATATSAAPNRKPRTSSNPTNPPNSQRAYDGGKKGDEQKAQPADSGAELEVYNQGMFKTELCNKWEETGDCPYGDQCQFAHGVTELRPVIRHPRYKTAVCRMVLAGDVCPYGHRCHFRHSLTPAERLLLRS >ORUFI01G27350.1 pep chromosome:OR_W1943:1:23732068:23739051:1 gene:ORUFI01G27350 transcript:ORUFI01G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTTCPTRSMASVSRALRPRPHAAIASAAVRTAARLGGGLGIVCSMPSYGRKEKEEWGLTIASAPATTAAPALRSCQLLCKAEANISSNLPESIPSEANQYEKIVELLTTLFPVWVILGTIIGIYKPSMVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCMRNPWTVGVGFLAQYLIKPMLGFAIAMTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLLPTIVGVLAHEYFPKFTERIISITPLIGVLLTTLLCASPIGQVSEVLKAQGGQLIIPVALLHVAAFALGYWLSKVSSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVFWRNRGLPANDKDDFKE >ORUFI01G27360.1 pep chromosome:OR_W1943:1:23753272:23755504:1 gene:ORUFI01G27360 transcript:ORUFI01G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKPAQERRETWVPGAVIVGAGPSGLAAAACLAARGVPATVLERSDSLASTWRHRMYDRLALHLPKRFCELPLLPFPEEYPTYPSKDQFVAYMEAYAAAAGVAPRFGATVEEAAFDAAVGAWRVRLDGGEVLMARWLVVATGENAEPRVPDFPGMQKFAGCAMHTSEYKSGEQFAGKKVLVVGCGNSGMEVSLDLCRHGAKPSMVVRNTVHVLPREMFGLSTFGIAMALLRWLPIQLVDRFLLTAAHLILGNTGQFGLRRPKTGPIELKNLTGRTPVLDVGTLDHIKSGKIKVVGAVKEMTRQGVRFTDGKEEQFDTIILATGYRSNVPSWLKDAGDLFTREGISKVPFPNSWRGRNGLYTVGFTQRGLLGTSSDALNVAKDIHCQWRERDRSAINVLEISNSSF >ORUFI01G27370.1 pep chromosome:OR_W1943:1:23759729:23761307:-1 gene:ORUFI01G27370 transcript:ORUFI01G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREGVSLPQRLREAMAADMHVGELELAVEMKPARPEDVSRACFHSCAARSGKFGNPCKRFCVFSSRELRDFVH >ORUFI01G27380.1 pep chromosome:OR_W1943:1:23763106:23763741:-1 gene:ORUFI01G27380 transcript:ORUFI01G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPAAPWAMLTATKPTPSSAAQSSPSHPPRRHGSPSSSWPHNAPTPTTIHNFPSVLAADIPQSPLLHLAALPHRPAHHRQVGARARSVESLLKGYFTWFPRSTFPFPRALSASWPPEMTIAHTGNLSLAIAPGGGRYLVPEFNPIIGSDAARYSVSRRRGGCAEQDIDYPSQVTRGAATAWSHDSGVLVGRSPPHSRPVRCYASVVLCP >ORUFI01G27390.1 pep chromosome:OR_W1943:1:23763557:23763808:1 gene:ORUFI01G27390 transcript:ORUFI01G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARTEGKLCIVVGVGALWGHDEDGDPWRRGGCEGELCAAELGVGFVAVSMAHGAAGEDPIGGVWGFGGRKWRGQGLMSVLF >ORUFI01G27400.1 pep chromosome:OR_W1943:1:23768858:23769790:1 gene:ORUFI01G27400 transcript:ORUFI01G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRELLGVVMCVVVVEPLVLLPQEGKRELERDRSVSVTQENLEPRDQPLSPYGSGMRNGHQSHL >ORUFI01G27410.1 pep chromosome:OR_W1943:1:23781717:23783905:1 gene:ORUFI01G27410 transcript:ORUFI01G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSAALEPVSDTPAQTSMTNDSSLLPMSRDAMASSIPEKSSMTPSEGEALRELDAAHDGEREEAVEGGHEAGDAEEEEDGGDGEAGGHDLRDGEVRGGERDGGDGLHGLDRHGDAEEEPGGEVVERGEDERGAEVEVGRERQRQHDGDVGAQIAHRAAQLRPHRRLEPYGGRDEPAGAVAGLAGEERVAGGGCHGDGTNNIMDDAFCTYVFARWIASNENNGRDKDVK >ORUFI01G27410.2 pep chromosome:OR_W1943:1:23781563:23783905:1 gene:ORUFI01G27410 transcript:ORUFI01G27410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRFRSSISSPIFIGLVGRLPPSRGPATEQSSDIPLQIPQADGTNNIMDDAFCTYVFARWIASNENNGRDKDVK >ORUFI01G27420.1 pep chromosome:OR_W1943:1:23781581:23782972:-1 gene:ORUFI01G27420 transcript:ORUFI01G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGDPLLSGEAGDGPRRFVPSTIRLKTSVWSELGGAVGDLGTYIPIVLALSLASHLDLGTTLIFTALYNFATGLLFGIPMPVQPMKSIAAVALSSAHLTIPQIMSAGLAVAAILLFLGVTGLMTTLYRLLPLPVVRGVQLSQGLSFAFTAVKYIRYVQDFSRSSSASTSVPRPLLGLDGLVLALAALLFIILATGSGDDEDVNRDGTSRRRRSCSRVPAALIVFALGLVLCFVRDPSILQDLRFGPAPLGLVKITWDDFKIGFWEGAVPQLPLSVLNSVIAVCKLSSDLFPERAELSPARVSVSVGLMNFVGCWFGAMPCCHGAGGLAGQYRFGGRSGASVVFLAIGKLALGLVFGNSFVTILGQFPIGILGVMLLFSGIELAMASRDMGSKEESFVMLVCAGVSLTGSSAALGFISGIVLYLLLRLRDLEWDIRGLLGRWAAGRRQSTNEANEDGAGDA >ORUFI01G27430.1 pep chromosome:OR_W1943:1:23785066:23788012:1 gene:ORUFI01G27430 transcript:ORUFI01G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLYFHGNASQARPIRHHLLAYLDACASRAHLAELHGRLVRAHLTSDSFVAGRLIALLASPAARHDMRYARKVFDGMAQPNAFVWNCMIRGATAVAG >ORUFI01G27440.1 pep chromosome:OR_W1943:1:23792189:23793670:-1 gene:ORUFI01G27440 transcript:ORUFI01G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFPFQWPMDPAASSGLDAGFLPPPAAVAPDDGVGYYDPPAGADVDAAALPEFAAAFPPCAPDAAAAVLAMRREEEEVAGIRLVHLLMSCAGAIEAGDHALASAQLADSHAALAAVSAASGIGRVAVHFTTALSRRLFPSPVAPPTTDAEHAFLYHHFYEACPYLKFAHFTANQAILEAFHGCDHVHVIDFSLMQGLQWPALIQALALRPGGPPFLRITGIGPPSPTGRDELRDVGLRLADLARSVRVRFSFRGVAANSLDEVRPWMLQIAPGEAVAFNSVLQLHRLLGDPADQAPIDAVLDCVASVRPKIFTVIEQEADHNKTGFLDRFTEALFYYSAVFDSLDAASASGGAGNAMAEAYLQREICDIVCGEGAARRERHEPLSRWRDRLTRAGLSAVPLGSNALRQARMLVGLFSGEGHSVEEADGCLTLGWHGRPLFSASAWEAAGDGGGDNNNNSNSNVSGSSGSDSNNSGSSNGKSSGARDGSSVCL >ORUFI01G27450.1 pep chromosome:OR_W1943:1:23809084:23819632:-1 gene:ORUFI01G27450 transcript:ORUFI01G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTPTLADTRTGNGMFCQGTRRQDAADALRVEFNFRILLPASGLLNIRSVFQSSSITRRLVPFAPPRPHAASQATAILKWIRLLGAPHHQLQNQVGNKSLRAVERYDENCDVFTNNTPTASNVVHPVVTPNSHPCAENRDASFNTPSNVHMCTGSHEDVSHLSVAELKRKRARDRYAALTPEQKDDRNKKARERRKRKEEETQVSAPLGDISNISAVDIMKCQLEVTDSSPLHQGKSEASHLNITPRRLPFTIINNVAHYGPNEVPMSRFTQRCENQYESSFFEGSDQNECDHDDDISLAVNIYFLGLPLLLYMPTSTPSPSSPSGRLLVMADSETKTVVVGCSPRSCRREAARSRMKQFTVMNKFKTKSLLVFSEC >ORUFI01G27450.3 pep chromosome:OR_W1943:1:23809590:23819632:-1 gene:ORUFI01G27450 transcript:ORUFI01G27450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTPTLADTRTGNGMFCQGTRRQDAADALRVEFNFRILLPASGLLNIRSVFQSSSITRRLVPFAPPRPHAASQATAILKWIRLLGAPHHQLQNQVGNKSLRAVERYDENCDVFTNNTPTASNVVHPVVTPNSHPCAENRDASFNTPSNVHMCTGSHEDVSHLSVAELKRKRARDRYAALTPEQKDDRNKKARERRKRKEEETQVSAPLGDISNISAVDIMKCQLEVTDSSPLHQGKSEASHLNITPRRLPFTIINNVAHYGPNEVPMSRFTQRCENQYESSFFEGSDQNECDHDDDISLGEKQPDPG >ORUFI01G27450.4 pep chromosome:OR_W1943:1:23808686:23819632:-1 gene:ORUFI01G27450 transcript:ORUFI01G27450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTPTLADTRTGNGMFCQGTRRQDAADALRVEFNFRILLPASGLLNIRSVFQSSSITRRLVPFAPPRPHAASQATAILKWIRLLGAPHHQLQNQVGNKSLRAVERYDENCDVFTNNTPTASNVVHPVVTPNSHPCAENRDASFNTPSNVHMCTGSHEDVSHLSVAELKRKRARDRYAALTPEQKDDRNKKARERRKRKEEETQVSAPLGDISNISAVDIMKCQLEVTDSSPLHQGKSEASHLNITPRRLPFTIINNVAHYGPNEVPMSRFTQRCENQYESSFFEGSDQNECDHDDDISLDFILHDQQNSP >ORUFI01G27460.1 pep chromosome:OR_W1943:1:23819291:23819869:1 gene:ORUFI01G27460 transcript:ORUFI01G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVARVWEIGLGGGGVAAKPSAHAEAVDKSEIGGGAEDTGEAVLRSALHSTWLICKTTRGFNLQVRSSTC >ORUFI01G27470.1 pep chromosome:OR_W1943:1:23822610:23825139:1 gene:ORUFI01G27470 transcript:ORUFI01G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHHHLTGDHHPSPASAATTALGPLLLLPSELLHDILIRLALPELLRVRSVARPLSHVISSPDFRRLYHLSSAASGPGPAAAWLLVFKKLRPRDAALRGFHGPSGRWFRIPVSAILAPAVPPGEDLYFLAASGSSFLFAANGRRELVVVDLSAHAARRLPPSPLGPRGTSSWRRFGLKLVADPPGSSQFRFLFAELVNNTPLLFEYQSETDTWQSSEAVQAEGASTAAGTEGTFLCAAHAGPDCVMVYSGPGVERPVFFRPRFPHNPNGGGDRLHVYGDGSAAVVRSTVIDEPGRPRVKVVAGVDLYGFGSVVGGDWQLASTVPGELVEGFRKPYAVMTGLLSEREGVVRLVLISNCRGAWDIVWLSYDRARGEWWWVPVPDWGTKGLNVAGIAVSSTFSRLWPPAAASSCTTTTSQ >ORUFI01G27480.1 pep chromosome:OR_W1943:1:23826026:23829869:-1 gene:ORUFI01G27480 transcript:ORUFI01G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLALLHAKPGGLPPRAGLRLPLPRARSARVSLPSSPAAPVSLQSPLLLASRSGPTSRDAVVGLGLGCGLLRRRSGASGGGGGGVSCGAQPAAAAAAGAVPAAQPEGKKFLGVEVKTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSREALFYTVIFPFIAFFGAFAFVLYPLRNVIHPTALADKLLAALGPSFLGPVAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANIALIFSGRTVKYFSNLRKTLGPGIDGWEVSLKGMMSLVVLLGLVITSIYWGVNKFVLNDPSLPKSDRKKKKDKPKLGMKESLKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRIILRKFGWGVAAMITPTVLLLTGVGFFSLILFGQPLTPMLATMGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLVIVLAWLGAASSLDKQFSSLAKEDLKRDMSAKEKVDPSLLKAPEADVLVEHTNGTIESEATATESSPSNSSPSN >ORUFI01G27490.1 pep chromosome:OR_W1943:1:23837635:23838215:-1 gene:ORUFI01G27490 transcript:ORUFI01G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSDLRLVGAPVPSTTCEEPSEITTSVEVELRIQCVQATDTSANLVLVVSRRCSSLPTSLSSATTHGEEDGSGNGATNGEKNKGGKATRSGDTSALRCGGLKCHGRAHV >ORUFI01G27500.1 pep chromosome:OR_W1943:1:23843160:23843589:-1 gene:ORUFI01G27500 transcript:ORUFI01G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGFVELGAASGLRAWPSQTTAHGRGMGLDRACLCVAERSTQTHEEKSENTVGMRSHALHATRRTRCHQLLEENCEHMVGPWEAGSIALHTNQTGTSTGQ >ORUFI01G27510.1 pep chromosome:OR_W1943:1:23854602:23859043:1 gene:ORUFI01G27510 transcript:ORUFI01G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGKGWVERARRGVKTAWFMVAMVASLLMASAPALVAAGDVAVALWLEVRLGCLRCHGLRGHLERYGFRSSLVDIPLVSIARSVVITCVYLMSDASGLSHGPYLGTATCCSLASLLILLIKASVYSPAQEIGPELSPSLADHKLSLKKLSGMPVLFLSSLVFALGHVVVAYRTSCRARRKLLIHGIDPESILAYKNAYPGCYKTPRSPTPYSGKFYSRSDSETKRKSVAHDDRDIPISFLADGDSMFIACQGITVHYKLSDPSSCISSATDTFPEIHHDVISASISPRRQRHDSPPSASTNTRRLLNRSFSHQYHQTSLYAPLLVEPVTSPTLSDDTPVLSVDDGSADVCLKPMGFDLEAGEQGKFAVVLVHGFGGGVFSWRHVTNLLSRQVGCTVLAFDRPGWGLTSRPRRKDWEDKNLPNPYELGSQVDLLISFCSDMGLRSVVLVGHDDGGLLALKAAEKLRASGDSRKVEVKGVVLIGVSLSREVIPAFARILLHTPLRKKHMVRPLLRTEITQVINRRAWFDATKLTTDVLNLYKAPLFVEGWDEALHEVGRLSFSTVLSSKRAADLLRSVEDLPVLVVAGSEDALVSSKSTQVMASRLVNSRLVTISNCGHLPHEECPKALLSALSPFISGLVSSDDSLQRL >ORUFI01G27520.1 pep chromosome:OR_W1943:1:23859762:23861401:-1 gene:ORUFI01G27520 transcript:ORUFI01G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRKGKALASQRGMASMDDVDFSPHQATPKESMNSKDDAKVQPSSGQTPNKGNYYMGHANAIMMMFQYIRDGCRRVESSMEDYTCKKVEKGYGEKRKVGEGYDPRWSSPIEITMKICNDDVSDEATEKEP >ORUFI01G27530.1 pep chromosome:OR_W1943:1:23863186:23873612:-1 gene:ORUFI01G27530 transcript:ORUFI01G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWGAARMAACGPWGRNRRVGAGDAFEASEVRRDGRSRMMPACGPWGAGHGGGDPALERELSRDGSHYSISSAILPSLGARSNRRIKLRRFIISPYDRRYRIWETFLIVLVVYSAWVSPFEFGFIPKPTGALATADNVVNAFFAVDIILTFFVAYLDKMSYMLEDDPKKIAWRYSTTWLVLDVASTIPSEFARRILPSKLRSYGFFNMLRLWRLRRVSSLFSRLEKDRHFNYFWVRCAKLICVTLFAVHCAACFYYLLADRYPVPTSTWIGNYMADFHERSLWIRYVTSVYWSITTLTTVGYGDLHAENTREMIFNIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRNYRDTIQAATSFGVRNQLPPRLQDQMISHISLKYRTDSEGLQQQEILDSLPKAIKSSISQYLFFHLVQNVYLFQGVSNDLIFQLLRTVTEYAYLVLLLDFVFEVSEMKAEYFPPREDVILQNEAPTDFYILVSGSVVIQVATSGEVVGEIGVLCYRPQLFTVRTRSLCQLLRLNRTAFLSIVQSNVGDGTIIMNNLIQFLKEQKENSVMAGVVKEIESMLARGNLDLPITLCFAVTRGDDFLLHQLLKRGMDPNESDNDGHTALHIAASKGNEQCVRLLLEYGADPNARDSEGKVPLWEALCEKHAAVVQLLVEGGADLSSGDTGLYACIAVEESDTELLNDIIHYGGDVNRARRDGTTALHRAVCDGNVQMAELLLEHGADIDKQDGNGWTPRALAEQQGHDDIQLLFRSRKAATASGHHHVPSSTTTRVAPAAAAASLIGRFNSEPMMKNMIHEDADLPSRVLPEKLRRKRVTFQNSLFGVISSSQAQRETDHPLSRGGLAATGSPNPSSGSRNAVIRVTISCPEKGNTAGKLVLLPQTLDMLLELGAKKFDFAPTKVLTVEGAEVDEVELIRDGDHLVLVSDEWDAEKMKCKS >ORUFI01G27540.1 pep chromosome:OR_W1943:1:23896065:23900115:1 gene:ORUFI01G27540 transcript:ORUFI01G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKMAYADLGTSHHHPKKALSMGAIRRHGRLTCCQFQPTVVQPHLASNAQVGSATTKLEKHKLRHKASVSSLPMHPHKSCAITATESSSEEAGDTGSGDPGLPADGSGWLVRTFVAIFLSNGVDNVIWFVFMLLIPDLANVAKEKEITKLVAIKGSSATMDEVGCTVGDGMGDLGCWSPSLTGNVNL >ORUFI01G27550.1 pep chromosome:OR_W1943:1:23903584:23907304:1 gene:ORUFI01G27550 transcript:ORUFI01G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAAGASSSNPDDASLDLSFSEDGRSGTFMIGNESFPASLLDLPTVMESYKTYNDSVLIKTADIGQMIMVREEDDPAPEGIEYTHGLTPPMRDARRRRFRREPDLNLIDQNASVIGAGEGGKKAAPAPATKRNVQRPAANGEEAEAERSDSDESVDP >ORUFI01G27560.1 pep chromosome:OR_W1943:1:23911231:23912774:1 gene:ORUFI01G27560 transcript:ORUFI01G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVEAGSVVDPPQARSCCCCACLRGNTGKFLLRTATVVILFLAVRFGFARHSVGAKLAVESVAYVATACLYFCSFSSWKEKTVSGTFLAVVTVVVVSVEATTGNDHGVAILIGTIVALVVYCIWKPYQALLSCIERRLRRKAAAAATAAEPLPPEKKEDAGRPLVQAERLRAAFRIDGLLREYSHGEIQAMTDDFGCVVGRGGSATVFRGVLDDGTAVAVKRIVCDESVGEADFLSEITIVASVHHYALVGLLGYCLQPGGGRYLLYPFYENRSLDYWLFSGEERRRHLPWATRRHVAVDVAKGLAYLHHECKNQILHLDIKPANILLDGDFRAHVSDFGISMSIGRDLTSVDTRGRGTLGYWRRRCCYGMMLFELVGGRRNFELAGSGGASQARAPPDFTKEFLPCVMRDRMEEGRLMEVVDATMARGDGGGAAGVDEEEVEVVVKVALWCTQHSRDMRPGMTDVVDMLEGRAPIPPPPVRPEFLGDTFLVSCARTAMSR >ORUFI01G27570.1 pep chromosome:OR_W1943:1:23914656:23918393:-1 gene:ORUFI01G27570 transcript:ORUFI01G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPKRRRSPLPPPAPPGFPTERKHHLPELQPPAVAAASPGVAGVPADLGDALLRCGKLLDKLLEHEDGWVFAEPVDARALRLVDYYLRISDPMDLGTVRRRLERRRYADPWAFAADVRLTFNNAMSYNSAGDPVYESAAELSEIFEAGWPSVLAAPPRPPDAERKRRLSGLLPRLPVGAQVTVAEIMKKRDGCLREVNGMMEVDLDRADSATLDELDRMVAEHGAALAGVVKVKQNAVGFNRTS >ORUFI01G27580.1 pep chromosome:OR_W1943:1:23920636:23920986:-1 gene:ORUFI01G27580 transcript:ORUFI01G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGLAENYHACVGGGGSVLEVSYHGMAVAMGRVPRFCVHGKRAGGERADGVASAEATSVREELRGLIRSERQIVGAAEFSVEGEIKGFGYLRCKALWFRDDKRRSPIPLCQVEA >ORUFI01G27590.1 pep chromosome:OR_W1943:1:23924988:23931403:1 gene:ORUFI01G27590 transcript:ORUFI01G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIICELNSRTGESKDTIKATKKPINSFTLSHDEKFMGVSSKITRLFSVSEKKEILRIPSDVGPVQLMSVSDDGRFLVSHVDNNKEVQVWSCDQNSCTIVSTASLTMQNQPKIVECTRSTSYGDGGIVLAVSKKGVAHVWHLQTLSQNEVLPTKISVKNSLDKKGRIPIISAKLCDTNEDNTVKVHVVFGSPNFLQFKVVELDDTCKDINLVAEYDELAKQDMVSPQERNLEQEAKANSKDAEPVQGKAKKRTSSVLDSTNDTTKEVNPEYNLDEPTMEEKLASLNLLNKSEITEEQPPSLAPPSADSVHVLLKQALRADDHTELLKCLYNRDEKGTSTDRNGNSY >ORUFI01G27600.1 pep chromosome:OR_W1943:1:23931584:23935744:1 gene:ORUFI01G27600 transcript:ORUFI01G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLMRSASQVLRRRRGYSSASGQPERKVAILGAAGGIGQPLSLLMKLNPLVSSLSLYDIAGTPGVAADVSHINAPAQVKGFMGDDQLGEALEGSDIVIIPAGVPRKPGMTRDDLFNINAGIVKNLCTAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKANVPVTDVNVPVVGGHAGITILPLFSQATPATNALSDEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFANACLKGLNGVPDVVECSFVQSTVTELPFFASKVKLGKNGVEEVLGLGQLSDFEKEGLENLKGELKASIEKGIKFANA >ORUFI01G27610.1 pep chromosome:OR_W1943:1:23943983:23972760:1 gene:ORUFI01G27610 transcript:ORUFI01G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTSGGGAGLLSPPAVVLAVAVVLSAAAAAAQALAAPCYLRVFSFGDSLADTGNLWPPYGETFFHRATGRCSDGRLIIDFIAEAMGLPFLRPYWGGQTAEDFASGANFAVGGATALGPDFFRERGVPTDDGVVHLEMEMGWFRDLLDMLCAGDMDGCKGMMNQSLFLVGEIGGNDYNYPLMSGVPIEKIRSFTPSVIAKISSTITVSNELIGLGAKTLVVPGNLPIGCIPTYLMQFESDKKEDYEPEIGCLRWMNEFSQYHNKLLIDELENLRKLHPDVAIIYTDYYGAAMEIFLSPEQFGIEDPLVACCGGGGPYGVSASAGCGYGEYKVCDDPSKYASWDGFHPSEAAYKGIAIGLLQGPYTQPPIASITDSCLQIIGLGSSAERKVIYDMMVSSTSGGLSPPAVVLAVAVVLSAAASRALAAPCYPRVFCFGDSLTDTGNIAFLYGNDSRRPSLWPPYGETFFHRATGRSSNGRLIIDFIAEAMGLPFVRPYWGGQTAGNFASGANFAVGGATALSPDFFRERGVPMDDDTVHLDMEMEWFRDLLGMLCTGGDMDGCKGMMNQSLFLVGEIGGNDYNLPLMSGMSIEKIRNFTPSVIAKISSIITELIGLGAKTLVVPGNIPIGCIPMYLMQFESDKKEDYEPKIGCLRWMNEFSQYHNKLLVDELENLRKLHLDVTIIYADYYGAAMEVFLSPERFGIEDPLVACCGGRGPYGVSASVRCGYGEYKVCDDPAKYASWDGFHPSEAAYKGIAIGLLQGSYTQPPIVSITNSCPQIIGLGSSVEHKAMYDFMWQRKNGFLYLREKRRAAAVVLAAVAVLLSASQALAAPCYPRVFSFGDSLTDTGNIAFLYGNDSRRPTLWPPYGETFFHRATGRASNGRLIIDFIADALGLPFVRPYWSGRTAGDFAHGANFAVGGATALSPDFGHLLPGERRARARHRAPRHGDELVPRSARPALPRRSCRRHGGRRAVSPSSSAAAASFILLLCAVVLLNTHVALCGCYKRIFSFGDSIIDTGNFVYLTGNGPSQFKELPYGMTYFNRPSGRICDGRVLVDFYAQALNLSLLPPSIPEEGSGQFENGANFAVLASTALGPDYFKTKYNFSLPVPYCLDNQLASFKKVLGRIAPGVDATKSLLGESLIVMGEIGGNDYNFWFTARQPRETARQYLPDVIGRIGAAVQEVINLGAKTVLVPGNFPFGCAPEYLQGFQSSNTSDYDATGCIAWFNDFSRQHNQALVQEVARLRSQNPGVRLIYADYYGAALEFFKNPKNYGIGDPLLECCGGDGPYHTGMTCNKTAKVWGSPANFASWDGVHMTEKAYSIIADGVLSKRYADAPLEAEQQQPLSDMGSFSHQKHSISVYLVLVSAVLLLNSTLGLCGCYKRIFSFGDSIIDSGNFVHIAGDHPCPFKEPPFGMTYFKHPSGRISDGRVVIDFYAQALQLPFVPPSLPEKDRGQFPHGANFAVLASTALPPEYFRRRNHTVPMPFSLATQLEWFKQTLQRIAPGDAARRALLGESLILMGEIGGNDYNFWFLDHKPREVAYQFIPDVVASISSTVQELIGLGARTIMIPGNFPTGCVPAYLSAYRSGNPADYDEFRCLRWFNAFSAAHNQALLNEVSRLKAQHPGVRLIYADYFGAALQLFRNPRRFGINDPLLACCGGHGPYHTGATCDRTATVWGDPGSFANWDGVHMTEKAYHVIADGVLNGPFADPPLLHSC >ORUFI01G27610.2 pep chromosome:OR_W1943:1:23939279:23943977:1 gene:ORUFI01G27610 transcript:ORUFI01G27610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSTSGRKGGGLLLSPPPAAVVVAVAVLLSAAEAATEEPCYPRLFSFGDSLTDTGNFAFIYGNDSREPALRPPYGETFFHRATGRFSDGRLVVDFIADALGLPFVRPYLSGRTAGDFACGANFAVGGATALSPAFFRARGVPMADIVHLDMEMKWFRDLLKLLCPGDLAGCTGMMNQSLFLVGEIGGNDYNLPLLSGVSITKIRSFTPSVIAKISSTITELIGLGAKTLVVPGNLPIGCVPNYLMIFKSGKKEDYEPETGCLRWMNEFSQYHNKLLIDELEKLRKLHPDVAIIYADYYGAAMEVFLSPEQFGIKDPLTACCGGGGPYGVSGTARCGYGEYKVCDDPQKFGSWDGFHPSEAAYKAIAIGLLRGSYTQPSFATTTNSCPQITELSSSVEYKNCRTVLHRMAVAQVIGWLLANPSGRFAFGPDVEQKQTKV >ORUFI01G27610.3 pep chromosome:OR_W1943:1:23939279:23943977:1 gene:ORUFI01G27610 transcript:ORUFI01G27610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSTSGRKGGGLLLSPPPAAVVVAVAVLLSAAEAATEEPCYPRLFSFGDSLTDTGNFAFIYGNDSREPALRPPYGETFFHRATGRFSDGRLVVDFIADALGLPFVRPYLSGRTAGDFACGANFAVGGATALSPAFFRARGVPMADIVHLDMEMKWFRDLLKLLCPGDLAGCTGMMNQSLFLVGEIGGNDYNLPLLSGVSITKIRSFTPSVIAKISSTITELIGLGAKTLVVPGNLPIGCVPNYLMIFKSGKKEDYEPETGCLRWMNEFSQYHNKLLIDELEKLRKLHPDVAIIYADYYGAAMEVFLSPEQFGIKDPLTACCGGGGPYGVSGTARCGYGEYKVCDDPQKFGSWDGFHPSEAAYKAIAIGLLRGSYTQPSFATTTNSCPQITELSSSVEYKVLYDLTAELFYTEWLLPKANPSGRFAFGPDVEQKQTKV >ORUFI01G27620.1 pep chromosome:OR_W1943:1:23974022:23979371:1 gene:ORUFI01G27620 transcript:ORUFI01G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKCGHLADARRLFDEMPERNVVSWSALICGYADAGMHSAAMEIFRLALEEAVPVNDFTVSCILRVCAAATLFELGAQVHARSIKTALNASPFVGSSLVSLYSKCGLVECAYQVFGEAPERNLGIWNAGLNASAQHGHTTAAFQRFMDMQNAGFRPNSITFLSLITACSHAGLVDEGKRYFSLMKEYRIEPQAEHYAAMVDLLGRVGRISEALGLIESMPMEPPEYVWGALLMACRMFKDADAAAIAAKRLFETGSRSSGAHMLLSSTYAAAGRHMDAALARKAMRDAGVRKETGLSWLEAAGEVHTFVSNCRRHPRSNEIYNVLEKVGEKMEAAGYVADTSAVVKDVDKDEKQATMRYHSERLAIGLGLLIVPEGVPIRVMKNLRVCDDCHNAVKYLSKCTGRIVILRDNRRFHRFEDGVCSCGDFW >ORUFI01G27620.2 pep chromosome:OR_W1943:1:23973575:23976970:1 gene:ORUFI01G27620 transcript:ORUFI01G27620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPWPPAAPPPACARSLADLLVALSAARALPKGQQLHGHLLKAGHLPATASSHAPIAHHLLTFYARCALPGDSLCAFLDLPAPPSPAAWSSLISSFSQNGLPAAAFDAFRRMLAAGVPATDRNIPSAAKAVAAAEDSSRPPLAPHALHGLSAKTPFAGDVFVGSSVLDMYAKCGHLADARRLFDEMPERNVVSWSALICGYADAGMHSAAMEIFRLALEEAVPVNDFTVSCILRVCAAATLFELGAQVHARSIKTALNASPFVGSSLVSLYSKCGLVECAYQVFGEAPERNLGIWNAGLNASAQHGHTTAAFQRFMDMQNAGFRPNSITFLSLITACSHAGLVDEGKRYFSLMKEYRIEPQAEHYAAMVDLLGRVGRISEALGLIESMPMEPPEYVWGALLMACRMFKDADAAAIAAKRLFETGSRSSGAHMLLSSTYAAAGRHMDAALARKAMRDAGVRKETGLSWLEAAGEVHTFVSNCRRHPRSNEIYNVLEKVGEKMEAAGYVADTSAVVKDVDKDEKQATMRYHSERLAIGLGLLIVPEGVPIRVMKNLRVCDDCHNAVKYLSKCTGRIVILRDNRRFHRFEDGEIDLYRFSGWMDGCQVGRLIGREENDRELIFYMLMELQI >ORUFI01G27620.3 pep chromosome:OR_W1943:1:23977056:23979371:1 gene:ORUFI01G27620 transcript:ORUFI01G27620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRGLGNIARRWRELNGVSYWKGLLDPLDVDLRNNIINYGELSQAAYTGLNRERRSRYAGSCLFSRKDFLSRVDVSNPNLYVITKFIYAMCTVSLPDAFMIKSWSKAAWSKQSNWMGFVAVATDEEIVRPGSADDPCVHGGWLSVYTSADPESQYNKQSARYQVLNEIKRLQDMYEHEETSITITGHSLGAALATINATDIVSNGYNKSCPVSAFVFGSPRVGNPDFQKAFDSAPDLRLLRIRNSPDVVPNWPKLGYSDAGTELMIDTGKSPYLKAPGNPLTWHDMECYMHGVAGTQGSNGGFKLEIDRDIALVNKHEDALKNEYAIPSSWWVVQNKGMVKGTDGRWHLADHEDDD >ORUFI01G27630.1 pep chromosome:OR_W1943:1:23979482:23983411:1 gene:ORUFI01G27630 transcript:ORUFI01G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCDMASRWRELHGSGHWDGLLDPLDVDLRRCLITYGEMIMATYEAFIGEHRSPNAGMCRYRRADLFRRVDVSHPGWYAATRYIYATANADVHGKVLLRPLCREGRATECNWMGYVAVATDEGAAALGRRDIVVAWRGTQRALEWVADLKLAPASAAGILGPEGADGTDPSVHRGYLSLYTSEDQCSELNKQSARMQNKKLGFDPMRQTLKKVLTEIARLMDKYKDEETSITVIGHSLGATLATLNAADIAANSYNTSSLSPSGETRAPVTAVVFGSPRTGDRGFRDAFHRLRDLRMLRVRNRPDRIPHYPPVGYADVGVELLIDTRLSPFLRRHGSESQSHDLECHLHGVAGWHGDHRGFELVVDRDVALVNKFDDCLADEYPVPVRWKVHHNKSMVKGPDGRWVLQDHEPDDDDDDDDDD >ORUFI01G27640.1 pep chromosome:OR_W1943:1:23986790:23993171:1 gene:ORUFI01G27640 transcript:ORUFI01G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMRGGFGFSPAVAALYCAALVVAGGLGSRPVLGCYSRIFSFGDSLTDTGNYVRLTAGRKPSSPYGAPPYGRTFFGRPTGRASDGRLVIDFIAQEFGLANVTAIQVGAGPADFPHGANFAIISSTANNASFFARKGLDITPFSLDTQMFWFRTHLQQLTQQLNGGGGGGGSILSDALVSLGEIGGNDYNFAFNKGVPRETVRAFVPAVVDKLAAAVEELIGMGARAFVVPGNLPFGCAPLYLNRFRGAAASEYDARTGCLAWFNKFAEFHNRVLTARLDDLRRLHPDVTIVYADWYGAMTSIFQAPGKLGFTNALGSCCGNQSVPCGKAGCTVCEDPSTYVSWDGTHPTEAVYKLIADGVLHGPHASPVPLAKTCPPT >ORUFI01G27650.1 pep chromosome:OR_W1943:1:23997096:23997888:-1 gene:ORUFI01G27650 transcript:ORUFI01G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKGKDEGKVRGKGPTAEEGRAKGATGGADVSAGADTRGEVAGDSTARVIGQRRCGRAVKAARQTVGARKQLSAGRGSSRCRRLGNGARKRWSEPMPGRRGWRSGGGEEAVVGTNSGAMKLWAALVVGSGLALSSTSRSLVCFYLSSLHLHYSTLHATDPARGGSSVRTQDALLSMSLPPFSPFSQLGSAGCRGLRASRFRALVSPG >ORUFI01G27670.1 pep chromosome:OR_W1943:1:24001945:24005935:1 gene:ORUFI01G27670 transcript:ORUFI01G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCFLLVSVLLATTLTDVASAQRWRQTSGGGKDRWDGLLDPLDADLRRDIIRYGELAQATSDALIGDPASPFAGASRYAPDAFLRKVRASDPDAYRVTRFVYATSSVRLPDAFMPRLAPSAGAAWSGESNWMGYVAVAADGVAAKAGRRDIVVAWRGTKRAVEWANDLDITLVPADGVVGPGPGWTQPSVHRGFLSVYTSKSFSSPFNKLSAREQVLAEITRLLRAYKNENCSITITGHSLGAALSTLNAIDIVANGYNVRGSSRVPVPVTAIALASPRVGDDQFKRAFDSTPNLSLLRVRNAPDIVPTILPSAFFKDVGAELLVDTRRSPYLKNPAGPAQWHNLECYLHAVAGTQGAGDGAGFSLVVDRDLALVNKEVDALRDEYQVPAAWWVEKNKGMVQNASGRWVLQDHEEGNLAM >ORUFI01G27680.1 pep chromosome:OR_W1943:1:24027235:24032951:1 gene:ORUFI01G27680 transcript:ORUFI01G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSPKVSKSPAHVGRTPETPGRGSSSGSPAPGGSASKAVSFARSLGVHFPRSSAQVQPARAPPEVADLLRAIEQLQERESRLRVELLEQKILKETVAIVPFLEAELAAKSSELEKCKDTAARLESENMRLCAELDAAVLEVTSRKQRIVHMEKEMAELKKQQEAAAADADDCSSTASVSHEQPESASSAANPASLVQRGPPIPPPPPPVPPAAFKSKSYSASSRVSLPSTSAPSPSSSTSTSPTYSCSSSDTVTTPRNRKPELSKLPPIPPPPPMPALSVCGRAAAPPPPPPPPPARRTSGAASPAASGPRVTRVPEVVEFYHSLMRRDSRSRDGSGGGETANGGGVAATRDMIGEIENRSAHLLAIKSDVERQGDFIRFLIKEVEGAAFVDIEDVVTFVKWLDNELSRLVDERAVLKHFEWPENKEDALREAAFGYCDLKKLEVEASSFRDDARQPCSTALKKMQALFEKLEHGVYNLARFRDGATGRYSRFQIPCEWMQPDTGIVSQIKLQSVKLAMKYLKRVSSELEAIKGGPDEEELMLQGVRFAFRVHQFAGGFDVDTMRAFQELKEKASMCRIQRQEQNRHLRRQQKLVARA >ORUFI01G27690.1 pep chromosome:OR_W1943:1:24032674:24036907:-1 gene:ORUFI01G27690 transcript:ORUFI01G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQRLPFRRLVLLLVASTALACSVLAGGAVASVVETLGVRRHFGSPKRNTTGQHGAGGRRGGGSARSGLASCNMFQGSWVYDDSLPMYDTAGCPFVEAEFDCQKYGRPDKLYLKYRWRPSSCELPRFNGLDFLSKWRGKKILFVGDSISLNQWESLACMLHAAAPSSRTTYSRGTPFSTVTFQDYGVSVAYYRSTYLVDIVDESIGRVLKLDSISGDAWLGADMLIFNTWHWWTHTGRDQPWDFVQDGGQVMKDMDRLSAFSKGMSTWARWVDSNVDTSKTRVYFQGISPTHYNGADWGEGSRSCAQQTQPVAGSAYPAGPVPAQSAVRSAIAGMSKPVFLLDITLLSQLRRDGHPSGYSGGHPGNDCSHWCLAGVPDAWNQILYASLLA >ORUFI01G27700.1 pep chromosome:OR_W1943:1:24040279:24041029:1 gene:ORUFI01G27700 transcript:ORUFI01G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRHHGWSAGSAIINTVHEDTRYTGKSTAYDNDFPKVSTVCDRLMLQTYFGLSKSSSGGPKKEQTFSFVDQGTDTPRVGGSIYGVRPSQNFGSLFRGYKEGQGRILTRKMDNSNAPFRAGRRSAGAACLHVLHDSKGYELSTTPNILPHKKIHLYSDDIF >ORUFI01G27710.1 pep chromosome:OR_W1943:1:24045965:24049066:-1 gene:ORUFI01G27710 transcript:ORUFI01G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAPRAVAERWRELHGEDHWKGLLDPLDADLRRSVIGYGELAQATNDAFIREAWSPHAGACRYSRDRFLEKAQASTQLAGLYEVTAFFYATAGAGGVPAPFMVRNRESNWMGYVAVATDAGVAALGRRDVVVAWRGTVRPMEWLNDLDFTLVSAAGVLGAGGRSPAPRVHRGWLSIYTASDPASKYSKLSAREQISDEIKRLMDKYKDEETSITVVGHSLGAAVATLNAADIVSNGLNQHGACPVTAVAFACPRVGDSGFRKLFDELPGLRLLRVCNSPDVVPKYPPMGYADVGVELPVDTRRSPYLKSPGNQAVWHSLECYMHGVAGAQGKRGGFKLEVDRDVALVNKNVDALKEEYHVPPSWSVQRDKGMVRGADGHWKLMDYEGEESSQDK >ORUFI01G27720.1 pep chromosome:OR_W1943:1:24052040:24058090:1 gene:ORUFI01G27720 transcript:ORUFI01G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSTSSTALAHPKTLNPASKSAAAGSVSFPAAQPPCPLAASAGGRRRRGAVAAKVSSPQVIGATMPSLDFETSVFKKEKVSLAGHDEYIVRGGRNLFPLLPEAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFEEARAAGFTEESGTLGDIWETISSSDLLLLLISDAAQADNYEEIFSHMKPNSILGLSHGFLLGHLQSVGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGIVEALFRRYTEHGLDEDSAYKNTVECITGIISKTISKKGMLEVYNSLTEEGKKQFIEAYSAAYYPCMDILYECYEDVSSGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPAGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKNAPVNQDLISNFFSDPVHSAIEVCAQLRPTVDISVPADADFVRPELRQSS >ORUFI01G27720.2 pep chromosome:OR_W1943:1:24053886:24058090:1 gene:ORUFI01G27720 transcript:ORUFI01G27720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSTSSTALAHPKTLNPASKSAAAGSVSFPAAQPPCPLAASAGGRRRRGAVAAKVSSPQVIGATMPSLDFETSVFKKEKVSLAGHDEYIVRGGRNLFPLLPEAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFEEARAAGFTEESGTLGDIWETISSSDLLLLLISDAAQADNYEEIFSHMKPNSILGLSHGFLLGHLQSVGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGIVEALFRRYTEHGLDEDSAYKNTVECITGIISKTISKKGMLEVYNSLTEEGKKQFIEAYSAAYYPCMDILYECYEDVSSGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPAGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKNAPVNQDLISNFFSDPVHSAIEVCAQLRPTVDISVPADADFVRPELRQSS >ORUFI01G27730.1 pep chromosome:OR_W1943:1:24059988:24060398:1 gene:ORUFI01G27730 transcript:ORUFI01G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREGAVVKKGPDEGMKMATALLEEFGLPLGLLPLAEVIEVGFVRATGYMWIAQRKKVEHQFKMVSKQVSYDVEITGYVKAKCIKKLKGVKAKELMLWPPVNEITVDNPPTGKIHFKSLAGVTKTFPVEAFAAGQ >ORUFI01G27740.1 pep chromosome:OR_W1943:1:24063570:24064952:-1 gene:ORUFI01G27740 transcript:ORUFI01G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHFVLRFLFGPVPVYFSALAILILLTNAQYFGLVGVTVPRATKLASSAPVVSVMKYCDIFRGEWVPDSDAPYYNHKTCYMIQEHQNCLKYGRPDLEFLKWRWRPSGCELPRFDPVQFLQFNRHKSLAFVGDSLARNHMQSLLCLLSQVAYPKDMSANPTTQNKVYHYRGYNFTVSMFWSPFLVKAREPDHDGPAHTGHWSLYLDEPDQSWVSEISRFDYVLVSAANWFSRPSLFYEKRRLVGCSFCSRQYGVPDLTLYYSQRRAWRVALKAINDLESLRGRVIVRMLSPMSHFENGTWDQGGNCKRTQPLRSNETVMEGRDLHFYTAQMEEYRAAEKVAEAKGRRMMLMDATAAMLMRPDGHPSRYGHLPNQKVQLYNDCIHWCLPGPIDIWNDMLFQMLLV >ORUFI01G27750.1 pep chromosome:OR_W1943:1:24071624:24072287:-1 gene:ORUFI01G27750 transcript:ORUFI01G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPMRIEDGSSQFLPGHAAFSDTLHLRRMYQMSINKNAQPQGPSFLEMLGQGYWSFSQPPIMNVHRRPNDGICQQHGPTENHVTTTNHP >ORUFI01G27760.1 pep chromosome:OR_W1943:1:24076643:24077924:-1 gene:ORUFI01G27760 transcript:ORUFI01G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFFKVLFGPAAVFLSALVVLSCFKSVPYLSRMSSSELPSYMSYFESPISKCDIFQGEWVPDESSPQYTNLTCSYIQEHQNCMMYGRPDLEFLKWRWKPAGCDLPRFDPDKFLRLVGNKTLAFVGDSLARNHMQSLLCLLSKVATPKDVSVTGKTDPDKILYYEGYNFTIHIFWSPFLVRTEESAESPGVFKLYLDEPDCKWFARVARFDYVIFSGANWFTRPSLFYENGRLVGGSYVALNITSDLTLRHSHRMAFRTALRAINDIRFRGKAIVRTLSPMSHFEGGAWDKGGDCRRTRPYRGNETAMGGMDLEFYTSQVEEFREAQREAAANGVDMVLMDPTAAMLLRPDGHPSRYGHWPDEKRVLYNDCIHWCLPGPVDAWNDMLLHMLSD >ORUFI01G27770.1 pep chromosome:OR_W1943:1:24081898:24083409:1 gene:ORUFI01G27770 transcript:ORUFI01G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISSLQRPRKPRCPSHPMLSLSPSLVCLLVLLPFLSLLLLHRSAFPASRSPLLAHLTASSSSRSSASGFAGGDLREIEFSWNHLPFRQSRPPPARLKIAVFSRKWPVASAPGGMERHAHTLHTALAARGHRVHVFTSPPPHTEAAPPRSADGPQLHFLDGDPGVWRCDEAWKLYEAEAENDPFDVIHSESVAVFHRWARGVPNLVVSWHGISLEALHSGIYQDLARGDDERMSPAFNHSLAQSVYRVLSEVRFFRSYAHHVAISDATGEMLRDVYQIPSRRVHVILNGVDEAQFEPDAALGRAFREDLGLPKGADLVFGVSGRLVKDKGHPLLYEAFSKLVLRHPNVYLLVAGKGPWEQRYMDLGRNAKVLGAVPPEKLKAFYNALDVFVDPTLRPQGLDLTLMEAMQCGKPVLATRFPSIKGSIVVDDEFGYMFAPNVESLLEKLEAVVEEGARRAAQRGRACRDYAKTMFAATKMALAYERLFLCVKNDTFCAYPAEFD >ORUFI01G27780.1 pep chromosome:OR_W1943:1:24085069:24085299:-1 gene:ORUFI01G27780 transcript:ORUFI01G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGADETTRHGPPPPPPAVVKIIETVHIEADSAEFKSIVQRLTGKDAVAGGRRPDRSSTGKADADEDQAQGFAR >ORUFI01G27790.1 pep chromosome:OR_W1943:1:24086501:24091480:-1 gene:ORUFI01G27790 transcript:ORUFI01G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGESGRGGGLRPRSGRGDGSVQESGGGFFVHGGRGKAQEAAADFSSTTTPSQEDAAGGQEAAGGDGGXGGFFVHDHAESRRRRGRPRSSRWRRRVAAGRHRDEAKKATASSRRPKKAAAAYGCTDSPNGHGDHGALLEMTRSGRGERRFPGENEQMRPWKLDTKNSHIALPLRYYSNDRSPVTTKAISTDTLQEIRRIKQYLNFLASWCHPPAPNPDILICVKDSHIWGICGSNPLAASLLSRNDLWTLVYVCACLISLSPNPDIRRSRLVPYKELRTQCRQMPCRDTKFGEENHDHLVDWLFSFMLGMGYAPEALSLPRISKGCTFVLSFYSIVRCQYVM >ORUFI01G27790.2 pep chromosome:OR_W1943:1:24086501:24091480:-1 gene:ORUFI01G27790 transcript:ORUFI01G27790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGESGRGGGLRPRSGRGDGSVQESGGGFFVHGGRGKAQEAAADFSSTTTPSQEDAAGGQEAAGGDGGXGGFFVHDHAESRRRRGRPRSSRWRRRVAAGRHRDEAKKATASSRRPKKAAAAYGCTDSPNGHGDHGALLEMTRSGRGERRFPGENEQMRPWKLDTKNSHIALPLRYYSNDRSPVTTKAISTDTLQEIRRIKQYLNFLASWCHPPAPNPDILICVKDSHICNPLAASLLSRNDLWTLVYVCACLISLSPNPDIRRSRLVPYKELRTQCRQMPCRDTKFGEENHDHLVDWLFSFMLGMGYAPEALSLPRISKGCTFVLSFYSIVRCQYVM >ORUFI01G27790.3 pep chromosome:OR_W1943:1:24086706:24091480:-1 gene:ORUFI01G27790 transcript:ORUFI01G27790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGESGRGGGLRPRSGRGDGSVQESGGGFFVHGGRGKAQEAAADFSSTTTPSQEDAAGGQEAAGGDGGXGGFFVHDHAESRRRRGRPRSSRWRRRVAAGRHRDEAKKATASSRRPKKAAAAYGCTDSPNGHGDHGALLEMTRSGRGERRFPGENEQMRPWKLDTKNSHIALPLRYYSNDRSPVTTKAISTDTLQEIRRIKQYLNFLASWCHPPAPNPDILICVKDSHICNPLAASLLSRNDLWTLVYVCACLISLSPNPDIRRSRLVPYKELRTQCRQMVYIYLTTLHLVDILKWEV >ORUFI01G27800.1 pep chromosome:OR_W1943:1:24093241:24094167:-1 gene:ORUFI01G27800 transcript:ORUFI01G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFSLTDGRRRSITLPAPPIQTRVWIGSANGWVVTADGECELHLLNPISGVQRPLPSVTTTGYFDALPRTDGGKARFLWNVASFRDTHRHEGYSVLPGTRPFVEMSAEEIQSSRLLKAVPLFDPSSGKYSIMMMHNPQNKLVFAREGDPKWVPLRAQHRYEDVIVYHGRFCTVTMEGLVQTWEHDASTMTFNPKGIAPQHIEPEEDGIPLYFKKYLAKSPDGNLILIWREHYSERCDESDSEDDVSIERDEDEDDYNISCCCEEIEELEPDPTIRFQAFVLDEHPIGSEWREVHDFGGASIFIGCA >ORUFI01G27810.1 pep chromosome:OR_W1943:1:24097419:24098496:-1 gene:ORUFI01G27810 transcript:ORUFI01G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGITAQLSTGLAILSAGAARDKGKELAVIDVDVGVGGGRVRSDRWDETRAEVRLGVLQRRPVGRPRPYILPELDCSLSSKQYYKVFVALGMSFCLLSLFIISRSVFVDAGVAAIDIETKKRKEEMKAREAKSRAAAEHRDLALITVEGPKTTTSEKIV >ORUFI01G27820.1 pep chromosome:OR_W1943:1:24099280:24100196:1 gene:ORUFI01G27820 transcript:ORUFI01G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGITAQLSTGLAILSVGAAHDKGKELTVIDVDVNVGGGRVRSNWWDKSRAARSGNPGRSSSINHITAAII >ORUFI01G27830.1 pep chromosome:OR_W1943:1:24100738:24103554:-1 gene:ORUFI01G27830 transcript:ORUFI01G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPLGVGTVAGDGVDVRASSPGGLRRIHVHEGGDIGKLPVVEPSSRSGRRIAPLAKEPPSRGVAEPSSRCERHLIKASTRSRVVSNRRGLARRQARQTAVRAPPAVLCVNPRGASPRPAAAEDMGSSRDELVAVYKGGRILYLAYFRTFKRADHPGVTLSPRLSTTPDGDHHGEIVWPAPSRSLLGGCRAEGASRHGVHRRGLRHPSPSARQPPNLYHLLEDSVSGLVDSTIAMQIFDHKSNDNELKFSQEEKKDMIESFGKLKVSKVRSEFLKMEKSFMKLIESSDKAIERQIVDSIYSFAQDNQVVVTKCIMLCHRGWNTKRYLIPVAKVTTLKLIQVITLNLMGMTWNLVILVLSKVAMTVMMMMVVAGMMKKKMTMKRMMTMRRKMKRTMMKRRMRKRTTMKRRTRKRTMKRRTMKEEDEDYDPVHDDDEDDDDEYMNGLANLPPLPPGTEYWNGVTVVNRVSAIRNLNPQFHVLGFGPNLTIHQRVRLWATGDAMGFDFHPTEMLRYVWRMELLLNGQNPGNPLDAVNEPPVPNPGDYDDEGWITDEDMVGGIALDDLGLDSSSSDIGDA >ORUFI01G27840.1 pep chromosome:OR_W1943:1:24118547:24118726:-1 gene:ORUFI01G27840 transcript:ORUFI01G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGDGAEVEALFHVAQDKVLLKLQANSQWSMPVAAAGPSLDPTAAVDPLDRDLVRRI >ORUFI01G27850.1 pep chromosome:OR_W1943:1:24123161:24134930:-1 gene:ORUFI01G27850 transcript:ORUFI01G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRAFRPTSDKVVKIQLHPTHPWLVTADANDRVSVWDWEHRQVIYELKAGGVDERRLVGVKLEKLAEGDTDSKGKPTEAIRGGSVKQVSFYDDDVRFWQHWRNCSAAAEAPTAVNQQSSAFSAPAPSTRGRHFVVICCENKVIFLDLVTMRGRDVPKQELDNKSLLCMEFLSRSSSSDAPLVAFGSSDGVIRVLSMLTWKLVRRYTGGHKGAISCLMTFMSAAGEVHLVSGGSDGLLILWSADHIHDSRELVPKISLKAHDGGVVAVELSRVMGSAPQLITIGADKTLAIWDTVTFKEIRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQVLTQHKKLRVYCMVAHPLQPHLVATGTNIGIILSEFDPRALPAVAPLPTPAESKEHSAVYIVERELKLLNFQLSNTANPSLGNAGVTSETGRSRNDPLEQLVVKQTKKHISTPAPHDSYSILSVSSSGKYVAVIWPDIPSFAVYKASDWSVVDSGTGKLFAWDTCRDRYALVESALPPRMPLIVKGGSSKKAKEAAAVAAQAAAAAASAASAATVQVRILLDDGTAHVLQRSIDGRSEPVIGLHGGALLGVTYRTSRRISPVTATAISTVQSMPLSGFGGSGSSFASDDPFSSKEGPPQNFQLYSWETYQPVSGLLAQPEWTVWDQTVEYCAFAYQQYIVISSLRPQFRYLGDVSIPFATGAVWHRRQLFVATPTTIECVFVDAGVAAIDIETKKRKEEMKAREAQSRAAAEHGDLALITVEGPKTTTSEKIALRPPMLQVVRLASFQYAPSIPPFIVPKQSKLDGEDSVFQKELDDRRYAEVAVAGGGVSVAVTRFPPEQKRPIGPLVVVGVRDGVLWLVDRATRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALACLLTMSNSRDVGQETTATDVTQILNLAVAKQAKQESLADAVQGIVKFVKEFFDLIDAADATGQADIAREVLKRLAAAASVKGALHGQMLRGLALRLANHGELTRLSGLVTNLIIAGHGREAAFAAAVLGDNALMEKAWQDTGMLAEAVLHSQAHGRPSLRNLVIAWNKMLQKELDHTPTVKTDAAAAFLASLEDPKLTSLGETEKKPPIEILPPGMPPLSAPPIVIKKSGAKPGLPNAAQAPTAAIGAPMAQDTPMVQGTPMVQGTPMAEGTTGAQAAPTAQGAPAQTQNPEEAKPSESTAAPDNAEKTATPDNAERMAAPGNVEATTEPAAAPGNVEGTAAAATDATSNADATSGAPAPAADSNGADPPAVTPGQVTNGAPSTETPETADKPSSTEPSPAPPIPNVPAV >ORUFI01G27850.2 pep chromosome:OR_W1943:1:24123161:24134930:-1 gene:ORUFI01G27850 transcript:ORUFI01G27850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRAFRPTSDKVVKIQLHPTHPWLVTADANDRVSVWDWEHRQVIYELKAGGVDERRLVGVKLEKLAEGDTDSKGKPTEAIRGGSVKQVSFYDDDVRFWQHWRNCSAAAEAPTAVNQQSSAFSAPAPSTRGRHFVVICCENKVIFLDLVTMRGRDVPKQELDNKSLLCMEFLSRPRSISARQLLMIHIRFFQLAALERKLFAWDTCRDRYALVESALPPRMPLIVKGGSSKKAKEAAAVAAQAAAAAASAASAATVQVRILLDDGTAHVLQRSIDGRSEPVIGLHGGALLGVTYRTSRRISPVTATAISTVQSMPLSGFGGSGSSFASDDPFSSKEGPPQNFQLYSWETYQPVSGLLAQPEWTVWDQTVEYCAFAYQQYIVISSLRPQFRYLGDVSIPFATGAVWHRRQLFVATPTTIECVFVDAGVAAIDIETKKRKEEMKAREAQSRAAAEHGDLALITVEGPKTTTSEKIALRPPMLQVVRLASFQYAPSIPPFIVPKQSKLDGEDSVFQKELDDRRYAEVAVAGGGVSVAVTRFPPEQKRPIGPLVVVGVRDGVLWLVDRATRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALACLLTMSNSRDVGQETTATDVTQILNLAVAKQAKQESLADAVQGIVKFVKEFFDLIDAADATGQADIAREVLKRLAAAASVKGALHGQMLRGLALRLANHGELTRLSGLVTNLIIAGHGREAAFAAAVLGDNALMEKAWQDTGMLAEAVLHSQAHGRPSLRNLVIAWNKMLQKELDHTPTVKTDAAAAFLASLEDPKLTSLGETEKKPPIEILPPGMPPLSAPPIVIKKSGAKPGLPNAAQAPTAAIGAPMAQDTPMVQGTPMVQGTPMAEGTTGAQAAPTAQGAPAQTQNPEEAKPSESTAAPDNAEKTATPDNAERMAAPGNVEATTEPAAAPGNVEGTAAAATDATSNADATSGAPAPAADSNGADPPAVTPGQVTNGAPSTETPETADKPSSTEPSPAPPIPNVPAV >ORUFI01G27860.1 pep chromosome:OR_W1943:1:24173758:24180973:1 gene:ORUFI01G27860 transcript:ORUFI01G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTTIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVINKERRGDYLGKTIQVVPHITDEIQDWIERVAMNPVDGKEGPPDVCVIELGGTIGDKIFSSHNDSGILDAGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLAPDILACRSTEPLEENVKAKLSQFCHVPVSSIINLHDVTNIWHIPLLLRDQRAHEAILKVLDLQFVGKVPREPKLVEWTERASKFDKLKATVKIAMVGKYTGLSDSYLSVLKALLHASVAMGRKLVVEWVPSCDLEDSAAKETPEAHKKAWKLLKVNTVYILEWPLEFLISYAQPFEENLHFRVQRVYLSLEALEIEVFREKFLLQNMHEKIMFLISAFAWGSKTHMGATMRLGSRRTYFHATACKSAKLEDFTVFQVNPEMVPEFEKAGLSFVGKDESGRRMEIIELPSHKFFIGVQFHPEFKSRPGKPSPLFLGLIAAASGQLETLLQPSSNIVNPNPMPRFPIPKKTIYHAKKPLDSLVNGYFANGNVIHT >ORUFI01G27870.1 pep chromosome:OR_W1943:1:24182363:24186510:-1 gene:ORUFI01G27870 transcript:ORUFI01G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGVGRSRRRGRAPPVLPSSAAARRAEHSVSCWYCDCKIYSFNDIIFNLGWRYARYMRAWFSAGVYFSVVALVGISVMLLWDSIGAVYFSGRSFSTWLQNLLASSFGISIMDIAAIIASTVFSIAFHEFGHAVAAASEGIQIEYVAVFVAALFPGALIALNCDQLQNLPLFSMLRIYCAGIWHNVMLCGVCVIMALLLPVVLYPLFVTGGLMITGVPEASPLSGYLSAHNFILSVDGLNITRADEWMKMLTQDNVVQISSRDLLEGSEGYRATGSRKGYCVPNSWMDASKNLWQINDKLSCPDDLVAFQRMSEKGIGKKEVEDKYCLIAKDVVKLKKCGNGWRGAEDGRSNFACLEDEYCLVPVLGPGISWIEISYARPYSLECLQKERNSSLLHDGNNNPGLGPCQGTFVYAGDLLSAAHSIKLSSYRPRWPLLLFIADVPRILQDGLSCLFRVSAALAVVNCLPVYFLDGEAILETMLSYFSWFTRRQQRNILKVCRFLWTILSIVLFSRTLYSMTLYYGFV >ORUFI01G27870.2 pep chromosome:OR_W1943:1:24182363:24186510:-1 gene:ORUFI01G27870 transcript:ORUFI01G27870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGVGRSRRRGRAPPVLPSSAAARRAEHSVSCWYCDCKIYSFNDIIFNLGWRYASGRSFSTWLQNLLVTTICPFIFQLLTSTIQHALNLLQASSFGISIMDIAAIIASTVFSIAFHEFGHAVAAARSLFADLHFLRDVLHLCGVCVIMALLLPVVLYPLFVTGGLMITGVPEASPLSGYLSAHNFILSVDGLNITRADEWMKMLTQDNVVQISSRDLLEGSEGYRATGSRKGYCVPNSWMDASKNLWQINDKLSCPDDLVAFQRMSEKGIGKKEVEDKYCLIAKDVVKLKKCGNGWRGAEDGRSNFACLEDEYCLVPVLGPGISWIEISYARPYSLECLQKERNSSLLHDGNNNPGLGPCQGTFVYAGDLLSAAHSIKLSSYRPRWPLLLFIADVPRILQDGLSCLFRVSAALAVVNCLPVYFLDGEAILETMLSYFSWFTRRQQRNILKVCRFLWTILSIVLFSRTLYSMTLYYGFV >ORUFI01G27880.1 pep chromosome:OR_W1943:1:24186610:24194269:1 gene:ORUFI01G27880 transcript:ORUFI01G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLQSPSRFLLQILQDRVLSGDKGVDIDCHTVEFDDVRYHIQFSMRNTKVMVLSVALPLPPPEAMLYDGLPLGAIEAIKAAYGPVVQILDPPKDGFDLTMKINLTKLPPDEEQRNAVLTQIASVREVVLGAPLKLLLKHLASKTVAPNVDKLVALVHRPNESFFVAPQADKVTVVYPMRFQDSIDIVLATSFLQEFVEARRTSALNNAPSCLWSPVPPLELKGVPSDALNANAVVFPRHVEGKKLDKTVWSLLTFHAYVSYHVKCSEGFMHTRMRRRVETLIQALDRAKSDAEKLKKLVNGGSFKRLSLKNDGN >ORUFI01G27890.1 pep chromosome:OR_W1943:1:24191375:24191626:-1 gene:ORUFI01G27890 transcript:ORUFI01G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAQPMGETTPTTYESVGGGENRTRTDLRSREDQGAIQIDKVQDKVDEPAARKVDDRAFDAKQVGHGAGADAGATGTGA >ORUFI01G27900.1 pep chromosome:OR_W1943:1:24192634:24196223:-1 gene:ORUFI01G27900 transcript:ORUFI01G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVANPIVEMDGDEMTRIFWQSIKDKLIFPFLDLDIKYYDLGVLHRDATDDKVTVEAAEATLKYNVAIKCATITPDEARVKEFNLKQMWKSPNGTIRNIINGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVLKGPGKLKLVFEGKDEQIDLEVFNFTGAGGVALSMYNTDESIRAFAEASMTTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAGWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFALKLEAACVGTVESGKMTKDLALLIHGSSNVTRSHYLNTEEFIDAVAAELRSRLAAN >ORUFI01G27910.1 pep chromosome:OR_W1943:1:24199617:24200430:-1 gene:ORUFI01G27910 transcript:ORUFI01G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDKVDPPRRRFRDKMREIRLNACDIATIFYTGILTGVIFVIVLRAYVTSRTTPNLYLRIVGVEGLDPGASSPPGHGAPPPAFHLAVDVSGVREGYTACVGGMHGWRSSLLRVSYHGMVLAWGIVPSFCIDGQRLRPGGGAASNVAAVYAVAAESAVLREEELHGMVRTEQHVTGKVDFDVEGHVAGLGYLKCKTPFFEGEHKSVVYSCGVYQLLEKCT >ORUFI01G27920.1 pep chromosome:OR_W1943:1:24208380:24212686:1 gene:ORUFI01G27920 transcript:ORUFI01G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNLVLGAAVLAAAALLFATDIRKSGAMFRRNARKIWAWLDEEMKSASAEIHNGPPKSKSGPTPPTRRPEIQIVPPPPTSKLIGPPPPPPVQNGASKKEKLGMTKPVIIFDLLSIALSIISWLMFSLCQRHGVDGKTVGWAPIIVYSIGLVLYCVLLVMRFCPDGTICHTTIALLLGITLLLLLFDMFEPIKREQKTAKVLSGLAALMQLTSEALPYAGMRALCCADPAPAPVIVDLPQASSQAPVVVDPPQAPAQAPVIKNRRKLTIFVRAVDAGVTLFWAVYCAYNYDHICQRYICGLVLGERLRLHILGAKACGRAPGARGGCRAVDSKVSSFAT >ORUFI01G27920.2 pep chromosome:OR_W1943:1:24208380:24212684:1 gene:ORUFI01G27920 transcript:ORUFI01G27920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLCQRHGVDGKTVGWAPIIVYSIGLVLYCVLLVMRFCPDGTICHTTIALLLGITLLLLLFDMFEPIKREQKTAKVLSGLAALMQLTSEALPYAGMRALCCADPAPAPVIVDLPQASSQAPVVVDPPQAPAQAPVIKNRRKLTIFVRAVDAGVTLFWAVYCAYNYDHSFFWFANVTSAVLSWGSAYVYISWALRPVAGHQAQEGDAEQ >ORUFI01G27920.3 pep chromosome:OR_W1943:1:24210479:24212686:1 gene:ORUFI01G27920 transcript:ORUFI01G27920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLPYCTAKEVIRVPGAFLSILLYASPFVVYWSSGSNYDVIRSFYTKFDLLSIALSIISWLMFSLCQRHGVDGKTVGWAPIIVYSIGLVLYCVLLVMRFCPDGTICHTTIALLLGITLLLLLFDMFEPIKREQKTAKVLSGLAALMQLTSEALPYAGMRALCCADPAPAPVIVDLPQASSQAPVVVDPPQAPAQAPVIKNRRKLTIFVRAVDAGVTLFWAVYCAYNYDHICQRYICGLVLGERLRLHILGAKACGRAPGARGGCRAVDSKVSSFAT >ORUFI01G27930.1 pep chromosome:OR_W1943:1:24214061:24215448:-1 gene:ORUFI01G27930 transcript:ORUFI01G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIINGPPDPNISIRLVGVEGLDPRLPAPVPPVFDLAVDVAGVSPRYHACGGGGGSKLRVSYHDIVLASALVPSFCIDGKLLEGGSAAGVVVVKARGGADGANAMIRGDLRNLIWTERHVLGKVNFDVSGNLGKESGLGDLSFRVSSIEVNFAHVEIADFHMPWTIVGWTNARINRMTIQWISLPLYFDLTKRLNVQYI >ORUFI01G27940.1 pep chromosome:OR_W1943:1:24220926:24223534:1 gene:ORUFI01G27940 transcript:ORUFI01G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGCLCILISCMLVLVYASDYRDDIFGRDKANQEGAKAINEKNGFNFPFILFGGLLSMLTYVTPFVVHWSTGSNPNALKIVYTNTDFIKTGMATLAWLSFSLCQLHGANGKIVGAPAVAIYSAGLIIYGTSLVFKWSPSGVMSDAVCHTTMLSMLLIVILLLDVGVFGHLKREHKIANTISALATLTQVASSLLPHGDLCKGCICQDQAPDAKVPRIRNRRKLVVYIRSLEAGFTLYWAGCCIYRYDHTYFRIANITSVILSCIASYISIFEALKPVLGDNDEEALKTVI >ORUFI01G27950.1 pep chromosome:OR_W1943:1:24228730:24237256:1 gene:ORUFI01G27950 transcript:ORUFI01G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKRNQRSEILSLRRCNAGGGAGVGEDDGDGGERRPKRRRGDEFFPVELLGDVPVAGIPYAAFGFRWCEEAEVASPAAASRAAAAAAAASRPPVVRTSRGRAQVLPSRFNDSVLIDPWKKDKPAKPPVPAKAVQLVPKSEVLYRKGAIADRSLTMSELDENGDDDYEEGHNFVASRKYSMSLSTVTSVHGEPYSYYHRKGLMKRQYDDDDDDDDDDDDDDDDDDDDEDEDEDEDEDEEAEEEEEEEEEEEEELSYWRNDFVYGDIVWARLGKRQPVWPGVVVDPAQPAAAQALPPQPRSGAVLCVMLFGWAAEFGDEKKFIWVRQGGIFPFVDYMDRFQGQTELSSCKPGDFQRALEEAFLADQGFFEVPMDGNTTGQPARYKRALQCESCGNCFPNKDPSMMVYVMEQLACRQCAKILRSKEYCGVCLKSWQHKCGGRWDLRDNSYFCPYCRVKQNSNLSSKKTKSYEHRTDNSTQKSSKPDKVAVICFGMEGTYLRDLELISCHCGPCKGQKFLFNEWERHAGCRSKNWKSSIKIKDTLMPFGKWIEQHQSSSYSTNPAKRSSQKMKKQKLLDLLSEPYDTVNVKWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVRGKQDFTSWVCRACETPDQKRECCLCPVKGGALKPTNVDNLWVHVTCAWFQPQVAFASDELMEPAIGILNITPLLFMKMCVICRQIHGSCTQCYRCSTYYHAICASRAGYRMEIRCLEKNGKQTTNKISYCAHHRAPNPDNVLIIQTPAGTISSKKLVQSNGTVAASRLIRKDLPKDSVSEVEISENLSAARCRFYVKKELKRSREGAIAHRVRGSCQHRWDEIDSLNPPREERDPESFSTFKERLHYLQKTEHTRVCFGRSGIHRWGLFARRGIQEGEMVLEYRGEQVRRSVADLREEQYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNCYARIMSVGHDESRIVLIAKKNVSAGEELTYDYLFDPDEADDRKVPCLCQTANCRKFMN >ORUFI01G27950.2 pep chromosome:OR_W1943:1:24228730:24237256:1 gene:ORUFI01G27950 transcript:ORUFI01G27950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKRNQRSEILSLRRCNAGGGAGVGEDDGDGGERRPKRRRGDEFFPVELLGDVPVAGIPYAAFGFRWCEEAEVASPAAASRAAAAAAAASRPPVVRTSRGRAQVLPSRFNDSVLIDPWKKDKPAKPPVPAKAVQLVPKSEVLYRKGAIADRSLTMSELDENGDDDYEEGHNFVASRKYSMSLSTVTSVHGEPYSYYHRKGLMKRQYDDDDDDDDDDDDDDDDDDDDEDEDEDEDEDEEAEEEEEEEEEEEEELSYWRNDFVYGDIVWARLGKRQPVWPGVVVDPAQPAAAQALPPQPRSGAVLCVMLFGWAAEFGDEKKFIWVRQGGIFPFVDYMDRFQGQTELSSCKPGDFQRALEEAFLADQGFFEVPMDGNTTGQPAVCQSFPADLEERYKRALQCESCGNCFPNKDPSMMVYVMEQLACRQCAKILRSKEYCGVCLKSWQHKCGGRWNVTKSAATSRIYETTVISALIVELNRTQICPARRQNLMNIEFLAIVVHARDKNFCSMNGNGMLAAEVKTGSQIEQHQSSSYSTNPAKRSSQKMKKQKLLDLLSEPYDTVNVKWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVRGKQDFTSWVCRACETPDQKRECCLCPVKGGALKPTNVDNLWVHVTCAWFQPQVAFASDELMEPAIGILNITPLLFMKMCVICRQIHGSCTQCYRCSTYYHAICASRAGYRMEIRCLEKNGKQTTNKISYCAHHRAPNPDNVLIIQTPAGTISSKKLVQSNGTVAASRLIRKDLPKDSVSEVEISENLSAARCRFYVKKELKRSREGAIAHRVRGSCQHRWDEIDSLNPPREERDPESFSTFKERLHYLQKTEHTRVCFGRSGIHRWGLFARRGIQEGEMVLEYRGEQVRRSVADLREEQYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNCYARIMSVGHDESRIVLIAKKNVSAGEELTYDYLFDPDEADDRKVPCLCQTANCRKFMN >ORUFI01G27960.1 pep chromosome:OR_W1943:1:24238819:24245829:-1 gene:ORUFI01G27960 transcript:ORUFI01G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRHRSSSHRSHRRGGSVERSESEGDEAGGGAGAREEAAAARVSRDPEPERRRSSSGKEVVSSGNGYAEHGRKRKDRVEEMVVDVVSDRWNSGVCEDHLVEKRSKGEVFGPVDVDKLPEKSRGSGDESKRSSRRMVSMDDRAEEVVSKSDSGKRRSDKDLGRRESSGQYRDDRDRERERDREKERDREKEKEWERQKERERERGRDRERDRDREKDRERERERDKERDRERDRERERERDRERERERQKDREREKKDYDSKHERYDDGGAKKNGSKASRGEEDGYSYKRDTEINASATKEKYNNTEKDLDRHSRRKDVSEDKDKWPAENRDGDDRKTLSRYDHSKVRSSKEQRFDDDKYKEKYKDDYERDKRQQDDKCLDERLTRDHESDRADYKSSKDGHRTSESHYRKDVIQEPDHYDDYGSRYKESRGRKRPPEENDDQYDLKPPSAREQRGSADKSSGSGRLDALVERMRSDHRHPENVDSSPNKVHPRSSPGPNTYHDKDQNWGSPVRHGSKLTDHAKREIPYDERNIRPRTSSGRERTPASRLRDRDADNWPSERLKQKDDLQSRDMQLEISSSLQYDRTPRKDAHPSPKHLSERSPTEQRFSGRLSGGRSTDNKAERSGLTKYRDRDGDSVERSVHQDRTPAKAPYREPTPSSSSRGGHFSGTSPNHPLPPPPRHRSDDSSFLGLHDDDRRPQSGDRRFHQKRNDMSSGRGHGHAWNNPPSWPSPVANGFVPMQHGAPGFHPPVHQFSAPPMFNLRPQMKLNQPGVSYPMHESVDRFSTHMRPFGWPNPLDESCPPHLQIWNGGSGVFASEPYMYGRQEWDQNRQHSVSRGWETTGDALKGQNELHEREPSVTKKEPDCSATPVPETSTGQYNLNSRIEQKEMDQTLEKNGTKDDLKSSFRNTGGSGGAPLMTSMPSNSGTAIFSKSYLSKISVSRDLVESELYKRCISLLADFGIANTPEVVGTGLVQRALALHKNQTAKIVSPTHSILETDRKTYLPEDNHDDTEMTDHTVSKELLVDNSEPHHGADTMEKELPLRQELAGGIGVTSPASIEPGNVGAPPVIIEPDVGMEDVAPPKIVEPDEGMEDAAPPKIVEPDEGMEDAAPPKIVEPDQGMEEVASPTIKEPDEDIEVMPLGTAEPGKGMEEVAPGGISEPEKGMENLVPPGIAEPVKDGMDDVAAPSAGPAAGLGDGVPEVTVELADSAQEKPPAMGELGDGMEVMPPPVTETSLGKEDSPAVPSSPEVQEIVSGMHAGIEKGMEGETDNLIDDNPGDGEVNASSLELDVASGADDCEALVESRM >ORUFI01G27960.2 pep chromosome:OR_W1943:1:24238819:24245829:-1 gene:ORUFI01G27960 transcript:ORUFI01G27960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRHRSSSHRSHRRGGSVERSESEGDEAGGGAGAREEAAAARVSRDPEPERRRSSSGKEVVSSGNGYAEHGRKRKDRVEEMVVDVVSDRWNSGVCEDHLVEKRSKGEVFGPVDVDKLPEKSRGSGDESKRSSRRMVSMDDRAEEVVSKSDSGKRRSDKDLGRRESSGQYRDDRDRERERDREKERDREKEKEWERQKERERERGRDRERDRDREKDRERERERDKERDRERDRERERERDRERERERQKDREREKKDYDSKHERYDDGGAKKNGSKASRGEEDGYSYKRDTEINASATKEKYNNTEKDLDRHSRRKDVSEDKDKWPAENRDGDDRKTLSRYDHSKVRSSKEQRFDDDKYKEKYKDDYERDKRQQDDKCLDERLTRDHESDRADYKSSKDGHRTSESHYRKDVIQEPDHYDDYGSRYKESRGRKRPPEENDDQYDLKPPSAREQRGSADKSSGSGRLDALVERMRHGSKLTDHAKREIPYDERNIRPRTSSGRERTPASRLRDRDADNWPSERLKQKDDLQSRDMQLEISSSLQYDRTPRKDAHPSPKHLSERSPTEQRFSGRLSGGRSTDNKAERSGLTKYRDRDGDSVERSVHQDRTPAKAPYREPTPSSSSRGGHFSGTSPNHPLPPPPRHRSDDSSFLGLHDDDRRPQSGDRRFHQKRNDMSSGRGHGHAWNNPPSWPSPVANGFVPMQHGAPGFHPPVHQFSAPPMFNLRPQMKLNQPGVSYPMHESVDRFSTHMRPFGWPNPLDESCPPHLQIWNGGSGVFASEPYMYGRQEWDQNRQHSVSRGWETTGDALKGQNELHEREPSVTKKEPDCSATPVPETSTGQYNLNSRIEQKEMDQTLEKNGTKDDLKSSFRNTGGSGGAPLMTSMPSNSGTAIFSKSYLSKISVSRDLVESELYKRCISLLADFGIANTPEVVGTGLVQRALALHKNQTAKIVSPTHSILETDRKTYLPEDNHDDTEMTDHTVSKELLVDNSEPHHGADTMEKELPLRQELAGGIGVTSPASIEPGNVGAPPVIIEPDVGMEDVAPPKIVEPDEGMEDAAPPKIVEPDEGMEDAAPPKIVEPDQGMEEVASPTIKEPDEDIEVMPLGTAEPGKGMEEVAPGGISEPEKGMENLVPPGIAEPVKDGMDDVAAPSAGPAAGLGDGVPEVTVELADSAQEKPPAMGELGDGMEVMPPPVTETSLGKEDSPAVPSSPEVQEIVSGMHAGIEKGMEGETDNLIDDNPGDGEVNASSLELDVASGADDCEALVESRM >ORUFI01G27970.1 pep chromosome:OR_W1943:1:24249428:24255467:1 gene:ORUFI01G27970 transcript:ORUFI01G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVAAAAAAVAARPTGAGPARPLRGGVSARPCRRRGFRAEASSSASTPAPVAADEGAGAGPCPVVRFEMGDFDVADRVSVGLHGRSDEMIFEATVRDPSSELYGSTVVLRQLMSFQAKRRGRRALEVLKKLARRQMMYHSYAMQVHGYVTPGNDVEQDDGSFILVHGYHGSYSLRHWLQLSDWLPTLEATLALDEEQVRRVGDDSVGGPAVTRQLRLIRILMRDLLIGVNYLHSHGLAHTELRLENVHVSPIDKHVKVGILGNAVDFHDNDPRNSTLASNDERRKMMIAFDMRCVGFIMAKMVLRELMDSSTFLKFKSFLTKGDDPACLREFLLPILCRNSPSGNIGLQMLDRQWGAGWNLLALLLATKPDKRISCVDALRHPFLCGPKWRINPSVDVIRWGLGSTAVRMAEDYIYGQHQRRRLAYFVELMEVLNPNPRTENWLNILPGHWRLLYCTGRHIGLTLRQPSPRILITDVFLTFAQTSDSIDPVFSLTSDIGFKIMAESDWPHDKSGSEGTLSVTSSARIATGRIYIHEQDGDDSRVASSRSSRRYLRGKWRKVSKMKELPASLPTVNITMDELDVSMSCSSTLNVSSAQKVLQEIRTQTPPEMFDLSKIVCGTYVDARLMILRGVNGSALFFTRSNSRADS >ORUFI01G27970.2 pep chromosome:OR_W1943:1:24249428:24255467:1 gene:ORUFI01G27970 transcript:ORUFI01G27970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVAAAAAAVAARPTGAGPARPLRGGVSARPCRRRGFRAEASSSASTPAPVAADEGAGAGPCPVVRFEMGDFDVADRVSVGLHGRSDEMIFEATVRDPSSELYGSTVVLRQLMSFQAKRRGRRALEVLKKLARRQMMYHSYAMQVHGYVTPGNDVEQDDGSFILVHGYHGSYSLRHWLQLSDWLPTLEATLALDEEQVRRVGDDSVGGPAVTRQLRLIRILMRDLLIGVNYLHSHGLAHTELRLENVHVSPIDKHVKMCWLYHGKDGSERANGFFYFPKIQGDDPACLREFLLPILCRNSPSGNIGLQMLDRQWGAGWNLLALLLATKPDKRISCVDALRHPFLCGPKWRINPSVDVIRWGLGSTAVRMAEDYIYGQHQRRRLAYFVELMEVLNPNPRTENWLNILPGHWRLLYCTGRHIGLTLRQPSPRILITDVFLTFAQTSDSIDPVFSLTSDIGFKIMAESDWPHDKSGSEGTLSVTSSARIATGRIYIHEQDGDDSRVASSRSSRRYLRGKWRKVSKMKELPASLPTVNITMDELDVSMSCSSTLNVSSAQKVLQEIRTQTPPEMFDLSKIVCGTYVDARLMILRGVNGSALFFTRSNSRADS >ORUFI01G27980.1 pep chromosome:OR_W1943:1:24257091:24262042:1 gene:ORUFI01G27980 transcript:ORUFI01G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSDDDLKCFYVPIVTMILAFNVLVFIVLPIIDRPPDPVFSVRLVGVEGLDHPDPCHQLQSAAPAVPPVFDLAVDVGGVPPRYRACGGGGGDDTVLRVSYRGIILAWGCVPSFCIDGGEHGRARADGVVVVRAEAGACAAIRDGLRNLIWTERRVLGKVDFDVEGNLGKVSRLDMKAVALGAFKWGKWSGRHAMEFGIEEEEVTSLQRRHIRHGLVGDWGGGMKRRKIEGMGSSGDWGRGMKMRKIEGMKHRKSWRHYLVLMAPIIDGPPDPSFSVRLVGVEGLDVDADARLSGPRSSSPAALPGLRRGQGRHRAARLVPRHDGIVLARAPVPSFCIDGKLLEGGGAVGVVVVKAEAAAANAKMRKGLRDLIWTERRVLGKVDFDEEGNLGEQVTRDDLNCKVSSFEGAKGRFESI >ORUFI01G27990.1 pep chromosome:OR_W1943:1:24266271:24274440:1 gene:ORUFI01G27990 transcript:ORUFI01G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQHVVEVEQGRAAADGRPSVGPAYRSAFARGGFPPPVAGLDCCYDIFRMAVEKFPDNRMLGHREIVDGKAGAYVWKTYKEVFDLSTKIGNSIRSRGLAKGSRCGIYGANCPEWIITMEACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFTEEKKIEQIFKTFPKSAEFLKTIVSFGKVTQEQKENASKYGLEIYSWDEFLSLADQEFDLPVKAKTDICTIMYTSGTTGDPKGVLISNASIICLVAGVDRLLNCVNEQLEQTDVYMSYLPLAHIFDRVVEELFMFHGASIGFWRGDVKLLVEDIGTLKPTILCAVPRVLDRIFSGLQAKIASGGFIKSTLFNLAYKFKQFRMMKGAKHNEAAAICDKVVFSKVKEGLGGNVRVILSGAAPLATHVEEYLRVPEAEGLTETCAGSFVSLPNQMCMIGTVGPPVPNIDVCLESVPEMNYDALATRPRGEICIRGETVFSGYYKREDLTKDVLIDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIYGLVSAIDSIWVYGNSFESFLVAVVNPNKEALESWAAANGISGDLEALCENPKAKEYILGELSKVGKEKKLKGFEFIKAVHLEPVPFDMDRDLITPTYKKKRPQLLKYYQGTIDNMYRSAK >ORUFI01G27990.2 pep chromosome:OR_W1943:1:24266271:24274440:1 gene:ORUFI01G27990 transcript:ORUFI01G27990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQHVVEVEQGRAAADGRPSVGPAYRSAFARGGFPPPVAGLDCCYDIFRMAVEKFPDNRMLGHREIVDGKAGAYVWKTYKEVFDLSTKIGNSIRSRGLAKGSRCGIYGANCPEWIITMEACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFTEEKKIEQIFKTFPKSAEFLKTIVSFGKVTQEQKENASKYGLEIYSWDEFLSLADQEFDLPVKAKTDICTIMYTSGTTGDPKGVLISNASIICLVAGVDRLLNCVNEQLEQTDVYMSYLPLAHIFDRVVEELFMFHGASIGFWRGDVKLLVEDIGTLKPTILCAVPRVLDRIFSGLQAKIASGGFIKSTLFNLAYKFKQFRMMKGAKHNEAAAICDKVVFSKVKEGLGGNVRVILSGAAPLATHVEEYLRVTCAGSFVSLPNQMCMIGTVGPPVPNIDVCLESVPEMNYDALATRPRGEICIRGETVFSGYYKREDLTKDVLIDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIYGLVSAIDSIWVYGNSFESFLVAVVNPNKEALESWAAANGISGDLEALCENPKAKEYILGELSKVGKEKKLKGFEFIKAVHLEPVPFDMDRDLITPTYKKKRPQLLKYYQGTIDNMYRSAK >ORUFI01G28000.1 pep chromosome:OR_W1943:1:24294584:24298939:1 gene:ORUFI01G28000 transcript:ORUFI01G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVEAAGGRVINWNGYRILGVLATSRSIGDYYLKPYVIAEPEVTVMDRTDKDEFLILASDGLWDVVSNDVACKIARNCLSGRAASKYPESVSGSTAADAAALLVELAISRGSKDNISVVVVELRRLRSRTTASKENGR >ORUFI01G28000.2 pep chromosome:OR_W1943:1:24293770:24294729:1 gene:ORUFI01G28000 transcript:ORUFI01G28000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVREVLAGDRKVGTVSRSARRRRLELRRLGRTASAVAEDDAAKRVRPASDSSSDSSESAKVAPEPTAEVARWPACVSHGAVSVIGRRREMEDAIFVAAPFLAASKEAAVEGSGVAEEEGKEEDEGFFAVYDGHGGSRVAEACRERMHVVLAEEVRVRRLLQGGGGGADVEDEDRARWKEAMAACFTRVDGEVGGAEEADTGEQTRPRWLAYSSLLVAYCGAAADTYVQGYITAPY >ORUFI01G28000.3 pep chromosome:OR_W1943:1:24296434:24298939:1 gene:ORUFI01G28000 transcript:ORUFI01G28000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAANVNETFVDCTQPDRPDEMERVEAAGGRVINWNGYRILGVLATSRSIGDYYLKPYVIAEPEVTVMDRTDKDEFLILASDGLWDVVSNDVACKIARNCLSGRAASKYPESVSGSTAADAAALLVELAISRGSKDNISVVVVELRRLRSRTTASKENGR >ORUFI01G28010.1 pep chromosome:OR_W1943:1:24302768:24303088:-1 gene:ORUFI01G28010 transcript:ORUFI01G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQEAARGAAWDCGSPLYDSFEVARLHHVLESNLMILPPFPPDDDDDAAAQRIMLDDGRRAAEVDDANGAAARKSGGRRRKRRTAGWKAAAAIYRAVACWRRPW >ORUFI01G28020.1 pep chromosome:OR_W1943:1:24310509:24311704:-1 gene:ORUFI01G28020 transcript:ORUFI01G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSDGSRYGGSGRCALVAELVQMQGMVRQLEAEMGTHGGGGGGGGGAAPMAAGADERCRALVSGLLSSIDRSISIARSCCTEAAAAGRLTQQAGAAPESPPSADGSAGSDLGADSRCRANAAGPCKKRKTLPKWSKQVKVRSVQDVGPLDDGFSWRKYGQKDILGAKYPRAYFRCTHRHTQGCHASKQVQRADGDPLLFDVVYHGDHTCAHGVRSAAAAIDGQAAASAEQKHQPTPPQEQNAVSVAFTSMAVVNASTSSPFVSPAMSDCQISYELGGGSMAGVRNVPDVELASKTNSSMGDDMEFMFSLDADFLDTYKYSSYF >ORUFI01G28030.1 pep chromosome:OR_W1943:1:24321811:24337192:-1 gene:ORUFI01G28030 transcript:ORUFI01G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNENLMLSRENDNLKACEQNLGTECSQLKATIAKTKAENSTLTEEKHLLESKLKLLGVEIDDLIAEKEELMNSMNIERGAAAEEKEMLISAQIQGAVVDREEEAFRLQFDMTKKHKLRKSKHLLENKKGVDDNVENILRMIGEENESAETEPSDDSGNAFKKSKLSSLVKGFHEEYEYLHKHYQQLIGKLENVGHSSSDSDSSDSDDEGDSSDNNNLKTKVEDALSEENGWKQKLVEDREAKEESLEAEIEKLKQNTEEQAKEISDLKHLLDKAIKDKEATRVELSSDVANLSSENENLKLLVETTEREAGESHKTITLMENEIRTLSVEKQVTEKERDDLKISIVDLENMNGDLSNQLQETNEKCTFLSSQLEKAQLAEKEVQTLLSEIEKIKNENLMLSRENDNLKACEQNLGTECSQLKATIAETKAENSTLTEEKHLLESKLKLLGVEIDDLIAEKEELMNSMNIERGAAAEEKEMLCLTELEKAQSSVKELESTNGDLNDKIAVLQKEGSSLASELQQLEASFKNLGNDLEQKFEQISVMQKNNEELELANSNLQNELAMVQEQKNEAVASTVELGNKLEEQNQQISNLQEAVENLEAAKTDMYNELTVCQEEKNAALLQVQQLEANLKNLESELEQKQSQVSALEQANEELREKISSLERQLEEARSKLQDEIIKLQGEKERALDNLQQSNTSIKTFEEELEKQREHNSILQLANDDLHKSIANLEKELEDTKVSSHAEILALQEQKNKALSDLQQSEISIENFRMELEQGREKISILDLSNEEMKDNNYRLNQQLEEIRTSLHAEIAALHEEKDAAQLELQQSLASARNLETVLEKQTENLSTLQHANDNLKKNNCTLTEQFEVIKIELQEEVKMAHEEKDATLTQLEKSEDSIKNLESELAQLKEELSVQMESNSSLNKQLEEAILKVSNLTEELETVQAETASKINDMETNTKDLVNTIVLLSSQKNKVEEHMKIITEACMEKMSFMKDFEDQVKQKITDREIAIACLQQSLRGIIGSCQRLQYAYGEVSTKASHLEVLRRNHLVQIDTLENKHTEIMEKHRHLGEENTSANKENRKLQNHVQELEAQLQLARQKLRVTEAESKSKEDSYVMAVEKSHREIQYLEQKIQKYSGQINSLEETLVQIKGNAESGTSTLVDQLDQLESHFNKSFSHFSARSFACSEELKLLRNRLQHHLAEQKELVKKNDVLGMRLREKENVLSEMVRSASEAKKKMAHLEKTIDEKEEEISARVQEKREAIKQLSNAIIYHKNNSDDLIRYIRNHNRRRLPFSADLWVSGADLSSRGAASTRDSAGAPSWVVAANGRSQPRASRALVDDFS >ORUFI01G28030.2 pep chromosome:OR_W1943:1:24321811:24337192:-1 gene:ORUFI01G28030 transcript:ORUFI01G28030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNENLMLSRENDNLKACEQNLGTECSQLKATIAKTKAENSTLTEEKHLLESKLKLLGVEIDDLIAEKEELMNSMNIERGAAAEEKEMLISAQIQGAVVDREEEAFRLQFDMTKKHKLRKSKHLLENKKGVDDNVENILRMIGEENESAETEPSDDSGNAFKKSKLSSLVKGFHEEYEYLHKHYQQLIGKLENVGHSSSDSDSSDSDDEGDSSDNNNLKTKVEDALSEENGWKQKLVEDREAKEESLEAEIEKLKQNTEEQAKEISDLKHLLDKAIKDKEATRVELSSDVANLSSENENLKLLVETTEREAGESHKTITLMENEIRTLSVEKQVTEKERDDLKISIVDLENMNGDLSNQLQETNEKCTFLSSQLEKAQLAEKEVQTLLSEIEKIKNENLMLSRENDNLKACEQNLGTECSQLKATIAETKAENSTLTEEKHLLESKLKLLGVEIDDLIAEKEELMNSMNIERGAAAEEKEMLVSKHSKCLTELEKAQSSVKELESTNGDLNDKIAVLQSEKNSLSSELQQLEASFENLGNDLEQELERISIMHKNNEDLELVNSNLQNDLATVQGQKNEAVASTLELGNKLEEKNQQISNLQEAVENLEAAKTNMYNEVTVHQEKCTFLSSQLEKAQLAEKEVQTLDXKHSKCLTELEKAQSSVKELESTNGDLNDKIAVLQKEGSSLASELQQLEASFKNLGNDLEQKFEQISVMQKNNEELELANSNLQNELAMVQEQKNEAVASTVELGNKLEEQNQQISNLQEAVENLEAAKTDMYNELTVCQEEKNAALLQVQQLEANLKNLESELEQKQSQVSALEQANEELREKISSLERQLEEARSKLQDEIIKLQGEKERALDNLQQSNTSIKTFEEELEKQREHNSILQLANDDLHKSIANLEKELEDTKVSSHAEILALQEQKNKALSDLQQSEISIENFRMELEQGREKISILDLSNEEMKDNNYRLNQQLEEIRTSLHAEIAALHEEKDAAQLELQQSLASARNLETVLEKQTENLSTLQHANDNLKKNNCTLTEQFEVIKIELQEEVKMAHEEKDATLTQLEKSEDSIKNLESELAQLKEELSVQMESNSSLNKQLEEAILKVSNLTEELETVQAETASKINDMETNTKDLVNTIVLLSSQKNKVEEHMKIITEACMEKMSFMKDFEDQVKQKITDREIAIACLQQSLRGIIGSCQRLQYAYGEVSTKASHLEVLRRNHLVQIDTLENKHTEIMEKHRHLGEENTSANKENRKLQNHVQELEAQLQLARQKLRVTEAESKSKEDSYVMAVEKSHREIQYLEQKIQKYSGQINSLEETLVQIKGNAESGTSTLVDQLDQLESHFNKSFSHFSARSFACSEELKLLRNRLQHHLAEQKELVKKNDVLGMRLREKENVLSEMVRSASEAKKKMAHLEKTIDEKEEEISARVQEKREAIKQLSNAIIYHKNNSDDLIRYIRNHNRRRLPFSADLWVSGADLSSRGAASTRDSAGAPSWVVAANGRSQPRASRALVDDFS >ORUFI01G28030.3 pep chromosome:OR_W1943:1:24321811:24337192:-1 gene:ORUFI01G28030 transcript:ORUFI01G28030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNENLMLSRENDNLKACEQNLGTECSQLKATIAKTKAENSTLTEEKHLLESKLKLLGVEIDDLIAEKEELMNSMNIERGAAAEEKEMLCLTELEKAQSSVKELESTNGDLNDKIAVLQKEGSSLASELQQLEASFKNLGNDLEQKFEQISVMQKNNEELELANSNLQNELAMVQEQKNEAVASTVELGNKLEEQNQQISNLQEAVENLEAAKTDMYNELTVCQEEKNAALLQVQQLEANLKNLESELEQKQSQVSALEQANEELREKISSLERQLEEARSKLQDEIIKLQGEKERALDNLQQSNTSIKTFEEELEKQREHNSILQLANDDLHKSIANLEKELEDTKVSSHAEILALQEQKNKALSDLQQSEISIENFRMELEQGREKISILDLSNEEMKDNNYRLNQQLEEIRTSLHAEIAALHEEKDAAQLELQQSLASARNLETVLEKQTENLSTLQHANDNLKKNNCTLTEQFEVIKIELQEEVKMAHEEKDATLTQLEKSEDSIKNLESELAQLKEELSVQMESNSSLNKQLEEAILKVSNLTEELETVQAETASKINDMETNTKDLVNTIVLLSSQKNKVEEHMKIITEACMEKMSFMKDFEDQVKQKITDREIAIACLQQSLRGIIGSCQRLQYAYGEVSTKASHLEVLRRNHLVQIDTLENKHTEIMEKHRHLGEENTSANKENRKLQNHVQELEAQLQLARQKLRVTEAESKSKEDSYVMAVEKSHREIQYLEQKIQKYSGQINSLEETLVQIKGNAESGTSTLVDQLDQLESHFNKSFSHFSARSFACSEELKLLRNRLQHHLAEQKELVKKNDVLGMRLREKENVLSEMVRSASEAKKKMAHLEKTIDEKEEEISARVQEKREAIKQLSNAIIYHKNNSDDLIRYIRNHNRRRLPFSADLWVSGADLSSRGAASTRDSAGAPSWVVAANGRSQPRASRALVDDFS >ORUFI01G28040.1 pep chromosome:OR_W1943:1:24340477:24348419:1 gene:ORUFI01G28040 transcript:ORUFI01G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMATGGLDPAAREAELAHLPGPKLVDHLCTTHRRADYEAVARVLDARDRRLEAALAENEDLRRKCDALLVGQRRPREEEEEEAAGEKPPPGIIAAPEPARRDEEEVEGSSEEGEVRGVDFIDLSSSSDDDDEEKEVEAGRGAGSRVPIIKEAPDDAEGDEDDTLPLSQLWKRRRLGEPGAVKSEKGDGHNPVDSGGNDPPKCTSARTDVPEASTGEMVSRPGDSMVAAFVQGKGTVQPENVGGEMPRVMLHSPAQVIRSTLQKRKFGKKDGSSAIPGDTTSSQARSTSLAPKREGSAAVPGYTTSSQTRNTGLSPKKCRDSTSPDDEMCNALADSVQVGAGSTMPRGPGEQDKGIGAVQRATVLHGTSGIGEQGGKLDSTPTKVRESNRREGGLQNKSIDSKSNDALKHQDKKDGRMVQKGDLSVQSCVPARPIVASVPSVTKNSEKGNSEKGVSQPPKGNDQMNKMSMVESSSKCGYEKVGADVQKCSPLPRQSEEGSVARGVVSFEETGIATVHPLSIRNLSGPELRNLNKGGGELSKKLVVEGSPKYGEKNNDACSEKNSSPLGQSEDVKIIREGASNEEPRVGRLSPSILSNYSTGEQIHSVSKNAELCSPTAKKALFEPGSSCTPLKHTVCPPSSGKSISIQAKGEINLLPSAMSRHWETAAHMIASLRGNMELSMQALCALYRQRKLVIMSTEGQQTGTTGLTKIDAVRAIRLLEFLLDGKLKGPLKRTAKELLSHDATGPTFLEKVALSFSKELFDIYKNKKDPYFC >ORUFI01G28040.2 pep chromosome:OR_W1943:1:24340477:24345163:1 gene:ORUFI01G28040 transcript:ORUFI01G28040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMATGGLDPAAREAELAHLPGPKLVDHLCTTHRRADYEAVARVLDARDRRLEAALAENEDLRRKCDALLVGQRRPREEEEEEAAGEKPPPGIIAAPEPARRDEEEVEGSSEEGEVRGVDFIDLSSSSDDDDEEKEVEAGRGAGSRVPIIKEAPDDAEGDEDDTLPLSQLWKRRRLGEPGAVKSEKGDGHNPVDSGGNDPPKCTSARTDVPEASTGEMVSRPGDSMVAAFVQGKGTVQPENVGGEMPRVMLHSPAQVIRSTLQKRKFGKKDGSSAIPGDTTSSQARSTSLAPKREGSAAVPGYTTSSQTRNTGLSPKKCRDSTSPDDEMCNALADSVQVGAGSTMPRGPGEQDKGIGAVQRATVLHGTSGIGEQGGKLDSTPTKVRESNRREGGLQNKSIDSKSNDALKHQDKKDGRMVQKGDLSVQSCVPARPIVASVPSVTKNSEKGNSEKGVSQPPKGNDQMNKMSMVESSSKCGYEKVGADVQKCSPLPRQSEEGSVARGVVSFEETGIATVHPLSIRNLSGPELRNLNKGGGELSKKLVVEGSPKYGEKNNDACSEKNSSPLGQSEDVKIIREGASNEEPRVGRLSPSILSNYSTGEQIHSVSKNAELCSPTAKKALFEPGSSCTPLKHTVCPPSSGKSISIQAKGEINLLPSAMSRHWETAAHMIASLRGNMELSMQALCALYRQRKLVIMSTEGQQTGTTGLTKIDAVRAIRLLEFLLDGKLKGPLKRTAKELLSHDATGPTFLEKVALSFSKELFDIYKNKKDPYFC >ORUFI01G28050.1 pep chromosome:OR_W1943:1:24347837:24349596:-1 gene:ORUFI01G28050 transcript:ORUFI01G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADGGSNLAVLDALDSARTQMYHMKAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYQPDGSTDSKPGALSKTANNMVIGVALVGTLMGQLVFGYFGDKLGRKRVYGVTLILMAACAIGSGLSFGSSRKAVIGTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGVGIIFAGLVSMIVSSIFLTYNKAPSYKGNHDLSRQMPAADYVWRIVLMIGAFPALATFYWRMKMPETARYTAIIDGNAKQAANDMQKVLSIEIEAEQEKLAKFNAANNYPLLSMEFARRHGLHLIGTTTTWFLLDIAFYSQNLTQKDIFPAMGLISGAAEVNALTEMFQISKASFLVALLGTFPGYWVTVALIDKMGRYMIQLIGFFMMSMFMLAMGILYDYLKTHHFLFGLLYALTFFFANFGPNSTTFVLPAELFPTRVRSTCHAISAAAGKAGAIVAAFGIQKLTYNSQVKSIKKALIILSITNMLGFFFTFLVPETMGRSLEEISGEDGNTGAGGGGAPAAANAGVGVSASDVSRDEKFPASSTEWQTSMHA >ORUFI01G28060.1 pep chromosome:OR_W1943:1:24355962:24356861:-1 gene:ORUFI01G28060 transcript:ORUFI01G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRTVRIFWDDPDLTDSSGEDEGCGGRRVGSMVRELPPAQMPVAQAGFAPAAAAAAAALPEQCSGGDGDMGRRVVGGGCTVGVGRRRLTKGGGPGAPSTKFRGVRRRPWGKFAAEIRDPWRGVRVWLGTFDTAEEAARVYDNAAIQLRGPSATTNFSASTNSAGAQDPVAVGYESGAESSPAVSSPTSVLRKVPSLCSLAEDKDDYEAGPCEPATAAGSNLTVLEEEELGEFVPFEDAPVYGGSSFWDFEPESGFLYAEPSSPETPWDAGATSSGEAQDYFQDLRDLFPLNPLPAIF >ORUFI01G28070.1 pep chromosome:OR_W1943:1:24383544:24384616:-1 gene:ORUFI01G28070 transcript:ORUFI01G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIFPGHFYPRFYALIFGKTERVLSRLKVRNQFWATVLARDYVWKVEDDSGRYGASWAYSSICGSKEVLLSICSVLTDPNPDDPLVPEIAHTYKYETRQ >ORUFI01G28080.1 pep chromosome:OR_W1943:1:24392319:24399352:-1 gene:ORUFI01G28080 transcript:ORUFI01G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGDFRLPPFFNYPPPSSGYLPVRETREKQVQLWKDLILDYCRSQKLYIISLEEDFPLFSNPKIERSLSHEAKEVFLAALVYEGRAEWMDKGHKKCLILWLRIQDWANYILNFVKDNGLEDSVMTVEEIRSGIETRGTELAGIDRGVLMRALKLLEQKGKAAIFKGTSADDEDRKRKSKGELGMASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYENTARTWTQRYAM >ORUFI01G28080.2 pep chromosome:OR_W1943:1:24392319:24399352:-1 gene:ORUFI01G28080 transcript:ORUFI01G28080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGDFRLPPFFNYPPPSSGYLPVRETREKQVQLWKDLILDYCRSQKLYIISLEEDFPLFSNPKIERSLSHEAKEVFLAALDVQNGWTKVKDNGLEDSVMTVEEIRSGIETRGTELAGIDRGVLMRALKLLEQKGKAAIFKGTSADDEDRKRKSKGELGMASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYENTARTWTQRYAM >ORUFI01G28080.3 pep chromosome:OR_W1943:1:24396480:24399352:-1 gene:ORUFI01G28080 transcript:ORUFI01G28080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGDFRLPPFFNYPPPSSGYLPVRETREKQVQLWKDLILDYCRSQKLYIISLEEDFPLFSNPKIERSLSHEAKEVFLAALDVQNGWTKVKDNGLEDSVMTVEEIRSGIETRGTELAGIDRGVLMRALKLLEQKGKAAIFKGTSADDEGVKFSA >ORUFI01G28080.4 pep chromosome:OR_W1943:1:24396480:24399352:-1 gene:ORUFI01G28080 transcript:ORUFI01G28080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGDFRLPPFFNYPPPSLQPVRETREKQVQLWKDLILDYCRSQKLYIISLEEDFPLFSNPKIERSLSHEAKEVFLAALVYEGRAEWMDKGHKKCLILWLRIQDWANYILNFVKDNGLEDSVMTVEEIRSGIETRGTELAGIDRGVLMRALKLLEQKGKAAIFKGTSADDEGVKFSA >ORUFI01G28080.5 pep chromosome:OR_W1943:1:24392319:24395486:-1 gene:ORUFI01G28080 transcript:ORUFI01G28080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKKRKSKGELGMASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYENTARTWTQRYAM >ORUFI01G28090.1 pep chromosome:OR_W1943:1:24401238:24402629:1 gene:ORUFI01G28090 transcript:ORUFI01G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISGEELAVTLAPVAVYWLYSGIYEALGSVRALDRYRLHSRRDEESNNMASKKEVVKGVLLQQAIQVAISLAVLKLTSEKDGGAGDVKAGHASAPAAAPSSSSSAAALLDVAARFGVTMFVLDAWQYFAHRLMHSSRYMYRRFHSWHHRVVAPYAFAAQYGHPVDGVLTEALSGAAAYLASGLPPRAAAFFLAFATVKGIDDHCGLLVPWNPLHAAFANNTAYHDVHHQLSGGRRNFSQPFFVVWDRLLGTHAGYTVTARERNNGGGLEAKPICNDRHVLL >ORUFI01G28100.1 pep chromosome:OR_W1943:1:24402988:24406213:-1 gene:ORUFI01G28100 transcript:ORUFI01G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYSEQRPGFEVTKDWNGADQVMIRSPRGASVLVSLHGGQVVSWRNDRGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNCGTLEQHGFARNRLWAIDDEAPPLNHNDNNGKVSVDLLLKPSEDDLKCWPHCFEFRLRVSLSTDGDLSLVSRVRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVGSPSVIAVLDHEKKRTFIVRKEGLPDVVVWNPWDKKSKTMADFGDEEYKQMLCVDAAAVERAITLKPGEEWTGKLELSAVASTNCSDHLDYPCS >ORUFI01G28110.1 pep chromosome:OR_W1943:1:24411035:24414551:-1 gene:ORUFI01G28110 transcript:ORUFI01G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDEAVVTQKMGKAPSPPKDHPALSPYLDWSNMQAYYGPGILPPTFFSPGIAAGHTPPPFILGPQPLVPSAFGKPYAAIYPPGGAFSHPFMPLMVSPLSMEPAKSVNSKDNCSNKKMKEIDGAAVSTGSGNSEKTSGDCSLEGSSDGNNQKASGTPKKRSIDDRPKSGVETGGALTPNDRPSEQAALPNLCIPVTAIKPDVSTASDFRVIATPVTEVPTKDDKESKRERRKQSNRESARRSRLRKQAETEELARKVELLTAENTSLRREISRLTESSKKLRLENSALMEKLTETGPDEAQEVPPVKTKAQQARGVENFLSMIDKTGTPRSSGHMDHAIATPKLRQLLGSGLATDAVAARKPSNFDLLPLPAFG >ORUFI01G28120.1 pep chromosome:OR_W1943:1:24422687:24427685:1 gene:ORUFI01G28120 transcript:ORUFI01G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVAKQIQQMVRFIRQEAEEKASEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVEVRKKIEYSMQLNASRIKVLQAQDDLVNSMKEDATKQLLRVSHNHHEYKNLLKELVVQGLLRLKEPAVLLRCRKEDHHHVESVLHSAKNEYASKAEVHHPEILVDHDVYLPPSPSSHDSHERFCSGGVVLASRDGKIVCENTLDARLEVVFRKKLPEIRKLLFGQVTA >ORUFI01G28130.1 pep chromosome:OR_W1943:1:24440251:24442157:-1 gene:ORUFI01G28130 transcript:ORUFI01G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYCHDLLTRDRLVAKRLAAAMWSSLVYFKALNCYKGEKLEYVFGPISRQDRTISALRDFGISQYL >ORUFI01G28140.1 pep chromosome:OR_W1943:1:24452550:24453578:1 gene:ORUFI01G28140 transcript:ORUFI01G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNPSVRSNPSLGNGRNGRGAENPHPPNRSAEAPTKKPYAAWAAMMEAAPDEEAGLALPEGERILEVTLISAQGLKPPSGLRRRLLQAYAVAWVDAARRLQTRPDRAGGVDPEWHERLLFRVHEAALADDSRAAVTVEIYAAPAGGWHIGGDSLVGSARFLLGDHGLLSRPVGSPSMFAVGVRRPSGRVHGLLNVAASLVAAPPSPAAAHALRSSPAVSLSGLSTAPIPAGRVLRVLNRSFPTPPPSPTVLTTKKQQIAAKPNKKCADKLDVAVKLNNGADDRSDEEREELREMGGVVFCGPCILPLPRKIHISPSDENLQAFASIFSGGVGITRQSPRH >ORUFI01G28150.1 pep chromosome:OR_W1943:1:24463568:24464788:1 gene:ORUFI01G28150 transcript:ORUFI01G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVMEDNSSWTALSIDHIRISAFNFGIVSEDNDEGKEFLLSLDTVVPDDILERIFTFLPIVSMIRSTAVCKRWHDIIYSSRFLWTHMLPQRPWYFMFTSNESAAGYAYDPILRKWYDLELPCIDKSSCFVSSSCGLVCFMDNDSRNAISVSNPITKDCKRILEPPGAKFPDYSTIAIKVDRSSHNYTITLAKCKQVPEDYVRWDFSLYKYDSQSSSWVTAVEEVFIGWRGGDDSVICDGVLYCLIHSTGILGNIEPRHSIIMYDLIAGPSKASLMQSSIPAPCSLTCGRLLNLREKLVLVGGIAKQNRPDIIKGIGIWELHKKQWQEVGRMPHKLFQGFGEFDDVFASSGTDDLVYIQSYGATALLAFDTKQKQWKWSAKCPVSKRFPLQLFTGFCFEPRLDITT >ORUFI01G28160.1 pep chromosome:OR_W1943:1:24469121:24469546:-1 gene:ORUFI01G28160 transcript:ORUFI01G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPLEPHNRCRTLHALRRPHVVVSAGAVRFLLSQRHCRTGSGSSRSGTTATAATTFSPAANLHRRLRPCIGNAKSTSESVGSIAPLPIDSSRCGPATPCAAPSSRAKGELRWVTTHDVLTAAFPVGRSPLQQRAPATARR >ORUFI01G28170.1 pep chromosome:OR_W1943:1:24469828:24476964:1 gene:ORUFI01G28170 transcript:ORUFI01G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDGLVRGEGIHLLSDPLTLQPTHVDESGARQRSRCFFDGRPVVRRRHGGCVLKRISWTGGGGALSLTLTMAANKLKFSPLLALFLLAGIAVTSRAGDIAVYWGQNGDEGSLADACNSGLYAYVMVAFLSTFGNGQTPVLNLAGHCEPSSGGCTGQSSDIQTCQSLGVKVILSIGGGAGSYGLSSTQDAQDVADYLWNNFLGGSSGSRPLGDAVLDGVDFDIETGNPAHYDELATFLSRYSAQGGGKKVILTAAPQCPYPDASLGPALQTGLFDSVWVQFYNNPPCQYANGDASNLVSAWNTWTGGVSAGSFYVGVPAAEAAAGSGYVAPGDLTSAVLPAVQGNAKYGGIMVWNRFYDVQNNFSNQVKSSV >ORUFI01G28180.1 pep chromosome:OR_W1943:1:24479038:24485885:-1 gene:ORUFI01G28180 transcript:ORUFI01G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVVAAAGTAAAVAAVGRPLGGGGSGADALRPAARLSFAPRWCGGSAGAARARRESAVTSVISRAPRLDAEVLPVSADDDADVKEEENFQHLKAIQQLATSANGVWSKPNVRRKTKIVCTIGPSTNTKEMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQTKDNVIAIMLDTKGPEVRSGDLPQPIMLETGQEFTFTIKRGVGTETCVSVNYDDFVNDVEVGDMLLVDGGMMSLLVKSKTEDSVKCEVIDGGELKSRRHLNVRGKSATLPSITDKDWDDIKFGVENQVDYYAVSFVKDAQVVHELKDYLRSSNADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRMCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGSDGIMLSGETAHGKFPLKAVKVMHTVALRTEATMSGGETPANLGQVFKNHMSEMFAYHSTMMSNTLGTSIVVFTRTGFMAILLSHYRPSGTIFAFTDQERVRQRLALYQGVCPVQMEFSDDAEKTFGDALSYLLKHGMVKEGEEVALVQSGRQPIWRSQSTHNIQVRKV >ORUFI01G28180.2 pep chromosome:OR_W1943:1:24479038:24483555:-1 gene:ORUFI01G28180 transcript:ORUFI01G28180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVDGGMMSLLVKSKTEDSVKCEVIDGGELKSRRHLNVRGKSATLPSITDKDWDDIKFGVENQVDYYAVSFVKDAQVVHELKDYLRSSNADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRMCRSMGKAVIVATNMLERFMAILLSHYRPSGTIFAFTDQERVRQRLALYQGVCPVQMEFSDDAEKTFGDALSYLLKHGMVKEGEEVALVQSGRQPIWRSQSTHNIQVRKV >ORUFI01G28190.1 pep chromosome:OR_W1943:1:24497940:24504874:1 gene:ORUFI01G28190 transcript:ORUFI01G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDQPMKLTMLMLLVPLLLSYGIGSGRCSTVPDNSTDLHALLDFKNAITNDPTGVTSIFWNDSIPYCQWKGITCSQRHPGRVTTLDLTGHGLSGPIAASIGNLTFLRTLDLSNNHFFGQIPPLGNLHKIQGLNLSVNSLEGNVPEALTNCSSLLSLDLSKNILVGKIPAKIGLLPNLSILILSSNNITGSIPSTLRTIPASIGNLSSLSALGLDSNNFTGTIEWVGKLNNLQVLNLGENNFIGSIPPSIGNFTQLTNLYLQNNKFEGPIPSSFGKLSQLSKLNLSYNNLQGNISIGINIPTQPFELSLSSNKLNGQIPDSLSQCQNLATIELDQNFFEGNIPISLGNLSGLILLNLSHNDLSGTIPTSLSDLPLLRRLDLSYNHLYGKIPMHGVFANTTTVSLNSNWGLCGGAMDLNMPPCPPVSRRTERKNHLVKILIPIFGFMSLVLLVYFLFIVRKKARAKYTPFTSFCENFLKVSYNDIAQATRNFSEYNLVGRGSYGSVYRGKLKEQKMEIAVKVFDLEMRGAERSFLSECEALRSIQHRNLLPIITACSTVDSTGNVFKALVYEFMPNGSLDTWLHHKVDGKTPKRLGLNQRISIAVNIADALDYLHYDCGRPTVHCDLKPSNILLDDDMTALLGDFGIARFYADSLSISTGSNNSVGVKGTIGYIAPEYGRGGHVSTSGDVYSFGIVLLEMMTGKRPTDSMFKDGVDIINFVEGNFPQQIFQVIDDHLMEECKDFPQAKQEAENAVYQCLVSVLEVAISCTRPLPNERMNMKQIASKMHAIKTSYDERIMSH >ORUFI01G28200.1 pep chromosome:OR_W1943:1:24521958:24523238:1 gene:ORUFI01G28200 transcript:ORUFI01G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACKLTVAYFFLLMLYGISTLVAVEARPEALPNKKSQQDFPNERLYRAYLVIQRFRSTIISDPKNVTATWTGNDICGETAYLGFHCSTPGRDKKLTVIAVILNGYELHAPTLHGFIDELPDLAFFHAASNNFGADIPRVEGLEYLYKVNVNNNDLPIHPSAMAMGQIGAIADFYCVKGNINLKFFLGTNNKKGGGIIPGATDAKAVLLNSNSLSGPFPENIGFSKLSYLALANNKLTGPIPPSIGNLQDTLQEVLLLNNYLSGCLPHELGMLTKAAVIDAGMNQLTGPIPSSFSCLTSVEQLNLGGNRLYGDIPDALCKLAAGPAGRLANLTLSSNYFTSVGPACLSLIKDGVLNVKNNCIPGFANQRRPAECASFLSQPKTCPAASAATHVACPAAAANAAAAPADRVAKDYSSYVTYATLHK >ORUFI01G28210.1 pep chromosome:OR_W1943:1:24544528:24546656:-1 gene:ORUFI01G28210 transcript:ORUFI01G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPQPPPEAPSHRTAWQEFNHYDEPRPHCAVTSSKWDSRTAMVFTAFANCSHRVGKARQTLHSRTVFEYTMLPIKEICHKGDSYS >ORUFI01G28220.1 pep chromosome:OR_W1943:1:24552161:24553234:-1 gene:ORUFI01G28220 transcript:ORUFI01G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSGVGDEEAEAEAAAAAEVTGRGGARGDVGGGGGGAGEAAAAVRTGGERRRRCGRVGGVEIPGGVGSQLAANSEVNDSVVPANSEVRLRFQVACLSGSVSTGMPALKGHSRGLLFSPQIPNLSSPKLSPTPMAGRYYTPQTHPPPPPENTLDVFMDGDTIPIHTTITSSHSLAAQFINEIARERPQGGLIVGIDTEWRTDHLPNGKTCYKVAVLQLCVGRRCLLFQIYQAGNMVPHELAEFLADPSVRFVGVAVNNDMQRLANDCNLRVACAVDLRYAAAAVLGQPELARAGLKRLALTVMGAHMEKEKNITKSRWGEPTLTWEQVNYACIDAYVSYEIGRRLLSGEPILAAPL >ORUFI01G28230.1 pep chromosome:OR_W1943:1:24555769:24556134:1 gene:ORUFI01G28230 transcript:ORUFI01G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGSEEMAVGMRMRRRFTPIVWDPRGSRHWTLGGGDSSGALHGRKMVQWWRSVWGGGRPDGGSKVEGGGGRCGVHEVTGRRGAWLGLGSLPPTDMEGFFLQRRFLKYREEISLIKGSDGS >ORUFI01G28240.1 pep chromosome:OR_W1943:1:24562610:24563839:-1 gene:ORUFI01G28240 transcript:ORUFI01G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPSRRAWSDGLPPELLAIIVLQLNCLADRACFSAVCRAWRDAAPYADAPQRGVPWLLLPARDAPSFFSLHSGATRRMRLPDGVRGTRFCGVHDGGWAAVAADTWRGFAVVNLFTGVRLPLPEKLRVEVPPGGNHDQFALAAGFTRHHMLIRTVVFSCPPTSPYCIAAAHVSSASNIAFCQPASLSTSWTAYRRDMDIIQDLIFHRGALLQGFHVLTNKEEVLVYAPTAPHRPGAPLKLACTRYSLRPRDDYQPDDALPPTFIATRYLAESRGKLLMVLRHYTGNPVVRRRTRMFRIFELTFGEPAEPRRAATPCWWVEIPELTGRALFLGRSCSRSVDVAQFPMLQEDTIYFLDDANLDLSMVLNNGSTYCNVDMGMYRKGEKIRPGARQFPREFTADCSPPIWLVP >ORUFI01G28250.1 pep chromosome:OR_W1943:1:24581067:24581666:-1 gene:ORUFI01G28250 transcript:ORUFI01G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADTDTYVTEVAFGDAVITTTVTSSGAAVEGWLREVRAAYGPGLIVGLDVEWRPSYGPAQNPVALLQLCVDRRCLIFQLLYADYVPGSLRRFLAGAADCFVGVGVDKDAERLSDDHGLTVANTADLRPLAAQRLGRPELSQAGLQAVVRAVMGADLVKPQRVTMSRWDASCLSNEQIRYACIDAYVSFEVGRRLLRA >ORUFI01G28260.1 pep chromosome:OR_W1943:1:24584271:24586862:1 gene:ORUFI01G28260 transcript:ORUFI01G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQAASPATAASSPVAPSPPPPPRAALSPCPRRRELLLLSASLPLPLPLLAPAAASARGLFRMPPPRLANRYFLVRAGESVYEGQGVVRTNPVSKTSVDSGLSPAGLRQAARAALELQRLGACEDDCWIWPSITQRAYQAAEIIAAANEINRSHIVPEYSFLDARGLGAFEGKSLETLPEVYASDSISPDIKPPPISDGTPNESVADVFVRVTQLMSILETQYSGDTVVIVSPDSDNLSILQAGLIGLDLRRHSSLFFQPGEVRPVDPASIPEYKQPASTVFKCTNPPSCK >ORUFI01G28270.1 pep chromosome:OR_W1943:1:24588229:24590289:1 gene:ORUFI01G28270 transcript:ORUFI01G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIPSPPPEPATDGDDAQSHPPPPTPHPATDPPPISPQNPTPPPPPLPASAAAPTTPSPNHSGDPSRPIPSQAPAPPPPPTADPSPPLPHDNRTPQPRAAPPPAPAPDQPAPPSPPPSLPPSPPAPGSPESMLEREASEADGEPENMTLALALAETETEKAMPPTPPKAAEAAESPTGSPQKESTLTIAKLLSGEDHAGTETKPVPEKAAPAATTTTAAVASVGGGGGGGGGGVGSKRWLLGGVPEKVRRSELRRAELGFRVLAAVFCLVSLSVMAADTTPGWSGDSFRRYNEYRYTLSASVLAFTYSGFQLVVEVHYFVTGRRIIRDPSGKYFNLAMDQARTSALNILAYLLLSASSAALSRNDVWVSRFGVDQFAKLINASASMAFLAFVALGFSSIISAYHVFSSVF >ORUFI01G28280.1 pep chromosome:OR_W1943:1:24592563:24600478:1 gene:ORUFI01G28280 transcript:ORUFI01G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKPPPSALVDNHVVPGDVVLDLAEMTNQTIKLGAGLRQDCDTIQATSAGRLRLSKPSKYWVESSQKRYIPSVEDTVLGVVVDTKPDNFLVDIKGPNLAFLPVLAFEGGTRRNIPKFEIGTLIYARVVKANSIMNPELSCMDATGKAAEFGQLKDGYMFDTSTGLSRIIFEWHRATKYGGKSLGETILMVEVWCQDLAMGGRRVEINANKCGTHPTPSKPYYVDKIDTNEQKVVHYQVNCRPARDRNTGSYSVKHHYPSPIVSWIEDLSSFGNVSFSQDPEYADEQSRSSVGQSSASVNLHDMQISVRLTDEFIELAKENTGNNVETCGILGASFRDGTYYVTMLIIPKQEATAHSCQAVSEEEIHAILSEQSLYPAGWIHTHPSQTCFLSSIDLHTQYSYQVMLPEAVAIVIAPTDPTRQVFYGRNCGIFRLTDPGGMGVLRECSESGFHAHRETTDGGPIYETCSKVIFNPNLRFEIVDLRSAP >ORUFI01G28290.1 pep chromosome:OR_W1943:1:24602424:24603218:-1 gene:ORUFI01G28290 transcript:ORUFI01G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRDRDHRGGADEDAPGPAAAAVAAVDGEDEVEDDGGGFSFPVPPFAADAFIVPVYPVFGRPMSPPPREAVVEEEEEDEPETATLRVPLGRLLLEEREFRARQRESSGTSPVQPQRRRPDDEGELEGVPPESYCLWAPGGQPSTTPASPRRCRKSGSTGSVLRWRRISERLVRRSHSDGKEKFVFLNAPGGGAPSPHPPKDNDDANGGGSVGKGDAGRHGWSYYSKGGGGGSGGRRRSYLPYKQELVGLFANVSGLRRSYHPF >ORUFI01G28300.1 pep chromosome:OR_W1943:1:24607029:24607682:-1 gene:ORUFI01G28300 transcript:ORUFI01G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQQQRRRHEALTGRRRGGGGGMSRRHGRFRFATGGGDGDGDEECAGVAVVDQADCTAQSCRSCVAVSLADCIALGCCPCAVVSLLGLAFVKLPLAVARRCARRLRRRQGRLRQKKRVRDLDAAKNAAGGGHQEPLPGGAAAASKGEDGDVVVVAAAAAASPGSDDAENVWLELYQVGRWGFGRLSVSAANPPVRPSYVVATARNADCAADGDVS >ORUFI01G28310.1 pep chromosome:OR_W1943:1:24613512:24617591:1 gene:ORUFI01G28310 transcript:ORUFI01G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNNVFEGVMDNLDVLCIRFHFGGEFDYDGYSVVYNGGQTEMSYIERDKVALPEIRGYLGDHVSLSEEDEVIFHWLFPGAELNNGLKALSDDKECLYMAQCIIMGDVAEIYAEILKHNEDDNVVLSNGVSDDSDVEDDIEEEYDSHSDAEDSSETDGIAEDDEERSEDDEEAQENREHANKVQECSRPQKRFRPSVAAGTSQQKVHIDLPSQSSSLSITKKGQTMKVARTNTTKK >ORUFI01G28320.1 pep chromosome:OR_W1943:1:24625166:24625615:1 gene:ORUFI01G28320 transcript:ORUFI01G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLFLTLSFFSIFSLSLCIFINEKLRTGASRSMVVPKKTVGDELRGRREDLGGVAGELLSLMLHHHLISPPRTPWQWPRRPQARASGPQADLGGMPPSFYGYCLVVAFSLPEPWWLPRRLRADLSSVASELMSLMVQHCLLFPLLGP >ORUFI01G28330.1 pep chromosome:OR_W1943:1:24630854:24631800:1 gene:ORUFI01G28330 transcript:ORUFI01G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTCELRMLIRLVGLAFIVKWSKILVLQLRDTLLNLELKGVEDMNHKEEVILVIVMVAVGLLLVACLVLNFNWKYCCKDGKNKHSKAIGTHGDGHISISSTDGSVRNAPNLV >ORUFI01G28340.1 pep chromosome:OR_W1943:1:24634870:24635427:1 gene:ORUFI01G28340 transcript:ORUFI01G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALSSAFSLLSLPSSSSPAAAAAAAPRSFAVPSRARPRRAVAVVASTATESPKVLELGDAIAGLTLEEARGLVDHLQERLGVSAAAFAPAAVVAAPGAGGAGAAADEAPAEKTEFDVVIEEVPSSARIASIKVVRALTNLALKEAKDLIEGLPKKVKEGVSKDEAEDAKKQLEEVGAKVSIA >ORUFI01G28350.1 pep chromosome:OR_W1943:1:24640815:24643378:-1 gene:ORUFI01G28350 transcript:ORUFI01G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGGRRLLAPGLRRVLGGGAAAPVAVGGAKAYHERVVDHYENPRNVGSFENDDPSVGTGLVGAPACGDVMKLQIRVDESSGKIVDACFKTFGCGSAIASSSVATEWVKGKQMEEVVTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKLAQKGEEKAAEA >ORUFI01G28360.1 pep chromosome:OR_W1943:1:24644298:24647528:-1 gene:ORUFI01G28360 transcript:ORUFI01G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGRRLARVTAHLLPSSLPLPLASAPTLAPSPAASPASDSYRRVHGDVPSEPPEWRAATDESGKGFVDILYDKAVGEGIAKITINRPDRRNAFRPLTVKELMRAFEDARDDSSIGVIILTGKGTQSFCSGGDQALRDADGYVDFDSFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGTSIMSRLVGPKKAREMWFLSRFYTADEADRMGLVNVVVPLADLERETVKWCRKILRNSPTAIRVLKSALNAADDGHAGLQELGGNATLIFYGTEEAKEGKNAYMERRRPDFSKFPRKP >ORUFI01G28370.1 pep chromosome:OR_W1943:1:24648964:24649853:-1 gene:ORUFI01G28370 transcript:ORUFI01G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEAAPCTCGLLYGSCGGGCSLLFPAGAPGDHHHHHYYKQYCGAGDGEYPDVPYGGGGSVDCTLSLGTPSTRRAEAAVAGLPWDQSSLQPSCNGRQEMSGAAAPRTEPSGGAGAAAASAPRRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAAVAPTALASDGGVEYAYGYPRQQQQWGCYGPAVAKAASFGMFGDAAGEDGPCLPWGLGVMPSSPAFGAVREMPSLFQYY >ORUFI01G28380.1 pep chromosome:OR_W1943:1:24654433:24659617:1 gene:ORUFI01G28380 transcript:ORUFI01G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRGGGGGGWPLGGRVGKLPAASRRGDRRATPRTGKTRRDFCCFDMISVLLGWQLFIGHWGAGCTRVHEDWEASEILMLSVEDPQEGKDAGG >ORUFI01G28390.1 pep chromosome:OR_W1943:1:24655391:24657181:-1 gene:ORUFI01G28390 transcript:ORUFI01G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSSSSSRNSNSVNMDSEWSKKENKLFEEALAYYGEGAPDLFHKVSRAMGGTKTADEVRRHYEILEDDLKLIEARRVPFPKYNTQGAWN >ORUFI01G28400.1 pep chromosome:OR_W1943:1:24660622:24662030:1 gene:ORUFI01G28400 transcript:ORUFI01G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELREIFQRPWKILLRLQSSKSSMVSTLQGDENEMTTWRPSCPADCLVKLVQLGVLVMAWRMQLCLRLYEGPGTSSLRCGRSLTRLASYPVSSFILCPLLEKLFLTPLLTPHYSPLLPLLIVARSDESGHDDGGGSNHNDTEGAAMGEATTTLEEQHGLEVASVALRWAYPALDGRIQRWRRRGWEGRWWRSLIWRSGDGATIMWLHDGGVGLGSTEASATTTDCGLVAGPRQQWQTAVTMMMARTDGS >ORUFI01G28410.1 pep chromosome:OR_W1943:1:24666156:24668416:1 gene:ORUFI01G28410 transcript:ORUFI01G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRMELQEGVVLGGGISFSTGPDDMRLILTLAAAGKRYLRAHRVTVLDLTDACSWRPIMCSSPPPPAAPHRCRTLRPPGPRQRPPRCRHLVLGSGIARCSFPVKDGRGDIPVDSEAPVVTSSISPEFADPVFEDAHRVTGDSDSDQPVPTRCKQARDSVRRAKGKGTT >ORUFI01G28420.1 pep chromosome:OR_W1943:1:24669218:24671284:1 gene:ORUFI01G28420 transcript:ORUFI01G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHMRARTVAGRCPGRPGGILGFTRSALSASTRHLSTPSYQCSTVKSIGSNGLKDMPFTSGGCYVHEAGTSDPLTSEPS >ORUFI01G28430.1 pep chromosome:OR_W1943:1:24671512:24676506:1 gene:ORUFI01G28430 transcript:ORUFI01G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGAHRAALLVLACVVVVVIHGLGEAEALGGGGGFVRAQGTRFVLDGNPYYANGFNAYWLMLLAADPSQRGKVTAALGEAAGHGLTVARTWAFSDGGGGNALQLCVGLDFVLSEARKYGIKVILSLVDNYDSFGGRKQYVNWARAQGQGIGSDDEFFTNPVVKGFYKNHVKTVLTRKNTITGVAYRDDPTILAWELMNEPRCQSDLSGRTVQSWITEMAAHVKSIDRNHMLEVGLEGFYGASSPSRIAAVNPSGYQLGTDFIANNQVPGIDFATVHSYPDQWLSGKDDQAQLGFMGRWLDAHIADAQAVLRKPLLIAEFGKSWKDPGYSSGQRDALYGTVYAKIYESARRGGATVGGLFWQLLVPGMDSYRDGYEVVFGETPSTTGVITTNSRRLRFLSKAFARARQAQPARGKGRHNGGK >ORUFI01G28430.2 pep chromosome:OR_W1943:1:24671512:24675246:1 gene:ORUFI01G28430 transcript:ORUFI01G28430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGAHRAALLVLACVVVVVIHGLGEAEALGGGGGFVRAQGTRFVLDGNPYYANGFNAYWLMLLAADPSQRGKVTAALGEAAGHGLTVARTWAFSDGGGGNALQLKYGIKVILSLVDNYDSFGGRKQYVNWARAQGQGIGSDDEFFTNPVVKGFYKNHVKTVLTRKNTITGVAYRDDPTILAWELMNEPRCQSDLSGRTVQSWITEMAAHVKSIDRNHMLEVGLEGFYGASSPSRIAAVNPSGYQLGTDFIANNQVPGIDFATVVGQGRPGAAGVHGERDALYGTVYAKIYESARRGGATVGGLFWQLLVPGMDSYRDGYEVVFGETPSTTGVITTNSRRLRFLSKAFARARQAQPARGKGRHNGGK >ORUFI01G28430.3 pep chromosome:OR_W1943:1:24671512:24676506:1 gene:ORUFI01G28430 transcript:ORUFI01G28430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGAHRAALLVLACVVVVVIHGLGEAEALGGGGGFVRAQGTRFVLDGNPYYANGFNAYWLMLLAADPSQRGKVTAALGEAAGHGLTVARTWAFSDGGGGNALQLKYGIKVILSLVDNYDSFGGRKQYVNWARAQGQGIGSDDEFFTNPVVKGFYKNHVKTVLTRKNTITGVAYRDDPTILAWELMNEPRCQSDLSGRTVQSWITEMAAHVKSIDRNHMLEVGLEGFYGASSPSRIAAVNPSGYQLGTDFIANNQVPGIDFATVHSYPDQWLSGKDDQAQLGFMGRWLDAHIADAQAVLRKPLLIAEFGKSWKDPGYSSGQRDALYGTVYAKIYESARRGGATVGGLFWQLLVPGMDSYRDGYEVVFGETPSTTGVITTNSRRLRFLSKAFARARQAQPARGKGRHNGGK >ORUFI01G28440.1 pep chromosome:OR_W1943:1:24675587:24681398:-1 gene:ORUFI01G28440 transcript:ORUFI01G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRVGLLLLLLLAAVLLQPLLAAAAAEGVVRIALKKRQVDETGRVGGHLAGEDAQRLLARRHGFLTNDAARAASRKARAEAEGDIVALKNYLNAQYYGEIAIGTPPQMFTVIFDTGSSNLWVPSSKCHLSIACYFHSRYKAGQSSTYKKNGKPASIHYGTGAISGYFSQDSVKVGDVAVKNQLRGSQVLHSWLQSSMAFLGLDLRKSRFPVTDIAKQTHRYNMVRQGLVVDPVFSFWFNRHADEGQGGEIVFGGIDPNHYKGNHTYVPVTRKGYWQVDLILKLVGILCSWVCSNSRFWNFTAYWSHGMAIITQINEKIGATGVVSQECKAVVSQYGQQILDQLRAETKPAKVCSSVGLCTFDGTHGVSAGIRSVVDDEVGKSSGPFSSAMCNACETAVVWMHTQLAQNQTQDLVLQYIDQLCDRLPSPMGESSVDCSSLASMPDIAFTIGGNKFVLKPEQYILKVGEGTATQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGNLKVGFAEAA >ORUFI01G28450.1 pep chromosome:OR_W1943:1:24685008:24689953:1 gene:ORUFI01G28450 transcript:ORUFI01G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGVAMAHARLLLARYYAMAAPSWPTVSKNLPLLGHGRSHHPMYASQDEIKMSSRRWCHGSPDNQEKDRLSRAVVGMSSSTAAIGDPELAKKICVPCNSKDIHAMPEDSAKKMLEQVGGWELATEGDILKLHRAWKVKNFVKGLEFLQLVAAVAEEEGHHPDLHLVGWNNVKIDVWTHSVRGLTDNDFILAAKINNLNLEGLLSKKATVQK >ORUFI01G28450.2 pep chromosome:OR_W1943:1:24685008:24689953:1 gene:ORUFI01G28450 transcript:ORUFI01G28450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGVAMAHARLLLARYYAMAAPSWPTVSKNLPLLGHGRSHHPMYASQDEIKMSSRRWCHGSPDNQELAKKICVPCNSKDIHAMPEDSAKKMLEQVGGWELATEGDILKLHRAWKVKNFVKGLEFLQLVAAVAEEEGHHPDLHLVGWNNVKIDVWTHSVRGLTDNDFILAAKINNLNLEGLLSKKATVQK >ORUFI01G28460.1 pep chromosome:OR_W1943:1:24692020:24698270:-1 gene:ORUFI01G28460 transcript:ORUFI01G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGGGGGGGRGAAAGPVPGSARKLVQGLKEIVNRPDAEIYAALRDCGMDPDEAVSRLLSQDTFQEVKSKRDKKKEVNKEALEPKSRGAVNSNSRATRAGADRSGRSSSVQSGSSGADNMSSRSSILGPGVLATNSTQKQTVPSSSVNKDVLHDGSFGAMQPSSGFQNSWCGVPGQMSMADIVKMGRPQVRSSSKPVASTDKAYSGQTSSFSSVVNQNPNKSASTAPPTTFEQRFPVLQDPIPQVKNSSHASADNHETQESDWFQQDGTLPGSQLTVPETSRDISLPVASLESSTLVADDANSYNNSHVGESSSAIPSDRHLEIIDGNNHFNNGLLHNSSAYQSRGNHYDDNDDDDDEAEVSNVDVESASANIQHLSLQTEDLVDSKSTEDNPAVIIPDHLQLANADCGHLSFGSFGSGAFSGLLPSKVHKNGVEEVPVPDESPSVDQEDVRNQDNNVALNSSTNGDVEARIGTNMENTDEPSVSQPDILTQGAVDVSSLQYNLPSVSDHVYSNTTQPSTMESSQGDIQVQHLPQFSSLLQANTLHNNLLGSNLPNLRDFDFSPLLSTQLATKYNPPVPTTSLPAISMQETLKPGGFSNAQPTQNLPSASIPSGPPLPQQLSVHPYPQPTLPLGPFSNLVGYPYLPQNYYLPSAAFQQSFSSNGPFHQSAATTGVPGVSMKYSMPQYKSSLPATSPPQPSSVVSGFGGFGSSNNIPGNFGLNQNVPSAPTTMGFEEALSTQFKDNSQYIALQQNDSSAMWLHGAAGSRAVSAVPPGNFYGFQGQNQPGGFRQGQQPSQYGGLGYSSFYQSQAGLPQEHPQNLTEGTLNSSQTTPSQPSHQIWQHIY >ORUFI01G28470.1 pep chromosome:OR_W1943:1:24702182:24703254:-1 gene:ORUFI01G28470 transcript:ORUFI01G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSPRRPSLRTSARTPPQLKLSRRLLPPPVGTNAVRLPAAPLPSPLSALPPHPFSEHRSPALPPHTPARPRHGSFRVPSRHRRPASSAPLLLPRVVPSLLAEEVVGLALPILHRVDVGERRGVLEHGVEAAESRGVVLAEERGWLAQHVQAPDDLLGEERRVLLLLVTVVFGPRLIAVGSPWRGARRPELLLLEEVALSFPDPPRGGVVLDLGSATCDGREEDGEGRKKTERKRMDGKGDGNGMVLTS >ORUFI01G28480.1 pep chromosome:OR_W1943:1:24705052:24710698:1 gene:ORUFI01G28480 transcript:ORUFI01G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPYAPSPRPPQRSLATRRTKPGPPCALPFPDALRAAAAATAAAMSISLSLLTGDAVGAERPRGPELCRDGAAAETKEEVRRSEVVTNEQLVEEAWEVVNEGFLPDAGSRPWSPEMWMKKKQDIVQTSIRSRSRAHDIIQKMLANLGDPYTRFLTPSEFSKMSKYDMTGIGLNLREIPDGNGSSKLMVLGLILDGPAHSAGVRQGDELLSVNGIDVMGKSAFDVSSMLQGPKDTFVTIKVKHGNCGPVEPLKVQRQLVARTPVFYRLEKRENEDSAIGYIHIKEFNAVAKKDLVSALKRLQNSGASYFVLDLRDNLGGLVQAGIETAKLFLNKGDTLKVTVHGYYIKMKVIYTAGRDRQVQNTIVAEREPLVTTPLMVLVNNRTASASEIVASALHDNCKAVLVGEKTFGKGLIQSVFELHDGSGIVVTVGKYVTPNHKDINGNGIEPDYRRIPDFNEATEYLSRCRSKELS >ORUFI01G28490.1 pep chromosome:OR_W1943:1:24712634:24718896:1 gene:ORUFI01G28490 transcript:ORUFI01G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEGQQPHQVSGVSRAQDGVAKVSLGKEHVPGSELWTDGLICAFELIKGHKKLVQHKSWPTIDSMQEKEVPMHMKRHISRNGHHVATMKPEECDVVENPRQTEFANDPSLFKDRPVHVRAILDHKWVPIGWSRIAELVQRVQSDASWESEPAEMTDSEDDYTVADVAAPYWQRPVGPTWWCHVTAGHPSVDAWLNSAHWMHPAIRTALRDESRLISDRMKYLLYEVPVRVAGGLLFELLGQSVGDPNREEEDIPIVLRSWQAQNFLVTAMHVKGPSSNINVLGVTEVQELLSAGGSQTPRSAHEVIAHLIGRLSRWDDRLFRKYVFGEADEIELKFVNRRNHEDLNLVSIILNQEIRRLATQVIRVKWSLHAREEIIIELLRHLRGNTTRVILDSIRKDTREMLEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRITHNLAIFGGGGMVLSIITGLFGINVDGIPGAQNTPYAFGLFAGLLFFVGFVLIGVGILYLGLQNPVTNEKVKVRKLELQDLVSAFQHEAEQHGKVREGLSRHSSSPKSSSASNVDYVLIS >ORUFI01G28500.1 pep chromosome:OR_W1943:1:24720408:24723298:-1 gene:ORUFI01G28500 transcript:ORUFI01G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLANGDELKALQRIDMSSDSNSISSALSQTTPVLRLRVWVLIAIGIGILMAILFIIALWLSMRRKKKTAVGFDGRSHMEIPIVSKDINVDRVDAQSLHDSGTPIMPVQDKYEQMKGVSHLAESRSVDVDAFSQCSSVYNIEKAGSSYSEDYSSSGPGRKGSSSYGYASASPLVGLPELSHLGWGHWFTLRDLEYATNRFAKSNVLGEGGYGIVYKGRLMNGTEVAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMSGGILTWENRMKILLGTAKALAYLHEAIDPKVVHRDIKSSNILIDDEFNSKVSDFGLAKLLNSDSSYINTRVMGTYGYVAPEYANSGMLNEKSDIYSFGVVLLESVTARDPVDYSKPADETNLVEWLKMMISSKRAEEVVDPNLEIKPPKRALKRAILVGLKCVDPDADKRPKMSHVVQMLEAVQNAYRQDQKRPSSQMGSVDVESQQSAEEISNSADS >ORUFI01G28510.1 pep chromosome:OR_W1943:1:24731426:24732737:1 gene:ORUFI01G28510 transcript:ORUFI01G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACISAYSGASQREKRPSKKPKWGKGLFLSFPLGGSGGNGGRRRQRPREPGAFLLRRGSDRGRGGGGGGEAGAREAGEGDMSDFDLDEESGLAPMRFTDDTAYTPPARALRFLCDMINVLAIRVIVPSYPDFDFGCVMTTTTMIPVDHLDLKCVPLFRRSRQDAQLIASEDESLTLTGPHRGLVLYDSV >ORUFI01G28510.2 pep chromosome:OR_W1943:1:24732176:24732724:1 gene:ORUFI01G28510 transcript:ORUFI01G28510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDRRGHADKQLSKGLLVLDGVQPAPKIEQYADCGSNFKVSLFLAAASGSAPCTSPQRSPESGRTFLWRDHSFAPAPMLNAPSKIALCFMIAIFQQLVWWLPMAMDILSHYCAVRTIDFTLAVNGGEEAQIVCGVTSLLVKVNWSLMSPVIDLK >ORUFI01G28520.1 pep chromosome:OR_W1943:1:24734290:24738442:1 gene:ORUFI01G28520 transcript:ORUFI01G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMPSDEATGQVRLEGDVSDKKEEKTQEQSEASGMPSPQEEEAAIKKKYGGILPKRTPHITKDHDRAYFDSADWALGKQGGSHKPKGPLEALRPKLQPTQQHARARRTPYASADNDECMNLPPEDLIQNGDPIEDKNKEEQ >ORUFI01G28520.2 pep chromosome:OR_W1943:1:24734772:24738442:1 gene:ORUFI01G28520 transcript:ORUFI01G28520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMPSDEATGQVRLEGDVSDKKEEKTQEQSEASGMPSPQEEEAAIKKKYGGILPKRTPHITKDHDRAYFDSADWALGKQGGSHKPKGPLEALRPKLQPTQQHARARRTPYASADNDECMNLPPEDLIQNGDPIEDKNKEEQ >ORUFI01G28520.3 pep chromosome:OR_W1943:1:24734290:24738442:1 gene:ORUFI01G28520 transcript:ORUFI01G28520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMPSDEATGQVRLEGDVSDKKEEKTQEQSEASGMPSPQEEEAAIKKKYGGILPKRTPHITKDHDRAYFDSADWALGKQGGSHKPKGPLEALRPKLQPTQQHARARRTPYASADNDECMNLPPEDLIQNGDPIEDKNKEEQ >ORUFI01G28530.1 pep chromosome:OR_W1943:1:24741086:24742102:1 gene:ORUFI01G28530 transcript:ORUFI01G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFEGGGRSERRRRRGRRRAGRAGDDSRWTWWRQAAQGAGLAAAGGGGDWRRGVGRGGEGGGDGVCDLGHHRIHVDHCWIWSQVREGRRVAAGMQWRRQATGMQXATARGCAAGGGRDAGESLAVPLASSMMTTLLALFPFLKALSWCSAICPTNLQVKTLLRLRTSGDGVTRRVLLGGVASGKFLTSMTIDGPFGSKGFFPWHSARPKPLGSASFYGGRHTLRLLLRMKSELLAVGVRRRLATMTCCSLFQRVGAGHVEEVALWWLG >ORUFI01G28540.1 pep chromosome:OR_W1943:1:24748340:24751065:1 gene:ORUFI01G28540 transcript:ORUFI01G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLYVWINDGYPKDSKFNPSYTRMFE >ORUFI01G28550.1 pep chromosome:OR_W1943:1:24760846:24770730:1 gene:ORUFI01G28550 transcript:ORUFI01G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTMQTEQQQQQRRKGSPEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFTKYSPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSMDTVTRIRNEKARRYLSSMRKKQPVPFSERFPKADPAALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKAEREPSCQPITKMEFEFERRKVTKEDVKELIFREILEYHPQLLKDYMNGTEKTNFLYPSALDNFRRQFANLEENGGKNGDAVPSDRKHVSLPRTTTVHSAPIPPKDHQNITSQVPQRIPGRTGRGACPVIPFENLSAMGPYNQRRVVRNPVLPPATTNLSAYAYHRKSDSSERELQQELEKDRMRYQPSEHFMDAKVVSHMSHDLRASSYYVSKAKSDVADRAALQSNMMQGIGPFNGIAAVGGNYNKVSTVQYGVSRMY >ORUFI01G28550.2 pep chromosome:OR_W1943:1:24761070:24770730:1 gene:ORUFI01G28550 transcript:ORUFI01G28550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTMQTEQQQQQRRKGSPEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFTKYSPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSMDTVTRIRNEKARRYLSSMRKKQPVPFSERFPKADPAALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKAEREPSCQPITKMEFEFERRKVTKEDVKELIFREILEYHPQLLKDYMNGTEKTNFLYPSALDNFRRQFANLEENGGKNGDAVPSDRKHVSLPRTTTVHSAPIPPKDHQNITSQVPQRIPGRTGRGACPVIPFENLSAMGPYNQRRVVRNPVLPPATTNLSAYAYHRKSDSSERELQQELEKDRMRYQPSEHFMDAKVVSHMSHDLRASSYYVSKAKSDVADRAALQSNMMQGIGPFNGIAAVGGNYNKVSTVQYGVSRMY >ORUFI01G28560.1 pep chromosome:OR_W1943:1:24768479:24773513:-1 gene:ORUFI01G28560 transcript:ORUFI01G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPLTTPASKAPPEARRLRVAFPPERAAGEKGGRRGRRGAPLLSLCLSSATSRGSLLSGGGRARADGRRGRFGGWGRDSGLNSLELTHSSTTLLKMPALRMKRNFDDDVFRDEFDSKPTKSMKISHFQVSELEQSAVLNLPYKDPHDELDLTTQLAGQDIMIMEAASLDDALGGASLLKDLISEVAVSPNMENDMLVNYEDIRSQLNVVNYFDKDEDANSASYNSCTVNCHEESWGSNEGCSLLDIYNPDDAFSFLLDTPSEFLGSFTALYDEVVPIDSLVNISGRCGVFPLTESTTEASIGNEPCRSEGDMLFSNSEVLEWLNPHLAEEDLPNLVDFTELNSHAVSKEQGARKVTLVLDLDETLVHSTTEQCDDYDFTFPVFFDMKEHMVYVRKRPHLHMFLQKMAEMFEVVIFTASQSVYADQLLDILDPEKKLFSRRYFRESCVFTNTSYTKNLTVVGVDLAKVVIIDNTPQLQVNNGIPIESWFSDDSDEALPQLIPFLETLASADDVRPIIAKKFGDKKDIAEIFQ >ORUFI01G28570.1 pep chromosome:OR_W1943:1:24775188:24780895:1 gene:ORUFI01G28570 transcript:ORUFI01G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLDARLRLPLAGLSPATTSFPGSTPKPGSLSFALRPPSASLSSSASAPAAAAPPIVVVGSANADIYVEVDRLPLVGETVAARAGRSLAGGKGANQAACGGRLAAGPTYLVARVGDDANGRLLEGALADAGGVRLDRVARAPGAPSGHAVVMLMPGGQNSIIIVGGANMEGWASGVGSDDLDLIRRAGVLLLQREIPDWVNVQVAQAAKGAGVPVILDAGGMDAPVPGELLSLVDIFSPNETELARLTGMPTETFEQISRAAGACHKMGVKEVLVKLGSQGSALFIEGGEPIRQPIIPATEVVDTTGAGDTFTSAFAVALVEGKPKEECMRFAAAAASLCVQVKGAIPSMPDRKSVMDLLESVQPPFILNNGARGQIRRIQ >ORUFI01G28570.2 pep chromosome:OR_W1943:1:24775188:24781015:1 gene:ORUFI01G28570 transcript:ORUFI01G28570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLDARLRLPLAGLSPATTSFPGSTPKPGSLSFALRPPSASLSSSASAPAAAAPPIVVVGSANADIYVEVDRLPLVGETVAARAGRSLAGGKGANQAACGGRLAAGPTYLVARVGDDANGRLLEGALADAGGVRLDRVARAPGAPSGHAVVMLMPGGQNSIIIVGGANMEGWASGVGSDDLDLIRRAGVLLLQREIPDWVNVQVAQAAKGAGVPVILDAGGMDAPVPGELLSLVDIFSPNETELARLTGMPTETFEQISRAAGACHKMGVKEVLVKLGSQGSALFIEGGEPIRQPIIPATEVVDTTGAGDTFTSAFAVALVEGKPKEECMRFAAAAASLCVQVKGAIPSMPDRKSVMDLLESVQPPFILNNGARGQIRRIQ >ORUFI01G28580.1 pep chromosome:OR_W1943:1:24786501:24790590:-1 gene:ORUFI01G28580 transcript:ORUFI01G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVHWRRAGDSLHMGGEPRARAEAAWAAALPAALVALVRDTATYTRMMHRLRMETPRGPTCQLDPCCCCCTAHVIPPPPPVSRTHATRRDAEASVPPPPASAAVSSRSDGTGQMAAGVTLACAAPPPLRAPRASDGGRRRGVVKGGAGTDTCRSPQRLNVRPRERERVRACVRARAKNHEHGQRRREAAVDPAMSGEYQFQDELAPLFARPGGGAGEMQMLPSSWFADYLQAGTPMQMDYDLMCRALELPVGEDVKREVGVVDVVAAGGGGGGERGGGGGGAGAGAGEEESPARCKKEEDENKEEGKGEEDEGHKNKKGSAAKGGKAGKGEKRARQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCPVKKRVERSYQDPAVVITTYEGKHTHPIPATLRGSTHLLAAHAQAAAAAAAAHQLHHHHGHHGHHGMAPPLPLGSGATAQFGRSSGIDVLSSFLPRAAAAHHGITTMGGAAATTTTSHGLNSAISGGGGVSSETTSAVTVAASAQPSSPAALQMQHFMAQDLGLLQDMLLPSFIHGTNQP >ORUFI01G28590.1 pep chromosome:OR_W1943:1:24810419:24810964:1 gene:ORUFI01G28590 transcript:ORUFI01G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMGAEAEIAAAVAPAATDECGGKAAAMGGVKQRRGGGGGGGWVKRMMTTTTAVPRRRGHYTPVGVEVELHGSAAAGADEEKPPRRRGGWLRRMMVPRECVHGRQQRWWKLQAGGGGGGSSSRLAAGLTRSLSRWKTAGSGGWATAVADAVAFRVMYVVEAVVLGLALSCFFCCCGCQI >ORUFI01G28600.1 pep chromosome:OR_W1943:1:24816356:24819792:-1 gene:ORUFI01G28600 transcript:ORUFI01G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADARAAPPSCPFPTIRSHGAAVARSHAYDWLALLLLVAVDGLLNAIEPFHRFVGAGMMTDLRYPMKRNTVPIWAVPIVAVIGPMIVFTVVYFRRRNVYDLHHAVLGILFSVLITGVLTDAIKDAVGRPRPNFFWRCFPDGIAVFDNVTTGVICHGDASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKITVFDRRGHVAKLCVVLAPLLVAAMVAISRVDDYWHHWQDVCTGGVLGLVVASVCYLQFFPAPSDEKGFWPHAHFRYITERGSENPTQQATEPLDAMETGRGGQ >ORUFI01G28600.2 pep chromosome:OR_W1943:1:24819802:24820066:-1 gene:ORUFI01G28600 transcript:ORUFI01G28600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNREVKTGEKLPSNSQSLNLLNGHVPMIHLKTIDQGSPRKRKKNRKKDDLEGAKDCKIDRKVTYTYR >ORUFI01G28610.1 pep chromosome:OR_W1943:1:24825151:24826111:1 gene:ORUFI01G28610 transcript:ORUFI01G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGFTLPEEKEPFQKFSVALTGQRGGLEIVFNQQLTRLGITSLAASLPQAQTKRKDAFSS >ORUFI01G28620.1 pep chromosome:OR_W1943:1:24826184:24829861:1 gene:ORUFI01G28620 transcript:ORUFI01G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSQTAPKPLKTVRLPPVKPRPKPPPPQPQPPPSRKKGQPLVDRRRPKKPPTAFFYFMEDFRKTYKEENPSVKSMQEVGKACGEKWNTMTFEERVKYYDIATEKRAEYEKAVAEFDKKKESGELSEESDYD >ORUFI01G28630.1 pep chromosome:OR_W1943:1:24830201:24832403:-1 gene:ORUFI01G28630 transcript:ORUFI01G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAPEQKAVTPATPAAAAASPFEFHVYGPRNLSSTTWRDLLRSSWKNANYRRMVIACFIQGVYLLELDRQERRDERTGALAPQWWRPFKYRLAQALVDERDGSVYGAVLEWDRQAALCDYIPFRPAGAPAAVVALRGTLLRAPTFRRDVTDDLRFLAWDSLKGSVRFAGALAALRAATGRLGAGSVCVGGHSLGAGFALQVGKALAKEGVFVECHVFNPPSVSLAMSLRGFAETAGELWGRVRSWIPYYGGSSSSSSQAADAGGGGESEEAKAMCRWLPHLYINTNDYICCYYNDAAAGTATVAAGGGGGGSARGKAVAAAAAVRGTGGGGARVARMLVASKGPTKFLEAHGLEQWWADDVELQVALNHSKLIDRQLRSLYAAPPAAAS >ORUFI01G28640.1 pep chromosome:OR_W1943:1:24833554:24835853:-1 gene:ORUFI01G28640 transcript:ORUFI01G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGESGPSASAKDTDAAQRAQEQEPGKQGGATRERRMESLGWLTESAVMPKKHKAIEGVGAASILDLKAQLYRTQEEARKPTAHDAAAAAAASGEFRRAKKRAAPGDPLGAKNSGVDARAHKDKLELKAVKDGSVSYAALEKKAELYEKLSRGEIPDEEDKEKYCVDFFQKSFDHVYEPRQPESQSVIDRAEPENDNDDSMSSAKPVGLGRTGTTIDRDEHKRFVREVHEEVSEARQKASTMKSRRQEQEAARREKLKQAYLKKRLEKLLAEKRATSATDDQPAS >ORUFI01G28650.1 pep chromosome:OR_W1943:1:24836116:24837912:1 gene:ORUFI01G28650 transcript:ORUFI01G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFPPSSAARLQAVDAAAVRSSRVPPRAAAVSPVQRSLGAGCKAAAAARQEGATQDPAISVSSARTQLDLLEQLTTPTSDGIGVENGAPTEPRVQTTIREQLSAVIGDRDGEYTLPLGKKLKEGLKKLNSLTVSQRRNIKRQALLTKVSGRNDSVFFATVGAFVLVPPLAILAIAVLTGYVQLLP >ORUFI01G28650.2 pep chromosome:OR_W1943:1:24836116:24837619:1 gene:ORUFI01G28650 transcript:ORUFI01G28650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFPPSSAARLQAVDAAAVRSSRVPPRAAAVSPVQRSLGAGCKAAAAARQEGATQDPAISVSSARTQLDLLEQLTTPTSDGIAYVATNHNGSSGVENGAPTEPRVQTTIREQLSAVIGDRDGEYTLPLGKKLKEGLKKLNSLTVSQRRNIKRQALLTKVSGRNDSVFFATVGAFVLVPPLAILAIAVLTGYVQLLP >ORUFI01G28660.1 pep chromosome:OR_W1943:1:24838011:24839451:-1 gene:ORUFI01G28660 transcript:ORUFI01G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSARKRLFFLFISSLLLSLLAPSDGSSNSTANLNQSHNKTGRTLEMTPKVLFQLKMHALFHWSSFGFLMPVGIILARMSSKSKSGRSIRVLFYCHVISQIAAVLLATGGAALSLMNFENSFSNSHQRVGLALYGFMWLQPLIGFFRPERGVKVRSLWYFLHWLLGIAICATGITNVYIGLHTYHERTTKSVKLWTGLLTFELSLLLFFYLLIDRWSYMMKQGNAPIEQLRPTDNRKTYPTTLRKELGMGQ >ORUFI01G28670.1 pep chromosome:OR_W1943:1:24847370:24857937:1 gene:ORUFI01G28670 transcript:ORUFI01G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVSVAAEWDLLSDRFYRRITVYSPLPWSPPSAAAASSSSTSGGGGGGGGSGGVLGRLDLSTHIVAAAPFGGPIAAVRDDSKIVQLHSEPSRRRLLLYSSSGHPIASSPWPPQLPRLHSLAFSSSLNLVALLSDGSLLRFRLPDLKPNPSPTPVPLLPTSSGGVADAAFWGGGVAVLTEDNRVVVTTDIDAADPHPREFADPCVGQDEQVLCMAVVEPQFVMSGSPEVLLAVGDRVLAVDEDDVQTLGLELEIGPVQKMAVSPNGKLLAAFAHDGRLLVIPTDFSKIIFEYECDSALPPDQIAWCGLDSVLLYWSEALLMVGPNGDPVLYNYDEPIKLIPECDGVRILSNSNMEFLHRVPDSTTSIFGIGSMSPAALLYDARDHYDKQSAKAYDNYQLISSSLPEAIEACIDAAGHEFDISRQHALLRAATYGLAFCSQFPHERFQEMCKTLRVLNAVRDPQIGMPLTIQQYKLLTAPVLIGRLINANQHLLALRISEYLNLNPEVVIMHWACEKITASAAIPDTVLLEGLLDKIPLLLSIDEQDKALSKAIESGDTDLVYLVLFHIWQKVAVEKSAPLDFFGVINARPLARDLFMAYARHSKHEALKDFFLSTGRLQLVISPSMSYFLNYREKLQDAAFLLLKESRELERNPMASKGSPLHGPQVRLIEQAHRLFAETKEHVFESKASEEHAKLLRSQHELEVSTKQAIFVGSSVSDTIKTCIAMGNERAALKVKSEFKVPDKRWYWLKSCALATVGNWDALEKFSKERRPPGGYKPFVEACIDAGQKTEALKYIPKLTDPRERSEAYARIKMAKEAAEAASQVKDSDELFGRLKLTLAQNTAAASIFDTLRDRLSFQGTY >ORUFI01G28680.1 pep chromosome:OR_W1943:1:24856821:24858330:-1 gene:ORUFI01G28680 transcript:ORUFI01G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHNGSIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >ORUFI01G28690.1 pep chromosome:OR_W1943:1:24859148:24860356:-1 gene:ORUFI01G28690 transcript:ORUFI01G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASRPRRQCRAPRRLDGGGGGSMDVHPSELLPRSRCTAPRRLDDDEMDVHPSEQELIETYLRPRVVSGDKPPPSSSSCGFIIHEADVYSADPADLTRGFAPAVARSSGDEAWYFFSAVRGLKGGRKARTVDDGAGCWHSEAGAKPVLAASSGRRLGHRQSFSFITKDDDGQRVRSGWLMVELSLDVDEEEQLVLSKVYFSLRGPGAKKPTTAAAMSRHKRKLSTTDIASPPRRQRRHRVVPSSPPEEPNTSPSPAAAPPDQQEGGDDDPDRGSISWWLRRVFGLTATFTEEESIELNPWLKDILRPFPPPLPPTPPPPCPSPRRKLIDMPEIREFIMRGSYLGGGPAPPRYECDHPAMVMTGGDDQQQLDEQRRDDVGDDRAHYDRVDGQLQFERHYLQL >ORUFI01G28700.1 pep chromosome:OR_W1943:1:24861680:24863892:-1 gene:ORUFI01G28700 transcript:ORUFI01G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELAKSYFQSLSRLSRVASKPSPHHRGMAGEMPDADGKPHSASSGFQPSAPPQPQAQQYQYGTFGAPSSAPGEVPQPAVGFPQPAPPPGLRHYPQPPPPSYAVYPPLPPQTYPAAAPYYAQGYQAVQGYIPVVEGRPVRMRRLPFCGLGMGWFLFIIGFFLAAIPWYIGAFVLICVRVHDYREKPGYVACTIAASLAAIAILLGVTRGEEIW >ORUFI01G28710.1 pep chromosome:OR_W1943:1:24864883:24868566:-1 gene:ORUFI01G28710 transcript:ORUFI01G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLRSCRRLIPHLSACAAAAPSSSSSCAPRARPISRGLRLLPVVLAMAGYSSGSAAEGRRLLFRQLFEKESSTYTYLLADVGDPEKPAVLIDPVDRTVDRDLNLIKELGLKLVYAMNTHVHADHVTGTGLIKTKLPGVKSVIAKVSKAKADHFIEHGDKIYFGNLFLEVRSTPGHTAGCVTYVTGEGDDQPSPRMAFTGDALLIRACGRTDFQGGSSDELYESIFTLPKDTLLYPGHDYKGFTVSTVEEEVAYNARLTKDKETFKKIMDNLNLAYPKMIDVAVPANLLCGIQDPPPSKV >ORUFI01G28710.2 pep chromosome:OR_W1943:1:24864883:24868566:-1 gene:ORUFI01G28710 transcript:ORUFI01G28710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLRSCRRLIPHLSACAAAAPSSSSSCAPRARPISRGLRLLPVVLAMAGYSSGSAAEGRRLLFRQLFEKESSTYTYLLADVGDPEKPAVLIDPVDRTVDRDLNLIKELGLKLVYAMNTHVHADHVTGTGLIKTKLPGVKSVIAKVSKAKADHFIEHGDKIYFGNLFLEVRSTPGHTAGCVTYVTGEGDDQPSPRMAFTGDALLIRACGRTDFQGGSSDELYESVSTVEEEVAYNARLTKDKETFKKIMDNLNLAYPKMIDVAVPANLLCGIQDPPPSKV >ORUFI01G28720.1 pep chromosome:OR_W1943:1:24871324:24875149:1 gene:ORUFI01G28720 transcript:ORUFI01G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPPARLPARGADLPRSGGAAAAGTGGRGGGGGRGRRAWWRGRCCRRRKQARAGAARAVAGLLRQDVPGRRPDRRQRHRAAVPRQPRRRPRRAPPLLPRLLRRRVRRVHTDRADGQQRRRGAEGGEGHGGEPEPAAGGVRHGGDGQGRRGEGVPRRRHLRRRPRARRPGLRPSGRWALLRGEEGSEGQQGVPGGQGAGQPPARQLHRGRAPPRVRRQGPRRRRPRGALGRAHRRVRALRPLPGPPLRLRRDAAAGPGDGRAAGEGAAHVVPLHRRQRPRGGALRREHPVPVRPRLLRQPAGEAGTPRLRPGAVPRRAHQAARRGARRRQGAVLPGVRGQHGQDGLRPGQEGQEGGGQASLQPAPVLNVYWSKLGRSHCLDHSITRSHLALQELSFAVNCHISESISSSVLFFFFCWVH >ORUFI01G28730.1 pep chromosome:OR_W1943:1:24882411:24886161:-1 gene:ORUFI01G28730 transcript:ORUFI01G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMFRSKHATQPWQTQPDMAGSPPSLLSGSSAGSAGGGGYSLKSSPFSSVGEERVPDPKPRWNPRPEQIRILEAIFNSGMVNPPRDEIPRIRMQLQESIFNSGMVNPPRDEIPRIRMQLQEYGQVGDANVFYWFQNRKSRSKNKLRSGGTGRAGLGLGGNRASEPPAAATAHREAVAPSFTPPPILPPQPVQPQQQLVSPVAAPTSSSSSSSDRSSGSSKPARATSTQAMSVTTAMDLLSPLAAACHQQMLYQGQPLESPPAPAPKVHGIVPHDEPVFLQWPQSPCLSAVDLGAAILGGQYMHLPVPAPQPPSSPGAAGMFWGLCNDVQAPNNTGHKSCAWSAGLGLHWCGSADQLGLGKSSAASIATVSRPEEAHDVDATKHGLLQYGFGITTPQVHVDVTSSAAGVLPPVPSSPSPPNAAVTVASVAATASLTDFAASAISAGAVANNQFQGLADFGLVAGACSGAGAAAAAPEAGSSVAAVVCVSVAGAAPPLFYPAAHFNVRHYGDEAELLRYRGGSRTEPVPVDESGVTVEPLQQGAVYIVVM >ORUFI01G28740.1 pep chromosome:OR_W1943:1:24893699:24894025:-1 gene:ORUFI01G28740 transcript:ORUFI01G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVGGAERSGDKQEAGLRRSGERADEAEKNEGGAKDTERGKKERWGSGGGGAVGARQPHRSAVVAVTARAGRHPLQPPTLMDLNSSDRGGSDKMAATSGRNHQARV >ORUFI01G28750.1 pep chromosome:OR_W1943:1:24895024:24901155:1 gene:ORUFI01G28750 transcript:ORUFI01G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGYRAEEEYDYLFKVVLIGDSGVGKSNLLSRFARDEFSLETRSTIGVEFATKTVRVDDRLVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRRITFENAERWLKELRDHTDANIVVMLVGNKADLRHLRAVPAEDARAFAEAHGTFSMETSALEATNVEGAFTEVLAQIYRVVSRNALDIGDDPAAPPRGRTIDVSAKDDAVTPVNSSGCCSS >ORUFI01G28760.1 pep chromosome:OR_W1943:1:24897252:24901062:-1 gene:ORUFI01G28760 transcript:ORUFI01G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRNTVCTPQVIDLETEQGHSHIHSESFNRTGNDSSDQGAQHAVRGVGNATNIGLSDMRSYYDAGMNHPHQPVHNLPPNLGVDSGFVFPSSMYNPCMSTTSMNQYVSHTQSFGLPSNQVVLGSMDEGSRNENAGESARGFIKRKNAAVAGSYHCANGFASSSSSHASLNPTHRPWDPSFESNVLPNTASYNPSEYHSQTSWPSMEGSSIPSNGFNLMGAHPESAQHGNYAFPTSHISQCFQPTSNTWISQSANGIADGIPQWEYVNGMNNAPGRFSRSGMTETVNGSFREYQNGPSTLCRGPLPYFHQHAGMHAHNLLDHTQVQAPYQQCHNNPVLHGVNHSGNRFHLGPRIPVLFSNSERTFGPPHHPLLANPVNHRNIRILPPEHATIMDFSRLYEVSNVVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLAKSYIVEKLKTSLFVPGSSCMSNKSSESSMENDACIICQEEYQVKECIGTLDCGHRYHEDCIKQWLMVKNLCPICKTTALSTGRRSG >ORUFI01G28770.1 pep chromosome:OR_W1943:1:24901120:24905846:-1 gene:ORUFI01G28770 transcript:ORUFI01G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPFSPRAGSSPLPRSPSSTSTPHPPIAPRELVPSRRAIAPPTHPPPPSRAIPREARRGEAAIALPLPDHHDAAGGGSSTPPTHPASADRIACSPRGRGDDKS >ORUFI01G28780.1 pep chromosome:OR_W1943:1:24911191:24912040:-1 gene:ORUFI01G28780 transcript:ORUFI01G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDKVNCPFYFKIGACRHGDRCSRLHNRPTISPTVVFANMYQRPDMITPGVDAQGQPIDPRQMQEHFEDFYEDIFEELSKFGEIENLNVCDNLADHMIGNVYVQFREEDQAAAAHTALQGRFYSGRPIIVDFSPVTDFREATCRQLGLGRDLRKKLFGHYRKPQRGRSRSPSPSPRHRRERHDRDDYRGRDDYSGGGGRRGGSSRHERHDDGGRRRHGGSPPRRARSPVRESSEERRAKIEQWNRERDEKQG >ORUFI01G28790.1 pep chromosome:OR_W1943:1:24920412:24920855:-1 gene:ORUFI01G28790 transcript:ORUFI01G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYSSTRPWLRPEPAASVVDVVKVETTTAVAGRGGEAEVVGEEEAAEVRRAVAESPVLVVGRRGCCLIHVVKRLLQGLGVNPAVHEVAGEAALKGVVPAGGEAAALPAVFVGGKLLGGLDRLMAVHISGELVPILKKAGALWL >ORUFI01G28800.1 pep chromosome:OR_W1943:1:24930223:24933350:1 gene:ORUFI01G28800 transcript:ORUFI01G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLGPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFHCAIQATHVEVGIRGNPPYLNHDLTLPVKTDSSFWTIEDGEMHITLQKREKGKTWSSPIQGQGSLDPYAADQEQKRLMLQRFQEENPGFDFSQAQFTGTCPDPRTFMGGIRSD >ORUFI01G28800.2 pep chromosome:OR_W1943:1:24930223:24933350:1 gene:ORUFI01G28800 transcript:ORUFI01G28800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLGPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFHCAIQATHVEVGIRGNPPYLNHDLTLPVKTDSSFWTIVISIFCCSMNLDNGYITIQRMVKCTSHCKKERRGKHGHLQYKAKNPGFDFSQAQFTGTCPDPRTFMGGIRSD >ORUFI01G28800.3 pep chromosome:OR_W1943:1:24930220:24933350:1 gene:ORUFI01G28800 transcript:ORUFI01G28800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLGPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFHCAIQATHVEVGIRGNPPYLNHDLTLPVKTDSSFWTIEDGEMHITLQKREKGKTWSSPIQGQGSLDPYAADQEQKRLMLQRFQEENPGFDFSQAQFTGTCPDPRTFMGGIRSD >ORUFI01G28800.4 pep chromosome:OR_W1943:1:24930220:24933350:1 gene:ORUFI01G28800 transcript:ORUFI01G28800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLGPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFHCAIQATHVEVGIRGNPPYLNHDLTLPVKTDSSFWTIVISIFCCSMNLDNGYITIQRMVKCTSHCKKERRGKHGHLQYKAKNPGFDFSQAQFTGTCPDPRTFMGGIRSD >ORUFI01G28810.1 pep chromosome:OR_W1943:1:24935116:24935859:-1 gene:ORUFI01G28810 transcript:ORUFI01G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVAVVVLLAMAAVAAAQAPGPAATPAAGATGPPNVTAVLEKGGQYTTFIRLMKETQQDTQLNSQLNNSFNGNGYTVFAPTDNAFNNLKPGTLNSLTQQQQVALVQGHVLPQFYSMDSFQTASNPVRTQASGTDGPYTLNITSTTNNNVNVSTGVVEVTVTNALSAVKPLAVYSVDKVLLPFELFGVKAPAAAPTASTAKPKKGGSTEAASGPAGAEDAEPTGAASARAVGWGVAGLAAVVGCLL >ORUFI01G28820.1 pep chromosome:OR_W1943:1:24941330:24955253:1 gene:ORUFI01G28820 transcript:ORUFI01G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRPKRRRGGSARSRGRKKQKRLDAIHDVAPVSAPPAGAAVVVGGGGESEDSDAEGPRRSTRVRRAPALLDTSPLPSPRRKRARGGGGGGVAGSSGGSSRRRSRGRARGEAGVAREIGEEEEEEEDDEAGNVVWRSRLRDRVKGKAKLDKRVRSLWFDEDYEVELKEEEEVVVEEEEEDEEEGDEEEEEEQVRTLVVDLREGAEEETMGEGSGSLPMRGREVMDREINLTIDLNVEEHEAVEGVNVVEEEDGEKGGEADEEKGDAIGPGNDLHEGKHEEVGDEEGLHEKERTEELGSAVLEGRNGDELPCNENNAEDGTGSSHEHEHLLVQNEQTVEESNLCVEQQMELDGSSPSEQLKEVQQDVQTGGASNVVLPEEAPKEGVRKFPVSEEKQGTMEIKEGRRCGLCGGGTDGRPPKVALHDTVDSDNEAYEGALPSEDPNYDMWDGFGDDPGWLGRLLGPIHDQFGIARVWVHQNCAVWSPEVYFAGLGCLKNVRAALCRGRLLKCSRCGRPGATIGCRVDRCPKTYHLPCSRAEACIFDHRMFLIACNDHRHYFQPQGDKYVELLRKMKIKKMKADIRKVSHDAWRKDIEAEEKWLENCGEDEEFLKREGKRLNRDLSRIAPVYIGGTSENEKAYCGWESVAGLSNVIQSMKEVVILPLLYPEFFSSLGLTPPRGVLLHGHPGTGKTLVVRALIGACSQGNRRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPSRSRRQDQTHNSVVATLLSLLDGLKSRGSVIVIGATNRPDAIDPALRRPGRFDREIYFPLPTFEDRSAILSLHTKKWPSPISGAFLSVIASQTVGYAGADLQSICTQAAINALKRTCPLKEILLSAEKGFEHGRLPLPSILVEERDWLAALAAAPPPCSQREAGIAANDLVSSPLVSYLVPCLLKPLLHLFISLYLDERIWLPSSLLKAFASIKQVIFSSMEKNNVPHTFWSSYLPSLIQQKGIAKRIASILSGYGLIAYQLGNHDSVLNHNEQHEKFDAHRLNSTGSHPKGGLAHKLSGFRALAAGAPRSGQQHLIRCLLHGFVGHTVIHKLDLATMAQEGNGDILSGLTQILQHTIPRFSVNVDSYFTWDEVIDACCLRISHDLVQQHVQLLHDRAHNNHDEQKEVFVPMEISAPGEHRSSGSKEASMLTKYPLNMDKHPSCGVSSREHPTQLGTCSAQQEPPTSNVEDKEDNTEKIDFNEKVATNRSNRIVKDSESLAIMAFGIQILQHPQFSKLCWVTSKLREGPCTDINGPWKGWPFNSCLLQSTTADKSLSGGNNVLKGKEKIPSVRGLVAVGLLAYRGAYASVLEICSEVRKVLELLVGQVRTKILEKRSRYRYFHILSQVAYLDDIMSSWAYTFQRLHSENRRVKTSPKVTVGKSSTRECQGDSNTAEANILGAPAGCSEAQGTPGQHTDDLEVIPAHCPSEMQENSVQHAPGHLEIHGIVCDLDNDNVTSISSINAVEPDLIHSASLDVHTDSLTPADAVINDGQSCGVDNDGQMSRVINGEENHISNIERPESHTVSVADFNELQRKNAVASSTSTDSAGTSRNMVSSEARGSDNERNTDFPVDDVKLGHLVNPQSQDTMKSLSVLKPPCLYKCCPVCFNAVYKMVHDILSNSVRPSLHCLAVDDMHDLLSSWSVNLLATVRKWYTSQGIVGSEENSGEGHCVCSSDNGCVPRECTCHLESNEDAGTIKDESYYLSGQPLSFFFKDGVLIPPDITAPTTLHCSYMRLCVCSIPGSISMFNRISS >ORUFI01G28820.2 pep chromosome:OR_W1943:1:24941330:24952389:1 gene:ORUFI01G28820 transcript:ORUFI01G28820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRPKRRRGGSARSRGRKKQKRLDAIHDVAPVSAPPAGAAVVVGGGGESEDSDAEGPRRSTRVRRAPALLDTSPLPSPRRKRARGGGGGGVAGSSGGSSRRRSRGRARGEAGVAREIGEEEEEEEDDEAGNVVWRSRLRDRVKGKAKLDKRVRSLWFDEDYEVELKEEEEVVVEEEEEDEEEGDEEEEEEQVRTLVVDLREGAEEETMGEGSGSLPMRGREVMDREINLTIDLNVEEHEAVEGVNVVEEEDGEKGGEADEEKGDAIGPGNDLHEGKHEEVGDEEGLHEKERTEELGSAVLEGRNGDELPCNENNAEDGTGSSHEHEHLLVQNEQTVEESNLCVEQQMELDGSSPSEQLKEVQQDVQTGGASNVVLPEEAPKEGVRKFPVSEEKQGTMEIKEGRRCGLCGGGTDGRPPKVALHDTVDSDNEAYEGALPSEDPNYDMWDGFGDDPGWLGRLLGPIHDQFGIARVWVHQNCAVWSPEVYFAGLGCLKNVRAALCRGRLLKCSRCGRPGATIGCRVDRCPKTYHLPCSRAEACIFDHRMFLIACNDHRHYFQPQGDKYVELLRKMKIKKMKADIRKVSHDAWRKDIEAEEKWLENCGEDEEFLKREGKRLNRDLSRIAPVYIGGTSENEKAYCGWESVAGLSNVIQSMKEVVILPLLYPEFFSSLGLTPPRGVLLHGHPGTGKTLVVRALIGACSQGNRRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPSRSRRQDQTHNSVVATLLSLLDGLKSRGSVIVIGATNRPDAIDPALRRPGRFDREIYFPLPTFEDRSAILSLHTKKWPSPISGAFLSVIASQTVGYAGADLQSICTQAAINALKRTCPLKEILLSAEKGFEHGRLPLPSILVEERDWLAALAAAPPPCSQREAGIAANDLVSSPLVSYLVPCLLKPLLHLFISLYLDERIWLPSSLLKAFASIKQVIFSSMEKNNVPHTFWSSYLPSLIQQKGIAKRIASILSGYGLIAYQLGNHDSVLNHNEQHEKFDAHRLNSTGSHPKGGLAHKLSGFRALAAGAPRSGQQHLIRCLLHGFVGHTVIHKLDLATMAQEGNGDILSGLTQILQHTIPRFSVNVDSYFTWDEVIDACCLRISHDLVQQHVQLLHDRAHNNHDEQKEVFVPMEISAPGEHRSSGSKEASMLTKYPLNMDKHPSCGVSSREHPTQLGTCSAQQEPPTSNVEDKEDNTEKIDFNEKVATNRSNRIVKDSESLAIMAFGIQILQHPQFSKLCWVTSKLREGPCTDINGPWKGWPFNSCLLQSTTADKSLSGGNNVLKGKEKIPSVRGLVAVGLLAYRGAYASVLEICSEVRKVLELLVGQVRTKILEKRSRYRYFHILSQVAYLDDIMSSWAYTFQRLHSENRRVKTSPKVTVGKSSTRECQGDSNTAEANILGAPAGCSEAQGTPGQHTDDLEVIPAHCPSEMQENSVQHAPGHLEIHGIVCDLDNDNVTSISSINAVEPDLIHSASLDVHTDSLTPADAVINDGQSCGVDNDGQMSRVINGEENHISNIERPESHTVSVADFNELQRKNAVASSTSTDSAGTSRNMVSSEARGSDNERNTDFPVDDVKLGHLVNPQSQDTMKSLSVLKPPCLYKCCPVCFNAVYKMVHDILSNSVRPSLHCLAVDDMHDLLSSWSVNLLATVRKWYTSQGIVGSEENSGEGHCVCSSDNGCVPRECTCHLESNEDAGTIKDESYYLSGQPLSFFFKDGVLIPPDITAPTTLHCSYMRLCVCSIPGSISMFNRISS >ORUFI01G28820.3 pep chromosome:OR_W1943:1:24941330:24951282:1 gene:ORUFI01G28820 transcript:ORUFI01G28820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRPKRRRGGSARSRGRKKQKRLDAIHDVAPVSAPPAGAAVVVGGGGESEDSDAEGPRRSTRVRRAPALLDTSPLPSPRRKRARGGGGGGVAGSSGGSSRRRSRGRARGEAGVAREIGEEEEEEEDDEAGNVVWRSRLRDRVKGKAKLDKRVRSLWFDEDYEVELKEEEEVVVEEEEEDEEEGDEEEEEEQVRTLVVDLREGAEEETMGEGSGSLPMRGREVMDREINLTIDLNVEEHEAVEGVNVVEEEDGEKGGEADEEKGDAIGPGNDLHEGKHEEVGDEEGLHEKERTEELGSAVLEGRNGDELPCNENNAEDGTGSSHEHEHLLVQNEQTVEESNLCVEQQMELDGSSPSEQLKEVQQDVQTGGASNVVLPEEAPKEGVRKFPVSEEKQGTMEIKEGRRCGLCGGGTDGRPPKVALHDTVDSDNEAYEGALPSEDPNYDMWDGFGDDPGWLGRLLGPIHDQFGIARVWVHQNCAVWSPEVYFAGLGCLKNVRAALCRGRLLKCSRCGRPGATIGCRVDRCPKTYHLPCSRAEACIFDHRMFLIACNDHRHYFQPQGDKYVELLRKMKIKKMKADIRKVSHDAWRKDIEAEEKWLENCGEDEEFLKREGKRLNRDLSRIAPVYIGGTSENEKAYCGWESVAGLSNVIQSMKEVVILPLLYPEFFSSLGLTPPRGVLLHGHPGTGKTLVVRALIGACSQGNRRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPSRSRRQDQTHNSVVATLLSLLDGLKSRGSVIVIGATNRPDAIDPALRRPGRFDREIYFPLPTFEDRSAILSLHTKKWPSPISGAFLSVIASQTVGYAGADLQSICTQAAINALKRTCPLKEILLSAEKGFEHGRLPLPSILVEERDWLAALAAAPPPCSQREAGIAANDLVSSPLVSYLVPCLLKPLLHLFISLYLDERIWLPSSLLKAFASIKQVIFSSMEKNNVPHTFWSSYLPSLIQQKGIAKRIASILSGYGLIAYQLGNHDSVLNHNEQHEKFDAHRLNSTGSHPKGGLAHKLSGFRALAAGAPRSGQQHLIRCLLHGFVGHTVIHKLDLATMAQEGNGDILSGLTQILQHTIPRFSVNVDSYFTWDEVIDACCLRISHDLVQQHVQLLHDRAHNNHDEQKEVFVPMEISAPGEHRSSGSKEASMLTKYPLNMDKHPSCGVSSREHPTQLGTCSAQQEPPTSNVEDKEDNTEKIDFNEKVATNRSNRIVKDSESLAIMAFGIQILQHPQFSKLCWVTSKLREGPCTDINGPWKGWPFNSCLLQSTTADKSLSGGNNVLKGKEKIPSVRGLVAVGLLAYRGAYASVLEICSEVRKVLELLVGQVRTKILEKRSRYRYFHILSQVAYLDDIMSSWAYTFQRLHSENRRVKTSPKVTVGKSSTRECQGDSNTAEANILGAPAGCSEAQGTPGQHTDDLEVIPAHCPSEMQENSVQHAPGHLEIHGIVCDLDNDNVTSISSINAVEPDLIHSASLDVHTDSLTPADAVINDGQSCGVDNDGQMSRVINGEENHISNIERPESHTVSVADFNELQRKNAVASSTSTDSAGTSRNMVSSEARGSDNERNTDFPVDDVKLGHLVNPQSQDTMKSLSVLKPPCLYKCCPVCFNAVYKMVHDILSNSVRPSLHCLAVDDMHDLLSSWSVNLLATVRKWYTSQGIVGSEENSGEGHCVCSSDNGCVPRECTCHLESNEDAGTIKDESYYLSGQPLSFFFKDGVLIPPDITAPTTLHCSYMRLCVCSIPGSISMFNRISS >ORUFI01G28830.1 pep chromosome:OR_W1943:1:24953532:24955919:-1 gene:ORUFI01G28830 transcript:ORUFI01G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFLCPLFISFISVLLCCAASASPWQTTGTGTSLQVDHGETFLVSPDTTFSCGFYPSGDDTNAFYFSIWFTHATDRTVVWTADSGLPVNGHGSKISLSHEGNLAFTDVNGTTVWESKTGWGKHTTVALLNSGNMVMKASDSEDKIVWQSFDWPTDTLLPSQRLTREKRLVSQSGNHFLYFDNDNVLRLQYNGPEITSIYWPSPDYTAVQNGRTRFNSSKIAVLDDEGRFLSSDGFKMVALDSGLGIQRRITIDYDGNLRMYSLNASDGNWTITGEGVLQMCYVHGLCGRNGICEYSPGLRCTCPPGYEMTDPENWSRGCRPTFSVSCGQQREDFTFVKIPHGDYYGFDLTSNKSISLEECMRICMDSCVCLSFTYKGGDGLCYTKGLLYNGQVYPYFPGDNYIKLPKNVASTSLISKHHGLTCKPNASKVMLVSIDAYRKNSDNIMWAYLYVFATIIGAVELVFIMTGWYFLFKMHNIPKSMEKGYKMITSQFRRFTYRELVEATGKFKEELGKGGSGTVYRGILGDKKVVAVKKLTDVRQGEEEFWAEVTLIGRINHINLVRMWGFCSEGRQRLLVYEYVENESLDRYLFDDSGTRNLLSWSQRFKIALGTTRGLAYLHHECLEWVVHCDVKPENILLNRDFEAKIADFGLSKLSKRDSSTFNFTHMRGTMGYMAPEWALNLPINAKVDVYSYGVVLLEIVTGTRVSSGITIEEENIDLMQFVQVVKQMLTSGEVLDTIVDSRLKGHFNCDQAKAMVKAAISCLEERSKRPTMDQIVKDLMVYDDEDYHPAYF >ORUFI01G28840.1 pep chromosome:OR_W1943:1:24962522:24964915:-1 gene:ORUFI01G28840 transcript:ORUFI01G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLYLTILSSLSFHLCSCASPWRTMTTGSHIRAEDHDKIFLLSPDTTFSCGFHQLGTNAFTFSIWYTHTTEKTAVWTANPYSPANGGYSPVNLYGSRVSLGHDGNLVLTDTNGTTVWESKTSSGKHTTVTLLDTGNLVIKDSSNSTVWQSFDSPTDTLLPWQNLTKNIRLVSRYHHLYFDNDNVLRLLYDGPEITSIYWPSPDYNAEKNGRTRFNSTRIAFLDDEGNFVSSDGFKIEATDSGPRIKRRITIDYDGNFRMYSLNESTGNWTITGQAVIQMCYVHGLCGKNGICDYSGGLRCRCPPEYVMVDPTDWNKGCEPTFTIDSKRPHEDFMFVKQPHADFYGFDLGSNKSISFEACQNICLNSSSCLSFTYKGGDGLCYTKGLLYNGQVYPYFPGDNYMKVPKNSSKSTPSISKQQRLTCNLSAPEIMLGSASMYGTKKDNIKWAYFYVFAAILGGLESLVIVTGWYLFFKKHNIPKSMEDGYKMITNQFRRFTYRELKEATGKFKEELGRGGAGIVYRGVLEDKKIVAVKKLTDVRQGEEEFWAEVTLIGRINHINLVRMWGFCSEGTNRLLVYEYVENESLDKYLFGERCHESLLSWSQRYRIALGTARGLAYLHHECLEWVVHCDVKPENILLSRDFDAKIADFGLAKLAKRDSTSFNFTHMRGTMGYMAPEWALNLPINAKVDVYSYGVVLLEIVTGIRVSSGIVVDERQVEFPEFVQEAKKIQATGNVTDLVDDRLHGHFDPEQVITMVKVALSCLEERSKRPTMDEILKALMLCDDEDDYHPAYSY >ORUFI01G28850.1 pep chromosome:OR_W1943:1:24972543:24978046:-1 gene:ORUFI01G28850 transcript:ORUFI01G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLETSLVPLVRGCNGSKVSVGAQKLCHNFVPVESLMTRLPVSRRTAVVPLPELVLLSQFAVPLTSARTRPYDGPILAVGEPDGEGESIPSNFIEATREIGVWPSDHGMEEELPHYFSIPTFCLAWTIWKERNNRVFNQKSRTWAEIARAMTGEADLWRLARAAIPAMATPMSGGRL >ORUFI01G28850.2 pep chromosome:OR_W1943:1:24972543:24978046:-1 gene:ORUFI01G28850 transcript:ORUFI01G28850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLETSLVPLVRGCNGSKVSVGAQKLCHNFVPVESLMTRLPVSRRTAVVPLPELVLLSQFAVPLTSARTRLPSWFSVTLEPWPFTGDLGPYDGPILAVGEPDGEGESIPSNFIEATREIGVWPSDHGMEEELPHYFSIPTFCLAWTIWKERNNRVFNQKSRTWAEIARAMTGEADLWRLARAAIPAMATPMSGGRL >ORUFI01G28850.3 pep chromosome:OR_W1943:1:24972543:24974335:-1 gene:ORUFI01G28850 transcript:ORUFI01G28850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTSLVPLVRGCNGSKVSVGAQKLCHNFVPVESLMTRLPVSRRTAVVPLPELVLLSQFAVPLTSARTRPYDGPILAVGEPDGEGESIPSNFIEATREIGVWPSDHGMEEELPHYFSIPTFCLAWTIWKERNNRVFNQKSRTWAEIARAMTGEADLWRLARAAIPAMATPMSGGRL >ORUFI01G28850.4 pep chromosome:OR_W1943:1:24972543:24978046:-1 gene:ORUFI01G28850 transcript:ORUFI01G28850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLETSLVPLVRGCNGSKVSVGAQKLCHNFVPVESLMTRLPVSRRTAVVPLPELVLLSQFAVPLTSARTRPSDHGMEEELPHYFSIPTFCLAWTIWKERNNRVFNQKSRTWAEIARAMTGEADLWRLARAAIPAMATPMSGGRL >ORUFI01G28850.5 pep chromosome:OR_W1943:1:24972368:24978046:-1 gene:ORUFI01G28850 transcript:ORUFI01G28850.5 gene_biotype:protein_coding transcript_biotype:protein_coding LNHFTTPHSPLHQRTSRAECRTAAALAFAPPQPRCLGPLATSGAIRSAALSVSPAASGVLSAQVVTLPRGSLNKHPKHFQLNEPAGAVQSSAVSRIPHAGPRADEAASAPRERSGSVTGEPP >ORUFI01G28860.1 pep chromosome:OR_W1943:1:24973788:24976133:1 gene:ORUFI01G28860 transcript:ORUFI01G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLCLVILPLISVLPFSYASPLLMLSTGSSLFVEEHKQTFLTSPNADFSCGFYEVGGNAFSFSIWFTNSKNRTVVWSANPKSPVNGHGSKVTLNHEGNLVLADVNGTANWDSKTSSGKGTTAVLLDTGNLVIRDSTGTKLWQSFWAPTDTLLPLQPLTKGTRLVSGYFNLYFDNDNVLRLMYDGPEISSIYWPSPDYSVFDIGRTSYNGSRNAILDTEGHFLSSDKLDIKAADWGAGINRRLTLDYDGNLRMYSLNASDGSWKVSWQAIAKLCDVHGLCGENGICEFLPSFKCSCPPGYEMRDPTNWSRGCRPLFSKNCSKIEEYEFFKLAQTDFYGFDLIINQSISLKECKKTCLDICSCSAVTYKTGSGTCYIKYVLFNGYSSTNFPGDNYIKLPKNMVSKQSDLSCNPTKEIVLGSSSMYGMNDANKNYATYYVFAAVLGALVLIFTGTSWWFLYSKHNIPMSMEAGYRMVTSQFRMFTYRELREATGKFKEEIGRGASGIVYRGVLEDKRVIAVKRLMNISHGEEEFWAEMSIIGRINHMNLVRMWGFCSEGQQKLLVYEYVDNESLDKYLFGDVSAERLLAWSQRFKIALGTARGLAYLHHECLEWVVHCDVKPENILLTRDFEVKIADFGLAKLSKRDSTSLNFTHMRGTMGYMAPEWALNSPINAKVDVYSYGVVLLEIVTGSRISSGIKVDGREVELRDFVQVMKHILATGDVKDVIDTRLNGHFNSEQAKVMVEVAISCLEERNSRPTMDEIAKAFLACDDEDNHPAYSW >ORUFI01G28870.1 pep chromosome:OR_W1943:1:25001222:25003681:-1 gene:ORUFI01G28870 transcript:ORUFI01G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVIGYLAVQLSLMSLLLCPSSSTAQHTLGRSSMSVEDHARPFLVSTDGSFSCGFLEAGDNAFTFSVWFTADPNRTAVWSANRDAPVNGRGSRVSFSRDGELALADTNGTTVWSSKTTAGTGNRRGLTVSLRDTGNLVVGDPSTGLAVWQSFEWPTDTLLPSQRFTKQTKLVAGYFSLYFDNDNVLRMLYDGPEIASIYWPLPGLTVFENGRTNYNSTRIAILDDAGVFLSSDQTKAEATDLGLGIKRRITIEQDGNLRMYSLNASTGGWAVTWSALKQPCQAHGLCGKNGLCEYLPSLRCSCLPGYEMVDRRDWRRGCKPTFPVGNCSQGSAPPPSPATAPPQFKFIEVAQTDFFGFDLGYTESITFKQCRDQCMNNCQCTAFSYRLDGRGKCYPKGTLFNGFTSANFPGSIYLKVPLDFNASSPRVSAQRAAGLACGANVTVVTVSADVYGMAPGSNGQWTYFFVFAGVLGVLDILFIATGWWFLSSKQSIPSSLQAGYKMVMTSQFRRFTYRELKGATANFKEELGRGGSGAVYRGVLDGGKVVAVKRLAVDVTMQGDEEFWSEMTVLGRINHINLVRIWGFCSERKHKLLVYEYVENQSLDRHLFDASDGSGGKATTLAWSDRYKIALGTARGLAYLHHECLEWVIHCDVKPENILLTREFEAKIADFGLAKLSKRDGGAGVELTHMRGTSGYMAPEWALNLPINAKVDVYSFGIVLLEMVVGSRVADQRTEAGEPLQLPQITQALRHVVDSGDVMSLVDARLQGQFNPRQAMEMVRISLACMEERSCRPTMDDIAKSLTAFDDEDEHPAYHS >ORUFI01G28880.1 pep chromosome:OR_W1943:1:25006447:25030520:1 gene:ORUFI01G28880 transcript:ORUFI01G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRVALPTGDTRGDAAAVVFLLPPPSLPRRRLSGRWQSRAAAGMVAAGLRLSARSAARGAVRAATVANRPDPHPPGRIRRGGAWWRGGVVAVATGGAWWRRTGPAAAETPAQIRAVLAGSGGADAGRRRAAGKQACWRRGRGGGGAAELAAVAAPRSSRATSAAATARDHGATAAVETARIPWRWWQQRLVGGDSTVAGRRHWRLLAAVALGLSRRRQRRGTRGDGCGSSWTTAMGLRLAGGELPGAATAAERGKGRRLTDGGGGDSGARRGDARHGTRRGAAAPGRQRGGTRAAAQWHESGGAVEREEGRRREMEWGKTIQTEVNSHRALIGIHNVLPNQMFMITGIVITFVTIQIVMNQLMPRRRMDNIMAWTLRGWTL >ORUFI01G28890.1 pep chromosome:OR_W1943:1:25034967:25037411:-1 gene:ORUFI01G28890 transcript:ORUFI01G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVIGCLAVQLSLASLLLCSSASTAQHTLGTGSSLSVADRSRPFLVSPDGTFSCGFIQAGDDGDNAFSFSVWFTAARDGAVVWTANPDAPVNGRGSTISFRHDGELALADTNGTTVWASRTGGGGRGLTVSLRDTGNLVIEDPSTGRAVWQSFDWPTDTLLPSQRFTKDTKLVAGYFSLYYDNDNVLRMLYDGPEIASIYWPLPGVSIFDFGRTNYNSSRIAILDDAGVFRSSDRLQAQASDMGVGVKRRLTIEQDGNLRIYSLNASTGGWAVTWAALSQPCQAHGLCGKNGLCVYLPSLRCSCPPGYEMIDRRDWRKGCQPMFSVGNCSQPAAPERFKSVVVPQTDFYGYDLMFNGSSITFELCRNQCLSDCQCVAFSYRFDGVGRCFTKGRLFNGYTSANFPGNIYLKVSIDFDESSPLVSARSAAGLTCNPNVSIVTVPAAVYGMAPRNSGKWTYLFVFAGVLGVLDLLFIATGWWFLSSKQSIPSSLEAGYRRVMTSQFRRFTYRELKDVTANFKEELGRGGSGVVYRGVLDGGKVVAVKRLAVDVTMQGDEEFWAEMTVLGRINHMNLVRIWGFCSERKHKLLVYEYVENQSLDRHLFDTAEGGGGMSTTTLAWKDRYKIALGTARGLAYLHHECLEWVIHCDMKPENILLTRDFDAKIADFGLAKLSKRDGGAGVELTHMRGTSGYMAPEWALNVPINAKVDVYSFGIVLLEIVVGSRVADQRTEAGERLQLPQIAQALRHVLDSGDVRSLVDARLQGQFNPRQAMEMVRISLACMEDRNSRPTMDDIAKALTAFDDEDEHPAYRS >ORUFI01G28900.1 pep chromosome:OR_W1943:1:25038695:25039000:1 gene:ORUFI01G28900 transcript:ORUFI01G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDYSTISPFTHWCPSALHRPFTVYRPHRPTVHQVYGPRRIVKGISHPKSSIEVRGSPTYILGHLPFHNQCGTI >ORUFI01G28910.1 pep chromosome:OR_W1943:1:25043740:25047730:1 gene:ORUFI01G28910 transcript:ORUFI01G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVATSAERRRANEKRPAAAASQLRYERPPRESPPLPTSATCRRGRWWWRAWQARRDPHAVPATEEAERGLAIRRGLGAGGMGDEQFGPPSRSQPATVSPARLTALALVRRGDASLFLFAVMQCSDRRVVLLWIIAAFGY >ORUFI01G28920.1 pep chromosome:OR_W1943:1:25045067:25047403:-1 gene:ORUFI01G28920 transcript:ORUFI01G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIPCLILFSSLQILAFSSASPEHTLGTGSFLSVEEYEKPFLISPSNTFSFGFYETGDNAFSLSIWFTNTVEKTVVWAANSESPVNGHGSKLSFTQEGSLVLSDEKGFVVWDSKTMLGQDSRVALLDTGNLVITDSKGSVVWQSFDSPTDTLLPLQLLTKDKRLVSGYYSLYYDTDNVLRLIYNGPEISSPYWPNPSESIFDFGRTNYNSSRIGVLDNTGHFTSSDGLNIIASDSGLGINRRLTIDQDGNLKLYSLNKVEKSWIVTWEAMPQHCDVHGLCGRNSICEYSPGPRCSCLPGYEMADLENWSKGCQPMFTNNYGQAIGQVIFVEMRHVEFYGYDTGFNISVSLEDCEEFCSQQRSCVAYSYHAGSGYCYTKGMLYNGRKTQSITGSTYFKLPKTCNISEVKQHGLTCRHSHSTYEMHRQHGKWLYFYTCAAIFGGLELFFTTTACLFLRSKQNIPKSVMDGYELMTEHFRKFSYRELKEATGNFKEELGRGGSGVVYRGVLDRKKVVTVKRLTNATEAEEEFQSEISVIGRINHVNLVRTWGYCSEGKHKLLVYDYVENESLDKHLFESIDAKKLLRWNQRFTIALGTARGLAYLHHECLEWVVHCDVKPENILLTQDFEVKIADFGLAKLSKRDCSCLQLSHMRGTVGYMAPEWALNLPINAKVDVFSYGIVLLEIVMGARISSQTTTEGEKLDLTQIVEALKQVVACGDVTHIVDAKLHGQFNHLQAMEMVKISLSCIGERTKRPTMDEITKALMACGDEDKYADCTE >ORUFI01G28930.1 pep chromosome:OR_W1943:1:25052489:25053155:1 gene:ORUFI01G28930 transcript:ORUFI01G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEQERQGGGVQRGGVHLSPLYLYFLRVFTDEEAAARDGLWKRSGARRLAGEEQRAAANLPVSSSSAAAVWIPPLSCRICTAAAMVLSPPGMARRVGTRKGGRSSGATTVAGHERSGSGGRGRGRRRVVRGRRRDHGGRSRWWSPRRSPAASSSSAAARVRRGAGVTAAAARAELDRRVNDDLEELKGCVDLGFGFNYDVIPELCSTAPCSSSATP >ORUFI01G28940.1 pep chromosome:OR_W1943:1:25054706:25061498:1 gene:ORUFI01G28940 transcript:ORUFI01G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAERLGRRGREVWTPHPPHPLHRGPSRHRLGSKVSVGEVKLSHKIVPLESLTTRFPLSSSAAVDPSPALMVLVQTVEPLTSIRTSNTFDPCPFTGDRRFADQTTVLPAVLVSQMEKVKACEYEPGYKQMHVGDEANFLPCVIPNCKVSYIVLSLCCSD >ORUFI01G28950.1 pep chromosome:OR_W1943:1:25055836:25058154:-1 gene:ORUFI01G28950 transcript:ORUFI01G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFLYLIILSFFLSLLVFCTSASPRLTLHTGSSFSVEDYKHTFLTSPNSTFSCGFYPIGTNAFTFSIWLTNTAGKTVVWSANRRSPVNGHGSKVLLHDDGYLVLIDVNGSTVWTSTMSAGEGSTAALLESGNLVVRDSSGTILWESFTSPTDTLLPAQQLTKDTRLVSGYHSLYFDNDNSLRLVYNGPEFSSIYWPNDDYTMFRDGIKVKNNSRLAVLDDKGGFFSSDALTVQASDFGLGIKRRLTLDYDGNLRIYSLDASDGSWTVTWQAIVEMHYVHGMCGKNGICEYLPELRCSCPPGFEMVDPQNWSKGCRPTFSYNCGKERYKFIEIPQTDFYDFDLGFNQSISFEECQNICLSTCSCIAFSYRLTGTGVCYPKGLLFNGYKSPAFPGTLYLKVPYSTNLQASSTQSALTCSPGSQEIATPSDHPRWLYFYIFPGVFGALELIFILTAWWFLSIRNDIQNSAEGGYMMIRNQFRGFTYQELKEATGKFREELGRGSSGIVYRGVLKDKRVIAVKKLIDVTRGEVEFQAEMSVIGKINHMNLVRIWGFCSEGKHKLLVYEYVENESLDRYLFNTMGTERLLVWKDRFNIALGAARALAYLHHDCLEWVFHCDVKPENILLTRDFEAKIADFGLSKLYKREGSSFNFSQMRGTMGYMAPEWATNLPINAKVDVYSYGVVLLEIVAGQRISSHTTREGKVTKLKQFIENVKEALATGDTKCIVDGRLHGQFNSEQAMVMLIVAVSCLEEERSKRPTMHEVVKSLLDCEE >ORUFI01G28960.1 pep chromosome:OR_W1943:1:25063389:25068615:-1 gene:ORUFI01G28960 transcript:ORUFI01G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIDLNTVEEEEDEEEGGATGTVTAPAEARAGGAVCLELWHACAGPVAPLPRKGSAVVYLPQGHLEHLGAAPGSGPGAAVPPHVFCRVVDVSLHADAATDEVYAQVSLVADNEEVERRMREGEDGAACDGEGEDAVKRPARIPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSLQRPFQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSGFINKKKLVSGDAVLFLRGEDGELRLGVRRAAQLKNASPFPALHNQISNTSSLSEVAHAVAVKSIFHIYYNPRLSQSEFIIPYWKFMRSFSQPFSVGMRFKLRYESEDASERRRTGIIIGSREADPMWHGSKWKCLVVKWDDDVECRRPNGVSPWEIELSGSVSGSHLSTPHSKRLKSCFPQVNPDIVLPNGSVSSDFAESARFHKVLQGQELLGLKTRDGTVNTASQATEARNFQYTDERSCSINMSNNILGVPRLGVKTPSGNPGFSYHCSGFGESQRFQEVLQGQEVFRPYRGGTLSDACIRGSGFRQPDGNHAPGAAFKWLAPQGCDHHGITTSVLPQASSPSSVLMFPQTSSKMPGLEYIYGCLDRNENSRHFKIGPTQDMTRTDQTLRLWPHLISGKVLDECTRNEKLHSPVSGAEHESNNKCLNTNGCKIFGISLTEKAQAGDEVDCGNASYHSRLQSLKPQMPKSLGSSCATHFHRLCYRIQDDDRGNADDTRRMLVKFVVMHF >ORUFI01G28970.1 pep chromosome:OR_W1943:1:25093270:25093914:1 gene:ORUFI01G28970 transcript:ORUFI01G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRLHPKPEPPWLMLPAAGDSPQHLAVADFYSFSDGRRRSITLPSPAIQSRMWIGSAKGWLVTTDDKCGLHLLNPISGTQHSLPSITTTGYFDALPRTDGDEARVVLARGRDAKWMPLQTRHMYEDVIVYRGQFYMVTLGLSQCELWGP >ORUFI01G28980.1 pep chromosome:OR_W1943:1:25100339:25104451:1 gene:ORUFI01G28980 transcript:ORUFI01G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRFGERQPPRRLLGDDGGPSVHGDGFLATAAAAASTARASWRRRRPQRPRRLLLGDGGGPSVHGGGGPSVHGDGGAPNVHGDGFFDGGGPSIDGTTTTKKASKGSYRRQEGGRNPRMHEYNKNGEKFRSARGPGGATSRTRPEAKKAVPKIGDEESPRRMSEMEFSDLLTGPLDLVVSTDDLTKFMLGMDMLLKHFIIYLEYPRESTRQAGIAEIGCCDFYKACFYMEKFYEVWHSMLETMNLLGCRPWSSIIEEFSHNAE >ORUFI01G28980.2 pep chromosome:OR_W1943:1:25100339:25104451:1 gene:ORUFI01G28980 transcript:ORUFI01G28980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRFGERQPPRRLLGDDGGPSVHGDGFLATAAAAASTARASWRRRRPQRPRRLLLGDGGGPSVHGGGGPSVHGDGGAPNVHGDGFFDGGGPSIDGTTTTKKASKGSYRRQEGGRNPRMHEYNKNGEKFRSARGPGGATSRTRPEAKKAVPKIGDEESPRRMSEMEFSDLLTGPLDLVVSTDDLTKFMLGMDMLLKHFIIYLEYPRESTRQAGIAEIGCCDFYKACFYMEKFYEPMVVYH >ORUFI01G28990.1 pep chromosome:OR_W1943:1:25105541:25105829:-1 gene:ORUFI01G28990 transcript:ORUFI01G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVPLLPWQGALGENLVPFLGRMTTASFGVATFLRASVFASWWCCWPGGDRSRIATAGSVLGSPLCSVCLRLALGSGRVLWCTSFLDNQLSM >ORUFI01G29000.1 pep chromosome:OR_W1943:1:25105958:25107392:-1 gene:ORUFI01G29000 transcript:ORUFI01G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLLPSSQLPSGQHETSLKENFRKTPRVALARAARGAKNPSRPRLHSFPLTSPLPELAGGVDGPSAEAAGAWGSVVRLARARRRRAGAACPARQQRCGGFATAARPGSPRRGDVSWDARDGARAARRRRQRRVGHAEVAGWRRDQRRWAAWVAVGGRRGGGGALASARPPLGLAAVWSALAAGSSFPWLARRSLCSKSSSPSLWGASRHGEGVGRSTRKTQVAKARLFPVRSHRSDSTWRLKGGVAEVAWVSVLGRGGGVVFLVVDQANPVWGAPPLSAGSFLTGWWRWSVDKENSGCRGNVVPCPDSLKLDSTGKPAGGVAEAAWVSYLAEVVGAVAVLSLARLEAGRRWGVGWVWWKPCAADV >ORUFI01G29010.1 pep chromosome:OR_W1943:1:25113886:25118025:-1 gene:ORUFI01G29010 transcript:ORUFI01G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNSFNDVRAVENNLATAAAVAAAKKQQQQQQVSQHVNLIKTCPSCGHRAQYEQAAAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDARKLHPLIDEFIPTIEGENGICYTHPERLPGTFTGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTGGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFYQTQPRQCGGGSAATAKDLSVDLVAGNNIKASNAAAEHHHNDGVGGGGHGGNNSSMLKEAAGIVDFYNPAAALIGYSQAAPNNRAAASAHLTMPNFEVHTGGAGFGP >ORUFI01G29020.1 pep chromosome:OR_W1943:1:25123322:25127963:-1 gene:ORUFI01G29020 transcript:ORUFI01G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATPSATRWPKTLTADHLHRLVRAERDPRRALALFDAATARPSSASPPDDSTAAAAAPVLPSRDTVSLLTSRLASASLLPLASSLLSRSRELFPSPGELEPPFLSLLRAYSRAHQPLAALHLFRSAPSALGLPHSARSYTAVLAALVAHSHLSLARSLLADMRAAGFAPTTATYNVLVKAHCSDAAVPIDDAVRVFRNIPKPDACSYNTVIDGLCRRGRLPEARDLFAEMIANGTAPTVVTYTTLIHWLAREACFDDALKLFDEMARRGIMPNVVTYSSLIDGLCKGGRAASAVELLDRMVKERKLPNTITYSSVIDGLCKEGCLGQAMEILDRMRLQGRKPDAGLFGRALEASNYLDEMNFAGIRPNRLTWSLHGRINDAVVTALCSKGEVVRAFQVYQSMRTRGISTKPTTFHLLVECLSKKNNLEKAAHVVRDMLSERCIPERETWDTIVRAYWSKKKGPCAAVAKVGARNWKTGTVSEGRNLMFLCLGDCFLHGLMLLASSVLRGSLARGGITHMDTSGSVRYMYSIVRYMECIRSKSSVSVTRSNI >ORUFI01G29030.1 pep chromosome:OR_W1943:1:25128692:25134621:1 gene:ORUFI01G29030 transcript:ORUFI01G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRALPLLVLGMALCALALFPFVASASRDLRHHPAGFVLETQPKLLDSNFTTNMSSSPTWEEMAKEGWPVDFAATNWQEG >ORUFI01G29040.1 pep chromosome:OR_W1943:1:25128910:25129685:-1 gene:ORUFI01G29040 transcript:ORUFI01G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYAGGQGGDGQERRETRSPLPLGGRRRRRLGCLLCCFDGGKDGEGKGEELGQCAARALRTTSLWVRDHGVELPEMVVQAGRRRRKPH >ORUFI01G29050.1 pep chromosome:OR_W1943:1:25135299:25135936:1 gene:ORUFI01G29050 transcript:ORUFI01G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAYHTYGYNNIFILDMWELSENGGDEAMLQGYDNGVGHRCGSRRHYKKIASSTPAHQHDNLEY >ORUFI01G29060.1 pep chromosome:OR_W1943:1:25139532:25156913:1 gene:ORUFI01G29060 transcript:ORUFI01G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDGAAPAEGSAASTPPPAPPAAAAAAAAAVSAGSTGASGSGEKTVKRMMKSPYQLEVLEKTYAVEQYPSETLRAELSAKIGLSDRQLQMWFCHRRLKDRKPPTKRQRREEEAAAVPLMAPPPVLPPPALPLSSGELLIGASSPYDEPPLPPVHSRRGAGRSSAVPRLSAPDIGRRYYEPLPVMLPPPPVASMQLMPSELRVIHSVESQLGEPLRDDGPVLGIDFDPLPPGSFGAPIVPEQQKQHVRSYDTKIYSRHDSKLLKASAFFPSVEHPFVPNSIAGKKKSMVGNLPPVHPHAGSRAVHEYQFLPEQPSDRYEGASRSHEGASRSHYYDTPVEASNSRMSSHTPGSHLLRGSDEAAPGYAFQGQMSGSGHLPQSGRREVLPAVPTDYEMIQSNSDLNSVPVEGQYGISQVAGIENSLLPSERRAYHDEDGSRVDRKRKHNEEAKIAKEVEAHERRIRKELEKQDMMKRKREEQMRKEMERHDRERRKEEERLLRERQREQERFLREQRREHERMEKFMQKQSRRAEKQRQKEELRKEKEAARQKAANERATARRIAREYMELVEDECLELMELAAQSKGLPSMLSLDSDTLQQLDSFRGMLTPFPPEPVRLKEPFSIKPWTVSEDNVGNLLMMDKQIDLFDTLASLHQVWKFSITFADVLGLSSVTFDEFVQSLHDYDSRLLGELHIALLKSIIKDIEDVSRTPSVALAVNPAGGHPQIVEGAYAWGFNIRSWQRHLNVLTWPEILRQFALSAGFGPQLKKRNAEDVYYRDDNEGHDGQDVISTLRNGSAAVHAAALMKERGYTHRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAERIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVKSPYRKDPADSEVVLSSAREKIRAFQNVISDSEAEKEANDAERDEDSECDDADDDPDGDDVNIDVGDGKDPLIGVKEQDGVPITTIVDSTKREKEKVDALTQSSDLTTSGKEAPKPSLGKPSSANTSSDSPVRASSEYHEVPPTDAEDKEIDESNQGESWVHGLAEGDYCDLSVEERLNALVALVSVANEGNFIRAVLEERLESANALKKQMLAEAQLDKRRSKEEFAGRVQYNSNMNLKADVNQENATESTPTPFHNVDKHNDGNTGVVDNNNNEIIDHNSNAANASYERNGLGQDIAATPDTLSVQQYAYADKTRSQLRAYIGHRAEQLFVYRSLPLGQDRRRNRYWQFSTSASPNDPGSGRIFFECRDGYWRVLDTEEAFDSLVASLDTRGSREAQLHSMLQRIEPTFKEAIKRKKSAVVEQSAGRYLKNGATEMIRASYRSDFGSPSSNLSGVTSDSAIAYSDSFKIELGRNDVEKTAISKRADVFIRWMWRECNDCKLTCAMEYGKKRCSELMHSCNYCYQIYLAEERHCSSCHKNFKSIHNFSDHASQCKDKLRTDHNWKMQTADHSVPIGVRLLKLQLSTIEASIPPEAIQPFWTDGYRKSWGVKLHSTTSLEEIFQMFTLLEAAIKRDHLSSEFETTSELLNLNTQDNPSQNHVGLSGSAAVLPWVPDTTAAIALRMLDLDSAVSYMQNQKMERNGGDFMKPPSRFVAVKNAQELDPLETTGLDLFDGRWATGSGRRGRGRGSRGGSRGGRGRSRGGRVPRGISISSRIGFKDENEASRKNTRRGRTRGRGRGRGRRTVRSRQPSEGKGRSIPKENLLGSFSMLSNAKAATVEESPRSSGADEWGLENRRPYIDGDENSSGSQLDQSEDNEENGQPMDEEYDEQVPDYSRGYSGGSRPHGMIDDDVSEEEDEDVEGDDDGEEDDADRAVDDVDAEMDEDDDIGDDGEDGGDGGDGVEANADEDEGGSSYSSEYSD >ORUFI01G29060.2 pep chromosome:OR_W1943:1:25139532:25140622:1 gene:ORUFI01G29060 transcript:ORUFI01G29060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDGAAPAEGSAASTPPPAPPAAAAAAAAAVSAGSTGASGSGEKTVKRMMKSPYQLEVLEKTYAVEQYPSETLRAELSAKIGLSDRQLQMWFCHRRLKDRKPPTKRQRREEEAAAVPLMAPPPVLPPPALPLSSGELLIGASSPYDEPPLPPVHSRRGAGRSSAVPRLSAPDIGRRYYEPLPVMLPPPPVASMQLMPSELRVIHSVESQLGEPLRDDGPVLGIDFDPLPPGSFGAPIVVEIK >ORUFI01G29070.1 pep chromosome:OR_W1943:1:25158925:25162491:1 gene:ORUFI01G29070 transcript:ORUFI01G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISSLAAAKRFYAAQLGHVDDMAGIGMEEVEMEMEDDGEGMELELEMQLEEATWPDVACPYCYEDHDIASLCAHLEEDHPYEPHTSPCPICFEKITRDMLNHITMQHGYLFKSGRRMRRFVIPESQALSLLSRDLRDAQLQALLGGGHRQRRSNTTATNISADPLLSSFGLGFSTLDSEERSKAPVPIPDDTSIHKDTPAQPWESRIDSSLTSEEREQKRKQATDRATFVQGLVLSTLFED >ORUFI01G29070.2 pep chromosome:OR_W1943:1:25158925:25162491:1 gene:ORUFI01G29070 transcript:ORUFI01G29070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHITMQHGYLFKSGRRMRRFVIPESQALSLLSRDLRDAQLQALLGGGHRQRRSNTTATNISADPLLSSFGLGFSTLDSEERSKAPVPIPDDTSIHKDTPAQPWESRIDSSLTSEEREQKRKQATDRATFVQGLVLSTLFED >ORUFI01G29070.3 pep chromosome:OR_W1943:1:25159790:25162491:1 gene:ORUFI01G29070 transcript:ORUFI01G29070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKPCPICFEKITRDMLNHITMQHGYLFKSGRRMRRFVIPESQALSLLSRDLRDAQLQALLGGGHRQRRSNTTATNISADPLLSSFGLGFSTLDSEERSKAPVPIPDDTSIHKDTPAQPWESRIDSSLTSEEREQKRKQATDRATFVQGLVLSTLFED >ORUFI01G29080.1 pep chromosome:OR_W1943:1:25163315:25170010:-1 gene:ORUFI01G29080 transcript:ORUFI01G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRASQRRGGAAAGGASPAAEPYNIIPIHDLLAEHPSLRFPEVRAAAAALRAVGGLRPPPYSAWREGQDLMDWLGAFFGFQRDNVRNQREHLVLLLANAQMRLSSADFSDTLEPRIARTLRRKLLRNYTTWCGFLGRRPNVYVPDGDPRADLLFAGLHLLVWGEAANLRFVPECLCYIYHHMALELHRILEGYIDTSTGRPANPAVHGENAFLTRVVTPIYGVIRAEVESSRNGTAPHSAWRNYDDINEYFWRRDVFDRLGWPMEQSRQFFRTPPDRSRVRKTGFVEVRSFWNIYRSFDRLWVMLVLYMQAAAIVAWESEGLPWRSLGNRNTQVRVLTIFITWAALRFLQALLDIGTQLRRAFRDGRMLAVRMVLKAIVAAGWVVAFAILYKEAWNNRNSNSQIMRFLYAAAVFMIPEVLAIVLFIVPWVRNALEKTNWKICYALTWWFQSRSFVGRGLREGTFDNVKYSVFWVLLLAVKFAFSYFLQIRPLVKPTQEIYKLKKIDYAWHEFFGKSNRFAVFVLWLPVVLIYLMDIQIWYAIFSSLTGAFVGLFAHLGEIRDMKQLRLRFQFFASAMSFNIMPEEQQVNERSFLPNRLRNFWQRLQLRYGFSRSFRKIESNQVEARRFALVWNEIITKFREEDIVGDREVELLELPPELWNVRVIRWPCFLLCNELSLALGQAKEVKGPDRKLWRKICKNDYRRCAVIEVYDSAKYLLLKIIKDDTEDHGIVTQLFHEFDESMSMEKFTVEYKMSVLPNVHAKLVAILSLLLKPEKDITKIVNALQTLYDVLIRDFQAEKRSMEQLRNEGLAQSRPTRLLFVDTIVLPDEEKNPTFYKQVRRMHTILTSRDSMINVPKNLEARRRIAFFSNSLFMNIPRATQVEKMMAFSVLTPYYNEEVLYSKDQLYKENEDGISILYYLQQIYPDEWEFFVERMKREGMSNIKELYSEKQRLRDLRHWVSYRGQTLSRTVRGMMYYYEALKMLTFLDSASEHDLRTGSRELATMGSSRIGSSRREVGSDGSGYYSRTSSSRALSRASSSVSTLFKGSEYGTVLMKYTYVVACQIYGQQKAKNDPHAFEILELMKNYEALRVAYVDEKNSNGGETEYFSVLVKYDQQLQREVEIYRVKLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFNRHYGIRKPKILGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLADPLKVRMHYGHPDVFDRLWFLGRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQTLSRDVYRLGHRLDFFRMLSFFYTTIGFYFNTMMVVLTVYAFVWGRFYLALSGLEAFISSNTNSTNNAALGAVLNQQFVIQLGIFTALPMIIENSLEHGFLTAVWDFIKMQLQFASVFYTFSMGTKTHYYGRTILHGGAKYRATGRGFVVEHKKFAENYRLYARSHFIKAIELGVILTLYASYGSSSGNTLVYILLTISSWFLVLSWILAPFIFNPSGLDWLKNFNDFEDFLNWIWFRGGISVKSDQSWEKWWEEETDHLRTTGLFGSILEIILDLRFFFFQYAIVYRLHIAGTSKSILVYLLSWACVLLAFVALVTVAYFRDKYSAKKHIRYRLVQAIIVGATVAAIVLLLEFTKFQFIDTFTSLLAFLPTGWGIISIALVFKPYLRRSEMVWRSVVTLARLYDIMFGVIVMAPVAVLSWLPGLQEMQTRILFNEAFSRGLHISQIITGKKSHGV >ORUFI01G29090.1 pep chromosome:OR_W1943:1:25182804:25188490:1 gene:ORUFI01G29090 transcript:ORUFI01G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKARPAEPPTPGRKGRKPLPSHAPPTEAAAAAATAAASPAAATASPAADAMEAEAGGVAIVYDALPGLTLAFSPEEEEHLEGATADLGGASTSASAAVEEVEDATAAYSVFRNEITAAGDALVDIPAADFFSLDVSAAVEDEPATPRALSPQPAPEATLSGSLAPAEQPAQGSERAWFRGGRRFRSPMLQLHKEILDFCDFISPSAEEQSSRTAAVKAVSNVEVFGSFRTGLFLPTSDIDVVIFDSRVKTPQVGLYALAKALSQKGVAKKIQVIAKARVPIVKFVERKSEIAFDISFDMDGGPQAADFIKDYVKKFPALRHLCMILKVFLHQRELNEVYTGGIGSYALLTMLITHLQLVWGGKDILGYRKKEHNLGILLIALFDFYGRKLNNWDVGISCNSARTFFLKTDKNFANPDRAYLLAIQDPMVPDNDIGKNSFNYFKVKSAFSKAYSVLTDANLITSLGPNRSILGTIVRPDSVLLDRKGWNKDATIPDMLTEPWEPLPRQFDSDNDAVYNWHVIDDEPLPRNTRSSSEDTSPSPTQKRKSSKPKQRSRKKAKADSSSGNNAENGFKRGKGLAQCDRSHQSAGSSRRSKGPREYDRFTNTLPQYTQHISRW >ORUFI01G29100.1 pep chromosome:OR_W1943:1:25189427:25191541:-1 gene:ORUFI01G29100 transcript:ORUFI01G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPAAASVSGAFGLPADPAAARCSFDQSRRRPEDLQQEKRMVRTFVNVYGGQESYTKEAVMAAVEECMKKQAEGLLHSLEGIGGRLSQLELYCYKLERSIGELRSDVMDYHSEGTVNFRCLEKNLRQVQKSVQILQDKHEISETPNEFSKLQIAHEFPARANEASAFSTFGRENDHSTQVAKHEVAFMPLQQVNAMQSPAVPVQSSNGYILQQLVPVSLSTQPDQQQPSQAAVYYMQSQNPIKCTESEPSESAVHVIQSQIQNPEARVAVDLSQKSSQVTELYPQPQDQRLHLPAQQVESQAWRTQPLVVQPQQYNIQQVPPQLVQQQTSSPQAQSAPQVAVLYPPYSSQKPASATTEPLLRNMVVHSPYSSPQQKHHEAMPSFYGQGNTVLLPSTDLNIQHQQPQPLQQHGLSSCPPQPSKPNHCSVASYVVQGSGQSYSATFKNPSNCAATVVAVLPQHPASGPMAFHHLGPQVVHNQPFGNMFETASVVGYPRDRVESVALPVVTAAQPADSVAMADKLNAGSNVTSPREWSG >ORUFI01G29110.1 pep chromosome:OR_W1943:1:25211244:25211549:-1 gene:ORUFI01G29110 transcript:ORUFI01G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRASIIVAAVIAACVLLVCMTTSSVVDAAAAAPARRLLGSGRDDDAVAAPVVDVAAAAEPIMQQPAQMVAPVVADGDDGGVVPAGSKRLSPGGPDPQHH >ORUFI01G29120.1 pep chromosome:OR_W1943:1:25216313:25217488:1 gene:ORUFI01G29120 transcript:ORUFI01G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPRPPWSDGLPPELLGVIFEQLSCLADRACFAAVCRPWRTAAAFVDAPQRGLPWLLLPSRDAPSFFSLHSGATRHLTLPEGVRGARLCGAHDGGWVAVAVDPWRGFAAVNLFTGVRVTLPEKLRLEVPYAHGYGPVTVTSHHPMLVRTIVFSAPPASPDCIAAAHVSSASNIAFWQPGMSRHWIASRPEPDVIQDIIYYSGEEKQGFHVLTNREEVLVFAPRAGRDPNALLEMTCASYQMRRRANHLPASFIATRYLVESRGKLLMVVRHCTGNPRVRRRTRMFRVFEMSLLPTGAYWLEIHELSGRALFLRRGCSRAVEVSQFKMLKEDTIYFLDDTNVDMCDSMVMNNGSRYNMGIYRDGKKIRAGSRQFPRVFTADCSPPIWLVP >ORUFI01G29130.1 pep chromosome:OR_W1943:1:25218645:25218914:-1 gene:ORUFI01G29130 transcript:ORUFI01G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVGVVVAVIAACVLLVVGMTAPPVDAAAAAAARRLGNGRDAAVTDPALEAMMPAQTTVAPVVADGGDVDVSGSKRLSPGGPDPQHH >ORUFI01G29140.1 pep chromosome:OR_W1943:1:25220120:25226373:-1 gene:ORUFI01G29140 transcript:ORUFI01G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGADEPSITRWTFEDFEVYYEVRLGIRREPGGDEDGDGDGGGGRGYAPLGSGSAGSTRPSAAHANGGADLAVFEQFERLERKVELRNGAIEAGPPQKSLLPSFESAEMRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFKGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTDDLVFVLAATNLPWELDAAMLRRLEKQQEARHAMFEELLPSVPGTMNIPYDVLVEKTEGYSGSDIRLVCKEAAMQPLRRLMSVLEGRQEEVPEDELPEVGPVTTEDIELALRNTRPSAHLHVHRYEKFNQDYGSHVLS >ORUFI01G29150.1 pep chromosome:OR_W1943:1:25228634:25231230:-1 gene:ORUFI01G29150 transcript:ORUFI01G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKANEAEAVETAKEWTRLYASGA >ORUFI01G29160.1 pep chromosome:OR_W1943:1:25236270:25236750:1 gene:ORUFI01G29160 transcript:ORUFI01G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPSTAAAAAADASGFKLFGKAAGGGGGGGGGGGGEPLPCPRCGSRETKFCYFNNYNVRQPRHLCRSCRRYWTAGGALRRVASASPGRRRPRPSAARSAAAAAASASAASPPAAVPAASEGAESVDSRS >ORUFI01G29170.1 pep chromosome:OR_W1943:1:25237047:25241183:-1 gene:ORUFI01G29170 transcript:ORUFI01G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPALAAGRAASVRPPRASAASGEAAAAAAADQPAGRRPVKVILPKKKPQKWSTGMAPGEYGGGPATPKPRKYWMGKEDRDPVGNTDDFIWNKDFLPHMERVIANGGADTPPTITRLTPVDEDSESGFLSINRAMSLDSVDVDLSKELQAPTRPILKTQVEAAWRGRAIGAEAVNGVASPRWRLVPTRREQAKWDRAAKAATGGSDVILRESKSRGQQGDPEVLAAKSREQYLEALVALVWSPPIFPTLLRLLQGLIGSVLYLRMLGTSVDSLAGGTGETVKSAAAQPRLLIPVALVMMYNRWNEILVPDYGFMHLELIPMLVGFFTYKIATFAQAIQESIPAVGNQPKHGQPKELKKTKISINHGSICHSDSSSHCLEACCCC >ORUFI01G29170.2 pep chromosome:OR_W1943:1:25237047:25241183:-1 gene:ORUFI01G29170 transcript:ORUFI01G29170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPALAAGRAASVRPPRASAASGEAAAAAAADQPAGRRPVKVILPKKKPQKWSTGMAPGEYGGGPATPKPRKYWMGKEDRDPVGNTDDFIWNKDFLPHMERVIANGGADTPPTITRLTPVDEDSESGFLSINRAMSLDSVDVDLSKELQAPTRPILKTQVEAAWRGRAIGAEAVNGVASPRWRLVPTRREQAKWDRAAKAATGGSDVILRESKSRGQQGDPEVLAAKSREQYLELKQRLQLFTLGIGGIGLVSAYFSYSPEIAASFGAGLIGSVLYLRMLGTSVDSLAGGTGETVKSAAAQPRLLIPVALVMMYNRWNEILVPDYGFMHLELIPMLVGFFTYKIATFAQAIQESIPAVGNQPKHGQPKELKKTKISINHGSICHSDSSSHCLEACCCC >ORUFI01G29170.3 pep chromosome:OR_W1943:1:25237891:25241183:-1 gene:ORUFI01G29170 transcript:ORUFI01G29170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPALAAGRAASVRPPRASAASGEAAAAAAADQPAGRRPVKVILPKKKPQKWSTGMAPGEYGGGPATPKPRKYWMGKEDRDPVGNTDDFIWNKDFLPHMERVIANGGADTPPTITRLTPVDEDSESGFLSINRAMSLDSVDVDLSKELQAPTRPILKTQVEAAWRGRAIGAEAVNGVASPRWRLVPTRREQAKWDRAAKAATGGSDVILRESKSRGQQGDPEVLAAKSREQYLELKQRLQLFTLGIGGIGLVSAYFSYSPEIAASFGAGLIGSVLYLRMLGTSVDSLAGGTGETVKSAAAQPRLLIPVALVMMYNRWNEILVPDYGFMHLELIPMLVGFFTYKIATFAQAIQESIPAVGNRLGTNSECE >ORUFI01G29170.4 pep chromosome:OR_W1943:1:25238664:25241183:-1 gene:ORUFI01G29170 transcript:ORUFI01G29170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPALAAGRAASVRPPRASAASGEAAAAAAADQPAGRRPVKVILPKKKPQKWSTGMAPGEYGGGPATPKPRKYWMGKEDRDPVGNTDDFIWNKDFLPHMERVIANGGADTPPTITRLTPVDEDSESGFLSINRAMSLDSVDVDLSKELQAPTRPILKTQVEAAWRGRAIGAEAVNGVASPRWRLVPTRREQAKWDRAAKAATGGSDVILRESKSRGQQGDPEVLAAKSREQYLELKQRLQLFTLGIGGIGLVSAYFSYSPEIAASFGAGLIGSVLYLRMLGTSVDSLAGGTGETVKSAAAQPRLLIPVALVMMYNRWNEILVPDYGFMHLELIPMLVGFFTYKIATFAQAIQESIPAVGNRES >ORUFI01G29180.1 pep chromosome:OR_W1943:1:25242181:25244946:-1 gene:ORUFI01G29180 transcript:ORUFI01G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDLVSSDSESEEEDEARVHSPDRKRAACEADPRTESESFLERERMARLLHRHPHPHPPPSQEVKKGKEKVGEGEMLERAVSAPVDPLIGSRGCTLGAGGESKPGDGGNGGSISAPQDESDSKGLQEGHGQHGLLHSGSGTPDDKWKGILGARPADPAVDKLSHSRDNGKREDEVPMHEPSSVATNEVTGAGDVSMEDGSSTWLSRIKGLNYPLLDENQLRTRQIESDEEFARRLQEQLNKEQPGSQNLEEVVTHEGVRKEASTALTESNRIDDYESLIRLDDNNHHRGASDIQINNLPLSVVEV >ORUFI01G29190.1 pep chromosome:OR_W1943:1:25250552:25251470:-1 gene:ORUFI01G29190 transcript:ORUFI01G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKPPPPNPNLPYREDCWSDGETAALVDAWGRRYVDLNRGSLRQPHAKKPSPPRGLPMMRRRLESPSRSPSPPSPTPAVALPLPNYRQASNLPSAGLLFNKMAAAAAAESDSEDGYNNPNNNYEDDEDDGSQQSASRSVSSRSGGVAAAGAGGGGVSSSKRKRGGGGGGGFGELARAVETFAEMYERMEFAKQRHAEEMERQRIKFLKDLELKRMQAFVDVQLQLAKAKHRKHPDGATEMLMSLAALPFLSTPAYL >ORUFI01G29200.1 pep chromosome:OR_W1943:1:25252892:25261938:-1 gene:ORUFI01G29200 transcript:ORUFI01G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQLSGALVKGLNSSPALVIKKIAGLVFDCFKSLDPDVSPARSPTEDNHFFGNKGSQLLGQIKHGSCRPRAILFKVLADAVGLESKLVVGLPDDGGVGFVDSYKHMSVVVSLNSMELLVDLMRFPGQLIPFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEAEGIEASSNLSGRSLRNVMLRSRTFSEGKLSTSCSEPNIANAFWRRSQRRGVAEEPRGASSSPEHPLMKTRARSILGGEQHSFQEYAESGVTSRSDGLGGASTSKTRRIRGRSISITPEIGDDIVRAVRAMNETLKQNRLQRDHVNEGSPSYVGEDQNNASDCPNNDDTSGGVVATNNGPRNRNGSTQKAMSLPSSPHEYRAQISETINPCDFVSKEKMVLAWNKVLQSSPFLNKPLLPFEEWNIDFSELTIGTRVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNEYMEMGSLYYLIHMSGQKKKLSWRRRLKIVRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRVMTDSPMTDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWDGISPVQVVYTVANEGSRLEIPEGPLGKLIADCWAEPQDRPSCQEILTRLLDCEYAVS >ORUFI01G29200.2 pep chromosome:OR_W1943:1:25252892:25259997:-1 gene:ORUFI01G29200 transcript:ORUFI01G29200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTPTSSGKSEVNSCEPSWWPPDFLAKIESVSLSRKQSVFSDKEPRSNLRSSSWKASQLLWSTGTYSGFIPNGFYSIIPDKKLKENFPTIPSLDDLQTLEADGLKADIIIVDVERDKKLFMLKQLSGALVKGLNSSPALVIKKIAGLVFDCFKSLDPDVSPARSPTEDNHFFGNKGSQLLGQIKHGSCRPRAILFKVLADAVGLESKLVVGLPDDGGVGFVDSYKHMSVVVSLNSMELLVDLMRFPGQLIPFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEAEGIEASSNLSGRSLRNVMLRSRTFSEGKLSTSCSEPNIANAFWRRSQRRGVAEEPRGASSSPEHPLMKTRARSILGGEQHSFQEYAESGVTSRSDGLGGASTSKTRRIRGRSISITPEIGDDIVRAVRAMNETLKQNRLQRDHVNEGSPSYVGEDQNNASDCPNNDDTSGGVVATNNGPRNRNGSTQKAMSLPSSPHEYRAQISETINPCDFVSKEKMVLAWNKVLQSSPFLNKPLLPFEEWNIDFSELTIGTRVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNEYMEMGSLYYLIHMSGQKKKLSWRRRLKIVRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRVMTDSPMTDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWDGISPVQVVYTVANEGSRLEIPEGPLGKLIADCWAEPQDRPSCQEILTRLLDCEYAVS >ORUFI01G29200.3 pep chromosome:OR_W1943:1:25260105:25261938:-1 gene:ORUFI01G29200 transcript:ORUFI01G29200.3 gene_biotype:protein_coding transcript_biotype:protein_coding LTRPASFSRRALVKFLSGVSNSQPLPSSSSSSSSHSPVRVSADSATDRAPPPLTAEHAARRPNPGQVATPRATGSSWGVARGLTLPDTD >ORUFI01G29210.1 pep chromosome:OR_W1943:1:25263338:25264764:1 gene:ORUFI01G29210 transcript:ORUFI01G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSCRYSCPSVKTTTAVSADEPRSPSTFCIPQSSLDAISVPSNFVPSHAAVERERGSCPRCPGARSEHRRHDSIAVPVDRVKLRPSHRTIFITTTSSSEAPKKPSQWCSELGDRHHLLQLRPASSIFNSTRSTLRVDRAVVVNTEFFLAVEPFQPKPLLSKPRRSLPITLVSLLDKRGNGAAEGVDARRDGGR >ORUFI01G29220.1 pep chromosome:OR_W1943:1:25274389:25274774:1 gene:ORUFI01G29220 transcript:ORUFI01G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGRKRGGSSSAKLPPHAEDPRAAPPPPQAGLTATDGGQEVAMSQFVAQLGMCEASARVAKAFYEAARKRLDSMNQRLRWLEQQMETLEAEVGKASSAD >ORUFI01G29230.1 pep chromosome:OR_W1943:1:25276158:25280735:-1 gene:ORUFI01G29230 transcript:ORUFI01G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRETPPPPPPEGGEVHEVVEGEDGQAEDQEERWARLLPELMSEVVRRVEASGGERWPARKDVVSCACVCRRWRDAAVAVVRPPAESGKITFPSSLKQPGPREFPMQCFIKRNKKNSTFYLYLGLTNATVDKGKFLMAARRFRRGPHTEYIVSLDADDLSQGSNAYMGKLRSDFWGTNFKIYDSKPPYDGAKASSSRSSRRFGSRRISPQVSAGNYEVGQVSYKYNLLKSRGPRRMYCALECPSTQETWENCLKTKFRKPTGNTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAAADPNDPASSKDEETVLLQFGKVDDNIFTMDYRQPLSAFQAFAISLSSFGTKLACE >ORUFI01G29240.1 pep chromosome:OR_W1943:1:25284923:25292213:1 gene:ORUFI01G29240 transcript:ORUFI01G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPSATVGLIPNLAGRGVLLPASAPDSSACHGFVVPTRRRRRVSVAPFGWGLARRGRVSDARADGFGAMCAVAGGEAGPGSSELRHVEKELTFSPTFTDYVKIMESVKLDRSKSLESTESDGRSSRRRFTGDGDAPVVRRGDERSGDGRSTSFDRQKGSQRNRGDVNERSMANNDIQNNSIRLVEKKKPGDVEKRRGRQGKVDEYVQRRIVRGEISEDEGNVDKNERKQFTLQLKMKDTRGSMVAHQSERNMHVQSNARKGLQRQSTSMVSHISSPSNSRIILENTKSLVKRGKENFSSPARSIYENNFKYPRERKFTNYDVNADDKFQRYQQTTENSGRGVVVGRFGEGDIDYNKATVSKRYGNRQATSGHDGHPTVSLKRGKPEAIRMQRGENVQTGKFIRRDAKAIDLDDRAAFKTFEVFTDVRNRPRVLQMELEDRIQKLASRLNATDVNTPEWKFSKMIHDAKIKFSDHSILRVVQILGRYGNWKRVLQVVEWLQSHERFKSYKSRYIYTTVLDVLGKAKRPFEALNVFYTMLDQLSSYPDMAAYHCIAVTLGQSGLVKELFDVIDRMRSPPKKFKLSPIQNWDPRLEPDLIVYNAVLNACVQQKQWEGAFWVLQQLKEKNIRPTNTTYGLVMEVMLVCGKYNLVYEFFNKVEKTSIPGTLNYKVLINALWREGKIDEAVMAVKGMESRGIVGSASLYYDLARCLCSGGRCKEALLQVEKICKVANKPLVVTYTGLIQTCIDNGSMENAKYIFDEMCKYCSPNNITCNIMLKSYTEHGMFEDAKDLLENILSGRIRSKVESSQKAIADKFTFNIFMEACAEAKRWNDFEYAFRKMLSSGYHFDERRHLRMVLDAYRNGKEQLLEDVWDYMCQYGRVPPAPMIMERFCLKLRQGDTVAAMSCINTFQESKIRNVSSMSWFNLLNRNGDRLKEDIIIKLLHELNNLVSSSGHSDSLYQNIISSCTEFLSVSTSVEKASSDQQMLPCDQTAGGGWRARRRRLRDVGAATASSPQQKRKLLLSWQSGN >ORUFI01G29250.1 pep chromosome:OR_W1943:1:25291761:25292422:-1 gene:ORUFI01G29250 transcript:ORUFI01G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLPARGRRRSALFLHAEAPRREGGWGRGHGEWDVEAAAAEAEAEAVAGGEVGEGGDVRWWGPRRGQLNNNFLFCWGDDAVAAPTSRSRLRQQEGYRDTAHGD >ORUFI01G29260.1 pep chromosome:OR_W1943:1:25292325:25299533:1 gene:ORUFI01G29260 transcript:ORUFI01G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKMVDALLRCSALVALVLHFVVNGCSAVNTEGSALLKFQSRVEEDPHGAMAGWSERDGDPCSWNGVRCVDGRVVILNLKDLSLRGTLGPELGSLSHLRALVLSNNLFDGPIPKEMSDLAMLEILDLSNNNLTGEVPQEIAEMQSIKHLLLSNNNFQWPLIQNSYRNFDQEIDFDVYDERGDVYQRSENGFESDSSSEENTKDNNNLSAHLSSQFAARNPTVQLSRRRLLEDTNLAAASANAPVPAVASVPSTGTGSFSAFKEIKVPPPLSPPSSPPMSSGPPQRSKRWLYAIVISSIALLFIGIACMFLLCRNKSVATIGPWKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIVASYPHYTVYKGTLSSGVEIAVVSTVIATNKDWSKHSEGRFRKKIDLLSRINHKNFINLLGYCEEENPFMRMMVLEYAPNGTLYEHLHVEGFDHIDWNGRMRVIMGVAYCIQHMHELNPSITHPDLHSSAILLSEDGAAKVADMSVWQEVISKGKMPKNDDIVDHHEPVSADPAGNVCSFGLLMLEIISGRPPYSEHKGSLANLAMECIKDDRNISCLLDPTLKTHKENELEIICELIQECIQSDPKKRPGMREVTTRLREVLAISPEAATPRLSPLWWAELEILSVEAT >ORUFI01G29270.1 pep chromosome:OR_W1943:1:25300283:25300504:1 gene:ORUFI01G29270 transcript:ORUFI01G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWRHIGISTMQAARDGKGCHASASGERRDRGKEVGMVALVGIVGAFHAGEAERYIDGGDKGSGVRNKRSDM >ORUFI01G29280.1 pep chromosome:OR_W1943:1:25301829:25304913:1 gene:ORUFI01G29280 transcript:ORUFI01G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRHLLRVHSLDRFLPIRSLIMSSSSSFSSSSPSPPPPSSSSSRGSSSGRWCGVSVAEEDEDDAAVSATTTPPLPPLQKRVLSRSHGSKAKPLGGSVDHLPPVVPPSSKNVRDSGPPSEIDLVKEKFAKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEQRRLEPMSADRRARWNKEIDWLLSVTDHIVEFVPSQQASTDGTSMEVMGTQQRRDLLINIPALRKLDAMLLHYFAVVLLPVEQEYLDNFKDEQEFWYVKKDADEGEKGDAPRQGDKWWIPTVRVPPEGLPDASKKWILHQKDLVGQVLKAAMAINADVLTEMEIPGEYIETLPKNGRSSLGDSIYKIITDDHFDPNELLSSVDLSTEHKIVDLKDRIEASVVIWQRKISNKLSWGPGVSLEKREQFEERAQTVLLILKHQFPGVPQSSLDISKIQYNKDVGYAILESYSRTLESLAFAVLSRIEDVLHADAIARDPKRTKSRRRPSLVDIPEIIDNALEEEETVNSIDANSQVTNNSIHWQEQEHEDKGARLRKVHRMVTKKLLHIEKVDNLGGGLKSFSHR >ORUFI01G29290.1 pep chromosome:OR_W1943:1:25305627:25307407:-1 gene:ORUFI01G29290 transcript:ORUFI01G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAVGDTLPDGQLGWFDGEDKLQQVSVHGLAAGKKVVLFGVPGAFTPTCSNQHVPGFINQAEQLKAKGVDDILLVSVNDPFVMKAWAKSYPENKHVKFLADGLGTYTKALGLELDLSEKGLGIRSRRFALLADNLKVTVANIEEGGQFTISGAEEILKAL >ORUFI01G29300.1 pep chromosome:OR_W1943:1:25314402:25315517:-1 gene:ORUFI01G29300 transcript:ORUFI01G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAGYSAGMKRPLAAVAPSCDVDGRNAAAAAAAKRRERRREAKRARAAAAAATGALVPYVAPIDARPIRAVPLAAAAAARRKEADDQAAAAPPAEPAWIRKILLERLGLTYDQPVVFIARKTVTRTDLDPHQNRFRLPIFGVERRLLPMLTVDEAKEANLVENDEEKGVPRPRPRPKKKRRTEKGSVVVAATAAADGELQKPQGTTPETNVEGEDQAPPRPKPVPKKKKRRTEKGSVDVADGEQQQQQKGREKEPRKKAQGKDHGGVPVTVLHLSGAMKELRLVLWDSSHGTIIKGTGYMDFIAGTGLREHDAVQIWAFKRRGFRLFGATVPESRFYLVIVGGSWRPLEAPPPQCMLPPSHAPVEVCA >ORUFI01G29310.1 pep chromosome:OR_W1943:1:25317923:25320544:-1 gene:ORUFI01G29310 transcript:ORUFI01G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNAGAMQREGSVKDWEEFDPSPSPKLAYSQSYVAMRGLLTSVASLDLVLMSSSLKSAWAAISSHKHARSLERSRSKGMSLKRAMLQLLVCFMVGIFIGFTPPFSVDLPGKIASENGRLPFDGDAIDRRQMVERQGTKLEPFVAEAESEASSEPQVEEGPPVPAMLDDEVDFVEASPIVHSVNDSGIVVRKHLIIITTTSVRPHQAYYLNRLAHVLKDVPPPLLWIVAEWPYQSRETAEILRSSGIMYRHLICNRNTTNIRKIVVCQKNNAIFHIKKHRLDGIVHFADEERAYSADLFEEMRKIRRFGTWPVAIHVGTKYRVVLEGPVCKGNQVTGWHTNQRRGVSRRFPIGFSGFAFNSTILWDPQRWNSPTLESIIVHSGGRGGLQLNTPFQDLESRFIEKLVEDESQMEGLGDNCTRVMVWNFELEPPQVNYPIGWLLQRNLDAVVPIT >ORUFI01G29310.2 pep chromosome:OR_W1943:1:25317923:25320544:-1 gene:ORUFI01G29310 transcript:ORUFI01G29310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNAGAMQREGSVKDWEEFDPSPSPKLAYSQSYVAMRGLLTSVASLDLVLMSSSLKSAWAAISSHKHARSLERSRSKGMSLKRAMLQLLVCFMVGIFIGFTPPFSVDLPGKIASENGRLPFDGDAIDRRQMVERQGTKLEPFVAEAESEASSEPQVEEGPPVPAMLDDEVDFVEASPIVHSVNDSGIVVRKHLIIITTTSVRPHQAYYLNRLAHVLKDVPPPLLWIVAEWPYQSRETAEILRSSGIMYRHLICNRNTTNIRKIVVCQKNNAIFHIKKHRLDGIVHFADEERAYSADLFEEMRKIRRFGTWPVAIHVGTKYRVVLEGPVCKGNQVTGWHTNQRRGVSRRFPIGFSGFAFNSTILWDPQRWNSPTLESIIVHSGGRGGLQESRFIEKLVEDESQMEGLGDNCTRVMVWNFELEPPQVNYPIGWLLQRNLDAVVPIT >ORUFI01G29320.1 pep chromosome:OR_W1943:1:25336850:25342110:1 gene:ORUFI01G29320 transcript:ORUFI01G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPSLLPWISEESAVSSSMDGDLSSTAVSLSDSDVSARQREQSRLPLLAPELFTSAFLLHPVAAAAAASFAALSILVSGVCAAATTGFTSSGGGVASSVLDFVAVGGGAAMDLLAAAAAGGARGLRYTLHRTSSSEEAFCWAYLRPISTMIHPDRTRRGPSLLTNEKNWRRPIGRGLRMPPTTSLAPASECQHPPSPTARALLCPPVLLDRTVVKKYHALDPSLATAGEYLPVRSSGSAAYTSASWTYRHGFPPESTWRRRYLVTSSWSVGWEPKSAAGSASSGREGDMAERRRRPIWDRRSGRRCDGGKGRGEGRRRRVGVRMVKDSGRGMAAFIWGAVTRRNPESELGSARKRSRDVLITQALAGRRRSGVSFGVRIGVGVGVRAGIGVSDGLGRPF >ORUFI01G29330.1 pep chromosome:OR_W1943:1:25340893:25341774:-1 gene:ORUFI01G29330 transcript:ORUFI01G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRPDEADPAADFGSHPTDQELVTKYLRRHVDSGGNPWRYVHEADVYAADPDDLTGKYSPAVASDGSRAWYFFTTVRSKSTGGQRRARAVGDGGCWHSEAGAKDVVGGIRSPRPIGRRQFFSFVNKEGPRRVRSGWIMVEIGLKYAQQNASSDELVLCKVYRSPRAPPAAAAANKSMAAPPPTATKSKTEEATPPPDDVKPVVAAAQTPDTKILRAAKEAAAAAATGCKRKADVKSSGARRGKRLCSRCRAETSESDSETAVLDRSPSIEDETADSSEIHGSSDGKFIRFL >ORUFI01G29340.1 pep chromosome:OR_W1943:1:25368511:25369236:1 gene:ORUFI01G29340 transcript:ORUFI01G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFLRPLLPPKPFLSATPKPHIPAATPSAIVRCTAAPKPATGSIAKPSQEEANNNQEQEPNAAAAATPDEAGANPHRIPDDETPPSATATTSFAVARRVPSAISPDRRRRTALTQGEPPNYEIGWKRTKKLPLEKPKGWAIADFMEKLEGLMARGRYGSGELLGTVAGVVTERAREEAEILVAEGGVEERVATELFRVLRLVEMDVAMVKAAVKEETVKERVEMARARCRQAILVALSL >ORUFI01G29350.1 pep chromosome:OR_W1943:1:25397405:25399824:1 gene:ORUFI01G29350 transcript:ORUFI01G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNFGDSMGWGNSGRSSPAGSSRKGKRGGGSGGADKPKQPQRGLGVAQLEKIRLQSEMAEYFNPLGQPGSLIHRTGSLNLEDARASTSSLSSSPSSPFHATAVSSSPFPIHPNLAMAYGERGDVRYGEFQTPIMRSPSSSTIYGAPHYTHNPSITLPLFEPEESARLRGHHDRSRSADSTSMNSDDPQDVDLELKL >ORUFI01G29350.2 pep chromosome:OR_W1943:1:25397405:25399824:1 gene:ORUFI01G29350 transcript:ORUFI01G29350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNFGDSMGWGNSGRSSPAGSSRKGKRGGGSGGADKPKQPQRGLGVAQLEKIRLQSEMAEYFNPLGQPGSLIHRTGSLNLEDARASTSSLSSSPSSPFHATAVSSSPFPIHPNLAMAYGERGDVRYGEFQTPIMRSPSSSTIYGAPHYTHNPSITLPLFEPEESARLRGHHDRSRSADSTSMNSDDPQDVDLELKL >ORUFI01G29350.3 pep chromosome:OR_W1943:1:25397405:25399824:1 gene:ORUFI01G29350 transcript:ORUFI01G29350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNFGDSMGWGNSGRSSPAGSSRKGKRGGGSGGADKPKQPQRGLGVAQLEKIRLQSEMAEYFNPLGQPGSLIHRTGSLNLMAYGERGDVRYGEFQTPIMRSPSSSTIYGAPHYTHNPSITLPLFEPEESARLRGHHDRSRSADSTSMNSDDPQDVDLELKL >ORUFI01G29350.4 pep chromosome:OR_W1943:1:25397405:25399824:1 gene:ORUFI01G29350 transcript:ORUFI01G29350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNFGDSMGWGNSGRSSPAGSSRKGKRGGGSGGADKPKQPQRGLGVAQLEKIRLQSEMAEYFNPLGQPGSLIHRTGSLNLMAYGERGDVRYGEFQTPIMRSPSSSTIYGAPHYTHNPSITLPLFEPEESARLRGHHDRSRSADSTSMNSDDPQDVDLELKL >ORUFI01G29360.1 pep chromosome:OR_W1943:1:25404177:25406363:1 gene:ORUFI01G29360 transcript:ORUFI01G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLLLRAAVVYAALLAAGEADGSHDVLDIFGTRSESDYYRNAFQGRQGQAVPLPRGGGLRREQQELGAAGPGGSGLSKAPPRSAPSKVALDSLKLPVDTSAGFAGGWNLVSENSGVSAMHLVVMQHGKAIMFDTCTTGRSLMRLPPGRCRPDPRSKQPGAMDCWAHAVEFDYNTGALRSLKIVTDTWCSSGAFDADGNMVQTGGFFEGDKSVRYLSACGTCDWKEYGTQLVLPDGSFIVIGGRRAFSYEFVPAAGRANARATPLRLLRDTTDDVENNLYPFVNLLPDGTLFIFANDRSIVFNYRTGQVVRELPILPGGARNYPASAMSTLLPLDLRKGAGLSAEVIICGGATKNAFKLGETGTFPPALRDCARINPSKPGARWALDQMPSGRVMGDVLILPTGDLLMLNGAAKGCSGWGFGRQALLSPVLYSPYLRRGKRFRVLNPSNIPRMYHSTSALLPDATVLVAGSNTNSAYNFSGVDFPTEVRVERFTPPYLGPQLSPNRPAIDAASVPGDGMRYGARFTFRFTTPAQGVGQGDVKVTMYAPPFTTHGYSMNQRLLILPVTAFAAQGQRHTVTVDAPPKPELAPPGYYMVYVVAKGVPSKAAWVKMHK >ORUFI01G29370.1 pep chromosome:OR_W1943:1:25408314:25408856:-1 gene:ORUFI01G29370 transcript:ORUFI01G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVQLPQYIFFDLSGSHLRCFCPPAPVMEHTGVPYDSQVVGLQALHRTRLSRAGGSSTIVSARPANQVDKAMVLCDRAAQIGDDDDDPDTVSDAGTSGVGVVDEEETAGDDEEDEVASLDELFCDERFVRKIDALAQLVGMDGAACQPAAVLGEVVRLIQETERKNGRCVCASGAVRS >ORUFI01G29380.1 pep chromosome:OR_W1943:1:25412769:25413136:-1 gene:ORUFI01G29380 transcript:ORUFI01G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVEAAVEASALSVMGLVSENFLDPSTCVPPRASDDSSFESPDASFDPEDPTCVLSSSTSADRISVLPIVLRVLPDRLGRRCPAGGVEGPTSSPAAFLLGPARSSGILPPAGVHGAK >ORUFI01G29390.1 pep chromosome:OR_W1943:1:25416565:25420753:1 gene:ORUFI01G29390 transcript:ORUFI01G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVPSPLPALSTAYQPLPSLYLGFLAIWAASGFSWAFSSWRSRHFQVNNLQWILALVPLIKALQMALSFLFWYSCVHLQTCSLWMSFGVYVTGILFQTASFVSFMLISHGYCIMCERLSIRERRTTAGLGCLLYLSLIGYKAAVPYFTVFLLINYFMSFYIIFRRTSQNLMVLREQLNFIEEEDIHSLHGALNTKYTMFKRFQGTMQVAVVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMIPEASLHLPVVPLMKSTWEIAMPPIYSVEMDAADFKGLVSDHWHVGVRTSHTNSSCPSQPLLVLVQNPSPKVSTAATASRLQLNKNNQLTNCTSLQFLDMSTNAFGGNMQEILGKFVTLRYLVLHHNNYTGRIVSFGVLRLPLFARLNLSFNQFYGELPLEVADMKSLKYPMLLANNFSGGIPAGIPAPSPPFNFVIAIAYGIVFSLIIAGTVVFIMANLRARFSVDQDSDPESLSCENPKCGGGGGKCSAFHMSATSSSSPSSGCSSSCVTGCSHLLPPRSRYSTAAWGSLHVAHSSAHPPARPPALPPAAAALAPARCCCPCLSIAPRERR >ORUFI01G29400.1 pep chromosome:OR_W1943:1:25422429:25426638:1 gene:ORUFI01G29400 transcript:ORUFI01G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPVPPSTAEGSSKNSPTKGSLSLGDLDAVEVLPASATAGWSSARQKRKWSQSGSTKDVAGPSSIALKNVDPSDGVGAFPKAMSLADYLELEGSDINLNINTYYYLVALGEGGIVVEGQTSAEKPHQHKQMKHVDPKIDEKYVEFKQFDIVGDHSDHFYSNPRERKVQVVNEPGKDWVKRIQHEWKVLEKDLPDNIFVRVYEDRLELLRAVIIGPSGTPYHDGLFFFDVYFPPQYPRNPPLVIYHSGGLQLNPNLYACGKVCLSLLNTWPGDGCEKWNPSNSTLQVLVSIQALVLNAKPYFNEPGFESYANTPRAEKKSIAYNQETFLLSCKTMLYSLHNPPKHFDDFIIGHFHKYGHSILIGCNAYMDGAQVGSIIGGVKAIDKGNKGCSTKFKGSLKKLFEELMMEFIGIGVDCHEFMIDTTLKL >ORUFI01G29410.1 pep chromosome:OR_W1943:1:25430302:25430640:-1 gene:ORUFI01G29410 transcript:ORUFI01G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGAEKAARAGETDGEGGARRRRRGRGRRTVTKEATEAARAGEVDGDEGGQRRRHGQGRRMVTEEAEKAVRPGEATALERKEMPMALEATREMDDDGVGDGGRGTGDGEG >ORUFI01G29420.1 pep chromosome:OR_W1943:1:25430855:25433246:-1 gene:ORUFI01G29420 transcript:ORUFI01G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFFFSSFRLRSDKTHIACAPDAGTASVIAGGGVAKIAVGSGSGSPADLLLRCTASVIAGGGAAKISVRSDAKAPDSQAPHLIVLVLPMLQKASASDEEIRSVEATGSSGHSSQKHEEGKGWYKFDDECVRPITEDSIKTPAAYLCFMDQENISMMISDSVLSPELCCLTNNRRLLLPSVLFSLIALDKYSDISQEHLFCLDISQNQTRLDGHQSFMCNSMLIAIVINKNNKKKV >ORUFI01G29420.2 pep chromosome:OR_W1943:1:25430855:25433246:-1 gene:ORUFI01G29420 transcript:ORUFI01G29420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFFFSSFRLRSDKTHIACAPDAGTASVIAGGGVAKIAVGSGSGSPADLLLRYCPCCKKHQQAMKKLDLWRLPEVLHEEGKGWYKFDDECVRPITEDSIKTPAAYLCFMDQENISMMISDSVLSPELCCLTNNRRLLLPSVLFSLIALDKYSDISQEHLFCLDISQNQTRLDGHQSFMCNSMLIAIVINKNNKKKV >ORUFI01G29430.1 pep chromosome:OR_W1943:1:25433861:25436629:1 gene:ORUFI01G29430 transcript:ORUFI01G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPWCPDDAYACLSLLLLLLLPAAMATSYSSLCSFPAEAADLVVTAGEHQSIADRLNLPLPSDGYFSGGDKLLFASDDHRLPRSFSFFTRRAARTTDPAITHLVATVTLSGYRFFGGRSWRTNVSAHSVSFDLEGYYSNDSASAALCMVGSGSRARDDGLGVVIIPDVALRLRLPRPANLTRPFVTGRLEGPDFGAVTLVAYAEGDYKYGEAASCPTPPGAVRSESKVFDGNFTCDRLGALLRGSYTMEYAEGRAPSGFPLRQRLRSMHISEIYCGENGAVRAYMVFDFDDASSDAILLGIHEAPWRRGFQADGDEALVADGFWKPSQGRLCLRACRTVRSTVRESDCGIRIHFWFPAVWSIQQRSFVAGMIRNTRSDDDGDTNKMSGAISVSRTGFRGDLSDIKYHYTRVEDAKNYYHSNPELSKERNGRFPGNYSYRDFAFSLYMTTHGGYGYASPVTLGSAMVDGGTLTADDAFSRHAVAEMIKQRLLSVSYEFDIHLYRRVNSSRAWNVSRVPDRWRVSAEGVYDTKSGTLCMVGCRVINSSSDCQILVTVQLPALGGEDGTGSISSLRKKSDTLFFETLGFAAYGAQPTIEAAQAISRVDTERIMLVTSMTLSCVFLVLQLRHARKNPDALPATSITMLAVLALGYMIPLVVNYEAMFVDDGGSRNRHFIELARGGRRWLELNEFVLRLSTMVAFVLQLRLLLLALSARSTAGASGGGDDRWAAERSTLWICLPLYIAGAILIWIPHIGDGHDHQPLSQMKSAIHVPPPPLSDDLLSYAGLILDGFLLPQIVSNAFSASRVNAISPWFYVGGTAIRAAPHAYDGLRARGYVQRWIPSYIDVYAGPRDGLFSVAWDVVIPCGAAALAVLLFFQQRLGGDFLCCVKRRKPGGIIRDSFDVNTLTVDRQSVAFVDISAV >ORUFI01G29440.1 pep chromosome:OR_W1943:1:25437844:25448789:1 gene:ORUFI01G29440 transcript:ORUFI01G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGARRLRDFLSNCNTISYAYPLCFIFILSTTTLSVAISTSYSSRCSSPSPASDYHTDYVDTLALLRSFQISIGYFSSGGNSLFSADDDYVNPRSFSFVPHGVFRTKDPTIIHLTATLVLSGPRSSTYIGHRHHRYSITQTISFILDGYYSFTSNDLCMVGFGTNYAADGSIKLHEDSVLRLRVPRPSKLTNPLVTGHLEGTNFETISLVAYDESDNYVYSENALCPPFMLENSMLEQAQAVKENFNCDQLKTHLRRLYKLEYMVDDPLAPRGYNMWSHATRMYINHVHCTANGAVRAYVEFYNDTKMLPYKGRFMVVEEALVADGYWDPMFVDVWTIRDRSVIAGMLWNSSQGIVNNSRAIPGIISVTLNTVVDEAKKHYISSGLSNKKKKIKGSFPGNGTYSYHDLEFRFIANHVGSGDAYPMTIGSMMVYEDRLAANDSLSDPMVVGMKHELLNVSYDIRYYAPPENWIRPKNGSYSISLHERRISAEGIYDRKRGTLCMIGCREINSSTDCLVLITVQFSSLDAKTQGHGVGAISSLREKNDRLFFEKIDITLYGMYTEQLFEAISRMDMESIMLVLSTTLSCVFTILQILHTKKNPEVPPATSITMLITLALGYLTPLVLNFEALFLSRRKQYVPFFRNNRVELNEVMLRVPTLIAFVLHLRLLQLVWYGRKPDHQSKAETFSIAKRKALQICLSLYFLGGILAGIIHIMNVHTRRESPVVVRISQEPATIWEDLVSYAGLILDGVTLIRDMPHVYDLFRAQNYIPSLRSSYIYANSHDDLFSAAWDIIIPLGAALLAMVLFLQQRLGGASLISLQGSRLGSYEMI >ORUFI01G29440.2 pep chromosome:OR_W1943:1:25448780:25449309:1 gene:ORUFI01G29440 transcript:ORUFI01G29440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDTVVVDGGGRRRLRRRHCFRSRCRSICFRSMLKRRLAHGERGGHRGDVYDSEMVTTATKTSIFGSVAGSGSY >ORUFI01G29450.1 pep chromosome:OR_W1943:1:25450393:25453302:1 gene:ORUFI01G29450 transcript:ORUFI01G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKKSPRPCCHVSFLLLQLVLLSVIVFPATAAAASTSRCSNPSPVPNEVPEGNDARELLRSFQITTGYFSGGDRLFAPDDDSAYIPRSFALSPYKVARTTDPAILEVAATLALYGPSSDHGGGGGARRRRHRYLVSQLVASFVLHGYYSSASGELCVVGGSGSYSVDGGSVEHLRDVNLHLRVPNAPSLADPFVTGLLDGADFETISLVAYVENDRYVYSEKRPSCPPPMPAHAARGALQALEANFSCSHLREIFVSSYRLENTSSDASSPAASTFQFPLSHGGLRMLVNQMHCTANGSVRAYVVFSNYTDSERRWRRDMVINNRFLVKEEAVVADGYWDATTSRLCLRACRVAHSSAAETELKVGEQCGLGMSFWFPAVWTIRDRSIVAGLLWNANQEESGGNKHAGASLSGVMSVSSIDGDGYNRRRSNLTDVKYNYTMVEKAKKQYLSCKFSKRKTGRFPGNSSMYSYSDFRFNFFIETLGAGGQASPVTIGSVMVDGDQLAAEYMFFRHAMGEMNKSRTAVVRMDHSQLLNVSYDISYRVRSANSKARKNSSSLFSHPLSIEHREISAEGVYDPKTGILFMVGCREINGSSTDCQILVTVHFASLDAKGNGHGRGKISSTRDKADRLHFEAMDITLYGMYREQIGESIWRMDLEIVMAVVSATLSCVFAALQIRHARANPASAPSATSVAMLAVLALGHVTHLALNVDALFVSRRTHYIPISADGWLELNEVMLRVPTLIAFALHLSLLQLVWSSRRSAPRAIAEKWSAAERRSLWICLPLYLLGGLLAGAVHVINNGGRAAAENSLVVRVAGDSATLWDDLASYAGLVLDGFLLPQVILNAMSRSRARAISAWFYVGVTVLRAAPHVYDALRARGYVPSVRPSSTYAYASPRDDLFGVAWDVAVPLGAASLALLLFLQQRLGGAFFVRGRRFGEYEMVPTTVSSHQEGDKKMDHERGDVIAN >ORUFI01G29460.1 pep chromosome:OR_W1943:1:25455570:25458353:1 gene:ORUFI01G29460 transcript:ORUFI01G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAKNLSTSACRLCFIIQVVLSATATTVSTVPSGPYSSRCASPSPAADQHTGVDDASALLRSFQITSGIFSGGGADTLFSPRSQFAYFSESGFSRSSFSLLPHAVSRTTEPSVLHLTATLTLTSIRVHEYYAGHAFSSDFRKKTHSISFYLDGYYSFATAQLCMVGMGSEISSDGSIAHYADVTLQLRIPSPSSLTDPFVTGSLKGADFEPTSLVSYTEGSSYRYSENSTCLPVPEVAAAARRAIQTTPDGDFSCATLKARLTTWYGLEYGRGHAIPSLREPRMYINQVHCTASGAVRVYAVLSNDTTTMWGSRGLFLTEEGAVVADGHWDSDTNRLCLRACLVAQARSLPAPETPSTRIELEVRECGIGMSFWFPAVWTVRDRSVTAGVLWNATSTQLNSSDDNASVGSDALITASSFEKFKGNVSDVNYNYNFTMIDEAKRHYLKARLSTSKKKSKVSFPGNYSYSYRDFDIMFFLDGETGNGRAYPVTIGSAMVDGDKLAAENSFSWHAAAQLEQGTLVNVSYGVMYSVAPKNWSFIAPLVHRHIWAEGVYDPTTGFLCMVGCGELNGSMDCQILITVQFSSFGDSNGFGHGRGRISSLRDSTDRLYFPKRDLTLFGMYSHEVSESIWRMDTETVVVVISTTLTCVFTVLQILHTKRNPRAAASTSITMLAVQALGLVTPLVVNSELLVMNKRKQLGGLDGDGWLRLNELMLRVPTLIALALQLRLLQLAWSGRTTAACSSEGETSPAAERKVLRTCLPLYLLGAAVTAVVHVVNVRAAREAGLVDRRFAPAEATTLWADLASYAGLVLDGFLLPQVVFNAASGSSSRVRAISPWFYAGGTVIRAAPHAYDAFRAVSYAATHVYASSRDDFFGVAWDIVVPLGAALLAFVLFLQQRLGGDLLLRSRNRRRPCDYQLVSTFQR >ORUFI01G29470.1 pep chromosome:OR_W1943:1:25460252:25473896:-1 gene:ORUFI01G29470 transcript:ORUFI01G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGEMREAKAPLIAEAAEHISHSHGSGSSGTGSHTSGGGGGGGWRGSRQYQRRSDALAYGDRYQKAAALVDLKPLWCRGYSQHACDQRDLYFLGQLPYLSKTESLIYEGLTLVILVMDIFYPLSYEGLNLFWKNTINKLKVLLLFILACDILVFAFSPQPFRVAPYIRVAFLIMNIRELRMCAVTLVGMVGTYLNVLALSLLFLLFASWLAYVTFEDTPQGKTVFSSYGTTLYQMFILFTTSNNPDVWVPAYNFKEQLAKQVSQADCTRKSILEKAFGIIDATGQGYLNKEQCLSLLDELNKYRSLPKTSREDFELIFAELDQSGDFKVTSEEFATLCNTIAIKFQKEPPPSYLEKYPSFYHSALCERLKSFVRSPLFEYIVIFVLLMNLVAVIIETTLDIENSSSQKVWQEVEFVFGWIYVIEMALKIFSLGFGAYWMEVIGETLTFAFPSKLSFLSNGEWIRYLLLGRMLRLTRILLQIFGGIVYAGNPTLEETDLFSNDYLLFNLNDYPSGMSYRQLTGSYWSLIYFVSFYLISVLLLLNLIVAFVLEAFFAEMELEKDGEADIQDPTLEGRNRRRSVR >ORUFI01G29470.2 pep chromosome:OR_W1943:1:25460252:25473896:-1 gene:ORUFI01G29470 transcript:ORUFI01G29470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGEMREAKAPLIAEAAEHISHSHGSGSSGTGSHTSGGGGGGGWRGSRQYQRRSDALAYGDRYQKAAALVDLKPLWCRGYSQHACDQRDLYFLGQLPYLSKTESLIYEGLTLVILVMDIFYPLSYEGLNLFWKNTINKLKVLLLFILACDILVFAFSPQPFRVAPYIRVAFLIMNIRELRMCAVTLVGMVGTYLNVLALSLLFLLFASWLAYVTFEDTPQGKTVFSSYGTTLYQMFILFTTSNNPDLAKQVSQADCTRKSILEKAFGIIDATGQGYLNKEQCLSLLDELNKYRSLPKTSREDFELIFAELDQSGDFKVTSEEFATLCNTIAIKFQKEPPPSYLEKYPSFYHSALCERLKSFVRSPLFEYIVIFVLLMNLVAVIIETTLDIENSSSQKVWQEVEFVFGWIYVIEMALKIFSLGFGAYWMEVIGETLTFAFPSKLSFLSNGEWIRYLLLGRMLRLTRILLQIFGGIVYAGNPTLEETDLFSNDYLLFNLNDYPSGMSYRQLTGSYWSLIYFVSFYLISVLLLLNLIVAFVLEAFFAEMELEKDGEADIQDPTLEGRNRRRSVR >ORUFI01G29480.1 pep chromosome:OR_W1943:1:25475363:25477574:1 gene:ORUFI01G29480 transcript:ORUFI01G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSTLFSLSSLSASLPSPAQPAPASLSLRAVSPRARLSASYAAFPIGGIGAWAAATPASSGRWRRRGLEVVCEAAKTGTATGRRPDSVKKRERQNDRHRIRNHARKAEMRTRMKKVLKALEKLRKKADATPEDIIQIEKWISEAYKAIDKTVKVGAMHRNTGNHRKSLLARRKKAIEILRGWYVPNAEPAATS >ORUFI01G29490.1 pep chromosome:OR_W1943:1:25478278:25481512:-1 gene:ORUFI01G29490 transcript:ORUFI01G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCGDAAAAASAAPGLANLLIREGAGLPSRPERYPPFRPCTSDSFAPISREGDDIPPQKNRGGGGNAAEREEGGANRNGKKEKTGAQRITGWGLREFSKIVSKKVEAKGRTTYNEVADEIFAELKSITQNGLEFDEKNIRRRVYDAFNVLIAIRVIAKDKKEIKWMGLTNYRYEKIQKLEEVHKELITRIKNKKKLLQEIEKQNITLRNQASQRPAESVNGILLPFLLIKTSRKARVEIEISEDSKFARFDFNGAPFTMHDDVSILEAIRRNNKGRAGLSIHP >ORUFI01G29490.2 pep chromosome:OR_W1943:1:25478278:25481512:-1 gene:ORUFI01G29490 transcript:ORUFI01G29490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCGDAAAAASAAPGLANLLIREGAGLPSRPERGGGGNAAEREEGGANRNGKKEKTGAQRITGWGLREFSKIVSKKVEAKGRTTYNEVADEIFAELKSITQNGLEFDEKNIRRRVYDAFNVLIAIRVIAKDKKEIKWMGLTNYRYEKIQKLEEVHKELITRIKNKKKLLQEIEKQNITLRNQASQRPAESVNGILLPFLLIKTSRKARVEIEISEDSKFARFDFNGAPFTMHDDVSILEAIRRNNKGRAGLSIHP >ORUFI01G29500.1 pep chromosome:OR_W1943:1:25483332:25483956:-1 gene:ORUFI01G29500 transcript:ORUFI01G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVLKVPITCKKCKSCILQIVSRNKGVKSLTFDDEKSTLTVIGEVDVVVIVDKLRHPKKGKEKREGYMVEVMAVSDEKKEAEEKKKKDEEEKKKKEKEKEEEEKKKKECAEKLKQCAELQQCCRACRPYYVAVDDHPGYSCTIV >ORUFI01G29510.1 pep chromosome:OR_W1943:1:25492939:25501323:1 gene:ORUFI01G29510 transcript:ORUFI01G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQHGILLAVAIISDHFGPLVSKVCRCLLRHGALPLQEIVRRLELSPGQVKNSLLVLIQHNCVQAFNAPRGNGDKTVTHYLAIFDNIVHRQRFSKFLSIIRADIPESEALLEGLLQNGSITPAREEIRMNFNKLVFAHYVERCPKPEPFFDPLVDEQSTSSRKRAPKTVEIALSIDKKVVNTAALSDAERFSEIPYIMEDASNANDSPRSSISGAKRKHNALEGDAELDSTIAENEVLWRANFEKFTFCLKKKFCADRKKPKLKVGTHPIWEAFFEASLMERDNNSVTSPINGIMERLGQKEGGTSMTLDHITRVLEELNCSPSSEDPDSFILDLSRIVEASRNEEIESLVRKKYGQEAFTIFRLLVRERGPVETDKIIDTTILDKQIVHGTLYKLWKDDYIDTERILSGTGTGNTQYFVWRVKNTFREQFIDNLCHAALNLRQMGSKDDTKLRNRKNILILALTRHDDSLMLFQDF >ORUFI01G29520.1 pep chromosome:OR_W1943:1:25511362:25515882:1 gene:ORUFI01G29520 transcript:ORUFI01G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRVVVLVVAAALLVAVASAGASGKSARFELLRLAPAASLADLARMDRERMAFISSRGRRRAAETASAFAMPLSSGAYTGTGQYFVRFRVGTPAQPFLLVADTGSDLTWVKCRGAASPSHATATSSLPAPAPASPRRTFRPDKSRTWAPIPCSSATCRESLPFSLAACATPANPCAYDYRYKDGSAARGTVGVDSATIALSGRAARKAKLRGVVLGCTTSYNGQSFLASDGVLSLGYSNISFASRAASRFGGRFSYCLVDHLAPRNATSYLTFGPNPAFSSRRPSEGTASCKPAPAPTPAPAGAPGARQTPLVLDHRTRPFYAVTVKGVSVAGELLKIPRAVWDVEQGGGAILDSGTSLTMLAKPAYRAVVAALSKRLAGLPRVTMDPFDYCYNWTSPSGSDVAAPLPMLAVHFAGSARLEPPAKSYVIDAAPGVKCIGLQEGPWPGLSVIGNILQQEHLWEYDLKNRRLRFKRSRCMH >ORUFI01G29520.2 pep chromosome:OR_W1943:1:25513324:25515882:1 gene:ORUFI01G29520 transcript:ORUFI01G29520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFVVHNKVSHETGQKNSKRPPTLYKDGSAARGTVGVDSATIALSGRAARKAKLRGVVLGCTTSYNGQSFLASDGVLSLGYSNISFASRAASRFGGRFSYCLVDHLAPRNATSYLTFGPNPAFSSRRPSEGTASCKPAPAPTPAPAGAPGARQTPLVLDHRTRPFYAVTVKGVSVAGELLKIPRAVWDVEQGGGAILDSGTSLTMLAKPAYRAVVAALSKRLAGLPRVTMDPFDYCYNWTSPSGSDVAAPLPMLAVHFAGSARLEPPAKSYVIDAAPGVKCIGLQEGPWPGLSVIGNILQQEHLWEYDLKNRRLRFKRSRCMH >ORUFI01G29530.1 pep chromosome:OR_W1943:1:25516057:25519819:1 gene:ORUFI01G29530 transcript:ORUFI01G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRNKSRNRNGDPEEMEGSGATAPAAPHPAVAPLAFLLGKWRGEGEGSFPTISPFRYGEELLFSHHPSKPVISYTQRTWKAASGEPMHAESGYWRPLPDGSVEVVISQSTGLAEVQKGSFDAEKKTVTLQSELVGNASKVKQITRAFQLVDGELSYVVQMATITTSLQPHLKALLKKI >ORUFI01G29540.1 pep chromosome:OR_W1943:1:25520265:25522445:-1 gene:ORUFI01G29540 transcript:ORUFI01G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >ORUFI01G29540.2 pep chromosome:OR_W1943:1:25520267:25521518:-1 gene:ORUFI01G29540 transcript:ORUFI01G29540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >ORUFI01G29550.1 pep chromosome:OR_W1943:1:25535888:25542910:1 gene:ORUFI01G29550 transcript:ORUFI01G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKGEQQRHSMQEAMENLKINGSTKTSNVNLPATKGASSSDAISCISSGDAASTVKESEMNQEASVGDQGMYYYGYYYPASFGGYDENGYFVGYNGLEVHPTVVQGDNGSYLCYLPGYENGYTYSPIVPGVIAGVDGQYISKEPYYSTISMQDPSTPGIFAQPVAYGPELVPAYTWDPSFALLDGVQGRPVGVHQTNYPARPKYSFNKLPSSKASRNTKSASDTIKGSSSALDTMSTSANGYPSSKTANKASGASISKGYPLSSKFAVHTNQGKGNLYQSKDIGMKESGRSWNSTEKLKARSKLNGYGDCDISDNLTDNSKNSLSPQGGHYGLSSAGGGNDVTPSPVAMSRDAYNLPDFVTKYDQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAFKLAQERVAEKGTKCPMFLFFSVNASGQFCGVAEMVGPVDFNRNMNFWQQDKWNGFFPVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFPQGSEMLNIFKNFSCKTSILDDFDFYENRQKVMQDRRGKPLATTLDHPMLKVEKPEETKRPSQFVSTVDLDTAKPDEVVFDKIATELDTAKLSEEQINKVEVEIGTTNSSE >ORUFI01G29550.2 pep chromosome:OR_W1943:1:25536819:25542910:1 gene:ORUFI01G29550 transcript:ORUFI01G29550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAMENLKINGSTKTSNVNLPATKGASSSDAISCISSGDAASTVKESEMNQEASVGDQGMYYYGYYYPASFGGYDENGYFVGYNGLEVHPTVVQGDNGSYLCYLPGYENGYTYSPIVPGVIAGVDGQYISKEPYYSTISMQDPSTPGIFAQPVAYGPELVPAYTWDPSFALLDGVQGRPVGVHQTNYPARPKYSFNKLPSSKASRNTKSASDTIKGSSSALDTMSTSANGYPSSKTANKASGASISKGYPLSSKFAVHTNQGKGNLYQSKDIGMKESGRSWNSTEKLKARSKLNGYGDCDISDNLTDNSKNSLSPQGGHYGLSSAGGGNDVTPSPVAMSRDAYNLPDFVTKYDQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAFKLAQERVAEKGTKCPMFLFFSVNASGQFCGVAEMVGPVDFNRNMNFWQQDKWNGFFPVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFPQGSEMLNIFKNFSCKTSILDDFDFYENRQKVMQDRRGKPLATTLDHPMLKVEKPEETKRPSQFVSTVDLDTAKPDEVVFDKIATELDTAKLSEEQINKVEVEIGTTNSSE >ORUFI01G29560.1 pep chromosome:OR_W1943:1:25548254:25549372:1 gene:ORUFI01G29560 transcript:ORUFI01G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSVAANGARAARRRRRLLLFANYAALLGGSVASSLLSRYYFAHGGHNRWVATLVQSVGFPFLLVPVYAGRSPSQPRPFAWFTRRLLAACVVIGVLMGVNNLLFSYSSSYLPVSTSSLLLSTQLAFTLVLAAIIVRHPLNFSNLNAVVLLTLSSVLIALRSSDSGEQPGGGSRARYFVGFAVTLGAAGLFAAYLPVMELVYRKAVSGGFRMAVEVQVIMQAAATALAVAGLAAAGGWKEELARWDLSPAAYWAVLAALVATWQACFMGTAGMVYLTSSLHSGVCMTAVLTANVIGGVVVFRDPFGADKAVATVLCVWGFSSYLYGEYTTQKKVDGDGKVAAASSAAAAASADKIPTGGGAAEGDPVHEAV >ORUFI01G29570.1 pep chromosome:OR_W1943:1:25560804:25565919:1 gene:ORUFI01G29570 transcript:ORUFI01G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFEAAVEEQESPPESPAANEEDAAGAPEGYDGASGSRGPPLRLPPSRAAPSGSGGAAAAAARGKVVRVQKEQQEEEDDEEDHMEVDLDKLPSGTSDPDKLAKMKIVMTERKDSGPVRPCHIREAYRRLKLEGKIPRRTVPRLFRCSFGGSIYAGIAMAMPLPPPPPPRPPLGRGRLVGVGPAPAPATASQSNRPVPPLQLPRCRCHRSEGPWRTTAAANGRRRWWSDEDMEEEDDEEGYGYDDGGAPGGSAQELFGEPWFSKLFRAYGYVLPLLLASMLVATGPRAFLMAMALPLAQSAISWVVSFFTTRSRRQQEEEESYGYDYDDDPAFQRREEDDDDGDYYDAGAWQWRSRSHQQSTESGSGFGGWDDLLYDDEEKEQESSGKKRTPPEPDTAAAAAASDLGLGLRARRGPRRSNGGMSRGRSSSSMRRQSIRQLT >ORUFI01G29580.1 pep chromosome:OR_W1943:1:25568572:25573406:-1 gene:ORUFI01G29580 transcript:ORUFI01G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARNRISSSPSLSGPGKFTTNHQSATARAGAVLSDQKRWQNGELPPVAGGKTGRTEKIKPLFGPRLCFPSPLLPSSPSSSSLLCRLFFFFSRFFSPVNFPAASRISFIVVVCSRLDGRGGGRRERLVWTVRKGGTRGRPEPVADGHFFMRGSLEVHAIGRHAASPCALRLKALPALDMMRYQRLSPDCLPLANGGGGGSGSVTRKPASRSCKDDDGGMAVAADSSRLSSYLPSSQLDSKPLRARAPQPSSSSAAAWSPARDHAHAHHNHHHHHHPSDSSDTASPSSNGAGTGGDVLLQWGHNKRSRCRRDASSSANAAPSSSQRRQTASAAGKILRRSSAPAEKLMPPPPPSTTTGSYTRGSNLRSASSFPTRSAAAAAVGDAHHHRSAVEERSGGGYKRSPDKAHKSALDAALHMDSKNNHHHHHHDSSVTANGGAGAGEKIGSERFELPRIYISLSRKEKEDDFLIMKGTKLPQRPKKRAKNVDKTLQYVFPGMWLSDLTRGRYEVREKKCVKKRRRGLKGMESMDSDSE >ORUFI01G29580.2 pep chromosome:OR_W1943:1:25569677:25573406:-1 gene:ORUFI01G29580 transcript:ORUFI01G29580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARNRISSSPSLSGPGKFTTNHQSATARAGAVLSDQKRWQNGELPPVAGGKTGRTEKIKPLFGPRLCFPSPLLPSSPSSSSLLCRLFFFFSRFFSPVNFPAASRISFIVVVCSRLDGRGGGRRERLVWTVRKGGTRGRPEPVADGHFFMRGSLEVHAIGRHAASPCALRLKALPALDMMRYQRLSPDCLPLANGGGGGSGSVTRKPASRSCKDDDGGMAVAADSSRLSSYLPSSQLDSKPLRARAPQPSSSSAAAWSPARDHAHAHHNHHHHHHPSDSSDTASPSSNGAGTGGDVLLQWGHNKRSRCRRDASSSANAAPSSSQRRQTASAAGKILRRSSAPAEKLMPPPPPSTTTGSYTRGSNLRSASSFPTRSAAAAAVGDAHHHRSAVEERSGGGYKRSPDKAHKSALDAALHMDSKNNHHHHHHDSSVTANGGAGAGEKIGSERFELPRIYISLSRKEKEDDFLIMKGTKLPQRPKKRAKNVDKTLQYVFPGMWLSDLTRGRYEVREKKCVKKTRRGKLPRFRASVSQIGWV >ORUFI01G29590.1 pep chromosome:OR_W1943:1:25580851:25583268:-1 gene:ORUFI01G29590 transcript:ORUFI01G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGAVLVVVLAAASVLLAPWAATAQTSSCDDALPPALAGNYSGLACRPVWNNFVLRYAQGKDNVLRVVISSMYSTGWVGMGFSKDGMMVGSSAMVGWVGKTGLSHVKQFSLRGKTPSQVVADEGFLQSKDHDHTVVVQQAKIYLAFQLRFQSPLRRQQVLLAFGNAIPVNDRLTEHQGKTSFTFDFTTGSSSGSSYPDGLKRAHGALNLFAWGVLLPIGAIIARYCRRWDPLWFYLHAGIQLVGFILGLAGIVAGVSLYNKIQADVPAHRGLGIFVLVLGILQILAFFLRPHKDSKYRKYWNWYHHWVGRLALFFAAINIVLGIKVGAAGNSWKIGYGFNLAILLITIITLEVLLWTRWKNNNSSSMPTY >ORUFI01G29600.1 pep chromosome:OR_W1943:1:25585013:25589355:-1 gene:ORUFI01G29600 transcript:ORUFI01G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIDFKDLNSFFRGTVLDELDKSAKPMWVRTKVNLDDHIIVPDLGPTDTSADPEKAVEDYVSSLSTPSMPMDRSRPLWELHVLGFPTAEAAATVALRMHHSLGDGVSLLSLLIACTRRADDPDAIPALPSSAAGRRRREGPLHALPPRPPLAAGALALAAWALSYLVLAWHTVVDVVCFTLTAASLMGDARTVLKGDEGAEFRPRRFVNRTISLDDVKNIKNAVGCTVNDVLVGLSSAALSRYYFRRTGESEGKKNIKVRTALMVNLRPTPGLHELAKMMESGKNNGVKWGNRFGYMILPFHLAKHDDPLEYVRKATKVTRRKKSSMEAIFTYWSADMVVKLFGIKAAASLCYGMFSNTTLSFSNLAGPSEQILFCGNPIVYISPTSYGHPHALTMHWQSYMNTIKLALAVDETQFPDAHELLDDFTESMRLIREAASRGTDKAQDGP >ORUFI01G29600.2 pep chromosome:OR_W1943:1:25585013:25590772:-1 gene:ORUFI01G29600 transcript:ORUFI01G29600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLGGIRLRRPVLSIDNAEPAGETGMSETKKKAAAVAEEEEELLEEPVSPAGRLFREPHFSCYIVCTLGVAEPVDLPAVRAGIEATLARHPRFCSIQVLDELDKSAKPMWVRTKVNLDDHIIVPDLGPTDTSADPEKAVEDYVSSLSTPSMPMDRSRPLWELHVLGFPTAEAAATVALRMHHSLGDGVSLLSLLIACTRRADDPDAIPALPSSAAGRRRREGPLHALPPRPPLAAGALALAAWALSYLVLAWHTVVDVVCFTLTAASLMGDARTVLKGDEGAEFRPRRFVNRTISLDDVKNIKNAVGCTVNDVLVGLSSAALSRYYFRRTGESEGKKNIKVRTALMVNLRPTPGLHELAKMMESGKNNGVKWGNRFGYMILPFHLAKHDDPLEYVRKATKVTRRKKSSMEAIFTYWSADMVVKLFGIKAAASLCYGMFSNTTLSFSNLAGPSEQILFCGNPIVYISPTSYGHPHALTMHWQSYMNTIKLALAVDETQFPDAHELLDDFTESMRLIREAASRGTDKAQDGP >ORUFI01G29600.3 pep chromosome:OR_W1943:1:25590127:25590772:-1 gene:ORUFI01G29600 transcript:ORUFI01G29600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLGGIRLRRPVLSIDNAEPAGETGMSETKKKAAAVAEEEEELLEEPVSPAGRLFREPHFSCYIVCTLGVAEPVDLPAVRAGIEATLARHPRFCSIQTGGERKTASWLMPS >ORUFI01G29610.1 pep chromosome:OR_W1943:1:25594038:25600087:1 gene:ORUFI01G29610 transcript:ORUFI01G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKLQRACTALGDHGEESALPTLWDSLPAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRINGDREYAEFMHNPTVKYTDFVVDLTLIDLPGLTKVAVEGQSDSIVQDIETMVRSFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTNAVDMLEGRSYRLQYPWIGVVNRSQQDINKSVDMIAARHIEREYFANTTEYKYLAHRMGSEHLAKMLSKHLESVIKSRIPGIQSLISKAIAELEAELHRLGKPIAADAGGKLYTIMEICRMFDGIYKEHLDGMRPGGEKIYYVFDNQFPVALKRLQFDKNLSMENVRKLITQADGYQPHLIAPEQGYRHLIESCLVSIRGPAEAAVDAVHAILKELVRKAISETDELNQFPTLRVEISNAAFESLDRMRNESKKSTLKLVDMECSYLTVDFFRKLPQDVEKGGNPTNSIFDRYNDSYLRRISTNVLAYVNMVCSSLRNSIPKSIVYCQVREAKRTLLDRFFTELGAREIKHISKLLDEDPAVMERRANLAKRLELYRSAQAEIDAVVWAR >ORUFI01G29620.1 pep chromosome:OR_W1943:1:25599588:25606039:-1 gene:ORUFI01G29620 transcript:ORUFI01G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMKHLVQVGEAREAAEEDGGAPPPPPPAVPGLECCWDIFRMTVERCPDNKMLGRREIVDGKAGKYTWITYKEAYDTVIKVGASIRSLGVGKGGRCGIYGANCPEWVISMQACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFIEEKKIGEVLKTFPNATKYLKTIVSFGKVNPEQKEKVEQNGVSIYSWEEFLQLGGEEKYELPTKQKDDICTIMYTSGTTGDPKGVLISNRSIITIISALREDDVYISYLPLAHIFDRVLEEVFIHHGASIGFWRGDVKLLVEDIGELKPTIFCAVPRVLDRIYGGLQDKVSTGGFLKKTLFNVAYKYKQGNMVKGSKHEEAAAMFDKLVFTKVKRGLGGRVRLILSGAAPLSNHVEEYLRVVTCSLVLQGYGLTETCAGSFVSLPNNMSMLGTVGPPVPYVEVHLESVPEMGYDALSKESPRGEICIRGDTLFSGYHKREDLTKEVLVDGWFHTGDIGEWQSDGSMKIIDRKKNIFKLSQGEYVAVENLENIFGQAPGVDSIWVYGNSFESCLVAVVNPNKQALERWAESNGVTGDFATMCEDPKAKEFILGELTKTGKEKKLKGFELIRAVHLEPVPFDMDRDLITPTYKKKRPQLLKYYQNVIDSMYKNMNNFQQQLSMN >ORUFI01G29630.1 pep chromosome:OR_W1943:1:25605915:25614949:1 gene:ORUFI01G29630 transcript:ORUFI01G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQHSSPGTAGGGGGGAPPSSSAASRASPTCTRCFIVEAAISLSLFPPTTVRNAAAAEEEEEEADSRCETKAKEIYTRDERGETREISVATARGDESCALPRLGASREGFQFQRSRRASGWGVATKQQMLDRSREARPREPSKRDQTGHREINSSTQEKRIARFNYIGCTGEPTNNYSFHQKFGN >ORUFI01G29640.1 pep chromosome:OR_W1943:1:25610162:25615237:-1 gene:ORUFI01G29640 transcript:ORUFI01G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPAAAAAAVGNRAGGVDPSIPRFKCQECHRALVVVGVDSFADKLPAQATSAHASSVHGSIMGASRMDNSYVVLSKQNKSHGHGIPPRPPSAAAPHIEPNQPTRAMEGSYIVLPPAAASIYKTSTSEGGGAQLPPPSINSSSLLPGNSFHSNVTVLKRAFEIATSQTQVEQPMCLDCMRLLSDKMDKEIEDVNADIKAYEVCLQHLEQESHTVLSDAGFQKEKLKIEEEEKKLNAAIEEAEKQYSEISSEMKDLEIKSKEFEELEERSLSTGEERDAILAKIEVSQVHLELLKQTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFTPKFEYRIKIHPMGSYPRVTDIHKNTYELYIMLTRFGPVNLFWSTRFDKAMTWFLTCLQDFAEFAISLDKENNVPPEKSLKLPYKIDGDKVGSHTIFLSFNKVENWTKALKYTLCNLKWVLYWFIGNTSFAPPSGSLCAAQSSKR >ORUFI01G29640.2 pep chromosome:OR_W1943:1:25610162:25615237:-1 gene:ORUFI01G29640 transcript:ORUFI01G29640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPAAAAAAVGNRAGGVDPSIPRFKCQECHRALVVVGVDSFADKLPAQATSAHASSVHGSIMGASRMDNSYVVLSKQNKSHGHGIPPRPPSAAAPHIEPNQPTRAMEGSYIVLPPAAASIYKTSTSEGGGAQLPPPSINSSSLLPGNSFHSNVTVLKRAFEIATSQTQVEQPMCLDCMRLLSDKMDKEIEDVNADIKAYEVCLQHLEQESHTVLSDAGFQKEKLKIEEEEKKLNAAIEEAEKQYSEISSEMKDLEIKSKEFEELEERSLSTGEERDAILAKIEVSQVHLELLKQTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFTPKFEYRIKIHPMGSYPRVTDIHKNTYELFGPVNLFWSTRFDKAMTWFLTCLQDFAEFAISLDKENNVPPEKSLKLPYKIDGDKVGSHTIFLSFNKVENWTKALKYTLCNLKWVLYWFIGNTSFAPPSGSLCAAQSSKR >ORUFI01G29640.3 pep chromosome:OR_W1943:1:25610162:25615237:-1 gene:ORUFI01G29640 transcript:ORUFI01G29640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPAAAAAAVGNRAGGVDPSIPRFKCQECHRALVVVGVDSFADKLPAQATSAHASSVHGSIMGASRMDNSYVVLSKQNKSHGHGIPPRPPSAAAPHIEPNQPTRAMEGSYIVLPPAAASIYKTSTSEGGGAQLPPPSINSSSLLPGNSFHSNVTVLKRAFEIATSQTQVEQPMCLDCMRLLSDKMDKEIEDVNADIKAYEVCLQHLEQESHTVLSDAGFQKEKLKIEEEEKKLNAAIEEAEKQYSEISSEMKDLEIKSKEFEELEERDAILAKIEVSQVHLELLKQTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFTPKFEYRIKIHPMGSYPRVTDIHKNTYELYIMLTRFGPVNLFWSTRFDKAMTWFLTCLQDFAEFAISLDKENNVPPEKSLKLPYKIDGDKVGSHTIFLSFNKVENWTKALKYTLCNLKWVLYWFIGNTSFAPPSGSLCAAQSSKR >ORUFI01G29640.4 pep chromosome:OR_W1943:1:25610162:25615237:-1 gene:ORUFI01G29640 transcript:ORUFI01G29640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPAAAAAAVGNRAGGVDPSIPRFKCQECHRALVVVGVDSFADKLPAQATSAHASSVHGSIMGASRMDNSYVVLSKQNKSHGHGIPPRPPSAAAPHIEPNQPTRAMEGSYIVLPPAAASIYKTSTSEGGGAQLPPPSINSSSLLPGNSFHSNVTVLKRAFEIATSQTQVEQPMCLDCMRLLSDKMDKEIEDVNADIKAYEVCLQHLEQESHTVLSDAGFQKEKLKIEEEEKKLNAAIEEAEKQYSEISSEMKDLEIKSKEFEELEERDAILAKIEVSQVHLELLKQTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFTPKFEYRIKIHPMGSYPRVTDIHKNTYELFGPVNLFWSTRFDKAMTWFLTCLQDFAEFAISLDKENNVPPEKSLKLPYKIDGDKVGSHTIFLSFNKVENWTKALKYTLCNLKWVLYWFIGNTSFAPPSGSLCAAQSSKR >ORUFI01G29650.1 pep chromosome:OR_W1943:1:25615248:25615639:-1 gene:ORUFI01G29650 transcript:ORUFI01G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGEVRWGVIRRRDYRERDRGLEGESRMGEGGLHVSATVCSLLFAPATTNPCRPRFPAGRHEIGASERRKSREVERENHSVSERGRP >ORUFI01G29660.1 pep chromosome:OR_W1943:1:25618868:25621912:1 gene:ORUFI01G29660 transcript:ORUFI01G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWSLGKFDPLFSLRFCEATSAARGGLGFRAPPPRAMAEANQYQILVRLLDGRTRCLRFSTTTVSGAALLDAVSALSRVPAASLRLVTGRLDVSPSSVLASSADGRFPSASALLRLRGGKGGFGSLLRGAASKAGQKKTSNFDACRDINGRRLRHVNAERRLEEWKAEAADRQLEKLAEDFIKKKAKEAGRGGVKAAEVDKYLEKYRKDAESCVNAVEESVRASLGKRKTVPKPRGGEDAKKLKIWLGKKKVQEDESDSDSDSEMDDDEGADTKSIILDDGNSSHGSKSEDEKVDLGSITESPSEGETSGEKSGRSESEENGNGVQESREPTIRSEGECGDFISDGVVEHEIGVVDEHTPENGVSALSEEVLKPDVKAEDNTASASATSHLNDLEAPPVEEPANGNISPLSQEPLDLTIYSSAAELEVLGMERLKLELQNRGLKCGGTLQERAARLFLLKTTPLEKLPKKLFAKPSGGGK >ORUFI01G29670.1 pep chromosome:OR_W1943:1:25622654:25624885:-1 gene:ORUFI01G29670 transcript:ORUFI01G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTAPRVRRRDEPAAKSWSKGAEAAGELLPVAKAAGGEEKAAAERAVVTVKVVMTRKEAERLAARLREQRARGRNARMAELKNALRAGDGARRGAAAARPGPRGRAQSLAPIQESCRGFGVLGSLEWHPFWRGKRRTAGDNESDGDECTWCFAHLKTAVKTLASGVLLPPSEAAAIKGVAITDLFKGKNIIKMHLTVDDVFLRSWQDGRAPEKLQHFRRKIIYKLKLENAFTPDKLAEAGLLCFL >ORUFI01G29680.1 pep chromosome:OR_W1943:1:25628220:25628609:-1 gene:ORUFI01G29680 transcript:ORUFI01G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAARGMRHDDYATAAAATGGRRRKRCSKNTEAGSVPASVPGRRGAEHSAAAAATGVTVKVVLRRKDAERLIARLNEQSAMGRKARMAEIKREIMAGDGGRGAAAASASPGRCRDAWTHRLAPIQEN >ORUFI01G29690.1 pep chromosome:OR_W1943:1:25630839:25637088:-1 gene:ORUFI01G29690 transcript:ORUFI01G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSDTNNSCPHLHANLTIDDNSWLQLASSDSNITFLYNCKSNSSLLSSTSVVKLTGCGAGPEHIGSSYVFLDGWITGEAYDYECETVVVAPVIDAHKKAMVDAHGGLPPADGSFGDVLSAGFELTYSAHSDKCGKCERTKGWCGYRHNETSTTMDFTYVMGDGLHPRGYLTYIRYWL >ORUFI01G29700.1 pep chromosome:OR_W1943:1:25637529:25639109:1 gene:ORUFI01G29700 transcript:ORUFI01G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEEPTTCGNVSISYPFYFSDKTRDISGSSNSYCGYPGLAIDYNYTVLDIIYDSHTIVLADTDALRGGSCPRVGHNAYEWLQYTGSPDNLTFFFGCKLNLAPPIDPGLVSVVDQYQINCKTFSNAPNGGGSFVFTSGELEARARVESELARRCSQVIVVPVNGSILNSSNQSALPSGGYGQEVVDDSSGCPRVDHNVTFAQGSWLLFPAGMPLDYLVFFLGCSFPNLFLPPENIDPITCSFIGLNGQSYVLPKDQVPPGSWSQFCLKIYEVPVVKYQSMDPKGDAWRKGGYGQVLRQGFP >ORUFI01G29710.1 pep chromosome:OR_W1943:1:25642407:25652989:-1 gene:ORUFI01G29710 transcript:ORUFI01G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQGMAYKLRTDAAPTGAGRRARRSHSSVAAPYRAARLVQGGVSIEGGLVGGCHLTEERVAARPPRAAARDAEPVRPLSTLPESSIGLYDPSRERDSCGVGFVAELSGDYKRATVNDALEMLERMAHRGACGCEKNTGDGAGILVTKDAGFELPQPGEYAVGMVFLPIDEKRRERSKAEFQKVAESLGHVILGWRRVPTDNSDLGESALQTEPVIEQVFLTKSSSSEADFEQQLYILRRLSILSIRAALNLRRGGKRDFYMCSLSSRTIVYKGQLKPCQLKGYYYADLGHENFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLKGNKNWMKAREGLLECEKLGLTKDQFSKILPIVDATSSDSGAFDGVLELLIRGGRSLPEAVMMMIPEAWQNDVNMEPEKKALYEFLSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVVMGSEVGVVDVPSKDVLRKGRLNPGMMLLVDFENHTVVDDEALKAQYSKAHPYGEWLKRQKIYLKDIVESVPETERVAPGISGSLTQKNEKKEHAGVNGIVTPLKAFGYTVEALEMLLLPMAKDGVEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLLETTEKQCNRLALEGPLVSIDEMEAIKKMNYRGWRSKVLDITYPKKSGRKGLEETLDRICTEARGAIKKGYTVLVLSDRGFSSDRVAVSSLLAVGAVHQHLVANLERTRVGLLVESAEPREVHHFCTLVGFGADAVCPYLAIEAIWCLQNDGKIPPNGDGKPYSKEELVKKYFYASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIRKCFDGTPSRIEGATFEMLARDALRLHELAFPSRAPPPGSADAKALPNPGDYHWRKNGEVHLNDPLAMAKLQEAARVNSRAAYKEYSRRIQELNKTCNLRGMLKFKDTADMISVDEVEPASEIVKRFVTGAMSYGSISLEAHTALAMAMNKLGGKSNTGEGGEQPSRMEPLADGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPRARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAILQTDGQLKTGKDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMSQLGFRTITEMVGRSDMLEVDPEVVKSNEKLENIDLSLILKPAAEIRPGAAQYCVEKQDHGLDMALDNKLIALSKAALEKEVRVFIETPIQNTNRAVGTMLSHEVTKRYHMKGLPAGTIHVKLTGSAGQSLGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRDSTFIPEDNIVIGNVALYGATIGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDIDGKFSVRCNHELVDLYHVEEEDDITTLKMMIEQHRLNTGSVVARDILSNFDTLLPKFVKVFPRDYKRVLDNMKAEKAAAKLAKEPKISNGVSVTTKKVQPEQSTNRPTRVSNAKKYRGFISYERESISYRDSNERVKDWKEVAIESVPGPLLNTQSARCMDCGTPFCHQESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPRPPLQRTGKKVAIIGSGPAGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKTDKIEIVQRRVNLMAEEGITFVVNANVGSDPLYSIERLRSENDAVILACGATKPRDLGIPGRELSGVHFAMEFLHANTKSLLDSNLEDGRYISAKGKKVVVIGGGDTGTDCIGTSIRHGCTSIVNLELLTKPPSKRAADNPWPQWPRIFRVDYGHQEASSKFGNDPRTYEVLTKRFIGDENGNVKALEVVRVKWEKVDGRFQFKEIEGSNETIEADLVLLAMGFLGPEATIAEKLGLEKDNRSNFKAQFGNFATSVDGIFAAGDCRRGQSLVVWAITEGRQAAAAVDKYLSRNEQDAAEDITPSGAGFVQPVAA >ORUFI01G29720.1 pep chromosome:OR_W1943:1:25660567:25664652:1 gene:ORUFI01G29720 transcript:ORUFI01G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIKILQTPVTPTARDVHRCTWSAVVALDPLGNFAGDTPEWGQFRKGLHPLEAAKIIEQTNKSIFSLSPDLLLPHGLDLPHLEQEIKKRLLL >ORUFI01G29730.1 pep chromosome:OR_W1943:1:25669306:25673782:-1 gene:ORUFI01G29730 transcript:ORUFI01G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAKEEAKPKPRLIVRLGVFLASHHILFSAVCCTAGIIALLFLPSLAKNTYLSENALIPGSANTLFSTEDVQEANRFAKGIEAAIGESRGGTTEIPKFIAQQTKNLGAEVYYHEFLPDSKCFHPLKFFTSMTNNMAAKPNGTYTNFGINTVGIIRAPRGDGKEAIVLVTPYNSQKVTPNELLSLALGFSVFSLLSRAAWLSKDIVWLSADSQFGEYSAVSSWLNQYHNPMFLSHPVNLDTKIYGANQILYKPDGTAEKAELMAFKRAGTMAAALIFKVGETRKYGDRDSVTMYAEASNGQMPNLDLLNVVHYLAVNRQGFRVNVETFNSLLSSSWLRVIAEVFQNLGSLLRKINPDWKLDVTVPDYVEGTANLASSMYNQALGVPTGSHGAFRDYQVDAVSLEFAPAFHLKNENAKSSFLLRGGRLTEGVVRSVNNLLEKFHQSFFLYFLTAPSKFISVGVYMIPFALLLAPLPIVAAALAGGSKTKGKLEDECKTKGNADDLQMEGGSWKWLKSARVLLIIQFWAVLVSLLPYYISQIPGAMPIQYAVIWAVLSITILIILYAMFGSPSRAGVEWKLLKATMITSITIGMGLMSIINFATAQLGALILIPMCLFSRPLRAQLEMNFLPRTVLLASNILLTVLGFPPAAFLIMKGLSKGSWTVDIVGDFWLWMEFLWEWSSATYLYVFLVHLPCWLLCIHVLLHPCYQPESKMKQE >ORUFI01G29740.1 pep chromosome:OR_W1943:1:25677478:25681314:1 gene:ORUFI01G29740 transcript:ORUFI01G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLHAAPHHAAAAAAVVSSPSSAPLLLRAKPYHPKAAACSFTVTATTPSRKAFLSCPDHGQAAAGAAPPRSAPASSPALISSVQDLYDFICSGPLVDRIGYTKEKIAGSIDRWLRCGVQVARLFRLNELHLSEAEKARIYHFYIPVFLWCEDQVTEHRAKYKDGDEIPPLVIGVSAPQGSGKTTLVFALDYLFRVAGRNAATLSIDDFYLTAAEQGKLRERNPGNALLELRGNAGSHDLPFSVETLESLLKLTKEGLKMKLPRYDKSAFGGRGDRADPSTWPEVEGPLEVVLFEGWMLGFKPLPNEVLEVVNKNLEAYYDAWDRFIGSWMVIKIKEPSCVYQWRLQAEIAMRADGKPGMSDEEVMDFVSRYLPAYHAYLPTLYKEGPNGSNPDHLLVVDIDEKRNPMWGR >ORUFI01G29750.1 pep chromosome:OR_W1943:1:25686827:25694418:1 gene:ORUFI01G29750 transcript:ORUFI01G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGKTLSMTKFEHNKNAFILILIHIMIDKIRLCRHLTTLDDALIRTKWLNCKKAICDEVEIVKQLDAQLKAFKEAPGARRSSSPPIRSNNKSFVFQPLDEYPTSSPAPFDDPDVWAPPRDTPNRRPTRGQSSTRKSSQDGAWARGPSKTGTPSRGAKPNGSKGNSGARSSTASSTGGRKGKSSSSKADSVSSDAEEGKSKKGQYEGPDMDLAAMLERDVLDSTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARFYAPSTIFIDEIDSLCTSRGASGEHESSRRVKSELLVQIDGVNNSSTTEDGQPKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKALININLKTVEVATDVDIDEVARRTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMSKDEISKDPVAMCDFEEALAKVQKSVSPADIEKHEKWQAEFGSA >ORUFI01G29760.1 pep chromosome:OR_W1943:1:25713377:25719172:1 gene:ORUFI01G29760 transcript:ORUFI01G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASVASQAQAVLRGRLCDQAVVHSALRSSPDTNYSKLKYLVASSVSEACNNSVLLLGPRGCGKAAVRFSFLVYSSAPLSAVFSDAGVVFDEMLNGMLHSDDNCATKASSDDNTEFMIDMLRECGLAHKTIIFVLEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGVSCRLDADQLLEKRVRSRFSHRKLLFVPSSVDSLQRLMEHLLALPEDSPLPTKYVREYNARITSIFNDKKFKGILSSLTDADATTSHILRFLVVSYMDIDSGLLSMQSFMNALSSMQRQPKMDSLQEYKSVQDAYKTSDKYSHTVCFRAFEHLLDRELISFADNKGRNQALEYRPVKLLISSRELAESLKLNTTCPAVLQKLLDRERYM >ORUFI01G29770.1 pep chromosome:OR_W1943:1:25717848:25724451:-1 gene:ORUFI01G29770 transcript:ORUFI01G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRWYPPSSTAAQPSPDGGDVNTDDADACPSSRQQRPPSLPQHSAPIHHRRRVINSIDASGEVMEYGSSNDQRMKDMEIWPSDGGGVEEYDPWTAWLYKPHTVSVLLAGACLLIWASGVLHPEITSSHDKVIPIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGMAVVYLVALTFLLFQKRDDARQFMKHLHPGLGVELPERSYGSDCRMYVPENPTNRFINIQETLFDEFVIAHVLGWWGKAVMIRNQLLLWVLSVGFELMELTFRHMLPNFNECWWDSIWVGMHTVRYFDGKTYEWVGLSRQPSIMGKEPLGCVSADPLVVNCYPGNSKPVKKVGAFCWLSLAICMVELLICMKFGHVDRMFQHGVRE >ORUFI01G29770.2 pep chromosome:OR_W1943:1:25717848:25724451:-1 gene:ORUFI01G29770 transcript:ORUFI01G29770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRWYPPSSTAAQPSPDGGDVNTDDADACPSSRQQRPPSLPQHSAPIHHRRRVINSIDASGEVMEYGSSNDQRMKDMEIWPSDGGGVEEYDPWTAWLYKPHTVSVLLAGACLLIWASGVLHPEITSSHDKVIPIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGMAVVYLVALTFLLFQNYQRDHMVLTAETLFDEFVIAHVLGWWGKAVMIRNQLLLWVLSVGFELMELTFRHMLPNFNECWWDSIWVGMHTVRYFDGKTYEWVGLSRQPSIMGKLVKFTLSSLVNHFHCGRNPLVVYRLILWWLIAIPAIREYNTYLQDRKPVKKVGAFCWLSLAICMVELLICMKFGHVDRMFQHGVRE >ORUFI01G29770.3 pep chromosome:OR_W1943:1:25717848:25724451:-1 gene:ORUFI01G29770 transcript:ORUFI01G29770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRWYPPSSTAAQPSPDGGDVNTDDADACPSSRQQRPPSLPQHSAPIHHRRRVINSIDASGEVMEYGSSNDQRMKDMEIWPSDGGGVEEYDPWTAWLYKPHTVSVLLAGACLLIWASGVLHPEITSSHDKVIPIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGMAVVYLVALTFLLFQKRDDARQFMKHLHPGLGVELPERSYGSDCRMYVPENPTNRFINIQETLFDEFVIAHVLGWWGKAVMIRNQLLLWVLSVGFELMELTFRHMLPNFNECWWDSIWVGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLCQFTPAKWDKDQWHPFMEPRRFIQVFCLCVGFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPAILDRMFQHGVRE >ORUFI01G29770.4 pep chromosome:OR_W1943:1:25717848:25724451:-1 gene:ORUFI01G29770 transcript:ORUFI01G29770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRWYPPSSTAAQPSPDGGDVNTDDADACPSSRQQRPPSLPQHSAPIHHRRRVINSIDASGEVMEYGSSNDQRMKDMEIWPSDGGGVEEYDPWTAWLYKPHTVSVLLAGACLLIWASGVLHPEITSSHDKVIPIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGMAVVYLVALTFLLFQNYQRDHMVLTAETLFDEFVIAHVLGWWGKAVMIRNQLLLWVLSVGFELMELTFRHMLPNFNECWWDSIWVGMHTVRYFDGKTYEWVGLSRQPSIMGKEPLGCVSADPLVVNCYPGNSKPVKKVGAFCWLSLAICMVELLICMKFGHVDRMFQHGVRE >ORUFI01G29770.5 pep chromosome:OR_W1943:1:25717848:25724451:-1 gene:ORUFI01G29770 transcript:ORUFI01G29770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRWYPPSSTAAQPSPDGGDVNTDDADACPSSRQQRPPSLPQHSAPIHHRRRVINSIDASGEVMEYGSSNDQRMKDMEIWPSDGGGVEEYDPWTAWLYKPHTVSVLLAGACLLIWASGVLHPEITSSHDKVIPIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGMAVVYLVALTFLLFQKRDDARQFMKHLHPGLGVELPERSYGSDCRMYVPENPTNRFINIQETLFDEFVIAHVLGWWGKAVMIRNQLLLWVLSVGFELMEVKRSLCQFTPAKWDKDQWHPFMEPRRFIQVFCLCVGFMTVELNTFFLKFCLWIPPRNPLVKTSEEVDRMFQHGVRE >ORUFI01G29780.1 pep chromosome:OR_W1943:1:25725450:25729639:1 gene:ORUFI01G29780 transcript:ORUFI01G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEKLDFVLVPLGLAVLAVYHLWLLYAVLRHPTRTVVGLNAIARKRWVTVMMANTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFLGATAGRSPASPSSSSSGAPLLVYGSKTGEVFAVKYLAISLCFMLAFVCNVQAIRLYAHASFLLGLPPVAGAGAGEGEGEAAAAAAAVAREEFAAYVARTVNRGSHSWSLGLRAFYASLALFMWTFGPIPMLACSVLMCGLLYFLDTTRERAAAVAGIHHGHKDSTV >ORUFI01G29780.2 pep chromosome:OR_W1943:1:25725450:25729447:1 gene:ORUFI01G29780 transcript:ORUFI01G29780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEKLDFVLVPLGLAVLAVYHLWLLYAVLRHPTRTVVGLNAIARKRWVTVMMANCQPVCRTFLWAQNTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFLGATAGRSPASPSSSSSGAPLLVYGSKTGEVFAVKYLAISLCFMLAFVCNVQAIRLYAHASFLLGLPPVAGAGAGEGEGEAAAAAAAVAREEFAAYVARTVNRGSHSWSLGLRAFYASLALFMWTFGPIPMLACSVLMCGLLYFLDTTRERAAAVAGIHHGHKDSTV >ORUFI01G29780.3 pep chromosome:OR_W1943:1:25725450:25729639:1 gene:ORUFI01G29780 transcript:ORUFI01G29780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEKLDFVLVPLGLAVLAVYHLWLLYAVLRHPTRTVVGLNAIARKRWVTVMMANTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFLGATAGRSPASPSSSSSGAPLLVYGSKTGEVFAVKYLAISLCFMLAFVCNVQAIRLYAHASFLLGLPPVAGAGAGEGEGEAAAAAAAVAREEFAAYVARTVNRGSHSWSLGLRAFYASLALFMWTFGPIPMLACSVLMCGLLYFLDTTRERAAAVAGIHHGHKDSTV >ORUFI01G29780.4 pep chromosome:OR_W1943:1:25725450:25727350:1 gene:ORUFI01G29780 transcript:ORUFI01G29780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATGGGISADVPILHSENLTSNVKSIYYSRTFLSIISGVVAGIWGFTGLMGFVFYLLVMMVASLGLLLKAKFSIHTYFDSWNRILIEGVFGGLMMSGKV >ORUFI01G29790.1 pep chromosome:OR_W1943:1:25732683:25733497:1 gene:ORUFI01G29790 transcript:ORUFI01G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKRVKIAVHVARIISMILAIGVLMCCTWGMAIAALSTALFARQHRFCISAFKCTRFLVGFALAVSVGFLSAVKALGMLWILGSQFPRAE >ORUFI01G29800.1 pep chromosome:OR_W1943:1:25735432:25738836:-1 gene:ORUFI01G29800 transcript:ORUFI01G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFHPCSRRWLGIGLADGSSCPSGAARGGGLGSSRASDAEVDSDDGGFGSAGKSEQER >ORUFI01G29810.1 pep chromosome:OR_W1943:1:25742158:25746048:1 gene:ORUFI01G29810 transcript:ORUFI01G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVCMPALNPINSHMTKTKLLVLSSLIPVNSQTLIWHLRRQNTKGKDPRFATGWGGSGVTQIPQSTEKPLRKLTLEDTRPFGCTSTDFTRTEKSEESNGTRSSNSFGSQARGSGAARGGDEVGFLHPTQFQSLEHSQTSNWTFEVPECPQRYLWCKDHKLAISTEILPKLYRAAQHAYSNSAAAKDGSFMETDLMRHSKALLILCPDMLTAWNSRKIVLSVNYDFTKLKDELQLCALILSYSPKNESTWSHRRWVIKKVSEHNQDVSELIEMESVLVKQIAEKSKMNYRAWRHRCWLIPYMTREQSLLLALLDSCHVEDTEDSLDRKSEVHLLWKEELTWNEKLIRRYQGRESLWIHRRFLSQWWMKFLLSSEETECAAGTSLVDLFLVQEIYLLSDCLNAPADEFGEACVQSELAALYILWISKQVPAVKLKLEERLHSLGSLEDVLARACPERSRLWTHLIA >ORUFI01G29820.1 pep chromosome:OR_W1943:1:25772876:25777501:1 gene:ORUFI01G29820 transcript:ORUFI01G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAVTALVADQEEVAQLGEGILHHFEEILHQDPLIQTGYWTSQAPECPRRYLWCKDHKLAISMEILPKLYRAARHAYSNSAAAKDGPLMEIDLMRHSKALLILCPDMLTAWNSRKMVLSVNYDFTKLKDELQLCALILSCSPKNESTWSHRRWVIKKVSEHNQDVSELIERESVLVKEIAEKSKMNYRAWRHRCWLIPYMTREQVLNELKKSTRWNELHVADNCCFHYRRSLLLALLDSCHVEDTEDSLDRKSEVHLLWKEELTWNQMLIRRYQGRESLWIHRIFLSQWWVKFSLSSEETECAAGTSLVDLFLAQEIYLLSDRLNAPADEFGEACVQTELAALYILWISKQVPAVKLKLEKRLHSVGSLEDVLARACPLLKAEQGHALQHTYRVTDETTTYDRCDASLNLLILIKGLFGTPTAAAAAAYSVGHCLCRLRRP >ORUFI01G29820.2 pep chromosome:OR_W1943:1:25772876:25777320:1 gene:ORUFI01G29820 transcript:ORUFI01G29820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAVTALVADQEEVAQLGEGILHHFEEILHQDPLIQTGYWTSQAPECPRRYLWCKDHKLAISMEILPKLYRAARHAYSNSAAAKDGPLMEIDLMRHSKALLILCPDMLTAWNSRKMVLSVNYDFTKLKDELQLCALILSCSPKNESTWSHRRWVIKKVSEHNQDVSELIERESVLVKEIAEKSKMNYRAWRHRCWLIPYMTREQVLNELKKSTRWNELHVADNCCFHYRRSLLLALLDSCHVEDTEDSLDRKSEVHLLWKEELTWNQMLIRRYQGRESLWIHRIFLSQWWVKFSLSSEETECAAGTSLVDLFLAQEIYLLSDRLNAPADEFGEACVQTELAALYILWISKQVPAVKLKLEKRLHSVGSLEDVLARACPLLKAEQGHALQHTYRVTDETTTYGYLVL >ORUFI01G29830.1 pep chromosome:OR_W1943:1:25777882:25780923:-1 gene:ORUFI01G29830 transcript:ORUFI01G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAREEQPLLLRREEGEEEGEEVGWRRRWGSEAGKLAYLALPMVAVSLTNYAVQVFSNMMVGHLPGVLPLSSAAIATSLASVTGFSLLIGMASALETLCGQAYGAKQYHTLGVHTYRAILTLLVVCIPLSLLWVFMGKILVLIGQDPLISHGAGRYIVWLIPGLFANALIQPITKFLQSQSLIMPMLVASVATLVFHIPLCWLMVFKTGLGYTGAALSISISYWLNVAMLVAYILLSSSCKETRTPPTIEAFKGLDGFLRLALPSALMICLEWWSFELLILMSGLLPNPELQTSVLSICLTSITLLFTIPYGLGAGGSTRVANELGAGNPEGARSAVYVVLSVAVTEALIVCGTLLASRRLLGRAYSSEEEVISFVAMMVPLVCITVVTDGLQGVMSGIARGCGWQHLGAYVNLGSFYLLGIPMAILLGFVLHMGAKGLWMGIVCGSISQITLLSAITFFTNWQKMAENARERVFSEKPTEPSRYHLVE >ORUFI01G29840.1 pep chromosome:OR_W1943:1:25784759:25788324:-1 gene:ORUFI01G29840 transcript:ORUFI01G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDAICQKYGRYDAEKLHGSGVAGEDPFARLYASVDADLNECLEKAEAAKQEKNRATVVALNAEIRGTKAKLLEEDLPKLQRLALKKVKGLSKEELAIRGDLVTALPDRIQSIPDGSATSSKKTGLWGSSGSRAGTGIKFDSTYDLEWKLIYVGSAEDENYDQLLESVLVGPVNVGTYRFVLQADPPDPSKIRKEDIIGVIVLLLTCSYMGQEFIRVGYYVNNDNDDEQLREEPPAKLLIDRVQRNILADKPSVTKFPINFHPETSAGAGQEQQQQQQSAKEPTKFH >ORUFI01G29850.1 pep chromosome:OR_W1943:1:25788746:25790007:-1 gene:ORUFI01G29850 transcript:ORUFI01G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSLFPSPPSHSSFVPLPRRCLRALAPPPAHHGRAHRGQPVLATHRFAAHEYDASELGPSRFSVASVHRVGILDVCLLNIDRHADNILVKKSPESKCASGDSTPTPLDFLYGSGIAKSVSFSAAVPQPAPLPPHPAASSLAAGRLAQRREEKSERERRKGDRLMWHPDIWGPRGSMLTQPSRRTKPGLKTPK >ORUFI01G29860.1 pep chromosome:OR_W1943:1:25792189:25793552:1 gene:ORUFI01G29860 transcript:ORUFI01G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKRGPWSPEEDELLRSYVRSHGTGGNWIALPQKAGLNRCGKSCRLRWLNYLRPDIKHGGYTDQEDRIICSLYNSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAMAMHHHHQPPPPQQQHYHHHHHHRVAGGGARVTLVSPPPAPQSQCASMQPSPASASSSGGDACSFGAAAMYSPSPSTQQAPQAATLAVAGYTSVATAAAAAAVAAQRSPLDELICQVPPPPTTTAADCWASGVTLDDVFLPELVGAGEFPNGDLFGGFGPLLQDRSSMELSACYFPNAAAAEMWPAATDIVKPAGLCHSLT >ORUFI01G29870.1 pep chromosome:OR_W1943:1:25803639:25815271:-1 gene:ORUFI01G29870 transcript:ORUFI01G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSVATSTLFLSSLASLPSPHSEPPSASAASPLSPVPASVPPTALIPGSRFLVDAFRHAGDFTASYFLSHFHSDHYTGLGPSWRRGLVFCSPLTARLLVSVLSVPPQLVVVLDAGVRVTVDGWCVVAVDANHCPGAVQFLFRSSGPNAERYVHTGDFRFSQSMITEPNLLEFIGADAVFLDTTYCNPKFTFPPQKESLEYVVNSIKRVKEESRASGERVLCLIATYVVGKERILLEIARRCGCKIHVDSRKMEILTLLGIGGEDGVFTEDAAATDVHVTGWNILGETWPYFRPNFVKMKEIMVERGYNKAVGFVPTGWMYETKKEGFAVRTKDSLEIHLVPYSEHSSYNELRDYVKFLHPKRVIPTVGLDGGKLDSKEAFALQKHFAGLVDETANKQEFLMAFHRSSRNATLGPEDAVTGLSQQEGEVQELEEATLLPASLAFERSDSFQEKITVEMKKELSDFLPSWVSQDLILDLLIKSGGDVVQAATDFFEKERDFFEEANVYNSETPKSEIDLSSDHGSSADASSQQEVPLFSQKPMDHSSKLLNLNAMRMKSNLSKRERKRGSNSADKPKKKGRSTAFKPLTESSGRKQSTITNYFARTMLAASKSDTSDKVTVDANQNNVRNDDQFTEVVESEKQSVSQLLQIVDGGMSRESAISLLEKAKGDVNVAVDIFYSKTDNSNVLENDMNIVTQNTENEMTDKSSSTGLLRNSSEATPKMPNLCVQSYVAQADSVCISLPIEKYLPIEHACWTAGQPAPYLHLARTFDLVEREKGKIKTTAMLCNMFRSLLALSPDDVLPAVYLCTNKISPDHENIELNIGGSLVVSALEEALGSSRSKIHEMYKTFGDLGDVAQECRQNQMLLAPPRPLSIRDVFSTLRKLREMEMKFLVRTLVRNLRIGVMMKTILPALAHAVVIDGKYSNSPVLSLEGIKPQLQELSTEVAEAYNVIPNLDLLIPSLLREGTAFSASSLAMITGTPIPPMLARYDGQRAQIHRSNDGSVQIFSRQMKESTSRFPDLVGMIKELCSIEVSSFILDAEVVGIDRKKGNKLMSFQELSSRERGSKHSSIAIQNIKVDICVFVFDIMFCNGQSLLNCSLRQRRKYIHDLFQEKPGHFELAQQLTVEADEASVDNSTTLERMNTFFKMACQSSCEGIMLKILDVDAGYSASKRCDSWLKVKRDYVGLGDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPEYEEFQSVCRVMSGFSDEFYKEPQPAAALADCRRCAQRPVAGGLPLLDCSACRCATSRSPMLSPVVTHQLDAGSTPPIARDGESMEMMKEFYSGDRILPKKPVYYKTDELPELWFSAEQVWEIRGADLTLSPVHHAAIGLVHPSRGISVRMPRYIRSRPDRSPEDCSTATDVASLFKAQTRKMEVSSDGQDTSH >ORUFI01G29870.2 pep chromosome:OR_W1943:1:25803639:25815271:-1 gene:ORUFI01G29870 transcript:ORUFI01G29870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSVATSTLFLSSLASLPSPHSEPPSASAASPLSPVPASVPPTALIPGSRFLVDAFRHAGDFTASYFLSHFHSDHYTGLGPSWRRGLVFCSPLTARLLVSVLSVPPQLVVVLDAGVRVTVDGWCVVAVDANHCPGAVQFLFRSSGPNAERYVHTGDFRFSQSMITEPNLLEFIGADAVFLDTTYCNPKFTFPPQKESLEYVVNSIKRVKEESRASGERVLCLIATYVVGKERILLEIARRCGCKIHVDSRKMEILTLLGIGGEDGVFTEDAAATDVHVTGWNILGETWPYFRPNFVKMKEIMVERGYNKAVGFVPTGWMYETKKEGFAVRTKDSLEIHLVPYSEHSSYNELRDYVKFLHPKRVIPTVGLDGGKLDSKEAFALQKHFAGLVDETANKQEFLMAFHRSSRNATLGPEDAVTGLSQQEGEVQELEEATLLPASLAFERSDSFQEKITVEMKKELSDFLPSWVSQDLILDLLIKSGGDVVQAATDFFEKERDFFEEANVYNSETPKSEIDLSSDHGSSADASSQQEVPLFSQKPMDHSSKLLNLNAMRMKSNLSKRERKRGSNSADKPKKKGRSTAFKPLTESSGRKQSTITNYFARTMLAASKSDTSDKVTVDANQNNVRNDDQFTEVVESEKQSVSQLLQIVDGGMSRESAISLLEKAKGDVNVAVDIFYSKTDNSNVLENDMNIVTQNTENEMTDKSSSTGLLRNSSEATPKMPNLCVQSYVAQADSVCISLPIEKYLPIEHACWTAGQPAPYLHLARTFDLVEREKGKIKTTAMLCNMFRSLLALSPDDVLPAVYLCTNKISPDHENIELNIGGSLVVSALEEALGSSRSKIHEMYKTFGDLGDVAQECRQNQMLLAPPRPLSIRDVFSTLRKLREMEMKFLVRTLVRNLRIGVMMKTILPALAHAVVIDGKYSNSPVLSLEGIKPQLQELSTEVAEAYNVIPNLDLLIPSLLREGTAFSASSLAMITGTPIPPMLARYDGQRAQIHRSNDGSVQIFSRQMKESTSRFPDLVGMIKELCSIEVSSFILDAEVVGIDRKKGNKLMSFQELSSRERGSKHSSIAIQNIKVDICVFVFDIMFCNGQSLLNCSLRQRRKYIHDLFQEKPGHFELAQQLTVEADEASVDNSTTLERMNTFFKMACQSSCEGIMLKILDVDAGYSASKRCDSWLKVKRDYVGLGDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPEYEEFQSVCRVMSGFSDEFYKEMKEFYSGDRILPKKPVYYKTDELPELWFSAEQVWEIRGADLTLSPVHHAAIGLVHPSRGISVRMPRYIRSRPDRSPEDCSTATDVASLFKAQTRKMEVSSDGQDTSH >ORUFI01G29870.3 pep chromosome:OR_W1943:1:25803639:25815271:-1 gene:ORUFI01G29870 transcript:ORUFI01G29870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSVATSTLFLSSLASLPSPHSEPPSASAASPLSPVPASVPPTALIPGSRFLVDAFRHAGDFTASYFLSHFHSDHYTGLGPSWRRGLVFCSPLTARLLVSVLSVPPQLVVVLDAGVRVTVDGWCVVAVDANHCPGAVQFLFRSSGPNAERYVHTGDFRFSQSMITEPNLLEFIGADAVFLDTTYCNPKFTFPPQKESLEYVVNSIKRVKEESRASGERVLCLIATYVVGKERILLEIARRCGCKIHVDSRKMEILTLLGIGGEDGVFTEDAAATDVHVTGWNILGETWPYFRPNFVKMKEIMVERGYNKAVGFVPTGWMYETKKEGFAVRTKDSLEIHLVPYSEHSSYNELRDYVKFLHPKRVIPTVGLDGGKLDSKEAFALQKHFAGLVDETANKQEFLMAFHRSSRNATLGPEDAVTGLSQQEGEVQELEEATLLPASLAFERSDSFQEKITVEMKKELSDFLPSWVSQDLILDLLIKSGGDVVQAATDFFEKERDFFEEANVYNSETPKSEIDLSSDHGSSADASSQQEVPLFSQKPMDHSSKLLNLNAMRMKSNLSKRERKRGSNSADKPKKKGRSTAFKPLTESSGRKQSTITNYFARTMLAASKSDTSDKVTVDANQNNVRNDDQFTEVVESEKQSVSQLLQIVDGGMSRESAISLLEKAKGDVNVAVDIFYSKTDNSNVLENDMNIVTQNTENEMTDKSSSTGLLRNSSEATPKMPNLCVQSYVAQADSVCISLPIEKYLPIEHACWTAGQPAPYLHLARTFDLVEREKGKIKTTAMLCNMFRSLLALSPDDVLPAVYLCTNKISPDHENIVMLPKNAARIKCYLLLLVPSQFAIEMEMKFLVRTLVRNLRIGVMMKTILPALAHAVVIDGKYSNSPVLSLEGIKPQLQELSTEVAEAYNVIPNLDLLIPSLLREGTAFSASSLAMITGTPIPPMLARYDGQRAQIHRSNDGSVQIFSRQMKESTSRFPDLVGMIKELCSIEVSSFILDAEVVGIDRKKGNKLMSFQELSSRERGSKHSSIAIQNIKVDICVFVFDIMFCNGQSLLNCSLRQRRKYIHDLFQEKPGHFELAQQLTVEADEASVDNSTTLERMNTFFKMACQSSCEGIMLKILDVDAGYSASKRCDSWLKVKRDYVGLGDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPEYEEFQSVCRVMSGFSDEFYKEMKEFYSGDRILPKKPVYYKTDELPELWFSAEQVWEIRGADLTLSPVHHAAIGLVHPSRGISVRMPRYIRSRPDRSPEDCSTATDVASLFKAQTRKMEVSSDGQDTSH >ORUFI01G29880.1 pep chromosome:OR_W1943:1:25820020:25824488:1 gene:ORUFI01G29880 transcript:ORUFI01G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRALTSVLRSASRLRAASPSPCPRRAPLHPHRRPSPAGFLLNRAAAAYASSAAAQAAPAPPPASGKATGGGKITDEFTGAGAVGQVCQVIGAVVDVRFDEGLPPILTALEVLDHNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDEKGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVQSFQGVLDGKYDDLPEQSFYMVGGIDEVIAKAEKIAKESAS >ORUFI01G29890.1 pep chromosome:OR_W1943:1:25825145:25829640:1 gene:ORUFI01G29890 transcript:ORUFI01G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVKDQLHQMSTTCDSLLLELNVIWDEVGEPDTTRDRMLLELEQECLEVYRRKVDQANRSRAQLRKAIAEGEAELAGICSAMGEPPVHVRQSNQKLHGLREELNAIVPYLEEMKKKKVERWNQFVHVIEQIKKISSEIRPADFVPFKVPVDQSDLSLRKLDELTKDLESLQKEKSDRLKQVIEHLNSLHSLCEVLGIDFKQTVYEVHPSLDEAEGSKNLSNTTIERLAAAANRLREMKIQRMQKLQDFASSMLELWNLMDTPLEEQQMFQNITCNIAASEQEITEPNTLSTDFLNYVESEVLRLEQLKASKMKDLVLKKKAELEEHRRRAHLVGEEGYAEEFSIEAIEAGAIDPSLVLEQIEAHIATVKEEAFSRKDILEKVERWQNACEEEAWLEDYNKDDNRYNAGRGAHLTLKRAEKARTLVNKIPGMVDVLRTKIAAWKNERGKEDFTYDGVSLSSMLDEYMFVRQEKEQEKKRQRDQKKLQDQLKAEQEALYGSKPSPSKPLSTKKAPRHSMGGANRRLSLGGATMQPPKTDILHSKSVRAAKKTEEIGTLSPSSRGLDIAGLPIKKLSFNASTLRETETPRKPFAQITPGNSVSSTPVRPITNNTEDDENRTPKTFTALNPKTPMTVTAPMQMAMTPSLANKVSATPVSLVYDKPEVTLQEDIDYSFEERRLAIYLARQMV >ORUFI01G29900.1 pep chromosome:OR_W1943:1:25831860:25832360:1 gene:ORUFI01G29900 transcript:ORUFI01G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVPGAARGAKVVLPDGAVRAVKVPAKAAELMLEAPGHFLADARALRAWGRIAALGADEDLELGGLYAAFPMKRLGAPAAPADMARLAAAVSRDQAAARRSSATAKVAAVVVAPQHDAAMAEEEEDAARPRLDEMARGRPPVEAADVGDHTRGELRSSSKMLVIV >ORUFI01G29910.1 pep chromosome:OR_W1943:1:25837287:25842425:1 gene:ORUFI01G29910 transcript:ORUFI01G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCLRPPHAAMTPPSSHPRAIPRSALLASSRRRRTPPRPAPPAPGGSPFRRSPRQRRVVPLSAGALPGITRPPPSSLLPVAVHTTAACPRRRRGGSPFRRSPAWVYPTAPRTRCGTRHSGLRLSSPDDILIPFACLSNPCEGQRLQLHRLQAIDCGRYNGGDKERFAVISSLLSFAQVATYVLPTIGSSKGIVRRINTQTFLGFTISLLQPTALPALPTTTPTRATAEASHRAEEASQVWNYEERMTICRTKACVEEKGKLAKFVGRGELEGNGSSLQVEESWSGMASASEANGLCCHSRLTLEVLFFFSSYR >ORUFI01G29910.2 pep chromosome:OR_W1943:1:25837287:25842425:1 gene:ORUFI01G29910 transcript:ORUFI01G29910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCLRPPHAAMTPPSSHPRAIPRSALLASSRRRRTPPRPAPPAPGGSPFRRSPRQRRVVPLSAGALPGITRPPPSSLLPVAVHTTAACPRRRRGGSPFRRSPAWVYPTAPRTRCGTRHSGLRLSSPDDILIPFACLSNPCEGQRLQLHRLQAIDCGRYNGGDKERFAVISSLLSFAQTISLLQPTALPALPTTTPTRATAEASHRAEEASQVWNYEERMTICRTKACVEEKGKLAKFVGRGELEGNGSSLQVEESWSGMASASEANGLCCHSRLTLEVLFFFSSYR >ORUFI01G29910.3 pep chromosome:OR_W1943:1:25837287:25842425:1 gene:ORUFI01G29910 transcript:ORUFI01G29910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCLRPPHAAMTPPSSHPRAIPRSALLASSRRRRTPPRPAPPAPGGSPFRRSPRQRRVVPLSAGALPGITRPPPSSLLPVAVHTTAACPRRRRGGSPFRRSPAWVYPTAPRTRCGTRHSGLRLSSPDDILIPFACLSNPCEGQRLQLHRLQAIDCGRYNGGDKERFAVISSLLSFAQVATYVLPTIGSSKGIVRRINTQTFLGFTISLLQPTALPALPTTTPTRATAEASHRAEEASQACVEEKGKLAKFVGRGELEGNGSSLQVEESWSGMASASEANGLCCHSRLTLEVLFFFSSYR >ORUFI01G29910.4 pep chromosome:OR_W1943:1:25837287:25842425:1 gene:ORUFI01G29910 transcript:ORUFI01G29910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCLRPPHAAMTPPSSHPRAIPRSALLASSRRRRTPPRPAPPAPGGSPFRRSPRQRRVVPLSAGALPGITRPPPSSLLPVAVHTTAACPRRRRGGSPFRRSPAWVYPTAPRTRCGTRHSGLRLSSPDDILIPFACLSNPCEGQRLQLHRLQAIDCGRYNGGDKERFAVISSLLSFAQTISLLQPTALPALPTTTPTRATAEASHRAEEASQACVEEKGKLAKFVGRGELEGNGSSLQVEESWSGMASASEANGLCCHSRLTLEVLFFFSSYR >ORUFI01G29910.5 pep chromosome:OR_W1943:1:25837287:25842425:1 gene:ORUFI01G29910 transcript:ORUFI01G29910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCLRPPHAAMTPPSSHPRAIPRSALLASSRRRRTPPRPAPPAPGGSPFRRSPRQRRVVPLSAGALPGITRPPPSSLLPVAVHTTAACPRRRRGGSPFRRSPAWVYPTAPRCCIASKPSTAAGIMEVIRRGSR >ORUFI01G29920.1 pep chromosome:OR_W1943:1:25845869:25852119:1 gene:ORUFI01G29920 transcript:ORUFI01G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQETPARRSPPHLLLVSAPLQGHVNPLLCLGGRLSSRGLLVTFTTVPHDGLKLKLQPNDDGAAMDVGSGRLRFEPLRGGRLWAPADPRYGAPGDMQRHIQDAGPAALEGLIRRQANAGRPVSFIVANAFAPWAAGVARDMGVPRAMLWTQSCAVLSLYYHHLYSLVAFPPAGAETGLPVPVPGLPALTVGELPALVYAPEPNVWRQALVADLVSLHDTLPWVLVNTFDELERVAIEALRAHLPVVPVGPLFDTGSGAGEDDDCVAWLDAQPPRSVVFVAFGSVVVIGRDDTAEVAEGLASTGHPFLWVVRDDSRELHPHGESGGGGDKGKVVAWCEQRRVLAHPAVGCFVTHCGWNSTTEALAAGVPVVAYSAWSDQITNAKLLADVYGVGVRLPVPPTRDALRRCVDEVMSGPEAEAMRLRAREWSDKASAAVANGGSSDMGIRDFADALLSLSPRAASEMSQESAAAAATGMAPAPAKAQPHVLLVSSPFQSHVNPLLRLGRRLAGKGLSVTFTTALRDGIRVFDDGDGGGGGVRVERLRGGGMWEPDDPRLRIPGDMARHVEAAGPAALEELIRREAEAGRPVACVVANAFVSWAVRVAGDVGLPCAILWIQSCAVLSVYYHYVYSLAAFPSGDEADSSGAVTIPGLPELDMDELRPLLIYTSDQEMWRQMLVGDLGSMTEKAPWVFVNTFDELEHEAVAGLRKHIPLIPVGPLVEPDDGGVDDDDVHGCTAWLDAQPRRSVVFVAFGSLVDIGHDEVVEIAEGLASTGRPFLWVLRDGNRALLPKDALIDACGGDRGKVVPWCEQRRVLAHAAVGCFVTHCGWNSTAEALAAGVPMVASPRWSDQRINTRFVVDVYRVGVRAPATPLTREALRLSVEEVTAGPEAEAMAARAAILGEKARAAVGGGGSSDRGVQAFVDRITSGGAEP >ORUFI01G29930.1 pep chromosome:OR_W1943:1:25854917:25860064:1 gene:ORUFI01G29930 transcript:ORUFI01G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRLTPEEPELPQGTPPRPQLPPAFAGAGAVLAGSGSGGGGGLEMASDDERSVAADSWSVRSEYGSTLDDDQRYADAAEVLAAAAASANFPSDTLQGYVVSCSCGVAVRTFYFVHFLVATSLMIAFRIVIKYVERGSARDVFASFVVIGQVGIGSQMRIQIDCVLTKMIKILARLKAQCWGSKVIGMLLIQKILQIFRNMGMLEKYDVMDTMAVWTKKLCIDIINGGTPSGNESINCEVDEKQLSNYPVLDVGTGNGLLLQALAKQGFSNLTGTDYSEGAIELAKNLAARDGFTSINFLVDDILETKLDRKFKIVTDKGTFDAIGLHPDGRIKRVMYWESVSNLVEPGGIVVVTSCNHTKDELVQEVEDFSKTKSGKEHLDEGEGNVPQIFRYIDHVRTYPTIMFGGVEGSQVCTVAFQRV >ORUFI01G29940.1 pep chromosome:OR_W1943:1:25862012:25863361:1 gene:ORUFI01G29940 transcript:ORUFI01G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPDLNPWVVLDRYVRDADLVDTQRDPRWAAVKCARKEAYGCGEFGQELVDGLTLYVRRNDGPSDDLFSALAVRATDEMLRKVAAPTKIQKSLIRPLFRCQASMNARVTFVCELHAAEENLVVLSASFDHAHHIYYLVYDGIDESLTMIPSLSPHRFVAFHPRPVLRRRATGGYDLALIARYLSRKSREDGDVLLLFTKEKESSCCSDQWMEKKKGMRLPTGPLGFFCPDMIFSFKGNTFWVDLSQGFMCCDTNVLFSGDTVDFRHLSFPHQYLLHDIIKSQELGPMEMYRTMGVSGGSIKFVSINTPATDAAAGKPPGRPCHDATALANTTVAVWTLDQGGLCWKKDVEFRLGNIWSQRDYKQSGLPRMVPVWPFLRPHAHGTLYFLVPKPMTGPSDPQMYHICGLDMCTKKIQLSQYSVRSNILRPAAFPTNAFQHLDESPLATK >ORUFI01G29950.1 pep chromosome:OR_W1943:1:25868350:25870340:-1 gene:ORUFI01G29950 transcript:ORUFI01G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFALMAGRLLTESTLQSAVQEALAVASVKIVHDQPDLPVHEDVQDGKAKSGVMVECRICQEEGDESYMETPCCCKGSLKASVIPACYSYPTDFPAYAHHACIQRWCNEKGDTVCEICLQQFTPNYTAPLKLFRHGRNLISFRRSGERSDNVDTYRSQEHYAQTSDQAAGTSSFDSQNSSPKGVFYCRVVAISLMALLVLRDAISLILGDPEVYSIALFTLLMIRTAGIVIPIYIILVSVTTLLHRYRQHQAVHEATDSEPGGGEGLRPMPPPQHVISIQ >ORUFI01G29960.1 pep chromosome:OR_W1943:1:25873652:25876208:1 gene:ORUFI01G29960 transcript:ORUFI01G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQESLVLAGVMHGHNDVVTAIATPIDNSPFIVSSSRDKSLLVWDLTNPVQNVGEGAGASEYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGVTTRRFVGHDKDVLSVAFSVDNRQIVSASRDRTIKLWNTLGECKYTIGGDLGGGEGHNGWVSCVRFSPNTFQPTIVSGSWDRTVKVWNLTNCKLRCNLEGHGGYVNAVAVSPDGSLCASGGKDGVTLLWDLAEGKRLYSLDAGSIIHSLCFSPNRYWLCAATQDSIKIWDLESKHIVQDLKPEIPVSKNQMLYCTSLNWSADGSTLYAGYTDGTIRIYKISGFSYAG >ORUFI01G29970.1 pep chromosome:OR_W1943:1:25878815:25879404:1 gene:ORUFI01G29970 transcript:ORUFI01G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGWSRGVAGAAAGGAVAGELVGRGCRPPCSLILDMNLRGIDVEATEEVRSKCPRIVVGEDGRASGGGGRPLAERRAGGDLAKDAVRTSDARGATTRNSLREGGRGAAVRLGRRPAGGRERNGDAQQLAGEGRRRTPADGWDGEKRRHVPCRWRGWPTGGR >ORUFI01G29980.1 pep chromosome:OR_W1943:1:25888180:25893623:1 gene:ORUFI01G29980 transcript:ORUFI01G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSTNRFCKKVDLEVLPSRSDVGESSYHKHSLWMAHWARSSISPEPQNGQSCSPLKEIDDVGYSKDCGALPFELMKARVAERLMVGVSHGGVSAGNTRQFSTNMRGVARDVCQEVQCKNVDQMGSSFESSVMQKNVNLYAAKTVVSERYSVHKISDILVDSRKLCGTENLSSEWNHFPMFEINRKIDSILNPRRSALVTSSEKIFVPQKSVKINMSTSNVMSFSSKEYQLHTHQVTDENRQCKSARGMLSHLDNYTGLNSDHAGKKLKGHLSIEEPCSCSKDDTDSSCSLADEHHARHYIPNSKKSPHRSCKNSSVYSASKMENQFVEGSLLEHKSEVYGACKKKQHLEGVAFHESALHREYQIKSVKTTAITNEGDMDTNGHHVDFGNLLQSDQQYLNKHTEDSAVNLTESCKTPDAIDSAMILKSKDESLAQEKRTNNKLIDNKRKGPCLFEMFTQPTKSNVKCSIDRTSSGKSCGNMTSGLLGAQKQFSTKTDTFYSEAHHASKSTAGFASASMQKDLGYPSSAKTEQLVTSSVKGVSSGSKGNEAVNTSAEHRDFYPKATCANNQEWSMSKTSSMNLDLVLFQISRLKNPIPNALNESPACPDPSEKWLKRLQHDTSDSHVPCSKKPKVGDGPLAGGTCTVFGQVFDCDSDSTGMINHVKNKLICKGLTDQQSQEGSPMSAKSLNRWIGRWCRGGTPVFHGTSNLERQEAKSGMPSDDLEGQFPSIAAMAMMGRVMNKLRPCELQKRGPSVVWRTEGL >ORUFI01G29980.2 pep chromosome:OR_W1943:1:25888180:25893623:1 gene:ORUFI01G29980 transcript:ORUFI01G29980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSTNRFCKKVDLEVLPSRSDVGESSYHKHSLWMAHWARSSISPEPQNGQSCSPLKEIDDVGYSKDCGALPFELMKARVAERLMVGVSHGGVSAGNTRQFSTNMRGVARDVCQEVQCKNVDQMGSSFESSVMQKNVNLYAAKTVVSERYSVHKISDILVDSRKLCGTENLSSEWNHFPMFEINRKIDSILNPRRSALVTSSEKIFVPQKSVKINMSTSNVMSFSSKEYQLHTHQVTDENRQCKSARGMLSHLDNYTGLNSDHAGKKLKGHLSIEEPCSCSKDDTDSSCSLADEHHARHYIPNSKKSPHRSCKNSSVYSASKMENQFVEGSLLEHKSEVYGACKKKQHLEGVAFHESALHREYQIKSVKTTAITNEGDMDTNGHHVDFGNLLQSDQQYLNKHTEDSAVNLTESCKTPDAIDSAMILKSKDESLAQEKRTNNKLIDNKRKGPCLFEMFTQPTKSNVKCSIDRTSSGKSCGNMTSGLLGAQKQFSTKTDTFYSEAHHASKSTAGETCYYTI >ORUFI01G29980.3 pep chromosome:OR_W1943:1:25888197:25893623:1 gene:ORUFI01G29980 transcript:ORUFI01G29980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSTNRFCKKVDLEVLPSRSDVGESSYHKHSLWMAHWARSSISPEPQNGQSCSPLKEIDDVGYSKDCGALPFELMKARVAERLMVGVSHGGVSAGNTRQFSTNMRGVARDVCQEVQCKNVDQMGSSFESSVMQKNVNLYAAKTVVSERYSVHKISDILVDSRKLCGTENLSSEWNHFPMFEINRKIDSILNPRRSALVTSSEKIFVPQKSVKINMSTSNVMSFSSKEYQLHTHQVTDENRQCKSARGMLSHLDNYTGLNSDHAGKKLKGHLSIEEPCSCSKDDTDSSCSLADEHHARHYIPNSKKSPHRSCKNSSVYSASKMENQFVEGSLLEHKSEVYGACKKKQHLEGVAFHESALHREYQIKSVKTTAITNEGDMDTNGHHVDFGNLLQSDQQYLNKHTEDSAVNLTESCKTPDAIDSAMILKSKDESLAQEKRTNNKLIDNKRKGPCLFEMFTQPTKSNVKCSIDRTSSGKSCGNMTSGLLGAQKQFSTKTDTFYSEAHHASKSTAGFASASMQKDLGYPSSAKTEQLVTSSVKGVSSGSKGNEAVNTSAEHRDFYPKATCANNQEWSMSKTSSMNLDLVLFQISRLKNPIPNALNESPACPDPSEKWLKRLQHDTSDSHVPCSKKPKVGDGPLAGGTCTVFGQVFDCDSDSTGMINHVKNKLICKGLTDQQSQEGSPMSAKSLNRWIGRWCRGGTPVFHGTSNLERQEAKSGMPSDDLEGQFPSIAAMAMMGRVMNKLRPCELQKRGPSVVWRTEGL >ORUFI01G29980.4 pep chromosome:OR_W1943:1:25888197:25893623:1 gene:ORUFI01G29980 transcript:ORUFI01G29980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSTNRFCKKVDLEVLPSRSDVGESSYHKHSLWMAHWARSSISPEPQNGQSCSPLKEIDDVGYSKDCGALPFELMKARVAERLMVGVSHGGVSAGNTRQFSTNMRGVARDVCQEVQCKNVDQMGSSFESSVMQKNVNLYAAKTVVSERYSVHKISDILVDSRKLCGTENLSSEWNHFPMFEINRKIDSILNPRRSALVTSSEKIFVPQKSVKINMSTSNVMSFSSKEYQLHTHQVTDENRQCKSARGMLSHLDNYTGLNSDHAGKKLKGHLSIEEPCSCSKDDTDSSCSLADEHHARHYIPNSKKSPHRSCKNSSVYSASKMENQFVEGSLLEHKSEVYGACKKKQHLEGVAFHESALHREYQIKSVKTTAITNEGDMDTNGHHVDFGNLLQSDQQYLNKHTEDSAVNLTESCKTPDAIDSAMILKSKDESLAQEKRTNNKLIDNKRKGPCLFEMFTQPTKSNVKCSIDRTSSGKSCGNMTSGLLGAQKQFSTKTDTFYSEAHHASKSTAGFASASMQKDLGYPSSAKTEQLVTSSVKGVSSGSKGNEAVNTSAEHRDFYPKATCANNQEWSMSKTSSMNLDLVLFQISRLKNPIPNALNESPACPDPSEKWLKRLQHDTSDSHVPCSKKPKVGDGPLAGGTCTVFGQVFDCDSDSTGMINHVKNKLICKGLTDQQSQEGSPMSAKSLNRWIGRWCRGGTPVFHGTSNLERQEAKSGMPSDDLEGQFPSIAAMAMMGRVMNKLRPCELQKRGPSVVWRTEGL >ORUFI01G29990.1 pep chromosome:OR_W1943:1:25897498:25898406:-1 gene:ORUFI01G29990 transcript:ORUFI01G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLCCSSQFLLAVSFLAAFAAVSNAGKVAVYWGQGAGNGDGTLAETCATGLYDFVNIAFLNVYGSGLTPVLNLAAHCNPDAGTCKSLSSEISSCQQSGVKVLLSLGGERGQYSLSSADDARGVADYLWNTFLGGSSDSRPLGDAVLDGIDFDIEKDGDHYDELAMALSSKCNGACVLTAAPQCPYPDAHLDAAIKTGVFSHVWVQFYNNRQCQYASGDASALQAAWAQWTSGVPAPADVFLGLPTAPAAAPSGGYIDADTLLSQVLPAVEGAAANYGGVMLWNRWRDVTAGYGAKLKGKV >ORUFI01G30000.1 pep chromosome:OR_W1943:1:25906119:25910114:1 gene:ORUFI01G30000 transcript:ORUFI01G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPPLLRRLFCRAAKSASAAGAGAGGTSKKNIVFLGSPQVAASVLETLLVASESPDSSFQVSAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPGDLIFTPERAGEEAFLSDLKEVRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVEETGVSLAYTVRALDAGPAPELLAILFNLGSKLLLHELPSILDGTAKEKAKPQDDSKITHAPKLNSDESWLSFNQEAKLLHNKVSYLFPAYSSMLLPTNSGWPGTRAKLQLVNQNGEPDVLEIKVISTKACASCDKTGDGNEVLFSGNSLLIPCGGSSCLEVVELQLPGKKVTTARDFWNGLRGQRLLKSP >ORUFI01G30000.2 pep chromosome:OR_W1943:1:25906119:25910114:1 gene:ORUFI01G30000 transcript:ORUFI01G30000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPPLLRRLFCRAAKSASAAGAGAGGTSKKNIVFLGSPQVAASVLETLLVASESPDSSFQVSAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPGDLIFTPERAGEEAFLSDLKEVRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVEETGVSLAYTVRALDAGPAPELLAILFNLGSKLLLHELPSILDGTAKEKAKPQDDSKITHAPKLNSDESWLSFNQEAKLLHNKVRAFAGWPGTRAKLQLVNQNGEPDVLEIKVISTKACASCDKTGDGNEVLFSGNSLLIPCGGSSCLEVVELQLPGKKVTTARDFWNGLRGQRLLKSP >ORUFI01G30000.3 pep chromosome:OR_W1943:1:25906119:25910114:1 gene:ORUFI01G30000 transcript:ORUFI01G30000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPPLLRRLFCRAAKSASAAGAGAGGTSKKNIVFLGSPQVAASVLETLLVASESPDSSFQVSAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPGDLIFTPERAGEEAFLSDLKEVRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVEETGVSLAYTVRALDAGPAPELLAILFNLGSKLLLHELPSILDGTAKEKAKPQDDSKITHAPKLNSDESWLSFNQEAKLLHNKLVNQNGEPDVLEIKVISTKACASCDKTGDGNEVLFSGNSLLIPCGGSSCLEVVELQLPGKKVTTARDFWNGLRGQRLLKSP >ORUFI01G30010.1 pep chromosome:OR_W1943:1:25910360:25912569:-1 gene:ORUFI01G30010 transcript:ORUFI01G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKAAAYYDELSRKGEGARRFKQGLGFSSSDPQSTSFPSKPPSTSSSFLSGFVRAGATPAPAQPTKQPPPPPPPPHEPSRTGRHSRSPSPSRRHRTRSRSPSRSRRHRSRSRERRRRSRSREREREDRRASRRRSRSRSRSRSPSRRSGRSSYSEDRHGDRRRDDGGGRRGSSKGRGGREGGKVDYSRLIEGYDRMTPAERVKAKMKLQLSETASKDSTLGNATVGWERFEFNKDAPLDEDDNDVEVANDDASLVKHIGKSFRLSAVQSKHEDTVRDAHENAIFGVPAYPIVDTEATEAEPETNDESEKAKDVEAEPSSSLISDKVLATQSGSWRERAQKLRQNPNA >ORUFI01G30020.1 pep chromosome:OR_W1943:1:25913197:25916518:-1 gene:ORUFI01G30020 transcript:ORUFI01G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNSLFNRSTFVISRIKLLRNRRELQLINMRKEMVEHIIREQNILAAYEIVELFCEFVLARVPIVEVQKECPLELREAIASIIFASGRCSDLPELMHLRTLFTTKYGKEFVAAAMELRPDSGVNRTIIEKLSVKAPSAESKLKVLKAIAQEYGLEWDSSNTEAELNKKYEDLLDGSGSSARQGQLPIIENSPVASISRDMPSLSISPVEDTGKYQAAPQSPSSPAGSAVMHAATKSNMVSQEHHRSPADEISCATPSSSDVLEKARAAIAAANRASAAARAAADLVKVKITS >ORUFI01G30030.1 pep chromosome:OR_W1943:1:25918381:25921456:-1 gene:ORUFI01G30030 transcript:ORUFI01G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATFLLPLLLSTLLPAAVPLPPRPPVRCGGSGGAGGDGAGCVLSNAYGAWSSDRADCPVSAVAYPASEADVVAVVADASAKNMPVKVVSGFAHTIPKLACPGNGSIGAASSLLISTARYDGVAVDAAARTVTADAGAPLRAVIDAAEASGLSLTAAPYWEGVSVGGLVSTGSHGSSWWGRGGAVHDHVVALRLVVPAGAADGWAKLVALRRGDALFNAALVSLGLLGVISKVTLALEPRFKRSISYEYRDDSTFQDDFARHAANHEFADITWYPSQHKAVYRIDDRMPLNATGDGVNDFIGFQSTLIAVSSGIRALETALEASRNVKGKCKMAAAEIAAKRLVGNGLRGAGGRLFTGYPVVGFQGRMQTSGSCARSPPTDTLSACPWDPRYKGLFFYESTAMFSPAARFRDFVLDVKRLRDVDPDSMCGVDAYNGLLVRFVKASEAYLGQPEDTVVVDFNYYRASDGSSPRLSQDVWEEVEQLAFVKHGARPHWAKNRLAAFRGVRGKYPSWDKFGAAKRQLDPRGLFDSRWSDEVVGGEEQLPKADGCALEGRCVCSEDRHCSPSKGYYCRPGLVYSEARVCRYSVSQLV >ORUFI01G30040.1 pep chromosome:OR_W1943:1:25924335:25924854:-1 gene:ORUFI01G30040 transcript:ORUFI01G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEESLLAAAAADDARHAQAAGPRRDDDHKAWLLRRAWHEAREGYVVVYDGGGNELSALAILDDDAGLGAHPDRRRQHNAAAKQTTRSRRRRRSSAATVAAWCVPPCVNPT >ORUFI01G30050.1 pep chromosome:OR_W1943:1:25925371:25928373:-1 gene:ORUFI01G30050 transcript:ORUFI01G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILQPPRHAAVVAPRRAGRLARPGCAGGRRAAVAVAAAGGAARDRKPPRLEGASEELRAAAAQCLDWAPARRRVRGAFAPVLPTLDHCLFKMAPKGIQMEENFETNSKGVEIFWKSWLPREGTATKAALFFCHGYGDTCTFFFEGIAKKIAAAGYAVYAMDYPGFGLSYGLHGYIASFDGMVEHVIEQYSRIKGRKEVRGLPHFLLGQSMGGAVALKVHLKQPKEWDGVLLVAPMCKISEDVTPPAPVLKALSILSCLLPEAKLFPQKDIGDLAFRDPSKRKVAEYNAISYTQQMRLRTAVELLKATKDIESQLEKICSPLLILHGAADLVTDPHVSEFLYEKASTKDKTLKLYEDGYHSILEGEPDDRISTAINDIISWLDSHC >ORUFI01G30060.1 pep chromosome:OR_W1943:1:25944855:25945946:1 gene:ORUFI01G30060 transcript:ORUFI01G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAGVGRDGSFASQKRPRRVSVRMERSRVGDGCCCSCSGRGGVASTTAVRPSTGMVVIVGATGTGKTKLSIDAAQELAGEVVNADKIQLYDGLDVTTNKVSLADRRGVPHHLLGAIRAEAGELPPSSFRSLAAAAAAGIASRGRVPVVAGGSNSLIHALLADPIDAAPRDPFADADVGYRPALRFPCCLLWVDVDDDVLDEYLDRRVDDMVGEGMVEELEEYFATTSASERASHAGLGKAIGVPELGDYFAGRKSLDAAIDEIKANTRVLAARQVGKIRRMADVWGWPIRRLDATATIRARLSGAGRAAEAAAWERDVRGPGLAAMRQFVGRADFNAAAVDQLAARSRRQCLRGGMVAG >ORUFI01G30070.1 pep chromosome:OR_W1943:1:25949093:25949608:-1 gene:ORUFI01G30070 transcript:ORUFI01G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPWEDKRNRGQYSGVLVYCYDAGRGLVHAQTRAAGEGEASPPSSAKNKATSMMAFAVSRALETSNRNNYQGGKAGWARGGSKCMHADAGAAPRHRRGPRVRQLVQVQAQLVAARTRRTQQRPAPRRPSDGESQQPSSGPRCLCILLRATPSTVQVNTRACKCTHGVGIL >ORUFI01G30080.1 pep chromosome:OR_W1943:1:25960368:25961168:-1 gene:ORUFI01G30080 transcript:ORUFI01G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDDHDRHRAGNSSSPSEDSPAPAPAPAPAPAPPQPSRTRLHSFSFPTLSWGTHRLLRCSKNPASSPPPAAPDTPSPDKEKAAHRSTDGVGGGCSPQRGPQRPWNLRTHRSATAAPRPEGSDDAADAAPDRAPSPLAATKKRVFSIVLSKEEIGQDFKAIRGTRPPRRPKKRPRTVQRQLDLLYPGLCLADLTPETYKIEER >ORUFI01G30090.1 pep chromosome:OR_W1943:1:25961167:25962143:1 gene:ORUFI01G30090 transcript:ORUFI01G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMGGRGRARKDARRGAKRRKRGTRETQRGEEDEGGGEIERERGGVTWGFGDSPSVRCLPVARVRLGRAGGWEIHPSIRPVVGAWAGGVAFRFLPTSGARGCRARGSRRKRGRGAGDITRAVQVAVVGSSRRHHMRRRALEVGRRGGRRGGPQAAPMVPCAFFAEVSSGNQPWNKNKDD >ORUFI01G30100.1 pep chromosome:OR_W1943:1:25968345:25970116:-1 gene:ORUFI01G30100 transcript:ORUFI01G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAETGDQHGPVIGIDLGTACSCVANGRAEIVTNEHGGRATPSYAAFTDTERLVGDAAKSQASRNPTNTVFGESVVVSPLLRLFAYRLVLFRPALTFNCLPDASVQDGLKLWPFKVVPGRGDKPMVAASYKGKQKLLAAEEVASMLLSKMKAEAEAYIGGPVKNAVVTVPASFDVLQRRATKHACAVAGLDVLGVIHGPAAAAVAFGIHEIAGDKNVLVFDLGGGHTSVSLLAVASGKIAVRATAGDPHLGGEDFNGRMVEHFVAQFKAEHKKDVGRNARAILRLRAACEQAKRTLSSASWAAIELERLHDGADFYSTITRDQFDELNLDLFCKCLDPIKKCLTGAKMDRSSVDDVVFVGGSTRIPRVRRLIQDLFDGKELRKDISSDEAAACGAATMASLGSDDSLVDLFLFDATPHSLGVAAAGGAMAVMIPKNTPIPVMARENTISIQPNHKKGIERGHKQVRTLSSARSKLPGAHSKAKPGVKLSVSVCFSIDADGVLTVSARDKVNGHKNQMRVMEQSQLSKEEIERMTMEAKDG >ORUFI01G30110.1 pep chromosome:OR_W1943:1:25974779:25976561:1 gene:ORUFI01G30110 transcript:ORUFI01G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPRAKPPTAHHLKPWVPTPTPRSHRVPSLPAVSGGGGGGARPARDRRRSSSSSSHRRGGTTTDAGAVDEEEVVEAYDGGLEDLRAKLMGHIKDVADRLHLPQPKPQPRSPEPETPPAPAPLPPPPPPPPDTSVAAAAAAAAARPWNLRERKRRPSARGSTAASPTTAWARRADTTRGGGERPPFAVALAAEEIEEDMYALTGGRPRRRPRKRPRVVQRQLDSLFPGLWLTEITADAYKVPDD >ORUFI01G30130.1 pep chromosome:OR_W1943:1:25987770:25988225:1 gene:ORUFI01G30130 transcript:ORUFI01G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHFVFVPLMAQGHLIPAVDTALLLATHGALCTVVATPATVARVRTHRRIGPTVHLEAVLEEKSGELAFPRMRQVFMLNNTHAIVRRAVRSNLAMFLPSGWARAWEERMEGYVKSYLDMSWAPIVSRLAAGAATTAATKPATVSVLRRQ >ORUFI01G30140.1 pep chromosome:OR_W1943:1:25991760:26002512:-1 gene:ORUFI01G30140 transcript:ORUFI01G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPMAGEGTLAAVMPRSPSPTASAAAGSAAEAPMLIFLYFHKAIRAELEGLHAAAVRLATERAGDVGALAERCRFFVNIYKHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFSQLFALLQLDIQNDDSLRRELASCTGAIQTCLSQHMSKEEEQVFPLLTKKFSYEEQADLVWQFLCNIPVNMMAEFLPWLSSSVSSDEHEDIRSCLCKIVPEEKLLQQVVFAWIEGKTTRKVTENSTKSNSEATCDCKDASSIDHADNHISSHEDSKAGNKKYAESIDGQVERHPIDEILYWHNAIRKELIDIAEETRRMQQSGNFSDISSFNARLQFIADVCIFHSIAEDQVVFPAVDSELSFVHEHAEEERRFNNFRCLIQQIQIAGAKSTALDFYSELCSHADQIMETIEKHFCDEETKVLPQARMLFSPEKQRQLLYKSLCVMPLKLLERVLPWLVSKLSDEEASSFLENMRLAAPSSETALVTLFSGWACKARSEDKSNSGEYLCLTSGEMRCLLDEVDGLEKCRPFCPCASRSNTDASLHPQTENGSRPGKRGNDAESVPGTNGSDLSQTDDTEARPCSKKPCCIPGLRVETGNLAISSSLASAKSFRSLSYNSSAPSLYSSLFSWETDASLSCSDGISRPIDTIFKFHKAIRKDLEYLDVESGKLIDGDESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESRETLHNVSHSYTLDHKQEEQLFGDISDALAELSQLHERLTHPHIEVSEAEKNDFNSSDEIDWTRKYNELATKLQGMCKSIRAALTNHVHREELELWPLFDEHFSVEEQDKLVGRIIGSTGAEVLQSMLPWVTSALTQEEQNMMLDTWKQATKNTIHLQDKIDQNDQMFKPGWKDIFRMNQSELEAEVRKVSRDPTLDPRRKAYLIQNLMTSRWIAAQQKLPEPKSEECSEGAGIPGCAPSYRDQEKQIFGCEHYKRNCKLVAACCNKLFTCRFCHDKISDHTMERKATQEMMCMVCLKVQPVGPNCQTPSCNGLSMAKYYCNICKFFDDERTVYHCPFCNLCRLGKGLGVDFFHCMKCNCCLGMKLTEHKCREKGLETNCPICCDFLFTSSAAVRALPCGHFMHSACFQAYTCSHYTCPICCKSLGDMAVYFGMLDALLAAEELPEEYRDRCQDILCNDCERKGRSRFHWLYHKCGSCGSYNTRVIKTDTADCSTPN >ORUFI01G30150.1 pep chromosome:OR_W1943:1:26007870:26008201:-1 gene:ORUFI01G30150 transcript:ORUFI01G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIVVEEHVAVSIIVPWSETMLTCLPPCSKLMNASNLALFGEYGGSELILPLPGYASLG >ORUFI01G30160.1 pep chromosome:OR_W1943:1:26011701:26014839:-1 gene:ORUFI01G30160 transcript:ORUFI01G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASKDQIGRGGGTGDADGGDGAKRKEDALASSRLLDPDFKPSKLSQDRLDKFKELHKKRLQIKEKPKCKGKSRGSTKKNTKVTSDCSIVDKDESIGNVAIDVQHTASAAGTQVDLASSFPSRNKRKLHWGLDVKERWERKANM >ORUFI01G30160.2 pep chromosome:OR_W1943:1:26011588:26014839:-1 gene:ORUFI01G30160 transcript:ORUFI01G30160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASKDQIGRGGGTGDADGGDGAKRKEDALASSRLLDPDFKPSKLSQDRLDKFKELHKKRLQIKEKPKCKGKSRGSTKKNTKVTSDCSIVDKDESIGNVAIDVQHTASAAGTQVKGGRGKQTCELLKIPCVLTAKSSLHANVLVMEQNSSAKIPRNVQQVV >ORUFI01G30170.1 pep chromosome:OR_W1943:1:26022674:26028507:1 gene:ORUFI01G30170 transcript:ORUFI01G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTIAARFAFFPPTPPSYTVVADAATGRLAIPEISRPPARRRRRDGGGDASASGAAPAEDEDGTEVVRLRTRRGNEIVGVHVRHERASATLLYSHGNAADLGQMYGLFVELSRRLRINLFGYDYSGYGRSTGKPTECNTYADIEAAYNCLKEKYGVADEDIILYGQSVGSGPTIDLASRLPNLRGVVLHSPILSGLRVLYPVKRTYWFDIYKNIDKIGLVNCPVLVIHGTSDDVVDYSHGKQLWELCKVKYSPLWLTGGGHCNLELYPDYIKHLKKFVSSLGKKSSKPDLKEITMKEGASSKDSEPASSEKPQEAPKCSQISRKSLDSRVGKSKTVDVPEKPRMSSDDVDKFRRRRCLVW >ORUFI01G30180.1 pep chromosome:OR_W1943:1:26026858:26031831:-1 gene:ORUFI01G30180 transcript:ORUFI01G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCHHGGRVLLPLLLVAAACRGDPTGDTYDTAMCGAQASITCGGVIVRYPFYLSNATRALPKYANSSTFCGYPGLEIICDGGGGGKAVMMLGNDSYTVSRIDYASLTVSLADADVANGTCPVVSHNVTIPPAPSSLHLADTVGMLIFFFRCAFGPAANAPPKPPSIHPLTCGENSEDAPTQSFLLPASPLPPGDLWHRGCSAVYGVPVLGGSLPSDANDPAWRKDGYIASLRKGFQMSWDRSDRCSRCELTSGKCGYNQNGKFLGCLCANGLVDSDACSKISDSTLRLAGSNLKTKIIAGVVGGGLSAVFALGLIATVFFVRKRKHKKVNSSSKLLKYSGSGGTPRSMGGDMESGSVKDLQTHLFSYEELEEATDSFNENRELGDGGFGTVYKGILRDGRVVAVKRLYNNSYRRVEQFVNEAAILSRLRHPNLVMFYGCTSSQSRELLLVYEFVANGTVADHLHGHRAQERALSWPLRLNIAVESAAALTYLHAIEPPIVHRDVKTTNILLDADFHVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRQRNEINLAGMAINRIQKSQLEELVDLELGYESDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEGLKGVQDLCVMEKDGGKDKKGPDPPLSPDTVHAQWDSRQTTPNTSQ >ORUFI01G30190.1 pep chromosome:OR_W1943:1:26035438:26035890:-1 gene:ORUFI01G30190 transcript:ORUFI01G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLCRRRRLLPLLLVLVAASHGDASGDTYDTSMCLEEPTTCGNVSISYPFYFSDKTRDISGSSNSYCGYPGLAIDCDDVQPILQLNGAEKYKVNYINYGNGSITNVSLVDQEVVDDSSGCPRVDHNXRMEEGWIRPGSSSGLPVVSER >ORUFI01G30200.1 pep chromosome:OR_W1943:1:26038402:26039209:-1 gene:ORUFI01G30200 transcript:ORUFI01G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPLLLLSFLVLIGVHASVSHGSPPLPSTYDPSICSKSSXHNVTFGQAYEWLQYTGSPDNLTFFFGCKLNLAPPIDPGLVSVADQYQINCKTFSNAPNGGGSFVFTSGELEAQARVESELARRCSQVIVVPVNGSILNSSNQSALPSGGYGQVLNKGFDLAWNSRKDEQCYQCEQSKGQCAYSQNRAFLGCLCSNGKVSTKDCRNSGAPPPTSIVTPSFPVWLPAPC >ORUFI01G30200.2 pep chromosome:OR_W1943:1:26037747:26039209:-1 gene:ORUFI01G30200 transcript:ORUFI01G30200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPLLLLSFLVLIGVHASVSHGSPPLPSTYDPSICSKSSXHNVTFGQAYEWLQYTGSPDNLTFFFGCKLNLAPPIDPGLVSVADQYQINCKTFSNAPNGGGSFVFTSGELEAQARVESELARRCSQVIVVPVNGSILNSSNQSALPSGGYGQVLNKGFDLAWNSRKDEQCYQCEQSKGQCAYSQNRAFLGCLCSNGKVSTKDCRNSGAPPPTSNKPWALRMWSVHKVHQEQLD >ORUFI01G30210.1 pep chromosome:OR_W1943:1:26045401:26045844:1 gene:ORUFI01G30210 transcript:ORUFI01G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATCQLHIFFSSLFSLLSSHLHASGGRRDDGHAQMRAAGGARRQSSGGRARQRSGDGRQAERTASEEAGTTTSGVTEMRATMASASSSAGAAFPRQTGHEAWDESHMLMHSWWNTCPQAGICLTFSPSSTARRQTTHLNDAAVFEI >ORUFI01G30220.1 pep chromosome:OR_W1943:1:26046994:26048027:1 gene:ORUFI01G30220 transcript:ORUFI01G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRSTRQIHAPNRFGFEEEPVQPEIPDQPSISAFKWFRFYVSFDYVRTWSANHNDQQ >ORUFI01G30230.1 pep chromosome:OR_W1943:1:26056277:26077072:1 gene:ORUFI01G30230 transcript:ORUFI01G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLERYAFRNNSEGEHSLTWEKLFDVAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCSNKESIISIAGARGTIGYIAPEVYSKQFGAISSKSDVYSYGMMILEMVGARERNIDANNEYCINSSEIDGETTEFVRKMVVVALWCIQVVPTNRPTMTRVVEMLEDRVAMAPLPHFLLTIFLLILVVVLMGVHASVSHGSPLPPTYNTSICSKSSECGGVNISYPFYLSNTTDDYTPFSCGYTDLKIFCSRDRDGRNETPTIQLGGDNYTVLNIFCDNRTIVLADTDALRGGSCPRVRHNVTFGQAYEWLQYTGSRDNLPSSSAANSTFHHQSIQGCLADQYQINCKTFSNGPDGGDSFVFTSGELEAPVESELARRCSQVIVVPVNGSVLNSSNQSALPSGGYGQVLNKGFDLAWNSRKDEQCYQCEQSQEHCSYSQNRVFLDCLCSDGKVGNQDCRNSDASNSSTLSEHQGLQKQRRQQLVYINISYPFYFSDKTRDISGSSNSYCGYPGLAIDCDDAQPILQLNGAEKYKVNYINYGSITNVSLVDQEVRAQRPHWVFKLKGENETFLKKYRHRRISKGTPRIESFLQRNGTLHPKRYTYTEVKRMTKSFAEKLGHGGFGAVYRGNLSDGSQVAVKMLKDSKGDGEEFINEVASISRTSHVNVVTLLGFCLHRSKRALIYEYMPNGSLERYAFRNNSKGELSLTWEKLFDVAVGIARGLEYLHRGCSTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCANKESIVSIAGARGTIGYIAPEVYSKQFGAISSKSDVYSYGMMILEMVGARERNIDANSESSSHYFPQWIYEHLDEYCISSSKIDGETTELVRKMVVVALWCIQVVPTNRPTMTRVVEMLEGSTSGLELPPKSQSSPEVRVVVQTSDLRSSPSAIARTGTGVKARRLQWLRMRQAQRRLARLAAYGGSRGMGWYSAASCGRRMRRRDEGRCDADNTAALPFATNSNFQLLNIPIARNRTISSINQPNYHICTLNAPPRHLSAFAMSGKLCFLASVLLSMSTVVDLAMAASGGVNIAVYWGQNGSEGTLGETCGTGLYAYVNLAFLSTFGAGRAPVLNLADHCDAPSGTCASLAADIASCQAAGVKVLLSIGGGALGYNLSSPSDARDLAAYLWDNFLGGGATGASRPLGDAVLDGVDFDIESPSRFYDDLARNLASLYTRAPRPPRGGKTYLLTAAPQCPYPDASLAAALATGLFDHVWVQFYNNPPCQYAAPGDASALRSAWAQWTAGLPAATVFLGLPASLDAADSGFVDADTLASQVLPVVEGAANYGGIMLWSRSYDKDSSFSLKLQAALQNRNNPTGAGASSHTKRRICKWLTFVYSEFLIQYFISMHFQPPLGHADIIAGVFAGVLLLFLLLITYFLCHKKHHGQQPPVQELTTPPKAEPSQKKQRAQHLKRYSYSEVERMTKTFAHKIGQGNYGDVYKGNLRDGRQIVVKLLKNCRGNDKEFLNEVASIGTISHVNVIPLLGFCLQGTARALIYEYMPNGSLESYAFSNDDSIEENYSLWIYWEKLYEIAIGVARGLEFLHGSGNANIMHLKIKPRNILLDQELCPKISDFGVANLCLWKESKKSAQNARGRDGYDAPEVVSTKFGAISSKSDVYSYGVMVLEMIRAKRRINVGADTTTKYFAQWLYDHLDQFCNSISDISDETRESVRRIIIVGLWCIQAAPANRPSMSRVVKMLEREQKIH >ORUFI01G30230.2 pep chromosome:OR_W1943:1:26056277:26077072:1 gene:ORUFI01G30230 transcript:ORUFI01G30230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLERYAFRNNSEGEHSLTWEKLFDVAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCSNKESIISIAGARGTIGYIAPEVYSKQFGAISSKSDVYSYGMMILEMVGARERNIDANNEYCINSSEIDGETTEFVRKMVVVALWCIQVVPTNRPTMTRVVEMLEDRVAMAPLPHFLLTIFLLILVVVLMGVHASVSHGSPLPPTYNTSICSKSSECGGVNISYPFYLSNTTDDYTPFSCGYTDLKIFCSRDRDGRNETPTIQLGGDNYTVLNIFCDNRTIVLADTDALRGGSCPRVRHNVTFGQAYEWLQYTGSRDNLPSSSAANSTFHHQSIQGCLADQYQINCKTFSNGPDGGDSFVFTSGELEAPVESELARRCSQVIVVPVNGSVLNSSNQSALPSGGYGQVLNKGFDLAWNSRKDEQCYQCEQSQEHCSYSQNRVFLDCLCSDGKVGNQDCRNSDASNSSTLSEHQGLQKQRRQQLVYIKVFKLKGENETFLKKYRHRRISKGTPRIESFLQRNGTLHPKRYTYTEVKRMTKSFAEKLGHGGFGAVYRGNLSDGSQVAVKMLKDSKGDGEEFINEVASISRTSHVNVVTLLGFCLHRSKRALIYEYMPNGSLERYAFRNNSKGELSLTWEKLFDVAVGIARGLEYLHRGCSTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCANKESIVSIAGARGTIGYIAPEVYSKQFGAISSKSDVYSYGMMILEMVGARERNIDANSESSSHYFPQWIYEHLDEYCISSSKIDGETTELVRKMVVVALWCIQVVPTNRPTMTRVVEMLEGSTSGLELPPKSQSSPEVRVVVQTSDLRSSPSAIARTGTGVKARRLQWLRMRQAQRRLARLAAYGGSRGMGWYSAASCGRRMRRRDEGRCDADNTAALPFATNSNFQLLNIPIARNRTISSINQPNYHICTLNAPPRHLSAFAMSGKLCFLASVLLSMSTVVDLAMAASGGVNIAVYWGQNGSEGTLGETCGTGLYAYVNLAFLSTFGAGRAPVLNLADHCDAPSGTCASLAADIASCQAAGVKVLLSIGGGALGYNLSSPSDARDLAAYLWDNFLGGGATGASRPLGDAVLDGVDFDIESPSRFYDDLARNLASLYTRAPRPPRGGKTYLLTAAPQCPYPDASLAAALATGLFDHVWVQFYNNPPCQYAAPGDASALRSAWAQWTAGLPAATVFLGLPASLDAADSGFVDADTLASQVLPVVEGAANYGGIMLWSRSYDKDSSFSLKLQAALQNRNNPTGAGASSHTKRRICKWLTFVYSEFLIQYFISMHFQPPLGHADIIAGVFAGVLLLFLLLITYFLCHKKHHGQQPPVQELTTPPKAEPSQKKQRAQHLKRYSYSEVERMTKTFAHKIGQGNYGDVYKGNLRDGRQIVVKLLKNCRGNDKEFLNEVASIGTISHVNVIPLLGFCLQGTARALIYEYMPNGSLESYAFSNDDSIEENYSLWIYWEKLYEIAIGVARGLEFLHGSGNANIMHLKIKPRNILLDQELCPKISDFGVANLCLWKESKKSAQNARGRDGYDAPEVVSTKFGAISSKSDVYSYGVMVLEMIRAKRRINVGADTTTKYFAQWLYDHLDQFCNSISDISDETRESVRRIIIVGLWCIQAAPANRPSMSRVVKMLEREQKIH >ORUFI01G30230.3 pep chromosome:OR_W1943:1:26056277:26077072:1 gene:ORUFI01G30230 transcript:ORUFI01G30230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLERYAFRNNSEGEHSLTWEKLFDVAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCSNKESIISIAGARGTIGYIAPEVYSKQFGAISSKSDVYSYGMMILEMVGARERNIDANNEYCINSSEIDGETTEFVRKMVVVALWCIQVVPTNRPTMTRVVEMLEDRVAMAPLPHFLLTIFLLILVVVLMGVHASVSHGSPLPPTYNTSICSKSSECGGVNISYPFYLSNTTDDYTPFSCGYTDLKIFCSRDRDGRNETPTIQLGGDNYTVLNIFCDNRTIVLADTDALRGGSCPRVRHNVTFGQAYEWLQYTGSRDNLPSSSAANSTFHHQSIQGCLADQYQINCKTFSNGPDGGDSFVFTSGELEAPVESELARRCSQVIVVPVNGSVLNSSNQSALPSGGYGQVLNKGFDLAWNSRKDEQCYQCEQSQEHCSYSQNRVFLDCLCSDGKVGNQDCRNSDASNSVFKLKGENETFLKKYRHRRISKGTPRIESFLQRNGTLHPKRYTYTEVKRMTKSFAEKLGHGGFGAVYRGNLSDGSQVAVKMLKDSKGDGEEFINEVASISRTSHVNVVTLLGFCLHRSKRALIYEYMPNGSLERYAFRNNSKGELSLTWEKLFDVAVGIARGLEYLHRGCSTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCANKESIVSIAGARGTIGYIAPEVYSKQFGAISSKSDVYSYGMMILEMVGARERNIDANSESSSHYFPQWIYEHLDEYCISSSKIDGETTELVRKMVVVALWCIQVVPTNRPTMTRVVEMLEGSTSGLELPPKSQSSPEVRVVVQTSDLRSSPSAIARTGTGVKARRLQWLRMRQAQRRLARLAAYGGSRGMGWYSAASCGRRMRRRDEGRCDADNTAALPFATNSNFQLLNIPIARNRTISSINQPNYHICTLNAPPRHLSAFAMSGKLCFLASVLLSMSTVVDLAMAASGGVNIAVYWGQNGSEGTLGETCGTGLYAYVNLAFLSTFGAGRAPVLNLADHCDAPSGTCASLAADIASCQAAGVKVLLSIGGGALGYNLSSPSDARDLAAYLWDNFLGGGATGASRPLGDAVLDGVDFDIESPSRFYDDLARNLASLYTRAPRPPRGGKTYLLTAAPQCPYPDASLAAALATGLFDHVWVQFYNNPPCQYAAPGDASALRSAWAQWTAGLPAATVFLGLPASLDAADSGFVDADTLASQVLPVVEGAANYGGIMLWSRSYDKDSSFSLKLQAALQNRNNPTGAGASSHTKRRICKWLTFVYSEFLIQYFISMHFQPPLGHADIIAGVFAGVLLLFLLLITYFLCHKKHHGQQPPVQELTTPPKAEPSQKKQRAQHLKRYSYSEVERMTKTFAHKIGQGNYGDVYKGNLRDGRQIVVKLLKNCRGNDKEFLNEVASIGTISHVNVIPLLGFCLQGTARALIYEYMPNGSLESYAFSNDDSIEENYSLWIYWEKLYEIAIGVARGLEFLHGSGNANIMHLKIKPRNILLDQELCPKISDFGVANLCLWKESKKSAQNARGRDGYDAPEVVSTKFGAISSKSDVYSYGVMVLEMIRAKRRINVGADTTTKYFAQWLYDHLDQFCNSISDISDETRESVRRIIIVGLWCIQAAPANRPSMSRVVKMLEREQKIH >ORUFI01G30230.4 pep chromosome:OR_W1943:1:26052427:26072819:1 gene:ORUFI01G30230 transcript:ORUFI01G30230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPPRLLLFFLLLIGVHASVSHGSPSLPPTYDPSICSKSSKCGGVNISYPFYLSNATGETYDYTQFSCGYTDLKISCSLDGSKQTPFIQLNGENYTILEIIYDSRTIVLVDTDALRGSCPRVRHNVTFGQAYPWLQYTGSRDNLTFFFGCKLNLPPPIDPGLVSLADKHQINCKDFSNWPDSGDSFVFTSGELEAPVESELARRCSQVIVVPVNGSVLNSSNQSALPSGGYGQVLKMGFDLAWNSSKDEQCYKCEQSKGHCSYSQNRAFLGCLCSDRKVSTKDCRNNGASNSSALTMLLSLCLRLLPLLLVLVAASHGDASGDTYDTSMCLQKPTTCGNVSISYPFYFATKTKDINESSNSYCGYPGLAIDCDDGKPILQLNGTEKYKVNYINYGSITNVSLADLEVVDDSSGCPRVDHNVTIPQISWLFFSGISVDYLVFFLRCSFTTFAPKPANFNPIACGSFIDLTRPSFVFPDELVPPGNWSQLCEETFEVPVLKYQLMGMDSNGNAWNNSGYAQVLRQGFQLSVNESRRPPNCSQCEESQGRCGYSQAGEFIGCLCPNGRVRSLRCDPSDLAGYAVMDKTRYSILMPFSVPNFLHANIIAGTSSVLLLCLLSFACLFGLKKSRYRRISKGTPRIESFLQRNGTLHPKRYTYTEVKRMTKSFAEKLGHGGFGAVYRGNLSDGRQVAVKMLKDSKGDGEEFINEVAKLLTSIVVTLLGFCLHGSKRVLIYEYMPNGSLERYAFRNNSEGEHSLTWEKLFDVAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCSNKESIISIAGARGTIGYIAPEFGAISSKSDVYSYGMMILEMVGARERNIDANSESSSHYFPQWIYEHLDEYCISSSKIDGETTELVRKMVVVALWCIQVVPTNRPTMTRVVEMLEGSTSGLELPPKVLLSW >ORUFI01G30230.5 pep chromosome:OR_W1943:1:26073256:26075613:1 gene:ORUFI01G30230 transcript:ORUFI01G30230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAQRRLARLAAYGGSRGMGWYSAASCGRRMRRRDEGRCDAVRATAGYRSVIRCFSLLSFTSLCYCTCSNPCTRDNTAALPFATNSNFQLLNIPIARNRTISSINQPNYHICTLNAPPRHLSAFAMSGKLCFLASVLLSMSTVVDLAMAASGGVNIAVYWGQNGSEGTLGETCGTGLYAYVNLAFLSTFGAGRAPVLNLADHCDAPSGTCASLAADIASCQAAGVKVLLSIGGGALGYNLSSPSDARDLAAYLWDNFLGGGATGASRPLGDAVLDGVDFDIESPSRFYDDLARNLASLYTRAPRPPRGGKTYLLTAAPQCPYPDASLAAALATGLFDHVWVQFYNNPPCQYAAPGDASALRSAWAQWTAGLPAATVFLGLPASLDAADSGFVDADTLASQVLPVVEGAANYGGIMLWSRSYDKDSSFSLKLQAALQNRNNPTGAGASSHTKRRICKWLTFVYSEFLIQYVVLHYYPSQLQFYN >ORUFI01G30230.6 pep chromosome:OR_W1943:1:26052427:26056272:1 gene:ORUFI01G30230 transcript:ORUFI01G30230.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPPRLLLFFLLLIGVHASVSHGSPSLPPTYDPSICSKSSKCGGVNISYPFYLSNATGETYDYTQFSCGYTDLKISCSLDGSKQTPFIQLNGENYTILEIIYDSRTIVLVDTDALRGSCPRVRHNVTFGQAYPWLQYTGSRDNLTFFFGCKLNLPPPIDPGLVSLADKHQINCKDFSNWPDSGDSFVFTSGELEAPVESELARRCSQVIVVPVNGSVLNSSNQSALPSGGYGQVLKMGFDLAWNSSKDEQCYKCEQSKGHCSYSQNRAFLGCLCSDRKVSTKDCRNNGASNSSALTMLLSLCLRLLPLLLVLVAASHGDASGDTYDTSMCLQKPTTCGNVSISYPFYFATKTKDINESSNSYCGYPGLAIDCDDGKPILQLNGTEKYKVNYINYGSITNVSLADLEVVDDSSGCPRVDHNVTIPQISWLFFSGISVDYLVFFLRCSFTTFAPKPANFNPIACGSFIDLTRPSFVFPDELVPPGNWSQLCEETFEVPVLKYQLMGMDSNGNAWNNSGYAQVLRQGFQLSVNESRRPPNCSQCEESQGRCGYSQAGEFIGCLCPNGRVRSLRCDPSDLAGYAVMDKTRYSILMPFSVPNFLHANIIAGTSSVLLLCLLSFACLFGLKKSRYRRISKGTPRIESFLQRNGTLHPKRYTYTEVKRMTKSFAEKLGHGGFGAVYRGNLSDGRQVAVKMLKDSKGDGEEFINEVASISRTSHVNRGDSVRILFAWIQKGSDL >ORUFI01G30230.7 pep chromosome:OR_W1943:1:26052427:26056272:1 gene:ORUFI01G30230 transcript:ORUFI01G30230.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPPRLLLFFLLLIGVHASVSHGSPSLPPTYDPSICSKSSKCGGVNISYPFYLSNATGETYDYTQFSCGYTDLKISCSLDGSKQTPFIQLNGENYTILEIIYDSRTIVLVDTDALRGSCPRVRHNVTFGQAYPWLQYTGSRDNLTFFFGCKLNLPPPIDPGLVSLADKHQINCKDFSNWPDSGDSFVFTSGELEAPVESELARRCSQVIVVPVNGSVLNSSNQSALPSGGYGQVLKMGFDLAWNSSKDEQCYKCEQSKGHCSYSQNRAFLGCLCSDRKVSTKDCRNNGASNSSALTMLLSLCLRLLPLLLVLVAASHGDASGDTYDTSMCLQKPTTCGNVSISYPFYFATKTKDINESSNSYCGYPGLAIDCDDGKPILQLNGTEKYKVNYINYGSITNVSLADLEVVDDSSGCPRVDHNVTIPQISWLFFSGISVDYLVFFLRCSFTTFAPKPANFNPIACGSFIDLTRPSFVFPDELVPPGNWSQLCEETFEVPVLKYQLMGMDSNGNAWNNSGYAQVLRQGFQLSVNESRRPPNCSQCEESQGRCGYSQAGEFIGCLCPNGRYSGYAVMDKTRYSILMPFSVPNFLHANIIAGTSSVLLLCLLSFACLFGLKKSRYRRISKGTPRIESFLQRNGTLHPKRYTYTEVKRMTKSFAEKLGHGGFGAVYRGNLSDGRQVAVKMLKDSKGDGEEFINEVASISRTSHVNRGDSVRILFAWIQKGSDL >ORUFI01G30240.1 pep chromosome:OR_W1943:1:26077355:26077666:-1 gene:ORUFI01G30240 transcript:ORUFI01G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKPNVVLVLVLVLAVVTSPGTVCGASRTAPAAATKCDPLALRTCAAAILWGEAPSTACCAGLRAQKRCLCRYAKNPDLRKYINSQNSRKVAAACSVPAPRC >ORUFI01G30250.1 pep chromosome:OR_W1943:1:26078097:26078435:-1 gene:ORUFI01G30250 transcript:ORUFI01G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPSVFSTVVAAIAIAALLSSLLLLQATPAAASARASKKASCDLMQLSPCVSAFSGVGQGSPSSACCSKLKAQGSSCLCLYKDDPKVKRIVSSNRTKRVFTACKVPAPNC >ORUFI01G30260.1 pep chromosome:OR_W1943:1:26079107:26080367:1 gene:ORUFI01G30260 transcript:ORUFI01G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHFPRDSDSDQNVRMPFHGHKSIHKLLGGGQVEYNIIPLLCQIAILAMLVIFIWSNAAPLLDRAPPRIPEIIISEHAFREMALTVHYKLTYTVSVLYDIACGKDLKRFLLFWTNNQIVYDIKVVGSLLVLSAIGSSCSLTSLLYIGFLCAHTLPVLYQRYKTEVDHLVAKGSDDIKKLYKKVDSNLLNKIPRGPVKTKVK >ORUFI01G30270.1 pep chromosome:OR_W1943:1:26081121:26084495:-1 gene:ORUFI01G30270 transcript:ORUFI01G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVRAVVEAIHSSRAQAVIYLAGGASQALGWLLSVPGASGTVLEVVVPYSMASMAQLLGKMPLQFTSKQAAEDMALAAFNRALKLSGPGLQVMGVGFTGSLASSRPKHGEHRFYVSTRTQNCLRTSHVTLSKGLRSREEEDKVSSYFLLKAIADGCKVPATIQSDVQEPELPKESVEQFDEDQELQQVIDGQVCMKVYHFSDSMDKNFNRKIILPGSFNPLHDGHLRLLEVASSMCDDGLPCFEISAINADKPPLSIAEIKRRVEQFRKVGKNVIISNQPYFYKKAELFPGSAFVIGADTAARLVNPKYYGGDYNRMLEILLECKNTGTTFLVGGRNIEGVFKVLEDLDIPVELREMFISIPEEKFRMDISSTDIRKKQGP >ORUFI01G30270.2 pep chromosome:OR_W1943:1:26081121:26084495:-1 gene:ORUFI01G30270 transcript:ORUFI01G30270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVRAVVEAIHSSRAQAVIYLAGGASQALGWLLSVPGASGTVLEVVVPYSMASMAQLLGKMPLQFTSKQAAEDMALAAFNRALKLSGPGLQVMGVGFTGFYVSTRTQNCLRTSHVTLSKGLRSREEEDKVSSYFLLKAIADGCKVPATIQSDVQEPELPKESVEQFDEDQELQQVIDGQVCMKVYHFSDSMDKNFNRKIILPGSFNPLHDGHLRLLEVASSMCDDGLPCFEISAINADKPPLSIAEIKRRVEQFRKNVIISNQPYFYKKAELFPGSAFVIGADTAARLVNPKYYGGDYNRMLEILLECKNTGTTFLVGGRNIEGVFKVLEDLDIPVELREMFISIPEEKFRMDISSTDIRKKQGP >ORUFI01G30270.3 pep chromosome:OR_W1943:1:26081121:26084495:-1 gene:ORUFI01G30270 transcript:ORUFI01G30270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVRAVVEAIHSSRAQAVIYLAGGASQALGWLLSVPGASGTVLEVVVPYSMASMAQLLGKMPLQFTSKQAAEDMALAAFNRALKLSGPGLQVMGVGFTGSLASSRPKHGEHRFYVSTRTQNCLRTSHVTLSKGLRSREEEDKVSSYFLLKAIADGCKVPATIQSDVQEPELPKESVEQFDEDQELQQVIDGQVCMKVYHFSDSMDKNFNRKIILPGSFNPLHDGHLRLLEVASRWKNVIISNQPYFYKKAELFPGSAFVIGADTAARLVNPKYYGGDYNRMLEILLECKNTGTTFLVGGRNIEGVFKVLEDLDIPVELREMFISIPEEKFRMDISSTDIRKKQGP >ORUFI01G30270.4 pep chromosome:OR_W1943:1:26081121:26084495:-1 gene:ORUFI01G30270 transcript:ORUFI01G30270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVRAVVEAIHSSRAQAVIYLAGGASQALGWLLSVPGASGTVLEVVVPYSMASMAQLLGKMPLQFTSKQAAEDMALAAFNRALKLSGPGLQGLRSREEEDKVSSYFLLKAIADGCKVPATIQSDVQEPELPKESVEQFDEDQELQQVIDGQVCMKVYHFSDSMDKNFNRKIILPGSFNPLHDGHLRLLEVASSMCDDGLPCFEISAINADKPPLSIAEIKRRVEQFRKVGKNVIISNQPYFYKKAELFPGSAFVIGADTAARLVNPKYYGGDYNRMLEILLECKNTGTTFLVGGRNIEGVFKVLEDLDIPVELREMFISIPEEKFRMDISSTDIRKKQGP >ORUFI01G30280.1 pep chromosome:OR_W1943:1:26084655:26093322:1 gene:ORUFI01G30280 transcript:ORUFI01G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGDRARAPKRHKSSAPSRSIDETAELDYTDDVDDDVRDADREVKKRDFTKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVMSKLSKTKLPREIIDFIHASTANYGKVKLVLKKNRYFVESPFPEVLKTLLKDDIICRARISPEVENVKQRCLPNALNFPMLEEYDFRNDTVNPDLEMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDEHISRFTSDNKEKFRGMASVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVGYPLVIFEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYAMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTSYAMKLRKPMIYGSTSHVERTRILHQFKNSSDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPEELPNLKYYTLNDQLELLAQVGVFCTCCFLLVNSLRHLNQSLSARDDMIGIEHLEEDSDGKALMKARRSAGSMSAFSGSGGMVYMEYSTGKGKAGASKKPKDPSKRHYLFKKRYQ >ORUFI01G30280.2 pep chromosome:OR_W1943:1:26084655:26092926:1 gene:ORUFI01G30280 transcript:ORUFI01G30280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGDRARAPKRHKSSAPSRSIDETAELDYTDDVDDDVRDADREVKKRDFTKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVMSKLSKTKLPREIIDFIHASTANYGKVKLVLKKNRYFVESPFPEVLKTLLKDDIICRARISPEVENVKQRCLPNALNFPMLEEYDFRNDTVNPDLEMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDEHISRFTSDNKEKFRGMASVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVGYPLVIFEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYAMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTSYAMKLRKPMIYGSTSHVERTRILHQFKNSSDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPEELPNLKYYTLNDQLELLAQVGVFCTCCFLLVNSLRHLNQSLSARDDMIGIEHLEEDSDGKALMKARRSAGSMSAFSGSGGMVYMEYSTGKGKAGASKKPKDPSKRHYLFKKRYQ >ORUFI01G30290.1 pep chromosome:OR_W1943:1:26094828:26099693:1 gene:ORUFI01G30290 transcript:ORUFI01G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLWISKVKEGQHLAEHELQSLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPETNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGTVLCVHGGLSPDVRTVDQIRTIDRNCEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTAEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFSETMEREVKFFTETEENNQMRGPRTAVPYFL >ORUFI01G30300.1 pep chromosome:OR_W1943:1:26105819:26106654:-1 gene:ORUFI01G30300 transcript:ORUFI01G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGGGGGGELQLLGTWYSPYAMRAKIALGLKGLSYEYIEQDLFGKSELLLKSNPVHKKVPVLIHAGRPVCESRVVLEYVDEAWPGAAPPLLPADPHGRATARFWATYFDSTFFPPWRALMRATTAEQRAEAFMNAVPQVEVLERAFVECSKGKAFFGGDAVGLVDVVVGGFVVWFKVVDEVAGSSLLDEAKFPGLAAWAERFLAVDAVREAMPDAGKLLEHYKGFLAKLASPAGST >ORUFI01G30310.1 pep chromosome:OR_W1943:1:26108004:26108794:-1 gene:ORUFI01G30310 transcript:ORUFI01G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGGGELRLLGTWSSPWVIQVRVALGMKGLSYEYTEEDLSSKSDLLLRSNPVHEKVPVLIHGGRPVCESLVVLEYIDETWGATGTPQLLPADPYDRATARFWTNYVNDTFFPSWKVLFRSTAAEQRAEAFKNVVPRVEALERAFGECSKGKAFFGGDDAGLVDVALGSHLVWIKVVDEVAGANLLDEAKFPGLAAWAERFLAVDAVRQVMPDAGDVLKQYKGFLAKWTAGAGSS >ORUFI01G30320.1 pep chromosome:OR_W1943:1:26110927:26111795:1 gene:ORUFI01G30320 transcript:ORUFI01G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPKPMRFFIVEVVQYQILTVDLASSNGKIGESSEIAARGAAARCDGNRREARRWRQRRARWPTPAAAEAAATAGCTTTAAGCVTRDGDGNLDGRRRRRVRCVTAALDARRRRRARFATAEVDAWRRRSMRDGGGRCAAVEVDARRRRSMRDGGGRCPTVGSMRRRRSMPDGGSGLDGRRRRRRARWATTAAAASSMGDDGGGRCPTARAAMSSMGDDGADLDAGRPSMGDDDMEEWKRDLIFVSPIIPLLNQRLD >ORUFI01G30320.2 pep chromosome:OR_W1943:1:26114839:26115650:1 gene:ORUFI01G30320 transcript:ORUFI01G30320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHVLKLNRYQVIHIKYQTISTTYRMILVMYHVIPIRYQTISTTYWVILVRYHVIPIRYQTISPTYRVILARYQMIPTRYQLSGAEHRGVVAGSPILHAPCRRLSPAAASSVVHALCQRSSPAAVSFVIHAPCRSSSPVAASFATTPHTRLVAGGSILCRHAPRRARRRWPRPPLSTRCTTSELVAGGHTEARHRRLHLPPSIAMRRSSLSEVASSAVHSHAMLGARRRQPRRSSSLATVSSAIHTPCRAELVQQSCFERDVVIW >ORUFI01G30320.3 pep chromosome:OR_W1943:1:26111786:26114963:1 gene:ORUFI01G30320 transcript:ORUFI01G30320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAITMDENLGRSGSFRAAMSIFGESINGRKADKNRGTVPAQENLSSEMKQLAQSGLDKLNERKAYVDKERAGAESELSRARAMAKELERQIEQTTAKATSQRSELQAMWAARTRRKGTDAPGAERDARYAEVVQELDQAKKELLRLRLEVRSAAEAKAKAERDIVASACTIQSSLRAVDEMKRRVDEANEEHVLVELARIEAERERREIEAQRGAEAERFAADIEAARARVRALQKEASRAREMEAKLAVTNSDVEVLQAEMELVRAMEKSHAKSDEAAEDAARRKKEEAQDKALLKTAEAELDAAKKELETIKAGSFQFMTSMDRTRTEIIRVAEEIRLLKANEKKADAQVQQLNTKLLKAKARLEAVTAADERSKAIVSNLAAAMKQLKAETEAARMEEDLTKLEKRCVIAEAENIEKEIATTEGRIKQSVKELDAAKALEAEAMRKLRDTVESTMQARASSAARRQGTMTISRFEYEYLTGRAALVRVVADKKVAAAQAWVQALKASEKEAAARAEAAEREVREMEARAAQVAAEAEKTAAEQKELEQELYDLNAAAERDGLQCAYPRRRSSRVSATSRRSKPRRSSVSAGAWNPRSPSFTIKRKRKVMPNLLKLIKNKRGGKKNTN >ORUFI01G30330.1 pep chromosome:OR_W1943:1:26117194:26125705:1 gene:ORUFI01G30330 transcript:ORUFI01G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHAANLRVLLAGAAFAHLSSPAATARASSPSAPPPPAPPPPPRVRAFASYRASPPPPPPPQPSSPSPSRALASAAAACEQGAKPAICTADELHYVPVPGTEWRGAPPGGPPPPEAPKRNHPLMLLSGVATNAIGFDLSPGASFARHMSMQGFDTWIVEVRGAGLSMRGSELAVADTTSDMSRSSALDEITTQKLNGAGPAKDDSTCLAQNFEVPVVEDKFEVPVVEDKDVIESNASEEPQLVTKLSNTLARLGDTFSGYVKDSRLRSIADGFFNQVSELVPDASLTSSLEEVSEKILGLLELPQTSAISDQISHLSQRLVNILGEGQQNISPRLFGWQERLSATIEDLQKQLELIISYDWDFDHYLEEDVPAAMNYIKQQSPTKDGKLLAIGHSMGGILLYAMVSKCGCEGAEPELVAIVTLASSVDYTTSNSSLKLLLPLADPAEMLRVPAIPVGTLLSTTYPISSRAPYILSLLRSQISSKDMMDPDLLSKLVLNNFCTVPAKVLLQLTTAFRDGGLRNRAGTFFFKQHLHKIKVPILALAGDEDLICPPEAVYETVKLIPQHLVTYKVFGKPEGPHYAHYDLVGGRKAVHEVYPCIIEFLSQHDEVSS >ORUFI01G30340.1 pep chromosome:OR_W1943:1:26121830:26125939:-1 gene:ORUFI01G30340 transcript:ORUFI01G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRRGGGGVVSADGERRRGIRRLLLPRGEGSSSSSPPQPPPLQAEEGRRKGFASAALRGLGCTSAAASQAYAPGAGAAAAAAVRSSADWHGRRRRRGKEKRKERGGGGGGGGGGHLVGGGIGADVWCAPGIPFAAEASSVDCVVARHQMVGRGRGGDAERPHRERPCLSRRVTVQEQISSSFMDSPPPPHLDVAPFFGADLLPSGRLRRMRGYRHSPVGLEEEIMMFQTRVLLGGMSMYDRYQDWRLDVDNMTYEELLELGDKIGYVNTGLREDEIVRNLRKVKHPASDSSFRYSTEMEKKCSICQEEFEANEEMGRLDCGHSYHVYCIKQWLSQKNVCPVCKTAAMISATVPV >ORUFI01G30340.2 pep chromosome:OR_W1943:1:26122379:26125939:-1 gene:ORUFI01G30340 transcript:ORUFI01G30340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRRGGGGVVSADGERRRGIRRLLLPRGEGSSSSSPPQPPPLQAEEGRRKGFASAALRGLGCTSAAASQAYAPGAGAAAAAAVRSSADWHGRRRRRGKEKRKERGGGGGGGGGGHLVGGGIGADVWCAPGIPFAAEASSVDCVVARHQMVGRGRGGDAERPHRERPCLSRRVTVQEQISSSFMDSPPPPHLDVAPFFGADLLPSGRLRRMRGYRHSPVGLEEEIMMFQTRVLLGGMSMYDRYQDWRLDVDNMTYEELLELGDKIGYVNTGLREDEIVRNLRKVKHPASDSSFRYSTEMEKKCSICQEEFEANEEMGRLDCGHSYHVYCIKQWLSQKNVCPVCKTAVTKT >ORUFI01G30340.3 pep chromosome:OR_W1943:1:26122379:26123928:-1 gene:ORUFI01G30340 transcript:ORUFI01G30340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTLAELIRNVAGCGQGVLEHTWECQAIIMMFQTRVLLGGMSMYDRYQDWRLDVDNMTYEELLELGDKIGYVNTGLREDEIVRNLRKVKHPASDSSFRYSTEMEKKCSICQEEFEANEEMGRLDCGHSYHVYCIKQWLSQKNVCPVCKTAVTKT >ORUFI01G30340.4 pep chromosome:OR_W1943:1:26124646:26125939:-1 gene:ORUFI01G30340 transcript:ORUFI01G30340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRRGGGGVVSADGERRRGIRRLLLPRGEGSSSSSPPQPPPLQAEEGRRKGFASAALRGLGCTSAAASQAYAPGAGAAAAAAVRSSADWHGRRRRRGKEKRKERGGGGGGGGGGHLVGGGIGADVWCAPGIPFAAEASSVDCVVARHQMVGRGRGGDAERPHRERPCLSRRVTVQEQISSSFMDSPPPPHLDVAPFFGADLLPSGRLRRMRGYRHSPVGLEEEVKNVTGSQ >ORUFI01G30350.1 pep chromosome:OR_W1943:1:26132567:26133274:-1 gene:ORUFI01G30350 transcript:ORUFI01G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCLAGQRHARTGLGGRRHADADKTRPPSSSTAGFCRCEDVGKFLVEVEEYPFFLCYRPLCITAWRRPLVFQRMMPNAMLAWLHCPS >ORUFI01G30360.1 pep chromosome:OR_W1943:1:26136479:26138663:1 gene:ORUFI01G30360 transcript:ORUFI01G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVENWATFKCGFWGLFGHDPNESFQKFGSIQSSPFGNIYGQNDVYTTILPRFVIVFSTRQGVTIGGRKHTPATSVGCHGGNNVDDPLSLGSIYSSVPTPPLSLSLFVDPALLPSPTPVVIPTLSHLLTQLVVSSTHPHFIIIPALSSSLSQVPQPPSPLSVLAQVLMYEYQESPHCVTGTKNHVLYYAYIEMCRQLDPQGLFNNLLRETRCDPVWTQANTCYFCGLIS >ORUFI01G30370.1 pep chromosome:OR_W1943:1:26138794:26139741:1 gene:ORUFI01G30370 transcript:ORUFI01G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGRHGGGGGEDPLTLTLGSIYSNAPTPPPSPSLVVTPTPPPSSFLVAAPTLLSSPTPVVVPMLLPSPTRPVVFSMQPHFDLVPALPPSSPQVPQSSLSSLSAPGSTRHYRNSPRSSLLAPPSNRRRLNNPDEGQSPRGRGEEANGDNGVLVMATSFPWVTSADLPVLHCTLESMLLKGITSVEGKATCNRCRAEVPIAYDLDAKFREVRDYVAANIHIMDDRAPEHWMCTRLPDCGSCGKKACMWPQIPNEKREINWLFLFLGQMLGCCTLEGLKFFCKNTKNHCTGAKTRVLYYAYIEMCRQLDPQGPFNI >ORUFI01G30380.1 pep chromosome:OR_W1943:1:26142906:26147306:1 gene:ORUFI01G30380 transcript:ORUFI01G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSASIHLGVPTPYITSHGSKIARLHMYDWIVLVLLVVVDGILNIIEPFHRFVGSDMMTDLRYPMKDNTVPFWAVPIIGIIGPMIIITGIYFKKRNVYDFHHAILGLLFSVLITAVITDAIKDGAYDNFTTGVLCHGKASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAALVAVSRVDDYWHHWQDVFTGGILGLVVSSFCYLQFFPMPSDENGLWPHAYARHILNPDQLENNAQPTSVDRPNSLPNGSFRSPNGLEMGNTGQGQALDFMEAGRRYQ >ORUFI01G30380.2 pep chromosome:OR_W1943:1:26144412:26147306:1 gene:ORUFI01G30380 transcript:ORUFI01G30380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSASIHLGVPTPYITSHGSKIARLHMYDWIVLVLLVVVDGILNIIEPFHRFVGSDMMTDLRYPMKDNTVPFWAVPIIGIIGPMIIITGIYFKKRNVYDFHHAILGLLFSVLITAVITDAIKDGAYDNFTTGVLCHGKASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAALVAVSRVDDYWHHWQDVFTGGILGLVVSSFCYLQFFPMPSDENGLWPHAYARHILNPDQLENNAQPTSVDRPNSLPNGSFRSPNGLEMGNTGQGQALDFMEAGRRYQ >ORUFI01G30380.3 pep chromosome:OR_W1943:1:26143735:26147306:1 gene:ORUFI01G30380 transcript:ORUFI01G30380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSASIHLGVPTPYITSHGSKIARLHMYDWIVLVLLVVVDGILNIIEPFHRFVGSDMMTDLRYPMKDNTVPFWAVPIIGIIGPMIIITGIYFKKRNVYDFHHAILGLLFSVLITAVITDAIKDGAYDNFTTGVLCHGKASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAALVAVSRVDDYWHHWQDVFTGGILGLVVSSFCYLQFFPMPSDENGLWPHAYARHILNPDQLENNAQPTSVDRPNSLPNGSFRSPNGLEMGNTGQGQALDFMEAGRRYQ >ORUFI01G30390.1 pep chromosome:OR_W1943:1:26159744:26160925:-1 gene:ORUFI01G30390 transcript:ORUFI01G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSCLVDDTNSGGSSTDKLRALAAAAAETAPLERMGSGASAVVDAAEPGAEADSGSGGRVCGGGGGGAGGAGGKLPSSKFKGVVPQPNGRWGAQIYERHQRVWLGTFAGEDDAARAYDVAAQRFRGRDAVTNFRPLAEADPDAAAELRFLATRSKAEVVDMLRKHTYFDELAQSKRTFAASTPSAATTTASLSNGHLSSPRSPFAPAAARDHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPSAGGESKGVLLNFEDAAGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDVVGFYRSAASAGDDGKLFIDCKLVRSTGAALASPADQPAPSPVKAVRLFGVDLLTAPAPVEQMAGCKRARDLAATTPPQAAAFKKQCIELALV >ORUFI01G30400.1 pep chromosome:OR_W1943:1:26190137:26191544:1 gene:ORUFI01G30400 transcript:ORUFI01G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSESVLEPNRRLSPVDGNKSMLLDDSLLATMEQLDRGLDSVQQLIPRFLALTINIGKGRNQLSVRGLAEDSIALAQVTDIVEITASPPCVPSTDNRFAKGKWIQTNEDLTFMW >ORUFI01G30410.1 pep chromosome:OR_W1943:1:26192957:26194534:-1 gene:ORUFI01G30410 transcript:ORUFI01G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATASSLSLLFAHPHSSNPRPFAGGPHLRRPLRAAPHRARCASDAATTATRHRRPAEENIREEAARLRGPGNDFSAWYVPFPPTPEDDPDERYSLDEVVYRSSSGGLLDVCHDMEALARFPGSYWRDLFDSRVGRTAWPYGSGVWSKKEFVLPEIDSDHIVSLFEGNSNLFWAERLGREHLGGMTDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPINGVGCASTGDTSAALSAYCAAAGIPAIVFLPADRISLQQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWQVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYRFYKSGWTDFQPRVAETTFASAIQIGDPVSVDRAVVALKATDGIVEEATEEELMDAMSLADRTGMFACPHTGVALAALFKLRDQRIIGPNDRTVVVSTAHGLKFTQSKIDYHDRNIKDMLCQYANPPINVKADFASVMDVLQNKLNGKI >ORUFI01G30420.1 pep chromosome:OR_W1943:1:26196704:26198927:-1 gene:ORUFI01G30420 transcript:ORUFI01G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSGASASRIPCPLLSLARARARCLPVPASATACRAASSSAAAAAGDGGALKPWLFVGLGNPGKVYQGTRHNVGFEMIDVIAEAEGISLSSMQFKAMVGKGRIGDAPIMLAKPQTFMNASGESVGQLVSYFKIPLNQVLVMYDDLDLPFAKLRLLPKGGHGGHNGMRSIINHLKQNRDFPRLRIGIGRPPGKMDPANFVLRPFNRKEQEELDFAFHRGLEAVRIMALEGFNKSATYVNTAQSSEMLNR >ORUFI01G30430.1 pep chromosome:OR_W1943:1:26203099:26206866:1 gene:ORUFI01G30430 transcript:ORUFI01G30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFFFFVVFHLAVLMAALLLASAPAFATADDLSALLAFRARVSDPSGVLRRGNWTAAAPYCGWLGVTCGGHRHPLRVTALELPGVQLAGSLAPELGELTFLSTLNLSDARLSGPIPDGIGNLPRLLSLDLSSNRLSGNLPSSLGNLTVLEILDLDSNNLTGEIPPDLHNLKNIMYLGLSRNELSGQIPRGMFNGTSQLVFLSLAYNKLTGSTPGAIGFLPNIQVLVLSGNQLSGPIPASLFNMSSLVRMYLGKNNLSGSIPNNGSFNLPMLQTVNLNTNHLTGIVPQGFGACKNLQEFILFSNGFTGGIPPWLASMPQLVNVSLGGNDLSGEIPASLGNLTGLTHLDFTRSNLHGKIPPELGQLTQLRWLNLEMNNLTGSIPASIRNMSMISILDISFNSLTGSVPRPIFGPALSELYIDENKLSGDVDFMADLSGCKSLKYLVMNTNYFTGSIPSSIGNLSSLQIFRAFKNQITGNIPDMTNKSNMLFMDLRNNRFTGEIPVSITEMKDLEMIDFSSNELVGTIPANIGKSNLFALGLAYNKLHGPIPDNISNLSRLQTLELSNNQLTSAVPMGLWGLQNIVGLDLAGNALTGSLPEVENLKATTFMNLSSNRFSGNLPASLGLFSTLTYLDLSYNSFSGTIPKSFANLSPLTTLNLSFNRLDGQIPNGGVFSNITLQSLRGNTALCGLPRLGFPHCKNDHPLQGKKSRLLKVVLIPSILATGIIAICLLFSIKFCTGKKLKGLPITMSLESNNNHRAISYYELVRATNNFNSDHLLGAGSFGKVFKGNLDDEQIVAIKVLNMDMERATMSFEVECRALRMARHRNLVRILTTCSNLDFKALVLQYMPNGSLDEWLLYSDRHCLGLMQRVSIMLDAALAMAYLHHEHFEVVLHCDLKPSNVLLDADMTACIADFGIARLLLGEDTSIFSRSMPGTIGYMAPEYGSTGKASRKSDVFSYGVMLLEVFTGKKPTDAMFVGELSLREWVNRALPSRLADVVHPGISLYDDTVSSDDAQGESTGSRSCLAQLLDLGLQCTRDLPEDRVTMKDVTVKLQRIKEVLQA >ORUFI01G30440.1 pep chromosome:OR_W1943:1:26208177:26213313:1 gene:ORUFI01G30440 transcript:ORUFI01G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCISMVLLILLAPCATSLTPPSNNTDLAALLDFKEQVKDPNGILASNWTASAPFCSWIGVSCDSSGKWVTGLEFEDMALEGTISPQIGNLSFLSSLVLSNTTLIGPVPTELDRLPRLQTLVLSYNSLSGTIPSILGNLTRLESLYLNSNKFFGGIPQELANLNNLQILRLSDNDLSGPIPQGLFNNTPNLSRIQLGSNRLTGAIPGSVGSLSKLEMLVLENNLLSGSIPAAIFNMSYLQAIAVTRNNLRGPIPGNESFHLPMLEVFSLNENWFDGPIPSGLSKCQNLDAISLAVNNFTGSVPSWLATMPNLTAIYLSTNELTGKIPVELSNHTGLLALDLSENKLEGEIPPEFGQLRNLRYISFANNQITGTIPESIGNLSDLTTIDLFGNGLTGSVPMSFGNLRNLRRIFVDGNQLSGNLEFLAALSNCSNLNTIGMSYNAFEGSLLPYVGNLSTLMEIFVADNNRITGSIPSTLAKLTNLLMLSLSGNQLSGMIPTQITSMNNLQELNLSNNTLSGTIPVEISGLTSLVKLHLANNQLVGPIPSTIGSLNQLQVVVLSQNSLSSTIPISLWHLQKLIELDLSQNSLSGSLPADVGKLTAITKMDLSRNQLSGDIPFSFGELQMMIYMNLSSNLLQGSIPDSVGKLLSIEELDLSSNVLSGVIPKSLANLTYLANLNLSFNRLEGQIPEGGVFSNITVKSLMGNKALCGLPSQGIESCQSKTHSRSIQRLLKFILPAVVAFFILAFCLCMLVRRKMNKQGKMPLPSDADLLNYQLISYHELVRATRNFSDDNLLGSGSFGKVFKGQLDDESIVAIKVLNMQQEVASKSFDTECRVLRMARHRNLVRIVSTCSNLDFKALVLEYMPNGSLDNWLYSNDGLHLSFIQRLSVMLDVAMAMEYLHHHHFEVVLHFDLKPSNILLDNDMVAHVADFGISKLLFGDDNSITLTSMPGTVGYMAPELGSTGKASRRSDVYSYGIVLLEVFTRKKPTDPMFVSELTFRQWISQAFPYELSNVADCSLQQDGHTGGTEDSSKLSEDSIILNICLASIIELGLLCSRDAPDDRVPMNEVVIKLNKIKSNYYSLWKQWSSPGEIS >ORUFI01G30450.1 pep chromosome:OR_W1943:1:26214880:26218836:-1 gene:ORUFI01G30450 transcript:ORUFI01G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPPPPPSPPRLPLTAHRLPLPPATSPPASLLLAPRPSPTRALALLFPDSSARLLPSLPPAASLPATAVPSPLAAAACFVLLLPSSHLLFLSAHPSPSSPAAHLRAYSLASGRFSPAPLSFKRQASASGLPLHGLPFGLGVRLAGGVNAVALLSLSAGQIWVLAPGMAADGRTVELHKCAVVELEPSRPVYAMEVAMGRLLLGEAGGLRVFPLQGLMKSGKERQVRKEGAGAAVRKSLHKKNGIRNGFIVPIGHGGSEGGGKREAVSTRKLATLRVKQTSGSYFSFFSSCSSEDHHNSQGAVEVKNVVKVVSIRPLSKDKFLVLDSAGLLHVFSLQNKELLSEATSKRYSGNHTHCLDNAMKVQLFAVFPSSSTKTEIFWISDGGHSIHIMSALDVEPPNSDNGGGDGERESTTIKLTAIEAIFTSEKVQDIVPISKDSVLILGQVLEILKNAVHLSLKS >ORUFI01G30460.1 pep chromosome:OR_W1943:1:26220244:26229964:1 gene:ORUFI01G30460 transcript:ORUFI01G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKLYKPSVFDEAFAALGKTLPNCMSAYMAVFARAKQKKWLANQSDAVSVRLGKECRIAAACNHTFVQSAKNNEVWRLASDTDTADCLARDKTN >ORUFI01G30470.1 pep chromosome:OR_W1943:1:26223280:26237402:-1 gene:ORUFI01G30470 transcript:ORUFI01G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEADGARWPEPRGDAAGAPPLERGDAPSPRFDSSRALRLLRELGSNVTEDLVVLMPNLLSFLKHDDPVVVNQSIASGTNLFAAVLEEMTLQINKCGRVDAWLEEMWAWTKQFKDAVHNLIHESVPVATKLFAVKFIETWILCFAPQSKSDRMQPTEGRNRRLFDSSRLSQFHPSLNPAVLEADANRALILLVDILQSACAHQGSFLVGTINSLAAIAKNRPVYYERILPVLLGFDPSLEVAKGAHPASLRYSLKTAFLGFLRSPCQAMIESKDTLVRQLRVLSPGEATEQIIRQVEKMTRNIERASRASKDEPSTLDMPYGDVSRKYPAARSSDAFATADGVAKRARFDTSAALNPPFQGASDYSNMQVDNEANVDHSSDPALLNCDMSPVEKMIEMIGALLAEGERGAESLGILISTVEADVMADIVIETMKHLPETSILLATSNNGQQKKIQSSSSPLTENLPANSHSMPYSTQFALPADGVSMSMSDVPVVSGVHDSKRDPRRDPRRLDPRRTVAPAATSSIHVKGETTGVHQTNNLSNVPYPVSGKVENSLDYSGDLSKNEDVQQTSCQPNQSLPKENSEILDDALELEPKFEVQALADVGFHSSGVDKEMVNPLSPEATSNNELDSVELEVDPFSPVLKASTPEDTTNHDLPVLPSHLELSDDEKILLHKLAIRRIIDDYKKNSVNTRFSLLAHLIAQSTADDNIMDLIQRHIIYHYHDQGHELAMHVLYQLHSVSVADSPESTLPASKNYENFFISLARSLIHSLPASDKSFSKFLCDAPYLPESMLKLLEDICVSQGNSQQTKDSDGDRVTQGLGTVWSLILARPPLRQDCLDIALKCAIHSQDEVRGKAVRLVTKKLYELTYASERVEQFAIDSLLAIANKHGVETDINFTSLKESSPEFEAGSQETSVSGSHISDAEPSESTCNKTDLVSPKQSAVSVSEAKRHTSLFFALCTKRPILLQHLFNVYGRSPKVVKQCIHWHIPNLVRNLGSSCSEMLAIIHNPPEGSEELVTLILQTLTEDSTPSAELVAAVKHLYKTKLKVLPIFPRLVDLPPDRFQDALARILQGSAHTGPALTPAEVLIAIHDINPEKDRVALKKVTDACTACFEQRTVDNVPIPLLFMRTVIQALDAFPALVDFVMEILSRLVNKQIWKMPKLWVGFLKLAYQTQPRSFDVILQLPPPQLEIALNKYPNLRTPLCSFVNQRNMHSILPRQILKVLGFINEPHQAPIPFVPAAMQTADATSSLPGATLM >ORUFI01G30480.1 pep chromosome:OR_W1943:1:26244999:26245355:-1 gene:ORUFI01G30480 transcript:ORUFI01G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSCSDAIPIDAEAAMDFVLMAARPMPARAKGLGGGRMNRRRGKGFFRGRIWNFSECCVREVTGGQASTPTALAPRVFLAARGFDRARLRVALLGDEQPSLVLVPRDMSDKILRVAP >ORUFI01G30490.1 pep chromosome:OR_W1943:1:26245421:26250113:-1 gene:ORUFI01G30490 transcript:ORUFI01G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTKSAQPKKLILATTLLSNSPHCSRSPYPGCELAEKHSASAAAPAPLLPPPAASAGSPPSAAGAGFTSPAAAVAGSREFLNPIAHEVVLKITSFGGCSGLGSARPGAWRWQRRISVRVAAELGVDLAKAATGRRARSSSTFLAAAQERAAWSTPPPRLGVLSASDLGTGVEESFLGFV >ORUFI01G30500.1 pep chromosome:OR_W1943:1:26250686:26252048:-1 gene:ORUFI01G30500 transcript:ORUFI01G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGCGHHAEIDSTTPPSSRCRRASAMAARGCGRRGRRRRGPHRSPVRMPRRRRHSPLRSGRGPANKRREAGGAAPPPRTSDKKPVVWRPHPKPPTTMRPSGAESSTPPLPIQVALRVAALKAAHPAPDELPRLMTLQFLAGQLSPVMTKLSSCLVGVAPPYDGDEATDSPVNYDLSSCEHILSLKMCVLDAIHGFYIWALAVLPSATIGRHGGHCCGPLESASNIILVTVCTSRPTTTYTCASSGSVRARRGRRWGRRQRAHGEREDAAREQAGAPSPPESTSTAPGPASTSAATAAAPNPAPPPPPCPPPRRPAPAPPPRPTPRRPPARGRFAGGGDAAPSVPFLTGRCPRLLARHSRCRLLLCRLVPSRRPACPREEKRREE >ORUFI01G30510.1 pep chromosome:OR_W1943:1:26253887:26260096:1 gene:ORUFI01G30510 transcript:ORUFI01G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQSWRKAYGALKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKIAAATSIGRPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLRDGDPTFREEFLTFTQRVRILQLSNFKDDSTPVAWDYSSWVRTYGLFLEERLECFRVLKYDIEAERLSKQGQGPEKGHSRTRELDSPDLLEQLPALQQLLYRLIGCRPEGAANSNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQPPQSFLSTMEEYVREAPRMVPIKEPLEFPERLLLTYKPEESEEIPEPVSAEEEKPQIEEPAVAVPSTEVVPPPPPKPEVVDTGDLLGLSDPTPSVSAIEESNALALAIIPTGGETSTSGTATLQDKGFDPTGWELALVTTPSTNTNSMAMDSNLGGGFDKLILDSLYDEGTYRQQMQPQQLYGSAAPNPFMASDPFAMSNQVAPPPSVQMASMTQQPQQMPMMMQPNPFGPPLQPQHAGIAQAPNPFLDAGFGPFPASNGMHPQANPFGTAQLL >ORUFI01G30520.1 pep chromosome:OR_W1943:1:26260747:26263221:-1 gene:ORUFI01G30520 transcript:ORUFI01G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQSIRGIPSKEACWATHSCNGDLWRRNLSHGGVGAGGARQVFGEMPSWLGDGAGAALHVQVSQVLYPVTSEVLHQVYNTYGAVAVQVLTTSPLGVEAFVWFRSSCDAERARSVTNGRNIYDGCCLLDVQHVHPFNGNGVDMTPTKCSTPVPSCANIKSDAKSTSTTLEHVFPATMSPSTPSDESAVVVPPISLTATKENWADMGKAEDKSEKTFHDLCVEIKDMINQILVTCRDIKVESTTSVDITRVVAATSTNTKSVPNTLEVSNEANSISLVDTNELCMVTATKCLTEGNEQMINDDDDDMATEDLVELIEVNSKFTLQKTGSSPPVPPWKAAIPCYVWENIQGLLVLDDQVFQSSTQWQYAIYKEMNCLELLIGLELLRGQIHSCWREMAQLKIPWTPPLNACAVTLLAHARESFSCKCKIKGSHTIVGLWKHEYWQLPTSDEEFVIWPRPIGWFATSDQFVDLGLGYSSYHLVRVITTVVSLKKSWLREIIEELSEPGPQGQTLQRQDNKLWESLLLNDHDTLCSLQLIWNSGGIKGIGLGTSRN >ORUFI01G30530.1 pep chromosome:OR_W1943:1:26264945:26269760:1 gene:ORUFI01G30530 transcript:ORUFI01G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAATAVNGVLEVEERKAQKSYWEEHSKDLTVEAMMLDSRAADLDKEERPEIWGACMHFLVLFPTKYSSYVVILSLLPPYEGKSVLELGAGIGRFTGELVKTAGHVLAMDFIESVIKKNESINGHHKNASFMCADVTCPDLMIEDNSIDLIFSNWLLMYLSDEEVEKLVKRMVRWLKVGGYIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKECQALDQDGNSFELSVLTCKCVGAYVKSKKNQNQICWLWQKVDSTEDRGFQRFLDNVQYKASGILRYERIFGEGFVSTGGIVCLFFYLRSPETTKEFVDRLDLKPGQNVLDVGCGIGGGDFYMADKYDVHVVGIDLSINMVSFALERAIGRKCSVEFEVADCTKKTYPDNTFDVIYSRDTILHIQDKPSLFKSFFKWLKPGGKVLISDYCKCPGKPSEEFAAYIKQRGYDLHDVRAYGQMLENAGFHDVIAEDRTDQFLDVLERELAKVEKNKNEFVSDFSQEDYDAIVNGWKAKLQRSSAGEQRWGLFIATK >ORUFI01G30540.1 pep chromosome:OR_W1943:1:26271637:26276444:1 gene:ORUFI01G30540 transcript:ORUFI01G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPTPLPAPPSAPPPSGGPARVAFLFLVRAGVPLDFLWDAFFRNGEEGKFSVYVHSAPGFQLDRTTTGSSYFYGRQLARSVKVAWGEPTMVEAERMLFAAALEDPANQRFVLLSDSCVPLYNFSYIYTYLMASTKSFVDSFVDKTEKRYNPSMSPVILKDKWRKGSQWVALTRRHAEVVVGDKLVLQVFRRHCKMVVTKALLGQKPNYRRLGFGLRRKQISINGHENELERRTLTYTSWNQSSDPKDKMTWHPMTFEYESASPEQINSIKGIDHVNYQMEHRTEWCQCNTTSVPCFLFARKFSYSAAMHLLEAGTVGPLKSALLA >ORUFI01G30540.2 pep chromosome:OR_W1943:1:26271383:26276444:1 gene:ORUFI01G30540 transcript:ORUFI01G30540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKRSASAGSVLALTVAGRRAARARLCLRLAAPLSFLLLLAALLRTQPLPAPPSAPPPSGGPARVAFLFLVRAGVPLDFLWDAFFRNGEEGKFSVYVHSAPGFQLDRTTTGSSYFYGRQLARSVKVAWGEPTMVEAERMLFAAALEDPANQRFVLLSDSCVPLYNFSYIYTYLMASTKSFVDSFVDKTEKRYNPSMSPVILKDKWRKGSQWVALTRRHAEVVVGDKLVLQVFRRHCKMVVTKALLGQKPNYRRLGFGLRRKQISINGHENELERRTLTYTSWNQSSDPKDKMTWHPMTFEYESASPEQINSIKGIDHVNYQMEHRTEWCQCNTTSVPCFLFARKFSYSAAMHLLEAGTVGPLKSALLA >ORUFI01G30550.1 pep chromosome:OR_W1943:1:26277317:26284246:-1 gene:ORUFI01G30550 transcript:ORUFI01G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPALAGGGRTVANLLSATEWMLPSPATQVHTISVLPSHSPPSPPHHFAFSNLTTAPKRNGGKGEEEGRPRFEVVRDDLLHPLANGNKARKLDALLPLLRRRGATDVVRAHRCIPLHPALITSCFSNLMVTCGGCQSAHAAATAVHCAEWGMRPHILLRGEQPDIPTGYNLISLMFGNVAYASRSVYAHRDEMLYNHARKVAGTGGTVLWADDISKEDFVLDEDNGCEIGSRRVVIIKEGAGDVQALLGVIRLVEYLYNLSSFHKHENVHVVVDAGTGTTAVGLALGAVCLGLHWRVTAVMLADTLERYKEREKSLISDFKKLCHNNYHEMVGENDIGDSLVEWVERFSPRRVSAIQCCLGSFSRFGKVLNGEIALCRQIAQQTGILLDPMYTLAGWEQAVDLCVGDSRTKVMLDYNVLGGEKNMLFRNNATKPNNGTLRYGWQVGLIAINDGLVLRSQISRIFRRYFRGKSYYVDLLDLFNEVEIQTTSGQLLDQITTNEGRKDLNKYRRIVEYKTAYYSFYLPVACALLLFDESLDNYAQVKHILVEMGVYFQSQDDYLDCFGEPEIIGKENYGKSDPACVAKVKDLYNELHLQRVFSEYERESYEKLISAIEAQPNEAVRAVLKSFLHKIYKRSK >ORUFI01G30560.1 pep chromosome:OR_W1943:1:26293990:26305934:1 gene:ORUFI01G30560 transcript:ORUFI01G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGRGEDDEREKKKKEGSGNDGDAGKLPFLGMFRYADGVDKALMAVGTVAAMANGMSEPLMTVVFSAVIDCFGGDDVSTVLHRVSKVVLYYIYLGVGTSMASFLQVSCWTMAGERQSARIRSLYLEAILTQDIAFFDVEMTTGEAASRISADTVLIQDALGEKVGKYIQVLTAFVGGFVIGFIRGWMLALVVMACIPPSIFSFALVSRLRAQISGKTHVSYSYAGNVVEQTIGSIRMVVSFNGEKRAITMYNTLIKKAYKATIMEGIISGFGIGSIFFVVYCSYSLAFWYGAKLVISKGYTGGQVINVVFAILTGSMAIGNASPSISAIAEGQSAAHRLFEIINRKPNIDITGTSGIILEDIKGNVELKDVCFSYPARPEQLILDGLCLQVPNGTTMAIVGQSGSGKSTIISLVERFYDPQDGEVLIDGINIKTLKLHWIRGKMSLVSQEPLLFMTSIKDNITYGKENATDEEIKRAAELANAANFIDKLPNAYDTMVGQHGAQLSGGQKQRIAIARAILKNPKVLLLDEATSALDVESERLVQEALNRVMIGRTTLIVAHRLSTIKNADCIAVVHQGKIVDQGSHDELIKDPDGAYSQLIQLQQTHTEEMHDVQYSEVSTSRLKSRSLSLEQSMINDSPRNRRKNSLAKHIGSSGSDGLHKHGLTDEPEDKECGDNKDINKAPIRRLFNLNKPEAPILLLAIITAFVHGLLFPIFSIMMSGGIRTFYYPPHQLRKDSRFWALMCILMAIISLVSIQLEYFLFGMAGGKLIERVRCLSFQSIVHQEVSWFDDPSHSSGSLGAKLYIDALNIRRLVGDNLAILVQCIVTLIAGFTIAFASDWKLTLTIMCPIPLVGLQNYVQLKFLKGFSEDAKVMYEDASQVVTEAIGSIRTVASFCAEKRVIKTYNQKCQASMKESIRSGMVGGLGFSFSYLMVYLTYALCFYVGAQFVHGGKSTFKDVFRVYFALVFTAFGISQTSAMASDSSKAHESAASILAIIDRKSNIDSSIDEGIILEKVNGTIELNHVNFKYPSRPDVQVLCDFTLGIPSGKTVALVGESGSGKSTVIALLERFYDPHSGTISLDRVELKNLKLSWLRDQMGLVSQEPILFNDTIHANIAYGRKGQVTEEEIIAVAKASNAHEFISSLPQGYNTTVGERGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERIVQDALDQVMVSRTTIVVAHRLSTIKGADVIAVIKDGSIAEKGQHDSLMRINGGVYASLVDLHSKTT >ORUFI01G30560.2 pep chromosome:OR_W1943:1:26299015:26305934:1 gene:ORUFI01G30560 transcript:ORUFI01G30560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLQVSCWTMAGERQSARIRSLYLEAILTQDIAFFDVEMTTGEAASRISADTVLIQDALGEKVGKYIQVLTAFVGGFVIGFIRGWMLALVVMACIPPSIFSFALVSRLRAQISGKTHVSYSYAGNVVEQTIGSIRMVVSFNGEKRAITMYNTLIKKAYKATIMEGIISGFGIGSIFFVVYCSYSLAFWYGAKLVISKGYTGGQVINVVFAILTGSMAIGNASPSISAIAEGQSAAHRLFEIINRKPNIDITGTSGIILEDIKGNVELKDVCFSYPARPEQLILDGLCLQVPNGTTMAIVGQSGSGKSTIISLVERFYDPQDGEVLIDGINIKTLKLHWIRGKMSLVSQEPLLFMTSIKDNITYGKENATDEEIKRAAELANAANFIDKLPNAYDTMVGQHGAQLSGGQKQRIAIARAILKNPKVLLLDEATSALDVESERLVQEALNRVMIGRTTLIVAHRLSTIKNADCIAVVHQGKIVDQGSHDELIKDPDGAYSQLIQLQQTHTEEMHDVQYSEVSTSRLKSRSLSLEQSMINDSPRNRRKNSLAKHIGSSGSDGLHKHGLTDEPEDKECGDNKDINKAPIRRLFNLNKPEAPILLLAIITAFVHGLLFPIFSIMMSGGIRTFYYPPHQLRKDSRFWALMCILMAIISLVSIQLEYFLFGMAGGKLIERVRCLSFQSIVHQEVSWFDDPSHSSGSLGAKLYIDALNIRRLVGDNLAILVQCIVTLIAGFTIAFASDWKLTLTIMCPIPLVGLQNYVQLKFLKGFSEDAKVMYEDASQVVTEAIGSIRTVASFCAEKRVIKTYNQKCQASMKESIRSGMVGGLGFSFSYLMVYLTYALCFYVGAQFVHGGKSTFKDVFRVYFALVFTAFGISQTSAMASDSSKAHESAASILAIIDRKSNIDSSIDEGIILEKVNGTIELNHVNFKYPSRPDVQVLCDFTLGIPSGKTVALVGESGSGKSTVIALLERFYDPHSGTISLDRVELKNLKLSWLRDQMGLVSQEPILFNDTIHANIAYGRKGQVTEEEIIAVAKASNAHEFISSLPQGYNTTVGERGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERIVQDALDQVMVSRTTIVVAHRLSTIKGADVIAVIKDGSIAEKGQHDSLMRINGGVYASLVDLHSKTT >ORUFI01G30560.3 pep chromosome:OR_W1943:1:26293990:26294638:1 gene:ORUFI01G30560 transcript:ORUFI01G30560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGRGEDDEREKKKKEGSGNDGDAGKLPFLGMFRYADGVDKALMAVGTVAAMANGMSEPLMTVVFSAVIDCFGGDDVSTVLHRVSKLILN >ORUFI01G30570.1 pep chromosome:OR_W1943:1:26297799:26305356:-1 gene:ORUFI01G30570 transcript:ORUFI01G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDSASRALVASSNRSIFGSFKMALAIAIRCFCPPDSCVPLSPTSCYLLTKGILDQYGILLSVSLPRVTRPDGAQAIAATTPTSLAPPKLLIRGPDGCKITKMSIFRQGGRWLTGGSGEAGGQCTWWSGGCKA >ORUFI01G30580.1 pep chromosome:OR_W1943:1:26305466:26308849:-1 gene:ORUFI01G30580 transcript:ORUFI01G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGQGQGRMWLGADAAAWEGREECKRRRRMQPGVEVMVSDVAAQPGEPDEAGRSDAGILAMAFIDFVMSIPLGLGPVNPRRRGVGMFLSNPPSPCPIATSSVYQRCIDSTIDPHERVMLSLFSD >ORUFI01G30590.1 pep chromosome:OR_W1943:1:26310549:26317631:1 gene:ORUFI01G30590 transcript:ORUFI01G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPKERCYSSDAAYSVSRNLRAEYRAGQLKYHNPAKRAGASLYFTKEVFQSEKGLLLTKKGSY >ORUFI01G30600.1 pep chromosome:OR_W1943:1:26311364:26318024:-1 gene:ORUFI01G30600 transcript:ORUFI01G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESGRGTGDDHGRETKDAAAAAASSSSGKKVPLFSLFRYADRLDVLLMVVGTVGALGNGISQPLMTVLFGNVINSFGANTSGSVLRSVTKVVLNFIYLGIGTSVASFLRERQSARIRSLYLKAVLRQDITFFDTEMTTGEAVSRMSSDTLLIQGALGEKGGKLVELLSSFIGGFIIAFTRGWLLTLVMLTSLPLIAIAGAVSAQALTRVSSKRQTSYSDAGDTVEQTIGSIRTVVSFNGEKKAIAMYRNFIKKSYKATIEEGIITGFGMGSVMCVVFGSYGLAFWYGGKLIIEKGYTGGKIMTILFAVLTGASSLGNATPAVAAVVEGQSAAYNLFKTIERKPEIDSDDNNGMVLEDMNGDIELKDVYFRYPARPEQLILDGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPQSGEVLIDGISIKKLRLDWIRGKIGLVSQEPLLFMASIKDNIIYGKKDATLEEIKRAAELANAANFIDKLPNGYDTLVGQRGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRMMVERTTLVVAHRLSTVRNVDCITVVRKGKIVEQGPHDALVKDPDGAYSQLIRLQETHRDERHKLPDSRSKSTSLSFRRSRTKDFLSKSNRYSFKSPLGLPVDIHEDGMTSEQQKVDHSDKYFLFGIAGGKLIQRVRTLSFQRIMHQEVAWFDKPSNSSGALGTRLSVDALNVRRLVGDNLALIVQAVATLTTGFAIAFAADWRLALIITCVIPLVGAQGYAQVKFLKGFSEESKEMYEDANQVAADAVGSIRTVASFCSEKRVVAIYNKKCEALRKQGIRSGIVGGIGLSFSNLMLYLTYGLCFYVGAKFVSQGKTTFSDVFKVFFALVLAAVGVSQSSALSTNATKARDSAISIFSIIDRKSRIDSSSDEGAIMENVTGSIDFNNVSFKYPSRPDVQIFSDFTLHIPSQKTIALVGESGSGKSTIIALLERFYDPDSGNISLDGVEIRSLKVSWLRDQMGLVGQEPVLFNDTIRANITYGKHSEVTEEEITAVAKAANAHEFVSSLPQGYDTVVGEKGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERVVQDALDRVMVNRTTIVVAHRLSTIKGADMIAVLKEGKIAEKGKHEALLRIKDGAYASLVQLRSNSE >ORUFI01G30600.2 pep chromosome:OR_W1943:1:26311364:26318024:-1 gene:ORUFI01G30600 transcript:ORUFI01G30600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESGRGTGDDHGRETKDAAAAAASSSSGKKVPLFSLFRYADRLDVLLMVVGTVGALGNGISQPLMTVLFGNVINSFGANTSGSVLRSVTKVVLNFIYLGIGTSVASFLRERQSARIRSLYLKAVLRQDITFFDTEMTTGEAVSRMSSDTLLIQGALGEKGGKLVELLSSFIGGFIIAFTRGWLLTLVMLTSLPLIAIAGAVSAQALTRVSSKRQTSYSDAGDTVEQTIGSIRTVVSFNGEKKAIAMYRNFIKKSYKATIEEGIITGFGMGSVMCVVFGSYGLAFWYGGKLIIEKGYTGGKIMTILFAVLTGASSLGNATPAVAAVVEGQSAAYNLFKTIERKPEIDSDDNNGMVLEDMNGDIELKDVYFRYPARPEQLILDGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPQSGEVLIDGISIKKLRLDWIRGKIGLVSQEPLLFMASIKDNIIYGKKDATLEEIKRAAELANAANFIDKLPNGYDTLVGQRGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRMMVERTTLVVAHRLSTVRNVDCITVVRKGKIVEQGPHDALVKDPDGAYSQLIRLQETHRDERHKLPDSRSKSTSLSFRRSRTKDFLSKSNRYSFKSPLGLPVDIHEDGMTSEQQKVDHSDSKAIKKTPFGRLFNLNKPEVPVLLLGSIAASVHGVILPLYGIIMPGVLKSFYEPPDQLRKDSRFWALMSVVLGVACLISIPAEYFLFGIAGGKLIQRVRTLSFQRIMHQEVAWFDKPSNSSGALGTRLSVDALNVRRLVGDNLALIVQAVATLTTGFAIAFAADWRLALIITCVIPLVGAQGYAQVKFLKGFSEESKEMYEDANQVAADAVGSIRTVASFCSEKRVVAIYNKKCEALRKQGIRSGIVGGIGLSFSNLMLYLTYGLCFYVGAKFVSQGKTTFSDVFKVFFALVLAAVGVSQSSALSTNATKARDSAISIFSIIDRKSRIDSSSDEGAIMENVTGSIDFNNVSFKYPSRPDVQIFSDFTLHIPSQKTIALVGESGSGKSTIIALLERFYDPDSGNISLDGVEIRSLKVSWLRDQMGLVGQEPVLFNDTIRANITYGKHSEVTEEEITAVAKAANAHEFVSSLPQGYDTVVGEKGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERVVQDALDRVMVNRTTIVVAHRLSTIKGADMIAVLKEGKIAEKGKHEALLRIKDGAYASLVQLRSNSE >ORUFI01G30610.1 pep chromosome:OR_W1943:1:26321575:26322711:1 gene:ORUFI01G30610 transcript:ORUFI01G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRPAALRGGEEAAAAALKRGPWTPEEDEVLARFVAREGCDRWRTLPRRAGLLRCGKSCRLRWMNYLRPDIKRCPIADDEEDLILRLHRLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKLIAQGIDPRTHKPLTAAADHSNAAAAVAATSYKKAVPAKPPRTASSPAAGIECSDDRARPADGGGDFAAMVSAADAEGFEGGFGDQFCAEDAVHGGFDMGSASAMVGDDDFSSFLDSLINDEQLGDLFVVEGNDHEHGNGEIGHGDVMESKQ >ORUFI01G30620.1 pep chromosome:OR_W1943:1:26323942:26329003:1 gene:ORUFI01G30620 transcript:ORUFI01G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVAPPVAPPPPSPAAAWGRRRARATPPRFALAASTRDGDPAPPTFERLREQLLQLHAEADLTQSKANSTRVRLVRLTEAAENLKKRAAVSIRMGKENEAVDLLVQKKKLTKALESIKQRIEVLDKLSMKISEAISVKQNMLIEHALHPGMSNGKESNDNIRVFSSKVGDEADETTSSPAKSSEKAFDLQHVVHSGMTGQLEQSELQTSDSFTFSSDLESPNSITNHSSYDAFLDHIGFQLDSLECEIEQYISSQLAEQVDIQKPINAKWQKLSDVLKLVTETRERIAKIVDNTVKEAGSED >ORUFI01G30620.2 pep chromosome:OR_W1943:1:26323942:26329003:1 gene:ORUFI01G30620 transcript:ORUFI01G30620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVAPPVAPPPPSPAAAWGRRRARATPPRFALAASTRDGDPAPPTFERLREQLLQLHAEADLTQSKANSTRVRLVRLTEAAENLKKRAAVSIRMGKENEAVDLLVQKKKLTKALESIKQRIEVLDKLSMKISEAISVKQNMLIEHALHPGMSNGKESNDNIRVFSSKVGDEADETTSSPAKSSEKAFDLQHVVHSGMTGQLEQSELQTSDSFTFSSDLESPNSITNHSSYDAFLDHIGFQLDSLECEIEQYISSQLAEQVDIQKPINAKWQKLSDVLKLVTETRERIAKIVDNTVKEAGSED >ORUFI01G30620.3 pep chromosome:OR_W1943:1:26323942:26329003:1 gene:ORUFI01G30620 transcript:ORUFI01G30620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVAPPVAPPPPSPAAAWGRRRARATPPRFALAASTRDGDPAPPTFERLREQLLQLHAEADLTQSKANSTRVRLVRLTEAAENLKKRAAVSIRMGKENEAVDLLVQKKKLTKALESIKQRIEVLDKLSMKISEAISVKQNMLIEHALHPGMSNGKESNDNIRVFSSKVGDEADETTSSPAKSSEKAFDLQHVVHSGMTGQLEQSELQTSDSFTFSSDLESPNSITNHSSYDAFLDHIGFQLDSLECEIEQYISSQLAEQVDIQKPINAKWQKLSDVLKLVTETRERIAKIVDNTVKEAGSED >ORUFI01G30620.4 pep chromosome:OR_W1943:1:26323942:26329003:1 gene:ORUFI01G30620 transcript:ORUFI01G30620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVAPPVAPPPPSPAAAWGRRRARATPPRFALAASTRDGDPAPPTFERLREQLLQLHAEADLTQSKANSTRVRLVRLTEAAENLKKRAAVSIRMGKENEAVDLLVQKKKLTKALESIKQRIEVLDKLSMKISEAISVKQNMLIEHALHPGMSNGKESNDNIRVFSSKVGDEADETTSSPAKSSEKAFDLQHVVHSGMTGQLEQSELQTSDSFTFSSDLESPNSITNHSSYDAFLDHIGFQLDSLECEIEQYISSQLAEQVDIQKPINAKWQKLSDVLKLVTETRERIAKIVDNTVKEAGSED >ORUFI01G30630.1 pep chromosome:OR_W1943:1:26326088:26327742:-1 gene:ORUFI01G30630 transcript:ORUFI01G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVLRTASCELMNPRSNMSTMEEHLLPVVHRDQVYSSRQDRRKSSDVPNSWIWGLWLLNTSRKALCYIAKAFLFLTDLRMDRRNRKTTKWILQKKMDNEPLAADLDHDASVSKSDFLIYKLKEIGKIDDKDIAMISDQFDQLGLAKCGKITLADIIGKL >ORUFI01G30630.2 pep chromosome:OR_W1943:1:26326088:26327742:-1 gene:ORUFI01G30630 transcript:ORUFI01G30630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVLRTASCELMNPRSNMSTMEEHLLPVVHRDQVYSSRQDRRKSSDVPNRFVTSFHPSTNSKGNPNTPNHPLPTSGNGNIVTAQNFQRVHSSPSMFTSIKETPCADEFNEQSHAAQHVPSFARQAIVSVILYISIGVLVYITNVEGFKGRSTLKLVDGLYFTIISLCTIGYGDIVPCTTFTKVFTCLFLLIGVRFVDIVLNELLTNVLDKQRTVLLSTMDDNKLNRVFDTYMIDAEKKRSRGRMKVLLALGVVVGTISICTIIVHEVEGLNWIDSFYLSVISVTTVGYGDYGFSTPAGRLSATVCLLVSTLAVAKAFLFLTDLRMDRRNRKTTKWILQKKMDNEPLAADLDHDASVSKSDFLIYKLKEIGKIDDKDIAMISDQFDQLGLAKCGKITLADIIGKL >ORUFI01G30640.1 pep chromosome:OR_W1943:1:26345676:26353138:1 gene:ORUFI01G30640 transcript:ORUFI01G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAANGCRDGKEKKAKNGRDGEDKKKEEDGDAGKKVSFTGLFRYADGTDLLLMAVGTVAALANGVSQPLMTVIFGQVINAFGEATNGDVLHRVNQAVLNFVYLGIATAVVSFLQVACWTMTGERQATRIRSLYLKSVLRQDIAFFDVEMTTGQIVSRMSGDTVLVQDAIGEKVGKFLQLVATFAGGFVVAFVKGWLLSLVMLACIPPVVIAGGAVSKMLAKISSKGQASYSDAANVVEQTIGAIKTVVSFNGEKQAVASYNKLINKAYKAAVEEGLTNGFGMGSVFFIFFSSYGLAIWYGGKLVVSKGYSGGDIINILFAVMTGAMSLGNATPCMAAFAEGQSAAYRLFKTIKRKPQIDPDDITGKQLEDIRGDVELKDVYFSYPARPEQLIFDGFSLHVSSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSLRLNWIRGKIGLVSQEPLLFMTSIKDNITYGKEDATIEEIRRAAELANAANFIDKLPDGYDTMVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERIVQEALNRIMVDRTTLVVAHRLTTVRNADCISVVQQGKIVEQGPHDELVMNPNGVYSQLIRLQETHEEEEKKLDHHISDSRSKSRSLSFKRSISRDSAGNSSRHSLALPFGLPGSVELLEGNDSTVGEQTEQGGDGEVQQKAPIGRLARLNKPEVPILLLATLAAGVHGVLFPMFGVMISNAIKTFFEPADKLKKDASFWGLMCVVLGIISIISIPVEYFMFGIAGGKLVERVRALSFRSIIHQEVAWFDDPRNSSGALGARLSVDALNVRRLVGDNLALAVQVVSTLITGIVIAMIADWKLTLIILCVIPLVGLQGYAQVKFLKGFSEDAKMLYEDASQVATDAVSSIRTVASFCSEKRVMTMYDNKCEASKNQGVRTGMVGGLGFGFSFLMLYLTYGLCFYVGAQFVRHNKTTFGDVFKVFFALVLATIGISQTSAMASDSTKAKDSAISIFALLDRKSQIDSSSDEGRTLANVKGNIDFRHVSFKYPTRPDVQIFSDFTLHIPSGKTVALVGESGSGKSTAIALLERFYNPESGTILLDEVEIKNLKVNWLRDQMGLVGQEPVLFNDTIRANIAYGKHGDVTEEELIKAAKASNAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERIVQDALDNVMVGRTTIIVAHRLSTIKGADIIAVLKDGAIAEKGRHEALMNIKDGVYASLVELRSGSS >ORUFI01G30650.1 pep chromosome:OR_W1943:1:26355308:26356669:1 gene:ORUFI01G30650 transcript:ORUFI01G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKLGALALMLPLLLLLSATRVAYGGIQPTPPPASFQAALVRIEPAGINYTRAVQRSRSRLSMLAARAVSNAGAAPGESAQTPLKKGSGDYAMSFGIGTPATGLSGEADTGSDLIWTKCGACARCSPRGSPSYYPTSSSSAAFVACGDRTCGELPRPLCSNVAGGGSGSGNCSYHYAYGNARDTHHYTEGILMTETFTFGDDAAAFPGIAFGCTLRSEGGFGTGSGLVGLGRGKLSLVTQLNVEAFGYRLSSDLSAPSPISFGSLADVTGGNGDSFMSTPLLTNPVVQDLPFYYVGLTGISVGGKLVQIPSGTFSFDRSTGAGGVIFDSGTTLTMLPDPAYTLVRDELLSQMGFQKPPPAANDDDLICFTGGSSTTTFPSMVLHFDGGADMDLSTENYLPQMQGQNGETARCWSVVKSSQALTIIGNIMQMDFHVVFDLSGNARMLFQPPTA >ORUFI01G30660.1 pep chromosome:OR_W1943:1:26361599:26363131:-1 gene:ORUFI01G30660 transcript:ORUFI01G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAATTRARKPVVLYPSPGMGHLVSMIELGKVFAARGLAVTVVVVDPPYGNTGSTGPFLAGVTAANPAMTFHRLPKVEVPPVASKHHESLTFEVTRFSNPGLRDFLAGASPVVLIIDFFCNAALDVADELGVPAYMFYTSGAEILAFFLYLPVLHAQTTANFGEMGEELVHAPGIPSFPATHSVLPLMERDDPAYAEFLKASADLCRTQGFLVNTFRSLEPRAVETIAAGSCAPPGVSTPPVYCIGPLIKSAEVGENRSEECLAWLDTQPNGSVVFLCFGSIGLFSAEQIKEVAAGLEASGQRFLWVVRSPPSDDPAKKFDKPPEPDLDALLPKGFLERTKGRGLVVKSWAPQRDVLAHAAVGGFVTHCGWNSVLESIVAGVPMLAWPLYAEQRMNRVFLEKEMRLAVAXLAWPLYAEQRMNRVFLEKEMRLAVAVEGYDDDVGEGTVKAEEVAAKVRWLMESDGGRALLERTLAAMRRAKAALRDGGESEVTLARLVESWREAASA >ORUFI01G30670.1 pep chromosome:OR_W1943:1:26368226:26368780:-1 gene:ORUFI01G30670 transcript:ORUFI01G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHICCSQDDKYNDEEPNAFDLFKEFHYSKKKKCYTPVVQEAITQMENKLSTTTEGEELKSVAQVVADVLAENTKKNRFLQNVGFNNAQPRFSEQSTETKLEAEKRANAELRAQVADLSNKVQESEQARIKDREEMKRSQSEMEAKLNLLLSQIRPS >ORUFI01G30680.1 pep chromosome:OR_W1943:1:26370708:26372735:-1 gene:ORUFI01G30680 transcript:ORUFI01G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAHPMVPLVLRNLLPLLRDVDTVQFALDVAHARMRDNAARLAMLVPLLARARRLAPAGVDAEAAELMDQLEEAVVGALEARLQLVQVVTLLVMVRAVATARRRARRLPSVLLAVAALAFAVSGSGVALGSLRVFVMVSTVLLLVLSWELWCVQNAWLWCVQELRFVWISASFSTVPIAVLSNGASCRSARARRERRTAVTEAACKRDGRRSGVEQMRGGGGGQSSAWRPVGSGGGGGSPLAAGMVVVGVSVPRARYSRRDPLLLVSVVVSLVPAGSRRSAVAGVLLLLRRRIDGVLRFSLPASSAASSMSGS >ORUFI01G30690.1 pep chromosome:OR_W1943:1:26374850:26375173:-1 gene:ORUFI01G30690 transcript:ORUFI01G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPYGRATASPSPALHSRTRRLAAWGQGSASCSGSGQKGAGSGHPAAPARAAAGSGQPSRQIRAADAGSSSAASPCRLRSPSPSASAASPSPSAAVAAPKIGQG >ORUFI01G30700.1 pep chromosome:OR_W1943:1:26376309:26378547:-1 gene:ORUFI01G30700 transcript:ORUFI01G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNDLVDEVLDSVGLHLFNNVDDIKILLDAARADLDENAAHIAEAQARLSHVRRLVGEVATAPMAVEQQQAVRAALEEVLDDFGASSLLLLERGRQLRLLILMLQLLRASVFIVRAARHLPAVLASVTAGSAAALVYAESRRGVPAFRSLARIFAVVMCGFFECYRVGLKRPEDEKNTEPRQKRKNPRTAMEVNNLADELLDSLGVYLINNVDDVKILLDAARADLDDNAAHLAKARARLRNVRRLVREVTASPPMIVDGVEQRHAARVTLERIHDDIRASSLLLRERRHQLDQVVCTLLMIRAYVFVTRAARLIPAVLLSVTPGSAAVVAYAESRRGVPVSRSLARILALAMCGFFECYRLRQGDF >ORUFI01G30710.1 pep chromosome:OR_W1943:1:26380531:26384662:-1 gene:ORUFI01G30710 transcript:ORUFI01G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPLLDEVVAMYFHLVDNPDDARALLDDAHFNAAENGHEIAMVGIQLRHIQHQTNELMTQPMTDAEREAQRVQLEEDYRGIKVDADFLLENRRRLRQVVKMLVFIWTYAIIRRALRRFLPAVALTFVAGTAALAVYVELRRGGTVPAFEALGRIFTWLTSFFLLGYRSTLALLAEVAAICEQLVDDPDAAKIMLDSVLSGFAALDGHITTLENLLDFYLRAADEPIMDWPMAEVEREEQRALEELYQDLRADADVLMEHRRRLHRIVKLLVFIRTYGIIKRALRRFLPAAALKFVAGAAAVVVYVEWRRGTVPEFENLGGIFTRLMCFFLLGYRPPVTEPQLWPTKPPPIPRTRSLATPRAHAATRTTAMAENPLFDEVVAIYDHLVDNPDAARIVLDAARSDFAHNDDEIAEVEIQLGYVLQRAGELMTEPMDEVEREAQRVFLVEAYHDLRARVDFLLERRRQLDQVVNLLLFIRTYAIIKRALRRLLPAAALVFVAGTAAVVVYVEWRRGTVPTFETLGMIFTRLMCFFL >ORUFI01G30720.1 pep chromosome:OR_W1943:1:26387811:26388848:-1 gene:ORUFI01G30720 transcript:ORUFI01G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDETLAYPAAAAGGQKTAPIGCFAGDDVAATTDGHPTKSYAAVAAEKTLPNGSVEEDEVTVTAAENPAKSYAAVVAEKTSPNGSVAEDEVTVTAAENRAKSYADVAAEKTYPNGNVEEDEVSVTAAVNPAKSYATVAAEKTVPDGSVAEDEVTVTAPVNPAKSYAAVAANAEIEDLRTTNHDLEEKLAAADREKKGRATEIDGLKDTSDKAKQNSVVFQYIASSSDAKVLALREELEDLQKLLQAEKDEFKADKRDSNQLAGKVGSERAVKMRLEEEVIAMKERARARAAAAAAAAEDERVAAPTPGTLQGARVAWPVMAGAAAVGAAAAAVAVVIFLRLKR >ORUFI01G30730.1 pep chromosome:OR_W1943:1:26391343:26396897:1 gene:ORUFI01G30730 transcript:ORUFI01G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEIVGRHALLFDDDPTAEVVNSGGSLVPWAAVGADDLLLDRHDVRHLLDRVPPRPRRSYSAALLSAPSLDGVSEAELDRERFLDLTADDFGGEGSQDAVSSGNGTDTGRADYNSVPFSYGSTAGSDDPNNLSTYYCPSFPVPDRLLNKLPPSEKVHQIIARTALFVSEHGGQSEIVLRVKQGSNPTFGFLMPDHHLHSYFRYLVDHPQLLKDGLDADSNKGCKTANSESGHDASSGGALSLLGAVYESGDEDEGMLPPSPKSTVPGKDVVVHEQGHESSVSAIHCNEETRDGQKATAAATVAAKDKSILTKKNPMITGSSLLAARLEKAKDAMMASSTSDVSDTKVVILEPPSFLKRTMEKIVEFIIRNGKEFEGKLIEQDRTTGRFPFLLPSNPYHPYYLKLLEETQESKSRGGSSEPKDRKSSSEHKDRRSSSEQKDRRSPSERKGSNHGKGESKSKKRSSTSKDASSPDRSSAEPSEKQLYDKHKQGKGKFHMIISGAKKEPPRNVTADEAAAIVMAATRGFGPANIQTNTLKDTSGIGQTRRDNGHTSSFGSFSSLQDPDVPSKPVSNSEACTSLTSSGQPKNEGTGIIDDDWIANTIAKAAAVAASKEADSSEASMTKEQKLKAERLRRAKMFTSIIKSGGSKMADLSTSADQTNEAGRASPGDLNLSESDPQPSAKEREGSSVPFEREGSNLAKQEKDSDDERNRARKYRKKHHPESDEENYDSEESYKHSRKKHRSEDSRAHTSDVHKHKLKRHSKDLEPRHHRHRDSSSEDEHEHRSSKSRHRHRDDYHYHEDDEHRSSHRHQRDHRSSSKRKKDDDRDKSKQTIVLPEVSQNQEKPPGDTAQSSQATTEVPSELRAKIRAMLLETL >ORUFI01G30740.1 pep chromosome:OR_W1943:1:26397116:26398148:-1 gene:ORUFI01G30740 transcript:ORUFI01G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPMARDFTATFLLLLSVASLLVISPCAAQQIKTTDTRWSYHLPLPDGVSGAESLAFDGKDGLYTGVSDGRVLKWGGSAAGWTTFAYNANYRKIPLCSSSEVPPEERESICGRPLGIRLFRKTGELYIADAYKGLMKVGPDGGEAQVVATEADGVPFHFLNGLDVDQATGDAYFTDSSSTYTRRFNGEITMNADATGRLLKYDARTRRGTVLKTDPPRDRTHLVVAHTVPCQAFRYWLRGTKAGEYELFADLPGYPDNVRRDTKGGYWVALNQERMRLGAAPAAKHLVGVRLNPDGVEVEELTAAKGVTLSEVAEQKGKLWLGSVELDYIGMFA >ORUFI01G30750.1 pep chromosome:OR_W1943:1:26398638:26403223:1 gene:ORUFI01G30750 transcript:ORUFI01G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSPSTNATSRSRATHAPSRPRPNQIGIAAVHRRIGAGADPKPTTRQTKKKRPHRTDAEMGRDPTHAFRPRLRSAKPPRIGLRVPSSPPTHHRSPPAASDELRLLIDGS >ORUFI01G30760.1 pep chromosome:OR_W1943:1:26416283:26416922:-1 gene:ORUFI01G30760 transcript:ORUFI01G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLPVAFNLALVFVLAIPLLLEARPLASGDQDYENLPVLTTVTVEETTQVMVDYLDLNGSSSDGEDDEAAQGSPPDAALRRPARTPPSPQGRYPPQHQQKPAPCSGGPAGVVGGRRPSAPPAPRGRSPPHWVRSSDQQGPWLLDVFHRLLCALTGLTGQTGSMGYVEVWKTFRHVHLNEE >ORUFI01G30770.1 pep chromosome:OR_W1943:1:26419219:26422377:1 gene:ORUFI01G30770 transcript:ORUFI01G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLKGKYHIVKWEDMAFPKDFSGLGFTETRKMNIALLAKWIIKIESDDKSLCRSAGRCGRGGGRRRGNGEARRQPGGAPRRPCEARDAGGGSDRGRRGGRGGSRCCNREPLLVRAPPPQVVVATASLARILLLLLDMVSEQTPVATAEAELVSSAAVPVKPEEAAAKAQPEDDAPIVEDAKDDDDGDEDDDDDGDEDEHGEHGAVVNKGSKQSRSEKKSRKAMMKLGMKPVTGVSRITIERAKNILFVVSKPHDVFKSPTSESYVIFGEAKIEDLSSQLQAQAAQQFRMQDLSKVMSKPDAAAAAPADEEQEVDETGIEPRDIDVVMTQASSSSPPPYRRHRRHRVIRRRVVVACPSVSSSPPPRRRRRVARRLLLSRLTLVVSSAAGRLAHRTKEKSV >ORUFI01G30780.1 pep chromosome:OR_W1943:1:26427075:26428529:1 gene:ORUFI01G30780 transcript:ORUFI01G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVSGRWTRLRTLGRGASGAVVSLAEDGASGELFAVKTAAAAEAAMLRRERGMMSGLSSPHVVPCIGGGDGPDGSYNLFLEFAPGGSLANEVARDGGRLEERAIRVYAADVLRGLTYLHGMSLVHGDVKADNIVIGVDGLAKLADFGCAKTMDSERPVGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWSDMDDVLAAVHRIGYTDAVPEVPVWLSAEAKDFLAMCFARNAGDRSTAAQLLEHPFVAFACHEVKAAQPKPRWVSPKSTLDAAFWESETDDEEEVDEITESLCDRIKSLACPVSALPDWDSDEGWIDLLGEQCEACDSEAARESIDVARSAPSKVSSAATVPAAEVVLVGGGCCPSNEADAFDQSIGGDIQAADRSIERRNKVCAGSDNDVLPFRLVCNGICAMEFFQFFTNLAVLLCLIPSVPTMFSSNCELRPCLEQKLSPYAPRNISIQLYYVSNHAIINN >ORUFI01G30790.1 pep chromosome:OR_W1943:1:26429865:26430299:-1 gene:ORUFI01G30790 transcript:ORUFI01G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGEEDLFVSGTSIEGKKKLQLLDDDGRIGLELIPPSALASPMQRIWIPTRTPLIDTDWYKVVVCSLGLITKPSGNSVDSTACTLTSGASSSKSPVVLPGIAHFGASNAGFDIELTIRDLEFLHTSRHPLDAEITCPRDNRAT >ORUFI01G30800.1 pep chromosome:OR_W1943:1:26439399:26440655:1 gene:ORUFI01G30800 transcript:ORUFI01G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVDGRWTRVRTLGRGASGAVVSLAADDRSGALFAVKSAAAAAAAEQLVREGRILSGLRSPHVLPCLGFRAEAGGECQLFLEFAPGGSLADVVARSGGRLDECAIRAYAADVARGLAYLHGMSLVHGDVKGRNVVVGADGRAKIADFGCARTVGSDRPIGGTPAFMAPEVARGEEQEPAADVWALGCTVIEMATGRAPWSDMEDILSAVRRIGYTDAVPEVPEWLSAEAKDFLARCFARNPRERWTSSQLLEHPFLASAGCSVKTGEAAPQWVSPKSTLDAAFWESDTDDEEDDMPASPAERIKAVACPCSALPDWDSDEGWIQVLNESSEACDTAVAKVEAEGKRRVLIEALETINESSGGDAECVDPECTVRLITVASIGQQEVFCLGLINDPLVFSVNKSELTKSLFPQIVSF >ORUFI01G30810.1 pep chromosome:OR_W1943:1:26444382:26453433:-1 gene:ORUFI01G30810 transcript:ORUFI01G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVMQKQLRRLRTLGRGASGAVVWLASDDASGELLAVKSAAGEGGAEQLRREGRVMSGLCSPHIVPCLGSRTAAGGEYQLFLEFAPGGSLADEAARSGGSLAERAISAYAADVARALAYLHGNSLVHGDVKARNIMVGADGRAKLADFGCARRTDSERPIGGTPAFMAPEVARGEEQGPAADVWALGCTIIEMATGRVPWSDMDDVFSAVHRIGYTDAVPEIPEWLSPEAKNFLSRCFTRNPSDRPTAAQLLEHPFLASASSDIDETAPKHGWVSPKSTLNAECWESDEDDEVEEGMSQSATKRISALAITCSALPDWDSEDGWIDLQSDPSEVSETPAPMVVTTADFGLWWEEALDAEIDLHFVDVDGDGYVTRTVRARGFIEYDRQLSVRVRGDMPLCPVDCHRSDTVKFGCHCNGNRVINFESAQICLLLPFILQSRAHRLHSVELPRIVSCSPTLEDCIVKCPIGFAATAGLPLGSPQPDYSHTCDTYVSYPSCVVHASMGTIGDGQREESERHREDMEDQELRICSGVIPIRYQTILHMFQVILIRYHAISTTYRVILARYHVIPVRYQTISTTYRLILARYHVIPIRYQTISTMNRMIFARYRMIPTRYQGRSIPTARLASCTNSKDQEIEAMAKQLRRVRTLGRGASGAVVWLASDDDSGELMAVKSASAGGAAAQLRREGRVLSGLCSPHIVPCLGSRAAAGGEYQLFLEFAPGGSLADEAARNGGCLPEPAIRAYAADVARGLAYLHGNSLVHGDVKARNVVIGSDGRARLTDFGCARVMDSAGPIGGTPAFMAPEVARGEEQGPAADVWALGCTIIEMATGRAPWSDMDDILAAVHRIGYTNAVPEVPVWLSAEAKDFLDGCFERNASDRSTAAQLLEHPFVASAAALDRWPEPAKQERASPKSTLHDAFWDSDTDDEDDEMPTGAAERIGALACAASALPDWDSDEGWIEVHDEVSFAAVTPPASDADYFVWAELSDPEMEQFAVAADGVNHVPRNEAEAIESSIRQGSYLHVHLGSGKNENFHPFDTDGTESGLDAHRLTALQKHQWNLSSRLPHSSWIDRTFGSDLDIGVQLATTC >ORUFI01G30820.1 pep chromosome:OR_W1943:1:26458026:26459613:1 gene:ORUFI01G30820 transcript:ORUFI01G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDDAVSRHVDDKAAAGEVSATRAEGSCSAVHAASGGRPTPPNSFSFLGRGRADERASEWQHAMLPTHGTPTAAAARVAAVRAGISRRFAGTGRWNVVESLIPRSRPFVFRSSRVEKRMVKQQNNKKWWAHATPHVILTPIFSLSSLAPTWAEQGEAGDGWARSGVGGIRSRSAKGDGGCTTPELKEEQGEAGVHPHAVCQVGARDGDEDEGGKIKREVAARGFLATRMVREVRVVEAEAEKVARKVEAEAKALRRNVRRRIMPSARCSCGCCSGSTRSTAQWSPRAAGHHRCP >ORUFI01G30830.1 pep chromosome:OR_W1943:1:26461469:26462821:1 gene:ORUFI01G30830 transcript:ORUFI01G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGLKPVAGLLLVLNFCMYVIVAAVGGWAINHAIHTGYFIGSGMALPANFSPIYFPMGNAATGFFVIFAVIAGVVGAAAALAGFHHVRAWSHESLPAAASSGFIAWTLTLLAMGLAVKEIDLHGRNARLKTMESFTIILSATQLFYLLAIHGGR >ORUFI01G30840.1 pep chromosome:OR_W1943:1:26476247:26480577:1 gene:ORUFI01G30840 transcript:ORUFI01G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGAKKRAKLKKKQQGDHPAGSDDGGNNNNNNKNNAAENGSNDSSRRRDAASDGNHHLPSRPNVPHVDVSEDSMESSEEMVTPRAAASEADEEERKAATSEVPVEVVEAGEEVMVDALPPEAAAGAQEQQGKAEALVVVQEPEVKREELVAKVHPMHDPEPQGEEVLVVEAAAVSAVQEPEVKRDEVVVMETAAPPAVQESETKSGGVLVKDVVEVSRSLGAADTTEVARGPAVAVAAAGQRATWWNCCGVFDAFSGSER >ORUFI01G30850.1 pep chromosome:OR_W1943:1:26481322:26489726:1 gene:ORUFI01G30850 transcript:ORUFI01G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLYDISCFAAGLAGNIFALALFLSPVTTFKRILKAKSTERFDGLPYLFSLLNCLICLWYGLPWVADGRLLVATVNGIGAVFQLAYICLFIFYADSRKTRMKIIGLLVLVVCGFALVSHASVFFFDQPLRQQFVGAVSMASLISMFASPLAVMGVVIRSESVEFMPFYLSLSTFLMSASFALYGLLLRDFFIYDETSLIWFSHKKEKFLRLSSVTKIIPGQRTVCKDQAEVEVWFSALEGLISSFRKKSLINEHKDRVSFSEFCYTVTILEGKNDSSIVDMHITHKYHNTEVTYYQDRHSYDSTLDIASNISRSFNSAGYCGTNSFSFRKSDVGFDRLNMIRTSAADSSRVSISSALSSYSQGSGTDDIESLGDVYVWGEVWTDVTPSDGHTSSSCSKVDVLIPKPLESDVVLDVNQIACGTRHVALTTRQGEVFTWGEEFGGRLGHGTDADISRPKLVESLSLTVVDLISCGEFHTCAVTTSGDLFNWGDGSYNVGLLGCGTEVSYWLPKKVSGPLEGLQVLSVACGSWHSALTTSSGKLYTFGDGTFGVLGHGDRETLAYPKEVEALSGFKTIKVACGIWHSAAIVEVTNQTGANVMSKKLYTWGDGDKNRLGHGDKEPRLVPKCVQALLEYNFHQLACGHNMTVALATSGRVFTMGSSSNGQLGNPKSDGKQPCLVQDRLASELVEEISCGASHVTVLTSRSEVYTWGMGANGRLGHGDLKDRKKPCLVEALKDRHVKSISCGSNFTTCICIHKWVSGADQSVCTGCRQAFGFTRKRHDCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFLKLKAAETGSNNSNRRNAVTRRSIDGREKLERPEIRPSRTTAPAESVKYTEVDSLKQKCEAQHEQLQISDKKTKTVVSMATEEYTRCSAVVEFVKFLDNELNGIVHELPSDAAESLKALQNQVQALLREQRSHPSELLNPMDHDGIQLSSGGNALHDFSNHRSGSTRYLFMSQDASSASGSAISLTSEPPSHRGMEHHAKVPNDFVPKHDTHGEVQLIEQFEPGVYVTLIQLKDGSKVFKRVRFSKKKFAENQAEEWWRENQERVFKKYSHPTVPQTTSTKTGSSNEEEHHS >ORUFI01G30850.2 pep chromosome:OR_W1943:1:26481322:26489726:1 gene:ORUFI01G30850 transcript:ORUFI01G30850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLYDISCFAAGLAGNIFALALFLSPVTTFKRILKAKSTERFDGLPYLFSLLNCLICLWYGLPWVADGRLLVATVNGIGAVFQLAYICLFIFYADSRKTRMKIIGLLVLVVCGFALVSHASVFFFDQPLRQQFVGAVSMASLISMFASPLAVMGVVIRSESVEFMPFYLSLSTFLMSASFALYGLLLRDFFIYDETSLIWFSHKKEKFLRLSSVTKIIPGQRTVCKDQAEVEVWFSALEGLISSFRKKSLINEHKDRVSFSEFCYTVTILEGKNDSSIVDMHITHKYHNTEVTYYQDRHSYDSTLDIASNISRSFNSAGYCGTNSFSFRKSDVGFDRLNMIRTSAADSSRVSISSALSSYSQGSGTDDIESLGDVYVWGEVWTDVTPSDGHTSSSCSKVDVLIPKPLESDVVLDVNQIACGTRHVALTTRQGEVFTWGEEFGGRLGHGTDADISRPKLVESLSLTVVDLISCGEFHTCAVTTSGDLFNWGDGSYNVGLLGCGTEVSYWLPKKVSGPLEGLQVLSVACGSWHSALTTSSGKLYTFGDGTFGVLGHGDRETLAYPKEVEALSGFKTIKVACGIWHSAAIVEVTNQTGANVMSKKLYTWGDGDKNRLGHGDKEPRLVPKCVQALLEYNFHQLACGHNMTVALATSGRVFTMGSSSNGQLGNPKSDGKQPCLVQDRLASELVEEISCGASHVTVLTSRSEVYTWGMGANGRLGHGDLKDRKKPCLVEALKDRHVKSISCGSNFTTCICIHKWVSGADQSVCTGCRQAFGFTRKRHDCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFLKLKAAETGSNNSNRRNAVTRRSIDGREKLERPEIRPSRTTAPAESVKYTEVDSLKQKCEAQHEQLQISDKKTKTVVSMATEEYTRCSAVVEFVKFLDNELNGIVHELPSDAAESLKALQNQVQALLREQRSHPSELLNPMDHDGIQLSSGGNALHDFSNHRSGSTRYLFMSQDASSASGSAISLTSEPPSHRGMEHHAKVPNDFVPKHDTHGEVQLIEQFEPGVYVTLIQLKDGSKVFKRVRFSKKKFAENQAEEWWRENQERVFKKYSHPTVPQTTSTKTGSSNEEEHHS >ORUFI01G30860.1 pep chromosome:OR_W1943:1:26490311:26491784:-1 gene:ORUFI01G30860 transcript:ORUFI01G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLQCPDDPAPSMNVEAVLHMKEGVGETSYAKNSTLQKKSMDTVKSLVTESARDVYASLKPERFTLADLGCSSGTNALGMVEEIVRSVAEVCRGSSPPPEFSVLLNDLPTNDFNTIFSRLPEFTGKLKADADADAGDDPMVFLSGVPGSFYGRLFPSKNVHFVCSFSSLHWLSQVPPGLLDETNGPVNKGKMFISSTSPPAVAAAYSRQFRRDFSLFLRSRAAEVVAGGRMVVSMLGREGERHADRNTTLLWDLLSESFAALVSQGVVEQGKVDAYDAPFYAPSIGEIEEEVRRQGSFRMEVARAYEASLSGSGDARKDGRTVSMAVRAIQESMLGHHFGTEIVDALFAKYTELVTATMEREEVKSVQIGVVLTRL >ORUFI01G30870.1 pep chromosome:OR_W1943:1:26500322:26513270:1 gene:ORUFI01G30870 transcript:ORUFI01G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPANVLYAALLVPMVLYLAVTRRRSRRLPPGPAGLPLVGSLPFIDPNLHTYFAGLAAKHGPILSIRLGSKVDIVVTSPELAREVLRDQDSVFANRVMPDAGNAVSFGGVQNIVGNPVGPMWRLLRRLCVHEMLSPSGLANVHDLRRREFRSTLRYLHTKSGEPVDLGAQMFLNTMNVITSAMWGGTIGSESERAAVGSEFRGLVAEVTELLGTPNVSDFFPALAPFDLQGIRGKMERFRSRFDLMFAKIIQQRMKAQQDGGETATDFLECMLKMEKEGGDGKAPFTMDNVKALLLDMVVGGTETTSNTVEWAMAEMLQNPQVLKKAQQELDSVVGRDEVVEEWHLPQLHYLRMVIKETLRLHPALPLMVPHSPSAASTVGGYHVPEGSRVFVNVWAIQRNPLVWNEPLEFNPERFAGDEGHKWDFTGSQFDYFPFGSGRRICAGIAMAEKMTAYSVAMLLQAFDWKLPQGVQPDLSEKFGIVMKKAAPLVAIPTPSLSSAFLYITIVRHRRGGDLPPGPAGLPLIGCLPFLEPNLHAYFARLAEKHGPVFSIRIGSKLEVVVTSPEVAREVLRDQDHVFANRVIPEAGRAIAFGEEDNIVGNPAGPKLRLLRRICVSEMLGPSGLSKMYGLRRREFRSTLRHLYMQSQCGTPVCVGAQMFLTAMNTITNSLWGGKIGSESERTAVGQEFRALIAEITELLGRPNVSDFFPVLAPLDLQGVQKRMRGIRTQFEHMFARIIQTRAKAEQDGGEASSDFLEYMLKLEKEGGDGKTPFTMINVKALLLDMVVGGTETISNTVEWAMAEMILNPRILEKVQQELDQVVGRDSLVEESHIGQLHYLRLVFKETIRLHTPLPLMVPHCPSSTSTIGGYRVPKGTRVFVNVWAIHRNPRVWKAPQEFIPERFSGDDGQKWDFSGKEFDYFPFGSGRRMCAGIAMAERMTIYSLALLLQAFDWKLPEGTQLDMDEKFGVVMKKAKPLVVIPTPRLTKPELYS >ORUFI01G30880.1 pep chromosome:OR_W1943:1:26528994:26547666:1 gene:ORUFI01G30880 transcript:ORUFI01G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISEVMGVTAEWAVTMVAMAVGLLVVAYLYEPYRKVWHVPGPVPLPLIGHLHLLAMHGPDVFSVLARKHGPVFRFHMGRQPLIIVADAELCKEVGVKKFKSIPNRSMPSPIANSPIHKKGLFFTRGPRWTSMRNMIISIYQPSHLSSLIPTMESCIQRATKNLDGQKEITFSGLSLSLATDVIGQAAFGTDFGLSKVPVTPDDSNIDKIAADTSVEAKASSEFIKMHMHATTSLKMDLSGSLSILVGMLLPFLQEPFRHVLKRIPGMGDYKIDRVNRALRTQMDRIVAERGGAMEHDLAASHQRKDFLSVVLTARESNKSSRELLTPDYISALTYEHLLAGSTTTAFTLSTVLYLVAKHPKVEEKLLREIDGFGPRDRVPMADDLQTKFPYLDQVVKESMRFYMVSPLVARETHEQVEIGGYALPKGTWVWLAPGVLAKDPKNFPEPEVFRPERFDPNSEEERRRHPYAFIPFGIGPRVCIGQKFSIQEIKLSVIHLYRHYVFRHSPSMESPLEFQFAIIYDFKYGVKLQMEISEVLAATAEWAVTLVAMAVGLLVVAYLYEPYRKVWHVPGPVPLPLIGHLHLLAMHGPDVFSVLARKHGPVFRFHMGRQPLIIVADAELCKEVGVKKFKSIPNRSMPSPIANSPIHKKGLFFIRGPRWTSMRNMIISIYQPSHLASLIPTMESCIQRASKNLDGQKEITFSDLSLSLATDVIGLAAFGTDFGLSKLPVTPDDSNIDKIAADTSVEAKASSEFIKMHMHATTSLKMDLSGSLSILVGVLLPFLQEPFRQVLKRIPGMGDYKIDRVNRALRTHMDSIVAEREAAMEHDLAASQQRKDFLSVVLTARESNKSSRELLTPDYISALTYEHLLAGSTTTAFTLSTVLYLVAKHPEVEEKLLREIDAFGPRDRVPMADDLQTKFPYLDQVVKESMRFYIMSPLLARETLEQVEIGGYVLPKGTWVWLAPGVLAKDPKNFPEPEIFRPERFNPNGEEERRRHPYAFIPFGIGPRVCIGQKFSIQEIKLSVIHLYRHYVFRHSPSMESPLEFQFAIICDFKYGVKLQAIKRHHA >ORUFI01G30890.1 pep chromosome:OR_W1943:1:26550440:26552935:-1 gene:ORUFI01G30890 transcript:ORUFI01G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQRPRDRDFEFLEAMASSLLHCSDKLPFMNVETVLHMKEGLGETSYAQNSRGMDTLKSLITNSAADVYLSQMPERFAVADLGCSSGPNALCLAEDIIGSIGRICCRSSRPPPEFSVLLNDLPTNDFNTIFFSLPEFTDRLKAAAKSDEWGRPMVFLSGVPGSFYGRLFPAKSVHFVCSCSSLHWLSQVPSGLLDEMNRPINKGKMYISSTSPLAVPVAYLRQFQRDFSLFLKSRAAEVFSGGRMVLAMLGRQADGYIDRRTTFLWELLSESFASLVAQGLVEEDKVDAYNVPFYAPSIGEIEEEVRREGSFRMDYVQTYEINLSSSGDARRDGRTVSMAIRAIQESMLSHHFGPEVVDALFAKYTELVTASMEREEVKSVQIGVVLTRL >ORUFI01G30900.1 pep chromosome:OR_W1943:1:26567292:26575994:-1 gene:ORUFI01G30900 transcript:ORUFI01G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIINGPVLPRYAAPATGALTSDAKISGQLLRRVHLRRRACGLQGDHYRAARRFFGFPSERHARSGWVWPVCCSYGSSSDGDGAAAADYDASGEEFVNSSVMEAVELRSVSDGFVIKMRDGKNLRCVQNNPRVLRLRDSAPHHAIVLKMEDGSDLLLPIIVMETPSIMLLAALRNIRIPRPTIYNVVKEMTERMGYVVPIQVNRRIAYNNGLKVVQPTPSESYVSSDQFQYTRLDRPDDQPCFEAQEFDLVRNMLVAAVEERYKDAGAGFEGIVHDDDKKEWKSDEDNSEGDKKAKAVSFKNRAISAGNKFRRSLRRKRRRRVGDHVASIEDIRDVKELEAVQRFHQCLHDEGLLPERHDDYHVMLRFLKARKFDIDKAKHMWSEMLRWRKEFGADNIEEFDYSELDDVLECYPQFYHGVDKEGRPVYIELIGKVDPNKLVQVTTIDRYVKYHVKESEKCLQMRFPACSIAAKRHIDSCSTILDVQGVGLKNFSKDARELIMRLQKINNDNYPETLHRLYIINAGQGFKMLWGTIKSFLDPQTASKIHVLGSKYQNKLLETIDESELPDFLGGKCRCEEHGGCIKSDKGPWKDPDIIKRVLNGEANYGRQILAISSVDGKKICYINPRHLTSKLPGNTSTSGAPPRVEDIPVVDKAVDTCAGPSTSSMAFNSDSFSLRNITMELGGLRNRITAWLIVLIVSFVAVLRSVPSRVTASLSSQAISRENGTHSSVLRRLGELEEKLQELEAKQSQMPPDREELLNGAIHRVDALEAELISTKKMLYDALMRLDELLAYADQQKNIQFRPCISEY >ORUFI01G30910.1 pep chromosome:OR_W1943:1:26588779:26594044:1 gene:ORUFI01G30910 transcript:ORUFI01G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQLLLLVCLLQSSPELPGVLAVIPDVLHKVHTTRSWDFLELERNGAATGAWKDAAKYGVDAIIGNVDTGVWPESASFKDDGYSVPSRWRGKCITGNDTTFKCNNKLIGAGFFNLGFLASGLLQGKPPSQAAELYTPRDYIGHGTHTLSTAGGGFVPDASVFGHGKGTAKGGSPLARVAAYKACYAEGCSSSDILAAMVTAVEDGVNVLSLSVGGPADDYLSDPIAIGAFYAVQKGVIVVCSASNSGPQPGSVTNVAPWILTVGASTMDRDFPAYVTFGGVTSSMTIKGQSLSNSTLPQGQRYAMINAKNANAANVPSENSTLCFPGSLDSDKVRGKIVVCTRGVNARVEKGLVVKQAGGVGMVLCNDAGNGEDVIADPHLIAAAHVSYSQCINLFNYLGSTDNPVGYITASDARLGVKPAPVMAAFSSRGPNPITPQILKPDITAPGVSVIAAYSEAVSPTELSFDDRRVPYNIMSGTSMSCPHVSGIVGLIKTKYPDWTPAMIKSAIMTTAITGDNDSGKIRDETGAAATPFAYGSGHVRSVQALDPGLVYDTTSADYADFLCALRPTQNPFPLPVFGDDGKPRACSQGAQYGRPEDLNYPSIAVPCLSGSATVRRRVKNVGAAPCRYAVSVTEALAGVKVTVYPPELSFESYGEEREFTVRLEVQDAAAAANYVFGSIEWSEESESDPDRKHRVRSPIVAKTTCG >ORUFI01G30920.1 pep chromosome:OR_W1943:1:26594558:26603995:1 gene:ORUFI01G30920 transcript:ORUFI01G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYQASTLIASPSYPNAIAWSTENLVAVASGHLITILNPSALEGPRELVVLRPSDPFPIGVVNREDLFEPCIMPTSLARETELCARSISWSQQGFSPNSGCLLAVCTVDGHVKLYRSPFCEFCDEWVEVADISRLLFKFYKGIEFGENDGPSSLPQEKENTEQNQQVMCIGKLQEPLLSMGTERRKRKPARFEGFVYHEDNGGVDAPKDADFLLDPISNLKKKTLKKRSVDAPKDVDFISDPSNLKKKTSEKDDVDDPKDADFSLDPISNLKKNTLKKVTRPGLDDAVRNGQGNTQNIQTPSYCNGEDKSLPLITAKQYSCREALLSSLVVAWSPVLPSPDRSSYFTGNWCILAVGCKSGSVSFWKIHKPEYYTIDIGMVTRDPMLIGVVQAHLSWVTAISWELFSSGSSKPLLLLATGCSDGSSKIWLGDIEGLNQCTCAKEVPLTLVAEVTTDSLAPVSSISLSAPAQHQDSVNLAIGRASGSLEAWSWNISGNKIQKIHACDAHDQVDNSAHCWMFNEKHLEEIPLHTDGPELKESVDLTEVSDRCYGLALAPGELMIAVVRSLDSNLLNQMYQARTQKAVVEFIWIGGQFLGIPLDNSVILSLQSAALSETNFLWWGSNIFWSLKKYENCETVLLLWDLIAALQGFKKSAPTFLETLMHKWVSEKGNDVMADLWNNLLVRSERELQERLVAFTFAAVLNRTAYLLKGAPAENSWFPVGVAQMDSWASMNDGEVRDELKFLRTRINDLGDRINSVCEYSVEEYCTYCKAPVPFESADVAMCSGSNPATPPAEAHKLSRCTASMRLCPVLQPTWHCACCGRTVDKLLPEIFFTMPTSFWDVTHGNESLDLSAPAVPFCPFCGILLQRIKPEFLLSVSPV >ORUFI01G30920.2 pep chromosome:OR_W1943:1:26594558:26603995:1 gene:ORUFI01G30920 transcript:ORUFI01G30920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYQASTLIASPSYPNAIAWSTENLVAVASGHLITILNPSALEGPRELVVLRPSDPFPIGVVNREDLFEPCIMPTSLARETELCARSISWSQQGFSPNSGCLLAVCTVDGHVKLYRSPFCEFCDEWVEVADISRLLFKFYKGIEFGENDGPSSLPQEKENTEQNQQVMCIGKLQEPLLSMGTERRKRKPARSRDLDLTMLSEMVRATLKIFRHHHTAMEKTNLSHLLRQNIAWSPVLPSPDRSSYFTGNWCILAVGCKSGSVSFWKIHKPEYYTIDIGMVTRDPMLIGVVQAHLSWVTAISWELFSSGSSKPLLLLATGCSDGSSKIWLGDIEGLNQCTCAKEVPLTLVAEVTTDSLAPVSSISLSAPAQHQDSVNLAIGRASGSLEAWSWNISGNKIQKIHACDAHDQVDNSAHCWMFNEKHLEEIPLHTDGPELKESVDLTEVSDRCYGLALAPGELMIAVVRSLDSNLLNQMYQARTQKAVVEFIWIGGQFLGIPLDNSVILSLQSAALSETNFLWWGSNIFWSLKKYENCETVLLLWDLIAALQGFKKSAPTFLETLMHKWVSEKGNDVMADLWNNLLVRSERELQERLVAFTFAAVLNRTAYLLKGAPAENSWFPVGVAQMDSWASMNDGEVRDELKFLRTRINDLGDRINSVCEYSVEEYCTYCKAPVPFESADVAMCSGSNPATPPAEAHKLSRCTASMRLCPVLQPTWHCACCGRTVDKLLPEIFFTMPTSFWDVTHGNESLDLSAPAVPFCPFCGILLQRIKPEFLLSVSPV >ORUFI01G30920.3 pep chromosome:OR_W1943:1:26594558:26603992:1 gene:ORUFI01G30920 transcript:ORUFI01G30920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYQASTLIASPSYPNAIAWSTENLVAVASGHLITILNPSALEGPRELVVLRPSDPFPIGVVNREDLFEPCIMPTSLARETELCARSISWSQQGFSPNSGCLLAVCTVDGHVKLYRSPFCEFCDEWVEVADISRLLFKFYKGIEFGENDGPSSLPQEKENTEQNQQVMCIGKLQEPLLSMGTERRKRKPARFEGFVYHEDNGGVDAPKDADFLLDPISNLKKKTLKKRSVDAPKDVDFISDPSNLKKKTSEKDDVDDPKDADFSLDPISNLKKNTLKKVTRPGLDDAVRNGQGNTQNIQTPSYCNGEDKSLPLITAKQYSCREALLSSLVVAWSPVLPSPDRSSYFTGNWCILAVGCKSGSVSFWKIHKPEYYTIDIGMVTRDPMLIGVVQAHLSWVTAISWELFSSGSSKPLLLLATGCSDGSSKIWLGDIEGLNQCTCAKEVPLTLVAEVTTDSLAPVSSISLSAPAQHQDSVNLAIGRASGSLEAWSWNISGNKIQKIHACDAHDQVDNSAHCWMFNEKHLEEIPLHTDGPELKESVDLTEVSDRCYGLALAPGELMIAVLGLKLVKSDVSGQKIHCHHNNFVNKNNRTQKAVVEFIWIGGQFLGIPLDNSVILSLQSAALSETNFLWWGSNIFWSLKKYENCETVLLLWDLIAALQGFKKSAPTFLETLMHKWVSGLFSDDPHCASIDIPSHSIHSMSKVSSRKLHLLNIVCRKVMLSDQPQYSPGAEKGNDVMADLWNNLLVRSERELQERLVAFTFAAVLNRTAYLLKGAPAENSWFPVGVAQMDSWASMNDGEVRDELKFLRTRINDLGDRINSVCEYSVEEYCTYCKAPVPFESADVAMCSGSNPATPPAEAHKLSRCTASMRLCPVLQPTWHCACCGRTVDKLLPEIFFTMPTSFWDVTHGNESLDLSAPAVPFCPFCGILLQRIKPEFLLSVSPV >ORUFI01G30920.4 pep chromosome:OR_W1943:1:26594558:26603995:1 gene:ORUFI01G30920 transcript:ORUFI01G30920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYQASTLIASPSYPNAIAWSTENLVAVASGHLITILNPSALEGPRELVVLRPSDPFPIGVVNREDLFEPCIMPTSLARETELCARSISWSQQGFSPNSGCLLAVCTVDGHVKLYRSPFCEFCDEWVEVADISRLLFKFYKGIEFGENDGPSSLPQEKENTEQNQQVMCIGKLQEPLLSMGTERRKRKPARFEGFVYHEDNGGVDAPKDADFLLDPISNLKKKTLKKRSVDAPKDVDFISDPSNLKKKTSEKDDVDDPKDADFSLDPISNLKKNTLKKVTRPGLDDAVRNGQGNTQNIQTPSYCNGEDKSLPLITAKQYSCREALLSSLVVAWSPVLPSPDRSSYFTGNWCILAVGCKSGSVSFWKIHKPEYYTIDIGMVTRDPMLIGVVQAHLSWVTAISWELFSSGSSKPLLLLATGCSDGSSKIWLGDIEGLNQCTCAKEVPLTLVAEVTTDSLAPVSSISLSAPAQHQDSVNLAIGRASGSLEAWSWNISGNKIQKIHACDAHDQVDNSAHCWMFNEKHLEEIPLHTDGPELKESVDLTEVSDRCYGLALAPGELMIAVVRSLDSNLLNQMYQARTQKAVVEFIWIGGQFLGIPLDNSVILSLQSAALSETNFLWWGSNIFWSLKKYENCETVLLLWDLIAALQGFKKSAPTFLETLMHKWVSGLFSDDPHCASIDIPSHSIHSMSKVSSRKLHLLNIVCRKVMLSDQPQYSPGAEKGNDVMADLWNNLLVRSERELQERLVAFTFAAVLNRTAYLLKGAPAENSWFPVGVAQMDSWASMNDGEVRDELKFLRTRINDLGDRINSVCEYSVEEYCTYCKAPVPFESADVAMCSGSNPATPPAEAHKLSRCTASMRLCPVLQPTWHCACCGRTVDKLLPEIFFTMPTSFWDVTHGNESLDLSAPAVPFCPFCGILLQRIKPEFLLSVSPV >ORUFI01G30920.5 pep chromosome:OR_W1943:1:26594558:26603995:1 gene:ORUFI01G30920 transcript:ORUFI01G30920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYQASTLIASPSYPNAIAWSTENLVAVASGHLITILNPSALEGPRELVVLRPSDPFPIGVVNREDLFEPCIMPTSLARETELCARSISWSQQGFSPNSGCLLAVCTVDGHVKLYRSPFCEFCDEWVEVADISRLLFKFYKGIEFGENDGPSSLPQEKENTEQNQQVMCIGKLQEPLLSMGTERRKRKPARSRDLDLTMLSEMVRATLKIFRHHHTAMEKTNLSHLLRQNIAWSPVLPSPDRSSYFTGNWCILAVGCKSGSVSFWKIHKPEYYTIDIGMVTRDPMLIGVVQAHLSWVTAISWELFSSGSSKPLLLLATGCSDGSSKIWLGDIEGLNQCTCAKEVPLTLVAEVTTDSLAPVSSISLSAPAQHQDSVNLAIGRASGSLEAWSWNISGNKIQKIHACDAHDQVDNSAHCWMFNEKHLEEIPLHTDGPELKESVDLTEVSDRCYGLALAPGELMIAVVRSLDSNLLNQMYQARTQKAVVEFIWIGGQFLGIPLDNSVILSLQSAALSETNFLWWGSNIFWSLKKYENCETVLLLWDLIAALQGFKKSAPTFLETLMHKWVSGLFSDDPHCASIDIPSHSIHSMSKVSSRKLHLLNIVCRKVMLSDQPQYSPGAEKGNDVMADLWNNLLVRSERELQERLVAFTFAAVLNRTAYLLKGAPAENSWFPVGVAQMDSWASMNDGEVRDELKFLRTRINDLGDRINSVCEYSVEEYCTYCKAPVPFESADVAMCSGSNPATPPAEAHKLSRCTASMRLCPVLQPTWHCACCGRTVDKLLPEIFFTMPTSFWDVTHGNESLDLSAPAVPFCPFCGILLQRIKPEFLLSVSPV >ORUFI01G30920.6 pep chromosome:OR_W1943:1:26594809:26603995:1 gene:ORUFI01G30920 transcript:ORUFI01G30920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYQASTLIASPSYPNAIAWSTENLVAVASGHLITILNPSALEGPRELVVLRPSDPFPIGVVNREDLFEPCIMPTSLARETELCARSISWSQQGFSPNSGCLLAVCTVDGHVKLYRSPFCEFCDEWVEVADISRLLFKFYKGIEFGENDGPSSLPQEKENTEQNQQVMCIGKLQEPLLSMGTERRKRKPARSRDLDLTMLSEMVRATLKIFRHHHTAMEKTNLSHLLRQNIAWSPVLPSPDRSSYFTGNWCILAVGCKSGSVSFWKIHKPEYYTIDIGMVTRDPMLIGVVQAHLSWVTAISWELFSSGSSKPLLLLATGCSDGSSKIWLGDIEGLNQCTCAKEVPLTLVAEVTTDSLAPVSSISLSAPAQHQDSVNLAIGRASGSLEAWSWNISGNKIQKIHACDAHDQVDNSAHCWMFNEKHLEEIPLHTDGPELKESVDLTEVSDRCYGLALAPGELMIAVVRSLDSNLLNQMYQARTQKAVVEFIWIGGQFLGIPLDNSVILSLQSAALSETNFLWWGSNIFWSLKKYENCETVLLLWDLIAALQGFKKSAPTFLETLMHKWVSEKGNDVMADLWNNLLVRSERELQERLVAFTFAAVLNRTAYLLKGAPAENSWFPVGVAQMDSWASMNDGEVRDELKFLRTRINDLGDRINSVCEYSVEEYCTYCKAPVPFESADVAMCSGSNPATPPAEAHKLSRCTASMRLCPVLQPTWHCACCGRTVDKLLPEIFFTMPTSFWDVTHGNESLDLSAPAVPFCPFCGILLQRIKPEFLLSVSPV >ORUFI01G30920.7 pep chromosome:OR_W1943:1:26594809:26603995:1 gene:ORUFI01G30920 transcript:ORUFI01G30920.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYQASTLIASPSYPNAIAWSTENLVAVASGHLITILNPSALEGPRELVVLRPSDPFPIGVVNREDLFEPCIMPTSLARETELCARSISWSQQGFSPNSGCLLAVCTVDGHVKLYRSPFCEFCDEWVEVADISRLLFKFYKGIEFGENDGPSSLPQEKENTEQNQQVMCIGKLQEPLLSMGTERRKRKPARFEGFVYHEDNGGVDAPKDADFLLDPISNLKKKTLKKRSVDAPKDVDFISDPSNLKKKTSEKDDVDDPKDADFSLDPISNLKKNTLKKVTRPGLDDAVRNGQGNTQNIQTPSYCNGEDKSLPLITAKQYSCREALLSSLVVAWSPVLPSPDRSSYFTGNWCILAVGCKSGSVSFWKIHKPEYYTIDIGMVTRDPMLIGVVQAHLSWVTAISWELFSSGSSKPLLLLATGCSDGSSKIWLGDIEGLNQCTCAKEVPLTLVAEVTTDSLAPVSSISLSAPAQHQDSVNLAIGRASGSLEAWSWNISGNKIQKIHACDAHDQVDNSAHCWMFNEKHLEEIPLHTDGPELKESVDLTEVSDRCYGLALAPGELMIAVVRSLDSNLLNQMYQARTQKAVVEFIWIGGQFLGIPLDNSVILSLQSAALSETNFLWWGSNIFWSLKKYENCETVLLLWDLIAALQGFKKSAPTFLETLMHKWVSGLFSDDPHCASIDIPSHSIHSMSKVSSRKLHLLNIVCRKVMLSDQPQYSPGAEKGNDVMADLWNNLLVRSERELQERLVAFTFAAVLNRTAYLLKGAPAENSWFPVGVAQMDSWASMNDGEVRDELKFLRTRINDLGDRINSVCEYSVEEYCTYCKAPVPFESADVAMCSGSNPATPPAEAHKLSRCTASMRLCPVLQPTWHCACCGRTVDKLLPEIFFTMPTSFWDVTHGNESLDLSAPAVPFCPFCGILLQRIKPEFLLSVSPV >ORUFI01G30920.8 pep chromosome:OR_W1943:1:26594809:26603995:1 gene:ORUFI01G30920 transcript:ORUFI01G30920.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYQASTLIASPSYPNAIAWSTENLVAVASGHLITILNPSALEGPRELVVLRPSDPFPIGVVNREDLFEPCIMPTSLARETELCARSISWSQQGFSPNSGCLLAVCTVDGHVKLYRSPFCEFCDEWVEVADISRLLFKFYKGIEFGENDGPSSLPQEKENTEQNQQVMCIGKLQEPLLSMGTERRKRKPARSRDLDLTMLSEMVRATLKIFRHHHTAMEKTNLSHLLRQNIAWSPVLPSPDRSSYFTGNWCILAVGCKSGSVSFWKIHKPEYYTIDIGMVTRDPMLIGVVQAHLSWVTAISWELFSSGSSKPLLLLATGCSDGSSKIWLGDIEGLNQCTCAKEVPLTLVAEVTTDSLAPVSSISLSAPAQHQDSVNLAIGRASGSLEAWSWNISGNKIQKIHACDAHDQVDNSAHCWMFNEKHLEEIPLHTDGPELKESVDLTEVSDRCYGLALAPGELMIAVVRSLDSNLLNQMYQARTQKAVVEFIWIGGQFLGIPLDNSVILSLQSAALSETNFLWWGSNIFWSLKKYENCETVLLLWDLIAALQGFKKSAPTFLETLMHKWVSGLFSDDPHCASIDIPSHSIHSMSKVSSRKLHLLNIVCRKVMLSDQPQYSPGAEKGNDVMADLWNNLLVRSERELQERLVAFTFAAVLNRTAYLLKGAPAENSWFPVGVAQMDSWASMNDGEVRDELKFLRTRINDLGDRINSVCEYSVEEYCTYCKAPVPFESADVAMCSGSNPATPPAEAHKLSRCTASMRLCPVLQPTWHCACCGRTVDKLLPEIFFTMPTSFWDVTHGNESLDLSAPAVPFCPFCGILLQRIKPEFLLSVSPV >ORUFI01G30930.1 pep chromosome:OR_W1943:1:26604161:26609295:1 gene:ORUFI01G30930 transcript:ORUFI01G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDSAYYDVLGVSTDASAAEIKKAYYLKAKLVHPDKNPDNPDAERRFKELGEAYQILSDPVRKDSYDKHGKEGLPQDNMIDPTAVFGMLFGSDYFEDYVGQFALASVASVEIEEESDNTEARARIQDKIKELQTEREQKLVQSLKDRLQPYVDGMQDEFGDWAGAEAQRLSQAAFGEAMLHTIGYIYARQAARELGKSKMYMGVPFIAEWVRDKGHHVKSQVNAAAGAISLIQLQEGIKKIEGDDKEGQLMKSIEEKKDAMLNSLWKINVVDIESTLSRVCQAVLRENTVSKDVLKVRARGLKKLGTIFQIFDGYLPGQSVPFYCSPGTFQRSGRNVARPALTCPSPVTRAVLTRAHVACLQGCRYRLSPPVSSYKYESASASSSSNFPSARSLVSLMAQRVTELETEEEATRRHQPMAEHATGVYGHPYPRVDQYGNPVPPVDQYGNPVPDEPAPRDTAAGYVAPPDPAVSTGDYGLAGAEAPHPHESAVMSGAAAAAVAPGGEAYTRDGGGVVPPAGEKTFAYEGTVSAAGVTGASGQLQPTTREEGHTTLGETLRRSGKSSSSSSSSSEDDGQGGRRKKKSIKEKIKEKLPGSHKQEEQKQAGHTAPAAGTGTGTGTGTHAAGKHEKKGIVEKIKEKLPGHGHH >ORUFI01G30950.1 pep chromosome:OR_W1943:1:26632325:26636771:-1 gene:ORUFI01G30950 transcript:ORUFI01G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQPPRCRRPTAAAAAVTTLPPSSPTAAGIQIRPRPSPTAAGRRRRRRQLPSANCRHPPDPAERRESGGHRRRRRQVPRRRRHRSTAAASAPSANSIPAVVAATLAAASCRPARSGRSGPDLAVTAAPPRTAPCPPEIEDVRGPAAALAAGRSGGGEAEGEEGRRDRSVLRGLDDLATARSLNGIRVADEILRLVPETAVFRTMLRCVKHWAKARGVYSNVAGFLGGIGWAILVARMCQLYPNTSPACCSRASSAALRGGSGPTRTTTASSASDATLRVITEQLAVGDDVCQEIVKADAMWVRWYGRAANLFSLKWQAKDDLIDVDRSRRQGKLRRREKYIKEMGTISVKAELKTNYPKLYGFDHCLTGNIL >ORUFI01G30960.1 pep chromosome:OR_W1943:1:26640346:26642458:1 gene:ORUFI01G30960 transcript:ORUFI01G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSSSATPGTGRVSAFTMRAVARMSRARWFIFLRRVYQYQNGPRSDLGSNPFNSPGWLALELGVIMAQMVVTTAVVATSPKERPAWPLRVWVAAYNVGNVLSLPLLYWRHRHSSSGARGGTLSDDPEMHGANDPLRNRSYLMNKARAFLELFFAMWFVMGNVWVFDARLGSFHRAPRLYALCIGLLAWNAVVYSLPFLLFLLLCCFVPAVGYALGYNMNSASVGRGASDEQLAALPQWRFKEPADAPRDRDHDDQECCICLAQYKEKEEVRQLPCTHMFHLKCVDRWLRIISSCPLCKQELS >ORUFI01G30970.1 pep chromosome:OR_W1943:1:26646069:26651178:1 gene:ORUFI01G30970 transcript:ORUFI01G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVANGDSSKAAFAEIYSRLKEEMLEDPAFEFTDESLQWIDRMLDYNVLGGKCNRGISVIDSFKMLKGTDVLNKEETFLACTLGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFKGKPYYVDLIDLFNEVEFKTASGQLLDLITTHEGEKDLTKYNLTVHRRIVQYKTAYYSFYLPVACALLLSGENLDNFGDVKNILVEMGTYFQVQDDYLDCYGDPEFIGKIGTDIEDYKCSWLVVQALERADENQKHILFENYGKPDPECVAKVKDLYKELNLEAVFHEYERESYNKLIADIEAHPNKAVQNVLKSFLHKIYKRQK >ORUFI01G30980.1 pep chromosome:OR_W1943:1:26654167:26658327:1 gene:ORUFI01G30980 transcript:ORUFI01G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKEGDSEAHSPVVYDDAGVTYMFIQHNNVFLLTASRQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVSQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQIEKHSRSRIELMVKARSQFKERSTATNVEIEVPVPSDATNPNIRTSMGSAAYAPERDAMVWKVKSFPGGKDYMCRAEFSLPSITAEEAAPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >ORUFI01G30990.1 pep chromosome:OR_W1943:1:26658997:26659665:1 gene:ORUFI01G30990 transcript:ORUFI01G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHHPLSLPSSSSLSLTFLLSEGRPTGGRRSLGRGGRGGGPTASDAAVGDEVAAATREQAAAPGSDVRGEDGRQLEETGGARRGRWPTDARRHRRDHWTLTLTWKRSPXGRPPPSPRPLDAHTDMEAVPEVTGVDPGDDEVSEDARGGGGGAVCGEATEAAGDSRRRPYGGGGALCRSWISATTPSTSLRPSGSRAAGVVEFLWRLSTTEVRVRLVEVARR >ORUFI01G31000.1 pep chromosome:OR_W1943:1:26663091:26663833:-1 gene:ORUFI01G31000 transcript:ORUFI01G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHLAALILAAVVLAASTSSGVVDGRPVHTGPYHVVIRTAAKPSRRLIGLGGNAAICQEVHYKALCGTLTTLPGVMTPQQLLDAALRVAESKAMMAEKRLADVMKSRAVKAEGTSMSSTLDTCKGAYSSLADALQKARDTIKSGGSHDDLMTELSSASTFSTDCGEAFDEFPDLTSPIPGAQRHVNRLVSNCLDLAATIKEN >ORUFI01G31010.1 pep chromosome:OR_W1943:1:26668045:26669595:1 gene:ORUFI01G31010 transcript:ORUFI01G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPVAMEVEGVEAAGGKPRFRMPVDSDLKATEFWLFSFARPHMVSFHMAWFSFFCCFVSTFAAPPLLPLIRDTLGLTATDIGNAGIASVSGAVFARLAMGTACDLVGPRLASASLILLTTPAVYCSSIIQSPSGYLLVRFFTGISLASFVSAQFWMSSMFSAPKVGLANGVAGGWGNLGGGAVQLLMPLVYEAIHKIGSTPFTAWRIAFFIPGLMQTFSAIAVLAFGQDMPGGNYGKLHKTGDMHKDSFGNVLRHALTNYRGWILALTYGYSFGVELTIDNVVHQYFYDRFDVNLQTAGLIAASFGMANIISRPGGGLLSDWLSSRYGMRGRLWGLWTVQTIGGVLCVVLGIVDFSFAASVAVMVLFSFFVQAACGLTFGIVPFVSRRSLGLISGMTGGGGNVGAVLTQYIFFHGTKYKTETGIKYMGLMIIACTLPVMLIYFPQWGGMLVGPRKGATAEEYYSREWSDHEREKGFNAASVRFAENSVREGGRSSANGGQPRHTVPVDASPAGV >ORUFI01G31020.1 pep chromosome:OR_W1943:1:26671050:26674115:1 gene:ORUFI01G31020 transcript:ORUFI01G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASPALRLRPRPRTAAPSPPRCRLSSSAYSSLPIQRVNGGRMQHLDALKGHALRRYGNHDGRFHIKRITSFAAMDKQESITSPTTDALPVEETDNSTEDSPASGSSSYFTERGNGKSGFISFQGSSYQMKSVESVPHPGKEASRLVWFVGPTILVAFLVLPSLYLRKVLSAVFEDSLLTDFLILFFTEALFYGGVAIFVLLIDKVWRPLQQVAPKSYIWSKSRFFRISSVTTMVLSLMIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARHRKSPSWPVIPIIFKIYRLHQLNRAAQLVTALTFSVRGTEATNQTLAIMNSLGALLTVLQILGVICVWSLSSFLMRFLPSSDIPDP >ORUFI01G31030.1 pep chromosome:OR_W1943:1:26681290:26682570:-1 gene:ORUFI01G31030 transcript:ORUFI01G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALEMCAGVGVRGHELKHGRQRHEEVGSEVAGDGVASLGEMDLYGDVLESVVERVPAADLAASARVSREWLRAVRAALRRRPRRLPWLVVHLHGRRRRTAAYDPHSGAWVTVPAARHDTPSHVRLVRGAGGDRVCALSLSGLAVSGDPLGKDVCVALKAPGVWRVDPVFAAVGDRVVALGGACQLALGEGEDASVVEVHESGSWTACGPMPAELRESAAATWLSVAATDQRVYLTDRSTGWASWFDPAKQQWGPTCRLRPDATVSTWGLAPGRGGAERLVLFGAKRCGRAEQAKSRVVIQAWEVDGDGLALSRGAAHDTMPGEMSERLFPRDEDGDEEDEEEMSPSIGVCGNAAGGYVYNAADPAIGAVLYELRDGVGSAVERWEWVPCAPSVEAEPLGRFILACSPVGLDELARGQPAACTTAQ >ORUFI01G31040.1 pep chromosome:OR_W1943:1:26685375:26687297:-1 gene:ORUFI01G31040 transcript:ORUFI01G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYLLDHCDVPLRFKDMHKSKVNVGFPLPSDLNVDLADWRMASRRSFRDYLRKVFEIDSASCMVVQLFGDIKEITIWGEAGFMVGEKRLALFLLQFSLPLFVTGFDNFVWTLSL >ORUFI01G31050.1 pep chromosome:OR_W1943:1:26694822:26699391:1 gene:ORUFI01G31050 transcript:ORUFI01G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLSQFMAPPSVAPCPARVLLVSTPSPPSLSRRRPAGRRCGGGDGGALLRTIRCGAATEEEDGDRRGERVEAAWEEEVAAPGRDLVTLAACLVGLLSGVSVVLFNLSVHEIRDLFWDGIPLRGASWLREESIGEIWQRVILVPVSGGIIVGVFNTLRSSIATNSNDTMSKIKGAFRPFLKAMAASFTLGTGNSLGPEGPSVEIGSSIAKGFGNVFKLEGGKKLSLVAAGSAAGIASGFNAAVAGCFFAVESVLWPTSVDSSSLSNSTPMVILSAVIASVVSEIGLGSDPAFTVPEYDFRSPTELPLYLLLGIFCGLVSTTLSRCTALSMEIVESLQTATGLPKAASPALGGLIVGLLALVYPEVLYWGFENVDILLESRPFTSGLSAAVLVQLIGVKVLATSLCRAFGLVGGYYAPSLFIGAATGMAYGKVMRFTFTGPDSLFQIPFLDVASPQAYGLVGMAATLAGVCKVPLTSVLLLFELTQDYRIVLPLLGAVGVSSWIASPQRFSRSNRSKPDSLEVKSSNFKQEKSVPSQTQGASVDTDKPITDLCKLESSLCVYDAKHENFQENLTVAEAMKTKYISVSKTTPVVEALNLMLVEKQPFVMIIESNRSLIGLVTLKDIQDFCRTAKTTRVQTEEPVQTYVCGAVKCKMWPVTPQTSLTTVEKIMDSYGVDQLPVVSEHVDRQDRGLLVGFVDKEGIAIARRM >ORUFI01G31060.1 pep chromosome:OR_W1943:1:26702472:26702783:-1 gene:ORUFI01G31060 transcript:ORUFI01G31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSETFRRSGSSGMVWEDKLQQSGELGGKAEAPAPAARAAAQRSGSSGHGGYKAGHVQPALDPPSPRVAACGFCNLFGKDKHHQARPRGGASAKSRRR >ORUFI01G31070.1 pep chromosome:OR_W1943:1:26705577:26706508:1 gene:ORUFI01G31070 transcript:ORUFI01G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPFGWAELRETAVAELEPHVRRLPGQLAQPRTRRATPSPPPRDDDGDEFDLRPPPSRVHELEEVPGEFRHVGDAVVRDDANSTRRMTVSLMAPSFLARWHANATDSRSSGQPRKTAAIWVPTFWSPWRFLAAVVSSLVDILVGSWKLAPTVHGASSSTLRLSSWQWCSRAPRRTWRAPVSCGCRRRRRRRAAAPAIGFVAGDNLTVPLRCACPSLPQVTVVVAAALDARHGMTCP >ORUFI01G31080.1 pep chromosome:OR_W1943:1:26707680:26711858:-1 gene:ORUFI01G31080 transcript:ORUFI01G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKAEEKPAAGGGGGEDWWCYQFGNKDALIVKSSKKSPLALRMVVFAMTMICGIFICTMCMKQLGSDSLSRIVKIEVAEQLCNKSAILHSEVHFVHYPQPITYSRSECKCTPVRFFAIISSQRSGSGWFETLLNSHMNVSSNGEIFSSKERRSNISSITKTLDKVYNLDWNSSASKNECTAAVGLKWMLNQGLVANHADIADYFNRRGVSAIFLFRRNLLRQLVSQLANNHDRYLKQLNGTHKAHVHTAYEASILARYKPRLNTTSLIRSLKQVDDYTRDALENLKSINHITIYYEDLIRNRTKLLDVLDFLKVPRSKLVSRHVKIHTKPLSEQIENWDEVYNALNGTHGVVSILASAPSAEAFELFDY >ORUFI01G31080.2 pep chromosome:OR_W1943:1:26708253:26711858:-1 gene:ORUFI01G31080 transcript:ORUFI01G31080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKAEEKPAAGGGGGEDWWCYQFGNKDALIVKSSKKSPLALRMVVFAMTMICGIFICTMCMKQLGSDSLSRIVKIEVAEQLCNKSAILHSEVHFVHYPQPITYSRSECKCTPVRFFAIISSQRSGSGWFETLLNSHMNVSSNGEIFSSKERRSNISSITKTLDKVYNLDWNSSASKNECTAAVGLKWMLNQGLVANHADIADYFNRRGVSAIFLFRRNLLRQLVSQLANNHDRYLKQLNGTHKAHVHTAYEASILARYKPRLNTTSLIRSLKQVDDYTRDALENLKSINHITIYYEDLIRNRTKLLDVLDFLKVPRSKLVSRHVKIHTKPLSEQIENWDEVYNALNGTQYESFLNADYRI >ORUFI01G31090.1 pep chromosome:OR_W1943:1:26712150:26719133:1 gene:ORUFI01G31090 transcript:ORUFI01G31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDELSLALETGRVLEALAPRLGSGSGSGSARLAYGGEKSKAAGRLSWLPPPLLPGRRRAALRRPARPPPPATHTGVGKG >ORUFI01G31100.1 pep chromosome:OR_W1943:1:26724524:26727302:-1 gene:ORUFI01G31100 transcript:ORUFI01G31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAAVAASAVVPPRPPPPPPRRARPLRSFTGLILTRDLAALTVARCAPSPPAPAAEAEAEAVAVDEAPPAKPRPRRYPRQYPGEAVGVAEEMRFVAMRLRNPKRTTLKMDDTGAEEEVGDGVSEDASASEEEEEEDDDDVVEEEEEGAGLEGEWMPSMEGFVKYLVDSKLVFDTVERIVAESTDVAYVYFRKSGLERSARITKDLEWFGGQGIAVPEPSTAGSTYATYLTELAESNAPAFLSHYYNIYFAHTTGGVAIGNKISKKILEGRELEFYKWDSDVELLLKDTREKLNELSKHWSRKDRNLCLKEAAKCFQHLGRIVRLIIL >ORUFI01G31110.1 pep chromosome:OR_W1943:1:26732447:26736582:1 gene:ORUFI01G31110 transcript:ORUFI01G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQGEVDGSGVPLAVLLKRELCNQKVERPDMLFGEASKSKKGEDFTFLLPKCSRRPGQAQADGEDAGGAGDDDTISVFAIFDGHNGSAAAIYTRENLLNNVLAAIPPNLTSEEWTTALPRALVAGFVKTDKEFQTKAARSGTTVTFVIIDGWVVTVASVGDSRCILESAEGSVYFLSADHRLDTNEEEVERVTASGGDVGRINIAGGAGIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPHVKQVKLSNAGGRLVIASDGVWDALRFQEALNYTRGLPAEAAASRIVKESVSSKGLRDDTTCIVVDILPPEKLSPPLKKHGKGGIKALFRRRPSDELTEDQMDRGCLEPDVVEEIYEEGSAMLAQRLKINYPTGNMFKLHDCAVCQLVMKPGEGISVHGSIPRNSRILNRQSNLFSSSLQRSCHMVSDHKTASPFPRFDSFNMSISFLLSPF >ORUFI01G31120.1 pep chromosome:OR_W1943:1:26736993:26737540:-1 gene:ORUFI01G31120 transcript:ORUFI01G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSITLKIPAMRCRAIISSPPPMTLEEFKKWFMKFDTNNDGRISGVELREAIRSKGFGFSAWWKSIVALHQADKDRNGYIDEFEIENLVTFAQKVLGIKITTWQQHLDNVQKAVKGVLAVTSVSVLAVHFDNYNEQKLKLNPFMFSGQYFFIAYW >ORUFI01G31130.1 pep chromosome:OR_W1943:1:26739924:26742479:-1 gene:ORUFI01G31130 transcript:ORUFI01G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLNTNDHGTARTRDGEETASVPVENPDSSHEMEKKLTHNLTENGQAPTGHTVPSLPDTVSKLKPAQESDDSLKDKTDLPTSTSKTEVNNISENGSTNQSTMLSDESRTKEDKMNHHENIAATTNKKAETDARPESPYRGLIDTAAPFESVREAVTKFGGIVDWKAYRSQTLERRRVMQLELEKAQQEIPQFKQDSEACEMAKLQVVEELGRTRRLVEELKHKLERAEIDVDQAKQDSELAQLRAQEMEQGIDDEASVIAQTQLAVAKERHQKAVDELKLVKEEMRSTHEKHTVLASERDIAAKRAEQAISAAKETEKRVEELTLELIAIKESLESAHAAHHEAEEHKLGAALAKEQDCLAWEKELQQAQEELQQLNMQLVSKTDAKSKIDENTHMLQILSKELAAYTENKMSEEAGVIEEDGSDEAKEISRSIKRALASTRKELEGVRGNIEKAKDETNLIRAIAESIKSEVDKEKASLVTLQQREGMASIAVSSLEAELNRTKEEIEMVYIKEAETREKMAELPKMLQQAAQEAEDAKVAAHSAQEELRKAKEEAEQTKAAAATAEIRLRAVLKEIEASKASEKLALVAAQALQESEETSSVEDSPRTITLPISEYHSLSKRVYEAEELANERVAAALAQIELAKESETRTLERLQQETKEMHKKKDALQIALQRADRAKEGKLGAEQELRKWRAELEQRRKAAKHVANPWTAPPIRSPEQKGSYKEDDAVLTEPNSPMSNSSTDDFVVDQKLRKKKTFFPQMSSILSRKAQT >ORUFI01G31140.1 pep chromosome:OR_W1943:1:26757366:26767277:1 gene:ORUFI01G31140 transcript:ORUFI01G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPGKFVSVNLNRSYGQPAPSHHGARPSRPSASSGGGGGGGGGGGMVVLSRSRGSSVSKPQPPKLSVPPPLNLPSLRKEHERFDGAAAAAGGGAASAPVRSGAPTAGWTKPAPAVEKPLPPASVPLPGGGPRPPPYGFPEKAAAAAVVLRGEDFPSLKAAVAPPPPPPAQRHKDADGVRVATPETRPPLGMRPQVTPSRAAEPLSSTGGTGTGDHVSAEKAQRNDLGPLPLVRLRYDSDWADDERDTGLTLPERDSRERGFGRSEPAVAGRDIYGGMRDPFKKEPFVKDLIASSKEGGQDAAWRSPMSSQQDRERTDGRPYSAGRGSSAQSSYRESMNGDASKDSWNTSREPGVRVYGQNGAEPYRNARVGETPGERYGNISNNWYRGNPFQNSFVSKVQPFPGNKGPLNNEPPANFGREKRLTGTPAKPLIEDGGFDSITAVNLSAIKKKKEAAKPADFHDPVRESFEAELDRILRLQEQERQRVLEEQARAREIVRKQEEERERLIREEEERQRLVEEEARHAAWLAEQERLEAAKRAEEQRIAREEEKRKAAIEEERRKEGARKKLQELEARIARRQAESNIRDGDLASCINDELLPGAVKDKDVPQSANTDDRHDFDRMGERINTSASSESSSNNRYNDTVPRVHTLRDGHSSLVDREHAHFSGRTSFQDQESAHYSPRRETFTARRGNYPKKDSYDGFATVTVRPSSRGRTNDSPWASEEYHHGRAPRWDAPRENDRFDKQSDFDTEFFSSDRFGDPAWLPSSSHEGPNRHQGEKMFHSSEDNEFPFTRPRYSMRQPRVPPPPAVARSTVGPSTQHANSSFVESGLRESSSREEHTMQTEYGRVYQEASHQHGTSAEGIVLDEQQNGDRENPILGSQSSLSVSSPPSSPPHVSHDEMDVSGDSPALPTSADGDRTVMSDIDHAASTLDAANTSRINTSSTVSHLEDDEWPSENNENRQKQDEYDEESNSYQEDEINEGDDENLDLDDEFAEGHSTHIEMEPVILGFDEGVQVEIPPNPEVELVSMKNTHSGVMEQQVGSSSVCPSDLVTEAEKALRNLALDQINALTDETNNEPSNSLVASAPGSKLHQAPSTDPIMPPASAVSGRSEVPVNLQFGLFSGPSLIPTPVPAIQIGSIQMPINLHNQINPSLSQVHPSPAPLFQFGQLRYVRPIAQNVQSVSQAMPSIHSSAPAPYILNQYGSSGLPNEINQHTHQNIPREAAQSSSIEKSVVSAANLSFMLQHSDSQKLGAPAINQMVDAEGFHNLLDRSSLGENMCRVSKPESHRNHDISLKRNYRPTSNNRESSQVNSDAKIVSGPKAPGAVPGGRGRKYGYAVKESNIRSTSSVDHSNKDSRGLQRRSRRNIRRTEFRVRENVEKNHIQDESFSHSEQNEKPYSNGTAREIPLKNPNRREGDKSFRANEAIDLSAGPSTCANYYSKTERSTHKAPSYERSHSGNKKSRAGGAIPEGDVNASSQAAVARVVRQQGIEIPVDADGFIEVRSKRQIMSVRRELREKENRSKMRIAKAPRKQHQVSLHSSSSPNLNKGTVSLAEPAKKASLDSVMAVESRIIDPAESSVALKGDKASMTPIGQPLVNAESHTNYYAKKPINSQPSSDAVNSGKLVTSLSEENNKTMPISTPFNIGTWDNSQLNQQVMPLTQTQLEEAMKPGKFEQAGSGFSLEPNNALSPTLGSEKAFPSSASPINSLLAGEKIQFGAVTSPTVLPPVSRTITSGLGPPGSSRPDMKIHRNLPGDSNSTAILFDKETSTTKEPSPNSDDVEAEAEAEAAASAVAVAAISSDEIVGSGADATAASASDNKSFGNKNLAGLASGGQSSTDEPLSVALPADLSVDTPPMSLWHPLPSPQASGPMLSQFPGAQPSHFSCFEMNTMLGGQIFAFGPSDECAGSQGQQPQRSNALPSAPLGAWPQCHSGVESFYRPPTGFAGPFISPGGIPGVQGPPHMVVYNHFAPVGQFSQMGLGFMGTTYIPGDKQPDWKQNQGPPVVGVSQSDPNNQNMVPGQVSSPSVPTPVQHLRPTSIMPIPSPLTMFDIAPFQSSTDIQMQPCWPHMPVAPLHTVPLSVPLQQHPMDGTAAAQFVHNIQVDNKASSNNRFQEPSASVVPADNSKNIPNASATQFTDDLGLVEQPASTSSNAQTVQPSFARVGMISNEVPNSAKVMGRSSNTPNVNPGIATGVSNSNGSQVASMPSKPHQSSSSSGQQYQHQVNNQDRRSRVTQKTGAVNEWQRRSGYQGRNQNSGSDKNLGTGRMKQIYVAKSSSASGHAPSG >ORUFI01G31150.1 pep chromosome:OR_W1943:1:26769543:26770404:-1 gene:ORUFI01G31150 transcript:ORUFI01G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQTNLIDRLVVQPGRSAPGATRAAKPHRRPLLSHASPPPEQPPAKPGGSKEVAKTAAAGLPFLLIPGQVVGAPMRPEHEGRSSARTARSGAVEFGSGPPFAGSGLDAQVGGEGGSRGGDGGARAAQRRRRRRRPQREGRTEEEAVAAVARGPRGGDCGARTSRQRWLRLADVHQLLLLWLVCTRKWLAAATSLIPGGLKNPSRGAPPLLWPDPVLAVGSFRRGWTRRLVQRTTVPWRRAGAGREPT >ORUFI01G31160.1 pep chromosome:OR_W1943:1:26775899:26776573:1 gene:ORUFI01G31160 transcript:ORUFI01G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSVVLRVLVAVAVVAAAGAPRLAVADSPPLQDICVADLRAATAVDGFPCKPTASVVSDDFFCDAIVQAPSTSNPFGVNSTRATVSAFPGLNTLGLSITRTDLAPGGLNPPHSHPRASELVLVLSGEVMVGFTTAANRLFSKVVREKELFVVPRGLQHFQLNVGAGNASFVAMFDSQSPGLVTPTFALFATQPAMPMEVLAKTFLMGEDEVGAIKSKFAGF >ORUFI01G31170.1 pep chromosome:OR_W1943:1:26777268:26778127:-1 gene:ORUFI01G31170 transcript:ORUFI01G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQERASYHAGETKARAEEKTGRMMGTAQEKAREAKDTASDAAGRAMGRGHGAKEATKEKAYETKDATKEKAYEAKDAASDATGRAMDKGRGAAGATRDKAYDAKDRAADTAQSAADRARDGAGQTGSYIGQTAEAAKQKAAGAAQYAKETAIAGKDKTGAVLQQAGEQVKSVAVGAKDAVMYTLGMSGDNKNNAAAGKDTSTYKPGTGSDYQ >ORUFI01G31180.1 pep chromosome:OR_W1943:1:26784421:26790360:-1 gene:ORUFI01G31180 transcript:ORUFI01G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAASLAAHHHLAGGGLLPTPRASGRNGRSGITISMRAQRKQSGDSGSGKGDGGDGRVSGRRRVWRRRKLTKEDDMLRYKLERVPFLEEKVRKVRENGKIICLDINQLMLSQENRFAFTIEVAEEANSYLEKNRHEYGLKKPILHVLSDRMNEAGFSRPEGYLYPYPIKPGSSLVILLGEVEQSYIAEADQKTQDGTSFQNGAVLQAVLLRTLYGLGGFGDTSHHFHDQMPPISRSLIFCKKFTRLNVQ >ORUFI01G31180.2 pep chromosome:OR_W1943:1:26784488:26790360:-1 gene:ORUFI01G31180 transcript:ORUFI01G31180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAASLAAHHHLAGGGLLPTPRASGRNGRSGITISMRAQRKQSGDSGSGKGDGGDGRVSGRRRVWRRRKLTKEDDMLRYKLERVPFLEEKVRKVRENGKIICLDINQLMLSQENRFAFTIEVAEEANSYLEKNRHEYGLKKPILHVLSDRMNEAGFSRPEGYLYPYPIKPGPENTRWDLFSERSSPAGSFAAYTIRFGRIWGYLASLP >ORUFI01G31190.1 pep chromosome:OR_W1943:1:26786871:26787775:1 gene:ORUFI01G31190 transcript:ORUFI01G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSRFLLTIILLILLCNASAGQTIVMKNDIAATPKLIKGHSRKILTDIQDYDYGGANSKHDPRRRPGIGGRSR >ORUFI01G31200.1 pep chromosome:OR_W1943:1:26790519:26796120:1 gene:ORUFI01G31200 transcript:ORUFI01G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMGKLRVFVVQEPVVAASCLIAGFGLSSCLNWRRGRDDHKVTGFAGAIMLRGEVVNPIHASGQSYSEECPQDCSSVVSIGHEQSVSLVLCPKLLPRLECHGGGKEDPHRVSATQRTSQETQLPQVRESGLAVKRGKRRRDWV >ORUFI01G31210.1 pep chromosome:OR_W1943:1:26806545:26807930:1 gene:ORUFI01G31210 transcript:ORUFI01G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSETDAALFAAVLGHDAAHHLATTPPHLDAPEGSPSSAELQARLHDLVERQGGAWTYGIFWQESRGAGAASGRAARAVLGWGDGHCRDGAGHGEVGAAERSVARKRVLLRLHALYGGGDEDGADYALRLDRVTGAEMYFLASMYFSFPEGSGGPGRALASGRHAWADVDPHPSGSGSAPGWYVRSSLAQSAGLRTVVFLPCKGGVLELGSVVAIRETPEVLRAIQSAMRAVPAPPEDFMRIFGKDLSPGRPSQPMGCDAPWTPRLVVQTTPVRPAKKEVVKAKPAKPPPPPEAEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPKISKMDKASLLSDAIAYIQELEARLRGDAPVPARADGPAVEVKAMQDEVVLRVTTPLDEHPISRVFHAMRESQISVVASDVAVSDDAVTHTLMVRSAGPERLTAETVLAAMSRGVSVTTPSP >ORUFI01G31220.1 pep chromosome:OR_W1943:1:26812209:26816794:-1 gene:ORUFI01G31220 transcript:ORUFI01G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGSGNPAAMVGVGNASRRRGGWSRGRVRRLGIGRGWISGHGGLRVDFDGNLYVLDSQEEGAGWDANYIPESETQEADIIGPYVADSEDVRVLDDGFFVPETQDSDGVAGLVGKEDVDVGLDDGEDGVADIGVEDNTVGVEEMTSCVGVKDRDAAMVDLHEGIDMCSSVVVEALMSVEPLVEASGVQVEDGATGLVGREDGSSGKGEEASTWEVEDQAVLVEAAAIEAAVDDLHAGVNKVYGDVEDIYRPVFLDMMKIMLPEYIQLIGAQDDDALTCMIIFLDMMQLIIIIPKCFIEVRQMKLMETGVEEE >ORUFI01G31220.2 pep chromosome:OR_W1943:1:26812209:26816794:-1 gene:ORUFI01G31220 transcript:ORUFI01G31220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGSGNPAAMVGVGNASRRRGGWSRGRVRRLGIGRGWISGHGGLRVDFDGNLYVLDSQEEGAGWDANYIPESETQEADIIGPYVADSEDVRVLDDGFFVPETQDSDGVAGLVGKEDVDVGLDDGEDGVADIGVEDNTVGVEEMTSCVGVKDRDAAMVDLHEGIDMCSSVVVEALGFGVSSSILGFALRQMKLMETGVEEE >ORUFI01G31230.1 pep chromosome:OR_W1943:1:26821418:26823604:-1 gene:ORUFI01G31230 transcript:ORUFI01G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEETQKKVESTVLEILRGSDMESLTEFKVRTAAADRLGIDLSIPDRKRFVRRVVEGYLESLSQEDEQEQQQKQAGGACEEGKDEQAEEEEEEEEEEEEERGGGTKREYDDEGDLILCRLSARRRVTLQEFKGKTLLSIREYYFKDGKELPAKGISLTVEQWEAFRDSVPAIEDAIKKLGESSD >ORUFI01G31240.1 pep chromosome:OR_W1943:1:26826153:26829455:1 gene:ORUFI01G31240 transcript:ORUFI01G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLEELISCLPPPPATTITHRKMLARRKTMEMAVVSDPAPAAVTNLNYAGATRSSPVAANIRDTPVMRDVLSHLPVKMVRELGPLFASEFWLSTVMEIDDEQLLPQNGEQVDLIERVGSVLSTQDGPFPTVRLTNLCFQPFGVQELHCIDDDESAFIILMSWFNLFNSKKVTKFIFLNRAQPTAKLLFVPENILKWWRLETLYLCQIRFKDPSGTIDFHLPNLAELGIVNCEFHHDTLMKMVAQCPKLERLSLAFLEFSTKIHFESNSLKRMVLWNYSARDSVRIVAPKLCRLILHNVGTSEPQPHDEHPDPGDHRTMVLSMNSEIDMDLEVLGYIDLNSHVPLLSEGQSCKSVRTLGVQLGFGHDNEFHTMRHLLKYFPSVENLYIQSTKLDNVTIDLTEDRIIDLFEPIEDRKIMMVVYEAFKGSDHELCLASVLLQRLPSLQKMTIFYDREISDLVINKSHSTLQAAMLGATVELNFCACPESSWTLQEALNADHLASKP >ORUFI01G31250.1 pep chromosome:OR_W1943:1:26831189:26836742:1 gene:ORUFI01G31250 transcript:ORUFI01G31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTAIARPPPMDIEDGWRRLAAGFEKLLRILDGEEMLSFSGAEYSELLQITYKLCYESPAGHAAEMYDRWDKTIRHHIVYQVLPSLQDMQGEPLLKNFVHHWENHKVLMKWLKSVCMYLRLAFTNQRSLPPIMDIALNLFKNVIEEDRAGKVSKKCSSKTQQNITEERPKLGCPVVIKSIQEEEERIQNYLKPWTEARLSKTVLLELVSRQAEWLLDDDKSGFRGILAAENDLLDDGKDFWWFIVMAIAFQQHIRDILQQAVGAAHMEKGKEPSNSIVEVLQKYEAHVINNFDNHILFRKALDENFRMICNRNIADFSDGEFFIIFLERLIEQRTCGKLDDDSVEDTLAKVVKLLPYLHSKDYLVELYRNRLLGRLSIGCNIEVETSFITKLKLVLDVSILEDMLEDYSISKELQKFFKDYMSMNPESNTLVDMDTMVLKQGHFPSQQKQHLSLPPDMLNCAEAFEKFYQEFHGQATGNRRGRTLTWIYSLGNCNIVGNFEGKSVEMIVSPMQAALLLLFNEDDRLSYNDIVAKLEIMDNDAKVMLYSLSCGKYSILKKEPSNKTIAPDDIFEFNNNFSVKTGKIKVPLHHVDRGDFRASETMEDVRRYRKQNVDCAIVRIMKDRKTLDHEKLVEECKKLCDPYFKVDDDLIQMRIDHLVAENYLARKEGCTYEYLP >ORUFI01G31270.1 pep chromosome:OR_W1943:1:26847512:26853954:1 gene:ORUFI01G31270 transcript:ORUFI01G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSKAKLSGVLHKGFKPDKCKTALRMALARIKLLRNRKEVQVRQMRREVAQLLEADQDQTARIRVEHVIREEKFMQAYDLIEVYCELMVARMSIIDSQKTCPIDLKEAITSVIFASMRCSDVTELADVRKHFTSKYGKEFAAAALEVRPDSGVSRLVIEKLSAGAPDTQTKIKTLTSIAEEHNIKWEPKAFEEKLPKQNDDLLHVTSTYPGGNIPTRGSSASNSGMPTSQPAAPSHSYMESSNSHMSTAHSYSSAEVPDNRAPYVTANSNAFPQENRRGSDAPMSPRSQHGPNSFSHDTAGGSNVSRPYSQYGATVPDTDTASRREEFNHSRERKSSVSGSNWNIEFKDATSAAQAAAESAEMASIAARAAAQLASRGNLSAEQNTGAFESPAYTHETTPRKQQAEHLMKDGQRSSNEQSSGAYDPRLISSNDARKDGGRTETSRVGSQNMPTNSLGQSPPYSPHIHSDEYDMPTDPHHSHSPDPQYSDDSSEKESNFGRPEDHQFNLPGERLSEAQLGGHNIKDTESKHATFDQENTDNYYSNYSSSHGTFTHGSSTIWDSQNDKAGPDSSAVVFDQYDSDVGEENLLDPFSSKHTEEPTVEDHKGFSSADWGQQHRNESPVNHSSSTLFSGTEANRKDVSSPHSYDNVPPTFDSDGVSSDEEMTTGMHARSLRSHSRGSDFSESRENIISGKVVHDVNENIEDHVSMSRKNYLNSSGSNAFNKERYSESSPRSDYSWVDGELDRTKIRDLEEERVPHKLEGASSLTTGENKNQLFSLGRQTSTSSADYDEGDPGLNFGRLTPGLRNKLRQPPPYIKDSGNTSLHKVPTAIEKSVHSEEDTSFGNTRNTSTGMARSSFGADYNSELHDQNQSVGASVEARSTMRRNYYGSEGDRGKLSEQSYNAPSSVMNKSSGGVNSSQDLYHEKPGAGARRGTTTKTAKSYFDSDDSEDELAQRKEVQTKWSREQIQSRRTQMTSDTKKETGVRVGVQHADETEAKSPAGSFSRFTEQRRDSPVYSRVPVPRTSPKPVRVEPPLGKGKSQEADLNTVSVTGKEENTESPAETPKESTSKTAPSHVHPKLPTDYDSFAAHFMSLRTNRR >ORUFI01G31280.1 pep chromosome:OR_W1943:1:26855218:26862611:1 gene:ORUFI01G31280 transcript:ORUFI01G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPDSLVWELVKKNNSFLVKQFGNGNAKVQFSKEPNNLYNVHSYKHSGLANKKTVTVQPASGKETAVVLSTTKTEKQNKPASLYHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSAVYRSLQVAKSGVKKKNRQAN >ORUFI01G31280.2 pep chromosome:OR_W1943:1:26856296:26862611:1 gene:ORUFI01G31280 transcript:ORUFI01G31280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPDSLVWELVKKNNSFLVKQFGNGNAKVQFSKEPNNLYNVHSYKHSGLANKKTVTVQPASGKETAVVLSTTKTEKQNKPASLYHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSAVYRSLQVAKSGVKKKNRQAN >ORUFI01G31290.1 pep chromosome:OR_W1943:1:26859570:26863505:-1 gene:ORUFI01G31290 transcript:ORUFI01G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGAGEGQGAAVDEATGFEVGIVVPKLYRGAAAGCGEVENCVARLVRELEDVGLIVERVRGVPAEFIKLSAPMGTLGRVAAEMNMKKLTYIGMELQFEWDQVGAFVRQPDGSLFSWRERFACFRHLIYSIVNKTDSDITLSFDDKEFHWTQNESLLTRLEDEGIVKLVFPLHDEIKRKQLLRSWALKWFDFTWQPIDEIYSYFGTKIAIYFSFLGMYTRWLFFPAVFGLATQLIDFGSLQWLVLPAFFFFVISWAVFFLQFWKRKNSAVLARWGINYSFSEYKTMGNELDPLSFSMADDNVQQRKFGAPKEKSIVQRNEWFGVLLRIRNNAIIVLAIICLQLPFELAYAHLYAITKTEALRYVLTAVYLAAIQYYTRIGGKVSVTLIKYENNQGEQSSADSLVYKVFGLYFMQSYIGLFYHASLHRNIMALRQVLIKRLIVLENLIENSIPYLNYSYKKYRAVHKKKHEKESPAGKSVRLSTRVEKEYLKPSYTASIGEELEDGLFDDFLELTLQFGMIMMFACAFPSIFCFAALNNVTEIRADALKLLVMLKRPAPRDAATIGAWLNIFQFLVVMAICTNCLLLVCLYDEEGKWKIEPGLAAILIMEHALFLIKFGFSHFVPEEPAWVKANRGRYVAQAQNVCSKQLLRSIAKLDAKLE >ORUFI01G31300.1 pep chromosome:OR_W1943:1:26864336:26865463:-1 gene:ORUFI01G31300 transcript:ORUFI01G31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQPGHYQQRRMVASSKAIKVGPWGGTAGSPWDDGAHRGVRSIALTYGRFLESMRVEYDRNGHPVHGEKHGGGGDGRTSRTAEVKLDYPYEFLIGVGGRCGPVAHGGSTVVRSLTFRTSTGAVHGPFGDASGDGVPFEYPMEGGVVVGFSGRSGWWHLDAVGLHVAALRPETLCDVVQERGAMAYRSFVYGNGGSSSGAHQLQQKRKPFEWCYK >ORUFI01G31310.1 pep chromosome:OR_W1943:1:26867984:26868175:1 gene:ORUFI01G31310 transcript:ORUFI01G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKMFRAAVVVVAAAVSCLAGVALAADGPAPSPTSGAAAVSSSVVAAVLCPAVALLFANLRY >ORUFI01G31320.1 pep chromosome:OR_W1943:1:26868997:26875780:-1 gene:ORUFI01G31320 transcript:ORUFI01G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARASAMGAAPPPHLRSHDLVVVVAVAAAALCLACRGASAGGGGGVDVLDRARRPEFAAWMAGVRWAIHERPELAFEEIETSRLVRAELDAMGVAYRHPVAGTGVVATVGTGRPPFVALRADMDALPMQEEVQWEHKSKVAMKMHACGHDAHTTMLLGAARILQERRHELQLKASAGTVVLLFQPGEEVGTGARRMVEAGAVDNVEAIFGFHVSVELPTGVVGSRPGPLLAGCGFFEAVITGKGGHAAHPHASVDPILAASTVVLALQGLVSREADPLEAQVVTVTRFLAGDALNVIPESITIGGTFRVFSNEGFLRLKRRIEEVIVAQSAVHRCAAAVDFHAGGRPLLPPTINSAALHSHFQAVAAETLGASAAVLGAMEPCMGSEDFAVFSEAVPASHFYFVGVRNEAEGLVHLAHSPHFRVDDAALPYGAALHASLAMRYLDERRREGGSHPHEEL >ORUFI01G31320.2 pep chromosome:OR_W1943:1:26868997:26875780:-1 gene:ORUFI01G31320 transcript:ORUFI01G31320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARARASAMGAAPPPHLRSHDLVVVVAVAAAALCLACRGASAGGGGGVDVLDRARRPEFAAWMAGVRWAIHERPELAFEEIETSRLVRAELDAMGVAYRHPVAGTGVVATVGTGRPPFVALRADMDALPMQEEVQWEHKSKVAMKMHACGHDAHTTMLLGAARILQERRHELQGTVVLLFQPGEEVGTGARRMVEAGAVDNVEAIFGFHVSVELPTGVVGSRPGPLLAGCGFFEAVITGKGGHAAHPHASVDPILAASTVVLALQGLVSREADPLEAQVVTVTRFLAGDALNVIPESITIGGTFRVFSNEGFLRLKRRIEEVIVAQSAVHRCAAAVDFHAGGRPLLPPTINSAALHSHFQAVAAETLGASAAVLGAMEPCMGSEDFAVFSEAVPASHFYFVGVRNEAEGLVHLAHSPHFRVDDAALPYGAALHASLAMRYLDERRREGGSHPHEEL >ORUFI01G31330.1 pep chromosome:OR_W1943:1:26885272:26886050:1 gene:ORUFI01G31330 transcript:ORUFI01G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVRPGGRANGDEHRVARTSSAGGATPSRRFPSLARDGGGVLQDSARTGNLTRLRGGEGKPLKPMSGFAFGRDGGCRLFPRKDYD >ORUFI01G31340.1 pep chromosome:OR_W1943:1:26889095:26891789:1 gene:ORUFI01G31340 transcript:ORUFI01G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCEVSASLFRKCTTASALDGEKKKQKLSEIQSGVEEAESLIRKMDLEARSLQPSVKAGLLAKLREYKSDLNNLKSELKRISAPNARQATREELLESGLADTLAASTDQRGRLMMTTERLNQSNDKIKESRRTILETEELGVSILQDLHQQRQSLLHAHTTVNMA >ORUFI01G31350.1 pep chromosome:OR_W1943:1:26900433:26901614:-1 gene:ORUFI01G31350 transcript:ORUFI01G31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFGWAGGGQLMHHDDIYLPRSVGCGRPFELDDAFLGACFGAQLQCDGGVGGGDGGGCLQGTSGFGAVAGDPLGLLCSGDVFASVAEGAGGAHDDGLLDAALAFSRNQLGGAACDGSDGGAVSNGAMLSSYSGTTGGNISSGESNNYSGGGGGYDAEVVSPTSTMSAATQSLHPKRKLYDDHHHPAGIAAAAAAPPLAPCPRPTTGAVAAKRRASTSATSITFGHQPHHHHAGATTAGYEPDMEAMAQVKEMIYRAAAMRPVHLGTEAAADKPRRKNVRISSDPQTVAARLRRERVSDRLRVLQKLVPGGNKMDTASMLDEAASYLKFLKSQVQKLETLGTTTTTSKLPQQYYSGNINSSNNHHGFLGFAANNNTISAGYANSNAGNATKLL >ORUFI01G31360.1 pep chromosome:OR_W1943:1:26901663:26902997:-1 gene:ORUFI01G31360 transcript:ORUFI01G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRTIISLIPDTSSINFGPLEAPAGRRLAVVEGALRPAELTLSLISSRAPIRPAKRRSCLPLFHSGLWGLAISHPVRGFVASSYVENP >ORUFI01G31370.1 pep chromosome:OR_W1943:1:26922601:26928130:1 gene:ORUFI01G31370 transcript:ORUFI01G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEEEALRRGVLKHGPGKWRTIQKDPEFSPVLSSRSNIDLKDKWRNLSFSASGLGSRDKLKVPRIKGPSSSTSPSSQTPLLVLPPNKVAEASPSADPEKSSQDQRHEVQANFRRLLTAKLRRLIAAKKIEKIDRSYRITESYAAKVSQANKSPSPKKDPAKPLKASQNLGSFAGTSPALEAAAAAAMKVADAEAKSHLANEHMTEAERIFKLAEETESLVTLATEIYERCSRGEILTIMQVAQSNFEFQSVSGNGSGTGSTVLA >ORUFI01G31380.1 pep chromosome:OR_W1943:1:26928882:26930195:1 gene:ORUFI01G31380 transcript:ORUFI01G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNNNDAAAGASASATTSEPAPEDTSIEALARRVQEHMTLASNPTARRHKFWETQPVGQFRDAADSSLPDGAIEPPTPLSEVRADPYPLPAAFEWYTCDLDDDALLTDLYALLAHNYVEDDENMFRFNYSPAFLRWALRPPSFFRAWHIGVRARESKKLVAFISGVPARIRARDDVVRMAEINFLCVHKKLRSKRLAPVLIREVTRRVHQENIWQAAYTAGVVLPTPITTCRYWHRSLNPKKLIDVGFSRLGPRMTMSRTVRLYKLPEAPLTPGFRQMELRDVAAVTRLLRAYLAKFVVAPDFDEMDVEHWLLPREDVVDSYLVESPETHEVTDFCSFYTLPSSVLNNANYATLKAAYSYYNVSTKTPLQQLMNDALIVAKQKNYDVFNALDVMENESFLKELKFGPGDGQLHYYLYNYRIRNGIKPSELGLVLL >ORUFI01G31390.1 pep chromosome:OR_W1943:1:26931683:26936657:1 gene:ORUFI01G31390 transcript:ORUFI01G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESVALTLAGAAGNNVGKVLQKKGTHILPPLSFKLKVIRAYALNRLWISGFLMDMCGAALMLTALSQAPVSVVQPIAGCGLAILCVFSHFYLKESMNGLDWVAITLAGLGTIGVGVGGEEQKVDKIPLFNIPWLVLSIVILFVGTNVLLNTWLHIYKRQRREQELTGPEVIEEIIYGLESGILFGISSVISKTGFVMSEMGFPKIVVPAAISCSVGCSAVGFVYQTRGLKHGRAIVVSTCTSVASIVSGVVAGMIALDEHLPTAPTGRFFLLLGWFFIITGVILLVSSTRIIARLPRSMQKFLKSNVERTHSIRRPSSARGKDPIPSTTIHASTLHLLTSPSKEKA >ORUFI01G31390.2 pep chromosome:OR_W1943:1:26931683:26936657:1 gene:ORUFI01G31390 transcript:ORUFI01G31390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWESVALTLAGAAGNNVGKVLQKKGTHILPPLSFKLKVIRAYALNRLWISGFLMDMCGAALMLTALSQAPVSVVQPIAGCGLAILCVFSHFYLKESMNGLDWVAITLAGLGTIGVGVGGEEQKVDKIPLFNIPWLVLSIVILFVLLNTWLHIYKRQRREQELTGPEVIEEIIYGLESGILFGISSVISKTGFVMSEMGFPKIVVPAAISCSVGCSAVGFVYQTRGLKHGRAIVVSTCTSVASIVSGVVAGMIALDEHLPTAPTGRFFLLLGWFFIITGVILLVSSTRIIARLPRSMQKFLKSNVERTHSIRRPSSARGKDPIPSTTIHASTLHLLTSPSKEKA >ORUFI01G31400.1 pep chromosome:OR_W1943:1:26939976:26945170:1 gene:ORUFI01G31400 transcript:ORUFI01G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKIYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPTEFASYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIASAPPRAVGHGAGPSGLAPPALQNDRQSGVDEGRTSGWSSMDRRRAPPPIASVGTLAKQKAPVGNDASFSKEPVISASNFLGRSSGSSRRPAVSSSRDVMPTDTSEPSRTRATDASPGAFRRTSGPQKSSPVNSAEPKHSSSARHSSNVKNYESALKGIEGLNFDGDERVQY >ORUFI01G31410.1 pep chromosome:OR_W1943:1:26946176:26948289:-1 gene:ORUFI01G31410 transcript:ORUFI01G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSAAAAAVAAPRGRFGRICVFCGSNAGNRAVFGDAALQLGQELVSRGIELVYGGGSVGLMGLIAQTVLDGGCGVLGVIPKALMPTEISGASVGEVKIVSDMHERKAEMARQSDAFIALPGGYGTMEELLEMITWSQLGIHDKPVGLLNVDGYYDPLLALFDKGAAEGFIKADCRQIIVSAPTAHELLRKMEQYTRSHQEVAPRTSWEMSELGYGKTPEES >ORUFI01G31410.2 pep chromosome:OR_W1943:1:26946176:26948289:-1 gene:ORUFI01G31410 transcript:ORUFI01G31410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSAAAAAVAAPRGRFGRICVFCGSNAGNRAVFGDAALQLGQELVSRGIELVYGGGSVGLMGLIAQTVLDGGCGVLGLEISALPCSSSPMAQVIPKALMPTEISGASVGEVKIVSDMHERKAEMARQSDAFIALPGGYGTMEELLEMITWSQLGIHDKPVGLLNVDGYYDPLLALFDKGAAEGFIKADCRQIIVSAPTAHELLRKMEQYTRSHQEVAPRTSWEMSELGYGKTPEES >ORUFI01G31420.1 pep chromosome:OR_W1943:1:26951272:26955885:1 gene:ORUFI01G31420 transcript:ORUFI01G31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKAKQYANVLDKPLSRGRQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIGVLENAGFPAVVTAHFVPIEGQQRPRTTILIKFAEEVLQREARFG >ORUFI01G31430.1 pep chromosome:OR_W1943:1:26956949:26959063:-1 gene:ORUFI01G31430 transcript:ORUFI01G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWIRTLVGLRPAAEREKERGGGGGKGRKWSRLWRSSSSQRGGGNASASEVYSETSSSADALSSVVAAVVRAPPRDFRLIRQEWAAVRIQTAFRAFLARRALRALRGIVRLQALVRGRRVRKQLAVTLKCMQALVRVQARARDRRARISADGLDSQDMLDERGGRVDHVKEAEAGWCDSQGTADDVRSKIHMRHEGAIKRERARTYAQSHQRCSNHGGRPSSPAVSLKHHGNGATRSNHSWSYLEGWMATKPWESRLMEQTHTENSTNSRCSESVEEVSVGGPKLSDASSVKIRRNNVTTRVAAKPPSMISATSSDFVCDESSPSTSSVTPLSANNSLATERRSDCGQVGGPSYMSLTKSAKARLSGYGSHKPPLQRQRSGDLLHHNNRMAFSSIDVQSTAGSEVSVTSKRLNSLALKGRATRSLDKENERRPSSLL >ORUFI01G31440.1 pep chromosome:OR_W1943:1:26964266:26964637:1 gene:ORUFI01G31440 transcript:ORUFI01G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGRAAMTEAAVPTLSMATCVKAFDDSNGPRERIRRWRRPLRLDSTVVAVLAAGFSGGVGGVTTTATRGDDSAMVAVLLNAGRYAAATTLTGGAVMAVALPAVVPTREGERIAGEREEAVA >ORUFI01G31450.1 pep chromosome:OR_W1943:1:26968322:26972839:1 gene:ORUFI01G31450 transcript:ORUFI01G31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSQGSAAVAAAGVDLCVLDLVPVFAKEMIAGGVAGAFSKTAIAPLERLKILLQTRTNEFSSLGVLKSLKKLKQHDGILGFYKGNGASVLRIVPYAALHYMAYERYRCWILNNCPSLGTGPLVDLLAGSASGGTAVLCTYPLDLARTKLAFQVNSSDQISSGLKRTNFQPKYGGIKDVFRGVYSEGGVRALYRGVGPTLMGILPYAGLKFYIYEGLKAHVPEDYKNSVTLKLSCGAAAGLFGQTLTYPLDVVRRQMQVQSQQYHDKFGGPQIRGTFQGLMIIKQTQGWRQLFAGLSLNYIKVVPSVAIGFTAYDTMKSLLKIPPREKKMSAHGSN >ORUFI01G31470.1 pep chromosome:OR_W1943:1:26985262:26985555:-1 gene:ORUFI01G31470 transcript:ORUFI01G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAASVALACAVVVAAMVLPEAEAGQNCICECVKLCMRTRIPSIEAQCSGKCRETACVRSCEEACARKGFPALPGEGIGACELEPLTPDEAHMLH >ORUFI01G31480.1 pep chromosome:OR_W1943:1:26988796:26992615:1 gene:ORUFI01G31480 transcript:ORUFI01G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRAQPISVHFLSLSLSLSLPPSRSNPSSLSSLHSSVHLSLSLLHAQTPLRRGEAKGSPMAASSGDDAPRPNPLPSALVSNLQSVLAARRPPPPAAEEAGAEAPAPEAAESSGAAPVADEGPAKPAVLLTCAGGIRAPGLAALVDSLVAGGRCDVHVCAPESDKPACGYSITIRETITATSVDFKGAKAFEISGTPVDCVSLALSGRLFSWSAPALVISGINAGANCGYEMFHSSAIAAAREALLYDVPSIAISLNWKKDESKDSDFKDAAEVCLPLIHAALEGVEKGTFLRGCLLNIGVPSSPTTNKGFKLTKQSIYRPAQSWEGVSTSRPTPATHFMGMHQSLGIQLAQLGKDASAAGAARRINAQRKIVEVESVASTGKAEAREVVKKLFRAEFTEKQHECLDEDIDLRALENGFISVTPLNIHGNVAPETGAPASDWLSVAVGLDKAKEDSVVTAEEQDAPAVAEEKEAPSAT >ORUFI01G31490.1 pep chromosome:OR_W1943:1:26993336:27001681:-1 gene:ORUFI01G31490 transcript:ORUFI01G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGGGRAGGAWIGGCVLALAATLVVCVLVIRGAGGLKQYHAPAFARKILLSITSGHPQDNLNIVLHPSQLQTPRLQSLGLNVKPPAATSRRVNVQQELYAPSPTISHRGLAIPPLPTTSPPVFPPPIRSYPPLPANKPYPNRPAVSPASIIHPTNHGKAHGVPIAAHSKERHHHSMLVNNTHGNTHAGPVVAPPKGRHHHSLPVNNTRVKGPAYSPSNSPSIHRKHDIPVAAPPKQHSSNLPPSHHRPHKGLPPSEGNARGNPAYAPRHPHEYHSPSNSPEPGLPPVNPPDSHAFKKPKSLAPAPQSFPPPPPNCMALNCQDPLTNSLPGTTCLCVWPIKVELRLGIALYTFFALVSELAQDIASGVLMKQSQVRVMGANAATEDPEKTVVLIDLVPLGEKFDKATALLVFERFWHKQVNINSMHFGNYDVLYVTYQGLPPSPPTAPRMNNGLSNVNDPRLHPLAVDVGNHRETKSRGIIVIIVLSSVFAFILCSGAALVICFKIRNRNHLTEESPMPPKPAGPGSAVVGSRLGSRPISASPSFSSSIVTYKGTAKTFSLIEMERATQRFDNSRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQVTREFLAELEMLSRLHHRNLVKLIGICTEEHIRCLVYELVPNGSVESHLHGSDKGTAPLDWDARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAIGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDILRPPGQENLVAWACPFLTSRDGLETIIDPSLGNSILFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCDEGSEFNESRSFSQDLHIQDSGIISRASLDVDVEPVVSAELFNASAHYDTLDASGSFRRYSSSGPLRVGRTGHNRERGLSTGSSSEHCGTQRFRIDSE >ORUFI01G31490.2 pep chromosome:OR_W1943:1:26993336:27001681:-1 gene:ORUFI01G31490 transcript:ORUFI01G31490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGGGRAGGAWIGGCVLALAATLVVCVLVIRGAGGLKQYHAPAFARKILLSITSGHPQDNLNIVLHPSQLQTPRLQSLGLNVKPPAATSRRVNVQQELYAPSPTISHRGLAIPPLPTTSPPVFPPPIRSYPPLPANKPYPNRPAVSPASIIHPTNHGKAHGVPIAAHSKERHHHSMLVNNTHGNTHAGPVVAPPKGRHHHSLPVNNTRVKGPAYSPSNSPSIHRKHDIPVAAPPKQHSSNLPPSHHRPHKGSFPVISPTPHKADNASATKHGRSGLHHSPAPAPVGLPPSEGNARGNPAYAPRHPHEYHSPSNSPEPGLPPVNPPDSHAFKKPKSLAPAPQSFPPPPPNCMALNCQDPLTNSLPGTTCLCVWPIKVELRLGIALYTFFALVSELAQDIASGVLMKQSQVRVMGANAATEDPEKTVVLIDLVPLGEKFDKATALLVFERFWHKQVNINSMHFGNYDVLYVTYQGLPPSPPTAPRMNNGLSNVNDPRLHPLAVDVGNHRETKSRGIIVIIVLSSVFAFILCSGAALVICFKIRNRNHLTEESPMPPKPAGPGSAVVGSRLGSRPISASPSFSSSIVTYKGTAKTFSLIEMERATQRFDNSRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQVTREFLAELEMLSRLHHRNLVKLIGICTEEHIRCLVYELVPNGSVESHLHGSDKGTAPLDWDARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAIGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDILRPPGQENLVAWACPFLTSRDGLETIIDPSLGNSILFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCDEGSEFNESRSFSQDLHIQDSGIISRASLDVDVEPVVSAELFNASAHYDTLDASGSFRRYSSSGPLRVGRTGHNRERGLSTGSSSEHCGTQRFRIDSE >ORUFI01G31490.3 pep chromosome:OR_W1943:1:26993336:27001681:-1 gene:ORUFI01G31490 transcript:ORUFI01G31490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGGGRAGGAWIGGCVLALAATLVVCVLVIRGAGGLKQYHAPAFARKILLSITSGHPQDNLNIVLHPSQLQTPRLQSLGLNVKPPAATSRRVNVQQELYAPSPTISHRGPAVSPASIIHPTNHGKAHGVPIAAHSKERHHHSMLVNNTHGNTHAGPVVAPPKGRHHHSLPVNNTRVKGPAYSPSNSPSIHRKHDIPVAAPPKQHSSNLPPSHHRPHKADNASATKHGRSGLHHSPAPAPVGLPPSEGNARGNPAYAPRHPHEYHSPSNSPEPGLPPVNPPDSHAFKKPKSLAPAPQSFPPPPPNCMALNCQDPLTNSLPGTTCLCVWPIKVELRLGIALYTFFALVSELAQDIASGVLMKQSQVRVMGANAATEDPEKTVVLIDLVPLGEKFDKATALLVFERFWHKQVNINSMHFGNYDVLYVTYQGLPPSPPTAPRMNNGLSNVNDPRLHPLAVDVGNHRETKSRGIIVIIVLSSVFAFILCSGAALVICFKIRNRNHLTEESPMPPKPAGPGSAVVGSRLGSRPISASPSFSSSIVTYKGTAKTFSLIEMERATQRFDNSRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQVTREFLAELEMLSRLHHRNLVKLIGICTEEHIRCLVYELVPNGSVESHLHGSDKGTAPLDWDARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAIGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDILRPPGQENLVAWACPFLTSRDGLETIIDPSLGNSILFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCDEGSEFNESRSFSQDLHIQDSGIISRASLDVDVEPVVSAELFNASAHYDTLDASGSFRRYSSSGPLRVGRTGHNRERGLSTGSSSEHCGTQRFRIDSE >ORUFI01G31490.4 pep chromosome:OR_W1943:1:26993336:27001681:-1 gene:ORUFI01G31490 transcript:ORUFI01G31490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGGGRAGGAWIGGCVLALAATLVVCVLVIRGAGGLKQYHAPAFARKILLSITSGHPQDNLNIVLHPSQLQTPRLQSLGLNVKPPAATSRRVNVQQELYAPSPTISHRGPAVSPASIIHPTNHGKAHGVPIAAHSKERHHHSMLVNNTHGNTHAGPVVAPPKGRHHHSLPVNNTRVKGPAYSPSNSPSIHRKHDIPVAAPPKQHSSNLPPSHHRPHKGSFPVISPTPHKADNASATKHGRSGLHHSPAPAPVGLPPSEGNARGNPAYAPRHPHEYHSPSNSPEPGLPPVNPPDSHAFKKPKSLAPAPQSFPPPPPNCMALNCQDPLTNSLPGTTCLCVWPIKVELRLGIALYTFFALVSELAQDIASGVLMKQSQVRVMGANAATEDPEKTVVLIDLVPLGEKFDKATALLVFERFWHKQVNINSMHFGNYDVLYVTYQGLPPSPPTAPRMNNGLSNVNDPRLHPLAVDVGNHRETKSRGIIVIIVLSSVFAFILCSGAALVICFKIRNRNHLTEESPMPPKPAGPGSAVVGSRLGSRPISASPSFSSSIVTYKGTAKTFSLIEMERATQRFDNSRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQVTREFLAELEMLSRLHHRNLVKLIGICTEEHIRCLVYELVPNGSVESHLHGSDKGTAPLDWDARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAIGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDILRPPGQENLVAWACPFLTSRDGLETIIDPSLGNSILFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCDEGSEFNESRSFSQDLHIQDSGIISRASLDVDVEPVVSAELFNASAHYDTLDASGSFRRYSSSGPLRVGRTGHNRERGLSTGSSSEHCGTQRFRIDSE >ORUFI01G31490.5 pep chromosome:OR_W1943:1:26997126:27001681:-1 gene:ORUFI01G31490 transcript:ORUFI01G31490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGGGRAGGAWIGGCVLALAATLVVCVLVIRGAGGLKQYHAPAFARKILLSITSGHPQDNLNIVLHPSQLQTPRLQSLGLNVKPPAATSRRVNVQQELYAPSPTISHRGLAIPPLPTTSPPVFPPPIRSYPPLPANKPYPNRPAVSPASIIHPTNHGKAHGVPIAAHSKERHHHSMLVNNTHGNTHAGPVVAPPKGRHHHSLPDLPILLQILPVSIENMTFQLLHLQSNIPAIYHLHIIGPTKACLHLKEMHEEILRMHHVIPMNITRLQILQNLVYHL >ORUFI01G31490.6 pep chromosome:OR_W1943:1:26993336:26996926:-1 gene:ORUFI01G31490 transcript:ORUFI01G31490.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALNCQDPLTNSLPGTTCLCVWPIKVELRLGIALYTFFALVSELAQDIASGVLMKQSQVRVMGANAATEDPEKTVVLIDLVPLGEKFDKATALLVFERFWHKQVNINSMHFGNYDVLYVTYQGLPPSPPTAPRMNNGLSNVNDPRLHPLAVDVGNHRETKSRGIIVIIVLSSVFAFILCSGAALVICFKIRNRNHLTEESPMPPKPAGPGSAVVGSRLGSRPISASPSFSSSIVTYKGTAKTFSLIEMERATQRFDNSRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQVTREFLAELEMLSRLHHRNLVKLIGICTEEHIRCLVYELVPNGSVESHLHGSDKGTAPLDWDARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAIGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDILRPPGQENLVAWACPFLTSRDGLETIIDPSLGNSILFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCDEGSEFNESRSFSQDLHIQDSGIISRASLDVDVEPVVSAELFNASAHYDTLDASGSFRRYSSSGPLRVGRTGHNRERGLSTGSSSEHCGTQRFRIDSE >ORUFI01G31500.1 pep chromosome:OR_W1943:1:27011127:27017264:1 gene:ORUFI01G31500 transcript:ORUFI01G31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSALAFGHKRGGISEREPRARVQSKRSSYDRESVTARRGSEAGAGDGGGGGRQRAAKGALEMKEKGSRAAVDERYAQWKSLIPVLYDWFANHNLVWPSLSCRLRRLPTRIVSTDGTVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIIATHTDSPDVLIWDVEAQPNRQAQLAQMESRPDLVPPDSRPDLILRGHKDIAEFALAMCPAEPYVLSGGKDKSVVWWSIQDHISALGDSSKTESSPGASGSKGKTANDKDSPKVDPRGIFLGHDSTVEDVQFCPSSAQEFCSVGDDSCLILWDARSGTGPAVKVEKAHGGDVHCVDWNLHDVNYILTGSADNSVRMWDRRNLGSGGAGIPVHKFEGHKAAWSPDKASVFGSSAEDGFLNVWDHEKVGNKKNPNAPAGLFFQHAGHRDKIVDFHWNSSDPWTIVSVSDDGESTGGGGTLQIWRMSDLIYRPEDEVLAELENFKTHLASCAPRS >ORUFI01G31510.1 pep chromosome:OR_W1943:1:27018377:27019180:1 gene:ORUFI01G31510 transcript:ORUFI01G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAADATAWPPGWSLVRGYFSPATLFLLLNVVIGTIALTSRASHRRRQHHHDEHYKAQHHHDHHDEPQRCHDQYAPPPPAPLERTSSVMERLRSFGLYRFRSGDFPPEYNLSAAGANAICDESEKQQAQYTRSRSEPAARPAPPPVEKRGKAENAAAAGAARAKVAVKKSSSSEVRKLERAPAQAQRQVLQVQRAQPPPPRAPAPAPARAVKSAAREEVETAQVGLATASSVDARADDFINKFREQLQLQRLNSLLNYNEMLNRGT >ORUFI01G31520.1 pep chromosome:OR_W1943:1:27023411:27024676:-1 gene:ORUFI01G31520 transcript:ORUFI01G31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKPRVVVAARSAGGGGKELEEVDEALLAMHINRERTDTSADDLGDLDLTAEGEYRDFPGEHVTIPGGYSRVVERLAAALPPGTVRLGLRLRRLKWGGTPVRLHFADGAPPLTADHVILTVSLGVLKASLGNKDTAGVGAAAIAFDPPLPPFKREAVARLGFGVVNKLFMEVEAVAPSEPEEVAGVQPAAAGFPFLHMAFRGHVSKIPWWMRGTESICPVHAGSTVALAWFAGREAAHLESLPDDDVIRGAHATLDSFLPAAPRWRVRRIKRSGWATDPLFLGSYSYVAVGSSGDDLDRMAEPLPRGPDAAADERPPSPRLLFAGEATHRTHYSTTHAAYLSGVREANRLLQHYRGGANHTT >ORUFI01G31530.1 pep chromosome:OR_W1943:1:27037385:27038919:-1 gene:ORUFI01G31530 transcript:ORUFI01G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSQGVLLSSNVGAGSRPWPELLGSAHWDGLLDPLDLTLRRLILLCGDLCQVTYDSFNSDSHSRYCGSCRFSRATLLDRTQFPAAGDLSVAAYLYATSDATAFPGSMVYSMSREAWSKESNWIGYVAVSNDAAAAASGQRVIYVAWRGTIRSLEWVDVLKPDLVDHDDILPEGHPGRGRSRVMKGWYLIYSSTDERSPFSKYSARDQMLAAVRELVARYRNESLSVVCTGHSLGASLATLCAFDIVVNGVSKVGDGAHIPVTAVVFGSPQIGNPEFKKQFEEQPNLRALHVRNMPDLIPLYPSGLLGYANVGKTLQVDSKKSPYVKRDTSPGDYHNLQGILHTVAGWDGKDGEFKLQVKRSVALVNKSSGFLKDSNLVPESWWVERNKGMVLGQNGEWQLEGPAEENLPVPPVVTGKIIDDDVAAVATSSSAKEGFTAMWLIIKGETSLYKKKKL >ORUFI01G31540.1 pep chromosome:OR_W1943:1:27040440:27046174:1 gene:ORUFI01G31540 transcript:ORUFI01G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIGDETTTQKQKAANLTGNPDRLIRWGWGDETCAISPLLSSARICGTHASLLLLLLTPSGPDPIAPPLAAGICLRRGPAPPPPPDRDLALQTQLPVRGDRASSTISNMVVANGNVDTEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRVFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKCLEKSDNILESSEDENFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWSLLRIFPRELLHRIPAKTLDQYYSRDASH >ORUFI01G31540.2 pep chromosome:OR_W1943:1:27040440:27046174:1 gene:ORUFI01G31540 transcript:ORUFI01G31540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIGDETTTQKQKAANLTGNPDRLIRWGWGDETCAISPLLSSARICEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRVFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKCLEKSDNILESSEDENFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWSLLRIFPRELLHRIPAKTLDQYYSRDASH >ORUFI01G31550.1 pep chromosome:OR_W1943:1:27048503:27052502:1 gene:ORUFI01G31550 transcript:ORUFI01G31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSVVRSKRRLALPYLHRLLHSGPATPSPNRFLRHASPVPRDPDHSPFLRLPDARVSTLPTGLRVVTQAYPAATRMASVGVWVDAGSRFELPGTNGTAHFLEHMAFKGTTRRPTANALEVEIENMGARLNAYTSREQTTYFADVQGRDVPIALDVLSDILQYPCFPANALQRERGVILREMEEVQGMMDEVIFDHLHAAAFQGHPLGDTILGPVENIKSISKKDLEQYITTHYTCPRMVVSAAGAVNHDEVVDQVREFFTGFSTDPTTVDQLVEANPAIFTGSEVRVEQPEMPLTHFAIAFKGSSWANPSSIPLMVIQSILGTWNRSVGVGNCSGSALARGISNGNLAESMIAFNTNYRDTGLFGICTIAQPDSLYDLSQLIMQEFRRLAFEVSETEVARARNQLKSALLLHIDGSTAVSENNGRQMLTYGRVMPFLELFARIDAVDRDTVMETAKDFIIDKDIALAAVGPLTNLPELSWFRSHTYSDDEFSSRTFLQDAQNN >ORUFI01G31560.1 pep chromosome:OR_W1943:1:27056253:27058035:1 gene:ORUFI01G31560 transcript:ORUFI01G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVLFLMLSAFPASCLAVAAPISPDAVPLLAFKSACADPAAALVSWTEASDPCSDRWRGITCRKSSPPTSPSPSSSPPRVRRVVLEGLRLGGDAGAVAALAGLPMLSFLSLKNNSFTGSLGDVDFSTLAPHLKLLYLSGNGFSGRFPESVLRLRHLRRLDLSGNRLTGTIPPEIGHRLPSLLTLHLARNSLVGPLPASLGAMSRLAKLNVSGNHLQGRIPKRLAAVFPASSFAGNPELCGAPLRRRCNGQHHMVYGGGGGGGADTSHXDDVIVDDAGVVEEGKAGEVVVVKRMRRREGATREDERRKRELAREMGTWRHANVVSLRAFYASADELLLVFDYVPNGSLHSLLHENRGPARVPLEWQTRLKLAQDAAQGLAYLHGVSGGKLAHRHLTSSNILVDAGGNTRVSDFALLQLLVPAPAADEAAQKQDVHAFGVVLLEILTGRSPEDGNVDLALWARTVVREEWTSEVFDVELLPSRGGAEDEMVALLHVALLCVADDPGERPRMAVVAKMIEDIRDRGSKRSRYSASPSQVGHSYESSPSISEDTTRSTNASSS >ORUFI01G31570.1 pep chromosome:OR_W1943:1:27061149:27065293:-1 gene:ORUFI01G31570 transcript:ORUFI01G31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPPDRSPLHRVVAVLCSFVASLPRPTSRLPDHHLLQHHIVALDFCLIAITETFINLDTT >ORUFI01G31580.1 pep chromosome:OR_W1943:1:27067244:27074290:1 gene:ORUFI01G31580 transcript:ORUFI01G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARRLANRALLRRLLAAAASTTSPAPSRGISTLAKAPGAGSRPRAPRPAPHQYTTGRPVSASALQPSDTFPRRHNSATPAEQAAMASECGFNTLDALIDATVPAAIRAPTMHFSGKFDAGFTESQMIDHMQRLAAMNKAYKSFIGMGYYNTHVPAVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNYQTMVADLTGLPMSNASLLDEATAAAEAMAMCNGILKSKKKTFLIASNCHPQTIDVCQTRAAGFDLNVIVADAKDFDYSSGDVCGVLVQYPGTEGEVLDYAEFVKDAHAHGVKVVMATDLLALTSLRPPGEIGADIAVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIADRVHGLAGTFAHGLKKLGTVTVQELPFFDTVKVKVADANAIAQEACKNEMNLRVVDATTITVAFDETTTLEDVDKLFKVFNGGKPVNFTAESLASEVSSSIPSSLVRKSPYLTHPIFNMYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPSFANMHPFAPTEQAAGYHEMFDDLGDLLCKITGFDSFSLQPNAGASGEYAGLMVIRAYHRARGDYHRDVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGIDEICRIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVITTGGFPLPEKTDPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMAKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKTTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIESGKADVNNNVLKSAPHPPQLLMSDSWTKPYSREYAAFPAAWLRGAKFWPTTCRVDNVYGDRNLICTLQQGSQVAEEAAAATA >ORUFI01G31590.1 pep chromosome:OR_W1943:1:27075685:27079221:1 gene:ORUFI01G31590 transcript:ORUFI01G31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSGKSYRTHSRICPNLIHPQQFHGHPRPANPEIPHFDGITAPAASCNDVRRGGRPPPLDGSPPAARPMDSSRSSNSLDSGSSLTLGELACAALIPVLALVDAVVFAAAQCFQKRPPGLLPATLAARARRRAGGRLTFRELADLADESRCFSVNEVEALYELYKKISCSIVDDGLIHKEELQLALFRTPAGKNLFLDRVFDLFDEKKNSVIEFEEFIHAISVKQMVVATLLESEVQLSDDLVEAILDKTFEDADTDKDNRISKEEWKAFVLKHPSVIKKMTLPTLKDTTAAFPSFIFNTQVED >ORUFI01G31590.2 pep chromosome:OR_W1943:1:27075685:27078796:1 gene:ORUFI01G31590 transcript:ORUFI01G31590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSGKSYRTHSRICPNLIHPQQFHGHPRPANPEIPHFDGITAPAASCNDVRRGGRPPPLDGSPPAARPMDSSRSSNSLDSGSSLTLGELACAALIPVLALVDAVVFAAAQCFQKRPPGLLPATLAARARRRAGGRLTFRELADLADESRCFSVNEVEALYELYKKISCSIVDDGLIHKEELQLALFRTPAGKNLFLDRVFDLFDEKKNSVIEFEEFIHAISVKQMVVATLLESEVQLSDDLVEAILDKTFEDADTDKDNRISKEEWKAFVLKHPSVIKKMTLPTLKDTTAAFPSFIFNTQVED >ORUFI01G31600.1 pep chromosome:OR_W1943:1:27079725:27082892:1 gene:ORUFI01G31600 transcript:ORUFI01G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKISSMDAGAAFDDEDASSSNSLQELWPVGEIDPKRARFPCCIVWTPLPIVSWLAPYIGHAGICREDGTVLDFAGSNLVSMDNFAYGSIARYLQLDRKKCCFPVNLATHVCERSYKHAEAGTAISWDDALQLGMRSFEHKFYNLFTCNCYSFVANCLNRLAYNGSVKWNVLNVAALVWLRGQWVDKMSVVRSFFPFLTVTCVGILMAGWPFLIGMAAFSSLLIGWFVFAVYCMKDLVC >ORUFI01G31600.2 pep chromosome:OR_W1943:1:27079725:27082147:1 gene:ORUFI01G31600 transcript:ORUFI01G31600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKISSMDAGAAFDDEDASSSNSLQELWPVGEIDPKRARFPCCIVWTPLPIVSWLAPYIGHAGICREDGTVLDFAGSNLVSMDNFAYGSIARYLQLDRKKVCMIRIFFISSFGMVYIIQPT >ORUFI01G31610.1 pep chromosome:OR_W1943:1:27086158:27090179:1 gene:ORUFI01G31610 transcript:ORUFI01G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERRIGAMQLEVEVKLFGRDDGGTAPRPTWMSCGLRMDEAQLPNTAHCTHIDALPDHGRQAFEHVNMLLRQRLQLVLHR >ORUFI01G31620.1 pep chromosome:OR_W1943:1:27088010:27090468:-1 gene:ORUFI01G31620 transcript:ORUFI01G31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPELLSEEKAILVETLKNKLQALAEQHVDVLESLAPVVRKRVDVLIEIQSQHDELEAKFLEEKAALEAKYQKLYGPLYSKRSEIVSGVLEVEGETEEREEKGVPDFWLKAMKNNEILAEEIHESDEEALKYLKDIKWCRIDDPKGFKFEFFFDTNPFFKNQVLTKTYHMIDEDDEPILEKAIGTEIEWHPGNCLTQEVLTKESSESTKPITKTEEYESFFNFFSPPQVPEDDAKIDENTAEELQNQMERDYDIASTLRDKIIPHVVSWFTGEAVQDEDYGASWVDDEEDDDDEYSDEEA >ORUFI01G31630.1 pep chromosome:OR_W1943:1:27091170:27091784:1 gene:ORUFI01G31630 transcript:ORUFI01G31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEDPLLRLMNKVSFLFWMTLLPVFLLGIFVGALLSKECEKAKYTMDLAAVEGMDVAAVSTVVSPAFNLKLRAENPRAFRPWCLDRGDVVVSYSGVALAWGRVPGFCVRRRAMAELTVVPWGKDVRLSEDLRDLLVSELQQGTAKVSVEMKLHYYANFGMAAFAPSSGTTSISQELLLDSWEDNMNSSLLKTKAGLPGRQDE >ORUFI01G31640.1 pep chromosome:OR_W1943:1:27093196:27093786:-1 gene:ORUFI01G31640 transcript:ORUFI01G31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKDHEETDDSYGVVDVCKPTLGYKLAMALLLPFIYMLFFFSVFLVMRITYKASQAIDTAVIFNKPISSSVELVGVRGLDPSLAPGAAASPAFDLLLRLDNGDACGDQYREGGSVKVSYAGVPLAHGSTPGFRLGARSSATVAVNATSDGVGVPEELFRLMSAERRLGVAQLDIGLQLGWPGWESYYWSVDLDG >ORUFI01G31650.1 pep chromosome:OR_W1943:1:27094414:27094605:1 gene:ORUFI01G31650 transcript:ORUFI01G31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHPPTLPWPAVFSAPLPYPTTPPSGIAVDPHSDAAFLTVGTQIYKVFVHPAKKATAAMYKR >ORUFI01G31660.1 pep chromosome:OR_W1943:1:27098058:27102157:-1 gene:ORUFI01G31660 transcript:ORUFI01G31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQPPPIQGDGVAKKSRAAKASRREMLKVLYVLLALASTPFLYYIFFDLPPKFSLQINSAEGLDAVAAAAAAQPLSTIVNMTLHASNRRAPGRCYRHGEAVVRYAGFTVAAGRTRVFCVGARDALDVPVVAWADGVTLPNEVHDRMAAEQRAGSVELEVDVKLFDRESEMPTWMWCKVTTQEAEPSDVTPCRLHPPSSCDDDAGSCQKTSVACNRQRHKILIVNLLYELMFFAFMFLCLYHALYDFPSEFSVQITAIHGLDRGLVWHRGPSFTRKLRVKHARPGSPPHPPSSCDDNAGACQKASETCNRQRRKIFVVNLLYEFTNFIFIFLCLYHALYDFPSEFSVQITAIRGLDDAAPASPTIISPAIDVTLHVNNRRGTARCYRGGEAVVSYEGFTVASGTVPGFCAQGKRAPEVPFLASADGVGLPQRLCGRMALERRIGAMQLEVEVKLFGRDGGTAPRPTWMSCGLRMDEAQLPNTAHCSVLALQNWFSQPLFG >ORUFI01G31670.1 pep chromosome:OR_W1943:1:27102544:27103143:1 gene:ORUFI01G31670 transcript:ORUFI01G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTALYGSKEVDPLLVLQTKVIILFYGMVTIVLVLGIPAAALLSNAAEKVKYTLDLAAVEGMDVAAAATAGAGGSTVISPAFNLTLRVENPRTFRPWCLDRGDVVVSYSGVALAWGRVPGFCVQKRSTAKLTVVPWGKNVHLSQELRERFVSELKEGTAKVYVEMKLHYYANFGMAAFAPSTGMVGISQELTMGGRDD >ORUFI01G31680.1 pep chromosome:OR_W1943:1:27107354:27107863:-1 gene:ORUFI01G31680 transcript:ORUFI01G31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFPSNYFLLSFWFFLGFWIIYQAFGAFSAVVNIDKPIESSIELVGVSGLVPVLADLAPGPGVASRPAFNLLVRIDNDHILDRHREGGSVKVSYAGVPLAYGSIPSFRLGARKALTVAVDATSEAAGVPEDLLRLMAAEQRMGVAQLEIGMQLGGPDRESYSWSVDF >ORUFI01G31690.1 pep chromosome:OR_W1943:1:27108513:27110531:-1 gene:ORUFI01G31690 transcript:ORUFI01G31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPRPHALLALRRPRLRTPRARAAAPRARGAPVAPQAARPRRVFLGLGAAFVDQLARMASGGAPSRSFVASARPRQGVSPVEQVILKNVEWPDEFPFKAEDFSRFDESSDALFYSAPRYVTHIDDQAIEALTKYYSEVLPPSNTPGVAILDMCSSWVSHYPPGYKQEKIVGMGMNEDELKRNPVLTEYVVQDLNVNPKLPFEDNTFDVITNVVSVDYLTKPIDVFKEMRRILKPSGLAIMSFSNRCFWTKAISIWTSTGDADHAWIVGAYFHYAGDFESPEAIDISPNPGRRTDPMYVVQSRKRIA >ORUFI01G31700.1 pep chromosome:OR_W1943:1:27115568:27116515:-1 gene:ORUFI01G31700 transcript:ORUFI01G31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKVAPKSDAAPAVELLGFVMSAEEAERAAAAAGVETVEDLLPLLVPSAMRRARAPISRFPVGAVGLGASGRVYAGVNLEFRGLPLSHSVHAEQFLVVNAAAAGESGKSKLCAIAISHMPCGHCRQFLQEIRGAGGIRIIVTSDAEDGCAPEWRTVASLLPRPFGPHDLLPKHVPLVLEPHDNPLGDPAAVANGFAHGDLEARLREAAEAAARAAHAPYSGCPSGFAVADGEGRVYAGGCLESAAYNPTLGPVQAAIIGMVAAGGGAAGDVVAAALVEKEAALVSQEATARIFLAAVAPQATFHVYNYRPSDA >ORUFI01G31720.1 pep chromosome:OR_W1943:1:27131803:27132246:1 gene:ORUFI01G31720 transcript:ORUFI01G31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGGSTNGGGVASRRMQAERWLDIAGKLLAAWDLVGCKRFIEQTVETSSSPSPMSSSPPSRSSTRATLTHSPSSASPDTNHADHVAVSCAYRRLALLSSSRAATHPGTNVALSLIHDAYAILSDPNHRPRPPSAALVPHPHVTSS >ORUFI01G31730.1 pep chromosome:OR_W1943:1:27135583:27137149:1 gene:ORUFI01G31730 transcript:ORUFI01G31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSVANKADMAKMGFAPMLSVAVLLGTLAAFPAAVHSIGVCYGVVANNLPGPSEVVQLYRSKGIDSMRIYFADAAALNALSGSNIGLIMDVGNGNLSSLASSPSAAAGWVRDNIQAYPGVSFRYIAVGNEVQGSDTANILPAMRNVNSALVAAGLGNIKVSTSVRFDAFADTFPPSSGRFRDDYMTPIARFLATTGAPLLANVYPYFAYKDDQESGQKNIMLNYATFQPGTTVVDNGNRLTYTCLFDAMVDSIYAALEKAGTPSVSVVVSESGWPSAGGKVGASVNNAQTYNQGLINHVRGGTPKKRRALETYIFAMFDENGKPGDEIEKHFGLFNPNKSPSYSISF >ORUFI01G31740.1 pep chromosome:OR_W1943:1:27137216:27137794:-1 gene:ORUFI01G31740 transcript:ORUFI01G31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKAIDDDDDAPNRPSYGTYGNDPVARRASQKTTDRDGVRDGNGSGTMHGAGLSDGEKVTTITMDLASFDAADGLDTWTRKRLSGTRFRPRLTSRCASRTHALLPAVVRQQRGGGGLLLRQSGVALAWGKSAGVLRAEEVGSGVHGSAVVQGRRGVGLPEDLRAPAPHVKNGTAQVLAEMKLYRYVRTRSF >ORUFI01G31750.1 pep chromosome:OR_W1943:1:27138171:27138704:-1 gene:ORUFI01G31750 transcript:ORUFI01G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSELVQGGGGAACEKTTPVTTGEEEEDYCGNGGVSSFRICWSSLVPVGVLNKRRVCGDWRRSDRTGATWIKPGLGADGTDRARALPVRPPQRTERFSPSTGSVYNLFFLFSDSIYLYFLLDLDFSRSFCSDFFFHMIGFWIGNLG >ORUFI01G31760.1 pep chromosome:OR_W1943:1:27148803:27153930:1 gene:ORUFI01G31760 transcript:ORUFI01G31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEERWQEQPALASHPSRATLRPRGWPRLGLAPTGVGSSCPPAPASELARHLARRAPVSASPPVLPPIKDQGARPPTLAASAAAASSPPPPPPPPIPPLPPSTSTSAARPTDMAGVTSKRRSSSASTSSSSGDGAAVSDRPRGVTRKRRSGGRCPRPAASLRPAAPRPSSHHTAGLRVILQKELRYSDVSQLGRIVLPKKEAEAYLPILTSKDGKKSLCMHDLQNAQLWTFKYRYWPNNKSRMYVLENTGDYVRTHDLQLGDSIVIYKDDENNRFVIGAKKAGDQQAATVPQVDEHISTLFPIFPIAQVDDYLSPMAPQVDISAFVPHADENHEIFDGILNSLPEIPVANVRYSDFFDPFDDGMDMANTLNANANQSASLHVTDDKSGHSLIPNPKSGPHM >ORUFI01G31770.1 pep chromosome:OR_W1943:1:27156873:27161103:1 gene:ORUFI01G31770 transcript:ORUFI01G31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGDASAPVAAAEGRKPRHKGKHDKPKPWDDDPNIDHWKIEEFDPSWNEGGMLEVTSFSTLFPQYRGKSPHPTPPSALSWFLPRSAVDWFCLVVKKYLQEAWPIVKGALKEFGVACELNLVEGSMTVSTTRKTKDPYIIIKANELIKLLSRSVPAPQAIKILNDEMSCAIIKIGRIIRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQGNTAAAMGYWKGLKQVVRVVEDCIKNVKHPVYHIKELLIKRELAKNPALAHESWDKFLPKFKKKNVKQKKPLTKEKKQYTPFPPPQQPSKIDLELESGEYFMSDKKKSAKEWQEKLEKQSQKAEENKRKREAAFVHPNEDIATPYESAKSIINNDEIADMAKSLKKKAKEFRKNEEQENVRLESYVASNEGSRPKKKHKLSKYSQPE >ORUFI01G31780.1 pep chromosome:OR_W1943:1:27164134:27184899:-1 gene:ORUFI01G31780 transcript:ORUFI01G31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIVIGSHVWVEDKDSAWVDGEVFRIDGKNAHVRTTKGKTVIANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYARNLIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGRNNSILVSGESGAGKTETTKLLMRYLAYLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPPEDIKRYKLGDPSSFHYLNQSSCIKVDGINDAEEYLVTRNAMDTVGIIEQEQEAIFRVVAAVLHLGNINFAKGSEVDSSVIKDDKSRFHLNTAAELLMCDCKKLENALIKREINTPEGVITTTVGPSSATVSRDGLAKQIYSRLFDWLVNRINASIGQDPNSDKLIGVLDIYGFEGFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVIYQSDHFLDKNKDYVVAEHQELLNASRCSFVSALFPPASEENTKSSKSSIATRFKVQLHELMETLSSTEPHYIRCVKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEIVKEKNDEKVTCQKVLDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNNAARGVQGQFRTHVAREQFLILRNASVCLQSFVRARLACKLHECLRREAAAIKIQKNIRCYFAWRTYSQLRLSAITLQTGLRAMAALKEFMFRKQNKATTHIQTQWRCHRDNSNYLKLKRAALTYQCAWRRRVARRELRQLRMAARDTQALKVAKEKLEERVEELTNRLGLEKKLRTDLEKSKVAEVSKLQAVLNEMEQRMQDVTAMQERESAKKAVEEALEQEREKISSLTSEIEGLKALLVAEQEENDVTKKAHANAQERNEELSKEVEDADGKIKQLSDTVQRLEETIQEREAILLAERQEKEEASAVIAESQARNEAFASKLEDAEKQIDLLQETVQRFEEAITKLQSSVTIEKQQHEETVVQLAEAQAKIDELLREAGDTDEKSTQLETTMQRLEESLTEKDALLTTERQETEATKKLLSEAQYKNEELLKKIEDADKSIAHYHDTTQRLEENVTAVENSLKAERQHNGAIMKQLADAQVEIGELQRNLEDADRRNNQLQDSLQRLVEDATTNEALLVAERQENEVTKKTLTEALDQIEELVKEVECAKNSVYQLQDNIQRLEQNASAREADLLTERQEKETTSKALAEAQAKIEGLLEEISSANKKTDLLQKTIERLEEGATTTDALYLTERQEHDQTKKAFSEAQEINQQLYRKIEEAEKNIEQLRENVERLEKDATARDSLLLMTKQSHDDTIKELLEVQERNLELMNGVEDSNKKIMLLEDSVKRLEERIAYIDSLLAIERRENNETKKELADAQKEIEELLDEMQDNVASIAEHEDTIRRLEENVGAKESLLLTEREQNASTLKLLAEAHLEIDELIRKLEDSDRKSDSLQSTIKRLEEDGIDKEALLLTEKQAHEATRMTLTEALEKNEELLKKIHDDDKHILELQFTIQRLEENTAAKENLLLREREQNDATTKAQIESQERNEQLLKRFVDVDRKIDLLQDTIERIGENSTTKDALLLSERQEKDAIKKELVEAGERNEELLMKIEDTDKKIEHLQNAIIKLEGDIEAKDVSLEAAREENDTIRKSLAEAQDKNEELLRKISDNEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDAAKRALTEARERNEDLLKRNEDLLKRNDDLIKKIEESGKTITQLQETLQRLEGKSTNLEAENQVLRQQATATPPSTAKSSASRSKITRIHRSPENGHILNGDTRQAEIKPSTGTSETIPSIGNPPDLNNEKHVEQGEKLQKVLNQKYQSQQPQDDQQWLLTCISQYLGFSGSKPVAALLIYQCLSHWRSFEAMKTGVFDSILQAINSATESTSCNVLMVAFPPLKAQNDTRALAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSERIFHASQTSNAGLAYLSGQPVVGAAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSPAKGHANGLGQKNQLGHWLAIVKVLTNYLDVLRANHVPSILVHKLFTQIFSLIDVQLFNSNGEYVKVGLAELKHWSDNATREVISLKPMRTLKEIRTDVCPALSIQQLERIVGMYWDDINGSNTISAEFTSSLKSAVREESNTVTTFSILLDDDSWSAVCYI >ORUFI01G31780.2 pep chromosome:OR_W1943:1:27164134:27184899:-1 gene:ORUFI01G31780 transcript:ORUFI01G31780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIVIGSHVWVEDKDSAWVDGEVFRIDGKNAHVRTTKGKTVIANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYARNLIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGRNNSILVSGESGAGKTETTKLLMRYLAYLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPPEDIKRYKLGDPSSFHYLNQSSCIKVDGINDAEEYLVTRNAMDTVGIIEQEQEAIFRVVAAVLHLGNINFAKGSEVDSSVIKDDKSRFHLNTAAELLMCDCKKLENALIKREINTPEGVITTTVGPSSATVSRDGLAKQIYSRLFDWLVNRINASIGQDPNSDKLIGVLDIYGFEGFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVIYQSDHFLDKNKDYVVAEHQELLNASRCSFVSALFPPASEENTKSSKSSIATRFKVQLHELMETLSSTEPHYIRCVKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEIVKEKNDEKVTCQKVLDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNNAARGVQGQFRTHVAREQFLILRNASVCLQSFVRARLACKLHECLRREAAAIKIQKNIRCYFAWRTYSQLRLSAITLQTGLRAMAALKEFMFRKQNKATTHIQTQWRCHRDNSNYLKLKRAALTYQCAWRRRVARRELRQLRMAARDTQALKVAKEKLEERVEELTNRLGLEKKLRTDLEKSKVAEVSKLQAVLNEMEQRMQDVTAMQERESAKKAVEEALEQEREKISSLTSEIEGLKALLVAEQEENDVTKKAHANAQERNEELSKEVEDADGKIKQLSDTVQRLEETIQEREAILLAERQEKEEASAVIAESQARNEAFASKLEDAEKQIDLLQETVQRFEEAITKLQSSVTIEKQQHEETVVQLAEAQAKIDELLREAGDTDEKSTQLETTMQRLEESLTEKDALLTTERQETEATKKLLSEAQYKNEELLKKIEDADKSIAHYHDTTQRLEENVTAVENSLKAERQHNGAIMKQLADAQVEIGELQRNLEDADRRNNQLQDSLQRLVEDATTNEALLVAERQENEVTKKTLTEALDQIEELVKEVECAKNSVYQLQDNIQRLEQNASAREADLLTERQEKETTSKALAEAQAKIEGLLEEISSANKKTDLLQKTIERLEEGATTTDALYLTERQEHDQTKKAFSEAQEINQQLYRKIEEAEKNIEQLRENVERLEKDATARDSLLLMTKQSHDDTIKELLEVQERNLELMNGVEDSNKKIMLLEDSVKRLEERIAYIDSLLAIERRENNETKKELADAQKEIEELLDEMQDNVASIAEHEDTIRRLEENVGAKESLLLTEREQNASTLKLLAEAHLEIDELIRKLEDSDRKSDSLQSTIKRLEEDGIDKEALLLTEKQAHEATRMTLTEALEKNEELLKKIHDDDKHILELQFTIQRLEENTAAKENLLLREREQNDATTKAQIESQERNEQLLKRFVDVDRKIDLLQDTIERIGENSTTKDALLLSERQEKDAIKKELVEAGERNEELLMKIEDTDKKIEHLQNAIIKLEGDIEAKDVSLEAAREENDTIRKSLAEAQDKNEELLRKISDNEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDAAKRALTEARERNEDLLKRNEDLLKRNDDLIKKIEESGKTITQLQETLQRLEGKSTNLEAENQVLRQQATATPPSTAKSSASRSKITRIHRSPENGHILNGDTRQAEIKPSTGTSETIPSIGNPPDLNNEKHVEQGEKLQKVLNQKYQSQQPQDDQQWLLTCISQYLGFSGSKPVAALLIYQCLSHWRSFEAMKTGVFDSILQAINSATEAQNDTRALAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSERIFHASQTSNAGLAYLSGQPVVGAAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSPAKGHANGLGQKNQLGHWLAIVKVLTNYLDVLRANHVPSILVHKLFTQIFSLIDVQLFNSNGEYVKVGLAELKHWSDNATREVISLKPMRTLKEIRTDVCPALSIQQLERIVGMYWDDINGSNTISAEFTSSLKSAVREESNTVTTFSILLDDDSWSAVCYI >ORUFI01G31780.3 pep chromosome:OR_W1943:1:27164134:27184899:-1 gene:ORUFI01G31780 transcript:ORUFI01G31780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIVIGSHVWVEDKDSAWVDGEVFRIDGKNAHVRTTKGKTVIANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYARNLIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGRNNSILVSGESGAGKTETTKLLMRYLAYLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPPEDIKRYKLGDPSSFHYLNQSSCIKVDGINDAEEYLVTRNAMDTVGIIEQEQEAIFRVVAAVLHLGNINFAKGSEVDSSVIKDDKSRFHLNTAAELLMCDCKKLENALIKREINTPEGVITTTVGPSSATVSRDGLAKQIYSRLFDWLVNRINASIGQDPNSDKLIGVLDIYGFEGFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVIYQSDHFLDKNKDYVVAEHQELLNASRCSFVSALFPPASEENTKSSKSSIATRFKVQLHELMETLSSTEPHYIRCVKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEIVKEKNDEKVTCQKVLDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNNAARGVQGQFRTHVAREQFLILRNASVCLQSFVRARLACKLHECLRREAAAIKIQKNIRCYFAWRTYSQLRLSAITLQTGLRAMAALKEFMFRKQNKATTHIQTQWRCHRDNSNYLKLKRAALTYQCAWRRRVARRELRQLRMAARDTQALKVAKEKLEERVEELTNRLGLEKKLRTDLEKSKVAEVSKLQAVLNEMEQRMQDVTAMQERESAKKAVEEALEQEREKISSLTSEIEGLKALLVAEQEENDVTKKAHANAQERNEELSKEVEDADGKIKQLSDTVQRLEETIQEREAILLAERQEKEEASAVIAESQARNEAFASKLEDAEKQIDLLQETVQRFEEAITKLQSSVTIEKQQHEETVVQLAEAQAKIDELLREAGDTDEKSTQLETTMQRLEESLTEKDALLTTERQETEATKKLLSEAQYKNEELLKKIEDADKSIAHYHDTTQRLEENVTAVENSLKAERQHNGAIMKQLADAQVEIGELQRNLEDADRRNNQLQDSLQRLVEDATTNEALLVAERQENEVTKKTLTEALDQIEELVKEVECAKNSVYQLQDNIQRLEQNASAREADLLTERQEKETTSKALAEAQAKIEGLLEEISSANKKTDLLQKTIERLEEGATTTDALYLTERQEHDQTKKAFSEAQEINQQLYRKIEEAEKNIEQLRENVERLEKDATARDSLLLMTKQSHDDTIKELLEVQERNLELMNGVEDSNKKIMLLEDSVKRLEERIAYIDSLLAIERRENNETKKELADAQKEIEELLDEMQDNVASIAEHEDTIRRLEENVGAKESLLLTEREQNASTLKLLAEAHLEIDELIRKLEDSDRKSDSLQSTIKRLEEDGIDKEALLLTEKQAHEATRMTLTEALEKNEELLKKIHDDDKHILELQFTIQRLEENTAAKENLLLREREQNDATTKAQIESQERNEQLLKRFVDVDRKIDLLQDTIERIGENSTTKDALLLSERQEKDAIKKELVEAGERNEELLMKIEDTDKKIEHLQNAIIKLEGDIEAKDVSLEAAREENDTIRKSLAEAQDKNEELLRKISDNEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDAAKRALTEARERNEDLLKRNEDLLKRNDDLIKKIEESGKTITQLQETLQRLEGKSTNLEAENQVLRQQATATPPSTAKSSASRSKITRIHRSPENGHILNGDTRQAEIKPSTGTSETIPSIGNPPDLNNEKHVEQGEKLQKVLNQKYQSQQPQDDQQWLLTCISQYLGFSGSKPVAALLIYQCLSHWRSFEAMKTGVFDSILQAINSATESTSCNVLMVAFPPLKAQNDTRALAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSERIFHASQTSNAGLAYLSGQPVVGAAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQVPSILVHKLFTQIFSLIDVQLFNSNGEYVKVGLAELKHWSDNATREVISLKPMRTLKEIRTDVCPALSIQQLERIVGMYWDDINGSNTISAEFTSSLKSAVREESNTVTTFSILLDDDSWSAVCYI >ORUFI01G31780.4 pep chromosome:OR_W1943:1:27164134:27184899:-1 gene:ORUFI01G31780 transcript:ORUFI01G31780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIVIGSHVWVEDKDSAWVDGEVFRIDGKNAHVRTTKGKTVIANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYARNLIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGRNNSILVSGESGAGKTETTKLLMRYLAYLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPPEDIKRYKLGDPSSFHYLNQSSCIKVDGINDAEEYLVTRNAMDTVGIIEQEQEAIFRVVAAVLHLGNINFAKGSEVDSSVIKDDKSRFHLNTAAELLMCDCKKLENALIKREINTPEGVITTTVGPSSATVSRDGLAKQIYSRLFDWLVNRINASIGQDPNSDKLIGVLDIYGFEGFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVIYQSDHFLDKNKDYVVAEHQELLNASRCSFVSALFPPASEENTKSSKSSIATRFKVQLHELMETLSSTEPHYIRCVKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEIVKEKNDEKVTCQKVLDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNNAARGVQGQFRTHVAREQFLILRNASVCLQSFVRARLACKLHECLRREAAAIKIQKNIRCYFAWRTYSQLRLSAITLQTGLRAMAALKEFMFRKQNKATTHIQTQWRCHRDNSNYLKLKRAALTYQCAWRRRVARRELRQLRMAARDTQALKVAKEKLEERVEELTNRLGLEKKLRTDLEKSKVAEVSKLQAVLNEMEQRMQDVTAMQERESAKKAVEEALEQEREKISSLTSEIEGLKALLVAEQEENDVTKKAHANAQERNEELSKEVEDADGKIKQLSDTVQRLEETIQEREAILLAERQEKEEASAVIAESQARNEAFASKLEDAEKQIDLLQETVQRFEEAITKLQSSVTIEKQQHEETVVQLAEAQAKIDELLREAGDTDEKSTQLETTMQRLEESLTEKDALLTTERQETEATKKLLSEAQYKNEELLKKIEDADKSIAHYHDTTQRLEENVTAVENSLKAERQHNGAIMKQLADAQVEIGELQRNLEDADRRNNQLQDSLQRLEEGATTTDALYLTERQEHDQTKKAFSEAQEINQQLYRKIEEAEKNIEQLRENVERLEKDATARDSLLLMTKQSHDDTIKELLEVQERNLELMNGVEDSNKKIMLLEDSVKRLEERIAYIDSLLAIERRENNETKKELADAQKEIEELLDEMQDNVASIAEHEDTIRRLEENVGAKESLLLTEREQNASTLKLLAEAHLEIDELIRKLEDSDRKSDSLQSTIKRLEEDGIDKEALLLTEKQAHEATRMTLTEALEKNEELLKKIHDDDKHILELQFTIQRLEENTAAKENLLLREREQNDATTKAQIESQERNEQLLKRFVDVDRKIDLLQDTIERIGENSTTKDALLLSERQEKDAIKKELVEAGERNEELLMKIEDTDKKIEHLQNAIIKLEGDIEAKDVSLEAAREENDTIRKSLAEAQDKNEELLRKISDNEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDAAKRALTEARERNEDLLKRNEDLLKRNDDLIKKIEESGKTITQLQETLQRLEGKSTNLEAENQVLRQQATATPPSTAKSSASRSKITRIHRSPENGHILNGDTRQAEIKPSTGTSETIPSIGNPPDLNNEKHVEQGEKLQKVLNQKYQSQQPQDDQQWLLTCISQYLGFSGSKPVAALLIYQCLSHWRSFEAMKTGVFDSILQAINSATESTSCNVLMVAFPPLKAQNDTRALAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSERIFHASQTSNAGLAYLSGQPVVGAAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQVPSILVHKLFTQIFSLIDVQLFNSNGEYVKVGLAELKHWSDNATREVISLKPMRTLKEIRTDVCPALSIQQLERIVGMYWDDINGSNTISAEFTSSLKSAVREESNTVTTFSILLDDDSWSAVCYI >ORUFI01G31790.1 pep chromosome:OR_W1943:1:27190025:27193574:-1 gene:ORUFI01G31790 transcript:ORUFI01G31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRYLVPPPGAADRAGGDAGDAALARDIVTLHKALSLDHSASSRRRRSLPLPAPSVANQARHKPRLKPSSSTRKLLPSASSSSSSSAAAAASTSSSSSFWKKSLTAISHLGRRRLDCAFALHVHSVDGLPAALDGSPISVHFRRMSLCASTRPVAAALGAASFEEVLTQRSPVYFSRGAKAAVKYEPRPFVVVAATSALELGKHEVDLTRLLPLSFDDLEEGGGSGFGKWSTSFRLSGPARGARLNVTFSCSLVGAAGEQQKGGEVAGLRRGSMARQVSVQTPTPVPARSRDVRVLHEVLPSGRTVKALPFFGDAGLDVRKEEVPTVESEENESPQSKHCTSVEVRNVDLAQPEGDCDAAEFSVIEQGVEIALEDPEQLKSVGTDNVADGNEDFRDEVGENEGEAKAVSVGDACAEESVGGKPEEVFSDVCFESEDAGEKKDSMVKAVSLPTVELDGEDQLDAELEDLGCLINSLSVVEPEQFDSPIVEGKRSRRLSCVGVTEGCNSASRMIRSRSMDASSDFVASEFLNMLGIEHSPLGATSGSDSESPRERLWKQFEKEALASGNGILGLDFEDEAEELSYEDDAEEPRCEDVAHDFDLSTIIREAELELQNAIQPIDTRFRAKSLEDEETEALMRQFGLNEKSFQSSPPGSRSGFGSPIDLPPESPIELPPLADGLGPFVQTKDGGFLRSMNPVLFKNAKNNCSLVMQASSPIVLPAEMGSGIMEILHGLASVGIEKLSMQANKLMPLEDVNGKMMQQIAWEASPALESSERYDLLDNHTMDALAGGIGNATIGKSKKGRCTDLSSSLGRESTSEYVSLEDLAPLAMEKIEALSIEGLRIQSGMSEEDAPSNISAQPIGEFSSLQGKCAGNTLSLGLEGTAGLQLLDVKQSGGDVDGLMGLSITLDEWMRLDSGIVDEDEQFTDRTSKILAAHHAKSMELVAENQNVDKKNRRSGRRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERVFVPPKPKIYSTVTDKGNSEHDDEEPKTEEVLEKALVKEEKIEEEEDSVPQFKVAEVHVAGFKSEPEKTKSWGNQTQQQSGSRWLLAAGMGKGNKHPLMKSKAIAKPTKEAAGQSEDTLWSISSRVHGAGTRWGELAGPKRNPNILLQKEKRFR >ORUFI01G31800.1 pep chromosome:OR_W1943:1:27193791:27199787:-1 gene:ORUFI01G31800 transcript:ORUFI01G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEGMMERMKLSMAEKKGIRVQAEGSGTSFHPNLSSSGRECINRMNKNNPYHLLPLPKFQTLQYDPNIHPPNLLLREFHDFFHGFPLHHETRILSSSDPRSS >ORUFI01G31810.1 pep chromosome:OR_W1943:1:27203776:27204212:1 gene:ORUFI01G31810 transcript:ORUFI01G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGRGERRRWPALLLVVLAFTVIGVVFDGSRVAGPHLHQLHRRGSTPMCDGMAAAVGAQGPERRHWQRQSGGRELERRQSGGRAATL >ORUFI01G31820.1 pep chromosome:OR_W1943:1:27211664:27212170:1 gene:ORUFI01G31820 transcript:ORUFI01G31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRREAPPISDYEALDGSGKCTDEPSCSSDPSKDSSSCTSAFAFTILAINCGAAIYHSRRDPWSVAFVLAAFLMLISLFCALRLFESLPRSSPRRSHVKAGVWVLSTVLTILFTYRVAALMPFPVAVVVWAMSVFTILAGFYMFFVCSDEVKAAPEERPAKVSDMA >ORUFI01G31830.1 pep chromosome:OR_W1943:1:27223569:27224034:1 gene:ORUFI01G31830 transcript:ORUFI01G31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVPPPEDGDGRPIAIEGQAAEDLAASALAARGLVTPPGDLGFDALAVAADGHVQQNANASALAAARTLLPNAPLLAAMEFNLPVLQVQSTIFGTYAAGSWQLQQVQERLAAYQPS >ORUFI01G31840.1 pep chromosome:OR_W1943:1:27227132:27228816:-1 gene:ORUFI01G31840 transcript:ORUFI01G31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGASTPFNFCRHGSHAEYDAVFSGSWMARRPSAAPHGGGASGSGSGSGYGAASYVAPTFGAAFRQQHLDLLDYLSDDQGVPAPPPAAVPSASYVTPAPAMAPAEPVVPDAVAAGGGYPRSVAAAAAAVAGEGRDRTTTDKIAFRTRSDDEILDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDKNDPRYVVTMYEGIHNHVCPGTVYYAAQDAASGRFFVAGISHPDLN >ORUFI01G31850.1 pep chromosome:OR_W1943:1:27235267:27240236:-1 gene:ORUFI01G31850 transcript:ORUFI01G31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRRRTLLKVIVLGDSGYVHKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSNRSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSEKKAMEWCSSKGNIPYFETSAKEDRNVDSAFLSVAKLALEHERDQDIYFQTVVPDPVPEAEQRSGCAC >ORUFI01G31850.2 pep chromosome:OR_W1943:1:27235267:27240236:-1 gene:ORUFI01G31850 transcript:ORUFI01G31850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRRRTLLKVIVLGDSGYVHKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSNRSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSFSSILSTFASICGTTYLLFTQVSEKKAMEWCSSKGNIPYFETSAKEDRNVDSAFLSVAKLALEHERDQDIYFQTVVPDPVPEAEQRSGCAC >ORUFI01G31850.3 pep chromosome:OR_W1943:1:27235265:27240236:-1 gene:ORUFI01G31850 transcript:ORUFI01G31850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRRRTLLKVIVLGDSGYVHKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSNRSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSFSSILSTFASICGTTYLLFTQVSEKKAMEWCSSKGNIPYFETSAKEDRNVDSAFLSVAKLALEHERDQDIYFQTVVPDPVPEAEQRSGCAC >ORUFI01G31860.1 pep chromosome:OR_W1943:1:27241836:27244915:-1 gene:ORUFI01G31860 transcript:ORUFI01G31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLPCARGPAPAAAARWRPPGRAAPRLGRGHAAASSADGSGAPSSPLFVLCHSQALTLALPPQSLHYDYDPLADLLGPDVDQTSSQNTAPVAEKGKLRSWVGPNGQYYRELPCPSCRGRGYTPCKQCVIDRSSLDCPMCNGKGIRECVQCAGECVIWQESIDEQPWEKVRSSSPLKVKEDDEVDKLEIKINTSKRSRRTYPSPSPEVALKISRSLRSLNAKTGLFTKHMKIIHQDPKLHAQRVAAIKKTKRTAAARKHASETQKAFFSNPENRLKRSIAMKGVKFFCSKCGQEGHRSFYCPTVREISGRAHFRCRLCGGKGHNSRTCGKPKSENECQRQPRHCSQCGERGHNRRNCPRSTTVEVEDVKIYLE >ORUFI01G31870.1 pep chromosome:OR_W1943:1:27246624:27256225:1 gene:ORUFI01G31870 transcript:ORUFI01G31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAPPAKKAKPSHPQDDASEEVDRLSALDDGIVREILARLPLRDAAVTTALSSRWPRVFATLPRLRLGPTTFNSRASLDIGYCDDDDRWVDALDRVLDGRLSPVAAFEVDADMDLLEGYDDWFYSFFRTLCRSGGLQELAVRNEHVHECYVVPSPVYACAALTSLELDACHLRVPGKLTGLRAVRSLVLRRVVATDVGLRRVVSRCRAVERLVLDDCHRVRNVVIRGPSLKQLEIHSYRPLCVALKKAPHLESAKLSLGYGVAEVSWSIYNNSDSEIESKRGSLQLYEFDAQERREQRKTDEATNMVTFLSGLGCAKELYLYLPYEYAKVLRNTSISLPMRLPKKCYLRGLWKLTLYLNHNDGAIAQVVSCLLNSSPNLKDLEIKNDFFDDRRASTDVPDFWEKNMGAAECVQNHLSTVTFYLNSECFQGRSYIDLSKLLLMRARALERLSIKYRRLDDQDQYAAELESVQREGCQRLPKKERSWKERTLAQSGGNLCQQQNHFPRSPLPGRPVPHSRTSRGHASDLCPPWSKLTETKKARSSESSPQAHAEDVDRLSALDDATLHAILYCMPLRDAAVTTALSRRWRRVFPTLPCLYIDSATFNGRDYVADSLGDDYCEDPDRWVEALDCIVDSRAAPVAVFDVEADVMFAEEGWFHDVIRVLCRSGGLLKLRIWNTRLTSCYLLPSPVYACETLVSLELFSCRLRVPDRLTGLRALQSLVLQDVVATDGDLQRMVSRCEAMKRLVVEDIRKARNVVIDTPSLEYLQIHSYRPLRVSVKAPKLRLARLSLCYGCAELSWSFHDNEETDGNYSIAEIQEMFDFVAMEKKEHKRTDEIRNLVTFFCGIGAAKELRLDLPREYSKVSMTVCVSLNRFKELNTNKVL >ORUFI01G31880.1 pep chromosome:OR_W1943:1:27257886:27261209:1 gene:ORUFI01G31880 transcript:ORUFI01G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKRRRQRHPSTSASTVLSKTKIAVPRMFPKKCCLLGLQKLTLALDHNHEALARLVSCLLNSSPNLKDLEIMDPFDIRYSGHLAAEFWEKHITADCIQNHLSVVTFYMRESLFGGYPRIGLCQFLVMNARALKRMSIKYHRSLYKTEHVATVLEAVQSELRLWPRASPDVQLELSEIDCIPSI >ORUFI01G31890.1 pep chromosome:OR_W1943:1:27261913:27263013:1 gene:ORUFI01G31890 transcript:ORUFI01G31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPTPASICFLVLCLDLDYNDEVLATLVSCLLNSSPNLTDLKIHYSSIIFIIDALSESNPCGGLCHFLVMNARILQKVSIEYLRSDVKPEHAAKLEAVRSDLHIWPRAFSECAAGVASS >ORUFI01G31900.1 pep chromosome:OR_W1943:1:27268961:27277637:1 gene:ORUFI01G31900 transcript:ORUFI01G31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASWSIPAIPRAGPTARGVLLGGAFLTAARPPVAWRCRATAPRRVRLGGVVARAGAAETPVAGSGEAGLVFSEKFPLRRSRTVEGKAWVRVDAEPDGEGKCKVVIGCDVEGKWVLHWGVSYDGEQGREWDQPPSDMRPPGSVPIKDYAIETPLDTPHNSEGKTIHELQIKIDKGTSIAAINFVLKEEETGAWFQHKGQDFRIPLSGSSGGDLLGTEQDIDVRPGALGHLSNVLQKPEGPIAEPHKTVPDDKGSRTKHISGFYEEYPILKTVYVQNFVTVNVRENIETNKHAVEFDTDIPGEVIIHWGVCKDNTMTWEIPPEPHPPATMIFRQKALQTMLQQKADGTGNSLSFLLDGEYSGLIFVVKLDEYTWLRNVENGFDFYIPLTRADADETHKADKQKADDKSSQTDGLISDIRNLVVGLSSRRGQRAKNKVLQEDILQEIERLAAEAYSIFRSPTIDTVEESVYIDDSSIVKPACSGTGSGFEILCQGFNWESHKSGKWYVELGSKAKELSSMGFTIVWSPPPTDSVSPEGYMPRDLYNLNSRYGTMEELKEAVKRFHEAGMKVLGDAVLNHRCAQFQNQNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRSDLKEWLCWMRKEVGYDGWRLDFVRGFWGGYVHDYLEASEPYFAVGEYWDSLSYTYGEMDYNQDAHRQRIVDWINATNGTAGAFDVTTKGILHSALERSEYWRLSDEKGKPPGVLGWWPSRAVTFLENHDTGSTQGHWRFPFGMELQGYVYILTHPGTPAIFYDHIFSHLQPEIAKLISIRNRQKIHCRSKIKILKAEGNLYAAEIDDRVTMKIGAGHFEPSGPTKWVVAAEGQGYKVWEVPS >ORUFI01G31910.1 pep chromosome:OR_W1943:1:27278059:27280832:-1 gene:ORUFI01G31910 transcript:ORUFI01G31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAECKVPGVYSETGIPVEDPAPGLNSDVSKKDTPPAVAAPGPGLYFEIGKKARDLLYRDFHTDQKFTLTTYTNNGVAITAASTMQDEAIFSEIQTKLKSNNVMLDVLTTITTEDLGVSGLKQIVSLPFPYQTSGKAELQYLHDYAGISLGVGLTSKPLVNLSGVFGNKSVAVGADVAVDTSTGDFTKYNAGLTINNSDLAAALTLNNKGDSLTASYYHLVNKESGTAAGAELTHSFSTKENTLSFGTQHALDPLTTVKARYNNHGMVSALIQHEWRPKSFVTISTEVAPLVPPPPPS >ORUFI01G31920.1 pep chromosome:OR_W1943:1:27281488:27289204:1 gene:ORUFI01G31920 transcript:ORUFI01G31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCHVIVLRRGKNEAKKWLMHMKLLAAVALHQAKTNILLFSPGHACKVFGRMPHRVPAAQGRVHRLLDGAPDRNRARRVAGGLNPCECSGMDGRNHMQMQPLINERSRCSTHGCRRSNSVPIIELYLQFPWNGPLPRGPWFVVAFGLVSPRAANARNGKSSRQSSSQAVGRSVSNQMRPLRDPAKLAAAASSQRHLREIHAHLLVSGRIASPSHLAAFLASLASSSSDDDDDGDLSYARLLLPRRPATLLAHNSLLRALARGRRPHLAFGAFRDLPLVPDNYSFTFLVRAATALAAAAASALDAALIAGSVHASALRHGHAGDPHVQSGAVSMYAAAGDVGAARAAFAEIASPDVVCVTAMVGALATGGEADAARELFDGMPQRDHVAWNAMIAGYVHTGRSREALRLFDEMRHAGAAVGEVTLVSALTACAQLGALERGKWVHSCAHSRGMRLSVTLGTALIDMYSKCGAVAAAMEVFDSMGERNVYTWTSAVSGLAMNGMGRDCLALFKRMESTGVEPNGVTFVVVLRGCSMAGLVDEGRACFDSMKSNHGIDPWLEHYGCMVDLYGRAGRLDDAVNFINGMPLEPHEGVWGALLNASRIHKNVELGKYAMDKLMAIESKNDAAHVLLSNIYADSQNWKGVSNVRNMMKAKGVKKVPGCSAIEVGGKVHEFFVGGKTHPRHKEIEMMLAEMNQRLRLQGYIANTKEVLFDIEEEDKEDAISLHSEKLAIAFGLVALPEDMEIRIVKNLRVCEDCHDYTKMISKVFNREIVMRDRNRFHHFKDGACSCKDYW >ORUFI01G31930.1 pep chromosome:OR_W1943:1:27282051:27284537:-1 gene:ORUFI01G31930 transcript:ORUFI01G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWKDIYLVLEATVPLYVAMILAYLSIKWWKLFTPEQCSGINKFVAKFSIPLLSFQVISTTDPYDMNIKLIYSDILQKSLALLGFAAISKACCAEKFDWLITGFSLSTLPNTLIVGIPLLKGMYGEQAGKLLSQIVVLQSLIWYTLLLFLFELRAANGMATTTSSETTESGTRGPTQQRYGDVQAKGVSARCSCAFRFLLVVGKKLVMNPNMYACLIGLVWALVGFRWHIRLPLIVSNSIRILSDGGLGMAMFSLGLFTALQTKIIACGAKRMLLALAIRFFLGPALMGMSSYAIGMRGVLLKIAIVQAALPQGIVPFVFAKEYNVQADILSTAIIVGMMVAVPVALAYYFAMIIPAIK >ORUFI01G31930.2 pep chromosome:OR_W1943:1:27282051:27284537:-1 gene:ORUFI01G31930 transcript:ORUFI01G31930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWKDIYLVLEATVPLYVAMILAYLSIKWWKLFTPEQCSGINKFVAKFSIPLLSFQVISTTDPYDMNIKLIYSDILQKSLALLGFAAISKACCAEKFDWLITGFSLSTLPNTLIVGIPLLKGMYGEQAGKLLSQIVVLQSLIWYTLLLFLFELRAANGMATTTSSETTVWALVGFRWHIRLPLIVSNSIRILSDGGLGMAMFSLGLFTALQTKIIACGAKRMLLALAIRFFLGPALMGMSSYAIGMRGVLLKIAIVQAALPQGIVPFVFAKEYNVQADILSTAIIVGMMVAVPVALAYYFAMIIPAIK >ORUFI01G31940.1 pep chromosome:OR_W1943:1:27291230:27291957:-1 gene:ORUFI01G31940 transcript:ORUFI01G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEADKAAAPAPAAAASVAETSDDAIQEESPAPAPSGKPGSEAAAKPEVEVQLFRRGRGPVAVFRSPLGGYTQDQLEVGDILEQHGLKSVFVFHPASRTRGVAIRFHPRNGRSLLTYVAGSTIFLDGEPKDSLLKPVTKVMIGVAAMTAVAAVLLKEGKMPEWLKESKLGNLNFPPWVLACMVIVFMRLRKRTKDAMKKFGWSS >ORUFI01G31950.1 pep chromosome:OR_W1943:1:27292306:27294132:-1 gene:ORUFI01G31950 transcript:ORUFI01G31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPSLPYRHLPQHLAGLLKTRPLHDLLSDASTSRAARHLFDAVPRPTPALCGTLISALSRLCSHQELLDAFSSLHRRGSDVPPGCVPLVVKSCAILAASRQGKQVHCHAIVRGLLGDIFVQTALVDFYAKNGDMDCAVKVFDEMPVKDPIPMNCLITGYSKSGDVVKARRLFDGMVRRTSASWNSMIACYAHGGEYQEALRLFRRMLSEGARPNAITIATMFSICAKTGDLETGKWARSLIAEQDLQNMIVHTALMEMYVKCRAIDEARREFDRMQQRDVVAWSTMIAGYAQNGRPHESLELFERMKATSCKPNEVTLVGVLSACAQLGSDELGGQIGSHVESQNLPLTSYLGSALIDMYTKCGHVGRARSVFNRMEHKVVITWNSMMRGLALNGFAQDAITLYKEMTEEDVQPNEITFVALLTACTHAGLVDQGMSFFKEMKTIHHVSPQVEHCACIVDLLCKSGRLREAYKFICDMEVEPNAVIWSTLLSACRAHADVELAKLAASKLLVLEPDNSSIYVLLSNIYADAGLWGDVREIRDLMRSKNVQKLSAYSWIELDGEVHKFLVQDTYHPKSAEIFNVVDGMGLHLDDVDSDPDLFVLEHY >ORUFI01G31960.1 pep chromosome:OR_W1943:1:27307331:27311305:-1 gene:ORUFI01G31960 transcript:ORUFI01G31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKSVLLGKKSAKSNSTKAKDLAKAANNKPVLSEDPPVISEPALVNSHNVGNAENCKLPNGVAVEAMGQGVENQNIVGSKAPTSPEKLSEELAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAASTLRVTWLIVKLQALVRGRNVRLSGASIQFVVKSGQHKFLSDKPSDAWKEKVSSNAYVRKLLSSSIGLEALHLQYDKRDPNSLYNWLERWTISQIWKSSSQPKKVADGKPQVRKASYAMETESAKLKRNVRKSSAVTVDSFQTNMTVEPEKIKRNSRKFSSSAADSVPDSQLSELEKVKRNLRKVTNSMAEASKISSSRADASKVSSSMADASKVSSSTADASKVSDSVAQIPPSLVNGISDHQDNQCEEAQQNACVSFPPETQELHSGILLEDNSHMNLLEPDLISNPETPFTSILTWEKFNDSTADAQEVEVLPLQNIDNEDNFPENGVLGKKEKPRSKEEPLSNGNLKTSKRRSSFSTKSDYPENGAQNTPVPRRKPSYMAATESAKAKLRGQNSPRLDSDSPADMNGFTRRQSLPSSTNSKLNPHSPHTQGPIYFKFD >ORUFI01G31970.1 pep chromosome:OR_W1943:1:27316530:27317984:-1 gene:ORUFI01G31970 transcript:ORUFI01G31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLFLALQCVQCSTMQVKPQKKSSNKWVCVVCNQRQSVMRVHARGHRAADLRRFVQEANLSRGRAAHVPVPEEDWVPAVPGEQRDEFPKERKRRMDWSDYLEDPGEYDGGGHHEEARDEGIQVTTELPEKRPKVTSLKRAPKAQLGLGGKRPKTPVTSTLPKRQPIEEAQRSKWSNYLDTSFSEGGSGFEDSERHCSELECSITDVVVDDEVHPDFV >ORUFI01G31980.1 pep chromosome:OR_W1943:1:27322282:27328191:1 gene:ORUFI01G31980 transcript:ORUFI01G31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPTTSASAAATTRLFLPTRRHLHETASVPAPSRTIARRYSGGVRSLGPPRAAAGERGGGAGGTITGKGAVRIVSIVGDGSISPLKDTPWEEVMRHTANRMKWVDEGFEMLVFTDKSIGHDELRKELAQCDMLVNVAITSQETVQWLINNSEDIPNIICFQSSPTLENKLGGRYVQYTGRQDMFCKLTNIGETGGMKESAEVLKAVSNAWERHNSDDIRFCLLVVVNAYIRPVDMLQNLRAKGLSTLSCMIRNCGPQILNCLFNPNCRKALQCLNSCSPTDQVCNYRCIASYESPHLEAFSLCVLQKNNCLDLNAEIPSKPSVPPLTMFREQMLSHELAEDMFVGWLDNLEWSWRVVAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPIFQVRTLEGELVWRRRKYRVRRALIPGTFYFSVLDNGVVSKEFWTIVDVSDDFSWGLFHYHGAAQAAGLAYTGAVLVSPDGSCPDLDDPRLASALDKCGIKKWELYMVDNCSCTGAPLGTPGDAKLHYQIAPGKESDPPQQFALFTVQDWSCGPVEVIEKKQRARMAGLYERPSETYTKKRPRYPDAWFSKLAALTAGHHRAWDAGCGTGQASISIAEHYDSVVATDASEGQIRHAVAHPKVRYLHTPVDLSEDDLVAMVGGEGSLDLVVVATSIHWFDIPLFYAVANRVLKRPGGVLAVWGYNYEIHPFEDKLHGQLYPAMRPYMDPRTRLAMDRYRDLPFPFEPVGVGREGEPADVDIEVDMTLDDLVGFLKTGSVVTTAREKGVDLEAVTKDVMKGVEAEWGDPAVARKLVFKAFMLAGKPKVLN >ORUFI01G31990.1 pep chromosome:OR_W1943:1:27337792:27343960:1 gene:ORUFI01G31990 transcript:ORUFI01G31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSTSASARATPPARSLPPLGASGSQQEPAATASHHAAGAGASSRPMRRKGRKQKQLWPKTVLRKWLNIRSPESDFSADEGEATGDDDTDSEFEYEEMCHWERQLYDEERRLRGLGAETIGIYLIRIIIVFNTFIGKRVIDKASHEKFELHITNEINSQMEGAPYKLNRRRKVCVGTWNVAGRLPPDDLDIQDWLDMEEPADIYVLGFQEIVPLNAGNIFGAEDNRPVAMWEHIIRETLNKISPDKPKYKCHSDPPSPSRFKPSDDVEDELVSESDSESGGEVHPWNEQDFTVDDDSVHSNKYEHSTSGPTETTVNGNNFSRVPSMKIFDRSHNLSFKDYVSSLEEPIHQKMLTKTLSYSERLGMIWPEQPLDILAQCLPDSTKPFISEKALRSCLSFKSAHGDSNAFPDDCLVHDFNIKSALVKTKRPYFVRIISKQMVGVFISIWVRRSLRKHIQNLKVSTFCALWSYHLMYIYYALGSIAVSMSIYQTLFCFICCHLTSGEKDGDELKRNADVQEIHRRTIFNPVSRVSMPKTIYDHERIIWLGDLNYRINLSYEKTHEFISMKDWNGLFQNDQLKREFKKGHLFDGWTEGVISFPPTYKYKVNSEKYTSDEPKSGRRTPAWCDRILSFGKGMRLQAYRTVDIRLSDHRPVTAVYTSDVEVFCPKKLQRALTFTDAEVEDQFSFEEESTSGIFSF >ORUFI01G31990.2 pep chromosome:OR_W1943:1:27337792:27343960:1 gene:ORUFI01G31990 transcript:ORUFI01G31990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSTSASARATPPARSLPPLGASGSQQEPAATASHHAAGAGASSRPMRRKGRKQKQLWPKTVLRKWLNIRSPESDFSADEGEATGDDDTDSEFEYEEMCHWERQLYDEERRLRGLGAETIDSQMEGAPYKLNRRRKVCVGTWNVAGRLPPDDLDIQDWLDMEEPADIYVLGFQEIVPLNAGNIFGAEDNRPVAMWEHIIRETLNKISPDKPKYKCHSDPPSPSRFKPSDDVEDELVSESDSESGGEVHPWNEQDFTVDDDSVHSNKYEHSTSGPTETTVNGNNFSRVPSMKIFDRSHNLSFKDYVSSLEEPIHQKMLTKTLSYSERLGMIWPEQPLDILAQCLPDSTKPFISEKALRSCLSFKSAHGDSNAFPDDCLVHDFNIKSALVKTKRPYFVRIISKQMVGVFISIWVRRSLRKHIQNLKVSTGSIAVSMSIYQTLFCFICCHLTSGEKDGDELKRNADVQEIHRRTIFNPVSRVSMPKTIYDHERIIWLGDLNYRINLSYEKTHEFISMKDWNGLFQNDQLKREFKKGHLFDGWTEGVISFPPTYKYKVNSEKYTSDEPKSGRRTPAWCDRILSFGKGMRLQAYRTVDIRLSDHRPVTAVYTSDVEVFCPKKLQRALTFTDAEVEDQFSFEEESTSGIFSF >ORUFI01G32000.1 pep chromosome:OR_W1943:1:27351171:27354432:-1 gene:ORUFI01G32000 transcript:ORUFI01G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIENQSQGQRAAEAAAQPRIPREARRLLHEMAASWADVADCRALQVIPLKGAMTNEVYQVRWLNGAPATADGGEVEAEAAAREREVRKVLVRIYGDGVELFFDREDEVRTFECMSRHGQGPRLLGRFTNGRVEEFIHARTLSAADLRDPEISALVASKLREFHNLDMPGPKSVLIWDRLKNWLKTARNLCSSDESKKFRLGSLENEIAALEKEFSGDYHGIGFCHNDLQYGNIMIDEDTNMLTIIDYEYASFNPVAYDIANHFCEMAADYHSEKPHRLDYSKYPDTDEQKRFVKTYLSNSVSEEPDAEEVESLLQSIEKYTLASHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYWQKKQALLTS >ORUFI01G32010.1 pep chromosome:OR_W1943:1:27359667:27360867:1 gene:ORUFI01G32010 transcript:ORUFI01G32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSSSEAMAWRGAGGGSGSGPREGDDGQRRGIGALARGKGGSPHGGSGSGWPSTSKFKY >ORUFI01G32020.1 pep chromosome:OR_W1943:1:27362537:27363597:1 gene:ORUFI01G32020 transcript:ORUFI01G32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVGETQIVAVPGFGGPAPSPGRWWPMDGVHEAWLRVLADLPPRAASDGDGSIVFFTGTGIVSATIASFAFAAAAEQREKGTNSETGPENIITNIEQEPELGPNNTEKWTIISQRTVARFLEEAKAQTAKNITYHAKIFRGFFIKENEKQMVGACVKGKEGELPRPNLYEHHKEKR >ORUFI01G32030.1 pep chromosome:OR_W1943:1:27378683:27383410:1 gene:ORUFI01G32030 transcript:ORUFI01G32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKARVTSSCANVEASQLTSRTLLTLRLADRTKPDDEPHNANELLLPDKILLVVTIVHIVASSVAHRMDVCARRVGNSESGCYINLK >ORUFI01G32040.1 pep chromosome:OR_W1943:1:27391356:27403331:1 gene:ORUFI01G32040 transcript:ORUFI01G32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPAHRRPPTAASRKKRSSKPARGQHTPHATTQDQRIQQPRKKKKQQRWWHGWSDEIAANTPLLPEPAELIDPPPPPTTCTRAAPCADLRPTAREPEREGKRERQWPTVRSLETPRDRKAMETGRHRSQSLGSAGLAFHALLCSSLLLNAVFFAHHLLRSSPTATPERLGDGLSWALQAAREAEAVAAADCSGHGNVFLDGVTGEDGRPRCECNRCFSGPDCSVRTPNCTADADSGNPLFLEPYWRRHAAASAVVFSGWHRLSYITTGGRFHSVELDRHIRLLHRAVGNAVVDDKYLVFGAGSMQLINALVYALSPDGNADSPPASVVATVPYYPKYKSQTDMFDGREYRWDGTTATWSNNGSRNSTKGFIEFVTSPNNPDTALRKPVLAGSSAIIDHAYYWPHLTHIPAPADEDVMLFTASKLSGHAGSRFGWALIRDEKVAKRALSYVEQSIMGASRDTQLRMLKILKVILANLHGKEDIFAFGYDVMRSRWRRLNAVVSRSTRISLQKIPPQYCTYFNRIKEPSPAYAWVKCEWEEDVDCYETLLAAGIISRSGTLSEAEARYTRMSLLKAQDDFDVLLERITEFVDAEEHGRAPGGSSSM >ORUFI01G32040.2 pep chromosome:OR_W1943:1:27383698:27386812:1 gene:ORUFI01G32040 transcript:ORUFI01G32040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGWHRSQSLASAGLGLHVLLCSSLLLNAVFIAHQFLGASPPATPERLGDGLSWALQAAKEAEDVAAVDCSGHGSVFLDGVTGEDGRPGCECNSCFSGPDCSVRIPNCAADGQGGDPLFLEPYWKRHAAASAVVFSGWHRLSYITTDGHLKSVELDRQIRRLHRAVGNAVVDDKYLVFGTGSTHLINALVYALSPEGNAASPPASVVATVPYFAMYKSQTVMFDGREYRWDGTTAAWANNNSSRNPTRGFIEFVTSPNNPDSTLHEPILAGSSAIVDHAYYWPHLTHIPAPADEDVMLFTTSKLSGHAGSRFGWALVRDEKVASRAISYIEESTVGTSRETQLRVLKILNVILANLHGKEDIFAFGYDVMSSRWRRLNAVVSRSTRISLQKMPPQYCTYFNRIKEPSPAYAWVKCEWVEDDDCYETLLAAGINSLTGTVNEAGTRYTRLSLIKTQDDFDMLLERITEFVDAEKHGRTPAASSSM >ORUFI01G32040.3 pep chromosome:OR_W1943:1:27383698:27403331:1 gene:ORUFI01G32040 transcript:ORUFI01G32040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGWHRSQSLASAGLGLHVLLCSSLLLNAVFIAHQFLGASPPATPERLGDGLSWALQAAKEAEDVAAVDCSGHGSVFLDGVTGEDGRPGCECNSCFSGPDCSVRIPNCAADGQGGDPLFLEPYWKRHAAASAVVFSGWHRLSYITTDGHLKSVELDRQIRRLHRAVGNAVVDDKYLVFGTGSTHLINALVYALSPEGNAASPPASVVATVPYFAMYKSQTVMFDGREYRWDGTTAAWANNNSSRNPTRGFIEFVTSPNNPDSTLHEPILAGSSAIVDHAWALIRDEKVAKRALSYVEQSIMGASRDTQLRMLKILKVILANLHGKEDIFAFGYDVMRSRWRRLNAVVSRSTRISLQKIPPQYCTYFNRIKEPSPAYAWVKCEWEEDVDCYETLLAAGIISRSGTLSEAEARYTRMSLLKAQDDFDVLLERITEFVDAEEHGRAPGGSSSM >ORUFI01G32040.4 pep chromosome:OR_W1943:1:27383698:27386812:1 gene:ORUFI01G32040 transcript:ORUFI01G32040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGWHRSQSLASAGLGLHVLLCSSLLLNAVFIAHQFLGASPPATPERLGDGLSWALQAAKEAEDVAAVDCSGHGSVFLDGVTGEDGRPGCECNSCFSGPDCSVRIPNCAADGQGGDPLFLEPYWKRHAAASAVVFSGWHRLSYITTDGHLKSVELDRQIRRLHRAVGNAVVDDKYLVFGTGSTHLINALVYALSPEGNAASPPASVVATVPYFAMYKSQTVMFDGREYRWDGTTAAWANNNSSRNPTRGFIEFVTSPNNPDSTLHEPILAGSSAIVDHAYYWPHLTHIPAPADEDVMLFTTSKLSGHAGSRFGYTRATEFPAACHLQARHVHNDTKADRYTPCRALRRWALVRDEKVASRAISYIEESTVGTSRETQLRVLKILNVILANLHGKEDIFAFGYDVMSSRWRRLNAVVSRSTRISLQKMPPQYCTYFNRIKEPSPAYAWVKCEWVEDDDCYETLLAAGINSLTGTVNEAGTRYTRLSLIKTQDDFDMLLERITEFVDAEKHGRTPAASSSM >ORUFI01G32050.1 pep chromosome:OR_W1943:1:27387852:27388142:-1 gene:ORUFI01G32050 transcript:ORUFI01G32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGSERQDERPPLPCANGCGFSGSANTRGLCSKCYRDSLRQTVMSQAPSSSSSTELHGAVPCRRAFPWTRGRCLRRRRRGRRRRAGALRAGGEWG >ORUFI01G32060.1 pep chromosome:OR_W1943:1:27405037:27407262:1 gene:ORUFI01G32060 transcript:ORUFI01G32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPMLHHHTTSADMWDPRTGAPTGQPSSAEDRATPTGISSSHQGDWLGGGDARWRRCWTETGLKLCLTSTAARSLLLAAGIISRYTRVNLLKTQDDFDVLLERITDFVYAEKHSRAPVASG >ORUFI01G32060.2 pep chromosome:OR_W1943:1:27406937:27407262:1 gene:ORUFI01G32060 transcript:ORUFI01G32060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAGAGRVQATGWVVVMRGGDAYAWVKCEWEEDVDCREIAAAGGGDHLATQDDFDVLLERITDFVYAEKHSRAPVASG >ORUFI01G32070.1 pep chromosome:OR_W1943:1:27408134:27408568:-1 gene:ORUFI01G32070 transcript:ORUFI01G32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGSERQDERPPLPCANGCGFFGSADTRGLCSKCYRQTVMSQASAPSAAAQSAEHDQVVLPAPEGVPVDEGAMPPPPPRHGAKCAACGRSVGLMGFECRCGGVFCGAHRYSDRHDCGYDYRGAGRDAIARANPVVRPDKVEKL >ORUFI01G32080.1 pep chromosome:OR_W1943:1:27431760:27435122:-1 gene:ORUFI01G32080 transcript:ORUFI01G32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRQQAVPNQAALKGWSGGDGACRFPGAGCRNGRLTSLSLAGVPLNAEFRAVAATLLQLGSVEVLSLRGANVSGALSAAGGARCGSKLQALDLSGNAALRGSVADVAALASACGGLKTLNLSGDAVGAAKVGGGGGPGFAGLDSLDLSNNKITDDSDLRWMVDAGVGAVRWLDLALNRISGVPEFTNCSGLQYLDLSGNLIVGEVPGGALSDCRGLKVLNLSFNHLAGVFPPDIAGLTSLNALNLSNNNFSGELPGEAFAKLQQLTALSLSFNHFNGSIPDTVASLPELQQLDLSSNTFSGTIPSSLCQDPNSKLHLLYLQNNYLTGGIPDAVSNCTSLVSLDLSLNYINGSIPASLGDLGNLQDLILWQNELEGEIPASLSRIQGLEHLILDYNGLTGSIPPELAKCTKLNWISLASNRLSGPIPSWLGKLSYLAILKLSNNSFSGPIPPELGDCQSLVWLDLNSNQLNGSIPKELAKQSGKMNVGLIVGRPYVYLRNDELSSECRGKGSLLEFTSIRPDDLSRMPSKKLCNFTRMYVGSTEYTFNKNGSMIFLDLSYNQLDSAIPGELGDMFYLMIMNLGHNLLSGTIPSRLAEAKKLAVLDLSYNQLEGPIPNSFSALSLSEINLSNNQLNGTIPELGSLATFPKSQYENNTGLCGFPLPPCDHSSPRSSNDHQSHRRQASMASSIAMGLLFSLFCIIVIIIAIGSKRRRLKNEEASTSRDIYIDSRSHSATMNSDWRQNLSGTNLLSINLAAFEKPLQNLTLADLVEATNGFHIACQIGSGGFGDVYKAQLKDGKVVAIKKLIHVSGQGDREFTAEMETIGKIKHRNLVPLLGYCKAGEERLLVYDYMKFGSLEDVLHDRKKIGKKLNWEARRKIAVGAARGLAFLHHNCIPHIIHRDMKSSNVLIDEQLEARVSDFGMARLMSVVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKPPTDSADFGEDNNLVGWVKQHTKLKITDVFDPELLKEDPSVELELLEHLKIACACLDDRPSRRPTMLKVMAMFKEIQAGSTVDSKTSSAAAGSIDEGGYGVLDMPLREAKEEKD >ORUFI01G32090.1 pep chromosome:OR_W1943:1:27440737:27441543:1 gene:ORUFI01G32090 transcript:ORUFI01G32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQALVLANFLAAALLHPLLCQGAAAASPASIAGKLAMDGMAIDQGIDHLLVLAAIFVMCLFR >ORUFI01G32100.1 pep chromosome:OR_W1943:1:27446975:27451730:1 gene:ORUFI01G32100 transcript:ORUFI01G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRSELLRPAFGEPSPSLGPFVVNPHTCSYRWWQKFLIVLVLYTAWASPFELAMEKSASAALAVTELVVDAFFAVDIAVSFFVAYRDASTGLLVTDRKKIATRQALYGLLNLLRLWRLRRVSKLFARLEKDIRFSYLWTRLIKLLYVTLFAVHFASCIYLWMAFHHKAKELTWIGSQFHGFEDRSVWFCYTCAVYWSITTLATVGYGDLHAANTGEMLFSIAFMLFNMGLTSYIIGNITNLVVHETANTFKMRDMVQRTSVFGRTNRLPVAMREQMMESLQLRFRAEEQLQQEMLSELPKAVRSGIAQHMFRGAVQSCYLFQGVSDKLVLPLVAEMKAESFPPKADIILENEASTDCYIIVSGEVEVLTTLEDGTEKQVMRIGPRGMAGEIGVMFNIPQPFTIRSRKLTQLARISHSHMVQTIRPNTADGVVVFSNFVLFTISHNVFQYLESLKVKAKETTFVRDHLRNGYSTVLGSATMFDVDESKESAHKMLPCKEPKRVSIHEHLLNGTGTALNGSSGKLVILPDSMQDLMKLSEKKFGKAARGILTVGGAEVEDIEVIRDGDHLFFSW >ORUFI01G32110.1 pep chromosome:OR_W1943:1:27452248:27452748:1 gene:ORUFI01G32110 transcript:ORUFI01G32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPLTVGEARSGVSRAQVWACAVVVPCFIVGAYLAESYGAAAAASSFFGSVPWRLPLLVSFAVYLSTVSCVRSYVSLYLRPHTPAHVDRAIQSVGFVGVGLALGVVQSVVLVAAGDNRVVMALTCVIAVFNAGAIALWAWLIAMYRRRRAGVSSSCGKQFYCS >ORUFI01G32120.1 pep chromosome:OR_W1943:1:27454707:27455675:-1 gene:ORUFI01G32120 transcript:ORUFI01G32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAAPAAAAAAELPSPSPSSSGASPSPRSKRRRTDRYAQGFEFAPRPAPATATATAPAPTPARGTPEWSEGSTFALLDAWGDRFVRAGRRSLRADEWLEVSRLAAAAASRPPGYYSEQQCRNRIDTLRKKYRKEKERMRLAARRPDRPDRPSPSKWIYFDKMQSLMCPPPLPLQPPVVTRRRDTQPVPRQSWGLDAAEYVLGGCENAGTRDSGSGAELGEEQPNEAGAGKGEDFELLVESIRKLGDVYERVESSKRQHMAEVEWLRRDLQRDLEVRRREILEKAQAEIARLTEEDGEEGDLKEGEGDDNKRFGDDGGGEE >ORUFI01G32130.1 pep chromosome:OR_W1943:1:27463776:27466163:1 gene:ORUFI01G32130 transcript:ORUFI01G32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNDQGLAQKSTAPTGFFRVPGLFVRLSSKGLNAVDPDSAWSPTSPLDFKNLSSSTGSTNLKSSGLLGVEADQKFRTNPPRVGLGLVDALTADESSSCLGVTSSFLDSIRPFLELGLPKAASDAAMQKNGSGSVTLDEIADFALSEEYTCVIEHGPNPRTTHILGDETLEVCKGVPRSSKKSIFTIKPIGELPSTLACAVSRSCCYCRKRLQQDRDIYMYLGEKAFCSNECRRDYIDEEIEEVEELMMLDSAGSSALLAW >ORUFI01G32140.1 pep chromosome:OR_W1943:1:27467674:27468809:-1 gene:ORUFI01G32140 transcript:ORUFI01G32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTQSPRFLSSSRGQLGTNPSPRRHHPSLLSPAFPRPKRVVGSRLRQCGRGVVDGETKCVLVRHDKVGRAWWWWPARWPAQREVRPVEAEPNEVTSRGD >ORUFI01G32140.2 pep chromosome:OR_W1943:1:27467674:27468611:-1 gene:ORUFI01G32140 transcript:ORUFI01G32140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADCRTWRFLSSSRGQLGTNPSPRRHHPSLLSPAFPRPKRVVGSRLRQCGRGVVDGETKCVLVRHDKVGRAWWWWPARWPAQREVRPVEAEPNEVTSRGD >ORUFI01G32150.1 pep chromosome:OR_W1943:1:27469027:27472475:-1 gene:ORUFI01G32150 transcript:ORUFI01G32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLLLKMKLLAAGARYPDSASCDCLVINLLGLCRLELFDIALFLWCKTFKMGAMDVQLESTAVQHGQAKINVEEHALVSLLSDEKYATEKTEDVDPDDYEKLEEGIMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNETKNSIKIDAVKRHELPRHEVQQVICSLCGTEQEVRQVCISCGVCMGKYFCEVCKLFDDDVSKQQYHCNGCGICRIGGKENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLREMEEHCQFACPLCSKSVCDMSKAWERLDEELATISDTCDNKMVRILCNDCGATSEVQFHLIAHKCQKCKSYNTRQI >ORUFI01G32150.2 pep chromosome:OR_W1943:1:27469027:27472475:-1 gene:ORUFI01G32150 transcript:ORUFI01G32150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLLLKMKLLAAGARYPDSASCDCLVINLLGLCRLELFDIALFLWCKTFKMGAMDVQLESTAVQHGQAKINVEEHALVSLLSDEKYATEKTEDVDPDDYEKLEEGIMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNETKNSIKIDAVKRHELPRHEVQQVICSLCGTEQEVRQVCISCGVCMGKYFCEVCKLFDDDVSKQQYHCNGCGICRIGGKENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLREMEEHCHLKLMLRFACPLCSKSVCDMSKAWERLDEELATISDTCDNKMVRILCNDCGATSEVQFHLIAHKCQKCKSYNTRQI >ORUFI01G32150.3 pep chromosome:OR_W1943:1:27469025:27474080:-1 gene:ORUFI01G32150 transcript:ORUFI01G32150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMDVQLESTAVQHGQAKINVEEHALVSLLSDEKYATEKTEDVDPDDYEKLEEGIMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNETKNSIKIDAVKRHELPRHEVQQVICSLCGTEQEVRQVCISCGVCMGKYFCEVCKLFDDDVSKQQYHCNGCGICRIGGKENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLREMEEHCQFACPLCSKSVCDMSKAWERLDEELATISDTCDNKMVRILCNDCGATSEVQFHLIAHKCQKCKSYNTRQI >ORUFI01G32150.4 pep chromosome:OR_W1943:1:27469027:27471879:-1 gene:ORUFI01G32150 transcript:ORUFI01G32150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMDVQLESTAVQHGQAKINVEEHALVSLLSDEKYATEKTEDVDPDDYEKLEEGIMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNETKNSIKIDAVKRHELPRHEVQQVICSLCGTEQEVRQVCISCGVCMGKYFCEVCKLFDDDVSKQQYHCNGCGICRIGGKENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLREMEEHCHLKLMLRFACPLCSKSVCDMSKAWERLDEELATISDTCDNKMVRILCNDCGATSEVQFHLIAHKCQKCKSYNTRQI >ORUFI01G32160.1 pep chromosome:OR_W1943:1:27493464:27499306:1 gene:ORUFI01G32160 transcript:ORUFI01G32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEAGDVDGRNHQRPGRGSSASSRHLHGVGGASAAEVNLSGRRPFAEKLWSDLAETFFPDDPFRGFGALPPARRAWCAVKYFVPALDWVPRYGLDKFKFDLLAGITIASLAIPQGISYARLANLPPIIGLYSSFVPPLMYAVFGSSNNLAVGTVAAASLLLASIIETEVAADENPQLYLQLFYTAAFFTGLFQTALGVFRLGLIVDFLSRSTITGFMGGTAMIIILQQFKGLLGMKHFTTKTDIISVLHSTYHYRHEWKWQSAVLGICFLLFLLSSKHLRKKLPKLFWVSAIAPFMVVVIGGVFAFLVKGDEHGIPIVGNLNKGINPLSIAQLTFQSRHMKTAVKAGLLSGILALAEGIAVGRSLAMVKNEQIDGNKEMIAFGIMNIAGSFTSCYLTTGPFSKSAVNFHAGCKTPMSNVVMSVCIMLVLLFLAPLFKYTPLVALSSIIVVAMIGLVKVKEFCHLYKVDKFDFCICMVAFLGVVFFTMVAGLSASVGLSVVRALLYVARPATCKLGNIAGSETFRDVKQYPQAKSIPGILVLQLGSPIYFVNAGYLRERILRWVEDEDNLCKSVGHDLQYLVLDLGGMTIALTNPRLEVTEKLVLSGYVRDILGDEWVFLTVKDAITACRYALQISRNKGEDEV >ORUFI01G32170.1 pep chromosome:OR_W1943:1:27500592:27507392:1 gene:ORUFI01G32170 transcript:ORUFI01G32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEEAEEEVEDEEEYESDLDDAPLPAVRRRAAASDDEEGGGASGSSAPWSVAGSDLDSYSDSDGQGAAEMYDDEEEGSEERDELEAGGGGGGGGGVGGGEALEDEGKCADEEALEDEGRYGDEEADGVVAALGDEGKCDGEEAEVEAAVEGAEVVNKEGEAQAVPTIGAFYMHDDRFRDPENGRHGSQRKNFGGQKLWYPKDDNVWAHDRFYEMNSHHDRLYETNSHNSPNDSGRGPRGSFRAWGGDRTHRYDHGYLERTLSQSYYHDDREEYKYVPKEPRTFFATTRDHISFLKESNNMYGSANNYKRVPSKFHTYYDHGDTKNFAYVQRESHTYYGNAKDFTSAHDGYRGGVSNPYVSHWRSDPEICSGQYIRSQNEEASSNAEGGKHPSQTLGFQTEKNFPMKQTSPSNLNSASPSFYHSRSSHQEQPFIQRGKARAVMFSKLFTSSVRMAHNSLKPQSRPVYRVKAVVPYGRGNTLDSLSTNAMEEIDNPGSNLSGSASDNYIQYSKSSDKGTVKTELKEEVEGPSFTYDEGHVHGVTGARGLTLSDKALQFNGQHPRGPDTPFIGRTLPRFVVRQLVGGSSEMNQMTWLLVSSSSTGAPGATHNPPHFGSYYPQPSELVPSLVSPRDHSVTEDLTHEIPGHQLGQRQNKIRRDELCLIEIMRLLYCRKLQCLLKTKSQTNPFNSILFSKALIFVLYSKIQQSLNYSRARSQVFIRLDHAEITIWIGRNGQWREEAPGPCFTLFRPSCSYIRDPSTVAPPSEHVHVVVWFKTRQREPT >ORUFI01G32180.1 pep chromosome:OR_W1943:1:27509917:27512334:1 gene:ORUFI01G32180 transcript:ORUFI01G32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLGFEKVLDCFSLALCTNACVCIHSVEDDEEEAIEREALVSSQLEELVKLKDLVGGAKTLAFHLEPKTVELRVSMHCYGCAKKVQKHISKMEGVTSFEVDLESKKVVVIGDITPYEVLASVSKVMKFAELWVAPNSQGC >ORUFI01G32190.1 pep chromosome:OR_W1943:1:27514786:27518483:1 gene:ORUFI01G32190 transcript:ORUFI01G32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLRAAAPASFLAPPAPPLLLPPSTPTPRGAFSAKASPAAAAAQAHGWCPSPRRVGRLRRRAGAASSSVASVEDAKKDVLVALSQIIDPDFGTDIVSCGFVKDLEISEALEEVSFRLELTTPACPIKDMFEEKANEVVAALPWVKKVNVTMSAQPARPAYAGELPEGLQKISNIIAVSSCKARPQDNNKSGGVGKSTVSVNLAYTLAGMGARVGIFDADVFGPSLPTMVSPENRLLVMNPESRSILPTEYLGVKMVSFGFAGQGRAIMRGPMVSGVINQLLTTTDWGELDYLVIDMPPGTGDIHLTLCQVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCYFDADGKRFYPFGQGCAAVWNTSPLRFADTANSSGDTGIPEVVADPQGDVAKTFQNLGVCVVQQCAKIRQQVSTAVSYDRSIRAIRVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKVQYGDIPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLEMLERLVDVPRATTAAVSS >ORUFI01G32190.2 pep chromosome:OR_W1943:1:27514786:27518482:1 gene:ORUFI01G32190 transcript:ORUFI01G32190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLRAAAPASFLAPPAPPLLLPPSTPTPRGAFSAKASPAAAAAQAHGWCPSPRRVGRLRRRAGAASSSVASVEDAKKDVLVALSQIIDPDFGTDIVSCGFVKDLEISEALEEVSFRLELTTPACPIKDMFEEKANEVVAALPWVKKVNVTMSAQPARPAYAGELPEGLQKISNIIAGGVGKSTVSVNLAYTLAGMGARVGIFDADVFGPSLPTMVSPENRLLVMNPESRSILPTEYLGVKMVSFGFAGQGRAIMRGPMVSGVINQLLTTTDWGELDYLVIDMPPGTGDIHLTLCQVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVVQQFGIPHLFDLPIRPTLSASGDTGIPEVVADPQGDVAKTFQNLGVCVVQQCAKIRQQVSTAVSYDRSIRAIRVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKVQYGDIPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLEMLERLVDVPRATTAAVSS >ORUFI01G32200.1 pep chromosome:OR_W1943:1:27520565:27521521:1 gene:ORUFI01G32200 transcript:ORUFI01G32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVQGVYVMERDRQWNRHGHDARAPAWWRFFHFEVREVLVDAADSSIFGAVYAFQPPWHLLDPAAAAAASAPHYVVAFRGTITKKASASRDLALDLQLVRNGLDRTSRFHAAMRTVHAVVAAAGHQHHHRVWLAGHSLGSAISTLAAKSMARAGVALPTFLFNAPFPSAPVERIGDRRVRQGVRIANSFVTAAAATLLHHGSGGGGYDAFAALARWVPNVFVNPGDPISAEYVGYFDHRKKMEDIGAGAVGRVATRNSVKDLLLGIGTAGGCEPLHLFPSAVLTVNRGASPDFKAAHGIHQWWRPDLALECAAHYY >ORUFI01G32210.1 pep chromosome:OR_W1943:1:27522315:27523655:-1 gene:ORUFI01G32210 transcript:ORUFI01G32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKTLLLFLVVLLPVANACQPPRTLHVPVFHRDALFPPPPGAKRGSLLRQRLAADAARYASLVDATGRLHSPVFSGIPFESGEYFALVGVGTPSTKAMLVIDTGSDLVWLQCSPCRRCYAQRGQVFDPRRSSTYRRVPCSSPQCRALRFPGCDSGGAAGGGCRYMVAYGDGSSSTGDLATDKLAFANDTYVNNVTLGCGRDNEGLFDSAAGLLGVGRGKISISTQVAPAYGSVFEYCLGDRTSRSTRSSYLVFGRTPEPPSTAFTALLSNPRRPSLYYVDMAGFSVGGERVTGFSNASLALDTATGRGGVVVDSGTAISRFARDAYAALRDAFDARARAAGMRRLAGEHSVFDACYDLRGRPAASAPLIVLHFAGGADMALPPENYFLPVDGGRRRAASYRRCLGFEAADDGLSVIGNVQQQGFRVVFDVEKERIGFAPKGCTS >ORUFI01G32220.1 pep chromosome:OR_W1943:1:27524162:27526390:-1 gene:ORUFI01G32220 transcript:ORUFI01G32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAAMAALGSKLATLGSAAREAARVAARQGCAYNKAVVEGSRQLQSRACEATRSAAKHGRAFHEELMERNKRYVIDPPTIQTCQELSKQLFYTRLASIPGRYESFWKEVDGAKLLWKNRKNLNLKAEDIGVATLFGIELIAWFAGGEVVGRGFTFTGYHV >ORUFI01G32230.1 pep chromosome:OR_W1943:1:27527867:27530329:-1 gene:ORUFI01G32230 transcript:ORUFI01G32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRTARLALLSAPRAYSAAATGAGAAPARYAGAPPPAPQSKAAEFVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHAGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWTK >ORUFI01G32240.1 pep chromosome:OR_W1943:1:27532114:27532938:-1 gene:ORUFI01G32240 transcript:ORUFI01G32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVVVFDFDKTIIDVDSDNWVVDGLGATEEFERLLPTMPWNTLMDTMMGELHARGKTLGDVAAVLRSAPLDPRVVAAIKACYGLGCDLRILSDANRFFIDTILDHHGLTGYFSEINTNPSAVDAATGRLRIAPYHDFHAGPHGCGLGICPPNMCKGQVLDRIRASAGAAGKRVIYLGDGRGDYCPSLRLGRDDFMMPRRGFPVWELICEDPSLLQAEVHPWADGAEMEETLLRLVGRVLLEERNLPPLDCKLESLPAVAVQDGMPMTLRIKN >ORUFI01G32250.1 pep chromosome:OR_W1943:1:27537653:27538450:1 gene:ORUFI01G32250 transcript:ORUFI01G32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPAALAGKAVANAKVFGEGRVTMRKSAAKPKPAAASGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWGCQVVLMGAVEGYRVAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >ORUFI01G32260.1 pep chromosome:OR_W1943:1:27539416:27547732:-1 gene:ORUFI01G32260 transcript:ORUFI01G32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGAAAAEYSALLSLSCGPITRRRFAVSCRARPPGNLSLRTSMERPQKSTSSEDDTNGAISQIDEKIAAIGNEQQERSKDKHFESDFQLEDFGEMIQNMEKNILLLNQARLQAIEDVDKILTEKEALQKKVDTLEMNLSKALATKGNINTDIPGDHLEKFTKEILIESALSGGNPAHLCESPLFMELTVLKEENMLLKADAQFLKAKIVEFAETEEFLFKLEKERSLLDATVRELEARFLVAQTDIWKVVPLQYDVWMEKVENLQHMLGCLKNHVEKYAALLDQHDDLHDKIDELEASLKEGKTSEFSPYVVELLQQKLKAAKSRHQAGHQETNTHIQVYQQLTEEFQDNLGKLIEESGRLEHSANSMPSEFWSHILLMIDGWFLERKIPNTDARMLREMAWKRDDRICEAYFACKGAKESDVMETFLKLSLSGNSSGLHIVHIAAEMAPVAKVGGLADVVAGLGKALQTKGHLVEIVLPKYDCMQLDQITNLKVLDVVIQSYFDGNLFSNNVWTGTVEGLPVYFIEPQHPSKFFWRAQYYGEHDDFKRYSYFSRAALELLYQSGKKIDIIHCHDWQTAFVAPLYWDIYATRGFSSARICFTCHNFEYQGTAPAPDLSYCGLDVEQLDRPDRMQDNAHGRINVAKGGIVYSNIVTTVSPTYALEVRSEGGRGLQDTLKMHSRKFVGILNGIDTGTWNPSTDRFLAVQYSATDLQGKAANKAFLRKQLGLYSEDASQPLVACITRLVPQKGLHLIRHAIYKTAELGGQFVLLGSSPVPHIQREFEGVADQFQKNNNIRLILKYDEALSHCIYAASDMFIIPSMFEPCGLTQMIAMRYGSVPIVRQTGGLCDSVFDFDDETIPVELRNGFTFARTDEQDLSSCLERAFSYYSRKPMVWKQLVQKDMQIDFSWDSPASQYENLYQSAVAQARGAAQT >ORUFI01G32260.2 pep chromosome:OR_W1943:1:27539416:27547732:-1 gene:ORUFI01G32260 transcript:ORUFI01G32260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGAAAAEYSALLSLSCGPITRRRFAVSCRARPPGNLSAQQKKKRGKNIAPKQRSSNAKLLLTTEENGQLPSTSLRTSMERPQKSTSSEDDTNGAISQIDEKIAAIGNEQQERSKDKHFESDFQLEDFGEMIQNMEKNILLLNQARLQAIEDVDKILTEKEALQKKVDTLEMNLSKALATKGNINTDIPGDHLEKFTKEILIESALSGGNPAHLCESPLFMELTVLKEENMLLKADAQFLKAKIVEFAETEEFLFKLEKERSLLDATVRELEARFLVAQTDIWKVVPLQYDVWMEKVENLQHMLGCLKNHVEKYAALLDQHDDLHDKIDELEASLKEGKTSEFSPYVVELLQQKLKAAKSRHQAGHQETNTHIQVYQQLTEEFQDNLGKLIEESGRLEHSANSMPSEFWSHILLMIDGWFLERKIPNTDARMLREMAWKRDDRICEAYFACKGAKESDVMETFLKLSLSGNSSGLHIVHIAAEMAPVAKVGGLADVVAGLGKALQTKGHLVEIVLPKYDCMQLDQITNLKVLDVVIQSYFDGNLFSNNVWTGTVEGLPVYFIEPQHPSKFFWRAQYYGEHDDFKRYSYFSRAALELLYQSGKKIDIIHCHDWQTAFVAPLYWDIYATRGFSSARICFTCHNFEYQGTAPAPDLSYCGLDVEQLDRPDRMQDNAHGRINVAKGGIVYSNIVTTVSPTYALEVRSEGGRGLQDTLKMHSRKFVGILNGIDTGTWNPSTDRFLAVQYSATDLQGKAANKAFLRKQLGLYSEDASQPLVACITRLVPQKGLHLIRHAIYKTAELGGQFVLLGSSPVPHIQREFEGVADQFQKNNNIRLILKYDEALSHCIYAASDMFIIPSMFEPCGLTQMIAMRYGSVPIVRQTGGLCDSVFDFDDETIPVELRNGFTFARTDEQDLSSCLERAFSYYSRKPMVWKQLVQKDMQIDFSWDSPASQYENLYQSAVAQARGAAQT >ORUFI01G32260.3 pep chromosome:OR_W1943:1:27539416:27547732:-1 gene:ORUFI01G32260 transcript:ORUFI01G32260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGAAAAEYSALLSLSCGPITRRRFAVSCRARPPGNLSAQQKKKRGKNIAPKQRSSNAKLLLTTEENGQLPSTSLRTSMERPQKSTSSEDDTNGAISQIDEKIAAIGNEQQEVLPYWGGHILISAYQDILLLNQARLQAIEDVDKILTEKEALQKKVDTLEMNLSKALATKGNINTDIPGDHLEKFTKEILIESALSGGNPAHLCESPLFMELTVLKEENMLLKADAQFLKAKIVEFAETEEFLFKLEKERSLLDATVRELEARFLVAQTDIWKVVPLQYDVWMEKVENLQHMLGCLKNHVEKYAALLDQHDDLHDKIDELEASLKEGKTSEFSPYVVELLQQKLKAAKSRHQAGHQETNTHIQVYQQLTEEFQDNLGKLIEESGRLEHSANSMPSEFWSHILLMIDGWFLERKIPNTDARMLREMAWKRDDRICEAYFACKGAKESDVMETFLKLSLSGNSSGLHIVHIAAEMAPVAKVGGLADVVAGLGKALQTKGHLVEIVLPKYDCMQLDQITNLKVLDVVIQSYFDGNLFSNNVWTGTVEGLPVYFIEPQHPSKFFWRAQYYGEHDDFKRYSYFSRAALELLYQSGKKIDIIHCHDWQTAFVAPLYWDIYATRGFSSARICFTCHNFEYQGTAPAPDLSYCGLDVEQLDRPDRMQDNAHGRINVAKGGIVYSNIVTTVSPTYALEVRSEGGRGLQDTLKMHSRKFVGILNGIDTGTWNPSTDRFLAVQYSATDLQGKAANKAFLRKQLGLYSEDASQPLVACITRLVPQKGLHLIRHAIYKTAELGGQFVLLGSSPVPHIQREFEGVADQFQKNNNIRLILKYDEALSHCIYAASDMFIIPSMFEPCGLTQMIAMRYGSVPIVRQTGGLCDSVFDFDDETIPVELRNGFTFARTDEQDLSSCLERAFSYYSRKPMVWKQLVQKDMQIDFSWDSPASQYENLYQSAVAQARGAAQT >ORUFI01G32270.1 pep chromosome:OR_W1943:1:27549166:27550077:1 gene:ORUFI01G32270 transcript:ORUFI01G32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGQPLRDDPQPRRHSPPALHPAVVPAYPPPESDADESWVWSQIKAEARRDADAEPALASFLYATVLSHPSLDRSLAFHLANKLCSSTLLSTLLYDLFVASLAAHPTLRAAVVADLLAARSRDPACVGFSHCLLNYKGFLAIQAQRVAHVLWAQDRRALALALQSRVAEVFAVDIHPAAAIGKGVLLDHATGVVIGETAVIGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVRIGAGAKIGAGSLVLIDVPPRTTAVGNPARLLGGKKGDDMPGESMDHTSFIQQWSDYSI >ORUFI01G32280.1 pep chromosome:OR_W1943:1:27553999:27563294:1 gene:ORUFI01G32280 transcript:ORUFI01G32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLVPAAVSDIAYRLTTFAIKKYQQLPDAEAALETLNRLLLRAQTIIEEAEGKCIANEGMLHQLRMLTEGMYRGHYLLDRYKYPALQEDRKDEELACDIIDQTWQSLKSSMSCWARGSKIIITSRSDKIENLGTTAAIRLDLLHPEAYWYLFKMLAFGSRNPDEHPKLASVAMEIATEYSGSFLAAYTIGGLLRDNFNAQFWCSTLKYLRAYIRNQLLVLGDHPNNLLLKGQWVHCLRFAEASDPLWMSDYYETDSCPDQAPNISDIMLGSATPRGRFEALGWKSRMAPYYSYMICCSTEAPGHAVGRKKRSYDRNDDPKVMLHEVHTGTSKAHGKFEVLVWRSRIPPYHEFVMSCEAQAQQHTIFKRKRILRKAQDGWVTDMETFLPAILSDLLGRSISYLVQRYRQQSTVQDDLEKLRLALVRVHVTVEEAEARHITNKAMLRQLDVLREAMYSGYHMLDALTYRAHADGASFSFAPSRLNAAKRLRLLAADEGAAELRRTVDSLGRTIADMREFVVFLKGYPRISTQPYSMHLLLDKLMFGRQKEVEQVVGFLLQPDVCGAGAGAGAGVLHIVGVARVGKSTLVEHVCHDERVRGRFSSIVCLSREDLEDMGDHRALTVKHGSHASHGSSLVVVDLAEDEEPVGDGAWRRLRSSAMCRARGSRIIVTSRSPETVRGIPAARAIELKFLRDDVYWYFFKVLAFGSANPDDHPRLASIAMDISAEQKGGFIGATIASSLMRANPDAHYWTLILKNMREYTRKHRAMFGKHPHDLLRNNHPVYLWRLAESSKIFLCHGFYTACPAKQEIPRVTFQEVLSGRVTPRGRFEVLAWTSQIPPCRSYLMSCSLDTPPGPHRVLDRKKRLRQLVT >ORUFI01G32280.2 pep chromosome:OR_W1943:1:27553999:27563294:1 gene:ORUFI01G32280 transcript:ORUFI01G32280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLVPAAVSDIAYRLTTFAIKKYQQLPDAEAALETLNRLLLRAQTIIEEAEGKCIANEGMLHQLRMLTEGMYRGHYLLDRYKYPALQEDRKDEELACDIIDQTWQSLKSSMSCWARGSKIIITSRSDKIENLGTTAAIRLDLLHPEAYWYLFKMLAFGSRNPDEHPKLASVAMEIATEYSGSFLAAYTIGGLLRDNFNAQFWCSTLKYLRAYIRNQLLVLGDHPNNLLLKGQWVHCLRFAEASDPLWMSDYYETDSCPDQAPNISDIMLGSATPRGRFEALGWKSRMAPYYSYMICCSTEAPGHAVGRKKRSYDRFLESATATLFIALTPAAKKLEIPGESSWVTDMETFLPAILSDLLGRSISYLVQRYRQQSTVQDDLEKLRLALVRVHVTVEEAEARHITNKAMLRQLDVLREAMYSGYHMLDALTYRAHADGASFSFAPSRLNAAKRLRLLAADEGAAELRRTVDSLGRTIADMREFVVFLKGYPRISTQPYSMHLLLDKLMFGRQKEVEQVVGFLLQPDVCGAGAGAGAGVLHIVGVARVGKSTLVEHVCHDERVRGRFSSIVCLSREDLEDMGDHRALTVKHGSHASHGSSLVVVDLAEDEEPVGDGAWRRLRSSAMCRARGSRIIVTSRSPETVRGIPAARAIELKFLRDDVYWYFFKVLAFGSANPDDHPRLASIAMDISAEQKGGFIGATIASSLMRANPDAHYWTLILKNMREYTRKHRAMFGKHPHDLLRNNHPVYLWRLAESSKIFLCHGFYTACPAKQEIPRVTFQEVLSGRVTPRGRFEVLAWTSQIPPCRSYLMSCSLDTPPGPHRVLDRKKRLRQLVT >ORUFI01G32280.3 pep chromosome:OR_W1943:1:27553999:27563294:1 gene:ORUFI01G32280 transcript:ORUFI01G32280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLVPAAVSDIAYRLTTFAIKKYQQLPDAEAALETLNRLLLRAQTIIEEAEGKCIANEGMLHQLRMLTEGMYRGHYLLDRYKYPALQEDRKDEELACDIIDQTWQSLKSSMSCWARGSKIIITSRSDKIENLGTTAAIRLDLLHPEAYWYLFKMLAFGSRNPDEHPKLASVAMEIATEYSGSFLAAYTIGGLLRDNFNAQFWCSTLKYLRAYIRNQLLVLGDHPNNLLLKGQWVHCLRFAEASDPLWMSDYYETDSCPDQAPNISDIMLGSATPRGRFEALGWKSRMAPYYSYMICCSTEAPGHAVGRKKRSYDRFLRAWEATEQHPGSWGSVPLSAGWVTDMETFLPAILSDLLGRSISYLVQRYRQQSTVQDDLEKLRLALVRVHVTVEEAEARHITNKAMLRQLDVLREAMYSGYHMLDALTYRAHADGASFSFAPSRLNAAKRLRLLAADEGAAELRRTVDSLGRTIADMREFVVFLKGYPRISTQPYSMHLLLDKLMFGRQKEVEQVVGFLLQPDVCGAGAGAGAGVLHIVGVARVGKSTLVEHVCHDERVRGRFSSIVCLSREDLEDMGDHRALTVKHGSHASHGSSLVVVDLAEDEEPVGDGAWRRLRSSAMCRARGSRIIVTSRSPETVRGIPAARAIELKFLRDDVYWYFFKVLAFGSANPDDHPRLASIAMDISAEQKGGFIGATIASSLMRANPDAHYWTLILKNMREYTRKHRAMFGKHPHDLLRNNHPVYLWRLAESSKIFLCHGFYTACPAKQEIPRVTFQEVLSGRVTPRGRFEVLAWTSQIPPCRSYLMSCSLDTPPGPHRVLDRKKRLRQLVT >ORUFI01G32280.4 pep chromosome:OR_W1943:1:27553999:27560362:1 gene:ORUFI01G32280 transcript:ORUFI01G32280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLVPAAVSDIAYRLTTFAIKKYQQLPDAEAALETLNRLLLRAQTIIEEAEGKCIANEGMLHQLRMLTEGMYRGHYLLDRYKYPALQEDRKDEELACDIIDQTWQSLKSSMSCWARGSKIIITSRSDKIENLGTTAAIRLDLLHPEAYWYLFKMLAFGSRNPDEHPKLASVAMEIATEYSGSFLAAYTIGGLLRDNFNAQFWCSTLKYLRAYIRNQLLVLGDHPNNLLLKGQWVHCLRFAEASDPLWMSDYYETDSCPDQAPNISDIMLGSATPRGRFEALGWKSRMAPYYSYMICCSTEAPGHAVGRKKRSYDRNDDPKVMLHEVHTGTSKAHGKFEVLVWRSRIPPYHEFVMSCEAQAQQHTIFKRKRAR >ORUFI01G32280.5 pep chromosome:OR_W1943:1:27553999:27560525:1 gene:ORUFI01G32280 transcript:ORUFI01G32280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLVPAAVSDIAYRLTTFAIKKYQQLPDAEAALETLNRLLLRAQTIIEEAEGKCIANEGMLHQLRMLTEGMYRGHYLLDRYKYPALQEDRKDEELACDIIDQTWQSLKSSMSCWARGSKIIITSRSDKIENLGTTAAIRLDLLHPEAYWYLFKMLAFGSRNPDEHPKLASVAMEIATEYSGSFLAAYTIGGLLRDNFNAQFWCSTLKYLRAYIRNQLLVLGDHPNNLLLKGQWVHCLRFAEASDPLWMSDYYETDSCPDQAPNISDIMLGSATPRGRFEALGWKSRMAPYYSYMICCSTEAPGHAVGRKKRSYDRNDDPKVMLHEVHTGTSKAHGKFEVLVWRSRIPPYHEFVMSCEAQAQQHTIFKRKRMK >ORUFI01G32280.6 pep chromosome:OR_W1943:1:27553999:27560376:1 gene:ORUFI01G32280 transcript:ORUFI01G32280.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLVPAAVSDIAYRLTTFAIKKYQQLPDAEAALETLNRLLLRAQTIIEEAEGKCIANEGMLHQLRMLTEGMYRGHYLLDRYKYPALQEDRKDEELACDIIDQTWQSLKSSMSCWARGSKIIITSRSDKIENLGTTAAIRLDLLHPEAYWYLFKMLAFGSRNPDEHPKLASVAMEIATEYSGSFLAAYTIGGLLRDNFNAQFWCSTLKYLRAYIRNQLLVLGDHPNNLLLKGQWVHCLRFAEASDPLWMSDYYETDSCPDQAPNISDIMLGSATPRGRFEALGWKSRMAPYYSYMICCSTEAPGHAVGRKKRSYDRFLGEMTLLH >ORUFI01G32280.7 pep chromosome:OR_W1943:1:27560998:27563294:1 gene:ORUFI01G32280 transcript:ORUFI01G32280.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLDCCVASSASRCSFPGWVTDMETFLPAILSDLLGRSISYLVQRYRQQSTVQDDLEKLRLALVRVHVTVEEAEARHITNKAMLRQLDVLREAMYSGYHMLDALTYRAHADGASFSFAPSRLNAAKRLRLLAADEGAAELRRTVDSLGRTIADMREFVVFLKGYPRISTQPYSMHLLLDKLMFGRQKEVEQVVGFLLQPDVCGAGAGAGAGVLHIVGVARVGKSTLVEHVCHDERVRGRFSSIVCLSREDLEDMGDHRALTVKHGSHASHGSSLVVVDLAEDEEPVGDGAWRRLRSSAMCRARGSRIIVTSRSPETVRGIPAARAIELKFLRDDVYWYFFKVLAFGSANPDDHPRLASIAMDISAEQKGGFIGATIASSLMRANPDAHYWTLILKNMREYTRKHRAMFGKHPHDLLRNNHPVYLWRLAESSKIFLCHGFYTACPAKQEIPRVTFQEVLSGRVTPRGRFEVLAWTSQIPPCRSYLMSCSLDTPPGPHRVLDRKKRLRQLVT >ORUFI01G32290.1 pep chromosome:OR_W1943:1:27565793:27565990:1 gene:ORUFI01G32290 transcript:ORUFI01G32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAISAGGRAARASAAALWSRRRQWLLLRVESLPPILSARAIPAADLHAEPVCAAGPVLLPAA >ORUFI01G32300.1 pep chromosome:OR_W1943:1:27567666:27578598:1 gene:ORUFI01G32300 transcript:ORUFI01G32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFSAVLGDLFSRSISFVIDIYHHHHQGGVEENLQQLHRMLLRIKAVVEEADSRCITNQAMLLQLRMLRDVMFRGYYFVDNFRYRIFQAQAKDEVVKSHLKSWRKKSCKKMLGHLETIVSDTQEFVVLASSYPRITRQPYCSYVLLENCMFGRHAELERVIKFLLEQHPLCGAKGIDVLPIIGPGKVGKSTLVEHVCRDERVRKCFSTIVFYGPDSIDNGDMVLLPDTRAIKYRNPASGEQSLAIIELVDQMDDETWRRILHSLRGDHITSVSKIIITSRSRKIATFGTTKALQLDFLPKEAFWYLFKTVAFGSTNPEEEPKLASICMEIADLVNGSFMESNVVGSILRSNLSAQFWYNFLKRLKYFTDRHFRLLGEHPRDSYKSNRGRTYIWMHKNYYGDGDAATYNLYHANSAGLNNLPMIRMSDMLIGNVKPQKKCEALEWQSSIPPYYSYIVQYGILARQPLMLPKRKRSRALLEESISFMIDRYKQQQQSVEEESRLQQLHRVLLRIEAIVEEADGRLITNRAMLQQLGMLREMMYRGYYFLDGFRYRIAQPHAQDEVGDLSPFSPLKRFCISTRDRKTTISEILEKKELQEMLGRLKTVVSDMQEFVVLVSGYPRMKRQPYCSYLLLENCMFGRQIEKERIINFLLAPHPLGDEEDIDVLPIIGPGRVGKSTLVEHVCRDERVREYFSTTVFYGPDSIGDGDLAPLTDTGAIKHRNPASSKLSLAIIELVDEMDDETWRRILQSLRSGDHVAPVSKIIITSRSNKIATFGTTKALHLGFLPKEDFWYFFKTVAFGSTNPEEEPKLASICMEIAAVLNGSFMGLNIVASILNSNLSAQFWYSLLKRLKFFTYRHIHLLGEHPRDLYNANSGRTYIWMHENYCGDSDLVTYNYYQVNSARLNGLQTVLTSRDILTGTVKPQAAKYEVLEWQSSIPPYISYITQYEILAQQKLMLPPKRKRSGALSEELRLCISTRTWKILSQVLEKKELQKMLDHLQSIVSDMQEFVVLMSSYPHNRCT >ORUFI01G32300.2 pep chromosome:OR_W1943:1:27567666:27578598:1 gene:ORUFI01G32300 transcript:ORUFI01G32300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFSAVLGDLFSRSISFVIDIYHHHHQGGVEENLQQLHRMLLRIKAVVEEADSRCITNQAMLLQLRMLRDVMFRGYYFVDNFSYVLLENCMFGRHAELERVIKFLLEQHPLCGAKGIDVLPIIGPGKVGKSTLVEHVCRDERVRKCFSTIVFYGPDSIDNGDMVLLPDTRAIKYRNPASGEQSLAIIELVDQMDDETWRRILHSLRGDHITSVSKIIITSRSRKIATFGTTKALQLDFLPKEAFWYLFKTVAFGSTNPEEEPKLASICMEIADLVNGSFMESNVVGSILRSNLSAQFWYNFLKRLKYFTDRHFRLLGEHPRDSYKSNRGRTYIWMHKNYYGDGDAATYNLYHANSAGLNNLPMIRMSDMLIGNVKPQKKCEALEWQSSIPPYYSYIVQYGILARQPLMLPKRKRSRALLEESISFMIDRYKQQQQSVEEESRLQQLHRVLLRIEAIVEEADGRLITNRAMLQQLGMLREMMYRGYYFLDGFRYRIAQPHAQDEVGDLSPFSPLKRFCISTRDRKTTISEILEKKELQEMLGRLKTVVSDMQEFVVLVSGYPRMKRQPYCSYLLLENCMFGRQIEKERIINFLLAPHPLGDEEDIDVLPIIGPGRVGKSTLVEHVCRDERVREYFSTTVFYGPDSIGDGDLAPLTDTGAIKHRNPASSKLSLAIIELVDEMDDETWRRILQSLRSGDHVAPVSKIIITSRSNKIATFGTTKALHLGFLPKEDFWYFFKTVAFGSTNPEEEPKLASICMEIAAVLNGSFMGLNIVASILNSNLSAQFWYSLLKRLKFFTYRHIHLLGEHPRDLYNANSGRTYIWMHENYCGDSDLVTYNYYQVNSARLNGLQTVLTSRDILTGTVKPQAAKYEVLEWQSSIPPYISYITQYEILAQQKLMLPPKRKRSGALSEELRLCISTRTWKILSQVLEKKELQKMLDHLQSIVSDMQEFVVLMSSYPHNRCT >ORUFI01G32300.3 pep chromosome:OR_W1943:1:27568492:27578598:1 gene:ORUFI01G32300 transcript:ORUFI01G32300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDETWRRILHSLRGDHITSVSKIIITSRSRKIATFGTTKALQLDFLPKEAFWYLFKTVAFGSTNPEEEPKLASICMEIADLVNGSFMESNVVGSILRSNLSAQFWYNFLKRLKYFTDRHFRLLGEHPRDSYKSNRGRTYIWMHKNYYGDGDAATYNLYHANSAGLNNLPMIRMSDMLIGNVKPQKKCEALEWQSSIPPYYSYIVQYGILARQPLMLPKRKRSRALLEESISFMIDRYKQQQQSVEEESRLQQLHRVLLRIEAIVEEADGRLITNRAMLQQLGMLREMMYRGYYFLDGFRYRIAQPHAQDEVGDLSPFSPLKRFCISTRDRKTTISEILEKKELQEMLGRLKTVVSDMQEFVVLVSGYPRMKRQPYCSYLLLENCMFGRQIEKERIINFLLAPHPLGDEEDIDVLPIIGPGRVGKSTLVEHVCRDERVREYFSTTVFYGPDSIGDGDLAPLTDTGAIKHRNPASSKLSLAIIELVDEMDDETWRRILQSLRSGDHVAPVSKIIITSRSNKIATFGTTKALHLGFLPKEDFWYFFKTVAFGSTNPEEEPKLASICMEIAAVLNGSFMGLNIVASILNSNLSAQFWYSLLKRLKFFTYRHIHLLGEHPRDLYNANSGRTYIWMHENYCGDSDLVTYNYYQVNSARLNGLQTVLTSRDILTGTVKPQAAKYEVLEWQSSIPPYISYITQYEILAQQKLMLPPKRKRSGALSEELRLCISTRTWKILSQVLEKKELQKMLDHLQSIVSDMQEFVVLMSSYPHNRCT >ORUFI01G32300.4 pep chromosome:OR_W1943:1:27567666:27569415:1 gene:ORUFI01G32300 transcript:ORUFI01G32300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDETWRRILHSLRGDHITSVSKIIITSRSRKIATFGTTKALQLDFLPKEAFWYLFKTVAFGSTNPEEEPKLASICMEIADLVNGSFMESNVVGSILRSNLSAQFWYNFLKRLKYFTDRHFRLLGEHPRDSYKSNRGRTYIWMHKNYYGDGDAATYNLYHANSAGLNNLPMIRMSDMLIGNVKPQKKCEALEWQSSIPPYYSYIVQYGILARQPLMLPKRKRSRALLEELV >ORUFI01G32310.1 pep chromosome:OR_W1943:1:27578628:27588815:1 gene:ORUFI01G32310 transcript:ORUFI01G32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFFSAVLGDLLSRSISFTIDRYYRQHQGVEENLRKLHRMLLRIQAIVEEAHGRQITNQAMLLQLRMVRAVMYRGYYFLDNFRYRTVQAHAQDEVGDRSLGLSPFSQFKRFCFSTRTRKIASEVLDQKELQKMLGHLENIVSDMQEFVAFVSCYPRMSRQPYCSYLLLENCMFGRQIEQERIINFLLEPNHPSAKGINVLPIIGPGRVGKSTLVEHVCHDERVQLVPLTEIGVIKHRNPASTGQSLLIIELVNDMDNETWTRILHRLRGDHSTPVGKIIITSRSNKVATFGTTEALQLDFLPEEEFWYFFKTMVFGSANPEEEPELAAICMEIAALMNRSFMGTYIIGDILRSNLNPQFWYKFLECFKYYTDIHIRELGEHPTETYKRISGHTCVWTPENRCVTEATYALTYTLYQASSEDLNDQPMVLASDVLVGNVQLQGKVDVLQWRSKIPPYYCYMAHFEVLARPLHMPPKRKRSRSLSGQLAHGDGVRSGDGRRGDLPPARLHRPLAVLGDLLGRSISFIVDSYYQQHQGVEENLQKLHHVLLRIQAIVEEASSRHITNQAMLLQLTMLSNMMYRGYYFLDNFRYRIVRPHAQDELGDRSLGMSPFSPFKRLCFSTRTRKIVSEVLERKELQKMLGHLETIVSDMQEFVVFLSSYPRMGRQPYCSYLLLENCMFGRQAEQERVINFLLKPCRPGAEGCDVLPIIGPGRVGKSTLVEHVCRDERVRKYFSTIVFYSPDGIGGEYHALLTDTVVIKHQNPSSTEQSLLVIELSNDMDDETWRRILHRLRNHITPVSKIIITSRSKNIATFGTTGALQLDFLPKEAFWYFFKTIAFGSSNPEEEPKLAAVCMEIAVLMNGSFIGAHVIGGILRSNLSAQFWYTFLEYYRYFTGWYIHLQGEHQSDMFKKRSGLTYIWSYKNWSAVTATFHLYQISSANLNDLPVIRTSELLTRDIKLEGKFDALEWRSSVPPYYSYMVHHEVLARPPIIFPKRKRSRPI >ORUFI01G32310.2 pep chromosome:OR_W1943:1:27578628:27588815:1 gene:ORUFI01G32310 transcript:ORUFI01G32310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFFSAVLGDLLSRSISFTIDRYYRQHQGVEENLRKLHRMLLRIQAIVEEAHGRQITNQAMLLQLRMVRAVMYRGYYFLDNFRYRTVQAHAQDEVGDRSLGLSPFSQFKRFCFSTRTRKIASEVLDQKELQKMLGHLENIVSDMQEFVAFVSCYPRMSRQPYCSYLLLENCMFGRQIEQERIINFLLEPNHPSAKGINVLPIIGPGRVGKSTLVEHVCHDERVQLVPLTEIGVIKHRNPASTGQSLLIIELVNDMDNETWTRILHRLRGDHSTPVGKIIITSRSNKVATFGTTEALQLDFLPEEEFWYFFKTMVFGSANPEEEPELAAICMEIAALMNRSFMGTYIIGDILRSNLNPQFWYKFLECFKYYTDIHIRELGEHPTETYKRISGHTCVWTPENRCVTEATYALTYTLYQASSEDLNDQPMVLASDVLVGNVQLQGKVDVLQWRSKIPPYYCYMAHFEAHGDGVRSGDGRRGDLPPARLHRPLAVLGDLLGRSISFIVDSYYQQHQGVEENLQKLHHVLLRIQAIVEEASSRHITNQAMLLQLTMLSNMMYRGYYFLDNFRYRIVRPHAQDELGDRSLGMSPFSPFKRLCFSTRTRKIVSEVLERKELQKMLGHLETIVSDMQEFVVFLSSYPRMGRQPYCSYLLLENCMFGRQAEQERVINFLLKPCRPGAEGCDVLPIIGPGRVGKSTLVEHVCRDERVRKYFSTIVFYSPDGIGGEYHALLTDTVVIKHQNPSSTEQSLLVIELSNDMDDETWRRILHRLRNHITPVSKIIITSRSKNIATFGTTGALQLDFLPKEAFWYFFKTIAFGSSNPEEEPKLAAVCMEIAVLMNGSFIGAHVIGGILRSNLSAQFWYTFLEYYRYFTGWYIHLQGEHQSDMFKKRSGLTYIWSYKNWSAVTATFHLYQISSANLNDLPVIRTSELLTRDIKLEGKFDALEWRSSVPPYYSYMVHHEVLARPPIIFPKRKRSRPI >ORUFI01G32310.3 pep chromosome:OR_W1943:1:27588592:27594627:1 gene:ORUFI01G32310 transcript:ORUFI01G32310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MITRYITMDTFFSAVLGDLLNRSISFMVDSYYQKHQGVEENLQCLHRLLLRIQAIVEEADSRHITNQAMLLQLRMLSNMMYRGYYFLDNFRCRIVQAHAQDENCMFGRQEEQERVINFLLARHPPGGEEVIDVLPIIGPGRVGKSTLVEHVCHDERVRKYFSTIVFYGLGSIENNGDMAFLPDTGAVKYRNPVSGKQSLAIIELVDEMDDETWKKILHSLRGDHIAPVSKIIIMSRSNKIELFGTTKALQLDFLPKEVFWYFFKTIAFGSTSPVEEPKLASICMDIAASVNRSFIGLNVHGSILRSNICAQFWYSYLKRLKYYTDKHVRLFGEHPRDTNKNNGGLTYVWMHKNKHGCSGLATYKLYQASSISQNNLPTIRSIDMVSRNVKPRAKYEVLEWQSSIPPYYSYIAQYEILAQPKLMLPPKRKRSGALSEELV >ORUFI01G32320.1 pep chromosome:OR_W1943:1:27597278:27600188:-1 gene:ORUFI01G32320 transcript:ORUFI01G32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNMFDILGADDNDDPSQLLAAAAAAAQKAEAKKAAAAAAAPAGKAAQAASAAAKFPTKPAPPTQAAREARGGGAPSRGGFGRGERGRGRGGRGYGQNRDFGGDNANGYQGGYGGGYGDGAVAAGGEGDRERGPRAPFHGGRRGGYRNGEYGDDSERPPRRNYERHSGTGRGYEMKRDGAGRGNWGTATDEALAQETEEALKVEESAPIAEKQGEQDDAPTGEKDNKEGAANEEEEKEEDKEMTLEEFEKLREEKRKALLAFKAEERKVEVDKDLQSMQPLSTKKGNDEIFIKLGSDKDKKKENAERDERAKKSVSINEFLKPAEGERYYGGRGRGRGRGDRGGRGYGGGYQRGPYSTSAPSIEDQAQFPTLGGKPRA >ORUFI01G32330.1 pep chromosome:OR_W1943:1:27604232:27611073:1 gene:ORUFI01G32330 transcript:ORUFI01G32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATRAVRRLLIASRSLHAISSEGAAREAATSFVHPAAVVHPDAVVGQGVSIGPFCTVGASARIGDACQLHAGSHVMGDTELGERCVVLTGAILGSDIPGQTIIGENNVIGHHAVVGVKCQDLKYKSGDECFLQIGNNNEIREYCSIHRSSKSCDCTVIGDNNLIMGSCHIAHDCRIGNNNIFANNTLFAGHVVVEDCTHTAGAVVVHQFCHIGSFSFLGGGSVIAQDVPRYMMVAGDRAELRGLNLEGLKRNGFSDQEVRMLRKAYQQVFMPSINSQSSFDERLAELKLMYPIWWNLFACPLAKDVVEFANLEVGTAEISINFQEASLILWTGHMV >ORUFI01G32330.2 pep chromosome:OR_W1943:1:27604232:27611073:1 gene:ORUFI01G32330 transcript:ORUFI01G32330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATRAVRRLLIASRSLHAISSEGAAREAATSFVHPAAVVHPDAVVGQGVSIGPFCTVGASARIGDACQLHAGSHVMGDTELGERCVVLTGAILGSDIPGQTIIGENNVIGHHAVVGVKCQDLKYKSGDECFLQIGNNNEIREYCSIHRSSKSCDCTVIGDNNLIMGSCHIAHDCRIGNNNIFANNTLFAGHVVVEDCTHTAGAVVVHQFCHIGSFSFLGGGSVIAQDVPRYMMVAGDRAELRGLNLEGLKRNGFSDQEVRMLRKAYQQVFMPSINSQSSFDERLAELEREIELSETHEASLILWTGHMV >ORUFI01G32330.3 pep chromosome:OR_W1943:1:27604232:27609141:1 gene:ORUFI01G32330 transcript:ORUFI01G32330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATRAVRRLLIASRSLHAISSEGAAREAATSFVHPAAVVHPDAVVGQGVSIGPFCTVGASARIGDACQLHAGSHVMGDTELGERCVVLTGAILGSDIPGQTIIGENNVIGHHAVVGVKCQDLKYKSGDECFLQIGNNNEIREYCSIHRSSKSCDCTVIGDNNLIMGSCHIAHDCRIGNNNIFANNTLFAGHVVVEDCTHTAGAVVVHQFCHIGSFSFLGGGSVIAQDVPRYMMVAGDRAELRGLNLEGLKRNGFSDQEVRMLRKAYQQVFMPSINSQSSFDERLAELEASLILWTGHVSHRVLERSRPFFDC >ORUFI01G32340.1 pep chromosome:OR_W1943:1:27619136:27620841:1 gene:ORUFI01G32340 transcript:ORUFI01G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKASVKRGPWSEEEDAILRSFVERFGNAGNWIALPHKAGLKRCGKSCRLRWLNYLRPAIRHGGFTDEEDNLILSLYGEMGSKWSVIASKLPGRTDNDVKNYWNTKLKKRYLAAAATEATTPPPPAAGDNDNNPTTQASSQPAPPTPPAPLVNLDAAGLDGAVGDNDELLLHKSEQLYAELMGLIEQQQYSTITAAAVDAATTTTSWSSPSTGTTSPTASSSTDGSSSSSNLPWPAVDVHDSTMMPPLSESSGSSSGLFFGSHAFGSGSFQDLLGSAASFDDVMLSQEMLYY >ORUFI01G32350.1 pep chromosome:OR_W1943:1:27622028:27622258:1 gene:ORUFI01G32350 transcript:ORUFI01G32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVNMISVLMALTAAIRLDVPAKLWARGANAPFPTGHPNPFVLERLLRLLTSCSVFCEHKGSPRHFALTTGDDES >ORUFI01G32360.1 pep chromosome:OR_W1943:1:27626965:27627360:1 gene:ORUFI01G32360 transcript:ORUFI01G32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTMPLPPLRPPPRRSPPTTIGEAYPDARGFATLKWIVILLLVSCMFAGGLYALTPLVSKDPLYLARVPWRLPVRVLCDSYLSLIMVIRAYTFMHLPRAPLVVDDYLMLLGLGVIGGVTVVTTSKVLNLRL >ORUFI01G32370.1 pep chromosome:OR_W1943:1:27628428:27630183:1 gene:ORUFI01G32370 transcript:ORUFI01G32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQCILKLHHPTQKIVMMLLRRSAAKRLSRRFKTLTTSTILGLPVEDERVVMACAGVLVVLVAGLLAYWRWIVRKYGDKPVDPAPKLVEALVSVDGSSGGTLARTPGRAGKRGVWKR >ORUFI01G32380.1 pep chromosome:OR_W1943:1:27630199:27630720:1 gene:ORUFI01G32380 transcript:ORUFI01G32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLPSSPPPPPPLTIGEAFPDARHLETPKWIAALLLVSCMFAGGLYTLTPLIAKDPLYLARVPWRLPVRVLCDTYLSLTMVIRFYTLMYLPRAPLVADEYLFMFGLCAVGGAAIVTTSFVLGIPVEDERVVMACAGVLAVLVAGLLAYWAWLVRKYGDNKPVDPASKLVVVV >ORUFI01G32390.1 pep chromosome:OR_W1943:1:27631188:27637433:1 gene:ORUFI01G32390 transcript:ORUFI01G32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAALASAAMAAAAVAVVSTVLHQRQRRAAKRSERAEAVLLRDLQERCAAPVELLRQVADAMAAEMRAGLAAEGGSDLQMLVTYVDSLPSGGEKGMFYALDLGGTNFRVLRVQLGGKERRIIKQDSEGISIPQHLMSSSSHELFDFVAAALAKFVASEGEDCHLPEGTQRELGFTFSFPVKQKSLASGTLIKWTKSFAIDEMVGKDVVAELNMAIRRQGLDMKVTALVNDTVGTLAAGRYVNHDTIAAVILGTGSNAAYIDHADAIPKWHGSLPKSGNMVINMEWGNFKSSHLPLTEFDQELDAESLNPGKQVYEKLISGMYMGELVRRILLKMAQETRIFGDNIPPKLERPYILRTLDMLIMHHDTSSDLRTVANKLKEVLGIEYTSFTTRKLVLDVCEAIATRGARLAAAGIYGIIQKLGQHSDSPSTRRSVIAVDGGVYKYYTFFSQCMESTLSDMLGQELAPSVMIKHVNDGSGVGAALLAASYSQYHQAESADSRRRRWDWVGTLRRRLPRLPPLFLPSSLASTRQIKPTTPTNRIPHPIAPTTSPPPRPIRPRERANMAALPLATAEVCDANADLIMNGELRALQPIFQIYGRRQVFAGPIVTLKVYEDNVLIREFLEEKGHGRVLVVDGGGSLRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKANKKGIGEKHVPVTIAGTRICDGEWLYADTDGILISRTELTV >ORUFI01G32400.1 pep chromosome:OR_W1943:1:27640950:27643723:-1 gene:ORUFI01G32400 transcript:ORUFI01G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFTAEELRASMDKKDNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQDVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDAALRSFEGKRDGNSYLINLIDSPGHIDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQSLAERIKPVLTVNKMDRCFLELQQSGEEAYQAFSRVIESVNVTMAPYEDKNLGDCMVAPEKGTVAFSAGLHGWAFTLSNFAKMYKAKFKVDEAKMMERLWGENYFDHTTKKWTTTAPSTSSKTCQRGFVQFCYEPIRRIISACMNDDKENLWDMLTKLKITLKAEEKELTGKKLMKRVMQAWLPASDALLEMIVFHLPSPAKAQQYRVDTLYDGPLDDPYATAIRNCDPKGPLMVYVSKMIPASDKGRFFAFGRVFSGTVATGNKVRIMGPNFVPGEKKDLYVKTVQRTVIWMGKKQESVDDVPCGNTVAMVGLDQFITKNATLTDEKAVDAHPIKAMKFSVSPVVRKSVACKNASELPKLVEGLKRLAKSDPLVVCTIEESGEHVIAGVGQLHLEICIKDLQEDFMGGAEIIVGPPIITYRETVTKNSCRTVMSKSPNKHNRLYMEARPLDKEDLQQDEPSLCKAIDDERIGPKDDIKERGKILSEEFGWDKDLAKKIWAFGPETKGPNLLVDMCKGVQYLSEIKDSVVAGFQWASKEGALAEENMRGVCFELCDVTLHSDSIHRGGGQLIPTARRAMYAAQLTASPRLMEPMYQVDIQVPKTAVGNVYGVLNSRNGELVEESERTGTPLSNLRFYLPVAKSFDFTEKLRAETSGQAFPQCIFHHWQTMRSDPFQEGSEAAKVITDIRKRKGLKDIITPLSDYEDKL >ORUFI01G32410.1 pep chromosome:OR_W1943:1:27653040:27655122:1 gene:ORUFI01G32410 transcript:ORUFI01G32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGGMSSTSRAPRGIREETLLRVPGASVHLLDGAEGPVELARGDLAVVRIAKDGVAVATVARVGRGLGWPITRDEPVVRLDRLHYLFTLPDSTGGGGGGGALFLNYGVSFAAPDDALLASLDAFLKANACFSTPSSSAPSRSSATTTTRPAPTTTATADGYWNDFAPRMDSYNNVLAKAIAAGTGQLVRGIFMCSEAYATQVQRGADLIRPQAAGSVTKRSGGAGGGGASRTTGQPDAKRGGLSEMTEKMSQSLLDTVIAVTGSMAAPLLRSKQGKAFLATVPGEVILASLDAINKVMDAVEAAERRSLAATSNVVSGAVSRRYGESAGEATEDAFATAGHAVGTAWNLFKIRKAVTPSSSLPGNMVKSAVRNRK >ORUFI01G32420.1 pep chromosome:OR_W1943:1:27655589:27657077:-1 gene:ORUFI01G32420 transcript:ORUFI01G32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSKAAAAVTLRTRKFMTNRLLSRKQFVLEVIHPGRPNVSKAELKEKLAKLYEVKDANCIFVFKFRTHFGGGKSTGFGLIYDNLDAAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKK >ORUFI01G32430.1 pep chromosome:OR_W1943:1:27663674:27669077:1 gene:ORUFI01G32430 transcript:ORUFI01G32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTMKGIRGDNAPCVLDLDDAATVGGGVGDTYGEDCATEEQLVTPWTVSVASGYNLLRDPRYNKGLAFNERERETHYLRGLLPPAIVSQELQERKIMHNIRQYQLPLQKYMAMMDLQEGNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFSRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSAEYADFLHEFMTAVKQNYGEKVLIQFEDFANHNAFELLAKYGTTHLVFNDDIQGTASVVLSGLVAALKLVGGSLSEHSYLFLGAGEAGTGIAELIALEISRQTKAPIEECRKKIWLVDSKGLIVSSRKETLQHFKKPWAHEHEPVGNLLDAVKTIKPTVLIGTSGKGQTFTQEVVEAISSFNERPVIFALSNPTSQSECTAEQAYTWSKGRAVFASGSPFDPVEYDGKIYVPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVTQENFDKGLTYPPFSNIRKISAHIAANVAAKAYELGLASRRPRPKDLVKYAESCMYSPLYRNYR >ORUFI01G32440.1 pep chromosome:OR_W1943:1:27670810:27676385:1 gene:ORUFI01G32440 transcript:ORUFI01G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGGKKKSPWASGERRPHFFKVLVGDFKQRLKIPPNFCKHIPWEESRKAKGLKEASMAATLEGPSGRTWLVVIRRTAEGTFFTSGWPKFVQDQALRELEFVVFRYDGNTRFTAMVFDRTACEREDLMGGGGGDRPRKKRGRPRTAAASRDAARPKKDSVGKEMVTYRASPSGGQPLQIVDSSWTPEPGSTAVKNEEDANELPVCELPASSASPPRHVPEGALDADGGAARRGAAKTRSLQDDLALASIPPSIRRYKGYVSRRRAVATAERQRATEIAHAFRSPLPYCVIRMSTMHVYYSFMMRFPTGFSRQHLPRERTDVVLRDPGGKVWSVLYIPNTRDRLSRGWCAFARGNCLEEGDYCVFELVAAAEFRVHIFRVVEPAVPAVRLRRVTVTCGRGPT >ORUFI01G32450.1 pep chromosome:OR_W1943:1:27677917:27691546:1 gene:ORUFI01G32450 transcript:ORUFI01G32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASASASASPAAAFGAKTRRPGPSPSPSPASAFARPSPRASAAGRLHASLHLGGASATGSSIVSNASGIHLAAPVLAPLAVPKMTGAVGAHKNVLLFHCEEMRELAEQVVARNDDIELRSISWRTFADGFPNLFISNAHTIRGQHVAFLASFSSPSVIFEQLSIIYALPKLFISSFTLILPFFPTGTSERMEDEGDVATAFTLARILSNIPISRGGPSSLVIFDIHALQERFYFGDSVLPCFESGIPLLKSRLQELPDSDNVVCNKVREGEQRIVRIKEGDPRGRHVVIVDDLVQSGGTLIECQKVLAEHGAAKVSAYVTHGIFPNKSWEKFQPDNGEGPGHGLSHFWITDSCPLTVNAVDHTLIVEMLSFTHPLSLDAQCDWTIGNEIPQGFLIHIPEVDHSTFDASLPSSAKGTLQNSEGKTWPVELEKLDGHVFLTTGWAKFVEDNSLREYEFLLFRYDDNMHFMVLPFGLNACEKVIRSSGSPQGKLPCDIFCCTKRGRDGDRLTEAANSLTPSHSQVLQRTTQGHELISPQSFPDQHEVCGSKDGLDEHLSLNGPMEDDKANAIAEVMSILDVDKVTVELFCAMLVFYKWNVDAVAEDFDICRGKPQIQNLFLKHKLHFQFDIVKRKLRKFFPPDDYCSSPILESRKCSLEEPKLSNQPLQCDLTTEKCRLVDEHDLCNFSQKKRRKRGSLFQDSKKVKPAHGEVDLCEEPQHNQGENEGNLDQVNNKETDEEQIERNAVETSESFTRRGCIESSPASCEVPACLRINELSLTWKPAEHVNPLEKVLLDIQRDNFMKTISHVQGIIRNHPSDLLTADVITVVVQKEIFKWNCCLKDRDAQRIVNALLEHARKIKEMHNFNSEMRKEEFSAKLKVHLKWQLKEVETIYTSLELDYKKATSDDNIAFSMLHDKKKKLHNLQDEITGLQQSLEMKDEMQKLAHQVAEHESVFQKSLMERLRIKEVMKGYEQTLAEVKVQLTSTEVGSIDIEALVKVEMDNMTKEIELSKESLLNITFH >ORUFI01G32450.2 pep chromosome:OR_W1943:1:27677917:27686899:1 gene:ORUFI01G32450 transcript:ORUFI01G32450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASASASASPAAAFGAKTRRPGPSPSPSPASAFARPSPRASAAGRLHASLHLGGASATGSSIVSNASGIHLAAPVLAPLAVPKMTGAVGAHKNVLLFHCEEMRELAEQVVARNDDIELRSISWRTFADGFPNLFISNAHTIRGQHVAFLASFSSPSVIFEQLSIIYALPKLFISSFTLILPFFPTGTSERMEDEGDVATAFTLARILSNIPISRGGPSSLVIFDIHALQERFYFGDSVLPCFESGIPLLKSRLQELPDSDNVVCNKVREGEQRIVRIKEGDPRGRHVVIVDDLVQSGGTLIECQKVLAEHGAAKVSAYVTHGIFPNKSWEKFQPDNGEGPGHGLSHFWITDSCPLTVNAVDHTLIVEMLSFTHPLSLDAQCDWTIGNEIPQGFLIHIPEVDHSTFDASLPSSAKGTLQNSEGKTWPVELEKLDGHVFLTTGWAKFVEDNSLREYEFLLFRYDDNMHFMVLPFGLNACEKVIRSSGSPQGKLPCDIFCCTKRGRDGDRLTEAANSLTPSHSQVLQRTTQGHELISPQSFPDQHEVCGSKDGLDEHLSLNGPMEDDKANAIAEVMSILDVDKVTVELFCAMLVFYKWNVDAVAEDFDICRGKPQIQNLFLKHKLHFQFDIVKRKLRKFFPPDDYCSSPILESRKCSLEEPKLSNQPLQCDLTTEKCRLVDEHDLCNFSQKKRRKRGSLFQDSKKVKPAHGEVDLCEEPQHNQGENEGNLDQVNNKETDEEQIERNAVETSESFTRRGCIESSPASCEVPACLRINELSLTWKPAEHVNPLEKVLLDIQRDNFMKTISHVQGIIRNHPSDLLTADVITVVVQKEIFKWNCCLKDRDAQRIVNALLEHARKIKEMHNFNSEMRKEEFSAKLKVHLKWQLKEVETIYTSLELDYKKATSDDNIAFSMLHDKKKKLHNLQDEITGLQQSLEMKDEMQKLAHQVAEHESVFQKSLMERLRIKEVMKGYEQTLAEVKVQLTSTEVGSIDIEALVKVEMDNMTKEIELSKESLLNITFH >ORUFI01G32460.1 pep chromosome:OR_W1943:1:27686902:27692554:-1 gene:ORUFI01G32460 transcript:ORUFI01G32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATTGGGGERRSLRGMFKFADRVDVLLMALGTLGAIGDGCSTNLLLIFASDVMNSLGYARAGAHGGAAAATGVDFMREVEKSCLNFVYLAFAVLAVAFMEGYCWSRTSERQVLRIRYLYLQAILRQEVGFFDSQEATTSEIINSISKDASLIQEVLSEKVPLFLMHSTVFISGLAFSTYFSWRLALVSFPLVLLLIIPGLIYGKYLLYLSRQSRHEYTNANSLVEQALGSIKTVYSFTAEKRIIQRYTAVLDKTIKLGIRQGIAKGLAVGFTGLSFAIWAFLAWYGSRLVMYHHESGGRIYAAGISFVLGGLSLGMALPELKHFTEASVAATRILNRINRVPEINADDPKGLILDQVRGELQFESVRFVYPSRPNMTVLKDFNLQIPAGQTVALVGSSGSGKSTAIALVQRFYDATEGTVKVDGVNIKELQLKWIRSKMGLVSQDHALFGTSIKENILFGKPDATMDELYAAAMTANAHNFIRGLPEEYETKIGERGALLSGGQKQRIAIARAVIKNPAILLLDEATSALDSESEKLVQHALDQASMGRTTLVVAHKLSTVKNADQIAVVDGGTIAEIGTHDELINKGGPYSRLVKLQKMVSYIDQEGGDQFRASSVARTSTSRLSMSRASPMPLTPGISKETDSSVSPPAPSFSRLLAMNAPEWRQAVIGSLSALVYGSLQPIYAITIGGMIAAFFVQDLKEMNAIISRYALIFCSLSVISVVVNLLQHYNFAYMGEHLVRRIRVQVLEKILTFEAAWFDEETNSSGSLCSRLSNEASLVKTLVADRISLLLQTASGIIIAVTMGLIVAWKLALVMIAVQPTTMICYYAKKIVLSNVSRDLAKAQHQSTQIAIEAVYNHRMVTSFGCSSKVLQLFEHTQEEPLKRARKKSWVAGITTGLSPCLSFLSWALDFWYGGKLAQSGEISAGDVFKTFFVLVSTGKLIADAGSMTSDLAKGANAVASVFEVLDRKSISPQNSQVEKDNQKNKIQGRIEFKRVDFAYPTRPQCLILQDFSLDVKAGTSIGLVGRSGCGKSTIIGLIQRFYDVDRGAVKVDGMDVREMDILWYRGFTALVSQEPAIFSGSVRDNIAFGKPEADEDEIVEAAKAANAHEFISSLKDGYHTDCGEHGLQLSGGQKQRIAIARAIIRNPAILLLDEATSALDAQSEQVVQEALDRIMSGRTTIVVAHRLNTIKNVDSIAFLGEGKVVERGTYPHLMSKKGAFYNLAALQK >ORUFI01G32460.2 pep chromosome:OR_W1943:1:27686902:27692554:-1 gene:ORUFI01G32460 transcript:ORUFI01G32460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATTGGGGERRSLRGMFKFADRVDVLLMALGTLGAIGDGCSTNLLLIFASDVMNSLGYARAGAHGGAAAATGVDFMREVEKSCLNFVYLAFAVLAVAFMEGYCWSRTSERQVLRIRYLYLQAILRQEVGFFDSQEATTSEIINSISKDASLIQEVLSEKVPLFLMHSTVFISGLAFSTYFSWRLALVSFPLVLLLIIPGLIYGKYLLYLSRQSRHEYTNANSLVEQALGSIKTVYSFTAEKRIIQRYTAVLDKTIKLGIRQGIAKGLAVGFTGLSFAIWAFLAWYGSRLVMYHHESGGRIYAAGISFVLGGLSLGMALPELKHFTEASVAATRILNRINRVPEINADDPKGLILDQVRGELQFESVRFVYPSRPNMTVLKDFNLQIPAGQTVALVGSSGSGKSTAIALVQRFYDATEGTVKVDGVNIKELQLKWIRSKMGLVSQDHALFGTSIKENILFGKPDATMDELYAAAMTANAHNFIRGLPEEYETKIGERGALLSGGQKQRIAIARAVIKNPAILLLDEATSALDSESEKLVQHALDQASMGRTTLVNIVTTSTALLYNAKINCTIVVAHKLSTVKNADQIAVVDGGTIAEIGTHDELINKGGPYSRLVKLQKMVSYIDQEGGDQFRASSVARTSTSRLSMSRASPMPLTPGISKETDSSVSPPAPSFSRLLAMNAPEWRQAVIGSLSALVYGSLQPIYAITIGGMIAAFFVQDLKEMNAIISRYALIFCSLSVISVVVNLLQHYNFAYMGEHLVRRIRVQVLEKILTFEAAWFDEETNSSGSLCSRLSNEASLVKTLVADRISLLLQTASGIIIAVTMGLIVAWKLALVMIAVQPTTMICYYAKKIVLSNVSRDLAKAQHQSTQIAIEAVYNHRMVTSFGCSSKVLQLFEHTQEEPLKRARKKSWVAGITTGLSPCLSFLSWALDFWYGGKLAQSGEISAGDVFKTFFVLVSTGKLIADAGSMTSDLAKGANAVASVFEVLDRKSISPQNSQVEKDNQKNKIQGRIEFKRVDFAYPTRPQCLILQDFSLDVKAGTSIGLVGRSGCGKSTIIGLIQRFYDVDRGAVKVDGMDVREMDILWYRGFTALVSQEPAIFSGSVRDNIAFGKPEADEDEIVEAAKAANAHEFISSLKDGYHTDCGEHGLQLSGGQKQRIAIARAIIRNPAILLLDEATSALDAQSEQVVQEALDRIMSGRTTIVVAHRLNTIKNVDSIAFLGEGKVVERGTYPHLMSKKGAFYNLAALQK >ORUFI01G32470.1 pep chromosome:OR_W1943:1:27709854:27711952:-1 gene:ORUFI01G32470 transcript:ORUFI01G32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCIHMVGHSVTTARVWWQSTSSPLGCSRVPDALLPALAGENLASVVNERWRRSTSHPPWGHCFGETSSCKDIVIGLCIGFELQS >ORUFI01G32480.1 pep chromosome:OR_W1943:1:27713172:27716631:1 gene:ORUFI01G32480 transcript:ORUFI01G32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTGTMGTLLPKLGKLLKEEYNLRKSVKEGIIFLKAELESIQAVLEKVSMVPLDQLDTQIKFWARDVRDISYDIEDTIDTFMLHVNGLEPTNTTSHVKIHHKIANDIKYLKRQIKEVMDRRDRYKIDDIVAKPRTVIDPRLLALYEKTTNLIGVDKPADDLIKMLSIEDEISRNLKMVSVVGFGGLGKTTLAKVEFDMLKGQFDCAGFVPVGQRPDIKRVFKDILIEVNKHKYMVFDALALNERHLIDELREYLDNRRYLIIIDDIWETSTWKIIKCAFLDSNSGSRVIATTRISQVAKEIAKEFGYVYIMKPLSINNSKKLFYSRIFGADYNGPSNNQPAEVTEKILKKCGGVPLSIITMASLLVHKPLEDWSDVYESIGFGSTDQNEVVHNTRKILYFSYYDLPSYLKTCMLHLSIYPEDHLIEKDCLIWKWVAEGFIHEEQGKGLFETGERYFIELINKSMIQPTEQTLFGCKVDGCRVHDMVLDLIRILATEENFLKVLHRGHEQQSPSLHSKTIRRLALHKSRNQDNFAIGMEQLRLFNAIECPINMTSPLVNSHVLRVLALENCVVMGGCLKHLRKLLQLRYLGLRYTRIDELPSEIGDLVHLQTLDIMNTGLEALPATIGKLTKLMRLRVDNGTRVHAGVANLTSLQELLLGKISDDTCPNFAVELCTLTDLRVLNIWIKMEDEGTLNTLVESLQSLRRIQNLNIRLDIKDTGMETKYSMMCIWEDWEPPRQLREFYLSGWTGFLPRLPAWMNSKRIPNLSKLDMKVLAMEPWDLDTLGRMPMLHYLRRRICTKISSWTVGCGLFPNLRYCMMNIELKFL >ORUFI01G32490.1 pep chromosome:OR_W1943:1:27719731:27720591:-1 gene:ORUFI01G32490 transcript:ORUFI01G32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPETIFPISVGECGLLVWHRASLLPPRQCSGAGCERRARDAFLHDAGDPRFALHLRSAPPRPDLDGWRHGGGWTVVAGRGCPWRWRWRWRWWLTVAAGDGGGCDSGGGGCRRWYLWRRWLKAIIRLTVRRRQRRYVEVGKGSGGREGVRVRARRNRGRKPCRAIWPADNGDAVWRRSPPWRRCFSIPLSFPYHILRVKTLLRFRTSGGGYPCRILLEGTALEKSLRVRILSLVYALASNFSPRL >ORUFI01G32500.1 pep chromosome:OR_W1943:1:27721165:27730534:1 gene:ORUFI01G32500 transcript:ORUFI01G32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQHVQEEAAGAEAVHAHAARHNGAVVMEILSRSLQSMPASPDVSAYFSGASSRRPSAADEVDDEEALRWAALERLPSFDRLRTGLMRADADSSGVGVGAVGRGRRWYAHREVDVRTLELAQRQAFVERVFHVAEEDNERFLKKLRARIDRAGIQMPTVEVRFRNVNVQAECHVGTRALPTLANVSRDVGESLLGLVGLNFAKRKALHILKDVSGIVRPSRMTLLLGPPSSGKTTLLLALAGKLDPTLETSGEVTYNGYGLDEFVPQKTAAYISQHDVHAGEMTVKETLDFSAKCQGVVQRYELLKELAKKERQLGIYPDPEVDLFMKATSVEGSTLQTDYILRILGLDMCADVIVGDELRRGISGGQKKRLTTAEMLVGPTKVLFMDEISTGLDSSTTFQIIRCIQQIVHMGEATVLVSLLQPAPEIFELFDDVMLLSEGQIVYQGPREHVLEFFERCGFRCPERKGVADFLQEVTSKKDQEQYWIQSEKPYRYVSVPEFVAKFKKFHMGKSLKKQLSVPFNKGKIHKSALVFSKQSVSTLELLKTSCSKEWLLMKRNSFVYIFKTVQGILVALIASTVFLRTQLNTRDEDDGQIYIGALIFVMITNMFSGFADLSLTLARLPVFYKHRDFLFYRPWTFALPNVLVRIPSSLFESIIWVAITYYTMGFAPEASRFFKHLLVVFMLQQMAAGLFRVTAGLCRTVVVTNTAGSLAVLIMFVLGGFILPKDAIPKWWVWAYWCSPLTYAYIAFSSNEMHSPRWMDKFVPDGKRLGVAVLENSGVFTNKEWYWIATGALLGFTILFNVLFSLSLMYLNPVGKPQSILPEETDSQENIQEGKNKAHIKQIITVETPEPVSPNSIITLDKVIQQLRGYSANTSDRSHSYINAAGRTAPGRGMVLPFEPLYMSFNEINYYVDMPLEMKSQGVTADKLQLLSGISGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTGGYIEGEIYISGYPKNQATFARISGYCEQNDIHSPQITVRESLLFSAFLRLPKEVNDQEKKIFVDEVMELVELTGLKDAIVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGPLGTNSHKVVEYFEAIPGVPKIEENRNPATWMLDVSSAASEVRLEIDFAEYYRSSTMHQRTKALVKELSNPPPGSDDLYFPSQYSQSTFNQFKLCLWKQWWTYWRSPDYNLVRIFFALFTALMLGTIFWRVGHKMESSKDLLVIIGSMYAAVLFVGFENSVTVQPVVAVERTVFYRERAAGMYSAIPYALAQVVVEIPYVFVETVIYTLIVYPMMSFQWTPAKFFWFFYVSFFTFLYFTYYGMMNVSVSPNLQVASILGAAFYTLFNLFSGFFIPRPKIPKWWVWYYWLCPVAWTVYGLIVSQYGDVEDFITVPGQSDQQVRPFIKDYFGYDPDFMGVVAAVLAGFTVFFAFTYAYSIRTLNFQQR >ORUFI01G32510.1 pep chromosome:OR_W1943:1:27733110:27733778:1 gene:ORUFI01G32510 transcript:ORUFI01G32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDTRVTYGFTLNTRSRSSIKQEQSEVSNVQSPFSTGMMQQAAAAAEAHGSGHPAASMADDGKEEAVSAAWHGSVRAAVEGPTPDQAWALLGDFCSLHRWVPSVQTCRRVEGAEGQPGCVRYCAGPVNKAAEAVAGWSKERLVEFDPVARRYSYEVVETNKGFGRYAATLRVEPDPAGCAVAWSFEADPVRGWTLEGFVGFLDELARGVARRLEEEIMSRN >ORUFI01G32520.1 pep chromosome:OR_W1943:1:27737352:27737842:-1 gene:ORUFI01G32520 transcript:ORUFI01G32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLRFGVVPCMIIICCLGLVLPDPRFFLAVPWRLPLLISWAVYMSLVSSVQTYTTLFLPLTPAPLHEAIDNIVSGA >ORUFI01G32530.1 pep chromosome:OR_W1943:1:27739429:27745860:1 gene:ORUFI01G32530 transcript:ORUFI01G32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLEALALGSSHSPIVGCCCCGQHLLAAAASPDNVAAAQDAALLPPPSFAATAAAAQAEVACSSDRQNTHCLPAAARRHQNPLALSWPWMRGFEIYGPRTPALHLALVRPPPRVSWEAHRRNRRGARPSCPTAATGFAWRRPAAGPNDAVVQVGGCAARARRVEETALLLPRHTSRFRQPRLHDGFRRPSPHPEPVQVGCPLRRRREVTPPPGFVQGCAAARRHHRAVKAAPAPGARPPPCTPGHGGRAGRPSASPVAAARLPAGFAGAADKGEVKRRRTRT >ORUFI01G32540.1 pep chromosome:OR_W1943:1:27746115:27748425:1 gene:ORUFI01G32540 transcript:ORUFI01G32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPDRYTPTPSRLPLALKAAAQAETPTFADNYTPPPSRVDEAHALMEQRMLMEANVVIWGTLLGACERHENVRVGQ >ORUFI01G32550.1 pep chromosome:OR_W1943:1:27749839:27759287:1 gene:ORUFI01G32550 transcript:ORUFI01G32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGNGRNGSEVGIQIPAMGNKEVLERPAIPRWPRLGVVMVATRAVALVMAVLSMALMISAKQRGSLKIFGIEIPLYANWSFSDSLEYLVGMSAVSAAYCLAQLLLTAHKAVKNAPVVQSRNYAWLLFTAAAVANLNRTGIRHTALPNFCKPLPRFCDLSAASIACAFLSCIFLAASAVIDVIWLSNIAATRVPKPSPGSFLGEKRDEVNVDVDELLRKR >ORUFI01G32560.1 pep chromosome:OR_W1943:1:27759378:27762885:1 gene:ORUFI01G32560 transcript:ORUFI01G32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKVVAVAAGEAHTLALTGDGEVYSWGRGMFGRLGTGREADEHVPTVVAAGGRPRPRFVAVASGAYHSLALDDEGSLWSWGYNICILHKVYLGYGEENSLFPCLVERFQDLGSPETLQSEAQNSSTQSSLKLSSVKAGGMMSFAIDTLGAMWMWGNCPQQTDAGEFCIAPSCVPLPVWDFHGHTVVKVACGNEHVVAAVSAGETYTGGDLVCYAWGNNNHGQLGLGDKESRSRPVLISAFSEGSSWEVYEIACGTWHTAVLTNKKSFDVDLESRCWTFGLGDNGQLGHGTTATICSPQPVDGLPTGSFLISLDCGLFHTTVVSSDGEVWCWGMERGLGLCPDASFSGVDSGDALRPIRVQSPETNGFKFLGAVQVTCGAAHTVLVAGDGYRMWAWGRGRSGVLGRNQTADSYIPCVVMWPPLDENFQEIHEDRAQASTSGVNDRNSAELEQKLSAASEELEFLRSKLTLMERYANILHISIFRKPLDERTLPRSLQESPVFDIRKEFENILDTAGTDELNRLEIFYRSMLSGVKDKLLKRRVQEMVQECIVSLSSGRQTP >ORUFI01G32560.2 pep chromosome:OR_W1943:1:27759378:27762885:1 gene:ORUFI01G32560 transcript:ORUFI01G32560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKVVAVAAGEAHTLALTGDGEVYSWGRGMFGRLGTGREADEHVPTVVAAGGRPRPRFVAVASGAYHSLALDDLGSPETLQSEAQNSSTQSSLKLSSVKAGGMMSFAIDTLGAMWMWGNCPQQTDAGEFCIAPSCVPLPVWDFHGHTVVKVACGNEHVVAAVSAGETYTGGDLVCYAWGNNNHGQLGLGDKESRSRPVLISAFSEGSSWEVYEIACGTWHTAVLTNKKSFDVDLESRCWTFGLGDNGQLGHGTTATICSPQPVDGLPTGSFLISLDCGLFHTTVVSSDGEVWCWGMERGLGLCPDASFSGVDSGDALRPIRVQSPETNGFKFLGAVQVTCGAAHTVLVAGDGYRMWAWGRGRSGVLGRNQTADSYIPCVVMWPPLDENFQEIHEDRAQASTSGVNDRNSAELEQKLSAASEELEFLRSKLTLMERYANILHISIFRKPLDERTLPRSLQESPVFDIRKEFENILDTAGTDELNRLEIFYRSMLSGVKDKLLKRRVQEMVQECIVSLSSGRQTP >ORUFI01G32570.1 pep chromosome:OR_W1943:1:27765925:27771956:1 gene:ORUFI01G32570 transcript:ORUFI01G32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRVDAVAGGRRWGEGEEGGRRGGGTGGGGGGGGRRGGEDGGEVSLREWLDRPGRAVEAPECLHVFRQVAESVAIAHAQGVAVGSARPSCFVVSPPFARVAFIESASGSDVSGSCSGSDGSEEADPEPSPPRRRRDGASGGEDRGGKTFPLRSVLAMELTWYTSPEEADDRGGATFASDVYRLGVLLFELFCTFETMEEKMRAMANLRHRVLPPQLLLKWPKEASFCQLLMHPVPETRPKMSDVLQSEFLNRSRNSLEEREAALRLREEIEEQELLLDFLLQLQRRKQDIADNLQDTVAFLSSDINEAHQQSALGQCGNFSFELDKEVCSETVEDQSDCGSRKRFRPELPAVDMEEQNRSLEECSGTVPSSVLIQESVLSKSSRLMKNFKKLETAYFLTRSKLAKQVGNPVSSCHQVVKRTTGSPVVTEGSSIDDFALEGHYGTRQRGWMNSFLEGLCSYLSFSKLKVKAELKQCDLLNSSNLVCSVGFDRDKEFFATAGVNKKIKVFEYNMIVNEHRDIHYPVVEMSNRSKLSCICWNSYMKSHIASSDFEGIVQVWDVTRSQVFVEMREHERRVWSVDFSLADPTKLVSGSDDGSVKLWNMNQAILFLHLAGSVGTIRTRANVCSVQFQPDSARSIAIGSADHKIYCYDLRNIRAPYCTLVGHTKTVSYVKYVDASTIVSASTDNSLKLWDLSMSQARIIDSPLRTFTGHTNTKNFVGLSISDGYIATGSETNEVFVYHKAFPMPVLAYKFSVTDPISGQEIDDPSQFISCVCWRGQSSTLLSANSSGNIKILEMD >ORUFI01G32570.2 pep chromosome:OR_W1943:1:27765925:27771956:1 gene:ORUFI01G32570 transcript:ORUFI01G32570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRVDAVAGGRRWGEGEEGGRRGGGTGGGGGGGGRRGGEDGGEVSLREWLDRPGRAVEAPECLHVFRQVAESVAIAHAQGVAVGSARPSCFVVSPPFARVAFIESASGSDVSGSCSGSDGSEEADPEPSPPRRRRDGASGGEDRGGKTFPLRSVLAMELTWYTSPEEADDRGGATFASDVYRLGVLLFELFCTFETMEEKMRAMANLRHRVLPPQLLLKWPKEASFCQLLMHPVPETRPKMSDVLQSEFLNRSRNSLEEREAALRLREEIEEQELLLDFLLQLQRRKQDIADNLQDTVAFLSSDINEAHQQSALGQCGNFSFELDKEVCSETVEDQSDCGSRKRFRPELPAVDMEEQNRSLEECSGTVPSSVLIQESVLSKSSRLMKNFKKLETAYFLTRSKLAKQVGNPVSSCHQVVKRTTGSPVVTEGSSIDDFALEGHYGTRQRGWMNSFLEGLCSYLSFSKLKVKAELKQCDLLNSSNLVCSVGFDRDKEFFATAGVNKKIKVFEYNMIVNEHRDIHYPVVEMSNRSKLSCICWNSYMKSHIASSDFEGIVQVWDVTRSQVFVEMREHERRVWSVDFSLADPTKLAGSVGTIRTRANVCSVQFQPDSARSIAIGSADHKIYCYDLRNIRAPYCTLVGHTKTVSYVKYVDASTIVSASTDNSLKLWDLSMSQARIIDSPLRTFTGHTNTKNFVGLSISDGYIATGSETNEVFVYHKAFPMPVLAYKFSVTDPISGQEIDDPSQFISCVCWRGQSSTLLSANSSGNIKILEMD >ORUFI01G32580.1 pep chromosome:OR_W1943:1:27771866:27772473:-1 gene:ORUFI01G32580 transcript:ORUFI01G32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAGSLQLYCLSVLLVILTQLGGGSAMGLPRPPPNVNFTIGVEGAVWCKTCRYAGYVKSKDASPLPNAAALLRCRRGKWALSVWGATDARGYFLIQTGTQVAAFTSKDCRVYVPRSPSRAACGVALQPGRKTGSPLKFRRFVALPDGLQGRYSAGNFVFGPRDPKKC >ORUFI01G32590.1 pep chromosome:OR_W1943:1:27781025:27781766:-1 gene:ORUFI01G32590 transcript:ORUFI01G32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHTAVVCSALLVLAAALLLPAHHAMAEDVVSSRDNTARAPSSSPSAATATAPAPSPYTDTAMAPAPSSSNDTAVEPVAPPPLPFIIVEGVVYCKTCKSRGYSSDMDASPLPGATAQLVCYGKKVVNVTGTVTDANGYFLVMFYDLRNFNPRTCKVFLGSSPTSLCDKPVYPPNKWIGLSLLKETRTVPPVGLQAIYCPTSVLFYGPANAGQCPSG >ORUFI01G32600.1 pep chromosome:OR_W1943:1:27786258:27788343:-1 gene:ORUFI01G32600 transcript:ORUFI01G32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRSEIKRIENPTQRQSTFYKRRDGLFKKARELAVLCDADLLLLLFSASGKLYHFLSPTVPSVREFVERYEATTHTKVWADIRQERRAELEKVGSMCDLLEKQLRFMTVDDGEEYTVPSLEALEHNLEAAMRKVRSEKDRKIGGEICYLQNIIRGRQEERYGLCDKIAHAETLKDVECGSTSLSNGLDLKLGFN >ORUFI01G32610.1 pep chromosome:OR_W1943:1:27801562:27804366:1 gene:ORUFI01G32610 transcript:ORUFI01G32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMAKALAVVSAFCLLVAPAAAARSLVDVIGGAFGGRKDYYTPGPTGQHHSSSPSHSHKAPPCAPTPPQGGGGGYNPPSPSIGTSPTTPGGGGGYTPTPSDTPPSPSSDTSPSTPGVGCSSSPTPCDAPPSPSSDTSPTTPGGGGGYSPTPSDTPPSPSSDTSPTTPGGGGGYTPTPSDAPPSPSSDTSPTTPGGGGGYTPTPSDAPPSPSSDTSPTTPGGGGGYTPTPSDTPPSPSSGSSPTTPGGGGGYTPTPSDTPPSPSSGSSRTTPGGCSTPTPCGTPPAPSSGTSPTTPGGSYYPPTPSIGDVPPSPSSDTSPTTPGGGSPSTPCDTPPSPSSGTSPTTPGGGYYPPTPSVGDVPPSPASGTSPSTPGSGGYSPSTPCSAPPSPSSGTSPTTPGGGYSPSTPCNAPPSPSSDTSPTTPGGGNYPPAPTIGNVPPSPSSGTSPSTPGGGCSSSPTPCDAPPSPSSDTSPTTPGGGYYPPTPSIGTSPSTPGTGGGYYPPSPSTGGYTPTPDVPISTPSSPYSPLVPTPPSSTTPTPFDPNTAPFPCSYWLSHPGVIWGLFGFWCPMARLFGPTAAAPFGHDLTVPEALANTRADGVGELYREGTASLLNSMVNSRFPFSTQQVKDAFSAALSSGGDHAAAAQAQLFKKANEGHVMR >ORUFI01G32620.1 pep chromosome:OR_W1943:1:27811487:27812676:-1 gene:ORUFI01G32620 transcript:ORUFI01G32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPKPVDFEKGGETKPTEFVDLEKGQDIDGCETKQGETDTKNQILANVLSKISMVIILAVYIVLVIICFKGMSSVWAAVGTTIFITPSYILMLKTIPYLRDVSMERFASHPAAGRDGSNLQSGNV >ORUFI01G32630.1 pep chromosome:OR_W1943:1:27818265:27822908:1 gene:ORUFI01G32630 transcript:ORUFI01G32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYITSAAPLAGEATKAMASPPSPPPHQHQQAATRRGCRSAVVTGLLAGVLLFRAALLTIEAGASLCPSTTGCLDWRAGLGDWLYGGSGDAMEEFMKEWRRGRREASLLDPVVVEAAPDSLDGLMAEMDTMLASYDRLDMEAVVLKIMAMLLKMDRKVKSSRIRALFNRHLASLGIPKSMHCLTLRLAEEFAVNSAARSPVPLPEHAPRLADASYLHVTIVTDNVLAAAVAVASAVRSSAEPARLVFHVVTDKKSYVPMHSWFALHPVSPAVVEVKGLHQFDWRDGGAIASVMRTIEEVQRSSMEYHQCDASVVREYRRLEASKPSTFSLLNYLKIHLPEFFPELGRVILLDDDVVVRKDLTGLWEQHLGENIIGAVGGHNPGEDGVVCIEKTLGDHLNFTDPEVSSVLESARCAWSWGVNVVNLDAWRRTNNRESGFRLWKMGSLPPALIAFDGRVQAVEPRWHLRGLGWHTPDGEQLQRSAVLHFSGPRKPWLEVAFPELRELWLGHLNRSDSFLQGCGVVE >ORUFI01G32640.1 pep chromosome:OR_W1943:1:27824899:27825165:1 gene:ORUFI01G32640 transcript:ORUFI01G32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQREDGRRRWRPRAVPTSAQAPVAPPRCGAVWRLAELCVVALSGPLVAGAAAAPDAPVPCAVVAVDSYAFAAPFVPAVLLKRARKGY >ORUFI01G32650.1 pep chromosome:OR_W1943:1:27826499:27829915:1 gene:ORUFI01G32650 transcript:ORUFI01G32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPSASALSSSSGAVVVAVVRPAASAASRSATAERGAFAMSRLRALWQASVNATRRAIVWNSEDLIPPSEKYIFNFNSKDELKRWHLYSDSEYGGLSSASLEITDGGAGGDTSSTGLFSGNLSLDMSEGSTWKIRRSGFCGMRSKKFNGFIDLDAYDTIAMKLRGDGRCYISTIYTENWVNSPGQQEDNSWQAFVYLPQDRWQIMKIPLDSYLPTWRGNVIEAKMEMNPARVVGMSLSVNAEGGVPGAKTGPGDGLYLASHFAVELASSCLVAALPAC >ORUFI01G32660.1 pep chromosome:OR_W1943:1:27830378:27830770:-1 gene:ORUFI01G32660 transcript:ORUFI01G32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGEELYESDVLWPDHQSPHDVVPPTATATATAPTPARRGQQQITRHCSTASSRPVDIPRAAQRWSGGDQGDDCGGGTMVPPHVMVSRRRSTEEGDQAFSLRTGTGRARRDLSHLRNSVLRMTGFIEG >ORUFI01G32670.1 pep chromosome:OR_W1943:1:27845666:27846949:-1 gene:ORUFI01G32670 transcript:ORUFI01G32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQRSVAVSAKCAAASWAEERRPFTDPIEIPAPSGAATARREDTEYGEGDYGGSGSGCGGEVVPPHVLLARRRAAFSMCSGQGRTLKGRDLRRFRNDAFRQPRYLWALGHYTPSKKMLRIEQQQLDKEEFQEADILWPDAAQDLDFPQMYYSLVDADEDDDEHRSVKQHGNRQKASSPIDIPARKVSSAGAKGARAPAGFSKFGQTLAGAGGGSFFVGSHVFVPPHVIVDHRRAKREKAMMMLVVPKGRARKMVMRE >ORUFI01G32680.1 pep chromosome:OR_W1943:1:27852821:27857408:-1 gene:ORUFI01G32680 transcript:ORUFI01G32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLLFLLVLSSFSTASAAFTKPRQVYVVYLGEHAGAKVEEEILAGHHGLLLSVKGSEEEARASLLYSYKHSLNGFAALLSEEEATALSARTEVVSAFPSNGRWSPHTTRSWEFVGLEEGVRGPDDTGRLPPGDKAGGEDVIVGVLDSGIWPESRSFGDEGLGPVPARWKGVCQGGDSFSPSSCNRKIIGARYYVKAYEARYGEVNTTNAYRSPRDHDGHGTHTASTVAGRTVPGVAALGGFAPGTASGGAPLARVAVYKVCWPIPGPNPNIENTCFEADMLAAIDDAVGDGVDVMSVSIGSTGKPLPFAEDGIAVGALHAAMRGVVLVCSGGNSGPKPATVSNLAPWMLTVAASSIDRAFISPIKLGNGMVIMGQTVTPYQLPGNKPYPLVYAADAVVPGTPANVSNQCLPKSLAPEKVRGKIVVCLRGTGLRVEKGLEVKLAGGAAIILGNPPAFGGEVPVDAHVLPGTAVSSVDVNAIIRYINSSSSPTAVLDPSRTVVDVKPSPVMAQFSSRGPNVNEPNILKPDVTAPGLNILAAWSEASSPTKLDGDNRVVKYNIMSGTSMSCPHVSATAVLLKSAHPGWSSAAIRSAIMTTATTSNAEGGPMMDADGTVAGPIDYGSGHIRPKHALDPGLVYDASYQDYLLFACASGGAQLDHSLPCPATPPPPYQLNHPSLAIHGLNGSVTVQRTVTNVGQGSARYSVAVVEPMGVSVKVSPRSLSFARTGEKKSFRIKIEATKGRGGWRVNGQFVAGSYTWSDGVHVVRSPLVVLVA >ORUFI01G32690.1 pep chromosome:OR_W1943:1:27859463:27867336:1 gene:ORUFI01G32690 transcript:ORUFI01G32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLCRAHAYLHPLPPRSLHLRGRLHRASTALIRRLRAHDRGRHAAVAPDPAALRRLLVHACPRSVIGQDVFLIGRGADALTGVTRDPKPPLRLFAPRYLRFPMALAGAPRRRRATRSRLPPTLLLIVLLLLGGAPRASALRVPLREVATLLNLSRSLLNRVAAARAARGDDFAASRARRIASHLSLFSSRGAWALSWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEVSRLHSATDAAQWLRRNYGDVRDAAAQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLKVGAKDLEGLLVIAKDLLFGATRTSSRHNEL >ORUFI01G32690.2 pep chromosome:OR_W1943:1:27859463:27867336:1 gene:ORUFI01G32690 transcript:ORUFI01G32690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLCRAHAYLHPLPPRSLHLRGRLHRASTALIRRLRAHDRGRHAAVAPDPAALRRLLVHACPRSVIGQDVFLIGRGADALTGVTRDPKPPLRLFAPRYLRFPMALAGAPRRRRATRSRLPPTLLLIVLLLLGGAPRASALRVPLREVATLLNLSRSLLNRVAAARAARGDDFAASRARRIASHLSLFSSRGAWALSWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEVSRLHSATDAAQWLRRNYGDVRDAAAQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLKVGAKDLEGLLVIAKDLLFGATRTSSRHNEL >ORUFI01G32690.3 pep chromosome:OR_W1943:1:27859463:27865904:1 gene:ORUFI01G32690 transcript:ORUFI01G32690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLCRAHAYLHPLPPRSLHLRGRLHRASTALIRRLRAHDRGRHAAVAPDPAALRRLLVHACPRSVIGQDVFLIGRGADALTGVTRDPKPPLRLFAPRYLRFPMALAGAPRRRRATRSRLPPTLLLIVLLLLGGAPRASALRVPLREVATLLNLSRSLLNRVAAARAARGDDFAASRARRIASHLSLFSSRGAWALSWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEVSRLHSATDAAQWLRRNYGDVRDAAAQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLKVGAKDLEGLLVIAKDLLFGATRTSSRHNEL >ORUFI01G32690.4 pep chromosome:OR_W1943:1:27859463:27865297:1 gene:ORUFI01G32690 transcript:ORUFI01G32690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLCRAHAYLHPLPPRSLHLRGRLHRASTALIRRLRAHDRGRHAAVAPDPAALRRLLVHACPRSVIGQDVFLIGRGADALTGVTRDPKPPLRLFAPRYLRFPMALAGAPRRRRATRSRLPPTLLLIVLLLLGGAPRASALRVPLREVATLLNLSRSLLNRVAAARAARGDDFAASRARRIASHLSLFSSRGAWALSWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEVSRLHSATDAAQWLRRNYGDVRDAAAQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLKVGAKDLEGLLVIAKDLLFGATRTSSRHNEL >ORUFI01G32690.5 pep chromosome:OR_W1943:1:27859463:27861596:1 gene:ORUFI01G32690 transcript:ORUFI01G32690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLCRAHAYLHPLPPRSLHLRGRLHRASTALIRRLRAHDRGRHAAVAPDPAALRRLLVHACPRSVIGQDVFLIGRGADALTGVTRDPKPPLRLFAPRYLRFPMALAGAPRRRRATRSRLPPTLLLIVLLLLGGAPRASALRVPLREVATLLNLSRSLLNRVAAARAARGDDFAASRARRIASHLSLFSSRGAWALSWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEVSRLHSATDAAQWLRRNYGDVRDAAAQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLKVGAKDLEGLLVIAKDLLFGATRTSSRHNEL >ORUFI01G32700.1 pep chromosome:OR_W1943:1:27869558:27871700:1 gene:ORUFI01G32700 transcript:ORUFI01G32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRLVTVVMAAAVSSALVMVARCDPQVPCYFIFGDSLVDNGNNNYIVSLARANYPPYGIDFAGGPSGRFTNGLTTVDVIAQLLGFDNFIPPYAATSGDQILNGANFASAAAGIRAETGQQLGGRIPFAGQVQNYQTAVQTLISILGDQDTASDRLSKCIFSVGMGSNDYLNNYFMPAFYNTGSQYTPEQFADSLIADYRRYVQVLYNYGARKVVMIGVGQVGCSPNELARYSADGATCVARIDSAIQIFNRRLVGLVDEMNTLPGAHFTFINAYNIFSDILANAASYGFTETTAGCCGVGRNNGQVTCLPYEAPCSNRDQHIFWDAFHPSEAANIIVGRRSYRAESPNDAYPMDIATLASV >ORUFI01G32710.1 pep chromosome:OR_W1943:1:27871895:27877974:-1 gene:ORUFI01G32710 transcript:ORUFI01G32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAFQPFTIRGFAAGMRAVDASKCWPFGRGGGDGEPPPPLPPMEPPKRSRWWAHELAAERARQEARATGTEDAGGGVAGGGGSGKGAKRKGSRGRVRAERARKWRRSLQFGLLSKRKEKTSSRLLHYVLHKQLLSKHKGSTLRTQKEPSAQKSKHKGSTLRTQKELSAQKKFQNTHDCMSTHENNLNKQYIRGMDPSTGMGSNLVRKEAANSSVNKQNIKASEPTIYPLNPGCELVKNVAYPPKDDIFGDLPLLESSKVMFHSGVDELPTVIEDSFVTDQSGPEAISENVSLKLIPPSDMSVQTSSTLKDLVKKEGTPAKKSICISRNDVKKNLPSSAEFDGLNHNGINMVKTCLSDTQLKSTDVPALSSYSNKGLKSGSSNTAHTQQDCFSMNTNYCQEIRKPGTSIATSSVTVRTRTEAIESDRDMAVNSKKSTSISCALVPTECHISSEGSVLSSAISQGSASAATSADGMSSYKSIPSQDSIPTSGLNGTFASNLFRESRKSVDTCTSLSKEDQCSWYSKLHPVCTPASIGSAFMKLPGLERIEISSCNIKTDENMSTNGRPANIVRCEKQQAVIGVPNIMQGQRKTDFSDSQVQKKVLNGYLQQDVYHPCQPTVRLMGKTVSVCERSKEHRVPTMGKGWSDSTIVEDHPSSTSCHFPQKRLFPCQDSVTPSVHVKGSLDILQRIPSVTLPEARATIGNVQNRRLQPINTVSSSVRDCIWNSGSQSVRQAEIKRATTINVNSRARHINLHQPPQVISTSQNQHCQLSTPSILRGKDCSFLGPAVTQSSSFPQWTLNTGIQEKYQKSTFSYDDPTSAPIYQSCQVPGAKLSSTSMISFLDYGTDNAEFSRSLPQACPSLATSLPINFVSTVSPTCTVKPTNTGCRKGVVFTNQRKRPAYINNVAHEPAKKLMANKQDLVAPVFEETKNRSLGWSLDDAIGPRILDFGSKVAGHGLEMSTNESNCVRASSGPVPVLETRSIKPSSLSLAIYTLVQRLIEDIEKIQNKAIHRSQQRIMGVLGALARHMDALVGPGIMLLYPLYASMRAIESPSTLDDQQWLTYWVLYSLITLFELSCWKVLQWFPLWPYMKLLFCCWLVLPIFNGAAYIYETHVRRYFKIGQYVSPNYNERQRKALQMMSLDARKSVERFIESHGPDALDKIIRAAEEEAKRA >ORUFI01G32720.1 pep chromosome:OR_W1943:1:27880902:27885086:-1 gene:ORUFI01G32720 transcript:ORUFI01G32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEAASPWSLAGAGAAVALLWLCAWTLQWAWWTPRRLERALRAQGLRGTRYRLFIGDVAENGRLNREAASRPLPLGSHDVVPRVMPFFCNVLKEHGKLSFVWTGPKPFVIIRDPDLAREILSNKSGNFAKQTTAGIAKFVVGGVVTYEGEKWAKHRRILNPAFHQEKIKRMLPVFLACCTKMITRWVNSMSSEGISELDVWDEFQNLTGDVISRTAFGSSYQEGWRIFQLQEEQAKRVLKAFQRIFIPGYWYLPIENNRRIREIDQEIRTILRGIIVKRDKAVRNGEGSNDDLLGLLVESNMRQSNEKEDVGMSIEDMIEECKLFYAAGSETTSMLLTWTLILLSMHPEWQEQAREEVMHHFGRTTPDHDGLSRLKIVTMILHEVLRLYPPVVFLQRTTHKEIELGGIKYPEGVNFTLPVLSIHHDPSIWGQDAIKFNPERFANGVSKATKFQTAFFSFAWGPRICLGQSFAILEAKMALATILQSFSFELSPSYTHAPHTVLTLQPQYGSPIKLKKL >ORUFI01G32730.1 pep chromosome:OR_W1943:1:27887535:27888334:-1 gene:ORUFI01G32730 transcript:ORUFI01G32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDHVKIVLKAYILEPRKYILSLESLYHNCGGLVVTMILHEVIRLYPSGIFLQRTTRKEIELGGIKYPEGANFTLPVPSIHHDPSIWGGDASEFNLERFANGVSKATKFKTAFFMFGWGFSDLPWTELCNAGSQDGARHHPPELLL >ORUFI01G32740.1 pep chromosome:OR_W1943:1:27888793:27889588:1 gene:ORUFI01G32740 transcript:ORUFI01G32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFISRILTTSFRFHTVAVMKWEALLPNDTFLIVASSDGVFEKVTMQDVCDLMLYVKLGVKQELGSFALTQQNLADYVVDLSL >ORUFI01G32750.1 pep chromosome:OR_W1943:1:27893446:27894276:-1 gene:ORUFI01G32750 transcript:ORUFI01G32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQAVQALKPVRQMKQHACSFALYAHDLSRQVEVHHFVSRLNQDVLQCAVYDSDKPSAHLIGVEYIVSDAIFESLPPEEQKLWHSHAYEVKAGLWTDVGVPEPLQKLGDGEDGQDVRQALVDRGDELPLGAPALMVSPQAVEPGRVRAELVHGRDERYKIDSSAQGLKGARVEMDEPEWINPNADYWRLHGKGFAIDVTATEMKRHAPFP >ORUFI01G32760.1 pep chromosome:OR_W1943:1:27895676:27904754:1 gene:ORUFI01G32760 transcript:ORUFI01G32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLLPPLAPVSPPPRLLLPSSRSALLPCGPRVAPRVPVSPPRALPDTAVGAAEALRGALADAFLASPPTWRSAAVSNLAIFVAGSPVLLSGLSASGFAAAYLLGTLTWRAFGPKGLVLVASYFVLGTAATKLKIKQKEALGVAEKRGGRRGPGSVIGSSAAGCVCALLSIYNVGSAALAELWRLGFVASYCTKLSDTVSSEIGKAYGRTTYLVTTLKVVPRGTEGAISIEGTLAGILASIILASVGYLLGQVNVSQGAVCVLASQIANFCESYIGATLQDKEGFEWLAKESQRKSSWNHHIVNLNSHHHVLVAVDNLQSTPHDVFREAHLKF >ORUFI01G32760.2 pep chromosome:OR_W1943:1:27900197:27904754:1 gene:ORUFI01G32760 transcript:ORUFI01G32760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLLPPLAPVSPPPRLLLPSSRSALLPCGPRVAPRVPVSPPRALPDTAVGAAEALRGALADAFLASPPTWRSAAVSNLAIFVAGSPVLLSGLSASGFAAAYLLGTLTWRAFGPKGLVLVASYFVLGTAATKLKIKQKEALGVAEKRGGRRGPGSVIGSSAAGCVCALLSIYNVGSAALAELWRLGFVASYCTKLSDTVSSEIGKAYGRTTYLVTTLKVVPRGTEGAISIEGTLAGILASIILASVGYLLGQVNVSQGAVCVLASQIANFCESYIGATLQDKEGFEWLAKESQRKSSWNHHIVNLNSHHHVLVAVDNLQSTPHDVFREAHLKF >ORUFI01G32770.1 pep chromosome:OR_W1943:1:27895766:27896188:-1 gene:ORUFI01G32770 transcript:ORUFI01G32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPSCCLSNHSAPTLLCPSLTKSGDPKARSGIEVKVRKTVEKEVRMGGGGRRRRWGWLRLPCVTFEGRGFTSKETVGEAKNIDSDGPQTGSAAHRGLGEKEGGSDGLEMRGEKRATLSFLWVARSTGGGAGAAAIGGGG >ORUFI01G32780.1 pep chromosome:OR_W1943:1:27909942:27911306:1 gene:ORUFI01G32780 transcript:ORUFI01G32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAVFVCLLSVAAAAASMDPAEREALFLVMEAVSSDRDWRSESPDPCGAPWPGLECKPAAGDAAAALLRVTRLDFGVEPNPSCKDTAAFPPLVFSSLPHLQSLFFVGCFKNPAANTSLVLPPAANLSTSSLQQLSIRANPSLSGVMPPQLATLRSLQVLTISQNGLIRGEIPQGIGELTSLVHLDLSYNSLTGPVPSEISELKSLVGLDLSYNSLSGAIPSRIGELRQLQKLDLSSNNLTGGIPVSIANLSSLTFLALSSNGLSGHFPPGLSGLRNLQCLIMDNNPMNVPLPSELGGLPRLQELRLAGSGYSGQIPAAFGQLASLTTLSLEDNNLTGEIPPVLTRLTRMYHLNLSNNGLGGAVPFDGAFLRRLGQNLDLSGNAGLCLDDRMVVRGVGVGVGACHAGGGGDGSLAPGGVTGAAATVRGSVDGYPFRLLGHACLVVACLVSLN >ORUFI01G32790.1 pep chromosome:OR_W1943:1:27916032:27916502:-1 gene:ORUFI01G32790 transcript:ORUFI01G32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRRLGRLKPEEKRSRQAVDLFGCLPCPPSLPQLSRSQFFYSISPPRLRLASLAMGKSRSGVLLALLLVCAAVAAAAAAAVPGSKGKGGAGRAEVACSDLATRGECVASGGGSRCRWCRSEALDDMCFGAAEAWRLPNQVFSCDPPAGGAAHARR >ORUFI01G32800.1 pep chromosome:OR_W1943:1:27916676:27918619:-1 gene:ORUFI01G32800 transcript:ORUFI01G32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLIVQPVPISTGPIACASTARPAAAAHDDDGHLFDDLVLGYGGDDKTSADADDQARKLEWLRSQVIGADAEFASPFGTRRVTYADHTASGRCLRFVEDFVQRNVLPYYGNTHTVDSYVGLHTSKLASEAAKYVKRSLGAGAEDVLLFCGTGCTAAIKRLQEVTGMAVPPTLRSVALDVLPPSERWVVFVGPYEHHSNLLTWRESLAEVVEIGLRPDDGHLDLDALEAALAAPERAGRPMLGSFSACSNVTGIRTDTRAVARLLHGYGAYACFDFACSAPYVGIDMRSGEEDGYDAVYLSPHKFLGGPGSPGVLAMAKRLYRLRRTAPSTSGGGTVVYVSAYGDTVYCEDTEEREDAGTPAIIQKVRAALAFRVKEWVGEACIEAREDHMLALALRRMQASPNLRLLLGGDRPSGGRCLPVLSFVVYSPRDGSEQDERPQLHCRFVTKLLNDLFGVQARGGCSCAGPYGHRLLGITPARAKAIKSAVEMGYHGVRPGWTRVSLAYYTSTREAEFVLDAIDFVASFGHRFLPLYAFDWETGDWEYNHSFGRVLANNNAISNAAAAASSGRVKAEDEYRSYMAFARSLADSLGGCLDNTPARHVPKGIDPQLLYFPM >ORUFI01G32810.1 pep chromosome:OR_W1943:1:27931090:27935283:1 gene:ORUFI01G32810 transcript:ORUFI01G32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFEAWEGVQRHGQDIADRLAQGFTGLLQAQPPQFPWPAASHKRIPFEIALPVVPFGASRGARAGKEFFPAAAVASVIDIGGRLGQAGVEIGASVGGAVQHAVRQLPLPFRNGQIRRRKLPPQPQAPSPAAAVGEAAVGLSVERAADSCGGRPRPGGAVGGDDVDEEDEGFGCEIGTFGNFKKSKGTVNVSASYNTRNHDIESSVVARGDLWRLEASRSSSTSGNDSSPLYLVQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKSFMDLQFPNGQLTYVAGEGITASGFLPLFGGLLQAHGKYPGETRVSFSCKNKQGTRFTPMFQWPDKSLSFGVTQALAWKRSGLMVRPSVQVSLCPTFGGNDPGVRAEVIHSLKEELNVMCDWEIQVEWSNGQFRSGRYLGNTP >ORUFI01G32810.2 pep chromosome:OR_W1943:1:27931090:27935283:1 gene:ORUFI01G32810 transcript:ORUFI01G32810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFEAWEGVQRHGQDIADRLAQGFTGLLQAQPPQFPWPAASHKRIPFEIALPVVPFGASRGARAGKEFFPAAAVASVIDIGGRLGQAGVEIGASVGGAVQHAVRQLPLPFRNGQIRRRKLPPQPQAPSPAAAVGEAAVGLSVERAADSCGGRPRPGGAVGGDDVDEEDEGFGCEIGTFGNFKKSKGTVNVSASYNTRNHDIESSVVARGDLWRLEASRSSSTSGNDSSPLYLVQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKSFMDLQFPNGQLTYVAGEGITASGFLPLFGGLLQAHGKYPGETRVSFSCKNKQGTRFTPMFQWPDKSLSFGVTQALAWKRSGLMVRPSVQVSLCPTFGGNDPGIGRSKWNGQMGSSGVVVTLETPLNNIGRPSLSVQLNGGFEI >ORUFI01G32810.3 pep chromosome:OR_W1943:1:27931090:27935589:1 gene:ORUFI01G32810 transcript:ORUFI01G32810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFEAWEGVQRHGQDIADRLAQGFTGLLQAQPPQFPWPAASHKRIPFEIALPVVPFGASRGARAGKEFFPAAAVASVIDIGGRLGQAGVEIGASVGGAVQHAVRQLPLPFRNGQIRRRKLPPQPQAPSPAAAVGEAAVGLSVERAADSCGGRPRPGGAVGGDDVDEEDEGFGCEIGTFGNFKKSKGTVNVSASYNTRNHDIESSVVARGDLWRLEASRSSSTSGNDSSPLYLVQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKSFMDLQFPNGQLTYVAGEGITASGFLPLFGGLLQAHGKYPGETRVSFSCKNKQGTRFTPMFQWPDKSLSFGVTQALAWKRSGLMVRPSVQVSLCPTFGGNDPGVRAEVIHSLKEELNVMCDWEIQVEWSNGQFRSGRYLGNTP >ORUFI01G32820.1 pep chromosome:OR_W1943:1:27936727:27938220:1 gene:ORUFI01G32820 transcript:ORUFI01G32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVIVAPSILLSLLLVLHSTAAARSAAMAPAAADELAPSALGPAADEHISDVYIVFVSRDDYVDSVDYDVRLLASVIGSASEAKTAMIYHYSGLGFAASLAPEQAERLSRKEGIAIFKDRMYHVEKEEDGRLPRFFEENV >ORUFI01G32830.1 pep chromosome:OR_W1943:1:27937929:27939516:-1 gene:ORUFI01G32830 transcript:ORUFI01G32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGGDGPPCDSDYISNLPENVLVTILSLLRLDEAARSTVLSTRWRHLFPYTLLDFRAYALGRDVVAAVNTILAAHPAARVRSFRTGLLYFPPEDDPSVEAWLRDLAGRGVKELSLSFRERWQKIPASLFACTSLKRLHASSCTFPDATQAPVPLAALAEIDLFGVNISEESLGALLSRCTALEHLRMRSMGWCHRIHVRSESLKTLCGCGDFDELLIEHAPNLEQVYGNYMYMRSTHLKVAHAPKLEFLGYLGMSFDTIEIGQSVFTEDDFDIKTLMPSLKTLAIELSYTSEGYINWFMQLLKLFPCLETLYIRSDTWSKVRAAAPGSWDVLRSVPCIDNHLERVVFEVYRGHEWQREMAKFLHGRARFLKAMEFHCQGDKGCSELLGEEWVREQQELLCLDSRASLDARFLFFKGALVNNHHDVSHHEWYKRKYYHYLYNV >ORUFI01G32840.1 pep chromosome:OR_W1943:1:27943511:27945291:-1 gene:ORUFI01G32840 transcript:ORUFI01G32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVSPSPSASATSSRRGQIIAPDSPPSLRSYSDDSPPSTRAPAVHPEVEFEAKPAKRARVVPGGGGGGGGGGGADAAAGDGRDRISDLPDAVLLSILSFLPFRDAGRTAVLSRRWRKLFDESLLDFNACQPFPPEEGRGCEWVIRSITDILAARPDVRIRSFRFVMYGQGFADHLADVDRWFRTLAHRGVRQLDVDMLYTVPAPLLPGSILEFSSLEILRVFNCNFLDLSLPVLRLPVLRTLDLSNVSMSQGFLQAMMSNCPSLECTKLKNITGLDKICVRSRNLVRLFGDFSYLKELVVEDAPNLEELVGIGLPLAAAKVKIVFAPKLRVLGYLGKSVRPLVIHDTVFDGGIVQFRTLMRSVKTLAIQVPFSEKGHTIFVAQLLKCFPCLEALHIEPDSRSICRPVDVEEWDTITSVQCIEHSMNKLVFEDFGGEDCQWRFLTFLLGMARALKDIDFHCSESKDWASNQIELLAYTNRASADVRFHFYRFSSWPVSSLYLCHCCPQRCQKEERIALI >ORUFI01G32850.1 pep chromosome:OR_W1943:1:27949898:27954455:1 gene:ORUFI01G32850 transcript:ORUFI01G32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRSYTNLVDLANGNLSALDYGGGGGGGGGGNGAGGRPPRARRMQRTMTTPGTLAELDEERAGSVTSDVPSSLASDRLIVVANTLPVRCERRPDGRGWSFCWDEDSLLLHLRDGLPDDMEVLYVGSLRADVPSAEQDDVAQALLDRFRCVPAFLPKDVLDRFYHGFCKQTLWPLFHYMLPFTSDHGGRFDRSQWEAYVLANKLFSQRVIEVLNPEDDYIWIHDYHLLALPSFLRRRFNRLRIGFFLHSPFPSSELYRSLPVRDEILKSLLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGINMTQLQTQIRLPDLEWRVAELRKQFDGKTVMLGVDDMDIFKGINLKVLAFEQMLRTHPKWQRKAVLVQIANPRGGGGKDLEEIQAEIDESCRRINAQFSRPGYVPVVIINRALSSVERMAYYTVAECVVVTAVRDGMNLTPYEYIVCRQGFPDLDGSGDDGPRRKSMLVVSEFIGCSPSLSGAIRVNPWNIDTTAEAMNESIALSENEKQLRHEKHYRYVSSHDVAYWSKSYIHDLERSCRDHFRRRCWGIGLGFGFRVVALDRNFKKLTVDSIVTDYKNSKSRVILLDYDGTLVPQTTINRTPNESVVKIMNALCDDKKNVVFIVSGRGRDSLEKWFSPCQDLGIAAEHGYFMRWTRDEQWQLNNQCSEFGWMQMAKPVMNLYTEATDGSYIETKESALVWHHQDADPGFGSSQAKEMLDHLESVLANEPVCVKSGQQIVEVKPQGVSKGFVAEKILSTLTENKRQADFVLCIGDDRSDEDMFEGIADIMRRSIVDPQTSLYACTVGQKPSKAKYYLDDTNDVLNMLEALADASEETDSQEDAEEITSIPDPE >ORUFI01G32860.1 pep chromosome:OR_W1943:1:27956447:27958060:-1 gene:ORUFI01G32860 transcript:ORUFI01G32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIAKGVGSNRDQRAVQLLALCCGLLLCCGSLCQGRMSPGMGWGGGRMVCLEASVGVVFYSNMERRRVGLASYCLPIPGCAPCPWSAAAARMPYTAAAS >ORUFI01G32870.1 pep chromosome:OR_W1943:1:27962487:27965854:1 gene:ORUFI01G32870 transcript:ORUFI01G32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSPPLLADSVAKFHCSSTPTPCSGSVRRWAITRFAGAGRRRDWHRRRRTSGRGVLTVSAVAAESPSSGGGVAEDYYAVLGVMPDATPQQIKKAYYNCMKACHPDLSGNDPDVTNFCMFINEVYTVLTDPIQRAVYDEIHGYAATATNPFFDDSAPRDHVFVDEFSCIGCKNCANVCSKVFEIEEDFGRARVYNQSGNAELIQEAIDTCPVDCIHWTSAAQLSLLEDEMRRVERVNVGLMLAGMGSSVDVFRMASTRWEKRQAKVLEKVRRRVSQEDSGKGSSWSDVWGAPTRYRKNEDEARERAKRAAAAARRWREYSRKGADKPPTFKLPEAVSNKE >ORUFI01G32880.1 pep chromosome:OR_W1943:1:27965337:27965795:-1 gene:ORUFI01G32880 transcript:ORUFI01G32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQEEEDEEFFAGIDSLWFFSSVFLLPPPVKEKTKCPPPGPGELQPEERDSPEIHEKDGDCGHEDPGGVRKAVAPVAGGRRAAAAARGRVVEEERMDMWQEQCRQMRVAAAAAAAAAPARCSPLPMPRTSEGPAMRAHLRSWAHAVACSVR >ORUFI01G32890.1 pep chromosome:OR_W1943:1:27970576:27971668:-1 gene:ORUFI01G32890 transcript:ORUFI01G32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEWSDGAAVSSPTMSGGGGREQMKGGEDVAAADCPGSPVSPSPAAAQRSAAGAAASPSGRSRRSAQKRVVTVPLADVTGPRPKGVGEGNTPTDSWAWRKYGQKPIKGSPFPRAYYRCSSSKGCPARKQVERSRNDPDTVIVTYSFEHNHSATVPRAQNRQAAPQKPKAQACSPPEPVVEVEPEETHQYGVTAGPATGGGGGAAAIEVRDEFRWLYDVVSVPASSTSPSDIDAADEMQLYDQPMFFGGAVVGTAALLPDEFGDVGGLGGEGLGEEEALFEGLGELPECAMVFRRRAGDGLEMGGGVKIEQPAESTAMT >ORUFI01G32900.1 pep chromosome:OR_W1943:1:27977423:27979540:1 gene:ORUFI01G32900 transcript:ORUFI01G32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGSGGEWWWNLPSLRRKPDRRRRGRRNTDPRGRRRGPPPEPLSSSSSESIGQSRGWPIDFPFTQAVTAASLTLTGDTIAQVRQRIVDRRLRGPEPDSKGLVPDLLMSHDWLRALRMASYGFLLYGPGSHAWYQFLDQCMPKPTFANLSTKVILNQIALGPCVIGVIFAWNNLWTGKLSELPSKYRNDALPTLLFEYMVESPHLLDNSVACLVIDGILVVVSRTKSMEKEIVFL >ORUFI01G32910.1 pep chromosome:OR_W1943:1:27981405:27985926:-1 gene:ORUFI01G32910 transcript:ORUFI01G32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPDRAGAVGATARGGGGGGGHLRGHAHLTNCIHLRHHHAHGGGGGGGGASSSGRRRSPTSVASAALMRDLLALQRSRSLRDPSTRRSVESSSKVAADPDADTDEDDADLPPKSRRSASTTGALKTLLDQLAENPQPKQVRRPRRRFKRGAGRRAGAASKAPDRSAAAAAAAAALSVNSSSQEAVCGNKYLFRDGEDGLDELQQHVPPQDSRNVCGIPWNWSRLHHRGKSILDMAGRSLACGLSDPKSAAGRKSEAGAGASRGHLNGSHSLFPVKSERLASSTSSDSDALPLLVEAAATGARNGGIGGSYSGELGIFSNQTSEMESDLLSEGRSGHKSRGSQHGRGRHRSLTQKFAPKTFKDVVGQSLVVQALSNAVLRRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSPEHPRPCDSCTSCIAHNLGKSRSVMEIGPVGNIDMDGIVDVLDNVMLSPAPSHYRVFIFDDCDTLPADTWSLISKVVDRAPRRVVFILVSPNLDLPHIILSRCQKFFFPKLKECDIVNTLQWISTSESLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISLSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVDPLALMSQLATIITDILAGSYTFTRERLRRKFFKRPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKTTWLTAALLQLAPDKQYVLPSSSSTSLNHGVLAGSFPDRDMAIHSALEHNGNMASTSYRERRPVEHTSNSHLLSTSAPRANEQSRNSKIENELIWHAVLESIQSDTLRKIMAKEGRLSSVSLGTAPTVQLIFSSRVNKSKAENYRGQILQAFESVLRSAIILEIRYESKNDVRASHAPAVFSHGENESSNTTLRRSFTKHSPLSCGGENLRRRLKKDRASSSKTTWMQSDPHILTEGEIIEVGPSHMHWHDETNNDVHNVNQRRKVNVWEEESLASPNSKANQGKNGNKQRRQNSIVKGKVSLAHVIGKAEACSQRGGWSRQKALSIAEKLEQENL >ORUFI01G32920.1 pep chromosome:OR_W1943:1:27992535:27996755:-1 gene:ORUFI01G32920 transcript:ORUFI01G32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTPKTDDNSKKLKKPKPWKHNQAITTTQLKQMRDEFWDTAPHYGGQKEIWDALRAAAEAELSLAQTIVDSAGIIVSNSDMTICYDERGENSFFVFVFLEQINILTRLFEKAQSIQSHLQETKQKQKNNH >ORUFI01G32930.1 pep chromosome:OR_W1943:1:28002263:28011038:-1 gene:ORUFI01G32930 transcript:ORUFI01G32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSHKARLQDFKSVSPGLFRLSVNGGRPLTGEETLAVGSYNALLASPILPGAGAYDAAAETFESSHDLFRAAFPRGFAWEVIRVYSGPPVITFKFRHWGHMDGPYKGHAPTGDKVEFYGVAVLKVDEQLRAEDVEVYYDPGELLGDLLKGPLLVPSVEKDAARQLGERLGEVATLSASGADSQAQSCPFLASGKREHSTVTGDRTNHGTRHHHPLTFPVSRRASHMEVAAADSSGGDRYRSHLTGDGEKNTVWRHGAPPTYDAVNSLFEAERTQEWPAGSLEETVQNAIKTWEMELSHKAKLEDFKSVSPGRFRLSVNGGRPLTGEETLAVGSYNALLTSPILPGAGAYDAAAETFESSHDLFRAAFPRGFAWEVIRVYSGPPVITFKFRHWGHMDGPYKGHAPTGDKVEFYGVAVLKVDEQLRAEDVEVYYDPGELLGGLLKGPLLVPSAEEEDARQLGERLGEAAIVSASGAAPQSQACPFLASAKREE >ORUFI01G32930.2 pep chromosome:OR_W1943:1:28002263:28011038:-1 gene:ORUFI01G32930 transcript:ORUFI01G32930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSHKAKLEDFKSVSPGRFRLSVNGGRPLTGEETLAVGSYNALLTSPILPGAGAYDAAAETFESSHDLFRAAFPRGFAWEVIRVYSGPPVITFKFRHWGHMDGPYKGHAPTGDKVEFYGVAVLKVDEQLRAEDVEVYYDPGELLGGLLKGPLLVPSAEEEDARQLGERLGEAAIVSASGAAPQSQACPFLASAKREE >ORUFI01G32930.3 pep chromosome:OR_W1943:1:28002263:28011038:-1 gene:ORUFI01G32930 transcript:ORUFI01G32930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELSHKARLQDFKSVSPGLFRLSVNGGRPLTGEETLAVGSYNALLASPILPGAGAYDAAAETFESSHDLFRAAFPRGFAWEVIRVYSGPPVITFKFRHWGHMDGPYKGHAPTGDKVEFYGVAVLKVDEQLRAEDVEVYYDPGELLGGLLKGPLLVPSAEEEDARQLGERLGEAAIVSASGAAPQSQACPFLASAKREE >ORUFI01G32940.1 pep chromosome:OR_W1943:1:28006553:28006995:1 gene:ORUFI01G32940 transcript:ORUFI01G32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMVRTLRGDWVALDVDGATTTVAQVKGMVMARERIAVAMQRLFFAGRCLDDDHSTLADYGVRHDSVVFLSLRLATDAYQKEMWLMQPETTTTKKEMHQQQLLPQPTRRR >ORUFI01G32950.1 pep chromosome:OR_W1943:1:28015122:28018309:-1 gene:ORUFI01G32950 transcript:ORUFI01G32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDAAAVPTAAVASPPISVEAVVIDVEGEPAVPAGAACRICHLVPEGGVGPGSEVIRIGCGCKDELGAAHRHCAEAWFRIKGDRRCEICGSDAKNIIGLEVKKFMEEWHGPRLANTRTTTQRESSFTSNLGIRNDLYFRNKSLQVSALFLVSTYTSVELSPGPNWN >ORUFI01G32950.2 pep chromosome:OR_W1943:1:28015145:28018309:-1 gene:ORUFI01G32950 transcript:ORUFI01G32950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDAAAVPTAAVASPPISVEAVVIDVEGEPAVPAGAACRICHLVPEGGVGPGSEVIRIGCGCKDELGAAHRHCAEAWFRIKGDRRCEICGSDAKNIIGLEVKKFMEEWHGPRLANTRTTTQREMLS >ORUFI01G32960.1 pep chromosome:OR_W1943:1:28020732:28031345:1 gene:ORUFI01G32960 transcript:ORUFI01G32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHHRLLPRLLLSPSTPVTTTLLVHRTSLFPTRRILSPCCSALSQVAAAPETVDHSDGDEPQGDVQVQLPLDRLFVPPGASVSAGDAEAVTARVLKGSNIVLGPYARGDANVIAADFVKSSVRPDDCPRDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFKVNDSWYLVDLPGYGYAAAPQEARTNWDEFTRNYFLSRENLVSVFLLVDASIPAKKIDLDYASWLGQNKVPMTLVFTKCDKRKKKKNGGKRPEENVENFQSLIREYFEAAPPWIMTSSVTNQGRDEILLHMSQLRNYWLKH >ORUFI01G32960.2 pep chromosome:OR_W1943:1:28025528:28031330:1 gene:ORUFI01G32960 transcript:ORUFI01G32960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHHRLLPRLLLSPSTPVTTTLLVHRTSLFPTRRILSPCCSALSQVAAAPETVDHSDGDEPQGDVQVQLPLDRLFVPPGASVSAGDAEAVTARVLKGSNIVLGPYARGDANVIAADFVKSSVRPDDCPRDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFKVNDSWYLVDLPGYGYAAAPQEARTNWDEFTRNYFLSRENLVSVFLLVDASIPAKKIDLDYASWLGQNKVPMTLVFTKCDKRKKKKNGGKRPEENVENFQSLIREYFEAAPPWIMTSSVTNQGRDEILLHMSQLRNYWLKH >ORUFI01G32970.1 pep chromosome:OR_W1943:1:28022821:28023033:-1 gene:ORUFI01G32970 transcript:ORUFI01G32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAEKGKAPADTEQTAAATAARLAAEWTTWAMKNAKVVAHYGFIPLVILIGMNSEPKPRLAQLLSPI >ORUFI01G32980.1 pep chromosome:OR_W1943:1:28032388:28033359:1 gene:ORUFI01G32980 transcript:ORUFI01G32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGSGAGRKKLKHRLAAILSVFTRRAGDRKRRGEEGAAAPPPPPPPLAFPSYSRLGGAGGKKAAAGKHERRLSLSVPRPPPLVNITIDCAGRRSVDAADPSLLAPLDLDARKMERRLTGTGLPYETGEWEGRKCPPSTPFAAAPPLARWKERASVSSRRLSTHSSRRLMSSSSSDDEYDEDSRNLFSSRSFSSDSSDFYNCPRKNTRARASVSGPCRAPPPSSRRGTSQSCRYSFELPRGSTASAATDGGFAVVKRSSDPYEDFRKSMEEMIAEGAACGGGDGDGELSAERLLETYLVLNSPRHYPAILAAFADVRETLFP >ORUFI01G32990.1 pep chromosome:OR_W1943:1:28038202:28050918:1 gene:ORUFI01G32990 transcript:ORUFI01G32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESGRGFAFAGDRRRRRPLREPSALRSAAAARSDRAREAREAHMYKYSLLAIHNISVRSFSAVHVFMYNNVEIEANTAMHY >ORUFI01G33000.1 pep chromosome:OR_W1943:1:28050959:28053975:1 gene:ORUFI01G33000 transcript:ORUFI01G33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWSEIEGKRAHDPIFQNYFSQNCRQSVDGFCKKRSADAAVARAERCIRVLGPIIVGAGPSGLAVAACLKEKGVDSLVLERSNCIASLWQLKTYDRLSLHLPRQFCELPLMPFPAYYPIYPSKQQFVAYLESYAARFGICPTYNRTVVCAEYDEQLQLWRVRTRATGIMGEEVEYVSRWLVVATGENAEVVLPEIDGLDNFKGTVMHTSSYKSGGAFAGKRVLVVGSGNSGMEVCLDLCNHNANPHIVVHILPREMLGQSTFGLSMWLLKWLPVHVVDRILLLIAQTMLGDTAQLGLKRPTIGPLELKSLSGKTPVLDVGTFAKIKSGDIKVRPAIKQISGRQVEFMDTRLEEFDVIVLATGYKSNVPFWLKDRELFSEKDGLPRKAFPNGWKGENGLYSVGFTRRGLMGTSVDARRIAHDIEQQWKARGKHPGVLL >ORUFI01G33010.1 pep chromosome:OR_W1943:1:28062232:28062857:-1 gene:ORUFI01G33010 transcript:ORUFI01G33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGRGEREEGRGSRAAGEDGADRRRRLPTRSHSSPDPAAYQGRADFLERTKAEGGRGRRGGRMPLAAREDAVPTELLRRRRPSEPSMLIVGWEMRGPGEGREGERRCGFAVKRRRPCRPTPPEPRRRLVRRCVGHSTRSVEERRRRAAAELRRVVRRCRSGRRSKEQQRQRRAAAGPLGSVEESATPLLAPSPAHPRRSEEQQR >ORUFI01G33020.1 pep chromosome:OR_W1943:1:28063341:28063589:-1 gene:ORUFI01G33020 transcript:ORUFI01G33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAFLDTGNLKGDLQAGAAARDALLWLPLWTMAMGLLVQLLSARLRVATGRHVAELSATSTRTGRAARSGSRTGEREEGWG >ORUFI01G33030.1 pep chromosome:OR_W1943:1:28067737:28069453:1 gene:ORUFI01G33030 transcript:ORUFI01G33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGECKVHQLQAAGDGGPGAVAPFVAKTFHMVSDPSTNAVVRWGGAGNTFLVLDPAAFSDFLLPSYFKHRNFASFVRQLNTYGFRKVDPDRWEFAHESFLRGQAQLLPRIVRKKKKGGAAPGCRELCEEGEEVRGTIEAVQRLREEQRGMEEELQAMDQRLRAAESRPGQMMAFLAKLADEPGVVLRAMLAKKEELAAAGNNGSDPCKRRRIGADTGRGGVATGGDAAEMAQSRGTVPFPFSVLGQVFY >ORUFI01G33040.1 pep chromosome:OR_W1943:1:28075454:28078238:1 gene:ORUFI01G33040 transcript:ORUFI01G33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLACLLGFLLRNFCGKPVGAVVGYMRRFARGGTSVNVGGGGVHVDAGHGKPGGTTVDVGKGGVGVNVKPGYGKPGGTTVGVGKGGVGVNVKPGYGKPGGTSVGVGKGGVGVNVQPGYGKPGGTTVGVGKGGVGVNVQPGYGKPGGTTVGVGKGGVGVNVKPRGKPVHVNVAPFIYNYAATETQLHDDPNVALFFLEKDLHPGKTMAVHFTAATAGEKFLPRSEADAMPFSSEKVPEILSRFSVKPGSVEAAEMAQTLRDCEAPPAQGERKACATSLESMVDFATSSLGTSHVRAASTVVGKEGSPEQEYTVTAVKRAAAGGDQDQLVACHAEPYAYAVFACHLTRATRAYAVSMAGRDGTGVEAVAVCHADTAGWNPKHVAFQVLKVKPGTVPVCHFLPQDHVVWTRSG >ORUFI01G33050.1 pep chromosome:OR_W1943:1:28079620:28084052:-1 gene:ORUFI01G33050 transcript:ORUFI01G33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPAADSRLLVIYASETGNAMDAAERVGRQAERGGCPAVDVLPMDSFDPSCLPSERFVVFVVSTTGQGDPPDSMKGFWRYLLKKNLGARWLEGVRYAVFGLGDSGYQKYNFAAKKLDKRLLHLGAEPIIQVGLGDDQHPSGYEGALDPWLLSLWESLNQTTPSLLPRMYDIINPDLSVLGDAKVEVIYHSSDKAQQDSNLLDFKNLIQRARSMSPSLQFYNNDKEPHYMLQMVSNRCLTKENSDRDVRHFELENPSSGITYQVGDALEILPSQSPSAVDSFIERCKLDPDCYITVRAKDRVMSFFATAEHEKEKLQYFASPEGRDDLYQYNQKEGRTILEVLDDFPSVQMPFEWLVQLTPPLKKRAFSISSSPLAKPNQIHLTVSIVSWRTPFKRMRRGLCSSWLAGLNPNEENLIPCWVHHGSLPPPHPSTPLILIGPGTGCAPFCAFVAERAAQSTSEATAPILFFFGCRNQENDFLYKDFWYAHAHDQGVLSSKNGSGFFVAFSRDQPQKVYVQHRIREQSARVWNLLKSGAAIYIAGSSTKMPADVTAALEEVICQETGCSEEEASIWLRKLERNGKFHTETWS >ORUFI01G33050.2 pep chromosome:OR_W1943:1:28079620:28084052:-1 gene:ORUFI01G33050 transcript:ORUFI01G33050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPAADSRLLVIYASETGNAMDAAERVGRQAERGGCPAVDVLPMDSFDPSCLPSERFVVFVVSTTGQGDPPDSMKGFWRYLLKKNLGARWLEGVRYAVFGLGDSGYQKYNFAAKKLDKRLLHLGAEPIIQVGLGDDQHPSGYEGALDPWLLSLWESLNQTTPSLLPRMYDIINPDLSVLGDAKVEVIYHSSDKAQQDSNLLDFKNLIQRARSMSPSLQFYNNDKEPHYMLQMVSNRCLTKENSDRDVRHFELENPSSGITYQVGDALEILPSQSPSAVDSFIERCKLDPDCYITVMSFFATAEHEKEKLQYFASPEGRDDLYQYNQKEGRTILEVLDDFPSVQMPFEWLVQLTPPLKKRAFSISSSPLAKPNQIHLTVSIVSWRTPFKRMRRGLCSSWLAGLNPNEENLIPCWVHHGSLPPPHPSTPLILIGPGTGCAPFCAFVAERAAQSTSEATAPILFFFGCRNQENDFLYKDFWYAHAHDQGVLSSKNGSGFFVAFSRDQPQKVYVQHRIREQSARVWNLLKSGAAIYIAGSSTKMPADVTAALEEVICQETGCSEEEASIWLRKLERNGKFHTETWS >ORUFI01G33060.1 pep chromosome:OR_W1943:1:28087075:28087266:-1 gene:ORUFI01G33060 transcript:ORUFI01G33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCGGAGCVAVLGREVAALLLAMWSTTATVGSGRGARSEALWSFQWREVAPRGGASCDAFFV >ORUFI01G33070.1 pep chromosome:OR_W1943:1:28089822:28093925:1 gene:ORUFI01G33070 transcript:ORUFI01G33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQLQGDDHDDEALPHFPYFVVPSPPPLAVAPAASATTSDGHQHGPLEVLEQPPCSNNLHPDGLVDGPDQLAATTAVPMMLPAMTSLDWQSLLQTCLQVPPPVLEQQQPAAAAQADQYSGENDHGDLQAAESSGAGNKEKQVMAKGGAGRPSGTKKKASRPRFAFQTRSDNDILDDGYRWRKYGQKAVKNSKHPRSYYRCTHHTCNVKKQVQRLAKDTSIVVTTYEGVHNHPCEKLMEALTPILKQLQFLSQF >ORUFI01G33080.1 pep chromosome:OR_W1943:1:28096924:28101624:1 gene:ORUFI01G33080 transcript:ORUFI01G33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLWIERINAGTRLHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDVSRSAFPGNRAPVKKEGLAAILSKVTHVIEKDLINV >ORUFI01G33080.2 pep chromosome:OR_W1943:1:28096924:28103243:1 gene:ORUFI01G33080 transcript:ORUFI01G33080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLWIERINAGTRLHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDVSRSAFPGNRAPVKKEGLAAILSFLKRLDEKRGRTYYCFYYY >ORUFI01G33090.1 pep chromosome:OR_W1943:1:28108916:28117187:1 gene:ORUFI01G33090 transcript:ORUFI01G33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGASSGPQRWGSAGTTPRSLSTGSSPRGSDDRSSDDGEELVEVTLDLQDDDTIVLRSVEPAAAAAAGVGAGAGAASARGELTGGPSSSSSRSRSPSIRRSSSHRLLQFSQELKAEAMARARQFSQDLTKRFGRSHSRSEAQAPSGLESALAARAARRQRAQLDRTRSGAHKALRGLRFISSNKANNAWMEVQANFDRLARDGYLSRSDFAECIGIPTHRSPTTTIFPPRNCFLTQHPRHCRRAGMTESKEFALELFDTLSRRRQMKVDTINKEELREIWQQITDNSFDSRLQIFFEMVDKNADGRITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYMNYSQALSYTSQALSQNLAGLRKKSSIRKISTSLSYYFEDNWKRLWVLALWIGIMAGLFTWKFMQYRNRYVFDVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRSTRAARVLPFDDNINFHKTIAAAIVVGIILHAGNHLVCDFPRLIKSSDEKYAPLGQYFGEIKPTYFTLVKGVEGITGVIMVVCMIIAFTLATRWFRRSLVKLPRPFDKLTGFNAFWYSHHLFIIVYIALIVHGECLYLIHVWYRRTTWMYLSVPVCLYVGERILRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFAAACEPPAGGKSGLLRADETTKKTLPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEDASTDLYPPIGRNKPHVDLGTLMTITSRPKKILKTTNAYFYWVTREQGSFDWFKGVMNEIADLDQRNIVEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNWRKVLSKISSKHPYAKIGVFYCGAPVLAQELSKLCHEFNGKCTTKFEFHKEHF >ORUFI01G33100.1 pep chromosome:OR_W1943:1:28122653:28124098:1 gene:ORUFI01G33100 transcript:ORUFI01G33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTVVLYPGLGVGHLVPMVELAKVFLRHGLAVTVAAVKPPLDSPDFSAAVARFAECNPAINFHVLPPPPPPPLPAPVGSGSDGKSASPIIGMLGFLNAMNAPLRDFLCSLPSVDALIVDMFCTDALDVASELRLPVYVFYTSAASDLAVFLHLTSMRDSINTSFGELGDSMIHIPGCPPFKASELPSDILSDNEASRLILLMFRRHPESRGILVNTFESLETPALRALEDGLCVPGRATPPVYSIGPIVSGGGGSDKDHDCLRWLDAQPDNSVVFLAFGSLGRFGKKQLEEIAIGLQKSEQRFLWVVRSPRTDEKNVFEPLAEPDLDALLPAGFMEATRGRGLVVKLWAPQVEVLRHRATGAFVTHCGWNSTLEGITAGLPLLCWPLYAEQRLNKVFVVEEIKLGVEMRGYDEEVVVAEEVEAKVRWVMESEGGQALRQRAAAVKDAAAEAIKEGGSSHAAFVKFLEDLQLQVSRGMISA >ORUFI01G33110.1 pep chromosome:OR_W1943:1:28124920:28125423:-1 gene:ORUFI01G33110 transcript:ORUFI01G33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRPLLAGDGEPPPPPPRASLSLPEEGARGGGGGGGCEEGGHSSPAMGSPLLLLPARCCHRGGGGGGEWEEGSRFSLAFLAPPSSAIGSLLLLLPARRCRCRRKEPEVGEAAADVRKAATPRRRWGAPSSSSPRVAVAAGKQKAVVAAAGFPSTERSTERGGEKE >ORUFI01G33120.1 pep chromosome:OR_W1943:1:28147003:28156649:1 gene:ORUFI01G33120 transcript:ORUFI01G33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALDCSLSSQSRSPIPCSEMSSKKLAVIYPPPGMISHLVSTVELGKLLVAQGLDITIVLGGHDEKEAVATATTSFLAEAAAANPELSFHRLPQPTLQCDVPADDYVSRIFEFARSSGPDLRDFLRSTSPAVLIIDFFCYSALNIGAELGIPTYFFLTTCIASLAFMLYLPVVQGENTLSFSDLGGDLVHAPGIPPIPADHLPRSQFDRDSMSSKHFLALSEQLCNAHGVMVNSCRSLERRAADAVVAGLCTFPGRRTPPLHCIGPLIKPREDDSAERHECLAWLDAQPKDSVLFLCFGSMGVFSVEQIKQVAVGLETSGHRFLWVVRRPPVFEHVTGPDLEALIFPEGFLRRTKGRGLVVMSWAPQREVLEHGAVGGFVTHCGWNSVLEAVTAGVPMLAWPLYAEQRMNKVFLVEEMRLAVAVEGYDKGVVTAEEIQEKARWIMDSDGGRELRERTLAAMREVKEALSDKGEFKIAFWERSHVGRPLVRSPLCPETDIPQDLPPVGFRWVGARTQSENATRCLKQYRDELNLQRADQVKWEPYLHIESSSLPLLCTKDADLWLTQAPLINFPIVEMYLPERVMRQFGLRQSIPPPFRPTLQALHRISRRGRERENWEETHHENGKPAGSAYFQSQSSMTHRLMRSTCIGTQELHGAHCAEPLSDGLGRRLQPLIAVAEYTLLSRNESEEAGCHLPAAGDDRPPTWSPRWSSASCSWRTASMSPSSSAARTTGAPLLPPDAAATNPELSFHRLPQPTLPCNVPADDYVSRVFEFARASGPDLCDFLRSTSPAVLIIDFFCYSALDVGAELRIPTYFFLTTCIASLAFLLYLPVIQEENTMSFRDLGGDLVHAPGIPPIPADHLPMSQLDRDSVSSRHFIALSEQVCNSHGVMVNSCHSLERRAADAIVAGLCTFPGRRTPPLHCIGPLIKPREEDSAERHKCLAWLDAQPKASVLFLCFGSLGVFSGRGLVVMSWAPQREVLEHGAVGGFVTHCGWNSVLEAVTAGVPMLAWPLYAEQRMNKVFLVEEMRLAVGVEGYDKGVVTAEEIQEKARWLMDSDDGRELRERTLAAMREVKEALSDKGESKMTLLELVSQWKSD >ORUFI01G33130.1 pep chromosome:OR_W1943:1:28161418:28169420:-1 gene:ORUFI01G33130 transcript:ORUFI01G33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEKTVLLYPCPAVGHLNPMVQLAEALVRRGVSVTLAVADPPDKGAVLAGAIARIAAACPSIGVRFLPIPSCEGKTYSHPVMWIVDALRLANPALRKLLRSFPSAVDALVVDMFCIDALDVAAELAVPAYMFYPSAASDLAIYLQVPHVARSAPSSFKDMADTVLSFSGVPTIRALDMPDTMQDRESDVGTTRIHHCSRMAEARGILVNSFDWLETRALKAIRGGLCLPTGRSVPAIYCVGPLVDGGKLKENDARHECLEWLDRQPKQSVVFLCFGSRGTFSVSQLSEMARGIENSGHRFLWAVRSNLGEVDLEALLPEGFLERTQGRGFVVKNWAPQSAVLQHGAVGAFVTHCGWNSSLEAIMSGVPMICWPLYAEQRLNKAHLVEEMKLGVVVEGYDGELVKADELETKVRLVMESEEGKRLRERSAMAKEMAADAVEDGGSSDMAFAEFLNNLGTNNVKSGPRDTPLVDLGGVDIREYLAGLVLLLILYSINTQKTRANRAMRSRVVLYTWMVRGHLHPMTQLADHIANHGVAVTVAVADVPSSGESRETVARLSAYYPSVSFQLLPPPAPARSGADTADPDADPFITLLADLRATNAALTAFVRSLPSVEALVIDFFCAYGLDAAAELGVPAYLFFASCASALASYLHIPVMRSAVSFGQMGRSLLRIPGVHPIPASDLPEVLLLDRDKDQYKATIAFFEQLAKAKSVLVNTFEWLEPRAVKAIRDGIPRPGEPAPRLFCVGPLVGEERGGEEEKQECLRWLDAQPPRSVVFLCFGSASSVPAEQLKEIAVGLERSKHSFLWAVRAPVAADADSTKRLEGRGEAALESLLPEGFLDRTQGRGLVLPSWAPQVEVLRHPATGAFVTHCGWNSTLEAVTAGVPMVCWPMYSEQRMNKVFVVEEMKLGVVMDGYDDDGVVKAEEVETKVRLVMESEQGKQIRERMALAKQMATRAMEIGGSSTASFTDFLGGLKIAMDN >ORUFI01G33140.1 pep chromosome:OR_W1943:1:28177196:28178530:1 gene:ORUFI01G33140 transcript:ORUFI01G33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPATTIGSTTHDNNRQGEETALTRSLGMSPMVASKPHRHQWRNPPEPEPEPSLSLLLLREFVPADEPDTTKHEKPTPACTSTNPISTTVMPPSLTATGTDTSDHHATATASVFNGLGRTSSSPSNVADDCCYGLRLHQAQRSKAQEHIECKSNTVVR >ORUFI01G33150.1 pep chromosome:OR_W1943:1:28178610:28180025:-1 gene:ORUFI01G33150 transcript:ORUFI01G33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPSRNVVLYAAMGAGHLLPMVELAKLFLTRGLDVTIAVPATPGSGTTGSPTIAGIAASNPSITFHHLPPPPSCADPDPNPLLLMLDVLRRSVPSLASLLRSIPSVAALVLDIFCAEAVGAAAALHVPAYIYFTSAAGAFAASLGLMHHYSTTTTNLRDMGKALLRFPGVPPIPASDMPSLVQDREGRFYKARVKLYARAMEASGVLLNTYEWLEARAMGALREGACSPDRPTPPVYCVGPLVASGEEEGGGVRHACLAWLDAQPARSVVFLCFGSMGSFSAAQLKEIARGLESSGHRFLWVVRSPRQDPANLLEHLPEPDLAALLPEGFLERTADKGMVVKSWAPQAKVLRHAATAAFVTHCGWNSTLEGITAGGPLLCWPLYAEQRMNKVFIVEEMKVGVVIDGYDEEMVSAEEVEAKVRLVMESEEGGKLLERLAVARAKAVEALAEEGPSRVAFDEFIDRLVSSE >ORUFI01G33160.1 pep chromosome:OR_W1943:1:28178665:28183906:1 gene:ORUFI01G33160 transcript:ORUFI01G33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALARLASRNLLRATRPIALAPPPAPPETFAGPGPWDRAAVEIQLDRATLHQWLAEGGEATGQEEEVDEKLILFSGNDYMGLSSHPAIRKAAVKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSVSSLLSVGRKPAEGERIAVFSDALNHASIIDGIRLVERQQEVVAFVYKHCDMHHLDFLLLFSMDGDFAPLPELVKLRRKYGFLLVIDDAHGTLVCGENGGGAPELFGCENDIDISVGTLSKAAGCQGGFIVCRISETVGQILLQTYELSNVPIKLSKPIGVWEHTLGSLDQSVSFNSKHFDTPVSVAILIYESFATMLFADVIWQPMEEANSIAWPLIHIFNCFASSSSCLCVCCPPCLKEGEMAEISDLEACAVFCFFDQTRLN >ORUFI01G33160.2 pep chromosome:OR_W1943:1:28178665:28183904:1 gene:ORUFI01G33160 transcript:ORUFI01G33160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALARLASRNLLRATRPIALAPPPAPPETFAGPGPWDRAAVEIQLDRATLHQWLAEGGEATGQEEEVDEKLILFSGNDYMGLSSHPAIRKAAVKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSVSSLLSVGRKPAEGERIAVFSDALNHASIIDGIRLVERQQEVVAFVYKHCDMHHLDFLLLFSMDGDFAPLPELVKLRRKYGFLLVIDDAHGTLVCGENGGGAPELFGCENDIDISVGTLSKAAGCQGGFIVCRISETVGQILLQTYELSNVPIKLSKPIGVWEHTLGSLDQSVSFNSKHFDTPVSVAILIYESFATMLFADVIWQPMEEANSIAWPLIHIFNCFASSSSCLCVCCPPCLKEGEMAEISDLEACAVFCFFDQTRLN >ORUFI01G33160.3 pep chromosome:OR_W1943:1:28178665:28183904:1 gene:ORUFI01G33160 transcript:ORUFI01G33160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALARLASRNLLRATRPIALAPPPAPPETFAGPGPWDRAAVEIQLDRATLHQWLAEGGEATGQEEEVDEKLILFSGNDYMGLSSHPAIRKAAVKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSVSSLLSVGRKPAEGERIAVFSDALNHASIIDGIRLVERQQEVVAFVYKHCDMHHLDFLLLFSMDGDFAPLPELVKLRRKYGFLLVIDDAHGTLVCGENGGGAPELFGCENDIDISVGTLSKAAGCQGGFIVCRISETVGQILLQTYELSNVPIKLSKPIGVWEHTLGSLDQSVSFNSKHFDTPVSVAILIYESFATMLFADVIWQPMEEANSIAWPLIHIFNCFASSSSCLCVCCPPCLKEGEMAEISDLEACAVFCFFDQTRLN >ORUFI01G33170.1 pep chromosome:OR_W1943:1:28185228:28185983:1 gene:ORUFI01G33170 transcript:ORUFI01G33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGPYGEAEKNEPARPLALPSPSVHPAANDDEEAARAAAAAAGDTAANKRPRSPQYLRRRRCVIWCGGCCVTSAVVVGIVILVLALTVFKVKDPELTMNRVTLEGLDGDLGTSRHPVSVNATLNADVSLRNPNVASFRFDRSETDFYYAGETVGVAYAPEGEVGADSTVRMNVTLDVLADRISPNVNATDLIFGQDYNLTSYTEISGRVNVLGIYKRNLDIKMNCSITLEVSALSTVQSKSTNCVASVS >ORUFI01G33180.1 pep chromosome:OR_W1943:1:28196895:28197488:-1 gene:ORUFI01G33180 transcript:ORUFI01G33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSLLSSLATLGLGYSIAIALGFLVLLASLLLASYFCFRRGGGGGHFSGVLTPSSSSSHLSITVPRVLFVAEGSESPDAYSSGVAAASSPVGLDPAAIASYPKVPFYSGAGADADAMCSICLSEYADGEMLRVMPDCRHRFHVCCLDAWLRRNASCPVCRSSPIPTPVATPLATPLSELVPLSQYAADRRRSR >ORUFI01G33190.1 pep chromosome:OR_W1943:1:28204563:28207280:1 gene:ORUFI01G33190 transcript:ORUFI01G33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKEEQSVMEKLSEKLHGDSSSSSSDSDDDKKGSSSSSAAAAMKAKIYRLFGRERPVHSVLGGGKPADLVLWRNKKISGGVLAGATAIWLLFEIMEYHLLTLVCHCLILSLAVLFLWSNASTFIHKSPPNIPEVKIPEDLTVNIALSLRYEINRGFATLREIGHGRDLKKFLIVIAGLWILSVLGSSCNFLTLFYIVFVALYTVPVLYEKYEDKVDAFGEKAEIEFKKYYALFEEKCLSKIPKGPLKDKKH >ORUFI01G33200.1 pep chromosome:OR_W1943:1:28212986:28213702:-1 gene:ORUFI01G33200 transcript:ORUFI01G33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSNSPPVPDIGSFSYSWPTNKPLARADDDTHARGCSFDFSPPPFECSKQAAAMAHADQMFRDGLLLPLRAVRRQGGGGGGDDDGGGGDVSGAPKRDAIPVLLRPRYVDSAQRITTTIPASKRHLLPRLASPSSPRSSLRVAAAPGWSPSSVLGLGASNKLRLPSLGRRCGRVLPRRLSCKCLTFLEPLYQKMASCCVGRRITTRHAYGRAAADESRNIKVCEDAIRDAILHCKKSL >ORUFI01G33210.1 pep chromosome:OR_W1943:1:28216613:28224243:1 gene:ORUFI01G33210 transcript:ORUFI01G33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPAASAAAKATISVEYAKSGRSSCKVCSEGIAKGALRLGASARDPRGFDSTKWYHVACFPSSSHPIDPVEKVKGFDSIKEEDREEVRELNKTCKRDHTAVGTTEESSPKKVKASPGVAENVSISVEYAKSGRSTCKGCSESIAAGALRLGASIRDPRGFDSTKWYHIACFPSSTYPAFPVENLKGFDSIEVHSMEDSKGTGNNIEGVKMLAGDKRAGPVIPFSVSDIKQNYKDATLPAHWKVFNTVIFREQDDGLQSSAKIAAFDFDGCLAKTSVRIVGADKWSLLYESIPEKLQILYNDGYKLVIFTNESNIERWNKKRQQAVDSKIGRLDKFIERVKVPIQVFIACGLGKGKTFPDDPFRKPNTGMWWLMREHFNSGVTVDMDKSFYVGDAAGRENDHSDADKEFAKAIGLKFHVPEEYFGEAANI >ORUFI01G33210.2 pep chromosome:OR_W1943:1:28216613:28224243:1 gene:ORUFI01G33210 transcript:ORUFI01G33210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPAASAAAKATISVEYAKSGRSSCKVCSEGIAKGALRLGASARDPRGFDSTKWYHVACFPSSSHPIDPVEKVKGFDSIKEEDREEVLGTTEESSPKKVKASPGVAENVSISVEYAKSGRSTCKGCSESIAAGALRLGASIRDPRGFDSTKWYHIACFPSSTYPAFPVENLKGFDSIEVHSMEDSKGTGNNIEGVKMLAGDKRAGPVIPFSVSDIKQNYKDATLPAHWKVFNTVIFREQDDGLQSSAKIAAFDFDGCLAKTSVRIVGADKWSLLYESIPEKLQILYNDGYKLVIFTNESNIERWNKKRQQAVDSKIGRLDKFIERVKVPIQVFIACGLGKGKTFPDDPFRKPNTGMWWLMREHFNSGVTVDMDKSFYVGDAAGRENDHSDADKEFAKAIGLKFHVPEEYFGEAANI >ORUFI01G33220.1 pep chromosome:OR_W1943:1:28225820:28228675:1 gene:ORUFI01G33220 transcript:ORUFI01G33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGDSAVGGVTVDVTLSPNAVLPGFAKRPEAMTEASCCGGGFPRCARAAAAAAWAFASEDAGRVAFALKAGLAMLLASLLVLVGEPFRLFGTNIIWSILTVGIMFEYTVGASFNRGFNRAVGSMVAGVVAIAVIWISLRCGSVAEPYLPALAPYEYGFRVILFTYCLIMVSVYRVGEPVAAGLDRLYAIAIGAVLALLVNVLIFPAWAGEQLHRELVASFAAVADSLHDCVRSYLSGDETAVDGGEPAIEKCRAILNASARIESLARSARWEPPHGRFRSFSFPWSHYARVGAVLRHCAYEAPDGVREAFRAEIEDATAQAAELVRVLGGDVDGMTRSAERLSLLKSVHGSAYRLQLALELNSHLLVSSGSVAEEITSGGGLERSCSRLRESARRQRLSWPSREADELEEAEAGGGYAAMMVRVRALESTAALSLATFASLLLEFVARLDHLVDAVDELSKLAKFREESDSIKLAT >ORUFI01G33230.1 pep chromosome:OR_W1943:1:28230638:28231264:1 gene:ORUFI01G33230 transcript:ORUFI01G33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIVDDFGDGTYTVAFDEDYIHTTVTYSGDDVDDWLDEILRIHRRRLNYLVVGLDVEWRPATYYHGPGPVAVLQICVGRRCLIFQILHADYVPDSLFDFLADGRFTFVGVGIHDDVAKLRSHHGLEVENAVDLRYLAAQTIGKPALRSAGLQGLVREVMGVWAPKPYHVRVSAWDSWNLTPEQVMYACADAFASFEVGRSLYYDYD >ORUFI01G33240.1 pep chromosome:OR_W1943:1:28234611:28235237:1 gene:ORUFI01G33240 transcript:ORUFI01G33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIVNDFGDGTYTVAFDEDKIYTTVTDSGEEVEEWLDEIVRIHHRRLDHLIVGLDVEWNPASGFCAPGPVAVLQICVGRRCLVFQIIHADYVPDQLGDFLGDGRFTFVGVGIHDDVDKLREHYDLEVENAVDLRYLAAQTIGKPALRSTGLQGLVWEVMDVWMEKPHHVRVSAWDSRQLTLDQVMYACADAFASFEVGRSLYDDYE >ORUFI01G33250.1 pep chromosome:OR_W1943:1:28238038:28242072:-1 gene:ORUFI01G33250 transcript:ORUFI01G33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVVGLTTFPVFRGPQEHRGHGCCLSGSHMVRRLSVRSPRLQRARRPPPSAPPTTAPMDSPSPPPPPPYPPAAAEGGPAADSQAAELPRLTVTQVEQMKVEAKVGEIYRVLFGNAPNANSLMLELWREQHVEYLTRGLKHLGPSFHVLDANRPWLCYWIIHALALLDEIPDDVEDDIVDFLSRCQDKDGGYGGGPGQLPHLATTYAAVNTLVTIGSERALSSVNRDNLYKFMLRMKDTSGAFRMHDGGEIDVRASYTAISVASLVNILDGELAKGVKPMKVALLGNRMLKLMGWVAFRQGVECGFQGRTNKLVDGCYSFWQGAALALTQKLMTVVDEQLKSSYSSKRPPGDDACGTSSSTEAAYYAKFGFDFIEKSNQIGPLFHNIALQQYILLCAQVLDGGLRDKPGKNRDHYHSCYCLSGLSVSQYSAMVDSDACPLPQHVLGPYSNLLEPIHPLYNVVLDKYHTAYEFFSS >ORUFI01G33250.2 pep chromosome:OR_W1943:1:28238038:28242072:-1 gene:ORUFI01G33250 transcript:ORUFI01G33250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVVGLTTFPVFRGPQEHRGHGCCLSGSHMVRRLSVRSPRLQRARRPPPSAPPTTAPMDSPSPPPPPPYPPAAAEGGPAADSQAAELPRLTVTQVEQMKVEAKVGEIYRVLFGNAPNANSLMLELWREQHVEYLTRGLKHLGPSFHVLDANRPWLCYWIIHALALLDEIPDDVEDDIVDFLSRCQDKDGGYGGGPGQLPHLATTYAAVNTLVTIGSERALSSVNRDNLYKFMLRMKDTSGAFRCAFSQVVNSGEKIVSFHVEFLTPYICHSFYYPLTRMHDGGEIDVRASYTAISVASLVNILDGELAKGVKPMKVALLGNRMLKLMGWVAFRQGVECGFQGRTNKLVDGCYSFWQGAALALTQKLMTVVDEQLKSSYSSKRPPGDDACGTSSSTEAAYYAKFGFDFIEKSNQIGPLFHNIALQQYILLCAQVLDGGLRDKPGKNRDHYHSCYCLSGLSVSQYSAMVDSDACPLPQHVLGPYSNLLEPIHPLYNVVLDKYHTAYEFFSS >ORUFI01G33260.1 pep chromosome:OR_W1943:1:28242143:28245475:1 gene:ORUFI01G33260 transcript:ORUFI01G33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVGARRALLAARYSPRGALASPVRRVDSPPSLPADRGCLWPLVPHRGAGNFASEQIDGDYHREWGARNDGNYREPHRTDSLSHQVQANLPSTDSSVGADRIRGVSGDGSVNANYRRNPGQPEFPNRHEPYSSARVNNGASGYNDRQPYGSANAQYRSNSAQPSQTGGPYGFANRNEPYTSARVNYEAPGYNDKQPYGGGTTYNQQIANGDLPNSQYSRRQGNNSGVSGYGTGHHYHGSDTYRSGYNTQNNQQAYDSRQYGYGPSGQSYQESTGNDQQVFQQQEVDQRSAGNYANRPGSTSEYPNPSRFNKEHAANFQQGYNGGIGHNVSHAPQSPYVSSKIDAQGNFPGQPMNVNRSVQHNTHAPALYQDGIYRNPLTDSPSIDGLPSGASDVTSGESKVTVEEMDKLCEDGKVKEAVELLALLQEEGTVVHAPQYFKLMQACGDATSLAEARKIHNQISQSALAVDTDINNKILEMYAKCGSMEDAKKLFNTIAQRNLASWNTIISGFVYNGLGDEATDFFDQFKQTGNKPDSTMFTHVFLACGILGSVDEGMLHFESMQKDFGVTPTMEHYVSIVNMLGQSGYIDEACEFVEQMPVEPSIDVWESLMNMCRLNGFLELGNRCAQIVERLDSSRLNDQSKIGLFPVDASELAKEKERKKANAVEARSKVHEYRAGDRSHPEHLKIYEELRYLAAHMKEAGYIADTRFVLHDVDQETKEDALLAHSERLAVSYGLITSAARSPIRVIKNLRSCGDCHTALKIISKLVGRLIIARDAKRFHHFENGVCSCKDYW >ORUFI01G33270.1 pep chromosome:OR_W1943:1:28248858:28252048:1 gene:ORUFI01G33270 transcript:ORUFI01G33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGGARRALAGLRSASSSRAFSQPAPAAAAARSPELAAFSLPRSTRRRPAISRVPVEALGGAHGLMPLHSATASALLTSMLGLKPGSWGWLSEGFATPL >ORUFI01G33280.1 pep chromosome:OR_W1943:1:28251679:28259177:-1 gene:ORUFI01G33280 transcript:ORUFI01G33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDGAIPLGCKRAMAAKSQPAEAAELVGSSSPAVTPPPPPTETRASDDGDSEGDDEAATDFERITDHQLQESIKRTRHSLGLCARLRDGGVKFLRRIRRMEKELDRRRAAGLRKGVITWRPTVKSPSQDDSHAFKDGDKLNWVNTSSKHHQNVPITPTTNYGQAEDSAFFKELSYFGREKHASLKKVEQSSRTTVSHQPKNHAVCPKRADDKQLHMDNKIIVNKRKLGSKSCLRKRPKNNSFDSNGMYDKLHTKDVTLGRSTKRWEHTKNHITEFRGLFDSKERNKKKDVVLLDDEDMEPAKSINVEMAHKWTDLETFELICSDIECLEPEEYLKSPVINFYMQYLRKSRTCGDLYIFNTYFYSKLEEVLSRMGDHDDSQFSKLRRWWKHIDIFRQPFLIAEWQHLQNDSSYTIPFSGRIWNHLSKNINKEKVQVPSQRNKYDCGIFMLHYIERFIQEAPERLTRENLCMFGRKWFDPKETSGLRDRIRALMFDAFESARMDDESSQSESHSDDQSGDEDKDRDGVMVVVID >ORUFI01G33290.1 pep chromosome:OR_W1943:1:28277337:28281938:1 gene:ORUFI01G33290 transcript:ORUFI01G33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVGAYADPFLPPKMALSAYAAPMGEYGQPQPQPQPAPRPPACPYSSSSSSPPPVSASYHSWPPATSASPVSSPPPVSSPPESFPSSPPPALSPPPPDAPPPSLPPSPPPSPPPSPPHVEVQAPPPPMTTDQPRVQPRVYPSPPPPSLPPPPPQTFSPPSPPPFHPPSSSPAPAPVPAAVVYPPPPPPRIASPPPPRNHIKPHYVPRSSARSHSNSTRASSGAGKNIEISREAATTIVALAGLAMLSFVGATIWFVKKKRRRIEPPASLPTQQPAPPPPPNYFPSSGGSSLTSDAFFISPGYHPVRLFSAGSHGYPYSPADSAIGYSRMLFTPENLAEFTNGFAEQNLLGEGGFGCVYKGILPDNRLVAVKKLKIGNGQGEREFKAEVDTISRVHHRHLVSLVGYCIADGQRMLVYDFVPNNTLYYHLHVSEAAVLDWRTRVKISAGAARGIAYLHEDCHPRIIHRDIKSSNILLDDNFEAQVSDFGLARLAADSNTHVTTRVMGTFGYLAPEYALSGKLTAKSDVYSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLLKAIEHREFGDLPDPRMENRFDENEMYHMIGAAAACIRHSAAMRPRMGQVVRALDSLADSNLNNGLQPGRSEVFLEPQSEEIRLFQLREFGSRDCSDEMSQASWRSRRDL >ORUFI01G33290.2 pep chromosome:OR_W1943:1:28277337:28282076:1 gene:ORUFI01G33290 transcript:ORUFI01G33290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVGAYADPFLPPKMALSAYAAPMGEYGQPQPQPQPAPRPPACPYSSSSSSPPPVSASYHSWPPATSASPVSSPPPVSSPPESFPSSPPPALSPPPPDAPPPSLPPSPPPSPPPSPPHVEVQAPPPPMTTDQPRVQPRVYPSPPPPSLPPPPPQTFSPPSPPPFHPPSSSPAPAPVPAAVVYPPPPPPRIASPPPPRNHIKPHYVPRSSARSHSNSTRASSGAGKNIEISREAATTIVALAGLAMLSFVGATIWFVKKKRRRIEPPASLPTQQPAPPPPPNYFPSSGGSSLTSDAFFISPGYHPVRLFSAGSHGYPYSPADSAIGYSRMLFTPENLAEFTNGFAEQNLLGEGGFGCVYKGILPDNRLVAVKKLKIGNGQGEREFKAEVDTISRVHHRHLVSLVGYCIADGQRMLVYDFVPNNTLYYHLHVSEAAVLDWRTRVKISAGAARGIAYLHEDCHPRIIHRDIKSSNILLDDNFEAQVSDFGLARLAADSNTHVTTRVMGTFGYLAPEYALSGKLTAKSDVYSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLLKAIEHREFGDLPDPRMENRFDENEMYHMIGAAAACIRHSAAMRPRMGQVVRALDSLADSNLNNGLQPGRSEVFLEPQSEEIRLFQLREFGSRDCSDEMSQASWRSRRDL >ORUFI01G33300.1 pep chromosome:OR_W1943:1:28283327:28284004:1 gene:ORUFI01G33300 transcript:ORUFI01G33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYETSSDHQLAAAAEFLAALQVHLAGAEASSPTWGGRCAYDEDFMMYEFKVRRCPRSRAHEWTSCPYAHPGEAARRRDPSHVTYTGEPCPDFRVAARAACPRGSGCPFAHGTFETWLHPSRYRTRPCRSGMLCARPVCFFAHNDKELRIVGDDAAAATPSPRSPFTTSEDSPPPSPMDMKQIVLAMQQMDARKATRSVAPKTDMLQQELEEDAPELGWVSDLLM >ORUFI01G33310.1 pep chromosome:OR_W1943:1:28288576:28290363:1 gene:ORUFI01G33310 transcript:ORUFI01G33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRGGGGRRGRGRGRGRSALAENDMDFHETSALSSPSTTSDKEDNIEFTSQQPCLVSPAAEHVSNTLLNPKINHRSDAIFGDQVDKLHGMVKKAKMAMTSTADTTTQALVFEFLHGFQDVLHDLGEIKENGGSATSPHVESAAAQDMPLLLLEAEQNIVHADQEAQHQEEEELHMVDDATMTLEPMDEEDNGFNNVICPCPSLELEEHSHSATPAIDECDTATPAPGSAIPQQSTSVDQDGHLENPNEMGQIELMVEPMYVDHNDSNNVLSSSTSAQALLENCEVAEAVNENVDPATQVTGSSTPEQGTDVIVDAEQENPATTEDN >ORUFI01G33320.1 pep chromosome:OR_W1943:1:28291729:28295771:1 gene:ORUFI01G33320 transcript:ORUFI01G33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRKVLDQTVREIRREVNLKVLKVPEIEQKVLDATSDEPWGPHGSDLADIARATKSYGDSEIIMNVLWQRLGNTLANWRHVYKALAVIEYLLANGTERAADGIVDNSSRIAKLTRFEYLEPNGKDVGLNVRKKAEAVLAILDDREKLQEVREKAAVTRDKYFGLSSTGITHKSSAASFGSGSYSSGSHYGSTGGSREVGSFKDIHTGTEWKKNKKETVSNYSSNREGSKEITNSATSYKSKKSEKHGRRYLPVLLRLFQLSANISTTSEAPSSKKGENEDDDDFNPRGFSTSSNHHVAIWKFTWLYVWNSAGTGTTRSNHLDLFGPSLMDDLVDSTTSTSTATPNVSTPAVPEVDLFADAAFQSANAPLEAATVSHTQDKIDLFAGRLSSADSFTSDTEFSVRGSPNKSSEKKMSSVVHPSTSAFDPFKQSFATSFPSDSEFSVHDPTSKSSQGKTPTPEHSSTAAFDPFAAIPLKSFDGSESFGTFSSNTASNITELPRDSSGGPKSSDHGPLEDANFDAFTSHLGSSTTSATESMNKPIKKLGQDSMSASKSVAKKETFQVKSGIWADSLSRGLIDLNITSSQKKVDLSDVGIVGPLSGGSEDKGPGATMGRAPGLVSSSFPSKTETSSGSGHFQHQQFGSFK >ORUFI01G33320.2 pep chromosome:OR_W1943:1:28291729:28295771:1 gene:ORUFI01G33320 transcript:ORUFI01G33320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRKVLDQTVREIRREVNLKVLKVPEIEQKVLDATSDEPWGPHGSDLADIARATKSYGDSEIIMNVLWQRLGNTLANWRHVYKALAVIEYLLANGTERAADGIVDNSSRIAKLTRFEYLEPNGKDVGLNVRKKAEAVLAILDDREKLQEVREKAAVTRDKYFGLSSTGITHKSSAASFGSGSYSSGSHYGSTGGSREVGSFKDIHTGTEWKKNKKETVSNYSSNREGSKEITNSATSYKSKKSEKHGRRNQNSLTLHSKLSANISTTSEAPSSKKGENEDDDDFNPRGFSTSSNHHVAIWKFTWLYVWNSAGTGTTRSNHLDLFGPSLMDDLVDSTTSTSTATPNVSTPAVPEVDLFADAAFQSANAPLEAATVSHTQDKIDLFAGRLSSADSFTSDTEFSVRGSPNKSSEKKMSSVVHPSTSAFDPFKQSFATSFPSDSEFSVHDPTSKSSQGKTPTPEHSSTAAFDPFAAIPLKSFDGSESFGTFSSNTASNITELPRDSSGGPKSSDHGPLEDANFDAFTSHLGSSTTSATESMNKPIKKLGQDSMSASKSVAKKETFQVKSGIWADSLSRGLIDLNITSSQKKVDLSDVGIVGPLSGGSEDKGPGATMGRAPGLVSSSFPSKTETSSGSGHFQHQQFGSFK >ORUFI01G33320.3 pep chromosome:OR_W1943:1:28291729:28295771:1 gene:ORUFI01G33320 transcript:ORUFI01G33320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRKVLDQTVREIRREVNLKVLKVPEIEQKVLDATSDEPWGPHGSDLADIARATKSYGDSEIIMNVLWQRLGNTLANWRHVYKALAVIEYLLANGTERAADGIVDNSSRIAKLTRFEYLEPNGKDVGLNVRKKAEAVLAILDDREKLQEVREKAAVTRDKYFGLSSTGITHKSSAASFGSGSYSSGSHYGSTGGSREVGSFKDIHTGTEWKKNKKETVSNYSSNREGSKEITNSATSYKSKKSEKHGRRNQNSLTLHSKLSANISTTSEAPSSKKGENEDDDDFNPRGFSTSTGTGTTRSNHLDLFGPSLMDDLVDSTTSTSTATPNVSTPAVPEVDLFADAAFQSANAPLEAATVSHTQDKIDLFAGRLSSADSFTSDTEFSVRGSPNKSSEKKMSSVVHPSTSAFDPFKQSFATSFPSDSEFSVHDPTSKSSQGKTPTPEHSSTAAFDPFAAIPLKSFDGSESFGTFSSNTASNITELPRDSSGGPKSSDHGPLEDANFDAFTSHLGSSTTSATESMNKPIKKLGQDSMSASKSVAKKETFQVKSGIWADSLSRGLIDLNITSSQKKVDLSDVGIVGPLSGGSEDKGPGATMGRAPGLVSSSFPSKTETSSGSGHFQHQQFGSFK >ORUFI01G33330.1 pep chromosome:OR_W1943:1:28297888:28304059:-1 gene:ORUFI01G33330 transcript:ORUFI01G33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIILPKEEEAALGVAVEEDHDSPAAPGYQHQQGPPVAKALPFSATCVRISRDSYPNLRALRNASAMSLPDDDAAYAKLEEGDYGYLLDDVPHFTDYLSDLPTFPNPLQDHPAYSTVKQYFVNADDTVPEKVVVQKDSPRGVHFRRAGPRQRVYFESEDVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNDIFGIQNGYKGFYSSNYLPMTPKSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIYKEIRRRGLKVAVAGVPKTIDNDIAVIDKSFGFDSAVEEAQRAIDAAHVEASSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYIEKRLKENNHMVIVVAEGAGQDLIAKSIAAADQIDASGNKLLLDVGLWLTHKIKDYCKNKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHAYIPFHRVTSTRNKVKITDRMWARLLSSTNQPSFLSQKDIDAAREADKLASKSPVPVNTKEHGENAKKPANGEGSSDAVVQEPFATTPTSPAALAAGAVSNPALTPAAVSMSFYDVNAGEQPSREDEAPEEVVEAAVANGAPTAVEKHNKLYSPAETPAGSGTRPHPTADAVAETAGAAPDDVDGCTTPPPPPVHDSEGSDTQSADDHGSPQREKPRTTSTAGGVKRDVAPSWSSRLLAFRSFSRDKKAKATVDARPPAHGKARDEGDEHKEKGKERRKRFWK >ORUFI01G33340.1 pep chromosome:OR_W1943:1:28305390:28305967:1 gene:ORUFI01G33340 transcript:ORUFI01G33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSDAKRVRVLAESLLLGPPGVFPTRTPNLVSTVGFEVHPWASTVWRIMDGVNGATQARVEFRVQTSQVHFTRSVQEKQRTVEKQRNRVPTPPSGIKTSGVVEDESQE >ORUFI01G33350.1 pep chromosome:OR_W1943:1:28307339:28315562:-1 gene:ORUFI01G33350 transcript:ORUFI01G33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALGTPTPAVAGIEGSRSRTRPTVTAIAEAEGGPQRVESAIAPREARGGAYSLGRRQGRGQGWVMPWEGERRRLRIRPLHVSTRPSSTSAPHRTVAPASPTSPAAAPLISAGRLLQASATRTAEMYRIAGSHLRSLKRYSSSRFASTSVVKQSSGGLFSWLLGEKSSQLPPLDVPLPGITLPPPLPDFVEPSKTKVTTLPNGIKIASETSVSPAASVGLYIDCGSIYETPASSGASHLLERMAFKSTTNRSHLRLVREVEAIGGNVSASASREQMCYTYDAFKAYVPEMVEVLIDSVRNPAFFNWEIKEQLEKIKAEIAEVSDNPQGLLLEALHSAGYSGALAKPLMAPQSAIHRLDSSILEEFIAENYTAPRMVLAASGVEHDELVSIAEPLLSDLPSVKRPEEPKSVYVGGDYRCQADSDKTHIALAFEVPGGWFEEKTAIIVTVLQMLMGGGGSFSAGGPGKGMHSRLYLRILNNYHQIESFSAFNSIYNHSGLFGIHATTSPNFASKAVDLAAGELLEVATPGKVTQEQLDRAKQATKSAVLMNLESRVVASEDIGRQILTYGERKPIEHFLKDLEAITLNDISSTAKKIISSPLTLASWGDVIHVPSYESVSQKFFSKQKATAAVALSRAGGGSGGVQGGDRALQGGWGKRRLPGRAGEAVAVTAPSRSCGGSGGGGGGALQGGRGKRQRRRSPLGQVGKAAGATAAVADAVAVFFPLVGKLTYVPSTGDVVVDCSPSAVGDGATATRGRSAERHDVPAFLRLVPSLEAPELPAPLLAVQVTRFVGGGDGGGVAVQHAVPDGQSFWRFMDAWSAPVLGRPSAPAPVFDRSAIVHPMAAAMAQRILRKVTPELPLLLTANLLRRTWQHHDTTTLELERHRIADIKNLIVELDEATTSPGRVRGAAAAAAATDRRRPPDSSSHPRPPSARATKPCPQLLAH >ORUFI01G33360.1 pep chromosome:OR_W1943:1:28324251:28328168:1 gene:ORUFI01G33360 transcript:ORUFI01G33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISELGECDGVRSEGEEEQQVVVEEASVRGGVVVARLSAKRALVGAGARVLFYPTLLYNVLRNRFEAEFRWWDRVDQYILLGAVPFPSDVPRLKQLGVQGVVTLNEAYETLVPTSLYQAHGIDHLIIPTRDYLFAPALQDICQAIDFIHRNASEGGITYVHCKAGRGRSTTIVLCYLIKYRSMSPEAALDHVRSIRPRVLLAPSQWQAVNVFSTLTTGRLPIQSKNLGHFLEAGDDCITNSEIDDYYSMELDYEDSGLPLCQVMLPRPTSPTVCTDAVLITEADLEGYDTYADTRKDVVSLEVIVSRKPIMRRLSCLFGSLKLTNNCEPTPSRFAEVRAC >ORUFI01G33370.1 pep chromosome:OR_W1943:1:28335063:28343353:1 gene:ORUFI01G33370 transcript:ORUFI01G33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPNETQDIIRSAEENQTDQHENPPPPPNPIPSHPLSSPAAAAGGGGSSSSASTTMARIVSRALPFASRSPQLGAALIRSAPMRCPPLPAAAPTASLLSWRGFTPSSEPSRSAPPPPPLPMPPFAGFLAGIRSFRRGRRGQSAARRAQPQDPIPSPPPAPKESEIELYARIGIDDDTPEDPEVLNIVEILKLNVPMAMKIALDGLLDSNYKTRDTSISDVGRYDKVEVSVLLCNDNFIQNLNKEWRGEDSCIEMLSMSQYIPDLDVPILMLGDIVISVETAARQAEERGHTLLDEVRILAVRGILRLLGFDHQTSDESAVEMEKEEQLILKSLRWKGKNLAKSVLDSGKRHTETLDGQVTSGLKRAGSLRFYRPKFKYIFCDMDGTLLNSKSQVTARNAEALREARSRGVNIVIATGKARPAVIDALNMVDLSGRTGIVSESSPGIFLQGLLVYGLQGREIYKRNLDQEVCREALLYSLEQKVPLVAFSQDRCFSMYDDPLVDSLHYVYHEPKAEIVSSIDQLLGTAEIQKVLFLETPEGISSALRPFWEKAIEGRARVVQAQPDMLELVPPATSKGNGVKILLDHLCISPDEVMAIGDGENDIEMLQLASLGVALANGSEKTKAVANIIGATNDEDGVAQAIYDYAF >ORUFI01G33380.1 pep chromosome:OR_W1943:1:28341817:28342757:-1 gene:ORUFI01G33380 transcript:ORUFI01G33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFERRQLSVIPFQLELYIHPPSPPDVVKIVKERKQERKKNISIVLYTKRTCSFGVFVPEARKTASMGKFGGAAVLPVYREEEDEDLFETSSSISGDSDDEAQFSDSEEAEAQEDQFAQQPARRMNSDSLYDLSSMKAQLPVKKGLSKYYDGKSQSFACMSEVRCLEDLRKKENPYKKIKSSKSYVALDGNQEACHIPGANSTSIAKKSGSSCANLMARNNTKSMLYRPPPIPVNKSGYHQ >ORUFI01G33390.1 pep chromosome:OR_W1943:1:28346015:28349133:1 gene:ORUFI01G33390 transcript:ORUFI01G33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGSCRARAWSESACGMYLLGWVPWPSWERTPLGAGRPAVQQYYTIANWNAELIRRATSSKLPV >ORUFI01G33400.1 pep chromosome:OR_W1943:1:28354062:28357397:1 gene:ORUFI01G33400 transcript:ORUFI01G33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVAFVALLFLLLVGHCLGGKVGICYGRNADDLPAPDKVVQLIQQQSLKYVAHLRHQHYDTNIDVIKAFANTGVELMVGVPNSDLLPFAQYQSNVDTWLKNSILPYYPATMITYITVGAEVTESPVNVSALVVPAMRNVHTALKKAGLHKKITISSTHSLGILSRSFPPSAGAFNSSYAYFLKPMLEFLVENQAPFMVDLYPYYAYQNSPSNVSLNYALFSPQSQDVIDPNTGLVYTNMFDAQIDSIFFALMALNFKTLKIMVTETGWPNKGAAKETGATPDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLFFPDQSSIYSLDWTGRGNVDIMTGGNITNANGTWCVASANASETDLQNGLNWACGPGNVDCSAIQPSQPCYQPDTLASHASYAFNSYYQQNGANDVACDFGGTGVRTTKDPSYDTCVYMAAGSKMSTTNSSNLPVQSGFSPSRFDKNFYLLFSMLPIMIAACIV >ORUFI01G33410.1 pep chromosome:OR_W1943:1:28372250:28375694:1 gene:ORUFI01G33410 transcript:ORUFI01G33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCAFVRLTVDQLLLKLPSVPRPSSGAAGVHPSTSPCFCTLHLQDHPSAGSHSRTAQLPLASSESPGPVAAGEPVVISLDAAAVQRLSARPAAELVVSVHAGQKGNAGCGMSAGRALGRVRVPVDVARAAAGETVVARDGWVDVGKPAAAAASHGHARAQIHMVLRAEPDPRYVFQFGGEPECGPVVYQVPGGAAGGGQRQPVFTCRFSAGRRATRTRSLTSESSMTRSTSRKLRSWLSTLHGDRDAQARREQRKGWTVTIHDLSGSPVAMASMVTPFVPSPAGSGRVSRANPGAWLILQPTGAGPASWKPWARLEAWRERGPVDALGYRLELVFDSGPTECAVPIAESSISTKRGGQFVIDPATFPVGAAGAAWPFAGGFVMGSTAEGEGRASRPTVQVGVQHATCMGDVALFVALAAAVDLCMDACKLFSQRLRKELCHDQED >ORUFI01G33420.1 pep chromosome:OR_W1943:1:28378278:28392536:-1 gene:ORUFI01G33420 transcript:ORUFI01G33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHGKPQQRQPLILPDAAPMGGGDGGRGDAATRRRRFVAFLAISVALVASYHHLAPAPASRYHALFLSLGNNDTAAAHLRALTLRPHVAGTEANAVAAEYVRAALSSFSFPTRVTPYSVLLSYPIHRSLSLSVPGRAAAFNFALVQETYPGDPYSEAAAEVIPTYFAYSASGSVAAEVVYANYGNTKDYEYLASRGVDVAGKVALVRYGNLHCEDMVRNARAAGAAAAIVYTDAKDFGGAGAKGKRKWFPDARWLPPTGVQVGTLYYGNGDPTTPLWPSCAAGEDCERLSQGELDGSAAMPSIPALPVSARDGETILKAMGGDAAPTEWQGGEGAPMYRLGPGPAVLNLTYIGNDTLATIENVFAVIEGKEEPDRYVIIGNHRDAWTFGAVDPNSGTAAMLEIAERLSKLEKKGWRPRRTIIVCSWDAEEFALIGSTEWVEDNMDMLASRAIAYLNVDITVFGPGGFRPRATPQLDRLIKEASKMVQDPDDPSQTLYDTMIRHHPPIDRVAGAGTDFAAFLQYIGVPSLDMSYGTISAAVTPLASTNIIALAVEDYPVYHSLYDDYVWMERFGDPLFHRHVALASVWGLIALRLADDEILPLNYVSYASELEKCTKLVEGGCTGCPVSFAPLHKSIDQLRKAANKIHKEKMMLQAENWSLKTRAYTVKVRDINDRLMMAERGFINREGLDGRPWYKHMIYASSDQDDWGTKAFPGIVSAIDKANKLNTTESWQLLQHEIYRAARAVSKASAVLDGAGAARKVAAIGRHVRFRSAATTGGHIKHVYAKPAGSLDISGSRFYLEPASRRGTGGRSSQRWHQDVHGIWNGSGVLSGSAPRTLLLFLPPRDPRAHHPAPRAMSRLDATSLLLSPSPTPPHKPTTPRRLICVVSSTLAALGASLSLLLLVVHSAPAPNYGSLFLSLASNDTAALHLRALTLHPHVAGTRANSLTAAYVRDAFSSLSIPAHITPYSVLLSYPVERSLSLAVPGRATTTSFALSQETYPNDPYASATAEVMPTFYAYAASGSVSAEAVYANYGREEDFAYLASRGVDVAGKVAVARYGRIHCEDIVHNARVAGAAAAVVYTDPLQYGGAPGEAWFPDSRWLPPTGVQVGSLFRGVGDPTTPMWASSEGCERVSVEEAMDTDDMPGIPALPVSARDAAEIHAALGGDAAPADWQGREGSPVYRLGPGPAILNLTYTGNDTMATIENVFAVIEGAEEPDRYVILGNHRDAWTFGASDPNSGTAAMIELAQRLSVLQKQGWRPRRTIIFCSWDAEEYGLTGSTEWVEQNREMLSSRAVAYLNVDVSVVGPVQDPDNSSQTVYDSWVKSNISPLIGRLGHGGSDFSAFVQHVGIPSTSMGPGYPIYHSLYDDFVWMEKFGDPGFSRHAAAASIWGMMALRLADEEILPFNYMSYPVELETYTKVVENEVRGTAISCSPLHNAIRALKTAATKVNGERKELQRQLSSNQLKKDSMKIRSLNDRLMQAERAFTNREGLFKQEWFKHLVYGPSEQNDWESASYPGVENAIASARKENTTESWKFVQHEIHRVARAITQASVVLAGSLT >ORUFI01G33420.2 pep chromosome:OR_W1943:1:28378278:28392536:-1 gene:ORUFI01G33420 transcript:ORUFI01G33420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHGKPQQRQPLILPDAAPMGGGDGGRGDAATRRRRFVAFLAISVALVASYHHLAPAPASRYHALFLSLGNNDTAAAHLRALTLRPHVAGTEANAVAAEYVRAALSSFSFPTRVTPYSVLLSYPIHRSLSLSVPGRAAAFNFALVQETYPGDPYSEAAAEVIPTYFAYSASGSVAAEVVYANYGNTKDYEYLASRGVDVAGKVALVRYGNLHCEDMVRNARAAGAAAAIVYTDAKDFGGAGAKGKRKWFPDARWLPPTGVQVGTLYYGNGDPTTPLWPSCAAGEDCERLSQGELDGSAAMPSIPALPVSARDGETILKAMGGDAAPTEWQGGEGAPMYRLGPGPAVLNLTYIGNDTLATIENVFAVIEGKEEPDRYVIIGNHRDAWTFGAVDPNSGTAAMLEIAERLSKLEKKGWRPRRTIIVCSWDAEEFALIGSTEWVEDNMDMLASRAIAYLNVDITVFGPGGFRPRATPQLDRLIKEASKMVQDPDDPSQTLYDTMIRHHPPIDRVAGAGTDFAAFLQYIGVPSLDMSYGTMEDYPVYHSLYDDYVWMERFGDPLFHRHVALASVWGLIALRLADDEILPLNYVSYASELEKCTKLVEGGCTGCPVSFAPLHKSIDQLRKAANKIHKEKMMLQAENWSLKTRAYTVKVRDINDRLMMAERGFINREGLDGRPWYKHMIYASSDQDDWGTKAFPGIVSAIDKANKLNTTESWQLLQHEIYRAARAVSKASAVLDGAGAARKVAAIGRHVRFRSAATTGGHIKHVYAKPAGSLDISGSRFYLEPASRRGTGGRSSQRWHQDVHGIWNGSGVLSGSAPRTLLLFLPPRDPRAHHPAPRAMSRLDATSLLLSPSPTPPHKPTTPRRLICVVSSTLAALGASLSLLLLVVHSAPAPNYGSLFLSLASNDTAALHLRALTLHPHVAGTRANSLTAAYVRDAFSSLSIPAHITPYSVLLSYPVERSLSLAVPGRATTTSFALSQETYPNDPYASATAEVMPTFYAYAASGSVSAEAVYANYGREEDFAYLASRGVDVAGKVAVARYGRIHCEDIVHNARVAGAAAAVVYTDPLQYGGAPGEAWFPDSRWLPPTGVQVGSLFRGVGDPTTPMWASSEGCERVSVEEAMDTDDMPGIPALPVSARDAAEIHAALGGDAAPADWQGREGSPVYRLGPGPAILNLTYTGNDTMATIENVFAVIEGAEEPDRYVILGNHRDAWTFGASDPNSGTAAMIELAQRLSVLQKQGWRPRRTIIFCSWDAEEYGLTGSTEWVEQNREMLSSRAVAYLNVDVSVVGPVQDPDNSSQTVYDSWVKSNISPLDQAIPYIIRYTMTSCGWRNSEIQGSVGTLQRHFRITAASIWGMMALRLADEEILPFNYMSYPVELETYTKVVENEVRGTAISCSPLHNAIRALKTAATKVNGERKELQRQLSSNQLKKDSMKIRSLNDRLMQAERAFTNREGLFKQEWFKHLVYGPSEQNDWESASYPGVENAIASARKENTTESWKFVQHEIHRVARAITQASVVLAGSLT >ORUFI01G33420.3 pep chromosome:OR_W1943:1:28378278:28392536:-1 gene:ORUFI01G33420 transcript:ORUFI01G33420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHGKPQQRQPLILPDAAPMGGGDGGRGDAATRRRRFVAFLAISVALVASYHHLAPAPASRYHALFLSLGNNDTAAAHLRALTLRPHVAGTEANAVAAEYVRAALSSFSFPTRVTPYSVLLSYPIHRSLSLSVPGRAAAFNFALVQETYPGDPYSEAAAEVIPTYFAYSASGSVAAEVVYANYGNTKDYEYLASRGVDVAGKVALVRYGNLHCEDMVRNARAAGAAAAIVYTDAKDFGGAGAKGKRKWFPDARWLPPTGVQVGTLYYGNGDPTTPLWPSCAAGEDCERLSQGELDGSAAMPSIPALPVSARDGETILKAMGGDAAPTEWQGGEGAPMYRLGPGPAVLNLTYIGNDTLATIENVFAVIEGKEEPDRYVIIGNHRDAWTFGAVDPNSGTAAMLEIAERLSKLEKKGWRPRRTIIVCSWDAEEFALIGSTEWVEDNMDMLASRAIAYLNVDITVFGPGGFRPRATPQLDRLIKEASKMVQDPDDPSQTLYDTMIRHHPPIDRVAGAGTDFAAFLQYIGVPSLDMSYGTISAAVTPLASTNIIALAVEDYPVYHSLYDDYVWMERFGDPLFHRHVALASVWGLIALRLADDEILPLNYVSYASELEKCTKLVEGGCTGCPVSFAPLHKSIDQLRKAANKIHKEKMMLQAENWSLKTRAYTVKVRDINDRLMMAERGFINREGLDGRPWYKHMIYASSDQDDWGTKAFPGIVSAIDKANKLNTTESWQLLQHEIYRAARAVSKASAVLDGAGAARKVAAIGRHVRFRSAATTGGHIKHVYAKPAGSLDISGSRFYLEPASRRGTGGRSSQRWHQDVHGIWNGSGVLSGSAPRTLLLFLPPRDPRAHHPAPRAMSRLDATSLLLSPSPTPPHKPTTPRRLICVVSSTLAALGASLSLLLLVVHSAPAPNYGSLFLSLASNDTAALHLRALTLHPHVAGTRANSLTAAYVRDAFSSLSIPAHITPYSVLLSYPVERSLSLAVPGRATTTSFALSQETYPNDPYASATAEVMPTFYAYAASGSVSAEAVYANYGREEDFAYLASRGVDVAGKVAVARYGRIHCEDIVHNARVAGAAAAVVYTDPLQYGGAPGEAWFPDSRWLPPTGVQVGSLFRGVGDPTTPMWASSEGCERVSVEEAMDTDDMPGIPALPVSARDAAEIHAALGGDAAPADWQGREGSPVYRLGPGPAILNLTYTGNDTMATIENVFAVIEGAEEPDRYVILGNHRDAWTFGASDPNSGTAAMIELAQRLSVLQKQGWRPRRTIIFCSWDAEEYGLTGSTEWVEQNREMLSSRAVAYLNVDVSVVGPVQDPDNSSQTVYDSWVKSNISPLDQAIPYIIRYTMTSCGWRNSEIQGSVGTLQRHFRITAASIWGMMALRLADEEILPFNYMSYPVELETYTKVVENEVRGTAISCSPLHNAIRALKTAATKVNGERKELQRQLSSNQLKKDSMKIRSLNDRLMQAERAFTNREGLFKQEWFKHLVYGPSEQNDWESASYPGVENAIASARKENTTESWKFVQHEIHRVARAITQASVVLAGSLT >ORUFI01G33430.1 pep chromosome:OR_W1943:1:28401745:28402395:-1 gene:ORUFI01G33430 transcript:ORUFI01G33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTCLIFFSQSQAHHEAASVDAAEYRFSQASSGYGGSGAGYHFLGRRSAGLDLNSQAEDFPDFGSYQQILQEDGGGIPPLFQATRSNVAPSRRPVPGSRGGRRGGRSRGRGRGRDGTIGAAAGNRMRGFVPPGRGGSMSNGAGVVGHGSSMSHSAGVSGRGSSVPRCYGVWGLRWRRMGCWDVLCPNRSCRRQLPKRRTTTLRTMMKTTTMVSTR >ORUFI01G33440.1 pep chromosome:OR_W1943:1:28404431:28409275:-1 gene:ORUFI01G33440 transcript:ORUFI01G33440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRRPPAPGLLILLIFLALASPGEVAGDDAAPGNVTASAPLPCSELSRVCTAFVAFPAAGEAANASVLESMFDAAPGDITADAAASPGYAFVRKNCSCLASRTYLANTTYTVPSAAAGATANATAADVAAAAYAGLAVPPPGGPALRPPRPGAVVALHLLCGCSSGPWNYLLSYVGVDGDTVESLSSRFGASMDAIEAANGMAGPDPITTGKVYYIPLNSGEQMFSDHSLRQFDQSNFPIYTRSWTTISRDVSFLSYSCASTRTKYILRYGDVSEHHSTKFPYGWVIGSMGVALALIAIALLALVLCKLSQYNPQAPNNQGKSPDQSISHKFQLLKSGSFCYGSGRYLCCQFGNVKQSRTDGSDHHMNTPKGVVVDVFDREKPIVFTYQEILASTDSFSDANLLGHGTYGSVYYGVLRDQEVAIKRMTATKTKEFIVEMKVLCKVHHASLVELIGYAASKDELYLIYEYSQKGSLKNHLHDPQSKGYTSLSWIYRVQIALDAARGLEYIHEHTKDHYVHRDIKSSNILLDESFRAKISDFGLAKLVVKSTDAEASVTKVVGTFGYLAPEYLRDGLATTKNDVYAFGVVLFELISGKEAITRTDGLNEGSNSERRSLASVMLSALKNCRNSMYMGSLKDCIDPNLMDLYPHDCVYKMAMLAKQCVEEDPVLRPDMKQAVITLSQILLSSIEWEATLAGNSQVFSGLVAGR >ORUFI01G33450.1 pep chromosome:OR_W1943:1:28409991:28410418:-1 gene:ORUFI01G33450 transcript:ORUFI01G33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLALGLGHQEGEEEAEQAAAGECEQRVADADAWRVAVRRRLGWVRALRQVEKPLHEEEWSDDGEFIELEGGLGIVAVTRKTSAGCLAWS >ORUFI01G33460.1 pep chromosome:OR_W1943:1:28416985:28419941:1 gene:ORUFI01G33460 transcript:ORUFI01G33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESTSSLSTRNGEEKKEQKRGVEQITLMNESIVYSFTSLSVSDLGPYQKHISRSHPPDRQRNHSGLGTTTLHAFPCVLYFTLVAASRDPHALPLAVSHAAAVTGRLIRTKRFTGSDRFNLISNAKRQFYPSTSISFGPYHLQTEWYLETLWSMEKPNGEEEEEEDRSRRKGLATTARVPSKVRRTVFTEASSNRTNGAPIDQAQARPEDKR >ORUFI01G33470.1 pep chromosome:OR_W1943:1:28419996:28420304:1 gene:ORUFI01G33470 transcript:ORUFI01G33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGATAPWFIARKVLSRSTRGQSRLQLSIVVKGKDMAPRLLITKTGLDGSREGPRQRTSAAGSPGVLAEVQVLSGNATSYQLIRSWLKFLRENELLIGATRL >ORUFI01G33480.1 pep chromosome:OR_W1943:1:28422726:28426915:1 gene:ORUFI01G33480 transcript:ORUFI01G33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSFCNFELRLLGMRGDDEDDLEEERVEVFGNTASPRINGSQPEKKTEPDDDAVAGKAPEDTERDRDDSLHKTNRECVQNTHDDDDDGEQCGERQSNVRAPSQ >ORUFI01G33490.1 pep chromosome:OR_W1943:1:28431150:28436253:1 gene:ORUFI01G33490 transcript:ORUFI01G33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSNKREGLPPQLLDLIPDEKEWKLREALGLGRSRNAGFDGEEDKKLDLKLGLPGFIEDDEAETLRDYRLQQESPSLSLGFFPKHSKTTSSTTTTTGAKRGFIDTVEDKTEGYNDQKQQARAGCGKELAVEEMIAAVSERKKGCCPPPPPPHGAPATPARNRPQTQGRGAAAPVVGWPPIRSFRRNLASSSSSKHSPEPQNDNANAKVTLTCKKNPLVKINMDGIPIGRKIDLAAYNSYDGLSSAVKQLFHGFLQAQKDQTNAQIAQQGADDKIFYQLLDGSGEYTLVYEDSEGDRMLVGDVPWKVFVSTAKRLRVLRSSELSHTLIGATARV >ORUFI01G33490.2 pep chromosome:OR_W1943:1:28431150:28436253:1 gene:ORUFI01G33490 transcript:ORUFI01G33490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSNKREGLPPQLLDLIPDEKEWKLREALGLGRSRNAGFDGEEDKKLDLKLGLPGFIEDDEAETLRDYRLQQESPSLSLGFFPKHSKTTSSTTTTTGAKRGFIDTVEDKTEGYNDQKQQARAGCGKELAVEEMIAAVSERKKGCCPPPPPPHGAPATPARNRPQTQGRGAAAPVVGWPPIRSFRRNLASSSSSKHSPEPQNDNANAKVTLTCKKNPLVKINMDGIPIGRKIDLAAYNSYDGLSSAVKQLFHGFLQAQKDQTNAQIAQQGADDKIFYQLLDGSGEYTLVYEDSEGDRMLVGDVPWKVFVSTAKRLRVLRSSELSHTLIGATARV >ORUFI01G33490.3 pep chromosome:OR_W1943:1:28431147:28436253:1 gene:ORUFI01G33490 transcript:ORUFI01G33490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSNKREGLPPQLLDLIPDEKEWKLREALGLGRSRNAGFDGEEDKKLDLKLGLPGFIEDDEAETLRDYRLQQESPSLSLGFFPKHSKTTSSTTTTTGAKRGFIDTVEDKTEGYNDQKQQARAGCGKELAVEEMIAAVSERKKGCCPPPPPPHGAPATPARNRPQTQGRGAAAPVVGWPPIRSFRRNLASSSSSKHSPEPQNDNANAKVTLTCKKNPLVKINMDGIPIGRKIDLAAYNSYDGLSSAVKQLFHGFLQAQKDQTNAQIAQQGADDKIFYQLLDGSGEYTLVYEDSEGDRMLVGDVPWKVFVSTAKRLRVLRSSELSHTLIGATARV >ORUFI01G33500.1 pep chromosome:OR_W1943:1:28439972:28441525:-1 gene:ORUFI01G33500 transcript:ORUFI01G33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSGLTKSQPLPLLAAASSPRRTLLAGLLRAACFSRPTASSPALHPVTTVTNRASARAAVSPGGPSQLGLGGRVSFSTAPDGTASPDGGSALPWLAAASRDGGAAPAARASAGRSSSWETSAEKFFSRGDQSPRGEVLTDRGSGREIIREEEDNGSIDNPKWGRIKDKYRRMVPRDRGSRGERFRGERFDKPEVRQWSRQENWGRKTWKEAGESTVPKMVGQGVYGVGPVLAALMAERRDFYALYIQEGMDFSVSNKKKKDKKGIEKVLQLAERIGLKVIEASKHDLNMVVDNRPHQGLVLDASPLEMVNTKELEPVRVDDGKAPVWIALDEVMDPQNLGAIIRSAYFFGAEGVVLCAKNSAPLSGVVSKASAGSLELIELLSCRNMMQFLSSSAENGWRVLGGTVAPKAIPLSEVVPGEPTILVLGSEGTGLRPLVERSCTHLVRIPGNVDGSFVGADTDTDGGEEGDNYSGNQDMKSFLAVESLNVSVAAGVLLYHLAGTNASPVSDKPSIPLM >ORUFI01G33510.1 pep chromosome:OR_W1943:1:28448005:28452775:1 gene:ORUFI01G33510 transcript:ORUFI01G33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEEMRRIMDKKHNIRNMSVVAHVDHGKSTLTDSLVAAAGIIAQDVAGDVRMTDSRADEAERGITIKSTGISLYYEMSDESLKSYKGDRDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVGGEEAYQTFSRVIENANVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLSNFAKMYASKFGVDESKMMERLWGENYFDPTTKKWTIKHTGSDTCKRGFIQFCYEPIRQIINTCMNDQKDKLLPMLQKLGVTMKDLTGKALMKRVMQTWLPASNALLEMMIYHLPSPAKAQRYRVENLYEGPLDDIYASAIRNCDPEGPLMLYVSKMIPASDKGRFYAFGRVFSGRVATGMKVRIMGPNYAPGQKKDLYVKNVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIKAMKFSVSPVVRVAVQCKVASDLSKLVEGLKRLAKSDPMVLCTVEESGEHIIAGAGELHLEICLKDLQEDFMGGAEITVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPMEEGLPEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNIVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGRGICFEVCDVILHSDAIHRGGGQIIPTARRVIYAAQLTAKPRLLEPVYLVEIQAPENALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFTSTLRAATSGQAFPLFVFDHWEMLSVDPLEPGTRQLTLSWISARGRV >ORUFI01G33510.2 pep chromosome:OR_W1943:1:28449337:28452775:1 gene:ORUFI01G33510 transcript:ORUFI01G33510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEEMRRIMDKKHNIRNMSVVAHVDHGKSTLTDSLVAAAGIIAQDVAGDVRMTDSRADEAERGITIKSTGISLYYEMSDESLKSYKGDRDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVGGEEAYQTFSRVIENANVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLSNFAKMYASKFGVDESKMMERLWGENYFDPTTKKWTIKHTGSDTCKRGFIQFCYEPIRQIINTCMNDQKDKLLPMLQKLGVTMKDLTGKALMKRVMQTWLPASNALLEMMIYHLPSPAKAQRYRVENLYEGPLDDIYASAIRNCDPEGPLMLYVSKMIPASDKGRFYAFGRVFSGRVATGMKVRIMGPNYAPGQKKDLYVKNVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIKAMKFSVSPVVRVAVQCKVASDLSKLVEGLKRLAKSDPMVLCTVEESGEHIIAGAGELHLEICLKDLQEDFMGGAEITVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPMEEGLPEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNIVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGRGICFEVCDVILHSDAIHRGGGQIIPTARRVIYAAQLTAKPRLLEPVYLVEIQAPENALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFTSTLRAATSGQAFPLFVFDHWEMLSVDPLEPGTRQLTLSWISARGRV >ORUFI01G33520.1 pep chromosome:OR_W1943:1:28453721:28454671:-1 gene:ORUFI01G33520 transcript:ORUFI01G33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSATGVNVSDRPISPDTTRVAWVGTGVMGQSMAGHLLAAGYALTVYNRTASKAEGLVSRGATLAESPRAAAAAADVIFLMVGFPSDVRSTSLDPSTGALAGLAPGGLLVDMTTSDPTLAAEIAEAAAAKSCAAVDAPVSGGDRGARSATLSIFAGGDAAVVARLAPLFKLMGNALYMGGPGAGQRAKLGNQIAIASTMVGLVEGMVYAHKAGLDVAKWLEAISTGAAGSKSLDLYGKRMLERDMAAGFYVRHFVKDLGICLSECQAMGLALPGLALAHQLYVSLLAHGEGGLGTQALILAIERLNNTCLDKKPE >ORUFI01G33530.1 pep chromosome:OR_W1943:1:28463404:28467987:1 gene:ORUFI01G33530 transcript:ORUFI01G33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMAKAPGPVYLLFLLLALPCCLIPHRGAAQPAANEARLLLQIKRAWGDPAVLAGWNDTAAPAAAAHCSWPYVTCDTAGRVTNLSLANTNVSGPVSDAVGGLSSLVHLDLYNNSINGTFPTSVYRCASLQYLDLSQNYLVGKLPADIGVGLGENLTILGLNGNYFTGTIPKSLSRLRKLEWLTLDNNRLTGTIPAELGDLTSLTKLTISTNKLEPGQLPASFKKLTKLTYLAVSQCQLVGDMPAYVADMPDLVTLDLAVNNLTGSIPPGIWSLKKLQCLYLFANKLTGDIVVADGAFAAVNLVYIDLSANPKLGGPIPQDFGLLQKLEVIHLYFNNFSGEIPASIGRLPALTEIKLFNNRLTGVLPPELGQKSPDLWDLEVDFNEFTGPIPEGLCDSGKFQTFTAANNLLNGSIPERLAGCTTLEILYLHNNNLSGEVPEALWTATKLQYVELQNNRLTGTLPSTMYSNLSSLTVENNQFRGSIPAAAATLQKFIAGNNNFSGEIPESLGNGMPVLQTLNLSGNQLSGGIPKSVSKLKVLTQLDLSKNQLSGEIPAELGAMPVLNALDLSSNRLSGGIPSSLASLNLNSLNLSSNQLSGQVPAKFAIGAYARSFLDNPTLCTSGLGSSYLAGVRSCNAGSPGSASSGGVSPGLRAGLLGAGAALLLVIVALAFFAVRDIRRRRKRVAQREDWKITPFQTDLGFSEAAILRGLTEENLVGRGGSGSVYRVAYTNRYTGGDGAVAVKKIRTGAAKVEEKLEREFESEARILGNVRHNNIVRLLCCVSGDEAKLLVYDYMDNGSLDGWLHGRRAINDGRPVVAAVARARSARGGAPALNWPTRLRVAVGAAQGLYYMHHECTPPIVHRDVKTSNILLDSEFRAKVADFGLARMLAQAGTPDTVSAVAGSFGYMAPECGYTRKVDEKVDVYSFGVVLLELTTGKAANDGGEHGSLADWARHHYQSGESIPDATDQCIRYAGYSDEIEVVFRLGVMCTGATPASRPTMKDVLQILVKCSEQTHQKCKAESGQEEYEVAPLLLPQRGSRRKQPAKAKGSDDDADERSDFDSIV >ORUFI01G33540.1 pep chromosome:OR_W1943:1:28467456:28471152:-1 gene:ORUFI01G33540 transcript:ORUFI01G33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTVVGTAVVVCAAAAAAVGVAVVVSRRRRSKREAEEERRRRAAAVIEEVEQRFSTPTALLRGIADAMVEEMERGLRADPHAPLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVIRVQLGGREKRVVSQQYEEVAIPPHLMVGTSMELFDFIAAELESFVKTEGEDFHLPEGRQRELGFTFSFPVHQTSISSGTLIKWTKGFSINGTVGEDVVAELSRAMERQGLDMKVTALVNDTVGTLAGGRYVDNDVAAAVILGTGTNAAYVEHANAIPKWTGLLPRSGNMVINMEWGNFKSERLPRSDYDNALDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDASLFGDVVPTKLEQRFILRTPDMSAMHHDTSHDLKHLGAKLKDILGVADTSLEARYITLHVCDLVAERGARLAAAGIYGILKKLGRDRVPSDGSQKQRTVIALDGGLYEHYKKFRTCLEATLADLLGEEAASSVVVKLANDGSGIGAALLAASHSQYASVE >ORUFI01G33550.1 pep chromosome:OR_W1943:1:28477125:28477687:-1 gene:ORUFI01G33550 transcript:ORUFI01G33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPHISHPLLSSSHSLLFSHISLLFFGEGGWQRAARAVAKAEQARRQAAARADGGTEMGGGGGRDGGRRGLGERGGRDGGSDGRGGGVDWGREAARTKGGAERGEEAGAEGGAEREREAVGMAGAAGKSGKRSDERERQRSGERSWRWTAGESGGAHHAPALHCQRRHHVG >ORUFI01G33560.1 pep chromosome:OR_W1943:1:28482680:28483347:1 gene:ORUFI01G33560 transcript:ORUFI01G33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYENLPGMYPVSGHLVPCTLERNNVPLALSLSLLPRFPSLHIIRVLLTSELPAGAQPSVSEAAGRPRRHAGVPRRRSPTTRRPLDARATVATQQDRYICGGAPGRDGGGRPEDAWVPDHETGVFVPADEAAVSGTENHDHYGAAAAAGGLSSMLD >ORUFI01G33570.1 pep chromosome:OR_W1943:1:28483486:28483823:-1 gene:ORUFI01G33570 transcript:ORUFI01G33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPRIEHYGCVADMLSRAGRLDEAEELIALLAACRAHGDVERAERVRRQMRRSEIDKVPGCSLIEIDGVVHEFKAIPANSIR >ORUFI01G33580.1 pep chromosome:OR_W1943:1:28494424:28497733:1 gene:ORUFI01G33580 transcript:ORUFI01G33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIIRWLKKLLTGRKEAHKGLKENHAVSDGAEKEKSRWSFAKHRRSGVDSGRRPSEAALAAVAAVAVEPSEVRRPCHCGEVENAIARREKAAMVIQKAYRGYLARKALRALRSLVKLQALVRGYLVRKQAATTLHRLQALMRLQASSRAATRASYRKSMEQERISVEETRLKTTTPGHRRRLSDSADSNYERSPRIVEMDTCHRRSRSSRIAIRHSRDHSSDCLTPAPMPPAPLSCSSPISIKQPPRLSIQRSQHHERDTRHAKTAHNTPRLGAPPYGSSPANKSVDGMARARLSHRDALGSPRYMAGTASSAARTRCQSAPRQRQAAEAPARSRRSCSRTTQDSGFCFECSEDSRPGYSEELSDEAARDYYLDRMW >ORUFI01G33580.2 pep chromosome:OR_W1943:1:28494078:28497733:1 gene:ORUFI01G33580 transcript:ORUFI01G33580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIIRWLKKLLTGRKEAHKGLKENHAVSDGAEKEKSRWSFAKHRRSGVDSGRRPSEAALAAVAAVAVEPSEVRRPCHCGEVENAIARREKAAMVIQKAYRGYLARKALRALRSLVKLQALVRGYLVRKQAATTLHRLQALMRLQASSRAATRASYRKSMEQERISVEETRLKTTTPGHRRRLSDSADSNYERSPRIVEMDTCHRRSRSSRIAIRHSRDHSSDCLTPAPMPPAPLSCSSPISIKQPPRLSIQRSQHHERDTRHAKTAHNTPRLGAPPYGSSPANKSVDGMARARLSHRDALGSPRYMAGTASSAARTRCQSAPRQRQAAEAPARSRRSCSRTTQDSGFCFECSEDSRPGYSEELSDEAARDYYLDRMW >ORUFI01G33590.1 pep chromosome:OR_W1943:1:28503337:28505988:-1 gene:ORUFI01G33590 transcript:ORUFI01G33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPVRLVACIVALAAVAPGGVAGHTRGVRPGRAAGKQQPSFPENATRVEAIERQFMEWVRYMGGLEHSTVHHALARAFPSYSLVVDKNPAFGDFTTIQAAVDSLPIINLVRVVIKVNAGTYTEKVNISPMRAFITLEGAGADKTIVQWGDTADSPSGRAGRPLGTYSSASFAVNAQYFLARNITFKNTSPVPKPGASGKQAVALRVSADNAAFVGCRFLGAQDTLYDHSGRHYYKECYIEGSVDFIFGNALSLFEDCHVHAIARDYGALTAQNRQSMLEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDDIIIPRGWYNWGDPNRELTVFYGQYKCTGPGASFSGRVSWSRELTDEEAKPFISLTFIDGTEWVRL >ORUFI01G33600.1 pep chromosome:OR_W1943:1:28510646:28511151:-1 gene:ORUFI01G33600 transcript:ORUFI01G33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAPQTQRCSLLPGASTAEAAVGRRRRLQGNLLTALPIPSQRRRSCFLPPPFSLLPIQSQPIRNKTEVSNRCKSSFISIHQQQSRDQQQLTSYYDVIPDLVIDVIPDLDLPLIQNHKKPIVETMIRGWTKSKSRASAPLQDCSHSRVLAVPPPSREDSRRSRVT >ORUFI01G33610.1 pep chromosome:OR_W1943:1:28513153:28518260:1 gene:ORUFI01G33610 transcript:ORUFI01G33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPEDDGSSSARTPLIPPTPPPPGRAVARLHPLPLLVAAAFAASYHLLVAPAPSYYRSLFLSLGSNDTAAAHLHALTLRPHLAGTEANARAAEHVVSALSSLSFPTRVVPYSVLLAYPVHRSLSLSAPGHATTPFALVQDTYPGDPYAAVSAEAVPTFLAYAASGSVAAEAVYANYGRPEDFAYLAARGVDVTGKVVLARYGKVYRGDIVINARKAGAAAAVIYTDAKDYAAGEAFPDGPWMPPTGVQVGSTFKGVGDPTTPMWASSEGCERVSIPEAMATDDMPGIPALPVSGRDGEAILQLIGGDVAPKDWQGGDGSPVYRLGPGPAVLNLTYIGNETMATIQNVISVIEGKEEPERYIILGNHRDAWTFGAVDPNSGTAALLELAQRFSELQKKGWRPRRTIILCNWDAEEYGLVGSTEWVEENRAMLTSRTVAYLNVDSAVYGAGFYASATPQLDELLKEASKQVQNPDNETQSLYDLWMASDSSSMIKIGRIGGGGSDYSAFVQHIGIPSIDISMGSEYAVYHSLYDDFVWMEKFGDPLFRRHVAAASMWGLVALRLSDEEILPFNYSTYAVELEKGAIDINKRLLGVPVSSSPLQKSIAEFKRAALQMDSEMKALQTRKVWNPWRNNPLKVRDLNERLMMTERAFTDREGLSGRPWYKHLIYAPSLHDDYGAQVYPGVDDAIQMAERTNTSESWRSVQHEIYRIARVINQASLVLSGGLT >ORUFI01G33620.1 pep chromosome:OR_W1943:1:28517868:28524258:-1 gene:ORUFI01G33620 transcript:ORUFI01G33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLLSHILHRPPPLLASRGGGRGGALPSRLRTLRLNCSVAEATASGDEAPAPPARKKRVVSGVQPTGLDLYETLFFIVDLHAITLPYEAPQLSKATRSTAAIYLACGIDSSKASIFVQSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKMGGRGGSLFKVPEALIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIMNKIKRCKTDSFPGLEFDNPERPECNNLLSIYQIITGKTKEEVIRECENMNWGSFKTTLTDALIDHLQPIQVRYEEIMSDPGYLDGVLLEGSGKAAEIADITLNNFTFHSVAIKVNDYQSPE >ORUFI01G33620.2 pep chromosome:OR_W1943:1:28517868:28524258:-1 gene:ORUFI01G33620 transcript:ORUFI01G33620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLLSHILHRPPPLLASRGGGRGGALPSRLRTLRLNCSVAEATASGDEAPAPPARKKRVVSGVQPTGLDLYETLFFIVDLHAITLPYEAPQLSKATRSTAAIYLACGIDSSKSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKMGGRGGSLFKVPEALIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIMNKIKRCKTDSFPGLEFDNPERPECNNLLSIYQIITGKTKEEVIRECENMNWGSFKTTLTDALIDHLQPIQVRYEEIMSDPGYLDGVLLEGSGKAAEIADITLNNFTFHSVAIKVNDYQSPE >ORUFI01G33620.3 pep chromosome:OR_W1943:1:28517868:28524258:-1 gene:ORUFI01G33620 transcript:ORUFI01G33620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLLSHILHRPPPLLASRGGGRGGALPSRLRTLRLNCSVAEATASGDEAPAPPARKKRVVSGVQPTGLVHLGNYLGAIKNWVSLQDLYETLFFIVDLHAITLPYEAPQLSKATRSTAAIYLACGIDSSKASIFVQSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKMGGRGGSLFKVPEALIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIMNKIKRCKTDSFPGLEFDNPERPECNNLLSIYQIITGKTKEEVIRECENMNWGSFKTTLTDALIDHLQPIQVRYEEIMSDPGYLDGVLLEGSGKAAEIADITLNNFTFHSVAIKVNDYQSPE >ORUFI01G33620.4 pep chromosome:OR_W1943:1:28517868:28524258:-1 gene:ORUFI01G33620 transcript:ORUFI01G33620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLLSHILHRPPPLLASRGGGRGGALPSRLRTLRLNCSVAEATASGDEAPAPPARKKRVVSGVQPTGLVHLGNYLGAIKNWVSLQDLYETLFFIVDLHAITLPYEAPQLSKATRSTAAIYLACGIDSSKASIFVQSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKMGGRGGSLFKVPEALIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIMNKIKRCKTDSFPGECENMNWGSFKTTLTDALIDHLQPIQVRYEEIMSDPGYLDGVLLEGSGKAAEIADITLNNFTFHSVAIKVNDYQSPE >ORUFI01G33630.1 pep chromosome:OR_W1943:1:28524465:28529455:1 gene:ORUFI01G33630 transcript:ORUFI01G33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGEGNGAATMAGVATGGVEDAYGEDRATEDQPITPWAVCVASGHSLLRDPRHNKGLSFTEKERDAHYLRGLLPPVVLSQELQEKRLLQNVRQFQVPLQRYMALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGRILELLRNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEDLLKDEFYIGLRQKRATGQEYSDLLDEFMAAIKQNYGQKVLVQFEDFANYNAFTLLEKYRANNLVFNDDIQGTAAVVLAGLIAAQKFVSGTLADHTFLFFGAGEAGTGIAELVALEISNQSKVPVEDARKKIWLLDSKGLIVSSRKDSLQPFKKRYAHEHEPVKDLLDAVKVIKPTALIGSAGVGQSFTKEVIEAMSSINERPIILALSNPTSQSECTAEQAYSWSKGRAIFGSGSPFDPVKYNDKLFVPAQANNAYIFPGFGLGVVISGAIRVKDEMILAAAEGLADQVTPEHVDKGLIYPPFSCIRKISANIAARVAAKAYDLGLASHLPRPKDLVKYAESCMYSPIYRSYR >ORUFI01G33640.1 pep chromosome:OR_W1943:1:28530071:28530478:1 gene:ORUFI01G33640 transcript:ORUFI01G33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPAGGGTEESWASFQGWWFVEVDRRRGVGAVCWMPRAAICPCGGSELVDGDLQSRRKPSPVVHRAGSGYVFGRRNLLGALSRVTLSLSWRASLDKNHIFLDGRWRHLGCRDHHGGIVFRSLVSVVLP >ORUFI01G33650.1 pep chromosome:OR_W1943:1:28530742:28535427:-1 gene:ORUFI01G33650 transcript:ORUFI01G33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDWILERERRQVEQILELDMEELQVEEVDDAGSSSSSDVDTFLRNTHGDGGSRTSEALAFNTSVVSLPTCDGGVVLFPEAILPIRVVQPRSLTAVDKAVNHVDAPCMIGVVHVYQHTNDGHHAIASVGTTAEIHHIKQLDDGSSNVVTRGQNRFRLRRRWIDADDVQWGEVQIIEEDTPQRTPRDAFGQLATNYIFNQCGTSQDDHVNSDQDWDSLSSTSTSSEHSVTDARTYCSSNEDEDLMLEQSWQKYDSVKRNAELENPVKHSNTRGKGEPCFQSPKSLPTKNKGAEQRRRFCAAYSSKLALQAPLSFWPRWAYEMYDSYSLARRVADLWRQIVVNPSMDDYVRKPDILSYHIGSKLPMSCSVRQELLEIDGISYRLQKEIQLLKAFNIIRCRNCLALISRRSDGPVGAYVKQFSCGQEMMTVYNATGLALRGAPSKAHSLFPGYTWTIALCAACESNIGWLFRAEKTNLLPKSFWGLRSSQVSDDTQSGHN >ORUFI01G33660.1 pep chromosome:OR_W1943:1:28537632:28542938:1 gene:ORUFI01G33660 transcript:ORUFI01G33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIGFAFEESIVSTPRLPIGRCTLLPLLDPSRMSLERIVSRVTSNQSRKLAVGKGYLDEQFCQVEDLQDEASPNFVEEVVTLFFKDSGRLMSNIEQALEKYPRDFNRWDTYMQQLKGSCSSIGASRMKNECMSFRDSCGQGNVEGCMRSFQKVKREHAVLRQKLESYFQLLRQAGPAGAATRPVM >ORUFI01G33660.2 pep chromosome:OR_W1943:1:28537883:28542938:1 gene:ORUFI01G33660 transcript:ORUFI01G33660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLERIVSRVTSNQSRKLAGIAKYRGYLDEQFCQVEDLQDEASPNFVEEVVTLFFKDSGRLMSNIEQALEKYPRDFNRWDTYMQQLKGSCSSIGASRMKNECMSFRDSCGQGNVEGCMRSFQKVKREHAVLRQKLESYFQLLRQAGPAGAATRPVM >ORUFI01G33670.1 pep chromosome:OR_W1943:1:28544328:28545974:1 gene:ORUFI01G33670 transcript:ORUFI01G33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVEWNGREGARFTERPGGDALKIEDIRMEDGEPSKTKGAKGRSGVRHWGLAEDDHGTTRIHGLEPPSVGHCCIMRPSDVAATCRVQACSCITAAPGGTGLLRETAGLSSH >ORUFI01G33680.1 pep chromosome:OR_W1943:1:28548241:28556372:1 gene:ORUFI01G33680 transcript:ORUFI01G33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVNGEYEDFDAANRRAEVIDWLGGLLPEFDLPLDSSDEELRDYLINGEALCYVADKLMPGVLEGTWGGYASDQRSNVKKFLSVVAEMGLPGFGVKDLEEGSMSSIVECLLALKDNVATQLGGHISNSTAKTPIRRKLELRETDGPVLSVATPGKRYPKSQQRSPLLSGQKINEVVQFKHGTYTDLPAAKISEMLHSNSLDNAPTQSLLRVVNGILDESIERKRGEIPHRVVHLLRNVIQEIEHRIGIQADHIRNQNSIIKTREDKYRSKIKALETLVNGTNEENEMAINRLEVVKVEKSKIDEKRKLGEQDMIRLIREKENAENIIASLHQEMQVMNRMHEQFREQMETKARQMEEHLTLRAKEAEFCLMQSKKKVEEVEATSQLKSQLWSKKANIFQSFMNNQKLSIKDIKISSQSIKQEMYALQMTWRDEISNIGHDLKGLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDGKLTAIDYIGENGEILIANPSKQGKEGYRMFKFNKVFGTHSSQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPGTSREDWGVNYRALNDLFDISLSRKNAFSYEVGVQMVEIYNEQPNGLVVPDASLHPVKSTSDVLDLMEIGQSNRAVGSTALNERSSRSHSILTVHVRGLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFSLAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNREGKDIKELLEQVASLKDTIARKDMEIEQLQLLKSKSPNSMTDRNGSNLLRQSTSSTGLSSLPVASQQNQQLSGSVEAEAEDNASDDGCSVGETEYSPAGASETSAERAHKAPSRITRFFLTKNGQPSTSRPKPREVVPKTQGSMRPGTAQATGGSLAKPSKRR >ORUFI01G33690.1 pep chromosome:OR_W1943:1:28557144:28558901:1 gene:ORUFI01G33690 transcript:ORUFI01G33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAMATASVVTYLQRPRELPVPEFRAPPPSPVTGVLTSSSSGSLAYGECPDSNEDDEIGRFLRRSARVPVLRLPERAVPRKKKAAWAPPVIDVRLLALPEAGGPVAEALRSAAVAFGCFQVVGHGVDRSLVSAALRHVAAATARAATPEPEEVEVNGDDEDGEEMWWSPGDGGQEMAGNWALQSGASHFRNTADALFIQLEQTATKIMDVLQRGGAVATQSIAGADTNGSLLCIRKHRRRQDDRSGGASGPIRHDDILRMLVRSSRCSRTLALHLCPGASAFHIFSRRGWSRFRPMDGAVVVTVGDQLQACNGGLYKSVAGKPAYSNDDLRGNGGDTGVASAELFYCFPSAGTAAGKASEVLSADAGKIIPLNLQFMVAACLVLGYHFLLSSLHSTWLL >ORUFI01G33700.1 pep chromosome:OR_W1943:1:28560460:28565023:-1 gene:ORUFI01G33700 transcript:ORUFI01G33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVHSQILHGGRRAKSFSLPVELTQYSAPRLAAGDVSTTTICFPLPPSLPRRAARRKEPEVAVEAAPEAEEGREEEIEVADLGREGGGEKKMEGPDSGARSADKQAVEDEGNTTPVPDTVQVGSSPKYRVDKKLGKGGFGQVYVGRRMLANGPGASEVALKFEHRTSKGCNHGPPYEWQVYNAIGGIHGVPRVHYKGRQGEYYVMVMDMLGPSLWDVWNNNSHTMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPIGTPEEKRLFLVDLGLATKWRDASTGLHVDYDQRPDVFRGTVRYASVHAHLGRIGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFREFVEYVVNLKFDEEPNYAKCISLFDTVVGPNPDIRPINTDGAQKLIHLVGQKRGRLLMEETDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADSRLVQHIDKGNEDGLFISCITSCSNLWALIMDAGTGFTSQVYELSPHFLHKEWIMDQWERNYYITALAGANNGSSLVVMSKGTMYTQQSYKVSDTFPFKWINKKWRDGFYVTSMATAGSRWAVVMSRNAGFSDQVVELDFLYPSEGIHQRWDNGYRITATAATWDQAAFVLSVPRRKPTDETQETLRTSAFPSQHVKEKWSKNLYLASVCYGRTVS >ORUFI01G33700.2 pep chromosome:OR_W1943:1:28560460:28564655:-1 gene:ORUFI01G33700 transcript:ORUFI01G33700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRSAARRAREAQENPAAAAAAAPAPPPARRRRAARRKEPEVAVEAAPEAEEGREEEIEVADLGREGGGEKKMEGPDSGARSADKQAVEDEGNTTPVPDTVQVGSSPKYRVDKKLGKGGFGQVYVGRRMLANGPGASEVALKFEHRTSKGCNHGPPYEWQVYNAIGGIHGVPRVHYKGRQGEYYVMVMDMLGPSLWDVWNNNSHTMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPIGTPEEKRLFLVDLGLATKWRDASTGLHVDYDQRPDVFRGTVRYASVHAHLGRIGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFREFVEYVVNLKFDEEPNYAKCISLFDTVVGPNPDIRPINTDGAQKLIHLVGQKRGRLLMEETDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADSRLVQHIDKGNEDGLFISCITSCSNLWALIMDAGTGFTSQVYELSPHFLHKEWIMDQWERNYYITALAGANNGSSLVVMSKGTMYTQQSYKVSDTFPFKWINKKWRDGFYVTSMATAGSRWAVVMSRNAGFSDQVVELDFLYPSEGIHQRWDNGYRITATAATWDQAAFVLSVPRRKPTDETQETLRTSAFPSQHVKEKWSKNLYLASVCYGRTVS >ORUFI01G33710.1 pep chromosome:OR_W1943:1:28569423:28580310:-1 gene:ORUFI01G33710 transcript:ORUFI01G33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLKVAEDLLEVVDRRAKIVATELSDEQSSPQPSGSSSQEGQAKKGKLREKVGPLKLATGDAGSRTAAQKERKSRQPPRERIKIEKIRPSPPVDSSSVDASASKPDVSSSDVKGLDDDGGAEKEEKVVVDRKNDIGAEVVDTEVEVQSTERSAEDAAIVVDGAADSGNSEGAAESSAPSVPDERCEPSISNQDAEIVSAVNLEEKDSAMEVIHEKNIKEVPDTQVSGKSQDSKREGLSDSPESTENQQEHKLDSGSVKDQDQLEEARGLLKNVVKTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVQEREKCSSYEAHMKQLQQELSMSRVEGSRAESNMVDALTAKNAEIESLVKSLDSWKKKAAASEEKLAALQEDMDGLKRNRELTETRVIQALREELATVERRAEEERIAHNATKMAAVEREVELEHRAVEASNALARIQRAADQSSSRAMELEHKVAVLEVECASLQQELQEMEARNRRAQKKPSEEANQVIQMQAWQEEVERARQSQREAETKISSLEAELQKMRVEMAGMKRDAEHYSRQEHVELEKRYRELTDLLYHKQTQLESMASEKAALEFQLEKSIKQFHEVQMEAERSRVARRSASAWEEDADIKALEPLPLHHRHMATANQQLQKAAKLLDSGAVRATRFLWRHPVARVSLLFYLVFVHLFLMYLMHRLQDFASREGPTAMGGAPHCTAAHPVHGGLSC >ORUFI01G33710.2 pep chromosome:OR_W1943:1:28569793:28580310:-1 gene:ORUFI01G33710 transcript:ORUFI01G33710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLKVAEDLLEVVDRRAKIVATELSDEQSSPQPSGSSSQEGQAKKGKLREKVGPLKLATGDAGSRTAAQKERKSRQPPRERIKIEKIRPSPPVDSSSVDASASKPDVSSSDVKGLDDDGGAEKEEKVVVDRKNDIGAEVVDTEVEVQSTERSAEDAAIVVDGAADSGNSEGAAESSAPSVPDERCEPSISNQDAEIVSAVNLEEKDSAMEVIHEKNIKEVPDTQVSGKSQDSKREGLSDSPESTENQQEHKLDSGSVKDQDQLEEARGLLKNVVKTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVQEREKCSSYEAHMKQLQQELSMSRVEGSRAESNMVDALTAKNAEIESLVKSLDSWKKKAAASEEKLAALQEDMDGLKRNRELTETRVIQALREELATVERRAEEERIAHNATKMAAVEREVELEHRAVEASNALARIQRAADQSSSRAMELEHKVAVLEVECASLQQELQEMEARNRRAQKKPSEEANQVIQMQAWQEEVERARQSQREAETKISSLEAELQKMRVEMAGMKRDAEHYSRQEHVELEKRYRELTDLLYHKQTQLESMASEKAALEFQLEKSIKQFHEVQMEAERSRVARRSASAWEEDADIKALEPLPLHHRHMATANQQLQKAAKLLDSGAVRATRFLWRHPVARVSLLFYLVFVHLFLMYLMHRLQDFASREGPTAMGGLANSDLP >ORUFI01G33720.1 pep chromosome:OR_W1943:1:28581915:28592089:-1 gene:ORUFI01G33720 transcript:ORUFI01G33720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCQDARVIRQRYEGDGPILSDDALLPADPGAAAGAAAEQQQQQDEARSVRCECCGVAEDCTPTYIGRVRERFDGRWVCGICAEAVSELRRRDPALAVREAVASHAALCAEFNATVRVNPALCLVRGMRDIVRISCRGRSGDSASPSAAPGGGAGARIGRTRSCALPYTMKGYTARLVDEEVELEAHTEVDEQQQQEEARSVRCECCGMAEDCTPGYVRRVRARFEGRLVCGLCAEAVSERRRREPALTVGEAVESHASLCDRFNRTVRLNPTLSLVRSMRDIARTNCLSRHRSARADACAAGGASKQIGRVESCTMPYVIVMVIILALYIVLVTICFKGMTVWPGSYWNNHLNHALVLTDPLRAMPVLRDIYIEKFAMNPATYRLEIC >ORUFI01G33730.1 pep chromosome:OR_W1943:1:28597449:28599460:1 gene:ORUFI01G33730 transcript:ORUFI01G33730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQTRKSFISFEPDYARFMHHHMKNASCTSFHSLTYTTRMGDTPGYEQKVYVVCFYHSVNYRVFQGNTLQQLLLRSVHSEHWGTPGYWSITLANMARTAAGRVERGGGRGGRACGRRSHPSPPAPWPCPLRQAWGSLDVLVGRLRTAFDEHGGHPEANPFGARVVRLYLREVCDSQAKVRGIAYEKKRRKRPPTSSSHSQDGATATCPASPAASPTPLPPPPERSANMGACVAIAVAMGCAPLSLAARRGCSYCALARRR >ORUFI01G33740.1 pep chromosome:OR_W1943:1:28599874:28602437:1 gene:ORUFI01G33740 transcript:ORUFI01G33740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGQPDPAPPHPWQLLRRDDGQGPRCPPQKLGEEGPGQDLQASYLSMKIGGMFQEVIAMFVPVLNQSSSAPFQAIIGTTSDILLAAKPGSHFSLSVSCIFRSVTYLDSVVGLRNDLQVNWLICILFEVLSDCLRCHSSTLF >ORUFI01G33750.1 pep chromosome:OR_W1943:1:28614327:28616000:-1 gene:ORUFI01G33750 transcript:ORUFI01G33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAEFGGAYYGGAAGREKKALQQGCGDHFAVDDLLVLPYDEEDETTRKGEATGGKEEAAGFGNASADSSTITALDSCSNSFGLADGDFPGELCEPYDQLAELEWLSNYMNEGDDAFATEDLQKLQLISGIPSGGFSTASVPSAQAQAASAAASMAVQPGGFLPEAPVPAKARSKRSRAAPGNWTSRLLVLPPPPASPPSPASMAISPAESGVSAHAFPIKKPSKPAKKKDAPAPPAQAQLSSVPVHSGGSAPAAAAGEGRRCLHCETDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFMVSKHSNSHRKVLELRRQKEMHQQTPHHHQPQVSAAGGVGSLMHMQSSMLFDGVSPVVSGDDFLIHHHLRTDFRPPI >ORUFI01G33760.1 pep chromosome:OR_W1943:1:28622906:28623698:1 gene:ORUFI01G33760 transcript:ORUFI01G33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGAQRNQVLVARTADAAHRRRARLGVLAAALTEEAQLDAAAALRQLGLVDGAVAGLVDHLPMESEARTTAAAITAMMMAATAEEVRGDSRCRQQGPPLAAAISWVFAWTQTRLVLPEWLGVGRGLQDACDKGHTDELRAMYKEWPFFQSTVDLIEMVEVLRHHDNRKLRDALLITINGIAAGIRNTG >ORUFI01G33770.1 pep chromosome:OR_W1943:1:28628164:28636409:1 gene:ORUFI01G33770 transcript:ORUFI01G33770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMLMDGGGAIVPFSGEPGHAVAAAPPVRNIRHGVAPPISRVYVSFSSGNLLQVACLRPPNPEGGGDRGAEEVSGGRVVEVNLGGGGSGPGGADAEEIDEAEMRRIEYGSVPAFALLQSRKNALVDGSGMSRLPSVSEHAEWWQYVLEYSKTIGSLLGNPDSLSAYMIDDPKMILKVKEKPTSLKAAWELLEIFFVDKQLQTWLPERLVDWLADYDSLLTKTENTIYRTLINFQKKLINLQVVEDDPDYWSGLSAALSVEWLDIVVNMLRFHGSYQLDQMDSRETENGLVEAVAVLVSTMPRMRPDLPSGKLGQCCKTRPDFIKALEKWRGQVSKLECSAFWIQCGHQKTRDGLKSLLHIMLGNIKNLTASTSHWLELFASHLLYIRPFTVGFEGMHQLAQKCIQLKPSADNSGLTGLLTGILSENTEVVLAECTKNFGPWMVTHAMELLTADNDYADMMLHEERPNFGGISIEELHRLVYAQVLCSHALTWQIAPTYLSSCLNQGLGLLEILLLKQPIQDNRVVLKTLEICRLYELENVSTNIMKIAGIYHWKHGRKGTGVYWFQQANDKVRLDRIAQQLFEHIGKSVTDDSFKQWEGLLELLGSDIGSAGGLEFLHRYRDFKRSLQMAQEGRTGESVRQTVEFLIQLMRNPSTPQRFWLPLLHDSVRLLNCKPCPLLNVAETTLLLNKLQELSLAKLRPDFSNIHLPSHALNSVRLALASNLARAILEEP >ORUFI01G33780.1 pep chromosome:OR_W1943:1:28654356:28657478:-1 gene:ORUFI01G33780 transcript:ORUFI01G33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAMLQASSLCVSAALSGAASRPGRLASQGHQGKRAVAQPLAASAVTEAAPPAPVVAPPARPVDAPRRRGGRGGGGGGGELVAWKSVRQERWEGALEVDGELPLWLDGTYLRNGPGLWNLGDYGFRHLFDGYATLVRVSFRGGRAVGAHRQIESEAYKAARAHGKVCYREFSEVPKPDNFLSYVGQLATLFSGSSLTDNSNTGVVMLGDGRVLCLTETIKGSIQVDPDTLDTVGKFQYTDKLGGLIHSAHPIVTDTEFWTLIPDLIRPGYVVARMDAGSNERQFVGRVDCRGGPAPGWVHSFPVTEHYVVVPEMPLRYCAKNLLRAEPTPLYKFEWHLESGSYMHVMCKASGKIVASVEVPPFVTFHFINAYEETDEEGRVTAIIADCCEHNANTAILDKLRLHNLRSSSGQDVLPDARYVHTRATRRRPAVNLLRYACTYARWMTGNTMCRVGRFRIPLDGSQFGELETALDPEEHGRGMDMCSINPAHVGREYRYAYACGARRPCNFPNTLTKVDLVERTAKNWHEEGSVPSEPFFVPRPGATEEDDGVAISMVSAKDGSGYALVLDGKTFEEVARAKFPYGLPYGLHCCWVPRNRNSK >ORUFI01G33790.1 pep chromosome:OR_W1943:1:28676520:28679102:-1 gene:ORUFI01G33790 transcript:ORUFI01G33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGNGLILYHILGLASCIALVYFSLGEVDLRDALPSLPFSGGASRAAAASLPFVERRGKRLFLDGRPFYINGWNSYWLMDLAVEPNTRPRVSSMFRTAVSMGLTVCRTWAFNDGSYNALQLSPGHFDERVFKALDRVVAEASEHGVRLILSLANNLDAYGGKRQYVRWAWEEGVGLTASNDSFFFDPAIRDYFKVYLKTLLTRKNHLTGLEYRDDPTILAWELMNEPRCTSDPSGDTLQRWMEEMSAYVKSIDKKHLLTVGTEGFYGPTSSQEKLNINPGEWFPNNYGADFIRNSKIQDIDFASVHVYPDNWLQHASLDEKLKFMTRWITAHVEDGDGELEKPVLVTEFGLSHQVEGFEDAHRDVLYRAVYDIVHGSARRGGAAGGALVWQLAAEGMEEYHDGFSIVPSERPSMMRLIKEQSCRLAAVRYGEEGARKVLKTLLVLLKKRRRKIQRRNAQEKISAKSHEIECNQCACTSAWQGSAQIGADVCRSADTAHGCNSASDERKDEHRGLQCPLAH >ORUFI01G33800.1 pep chromosome:OR_W1943:1:28680156:28680461:-1 gene:ORUFI01G33800 transcript:ORUFI01G33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPEWSNMELEPVNVGYYDGRDGALAGVDKRGARMTKPSATSSGVAWTVEDLLTIATGDELCCGAWIVEDLLNVEDVAAGDELRHEAWTLEDASTDEDL >ORUFI01G33810.1 pep chromosome:OR_W1943:1:28687538:28687741:1 gene:ORUFI01G33810 transcript:ORUFI01G33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRGIPGIVPMKARPDTAPGWHFRRGAGMESAWWHVEAEPKSSALTALLSGWSRGAARQGCGDTTT >ORUFI01G33820.1 pep chromosome:OR_W1943:1:28700331:28702912:1 gene:ORUFI01G33820 transcript:ORUFI01G33820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEDGEMSLSNLVLGFFEEAEREQRWPENGDDDDEGSSGSGAAESKAFWQNQHSQLHEALAKTSQVESRIREDTEEAIRKMRAAGAVCSCARRAAAGDCRSCTLRHVDERLRDAGYNSAICKSKWTRSPDIPSGEHSYVDVVVQTRSGKAVRVVVELSFRAEFEVARASAEYRALVTALPEVFVGRADRLRAVVKAMCAAAKQCMKENNMHMGPWRKHKYMQSKWLGTPERVAAAAAAPVAVWSPEKQTKFRASMLSFDFARAAVEVA >ORUFI01G33830.1 pep chromosome:OR_W1943:1:28703392:28706399:-1 gene:ORUFI01G33830 transcript:ORUFI01G33830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDYSWVRRTRFSHSVVRSKSGREQFGAFVEQFNRGAARRQRGPGSGFMLHGLNLEPRARLPATTSANSSVPRTTGSSNAQPSSETKPASSSSDAKPEQHEKSLNLEPRTRLSATTSANSSVPWTTGSSNAQPLSETKTASSSSDAKPEQQEKPRDHQPSQEVSTKQDDKAENDTKATATSGPLEFSFHPDEQTLRLQRACSSPVPFPSNKNMLVADAAARSSSLKVAGEGPKPKPKQRARSPPLRRDVPELFKEAKSSSKRFSTPPPRRKPSSPPAPSRSPPHAFATARAHGKPKHKKDSSANGRAKVAALEVLEKWTVDRSQLLIGHRFASGAHSRLFHGIYKEQPVAVKFIRQPEDEEDAELAAQLEKQFNTEVTTLSRLNHPNVIKLIGACSSPPVFCVITEFLSGGSLRTFLHKQEHKSLPLEKIISIGLDIANGIGYIHSQGVVHRDVKPENIIFDSEFCAKIVDFGISCEEAECDPLANDTGTFRWMAPEMMKHKPYGRKVDVYSFGLILWEMFTGSVPYEDLNPFQAAFAVFDKNERPVIPSSCPAPLRLLIEQCWASQPDKRPEFWQIIQILDKFKAVLDKDGTLDSMPCLNLQGTHDHKNWLAHWVQKLKHTHHDLSGPPPPKLL >ORUFI01G33840.1 pep chromosome:OR_W1943:1:28711891:28715866:1 gene:ORUFI01G33840 transcript:ORUFI01G33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAATSTFFANPHVKHLPGPFLRPSPHYGALVHLPSFRNKTPISIAMAASPSPPPLQELTITRPDDWHLHLREGDVLAAVLPHSAMHFGRAIVMPNLKPPVTTTARALEYREEILRALPPGSNFVPLMTLYLTDNTSPEEIKLAKKSGVVFAVKLYPSGATTNSQDGVTDIFGKCLPVLEEMARQEMPLLVHGEVTDQHVDTFDREKVFIEKILAPLVQRLPQLKIVMEHITTMDAVNFVESCKEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSKQYFLGTDSAPHDKRRKECSCGCAGIYSAPVALSLYAKVFEQAGALDKLEAFTSFNGPDFYGLPRNTSKIVLRKSAWKVPDTYSYSSGEIVPMFTGNTLEWLPSDQLEE >ORUFI01G33850.1 pep chromosome:OR_W1943:1:28715022:28716185:-1 gene:ORUFI01G33850 transcript:ORUFI01G33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLRRLLLGATPADALLTGRLPFSTSSRRTPHRFRRSRRSPNPAPPSPEAVSAAIATLPSRLTPPLLSYSLSSVSDARLLLPVLTHSLRLPTFRPDPGPFLVAIKRLAAADLYADFDRTCALAFSLLPSLRSPGPFLRTALYFYCQFRKIGKAFHVYTLMRASADPAARPSADTYHALFTALLSRGGGDTMVHYMYMDTVSALFRQMLEEGVPPDTRALNVLVKGYAQSLHLNDALRVFHQMRPVYGCEPDALTYSYLVHGLSEQGRTRNAREVFDEMLAKGLAPTEPACNAFVSALAMAGEAGEAERVMWDMARAGRVVDDVTRRAVVEELWRSGRRDDAERVVREMEERGIVGGAERRALLRSLHDEFGDDDLDVDDRWRNTR >ORUFI01G33860.1 pep chromosome:OR_W1943:1:28717227:28721478:1 gene:ORUFI01G33860 transcript:ORUFI01G33860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQRASQRRDVFHTNFLTPPIVKECMLAIEKLANIKAVAQGGYPQGERGAQVLVDPELVDYLTSTLEKVGKVGVSCTQIPLLALEYEPPRTKSFKTVESSLRVDALGSAGFKISRTKLASLISAGDVRVNWSPVSKNGVTLKAGDVVSVSGMGRLKIGEIVTTRKGKYAVELIRYL >ORUFI01G33860.2 pep chromosome:OR_W1943:1:28717227:28721478:1 gene:ORUFI01G33860 transcript:ORUFI01G33860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQRASQRRDVFHTNFLTPPIVKECMLAIEKLANIKAVAQGGYPQGERGAQVLVDPELVDYLTSTLEKVGKVGVSCTQIPLLALEYEPPRTKSFKTVESSLRVDALGSAGFKISRTKLASLISAGDVRVNWSPVSKNGVTLKAGDVVSVSGMGRLKIGEIVTTRKGKYAVELIRYL >ORUFI01G33870.1 pep chromosome:OR_W1943:1:28727551:28728246:-1 gene:ORUFI01G33870 transcript:ORUFI01G33870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTKQLLPMPQQDPNSPSSSTSSSSSSSTSPSHPHHRAPLPPSPRPVPRTIETTPFPTTFVQADTASFKQVVQMLTGAEQPSKNAATAATAAAGNSSAAGIGGGQGANGPCRPKKPAFKLYERRSSLKNLKMIAPLAMGALPSPTGRKVGTPEILSPSVLDFPSLKLSPVTPLTGEPFNRSPASSSEDAERAAISERGFFLHPSPRGAEPPRLLPLFPVTSPRMAAPSE >ORUFI01G33880.1 pep chromosome:OR_W1943:1:28730958:28731237:1 gene:ORUFI01G33880 transcript:ORUFI01G33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKSLGKNPVPRFNGHGRPALLTRSSISSEPHRLLIMRRVAEWDYRGQGLCFVLEQGLCEKLVRIVSIHFGRS >ORUFI01G33890.1 pep chromosome:OR_W1943:1:28737085:28741424:-1 gene:ORUFI01G33890 transcript:ORUFI01G33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAFSSPATGRTPNPKVAPSPSTRRAADSAAAAAAAAAAASDSKARFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLVLQMVHDPTALDPRCRFQEEDSEEYGSPMVLATAIADLIKQRTEAHLRKIQAAVSPKPIVMRAEYAYCPNLTIIDTPGFVLKAKKGEPESTPEEILSMVKSLASPPHRLLLFLQQSSVEWCSSLWLDAIRDIDPTFRRTMIVISKFDNRLKEFTESWEVDSYLSASGYLGDNIHPFFVALPKDRGTISNEEFRRQICQVDIDVLRHLRDNVKGGFNEEKYGPYIGFSCLKKYLESELQKRYKEAAPATLALLEQRCSEVSMDLSRLDSKLQATSDVSQLRRSAMLHAANICTHLRSLLDGAADPAPELWGKTTEEEQMHSGIGSWPGINVPVKPPNSSLKLYGGAAFERVMHEFRCATYSMECPQVSREKVANILLAHAGRGGSSGLTEAAAEIARAAARSWLAPLIDTACDRLAFVLQSLFDLAMERCRYQDSKYHQNVEDMDGYVGFLAALRCSYYKFVKELSKQCKQIVRHHLDSVTSPYSHICYENDFLSGVGSVANSMHRFNHFPGVTSFDLSDSGSALEEAQENVPPKDHQHMTPPAKGNESKEVLRESQLTVPETPSPDLPVDMNGGKKKDNGNLNDGGARKRHARMAAYASRNHRNNVIGGDDLGSKSGSSYSSICSISAQYFAKMREVLIERNVPSALNSGFLTPCRERLFLALGFELFAVNDDRFMDMFVAPGAVDAIQNERQSLLKRQKILLSCLNEFKNISRTL >ORUFI01G33900.1 pep chromosome:OR_W1943:1:28747448:28748269:-1 gene:ORUFI01G33900 transcript:ORUFI01G33900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRRWPYAAWFMAVLGLVAVFSSSEAYVFYAGGRDGWVVDPAESFNHWAERNRFQVNDTIVYVRRVSWLAVFLHDDEVGGSVLQVTEGDFDTCSTGNPVQRLEDVAAGRSVFRFDRSGPFFFIGGDEDRCQKGQKLYIIVMAVRPTKPSEAPEPAGAAGPVSSKSWSWQAFPPAGATTPPPLPPSWASAPEHAQAPGKSSLGGSGGGEMSRSSSLGAPPPTSGAAGLAGVVASVVVGVLGALLMF >ORUFI01G33910.1 pep chromosome:OR_W1943:1:28749890:28751029:-1 gene:ORUFI01G33910 transcript:ORUFI01G33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVLLRDASPSRLQRTRFSWKQMRGAVHPPSRTSSSPPRRSALITSVPAVLALAFLLILAAGVFLYSGTTTTPSRAVTSAGRVNEARGGGHALLSPTVGSIGGARAIWELPAAPARAVFFVAHGCHCRPENFWPPSPRCPGCVGLPEDVAITERALRRRFAVLALASARECWSMGKEVSAAKRGIRSWATENGLADLPVAALGASSGGYFVSRLAAEMKLAAVVLMIAEGSFGPGGAPAGYPPAMFLHMPKDQRRAALVERNSKMLRSNGVEVNELRSLELPLTPTMLSDRIPGLNRGLSERIWRVFTEDGFVDERGFMRKDGRATPWKDAVVKRGFWEEVAGWADHIQEELNLAYGYHEMTSLHTDEMFDWIEEHLS >ORUFI01G33920.1 pep chromosome:OR_W1943:1:28753757:28754185:-1 gene:ORUFI01G33920 transcript:ORUFI01G33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQEADVLWPAEHHRDYERCRHHHHAAQPAVCPVAPLRPSSSSAPVRIPAPTTTTFAGARRGYYEDDGTRTDYSAGAGASSRIVPPHVYVAAARRGSEGRTVASSVCVGHGRTLKGRDLRAVRNAVLHMTGFLGGGPDEY >ORUFI01G33930.1 pep chromosome:OR_W1943:1:28757038:28757466:-1 gene:ORUFI01G33930 transcript:ORUFI01G33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQEADILWPDTAAVSSQEGAPSSGMRYELQAASCCSASSSGASLFGPCSRSEEFLSGASSTAGASNDDEEEELMEADVLWPDTAPQPDEQPRWGASGYHGWSRGDLGLAGRLAAAKREGWRPAASSPIDIPAKVAARCR >ORUFI01G33940.1 pep chromosome:OR_W1943:1:28760598:28762560:1 gene:ORUFI01G33940 transcript:ORUFI01G33940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGVSCRPVGVSFRRRPRILHCPSQRLRAGRSPSKTGQRARLVQRLGMERVSCVPLLRRLLLLAAAGLAGAEPQVPCYFVFGDSLVDNGNNNNIASMARANYPPYGVDFPGGATGRFSNGLTTADAISRLLGFDDYIPPYAGATSEQLLTGVNFASAAAGIRDDTGQQLGERISFSAQLQNYQAAVRQLVSILGGEDAAANRLSQCIFTVGMGSNDYLNNYFMPAFYPTSRQYTPEQYADVLINQYAQQLRTLYNYGARKVAVFGVGQVGCSPNELAQNSRNGVTCIERINSAVRMFNRRVVVLVNQFNRLLPGALFTYINCYGIFESIMRTPVEHGLAVTNRGCCGVGRNNGQVTCLPYQAPCANRDEYLFWDAFHPTEAANIFVGRRAYSAAMRSDVYPVDLSTLAQL >ORUFI01G33950.1 pep chromosome:OR_W1943:1:28762742:28766160:-1 gene:ORUFI01G33950 transcript:ORUFI01G33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPSTPTAAATPRFKLGKQSSMAPDRGGAGESSEEGSSEAVGVMGFQLMYMAHEGDVEGIQELLDAGADPNFRDSDGRTAMHIAACEGQAEVVELLLQRGADAVAEDQWGSTPLADALHYQNHDVIKILEKHGSKLKIAPMHVKNVREVPEYEISPNELDFTNGNGISKGTFRKATWRGILVAVKKLDDDLIMDENKVQAFRDELDVLQLIRHPNVVQFLGAVTQSSPMMIVMEFMPKGDLRKHLSRKGALEPSYAVKLALDIARGMNYLHEHKPQAIIHRDLEPSNILRDDTGHLKVADFDLCKMLKWRRKVREEKAVTSPGNACRYVAPEVLRNEEYDTKVDVFSFALILQEMIEGCLPFYDKKNNEIEKAHNSKERPPFRAPPKHYAYGLRELIEQCWSENPASRPDFRTIIEQLSYIQNEISQRNRWKVKPLKCFLSFEGMWKKDRNEGSTTRSSRSSRSYF >ORUFI01G33960.1 pep chromosome:OR_W1943:1:28772644:28774986:1 gene:ORUFI01G33960 transcript:ORUFI01G33960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVPTVEPLVLAHVIHDVLDPFRPTMPLKITYNDRLLLAGVELKPSATVHKPRVDIGGTDLRVFYTLVLVDPDAPSPSNPSLGEYLHWMVIDIPGTTGVNFGQDLMLYERPELRYGIHRMVFVLFRQLGRGTLFAPEMRHNFHCRSFAQQYHLDIVAATYFNCQKEAGSGGRRFRPESS >ORUFI01G33970.1 pep chromosome:OR_W1943:1:28779437:28783177:1 gene:ORUFI01G33970 transcript:ORUFI01G33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGSALEAALQAVGRGLDAAGDHRLLYCKGTGRLLMLDESRARDLTINGGVLRGVPPDVVVEEGHGILERIRQVPGPPTDEPVVCSFPKMAECFNRKAGLLETTVPLGSFNSLFSFTGSWKNDEAATKSLAIDGYSVPLFKVKITSGELFLHESVKRAIPHSWDPSALASFIENYGTHIITSVTVGGKDEVYIKQHSSSQLSELEFRNYVKEIGSERFSDGDSKLNATPINYSEKDMTVIFRRRGGCDLVQNFNDWIKTVQSAPDVIGMTFLPIVSLVGDMPGKKHLARAIELYLKYKPQIEELQYFLDFQVQLVWAPVPPGIAGQHRKEPVCPSLQFSLMGPKLFVSTEQISVGRRPVTGLKLCLEGAKQNRLAIHLQHLGSLPKIFVPHWDSHITIGPPKWQGPEEQDSRWFEPIKWRNFAHVSTAPIEYTETSITDLSGVYIVTGAQLGVWDFGAKSVLHLKLLFSRVPGCTIRRSVWDHSPSSSLVHRTDEASSSSSDNAKLVKIVDMTETLKGPQDAPGHWLVTGAKLGVEKGKIVVRAKYSLLNY >ORUFI01G33980.1 pep chromosome:OR_W1943:1:28785949:28788541:1 gene:ORUFI01G33980 transcript:ORUFI01G33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMHIGLTDGSNLLTNYMGTSYMVAVLISVFADTFIGRYKTVIISSVIELVGLLILTLQAHSNKLKPPYCVFPFDPKCETVSGDGRTHLYVGLYLVAIGSAGIKAALPAHCADQFDEKHPTEKLQMSSFFNWLLLSLCTGGAISVTVFVWIQSYKGWDKGFGAATGVMGLALLVFIAGLPGYRISVVQGSTALLEILQVYVAAIRNRNMKLPENPDELYEISKSKAPPDTDFMAHRDKPFRFLDKAAIVQAPTDEAPSPWRQCRVTQVEHAKTVLAMVPIFCSAIIMSTCLAQLQTFSIQQGVTMDRTIGTFKMPPASLPIIPLIVLVFARVGVGLVLSIVSMAIAAVVEVRRKRVAARHGMLDANPILGKQLPISCFWLAPQFTVFGVADMFTFIGLLEFFYSQAPPALKSMSSSFLWCPMSLGYFLSTIIVKAVNAATRGATASGGWLAGNNINRNHLDLFFWLLAVLSFLNFLNYLFWASWYKYKPQQSAHVPAEHKV >ORUFI01G33990.1 pep chromosome:OR_W1943:1:28789004:28791759:1 gene:ORUFI01G33990 transcript:ORUFI01G33990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNEKPLPTPISAAAGGSGGNAPPGRPTTVDSMLLDKGAAMLQALRPVKHIKQHVCTFALYAHDPRRQVETHHFVSRLNQDVLQCAVYDADDKHARLIGVEYIVSRKIFDSLPAEEQRLWHSHAHEIKAGLWVSPHVPGMLEKAELEKMAGTFGKFWCTWQVDRGDRLPLGAPALMVSPQDDPAADVRPDLVRNRDDKYRYSTTELPAARADVAVPAEPRPGQADYWLRHRKGFAVDVVPHEMKCHAPFP >ORUFI01G34000.1 pep chromosome:OR_W1943:1:28792407:28796493:1 gene:ORUFI01G34000 transcript:ORUFI01G34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTIRSISITVSDDDAAAAGAPSAAPRRARAPRRKAARGLGQRAVRLVARWWPVLLLLPAVALLLFEASRLRGASPDGPSPHVSSSSLGRLDPTTRLVHGVREPCLNFLSPRSIEDLVFPGGTKLDSVVKRIIYKSDDNDYDTYHSEANSTYLLQHAEATRFNLFTGFQTLPEREESFKVNETVNVHCGFYSDNGGFKISDIDMRYMRSCKVVVSTCAFGGGDDLYQPIGMVNSSIGKVCYVAFWDEVTLSTQESEGKVVDGNGMIGRWRIIVVRSLPFVDQRLNGKIPKMLTHRLFPEARYSIWVDSKYQFRRDPIGVLEALLWRTNSTFAISEHGARSNIYDEGKAIVQKHKATPEEVEVQLTQYRKDGMPDEKRLHGLKALSEASVIVRELAPVTNHFMCAWFNEVVRFTSRDQLSFPYVLWRLNMPGINMFTVCTRRDLVNSLGHTRKVKPLLTQTNSESSAISKSNNKFVSKSINKSNHKLSSVSRSTRRTTAAGGAAPPRGNSRRCCPSSTLRAAAAPPPLNLSASSTAAAAALLARPPLPLPLRAANAAAAAAPSPSSRGRRYRSLYARPTPPPPPLPLPPRAAAATAPSTRGQRRRRRRSLSLLARPPPPLPRCAANAAAASPSSPVGGSSPRHRRGGDWDEELGNGMGILGDVLPVKGKQGQMETSISNNRVK >ORUFI01G34000.2 pep chromosome:OR_W1943:1:28792407:28796493:1 gene:ORUFI01G34000 transcript:ORUFI01G34000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTIRSISITVSDDDAAAAGAPSAAPRRARAPRRKAARGLGQRAVRLVARWWPVLLLLPAVALLLFEASRLRGASPDGPSPHVSSSSLGRLDPTTRLVHGVRERFQTLPEREESFKVNETVNVHCGFYSDNGGFKISDIDMRYMRSCKVVVSTCAFGGGDDLYQPIGMVNSSIGKVCYVAFWDEVTLSTQESEGKVVDGNGMIGRWRIIVVRSLPFVDQRLNGKIPKMLTHRLFPEARYSIWVDSKYQFRRDPIGVLEALLWRTNSTFAISEHGARSNIYDEGKAIVQKHKATPEEVEVQLTQYRKDGMPDEKRLHGLKALSEASVIVRELAPVTNHFMCAWFNEVVRFTSRDQLSFPYVLWRLNMPGINMFTVCTRRDLVNSLGHTRKVKPLLTQTNSESSAISKSNNKFVSKSINKSNHKLSSVSRSTRRTTAAGGAAPPRGNSRRCCPSSTLRAAAAPPPLNLSASSTAAAAALLARPPLPLPLRAANAAAAAAPSPSSRGRRYRSLYARPTPPPPPLPLPPRAAAATAPSTRGQRRRRRRSLSLLARPPPPLPRCAANAAAASPSSPVGGSSPRHRRGGDWDEELGNGMGILGDVLPVKGKQGQMETSISNNRVK >ORUFI01G34000.3 pep chromosome:OR_W1943:1:28796197:28799115:1 gene:ORUFI01G34000 transcript:ORUFI01G34000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIAASAFLSSAFARDRPLPRQRRAARPATRRAAAGGLSVRCEQSEKQKRQPLSALVPREQRFMFEGDELCGPDIWNTTWYPKAADHVTTEKTWYVVDATDKILGRLASTIAVHIRGKNEATYTPSVDMGAFVVVVNAEKVAVSGKKRSQKLYRRHSGRPGGMKEETFDQLQKRIPERIIEHAVRGMLPKGRLGRRLFTHLKVYKGAEHPHEAQKPVPLPIKDKRIQKSEK >ORUFI01G34010.1 pep chromosome:OR_W1943:1:28795828:28796327:-1 gene:ORUFI01G34010 transcript:ORUFI01G34010.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFIPIPTSPVTGRAAADRAGGRGGGGVGGASRERWRRPREEGEGAAAAAALAARRGSGSGGRARRERERRRRRRWPRVEGAVAAAARGGRGSGGGGGGGGGCRARSGEIERRRGCCRTEGGGGAAAPAVAARRGGAAGCRRASCGTRDGG >ORUFI01G34020.1 pep chromosome:OR_W1943:1:28799830:28802637:1 gene:ORUFI01G34020 transcript:ORUFI01G34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGSLPPFLSKTYDMVDDPSTDAVVGWTPAGTSFVVANQPEFCRDLLPKYFKHNNFSSFVRQLNTYGFRKVDPEQWEFANEDFIKGQRHRLKNIHRRKPIFSHSSHSQGAGPLTDNERKDYEEEIERLKSDNAALSSELQNNTLKKLNMEKRMQALEEKLFVVEDQQRSLISYVREIVKAPGFLSSFVQQQDHHRKKRRLPVPISFHEDANTQENQIMPCDLTNSPAQTFYRESFDKMESSLNSLENFLREASEEFGNDISYDDGVPGPSSTVVLTELHSPGESDPRVSSPSTRMRTSSAGAGDSHSSRDVAESTSCAESPPIPQMHSRVDTRAKVSEIDVNSEPAVTETGPSRDQPAEEPPAVTPGANDGFWQQFLTEQPGSSVAHQEAQSERRDGGNKVDEMKSGDRQHLWWGKRNVEQITEKLGHLTSTEKT >ORUFI01G34030.1 pep chromosome:OR_W1943:1:28803533:28806935:-1 gene:ORUFI01G34030 transcript:ORUFI01G34030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSYTNLLDLAEGNFAALGPGGGGGGRRRSGSFGLKRMSRVMTVPGTLSELDGEDDSEHAATNSVASDVPSSVAGDRVIVVSNQLPVVARRRPDGRGWSFSWDDDSLLLQLRDGIPDEMEVFFVGSLRAEIPVADQEEVSQALLDRFRCAPVFLPDPLNERFYHRFCKRHLWPLFHYMLPFSSSASPSPSSSSSSSSPSSSSGSGRFDRGAWEAYVLANKFFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEILKALLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGVHMGQLKTVLSLPDREWRVSELQQQFEGKTVLLGVDDMDIFKGINLKLLAFENMLRTHPKWQGRAVLVQIANPARGKGKDLEAIQAEIHESCKRINGEFGQSGYSPVVFIDRDVSSVEKIAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGSDSTSEVNGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEALNEAISMSEQEKHLRHEKHYRYVSTHDVAYWSKSFIQDLERACKDHFRRTCWGIGLGFGFRVVALDPHFTKLNMDSIVMAYERSESRAIFLDYDGTLVPQTSISRTPSAEVLRIINTLCSDRRNKVFLVSGRRRDKLGEWFSSCPDLGIAAEHGYFLRWTRDEEWQTCTQTSDFGWMEMAKPVMNLYTEATDGSYIDPKESALVWHHQDADPGFGSSQAKELLDHLESVLANEPVSVKSGQFIVEVKPQGVSKGVVAEKILVSMKERGKQADFVLCIGDDRSDEDMFENIADTIKKGMVATNTSLFACTVGQKPSKAKFYLDDTFEVVTMLSALADATEPEPETDLTDEFDELAVSVSSVDIDDEQTPSDKLIGG >ORUFI01G34040.1 pep chromosome:OR_W1943:1:28811060:28811680:1 gene:ORUFI01G34040 transcript:ORUFI01G34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSVSSPWIALVRWMAIEWETRGLSRFGPLEALLWVLAQGMHRSDIGLWTLMGQGIPAPTPPDTSGGVGALPPVPSVCGRFQPGQGRLTHVN >ORUFI01G34050.1 pep chromosome:OR_W1943:1:28822601:28823575:1 gene:ORUFI01G34050 transcript:ORUFI01G34050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGLRSSRHNQREKQQRTQEEEHKHEQQEDKGNKSRAAFLSFSPLAWLSKLTAKNNAAAAKPKPAAPADKSAVAATGGFPSCFFKGASSSTSTSVSLSSSAASQSSLADSSPADHQAPRRLSVGNDGDTAEAAAARQLYHRRRHYSVGGDRDLQTLRNLIPFSRAASPIPVPAPFVPALKTTPPLLPSDTDEEKRPRSRRRRRRSGGGGRRSFSGRTPGARVAATVRVRSPRRVASAAAAAVSELERFAVVRRTSDPQREFRASMVEMIASKRIGRPEELETLLACYLSLNADEHHDCIVKVFRQVWFELNPARVAAVAPPRS >ORUFI01G34060.1 pep chromosome:OR_W1943:1:28826963:28832220:1 gene:ORUFI01G34060 transcript:ORUFI01G34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCVVVENGHPVPKEEDAPVVAVERLPEGAGKPREEGSRGQRKQGGIRREPSFSRWCKDPSAMSNAPPGGSSPASAASDDDSEEFELPLLPSDSGGGGASHLPMDIEAGAAARSDDLPISPWLIAKVIALIASWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASRVIVWFQHRGLEGAASAMTWRDYFLRVVPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFLFRLEKPSFNLLGIILIVSFGVLLTGLRNPFTLMSYVTPVMAITTAILSIAMDPWHDVRASHFFDNSTHIIRSSLLMLLGGALAFFMVLTEYVLVSVTSAVTVTVAGIVKEAVTILVAVLFFNDTFTWLKGLGLGIIIFGVSLFNLYKYHRFKKGHRNNNCDKNPQTSSGASKYVILDDDDMENQDNSG >ORUFI01G34060.2 pep chromosome:OR_W1943:1:28826963:28832220:1 gene:ORUFI01G34060 transcript:ORUFI01G34060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCVVVENGHPVPKEEDAPVVAVERLPEGAGKPREEGSRGQRKQGGIRREPSFSRWCKDPSAMSNAPPGGSSPASAASDDDSEEFELPLLPSDSGGGGASHLPMDIEAGAAARSDDLPISPWLIAKVIALIASWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASRVIVWFQHRGLEGAASAMTWRDYFLRVVPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFLFRLEKPSFNLLGIILIVSFGVLLTGLRNPFTLMSYVTPVMAITTAILSIAMDPWHDVRASHFFDNSTHIIRSSLLMLLGGALAFFMVLTEYVLVSVTSAVTVTVAGIVKEAVTILVAVLFFNDTFTWLKGLGLGIIIFGVSLFNLYKYHRFKKGHRNNNCDKNPQTSSGASKYVILDDDDMENQDNSG >ORUFI01G34060.3 pep chromosome:OR_W1943:1:28826963:28832268:1 gene:ORUFI01G34060 transcript:ORUFI01G34060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCVVVENGHPVPKEEDAPVVAVERLPEGAGKPREEGSRGQRKQGGIRREPSFSRWCKDPSAMSNAPPGGSSPASAASDDDSEEFELPLLPSDSGGGGASHLPMDIEAGAAARSDDLPISPWLIAKVIALIASWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASRVIVWFQHRGLEGAASAMTWRDYFLRVVPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFLFRLEKPSFNLLGIILIVSFGVLLTGLRNPFTLMSYVTPVMAITTAILSIAMDPWHDVRASHFFDNSTHIIRSSLLMLLGGALAFFMVLTEYVLVSVTSAVTVTVAGIVKEAVTILVAVLFFNDTFTWLKGLGLGIIIFGVSLFNLYKYHRFKKGHRNNNCDKNPQTSSGASKYVILDDDDMENQDNSG >ORUFI01G34070.1 pep chromosome:OR_W1943:1:28835675:28843219:1 gene:ORUFI01G34070 transcript:ORUFI01G34070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGYRAEDDYDYLFKTVLIGDSGVGKSNLLSRFTKNEFCLESKSTIGVEFATRSIQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDNVARWLKELRDHTDPSIVVMLVGNKSDLRHLVAVSTEDGKEYAEAESLYFMETSALDATNVENAFAEVLTQIYQTVSKKTVEASDDGSNAPIKGEKINVKDDVSALKRIGCCSN >ORUFI01G34080.1 pep chromosome:OR_W1943:1:28841402:28842596:-1 gene:ORUFI01G34080 transcript:ORUFI01G34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEEVMDRSTSAEDGYCSVGTDSPRAESVDEQGAAEESSPRGGQKRELPSPSASPSSPLPPAAKRSRRSVEKRVVSVPIAECGDRPKGAGEGPPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRADPTVLLVTYSFEHNHPWPQPKSSSCHASKSSPRSTAPKPEPAADGQQPEPAENESSASAELEVPEPEPEQESEPVVKQEEEQKEEQKAVVEPAAVTTTVAPAPAVEEEDENFDFGWIDQYHPTWHRSYAPLLPPEEWERELQGDDALFAGLGELPECAVVFGRRRELGLAATAPCS >ORUFI01G34090.1 pep chromosome:OR_W1943:1:28854851:28860875:1 gene:ORUFI01G34090 transcript:ORUFI01G34090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESGVPPCAACGDDAHAACRACSYALCKACLDEDAAEGRTTCARCGGEYGAPDPAHGQGAVVEEEVEESHEPAAGGVRERVTMASQLSDHQDEGVHARTMSTHARTISSVSGVGSELNDESGKPIWKNRVESWKEKKKEKKASAKKAAAKAQAPPVEEQIMDEKDLTDAYEPLSRIIPISKNKLTPYRAVIIMRLVVLGLFFHYRITNPVYSAFGLWMTSVICEIWFGFSWILDQFPKWCPINRETYVDRLIARYGDGEDSGLAPVDFFVSTVDPLKEPPLITANTVLSILAVDYPVEKISCYVSDDGSAMLTFESLAETAEFARRWVPFCKKYSIEPRAPEFYFSQKIDYLKDKIHPSFVKERRAMKRDYEEYKVRINALVAKAQKTPEEGWIMQDGTPWPGNNPRDHPGMIQVFLGETGARDFDGNELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFMMDPSVGRDVCYVQFPQRFDGIDRSDRYANRNVVFFDVNMKGLDGLQGPVYVGTGCCFYRQALYGYGPPSLPALPKSSVCSWCCCCCPKKKAEKSEKEMHRDSRREDLESAIFNLREIDNYDEYERSMLISQMSFEKSFGLSSVFIESTLMENGGVPESANPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPIRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGRLKWLQRLSYINTIVYPFTSLPLIAYCCLPAICLLTGKFIIPTLSNAATIWFLGLFISIIVTSVLELRWSGIGIEDWWRNEQFWVIGGVSAHLFAVFQGILKMIAGLDTNFTVTAKATDDTEFGELYVFKWTTVLIPPTSILVLNLVGVVAGFSDALNSGYESWGPLFGKVFFAMWVIMHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLLWVKIDPFIGSSETTTTNSCANFDC >ORUFI01G34100.1 pep chromosome:OR_W1943:1:28863830:28865101:-1 gene:ORUFI01G34100 transcript:ORUFI01G34100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTTTVLATHDLLLLLLLLVAISFAGAAPLDPEQLVALRALGLRPHRLDPCDDAAGAVGVVAASCDAGVPFRRVTSLVMANCSATTSVSAGALEALAPSLRALSFSDCPAAPPRLLPPEQLAAGLRAFSCVASLHRLSAVWLSHLENLTELTVADTPLATGSPSELAVVVSHMGHLTSLTLSNANLSGFLPHHWHCPNLTHLDLSGNRITGAIPDTLTLLSAITHLNLSSNDLNGNIPTSIGDLISLTTIDLSNNSISGRIPDTVSTLPELEVLNLGSNRLNGSIPQFLSEMRGLKELNLEGNDFDGMVPFTAKFVSRLRVFRAAGNPKLCYNRSVLSAEIAIGVAPCDKYGFPVMAPPATATARSERSADYDDGAKDGDADEGADARGGPSAAVLGVAIGLSCLAFAVILLVCLCKVCR >ORUFI01G34110.1 pep chromosome:OR_W1943:1:28872646:28876125:-1 gene:ORUFI01G34110 transcript:ORUFI01G34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYYKARPDAGDYTIYMGADKNENEELIKYGLPEDVWFHVDKVSSAHVYLRLKKGESIDSICDGLLEDCAQLVKAHSIQGNKMNNVEVVYTPWSNLKKSPSMDVGQVGFHNTRMVRVVIVEKRVNEIINRLNKTRVERRPDLKAEKDASNAAEKAERKMQLKEKRRREEMERLEKERRAEIRSYKGLMVAEKMTSNRQIASAGNSMQEMEDDFV >ORUFI01G34120.1 pep chromosome:OR_W1943:1:28880572:28883727:-1 gene:ORUFI01G34120 transcript:ORUFI01G34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQAPSAPVTPAAPPPQTPPVTPPPVTAPPPVSPPPVTPPPVTPPPVSPPPVSPPPVTPPPVSPPPVTPPPVSPPPVTPPTPVAPPPVPPSPPPPTPTPTPVTPSPPPPVTPSPPPPVASPPPPDVPTAPPPSNNPPSPPPSPSNVPASPPPPRISLSPPPPPSTPTQSGASSGSKSSNNGTVVAVGVAVAAVVVLGLAAGLIYFFVSKRRRRRQHPPPPHHPGYPPFPAEFYDPHRPPSQQQSHALSPSPSSTPPLLLQPHSFVSSGGASEAASAVPGIAMMGGAFGYDELAAAADGFSESNLLGQGGFGQVYKGTVRGQEVAIKKLRSGSGQGEREFQAEVEIISRVHHKNLVSLVGYCIYGEQRLLVYEYVPNKTLEFHLHGSGRPALDWPRRWKIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDYTFEPKVADFGLAKYQATEQTAVSTRVMGTFGYLAPEYAATGKVNDRSDVFSFGVMLLELITGKKPIMVSHGDQPDTLVSWARPLLVRAVEEENFEELVDPRLENNYDAYDMGRLIACAAAAVRHTARSRPRMSQIVRYLEGELAAEDLNAGVTPGQSAMQRTSGGTTDQMKRLRKMAFGSATGTGTVSEYTSSEFSEPTSEYGLNPSTEYTTSAAGGDTGEVTVDVQMTAGASGEAAGTERLSRRTTARRGGRV >ORUFI01G34130.1 pep chromosome:OR_W1943:1:28893710:28896148:-1 gene:ORUFI01G34130 transcript:ORUFI01G34130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMEVHKNKWIEEWNAGRENLEFNFRWTRRSLAVVGLFGLAVPILVYKGIVREFHMQDEDAGRPYRKFLCLFEVLKNLHHSWAASFILF >ORUFI01G34140.1 pep chromosome:OR_W1943:1:28907093:28908361:-1 gene:ORUFI01G34140 transcript:ORUFI01G34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSCLRPLPILLAAAAAGRASPSPWRAGRSRRSGTVAAEEEEEEEEEEEEEAGESFKRIGCGF >ORUFI01G34150.1 pep chromosome:OR_W1943:1:28908286:28908720:1 gene:ORUFI01G34150 transcript:ORUFI01G34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSHVQPPPPPEELGEAGGSSAAWLARGGWGKAGGSATRGGEASVPAGGTAAQLARAREGEGEARWARGASLSHAHGGRGEASAAAAGEGKERFAAHLSRARSGAEQKRARARAADRAAPCGTEKERGGRRRERDRIWRRHR >ORUFI01G34160.1 pep chromosome:OR_W1943:1:28910813:28911047:-1 gene:ORUFI01G34160 transcript:ORUFI01G34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGCSEGSRVLWNPALVDSIVEKAGLKPTDTVLEIGPARGTSPSASSRPASRPSSLSHMVLELNRPMVPVL >ORUFI01G34170.1 pep chromosome:OR_W1943:1:28915486:28916888:1 gene:ORUFI01G34170 transcript:ORUFI01G34170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRQGRARAAAAARTSPYRGGWLSLRCRSSGADTAEYDANGTSLTDDALAATFTRLPNAADVVRCAATCRRWASVVAKEANALSRALLLLPGRALGFFHKEQDAAAVATTRKRKRRAIIVDYSTPPCFVPTAFGSRLLGYNLPSASALPLGVQTDALGLLDLSLSRPVASRNGRLVLELQSEEHVNDGKLNLCVCNPMTGDVAVLPPLSGKDRPRMYACTLLTDADIDQPPPSANFFRVLIVYNRDRFTAFRSYSSDTGSWSIEAKKTPGPKLTNWDLGKLARPRHRAPRRRLLAAAKNDTSSLAPTQVRMPLDGIINTIQQFRLLGVTPERKLCFIDAANSSGYVGLASMVFETTGDDMCGGAGEWVRKGGIGQLRRQFKIKSADASKLRWFCEKSCTLLFTLGKGSSSPWTFALNLGTKKIEKLTTGVDCNGWRNFVGYEMDAAAYLMSIARR >ORUFI01G34180.1 pep chromosome:OR_W1943:1:28918438:28927104:1 gene:ORUFI01G34180 transcript:ORUFI01G34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHGMSRGAAAGDGGGCLPMPVISAEVALAAIDASISVAAFVQQEPTGMISSMDGLGKRVDLCHQTNDEDEEDTRSHHEALLDRTKNKPGIRAVDVRRRCCPGVQLGSRQKFVILVLLLSFVVTIAFAILIWIGRGENPIDSSLLKRVYLDVFSVVVLVLGGALACYGAILFSKMSKVRSETGSSEKRKVASLATVSLICFSSSAILALVTNVPVLVYWYSADEYIINNAIILFMYYFIGSSIPSGFVLWVMKDIPHRQTVERPTQSRVVTLFRDRPSPTQDPQWRTAVTSSNKMDQIS >ORUFI01G34190.1 pep chromosome:OR_W1943:1:28928115:28928567:1 gene:ORUFI01G34190 transcript:ORUFI01G34190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGTTTTTTTELTAARHPAANVVSGGSSGCLPSSWPSLSGAGGTGRRRGSAVVRSLQLVVRDVSPAAGWCGHRAWRRLLRRLAQETRCICSSSSPSGAASSRPITFGYDAASYAKNFDDGRRPAAHYAALAPAPAAGAANAAAHEPAGR >ORUFI01G34200.1 pep chromosome:OR_W1943:1:28931228:28933881:-1 gene:ORUFI01G34200 transcript:ORUFI01G34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLAPPPPPPLAGELEVAGAAVGGKKEEGEGEEGGVCGGEAVVVAAADAEVEGHPYDFHVSGPRNLPPPNWREIIRSSWKDPNYKRMVMACFIQAVYLLELDRQDEKGEEDGLAPKWWKPFKYKVTQTLVDERDGSIYGAVLEWDRSSALSDLILIRPSGAPRAVLALRGTLLQKPTIKRDLQDDLRFLVWESLKGSVRYIGALEALKTAVERFGSANVSVAGHSLGAGFALQVCKELAKQGVFVECHLFNPPSVSLAMGVRSMSEKASYLWKKVKASLPLTEEALPDSTKEEGSAKKKLRADKKWVPHLYVNNSDYICCHYNAPNCSTTTTTTTTDGASDEQRQQRKASEIAGDVVAKLFVTSKGPQKFLEAHGLEQWWSDGMELQLAVYDSKLIYRQLKSLYTATAPSPPAK >ORUFI01G34210.1 pep chromosome:OR_W1943:1:28953149:28957905:1 gene:ORUFI01G34210 transcript:ORUFI01G34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPRKRRRRRRPGGGGGGGHDYLSGLPDDVILAILSRLTTRQAVTLSALSRRFRVLPSQFGRVDSALVADPALPLPSLPARPSFIRRLSFAPQTRRFSSSAFGRLLDAAADRGLSELAVRLPRSSFLPQNLLSIRSLTVVSLDSCALPRWCPAACPGLRTLKLHHVAIPQRMISVILKAAPVLETLEMVYCMGFAGSCSMESSTVRNLLFKSALEQREVTVKMAGLRTITLYTRPKVQSVRLDPAPEIRKAYLHIARPRVKLQFRIRPFLDAGTGLTCLTLRGMAIKLLSSEYKDTPNLAVQFEDLRILSVSLDFSNERELIFLLKLLESCPNLQQLTLSAVDAKDDTALPNFADHEERFSKLSCLTKSLEQLKFLGFRPQRYQKELFIFLLTQATNLKKMAVEFPKDQEAAVRRILSVRKAPTQKKTTKYKQYYLELEYPVEPNCS >ORUFI01G34220.1 pep chromosome:OR_W1943:1:28955592:28960316:-1 gene:ORUFI01G34220 transcript:ORUFI01G34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEQQQQQANPDEVVLGQETGGARVAILNRPRQLNVISDRVVYLLAQFLESWEKDEDAKLVIFKVALVNGLVMGGGAAMVAPLKFAVVTEKTVFATPEASVGLHTDCSFSYIHSRLPGYLGEYLALTGARLNAKEMIAAGLATHFVPSEKLEELEKCLLNLNTGDESAVRAAIEEFSTDVQPDEDSILNKLPTINKCFSAETIEDIIKAFESEGSIDGNQWIATVLKGMRRSSPTSLKMTLRSIREGRKQSLPECLKKEFRLTMNTLRSVVTGDVYEGIRALSIDKDNAPKWSPATLEEVKNEDIDRLFEPFSSEKELQVPSDDSNRWSGKFEHTVYGRTSE >ORUFI01G34220.2 pep chromosome:OR_W1943:1:28955592:28960316:-1 gene:ORUFI01G34220 transcript:ORUFI01G34220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEQQQQQANPDEVVLGQETGGARVAILNRPRQLNVISDRVVYLLAQFLESWEKDEDAKLVIFKVALVNGLVMGGGAAMVAPLKFAVVTEKTVFATPEASVGLHTDCSFSYIHSRLPGYLGEYLALTGARLNAKEMIAAGLATHFVPSEKLEELEKCLLNLNTGDESAVRAAIEEFSTDVQPDEDSILNKLRTDVLFQMLPTINKCFSAETIEDIIKAFESEGSIDGNQWIATVLKGMRRSSPTSLKMTLRSIREGRKQSLPECLKKEFRLTMNTLRSVVTGDVYEGIRALSIDKDNAPKWSPATLEEVKNEDIDRLFEPFSSEKELQVPSDDSNRWSGKFEHTVYGRTSE >ORUFI01G34230.1 pep chromosome:OR_W1943:1:28963144:28964375:-1 gene:ORUFI01G34230 transcript:ORUFI01G34230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRFHQYQVVGRGLPTPTDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQILAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHKSDIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >ORUFI01G34240.1 pep chromosome:OR_W1943:1:28965193:28967780:-1 gene:ORUFI01G34240 transcript:ORUFI01G34240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQPPSRRQVSSAPATLILLHLLAGAAGAAPPLVGVSPQDEAYFAPAVIACRDGSGSFPKRRLNDGYCDCSDGTDEPGTSACPDGRFYCRNAGDTPRLLFSSVVNDKICDCCDGSDEYESGIRCPNTCRNINDVRKDDDVGINRKGVMKDDGVGMNIKDVAEDDHHDRKRTLDIEDLIQKLKGLKMAVVIEIGLVICTFAVCFARRRTRTRRRHYILKRLLSRVAGRPRPGDDEIHVEM >ORUFI01G34240.2 pep chromosome:OR_W1943:1:28965193:28967780:-1 gene:ORUFI01G34240 transcript:ORUFI01G34240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQPPSRRQVSSAPATLILLHLLAGAAGAAPPLVGVSPQDEAYFAPAVIACRDGSGSFPKRRLNDGYCDCSDGTDEPDCCDGSDEYESGIRCPNTCRNINDVRKDDDVGINRKGVMKDDGVGMNIKDVAEDDHHDRKRTLDIEDLIQKLKGLKMAVVIEIGLVICTFAVCFARRRTRTRRRHYILKRLLSRVAGRPRPGDDEIHVEM >ORUFI01G34250.1 pep chromosome:OR_W1943:1:28971502:28972352:1 gene:ORUFI01G34250 transcript:ORUFI01G34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLGFSAGAGVGADRLAAAPALQAAGALPPRVDVSLSLARAANGQPSSYLPLNENDSLDMVLFDVLREASAVAALSSSSSSSPELGARTTAPVVAGHPAGRKGGGGGGGGRGAAARGGAAGGRHYRGVRRRPWGKYAAEIRDPTRHGARLWLGTFGTAEEAAAAYDRAAFRMRGAKALLNFPPAVAGDGARRGAAAAAKQVGMSDVVPRACHVVCYSVLSAHIFYDIFRGVIPIP >ORUFI01G34260.1 pep chromosome:OR_W1943:1:28973599:28979080:-1 gene:ORUFI01G34260 transcript:ORUFI01G34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRIAAASRLRGLLGGGAATGARTRAFSYGRVVDAAARDGELRVFVVAGEVSGDSLASRLMASLRALSPVPVRFAGVGGELMRNKGLQSLFPMEEISIMGLWELLPHIYNIKRKIEDTADAAVLFQPHAVVTVDSKGFSFRLLKQLKCRYNQVARPLHVHYVSPSFWAWKDGERRLAKLHNFVDHLLCILPFEEEICRLNGLPATYVGHPLLDDAIGLNMEKELSSVNSMHQRSGEDFRQEHEISPDSTIITILPGSRMQEVARMLPIFLQTVQHLSHTFNELSLVIPVAPHRDVRVYVDNVVRSGPFPVVLIPGETLKERYDAFNASRAALCTSGTAVMELMLAKLPCVVAYRAHFITECLIHLRKKIDFISLPNILLNSPIVPEILFGACTAENLAAKLSEVICNDEARQLQVESAEQLLEMLYEPIKQRGGLFQEELHNSSLPSNIYSPSTIAALTVLYTDNHQQAVHQN >ORUFI01G34270.1 pep chromosome:OR_W1943:1:28979316:28987236:1 gene:ORUFI01G34270 transcript:ORUFI01G34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGMLLRGLRSAASRTSPVFPTSASSPAPYVSPLLRRLYSAAAASAAASPALGPGGALDPSRIRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSVSWKENELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLSKALKYGLRPILLLNKVDRPSVSEETCNEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASLEFTKSPPDNAKNMSALLDSILQHVPSPKADLEAPFQMLVSMMERDFYLGRILTGRVRSGVVRVGDKVHGLRSTDDGVQKIEDGKVVKLMKKKGTSMVIVEAAGAGDIISMAGLAAPAIGHTVSNSDVLTALPTIELDPPTISMTFGVNDSPLAGRDGTHLTGAKIGNRLMAEAETNLAINVLPGPLSESYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTDRGERLEPIEEVTVEVDEEHVGFVMETLTHRKGEVMDMGPVPGTTGRTRIFLTCPSRGLVGFKGIFSSFTRGTGFMHRAFQAYAKYRGQLGNVRKGVLVSVGKGLITSHALMSLEARGILFVSPGMEAYEGMIVGEHSRDSDLDINPVRTKELTNIRAPGKDENVRLSPPRLVTPKVIRLRKKYLDATKRKMMKNKPMD >ORUFI01G34280.1 pep chromosome:OR_W1943:1:28989165:28992209:-1 gene:ORUFI01G34280 transcript:ORUFI01G34280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGRRNFSTPEEIAAGTSGRPRTFKKNLAEEEKEEEEDDIEESEEEESEDESEGKAKHKGTEGLIQIENPNLVKAKNIKAKEVDLGKTTELSRREREEIEKQKAHERYMKLQEQGKTEQARKDLERLALIRQQRADAAKKREEEKAAKEQRKAEARK >ORUFI01G34290.1 pep chromosome:OR_W1943:1:28996150:29000416:-1 gene:ORUFI01G34290 transcript:ORUFI01G34290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPAAGRSSAGAARHQQFRARAKTRVDDLQEMFSGLQSARKEARSTDAAVLEAQLHQMLREWRAELSQGNNRELSDPPSETLRLLQLAAAEEEEDDATSKLVEQQQQHPPSANQAHGHPQAQGGQDMKPEPPEEAVASPADLTVPQQPQSPGQGVLASGGGMLAPAAAAVFHDQMYYVNQELTVEDFLYDDNYKMYLPGYNSDVLNNLESTGQLEYPQFNLPQELPPNAYLDTSNCGQNAGDVFLHMSDLLNTMSPVPAAFLRPKCALWDCPRPAQGSERWQDYCSMYHADLAVKEEGPPGTMPVIRPRGIDLKDGPLFAALSAKIQGKHVGIPVCEGAATAKSPWNAPELFDLYIFEGESIREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKRSYYMDPQPSNSYEWHLYEYEINDCDAFALYRLEFKSSDAKKTAKSKLACNPLNEIQQQMVRLSADSPVENKRSARSRTKANPNDINSNIYLVQNTTVQGSIPNAYQAVSQPDQMTYLNGNVVYGPHLPYGYSTERSDFYWSSNDGA >ORUFI01G34300.1 pep chromosome:OR_W1943:1:29002833:29009222:1 gene:ORUFI01G34300 transcript:ORUFI01G34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRAARARRRIAGARAASTSAAAAGEKSCRAVVVPRFGGPEVLEVRQGVPVPDLKPGDVLVRARAVSINPLDLRMRSGYGRSIFEPVLPLIIGRDISGEVAATGTSVSSFTIGQEVFGALHPTAIRGTYTDYAILSQDELTSKPSTLSHVEASAIPFAALTAWRALHGTARISEGQRVLVIGGGGAVGLAAVQLAVAAGCSVSATCGTKSIEQVLAAGAEKAIDYTAEDTESAVKGKFDAVLDTIGVPETERIGINVLRRGGHYMTLQGEAAALADRYGLAVGLPAATAVLLKKQMQYRYSHGIDYWWTYMRADSEGLHEIQRLSGAGKLQIPVEKTFPISKVREAHEAKEKRLVPGKVVLEFD >ORUFI01G34310.1 pep chromosome:OR_W1943:1:29008924:29011011:-1 gene:ORUFI01G34310 transcript:ORUFI01G34310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLVKLGSLAFRTLSKPIAARLKHNAGIHPKFRGFIIGLAQVNHRFTTNMQRRLYGRATDIHIRPLNEEKAIQAAADLLGELFVFSAKPENWFFQNSYFSLTSYAREVAGTAIIYEVQRSARAEARKEEIRKQEIEARKQRIEELASEVQMMKKRISEIERQQDERRALPNSRGSTTATPMQAASAAAAAAAKAKHQQPTAAAA >ORUFI01G34320.1 pep chromosome:OR_W1943:1:29012035:29013285:-1 gene:ORUFI01G34320 transcript:ORUFI01G34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPAASGWLRPSATARLCEAGFFTDYANPATTRICIGRRRSGLQVASSNLGRCKIAHVKSGDADGYPTTEDLSIDEETLQRNLQTAIQEEDYSRAAKIRDDLRILHEDTKASLLAANTRFYNAFKNGDLAAMYSLWAKGDHVYVIHPAAGRISGYDVVMQSWEMVCGADYEFPLNIDLKNVEVHVHGDLGYVTCLEVVKTKGRTWGKQVATNVFEKVDGTWRMCVHHASHIEE >ORUFI01G34330.1 pep chromosome:OR_W1943:1:29014375:29015770:1 gene:ORUFI01G34330 transcript:ORUFI01G34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRNSDLAADELLRAQAELWNHIFAYTKSMSLRCAVELGIPDAVHRRGGAVTVPELVAELALPRSREPFLRRLMRLLAHGGIFDAAAGAEDAYGLTAVSRLLVSAPGGAGQGLSPFARAMLHPIIVSPSISLASWFRAAAADDDDEGADAPRVPFAAVHGGRELWAVAKDGPGFGAAFNDAMACDGRFVMDVLLHGHHHGGAQLFRGITSLVDVGGGSGGAARASAAAFPHVRCTVLELPQVVATVPPGDGGVEFVAGDMFDHVPKVDAVLLKWILHGWGDEECVRILRRCREAVPAREDGRRVIVMDLVVGSSSSLGDGARDTETQLLWDVMMMGVVGSPERDEREFCKIFHDAGFSGYKILHVLGIRSVIEVYP >ORUFI01G34340.1 pep chromosome:OR_W1943:1:29018881:29024616:-1 gene:ORUFI01G34340 transcript:ORUFI01G34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSFYRPPWPSERGGEQRATDCWAGSPAAGGGRARATAMGIDLNNTASGGEEDAPAPGPVCRDLWHACAGPVVSLPRRGSAVVYLPQGHLSAAGAGGGIRGEVAVALPPHVACRVVDVELCADAATDEVYARLALRAEGEVFERNLHGGGIEREDDMEDGDEERKSRMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDHKQLRPSQELVAKDLHGAKWRFRHIYRGQPRRHLLTTGWSSFVNKKKLVSGDAVLFLRGDDGELRLGVRRATQLKNEAIFKAFSSESSKMRTLSAVADSLKHGSVFHICYNPRATASEYVVPYWKFVKSFNHPVCIGMRFKFHFESEDVNERRSGMIAGVSEVDPIRWPGSKWRSLLVRWEDATDCNSQNRVSPWEIEIVGGSISVAHSLSASNGNGRPDSVETEKFPRVLQGQELMGSRTHRVTCSPQSIDITKSKSFDAWRFLTDTRSCMLGSSTSRLPVQYSGYTHQSVSFGESIGFPEVLQGQEISQTVPPFQGMLPDACSAKSRYELKNYVCTPATMNGLSSANEGYCLSLSTVPPSPPSSLMLYQTGVPQLELASKNNDKSGNDSQPALRQHKLLSETSWDQFKIGKASTPGNATKPGNGGREVDRTSCRLFGFSLTEKIIPTDKDGEKEVSYETDCQNPRMLDLFGYNCSTPEVNARFARCTSGARRGKSLITSVGSGR >ORUFI01G34340.2 pep chromosome:OR_W1943:1:29019503:29024616:-1 gene:ORUFI01G34340 transcript:ORUFI01G34340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSFYRPPWPSERGGEQRATDCWAGSPAAGGGRARATAMGIDLNNTASGGEEDAPAPGPVCRDLWHACAGPVVSLPRRGSAVVYLPQGHLSAAGAGGGIRGEVAVALPPHVACRVVDVELCADAATDEVYARLALRAEGEVFERNLHGGGIEREDDMEDGDEERKSRMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDHKQLRPSQELVAKDLHGAKWRFRHIYRGQPRRHLLTTGWSSFVNKKKLVSGDAVLFLRGDDGELRLGVRRATQLKNEAIFKAFSSESSKMRTLSAVADSLKHGSVFHICYNPRATASEYVVPYWKFVKSFNHPVCIGMRFKFHFESEDVNERRSGMIAGVSEVDPIRWPGSKWRSLLVRWEDATDCNSQNRVSPWEIEIVGGSISVAHSLSASNGNGRPDSVETEKFPRVLQGQELMGSRTHRVTCSPQSIDITKSKSFDAWRFLTDTRSCMLGSSTSRLPVQYSGYTHQSVSFGESIGFPEVLQGQEISQTVPPFQGMLPDACSAKSRYELKNYVCTPATMNGLSSANEGYCLSLSTVPPSPPSSLMLYQTGVPQLELASKNNDKSGNDSQPALRQHKLLSETSWDQFKIGKASTPGNATKPGNGGREVDRTSCRLFGFSLTEKIIPTDKDGEKEVSYETDCQNPRMLDLFGYNCSTPGALHALCAAPLGI >ORUFI01G34350.1 pep chromosome:OR_W1943:1:29029477:29030623:1 gene:ORUFI01G34350 transcript:ORUFI01G34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATDCSQCHAPPTFLILRCPTPLSASTQVYMFVCTSSIAEGKIWFGSPWRWRFLASMRDGGAIAATASMRDDGATASIRWRGGLDARQRWRAPCATAARRRRLAWTAVDGRDETLFLLARSYP >ORUFI01G34350.2 pep chromosome:OR_W1943:1:29029687:29030623:1 gene:ORUFI01G34350 transcript:ORUFI01G34350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATDCSQCHAPPTFLILRCPTPLSASTQVGSSGDVYMFVCTSSIAEGKIWFGSPWRWRFLASMRDGGAIAATASMRDDGATASIRWRGGLDARQRWRAPCATAARRRRLAWTAVDGRDETLFLLARSYP >ORUFI01G34360.1 pep chromosome:OR_W1943:1:29031177:29032217:1 gene:ORUFI01G34360 transcript:ORUFI01G34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPPRHLFFLFALAFLAAGAADAWPAWGNGRMFFSKATRPEAVELDKVAVATPAAAAAPDATNSNRASDEFSRPSSGGSHNRGYGLYGRPEESYPEAYFRRGVHHDADKLTTTSAAAATADQEKEEEATPAGDDDAWLGYPADGSGRGRPRPYPRGQQQQTTTTNAAVATAEQEDAAPARDGTGLGYSEDRSGRGRPMSYGRMRGGQQQQQQPQQQYGMSDTRLYQNGRYGYDVNTGKYGYGRESNPVRTRPEEFNGGRKFGGNAAGGQEYANGNYQEEFGVGHRAGEQVRRYGNGNVAGQEYANGNDHEEFGIGQRAGVQTGRRYDNAAAGYYDANGQYIP >ORUFI01G34370.1 pep chromosome:OR_W1943:1:29035621:29036734:-1 gene:ORUFI01G34370 transcript:ORUFI01G34370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLTQRGTHVGPTCQREEEGGGSRRWATWGEERHAGSSADRRPRGIGTAVGDGTASASSEASAAAPPSSTARRAKRAPAAASKSGVETARWAAAAAATTAAAAAAGVGMGTVVGEGRGGEQIEGGAGDWDEKGSAADGCRHAGRTVVVVCLPSSPTXASGGGATPPASCRSSAVGAPRHRLLVSCPLGRGRNGVASVDDDEAYELVSGRDLFIGGDIDDEGEGVRAYLLCLPRRPQPRATIADARRQRLDGCVVESISARWPQPHAAIADRTRGTGRLASPRAATREPPSPAVRVAAHARAESEEEEGENTEREEGEIEYVLMWQPDIWGPRGSHTESAAT >ORUFI01G34380.1 pep chromosome:OR_W1943:1:29037053:29040070:1 gene:ORUFI01G34380 transcript:ORUFI01G34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAARSFLRSGVASSSSIRGAAARAASRAGPAPLPRRLPAAAPRLILRSPVEMSSVCLETLMPMHSATASALMTSLLAAPACRSFGWLSEAVLPRDVKTKLDEHQAPKMDDLLLSEPDVTIAATEIPPIQFKVLSVGVF >ORUFI01G34380.2 pep chromosome:OR_W1943:1:29037053:29040070:1 gene:ORUFI01G34380 transcript:ORUFI01G34380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAARSFLRSGVASSSSIRGAAARAASRAGPAPLPRRLPAAAPRLILRSPVEMSSVCLETLMPMHSATASALMTSLLAAPACRSFGWLSEDG >ORUFI01G34390.1 pep chromosome:OR_W1943:1:29039915:29041780:-1 gene:ORUFI01G34390 transcript:ORUFI01G34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKRKPVFVKVDQLKPGTGGHTLVAKVLSSKTVVQKGRAAAGAGAGPAARPTRIAECLIGDETGCILFTARNEQVDLMKADSTVIIRNAKIDMFKGSMRLAVDKWGRIEVTEPASFNVKEDNNLSLVEYELVNVEGWDAMATMEIEASDTARQRNRE >ORUFI01G34400.1 pep chromosome:OR_W1943:1:29042694:29049574:-1 gene:ORUFI01G34400 transcript:ORUFI01G34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRNRRAAAAAAAAGSGEQTVVQAAYNIIPIQDVVMHGDHPSLQVPEVRAAVEALSHASDFPAPPLARVWDPHRADIFDWLGATFGFQADNVRNQREHLVLLLANAQLRAAPRFPKDHPIDVLHLTVARGIRRKLLAPLRRPRRGRRRPPHRRRHRQRRPHGPPLHGAVPAHLGGGRQPESIDIETGRPAMPAVCGEDAFLIRVVTPIYNVLKNEVEASRNGTKPHSAWRNYDDVNEYFWSRRVFKRLRWPLDPSRSFFVEPGKTGRIGKTGFVEQRSFWNVYRSFDRVWVMHILFFQAAMIVAWDGKTPWVSLGFRDIQVRVLSVFITWGGLRFVQAMLDAGTQYSLVSRETKTVAVRMVLKVLVAAGWTITFSVLYKRMWDQRWRDRRWSFAANTRVLNYLEAAAVFVIPQVLAIVLFIIPWIRNFLEKTNWKILYVLTWWFQTRTFVGRGLREGLIDNIKYSIFWVCLLVSKFSFSYFLQIKPMVGPTKVIFKLHDIKRNWFEFMPHTERLAVIILWLPVIIIYLMDIQIWYAVFSSLTGALIGLFSHLGEIRSVEQLRLRFQFFASAMQFNLMPEEHLDTVHGGIRSKFYDAINRLKLRYGFGRPYRKIEANEVEAKRFALVWNEIIQTFREEDIISDKELGLLELPAVVWRIRVVRWPCLLLKNELLLALSQAAELVADDRTHWNKICNNEYRRCAVIEAYDSIRHLLLEIIKERTNEHIIVNQLFLAFDGAMEYGKFTEEYRLTLLPQIHKYVISLVEQLLLKDKDQIKIVRTLQDLYDLAVHDFPKIKKDFEQLRREGLALSRPTESQLLFQDAIKCPDDDDVSFYKQVRRLHTILTSRDSMDNVPKNPEARRRITFFSNSLFMNMPRAPTVQRMMAFSVLTPYYNEDVLYNKDQLRRENEDGISILFYLQKIYEDDWKNFLERMQREGMASDDGIWAGKFQDLRLWASYRGQTLARTVRGMMYYYRALKMLAFLDNASEVEITEGTKQLASFGSIQYENDVYPMNGGLSQRPRRRLERGTSTVSQLFKGQEDGAAIMKYTYVVACQIYGNQKKAKDQRAEDILTLMKKNDALRVAYVDEVHPEIGDTQYYSVLVKFDPVLQREVEIYRIRLPGQLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEQYDYYHGSQKPTLLGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRLWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVSHHEYIQVGKGRDVGLNQISMFEAKVSSGNGEQTLSRDIYRLGHRLDFFRSLSVFYTTVGFYFNTMMVVLTVYTFVWGRLYLALSGLEAGIQGSANATNNKALGAVLNQQFVIQLGLFTALPMIIENSLEQGFLPAVWDFFTMQMMFSSVFYTFSMGTKSHYYGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFIKAIELGIVLTVYAAHSVIARDTLVYIIMMISSWFLVVSWIMAPFAFNPSGFDWLKTVYDFDDFMNWIWYPGSIFSKAEHSWEVWWFEEQDHLRTTGLWGKILEILLDLRYFFFQYGVVYQLKIANESRSIAVYLLSWICVAVIFGIFVLMSYARDKYAAKQHLYYRVIQSGVIILAVLVLIIFLKFTKFQIIDIFTSLLAFIPTGWGLISIAQVIRPFIESTVVWASVVSVARLYEILLGVFVMAPVAFFSWLPGFQEMQTRVLFNEAFSRGLQISRILAGKKTIAV >ORUFI01G34410.1 pep chromosome:OR_W1943:1:29053071:29057526:-1 gene:ORUFI01G34410 transcript:ORUFI01G34410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGVPAGRGSRSFDFGADDQDFHDSRLGRPFGKAYEQESYGKEDVLFAVEKCMKKYADNLLRSLEGITNRLSQLEIYCYKLERSMGELRSDVLRDETDQRLKSLEKHLHEVHRSIQILRDKQELAETQKELAKFQLTHDTSKKKEDMPTPSFPEQKTLEEKADAPGQQLAIVLPHQVNSSLAPRASQPVQQYKDQTVQQPPSSSVPQQDRYVLSQAIVYYPQRQAPGIQDTQGQQVQPEVQYLPVRPSATQDVPVHASSQQSQAANQTQPQSFPPYQQQWPQQSSQPAPAPVAQPQPTFSQPFPPPVQQPQLSNTQQFPPQPMQQPQLSNTQQFAPQPVQQPNAQQFPPPPVQPQQSNPQLPPQAMQPQQHPPVQNQMRPQTPPNYPHYQPHQSLNPPPETLPGSMAMQGPYNTVAPAAGSRSEVPYSYGGPGMPPPQHNMQRQQLPPPSQGSFGPPSKGGYAGPPQYAPQGSSHGYNTAYGYPPSGPSAAQAPQMPPAPGNVGMSHPGSHQMMRGHPYGEMIEKAITMGYPREQVMNVIQRMTESGQPMDFNTLLDRLNEAGSGAPPRAW >ORUFI01G34420.1 pep chromosome:OR_W1943:1:29060656:29060925:-1 gene:ORUFI01G34420 transcript:ORUFI01G34420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSRVRIVLCLVLVVVVGLAATQAEAARALAEYAAPPGGDYDDDVAGGGGGGGFGIRGRRRPGRWNVRSLQGGKREVPGGPDPQHHY >ORUFI01G34430.1 pep chromosome:OR_W1943:1:29063222:29065213:-1 gene:ORUFI01G34430 transcript:ORUFI01G34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPSAPPSASLFNSLIASRARAGRAAEGFALLARMLAAGVAPTAFTFAPILSSPSAGARCAAQLHPHILKSGLLHSDPYSGTSLVGFFGRNGRFDDALRLFGEMPVRSVVTWNCLISSFAQHGRACDAVIWFRALVRSGNSVSDGSLVAVLPAFGTPEQVHGLVKKIAIDSFSTVANSLLNSYCTHSSTSLAENLFSEFMFRDVVSWNTMIIAFARRSLPQRALEFFWMMEGWSVLPNEITFSSVIYACTSINGHEHGKSIHAKVIKHNLNTRPFVNTSLFDFYSKCGCTKDAHKVLEEAPEDSTTRWNGLISCHSDTDVPTSFFILREMLRSGVMPNEVSLSSLLKDPSVFDLLQIHSLVTRLGYDGYDYVSSAIISSYASHEMVSDALAYGGMLDPDSCAVSMNVLAGVYNRVRMYDEAKKLLLHQGCNDTVSWSILITACARNGDYAEALKFFELMRILGHHFDSYVSVSLLSICTKSNSLVLGRLLHGLIIKTNSGCLDTYVHNMLLDMYAKCGRIEECLKAFKEMEDRNIISWTAIISGLALNGFSRKALAWFKAMEEDGFKPDKVAITAVLSACRHGGLVHEGMNIFRHMKSEYSIEPEMEHYICVVDMLCKCGHLKEAEVVIRGMPFQPSAVVWRTFLQGCQTYGMIDTQVLS >ORUFI01G34440.1 pep chromosome:OR_W1943:1:29070580:29070867:-1 gene:ORUFI01G34440 transcript:ORUFI01G34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTYLAVFAFCAILMLSAVARSASARALRERAPEEAAVVAGRAGVAGMMKVPAAAAGEAAGRRSGGGAVVVVGGAAAHESKRLSPGGPDPQHH >ORUFI01G34450.1 pep chromosome:OR_W1943:1:29078460:29090501:-1 gene:ORUFI01G34450 transcript:ORUFI01G34450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESRKRAASTANAKSSSLGEDFGNDFLSSWKLPKSGNDTIDFDVESVPKNSKKFSFDNLDDFGLDGAFDKLSSFKMGMSDLDFSGPLKKKVKPNNSNGNDLSEGIKGTEKDNFSFSFDFNELGKFNLDANLGIEENGMSKFVEKVNPVSSEGDKDPRKGLSVKGSDVLGGNISKEQKQTHDACTLRPTHLRSFSPARMDQNKVDLLSTDTHEEKSNETHPSRAAVNKPSQNLPCSSTPGEDPTHVTTTAVAENCREAPLVELSKVHISRGNNDSGQSVSSQFMNTSTTCPSISRKLTAQSDSQNDQNEIVGESACLNAQSPDNQRFRGTSMKLLKKTSCETKKAEKGTSGPKSLSSSMQRDMRNVKPASLNEAGSLSLPPQSSIVKASRPPQLTSETTLNQLNSANNMVKKMNTHSTELKRESTQANARSERPKIASSKTFCKPALHELLTTSMNAKDHKNSKLGLESPSTGNVSTLNAPSSPAHSNGHKTVASRSLLGSTNVFDAGKGTPKVDNRPLSQLKAAKITKAGTISSKSDLLLEKDMMETSGRKGSPVTTSNNPKSYGEGKYVLPSPSMMQKTPKESASDPKAPAMLKHIMKSPAVRTSPETVSELGNKTIPGGGTPKARMDNAIASAISCEMGEISELELPALLENDVNVQKAEACRKELEDLCISLKRKHEEAKELAVRAIVNNNTMLMLNHPIINVRLKWSDCASPRKNTGTWKILGRAEEADRMMDLAEKMKIVAANTGSNRLGIG >ORUFI01G34450.2 pep chromosome:OR_W1943:1:29078460:29090501:-1 gene:ORUFI01G34450 transcript:ORUFI01G34450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESRKRAASTANAKSSSLGEDFGNDFLSSWKLPKSGNDTIDFDVESVPKNSKKFSFDNLDDFGLDGAFDKLSSFKMGMSDLDFSGPLKKKVKPNNSNGNDLSEGIKGTEKDNFSFSFDFNELGKFNLDANLGIEENGMSKFVEKVNPVSSEGDKDPRKGLSVKGSDVLGGNISKEQKQTHDACTLRPTHLRSFSPARMDQNKVDLLSTDTHEEKSNETHPSRAAVNKPSQNLPCSSTPGEDPTHVTTTAVAENCREAPLVELSKVHISRGNNDSGQSVSSQFMNTSTTCPSISRKLTAQSDSQNDQNEIVGESACLNAQSPDNQRFRGTSMKLLKKTSCETKKAEKGTSGPKSLSSSMQRDMRNVKPASLNEAGSLSLPPQSSIVKASRPPQLTSETTLNQLNSANNMVKKMNTHSTELKRESTQANARSERPKIASSKTFCKPALHELLTTSMNAKDHKNSKLGLESPSTGNVSTLNAPSSPAHSNGHKTVASRSLLGSTNVFDAGKGTPKVDNRPLSQLKAAKITKAGTISSKSDLLLEKDMMETSGRKGSPVTTSNNPKSYGEGKYVLPSPSMMQKTPKESASDPKAPAMLKHIMKSPAVRTSPETVSELGNKTIPGGGTPKARMDNAIASAISCEMGEISELELPALLENDVNVQKAEACRKELEDLCISLKRKHEEAKELAVRAIVNNNTMLMLNHPIINVRLKWSDCASPRKNTGTWKILGRAEEADRMMDLAEKMKIVAANTGSNRLGIG >ORUFI01G34450.3 pep chromosome:OR_W1943:1:29078460:29090501:-1 gene:ORUFI01G34450 transcript:ORUFI01G34450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDESRKRAASTANAKSSSLGEDFGNDFLSSWKLPKSGNDTIDFDVESVPKNSKKFSFDNLDDFGLDGAFDKLSSFKMGMSDLDFSGPLKKKVKPNNSNGNDLSEGIKGTEKDNFSFSFDFNELGKFNLDANLGIEENGMSKFVEKVNPVSSEGDKDPRKGLSVKGSDVLGGNISKEQKQTHDACTLRPTHLRSFSPARMDQNKVDLLSTDTHEEKSNETHPSRAAVNKPSQNLPCSSTPGEDPTHVTTTAVAENCREAPLVELSKVHISRGNNDSGQSVSSQFMNTSTTCPSISRKLTAQSDSQNDQNEIVGESACLNAQSPDNQRFRGTSMKLLKKTSCETKKAEKGTSGPKSLSSSMQRESTQANARSERPKIASSKTFCKPALHELLTTSMNAKDHKNSKLGLESPSTGNVSTLNAPSSPAHSNGHKTVASRSLLGSTNVFDAGKGTPKVDNRPLSQLKAAKITKAGTISSKSDLLLEKDMMETSGRKGSPVTTSNNPKSYGEGKYVLPSPSMMQKTPKESASDPKAPAMLKHIMKSPAVRTSPETVSELGNKTIPGGGTPKARMDNAIASAISCEMGEISELELPALLENDVNVQKAEACRKELEDLCISLKRKHEEAKELAVRAIVNNNTMLMLNHPIINVRLKWSDCASPRKNTGTWKILGRAEEADRMMDLAEKMKIVAANTGSNRLGIG >ORUFI01G34460.1 pep chromosome:OR_W1943:1:29098545:29102357:1 gene:ORUFI01G34460 transcript:ORUFI01G34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFTNKDGRQNLASCFNFRSSPFRLTVGERELKLEEDKNQLSKGLDPWTSNPTASASTLHYLLQEKERAQQAHEQLQIYQQQQGFGSFLQHRIRQPASRGPGGGGGGGDGGGSSGESTPVEALATAFGAGRIVRSAAGRKDRHSKVCTARGLRDRRVRLAAHTAIRFYDVQDRLGYDRPSKAVDWLMRNAKAAIDELPDRAEAPPPPAAASTEQPEATEQATSTSYGFGNTGGAMTSAASAAGSFLPHSLGADRVSDSVKSLFPSSSTASGAASAGHDEYRGSPPDLLSRTTSNQQPQELCLTLQSNQHQIFSHVSSNHHGMISSAGVPGWPDHSQRMQAWHAPENSTGDGRGGGNGDGYMFAMPSRQGLDQSQLFSHGEPLQSSGRGWASARAWLDPLAVAAIHHQPSTMAAGQVGFGHLVGGAGGGGGFMGFLAPAAQRLEGEEEHGSEVIR >ORUFI01G34470.1 pep chromosome:OR_W1943:1:29111776:29112492:1 gene:ORUFI01G34470 transcript:ORUFI01G34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSAVPGRVSDPGSAWFGGGERSSSTGPGRNVRLIATAVAAFVSVLGLALFLHLYVCHVRRRNRRRAAEAAALATVNAGAPPKQVGLDPSAIAALPTAAYGKVAGGDAAGGTTECAICLGAMQEADAVRVLPACRHVFHVACIDKWLASSSSCPVCRAGVEPPPPPPSTAAARCVHEKQDAEKEEAAAGSSAPVRVLGASLMKMLSRERPSPRRQPGVHAVEMEDLESQLPRPQQQ >ORUFI01G34480.1 pep chromosome:OR_W1943:1:29119004:29120770:-1 gene:ORUFI01G34480 transcript:ORUFI01G34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRARKRGRGGAGAGAAAVLGALGLGGFAGLDLHRAAPAGEAELEQLAAAYDRTSGRISVSIRGAGVSASPADLAAALGLPLGPAALGPEVDASVFRSEEAIAAVRGFLRDRVLLGGGGGGGRELPGEAAVALRLVEEGKAYAVDWCRLFWELLKMDLVSGKPRRYAPHLLRLIEYQRPELFAEVDGSSPLGKRRKSAAFSRQCQWEDEKETDLIDAECGDSRTQAAEAEVERSSQSIGDLEEMPVFGERKQFNAVDLVGYKSSIVGLGEWIHGVNEGNAELGSQKSLPSEIEVSGCEMGGNAAAGLTAKDQSSNDSSLLSLLRTMDEQDDSSSHQNVISGAKPQSGPNQQSIIEIEDEEDDDDDQVGVGHVPPNIQNGHFGLNNYFVQQRATEGFQNDQTLPSFLACTQQIKACMDDNFLDKMKALMDARAANQRMINMITQKDYMIAATKRDILEDLGARHVMISQFEHDIELMRLTIQQYRKLFENTSAAYLEYRNRMSREEGDGSSLEVIGIADETEQFVRMQQLDIYQRLNKFQKLWLTKYSDLVGHLTRVAECMTYLSNGLQRLKDSTTIPDLNNGKPQL >ORUFI01G34490.1 pep chromosome:OR_W1943:1:29126000:29129035:-1 gene:ORUFI01G34490 transcript:ORUFI01G34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALESKQSSAVPVTSVGGWREGLRGEAGEKEGVGGWRRGHRPPRGVRRPAGPMFISSRILSPCSTSLLEYHVRKNLPASVSMSQIMKSVYLDGSSAVEQRPVIHADGEVLLVPRAARARVVHVGVVVADVADSTRQRDAWCRN >ORUFI01G34500.1 pep chromosome:OR_W1943:1:29129622:29132057:1 gene:ORUFI01G34500 transcript:ORUFI01G34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPVAAPGHHQHWASAGGAGDAAWADEFAEFAASRRGAHRRSLSDSVAFVEVAPAGCGAGGEFDRLDDDQLMSMFPDEGGSSAPGSDNGGSDSDGGGDKHAAAQSDDGQHAAGEPTQEQAAATSPTELIRDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQNEVSVLSPRVAFLDQQRTILTVGNSHLKQRIAALAQDKIFKDAHQEALRKEIERLRQVYQQQNTKLSGGLAADHAHVHGGPPPVRAEKELMS >ORUFI01G34510.1 pep chromosome:OR_W1943:1:29133910:29134461:-1 gene:ORUFI01G34510 transcript:ORUFI01G34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIHEEQRPRRRQQQQQEGGGEETVVEVPEMDGELLVELLEASLAAEEDDEAVAQRKQQLGFFTADVGDGWDGQELMNSIHPHQEEEGCEDCGLDDILSDFDGGGYPPASSPPYLSEFWMEEMDHATAGPFAVAGECPGEEWYMDGMAMEWEDGRSYYSFHYPSYGADASCTDQLYSSPLWE >ORUFI01G34520.1 pep chromosome:OR_W1943:1:29139949:29144269:-1 gene:ORUFI01G34520 transcript:ORUFI01G34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQDPAADAVAAEPLTVAETDDQPPPPAPPLEAEGVVVAEEDPLPHPPLEVVEEDVAPVVAEAGAAAVPMEPSEAGAGGVVLTDELCDRIVKQVEYYFSDENLPTDEFLIKFVKKNKQGFVPIGVIASFRRMKKLSQDLSIIEAALRTSSKLVVSPDGKRVRRLHPLPNNELNDVKKRIVVVENLPSDFSMESIHAKFETVGKIAKITIHDQHSVGESATIKNHDIMLSNKVHALVEYETAEAAEKAAITLNDGSNWRTGMKVRLAKRTVGSGKHNQSSKENQAIQGQPSKVEQQMVSEKNGGTDSVESAFDNENVNSNISHEDMHQHQKVNAKGGRKGRYKSQGRGQIQQNTSGQGHVSSPATSGSDHVNKPIPGPRMPDGTRGFTMGRGKSPTFQKGDNAEE >ORUFI01G34530.1 pep chromosome:OR_W1943:1:29147001:29148658:1 gene:ORUFI01G34530 transcript:ORUFI01G34530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVDLHRARSLGSQITSGPACLPACDGKYHGVVRVWPVWVQGYGVHANSRRMAIFVHALHIGSGYQEFLPASFVLSIALSRITPGFYRNSLLRRSVCNHLPKVRAYAGTISLEPSPNSLPFIVLHNHMYPETVRI >ORUFI01G34540.1 pep chromosome:OR_W1943:1:29152207:29155229:1 gene:ORUFI01G34540 transcript:ORUFI01G34540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIVPQGDHAVRLGNDASIGQVVQVEKGSEYAITFSAARTCAQLESLNVSVLGGASQTVDLQTLYNIEGWDAYALAFQATDEQASLEFRNPGMEDDPTCGPILDNVAIKKLFTPDKPKGGEYPSRWQQGQKRVVGEGGLVGSTEGAHDRGRRTRQQERIMVKTELEQVNQVASGTVPLQWRRRRMPEHDDDDDTCDATVTAENIK >ORUFI01G34550.1 pep chromosome:OR_W1943:1:29161780:29164444:1 gene:ORUFI01G34550 transcript:ORUFI01G34550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRMNLWPHCFPCFDDGDRSGNRFSTVCNFPDDLLPSLGATAHQPPKLRKYLVSPYDPRYKVWETFLIILVVYSAWICPLEFAFLRYLPSAPFVVDDVVNGFFAVDIMLTFFVPFVDKKSYLLVNDPKKIAVRYLSSWFVFDVCSTVPFHSISLLFNEHGHDLGFKFLNVLRLWRLRRVSSMFARLEKDIRFNYAVIRCTKLISVTLFAIHCAGCINYLIADRYPDPRRTWIGAVMPNFREDGLWIRYVTAMYWSITTLTTTGYGDLHAENAREMLFGICYMLFNLWLTAYLIGNMTNLVVHSTSRTRDFRDVVQAASEFAARNQLPQQIEEQMLNHICLRYKTDGLKQQETLDVLPKAMRSSISHYLFFRVVQGAYLFKGVSSRFIQQLVTEMQAEYFAPKEDIILQNDSPSDLYLLVSGAVVYRRAAEGELLGEIGVLCNKPQSFTFRTTKLSQILRISRKKLLGIIQENREDGDIIRSNLQQVNV >ORUFI01G34560.1 pep chromosome:OR_W1943:1:29165118:29165660:-1 gene:ORUFI01G34560 transcript:ORUFI01G34560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEFRRRGDDPDDVYGTVARVLSYIHYTLPSPPVSATTRLCALTPHDVVDRISTLPDELLSKVVSHLPVKDVARTTAGARYGALCRSRRMSSPPRRTAAGSPPIHRPLRRRPAPWPAWPVPPSSLTSGPVDGCPIAWCSLPEPPHHLLGPSSSTSYALSRCKQKRRHRGCGIGRLRHQP >ORUFI01G34570.1 pep chromosome:OR_W1943:1:29167174:29167386:1 gene:ORUFI01G34570 transcript:ORUFI01G34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTKALALLFAVLVALVAATAAVRVLEEEAVELGGLAPAPAPANAAGAVAPGAWAVAAVVSLLAFLAH >ORUFI01G34580.1 pep chromosome:OR_W1943:1:29185899:29186907:1 gene:ORUFI01G34580 transcript:ORUFI01G34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTADARSGCSGGCEEWFEAAVDAMRREDASGTTLCASSIPGWTINGTVELISAGQHQGGMILIVPQGILQSFSPSVL >ORUFI01G34590.1 pep chromosome:OR_W1943:1:29187083:29187536:1 gene:ORUFI01G34590 transcript:ORUFI01G34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESNRAVRFVDSDQYTVPQGKRAIELLSGKEGIISQMEGIISQMVETTPQKEYSLTFTLGSAGDSCQPPMAVMAFAGDQAQNFHYSPMGNATSQAAN >ORUFI01G34600.1 pep chromosome:OR_W1943:1:29193763:29196386:1 gene:ORUFI01G34600 transcript:ORUFI01G34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAGPPAVDHIPLLRSPDPGDVFSGVPVVDLGSPGAARAVVDACERYGFFKVVNHGVATDTMDKAESEAVRFFSQTQPDKDRSGPAYPFGYGSKRIGFNGDMGWLEYLLLALDDASLADACTVPSCAVFRAALNEYISGVRKVAVRVMEAMSEGLGIAQADALSALVTAEGSDQVFRVNHYPPCRALQGLGCSVTGFGEHTDPQLVSVLRSNGTSGLQIALRDGQWVSVPSDRDSFFVNVGDSLQVLTNGRFKSVKHRVVANSLKSRVSFIYFGGPPLAQRIAPLPQLLGEGEQSLYKEFTWDEYKKAAYKSRLGDNRLAQFEKK >ORUFI01G34610.1 pep chromosome:OR_W1943:1:29198609:29199451:1 gene:ORUFI01G34610 transcript:ORUFI01G34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARAAVLPTLAQVAKREGRYLARQQKRYMAEEERPLKTTVPPARAGAIQLGYHSRSKGGASGLYDPQSSLRGPRGIKEERAKAVSNRVDCVPAVRWAATLELSRSLAWLTA >ORUFI01G34620.1 pep chromosome:OR_W1943:1:29205861:29211799:1 gene:ORUFI01G34620 transcript:ORUFI01G34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQNSRGPHQLMPAPPTDLPLFSGETDRARSRPRSAALALALPRGRDFKGFWESRFGGKKEQEPEQNGHANGVANGSVRKRTSDLAVYEQFEQQARQTEVRAAAIRDGNADAIQKPLLPSFESAEMRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNDLVFVLAATNLPWELDAAMLRRLEKRILVPLPEAEARHAMFEELLPSTTSKLEVPYDTLVEKTEGYSGSDIRLVCKEAAMQPLRRLMSVLEARDELVPEEELPEVGPLKPEDIEVALRNTRPSAHLHAHRYEKFNQDYGSQILSQEQA >ORUFI01G34630.1 pep chromosome:OR_W1943:1:29210331:29213289:-1 gene:ORUFI01G34630 transcript:ORUFI01G34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADELRLDLEELRRLEGLAKRPRVLSALANEIRAVDAKLAKATEPQAPQAVAAGSPPVVAAAAAPAPAAAAGVSYVTLGSFSWDQDAEKIKIYVFLEGVEQDKVETTFKPMSVDTKFHDVKGKNYRCAIPKLHKEIVPEKCKVLVKPTKIIVTLYKASKGNWLDLHFKEDKFKPSMAKEKDPMSGIMDLMKNMYEEGDEDMKRTIAKAWSDARSGKTADSVRGLP >ORUFI01G34640.1 pep chromosome:OR_W1943:1:29218321:29220147:-1 gene:ORUFI01G34640 transcript:ORUFI01G34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVWLTTPATAPAPRTGYRSPRVVPRLFQGSFSFRRYLRSATFACIFHEPVFPAFALIVGRDRRTRREDRDQGRPGGRTEQPAPAAGLASSRRSMPRSRGSELPQRASPRAPLHLKTTACSDANGAHHRTVVDRSSPKLADRHSPRSPLHEKKRAGTRVAELETKLGKVQDELKKLREQLATAEAAKKDAQVALEEAKKRVGTKGSPASAAAASPRSPSPVAVETPKKTEQQLKVTEPPAEEESSINSPATDVFEVVRTESGDKENQSAAGAEDGEEVSCGIKAALAEKELEEEETKKMIEEESIDATAIDSGEKENPEVAELKAKLMAKDMEVAILTADNAELKKQVGEAAEAAKKAEEEAAAKASLVEQDLKERAAREARMGEQLRASEAARETLEAEMRRLRVQTEQWRKAAEAAAAVIGGDAHFVGHNGNGWGSPATMPDDCDDEGFGGKRKGAGIRMLGDLWKKKGSK >ORUFI01G34650.1 pep chromosome:OR_W1943:1:29224365:29228413:1 gene:ORUFI01G34650 transcript:ORUFI01G34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGEISEIMRCPWKVVCVAWMLALMCGDRKRMEGLQLHCLAEGKAHEMQSPACSFRLCSTGARGTRKLQPSELPLDPSSSSRAYKLLLYLLSPGAKAVALLASGGRATTHRTVPAAWSGDAGPAASKVPSPQRWESRTYALRRSHFARCHD >ORUFI01G34660.1 pep chromosome:OR_W1943:1:29230025:29232969:-1 gene:ORUFI01G34660 transcript:ORUFI01G34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVRCGPPAFAFGLERAAITHPSKFSTLVVCGARGPRPRYPRVWKTRKRIGTVSKSQKLVECVKGLSNVKEEVYGALDSFVAWELEFPLIAVKKALKTLEDEKEWKRIIQVIKWMFNKGQGKTMGSYYTLLNALIEDGRVEEAEELYGKIFSRYLEGLPRTFFMRMISLYYRLESYQKMFEIFADMEELGVRPDGSIIRMLGEVFQKLGMLDKYVKLKKKYPPPKWEYRHIKGKRIRVKVYPKDEIEEPMRKAGTDEVEEEENMDVDSELEEAASAGLDRNVLDEAACGDLEFV >ORUFI01G34670.1 pep chromosome:OR_W1943:1:29232977:29239977:1 gene:ORUFI01G34670 transcript:ORUFI01G34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNVYRSAGSEVVTILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELLELIFEEATKSNILGLPSDVSNREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAIQDFLVSLPIKKMKQLGGKLGSSLQDDLGVNTVGDLLSFTEDKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNASVKTWLDRLCEELSERIQSDLNQNKRIAQTLTLYARACKKNKSDSIKKFPSKSCPLRYGTVKIQEDAMKLFESGLHDFLGSQNTKWSITSLSVSASKIFDIPIGTSSILRYIKGPNSTVSPANLDCSSLPEDPSLGNKLYIAPNHEEHCEPSLSEKEDYGNNSPESSSEPNKAEEHKAAQYVDRNKFNTAGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKQSSSKTRGESHHRALELPDVPN >ORUFI01G34670.2 pep chromosome:OR_W1943:1:29232977:29241776:1 gene:ORUFI01G34670 transcript:ORUFI01G34670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNVYRSAGSEVVTILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELLELIFEEATKSNILGLPSDVSNREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAIQDFLVSLPIKKMKQLGGKLGSSLQDDLGVNTVGDLLSFTEDKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNASVKTWLDRLCEELSERIQSDLNQNKRIAQTLTLYARACKKNKSDSIKKFPSKSCPLRYGTVKIQEDAMKLFESGLHDFLGSQNTKWSITSLSVSASKIFDIPIGTSSILRYIKGPNSTVSPANLDCSSLPEDPSLGNKLYIAPNHEEHCEPSLSEKEDYGNNSPESSSEPNKAEEHKAAQYVDRNKFNTAGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKQSSSKTRGSTISSYFQPAKRSG >ORUFI01G34670.3 pep chromosome:OR_W1943:1:29232977:29240744:1 gene:ORUFI01G34670 transcript:ORUFI01G34670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNVYRSAGSEVVTILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELLELIFEEATKSNILGLPSVIFVLHDLIVVSLQFDMNVTNRASYVQDVSNREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAIQDFLVSLPIKKMKQLGGKLGSSLQDDLGVNTVGDLLSFTEDKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNASVKTWLDRLCEELSERIQSDLNQNKRIAQTLTLYARACKKNKSDSIKKFPSKSCPLRYGTVKIQEDAMKLFESGLHDFLGSQNTKWSITSLSVSASKIFDIPIGTSSILRYIKGPNSTVSPANLDCSSLPEDPSLGNKLYIAPNHEEHCEPSLSEKEDYGNNSNLAKQCQIKEEKKVSKKLTEVKIILGPESSSEPNKAEEHKAAQYVDRNKFNTAGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKQSSSKTRGSTISSYFQPAKRD >ORUFI01G34670.4 pep chromosome:OR_W1943:1:29232977:29238868:1 gene:ORUFI01G34670 transcript:ORUFI01G34670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNVYRSAGSEVVTILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELLELIFEEATKSNILGLPSDVSNREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAIQDFLVSLPIKKMKQLGGKLGSSLQDDLGVNTVGDLLSFTEDKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNASVKTWLDRLCEELSERIQSDLNQNKRIAQTLTLYARACKKNKSDSIKKFPSKSCPLRYGTVKIQEDAMKLFESGLHDFLGSQNTKWSITSLSVSASKIFDIPIGTSSILRYIKGPNSTVSPANLDCSSLPEDPSLGNKLYIAPNHEEHCEPSLSEKEDYGNNSNLAKQCQIKEEKKVSKKLTEVKIILGPESSSEPNKAEEHKAAQYVDRNKFNTAGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKQSSSKTRGSTISSYFQPAKRSG >ORUFI01G34670.5 pep chromosome:OR_W1943:1:29232977:29241776:1 gene:ORUFI01G34670 transcript:ORUFI01G34670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNVYRSAGSEVVTILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELLELIFEEATKSNILGLPSVIFVLHDLIVVSLQFDMNVTNRASYVQDVSNREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAIQDFLVSLPIKKMKQLGGKLGSSLQDDLGVNTVGDLLSFTEDKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNASVKTWLDRLCEELSERIQSDLNQNKRIAQTLTLYARACKKNKSDSIKKFPSKSCPLRYGTVKIQEDAMKLFESGLHDFLGSQNTKWSITSLSVSASKIFDIPIGTSSILRYIKGPNSTVSPANLDCSSLPEDPSLGNKLYIAPNHEEHCEPSLSEKEDYGNNSPESSSEPNKAEEHKAAQYVDRNKFNTAGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKQSSSKTRGSTISSYFQPAKRSG >ORUFI01G34680.1 pep chromosome:OR_W1943:1:29237560:29242749:-1 gene:ORUFI01G34680 transcript:ORUFI01G34680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPRVSAVIFDLDGTLLDTERATRDVLNEFLAAYGKVPDKEKEERRLGQMYRESTTGIIADYGLPLTVEEYAVAIYPLYLKRWQKAKPLPGVERLVKHLHRNGVPLALASNSVRRNIDHKLLKLKDWKDCFSVILGGDQVPRGKPSPDIFLEAAKGLGVNPSSCLVIEDSLIGVQGARASGAKVVAVPSLQSQRQHYSIADSILYSLLDFHPELWGLPPFEDRCFTNRTIILECPDRPCNFKESSYGDCTYEYVPDQISGIFFGWAKPEAGGVSRSVISIGWDFSLRTVERVMITTEEPLKLQLVGYIRKLQSTENISQALAITDEDQAIARDALDLPFFHYGWIDIFNVEHPCATAGRVTPSSVQGFKTR >ORUFI01G34680.2 pep chromosome:OR_W1943:1:29237560:29242749:-1 gene:ORUFI01G34680 transcript:ORUFI01G34680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPRVSAVIFDLDGTLLDTERATRDVLNEFLAAYGKVPDKEKEERRLGQMYRESTTGIIADYGLPLTVEEYAVAIYPLYLKRWQKAKPLPGVERLVKHLHRNGVPLALASNSVRRNIDHKLLKLKDWKDCFSVILGGDQVPRGKPSPDIFLEAAKGLGVNPSSCLVIEDSLIGVQGARASGAKVVAVPSLQSQRQHYSIADSILYSLLDFHPELWGLPPFEDRCFTNRTIILECPDRPCNFKESSYGDCTYEYVPDQISGIFFGWAKPEAGGVSRSVISIGWDFSLRTVERVMITTEEPLKLQLVGYIRKLQSTENISQALAITDEDQAIARDALDLPVQGFKTR >ORUFI01G34680.3 pep chromosome:OR_W1943:1:29237560:29242749:-1 gene:ORUFI01G34680 transcript:ORUFI01G34680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPRVSAVIFDLDGTLLDTERATRDVLNEFLAAYGKVPDKEKEERRLGQMYRESTTGIIADYGLPLTVEEYAVAIYPLYLKRWQKAKPLPGVERLVKHLHRNGVPLALASNSVRRNIDHKLLKLKDWKDCFSVILGGDQVPRGKPSPDIFLEAAKGLGVNPSSCLVIEDSLIGVQGARASGAKVVAVPSLQSQRQHYSIADSILYSLLDFHPELWGLPPFEDRCFTNRTIILECPDRPCNFKESSYGDCTYEYVPDQISGIFFGWAKPEAGGVSRSVISIGWDFSLRTVERVMENISQALAITDEDQAIARDALDLPFFHYGWIDIFNVEHPCATAGRVTPSSVQGFKTR >ORUFI01G34680.4 pep chromosome:OR_W1943:1:29237560:29242749:-1 gene:ORUFI01G34680 transcript:ORUFI01G34680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPRVSAVIFDLDGTLLDTERATRDVLNEFLAAYGKVPDKEKEERRLGQMYRESTTGIIADYGLPLTVEEYAVAIYPLYLKRWQKAKPLPGVERLVKHLHRNGVPLALASNSVRRNIDHKLLKLKDWKDCFSVILGGDQVPRGKPSPDIFLEAAKGLGVNPSSCLVIEDSLIGVQGARASGAKVVAVPSLQSQRQHYSIADSILYSLLDFHPELWGLPPFEDRCFTNRTIILECPDRPCNFKESSYGDCTYEYVPDQISGIFFGWAKPEAGGVSRSVISIGWDFSLRTVERVMENISQALAITDEDQAIARDALDLPVQGFKTR >ORUFI01G34690.1 pep chromosome:OR_W1943:1:29243642:29244317:-1 gene:ORUFI01G34690 transcript:ORUFI01G34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEMSVHMDCAGCEKKIRKAIQRMEGVDDVEIDMERQKVTVNGNVEQKKVLKAVRRTGRRAVLWPHPYTGGGVVAGGTVHVLAQQQNYHQYHPGAGVQAHAAHAARPTSSYNYYKHGYDDSRLYGGYYHHGANSAVVGTRATDYFSDENPQSCSVM >ORUFI01G34700.1 pep chromosome:OR_W1943:1:29249792:29250187:-1 gene:ORUFI01G34700 transcript:ORUFI01G34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSIFCYSFTMTSKSMASLEQEATDSSESGARRGGDMLATVQESMQSFLGAVRDKITGPSSGGASAKAKGFAADKTEGMLCALAGLATARKGERSESTWQQGDDARRCGAEKAEEARGQSAQHEPSSKEK >ORUFI01G34710.1 pep chromosome:OR_W1943:1:29254272:29254888:-1 gene:ORUFI01G34710 transcript:ORUFI01G34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGGFKLFGKVIMQCGVSEGTQDKAQGFVVAREKVEPEEEEEEQRVPAAATSGQRASIKREAADRDEEQRQGGGDAAGQPTQRRLQDSAEARAAAAAPLPCPRCRSRDTKFCYFNNYNVNQPRHFCKACHRYWTAGGALRNVPVGAGRRKNRPLGPLAVAHHHHHHRAAAGFVLGFPNPSSPTSPSPVYTDRWPVTPDRPF >ORUFI01G34720.1 pep chromosome:OR_W1943:1:29262797:29268172:-1 gene:ORUFI01G34720 transcript:ORUFI01G34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAADKGTSIDAQLRILAPKKLSEDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYELAAEYESKIAYRRRIKLKKGDFADENSATTESNFEETLKRLVGELKKSPHEVFDALKNQTIDLVLTAHPTQSVRRSLLQKHGRIRSCLTKLYAKDITPDEKQELDEALQREIQAAFRTDEIRRAPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYAQIEDLMFELSMWRCSDELRVKADQLHRCAKKNTTKHYIEFWKQVPPSEPYRVILSNVRDKLYNTRERARHLLASGFSEIPDEATFTDVEQFLEPLELCYRSLCACGDNSIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITQYLGIGSYREWSEEKRQEWLLSELNGKRPLFGPDLPQTDEIADALDTFHVIAELPYDSFGAYVISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSVDWYRNRIDGKQEVMIGYSDSGKDAGRFSAAWELYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTSATLEHGMHPPISPKPEWRALMDEMAAVATKEYRSIVFQEARFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLQKDIRNLQILQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWPFGARLRANYEETKQLLLQVAGHKDLLEGDPYLRQRLRIRDSYITALNVCQACTLKRIRDPGFHVSPRAHLSKDIMDSGKPAAELVKLNTTSEYGPGLEDTLILTMKGIAAGMQNTG >ORUFI01G34720.2 pep chromosome:OR_W1943:1:29262797:29268172:-1 gene:ORUFI01G34720 transcript:ORUFI01G34720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAADKGTSIDAQLRILAPKKLSEDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYELAAEYESKVDPKQLDAIGNVLTRLDPGDSIVMTKSFSHMLILANLAEEVQIAYRRRIKLKKGDFADENSATTESNFEETLKRLVGELKKSPHEVFDALKNQTIDLVLTAHPTQSVRRSLLQKHGRIRSCLTKLYAKDITPDEKQELDEALQREIQAAFRTDEIRRAPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYAQIEDLMFELSMWRCSDELRVKADQLHRCAKKNTTKHYIEFWKQVPPSEPYRVILSNVRDKLYNTRERARHLLASGFSEIPDEATFTDVEQFLEPLELCYRSLCACGDNSIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITQYLGIGSYREWSEEKRQEWLLSELNGKRPLFGPDLPQTDEIADALDTFHVIAELPYDSFGAYVISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSVDWYRNRIDGKQEVMIGYSDSGKDAGRFSAAWELYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTSATLEHGMHPPISPKPEWRALMDEMAAVATKEYRSIVFQEARFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLQKDIRNLQILQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWPFGARLRANYEETKQLLLQVAGHKDLLEGDPYLRQRLRIRDSYITALNVCQACTLKRIRDPGFHVSPRAHLSKDIMDSGKPAAELVKLNTTSEYGPGLEDTLILTMKGIAAGMQNTG >ORUFI01G34730.1 pep chromosome:OR_W1943:1:29274811:29280144:-1 gene:ORUFI01G34730 transcript:ORUFI01G34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDTSSSDVSASHVGRARQRRRPTEATADGNRTNGPALLVNDQNKYKSMLIRTYSTVWMIGGFVLIVYMGHLYIWAMVVVIQIFMAKELFNLLRKSSEEKQLPGFRLLNWHFFFTAMLFTYGRFLSRQLVNTVTSDHLLYKVVSGLIKYQMFICYFLYIAGFVWFILTLKKKTYKYQFKQYAWTHMILLTVFAQSSFTVANIFEGMFWFLLPASLIVINDIAAYLFGFFLGRTPLIKLSPKKTWEGFIGASVTTIISAFVLANVMGRFQWLACPRKVRYHFLWNVIDLSTGWLRCDPGPMFKPEHYSLGEWVPKGFPWKEVVLLPVQWHALALGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFISPQNYSVELILEQILRNLTFEEQKFLYQQLGEIYRERQLMQS >ORUFI01G34740.1 pep chromosome:OR_W1943:1:29283453:29285476:1 gene:ORUFI01G34740 transcript:ORUFI01G34740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDRRGPSPFRTRLAPTPACIPPLPFPHARNPITPRTPSLPSSLSHSPSSADAAPSRHRRSAIATPPRHPRRRLAPHANRRRPAPPPASPILHRALSWPASPIYPDRRRRPHHPSTPCLHVNLHRLPGLETVAVIGKTNGANLFAWDDRRGLLAVGRWKRLTIFRLDNENSAPHCLFFLGCDLSVYGYGLTVTSFGFRWKLRWPPLSHDEARSLFAFVAPYVNEAKETKSSSSLPQKVLNVERYILRNIQ >ORUFI01G34750.1 pep chromosome:OR_W1943:1:29293901:29294488:-1 gene:ORUFI01G34750 transcript:ORUFI01G34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIRCCIACILPCGALDVVRIVHSNGRVEEISRPVLAGEIMKAYPKHVLRKPPSTCPADGGGGGIVVQKPVILPPNAELQKGKIYFLMPVMAPPEKEKEKEKAKAAQAQAPAAARRRRRRKETADEAAGGRAASNAAAAPPTRVGSEGEKERLLANERYLSEIMKEKASTARDRRRGRVAVWRPHLESITEDDL >ORUFI01G34760.1 pep chromosome:OR_W1943:1:29294598:29296460:1 gene:ORUFI01G34760 transcript:ORUFI01G34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQACRARTRRRRRRRRTQPSDEFVRYTCERASERAHLALGLFAAGMMTSFGRYPYKKSDLYLI >ORUFI01G34770.1 pep chromosome:OR_W1943:1:29301759:29302494:1 gene:ORUFI01G34770 transcript:ORUFI01G34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQTHANKGMQADKAARCKQGSIILEVGPYASGHIMCHPFVLSMSNRFGHLWILGSSTIAKAIDPDVLNSNNGNRGFLCLNQANQ >ORUFI01G34780.1 pep chromosome:OR_W1943:1:29309444:29309770:-1 gene:ORUFI01G34780 transcript:ORUFI01G34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSWSADAARTPRARWCAWLDAKPARSVVYVCFGSLTWFPHEQVAKARHGAHGLWRELHVGRRGQERVGSPSPRQTCSLAGLRCRRSAKSGTRRSTATVKTPRARS >ORUFI01G34790.1 pep chromosome:OR_W1943:1:29316004:29316249:1 gene:ORUFI01G34790 transcript:ORUFI01G34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGDKSGRWPTASLKRQCPRVDVDGGVSAMSKRSEGAAKLLLRLANPTVAIATLGNDGRGDAARLERWPSAKREQAQEGS >ORUFI01G34800.1 pep chromosome:OR_W1943:1:29319487:29321147:-1 gene:ORUFI01G34800 transcript:ORUFI01G34800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVAETDEVGGDSCSGQGRRQRHTEATSMVDKDEGSGGRSGQRRQRRRTRTCATMAARTWTLRRMQRRVARVHRLLRAGPRPRAMRTCLALDAHGDIFYDSGDELPRQCEEEKVLTWTVRRIRKMAVMALFLTYNGLTIRWSLQSRTPFIDSME >ORUFI01G34810.1 pep chromosome:OR_W1943:1:29322678:29325616:1 gene:ORUFI01G34810 transcript:ORUFI01G34810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADRLMAEGGKRIDLGAPLRSVRHADALPYYKADLNSGPVRHPGAVPFVWEQRPGQPKSVRTRRAPPSPMTASHPQPLEHGVEDEIDGSPYHDALGEHYVGILHGVDASPACSRTGAPAPAPARDEKRAQVAEAAVLQAKKEVTEKQVVSVAAVLRKGDDDDDDEERFSDALDTLSRTESFTVNCSVSGLSGMPEPTSRAAAGAEAGVRGIMMDRFLPAAQAVAIGSPQYTFRKAGAASATSNSGRELARAAGSNASGSSGDDPGRRTPVQLPYQHLPPNYLSCSYPRREEQEDEDDDDDYDVHSTRGFASKGCGLLPSLCVKSSLLLLNPMPAMKRGKPRGNGRVREFASKGRGRGAPSPLARSSQNKHLGCASNGQSWEDVYKHKLEQKYVRPGEDGRSKLTSESNQLTFWSDSQAGNGSSPFHHSAGGGMSPYYRDVVLSSSSKADESFGTGVKEDKMSSSNGSSSLGRDHDRGSLLGSDRSSLKGSSSISSGLDRPVHVESMDHRGDIDSETSHSVLLLDSRTSLDAGGCGSQLGEQIVGKNPIGKGKDNDPLTERVSEVTECTLLAPSEKLRSVNLDDGKTSGHLEDSSVSKRDMPLQSLLPLPVPRSPSESWLSRTLPSVTSKPPVPSFLGIQLQSKKQTPWASIQPKENNVKPPRPRQIRFADVVERPNSLDAEI >ORUFI01G34820.1 pep chromosome:OR_W1943:1:29330805:29333152:1 gene:ORUFI01G34820 transcript:ORUFI01G34820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEPHRVDASLAPNPSRRQPLLRPGKSTAFKREERRKRKERKQQERLALELERWEPLSAPPRPAAAAASPSHAALPDTPWVCDPPPEPEPSAAWGCGWGPPAAEPPREPPVPAAVSPQAAAVEACRAFFGEHVDHDDGDDEDEEEEEGNVARFFQELLEKDAGLRGFYEAEREKGRFLCLVCEGIGARAGKRFAGCAALVQHAGSVARAGRRMAHRAFADAVGRLLGWSAGRTTALQTDSDNAGMCDEDIHCEDVPQSAEMEMCPHQ >ORUFI01G34830.1 pep chromosome:OR_W1943:1:29332615:29334857:-1 gene:ORUFI01G34830 transcript:ORUFI01G34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWRRSSSSSSAPSSRPARRPARTNARVSPDVSSELSPLAGEEGAGEERWSALVPDLLADILRCVEAGSERWPPRRDVVACASVCRRWRDVAVAVVQPPLESGKITFPSSLKQPGPRDAPMQCFIKRNKKNSTFFLYLGLTQAELTDDEKFLLAARRCRRGLHKEYAITINSDGLFHGSQSCVGNLKSNFTGTKFTIRDWQPPYEGAKAFSSRSGRWFGNKHRCPLVSTGDVEVGEVSYKYSLLRPRGPRRMSCSVQCPVLKGTAVDPQDGKRLSNSIPSSLVLNSKVPSWHEHLQCWCLNFHGRVMVASVKNFQLIAPVEPGEPSDKTVVLQFGKIDDDVFTMDYRQPLSAFQAFAICLSNFGTKLA >ORUFI01G34840.1 pep chromosome:OR_W1943:1:29340873:29341757:1 gene:ORUFI01G34840 transcript:ORUFI01G34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARHVACYQRWIAGQEAGLGELEASSANAAAGRATDGELRAVVERCMRGYAEYVSTRRALAREDGAALFAPPWCTSFENSVLWIGGCRPSLTIRLLYSLSGEGLEEHIEEFISGCGALGAARGMGLLGITARQLELVNDLHRRTLRDEDALSDRLATLQEDVADRPLLPIVRERATAAAAALGAGASCDGLATRTRLVVATPEGAVDHEVDAAIGRYKAGLGRLLEEADELRMSTAQTLVTEILTPRQAVETLVAAKQLHLTVRSWSRRGEAAVTQPARSPVTLPPAPSSNP >ORUFI01G34850.1 pep chromosome:OR_W1943:1:29345508:29346985:-1 gene:ORUFI01G34850 transcript:ORUFI01G34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIFQIEEIFMVEVNSASASRTTRGRGRGGAGVGAAAAPDGGGGGGGGDGAAGSGGRGRVGAGVAPGGVGAASGGGGGMGRGGRGGRLAQ >ORUFI01G34860.1 pep chromosome:OR_W1943:1:29352865:29354298:-1 gene:ORUFI01G34860 transcript:ORUFI01G34860.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTSQDSQVIMGRYKLGRLLGRGTFAKVYKAYKLATGEAVAIKVFDKEAVQRSGTVEQVKREVDVMRRVHHRHVIRLHEVMATRSRIYFVMEYASGGELFTRLSRSPRFPEPVARRYFQQLITAVEFCHSRGVYHRDLKPENLLLDARGDLKVTDFGLSALDGGLRGDGLLHTTCGTPAYVAPEVLLKRGYDGAKADIWSCGVILFVLLAGYLPFNETNLVILYRNITESNYRCPPWFSVEARKLLARLLDPNPKTRITISKIMDRPWFQQATCPLGDMSLVASAPSVLLARKEASQQHDDEEDDGFAREKKKRSNVIMSSPVIDVRPSSMNAFDIISRSRGLDLSKMFDAEERRSEARFSTRETTTAIVSKLEEIAEAGRFSFKLKEKGRVELEGSQDGRKGALAIEAEIFKVAPEVHVVEVRKTGGDSPDFRDFYKQELKPSLGDMVWAWQGGDSPPPPPLVPAAGGGAVPQRS >ORUFI01G34870.1 pep chromosome:OR_W1943:1:29359055:29362734:-1 gene:ORUFI01G34870 transcript:ORUFI01G34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPARREPTPQAVRASPMPSAAAALVRRGGGGSGGTVLGKYELGRVLGQGSFAKVYQARHLETDECVAIKVLDKEKAVKGGMVHLVKREINVLRRVRHPNIVQLFEVMASKTKIYFVMEYVRGGELFSRVSKGRLREDTARRYFQQLVSAVDFCHARGVFHRDLKPENLLVDENGDLKVSDFGLAAGPDQFDPDGLLHTFCGTPAYVAPEVLRRRGYDGAKADIWSCGVILFALMAGYLPFHDHNIMVLYRKIYNGEFRCPRWFSKDFTRLITRLLDANPKTRITVPEIIESDWFKKGYKPVKFYIEDDKLYNLSDDVLNLEPADPVPPPLGLAPPVPPPPQGDDPDGSGSESDSSVVSCPATLSTGESQRVRGSLPRPASLNAFDIISFSKGFNLSGLFEERGNEIRFVSGEPMSDIVKKLEEIAKVKSFTVRRKDWRVSIEGTREGVKGPLTIGAEIFELTPSLVVVEVKRKAGDNEEYEDFCNMELKPGMQHLVHQMLPAPNGTPVIEKVERSSSLQAPLTLKLIGTEGSMS >ORUFI01G34880.1 pep chromosome:OR_W1943:1:29375232:29376169:1 gene:ORUFI01G34880 transcript:ORUFI01G34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMRGAASARSTRMVMWFRPCGLLVRAMAWRWRSEWWRGGPSPRRRVIGICETRCRQWCPASSGNLDDGVHGQAAEAASTRRLIHSGASKLSSMSMLTTRWSVMRLHRTRRSGVREDCIHWDLHCD >ORUFI01G34890.1 pep chromosome:OR_W1943:1:29395988:29401243:1 gene:ORUFI01G34890 transcript:ORUFI01G34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTKAVAALFSMYNDDEEEDDGDEPRPPSPPAAATSSSPSPQTEGEGSNPNPNAAASSAPPSPPLAEDPAGRRTLASPYPSPGLPPLPSRRSSSPFAISSPSPLRPAAAMPPADLPRPPRRGPLAIVDYAHDETAMSPEQEDGEINGIDRSGLDAQVAEGNYEEKTLSGIVHILTPNIRSEMSQQNDAPEQNQVGAAAAMSVTGAEMEDVQVEEAADNMQNDDPLSRFLPPPVTAKCSAALQQKINKFLAYKRAGKSFNSEVRNRKDYRNPDFLQHAVRYQEIDQIGTCFSKDVFDPYGYDRSDYYDEIEADMKREVERKELEKKKSGKVDFITGGVQAPISAAIPKIAVLIASFTAAVSAAAAAGAPLVSISGEGVQKEARPNKKSKWDKVDGDIKNPVAPSGLDNLSAAALLTSANVGAGYAAFAQQKRKEAEEKKTSDHKSDRRS >ORUFI01G34900.1 pep chromosome:OR_W1943:1:29402588:29407217:1 gene:ORUFI01G34900 transcript:ORUFI01G34900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEEISHPPMEQLQGFEYCIDSNPPWGEAIILGFQHYILALGTAVMIPAVLVPMMGGSDGDRVRVVQTLLFVTGINTLLQSLFGTRLPTVIGGSYAFVVPIMAIIQDSSLAAIPDDHERFLQTMRAIQGALIVSSSIQIILGYSQLWGIFSRFFSPLGMAPVVALLGFGLFERGFPVVGRCVEVGLPMLILFVVLSQYLKNVQIRDIPILERFSLFICIALVWAYAQILTAGGAYKHSPEVTQINCRTDRANLISSAPWIKIPFPLQWGAPTFSAGQSFGMVSAVLVSLVESTASYKAAARLASATPPPAHILSRGIGWQGIGILLDGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAVYCVLFGLVAAVGLSFLQFTNMNSMRNLFIVGVSIFLGLSVPEYFFRYSMAAQRGPAHTKAGWFNDYINTIFSSPPTVGLIVAVFLDNTLEVKNAAKDRGMPWWVPFRSFKGDARSEEFYSLPFNLNRFFPPS >ORUFI01G34910.1 pep chromosome:OR_W1943:1:29408696:29410396:1 gene:ORUFI01G34910 transcript:ORUFI01G34910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAMVEDTDMPVKMQLQAMSAAYKALDRFDVLDCRSIAAHIKKEFDMIHGPGWQCVVGASFGCYFTHSKGSFIYFKLGALRFLVFKGAAS >ORUFI01G34920.1 pep chromosome:OR_W1943:1:29412696:29415770:1 gene:ORUFI01G34920 transcript:ORUFI01G34920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWQARHFLIIKHVPLPNYAPPLPRGFFLPRQCGRSIEPPGSWITGTNNNHHHLGINHFNPPLFVPSRGSLCVGVLQRQSWIIIVCFCTRRSRPQKAASFTAGHGGVDRLMAASGGGSLLERRSSVRRSQSMVSEEGRGTPADEDLGGGGTLKIGAVLDKDSAAPKSRLAKDTGEHGGGGPSEMELMKEKFAKLLLGEDMSGSGKGVPSALAVSNAITNLAASVFGEQRKLEPMAPDRKGRWKKEVGWLLSVADHIVEFVAKKQVLDNGVEMEVMGTQQRRDLQANIPALRKIDTMLLDYLDNFKDRNEFWYVKRDSCSDSDEQRSDEKWWIPIVKVPPGGLSPASRGWLQHQKELVNQVLKAAMAINANCLMEMAIPESYLESLPKNGRASLGDALYRIITDVEFDPDVFLSTVDLTSEHKILDLKDRIEASVIIWNRKVHNKDGKSAWGSAVSQEKREQFEERAQTLLLIIKHRYPGIPQSTLDIAKIQENRDVGFAILESYSRVLESLAFNVMSRIEDVLNADDHAREKAKKEAPPAPAMANDAAEHHHQQAGEVDAPCKMTGSPNGRTLLDFMDDWNGDADRPSPTAPEPAAQEDGRLMKLPNIMTNLKQTYMDNLFGAHRSPPGRH >ORUFI01G34930.1 pep chromosome:OR_W1943:1:29418469:29421477:-1 gene:ORUFI01G34930 transcript:ORUFI01G34930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQQESSDAGTLFSAPSRNLSSSSSAFVSANQSPFFTPRCLSARVSDHAHPENNSSLSGTVLKISDILSSDTLLKREQLPSATVGLLPSDASPPPSICTSSNFDTPAIVYNNPSFISTFSDPCQGSSSATSTGVRSTRKEKHKRQWGLYRKSSSSQPTTSATSVNRLRSFDVYIGFHGRKASLLRFTNWLRAELEIHGISCFASDRSRCRSSHSHDTIERIMNASTYGVVILTRKSFGNPYTIEELRNFFGKKNLIPIFFDLGAADCLARDIIEKRGELWERHGGELWMLYGGMEQEWRESVDALSRVSDVQLEANDGNWRHCILQTIIVLATKLGRRSVVDRVNRWRGRVEKEEFPFPRNADFVGRKKELSELELILFGDVSGDGEREYFEIKTKQRRKGLVIGRSVNNYEQVNTDDGKGKEPVLWKETKENIEMQRLGSPPRHGRPSRTKNDGRYGRKRRCRKILYGKGIACISGESGIGKTDLVLEYAYRFSQRYKMVLWVRGESRYIRQNYLALRTFLEVDLSVDSHLHEKGSDRCFEEQEEEAIAKIRQELMRDIPFLVIIDNLESEKDWWDKRVITDLLPHFGGETHFIITTRLPRVMNLEPMKLSYLSGAEAMSLMKGGVKDYPLVEIDALKAIEEKLGRLTLGLGIVGSILSELPITPSRLLDTLSRTLPIRDCSWNERDAISLKNHEILVRLLDVCLSIFDHADGPRSLATRMVQVCGWFAPSAVPIHMLALAAHKVPKKHRRGPRWRKWWRTLTCGLATSRMKRSEAEAAAMLMRFGIARCSTKPEYVQFHDLIRLYARKRGGTRTAQAVVQSIYLQGSIKHSSEHLWAACFMFFGFGSDPFLVEPRPSELIFFVKQIVVPLAINTFITYSRCNAALELLRLCTEALERAADSMLSHAGKWRETPLSCFRPTQSEAQYTYLWQELALLKASVLETRAKLMLRGGQYDTGDDLIRKAIFILTSICGEHHPNTVSARETLSKLTRLLTNVQLS >ORUFI01G34940.1 pep chromosome:OR_W1943:1:29425703:29429978:-1 gene:ORUFI01G34940 transcript:ORUFI01G34940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSANVRGAQPSADRRLSTLVRHLLPSSARTATTTSTSSSAADADSSLQAFPTMASSSVFAGLAQAPEDPILGVTVAYNKDPSPVKVNLGVGAYRTEEGKPLVLNVVRRAEQMLINNPSRVKEYLPITGLADFNKLSAKLIFGADSPAIQENRVATVQCLSGTGSLRVGGEFLARHYHERTICIPQPTWGNHPKVFTLAGLTVRSYRYYDPATRGLDFQGLLEDLGSAPSGAIVLLHACAHNPTGVDPTLDQWEQIRQLMRSKALLPFFDSAYQGFASGSLDQDAQSVRMFVADGGELLMAQSYAKNMGLYGERVGALSIVCGSADVAVRVESQLKLVIRPMYSNPPIHGASIVATILKDSAMFNEWTVELKGMADRIISMRQQLFDALKTRETPGDWSHIIKQIGMFTFTGLNSDQVAFMRQEYHIYMTSDGRISMAGLSGRTIPHLADAIHAAVTKLK >ORUFI01G34950.1 pep chromosome:OR_W1943:1:29432908:29436318:-1 gene:ORUFI01G34950 transcript:ORUFI01G34950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNRPRPRTKDFFAAPALSLTLAGVFGRKNGPAASGGDGVEEGDEEVQAAGEAAVEISSENAGPGCSQSQSGGGSGEDGGHDDDDGEGSNKKRRKNYHRHTAEQIRIMEALFKESPHPDERQRQQVSKQLGLSARQVKFWFQNRRTQIKAVQERHENSLLKSELEKLQDEHRAMRELAKKPSRCLNCGVVATSSDAAAAATAADTREQRLRLENAKLKAEVCMPPPRSRARPFRCATLQDTDSGELAMLNLFQIERLRGTPGKSAADGVASPPCSASAGAMQTNSRSPPLHDHDGGFLRHDDDKPRILELATRALDELVGMCSSGEPVWVRGVETGRDILNYDEYVRLFRRDHGGSGDQMAGWTVEASRECGLVYLDTMQLVHTFMDVDKWKDLFPTMISKAATLEMISNREDDGRDGVLQLMYAELQTLTPMVPTRELYFARYCKKLAAERWAIVDVSFDESETGVHASSAVRCWKNPSGCLIEEQNNGRCKMTWVEHTRCRRCTVAPLYRAVTASGVAFGARRWVAALQLQCERMVFAVATNVPTRDSTGVSTLAGRRSVLKLAHRMTSSLCRTTGGSRDMAWRRAPKGGSGGGGDDDIWLTSRENAGDDPGEPQGLIACAAASTWLPVNPTALLDLLRDESRRPEWDVMLPGKSVQSRVNLAKGKDRTNCVTAYAARPEEEEERGGKWVLQDVCTNPCESTIAYAAIDAAALQPVIAGHDSSGVHLLPCGFISVMPDGLESKPAVITASRRGGEASGAGSLVTVAFQVPASPSAAAATLSPDSVEAVTVLVSSTLRNIRKALGCDSCEEEF >ORUFI01G34960.1 pep chromosome:OR_W1943:1:29436835:29439659:-1 gene:ORUFI01G34960 transcript:ORUFI01G34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEAGVDGVAGRRQQEEASGAAPFGRSSSLIGAAGFDGALRELKDLRSQLHQTADCCEKAFLDTEKKKLILESTKGYICDAIVAVIDHLGTVSSKLEQQLQEKIEITQTEKKLNFLKQRLLTCEQYAITLKLLTVRGDNDAIQYHRRYLSQSTGGTKEENGANSRKDDVKFVEYNSPTIPGAILTFKPYDIQSTIGRERSVATTDSESPTTDAKSSFSFRAEDVPIVLAEHRKKANHGSNILSFLRKVILITV >ORUFI01G34960.2 pep chromosome:OR_W1943:1:29437354:29439659:-1 gene:ORUFI01G34960 transcript:ORUFI01G34960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEAGVDGVAGRRQQEEASGAAPFGRSSSLIGAAGFDGALRELKDLRSQLHQTADCCEKAFLDTEKKKLILESTKGYICDAIVAVIDHLGTVSSKLEQQLQEKIEITQTEKKLNFLKQRLLTCEQYAITLKLLTVRGDNDAIQYHRRYLSQSTGGTKEENGANSRKDDVKFVEYNSPTIPGAILTFKPYDIQSTIGRERSVATTDSESPTTDAKSSFSFRAEDVPIVLAEHRKKANHGSNILSFLRKGRRHA >ORUFI01G34970.1 pep chromosome:OR_W1943:1:29440861:29443218:1 gene:ORUFI01G34970 transcript:ORUFI01G34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAVARPAALVPRGGSESITGNLPMLPAVPSTRFVSGRMRSRNVVAAKAAQDSSEPSSGSVVKYVQSSFSTPEDLFALAGIGFAGIAALWASINLVEVIDKLPVLPLLFELIGILVAWLFIYQNLLFKPDREKFLNNIKSSVSRVLGQ >ORUFI01G34980.1 pep chromosome:OR_W1943:1:29443419:29448613:-1 gene:ORUFI01G34980 transcript:ORUFI01G34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEQQGGGGPPPPPRAPVPAASSQPPIKKLVRQLDFTSAALAGNPAMAAAAAAVSRALQPRAVPVGFPQPQQLRAHLPVGVPQQLHPRGLPVMRSHHVVHVPLPRPAVAVAVPVPQVRPAQPQPVPRPPVAVPLKPESPKPPRARLYEGKDGTPTKKKCCNCKHSKCLKLYCECFASGVYCDGCNCSNCFNNVKNETARREAIDATLERNPDAFRPKIGSSPHANRNNMEAAGDLPLVGKHNKGCHCKKSGCLKKYSNAAVNGAIGGAAFSSPSTSRKRKHIDPSLDHSAKENGAHRTNHVPQKNGMPSDGPLPINQPVHPPTLGPFKVTYRPLLADIVQPGDVKELCKLLVVVSGNAAKAYAGKKSQEDKVVEKEDEMGGKREGEIGGSLASTNHDREENNKDPNNQKASADDPSTGGTRAGKASLEESKHDCNDDQKSNRPMSPGTLALMCDEQDTMFMTSQNVVPQQPAPVNQNQSELYAEQERCVLTEFRDCLHKLVTFGRMKEEKFSMAIKSEVPAHPMQANGVSRIPYSKEVDVPVVKTFLQSSSRHPAAGNSATGHLDKKPKHENT >ORUFI01G34980.2 pep chromosome:OR_W1943:1:29443419:29448613:-1 gene:ORUFI01G34980 transcript:ORUFI01G34980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEQQGGGGPPPPPRAPVPAASSQPPIKKLVRQLDFTSAALAGNPAMAAAAAAVSRALQPRAVPVGFPQPQQLRAHLPVGVPQQLHPRGLPVMRSHHVVHVPLPRPAVAVAVPVPQVRPAQPQPVPRPPVAVPLKPESPKPPRARLYEGKDGTPTKKKCCNCKHSKCLKLYCECFASGVYCDGCNCSNCFNNVKNETARREAIDATLERNPDAFRPKIGSSPHANRNNMEAAGDLPLVGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERKALFQGDHKNSIHMQQAANAAVNGAIGGAAFSSPSTSRKRKHIDPSLDHSAKENGAHRTNHVPQKNGMPSDGPLPINQPVHPPTLGPFKVTYRPLLADIVQPGDVKELCKLLVVVSGNAAKAYAGMTTFIHWELSHWETGKKSQEDKVVEKEDEMGGKREGEIGGSLASTNHDREENNKDPNNQKASADDPSTGGTRAGKASLEESKHDCNDDQKSNRPMSPGTLALMCDEQDTMFMTSQNVVPQQPAPVNQNQSELYAEQERCVLTEFRDCLHKLVTFGRMKEEKFSMAIKSEVPAHPMQANGVSRIPYSKEVDVPVVKTFLQSSSRHPAAGNSATGHLDKKPKHENT >ORUFI01G34980.3 pep chromosome:OR_W1943:1:29443419:29448613:-1 gene:ORUFI01G34980 transcript:ORUFI01G34980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEQQGGGGPPPPPRAPVPAASSQPPIKKLVRQLDFTSAALAGNPAMAAAAAAVSRALQPRAVPVGFPQPQQLRAHLPVGVPQQLHPRGLPVMRSHHVVHVPLPRPAVAVAVPVPQVRPAQPQPVPRPPVAVPLKPESPKPPRARLYEGKDGTPTKKKCCNCKHSKCLKLYCECFASGVYCDGCNCSNCFNNVKNETARREAIDATLERNPDAFRPKIGSSPHANRNNMEAAGDLPLVGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERKALFQGDHKNSIHMQQAANAAVNGAIGGAAFSSPSTSRKRKHIDPSLDHSAKENGAHRTNHVPQKNGMPSDGPLPINQPVHPPTLGPFKVTYRPLLADIVQPGDVKELCKLLVVVSGNAAKAYAGKKSQEDKVVEKEDEMGGKREGEIGGSLASTNHDREENNKDPNNQKASADDPSTGGTRAGKASLEESKHDCNDDQKSNRPMSPGTLALMCDEQDTMFMTSQNVVPQQPAPVNQNQSELYAEQERCVLTEFRDCLHKLVTFGRMKEEKFSMAIKSEVPAHPMQANGVSRIPYSKEVDVPVVKTFLQSSSRHPAAGNSATGHLDKKPKHENT >ORUFI01G34990.1 pep chromosome:OR_W1943:1:29453012:29462336:1 gene:ORUFI01G34990 transcript:ORUFI01G34990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQAWVRGAVARLMTPFNCPAAHASSSPYHLLLLPCSSYSLLSRLRRHFASSSSSSSSRSSSPAYNTTQNTTSTFMEVVQEVLKHGSTQGVRAAIRSDQKSYNLVQLIASALDVYNILRNKNMTQNGSTGSSVKGINGTGFLGGARIGIVAKPSPEFVAGIFGTWLSGGVAVPLALSYPEAELLHVMNDSDISLILSTKEHQDIMENISTKCSAHCSLLPSVTSIPVNIDCQEPSSTEVTSSISSLIAEIDSSKEIRGDDPALILYTSGTTGKPKGVVHTHKGIVSQVEFMPKFSVRGIWQRWRESYPNNGSKNDEAITVFTGVRFMLNYYLSLNCSRHYGYSILLDYQVPTMYTRLLQGYDGMDPEQQSASSFAAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGARKEGTVGKPLPRVEAKIIMEDGAETTSEVGELCIRSPSLFKEYWRKPEVTAESFIDGGFFKTGDTVTVDDEGYFIILGRTNADIMKVGGYKLSALEIESVLLQHEIVLECAVLGLPDEAYGEIICAIIVPKEDSKKRAELDSKPALTLEALTSWSKDKLAPYKVNKKELKKLLGA >ORUFI01G34990.2 pep chromosome:OR_W1943:1:29453012:29462336:1 gene:ORUFI01G34990 transcript:ORUFI01G34990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQAWVRGAVARLMTPFNCPAAHASSSPYHLLLLPCSSYSLLSRLRRHFASSSSSSSSRSSSPAYNTTQNTTSTFMEVVQEVLKHGSTQGVRAAIRSDQKSYNLVQLIASALDVYNILRNKNMTQNGSTGSSVKGINGTGFLGGARIGIVAKPSPEFVAGIFGTWLSGGVAVPLALSYPEAELLHVMNDSDISLILSTKEHQDIMENISTKCSAHCSLLPSVTSIPVNIDCQEPSSTEVTSSISSLIAEIDSSKEIRGDDPALILYTSGTTGKPKGVVHTHKGIVSQVEFMPKFSVRGIWQRWRESYPNNGSKNDEAITVPTMYTRLLQGYDGMDPEQQSASSFAAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGARKEGTVGKPLPRVEAKIIMEDGAETTSEVGELCIRSPSLFKEYWRKPEVTAESFIDGGFFKTGDTVTVDDEGYFIILGRTNADIMKVGGYKLSALEIESVLLQHEIVLECAVLGLPDEAYGEIICAIIVPKEDSKKRAELDSKPALTLEALTSWSKDKLAPYKVNKKELKKLLGA >ORUFI01G34990.3 pep chromosome:OR_W1943:1:29453012:29459731:1 gene:ORUFI01G34990 transcript:ORUFI01G34990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQAWVRGAVARLMTPFNCPAAHASSSPYHLLLLPCSSYSLLSRLRRHFASSSSSSSSRSSSPAYNTTQNTTSTFMEVVQEVLKHGSTQGVRAAIRSDQKSYNLVQLIASALDVYNILRNKNMTQNGSTGSSVKGINGTGFLGGARIGIVAKPSPEFVAGIFGTWLSGGVAVPLALSYPEAELLHVMNDSDISLILSTKEHQDIMENISTKCSAHCSLLPSVTSIPVNIDCQEPSSTEVTSSISSLIAEIDSSKEIRGDDPALILYTSGTTGKPKGVVHTHKGIVSQVEFMPKFSVRGIWQRWRESYPNNGSKNDEAITVPTMYTRLLQGYDGMDPEQQSASSFAAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGARKEGTVGKPLPRVEAKIIMEDGAETTSEVGELCIRSPSLFKEYWRKPEVTAESFIDGGFFKTGDTVTVDDEGYFIILGRTNADIMKVGGYKLSALEIESVLLQHEIVLECAVLGLPDEAYGEIICAIIVPKEDSKKRAELDSKPALTLEALTSWSKDKLAPYKVNKKELKKLLGA >ORUFI01G34990.4 pep chromosome:OR_W1943:1:29459172:29462026:1 gene:ORUFI01G34990 transcript:ORUFI01G34990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMLNTVNVPLGTRNPPAPTRRSIASACGTAKYWFMACARKMEDDQIGITRIADLSCSTWSTVHSLPLSLMPSAPPLPDLSSFVLLIMHALFKNLNRSITPHELRIMHL >ORUFI01G35000.1 pep chromosome:OR_W1943:1:29459827:29463658:-1 gene:ORUFI01G35000 transcript:ORUFI01G35000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQEGDVKSCEASKVKWKGGFVALPFIIAQVFFKAKAKGGFRALPFIISNEMLEKVAGFGLNINFITYLNMQYHLSHANAGSLLFVWGAVSNFAPIPGAVIADMYLGRFIVVAIGSIACFVGMVFLWLSAMIPGARPPPCDMSASPELCAPPEARHMAWLLAGFVFLSVGAGGVRPCSMAFGADQFSRHPKERRSRILQVYFNAYYGSIGVAFMVAVTVVVYVQDNLGWKVGLAVPTCLMLLSAASFLLGSGLYIKDRGSKRMFAGIGAAVAAAVRNRRAWLPAKTEDGVYHHLKDCKLTVPTDRLRFLNKACMISNTKEDKSGSGGADGISDRGRLCTVDQVEQLKSAIRVMPIWSSSIFLAQAMNQYFAVPQADAMDRRVGAGGFRVPSGTFTVFNMLTMSLWSGCYDRWTAPALRRLTGNPRGLTMKQRIGGGLVFGTAAMAAAAVVEAARRRQALGGGGMSAFWLVPQYALAGLAEAFGVIGVIEFFYTELPKSMASFSMALLYMALGAGSLVGSLIIKLVHEVSGRGGRTSWLAEDLNAGRYDYYYWLLAGLGAVNFVYFLWCGWAYGEEGQNVEWEDEGEGETTIA >ORUFI01G35010.1 pep chromosome:OR_W1943:1:29465310:29467324:-1 gene:ORUFI01G35010 transcript:ORUFI01G35010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAMEEALSKKTTSKGGLRTIPFIISNEVFEKVATFGLHANMILYLTERYHMTAATGTVVLYFWNALSNFLPIFGAVLSDSCLGRFRVIALGSVVSLAGMCLLWLTAILPADRRTPECEARRDDCQLVPWQLPLLFASFVLMSVGSGGIRPCALAFGADQLDRRDNSARNVRTLQTFFNWYYTVLGLSIVLASTVIVYIQQAKGWVIGFAVPVVLMLTALTLLLLGSPFYLKAEADRSVLVGLVQVLVASYRKRRGPLPPETADASRFHNRAGYKPRTPSNRLRWLNRACALGDNPDKEVNPDDGSARDPWTLCTVQQVEDVKAAVRVLPIWSTGFMPGVIIGQQMFPVLQAKTMERRVGGLEIPAASFGVFSILTLTVWVAVYDRALVRPLSRLTGHARGVTLRQRMGIGLALFAVAMAVAARTEAARRAEALAEGLRDYGPQSGRAVRMSAMRLVPQHCITGLAEALNLIGQIEFYYSEFPKTMSSIGVSLLALGMGFGSVAGSAIVGAINAGTRSGGGRDSWLSSNLNRGHYDYYYLVLAALCVANLAYFVWCGWAYGEEGQNRVTAEEEAVEDTKTKEEQQQKL >ORUFI01G35020.1 pep chromosome:OR_W1943:1:29479610:29481325:1 gene:ORUFI01G35020 transcript:ORUFI01G35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNALLSPFWNINAHSIVYITQGHAGFKLSTTMERQCLMESQIVGKNSIFRALPNNVLANAYRISREEARRLKHNRRVESGVFTPSHAYSNFQDIMTASL >ORUFI01G35030.1 pep chromosome:OR_W1943:1:29484131:29485111:1 gene:ORUFI01G35030 transcript:ORUFI01G35030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSAGQRSSVATNATVDLEVLDCTVCCHPLKPPVLQCGVGHVICSSCHGKLPDKNRCHVCAMDTAYNRCFAVEQILRSILVPCRNAGYGCDAKTAYHDSDSHEDGCPHAPCFCPEPGCGFAGATSSLPAHFTGGHGWAPPPQVARARAFDLQVQEGKRVLRDVDGGHLFLVDVAPAGPAGLAGAVLLLDPHAGAKAKPKFECHVAFHCRATGWRSSSEFPVRSTALDGGSLPADCYAFVVPRVAHPPATASIIVSVYDVSKKRPRNGDIRQHLKSRVN >ORUFI01G35040.1 pep chromosome:OR_W1943:1:29485514:29489612:-1 gene:ORUFI01G35040 transcript:ORUFI01G35040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISSEAPVGVFAIGPSTALGRALALRVLLCGSLGRLRHRLAAALRAALPVAAGWLHPRDNTRGILLAVCAVALLLRVRGRGGGRAGVRARVQSAYRRKFWRNMMRAALTYEEWAHAARMLDRETPRRATDADLYDEELVRNKLRELRHRRQEGSLRDIVFCMRADLLRNLGNMCNSELHKGRLQVPKLIKEYIEEVSTQLKMVCNSDSDDLPLEEKLAFMHETRHAFGRTALLLSGGASLGCFHVGVVKTLVEHKLLPRIISGSSVGSIMCSIVATRSWPELESFFEEWHSLKFFDQMGGIFPVVKRILTHGAVHDIRHLQTLLRNLTSNLTFQEAYDMTGRILVVTVCSPRKHEPPRCLNYLTSPHVLIWSAVTASCAFPGLFEAQELMAKDRFGETVPFHAPFLLGLEERVGATTRRWRDGSLESDLPMKQLKELFNVNHFIVSQANPHIAPLLRLKEIIRAYGGSFAAKLAELAEMEVKHRCNQILELGFPLGGIAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSYAELQKAANQGRRCTWEKLSAIRANCAIELVLDECVALLNHMRRLKRSAERAAASQGHGPTIRLCPSRRIPSWNLIARENSSGSLEEEFLISPRTNHHADGGIAGPSNKNHHVQQNVHDGSDSESESIDLNSWTRSGGPLMRTASANKFVSFVQNLEIDTEFRTISSREDRTDVVTPNANFLVSQAIGRESVDNSAMPITPDRSLGNSGYDPHDSNVPRSPFGCSTSIMVSEGDLLQPEKIENGILFNVVRRDTLLTPTGGVELQGTSQEPDVETIQTECLDDASDDDDVGLNAGDEAAIDPRANMSSQNTQHQGSSLENINLSSSVDCEAETITSKSKGSSLFDIGMEIRPTILSTESSMYERSSAKIGLRTVHAEFISNPGAGKGEVDSGAANKEFSYFSQTADTVIFSESCETGQHHEVNVEALTSYPVSVLEDDRSGGFNLSEIMATTFIAEADAPISRRDEVEQHNGSPVETFLDTETVQAGPCSSTLEGNNTNNSEEMQVVCTSGTN >ORUFI01G35050.1 pep chromosome:OR_W1943:1:29489936:29502776:-1 gene:ORUFI01G35050 transcript:ORUFI01G35050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVARSRLLVPLLPRISARSFSAASPASEPAAAAAAVAASDAAAATDYSSSAGDPSSAPPPPARKPLGLLKGGVLAVVAAAFGATGYVSYAYSLDEIDQRTREFRKNSKQPIRDDLSGFEKFQAMAYSAAMKVPVAAIEFYLDTRSQIEDQIRGFSEPSSDKLLPDLLPQEQHVFTLVLDLNETLVYSDWKRERGWRTFKRPGVDAFLEHLGKFYEIVVYSDQLSMYVDPVIERLDPKGCVQHRLSRVATKYENGKHYRDLSKLNRNPAQVIYISGHALESCLQPENCVEIKPWKLENDDTQLLDLIPFLEYVAMARPSDIRAVLASYQGRDIPAEFIERSKEHQRGHRASLPASTARTNTSGRSPDQPEAEPVQIVAAMRPRAFAPPCLPGSGPLPGLAVPGRGDLFPAAGSVVGRRAAPAPAGRGAVARAVDGPSAAAAVAAAADVPPPQITWQIVVGAVAGVTPFVVAGVEFGKRIIAQKKCEICGGSGLVMKKDYYVRCQGCAQKITYRLHIRRAPDARFSSVPGSRRAHPDLA >ORUFI01G35050.2 pep chromosome:OR_W1943:1:29491614:29502776:-1 gene:ORUFI01G35050 transcript:ORUFI01G35050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVARSRLLVPLLPRISARSFSAASPASEPAAAAAAVAASDAAAATDYSSSAGDPSSAPPPPARKPLGLLKGGVLAVVAAAFGATGYVSYAYSLDEIDQRTREFRKNSKQPIRDDLSGFEKFQAMAYSAAMKVPVAAIEFYLDTRSQIEDQIRGFSEPSSDKLLPDLLPQEQHVFTLVLDLNETLVYSDWKRERGWRTFKRPGVDAFLEHLGKFYEIVVYSDQLSMYVDPVIERLDPKGCVQHRLSRVATKYENGKHYRDLSKLNRNPAQVIYISGHALESCLQPENCVEIKPWKLENDDTQLLDLIPFLEYVAMARPSDIRAVLASYQGRDIPAEFIERSKEHQRLHRRNVKSVEALGL >ORUFI01G35060.1 pep chromosome:OR_W1943:1:29497164:29499158:1 gene:ORUFI01G35060 transcript:ORUFI01G35060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINRPIVFFTVCLFLLCNGSLAQQLLGQSTSQWQSSRRGSPRECRFDRLQAFEPIRSVRSQAGTTEFFDVSNEQFQCTGVSVVRRVIEPRGLLLPHYTNGASLVYIIQGRGITGPTFPGCPESYQQQFQQSGQAQLTESQSQSQKFKDEHQKIHRFRQGDVIALPAGVAHWCYNDGEVPVVAIYVTDLNNGANQLDPRQRDFLLAGNKRNPQAYRREVEERSQNIFSGFSTELLSEALGVSSQVARQLQCQNDQRGEIVRVEHGLSLLQPYASLQEQEQGQVQSRERYQEGQYQQSQYGSGCSNGLDETFCTLRVRQNIDNPNRADTYNPRAGRVTNLNTQNFPILSLVQMSAVKVNLYQNALLSPFWNINAHSVVYITQGRARVQVVNNNGKTVFNGELRRGQLLIIPQHYAVVKKAQREGCAYIAFKTNPNSMVSHIAGKSSIFRALPNDVLANAYRISREEAQRLKHNRGDEFGAFTPIQYKSYQDVYNAAESS >ORUFI01G35070.1 pep chromosome:OR_W1943:1:29508991:29509326:-1 gene:ORUFI01G35070 transcript:ORUFI01G35070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGNKLGEVMWEHRLQAAAVVALVAATVVSISAIGPRLGAVVSFFWPLLVSTGFFLVAVAVLLRISPPPSSTIDESGKELIDFVAGCRPEHHPPEAAEAAVDVPPEPEI >ORUFI01G35080.1 pep chromosome:OR_W1943:1:29511808:29513978:-1 gene:ORUFI01G35080 transcript:ORUFI01G35080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQGPPKHQNRYAWKPNLGQKINETEPGGRFRPLSEITGVCQRCKDQIDWKRRYGKYKPIVEPAKCQKCGKRNVRQAYHNVCTACSKDLGICAKCCTCVKALVGRDLSEQESERKELEEAIRGARERERRTLLRLMNKGGGESGPSVPKIADRSREGDIFPAASLDEYAEQARQQDDSDEEEARDFVED >ORUFI01G35090.1 pep chromosome:OR_W1943:1:29514359:29522245:1 gene:ORUFI01G35090 transcript:ORUFI01G35090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRRFLQFQSLLAQQALRLRAAPRPKPQPNPPHRFLHAPSSPAAASPSRLPLWRSTGSPLPVSAAAAAAAAAAARAAAARWLMAAREAGSLELFSLQRRRSSGWFPSSSMFLSGVSWAKWLPSADGAVLMLVGANVGVFMLWHLADPSFMRRHFMISLDNFKSGRLHTLLTNAFSHAESGHLISNMIGLYFFGSSISNMFGPAFLLKLYVAGALAGSAFFLLEKAFLAPRRQFYGGWDNSRTPALGASAAANAIILLDIFLYPKKLVYLYFFIPIPAAIMGAILIGADLLRVKRQGQVSGTSHLGGALIAALAWARIRKGWI >ORUFI01G35100.1 pep chromosome:OR_W1943:1:29527155:29528078:-1 gene:ORUFI01G35100 transcript:ORUFI01G35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGSNQAAAAAAAAAAAEEEAAELARKHTAAVATSRQWSAQTESRIVRVSRVFGGKDRHSKVKTVKGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAARHEIDKLPPLQFPPQDHLCMGHHHHLPSAMPLMHHHGHHHHADDDKYHVAAAAAALAAEKEAAAAGGGGGGGGDDVDGGGGGGAAHIVGRFPAGGYHRFMGLNNPLGMVNSAAGAAMPFHYAGESWNNGSVQDSGAGSPQVAAAAAHHTSPFPSLLSLAPGPHHQLVFYSSEAEQFTVDNLGSQGLSLSSARAFHDQTGS >ORUFI01G35110.1 pep chromosome:OR_W1943:1:29545034:29545468:1 gene:ORUFI01G35110 transcript:ORUFI01G35110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADAAPCRPSPTSLADDWKTGLAAVIERLNAIHGQISDLNNQQQAHHVAIQRLERAGNDNAPHHGGCDADSDSFTLGHGGDGCHGDRPPCYHKLDFPKFDRKGDPIHFLNRCEQFYRGPCTLKEEKVWLAFYHLLDGTHHHHH >ORUFI01G35120.1 pep chromosome:OR_W1943:1:29547690:29548103:-1 gene:ORUFI01G35120 transcript:ORUFI01G35120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASAAGAGAGKSLFQTFRKFFKKPWEITGPCASPEYRSALPGALEYRHRCPATLTKDTMAVVPTSEPETVYDIKYYTRDRRRDRPPVRRTLLRKPDLERYMAAKQFDPAKDFPVPYVNTAVEEDYDAVGGGYQK >ORUFI01G35130.1 pep chromosome:OR_W1943:1:29550020:29550483:-1 gene:ORUFI01G35130 transcript:ORUFI01G35130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGKPKLYITDPAKSLSFHPSAVAIRTAKEKGMARVELETDSLMLCNALQSNSFNLSVMGGVILEIKHVIASCFYSFSINYCPRNCNKVAHELAALGCNLQDVSSWKGLVSKIKEQTRSRWCSFRQERWTIIS >ORUFI01G35140.1 pep chromosome:OR_W1943:1:29550511:29550858:-1 gene:ORUFI01G35140 transcript:ORUFI01G35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTAQRRILLSTPAFFFLFVTPLFPHPYQARYAIPTNNDLPRHGSDTYPSLTCRDTPPRCWDMDPPVRDTGGVPLVILVPALWTEYSWDNGGFSEDFGWANNIRAIRWLTCGT >ORUFI01G35150.1 pep chromosome:OR_W1943:1:29550665:29563903:1 gene:ORUFI01G35150 transcript:ORUFI01G35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHRGGVERRIRRCAVFFSIEAKLPQAFSFSPSSSSSRWCGERSGEERPRGTRDREGEGAPKQRAAACGLRSVLSIFFFCYFHIPLFPLPSKSNGIEKRPLQTFRPYNIAHRGSNGEIPEETAAAYLRAIEEGADFIETDILASKDGALICFHDVTLDATTDVASRKEFSNRRRTYEVEWFNVTGWFVVDFTLEELKTLKVNQRYPFRDQQYNGKFSIITFEEFISIALDASRTVGIYPEMKDPVFINKHVKWDGGKKFEDKFVDTLLKYGYKGQYMSENWLKQPLFIQSFAPTSLVHASKLTDSPKIFLIDDFSVRTQDTNQSYWDITSDDYLAYISNYVVGLGPWKDTVVPAAKNYTMAPTDLVARAHAHNLQVHPYTYRNENQFLHLNFHQDPYAEYDFWINSMGVDGLFTDFTGSLHRYQELVAPHAKDETANSLLVKIAQMISQYEVAETGVIRQPMPHNLSRGRMEAAAAASTGELLTGKSSAPLPHKRRSRDTTLCCCAHRQQKIAAFAGSRIIFSRHVIQVVVVCAARRRRADIQSETYVLMEPGEEEEFVSKEELEGRLRGWLERWPGGELPPDLARFDTVDDAVSYLVRSVCELEIDGEVGSVQWYQVQLE >ORUFI01G35150.2 pep chromosome:OR_W1943:1:29550665:29563903:1 gene:ORUFI01G35150 transcript:ORUFI01G35150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAELIKQFSNITLGEEQELCDIEHALKALRKKILTLDFENSMRVHDPQNSFQYLEVLYKIRQLTERLGSLHPGGEAKEHNELIVYAGDLFDMAMARLEEEFVYLLTHYKQPIEQGLVSFRSTEDGSVDDFSSSSFNEEQCDGKTTQTETTGGSEYFATDLIQHGALSAVKSIANFMFLSEYDKECSQAYISTRQSAVDENLGSLRIDKLSMEELLSTNWTKLSSLIKRWNRAMKVFVQVYLTSEKRLSNHVFGELSESTADLCFYEISLSSVMQLLTFYESVAIGPPKPEKLFRLLDMYEVLNDLLPEVEFLFQEGCDDIVLTEYNEVLLQLGESVRKTITEFKYAVQSYTSSNAMARGEVHPLTKYVMNYIKALTAYSKTLDSLLKDTDRRCQHFSTDIQSMANQCPHFTVSALHLQSVTAILEENLEAGSRLYRDDRLRNIFMMNNIYYMVQKVKNSELKIFLGDDWIRVHNRKFQQQAMSYERASWSHVLSFLSDDGLCAAGDGASRKIIKEKFKNFNLSFEDAYRTQTGWSIPDDQLREDVRISISLKIIQAYRTFTGRYYSRLDGTRHLERYIKYKPEDLEKLLLDLFEGAQKTL >ORUFI01G35150.3 pep chromosome:OR_W1943:1:29550768:29563903:1 gene:ORUFI01G35150 transcript:ORUFI01G35150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAELIKQFSNITLGEEQELCDIEHALKALRKKILTLDFENSMRVHDPQNSFQYLEVLYKIRQLTERLGSLHPGGEAKEHNELIVYAGDLFDMAMARLEEEFVYLLTHYKQPIEQGLVSFRSTEDGSVDDFSSSSFNEEQCDGKTTQTETTGGSEYFATDLIQHGALSAVKSIANFMFLSEYDKECSQAYISTRQSAVDENLGSLRIDKLSMEELLSTNWTKLSSLIKRWNRAMKVFVQVYLTSEKRLSNHVFGELSESTADLCFYEISLSSVMQLLTFYESVAIGPPKPEKLFRLLDMYEVLNDLLPEVEFLFQEGCDDIVLTEYNEVLLQLGESVRKTITEFKYAVQSYTSSNAMARGEVHPLTKYVMNYIKALTAYSKTLDSLLKDTDRRCQHFSTDIQSMANQCPHFTVSALHLQSVTAILEENLEAGSRLYRDDRLRNIFMMNNIYYMVQKVKNSELKIFLGDDWIRVHNRKFQQQAMSYERASWSHVLSFLSDDGLCAAGDGASRKIIKEKFKNFNLSFEDAYRTQTGWSIPDDQLREDVRISISLKIIQAYRTFTGRYYSRLDGTRHLERYIKYKPEDLEKLLLDLFEGAQKTL >ORUFI01G35150.4 pep chromosome:OR_W1943:1:29559953:29563903:1 gene:ORUFI01G35150 transcript:ORUFI01G35150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAELIKQFSNITLGEEQELCDIEHALKALRKKILTLDFENSMRVHDPQNSFQYLEVLYKIRQLTERLGSLHPGGEAKEHNELIVYAGDLFDMAMARLEEEFVYLLTHYKQPIEQGLVSFRSTEDGSVDDFSSSSFNEEQCDGKTTQTETTGGSEYFATDLIQHGALSAVKSIANFMFLSEYDKECSQAYISTRQSAVDENLGSLRIDKLSMEELLSTNWTKLSSLIKRWNRAMKVFVQVYLTSEKRLSNHVFGELSESTADLCFYEISLSSVMQLLTFYESVAIGPPKPEKLFRLLDMYEVLNDLLPEVEFLFQEGCDDIVLTEYNEVLLQLGESVRKTITEFKYAVQSYTSSNAMARGEVHPLTKYVMNYIKALTAYSKTLDSLLKDTDRRCQHFSTDIQSMANQCPHFTVSALHLQSVTAILEENLEAGSRLYRDDRLRNIFMMNNIYYMVQKVKNSELKIFLGDDWIRVHNRKFQQQAMSYERASWSHVLSFLSDDGLCAAGDGASRKIIKEKFKNFNLSFEDAYRTQTGWSIPDDQLREDVRISISLKIIQAYRTFTGRYYSRLDGTRHLERYIKYKPEDLEKLLLDLFEGAQKTL >ORUFI01G35160.1 pep chromosome:OR_W1943:1:29564154:29565495:-1 gene:ORUFI01G35160 transcript:ORUFI01G35160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLDRDDSLDTVLEVPIPDEMLINAPGTDKRRGAGGANMRAWLKNQAFDRATVGGPANATAELQLFLNVVGSPLIPCPVPHDRAFSRSIRDSSIVSHRSPARVARARVHACSAAASTAKYIMQQYIAATGGQAALQGLQSMYAVGKVRMCASEFHLGDQNVTAAQGRAEVGGFVLWQKCPEVWYFELIMAGHKMSAGSDGKVAWRQSAAENSHASRGPPRPLRRSLQVDRTLLAAAPPLPSLSTSFDITMQGLDPRSIANLFSDAVCIGEKIITGEECFILKLEASAATLRARSAAAFDIIHHTVWGYFSQRTGLLIQLEDSHLLRMKSGKGARRSENIFWETTMESTISDYRHIDGINIAHGGHTAVTLFRYGEGSVNHKRKLEETWTVEEADFNLYGLTTDYFLPPSDLKKDIDEKRAG >ORUFI01G35170.1 pep chromosome:OR_W1943:1:29566388:29567199:-1 gene:ORUFI01G35170 transcript:ORUFI01G35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLLLLLLLLLGMSLKGSEGAWCVCRPDVAEAALQKALDYACGHGADCAPVTPSGSCYSPNNVAAHCSYAANSYFQRNSQAKGATCDFGGAATLSSTDPSSGTCKYPATARDKHRNRHGGCRHRHRYKHEHEHEHFFPGLFNCSHGYADHGRDLRYADRRRRVWADD >ORUFI01G35180.1 pep chromosome:OR_W1943:1:29568524:29571949:-1 gene:ORUFI01G35180 transcript:ORUFI01G35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKLYGSTLSWNVTRCVAVLEEAGAEYEIVPLDFSKGEHKAPDHLARNPFGQVPALQDGDLFLWESRAICKYVCRKNKPELLKDGDLKESAMVDVWLEVESNQYTPALNPILFQCLIRPMMFGAPPDEKVVEENLEKLKKVLEVYEARLTKCKYLAGDYISVADLSHVAGTVCLGATPHASVLDAYPHVKAWWTDLMARPSSQKVASLMKPPA >ORUFI01G35190.1 pep chromosome:OR_W1943:1:29585542:29585898:1 gene:ORUFI01G35190 transcript:ORUFI01G35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSSSVGVCTLLVAVASLQLLLVVAVAASAKTAPAMPDEEFLGRLCDQQQGATRRRLPWCQQLHARRRHGGGGGGVGVGKRRRVPMPPPSRAGEEIDARYDVSKRVVPSGPNPLHN >ORUFI01G35200.1 pep chromosome:OR_W1943:1:29587250:29591573:1 gene:ORUFI01G35200 transcript:ORUFI01G35200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSTNFWKNPSSNRVIPGLESNGKYYGFTGANSFDGKHGLLVGLGGRRRGGARGAVAVPHEARDSGLGSSAYWAWIRAAAESAPAPPPQQEEEDEGLARCIPVKAYFLSTSIDLKSLQADHGSDVVPPSTRSLNYIALRYSEFPQEIMDIGVKDNRFCYRYVVVFQYGSAVLFNIADHEAEHYLDIIRKHASGWLPEMRKDDYAVVEKPSLTTWMKGGLDYIVLKSLDTDGIRIIASVLGQSIALDHYIRQVDDMVEEFTEINRVMEKTGNFTMQRKKLFQLVGKANSNLADVIIRLGLFDRSEIAWKNSNYAQILEYLREEYELNQRFGSLDFKLKFVEHNIHFLQEVLQNRRSDLLEWGVIILLIIEIVISLYEIIKDSSMMS >ORUFI01G35210.1 pep chromosome:OR_W1943:1:29592179:29594906:-1 gene:ORUFI01G35210 transcript:ORUFI01G35210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNKVVSSYRAASPAPVGMARGGGGPASRVEFGPSSRRARLAATNNSVTPVTKEEKQRIDQSEILTLDNIRTSLVRQEDSIIFSLLERAQFCYNADIYDKNAFHVDGFDGSLVEFMVRETEKLHQQVGRYKSPDEHPFFPEDLPEPLLPPLQYPKVLHPIADSININKEIWKMYFDELLPRLVKEGSDGNYGSSALCDTICLQALSKRIHYGKFVAEAKFQESPEAYMPAIIAQDCDQLMHLLTYETVERAIEHRVEAKAKIFGQEVDLGAEDNGAPPMYKIRPSLVAELYSYRIMPLTKEVQVAYLLRRLD >ORUFI01G35220.1 pep chromosome:OR_W1943:1:29597737:29602180:-1 gene:ORUFI01G35220 transcript:ORUFI01G35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARAAAVARAGGRRELARGAAAAAARAGGGKGVRSGAAAAARAGGGEGVRAATACGRRRRLLLLLPWPLASTPRHRRQPPPPPAPTIAFAATAPVAGDDPILLDVDVGAYGVPLPVPCSRSSPARCCWMQRVYMSLKSEDKTAVGFESGGADAIAPKLGGKEKARSENPKRSLVLGRSVSPKCLTCSRCTPDPGHTFYPVSRRRPPHFEPVVVTAEKRAALPLSSPFAETRRRFPASSSHRSARRLGQKSWRCHWLADCNCQCGDWTTSVVGIELVKSHTNTMQGIFACGSISSPHGSCFRPACLAVDDLRLFYKINSITCGAYSWRWCVKKLHMRTNRRQMGTTVRTNAKWLFGGDDRSSSNARLERSESANEDILIFYFQLDLQTRIQYALNIEQFDVAKQLREKLTEIETEIIRQREAKRGSSKTEAQDKAINLLRVRADLQKAVDSENYALAAALRDEIAKLETESLAVSAKALAYQNVEYAFRLGQKVRHKVHGYRAVICGMDHVCCESKSWMETANVENLSKGPNQPFYQVLVDVYADPELLVAYEEGKILQKKILQKLKYQRNMISHVMKLLGMKMTMMAARIAESGLMSVKTGDWANPEPEQSTAICNEAICI >ORUFI01G35220.2 pep chromosome:OR_W1943:1:29597683:29602180:-1 gene:ORUFI01G35220 transcript:ORUFI01G35220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATARAAAVARAGGRRELARGAAAAAARAGGGKGVRSGAAAAARAGGGEGVRAATACGRRRRLLLLLPWPLASTPRHRRQPPPPPAPTIAFAATAPVAGDDPILLDVDVGAYGVPLPVPCSRSSPARCCWMQRVYMSLKSEDKTAVGFESGGADAIAPKLGGKEKARSENPKRSLVLGRSVSPKCLTCSRCTPDPGHTFYPVSRRRPPHFEPVVVTAEKRAALPLSSPFAETRRRFPASSSHRSARRLGQKSWRCHWLADCNCQCGDWTTSVVGIELVKSHTNTMQGIFACGSISSPHGSCFRPACLAVDDLRLFYKINSITCGAYSWRWCVKKLHMRTNRRQMGTTVRTNAKWLFGGDDRSSSNARLERSESANEDILIFYFQLDLQTRIQYALNIEQFDVAKQLREKLTEIETEIIRQREAKRGSSKTEAQDKAINLLRVRADLQKAVDSENYALAAALRDEIAKLETESLAVSAKALAYQNVEYAFRLGQKVRHKVHGYRAVICGMDHVCCESKSWMETANVENLSKGPNQPFYQLQKKILQKLKYQRNMISHVMKLLGMKMTMMAARIAERFTIEGDTHI >ORUFI01G35220.3 pep chromosome:OR_W1943:1:29597737:29602180:-1 gene:ORUFI01G35220 transcript:ORUFI01G35220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATARAAAVARAGGRRELARGAAAAAARAGGGKGVRSGAAAAARAGGGEGVRAATACGRRRRLLLLLPWPLASTPRHRRQPPPPPAPTIAFAATAPVAGDDPILLDVDVGAYGVPLPVPCSRSSPARCCWMQRVYMSLKSEDKTAVGFESGGADAIAPKLGGKEKARSENPKRSLVLGRSVSPKCLTCSRCTPDPGHTFYPVSRRRPPHFEPVVVTAEKRAALPLSSPFAETRRRFPASSSHRSARRLGQKSWRCHWLADCNCQCGDWTTSVVGIELVKSHTNTMQGIFACGSISSPHGSCFRPACLAVDDLRLFYKINSITCGAYSWRWCVKKLHMRTNRRQMGTTVRTNAKWLFGGDDRSSSNARLERSESANEDILIFYFQLDLQTRIQYALNIEQFDVAKQLREKLTEIETEIIRQREAKRGSSKTEAQDKAINLLRVRADLQKAVDSENYALAAALRDEIAKLETESLAVSAKALAYQNVEYAFRLGQKVRHKVHGYRAVICGMDHVCCESKSWMETANVENLSKGPNQPFYQLQKKILQKLKYQRNMISHVMKLLGMKMTMMAARIAESGLMSVKTGDWANPEPEQSTAICNEAICI >ORUFI01G35230.1 pep chromosome:OR_W1943:1:29603421:29610016:1 gene:ORUFI01G35230 transcript:ORUFI01G35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALVRRQQYQKYNIFWTQKLCKMAYNDIPTQNGAVLTIHKQTVTSQQTWKVLSRKDAEKLEAMASKLMEAVSSLGPPRAGVGSDEEPCIPAPWTTTKLESSPKPPISDKPSTSTTGPVGSPGRLLQSIPTTFPAAPPWDMACRSCAHADRKLAASMLSSSPLFVMAAPPAPSKLLKRSREETRERRSAREYRV >ORUFI01G35240.1 pep chromosome:OR_W1943:1:29606014:29610221:-1 gene:ORUFI01G35240 transcript:ORUFI01G35240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGRRSTGPAFLGNPEVPVATEQHQIRLSLLRPWPARGRGEEAPMAEEAAQEQQTDPAASRPVRCIVKLGGAAITNKGELESIDAASLRSACAQLRQAMSHGGAAGKVVGMDWSRRPGDPTGPVVDVEGLSEMGGLGLDSNFVVVHGAGSFGHFQASRSGVHKGGLHSTLVKAGFVATRISVTSLNQEIVRALAREGIPSVGMSPFACGWSTKQRNLESVDASQIMLSLHVGFVPVLHGDAVLDELLDCTILSGDVIIRHLAQLLSPKYVVFLTDVHGVFDRPPSDPNAVLLREIAVDENGSWSIVKPALKGNKKGVEISVAAHDTTGGMETKILEAAAIARLGVDVYITKVGTEHSLRALKGDTSSEDWLGTVIRSSR >ORUFI01G35250.1 pep chromosome:OR_W1943:1:29610318:29614048:1 gene:ORUFI01G35250 transcript:ORUFI01G35250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKADQLFRKVIDGGWRKQSRLLGLDVGSKYVGLAVSDDKNRIALPLSVLSRTKTNISLMADDFVTLVSKYSLAGFVVGYPFNLQGQSSPNALQVRLLVGELCKTGKLDDMSYTYWDENFTSKVMCVEALLHPLKLHDPVETKTMTDKFAAVCILQRYLDNMNRELRSADNSGKQGDT >ORUFI01G35250.2 pep chromosome:OR_W1943:1:29610318:29614069:1 gene:ORUFI01G35250 transcript:ORUFI01G35250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKADQLFRKVIDGGWRKQSRLLGLDVGSKYVGLAVSDDKNRIALPLSVLSRTKTNISLMADDFVTLVSKYSLAGFVVGYPFNLQGQSSPNALQVRLLVGELCKTGKLDDMSYTYWDENFTSKVMCVEALLHPLKLHDPVETKTMTDKFAAVCILQRYLDNMNRELRSADNSGKQGDT >ORUFI01G35260.1 pep chromosome:OR_W1943:1:29632340:29636282:1 gene:ORUFI01G35260 transcript:ORUFI01G35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVAAAEAGSKAAAVAGKAVAACERDAEKLEFIEEMTRGFDAVQERVLAAILARNNGAEYLRRHGMEGRTDREAFKARVPVVTYEDLRPEIERIANGDRSNIISSHPITEFLTSSGTSAGERKLMPTIEDELDRRQMLYSLLMPVMNLYVPGLDKGKGLYFLFIKSETKTPGGLPARPVLTSYYKSDHFKHRPFDPYNVYTSPTAAILCTDAFQSMYAQMLCGLVARAEVLRVGAVFASGLLRAIRFLQLHWRELAHDIRTGTLSAKVTEPSIRDAVAEVLAAPDAELAAFVEAECGKDKWEGIITRMWPNTKYLDVIVTGAMAQYIPTLKFYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTIMPNMGYFELMPHDPDAPPLPRDAPPPRLVDLADAEVGREYELVITTYAGLCRYRVGDILQVTGFHNAAPQFRFVRRKNVLLSIDSDKTDEAELQAAVERASALLSPYGASIVEYTSQADATTIPGHYVVYWELMVREGGAWPPPAEEEGRGVFERCCLEMEEALNAVYRQGRNGEAIGPLEIRVVRAGTFEEVMDYAISRGASINQYKAPRCVSFGPIIELLNSRVISKHFSPACPKYSPHKK >ORUFI01G35270.1 pep chromosome:OR_W1943:1:29637338:29643533:-1 gene:ORUFI01G35270 transcript:ORUFI01G35270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGLPPPLRPSTAPPPPSVTRALHAINTCTTAAALAPIRGAILGDRALLRSTAVVSAFFLACGRLRHLDPALSLFACHPRPHVFVFNSLLRSLGRAPARSPLPLFRHFLRCRGVRPNRFTFPLLLTSLSSLADLRAVHCQVVKSGFGPDLHVRNALLARYADCDPDLAHAEQMFDEMPRPEVVAWTTMITSYRNRGRTFHALATFRRMLAAHVAPNRVTMVAALGACAAHCAVDTGIWIHEYVQKQGWEMDVVLGTALVDMYGKCGKVSDGMHVFSKMAKRNVYTWNSIIGALALAQDGKTALQWFSRMQNDGVQPDEVTLICVLCACAHAGFVDIGRKIFNLAIQGEYGFQPGIKHFGCMVDLLSRSGHLDDAFRVVETMPSQPNAVIWGLLLRGCRARGDSWLSEHVTMRLVELEPENASHYVLLSNLYAETGRWQEAQGILHWMKKKGLRKDAGWSLRMLEDKSKKYTTDGCLLEYNMAPPTPRLAVPIDVSKKPWEQKVPLHNRWHPDIPPVADVTEGELFRVEMVDWTGGRVSDDNSADDIKFLDLTITHYLSGPLRIVDAEGVPAAPGDLLAVEICNLGPLPGDEWGYTAIFERENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSAELLNIWNEREKILAETNHESIKICEVLHQRPLANLPTPENCLLGKIQEGTADWQKIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVDGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPIGPTPLHVNPIFDIGPVEPRFSDWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDSPNAVATLAIPTAIFDQDVKPKRLSGKQGPKLRRLPDVLRCSSDGHLPVTQDPSGTKAP >ORUFI01G35270.2 pep chromosome:OR_W1943:1:29637338:29640592:-1 gene:ORUFI01G35270 transcript:ORUFI01G35270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTPRLAVPIDVSKKPWEQKVPLHNRWHPDIPPVADVTEGELFRVEMVDWTGGRVSDDNSADDIKFLDLTITHYLSGPLRIVDAEGVPAAPGDLLAVEICNLGPLPGDEWGYTAIFERENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSAELLNIWNEREKILAETNHESIKICEVLHQRPLANLPTPENCLLGKIQEGTADWQKIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVDGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPIGPTPLHVNPIFDIGPVEPRFSDWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDSPNAVATLAIPTAIFDQDVKPKRLSGKQGPKLRRLPDVLRCSSDGHLPVTQDPSGTKAP >ORUFI01G35270.3 pep chromosome:OR_W1943:1:29640737:29643533:-1 gene:ORUFI01G35270 transcript:ORUFI01G35270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGLPPPLRPSTAPPPPSVTRALHAINTCTTAAALAPIRGAILGDRALLRSTAVVSAFFLACGRLRHLDPALSLFACHPRPHVFVFNSLLRSLGRAPARSPLPLFRHFLRCRGVRPNRFTFPLLLTSLSSLADLRAVHCQVVKSGFGPDLHVRNALLARYADCDPDLAHAEQMFDEMPRPEVVAWTTMITSYRNRGRTFHALATFRRMLAAHVAPNRVTMVAALGACAAHCAVDTGIWIHEYVQKQGWEMDVVLGTALVDMYGKCGKVSDGMHVFSKMAKRNVYTWNSIIGALALAQDGKTALQWFSRMQNDGVQPDEVTLICVLCACAHAGFVDIGRKIFNLAIQGEYGFQPGIKHFGCMVDLLSRSGHLDDAFRVVETMPSQPNAVIWGLLLRGCRARGDSWLSEHVTMRLVELEPENASHYVLLSNLYAETGRWQEAQGILHWMKKKGLRKDAGWSLRMLEDKSKKYTTDGLHFRDGVYI >ORUFI01G35280.1 pep chromosome:OR_W1943:1:29646035:29646295:1 gene:ORUFI01G35280 transcript:ORUFI01G35280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATIAGLTLFPSLPFAASPCRRRIKFAAATVTSSFRQITAATIAAAVVADESSPQPSSSSRRSLQLSRSSRHPSPIWAAVGFPI >ORUFI01G35290.1 pep chromosome:OR_W1943:1:29647839:29649980:-1 gene:ORUFI01G35290 transcript:ORUFI01G35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARDLAVASISAAVGAAAAAAALRFLSSYGASSAKQRSPPTPCAEHLAVNGCAAERPPVQSPFDPAKREGYISWDDYFMAIAFLSAKRSKDPNRQKSAKGDPLETKYP >ORUFI01G35300.1 pep chromosome:OR_W1943:1:29651034:29662348:1 gene:ORUFI01G35300 transcript:ORUFI01G35300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKWNLAIVTRGEDVDGVAYQTARACILGLTDICSTASCEAPKSSVLTGICSAVYITVLTFFISTFDGKDIYHIGSRKLLNLQDPVELLDILKQDSGGDNQQADDCLVELRALSLLCIFLLFPENILDACFALIASAEDVNGEGLYFLKQLTCHLNSGIITDALDVQGDGVSQCTGMDVDHPSTKESVDSNLSSHSVGVSGSSMLQPNECYMTMAISRHPSLKGWILLRYKKLCDSCRTDVVSEVSSCLNVLGSLTQLEDNKSHMGSESSVLDKIDENSGGYLQPNKLACSYEQRMLLKTDIADRYGGKTVQNKNAQMVHTDEVKTEKLADVKLDVCKQGNVISDAGHQAARLDMHTPKSTHDSAGGAATLTSPGQNFGKAKHSYSEPSDLYGAHFSRDVISVSKELWIGSLGNSAAESLVRSKFEEFGPLANFLFYPPKNFALVEYGNIMHAVHAYGCMQGSSVWGGGLQIRYLDRLIGSKGFASGIAVGESRHIYVAKVKNKKDKDEVFDELKAAGLKRPCSFTDISSENALLLEFETATDAAAAKAHIRRQLHPDVCSQDKNTSGHELFVRNIDNSVPDMEFINAFSRFGEVIRWQFNKLDGNCLIDYRSPNAAATAKSHLHGARFGLKSISVESRSVHDKTLSPSVPMLAPSVSDSSHHEIRNPRVSGYNAGFTVPTERPIYGPSAPRAPQGILPCPPVSAHRGPVIPPPPIQTSFVRPVYPGPGSPWENTTPNPSFSHASPRMMPGSSFLSEPTGWPSRLDVTKRTDYQHVKTTFSNTPPSKREVCRLLPCSNGDQKGFRDFISYLKQRECAGVIKIPSVKPLWSRLLFILPPTPEACGMLELPPHPTECLIALILPKETTAEAT >ORUFI01G35300.2 pep chromosome:OR_W1943:1:29652522:29662348:1 gene:ORUFI01G35300 transcript:ORUFI01G35300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAEPPPKKRRLVEVHVPSPAPSPSSSSAPAPASPRSPVPPPPGVPPPPPPPPQTLAAAASPRPEEAVRKRRNREELRGLFECYRRIRLCVERKDARLLPELEQVYLSLIASSRGCTSVQRILADLIPQYASYCPTALEAATKVSINMYKWNLAIVTRGEDVDGVAYQTARACILGLTDICSTASCEAPKSSVLTGICSAVYITVLTFFISTFDGKDIYHIGSRKLLNLQDPVELLDILKQDSGGDNQQADDCLVELRALSLLCIFLLFPENILDACFALIASAEDVNGEGLYFLKQLTCHLNSGIITDALDVQGDGVSQCTGMDVDHPSTKESVDSNLSSHSVGVSGSSMLQPNECYMTMAISRHPSLKGWILLRYKKLCDSCRTDVVSEVSSCLNVLGSLTQLEDNKSHMGSESSVLDKIDENSGGYLQPNKLACSYEQRMLLKTDIADRYGGKTVQNKNAQMVHTDEVKTEKLADVKLDVCKQGNVISDAGHQAARLDMHTPKSTHDSAGGAATLTSPGQNFGKAKHSYSEPSDLYGAHFSRDVISVSKELWIGSLGNSAAESLVRSKFEEFGPLANFLFYPPKNFALVEYGNIMHAVHAYGCMQGSSVWGGGLQIRYLDRLIGSKGFASGIAVGESRHIYVAKVKNKKDKDEVFDELKAAGLKRPCSFTDISSENALLLEFETATDAAAAKAHIRRQLHPDVCSQDKNTSGHELFVRNIDNSVPDMEFINAFSRFGEVIRWQFNKLDGNCLIDYRSPNAAATAKSHLHGARFGLKSISVESRSVHDKTLSPSVPMLAPSVSDSSHHEIRNPRVSGYNAGFTVPTERPIYGPSAPRAPQGILPCPPVSAHRGPVIPPPPIQTSFVRPVYPGPGSPWENTTPNPSFSHASPRMMPGSSFRMNPPAPLPFIPSSVTPLTQFPGGSAQQSEKRPPPPPPPPNVAPPPFTRQDIPPPPPSPPPLPITQPPSVPPPPNSPPPLQPATDPSDSQKQRSHPRWQGSLSKSGLHYCSIYASRIELDACRYEHAVSEPTGWPSRLDVTKRTDYQHVKTTFSNTPPSKREVCRLLPCSNGDQKGFRDFISYLKQRECAGVIKIPSVKPLWSRLLFILPPTPEACGMLELPPHPTECLIALILPKETTAEAT >ORUFI01G35310.1 pep chromosome:OR_W1943:1:29651496:29652011:-1 gene:ORUFI01G35310 transcript:ORUFI01G35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPLIILLFLPLLSLLSYRAAHRGGEVGGGVVMGNGLEEARCWHLRAVVLAVEGATGRRLHAAEAELGLAADEGIDEAELRLVEDRVIDNDPTVAGAKAVAPFREEHGQPLVERGGDPRGQQPCVVAIPPAARGCLALDLKSMKKTTNNISYMIEKGDLRSKSIKKSNNQ >ORUFI01G35320.1 pep chromosome:OR_W1943:1:29661525:29662889:-1 gene:ORUFI01G35320 transcript:ORUFI01G35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEAVRDETAMAMRLLGHLARAPRGGGGDKNLAVSPLSLHAALALLGAGARGETLDQIIAFLGPAGGPAHAALASHVALCSLADDSGPGDDRGGPKVRFANGVWVDAALRLKAAYARVVADKYRAEARPVSFRDKLEEARREINEWFESATAGRIKDFLPKDAVDRATPAVLGNALYFKGDWESKFDARSTSDDVFYLPDGGHVSAPFMSSGKWQYIACRAGYKVLRLPYARGGRGRGRDTGRLFSMYIYLPDERHGLPDMLRKLCSDPAALIESSAALTEKVPVGAFMVPRFTLSYKTNAAETLRQLGLRLPFEYPGADLSEMVESSPEAEKIVVSAVYHESFVEVNEEGTEAAAATAVVMTLGCAAPSAPVHVVDFVADHPFMFLIKEDLTGVVVFAGQVTNPSSST >ORUFI01G35330.1 pep chromosome:OR_W1943:1:29663758:29666195:-1 gene:ORUFI01G35330 transcript:ORUFI01G35330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPKRVLPHGSPRGSPMATRRRDAEADENVRAWSENPGRLGAVAEWTASLERKKVLGERNGGGDGSGEAASPPQFFSQAKPATSPPSLSCRGEGPYDPKTNYTTPRPEFLRYNPEKRREILLRLEREAEDESSSATSATPTPTPSECVSSGSSVRGGEAELDRADAKEEEIEIPSPRGLDRADAEEEEIEIPSPRGGWARRLLLLLVAAACSCCYIYCMSSSPFPTSQMGLDFAGTTGSVHDASAHQVGSLELRAPTEMMGSHHVFEEATDQTVPNGSENAAQLYGPMGGSRKNFMAIAAMGLADSCPNVPFGEFTCQIGDRAVEDVQNSKEDFQLSELMVATSENAEQLGEVVSLNENVTADSIGSTYTADMVEGESGLVHQEEAGEDHSQHSQQLASMEKTIEQENNEVGYDGEGLENDRLDQATELLEYENPAAAAKAIVAMVKSLWPSIKLHLMEILACFSVAAFAIAAAMLKCFQRSPKGASVSTRRLEQSPLAPNPRLPVLPSPQSVLQPVQLTVPKVEPPVNLKIPTLSPLHKPDLFASFREQVPLPEPIPVSSVNLNNAVQFPLPKQIDSGNRPQKVHQDDAGSARIPDSYSVGRRDIDSSRPPVVALLGEFSLVDASSSRGSSRKGSNEHAGDVAVQEPSVTLRKDVVKMQKETTAIKSPSARKTKKEENAAKVEKKDVTTPTPLRRSNRLLNRVTSP >ORUFI01G35340.1 pep chromosome:OR_W1943:1:29669628:29669813:-1 gene:ORUFI01G35340 transcript:ORUFI01G35340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPKPSPRVVVAPTPRRRWRGRGESPSGREEERRGRDAAEEESSSRGKRVEELGGARMVK >ORUFI01G35350.1 pep chromosome:OR_W1943:1:29670577:29671239:-1 gene:ORUFI01G35350 transcript:ORUFI01G35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEVSKPSRRLSPKGSFKLSLPSLLACGQCKATAVSPPESPTGVGARSFSSSASSSAGTSRGRERDRLAELREIFGHFDRDMDGRISGDELREFFASMGDDSGAAAAAAAAMGLDGAAGGGESGGGGGGLMLAFEDFVRIVERKGGEEEEREDLRRAFGAFEAVKGSGRITPRGLQRVLSQLGDEASVAECEAMISAYDDDGDGELDFHDFHRMMSQD >ORUFI01G35360.1 pep chromosome:OR_W1943:1:29678373:29680775:1 gene:ORUFI01G35360 transcript:ORUFI01G35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQVQHESRINVGEATHVSKAEMGANTMFATSRLNSNNKVGPELAYLSGVASSASDSSTAAPSPCYLCHKPAALHVFGLAGRYVFGSVKREAYLSQEGPRSGRTPNRIAESLPVRVVNDFGLRLRVVTNQGPIKPRPPRPIDAIVFASIETRNRLRGFDRSFCCSAPPETYVFLPRARETIVLRANIIKMSSEQQASAGQPVLCASGCGFYGNPATLDMCSVCYRQHCLLNGATMATGPSSSVAAASAATVATGAVTSDSCSVPSAEVNGAAFSSKNNPEPATVVEKKAPANRCASCKKKVGLLGFACRCGATYCGTHRYPEKHACGFDFKGASRDAIARANPLIKGEKLTNKI >ORUFI01G35370.1 pep chromosome:OR_W1943:1:29681371:29683464:-1 gene:ORUFI01G35370 transcript:ORUFI01G35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAGTEAAGAGHRKNWRGESGNLWRIAGPVILTEIFQFLIGFVTAAFVGHIGKVELAAVSVVNGVVEGLAFGLLVKNHARTLGMGSALETLCGQAVGAGQPRMLGVYLQRSWVICLATSLALLPLYLLASPALRLLRQSAAISSVAGRYARWCAPQLFAYAVNFPMQKFYQAQSRVWAVTAISAAALAAHALLNWLVVARLGHGVVGAALVGDVSWWLLNAAQFAYLVGGSFPEAWSGFSRKAFTSLGGFVKLSLSSAVMLCLEMWYYTAVLILVGCLKNPEIQVGAISICMNYQLWTLMVAVGFNAAVSVRVANELGANHPKAAKFSVIVAVVTSAAVGLVFTLVALVARKQLPRLFTDDDVLVRETAKLGYLLAATIFLNSIQPVLSGVAIGAGWQSSVAFVNIGCYYLVGLPIAAVFGFRLSLNATGIWVGMLIGTILQTVILLVILYRTKWQKEAMLAEERIKVWGGGVELPTIQEAS >ORUFI01G35380.1 pep chromosome:OR_W1943:1:29688263:29689598:-1 gene:ORUFI01G35380 transcript:ORUFI01G35380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVGESSATAVDGGGGAKDSGSFECNICLELAQDPVVTLCGHLFCWPCLYEWLHVHAHSRECPVCKAGLEEEKLVPLYGRGKASTDPRSRSVAGVQIPSRPAGQRPATASQPDHHHDHLPHHDPWFMGGAGAPVAGGRWGNYTFSAAIGGLFPLLSFQVHGFPQAAAYGPAAGFPYGYGHSFHGWHGHGFPHQAPQGQHVDVFLKVLLVLVGVLVIASLIVF >ORUFI01G35390.1 pep chromosome:OR_W1943:1:29698644:29699192:-1 gene:ORUFI01G35390 transcript:ORUFI01G35390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGGSGGGGCLGAPFRALSRACDSACDLYVRGMSGCAGRVPAAMEAVAVGRGFGRPATATATMRLRGLSSRDVDDLVRAASRQRRVAAEPAVGAAKKVGYYREAPAGKKGPFEEAAAAVPAPRKKGAAMGTIAEDAPCEFVADTTLKATPPVRRGASADGLAARAGGFGAIKVGTEAFAR >ORUFI01G35400.1 pep chromosome:OR_W1943:1:29699362:29702988:1 gene:ORUFI01G35400 transcript:ORUFI01G35400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGGDVGEETKAASRSIASPPGCKVLNWQSAPLIGGADRGGFQARGFNETNPPLSLPNDTHSSCNDVPIIAPFLFAVRLKTLILN >ORUFI01G35410.1 pep chromosome:OR_W1943:1:29703054:29704771:1 gene:ORUFI01G35410 transcript:ORUFI01G35410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPRGRRPRHHAAVNEVLSTLAAANSTLADVQRRLDADFRAAYPDHANPVKLVARLKRIQEEVAAVKGLCRDLLTQKQELIDAMRTSLAVQRSAVQRLLASSGLPPMSEEDAATDANLNQIIDEWTAHVGPDTGDDKDEDTNQIFFAAVV >ORUFI01G35420.1 pep chromosome:OR_W1943:1:29707129:29712160:1 gene:ORUFI01G35420 transcript:ORUFI01G35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAATLSSSHSDEDGDNLEASSGDDHRDEQPDTPSGGGGGVKGDLSELTDSLTRGLWGVASFLAPPPAPAAEAAETATGAVGEGEDGARSPRIAGIRSDLAEIGGRVRSGISLLSNANAVAEISKIASSLLPFGPGEDDDDDDDAEAVGVTEEVVEFVRHISTHPETWLDFPLFVNDRHADDFELSDTQYGHALAIERVVPSLSYLRTELCSTNMSEACFWKIYFVLLHSKLSKQDAELLSTPQILKAREVLLQSSPTKKRLGPDDGSSQNWNVASTKGDNSGMSEAPSLEEATSVPMNVVEADKHPISVAEVEIIDKSVVEEELVVKNETKSIPSDSEKANLHITSDDDDKEVEDWLKDVAPVSSKTGNVNSAGQEEDISFSDLEDEEDD >ORUFI01G35420.2 pep chromosome:OR_W1943:1:29707288:29707606:1 gene:ORUFI01G35420 transcript:ORUFI01G35420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKKGWILDGNGINKTKLVYSSQHPAEDLLVVVNGKRAGTTGMYATTRPQTRPVCGRAASRQVGGESKVLHRLCGGETAAGVKKRQMHL >ORUFI01G35430.1 pep chromosome:OR_W1943:1:29712780:29722344:1 gene:ORUFI01G35430 transcript:ORUFI01G35430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAETTVAVTTGGEGEIVRGRKLLSHGKVFVGGVPLGTSESELRAHFSRFGTVAFVGAPKNKQTGAARGFAFVQFVNPDDAAAAIAAGPDRNVIRGTTMDIKLAQLKPSAGGPQLSPGDQKRKIFVGGLPVSATEKKLKEYFNKFGEVNRAIVVIDLNTKMPRGFGFIQFASEESTARALKKDKTLPLWPKHFLCGQWVEVSLAMPKQQNAASGTSKLSVQARPFYPTTSSNFTTAANYPDVVNIVPMVTPMNCVISNAFNPHIGFEVPGMILSDGVSNSVSANYSYQNPYLGGGGVQPQGSAVYLQAAHYYSEIMEAEAETSTVTVTTGGEGEEAAEPRQGVPLGTSESELRAHFSQFGTVAFVGAPKNKRFGFGLRLGAYIEHLLVSNFLCLLGPRALKVRRVRMAFLHMDVKLAQPKPSAGGPQLSPGDQKRKIFVDSLPASLKEYFSNFGEVNRAIVVTDLNTKMPRGFGLIQFASEESTARALKKDRTLPLWPDRHFLCGQWVEVVVPTSVLLSPRGKDVGPKPPTFASEESAARALKKDRHFLYGQWVEVSLAMPKQQNATSGTSKLPVQAHPFYPTTSSNFTAAANYPNVVNIVHVVTPMNCVVGSTFNPHIGFEVPGMKLSDGVINVVTANYSYQYPYLGGGEVPPQNSAMYLQAAHYYSGAMM >ORUFI01G35440.1 pep chromosome:OR_W1943:1:29728160:29731836:-1 gene:ORUFI01G35440 transcript:ORUFI01G35440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWWGGEGRGGSGTPVVVKMESPEWAISEVEAGAAAPGSPAAGGKAGRGKNARQITWVLLLKAHRAAGKLTGAASAALSVAAAARRRVAAGRTDSDDAAAAPPGESPALRARFHGFLRAFLLLSVLLLAVDVAAHAQGWHAVVPDLLAVEGLFAAAYASWLRVRLEYLAPGLQFLANACVVLFLIQSADRLILCLGCLWIKLKGIKPVPKASGGGGGGKGSDDVEAGADEFPMVLVQIPMCNEKEVYQQSIGAVCNLDWPRSNFLVQVLDDSDDAATSALIKEEVEKWQREGVRILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQADFLKRTVPHFKGNEDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLYINDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGVWKKFNLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPAWVVCYIPATMSLLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLVEKQPKQQRVGSAPNLDSLAKESHPKKDSKKKKHNRIYQKELALSFLLLTAAARSLLSVQGIHFYFLLFQGVSFLVVGLDLIGEQVE >ORUFI01G35450.1 pep chromosome:OR_W1943:1:29737399:29741994:-1 gene:ORUFI01G35450 transcript:ORUFI01G35450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSAGGVGGGGGGGGGSGGAAAGDDAIWSKLREAGFDEESLKRRDKAALIAYISRLESEIYQYQHNLGLVLMERKELTSKHEQLRAASESAEIMHKRERAAQQSALAEARKKEENLKKSLGIQKECVANLEKALHDMRGETAETKVSYESKLAEALQLMEAAHKKFDEAEEKLLLAKSLEAESIRTHNAALRSLHDIDDREDQLRRDRISCELENEAKEKEISLQRKSLNDMKKILHEKEEVLLKEQALLNQRDENILERLAYVTHSEKRVEEEKNILEAERKVLLEEKYKLELKMEAIVSREEALIQKESLLDKRESELLILQETIASKERAEIERLNQEQAIALERRKHDFESEMANKQMSFDAAMEVTRNALHQRECALSEQESVVVQRSQNLDLQLAELASKEKALAGRSDELKEEEEKLLLHREAIHNELQKEREEIQRIKSDLEKEKAFFEEEKREAIQAQQDLAITQADRDELLTLQMKLKEEIDSLRAQKRELMADADRLQAEKERFEIEWELIDEKKEELQKEAIRIAEERRAITEYLKNESDIIKQEKDNLRVQFKSNSETLSREHKEFMSKMQQEHASWLSKIQQERQDLKRDIDIQRVELLNSAKARQMEIDSYLREREEEFEQKKAKELEHINSQKEMINTKLEHVAVELQKLEDERKEATLERERREQELSEIKGTIEALNNQREKLQEQRKLLHSDREAITVQIQQLNVLEELKIDSENKQLSLLQHDKSKLGSDINVKDNHHDNSHSSPKQRFGRKLDLSPVSTPISWVRKCAQVIFKRSPEKSASHDQFVQNGVPKKVGDSVDVEDVNLDFAKVGQKRLNHLVSCDQTEVLEPKRKHRRSTIQKVNGGEITSNCLSALEEKCSKNEHDEAPLGLSNTCKEHEYGDKGPENLTKPGEPASSVDVPYVNGIVDNSDSVQEEPSVEATVSATETSNVDGPEDNNDSDEEDEEEEEEKTSSAKKLWRFLIT >ORUFI01G35460.1 pep chromosome:OR_W1943:1:29744153:29747191:-1 gene:ORUFI01G35460 transcript:ORUFI01G35460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPLLLLILAAAASALARAPPRFPVPHARPRRGVVGAEEAVRGYDYETRYFRQRLDHFSFLEEEGEEGDGFFQQRYLVGRGGGWAGAGGPIFFYCGNEGDIAWFAANSGLVWEAATRFAALVVFAEANLSSHLAPVSVFFLGCALIVRDPIPFTCSLQHRYYGESMPFGSKDKAYNNSKSLAYLTAEQALADYAVLLTDLKKNLSSEGSPVVLFGGSYGGMLAAWMRLKYPHIAVGALASSAPILQFEDVVPSTIFYDLVSNDFKRESLSCFQTIKDSWKALDAQGNGQDGLLKLSKTFHLCKTIKNTGELSDWLSSAYSYLAMVDYPMPADFMMPLPGNPIKELCTKIDNQPDGTSILERIYAGVNVYYNYTGTVDCFDLNDDPHGMDGWDWQACTEMVMPMSYSEDSMFPADKFNSTSYEKDCINSFGVEPRPQWITTEFGGHNISLVLERFGSNIIFFNGLLDPWSGGGVLKNISESVVAIIAPLGAHHIDLRPASKDDPDWLVRLRESELGIISGWLSDYYGARRGALLQRAAPIPWTLLHHS >ORUFI01G35470.1 pep chromosome:OR_W1943:1:29747547:29748314:1 gene:ORUFI01G35470 transcript:ORUFI01G35470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGGRDDGDDTGAASTSPNSVANQSSSSSSSSSSSEPEPVPFPVHRLARYSKSIDKAIRESVLINLLSWSLEQRMLSPEAAAHATADGLVGVVRCHAVDSGVTFYGMEGIGRSFEEELLKSFDRQLIAFRGGLPSRGEKVPVSAGEAARIRALHAAFVERDAELWTLAHVTLAEDLAASRGGDASAASIPRMVERLRQVRRLFEAVLVPLRSLKSIFDDLLSSSRSPPADADVLGGATCDTPVSNAGSCVPNV >ORUFI01G35480.1 pep chromosome:OR_W1943:1:29752761:29755982:1 gene:ORUFI01G35480 transcript:ORUFI01G35480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARARWRILALPLALLLAVGSSPGLVRQASSSAAAKPPVPKAISDLREAIVKGLGFQSEELKVSGFDVRDALVGQAVAYEFDIEVGRKVVPVRLLEDVNRWDFVDLPIFRSQADADDTALAEIRRGKSGKRAFDPTLPPFQLAGPMELWIQDGDDVRLALPHDVEAGTLKKVVLSDGAVVTVKGAKAVSLRLPLELPLPLNRTTYKGRLSSLISIAQTLRGAARSNQKPLLSLRIEGPTSLSSTPSMSPNDRLKLKRLAPGQVELSSRAIPAVTDDDGDGSHAAGLWPLLSLNGSDGSLQGFEELLASVLGKKAGEKGTFKLLKARASAQTYVKMGFAVEKRIADGEVNWSNFPEWKTKPKKLRAHYEVLARVEGGQAIPERIAQVQPFEADEAMSESVLTGNVSMSKTEVVHPPPVYFTL >ORUFI01G35490.1 pep chromosome:OR_W1943:1:29756263:29766569:1 gene:ORUFI01G35490 transcript:ORUFI01G35490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSGVAARLNLVFMGRPFARSAQPPPSGPRFSGRAQRRRGSNEATACGKSRSRAGRAASPDVVATKPPPLHDEEEEEEGGRMVRRGRKGKDAAAGAGGTGGRGGGAGRGGGRGGSGGGGGGGGVREATLVRVSKVLEDFQASDAQVYKFEPGISKQERAAIHEMCRKMGMISKSSGNGERRCLSVYKRKQNQGLETEEGPSHLGFSVEARNVLQDLFMHYPPDDAELNGHTVRNSSDKAVKIQWKPDGAFCRPALRKPDILKKVEMLASKIVQDRSKLPISSYKDAISSTLENHQVPQYILDHMWGKGESCKIVCTQPRRISAISVAERISAERGESVGDTVGYKIRLESKGGKNSSIMFCTNGVLLRLLIGRRFAAVVSSSAFGKKIFPSSLRLYSNNYTQSCILYHVLMSATIDAERFSNYFSGCPFIQVPGFTHPVKTFYLEDVLSILQSVGDNHLDPTTDDLKQSSLLTDDYKSSMDEAINLALDNDEFDPLLELISAEQNQEIFNYQHSETGVTPLMVLAGKGQVGDICMLLSFGVDCSTRDHDGKSALDWAEQGNQQEVCEVIKKHMECGSAKLTEENELLNKYLATINPEHIDTVLIERLLRKICVDSNEGAILVFLPGWEDINQTRERLLASPFFQDSSKFLVLSLHSMIPSSEQKKVFKRPPAGSRKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNNVSTLHSSWVSKANARQRQGRAGRCQPGTCYHLYSRFRAASLLEYQIPEIKRMPIEELCLQVKLLDPNCRIADFLRKTLDPPVPETVRNAITVLQDLGALTQDEQLTELGEKLGSLPVHPSTSKMLLFGILMNCLDPALTLACAADYRDPFLLPMAPDERKRAAAAKVELASLYGGYSDQLAVVAAMDCWRRAKDRGQEAQFCSKYFVSSNTMNMLSNMRKQLQNELAQRGFVPVDASACSLNARDPGIIRAVLMAGAYPMVGRLLPPRKNTRRAVIETASGAKVRLHPHSCNFNLSFRKTSGNPLVIYDEITRGDGGMYIKNSSVVGSYPLIILATEMVVAPPEDDDSDEEDGDSSEDETEKVTLGQHKEIMSSPDNSVSVVIDRWLRFDATALDVAQIYCLRERLASAILFKVKHPQDVLPPDLGATMYAIACILSYDGLPAMITSDDVATSQGSNQSSAESSRFSQGRRVGYIPPGGFLMSLLSDKPLNAPHFQKSFNHPDGASGHIRSSRTSVGRFDQSRHPQRNNSGPGSSAARTFKRQRNGAQ >ORUFI01G35490.2 pep chromosome:OR_W1943:1:29756263:29766569:1 gene:ORUFI01G35490 transcript:ORUFI01G35490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSGVAARLNLVFMGRPFARSAQPPPSGPRFSGRAQRRRGSNEATACGKSRSRAGRAASPDVVATKPPPLHDEEEEEEGGRMVRRGRKGKDAAAGAGGTGGRGGGAGRGGGRGGSGGGGGGGGVREATLVRVSKVLEDFQASDAQVYKFEPGISKQERAAIHEMCRKMGMISKSSGNGERRCLSVYKRKQNQGLETEEGPSHLGFSVEARNVLQDLFMHYPPDDAELNGHTVRNSSDKAVKIQWKPDGAFCRPALRKPDILKKVEMLASKIVQDRSKLPISSYKDAISSTLENHQVPQYILDHMWGKGESCKIVCTQPRRISAISVAERISAERGESVGDTVGYKIRLESKGGKNSSIMFCTNGVLLRLLIGRVLMSATIDAERFSNYFSGCPFIQVPGFTHPVKTFYLEDVLSILQSVGDNHLDPTTDDLKQSSLLTDDYKSSMDEAINLALDNDEFDPLLELISAEQNQEIFNYQHSETGVTPLMVLAGKGQVGDICMLLSFGVDCSTRDHDGKSALDWAEQGNQQEVCEVIKKHMECGSAKLTEENELLNKYLATINPEHIDTVLIERLLRKICVDSNEGAILVFLPGWEDINQTRERLLASPFFQDSSKFLVLSLHSMIPSSEQKKVFKRPPAGSRKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNNVSTLHSSWVSKANARQRQGRAGRCQPGTCYHLYSRFRAASLLEYQIPEIKRMPIEELCLQVKLLDPNCRIADFLRKTLDPPVPETVRNAITVLQDLGALTQDEQLTELGEKLGSLPVHPSTSKMLLFGILMNCLDPALTLACAADYRDPFLLPMAPDERKRAAAAKVELASLYGGYSDQLAVVAAMDCWRRAKDRGQEAQFCSKYFVSSNTMNMLSNMRKQLQNELAQRGFVPVDASACSLNARDPGIIRAVLMAGAYPMVGRLLPPRKNTRRAVIETASGAKVRLHPHSCNFNLSFRKTSGNPLVIYDEITRGDGGMYIKNSSVVGSYPLIILATEMVVAPPEDDDSDEEDGDSSEDETEKVTLGQHKEIMSSPDNSVSVVIDRWLRFDATALDVAQIYCLRERLASAILFKVKHPQDVLPPDLGATMYAIACILSYDGLPAMITSDDVATSQGSNQSSAESSRFSQGRRVGYIPPGGFLMSLLSDKPLNAPHFQKSFNHPDGASGHIRSSRTSVGRFDQSRHPQRNNSGPGSSAARTFKRQRNGAQ >ORUFI01G35500.1 pep chromosome:OR_W1943:1:29773498:29777924:1 gene:ORUFI01G35500 transcript:ORUFI01G35500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARSAVVVIAMEPSSSITIASSSSYLSNGSSPCSVSLAPPGAGAVAAQAAPVAAGEGGGGGGGGGGGSSSVEVVSLNRLSANLERLLLDSDLDCSDADVDVADGGPPVPVHRCILAARSTFFYNLFAARGRGGDGAAGGGGGGGGGGGERTGGRPRYKMEELVPGGRVGRDAFLSLLGYLYTGKLRPAPDDVVSCADPMCPHDSCPPAIRFNVEQMYAAWAFKITELISLFQRRLLNFVDKTLVEDVLPILQVAFHSELTPVLEKCIRRIARSNLDNVSLDKELPPEVAVQIKEIRQKSQPNEGDTVISDPVHEKRVRRIHRALDSDDVELVKLLLNESEITLDDANALHYAAAYCDSKVVSELLDLRLANLNLKNSRGYTALHLAAMRREPAIIMCLLNKGAAVSQLTADGQSAMSICRRLTRMKDYNTKMEQGQESNKDRLCIDILDREMIRKPMAVEDSVTSPLLADDLHMKLLYLENRVAFARLFFPAEAKVAMQIAQADTTPEFGIVPAASTSGKLKEVDLNETPVTQNKRLRSRVDALMKTVELGRRYFPNCSQVLDKFLEDDLPDSPDALDLQNGTSDEQNVKRMRFCELKEDVRKAFSKDRADNSMFSILSSSSSSSPPPKVAKK >ORUFI01G35510.1 pep chromosome:OR_W1943:1:29777936:29778823:-1 gene:ORUFI01G35510 transcript:ORUFI01G35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFVDAVLAALKLVHAPPPEKLHALVSMYGHAMDASSLLWSQCRRVLITHQTTQAITFDAMENALFRKATELHGAISSTMEETKALVEAAADDDPTEIARGSGDDVHTSARVMVDCVARKSKLCQGTGLRYIFLLNNSHFVAQQLEPFSNLRGGYIDGYFSASWAPVLSCLSSKSGLSPWSNKSSPLRKFELAFHETYTAQKLWKVPSPELRGRLRKTITERVVSGYREYLLEHPELKRLVSRGNSNTPADLEEMLAELFEG >ORUFI01G35520.1 pep chromosome:OR_W1943:1:29786251:29788557:-1 gene:ORUFI01G35520 transcript:ORUFI01G35520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPISDALQWGEEWQLRLLVLGSLVFQCFLLITAPFRKFPIRSYFKPFIWFAHLGCDALAIYALATLFNRHRKHDAAGHAHGNDILEVLWAPILLIHLGGQDGITAYNIEDNELWMRHLLTSLSQITIAIYVFCKSWPGDDKRLLQAAILLFVPGVLKCLEKPLALNSASINSLVSTAEHAKRTTKRQGKIDRLEDFVEMAKRCCGGNGGQGIPGFALEYNPFELFVDLASPSSGYRLENLLSFSALSQDEVYCLLQNNLSDTFNILYTKEKLFPTILNFPPTHQNDENTQPYTSLVNSVQKTVHRPMLSTFKVFCAAMLRVAVFLNFVAIGLFHHCHRKAYNDKDVKVTYTLLCCTAVLEFYNPSTKVYANSLRTDVLHRSSILTTLCSWIKPCMPNMNCISKTSERPTETYQYMDDKIFQYNLFKYFIRNRKHSKMMNIAGFLGCKDYLDQQWRMNSCSSSRRITYLVLGHVKLWWRDHITDVSAYRKFNDIRGQWTLQFEGCFQQLGWSLEGAFDESVLLWHIATNFCYHHIRGSYDSEHAAIRGSYDCEHAAMMCIHGSSYLNNRFPTWCGKCLHHKNAVQCQEMSNYMMYLLFVNPVMLMAGTRRNLFKDAYNQLKSIMKDSNTPLNENDLMQTIIAKMKQPLETSNERGFIDDAWSIAEELIKLEDTEKMWRVIEGVWVEMLCFSAARCRGYLHAKGLGTGVEFLTYVWLLLHYMGMETLAEKLARAELPNGARSSDSSTTHVGASSSKEQVAGASTSYAS >ORUFI01G35540.1 pep chromosome:OR_W1943:1:29796055:29803003:-1 gene:ORUFI01G35540 transcript:ORUFI01G35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTPTPAAAAPAPAVAVSEAGLPDAIAAALPPDPYEQLEVARKITAVAVAARASRLELEAARLRQKLADKDRLAAELADRAASLEQALRDSDARLRAALDDNAKLAKERDSLAHTSKKLARDLAKLETFKRHLMQSLGDDNPPIQETVDIRTCEQSVAKASSWKDGVAHSRHHHPVSSLADGSTEIESVNKEGRTTRVDGKEFFRQARNRLSYEQFAAFLANIKELNAHRQSREETLQKADEIFGSENKDLFMSFQSLLSRSLSSGVKVYQMMDLSTTSVVAAKAYKYRAESLVKDYLLADCYVSYTAVLGGILMCKMVYDITHLISSLYYKGYGSLTKIQKLEWNNRGMSTVHAMFITLMSVYLVFFSNLFSDELDGPVTVRSSNLSNFTLGVSLGYFIADLAMLSWAYPSLGGMEYVLHHLLSIISLVYAIYSEEGQLYTYMVLISETTTPGINLRWFLDTVGMKRSKAYLVNGVTMFVAWLVKQMRTFSCILIFAVPTILLVMNTVWFVKILRGLKKTLAKRQ >ORUFI01G35550.1 pep chromosome:OR_W1943:1:29804788:29805156:1 gene:ORUFI01G35550 transcript:ORUFI01G35550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSQFSLPKHTLPGSITRAAAGHSSGVVAEMKAGYVPVLVGKSGGGGGEAATRFLVPVGLLNDPCMEALLELAADEMGYGQEGVLSIPCDADFFRRVVTAIPSANKANLICSPNSQTDC >ORUFI01G35560.1 pep chromosome:OR_W1943:1:29827886:29836384:1 gene:ORUFI01G35560 transcript:ORUFI01G35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPSEPDASQEPAPAAAPAAAIGGPNPCCAKLWRKYQKSEASRAALREGLKLLQGENDKLLKERSELSRELEDCRKPVTIEAYGILVMILHLRLETSVCNEERLRGDSAEAARISESDARDMLEKEIIELKAQNSALQQSQSVCKDGNELIRITELEEEIRRLKQVLVEEKKKSNSEKKNAEEEKGKVLELQRLLNMETHKSEEYKRLSDTERKAANGLRASCEKLRSEASEARERLVAQVKKTEEANKRAEEEKQKAAREKKCANSEKSLAEKNKNLIETERKKLTEEKSRAERLFAKLEEQKKLNEDLRVRIEVERKNAVDQKNHIDHLSQKLEEEKERSENLQRKLEKLCAVKDTTSFGKHGQQRIDVVTEGANIRLLKEKLKLKKQQLKHAKNVSKLDKAKNALVRRELQRLKQDWIQLLSRFNMLDEHLAADGVEGIHVLTELKRHPEIHNFEQNLLPHNSAPYFGLPSGIVPFSSSVPRDYTSYQLPRESCTRPISGTSSELEPPFGSSLRTKSKSPHRSSCPTSISDKKLMDSQGKDRLLVPASTDIRRKQSSMVPELTSKDGNDTRKPSDRALPVVSGDPFQQKALQSSMFGATEVTDKMPKGDKKRKRTKMSLKSTDCLSSKHKRLHLEMKAHDSTSNGILCSDDRSRVQQGSSIMPVVNEDDVQTRRRKCYVIAGKTPFLSVPAKVPFAEAGNAYAVSKFPSLLSFEEMIKGDCLKLLDLDNDADEERYRRAMQRTLSPDLPIILPQATKAPTHEKSHHLSDMMPNAFEYERDCPSSGANATDLEMRPNLLGVEGPAIQKLIQSTGKLGHNRIDCHDNVKQLRANDNDKSNSVVNISCSTKLDNVPTKRSLSCILHEDQAQNVVASPTDVPSNTSNSHPNSTLDLQHSHKEASNENSSNQIHSSSISDSGQQNIVGGCKTKAAELTDLNLNSIIGLRHGDKRSPMCFVGLVSMKKRNIIRMFRYWETLIAEARETSEEAFVDTPLFERISSEPLLLLEEKVALIISLLLWDICRVITADPVLDGNFASSVFALTVKSYMETRWAFLKSNQLDVPVSLIEDFLVKREVVVCNKTGHVISDVDRYSLLDDETGIQVSTEPATIDQFISACALLASICVKVERMDIVLEVSYKVLLMGKSNLSWTLLAIHIIGSMCGDKFLSKSSNFLMTTIRLVVLLLEAKNNSLCLLSSYVQSNRPAVFPTCAHCLFDVVDSVSVDSFISSLLDELHLCSQQWNSCSNTNKIIARCSPHLGSSGLEVNCGEPCYISKQVKLSEDGHNHTAGRDLCYFAEITSLLELFGNYMSCEWTYNNVVVRLLKILESCTCEEYSAALLILLSQLGRFFVDDVGYEQRAVSDLRNHLSVLMRTKVSNSRNMPVQLSAIGALLSLLPLAFDKIVAHSGQLPDLYVLQGRQISEWQSVLTTVLELPLDLAFYGLAKKFLVVFWVFLAEEANVLDLMCEDSNAEP >ORUFI01G35570.1 pep chromosome:OR_W1943:1:29842517:29849613:1 gene:ORUFI01G35570 transcript:ORUFI01G35570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVESGGGGGGASSSSPAAAGNFDAGQYAFFGKEPLEGLELSCLEDGGGDGNGGGFSGPEDGLYRLSSVGEEIDNLSNLSEIDDLASTFAKLNRSISGTRNPGVIGDRRSISRGSSLTVDWAEDAEFPNWVDQDILEGEELQESKRWWSQSRSLGQQGDAKPLSRTSSYPQQPLQHRASEPIIAPQSPPFTSFPPPGARSPYTAQGLTRHGSIPSVGAGLQMGSPSMSLPSSSYHMAGLSHGLPYGGSVSFGSPNLPGSNPIQNDWPNQANPYAVDQFNLLPNMLQKQISLPNSPMSSLLFSQQQQRLAQVQVQPSHQNYLNLPPHLFYQHHSPELTGRFDSISNVPSLRDKRSRSGRGKHSTRFSQPLTDTGSQNGDSGGLKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACIAKKGASSRQKANFAPTSMKDLPSKSRSSSDHHAYLQVDALGRVSFSSIRRPRSLLEVDLPSSGDGSHDQKSSLRPLEKEPMLAARVTVEDAICLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLATSLQLVDPLGPSKSSHSSGLAPKDDLVFLRIVSLPKGRKLLSRYLRLLTPGSELTRIICMAIFRHLRYLFGGLPSDSSAAETTVALAKTVSSCVLHMELGALSACLAAVVCSSEQPPLRPLASSAGDGASLIIKSVLDRATELLTDHHAAASYTVSNRTLWQASFDAFFGLLTKYCLSKYESIKQMFVMQSPCSVTGSEVSKATSREMPVELLRASLPHTNDQQRQLLLDFAQRTMPVTGINPTGANAKKTSKISGLSSSGCTANNWQRVSNWLSSNLGIIAG >ORUFI01G35570.2 pep chromosome:OR_W1943:1:29842517:29849183:1 gene:ORUFI01G35570 transcript:ORUFI01G35570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVESGGGGGGASSSSPAAAGNFDAGQYAFFGKEPLEGLELSCLEDGGGDGNGGGFSGPEDGLYRLSSVGEEIDNLSNLSEIDDLASTFAKLNRSISGTRNPGVIGDRRSISRGSSLTVDWAEDAEFPNWVDQDILEGEELQESKRWWSQSRSLGQQGDAKPLSRTSSYPQQPLQHRASEPIIAPQSPPFTSFPPPGARSPYTAQGLTRHGSIPSVGAGLQMGSPSMSLPSSSYHMAGLSHGLPYGGSVSFGSPNLPGSNPIQNDWPNQANPYAVDQFNLLPNMLQKQISLPNSPMSSLLFSQQQQRLAQVQVQPSHQNYLNLPPHLFYQHHSPELTGRFDSISNVPSLRDKRSRSGRGKHSTRFSQPLTDTGSQNGDSGGLKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACIAKKGASSRQKANFAPTSMKDLPSKSRSSSDHHAYLQVDALGRVSFSSIRRPRSLLEVDLPSSGDGSHDQKSSLRPLEKEPMLAARVTVEDAICLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLATSLQLVDPLGPSKSSHSSGLAPKDDLVFLRIVSLPKGRKLLSRYLRLLTPGSELTRIICMAIFRHLRYLFGGLPSDSSAAETTVALAKTVSSCVLHMELGALSACLAAVVCSSEQPPLRPLASSAGDGASLIIKSVLDRATELLTDHHAAASYTVSNRTLWQASFDAFFGLLTKYCLSKYESIKQMFVMQSPCSVTGSEVSKATSREMPVELLRASLPHTNDQQRQLLLDFAQRTMPVTGINPTGANGGYITSESVPG >ORUFI01G35580.1 pep chromosome:OR_W1943:1:29849808:29853043:1 gene:ORUFI01G35580 transcript:ORUFI01G35580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSSLARPLPRPPIRPACGNPVCRSRPGSVSVARCRAEAAPPAPAPAARRAAGPYTGRDPEVKKPAWLRQRAAQGEKYARLRESIGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMVLGDTCTRGCRFCAVKTSNKPPPPDPLEPLNTALAVASWGVDYVVLTSVDRDDLPDGGSSHFAQTVRALKELKPGILVECLTSDFRGDLEAVSALANSGLDVFAHNIETVRSLQRIVRDPRAGYDQSLAVLKHAKSCKEGMITKSSIMLGLGETDEEVKQAMIDLRAIGVDILTLGQYLQPTERHLTVREYVTPEKFQFWKEYGESVGFRYVASGPLVRSSYRAGELFVQNLVRNNKPKLPASS >ORUFI01G35590.1 pep chromosome:OR_W1943:1:29860563:29866198:1 gene:ORUFI01G35590 transcript:ORUFI01G35590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAWRREQWAVAWLSVAVLGAALVGAAGAFEEGTAVYIVTMKQAPVFHKRLDLERFGSSRVAGGGGGGGGDTPSTSILMKPRHGPAQPMNYGSYLVRLQNSLLKRTLRGERYIKLYSYRYLINGFAVVITPQQAERLSMTKEVANVMLDFSVRTATTHTPEFLGLPQGAWVQEGGPQCAGQGVVVGLIDTGIDPTHPSFADDLITDSYPVPAHYSGICEVTNDFPSGSCNRKLVGARHFAASAITRGVFNASQDHASPSDSDGHGTHTASIAAGNHGIPVVVAGHHFGNASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAEDNVDIISLSITPNRRPPGLATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSYSPWIFTVGASAHDREYNNYVVLGNNLTITGVGLAPGTDGDSMFTLVAAPHALKNNVASPTEMSLGECQDSSHLDEDLIRGKILVCSYSIRFVLGLSSVKQALDTAKNVSAAGVIFYLDPFVIGFQLNPTPMDMPGLIIPSSDDSKVFLNYYNESLVRDETSNKIVSFGAIAKILGGQNPNYGISAPKVMFYSARGPDPEDNSLANADILKPNLIAPGSSIWGAWSSLGLDSAEFAGESFAIISGTSMAAPHVAGLAALVKQKFPYFSPAAIGSALSTTTSLSDREGNPIMAQRTYGNPNSTQSPATPFDMGNGFVNATAALDPGLIFDSSYDDFFSFLCGINGSAPVVMNYTGNSCSSSAMTGADLNLPSITIAVLNQSRTITRTVTNVASDERYTVSYSAPYGVAVSASPAQFFIPSGQRQQVTFVVNATMNGTSASFGSVGFYGDKGHRVMIPFSVISKVVHRS >ORUFI01G35600.1 pep chromosome:OR_W1943:1:29871947:29874637:1 gene:ORUFI01G35600 transcript:ORUFI01G35600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRFVLLLLLLLAVAACVARGQGGGNSSSAAAPAPAAGAGPFVPRDDILLDCGATGKGNDTDGRVWSGDAGSKYAPASLGSASAAGQDPSVPQVPYLTARVSAAPFTYSFPLGAGRKFLRLHFYPANYSSRDAADARFSVSVPAANVTLLSNFSAYQTATALNFAYIVREFSVNVTTPTMELTFTPEKGHPNAYAFVNGIEVVSSPDLFDISTPNLVTGDGNNQPFPIDAGTALQTMYRLNVGGQAISPSKDTGGYRSWDDDSPYVFGAAFGVSYPKDDNVTIAYPSNVPEYVAPVDVYATARSMGPDKNVNLAYNLTWIMQVDAGFTYLVRLHFCEIQYPITMINQRVFNIYINNQTAFQGADVIAWTNNNGIGSPVYQDFVVTTVGSGAMDLWVALYPDVQAKPQYYDAILNGLEVFKLPLSNGSLAGLNPVPTVEPSLDGGAVKKSSVGPIVGGVIGGLVVLALGYCCFMICKRRSRVGKDTGMSDGHSGWLPLSLYGNSHSSGSAKSHTTGSYASSLPSNLCRHFSFAEIKAATNNFDESLLLGVGGFGKVYRGEIDGGVTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTKNAPLTWRQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPSMDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWALHCQKKGILDQIVDPHLKGKIAPQCFKKFAETAEKCVSDEGIDRPSMGDVLWNLEFALQMQESAEDSGSIGCGMSDEGTPLVMPGKKDPNDPSIESSTTTTTTTSISMGDQSVASIDSDGLTPSAVFSQIMNPKGR >ORUFI01G35610.1 pep chromosome:OR_W1943:1:29876433:29891995:1 gene:ORUFI01G35610 transcript:ORUFI01G35610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSRTWLLPGMAPQATAQTVPRPLQSLKVFAGLPHRRRVLFSGVSSRTRRGRIRSVKDDSLHFDPSKIEAPPYSSYFDSTSGQLEPASGARASIPGQEYWPEGTASRVRAARAPAPVGESAGTPSFGKKPGSRRKGYKEQVASATAGRGTETSGDEGESVVAIEASSDETLEETKDSLDEYVVYEMPKEENLSEYEMDKMMGRPHPFVDPQKAMSVGEPKSSEELWWNWRRKSEENEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPTRTEAALAKARRHLFKEERLEAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAVQKHFEETGEDENTQLITMFQHQTAGEFRIMMGTDVRIQRDPLAMRMQEDQIKQIWGGDPVYPTINYVHDPDEVVDYRGPEFHEPTPEVVPYLMEHGIMITKEELYARLNEEMEDINQDITYLPEVRDPMATAVDIGEHSYNEDSDDDEEDADKVVAQPESLEDDEDDGDDAEDAEGKVSRNWSVLKTTGQAENPKEKSKKDQLSLKEAIADSDNLTDFLMDFEEDDQGSSHGTYFWRSIAARGNEDNWMPTKLHLFNWADHDDEDDGDDAEDAEGKVSRTYFWRSIAARGNEDNWMPTKLHLFNWADHVGE >ORUFI01G35610.2 pep chromosome:OR_W1943:1:29876433:29891995:1 gene:ORUFI01G35610 transcript:ORUFI01G35610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSRTWLLPGMAPQATAQTVPRPLQSLKVFAGLPHRRRVLFSGVSSRTRRGRIRSVKDDSLHFDPSKIEAPPYSSYFDSTSGQLEPASGARASIPGQEYWPEGTASRVRAARAPAPVGESAGTPSFGKKPGSRRKGYKEQVASATAGRGTETSGDEGESVVAIEASSDETLEETKDSLDEYVVYEMPKEENLSEYEMDKMMGRPHPFVDPQKAMSVGEPKSSEELWWNWRRKSEENEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPTRTEAALAKARRHLFKEERLEAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAVQKHFEETGEDENTQLITMFQHQTAGEFRIMMGTDVRIQRDPLAMRMQEDQIKQIWGGDPVYPTINYVHDPDEVVDYRGPEFHEPTPEVVPYLMEYLPEVRDPMATAVDIGEHSYNEDSDDDEEDADKVVAQPESLEDDEDDGDDAEDAEGKVSRNWSVLKTTGQAENPKEKSKKDQLSLKEAIADSDNLTDFLMDFEEDDQGSSHGTYFWRSIAARGNEDNWMPTKLHLFNWADHDDEDDGDDAEDAEGKVSRTYFWRSIAARGNEDNWMPTKLHLFNWADHVGE >ORUFI01G35610.3 pep chromosome:OR_W1943:1:29876433:29882919:1 gene:ORUFI01G35610 transcript:ORUFI01G35610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSRTWLLPGMAPQATAQTVPRPLQSLKVFAGLPHRRRVLFSGVSSRTRRGRIRSVKDDSLHFDPSKIEAPPYSSYFDSTSGQLEPASGARASIPGQEYWPEGTASRVRAARAPAPVGESAGTPSFGKKPGSRRKGYKEQVASATAGRGTETSGDEGESVVAIEASSDETLEETKDSLDEYVVYEMPKEENLSEYEMDKMMGRPHPFVDPQKAMSVGEPKSSEELWWNWRRKSEENEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPTRTEAALAKARRHLFKEERLEAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAVQKHFEETGEDENTQLITMFQHQTAGEFRIMMGTDVRIQRDPLAMRMQEDQIKQIWGGDPVYPTINYVHDPDEVVDYRGPEFHEPTPEVVPYLMEHGIMITKEELYARLNEEMEDINQDITYLPEVRDPMATAVDIGEHSYNEDSDDDEEDADKVVAQPESLEDDEDDGDDAEDAEGKVSRNWSVLKTTGQAENPKEKSKKDQLSLKEAIADSDNLTDFLMDFEEDE >ORUFI01G35610.4 pep chromosome:OR_W1943:1:29876433:29891995:1 gene:ORUFI01G35610 transcript:ORUFI01G35610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSRTWLLPGMAPQATAQTVPRPLQSLKVFAGLPHRRRVLFSGVSSRTRRGRIRSVKDDSLHFDPSKIEAPPYSSYFDSTSGQLEPASGARASIPGQEYWPEGTASRVRAARAPAPVGESAGTPSFGKKPGSRRKGYKEQVASATAGRGTETSGDEGESVVAIEASSDETLEETKDSLDEYVVYEMPKEENLSEYEMDKMMGRPHPFVDPQKAMSVGEPKSSEELWWNWRRKSEENEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPTRTEAALAKARRHLFKEERLEAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAVQKHFEETGEDENTQLITMFQHQTAGEFRIMMGTDVRIQRDPLAMRMQEDQIKQIWGGDPVYPTINYVHDPDEVVDYRGPEFHEPTPEVVPYLMEHGIMITKEELYARLNEEMEDINQDITYLPEVRDPMATAVDIGEHSYNEDSDDDEEDADKVVAQPESLEDDEDDGDDAEDAEGKVSRNWSVLKTTGQAENPKGTYFWRSIAARGNEDNWMPTKLHLFNWADHDDEDDGDDAEDAEGKVSRTYFWRSIAARGNEDNWMPTKLHLFNWADHVGE >ORUFI01G35610.5 pep chromosome:OR_W1943:1:29876433:29891995:1 gene:ORUFI01G35610 transcript:ORUFI01G35610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSRTWLLPGMAPQATAQTVPRPLQSLKVFAGLPHRRRVLFSGVSSRTRRGRIRSVKDDSLHFDPSKIEAPPYSSYFDSTSGQLEPASGARASIPGQEYWPEGTASRVRAARAPAPVGESAGTPSFGKKPGSRRKGYKEQVASATAGRGTETSGDEGESVVAIEASSDETLEETKDSLDEYVVYEMPKEENLSEYEMDKMMGRPHPFVDPQKAMSVGEPKSSEELWWNWRRKSEENEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPTRTEAALAKARRHLFKEERLEAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAVQKHFEETGEDENTQLITMFQHQTAGEFRIMMGTDVRIQRDPLAMRMQEDQIKQIWGGDPVYPTINYVHDPDEVVDYRGPEFHEPTPEVVPYLMEHGIMITKEELYARLNEEMEDINQDITYLPEVRDPMATAVDIGEHSYNEDSDDDEEDADKVVAQPESLEDDEDDGDDAEDAEGKVSRNWSVLKTTGQAENPKEKSKKDQLSLKEAIADSDNLTDFLMDFEEDESIAARGNEDNWMPTKLHLFNWADHVGE >ORUFI01G35610.6 pep chromosome:OR_W1943:1:29876433:29891995:1 gene:ORUFI01G35610 transcript:ORUFI01G35610.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSRTWLLPGMAPQATAQTVPRPLQSLKVFAGLPHRRRVLFSGVSSRTRRGRIRSVKDDSLHFDPSKIEAPPYSSYFDSTSGQLEPASGARASIPGQEYWPEGTASRVRAARAPAPVGESAGTPSFGKKPGSRRKGYKEQVASATAGRGTETSGDEGESVVAIEASSDETLEETKDSLDEYVVYEMPKEENLSEYEMDKMMGRPHPFVDPQKAMSVGEPKSSEELWWNWRRKSEENEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPTRTEAALAKARRHLFKEERLEAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAVQKHFEETGEDENTQLITMFQHQTAGEFRIMMGTDVRIQRDPLAMRMQEDQIKQIWGGDPVYPTINYVHDPDEVVDYRGPEFHEPTPEVVPYLMEYLPEVRDPMATAVDIGEHSYNEDSDDDEEDADKVVAQPESLEDDEDDGDDAEDAEGKVSRNWSVLKTTGQAENPKGTYFWRSIAARGNEDNWMPTKLHLFNWADHDDEDDGDDAEDAEGKVSRTYFWRSIAARGNEDNWMPTKLHLFNWADHVGE >ORUFI01G35610.7 pep chromosome:OR_W1943:1:29876433:29882021:1 gene:ORUFI01G35610 transcript:ORUFI01G35610.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSRTWLLPGMAPQATAQTVPRPLQSLKVFAGLPHRRRVLFSGVSSRTRRGRIRSVKDDSLHFDPSKIEAPPYSSYFDSTSGQLEPASGARASIPGQEYWPEGTASRVRAARAPAPVGESAGTPSFGKKPGSRRKGYKEQVASATAGRGTETSGDEGESVVAIEASSDETLEETKDSLDEYVVYEMPKEENLSEYEMDKMMGRPHPFVDPQKAMSVGEPKSSEELWWNWRRKSEENEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPTRTEAALAKARRHLFKEERLEAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAVQKHFEETGEDENTQLITMFQHQTAGEFRIMMGTDVRIQRDPLAMRMQEDQIKQIWGGDPVYPTINYVHDPDEVVDYRGPEFHEPTPEVVPYLMEHGIMITKEELYARLNEEMEDINQDITYLPEVRDPMATAVDIGEHSYNEDSDDDEEDADKVVAQPESLEDDEDDGDDAEDAEGKVSRNWSVLKTTGQAENPKEKSKKDQLSLKEAIADSDNLTDFLMDFEEDE >ORUFI01G35610.8 pep chromosome:OR_W1943:1:29881756:29891995:1 gene:ORUFI01G35610 transcript:ORUFI01G35610.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTIWKLCLVHGKIYHHGVNGTYFWRSIAARGNEDNWMPTKLHLFNWADHDDEDDGDDAEDAEGKVSRTYFWRSIAARGNEDNWMPTKLHLFNWADHVGE >ORUFI01G35620.1 pep chromosome:OR_W1943:1:29881672:29895735:-1 gene:ORUFI01G35620 transcript:ORUFI01G35620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAGADTDTVQRKRQLTVRTTSSNGGNGFRVGDGGGGGSKGAAAATPPEPVTRTLTRIYAYVDRINSGREDEVKWGNALGFIILPFFIGMHKDPLDYVRKAKKVVDRKKSSLEVVFTHLAAEVILKLFGLKAAAAIFHRMISHTTISFSNMIGPVEQVEFCGHPVVFIAPSGYGPPEALTVNFQSYVNTMMAVDARIAHAKPAHYTTLSLASATQLSRGPHEMDAGAGAATALRKRQLTVRTTSSNGGNGFRVGDGGGGGSKGAAAATPPEPGAAAATPPEPVSPSARLLEDFFIVVVIGIATPVNDPVARAGIAAQFARYPRFRSIQVTDEDGGNPRWVRTTVNLDDHIIYPELDMDAVAADPDKAVEDYVASLSTKPMDESRPLWEFHVLDFPTSEAAATTAIRMHHSLGDGMSLLTLLMACTRSAADPARLPAMPPLPTRTGAIYARPRPPASAGALAFAAWLWSFVALAWHTVTVNDVLVGITYSALSRYYYRKSGDKDTDEDIRLRSILLVNLRPTTSLHAYVDMINSGREDEVKWGNALGFIILPFFIGVHKDPLDYVRKAKKVVDRKKSSLEVVFTHLAAEVILKLFGLKAAAAIFHRMISHTTISFSNMIGPVEQVEFCGHPVVFIAPSGYGPPEALTVNFQSYVNTMMVNLAVDEAQFPDCHELLDDFSESLRQIKDAALSLGKHHTKA >ORUFI01G35630.1 pep chromosome:OR_W1943:1:29906980:29908581:-1 gene:ORUFI01G35630 transcript:ORUFI01G35630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSHCHTINGGAPRNGAIPEVETTTSTPAASDTALLLDADEFRRLGHQVVDFIADYYAGLGDYPVHPSVTPGFLRRQLPADAPSRPEPEAFAAALRDVRDLILPGVTHWQSPRHFAHFPASSSTVGALGEALAAGINVVPFTWAASPAATELEMVVVDWLGRALHLPESLLFAGGGGGTILGTSCEAVLCALVAARDRKLAEIGARRIGDLVVYCSDQTHFAFRKAARIAGIPREHCREIPTCRDDVFALSPTALHAAMQADVDAGLVPLFLCATVGTTQTTAVDPVRELCAVAARHGGVWVHVDAAYAGSALVCPEFRDVIAGAEAVDSLSMNAHKWLLANNDCCAVWVAAPSALVAALGTEQEYILRDAAAEGHDVVDYKDWGTTLTRRFRALKVWLVLRCYGVEGLRSHVRSHVAMAAAFEAMVRGDARFEVVAPRRFALVCFRLRSPPERLGVGVGVGGEKAANELNRRLLEEVNAASSGPYMSSAMVGGVYMLRCAIGSTLTEERHVREAWKVVQERATSILRKRG >ORUFI01G35640.1 pep chromosome:OR_W1943:1:29914576:29917126:-1 gene:ORUFI01G35640 transcript:ORUFI01G35640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRQLQELRVRPVSASGNSHRTLMPALSMRLWYAPHIELPGGQLLKGATLVAIRPSEDVLREGGGIEVAGLTGASWILDAFEEPYRTAAQDMMGCY >ORUFI01G35650.1 pep chromosome:OR_W1943:1:29919185:29922292:-1 gene:ORUFI01G35650 transcript:ORUFI01G35650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAFAGGTSAICRCPHDPGRAALVSRPRHRLRVLASSLPTPAIEGQGVELSVTTRRGRVLPVLKDCSLRIPPGQLWMLLGPNGCGKSTLLKVLAGFLNPSSGTVYINRPCSYVFQNPDHQVVMPTVESDVAFGLGKLNLSLDEVRSRVSQSLDAVGMLSYSQRPIQTLSGGQKQRVAIAGALAEASKMGVIKAVRKSVGAGGEVSALWVTHRLEELRYADGAIYMEDGQTIIQGDVSTISKYIKKKQARYFGHFEL >ORUFI01G35650.2 pep chromosome:OR_W1943:1:29919185:29922292:-1 gene:ORUFI01G35650 transcript:ORUFI01G35650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAFAGGTSAICRCPHDPGRAALVSRPRHRLRVLASSLPTPAIEGQGVELSVTTRRGRVLPVLKDCSLRIPPGQLWMLLGPNGCGKSTLLKVLAGFLNPSSGTVYINRPCSYVFQNPDHQVVMPTVESDVAFGLGKLNLSLDEVRSRVSQSLDAVGMFTNILHPTQRPIQTLSGGQKQRVAIAGALAEASKMGVIKAVRKSVGAGGEVSALWVTHRLEELRYADGAIYMEDGQTIIQGDVSTISKYIKKKQARYFGHFEL >ORUFI01G35660.1 pep chromosome:OR_W1943:1:29924041:29927460:1 gene:ORUFI01G35660 transcript:ORUFI01G35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGHDMGGMSPPAAGAAAQGGMGAMKSMRYTHMTFFWGKNSEVLFTMWPGTRGGMYALALIFVFALAVIVEFLGSRRADACLAALARRAPAAGGLARAAVHTVRVGVAYLLMLALMSFNGGVFLVAVAGHAAGFLAFRAGLCGGPAQVEEDRKNDPACCYRLVDVGASAMADMGRHGMAMAMAPAAAGGAGRRKRYMHMTFYWGKNSEILFTGWPGASGGMYALALAAVFALAVLLEFLGSPRVQESSSLGSRRRRATAAAVHAVRVGLAYLLMLALMSFNVGVLLAAVAGHAAGFLAFRAGLCGGGYKKGELAPAACC >ORUFI01G35670.1 pep chromosome:OR_W1943:1:29935772:29936455:-1 gene:ORUFI01G35670 transcript:ORUFI01G35670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGEEYCSAEERVLTLLAPLPASFSSTHAAAAELLRVDGSFPRRCCRVVAPKAESGGEAAVVAEIRRKVDEGARVVMGRDVFVLRVGAGFDAAFAMAIVLVLDQIAGDEADGNAGEETNRAMIW >ORUFI01G35680.1 pep chromosome:OR_W1943:1:29940227:29942170:-1 gene:ORUFI01G35680 transcript:ORUFI01G35680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRAAASTFFRHALSTSPYSSSAAAAAAAASSSAVNSIILRSLKEHFLEVSKMTPPPKISPPKPYTIVKGALDQASGPVLRRGYGDAGEEISISVARLANIMPPGADYDSDDDDGGGGGVSESISQLFLHVDISRPGSSKSLQFLCGLYPDAVGIHSVCLRPKTAESGTAGLAGKGGDGYQGRIFQELDENVRDAFHHYIEARGINEKLFPFLQAWLYVKDHRNLIRWFKSVGTLISEPKSE >ORUFI01G35690.1 pep chromosome:OR_W1943:1:29943851:29945989:1 gene:ORUFI01G35690 transcript:ORUFI01G35690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRWHDDGDDGGRGLGDIPDLAGGGGGGDGERCATRRVVQSRCHTEEVEPGRFVRKCEKTEQLLRDCVGRPSELVESKTENTEEDVTDEMKSGSLSLDFPTNEPFAFPGLRSDIEALEKGLFGSIGSFLDDAERMTNDFLKSFGVPSINERESSSFDGQPTGRHIGGQPAGRHIEEGTAKDTKQNDYAEFSSKITDV >ORUFI01G35700.1 pep chromosome:OR_W1943:1:29946606:29947990:-1 gene:ORUFI01G35700 transcript:ORUFI01G35700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRSCGGYTRVQQRRRQHVVGTLAATAHGGSESGMWELQQRVGAATTTRRCGRNGNTVSILTAARSSCAYV >ORUFI01G35710.1 pep chromosome:OR_W1943:1:29952808:29969859:-1 gene:ORUFI01G35710 transcript:ORUFI01G35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPRAPELLQQDQDEEMLVPDQDVVVEGPQPMEDSGSTVENEQVPETSTSRFTWTIEDFSNHRKLYSDVFVVGGHKWRVLVFPTGNSVQSLSMYLDIADANEQPHGWSKYAQFSLAVINQLDSKYSLRKGDLYDPTKGYIVNDKCIIEAEVAVRKIVDFWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKHSDNSVSTKELTKSFGWDTVDSFLQHDVQELNRVLCEKLENKMKGTTVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLALDVKGCSDVYASFDKYVEVERLEGDNKYQSEKHGLQDAKKGMLFIDFPPVLQVQLKRFEYDFVRDTMVKINDRYEFPLQLDLDKDDGKYLSPEADRRVRNLYTLHSVLVHSGGGHGGHYYAFIRPALSDIWYKFDDERVTKEDMKRALEEQYGGEEELPHTNPGLNTTPLRFTKHSNAYMLVYIRESDREKIICNLDENDIPEHLKIRLRKENEEREYKKKEKAEAHMFTALKVARDSDFAEQIGKHIYFDLVDYDNIQSLRAPKHLTINQAKVDLSKEFGIPVQSQRFWLWAKRQNHTFRPVRPLTLQEEASSIGHLTDPSNKSLNSELKLFLEVALGQENHPISVPPKTKDDILIFFKLYDPEKEELRYVGKLLVKASGKPADIVQKLQEMAGFQSDEDIELYEEVMFEPSVMCEPININDSFLSSQLEDGDIICYQKRCSPEKLDHYRCADVPSFFEYIQNRQVVHFRLLENPKDDDFTLELSKRFTYDDVVEKVANQLGLDDPSKLRLTQHLPYSQMPKSHYIKYRGLDHLSDMLRNGNQMSDILYYEILDIPLPVLQDLITLRVAFYHATNNEVSSHFIRLPKGSTMSDLIEDMKSKVELSYSDAEFRLFEVYKNKIRKVYQPSEKISVNEFNGLLCVEEVPEEEKNAGVRDRLVHVCHFIIEKQHIDYYGEPFFFLIRDGETLSDIKVRIQKKLLVSDEQFAKWKFAYIAHNRLAGEYFQDSDIVLSRFQKDVYGPWEQCLGLEHSDVTPKRSCLSNQTIVRVGE >ORUFI01G35720.1 pep chromosome:OR_W1943:1:29973513:29975518:-1 gene:ORUFI01G35720 transcript:ORUFI01G35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTFTASPRTQDFVERKPGSIVERANCMLIMAHGEDLDLEAAEAAAPYHALQGDLREHDEASGRRCSQACLLWTLGLLGLTMFLSTFAFFSTTTRPAATPTLGGRYYHDHDAFSVSIAGYEGIDPGSAGAAVSPAFRVTLGTANGACVDRAAVTVLYSGVALGWAHAEPRDCAAGRRERDVEVVARGQGVGLSERLRGRMASEWRSSGALVLDIDVKAFDEVTSPAYAARHVPDRLIICKVTLDEQGSDSSACPCSNRIMALITFVHRSSCPLRTTTMITMNKQINVLDLLFAITNVRVPIKSQVWYATSSIDFDLYLPASPVRRGLIYLPPMGAGLVEDEDRRRDCLDGHPHIQCAVTVILMAICLALPVYGCWASVYGYKTPDFWVKVPGIEGLERGPSALAAPVFNVTLRVDNEATRRPFCTSRASAAVSYAGVQLGHVDLPGGFCVPGQVVSSVPIVATSDGLGIPSELYERMESQRRWHERVSLEVQVRLDDCCGQLPVMLWRTAVVHGQPQGPFLCKVSPMLKDGEPRPPRLYY >ORUFI01G35730.1 pep chromosome:OR_W1943:1:29978368:29978790:-1 gene:ORUFI01G35730 transcript:ORUFI01G35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGQWNSMFRDHDLDAFSVRLAGYEGIDLGRPAATVSPEFRVTLGTANGACVDRAAVTVLYSGVALGWARAEPRDCAAGRLERDVEVVARGQGVGLSDRLRARMASEWRRSSIGRAGARRRREDLRRGHLPGARYLPHP >ORUFI01G35740.1 pep chromosome:OR_W1943:1:29979500:29980118:1 gene:ORUFI01G35740 transcript:ORUFI01G35740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDDDHGNCLDRRPCLRYFLLATFIATLLSLIAYGQWNSMYGYRKPDFWVKGRRGGSGARLQLTLRVDNEATRRAFCAGRASAAVSYAGVQLAHADLPGGFCVPGQAVGSVPIVATSDGVGIPGELYERMESQRRRRERVSLEVEVRMEDCCGRLPVTLWCTAVLHGQPEGPFLCPFAYMAKGGEPRPCLSCGC >ORUFI01G35750.1 pep chromosome:OR_W1943:1:29980682:29985869:-1 gene:ORUFI01G35750 transcript:ORUFI01G35750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVYPVQRCALTTGHHSEQSAAGADAGRIAPLRVSLLRGMICPKISLACVLLLLWFTSTGDAAYMKYLDPKQPTNTRIKDLISRMTLAEKIGQMTQIERGVASADVMKNYFIGSVLSGGGSVPAPQATPAVWVNMVNEFQKGALSTRLGIPMIYGIDAVHGGSAKQLLLKYGQQAFRTPLLHVCRDPRWGRCYESYSEDHRVVQQMTDIILGLQGDIPINHTKGVPYIAGKDKVAACAKHFVGDGGTHNGINENNTITDEHGLLGIHMPPYYDSIIKGVATVMVSYSSLNGVKMHANHDLGFVISDWLGIDRITSPPDANYTYSVQAGINAGIDMVMVPFNYTQYIDDVTSLVKKGIINMSRIDDAVRPGSHASNLGYQCGGWSIEWIGGSGDITVGTTILEAIKSTVADSTHVVYSENPDESFMKNNDFSFAIVVVGERTYAETTAWLPGTEGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNVGDLHYDPLFPFGFGLTINSSQPGFSGADRLRDRNGGMIYVVLSLVLSVILMHASGIGIF >ORUFI01G35750.2 pep chromosome:OR_W1943:1:29980682:29985869:-1 gene:ORUFI01G35750 transcript:ORUFI01G35750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVYPVQRCALTTGHHSEQSAAGADAGRIAPLRVSLLRGMICPKISLACVLLLLWFTSTGDAAYMKYLDPKQPTNTRIKDLISRMTLAEKIGQMTQIERGVASADVMKNYFIGSVLSGGGSVPAPQATPAVWVNMVNEFQKGALSTRLGIPMIYGIDAVHGGSAKQLLLKYGQQAFRTPLLHVCRDPRWGRCYESYSEDHRVVQQMTDIILGLQGDIPINHTKGVPYIAGKDKVAACAKHFVGDGGTHNGINENNTITDEHGLLGIHMPPYYDSIIKGVATVMVSYSSLNGVKMHANHDLGFVISDWLGIDRITSPPDANYTYSVQAGINAGIDMVMVPFNYTQYIDDVTSLVKKGIINMSRIDDAVRPGSHASNLGYQCGGWSIEWIGGSGDITVGTTILEAIKSTVADSTHVVYSENPDESFMKNNDFSFAIVVVGERTYAETTGDDPELTILDPGTDTIRTVCSTAKCAVVIISGRPVVIEPYLPMMEALVAAWLPGTEGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNVGDLHYDPLFPFGFGLTINSSQPGFSGADRLRDRNGGMIYVVLSLVLSVILMHASGIGIF >ORUFI01G35760.1 pep chromosome:OR_W1943:1:29986821:29991406:-1 gene:ORUFI01G35760 transcript:ORUFI01G35760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSRLQELCQQRRWAPPEYTHRCAGPAHAPLFGATVSVNGVEFRTPEDAARSAKEAHNIAAKAAFDHLSSLPLPPPPPPSENQSSYKSQLQIYAQKKGKLLPSYQTIREGPGHASRFKSVVTVDGKAFESPEYFHTVKEAESAAAKLALMSLPQEASSSEQVPVQPLSYKNLLQELAQKHGFSLPVYSTTSDGSVQDGSFQGEPANTKKQAEMNAARVAFQHFEDRRKNALSSTVLRGPHLGQGTVHISAGQVKIAEPVFSVPLASTATSHSATGATDRDYHSLGSTNPLPIAKSTNCADVHIQPCEFKDEKPAFPEPKTVLEVMDSSPELTPLEDAYSAPVASTSTVSSSGCGSDPLASASTVNSTGCGSVPLASASTVSSTGCGCSLLTNRVQVYPRRPDLVLPEGATVLPFSDDAEENYALGTLR >ORUFI01G35770.1 pep chromosome:OR_W1943:1:29992611:29993529:-1 gene:ORUFI01G35770 transcript:ORUFI01G35770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAQPPGSSKRRGAVERPASGAGLQAEEASSAGPGAPCGACKFLRRRCVPGCVFAPHFSGCGGSGVGAGVGGRGRERGAAEFAAVHRVFGASNVAKLLSRVPAALRREAARTVCYEAQARIADPVYGSVGTILALQHQVSLLQGQLSVLESQLFNLRVALASAHPDTPPQHFVVLQPAHSAASTLNQVVVNYDDLPHAVDFMDAEPAALRGLESLQLSQPLQREEDEGHRDMNLFSDSVGQRQL >ORUFI01G35780.1 pep chromosome:OR_W1943:1:29993975:29996880:-1 gene:ORUFI01G35780 transcript:ORUFI01G35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSATPGAVGELAELVLPWLPPQDLAAAASASRALRAAASSVSAGRAADAAHGLEPHPIPFDNLVDGKPYAYFLYTPFSLTPSSASASPRRAQPWGRTWARPPGPTWPRSDLGGFPSSGCACAQGACGGARGCPCADPEAEAVGLGSEAGMGSLRECGDGCACGPSCGNRRTQLGVTVRLRVVRHREKGWGLHAAEVLRRGQFVCEYAGELLTTEEARRRQGLYDELASVEISSKEKSSPSVMVMLDLGRMACRAFALGMHFPLQESGGDEDESFDKEDSWREWRTQQKNNLVPLQSFIQSTLPFPLSLAFVSSVQQPQLMPKPPLPMPHVPFALALQVLSE >ORUFI01G35790.1 pep chromosome:OR_W1943:1:29998036:30001304:-1 gene:ORUFI01G35790 transcript:ORUFI01G35790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKNLETARADRSVWLMKCPTVVSRAWQEAATAAASSSSSSDAAAGANSNSNANPNPVVAKFKMEMAQTGNGNTPKSYSLNMFKDFVPMCVFSESNQGKLSCEGKVGHKFDMEPHSDNLVNYGKLCRERTQKSMIKNRKLMVLANDNGMSMRPLPGLVGLMSSGPKQKEKKPLPVKPSDMKRTRRDRRELENILFKLFERQPNWSLKNLMQETDQPEQFLKEILNDLCFYNKRGPNQGTHELKPEYKKSTEDADATAT >ORUFI01G35800.1 pep chromosome:OR_W1943:1:30007311:30010394:1 gene:ORUFI01G35800 transcript:ORUFI01G35800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGARSLVVLRRRAGLALLRRGCGEGGTCGKGEAPANARRAAATLAGLGGRGPLGRWADPTGPPRGEAERAGGVWRAGTQTRSFLGCGDGDEGSVLSKVYEERRVMGYSPEQMFAVVAAVDLYEDFVPWCQRSRIIRRHENGSFDAELEIGFKFLVESYVSHVEMEKPKYIKTTASESGLFDHLINVWEFKPGPVPGTCDLYFLVDFKFQSPLYRQVASMFFKEVVSRLVSSLSDRCYRIYGPPIPVLENTYGQGR >ORUFI01G35810.1 pep chromosome:OR_W1943:1:30010340:30011772:-1 gene:ORUFI01G35810 transcript:ORUFI01G35810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSGARLRIPTWCTMPHGSWLLQTCSPSAALASLAVVTTSLLIIGYASSSFFLGAPAYEYDDVVEAAAAVPRRGPGYPPVLAYYISGGHGDSVRMTRLLKAVYHPRNRYLLHLDAGAGADERARLAGYARSERAFLEYGNVHVVGKGDPVDGRGPSAVAAVLRGAAVLLRVGAEWDWLVTLGASDYPLVTPDDLLYAFSSVRRGLSFIDHRMDSGGAEAVVVDQNLLQSTNAEISFSSGQRAKPDAFELFRGSPRPILSRDFVEYCVVAPDNLPRTLLLYFSNSLSPMEFYFQTVMANSAQFRNSTVNHNLRHTVAQDGGAPTSQGADGQQASRYDAMVGSGAAFAGAFGDDDDALLQRIDEEVLRRPLDGVTPGEWCVADGEEGTDNECSVGGDIDVVRHGAKGRKLATLVVDLVGA >ORUFI01G35820.1 pep chromosome:OR_W1943:1:30014158:30021544:1 gene:ORUFI01G35820 transcript:ORUFI01G35820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGVRQARLKAKKVEDLAAQDPAENLVVVAPTVAGRRGRGRGGRGGGRATGRGRAGGRGRGVPVIDLDPDQPCEVLPGAAIGGVAAGGPHHIEELANKVVKMDGGSAEKIGGGEDDGNASPVPDKVQVGHSPQYKVERKLGKGGFGQVYVGRRVSGGTDRTGADAIEVALKFEHRNSKGCNYGPPYEWQVYSALNGCYGIPWVHYKGRQGDYYVLVMDILGPSLWDVWNSVGQTMTPSMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASKWKETPNGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKSFLVCKKKMATSPDLLCCFCPPPFKLFLESVTNMRFDEEPNYAKLISLFDELIEPQHLRPIRIDGALKAGQKRGRLLVNLEEDEQPKKKVRLGSPANQWISVYNARRPMKQRYHYNVADARLHQHIEKGNDDGLFISSVASSANLWALIMDAGTGFTSQVYELSPIFLHKDWIMEQWENNYYISAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGFSEQVVELDFLYPSEGIHRRWENGYRITSTAATGDQAAFILSIPKRKLMDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTVS >ORUFI01G35820.2 pep chromosome:OR_W1943:1:30014217:30021544:1 gene:ORUFI01G35820 transcript:ORUFI01G35820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGVRQARLKAKKVEDLAAQDPAENLVVVAPTVAGRRGRGRGGRGGGRATGRGRAGGRGRGVPVIDLDPDQPCEVLPGAAIGGVAAGGPHHIEELANKVVKMDGGSAEKIGGGEDDGNASPVPDKVQVGHSPQYKVERKLGKGGFGQVYVGRRVSGGTDRTGADAIEVALKFEHRNSKGCNYGPPYEWQVYSALNGCYGIPWVHYKGRQGDYYVLVMDILGPSLWDVWNSVGQTMTPSMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASKWKETPNGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKSFLVCKKKMATSPDLLCCFCPPPFKLFLESVTNMRFDEEPNYAKLISLFDELIEPQHLRPIRIDGALKAGQKRGRLLVNLEEDEQPKKKVRLGSPANQWISVYNARRPMKQRYHYNVADARLHQHIEKGNDDGLFISSVASSANLWALIMDAGTGFTSQVYELSPIFLHKDWIMEQWENNYYISAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGFSEQVVELDFLYPSEGIHRRWENGYRITSTAATGDQAAFILSIPKRKLMDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTVS >ORUFI01G35830.1 pep chromosome:OR_W1943:1:30022613:30045759:1 gene:ORUFI01G35830 transcript:ORUFI01G35830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKELMGSCSSQVQCTCLECFCKKPKLRSLSSPMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILTTLKDHAEMTDEIVQLKTLQTILIIFQSHLQPESEVNMSQAFDICLHLLESNRSSDSVRKSLGRNSVEPTVREKLSNVGKLGLRLLEDLTALAAGGSATWLRVYSLHRTFALDILEFVLSTYVSVFRALLPYQQLEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGEGEAIILEILKGYQAFTQACGVLRAIEPLNSFLASLCKFTINNPNEGEKRSIVLSPGSKKVEMLVDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQLANCSNAQLRNMALESLDHSICSVVGSEKFQGISSAPHHFQEEKLLKESETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGTVKEEALSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMHLLRLEMSGKERNLELEQGKLFICLSTTGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTSPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSIPALQRVERSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLLYIGDKLMCYSIRNQQSIDLSSYEAFLADENDLGEGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >ORUFI01G35830.2 pep chromosome:OR_W1943:1:30022613:30045759:1 gene:ORUFI01G35830 transcript:ORUFI01G35830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKLRSLSSPMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILTTLKDHAEMTDEIVQLKTLQTILIIFQSHLQPESEVNMSQAFDICLHLLESNRSSDSVRNTAAATFRQAVALVFDNVVHAESLPSSKASSARLSSRASSVADNVTRSFSHTLSLGRNSVEPTVREKLSNVGKLGLRLLEDLTALAAGGSATWLRVYSLHRTFALDILEFVLSTYVSVFRALLPYQQLEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGEGEAIILEILKGYQAFTQACGVLRAIEPLNSFLASLCKFTINNPNEGEKRSIVLSPGSKKVEMLVDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQLANCSNAQLRNMALESLDHSICSVVGSEKFQGISSAPHHFQEEKLLKESETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGTVKEEALSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMHLLRLEMSGKERNLELEQGKLFICLSTTGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTSPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSIPALQRVERSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLLYIGDKLMCYSIRNQQREGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >ORUFI01G35830.3 pep chromosome:OR_W1943:1:30022613:30045759:1 gene:ORUFI01G35830 transcript:ORUFI01G35830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKELMGSCSSQVQCTCLECFCKKPKLRSLSSPMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILTTLKDHAEMTDEIVQLKTLQTILIIFQSHLQPESEVNMSQAFDICLHLLESNRSSDSVRNTAAATFRQAVALVFDNVVHAESLPSSKASSARLSSRASSVADNVTRSFSHTLSLGRNSVEPTVREKLSNVGKLGLRLLEDLTALAAGGSATWLRVYSLHRTFALDILEFVLSTYVSVFRALLPYQQLEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGEACGVLRAIEPLNSFLASLCKFTINNPNEGEKRSIVLSPGSKKVEMLVDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQGISSAPHHFQEEKLLKESETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGTVKEEALSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMHLLRLEMSGKERNLELEQGKLFICLSTTGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTSPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSIPALQRVERSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLLYIGDKLMCYSIRNQQREGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >ORUFI01G35830.4 pep chromosome:OR_W1943:1:30022613:30045759:1 gene:ORUFI01G35830 transcript:ORUFI01G35830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKELMGSCSSQVQCTCLECFCKKPKLRSLSSPMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILTTLKDHAEMTDEIVQLKTLQTILIIFQSHLQPESEVNMSQAFDICLHLLESNRSSDSVRKSLGRNSVEPTVREKLSNVGKLGLRLLEDLTALAAGGSATWLRVYSLHRTFALDILEFVLSTYVSVFRALLPYQQLEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGEACGVLRAIEPLNSFLASLCKFTINNPNEGEKRSIVLSPGSKKVEMLVDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQLANCSNAQLRNMALESLDHSICSVVGSEKFQGISSAPHHFQEEKLLKESETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGTVKEEALSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMHLLRLEMSGKERNLELEQGKLFICLSTTGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTSPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSIPALQRVERSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLLYIGDKLMCYSIRNQQSIDLSSYEAFLADENDLGEGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >ORUFI01G35830.5 pep chromosome:OR_W1943:1:30022613:30045759:1 gene:ORUFI01G35830 transcript:ORUFI01G35830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKLRSLSSPMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILTTLKDHAEMTDEIVQLKTLQTILIIFQSHLQPESEVNMSQAFDICLHLLESNRSSDSVRNTAAATFRQAVALVFDNVVHAESLPSSKASSARLSSRASSVADNVTRSFSHTLSLGRNSVEPTVREKLSNVGKLGLRLLEDLTALAAGGSATWLRVYSLHRTFALDILEFVLSTYVSVFRALLPYQQLEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGEGEAIILEILKGYQAFTQACGVLRAIEPLNSFLASLCKFTINNPNEGEKRSIVLSPGSKKVEMLVDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQGISSAPHHFQEEKLLKESETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGTVKEEALSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMHLLRLEMSGKERNLELEQGKLFICLSTTGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTSPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSIPALQRVERSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLLYIGDKLMCYSIRNQQREGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >ORUFI01G35830.6 pep chromosome:OR_W1943:1:30022613:30045759:1 gene:ORUFI01G35830 transcript:ORUFI01G35830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKELMGSCSSQVQCTCLECFCKKPKLRSLSSPMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILTTLKDHAEMTDEIVQLKTLQTILIIFQSHLQPESEVNMSQAFDICLHLLESNRSSDSVRKSLGRNSVEPTVREKLSNVGKLGLRLLEDLTALAAGGSATWLRVYSLHRTFALDILEFVLSTYVSVFRALLPYQQLEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGEACGVLRAIEPLNSFLASLCKFTINNPNEGEKRSIVLSPGSKKVEMLVDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQGISSAPHHFQEEKLLKESETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGTVKEEALSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMHLLRLEMSGKERNLELEQGKLFICLSTTGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTSPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSIPALQRVERSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLLYIGDKLMCYSIRNQQSIDLSSYEAFLADENDLGEGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >ORUFI01G35830.7 pep chromosome:OR_W1943:1:30022613:30045759:1 gene:ORUFI01G35830 transcript:ORUFI01G35830.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKLRSLSSPMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILTTLKDHAEMTDEIVQLKTLQTILIIFQSHLQPESEVNMSQAFDICLHLLESNRSSDSVRNTAAATFRQAVALVFDNVVHAESLPSSKASSARLSSRASSVADNVTRSFSHTLSLGRNSVEPTVREKLSNVGKLGLRLLEDLTALAAGGSATWLRVYSLHRTFALDILEFVLSTYVSVFRALLPYQQLEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGEACGVLRAIEPLNSFLASLCKFTINNPNEGEKRSIVLSPGSKKVEMLVDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQGISSAPHHFQEEKLLKESETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGTVKEEALSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMHLLRLEMSGKERNLELEQGKLFICLSTTGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTSPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSIPALQRVERSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLLYIGDKLMCYSIRNQQREGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >ORUFI01G35830.8 pep chromosome:OR_W1943:1:30022613:30045759:1 gene:ORUFI01G35830 transcript:ORUFI01G35830.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKELMGSCSSQVQCTCLECFCKKPKLRSLSSPMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILTTLKDHAEMTDEIVQLKTLQTILIIFQSHLQPESEVNMSQAFDICLHLLESNRSSDSVRKSLGRNSVEPTVREKLSNVGKLGLRLLEDLTALAAGGSATWLRVYSLHRTFALDILEFVLSTYVSVFRALLPYQQLEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGEACGVLRAIEPLNSFLASLCKFTINNPNEGEKRSIVLSPGSKKVEMLVDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQGISSAPHHFQEEKLLKESETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGTVKEEALSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMHLLRLEMSGKERNLELEQGKLFICLSTTGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTSPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSIPALQRVERSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLLYIGDKLMCYSIRNQQREGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >ORUFI01G35830.9 pep chromosome:OR_W1943:1:30022613:30045759:1 gene:ORUFI01G35830 transcript:ORUFI01G35830.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKLRSLSSPMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILTTLKDHAEMTDEIVQLKTLQTILIIFQSHLQPESEVNMSQAFDICLHLLESNRSSDSVRKSLGRNSVEPTVREKLSNVGKLGLRLLEDLTALAAGGSATWLRVYSLHRTFALDILEFVLSTYVSVFRALLPYQQLEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGEACGVLRAIEPLNSFLASLCKFTINNPNEGEKRSIVLSPGSKKVEMLVDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQGISSAPHHFQEEKLLKESETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGTVKEEALSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMHLLRLEMSGKERNLELEQGKLFICLSTTGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTSPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSIPALQRVERSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLLYIGDKLMCYSIRNQQSIDLSSYEAFLADENDLGEGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >ORUFI01G35840.1 pep chromosome:OR_W1943:1:30051203:30055361:1 gene:ORUFI01G35840 transcript:ORUFI01G35840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRALAQMSNATVIDEKVLSDCLNEISRALLQSDVQFKMVRDMQSNIKRIVNLETLAAGTNKRRIIQQAVFTELCNMLDPGKPSFTPKKGKPCVVMFVGLQGSGKTTTCTKYAYYHQRKGFKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVSVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHIDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPTDQQPELLQKLSEGTFTLRLMYEQFQNILKMGPIGQVFSMLPGFSSELMPKGHEKESQAKIKRYMTMMDSMTDGELDSTNPKLMTESRILRIARGSGRPVRDVVDMLEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNVQHMSKVLPPQMLKQIGGMGGLQSLMKQMGSKEMGGMFGGMGGDK >ORUFI01G35850.1 pep chromosome:OR_W1943:1:30055513:30056878:-1 gene:ORUFI01G35850 transcript:ORUFI01G35850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGDVDGAAGALRRFVREAGGCAVVDGGLATELEAHGADLHDELWSASCLVSAPHLIRKVHLDYLDAGANIITSASYQATIQGFQARGLSRERSEALLRRSVHIAQEARAIFAEGWSKGPYANHRSSPRRPVLVAASIGSYGAYLADGSEYTGDYGISVTKETLKSFHRRRLQVLADAGPDLIAFETIPNKLEAQASGDPITECAAVADACARVGAVGVNCTAPRLVHGLILSIRKVTSKPVVVYPNSGETYVAETKEWVESEGGASETDFVSCVGKWRQAGAALVGGCCRTSPATVRAISWALRESDDAVGGDGDRDDFPAVAVL >ORUFI01G35850.2 pep chromosome:OR_W1943:1:30055513:30056878:-1 gene:ORUFI01G35850 transcript:ORUFI01G35850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGDVDGAAGALRRFVREAGGCAVVDGGLATELEAHGADLHDELWSASCLVSAPHLIRKVHLDYLDAGANIITSASYQVFDKLLIYIWRLTSAIISVSYIRSHFRMQATIQGFQARGLSRERSEALLRRSVHIAQEARAIFAEGWSKGPYANHRSSPRRPVLVAASIGSYGAYLADGSEYTGDYGISVTKETLKSFHRRRLQVLADAGPDLIAFETIPNKLEAQASGDPITECAAVADACARVGAVGVNCTAPRLVHGLILSIRKVTSKPVVVYPNSGETYVAETKEWVESEGGASETDFVSCVGKWRQAGAALVGGCCRTSPATVRAISWALRESDDAVGGDGDRDDFPAVAVL >ORUFI01G35860.1 pep chromosome:OR_W1943:1:30059593:30065824:-1 gene:ORUFI01G35860 transcript:ORUFI01G35860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHLLSPLLSPLAYPKPPSSRLAPRRVASHRTVAPPPAARRAPLSEPDVGISLFASALPGFRGALKQRYSDFVVHEVALDGSLVRLTSFDLPDGECVDAKEGGMDEAQALESFRLLCGDADCEALRGFLERVSEGGDSDVSPIILSADADKTHRSEVHDFFKRNFEALLTDTVEHSDGIQRCIRVRLKPGRRERRDVDGRGRNRKGTGSSGWRDDKPFDSRGSIIWPDHLGKFIRFHLYKENKDTQEALGKIGKMLGLQPRSFGFAGTKDKRAVTTQQVTVFKVQASRLVALNSKLIGIKVGDFSYVKEGLALGQLMGNRFTITLRSVVTESEDVIKAALDGLITNGFINYYGLQRFGSGSVPNHQVGVALLRGEWRNAVQLILGPREGDILEYGDIGTALRNFPRYLITERAIRLKKYPGNYLQALMAIPRTLRLMYVHSYQSYLWNHAASMRVQKYGISRVVEGDLVYKKEAPFEQGALKATSEDDGQTMSSEMNACCETLPEEMIQSVKIADSEDLSKSLYTFEDVVLPLPGSETLFPGNEVAGIYHEIAMKDGINLRESVHGVEDLITYTDEKIPLVETDLDVLSKTKPLEVNELLSDGISSCTSHDSGLEASLDASESINGASLVVAEAKSIGSSDMLEKLAIKLAFTLPASSYATMAIRELMKTSTSVAHQKTLNI >ORUFI01G35860.2 pep chromosome:OR_W1943:1:30059593:30065824:-1 gene:ORUFI01G35860 transcript:ORUFI01G35860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHLLSPLLSPLAYPKPPSSRLAPRRVASHRTVAPPPAARRAPLSEPDVGISLFASALPGFRGALKQRYSDFVVHEVALDGSLVRLTSFDLPDGECVDAKEGGMDEAQALESFRLLCGDADCEALRGFLERVSEGGDSDVSPIILSADADKTHRSEVHDFFKRNFEALLTDTVEHSDGIQRCIRVRLKPGRRERRDVDGRGRNRKGTGSSGWRDDKPFDSRGSIIWPDHLGKFIRFHLYKENKDTQEALGKIGKMLGLQPRSFGFAGTKDKRAVTTQQVTVFKVQASRLVALNSKLIGIKVGDFSYVKEGLALGQLMGNRFTITLRSVVTESEDVIKAALDGLITNGFINYYGLQEYGDIGTALRNFPRYLITERAIRLKKYPGNYLQALMAIPRTLRLMYVHSYQSYLWNHAASMRVQKYGISRVVEGDLVYKKEAPFEQGALKATSEDDGQTMSSEMNACCETLPEEMIQSVKIADSEDLSKSLYTFEDVVLPLPGSETLFPGNEVAGIYHEIAMKDGINLRESVHGVEDLITYTDEKIPLVETDLDVLSKTKPLEVNELLSDGISSCTSHDSGLEASLDASESINGASLVVAEAKSIGSSDMLEKLAIKLAFTLPASSYATMAIRELMKTSTSVAHQKTLNI >ORUFI01G35870.1 pep chromosome:OR_W1943:1:30067583:30073187:1 gene:ORUFI01G35870 transcript:ORUFI01G35870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPSTPRLGAVAGAAASPGAAEQMFAALVGGKAYPISSEFWRQLLELPLTQQWPRDRVLQACHAFAQNNYHTKHLAKILIHLVWCLQECMAESSHAAYRKAINAAYISSIFLKFIIENAKTDNWQELSLEIDKDEKGLENFLSEQSVEYFLMRGVLNYIGSVDVSLESCYLHHELLNLMLVLMSTQLCSGPSPEPKDVHPFIDAAMLQDSSIVSSVVQKLLLNFVKRPRIPLNSSHPAFSDDGGPGVLQRVGSVAANFVLLPYYTFNYLVSSNAEGASSQLADNSLLVLLILIHYRKCITMSESFPSSNVYTSDLNTNVKDAPAFHDNPYYKALSNAKDSQYDRADVEGNAQNGLVVRLSFASLFDALGTCLKDESSVLLLYSLVHGNCDFQEYVLVRTDLDTLLMPILEMLYNASRKTSNQIYMLLIVLLILSQDSTFNASVHKLVLPSVPWYHERLMHQTSLGSLMVVILIRTIKYNLSKLRDVYLHTNCLAILANMAPHVHRLSAYASQRLVSLFDMLSRKYTKLAELKNDKAIKVISDQIEADNISDDTSTELHIYTDFLRIVLEIINAILTYALPRNPEVVYAILHRQEVFQPFKNHPRFNELLENIYTVLDFFNSRMDMQQLDGEWSVDKVLEVINKNCRSWRGEGMKMFTQLRFTYEQESHPEEFFIPYAWRVVLSRG >ORUFI01G35880.1 pep chromosome:OR_W1943:1:30074953:30079942:-1 gene:ORUFI01G35880 transcript:ORUFI01G35880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEDDDAGGGEFVGARLDAGLRAARFASPPSADEFAAAVEPRNAPAVFRGVVKDWTASSRWDPRRGGLDYLREKVGPDVDVEAMMSSTGHVLVPFSKFMNSCKSYLRCPNASSDSPKAQEILKEPASSEEGCSSSLESCDQVYLAQVSILNTENKERCSLEVLKEDIQEPTFLRGKPFSSINIWMNRAHLRSSTHYDPHHNLLCVVAGCKKVTLWPPSSSPYLYPMPVYGEASNHSSVSIEEPDYSRYTRARYMKEYSERVILNCGDALFIPEGWYHQVDSDDLTIAINFWWKSRIMNEILVDKEMNKMVQENSVSCSKGTTVCQPTDEESGGPEQLKQKQVNSDSSDKKDSPLQTLEPSTLQALYELISLVHNSAEMVSQNEITEPTSQDAYCKQSNGSKKIAADDSSLLEKDPIAKIILPLKPLELQSMLLAMARTFPRTLEALVLNMLGPIGAEILTRKFDEMDQQATKEQQSEFYKTFYSVFDDQYAAMDALLNGKEMFSFQVFQSVLRQYIGVTLAGLVVLTKGQ >ORUFI01G35880.2 pep chromosome:OR_W1943:1:30074953:30079942:-1 gene:ORUFI01G35880 transcript:ORUFI01G35880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEDDDAGGGEFVGARLDAGLRAARFASPPSADEFAAAVEPRNAPAVFRGVVKDWTASSRWDPRRGGLDYLRVWIDPSFYLYLAHMRRQWERYLVSEKVGPDVDVEAMMSSTGHVLVPFSKFMNSCKSYLRCPNASSDSPKAQEILKEPASSEEGCSSSLESCDQVYLAQVSILNTENKERCSLEVLKEDIQEPTFLRGKPFSSINIWMNRAHLRSSTHYDPHHNLLCVVAGCKKVTLWPPSSSPYLYPMPVYGEASNHSSVSIEEPDYSRYTRARYMKEYSERVILNCGDALFIPEGWYHQVDSDDLTIAINFWWKSRIMNEILVDKEMNKMVQENSVSCSKGTTVCQPTDEESGGPEQLKQKQVNSDSSDKKDSPLQTLEPSTLQALYELISLVHNSAEMVSQNEITEPTSQDAYCKQSNGSKKIAADDSSLLEKDPIAKIILPLKPLELQSMLLAMARTFPRTLEALVLNMLGPIGAEILTRKFDEMDQQATKEQQSEFYKTFYSVFDDQYAAMDALLNGKEMFSFQVFQSVLRQYIGVTLAGLVVLTKGQ >ORUFI01G35880.3 pep chromosome:OR_W1943:1:30074953:30079942:-1 gene:ORUFI01G35880 transcript:ORUFI01G35880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEDDDAGGGEFVGARLDAGLRAARFASPPSADEFAAAVEPRNAPAVFRGVVKDWTASSRWDPRRGGLDYLREKVGPDVDVEAMMSSTGHVLVPFSKFMNSCKSYLRCPNASSDSPKAQEILKEPASSEEGCSSSLESCDQVYLAQVSILNTENKERCSLEVLKEDIQEPTFLRGKPFSSINIWMNRAHLRSSTHYDPHHNLLCVVAGCKKVTLWPPSSSPYLYPMPVYGEASNHSSVSIEEPDYSRYTRARYMKEYSERVILNCGDALFIPEGWYHQVDSDDLTIAINFWWKSRIMNEMLEHMDAYYLRRILSRLVDKEMNKMVQENSVSCSKGTTVCQPTDEESGGPEQLKQKQVNSDSSDKKDSPLQTLEPSTLQALYELISLVHNSAEMVSQNEITEPTSQDAYCKQSNGSKKIAADDSSLLEKDPIAKIILPLKPLELQSMLLAMARTFPRTLEALVLNMLGPIGAEILTRKFDEMDQQATKEQQSEFYKTFYSVFDDQYAAMDALLNGKEMFSFQVFQSVLRQYIGVTLAGLVVLTKGQ >ORUFI01G35880.4 pep chromosome:OR_W1943:1:30074953:30079942:-1 gene:ORUFI01G35880 transcript:ORUFI01G35880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEDDDAGGGEFVGARLDAGLRAARFASPPSADEFAAAVEPRNAPAVFRGVVKDWTASSRWDPRRGGLDYLREKVGPDVDVEAMMSSTGHVLVPFSKFMNSCKSYLRCPNASSDSPKAQEILKEPASSEEGCSSSLESCDQVYLAQVSILNTENKERLTLWPPSSSPYLYPMPVYGEASNHSSVSIEEPDYSRYTRARYMKEYSERVILNCGDALFIPEGWYHQVDSDDLTIAINFWWKSRIMNEILVDKEMNKMVQENSVSCSKGTTVCQPTDEESGGPEQLKQKQVNSDSSDKKDSPLQTLEPSTLQALYELISLVHNSAEMVSQNEITEPTSQDAYCKQSNGSKKIAADDSSLLEKDPIAKIILPLKPLELQSMLLAMARTFPRTLEALVLNMLGPIGAEILTRKFDEMDQQATKEQQSEFYKTFYSVFDDQYAAMDALLNGKEMFSFQVFQSVLRQYIGVTLAGLVVLTKGQ >ORUFI01G35880.5 pep chromosome:OR_W1943:1:30074953:30079942:-1 gene:ORUFI01G35880 transcript:ORUFI01G35880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEDDDAGGGEFVGARLDAGLRAARFASPPSADEFAAAVEPRNAPAVFRGVVKDWTASSRWDPRRGGLDYLRVWIDPSFYLYLAHMRRQWERYLVSEKVGPDVDVEAMMSSTGHVFYGDLRSHERAGFFTLNLLGSCNFHHFARGANAAYLAPRFQVLVPFSKFMNSCKSYLRCPNASSDSPKAQEILKEPASSEEGCSSSLESCDQVYLAQVSILNTENKERCSLEVLKEDIQEPTFLRGKPFSSINIWMNRAHLRSSTHYDPHHNLLCVVAGCKKVTLWPPSSSPYLYPMPVYGEASNHSSVSIEEPDYSRYTRARYMKEYSERVILNCGDALFIPEGWYHQVDSDDLTIAINFWWKSRIMNEILVDKEMNKMVQENSVSCSKGTTVCQPTDEESGGPEQLKQKQVNSDSSDKKDSPLQTLEPSTLQALYELISLVHNSAEMVSQNEITEPTSQDAYCKQSNGSKKIAADDSSLLEKDPIAKIILPLKPLELQSMLLAMARTFPRTLEALVLNMLGPIGAEILTRKFDEMDQQATKEQQSEFYKTFYSVFDDQYAAMDALLNGKEMFSFQVFQSVLRQYIGVTLAGLVVLTKGQ >ORUFI01G35880.6 pep chromosome:OR_W1943:1:30074953:30079942:-1 gene:ORUFI01G35880 transcript:ORUFI01G35880.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEDDDAGGGEFVGARLDAGLRAARFASPPSADEFAAAVEPRNAPAVFRGVVKDWTASSRWDPRRGGLDYLRVWIDPSFYLYLAHMRRQWERYLVSEKVGPDVDVEAMMSSTGHVFYGDLRSHERAGFFTLNLLGSCNFHHFARGANAAYLAPRFQVLVPFSKFMNSCKSYLRCPNASSDSPKAQEILKEPASSEEGCSSSLESCDQVYLAQVSILNTENKERCSLEVLKEDIQEPTFLRGKPFSSINIWMNRAHLRSSTHYDPHHNLLCVVAGCKKVTLWPPSSSPYLYPMPVYGEASNHSSVSIEEPDYSRYTRARYMKEYSERVILNCGDALFIPEGWYHQVDSDDLTIAINFWWKSRIMNEMLEHMDAYYLRRILSRLVDKEMNKMVQENSVSCSKGTTVCQPTDEESGGPEQLKQKQVNSDSSDKKDSPLQTLEPSTLQALYELISLVHNSAEMVSQNEITEPTSQDAYCKQSNGSKKIAADDSSLLEKDPIAKIILPLKPLELQSMLLAMARTFPRTLEALVLNMLGPIGAEILTRKFDEMDQQATKEQQSEFYKTFYSVFDDQYAAMDALLNGKEMFSFQVFQSVLRQYIGVTLAGLVVLTKGQ >ORUFI01G35880.7 pep chromosome:OR_W1943:1:30074953:30079942:-1 gene:ORUFI01G35880 transcript:ORUFI01G35880.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEDDDAGGGEFVGARLDAGLRAARFASPPSADEFAAAVEPRNAPAVFRGVVKDWTASSRWDPRRGGLDYLRVWIDPSFYLYLAHMRRQWERYLVSEKVGPDVDVEAMMSSTGHVFYGDLRSHERAGFFTLNLLGSCNFHHFARGANAAYLAPRFQVLVPFSKFMNSCKSYLRCPNASSDSPKAQEILKEPASSEEGCSSSLESCDQVYLAQVSILNTENKERCSLEVLKEDIQEPTFLRGKPFSSINIWMNRAHLRSSTHYDPHHNLLCVVAGCKKVTLWPPSSSPYLYPMPVYGEASNHSSVSIEEPDYSRYTRARYMKEYSERVILNCGDALFIPEGWYHQVDSDDLTIAINFWWKSRIMNEILVDKEMPTDEESGGPEQLKQKQVNSDSSDKKDSPLQTLEPSTLQALYELISLVHNSAEMVSQNEITEPTSQDAYCKQSNGSKKIAADDSSLLEKDPIAKIILPLKPLELQSMLLAMARTFPRTLEALVLNMLGPIGAEILTRKFDEMDQQATKEQQSEFYKTFYSVFDDQYAAMDALLNGKEMFSFQVFQSVLRQYIGVTLAGLVVLTKGQ >ORUFI01G35880.8 pep chromosome:OR_W1943:1:30074953:30079942:-1 gene:ORUFI01G35880 transcript:ORUFI01G35880.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEDDDAGGGEFVGARLDAGLRAARFASPPSADEFAAAVEPRNAPAVFRGVVKDWTASSRWDPRRGGLDYLREKVGPDVDVEAMMSSTGHVLVPFSKFMNSCKSYLRCPNASSDSPKAQEILKEPASSEEGCSSSLESCDQVYLAQVSILNTENKERLTLWPPSSSPYLYPMPVYGEASNHSSVSIEEPDYSRYTRARYMKEYSERVILNCGDALFIPEGWYHQVDSDDLTIAINFWWKSRIMNEMLEHMDAYYLRRILSRCTTVCQPTDEESGGPEQLKQKQVNSDSSDKKDSPLQTLEPSTLQALYELISLVHNSAEMVSQNEITEPTSQDAYCKQSNGSKKIAADDSSLLEKDPIAKIILPLKPLELQSMLLAMARTFPRTLEALVLNMLGPIGAEILTRKFDEMDQQATKEQQSEFYKTFYSVFDDQYAAMDALLNGKEMFSFQVFQSVLRQYIGVTLAGLVVLTKGQ >ORUFI01G35890.1 pep chromosome:OR_W1943:1:30081556:30083149:1 gene:ORUFI01G35890 transcript:ORUFI01G35890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKGGVSHEMARATVQAHSFSERKKGSRRKHTCAAARVPYVFSGSAGKARAKASCRMPIKLNQASVRTSMHIDLPAPRGQDTRKDTRSTLHAFDQPGNDARRDERLRTARDEAPTYAARRRVSLPRPPALDQPYS >ORUFI01G35900.1 pep chromosome:OR_W1943:1:30092283:30095009:1 gene:ORUFI01G35900 transcript:ORUFI01G35900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMGNNNVTGQQVSEETAQGFDRTIEHLDGPPSLNSTNDKGKDGTKDLDKSKLLKDPVITNGGNEQMVSIKGDSFNKNKDLDDKNRGSLQDHSLTQDNDQSCHLPSTQDDEPLSNKEIERVTNLPETTASVSITIEDTTSNKDSSTLVEKIKLVHKTTERSEEINIGNSQSLLKENMEGPLEDEESGMRDDLIGEDKAEKLDQGQTAVSIIENLLMPMQGGSTSSTETITTDYLDADDSDIKEVVIENEPTGKRNSLYVRPADDTNLKTFKNDRARIPDEKEDISEISQRATVETGIGSCEVIDEGKKTHGLKDQNKDTCGALDIGEVVSKFQSSLTDTSATDAIELEKHELNKRGDDVAGEISDSLTRTEEHNAIERTHTEQERDAKDAAVKDPADNSDEEKKSDCTHDIVSLVEVNGKKFTGLDSFLSYQLSTVNEEKVQTEVREGLFRPSSPLQLIEDFHKRDLKVDSPHNNEETIISTYEVETTDIHDTLAVSQFDRPQQMLLEEPEVVKFENGSILSCMQLVEKSSKTDTFFPHGSKQEKDSASTTAIGLTSESNLEKVMVKVDFPAESNQKKIIADTDKASQEGYLLQIPASRRDASEETPLLKMVENTSSFSFSNEQHSKVVECIPMTSISMMQVKDDGDEEYEKSPLLSPREQEGENFMVPNHSVRNKKPLQSLTTGESVCMQSSLKEQEVPNNSTMVSSPRSTRKQKPRSSIFASCMCCATATN >ORUFI01G35910.1 pep chromosome:OR_W1943:1:30097543:30107819:1 gene:ORUFI01G35910 transcript:ORUFI01G35910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSGPDAMQPALRRRRRRQGFLLPFACLSAALIAAALLPGAAFADGVTPSEARRLRDEVKDMFYHAFDGYMQHAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKERFDAAVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATGMRIQSYDDQLLHLAADLAQRLLPAFDTPTELAIRNRPRVWTHITSTAGGGTLTLEFGVLSRLTNDSVYERVTKNSVRGIWARRSKLDLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKEAYKAAMHYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHAAFFSVWKKYGFTPEGFNLATSTVQNGQRSYPLRPELIESTYWLFKATRDYRYLDVGRDILASLQYGAKCPCGYCHISDVETHQQDDHMESFFLAETVKYLWLLFDLAAGPDNIVENGPYKYIFSTEGHLLPATPQITLLDEHCLYAGAFCNGTAGHGYGTGDSSNKHQNANSVPFDDSRTPSDQSLSNILSTTRGYIKGVCPGLTHAQKLGISYSAEEDNSIQQNSGSSENLDESHVIKSSVQAQSSSVILISHPVASQTEEPTSGRSQYNANIVATAESVGTDTAGASSHPEDLVKETESVSEQKEINDKEIASQGSQPTEGRYLT >ORUFI01G35910.2 pep chromosome:OR_W1943:1:30097543:30107819:1 gene:ORUFI01G35910 transcript:ORUFI01G35910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSGPDAMQPALRRRRRRQGFLLPFACLSAALIAAALLPGAAFADGVTPSEARRLRDEVKDMFYHAFDGYMQHAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKERFDAAVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATGMRIQSYDDQLLHLAADLAQRLLPAFDTPTGIPFGSITSTAGGGTLTLEFGVLSRLTNDSVYERVTKNSVRGIWARRSKLDLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKEAYKAAMHYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHAAFFSVWKKYGFTPEGFNLATSTVQNGQRSYPLRPELIESTYWLFKATRDYRYLDVGRDILASLQYGAKCPCGYCHISDVETHQQDDHMESFFLAETVKYLWLLFDLAAGPDNIVENGPYKYIFSTEGHLLPATPQITLLDEHCLYAGAFCNGTAGHGYGTGDSSNKHQNANSVPFDDSRTPSDQSLSNILSTTRGYIKGVCPGLTHAQKLGISYSAEEDNSIQQNSGSSENLDESHVIKSSVQAQSSSVILISHPVASQTEEPTSGRSQYNANIVATAESVGTDTAGASSHPEDLVKETESVSEQKEINDKEIASQGSQPTEGRYLT >ORUFI01G35910.3 pep chromosome:OR_W1943:1:30107324:30107918:1 gene:ORUFI01G35910 transcript:ORUFI01G35910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNTPSRIHPSRLLLSPRLSPVPSVDILSSTSAIAGAAVAAIASSDAPAPLTAAAVAIPSGPARTARATTEVAAAALTVAMAVALPRSLAGCFAAAGEWCVTRVCVARVGGVSLLRRYMSKLSARSIWRGHESPGATGIG >ORUFI01G35920.1 pep chromosome:OR_W1943:1:30107254:30107737:-1 gene:ORUFI01G35920 transcript:ORUFI01G35920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSAAAATSVVARAVLAGPLGLPQMRARRSERVRCNYSKEAATPAAAVKGAGASLLAMAATAAPAMALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTIYTSDLEEDEESGGLSL >ORUFI01G35930.1 pep chromosome:OR_W1943:1:30113267:30115264:1 gene:ORUFI01G35930 transcript:ORUFI01G35930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDDDVEHPFYYFVHDDAAAGVDDHEELLASLGFLLPPPPPPPPPPQPDQGRSAFAAYQSTAAMASSSSSSESLSRRYHSSASNVHRRMHRFLRSIYDDAGDDAGATAEMQPAEGEQQQAAPSGGSARFRHIMRERLRRERLSQGYADLQAILPTGASSSKGGKNTIVAAAANYIRELEGRKGWLCAQNELLERTTPKPGAGMVVKVRAESELGSTVDVFEAVLRRLKAMDELQVTAIQSWFGAGGMWMDVAVESKISSREVDKAITNALKELQEIEYSEYSCLQDPMRSGTSFSCQVESGVLLMS >ORUFI01G35940.1 pep chromosome:OR_W1943:1:30121333:30145212:1 gene:ORUFI01G35940 transcript:ORUFI01G35940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPWANLIGALVREIATRLPCKFDRVHFAAVCRSWRESLEQLAPLPRSPALPFLILPLAEGPAVSCILSDYATHPAVVPDWVRYARYIGAYDDGWVFLSTAPPQDVDGRCVFAGIINVGPVPQGRPMIAFWRIFDPVVPGLFSGPLNPRREWDAVDVVHHHGAFHFLTQGEHIIVAKPEFHYWCPVPAMPQVDWEFRCFPSNRRGYNQQHVKARYLVKSREDLLMVVRCSPHPGQPTSAFKVFRMVPSQRARCRQYIWQRVPSLGGRMLFAPARRLAAPQPATTSATAAARLSRYCAGAESPRQTLRASARAVVGEIANHLPCEFDRVHFAAVCRSWRASLEQQAPVPLPPALPVLILPLAERPAVSFVLSNCAIHPAVLPEWPHDARYIGAYDDGWSSSPLLHLEVLESTASSTPKTPALTSTSPPTPGQEWQLPFSIVAATLSSQPDVDGCVFAGIISVDPVPRGQRTIGFWRASDRVIPHLFQTHNPPWDVEDVVFYDGAFHFLTQGEHIIVAGPDFHGGDPVDWEMRAFEHIGREYDQYVEARYLVESREDLLMVVRCSPYPGQPTSEFRVFRMAQAGPDDVFPYQHYVWLELPSLEGRMLFVGRGCSRSYDADQYPGFEGGVYFFDDDIQDPAMLPLGVATLFSFNDCEKWTRTPASMVERCFPAIGYTPQDESAASANKQKGCSIPDLPEDIWRHIHSLMPMRDAARTACLSHSFLCIGVKTLKLELSGTAYHNLDNWLQVAVTPGIEELTLMRFSCLRVHGCVRLKLIESKVPNLSTLDLSGKAELLLGETLQMKNLSMRHPNVVCYARSELPSSMPNIDTLALSSYDEVTNDPMKHESILGHSSKSHLRQMAEDHHCHLKNVEITGFSSAKSLVELTCYILKNSVSLECLTLDTLYPYDFRCSDERFERCRTMRIIANRLPCVYNRVHLAGVCRPWRESLERLPPLLPPPKLPYLILPLAEQPAFSCVLSGGATHPFFVPERIRHACYFGSYDGGWAFLSTLHPQANRVYFLANLAGTSGTFNLPNRIQLEREALELAPEEKPLLHRLVIYTATLSSDPFMDGCVVAGLINDQAPIPGYRRQKIAFWQIEDRVVVGYFYMGEACWDAVDVVRHNGAFHFLTKGEDIIVGNPVFAEAGAPPQVQWEYRCFSSQGRGYDGQHVVARYLVESSGELLMVVRCSPRPGEFTSAFKVFRMAQPEEDDDAPSLDGRTMLFVGRPCSRSDGDVVRYIWRELPSLEGRMLFVGRGCSRSYDADQYPGFEGGIYFFDHRIAGQLGDAPAQYPCSDCGKWTGKPALQVDRFFPEQDPSNYTSQVWLLNGSVRAFLEKPLNETLLKENVATSRAPLLHRASQLRLGVRRVALENFQELLDIANRLPCVYNRVHLAGVCRPWREHLERLPPLLPPPKLPYLILPLAEQLAFSCVLSDCATHPFFVPEWIRHACYFGSYDGGWAFVSTAHPRAQGYRDYFLTNLHQTPNTFVLPVWIQLDREEPVLRPRQKRLRPRDPLFINAATLSSDPFMDGCVVAGFVNNCAPVPGHHRQKIAFWRIDDKVVIGCFFMEDACWDAVDVVRHNGAFHFLTNGQHIVVGNPGFDDEANAPPQVQWEYRCFSSQGRGYDGQHVVARYLVESSGELLMVVRCSPRPGESTSAFKVFRMAQPEEDDDGDGDAPLLDGRTMLFVGDPCSRSDGDVVRYIWRELPSLEGRMLFVGRGCSRSYNTDQYPGFEGGVYFFDHRIPGQGGGAPALYPCRDCGKWTGKPALQVELCFPEQDPSNYSSQVWLLNGSVRASLVLLKSY >ORUFI01G35940.2 pep chromosome:OR_W1943:1:30121333:30145212:1 gene:ORUFI01G35940 transcript:ORUFI01G35940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPWANLIGALVREIATRLPCKFDRVHFAAVCRSWRESLEQLAPLPRSPALPFLILPLAEGPAVSCILSDYATHPAVVPDWVRYARYIGAYDDGWVFLSTAPPQDVDGRCVFAGIINVGPVPQGRPMIAFWRIFDPVVPGLFSGPLNPRREWDAVDVVHHHGAFHFLTQGEHIIVAKPEFHYWCPVPAMPQVDWEFRCFPSNRRGYNQQHVKARYLVKSREDLLMVVRCSPHPGQPTSAFKVFRMVPSQRARCRQYIWQRVPSLGGRMLFAPARRLAAPQPATTSATAAARLSRYCAGAESPRQTLRASARAVVGEIANHLPCEFDRVHFAAVCRSWRASLEQQAPVPLPPALPVLILPLAERPAVSFVLSNCAIHPAVLPEWPHDARYIGAYDDGWSSSPLLHLEVLESTASSTPKTPALTSTSPPTPGQEWQLPFSIVAATLSSQPDVDGCVFAGIISVDPVPRGQRTIGFWRASDRVIPHLFQTHNPPWDVEDVVFYDGAFHFLTQGEHIIVAGPDFHGGDPVDWEMRAFEHIGREYDQYVEARYLVESREDLLMVVRCSPYPGQPTSEFRVFRMAQAGPDDVFPYQHYVWLELPSLEGRMLFVGRGCSRSYDADQYPGFEGGVYFFDDDIQDPAMLPLGVATLFSFNDCEKWTRTPASMVERCFPAIGYTPQDESAASANKQKGCSIPDLPEDIWRHIHSLMPMRDAARTACLSHSFLCSWRCYPNLTFNKYVFRPKAFTYGGDISHRIDSILGNHSGIGVKTLKLELSGTAYHNLDNWLQVAVTPGIEELTLMRFSCLRVHGCVRLKLIESKVPNLSTLDLSGKAELLLGETLQMKNLSMRHPNVVCYARSELPSSMPNIDTLALSSYDEVTNDPMKHESILGHSSKSHLRQMAEDHHCHLKNVEITGFSSAKSLVELTCYILKNSVSLECLTLDTLYPYDFRCSDERFERCRTMRIIANRLPCVYNRVHLAGVCRPWRESLERLPPLLPPPKLPYLILPLAEQPAFSCVLSGGATHPFFVPERIRHACYFGSYDGGWAFLSTLHPQANRVYFLANLAGTSGTFNLPNRIQLEREALELAPEEKPLLHRLVIYTATLSSDPFMDGCVVAGLINDQAPIPGYRRQKIAFWQIEDRVVVGYFYMGEACWDAVDVVRHNGAFHFLTKGEDIIVGNPVFAEAGAPPQVQWEYRCFSSQGRGYDGQHVVARYLVESSGELLMVVRCSPRPGEFTSAFKVFRMAQPEEDDDAPSLDGRTMLFVGRPCSRSDGDVVRYIWRELPSLEGRMLFVGRGCSRSYDADQYPGFEGGIYFFDHRIAGQLGDAPAQYPCSDCGKWTGKPALQVDRFFPEQDPSNYTSQVWLLNGSVRAFLEKPLNETLLKENVATSRAPLLHRASQLRLGVRRVALENFQELLDIANRLPCVYNRVHLAGVCRPWREHLERLPPLLPPPKLPYLILPLAEQLAFSCVLSDCATHPFFVPEWIRHACYFGSYDGGWAFVSTAHPRAQGYRDYFLTNLHQTPNTFVLPVWIQLDREEPVLRPRQKRLRPRDPLFINAATLSSDPFMDGCVVAGFVNNCAPVPGHHRQKIAFWRIDDKVVIGCFFMEDACWDAVDVVRHNGAFHFLTNGQHIVVGNPGFDDEANAPPQVQWEYRCFSSQGRGYDGQHVVARYLVESSGELLMVVRCSPRPGESTSAFKVFRMAQPEEDDDGDGDAPLLDGRTMLFVGDPCSRSDGDVVRYIWRELPSLEGRMLFVGRGCSRSYNTDQYPGFEGGVYFFDHRIPGQGGGAPALYPCRDCGKWTGKPALQVELCFPEQDPSNYSSQVWLLNGSVRASLVLLKSY >ORUFI01G35950.1 pep chromosome:OR_W1943:1:30123885:30125911:-1 gene:ORUFI01G35950 transcript:ORUFI01G35950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKLSCLLVVVFLAGVTSTATGARYFPQNGTAFRENILVLPTDLPAQAAATRFASTQAGDGDDRALALSVCLGDSAPAQYRESLAAAVADVVAGCGAASRRAGAWLDGCYCYLAADTNMTTSPSGGSSTDVLPFSDNLYATFLDMSNGVAARMLATTATQAIDVGAATTTGLAGRVVAQCAAGVAPADCVQCLEGAAREMPRCFREARREEQGEGVGIVVSDDCVLRFDMTSSPAPRTSNTCT >ORUFI01G35960.1 pep chromosome:OR_W1943:1:30146505:30153246:-1 gene:ORUFI01G35960 transcript:ORUFI01G35960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAASSSSSSSRDRLTISRPTEKDDVVEEKRDGGDVTAAVPRLAVYGAGRVHEIERFSHYVAKQVGIEDVSECPHLCALAYGYLKKTEGYEQNLLAFFHNKINSDALLVLLIEELDKCILGYFSFHWKFATEVITQVLTAEQPRRKLKTMVLEATRKMRFERVSRKLKVTRLFSTLVEELKVIGVTCNDGQLRDADADVMVPAAHRDRSPVLLLMGGGMGAGKSTVLKQIMKGLFWSGAAAHAVVVEADAFKESDVIYQAISSRGHHNDMLQTAELVHQSSIDAAASLLVTALNEGRDVIMDGTLSWEPFVLQTIAMARSVHRQRYRMGVGYKVAADGNATEQYWEPVEGAPVPSGRRPYRIELVGIICDAYLAVVRGIRRAIISGRAVRVSSQLKSHKRFAGSFRKYCDLVDSASLYSTNTIAGAKLIGWKDVGSRLLVDVEEIGLLDRVSRINEEANGVHELYPDGHPTDGAGSVWEDLVSSPARAAIQRELREAILDSEACFPSP >ORUFI01G35970.1 pep chromosome:OR_W1943:1:30155085:30159953:-1 gene:ORUFI01G35970 transcript:ORUFI01G35970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMRYSPRSVSELVWSPDEGLSIKIAASSLSTRKTSLHWNADTLSIVISSPQQSGAGESGHIIDATVEDAEKMPSQLRTRSDSSARVFMSSPSRIRNTDAQQSTSIRSHGQDSKYCGGMDVMNEGKETSDNFCVDKLEKEDEVGSCPTRYCNDTSHSLGSASRKEVMPIIAEKQAFCATTVHDERSWAANAWRARLVKAISQKDSVLPKNADNIHSTSAFGSIGNTENMPGKLTSMLGNRNDSSQDQAMQEKHKDGLIVARCESVSAVNPVARCELASGVNPLARHESTSGCNPRKLEKGKEKLIYDMSNCVSNTNEGDDSNESIESCPSTKAPKRKHGQFSAAEMTSGNKRCRREDNESSCSGLFHKNDSSFFNWMSTLTNGVKVFDETTAVPLNQKFSAATGEEFPTNPVPLQNNCGVPLQSVGFNSLFQSLYSQNVMITSRNTCHQSESSYTANRLTLGFKSSKPVSMGRETLNVATETLAAGRIQMDSYGDRGAFQNQMGIFPLRAERNQNGFHGSSSNAASGHKDDFSESLWVSRLLPKTPMKVMDTTRCDEETDFCSANPKGLGDSSSPQDFNVEKELNNSQYFTSKGSDNETTSSKCAAPQDENKPSETMASIFAKRLDALRHANTSAVHVAITCDHGTPKGRNHKTSSFVVSYNSHDEQESGQKTHKSSGGEGRIVLWTGDKGKEQLSPGNDKELGEKVLSKHENQNCEGSSDGKVVPPKCNLETNTYIEEIDRKRLQNKEGAPNSMENQPDNKQMVPYGIVPNDVYDEASVVFGALQRLRLSRSDIIRWMRSPVMHTTLDGFFLRLRFGKWEEALGGTGYHVARINGVLDKNRLSVTIRNSTCQVDSRFVSNHDFHQDELKAWWSAAMKSGWKLPSQEELNTKLRERELLRF >ORUFI01G35970.2 pep chromosome:OR_W1943:1:30155085:30160081:-1 gene:ORUFI01G35970 transcript:ORUFI01G35970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSSSCAEYCGGMDVMNEGKETSDNFCVDKLEKEDEVGSCPTRYCNDTSHSLGSASRKEVMPIIAEKQAFCATTVHDERSWAANAWRARLVKAISQKDSVLPKNADNIHSTSAFGSIGNTENMPGKLTSMLGNRNDSSQDQAMQEKHKDGLIVARCESVSAVNPVARCELASGVNPLARHESTSGCNPRKLEKGKEKLIYDMSNCVSNTNEGDDSNESIESCPSTKAPKRKHGQFSAAEMTSGNKRCRREDNESSCSGLFHKNDSSFFNWMSTLTNGVKVFDETTAVPLNQKFSAATGEEFPTNPVPLQNNCGVPLQSVGFNSLFQSLYSQNVMITSRNTCHQSESSYTANRLTLGFKSSKPVSMGRETLNVATETLAAGRIQMDSYGDRGAFQNQMGIFPLRAERNQNGFHGSSSNAASGHKDDFSESLWVSRLLPKTPMKVMDTTRCDEETDFCSANPKGLGDSSSPQDFNVEKELNNSQYFTSKGSDNETTSSKCAAPQDENKPSETMASIFAKRLDALRHANTSAVHVAITCDHGTPKGRNHKTSSFVVSYNSHDEQESGQKTHKSSGGEGRIVLWTGDKGKEQLSPGNDKELGEKVLSKHENQNCEGSSDGKVVPPKCNLETNTYIEEIDRKRLQNKEGAPNSMENQPDNKQMVPYGIVPNDVYDEASVVFGALQRLRLSRSDIIRWMRSPVMHTTLDGFFLRLRFGKWEEALGGTGYHVARINGVLDKNRLSVTIRNSTCQVDSRFVSNHDFHQDELKAWWSAAMKSGWKLPSQEELNTKLRERELLRF >ORUFI01G35980.1 pep chromosome:OR_W1943:1:30164136:30171092:1 gene:ORUFI01G35980 transcript:ORUFI01G35980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRMPTLRRLSATLSSVLLEWILMLLLFIDAVYCFLVTRFARLCRLPAPCPFCSRLDHVLGNEKPCFYRELICKTHKSEISSLAFCRLHQKLAGAQSMCERCSDSLVENNDDRTDEPTMAATLPDSNQGLYSHDTRICSCCAQHYTQQRPTMFSRMITELEPAEAVCSPKICTDYSILHQVDKSLDKDICHQSDHSIHERYSVLQMTSGSEDEAPCADDGKISHHHKTNCMEEDLNEDATAEKFAASSTELVRPLEMNVPMETDVGDSYDISLPYVLVDDHPDSIIGEGQMEAEDASLEKQTCQHDPLAVKEESGLTDVNVSQVPVASSVESPQNLGYNEACHGASESTIDPCSSQSTTLEQNIAVSEHNSTIDDLEGHRSEITVTSSREFHQKSALVDDDPVNFRDDHVSQVNSSSEAVDEAEDYAKEAEQTCDMVTHEAALKDPSNTNSKDPTAKGFVEEAPISPQAIRPNSEVFQGLNVIEEHPQTSATIGERRPSLSTQISMNEAYKLAIGAKSSLPSPTLTDVILGKDSSSSVNEELRLLLSQLSASRGLEAPWVDPGPSPRAYGRGDDLIVQNITNRISIERNASGLESLEGSTVSEMEGESATERLRRQIDLDRKSIHLLCKELEEERNASAIAASQALAMITRLQDDKAAMQMEALHYQRMMEEQAEYDSDALAKANELLAQREQQIEELEAELENYRMQFAGGPTEKQSNQVSFNEENIAETLLDETGLEAPAITTPSGINSLVSFEEERAYIVDCLTKLEQKLQSYSNNSTNIHLSNSDVIEDYLSNKMHVVDDGSLQCQESSREAQEPVFLAKEAHSSTVSRKTDLSTLQEEISNLNKRLKTLEGDRNFIEHSINSLRNGKEGVMFIQEIASNLRELRAIAGSK >ORUFI01G35990.1 pep chromosome:OR_W1943:1:30169254:30178397:-1 gene:ORUFI01G35990 transcript:ORUFI01G35990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLAHISLFLYTDPKSGSVRFYDYLMEHDMTVEDFIRTNGLGASGLIETNNQGVSTSSVSDCRSCEHVENGSPSTAPPFWDSDGEDDDPVTSGPRPSDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKCFRAFWLAIDQSTRHRMSTEKSDVILKIIVKHFFVEKEVTSTLVMDSLYTGLKALECQSKSKSKKGIIDSVDFVELPVPMVHVDVDMFVLAGDVIALLERAALEPLPCQPVSPKDDKCSQSRTKDGSSGEVNKVSIEREERRLTELGQKIIETFALSHIFSGIEVAYQEAVALKRQEELIREEEEAWLLENEMKGKRGSTTEKDKRAKKKQAKQKKNNRKVKDKDREEKCDSNFPERSQDENTIHDREDSKQAGQISMKVDTSEEGASDVSDNLDGSIEIQKKHSTMENKSLSCSSESATMNNAQGKINNLLESKDQISRNRGKARSRSTSNMNITEDVDDLPSSTTSSDRNTSGCGPAPKLDQETVLLTLKDRLRKLGQRLHEKEIEGRKLLQAHLEKKAAAESATGSSSSLSSNSLEETPEVLKSPDQSSVTISDADINASPSKFGASKEVTPVTPTTILSTEPVPTVASTLSKDEPVLCEDHVSCSTPQIDTPITSNPPQVVKTVTLPSGMLLVGHAIQAPSRSPAPQVDRVSKAIAAPTKSPAPQVDKVSIAVPTPSKSPATQGEKVAKAILVPPKSLAPQVGKVAKTIPTPKQPAPLVDKVTSLDPVSKQMSSMSNSEAREAILPKKAAVLSVSQTPAISRPSSAPLFQVPRSTLPPTPAVQVPPMLSRSMTLAGRSRNEPSPSVPSYTAQTYRNAIIGKSNLDTASASLDHSTSFGQNVALSQPLSSYASAASAMVPPVGRNGQLPGKQGFMFGQGKSEAIDNWNPWKGDSNANKYMWKDDSPYHQMTKGDAHTQSWRDNSYQQAGCSGTGEQGEFGGLQYRQFQREIPTNLVSYQLPGPVGEEFPHLDIINDLLEEEQSSGSMAEPTLHGYHTLGLPYSSRGNLVDSEVTSISSSGRLNLADHYYDEGYPMAYDRLNALYRLREGQNSTLDAYSNGRMDSITSKPWLHNFSNPAVNLGVNPNGFSQQMGNYTNLGSGRVNGEHLYRHANGQW >ORUFI01G36000.1 pep chromosome:OR_W1943:1:30184206:30188142:-1 gene:ORUFI01G36000 transcript:ORUFI01G36000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCLVFMVFLIYCLISTVGLPVAPADEAAMQLGGVGGGRLSVEPSDVMEASLDFGRLTSAEPLAVFHPRGAGDVAALVKAAYGSASGIRVSARGHGHSISGQAQAAGGVVVDMSHGWRAEAAERTLPVYSPALGGHYIDVWGGELWIDVLNWTLAHGGLAPRSWTDYLYLSVGGTLSNAGISGQAFHHGPQISNVYELDVVTGKGEVVTCSESNNPDLFFGALGGLGQLGIITRARIALEPAPHRVRWIRALYSNFTEFTADQERLISLQHGGRRFDYVEGFVVAAEGLINNWRSSFFSPQNPVKLSSLKHHSGVLYCLEVTKNYDDSTAVTVDQDVEALLGELNFIPGTVFTTDLPYVDFLDRVHKAELKLRGKGMWEVPHPWLNLFVPASRIADFDRGVFRGVLGSRTAGGPILIYPMNRHKWDPRSSVVTPEEDVFYLVAFLRSAVPGSTDPAQSLEALERQNREILEFCDEAGIGAKQYLPNHKAQREWEAHFGARWARFARLKAEFDPRAMLATGQGIFDSPPLLAES >ORUFI01G36010.1 pep chromosome:OR_W1943:1:30207131:30215250:-1 gene:ORUFI01G36010 transcript:ORUFI01G36010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLDPRLYENVSVSDNDVRNIVLSYLMHNCFKETAETFLSSTGLELPVDYTVDVDKRKAIFSFVLEGNALKAIDLTEELAPNLLENDMDLHFDLLSLHFIELVRSRKCTEALEFGQKKLTPFGKVPKYVEKLEDFMALLAYEEPEKSPMFHLLSPEYRQNVADSLNRAVLAHANRPAYSSLERVIQQATVVRQYLQQEVGKSFKGFNGKNPILVGPWGGLGGTLWDDGVHSTVRQVVITHGAAIDSIKIEYDLKGKSVWSEKHGGDGGTKTDQVKLDYPQEILTSVSGYYGSLGGCIVVRSLTFRSNLSKYGPFGSEEGTPFSLPVAVTGKVIGFHGKSGWFLDSIGCHFKKEKNATPSSNAPSALRSITRPHDKNGNRYADSNAGYDMVLAVRDRGDSYSVLTSNNPKEQYPNQSQDATLWNKMVSLPSFYSDNGTMTISTPVRFGPWGGNGGTIFDDGIYTGVRQINLKRGLGISTMKVLYDRNGQAIWGDKRGSSGAARAEKVVFDFPSEILTHITGYFSSTMIMGSTVIKSLTFHTTKKSHGPFGDETGTFFSSCLTEGRIVGFHGRDGWYIDSIGVHVLEGKVLSQRADRALTETSPSRHADMLAVAQREIGDEVTYGVVKEPIPVGPGPWGGEGGKPWDDGVYTGVKQIYIMRADFIGSVQIEYDRSGQSIWSTRHGNGGQITHRIKLDYPHEVLNCIYGYYNTCQDEGPRVLRSITLVSNRGKYGPFGEEVGTYFSSATTEGKVVGFHGRSGLYLDAIGVHMQHWLGDRNRTAAPSSNKYYISKYLF >ORUFI01G36020.1 pep chromosome:OR_W1943:1:30224308:30230767:1 gene:ORUFI01G36020 transcript:ORUFI01G36020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKLSSLLLVAQLLLVVVGGASAIVARGTQLYTTGYFPQIDCSPAPMATSTGSNGTAFRANLLTLLASLPDQAAPTGFASMQAGAGGRAPGGDDDDRAFARGSCLGDSTPSQCRDCLAAAVIDVAEGCGADTRRAGAWLSGCYLAYADTDATSPRESAFHRWFFDGDILPFSDNLDPTFLDLANGAVSALAAAAANRSGSGRTMLAATQEFDDGANTGLAGSVLAQCAAGRAPADCVQCLQDSARAMPRCCWNAWGLGESVAVALSYDCVLQVHHAPGLSGGKPWWRSSPSVCPRRWSSSASNNHAGGGDRALALSVCLGDSTPALYRESLAAAVADVVAGCGARAGAWLDGCYRSYLAAYAADTNTTMSPSPAGGEFHRWVVTGDVLPFSDNLYATFLDMSNGVAARMLAIDVGAATTRTGLAGRVVAQCAAGVAPADCVQCLEGAAREIPRCFREARREEQGEGVGVVVSDDCVLRFDMTSSPAPRTSDTCDGTCKLLALAFGVALGIILSFTFNLQ >ORUFI01G36030.1 pep chromosome:OR_W1943:1:30231670:30235238:-1 gene:ORUFI01G36030 transcript:ORUFI01G36030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAGGSTASAAACPPAPSRPTDPDFLSCVLQPPTPSSSSSRPDDDYAALRRLLLRRKPPSALQHRMEWRCNGKGYVAYRNFLLRRIDGGAASSCASTSSNSGRWAPSPAYAAFSEADSWSSSKDLRRNSGPLLRNLSISSKQSDPERHVRFAEPAYSFVGMHCIFDDCKASVTILKFGRASSDLLTYGASDGSLTVCQVSDPPTVLQKLIGHSKDITDFDFSSNNQYIASCSMDKTMRVWEISKGTCIRVVYGVSSQLCICFHPVNNNLLLVGNANREINAINFSTGRIVSKLTFDDAVTALDVDHTGQLIFAGDAQGCIYTVSVNSHTGSLSRTHKNKSNKSKSPVTTIQYRTFSLVARCPVLLSCVQNGNLFFFSIATDSKGYLTLICSLKLASPVQSIRASFCPLLSLEKGEFIVTGSEDANVYFYDLTRPKNSCVNKLQGHGSPVIGVAWSHGENLLASSDSDGTVIVWKRA >ORUFI01G36030.2 pep chromosome:OR_W1943:1:30231670:30235238:-1 gene:ORUFI01G36030 transcript:ORUFI01G36030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAGGSTASAAACPPAPSRPTDPDFLSCVLQPPTPSSSSSRPDDDYAALRRLLLRRKPPSALQHRMEWRCNGKGYVAYRNFLLRRIDGGAASSCASTSSNSGRWAPSPAYAAFSEADSWSSSKDLRRNSGPLLRNLSISSKQSDPERHVRFAEPAYSFVGMHCIFDDCKASVTILKFGRASSDLLTYGASDGSLTVCQVSDPPTVLQKLIGHSKDITASCSMDKTMRVWEISKGTCIRVVYGVSSQLCICFHPVNNNLLLVGNANREINAINFSTGRIVSKLTFDDAVTALDVDHTGQLIFAGDAQGCIYTVSVNSHTGSLSRTHKNKSNKSKSPVTTIQYRTFSLVARCPVLLSCVQNGNLFFFSIATDSKGYLTLICSLKLASPVQSIRASFCPLLSLEKGEFIVTGSEDANVYFYDLTRPKNSCVNKLQGHGSPVIGVAWSHGENLLASSDSDGTVIVWKRA >ORUFI01G36040.1 pep chromosome:OR_W1943:1:30235372:30240768:1 gene:ORUFI01G36040 transcript:ORUFI01G36040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLNVHEVLPNHVMKYLSGSKVVAVGRDISGKLITTDGMLISDSNGSEDAEEFMLSTCKISEGWEGGALFDSDGNLIGMNLLFLVGRSLFLPISIIIERLEYFRTSYRRRKFFALATKLKAIRVGGRLSIEMPKSLLEDVCDEDQFECLDSMGYPMPSISMANDGMVLVNTFEETFGDLYDEGVWSELSKNVSSRISRNVVSLASFNGETRYFACTGFFIEWNGCTSILTSASLVRKSGDRSKIVENLRVANVVLILFCDPCSSKIEVLLPNKKRTEGTLEHCNLHYNVAIVSVKDFRALCPANLHHEQESVCEDVLAVGRCFESDILMAASGHLVGWSGTLDCRMLRYANFKITKAGIGGPLIDFDGRYVGINFFDDIVGTPFLSCTVILHVLSRFDEERTINKVGNGDTSSGVLDWTMTGDRSVRPNSWPVPKPFWCHPDDLPRNETRTRHKYGYYNGQKFKYMC >ORUFI01G36040.2 pep chromosome:OR_W1943:1:30235372:30240768:1 gene:ORUFI01G36040 transcript:ORUFI01G36040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLNVHEVLPNHVMKYLSGSKVVAVGRDISGKLITTDGMLISDSNGSEDAEEFMLSTCKISEGWEGGALFDSDGNLIGMNLLFLVGRSLFLPISIIIERLEYFRTSYRRRKFFALATKLKAIRVGGRLSIEMPKSLLEDVCDEDQFECLDSMGYPMPSISMANDGMVLVNTFEETFGDLYDEGVWSELSKNVSSRISRNVVSLASFNGETRYFACTGFFIEWNGCTSILTSASLVRKSGDRSKIVENLRVANVVLILFCDPCSSKIEVLLPNKKRTEGTLEHCNLHYNVAIVSVKDFRALCPANLHHEQESVCEDVLAVGRCFESDILMAASGHLVGWSGTLDCRMLRYANFKITKAGIGGPLIDFDGRYVGINFFDDIVGTPFLSCTVILHVLSRFDEERTINKVGNGDTSSGVLDWTMTGDRSVRPNSWPVPKPFWCHPDDLPRNETRTRHKYGYYNGQKFKYMC >ORUFI01G36040.3 pep chromosome:OR_W1943:1:30235372:30240768:1 gene:ORUFI01G36040 transcript:ORUFI01G36040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLNVHEVLPNHVMKYLSGSKVVAVGRDISGKLITTDGMLISDSNGSEDAEEFMLSTCKISEGWEGGALFDSDGNLIGMNLLFLVGRSLFLPISIIIERLEYFRTSYRRRKFFALATKLKAIRVGGRLSIEMPKSLLEDVCDEDQFECLDSMGYPMPSISMANDGMVLVNTFEETFGDLYDEGVWSELSKNVSSRISRNVVSLASFNGETRYFACTGFFIEWNGCTSILTSASLVRKSGDRSKIVENLRVANVVLILFCDPCSSKIEVLLPNKKRTEGTLEHCNLHYNVAIVSVKDFRALCPANLHHEQESVCEDVLAVGRCFESDILMAASGHLVGWSGTLDCRMLRYANFKITKAGIGGPLIDFDGRYVGINFFDDIVGTPFLSCTVILHVLSRFDEERTINKVGNGDTSSGVLDWTMTGDRSVRPNSWPVPKPFWCHPDDLPRNETRTRHKYGYYNGQKFKYMC >ORUFI01G36050.1 pep chromosome:OR_W1943:1:30241472:30245117:-1 gene:ORUFI01G36050 transcript:ORUFI01G36050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRIGAAWWCACAVGMLVVGACLAGETSEYRRQLGSAVDMPLDADVFRAPPGRNAPQQVHITQGNHDGTAMIISWVTTIEPGSSTVLYGTSEDNLNFSADGKHTQYTFYNYTSGYIHHCTIKKLEFDTKYYYAVGIGQTVRKFWFRTPPKSGPDVPYTFGLIGDLGQSYDSNITLAHYESNSKAQAVLFVGDLCYADNYPYHDNVRWDTWARFVERNVAYQPWIWTAGNHEIDFAPELGETKPFKPYSYRYPTPYKASGSTAPFWYSVKRASAYIIVLASYSSYGKYTPQYKWLEAEFPKVNRSETPWLIVLLHAPWYNSYNYHYMEGESMRVMYEPWFVKYKVDLVFAGHVHAYERTHRISNVAYNIVNGQCTPVHDQSAPVYITIGDGGNQEGLATNMTAPQPGYSAFRESSFGHAILDIKNRTHAYYTWHRNQDGNAVAADSMWFTNRYWQPTDESLDDSQ >ORUFI01G36060.1 pep chromosome:OR_W1943:1:30248554:30250823:-1 gene:ORUFI01G36060 transcript:ORUFI01G36060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTTTTAAASSPAALPAASTTAPASSVSPAAAAAKRPHLAGDDAPWRAAATGAAGIRPVPRIHHAPVLRVAQDDYSAYALAVMKHPDPIGEGLAMEAMVEAAGPECIVPGQQAPLRLLGLKVWPLDIEMKFLEPFGRELHSMKKFMDKSCSVMDSSMSNK >ORUFI01G36070.1 pep chromosome:OR_W1943:1:30253795:30256326:1 gene:ORUFI01G36070 transcript:ORUFI01G36070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAAPTVPYRTTAPPLPESDGHEDGSSGGMVLLDRWCLIADLPNASTGVGTTSTGLRIQATFHPARPPLLSRFCVHCPGLDFRRVVPKIIASDADLVLLCVPVNPDSIANARGLDYFVYRPRAHRLDLLPNPHPVYLHDSMTALLSRQDGAWYAVAALGLGPPVYKGGAPVSWDFYLHLYRSTSSSKGWTSKRLSVTEFIRDKFIPIPASVDRLYHETGKTITVGGEGGTVAWVDLWRGIFLCDVLDEFPALRDIPLPCPARSNRDLFLIQYDPSYFRDVTISRNRDSIKYIEMEMWSPKEPMNTTSTPVSYVDCVLNNTRKSQVIRDDWKATTWSMPMPVIGSSCEHWHRDCEVGVKDITLGASNPCRSNLSFSEIVEMFKELPMVNPTISMDDDVVFLLSRTSPSPMDKLHLVFAIDVRKRTLQGLTKLDVQPQNSVCMVTLCTSEICRYLRNITVTGEALNELEISS >ORUFI01G36080.1 pep chromosome:OR_W1943:1:30262140:30271056:1 gene:ORUFI01G36080 transcript:ORUFI01G36080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAVSGGGAADAEELFRTRRIPEIRAAEGATRREISAKEEELRQLVGRSYRDLLDSADSILLIKQSSDAVSGNLSRISDSLASLAPPPEAPPAASPSPSGGRVRLYASAARAKYLVDTPEHIWGRLDEGLLLEAAGRYVRAQVVHGVLSRDAAAAARFPLLAHQAQLVEAFRPQIAQRARERLADRRLSVAAHADALAAAASIDAPSLTPTQALQLFLSSRRAWISQALTTLASDLTSYSSVLCDVAKIVRVTLGHVGQLFVLALNDLPLFFKTVLDLPPPSQLFGGIPDPVEETRLWKEHWDQLEATMVLLEPDAVARTCTDWLKGCCDEIFGVIAGGQRLVDAIESGEGLGSVQRLVREALDGREGLEGTLEQWLKSVFGSEIESPWDQIRGLILKEGKDIFEDWMEEAFVQRMKDIVHLEFGSLDDSVNIKKSIDGIGANADPKDAGDFMVYLRKVSTGGGVWFSESKIKKGGILAHLKPIADENDFHSCLTSYFGPEVSRIRNAIDSKCKTILEDLLSFVDSHNSAPRLKELVPYLQEKCYKTISGILNGLEAELGKLSASLRTKKGESNMLAASVIVERSLFIGRLMFALRYHSSHVPLILGSPRQWVKEAGGAAFMRLSSPSPRHSRASFDTAMPFTPRRHTQSSPRSPGRQFSDNPRRQTIAAAASLFGADDSSNPRLDELNKTLQALCIAAHGLWIAWLSTELSQLLSYDLNKDDSLSLSTPLRGWEVTVIKQEESTEGPLEMQIALPSMPSLYIISFLYQACLEIHKIGGHILDKSILQNFAWDLLQKVIDIYESFLVSIESGKSLVSEKGVLQILLDLRFIGDVLSGGKSSSTKTTETQRTHDSSPSAIAKTSFRRKQSQLQADSATIEPINKLINKFSQRLDPIDWATYEPYLWENEKQSYKRYVVLFGFLVQLNHMYTGTVQKLPTKSNTDSNIMRCSQVPRFKYLPISAPALSSRAHKSSLQSTSDDSTSRSPWKSYSNGERSTASEFDDNVSLGGAAPLLKSFVTQVGSKFGENTSRWGSIISDGQVGKLSDILPGPAAGFFSSFTSGARYDP >ORUFI01G36090.1 pep chromosome:OR_W1943:1:30279533:30285635:1 gene:ORUFI01G36090 transcript:ORUFI01G36090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPEFAAVAAVAVVAALVLAAGAGAAPLPAALRLERALPHKGVAVEHLRERDRARHGRRGLLGGGGGGVAGVVDFPVEGSANPFMVGLYFTRVKLGSPPKEYFVQIDTGSDILWVACSPCTGCPSSSGLNIQLEFFNPDTSSTSSKIPCSDDRCTAALQTSEAVCQTSDNSPCGYTFTYGDGSGTSGYYVSDTMYFDTVMGNEQTANSSASIVFGYSSLEALCSNSQSGDLTKTDRAVDGIFGFGQHQLSVVSQLNSLGVSPKVFSHCLKGSDNGGGILVLGEIVEPGLVYTPLVPSQPHYNLNLESIVVNGQKLPIDSSLFTTSNTQGTIVDSGTTLAYLADGAYDPFVNAITAAVSPSVRSLVSKGNQCFVTSSSVDSSFPTVSLYFMGGVAMTVKPENYLLQQASIDNNVLWCIGWQRNQGQQITILGDLVLKDKIFVYDLANMRMGWTDYDCSTSVNVTTSSGKNQYVNTGQFDVNGASPRPPYSGLVPAVAVAVALIFGGFSRR >ORUFI01G36090.2 pep chromosome:OR_W1943:1:30279533:30285635:1 gene:ORUFI01G36090 transcript:ORUFI01G36090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPEFAAVAAVAVVAALVLAAGAGAAPLPAALRLERALPHKGVAVEHLRERDRARHGRRGLLGGGGGGVAGVVDFPVEGSANPFMVGLYFTRVKLGSPPKEYFVQIDTGSDILWVACSPCTGCPSSSGLNIQLEFFNPDTSSTSSKIPCSDDRCTAALQTSEAVCQTSDNSPCGYTFTYGDGSGTSGYYVSDTMYFDTVMGNEQTANSSASIVFGCSNSQSGDLTKTDRAVDGIFGFGQHQLSVVSQLNSLGVSPKVFSHCLKGSDNGGGILVLGEIVEPGLVYTPLVPSQPHYNLNLESIVVNGQKLPIDSSLFTTSNTQGTIVDSGTTLAYLADGAYDPFVNAITAAVSPSVRSLVSKGNQCFVTSSSVDSSFPTVSLYFMGGVAMTVKPENYLLQQASIDNNVLWCIGWQRNQGQQITILGDLVLKDKIFVYDLANMRMGWTDYDCSTSVNVTTSSGKNQYVNTGQFDVNGASPRPPYSGLVPAVAVAVALIFGGFSRR >ORUFI01G36100.1 pep chromosome:OR_W1943:1:30286175:30292901:1 gene:ORUFI01G36100 transcript:ORUFI01G36100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGLLPQLKSIMAPIGVEALKGQTVAVDTYSWLHKGALSCGDRLCKGLPTTRHIEYCMHRVNMLRHHGVKPILVFDGGHLPMKGDQETKRERSRKENLERAKEHESAGNSRAAFECYQKAVDITPRIAFELIQVLKQEKVDYIVAPYEADAQMTFLSVNKLVDAVITEDSDLIPFGCSRIIFKMDKFGQGVEFHITRLQRCRELDLNGFTMQMLLEMCILSGCDYLPSLPGMGVKRAHALIQKLKGHEKVIKHLRYSAVSVPPQYEENFRKAIWAFQFQRVYDPVTEDITVAKGIAQGNIDPITKEPFEGKTESSALAFDKVHLNRESSAPSNGKKKLDLPVQRNAKRKFRAPKVTPKQQVLNGSLPSPRIEDSGTPDLIEDTSLPSNNIQVYQCSSEHFSSGTPLDDSINTASQCSSERVRCDIPRDDSASVSPQCSHDIGSDPAEDPDIEGNKVKVNFCNRSTIPTGSFLEGTLPGISDPFLDSHNTEPSRAAPRYAEKSNVVSANRNITVRSSYFKTVNKRVCTNQGEDECHDEDNCETGNYTLPGDQQRSSGGILKRRKFSDPQNFEDGMFQPTSPHESPPVADKGCDSDSHDGINTNSEGKFGCNVAHVNKYSGIAEKSMDKFAALISSFRYAGSRASGLRAPLKDVKNTLPVRSVLRPPEQRFGCTAKKTTRVPLQSRFSSDATNSTDVPDLSTFAYRPTTASAHSDQGKITSKATDAAAGPPDLRTFAYAPTRSTTSRFDQSENTRKAMCTADSPPDISTFEYKPMKSAVRRSDGSKFSGAALKAARRTSRS >ORUFI01G36100.2 pep chromosome:OR_W1943:1:30286175:30292901:1 gene:ORUFI01G36100 transcript:ORUFI01G36100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGLLPQLKSIMAPIGVEALKGQTVAVDTYSWLHKGALSCGDRLCKGLPTTRVNMLRHHGVKPILVFDGGHLPMKGDQETKRERSRKENLERAKEHESAGNSRAAFECYQKAVDITPRIAFELIQVLKQEKVDYIVAPYEADAQMTFLSVNKLVDAVITEDSDLIPFGCSRIIFKMDKFGQGVEFHITRLQRCRELDLNGFTMQMLLEMCILSGCDYLPSLPGMGVKRAHALIQKLKGHEKVIKHLRYSAVSVPPQYEENFRKAIWAFQFQRVYDPVTEDITVAKGIAQGNIDPITKEPFEGKTESSALAFDKVHLNRESSAPSNGKKKLDLPVQRNAKRKFRAPKVTPKQQVLNGSLPSPRIEDSGTPDLIEDTSLPSNNIQVYQCSSEHFSSGTPLDDSINTASQCSSERVRCDIPRDDSASVSPQCSHDIGSDPAEDPDIEGNKVKVNFCNRSTIPTGSFLEGTLPGISDPFLDSHNTEPSRAAPRYAEKSNVVSANRNITVRSSYFKTVNKRVCTNQGEDECHDEDNCETGNYTLPGDQQRSSGGILKRRKFSDPQNFEDGMFQPTSPHESPPVADKGCDSDSHDGINTNSEGKFGCNVAHVNKYSGIAEKSMDKFAALISSFRYAGSRASGLRAPLKDVKNTLPVRSVLRPPEQRFGCTAKKTTRVPLQSRFSSDATNSTDVPDLSTFAYRPTTASAHSDQGKITSKATDAAAGPPDLRTFAYAPTRSTTSRFDQSENTRKAMCTADSPPDISTFEYKPMKSAVRRSDGSKFSGAALKAARRTSRS >ORUFI01G36110.1 pep chromosome:OR_W1943:1:30292053:30311831:-1 gene:ORUFI01G36110 transcript:ORUFI01G36110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNDGCDGGGKIKIGVCVMEKKVWCSPMEQILERLRAFGEFEIIIFGDKIILEDPIESWPLCDCLIAFYSAGYPLEKAEKYAALRRPFLVNELDPQYLLHDRSKVYEHLKLFGVPVPTYAVVRREYPNQELKYFVEQDDFIEIHGKRFYKPFVEKPIDGDDHNIMIYYPSSAGGGMKELFRKVGNRSSEFYPDIRKVRRDGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNSDGKEVRYPVLLTPTEKQIARNICQAFGQAVCGFDLLRCDLGEARSYVCDVNGWSFVKSSHKYYDDAACILRKMFLDDKAPHISSTIPANLPWKVSEPVQPFDAVRDRERGTVGISRQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLKLMLKYNGGKAHAEAKLKSALQLQDLLDATRILVPRARSGRESDSDAEIEHAEKLRQVRAVLEELKPSNWVHIPKSNGNGKEEYPIEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPSEGPGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGELTPILVSLVSKDSSMLDGLQDGSIEIDEAKARLHNIILSSKIANGETMEFPWMVDGAGVPPNAANLLTNLKLAHLKQPNVKIITSIMVTNKLPLSQLSNQAQLTKEITAQVKLLSDNEDEEAVTDSDSPSHPYDQAKALGKTAIDMDRIASGLPCGSESFLLMFARWKKLERDLYNERKNILDRYDLLHNSHLKLNGLSDLFRVSQSLADGVIPNEYGINAKQKLKIGSKIARRLLGKILIDLHNTRREVAAAGGESNACHDPTIVPSSKRKDRGYYGDVKNEGFDRPNSNKKSIDLDDSHKETKYCLDPKYANVMEPERRVRTRLYFTSESHIHSLMNVLRYCNFDESMDGEESLVCKNALDNLFKTRELDYMSYIVLRMFENTEVSLEDPKRFRIEMTYSRGADISSLQSEHGKDSLLPDDHTMKIMEPERLQEVGSYLTLDKFDKMVRPFAMPAEDFPPAAPSQSLAVRFCKDNGLQGARLQDKSDMVPSGAAFVLENAEDSLAFLFVASCTNYPVRQMAVEPRLFDRIMNADRRHTQIQTCSDKEAGRCIHNFVRISSERFV >ORUFI01G36110.2 pep chromosome:OR_W1943:1:30292053:30311831:-1 gene:ORUFI01G36110 transcript:ORUFI01G36110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNDGCDGGGKIKIGVCVMEKKVWCSPMEQILERLRAFGEFEIIIFGDKIILEDPIESWPLCDCLIAFYSAGYPLEKAEKYAALRRPFLVNELDPQYLLHDRSKVYEHLKLFGVPVPTYAVVRREYPNQELKYFVEQDDFIEIHGKRFYKPFVEKPIDGDDHNIMIYYPSSAGGGMKELFRKVGNRSSEFYPDIRKVRRDGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNSDGKEVRYPVLLTPTEKQIARNICQAFGQAVCGFDLLRCDLGEARSYVCDVNGWSFVKSSHKYYDDAACILRKMFLDDKAPHISSTIPANLPWKVSEPVQPFDAVRDRERGTVGISRQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLKLMLKYNGGKAHAEAKLKSALQLQDLLDATRILVPRARSGRESDSDAEIEHAEKLRQVRAVLEELKPSNWVHIPKSNGNGKEEYPIEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPSEGPGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGELTPILVSLVSKDSSMLDGLQDGSIEIDEAKARLHNIILSSKIANGETMEFPWMVDGAGVPPNAANLLTNLAQLTKEITAQVKLLSDNEDEEAVTDSDSPSHPYDQAKALGKTAIDMDRIASGLPCGSESFLLMFARWKKLERDLYNERKNILDRYDLLHNSHLKLNGLSDLFRVSQSLADGVIPNEYGINAKQKLKIGSKIARRLLGKILIDLHNTRREVAAAGGESNACHDPTIVPSSKRKDRGYYGDVKNEGFDRPNSNKKSIDLDDSHKETKYCLDPKYANVMEPERRVRTRLYFTSESHIHSLMNVLRYCNFDESMDGEESLVCKNALDNLFKTRELDYMSYIVLRMFENTEVSLEDPKRFRIEMTYSRGADISSLQSEHGKDSLLPDDHTMKIMEPERLQEVGSYLTLDKFDKMVRPFAMPAEDFPPAAPSQSLAVRFCKDNGLQGARLQDKSDMVPSGAAFVLENAEDSLAFLFVASCTNYPVRQMAVEPRLFDRIMNADRRHTQIQTCSDKEAGRCIHNFVRISSERFV >ORUFI01G36110.3 pep chromosome:OR_W1943:1:30292053:30311831:-1 gene:ORUFI01G36110 transcript:ORUFI01G36110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNDGCDGGGKIKIGVCVMEKKVWCSPMEQILERLRAFGEFEIIIFGDKIILEDPIESWPLCDCLIAFYSAGYPLEKAEKYAALRRPFLVNELDPQYLLHDRSKVYEHLKLFGVPVPTYAVVRREYPNQELKYFVEQDDFIEIHGKRFYKPFVEKPIDGDDHNIMIYYPSSAGGGMKELFRKVGNRSSEFYPDIRKVRRDGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNSDGKEVRYPVLLTPTEKQIARNICQAFGQAVCGFDLLRCDLGEARSYVCDVNGWSFVKSSHKYYDDAACILRKMFLDDKAPHISSTIPANLPWKVSEPVQPFDAVRDRERGTVGISRQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLKLMLKYNGGKAHAEAKLKSALQLQDLLDATRILVPRARSGRESDSDAEIEHAEKLRQVRAVLEELKPSNWVHIPKSNGNGKEEYPIEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPSEGPGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGELTPILVSLVSKDSSMLDGLQDGSIEIDEAKARLHNIILSSKIANGETMEFPWMVDGAGVPPNAANLLTNLAQLTKEITAQVKLLSDNEDEEAVTDSDSPSHPYDQAKALGKTAIDMDRIASGLPCGSESFLLMFARWKKLERDLYNERKKYDLLHNSHLKLNGLSDLFRVSQSLADGVIPNEYGINAKQKLKIGSKIARRLLGKILIDLHNTRREVAAAGGESNACHDPTIVPSSKRKDRGYYGDVKNEGFDRPNSNKKSIDLDDSHKETKYCLDPKYANVMEPERRVRTRLYFTSESHIHSLMNVLRYCNFDESMDGEESLVCKNALDNLFKTRELDYMSYIVLRMFENTEVSLEDPKRFRIEMTYSRGADISSLQSEHGKDSLLPDDHTMKIMEPERLQEVGSYLTLDKFDKMVRPFAMPAEDFPPAAPSQSLAVRFCKDNGLQGARLQDKSDMVPSGAAFVLENAEDSLAFLFVASCTNYPVRQMAVEPRLFDRIMNADRRHTQIQTCSDKEAGRCIHNFVRISSERFV >ORUFI01G36120.1 pep chromosome:OR_W1943:1:30293739:30297044:1 gene:ORUFI01G36120 transcript:ORUFI01G36120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHRRGKRGRASPDPPAKRRRRGRGHGHGPGPPELEADELEAPPAPVQAPSAAAAAASEPLLVMVAGLPPGCGVMELKSRLGAYGPIARTRIDAASATGYVTFRSAAAAMAAIAASLDPDGGVTVGSKKVLVVQASEAPNDLRSTAQANPVGENTHDATKRNASESSAFSSTKAAPEGTYKAREIVAYDDLF >ORUFI01G36130.1 pep chromosome:OR_W1943:1:30314840:30319253:-1 gene:ORUFI01G36130 transcript:ORUFI01G36130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAEEEEEEAVWAWSWGAGTDGQLGNGGFQDHHLPQPLLLPPRCRGLVSFVAGGGAHAIALTSDGEVFTWGRGTHGQLGHGDVENIPHPKLVKFFENYMITCVSAGWNHSGFATDSGQLFMCGDGSFGQLGAGDNHPRNLPFEVAYFTTKHVVRLALGMRHSLVLLKDNSVYGFGSTRRGQIGRCTRNQKFYDVPRIIDGFPDCKIGNIYANGDHSAALDESGQLYIWGRALIGEHDDDQPRPVFPSLGISQVALGWHHALVLSESSSSSTLVKVPCVDGEQVVHIAAGTEHSASVTDKGTVFTWGWGEHGQLGLGDTCDQVTPQRVNIGDKRPCSSASVNVYCGSGFTIALDVPATCYDLDVDADGNTNWIRSRVNFA >ORUFI01G36130.2 pep chromosome:OR_W1943:1:30314842:30319253:-1 gene:ORUFI01G36130 transcript:ORUFI01G36130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAEEEEEEAVWAWSWGAGTDGQLGNGGFQDHHLPQPLLLPPRCRGLVSFVAGGGAHAIALTSDGEVFTWGRGTHGQLGHGDVENIPHPKLVKFFENYMITCVSAGWNHSGFATDSGQLFMCGDGSFGQLGAGDNHPRNLPFEVAYFTTKHVVRLALGMRHSLVLLKDNSVYGFGSTRRGQIGRCTRNQKFYDVPRIIDGFPDCKIGNIYANGDHSAALDESGQLYIWGRALIGEHDDDQPRPVFPSLGISQVALGWHHALVLSGMWCVVPFQLKYVFWNCCQMSKHSAVTAGELYTIGAYRHRKLDPHVLPSAEPVQRLNPATTSHSHDESSSSSTLVKVPCVDGEQVVHIAAGTEHSASVTDKGTVFTWGWGEHGQLGLGDTCDQVTPQRVNIGDKRPCSSASVNVYCGSGFTIALDVPATCYDLDVDADGNTNWIRSRVNFA >ORUFI01G36140.1 pep chromosome:OR_W1943:1:30320533:30321503:1 gene:ORUFI01G36140 transcript:ORUFI01G36140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRRYGYSYPPPQGYYNGPPVMAPPQYAAPPPRRPEPSFLEGCLAALCCCCLIDECCCDPSVIFVT >ORUFI01G36150.1 pep chromosome:OR_W1943:1:30326618:30329657:1 gene:ORUFI01G36150 transcript:ORUFI01G36150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGGSQKGGGGGGGEARRINVVYFLSRGGRTDHPHLFRVNHHRAGVRLRDVKRWLSELRGQDMADNFSWSYKRKYKAGYIWQDLMMDDDLITPISDNEYVLKGCDVRRATESPKEKSSSLAEEPKKLDSQEVKVACDQKQVEEVTTTTAPDSDERSPKTLPPVDEDSPGEPASRSTAPLKKDLPRGLREERKKQQREVVKVVSKAVVVPAPAPEQKVKGAASGRISSHTPPAVGSARRMHLAQLLHNLLTCGAADADDTALRPVLRHGADDDGGDWPPTPVCPGIDGCGLRQCGKEFKPQELHSHMQSCRVFREKMRSSTSSRVSVDRGRTSAAARPEHRRTRSKGAAAAAAAPGDTSDRPSAVLLLRDS >ORUFI01G36160.1 pep chromosome:OR_W1943:1:30335588:30336237:-1 gene:ORUFI01G36160 transcript:ORUFI01G36160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPRRPREKLSIEEQSIYDDAPYIPPPTCLSLVFSMCSSSGSSSGCSHGRRRGSSRLLRSCFGINVRCRRRARRFVRRMGWLRSLLSPLRRLWCHMNAVQRKKRGIYILYDDVKSCPCEDVHVLWSILVESHGLPPPTPMTTPAPAPALRPTR >ORUFI01G36170.1 pep chromosome:OR_W1943:1:30344134:30350445:1 gene:ORUFI01G36170 transcript:ORUFI01G36170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKVAAAAAATPPRGSSKAGGGVISEIREKHKLELENLTLTKHPFRTLRFFMLAMLQYLKRLATYILSKGALFVVLIVLVLAPGILLAVTDGLHKKHVQEFLNYARFVLWWVSLGVASATAAISLLLSNLEKGSGLHTFVLYLGPHIALFTIKAVQCGRIDLKTAPYDTIQLKQGPSWLDKKCSDFGPPVYQASAHSVRIPVFELLPQVQLEAVLWGIGTALGELPPYFISRAARLSGSEPEAVKELDAAASDEHGPIASTLNRTKRWLLSHSQHLNFITILILASVPNPLFDLAGIMCGQFGIPFWEFFFATLIGKAIIKTHIQTLFIISLCNNQLLYLMEKELIWIFGHIPGFSASLPSVIAKLHSAKDKYLSTPTSATSSSKMEDTQWNFSFTLVWNTVVWLVLVNFFIKIVTSTAQEYLKKQQDIEMELITDSSPQSQSKTN >ORUFI01G36170.2 pep chromosome:OR_W1943:1:30344134:30350445:1 gene:ORUFI01G36170 transcript:ORUFI01G36170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKVAAAAAATPPRGSSKAGGGVISEIREKHKLELENLTLTKHPFRTLRSGLHTFVLYLGPHIALFTIKAVQCGRIDLKTAPYDTIQLKQGPSWLDKKCSDFGPPVYQASAHSVRIPVFELLPQVQLEAVLWGIGTALGELPPYFISRAARLSGSEPEAVKELDAAASDEHGPIASTLNRTKRWLLSHSQHLNFITILILASVPNPLFDLAGIMCGQFGIPFWEFFFATLIGKAIIKTHIQTLFIISLCNNQLLYLMEKELIWIFGHIPGFSASLPSVIAKLHSAKDKYLSTPTSATSSSKMEDTQWNFSFTLVWNTVVWLVLVNFFIKIVTSTAQEYLKKQQDIEMELITDSSPQSQSKTN >ORUFI01G36170.3 pep chromosome:OR_W1943:1:30343645:30344317:1 gene:ORUFI01G36170 transcript:ORUFI01G36170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHPNLPWEIEIAMRSVEYLLERLASNAKRLLLHPRPNFPLNCTVLHSPHTLTQRAHLQLVLRIASSTSRALHLRCAHTLQYSATRTALIPSSCHWWGGAHHDRRGVGPASRVSARVRVIFPHNLCHNGKQRPRFAPPKSKRKFPTPHPPPSPPPPVSAGADINTSRSTGNRRAASTTHHKLAAGVADGGSSRSGVRSG >ORUFI01G36180.1 pep chromosome:OR_W1943:1:30352395:30364458:1 gene:ORUFI01G36180 transcript:ORUFI01G36180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPAPAESNVEFIRARSDKREYRRVVLPNALECLLISDSETDKAAACMEVGVGSFSDPEGLEGLAHFLEHMLFYASEKYPGEQDYTKYITEHGGSCNAYTSSETTNFYFDVNVANFEEALDRFAQFFIKPLMSQDAVLREIKAVDSGSCETLETKPKERGLDIRQELLKFYENYSANLMHLVVYGKESLDCIQSFVERLFSDIKNTDQRSFKCPSQPLSEQHMQLVIKAIPISEGDYLKISWPVTPNIHFYKEGWAMNLSAGEGSDSAQYSFFSISMRLTDAGHEHMEDIIGLVFKYILLLKENGIHEWIFDELVAINETEFHYQDKVHPISYVTDTVSTMRLFPPEEWLVGASLPSKILWESKKFKGSTDSVEPWYSTAYSVENVTPSMIQQWIQKAPTEKLCIPKPNIFIPKDLSLKEAHEKVKYPAILRKTPLSRLWYKPDMLFSTPKVHIIIDFHCPLTSHSPEAVISTSLFVDLLADYLNAYAYDAQIAGLFYSIYRTSAGFQVSVGGYNDKMRILLDAIMKHISNFEVKPNRFCALKETAVKDYQNFKFSQPYSQASYYLSLILEDQKWPLAEKLEALSKLEPDSLAKFMPHLLSKTFLECYIHGNIEPNEATSIVQEIEDTIFNTPNSVFKSMSPSQYLIKRVIMLENELKCYHQIEGLNQKNENSSVVQYIQVHLDDALSNIKLQLFALIASQPAFNQLRTVEQLGYIAGLSLRSDCGVWALEVVIQSTVKDPSHLDARIDEFFKMFESKIHELSDKDFKRNVKSLVDSKLEKFKNLWEESHFYWGEIEAGTLKFDRVESEVALLRELKKEEFIEFFDQHIRLGAPQRKTVSVQVFGGEHLAEFKKAIAEADTPKTYRITDIFGFKRSRPLYRSLKGGPGRITMD >ORUFI01G36180.2 pep chromosome:OR_W1943:1:30352395:30364458:1 gene:ORUFI01G36180 transcript:ORUFI01G36180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPAPAESNVEFIRARSDKREYRRVVLPNALECLLISDSETDKAAACMEVGVGSFSDPEGLEGLAHFLEHMLFYASEKYPGEQDYTKYITEHGGSCNAYTSSETTNFYFDVNVANFEEALDRFAQFFIKPLMSQDAVLREIKAVDSGSCETLETKPKERGLDIRQELLKFYENYSANLMHLVVYGKESLDCIQSFVERLFSDIKNTDQRSFKCPSQPLSEQHMQLVIKAIPISEGDYLKISWPVTPNIHFYKEGWAMNLSAGEGSDSAQYSFFSISMRLTDAGHEHMEDIIGLVFKYILLLKENGIHEWIFDELVAINETEFHYQDKVHPISYVTDTVSTMRLFPPEEWLVGASLPSKILWESKKFKGSTDSVEPWYSTAYSVENVTPSMIQQWIQKAPTEKLCIPKPNIFIPKDLSLKEAHEKVKYPAILRKTPLSRLWYKPDMLFSTPKVHIIIDFHCPLTSHSPEAVISTSLFVDLLADYLNAYAYDAQIAGLFYSIYRTSAGFQVSVGGYNDKMRILLDAIMKHISNFEVKPNRFCALKETAVKDYQNFKFSQPYSQASYYLSLILEDQKWPLAEKLEALSKLEPDSLAKFMPHLLSKTFLECYIHGNIEPNEATSIVQEIEDTIFNTPNSVFKSMSPSQYLIKRVIMLENELKCYHQIEGLNQKNENSSVVQYIQVHLDDALSNIKLQLFALIASQPAFNQLRTVEQLGYIAGLSLRSDCGVWALEVVIQSTVKRNVKSLVDSKLEKFKNLWEESHFYWGEIEAGTLKFDRVESEVALLRELKKEEFIEFFDQHIRLGAPQRKTVSVQVFGGEHLAEFKKAIAEADTPKTYRITDIFGFKRSRPLYRSLKGGPGRITMD >ORUFI01G36180.3 pep chromosome:OR_W1943:1:30364358:30366548:1 gene:ORUFI01G36180 transcript:ORUFI01G36180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKRSAHPATPQDHDSGSGGVEALVLEAGLLPDGVAHMAEVTALERAAGALAGGEGCVDALPAKEELVCGT >ORUFI01G36190.1 pep chromosome:OR_W1943:1:30364101:30381999:-1 gene:ORUFI01G36190 transcript:ORUFI01G36190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHPGLPPLPPGCEVKRRRAPPVARVGSPPPVPRREQPHQAQPHHYCVCSPTAHRGSFRCRWHRRGYYEWGASRRRSVAP >ORUFI01G36200.1 pep chromosome:OR_W1943:1:30372130:30382248:1 gene:ORUFI01G36200 transcript:ORUFI01G36200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAASNVEFIRSRSDKREYRRVVLPNALECLLISDSDTDKAAACMEVGVGSFSDPEGLEGLAHFLEHMLFYASEKYPGENDYSKYMIEHGGYCDAYTYSETTTFFFYVNAANFEEALDRFAQFFIKPLMSQDAVLREIKAVDSEHKKNLLSDSWRMYQLQKHLASKDHPYHKFNIGSCETLETKPKERGLDIRQELLKFYENYSANLMHLVVYGKESLDCIQSFVEHMFSDIKNTDQRSFKCPSQPLSEEHMQLVIKAIPISEGDYLNISWPVTPNIHFYKEEHMEDIIGLVFKYILLLKENGIHEWIYDELVAINETEFHYQDKVHPISYVTDIVTTMRSFPPEEWLVGASLPSKYAPNRINMILDELSAERVRILWESKKFEGTTDSVEPWYCTAYSVENVTPSMIQQWIQKAPTEKLCIPKPNIFIPKDFSLKEAHEKVKFPAILRKTPLSRLWYMPDMLFSTPKVHIVIDFHCPLTSHSPEAVISTSLFVDLLADYLNAYAYDAQIAGLFYSIYRTSAGFQVSVGGYNDKMRILLDAIMKHISNFEVKPNRFCALKETAVKDYQNFKFSQPYYQASNYLSLILEDQNWPWVEKLEALSKLEPDSLAKFIPHLLSKTFLECYIQGNIEPNDATSIVQEIEDTIFNTPKSVFKSMSPSQYLIRRVITLENELKCYHQIEGLNQKNENSSVVQHIQVHLDDALSNIKLQLFALIARQPAANQLRTIEQLGYIADLYVRSDRGVRALEIVIQSTVKDPSYLDARVDEFFKMFENKIHELSDKDFKRYVKSLIDSKLEKSKNLWEESDFYWAEIEAGTLQFDRGRSEVSLLRELKKEEFIEFFDQYIRIGAPQRKTLSVQVFGGKHLAEFKKAIAEADAPKTYRITDIFGFKRSRPLYRSLKGGPGRITMD >ORUFI01G36210.1 pep chromosome:OR_W1943:1:30383926:30386016:1 gene:ORUFI01G36210 transcript:ORUFI01G36210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAAAAAAPFLLLVALLLLLPSPAAAFSFTYNFTSADTAPSGIAFQGDAFFNKFIRLTRDERIGPITSSAGRAFFSRPVPLCDPVSRRRASFSTAFSFSIAAPDPSAASGDGLAFFLSPFPSVLPNSSAGGLLGLFNSFSRGGAAAAHPRPLVAVEFDTYKNEWDPSDDHVGVDLGGIVSAATVDWPTSMKDGRRAHARVAYDGQAKNLTVALSYGDAAAAAALTDPVLWYAVDLMEYLPDAVAVGFSAATGEAAELHQVLYWEFTSSIDTKEETVILWVVLGLCGLLLVLVAAGVLWFVSQWRKAGELADGDIDEEMGYDELADEEFFVESGPRRFRYSDLAAATKNFSDERKLGQGGFGAVYRGFLKELGLAVAIKRVSKGSTQGRKEYAAEVRIISQLRHRHLVRLVGWCHEHRGDFLLVYELMPNGSVDRHLYGGGGGSKKAGGAAPPLSWPTRYNVALGLASALLYLHEECPQCVVHRDIKPSNVMLDATFSAKLGDFGLAKLVEHGSQPHTTVLAGTLGYLAPECVITGRASRESDVYSFGVVALEIACGRRPAELDEEDPSKARLVPWVWELYGKRAILEAADQRLNGKFDLEQMERLMVVGLWCAHPDHAHRPSIRQALNVLKFEAPLPSLPPKMPVPSYFPPPDLVAPVSVEGTSSTDGPGVSECGSSGSNAGGGSGINDRLLDP >ORUFI01G36220.1 pep chromosome:OR_W1943:1:30386857:30397098:-1 gene:ORUFI01G36220 transcript:ORUFI01G36220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAAAADFDGGFGGAGEDNLSMPLGDFMAFLDNEDWKEQQHEWRWAINGHQAVGIGEGQTGSSLVGNQGLEMPVDSTSSENAFQNHEEIFENKENWSNYSHTDPSHSQMDVMVELNNGGESFDHSEDTSYRLLSNDFLENSRNGNPEMHLPMDALNHAKTVDEEIVPPYEDYTNGLYYDSGCDMFAEQSGLSEVKCEGTGPMLGNSEQEGNHFTSVPMFDHSAVIPDIPYTELNIGDVPGSMQNGNGSCLTVQGEYLQGEYQEYPQPDYGSFDMANEIVLHDLPQNNQSYELEQLPQNICESSSMQVGSPDQYCDDTSLSDYYMDDVSSIESMSSEQNRSEDICFRSESSTDSSPVPSSRNSTTEDADKYFGDAPKHLQNSMFPVSTQHQHSFMNSSDPMHPTFHKKYDIPRNGSSSILGNSSRNCFSLDSNRDSDLCILEGSRSLASGHVLPPQGLQHNFQQSVCANPNLPRFGGRYRPHEERMTLRLALQDISQPKSEANPPDGVLAVPLLRHQKIALSWMVQKERNGSSCSGGILADDQGLGKTVSTISLILTERSPVPSSAVKQEPCEAVTLDDDDEDDDAEPHLKKPALAHLADTCKPEATSSTIKTENPIANVKARPAAGTLVVCPTSVLRQWADELRNKVTSKANLTFLVYHGSNRTKDPNDLTKYDVVLTTYSIVSMEVPKQSSPDSDDEEKGKPDRYGAPVGSSGSKKRKTSSSKKNKSGSTPESKLPEKPLAKVAWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVEDLYSYFRFLRYDPYAEYKKFCFMIKTPISRNPITGYKKLQVVLKTVMLRRTKATMLDGKPIISLPPKTVSLKTVDFTSEERAFYNTLEAESREQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPHLVRGHESTSSWMSSLEMAKKLPVERQQSLLVCLQSCSAICALCNDAPEDAVVTICGHVFCNQCILEQLTGDDSVCPVSNCRVRLNSTSLFSRGTLECALSRSTCEFLSDDSCEDMVQGKQPRFDSSYASSKVRAALDILLSLPKLDLTHMSDDKNKIVHPDKINGNSTPSEYAGTKITEKAIVFSQWTRMLDLVEVHLKSSHLSYRRLDGTMSVAARDRAVKDFNTNPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKREMVASAFGEDKSGAHQTRLTVEDLNYLWNFKVSMVPALHKAQLASYTVWGQQK >ORUFI01G36220.2 pep chromosome:OR_W1943:1:30386857:30397098:-1 gene:ORUFI01G36220 transcript:ORUFI01G36220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAAAADFDGGFGGAGEDNLSMPLGDFMAFLDNEDWKEQQHEGNQGLEMPVDSTSSENAFQNHEEIFENKENWSNYSHTDPSHSQMDVMVELNNGGESFDHSEDTSYRLLSNDFLENSRNGNPEMHLPMDALNHAKTVDEEIVPPYEDYTNGLYYDSGCDMFAEQSGLSEVKCEGTGPMLGNSEQEGNHFTSVPMFDHSAVIPDIPYTELNIGDVPGSMQNGNGSCLTVQGEYLQGEYQEYPQPDYGSFDMANEIVLHDLPQNNQSYELEQLPQNICESSSMQVGSPDQYCDDTSLSDYYMDDVSSIESMSSEQNRSEDICFRSESSTDSSPVPSSRNSTTEDADKYFGDAPKHLQNSMFPVSTQHQHSFMNSSDPMHPTFHKKYDIPRNGSSSILGNSSRNCFSLDSNRDSDLCILEGSRSLASGHVLPPQGLQHNFQQSVCANPNLPRFGGRYRPHEERMTLRLALQDISQPKSEANPPDGVLAVPLLRHQKIALSWMVQKERNGSSCSGGILADDQGLGKTVSTISLILTERSPVPSSAVKQEPCEAVTLDDDDEDDDAEPHLKKPALAHLADTCKPEATSSTIKTENPIANVKARPAAGTLVVCPTSVLRQWADELRNKVTSKANLTFLVYHGSNRTKDPNDLTKYDVVLTTYSIVSMEVPKQSSPDSDDEEKGKPDRYGAPVGSSGSKKRKTSSSKKNKSGSTPESKLPEKPLAKVAWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVEDLYSYFRFLRYDPYAEYKKFCFMIKTPISRNPITGYKKLQVVLKTVMLRRTKATMLDGKPIISLPPKTVSLKTVDFTSEERAFYNTLEAESREQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPHLVRGHESTSSWMSSLEMAKKLPVERQQSLLVCLQSCSAICALCNDAPEDAVVTICGHVFCNQCILEQLTGDDSVCPVSNCRVRLNSTSLFSRGTLECALSRSTCEFLSDDSCEDMVQGKQPRFDSSYASSKVRAALDILLSLPKLDLTHMSDDKNKIVHPDKINGNSTPSEYAGTKITEKAIVFSQWTRMLDLVEVHLKSSHLSYRRLDGTMSVAARDRAVKDFNTNPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKREMVASAFGEDKSGAHQTRLTVEDLNYLWNFKVSMVPALHKAQLASYTVWGQQK >ORUFI01G36230.1 pep chromosome:OR_W1943:1:30403727:30404125:1 gene:ORUFI01G36230 transcript:ORUFI01G36230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAASHELVVSVAEIPKMRTLDVGSSDVPTSRVSDSTVVGDGRHSEAARHQVWREHDLQHYHILSEERMEGNLLDGEGIHEAGNLVVVEGKPVVPDDDAVLCRRGREDDGEALVKSNGNLGGHTHQVETCW >ORUFI01G36240.1 pep chromosome:OR_W1943:1:30406244:30407080:-1 gene:ORUFI01G36240 transcript:ORUFI01G36240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSKKRRKQLASAAVFSRRCKPPAEARDPPPPPPLPEEEKVKEVLSETPSAKVRAEAKPVANVAVLEEPEAEKQAPKPSADADVTVSDLGSCMSLATDDRSEAASESSVATSSVTGPERSPGKPARRKRPVSVSGELAHAISRRDRAAAAAYGVRSRSARASASPPPRREQRDRSVRRSPSPAAKRTPEQRRAASPAPSLQRKPPVPVRPSPRRVQEAPPSPLEPPPPPPPQPEEDAMTADGEPSIADAASAGGDGEGKESLDNPLVSLECFIFL >ORUFI01G36250.1 pep chromosome:OR_W1943:1:30417482:30418288:-1 gene:ORUFI01G36250 transcript:ORUFI01G36250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSVLQRRLGVFGFLVRDHLGCGVLAEAARLTYVHDAISVEAEACLAALPAVVAHGITSILVESDLSVLISALQTNEYASAPGGSIFREIKVLVQVDFANVESVFAPRACSTAAHELAQRGWIVIPLIQLGNKMSVLKIKKDDRSTFTPPPVPTSNPSSHETVQADARRFAGFIQPARETPVFAGTFRRREAHACRFASIRPLPKRRPVAAARDSLGSHSQDQSCCGAEILLGPKIKRQNLLLL >ORUFI01G36260.1 pep chromosome:OR_W1943:1:30423879:30431887:1 gene:ORUFI01G36260 transcript:ORUFI01G36260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLSFISFSRNAREENLTLASSGTKEKKREQKRSKGRRRGRKGGDKNSTLSLRHSTTPTHLPTPLHTSRFHCLLRDRERERERERKRKKEGDAAVISLAPAPFPSPAQNTKYSDPEPSPPSRRRRRHDDSAASASACPSGSEQGRRDGRMDPEKRGYRLPVEAVTGYFLEACIAQVYNSLTWKPKLEEFVAHDAEVRSLSIGKKSSRVFITGGSDRKVNLWAIGKQTPLLSLSGHTGSVESVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTSVEFHPFGEFFASGSSDTDLKIWDIKKKGCIHTYKGHRGAIRTIRFTPDGRWVVTGGEDNIVKVWDLTAGKLLHDFKFHSGQIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPEATGVRSTVFHPDGKTLFCGLDQSLKVFSWEPVRCHDVVDMGWSNLADLSIYEGKLLGCSYHECRVGVWVADISLIGPYALGVLPKANFFAELVHSLDDNPSKTIDTTAKSIPALATTHPKNLYKVKETGTVAESGIRGSNLTPASMDKTKRDKSGATPRRPDSSFKSSIQSSTPMRRMKAADSPYTNRKTVERNFAQRDASLASRTGTANNSSTVKKGHLAESVSVKDIYTTPQTVSVPVVMPRDILEDKTAGSISGGIRGRAAVADDFHAPVHSRKLSVNSFVGDSVNSTKSMLTDPDVCSESFSGLKFSFGLTPYYKKEEYDNVDKVDSMDKGDNTQMTEKLDRTVSLEHQLQSNDTSEPPCSTTETTKVKYVRGVAVPLGKTKSLVERWEKREATNVECSPPTGSCGDRAVRSDGPSSFSAEPSQAYEKDLSTIDEAMIPINLMQNHDEFINAVKSRLTKLEMMRHVFDQNGIKGAIAAVAKLPDNAVQADVVSTLKGKLDLFNLDIFLSFLPVLAGLLTSKAERHAIVSLELLLDLIKIFGPVIRSTLSAHSAVGVDIQAEQRRGGQSAQLAQELNLSLQDLVVI >ORUFI01G36260.2 pep chromosome:OR_W1943:1:30423879:30431887:1 gene:ORUFI01G36260 transcript:ORUFI01G36260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLSFISFSRNAREENLTLASSGTKEKKREQKRSKGRRRGRKGGDKNSTLSLRHSTTPTHLPTPLHTSRFHCLLRDRERERERERKRKKEGDAAVISLAPAPFPSPAQNTKYSDPEPSPPSRRRRRHDDSAASASACPSGSEQGRRDGRMDPEKRGYRLQEFVAHDAEVRSLSIGKKSSRVFITGGSDRKVNLWAIGKQTPLLSLSGHTGSVESVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTSVEFHPFGEFFASGSSDTDLKIWDIKKKGCIHTYKGHRGAIRTIRFTPDGRWVVTGGEDNIVKVWDLTAGKLLHDFKFHSGQIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPEATGVRSTVFHPDGKTLFCGLDQSLKVFSWEPVRCHDVVDMGWSNLADLSIYEGKLLGCSYHECRVGVWVADISLIGPYALGVLPKANFFAELVHSLDDNPSKTIDTTAKSIPALATTHPKNLYKVKETGTVAESGIRGSNLTPASMDKTKRDKSGATPRRPDSSFKSSIQSSTPMRRMKAADSPYTNRKTVERNFAQRDASLASRTGTANNSSTVKKGHLAESVSVKDIYTTPQTVSVPVVMPRDILEDKTAGSISGGIRGRAAVADDFHAPVHSRKLSVNSFVGDSVNSTKSMLTDPDVCSESFSGLKFSFGLTPYYKKEEYDNVDKVDSMDKGDNTQMTEKLDRTVSLEHQLQSNDTSEPPCSTTETTKVKYVRGVAVPLGKTKSLVERWEKREATNVECSPPTGSCGDRAVRSDGPSSFSAEPSQAYEKDLSTIDEAMIPINLMQNHDEFINAVKSRLTKLEMMRHVFDQNGIKGAIAAVAKLPDNAVQADVVSTLKGKLDLFNLDIFLSFLPVLAGLLTSKAERHAIVSLELLLDLIKIFGPVIRSTLSAHSAVGVDIQAEQRRGGQSAQLAQELNLSLQDLVVI >ORUFI01G36270.1 pep chromosome:OR_W1943:1:30432318:30438713:1 gene:ORUFI01G36270 transcript:ORUFI01G36270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDRNPFDEDDVNPFAGGSVPPASNSRMPPLPHEPGFYNDRGATVDIPLDSTKDMKKKEKELQAKEAELNKRESELRRREEAASRAGIVIEEKNWPPFFPIIHHDISNEIPIHLQRMQYLAFSSLLGLAACLFWNIIATTAAWIKGAGVMIWLLAIIYFISGVPGAYVLWTESALKFGWFFLFYLIFRAVLTVFAPFQIHILFCIWSAVAPPFPFKGKSLAGILPAIDVIGNNAIVGIFYFIGFGLFCLESLLSVVVIQQVYMYFRGSGKAAEMKREAARGAMRSAF >ORUFI01G36280.1 pep chromosome:OR_W1943:1:30438212:30440994:-1 gene:ORUFI01G36280 transcript:ORUFI01G36280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKLGSKPEIFVLEGLTWRCMTELESDVVVEVGEMSFYLHKQFPLLSRSGVLQRMISEYQAPQEDGGGGGGMCTLQLDDIPGGAKAFELAARFCYDVKIELNAHNVVCLRCAAEYLRMTDDYAEGNLITQAESFLADVLANWKDSIKALETCEGVLPTAEDLHIVSRCITALASKACASDAAAWSTGHAAASASAVAVAAKNASYDALWNGIGSGGTPRGGGGAAGAAAGCSGMDWWYEDVSFLSLPMFKRLIQAMEGKGMRPESIAGAIMFYAGRFLPGLKRNTSFSNASFGGDCGAGSRSITPRAANVSAPSEGDQRYFLEEIVALLPAKKGVASTRFLLGMLRTAMLLHASPLCRENLERRIGAQLEDACLDDLLVPNLGYTVETLYDIDCVQRILDYFMSSTDGLGTGYTSPAVVEEGSQLGAPHAGSPSSLSPITMVAKLMDGYLAEVAPDTNLKLPKFQALAAVVPDYARPVDDGIYRAMDIYLKSHPWLSESEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTSIAGWFFVSGNAAAGGDGAPQPHPGGSAIVPKGAAAAGQSDADAEADEGKGKELPAEAITDVKARVSELEKECMSMKQEIRRLGKPRRSWSLLTRKCGFGTKVQQAQPTMSSK >ORUFI01G36290.1 pep chromosome:OR_W1943:1:30447126:30451403:-1 gene:ORUFI01G36290 transcript:ORUFI01G36290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANGGGAALFSEEELRDVSGVRRCEDFVEVTCGCTSHRYGDAVGRLRVYASGDLEVSCECTPGCREDKLTPSAFEKHSGRETAGKWRNTVWVMVQGEKVPLSKTALLKYYSLSHKSANGSNKGRNGRLSHRDEFIHCTECGKERRFRLRSKEECRIYHDALAKPNWTCADLTTDRVTCGDEEERASRKVLRGCSRSTSCTGCVKCVCFGCEICRFTDCGCQTCVDFYHNSKE >ORUFI01G36300.1 pep chromosome:OR_W1943:1:30459988:30460629:1 gene:ORUFI01G36300 transcript:ORUFI01G36300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLWNDKRERELLESLADLYAIIKATEKLERAYVRDLVSAADYEAECLKLISQFNSLSSSLAGVVTIPRFVEAYRLDCPAALNRLVQSGVPATVELRAAASSSAPASSAASAAAIAQCVQSFITAMDAVKLNMLANDQVRPLLHDLSTSMGKLGPVLPPDFEGKVKVSEWLAKLNKMGAGDELTEQQARQLNFDLDSAYSAFMASLPSTGL >ORUFI01G36310.1 pep chromosome:OR_W1943:1:30462976:30466846:1 gene:ORUFI01G36310 transcript:ORUFI01G36310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVLVALRWVASPIFTKFINRASTYLDKDVARELQELEATVLPQFQLVIEAAEKSPHRGKIEGWLRKLKAAFYDAEDLLDEHEYDIIESEAEKGVQSSSMVTITKPLRAVSKKMSNLHPKNRKLICKLEELKEILAEAKSFRSLFGIQAVNGSVHMVTAPIRPHTNTTSLPTSKVIGRKKDRDLIIDTLCKHADTEASAARCYSTLAIVGIGGMGKTTLAQFVYNNEKVIKYFDARMWVCISRKLDVHRHTQEIIESAGMGECPRVSNLDTLQCKLRDMLQRSERFLLVLDDIWFDESKSEMEWDWEQLLAPLVSSRRGSKILVTSRRNALPAVLDCKKYFCLKNLKDTALLAIFKGHAFAGAETNDPQLRRKLEEIAEKISKRLGQSPLAAKAVGSQLSRKKDITTWRAALKSDSLSETRKALLWSYEKLDPRLQRCFLYCSLFPKGYWYEISYIVHLWVAEGLVDSSNSDMKMEDIGRDYFNELVSGSFFQQVSKSWNGIWYIMHDLFHDLAESLSREDFFRLEDDKVKEIPCTVRHLSVRVKSMKLHKQNICKLNHLRTVICIDPLMDDGTDVFDQVLRNQKKLRVLDLSFYNSSKLPESVCELKHLRYLNIIKTFISELPRSLCTLYLLESLKLNNKCKILPDKLCNLSKLRHLEGYIDQISSTPWEAPVPQIPNIGKLFSLQHINEFHVQKQKGYELRQLRDMNELGGRLRIMNLENVTGKDEALESMLHHKSYLKDLQLVWSCKDELNVEDSLYLESEILEGLVPPPQLEGLAIEGYRCATYPSWLKVPRFENLVSFELENCSALEDVPLNTEPLSHCAEISLKNISNLKTLPCFPAGLTYLLIEECPLLMFISNDEVEQQEQWENMTRADHFASQLALFSEADPDKFICGHIRKALLLEHSFLKQLMTKMDADLSENLRTIESALQGEIEEALLKESIIKAWLARGSEYLPLSLEDLRVDHCVLTADFFCSDLPKLKKLYLNGCRSSASMSVGRLTSVQSFDLRSLPDLCTFEGLSSLQFDLVQLIDVPKLTLECTSQFSITRALAVSNPVMLNHMLSAKGFTATDISFHDCKDPSVSLEVSANLTRVKSLELLRCEMKSLPTNMRCLSGLEKLAIWDCPNISTLPDLPSSLQHILIEGCELLKKSCRAPDGESWPKIAHIRWKEIE >ORUFI01G36320.1 pep chromosome:OR_W1943:1:30477673:30483952:1 gene:ORUFI01G36320 transcript:ORUFI01G36320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLAGLHLAARPIFKKLLVEASTYLGVDMMCEFHELETTIMPQFELVIEEAEKGNHRAKLDKWLKELKEAFYNAEDLLEEHEYNILKHKAKSNGSLGKDSTQAHASSISNILKQPLHAVSSRLSNLRPENRNLLRQLNELKTILAKAKEFRELLCLPAVNSVPDSIVPIPVVPVATSLLPPRVFGRDMDRDRIIHLLTEPTAAVSSSAGYSGLAIVAHGGAGKSTLAQYVYNDKRVQEHFDVRMWVCISRKLDVRRHTREIIESATNGECPCVENLDTLQCRLKDILQKSEKLLLVLDDVWFDKFNNETEWDQLLDPLVSLKEGSRVLVTSRQDVLPAALRCKDVVRLEDMEDTEFLALFKHHAFSGTEIQNPQLRGRLEKIAEKIVKRLGHSPLAARTVGSQLSRKKDINEWKSALNIETLSEPVKALLWSYNKLDSRLQRCFLYCSLFPKGHKYKIKEMVDLWVAEGLIDSRSPGDKRIEDVGRDYFNEMVSGSFFQPVSERYMGTWYIMHDLLHGLAESLTKEDCFRLEDDGVKEIPTTVRHLSVRVESMKFHKQSICNLRYLRTVICIDPLTDDGDDVFNQILKHLKKLRVLYLSFYNSSRLPECIGELKHLRYLNIIRTLISELPRSLCTLYHLQLLQLNKKVKCLPDKLCNLSKLRRLEAFDDRIDELINAALPQIPFIGKLTLLQHINGFFVQKQKGYELQQLGNMNELGGNLRVMNLENVSGKDEATESKLHQKARLRGLHLSWNDVDGMDVPHLEILEGLRPPSQLDDLTIEGYKSTMYPSWLLDGSYFENLESFMLANCCGLGSLPPNTEIFRHCVRLTLKNVPNMKTLSFLPEGLTSLSIEGCPLLVFTTNNDELEHHDYRESITRANNLETQLVLIWEVNSDSDIRSTLSSEHSSMKKLTELMDTGISGNLQTIESALEIERDEALVKEDIIKVWLCCHEERMRFIYSRKAGLPLVLPSGLCVLSLSSCSITDGALAICLGGLTSLRYLFLTEIMTLTTLPPEEVFQHLGNLRYLAIRSCWCLRSFGGLRSATSLSEIRLFSCPSLQLARGAEFMPMSLEKLCVYSCVLSADFFCGDWPHLDDILLSGCRSSASLYVGDLTSLESFSLYHLPDLCVLEGLSSLQLHHVHLIDVPKLTTESISQFRVQRSLYISSSVMLNHMVSAEGFKVPGFLSLESCKEPSVSFEESANFTSVKCLRLCNCEMRSLPGNMKCLSSLTKLDIYDCPNITSLPDLPSSLQHICIWGWHLMEKAGQRLRISAGRNSDELCFRITTTEKRKDTPRFALSGNFVSSPPATR >ORUFI01G36330.1 pep chromosome:OR_W1943:1:30482664:30488001:-1 gene:ORUFI01G36330 transcript:ORUFI01G36330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHRATAKVRVNGAPAEATLFCTDPPGAANGGGGDGGELRWRCAGGAVAERVLSLDADVLGVEARGKEVVVKAFVLPADAAARSVSCAAGAGKGGGGRRRRRRDYVFEMAAGEDAAAAWCDRMRGSLDSLGRPKRLFILVNPFGGKKCGKKIYEAEIKPLFEAAGVNVTMQETRYQGHAREVASSLDLARYDGIVCVSGDGVLVEVVNGILQRMDWEEAMKIPIGVVPAGTGNGMAKSLLHSASKTYSVPNAVFAIIRGLVADIDIESEKYRWMGSARFDFYALVRIMNLRKYYGSIQYVPAPGYEAYGDVVKQVENCTVECQEQIGKSLCSYQGPSVEFQGSEWRSLDGPFVSIWINNVQWAAESIMAAPGAKFSDGYMDAVIVRDCPKADLLALLMKMGDGSHVKSPYVTYLKVRCLRLSPGQLVENPKRGGIIDVDGEAIARGEGTYGKNQKQDVMGYGPSIQMTVHRALATMYCPK >ORUFI01G36340.1 pep chromosome:OR_W1943:1:30490190:30492355:-1 gene:ORUFI01G36340 transcript:ORUFI01G36340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSSGSQGHNVNGGQKKVQHASPLTLNNGSKHRPLTPMRRCRGVACVVIILSTAFTLIVFIAPITTFLVRLVSVHYSRKATSVLFGMWLSLWPFLFEKINKTNVVFSGESVLPKKRVLLFANHRTEVDWMYLWDLALRKGYLGYIKYILKSSLMKLPVFSWAFHIFEFIPVERKWEIDEAIIQNKLSAFKDPRDPLWLAVFPEGTDYTEKKCIKSQEYALEHGLPILKNVLLPKTKGFLCCLQELKSSLDAVYDVTIAYKHRLPDFLDIIYGTDPSEVHIHIRTVKLCDIPTSEDEVTDWMIERFRQKDQLLSDFFMQGHFPDEGTEGDVSTPECLANFIAIVSSTGFFLYLSLFSSVWFKVYVLLSCAYLTFVTYFSIQPPQLICSSEGGTHAKKVL >ORUFI01G36340.2 pep chromosome:OR_W1943:1:30490190:30491506:-1 gene:ORUFI01G36340 transcript:ORUFI01G36340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIERFRQKDQLLSDFFMQGHFPDEGTEGDVSTPECLANFIAIVSSTGFFLYLSLFSSVWFKVYVLLSCAYLTFVTYFSIQPPQLICSSEGGTHAKKVL >ORUFI01G36350.1 pep chromosome:OR_W1943:1:30503381:30507860:-1 gene:ORUFI01G36350 transcript:ORUFI01G36350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGHSATASSSAAAASSAHGVGVVQRLWLEEQERKPPPKRGGGKRRWAWAPLEPRRAGWWAREWDRAYLLACAAGLMVDPLFLYAVSVSGPLMCVFLDGWFAAAVTVLRCTVDAMHAWNLLMRLRAAVRPPEEDDGADEEVAAERGAGGNGGGPAPAQVARPVSRKGLMLDMFVILPVMQVIVWVAAPAMIRAGSTTAVMTVLLVSFLFEYLPKIYHAVRLLRRMQNTYVFGTIWWGIALNLMAYFVAAHAVGACWYLLGAQRATKCLKEQCAQGGSGCAPGALACAAPLYYGGAVGGVGADRLAWALDASARGTCLDSGDNYQYGAYKWTVMLVANPSRLEKILLPIFWGLMTLSTFGNLASTTEWLEIVFNIITITGGLILVTMLIGNIKVFLNAATSKKQAMQTRLRGVEWWMKRKKLPQSFRHRVRQHERQRWAATRGVDECRIVRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLVFPKGEIIVREGDPVQRMLFIVRGHLQSSQVLRTGATSCCTLGPGNFSGDELLSWCMRRPFLERLPASSSTLVTMESTEAFGLEAADVKYVTQHFRYTFTNDRVRRSARYYSHGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNPNQDDLV >ORUFI01G36350.2 pep chromosome:OR_W1943:1:30503381:30507860:-1 gene:ORUFI01G36350 transcript:ORUFI01G36350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGHSATASSSAAAASSAHGVGVVQRLWLEEQERKPPPKRGGGKRRWAWAPLEPRRAGWWAREWDRAYLLACAAGLMVDPLFLYAVSVSGPLMCVFLDGWFAAAVTVLRCTVDAMHAWNLLMRLRAAVRPPEEDDGADEEVAAERGAGGNGGGPAPAQVARPVSRKGLMLDMFVILPVMQVIVWVAAPAMIRAGSTTAVMTVLLVSFLFEYLPKIYHAVRLLRRMQNTYVFGTIWWGIALNLMAYFVAAHVSRRAPPSISASSLDRSSLRDSASSLMQAVGACWYLLGAQRATKCLKEQCAQGGSGCAPGALACAAPLYYGGAVGGVGADRLAWALDASARGTCLDSGDNYQYGAYKWTVMLVANPSRLEKILLPIFWGLMTLSTFGNLASTTEWLEIVFNIITITGGLILVTMLIGNIKVFLNAATSKKQAMQTRLRGVEWWMKRKKLPQSFRHRVRQHERQRWAATRGVDECRIVRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLVFPKGEIIVREGDPVQRMLFIVRGHLQSSQVLRTGATSCCTLGPGNFSGDELLSWCMRRPFLERLPASSSTLVTMESTEAFGLEAADVKYVTQHFRYTFTNDRVRRSARYYSHGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNPNQDDLV >ORUFI01G36360.1 pep chromosome:OR_W1943:1:30509494:30509994:-1 gene:ORUFI01G36360 transcript:ORUFI01G36360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGHKRAVTANADIGFMDYVSSKCLSAAEVSSDGPCKTPLLKIMKTCAFTSALRSKPRVNKSASVKCAADMVENSSEFSNGSGLRCLLLEKQEVFMYFDNDACSKKLHGWPGALIDNCRGRNIKLDMGVLCN >ORUFI01G36370.1 pep chromosome:OR_W1943:1:30512405:30513191:-1 gene:ORUFI01G36370 transcript:ORUFI01G36370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTATGLLVAFLFVAAVAIADGRSLSVAHEKFHGDGADHHYWEHATTPLPLICTGVHGVEAGETCDSIARRFHAGLGRAPFFRLVSLNPNINCRELFVGQWVCIQGLLPV >ORUFI01G36380.1 pep chromosome:OR_W1943:1:30515857:30516246:-1 gene:ORUFI01G36380 transcript:ORUFI01G36380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGAAALAIIASLLVAVTLADARLTAHHGYVVVEDVKAPVPALTCNKVHGVQASETCFSVSQSAGLTQDQFLAFNPNINCAKVFVGQWVCLDAAAA >ORUFI01G36390.1 pep chromosome:OR_W1943:1:30517225:30522118:1 gene:ORUFI01G36390 transcript:ORUFI01G36390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVTSFPHAIPKPHHHLHAAHHAHLTAAATRPEAPSASSPNPANARLRRLIARDDLAEAARLVDRATSRGEAPDVYLCTKLIRNLCRRGRTSDAARVLRAAERSGTAVDVFAYNTLVAGYCRYGQLDAARRLIASMPVAPDAYTYTPIIRGLCDRGRVGEALSLLDDMLHRGCQPSVVTYTVLLEAVCKSTGFGQAMEVLDEMRAKGCTPNIVTYNVIINGMCREGRVDDAREFLNRLSSYGFQPDTVSYTTVLKGLCAAKRWEDVEELFAEMMEKNCMPNEVTFDMLVRFFCRGGMVERAIQVLEQMSGHGCAANTTLCNIVINTICKQGRVDDAFQFLNNMGSYGCSPDTISYTTVLKGLCRAERWEDAKELLKEMVRKNCPPNEVTFNTFICILCQKGLIEQATMLIEQMSEHGCEVNIVTYNALVNGFCVQGRVDSALELFYSMPCKPNTITYTTLLTGLCNAERLDAAAELLAEMLQKDCAPNVVTFNVLVSFFCQKGLMDEAIELVEQMMEHGCTPNLITYNTLLDGITKDCNSEEALELLHGLVSNGVSPDIVTYSSIIGVLSREDRVEEAIKMFHIVQDLGMRPKAVIYNKILLALCKRCNTDGAIDFFAYMVSNGCMPNELTYITLIEGLANEDFLKETRDLLRELCSRGVLNKNLLEEWRPKPQTRAPSLMYRNRDCVTIGHRDAIIHFGNKSMAWQREAVSVVPRFKFCPLSPVK >ORUFI01G36400.1 pep chromosome:OR_W1943:1:30521341:30527625:-1 gene:ORUFI01G36400 transcript:ORUFI01G36400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNLVKNNMLKEFYIPTYIFVPESPVEKVSQIPSCPVIVFINTKSGGQLGHDLIVTYRKLLNNSQVFDLLEEAPDKVLHKLYGNMERLMRDGDTVAAEIHRRLRLIVAGGDGTAGWLLGVVSDLKLVHPPPVATVPLGTGNNLPYSFGWGKRNPGTDEKSVLSFLQSVRQAKEMKIDSWHIVMKMESPKSSTCDPIAPLDLPHSLHAFHRVPNNPQDKEYSCTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLSNQKTYLKLACTQGWFCASLCHPMSRNIAHLSKVKIMKKSGKWETLEIPQSIRSIVCLNLPSFSGGLNPWGTPSERKQRKRDLVMPPLVDDGLLEIVGFKDAWHGLVLLSPKGHGTRLAQAHRVQFKFHKGATDHAYMRLDGEPWNQPLPKDDGKVLVEISHAGQVKMLATKNCIAKGIHEALAMSTVHPESSSSSDDTDDDDDFAEERKNFGAALSFRYMDDVTKE >ORUFI01G36400.2 pep chromosome:OR_W1943:1:30521343:30525730:-1 gene:ORUFI01G36400 transcript:ORUFI01G36400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNLVKNNMLKEFYIPTYIFVPESPVEKVSQIPSCPVIVFINTKSGGQLGHDLIVTYRKLLNNSQVFDLLEEAPDKVLHKLYGNMERLMRDGDTVAAEIHRRLRLIVAGGDGTAGWLLGVVSDLKLVHPPPVATVPLGTGNNLPYSFGWGKRNPGTDEKSVLSFLQSVRQAKEMKIDSWHIVMKMESPKSSTCDPIAPLDLPHSLHAFHRVPNNPQDKEYSCTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLSNQKTYLKLACTQGWFCASLCHPMSRNIAHLSKVKIMKKSGKWETLEIPQSIRSIVCLNLPSFSGGLNPWGTPSERKQRKRDLVMPPLVDDGLLEIVGFKDAWHGLVLLSPKGHGTRLAQAHRVQFKFHKGATDHAYMRLDGEPWNQPLPKDDGKVLVEISHAGQVKMLATKNCIAKGIHEALAMSTVHPESSSSSDDTDDDDDFAEERKNFGAALSFRYMDDVTKE >ORUFI01G36410.1 pep chromosome:OR_W1943:1:30528583:30530472:1 gene:ORUFI01G36410 transcript:ORUFI01G36410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLASFGLRAADPQMPHRHIVSTRRRYMLVAVEVRIYARNAPDWLMATKSLGISVKLEEKNILENVVGLFGAVGFAQPARVKTEISLPLCLVRIHIVCTKMTEVVARIHSQGIPEGMIPIVGHTVFKASRLSIVRLYLGTARILVKLVCVVKISWLSSIPRRCIV >ORUFI01G36410.2 pep chromosome:OR_W1943:1:30528583:30530472:1 gene:ORUFI01G36410 transcript:ORUFI01G36410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLASFGLRAADPQMPHRHIVSTRRRYMLVAVEVRIYARNAPDWLMATKCLVRIHIVCTKMTEVVARIHSQGIPEGMIPIVGHTVFKASRLSIVRLYLGTARILVKLVCVVKISWLSSIPRRCIV >ORUFI01G36420.1 pep chromosome:OR_W1943:1:30529861:30532406:-1 gene:ORUFI01G36420 transcript:ORUFI01G36420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPSSPKGQNSNRRRARELRREGVGPAMDEGLVSVDKFSGGSQAYFLTHLHQDHTRGLGAAGGWRHGPLYCSPVTARLLPTRFPGVDASLLRPLAPGASASLSLSSPSTGRAVSVVVTAIPALHCPGSLMYLFRGDLGCMLYTGDFRWELRCKRARAAKKALLDALAGDTVDVLYLDNTYCHPSLSFPPRPIVAEQIVNIIRAHPDHEIIIGVDTLGKEDLLLHISRALQTKVIWVWPQRLQTIHLLGIDDNQEIFTTQTSLTRIRAVPRYSLTIESLDALNTVCPTIGIMPSGIPWLWKNSKGKAKSGVKSPAKSIRCKGLDEGAIEMDYDPLSPPKLFEKDSYSLPYSEHACFAELENFMLIVRPSTVIGIVSTSFCYVNPRHHFSHLCADNVYSDKTPEKNKGKDISVLTPKKRQNGSKTPKDRKIRIVYGSRVTMKRKE >ORUFI01G36420.2 pep chromosome:OR_W1943:1:30529861:30532406:-1 gene:ORUFI01G36420 transcript:ORUFI01G36420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPSSPKGQNSNRRRARELRREGVGPAMDEGLVSVDKFSGGSQAYFLTHLHQDHTRGLGAAGGWRHGPLYCSPVTARLLPTRFPGVDASLLRPLAPGASASLSLSSPSTGRAVSVVVTAIPALHCPGSLMYLFRGDLGCMLYTGDFRWELRCKRARAAKKALLDALAGDTVDVLYLDNTYCHPSLSFPPRPIVAEQVSFAASVSNIVNIIRAHPDHEIIIGVDTLGKEDLLLHISRALQTKVIWVWPQRLQTIHLLGIDDNQEIFTTQTSLTRIRAVPRYSLTIESLDALNTVCPTIGIMPSGIPWLWKNSKGKAKSGVKSPAKSIRCKGLDEGAIEMDYDPLSPPKLFEKDSYSLPYSEHACFAELENFMLIVRPSTVIGIVSTSFCYVNPRHHFSHLCADNVYSDKTPEKNKGKDISVLTPKKRQNGSKTPKDRKIRIVYGSRVTMKRKE >ORUFI01G36430.1 pep chromosome:OR_W1943:1:30533102:30535979:-1 gene:ORUFI01G36430 transcript:ORUFI01G36430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPSSPSAGGGGDVSASSPTLPPVRLAAAQAASAAAIHPTSPRYFFSSLAGTNASPHRRIAIAVDLSDESAYAVRWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVSDDADGEVAPAASAEELQKKREEDFDAFTSTKAEDLAQPLVDAQIPFKIHVVKDHDMKERLCLEAERLGLSAMIMGSRGFGASRKGGKGRLGSVPTGRQQGQQMSCTLCLRMNLCIMMHLMCRKKTEVMHLERKENVRGLPEAICVFEQCNYCLAVGLLLLYLLDIINWLDQQAAFHFA >ORUFI01G36440.1 pep chromosome:OR_W1943:1:30538880:30542241:1 gene:ORUFI01G36440 transcript:ORUFI01G36440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLVLGLTAARRLRSRAPVLVSSSPFLEASTSTSDATAATATRGSGLGPWGLFLSSRALSSTRPVSLSAGDAPGSSAADHRSILPEDEYHKLADETIHDLLEKLEEYGDSLQMDGFDIDYGNQVLTLRLGELGTYVVNKQAPNRQIWLSSPVSGPSRFDWDAPTNCWIYRRTGANLVELLEREIGELCGTPVELS >ORUFI01G36450.1 pep chromosome:OR_W1943:1:30541639:30541974:-1 gene:ORUFI01G36450 transcript:ORUFI01G36450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADKGTGVQFEDFLPSMARKLGVEGLIEELCKGFELLMDPGAGKITFRSLKRNAAMLGLGELRDDELSEMMREGDLDGDGALDQMEFCVLMVRLSPELMQDESHRAFQC >ORUFI01G36460.1 pep chromosome:OR_W1943:1:30544486:30556443:1 gene:ORUFI01G36460 transcript:ORUFI01G36460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLCTKAHQTPPLLRQPWLLSSSRRRRLVGGGAGGWDGEEEAQAAMMRTKSSCRKVITLLMIALWLAGCLGRDSISVNESLSDGRTIVSMKNVFVLGFFSPGASNHRYIGIWHNSLGNKTIIWVGNRNEPLSDTSGVLMFDSNGNLVIVHGGRSLIVAYGQGAKDMKATILDSGNLVLSSTANSSGYIWQSFDSPTDTWLPEMKIGLKTTNQTLISWRSNDYPAMGDYKLGMDPAGLSQFIIWWRDNTFWTSGHWNGDMFSLIPELKFFTTVPISFKCSSNSTNDISCTYSANPSDMLTRIVLNSTGSLSIMQFDSLAQSWILLWRQPSTCEIPNLCGAFGVCNNNAVPKCNCTGGFVPQNIIAYSNGYTREGCIRQTKLQCSSDKFLEIPNVRIPDNRKKMPAVVGPSDCKLACLRNCSCTAYAYSQLEGCSLWYGDLMNLQDGYDIDGAGTLCLRLAASEVESGGNSGSGHKMLWMAGVIPFVALLSFCSLSCLLWRRRRQNKGKEDLHAHRSLTTLDMDSAVKLWESEEAGSQFVLFSFSQIAKSTNNFSAPNKLGEGGFGPVYKGNLPDGQDIAVKRLATNSGQGLIEFKNEVLLIAKLQHVNLVRLIGCCIQGEEKILIYEYMPNKSLDFFLFEKSRRTALDWAKRIHIIEGIAHGLLYLHKHSRLRIIHRDLKASNILLDTDMNPKISDFGMARIFGSKETQANTNRVVGTYGYMAPEYAMQGIFSVKSDVFSFGVLLLEIVSGTRNAGSNRRGRSLNLLGHAWELWREDRWFDLVDPTTRDSCPEHRVLRCVHVGLMCVQENAADRPTMNDVISMLTSESITLPDPKQPAFLSIVLPTEMDAHDESVSQNAITITDLEGSRSVGWRIEISQVGADGIMEGPMIIQGTADCYD >ORUFI01G36460.2 pep chromosome:OR_W1943:1:30544486:30556443:1 gene:ORUFI01G36460 transcript:ORUFI01G36460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLCTKAHQTPPLLRQPWLLSSSRRRRLVGGGAGGWDGEEEAQAAMMRTKSSCRKVITLLMIALWLAGCLGRDSISVNESLSDGRTIVSMKNVFVLGFFSPGASNHRYIGIWHNSLGNKTIIWVGNRNEPLSDTSGVLMFDSNGNLVIVHGGRSLIVAYGQGAKDMKATILDSGNLVLSSTANSSGYIWQSFDSPTDTWLPEMKIGLKTTNQTLISWRSNDYPAMGDYKLGMDPAGLSQFIIWWRDNTFWTSGHWNGDMFSLIPELKFFTTVPISFKCSSNSTNDISCTYSANPSDMLTRIVLNSTGSLSIMQFDSLAQSWILLWRQPSTCEIPNLCGAFGVCNNNAVPKCNCTGGFVPQNIIAYSNGYTREGCIRQTKLQCSSDKFLEIPNVRIPDNRKKMPAVVGPSDCKLACLRNCSCTAYAYSQLEGCSLWYGDLMNLQDGYDIDGAGTLCLRLAASEVESGGNSGSGHKMLWMAGVIPFVALLSFCSLSCLLWRRRRQNKGKEDLHAHRSLTTLDMDSAVKLWESEEAGSQFVLFSFSQIAKSTNNFSAPNKLGEGGFGPVYKGNLPDGQDIAVKRLATNSGQGLIEFKNEVLLIAKLQHVNLVRLIGCCIQGEEKILIYEYMPNKSLDFFLFEKSRRTALDWAKRIHIIEGIAHGLLYLHKHSRLRIIHRDLKASNILLDTDMNPKISDFGMARIFGSKETQANTNRVVGTYGYMAPEYAMQGIFSVKSDVFSFGVLLLEIVSGTRNAGSNRRGRSLNLLGHAWELWREDRWFDLVDPTTRDSCPEHRVLRCVHVGLMCVQENAADRPTMNDVISMLTSESITLPDPKQPAFLSIVLPTEMDAHDESVSQNAITITDLEGSRSVGWRIEISQVGADGIMEGPMIIQGTADCYD >ORUFI01G36470.1 pep chromosome:OR_W1943:1:30559096:30559377:1 gene:ORUFI01G36470 transcript:ORUFI01G36470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEGEPLGFEVSTTPIPELPDPETTTGRPPPVPSPRRRVDDGTALLTAVSNRLHGHVGVHDGILFHRRRRLRRVEPATPPAASPSGARPPPA >ORUFI01G36480.1 pep chromosome:OR_W1943:1:30560889:30577088:1 gene:ORUFI01G36480 transcript:ORUFI01G36480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRAPRSLLPLLFVAAAVAFFSRAATAADVIGQAGFITGNQTLVSSGGVFELGFFVPNGATDGRTYLGIWYASIPGQTVVWVANRQDPVVNVPAVARLSADGRLVIADAKNTTVWSSPAPARNVTAAGATARLQDDGNLVVSSGSPGSVAWQSFDYPTDTLLPGMKLGVDVKNGITRNMTSWTSSSDPSPGSYTFKLVPGGLPEFFLFRGPAMIYGSGPWNGAELTGVPDLKSQDFAFTVVSSPDETYYSYSILNPSLLSRFVADATAGQVQRFVWINGAWSSFWYYPTDPCDGYAKCGAFGYCDTSTPTLCSCLPGFQPRSPQQWGLRDASGGCVLTANLTCDGAGDGFWTVNRMKLPAATNATVYAGMTLDQCRQVCLGNCSCRAYAAANVSGGVSRGCVIWAVDLLDMRQYSGVVQDVYIRLAQSEVDALNAAANSEHPSNSAVIAVVVATISGVLLLGAVGGWWFWRNRVRTRRNETAAAAAGGGDDVLPFRVRNQQHPASSVKRDQRLDVKRECDEKDLDLPLLDLKAIVAATDDFAASNKIGEGGFGPVYMGKLEDGQEVAVKRLSRRSVQGVVEFKNEVKLIAKLQHRNLVRLLGCCIDDDERMLVYEYMHNQSLDTFIFDEGKRKLLRWSKRFEIIVGVARGLLYLHEDSRFRIIHRDLKASNVLLDRNMVPKISDFGIARMFGGDQTTAYTRKVIGTYGYMSPEYAMDGVFSMKSDVYSFGVLVLEIVTGRRNRGFYEAELDLNLLRYSWLLWKEGRSVDLLDQLLGGSFDYSEVLRCIQVALLCVEVQPRNRPLMSSVVMMLASENATLPEPNEPGVNIGRHASDTESSETLTVNGVTITAIEMTHRMKTLSSQCKHYLWGPQISSPTPNPQLVLLPRHPTAPALPSSAARSLAASAQVPAASAPVVSEHYGGVATALSYQPSIADDSINQAASITGNQTLVSANGIFKLGFFSPDGGTYYLAIWYAKISPQTVVWIANRQNPVLIKPGNVRLLADGRLVIRDGQNNTVWSSAAPTGTVAQGATARLLGTGNFVVSSPQGMAWQSFDYPTDTLLPDMKLGVDLKNGITRNITSWRSPTDPSPGKYTFGLVLGGLPEFFLSENSRRIYASGPWNGEVLTGVPLLKSQQAGGVFTFTVLSSPDETYYRYSVHDPSLLTRFVVNGTLGKLQRSWSDNNGQSWSENSYFYPPDPCDNYAFCGPFSYCVSSVDQSRQCSCLPGFESQSQPGPFQDSSKGCARMANLTCGDGDGFWRVNRMKLPEATKATVHAGMTLDQCRQACLRNCSCNAYAAANVSGGDSRGCVFWTVDLLDMREYTVVVQDLYIRLAQSEIDALNAPARRRRLIKNTVIAVVTTICGILGVVGCYCFWRNKARRKQHTEMEKSSDADDLPFRVRKSPALSPARDQWFDENRGAEDDLDLPLFDLEMIFNATDRFAAHNKIGEGGFGPVYMGRLEDGQEVAVKRLSKRSVQGVVEFKNEVKLIAKLQHRNLVRLLGCCIDDDERILLYEHMHNKSLDTFIFDEGNRKLLSWNKRFEIILGIARGLLYLHEDSRFRIIHRDLKASNVLLDRNMVPKVSDFGIARMFEGDQTTAYTRKVIGTYGYMSPEYAMDGVFSMKSDVFSFGVLVLEIVAGRRNRGFCESEINLNLLRYAWMLWKEGKSVDLLDELIGDIFDDNEVLRCVHVALLCVEVEPKNRPLMSSVVMMLASENATLPQPNEPGVNIGKITLDTESSHGLTSNGVTTTTIEAR >ORUFI01G36490.1 pep chromosome:OR_W1943:1:30578174:30581855:1 gene:ORUFI01G36490 transcript:ORUFI01G36490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGREKDRSVVVVVEDLGTATKDTVNPSAKSTRRYPLLSWTTILALIALVGVYIFSVSLKQNGMLLGLKQTDMIEKEREKLCQDPSIPVTEIPYVHYPTPDTYSRKECACTPVRFFAILSMQRSGSGWIETLLNSHENISSNGEIFSIKERRSNITSITKTLDKLYNLDWLSSAAKNECTAAVGLKWMLNQGLVQHHEEVVEYFNRRGVSAIFLLRRNTLQRYVSVLANAHDSKAKQINGTHKSHVHSEREAQILAQFKPEIDTKKLIADLKKSDKLAADALLYFKKTRHIILYYEDVVSNDTKLMDVLDFLRLPKRKLSSRHVKIHTKLLRDHIDNWAEVNSTLMGTQYESFLNG >ORUFI01G36490.2 pep chromosome:OR_W1943:1:30578283:30581855:1 gene:ORUFI01G36490 transcript:ORUFI01G36490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGREKDRSVVVVVEDLGTATKDTVNPSAKSTRRYPLLSWTTILALIALVGVYIFSVSLKQNGMLLGLKQTDMIEKEREKLCQDPSIPVTEIPYVHYPTPDTYSRKECACTPVRFFAILSMQRSGSGWIETLLNSHENISSNGEIFSIKERRSNITSITKTLDKLYNLDWLSSAAKNECTAAVGLKWMLNQGLVQHHEEVVEYFNRRGVSAIFLLRRNTLQRYVSVLANAHDSKAKQINGTHKSHVHSEREAQILAQFKPEIDTKKLIADLKKSDKLAADALLYFKKTRHIILYYEDVVSNDTKLMDVLDFLRLPKRKLSSRHVKIHTKLLRDHIDNWAEVNSTLMGTQYESFLNG >ORUFI01G36500.1 pep chromosome:OR_W1943:1:30582384:30589978:-1 gene:ORUFI01G36500 transcript:ORUFI01G36500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPLCCYLLLFVVVVVLTGSCRARDTVVPGRPLAANETLVSGGDANFVLGFFTPPGANSTYVGVWYNKVSVRTVVWVANCEDPLPGDVADNPDATLSVSPTGTLAIVAGNSTVVWSVTPAAKLASPTARIMDSGNLVIADGAGGGVAWQGFDYPTDTLLPEMRLGVDYVKGRNRTLTAWKSPSDPSPGPVVMAMDTSGDPQVFIWNGAEKVWRSGPWDGIQFTGVPDTVTYSGFTFSFINNAKEVTYSFQVHNVSIISRLGLNSTGSYGLLQRSTWVEAAGTWNLYWYAPKDQCDEVSPCGANGVCDTNNLPVCSCLRGFTPKSPEAWALRDGRAGCVRSTPLDCQNGTDGFVAVEHAKVPDTERSVVDLGLSLEQCRKACLMNCSCTAYASANVSGGGRGHGAGTGCVMWTTGLTDLRVYPEFGQDLFVRLAAADLGLTSKSNKARVIIAIVVSISSVTFLSVLAGFLVWTRKKKRARKTRSSKWSGGSRSTGRRYEGSSHHDDDLELPIFDLGTIAAATDGFSINNKLGEGGFGPVYKGKLEDGQEIAVKTLSKTSVQGLDEFKNEVMLIAKLQHRNLVRLLGFSISGQERILVYEYMANKSLDYFLFEKSNSVLLDWQARYRIIEGITRGLLYLHQDSRYRIIHRDLKASNVLLDKEMTPKISDFGMARMFGSEETEINTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLLLEIISGRRNRGVYSYSNHLNLLGHAWSLWNEGKSLELADETMNGSFDSDEVLKCIRVGLLCVQENPDDRPLMSQVLLMLATTDATTLPTPKQPGFAARRILMETDTSSSKPDCSIFDSATVTILEGR >ORUFI01G36510.1 pep chromosome:OR_W1943:1:30599805:30604147:1 gene:ORUFI01G36510 transcript:ORUFI01G36510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVYVVYYSMYGHVAKLAEEIKKGASSIEGVEAKIWQVPETLHEEVLGKMGAPPKPDVPTITPQELTEADGILFGFPTRFGMMAAQMKAFFDATGGLWSEQSLAGKPAGIFFSTGTQGGGQETTPLTAITQLTHHGMVFVPVGYTFGAKMFNMGEVQGGSPYGAGTFAADGSRWPTEMELEHAFHQGKYFAGIAKKLKGSA >ORUFI01G36520.1 pep chromosome:OR_W1943:1:30608067:30609982:-1 gene:ORUFI01G36520 transcript:ORUFI01G36520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEWWSASQRSHGTSACSAAPSPLTADRVSCGWTSPAAAAAAESTSSITFQDPSRSSAAHHQPLSDAASSLGDPHMVDWTQAFLSGRSDASFQAVLQDDMAASTRPFRAQPTAADEAVMTNPFRDMGVGQGLLLDQASAPLHGLSFDAGEPAVAPATHSITTSFGDYQHSASYDAAAAVMQFSQTPRAPSLPAAAQMQFLSGSYQLPFGGAPPLPSQLLLQAMQPKPSCSSNANTLLAKSNSGSAQQICSSEGRKSVSDSPAAAKRPRIEAPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHEQVASLSSPYLKNGNPLQHFQQKGSESTKDAEQPKPDLRSRGLCLVPVASTYTVASETVPEFWHPTFGGTFR >ORUFI01G36530.1 pep chromosome:OR_W1943:1:30636684:30638519:-1 gene:ORUFI01G36530 transcript:ORUFI01G36530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREDEDENSELFRGKRSREEGGFLDSTSRAYGSRNSNAHQVHDWIIFLQKMQLLRVVVTRYVAYYQLWRSRYMPRFAAETVTSTNSGSSHRPMGCGVPALQDGNYESATEHSCTCLGIGGIQKLLTTAGIIAWIIRSAPYNWEQTDEMDLDPIIEGTTACYEV >ORUFI01G36540.1 pep chromosome:OR_W1943:1:30641197:30643972:1 gene:ORUFI01G36540 transcript:ORUFI01G36540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATHPTRHVGRLLPARVCIGGVGSVSTREDEGSAGGTKGLARCQNTSDSRGRSSWVMALKKMKTGGLKNERLVRVA >ORUFI01G36550.1 pep chromosome:OR_W1943:1:30644226:30646778:1 gene:ORUFI01G36550 transcript:ORUFI01G36550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPTAKTAPAYGYAPGAHAEALEFIEHVTANAGQVQRRVLGEILAQNAPAEYLRRYGIPGSPDVVDAFRRLVPLVTYEGLQPDILRIANGDTSPIFSGKPISEFLTSSGTSGGERKLMPTIADEMNRRSLLYSLLMPVMSQSVSGLDKGKAMYLLFVKAESRTPGGLAARPVLTSYYRSRQFLDRPRDPYTSYTSPDEAILCVDSYQSMYAQLLCGLVHRADVLRVGAVFASGFLRAIHFLEKHWARLCHDIRTGELDPEITDRVVRDAVGRVLRADPALADAIEDECARASWEGIIRRLWPRTKYIDVIVTGTMSQYIPTLEFYGGGLPLTCTMYASSECYFGLNLNPMCKPSDVAYTLIPTMCYYEFLPVNCNNATAEASHRDLVDLVDVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNKAPMFSFVRRQNVALSVDSDKTDETELHAAVSGAVQHLAPFGASLVEYTSYADAATIPGHYVLFWELRAGSTAVPASVFEECCLSVEEALNSVYRQGRACDRSIGPLEIRVVAEGTFDKLMDYAISRGASINQYKAPRCVRPGPVVELLDARVQGKYFSPKCPKWSPGNKQWNKSKDLVGKGDA >ORUFI01G36560.1 pep chromosome:OR_W1943:1:30653011:30653727:-1 gene:ORUFI01G36560 transcript:ORUFI01G36560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHVRRLLNRVSIALAAVATAALLQIFSHSSSSCFVGSPAYSSLSLAPFPRTSCDAASRRVVDPNLRLAKLRSSPRWRRRSAALSTSVFPRLRRLRLLRRSSRVLCVAAGAGQAVDALHVAGVGDATGVDLVDFPPLVRRADPHNLPFFDGAFDVVLSDEPMALTGALFPSRFAAEAERTVRWGGAIALAIERHIDLSTVASLFKKSRVAAAWNATLDGSAATMVILRKNSNNTKQH >ORUFI01G36570.1 pep chromosome:OR_W1943:1:30653642:30658755:1 gene:ORUFI01G36570 transcript:ORUFI01G36570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAASRAQRLSRIFSSSSPSVRPPKPGQVKEAPKPAPTKKAPAPGAEANPNLRRNAIDDIIKGLLRERDPDKLVSGFIAASSTHPRFRARHRVYDVAVSRLATFGRLDGVEAIIDAQKPFLETSKEGFAARLIRLYGHASMASHAAATFHDLPPQLKSTMTFNSLLAAYVEAGEFEALAAAFKEIPVSNPSVVPSVYSYNILLQALCKVPDLSAALDTMTLMEKSGISPDLVTFNTLLNGFYNHGDMDGAEKVWEMITERNMVPDAKSYNAKLRGLVAQGRIEDAVAVVEKMEKDGPKPDTISYNELIRGYCKDGRLEEAKKLFEDMAENGYVANRGTYHTLIPCLVKAGELDYALKCCHEIYGKNLRVDCFVLQEVVTALVTASRVEDATKIVELGWNNSYPRRILNIPHATEKNKEESISEEEEEPENA >ORUFI01G36580.1 pep chromosome:OR_W1943:1:30665416:30667491:-1 gene:ORUFI01G36580 transcript:ORUFI01G36580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRRRASAVAGGRAEDEKAASAPAAAAPAPTVWFALKRSLHCRSEPSEVHVPRPKAVAAAAAGGGGHLSAIVTKRAAPRSGCSRSIANLRDVIHGSKRHPERPPSCSPRSIGSSEFLNPIAHEVVLSTNSRCELKITGFGGCGGLAAAAAAGGGVLASPHEADGDGAVVSSFVGTLRPGTPGPGWSHGLQYSGSCRGSMRCTPPRSPNVLLDSRDAAAAGVTAHRASCEDAVKSFGKGGGGGGGLSCHRCGEQFSKWEALEAHHLSKHAVTELVEGDSSRKIVEIICRTSLLKSESSCVRIERVFKVHNTQRTLARFEEYREAVKLKASKLPKKHPRCLADGNELLRFHGATLSCALGGAAGSSSLCASDKCAVCRIIRHGFSAKKEGKAGVGVFTTSTSGRAYESIEASAGAVVGGDDPAATRKALLVCRVIAGRVHKPLENLKEFAGQTGFDSLAGKVGPYSNIEELYLLNPRALLPCFVVICKA >ORUFI01G36590.1 pep chromosome:OR_W1943:1:30675600:30675851:1 gene:ORUFI01G36590 transcript:ORUFI01G36590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAAELPDLRSRRVVLPIREVESEAAMAMPRLSSADFDKGSEVSISTLWTDGDKRSQLVGGDGSGGDSTTHPGELLPPRLP >ORUFI01G36600.1 pep chromosome:OR_W1943:1:30677498:30677819:1 gene:ORUFI01G36600 transcript:ORUFI01G36600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDGAGGKAKRRVVHEITKQTANGGFVRVSRKPINHSKYTGRDPYEAYTKRKTCKGRNKFKHDEIKMYYLDVEGLDDDDEYEEPYTTVGSSP >ORUFI01G36610.1 pep chromosome:OR_W1943:1:30682614:30682976:1 gene:ORUFI01G36610 transcript:ORUFI01G36610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERGRHSGVAAKAGDRRAKCGTVEEEQRASGGWPVKLTIRLVLLWSGKRPPPPDLREKAAMARERERRGRAAAEAGDCGVEGGAVEGKGAAAAAAMAGKTGRHGVAPIELMYLTLRVL >ORUFI01G36620.1 pep chromosome:OR_W1943:1:30684904:30685785:-1 gene:ORUFI01G36620 transcript:ORUFI01G36620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMKAAICIAAAVSLIHVVSAADYTIGSAAGGWGGEYKAWVASQTFSPGDTLTFKYSSYHNVVEVTKDDYEACSATSPVSADSSGSTTIVLTTPGKRYFICGAPGHCQSGMKLVVDVADRPAPATPSPPLLLPPSPRHKRRTAPAPMPLPPAQAPVWSPAPAPAATQRRHSGHKKHRSRHLPPKPAPAMAPTVQSVEADFPAAAFAPMSSPPPPPPMSSDASAVVRQKWSDVIVGLVALGLVVLGV >ORUFI01G36630.1 pep chromosome:OR_W1943:1:30692770:30694575:-1 gene:ORUFI01G36630 transcript:ORUFI01G36630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGTVLACILSFLAAAFSSAGGVGGGSLYVPILNIVAGLSLKTATAFSTFMVTGGTLSNVLYTLIVLRGHEKGGHQPLIDYDIAVVSQPCLLLGVSVGVICNVMFPEWLITALFAVFLASATFKTYGTGMKRWRAETAAARRMLEGGSSLGDGAGEALLGQKDGDGHRRQCVDLMVLVTIWLCFFVIHLFIGGEGAKGVFDIEPCGVTYWLITIAQIPIAVAFTACIVHQKRKSHAQNSQEFDQAISVKSKLESLPVYVFPVAALLTGVMSGLFGIGGGLLLNPVLLQIGVPPKTASSTTMFMVLFCASMSMVQFIILGVDGIVTALVYAITCFVASIVGLVVIQGTIRKSGRVSLIVFMVAAILALSVVVIACSGAVRVWVQYTSGQYMGFKMPC >ORUFI01G36640.1 pep chromosome:OR_W1943:1:30697554:30700538:-1 gene:ORUFI01G36640 transcript:ORUFI01G36640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKTSQKQALEGQNTPHRAKPRRAKKMMTNTKLFPLVAAICISFLSVACASNSTSSHPSSSSSLLTKPPQWREHLLLADSSSHVGLGLNTVAAWLLSFLAASVSSAGGVGGGSLFLPILNLVAGLSLKRATAYSSFMVTGGAASNVLYNLLCTGCGRRAAAVIDYDIALLFQPCLLLGVSIGVVCNVMFPEWLITALFALFLAFCTTKTLRAGLRIWSSESRGATLAVAAATAHGREEPLLLPHGTDAGNGGGARGDAGFPWKDVSVLVMVWLCFFVLHVFIGDKHGKGMIRIKPCGVAYWLITLSQVPFAVAFTAYIIYAKRKKQVLHNQEDGKANPESTKMDTLPTLLFPLAAFVTGALSGLFGIGGGLLLNPVLLQIGIPPQTAAATSSFMVLFCASMSMVQFILLGMQGIGEASVYAGICFVASVVGAVVIERAIRKSGRVSLIVFLVTGIMAVSTVIITFFGALDVWAQYTSGAYMGFKLPC >ORUFI01G36650.1 pep chromosome:OR_W1943:1:30702675:30707249:-1 gene:ORUFI01G36650 transcript:ORUFI01G36650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGAQAPRGGGGGGAFSKRTLSGYMRIMSSGASTAASSLLSVGASLVNSIASHDEDGSRDQVQWAGFDKLECGGDMLRQVLLLAYRSGFQVWDVEHANDVRQLESRHDGTVSFMQLLKKPIASTNSEDKFADERPLLALACDGTSTGSLISNDGNGPVPNGANGTLHNIGHENHPTVLRFYSLRVHDYVHTIKFRSTVYSIRCSSRVVAVSQANQIHCFDAATLEREYTILTSHIVPPISSYGPLALGPRWIAYSGNPVPVPDTGRVTPQLLNLSPLVPQPGSNGSVVAYYAKESSKQLASGIITLGDVGYKKLSKYCSDLIPSSNNVINQRNSGFKANGATINGHTDSEYAGMVIVRDIVSKSMVVQFRAHTSPISALCFDPSGTLLVTASIHGRNINVFRILPSSHGSSSEAGPNGTCVHLYKLQRGITNAVIKDISFSDDSEWIMISSSRGTSHFFAISPYCGSTSFHYNENNLAENSYAVDSSVNHTAHWSQNSAPSLSLNQKILSVTGPPVTLSVVSRIRNGGNLLKGAVHGAAAFATGVSSPISGAIASTFHNCKGAVKNSDGSSPCMKYHLLVFSPSGSIIQYVLHRSAEQDPGIDFPSSAIPYGSQRETDTRFIIEALQKWDVCHKRNRRDAAESFVYSDFDIGENSKLFQKVAKKGTSVYPSNGTAVEKQKLGDENHNFYISESELQTHVVPTPLWSRSGVSTVKRQKSGFSEDGRLSCRSSSSSLDCMSEGPISTDDNGFGQCLVEDSGGAVNKNPNVNPRSELVNNTQSLNTEAQLGFVNNKEDCEDREQLPDL >ORUFI01G36650.2 pep chromosome:OR_W1943:1:30702675:30707249:-1 gene:ORUFI01G36650 transcript:ORUFI01G36650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGAQAPRGGGGGGAFSKRTLSGYMRIMSSGASTAASSLLSVGASLVNSIASHDEDGSRDQVQWAGFDKLECGGDMLRQVLLLAYRSGFQVWDVEHANDVRQLESRHDGTVSFMQLLKKPIASTNSEDKFADERPLLALACDGTSTGSLISNDGNGPVPNGANGTLHNIGHENHPTVLRFYSLRVHDYVHTIKFRSTVYSIRCSSRVVAVSQANQIHCFDAATLEREYTILTSHIVPPISSYGPLALGPRWIAYSGNPVPVPDTGRVTPQLLNLSPLVPQPGSNGSVVAYYAKESSKQLASGIITLGDVGYKKLSKYCSDLIPSSNNVINQRNSGFKANGATINGHTDSEYAGMVIVRDIVSKSMVVQFRAHTSPISALCFDPSGTLLVTASIHGRNINVFRILPSSHGSSSEAGPNGTCVHLYKLQRGITNAVIKDISFSDDSEWIMISSSRGTSHFFAISPYCGSTSFHYNENNLAENSYAVDSSVNHTAHWSQNSAPSLSLNQKILSVTGPPVTLSVVSRIRNGGNLLKGAVHGAAAFATGVSSPISGAIASTFHNCKGAVKNSDGSSPCMKYHLLVFSPSGSIIQYVLHRSAEQDPGIDFPSSAIPYGSQRETDTRFIIEALQKWDVCHKRNRRDAAESFVYSDFDIGENSKLFQKVAKKGTSVYPSNGTAVEKQKLGDENHNFYISESELQTHVVPTPLWSRSGMHFQVMGDGALEADNTGIISGELEIEKLQTRNIESRSKNLIPVFESLHTSRFQQSRVSTPDSNKYGLLQRQKSGFSEDGRLSCRSSSSSLDCMSEGPISTDDNGFGQCLVEDSGGAVNKNPNVNPRSELVNNTQSLNTEAQLGFVNNKEDCEDREQLPDL >ORUFI01G36670.1 pep chromosome:OR_W1943:1:30717613:30723836:1 gene:ORUFI01G36670 transcript:ORUFI01G36670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAADRGGYRGIDTWETQEIPLEDEIFGALQTLHQTQSHSTLSPSSKSTTSRGGGGGGGGIAMVDARHPSELLDRFLADAEERLRSAADDAAAALEQDSADALHRIPLACRDALRLRDDAVSLRSHLASVLQLLSQPAADGERWRGAHPSPGLRAVVRRRRVGAAERATGAVARVRALARDSERNRRCFVSVGTGRMLAAAFESLAAAALRPGSWPRLARWVLDLVAIAKHGSLPRRLNVVLVVIIKALIYPQVTKAAMVATTHLVSSDKRVATRVASTGLIPTLIEALVDADKSVSEKALAVFDAMLTSEEGRASARGHALAMPVLVKKMFRVSDVATELCRRHRSLGSVKGGAGEPVRTVRRKPTMGTGR >ORUFI01G36680.1 pep chromosome:OR_W1943:1:30725571:30729297:1 gene:ORUFI01G36680 transcript:ORUFI01G36680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLVRREADRGAAPEFVAVDIGGEAETAGAEAEPKKMESSFAGKGLERERSGDANPSTTGVLAVYEKQVVPVHVDGSPKEQFHPSTPTAGGAKRRRTGRRVPGWRDPRKILFAFAALSSVGTLILLYFTLSMGRMTGGQADGQ >ORUFI01G36690.1 pep chromosome:OR_W1943:1:30729965:30730162:1 gene:ORUFI01G36690 transcript:ORUFI01G36690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKERKKNYRWERQPEGVAQSQEADGSDWKVELGAEYPCIASGGARTVAWTPPNRVLSDPIQVQ >ORUFI01G36700.1 pep chromosome:OR_W1943:1:30742690:30748845:1 gene:ORUFI01G36700 transcript:ORUFI01G36700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCKISFSYHQFELSAVYDPMGGLYIPRPIVEVAPSTRRRLDYVTIRDTPPVPPMADGKVACKHLVLVHGACIGGWTYFKVATRLRSAGYRVTAPDLGASGVDPRPLREVPTFRDYTAPLLGLLGSLPPGEKVVLVGHSLGGINVALAAELFPDKIAAAVFLCAFMPDHTSRPSHVLEKSCFALSKFIEGKWLDWMDTEFKPQDAEGKLPTSMLFGPQIAQERLMQLCSPEDVTLAGSLLRVSSMFVEDLQKQQPFTEGRYGSVRKVYVVVNQDLAIPEGFQRWMIGNSPVDEVKEIDAADHLVMLSRPDELARCLADIAESYA >ORUFI01G36700.2 pep chromosome:OR_W1943:1:30742690:30748845:1 gene:ORUFI01G36700 transcript:ORUFI01G36700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCKISFSYHQFELSAVYDPMGGLYIPRPIVEVAPSTRRRLDYVTIRDTPPVPPMADGKVACKHLVLVHGACIGGWTYFKVATRLRSAGYRVTAPDLGASGVDPRPLREVPTFRDYTAPLLGLLGSLPPGEKVVLVGHSLGGINVALAAELFPDKIAAAVFLCAFMPDHTSRPSHVLEKFIEGKWLDWMDTEFKPQDAEGKLPTSMLFGPQIAQERLMQLCSPEDVTLAGSLLRVSSMFVEDLQKQQPFTEGRYGSVRKVYVVVNQDLAIPEGFQRWMIGNSPVDEVKEIDAADHLVMLSRPDELARCLADIAESYA >ORUFI01G36710.1 pep chromosome:OR_W1943:1:30746626:30747036:-1 gene:ORUFI01G36710 transcript:ORUFI01G36710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGEGRSSQRRRCSLPNHHLAASLLWRCRAREDPEPPLPLGSRRRVVAPSLSRGSAAPPLRRRSTAPPEAVYPPDLERSGAAAAPRRGGKGAPVEVRESADGGEEAAPLRHTVGRGETRGGWEARWPVVGGEGRS >ORUFI01G36720.1 pep chromosome:OR_W1943:1:30756088:30756300:1 gene:ORUFI01G36720 transcript:ORUFI01G36720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEAAQHGMAHGGAGKAGDGAVGAHGGAADGGRGRSAWNGADRQEAAERRRSSWPTWRRSGGATPRGR >ORUFI01G36730.1 pep chromosome:OR_W1943:1:30777785:30778905:1 gene:ORUFI01G36730 transcript:ORUFI01G36730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAAATAPAAAAAAAGAISATWWPGPSWSTTTRRSTPTAPRSPRSTARRPCSPSRDTWWPAPRRSAGSCWGCPSSNAGTPSAPSTANPRRPSREASSSSSVATSSSPARSTSSGSARCFSWCPTSREASSCRMTYSGSTTASSRLRVAAERNRVLGCFGPMWMRDCEKLLRAVSTVSLSSSVLLSSFM >ORUFI01G36740.1 pep chromosome:OR_W1943:1:30782947:30789987:1 gene:ORUFI01G36740 transcript:ORUFI01G36740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRDEPLLSSPSHRNAYPCKLLSFTLLSLATVLCLCAGAAFLLLSPTATNLCASSPDPASCQAIVADAVLASPHSHPSRPAHVLRAILATSLDRHDAAAEAVAGMRRRASDPRHRAALEDCVQLMGLARDRLADAAGAPDVDVDVDDARTWLSAVLTDHVTCLDGLDDGPLRDSVGAHLEPLKSLASASLAVLSAAGRGARDVLAEAVDRFPSWLTARDRTLLDAGAGAVQADVVVAKDGSGKYTTIKEAVDAAPDGGKSRYVIYVKKGVYKENLEVGKTKRVLMIVGDGMDQTVITGSRNVVDGSTTFNSATLALSGDGIILQDLKVENTAGAEKQQAVALRVSADRAVINRCRLDGYQDTLYAHQLRQFYRDCAVSGTVDFVFGNAAAVLQGCVLTARRPAQAQKNAVTAQGRTDPNQNTGTSIHRCRVVPAPDLAPAAKQFPTFLGRPWKEYSRTVYMLSYLDSHVDPRGWLEWNGADFALKTLFYGEYQNQGPGASTAGRVNWPGYHVITDQSVAMQFTVGQFIQGGNWLKATGVNYNEGL >ORUFI01G36750.1 pep chromosome:OR_W1943:1:30790246:30792975:-1 gene:ORUFI01G36750 transcript:ORUFI01G36750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVASIVDAVFRPLKDYFARTFGYVMSCGDYIDLLGHEMDELKSKRDDVKRLVDVAERRGMEATSQVKWWLECVSRLEDAAARIEEEYQARLRLPPEQAPGLRATYHLSQRADEMFAEAANLKEKGAFHKVADELVQVRFEEMPSAAVVGMDAVLQRLHACVRHGDVGIVGIYGMAGVGKTALLNKYNNDFLINSPDINVAINIEVGKEFSLDDIQKIIGDRLGVSWENRTPRERAGMLYRVLTKMNFVLLLDDLWEPLNFQMIGIPVPKHNSKSKIVLTTRIEDVCDRMDVRRKLKMECLPWEPAWELFREKVGEHLMFSSIEIQEQAKALAMKCGGLPLALITVGRAMASKRTEKEWKHAITVLKVAPWQLLGMEMDVLMPLKNSYDSLPSDKLRLCLLYCSLFPEEFSISKEWIIGYCIGEGFIDDLYTDMDEIYNKGHDLLGVLKIACLLEKGDDEDHISMHPMVRAMALWIASDFGTKETKWLVRAGVGLKEAPGAEKWSDAERISFMRNNILELYERPNCPLLKTLMLQVNPALDKICDGFFQFMPSLRVLDLSHTSIHELPSGISSLVELQYLDLYNTNIKSLPRELGALVTLRFLLLSHMPLDLIPGGVISSLTMLQVLYMDLSYGDWKVDATGNGVEFLELESLRRLKILDITIQSLEALERLSLSNRLASSTRNLLIKTCASLTKVELPSSRLWKNMTGLKRVWIASCNNLAEVIIDGNTETDHMYRQPDVISQSRGDHYSNDEQPILPNLQNIILQALHKVKIIYKSGCVQNITSLYIWYCHGLEELITLSDDEQGTAANSSEQAARICRDITPFPNLKELYLHGLANCRALCSTTCFLRFPLLGNLKIVDCPKLKKLELPVGNLNAVQCTREWWDALEWDDAEVKASYDPLFRPLH >ORUFI01G36760.1 pep chromosome:OR_W1943:1:30793323:30795165:1 gene:ORUFI01G36760 transcript:ORUFI01G36760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTEGGDDSESWTSSFHFSPRECHGGELVKCRSGSGDKSDSLIGATYLHSELKPKYKPDSSSPN >ORUFI01G36770.1 pep chromosome:OR_W1943:1:30798007:30799823:1 gene:ORUFI01G36770 transcript:ORUFI01G36770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRVQRMQCWVVVACVVASVSTTASAFVFKAGGTGEWRVPDQQASGNVSAYNQWAEHTRFRVGDAIAFSYQPGNDSVLLVDKSSYDACNTNTPIDTFADGNTVFTFTRSGPYYFISGNKDNCNRNEKLIVVVMGERAANGTAPAPALAPSAGTTSPNSPPSPPPPPSGIEISPTPEQSINAAARPRAAGIAGAAGLAIGTLFYALV >ORUFI01G36780.1 pep chromosome:OR_W1943:1:30801443:30808441:1 gene:ORUFI01G36780 transcript:ORUFI01G36780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNQQHNEGNESFVALMNGVAGDGTATLPNDGEQRMSIPARELFAAIEADSGLLPVNSSNTNEKRKRRLQRLTGKQSEVLEGFFSICGHPDDGQKRHLSETTGLGLDQVKFWFQNKRTQVKTMCWKEENYKLSVENEILRDENRRVKIAHCTAVCLTCRNSSVQNQLAVEMERLMGQSEWLQQEIARSNGTPPAANLAFQLNSSADYVFSGQHDQQMIAELAKNAMHALIILAESHVALWFPVPGCSYEVLNKMAYDQAYPGDNSANAIGFKTEATRAVSMVMMDYKSVVDFLMDPYNYRTFFPEVISGAVTNRIYTWPTSDGYNGVIQLMTVEMMFPSPLVPARKCTFLRYCNVLNEGLVVVIDVSLDDGSIFSKCRKMPSGFLIQSIRLNSCKVTAIEHVLADDTGVHELYQPCMNGLVFGARRWVATMARQSARMRDVHHNKTAPQVSTKGRKNLMKLADDLLASFAGGIAATGGGTWTVVIGAGTEKDIRVAYRRTTEGSSSYNAILSVTASLRLPLPMRKTFDLLRNLTHRCKWDVLVHGSVVKEEVTIARGVGNDDTVTVLHCKRAGREDRGRTMILQNNGYDASGSFMVYSQIDSELMNTMVLSPSDLPPGRGGPSLYPTGFSLLPDVEAAQDSSGIALGEVGGTLMTMGFQIPVKLASGDRMYSRSAASAIRLMTDTIALVKKTLMNEHSGIYGVSPFHP >ORUFI01G36790.1 pep chromosome:OR_W1943:1:30809232:30811245:-1 gene:ORUFI01G36790 transcript:ORUFI01G36790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLRLRRRLPLPINTRAFSPSSPSPAPHEIPTVYSFLQPSVFAPRPKPQPPPPPPPPTPPAHKTLPVGDAVALEDELLAAVSEDRSDDAWLAFRSLASASLSPSPPAAAALVSHLAAAHHHRLGLKRAFAAAVFLLEKSPHADPVPEAALQAVFTSLAAAASAAPALALVRALLRCGRRLPAFPVWGSPLIELTRADTGAFVAFLKVFDEACKQMVSEKSPSAAAAMRPDLAACNAVLGGCCRLLGSVTEAERVLEIMSAIAVSPDVDSFGCLAFLYAWRDIPSRVDELDKLLDALGFGKKIFFKNLISGYLKSCSFESVSSVILRVVEERRVGGSNAFDLESYTEVAQRFVDNGRIRELAQLIIKAQETESLQQSLAVEDSVGFGIVNACVELGLLNKAHSILDEMTAQGASVGLGVYSSILKAYCKEQRTAEAAQLVSEISAAGLQLDAGSYDALIDASMTAHDFLSAFSLFKEMREARLPDLRTSYLTIMTGLTENNRPELMASFLDTVVDDPRIEIATHDWNSIIHAFCKVGRLEDARRTYRRMVFLRYEPNNQTYLSLINGYVSAEKYFSVLILWTEVRRKGADFNHELIDAFLYALVKGGFFDMAMQVIEKAQELKIFLDKWRHKQAFMETHKKLKVAKLRKRNFRKMEALIAFKNWAGLNA >ORUFI01G36800.1 pep chromosome:OR_W1943:1:30812503:30814091:-1 gene:ORUFI01G36800 transcript:ORUFI01G36800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDRAASAAAAGESSSAGAMAVEKPRFEALMPSEMSGGRPQFRKVPVPQHRFAPLKKAWMDIYTPVYEHMKIDIRMNLKARRVELKTRQDTPDVSNLQKCADFVHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERY >ORUFI01G36810.1 pep chromosome:OR_W1943:1:30814686:30816722:-1 gene:ORUFI01G36810 transcript:ORUFI01G36810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDEHAMESDTDLISVLPGEVLQHILSFSRIRAIVRMRRLSRRWMRVIECLQFICLDYRDFKHWKVEKFARFVDNLLLIRSKVDLHTFQLYWFHYLPLNCNDLRKWILYAVKHNVKVLDVELDMYDKTALPSRIFTCRSVEELSLQMGEAPDEDLEHVGLVLPDIIQLPSLKKLTLSDVEVDQLSLNQFIGRSPNLEDLHLINSATYLDLIASKVLKRLTLDGFMHGPKRFTISAPHLVHFECQGCALQDVSWGEKPSLESAHIDTWGKKYDGESEFIGVLLSAKTLALFGSDVKVMLEKELPACPVFERLTTLEIGNWCLTEDFYAVLRFLQLSPRLGELTLMQEELPHAARKGAETDAMPIDGMTFQCPFLETVIIQCSKGDDGINKLVNVLAANGINPKKIQVNFYEDIEEMERAENRRIIEEREKELCNFEKMAKKNPEWVDESRYADSNPETDSDEYDDDYDDF >ORUFI01G36820.1 pep chromosome:OR_W1943:1:30820172:30823316:-1 gene:ORUFI01G36820 transcript:ORUFI01G36820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKTLNPLLRRSPTPIPNPRSLLSLDAFLAASSPTAASHATAPAPFAAAAHHHVPIRSGGPLFLSSPPWMLSQSATPLTAAAAALRARLRRARALAGGGAQAVADAVGWEPRRISRDESEVAEAVTGGRERFLNLPNLVSIGRMASGPVIGWMIVNEWYLPAFGTLALSGASDWLDGFLARKMGINSVFGSYLDPLADKVLIGCVAIAMVEKDLLHPGLVGLVVVRDLLLVGGAVYKRASSLGWKWNSWSDFVNLDAIHREKVKPLFISKVNTVFQLMLVAAALLQPEFGTEETQNYITVLSWLVASTTIASTLFVKHLEQDTEGRGQTSE >ORUFI01G36830.1 pep chromosome:OR_W1943:1:30825020:30827284:1 gene:ORUFI01G36830 transcript:ORUFI01G36830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLTSAMKRCCNGKTLLRGGRTCRCSSASAIGSSGVRGKEEASTSAADSEPDKKRWRKKRFWRKKKKKAKKDHYGDAAATEHGSERASCRRYENDAVADLVNDISSKSDVCNVYAAEGILRITHQNIPSMVLTYRQLCNATDSFSPNNLLGEGGFGRVYRGHLEEINEIVAVKQLDKDGFQGNREFLVEVLMLSLLHHPNLVKLLGYCTDMDQRILVYECMRNGSLEDHLLGTYGRHSQRWIAMPSINPLIDRACVRADLPPKAKPLPWQTRMKIAVGAAKGIEYLHEVANPPVIYRDLKTSNILLDEDFNSKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGKLTKTSDIYSFGVVLLEIITGRRAIDTSRPTHEQAAPLVKDKKRFVRLADPLLEEKFPLKGLYQALAIASMCLQEDASNRPMISDVVAALSFLAEQKYHPQDGPDQAARKSRDRDCSNPPRKTDMVSEIKADDEIKHR >ORUFI01G36840.1 pep chromosome:OR_W1943:1:30828412:30830305:-1 gene:ORUFI01G36840 transcript:ORUFI01G36840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSQLITCSLSSPSPSSPGASFCLKPRRGAVGRVSCTSSPAEPDASRLDRRDVLLGLGAAGASATAAGILLSFPRRAGADPVATPDISSCGPPDQLPPSANVLTCCPPPSSASPVDFAPPADASSSPLRTRPAAHSAGADYVAKFNRAIAAMKALPADDPRSFAAQASVHCAYCDGSYSPDGFPGLDLQVHNSWLFMPFHRCYLYFFERILGSLIGDPTFAIPFWNWDAPDGMRMPAMYTDQSSPLFDPRRNGRHVPPKLIDLDYNGREPRFTDNQQVDHNLRVMYRQVYVCAMRASMQRVSTKSTNMISLSPTPSLFFGSPYRAGDDPNQGPGSVENIPHGPVHIWCGDPEQPAGEDMGNFYSAGRDPLFYAHHANIDRMWAVWKGLDSRRHTDLTDPDWLDASFLFYDEDPKLVRIRVRDVLNMDRLRYRYQDAPTPWTSARPVVTTQRVRSATSSLLTPTARAAGAKEAARFPVTLDSPTRVTVKRPVSARRSRAESKLAKEEVLVIDGIQVDMDVAVKFDVFVNAGEDHAAVGPGGRELAGSFVNVPHRHRHDKRGRAIKTTLRLALNEQLEDLGAEGDDSVVVTLVPRQGKGKVKIGSVKIEIMD >ORUFI01G36850.1 pep chromosome:OR_W1943:1:30832883:30834055:1 gene:ORUFI01G36850 transcript:ORUFI01G36850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRRLLRRGLSTATAPPPTPGSILNPSSPSTPLTSRQKSRLAISLLKSTPPPPPDQILSICRAAALSPDSHLDRVALSLAASKLSSAPDSVRDLASSLLTPHHAPHAIALFGQAGLLPDAVSTFKSSPSTRSLNALLFACLISGNHAEAARVFQTFPDAYSVKPNTDTFNAIIKSFAESGTTRSFYSVLDEMCQKGVKPNATTFTTAIAGFYKEERFDDVGKVIELMKKHGCGESLPVFNVRVQGLCKLGRSGDAKALLNEMVKKGTKPSWLTYNHLIHGFCKEGDLEEAKRLYKEMAKKGLVGDSSFYYMLIYYLCKGGDFDTAVGVYNEIAARNWVPCFSTMKMLVNGLAGSSRVDEAKGIIEKMKEKFPDKAEGWKEVEDALPQ >ORUFI01G36860.1 pep chromosome:OR_W1943:1:30838601:30839265:-1 gene:ORUFI01G36860 transcript:ORUFI01G36860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLQRRRLVLPLLQLAVVLWLAATSGCLCRRPPTPIAGVPSPPILPDGDRTPESPPAPVSAKHPNFSVLPPPGCSPDCTDQQRQP >ORUFI01G36870.1 pep chromosome:OR_W1943:1:30863304:30863861:1 gene:ORUFI01G36870 transcript:ORUFI01G36870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIQSIRIQVKEGLFPRRILYMEIRGQGAIPLTRTDE >ORUFI01G36880.1 pep chromosome:OR_W1943:1:30871355:30885466:1 gene:ORUFI01G36880 transcript:ORUFI01G36880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVFCTRTWEVSPGRRNSTTASSDIVAVFGKKGVSPRDMTGSGRSIHSNRRIDPKPNHSNAGMRDSEGNFVSGFIVAMRLTAWDGVDHAVWLPTKSVGRRPRRGLREAASRYQTILRNRMIPSRYQSPHSWTGLSPPAYGERNENLQKAINLY >ORUFI01G36890.1 pep chromosome:OR_W1943:1:30873364:30877293:-1 gene:ORUFI01G36890 transcript:ORUFI01G36890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANERCRVALFVLIVCAFAYAAVYTSSPAVSVNPCAQTLTRALLAVTGLDPYVVSCAADDGVSTPLLSDGGHDKINAGRVGGPIVTDLLQCRKPEGPDFPEDLQCCPPMPTSEPIDFTLPDPSEPLRTRRPAHVAGAEYMAKYERAIALMKALPRSDPRSFYQQANIHCAYCTGAYRQVGHPELAVQVHFSWLFFPFHRAYLYFFERIAGKLLGDPGFAVPFWSWDVPEGMRMPLQFANASSPLYDQMRNPWHAPPKLVDLDYAMDVVENNYTDDEQIKHNLWIMYKQMISSAPLASLFHGQPFRAGEASKPGAGTVELQPHNLMHVWVGDLLSYPNAEDMGAYYAAGRDPIFYTHHANIDRLWDVWRSNGKGEDFTDPDWLDSSFLFYDEEARLVRITVRDVLDMDKLRYTYRGVGLPWLDARPPTTPNVKYRVKNRVEKPVMFPVSLGNVVTAEVRRPLMLWRQPKGATQEEVLVVEHIQTDGVCKFDVFVNAREHKKIEPCGREMVGSFVCLRHHNTQNNVTRRGVQTTMRVALNDILKDLGAEQDESVTVTLVPRHGKVRIGGVRIEYNGM >ORUFI01G36900.1 pep chromosome:OR_W1943:1:30881699:30886296:-1 gene:ORUFI01G36900 transcript:ORUFI01G36900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAYNLKLLAALSALVLLLAASRPFLPGLLEAALRAPVLPRDLLPLLPWPVAQPLLRRLALRGAADLLPSFVGVAREPGDGGARAAEWKGACFYDNRAWMEFHNGTDGGLGGGTLHLETNKAHSWTCIDLYVFATPYRVTWDYYFVGREHTLDFKEWESEAEYEYVKRKGVSIFLMPSGTIGTLRALWDVFPLFTNTQWGENSNLAFLKKHMGATFEERPKPWVSELNVDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSEGKLWVGESGHENEQGEDIIAILPWEEWWDFEVKKDDSNPQIALLPLHPDLRAKFNETAAWEYAKSMDGKPYGYHNMIFSWIDTISDNYPPPLDAHVVASVMTMWNKLQPEYAANMWKEALNKRLGTKGLDLPEIIVESEKRGMTFDKLLTVPEQDKWVYTDGQSASCVAYVLMMYKEAGLFDPIASSIEVTEFTIKDAYSLNFFENNMTRLPVWCNKDDSVKLPFCQIKGRYRMELPGYNTMQPYPHMNERCPSLPPNYNRPRNC >ORUFI01G36900.2 pep chromosome:OR_W1943:1:30881699:30888286:-1 gene:ORUFI01G36900 transcript:ORUFI01G36900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNTLIAAALLLLVAASLAAALLYSAGPRDVDGLVGALPRATLPFSPMDVLPLLPRTAAMAALRALRGVSDIFPVFVGAASDRPGAVGSRLEWKGACFYENEAWLVLHNDSGSKYGGGTLHIKTNKAHSWTCIDLYVFATPYRVTWDYYFVGREHTLDFKEWESEAEYEYVKRKGVSIFLMPSGTIGTLRALWDVFPLFTNTQWGENSNLAFLKKHMGATFEERPKPWVSELNVDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSEGKLWVGESGHENEQGEDIIAILPWEEWWDFEVKKDDSNPQIALLPLHPDLRAKFNETAAWEYAKSMDGKPYGYHNMIFSWIDTISDNYPPPLDAHVVASVMTMWNKLQPEYAANMWKEALNKRLGTKGLDLPEIIVESEKRGMTFDKLLTVPEQDKWVYTDGQSASCVAYVLMMYKEAGLFDPIASSIEVTEFTIKDAYSLNFFENNMTRLPVWCNKDDSVKLPFCQIKGRYRMELPGYNTMQPYPHMNERCPSLPPNYNRPRNC >ORUFI01G36900.3 pep chromosome:OR_W1943:1:30886482:30888286:-1 gene:ORUFI01G36900 transcript:ORUFI01G36900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNTLIAAALLLLVAASLAAALLYSAGPRDVDGLVGALPRATLPFSPMDVLPLLPRTAAMAALRALRGVSDIFPVFVGAASDRPGAVGSRLEWKGACFYENEAWLVLHNDSGSKYGGGTLHIKSRKLASNKGLLEETTD >ORUFI01G36910.1 pep chromosome:OR_W1943:1:30890708:30891046:-1 gene:ORUFI01G36910 transcript:ORUFI01G36910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGASQRAVESWADGDEWEDEAAASSSSEDDGHRERMEHVAEVTIRITKRQLHELMERKGAGNGHGKISRRSTQQLLADIMNSGEVHHHDQHREAHWKPALQSIPEAVES >ORUFI01G36920.1 pep chromosome:OR_W1943:1:30891094:30893662:-1 gene:ORUFI01G36920 transcript:ORUFI01G36920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTASNENFEPKIARLLRDFVILFIRIKSEVRTEPSQTYKDTESAKRHELTIELDQAKLAVRSEGEI >ORUFI01G36930.1 pep chromosome:OR_W1943:1:30893924:30894244:-1 gene:ORUFI01G36930 transcript:ORUFI01G36930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFKSQRAAASWADDGEWEDEEEQQQQQHLHEMAAVEKMERVEVKIRVTRRQLQELLEKAAGEGKGRPVEKVLAEMISSGKVCYEQEAAGWRPSLQSIPEADES >ORUFI01G36940.1 pep chromosome:OR_W1943:1:30898677:30899870:-1 gene:ORUFI01G36940 transcript:ORUFI01G36940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMNMNATDTEACPVPLELELLAHPFPEYQVSTTEENSCGGSQLHQVMGAATDPGYLETIKRLPPFRIGHTKIISNTYSDTGSKAAQQQQRNQPRKRKEDHLDHLCAYMGNCAVTQHAVSWADDGEWELPESGEEGTAPPRSGAHMTEVTIRITKRQLQELVDKRAAAAGGGHGYHVYRKSRRSAAELLADIMNAGEVYHQHYRVAHWKPALQSIPEAAMES >ORUFI01G36950.1 pep chromosome:OR_W1943:1:30906798:30907395:-1 gene:ORUFI01G36950 transcript:ORUFI01G36950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEDDDVEAAEREKRGMPTSFQGAVRVLPIVPGGGKVHADGGGDPREGEEEEEKEEGKRITSRAPGVVPNVEVEVLPEELEADVDSKKGSNAASKAATYSQLERPRVSESKAYRLDGTLKELGEDDGAVLDNGTGDAGRGHGGRETVACERARPAAARPCDLGGKR >ORUFI01G36960.1 pep chromosome:OR_W1943:1:30909729:30919811:1 gene:ORUFI01G36960 transcript:ORUFI01G36960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREPEMEEARENGGVGGSVLPLASLISPTGNEPYMVQWSMNNLIKTQRKVYFSQVQISELEGKIIGLYFAANWYPKCEAFTPALTAAYHQLKEHGAGFEVIFVSCDENRPSFERFHRAMPWPAVPFGDIGCKKRLSERFQVEGIPRLVVLAPNGEVVQPDAVELVHRYGDRAFPFTSARVAELEADEQRKFASQTLEKIFSVSGKDYVNGSQEQVPISSLVGKTVGLYFSAHRCAPCIKFTAKLAAIYSNLKGKAEDFEIIYIPMDKEEDGYLRSCSDMPWLALPYDDGASSGALARYFDVREIPTLVVVGPDGKTVTREGRNLVNLYFDMAFPFTDEQIRLLQEMEDEDAKGYPPSLRHTGHRHELSIVSDKSGGGPYICCECDEQGLGWAYQCIACGYEIHLRSGRDMEGRAE >ORUFI01G36960.2 pep chromosome:OR_W1943:1:30909729:30919811:1 gene:ORUFI01G36960 transcript:ORUFI01G36960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREPEMEEARENGGVGGSVLPLASLISPTGNEISELEGKIIGLYFAANWYPKCEAFTPALTAAYHQLKEHGAGFEVIFVSCDENRPSFERFHRAMPWPAVPFGDIGCKKRLSERFQVEGIPRLVVLAPNGEVVQPDAVELVHRYGDRAFPFTSARVAELEADEQRKFASQTLEKIFSVSGKDYVNGSQEQVPISSLVGKTVGLYFSAHRCAPCIKFTAKLAAIYSNLKGKAEDFEIIYIPMDKEEDGYLRSCSDMPWLALPYDDGASSGALARYFDVREIPTLVVVGPDGKTVTREGRNLVNLYFDMAFPFTDEQIRLLQEMEDEDAKGYPPSLRHTGHRHELSIVSDKSGGGPYICCECDEQGLGWAYQCIACGYEIHLRSGRDMEGRAE >ORUFI01G36970.1 pep chromosome:OR_W1943:1:30918353:30920210:-1 gene:ORUFI01G36970 transcript:ORUFI01G36970.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRLTKTRPFPRFPPVYKRRVVEAAGSAQNQKRGLPRGQAVPRRRLPRLSPPLRFADQDPFAEANAEDSSVGAGSKDYVHVRIQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIVKKESIKIHGF >ORUFI01G36980.1 pep chromosome:OR_W1943:1:30922890:30929589:1 gene:ORUFI01G36980 transcript:ORUFI01G36980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEEQAEQLAELPEVISVQRSRRYKTTTTRSWDFLGLNYQNPSELLRRSNYGEDIIIGVVDTAGLVVHLPQGYGRSREASATKGTGRCRHGGRACVRSEKDGAATTAAARSSARGSTTPGWTXASTAAGSVVEAVSFHGLAAGTARGGAPRARIAVYKSVWGRGGAGSGNSATVLAAIDDAIHDGVDVLSLSLGTLENSFGALHAVQKGITVVYAATNFGPAPQVVRNTAPWVITVAASKIDRSFPTVITLGDKRQIVGQSMYYYEGNNSSGSSFRLLAYGGLCTKDDLNGTDVKGRIVLCISIEISPLTLFPLALKTVLGAGASGLIFAQYTTDLLGITTACNGTACVLVDLESANLIGSYISEASSPMAKIEPARTITGEGVLAPKVAAFSSRGPSVDYPDIIKPDIAAPGSNILAAMKDHYQLGTGTSMATPHVAGVVALLKALHPDWSPAAIKSAIVTTASVTDERGMPILAEGVPRKIADPFDYGGGNINPNRAADPGLIYDIDPSDYNKFFGCIIKTSVSCNATTLPGYHLNLPSIALPDLRNPTTVSRTVTNVGEVNAVYHAEIQSPPGVKMVVEPSVLVFDAANKVHTFKVSFSPLWKLQGDYTFGSLTWHNEKKSVRIPIAVRITIQDFYADVA >ORUFI01G36990.1 pep chromosome:OR_W1943:1:30927800:30939450:-1 gene:ORUFI01G36990 transcript:ORUFI01G36990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGDPSSSLLCRFRLPRRPSRLTASEESIEDKNRWLNNHFNSRWALDFSVLHQAVTEATRPLFQATETITQITLTGQTAGISINIKDKNRWLNNHFNSRRALDFSVASIC >ORUFI01G36990.2 pep chromosome:OR_W1943:1:30927800:30939450:-1 gene:ORUFI01G36990 transcript:ORUFI01G36990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGDPSSSLLCRFRLPRRPSRLTASEERCLDGARKELVVICWVDVINEARISCPVWLHQAVTEATRPLFQATETITQITLTGQTAGISINIKDKNRWLNNHFNSRRALDFSVASIC >ORUFI01G36990.3 pep chromosome:OR_W1943:1:30928446:30939450:-1 gene:ORUFI01G36990 transcript:ORUFI01G36990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGDPSSSLLCRFRLPRRPSRLTASEESIEDKNRWLNNHFNSRWALDFSVLCQAVIDATATRPLVR >ORUFI01G37000.1 pep chromosome:OR_W1943:1:30934812:30939085:1 gene:ORUFI01G37000 transcript:ORUFI01G37000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAEQAEQLAELPEVISVQRSRRYRTATTRSWDFLGLDYQKPSELLRRSNYGQEIIIGVIDTVLMHLPQGYGRSQEVSATKDTGLCRHGGRACARSEKDGAATTAAARSSARGSTTPGWTXASTAAGSVVEAVSFHGLAAGTARGGAPRARIAVYKSVWGRGGAGSGNSATVLAAIDDAMHDGVDVLSLSLEVQENSFGALHAVQKGITVVYAAGNSGPVPQVVGNTAPWVITVAASKIDRSFQTVITLGDKTQIVGQSMYSEGKNSSGSTFKLLVDGGLCTDNDLNGTDIKGRVVLCTSLGIPPLMLFPVALKNVLDAEGSGLIFAQYTTDILDVTKNCNGTACVLVDLNTAQLISSYISDTSSPVAKIEPPRTVTGEGILAPKVAAFSSRGPSVDYPDIIKPDVAAPGSNILAAVKDGYKLESGTSMATPHVAGIVALLKALHPDWSPAAIKSAVVTTASVTDERGMPILAEGVPRKIADPFDYGSGNINPNRAADPGLIYDIDPTDYNKFFACTIKTSASCNATMLPRYHLNLPSIAVPDLRDPTTVSRTVTNVGEVNAVYHAEIQCPPGVKMVVEPSVLVFDAANKVHTFKMPRRETCLYKLYIDGLEKNVHRYKQWPYTLRNQFISSPLILPSISISDYPIEVQQELANVIEHTRGSVPLHESKIQCSPGIKIGIDPSLHS >ORUFI01G37010.1 pep chromosome:OR_W1943:1:30939786:30956274:1 gene:ORUFI01G37010 transcript:ORUFI01G37010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNWTLSRLTGPSDERPEPFSQHSHPDDVSASHHDMLTTVIGSKEDSLASIIHNYKHGFSCFALYIAYLGEKKHDDPTLVTGSHHDMLSSIIGSKEEAKASITYSYKHGFSGFAAMLTEDQAEDLAELPEVISITPNQKHELMTTRSWDFLGLKNEPPSELLQRSNYGEDIIIGIIDTGIWPESKSFHDHGYDAIPSRWKGVCQLGEAWGPSNCSRKIIGARYYAAGLDKANFKKNYMSARDNNGHGTHTASTAAGVVVEGVNLHGLGAGVARGGAPRARLAVYKVGWEEGGAGGSYLATAANGITVIYAGGNRGPRPQVLYNTAPWVITVAASKIDRSFPTAITLGNKQTLVGQSLYYKLKNNTESRFESLVNGGNCSREALNGTSINGKVVLCIELTFGPIGRIFEDVFAGVIQGGASGLIFAFYTTDVLLSTEDCKGIACVFVDNEIGYQVATYIGSERLPTVKIEPASSITGNQVPAPKVAIFSSRGPSIKYPTVLKPDIAAPGVNILAAKEDAYVFNSGTSMAAPHVAGVVALLKALHPHWSHAALKSAIVTTASTKDEYDTPILAEALPRKVADPFDYGGGNINPIGAADPGLIYDIDPKDYNKFFACQIKKYEICNITTLPAYHLNLPSISIPDLRHPINVRRAVTNVGEVDAVYQSSIESPLGVKMTIEPPVLVFNASKKVHAFKICITPLWKVQGGYTFGSLTWYNEHHTARIPIAVRITIQDFYADRFPAFLLFCVWLLMIRGIYGSRKLYIAYLGEKKYDDPTLVTASHHDMLTSVLGSKEEALASIAYSYKHGFSGFAAMLTEEQADNLADLPEVISVTPNKQHELLTTRSWDFLGLNYQPPNKLLQRSKYGEDVIIGMIDTGIWPESRSFSDHGYGPIPSRWKGVCQLGQAWGPTNCSRKIIGARYYAAGIEKADFKKNYMSARDMIGHGTHTASIAAGAVVDGVSVHGLATGVARGGAPRARLAVYKVIWNTGNSLQLASAGVLAALDDAIHDGVDILSLSIHADEDSFGALHAVQKGITIVYAGGNDGPRPQVIFNTAPWVITAAASKIDRSFPTTITLGNKQTLVGQSLYYKLNNESKSGFQPLVNGGDCSKGALNGTTINGSIVLCIEITYGPILNFVNTVFENVFSGGASGLIFGLYTTDMLLRTEDCQGIPCVLVDIDIGSQVATYIGSQSMPVAKIEPAHSITGKEVLAPKVAIFSSRGPSTRYPTVLKPDIAAPGVNILAAKEDGYAFNSGTSMAAPHVAGVIALLKALHPDWSHAALKSAIVTSASTKDEYGMPILAEALPRKVADPFDYGGGNINPNGAADPGLIYNIDPMDYNKFFACKIKKHEICNITTLPAYHLNLPSISIPELRHPIKVRRAVTNVGEVDAVYQSAIQSPLGVKIDVEPPTLVFNAAKKVNTFKVSMRPLWKVQGEYTFGSLTWYNEHHTVRIPIAVRITIRDFYADVA >ORUFI01G37010.2 pep chromosome:OR_W1943:1:30939786:30956274:1 gene:ORUFI01G37010 transcript:ORUFI01G37010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNWTLSRLTGPSDERPEPFSQHSHPDDVSASHHDMLTTVIGSKEDSLASIIHNYKHGFSCFALYIAYLGEKKHDDPTLVTGSHHDMLSSIIGSKEEAKASITYSYKHGFSGFAAMLTEDQAEDLAELPEVISITPNQKHELMTTRSWDFLGLKNEPPSELLQRSNYGEDIIIGIIDTGIWPESKSFHDHGYDAIPSRWKGVCQLGEAWGPSNCSRKIIGARYYAAGLDKANFKKNYMSARDNNGHGTHTASTAAGVVVEGVNLHGLGAGVARGGAPRARLAVYKVGWEEGGAGGSYLATAAVLAALDDAIHDGVDILSLSLGVDENSFGALHAVQNGITVIYAGGNRGPRPQVLYNTAPWVITVAASKIDRSFPTAITLGNKQTLVGQSLYYKLKNNTESRFESLVNGGNCSREALNGTSINGKVVLCIELTFGPIGRIFEDVFAGVIQGGASGLIFAFYTTDVLLSTEDCKGIACVFVDNEIGYQVATYIGSERLPTVKIEPASSITGNQVPAPKVAIFSSRGPSIKYPTVLKPDIAAPGVNILAAKEDAYVFNSGTSMAAPHVAGVVALLKALHPHWSHAALKSAIVTTASTKDEYDTPILAEALPRKVADPFDYGGGNINPIGAADPGLIYDIDPKDYNKFFACQIKKYEICNITTLPAYHLNLPSISIPDLRHPINVRRAVTNVGEVDAVYQSSIESPLGVKMTIEPPVLVFNASKKVHAFKICITPLWKVQGGYTFGSLTWYNEHHTARIPIAVRITIQDFYADRFPAFLLFCVWLLMIRGIYGSRKLYIAYLGEKKYDDPTLVTASHHDMLTSVLGSKEEALASIAYSYKHGFSGFAAMLTEEQADNLAVISVTPNKQHELLTTRSWDFLGLNYQPPNKLLQRSKYGEDVIIGMIDTGIWPESRSFSDHGYGPIPSRWKGVSSIAAGAVVDGVSVHGLATGVARGGAPRARLAVYKVIWNTGNSLQLASAGVLAALDDAIHDGVDILSLSIHADEDSFGALHAVQKGITIVYAGGNDGPRPQVIFNTAPWVITAAASKIDRSFPTTITLGNKQTLVGQSLYYKLNNESKSGFQPLVNGGDCSKGALNGTTINGSIVLCIEITYGPILNFVNTVFENVFSGGASGLIFGLYTTDMLLRTEDCQGIPCVLVDIDIGSQVATYIGSQSMPVAKIEPAHSITGKEVLAPKVAIFSSRGPSTRYPTVLKPDIAAPGVNILAAKEDGYAFNSGTSMAAPHVAGVIALLKALHPDWSHAALKSAIVTSASTKDEYGMPILAEALPRKVADPFDYGGGNINPNGAADPGLIYNIDPMDYNKFFACKIKKHEICNITTLPAYHLNLPSISIPELRHPIKVRRAVTNVGEVDAVYQSAIQSPLGVKIDVEPPTLVFNAAKKVNTFKVSMRPLWKVQGEYTFGSLTWYNEHHTVRIPIAVRITIRDFYADVA >ORUFI01G37010.3 pep chromosome:OR_W1943:1:30939786:30956274:1 gene:ORUFI01G37010 transcript:ORUFI01G37010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNNWTLSRLTGPSDERPEPFSQHSHPDDVSASHHDMLTTVIGSKEDSLASIIHNYKHGFSCFALYIAYLGEKKHDDPTLVTGSHHDMLSSIIGSKEEAKASITYSYKHGFSGFAAMLTEDQAEDLAELPEVISITPNQKHELMTTRSWDFLGLKNEPPSELLQRSNYGEDIIIGIIDTGIWPESKSFHDHGYDAIPSRWKGVCQLGEAWGPSNCSRKIIGARYYAAGLDKANFKKNYMSARDNNGHGTHTASTAAGVVVEGVNLHGLGAGVARGGAPRARLAVYKVGWEEGGAGGSYLATAAVLAALDDAIHDGVDILSLSLGVDENSFGALHAVQNGITVIYAGGNRGPRPQVLYNTAPWVITVAASKIDRSFPTAITLGNKQTLVGQSLYYKLKNNTESRFESLVNGGNCSREALNGTSINGKVVLCIELTFGPIGRIFEDVFAGVIQGGASGLIFAFYTTDVLLSTEDCKGIACVFVDNEIGYQVATYIGSERLPTVKIEPASSITGNQVPAPKVAIFSSRGPSIKYPTVLKPDIAAPGVNILAAKEDAYVFNSGTSMAAPHVAGVVALLKALHPHWSHAALKSAIVTTASTKDEYDTPILAEALPRKVADPFDYGGGNINPIGAADPGLIYDIDPKDYNKFFACQIKKYEICNITTLPAYHLNLPSISIPDLRHPINVRRAVTNVGEVDAVYQSSIESPLGVKMTIEPPVLVFNASKKVHAFKICITPLWKVQGGYTFGSLTWYNEHHTARIPIAVRITIQDFYADVAYPNMILHSPFQRFPAFLLFCVWLLMIRGIYGSRKLYIAYLGEKKYDDPTLVTASHHDMLTSVLGSKEEALASIAYSYKHGFSGFAAMLTEEQADNLADLPEVISVTPNKQHELLTTRSWDFLGLNYQPPNKLLQRSKYGEDVIIGMIDTGIWPESRSFSDHGYGPIPSRWKGVCQLGQAWGPTNCSRKIIGARYYAAGIEKADFKKNYMSARDMIGHGTHTASIAAGAVVDGVSVHGLATGVARGGAPRARLAVYKVIWNTGNSLQLASAGVLAALDDAIHDGVDILSLSIHADEDSFGALHAVQKGITIVYAGGNDGPRPQVIFNTAPWVITAAASKIDRSFPTTITLGNKQTLVGQSLYYKLNNESKSGFQPLVNGGDCSKGALNGTTINGSIVLCIEITYGPILNFVNTVFENVFSGGASGLIFGLYTTDMLLRTEDCQGIPCVLVDIDIGSQVATYIGSQSMPVAKIEPAHSITGKEVLAPKVAIFSSRGPSTRYPTVLKPDIAAPGVNILAAKEDGYAFNSGTSMAAPHVAGVIALLKALHPDWSHAALKSAIVTSASTKDEYGMPILAEALPRKVADPFDYGGGNINPNGAADPGLIYNIDPMDYNKFFACKIKKHEICNITTLPAYHLNLPSISIPELRHPIKVRRAVTNVGEVDAVYQSAIQSPLGVKIDVEPPTLVFNAAKKVNTFKVSMRPLWKVQGEYTFGSLTWYNEHHTVRIPIAVRITIRDFYADVA >ORUFI01G37010.4 pep chromosome:OR_W1943:1:30939786:30956274:1 gene:ORUFI01G37010 transcript:ORUFI01G37010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNNWTLSRLTGPSDERPEPFSQHSHPDDVSASHHDMLTTVIGSKEDSLASIIHNYKHGFSCFALYIAYLGEKKHDDPTLVTGSHHDMLSSIIGSKEEAKASITYSYKHGFSGFAAMLTEDQAEDLAELPEVISITPNQKHELMTTRSWDFLGLKNEPPSELLQRSNYGEDIIIGIIDTGIWPESKSFHDHGYDAIPSRWKGVCQLGEAWGPSNCSRKIIGARYYAAGLDKANFKKNYMSARDNNGHGTHTASTAAGVVVEGVNLHGLGAGVARGGAPRARLAVYKVGWEEGGAGGSYLATAAVLAALDDAIHDGVDILSLSLGVDENSFGALHAVQNGITVIYAGGNRGPRPQVLYNTAPWVITVAASKIDRSFPTAITLGNKQTLVGQSLYYKLKNNTESRFESLVNGGNCSREALNGTSINGKVVLCIELTFGPIGRIFEDVFAGVIQGGASGLIFAFYTTDVLLSTEDCKGIACVFVDNEIGYQVATYIGSERLPTVKIEPASSITGNQVPAPKVAIFSSRGPSIKYPTVLKPDIAAPGVNILAAKEDAYVFNSGTSMAAPHVAGVVALLKALHPHWSHAALKSAIVTTASTKDEYDTPILAEALPRKVADPFDYGGGNINPIGAADPGLIYDIDPKDYNKFFACQIKKYEICNITTLPAYHLNLPSISIPDLRHPINVRRAVTNVGEVDAVYQSSIESPLGVKMTIEPPVLVFNASKKVHAFKICITPLWKVQGGYTFGSLTWYNEHHTARIPIAVRITIQDFYADRFPAFLLFCVWLLMIRGIYGSRKLYIAYLGEKKYDDPTLVTASHHDMLTSVLGSKEEALASIAYSYKHGFSGFAAMLTEEQADNLADLPEVISVTPNKQHELLTTRSWDFLGLNYQPPNKLLQRSKYGEDVIIGMIDTGIWPESRSFSDHGYGPIPSRWKGVCQLGQAWGPTNCSRKIIGARYYAAGIEKADFKKNYMSARDMIGHGTHTASIAAGAVVDGVSVHGLATGVARGGAPRARLAVYKVIWNTGNSLQLASAGVLAALDDAIHDGVDILSLSIHADEDSFGALHAVQKGITIVYAGGNDGPRPQVIFNTAPWVITAAASKIDRSFPTTITLGNKQTLVGQSLYYKLNNESKSGFQPLVNGGDCSKGALNGTTINGSIVLCIEITYGPILNFVNTVFENVFSGGASGLIFGLYTTDMLLRTEDCQGIPCVLVDIDIGSQVATYIGSQSMPVAKIEPAHSITGKEVLAPKVAIFSSRGPSTRYPTVLKPDIAAPGVNILAAKEDGYAFNSGTSMAAPHVAGVIALLKALHPDWSHAALKSAIVTSASTKDEYGMPILAEALPRKVADPFDYGGGNINPNGAADPGLIYNIDPMDYNKFFACKIKKHEICNITTLPAYHLNLPSISIPELRHPIKVRRAVTNVGEVDAVYQSAIQSPLGVKIDVEPPTLVFNAAKKVNTFKVSMRPLWKVQGEYTFGSLTWYNEHHTVRIPIAVRITIRDFYADVA >ORUFI01G37010.5 pep chromosome:OR_W1943:1:30939786:30956274:1 gene:ORUFI01G37010 transcript:ORUFI01G37010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNNWTLSRLTGPSDERPEPFSQHSHPDDVSASHHDMLTTVIGSKEDSLASIIHNYKHGFSCFALYIAYLGEKKHDDPTLVTGSHHDMLSSIIGSKEEAKASITYSYKHGFSGFAAMLTEDQAEDLAELPEVISITPNQKHELMTTRSWDFLGLKNEPPSELLQRSNYGEDIIIGIIDTGIWPESKSFHDHGYDAIPSRWKGVCQLGEAWGPSNCSRKIIGARYYAAGLDKANFKKNYMSARDNNGHGTHTASTAAGVVVEGVNLHGLGAGVARGGAPRARLAVYKVGWEEGGAGGSYLATAAVLAALDDAIHDGVDILSLSLGVDENSFGALHAVQNGITVIYAGGNRGPRPQVLYNTAPWVITVAASKIDRSFPTAITLGNKQTLVGQSLYYKLKNNTESRFESLVNGGNCSREALNGTSINGKVVLCIELTFGPIGRIFEDVFAGVIQGGASGLIFAFYTTDVLLSTEDCKGIACVFVDNEIGYQVATYIGSERLPTVKIEPASSITGNQVPAPKVAIFSSRGPSIKYPTVLKPDIAAPGVNILAAKEDAYVFNSGTSMAAPHVAGVVALLKALHPHWSHAALKSAIVTTASTKDEYDTPILAEALPRKVADPFDYGGGNINPIGAADPGLIYDIDPKDYNKFFACQIKKYEICNITTLPAYHLNLPSISIPDLRHPINVRRAVTNVGEVDAVYQSSIESPLGVKMTIEPPVLVFNASKKVHAFKICITPLWKVQGGYTFGSLTWYNEHHTARIPIAVRITIQDFYADRFPAFLLFCVWLLMIRGIYGSRKLYIAYLGEKKYDDPTLVTASHHDMLTSVLGSKEEALASIAYSYKHGFSGFAAMLTEEQADNLAVISVTPNKQHELLTTRSWDFLGLNYQPPNKLLQRSKYGEDVIIGMIDTGIWPESRSFSDHGYGPIPSRWKGVCQLGQAWGPTNCSRKIIGARYYAAGIEKADFKKNYMSARDMIGHGTHTASIAAGAVVDGVSVHGLATGVARGGAPRARLAVYKVIWNTGNSLQLASAGVLAALDDAIHDGVDILSLSIHADEDSFGALHAVQKGITIVYAGGNDGPRPQVIFNTAPWVITAAASKIDRSFPTTITLGNKQTLVGQSLYYKLNNESKSGFQPLVNGGDCSKGALNGTTINGSIVLCIEITYGPILNFVNTVFENVFSGGASGLIFGLYTTDMLLRTEDCQGIPCVLVDIDIGSQVATYIGSQSMPVAKIEPAHSITGKEVLAPKVAIFSSRGPSTRYPTVLKPDIAAPGVNILAAKEDGYAFNSGTSMAAPHVAGVIALLKALHPDWSHAALKSAIVTSASTKDEYGMPILAEALPRKVADPFDYGGGNINPNGAADPGLIYNIDPMDYNKFFACKIKKHEICNITTLPAYHLNLPSISIPELRHPIKVRRAVTNVGEVDAVYQSAIQSPLGVKIDVEPPTLVFNAAKKVNTFKVSMRPLWKVQGEYTFGSLTWYNEHHTVRIPIAVRITIRDFYADVA >ORUFI01G37020.1 pep chromosome:OR_W1943:1:30948119:30956237:-1 gene:ORUFI01G37020 transcript:ORUFI01G37020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSYGRRRRRAAGLSNYGSNQASHAGGFASSHGRIPNSTAGTDCSPPPARRARGAPPRATPVARPWTLTPSTTAPAAMDAVCVPCPIMSRADIVGVRPTVAIMGFSHMPSVTVRAAAVAADGKQDRKVQAAHANQP >ORUFI01G37020.2 pep chromosome:OR_W1943:1:30945296:30956237:-1 gene:ORUFI01G37020 transcript:ORUFI01G37020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSYGRRRRRAAGLSNYGSNQASHAGGFASSHGRIPNSTAGTDCSPPPGQHLAIQPYAVRHRAGGGGGGGWQARPESASGTCQPAVARPRHFVPSQVPRRRADGGSGFRPHGRAPPFAGCAVRSSLGGSFLSPRKSQLLVVISSCF >ORUFI01G37020.3 pep chromosome:OR_W1943:1:30946078:30956237:-1 gene:ORUFI01G37020 transcript:ORUFI01G37020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSYGRRRRRAAGLSNYGSNQASHAGGFASSHGRIPNSTAGTDCSPPPGQHLAIQPYAVRHRAGGGGGGGWQARPESASGTCQPAVARPRHFVPSQVPRRRADGGSGFRPHGRAPPFAGCAVRVMAVGKDRSILLAATVMTQGAVL >ORUFI01G37030.1 pep chromosome:OR_W1943:1:30958394:30958639:1 gene:ORUFI01G37030 transcript:ORUFI01G37030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSSLLPVCALVLAMLCVASLMDVTEGRRGGGGRAYIGGGGVGARGSATRTSGSPRGLSGGTWAACAGSSLLAAAAMLL >ORUFI01G37040.1 pep chromosome:OR_W1943:1:30962574:30963815:1 gene:ORUFI01G37040 transcript:ORUFI01G37040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLPFTVLCVLVLLCVASLVDVTEGQRGGGGSGGLAGAAGEDGIPFGAGVGPRGLSGGEKRHRLHIGKMRGQLGRRGRRAAASTTPGEGSSRHSRRRHQHDVGEGGQLLPPRREGSNRRRCRHTPTPGRGNRSRLQLHVGEGGVADATRTGRGAAATTPGGRAAATAREGAAAARA >ORUFI01G37050.1 pep chromosome:OR_W1943:1:30965026:30967847:1 gene:ORUFI01G37050 transcript:ORUFI01G37050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWRHCHAAVLMGRLMGHARSLATCCRFAVRVAAVVDADLSSGGGLHDRRGRARWRLQPPTPGCRAEFVDPICTAEIHLRSCCPTPCQIRRCRGASHPSTRPNAWEERGVELVARFLVASTPVAKLAVPSGDRKGTVTKRRGRPEEDRGWSEEEERRGGRWRGDAVCCGGDGVERDVTMEKKSSLALDKIRAGLEFGRQDEEITQEKLPDCAFVLR >ORUFI01G37060.1 pep chromosome:OR_W1943:1:30967862:30968098:1 gene:ORUFI01G37060 transcript:ORUFI01G37060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSSLLPVCTLVLAMLCVASLMGVTEGRRGGGRTYIGGGGGARGSTEPAAARAALSGGTWAACAGSSLLAAAAMLL >ORUFI01G37070.1 pep chromosome:OR_W1943:1:30969252:30970273:1 gene:ORUFI01G37070 transcript:ORUFI01G37070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLPFCALCLFVLLCAASLMDVTEARRGGGGHSSGGGGRGGGSGSGSPRGLSGGTWAACIASSRSRDSINLTVQLILEHSIEQQSPCFLRGTHLP >ORUFI01G37080.1 pep chromosome:OR_W1943:1:30986672:30986911:1 gene:ORUFI01G37080 transcript:ORUFI01G37080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSMFLPVCALVLVMLCVCVASHMDVDERRGGARAYMGGHGRPVGIRPRTSGSPRGLSGGTWAACAGSSLLAAAAMLM >ORUFI01G37090.1 pep chromosome:OR_W1943:1:30988738:30994139:1 gene:ORUFI01G37090 transcript:ORUFI01G37090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQATAREIAVVGVIGAGQMGSGIAQLAAAAGCGVLLLDSDTAALSRAVDSISSSLRRLVAKGQLSQASCEHSIEQIKCVSSVQELRDADLVIEAIVESEDIKKKLFVELDKITKPSAILASNTSSISITRLASATNRPCQVIGMHFFNPPPIMKLIEIIRGADTSEEVFTKVKSFSERLGKTVICSQDYPGFIVNRILMPMINEAFWALYTGVATKEDIDTGMKLGTNHPMGPLQLADFIGLDVCLSVLRVLHNGLGDSKYSPCPLLVQYVDAGRLGKKRGQGAPVCPLVTACGPMSAEVCGRTCNWRLEYIGLDGFGRFG >ORUFI01G37090.2 pep chromosome:OR_W1943:1:30988738:30991786:1 gene:ORUFI01G37090 transcript:ORUFI01G37090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQATAREIAVVGVIGAGQMGSGIAQLAAAAGCGVLLLDSDTAALSRAVDSISSSLRRLVAKGQLSQASCEHSIEQIKCVSSVQELRDADLVIEAIVESEDIKKKLFVELDKITKPSAILASNTSSISITRLASATNRPCQVIGMHFFNPPPIMKLIEIIRGADTSEEVFTKVKSFSERLGKTVICSQDYPGFIVNRILMPMINEAFWALYTGVATKEDIDTGMKLGTNHPMGPLQLADFIGLDVCLSVLRVLHNGLGDSKYSPCPLLVQYVDAGRLGKKRGQGVYSYRTRSSSIKPRSSL >ORUFI01G37100.1 pep chromosome:OR_W1943:1:30991854:30993944:-1 gene:ORUFI01G37100 transcript:ORUFI01G37100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPPPVPFLPPTTSRAPPLLPPPRATNPNSADPTTTTAAGMGAAAWWRRSLGQRFNPAGVAAVAAVAASEPRLALPHVSVQDIRWLDWGELRRAGFRGVVFDKDNTLTAPYAPGLWPPLAAAFDQCRAAFPPGSLAVYSNSAGLKQYDPDGLEATAIEAVIQGVHKPGGEAKEIESYFGCSASNLVLVGDRYFTDVVYGNRNGFLTVLTEPLNFANESYIVRQVRKFEAYLISYWYRKGHRPIKHPLLPDARRIVKFDPYNDPMAPAA >ORUFI01G37110.1 pep chromosome:OR_W1943:1:30998922:31006421:-1 gene:ORUFI01G37110 transcript:ORUFI01G37110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPLQPADSDDSDGHVPVGRAASSRGGGGGVSHESDGEDAARRAREPPRDQRDGDPDEGDGGGGDGSGGGSDSESSLNGAGDKDEQGLMCNASKAPSRTHLAGHLPSSAALLFLPSFLPPSLPDDCPRPLPASSRGWIAATAARSYGQRARMPAQKRRLSSSPSSRPRDHVETNGMTGASKAAAGSGGGGGGGSGGGVPLPPRGGSAAVAAAKRAADPQPQREGDSDAEFGGGVDGDSESSQSDGDMDENNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFSEEERSRNKKIQATIEETIRRQSEAVGKKRSTAKATATVFARKYRRNMRTRGRGKTLAPDIAPTGSDNEDREEGNAIDTTKESSSADDRSSDLMPKRGRKRPASRASPARTIGSSDHVFEENDELIGGKESFTTSPLRGEMLAWGKNGTRSQTRHGSVGGSNGRMAKGGRVAKLVDHLRTTDDMDKEFNLYLVLLPLDEQSMPNLDKPYISCRPTLSIRHLVQLSRQVEELDIFMRIDHCNGSVTTQDCTTGVAKMRLSDGLERIREDKLLSELHPSFTSHHGDLVSSNIRMNSASTCFFFVYSCTSDVLCVSIQLLGIAVCFENSRLDQNFLTPHSSLSLQRYIWPFGLSLVKGCI >ORUFI01G37110.2 pep chromosome:OR_W1943:1:30998922:31006421:-1 gene:ORUFI01G37110 transcript:ORUFI01G37110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPLQPADSDDSDGHVPVGRAASSRGGGGGVSHESDGEDAARRAREPPRDQRDGDPDEGDGGGGDGSGGGSDSESSLNGAGDKDENNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFSEEERSRNKKIQATIEETIRRQSEAVGKKRSTAKATATVFARKYRRNMRTRGRGKTLAPDIAPTGSDNEDREEGNAIDTTKESSSADDRSSDLMPKRGRKRPASRASPARTIGSSDHVFEENDELIGGKESFTTSPLRGEMLAWGKNGTRSQTRHGSVGGSNGRMAKGGRVAKLVDHLRTTDDMDKEFNLYLVLLPLDEQSMPNLDKPYISCRPTLSIRHLVQLSRQVEELDIFMRIDHCNGSVTTQDCTTGVAKMRLSDGLERIREDKLLSELHPSFTSHHGDLVSSNIRMNSASTCFFFVYSCTSDVLCVSIQLLGIAVCFENSRLDQNFLTPHSSLSLQRYIWPFGLSLVKGCI >ORUFI01G37120.1 pep chromosome:OR_W1943:1:31022080:31022787:1 gene:ORUFI01G37120 transcript:ORUFI01G37120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAATVEKVAVAPPTGLGLGVGGGVGAGGPHYRGVRKRPWGRYAAEIRDPAKKSRVWLGTYDTAEEAARAYDAAAREFRGAKAKTNFPFASQSMVGCGGSPSSNSTVDTGGGGVQTPMRAMPLPPTLDLDLFHRAAAVTAVAGTGVRFPFRGYPVARPATHPYFFYEQAAAAAAAEAGYRMMKLAPPVTVAAVAQSDSDSSSVVDLAPSPPAVTANKAAAFDLDLNRPPPVEN >ORUFI01G37130.1 pep chromosome:OR_W1943:1:31025162:31034621:1 gene:ORUFI01G37130 transcript:ORUFI01G37130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGIDWDVRARRGHRLALLTGWAPAHASSSSAEERRMAWPGQWRTRKGAFSRVLSLSSEEPSFEESEAGVGGRAGQGLAAGGGRLHVGRGMAIGGVAVRRAARQAVACGSAGGEARGGSGAHGYVGGLEGPAATPRAAAGGRRAAEDKHLSKWTDADVDEFIASDPVYSPQVLLPTQSVSRSSGRMSNHLKAMRESRKSALGGALVGGAHLGGIALKYSKAPHDIITNRDLSNQLLFCAVRVRLVPDLVDEVPDSVLCCFAS >ORUFI01G37140.1 pep chromosome:OR_W1943:1:31037591:31041123:1 gene:ORUFI01G37140 transcript:ORUFI01G37140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATPPHLPTPTRHPVSPSLGIAVSHIFPPVLLPNPQSPSEDPCDLRPKSPRRRPALRSASSQRLSALSPNLSETQCRFVVVELVAPQPPRGRGAGRSRSLLVDPPANTTTNHLVHGHLLASHGCCSSAPPHLSSWRVGYTLVWDINNVPLPLLQIRCFFHSMRYTCEIFTFISHPVCFLAWVLAST >ORUFI01G37150.1 pep chromosome:OR_W1943:1:31059616:31062540:-1 gene:ORUFI01G37150 transcript:ORUFI01G37150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIFTEIAIESPAWSIDIQRLAADLETKLCNVDLEGGRRRRRSPECLISKVKPQASRITMPCGYGVPIISTLRRCLEAIAGVEDEARSYYEDGAMTWREKQMFVQMLLLDAWFVLDIFNVGGEAAAAAAGSRGGSAVDYIFAVRDVFYLLENQIPFFILEKVYELVRVGNSGEDHTQTRTSSPPPQSPGATAIAGGGGGSSSSSTVVVDGFLRHLRSLLQDQGYSNVEVDITSTHPCHLVHLLHMHFTPMAMAPAADDDAAVPIPTRRARATVYRWRGATQYHAAGVRFKKRALGAGAAGDARCVLDVELRRLTTLHVPTLTVDNNTWRVLRNLMALEQNNPRLGSHVTAYCLFMSHLAGTASDVALLARKKIIVHFMATDGDVADGFAGLCRGVALDVDDARRNYLQPTWERMERWYSSRPVNWMALLRRRHLSNPLVAIALLAAIAGLVCEVLQAVYAVKSYKTRS >ORUFI01G37160.1 pep chromosome:OR_W1943:1:31077861:31081114:1 gene:ORUFI01G37160 transcript:ORUFI01G37160.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLQSPSEDPCNFRPKSPRCRPAPPSSRSASSQCLVALLAGDPVPLRRRRASGVATFPWSHCPTPSPRGRGAGRSRSLLVDPPANTATNHLVHGHLLASHGCCSSAPPHLSRSLL >ORUFI01G37170.1 pep chromosome:OR_W1943:1:31082414:31089543:1 gene:ORUFI01G37170 transcript:ORUFI01G37170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPLLSTIRSRRRVDLAVHVSHCARPSPPPPNEPPDLPVRRPRPPDPVTPVSLPVDPAPRRPPPPLAVAVAVAAPFSSMVDPATRRKERATTASLFLSLSSVSCQIRFGGHRNRWFRPPHRWIQSPGVTCDHGSPLKGDVRKLKPLPPSSSLLHSTAPLSFSTLFLSFSTLMSDLAYGLPDLERWGRVIGEQQLGHGDKAQRQRQQWLVKFKMPSQRPWGSHRKTDIIELIDKPMVIMASDQDQLFCCWTIVQVAGDHIIPDRGLSLSCLSMDHPRLVAPAAQSGLFSSQHDTGRITLVM >ORUFI01G37170.2 pep chromosome:OR_W1943:1:31082414:31086759:1 gene:ORUFI01G37170 transcript:ORUFI01G37170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPLLSTIRSRRRVDLAVHVSHCARPSPPPPNEPPDLPVRRPRPPDPVTPVSLPVDPAPRRPPPPLAVAVAVAAPFSSMVDPATRRKERATTASLFLSLSSVSCQIRFGGHRNRWFRPPHRWIQSPGVTCDHGSPLKGDVRKLKPLPPSSSLLHSTAPLSFSTLFLSFSTLMSDLAYGLPDLERWGRVIGEQQLGHGDKAQRQRQQPSQRPWGSHRKTDIIELIDKPMVIMASDQDQLFCCWTIVQVAGDHIIPEFRVL >ORUFI01G37170.3 pep chromosome:OR_W1943:1:31082414:31085533:1 gene:ORUFI01G37170 transcript:ORUFI01G37170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPLLSTIRSRRRVDLAVHVSHCARPSPPPPNEPPDLPVRRPRPPDPVTPVSLPVDPAPRRPPPPLAVAVAVAAPFSSMVDPATRRKERATTASLFLSLSSVSCQIRFGGHRNRWFRPPHRWIQSPGVTCDHGSPLKGDVRKLKPLPPSSSLLHSTAPLSFSTLFLSFSTLMSDLAYGLPDLERWGRVIGEQQLGHGDKAQRQRQQWLVKFKMESEPRDDGDAIRYLREINYDHRLIGMA >ORUFI01G37170.4 pep chromosome:OR_W1943:1:31082414:31085413:1 gene:ORUFI01G37170 transcript:ORUFI01G37170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPLLSTIRSRRRVDLAVHVSHCARPSPPPPNEPPDLPVRRPRPPDPVTPVSLPVDPAPRRPPPPLAVAVAVAAPFSSMVDPATRRKERATTASLFLSLSSVSCQIRFGGHRNRWFRPPHRWIQSPGVTCDHGSPLKGDVRKLKPLPPSSSLLHSTAPLSFSTLFLSFSTLMSDLAYGLPDLERWGRVIGEQQLGHGDKAQRQRQQWLGAA >ORUFI01G37180.1 pep chromosome:OR_W1943:1:31092358:31093095:-1 gene:ORUFI01G37180 transcript:ORUFI01G37180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEMVGGGVGRWIHEKTTAAAGTVSAGRWCRTMAPGEGCGAASSTTTKRRWVAGKRETSCSAAKPRRPTPNDDASDASVHKVTQKYIVHQCFKDSYARSAGGSVGQN >ORUFI01G37190.1 pep chromosome:OR_W1943:1:31094915:31096269:1 gene:ORUFI01G37190 transcript:ORUFI01G37190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRRRYDVASDIGTPNYLRQRREGRGSELYGSADADADARPTHTARFDSCKLPGAAAPSVYADTAKGRAVCPEQRAARWVAGWQAPLGTECGTKALLTVWRLGIG >ORUFI01G37200.1 pep chromosome:OR_W1943:1:31096657:31099937:1 gene:ORUFI01G37200 transcript:ORUFI01G37200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFLLCTAEVVPYDSSYSLYKYHTKTFFPSRTDGQKNLTLALCQALLLLSNLHINITSVVARACAGLTVTASMPPASLTAVTCSRRAGLTPSSSSATGHGSASTMPPSESPTRRGLRRRPHPIWPREGQICRTPLRPPAPASDARRSASSAPRRATTNGCDRRPAAPTCAPPCQPRSPPDPA >ORUFI01G37200.2 pep chromosome:OR_W1943:1:31096657:31099937:1 gene:ORUFI01G37200 transcript:ORUFI01G37200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSPSVSASSHSYASLRPLLHQGCFKEWEVKNVTSNPIVLGGNIEKICTSSFFVQLKWCLMTAHKNLTLALCQALLLLSNLHINITSVVARACAGLTVTASMPPASLTAVTCSRRAGLTPSSSSATGHGSASTMPPSESPTRRGLRRRPHPIWPREGQICRTPLRPPAPASDARRSASSAPRRATTNGCDRRPAAPTCAPPCQPRSPPDPA >ORUFI01G37200.3 pep chromosome:OR_W1943:1:31096657:31099937:1 gene:ORUFI01G37200 transcript:ORUFI01G37200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSPSVSASSHSYASLRPLLHQGCFKEWEVKNVTSNPIVLKNLTLALCQALLLLSNLHINITSVVARACAGLTVTASMPPASLTAVTCSRRAGLTPSSSSATGHGSASTMPPSESPTRRGLRRRPHPIWPREGQICRTPLRPPAPASDARRSASSAPRRATTNGCDRRPAAPTCAPPCQPRSPPDPA >ORUFI01G37210.1 pep chromosome:OR_W1943:1:31111998:31115757:1 gene:ORUFI01G37210 transcript:ORUFI01G37210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAECSEKLQFLKASASASMAYSIVQFPVKWQSIKYKLQKLCSNFQPEILNVPGDDDSCNEHVILVQFLQTAMATVTMNLTMVEGFPRHARAAPPPAPAPTARARLLPHRPPPAPNCSTLTPRLARPRRGAGQDRGVRPRLPRLRCRVARHRPPTFSSCPASHCQPPLHSLKSPASSSFAACSYPSAARGAETSPLSPTGAQYSRRRAEAAPRRGRRETRVAERRWALASWRNLHKRGADMDKLTCGAHVDPALTQQQRRTKPVSKPPRDLLQRRAHCRLGPARGAERCRTVVILANRAAAGERRCPGPNKIGGSQNGAALTTLPASSAPATSPPPRLSHTPRPNARAYSRSSRRRRRVAASLGQDEPGVSDTAVAPEGEGDSEPPASSDGAAGDIAASAEQPEASPEDLEDIRQVKRVLELLQKNRDMTFGEVKLTIMIEDPRDIERKRLLGIEDPDEITRDDLADALVEVNEGRIPENRVALQLLAKEMTEWPDLEMEAPKKKSKPGKSVYAKATDTGIDPETAAKRLNIDWDSAADLDDEEEEDDETEVPSAVGYSALYLLTAFPVIIGISVVLILFYNSLQ >ORUFI01G37210.2 pep chromosome:OR_W1943:1:31113183:31115757:1 gene:ORUFI01G37210 transcript:ORUFI01G37210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPPSPPPPLPPLRRRPASPTLLAVATRPSSLLSLPHCHCGLPLPSTANARAYSRSSRRRRRVAASLGQDEPGVSDTAVAPEGEGDSEPPASSDGAAGDIAASAEQPEASPEDLEDIRQVKRVLELLQKNRDMTFGEVKLTIMIEDPRDIERKRLLGIEDPDEITRDDLADALVEVNEGRIPENRVALQLLAKEMTEWPDLEMEAPKKKSKPGKSVYAKATDTGIDPETAAKRLNIDWDSAADLDDEEEEDDETEVPSAVGYSALYLLTAFPVIIGISVVLILFYNSLQ >ORUFI01G37210.3 pep chromosome:OR_W1943:1:31113349:31115757:1 gene:ORUFI01G37210 transcript:ORUFI01G37210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPPSPPPPLPPLRRRPASPTLLAVATRPSSLLSLPHCHCGLPLPSTANARAYSRSSRRRRRVAASLGQDEPGVSDTAVKLTIMIEDPRDIERKRLLGIEDPDEITRDDLADALVEVNEGRIPENRVALQLLAKEMTEWPDLEMEAPKKKSKPGKSVYAKATDTGIDPETAAKRLNIDWDSAADLDDEEEEDDETEVPSAVGYSALYLLTAFPVIIGISVVLILFYNSLQ >ORUFI01G37210.4 pep chromosome:OR_W1943:1:31111998:31113022:1 gene:ORUFI01G37210 transcript:ORUFI01G37210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAECSEKLQFLKASASASMAYSIVQFPVKWQSIKYKLQKLCSNFQPEILNVPGDDDSCNEHVILVQFLQTAMATVTMNLTMVEGFPRHARAAPPPAPAPTARARLLPHRPPPAPNCSTLTPRLARPRRGAGQDRGVRPRLPRLRCRVARHRPPTFSSCPASHCQPPLHSLKSPASSSFAACSYPSAARGAETSPLSPTGAQYSRRRAEAAPRRGRRETRVAERRWALASWRNLHKRGADMDKLTCGAHVDPALTQQQRRTKPVSKPPRDLDGLEPMGAQFQMALGG >ORUFI01G37220.1 pep chromosome:OR_W1943:1:31119829:31121155:1 gene:ORUFI01G37220 transcript:ORUFI01G37220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANRRAHMSSLRLLPEKPTRSALSSTPQRRAGNGGGEAGADGVKCTALCLYLPRLLKKKRAAVQPATMSAAPTSAQKAKAKAKKEVPSAPRVSSRWPSSLPRAVSAGAAGRTSSASSKLREASASASASFSFSHWSRSQASRVRPHGAAPGPFSFPSSPASASSGTSTPKLAHGGILTELLGLLYSSSHEGLHYLQRYLQLYPPKDAITIRRKNILYYRRYASTGSSKSSRS >ORUFI01G37230.1 pep chromosome:OR_W1943:1:31121495:31122073:-1 gene:ORUFI01G37230 transcript:ORUFI01G37230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTPDADPGRDTFTCGTLFMCLNLRGLFKKKPEEVGKSRRQSQEQDQDQAAAVDAETEQEPQYVPAPAPIRAASFEKLERSPPYSGSNIAFDLLVEPELGEDRGARQVLAYCPSPCFDLPAGLMMRAGERCDAPGTAGFVLDGCPTKGALKKVASCLPPDVAGGDGEARPPHLVRFLSTSGSTAPANGGLP >ORUFI01G37240.1 pep chromosome:OR_W1943:1:31122494:31124647:-1 gene:ORUFI01G37240 transcript:ORUFI01G37240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTMAGMVALWNEWEIRVLVLSSLALQVFLLFSAVIRKRNVSAVLGLLLWLAYLLADSIAIYALGYLSQTRVPRGVDVRSFRNTHRIQAFWAPFLLLHLGGQDTITAFSIEDNELWKRHLLSLLSQVALAMYVFAKSRPGADILAPAVFMFLSGILKYGERTWALKCASMDNLRSGMVTTPDPGPNYAKFMEEYRFTREAGLQAEIVIEPERRGGWVTAAAIAEESVPYTTIITDARRFFVTFKRLFVNLILSFQDRTRSQATFLRLTPEQAYKIIEIELSLMYDTLHSKAAVIHTWYGRLFRCVTLLSTSAACLLFNLLDKDRYESHDTRVDIFITNLLFGGALCLEVYAIGMMLISYWTYAALQGCNCRTLSHLLFKSIKYFRPESRPKWSNLMAQHNLISYCLHDRATLLTKVITMVGLKGHWDSWMHIQHIDVLPELKTLVFRELKDKAVSIVDNAESYRKFSNHRGQWALQCKGYYKELGWSVEVEFDESILLWHIATDLCFYYDIDGSDGDAKLTEYVGISRAVSNYMLFLLVARPFMLTAGIGQIRFGDTCAEAKIFFEREMALPDERAAAAMVLEVNAEIAPRDVKGDRSKSVLFDACRLAKSLLELQPGKRWRLIRVVWVEILCYAASKCRSNFHAKQLSNGGELLTVVWFLMAHLGMGEQYRIEAGHARAKLIVEKN >ORUFI01G37250.1 pep chromosome:OR_W1943:1:31124967:31126160:1 gene:ORUFI01G37250 transcript:ORUFI01G37250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVVQFLVRKYVDSLAEEEAAAELPFRAHFYDVKAELEKAAISSTNADELRQCLYELNDLLAECRMLASRTNTRPGCFSPSEAWRSNRVKTRVIAVKRRVLRCVESDSSGNAAALEEEDSAAAGFSRWTTSWLEEGTVHGFDQQLAELESMAFGAECGAGGLTGVGIVGMGGVGKTALAQLVFNSPRARRRFFPRIWVCLSRTACAGADVRKEVLQSMLMALGLEEEVILSMDGGNGLGEMVFAVHEQLKGKRYLVVFDDVWNVDGWYADVVGRRNASPTGEEWGERLAFGLPKERGGVVVVTSRLEQAAETMVGKSSLHRVQPLADGESCWAIFMDAFSKERRLADVTTVNNMKDEIIDTCGGLPSAAKTLGDIFARSLSSPASTSSQELSKNR >ORUFI01G37260.1 pep chromosome:OR_W1943:1:31126416:31128299:-1 gene:ORUFI01G37260 transcript:ORUFI01G37260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQERALDEVVSPFLMQLSKARLLFLKLDEDSSFLEIKHLFQNIEKEAYEVENILQRVSRWENEIIDDFGGIARITALPASIGELSNLMILDLHACHNLERLTESITSLQMLTHLDVSECYLLEGMPRGIGLLTELQVLKGFVIGGSTSNYNCRVAELVRLEKLNKLSVYIGSKVAVTGDELNELENIKGLRSLTITWAVSVLSKGKDQQASVATAMLTSLSLPPNLKKLDLRCFPGKKIPSWLSPSKLLGLKKLYFTGGMLNTFGDGSTSEMWKVEILRLKFLDDLEVEWTQLHEAFPNLTFLEVFRCSKLESFPCDKDGVWMNCDKQEVR >ORUFI01G37270.1 pep chromosome:OR_W1943:1:31131600:31132685:-1 gene:ORUFI01G37270 transcript:ORUFI01G37270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAAGAVCLPQDGHARRASAGEGGSIFSLTLSLSNPPTASRGLRLYSDGRPAARRWRGGCAKALGEGLAFGPATTTIAGVAFSLGRCCAFSSFGSLGGATTALFDVASFLEASFKSSFQAWRRRSMPLKTDPLPLLLDVGSIPSGTLVLLPPSSYHAFETWAPYGSSSHGGRGQLGRREAVGKDADQRGLLGLLLGALPMVMEGRDQ >ORUFI01G37280.1 pep chromosome:OR_W1943:1:31135107:31137518:1 gene:ORUFI01G37280 transcript:ORUFI01G37280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEYLTKRKFLLVLDNAYFTEENILEHMGIPHPRQQNIGSKVIVTTRTRRTAGAMWPDGPDTVIMPQPLTYEESYNLLCTKIGKDVGSSYTLDLINNCYGIPLSVILLAGVLCDVPSQDTLNELVRNACVTLGSKVSVFHTMQRLVKFAYHQLPDANARHCFLYCLLFPEDQGIPVNDLIRFWVMDGLITQSIEFHEASCIGKEILDVLLKRCMLYMDGNDHVRMHDVIRETVSGFGKVNGYREQHDFKFGNPARKLECLAKLSTRVSLMSTEMEYLDGSVRCFWLTSLFLRGNRHMKYISEELFCHMGMLGILDLSFTGIKILPRSISCLTRLRILLLMGCDHLEEIQHIASLAQLEVLDASSCRSLRSIESGSFDHMMLLKLLDLSTTSIKCLPSLPASRELCHLLLQNCPYLGSENTIKSDGILSDTELIRFPYGVSKTGAIQNLQLGRIGDLSDLMAMLWLPCGLTFQLCDMFNMGVLFSDNEDSKTFVYASDTYFFHSLKKDSPLWLNGFQRFQIIISPLKDDQALDTDAQLMKADFIFRSSYFKTKHFTHSIDLDKFLEINGTFEVPSETEGILGHAELVSLKRLATTRSSDLNITSMEAVRELWIENCSQLESLLSVDEIEILSAWGNLHNLWISNLERLSSLLEGVKDVVSFSCLKHLLIDCCPNLKWIFPSMVCLPNLETMHVKFCDILERVFEDDSVLGDDALPRLQSLELWELPELSCICGGTLPSLKNLKVRSCAKLRKIPVGVDENNPFVTTIGETFWWDCLIWDDESIKRWILFRKWGPMLPYLATEG >ORUFI01G37290.1 pep chromosome:OR_W1943:1:31145933:31149472:1 gene:ORUFI01G37290 transcript:ORUFI01G37290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSNVKSAGVAQIDGRPVLQPAGNRVAAPEAARPLKKSLQKSLSMPASLDNAAAATTCAASPEKSRAADFARAAAASLLPPPTPASVSAKATRVSGAKVAAARTAAAAAAMGGLDRSRKPAKKGGAAVLPVVTFAGLEAYEPAGSIAAAQREHVAMAQAQRKMRIAHYGRTASFSRVEGKVSATATGAAELIAGAVTGHDEKRCSFITPYSDPLYVAYHDEEWGVPVRDDELLFEMLTLSGVQVGADWTSILKRRHVYREAFSGFNVDAVAKYTEKQMASLSAEFGLDLGTIRGAVNNACRISEVRRDFGSFSKYVWAFVNNKPLSPSYKYSRKIPVKTSKSESISKDMVRRGFRFVGPTVIHSFMQAVGLTNDHLVSCPRHRVCSSSSA >ORUFI01G37300.1 pep chromosome:OR_W1943:1:31163010:31165812:1 gene:ORUFI01G37300 transcript:ORUFI01G37300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRALLVGINYPGTKAELKGCHNDVDRMHRCLVDRFGFDEDDIRVLLDRDSSGTQPTGANIRRALAQLVGDARPGDFLFFHYSGHGTRLPAETGQNDDTGYDECIVPSDMNLITDQDFRELVQKVPNGCLFTIVSDSCHSGGLLDSAKEQIGNSTRQNQTQSREREEPSDSGSGFRSFLKETVRDVFESEGIHLPRSRHSQSHYGGEDQDETYAQPTDGHTKNRSLPLSTLIEMLKEKTGKDDIDVGSIRMTLFNIFGDDASPKVKKFMKVMLGKFQQGQSGEQGGLMGMVGSLAQEFLKVKLEGNEEEAFKPAIEQEVHSVDEVYAGTKTWAPNNGILISGCQSNQTSADATTPQGSSYGALSNAIQTILADKRGNVSNKDLVMKARSLLAKQGYTQQPGLYCSDDHVHVSFIC >ORUFI01G37310.1 pep chromosome:OR_W1943:1:31171204:31171458:-1 gene:ORUFI01G37310 transcript:ORUFI01G37310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSLHAFVVFFVTQVCLLVVMASSSSWTVQGRPLALESVPACCFYHPDCCQAAGADPAIPSVSSKTICARSHVYALAFDVAV >ORUFI01G37320.1 pep chromosome:OR_W1943:1:31173108:31173317:-1 gene:ORUFI01G37320 transcript:ORUFI01G37320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGNLRVFVVLLVVQVCLLALLATPWTVHARSATAMFPANCPCPRFAECCRAAATGRHGQLATKSNP >ORUFI01G37330.1 pep chromosome:OR_W1943:1:31175407:31175616:-1 gene:ORUFI01G37330 transcript:ORUFI01G37330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGHLRVFAVFLVVQVYLLAMMAAPWTVQAGPVVSLLSNVCCSMHIAGCCPAIAGGGGGSGDAAKAKP >ORUFI01G37340.1 pep chromosome:OR_W1943:1:31178191:31180920:1 gene:ORUFI01G37340 transcript:ORUFI01G37340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAATTFPSMARPAARGIVAGAAASTVPLPRAGVASPCPTARSLGFAARGTDPRLAIHVSSRRRAASASAGSRLARAVATMAKKSVGDLAAADLEGKRVLLRADLNVPLDASQNITDDTRVIAAIPTIKHLIGNGAKVILCSHLGRPKGITPKFSLAPLVPRLSELLGIQVQKADDVIGPEVEKSVSVLPNGSVLLLENVRFYKEEEKNDPEFAKKLASLADLYELDYLVGAVSNPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGFPVGASLVEDDKLELATSLLAKAKEKGVSLMLPTDVIVADKFAPEANCQVVSASAIPDGWMGLDIGPDSVAAFSSALETTQTVIWNGPMGVFEFEKFAVGTEAIAKKLAELSGKGVTTIIGGKDSVAAVEKVGVANVMSHISTGGGASLELLEGKELPGVVALDEA >ORUFI01G37350.1 pep chromosome:OR_W1943:1:31181479:31184644:-1 gene:ORUFI01G37350 transcript:ORUFI01G37350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATHDLAALGAADLVRVSASIPRAAPRTFALLTAGLVFPLSAAVLVHSLFTHPIILRLQGANGDYSSSDSAQWLKLFAYQFLYLILLFTLSLLSTAAAVFTVASLYAAKPASIASSLAALPPILPRLLRTFLWVSLLMLAYHLVFALTVLLLLLLFAPSSSPPSLPFVLILVVVVFVFLAIHVYISALWHLASVISVLEPLCGLAAMTKSKQLLQGRTRTAGVLVVSYFAACGVTAVLFRAAVVKGRGEEGSLGLSLPGRVLAGAVLVSVLVCVNLLGLLVQSVFYYACKAFHNQQIDRSALYEHLGGYLGEYVPLKSNIQMENLDA >ORUFI01G37360.1 pep chromosome:OR_W1943:1:31187150:31188472:1 gene:ORUFI01G37360 transcript:ORUFI01G37360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLQHLAAGELVTALRGASCPSSALRLYSLIRIHARPSDPALFAWRPAVLALKPLSAAASLPLLSHFHAHLIRSNLLAYPHVASSLLRGYSLLSPEHAHHLFDQIPPSTCNLVVVNVMLGSLCRSSDLASARAFFDGIPDKDVVSWSTMLACYFSHSRVAEGLAFFRTMTFTTQLAADYVMLVTVLTGCASAGLLPVSCRAIHGYIVRRGITFTMHLGTALIDCYAKAGRLDYASRVFCRVPLRNVMHWTAMICGSAAHLGSEKAIQLFEEMCRSGVQPNEMTFTAVLSACGQAGLVDQGRRFFKLMVDTYGFEPTIHHYGCIVDLYAKAGKLEDAYEVIKTMRMEPNIIIWTSLLAACKKFKNFYIAVEGIEKVLSMEISEENGGLYALISDLYAMGGQWEDVLRVRSLMEERNVWKIRGSSSIKVGEPQDFTFPAVS >ORUFI01G37370.1 pep chromosome:OR_W1943:1:31190699:31202090:1 gene:ORUFI01G37370 transcript:ORUFI01G37370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLLLVLIGGICAAAAASSPLLPAAETMRVSFAGKSEFRTVNRKPLGTCLDPSPYLEISVSTGGAPLPDEAFLNVTVSGVRRPDRSHWLAMITPSNSSVLGCPLNGVNYIETGDLASLPLLCHYPVKAQYLTSDPGYLGCKASACQKRRASGTCKVRTCAATLAFHVINFRTDVEFVLFSGGFATPCVLKRSGALPFANPAKPLHGHLSSVDSKATSMRLTWVSGDARPQQVQYGTGKTATSVATTFTHKDMCSIAVLPSPAKDFGWHDPGYIHSALMTGLQPCQSYNYRYGSDSVGWSNTTEFRTPPAAGSGELSFVIFGDMGKAPLDPSVEHYIQPGSTSVAKAVAAEMQTGKVDSIFHIGDISYATGFLVEWDFFLHLITPLASQVSYMTAIGNHERDYAGSGSVYPTPDSGGECGVPYESYFPMPASGRDKPWYSIEQGSVHFVVMSTEHEWSEKSDQYNWMEMDLSSVDRSRTPWVIFIGHRPMYSSSSGIPPSVDPNFVSSVEPLLLNHKVDLVFFGHVHNYERTCAVYQGNCKGMPKKDAKGVDTYDNSNYAAPVHAVVGAGGFNLDGFPKIGLHSWSLSRISEFGYARVHATKTDMLVQFVNSNTSAVQDQFRIVKGAR >ORUFI01G37380.1 pep chromosome:OR_W1943:1:31203918:31207174:1 gene:ORUFI01G37380 transcript:ORUFI01G37380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFAVCFVILSFAMAAAAARPATSSTADAPATSGDCSSDVQDLMANCQDYVMFPADPKIDPSQACCAAVQRANMPCVCNKVIPEVEQLICMDKVVYVVAFCKKPFQPGSNCGSYRVPASLA >ORUFI01G37390.1 pep chromosome:OR_W1943:1:31208898:31209529:1 gene:ORUFI01G37390 transcript:ORUFI01G37390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLYGLFWVMALVLATVAGTKSDEGCSRDLQDLIMECQKYVMNPANPKIEPSNACCSVIQKANVPCLCSKVTKEIEKIVCMEKVVYVADYCKKPLQPGSKCGND >ORUFI01G37400.1 pep chromosome:OR_W1943:1:31211695:31215925:1 gene:ORUFI01G37400 transcript:ORUFI01G37400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAENKEMPAPLTIGIRLRGVLPIPGCSARGTSILVAEVEIMVSIEERFVREVNFWVMQPDYRPSNSSCEMRVSLLAFRLCRSHFPSALGLLHLLDADGGADARGPHHPTRPHTATSPGNRALQPPGLAIDIEADSNNVLSFAVTARDAGPKNSVFQFGVDTGDMNEAVGRAERWRVRLTAVLARVEPGFAPAAFMMIDPVPEELEIPKADEIGMGVCVYAGLPAPGGCDDWGDAIPEARMEIKVTGEKRFVQEVNFGVTLLTSDSDSDGRLIDMLACAAVEADGSVSRARKRPRIGVSAEPARPTAPMLGSEPPWLVLRLVGPFLDAESLAAASCVSTACREAFTAEDLWSKLLRSQYPSALGLLPMQGNGDDASGRSSSPYRRLFALFRSASARRRALPPPRLALDDVTFAIDIFAASGENTLSFVVAARDAIAKTGRFQFEVDLTGRNAAVGRGEFWSVRWTALRAGLIGFAPLAVEMMDAKAPAARARALFGGATGETWATGCLPAPGCGGATVEAEVVFEVSSEERLLEKVRFGVMAQCRYVSIDDGLRYLQHFLL >ORUFI01G37410.1 pep chromosome:OR_W1943:1:31216977:31227405:1 gene:ORUFI01G37410 transcript:ORUFI01G37410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRGGSLFRLYGCGCGLPSANFSPSKLALIRLSLMMAETRATYSRRAASKNTDIKKDDEHVLEKEDFAESKLEIEQLRNDPDRLQSMTVKELREITRMMGIPVKGNKKDLVSALMDSLGKVGTSSVEKIGVSEVPSKRKGASVVVEQNIESSEVISETPSKRSRAKNKGTAEESSGANVKQSKTSVQKKKLVVQGASVDHEEPWTVLVHKKPQPAWIPYNPKVMRSPSLSKDTKALKILSWNEKDVEVIKEGLLEGYTHSFWTCSVSKLGYSGTAIISRVKPLSIKYGLGVPDHDTEGRVVTVEFNDFYLLTAYVPNSGDGLKRLTYRVTEWDPSLGNYMKDLEKSKPVILTGDLNCAHQEIDIHDPAGNRRSAGFTIEERESFETNFLSKGFVDTFRKQHPNVVGYSYWGYRHNARKTNKGWRLDYFLVSESIAERVHDSYIIPDISASDHSPLGLRFVFFSFPQLCAFAQPRNLVCPRCWMSAIRASSHRLQTRTVALTRTKMSSMAGLGASQHGYPPRSHEPWTKLVHRERLPEWFAYNPKTMRPPPLSHDTKCMKILSWNINGLHDVVTTKGFSARDLAQRENFDEKDVEKFKNLIADYDSYWSCSVSRLGYSGTAVISRVKPISVQYGIGIREHDHEGRVITLEFDGFYLNYRVNNWDPCFSNYVKILEKSKPVIVAGDLNCARQSIDIHNPPAKTKSAGFTIEERESFETNFSSKGLVDTFRKQHPNAVGYTFWGDNQRITNKGWRLDYFLASESITDKVHDSYILPDVSFSDHSPIGLVLKL >ORUFI01G37410.2 pep chromosome:OR_W1943:1:31216977:31227405:1 gene:ORUFI01G37410 transcript:ORUFI01G37410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRGGSLFRLYGCGCGLPSANFSPSKLALIRLSLMMAETRATYSRRAASKNTDIKKDDEHVLEKEDFAESKLEIEQLRNDPDRLQSMTVKELREITRMMGIPVKGNKKDLVSALMDSLGKVGTSSVEKIGVSEVPSKRKGASVVVEQNIESSEVISETPSKRSRAKNKGTAEESSGANVKQSKTSVQKKKLVVQGASVDHEEPWTVLVHKKPQPAWIPYNPKVMRSPSLSKDTKALKILSWNEKDVEVIKEGLLEGYTHSFWTCSVSKLGYSGTAIISRVKPLSIKYGLGVPDHDTEGRVVTVEFNDFYLLTAYVPNSGDGLKRLTYRVTEWDPSLGNYMKDLEKSKPVILTGDLNCAHQEIDIHDPAGNRRSAGFTIEERESFETNFLSKGFVDTFRKQHPNVVGYSYWGYRHNARKTNKGWRLDYFLVSESIAERVHDSYIIPDISASDHSPLGLADKNCGINKDKDVKHGRLGSFSAWISSPKS >ORUFI01G37410.3 pep chromosome:OR_W1943:1:31224968:31227405:1 gene:ORUFI01G37410 transcript:ORUFI01G37410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPLSHDTKCMKILSWNINGLHDVVTTKGFSARDLAQRENFDEKDVEKFKNLIADYDSYWSCSVSRLGYSGTAVISRVKPISVQYGIGIREHDHEGRVITLEFDGFYLNYRVNNWDPCFSNYVKILEKSKPVIVAGDLNCARQSIDIHNPPAKTKSAGFTIEERESFETNFSSKGLVDTFRKQHPNAVGYTFWGDNQRITNKGWRLDYFLASESITDKVHDSYILPDVSFSDHSPIGLVLKL >ORUFI01G37420.1 pep chromosome:OR_W1943:1:31227959:31230077:-1 gene:ORUFI01G37420 transcript:ORUFI01G37420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRSGYRDRGGGEQGRYWRPPRSHGNGNFSVPLWEKKFCTDACAIPWGKLCETKKLMSLYKNVVDWDDSAALETFNDAKARFCAVYHGQPCDIPLPDPNMYIDMVNQDEHVDPELVADLEKSRRSYPKRDNTAPDGWDSFIFTNKPVPATGWGDGETSNTPGQQYPTNWNNHVKQPTEANCMQSSVNWDNYVSQPPQATVQQSSGNWDMYVKQQDQANNWEAPTMPGTWDMKGDSLDAWKRDSGWGSAAIDSWDNHRENCYVPDSQGWSYGHWKRRNNESSRRNSRGRDRVGPISSKAMKPKYHSEERNGANNGWRHCRVRNNMQYSYENPGCNQSLAM >ORUFI01G37430.1 pep chromosome:OR_W1943:1:31246772:31248742:-1 gene:ORUFI01G37430 transcript:ORUFI01G37430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLVTAAIFGLLLCGCSVSGVEGIGVNYGMIGNNLPSPDKVIALYRASNITDIRLFHPDTTVLAALRGSGLGVVLGTLNEDLARLATDASFAASWVQSYVQPFAGAVSFRYINAGNEVIPGDEAASVLPAMRNLQSALRAAGLGVPVTTVVATSVLGSSYPPSQGAFSEAALPTVAPIVSFLASSGTPLLVNVYPYFAYSADPSSVRLDYALLSPSTSAAVTDGGVTYTNMFDAILDAVYAALEKAGGQGLEVVVSETGWPSGGGGAGASVENAAAYSNNLVRHVGRGTPRRPGKAVETYIFAMFNENQKPEGVEQNFGLFHPDMSAVYHVDFSA >ORUFI01G37440.1 pep chromosome:OR_W1943:1:31249702:31253555:-1 gene:ORUFI01G37440 transcript:ORUFI01G37440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRPSKQRPPEPDAAPRCHATTREILVPIRHATVTVTSANTGSTAPSDPFHQPTLPPRLPPRPVPSAVPHRTRTTTTSLSIPPDPVRFRFASFAGRDASSGGATGGKRPGAVPAAKPSQAASVSPADSDSAAKPTSSHQKLADDSSDRVTCWATTREGGEVEEGAMGGAEDAPRAAAANGHGNGATVEEKLDELRRLLGKADGDPLRIVGVGAGAWGSVFCALMQDAYGHLRDKVQVRIWRRPGRAVDRATAEHLFEVINAREDVLRRLIRRCAYLKYVEGRLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTDTREVFGEIGRYWKERITAPIILSLAKGIEASLDPLPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIYITHLLEEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVDAFYELLSQDSLSVMHPEANRSVAPVEMCPILKALYKILIKRELPPDSILQAIRDETMYDPRERIEMAQGHSLYRPSLLGQPKGDAKA >ORUFI01G37440.2 pep chromosome:OR_W1943:1:31249702:31252859:-1 gene:ORUFI01G37440 transcript:ORUFI01G37440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAEDAPRAAAANGHGNGATVEEKLDELRRLLGKADGDPLRIVGVGAGAWGSVFCALMQDAYGHLRDKVQVRIWRRPGRAVDRATAEHLFEVINAREDVLRRLIRRCAYLKYVEGRLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTDTREVFGEIGRYWKERITAPIILSLAKGIEASLDPLPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIYITHLLEEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVDAFYELLSQDSLSVMHPEANRSVAPVEMCPILKALYKILIKRELPPDSILQAIRDETMYDPRERIEMAQGHSLYRPSLLGQPKGDAKA >ORUFI01G37450.1 pep chromosome:OR_W1943:1:31254495:31257342:-1 gene:ORUFI01G37450 transcript:ORUFI01G37450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRKTLLHGRLPAAPPAAAAAAIASRIPALLRRLSSSPGDGQGGDEWGSSWSTGITKEHFDGSDAAVGRPVTSPSKPVSPELAAVRAMDEEDEIFRAMERDNREAKAYVDSWGDRMRETCELLKQVREPGSRGSYLKDSEKQEMYRLHKEDPETYTVERLAKDFRVMRQRVHAILWLKEMEEEEERKLGKPLDDSVEVLLDSCPEFFNSHDREFHVASLPYKPDFKVMPEGWDGTTRDPDEVLYEISMKEDQMLYEEFVQRLQFNKKKVAGEVKCHKYSRRRPDDGWTYMVEKLGAQSKRGSGGGWKFASLPDGSSRPLNDMEKMYVKRETPKRRRRIMAPFK >ORUFI01G37460.1 pep chromosome:OR_W1943:1:31257802:31259791:1 gene:ORUFI01G37460 transcript:ORUFI01G37460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQVGAFRRRGQASVVWCSLAALASRLRWMRTRDAPVVHRSPGSTSLAVEEEFTYQFQATFCFHIPRSPMALMNS >ORUFI01G37470.1 pep chromosome:OR_W1943:1:31259890:31260381:1 gene:ORUFI01G37470 transcript:ORUFI01G37470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGENNVEEELRKTRKPLGNREAVRKYREKKKAHAAFLEEEVKKLRTTNQQLLRRLQGHISLEAEVVRLRALLFDIRGKIDAEIGTFPFQKQCSFGSVTCTDHSPCFNTSTEVAVREESSRPTIVDCGIDGTGIISHELDIPKMVNSVDVIPSFVNSASLTE >ORUFI01G37480.1 pep chromosome:OR_W1943:1:31264332:31266609:1 gene:ORUFI01G37480 transcript:ORUFI01G37480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRATHWCYACRRPIRVSGQDITCPNCNDGFIQEISEIGGSLNTYGIFDPSFDERRDRSFGMVEAMSDLMRQRMAEMGRNRVLAFHGTRGASSHQGRQPTVRPMLIFGSNAPDRVSSSSEEADILLRQGRRIGADRPNFSRFLVGPSLEALFEQLLLHNNRQGPPPAPQSAIDSMPVVKINLRHLRDDPHCPVCTDKFAVGTEAREMPCKHLYHAECIIPWLVQHNSCPVCRHPLPSSSHRSGSTRSSSTHSNEAVSHGVARSDADPVPVARSDDSRNHEMHGSFSFLWPFDSPTPDSSSYTHEGGVGEPTVHDDAGQMTYSEWHYDY >ORUFI01G37490.1 pep chromosome:OR_W1943:1:31266805:31272748:1 gene:ORUFI01G37490 transcript:ORUFI01G37490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTHLLSQSLYPLNRANPAAARGHLRFQASPSVRLGSGTSRRRALGLRVAASAEQGRRQVEVEYDLQAKFNKLADQIDQNAGITRLNLFSPCKINVFLRITGKRPDGFHDLASLFHVISLGDTIKFSLSPSKSKDRLSTNVAGVPVDESNLIIKALNLYRKKTGTDNFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFSGCIASEKELQEWSGEIGSDIPFFFSQGAAYCTGRGEIVEDIRNPLPANLPMVLVKPPEACSTAEVYKRLRLEHTSQTDPLVLLKEITENGISQDACVNDLEPPAFEVLPSLKRLKKRIIAANRGDYDAVFMSGSGSTIVGIGSPDPPAFVYDDDDYKDTFVSEACFLTRNENEWYREPISSKITSEEDLPPEVASVSD >ORUFI01G37500.1 pep chromosome:OR_W1943:1:31272497:31272925:-1 gene:ORUFI01G37500 transcript:ORUFI01G37500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEARAESPAAPVVVPAAASPEKRVLSGDAGREEERPEPKRRRACVAALDSVPCAAPPLVDGDGSSFSFQHARGGFVVLETTPKFGSFNPPAAAAVGPKPAPPAGAGQGSPEEEGGPAREEAEAKDGNSQLVGPGVQGQKT >ORUFI01G37510.1 pep chromosome:OR_W1943:1:31280176:31281081:1 gene:ORUFI01G37510 transcript:ORUFI01G37510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTRNTRQFFESSSSGGGGRTSIDEGRGVRDGGGGRVAAARGSGVNTGILDEHVLSLVFRSINWDPQAVCTAACVSRRMRAVAERVLWRELCISRAPRMVASLAGAGAGGAAPPPGRIVGGWPALAKMLFFCCGAAGPGVPGHFTRMSRFSKTSGRSFLSRRCRSDLLYVSDPCEHAVAGAGDDLGAYRGVFRGFMRSRTRACLVGRQAALDPRVRCPYCGARVWSMVAAGMVPRTAWRRLGCLEGRLEYYVCVSGHLHGNCWLARLTSSEGEHDAGSGSDSDASTQGGGSDDDGHVAL >ORUFI01G37520.1 pep chromosome:OR_W1943:1:31287082:31289393:-1 gene:ORUFI01G37520 transcript:ORUFI01G37520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGSEVYQVVEAMAPLYTAAALGYGSVRWLKAFSNEQCAGINHFVALYAVPVLIFDMVSTNNVYKMNGRLIAADTLQKAVLLLGLMAWALWERSRARRAGAKAKAAVSSPLQWVITCFSVASLPNTIIMGVPLLNGMYGPVSKDLMKQIVVMQFCIWYNVIIFLYEYMAARRSASAQPPASSEGSAKISPSSPVKAAAAAADTNGNAVAADRPQEVAGNIEITEMAASTARDGVSGETTAAAKEVSSGEVAPVEEEEASAPAPSMKHVIWMAVKKLLQIPNTYASFLGLIWSLIAFKCGFSMPKIVEDSLFTIRTTAVGLSMFSSGTFIARQSRFVPCGYKIASFSMVIKFLIGPVVMLFASLVIGMHGTLLHIAVVQAALPLAVTSFVYAEEYKVHADIMSTGVILGIFISLPVTIVYYILLGL >ORUFI01G37530.1 pep chromosome:OR_W1943:1:31297071:31298156:-1 gene:ORUFI01G37530 transcript:ORUFI01G37530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKEGGGGAGVEGGMSDSVIRKVLVSYMYVAVWIFLSFTVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVALVRLLRVVELPSSPAMTPQLYTSSVLPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKENFKSSAMLNMLSISFGVAIAAYGEARFDARGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLAFLVIPWAFVELPRLRAVGTFQPDFFIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVGYYNHVKLQALKAKEAQKKAAQADEEAGSLLQERDSHGERKSDNQA >ORUFI01G37540.1 pep chromosome:OR_W1943:1:31301348:31301659:1 gene:ORUFI01G37540 transcript:ORUFI01G37540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVAAVIICHHGGVGEEASEEEVPGFRVIDGVVESYDVETGRFCVMYEYGDSEEVEFGEVVRMLVGGRRLGGDDASVALTLGRRANKRQRRNEEGVMGRWWR >ORUFI01G37550.1 pep chromosome:OR_W1943:1:31312094:31314439:1 gene:ORUFI01G37550 transcript:ORUFI01G37550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYRVAGLVAALLVLHSLATPSAQAEAHRAGGEGEEKMSSDGGPVLGGVEPVGNENDLHLVDLARFAVTEHNKKANSLLEFEKLVSVKQQVVAGTLYYFTIEVKEGDAKKLYEAKVWEKPWMDFKELQEFKPVDASANA >ORUFI01G37550.2 pep chromosome:OR_W1943:1:31312094:31313330:1 gene:ORUFI01G37550 transcript:ORUFI01G37550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYRVAGLVAALLVLHSLATPSAQAEAHRAGGEGEEKMSSDGGPVLGGVEPVGNENDLHLVDLARFAVTEHNKKANSLLEFEKLVSVKQQVVAGTLYYFTIEVKEGDAKKLYEAKVWEKPWMDFKELQEFKPVDASANA >ORUFI01G37560.1 pep chromosome:OR_W1943:1:31323309:31325093:-1 gene:ORUFI01G37560 transcript:ORUFI01G37560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPCGGFLEKAKPYFAMICLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATISIAPFALLLERKVRPKMTWSVFLQIFVLALLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKVDLKKVRCQAKVAGTLVTVAGAMMMTLYKGPLMQMAWTSHVQAPHGHGAEAPAAAAAVDPSGREWFLGSLFVIIATLAWASLFILQAHTLKKYSAPLSLTTLICFVGTLQAIVVTFAMEHRPSVWAIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAGMGSFILAEKIYLGGVLGAVLIVVGLYSVLWGKHKETQEQDAAMMELPVASKGNDAEFTAATVVGDDDDAADCKKANGVKKSSSSNEQGASAV >ORUFI01G37570.1 pep chromosome:OR_W1943:1:31338545:31340755:-1 gene:ORUFI01G37570 transcript:ORUFI01G37570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIVGSVSDAGAAPVQAHRRRRSSSAARCSSPSLASPPSSAAKRIGQASTTSHTTGHIGKDVAT >ORUFI01G37580.1 pep chromosome:OR_W1943:1:31347688:31348651:-1 gene:ORUFI01G37580 transcript:ORUFI01G37580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIQNMTYYNGKEIVEWNLDGFTEYQIFTLCHQMIMYANACIANGNKEREAANMIVIGFSGQLKGWWNNYLNETQRQEILCAVKRDDQGRPLPDRDGNGNPIGFHMEEKDEPIQEDDQVVGTIQKYMKQKWYTEVLSLINISVKPPTEFVGQMEDF >ORUFI01G37590.1 pep chromosome:OR_W1943:1:31349413:31350417:1 gene:ORUFI01G37590 transcript:ORUFI01G37590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKALGLTGGGVITANPANVEYTLKTNFGNYPKGELAVSMLVDFLGHGIFNSDGEQWQWQRKAASYEFNKRSLRNFVVDTVRSEVVERLLPLLERAERDGRTLDVQDVLERFAFDNICQVAFDEDPACLAEDSMASPQSAEFMRAFNDAQIAVRDRFMSPVKSLWRFKRLFNMEPERRMREALATIHGFAERIVRERRERGKAGLARSDDFLSRFAASGEHSDESLRDVVTNFLLAGRDTTSSALTWFFWVLSGRPDVEDKIVREIHAVRRASGSTSDATFSFDELRDMQYLHAAITESMRLYPPVAMDTHSCKEDDFLPDGTFVGKGWLVTY >ORUFI01G37600.1 pep chromosome:OR_W1943:1:31350427:31355206:1 gene:ORUFI01G37600 transcript:ORUFI01G37600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEDIWGADCEEFRPERWLDEVGAFRPESPFKYPVFHAGPRMCLGKEMADIQMKSIVASVLERFSLQYAGGEGHPGLVLSVTLRMKGDLPMQITCAITSRKPKPSH >ORUFI01G37610.1 pep chromosome:OR_W1943:1:31355223:31378032:1 gene:ORUFI01G37610 transcript:ORUFI01G37610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSSSTSLFLLLSILPLLYFLCQRHDPKKQPHAHGLKSYPVVGTLPHFAKNKDRFLQFITEIMKRSPTHTLSFKALGLTGGVITANPANVEYTLKTNFGNYPKGELAVSMLVDFLGHGIFNSDGEQWQWQRKAASYEFNKRSLRNFVVDTVRSEVVERLLPLLERAERDGRTLDVQDVLERFAFDNICHVAFDEDPACLAEDSMASPQSAKFMRAFSDAQNAVMDRFMSPVKSRWRFKRLFNMEPERQMREALATIHGFAERIVRERRERGEAGLARSDDFLSRFAASGDHSDESLRDVVTNFLIAGRDTTSTALTWFFWLLSGRPDVEDKIVREIHAVRRASGGTGDPTFNLDELRDMQYLHAAITESMRLYPPVAMDSHSCKEDDFLPDGTFVGKGWFVSYSAYAMARVEDIWGADCEEFRPERWLDEAGAFRPESPFKYPVFHAGPRMCLGKEMAYIQMKSIVASVLERFSLRYAGGEGHPGFVLWLTLRMKGGLPMQVTTRVTSLMPKAPLTHSLSRAMKFSSTSTPLFILLLPFLPLLYFLYLYQDTKKQPAGSNGLKSYPVVGTLPHFAKNRHRFLEWSTDVMKRSPTHTMTFKALGLTGGVITANVANVEHILKTNFSNYPKGELSVSLLEDLLGHGIFNSDGEQWLWQRKAASYEFNQRSLRSFVVDTVRFEVVERLLPLLEWARRDGRTLDVQDVLERFAFDNICHVVFHEDPACLAEDSMVSSQSAEFIRACSDAQNAIIARFMSPVKSLWRVKRLFNLDPERRMRDALTTIHGYADRIVRERRARGEAGLARSDDFLSRFAAGGEHSDESLRDVVTNFLIAGRDSTSSALTWFFWLVSSRPDVEDKIVHEIRAVRSASSSGGTSSATFSFDELRDMHYLHAAITESMRLYPPVHLDTHSCKEDDFLPDGTFVGKGWLVTYCAYAMGRVEDIWGADCEEFRPERWLDEAGAFRPDSPFKYPIFHAGPRMCLGKEMAYIQMKSIVACVLEQFSLRYAGGDGHPGFVLWSTLRMEGGLPMQHLRQSQLTSRKTKAPNHLTVAMELSPISASLLLILILLAFLPLLYFLYMHQDPKKKPRIHGLKSYPVVGTLPHIIKNKHRFLKWSTSIMKCSPTNTMSYKALGLTGGVITANPANVEHILKTNFDNYPKGKLTVSMLEDFLGHGIFNSDGEQWLWQRKAASYEFNKRSLRNFVVDTVRFEIVKRLLPLLEQAGLDGRTLDLQDVLERFAFDNICRVAFGEDPACLTKERMAAPQSAEFMRAFNDAQNAILARFNSPAKSLWRVKKLFNMEPERRMREALATIHGFAERIVRERRERGEAGLARGDDFLSRFAASGEHSDESLRDVVTNFVLAGRDTTSSALTWFFWIVSGRPDVEDRVVREIRAVRASSGSTDATFSFDELREMHYLHAAITESMRLYPPVAIDTHSCKEDDFLPDGTFVGKGWLVMYSAYAMGRMEGIWGADCEEYRPERWLDEAGAFRPESTFKYPVFNAGPRICIGKEMAYIQMKSIVACVLEKFSLRYASDANERPRSVLSLTLRMKWGLPMKVTIRNTCAARKSQAKNHLTVSMELSSISASLLLILPLLPLLYFLYLHQDPKKQPRAHGLKSYPVVGTLPHFIKHKNHILEWSAGVLKRSPTHTMSFKALGLTGGVFTANPANVEHMLKTNFGNYVKGEAIITMLEDFLGRGIFNSDGEKWLWQRKAASYEFSKRTLRNFVVDTVQFEVIERLLPLLERAGRDGRTLDVQSVLERFAFDNICRVVFDEDPACLAKDSVASPHIAEFMGACNDAQNAILARFNSPIKSLWRVKRLFNIESERRLREALATIHAYTDRIIRERRERGEARGDDFLSRFAAGDKHSDESLHDVITNLVLAGRETTASALTWFFWLVSGRPDVEDNIVREIRAVRRASSSNGVTSGAAFSPHELRDMHYLHAAITESMRLYPPVSLDTYVCKEEDFLPDGTFVGKGWQVTYCAYAMARVEDIWGTDCEEFRPERWLDEAGVFRPESSFKYPVFHGGPRMCLGKEIAYIQMKSIVSCVFDRFTLRYTGGEGHPGLVTSLALRMEGGLPMQSGPALRTEQGSGDAWPSANPAVHGLLRCTEGGSNARIPWRTRWRTENSMAASKPLSAFHPLPATAGFPNQFFGFPSPFLPPLVSPPTPVTTSTTVLCTELSMI >ORUFI01G37620.1 pep chromosome:OR_W1943:1:31385339:31387095:1 gene:ORUFI01G37620 transcript:ORUFI01G37620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSTSASLLLILLLTLVYFLYLHQDPKKKPRTHGLKSYPVVGTLPHFINNKDRFLEWSTGVMKRSPTHTMSFKELGLTGGVITANPANVEHILKANFGNYPKGELAVSLLEDFLGHGIFNSDGEQWLWQRKAASYEFNKRSLRNFVVDTVRFEVVERLLPLLEYAGRHGRTLDVQDVLERFAFDNICRVAFDEDPACLTEESMAAPQSAEFMRAFNDAQNAILDRFNSPAKSLWRIKKLFNMEPERRMRDSLATIHGYAERIVRERRERREARLERRDDFLSRFAASGEHSDESLRDVVTNFILAGRDTTLDELRDMQYLHAAITESMRLYPPVPFDTHSCKEEEFLPDGTFAGKGWLVTYCAYAMGRVEDIWGADCEEFRPERWLDEAGAFRPESTFKYPVFHAGPRMCLGKEMAYIQMKSIVACVLEQFSLRYAGDAKGHPGLVVALTLRMEGGLPMKVTIRE >ORUFI01G37630.1 pep chromosome:OR_W1943:1:31387991:31388329:1 gene:ORUFI01G37630 transcript:ORUFI01G37630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWKIAEADAGDGGGRAAGVGDGGGWAERRRRKRAAAVRWASETTVAGPRGGGGRERWASEMASAVQARGDGDRATDVGDRGGRASTPARLRAVVQWPQELATKAGSASI >ORUFI01G37640.1 pep chromosome:OR_W1943:1:31399252:31400804:1 gene:ORUFI01G37640 transcript:ORUFI01G37640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELSLTSSVPLLLLLLFPLLCFLCLRHGSTRKQPRADGLKAYPIVGILPHFVRNQHRLLEWSAGVVARCPTHTMSFNFKGFGLIAGAITGNPANVEHIVKTNFQNYSKGEYVVSVMEDFLGHGIFNSDGDQWLWQRKAASYEFNKRSLRNFVVDTVRSEVVDRLLPLLTRAERDGRTLDEQDVLERFAFDNVCCVAFDEDPACLTEEGMGTNARTEFLRAFNDAQNILMARFMSPVEWAWRAKRLLDLEPERRMREALATIHGYADRIVRERRERGAAGLARKDDFLSRFAATGKHSDESLRDPDVEDRIAREIRAVRASSGSTDAAAFSFDELREMHYLHAAITEAMRLYPPVAMDSHCCQNDDVLPDGTFVGKGWQVTYSAYAMARLEELWGADCEEFRPERWLDEDGVFRPESPFKYPVFHGGPRMCLGKEMAYIQMKSIAACVFERFSFRFVGGEGRPGLVFSVTLRMEGGLPMRVKKRRDSVC >ORUFI01G37650.1 pep chromosome:OR_W1943:1:31401416:31402928:-1 gene:ORUFI01G37650 transcript:ORUFI01G37650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAATPFLRSSFVSSSSTSSASASPAALSAAVSASLTFTSASSFAGSSLGIEFSYNRVTTGRSRILQIRAGKAALCMTKRNRSRKSLARTHGFRRRMRTTAGRKVLKRRRAKGRRVLCTKTNSPTGKKRMF >ORUFI01G37660.1 pep chromosome:OR_W1943:1:31403196:31412617:1 gene:ORUFI01G37660 transcript:ORUFI01G37660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVFSPLLRALRPSTSCSGRSSATHDNSAAAVVAAGGAAPRPAPLAAVVSHRRELLLGAALGAAFLKAPLPAEAREVEVGAVLPPAASNPGFVFFRATSKDTPALRAGNVQPYEFILPPTWKQTRVANILSGNYCQPKCAEPWVEVKFEDDKQGKVQVVASPLIRLTNRPNATIEDIGSPERLIASLGPFVTGNTFDSDELVDTSVEKIDGQTYYSYVLETPLALTGSHNLAKATAKGNTVVLFVASASDKQWQSSEKVLKTIVDSFKFHQEDTNSSRDSPIEISMASTAVSRHVVAVPYPGRGHINPMLAACRLLAAADGELTVTVVVTEEWHGLLASAGVPATLPPAGRVRLATIPNVIPSEHGRGADPAGFFEAVDAKMGVAVEQLLDRLERRPDAIVADTYLAWGVPAGAARGIPVCSLWTMAATFFWALYNIHLWPPVDDREGEQDLSRKSLEQYVPGCSSVRLSDVKIFRSWERSMKLTTEAFVNVRKAQCVLFTSFYELEPCAMDRITQAVPFPVYPVGPSISDMPLDGGAGKIDDEEHRAWLDAQPERSVLYVSFGSVVSMWPSQLEEVAVALRDSAVRFFWVARDSASAGDLRRIAGGNGLVVPWCDQLGVLCHRSVGGFLSHCGWNSLLEAVFAGVPLLALPVVWDQVVDARVVADEWRIGVNLSEQRREEDDGGGVVVGRDAIRAAAARLMDPDDGESREMRRRAALLREACRGAVQDGGSSRRSLNGFVKDLADGRLNFQ >ORUFI01G37670.1 pep chromosome:OR_W1943:1:31405981:31407010:-1 gene:ORUFI01G37670 transcript:ORUFI01G37670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALAAPIASISPSSAGRVTAAAAGLRSARMASSPVAQPIGEAVVLRPNQMFRSVLRQRRRLVVRSSSSDETNSTTEEKTPFGYTRKDVLLIGVGVTLLGYGLKYGLEVTSLLLGFDFIIKYTSLTNMYAFPFTSLPQLNTAGNAVQLIIVLGITVGWISTYMFRVANKDMTYAQQLRDYEKQVMEKRLESLTEAELQVLLEQVEEEKQRLTPVRDQGVTFTRKTEDQANAS >ORUFI01G37670.2 pep chromosome:OR_W1943:1:31405981:31407010:-1 gene:ORUFI01G37670 transcript:ORUFI01G37670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALAAPIASISPSSAGRVTAAAAGLRSARMASSPVAQPIGIDCSFFLFLPSPLGLLHGCHLSNLCCCSCKGEAVVLRPNQMFRSVLRQRRRLVVRSSSSDETNSTTEEKTPFGYTRKDVLLIGVGVTLLGYGLKYGLEVTSLLLGFDFIIKYTSLTNMYAFPFTSLPQLNTAGNAVQLIIVLGITVGWISTYMFRVANKDMTYAQQLRDYEKQVMEKRLESLTEAELQVLLEQVEEEKQRLTPVRDQGVTFTRKTEDQANAS >ORUFI01G37680.1 pep chromosome:OR_W1943:1:31413613:31416485:1 gene:ORUFI01G37680 transcript:ORUFI01G37680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSAAAAGDEPCRCHVVAVPFPGRGHVNAMMNLSRLLAARGAATVTFVVTEEWLGLLSSSSAPPGVRLRAIPNVIPSENGRAADHAGFLDAVGARMEAPFERLLDRLRLEEEEETAAPVAAFVADFYVPWVVDVGNRRGVPVCSLFPMAAVFFSAYYHFDSLPSWLAKPPHQPVAGATTDNPDQRLEHYISSLASSSIMLSDLKPLIHSERTVEYILACISSIRKAQCLLFTTIYELEASVIDSLESLVTCPVYPIGPCIPYMTLENEHTKSNGEAPGRIDYFAWLDCQPENSVLYVSLGSFVSVSSSQLDEIALGLATSEVRFLWILREQSTRVRELVGNTNKGMILPWCDQLKVLCHPSVGGFLTHCGMNSTLEAVFAGVPMLTLPLFFDQPINGRLIVEEWKIGVNLRDSTDKDRLIRREEIARAVKRLMASEEAEMKAIRRHALEWKEISHRAVDKGVSSHCNLASLMEMICPSR >ORUFI01G37690.1 pep chromosome:OR_W1943:1:31417126:31419514:-1 gene:ORUFI01G37690 transcript:ORUFI01G37690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSQNVAAAPQPPQNRGNVAALGKQKAVVAGRPDAKNRRALGEIGNVMNVRLPEGKPLQQAPAGRTANFGAQLLKNAQANAAANKQNAVAPAAVARPAQRQARKAPVKPAPPPPEHVIEISSDSDQSMRQQSEGSASSVRKCSRKKVINTLTSVLTARSKVACGITDKPREVIEDIDKLDGDNELAVVDYIEDIYKFYKVAENECRPCDYIDTQVEINSKMRAILADWIIEVHHKFELMPETLYLSMYVIDRYLSMQQVQRRELQLVGVSAMLIACKYEEIWAPEVNDFILISDSAYTREQILAMEKGILNKLQWNLTVPTAYVFIMRYLKAGASADNKSDKEMEHMAFFFAELALMQYGLVASLPSKVAASAVYAARLTLKKSPLWTDTLKHHTGFTESQLLDSAKLLVTSHSTAPESKLRVVYKKYSSEQLGGVALRSPAVELCK >ORUFI01G37700.1 pep chromosome:OR_W1943:1:31421162:31426471:-1 gene:ORUFI01G37700 transcript:ORUFI01G37700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVIHDSGEGMQKEALAMVSSDVNFPKGHFPDYKIGPNNQIIDPEEIHEAVPLKEIVAKETAQLLEQRRRLSVRDLKEKFEKGLSGASKLSEEAKRREAASLDRQVLLKKLRDVLDTLKGRVAGRNRDDADEAISLVEALAVQLTQREGELIYEKAEVKKLASFLKQATEDARKVAEEERALALAEIEKARTAIAIVEKGLQEHDAASSSREKEEIEGLKKEVREARRIKMLHQPSKVMDMEFELKALRTLIAEKNQLCNQLMKELAMIKRLEEDSSDLYDLEGSDILGSQFCIIPRFDDAPDISSCPTQWYRVISGGNRNLILGATKPTYAPEPFDVGRLLQAEIVLNAEKVTIQTMGPINPAAGLEHYVESLMKRADVEFNVVVTQMNGNDYSSNSVHAFHIGKMRIKLRKGWSTKARESYSTTMKLCGSRGGGNAAATAVFWQARKGLSYTLAFETDRDRNAAIMLARKFASNCNITLTGPGDEVHAGS >ORUFI01G37710.1 pep chromosome:OR_W1943:1:31430735:31433020:-1 gene:ORUFI01G37710 transcript:ORUFI01G37710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLVTEEVMVGRLVRIRRTVMQMLRDRGYLVVEHELAMGRRDFLRKYGESFHREDLLINKYKKNDPSDQIYVFFPNDDKVGMKHIKKYVEMMKAENVSRAVLVLQQNLTPFARSFLQELEPKIHLEIFQEAELLINIKEHVLVPEHQVLNNEEKKTLLERYTLKETQLPRIQITDPIARYYGLRRGQVVKIIRPSETAGRYVTYRYVV >ORUFI01G37720.1 pep chromosome:OR_W1943:1:31434686:31438497:1 gene:ORUFI01G37720 transcript:ORUFI01G37720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCDEHGIDPTGRYTGNSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEQQEADDM >ORUFI01G37730.1 pep chromosome:OR_W1943:1:31442580:31446074:-1 gene:ORUFI01G37730 transcript:ORUFI01G37730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLPGFHNAPVSRAVVVAAALFSVAFGFRGRFLDLGLSYEASLPAPTRQLMRLPLPSLPMQNVYGKLRIWKLITSLFAFSSTPELIFGAALLYYFRVFERQIGSNKYSVFIVFSTAVSVLLQILSLAYLKGPSLNPLTSGPYGLIFASYIPFFFDIPITTKFRIFGLRLSDKSFIYLAGLQLLFSSGWRSIIPGLSGILAGLLYRLNIFGIRRLKLPDSMTSLLSRFSCPFLNNSYQRLPVTENDGNIPSNQTRQTEASIYTGVHPTTEDPMESSIATLVSMGFDRGSAIRALALTNYDVNLASNILLEAQSM >ORUFI01G37740.1 pep chromosome:OR_W1943:1:31448316:31451544:-1 gene:ORUFI01G37740 transcript:ORUFI01G37740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKRWLWLAPRKQKRAVASASDDATRGEAEEGGRGRQESFGCKAAVATSSPLSPLSGAAPYPATSPSLARAVHARSAVVRCTTTTTSTTTARTGTASHRARNAPLRHHQQQQQDYKRLLVRGRGRGSASSSLLLISTLPAFTLMLVAPAATHKHCKLVRELGLVALLLVRELLHHSASAACAWGGEGGGSSNKERERERGVRIMPSKAKPEPALAAREEGEEGTEAELNAAALPLLDLPELALERVLEELEPPSLAAMACVCVALRDRCSADTLWGRHVNRKWGRVLGAAARKEWEAELAARRSSGALPRPARRRSLADSLACAWPFSWITCRWLKGNAVAAEPAAATPSPLPSPATDTVAAWYRAVECGEFSFPAQVYNREDGHVGFVLSCYDAHLRYDRRTDTFTARYPPHGRKPAKEESGVQWSRVRSPPVSTPAHDLHASGCLGDLRPGDHFEIQWRKNKDFPYGWWYGVVGHQETCNANEHLCRCHEDDMVVLEFKHYAAGSRWRQTTVSRKDHREKGDETDGFYGGIRKLQTSDEISTWRKFWPVDVLN >ORUFI01G37750.1 pep chromosome:OR_W1943:1:31459887:31470310:1 gene:ORUFI01G37750 transcript:ORUFI01G37750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALVTANADEGGSGGGRGRGRQRLRAAAVVDGVVDADEGGGGRGHGRRRQRRSRRTQTRAASRQQRSWHMISEIYLFLQLYVQMSTLRLSVAYRECLLPVMPSMFRPD >ORUFI01G37750.2 pep chromosome:OR_W1943:1:31459887:31469101:1 gene:ORUFI01G37750 transcript:ORUFI01G37750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALVTANADEGGSGGGRGRGRQRLRAAAVVDGVVDADEGGGGRGHGRRRQRRSRRTQTRAASRQQRSWHMISEIYLFLQLYVQMSTLRLSVAYRECLLPSGRAATSMLRYNATPKASPPMSPLRPPPTPRTPLGGAATTPDQLPPPSPHTPRPAITLTAPPSKKKRRGGAAARSLRAIRAVRALFRSLPILAPACRFHGAIRAPGGASRAHDGHVSGASRTTGTLFGYRKARVTLAVQETPGSVPILLLELAMQTGRFMQEMGAEHLRVALECEKKPPGAGAGIGRTRLLDEPLWTAYVNGRKIGYAMRREPTEGDLTVMQLLRTVSVGAGVLPTDVMGGDAGAEVQDAGDLAYMRARFDRVVGSRDSESFYMLNPDGNNGPELSIFFIRI >ORUFI01G37760.1 pep chromosome:OR_W1943:1:31512819:31523610:1 gene:ORUFI01G37760 transcript:ORUFI01G37760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKEAESKEDDDVEILAGREPQLAEELNIIEEEYDNLMWAYNKKLANWEKSNRMCLIYVKGAISPEVIEGIIDSNDIKTYLANIEESFEFAHETHANTLPLVPEFFTR >ORUFI01G37770.1 pep chromosome:OR_W1943:1:31523569:31527214:-1 gene:ORUFI01G37770 transcript:ORUFI01G37770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNGSGFDSTRLDGVLALLDSKARAAAEDELRRQSREEQASHWAVSGMLSTAAHAWQPSVMETVTAALARRVLGDNTEATRTVGSDEILDEGSGVVDGFCPLSCICGERERGCSILDGVCEVVMTLVSTDYRVKNSGTRGCS >ORUFI01G37780.1 pep chromosome:OR_W1943:1:31531728:31546909:-1 gene:ORUFI01G37780 transcript:ORUFI01G37780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAILGAFMQTLFQKLSEATLDHFISWRGIHGKLESLSSTLSQLQAFLDDAEEKQLTDASVRGWLAKLKDIAYDLDDLLDSYSAKSMRMKQRQVIFPTKASFLSSSFLSRNLYQHRIKHKINIILERLDKIAQERDTIGLQMICEMRRYDTSERPQSSSLVDSSAVFGRERDREEMVRLVLSDNGHNSCNLCVIPVVGMGGLGKTTLMQMVYHDDRVREHFDLRIWIYVSESFDERKLTQETLEASDYDQSVASTNMNMLQETLSRVLRGKRYLLVLDDVWNEDLDKWHSYRAALISGGFGSKIVVTSRNENVGRIMGGIEPYKLQKLSDDDSWSVFKSHAFRDGDCSAHPELEAIGMEIVKKLKGLPLASKALGSLLFCKTDEEEWKDILQNDIWELPADKNNILPALRLSYNHLPPHLKQCFAFCSVYPKDYMFRREKLVKIWLALGFIRQSRKKRMEDTGNAYFNELLSRSFFQPYENNYVMHDAMHDLAKSISMEDCDHLDYGRRHDNAIKTRHLSFPCKDAKCMHFNPLYGFRKLRTLTIIHGYKSRMSQLPHGLFMKLEYLRVLDMHGQGLKELPESIGNLKQLRFLDLSSTEIETLPASLVKLYNLQILKLSDCNFLREVPQGITRLINLRHLEASTRLLSRIHGIGSLVCLQELEEFVVQKRSGHNVTELNNMDELQGQLSIRGLNNVPNGQDAVCAKLRNKEHLRTLHLIWDEDCESNPSEQKEVLEGLQPHLDLKELVIKGFPGVRFPSWLASSFLPKLQTIHICNCRSTRLPALGQLPFLKYLVIAGVTEVTQLSSEFTGFGQPKGFPALEDLLLEDMPNLSEWIFDVADQLFPQLTELGLIKCPQLKKLPPIPSTLRTLWISESGLESLPELQNNSCPSSPTSLYINDCPNLTSLRVGLLAYRPTALKSLTIAHCEGLVSLPEECFRPLISLRSLHIYECPCLVPWTALEGGLLPTSIEDIRLNSCTPLASVLLNGLSYLPHLRHFEIADCPDINNFPAEGLPHTLQFLEISCCDDLQCLPPGLHNISSLETLRISNCPGVESLPKEGLPMGLNELYIKGCPQIKQQCQEGGEYHAKIAHIRDIEIDGDVIGGAEEEGAKAMRAVEGCSSGLQPSAGARAAARVELRRLVARAPGGESSGGGGRGAERVLCFAVLLPLTLRLETGPHNAATHAHSQSKTARGVGFPWRRRRRPLHRPEDGPVVELGRAARLVRDGLFFSPCPIAALHRIAAWRSRGALPVAVDVAAAFVEIRLRESESLLLPGCIGLVHEIGVLSSDRNVVQCSCPAKLLQKLSLSTIGERCLIDAALLLFEMASNNVKEKLRKLLMLSLGKLARISILTESSKEIESADRATEKLEMFKSQLKQKDVCLAENGNGGSLNTILLEKRSRWSITKSWTPCAIGMVPCSFSSTAVLPTLDVIDHELKDDTLEQHVNFELDDHTERAGYHSHPEKQLDVECIPAISEREISDMSEVTFPLKAAEDGPCDGEMSPDIELDATARSSHGGRAPPLGGGNEAREGGSTGETEWERQGRFEVAAVFSALGWCSWAPRERATARMQRVVEAVDRVVEIEPRAREDWRGASGCGQGGGAASAALPFEQEAKELRQGARYPGREKAKGGGGGKGALLLPVWEERDESEPRLTVLDACGMGRRAREDDAGDDCKRTGTHVNFEPDDHTTRIHYYSQPEKHLLVESIPEILRASPECENSNMIEVTFPLKCKLLVVGVWNVMSEEELLLTKSNGGSNMESRENLPLFPRFSSTLRWV >ORUFI01G37790.1 pep chromosome:OR_W1943:1:31542403:31554539:1 gene:ORUFI01G37790 transcript:ORUFI01G37790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPCRLHGDILLLLLGAVAVAVAHPAANEFCAAVGGGSGGCGVGGGGGGDGRRILIRGGTVVNAHRVEEADVYVEDGVIVAVRPNIPVGDDHVKVIDATGKYVMPGGIDPHTHLEMEFMGTVTIDDFFSGHAAALAGGTTMHIDFVIPVNGNLTAGLESYKQKAEKSAMDYGFHMAITKWNDDVSREMETMVKEHGINSFKFFMAYKGSLMVTDDLLLQGLQKCKSLGALAMVHAENGDAVAEGQQRMIDLGITGPEGHALSRPPVLEGEATARAIRLAKFVNTPLYVVHVMSIDAMDEIAKAKREGQRVIGEPVVSGLVLDDSWLWDPDFMIASKYVMSPPIREAGHNKALQVALSSGILQLVGTDHCTFNSTQKAFGSDDFRKIPNGVNGIEERMHIIWDSMVETGRISVSDYVRVTSTECAKIFNIYPRKGAILEGSDADIIILNPERSFVMGAHTHHSRSNTNVYEGRKGKGMVEVTISRGRVVWEDGILNVVPGSGRYVRTPPYSYLFDGIEKSDAAYRASLRAPVKRGKAAA >ORUFI01G37800.1 pep chromosome:OR_W1943:1:31554054:31560091:-1 gene:ORUFI01G37800 transcript:ORUFI01G37800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRLGGAAASASAAAAADARGGMSGFAAPQHAIHTNLNNVQPTQVTDFGALAQSAGFRIEDLANLSTNGLFNLKSNAHTIINDPLQFENYVKSISPSNITTTATVTVVDPQTLVPQKGAQLNLVTIRTGNVENWGESTIADTSPRTDTSTDPDTDERNQMFEQGQLAAPTASDSSDRSKDKLDHKTLRRLAQNREAARKSRLRKKAYIQNLESSRLKLTQIEQELQRARQQGIFISTSSDQSHSASGNGALAFDMEYARWLEEHNKHINELRAAVNAHAGDNDLKSTVDSIMAHYNEIFKLKGVAAKADVFHVLSGMWKTPAERCFMWLGGFRSSELLKLLAGQLEPLTEQQLAGIANLQQSSQQAEDALSQGMEALQQSLAETLASGSLGPAGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >ORUFI01G37800.2 pep chromosome:OR_W1943:1:31554054:31560091:-1 gene:ORUFI01G37800 transcript:ORUFI01G37800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRLGGAAASASAAAAADARGGMSGFAAPQHAIHTNLNNVQPTQVTDFGALAQSAGFRIEDLANLSTNGLFNLKSNAHTIINDPLQFENYVKTLVPQKGAQLNLVTIRTGNVENWGESTIADTSPRTDTSTDPDTDERNQMFEQGQLAAPTASDSSDRSKDKLDHKTLRRLAQNREAARKSRLRKKAYIQNLESSRLKLTQIEQELQRARQQGIFISTSSDQSHSASGNGALAFDMEYARWLEEHNKHINELRAAVNAHAGDNDLKSTVDSIMAHYNEIFKLKGVAAKADVFHVLSGMWKTPAERCFMWLGGFRSSELLKLLAGQLEPLTEQQLAGIANLQQSSQQAEDALSQGMEALQQSLAETLASGSLGPAGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >ORUFI01G37810.1 pep chromosome:OR_W1943:1:31561261:31561784:1 gene:ORUFI01G37810 transcript:ORUFI01G37810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQACSLAAVAIPHRCHARPPSPPPLLRPSPTAAVAAPPPRRRRAHPPSSSPSLHGADHACRGAPSLTGCPAISSRNHLIPGRYHPIPRKYHAIYGRNRMIPDKYHMIHREYHAIRDRNRLIHDRFHMIPREYHAIRGRNHMIPDKYHMKRV >ORUFI01G37820.1 pep chromosome:OR_W1943:1:31572120:31575257:1 gene:ORUFI01G37820 transcript:ORUFI01G37820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGSRHFLPTHPLPMGLLEAGSEAGRPPASSDASTGNGSSSFKAGASPSSAPAQNKPPAPIGPVLGRPMEDVRSIYTIGKELGRGQFGVTSLCTHKATGQKFACKTIAKRKLSTKEDVEDVRREVQIMYHLAGQPNVVELKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVEIIHTCHSLGVIHRDLKPENFLLLSKDEDAPLKATDFGLSVFKDIVGSAYYIAPEVLKRSYGPEADIWSVGVILYILLCESEHGIFNSILRGQVDFTSDPWPRISASAKDLVRKMLNSDPKKRISAYEVLNHPWIKEDGEAPDTPLDNAVMNRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDSDNSGTITVDELRKGLSKQGTKLTEAEVQQLMEAADADGNGTIDYDEFITATMHMNRMDREEHLYTAFQYFDKDNSGCISKEELEQALREKGLLDGRDIKDIISEVDADNDGRIDYSEFAAMMRKGNPEANPKKRRDVVI >ORUFI01G37830.1 pep chromosome:OR_W1943:1:31591301:31591855:1 gene:ORUFI01G37830 transcript:ORUFI01G37830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQRQQSSRGNATATRGGGSSGKGGGGGVGKAAGKKPIKVVYISNPMRVKTSAAGFRALVQELTGRNADPSKYSPRASADDDDGGGGGGGGELAAASDGAGEPGPGAAAASPDTGAAAASDAADALVAAGHPAAATFDDEGGGGGGGYYDDDDDDIFRSQLLDTSYSVFSPPTLLYDHPHSKV >ORUFI01G37840.1 pep chromosome:OR_W1943:1:31601126:31601482:1 gene:ORUFI01G37840 transcript:ORUFI01G37840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGDELSAQPRRRPRGGSRRWSSRGRQPGRQQKAAPRRPGRRLKAEGVRCRPMVACSKRQSARSARSPGGDGDDSEAGARLDGIDRNDDDLDRNDDDLQMTTARWLRGWWRGRRQ >ORUFI01G37850.1 pep chromosome:OR_W1943:1:31610080:31610643:-1 gene:ORUFI01G37850 transcript:ORUFI01G37850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPHLSLFSFFFLFLSPCFSSRRQQRRRRSSPPRTVAATSPTASSGGDALPHRMRQRCPRLPRATPAAAPFPTAYGGGGGNFPWKREKGRPAIRRGKRRSPWEWGKGRPASQRGKRNDAHSILHIPSETYDRRRKRPPSRRAPSSGDFHLALALFQLFLKAARTHRSRGPSHTIPKNPPPAIKYSS >ORUFI01G37860.1 pep chromosome:OR_W1943:1:31612936:31617342:1 gene:ORUFI01G37860 transcript:ORUFI01G37860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCWWHWSTRHNTKAFFVREKLAAQSPRRDGVNRRSENDRGADSAKSTDRSPARHRAFQRTLPPPAAHGEGADRCGPSRQSTQTKPNPTHRASHPLHYGHSLFANAHATRLHAEQYKKSPYTTSASRAAAGGGGGGGVAAAATTLLPPSSCSSSSPRLSALPRARPDPGGMGAGALGVVAMVAAAVVVAMAGANSEGDALSALRRSLRDPGGVLQSWDPTLVNPCTWFHVTCDRDNRVTRLDLGNLNLSGHLVPELGKLDHLQYLINHQHWNRELYKNNIQGTIPSELGNLKNLISLDLYKNNISGTIPPTLGKLTSLVFLRLNGNRLTGPIPRELAGISSLKVVDVSSNDLCGTIPTSGPFEHIPLSNFEKNPRLEGPELQGLAVYDTNC >ORUFI01G37870.1 pep chromosome:OR_W1943:1:31619959:31624822:1 gene:ORUFI01G37870 transcript:ORUFI01G37870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVNTNNQEEEGCNPSSGNELEQLESDFCDEANNIELAKAFWYNKSELEQSAILSVEIEELEMTYYRGCIPPFELHQVPLLSDAISFIQDTKSWLYWVCPNCERMFLDSEGFLLHLENEHLPQLPRSEPIIPRRISDNDVRGLKTFSWLPGNMYMRGGVDDTNFEDTEIRKTIMQKIQEVVFKLIDLRILSADLTNKLTTFSRLRVGRRAYLLPGMLSIAFLGAEDLEMIYKLLHQLSLANTWELEQSPEFDEDGSDSFDAVTLVQDTNTLCLDVRKIISSTDGSIMEDDVFKWLFYTPLQEGMLLSWLSMKQKRLQRGVQIILQIKTFRDTLIDAYKSKLDDGKISEQEPPNCFLTETDYIDAKILRIDSEIEYMKKMLSEVCAFDYRPAIMPILKAYIRDKLRKASSCGVFDQDDRYAEYNKNLDSVNQFQMDQQAGRNQNFVDEGNSSRTKVEKARCFVSDHQASIIEQTGTCTISEEPSAQIDISCTYCGEIVEENSTIVEKEEEIVEENSTSVEKEEWEVVMEAMKRLVASLPPEMVQLPGTMSEDPASKIAFHIIRELSFRQAIKSVNGQRMDTKAVDTGPGALGIAKPASDEKVNAASDKKGNSGRPKRRMLKSLIWALLSSFASHLSMLLLSRYLFGGEEGKESKDCCHITREKKNREFKSFEKGLRMGAPELQSLSQHEEKKG >ORUFI01G37870.2 pep chromosome:OR_W1943:1:31619959:31624822:1 gene:ORUFI01G37870 transcript:ORUFI01G37870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVNTNNQEEEGCNPSSGNELEQLESDFCDEANNIELAKAFWYNKSELEQSAILSVEIEELEMTYYRGCIPPFELHQVPLLSDAISFIQDTKSWLYWVCPNCERMFLDSEGFLLHLENEHLPQLPRSEPIIPRRISDNDVRGLKTFSWLPGNMYMRGGVDDTNFEDTEIRKTIMQKIQEVVFKLIDLRILSADLTNKLTTFSRLRVGRRAYLLPGMLSIAFLGAEDLEMIYKLLHQLSLANTWELEQSPEFDEDGSDSFDAVTLVQDTNTLCLDVRKIISSTDGSIMEDDVFKWLFYTPLQEGMLLSWLSMKQKRLQRGVQIILQIKTFRDTLIDAYKSKLDDGKISEQEPPNCFLTETDYIDAKILRIDSEIEYMKKMLSEVCAFDYRPAIMPILKAYIRDKLRKASSCGVFDQDDRYAEYNKMDQQAGRNQNFVDEGNSSRTKVEKARCFVSDHQASIIEQTGTCTISEEPSAQIDISCTYCGEIVEENSTIVEKEEEIVEENSTSVEKEEWEVVMEAMKRLVASLPPEMVQLPGTMSEDPASKIAFHIIRELSFRQAIKSVNGQRMDTKAVDTGPGALGIAKPASDEKVNAASDKKGNSGRPKRRMLKSLIWALLSSFASHLSMLLLSRYLFGGEEGKESKDCCHITREKKNREFKSFEKGLRMGAPELQSLSQHEEKKG >ORUFI01G37880.1 pep chromosome:OR_W1943:1:31631548:31634353:1 gene:ORUFI01G37880 transcript:ORUFI01G37880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCRRGGDYERIEEDKDDEYEAAMFEEEDMGPEALEARGDRLVASARRRRRRSALSIDCDKHMYVADDFREAALSYAPPERDGIRMATHALLESARCYKKIPDRGEKEAASAALALEKATELSMGRRKLESAATCCRLLAELYEEQKEWSKAMIHFQDAAYSYGGCASEESVFYARHCMLKAREIAQIIADAKHN >ORUFI01G37890.1 pep chromosome:OR_W1943:1:31635025:31635204:1 gene:ORUFI01G37890 transcript:ORUFI01G37890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPFTFLFLSLRPAILSAAVVSSSTVAGVPADRGGDDPGSGRRRSMTARRLYSSTRV >ORUFI01G37900.1 pep chromosome:OR_W1943:1:31635938:31638129:1 gene:ORUFI01G37900 transcript:ORUFI01G37900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPAEAKGDRLMAQARRELTGFWSCLLPASATYSYAAGFFEEAAKAYRLAKNWRKAALAHHEYGTYCIKMGRDCRLAAAVVLWESAECHMRDFDPDDEQTARAIESDLKRSVRMLVLENQPQLAASACEELARMYVARRRGSGTSEPRVSLME >ORUFI01G37910.1 pep chromosome:OR_W1943:1:31640455:31645361:1 gene:ORUFI01G37910 transcript:ORUFI01G37910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRRFAGACRRRGLAGIAPGAPREAADAVVVGAGVVGLAVARALAMAGREVVVVEAAPSFGTGTSSRNSEVIHAGIYYPPGSLKASLCVRGREMLYKYCAEREIPHKQLGKLIVATGVAETAKLDMLLKNAKENGVDDLQMMEGSEAMEMEPELRCLKALLSPRTGIVDSHSFMLSLLADAENLGTAISYNTTVTNGYIGDEGLELHISESKALENHSVGSPVSPQLILFPKLLINSAGLSAAPLAKRFHGLNQVFVPPAYYARGCYFTLSQTKSPFSHLIYPLPEDGGIGVHVTLDLNGVVRFGPDVEWIDGGKDVTSCFLSRFDYSVNPTRCSKFYPVIRKYFPNLKDDSLEPSYSGIRPKLSGPGQPPSDFVIQGEDIHGVPGLVNLFGIESPGLTSSLAIAEYIVSRYLR >ORUFI01G37920.1 pep chromosome:OR_W1943:1:31644216:31651122:-1 gene:ORUFI01G37920 transcript:ORUFI01G37920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAMAFQALALGPLPLPLPAARRRRRVRVLAVAADHTPPPPPSPSSPPEPANSPSRLLRELAERKKAVSPKKKHPPRRFILKPPLDDERLTQRFLSSPQLSLKALPLLSSCLPSAPLSAADRTWMDEYLLEAKQALGYPLAPSETLGDGDDDGCPARHFDVLLYLAFQHLDTSCERTRTRHVRSGHSRLWFLGQYVLELAFCEFFLQRYPRESPGPMRERVFALIGKRAIPKWIKAASLHNLVFPYDDLDKMIRKDREPPAKAVFWALFGAIYLCFGMPEVYRVLFEAFGMDPEDESCQPKLRRQLEDVDYVSVEFEKRQLTWQDVAAYRPPPDALFAHPRLFRACVPPGMHRFRGNIWDFDNRPKVMNTLGYPLPMNDRIPEITEARNIELGLGLQLCFLHPSKHKFEHPRFCLERLEYVGQKIQDLVMAERLLMKHLDAPGRWLAEKHRRLLMNKYCGRYLRDKHLHHYIIYGESVQDRFEHNRRLRNPSTTAVQQAIHGLAYCVYGKPDVRRLMFEVFDFEQIQAQTVLSLIPEVEKQVNTPSLVMELIGNRFGCNEASNSNMGRQAQFIRGGRRGGGLRGSGRERKMSSLRNAIQRRAHKERAQPESRKKFGLLEKHKDYIVRAKAFHQKEETIRKLKEKASFRNPDEFYFKMINSKTVDGIHRPKPEANKYTEDELMLLKTKDMGYILQGIQSEKKKIEKLSSMLHELDNKRPNKHVYFAEDREEVKEIQSRIEQKSSSLGLDNIPSRIKRKTASSYRELEERKQRVQKLEKLYADMALQKELKKPGRKRKLREDEIENQTSRPVYKWRAQRKR >ORUFI01G37930.1 pep chromosome:OR_W1943:1:31651343:31654879:1 gene:ORUFI01G37930 transcript:ORUFI01G37930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRAIALQIHTQTTPLAAAPAAEPSPSLPSSLVHFLKRPASFPFLLSLFVLLTWISLRFHHPSPSASVLRAPVVHDPQANLVRYPAALYPTPIAADGRGWLLDPVAAARDAGLPGGALVCLSLHVGQIQPGGLRGNHRHHTCNETFVIWGAKTKFRLENADVNDRGYGEAMIAADEVAIVASARSTAHALINMDVRPTFFLGCQDTPINPNSSNTDYKIIKQIKMVVA >ORUFI01G37930.2 pep chromosome:OR_W1943:1:31654728:31658303:1 gene:ORUFI01G37930 transcript:ORUFI01G37930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLSEEQIVEFREAFSLFDKDGDGSITTKELGTVMRSLGQNPTEAELQDMISEVDADSNGNIEFKEFLGLMARKLRDKDSEEELKEAFRVFDKDQNGFISAAELRHVMANIGERLTDEEVGEMISEADVDGDGQINYEEFVKCMMAKKRRKRIEEKREHDGGSRTKSAGPSAAPASKRGQKCVIL >ORUFI01G37940.1 pep chromosome:OR_W1943:1:31660438:31698332:-1 gene:ORUFI01G37940 transcript:ORUFI01G37940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSYSCTAGTKLAWILSLLLILVAATQVHGVSPGGFLNIDCGLTNRSTYNDTDTTLTYVSDREFVEGGNGKSYDIMAQYIADATNEQEKTLRSFPDGQRNCYTLPTNSSKKYLIRATFTYGNYDGLNSSEKGSLFLFGLHIGVNFWATVNLTNWGSSDTMYKEVITVAPDKFISVCLINLGSGTPFVSTLDLRELDGAMFPFLNLSVSISHLARQRYGSVDDYITRYPTDPFDRFWEAALRYKFPFLNMTTNQDVTKLPGNDDFQVPMPILQKASTISSNFSEFNVSVIFPDNMKNIDNINNIDYRSLELLPIFHFADIGGNNQNRTFDIYNDGNLMFPNYIPPLFRAESTYQSGKFLRKRGLNFTLRKTPSSELQPLINAFEVYSLVHTDNLTTSPDDVDYMKEVKKYYSYTRNWNGDPCSPREYSWQGLACDYANGNKNPRITRINLSASGLIGGLHIAFMKMASLENFDSSCGIPPTPCTGLYPLEAVLERLEFAGKSAEQEDYSIYEEEAPLHIDIKRFTYAELKLITNNFQSIIGKGGFGTVYHGILENNDEVAVKVLVETSIAESKDFLPEVQTLSKVHHKNLVTLVGYCQNRKCLALVYDFMPRGNLQQLLRGGYDSSLNWEERLHIALDAAQGLEYLHESCSPSIVHRDVKTPNILLDKNLVAKISDFGLSRAFNAAHTHISTVVAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIVTGQPPVFMDPQTVHLPNWVRQKIDKGSIHDVVDKKLLDQYDATHLQTVIDLAMNCLENTSIDRPSMTEVVSVLKVLFTVAISSEKRSVTSTPQEKNVMDADIPRQFHLMISGATTTSYDNEGSSSQSGPTGGMSEISYISGRPRRPMLKQKDLMVISYSCSAGTKLTWILSLLLILVAATQVHGVSPPGFLNVDCGLTNRSTYNDTDTTLTYVSDREFVESGKSYDIMAQYMADATNEQEKTLRSFPDGQRNCYTLPTNSSKKYLIRATFTYGNYDGLNSSEKGSLFIFGLHIGVNFWTTVNLTKWDPSSTVWKEVITVAPDKSVSVCLINMGSGTPFISTLDLRPLQDTMYPFVNASTSVSYFSRIRFGSVDEYITRFPTDQYDRFWEGWVFTMHTFPWVNKSSNGKVAELPNIDTFGLPPAILGSASTINGNFSWLNISVSASNSLATDLELLPVFHFVELGNNGSKRIFDIYNVDEPQALFSNFSPPSFLSSMFHNWFLRKGRRAYFQLRKTPDSQLPPLINAYEVYSRVQVENFTTASSDVDSMKTIKEKYMVIKNWNGDPCSPREYIWNGLTCTYPNGGQNPRIVEINLSGSGLQGELEISFMKMSSLKKLDLSHNNLTGTIPDYQVNSLTVIDLSNNQLNGSIPDSILQRYKAGLLELRLEGNPICSKVRASYCGNKKNTRTRKSRKSEEEDYDMYEEETPLHIDIRRFTYAELKLITNNFQSIIGKGGFGTVYHGILENNDEVAVKVLVETSIAESKDFLPEVQTLSKVHHKNLVALVGYCQNKKCLALVYDFMPRGNLQQLLRGGYDSLNWEERLHIALDAAQGLEYLHESCTPSIVHRDVKTPNILLDKNLVAKISDFGLSRAFSRAFNAFNAAHTHISTVAAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIVTGQPPVFMDPQTVHLPNWVRQKIANGSVHDVVDKKLLDQYDATHLQTVIDLAMNCLENASIDRPSMTEVVSVLKRETIGNFNPSKEERHGCRDSKTVPVDDFWSFNNKLRGQLLSVWIYRWGIRNKPHFWAVHAQPPAVLGSFHLGDGKVNPEPHNRFLSIDCGYTDSAGYDDKNTMLPYVSDKGYIKGGKTFSILSQYMKEAANKQEETLRSFPDGQRNCYTLPTNRSKKYLIRATFTYGNYDGRNSSESGSPFLFGLHIGINFWTMVNLTKLPSSNTIWKELIMVAPGNSVSVCLINNELGTPFISTLDLRPLQDTMYPFVNVSVAVSYFSRQRYGQVNDVITRYPEDVYDRFWEGAFHTRSYPWINLNTTQEVKRLPGDEKFMVPNTILQKASTINITFSWLNITVRGANNLLGLGDLELLPVFHFAEIASNTTRLFDIYSDSEELFANFSPSPFQVDSMYQNGRFLPGVSSTFTLRKQPTSQPPLINAFEVYSLVRIATASDDGEQNSGLNSDIFVYTLYSRAKWIEPFVNCDLAGKSKEHDDYDMYEEDTPLHTDTRRFTYTELKTITNNFQSIIGKGGFGMVYHGILDNGEEVAVKVLRETSITLSKDFLPEVQILSKVQHKNLVTFLGYCHNKKCLALVYDFMARGNLQEVLRGGLEYLHESCTPPIVHRDVKTANILLDKNLVAMISDFGLSRSYTPAHTHISTVAAGTVGYLDPEYHATFHLTVKADVYSFGIVLLEIITGQPSVLVDSEPVHLPNWVRQKIAEGSIHDAVDSRLRHQYDATSIQSVIDLAMSCVENTSTDRPSMTDIVIKLKECLPAGTGEMQLVSRSYKQKEAMDADIARQFQLLISGVSIESIEGNSTPANQRVVDRRSRRWPPSLSSPSPDTARSGRTQTRAAQRSPPGGLPWCPTHARVIEGGGRKERCPTGLPAACSGSGEAGESNKGVDGYCLGFTNIDCGFVDGESYTDSTTNLTYVPDHEFVEGGTHHEVVPKLISGSTDEQEKTLRSFPDGQRNCYTIPSTSGKKYLIRTTFTYGNYDGLRSSENGSLFLFGLHIGVNFWTTVNLTKQDSSDTIWKEVLTVAPDEFIYVCLVNFGSGTPFISALELRQLDDPMYPFLNLFVSVSYFTRMRFGAVDDFITRYPTDLFDRFWEAAQCYSYPWLNLTTNQTVNKLPGNDNFQVPTLIVQKASTINSGFSWLNISITAGDNLNGQSLELLPIFHFAEIEKNRPNRTFQIYSDGNELHQAFSPSYLQVDSVYLRDRYLHESGTTFTLCKTNSSELPPLINAFEAYSLVRMENLTTDTINVSSMKQVKTQYNVQRRSWNGDPCSPKEYTWEGVKCNYYDGKQNPRIILVNLSASRLSGWINPSFRNMSLEILNLSYNQLIGSVPDYLFKRYKAGLLELRLEGNPMCSNISESYCAMQADKAKKNTATLLIAVIVPVVAITLMLFLWMLCCKGKPKEHDDYDMYEEENPLHSDTRRFTYTELRTITNNFQSIIGNGGFGTVYHGILGNGEEVAVKVLRETSRALSKDFLPEVQTLSKVHHKNLVTFLGYCLNKKCLALVYDFMSRGNLQEVLRGGLEYLHESCTPAIVHRDVKTANILLDENLVAMISDFGLSRSYTPAHTHISTIAAGTVGYLDPEYHATFQLTVKADVYSFGIVLLEIITGQPSVLVDPEPVHLPNWVRQKIARGSIHDAVDSRLMHQYDATSVQSVIDLAMNCVGNVSIDRPSMTEIVIKLKECLLAGTGKKQLVSGSYKQKDAMDAGIARQFQLLISGVPIDGDGCACATHREEMINHCNGKMPAFRSSAPQPPPAIRPTIHDPRAAMPPRSGARPAVVGLGELAAKQADRRSKQGSAAASMAGGRLGGFWEERECGRAASRPGDACGPSSERLRAGTRTRPTSHGPTPDLPPNFFRF >ORUFI01G37940.2 pep chromosome:OR_W1943:1:31660438:31698332:-1 gene:ORUFI01G37940 transcript:ORUFI01G37940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSYSCTAGTKLAWILSLLLILVAATQVHGVSPGGFLNIDCGLTNRSTYNDTDTTLTYVSDREFVEGGNGKSYDIMAQYIADATNEQEKTLRSFPDGQRNCYTLPTNSSKKYLIRATFTYGNYDGLNSSEKGSLFLFGLHIGVNFWATVNLTNWGSSDTMYKEVITVAPDKFISVCLINLGSGTPFVSTLDLRELDGAMFPFLNLSVSISHLARQRYGSVDDYITRYPTDPFDRFWEAALRYKFPFLNMTTNQDVTKLPGNDDFQVPMPILQKASTISSNFSEFNVSVIFPDNMKNIDNINNIDYRSLELLPIFHFADIGGNNQNRTFDIYNDGNLMFPNYIPPLFRAESTYQSGKFLRKRGLNFTLRKTPSSELQPLINAFEVYSLVHTDNLTTSPDDVDYMKEVKKYYSYTRNWNGDPCSPREYSWQGLACDYANGNKNPRITRINLSASGLIGGLHIAFMKMASLENFDSSCGIPPTPCTGLYPLEAVLERLEFAGKSAEQEDYSIYEEEAPLHIDIKRFTYAELKLITNNFQSIIGKGGFGTVYHGILENNDEVAVKVLVETSIAESKDFLPEVQTLSKVHHKNLVTLVGYCQNRKCLALVYDFMPRGNLQQLLRGGYDSSLNWEERLHIALDAAQGLEYLHESCSPSIVHRDVKTPNILLDKNLVAKISDFGLSRAFNAAHTHISTVVAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIVTGQPPVFMDPQTVHLPNWVRQKIDKGSIHDVVDKKLLDQYDATHLQTVIDLAMNCLENTSIDRPSMTEVVSVLKVLFTVAISSEKRSVTSTPQEKNVMDADIPRQFHLMISGATTTSYDNEGSSSQSGPTGGMSEISYISGRPRRPMLKQKDLMVISYSCSAGTKLTWILSLLLILVAATQVHGVSPPGFLNVDCGLTNRSTYNDTDTTLTYVSDREFVESGKSYDIMAQYMADATNEQEKTKKYLIRATFTYGNYDGRNSSESGSPFLFGLHIGINFWTMVNLTKLPSSNTIWKELIMVAPGNSVSVCLINNELGTPFISTLDLRPLQDTMYPFVNVSVAVSYFSRQRYGQVNDVITRYPEDVYDRFWEGAFHTRSYPWINLNTTQEVKRLPGDEKFMVPNTILQKASTINITFSWLNITVRGANNLLGLGDLELLPVFHFAEIASNTTRLFDIYSDSEELFANFSPSPFQVDSMYQNGRFLPGVSSTFTLRKQPTSQPPLINAFEVYSLVRIATASDDGEQNSGLNSDIFVYTLYSRAKWIEPFVNCDLAGKSKEHDDYDMYEEDTPLHTDTRRFTYTELKTITNNFQSIIGKGGFGMVYHGILDNGEEVAVKVLRETSITLSKDFLPEVQILSKVQHKNLVTFLGYCHNKKCLALVYDFMARGNLQEVLRGGLEYLHESCTPPIVHRDVKTANILLDKNLVAMISDFGLSRSYTPAHTHISTVAAGTVGYLDPEYHATFHLTVKADVYSFGIVLLEIITGQPSVLVDSEPVHLPNWVRQKIAEGSIHDAVDSRLRHQYDATSIQSVIDLAMSCVENTSTDRPSMTDIVIKLKECLPAGTGEMQLVSRSYKQKEAMDADIARQFQLLISGVSIESIEGNSTPANQRVVDRRSRRWPPSLSSPSPDTARSGRTQTRAAQRSPPGGLPWCPTHARVIEGGGRKERCPTGLPAACSGSGEAGESNKGVDGYCLGFTNIDCGFVDGESYTDSTTNLTYVPDHEFVEGGTHHEVVPKLISGSTDEQEKTLRSFPDGQRNCYTIPSTSGKKYLIRTTFTYGNYDGLRSSENGSLFLFGLHIGVNFWTTVNLTKQDSSDTIWKEVLTVAPDEFIYVCLVNFGSGTPFISALELRQLDDPMYPFLNLFVSVSYFTRMRFGAVDDFITRYPTDLFDRFWEAAQCYSYPWLNLTTNQTVNKLPGNDNFQVPTLIVQKASTINSGFSWLNISITAGDNLNGQSLELLPIFHFAEIEKNRPNRTFQIYSDGNELHQAFSPSYLQVDSVYLRDRYLHESGTTFTLCKTNSSELPPLINAFEAYSLVRMENLTTDTINVSSMKQVKTQYNVQRRSWNGDPCSPKEYTWEGVKCNYYDGKQNPRIILVNLSASRLSGWINPSFRNMSLEILNLSYNQLIGSVPDYLFKRYKAGLLELRLEGNPMCSNISESYCAMQADKAKKNTATLLIAVIVPVVAITLMLFLWMLCCKGKPKEHDDYDMYEEENPLHSDTRRFTYTELRTITNNFQSIIGNGGFGTVYHGILGNGEEVAVKVLRETSRALSKDFLPEVQTLSKVHHKNLVTFLGYCLNKKCLALVYDFMSRGNLQEVLRGGLEYLHESCTPAIVHRDVKTANILLDENLVAMISDFGLSRSYTPAHTHISTIAAGTVGYLDPEYHATFQLTVKADVYSFGIVLLEIITGQPSVLVDPEPVHLPNWVRQKIARGSIHDAVDSRLMHQYDATSVQSVIDLAMNCVGNVSIDRPSMTEIVIKLKECLLAGTGKKQLVSGSYKQKDAMDAGIARQFQLLISGVPIDGDGCACATHREEMINHCNGKMPAFRSSAPQPPPAIRPTIHDPRAAMPPRSGARPAVVGLGELAAKQADRRSKQGSAAASMAGGRLGGFWEERECGRAASRPGDACGPSSERLRAGTRTRPTSHGPTPDLPPNFFRF >ORUFI01G37950.1 pep chromosome:OR_W1943:1:31660558:31675079:1 gene:ORUFI01G37950 transcript:ORUFI01G37950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAAFNEFMISICVVVLYVRGLPGVQCRAAAAASSCRGGDGESASSGGGRNGRPRRGREHAAAAACVSHIDHPFWIFGCFSRY >ORUFI01G37950.2 pep chromosome:OR_W1943:1:31665804:31675079:1 gene:ORUFI01G37950 transcript:ORUFI01G37950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVPDPKFTRHTYMNSSGATVSTSFQIVSDESCFVKFTVVQKLTPMWSPNRNKEPFSDDLSPSICVVVLYVRGLPGVQCRAAAAASSCRGGDGESASSGGGRNGRPRRGREHAAAAACVSHIDHPFWIFGCFSRY >ORUFI01G37950.3 pep chromosome:OR_W1943:1:31670821:31675409:1 gene:ORUFI01G37950 transcript:ORUFI01G37950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERWPVGSCLTDDNRRGRLEAVAIRTSEYTSNALMSGGCDVGCLRNVKVELTPGKNRPFWYMLSTWKGDGEKLANSSSLSLQTDTELPGATMISSFQIVFDEGNFVRFTIVQKLMPIWSPKRNDSKLVFLLRVTSNCVCEKKAVQPCDARLRVFFPLRAGGVVVSGFLECNILLPELFHRIA >ORUFI01G37960.1 pep chromosome:OR_W1943:1:31690829:31694582:1 gene:ORUFI01G37960 transcript:ORUFI01G37960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELRTPGSDTSAVAVAAAAGGTCVGTAAEASLSSLSGIGAEWIGDIRVSSLRKHSLQRIQTSTRSRRDTTGTITAMSKIGVLFLFAQYLSLTFEHMGLPSSLICHYTSY >ORUFI01G37970.1 pep chromosome:OR_W1943:1:31703085:31707731:1 gene:ORUFI01G37970 transcript:ORUFI01G37970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMMLEGSNRRIHSVHWHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEPISVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKAFELELSWICDESNRQHQKVPADLLEQAKVAAQAALEEMDAD >ORUFI01G37970.2 pep chromosome:OR_W1943:1:31703907:31707731:1 gene:ORUFI01G37970 transcript:ORUFI01G37970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEGSNRRIHSVHWHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEPISVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKAFELELSWICDESNRQHQKVPADLLEQAKVAAQAALEEMDAD >ORUFI01G37980.1 pep chromosome:OR_W1943:1:31708520:31708918:1 gene:ORUFI01G37980 transcript:ORUFI01G37980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSYTPTARLRLLVLFLASLSLLFAQTLASSSAAASAEAEVSDPCAAPVSDGGSEAQLCPVRCFRPDPVCGADGVTYWCGCPEAACAGARVARRGYCEVGAGSAPVSGQALLLVHIVWLFVLGAAVLLGFL >ORUFI01G37990.1 pep chromosome:OR_W1943:1:31712609:31719730:1 gene:ORUFI01G37990 transcript:ORUFI01G37990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRESNFMPTPDQDVLEVKPLRTLAPMFPAPLGIDVLNRLTAPPLVFVAPAGQFPGGFGSLNIPAVRSFAAFGGQDASGGKTAGGGDQDASGGKTAAGGDQDAGRGETAAFGGQETVRGEFVANGTPNVGASATGPIDATPISACKSTQPSVISLDDDDNDDDEPYGGNQTSASGRKIKRPSHLKGYNVSDGLGTDSSNGTKKRPKTSNRKAATDNEISLMPPSSDPREVVEVLLMTFEALRRRHLQLDETQETSKRADLKAGAIMLASNLRANIGKRIGAVPGVEVGDIFYFRMELCIIGLHAPSMGGIDYMNKFGDEDDSVAICIVAAGVYENDDDDTDTLVYSGSGGISRNSEEKQDQKLERGNLALERSLSRKNVIRVVRGYKDPACLTGKVYIYDGLYKIHESWKERTKTGINCFKYKLQREPGQPDAVAIWKMCQRWVENPAARGKVLHPDLSSGAENLPVCLINDVNSEKGPGHFNYITQVKYLKPLRSMKPFQGCRCTSVCLPGDTSCDCAQHNGGDLPYSSSGLLVCRKLMVYECGESCRCSINCRNRVAQKGVRIHLEVFRTTNRGWGLRSWDPIRAGSFICEYVGEVVDDTKVNLDGEDDYLFRTVCPGEKTLKWNYGPELIGEHSINISADTFEPLPIKISAMKMGNVARFMNHSCNPNTFWQPVQFDHGEDGYPHIMFFALKHIPPMTELTYDYGDIGCESRGVGSRAKNCLCGSSNCRGFFS >ORUFI01G37990.2 pep chromosome:OR_W1943:1:31713352:31716768:1 gene:ORUFI01G37990 transcript:ORUFI01G37990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRESNFMPTPDQDVLEVKPLRTLAPMFPAPLGIDVLNRLTAPPLVFVAPAGQFPGGFGSLNIPAVRSFAAFGGQDASGGKTAGGGDQDASGGKTAAGGDQDAGRGETAAFGGQETVRGEFVANGTPNVGASATGPIDATPISACKSTQPSVISLDDDDNDDDEPYGGNQTSASGRKIKRPSHLKGYNVSDGLGTDSSNGTKKRPKTSNRKAATDNEISLMPPSSDPREVVEVLLMTFEALRRRHLQLDETQETSKRADLKAGAIMLASNLRANIGKRIGAVPGVEVGDIFYFRMELCIIGLHAPSMGGIDYMNKFGDEDDSVAICIVAAGVYENDDDDTDTLVYSGSGGISRNSEEKQDQKLERGNLALERSLSRKNVIRVVRGYKDPACLTGKVYIYDGLYKIHESWKERTKTGINCFKYKLQREPGQPDAVAIWKMCQRWVENPAARGKVLHPDLSSGAENLPVCLINDVNSEKGPGHFNYITQVKYLKPLRSMKPFQGCRCTSVCLPGDTSCDCAQHNGGDLPYSSSGLLVCRKLMVYECGESCRCSINCRNRVAQKGVRIHLEVFRTTNRGWGLRSWDPIRAGSFICEYVGEVVDDTKVNLDGEDDYLFRTVCPGEKTLKWNYGPELIGEHSINISADTFEPLPIKISAMKMGNVARFMNHSCNPNTFWQPVQFDHGEDGYPHIMFFALKHIPPMTELTYDYGDIGCESRGEVDYVQDFRSMEKLVTSNG >ORUFI01G38000.1 pep chromosome:OR_W1943:1:31717324:31721851:-1 gene:ORUFI01G38000 transcript:ORUFI01G38000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQLPSSSLARARAASPRGLLAAAALLLLLLLLAASYSLLLSPSSPGGLASPSSGPGSAADTAFLASLDRFLASPRRSAPPAAAPGDLDAAIRAEEEERLYGGGAWPAAPAPLRVYVYEMPSRFTYDLLRLFRDSYRETSNLTSNGSPVHRLVEQHSIDYWLWADLIAPESQRLLKNVIRVRRQEEADIFYIPFFTTISYFLLEKQECKALYREALKWVTDQPAWQRSEGRDHVIPVHHPWSFKSVRRFVKKAIWLLPDMDSTGNWYKPGQVYLEKDVILPYVPNVDLCDSKCVSETQSRRSTLLFFRGRLRRNAGGKIRSKLVTELKDAEGIIIEEGTAGADGKAAAQNGMRKSLFCLNPAGDTPSSARLFDAIVSGCIPVIVSDELELPFEGILDYRKIALFVSSNDAVQPGWLVKYLRSIDAKRIRQMQSNLLKYSRHFLYSSPARPLGPEDLTWRMIAGKLVNIKLHIRRSQRVVRESRSICSVPNPVMIETLYSDCMTPNVKEQDVL >ORUFI01G38010.1 pep chromosome:OR_W1943:1:31722857:31724793:-1 gene:ORUFI01G38010 transcript:ORUFI01G38010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSESAWPQQSQQLQISSTMPAGSAWPEEENLEQPLPLLMPSSEDHREQQLVPVPWLQQDQDQEWHEQEQFLLLPWLHQDQEWHEQEQFLPLKNQNQEQLQDQQPLQDQEETRRYLGVPGIRFVPSDIELILDFLRPKLRGEQLPSYSYMHVCDVYSDHPKELTSKLGPSREGNWYMFSPRNRKYNKGKRPSRSTGQLGFWKSTTKNEAVLDALSDNMLIGYKACLTYHEYDESMPTPKLKKENAIKTPWKMWEFVCSNSNRPFDAEEEPMRLNDWVLCKVTNKDNKVTTKKFKPQRSKKPKKPKKLQQEEQPQNQGIVIRQPSESGSASSSHQEIPGSSLPGAGGDAAAAAATAAAVVDPMPLHMIPPSSWNYFSTGVTADGIVMDDSTGVDSYGCVDGAGALNFQRNIFYHR >ORUFI01G38020.1 pep chromosome:OR_W1943:1:31740292:31744792:1 gene:ORUFI01G38020 transcript:ORUFI01G38020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVKSESDCDMIHQEQMDSPVADDGSSGGSPHRGGGPPLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNTGLFRCGKSCRLRVCNQSSNEDQQCSSDFDCGENLSNDLLNANGLYLPDFTCDNFIANSEALPYAPHLSAVSISNLLGQSFASKSCSFMDQVNQTGMLKQSDGVLPGLSDTINGVISSVDQFSNDSEKLKQAVGFDYLHEANSTSKIIAPFGGALNGSHAFLNGNFSASRPTSGPLKMELPSLQDTESDPNSWLKYTVAPALQPTELVDPYLQSPAATPSVKSECASPRNSGLLEELIHEAQTLRSGKNQQTSVISSSSSVGTPCNTTVLSPEFDMCQEYWEEQHPGPFLNDCAPFSGNSFTESTPPVSAASPDIFQLSKVSPAQSTSMGSGEQVMGPKYEPGDTSPHPENFRPDALFSGNTADPSVFNNAIAMLLGNDLSIDCRPVLGDGIMFNSSSWSNMPHACEMSEFK >ORUFI01G38020.2 pep chromosome:OR_W1943:1:31740292:31744792:1 gene:ORUFI01G38020 transcript:ORUFI01G38020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVKSESDCDMIHQEQMDSPVADDGSSGGSPHRGGGPPLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNTGLFRCGKSCRLRVCNQSSNEDQQCSSDFDCGENLSNDLLNANGLYLPDFTCDNFIANSEALPYAPHLSAVSISNLLGQSFASKSCSFMDQVNQTGMLKQSDGVLPGLSDTINGVISSVDQFSNDSEKLKQAVGFDYLHEANSTSKIIAPFGGALNGSHAFLNGNFSASRPTSGPLKMELPSLQDTESDPNSWLKYTVAPALQPTELVDPYLQSPAATPSVKSECASPRNSGLLEELIHEAQTLRSGKNQQTSVISSSSSVGTPCNTTVLSPEFDMCQEYWEEQHPGPFLNDCAPFSGNSFTESTPPVSAASPDIFQLSKVSPAQSTSMGSGEQVMGPKYEPGDTSPHPENFRPDALFSGNTADPSVFNNAIAMLLGNDLSIDCRPVLGDGIMFNSSSWSNMPHACEMSEFK >ORUFI01G38030.1 pep chromosome:OR_W1943:1:31746737:31753083:1 gene:ORUFI01G38030 transcript:ORUFI01G38030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDTKQVARCLKKVLKSSIKDGYRCVSEHPILLTLGVLLYLLYRSSPGLFAFLLSSSPVIICTTLLLGILLSYGETNLPEADEDNKITPEISSLKVGNPSSDFHFEASQRLPVPELRENTTGFKERETKQTVFIRERASEHIELEDNVPLLRRVEHEYDRFDRHEIPAALTPFPSMVNFHQGSRVGNDLSSNQDINSKGSLSIKDKADGHTSFFEGVRSGLDEKEAPFGIFSTSKNVNGRGELEENLNQETVFTDSTASRVRDISEEKPTEGEAGTSKSACAISTHQSKTLDELRINTSKGFEDNLLDSSLGSPWARVGSEDGVGSDDGSSGFDSDQAESSSPDASMTDIAPILDEIDPLLGASSTHPDTIPKDDSDTDSHVSSQDHQTDDDSNDETDNNDAKENGEEKNKEQGKEAAFIWTADDEKNLMDLGYSEMERNRRLELLMARRRSRKNIRFEIDNDLIGIDNNDGGRGVDDLSRFRVQVPHISVPRRNPFDLPYDSEEAAIPGSAPSVLHTRKNPFDLPLDQSNDGDVSADNNVNPGELVKASHRDMFFRRHDSFNIGRTDATLERFSRFKPYFVPETVEGSLSNFQRQFSDKSESKLSSVTESDLASSVADQEDHKDLDEKDLPNEHGSPALQRQDSDLADVGSECSDGINSVDVELDNSDIDDREIALQHFVFERSQEREAYLSSTKGKGPEDDYLLSSVGNSKTLHPVADLFSWEDGNGESSLGVNSSHNMSVEFSDWVSSPKPIAEHDSGPENLQEFLNTEVASSSKTIVLGARNPAENNGNVDSISYSNNEMPSDNLGHGSMEFPSEFCNESLPVISRDLHPIPEERVVENFNVQEKHEAVIFTDSDAALTGFHVIEEHFEVGCDVSPSSEVVPSCLQASDSIQSRLVENKEVSNPFISIASETNKVDMIDLKEETAAGYPLDSDDDADKIYPEPMEDNVIDESFLSELDAVGDFRVEATRSDQQMPDVDSHIDNNTSNGVAESSLISPQISSNIFSNMKYASMLEHEENSPLVDDLNGTGPEFGWSLGASYDDPEQTVYNPRRRILGASRFEETNTEMKPLFDETEASFVNAPIEANLVVGPSKVDVANESELTKTDTNMIVLDANSLEDIETAFKQASNGVVESTVDNETPQVSGVDIDPESIESSEQLDVIDAKSVDDIYAALKEHTTAAMNSSFEENEDKHGCGDTVKFTMHDELPEGTHIEGNTVGDGKEPEPMGITSSMDVIDAKSIDDIYAALKKQSSAAANSSFEQNEGKNGCGDTVTFTTHDELPEGTHIEDRDNTVEDGKEPEPIGTTSSMDTIEVKTIDDIDAVFKKLSDGGTKSAAQAVDCENTCEASDESEQH >ORUFI01G38040.1 pep chromosome:OR_W1943:1:31757810:31758523:1 gene:ORUFI01G38040 transcript:ORUFI01G38040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDKVFRVPPPENARLFQHYTRRARRRARCSCARVCSWLLLALVLLAAALAASAAVVYLVFKPRQPDYTLLSLAVSGLGGILGNASSTAAPAPVSFSPEFDATVRADNPNGKIGVHYEGGGSHVAVSYGGVRLADGAWPAFYQGPRNVTVLVATAKGLGIRFSERLLGDIAAAGRLRSVPFDVDVKVPVRLQVGGVRTWAVPVRVRCAVVVDRLAADAKVVSKSCRVKARFLFWKD >ORUFI01G38050.1 pep chromosome:OR_W1943:1:31762941:31766318:1 gene:ORUFI01G38050 transcript:ORUFI01G38050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRARIHADPAPEFDQFDCLPDPVVLLILNKLEDVRSLGRCAAVSKRFSGLVPLVSDVYVKIDRVVATDGDADDALNLSSAKPKNIFSHFFKLMLFTIVKPFHSMRNLNGTGRPLFPLAQHSPVHVLRNFSDVWNLRVELPSGDVGTEEGVLLKWRAEYGSTLRNCVILGGTLVDRKPIGAEHESSVEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIIKDHPTLRSLVLADADGQGTLYMGMEQLREFRENKLSASACSNRTQVPACNMKLKYAPYLELPGGMTLQGATLVVIKPSNDGGSGGHSSRKETEAFVSSAFDEPFRFAVKALMKRRTYLLEMNGF >ORUFI01G38060.1 pep chromosome:OR_W1943:1:31768670:31769535:1 gene:ORUFI01G38060 transcript:ORUFI01G38060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEINDLHALLAKLTVHPTSDVDLSFLNLLGADTTLRTLLVHSLDPEFDYIHLKLAFLPYGELPLVAIEGPNAVVVLQDWTNAHQALKDNDGWFKSQGMHLRVVSAKHLDTAHSVVLKELKKPTGQQEARDVTNQLELFNELKSTLFSMSLPVKKWLIKELLAGMPHDVVK >ORUFI01G38070.1 pep chromosome:OR_W1943:1:31777666:31779177:1 gene:ORUFI01G38070 transcript:ORUFI01G38070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLQFRIRRRGYEPITRRGGEEEEEAAPAYEPAMFEEEGLEPDELEARGDRLMARGHRRASSWWFTSFKHDTAFAIAEDFRMASLSYVLAKNWRKAAAAFGNEAIQRLKRRSPPAELVAAVALLASARCYRKIQDNAGQRGEVAAIKLALQKAVSLFTKNNDMQSAATCCKELAEFHEEQRELHAAVHCFLQAKDYYGSGPNPNEQGVRYCRAIGSLVSCRIRLLEGAAARANPV >ORUFI01G38080.1 pep chromosome:OR_W1943:1:31779569:31780845:-1 gene:ORUFI01G38080 transcript:ORUFI01G38080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSSSSRRRAAGSQPSSSSWVKALDVENDEEAAARADADADADAHALEAKGDKLMSQARRELHGVWSYVSRPFIVAARARFYFHKAAETFVLANSWRKAAAAHHEHAVCCMKIGRSGRLRAAFALFEAGKCYMKVLEPDDEEMTSRTVSDLEKSLRMFVLENELVMAAEVCVELANLYAMLKQWEKVREYRQKAAEFHAKTSDAIVRHFHCLVLPLLMYDTVNFLFNV >ORUFI01G38090.1 pep chromosome:OR_W1943:1:31782184:31785339:-1 gene:ORUFI01G38090 transcript:ORUFI01G38090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKKTKKNAEGINNKLQLVMKSGKYTLGYKTVLKTLRNSKGKLVIVANNCPPLRKSEIEYYAMLGKVSVYHFNGNNVDLGTACGKYYRVCCLSVVDPGDSDITKQLPESH >ORUFI01G38100.1 pep chromosome:OR_W1943:1:31790677:31791723:-1 gene:ORUFI01G38100 transcript:ORUFI01G38100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAFTAAKFLPATAPTHLDSSPRLSPPRAGSLSFSPLSSSSSALLLRLRSPSPSGPSGPGGRLPPPPRSYGGGGGSGDAADSGGSSGGILGIFLAGWAARVAADPQFPFKVLMEELVGVSACVLGDMASRPNFGLNELDFVFSTLVVGSILNFVLMYLLAPTAGASAAASAAASGLPSHMFEAGAYSLGSRVATLLSKGATFAAVGFAAGLAGTAISNGLISLRKRMDPAFETPNKAPPTLLNAATWAIHMGVSSNLRYQTLNGVEYLLANAAPPSVFKVSVVALRCINNVLGGMSFVLLARLTGSQKSDAPAASATAEEEKERLIAVGNDIAADAVGAGRDGEGK >ORUFI01G38110.1 pep chromosome:OR_W1943:1:31792247:31796250:-1 gene:ORUFI01G38110 transcript:ORUFI01G38110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVSQRLGEEVGEGMSRASASRPPPQPPPPASGSGGRLAVLASHLSQSSRTAGEKEAALAAGPSDGPTIFDKILRKEIPSQVVYEDEKTLAFRDISPQAPVHIIIIPKVKDGLSRLSKAEERHVEVMGHLLYAAKTIAKQENLDDGFRIVINDGPNGYNT >ORUFI01G38110.2 pep chromosome:OR_W1943:1:31792789:31796250:-1 gene:ORUFI01G38110 transcript:ORUFI01G38110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVSQRLGEEVGEGMSRASASRPPPQPPPPASGSGGRLAVLASHLSQSSRTAGEKEAALAAGPSDGPTIFDKILRKEIPSQVVYEDEKTLAFRDISPQAPVHIIIIPKVKDGLSRLSKAEERHVEVMGHLLYAAKTIAKQENLDDGFRIVINDGPNGCQSVYHLHIHLLGGRQMNWPPG >ORUFI01G38120.1 pep chromosome:OR_W1943:1:31797660:31800251:-1 gene:ORUFI01G38120 transcript:ORUFI01G38120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQTMASKAGGGGVARRGGGRMRSLGRQGSMYSLTLDEVQSQLGEPLHSMNLDELLRSVFPDGLAIADGAGAGAGATTSSQQHQPGSGLLRQGSITMPPELSKNTVDEVWKGIQAAPKRNAETGGGGGGGRRRRERQPTLGEVTLEDFLVKAGVVTQGSLKELSDVGNVDPVGRGVTATGTVDLAPGSHWIEQYKQQIASTDAHHHGQQGVQGAYFPNRLVPQPLNVGPGAILEPSYSDGQTSSGMIGGMSDSQTPGRKRGMSGDVADKLMERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENVRLKRQKELDELICAVPVPEPKYQLRRTSSADF >ORUFI01G38130.1 pep chromosome:OR_W1943:1:31808936:31811710:1 gene:ORUFI01G38130 transcript:ORUFI01G38130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMRKKKKAFAVAAATTLLSPPWSSSSSSSTASSCIVPPRTESGKKKSKHRKRAKDGTGGDDDDAAVAAAPRKGSSIYKGVARHRGSGKYEAHLWDKQGWNPNQTRKRGRQGAYDTEEAAARTYDLAALKIWGSDHVLNFPIDTYRKELERMQRMTREEYLATLRRKSSGFSRGVSKYRGVAKHHHNGRWEARIGRAVGKKYLYLGTFDTQEEAATAYDLAAIQLRGRSAVTNFDASCYTYTDHLPPPPPPQPPSVCKTEPELEPPQPAAPPGSESLLRPKMEPCDDWEPPAICPSLRDADDADHAIAEILPALCMDRADFEARYPARRAREAAADGWSMSSDDVAAASVDDDVLRSLPDDVGFVDDVESLFLDAPGPAAAAAAAAAMPDDVERAVQRAPSAASRRANAAAVSYAISSLASGRWWY >ORUFI01G38140.1 pep chromosome:OR_W1943:1:31814453:31817680:1 gene:ORUFI01G38140 transcript:ORUFI01G38140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNELQNWKKDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >ORUFI01G38150.1 pep chromosome:OR_W1943:1:31818384:31821200:-1 gene:ORUFI01G38150 transcript:ORUFI01G38150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAADWLPAADVTAAGRPVLTAGEVERHLVAQGDVEPEESPRLGPLRARLLVLTSHRLIFLHEPSRSARALPLAAVVHAYPPHRKHGHNPLRSIFSSSSSSSSSHHPRVRLQISLPPSRSEVVAVVVSKGEADVFYGRLLEAVRARAWEVVAAVAAAPAGGSSAAQGAPAEEDLAIRMPVVGVSGILRMEQEAWESAGQNLQDAFQDLNALMSKAKEMMELAEKMRQKLLTNSSAQSNSNDEEMGSKQDMQDWLLSVGIVSPVTKETAGALYHQQLSRQLADYIRTPLEKAGGMMALVDVYCLYNRARGTELISPEDLLQACSLWEKFDVPVMLRKFDSGVKVIQTKTHSDDEVFARISSLAQKEDALQKGISPSDAAFTLGIAPALAKEHLLNAESIGILCRDVSPDGLRFYINLFNEIDPQNMYMPKTHGVYHTWISVTAASQ >ORUFI01G38160.1 pep chromosome:OR_W1943:1:31833666:31840845:-1 gene:ORUFI01G38160 transcript:ORUFI01G38160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAFFCALLFISVQHGVLGGYTRNDFPADFVFGAATSAYQYEGAAAEDGRGASIWDTFTHAGKIKDKSTGDVASDGYHKYKVFHLLVKAYPKYSHFYSKFNSCGRGAVNQQGLKYYNNIIDELTKRGIQVHVMLYHLDLPQALEDEYAGWLSPRIVEDFTAYADVCFREFGDRVSHWTILAEPNVAALGGYDTGEFAPGRCSDPFGVTKCTVGNSSVEPYVAAHNMILTHAAVVRLYREKYQTLQKGIVGINVLSLWSYPLTDSTADLQAAQRYKDFTYGWILHPLVFGDYPQVMKKAIGSRLPSFSKQAPTQSTGDPHGLQLMLQHLKESYGKASSNDSLDDTDRVDYIKGYIEGVLNATRNGVNARGYFAWSFVDMFELLSGYQTRYGLYRVDFDDAALPRRAKRSARWYRDFLKSKRQPLQIAQQ >ORUFI01G38160.2 pep chromosome:OR_W1943:1:31833666:31840845:-1 gene:ORUFI01G38160 transcript:ORUFI01G38160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAFFCALLFISVQHGVLGGYTRNDFPADFVFGAATSAYQYEGAAAEDGRGASIWDTFTHAGKIKDKSTGDVASDGYHKYKVFHLLVKAYPKYSHFYSKFNSCGRGAVNQQGLKYYNNIIDELTKRGIQVHVMLYHLDLPQALEDEYAGWLSPRIVEDFTAYADVCFREFGDRVSHWTILAEPNVAALGGYDTGEFAPGRCSDPFGVTKCTVGNSSVEPYVAAHNMILTHAAVVRLYREKYQTLQKGIVGINVLSLWSYPLTDSTADLQAAQRYKDFTYGWILHPLVFGDYPQVMKKAIGSRLPSFSKQAPTQSTGDPHGLQLMLQHLKESYGDLPIYVQENGKLKLDLLPVFSGKASSNDSLDDTDRVDYIKGYIEGVLNATRNGVNARGYFAWSFVDMFELLSGYQTRYGLYRVDFDDAALPRRAKRSARWYRDFLKSKRQPLQIAQQ >ORUFI01G38160.3 pep chromosome:OR_W1943:1:31823090:31833490:-1 gene:ORUFI01G38160 transcript:ORUFI01G38160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSKHNRRSNLLLILFFLLIIMGAAAAAGFFFVLLFLSVQGGAVGYTRSDFPRDFVFGAATSAYQYEGAAAEDGRSPTIWDTFAHEDGRGAVNQEGLKYYNNVIDELAKRGIQPHIMLCHLDLPQALEDEYDGWLSPRIVDDFTAYADVCFREFGDRVLHWTTLAEPNIAALGGYDTGVLSPGHCSDPFGLTECTVGNSTVEPYIAAHNMILTHAAVVRLYREKYQALQKGIVGINMFSLWSYPLTNSIADLQAAQRYKDFSYGCQVQTELMKGAIDFIGINHYYSAYVNYRPLVEVYKTDPPTEKYEPTEYPNDPKGLQLALEYLRESYGDFPFYIEENGKGSTNDSLDDPDRVDYIKGYIGGVLDAIRNGVDVRGYFVWSFVDVFELLEGYQSRFGLYRVDFDDGARPRRARRSARWYSDFLKGKKDPVLIAPQ >ORUFI01G38160.4 pep chromosome:OR_W1943:1:31823090:31840845:-1 gene:ORUFI01G38160 transcript:ORUFI01G38160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAFFCALLFISVQHGVLGGYTRNDFPADFVFGAATSAYQYEGAAAEDGRGASIWDTFTHAGGRGAVNQQGLKYYNNIIDELTKRGIQVHVMLYHLDLPQALEDEYAGWLSPRIVEDFTAYADVCFREFGDRVSHWTILAEPNVAALGGYDTGEFAPGRCSDPFGVTKCTVGNSSVEPYVAAHNMILTHAAVVRLYREKYQTLQKGIVGINVLSLWSYPLTDSTADLQAAQRYKDFTQVQTELMKGAIDFIGINHYYSAYVNYRPLVEGVRDYVADRSVSARVYKTDPPTEKYEPTEYPNDPKGLQLALEYLRESYGDFPFYIEENGKGSTNDSLDDPDRVDYIKGYIGGVLDAIRNGVDVRGYFVWSFVDVFELLEGYQSRFGLYRVDFDDGARPRRARRSARWYSDFLKGKKDPVLIAPQ >ORUFI01G38170.1 pep chromosome:OR_W1943:1:31842563:31848935:1 gene:ORUFI01G38170 transcript:ORUFI01G38170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMATFTTQEVRSLEQGGNQRARDIYLKDWDWQRMRLPDNSNPDRIREFIRAVYVDKKYAGGKSTDKPVNDSESVKSSENDTRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGSFLYSPGRLRDQMHEDRFANESTGSRFSDFSASSTGDIRNDVLSPSSQDTGYSSPSIHHSRNVSSENPPSHRHPNATSQIDFNGVRRSQRTGSSGSFGSFDGSSASNKSVDSGALPDAPTEKPVNSAVNRQSVAPPMAHSAQLYASQSNINSSVSQTAPTRESVQHGRVHMVSVAKPPVSTQPTASTNQDFFDQSMQHPVNSAAPIDLFAGFNQQAPSVPHRAVDVGSHSVPKETLHDVVVQKAVASSPPVQAEAPSTSHPSIDLFAGFDQQLPPTTSVQQSQQAAPLVADEGWAFFLDTPQHVSPTSISNVQAQVATAIAAFPPSESLAKGTNQSMLPTSPPNALIPQSYPLMMDQWSLNAEEVKTPVSKETSQPWNAFGASTGNTPNDSFTFNTISQVAPNHFNVPSVPHAEARGPQDLPSSEPERLTPGDITPGFNVSPGDMAGPSFRGPLQQQLDIVPSQPAKSTNPFDMAFESDVEASNMFMDLTSLQEMLPNPHVNTDYSNLTESWIPHNSNMPYISSGPQGGLSYMATQVQDSLMLNSAQGSFPPRNPFE >ORUFI01G38170.2 pep chromosome:OR_W1943:1:31842563:31848935:1 gene:ORUFI01G38170 transcript:ORUFI01G38170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMATFTTQEVRSLEQGGNQRARDIYLKDWDWQRMRLPDNSNPDRIREFIRAVYVDKKYAGGKSTDKPVNDSESVKSSENDTRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGSFLYSPGRLRDQMHEDRFANESTGSRFSDFSASSTGDIRNDVLSPSSQDTGYSSPSIHHSRNVSSENPPSHRHPNATSQIDFNGVRRSQRTGSSGSFGSFDGSSASNKSVDSGALPDAPTEKPVNSAVNRQSVAPPMAHSAQLYASQSNINSSVSQTAPTRESVQHGRVHMVSVAKPPVSTQPTASTNQDFFDQSMQHPVNSAAPIDLFAGFNQQAPSVPHRAVDVGSHSVPKETLHDVVVQKAVASSPPVQAEAPSTSHPVHHDLLSLSPLQEPSISSTPPSIDLFAGFDQQLPPTTSVQQSQPAEPSISSTPQSIDLFAGFDQQLPPTTSVQQSQQAAPLVADEGWAFFLDTPQHVSPTSISNVQAQVATAIAAFPPSESLAKGTNQSMLPTSPPNALIPQSYPLMMDQWSLNAEEVKTPVSKETSQPWNAFGASTGNTPNDSFTFNTISQVAPNHFNVPSVPHAEARGPQDLPSSEPERLTPGDITPGFNVSPGDMAGPSFRGPLQQQLDIVPSQPAKSTNPFDMAFESDVEASNMFMDLTSLQEMLPNPHVNTDYSNLTESWIPHNSNMPYISSGPQGGLSYMATQVQDSLMLNSAQGSFPPRNPFE >ORUFI01G38180.1 pep chromosome:OR_W1943:1:31850158:31854022:1 gene:ORUFI01G38180 transcript:ORUFI01G38180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFHPPRPNAGQPHRPRPGDPAPPPLPMHPGFNPPPVPNLAAANPMAAAAAANPFLAMQLFGQAQQLQSLGFLAAAALQQHHHQPQPQAPFFPGGGFPPNPNQFGAFPVQHAGFNGGGAFRPGGVGVGVAGPRTPRPMMGAPGNGYNSGGGSGHGTGAGAPRPMLNGGGNDRNSSAGKGGEVNHTKIKPDGIPRFTSENGERKNTTDQKARFNSGRDCRDSRQFGPSGGRGRGRSFNQGRGGGNNNWRDAKSNFRSSDSPSPASGQRRNDSPASGGHRKRPRIIYDANEVKQWLEARKKNYPTSVNINKKLSESRPVGEKKDEEAQMRRQELKEVLAKQKELGFELPELPPGYLSEHEDQGNGRRSNWKTQRRDCRFGNRADNKRSRYDRNDFQSKRPKVRNQTHCDDGAVLKSREPTLLQKLLSSDVKRDRHRLLHTFKFMVLNNFFNDYPDKPLEFPSVKVNQIELESNIAEEDLDDLMNSETAKDSILDLKENGDQKDSSSIDGESDLDDDNDDEDEEDDDDGNGQC >ORUFI01G38190.1 pep chromosome:OR_W1943:1:31856217:31858787:1 gene:ORUFI01G38190 transcript:ORUFI01G38190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVRLHTAVAAVAVAVVVAMGMAAAQMSPAGAPAPAGGISPACMDAVLNMSDCLTYVMNGSTARKPDEPCCPELAGLLESKPVCLCQLLAGGASSYDISVDYKRAMALPGICGLAAPPVTACALLGVPVPMAPSASPMAGLGPSTEPQMPEKSPSASPSESSNHAPGRFTALAAVVLAVATAGMV >ORUFI01G38200.1 pep chromosome:OR_W1943:1:31858912:31861573:1 gene:ORUFI01G38200 transcript:ORUFI01G38200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGIQNMSTPPTVRRDALDRCGHMCRCQLLLDHVRPAHAPARSRLGFVRLFVGTRSFDADAEAAASSALDSAGAPKRRPRLHHPVADAGRLTADGGNSTCSHAAEAAHRQDTHLSLA >ORUFI01G38210.1 pep chromosome:OR_W1943:1:31860210:31865359:-1 gene:ORUFI01G38210 transcript:ORUFI01G38210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSSAASDLLPGSPEVKGMRLSHFLAQTPNNTTTEPVRIFVATWNVGGKAPTAELNLDDFLPPDDHSDIYEIVPLNAGNVLVIEDNEPAARWLVLINQALNRPAETNANVFQNEPSPSVDSSVSRASSSLDTSFSDLAKTSSSSTIFQKSNLKSIRKSFMPVHRKRLKACNCPVEMAKSSYRDACFGCPKAYAYEIDSSEEDEREEKKGQSRDSNGSVRSEVISPPTARDELKYNLIACKQMVGIFVMVWVKKELVQHIGHLRTSCIGRGILGCLGNKGCISVSMTLHQTSFCFICSHLASGQKEGDEFRRNSDVLEILRLTLFSRICRRGVRKIPEKILEHDKVIWFGDLNYRIALSYADTKIFLMENNWDALFERDQLKIERDAGRVFKGWNEGKIFFAPTYKYSYNSDAYASETATSNKKRRTPAWCDRILWRGDGILQLSYYRGESRFSDHRPVCGTFIVEVEVLNRKAKMRPSNANMKIGAEELLPQGKNKGKGSSRSMNSPKIS >ORUFI01G38210.2 pep chromosome:OR_W1943:1:31860210:31865359:-1 gene:ORUFI01G38210 transcript:ORUFI01G38210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSSAASDLLPGSPEVKGMRLSHFLAQTPNNTTTEPVRIFVATWNVGGKAPTAELNLDDFLPPDDHSDIYVLGWEIVPLNAGNVLVIEDNEPAARWLVLINQALNRPAETNANVFQNEPSPSVDSSVSRASSSLDTSFSDLAKTSSSSTIFQKSNLKSIRKSFMPVHRKRLKACNCPVEMAKSSYRDACFGCPKAYAYEIDSSEEDEREEKKGQSRDSNGSVRSEVISPPTARDELKYNLIACKQMVGIFVMVWVKKELVQHIGHLRTSCIGRGILGCLGNKGCISVSMTLHQTSFCFICSHLASGQKEGDEFRRNSDVLEILRLTLFSRICRRGVRKIPEKILEHDKVIWFGDLNYRIALSYADTKIFLMENNWDALFERDQLKIERDAGRVFKGWNEGKIFFAPTYKYSYNSDAYASETATSNKKRRTPAWCDRILWRGDGILQLSYYRGESRFSDHRPVCGTFIVEVEVLNRKAKMRPSNANMKIGAEELLPQGKNKGKGSSRSMNSPKIS >ORUFI01G38210.3 pep chromosome:OR_W1943:1:31860210:31865359:-1 gene:ORUFI01G38210 transcript:ORUFI01G38210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSSAASDLLPGSPEVKGMRLSHFLAQTPNNTTTEPVRIFVATWNVGGKAPTAELNLDDFLPPDDHSDIYEIVPLNAGNVLVIEDNEPAARWLVLINQALNRPAETNANVFQNEPSPSVDSSVSRASSSLDTSFSDLAKTSSSSTIFQKSNLKSIRKSFMPVHRKRLKACNCPVEMAKSSYRDACFGCPKAYAYEIDSSEEDEREEKKGQSRDSNGSVRSEVISPPTARDELKYNLIACKQMVGIFVMVWVKKELVQHIGHLRTSCIGRGILGCLGNKKEGDEFRRNSDVLEILRLTLFSRICRRGVRKIPEKILEHDKVIWFGDLNYRIALSYADTKIFLMENNWDALFERDQLKIERDAGRVFKGWNEGKIFFAPTYKYSYNSDAYASETATSNKKRRTPAWCDRILWRGDGILQLSYYRGESRFSDHRPVCGTFIVEVEVLNRKAKMRPSNANMKIGAEELLPQGKNKGKGSSRSMNSPKIS >ORUFI01G38210.4 pep chromosome:OR_W1943:1:31860822:31865359:-1 gene:ORUFI01G38210 transcript:ORUFI01G38210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSSAASDLLPGSPEVKGMRLSHFLAQTPNNTTTEPVRIFVATWNVGGKAPTAELNLDDFLPPDDHSDIYEIVPLNAGNVLVIEDNEPAARWLVLINQALNRPAETNANVFQNEPSPSVDSSVSRASSSLDTSFSDLAKTSSSSTIFQKSNLKSIRKSFMPVHRKRLKACNCPVEMAKSSYRDACFGCPKAYAYEIDSSEEDEREEKKGQSRDSNGSVRSEVISPPTARDELKYNLIACKQMVGIFVMVWVKKELVQHIGHLRTSCIGRGILGCLGNKGCISVSMTLHQTSFCFICSHLASGQKEGDEFRRNSDVLEILRLTLFSRICRRGVRKIPEKILEHDKVIWFGDLNYRIALSYADTKIFLMENNWDALFERDQLKIERDAGRVFKGWNEGKIFFAPTYKYSYNSDAYASETATSNKKRRTPAWCDRILWRGDGILQLSYYRGESRFSDHRPVCGTFIVEVEVLNRKAKMRPSNANMKIGAEELLPQGKNKGKGTTLLAHMP >ORUFI01G38210.5 pep chromosome:OR_W1943:1:31860824:31865359:-1 gene:ORUFI01G38210 transcript:ORUFI01G38210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSSAASDLLPGSPEVKGMRLSHFLAQTPNNTTTEPVRIFVATWNVGGKAPTAELNLDDFLPPDDHSDIYEIVPLNAGNVLVIEDNEPAARWLVLINQALNRPAETNANVFQNEPSPSVDSSVSRASSSLDTSFSDLAKTSSSSTIFQKSNLKSIRKSFMPVHRKRLKACNCPVEMAKSSYRDACFGCPKAYAYEIDSSEEDEREEKKGQSRDSNGSVRSEVISPPTARDELKYNLIACKQMVGIFVMVWVKKELVQHIGHLRTSCIGRGILGCLGNKGCISVSMTLHQTSFCFICSHLASGQKEGDEFRRNSDVLEILRLTLFSRICRRDTKIFLMENNWDALFERDQLKIERDAGRVFKGWNEGKIFFAPTYKYSYNSDAYASETATSNKKRRTPAWCDRILWRGDGILQLSYYRGESRFSDHRPVCGTFIVEVEVLNRKAKMRPSNANMKIGAEELLPQGKNKGKGTTLLAHMP >ORUFI01G38210.6 pep chromosome:OR_W1943:1:31860824:31865359:-1 gene:ORUFI01G38210 transcript:ORUFI01G38210.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSSAASDLLPGSPEVKGMRLSHFLAQTPNNTTTEPVRIFVATWNVGGKAPTAELNLDDFLPPDDHSDIYEIVPLNAGNVLVIEDNEPAARWLVLINQALNRPAETNANVFQNEPSPSVDSSVSRASSSLDTSFSDLAKTSSSSTIFQKSNLKSIRKSFMPVHRKRLKACNCPVEMAKSSYRDACFGCPKAYAYEIDSSEEDEREEKKGQSRDSNGSVRSEVISPPTARDELKYNLIACKQMVGIFVMVWVKKELVQHIGHLRTSCIGRGILGCLGNKGCISVSMTLHQTSFCFICSHLASGQKEGDEFRRNSDVLEILRLTLFSRICRRGVRKIPEKILEHDKVIWFGDLNYRIALSYADTKIFLMENNWDALFERDQLKIERDAGRVFKGWNEGKIFFAPTYKYSYNSDAYASETATSNKKRRTPAWCDRILWRGDGILQLSYYRGESRFSDHRPVCGTFIVEVEVLNRKAKMRPSNANMKIGAEELLPQGKNKGKGTTLLAHMP >ORUFI01G38220.1 pep chromosome:OR_W1943:1:31866351:31869817:1 gene:ORUFI01G38220 transcript:ORUFI01G38220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQQLKPGEAASLPRHLLEAHVVALVRRCCPGLLALRAAHARLIRLRLPRLTAAFALSKLLASSSSSSSSCGAAPSYARNLFDQIPEPTAFCYNSLIRALSSAAGATPAADTVLVYRRMLRAGSPLPNSFTLAFALKACSVVPALGEGRQLHSQAFRRGLEPSPYVQTGLLNLYAKCEEVALARTVFDGMVGDKNLAAWSAMIGGYSRVGMVNEALGLFREMQAADVNPDEVTMVSVISACAKAGALDLGRWVHAFIDRKGITVDLELSTALIDMYAKCGLIERAKGVFDAMAERDTKAWSAMIVGLAIHGLVEVALKLFSRMLELKVRPNNVTFIGVLSACAHSGLVNEGRRYWSTMQELGIKPSMENYGCMVDLFCRSSLLDEAYSFVTGMAIPPNSVIWRTLLVASKNSNRFDIVQSASKKLLELEPCNPENYVLLSNLYASNSQWDRVSYMRKKMKENNVNVVAGCSSIEINGYLHKFVVSDDSHPEIKEIRLLLREIADRVVRAGHKPWTAAVLHDVGEEEKEVALCEHSERLAIAYGLLKTKAPHVIRVVKNLRFCPDCHEVAKIVSKSYDREIIVRDRVRFHRFVEGSCSCKDFWMVHGFPL >ORUFI01G38230.1 pep chromosome:OR_W1943:1:31870245:31872579:-1 gene:ORUFI01G38230 transcript:ORUFI01G38230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVLAAFASLRAAAAIASADSSPCSHLLKPPSSPAEGVSSSLCLCHSTSEKAGFEHSSCASSGDGNCEAGIDFGQDDLVVNEIGMAIAEVMHVCSDHDDDEGTDSGEDFDENEGLLSLESDSTDDVVDIDNELVISPTFSSCNASESSINKSDSGNSSINGTPPLVSAMKGSRAKLGIVTSLSVSWAPDVYDPPVTSGSHTVKGHQRSSRKSHYKYKPAKSSSSRSSSGSKKDRKHSRHSSSSSSSSNHKRDRKPSYRNTVGGVSVGSSSSSSRNTDASAAQYRDLYSSSGGNRIDIAVPQYSKLSPLVPSESATYRNVYNSTSGSRTDPTVPHCSKLSPLVTSESASLAGTVPVLKTLEPIKRSSSCCKEQPLSFLSRQFVAAKYKGMFSLWSHNQLAS >ORUFI01G38230.2 pep chromosome:OR_W1943:1:31870245:31871775:-1 gene:ORUFI01G38230 transcript:ORUFI01G38230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLVDSSAEGVSSSLCLCHSTSEKAGFEHSSCASSGDGNCEAGIDFGQDDLVVNEIGMAIAEVMHVCSDHDDDEGTDSGEDFDENEGLLSLESDSTDDVVDIDNELVISPTFSSCNASESSINKSDSGNSSINGTPPLVSAMKGSRAKLGIVTSLSVSWAPDVYDPPVTSGSHTVKGHQRSSRKSHYKYKPAKSSSSRSSSGSKKDRKHSRHSSSSSSSSNHKRDRKPSYRNTVGGVSVGSSSSSSRNTDASAAQYRDLYSSSGGNRIDIAVPQYSKLSPLVPSESATYRNVYNSTSGSRTDPTVPHCSKLSPLVTSESASLAGTVPVLKTLEPIKRSSSCCKEQPLSFLSRQFVAAKYKGMFSLWSHNQLAS >ORUFI01G38240.1 pep chromosome:OR_W1943:1:31873766:31876548:-1 gene:ORUFI01G38240 transcript:ORUFI01G38240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDLPDELWARVLELGAGAASSALGFRDLCALAIASRRLRRLSLHPSLWSALLSRDFPTQSSQPSSASSSSSQQQLHPKSVYKTKFERHKVRMAEARRRVVFEAEGRVLACRRRLTQLEESLQEEGEKMKAAAQELDNLERVRRASVALNVWQPQVVRGRQKQLVQQCTVPVDSRLSDVNMELKVCKQQIATYKNIYNKEKEKLNEYEEALKRAIYHPLQSSHTSSIANEPQAKRKKRNRQRPAVYTIAE >ORUFI01G38240.2 pep chromosome:OR_W1943:1:31873954:31876548:-1 gene:ORUFI01G38240 transcript:ORUFI01G38240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDLPDELWARVLELGAGAASSALGFRDLCALAIASRRLRRLSLHPSLWSALLSRDFPTQSSQPSSASSSSSQQQLHPKSVYKTKFERHKVRMAEARRRVVFEAEGRVLACRRRLTQLEESLQEEGEKMKAAAQELDNLERVRRASVALNVWQPQVVRGRQKQLVQQCTVPVDSRLSDVNMELKVCKQQIATYKNIYNKEKEKLNEYEEALKRAIYHPLQSSHTSSIANEPQAKRKKRKYACH >ORUFI01G38240.3 pep chromosome:OR_W1943:1:31873954:31876548:-1 gene:ORUFI01G38240 transcript:ORUFI01G38240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDLPDELWARVLELGAGAASSALGFRDLCALAIASRRLRRLSLHPSLWSALLSRDFPTQSSQPSSASSSSSQQQLHPKSVYKTKFERHKVRMAEARRRVVFEAEGRVLACRRRLTQLEESLQEEGEKMKAAAQELDNLERVRRASVALNVWQPQVVRGRQKQLVQQCTVPVDSRLSDVNMELKNKEKEKLNEYEEALKRAIYHPLQSSHTSSIANEPQAKRKKRKYACH >ORUFI01G38250.1 pep chromosome:OR_W1943:1:31877943:31881075:-1 gene:ORUFI01G38250 transcript:ORUFI01G38250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMASPPACPFLLSLSAGATAISTVSAAYGSVSAPRAPLFLLASPRPVPPRRPLAAGSSSPKAAAPAAVEIPEEYVDDVDAVNIAQDVTQLIGKTPMVYLNNVVDGCVASIAAKLEYMGPCRSVKDRIGLSMINDAEEKGLIFPNKTILVEPTTGNTGIGLASVAAARGYKLIATVPSSIDVERRVLLRAFGAEIVLTDPNKGLKGALDKAEEIVSKTPNAYMFQQFNNSANSEIHFQTTGPEIWEDTLGTVDILVASIGTGGTITGTGRYLKMMNKDIKVIGVEPAETSVISGDNAGYIPSILDVQLLDEVVKVTTTEAVDVARELALKEGLLVGISSGAATVAAINVAKRPENAGKLIAVIFPSFGERYISSILFRPIYDSVRRMRKR >ORUFI01G38250.2 pep chromosome:OR_W1943:1:31877943:31881075:-1 gene:ORUFI01G38250 transcript:ORUFI01G38250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMASPPACPFLLSLSAGATAISTVSAAYGSVSAPRAPLFLLASPRPVPPRRPLAAGSSSPKAAAPAAVEIPEEYVDDVDAVNIAQDVTQLIGKTPMVYLNNVVDGCVASIAAKLEYMGPCRSVKDSATSHSCLFRIGLSMINDAEEKGLIFPNKTILVEPTTGNTGIGLASVAAARGYKLIATVPSSIDVERRVLLRAFGAEIVLTDPNKGLKGALDKAEEIVSKTPNAYMFQQFNNSANSEIHFQTTGPEIWEDTLGTVDILVASIGTGGTITGTGRYLKMMNKDIKVIGVEPAETSVISGDNAGYIPSILDVQLLDEVVKVTTTEAVDVARELALKEGLLVGISSGAATVAAINVAKRPENAGKLIAVIFPSFGERYISSILFRPIYDSVRRMRKR >ORUFI01G38250.3 pep chromosome:OR_W1943:1:31877943:31881075:-1 gene:ORUFI01G38250 transcript:ORUFI01G38250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMASPPACPFLLSLSAGATAISTVSAAYGSVSAPRAPLFLLASPRPVPPRRPLAAGSSSPKAAAPAAVEIPEEYVDDVDAVNIAQDVTQLIGKTPMVYLNNVVDGCVASIAAKLEYMGPCRSVKDRIGLSMINDAEEKGLIFPNKTILVEPTTGNTGIGLASVAAARGYKLIATVPSSIDVERRVLLRAFGAEIVLTDPNKGLKGALDKAEEIVSKTPNAYMFQQFNNSANSPEIWEDTLGTVDILVASIGTGGTITGTGRYLKMMNKDIKVIGVEPAETSVISGDNAGYIPSILDVQLLDEVVKVTTTEAVDVARELALKEGLLVGISSGAATVAAINVAKRPENAGKLIAVIFPSFGERYISSILFRPIYDSVRRMRKR >ORUFI01G38260.1 pep chromosome:OR_W1943:1:31882826:31885740:-1 gene:ORUFI01G38260 transcript:ORUFI01G38260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHGESVQTTVAIVVAVVAVAAGGAFLLLRSRKPKGCLDPENFKKFKLVEKKQISHNVARFKFALPTPTSVLGLPIGQHISCRGQDATGEEVIKPYTPTTLDSDLGHFELVIKMYPQGRMSHHFREMKVGDYMSVKGPKGRFRYQVGQVRAFGMLAGGSGITPMFQVARAILENPNDITKVHLVYANVTHDDILLKEELDNMAKTYPDRFKIYYVLNQPPEVWNGGVGFVSQDMIKAHLPAPAEDIQILRCGPPPMNKAMAAHLDELGYTKEMQFQF >ORUFI01G38270.1 pep chromosome:OR_W1943:1:31894610:31895266:-1 gene:ORUFI01G38270 transcript:ORUFI01G38270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCRSLIEFLRAFEHHRRAADSAAAAGCSSSSSRSRRGGSSLTALCDHSPMAAVDAVVLLAVVAALGFLVVPYAKMALLEMGALLHPAASCLSAAAFAGAAVAVAAAVLAWELVGHHARKCGKPRCRGLKKAVEFDIQLETEECVRGHPAPAARSALLAAAGAHPVELGDAHRELEAELRKMAPPNGRTVLIFRSPCGCPKGRMEVWGAKKVRRIKK >ORUFI01G38280.1 pep chromosome:OR_W1943:1:31905542:31906705:-1 gene:ORUFI01G38280 transcript:ORUFI01G38280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEQVSQRKAPLLVLVILCGLLLLLPLVSSVPLSRSVSLRNHQASVSALEAPVQVVAAAAEERDLDEVAARMVIEVNDYPGSGANNRHDPKSPGRA >ORUFI01G38290.1 pep chromosome:OR_W1943:1:31908538:31916249:1 gene:ORUFI01G38290 transcript:ORUFI01G38290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASKLLSSLLLTSSPLRLRPSAGAFALFLSPPASRRHLLLSSPAPLRTLSTASASAAAGGASSDSYSSGSCHSPFPEWSRLVDRLSAAGYGARAPSPADELDLDPECGLSSDAEAAVSSFLAFARDRPDLLRSLPRKDVEVLVANAAPALFKDGEASELRLRQYLAGEGSDVTQSERAETIDIVRYLLSYAYGSPVSYLKDKELTDSAVRNILAEFVSFSGFPQTSSYAESTARQNTLGSRPPGQNIEMKRGDWICTRCSFMNFARNARCLECNEHRPKKMLTGGEWECPQCVYYNYGRNMSCLRCSCKRPGTIPPNPAGAGLDGVAQFLNTSIVGKSEIERKLAENDQKAERWLNKVSQLDDSADLSSLAADEDFPEIMPIRKGVNKFVVSTRKTPLERRLANAQYSSNNSPQDGSSDSKISKTLDRILGRSTSTSVQNNQSGDGDVNTSSNKTTSNLGGIDPVPFVPLSADQFAKPQNSFGDGQSDTQISTEADSMAKSQMDSMERRDDKRSFDTTEEWSKKVAELSNVKDFPSAISDQDFPEIMPMRKGENRFVISKKKDRSLTSPQYKRRSVLEHADNSNFIPFVPFPPDYFAKKNKPVENSSDAGIVPEGPPSAEKLPETKYSSGNLGNFQNSSQVMGSQAANNMNNENRNGNYPHQNLSTSGYGYGESITYQHQPQSQGMVGRSGGASETGTRNANNNQGSFSESRDRSTYNRGSHSAQPPYKSGYGNNNNAWSSNNNGSNNAWSSTRDYDNGGRSDNNPYYNSSTWSSNSTYSNNAAWSSNSSYNSNGAQSSNSSYNSNSAWSNNSNNGWSGSYSDNGGTGSGSSASRPNQTAGYSSYGESANRGYTGKSLEGSAVKDPDPLDMSEEAKAERWFRRAAQIKDISELANIPDEDFPEIMPMRKGVNRHLVTMLAEVVWWPNLIAVQDGHGCTVCSSDFFVSLLLVVTQQSISLWLEGTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKTQSKGGATQRGAKGPKIGGGGGKR >ORUFI01G38300.1 pep chromosome:OR_W1943:1:31916646:31920258:-1 gene:ORUFI01G38300 transcript:ORUFI01G38300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSPRFLGRTSPPVDAIAARRLVALLLEHQDRRRQLLQIHSQLIAHQVFDRRPTPWHALLKAYSHGPHPQDALQLFRHARWHAADDTYAFTFALKACAGLGWPRCCMQLHGLVVRKGFEFQTYVHTALVNVYILCGCLADARMAFEEMPVKNAVSWNVVITGFAGWGEVEYARLLFERMPCRNVVSWSGMIDGYTRACRPVEAVALFRHMMAEGISPSEITVLAVVPALSNVGKILIGEALHGYCEKEGLVWDVRVGNSLIDLYAKIGSIQNSLRVFDEMLDRRNLVSWTSIISGFAMHGLSVKAVELFADMRRAGIRPNRITFLSVLHACSHGGLVEQGVAFFKSMIYEYNINPDVKHFGCIIDMLGRAGRLREAEQIIRDFPVEVNATVWRTLLGCCSKYGEVEMGERTMKKILALEREFGGDFVVLSNMLTELRRFSDAEIVRKLVDQRNSVKSFFNIVRMEAIKRLHAYYIVSGLYNCHYAMSKVLRSYAILQPDLVFAHKVFDQIEAPTTFLWNILIRGLAQSDAPADAIAFYKKAQGGGMVPDNLTFPFILKACARINALNEGEQMHNHITKLGLLSDIFVSNSLIHLYAACGNLCYARSVFDEMVVKDVVSWNSLICGYSQCNRFKDILALFKLMQNEGVKADKVTMIKVVSACTRLGDYSMADYMVRYIEDYCIEVDVYLGNTLVDYFGRRGQLQSAEKVFFNMKVRNIVTMNAMIAAYAKGQDIVLARKIFDQIPKKDLISWSSMISGYSQANHFSDALEIFRQMQRAKVKPDAIVIASVVSSCAHLGALDLGKWVHEYVRRNNIKADTIMENSLIDMYMKCGSAKEALQVFKEMKEKDTLSWNSIIIGLANNGFEKESLNLFQAMLTEGFRPNGVTFLGVLIACANAKLVEEGLDHFESMKRLYSLEPQMKHYGCVVDLLGRAGQLEKALRFITEMPIDPDPVVWRILLGSCNTHGDVAIAEIVTKKLNELEPSNSGNYTLLSNAYASAHRWSEAMNVRQCMADTDVRKSPGCSAVEAA >ORUFI01G38310.1 pep chromosome:OR_W1943:1:31920631:31922217:1 gene:ORUFI01G38310 transcript:ORUFI01G38310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLLARAIPRSSAAAATTRGFTDPRSFPLLFREGSRLSTSQGGIGNGGGGGGNGGDGEEDDPFSFADLQKLPPDVARDVEAVVGAAEGFHADAARARGLLERCGATASERVVVAVLARLRNSCAAAHAAFRWASAQPGYAPGRHACHSMLAILAKHRRFDDARALLDQMRRSSLASPAAVMLLIRRYCAARDVAGAVAAFRALPSLGFRPGVAEFHGLLTALCRYKNVQDAEHLLLSSEKEFPFETKSFNVVLNGWCNMVRSVREAKRFWNAMEIKGIKRDVVSYGSMISCFSKAGSLDTVMKLFNRMKEAGVIPDRKIYNAVVYALAKGRCVNEAKALVRSMEEKGVAPDTATFNSLIRPLCKARQVQEARKMLDDMLGRGLSPSVRTFHALLDVARSPIEVFDLLDKMKELQCDPEMDTFIMLIRKFCRWRQHDSVEKLWSAMPANGLSPDRSAYIVLIHGLFLNGRLEESAKYYEEMKAKGFPPEKKTEEMIQAWLSGRELAKASASVGSRGGSVSLRSNPRK >ORUFI01G38320.1 pep chromosome:OR_W1943:1:31924056:31925204:-1 gene:ORUFI01G38320 transcript:ORUFI01G38320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGGSGRRDAEAELNLPPGFRFHPTDEELVVHYLCRKVARQPLPVPIIAEVDLYKLDPWDLPEKALFGRKEWYFFTPRDRKYPNGSRPNRAAGRGYWKATGADKPVAPKGSARTVGIKKALVFYSGKAPRGVKTDWIMHEYRLADADRAPGGKKGSQKLDEWVLCRLYNKKNNWEKVKLEQQDVASVAAAAPRNHHHQNGEVMDAAAADTMSDSFQTHDSDIDNASAGLRHGGCGGGGFGDVAPPRNGFVTVKEDNDWFTGLNFDELQPPYMMNLQHMQMQMVNPAAPGHDGGYLQSISSPQMKMWQTILPPF >ORUFI01G38330.1 pep chromosome:OR_W1943:1:31936750:31953453:-1 gene:ORUFI01G38330 transcript:ORUFI01G38330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAALCDSITDPKDARLREFGPLFKKTVADSNAPVQEKALDALLAFQRAADADASRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEAAEVFLESMEKAVKNKVAKAVVPAIDVMFQALSEFGAKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKAILFEKMRDTMKKELEAELANVSGIAKPTRKIRSEQEKELEEEVVPEAAGTNNSEEAVPEAPMEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASTKKIAPGDFHEICRTLKKLITDVNLAVSVEATQAIGNLAKGLRTHFSGNSRVLLPVLLEKLKEKKPTMTEALSQTLQAMHKSGCITLLDVIEDVRVAVKNKVPLVRSLTLNWVAFCIETSNKATVLKLHKEYVPICMECLNDGTPEVRDASFSVLTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSASDTTSGTVAASNTGVGTSAREVMDSSSMRRSAASMLSGKKPVQAVPATKKSGPAKSATAKKTDGGPQSKASAAPVIEDVEPSEMSLEEIEEKLSSVVKSETISQLKSTVWKERLEAISMLKQEVESLTELDKSAELLVRLLCAVPGWSEKNVQVQQQVIEVITYIASTLYKIMKEHKNPKVLSEGILWMVSAVEDFGISNLKLKDTIDFCKDIGLQSSAAATRNATIKLIGVLHKFVGPDIKGFLSDVKPALLSTLDAEYEKNPFEGTASAPKRTVRAADAVSSASSGTSDGLPREDISAKITPTLLKNLGSPDWKLRLESIDAVSKIVEEAHKRIQPTGTVELFTALRARLYDSNKNLVMVTLSTIGGLASAMGPAVEKSSKGILADVLKCLGDNKKHMRECALTALDLWVAAAQLDKMVPYITVTLGDQKTGSEGRKDLFDWLSKHVSNMSDPSEALPLLKPSASSLMDKSSEVRKAAESFMNEILKICGQDVVAKNLKDLPSPTLAIVAERLKLSTVHEGFSDSVKMVTTSMSLPSKAGSKNNKHGPNDRGSNVSKARGIPARSSVTMISSQDSIQSQALFNIKDSNKEERERRVLVRKFKFEEPRREQIDELKIELFRHFREDVSLRLWNSDFKRQIDGIELLQKALPSSRKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDVLKDQSYMLTEAEAAIFLPCLMEKSGHNIEKVREKMGELIKQMVNIYSLPKLLPYILEGLRSKNNRTRIECVDIIGYFMDHHGTEVSGLLKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDIAEQSGEAVSRSMAGENFGYSDAHMVPRQMATATPGPADWREALDIVALGLPEQSVEGMKVICHELTQAVDPESSVLDDLIKEADRLVSCLAVMTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPSPTPPESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGTMGQTHWGDAGSNNPNPSTHSTDAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAPIPSPKFAPSPVHTKSINNKTDCNEDDAGGDTHPFRGQGEIDNRLQTTNLQTDRYQSSGTLDALRERMKSIQAAAVGANFDGVQARPLPSMNGNTLHGGTRLDADPQTQNIIPPMDERALSGLQARMERLKSGSMEPL >ORUFI01G38340.1 pep chromosome:OR_W1943:1:31963525:31967540:-1 gene:ORUFI01G38340 transcript:ORUFI01G38340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAEAGRPRPAMSAEDERLLMEAKWLPWDERLRHKSWKVRRDANVDLAALCDSIADPKDARLREFGPLFQNSVADCNVSVREKALDAVLAFQRASDAADASRYAKGICDAIVAKCLTGRPRIVEKAQAALLLWVGLDAAEVFVESMEKAVKNKMAKAVVPAIDVMFQALSKFGPKVVPPKKVLKMLPQLLDHPDRNVRASSKGLTVELCWWIGKEPVKAILFEKIRDMMIKELEAELANNSAIAKPAHKIRFIRCYDCTWTLIDEYDLVDPVHTLTPPEESGFCDGVKATKWSERRDATELTKLSSTKRIATGDFEDICPTPKKEDNTEIKASLISARAQIDELMTAHNAVTDRRRKLKEKDCSACKLSARVVELEEEIQFLLSIIVGFVVLIVALCLGG >ORUFI01G38350.1 pep chromosome:OR_W1943:1:31970177:31978885:-1 gene:ORUFI01G38350 transcript:ORUFI01G38350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVWAGPFGLWERHTSPRREVADGARGEAETGGGGVEWRGVEPNPRRKCTALRATAGRARAEIRERRAAHRVGRVFHHVGITAACAGCNHQTKIRASQGQ >ORUFI01G38350.2 pep chromosome:OR_W1943:1:31970137:31978885:-1 gene:ORUFI01G38350 transcript:ORUFI01G38350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVWAGPFGLWERHTSPRREVADGARGEAETGGGGVEWRGVEPNPRRKCTALRATAGRARAEIRERRAAHRLLKDSESFGLCQQEAKIL >ORUFI01G38360.1 pep chromosome:OR_W1943:1:31970868:31978128:1 gene:ORUFI01G38360 transcript:ORUFI01G38360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMQWLLLLFMLLALMKNWQNEPQSWMGSTDPCTSWDGISCSNGRVTEMDLSNNLNLGGPLPPSIVNLKQLTTLFTGDIPEQIGALRQLTFLALNSNKFTGGIPPTLGLLSKLFWLDLSDNQLSGKIPISSGSNPGLDQLVNAEHLIFDNNNFTGPIPGSLGRVSSIQIMSLASNQLNGTVPDLTSANALTYVDLSNNNFMSSPAPRWFSTLTSLTTLFMDSDHLTGTIPSALFSFPQLQQISLAKNSFSGELNMSSNISSLLRVVNLTNNQIFNAEVDPSYTGSLILSGNLICFNNISFCTLKQKQQVPYSTNLGPCGAISCPTDQSANPVASQNCACASPFQGLMIFRAPAFSDVANPKSFQPLEFTLVQNLSLAPGSVAISNVEFSPGEPLTFTVKVFPESGTSFNHSEVIRISSSLVNQTYKAPAYFGPYSFIASTYFASPSGKRSSMGKGAIIGIAVAGFLLLVGLILVAMYALRQKKIAKEAVERTTNPFASWGQGGKDNGDVPQLKGARYFAFEELKRCTNNFSETQEIGSGGYGKVYKGMLANGQMAAIKRAQQGSMQGAAEFKNEIELLSRVHHKNLVSLVGFCYEQGEQMLVYEYIPNGTLRENLKGKGGMHLDWKKRLQIAVGSAKGLAYLHELADPPIIHRDIKSTNILLDESLNAKVADFGLSKLVSDTKKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELITSRQPIEKGTYIVREIRTAIDQYDQEYYGLKSLIDPTIRDSAKMVGFRRFVQLAMECVEESAADRPTMNDVVKELEIIIQNEGAQLLNSASLSAQQFGYAKGRDPDPYGDHVPINDDSSSSAFDYNSQVNLSGMEKDAQDCSWRSQRTRIIHELTNLPIIHRDAKSTNILLDDNLKAKGRFIDREFRMAIDASNYDYYGLQCIIDLAIDDATICYRV >ORUFI01G38370.1 pep chromosome:OR_W1943:1:31979127:31981986:1 gene:ORUFI01G38370 transcript:ORUFI01G38370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMRASAALLLVAAAVLAAVRAEDPYHFFDWKVTYGTRTIMDVAQKVMLINDMFPGPTINCSSNNNIVVNVFNQLDHPLLFNWHGIQQRKNSWMDGMPGTNCPIQPGTNWTYKWQPKDQIGTFFYFPSMGMQRAAGGYGIITVHSRLLIPVPFDEPAGDYPVLVGDWYTKDHTVLAKNLDAGKSIGRPAGLVINGKNEKDASNPPMYTMEAGKVYRFRVCNVGIKTSLNVRIQGHSLKLVEMEGSHTVQNSYDSLDVHVAQCVSFLVTADQKPGDYLLVASTRFLKEYSAITAIVRYNGSNTPASPKLPEGPSGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLCTSKGKVDGKERFALNGVSHVDDAQTPLKLAEYFNASSGVFEYNLIGDVPPATTVPQKLAPNVISAEFRTFIEVVFENPEKSIDSFHINGYAFFAAGMGPGIWTPECRKTYNLLDTVSRHTIQVYPRSWTAVMLTFDNAGMWNIRSNMWERYYLGAQLYVSVVSPARSLRDEYNMPEIALRCGKVVGLPMPPSYLPA >ORUFI01G38380.1 pep chromosome:OR_W1943:1:31990285:31992762:-1 gene:ORUFI01G38380 transcript:ORUFI01G38380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWRFSRSLLRAAATTTTATAACASASTAGKHAASRRWVTPRELQRCWYASLPAAAAAVPGKDGEAEVTAEEARRLMRLANVEALKRKLGDGEVIPYAELLRACEEAGAARTRAEAAALAGALDEAGVVLLFRDKVYLQPDKIVDLVRKAMPLALTPEDDPRKEELKKLQTQLEDINKLAHKQVRRILWSGLGFLITQVGLFFRLTFWEFSWDVMEPITFFTTTTGLVVGYAYFLITSRDPTYRDFMERLFESRQKKLIQRQNFNLDRYLELQRRCKGPLEKMCGTNQTPNPDMAHLHELSVNK >ORUFI01G38390.1 pep chromosome:OR_W1943:1:31996835:32000946:-1 gene:ORUFI01G38390 transcript:ORUFI01G38390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMVRQEQPSRTRKKTQPARSTSTEAKANDEERRDHRRGSLPIPISSRGGMDGNFGSEERILWPASVLAGIAMCAAVYDITQKVSSHCFKGYDNLSPMKKVEWNNRGFSTFHALVAAVVSFYLVVISDLFHSNIIIDRNSWLSDAMFGVSIGYFLTDLVMILWYFPSLGGKEYLLHHGLSMYAICLALLSGKAHMYILMVLFTEATTPFVNLRWYLEVAGKKTHNLYLYNGLALFVGWLVARVILFIYFFTHMYFHFDQVKSIFPLGFYSILTVPPALAVMNLFWFWKIFKGMLKTLSKRRQQSENGKAEQLYTIL >ORUFI01G38390.2 pep chromosome:OR_W1943:1:31997097:32000946:-1 gene:ORUFI01G38390 transcript:ORUFI01G38390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMVRQEQPSRTRKKTQPARSTSTEAKANDEERRDHRRGSLPIPISSRGGMDGNFGSEERILWPASVLAGIAMCAAVYDITQKVSSHCFKGYDNLSPMKKVEWNNRGFSTFHALVAAVVSFYLVVISDLFHSNIIIDRNSWLSDAMFGVSIGYFLTDLVMILWYFPSLGGKEYLLHHGLSMYAICLALLSGKAHMYILMVLFTEATTPFVNLRWYLEVAGKKTHNLYLYNGLALFVGWLVARVILFIYFFTHMYFHFDQVKSIFPLGFYSILTVPPALAVMNLFWFWKIFKGMLKTLSKRRQQSENGKAE >ORUFI01G38400.1 pep chromosome:OR_W1943:1:32003447:32006697:1 gene:ORUFI01G38400 transcript:ORUFI01G38400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVPALIADDLPTNVTSQITDAARPKTTSSVVCYSPMMITTNGIWQGVNPLEFSLPLFILQVAVIVVTTRLLVVLLKPFRQPRVIAEILAGVVLGPSVMGQVEVWATMVFPQRSLLTLETVAHLGLLYFLFLVGLEMDLDVIRRSGKKALFVAVAGMALPFCIGIATSFIFRHQVSRNVHQTSFLLFLGVALSVTAFPVLARILAEIKLLNTELGRIAMSAAIVNDMCAWILLALAIAISEVNSTALSSLWVLLAGVLFVLFCFYVVRPGMWWLIRRIPEGEVVSDMQVSLILTGVMLAGVCTDAIGIHSVFGAFVYGLVIPGGQLGVALIEKLEDFVTGLLLPLFFAISGLRTNISKIRDPITVGLLVLVFTMASFAKIMGTIIIAALYTMPFREGIALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTTLVTPVVTGVYRPSRRLVGYKRRNLQRIRHDSELRMLICVHTTRNVPSVLSLLELSNPTKRSPIFIYALHLVELTGRASNMLAAAAASASKQNRSSSSSTLPPVTEHIFNAFENYERHTGGISIQTLAAVSPYQTMHDDVSVLAEDKHVSLIVVPFHKQQTVDGAMEPINPSIRGFNESLLSTSPCSVAILVDRGLSAAAARMAALHRVALFFFGGPDDREALAYAWRMVEHPGVALTVVRFVPPDYRVRSYSNTNYRSVASDADPRSIGMDTEGKTELQMDEEYLGDFRTRNIGNDAISYSDKVVANSEETVSTIRNMDDSLHELYIVGRRPGEAGSPMTASLEDWMECPELGPIGDMLVSSDFSMSVSVLVVQQYVVAAAAPAPATTAPAGNADPVRQYVSNANQRPSAAYRTSAASTANSRWSGGGTVGF >ORUFI01G38410.1 pep chromosome:OR_W1943:1:32013079:32020521:1 gene:ORUFI01G38410 transcript:ORUFI01G38410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPALQSSQLFGLVYLLETPAACHLHYDEQVCRLSDLSCRPSPTGDWEATTSPALVSPSLLLCLTAARAAAGKATRPQGRRRRGFVLALRHGRGTCSGAAEPARSDLAVEQPAAGLSGVAAVSGGGGSGVKALAAAEETARSAPGSGRTAAGGEDSDGGKGGEGMASVRSSARRSGGRDGGGDEFTATAARATTAGRLRRVSSELDDGDKVWEDDEMAAGMEGQQRLLWWRRRWQGDGVGEVNLAATAADPAPEESSGRGDGGDEFAATAARATTMGSLRRKPARQGRVVK >ORUFI01G38420.1 pep chromosome:OR_W1943:1:32020832:32021473:1 gene:ORUFI01G38420 transcript:ORUFI01G38420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNVVPVAAAAGPAGGERRGEEEEEAAALTVWRKSLLFNCKGFTVFDAKGNLAYRVDSYDTESGDEVVLMDAAGAPAFTVRRKRQLSLQGEQWLVFAGEADGRRPPVYAVRRTGRGGGKSLARVTPCAGAAAAGASAAYEVEGSYARRCCVVYDGERRAVAEVRPKEAVGTDVFRLVVQPGVGVSLAMAVVVALDQMFGRPSLLRSWSS >ORUFI01G38430.1 pep chromosome:OR_W1943:1:32023017:32023571:-1 gene:ORUFI01G38430 transcript:ORUFI01G38430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTWERRDSIVTVQSGPSPIPSLGLDIPSRYSQRRRDFSPGFSRFFNGKSPPRRTNAPLRCCVGRAATGPGVLGAKPLELECAIRPKALSLSSRTSTSVYFLLALHKTGTPVFITGGTVAVGQIWRLGSGQPTLLLLWAGRYILGLVGSNVGHAAHVWLAGMEISLLVVLMLYVEYNRHP >ORUFI01G38440.1 pep chromosome:OR_W1943:1:32023667:32028396:1 gene:ORUFI01G38440 transcript:ORUFI01G38440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGFSWTLPDHPKLPKGKTVALVVLDGWGEANADKYNCIHVAQTPVMDSLKNGAPERWRLVKAHGTAVGLPSEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIYDGEGFNYIKECFDKGTLHLIGLLSDGGVHSRLDQVQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLESDLSQLRDKGIDARIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFQNAVEAVKTLRAETKASDQYLPPFVIVDESGKSVGPVVDGDAVVTFNFRADRMVMLAKALEYADFDKFDRVRVPKIRYAGMLQYDGELKLPSHYLVSPPEIERTSGEYLVKNGVRTFACSETVKFGHVTFFWNGNRSGYFDETKEEYVEIPSDIGITFNVKPNMKALEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAIEQVGGIYLVTADHGNAEDMVKRNKSGQPLLDKNGGIQILTSHTLQPVPVAIGGPGLHPGVKFRSDIQTPGLANVAATVMNFHGFEAPADYEPTLIEVVDN >ORUFI01G38440.2 pep chromosome:OR_W1943:1:32023737:32028396:1 gene:ORUFI01G38440 transcript:ORUFI01G38440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGFSWTLPDHPKLPKGKTVALVVLDGWGEANADKYNCIHVAQTPVMDSLKNGAPERWRLVKAHGTAVGLPSEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIYDGEGFNYIKECFDKGTLHLIGLLSDGGVHSRLDQVQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLESDLSQLRDKGIDARIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFQNAVEAVKTLRAETKASDQYLPPFVIVDESGKSVGPVVDGDAVVTFNFRADRMVMLAKALEYADFDKFDRVRVPKIRYAGMLQYDGELKLPSHYLVSPPEIERTSVKFGHVTFFWNGNRSGYFDETKEEYVEIPSDIGITFNVKPNMKALEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAIEQVGGIYLVTADHGNAEDMVKRNKSGQPLLDKNGGIQILTSHTLQPVPVAIGGPGLHPGVKFRSDIQTPGLANVAATVMNFHGFEAPADYEPTLIEVVDN >ORUFI01G38450.1 pep chromosome:OR_W1943:1:32027455:32031586:-1 gene:ORUFI01G38450 transcript:ORUFI01G38450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRVSANPRPSCVRRVLARKRRRPEATANSARKLQRREISALPCRAFSASTTRERFRNIQLQEEFDTHDPKEMGSLLPYLMKRSEIIEIVGASDIIFALSQSGVCAAFSRVSNQRICFLNGRPDEVIRSLFYNKNNDSLITVSVYGSENFSALRCRTTRIEYIRRGKPDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYTISDKNVQEIKISPGIMLLIYSRKKGCIPLDILSIEDGKRLKSFKHLLHRNKKVDFIEQFNEKLLIKQEGENLQILDVRNFQSIEVSRSEFVTPSAFIFLYEMQLFLTFRSRSVSVWNFRGELVTSFEDHMLWHPDCNTNSIYITSNQDLIISYCKADPNDPSSEENACSINISEILTGKCLAKIKAGNLNKQRVSKFQSTPSEALGDITALYYDEEREEIYTGNRLGLVHRMKKRQASLRDNV >ORUFI01G38450.2 pep chromosome:OR_W1943:1:32028057:32031586:-1 gene:ORUFI01G38450 transcript:ORUFI01G38450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRVSANPRPSCVRRVLARKRRRPEATANSARKLQRREISALPCRAFSASTTRERFRNIQLQEEFDTHDPKEMGSLLPYLMKRSEIIEIVGASDIIFALSQSGVCAAFSRVSNQRICFLNGRPDEVIRSLFYNKNNDSLITVSVYGSENFSALRCRTTRIEYIRRGKPDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYTISDKNVQEIKISPGIMLLIYSRKKGCIPLDILSIEDGKRLKSFKHLLHRNKKVDFIEQFNEKLLIKQEGENLQILDVRNFQSIEVSRSEFVTPSAFIFLYEMQLFLTFRSRSVSVWNFRGELVTSFEDHMLWHPDCNTNSIYITSNQDLIISYCKADPNDPSSEENACSINISEILTGKCLAKIKAGNLNKQRVSKFQSTPSEALGDITALYYDEEREEIYTGNRLGLVHVWSN >ORUFI01G38460.1 pep chromosome:OR_W1943:1:32044237:32049881:1 gene:ORUFI01G38460 transcript:ORUFI01G38460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPTNPFGDSDKCNEDGNAYISFGQWVGAIIVYTYVFKMLAPPPGESFDSAEEDILPIKASGDNVVPEKGKYPTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGIVRSMVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILYLSLLF >ORUFI01G38460.2 pep chromosome:OR_W1943:1:32044237:32048978:1 gene:ORUFI01G38460 transcript:ORUFI01G38460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPTNPFGDSDKCNEDGNAYISFGQWVGAIIVYTYVFKMLAPPPGESFDSAEEDILPIKASGDNVVPEKGKYPTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGIVRSMVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILYLSLLF >ORUFI01G38460.3 pep chromosome:OR_W1943:1:32044237:32048978:1 gene:ORUFI01G38460 transcript:ORUFI01G38460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPTNPFGDSDKCNEDGNAYISFGQWVGAIIVYTYVFKMLAPPPGESFDSAEEDILPIKASGDNVVPEKGKYPTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGIVRSMVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILYLSLLF >ORUFI01G38460.4 pep chromosome:OR_W1943:1:32044975:32049881:1 gene:ORUFI01G38460 transcript:ORUFI01G38460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPTNPFGDSDKCNEDGNAYISFGQWVGAIIVYTYVFKMLAPPPGESFDSAEEDILPIKASGDNVVPEKGKYPTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGIVRSMVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILYLSLLF >ORUFI01G38460.5 pep chromosome:OR_W1943:1:32044237:32048510:1 gene:ORUFI01G38460 transcript:ORUFI01G38460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPTNPFGDSDKCNEDGNAYISFGQWVGAIIVYTYVFKMLAPPPGESFDSAEEDILPIKASGDNVVPEKGKYPTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGIVRSMVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILYLSLLF >ORUFI01G38470.1 pep chromosome:OR_W1943:1:32051753:32055003:1 gene:ORUFI01G38470 transcript:ORUFI01G38470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISVEFCIISARGLGRRSTLLNPQWFSVAWIDPNSKYCTKIDASGNSDVNWGTKFSLSVDEHDMSMQQMELTVEVYRREPVFLREHLQGTAVIQMKEYFDKFSQGKDPSGVTEETSSFQLRRKKSDKPHGFVDISIRICKEENNRATFSGSQEGLKYPDQVGITLAIEDGPVYNYPPMPSSHFKGYTQDVDHYSNSMPVTAATRPGQSPPGSNYSYQPTMVPPILPHPTSNPSFFTPQYPTRGPVPQTYINVPPRMGGQNSTPNFGMGLGAGALAAGTMIFGENLLSGQSLNTGLDGASLSISNDAPF >ORUFI01G38480.1 pep chromosome:OR_W1943:1:32053895:32055733:-1 gene:ORUFI01G38480 transcript:ORUFI01G38480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRVPLRAILATPRAAGPRLLLPLHAHLLVSGRLAASPAALTSLVSLYARAAAPALHRHVAPLLLDRPSPPPLPCFNAGLSLPHPLALAVFRGLRHAYSPDAFSFPPLVSSAPSPPHLLALHALALRCGLAHDLFCASAMLRSCLRFGLADHARRLFGELPRRDVVVWNAMVNGFARLGCFGHAVECFRMMREDGEVEISSFTVTGILSVCTATADLGHGAAVHGLVVKSAFEQEVSVCNALVDLYGKCHKVDDAAMVFEGMADIVKDLFSWNSMLSALHYSADHAGTMKLFSRMRRVAVWPDAVTVAAVLPACAQTAALQVGREVHGYIVTSGLACHGALDSFACNALVDMYAKSGALDEARRIFDRMQQRDVASWNIMIDGYASHGHGKEALELFCQMTEVERLLPDEITLLGALSACSHSGLVEEGKNFLKRMKEEFSLEPQLEHYACVTDMLGRAGRLEEARKVVEDAGDVGVGAWRTYLAACRMHGDKERAQEAARMLMTTEQSESGGWVLLANTYGWEGNFDELEEVRGEMKRRGVQKAAPGCSWVEVGGDDRGSGAVMHAFVSGDRGHPEADMIYEMLHALISWMRDCSHLSNTTPLYSVEHS >ORUFI01G38490.1 pep chromosome:OR_W1943:1:32062351:32066016:1 gene:ORUFI01G38490 transcript:ORUFI01G38490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTNAEKCFSPARAMSPLPLVRPPPSPGAAGQYLAELLQEQQKIGPFVQVLPICGRLLNQEIMRMSAIVSHLGVREHDRLPIASPNQMHPLPQVPNFCGNGFNPWTGTLPEKNGFPRGTMGWEGAAHDPSYIVKKIVRLEVPTDAYPHFNFIGRLLGPRGNSLKRVEASTGCRVFIRGKGSIKDPIKASLFVTFKEEQLKGRPGYEHLSDPTHILIEAELPADVIDTRLAQAQEILEDLLKPVEESQDFLKRQQLRELAVLNSTYREDSPHQNGSASPFSNGSTKLGKQ >ORUFI01G38490.2 pep chromosome:OR_W1943:1:32062265:32066016:1 gene:ORUFI01G38490 transcript:ORUFI01G38490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTNAEKCFSPARAMSPLPLVRPPPSPGAAGQYLAELLQEQQKIGPFVQVLPICGRLLNQEIMRMSAIVSHLGVREHDRLPIASPNQMHPLPQVPNFCGNGFNPWTGTLPEKNGFPRGTMGWEGAAHDPSYIVKKIVRLEVPTDAYPHFNFIGRLLGPRGNSLKRVEASTGCRVFIRGKGSIKDPIKASLFVTFKEEQLKGRPGYEHLSDPTHILIEAELPADVIDTRLAQAQEILEDLLKPVEESQDFLKRQQLRELAVLNSTYREDSPHQNGSASPFSNGSTKLGKQ >ORUFI01G38500.1 pep chromosome:OR_W1943:1:32072566:32075988:1 gene:ORUFI01G38500 transcript:ORUFI01G38500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPGGSSAQYCSYKDTATFLLLPPAYCLSLSLYLISCSASSSSSLPQPRSPPTHRARRRRDGRWLRRRPVPKSRAIASRRLAGLRHRSPESVVVFELVPRGAVAAWSEGPVPPRAEGRGPSPPPRATALPCAVPLVVLEPLRRRRPPGLLLLVLLVIDAPIGTASWSLKPSSGLSPIGRRHLFRILSIRIPAAVAVWRNICFARFSCVSISFYYFPERERERERERERERERGFLPFRCCAKEHNFPNSFSPPCRGMEWDKAKASSGEAVDDRGGGEGGLGYVKVMTDEQMEVLRKQISIYATICEQLVEMHRALTAQQDSIAGMRLGNLYCDPLMVPGGHKITARQRWTPTPMQLQILENIFDQGNGTPSKQKIKDITAELSQHGQISETNVYNWFQNRRARSKRKQAALPNNNAESEAEADEESPTDKKPKSDRPLHQNIAMRDHNSERISEMHHFDTEHEQIRRMMYASNDSSSRSSGSLGQMSFYDNVMSNPRIDHFLGKVESPGSFPHMRSGESFDMY >ORUFI01G38500.2 pep chromosome:OR_W1943:1:32072566:32076454:1 gene:ORUFI01G38500 transcript:ORUFI01G38500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPGGSSAQYCSYKDTATFLLLPPAYCLSLSLYLISCSASSSSSLPQPRSPPTHRARRRRDGRWLRRRPVPKSRAIASRRLAGLRHRSPESVVVFELVPRGAVAAWSEGPVPPRAEGRGPSPPPRATALPCAVPLVVLEPLRRRRPPGLLLLVLLVIDAPIGTASWSLKPSSGLSPIGRRHLFRILSIRIPAAVAVWRNICFARFSCVSISFYYFPERERERERERERERERGFLPFRCCAKEHNFPNSFSPPCRGMEWDKAKASSGEAVDDRGGGEGGLGYVKVMTDEQMEVLRKQISIYATICEQLVEMHRALTAQQDSIAGMRLGNLYCDPLMVPGGHKITARQRWTPTPMQLQILENIFDQGNGTPSKQKIKDITAELSQHGQISETNVYNWFQNRRARSKRKQAALPNNNAESEAEADEESPTDKKPKSDRPLHQNIAMRDHNSERISEMHHFDTEHEQIRRMMYASNDSSSRSSGSLGQMSFYDNVMSNPRIDHFLGKVESPGSFPHMRSGESFDMY >ORUFI01G38510.1 pep chromosome:OR_W1943:1:32078423:32090287:-1 gene:ORUFI01G38510 transcript:ORUFI01G38510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLHGLKSCSSSSSICPPAPRGLSLWVKVRCSLSPAFPEFVGEGNLRPGASSAGAPRSGGCCKSLFGLERPVGLRLIADMANFRGFRRAVIVVVLCICHVNVVRGQSTDPAEVNALRAIKGRLIDPMNNLKNWNSGDPCTSSWKGVFCDNIPINNYLHVTELQLFKMNLSGTLAPEIGLLSQLKTLDFMWNNLTGNIPKEIGNIHTLRLITLNGNQLSGSLPDEIGYLQNLNRLQIDQNEISGPIPKSFANLTSMRHLDLSWNQLTGSIPTNKLASNVTTISIEGNHIDGAVPSTIWSNITFVGNRSLVVDFQNNSLGNIPAAFEPPEEVTILLYGNPVCTNSTPARAARLCQPTSVTEAPSGQGSQVSINCSPCPTDKNYEYNPSSPLPCFCAVPLGVGFRLKSPGISDFRPYKEDFQKNLAHLLVLADYQIYMERYIWEVGPRLNMHLKLFPNNTNLFNTSEVVRLRHLLAGWEITLSNVFGPYELLNFTLGSYEDEFPTVASSGLKRGALAVLSRYSVKVDGVRCFTFDEMAAATNDFTDSAQVGQGGYGKVYKGNLTDGTAVAIKRAHEGSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSAKSRRPLNFSQRIHIALGAAKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVDGTMPAHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVLLELLTGMKPIQHGKNIVREVNTAYQSGEIAGVIDERISSSSSPECVARLASLAVKCCKDETDARPSMADVVRELDAIRSALPEGEELLPEYGDQSATSTSLTATGPLSSSSTTGALFISSGSGGHANSKSGIPSGTVAPR >ORUFI01G38510.2 pep chromosome:OR_W1943:1:32078423:32090287:-1 gene:ORUFI01G38510 transcript:ORUFI01G38510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLHGLKSCSSSSSICPPAPRGLSLWVKVRCSLSPAFPEFVGEGNLRPGASSAGAPRSGGCCKSLFGLERPVGLRLIADMANFRGFRRAVIVVVLCICHVNVVRGQSTDPAEVNALRAIKGRLIDPMNNLKNWNSGDPCTSSWKGVFCDNIPINNYLHVTELQLFKMNLSGTLAPEIGLLSQLKTLDFMWNNLTGNIPKEIGNIHTLRLITLNGNQLSGSLPDEIGYLQNLNRLQIDQNEISGPIPKSFANLTSMRHLDLSWNQLTGSIPTNKLASNVTTMDFQNNSLGNIPAAFEPPEEVTILLYGNPVCTNSTPARAARLCQPTSVTEAPSGQGSQVSINCSPCPTDKNYEYNPSSPLPCFCAVPLGVGFRLKSPGISDFRPYKEDFQKNLAHLLVLADYQIYMERYIWEVGPRLNMHLKLFPNNTNLFNTSEVVRLRHLLAGWEITLSNVFGPYELLNFTLGSYEDEFPTVASSGLKRGALAVLSRYSVKVDGVRCFTFDEMAAATNDFTDSAQVGQGGYGKVYKGNLTDGTAVAIKRAHEGSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSAKSRRPLNFSQRIHIALGAAKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVDGTMPAHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVLLELLTGMKPIQHGKNIVREVNTAYQSGEIAGVIDERISSSSSPECVARLASLAVKCCKDETDARPSMADVVRELDAIRSALPEGEELLPEYGDQSATSTSLTATGPLSSSSTTGALFISSGSGGHANSKSGIPSGTVAPR >ORUFI01G38510.3 pep chromosome:OR_W1943:1:32078423:32090287:-1 gene:ORUFI01G38510 transcript:ORUFI01G38510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLHGLKSCSSSSSICPPAPRGLSLWVKVRCSLSPAFPEFVGEGNLRPGASSAGAPRSGGCCKSLFGLERPVGLRLIADMANFRGFRRAVIVVVLCICHVNVVRGQSTDPAEVNALRAIKGRLIDPMNNLKNWNSGDPCTSSWKGVFCDNIPINNYLHVTELQLFKMNLSGTLAPEIGLLSQLKTLDFMWNNLTGNIPKEIGNIHTLRLITLNGNQLSGSLPDEIGYLQNLNRLQIDQNEISGPIPKSFANLTSMRHLDLSWNQLTGSIPTNKLASNVTTMLYGNPVCTNSTPARAARLCQPTSVTEAPSGQGSQVSINCSPCPTDKNYEYNPSSPLPCFCAVPLGVGFRLKSPGISDFRPYKEDFQKNLAHLLVLADYQIYMERYIWEVGPRLNMHLKLFPNNTNLFNTSEVVRLRHLLAGWEITLSNVFGPYELLNFTLGSYEDEFPTVASSGLKRGALAVLSRYSVKVDGVRCFTFDEMAAATNDFTDSAQVGQGGYGKVYKGNLTDGTAVAIKRAHEGSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSAKSRRPLNFSQRIHIALGAAKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVDGTMPAHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVLLELLTGMKPIQHGKNIVREVNTAYQSGEIAGVIDERISSSSSPECVARLASLAVKCCKDETDARPSMADVVRELDAIRSALPEGEELLPEYGDQSATSTSLTATGPLSSSSTTGALFISSGSGGHANSKSGIPSGTVAPR >ORUFI01G38520.1 pep chromosome:OR_W1943:1:32078618:32089076:1 gene:ORUFI01G38520 transcript:ORUFI01G38520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLTTSAMDGLASVSSLQHLTASEANLATHSGELEEDIRSSITPAISPDWYAVFTCDKKNKGRKSEIPGLFNRNPTPRGTAQKQGSVLCPLTTFT >ORUFI01G38530.1 pep chromosome:OR_W1943:1:32091782:32093891:-1 gene:ORUFI01G38530 transcript:ORUFI01G38530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCNLVPSFSSFFSLNFCVVFLIETHRAILERRGTVLVCSLNICQSVIPADTSVIILD >ORUFI01G38540.1 pep chromosome:OR_W1943:1:32098028:32102700:1 gene:ORUFI01G38540 transcript:ORUFI01G38540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLDTEIGKHDYDWLLTPPGSPHAPILEVVEKAPSSDVPKRITTRSSSTTRASRLSIPETENGHSTVPTRPARSNSVSRPSIQSTLISSNNRSSVVNASISSVCSPPTTPSKRTSTLSSSKPSVPASRQVPTRPSTPTKTRPCTPVKPRPSTPVKPRPSTPNKTRPSTPVKTRSSVPNTMSTSTIAKTTSAQNSRPSTPTSRPRIMSNSSSSTSSAASRPSSSSGRTSTISRTSSSTSTVPSVSRSSSRSSTPTRQPITRSSAPLAGHSPSVGRIFGSNNITSIGRPVTSNGRSSAPSSAPSSRPSSPNSRARAPVRPLDIPDFPSETPPNLRTKLPQRPLSAGRARPGVGLGPKSAPNAEQVRSAPVKKMTVPAITRSKFPDAPSRVSSLTNGHQSRQSEGSAVEGQHTKPLRSITGTDNGFGRTISRKSLDMAIKHMDIRQNLGGIRGASLFPPSIRSAGAKVRSARMSDPAHLSLNGDCHFTDNGSINGHFSGDSNGTLSNNGGSSTGSPDRESIGTKETLSELDLYTTARYEALLLREDITNTNWLHNVDDKSDQSPVFDHRFEPLPEPFGPL >ORUFI01G38540.2 pep chromosome:OR_W1943:1:32097698:32099574:1 gene:ORUFI01G38540 transcript:ORUFI01G38540.2 gene_biotype:protein_coding transcript_biotype:protein_coding LTSGPHHDVISFTTPPRDATRRARHVSSIRATTPPTFPDLPPILIPHTSHLSPTDGRAHTGGGPTCKKKSREGHGGPGVFTAQRFESERDKIGKKKERKKERGENFTPRLTRIPLLPSSSLPASSRCLPLSLARAAYRHKQSPGGLGHGSFWKLPRWREEGGGGEGRGTDLLPHRGRSKSRAAGDSHPLSRRAASTGGIVVSHRSGLGGFGSLKWWV >ORUFI01G38540.3 pep chromosome:OR_W1943:1:32098028:32100713:1 gene:ORUFI01G38540 transcript:ORUFI01G38540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFFKSTICSARHVLMLIINRNVLHVYCSFPFLKQRMGIPQYQLGQHGVTLSLALQSNLLLFPATTGHQLSMQAFPLSVRHLQLQARGLALFLHQSHQFQLHVKYQHGPLLQLKPVHVLQ >ORUFI01G38550.1 pep chromosome:OR_W1943:1:32106409:32111507:1 gene:ORUFI01G38550 transcript:ORUFI01G38550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHILTAFLVVSLLFACIPPAKSADLNSDKQALLAFAASLPHGRKLNWSSAAPVCTSWVGVTCTPDNSRVQTLRLPAVGLFGPLPSDTLGKLDALEVLSLRSNRITVDLPPEVGSIPSLHSLYLQHNNLSGIIPTSLTSTLTFLDLSYNTFDGEIPLRVQNLTQLTALLLQNNSLSGPIPDLQLPKLRHLNLSNNNLSGPIPPSLQRFPANSFLGNAFLCGFPLQPCPGTAPSPSPSPTSPSPGKAKKGFWKRIRTGVIIALAAAGGVLLLILIVLLLICIFKRKKSTEPTTASSSKGKTVAGGRGENPKEEYSSGVQEAERNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVVGKKDFEQQMEIVGRVGQHQNVVPLRAYYYSKDEKLLVYDYIPSGSLAVVLHGNKATGKAPLDWETRVKISLGVARGIAHLHAEGGGKFIHGNLKSSNILLSQNLDGCVSEFGLAQLMTIPPAPARLVGYRAPEVLETKKPTQKSDVYSFGVLVLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTAEVFDVDLLRHPNIEDEMVQMLQVAMACVAAPPDQRPKMDEVIRRIVEIRNSYSGSRTPPEEKQKDESAAP >ORUFI01G38560.1 pep chromosome:OR_W1943:1:32112000:32123350:-1 gene:ORUFI01G38560 transcript:ORUFI01G38560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRWPSLAESAIRRRPGIVIGYFLFIYFQPTEVKDVKVCPLVEYDSNSLDGILHEIPLWVKNPDCDRIDWVSRFLEMMWPYLNKAICKTAQDIANPIIAENKEKYKIDSIEFETLTLGSLPPTFQGMKAYVTEEQELIMEPSLKWAANPNVTVVVKAYGLKATIQVVDLQVFASPRITLKPLVATIPCFAKILVSLMEKPHVDFGLKLLGADVMAIPILYSFVQETIKKQVASMYLWPKTLEVPIMDPSKASKRPVGILLVKVLRAQNLQKKDLLGKSDPYVKLTMSDDKLPSKKTTVKRGNLNPEWNEDFKFVVTDPETQELEIKVGKHDKMGMNKILLKELPPEETKVTTYNLLKTMDPNDIHNEKSRGQITLEMTYKPFKEDDIEKDVQGTDVVGKAPDGTPAGGGLLYVVVHEAQDLEGKHHTNPYARIIFKGNEKKTKVIKKNRDPRWEEEIEFVCEEPPANDKLHVEVLSKPPKKGLIHGKETLGYIDINLADVISNKRINETNHLIDSKTVGIVIGYFLFIYFQPTDVKNVKVRPLVEYDSDSLDDTLPEIPLWLKNPDYDRLDWLNRFLELMWPYLNKAICRIAQDVANPIIAKNKEKYKIDYIKFETFTLGSLPPTFQGVKVQVTNEQELVMEPSLKWAGNPNTTVVVKAYGLKATIQVVDMQVFVLPRITLKPLVSSFPCFANILVSLMEKPHVDFGLKLLGADVMAIPVLYKFVQETIMDQVASMFLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLREKGPLGKRDPYVKLKMSGSKLPSKKTAVKHSNLNPEWNQEFKFVIRDPETQELDINFGKDEKLGMCKISLKKLTPGTEVITDNLIKTMEPNGIQNEKSAGEITLELTYKPFKEGNIQKEDPGGLLYVVVHEAKELEGKCNTNPYVKLTFKGVEKKTKVVKENRNPRWKEEFEFECEETPANDKLHVEVLGTKKALIRNKESLGHIDISLADVIINKRIIEMYDLINSKRGQIQIEFQWKSS >ORUFI01G38560.2 pep chromosome:OR_W1943:1:32112000:32123350:-1 gene:ORUFI01G38560 transcript:ORUFI01G38560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRWPSLAESAIRRRPGIVIGYFLFIYFQPTEVKDVKVCPLVEYDSNSLDGILHEIPLWVKNPDCDRIDWVSRFLEMMWPYLNKAICKTAQDIANPIIAENKEKYKIDSIEFETLTLGSLPPTFQGMKAYVTEEQELIMEPSLKWAANPNVTVVVKAYGLKATIQVVDLQVFASPRITLKPLVATIPCFAKILVSLMEKPHVDFGLKLLGADVMAIPILYSFVQETIKKQVASIASKRPVGILLVKVLRAQNLQKKDLLGKSDPYVKLTMSDDKLPSKKTTVKRGNLNPEWNEDFKFVVTDPETQELEIKVGKHDKMGMNKILLKELPPEETKVTTYNLLKTMDPNDIHNEKSRGQITLEMTYKPFKEDDIEKDVQGTDVVGKAPDGTPAGGGLLYVVVHEAQDLEGKHHTNPYARIIFKGNEKKTKVIKKNRDPRWEEEIEFVCEEPPANDKLHVEVLSKPPKKGLIHGKETLGYIDINLADVISNKRINETNHLIDSKTVGIVIGYFLFIYFQPTDVKNVKVRPLVEYDSDSLDDTLPEIPLWLKNPDYDRLDWLNRFLELMWPYLNKAICRIAQDVANPIIAKNKEKYKIDYIKFETFTLGSLPPTFQGVKVQVTNEQELVMEPSLKWAGNPNTTVVVKAYGLKATIQVVDMQVFVLPRITLKPLVSSFPCFANILVSLMEKPHVDFGLKLLGADVMAIPVLYKFVQETIMDQVASMFLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLREKGPLGKRDPYVKLKMSGSKLPSKKTAVKHSNLNPEWNQEFKFVIRDPETQELDINFGKDEKLGMCKISLKKLTPGTEVITDNLIKTMEPNGIQNEKSAGEITLELTYKPFKEGNIQKEDPGGLLYVVVHEAKELEGKCNTNPYVKLTFKGVEKKTKVVKENRNPRWKEEFEFECEETPANDKLHVEVLGTKKALIRNKESLGHIDISLADVIINKRIIEMYDLINSKRGQIQIEFQWKSS >ORUFI01G38560.3 pep chromosome:OR_W1943:1:32112000:32123350:-1 gene:ORUFI01G38560 transcript:ORUFI01G38560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRWPSLAESAIRRRRTDHPLLAGAFLFPATSPDVKVCPLVEYDSNSLDGILHEIPLWVKNPDCDRIDWVSRFLEMMWPYLNKAICKTAQDIANPIIAENKEKYKIDSIEFETLTLGSLPPTFQGMKAYVTEEQELIMEPSLKWAANPNVTVVVKAYGLKATIQVVDLQVFASPRITLKPLVATIPCFAKILVSLMEKPHVDFGLKLLGADVMAIPILYSFVQETIKKQVASMYLWPKTLEVPIMDPSKASKRPVGILLVKVLRAQNLQKKDLLGKSDPYVKLTMSDDKLPSKKTTVKRGNLNPEWNEDFKFVVTDPETQELEIKVGKHDKMGMNKILLKELPPEETKVTTYNLLKTMDPNDIHNEKSRGQITLEMTYKPFKEDDIEKDVQGTDVVGKAPDGTPAGGGLLYVVVHEAQDLEGKHHTNPYARIIFKGNEKKTKVIKKNRDPRWEEEIEFVCEEPPANDKLHVEVLSKPPKKGLIHGKETLGYIDINLADVISNKRINETNHLIDSKTVGIVIGYFLFIYFQPTDVKNVKVRPLVEYDSDSLDDTLPEIPLWLKNPDYDRLDWLNRFLELMWPYLNKAICRIAQDVANPIIAKNKEKYKIDYIKFETFTLGSLPPTFQGVKVQVTNEQELVMEPSLKWAGNPNTTVVVKAYGLKATIQVVDMQVFVLPRITLKPLVSSFPCFANILVSLMEKPHVDFGLKLLGADVMAIPVLYKFVQETIMDQVASMFLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLREKGPLGKRDPYVKLKMSGSKLPSKKTAVKHSNLNPEWNQEFKFVIRDPETQELDINFGKDEKLGMCKISLKKLTPGTEVITDNLIKTMEPNGIQNEKSAGEITLELTYKPFKEGNIQKEDPGGLLYVVVHEAKELEGKCNTNPYVKLTFKGVEKKTKVVKENRNPRWKEEFEFECEETPANDKLHVEVLGTKKALIRNKESLGHIDISLADVIINKRIIEMYDLINSKRGQIQIEFQWKSS >ORUFI01G38560.4 pep chromosome:OR_W1943:1:32112000:32123350:-1 gene:ORUFI01G38560 transcript:ORUFI01G38560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRWPSLAESAIRRRPGIVIGYFLFIYFQPTEVKDVKVCPLVEYDSNSLDGILHEIPLWVKNPDCDRIDWVSRFLEMMWPYLNKAICKTAQDIANPIIAENKEKYKIDSIEFETLTLGSLPPTFQGMKAYVTEEQELIMEPSLKWAANPNVTVVVKAYGLKATIQVVDLQVFASPRITLKPLVATIPCFAKILVSLMEKETIKKQVASMYLWPKTLEVPIMDPSKASKRPVGILLVKVLRAQNLQKKDLLGKSDPYVKLTMSDDKLPSKKTTVKRGNLNPEWNEDFKFVVTDPETQELEIKVGKHDKMGMNKILLKELPPEETKVTTYNLLKTMDPNDIHNEKSRGQITLEMTYKPFKEDDIEKDVQGTDVVGKAPDGTPAGGGLLYVVVHEAQDLEGKHHTNPYARIIFKGNEKKTKVIKKNRDPRWEEEIEFVCEEPPANDKLHVEVLSKPPKKGLIHGKETLGYIDINLADVISNKRINETNHLIDSKTVGIVIGYFLFIYFQPTDVKNVKVRPLVEYDSDSLDDTLPEIPLWLKNPDYDRLDWLNRFLELMWPYLNKAICRIAQDVANPIIAKNKEKYKIDYIKFETFTLGSLPPTFQGVKVQVTNEQELVMEPSLKWAGNPNTTVVVKAYGLKATIQVVDMQVFVLPRITLKPLVSSFPCFANILVSLMEKPHVDFGLKLLGADVMAIPVLYKFVQETIMDQVASMFLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLREKGPLGKRDPYVKLKMSGSKLPSKKTAVKHSNLNPEWNQEFKFVIRDPETQELDINFGKDEKLGMCKISLKKLTPGTEVITDNLIKTMEPNGIQNEKSAGEITLELTYKPFKEGNIQKEDPGGLLYVVVHEAKELEGKCNTNPYVKLTFKGVEKKTKVVKENRNPRWKEEFEFECEETPANDKLHVEVLGTKKALIRNKESLGHIDISLADVIINKRIIEMYDLINSKRGQIQIEFQWKSS >ORUFI01G38560.5 pep chromosome:OR_W1943:1:32112000:32123350:-1 gene:ORUFI01G38560 transcript:ORUFI01G38560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRWPSLAESAIRRRRTDHPLLAGAFLFPATSPDVKVCPLVEYDSNSLDGILHEIPLWVKNPDCDRIDWVSRFLEMMWPYLNKAICKTAQDIANPIIAENKEKYKIDSIEFETLTLGSLPPTFQGMKAYVTEEQELIMEPSLKWAANPNVTVVVKAYGLKATIQVVDLQVFASPRITLKPLVATIPCFAKILVSLMEKPHVDFGLKLLGADVMAIPILYSFVQETIKKQVASIASKRPVGILLVKVLRAQNLQKKDLLGKSDPYVKLTMSDDKLPSKKTTVKRGNLNPEWNEDFKFVVTDPETQELEIKVGKHDKMGMNKILLKELPPEETKVTTYNLLKTMDPNDIHNEKSRGQITLEMTYKPFKEDDIEKDVQGTDVVGKAPDGTPAGGGLLYVVVHEAQDLEGKHHTNPYARIIFKGNEKKTKVIKKNRDPRWEEEIEFVCEEPPANDKLHVEVLSKPPKKGLIHGKETLGYIDINLADVISNKRINETNHLIDSKTVGIVIGYFLFIYFQPTDVKNVKVRPLVEYDSDSLDDTLPEIPLWLKNPDYDRLDWLNRFLELMWPYLNKAICRIAQDVANPIIAKNKEKYKIDYIKFETFTLGSLPPTFQGVKVQVTNEQELVMEPSLKWAGNPNTTVVVKAYGLKATIQVVDMQVFVLPRITLKPLVSSFPCFANILVSLMEKPHVDFGLKLLGADVMAIPVLYKFVQETIMDQVASMFLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLREKGPLGKRDPYVKLKMSGSKLPSKKTAVKHSNLNPEWNQEFKFVIRDPETQELDINFGKDEKLGMCKISLKKLTPGTEVITDNLIKTMEPNGIQNEKSAGEITLELTYKPFKEGNIQKEDPGGLLYVVVHEAKELEGKCNTNPYVKLTFKGVEKKTKVVKENRNPRWKEEFEFECEETPANDKLHVEVLGTKKALIRNKESLGHIDISLADVIINKRIIEMYDLINSKRGQIQIEFQWKSS >ORUFI01G38570.1 pep chromosome:OR_W1943:1:32127369:32130316:1 gene:ORUFI01G38570 transcript:ORUFI01G38570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYETTARSWTQKYAMG >ORUFI01G38570.2 pep chromosome:OR_W1943:1:32127369:32130801:1 gene:ORUFI01G38570 transcript:ORUFI01G38570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYETTARSWTQKYAMG >ORUFI01G38580.1 pep chromosome:OR_W1943:1:32138443:32139812:1 gene:ORUFI01G38580 transcript:ORUFI01G38580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFKQGHIMASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLI >ORUFI01G38590.1 pep chromosome:OR_W1943:1:32143546:32146204:1 gene:ORUFI01G38590 transcript:ORUFI01G38590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEQVKRRFGRCPYCRAMIYQDPNAIIYYCSKCRTPIRGKNPEPTDDAEYALSQLEILSADTASVFSDDPDTLSRTSSVAYGGGEQPPVRTSSAPYAAFDRGSVRAGSRSGEQSGEERGGSPMHSRVSELRPTSRRTRRPMSGDMGAFRDDGSSYGSDNDVPTSAAASYRRRASPLTSQELEASSSSMGSSGYQPSGVSSSSMGSSSVYEPSGAARSPLTDPAFQRDLLQALDNLRRVIAAVEQPYGVDAHLQQAGMPPKSASCNDAATGGSGGGGGAYAAAVTRRNSRLMRRLESQLVQALPRDGLRRDRSTSSSSSASSSRPGGDRARAAGRKHHCRAVLGGTPFVVCDKCSEILQLPAAVSANRAARLECGGCGETLSIKLPAAAAAAASGSTDRPKKIFSAPQPAVRRLDDDDAGEEHASARSNLSGDQRWPASPAEGPLHRMLGYSTVSSVFRSRRYGEQH >ORUFI01G38590.2 pep chromosome:OR_W1943:1:32143693:32146204:1 gene:ORUFI01G38590 transcript:ORUFI01G38590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEQVKRRFGRCPYCRAMIYQDPNAIIYYCSKCRTPIRGKNPEPTDDAEYALSQLEILSADTASVFSDDPDTLSRTSSVAYGGGEQPPVRTSSAPYAAFDRGSVRAGSRSGEQSGEERGGSPMHSRVSELRPTSRRTRRPMSGDMGAFRDDGSSYGSDNDVPTSAAASYRRRASPLTSQELEASSSSMGSSGYQPSGVSSSSMGSSSVYEPSGAARSPLTDPAFQRDLLQALDNLRRVIAAVEQPYGVDAHLQQAGMPPKSASCNDAATGGSGGGGGAYAAAVTRRNSRLMRRLESQLVQALPRDGLRRDRSTSSSSSASSSRPGGDRARAAGRKHHCRAVLGGTPFVVCDKCSEILQLPAAVSANRAARLECGGCGETLSIKLPAAAAAAASGSTDRPKKIFSAPQPAVRRLDDDDAGEEHASARSNLSGDQRWPASPAEGPLHRMLGYSTVSSVFRSRRYGEQH >ORUFI01G38600.1 pep chromosome:OR_W1943:1:32146392:32148332:1 gene:ORUFI01G38600 transcript:ORUFI01G38600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPSTSAPASPAAVAGGDDSLEPWSARVRTLTRLGRHREALALLRHGDPSPPPHALALPAAVISCAKLHLASGVAQIHALAAKRGLLPSSDAYLLSALLSSYSRLRLLPLARQLLDELPLASTPPATARTAFNSLISGCALHGVPAGCFSLFRRMRVAAGVRFDAVTLLALVPVAPLGIVPQLHALAARSGLAANTSVANCLVSVYARGGGGGASLARQVFEEMPRASRDLVSWNAVISAHAQNGLAVEAVELYRRMRGPEGGGVEPDAVTLVGVLSSCAHTGARRVGLDVERYVRDTIPGFRDNLPLCNALINFHARCGSLAQAQELFDEMPERSVVSWTTLIIGYGMHGQGDIAVDLFETMVSEATAPDSVAMVGLLSACSHAGMYDEGRKYFSTMERDYCLRPKLEHYTCMVDLLGRAGRLDEARELIASMPMAADGAVWGALLGACKIHKNVEMGEEAFERVVSLEPANVGYYVLMANIYADAGQLDGVAKVRAAMRRRGLRKEPGCSYVEHKGKVHLFMADDHSHPQARRIYELVVELERMVKEKTGGDVVEERAEKAVAEAAAVPLVGFHSEKLAVAFGLLNTEAGSEIVVIKNLRVCGDCHSFLKTISELTNRAFLVRDASRFHRFENGACSCRDYW >ORUFI01G38610.1 pep chromosome:OR_W1943:1:32149115:32158933:-1 gene:ORUFI01G38610 transcript:ORUFI01G38610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKEVVAGSGSGLKDFPYTIGEPYASAWGSWTHHRGTSKDDGSPVSIFSLSGSNPQDRHLVAGRNGVKRLRTVRHPNILSFLHSTEAEVPDGPAMKHTIYIVTEPVTPLSEKLKELNLGGTQRDEYFAWGLHQISKAVSFLNNDCKLVHGNVCVTSVVVTQTLDWKLHAFDVLSEFDANNEASNSPMLQFEWLVGTQYKPMELTKSDWVSIRKSPPWAIDSWGLGCLIYELFSGAKLTRTEDLRNTASIPKSLLPDYQRLLSSAPSRRMNPSKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNIAEQLPREIVLKKLLPVLASALEFGSAAAPALVVLLKMGSWLPADQFSAKVLPTIVKLFASNDRAIRVSLLQHIDQFGESLTAQTVDEQVFPHVATGFSDTSAFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIANYMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYEMTEIATRILPNVVVLTFDPDSDVRTKAFQATDQFLQIAKQHHEKLTMGDNSAAEATGIQLKPGNAGLLGWAMSSLTQKGKGSDHGPVSSANASNSQISATSSVTSDNRSSTVAYAPSTSSSLDQTAPASARSSVDGWGEIENDNTQEENGSDKEGWDDVDPFDEKPPPSLLSNIQAAQKRPVAQPKQPVSNSSRLNQPKVPKPEEDPLWGSIAAPAPKNASKSSDIKPSTSHNDDDDLWGSIAAPPPKSAGKPLKPPAAANSDDLWGAIAAPPPSTKARPLASSGRGRGTKPAQPKLGAQRIGRTSSTGM >ORUFI01G38610.2 pep chromosome:OR_W1943:1:32149115:32158933:-1 gene:ORUFI01G38610 transcript:ORUFI01G38610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKEVVAGSGSGLKDFPYTIGEPYASAWGSWTHHRGTSKDDGSPVSIFSLSGSNPQDRHLVAGRNGVKRLRTVRHPNILSFLHSTEAEVPDGPAMKHTIYIVTEPVTPLSEKLKELNLGGTQRDEYFAWGLHQISKAVSFLNNDCKLVHGNVCVTSVVVTQTLDWKLHAFDVLSEFDANNEASNSPMLQFEWLVGTQYKPMELTKSDWVSIRKSPPWAIDSWGLGCLIYELFSGAKLTRTEDLRNTASIPKSLLPDYQRLLSSAPSRRMNPSKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNIAEQLPREIVLKKLLPVLASALEFGSAAAPALVVLLKMGSWLPADQFSAKVLPTIVKLFASNDRAIRVSLLQHIDQFGESLTAQTVDEQVFPHVATGFSDTSAFLRELTLKSMLVLAPKVDEEPAIRTNTTILLGNIANYMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYEMTEIATRILPNVVVLTFDPDSDVRTKAFQATDQFLQIAKQHHEKLTMGDNSAAEATGIQLKPGNAGLLGWAMSSLTQKGKGSDHGPVSSANASNSQISATSSVTSDNRSSTVAYAPSTSSSLDQTAPASARSSVDGWGEIENDNTQEENGSDKEGWDDVDPFDEKPPPSLLSNIQAAQKRPVAQPKQPVSNSSRLNQPKVPKPEEDPLWGSIAAPAPKNASKSSDIKPSTSHNDDDDLWGSIAAPPPKSAGKPLKPPAAANSDDLWGAIAAPPPSTKARPLASSGRGRGTKPAQPKLGAQRIGRTSSTGM >ORUFI01G38620.1 pep chromosome:OR_W1943:1:32161785:32163451:-1 gene:ORUFI01G38620 transcript:ORUFI01G38620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFVERLLVGLLAAAVVAIAVSKLRGRKLRLPPGPTPVPVFGNWLQVGDDLNHRNLAALARRFGDIFLLRMGQRNLVVVSSPPLAREVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTGKVVQRHRAGWEAEAAAVLMMYSNVYRIMFDRRFESADDPLFLRLKALNGERSRLAQSFEYNYGDFIPILRPFLRGYLRICEEVKETRLKLFKDFFLEERKKLASTKAMDNNGLKCAIDHILEAQQKGEINEDNVLYIVENINVADDAVVDGVGDRGARVNHGEIQEKLRRELDTVLGPGRQITEPDTHRLPYLQAVVKETLRLRMAIPLLVPHMNLRDAELAGYGIPAESKVLVNAWYLANDPGRWRRPEEFRPERFLEEERNVEANGNDFRYLPSGARRRSCPGIVLALPILGVTIGRLVQNFELLPPPGKDRVDTTEKGGQFSLHILKHSTIVAKPRAF >ORUFI01G38630.1 pep chromosome:OR_W1943:1:32177575:32185444:1 gene:ORUFI01G38630 transcript:ORUFI01G38630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRSDNMDSSSECSRGAHKRLLQDSRSYDQENAMKKVCIGTRTEYTYAPYHDGYQWRKYGQKMIRGNSFPRCYYRCTYHQDHGCPASKHVEQHNSEDPPLFRVIYTNEHTCGTSNSASDYMASSMQIQQIADASLRKAQAAERLRKAEVETPRLMHSPPPRCSGGYNMAMKEEKDVIVSSLLTVIRGCHIAESAGNNSAAALPVNRPPPAVARSDHYSCSYAISPELLPASDDLTLDFMLDSVLDPHWVEPLDLAWLKESTHTG >ORUFI01G38640.1 pep chromosome:OR_W1943:1:32187197:32188354:-1 gene:ORUFI01G38640 transcript:ORUFI01G38640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQREVMSVEAEPGEVAACGDWPAGAPVQCTHTPAEVLMAVEQWSCGRLVGGGRWKKSKYMIKAQVARCRCRRGLVNGQCDSALAGATLNLIKGKPHVILGAGTGSPGENASGKWQHA >ORUFI01G38650.1 pep chromosome:OR_W1943:1:32196041:32196879:1 gene:ORUFI01G38650 transcript:ORUFI01G38650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAREGGGLTIGKREGNSGGDEDSWSEARAMKVDEETVPGGSSLTTTTGVKTTSWFTGVGDGNVLDVATFLEARFRRTIPKPISSSDFCLSCSGASDCHKMPMLAGLRGGEWIHLVSRSLPLKPKGMDGDSLV >ORUFI01G38660.1 pep chromosome:OR_W1943:1:32199787:32206304:1 gene:ORUFI01G38660 transcript:ORUFI01G38660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRLKREQSFDFEEASAQEAVGSASASYSPPGGGGVFGISPPESSPRDGRKRRKDRPSLVKHTFTPHFDGHLWRKYGQKNIKDSAFPRLYYRCSYREDRQCLASKLVQQENDDDPPLYRVTYTYEHTCNTTPVPTPDVVAEQPPPGAAGDAYLLRFGSSAGGGGGGAHQQQTERERQQQNTARRRPFMMLSFDSSSSHQLHEQPHAFPPDGQLPATAAAASPSSFTAAEALAAPPLTTTMNDGGDLFSTWDALRYGLDYDHGHLGNHVYLPDDCNGGDDNY >ORUFI01G38670.1 pep chromosome:OR_W1943:1:32212226:32214458:1 gene:ORUFI01G38670 transcript:ORUFI01G38670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGQDTIEQLYRELAGGRRLSAKLQALLEGPLDSRGQKEAVDVSRELGRVFMVSLYMLKPCSNSSRRPEGVTRTAPETRTDDSICLHTPARVKRVRSEEVLVRNGREEVVTRTEIITPSPYKDGYQWRKYGQKNIQDSNYLRLYFKCTFSRERSCAAKKQVQQRDAGEPPMFLVTYLNEHTCQQPQAVPGTPNTAGSSPTTTSRQRQSSSSPPAEMLDLTMNGAGLFSRLLLPHAVGGGGSAAEEEAAIVTCLAAVISGGGAAAAPPPLIWPTSAPEAAFVASAAGHSPSAADESVADEAAAAQMADMDYCFGQYDQSTFGAAAAADHRVLIGDDGDVQRIVAARIADTVWPRYTRDTSAWETAGTSSMRGSID >ORUFI01G38680.1 pep chromosome:OR_W1943:1:32223253:32225407:-1 gene:ORUFI01G38680 transcript:ORUFI01G38680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQSRLAAAASGGGGSGISGSGGISRLGGGAGEEHEAVVRELTRGHELTARLRAEALRALRGQGQAEATATFILGEVSRAFTVCLSIMASASPSASPPQPDETPPADSAVSPPPPRAAREDNVPRKRLLTASPYDDGYQWRKYGQKKINNTNFPRSYYRCSYHRERRCPAQKHVQQRDGDDVPALHVVVYTHEHTCLQGAPAELPDAATNGGAAAAASPDYFPAGGETPSSLRRLRGVGGGGLQPQFVDHRAAMEERERQVLVSSLARVLQGRQCYDDDDDDDTDVASLGAVHARAPAAAAPVAASSSSSGPVDAAGEELDVMDYDMTDALFWGPFGTDSNSYDGNLTSTRCFDLIN >ORUFI01G38690.1 pep chromosome:OR_W1943:1:32242088:32244541:1 gene:ORUFI01G38690 transcript:ORUFI01G38690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGSSSAVVLELMTMGYQSAAYLGELLRAASPAQAGDEQQELAAEILRCCDRVIAKLNRGGATGATTGKKRKAAESAAAAAVTSPSLPVTPTKRRARGAEAVREVRSGTTTDGFIWRKYGQKEINGCKHPRLYYRCAFRGQGCLATRRVQQSQSQDDPAAAFVIAYYGEHTCGGDAAAAAACRDGELMPPAVINSGASSFAAAWNMASREPASSLAVERRSCDGDAPSETSQGWSPSFSSEVELDVVGFDLAGADSSASPVWEFLNGSFDWEFVINSL >ORUFI01G38700.1 pep chromosome:OR_W1943:1:32244126:32247400:-1 gene:ORUFI01G38700 transcript:ORUFI01G38700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVHAAAASASPAPAARVGAFHPLASSSPFLRLACATASSPSTRRRRCLDAPLRALSAGSRLAAGWGAPRTRRVIATFAGEESVGSESGDDKEKGKGEMKPEEAQEVWKEMLKQFKDEAQRMHGLTLQAYGVYSQRAREVLLEASEKLKIHADKAQKDLSVIATEVGEEGQEYLKLAAQNSPDSIKDINETFNSLGKLKISEYEDYHVGIPFGTFLTVGGFLNFMLTGRTSAIRFGIILGFALLAMGISSLRSQRAGGRRPRLLLKGQAAIASVIFFRELLIFFHYGWFPNIFVVLLSGAVTAFYTHRIMTGGHKGPSSESYTSPWMNQMITGTWV >ORUFI01G38700.2 pep chromosome:OR_W1943:1:32244126:32247400:-1 gene:ORUFI01G38700 transcript:ORUFI01G38700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVHAAAASASPAPAARVGAFHPLASSSPFLRLACATASSPSTRRRRCLDAPLRALSAGSRLAAGWGAPRTRRVIATFAGEESVGSESGDDKEKGKGEMKPEEAQEVWKEMLKQFKDEAQRMHGLTLQAYGVYSQRAREVLLEASEKLKIHADKAQKDLSVIATEVGEEGQEYLKLAAQNSPDSIKDINETFNSLGKLKISEYEDYHVGIPFGTFLTVGGFLNFMLTGRTSAIRFAIASVIFFRELLIFFHYGWFPNIFVVLLSGAVTAFYTHRIMTGGHKGPSSESYTSPWMNQMITGTWV >ORUFI01G38700.3 pep chromosome:OR_W1943:1:32244290:32247400:-1 gene:ORUFI01G38700 transcript:ORUFI01G38700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVHAAAASASPAPAARVGAFHPLASSSPFLRLACATASSPSTRRRRCLDAPLRALSAGSRLAAGWGAPRTRRVIATFAGEESVGSESGDDKEKGKGEMKPEEAQEVWKEMLKQFKDEAQRMHGLTLQAYGVYSQRAREVLLEASEKLKIHADKAQKDLSVIATEVGEEGQEYLKLAAQNSPDSIKDINETFNSLGKLKISEYEDYHVGIPFGTFLTVGGFLNFMLTGRTSAIRFGIILGFALLAMGISSLRSQRAGGRRPRLLLKGQAAIASVIFFRELLIFFHYGWFPNIFVVLLSGAVTAFYTHRIMTGGHKGPSSEIYK >ORUFI01G38710.1 pep chromosome:OR_W1943:1:32248851:32253787:-1 gene:ORUFI01G38710 transcript:ORUFI01G38710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADFAASKRAMAYALCKHLSLDPNTISSTSIEESDIATLFSHIVKSSEDEVLKWVEFSSNFVGKCGEQHALLGNLNQDLSQKSVLLGNGFKPSVADIVVFATIQVFVSHLGENELQKYPHVLRWMDYIQNIVDFGTTVQKVNLTKSVFDPPSHPKKADKGDTDPSSKKVVSGQKNVGKSDANVDSKKAAPENKAPENKANPTPAKNNKPSGDKKKAQEKSAGKPNEGVADKAPQKTAEKDSECNVSILNIQVGLIRKAWKHPSADSLLVEEIDLGDGNVHQVVSGLAKFFSPEELVNRHVVLITNVKPGKLRDVMSAGLVLCASNQDHTVVEPLIPPEGAKPGERISFAGFDGKPEDVLNPKKKQLDKITPDLHTDGNGIATYRGVPFTTSAGPCRSSVPNASIK >ORUFI01G38720.1 pep chromosome:OR_W1943:1:32261651:32264966:-1 gene:ORUFI01G38720 transcript:ORUFI01G38720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPLARLLAALLAVAAAATAATALTDDVLALVVFKTGVADPMGRLAAWTEDDDRPCSWPGVGCDARAGRVTSLSLPGASLSGRLPRALLRLDALASLSLPRNNLSGPVLPGLLAALPRLRSLDLSSNRLAAPVPAELFAQCRSIRALSLARNELSGYIPPAVTSCASLVSLNLSSNRLAGPIPDGLWSLPSLRSLDLSGNELSGSVPGGFPGSSSLRAVDLSRNLLAGEIPADVGEAALLKSLDVGHNLFTGGLPESLRRLSALRFLGVGGNALAGEVPSWIGEMWALERLDLSGNRFSGAIPDAIAKCKKMVEADLSRNALAGELPWWVFGLPLQRVSVAGNKLYGWVKVPADAALALRALDLSSNGFSGGIPPQITAFAGLQYLNMSSNSFARQLPAGIGGMRLLEVLDVSANRLDGGVPPEIGGAVALRELRLGRNSFTGHIPSQIGNCSSLVALDLSHNNLTGSIPSTVGNLTSLEVVDLSKNKLNGTLPVELSNLPSLRIFDVSHNLLSGDLPNSRFFDNIPETFLSDNQGLCSSRKNNSCIAIMPKPIVLNPNSSTNPLSQATPTAPSSMHHKKIILSVSTLIAIAGGGTIIIGVIIISVLNRRARATTSRSAPATALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKLLSKVRHHNVVALRGFYWTSSLQLLIYDYLPGGNLHKHLHECTEDNSLSWMERFDIILGIARGLTHLHQRGIIHYNLKSSNVLLDSNGEPRVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRLEDCMDPRLCGEFPMEEALPIIKLGLVCTSQVPSNRPDMGEVVNILELVRSPQDSLEDELV >ORUFI01G38730.1 pep chromosome:OR_W1943:1:32267344:32271045:-1 gene:ORUFI01G38730 transcript:ORUFI01G38730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRAYRNRTICGDNSVLIIRRYLPRDKYETSWQRLDYTNAKYDCLEQSYRHLHVDVDLRRFYIFNPS >ORUFI01G38740.1 pep chromosome:OR_W1943:1:32272199:32272527:-1 gene:ORUFI01G38740 transcript:ORUFI01G38740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKSLRRSALLQLPCGCFAETRVVLDEKTEHLQLPPTRLETGFRNTAEGMGRSGGHGSPPPWRPSSAYAAEA >ORUFI01G38750.1 pep chromosome:OR_W1943:1:32273851:32274357:1 gene:ORUFI01G38750 transcript:ORUFI01G38750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARQLDGGGIAMEIVEEEDAGATRLGRLSLSLDLNGGGGGAFRPSTLLDEYERLAIEAQLDRAVLRRSYSEPSPSRLAVVAPQDKQEAPPGAAGRRAKEEEKPGAGRAPARRSWLLEALKRLLCWLGIGGAWGGGRRRGEEPAAPCPPAPPPRMQLLDYLTTTSTT >ORUFI01G38760.1 pep chromosome:OR_W1943:1:32275865:32278709:-1 gene:ORUFI01G38760 transcript:ORUFI01G38760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTVLRSKKKKPLALTKKSVDARESTSSRLPEPEAHVPSLQSAPPSFRNKAKIHQSEKKASYSRARVLSAPSSLIVVDQDGLPYAEFDDQDDSRGKGGSIKGHRFSNPLPLPLPSPEGKSLRNFGSFKAINASGPLDASGPLPLPPKKCDGLKNFSYEELSSACQWFSGDQCVSESLTSTSYKASFRDDFTDPKTIEAIVSRLLSSTQSLKEFKTQVNTLASLQHPNLCKLIGFHAREESNERMLVYERLHHGSLDKLLFGRSDGRFMDWSARLKVALGAARGLAFLHDEGPFQAMYNDFSTSNIQIDKDFTAKLSGYGCVGFNTEEEISNASVAAANLSVETLEKGVLTPKSNVWCFGVVLLELITGRKNLDVRSSKEERNIVKWSRPFLTDDSRLSLIMDSRIKGRFPTKAARIVADIILRCLNKDPSERPTMRAVVESLASVQDIKVPCRYPLQEPSAAPRKVMLKSTSLNGIIHHHPVVTFSPSPPSRNQHLLSPRSSTSALLPPRTSCALDDPRVSSIKKSPSPILRRSGVEGF >ORUFI01G38770.1 pep chromosome:OR_W1943:1:32290888:32291258:1 gene:ORUFI01G38770 transcript:ORUFI01G38770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVHTNQSYPNMTLTTIYSNIPPQSKPRTLGLKKKPTRVLNTDDNPLCRSRCSQSEGCEGAVVDEAVCRVAVVDVVEVRKLMSRERMRRRRVVVDAREGRRGPRCTRMHWRRRW >ORUFI01G38780.1 pep chromosome:OR_W1943:1:32291422:32292195:-1 gene:ORUFI01G38780 transcript:ORUFI01G38780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPCFFSPFQKTGLRRPPPRQHPRVSDIGVDSASTSSFRLAHIQLDHPFKRPATTTCATDHHRACVYTIKLWGAAAFAPLGPQCRCLWSTPPLVVAVLRGTLLSISTSPVDFLPLHRHGAAAVLSSRTAASPLSSSSSSFAHQQPRRPHWSSSAAQGLLPRLRALPPHLQAATVATLGRWCSYLYMATNIAIQAVGLTTRPSSSSSMIHRQCHRISLDYISLFSGNCALLRQFSLYAVLASRPSWRPSLLVSCNIGI >ORUFI01G38790.1 pep chromosome:OR_W1943:1:32297161:32299142:-1 gene:ORUFI01G38790 transcript:ORUFI01G38790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGECPKTGAAMVVWWRKQWQPCIPANSSLCNYLVWLPSPQQPGSTCRVENMKNGDAHGSAAVLAILILLASSM >ORUFI01G38790.2 pep chromosome:OR_W1943:1:32297161:32299142:-1 gene:ORUFI01G38790 transcript:ORUFI01G38790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGESMVVWWRKQWQPCIPANSSLCNYLVWLPSPQQPGSTCRVENMKNGDAHGSAAVLAILILLASSM >ORUFI01G38800.1 pep chromosome:OR_W1943:1:32300280:32300925:-1 gene:ORUFI01G38800 transcript:ORUFI01G38800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHTKSMSWYMGTPASPSPGSAGEAQHALSSGGGGGSDASFDTNMVCAICLGEFADGEKVRVLPRCGHGFHVRCVDTWLVSHDSCPTCRGSVLHGATTKHKPTAAAAAAGSRRPGSEVDAPAAAAVTVVIA >ORUFI01G38800.2 pep chromosome:OR_W1943:1:32300282:32300908:-1 gene:ORUFI01G38800 transcript:ORUFI01G38800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHTKSMSWYMGTPASPSPGSAGEAQHALSSGGGGGSDASFDTNMVCAICLGEFADGEKVRVLPRCGHGFHVRCVDTWLVSHDSCPTCRGSVLHGATTKHKPTAAAAAAGSRRPGSEVDAPAAAAVTVVIA >ORUFI01G38810.1 pep chromosome:OR_W1943:1:32309462:32309910:-1 gene:ORUFI01G38810 transcript:ORUFI01G38810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRCATLAVVVVLVAAVVAPPTAVRAAISCSAVYNTLMPCLPYVQAGGTVPRACCGGIQSLLAAANNTPDRRTICGCLKNVANGASGGPYITRAAALPSKCNVSLPYKISTSVNCNAIN >ORUFI01G38820.1 pep chromosome:OR_W1943:1:32317513:32318600:-1 gene:ORUFI01G38820 transcript:ORUFI01G38820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQETTSRPAGVESVEERPRGGELDAREVELDEPIADDEAVVEAELGRVCVHGRRGLAVVGDQALDKGPEAKRRQWRSGELLADWGRFYNSMTSSGTFDVLFPNPVLTNFCGPHHVLTNPHS >ORUFI01G38830.1 pep chromosome:OR_W1943:1:32324561:32326521:1 gene:ORUFI01G38830 transcript:ORUFI01G38830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSALLLLFSAFCFLARRAAADYGSWQSAHATFYGGGDASGTMGGACGYGNLYSTGYGTNTAALSTVLFNDGAACGSCYELRCDNDGQWCLPGSVTVTATNLCPPNYALPNDDGGWCNPPRPHFDMAEPAFLQIGVYRAGIVPVSYRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGPGDVQSVSIKGSSTGWQPMSRNWGQNWQSNSYLDGQSLSFQVAVSDGRTVTSNNVVPAGWQFGQTFEGGQF >ORUFI01G38840.1 pep chromosome:OR_W1943:1:32327869:32332204:1 gene:ORUFI01G38840 transcript:ORUFI01G38840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGRMAGTAAALGLSVVSSVSIVVCNKALMSTLGFDPVKTTPPAAAAAAGEQHGRVFAAEHPARMAFRGPGISLRSSASGLLCAVLLSRRWRRLVAFAPALGPRSTGDLPASRSPTSMKLFENKDLDPKTIIGFGILNGISIGLLNLSLGFNSIGFYQVTKLAIIPCTVSLETILFRKTFSRRIQMALAVLLFGVGVATVTDLQLNRLGSLLSLFAVLTTCISQIMTNFIQKKFKVSSTQLLYQSCPYQALTLFIIGPFLDGFLTNQNVFAFKYTSEILVFIVLSCLISVSVNFSTFLVIGKTSPVTYQVVGHLKTCIILGFGYVLFNDPFSWRNILGILLALLGMILYSFFCLMENKQKAPELSAPFFHTKVKGGEAGTLLLVQNGSAKVADGVVTEGPMWRSNRDLDA >ORUFI01G38840.2 pep chromosome:OR_W1943:1:32327777:32332204:1 gene:ORUFI01G38840 transcript:ORUFI01G38840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGRMAGTAAALGLSVVSSVSIVVCNKALMSTLGFVFATTLTSWHLLVTFCSLHVALQMKLFENKDLDPKTIIGFGILNGISIGLLNLSLGFNSIGFYQVTKLAIIPCTVSLETILFRKTFSRRIQMALAVLLFGVGVATVTDLQLNRLGSLLSLFAVLTTCISQIMTNFIQKKFKVSSTQLLYQSCPYQALTLFIIGPFLDGFLTNQNVFAFKYTSEILVFIVLSCLISVSVNFSTFLVIGKTSPVTYQVVGHLKTCIILGFGYVLFNDPFSWRNILGILLALLGMILYSFFCLMENKQKAPELSAPFFHTKVKGGEAGTLLLVQNGSAKVADGVVTEGPMWRSNRDLDA >ORUFI01G38850.1 pep chromosome:OR_W1943:1:32332809:32335041:1 gene:ORUFI01G38850 transcript:ORUFI01G38850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRDRRPPERFRRREDRPQGPRPGGGAPAPGGAAAPAPNVART >ORUFI01G38860.1 pep chromosome:OR_W1943:1:32335535:32338314:1 gene:ORUFI01G38860 transcript:ORUFI01G38860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSRAPRRPRVRAPARAPPPTPIRTARGARSAAADELVLAEFLEASLRVPDLALPPKMSSRRSFRYPAPPPTPDVLAGALLSGPDPDAARTAVGAAAESGAFRVGGAVDAGEVRAAVEAAEAVFRAPEEVKRELGRWFRRRDRVAGEEFYWFRPATASSDDDRVLDAALPGSTYQVLREKMEIVASKMEDLAQCVMRVLSDNARNPEDSALSTGAASILCLTLYNCNKLKTHWSEFGSTNPPNSYALSIHLSGRDQEICLRNQSGSTFFSLPAGSMLVTIGKQIQEWSNGEFKNAVGEILFELTDEPNPFISLELLYSPGHLRLPDVGRHARCIDHPKTVSFRDQILVALVLLVFFYLFWR >ORUFI01G38870.1 pep chromosome:OR_W1943:1:32347049:32348188:-1 gene:ORUFI01G38870 transcript:ORUFI01G38870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHKFRLSDMIPNAWFFKLRDMRAARRGAGAGGGGASHGGVVTQSSVAVSRAGRACRPLPNTPRHGALSLPHRASYYYTPRAGDLLVGSPLHPKCSDTQFPPLQLSPPRKSRRRHRRRSVKLAPSVSGSSVLSSPVSTGCRCGRKPELVVVEAPDTPPCRRDKFVGYNDDDDDEEEEEVEFKKPTVAVAACDELDGKVITSATDIIIDLRTEKRPDKVLPPIVTKPARRELDGCDLEEKHIDVVRRASAKKPTTLLEQSKPRRSVSSARRLKTRANTPRIVAKKSKPPPPPPPAAARSPAPTTKPPLAESFAVVKSSRDPRRDFRESMEEMIAENGIRTAADLEDLLACYLSLNAAEYHDLIVDVFEHIWANLADIKM >ORUFI01G38880.1 pep chromosome:OR_W1943:1:32354016:32357554:1 gene:ORUFI01G38880 transcript:ORUFI01G38880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAITLSHSQSASFGHHHHQTMPSSFRPSTASTRSVKVYAKEDEEKGSKQSLFGSITEALDFSQVRSEKDAELLYEAREATKDGGRMTKEQYGALRRKIGGTYKDFFKSYVDVDGEYVEEGWVDKTCKVCKKDTRGEPRQVDNLGRYAHVACLENPKPTNIFAKLFAR >ORUFI01G38880.2 pep chromosome:OR_W1943:1:32354016:32358893:1 gene:ORUFI01G38880 transcript:ORUFI01G38880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAITLSHSQSASFGHHHHQTMPSSFRPSTASTRSVKVYAKEDEEKGSKQSLFGSITEALDFSQVRSEKDAELLYEAREATKDGGRMTKEQYGALRRKIGGTYKDFFKSYVDVDGEYVEEGWVDKTCKVCKKDTRGEPRQVDNLGRYAHVACLENPKPTNIFAKLFAR >ORUFI01G38890.1 pep chromosome:OR_W1943:1:32364949:32366991:-1 gene:ORUFI01G38890 transcript:ORUFI01G38890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNPAAAAPSSSGSSSVFLPPPSPSDGELLRPLHRLARDLSAVDTPAPFLRAAFASISRRSKLLAAAFDDLLLCGAAGELPRSASLCLREVLLVLQRFKAIVADCSARSRMRLLLESDEMEAELRELNHDLATLLDLLPVVELGLADDVLDVLALASRQCRRCSPAPESEEALKASVLSLIQEIEREIVPERERLEEILVEVGINDPASCSEEIESLEQEIGDRASEKWTASMIALVGLLRYAKCVLFSATPRPSDSNSKADVEAEDGEPPVPPSDFRCPISLDLMRDPVVVASGQTYDRESIDRWFSSGKSTCPKTGQVLANLELVSNKALKNLISKWCRENGVAMEACEASKSEQAQAVAANKAALEAARMTASFLVKKLSVSFSPDAANRVVHEIRLLSKSGSENRAFVGEAGAVPLLVPLLYSEDAGLQLNAVTALLNLSILEANKKRIMHADGAVEAVAHIMSSGATWRAKENAAAAVLSLASVHSYRRRLGRNQSVVEKLVHLVRTGPTSTKKDALAALLTLAGERENVGKLVDAGVAEVALSAISKEETAAAVLAALAKRGGAEAIVNIDGAVARLVAEMRRGTDWARENATAALVLLCRRLGAPAVTQVMAVPGVEWAIWELMSIGTERARRKAASLGRICRRWAAASAADGERGGGCPVATVVPPAMMAS >ORUFI01G38900.1 pep chromosome:OR_W1943:1:32378336:32379459:-1 gene:ORUFI01G38900 transcript:ORUFI01G38900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSLEEGVAATSLNDGVAARQIQWRRLVRARRKDGCGRSFVAATMVVAALPSSPGRQRGSGATEWPASFGLSGSGAVWPASSDGRDENELDGCGQRRRARRLAGGRLLAWEGGRCHSLHDAEAASSPSFIRPLFRQEPVAIFGHSNLILVGLEVDVACALLLCRRGMARENDTSVNGLTASPSPSAFGTWPS >ORUFI01G38910.1 pep chromosome:OR_W1943:1:32378474:32381057:1 gene:ORUFI01G38910 transcript:ORUFI01G38910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFECPKMATGSWRKRGRMKLGDDAASASWSEWHRPPSHASSRPPASRLARRRCPQPSSSFSSLPSELAGHTAPDPLNPKLAGHSVARFTPVGGEPEERGRRRGSRGRRPRRPCRAGRGGGGEALEPPVASGIGVSGASGRGGQEGRVAAVSPGARRPLRGCPVPPDPRCRPGEEGSAATTMVAATKLRPQPSLRRARTSRRHWIWRAATPSLRLVAATPSSRLVPAMREEGRPRSSPPSSAKPATPPRLPPSSPPCCRASGLHAKTAAVVSSLPPPPSSPDPPTLRPATPAPSPLATAPPGPVAVEAAAPLERLSAGSARACGCTAAGGGWAEEACDNVGCRRLLPVVLSNQIRGSITFSSTADKSILAVQLAQ >ORUFI01G38920.1 pep chromosome:OR_W1943:1:32381629:32384136:1 gene:ORUFI01G38920 transcript:ORUFI01G38920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAALTVGPGMDMPIMHDGDRYELVRDIGSGNFGVARLMRSRADGQLVAVKYIERGDKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIQRILGVQYSIPDYVHISPECRDLIARIFVANPATRISIPEIRNHPWFLKNLPADLMDDSKMSSQYEEPEQPMQSMDEIMQILAEATIPAAGSGGINQFLNDGLDLDDDMEDLDSDPDLDVESSGEIVYAM >ORUFI01G38930.1 pep chromosome:OR_W1943:1:32384800:32389124:-1 gene:ORUFI01G38930 transcript:ORUFI01G38930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPPAAEVASQVNSWVDRVTSGLIKEILPPGSVDHTTRLVLGNALYFKGAWTEKFDASKTKDGEFRLLDGKSVLAPFMSTSKKQYLSSYDSLKVLKLPYQKGRDLRQFSMYILLPEAQDGLWSLAAKLNSEPEFLEKRIPTRQVTVGKFKLPKFKISFGFEASDLLKILGLQLPFSSKADLTGMVGSPERHNLFVSSLFHKSFVQVDEEGTEAAAASAAVVSFRSAPVTVDFVADHPFLFLIREDMTGVVLFIGHVVNPLL >ORUFI01G38940.1 pep chromosome:OR_W1943:1:32393366:32393690:-1 gene:ORUFI01G38940 transcript:ORUFI01G38940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGVNLRKEFGRQCFTTVGFMKQEFDHYDELRPHCAVTSSKWDSRTAMLSSALQTVDIVRVKLDSLCRGELNHSNVPYSRFWSCAIRVIPTPEV >ORUFI01G38950.1 pep chromosome:OR_W1943:1:32394112:32394840:-1 gene:ORUFI01G38950 transcript:ORUFI01G38950.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVALRTPRLDVYSGQSLTTPSTSIARRTLAFRLCAIQAALLPLTEVLSASKELTWTQMATLKPREILRHKARHN >ORUFI01G38960.1 pep chromosome:OR_W1943:1:32402160:32402629:1 gene:ORUFI01G38960 transcript:ORUFI01G38960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAERDATATGTGRVDDRGEWDGLVPREEYFSQIRDQLIRPKLADKLVPPGTSERRDDRCSRTTLLAHLPYLPSGDGGGLIDGGGNRLGSSGGDRLG >ORUFI01G38970.1 pep chromosome:OR_W1943:1:32402644:32403222:1 gene:ORUFI01G38970 transcript:ORUFI01G38970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSDRLGNTGSCGGESGDAGSDDGEGSGGGRWLGRRRKAPRWRIRRWGGLRRRKTARATAAGSAATVDAITRRIASLRDRSSVAEMEVRAEAAAAIVVANGVFSGGKRRPSHPSLIPSTKQKKLGSFYSINQTRK >ORUFI01G38980.1 pep chromosome:OR_W1943:1:32408477:32410753:1 gene:ORUFI01G38980 transcript:ORUFI01G38980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGRWRRSGSSMLKLVVALCFFAVAISLCCVCFSSGCGGSGCGGFRRRAVLLRLDFRTRATAYFGANQDHSSSGRRWRRLLAEGPGSYPPRCTAKCGACVPCYPVHVAVPPGVPVTTEYYPEAWRCKCGNRLYMP >ORUFI01G38990.1 pep chromosome:OR_W1943:1:32413995:32418096:1 gene:ORUFI01G38990 transcript:ORUFI01G38990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRSILMGRYEVGKQLGQGTFAKVYYARNLTTGQAVAIKMINKDKVMKVGLMEQIKREISIMRLVKHPNVLQLFEVMASKSKIYFVLEYAKGGELFNKIAKEGKLSEDSARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADVWSCGVILFVLVAGYLPFHDPNLIEMYRKICRADFRCPRYFSAELKDLIHKILDSDPSTRISIPRIKRSTWYRKPVEINAKNSEAATTNSISSGVATTSGSAECSTSEENQGSLSLPNLNAFDIISLSTGFNLSGFFEDTHGHQEERFTTRQPVTTVLGKLKELAKRLKLKVKKKDNGVLRLAAPKEGKKGFLELDAEIFEVTPSFLLVELKKTNGDTMEYRKLVKEDIRPALKDIVWVWQGDEHLNSQSILQGEQQQSPLPPELPQDQLQPSLPQQEKQDMPEPPLLPQVPQEEVNASTGNHNDHWLVHWLMMKPFRFPPPDSVPSTGRDEEEGSSHHGNGSGAARENPENPLPDLALAKSESDRIKAFYQTVLSAIVVFIVAALSGYKDMKPLYSTTNHKKVHLSNLLVIEGFCMIATFICAAVLMMYEFYTCRDLTVLIAVAGAMLVATDTILVVTANRDNAVFAVLFVPVLLLVGMAVYTGASMEEQPPNSPHDGGYDEATKSTFDLATVSTMAALGLQGTIVFGYLKTPEKKSEHDDPPLDLAVCYIASTVCLTVMARKALVGIIGSLRHVLLASLALMAVVVSVEFLDGFVVLSVWPEAVALVLYYAAQLCSGRQPGGGVGSRPWIEFVFRIVATAGFTLMAGLYAAFLGTNHYSVYLKAPCSCS >ORUFI01G38990.2 pep chromosome:OR_W1943:1:32415627:32418096:1 gene:ORUFI01G38990 transcript:ORUFI01G38990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFNFGNYKGTPPSISIIYVKQVNASTGNHNDHWLPPDSVPSTGRDEEEGSSHHGNGSGAARENPENPLPDLALAKSESDRIKAFYQTVLSAIVVFIVAALSGYKDMKPLYSTTNHKKVHLSNLLVIEGFCMIATFICAAVLMMYEFYTCRDLTVLIAVAGAMLVATDTILVVTANRDNAVFAVLFVPVLLLVGMAVYTGASMEEQPPNSPHDGGYDEATKSTFDLATVSTMAALGLQGTIVFGYLKTPEKKSEHDDPPLDLAVCYIASTVCLTVMARKALVGIIGSLRHVLLASLALMAVVVSVEFLDGFVVLSVWPEAVALVLYYAAQLCSGRQPGGGVGSRPWIEFVFRIVATAGFTLMAGLYAAFLGTNHYSVYLKAPCSCS >ORUFI01G38990.3 pep chromosome:OR_W1943:1:32413153:32416076:1 gene:ORUFI01G38990 transcript:ORUFI01G38990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGRSILMGRYEVGKQLGQGTFAKVYYARNLTTGQAVAIKMINKDKVMKVGLMEQIKREISIMRLVKHPNVLQLFEVMASKSKIYFVLEYAKGGELFNKIAKEGKLSEDSARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADVWSCGVILFVLVAGYLPFHDPNLIEMYRKICRADFRCPRYFSAELKDLIHKILDSDPSTRISIPRIKRSTWYRKPVEINAKNSEAATTNSISSGVATTSGSAECSTSEENQGSLSLPNLNAFDIISLSTGFNLSGFFEDTHGHQEERFTTRQPVTTVLGKLKELAKRLKLKVKKKDNGVLRLAAPKEGKKGFLELDAEIFEVTPSFLLVELKKTNGDTMEYRKLVKEDIRPALKDIVWVWQGDEHLNSQSILQGEQQQSPLPPELPQDQLQPSLPQQEKQDMPEPPLLPQVPQEEVQTSIPAEQTKN >ORUFI01G39000.1 pep chromosome:OR_W1943:1:32422082:32424433:1 gene:ORUFI01G39000 transcript:ORUFI01G39000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATPANCSVAASSREPGDTEESMAKLLPDYVLTIILRCLAPHDLAMSRCVCKLWCTIIDTHRMLRVDLLPHSVGGIFINFHDLGLSEFFAHPSTGPTISGNINYLPLTSIVRGHCNGLLLLYSHISRPGMKQFYVVNPATRQWVQLPPPPRPDIGIMHLDNLYLAFDPTLSSHFEVFQIPYVDVFRHRSELNPAIEGIEWPPSTCVLHVFSTRTRQWEERSFVREGEAAGSLAIIRRDFPNFLHNAVYYGKYRIIKPPVEIERYEESNIYMGLSQKRVYCTFFDDPDIIYILDESYGKMEWVQKNAISCLVIHAFQQTDGPWTLQDITIMNILMHERNLRYGRITFLGFHPYKEVVFSSHSLSRGLAYHLNTMKFRGFGKIQDLGNLGPKYYGGCMGIQPFIEESFLCTPWMGEFPKDIN >ORUFI01G39000.2 pep chromosome:OR_W1943:1:32422042:32424435:1 gene:ORUFI01G39000 transcript:ORUFI01G39000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSQKRVYCTFFDDPDIIYILDESYGKMEWVQKNAISCLVIHAFQQTDGPWTLQDITIMNILMRTDMTTAIEEQNFDWDSDNDNFINTTDERNLRYGRITFLGFHPYKEVVFSSHSLSRGLAYHLNTMKFRGFGKIQDLGNLGPKYYGGCMGIQPFIEESFLCTPWMGEFPKDIN >ORUFI01G39010.1 pep chromosome:OR_W1943:1:32427954:32430886:1 gene:ORUFI01G39010 transcript:ORUFI01G39010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTEEDSDSFQDDSEELQMQVTKKTLKRVISLAETFIAIATGILAAAFSAGKDVHLHRHVLAAGGCFLVVTYLSALLLIYMKLFLSDHRRLRRWHVRSLQLLCVTSGASLVATNSLLLVLIGEGNGLLSLNLLPVQGIVGVLAYHATPTEGSARDEAFEAQVKSARKVALFAAATAFAVQTTLVFGAFSNAALQAMGGRRLDLSVSFLASALSVFLVVATCMPLGFRNQGARDKVLSIVRYLKKGVMAVLAVTAVTLGQEFLGGATALALFPEITVAAMYYAVSMPADEAAAAADRKMEVLPTVVVATFGFGMLGAAYAALFGTPEYDLYTKALAFTLLTAVVSSLGRVAGPLCNAQRDKSSAAWVTFLSSILPIVEMLVAVPLAAKVMVDFLAVPGNG >ORUFI01G39010.2 pep chromosome:OR_W1943:1:32428969:32430886:1 gene:ORUFI01G39010 transcript:ORUFI01G39010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWCSDIFNPVFNDFFVGDDSEELQMQVTKKTLKRVISLAETFIAIATGILAAAFSAGKDVHLHRHVLAAGGCFLVVTYLSALLLIYMKLFLSDHRRLRRWHVRSLQLLCVTSGASLVATNSLLLVLIGEGNGLLSLNLLPVQGIVGVLAYHATPTEGSARDEAFEAQVKSARKVALFAAATAFAVQTTLVFGAFSNAALQAMGGRRLDLSVSFLASALSVFLVVATCMPLGFRNQGARDKVLSIVRYLKKGVMAVLAVTAVTLGQEFLGGATALALFPEITVAAMYYAVSMPADEAAAAADRKMEVLPTVVVATFGFGMLGAAYAALFGTPEYDLYTKALAFTLLTAVVSSLGRVAGPLCNAQRDKSSAAWVTFLSSILPIVEMLVAVPLAAKVMVDFLAVPGNG >ORUFI01G39020.1 pep chromosome:OR_W1943:1:32432052:32432726:1 gene:ORUFI01G39020 transcript:ORUFI01G39020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNAAEVARPKSPLRGILPQSPLRIKQDGKFYERLLAKERSAASRSFRHYWAAEPGSVPFVWESQPGTPKVDVSRMVAGAVPAITPPPSYLLRHGGKAGAAAPAAPRRRPQGKGKAKTGGKTRYRFKRIKIGFLASIFRRIALGHVWRRSAASVQVSSLSSRWLFSSAATAPEKAEHLDHDTPAPPPPPRPNTELSTRARARPSLWMLRFRGFRSWSRDDGWA >ORUFI01G39030.1 pep chromosome:OR_W1943:1:32434857:32435228:-1 gene:ORUFI01G39030 transcript:ORUFI01G39030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGTILREEQRSSTVKRPCDARWTNTVSGRLRNGLHKVKCASAGFMKKMENRRGATVLSTVACRHALACA >ORUFI01G39040.1 pep chromosome:OR_W1943:1:32439615:32440536:1 gene:ORUFI01G39040 transcript:ORUFI01G39040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDRAKEVATSSRKEEHCGCKRKLIPIILYLHNGRLVIGSVEDALEHELANRLYTLARVLVLSFNYCLALEHPIPACYDDAWSTPQSPFAIRATSADPRWWAFVLGTMLLRKMEKRSEEGEEKESLIMWVPPVMSANNASHTIKKVDFLHRFSKMGETLYPVLRLRDAIQSGGRTREV >ORUFI01G39050.1 pep chromosome:OR_W1943:1:32441550:32443285:1 gene:ORUFI01G39050 transcript:ORUFI01G39050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERERLDEIGKKIKREPDTAGVVVAAVAGTGTGTATPIEHRVPHRLGLGGAVNTVTPCAACKLLRRRCAQECPFAPYFSPHEPHKFAAVHKVFGASNVSKMLLEVGEAERADAASSLVYEANLRLRDPVYGCMGAISMLQQQVNALEAELEAVRAEIFKRRYRQAGAATGLMDDVQVHITAGFAAPPPPPSMHARDVVSVADAGGQGQELAGAPVISSASPPVYPAGQPSTSTTDYSSLNTSEHAAYFG >ORUFI01G39060.1 pep chromosome:OR_W1943:1:32460161:32462121:-1 gene:ORUFI01G39060 transcript:ORUFI01G39060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSAHWLSLVGSIWLQTINGPNSDFPVYSSQLKELKSISQVQLNFLAFASDAGKLFGWFSGVAALYLPLWVVAFVGAAFGLVGYGIQYMFLDSSGLRYWHLFLLTALAGNGICWINTVSYLLCINNFASNSRVAVSLATSYLGLSAKVYTSLAETFPGLANSKTKTYLLLNAVVPLFVTVMVAPSLRVFDLKSAAASSDAAFLVMFAITLATGACAVVGSIGSTANGLSSKEHMISLGVLLATPILIPVGLKIRETLTKIRETQRENRIHDLGTDESESVESVVVIDVAADANAEVAKEEDAVVKKPQEEVGGLRLLKSPDFWLYFFSYMFSGTLGLVFLNNLGQIAESRGIGQTSTLVSLSSSFGFFGRLLPAFMDYYSAKSGYSISRTGSMASLMAPMAGAFFLLLNQRDFFLYLATAVIGTCTGAITSVAVSATRELFGTKNFGVNHNVVVANIPVGSLCFGYFAAFLYQREAGARGTLTCSGAGCYRETFAIWGTTCAVGTLLCAALYARSRNFAGRLPVRIPSCLARLANLV >ORUFI01G39070.1 pep chromosome:OR_W1943:1:32462593:32464466:-1 gene:ORUFI01G39070 transcript:ORUFI01G39070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYHNNPLPLAAGRRDRSSMGFHHLSSACRQRRLLLLTLSTEEAEIARLSWHCSACNAGQNHSDVYERGRQVSNGQLR >ORUFI01G39080.1 pep chromosome:OR_W1943:1:32466019:32469176:-1 gene:ORUFI01G39080 transcript:ORUFI01G39080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPRCTLSVLLPVALLLCAAIASPDFPLGKNFTVPLYYQQPADLAVTTTTVLNASHGAPLRPGVAAAISVVAGTGGLEGLSMCSLVVLLGNVTVWASDHDGGRFLVRGFCRLELTVDGDLRLTDAAGTVGWSSATAGRRAKVLRLTRSGNLRLLDAKNQYVWQSFDKPADKLLRGQRIGVPSYLTAPVTMIGSAFFSLELKERSITANFNVGIKRYTYWELTPRHNRSVAFAEMDVLGLRLLDRQRRPVAQISPAIEAQVSFLALGEDGNLGMYFYDSHDMKFGPSYEALGFCELPLACGLRGVCSAAGECDDFSTYGVHPAPAAHRHSACNATTVADRHYMAVMEGVTTAIRPASPPTANVTMRQCADSCLRDCSCAAALYVLAAVADHGGACSRYEMTAGAREVIGGGHRHNYLYLVKAPRTRDSEHEHGDDDGAVSRVLTRILIGFGTLDVIGLCALTWLCAYYCIYLRDIPVLDDKDDEEADDEGGEAARRGDAVSQSPPTNSEPVIELN >ORUFI01G39090.1 pep chromosome:OR_W1943:1:32471023:32479451:-1 gene:ORUFI01G39090 transcript:ORUFI01G39090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKKTSIAAAAAAAGDSGAAETSLLPERYAGAGTPAAAASVLGAVFNVSTSVVGAGIMSIPAAMRVLGVAPTVALVVGVALLANAAVDFMLRYTRGPSSYAALMGDAFGRAGAALLNVFVAFNAIGTLTVYLIIIGDVMSGTTSDGKVHDGVLTEWFGQQWWTGREAVLVAAAVLLLPLVLRKRVDSLKFTSAVSILLAVVFMFISLGIAVYALFTGTAKMPRMLPDFSRLSSPFELFTAVPIVVVAFTFHFNVHPIRTELSKTSDMKAAVRISLVLCAAIYAAVGFFGFLLFGDATMADVLANFDRSSGAGVPQALNDAARLSYALHLVLVFPLLHFSLRVNVDELLFPGRRPLATDTRRFVALTAVLMAVLYALAIAIPSIWTLFEYSGSTFAVCISDAHGIAKTKDKALAATMIALAVITSSIAIASNVMSSISGKVEGGHAAGRQTKDVVKAVKKRLQNKDPKVQFYALTLLETMMKNCGEYVQLEVAEQHVLQEMVKIIQKKNDMLVRDKILLLLDSWQEAFGGPGSKYPQYHFAYLEVKRIGAVFPRRPIDAPPIFTPPATHTSQSYGSPRYEAGSLNEIMSSDVETLSLGDLNNIRNVTELLCDMAVKDEIITDLVSQCRSNQQKLMQFVSSTGNEQLLKQGLEINDRLQNIISKYDIMASSTHLAVEAPPADNVEAPKEDPAEKPSAPPISTLEEEEEEDEFTRLAQRKNKSVMTSDDSLSSTGDLALVPIDMESSESSSSVASNALVPVDPALVSSSPQTKEQDMIDLLSLTLCSPTHEASTDSSTQGPNGPQQPAVTDGQHNPSVPQYPSNHQSHPINQEYIPQNRNYVAPWAQSGQYYPAPPWAAPPSVNSNPFQSATYQEQPPPVGSVSSTYSAPSASYTSPSMAYVPPSASLPIWNGSTTSNGLSATQAQMNGNQQPPGSSAAASKPYYIPDNLFSDLIDLKGLSGGNKMGVPTSMGSANGGQPMIGGKK >ORUFI01G39090.2 pep chromosome:OR_W1943:1:32471021:32483453:-1 gene:ORUFI01G39090 transcript:ORUFI01G39090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPASTGKCTGAGAGEVEEPLLPEFSGGGHGASVSGAVFNVSTSIIGAGIMSIPAAMRVLGVLPALLLIATVAALSDASVEFMLRYTGWDSGPPSYAGIMGDAFGRAGAKALNVCIAFTTTGTLVVYLIIIGDVLSGSAGAGDEHAGVLQELFGAQWWTARELVILVTAIVFLLPLVLRRRVDSLKFTSAVSILLAVVFMFISLGIAVYALFTGTAKMPRMLPDFSRLSSPFELFTAVPIVVVAFTFHFNVHPIRTELSKTSDMKAAVRISLVLCAAIYAAVGFFGFLLFGDATMADVLANFDRSSGAGVPQALNDAARLSYALHLVLVFPLLHFSLRVNVDELLFPGRRPLATDTRRFVALTAVLMAVLYALAIAIPSIWTLFEYSGSTFAVCISDAHGIAKTKDKALAATMIALAVITSSIAIASNVMSSISGKVEGGHAAGRQTKDVVKAVKKRLQNKDPKVQFYALTLLETMMKNCGEYVQLEVAEQHVLQEMVKIIQKKNDMLVRDKILLLLDSWQEAFGGPGSKYPQYHFAYLEVKRIGAVFPRRPIDAPPIFTPPATHTSQSYGSPRYEAGSLNEIMSSDVETLSLGDLNNIRNVTELLCDMAVKDEIITDLVSQCRSNQQKLMQFVSSTGNEQLLKQGLEINDRLQNIISKYDIMASSTHLAVEAPPADNVEAPKEDPAEKPSAPPISTLEEEEEEDEFTRLAQRKNKSVMTSDDSLSSTGDLALVPIDMESSESSSSVASNALVPVDPALVSSSPQTKEQDMIDLLSLTLCSPTHEASTDSSTQGPNGPQQPAVTDGQHNPSVPQYPSNHQSHPINQEYIPQNRNYVAPWAQSGQYYPAPPWAAPPSVNSNPFQSATYQEQPPPVGSVSSTYSAPSASYTSPSMAYVPPSASLPIWNGSTTSNGLSATQAQMNGNQQPPGSSAAASKPYYIPDNLFSDLIDLKGLSGGNKMGVPTSMGSANGGQPMIGGKK >ORUFI01G39090.3 pep chromosome:OR_W1943:1:32471023:32475047:-1 gene:ORUFI01G39090 transcript:ORUFI01G39090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAGAVVAPAAGAPARAAPRVEKATSHLLMGPDWAVNLEICDIINADVWQTKDVVKAVKKRLQNKDPKVQFYALTLLETMMKNCGEYVQLEVAEQHVLQEMVKIIQKKNDMLVRDKILLLLDSWQEAFGGPGSKYPQYHFAYLEVKRIGAVFPRRPIDAPPIFTPPATHTSQSYGSPRYEAGSLNEIMSSDVETLSLGDLNNIRNVTELLCDMAVKDEIITDLVSQCRSNQQKLMQFVSSTGNEQLLKQGLEINDRLQNIISKYDIMASSTHLAVEAPPADNVEAPKEDPAEKPSAPPISTLEEEEEEDEFTRLAQRKNKSVMTSDDSLSSTGDLALVPIDMESSESSSSVASNALVPVDPALVSSSPQTKEQDMIDLLSLTLCSPTHEASTDSSTQGPNGPQQPAVTDGQHNPSVPQYPSNHQSHPINQEYIPQNRNYVAPWAQSGQYYPAPPWAAPPSVNSNPFQSATYQEQPPPVGSVSSTYSAPSASYTSPSMAYVPPSASLPIWNGSTTSNGLSATQAQMNGNQQPPGSSAAASKPYYIPDNLFSDLIDLKGLSGGNKMGVPTSMGSANGGQPMIGGKK >ORUFI01G39090.4 pep chromosome:OR_W1943:1:32475065:32479451:-1 gene:ORUFI01G39090 transcript:ORUFI01G39090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKKTSIAAAAAAAGDSGAAETSLLPERYAGAGTPAAAASVLGAVFNVSTSVVGAGIMSIPAAMRVLGVAPTVALVVGVALLANAAVDFMLRYTRGPSSYAALMGDAFGRAGAALLNVFVAFNAIGTLTVYLIIIGDVMSGTTSDGKVHDGVLTEWFGQQWWTGREAVLVAAAVLLLPLVLRKRVDSLKFTSAVSILLAVVFMFISLGIAVYALFTGTAKMPRMLPDFSRLSSPFELFTAVPIVVVAFTFHFNVHPIRTELSKTSDMKAAVRISLVLCAAIYAAVGFFGFLLFGDATMADVLANFDRSSGAGVPQALNDAARLSYALHLVLVFPLLHFSLRVNVDELLFPGRRPLATDTRRFVALTAVLMAVLYALAIAIPSIWTLFEYSGSTFAVCISDAHGIAKTKDKALAATMIALAVITSSIAIASNVMSSISGKVEGGHAAVYSLVSSGESKP >ORUFI01G39100.1 pep chromosome:OR_W1943:1:32485706:32491988:1 gene:ORUFI01G39100 transcript:ORUFI01G39100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNFPEDDMAGTPYSDQTSPILTEYHITVPALHDGSMQGSVHHERRLLDCLRATPSVEWLKNINFCSPLTNFRLPSTGVRRYLHVEVHFVRRINWSSVFSFCKNWLKHPLNIALLAWLLCVAAAGGMLILLLLGLLNRAFPSKPLRHHWIEIDNQILNALFTLMSIYQHPSLIHHLVLLCRWRPEDAAELRKVYCKNGDRRPGERAHMSVVVALLHVTCISQYVVCNLYWAYRSRSRSEFADNFFFVLGVVAPVVAGAYTVYSPLGRDTDDDASGEEAKQQQQQHMIEAELPGTRTVVVDPVWAGGLLDCGEDPAACCLSSLCTFCVFGWNMERLGFGNMYVHTAMFLLLCVAPFWVFNITALHIHDYDLSDAVGAAGIALCFLGLLYGGFWRVQMRKRFALPGSRWCCGSASLTDYARWLFCWPCALAQEVRTGNLYDVEDGGGGFYEKAMDGGDVEGGAASTAATGVVPVSVGGGEGDGVVGDIKLGMDGEMIPPAQAVMETSGDTQGSGADVASNGDNELSYSLFDAMDTILVVELKVGMHCDRCIKAIKKAIKTIDDMESYQLETEINKVTVTGNVTPDEVVKALQKIGKTATNWGT >ORUFI01G39110.1 pep chromosome:OR_W1943:1:32500812:32503376:1 gene:ORUFI01G39110 transcript:ORUFI01G39110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSGSVETSANSRPGTFSFASASFTDLLGGNAGAGGGGVSRYKAMTPPSLPLSPPPVSPSSFFNSPIGMNQADFLGSPVLLTSSIFPSPTTGAFASQHFDWRPEVAAAQSADQGGKDEQRNSYSDFSFQTAPASEEAARTTTFQPPVPPAPLGDEAYRSQQQQQPWGYQQQPAGMDAGANAASFGAAPFQATSSEMAPQVQGGGGYSQPQSQRRSSDDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVERSLDGQITEIVYKGTHNHAKPQNTRRNSGSSAAQVLQSGGDMSEHSFGGMSGTAATPENSSASFGDDEIGVGSPRAGNGGGDEFDDDEPDSKRWRKDGDGEGISMAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTAGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSAALYRPAPPAAAATSSHPYLPNQPPPMSYQPTGPQPYALRPDGFGGQGPFGGVVGGSSFGGFSGFDDARGSYMSQHQQQQRQNDAMHASRAKEEPGDDMFFQNSLY >ORUFI01G39120.1 pep chromosome:OR_W1943:1:32508018:32512551:1 gene:ORUFI01G39120 transcript:ORUFI01G39120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIKGTETNQLHQSEEQINQMDLKGTSEVSKEQKISKISKGSTSAPESAKRKITTVAVSKEQKISKISEGSTSAPESAKRKITTVAGQDKALIESYNKEIVDKHNTSNESDLVQGQVSIITGTPKAFQGDQNGDSDDSENEQNPVDPWSLDILSMAANDKEQTTMELFCCRSKQQWRV >ORUFI01G39120.2 pep chromosome:OR_W1943:1:32508018:32512551:1 gene:ORUFI01G39120 transcript:ORUFI01G39120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIKGTETNQLHQSEEQINQMDLKGTSEVSKEQKISKISKGSTSAPESAKRKITTVAGQDKALIESYNKEIVDKHNTSNESDLVQGQVSIITGTPKAFQGDQNGDSDDSENEQNPVDPWSLDILSMAANDKEQTTMELFCCRSKQQWRV >ORUFI01G39130.1 pep chromosome:OR_W1943:1:32515409:32525796:-1 gene:ORUFI01G39130 transcript:ORUFI01G39130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDVVRIVHLSGHVDEFSCPVTAGAVLAAHPNHTLTTTWSSAGVGCPTKKLVIVSPNSELKRGRIYFLIPSATLPADRRKKSRQSSNKKSKRPSHHHHKSNGAATAASTAEQDNYLRELLSEKTAASGGQRRRRSGSRILESHIMQICERWIVSRFVPLSDVGFLFGLDPVWVLVSLAKWWGIPAVDGISAVKCRSAVSDWICVFDSVFVVEICFWLISLMPRVDKRKKAAKAVVISSDESHYDDSESSENFFDGVSKYTDSSSDDNMEKLLLKILKKQIAKKMKKKFISSSSDVFRKHKAKKAKGVVKSDGSFSRFSAKYFRRVVSSLSPHQMFVIEKYGFKNLLLFDSGGVPKKFAAWISSKVDLKTSEIILKDRVIPITVESFRDILGLPFGGLSFGKDLNLLSSYDWCKFVYDWCMNRIKKFQKSKNLGGCLYYWAVSYLDTVDFGERNVPIGFPRMSVWKEGMIKRYSDFDKMDDDTFGLRPPRVICSTSNSQLHLEKHYLIMQLLNYVIGEALPNYLKDMISAMESVHAEVHNSTDHIDVGDVHVLDANAHNQFASGAVQNEQQTSPCCNHDVDSYVPLENDLPDNVPLAGNFDNAGDFNCASGYLPSMNTGNASTSVVNDGIAESFGDGQALVTPDVGCAKNFKNSSDERFSASAIATAAAAVKHVAIKFKSRLPQFNGSENVDRAVDMFKPSYKNLFPQDNAGISFHSVEDTPEELIQIKHNHEGTARTPNSGIIKKRVFEDLVNSPDLIIVGESKFHDRCNNMTAQSDLIYNASILPTSTAHHASSLGGKIPPHGPRRVLAPAKYTSDPFVQLHRHFPISDVENRYYIAVCRLADSSKWHSYDAVNIDNVKANFYTFGHSLKKSGHEQLVVDPSFADAEKVQKSFEGAAKARRLDLCDMLFFPIHYQQHWFLFIVDVKDRMFVFLDSKHEEHSEFYENLKTFVVDNFQNLWNKFVGSSLDFSVFKTVFPPVPRQDYESDSGVFVMKFMEIWSPRILLPNEFSKQNINNIRVKYVNQIFFHAKNKMLHTEIEDAVLNWFNPEKFARQ >ORUFI01G39140.1 pep chromosome:OR_W1943:1:32533357:32546477:-1 gene:ORUFI01G39140 transcript:ORUFI01G39140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFRSEGLKQNKYLPGPHLALSVRYEKCVALLAAYQTLAFPGFDSQTPRLHPESHFPSRPRPQSSPPPPGPAMVGADAESSAVVDAGEGHGDLALDSSSAASTDPLLHPPPSPSSTPSSPTAIADHDAFIEEDGEDDSAPHVPSASDEAAPEFVQITVSEPKKHAEPAAGAAGVIPGSGSYFSYLITTRAADGGLFRVRRRFRDVVALADRLAAAYRGLFVPARPDKSIVEGQVMQRHEFVNQRCAALQRYLGRLAAHPTIGRSAELHDFLTEPSGIPTSAGESPRSDPALSAAMSAAAVTAPTAPAKPGRDIFGMFKDLKQTVANGLVAVRPPPVEEETDAKFVMHKAKLEYFEQHLTTASQQVEALLKAYDDLKATTGQLGMTFIKLAKFEKEQDTCNSQRKRAVDMSNFANAVINMSRSQTKLNAKIEIHLGTIYEYLETMTSVRNAFTDRANALLRVQSLSGDLFLLHTQAAKLESVSSRGMGQERIRYQKIEELKETIRKTEDAKGNARQEYELIKENNMNEIIRFNKEKRHGLVEMLKGFVRNQVSYSEHISSIWTKLHGGVKQVQPFLFHSTCSFYSFPVKKFSWVISGIGLSELQNCAPRQRGSARGNGRLRVCFTLPQRPQAAPCSLSGLAPFPLAAGASAPRLRLRRMLPPAPTRNPGACRFIPLLPPKPLLSPAAASASSRGGLCVAAASRRDFLLLVPSIAAASTVLQSLPLSASAADDEKQAASPAPGPAAAPAPTSAGEPEAEALSRVYDATVIGEPQAVGKDARRRVWEKLMAARVVYLGEAELVPDRDDRVLELEVVRKLAARCAEAGRSISLALEAFPCNLQEQLNQFMDRRIDGNNLRLYTSHWAPERWQEYEPLLNYCRDNGVKLVACGTPLEVSRTVQAEGIRGLSKAQRKLYAPPAGSGFISGFTSISGRSLIDKISAIHGSPFGPSSYLSAQARVVDDYTMSQKIMKEITNGDPSGMLVVVTGSSHVIYGSRGIGVPARISKKMQKKKQVVVLLNPERQGIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRREALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMAELIHRFQGFRERLLADPKFLHRLAIEEGISITTTLIAQYEKRKGRFLEEIDYVLTDTIRGSVVDFFTVWLPAPTISLLSLGDNGSGESLELLKGLLGSLPDNAFQKGIMGQNWNTNQRFASVLMGGIKLAGVGFISSIGAGVASDVLYAARRVLRPSTSVETARRRTPIWKSATVYSCFLGTSANLRYQVIAGLVEHRLGEYLMAYYNQPLLANLLSFVSRTINSYWGTQQWIDLARATGLQTSKKELPSPEISNLPDMPLLECGTTEVQNMDDSNKQQPMK >ORUFI01G39150.1 pep chromosome:OR_W1943:1:32549026:32551581:1 gene:ORUFI01G39150 transcript:ORUFI01G39150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSRLLFLLSCSVLALLAGAEVHHHEFIVQETPVKRLCKTHNVITVNGQLPGPTLEVREGDTVVINVVNHAQYNVTIHWHGIRQFRTGWADGPEFVTQCPIKPGGSYKYRFTIEGQEGTLWWHAHSSWLRATVYGALIIRPRENKTYPFEKPAREVPLILGEWWDADPIQVIREAQRTGAAPNISDAYTINGQPGDLYNCSKEETTAVPVKPGETALLRFINAALNQELFVSIAQHKMTVVGVDASYTKPFTTSVLMIAPGQTTDVLVTMDQAPTRYYLAARAYDSAQGVAFDNTTTTAVIEYDCGCATDFGPSIPPAFPVLPAFNDTNTATAFAAGIRSPHEVKIPGPVDENLFFTVGVGLFNCEPGQQCGGPNNTRFTASMNNISFVFPQTTSLLHAHYYGIPGVFTTDFPAYPPVQFDYTAQNVPRYLWQPVPATKLYKLKFGSVVQIVLQDTSIVSPENHPIHIHGYDFYILAEGFGNFDPKKDAKKFNYVDPPQRNTVAVPTNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGYGKLETLEAPPVDLPMC >ORUFI01G39160.1 pep chromosome:OR_W1943:1:32554189:32554857:-1 gene:ORUFI01G39160 transcript:ORUFI01G39160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPTPQPLLPVTNPAAAGGSAPSSGSALTDAPLATPAFRLFVSRFSDTARRSLADRRPWTELVDRSAISKPDSLSEATSRLRRNLAYFRVNYAALVAFSLAASLLAHPFSLLVLLAILGGWCFLYVFRASDQPVVLFGRTFTDRETLLGLVVASVLAFFMTSVASLIISGLLVGGAIVAVHGAFRVPEDLFLDDPSVGSNGNTTSRLLSFLGAPGSGV >ORUFI01G39170.1 pep chromosome:OR_W1943:1:32559189:32564051:1 gene:ORUFI01G39170 transcript:ORUFI01G39170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEDTLQALNDLISQFLCLNRPLWSSSDDADAFLEAVDELTSTIHSLESMSADHILLESFDHLLERCSLRLGEELQHLIDASGFDTNCSYPNTRKSHDDDDDRHTLVAQPVSNFDIIVDALPEGVIFEANRIAKRMVAAGFGDSCAETYASSRLNFIDESIARLGVHAHMAEMFKSASWEELEIQIMCWIPAIRVVFHILIPSERHLCDSIFEGFTSYSDVAFVTACHPFLQLLSFGNFIAAAGKNPECLFRIVDMYDAVRDILPVLDDAFNPEVAALRECLGLSIKAILMALENLVRRDPSESCPLDGGVHPMTRYVMNYLVTACVSRHTLEEVMLLEFGSSDPSGNCPIEPDRPTSSLAIHLAWIVDVLTGNLVSKSKVYSHAPLSCVFLVNNGIYIIKKVNGCELKVLLGEDWIKVIHSKVNQWILEYRRATWGKAIMILEMDKRFCSNVNVITEKLSRFNNFVEAICQVQSRWVLVDKQQGVDFSILVEELVIPAYRDMAEMLKATGSAGESYMRLEDVRSRIQQLFKAMTRETSLREFSMILEASFSPTMFLSRRRYSLKRFTCFDIGRVLDKRDVWSSNPEPADAKFTPVMMSLLDRSFSRNGGENSLSCSFSLRRSGTSRPLENLPVGFLPGKFLP >ORUFI01G39170.2 pep chromosome:OR_W1943:1:32559189:32564051:1 gene:ORUFI01G39170 transcript:ORUFI01G39170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEDTLQALNDLISQFLCLNRPLWSSSDDADAFLEAVDELTSTIHSLESMSADHILLESFDHLLERCSLRLGEELQHLIDASGFDTNCSYPNTRKSHDDDDDRHTLVAQPVSNFDIIVDALPEGVIFEANRIAKRMVAAGFGDSCAETYASSRLNFIDESIARLGVHAHMAEMFKSASWEELEIQIMCWIPAIRVVFHILIPSERHLCDSIFEGFTSYSDVAFVTACHPFLQLLSFGNFIAAAGKNPECLFRIVDMYDAVRDILPVLDDAFNPEVAALRECLGLSIKAILMALENLVRRDPSESCPLDGGVHPMTRYVMNYLVTACVSRHTLEEVMLLEFGSSDPSGNCPIEPDRPTSSLAIHLAWIVDVLTGNLVSKSKVYSHAPLSCVFLVNNGIYIIKKVNGCELKVLLGEDWIKVIHSKVNQWILEYRRATWGKAIMILEMDKRFCSNVNVITEKLSRFNNFVEAICQVQSRWVLVDKQQGVDFSILVEELVIPAYRDMAEMLKATGSAGESYMRLEDVRSRIQQLFKAMTRETSLREFSMILEASFSPTMFLSRRRYSLKRFTCFDIGRVLDKRDVWSSNPEPADAKFTPVMMSLLDRSFSRNGGENSLSCSFSLRRSGTSRPLENLPVGFLPGKFLP >ORUFI01G39170.3 pep chromosome:OR_W1943:1:32555747:32559392:1 gene:ORUFI01G39170 transcript:ORUFI01G39170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVKTLGRTDTMTEDILKVFSNYDGRLSLDKLYATRAAAAAAAVAAAGGAGAGEHSVPASPPMPPPPAVPPAVAAMPAVTSLERTVRTLDRQISQFVTMDRLIWADSADADAFLEAVDDLIGTVQELDAAGTNRGLLDRADELLSRCMARLEDEFRALIERPDDVAPPAPGGFASDESEEEDYDADDGYGDEPIPIAKPVSDFDVVIDALPPGSVSDVHQIARRMVDAGFGRECAEAYAAARRGFIDESVARLGIHARTIDEVHSLPWEELEFDIARWIPAFKMVFRILIPSERRLCDRVFDGLAPYGDLAFVAAVRTQVLQLISFGDAVSAASRAPERLFRVIDMYEAVRDLLPDLDPVFADPYSAALRAEVSAVCNTLGSSIKGIFMELENLIRRDPARVSVPGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDLGAVGGAAIAVDPDRPTSSLAVHIAWIMDVLHKNLETKSKIYRDPPLASIFLMNNGKYIIHKVNDSELGVLLGDEWMKQMMSRVRRWSLEYQRGAWAKVMSVLQTGGPGIGSLPAKALLQKLRMFNGYLEEICAIQSEWVIADEQLREDVRAAITDSVKSAYMGLISRLKSSPEAAQDLFIKHSPEDVEARIQHLFEGVSKIEVSGGKMLLGETVWSSQIFGLDCTILFHGLH >ORUFI01G39170.4 pep chromosome:OR_W1943:1:32555747:32562209:1 gene:ORUFI01G39170 transcript:ORUFI01G39170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVKTLGRTDTMTEDILKVFSNYDGRLSLDKLYATRAAAAAAAVAAAGGAGAGEHSVPASPPMPPPPAVPPAVAAMPAVTSLERTVRTLDRQISQFVTMDRLIWADSADADAFLEAVDDLIGTVQELDAAGTNRGLLDRADELLSRCMARLEDEFRALIERPDDVAPPAPGGFASDESEEEDYDADDGYGDEPIPIAKPVSDFDVVIDALPPGSVSDVHQIARRMVDAGFGRECAEAYAAARRGFIDESVARLGIHARTIDEVHSLPWEELEFDIARWIPAFKMVFRILIPSERRLCDRVFDGLAPYGDLAFVAAVRTQVLQLISFGDAVSAASRAPERLFRVIDMYEAVRDLLPDLDPVFADPYSAALRAEVSAVCNTLGSSIKGIFMELENLIRRDPARVSVPGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDLGAVGGAAIAVDPDRPTSSLAVHIAWIMDVLHKNLETKSKIYRDPPLASIFLMNNGKYIIHKVNDSELGVLLGDEWMKQMMSRVRRWSLEYQRGAWAKVMSVLQTGGPGIGSLPAKALLQKLRMFNGYLEEICAIQSEWVIADEQLREDVRAAITDSVKSAYMGLISRLKSSPEAAQDLFIKHSPEDVEARIQHLFEGVSKIEVSGGKMLLGETVWSSQI >ORUFI01G39170.5 pep chromosome:OR_W1943:1:32563379:32564337:1 gene:ORUFI01G39170 transcript:ORUFI01G39170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEERRSTTRSRMVTVTRWLHCQAVAVERMSATRIFAPVCKPAPRSLPGCGDWFVDSYEVVAES >ORUFI01G39170.6 pep chromosome:OR_W1943:1:32559206:32564051:1 gene:ORUFI01G39170 transcript:ORUFI01G39170.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEDTLQALNDLISQFLCLNRPLWSSSDDADAFLEAVDELTSTIHSLESMSADHILLESFDHLLERCSLRLGEELQHLIDASGFDTNCSYPNTRKSHDDDDDRHTLVAQPVSNFDIIVDALPEGVIFEANRIAKRMVAAGFGDSCAETYASSRLNFIDESIARLGVHAHMAEMFKSASWEELEIQIMCWIPAIRVVFHILIPSERHLCDSIFEGFTSYSDVAFVTACHPFLQLLSFGNFIAAAGKNPECLFRIVDMYDAVRDILPVLDDAFNPEVAALRECLGLSIKAILMALENLVRRDPSESCPLDGGVHPMTRYVMNYLVTACVSRHTLEEVMLLEFGSSDPSGNCPIEPDRPTSSLAIHLAWIVDVLTGNLVSKSKVYSHAPLSCVFLVNNGIYIIKKVNGCELKVLLGEDWIKVIHSKVNQWILEYRRATWGKAIMILEMDKRFCSNVNVITEKLSRFNNFVEAICQVQSRWVLVDKQQGVDFSILVEELVIPAYRDMAEMLKATGSAGESYMRLEDVRSRIQQLFKAMTREFSMILEASFSPTMFLSRRRYSLKRFTCFDIGRVLDKRDVWSSNPEPADAKFTPVMMSLLDRSFSRNGGENSLSCSFSLRRSGTSRPLENLPVGFLPGKFLP >ORUFI01G39180.1 pep chromosome:OR_W1943:1:32561965:32563434:-1 gene:ORUFI01G39180 transcript:ORUFI01G39180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTILLLVVLLLSSTTTISTSKRTQPKFSAIFYFGDSVLDTGNNNYIPTLAVGNHAPYGRNFPGRKPTGRFSNGRLVPDLLNEKLQLKEFSPPFLEKDLSNNDIMTGVNFASAGSGFEDQTSRLSNTLPMSKQVNLFKEYLLRLRNIVGEKEASRIIENSLIFISSGTNDFTRYYRSLKRKKMDIGEYQDSVLRIAQASVKELFSLGGRQFCLAGLPPFGCTPIQITLSGDPDRACVDEQNRDAQAYNSKLEKLLPALQGSLHGSKIVYLDAYQAFKEILDNPTKYGFIEITRGCCGTGLREVGLLCNALSPICRNESSFVFYDAVHPTERVYRITTDYILKNAIPQFS >ORUFI01G39190.1 pep chromosome:OR_W1943:1:32567334:32567960:1 gene:ORUFI01G39190 transcript:ORUFI01G39190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAAVRPSPPPQLSRPIGSGAGGGKACPAVPCEVARYHEHAVGAGQCFSTVVQAIAAPADAVWSVVRRFDRPQAYKKFIKSCRLVDGDGGEVGSVREVRVVSGLPATSSRERLEVLDDDRRVLSFRIVGGEHRLANYRSVTTVHEAAAPAMAVVVESYVVDVPPGNTWEETRVFVDTIVRCNLQSLARTVERLAPEAPRANGSIDHA >ORUFI01G39200.1 pep chromosome:OR_W1943:1:32577078:32579123:1 gene:ORUFI01G39200 transcript:ORUFI01G39200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGDSSGALVCVTGGSGFIGSWLVRLLLDRGYTVHATVKNLHHDYTEPYAGSSRSPVFSSLVEDDGETRHLQALDGADTRLRLFQMDLLDPASITPAVDGAHGVFHLASPLTLHPTQDPEATNSPFTIAQLQLQYCLHTCTNAVLSGELLKPAVSGTLNVLRAAKDCGVARVMLMASQVAIVPNPEWPADKVIDDDSWADVELLKKHQHWYNVSKTLAEKAAWDFAAKEGLQLVALNPGLVLGPMLMPSPTSSLHMLLQILGGQRFDIDDFYIGCVDVRDVAQSAVVIYENTSAQGRHLCIESIERLIDFHDKLAELYPEFPIHRIQEDKQGWVVRSKAASKKLIDLGVHFIPFEKTIRDSVDCLRSKGYI >ORUFI01G39210.1 pep chromosome:OR_W1943:1:32580067:32582299:1 gene:ORUFI01G39210 transcript:ORUFI01G39210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYYETLCPFCSGFVVNDLARIFRDGLSPVVDLRLVPFGNGRVSPDGSITCQHGEEECQLNAIEACVIRLWPDAEQHFPFINCIEHLALTQKWNAWQSCFQETGLASQPVMDCYNSGYGTQLQLQYAAETNALQPPHQFVPWVTVNGRPLGDDYTNFEAYICRAYDGELPEACRGKHLAIAQQTRASRGGKRDPQKLAVLLAFCIAVWF >ORUFI01G39220.1 pep chromosome:OR_W1943:1:32582697:32587999:1 gene:ORUFI01G39220 transcript:ORUFI01G39220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSALSFLSDRKRPIVVSVFLFLLLSSLFLLFSPAPAALPFFSFPSSHLSSSSSSPIAATPPPLTPVSVPANASSPETPVDASGGSNAGATAPTRDAPQPDRSRSTPPAAVGVRGSAGTNGTSRGVSAGGDGAGAAAAAAAGVAVPSWEVCEVGKGVVAADYIPCLDNVKAVKALKSLRHMEHRERHCPTAPRPRCLVPLPTGYRSPLPWPRSRDMIWYNNVPHPKLVEYKKDQNWVRKSGNYFVFPGGGTQFKAGVTKYIRFIQQIMPNIEWGTHTRTVLDVGCGVASFGGYLLDRNVITMSVAPKDEHEAQIQFALERGIPALLAVIGTQKLPFPDNSFDVIHCGKPLLELNRVLRPGGYYIWSATPVYRRGKRDEDDWNAMVTLTKSICWRTVVKSKDVNRIGVVIYQKPTSNSCYFERKQNEPPLCPSREGSHSPWYAPLDSCLLLPAVSSSGEGNSWPISWPERLNIKYSTISDNASTQFSQEKFDSDTKHWKDLVSEVYFNEFAVNWSTVRNVMDMNAGFGGFAASLIHKPLWVMNVVPFDHPEALPIIFNRGLIGVYHDWCESFNTYPRTYDLVHMSYLLQGLTNRCDIIEVAAEIDRILRPGKWFVLQDTEQVIRKMDPVLRSLHYRTAIVKQQFLVATKGFWRPYSAGSESR >ORUFI01G39230.1 pep chromosome:OR_W1943:1:32591548:32592182:-1 gene:ORUFI01G39230 transcript:ORUFI01G39230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKSIEHMMVMPVRSKRGLLFYSHHLLNYIASPSLSNLFHCWASPMPSDTDQFASHCEYLRTQHRRLKCHVGLPDTTRMASVGVWVTVNGHFKLPVTKHFLENGKEMQFTPLMNHSNAKNN >ORUFI01G39240.1 pep chromosome:OR_W1943:1:32605474:32606112:-1 gene:ORUFI01G39240 transcript:ORUFI01G39240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGPGPSSAAAGGAPAVAAATQPPAQLSRYESQKRRDWNTFLQYLRNHRPPLTLARCSGAHVIEFLRYLDQFGKTKVHASGCAFYGQPSPPGPCPCPLRQAWGSLDALIGRLRAAYEESGGTPESNPFAARAVRIYLREVRDSQAKARGIPYEKKKRKRSQAAQPAGVEPSGSSSAAAAAAGGGDAGSGGGAAATTTAQPGGSGTAPSAS >ORUFI01G39250.1 pep chromosome:OR_W1943:1:32609135:32611445:1 gene:ORUFI01G39250 transcript:ORUFI01G39250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPTLLPLKPSPPTTGLNPIHGRRHGHHPSRLLASSAPPPPPPRPPKPEPRTSHENLGDDTPDFPTTKPRKPRRGRRSEAAAVEDFVRGRLEQVFASIRERDPEVLEGKGDILKRKEELSDEEGKEGTGEEEGELKAVVEEEDPSWPLDADIGWGIRASEYFDKHSIKNVTVDGVEIDWEREVEEGWVKEINCLEWESFAFHPSPLIVLVFERYNRAADNWKFLQELEKAAKVYWNSKDRLPPRVIEVVGHMLNLIEFQTVKVDMNIERDLAFALQVKECPQLLFLRGNKILYREKELRTADELVQMIAHFYYNAKRPSCVNPEAIAPPC >ORUFI01G39250.2 pep chromosome:OR_W1943:1:32609135:32610932:1 gene:ORUFI01G39250 transcript:ORUFI01G39250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPTLLPLKPSPPTTGLNPIHGRRHGHHPSRLLASSAPPPPPPRPPKPEPRTSHENLGDDTPDFPTTKPRKPRRGRRSEAAAVEDFVRGRLEQVFASIRERDPEVLEGKGDILKRKEELSDEEGKEGTGEEEGELKAVVEEEDPSWPLDADIGWGIRASEYFDKHSIKNVTVDGVEIDWEREVEEGWVKEINCLEWESFAFHPSPLIVLVFERYNRAADNWKFLQELEKAAKVYWNSKDRLPPRVIEVVGHMLNLIEFQTVKVDMNIERDLAFALQVKECPQLLFLRGNKILYREKELRTADELVQMIAHFYYNAKRPSCVNPEAIAPPC >ORUFI01G39250.3 pep chromosome:OR_W1943:1:32609135:32610932:1 gene:ORUFI01G39250 transcript:ORUFI01G39250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPTLLPLKPSPPTTGLNPIHGRRHGHHPSRLLASSAPPPPPPRPPKPEPRTSHENLGDDTPDFPTTKPRKPRRGRRSEAAAVEDFVRGRLEQVFASIRERDPEVLEGKGDILKRKEELSDEEGKEGTGEEEGELKAVVEEEDPSWPLDADIGWGIRASEYFDKHSIKNVTVDGVEIDWEREVEEGWVKEINCLEWESFAFHPSPLIVLVFERYNRAADNWKFLQELEKAAKVYWNSKDRLPPRVIEVVGHMLNLIEFQTVKVDMNIERDLAFALQVKECPQLLFLRGNKILYREKELRTADELVQMIAHFYYNAKRPSCVNPEAIAPPC >ORUFI01G39260.1 pep chromosome:OR_W1943:1:32612049:32613913:-1 gene:ORUFI01G39260 transcript:ORUFI01G39260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKPASPSPPPSTAVVPAANTAAAADKPAVEQLLEVAEDEVVIDFKPNAKCRADLRLRSLHPSLPVAFKVQTSSPLKFLVSPPRGAVQPLSSASLRVVLRPQQHAPASFPRSRGDRFLVLSSLSAAHLDSSASSSAATGGTTAIRLRVFFGGPYLLRLAAEAGDAAAVRLILRRQPHLLPLLEPEAAVPDGAEQWAPLHAAAARGDTGEVRRLGPDSMGARDREGRTALHVAAAAGEAEAAAALVDMGADAAATDARGRTPLDVAREKGYQEVVDVLERWELVMTAARRGDLQSLESLLSKRAGVRGRDQYGLTALHLAAIKGHCDVIALLAGSGCMDIECEDVEGHRPLHLAVEGGSAEAVELLLDMGAKVHAATRRGATPLQMAVTMGYEAIALLLRGRGADEAAAPALCIASSSSSSISCA >ORUFI01G39270.1 pep chromosome:OR_W1943:1:32617850:32618862:1 gene:ORUFI01G39270 transcript:ORUFI01G39270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAWFASMLEEGGGFDGADGDDTDWVVGGSLSACRSRWEEVRISEIQGMMKEARDMKLKKANRDCNRISHILANKARCKSPTNFWPDGSCNFISHLVCYG >ORUFI01G39280.1 pep chromosome:OR_W1943:1:32620805:32622018:-1 gene:ORUFI01G39280 transcript:ORUFI01G39280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFFLLVLLLCTPKLSQATTVTWQLPNLPLGVPVLENRASKQWHIDRRAREEKKRARREGDLGMKRAGRNRAAKPRLSNAPCPRFSTLPRLDSAEERVTKFGHTRSRFVGQR >ORUFI01G39290.1 pep chromosome:OR_W1943:1:32623672:32624472:1 gene:ORUFI01G39290 transcript:ORUFI01G39290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPWVKTRPSSPSSAAASPSPSTALAAAAASPRLSFSSPSLKDLQALLLSDHSPSPTPPQLPPNTAPCSPSVRVFHRVRVAASALRALRTLQAPHAAAAEADRRVVLYFTSLHVVRSTYEDCRAVRAILRGLRASVDERDLAMDPRYLQELGALLPRARGVTLPQVFVGGRHLGGAEEVRLLHESGELRRVVAGAGATAFAACSRCGGERYVLCGSCNGSHTTSGTASRAAAASAPAPAATRMASSGARTAPRRPSDPDPKVTIS >ORUFI01G39300.1 pep chromosome:OR_W1943:1:32627720:32628122:-1 gene:ORUFI01G39300 transcript:ORUFI01G39300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLQCCWLAALPFELVTNSSAFRLEKDCPVLRCALVLLIVASSEVWSVQGEDCWNVHNVHYLVCTHTHKCRETCQDHGNVDGRCKWGFSHLWPICECLPPNFQ >ORUFI01G39310.1 pep chromosome:OR_W1943:1:32628976:32630136:-1 gene:ORUFI01G39310 transcript:ORUFI01G39310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEIVTRRDKEGLRCNGADGEKLPQLLDSPLPTPRRSCASADAASVRCRREASPLRTQVPFSWESSPGVPKRSSACMHMAQEIMPPPKPPPGRWKQCPGSNWCGYGNSTAASSDDDDASFSDALDRVSTPDQRVGSFDRITSKRFEDIFLGRATSFVNDRSSRRAPAEASLATPSSSSGRGPKHWRRRTTRRDHDGQQPTARQSNDHPVQVQLLPRININGRDEQMSPRACGLMVFFPWSAKPAVCGFRSPPAQYAPSPLAGASNPSSSQSRRFVTLRDAMQEENKTGSGGRDLPRPRGEKRSREEWQAASRGWGVSSLLDASKKYCTDARKALSKLSIGLGTDSGSGSGSPRVGSRERKCGKQDPSSTMPAMATKLTQLRTSRN >ORUFI01G39320.1 pep chromosome:OR_W1943:1:32632077:32633264:1 gene:ORUFI01G39320 transcript:ORUFI01G39320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVAINLIGAQAGIISKLRNCDITSYSGLKARSSISFESRSSFLGQNASLRSSISPRIVPKANSGSHISPEASYKVAVLGAAGGIGQPLGLLIKMSPLVSALHLYDIANVKGVAADLSHCNTPSQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNSNASIVKTLVEAVADNCPEAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFIAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTNEETEELTRRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFVQSELTELPFFASRVKLGKNGVESISADLEGVTEYEAKALESLKPELKASIEKGIEFVHKQQAAATS >ORUFI01G39330.1 pep chromosome:OR_W1943:1:32633926:32636516:-1 gene:ORUFI01G39330 transcript:ORUFI01G39330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVGDPRDGPSTERAFEGQPVPPWTEQVTLRAVVASAALGVALSSVMMNLVFTSGIIPSLNISAGLLGFFLLKAWTRLLDQLGWPGRPFTRQENAVVQTCVVACASMTYSGTPPRATRRRALASSCIASSIDLAVVTPTSLAAGLFLGGFGSYLLAMDRKTAEKTSTGDDSSASVSEPEFGRMMAFFFLVSFVGLLAIVPMRKTMIIRHRLTFPSGSATAHLINSFHTPHGARQAKRQVSLVLRSSLASLFWSIFQWFYTGGPNCGFTSFPTFGLSAFNRGFYISLNGTYVGIGMISPHLINVSMLFGSIISWGIMWPYIRSKRGIWYDADLQETNLKSFSGYKVFCAIAMILGDGIFQLVAISLRTIHTVRHHQVAAETLRSFSDVDAMPRPVMSFDDRRRTQVFLREHIPSTFAISGYVVLATVSTVVIPLMYGQVRYYHVAAAYAFAPVLAFCNAYGTGVAETNFSAQYNKLVILMFASWIGIKNGGIVGSLVICGIVSSIVSTASDFMSDFKTSYLTLTSPRATLVSQVIGTAMGCVVNPAVFTVFHHFYEMNPNKTYQAPLAKIYRGIAVLGAGGLELPKYCLAISATFFVLALAVCAMREVAAHGKWRAEPYIPSVTGMAVSFLLVPAVSIDMCIGSLIVFLWNRNDKLGSQVFGPVMASGLICGDGLFSIPYALLARYDVTPPICIRFLGRVQNDKLDAFLASKAKAG >ORUFI01G39340.1 pep chromosome:OR_W1943:1:32637567:32640232:-1 gene:ORUFI01G39340 transcript:ORUFI01G39340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSTPLFSPCCAAATAKLGAACPSSYGSRRRPCTRRGRLSVVAVQTGPQKPSPSSSSQAGTESETLQNLLKREYKYGFVSDFESFSIPKGLSEATVRRISELKAEPAWMLDFRLAAYRRFLTMAQPTWSDNVYEPVDLQSICYYSAPKTKPKLNSLDEVDPELLNTFDRLGIPLSEQKRLANVAVDAVIDSTSIATTHREELMKKGVIFCSISEAIREYPDLVKRYLGSVVPPADNYYAALNSAVFSDGSFCYVPKDTVCPMEISTYFRINDKETGQFERTLIVADERSTVSYLEGCTAPAYDSNQLHAAVVELVCEEQAEIKYSTVQNWYSGDEEGKGGIYNFVTKRGRCKGRGSKISWTQVETGSAITWKYPSVELLGDDTVGEFYSVALTKDYQQADTGTKMIHKGKNSRSRIISKGISAGKSRNCYRGLVQINSGAENAYNSSQCDSLLIGDNAAANTYPTIQVGCISSRVEHEASTSKIGEDQLFYFQQRGIDHEKAVAAMIGGFCRAVFENLPYEFAHEMDALMNLKLEGSVG >ORUFI01G39350.1 pep chromosome:OR_W1943:1:32640567:32644866:1 gene:ORUFI01G39350 transcript:ORUFI01G39350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLRSLSRISRRGCVGGAGPSPFHHSRLPYSPFSTAAADAVERRGFAGLGPTAKGEKARVVVLGTGWAGSRLMKDIDTTGYEVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPLARIQPAVSKSPGSYFLLARCTAVDPDAHTIDCETVTEGEKDTLKPWKFKVAYDKLVFACGAEASTFGIRGVTDHAIFLREVHHAQEIRRKLLLNLMLSDVPGISEEEKRRLLHCVVVGGGPTGVEFSGELSDFIIRDVKQRYSHVKDYIHVTLIEANEILSSFDVRLRQYATNQLTKSGVRLVRGIVKDVQPNKLILDNGEEVPYGLLVWSTGVGPSSFVKSLPFPKSPGGRIGVDEWLRVPSARDVFAIGDCSGFLESTGKDVLPALAQVAERQGKYLAHLLNHVMKAGGGHANCEIDVDLGPAFVYKHLGSMATVGRYKALESKGISLAGFVSWFIWRSAYLTRVVSWRNRFYVAINWLTTLLFGRDISRI >ORUFI01G39350.2 pep chromosome:OR_W1943:1:32640567:32644702:1 gene:ORUFI01G39350 transcript:ORUFI01G39350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLRSLSRISRRGCVGGAGPSPFHHSRLPYSPFSTAAADAVERRGFAGLGPTAKGEKARVVVLGTGWAGSRLMKDIDTTGYEVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPLARIQPAVSKSPGSYFLLARCTAVDPDAHTIDCETVTEGEKDTLKPWKFKVAYDKLVFACGAEASTFGIRGVTDHAIFLREVHHAQEIRRKLLLNLMLSDVPGISEEEKRRLLHCVVVGGGPTGVEFSGELSDFIIRDVKQRYSHVKDYIHVTLIEANEILSSFDVRLRQYATNQLTKSGVRLVRGIVKDVQPNKLILDNGEEVPYGLLVWSTGVGPSSFVKSLPFPKSPGGRIGVDEWLRVPSARDVFAIGDCSGFLESTGKDVLPALAQVAERQGKYLAHLLNHVMKAGGGHANCEIDVDLGPAFVYKHLGSMATVGRYKALVDLRQSKAGFVSWFIWRSAYLTRVVSWRNRFYVAINWLTTLLFGRDISRI >ORUFI01G39360.1 pep chromosome:OR_W1943:1:32647343:32649028:-1 gene:ORUFI01G39360 transcript:ORUFI01G39360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEAAGSSRRMDLNLYLGLPRAPRPRRSDLGSDLALSTPMPSSPSSSAASVDAPPPPPELSHPPYSPSLADLSPPLQEVYSLYNPDDPPASETHLPPYAPPPAPVVSELPDDLEFGLHPPPPLVRASELLGWEDRPSSSTASSSFLPDTAARYWRLLEQTGSRWLRARRFRSDLPPLSSEAYPAGRDAAAVPVLQHEPMNDTVEHNKVAADGAEVGASEESEEQGRSAATFECNICFDMASEPVVTSCGHLFCWPCLYQWLNVYSNHKECPVCKGEVTEANITPIYGRGNSCLDAEKAVEGGKQTGPTIPPRPHGNRLESFRQQFHHLRPISRRLGEAHGLLSSWRRLLDQQIMNTASRFEGPPESAVQEMVDTAHAQHTSRLSRLASRMRARRLLREADNPNPPDGGSTSPDSGLIRNNASDPSRNGPSSLLPDGIDWLRGLTLLGYEDTERFASAMSDFRRITGPSQYGASASSSNPPNLESTFDRTHVVAAPSADQASNSSTAAVIQGDAGISESAGEPSNAGSSRSLRRRGRSSALGSLDADGGGLQRNKRRRIN >ORUFI01G39370.1 pep chromosome:OR_W1943:1:32650712:32655091:-1 gene:ORUFI01G39370 transcript:ORUFI01G39370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSCDVCKEAPSKYKCPACRTPYCSVACFKNHKDKFCQKTIPLEEVSKSSLQEEISRNSRSLEEATNCPNDKDQTPSLLSDTTCPTQYPNTLHSAKSLEVEDPSWLVDKNGLRSLAESNEIRDALKDCKLQQMLLKIDGSAEPEKILDIVSPQQ >ORUFI01G39370.2 pep chromosome:OR_W1943:1:32650712:32655091:-1 gene:ORUFI01G39370 transcript:ORUFI01G39370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSCDVCKEAPSKYKCPACRTPYCSVACFKNHKDKFCQKTIPLEEVSKSSLQEEILSDTTCPTQYPNTLHSAKSLEVEDPSWLVDKNGLRSLAESNEIRDALKDCKLQQMLLKIDGSAEPEKILDIVSPQQ >ORUFI01G39380.1 pep chromosome:OR_W1943:1:32657495:32659259:-1 gene:ORUFI01G39380 transcript:ORUFI01G39380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSTAAASSMSGANGGGGGGSVFPGPPPPTPSNHHHALPSSGAAGGGTDAALSTILQRLLLSSPAPILRSPLSSRSRAPPSLPPLVSLGSSGTLRLDAAADVGYFHLEGHGVPSQLPSSALAELSLVDASARRASNLLTLGFTEEDQQEADGAEDPALVFDVDDEGEMGALPAAAAEYARRMRDVGMQVVAMMSGCPEVGFGEAPFAEGRRKARCLMWVSKVAAGEAAPPAAGKAKAYPYVVGIHCQWEASGKEAAPASWVMDDGGEWTAVGARDGALLVTIGNIAQVWSNGKLKKVRGMARPVSSAPGAGHGAEADRLSVTVLITLPLDSVISPLVPVTDAGEEGGDDEVDGAGDDGDGWRFHSFLLEDLAWRVYNGRLQFKDPLVRYRI >ORUFI01G39390.1 pep chromosome:OR_W1943:1:32669911:32676874:1 gene:ORUFI01G39390 transcript:ORUFI01G39390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRKFSQAGGGGGSGVFDPFGTKQAVSSLRKGGRLPVYVAGVFFVIFVIIMYGEDIRSLTLDPIARAGTTPARIVEPVVTEERHVARVNPPRREVSSAEKAAALPLDVDERPKLATPTPTEAAKEVPKVEKIRKPKKPKTTKKKPRKPRPAKKTVAAAAGGLLGVPETCDLSKGEWVFDNTSYPLYREEQCEFLTSQVTCMRNGRRDDTYQKWRWQPKDCSMPRFDAKLFMERLRGKRFMFVGDSLNRNQWESMVCLVQSAMSPGKKYVTWEDQRVVFHAVEYNATVEFYWAPFLVESNSDDPKIHSIQHRIIKADAIAAHAQNWRGVDYLVFNTYIWWMNTLNMKIMRPGGQSWEEHDEVVRIEAYRKVLTTWASWVNDNIDPARTSVFFMSISPLHISPEVWGNPGGIRCAKETMPLLNWHGPIWLGTDWDMFHAAANVSRTAATRVPITFVDVTTMSERRKDGHTSVHTIRQGKVLTPEQQADPGTYADCIHWCLPGVPDIWNLILYTRIMSRPQLV >ORUFI01G39390.2 pep chromosome:OR_W1943:1:32669911:32676516:1 gene:ORUFI01G39390 transcript:ORUFI01G39390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRKFSQAGGGGGSGVFDPFGTKQAVSSLRKGGRLPVYVAGVFFVIFVIIMYGEDIRSLTLDPIARAGTTPARIVEPVVTEERHVARVNPPRREVSSAEKAAALPLDVDERPKLATPTPTEAAKEVPKVEKIRKPKKPKTTKKKPRKPRPAKKTVAAAAGGLLGVPETCDLSKGEWVFDNTSYPLYREEQCEFLTSQVTCMRNGRRDDTYQKWRWQPKDCSMPRFDAKLFMERLRGKRFMFVGDSLNRNQWESMVCLVQSAMSPGKKYVTWEDQRVVFHAVEYNATVEFYWAPFLVESNSDDPKIHSIQHRIIKADAIAAHAQNWRGVDYLVFNTYIWWMNTLNMKIMRPGGQSWEEHDEVVRIEAYRKVLTTWASWVNDNIDPARTSVFFMSISPLHISPEVWGNPGGIRCAKETMPLLNWHGPIWLGTDWDMFHAAANVSRTAATRVPITFVDVTTMSERRKDGHTSVHTIRQGKVLTPEQQADPGTYADCIHWCLPGVPDIWNLILYTRIMSRPQLLSDKKKHHLSTVEEGDYSSTTSRPCS >ORUFI01G39390.3 pep chromosome:OR_W1943:1:32669911:32676919:1 gene:ORUFI01G39390 transcript:ORUFI01G39390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRKFSQAGGGGGSGVFDPFGTKQAVSSLRKGGRLPVYVAGVFFVIFVIIMYGEDIRSLTLDPIARAGTTPARIVEPVVTEERHVARVNPPRREVSSAEKAAALPLDVDERPKLATPTPTEAAKEVPKVEKIRKPKKPKTTKKKPRKPRPAKKTVAAAAGGLLGVPETCDLSKGEWVFDNTSYPLYREEQCEFLTSQVTCMRNGRRDDTYQKWRWQPKDCSMPRFDAKLFMERLRGKRFMFVGDSLNRNQWESMVCLVQSAMSPGKKYVTWEDQRVVFHAVEYNATVEFYWAPFLVESNSDDPKIHSIQHRIIKADAIAAHAQNWRGVDYLVFNTYIWWMNTLNMKIMRPGGQSWEEHDEVVRIEAYRKVLTTWASWVNDNIDPARTSVFFMSISPLHISPEVWGNPGGIRCAKETMPLLNWHGPIWLGTDWDMFHAAANVSRTAATRVPITFVDVTTMSERRKDGHTSVHTIRQGKVLTPEQQADPGTYADCIHWCLPGVPDIWNLILYTRIMSRPQLV >ORUFI01G39400.1 pep chromosome:OR_W1943:1:32680853:32681422:-1 gene:ORUFI01G39400 transcript:ORUFI01G39400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQDASSQALGLRWRYGDVDDGNFAVRGRAVPLLVALLFVLVCFVAVSLYLRWACHCHRYGRDTTPMPATTSSGFSSSHAAATAPGSASSVTGLDDATIASMPVALYRAVASAAGDGDDGGAAQCSICLGEFEEGEKVKALPLCGHGFHPECVDAWLRSRPSCPLCRSSLLPAAATTKPDVAGSDAV >ORUFI01G39410.1 pep chromosome:OR_W1943:1:32692481:32693152:1 gene:ORUFI01G39410 transcript:ORUFI01G39410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYHYENMHDPRGFPIHPQPYHLHPTAGGLGEGRMRGGGRRRPGAKLSTDPQSVAARERRHRISDRFRVLRSLVPGGSKMDTVSMLEQAIHYVKFLKAQVTLHQAALVQHEEGCQHADVAAAFAAADADLALELNHRHGGAGDDDAGMTTLEMAPMQEAVGYGDGPAHQMMQQALDPAGQLMMGGAHQLPPLPCCVFVQETDPSCYSVCNVHGEESGAQGSY >ORUFI01G39420.1 pep chromosome:OR_W1943:1:32711541:32715398:1 gene:ORUFI01G39420 transcript:ORUFI01G39420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGVGGGRSGGRDAEGEWEVRPGGMLVQRRDGDTGPAVRLRVSHGASFRDVAVPAHSTFGELKGVLTQATGVEPERQRLFFRGKEKSDNEFLHTAGVKDGAKLLLLEKPAPANVEQRAEPVIMDESMMKACEAVGRVRAEVDRLSAKVCDLEKSVFAGRKVEDKDFVVLTELLMMELLKLDGIEAEGEARAQRKAEVRRVQGLVETLDKLKARNANPFSDQNKSVSVTTQWETFDNGMGSLNAPPPRVSSTQINTDWEQFD >ORUFI01G39430.1 pep chromosome:OR_W1943:1:32715541:32717768:-1 gene:ORUFI01G39430 transcript:ORUFI01G39430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTRPGPYMPRPPAVPEWLNTGDNGWQLAAATFVGLQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASTLIVWVLVGFRMAFGDRLLPFWGKAGAALTEGFLVARASVPATAHYGKDGALESPRTEPFYPEASMVLFQFELAAITLVLLAGSLLGRMNIKAWMAFTPLWLLFSYTVCAFSLWGGGFLYQWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWLGWAGFNGGAPYAPNITASIAVLNTNVSAAASLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVHTWAAILMGICGGSLPWFSMMILHKRSALLQKVDDTLAVFHTHAVAGLLGGFLTGLFALPDLTAVHTHIPGARGAFYGGGIAQVGKQIAGALFVVVWNVVATTVILLGVGLVVPLRMPDEQLKIGDDAAHGEEAYALWGDGERFDVTRHEGARGGAWGAAVVDEAMDHRLAGMGARGVTIQL >ORUFI01G39440.1 pep chromosome:OR_W1943:1:32719421:32719732:-1 gene:ORUFI01G39440 transcript:ORUFI01G39440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGGRRWRRRRRGGPRKDEGGAGADRAVEGGGGGGAGAMLEAAPTRWAMSGWRWHRRDGGRRRRGVVLESGGHERECEESGRAAPVWSRVGDGSRVGRLVI >ORUFI01G39450.1 pep chromosome:OR_W1943:1:32721597:32723621:1 gene:ORUFI01G39450 transcript:ORUFI01G39450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMELLVGMWNLSATHDISGARCRVLGHAPEAVVEVDGVGLEVDVVERVGDVGGNLEARLPRREQGEGWVVRVAVEREERRRVEEELVGEEERAVGRRGDAEEARDGGVKRHAGVRFTLGCGCKDAKSVSVSAPAVGTPSTTATRRRSAGMNPSGSTTTDTLTITSALSSFLWERSVVEFDDDGGDYGPESFSGLLRELSELEQSVASWGRKSHHQNHDKKHSPPSSSPLPSQEDRKEKNGSNGDATDKPGDFRDGDDGVGVGLDGSVAVVKQSDDPLGEFRQSERARRRRGPSTPRRVPLRRHTDAATRSWLGPRRRRRELQCFHAVTAAAALLPCSRVVVAVAATTATSPAAGPPLPHHPVGRRRRSTQSTKREE >ORUFI01G39460.1 pep chromosome:OR_W1943:1:32724442:32726115:-1 gene:ORUFI01G39460 transcript:ORUFI01G39460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPQPGPYMPDLPAVPAWLNKGDTAWQLVAATFVGIQSMPGLVVIYGSIVKKKWAVNSAFMALYAYASTLIVWVLVGFRMAFGDRLLPFWAKAGPALTQDFLVQRAVFPATAHYGSDGTLETPRTEPFYAEAALVLFEFEFAAITLVLLAGSLLGRMNIKAWMAFTPLWLLFSYTVGAFSLWGGGFLYQWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWLGWAGFNGGAPYAPNVTATVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVHTWSAMLMGMFAGSVPWFTMMILHKKSTFLMKVDDTLAVFHTHAVAGILGGVLTGLLATPELCALDCPIPNMRGVFYGSGIGQLGKQLGGALFVTVWNLIVTSAILLCIGLFIPLRMSDDQLMIGDDAAHGEEAYALWGDGEKFDVTRPETTRTGGAGGAGREDTMEQRLTNMGARGVTIQL >ORUFI01G39470.1 pep chromosome:OR_W1943:1:32728412:32731096:-1 gene:ORUFI01G39470 transcript:ORUFI01G39470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLISAHLLSLPSSALRSRPQSRPPLSPPAAAAAASCSFDLPRPRRLVADGSRRKGTMAAAIPPEASGLAHDLGSAAVTAGVALALLRFFEELAKRGVFEQKLNRKLVHITIGMVFLLFWPLFSSGSYAPFLAAVAPGINIIRMLLLGLGVMKNEAMLLLLLLQGTSQGSTVLCYHYNFCHFYILENLSNRYSPYLQLMCWGRYMHYFQSFGFIEESLSLAFGFLVVSVTAALVESHPISTHLDDNLTVPLTSFLVGSLVF >ORUFI01G39470.2 pep chromosome:OR_W1943:1:32728412:32731096:-1 gene:ORUFI01G39470 transcript:ORUFI01G39470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLISAHLLSLPSSALRSRPQSRPPLSPPAAAAAASCSFDLPRPRRLVADGSRRKGTMAAAIPPEASGLAHDLGSAAVTAGVALALLRFFEELAKRGVFEQKLNRKLVHITIGMVFLLFWPLFSSGSYAPFLAAVAPGINIIRMLLLGLGVMKNEAMGTSQGSTVLCYHYNFCHFYILENLSNRYSPYLQLMCWGRYMHYFQSFGFIEESLSLAFGFLVVSVTAALVESHPISTHLDDNLTVPLTSFLVGSLVF >ORUFI01G39480.1 pep chromosome:OR_W1943:1:32732861:32735199:1 gene:ORUFI01G39480 transcript:ORUFI01G39480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPTLAALLFSLAAIHGIVVVAVDAAAAAVPRGGSARRIPAVFAFGDSTLDAGNNNRLVTAVRADHPPYGQDFPGGAPTGRFCDGKIMSDFLVEALGIKGLLPAYHSGSEVLSDADAATGVSFASGGSGLDDRTATNAGVATMASQIADFSELVGRMGAGKAGEVVNKSLFLVSAGTNDMIMNYYLLPSKYTLDQYHALLIGKLRSYIQSLYNLGARRLLVAGLPPVGCLPVQMTLAALRQPPRPQGCIAEQNAEAEKYNAKLRKMLTKFQSTSPGAKAVYADIYTPLTDMVDHPQKYGFAETGKGCCGTGLLEMGPLCTDLMPTCTTPAQFMFWDSVHPTQATYKAVADHFLRTNMLQFDD >ORUFI01G39490.1 pep chromosome:OR_W1943:1:32737125:32740230:-1 gene:ORUFI01G39490 transcript:ORUFI01G39490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLVLLGLPILLFCSDLVTLFGPEQLPTPQPDLPPHPSPDAASDAVQPDDIAADAAASAQIAEPQVDGPASGTTVELKFCASCSYRFGMVPPPWYYSLRANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGQLVFSKLSEQRFPSEFELRELIGNRLPDSQFGKNLEKVWS >ORUFI01G39490.2 pep chromosome:OR_W1943:1:32737125:32740230:-1 gene:ORUFI01G39490 transcript:ORUFI01G39490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLVLLGLPILLFCSDLVTLFGPEQLPTPQPDLPPHPSPDAASDAVQPDDIAADAAASAQIAEPQVDGPASGTTVELKFCASCSYRGNAVTVKKMLETSFPGIHVVLENYPPPFPKRALSKAVPFLQVGAMATLMAGDQIFPRFGMVPPPWYYSLRANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGQLVFSKLSEQRFPSEFELRELIGNRLPDSQFGKNLEKVWS >ORUFI01G39500.1 pep chromosome:OR_W1943:1:32750512:32756798:1 gene:ORUFI01G39500 transcript:ORUFI01G39500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAAAREDVKSSHFPASAGKKKPHQARNGGVGGGGGGGGGGGGGGGAGQKRLPVLGEEGCELIGGIDDKYALDRELGRGEFGVTYLCMDRDTKELLACKSISKRKLRTAVDVEDVRREVAIMRHLPKSASIVSLREACEDEGAVHLVMELCEGGELFDRIVARGHYTERAAANVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSENAKDLVRRMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKAMDTDNDGIVSYEELKSGIAKFGSHLAESEVQMLIEAVDTNGKGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGYIEPEELREALVDDGAGDSMEVVNDILQEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSMKLIKDGSVKLVNE >ORUFI01G39510.1 pep chromosome:OR_W1943:1:32768012:32772880:1 gene:ORUFI01G39510 transcript:ORUFI01G39510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCMQEWPEPVVRVQALADSGLEAIPRCYVKPPCDRPAPEADDASSGASIPVVDLGNGGDDEGGQLAEAVAAACRGWGFFQVVNHGVRPELMRAAREAWHGFFRLPLQEKQKYANSPRTYEGYGSRLGVEKGAILDWGDYYFLVLSPDAAKSPAKYWPANPGICKEVSEEYGREVIKLCERLMRLLSASLGLDETRFQEAFGGADCGAGLRANYYPRCPQPDLTLGLSAHSDPGILTVLLADDHVRGLQVRRRDGHWVTVQPLPDAFIVNVGDQIEILSNSMYKSVEHRVIVNAEEERISLALFYNPRGDVPVAPAPELVTPERPSLYYRPMTFDEYRVYVRKNGPKGKAQLEALKGQSITQNNE >ORUFI01G39520.1 pep chromosome:OR_W1943:1:32784690:32789973:1 gene:ORUFI01G39520 transcript:ORUFI01G39520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSSLSLSLSSDFFCASSKLPSSPRSRPPSVTRSADQGRLLASRLRLASLPSPNADATAYLTRRATRLRGAANRMAAPGLDEVMAFLTDHGFAGAASALRDDVLARAASAAGDAGSDSDAALDPQLPPLRLPASTSGGGGAPAAPPASPGSSSDSASSSAFVSMRSSPSGMLNPYGVWSPRHSDTSSSEMEFGTARQYDATDFFFQEGWLYDDHLFHSKSELDDDNGEDKEEDKFVLGVHDGSGRIEMGVLSAGDDHRHEHVGNDGCEGCAEVYTCSSPLCGCCGEGLKNGGLEVVKDSSSTVYGRYQIIDDQTEILDECGMDGFQLKHPADVVLECHLPRDSGEGDERSELSVVEKELQMLSSFGTRVDADAFTSPGLVHDITDNAKLDDSIEKNMKNSSDKYLKEGYSIEPFPESSVDDTFEFGDIGPLNTDAQNLTAAKAEEENPETNVDLALANFHREYEVFELRIVHRKNRTGFEVSKDFPIVINSVIAGRYYVTEYLGSAAFSKVVQAHDLQTGTDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEYHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNQESGGEAYFTLPRIQAIARQCLEALVYLHHLRIIHCDLKPENILIKSYSRCEIKVIDLGSSCFLTDNLCLYVQSRSYRAPEVILGLPYDQRIDIWSLGCILAELYTGEVLFPNEPVPIMLAQMIGIIGPIDMEMLALGEETQKYFTDDYDLFTKNEETDQFEYLIPEKSSLQHHLQCPDSEFVDFLSYLLQINPRRRPTASEALQHQWLSFAY >ORUFI01G39530.1 pep chromosome:OR_W1943:1:32790317:32792314:1 gene:ORUFI01G39530 transcript:ORUFI01G39530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTAAVAVAVAAATALPSPSSSSSHRRLPATVKSVWVNPSLPSSHPFHRRKPAEPQHQRQDHVPDLPALLAALSAARTASDLAAAVSPHRPVSPRLLGTLLSRLPDARRGVALLDLLAPDLPASALLIPYNLLLRSACRAGMLRLASGLLLEMRDRGVAPDAFSYSTLLAALTRAGHLDHALTFLPLMEDDAVAPDLVLFSNLIHLALRGGDAPKALALFSRLRGAAGIKPDLKAYNAAIAAYCKSDLLRDANRLLLHDMPSDGVAPDAESYSPILAALARRGRHLAAVSLFTHMRAVARVKPDLSVFNIVLNAYGQLDLAREADRLFWSMRRAGVAPSVVTYNTMLRVYGDAGLFGEAVHLFGLMRSAASDGSDRGGSVIKPNVVTYNTMIAIYGKSLEDEKAGSLVQDMQANGIQPNAITYSTILSIWVKAGKLDRAGKLFEKLREAGTEIDPVLYQTMVVAYERAGLVSQSKRLLHDLKDSEDVPKETAIKILANAGRVEEAAWLFRRAADAGDVRDTSVYRMMIDLFAKSRRHRSVIEVFDEMRKAGSLPDSETIAITMNAHGKLKEFDKAAALYRAMREEGCVFSDRVHFQMVSLLGAQKDFDALEALLGELSDDPSIDKRELYLVAAGVYERACKFDESSQIISQIRSPNAIGVQKFR >ORUFI01G39540.1 pep chromosome:OR_W1943:1:32792935:32795240:-1 gene:ORUFI01G39540 transcript:ORUFI01G39540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVYSPTAAAAAQQQQRGKVAAQAWQAVVGWIGFLLQVLLQILRGTPSCAQLLSFVGFRYPLLSGPADSEQSPSVAFMPLRSEIPADTAPAPTPPPESLQRLTVVLDLDETLVSAYESSSLPAIVRTQAVEAGLHCFDMECLSTEKDVEGRNKVNHVTVFERPGLHEFLQKTSEFADLILFTAGLEGYARPLVDRIDVHNRFKLRLYRPSTVTTEYREHVKDLSCVSKDFCRVVIVDNNPFSFILQPLNGIPCVPFSAGQHNDDQLMEVIFPLLKHLSIQRDVRPALYERFHMPEWFQKHGIPQTDQAV >ORUFI01G39550.1 pep chromosome:OR_W1943:1:32801956:32805581:1 gene:ORUFI01G39550 transcript:ORUFI01G39550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVPDTCTHAARATQKPSRALGAARAGGSGTPRRSMQAIRPGRSCRLGRSCGRDAVSSRCLARLHRSSGSSNGGGGAVRCAKAAAQSMEAVKKDEEARVVALRAVEATPESFAPFGQVIAATPDGDEFGPHDAQLDLTRGIPRVVTLRAVEATPESFAPFGQVVAAYGGKRFGPNDAQLDLSRGVPRFYIMRLEKRPLEFWTITHHASVTQCLGSIGGEDWYLGVAKPSIVEDGAHEQGGDGRKPVQSRAGHYYLPPDPAEVCVFRVSGAKFLKLHIGTWHAGPQFKADAVDFYNLELSNTNIVDHTTHDFKKDDGVTFVIED >ORUFI01G39550.2 pep chromosome:OR_W1943:1:32801956:32805581:1 gene:ORUFI01G39550 transcript:ORUFI01G39550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVPDTCTHAARATQKPSRALGAARAGGSGTPRRSMQAIRPGRSCRLGRSCGRDAVSSRCLARLHRSSGSSNGGGGAVRCAKAAAQSMEAVKKDEEARVVALRAVEATPESFAPFGQVIAATPDGDEFGPHDAQLDLTRGIPRFYIMRLEKRPLEFWTITHHASVTQCLGSIGGEDWYLGVAKPSIVEDGAHEQGGDGRKPVQSRAGHYYLPPDPAEVCVFRVSGAKFLKLHIGTWHAGPQFKADAVDFYNLELSNTNIVDHTTHDFKKDDGVTFVIED >ORUFI01G39560.1 pep chromosome:OR_W1943:1:32805769:32810584:1 gene:ORUFI01G39560 transcript:ORUFI01G39560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLDSYPSIKDVTYSCGYCGYALNLSSSTRNTANIGSKYGKQIKKGVVSFFAVDESRFTQADEVTCVPYFHSRRSWGLFRRRSRLLCRKCGGRIGSAYEEDEPAAAALPACDGPDDLRTTSSGSSGSASSQKNYVIKINALQPSSDDSDAVAFTL >ORUFI01G39570.1 pep chromosome:OR_W1943:1:32808013:32810800:-1 gene:ORUFI01G39570 transcript:ORUFI01G39570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKAAALVLLLVSVSVAAAASGDQESDRIRELPGQPAKVRFSQYSGYVTVNQAHGRALFYWLVEAVPAAGPIAPLVLWLNGGPGCSSVGYGASEEVGPFRIRPDGKTLYLNPNSWNKAANLLFLESPAGVGFSYSNKTLDLYVAGDAKTASDAYAFLVNWLERFPQYKYREFYIAGESYAGHYVPQLAQLIYEQNKGIQNPIINLKGFMVGNAVTDDYHDYLGTFEYWWTHGLISDNTYHNLKKTCLLESSEHPSPECLKNLNLASSEEGNIDPYSLYTKPCNNTASLKLGLGGRYPWLSRAYDPCTERYSSIYYNRPEVQIAMHANTTGIQYSWKTCSDIVGSYWADSPKSMLPIYQELIAAGIRIWVFSGDTDAVVPVTATRYSIDALKLPTLVNWYPWYDHGKVGGWSQAQAMRYHYTGLEKHLYYSDTSCRIHPCQLNSHLKQNDRVNYDNHIKC >ORUFI01G39580.1 pep chromosome:OR_W1943:1:32812792:32813778:-1 gene:ORUFI01G39580 transcript:ORUFI01G39580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSRAAACGALIFPTTASAAPVSRSVSVDQRVSHRRRKAVAVAAVPHASSGGALLERPAFDQSQLDTLPVTQEGGDTGRMRDRRGSGSGDSYKVLLIDDARHTEKLVEKALPQVVPSVTAEAARQLFHASRQKGAALVIVAVKEHAEFYAQMMVRQGLRSAIEPESDLAS >ORUFI01G39590.1 pep chromosome:OR_W1943:1:32820652:32827849:-1 gene:ORUFI01G39590 transcript:ORUFI01G39590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPATARASELTVACVVVLGSAPPFPRGSEVVLAASIISKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGSGKQHTYVETENVRYVYQPIEALYLLLITNKQSNILEDLDTLRLLSKLIPEYAPSLDEEGVCKAAFKLLFAFIEAISLGNKENVTVAQVKQYCEMESHEEKLHKLVMQSKINETKDVMRRKVTEIEKSKTDRGKPDKGGFGSLRTPNSFSDMGIRGGGPGGDPIFGDMDSFTHKAKGRPSAPAPASASTKVPGGMKLSKAQKTNQFLESLKAEGEVILEDTQPSATQSRSSYIPPSDPITVTIEEKLNVTVKRDGGVSNFDIQGTLALQILNDTDGFIQLQIENQDVPGLNFKTHPNINKELFNSQQIVGAKDPNRPFPSGQNETPLVKWRIQELNESSLPLAVNCWPSVSGNETYVNIEYEASEMYDSRNSVLEWSIILVDQSNRSGSLEFTVPAADPSTFFPISVGFSASNTFSDLKVTAIRPLREGSPPKFSQRNRLVTYNYQVV >ORUFI01G39590.2 pep chromosome:OR_W1943:1:32820654:32826334:-1 gene:ORUFI01G39590 transcript:ORUFI01G39590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRIEGLLAAFPKLVGSGKQHTYVETENVRYVYQPIEALYLLLITNKQSNILEDLDTLRLLSKLIPEYAPSLDEEGVCKAAFKLLFAFIEAISLGNKENVTVAQVKQYCEMESHEEKLHKLVMQSKINETKDVMRRKVTEIEKSKTDRGKPDKGGFGSLRTPNSFSDMGIRGGGPGGDPIFGDMDSFTHKAKGRPSAPAPASASTKVPGGMKLSKAQKTNQFLESLKAEGEVILEDTQPSATQSRSSYIPPSDPITVTIEEKLNVTVKRDGGVSNFDIQGTLALQILNDTDGFIQLQIENQDVPGLNFKTHPNINKELFNSQQIVGAKDPNRPFPSGQNETPLVKWRIQELNESSLPLAVNCWPSVSGNETYVNIEYEASEMYDSRNSVLEWSIILVDQSNRSGSLEFTVPAADPSTFFPISVGFSASNTFSDLKVTAIRPLREGSPPKFSQRNRLVTYNYQVV >ORUFI01G39600.1 pep chromosome:OR_W1943:1:32829812:32833270:-1 gene:ORUFI01G39600 transcript:ORUFI01G39600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEGRWAVAWEGGRGGAARVRFLGGFSWARKVSGFRAVKKVFSSSDPDGREAKIEKADKSRSRRKWPFGKSKKSDPWTSTVAVPTSTAPPPQPPPPPPTHPIQPQPEEIKDVKAVETDSEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTTATTAVPKSPVSSKDELAAIKIQTAFRGYLARRALRALRGLVRLKSLVDGNAVKRQTAHTLHCTQTMTRVQTQIYSRRVKMEEEKQALQRQLQLKHQRELEKMKIDEDWDHSHQSKEQWKNSGRTITPTFTDQGNPNWGWSWMERWMTSRPWESRVISDKDPKDHYSTKNPSTSASRTYVPRAISIQRPATPNKSSRPPSRQSPSTPPSRVPSVTGKIRPASPRDSWLYKEDDLRSITSIRSERPRRQSTGGASVRDDASLTSTPALPSYMQSTESARAKSRYRSLLTDKFEVPERVPLVHSSIKKRLSFPVADKPNGEHADKLMERGRRHSDPPKVDPASLKDVPVS >ORUFI01G39600.2 pep chromosome:OR_W1943:1:32829812:32833227:-1 gene:ORUFI01G39600 transcript:ORUFI01G39600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVQTQIYSRRVKMEEEKQALQRQLQLKHQRELEKMKIDEDWDHSHQSKEQWKNSGRTITPTFTDQGNPNWGWSWMERWMTSRPWESRVISDKDPKDHYSTKNPSTSASRTYVPRAISIQRPATPNKSSRPPSRQSPSTPPSRVPSVTGKIRPASPRDSWLYKEDDLRSITSIRSERPRRQSTGGASVRDDASLTSTPALPSYMQSTESARAKSRYRSLLTDKFEVPERVPLVHSSIKKRLSFPVADKPNGEHADKLMERGRRHSDPPKVDPASLKDVPVS >ORUFI01G39600.3 pep chromosome:OR_W1943:1:32829812:32832951:-1 gene:ORUFI01G39600 transcript:ORUFI01G39600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGRRWRGAVKKVFSSSDPDGREAKIEKADKSRSRRKWPFGKSKKSDPWTSTVAVPTSTAPPPQPPPPPPTHPIQPQPEEIKDVKAVETDSEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTTATTAVPKSPVSSKDELAAIKIQTAFRGYLARRALRALRGLVRLKSLVDGNAVKRQTAHTLHCTQTMTRVQTQIYSRRVKMEEEKQALQRQLQLKHQRELEKMKIDEDWDHSHQSKEQWKNSGRTITPTFTDQGNPNWGWSWMERWMTSRPWESRVISDKDPKDHYSTKNPSTSASRTYVPRAISIQRPATPNKSSRPPSRQSPSTPPSRVPSVTGKIRPASPRDSWLYKEDDLRSITSIRSERPRRQSTGGASVRDDASLTSTPALPSYMQSTESARAKSRYRSLLTDKFEVPERVPLVHSSIKKRLSFPVADKPNGEHADKLMERGRRHSDPPKVDPASLKDVPVS >ORUFI01G39600.4 pep chromosome:OR_W1943:1:32829812:32832330:-1 gene:ORUFI01G39600 transcript:ORUFI01G39600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGNWFSAVKKVFSSSDPDGREAKIEKADKSRSRRKWPFGKSKKSDPWTSTVAVPTSTAPPPQPPPPPPTHPIQPQPEEIKDVKAVETDSEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTTATTAVPKSPVSSKDELAAIKIQTAFRGYLARRALRALRGLVRLKSLVDGNAVKRQTAHTLHCTQTMTRVQTQIYSRRVKMEEEKQALQRQLQLKHQRELEKMKIDEDWDHSHQSKEQWKNSGRTITPTFTDQGNPNWGWSWMERWMTSRPWESRVISDKDPKDHYSTKNPSTSASRTYVPRAISIQRPATPNKSSRPPSRQSPSTPPSRVPSVTGKIRPASPRDSWLYKEDDLRSITSIRSERPRRQSTGGASVRDDASLTSTPALPSYMQSTESARAKSRYRSLLTDKFEVPERVPLVHSSIKKRLSFPVADKPNGEHADKLMERGRRHSDPPKVDPASLKDVPVS >ORUFI01G39610.1 pep chromosome:OR_W1943:1:32834548:32834739:1 gene:ORUFI01G39610 transcript:ORUFI01G39610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLASVLGALARAECQFGQPSPAAFSPRRAAAFSPVRERKALPLPATCSFVDCHSEIEGAMD >ORUFI01G39620.1 pep chromosome:OR_W1943:1:32841331:32845024:-1 gene:ORUFI01G39620 transcript:ORUFI01G39620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAKIRNGSLEHPTRTSSQGATKTSRTARPAGPDSAADRPSTKSPPAGRSPKVERRMTMSAEREKRRPPTKLSELESQLSQLQDELKKAKEQLLSTEHSKRRALQEAEDARAQAAAASAQVRDSEAQLAELSSAEESRLLELRRLSQERDRSWQSELEAMQKQHAADSAALVAAMGEVHRLRVQLAAAARADRKQDVVEAMATIDELRVKLKASEEAEAQARALHEECKQQLETSRATIDSLLTDGSKLMDSFSLVVKELEESRAKVKALEEEIAETSAAKAGERCNCSASASASEVAELRSELESTEARFQEERILSTVETQCAYELMDQIKMESDSRHGKLAAALESTKSEVIFLKASLFDKDSELRRALDANEKLQSETRTDNELKEQLQGALLENGQLKRELQQHTSEKKASAKATDAADAAAEAAKKGEMEAELRRLRVQAEQWRKAAETAMALLTVGKGGNGKVVDRSESLEGGGGGGGKYAGLWDELDDDAAARKNGNVLRRISGMWKK >ORUFI01G39630.1 pep chromosome:OR_W1943:1:32852750:32863809:1 gene:ORUFI01G39630 transcript:ORUFI01G39630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFDRLPPMDRLRSEKMCFVQLIIPAESARLAVTYLGELGLLQFKDLNEDKSPFQRIFVNQVKRCSEMSRKLRFFNDQINKAGVKSSVRPAMQPDIDLEELEAKLREHENDLLEMNTNSEKLLQTYNELLEFKMVLSKAGGILASSHNHAAPAERELDEHIYDKEMDDGNAYLLEQGVHLGASENSGVKFVSGIILKSKAMAFERMLFRATRGNMFFNQAPAGEPVTDPISGEEVEKTVFVVFFSGDQAKAKILKICGSFGASCYPVPEEMVKQRQIFREVSGRLADLEATLDAGIQHRNKALESVGSQLWRWTIMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIKDVLQRATLHSNSQVGIIFHEMDTIDSPPTYFQTDKFTNAFQEIVDAYGIARYEEANPAVYSVITFPFLFAVMFGDWGHGICLLLGACVLILREKKLSSQKLGSFMEMAFGGRYVILLMALFSIYCGLIYNEFFSVPFHIFGKSAYECREKTCSDAHTAGLIKVRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILMGVTQMNLGIVLSYFDAKFHGNALDIRYQFIPQMIFLNSLFGYLALLILIKWCTGSQADLYHVMIYMFLDPSGNLGENQLFWGQKELQILLLLMAIVAVPWMLFPKPFILKKLHKERFQGHTYRFLGTSEMDPDSEPDSARSRHDDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLVLAWGYDNLVVKLVGLVIFSFATAFILLGMESLSAFLHALRLHWVEFMNKFYHGDGYKFRPFSFALLADDED >ORUFI01G39630.2 pep chromosome:OR_W1943:1:32852750:32863809:1 gene:ORUFI01G39630 transcript:ORUFI01G39630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFDRLPPMDRLRSEKMCFVQLIIPAESARLAVTYLGELGLLQFKDLNEDKSPFQRIFVNQVKRCSEMSRKLRFFNDQINKAGVKSSVRPAMQPDIDLEELEAKLREHENDLLEMNTNSEKLLQTYNELLEFKMVLSKAGGILASSHNHAAPAERELDEHIYDKEMDDGNAYLLEQGVHLGASENSGVKFVSGIILKSKAMAFERMLFRATRGNMFFNQAPAGEPVTDPISGEEVEKTVFVVFFSGDQAKAKILKICGSFGASCYPVPEEMVKQRQIFREVSGRLADLEATLDAGIQHRNKALESVGSQLWRWTIMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIKDVLQRATLHSNSQVGIIFHEMDTIDSPPTYFQTDKFTNAFQEIVDAYGIARYEEANPAVYSVITFPFLFAVMFGDWGHGICLLLGACVLILREKKLSSQRMNVERKPAVMHILLGSLKSVIPILLEWTQVGVEVDLSYPF >ORUFI01G39630.3 pep chromosome:OR_W1943:1:32858070:32863809:1 gene:ORUFI01G39630 transcript:ORUFI01G39630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDWGHGICLLLGACVLILREKKLSSQKLGSFMEMAFGGRYVILLMALFSIYCGLIYNEFFSVPFHIFGKSAYECREKTCSDAHTAGLIKVRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILMGVTQMNLGIVLSYFDAKFHGNALDIRYQFIPQMIFLNSLFGYLALLILIKWCTGSQADLYHVMIYMFLDPSGNLGENQLFWGQKELQILLLLMAIVAVPWMLFPKPFILKKLHKERFQGHTYRFLGTSEMDPDSEPDSARSRHDDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLVLAWGYDNLVVKLVGLVIFSFATAFILLGMESLSAFLHALRLHWVEFMNKFYHGDGYKFRPFSFALLADDED >ORUFI01G39640.1 pep chromosome:OR_W1943:1:32871857:32873562:1 gene:ORUFI01G39640 transcript:ORUFI01G39640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVSLFITLLCTLMSAHYIAGSQVNYLKDNGLPLLHVDPSSAAIVNKSTKTQQPDEGAYYVFNAGYEMNSQYYYGIEVTTDVYGLSLDGEQNSGILVSIANKGDDQSSTNALVIGWHVYPRLNGDAHAHFFVRWTIDGYRKTGCYNLDCPGYVPEAGISIVPGVAIDTVSEPGGIKHIIIFKIFKDGAGDWLLHCGWDSEPYLIGRFPASLFTTLRNKANYMKVAGYAVARTTHLAPMGSGYLPNNPKAASFSNVQLIDQDGQTSKIPQDLPATQTFPSIYSVSPINFEGKFTYGGPLE >ORUFI01G39650.1 pep chromosome:OR_W1943:1:32876564:32877839:-1 gene:ORUFI01G39650 transcript:ORUFI01G39650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGHDESGSPPRSGGVREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGEDLLFAMGTLGFEEYVDPLKIYLHKYREGDSKLSSKAGDGSVKKDTIGPHSGASSSSAQGMVGAYTQGMGYMQPQVT >ORUFI01G39650.2 pep chromosome:OR_W1943:1:32876564:32877858:-1 gene:ORUFI01G39650 transcript:ORUFI01G39650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMDLGFLEGGAGMADAGHDESGSPPRSGGVREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGEDLLFAMGTLGFEEYVDPLKIYLHKYREGDSKLSSKAGDGSVKKDTIGPHSGASSSSAQGMVGAYTQGMGYMQPQVT >ORUFI01G39660.1 pep chromosome:OR_W1943:1:32879656:32884434:-1 gene:ORUFI01G39660 transcript:ORUFI01G39660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPSRRRSQLALPSPPQPPPPRLLGDLRCPSVNMGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >ORUFI01G39670.1 pep chromosome:OR_W1943:1:32887095:32891745:1 gene:ORUFI01G39670 transcript:ORUFI01G39670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKAAPSKADLAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLHQAKKKEEEKAREKELNDLFKVAVSQPKVPVGVDPKSIVCEFFKVGQCQKGFKCKFSHDLNVQRKGEKIDIYTDKRDAETMEDWDQETLEKVVASKGAEYQQNKPTDIVCKYFLDAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLEEESEKIAIEDEIEDQRKKVKTTTPMTTDLFMEWKRKKAEEREAGLAALRAERAKNDRMSGRELFMADSSVFVDDAEAYDVYERQEESEANEEPSNKNQDEGPSSSTSNGKEVEESDDEDINIDDDLDIDELNELEASLSRTSIQIREPGEGTSS >ORUFI01G39680.1 pep chromosome:OR_W1943:1:32895396:32895635:-1 gene:ORUFI01G39680 transcript:ORUFI01G39680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSHAPVKRCLSKAPSGNPLPRRGQVKESMGKQIVAAAAAVATAAALACEKTGGGGAGSGDKKGSGRPAPVVGAKKK >ORUFI01G39690.1 pep chromosome:OR_W1943:1:32901058:32901486:-1 gene:ORUFI01G39690 transcript:ORUFI01G39690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSYPCNSCSCNKEKRPPLKRGQLKLQIAKALGSLVGSQLQEMSVVVPRRQELGATAQHLSSSACKLMEVLVYYGAYGLRLREYLASSAIALFLSEEIVRERLGGVFLAVSCLVW >ORUFI01G39700.1 pep chromosome:OR_W1943:1:32904144:32912783:-1 gene:ORUFI01G39700 transcript:ORUFI01G39700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSLPFIASEHKRDAYGFAVRPQHLQRYREYANIYKEEEEERSERWKNFLDSQAEYDESSGEDQDAKVSPSAEDEEAGKKAEDGRSKLSDEQKVKQQRPHKIQIWSEIRPSLGHIGEMMSLRVKKKQSSADKENAANELQSANNEEIKPSEDSDDEFYDVEKVDPNQEGPVADSADADSGMNVDANQEGHYPWKEELECLVRDGLPMALRGELWQAFVGIGARRVKGYYESLLAADDERENSKGSDSPTMEGKPKGSPFSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWALTGIMDDYFDGYFSEEMIECQVDQLVLEELVREKFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFDGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQAVDEARLQELRNKHRPSVISSMEQRAKGLRVWRDTNGLASKLYNFKRDPEPLVSLSTEQLSDLTETSSGSTDDMYSGLTVNTEIDSLPDPKDQVVWLKVELCQLLEERRSAVLRADELETALMEMVKQDNRRELSAKVEQLEQELSDLRQALLDKQEQEQAMLQVLMRVEQEQKVTEDARIFAEQDAAAQKYAAHVLQEKYEEAMASLAQMENRAVMAETMLEATLQYQSSQQKAQLPSPSPSPRTPTRDASPGQVNQDSSQEFQPRRISLLAPFSLGWRDKNKGKQNISDESTNGNLNSNTEQMVDTPKKDDEKQGDSPQEGEQRVDTPRRDSEHRLDTPETTIKLEEQLEEIKLD >ORUFI01G39710.1 pep chromosome:OR_W1943:1:32927076:32927597:1 gene:ORUFI01G39710 transcript:ORUFI01G39710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSIGASSPIGSTGAFSPIGSTSFDDDGGADDDGTTSNRGARNGGAGDGGCRGARPDDRGGEGDGCWGGAGDGCRFGDDGRRCSAAAGRDAARRRRCGGRRRRYGAAIGRDAALRRAEMQRGGGWRCSAAAGGDAAVGGDAARWWRGGIGASPRKGSKSRLTGRSKRGLTG >ORUFI01G39720.1 pep chromosome:OR_W1943:1:32932751:32938167:1 gene:ORUFI01G39720 transcript:ORUFI01G39720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYDHQSPHAQHPSGLPRPPGAGAGAAAGGFARGLMKQPSRLASGVRQFASRVSMKVPEGVGGMRPGGGRMTRMQSSAQVGLRGLRFLDKTSGGKEGWKSVERRFDEMNRNGRLPKESFGKCIGMGDSKEFAGELFVALARRRNLEPEDGITKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGMLTEDEVKEVIILSASANKLAKLKGHAATYASLIMEELDPDDRGYIEIWQLETLLRGMVSAQAAPEKMKRTTSSLARTMIPSRYRSPLKRHVSRTVDFVHENWKRIWLVALWLAVNVGLFAYKFEQYERRAAFQVMGHCVCVAKGAAEVLKLNMALILLPVCRNTLTTLRSTALSHVIPFDDNINFHKVIAATIAAATAVHTLAHVTCDFPRLINCPSDKFMATLGPNFGYRQPTYADLLESAPGVTGILMIIIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLAYVLLVVHSYFIFLTREWYKKTTWMYLIVPVLFYACERTIRKVRENNYRVSIVKAAIYPGNVLSLHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTTELRNLFGKACEAQVTSKKATLSRLETTVVADAQTEDTRFPKVLIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKSNEEVESIHGSEIGSFKNNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDHNNIIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSRIRTHFARPNWRKVFSDLANAHKNSRIGVFYCGSPTLTKQLKDLSKEFSQTTTTRFHFHKENF >ORUFI01G39730.1 pep chromosome:OR_W1943:1:32937268:32938119:-1 gene:ORUFI01G39730 transcript:ORUFI01G39730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIHGMQESKTASVIKVAREPAVIINGVPDLPPDVASESQPEPRNAAEPPVDHRFGEFLEGRKVRKPFGDKHFVGKVDKYDSESNWYSVVYQDGDQEDLEWKEVEEIMLPLDITIPLKTLISDKFALQNTAPVFKPKVGRPRKVYAITDGNTNNAMEDQMMTGAANEHQSNNLLALVPASTSNDAYVNASSQPRKRGRPRKDATMYPRKDATIPANTQPKRRGRPPKNRNLSGNAQSAECTPQNSVLIRNAQTVRAEKLAKAERLKRENMHAQGAPPGTQFF >ORUFI01G39740.1 pep chromosome:OR_W1943:1:32947323:32948518:-1 gene:ORUFI01G39740 transcript:ORUFI01G39740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHSSSASSAASYGSDVSFMNHSPAAALPAVPTQIPRVAGGYLDGNVSGGLPHFGGAVSSSSSSPPSYSSSLPSSYYNNIQRSISSHSLPHHLQLTDHFGGAFFSSSSSSSHQLPLPPPLSSSPSSSSGDLFEFTSPCPVRRVFSTGDLQGMNGSSPPRPLPSGESCGQDGSGPFSQKVGRYSAEERKERIERYRVKRHQRNFNKKITYACRKTLADSRPRVKGRFARNGEADAEGDEREAFDASYSYDYSAAGYSDYRSGSNSSSVNSCYNYNRKDGAATEASVVGGSDNGEWWWRAPGATTAEAERQRQAGFDVDEEIWATLGDMLSVNLAS >ORUFI01G39750.1 pep chromosome:OR_W1943:1:32949468:32955840:-1 gene:ORUFI01G39750 transcript:ORUFI01G39750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAASNAYSWWWASHIRTTQSKWLDNNVQEMEIRVKAMIKLIDIEADTFARKADLYFKSRPDLINHVEETYRSYQALADRYDRVSGELHKSNHTIATAFPEQVQLSLQDDNGDGFPKGITGININRGTSAAPKRTQTHKKISSKMSKDKAQEEIERLQKKILVLQTEKEFFKSSYESSLNKYLSIERQAAEMQEEVWSLQETFSTSAVIEDNEARALMAAQALISCEDKLASLHCEQKRSYEETTMEIQRVIDAKKKITIFKSECGYPDDQKDLPNHQDIEFSSIPSSIEDSDLIMKDCKLELQELSQKVKQKFESSSEASAVHLAGQVDEIVDKVISLEIAASSQNAQINRMKNEADELQKRLDSLEDEKAALIEDSSKLSERLKQVEEVLQTIQRIGKSVHSENGNIHQQLTEVDDSLNDFVKKLDAHSTDEIVNSSQDDSGIACISKDEGLLDALDDSSKAHKEEPDETLGWQQLDLNGLEDKDKILLKDYASILRNYKDTQKQLLEIEKRNREYHLEAMSEMNELKSSSATKDDEICSLRRMLSSLQTKLNNSLPQRFVESEESSEANASPNLENKNIAETEEYMKIREHEEPHDPSLEDKFRAEISRILEENLDFWLRFSTSYHYMQKFQKSFDKAKAEMDKLTDAKAQEGSDAVPSCQSARKQESAVWLEKNVLLQGELESRFSLLCSIEEEISKITALGQTDEAHFTPFQAAKFQGEVSSMKQENSKVTKELQTGMDHVRSLQVEVGRALLKLRENIELSIGRRNRTQHSFRSLSMKAGVPLRTFLFGSKPKKASLFSCMGPVMPKPRPEMSVQDDGRPRNSKPNSSTNIPVDAPV >ORUFI01G39750.2 pep chromosome:OR_W1943:1:32949353:32955840:-1 gene:ORUFI01G39750 transcript:ORUFI01G39750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAASNAYSWWWASHIRTTQSKWLDNNVQEMEIRVKAMIKLIDIEADTFARKADLYFKSRPDLINHVEETYRSYQALADRYDRVSGELHKSNHTIATAFPEQVQLSLQDDNGDGFPKGITGININRGTSAAPKRTQTHKKISSKMSKDKAQEEIERLQKKILVLQTEKEFFKSSYESSLNKYLSIERQAAEMQEEVWSLQETFSTSAVIEDNEARALMAAQALISCEDKLASLHCEQKRSYEETTMEIQRVIDAKKKITIFKSECGYPDDQKDLPNHQDIEFSSIPSSIEDSDLIMKDCKLELQELSQKVKQKFESSSEASAVHLAGQVDEIVDKVISLEIAASSQNAQINRMKNEADELQKRLDSLEDEKAALIEDSSKLSERLKQVEEVLQTIQRIGKSVHSENGNIHQQLTEVDDSLNDFVKKLDAHSTDEIVNSSQDDSGIACISKDEGLLDALDDSSKAHKEEPDETLGWQQLDLNGLEDKDKILLKDYASILRNYKDTQKQLLEIEKRNREYHLEAMSEMNELKSSSATKDDEICSLRRMLSSLQTKLNNSLPQRFVESEESSEANASPNLENKNIAETEEYMKIREHEEPHDPSLEDKFRAEISRILEENLDFWLRFSTSYHYMQKFQKSFDKAKAEMDKLTDAKAQEGSDAVPSCQSARKQESAVWLEKNVLLQGELESRFSLLCSIEEEISKITALGQTDEAHFTPFQAAKFQGEVSSMKQENSKVTKELQTGMDHVRSLQVEVGRALLKLRENIELSIGRRNRTQHSFRSLSMKAGVPLRTFLFGSKPKKASLFSCMGPVMPKPGQEHKILAVGFYISG >ORUFI01G39750.3 pep chromosome:OR_W1943:1:32953059:32955840:-1 gene:ORUFI01G39750 transcript:ORUFI01G39750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAASNAYSWWWASHIRTTQSKWLDNNVQEMEIRVKAMIKLIDIEADTFARKADLYFKSRPDLINHVEETYRSYQALADRYDRVSGELHKSNHTIATAFPEQVQLSLQDDNGDGFPKGITGININRGTSAAPKRTQTHKKISSKMSKDKAQEEIERLQKKILVLQTEKEFFKSSYESSLNKYLSIERQAAEMQEEVWSLQETFSTSAVIEDNEARALMAAQALISCEDKLASLHCEQKRSYEETTMEIQRVIDAKKKITIFKSECGYPDDQKDLPNHQDIEFSSIPSSIEDSDLIMKDCKLELQELSQKVKQKFESSSEASAVHLAGQVDEIVDKVISLEIAASSQNAQINRMKNEADELQKRLDSLEDEKAALIEDSSKLSERLKQVEEVLQTIQRIGKSVHSENGNIHQQLTEVDDSLNDFVKKLDAHSTDEIVNSSQDDSGIACISKDEGLLDALDDSSKAHKEEPDETLGWQQLDLNGLEDKDKILLKDYASILRNYKDTQKQLLEIEKRNREYHLEAMSEMNELKSSSATKDDEICSLRRMLSSLQTKLNNSLPQRFVESEESSEANASPNLENKNIAETEEYMKIREHEEPHDPSLEDKFRAEISRILEENLDFWLRFSTSYHYMQKFQKSFDKAKAEMDKLTDAKAQEGSDAVPSCQSARKQESAVLEKKLRGLSTDLQVWLEKNVLLQGELESRFSLLCSIEEEISKITALGQTDEAHFTPFQAAKFQGEVSSMKQENSKVTKELQTGMDHVRSLQVEVGRALLKLRENIELSIGRRNRTQHSFRSLSMKAGVPLRTFLFGSKPKKASLFSCMGPVMPKPVADMRAGPFG >ORUFI01G39760.1 pep chromosome:OR_W1943:1:32960797:32961108:1 gene:ORUFI01G39760 transcript:ORUFI01G39760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ORUFI01G39770.1 pep chromosome:OR_W1943:1:32961340:32966054:1 gene:ORUFI01G39770 transcript:ORUFI01G39770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNPAAFRWNVNLKLRRQCRASGAAAVSTGGVERRDGRRRRPRAALWTTAAAPSGGSLSSRPGRRRRRRAGWPERRRCRAAGRPGRRHSSPDQHSSPPRPTSLLSRRCPGTGRRAPDVEWSADAGLGAGWPASDVEQAAAPDVECGAIAGLGARRPAPDMERDEEIGGERARGATMEKPLAPSAVAALSPCPMRPRYHRRTCDLLWTRGPQSQN >ORUFI01G39780.1 pep chromosome:OR_W1943:1:32966077:32976107:1 gene:ORUFI01G39780 transcript:ORUFI01G39780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGGEAAAGSDAKPTAGTGMEQPSPSPYQPSASDHHLWSSSTGAPWNYSMNNSNQNTVYYDPQRDVSVPGSTENVTSGATHVVQSAMGITGATDSYAPYSNSVQPGYNAPQYPNYYYNCPQSTNESSVQQGTAPGYATSNNYYQSNSWTGGSSGDNHVQSYQSYTPSDTNAAQSSSSLPNNSYHYHQQYNQWPYYYDQSAPSSGGPAVAVSSVSDANTASVSSGYVYPSTQPPPPGTTWRSDAGATAVPPPQAPGTPVFQNQHVNQAAGPPGYQNQYVNQAPGTPGFQNQYVNQAPAVPGFQNQYANLAPTYQPGTTYYSQLPLSNQADQQKASRWQDPISNVSSVNHVSESSQPTFQGSATSDALRVNKIQIPTNPRIAPTLPMAMPKVEKRNLEADSSKKPAYVSVAVQKNDVKAAQDGHEAVTQGSIPVSLRTYVGRNVSRCKDDAQRSAVQNILKEIITKATADGILHTKNWDIEPLVPLPENITSTNLTSSAKDLSPFSFSTSRRSPSRRAKSRWEPVVEEKVANKVELISKESAKTNTYNSSETTKRAGRSWDIGKFLQSRQAPLSQYNQRPSKKKRIGGNSSLTENGNVSSDSDKEQDLAKYYANAITIANSPEEKKRREHRSKRFERSQGAASSKSRSSVPDKDGTSNTYARRSMPMLLSRSNGDDVSFAVEDLDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEDVLEKALHMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVMVYETHARLALQSGDLPEFNQCQSQLKRLYAEGIKGCHFEFSAYNLFLPKEAKQDRTVKHALAVHSAVSSGNYVLFFKLYKTAPDLNSCLMDLYVERMRFEAIKCISKSYRPTLPVKYAAQVLGFMAIDEVCEAKRADGLEECEEWLKAHGAVLSVDNNNGELQIDTKVSSTSLYMPEPDNAVSHGDASLAVDDFLARTS >ORUFI01G39780.2 pep chromosome:OR_W1943:1:32966077:32976107:1 gene:ORUFI01G39780 transcript:ORUFI01G39780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGGEAAAGSDAKPTAGTGMEQPSPSPYQPSASDHHLWSSSTGAPWNYSMNNSNQNTVYYDPQRDVSVPGSTENVTSGATHVVQSAMGITGATDSYAPYSNSVQPGYNAPQYPNYYYNCPQSTNESSVQQGVDQSSGAAYQPLTSFQNSGSYVGPTSNTYYNAGAHQTAPGYATSNNYYQSNSWTGGSSGDNHVQSYQSYTPSDTNAAQSSSSLPNNSYHYHQQYNQWPYYYDQSAPSSGGPAVAVSSVSDANTASVSSGYVYPSTQPPPPGTTWRSDAGATAVPPPQAPGTPVFQNQHVNQAAGPPGYQNQYVNQAPGTPGFQNQYVNQAPAVPGFQNQYANLAPTYQPGTTYYSQLPLSNQADQQKASRWQDPISNVSSVNHVSESSQPTFQGSATSDALRVNKIQIPTNPRIAPTLPMAMPKVEKRNLEADSSKKPAYVSVAVQKNDVKAAQDGHEAVTQGSIPVSLRTYVGRNVSRCKDDAQRSAVQNILKEIITKATADGILHTKNWDIEPLVPLPENITSTNLTSSAKDLSPFSFSTSRRSPSRRAKSRWEPVVEEKVANKVELISKESAKTNTYNSSETTKRAGRSWDIGKFLQSRQAPLSQYNQRPSKKKRIGGNSSLTENGNVSSDSDKEQDLAKYYANAITIANSPEEKKRREHRSKRFERSQGAASSKSRSSVPDKDGTSNTYARRSMPMLLSRSNGDDVSFAVEDLDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEDVLEKALHMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVMVYETHARLALQSGDLPEFNQCQSQLKRLYAEGIKGCHFEFSAYNLFLPKEAKQDRTVKHALAVHSAVSSGNYVLFFKLYKTAPDLNSCLMDLYVERMRFEAIKCISKSYRPTLPVKYAAQVLGFMAIDEVCEAKRADGLEECEEWLKAHGAVLSVDNNNGELQIDTKVSSTSLYMPEPDNAVSHGDASLAVDDFLARTS >ORUFI01G39790.1 pep chromosome:OR_W1943:1:32983543:32985771:1 gene:ORUFI01G39790 transcript:ORUFI01G39790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVHDRLPFLATPPPAPARGRNPPLAEMLRLVGAATVDSDAAAAAADEEANALSLPLPRGGVTPPPPGGRTIQFRLAFTSLTYSVRAARRARPGGGDGGGGYREGLQKRCDRVNAGGAGVLLDGITGEAREGEILAVMGASGSGKSTLIDALANRISRDALKGSVTLNGEPLTGNVIKSISAYVMQDDLLFPMLTVAETLSFAAEFRLPRALPAAKKRTRVLELIEQLGLRAAADTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSTSAFMVVQVLRNIAESGSIVITSIHQPSQRILGLLDRLILLSGGRTVFSGPPSAIPAYFAEFGYPVPDDENRAEFALDLIREFESLPAGTGQLVSFNKTWQVMHAARHNPNDDPWAPTMSLKEAISASISRGKLVSGSDVAGEAASMHTYANPFWVEMKVLTKRSAINTRRMPELFLIRLGAVVITGAILATVFYKLDQSPKGAQERLGFFAFAMSTMFYTCADALPVFLHERYIFLRETAYGAYRRTSYVLSNAIVSFPPLVVLSLAFAFTTFFAVGLAGGVSGFAFYTLAILASFWAGSGFVTFLSGVIPHVMIGYTVVVAILAYFLLFSGFFINRDKIPDYWIWFHYLSLVKYPFEGVLQNEFGRGGECYVRGTQMFDNSPLAVLPDTVKTRVLASIGTALGVKIGPNTCVMTGHNVLREAAVTQLGKWECLLVTAAWGFFFRLLFYFSLVLGSKNKRR >ORUFI01G39800.1 pep chromosome:OR_W1943:1:32991073:32993708:-1 gene:ORUFI01G39800 transcript:ORUFI01G39800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFCQYSAIFPFCNPWPPLRRISFPSSSFPITRAGEYSLYFANCGSGTRVTMDVRVELSDSNPDGGEDPVAMVYSFAVCYGVFLIAWLHRTLARGCSTARPVHDVMSGLLAALMLHCLTAAAHDGRYTSVVAGTARGWNVPCLALRLVKNAMLFPVVALIGAGWSLPEPFVQARELNVLTAMVPLQIYMAIATTLSGDGGVAWTWGHAFVLVQLACCVAVLMPMGRAIRALRKEADTDDKAARRLGKLALFRQLYLAVAVYLYHTWMAVFILKLLVGASSGYRWASVAVDEAAALAFYLFMFCMFSPAEEDIQLEEYTEELIQGGV >ORUFI01G39810.1 pep chromosome:OR_W1943:1:32993937:32995286:-1 gene:ORUFI01G39810 transcript:ORUFI01G39810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAARALLLLIAVAGALLRPAAAEIKQESFKDDSRASILFEKFGFSRRGFVSIAITGAKTSSKLAKAEPDQFGFFLLSDEALFEAIYEQPPPTDLNPNPEPNPGCVLSSPYVKPLFSFADLDGNGNYKKTFPVTQPDEYSLFFANCAPETAVTMEVRTDMYNTNLDGSKDYLSVGQAPVPAIYAFFTVCYLVFLAVWLYVTLYRNRLSAHRIHHLMSGLLAARMLYCISAAEDQHYIRIAGTPHGWDVMFYLFQLVKGVILFAVIALIGTGWSFLKPFLQDKEKKVLMVVIPLQVAANIAAAVVGETGPFLQGWVTWNQIFLFVDVACCCAVLFPVVWSMRSLRESSKTDGKAARTLAKLTLFRQFYVVVIGYLYFTRIIVYALKTITNYKYRWVSVAAEEVATVAFYLFMFYMFRPAERNQYFALDEDEEEAAELALREEEFEL >ORUFI01G39820.1 pep chromosome:OR_W1943:1:33003298:33004392:1 gene:ORUFI01G39820 transcript:ORUFI01G39820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGPQSHDQPLQEEDYIDMDLSSPAAAEAVTASARASLLCYSTAMAASPQNSREFEFHMSAPVDKWEPAASPADELFYKGKLLPLHLPPRIQMVEKLLESAAEKGLLSASTAPATPYQSCNASPANSCYVSGELNAEHYFHECTSAGIGAAEEAAACEKKPWSKKLKFIRHLNLGLKLKASKAYIKTIFATKAGNPDGKTGTPSANELSNAQFKSWRKNPFGQIRSNRYIASPISNSVTLGGRLKEDECGHRRSFSSVIIRYSSSNKTSSASSSSCSSSNSSSSILSSTDSGVGPVLRRSSSASSEMDNPIQGAIAYCKRSQQLASVRKSASDAGFRFMSSSASRIAAESEDPDDIIEICGR >ORUFI01G39830.1 pep chromosome:OR_W1943:1:33007458:33010559:-1 gene:ORUFI01G39830 transcript:ORUFI01G39830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEIHEEEGGGTAAAAAVKVMTVSGSGKRGRYVRQVTGRHNDTDLHVAARGGDAGALRRALDEAAAAVATGEGREALEEVRRAVAAEPNEAGETPLVAAAERGHLEVVRELLRHLDAEGVAAKNRSGYDALHVAAREGRHAVVQEMLLHNRLLAKTFGPANTSPLISAATRGHTEVVKLLLELDDFGLVEMAKDNGKNSLHFAARQGHVEIVKALLEKDPQLARRNDKKGQTALHMAVKGTNCDVLRALVDADPAIVMLPDKNGNTALHVATRKKRAEIVAVLLRLPDTHVNALTRDHKTAYDIAEALPLCEESSEIKDILSQHGALRSRELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNANNGVAVVVQAASFRIFFIFNAIALFTSLAVVVVQITVVRGETKSERKVVEVINKLMWLASVCTTISFIASCYIVLGRHFQWAALLVSLIGGITMAGVLGTMTYYVVKSKRMRKIRKKEKMSRRSGSSSWYDNTELSETELNQVYAL >ORUFI01G39830.2 pep chromosome:OR_W1943:1:33007458:33011216:-1 gene:ORUFI01G39830 transcript:ORUFI01G39830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDRSCIGYLRSVIAGSVDTHTGHECTITNHAAEEGGGTAAAAAVKVMTVSGSGKRGRYVRQVTGRHNDTDLHVAARGGDAGALRRALDEAAAAVATGEGREALEEVRRAVAAEPNEAGETPLVAAAERGHLEVVRELLRHLDAEGVAAKNRSGYDALHVAAREGRHAVVQEMLLHNRLLAKTFGPANTSPLISAATRGHTEVVKLLLELDDFGLVEMAKDNGKNSLHFAARQGHVEIVKALLEKDPQLARRNDKKGQTALHMAVKGTNCDVLRALVDADPAIVMLPDKNGNTALHVATRKKRAEIVAVLLRLPDTHVNALTRDHKTAYDIAEALPLCEESSEIKDILSQHGALRSRELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNANNGVAVVVQAASFRIFFIFNAIALFTSLAVVVVQITVVRGETKSERKVVEVINKLMWLASVCTTISFIASCYIVLGRHFQWAALLVSLIGGITMAGVLGTMTYYVVKSKRMRKIRKKEKMSRRSGSSSWYDNTELSETELNQVYAL >ORUFI01G39830.3 pep chromosome:OR_W1943:1:33010610:33011290:-1 gene:ORUFI01G39830 transcript:ORUFI01G39830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSCQHRIASEQSPLKLSKEDPEWSPIEAVLAICEVSLPAQWIHTQDTNVQSLTTQQDGVEATGQLLTPARVSDKWAPRLAFFPPPPARLMAQTPTKPPPRKLQSKGSRYRLAIVLLLLLRPHLSFLVPSSFAAGRAPLKAAPRPKPYLGNPTARL >ORUFI01G39840.1 pep chromosome:OR_W1943:1:33012005:33013567:1 gene:ORUFI01G39840 transcript:ORUFI01G39840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQLDGQCGGEPPASVEGVVFMPYATVDSSLRVMAGQAEGFGRHAIGGLHGDVYHVTTLEGTLFIFHLPASNNVANGSRSPDDGDGSLREGCRRREPLWIVFDVSGTIHLSTGLRVSSYKTIDGRGQRVTLSGKGLQLRECEHVIVCNLEVEGCRGHDADAVAVKPGSRHVWIDRCGLRGCGDGLLDVTRGSTDVTVSRCRFSAHDKAVLIGGSAGHVEDRAIRVTIHHCLFDGTRQRHPRVRFGRIVSQCNIYEAGEKKKVFKYMIEQAADRDQSSTGFIRSEGDLFLNGAEECTAQDSEAAADELWDFKIQELDFYQSCSVQPASMALKELLECFTGWQPVPLPEDTCLEEETDSAPADTTA >ORUFI01G39850.1 pep chromosome:OR_W1943:1:33014050:33014666:1 gene:ORUFI01G39850 transcript:ORUFI01G39850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGFVTFMPVPSPPALARSVLVPIHRPRDRSKSSSLSAGPSSSLRFFNLCPSVHSSWLGQRPPPLPCTTATVAAAAKLSSVPRSASAS >ORUFI01G39860.1 pep chromosome:OR_W1943:1:33015385:33018303:1 gene:ORUFI01G39860 transcript:ORUFI01G39860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDQYSENIKYDELHKYSDTAARLVRAGYAVYGIDHEGHGRSSGQRCYIPNFGDIVSDCANFFTSICEKPENREKKRFLYGISMGGGVALLLHRKEPTYWDGAVLLAPMCKIPDDMRPHPIAVSALKMVCAVAPSWRIIPTPDIIDKVCKDPEMRKQVRSNPYIYRGKLPLKTCHELLMVSLDIEKNLHEVTLPFLVLHGGDDIVTDPSVSKLLFEEASGRDKTFKLYPGMWHALTAELPDDVERVYSDIISWLDERSDCAGSVPETF >ORUFI01G39860.2 pep chromosome:OR_W1943:1:33015385:33017705:1 gene:ORUFI01G39860 transcript:ORUFI01G39860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDQYSENIKYDELHKYSDTAARLVRAGYAVYGIDHEGHGRSSGQRCYIPNFGDIVSDCANFFTSICEKPENREKKRFLYGISMGGGVALLLHRKEPTYWDGAVLLAPMCKIPDDMRPHPIAVSALKMVCAVAPSWRIIPTPDIIDKVCKDPEMRKQVRSNPYIYRGKLPLKTCHELLMVSLDIEKNLHEVTLPFLVLHGGDDIVTDPSVSKLLFEEASGRDKTFKLYPGMWHALTAELPDDVERVYSDIISWLDERSDCAGSVPETF >ORUFI01G39870.1 pep chromosome:OR_W1943:1:33019235:33022946:1 gene:ORUFI01G39870 transcript:ORUFI01G39870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHKSSPTHAPAAAHAPASKASKASRTGPRSWVGYLLREQRLLFVLLGALIASSFFLLRPYLFSLSPSSHVPDRRPLFSFASHTSSASGVPPGFRPPPRRVVVTGGAGFVGSHLVDRLLEQGDSVIVVDNFFTGRKDNVAHHLRNPRFELLRHDVVEPILLEVDRIYHLACPASPVHYKYNPIKTIKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGGGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVAGLMALMEGDHIGPFNLGNPGEFTMLELAQVVKETIDPMATIEFKPNTADDPHMRKPDITKAKHLLRWEPKVSLREGLPLMVKDFRQRILDE >ORUFI01G39870.2 pep chromosome:OR_W1943:1:33019373:33022946:1 gene:ORUFI01G39870 transcript:ORUFI01G39870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSCSRWTGSITSRAPRPLCTTSTTPSRRSYPSRPGSAHTFDLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGGGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVAGLMALMEGDHIGPFNLGNPGEFTMLELAQVVKETIDPMATIEFKPNTADDPHMRKPDITKAKHLLRWEPKVSLREGLPLMVKDFRQRILDE >ORUFI01G39870.3 pep chromosome:OR_W1943:1:33019392:33022946:1 gene:ORUFI01G39870 transcript:ORUFI01G39870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCNLLCYFHYLQYNHVLHLYIIKSGFLNNYYKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGGGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVAGLMALMEGDHIGPFNLGNPGEFTMLELAQVVKETIDPMATIEFKPNTADDPHMRKPDITKAKHLLRWEPKVSLREGLPLMVKDFRQRILDE >ORUFI01G39880.1 pep chromosome:OR_W1943:1:33023101:33023850:-1 gene:ORUFI01G39880 transcript:ORUFI01G39880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLDYLNLNFDSLNKHTSQKNHTSKLQSLVYESKLRAEMQAQTRRRILAQSCVALALLLTTVHTAAGRRPASNKPTLPSHGSDQTMTLYTTVATPAEAAGVPSSQHPVFAGHGPIGHHSGGWLRVLTRPGALQPGAAAVVDERFHGKKEFGMPLAGKLQGVLVTGLEDDDDSRIVAVTALFSGDGEEDSIRFFGVHRDDQEESHIAVVGGTGRYDGATGFAVVRAADAHKAGRNVSSNSVLSFRVHLK >ORUFI01G39890.1 pep chromosome:OR_W1943:1:33025194:33030632:1 gene:ORUFI01G39890 transcript:ORUFI01G39890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEEVQSAAKKQRIATHTHIKGLGLDANGAAIGLASGFVGQAAAREAAGLVVDMIRQKKMAGRALLLAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEEAESTTSGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRCDSFATEYDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESPLSPIVILATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQLLSPASVVAKANGREKISKADLEEVSGLYLDAKSSARLLQEQQERYIT >ORUFI01G39900.1 pep chromosome:OR_W1943:1:33030766:33031388:-1 gene:ORUFI01G39900 transcript:ORUFI01G39900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITARKNRSNVDHRFSMDSPAKTRASRSRVQCCDCQRGAVHLERRRAVREQQGGISLYDSMNVGMIKNRHGNVIIIRKKKQGPANSDAGTDAPMCPSA >ORUFI01G39910.1 pep chromosome:OR_W1943:1:33034311:33035721:1 gene:ORUFI01G39910 transcript:ORUFI01G39910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGGGGGGDSSPASYIRLVQHLIEKCICYNMNKEECMETLEKHANIKPVITSTVWKELEKENSEFFATYKKGQGEEPAESKSSSSSQEAAGSKRSGGDDD >ORUFI01G39920.1 pep chromosome:OR_W1943:1:33039025:33039342:-1 gene:ORUFI01G39920 transcript:ORUFI01G39920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCRGHGFGRGLAVAVAATVLLLLPLPSISSLQLQAVASSSAAPATTATATATDKSKLPFMPVPTEPFPPSPPHGHRLPVVPPPKPKSANREAAAAAATLTSTP >ORUFI01G39930.1 pep chromosome:OR_W1943:1:33040047:33044090:-1 gene:ORUFI01G39930 transcript:ORUFI01G39930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAGGGEEGEELLLLSAVEAGSFGGGGDGGGAGAAAEKSWRLNFDGFRPPEVQQERRPPRGLHHHCLGVLSQGPEDVVAEYYQQQVEMLEGFNEMDTLTDRGFLPGMSKEEREKVARSETLAIRLSNIANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTTFSMQTPNPYRYPIGKKRMQPLGILVFASVMATLGLQIILESVRSLLSDGDEFSLTKEQEKWVVDIMLAVTLVKLALVLYCRTFTNEIVKAYAQDHFFDVITNMIGLVAALLATYIEGWIDPVGAIILAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPSSMPLQEAHDIGEALQEKLERLPEIERAFVHLDYEFTHRPEHALSHEK >ORUFI01G39940.1 pep chromosome:OR_W1943:1:33046630:33051702:-1 gene:ORUFI01G39940 transcript:ORUFI01G39940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCGQELINGNFATAFLPQPPIYYTGPPRNLSPPWRPDDKDGHYVFAVGENLTPRYRILSKMGEGTFGQVLECWDLEHQETVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGSRCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNSYRAFPIDLVREFARQILESVAFMHDLRLIHTDLKPENILLVSSESIRVPDYKVTIRPPKDGSFFKNLPKSSAIKLIDFGSTTFEHQDHNYVVSTRHYRAPEVILGLGWNYSCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPKHMIVRADRRAEKYFRRGLRLDWPEGAASRESLKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPDARLKAREALQHPFFTRCHRRCGKID >ORUFI01G39940.2 pep chromosome:OR_W1943:1:33046529:33051702:-1 gene:ORUFI01G39940 transcript:ORUFI01G39940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCGQELINGNFATAFLPQPPIYYTGPPRNLSPPWRPDDKDGHYVFAVGENLTPRYRILSKMGEGTFGQVLECWDLEHQETVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGSRCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNSYRAFPIDLVREFARQILESVAFMHDLRLIHTDLKPENILLVSSESIRVPDYKVTIRPPKDGSFFKNLPKSSAIKLIDFGSTTFEHQDHNYVVSTRHYRAPEVILGLGWNYSCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPKHMIVRADRRAEKYFRRGLRLDWPEGAASRESLKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPDARLKAREALQHPFFTRCHRRCGGFGELLLPTVNG >ORUFI01G39950.1 pep chromosome:OR_W1943:1:33055767:33059581:-1 gene:ORUFI01G39950 transcript:ORUFI01G39950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAEVETAALGDGGSGGGGGRRRGRGHSVTARDKESGGGALRRQRRRQEWRRRREGAEAALGDVDGARGRGRRRRREXTWTGRGGGGGGGGGGARVGAGGGGVGGGRERERRQLGLWGKFMWLLEVLYKEEGDQNTIPVKIFTEIDPATLPEGGRMMRVSRR >ORUFI01G39970.1 pep chromosome:OR_W1943:1:33074122:33074397:-1 gene:ORUFI01G39970 transcript:ORUFI01G39970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRAGRHQRRASQSVFVLPENFASLEDVAADVIGGGGAEQRKPAAAADASSEQQPARMQAGRHRRAMSMAVAARDLEMITEDIASYKYGA >ORUFI01G39980.1 pep chromosome:OR_W1943:1:33081495:33085292:-1 gene:ORUFI01G39980 transcript:ORUFI01G39980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPRPSAAVATACCGWYPSITMRMSSRSAIMVVPCCAHGQHTNCLMKCMVEANTSFLHIVQLQLIWKSTDNEM >ORUFI01G39980.2 pep chromosome:OR_W1943:1:33081495:33085292:-1 gene:ORUFI01G39980 transcript:ORUFI01G39980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPRPSAAVATACCGWYPSITMRMSSRSAIMVVPCCAHGQHTNCLMKCMVEANTSFLHIVQLQLIWKSTDNEM >ORUFI01G39980.3 pep chromosome:OR_W1943:1:33081296:33085292:-1 gene:ORUFI01G39980 transcript:ORUFI01G39980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPRPSAAVATACCGWYPSITMRMSSRSAIMVVPCCAHGQHTNCLMKCMVEVHSSVPAT >ORUFI01G39980.4 pep chromosome:OR_W1943:1:33082431:33085292:-1 gene:ORUFI01G39980 transcript:ORUFI01G39980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPRPSAAVATACCGWYPSITMRMSSRSAIMVVPCCAHGQHTNCLMKCMVEANTSFLHIVQLQLIWKSTDNEM >ORUFI01G39990.1 pep chromosome:OR_W1943:1:33085238:33085675:1 gene:ORUFI01G39990 transcript:ORUFI01G39990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKISAPWGVGEDLASGSVRGGGGSRRGRPRGQRWTAATTADGEDLVSGGGGWGRRRLRPQRMGKLRRWLGKTSPPVQCAEAAAVECAVAAEGDDDARSEPRIERVMRRNLRPAFAPGEWESCGGEGWTVQITSDLRMDGRDVNI >ORUFI01G40000.1 pep chromosome:OR_W1943:1:33087125:33087778:-1 gene:ORUFI01G40000 transcript:ORUFI01G40000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSRSMWDMQEFVGSVDTARVLMLLAQQSQHGLLGGGGFAAGAQPVVVRGGAHDRVFECKTCNRQFPTFQALGGHRASHKRPRQQQQHALGGGAGADDAGLCLGRQPTPPRPQPAKPRVHECPVCGLEFPIGQALGGHMRRHRAEAEAAATTTTTTTKNGDVGKAAAVKACDGGGVCLDLNLTPSENRAKCRNVVGLGAGGQGVHKALAMLDCFL >ORUFI01G40010.1 pep chromosome:OR_W1943:1:33097151:33101667:1 gene:ORUFI01G40010 transcript:ORUFI01G40010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGQEKKLNYPAVAVSATLNAIKTSRSEDTVLLLKLSDLYPKRTNPLHSPPFSSSVLVLVSRTRSLLPSAIASLAQQSATNPPANRGIAPIPSPILQIRFPEMESCPSVKNILVLDSEGKRVAVKYYSDDWPSLSSKQAFEKSVFAKTQKTSARTEAEIVMFDSYFVVYKFIQDLHFFVTGGDEENELILASVLQGFSEAIDYLLRNKVHRRAALENLDLIFLCLDEVVDGGIVLETDAKAILEKVSGHGLEGSGSLTEQKLSSALATAREHFARSIFS >ORUFI01G40030.1 pep chromosome:OR_W1943:1:33121308:33143108:1 gene:ORUFI01G40030 transcript:ORUFI01G40030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTEIVKNKDQERGGSEREEEETVAMAGTGSREEASLRYSMEIGEPPYRRERRDGGCACTNSWPRRVREAGDAAGRWAAAADGVASSAQEITRSTNALLRKR >ORUFI01G40040.1 pep chromosome:OR_W1943:1:33140198:33142406:-1 gene:ORUFI01G40040 transcript:ORUFI01G40040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPKSGLFVGINKGHVVTKRELPPRPSDRKGKSTKRVNFVRGLIREVVGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVIRKMRSAGTTDKKK >ORUFI01G40050.1 pep chromosome:OR_W1943:1:33146132:33148533:-1 gene:ORUFI01G40050 transcript:ORUFI01G40050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLPTVHFGGLGELTTTNTAEPSAAVPLASQAGGGDVAADVAAQPPPPAVAGGGRGVDMAAAARRVASPRLKEFCSLPMKSTTSPSSASKAPKQAQEDAVGGGGSSSWTAPTQIQSMDGGELQRLKGKIYIYPMLEEDGWPLGLGAMNARAGVTRSVDLSGSASFSTAFTSSHCASSSFSSCDFDTESAWSLSRRGGGGAGGGMTLASLIGLVDAMESRSRRRRSARATSKSGKVRALLLSLCLRSHLENGRAAPSLGQFLEMERRASSSSL >ORUFI01G40050.2 pep chromosome:OR_W1943:1:33146132:33146791:-1 gene:ORUFI01G40050 transcript:ORUFI01G40050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEDGWPLGLGAMNARAGVTRSVDLSGSASFSTAFTSSHCASSSFSSCDFDTESAWSLSRRGGGGAGGGMTLASLIGLVDAMESRSRRRRSARATSKSGKVRALLLSLCLRSHLENGRAAPSLGQFLEMERRASSSSL >ORUFI01G40060.1 pep chromosome:OR_W1943:1:33151711:33153731:1 gene:ORUFI01G40060 transcript:ORUFI01G40060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSPFSFHELRRQASSYLTDKIRSARLALTDVTPTQLMTEEATSGDASPPNVKTMSLIARQAFEIDEYVRISDILHKRFARFDRRQWREAYKALLLLEHLLTHGPRSVALEFQRDREVIEQMVSFQHIDEKGFNWGMTVKSKSERVLRLLERGPFLEDERERARKIAHEIKGFGSFNLSSAHASGSAAAALRAAAMEHQCYGRSNSRYEGRWRREACVDDGDKENLLVVSMAEAEAEAAAEEPHHYHHPFYGFGQQRPEAMLLLSQ >ORUFI01G40070.1 pep chromosome:OR_W1943:1:33153914:33154570:1 gene:ORUFI01G40070 transcript:ORUFI01G40070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESISGDVLRSTSKSRRLRLRFASGFFASSSPLTTLTSFHSMTWIQTSQERFNLRQRRSDGSDGHPPPSLLNQSIYPNEDAMMLQDGSTDTRHDKTDLIGMHGRRLQLMDTDDAGAAHAATPPARGCDLRLLRSDDYVASLPAVLPESRICSSAASMSVRTARLLPRRHRQLVGRVASREGVRDVDRSLLRPRHRSRYPQAPHLRHRRHHQQPNSTRL >ORUFI01G40080.1 pep chromosome:OR_W1943:1:33159238:33181816:1 gene:ORUFI01G40080 transcript:ORUFI01G40080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEDSPAATNTRKRLVGSSKLTMVAEIPRLALGGGGGVGEQLPAAGEDSAAATNTGKRPVVGSSLAAMVVAAAGIQPDAFALGGLVEYPAGNGERDLLVVRFLQSIAAFLADGTCQMQVNDGLSCIVDLAGGVGGGRSAQQLASAFTEALALRRVLAPAPPLWPSAAAGAGGASASGSRYGGDGELVGGNVGSGKVTMAAEIPRLALGGGGGGGERLPVPPMAAAEEDSPAATSTRKRLVGSGKLTIVAEIPRLALGGGGGAGERLSAAGEDSAAATNTGKCQVVGSSLAAMVAASAGIQPDAFALGRPAEYPAGDGERDLLMVWFLRSIAAFLADGTCQMQVNDGLSCVVDLASGVGGERSALRLASTFAEALALRVLAPTPPLWPLPVAGAGGASASGSRYGGDGELVGGNVGSGKVTMVAEVPRLALGGGGGGGERLPVPPMAAAEEDSPAATSTRKRLPSDQLNLAAAQGTSGNLTMAVEIPRLALGGGGGGAGGERLPAAGEDSAPAATNAGKRPVVGLGFGSSLAAMAAAAAAGIQPDAFALGGPAEYPAGDGERDVLMVSFLRSIAAFLADGTCQMQVNDGLSCVVDLAGGDADGGGVGEGRSAQRLASAFAEALALRFILPCDGVCRSLHLTRAPPPPAVSAARQGFRAMCPFVRLAAAAANLSIAEVMEAERAVVHVVDLGGGVDANQWVELVRLVAARPGGPPGLLRLTVVNESEDFLSAVAAYVAAEAQRLDLSLQFHPVLSSIEELSATATGSIGSRLVVIPGQPLAVVANLQIHRLLAFPDYVDGVASRRPAAEQSGSSQHTMTTATKTKADALLRAIRDLNPKLVVLTENEADHNVAELGARVWNALNYYAALFDALEASSTPPAAVPPHERACVERWVLGEEIKDIVVREGTGRRERHETLGRWAERMVAAGFSPVTAARALASTETLAQQMVAAGGGGAGAGVLRAAHGGGCFPVICWCDVPVFSVSTWTARRVLVPAPPLWPPAAAGGAGPSGSGYGGDGPSTASSSAAISVAVMEKGSSSTPSAANTSEPMLGQAAIAATTAVPMTNFQLFGSTVLVPVASMATAIAPAAMAAADNGGHGSSSASQNASAAMEKGSSSTPSAANTSEPMVGQAAAATTTAAMRMTNF >ORUFI01G40090.1 pep chromosome:OR_W1943:1:33207205:33208887:1 gene:ORUFI01G40090 transcript:ORUFI01G40090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQAATAATTTTAAATTAAVPMTNFQLFGSMVPVPVASMATATAPAAVAAADNGGHGSSSASQNASGSGEGQGGSMSLSLQLRPLGSTPTAAVAVSVPPMAAAPMMAGPAAAAPAPAPPLATMAVAQNASLAAVASALAAHRRNQATHRSAALHGHLRRCAEALAASRPADADAELASIARMASSDGDAVQRVAAAFAEAMARVVIRPWRGVSAALFPSDAGAAGDALTAWEAEFARQSFLNLCPLLHLAAVAVNEIILETTRNDKFIHIVDLGGIHHAHWVELLQGLATRRAAVRPCLRLTIVHEHKHFLGQAAQVLAAESDRHGVPLDLHIVESSVEALKLDALGVRSDHAVVIVSTLQLHRLVGAGILSTTAPPSPAAAAAASMITSPLPPANMSSKVDRLLRGFHLLSPRAIILTENEANHFVPSFTDRFASALPYYEQLFAAMEEAGAATVERKAAERYLLREEIKDVIACDHDGPRWARHETLGRWVVRMGAAGFALAPAITVVTAAGRVRAVAARLPGGGDERRYGVTEGGGWLILNREEKPMFCVSAWRRQ >ORUFI01G40100.1 pep chromosome:OR_W1943:1:33210493:33218907:-1 gene:ORUFI01G40100 transcript:ORUFI01G40100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAGALPVPGGLALGVVLLLVLAVLPSRAAGVNVTAALAAFPSFADFARLLESSPVAGELAARSSLTLLAVPNNNLPRSPSAFAAASGADIADVLRYHVLLEYLSPSDLARLPASGKLVTTLFQTTGRAPSDLGAVNLTVGGNSTVVVRSPVPFPGSSATVLGAVTAVPYNLSVIAVGGLIVPSGLDVAASDSRPAGGVNITHVLADARGFNVAASMLEASGVADEFTADERGAGITVFVPTDDAFADLPATDRLQSLPADRKATVLRFHVLHSYYPLGSLESIVNPVQPTLATERFEAGQFTLNITRVNGSVAIDTGIVQASITRTVFDQNPVAVFAVSKVLLPKEMFGRGGADSDVMAPPPDAMAPDAAENVRTPPTRLSSPPALRGGADIHQTFFKFD >ORUFI01G40110.1 pep chromosome:OR_W1943:1:33220638:33225577:-1 gene:ORUFI01G40110 transcript:ORUFI01G40110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHAYNRLGSLGGGGGGSGAVPSPPSSPRRGAGRRSSGKGGSARAGAGAGAAGAVRGGGAVRRAARVVLAALLRRQAVFLFAPLLYVAAMLLYMGSISLDSVPRIISRPAPGSLYRSPQLYARLRADMDADNATDALATVWRHAYKGGIWRPCINNITYDLPESNGYIYVEANGGLNQQRASICNAVAVAGFLNATLVIPNFHYHSIWRDPSKFSDIYDEEHFVQRLKNDVRVVDKVPEFIMERFGHNLSNVFNFKIKAWSSIRYYKEAVLPKLIEERLIRISPFANRLSFDAPSAVQRLRCLANFEALKFSKPITTLSDILVSRMREKSAENNGKYVAVHLRFEEDMVAFSCCVFDGGEKEKKELDAARERGWRGKFTRPGRVIRPGAIRMNGKCPLTPLEVGLMLRGMGFSNNTAIYLASGRIYKSEKNMAPLLEMFPLLQTKETLASDEELAPFKTIKPDKRRLAILFDSPRIGWKSLKRQLVNMRTHSDAKGIEMKRANESIYTFPCPDCMCRSNKSEHSKSIQAR >ORUFI01G40110.2 pep chromosome:OR_W1943:1:33220638:33225577:-1 gene:ORUFI01G40110 transcript:ORUFI01G40110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHAYNRLGSLGGGGGGSGAVPSPPSSPRRGAGRRSSGKGGSARAGAGAGAAGAVRGGGAVRRAARVVLAALLRRQAVFLFAPLLYVAAMLLYMGSISLDSVPRIISRPAPGSLYRSPQLYARLRADMDADNATDALATVWRHAYKGGIWRPCINNITYDLPESNGYIYVEANGGLNQQRASICNAVAVAGFLNATLVIPNFHYHSIWRDPSKFSDIYDEEHFVQRLKNDVRVVDKVPEFIMERFGHNLSNVFNFKIKAWSSIRYYKEAVLPKLIEERLIRISPFANRLSFDAPSAVQRLRCLANFEALKFSKPITTLSDILVSRMREKSAENNGKYVAVHLRFEEDMVAFSCCVFDGGEKEKKELDAARERGWRGKFTRPGRVIRPGAIRMNGKCPLTPLEVGLMLRGMGFSNNTAIYLASGRIYKSEKNMAPLLEMFPLLQTKETLASDEELAPFKNFSSRMAAIDYTVCVHSEVFVTTQGGNFPHFLLGHRRYIYGGHSKTIKPDKRRLAILFDSPRIGWKSLKRQLVNMRTHSDAKGIEMKRANESIYTFPCPDCMCRSNKSEHSKSIQAR >ORUFI01G40120.1 pep chromosome:OR_W1943:1:33231846:33233064:1 gene:ORUFI01G40120 transcript:ORUFI01G40120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTTAAAARRLCAAGDVRSVLAMLACRAKAGDTALDMTACAALVRTTGSARAAMWRKPGGRELIQGSEVDHGNEAEGFGSKFRKEAEALLDDMVRAGLQTSEPICQFTECQGKIERFY >ORUFI01G40130.1 pep chromosome:OR_W1943:1:33237483:33243297:1 gene:ORUFI01G40130 transcript:ORUFI01G40130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMAMVEQEGCVENRQPLAASSSSVSDGSSYGGGGGGLAQMSPPVSSSANSISGLRRTSGPIRRAKGGWTPEEDETLRKAVEAYKGRNWKKIEQRYNACIDGKRFLILNLSKEDDQIIDLVKKYGPTKWSVIAKALPGRIGKQCRERWHNHLNPEIRKDAWTTEEEQALINAHRIYGNKWAEIAKVLPGRTDNSIKNHWNSSLRKKQDMYNTSNNMVVPKLLVHDKFKDKPKLMAMEGHLDLNKAPIINSKDQPGTAHRSNCSGFLSRSSLPTAQSLTSREASIVDGSAVTLVAQALESDSVRGKGLEIDSVHEKGLEVNSAPDHTGNSWTIQLEAAPSKGEAELSLKNEARSLGPLCYQIPNMEDVVPVSSSLFSDHLTGNHTSEHCGDDILSPAGCTTPPPTKGKLTSQLSVDSILKSAANSFPGTPSILKRRKRDKSTPVSASEMKISGSNTDRFYTPMGMEPATATPESFKTTSFLSLGSLDGSVKSFDVSPQYRARSKRMALTKTVEKQLDFSSDGLDTCGSEILNSSCNNSQSTLSITEAPKLKEKEHAVQLENLTKNFAHTTNLDVT >ORUFI01G40130.2 pep chromosome:OR_W1943:1:33237467:33243297:1 gene:ORUFI01G40130 transcript:ORUFI01G40130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMAMVEQEGCVENRQPLAASSSSVSDGSSYGGGGGGLAQMSPPVSSSANSISGLRRTSGPIRRAKGGWTPEEDETLRKAVEAYKGRNWKKIEQRYNACIDGKRFLILNLSKEDDQIIDLVKKYGPTKWSVIAKALPGRIGKQCRERWHNHLNPEIRKDAWTTEEEQALINAHRIYGNKWAEIAKVLPGRTDNSIKNHWNSSLRKKQDMYNTSNNMVVPKLLVHDKFKDKPKLMAMEGHLDLNKAPIINSKDQPGTAHRSNCSGFLSRSSLPTAQSLTSREASIVDGSAVTLVAQALESDSVRGKGLEIDSVHEKGLEVNSAPDHTGNSWTIQLEAAPSKGEAELSLKNEARSLGPLCYQIPNMEDVVPVSSSLFSDHLTGNHTSEHCGDDILSPAGCTTPPPTKGKLTSQLSVDSILKSAANSFPGTPSILKRRKRDKSTPVSASEMKISGSNTDRFYTPMGMEPATATPESFKTTSFLSLGSLDGSVKSFDVSPQYRARSKRMALTKTVEKQLDFSSDGLDTCGSEILNSSCNNSQSTLSITEAPKLKEKEHAVQLENLTKNFAHTTNLDVT >ORUFI01G40140.1 pep chromosome:OR_W1943:1:33244025:33247443:1 gene:ORUFI01G40140 transcript:ORUFI01G40140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKFFVGGNWKCNGTGEDVKKIVTVLNEAEVPSEDVVEVVVSPPFVFLPQVKGLLRPDFSVAAQNCWVRKGGAFTGEISAEMLVNLQVPWVILGHSERRALMGESSDFVADKIAYALSQGIKVIACIGETLEQREAGTTMEVVAAQTKAIAEKISDWTNVVLAYEPVWAIGTGKVATPAQAQEVHDGLRKWLVTNVSPAVAESTRIIYGGSVNGANCKELAAKPDVDGFLVGGASLKPEFVDIIKSATVKSSA >ORUFI01G40150.1 pep chromosome:OR_W1943:1:33250129:33252195:1 gene:ORUFI01G40150 transcript:ORUFI01G40150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGVLEVHLVDAKGLTGNDFLGEIGKIDPYVVVQYRSQERKSSVARDQGKNPSWNEVFKFQINSTAATGQHKLFLRLMDHDTFSRDDFLGEATINVTDLISLGMEHGTWEMSESKHRVVLADKTYHGEIRVSLTFTASAKAQDHAEQVGGWAHSFRQ >ORUFI01G40160.1 pep chromosome:OR_W1943:1:33254235:33261086:-1 gene:ORUFI01G40160 transcript:ORUFI01G40160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAAGGCSTAAAATLAFFRLRPLGRAVRPDSARAGWHFSLYGGCRGRQVHGLVDSVLEELRSQRRGRVSAKIGLQGTKELSDNKIDKRTLQKGLLLEFQKDSERFLLAVVERPDGKKNWKVTDQNGILSSIKPQQVTYVIPGIINYNHSRIDEFIKKAQDLLDPTVLECAWMELSENDKSVTVEEFAEIVYGSKESLESYCAHFLLSRDIVYFVKVESRDSSVYQPRPPAQVEELLRRKLAKEAAEKEMEEFVQLIKSAKALPLDAKPSKDSWLMEEKVKRKIESLQAYAVDACDDEQRRTAGNILKAMGFSKTSSAALKILINIGYFPVHVNLDLYRYDVRIRYTEEVLSAAEELLVDCPDSDKDIRKDLSTLKVYAIDVDEADEACFCSFCHCIYPKRLLDDALSAARLPDGRIKVWIHVADPTSLVQPRSIIDREAMHRGTSIFLPTATFPMFPERLAMNAMSLQQGRGCKSVTVSVILQPDGSKIVENYICVVHSIAEYSIENSIIKPTYMLTYESATELLYMNLEEEEELRILQEAASLRAQWRRSQGSIDTAMIEPRIKVANPDDPEPNINLYVEDQSNPAMQLVSEMMILCGEAVAAFGSDNNIALPYRGHPQSNTAVSAFTHLPEGPARSFANISVLRAAEMDFQKPVPHGVLGIPGYVQFTSPIRRYVDLLAHYQIKAFLRGESPPYSAGDLEGMTFIASMHVKVARRLHSNNLRYWLLEYLRRQPKGKKYKALILKFIKDRLATLLVIEVGIQATAVVSTGKVGDEVSVVVEAAHPRDDILSVTEITEV >ORUFI01G40170.1 pep chromosome:OR_W1943:1:33269930:33270097:1 gene:ORUFI01G40170 transcript:ORUFI01G40170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIAAEKPQAQQLTMVIADEQHGLHQQQQQQQQQQQQQQQQQQQQQQQQHEDGC >ORUFI01G40180.1 pep chromosome:OR_W1943:1:33273620:33276519:1 gene:ORUFI01G40180 transcript:ORUFI01G40180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAGVHDVCTMLPGSKRDAHLPLPIYPQIAAANGFATAEEFDPLLFLSPDAVCGGGGGDYLNIVSAQPISAASTNGASPPRDVSVSASAASSAAAQHDDSEAFSDIVLGYINRMLMAEDIDEKFEHYPVNADDLLAAEKPFLEILADQSPYSGGSSVESPDGSSAANSCNSLSPCNCSSSSDGLGAVPQTPVLEFPTAAFSQTPQLYGDLIPTGGMVESGGAWPYDPTEFYQLQTKPVRENLPSQSSSFASSNGSSVTFSEGFESLLSPAGVLPDVSLNDFVVQNQQALQFRRGFQEASKFLPDESKLVIDVDKLYSGDEGSRFLGEVRQEKKLVKVKTETSDVESAGHRGKKHFYGDDLDAEEGRCSKHSAQGIDTDHLVRDLMDKVLLCNGETCSKGVKELREALQHDVAKHSGGGHGKGSSHGKGRGKKQPKKEVVDLETLLIHCAQSVATDDRRSATELLKQIRQHAHANGDGDQRLAHCFANGLEARLAGTGSQIYKNYTITRLPCTDVLKAYQLYLAACPFKKISHYFANQTILNAVEKAKKVHIVDYGIYYGFQWPCLIQRLSNRPGGPPKLRITGIDTPQPGFRPAERTEETGRYLSDYAQTFNVPFEFQAIASRFEAVRMEDLHIEEDEVLIVNCMFKFKNLMDESVVAESPRNMALKTIRKMNPHVFIHGVVNGSYNAPFFVTRFREALFHYSAIFDMLETNIPKDNEQRLLIESALFSREAINVISCEGLERMERPETYKQWQVRNQRVGFKQLPLNQDMMKRAREKVRCYHKDFIIDEDNRWLLQGWKGRILFALSTWKPDNRSSS >ORUFI01G40190.1 pep chromosome:OR_W1943:1:33281557:33284225:-1 gene:ORUFI01G40190 transcript:ORUFI01G40190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSALPSPLLLAASLLLLIVQAQGITRHYEFNVQMANATRLCNTKSMVTVNGQCPGPELVAREGDRVVIRVTNNVAHNISLHWHGVRQVRTGWADGPAYITQCPIQTGQSYVYNFTVAGQRGTLWWHAHISWLRATVYGALVILPKLGVPYPFPAPHKEVPVIFGEWWNADTEEVVNQAVQTGGGPNVSDAFTINGLPGPLYNCSAQDTFKLKVKPGKTYMLRLINAALNEELFFAVANHTLTVVEVDAVYVKPFTVDTLVISPGQTTNVLLTAKPYYPGANFYMSAAPYSTARPGTFGNTTVAGILEYENPAMSPSAASFVKGLPLFKPTLPQLNDTDFVTNFTDKLRSLATPEYPAAVPQSVDKRFFFTVGLGTLPCPANMTCQGPNNTQMAASMNNVSFVLPARALLQSHFTGLSSGVYAPDFPVAPLSPFNYTGTPPNNTNVKTGTKLLVLRYNTSVELVMQDTSILGIESHPLHLHGFNFFVIGQGFGNYDAVNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEAHTTWGLRMAWLVLDGSHPNQKLLPPPSDLPKC >ORUFI01G40200.1 pep chromosome:OR_W1943:1:33291580:33293939:-1 gene:ORUFI01G40200 transcript:ORUFI01G40200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYLLRSSILAVAALLLFSVNLAKGDIREYQFDVKTTNVTRLCSSKSIVTVNGQFPGPTVFAREGDLVVIRVINHSPYNMSIHWHGIRQLRSGWADGPAYITQCPIQPGGSYVYKYTITGQRGTLWWHAHISWLRATVYGPIIILPKAGVPYPFPAPDKEVPVVFGEWWKADTEAVISQATQTGGGPNVSDAFTINGLPGPLYNCSAKDTFKLKVEAGKTYMLRLINAALNDELFFSIAGHTLTVVDVDAVYVKPFTVDTLLITPGQTTNVLLTTKPSYPGATFYMLAAPYSTAMSGTFDNTTVAGILEYEDPSSHSTAAFNKNLPVLRPTLPQINDTSFVSNYTAKLRSLATAEYPANVPQQVDTRFFFTVGLGTHPCAVNGTCQGPNGSRFAAAVNNVSFVLPSTALLQSHYTGRSNGVYASNFPAMPLSPFNYTGTPPNNTNVSNGTRLVVLPYGASVELVMQGTSVLGAESHPFHLHGFNFFVVGQGFGNFDPVNDPAKYNLVDPVERNTVGVPAAGWVAIRFLADNPGVWFMHCHLEVHVSWGLKMAWVVQDGSLPNQKILPPPSDLPKC >ORUFI01G40210.1 pep chromosome:OR_W1943:1:33296642:33301699:-1 gene:ORUFI01G40210 transcript:ORUFI01G40210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLSRALARSARSSRQRQGSLLGGHGGLRASSPPLPCGELGFLRSYVTSVIGNRAAVASGAGKGGDWRFLLASRQFRRLFSDKSKKNHGKHSEEENKGKGDESDKSDSKKQSSSGDQWNFEESIKQFKDMIAPLFLFGLLLLSASASSSEQEISFQEFKNKLLEPGLVDHIVVSNKSIAKVYVRSSPSIDRIQDSDIHITTSHLPGIESPSSYKYYFNIGSVDSFEEKLQEAQKALEIDPHYYVPITYTTEAKWFEEVMKYVPTVLIIGLIYLLGKRIQNGFTVGGGPGKGGRSIFSIGKVQVTKLDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCSPSIVFIDEIDAIGRARGRGGFSGGHGERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGRDQIFRIYLKKLKLDKEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEGTLITMQHFESAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNDNLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQREDGFEMSKPYSSQTASIIDTEVREWVAKAYEKTVELIKQHKDQVAQIAELLLEKEVLHQDDLVQVLGERPFKTLEPTNYDRFKQGFQDEDSNRNAELSNADGASSLGEAVAS >ORUFI01G40220.1 pep chromosome:OR_W1943:1:33302814:33305798:-1 gene:ORUFI01G40220 transcript:ORUFI01G40220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDGLALTAGANQSLWSYYKCTSSRCSAKKHVEKSTDDPEMLIVTYEGSHHHGPQPLFPPHIAQPPPPTSVVGFSAASGAGPPPSSPAAAARKRKNYVRAAFSPTTSEDDGDGAGRLRPEWPQDDGTSCDVAELRRRGDAEHAAPRRVAMDRSCDDGGGGGSTSASSSVARADAATALSSDSPPTIWSCLDWPWSQETLFL >ORUFI01G40230.1 pep chromosome:OR_W1943:1:33307991:33308677:-1 gene:ORUFI01G40230 transcript:ORUFI01G40230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQSPHKQRRRFPGPYLMGDVLRAQATASAEEVAGGVWPCELDDHLIGELLGDDGLFVPAAEHPTLYYSFGAGSSAAAAAAPCNGGGSADHERRPRPAPAVSRDLCSVYSGPTIRDIEKALSSSASPRPPYPSGRRYSSLVEAESKYTSEVRSCGGKMPADGYKWRKYGQKSIKNNPHPRCATRSIIDPI >ORUFI01G40240.1 pep chromosome:OR_W1943:1:33327425:33328360:-1 gene:ORUFI01G40240 transcript:ORUFI01G40240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAACEADGAEAVVAGHLVDAKAPSTTITGLSSGEGGPDHIIPRVILISCLFLVFSPPLLLRNSCEPLALLWTIAFLISAYLFFLISTLSRTIRPSTVFLHISYGVLLADAAGTVAGPSVGFAVMHLATGWTAGLLGYAYADHLQRIGTETAAMRVDPPTFLTEEEETSFKTDRGVRAAGFVIISLLMVTPIALLLRPFADPDPDLLPMFVAFLSVVEGAAILSWAAFVARFVLHDALLSVDQIGHIMLCYIVPYLVISFFLLLLLTGVGFAGETIGATFLWCLMLSIAGLLGYELSVHAQCNQMMLSR >ORUFI01G40250.1 pep chromosome:OR_W1943:1:33329444:33331653:1 gene:ORUFI01G40250 transcript:ORUFI01G40250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMSLALAAAHIAMAYRASCRERRRLLVYRIDIEAIPIRVFERFWRTIEAYACRPFTGHDNGQKNTTSPHATKAMVERWPADEGGTRHCRFLPPLAAAQGAKKATAESEEIKVAIAYKLETIVNVIVFYLYDKYNVYLGITASSKSNLQFIEHRRMRHRREYRCHMVVVKVVLAVAFIDIVVFVVGGLGGSSPPADGQATTPTKAPPRGFIYIDSNGDDDGDGHSDGDGGRAANYTRGDGRNGRANS >ORUFI01G40260.1 pep chromosome:OR_W1943:1:33331964:33333488:-1 gene:ORUFI01G40260 transcript:ORUFI01G40260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAADGEADAEVDAKTPSTITGEKTPSIVTGAKTEDFLRGDAIDDTTHVASDSSSPDDNPSDIRPPSRDSDGDHSGSATISPASRSGRGRRVTTVYNEGSNRIRARIILISVPFLFLVCSPPLLRRHSGDPLALLWTIALLMCTHLFFLISSLSRTMRPSTVFFRVSYGVLVAVAADTFAGPDAGFAVMHLATGWTAGLLGYAYAEHLQHIGKETTAKNMAPPTFLTEEEESSFKVHRRSVAAFFTLLSLAVATAGALLVKMPPPALSLLVTILSILEGIAIYCWAIFTAKFLLLATCWCYIGPYLLLSSILCVPLSCLVLAGDAIGAMFFWFVMMAIAGLLGYMLSVRVQYNKMVLPRLPVEQSRDEDGLQQVWS >ORUFI01G40270.1 pep chromosome:OR_W1943:1:33334432:33335577:-1 gene:ORUFI01G40270 transcript:ORUFI01G40270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAADGEADAEAVDVHLADAKTPPTITSDDKTPSTTVAGDKITVTGAETEDLVRGEAIYDTSDVASDSSFPESPSGVRPPPARERDSVDDDRSGLAMIRSGGPRATSLSDEGSDLIGARVCFISILFLACSPPLLRRRHSGEPLAHLWTIALLMSAYLFFLISILSRTMRPTTVFVHISYGVLLAISAGTFAGPIAGFAVMHLATGWTAGLLGYAFAEHLQHIGKEKTAMRMAPPTFSTEEEKSSFEIHRSGVVTFFAVFSMLVATAMALLVKVPPRDLSLLVINLSVLEGTAIYCWAVFVAKFALFEALVTVDQLGYMMFYIGAYLLVSFLVCLMSYLVLAGDAIVGAMFFWFLMMAMAGLIGYMLSVRAQYNQMVLSR >ORUFI01G40280.1 pep chromosome:OR_W1943:1:33337451:33344230:1 gene:ORUFI01G40280 transcript:ORUFI01G40280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAPANLAGKQSLRVVVIGDPGTGKSSLVVSAATEATSPAPEQRSKLIAECQSADVVVLTYACDQPATLERLSSFWFPELRRLQLEAPVIVVGCKLDLRGEEQGTLDAVMAPIMVAFREIETCIECSALRQILVYEVFYYAQKAVLYPTAPLFDQEAQMLKLRCMRALKRIFILCDHDRDGAFSDVELNDFQVICFNAPLQPNEIIGVKRTIQEKLTEGVNENGLTLTGFLFLHTLIIGNGKLETTWTVLRKFGYDNELKLRDDLIPAIKRAPDQTLELKSQVIDFLRGIFNMFDTDNDGALQPAEINDLFSTAPENPWSSHLYENCAENNVLGGLSFEGFISKWTLMTLIHPQPSDAPPVNGEQFAANTVELPDGTRKTLVMREISEGDVGPLLSDKESLAPCDVAVIVYDSGDEVSWQRARELLVQVATRGKNTGYEVPCLIVAAKDDLDQSPLALQDSTRVSHDMGIETPIPFSVRLRDLNNIFCRIVHAAQQPHLSIPETEAGKTHRQYRQFLNRSLTVVSVGAAVAVVGVAAYRVYAARKNASS >ORUFI01G40280.2 pep chromosome:OR_W1943:1:33337451:33344230:1 gene:ORUFI01G40280 transcript:ORUFI01G40280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAPANLAGKQSLRVVVIGDPGTGKSSLVVSAATEATSPAPEQRSKLIAECQSADVVVLTYACDQPATLERLSSFWFPELRRLQLEAPVIVVGCKLDLRGEEQGTLDAVMAPIMVAFREIETCIECSALRQILVYEVFYYAQKAVLYPTAPLFDQEAQMLKLRCMRALKRIFILCDHDRDGAFSDVELNDFQVICFNAPLQPNEIIGVKRTIQEKLTEGVNENGLTLTGFLFLHTLIIGNGKLETTWTVLRKFGYDNELKLRDDLIPAIKRAPDQTLELKSQVIDFLRGIFNMFDTDNDGALQPAEINDLFSTAPENPWSSHLYENCAENNVLGGLSFEGFISKWTLMTLIHPSNSFANLIYVGYPGDFDSAFTTTRKRRVDRKKKQTQRNVFQCYVFGPRHAGKTALLQSFLKRYHSIGTRKTLVMREISEGDVGPLLSDKESLAPCDVAVIVYDSGDEVSWQRARELLVQVATRGKNTGYEVPCLIVAAKDDLDQSPLALQDSTRVSHDMGIETPIPFSVRLRDLNNIFCRIVHAAQQPHLSIPETEAGKTHRQYRQFLNRSLTVVSVGAAVAVVGVAAYRVYAARKNASS >ORUFI01G40290.1 pep chromosome:OR_W1943:1:33345590:33348390:1 gene:ORUFI01G40290 transcript:ORUFI01G40290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSDAAAVGGGAAGGQWGRGGGGRSAARAPPPAATHDHEAAVKFGGSTATAGSFPADGLVGLGGGAVSLVMQLGGAASLGRRFSYCLVPHSVNTSSALNFGALANVTEPGAASTPLVAGDVDTYYTVVLDSVKVGNKTVASAASLRIIVDSGDAMREFRILTNSQLARIEYELLILNDLVCTANRKQTEQASPDLTLEFGGGAEAGERVRDGAAADAVPGDRGDNGAAAGLHVTDPAPPCGVRSRPIPSFASQIPPAAAAVFASFIISLLRRLLPSSLPNPPFQKRETKFLGEEEKNPAMESKAEALAAAAAAAAAAASAASTGGGHACGGWETPKREECRIPATLPCPAAPRKAVPDFGKRRGPPKNGYFQPPDLEALFALAPRRQASSCA >ORUFI01G40300.1 pep chromosome:OR_W1943:1:33346608:33346787:-1 gene:ORUFI01G40300 transcript:ORUFI01G40300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGCCSVVATIARHSVRCCTVTNAFSCFSATAELQRQVRTRLFGLLPVGCTHEVIQDQ >ORUFI01G40310.1 pep chromosome:OR_W1943:1:33351864:33354452:1 gene:ORUFI01G40310 transcript:ORUFI01G40310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPRGLRNAGSSSSACRFLAAFAVLLALPTLTAGLTRHYTFNVQMTNVTRLCVTKSIPTVNGQFPGPKLVVREGDRLVVKVHNHMNYNVSFHWHGILQLRNGWADGPSYITQCPIQGGGSYVYDFTVTGQRGTLWWHAHFSWLRVHLYGPLVILPKRGEGFPFPRPYKELPPIMFGEWFNADTEAVINQALQTGAGPNISDAYTFNGLPGPTYNCSSKDTYKVKVQPGRTYLLRLINSALNDELFFGIANHTLTVVEADANYVKPFTAKTLVISPGQTMNLLLTTAPNPGSPVYAMAIAPYTNTQGTFDNTTAVAVLEYAPTRASATGNNNLPLPPLPRYNDTNAVANFSSKFRSLATARYPARVPRAVDRHVLFTVGLGTDPCPSNQTCQGPNGTKFAASINNNSFVRPRVALLEAHCQRRYAGVLMANFPTAPPHPFNYTGTPPNNTFVTHGTRVVPLAFNTSVELVLQGTSIQGAESHPLHMHGFNFFVVGQGFGNYDPVNDPANYNLVDPVERNTVSVPTGGWVAVRFLADNPGVWLMHCHFDVHLSWGLSMAWLVNDGPLPSQKMLPPPSDLPKC >ORUFI01G40320.1 pep chromosome:OR_W1943:1:33366245:33366478:1 gene:ORUFI01G40320 transcript:ORUFI01G40320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYAVVKPCRLYKKRSSSSGGGGKVAMCVRSGGDGGAGKSRPSFTCRCVRLVKEQRARFYIMRRCVTMLVCWHEYQ >ORUFI01G40330.1 pep chromosome:OR_W1943:1:33367684:33371131:1 gene:ORUFI01G40330 transcript:ORUFI01G40330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETIDLTGDRCILKTVIRRAKDDATAPSDSLPIVDVHYEGTLAENGEVFDTTHEDNSVFSFEIGEGTVIKAWDIAVKTMKVGEVAKITCKPEYAYGAAGSPPEIPPDATLTFEVELIACRPRKGSSVESVSEEKARLEELKKQREIAAAAKEEEKRKREEAKAAAAARVQAKLEAKKGKGKKAK >ORUFI01G40330.2 pep chromosome:OR_W1943:1:33367684:33371131:1 gene:ORUFI01G40330 transcript:ORUFI01G40330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETIDLTGDRCILKTVIRRAKDDATAPSDSLPIVDVHYEGTLAENGEVFDTTHEDNSVFSFEIGEGTVIKAWDIAVKTMKVGEVAKITCKPEYAYGAAGSPPEIPPDATLTFEVELIACRPRKGSSVESVSEEKARLEELKKQREIAAAAKEEEKRKREEAKAAAAARVQAKLEAKKGKGKKAK >ORUFI01G40330.3 pep chromosome:OR_W1943:1:33367684:33371131:1 gene:ORUFI01G40330 transcript:ORUFI01G40330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSETIDLTGDRCILKTVIRRAKDDATAPSDSLPIVDVHYEGTLAENGEVFDTTHEDNSVFSFEIGEGTVIKAWDIAVKTMKVNG >ORUFI01G40330.4 pep chromosome:OR_W1943:1:33368102:33371131:1 gene:ORUFI01G40330 transcript:ORUFI01G40330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSETIDLTGDRCILKTVIRRAKDDATAPSDSLPIVDVHYEGTLAENGEVFDTTHEDNSVFSFEIGEGTVIKAWDIAVKTMKVGEVAKITCKPEYAYGAAGSPPEIPPDATLTFEVELIACRPRKGSSVESVSEEKARLEELKKQREIAAAAKEEEKRKREEAKAAAAARVQAKLEAKKGKGKKAK >ORUFI01G40330.5 pep chromosome:OR_W1943:1:33368102:33371131:1 gene:ORUFI01G40330 transcript:ORUFI01G40330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSETIDLTGDRCILKTVIRRAKDDATAPSDSLPIVDVHYEGTLAENGEVFDTTHEDNSVFSFEIGEGTVIKAWDIAVKTMKVGEVAKITCKPEYAYGAAGSPPEIPPDATLTFEVELIACRPRKGSSVESVSEEKARLEELKKQREIAAAAKEEEKRKREEAKAAAAARVQAKLEAKKGKGKKAK >ORUFI01G40330.6 pep chromosome:OR_W1943:1:33368959:33371131:1 gene:ORUFI01G40330 transcript:ORUFI01G40330.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSETIDLTGDRCILKTVIRRAKDDATAPSDSLPIVDVHYEGTLAENGEVFDTTHEDNSVFSFEIGEGTVIKAWDIAVKTMKVGEVAKITCKPEYAYGAAGSPPEIPPDATLTFEVELIACRPRKGSSVESVSEEKARLEELKKQREIAAAAKEEEKRKREEAKAAAAARVQAKLEAKKGKGKKAK >ORUFI01G40340.1 pep chromosome:OR_W1943:1:33371423:33375097:-1 gene:ORUFI01G40340 transcript:ORUFI01G40340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRNVPIISLPVLIIVVSARDDHHCIWINNCVGHENYKIFLVFVLYAVVASLYSLVLVIGGAVHSLPKNEQLGSDSSRTSIYHEGVRAMWLAEKAGNLYHHPYDLGVYENLVSVLGPNALCWLCPISRNTGNGHVCVLCLTGLCDPIANIALAAVIKQRVNLEVITFEAKHELRFSFYLNSLELP >ORUFI01G40340.2 pep chromosome:OR_W1943:1:33371423:33375677:-1 gene:ORUFI01G40340 transcript:ORUFI01G40340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPGYVTVPILSVLAAIGYVYYTAVFLAIPAWLGLATAAGVANAVAFTALAAACVATYAVAVSRDPGRVPPAFLPDVEDAESPIHEIKRKGGDLRYCQKCSHYKPPRAHHCRVCKRCVLRMDHHCIWINNCVGHENYKIFLVFVLYAVVASLYSLVLVIGGAVHSLPKNEQLGSDSSRTSIYHEGVRAMWLAEKAGNLYHHPYDLGVYENLVSVLGPNALCWLCPISRNTGNGHVCVLCLTGLCDPIANIALAAVIKQRVNLEVITFEAKHELRFSFYLNSLELP >ORUFI01G40340.3 pep chromosome:OR_W1943:1:33371423:33375677:-1 gene:ORUFI01G40340 transcript:ORUFI01G40340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPGYVTVPILSVLAAIGYVYYTAVFLAIPAWLGLATAAGVANAVAFTALAAACVATYAVAVSRDPGRVPPAFLPDVEDAESPIHEIKRKGGDLRYCQKCSHYKPPRAHHCRVCKRCVLRMVLTCSNSVEFNDHHCIWINNCVGHENYKIFLVFVLYAVVASLYSLVLVIGGAVHSLPKNEQLGSDSSRTSIYHEGVRAMWLAEKAGNLYHHPYDLGVYENLVSVLGPNALCWLCPISRNTGNGHVCVLCLTGLCDPIANIALAAVIKQRVNLEVITFEAKHELRFSFYLNSLELP >ORUFI01G40350.1 pep chromosome:OR_W1943:1:33381969:33383297:-1 gene:ORUFI01G40350 transcript:ORUFI01G40350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPALPVCLLLLLLLLLAVPRPAAAAAAAAATRPLLFELRARQVPAGALPRPASKLRFHHNVSLTVSLAVGTPPQNVTMVLDTGSELSWLLCAPGGGGGGGGRSALSFRPRASLTFASVPCGSAQCRSRDLPSPPACDGASKQCRVSLSYADGSSSDGALATEVFTVGQGPPLRAAFGCMATAFDTSPDGVATAGLLGMNRGALSFVSQASTRRFSYCISDRDDAGVLLLGHSDLPFLPLNYTPLYQPAMPLPYFDRVAYSVQLLGIRVGGKPLPIPASVLAPDHTGAGQTMVDSGTQFTFLLGDAYSALKAEFSRQTKPWLPALNDPNFAFQEAFDTCFRVPQGRAPPARLPAVTLLFNGAQMTVAGDRLLYKVPGERRGGDGVWCLTFGNADMVPITAYVIGHHHQMNVWVEYDLERGRVGLAPIRCDVASERLGLML >ORUFI01G40360.1 pep chromosome:OR_W1943:1:33387659:33388702:-1 gene:ORUFI01G40360 transcript:ORUFI01G40360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSTAGGIGMDASPIWCFMCSRLHRPDGLSTCPRRAPRAALEEIVEVMDAGEFLQACALRRAPVAGAVSSTRQQLPTVTVRDAGRTCAVCLDDLEPGGSAVVTPCDHAYHPQCIAPWLEAHDTCPLCRRESGLQVVEVEVQVDGMVLSSPDGLVLCELMMPGGRSEYRLGRRVAGRIFAVRKIDY >ORUFI01G40370.1 pep chromosome:OR_W1943:1:33392841:33400396:1 gene:ORUFI01G40370 transcript:ORUFI01G40370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISASAVAMRGEMGGGGGGGDEGELEDELDALLGTGGAGARRRGEDAGERERELNMFRSGSAPPTIEGSLNAISGLLRGGGEAAVTVAAIPDAETLNGHGGLLSEDELRADPAYLSYYYSHGNLNPRLPPPVLSKEDWRSTQRLKAGVVGGIGDRRKVSPEETGHEPTVGRPVFSQNVGFDQEEAARNDVGGAAEWVDGGGDGLIGLSLGRQRSFADILQDNIGRRTPASEHPSRAVSRNSFLDNQELLNSADSQYSMHNDILEAQRAVGNVQNVSGLPSINASASQTFASVLGSSISRNATPDSHYVPRVPSPGLPPVGVRINSNEKKLNCSSSFNTASSKAVEPDDILAAISNMNMSKDGTLGDSNSISQSKFQSEISDHQNISLDPKALQVNKNQHSLMLEADTDYLGIPPISQPSNPSFSDINKNVSGLANIRNSTNTRIDGHAEMQRSSTLSTRSYQKSPTSSNASPGGSPAQHQNIDNINSAFLNYGLGGYPLSPGLPSMMMNCMGSGNMPPLFESAAAASAIASFGADSRNLGNNILSSPTLSLSDVHNLGRTGNQTATGLLSPLSDPFYVQYLKATQYAAQGTANCSDPSLERGFMGSQYGDLTAVQKAYIEALLQQQKQYGMPLGKSTTSNHGYYGNLAFGMGMAYPGSPLGSPVASPSGPGSPLRLSERNMRFPSNLRNLGGWNSDPSGYMNDNFPSSLLDEFKSNKARSFELAEIASHVVEFSADQYGSRFIQQKLETATVEEKDMVFKEIMPQALSLMTDVFGNYVVQKFFEHGSAAQRRELADQLFGHVLALSLQMYGCRVIQKAIEVVDLDQKTKMVTELDGHIMRCVRDQNGNHVIQKCIECVPEDSIQFIISTFYGQVVPLSTHPYGCRVIQRVLEHCTDPKTQEIVMDEILQSVCMLAQDQYGNYVVQHVLEHGKPHERSVIIEKLAGQIIQMSQQKFASNVVEKCLTFGGPAEREVLINEMLGTTDENEPLQAMMKDQFGNYVVQKVLETCDDQQRELILSRVKVHLSALKKYTYGKHIVARVEKLVAAGERRIGLQAQYPS >ORUFI01G40380.1 pep chromosome:OR_W1943:1:33400892:33401182:1 gene:ORUFI01G40380 transcript:ORUFI01G40380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYWMAASRFVSFRGNVDGRHAQRKPVPVSSYFKDASACARATAPTDSLHRQPTPLARPLPRIASSIHPAVVRGANLLGPATAAEQRERPPGPTRT >ORUFI01G40390.1 pep chromosome:OR_W1943:1:33401186:33403500:1 gene:ORUFI01G40390 transcript:ORUFI01G40390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARGGRPHDADAPLPSSSSSSSSSSSSSPSRRMVDAAAASGDACGRVTEWETGLPAPGEMTPVSHQLVPPALAAAFGIDLAAVGVLLPSPSVDSPVSHLFFPVDEDDDEDEEGEGEGGNDDAPAAAAAGGGGGRCGKKARMVWTPELHHRFVEAVAHLGEKGAVPKAIVRLMNVDGLTRENVASHLQKYRLYLKRTRVAATPPPSPPPPPPPPPPLPPAMYVPCFAAKPPLDAANRSDSPPSRTSDATTKQNQVKPIKERAVSNAA >ORUFI01G40390.2 pep chromosome:OR_W1943:1:33401186:33403150:1 gene:ORUFI01G40390 transcript:ORUFI01G40390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARGGRPHDADAPLPSSSSSSSSSSSSSPSRRMVDAAAASGDACGRVTEWETGLPAPGEMTPVSHQLVPPALAAAFGIDLAAVGVLLPSPSVDSPVSHLFFPVDEDDDEDEEGEGEGGNDDAPAAAAAGGGGGRCGKKARMVWTPELHHRFVEAVAHLGEKGAVPKAIVRLMNVDGLTRENVASHLQKYRLYLKRTRVAATPPPSPPPPPPPPPPLPPAMYVPCFAAKPPLDAANRSDSPPSRTSDATTKQLEKEMHQE >ORUFI01G40400.1 pep chromosome:OR_W1943:1:33411071:33412486:-1 gene:ORUFI01G40400 transcript:ORUFI01G40400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMPVDLRLPSGPQAALGILAFEAAAAMSKLLSLHRSLSEQEVSRLRSDTMRSPGVAYLNSTDQAFLLRLACAELVVSLDAAAAAVARLGLRCGIDFGGVYASLKAGAPDARLDPLVAKGLRVKAKKMERLVASTARLCSEMEALDELEASERKLAFRGWNRLSGPIPMQPVAPSAAGDSPGADSLQQDLKAQRIKVRRLKEESLWNQSYEKAVGLMARAACAVFSRICTIFGAFVPGLPPPLPSAATDSVQTRLSKLLNPRAVRAKASSGPITRRDGPSRVHPPVISSSCPIIGLRPSGQKAAIDWRKLLDAPASTVGGAGLDQQYANVIVSAEQLLQMEAEGRQEEANAERAEMYEMLPAKLRAAVRSKLRDWWRDPGPLDAGLAQGWKDAVDRIMAWLGPMARDTVQWQAERNMDRTRRFDGGARVYALQTLRWADKEKAEAALVEVLVALSCVCWYEERRRGSVRLL >ORUFI01G40410.1 pep chromosome:OR_W1943:1:33421417:33422166:-1 gene:ORUFI01G40410 transcript:ORUFI01G40410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYMLPLREEGPFHVCRCRHIPMPTFTKLEFHVGDILELASDGRLEVESLHWPLPSVYGSETETISFTAFTVPARVYVDQTSKAD >ORUFI01G40420.1 pep chromosome:OR_W1943:1:33428785:33430295:1 gene:ORUFI01G40420 transcript:ORUFI01G40420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGCSGAATALLDLVAVRGTIQLVWRNLHKEVLMLMILVIVRLEYYTMCTVLVNMLNLLWTKGWLILPVLLLDISKPKETCMDCFWELS >ORUFI01G40430.1 pep chromosome:OR_W1943:1:33430534:33440866:-1 gene:ORUFI01G40430 transcript:ORUFI01G40430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRRRRGWLRLLLHYAESPMRTRGALLDWCLSHITSCFGGREGGIFASLSYFSLRLRAPIGVSPTHRIFFWGEGGGHLCLRQLMLTKMDYTILRNVTQESHHWQVRVRVTRFSQFTTANEPDKILRLDLVLLDEQYFEVAEARPQYRPIDRMVMAKFIAHTTVREDTEAPFTFPSHAYKVLSFDELRGRAYSKDILSVKLLSLHCGVPMLHSSTLKTYSSNQTMDLFLHGNPQVVRAIEPNFGQKEAVHVKVFDICDLNPHEALTNHDAKTAEFTFFGEIGYQLIGIPVLNLVASVQGARDIVPSEIKAVFGKQYVIRTSVSRGSLQRTRISYQVDSLMLASPDAAHTGTLPSHDASVASSQHGSSPADAIEPHTIIGSSLQSMTPSTPLVLPDPKVLAMAQPDKKHKSSVIDEDLAQEGSSPREHDCQKASVVRALFVDKLPPQPPKCK >ORUFI01G40440.1 pep chromosome:OR_W1943:1:33445770:33448354:1 gene:ORUFI01G40440 transcript:ORUFI01G40440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEEAMSPPATATAAVAAAVSASAAAAVSSAIDRRRRRMEMRRIRIASDLELQAGEDGRPGKRQRLARTASGAPRPDEDSASERPSCGRTEEFPRYGVTAVCGRRREMEDAVSIRPDFLPASGKFHFYGVFDGHGCSHVATTCQDRMHEIVAEEHNKGASGEVAPWRDVMEKSFARMDGEVGNRASTRSDDEPACPCEQQTPSRRDHAGSTAVVAVVSPTQVVVANAGDSRAVISRAGVPVALSVDHKPDRPDELERIEAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPYVTSEPEVTVTERTDDDECLILASDGLWDVVTNEMACEVVRACFHNNGPPAPAARPSGVPSSAEAAETENGGAASVKGISKAESSDKACSDAAMLLTKLALARRSADNVSVVVVDLRRGL >ORUFI01G40440.2 pep chromosome:OR_W1943:1:33445770:33448354:1 gene:ORUFI01G40440 transcript:ORUFI01G40440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEEAMSPPATATAAVAAAVSASAAAAVSSAIDRRRRRMEMRRIRIASDLELQAGEDGRPGKRQRLARTASGAPRPDEDSASERPSCGRTEEFPRYGVTAVCGRRREMEDAVSIRPDFLPASGKFHFYGVFDGHGCSHVATTCQDRMHEIVAEEHNKGASGEVAPWRDVMEKSFARMDGEVGNRASTRSDDEPACPCEQQTPSRRDHAGSTAVVAVVSPTQVVVANAGDSRAVISRAGVPVALSVDHKVRICSHHRAG >ORUFI01G40450.1 pep chromosome:OR_W1943:1:33455012:33460707:1 gene:ORUFI01G40450 transcript:ORUFI01G40450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHINYDVVEQCVKNILSLIKPVEDDRRKRLSAIQELSNSIPKVAALRGAVFKPFGSFVSNLYSNSGDLDISVQLPNNSIISKKKKQYVLRELMRVLQNRGVAGYVQFIPFARVPVLQYVSNTFGISCDISVNNYPGRIKSKIFCWISSLDVRFGDMVLQIKEWAKAQNINDPKTGTLNSYSLCLLVLFHFQTCEPAILPPLKEIYEGNIEEEMTVYDEEHLDEVCSENLAKFQSKNKERRNESSLCHLLATFFHKFSSISTLSGDVISTYMGQFKRIEENPSWMARSYSLFVEDPIERPDNAARAVGLKGLERIAGAFTAANRKFASLQHAKRNDLLEMLCTPAVGSKLGIRVSQEVMANSCTNTPRRNRHHGRPTEQVSARPSDNQNHRGAREFTVGRQVHNPPRARQSTGAYHALNSQHVIPALSQPAGIYQTVGPYQSNGHPQVYTRDVQTVGHQNYRHPMGHTTQLQTVEPYQSNNSQPQVHATSIQAPRQYQNYTRQRPARNYNHQVLHATATETTARNYNHQLLHAAATETTARNYNHQSLHATATETEGSYRNQQQRQHTSGRQTNRNAMNTRYVPVVERPQNVACESRAQDSSGRGTWQQREPRAGSTYQNR >ORUFI01G40450.2 pep chromosome:OR_W1943:1:33455012:33460707:1 gene:ORUFI01G40450 transcript:ORUFI01G40450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHINYDVVEQCVKNILSLIKPVEDDRRKRLSAIQELSNSIPKVAALRGAVFKPFGSFVSNLYSNSGDLDISVQLPNNSIISKKKKQYVLRELMRVLQNRGVAGYVQFIPFARVPVLQYVSNTFGISCDISVNNYPGRIKSKIFCWISSLDVRFGDMVLQIKEWAKAQNINDPKTGTLNSYSLCLLVLFHFQTCEPAILPPLKEIYEGNIEEGIAEMTVYDEEHLDEVEDPIERPDNAARAVGLKGLERIAGAFTAANRKFASLQHAKRNDLLEMLCTPAVGSKLGIRVSQEVMANSCTNTPRRNRHHGRPTEQVSARPSDNQNHRGAREFTVGRQVHNPPRARQSTGAYHALNSQHVIPALSQPAGIYQTVGPYQSNGHPQVYTRDVQTVGHQNYRHPMGHTTQLQTVEPYQSNNSQPQVHATSIQAPRQYQNYTRQRPARNYNHQVLHATATETTARNYNHQLLHAAATETTARNYNHQSLHATATETEGSYRNQQQRQHTSGRQTNRNAMNTRYVPVVERPQNVACESRAQDSSGRGTWQQREPRAGSTYQNR >ORUFI01G40460.1 pep chromosome:OR_W1943:1:33461796:33467523:1 gene:ORUFI01G40460 transcript:ORUFI01G40460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAASTSSRFLRTTRLHGSSTSTTPAEPAASAMSIWRVPNYDVLEKCTEDILSLIKPVEGDRNKRIYAIQELADTIYSAGALRGASVKPFGSFVSQLYAKSGDLDVSVELFNALNLPISKRKKQDTLREVRRALQKRGIARHMEFIPNARVPVLQYVSNQYGISCDISISNYPGRIKSKIFYWINTLDDRFGDMVLLVKEWAKAQNINDPKNGTLNSYSLCLLVLFHFQTCEPAILPPLKEIYEGNIMEDISDKISLWEYGLPVMDRRAYYNEKHLDEVCSINIERFRRQNMGQRNQSSLSHLLASFFHKFFRIDALSDKVISTYTGRLERIQDNPRWMDKSYSLFVEDPFEKPDNAARAVGSFEFQDIVNAFSNASNKFVSDAHALTDRNGLLSLLCTPDVGSKLGGRASASRYTNTLPARGGSRSGQSPHGYTTNRQTAVHYQNNNHPQAYNTQRQTTVHHQNQNNQQVYAAGRSVPEYPA >ORUFI01G40460.2 pep chromosome:OR_W1943:1:33461796:33467523:1 gene:ORUFI01G40460 transcript:ORUFI01G40460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAASTSSRFLRTTRLHGSSTSTTPAEPAASAMSIWRVPNYDVLEKCTEDILSLIKPVEGDRNKRIYAIQELADTIYSAGALRGASVKPFGSFVSQLYAKSGDLDVSVELFNALNLPISKRKKQDTLREVRRALQKRGIARHMEFIPNARVPVLQYVSNQYGISCDISISNYPGRIKSKIFYWINTLDDRFGDMVLLVKEWAKAQNINDPKNGTLNSYSLCLLVLFHFQTCEPAILPPLKEIYEGNIMEDISDKISLWEYGLPVMDRRAYYNEKHLDEVCSINIERFRRQNMGQRNQSSLSHLLASFFHKFFRIDALSDKVISTYTGRLERIQDNPRWMDKSYSLFVEDPFEKPDNAARAVGSFEFQDIVNAFSNASNKFVSDAHALTDRNGLLSLLCTPDVGSKLGGRASASRYTNTLVSPHDRFL >ORUFI01G40460.3 pep chromosome:OR_W1943:1:33461796:33467523:1 gene:ORUFI01G40460 transcript:ORUFI01G40460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAASTSSRFLRTTRLHGSSTSTTPAEPAASAMSIWRVPNYDVLEKCTEDILSLIKPVEGDRNKRIYAIQELADTIYSAGALRGASVKPFGSFVSQLYAKSGDLDVSVELFNALNLPISKRKKQDTLREVRRALQKRGIARHMEFIPNARVPVLQYVSNQYGISCDISISNYPGRIKSKIFYWINTLDDRFGDMVLLVKEWAKAQNINDPKNGTLNSYSLCLLVLFHFQFFRIDALSDKVISTYTGRLERIQDNPRWMDKSYSLFVEDPFEKPDNAARAVGSFEFQDIVNAFSNASNKFVSDAHALTDRNGLLSLLCTPDVGSKLGGRASASRYTNTLVSPHDRFL >ORUFI01G40470.1 pep chromosome:OR_W1943:1:33470539:33475860:-1 gene:ORUFI01G40470 transcript:ORUFI01G40470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLGAHGADLLCGPRVLRAAALAFACAAAFLVGYHWSDSSPRLLFFSSTASSSSSPLLSTGSPSVAVSPNANLSFDPSLIPTPAASTPPASPTANASPPPSLPPPPPPLRPPPPPARLGIVGEDGAMRDDFDVVVGGANDTDLAATDEALPQEPTDAGPAVGSRVRIGRFPVCPESMREYIPCLDNEEEIRRLPSTERGERFERHCPAKDKGLSCLVPAPKGYKAPIPWPRSRDEVWFSNVPHTRLVDDKGGQNWISKAKDKFRFPGGGTQFIHGANQYLDQISQMVPDIAFGSHTRVALDVGCGVASFGAYLLSRDVLTLSIAPKDVHENQIQFALERGVPAMAAAFATHRLLYPSQAFDLIHCSRCRINWTHDDGILLLEVNRMLRAGGYFAWAAQPVYKHEEAQQEAWKEMEDFTARLCWELVKKEGYIAMWRKPLNNSCYMNRDPGVKPALCDPDDNPDDVWYVNLKACISRLPENGDGLTPFPWPARLMEPPKRLEGVEMDAHSSKKELFKAETKFWDDIVEGYIRVFKWRKFKLRNVLDMRAGFGGFAAALINRKLDCWVMNVVPVSEPNTLPVIYDRGLLGVAHDWCEPFDTYPRTYDLLHAFSLFSKEQKRCNISSILLEMDRILRPGGRAYIRDLKQVVQDVKEITTAMGWRSIMRDTAEGPYASRKVLMCDKPMVR >ORUFI01G40480.1 pep chromosome:OR_W1943:1:33481870:33488027:1 gene:ORUFI01G40480 transcript:ORUFI01G40480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRGGGGGGGVGVVGAGGEEQMEMEEDGAGLRARVSAGRKERVVLMWGYLPGVSPQRSPLLGPVPVRLPAAAGGDGWRDVCGGGCGFAMAISESGKLLTWGSADDMGQSYVTAGKHEETPEAFPLPSDVAIMKGMSIHGVGKNVFQQEGSFLTNLLVELWKKMKDRVRLPLTKVVMITAYLVSFVPKKYNKGNSITVSPRSQVSRTSSGAASGPSESRGTDDSTKRRRLSSTKHAPESSTSSDENLSAPPCVVTFNTGVKIMAVAAGGRHTLALSDLGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCIESALYSKDRPAAMKGNKSAEAQISKVMGNCVKAIACGGRHSAVVTDSGALLTFGWGLYGQCGQGNTDDVLSPTCVSSILGVKMQDIGAGLWHTTVPKLVDASSLENKNARAVSCGARHSAIITDEGEVFCWGWNKYGQLGLGDSMDRNVPCSVPVDAYHPLNVSCGWWHTLVLAESPT >ORUFI01G40480.2 pep chromosome:OR_W1943:1:33481870:33488027:1 gene:ORUFI01G40480 transcript:ORUFI01G40480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRGGGGGGGVGVVGAGGEEQMEMEEDGAGLRARVSAGRKERVVLMWGYLPGVSPQRSPLLGPVPVRLPAAAGGDGWRDVCGGGCGFAMAISESGKLLTWGSADDMGQSYVTAGKHEETPEAFPLPSDVAIVRADAGWAHCVAITDEGDVYTWGWKECVPTGRVISDQSSGGTLEKDERQSAIAADQGNSITVSPRSQVSRTSSGAASGPSESRGTDDSTKRRRLSSTKHAPESSTSSDENLSAPPCVVTFNTGVKIMAVAAGGRHTLALSDLGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCIESALYSKDRPAAMKGNKSAEAQISKVMGNCVKAIACGGRHSAVVTDSGALLTFGWGLYGQCGQGNTDDVLSPTCVSSILGVKMQDIGAGLWHTTVPKLVDASSLENKNARAVSCGARHSAIITDEGEVFCWGWNKYGQLGLGDSMDRNVPCSVPVDAYHPLNVSCGWWHTLVLAESPT >ORUFI01G40480.3 pep chromosome:OR_W1943:1:33481870:33488027:1 gene:ORUFI01G40480 transcript:ORUFI01G40480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRGGGGGGGVGVVGAGGEEQMEMEEDGAGLRARVSAGRKERVVLMWGYLPGVSPQRSPLLGPVPVRLPAAAGGDGWRDVCGGGCGFAMAISESGKLLTWGSADDMGQSYVTAGKHEETPEAFPLPSDVAIMKGMSIHGVGKNVFQQEGSFLTNLLVELWKKMKDRVRLPLTKVVMITAYLVSFVPKKYNKGNSITVSPRSQVSRTSSGAASGPSESRGTDDSTKRRRLSSTKHAPESSTSSDENLSAPPCVVTFNTGVKIMAVAAGGRHTLALSDLGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCIESALYSKDRPAAMKGNKSAEAQISKVMGNCVKAIACGGRHSAVVTDSGALLTFGWGLYGQTVPKLVDASSLENKNARAVSCGARHSAIITDEGEVFCWGWNKYGQLGLGDSMDRNVPCSVPVDAYHPLNVSCGWWHTLVLAESPT >ORUFI01G40490.1 pep chromosome:OR_W1943:1:33488718:33493039:-1 gene:ORUFI01G40490 transcript:ORUFI01G40490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPSAAATASPQPDQLAAAAVSTPQNPNPNPLLSPQIPPSPTVSDLSAISSPQLDPSAAGGGAMDYPPRPPQMQAPSPGQAAAGAGGFGQIHRSGSGSRLAAVGQLPQYAAAAARMYGSQVNFSGGGGQVGQQQQQQQQLAARAAMLSQGQIGMLQGQGNAASAAHYGLQSQMMAQPRQKGMVQGAQFNTANAAQALQGMQSMGVMGGMRGNGTIPYNQQRFAHAQAQLRPQQTSQQGTLSPQKVVGQGLTRTASIAALNPQLPGSSTNGPMAQMSLPQKQQQAAWLKQMQSSLGSPVSPQQFQHQQRMLLIHQLQQQSGLNQHQIAQTQQQHPHLNTQLLQQQHILQQLQQQQQSPRISASGSQKSMNLTGSQPGTPLSGGTMTGGSASQGAEVTNQLLGKRKIQDLVSQVDPLGKVDPEVEDLLLEIADDFIDSVTAFACTLAKHRKSSVLEAKDVLLHLEKNWHLSVPGFLREDKNPQRHPVKVSVDPQQPECDAAGIRSTGNKLLPTIKQDLRLLSLHQCPQWGLCQKCPVSKHHASSSVQGKREITSWY >ORUFI01G40490.2 pep chromosome:OR_W1943:1:33489210:33493039:-1 gene:ORUFI01G40490 transcript:ORUFI01G40490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPSAAATASPQPDQLAAAAVSTPQNPNPNPLLSPQIPPSPTVSDLSAISSPQLDPSAAGGGAMDYPPRPPQMQAPSPGQAAAGAGGFGQIHRSGSGSRLAAVGQLPQYAAAAARMYGSQVNFSGGGGQVGQQQQQQQQLAARAAMLSQGQIGMLQGQGNAASAAHYGLQSQMMAQPRQKGMVQGAQFNTANAAQALQGMQSMGVMGGMRGNGTIPYNQQRFAHAQAQLRPQQTSQQGTLSPQKVVGQGLTRTASIAALNPQLPGSSTNGPMAQMSLPQKQQQAAWLKQMQSSLGSPVSPQQFQHQQRMLLIHQLQQQSGLNQHQIAQTQQQHPHLNTQLLQQQHILQQLQQQQQSPRISASGSQKSMNLTGSQPGTPLSGGTMTGGSASQGAEVTNQLLGKRKIQDLVSQVDPLGKVDPEVEDLLLEIADDFIDSVTAFACTLAKHRKSSVLEAKDVLLHLEKNWHLSVPGFLREDKNPQRHPVKVSVDPQQPECDAAGIRSTGNKLVINNSVANHQTRPPVTEPSPMPTMGPLSKVPRF >ORUFI01G40500.1 pep chromosome:OR_W1943:1:33494881:33495141:1 gene:ORUFI01G40500 transcript:ORUFI01G40500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIPFIFKAVAQYKEGGHVSLSDMISDRPSPASYVLLPGDSDGRHADDKTQPLRLQASTGSEEEVTTCTARASHLRCSTLRRRA >ORUFI01G40510.1 pep chromosome:OR_W1943:1:33498993:33501006:1 gene:ORUFI01G40510 transcript:ORUFI01G40510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEALKEVGVTEVVLAINYRPEVMLNFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLVDGSGEPFFVLNSDVISEYPFAELIKFHKSHGGEATIMVTKVDEPSKYGVVVMEEVTGMVEKFVEKPKIFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIASDAKLFALVLPGFWMDVGQPRDYITGLRLYLDSLRKRSTNRLATGAHIVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVHIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVGDEVYTNGGVVLPHKEIKSSILKPEIVM >ORUFI01G40520.1 pep chromosome:OR_W1943:1:33501869:33504292:-1 gene:ORUFI01G40520 transcript:ORUFI01G40520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELAGSPGTWSGLSLRVGQLVFAAASVCATASALGFAAYTAFCYLIASMGLQALWSLGLACLDCYALKFKKDLHSAVLLSLFVVGDWVTAILSFAASCSAAGVVVLFDRDIYACRNPQLPCGRFELAIACAFLSWAFSATSALVMFWLLASL >ORUFI01G40530.1 pep chromosome:OR_W1943:1:33505127:33505432:-1 gene:ORUFI01G40530 transcript:ORUFI01G40530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARGASNDDKRRDWSHLFLRFIAGAAAGWGWLSRMASPAALASSFAATAASPRLELPPPLASPRAARAPGARTGMAGDGLNFPMEKGPGSSRLATQAAS >ORUFI01G40540.1 pep chromosome:OR_W1943:1:33506203:33510274:1 gene:ORUFI01G40540 transcript:ORUFI01G40540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFVLNTGAKIPSVGLGTWQSDPGVVGDAVYAAVKAGYRHIDCARMYKNENEVGIALKKLFEEGVVKREDLFITSKLWCDCHAPEDVPESLDKTLSDLQLEYLDLYLIHWPFRVKKGSGISNTEDYIPPDIPSTWGAMEKLYDSGKSRAIGVSNFSSKKLGDLLAVACVPPAVDQVECHPGWQQTKLHNFCQSTGVHLSAYSPLGSPGSTWMNSNVLKESVIISIAEKLGKTPAQVALHWNIQMGHSVLPKSVTEERIKQNIDVYDWSIPEDLLVKFSEIKQVRLLRGDVIVNPHSVYKTHEELWDGEI >ORUFI01G40540.2 pep chromosome:OR_W1943:1:33506204:33510274:1 gene:ORUFI01G40540 transcript:ORUFI01G40540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFVLNTGAKIPSVGLGTWQSDPGVVGDAVYAAVKAGYRHIDCARMYKNENEVGIALKKLFEEGVVKREDLFITSKLWCDCHAPEDVPESLDKTLSDLQLEYLDLYLIHWPFRVKKGSGISNTEDYIPPDIPSTWGAMEKLYDSGKSRAIGVSNFSSKKLGDLLAVACVPPAVDQVECHPGWQQTKLHNFCQSTGVHLSAYSPLGSPGSTWMNSNVLKESVIISIAEKLGKTPAQVALHWNIQMGHSVLPKSVTEERIKQNIDVYDWSIPEDLLVKFSEIKQVRLLRGDVIVNPHSVYKTHEELWDGEI >ORUFI01G40540.3 pep chromosome:OR_W1943:1:33506169:33510274:1 gene:ORUFI01G40540 transcript:ORUFI01G40540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFVLNTGAKIPSVGLGTWQSDPGVVGDAVYAAVKAGYRHIDCARMYKNENEVGIALKKLFEEGVVKREDLFITSKLWCDCHAPEDVPESLDKTLSDLQLEYLDLYLIHWPFRVKKGSGISNTEDYIPPDIPSTWGAMEKLYDSGKSRAIGVSNFSSKKLGDLLAVACVPPAVDQVECHPGWQQTKLHNFCQSTGVHLSAYSPLGSPGSTWMNSNVLKESVIISIAEKLGKTPAQVALHWNIQMGHSVLPKSVTEERIKQNIDVYDWSIPEDLLVKFSEIKQVRLLRGDVIVNPHSVYKTHEELWDGEI >ORUFI01G40540.4 pep chromosome:OR_W1943:1:33513456:33517578:1 gene:ORUFI01G40540 transcript:ORUFI01G40540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFVLNTGAKIPSVGLGTWQSDPGVVGNAVYAAVKAGYRHIDCASAYNNEKEVGLALKKLFEEGVVKREDLFITSKLWCDHHAPEDVPEALDATLNDLQLEYLDLYLIHWPFRTKKGSSIGKPESYLPPDIPSTWAAMEKLYDSGKSRAIGVSNFSSKKLGDLLAAARVPPAVDQVECHPGWQQMKLHNFCQSTGIHLSAYSPLGSPGSTFMNGNVLKEPIIISIAEKLGKTPAQVALRWNIQMGHSVLPKSVSEERIKQNLDVYDWSIPEDLLAKFSEIKQVRLLRGNFIVNPQSVYKTHEELWDGEI >ORUFI01G40540.5 pep chromosome:OR_W1943:1:33509883:33513678:1 gene:ORUFI01G40540 transcript:ORUFI01G40540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAYTPHHHRNGNTVNASSRNPGKPARAREVETNLAERITRTTPTSPPAAAAAGFPCCARFDLLLLLLLAAVLVRE >ORUFI01G40550.1 pep chromosome:OR_W1943:1:33520850:33523100:1 gene:ORUFI01G40550 transcript:ORUFI01G40550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFTLNTGARIPSVGLGTYKAGTGVVADVVSAAVKAGYRHIDCAPLYKNEQEIGGALKKLFDDGVVKREDLFITSKIWCSDLAPEDVPLAMDSTLKDLQLDYVDLYLIHWPFQIKKGTELSPENFVKPDIPSTWRAMEQLYDSGKARAIGVSNFSSKKLGDLLCVARVPPAVDQVECHPGWQQAKLRAFCHTSGVHLSAYAPLGRMKGIAVDSVLPSVAEMLGRTPAQVALRWGLQQGQSVLPKSVSEARLKENMDLFGWSIPEELLSKSEEMDLLTPRVSTKPTRSFLMEKSKRMEITYVKQNVPLL >ORUFI01G40560.1 pep chromosome:OR_W1943:1:33522431:33525437:-1 gene:ORUFI01G40560 transcript:ORUFI01G40560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSSVTAEDLVDALREVDWSTPPRPVSEFFSRFTAPRSYSKWTSRLKCNLYYYRTNYFILIMFILGMGFLRKPVSILAAFSTGLSIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKMRPPLRPVIRGRPSSKRSIHICGRPRWVFVVLFSVVSCFLWMTSCSLLTVLWALIVGLLDFSIKKLLVGFVDTLGVSKSISSDLLNLSNMNNAFSVITIPIQGLQLQETLGVSTILAFCSIVLFSVLVTNLSEVQNCMKFIHPELHKI >ORUFI01G40560.2 pep chromosome:OR_W1943:1:33523240:33525437:-1 gene:ORUFI01G40560 transcript:ORUFI01G40560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSSVTAEDLVDALREVDWSTPPRPVSEFFSRFTAPRSYSKWTSRLKCNLYYYRTNYFILIMFILGMGFLRKPVSILAAFSTGLSIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKMRPPLRPVIRGRPSSKRSIHICGRPRWVFVVLFSVVSCFLWMTSCSLLTVLWALIVGLLATLLHASFRTPNLKARLNTFREEFRAVWRNYSEF >ORUFI01G40570.1 pep chromosome:OR_W1943:1:33533744:33545206:1 gene:ORUFI01G40570 transcript:ORUFI01G40570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGIGGAGLVAAVAKADVENTDSTRGFVKDVKRIIIKVGTAVVTGPNGRLAMGRLGALCEQVKQLNFEGYEVILVTSGAVGVGRQRLKYRKLVNSSFADLQNPQMDMDGKACAAVGQSVLMAIYDTLFSQLDVTSSQLLVTDRDFMDPSFGNQLRETVNSLLDLKVIPVFNENDAISTRRQPYEDSSGIFWDNDSLARLLAQELKADLLIMLSDVEGLYSGPPSDPQSKIIHTYVHEQHGKLISFGEKSRVGRGGMQAKVAAAFTASSKGIPVVIASGFAIDSIIKVMRGEKIGTLFHREANQWGCSKEATAREMAVAARDCSRHLQKLSSEERKKILLDIADALEANEDLITSENQADLDLAQDIGYDKSLVARMTIKPGKIKSLAGSIREIADMEDPISHTLKRTEVAKDLVFEKTYCPLGVLLIIFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNTILHKVITGAIPDVVGKKLIGLVKNKDEIADLLKKYSVQLDDVIDLVIPRGSNKLVSQIKAATKIPVLGHADGICHVYIDKSADMDMAKRIVLDAKVDYPAACNAMETLLVHKDLNRTEGLDDLLVELEKEGVVIYGGPVAHDTLKLPKVDSFHHEYNSMACTLEFVDDVQSAIDHINRYGSAHTDCIITTDGKAAETFLQQVDSAAVFHNASTRFCDGARFGLGAEVKWLVKELL >ORUFI01G40580.1 pep chromosome:OR_W1943:1:33543546:33545528:-1 gene:ORUFI01G40580 transcript:ORUFI01G40580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAPASPPASLFHLSRPAAGPRARPRVSRSRCVASLASQPPTPPPANANHLVQTLCASGRLARAAALLQGLPAPTQRTYKSVLLAAARAGDAALAAAVHRRLKADPVFRSDPFLSTRLIDAYASLGELPAARQVFDEAPVKSIFVWNALLKALALADHGEEALARLADMGRLRVPVDSYSYAHGLKACIAASTSHVPASALVREIHAHAVRRGYGLHTHVATTLIDCYAKLGIVSYAESVFATMPERNVVSWTAMIGCYAKNERPGDAILLFQEMVASDEDLVPNSVTIVCILHAFAGVNALGQGKLLHAYILRRGFDSLVSVLNALMAMYMKCGCLEAGRHIFDLIGHRKDVVSWNTLISGYGMHGFGHEAVQVFEDMTQMGVSPNIITFISVLGACSHAGLVDEGKRLFESMVDYNVTPRAEHYACMVDLLGRAGHLDEAVELIQGMHIRPSPQVWGSLLGACRIHRHVEYAEMACSQLFDLEPRNAGNYVLLADIYARAKLHSEVGVLKDLLEEHALEKVPGCSWIEVKKRLHMFVSVDNKNPQIEELQALIGEFVTQMKNDGYVPDTGAVLYDIEEEEKEKILLGHSEKLAVAFGLINTGRGEVIRITKNLRLCEDCHSVTKFISKYAEREIIVRDVNRFHHFRDGICSCGDYW >ORUFI01G40590.1 pep chromosome:OR_W1943:1:33548557:33552449:-1 gene:ORUFI01G40590 transcript:ORUFI01G40590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGGGGYGGGQGGGAEHHHHHHGHAGHLLLHHHPQHVAGAAVAAAAAAAGGQMYHVPQHSRREKLRFPPDAGDSPPPHGHGHGHAPQQQQQHGSWPPPPAFYSYASSSSSYSPHSPTLAQAQLVAHGLAPPLPQIPTQNFSLSLSSASSNPPPPQAQPRRQLGGLAQATGPFGPFTGYAAVLGRSRFLGPAEKLFEEICDVGDAASHVDRTISDEGLLDADPMDGVDHDVVDHDLGGADRAAADAGPISGAEQQWKKTKLISMMEEVCKRYRQYYQQVQAVMASFETVAGFSNAAPFAALALRAMAKHFKCLKSMILNQLRNTSNKVAVKDGLNKEIAVFGLAGGSSGGAGLQRANSASAFGQPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDGDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQMHKHSVVDKGQHSVHHQAQHSSQCSGNPSVPSDSHPGQSSSITRNHNTAASQGFPDELSQMSQSIQGQVSFAYNGLTSQHNIASPHHQHQQVGGVGIGGGNGGVSLTLGLHQNNRVCIAEPLPAALPANLAHRFGLEEVSDAYVMSSFGGQDRHFGKEIGGHLLHDFVG >ORUFI01G40600.1 pep chromosome:OR_W1943:1:33568998:33569612:-1 gene:ORUFI01G40600 transcript:ORUFI01G40600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGAAALTCVSRRRRLTCVRRRRHCRPPASLGVAAVIRLHLPAPPPSNASLGAVALTCVRRRRRCRPPASADAATLTCVRRRPHPPVAASVAVVPPPSLASSAVALVHRGRSRRRRRVRRNCGPRLPRPSPLPSLASSTVALVHRGRSSRRRCVRRSCDHHPATAITLSPSLASALVVALTSHDRGRAAAVVEPSSSPASVA >ORUFI01G40610.1 pep chromosome:OR_W1943:1:33570538:33572574:-1 gene:ORUFI01G40610 transcript:ORUFI01G40610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFFLESKSTIGVEFATRTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVFDITKRQTFDNVQRWLRELRDHADANIVVMMVGNKSDLNHLRSVPEEDGHAFSEKEGLSFLETSALEAINVEKAFHTVLSEIHQIVSKKALAAQESASTNGRSMQGTTINVAEAPAATKGSCCSS >ORUFI01G40620.1 pep chromosome:OR_W1943:1:33582009:33587132:1 gene:ORUFI01G40620 transcript:ORUFI01G40620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNPLPQQESEPHVEGNKSPAPTSIVDDATNTTEDDSALRDLLYPQSLEAKGKEDIYSIFYKGQNGTAQAGTADGKSQWTPPKSRTVYTKDNKQSNQYDSVDTSCFGSSVNYGGRDYYGISGHKQSTESNDYKADKKDPSTDSHGDWWQGSFYY >ORUFI01G40630.1 pep chromosome:OR_W1943:1:33586614:33587067:-1 gene:ORUFI01G40630 transcript:ORUFI01G40630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVRCVWFLLVVAVVVAAGVETVRGAGECGRVPVDQVALKLAPCAAATQNPRAAVPPNCCAQVRSIGRNPKCLCAVMLSNTARSAGVKPAVAMTIPKRCAIANRPIGYKCGPYTLP >ORUFI01G40640.1 pep chromosome:OR_W1943:1:33587909:33592040:-1 gene:ORUFI01G40640 transcript:ORUFI01G40640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEEEREARSEAAFTDSADGSSSSSDAASADEWPVTLAAPPRKTAACGRVPGAEVVDSSKPHAQKRRAPSSEMEMMKERFAKLLLGEDMSGSGKGVCTALAIANAITNLCATIFGQLWRLEPLPPEKKAMWRREMGWLLCVSDHIVELVPTWQSFPDGTRLEVMTSRPRSDLYINLPALRKLDHMLIEILDSFRDPEFWYVEQGICAPDCDGSASFRAAFHRRDEKWWLPVPRVPPGGLRDKARKQLQHKRDCANQILKAALAINSNALAEMEVPESYLQSLPKNGRATLGDIIYRYITSDHFSPECLLDCLDLSTEYQALEIANRVEASVYVWRRRIAAKPASVLGRATSGRSSWGMVKDMIIDTEKRELLAERAEGLLICLKQRFPGLTQTSLDMSKIQYNRDVGKSILESYSRVLESLASNIVARIDDLLNIDELNRHAEHFPQGDADCRIACNKAAVPPYQVPASGTPFVTAYATPSFSPAQLASPSKKERSPLGAGRRSYSNRGFGAKKALAIDLVNPEVMGVIISGGKMIDVSTTTEL >ORUFI01G40650.1 pep chromosome:OR_W1943:1:33598475:33598681:1 gene:ORUFI01G40650 transcript:ORUFI01G40650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDWAPAIIASALFALLCPGGILQMPGRNRPVDFMNMKTSLASIVVHAVIYGLLLMLFLVILEAHLYI >ORUFI01G40660.1 pep chromosome:OR_W1943:1:33598758:33600385:-1 gene:ORUFI01G40660 transcript:ORUFI01G40660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAGGERRIGVAMDFSPSSKKALQWAADNLLRKGDTLVLLHIRHHGRDEAKNVLWSHTGSPLIPLEELMETAVRQRYDIPSDEEVFDMLNAVSREKELSVVLKMYWGEPREKVCEAVGELNLESLVMGSRGLGQIQRILLGSVTNYVLSNASCPVTVVKAKIKGSRRPQRLSGPEVI >ORUFI01G40670.1 pep chromosome:OR_W1943:1:33600670:33605203:1 gene:ORUFI01G40670 transcript:ORUFI01G40670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKAPGDQTRGALAGHAVRRSQGRGFGYGHPLPSVVGLPVAEAKRRIKQCRPDVYIEVLSEKLGCDIGEVAFHILNYFGSYRPIITHRSPERRRAGAERQWRLGGCRLGAGTAGRRGRRERRGSGAWAATGWVLEPPAGEGALAGQAWGTLVTWRGAKGVVESARPRRRVGAGAAREGSGAAATAGHAHLAGAGRPPPASWTRREERRRE >ORUFI01G40680.1 pep chromosome:OR_W1943:1:33605216:33606046:1 gene:ORUFI01G40680 transcript:ORUFI01G40680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPESRLVGPSSPSVVWFLGAMAEREGRLHGREEKRLGNNQNNATEIETSTGSRLPGRKRLLWSPIIFGVTTVKDCSVQYATRSAFSKCRGIIKAPEDEMTAYFQHGLVHAKRWIGYRFAVSSGRFGGLAGDCGTSRVQGASGFRKQLDLTTNFATQSVIERTVSAGATAGRSTKMEYSKLDLVQEWRFRLNSHLTATRGFTFS >ORUFI01G40710.1 pep chromosome:OR_W1943:1:33646860:33647575:-1 gene:ORUFI01G40710 transcript:ORUFI01G40710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNGGTRESSDRLALLRPSKCLTARTDQYPTGHSSLRRRRKGKNSMVLTSSCSRSICSQALPVVFLSYFVYGFSVCRECVWPIGP >ORUFI01G40720.1 pep chromosome:OR_W1943:1:33649069:33649821:-1 gene:ORUFI01G40720 transcript:ORUFI01G40720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGLGRHGRPSEREVLQGGGAPPGALTLRFATGVDVPSWKQELWFCSIDLLYYMYSITDYFHTRRLRTCFTSIHTKMDIHSYTNLHIIPFPSSSIGTSHHHHLHQSTKNTKNLQGQMEMGKIDVSLLSVAGACPERRELMKGLGAEGVVEFCYHHC >ORUFI01G40730.1 pep chromosome:OR_W1943:1:33659830:33662259:1 gene:ORUFI01G40730 transcript:ORUFI01G40730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCTPGAAEAELWLPDEFLDDDFFTVEEKAAVAAKSESDEEDGLDGLARRMADLLAGEGGKGTGSKVEVMAGSPQSTLCGLAASGEDSPNGGASQFSSPPSSPLEQPPTDPWDVLSEAAGQVARLRMNSIPVPQKPHAHAGHGRFVPPARNPSPPVQAQKTAGAFQFAPNNNMLTQRQVQVAHFHLLKQRQLLKQQREQQLAAAAAAAWGTHRAGVGVGAPLGLNSSGWPPLQKAHQQASSAAGMRAVFLSPPGGKTERTGTGVFIPRQAGAPAEPKKKPSCSTVLLPARVVQALNLNVDDLGARPCFPGGFVLDHDALVSRSNAMLTTQKRVQHHLHAATAAPPTLAAAREVNLPQEWTY >ORUFI01G40740.1 pep chromosome:OR_W1943:1:33671297:33676521:1 gene:ORUFI01G40740 transcript:ORUFI01G40740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYIAREATKLWRKVCAEIAVELQLLFEKWRLLLAGLVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYVSESVFTFIFISFLLWSFHPFIYHSKRFYTVLLWRRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSKMATLPPPHNVLEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRLIKILAWLMAIIQSLLIIASRKHYSVDVVVAWYTVNLVVFFIDNKLPEMPDRTNGSSLLPVTAKDKDGRTKEELHKLEKDCKMKEEFHKLLNGNTVDSTDRRQRVQMNGKHGEDINHTLSDATPNGT >ORUFI01G40750.1 pep chromosome:OR_W1943:1:33676559:33682428:1 gene:ORUFI01G40750 transcript:ORUFI01G40750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLQLLPDRVPPCAAAEAPLRFLFDAFKLLNEEKNTTSKAPTIESTAFLYVARLIASSSQNSCDLHIKSSEVKCGISSS >ORUFI01G40760.1 pep chromosome:OR_W1943:1:33680722:33682971:-1 gene:ORUFI01G40760 transcript:ORUFI01G40760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGEQALTIAPAAAADSSAEPARHVGGGGGGGGGLAEESSDEDKGSQRFSDAEDHSWRSHSRQGSVALEDFISTCASVRSGAGASAGDADADGGGRRKSCVSECSLGDVDLEAGLAEISKASPDTAERNCRICHLGLESAAAESGSGMFLGCSCKDDLSCAHKQCAETWFKIRGNRICEICGSTACNVVGIGDAEFIEQWNESSNTAASQTPASEPRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >ORUFI01G40770.1 pep chromosome:OR_W1943:1:33689324:33690775:-1 gene:ORUFI01G40770 transcript:ORUFI01G40770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETAASPPPPPPNPPAADSAHSSPASMTDETHGAGAHPDESQPHRSSSSSSSPPVAVDGETRVPLPVRSLPQPSHPSPPPSGEDEDDVVITGQIAVGDAAGAAMEERVKGPWSSDEDTLLSNLVEKLGPRNWTLIARGIPGRSGKSCRLRWCNQLDPQVKRKPFTEEEDRIIMAAHAIHGNKWAAIAKLLVGRTDNAIKNHWNSTLRRRHCTGGRCTQGGVMKRAIPERPRSVSEEPWPLGNLSSLNVREAMEAPAQTVSESYVGAWQIGDQSCKTEVVDPPYLARPVAKVGAFKPYNVGPAQSSQKEMLSFATKFDSNLHPFKPENGVCKFVDPTSFAAEVPNKCGHGCCSSHGQLRKNSLLGPEFNEFEDHPPISDSSFASLVSEISSIAWMKSGLQSSDTLARSVPPA >ORUFI01G40780.1 pep chromosome:OR_W1943:1:33696773:33716570:1 gene:ORUFI01G40780 transcript:ORUFI01G40780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDKLTNGAANGAHGVFQRGTPWADGPAMVTQCPIRPGHRYTYRFAVAGQEGTLWWHAHSSYMRATVYGALVIRPRRAGGYPFPTPYEEKTVLLGEWWNGDPVALESQSFSTGIPAPNADAYTINGMPGDSYLCPETTNRIAKFEVRRDKTYLLRIINAALNTAFFFKVAGHTFTVVAADASYTEPYATDVIVIAPGQTVDALMAADASPGCYHMAISSYQSAIPFPPRPAGFNGNTSTAVVEYVDATATTDAGSPVLPVMPKPNDTYTANQFYTSLTALIRPGRRTVPLTVDTRMLVTVGLGFSSCQPEQTQCNRSAPVVLANMNNVSFALPNTVSMLEALYRNTADGVYTRDFPDQPPVAFDYTSRGLLGNSPLASTGSPSTKVKTLRYNATVEMVLQNTALVGLESHPMHLHGFNFFVVAQGFGNNDGEAAGAGEFNLVNPQERNTVAVPTGGWAVIRFVADNPGMWAMHCHIDSHFAIGLAMVFEMVIPWCSSMMRLLWFLFALLLARSVADAATANYTFTVESMRVSRLCNSTDIIAVNGQLPGPMIEVNEGDAVAVEVINGSPYNLTIHWHGILQLLTPWADGPSMVTQCPIQPNSSYTYRFNVTGQEGTLWWHAHSSFLRATVYGALIIRPRNGSAYPFPAPDQEVPIVLGEWWSRNVVDIESDAVSSGQLPRESDAFTVNGVTGELYQCANDTFTVDVQPNTTVLLRVINAGLNTHLFFKVAGHAFTVVAVDACYTANYTTDTLVLAPGHTVDALMVTNASAGSYYMAVQAYDSLSPTTMAVTDDTTATAIVRYNTTSTKKNATPVMPTMPQSSDSATANAFYFGLRGPPSPSAPAVPTKVDVNMTIELGLGQLPCDSTQSSCSGKSVAAAMNGVSFRLPSQMSLLEAQFNRTPGVYTADFPDAPQPSGTPMVEGTKVRRLKYNSTVEIVLQNPTAFPSENHPIHLHGFNFFVLAQGLGNFTPGNVSGYNLVDPVSRNTLAVPTGGWAVIRFVANNPGMWFFHCHLDAHVPMGLGMVFAVDNGTTPDSFLPPPPVDLPKIEDGDDAEFRKILALCSHQISHETRIIIVFRGLRGTPAMASAAMLVPLVLVLCTAAASAAVVEHTFKVGGTKITQLCMNSVIYTANQQLPGPTIEVTEGDTLVVHAVNDSPYPLSLHWHGVYQLRSGWNDGANKITQCPIQPSGNFTYRFNITGQEGTLWWHAHSSLLRATIYGALIIKPRNGPSGYPFPEPYEEIPILLGEWWNRNVDDVENDGYLTGLGPQISDALTINGMPGDQNRCKGSAMYEVEVEYGKTCLLRIINAAVNVELFFKVAGHTFTVVAADASYTKPYATDVIVIAPGQTVDALMNTTASPGRYYMAAHVFDSKTVAVPFDQSTATGIVKYKGVPNYAPAAMPSLPPHDDVVTAGRFYWSLTGLARPSDPGVPTTVDHNMVVTFGLDQAPCAPNQTKCSGFALVAAMNRNSFQFPDQKVSLLEALYKGVPGVYSEDFPDFPPPMQGFRKATAVKKVKYNDVVEVVLQSEQYSSTLGTENHPIHLHGFDFYLLAQGLGRFNPSMKSKYNLVDPQVRNTVAVPAGGWAVIRFMANNPGMWFMHCHLDAHLPLGLAMVFEVLNGPAPNLLPPPPPKVQPMNSRKQQNTVRQR >ORUFI01G40790.1 pep chromosome:OR_W1943:1:33716870:33724246:1 gene:ORUFI01G40790 transcript:ORUFI01G40790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVLGKITVETPKHEVLHTGAGYEVRKYPPCVVAEVTYDPAEMKGDRDGGFTVLGNYIGALGNPQNTKPEKIDMTAPVITSGEPESIAMTAPVITSGEPEPVAMTAPVITAEERGQGKGQMTMQFLLPSKYSKVEEAPRPTDERVVLRQVGERKYGVVRFSGLTGDKVVKEKAEWLKAALEKDGFTVKGPFVLARYNPPFTLPPLRTNEAGRRDRIWPILVSGAAPFRFNVGPTKRSDPYPQGCNPVYGFGRNPTSLTVAKPFNANWIESNTFVFYQASHRRREPAMAMAASPFLILPSLFPKPTILAARIHPSIFRGRHIRCSPNGAAVPESPEPAPRRGRRKSPSPSPPKAKTTRRRTKKDTQESDSEGEEEPPKRRGRRTRKSKQEAEQEAAEKEDEVRAASPGTEDSKRAVQDEDGEAEATGSDSEDGEDSPYDWPPLVCCFGAPRWEFVPTVRVSDRQMHPDIYSTWLHLQWEPPEFARAPGSAASNVAIALTRLGGRAAVLGKVGDDDFGHELVYRMNCERVQTRAIRFDDGAATATARMKVGFRDREDGSGGTRLVAETVKSAAEDSLSKAEINVDVLKEARVFHFNSEVLLTPSMESTLFRAIELSKKFGSKIFFDLNLPLPLWRSRDETKELINKAWNEADIIEVSRDELEFLLDHEYYQYKRANPPQYYLDGFHLTRNWPQYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVIGTEDALITPYTTDRTGSGDAVVAAAIRKLTSCPEMYEDQDTLERNLRFAVAAGIISQWTIGAVRGFPTESAAQNLKEQVYVPSMW >ORUFI01G40790.2 pep chromosome:OR_W1943:1:33716870:33724242:1 gene:ORUFI01G40790 transcript:ORUFI01G40790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVLGKITVETPKHEVLHTGAGYEVRKYPPCVVAEVTYDPAEMKGDRDGGFTVLGNYIGALGNPQNTKPEKIDMTAPVITSGEPESIAMTAPVITSGEPEPVAMTAPVITAEERGQGKGQMTMQFLLPSKYSKVEEAPRPTDERVVLRQVGERKYGVVRFSGLTGDKVVKEKAEWLKAALEKDGFTVKGPFVLARYNPPFTLPPLRTNEAGRRDRIWPILVSGAAPFRFNVGPTKRSDPYPQGCNPVYGFGRNPTSLTVAKPFNANWIESNTFVFYQASHRRREPAMAMAASPFLILPSLFPKPTILAARIHPSIFRGRHIRCSPNGAAVPESPEPAPRRGRRKSPSPSPPKAKTTRRRTKKDTQESDSEGEEEPPKRRGRRTRKSKQEAEQEAAEKEDEVRAASPGTEDSKRAVQDEDGEAEATGSDSEDGEDSPYDWPPLVCCFGAPRWEFVPTVRVSDRQMHPDIYSTWLHLQWEPPEFARAPGSAASNVAIALTRLGGRAAVLGKVGDDDFGHELVYRMNCERVQTRAIRFDDGAATATARMKVGFRDREDGSGGTRLVAETVKSAAEDSLSKAEINVDVLKEARVFHFNSEVLLTPSMESTLFRAIELSKKFGSKIFFDLNLPLPLWRSRDETKELINKAWNEADIIEVSRDELEFLLDHEYYQYKRANPPQYYLDGFHLTRNWPQYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVIGTEDALITPYTTDRTGSGDAVVAAAIRKLTSCPEMYEDQDTLERNLRFAVAAGIISQWTIGAVRGFPTESAAQNLKEQVYVPSMW >ORUFI01G40790.3 pep chromosome:OR_W1943:1:33716870:33720917:1 gene:ORUFI01G40790 transcript:ORUFI01G40790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVLGKITVETPKHEVLHTGAGYEVRKYPPCVVAEVTYDPAEMKGDRDGGFTVLGNYIGALGNPQNTKPEKIDMTAPVITSGEPESIAMTAPVITSGEPEPVAMTAPVITAEERGQGKGQMTMQFLLPSKYSKVEEAPRPTDERVVLRQVGERKYGVVRFSGLTGDKVVKEKAEWLKAALEKDGFTVKGPFVLARYNPPFTLPPLRTNEAGRRDRIWPILVSGAAPFRFNVGPTKRSDPYPQGCNPVYGFGRNPTSLTVAKPFNANWIESNTFVFYQASHRRREPAMAMAASPFLILPSLFPKPTILAARIHPSIFRGRHIRCSPNGAAVPESPEPAPRRGRRKSPSPSPPKAKTTRRRTKKDTQESDSEGEEEPPKRRGRRTRKSKQEAEQEAAEKEDEVRAASPGTEDSKRAVQDEDGEAEATGSDSEDGEDSPYDWPPLVCCFGAPRWEFVPTVRVSDRQMHPDIYSTWLHLQWEPPEFARAPGSAASNVAIALTRLGGRAAVLGKVGDDDFGHELVYRMNCERVQTRAIRFDDGAATATARMKVGFRDREDGSGGTRLVAETVKSAAEDSLSKAEINVDVLKEARVFHFNSEVLLTPSMESTLFRAIELSKKFGSKIFFDLNLPLPLWRSRDETKELINKAWNEADIIEVSRDELEFLLDHEYYQYKRANPPQYYLDGFHLTRNWPQYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVIGTEDALITPYTTDRTGSGDAVVAAAIRKLTSCPEMYEDQDTLERNLRFAVAAGIISQWTIGAVRGFPTESAAQNLKEQVYVPSMW >ORUFI01G40800.1 pep chromosome:OR_W1943:1:33721245:33724817:-1 gene:ORUFI01G40800 transcript:ORUFI01G40800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPSVASSSSSSSPVLSAAHRRRLNDVERDAFDYGGPCDVDVDHDDDDGGGGVRRGHGAGVAGVRALFSSARRSKRASVIIDQAWLRNVVACLLGLTVVAGLVLSSHRVSGAGGGRLVQRMDLGDGEVMGWTEENLTAVARQSPDTPTLNWHRRVGTYSLRGLDVTMCVRKRSIELREKFMAGVHGLQMKIWMTPDSEGYGKCIERPKKHDRMNSATAGYIIVNANGGLNQMRLGISDMVAVAKLMNATLVIPTLDHKSFWTDPSDFKDIFDVEHFKKTLEGDISIVDSLPLAYKGLKLYMRAPTSWAKASYYRAFSRTLKKAKVVKFTHTDSRIVNNGLPPSIQRLRCRANYEALRFHKEIEELSTALVDRLRNGSNHYIALHLRYEKDMLSFTGCSHNLTHKEADELREMRLNVRHWKEKEINSRERRLQGRCPMTPREVALFLKAMGYPSSTKIYIVAGEIYGGHSMDSLKAEYPNIYTHYSLATVDELEPFKLYQNRLAALDYNVAVQSDVFVYTYDGNMAKAVQGHRRFEGFQKTINPDRQKLVGLIDKLDEGTLTWNEFQSEVKIHHENRLGGPYQRLSGRSPRQEEYFYANPLPGCLCKRMQRIK >ORUFI01G40810.1 pep chromosome:OR_W1943:1:33730807:33734497:-1 gene:ORUFI01G40810 transcript:ORUFI01G40810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVRYDKDQRRRQTLSANVILHKQNQSCGSLKIEDKEADINLRTNSSNFQTKARGVGVCGGFSRYHFCITPLFLPCAFLPFPPAELLIGLPRSERSIHPPSKAMDASDQGCRLLGAQRSLHGLLGGGAMADVVLWRRKEVAGWSLAAVAASWVLFYCLPGYTLLSFVSSVLMIVLAVLFVWAKAARLLNRPPPPVPLIKISEDSMSKAAAAVGNILHKALQGFENIAHGKDSSLFYKMASVLLLISIVGRVTDLITLVYACLVLALTIPALVDKYEENIAKFLNQASANIQACGQAWKAYKSKIKN >ORUFI01G40810.2 pep chromosome:OR_W1943:1:33730807:33734712:-1 gene:ORUFI01G40810 transcript:ORUFI01G40810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSLADVVLWRRKEVAGWSLAAVAASWVLFYCLPGYTLLSFVSSVLMIVLAVLFVWAKAARLLNRPPPPVPLIKISEDSMSKAAAAVGNILHKALQGFENIAHGKDSSLFYKMASVLLLISIVGRVTDLITLVYACLVLALTIPALVDKYEENIAKFLNQASANIQACGQAWKAYKSKIKN >ORUFI01G40820.1 pep chromosome:OR_W1943:1:33734932:33739034:-1 gene:ORUFI01G40820 transcript:ORUFI01G40820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAASNGGLLYHEVQEGKLCAVHCVNTTLQGPFFSEFDLSALAVDLDQRERQVMSEGAAGAATTAAGDFLAEGEGSHNVSLGGDFSIQVLQKALEVWDLQVIPLDSPDAGSCLFDPELETAFICHLQDHWFCIRKECPMATEGSNGFGQWLTPDDARRITSSCNQVQTPTQQAGVSLVADQSEEMSEMDMIAAQQEEADLNAAIAASLMDTGGPFANYAAHEESRSQDAFAIESTSGEMSKDGNLEEQGANKSETSEPNSDNIESASGSNPKQNTPSLEGKESIKED >ORUFI01G40820.2 pep chromosome:OR_W1943:1:33734932:33739034:-1 gene:ORUFI01G40820 transcript:ORUFI01G40820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAASNGGLLYHEVQEGKLCAVHCVNTTLQGPFFSEFDLSALAVDLDQRERQVMSEGAAGAATTAAGDFLAEGEGSHNVSLGGDFSIQVLQKALEVWDLQVIPLDSPDAGSCLFDPELETAFICHLQDHWFCIRKVNGEWYNFNSLYPAPEHLSKFYLSAFIDTLKGSGWSIFAVRGNFPKECPMATEGSNGFGQWLTPDDARRITSSCNQVQTPTQQAGVSLVADQSEEMSEMDMIAAQQEEADLNAAIAASLMDTGGPFANYAAHEESRSQDAFAIESTSGEMSKDGNLEEQGANKSETSEPNSDNIESASGSNPKQNTPSLEGKESIKED >ORUFI01G40830.1 pep chromosome:OR_W1943:1:33745798:33746604:1 gene:ORUFI01G40830 transcript:ORUFI01G40830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDDDALYARCLVTLYLISPITVFLLRFVSAPYGKLSRPGWGPAVPAALAWFLMESPTMCLPPLVLSAAASSSALRAAALLPAALYALHYVNRTLVHPLRLLRLRRAPAPVPILVAAFAFGFNLLNAYVQARSWALDAAAPHSTATATATPAAVARCLVGLALFAWGMRTNIAADKALLRLKEAGKGYQIPRGGLFDVVTCPNYFGEAVEWLGYALVAWTPAAWAFFLYTCSNLGPRARDHRRWYVGKFGDKYPASRKAFVPYIY >ORUFI01G40840.1 pep chromosome:OR_W1943:1:33748928:33754703:-1 gene:ORUFI01G40840 transcript:ORUFI01G40840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESNGAACGAAEKVKPAASPASEEPAAIAGNISFHAQYSPHFSPLAFGPEQAFYSTAESVRDHLVQRWNETYLHFHKTDPKQTYYLSMEYLQGRALTNAVGNLGITGAYAEAVKKFGYELEALVGQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQCITKEGQEEIAEDWLEKFSPWEIVRHDIVYPIRFFGHVEILPDGSRKWVGGEVLNALAYDVPIPGYKTKNAISLRLWDAKASAEDFNLFQFNDGQYESAAQLHARAQQICAVLYPGDATEEGKLLRLKQQYFLCSASLQDIFFRFKERKADRVSGKWSEFPAKVAVQLNDTHPTLAIPELMRLLMDVEGLGWDEAWDITNKTIAYTNHTVLPEALEKWSQIVMRKLLPRHMEIIEEIDKRFKEMVISTRKEMEGKIDSMRILDNSNPQKPVVRMANLCVVSAHTVNGVAELHSNILKEELFADYLSIWPNKFQNKTNGITPRRWLRFCNPELSEIVTKWLKTDQWTSNLDLLTGLRKFADDEKLHAEWASAKLASKKRLAKHVLDVTGVTIDPNSLFDIQIKRIHEYKRQLLNILGAVYRYKKLKGMSAEERQKVTPRTVMIGGKAFATYTNAKRIVKLVNDVGAVVNNDPDVNKYLKVVFIPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFSLNGCVIIGTLDGANVEIREEVGQENFFLFGAKADQVAGLRKDRENGLFKPDPRFEEAKQLIRSGAFGTYDYAPLLDSLEGNSGFGRGDYFLVGYDFPSYIDAQAQVDEAYKDKKKWIKMSILNTAGSGKFSSDRTIAQYAKEIWGITASPVS >ORUFI01G40850.1 pep chromosome:OR_W1943:1:33762331:33765352:-1 gene:ORUFI01G40850 transcript:ORUFI01G40850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGRRGASSTSQGRESTSFNHEFQSDPGFSWTSTYAARSPPKVSKNGKSSRSFWEGDAQAVLLLVNPKSRKDMSSPQTMAMIFLLVQWFSVVSRISSASSTSTNTSRQRGDDSQKKSWQEQCSFQEICMATSNFSEQNRIGLGNFGTVYKGKLRDGSIIAVKRATKNMYDRHLSEEFRSEIQTLSKVEHLNLVKFLGYLEHEDERLILVEYVNNGSLREHLDGLRGEPLEFSQRLNIAIDIVHAVSYLHGYTDHPIIHRDIKSSNILLTDQLRAKVADFGFARLAPDNTEATHVSTMVKGTAGYVDPEYMRTNQLTDRSDVYSFGVLLVELLTGRRPIERGRGRHQRLTTQWALRKCRDGDAVVAMDARMRRTSAVVAAMEKVMALAAECTAPDRAARPAMRRCAEVLWSIRRDFQHEQQRATAAAAAGARGKRHDGSTYGPSITSLKE >ORUFI01G40850.2 pep chromosome:OR_W1943:1:33762331:33765352:-1 gene:ORUFI01G40850 transcript:ORUFI01G40850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGRRGASSTSQGRESTSFNHEFQSDPGFSWTSTYAARSPPKVSKNGKSSRSFWEVVDTITGGCTSCFAPRQSKIKEGHVKPSNDGHDISISSIVSRISSASSTSTNTSRQRGDDSQKKSWQEQCSFQEICMATSNFSEQNRIGLGNFGTVYKGKLRDGSIIAVKRATKNMYDRHLSEEFRSEIQTLSKVEHLNLVKFLGYLEHEDERLILVEYVNNGSLREHLDGLRGEPLEFSQRLNIAIDIVHAVSYLHGYTDHPIIHRDIKSSNILLTDQLRAKVADFGFARLAPDNTEATHVSTMVKGTAGYVDPEYMRTNQLTDRSDVYSFGVLLVELLTGRRPIERGRGRHQRLTTQWALRKCRDGDAVVAMDARMRRTSAVVAAMEKVMALAAECTAPDRAARPAMRRCAEVLWSIRRDFQHEQQRATAAAAAGARGKRHDGSTYGPSITSLKE >ORUFI01G40860.1 pep chromosome:OR_W1943:1:33766667:33769590:-1 gene:ORUFI01G40860 transcript:ORUFI01G40860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGQLLPLARSLLPLSAPPFVSGRRRRLPTLVLGRALPPPTWLPHGRLSPAHPLPFAPPRRLSRPPPPATSLPGASPGGGAEAQAVLAEFVTSERVKVAAMLGLALALCNADRVVMSVAIVPLSQAYGWTPSFAGVVQSSFLWGYLVSPIIGGALVDYYGGKRVMAYGVALWSLATFLSPWAAARSLWLFLSTRVLLGMAEGVALPSMNNMVLRWFPRTERSSAVGIAMAGFQLGNTIGLLLSPIIMSRAGIFGPFVIFGLFGFLWVLVWISAISGTPGENAQISAHELDYITRGQKLVKTQSGGERLRKVPPFSKLLSKCPTWALISANAMHSWGYFVILSWMPVYFKTIYHVNLREAAWFSALPWVMMAVLGYVAGVVSDRLIQNGTSITLTRKIMQTIGFVGPGVALLGLNAAKSPVIASAWLTIAVGLKSFGHSGFLVNLQEIAPQYAGVLHGMSNTAGTFAAILGTVGAGFFVDRMGSFRGFLILTSLLYFSSTLFWDIFATGERVDFDGTG >ORUFI01G40860.2 pep chromosome:OR_W1943:1:33766667:33774067:-1 gene:ORUFI01G40860 transcript:ORUFI01G40860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSTRPRVNRAPLHRLRQPKTTTNRQASHLLSHVKNATDSAVRSWSSFLWGYLVSPIIGGALVDYYGGKRVMAYGVALWSLATFLSPWAAARSLWLFLSTRVLLGMAEGVALPSMNNMVLRWFPRTERSSAVGIAMAGFQLGNTIGLLLSPIIMSRAGIFGPFVIFGLFGFLWVLVWISAISGTPGENAQISAHELDYITRGQKLVKTQSGGERLRKVPPFSKLLSKCPTWALISANAMHSWGYFVILSWMPVYFKTIYHVNLREAAWFSALPWVMMAVLGYVAGVVSDRLIQNGTSITLTRKIMQTIGFVGPGVALLGLNAAKSPVIASAWLTIAVGLKSFGHSGFLVNLQEIAPQYAGVLHGMSNTAGTFAAILGTVGAGFFVDRMGSFRGFLILTSLLYFSSTLFWDIFATGERVDFDGTG >ORUFI01G40860.3 pep chromosome:OR_W1943:1:33766667:33769590:-1 gene:ORUFI01G40860 transcript:ORUFI01G40860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGQLLPLARSLLPLSAPPFVSGRRRRLPTLVLGRALPPPTWLPHGRLSPAHPLPFAPPRRLSRPPPPATSLPGASPGGGAEAQAVLAEFVTSERVKVAAMLGLALALCNADRVVMSVAIVPLSQAYGWTPSFAGVVQSSFLWGYLVSPIIGGALVDYYGGKRVMAYGVALWSLATFLSPWAAARSLWLFLSTRVLLGMAEGVALPSMNNMVLRWFPRTERSSAVGIAMAGFQLGNTIGLLLSPIIMSRAGIFGPFVIFGLFGFLWVLVWISAISGTPGENAQISAHELDYITRGQKLVKTQSGGERLRKVPPFSKLLSKCPTWALISANAMHSWGYFVILSWMPVYFKTIYHVNLREAAWFSALPWVMMAVLGYVAGVVSDRLIQNGTSITLTRKIMQTIGFVGPGVALLGLNAAKSPVIASAWLTIAVGLKSFGHSGMSNTAGTFAAILGTVGAGFFVDRMGSFRGFLILTSLLYFSSTLFWDIFATGERVDFDGTG >ORUFI01G40860.4 pep chromosome:OR_W1943:1:33770515:33774067:-1 gene:ORUFI01G40860 transcript:ORUFI01G40860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSTRPRVNRAPLHRLRQPKTTTNRQASHLLSHVKNATDSAVRSWTELCTTHKFRYHQRNLL >ORUFI01G40870.1 pep chromosome:OR_W1943:1:33769999:33770343:1 gene:ORUFI01G40870 transcript:ORUFI01G40870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPAAADTAETDQADLKLVKAEPGTGPGLITITVTSQTFADVYFAIKPRVKLRRVMDLYCGKHSLDPKTVKFIDDDGRFVRSEQTPEEVGLQDGSTISLAIDQQGGACICEN >ORUFI01G40880.1 pep chromosome:OR_W1943:1:33775061:33777172:-1 gene:ORUFI01G40880 transcript:ORUFI01G40880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLQLDPPVVPARRSAATSCDLHPDETFTGFCTACLRERLAGLEASAAAASAPGRKSTSAIRSLFARPFGGGAGGSSVAGAGASLPDLRRCKSFSCGRGGDALAAAAAAAAAGTARADEPQRRSCDVRGHSTLWSLFHQDDRGRVPSSSSAADIAPPHQQPPPPPPRPFIPDDFLDEDIPVVMEHDEIMPVVEPVIVVDTSGEIETEPNVVAREGKAMKDHMDFESSQPKKPPTKDLKESFWVAASVFSKKWQKWRRKQKLKKEAAVSKAAAAAMPPPEKPSKPSFLRRRRLRGEAGSELAGGRRSCDTDPRFSLDAGRMSVDDAGFSWDEPRASWDGYLFGAGAGIGLGRAPPPLSRLPPMMSVLEDTPATIVERSDGQIPVEDDADIDPPGGSLQTRDYYLDSSTRRRRSLERSSSVRRPSFEVTEPKPAPTTIANGKESPLGGSEFYHFHHAEDLLDRGFSSNSLIEDISASLEAALSGPGSAKKPRRWRKAWSLWGFIHRRASGRRSGGPSDIADRSFSEAWPDLRVRGYNPKMQRCNSNLSARSSFSSNSGGLGSSRRSHVDVNGSSARRREEHVLERNRSARYSPPGRVDNGMLRFYLTPMRSGGGGGAY >ORUFI01G40890.1 pep chromosome:OR_W1943:1:33789124:33798526:-1 gene:ORUFI01G40890 transcript:ORUFI01G40890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMYQQQLVEDPFPLSGGYCSDQPRHAPASASTSTSTSSSAAVAASPLAQAHGAGEPRRLFEAFMGEILLPLRGEGGANGGGVGRGPGGVGDLGELLRWTREVAANPVAARPVPAEVKARKRQVLALRRARYLRMEDVANADELPSFFKKRKYRSHNNHAERQKGKGSMNMPTRKSERLAKRMKLMTSVLLTQRKKIGVGEHFQAEVPDWTEPPSDELARYKNDPNISKMLGTRIWPPEGQVLQTDKKIAGQGRMESCNCSYPGSFFCRQHHTDAARDQLRCELGRAFTEWRFDSMGEEVSKMWTREEQLKFNALERLVPVMDHKTFWAVASKHLASKTRIELVRYYLNVFLMRRVLSQCRLNLLEIDSDEDETEEEEDEDQSESTSTSQRTQHAQNVKSIS >ORUFI01G40890.2 pep chromosome:OR_W1943:1:33789126:33798526:-1 gene:ORUFI01G40890 transcript:ORUFI01G40890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMYQQQLVEDPFPLSGGYCSDQPRHAPASASTSTSTSSSAAVAASPLAQAHGAGEPRRLFEAFMGEILLPLRGEGGANGGGVGRGPGGVGDLGELLRWTREVAANPVAARPVPAEVKARKRQVLALRRARYLRMEDVANADELPSFFKKRKYRSHNNHAERQKGKGSMNMPTRKSERLAKRMKLMTSVLLTQRKKIGVGEHFQAEVPDWTEPPSDELARYKNDPNISKMLGTRIWPPEGQVLQTDKKIAGQGRMESCNCSYPGSFFCRQHHTDAARDQLRCELGRAFTEWRFDSMGEEVSKMWTREEQLKFNALERLVPVMDHKTFWAVASKHLASKTRIELVRYYLNVFLMRRVLSQCRLNLLEIDSDEDETEEEEDEDQSESTSTSQRTQHAQNVKSIS >ORUFI01G40900.1 pep chromosome:OR_W1943:1:33796337:33797176:1 gene:ORUFI01G40900 transcript:ORUFI01G40900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARCNELNKGYWRGATVFVQSTTSWSFLGPLTCRRHQKKIDINRIEEFQRNYGGFEPNAAKFMCLVVSDVQYSTHSHLETQALEINLSRIGGKVEEAKRPRASDGPDKDASDLMGFALDHGRR >ORUFI01G40910.1 pep chromosome:OR_W1943:1:33798847:33805609:1 gene:ORUFI01G40910 transcript:ORUFI01G40910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLALWYNITMPSANLLAQAKSLIPNSTLASTISHRLAAKSSFSPGQGVQQPSANSAKIYKSPPASALAQPPQLAAGPTTPDPPPIHRLLELIKSEPDATAALAHLELLVSTRPAFPPPQPLLFHLLRRLATSSPSHLPRLLNLLPRLRHRPRFSESAALVVLSAFSRAIMPDAALDAFRALPSILGCNPGIRSHNALLDAFVRARRFSDADAFFASLSHGAFGRRIAPNLQTYNIVLRSLCARGDLDRAVTLFDSLRRRQVAPDRITYSTLMCGLAKQDRLDHALDLLDEMPRSRVQPDVVCYNALLGGCFKAGEFEKVMRVWDKLVKDPGARPNLATYNVMLDGLCKFGRFKEVGEVWERMVANNLQPDVITYGILIHGLCRSGDVDGAARVYSEIIKTGLVIDAAMYNSLVKGFCQAGRVQEAWKFWDSAGFAGLRNLRTYNIMIKGLFDSGMVDEAIELWDLLEKDVACIPDTVTFGTLIHGLCQNGFANKAFTIFEEARVSGKQLDVFSYSSMINGLCNVGRLVDAVKVYEKMDKDGCKPNSHIYNALISGFCQVYRTSDAVRIYSKMADNGCSPTVITYNTLIDGLCKAEKYQEASSVAREMVENGFTPDITTYGSLIRGLFSDKKIDDALSIWKQILYKGLKVDVMMHNILIHGLCSAGKVDEALHVFSDMKEKKNCPPNLVTYNTLMDGLYETGYIDKAATLWTSITEDGLEPDIISYNTRIKGLCSCDRIHEGIQLLDEVLSRGIIPTVITWNILVRAVIKYGPIQLLVMRYPARR >ORUFI01G40910.2 pep chromosome:OR_W1943:1:33799930:33808105:1 gene:ORUFI01G40910 transcript:ORUFI01G40910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAALDAFRALPSILGCNPGIRSHNALLDAFVRARRFSDADAFFASLSHGAFGRRIAPNLQTYNIVLRSLCARGDLDRAVTLFDSLRRRQVAPDRITYSTLMCGLAKQDRLDHALDLLDEMPRSRVQPDVVCYNALLGGCFKAGEFEKVMRVWDKLVKDPGARPNLATYNVMLDGLCKFGRFKEVGEVWERMVANNLQPDVITYGILIHGLCRSGDVDGAARVYSEIIKTGLVIDAAMYNSLVKGFCQAGRVQEAWKFWDSAGFAGLRNLRTYNIMIKGLFDSGMVDEAIELWDLLEKDVACIPDTVTFGTLIHGLCQNGFANKAFTIFEEARVSGKQLDVFSYSSMINGLCNVGRLVDAVKVYEKMDKDGCKPNSHIYNALISGFCQVYRTSDAVRIYSKMADNGCSPTVITYNTLIDGLCKAEKYQEASSVAREMVENGFTPDITTYGSLIRGLFSDKKIDDALSIWKQILYKGLKVDVMMHNILIHGLCSAGKVDEALHVFSDMKEKKNCPPNLVTYNTLMDGLYETGYIDKAATLWTSITEDGLEPDIISYNTRIKGLCSCDRIHEGIQLLDEVLSRGIIPTVITWNILVRAVIKYGPIQV >ORUFI01G40910.3 pep chromosome:OR_W1943:1:33798847:33808105:1 gene:ORUFI01G40910 transcript:ORUFI01G40910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLALWYNITMPSANLLAQAKSLIPNSTLASTISHRLAAKSSFSPGQGVQQPSANSAKIYKSPPASALAQPPQLAAGPTTPDPPPIHRLLELIKSEPDATAALAHLELLVSTRPAFPPPQPLLFHLLRRLATSSPSHLPRLLNLLPRLRHRPRFSESAALVVLSAFSRAIMPDAALDAFRALPSILGCNPGIRSHNALLDAFVRARRFSDADAFFASLSHGAFGRRIAPNLQTYNIVLRSLCARGDLDRAVTLFDSLRRRQVAPDRITYSTLMCGLAKQDRLDHALDLLDEMPRSRVQPDVVCYNALLGGCFKAGEFEKVMRVWDKLVKDPGARPNLATYNVMLDGLCKFGRFKEVGEVWERMVANNLQPDVITYGILIHGLCRSGDVDGAARVYSEIIKTGLVIDAAMYNSLVKGFCQAGRVQEAWKFWDSAGFAGLRNLRTYNIMIKGLFDSGMVDEAIELWDLLEKDVACIPDTVTFGTLIHGLCQNGFANKAFTIFEEARVSGKQLDVFSYSSMINGLCNVGRLVDAVKVYEKMDKDGCKPNSHIYNALISGFCQVYRTSDAVRIYSKMADNGCSPTVITYNTLIDGLCKAEKYQEASSVAREMVENGFTPDITTYGSLIRGLFSDKKIDDALSIWKQILYKGLKVDVMMHNILIHGLCSAGKVDEALHVFSDMKEKKNCPPNLVTYNTLMDGLYETGYIDKAATLWTSITEDGLEPDIISYNTRIKGLCSCDRIHEGIQLLDEVLSRGIIPTVITWNILVRAVIKYGPIQIELGVRILRKLGQEGLHVHRALKELLPTDTGESTALAYPRRQGEGQGAGIRRMTATVTPLLSPVAEMRAFLVSCRI >ORUFI01G40910.4 pep chromosome:OR_W1943:1:33798847:33805609:1 gene:ORUFI01G40910 transcript:ORUFI01G40910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLALWYNITMPSANLLAQAKSLIPNSTLASTISHRLAAKSSFSPGQGVQQPSANSAKIYKSPPASALAQPPQLAAGPTTPDPPPIHRLLELIKSEPDATAALAHLELLVSTRPAFPPPQPLLFHLLRRLATSSPSHLPRLLNLLPRLRHRPRFSESAALVVLSAFSRAIMPDAALDAFRALPSILGCNPGIRSHNALLDAFVRARRFSDADAFFASLSHGAFGRRIAPNLQTYNIVLRSLCARGDLDRAVTLFDSLRRRQVAPDRITYSTLMCGLAKQDRLDHALDLLDEMPRSRVQPDVVCYNALLGGCFKAGEFEKVMRVWDKLVKDPGARPNLATYNVMLDGLCKFGRFKEVGEVWERMVANNLQPDVITYGILIHGLCRSGDVDGAARVYSEIIKTGLVIDAAMYNSLVKGFCQAGRVQEAWKFWDSAGFAGLRNLRTYNIMIKGLFDSGMVDEAIELWDLLEKDVACIPDTVTFGTLIHGLCQNGFANKAFTIFEEARVSGKQLDVFSYSSMINGLCNVGRLVDAVKVYEKMDKDGCKPNSHIYNALISGFCQVYRTSDAVRIYSKMADNGCSPTVITYNTLIDGLCKAEKYQEASSVAREMVENGFTPDITTYGSLIRGLFSDKKIDDALSIWKQILYKGLKVDVMMHNILIHGLCSAGKVDEALHVFSDMKEKKNCPPNLVTYNTLMDGLYETGYIDKAATLWTSITEDGLEPDIISYNTRIKGLCSCDRIHEGIQLLDEVLSRGIIPTVITWNILVRAVIKYGPIQLLVMRYPARR >ORUFI01G40910.5 pep chromosome:OR_W1943:1:33799930:33808105:1 gene:ORUFI01G40910 transcript:ORUFI01G40910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAALDAFRALPSILGCNPGIRSHNALLDAFVRARRFSDADAFFASLSHGAFGRRIAPNLQTYNIVLRSLCARGDLDRAVTLFDSLRRRQVAPDRITYSTLMCGLAKQDRLDHALDLLDEMPRSRVQPDVVCYNALLGGCFKAGEFEKVMRVWDKLVKDPGARPNLATYNVMLDGLCKFGRFKEVGEVWERMVANNLQPDVITYGILIHGLCRSGDVDGAARVYSEIIKTGLVIDAAMYNSLVKGFCQAGRVQEAWKFWDSAGFAGLRNLRTYNIMIKGLFDSGMVDEAIELWDLLEKDVACIPDTVTFGTLIHGLCQNGFANKAFTIFEEARVSGKQLDVFSYSSMINGLCNVGRLVDAVKVYEKMDKDGCKPNSHIYNALISGFCQVYRTSDAVRIYSKMADNGCSPTVITYNTLIDGLCKAEKYQEASSVAREMVENGFTPDITTYGSLIRGLFSDKKIDDALSIWKQILYKGLKVDVMMHNILIHGLCSAGKVDEALHVFSDMKEKKNCPPNLVTYNTLMDGLYETGYIDKAATLWTSITEDGLEPDIISYNTRIKGLCSCDRIHEGIQLLDEVLSRGIIPTVITWNILVRAVIKYGPIQV >ORUFI01G40910.6 pep chromosome:OR_W1943:1:33798847:33808105:1 gene:ORUFI01G40910 transcript:ORUFI01G40910.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLALWYNITMPSANLLAQAKSLIPNSTLASTISHRLAAKSSFSPGQGVQQPSANSAKIYKSPPASALAQPPQLAAGPTTPDPPPIHRLLELIKSEPDATAALAHLELLVSTRPAFPPPQPLLFHLLRRLATSSPSHLPRLLNLLPRLRHRPRFSESAALVVLSAFSRAIMPDAALDAFRALPSILGCNPGIRSHNALLDAFVRARRFSDADAFFASLSHGAFGRRIAPNLQTYNIVLRSLCARGDLDRAVTLFDSLRRRQVAPDRITYSTLMCGLAKQDRLDHALDLLDEMPRSRVQPDVVCYNALLGGCFKAGEFEKVMRVWDKLVKDPGARPNLATYNVMLDGLCKFGRFKEVGEVWERMVANNLQPDVITYGILIHGLCRSGDVDGAARVYSEIIKTGLVIDAAMYNSLVKGFCQAGRVQEAWKFWDSAGFAGLRNLRTYNIMIKGLFDSGMVDEAIELWDLLEKDVACIPDTVTFGTLIHGLCQNGFANKAFTIFEEARVSGKQLDVFSYSSMINGLCNVGRLVDAVKVYEKMDKDGCKPNSHIYNALISGFCQVYRTSDAVRIYSKMADNGCSPTVITYNTLIDGLCKAEKYQEASSVAREMVENGFTPDITTYGSLIRGLFSDKKIDDALSIWKQILYKGLKVDVMMHNILIHGLCSAGKVDEALHVFSDMKEKKNCPPNLVTYNTLMDGLYETGYIDKAATLWTSITEDGLEPDIISYNTRIKGLCSCDRIHEGIQLLDEVLSRGIIPTVITWNILVRAVIKYGPIQEGLHVHRALKELLPTDTGESTALAYPRRQGEGQGAGIRRMTATVTPLLSPVAEMRAFLVSCRI >ORUFI01G40920.1 pep chromosome:OR_W1943:1:33803831:33804686:-1 gene:ORUFI01G40920 transcript:ORUFI01G40920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLHTIRMSIPSLHRSSPYRCALLKRKRSCIRACSSEDDGSDASSSLGGDKRQQEVLAKIAMLQAQKVRITSFLDERSAYLTKFAKDADTEFDLIGQNAMKELDEVGDQIMERLDSKMQAFEETAEVQRQEIEMNERVLEDFEDWIEKEKNEGMFFKSLGKVKPRNKKELTVKPIPKLEAQKVKDIAKESAGSKTRMNIYLGLMAILGLTIANAVFATPEVEWRKVAALGLIFIGLVAQVIYEQDISSPEAEKKGGKEE >ORUFI01G40930.1 pep chromosome:OR_W1943:1:33814633:33818778:1 gene:ORUFI01G40930 transcript:ORUFI01G40930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYETARAEPASNNKQRPISGETAAGSSRSGPDPMGGEVPEPRRLNRALSFDDYWVPDEALHLVMGHVEDPRDREAASRVCRRWHRIDALTRKHVTVAFCYAARPARLRERFPRLESLSLKGKPRAAMYGLIPDDWGAYAAPWIDELAAPLECLKALHLRRMTVTDADIAALVRARGHMLQELKLDKCIGFSTDALRLVARSCRSLRTLFLEECHITDKGGEWLHELAVNNSVLVTLNFYMTELKVAPADLELLAKNCKSLISLKMSECDLSDLISFFQTANALQDFAGGAFYEVGELTKYEKVKFPPRLCFLGLTYMGTNEMPVIFPFSMKLKKLDLQYTFLTTEDHCQIIAKCPNLLILEVRNVIGDRGLEVVGDTCKKLRRLRIERGDDDPGLQEEQGGVSQLGLTAVAVGCRELEYIAAYVSDITNGALESIGTFCKNLYDFRLVLLDRERQVTDLPLDNGVCALLRNCTKLRRFALYLRPGGLSDDGLSYIGQYSGNIQYMLLGNVGESDHGLIRFAVGCTNLQKLELRSCCFSERALSLAVLQMPSLRYIWVQGYRASQTGLDLLLMARPFWNIEFTPPSPESFNHMTEDGEPCVDSHAQVLAYYSLAGRRSDCPQWVIPLHPA >ORUFI01G40930.2 pep chromosome:OR_W1943:1:33814877:33818778:1 gene:ORUFI01G40930 transcript:ORUFI01G40930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVPEPRRLNRALSFDDYWVPDEALHLVMGHVEDPRDREAASRVCRRWHRIDALTRKHVTVAFCYAARPARLRERFPRLESLSLKGKPRAAMYGLIPDDWGAYAAPWIDELAAPLECLKALHLRRMTVTDADIAALVRARGHMLQELKLDKCIGFSTDALRLVARSCRSLRTLFLEECHITDKGGEWLHELAVNNSVLVTLNFYMTELKVAPADLELLAKNCKSLISLKMSECDLSDLISFFQTANALQDFAGGAFYEVGELTKYEKVKFPPRLCFLGLTYMGTNEMPVIFPFSMKLKKLDLQYTFLTTEDHCQIIAKCPNLLILEVRNVIGDRGLEVVGDTCKKLRRLRIERGDDDPGLQEEQGGVSQLGLTAVAVGCRELEYIAAYVSDITNGALESIGTFCKNLYDFRLVLLDRERQVTDLPLDNGVCALLRNCTKLRRFALYLRPGGLSDDGLSYIGQYSGNIQYMLLGNVGESDHGLIRFAVGCTNLQKLELRSCCFSERALSLAVLQMPSLRYIWVQGYRASQTGLDLLLMARPFWNIEFTPPSPESFNHMTEDGEPCVDSHAQVLAYYSLAGRRSDCPQWVIPLHPA >ORUFI01G40940.1 pep chromosome:OR_W1943:1:33825566:33829784:1 gene:ORUFI01G40940 transcript:ORUFI01G40940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPIVPKGMPIVRRTYILAAGLLLGIRAVGITDYLEITIPLAYLSVAWLQPVVSIASEHGNAECSNTTKETSFQSGMSRICSATSIIQWNKQMSSMRCQYILARLGGPGRESGLESGISALHLLFLNYIVHLQNLNICTKARADMTPQKRDGVDDAFTLPAVPNGRANAGKERTTASTGTFLHLARTKVAGAQHKAAVAPVPLYTHPVPRDGHRCHLPGRRRAKRILK >ORUFI01G40940.2 pep chromosome:OR_W1943:1:33825233:33830675:1 gene:ORUFI01G40940 transcript:ORUFI01G40940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCVREAVEDVLAFPAPVWRKWLQASGSISLANSAGPIVPKGMPIVRRTYILAAGLLLGIRAVGITDYLEITIPLAYLSVAWLQPVVSIASEHGNAECSNTTKETSFQSGMSRICSATSIIQWNKQMSSMRCQYILNLNICTKARADMTPQKRDGVDDAFTLPAVPNGRANAGKERTTASTGTFLHLARTKVAGAQHKAAVAPVPLYTHPVPRDGHRCHLPGRRRAKRILK >ORUFI01G40940.3 pep chromosome:OR_W1943:1:33825541:33830675:1 gene:ORUFI01G40940 transcript:ORUFI01G40940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAILIDWLQASGSISLANSAGPIVPKGMPIVRRTYILAAGLLLGIRAVGITDYLEITIPLAYLSVAWLQPVVSIASEHGNAECSNTTKETSFQSGMSRICSATSIIQWNKQMSSMRCQYILNLNICTKARADMTPQKRDGVDDAFTLPAVPNGRANAGKERTTASTGTFLHLARTKVAGAQHKAAVAPVPLYTHPVPRDGHRCHLPGRRRAKRILK >ORUFI01G40950.1 pep chromosome:OR_W1943:1:33831957:33832972:-1 gene:ORUFI01G40950 transcript:ORUFI01G40950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEALREVLPLPYFPGQPCWYLQERRGAEAWSAEENKVFERALAQVDLDSPNRWEMVAAMLPRKTVIDVVNHYRDLENDVGSIEAGLVPFPHYSSSLSPASSGFTLQDWDGSDGGFRRGCYLKRGRAPDQERKKGVPWTEEEHKSFLMGLKKYGRGDWRNISRYFVTSRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPEEDTSNPSPSPPSVLTTASDQLGSLVDTKPVPPPPSLGAQRHFMSPLPGALGVSHHPYGNVKLEPNASFLAGGGTGPGLDDAILLQMQCGHL >ORUFI01G40960.1 pep chromosome:OR_W1943:1:33839116:33840099:1 gene:ORUFI01G40960 transcript:ORUFI01G40960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSSSPLHPQHQHQHPLPPHPQPQYQSPLPPMPPPPPAAPLKAIDLEVTVVSGKHLKNVNWRRGDLRAYVVAYLDPSRRAATRPDDVGGCKPAWNERVVLPLPPHLSPHDPSLLLSLDVFHSKPSDSPKPLVGSARSPLRDLLFSTNPNPSPDSPASALITLPLLRPSGRPQGKLRIRLALRERSPPPPEPQYPPPSSSPYYFPPPPPPAYSAPPPPQYGSEQYYRSGGYYSAPPPPPQYEYTAGPSAPVEYNRQYDPRAGSGSGNGRYGVGTGIAVGTVTGALGGLAIDEGVKYKEEKSADRVEDKVVPAGRDDDSRGYREY >ORUFI01G40970.1 pep chromosome:OR_W1943:1:33862420:33863871:1 gene:ORUFI01G40970 transcript:ORUFI01G40970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLSAVAMVGAASAASPIVTKSLVGVDAPASGITVVSRQDVRPDGASAVGDLTLSVSDLPMLSCHYIQKGLFFPAPDVPMASLVSLLMSSLSRALAIFPTLAGRLVTLPDDRVVIRCNDAGVEFRHAVAPNLSLDDFIVPDADVPTKLTKDLFPMDRTVSYDGHRRPLTSFQVTVLGDGAVFIGIVANHAVVDGTSFWHFFNTWAALCRGASPKLPDFRRSFFGESTAVLRFPGGVGPAVTFDADAPLRERVFHFSADAIRELKAITNRRPSGGQDAEVYGKMAHDRKNPEGLSAISSFQSLCAQIWLSVTRARQRLAPDATSTFRMAVNCRHRLRPAISPVYFGNAIQSAATTATVAELASNDLRWAAAKLNASLAAYDDGAIRRAAAAWQGAPRCFPLGNPDGAVITMGSSNRFPMYEGNDFGWGRPLAVRSGRANKFDGKMSAFPARAGDGSVDIEVCLAPDTMAALLRDSEFMQFVS >ORUFI01G40980.1 pep chromosome:OR_W1943:1:33864449:33865840:-1 gene:ORUFI01G40980 transcript:ORUFI01G40980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGSPLLPPSAQALLSSGPSGGGGAERGDDDERRRLSPPPSAGAGSPLLRAERRRRRGSGTLLSRPATRRWSRSWSSSSSLRLHFRPATRFRRRRRGAERGDDDEVGDAEWEGARRTSGCDSEELWWGARPSATHAQIRAGGAR >ORUFI01G40990.1 pep chromosome:OR_W1943:1:33872040:33873157:-1 gene:ORUFI01G40990 transcript:ORUFI01G40990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVTLAVKKGGGWPEARWGEAEEEEGGAATAAAVAAAVGLHGSQRWDRGDQVFMQRNYHGLEDKSPGCSNFLALVPWTPPQIATASNWSSSDSEKREFFEVPMESDETEVDSMDVEESPEANAAAIDGRMRKSSS >ORUFI01G41000.1 pep chromosome:OR_W1943:1:33876926:33877342:1 gene:ORUFI01G41000 transcript:ORUFI01G41000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKGSECTRITPETTGGGKPTISRQASSWSSRMEPSDPDRRSRLARGACGSRLLRSARWTATRFYRRARASVARAFRPASTKKGPASCAASRSPDCTPARNSSRRHSLAPVVADDSHKSEAVEECIRFMNSSSRKYR >ORUFI01G41010.1 pep chromosome:OR_W1943:1:33882744:33883455:-1 gene:ORUFI01G41010 transcript:ORUFI01G41010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSGRVGVKCGRWNPTAEQVKVLTELFRAGLRTPSTEQIQRISTHLSAFGKVESKNVFYWFQNHKARERHHHKKRRRGASSPDSGSNDDDGRAAAHEGDADLVLQPPESKREARSYGHHHRLMTCYVRDVVETEAMWERPTREVETLELFPLKSYDLEVDKVRYVRGGGGEQCREISFFDVAAGRDPPLELRLCSFGL >ORUFI01G41020.1 pep chromosome:OR_W1943:1:33893042:33894679:1 gene:ORUFI01G41020 transcript:ORUFI01G41020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGGVLQLHPYAMAAAAVALASAYMVWFWALSRRLSGPRMWPLVGSLPSVVLNRARVHDWIADNLRATGDAATYQTCILPLPFLARRQGLVTVTCNPRNLEHILRARFDNYPKGPMWQASFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMARWANRSIKYRLWRILDDHCNAAASVDLQDLLLRLTFDNICGLTFGKDPETLSPGLPENPFANAFDEATEATMQRFLFPSLLWRIKKAFGVGSERSLRDSLAVVDRHMTETIAARKATPSDDLLSRFMKKRDSKGKAFPEDVLQWIALNFVLAGRDTSSVALSWFFWTLMQRRDVERKVVLEIASVLRETRGDDTARWTEEPLNFDELERLVYLKAALTETLRLYPSVPQDSKYVVADDVLPDGTVVPAGSAITYSIYSVGRMESIWGKDCAEFRPERWLSADGSRFEPVKDAYRFVAFNGGPRTCLGKDLAYLQMKSIASAVLLRNSVELVPGYKVEQKMSLTLFMKNGLRVHVKPRDIASYVEPSEPAPPQGSLVIPTTTAAAA >ORUFI01G41030.1 pep chromosome:OR_W1943:1:33898627:33906256:-1 gene:ORUFI01G41030 transcript:ORUFI01G41030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTRRRPTNLHSESGENKHKYATQAVSCELSLQLYTVSASPSHVTTNLGYINSLSRCSTPLPHHQRAPSGRIASCHLLVRSIETPGTTTMVSRRFKPVEECSSDGRSEQTVAADFDGTLVRSRSAFPYYLLVALEAGSVLRAVVLLLSVPFVYVTYIFFSESLAISTLVYISVAGLKVRNIEMVARSVLPKFYAEDVHPESWRVFNSFGKRYIITASPRIMVEHFAKTFLGADKVVGTELEVGKNGKATGFMVKPGVLVGDHKRQAVVKELRDAVPDVGLGDRETDFDFMSICKEAYLVTSRKYSAVPKNQLLSPLILHDGRLVQRPTPLVALVTFLWMPFGFALALLRVYVNLPLPERIVFYTYKLMGIRLIVKGNPPPPPKKGHPGVLFVCNHRTVLDPVEVAVALRRKVSCVTYSISKFSELISPIKAVALSREREKDAENIRRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKESMFHGSTVRGFKLMDPYFFFMNPRPTYEITFLNQLPKELTCSGGKSPIEVANYIQKTLSGQLGFECTAITRKEKYSILAGTDGRVPSKNKEKEKN >ORUFI01G41040.1 pep chromosome:OR_W1943:1:33921243:33924322:1 gene:ORUFI01G41040 transcript:ORUFI01G41040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQVAAVTSSPCAVTTSSSSSLSPSSSAAATTSRRHGVLGGVRLSRGQSSLASWSVGMTRRRAGGHQMARRALSASIDSIGSDGGDDEEFLRRIQELAVGLHPGAAGCGWPASVERSASSVGLPLSLRMLKRKKQQQQQQSRWDERLIDCAGESARGAVGRAFSSMVLIIRELQSFTLQMRQALFYEDLQRVLARVHAEMHASFVWLFQHIFSGTPALMVSVMLLLANFTVYSMGDSVAAAATLPPPQPPAATVAMVDTQHAEQSHSHQRFDHASLKTLSIGRTASVGGNSGGGGKVRPVAGATGDGRSDEWSNRQSGAVLPQDASQGTPGAGAEEAVPVSEAMAVEETEDELVIWKRIADEATRMQASVRAEALMDPDTLGQLVAPVEAKLDTEDTAEFAATEERYERAVSEEPDNSLLLSNFAQFLYTVQRDHDRAEHYFKRAVRAEPADAEAMGRYATFLWKARNDLAAAEETYQEAIAAEPSNSHHAAAYAHFLWNTGGDDTCYPLD >ORUFI01G41050.1 pep chromosome:OR_W1943:1:33941926:33944396:1 gene:ORUFI01G41050 transcript:ORUFI01G41050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSKNKLMAAAGNSFLNQDPTMMGSGMPHMYFASSSHGTGAHYQSPGGAPITMAVPDMGFLVAGIGMAPSSFVMPEGALAASYSAMATVPVGVVVPQQQSSRFGGNNGNPGSFKGAWTRQEDEVLKQMVILHGDRKWATIAKSLPGRIGKQCRERWTNHLRPDIKKDVWTEEDDRMLIEAHKTYGNRWSVIARCLPGRSENAVKNHWNATKRSLKSKRRMKKKSVQVVNSPPGQLSPLEEYIRSQYPSAVETTPLPPAVPAPPSDVIVHGAGSVSAGPTVATQEPTGTNPSEMGIYLGLGNPAGPTTQQLAAMNLNMSLAPDLNAYNDQREGYYLPFVPQGNLHYGMHVPAPPVQQQQQQGISVDQGLHSSCLSLYHPFPGTHPVSLDFGCQSSNHANAGGYYSEAGPSSGSGSGDPDDVDVIQMASRQFLMPSEAEVTLDLTRFK >ORUFI01G41060.1 pep chromosome:OR_W1943:1:33948066:33949490:-1 gene:ORUFI01G41060 transcript:ORUFI01G41060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKLSPVSPVRPEDKQRASSSSSSGAAAAPLRVQDDTAVEEYEQYLRLPELARLWKDRCCPEWADEGLVKPALQALEITFRFISVALSDPRGYASRRELARRLEALAAREVELVAALCEGEQCPPLAELSASKGVLPRERSASEVWKIPGSAAAVVCQVSEASLLPRLAAWDKSETVAARIKYAIESQMQGCVFTLGLGEPNLAGKPVLEYDRVVRPHELHALKAKIAPEPKTGYRNKENEALFTIHQILESWLCAASQLLTRLNNRIEARNWEAAASDCWILERVWKLLADVEDLHLLMDPDDFLRLKSQLAIRAAPGSDASSCFRSRALLHVANATRGLKKRVPCVLGVEVDPNGGPRVQEAAMRLFHSRRRGEGEEAGKVELLQAFQAVEAAVRRFFFAYRQLVAAVMGTAESSTNRALFSPAEEMDPLAQMFLEPPYFPSLDAAKTFLADYWVRRMAGDGDSASSRRS >ORUFI01G41070.1 pep chromosome:OR_W1943:1:33957973:33962228:1 gene:ORUFI01G41070 transcript:ORUFI01G41070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQAWNDQDLPTAWPCRYSYDGRRAVIVTTADDALPGDPYPRSIKRSPKFSTRPFTLSCRSRARVGNPLAGLALAPLSTTAPFFLSPTSRPIPHKQFLPNFPPKSLPPPIPQSLSLSLDADASQRHSERVNGGHGLADGNRHPTKRQSAPHEPSPSRRVTRRVSVHIPPQIPQCRNRWHPEAALGVAQVVKEALHVATVPSCGLVCRDDEQSRVLEFCKGCVEQERSGSLYVCGCPGTGKTLSINKVKESVARWADETGMETPDALSINCTSLAKTHEIFSKILAKFQTRKKATCKLSPLQQLQTMFSHKESAPRRMLLVVVDEMDYLITRDRAVLHDLFMLTTYQFSRCILIGIANAIDLADRFLPKLESLNCKPLVVTFRAYSKDQISDIIKHRLKVLEYDVFEPLALEFCARKVAAASGDMRKALGVCRSAVEVFEARLQESSDQEFGLVTFDHMDIALSKAFKSPVVDSILCLPQHQQMVLCALANTFHHCKKKATTLGELNKSYIEICRSTQVPAVGMLEFSNMCMVLSDQGFMKLGQSKEDKLRRVMLQIDSSDITFAFKDFAFYWIKPT >ORUFI01G41070.2 pep chromosome:OR_W1943:1:33957973:33962228:1 gene:ORUFI01G41070 transcript:ORUFI01G41070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQAWNDQDLPTAWPCRYSYDGRRAVIVTTADDALPGDPYPRSIKRSPKFSTRPFTLSCRSRARVGNPLAGLALAPLSTTAPFFLSPTSRPIPHKQFLPNFPPKSLPPPIPQSLSLSLDADASQRHSERVNGGHGLADGNRHPTKRQSAPHEPSPSRRVTRRVSVGTPKLLSASPRSSRKRLYGDFVAAEKPKWNPRGKSPESHFSRAQSSDWDLTKEFICSADPAQMQVVKEALHVATVPSCGLVCRDDEQSRVLEFCKGCVEQERSGSLYVCGCPGTGKTLSINKVKESVARWADETGMETPDALSINCTSLAKTHEIFSKILAKFQTRKKATCKLSPLQQLQTMFSHKESAPRRMLLVVVDEMDYLITRDRAVLHDLFMLTTYQFSRCILIGIANAIDLADRFLPKLESLNCKPLVVTFRAYSKDQISDIIKHRLKVLEYDVFEPLALEFCARKVAAASGDMRKALGVCRSAVEVFEARLQESSDQEFGLVTFDHMDIALSKAFKSPVVDSILCLPQHQQMVLCALANTFHHCKKKATTLGELNKSYIEICRSTQVPAVGMLEFSNMCMVLSDQGFMKLGQSKEDKLRRVMLQIDSSDITFAFKDFAFYWIKPT >ORUFI01G41080.1 pep chromosome:OR_W1943:1:34001725:34013283:1 gene:ORUFI01G41080 transcript:ORUFI01G41080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPREQAEEAIVADSNGKEEEVGVMGVSAGEHGADDHHGGGGKFSMKNLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVLLQLFYGFMGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALLNGQAEGITHTGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPAKFKYIYLLATLYVFTLTLPSASAMYWAFGDELLTHSNAFSLLPKTGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHILTYRTASARMNAAEKPPFFLPSWTGMFVLNMFIVVWVLVVGFGLGGWASMVNFIRQIDTFGLFAKCYQCPKPAPALAQSPVPLPHH >ORUFI01G41080.2 pep chromosome:OR_W1943:1:34001725:34004031:1 gene:ORUFI01G41080 transcript:ORUFI01G41080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPREQAEEAIVADSNGKEEEVGVMGVSAGEHGADDHHGGGGKFSMKNLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVLLQLFYGFMGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALLNGQAEGITHTGPTKLVLYFTGATNILYTFGGHAVTV >ORUFI01G41080.3 pep chromosome:OR_W1943:1:34003326:34013283:1 gene:ORUFI01G41080 transcript:ORUFI01G41080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYTAWYLAIAALLNGQAEGITHTGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPAKFKYIYLLATLYVFTLTLPSASAMYWAFGDELLTHSNAFSLLPKTGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHILTYRTASARMNAAEKPPFFLPSWTGMFVLNMFIVVWVLVVGFGLGGWASMVNFIRQIDTFGLFAKCYQCPKPAPALAQSPVPLPHH >ORUFI01G41080.4 pep chromosome:OR_W1943:1:34003436:34013283:1 gene:ORUFI01G41080 transcript:ORUFI01G41080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYTAWYLAIAALLNGQAEGITHTGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPAKFKYIYLLATLYVFTLTLPSASAMYWAFGDELLTHSNAFSLLPKTGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHILTYRTASARMNAAEKPPFFLPSWTGMFVLNMFIVVWVLVVGFGLGGWASMVNFIRQIDTFGLFAKCYQCPKPAPALAQSPVPLPHH >ORUFI01G41090.1 pep chromosome:OR_W1943:1:34008883:34014836:-1 gene:ORUFI01G41090 transcript:ORUFI01G41090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAERVFQDERLLLREDEAASARDTMFEQSETVENELQHMTEQVKSIIQTLNATQGAEFETADSMTPFDVAVRILDNQLRSLMWIDEKANEFSSRIQRLPNNSAAAERDSGMPRSSVDVLFHLGFHSTASGITMCKMGLEQR >ORUFI01G41090.2 pep chromosome:OR_W1943:1:34009739:34014836:-1 gene:ORUFI01G41090 transcript:ORUFI01G41090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAERVFQDERLLLREDEAASARDTMFEQSETVENELQHMTEQVKSIIQTLNATQGAEFETADSMTPFDVAVRILDNQLRSLMWIDEKANEFSSRIQRLPNNSAAAERDSGMPRFWLS >ORUFI01G41100.1 pep chromosome:OR_W1943:1:34015366:34016874:1 gene:ORUFI01G41100 transcript:ORUFI01G41100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVDALVTVVVVAVVAAADDDDGTTVKLKPPAEEAGAGTENNDPDVAGEAVAADGVDALVAEAEANAGAEAEDEPNGKLREVADELAAALVLENNEGAGAAGEVAKEKPVDGADAGVVGAAELLFVKEKAGAEDAEENKAGAVLAVVVVADDGVKPNDGAEAAVAGDDEKPKDAVVVAVVAGDEAVVVLKSGAEVVDPNSAEPVPAPNPRAGEEAEVVVVLDAAAPVFNPKPKDGVEAAAVAAVVVPDAAEPKPKPVAAPEKRLGAEAADAAAPNRPGAAAAAEVAPKGLGAVAAEEAPPNKLGVVAGEEVAPPKMLGVDAADDAAPNTLGVVAVAGVEDAPKMDGADAAAAVDWPNEKPVDPKPNGDGDEEVAGAAAEAAGADPNREEPKVGAAAERLGRRRGQGRRRRRRRRRRRAERRRRLMGRCRWRRRMGRSRWIPTRRKSRKRRRSPWLRRRERGGGFGGSSFGRFFAWFCRGLVGRAGLRGRGRGGLGVGFLK >ORUFI01G41110.1 pep chromosome:OR_W1943:1:34020502:34020951:1 gene:ORUFI01G41110 transcript:ORUFI01G41110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASSADTDTTGGVEFWRSPERAGWLTKQGEYIKTWRRRWFVLKQGRLFWFKDSGVTRASVPRGVIPVATCLTVKGAEDTLNRQFAFELSTPAETMYFIADSEKEKEEWINSIGRSIVQHSRSVTDAEVVDYDSGRPAASVAADGDK >ORUFI01G41120.1 pep chromosome:OR_W1943:1:34024875:34028070:1 gene:ORUFI01G41120 transcript:ORUFI01G41120.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAVVCRGGGLRAPARRGGSDSSTTRAGGVAASPAPATTARRRPLLVASLGEPLITAQPLSSSLGDGAAVHETLARSDSVIPSLKPSHCVDHSVQVDADEETGSTKTLPPPDDAHDIFYPPVPTKTVHVKFVLQKRCAFGQRFLVVGDVAALGLWNPAKAAALDWSEDHVWTVKKELPAERSIEFKFLLQDRSGHVEWQHGRNRILHVADTSNTLIVCEDWDEAKNQQVSEEIGDADGIFSGSDGVFQEDELQLGEEQETNKGVTVGVDDAKSALVTYIYREMMGANDAIQPQLALDKHHKIPDELSGEANMAAQDGNHTATAAAASGFAGSNGEDAILHKEGDPVENNRLGLASIFFNDMDWTRKALQQLLRSLGFQIGTRKT >ORUFI01G41130.1 pep chromosome:OR_W1943:1:34025806:34035038:-1 gene:ORUFI01G41130 transcript:ORUFI01G41130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRAPPQAAVPGGGAGAAAGGVTMRMFHGDVFLGEAEVFPMKQGAEGSLPFPSNEIRISHLSPTSERCPPLAILQTIAPFSVRCKLQAKLMPPHPSLHRLYLTCFNEYKSAVVVVGDEELHLVAMPSKVEKVPCFWCCSVRSGIYAASVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEMLSRRMDIEDDPVRIAGMSAEIKRYIEDRELLKEFIDTDTVTDNGRIVGTQKEEVLPISGGQERVLRPVIRLPERNAILTRINPEVRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEANLISSHNLAERVVCVKSGSKKCLQNVFKDKGCHPKMAMVIDDRLQVWDEKDQLRVHVVPAYTPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLRKVFELMYENELLDLPYAPDVGDYLVCEDTNFAPNNKDVAPIPEGMSGAEVGKRLNGLAYPRDQKQIPSSTRLSDDDGVALRGIPGGTNIQSNGGSLATTPSLFVTVLQEIGRLCESRVEFRSTVSSGKNMQFSVEVLFSNEKIGIGIGKTRDEAQVLAAEKALQNLESNYLSFMAPVAGVLNKDVNKPPGSGNGFLEDITLSEDISMEEPSGSTLKEQDHSKALDRLSSVISLIRELCLEDQHVVFRDQVRDSGSALNGEYHFQAELGGQILGRGIGLNKDFAKLQAAEEALKTLKTTTDPQIKKHLRPMQQLNFPLYPTQSHTLVGLLFFTQLKLIFLEDAIAS >ORUFI01G41130.2 pep chromosome:OR_W1943:1:34026636:34035011:-1 gene:ORUFI01G41130 transcript:ORUFI01G41130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFHGDVFLGEAEVFPMKQGAEGSLPFPSNEIRISHLSPTSERCPPLAILQTIAPFSVRCKLQAKLMPPHPSLHRLYLTCFNEYKSAVVVVGDEELHLVAMPSKVEKVPCFWCCSVRSGIYAASVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEMLSRRMDIEDDPVRIAGMSAEIKRYIEDRELLKEFIDTDTVTDNGRIVGTQKEEVLPISGGQERVLRPVIRLPERNAILTRINPEVRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEANLISSHNLAERVVCVKSGSKKCLQNVFKDKGCHPKMAMVIDDRLQVWDEKDQLRVHVVPAYTPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLRKVFELMYENELLDLPYAPDVGDYLVCEDTNFAPNNKDVAPIPEGMSGAEVGKRLNGLAYPRDQKQIPSSTRLSDDDGVALRGIPGGTNIQSNGGSLATTPSLFVTVLQEIGRLCESRVEFRSTVSSGKNMQFSVEVLFSNEKIGIGIGKTRDEAQVLAAEKALQNLESNYLSFMAPVAGVLNKDVNKPPGSGNGFLEDITLSEDISMEEPSGSTLKEQDHSKALDRLSSVISLIRELCLEDQHVVFRDQVRDSGSALNGEYHFQAELGGQILGRGIGLNKDFAKLQAAEEALKTLKTTTDPQIKKHLRPMQQLNFPLYPTQRHRETV >ORUFI01G41130.3 pep chromosome:OR_W1943:1:34026636:34035038:-1 gene:ORUFI01G41130 transcript:ORUFI01G41130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRAPPQAAVPGGGAGAAAGGVTMRMFHGDVFLGEAEVFPMKQGAEGSLPFPSNEIRISHLSPTSERCPPLAILQTIAPFSVRCKLQAKLMPPHPSLHRLYLTCFNEYKSAVVVVGDEELHLVAMPSKVEKVPCFWCCSVRSGIYAASVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEMLSRRMDIEDDPVRIAGMSAEIKRYIEDRELLKEFIDTDTVTDNGRIVGTQKEEVLPISGGQERVLRPVIRLPERNAILTRINPEVRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEANLISSHNLAERVVCVKSGSKKCLQNVFKDKGCHPKMAMVIDDRLQVWDEKDQLRVHVVPAYTPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLRKVFELMYENELLDLPYAPDVGDYLVCEDTNFAPNNKDVAPIPEGMSGAEVGKRLNGLAYPRDQKQIPSSTRLSDDDGVALRGIPGGTNIQSNGGSLATTPSLFVTVLQEIGRLCESRVEFRSTVSSGKNMQFSVEVLFSNEKIGIGIGKTRDEAQVLAAEKALQNLESNYLSFMAPVAGVLNKDVNKPPGSGNGFLEDITLSEDISMEEPSGSTLKEQDHSKALDRLSSVISLIRELCLEDQHVVFRDQVRDSGSALNGEYHFQAELGGQILGRGIGLNKDFAKLQAAEEALKTLKTTTDPQIKKHLRPMQQLNFPLYPTQRHRETV >ORUFI01G41130.4 pep chromosome:OR_W1943:1:34026636:34035038:-1 gene:ORUFI01G41130 transcript:ORUFI01G41130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRAPPQAAVPGGGAGAAAGGVTMRMFHGDVFLGEAEVFPMKQGAEGSLPFPSNEIRISHLSPTSERCPPLAILQTIAPFSVRCKLQAKLMPPHPSLHRLYLTCFNEYKSAVVVVGDEELHLVAMPSKVEKVPCFWCCSVRSGIYAASVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEMLSRRMDIEDDPVRIAGMSAEIKRYIEDRELLKEFIDTDTVTDNGRIVGTQKEEVLPISGGQERVLRPVIRLPERNAILTRINPEVRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEANLISSHNLAERVVCVKSGSKKCLQNVFKDKGCHPKMAMVIDDRLQVWDEKDQLRVHVVPAYTPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLRKVFELMYENELLDLPYAPDVGDYLVCEDTNFAPNNKDVAPIPEGMSGAEVGKRLNGLAYPRDQKQIPSSTRLSDDDGVALRGIPGGTNIQSNGGSLATTPSLFVTVLQEIGRLCESRVEFRSTVSSGKNMQFSVEVLFSNEKIGIGIGKTRDEAQVLAAEKALQNLESNYLSFMAPVAGVLNKDVNKPPGSGNGFLEDITLSEDISMEEPSGSTLKEQDHSKALDRLSSVISLIRELVRDAAAALIFHFSVLINCLEDQHVVFRDQVRDSGSALNGEYHFQAELGGQILGRGIGLNKDFAKLQAAEEALKTLKTTTDPQIKKHLRPMQQLNFPLYPTQRHRETV >ORUFI01G41130.5 pep chromosome:OR_W1943:1:34025806:34035038:-1 gene:ORUFI01G41130 transcript:ORUFI01G41130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRAPPQAAVPGGGAGAAAGGVTMRMFHGDVFLGEAEVFPMKQGAEGSLPFPSNEIRISHLSPTSERCPPLAILQTIAPFSVRCKLQAKLMPPHPSLHRLYLTCFNEYKSAVVVVGDEELHLVAMPSKVEKVPCFWCCSVRSGIYAASVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEMLSRRMDIEDDPVRIAGMSAEIKRYIEDRELLKEFIDTDTVTDNGRIVGTQKEEVLPISGGQERVLRPVIRLPERNAILTRINPEVRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEANLISSHNLAERVVCVKSGSKKCLQNVFKDKGCHPKMAMVIDDRLQVWDEKDQLRVHVVPAYTPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLRKVFELMYENELLDLPYAPDVGDYLVCEDTNFAPNNKDVAPIPEGMSGAEVGKRLNGLAYPRDQKQIPSSTRLSDDDGVALRGIPGGTNIQSNGGSLATTPSLFVTVLQEIGRLCESRVEFRSTVSSGKNMQFSVEVLFSNEKIGIGIGKTRDEAQVLAAEKALQNLESNYLSFMAPVAGVLNKDVNKPPGSGNGFLEDITLSEDISMEEPSGRGQILGRGIGLNKDFAKLQAAEEALKTLKTTTDPQIKKHLRPMQQLNFPLYPTQSHTLVGLLFFTQLKLIFLEDAIAS >ORUFI01G41140.1 pep chromosome:OR_W1943:1:34037970:34039220:-1 gene:ORUFI01G41140 transcript:ORUFI01G41140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAANTMLPSHSPAATHQLPTSPRRSTRPAPPPPCPCPRAGAAPAAESLLPGCLRAESLPRHVAVVMDGNARWARARGLPSAAGHEAGRRALEETVRLSRAWGIRALTAFAFSNENWSRPKVEVDFLMRLFERVIHDSVAEFLREGIRLRVIGDSSRLPVSLQKIAREAEETTRNNSQLDLTLAISYSGRRDIVQACRKLAQKVQSKALAAEDIDEALFADELETSCAADEFPYPDLLIRTSGELRLSNFLLWQSAYSELFFTNTLWPDFGEADYLEALCSFQSRDRRFGVRKL >ORUFI01G41150.1 pep chromosome:OR_W1943:1:34043408:34046813:-1 gene:ORUFI01G41150 transcript:ORUFI01G41150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTARTPASAAHGGRALYELYRAASRAAAPAALLWRRLRGLEHPSRWPERLGRPSVARPRPGSPLVWFHAVSLGEGMAALPVVRHCARLHPGLPILLTTTTLSSFEVMKDLLPDGVIYQFAPLDCPDAIESFIGYWKPNLILLMESELWPNLILSAAEKGIAVVLLNARMSLKSFNRWSLPLGLQLVSLMLSKLSLVIPLSTIQAVRFQLLHAPPQIIHFAGDLKYAVGDIAAGEKEVAAIEDLQQQFSNRPIWMAASIHKGEDEIILRVHDELTRAYPTLLLILVPRHPEDSKNVSQTLKKQKVNFVLRSTREVVSSNTSIYVVDTLGELRMLYRVTPIAVIGGSFLPGLAGHNISEAAAVGCAVMTGPSVGHFYHMLVEMWQINPLAVKQVKGEYELLEALKQLLGDSRALEACQRAAKDAFSFMSDGVVNRVWNLVHPFTIGSQTDTCDSFSSS >ORUFI01G41160.1 pep chromosome:OR_W1943:1:34047301:34053247:-1 gene:ORUFI01G41160 transcript:ORUFI01G41160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSREDEEAKKMEAGGDTVGQKLDAGALFVLQSKGFGYAIPIDMIRSWLHCGYHLTTSIVAPPLLSLPFAFASLGWAAGLICLVIGAAVTFYSYNLISLVLEHHAQQGRRQLRFRDMATDILGPGWGRFYIGPIQFLVCFGAVVACTLLAGQSMKAIYLIANPGGTIKLYVFVAIFGVFMMILAQMPSFHSLRHVNLISLVLCLAYSFCAVAACIYLGSSKGAPEKDYSIAGANTRDRVFGVFNAIAVIATTYGNGIIPEIQATVAAPVTGKMFKGLCLCYAVVVTTFFSVAISGYWAFGNQSQGTLLSNFMVGGRAVIPEWLLLIIELFTLLQLSAVAVVYLQPTNEVLEGLLSDPKAGQYAARNVAPRVLSRTAAVALGTTIAAMVPFFGDMNALIGAFGFLPLDFAVPAVFYNVTFKPSKKGAVFWLNTTIAVVFSALAVVASVAAVRQIILDANSYKLFANV >ORUFI01G41170.1 pep chromosome:OR_W1943:1:34063375:34068724:1 gene:ORUFI01G41170 transcript:ORUFI01G41170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGAARRARPGPWPPAPPPQPQAQPLSWAKRTGFQSRVSGESVAIASASSSGQVSLPRPAEAPSDLESGPPARPNSALPPPPAAAAANAEAKPQPPPPPPPARTRRRDSDGGRPNGQAAAAPLPQLLEEEDDGAPERPKYELRDSPGVFPIAVYGFQHYISMLGSIILIPLLMVPAMGGSPDDMAAVVSTVLLVSGMTTLLHTFCGTRLPLVQGPSFVYLAPALAIIYSPEFFGLNHNNFKHIMKHLQGAIIIGGAFQVLLGYTGLMSLFLRLINPVVISPTVAAVGLSFFSYGFTKVGSCIEMGLLQLLIVVMFALYLRKVKLFGYRVFLIYAVPLALGITWAIAFVLTATGVYSYRGCDANIPASNNVSAYCRKHVLRMKSCRVDTSHALRSSPWLRFPYPLQWGTPIFSWKMGLVMCVASVIASVDSVGSYHASSLFVATRPPTAGVVSRGIGVEGVSTVLAGLWGTGVGSATITENVHTIAVTKMGNRRAVGFGAIVLILLSFVGKVGAFIASIPDVLVAALLCFMWAMLCALGLSNLRYSAKGSSRNSIVVGLALFLSLSVPSYFQQYRLQPNSNSSVPTYFQPYIVASHGPIHTGSSGVNYILNTLLSLNMVIAFLVALILDNTVPGGRQERGLYVWSEAEAARRESAVMKDYELPFKIGHAFRWVKCVGL >ORUFI01G41180.1 pep chromosome:OR_W1943:1:34069563:34072275:-1 gene:ORUFI01G41180 transcript:ORUFI01G41180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTAMTVDFLRARLLSERSVSRAAKERADQLAKRVAELEEQVQAVTAQRRQAERAATEVLGILESHGFGGNLSDVLDSGSDRDGEEDDDPRDARSDGDTAGSRGEEQPPAQCEAAEDALSGTAEPGGGLSWKGRSPKYRMGQSCRKNKRKELSNGKSTAPEEQRGDVEEIAWSQKGQQDGSDCTDDGQADMDGEVAGGQYVIRYEKDGEMERVLERQAELIGQYEAEEEAQRQWEKQFNENRSSAKVHVEAENKACQIENGWEQSKEHSRLADQAVHCNEEAKPGVKNHPSASNNRSAGLLLNGSLPESPQDTSGQEAAADQRDSHEELHGHCHAQSQGNSNVAGTMTGKNQEQGNENPDGCSSYCDIKVPSDGSPSMSDATLNSKVSDWSSSRFHDHGDNQVDAGPDQQPTSNMDIECVLQALQLARISLSQKLSKPVPPSQVTLALPAPGDHEHSEDDGYSPVDDEFNSARDELCSSSQSPDQEILALPAPEDYHDRENLPANDDATISLTEEQTSSSPHRQEILALPAPVDDYHREIVDDIKIPICIAGLFRLPTDSFPKDEMLSTCNKYGGSELNLRPTAAAPQNAFVSNTADRVTVAPSEIRDDHGFSTRPCYDPHSSGLLSVPTSGRCSTPSSDFTIRGASFLSGIPGLAEDLRKGRPLADADLFMQRGCDYTISNKWML >ORUFI01G41190.1 pep chromosome:OR_W1943:1:34073317:34074776:-1 gene:ORUFI01G41190 transcript:ORUFI01G41190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPGGWELIEPTIRELDAKMREAENDTHDGKRKCEALWPIFRISHQRSRYIYDLYYRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVIECVHCGCRGCASGD >ORUFI01G41200.1 pep chromosome:OR_W1943:1:34080823:34083651:1 gene:ORUFI01G41200 transcript:ORUFI01G41200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRWREHGELGARRFETAARARRTASLALSNRKEFTTPHNGAINSLQVDLTERRYLLSGASDGSAAIFDVQNATEYEAGFIAKHRSILLVDKQHENGHKFVVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQLVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVHLCDIASGAFTHTLSSHRDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKIWFVSHISDPLNSLQPSSSSKIYSAQQRTGKSKKQSHKLHKSQIPGHGHIQQRLHPGLSSSQNCATAHYGAVTGLRTTTDGMYLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYCSAQEQELYTGSNDMQILVWSPSTPAFTEMPLQEDDGKRQTDFVVDDDNWSD >ORUFI01G41200.2 pep chromosome:OR_W1943:1:34080913:34083990:1 gene:ORUFI01G41200 transcript:ORUFI01G41200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKRNRRILVHLRSCLATPRFALAALLSPVRRTVHRPPSSPSPPRRGTQLPCAAEWMWCEAVRWREHGELGARRFETAARARRTASLALSNRKEFTTPHNGAINSLQRRYLLSGASDGSAAIFDVQNATEYEAGFIAKHRSILLVDKQHENGHKFVVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQMPGKVYSAAMSPIATTHMLIATGSADVQVHLCDIASGAFTHTLSSHRDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKIWFVSHISDPLNSLQPSSSSKIYSAQQRTGKSKKQSHKLHKSQIPGHGHIQQRLHPGLSSSQNCATAHYGAVTGLRTTTDGMYLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYCSAQEQELYTGSNDMQILVWSPSTPAGATFITTVQYFILQFHPKYHFDKDS >ORUFI01G41200.3 pep chromosome:OR_W1943:1:34080913:34083990:1 gene:ORUFI01G41200 transcript:ORUFI01G41200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKRNRRILVHLRGTQLPCAAEWMWCEAVRWREHGELGARRFETAARARRTASLALSNRKEFTTPHNGAINSLQVDLTERRYLLSGASDGSAAIFDVQNATEYEAGFIAKHRSILLVDKQHENGHKFVVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQMPGKVYSAAMSPIATTHMLIATGSADVQVHLCDIASGAFTHTLSSHRDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKIWFVSHISDPLNSLQPSSSSKIYSAQQRTGKSKKQSHKLHKSQIPGHGHIQQRLHPGLSSSQNCATAHYGAVTGLRTTTDGMYLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYCSAQEQELYTGSNDMQILVWSPSTPAGATFITTVQYFILQFHPKYHFDKDS >ORUFI01G41200.4 pep chromosome:OR_W1943:1:34080823:34083651:1 gene:ORUFI01G41200 transcript:ORUFI01G41200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRWREHGELGARRFETAARARRTASLALSNRKEFTTPHNGAINSLQVDLTERRYLLSGASDGSAAIFDVQNATEYEAGFIAKHRSILLVDKQHENGHKFVVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQLVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVHLCDIASGAFTHTLSSHRDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKIWFVSHISDPLNSLQPSSSSKIYSAQQRTGKSSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYCSAQEQELYTGSNDMQILVWSPSTPAFTEMPLQEDDGKRQTDFVVDDDNWSD >ORUFI01G41200.5 pep chromosome:OR_W1943:1:34080913:34081796:1 gene:ORUFI01G41200 transcript:ORUFI01G41200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKRNRRILVHLRSCLATPRFALAALLSPVRRTVHRPPSSPSPPRRGTQLPCAAEWMWCEAVRWREHGELGARRFETAARARRTASLALSNRKEFTTPHNGAINSLQVDLTERRYLLSGASDGSAAIFDVQNATEYEAGFIAKHRSILLVDKQHENGHKFVVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVNS >ORUFI01G41200.6 pep chromosome:OR_W1943:1:34080913:34081796:1 gene:ORUFI01G41200 transcript:ORUFI01G41200.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKRNRRILVHLRSCLATPRFALAALLSPVRRTVHRPPSSPSPPRRGTQLPCAAEWMWCEAVRWREHGELGARRFETAARARRTASLALSNRKEFTTPHNGAINSLQRRYLLSGASDGSAAIFDVQNATEYEAGFIAKHRSILLVDKQHENGHKFVVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVNS >ORUFI01G41210.1 pep chromosome:OR_W1943:1:34084742:34093035:-1 gene:ORUFI01G41210 transcript:ORUFI01G41210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPEATGAPPQTEGEEVKDGVEVCVFDESPEVFSRAVHAISELTTGEHEPNFPDAEVERLASSITFLREWRHFSYEPKNVSFTCDARSAPSRVDTHKINLPQFSSASVPQITHLDNGKAKTDSFCSSDFVIFAGGNVWALDWCPRLCDRPHSPINCEYLAVAAHPPGSSYHKIGMPLIGRGIIQVWCLLAPSEEGHPHQSLVACNKYNPSNQPKQRGRPRKTITTSDDHLEPSVKRPRGRPRKYPLPIAKVEDSSQNDRSQEFALIDPLVSPAVVSCDIAIAYPMPTVNPVESTPRKGRGRPRKKPVEIKGLSGIKLTEDLSTALSPIALTCMEPKKKRGRPRKYPAPSNSKHLPGTDTELGNDSVCQPGSIDFGLGPTENTGSGANITHAAVDAASPVPLSGQRGREQPEKEVIHIENSMQSGQSDIGSMLPTYILPESGNKSNSTGLRRRGRPRKKPFPSTTSCVFVPGTETPKKGSTLTNSNNLVVLAKSNCDILANDIGRSSCAIENSVHLSVGTSHACNTSTGPYVLQNARKNQVPRRVEADLESSQFQRNAVVLKHAGVKNKKLRQFPKTNQAVSVPSENSAQVALWKFSANLSFQGSKPFMCVTAESAPIRTVSWAPSVSKENVNTFVTAGEDGLKFWDLRDPYRHLWELTTAPRAVISLQWLKDARGVVISLEDGTLKFVSLSRIANDVPVTGRPFVGTKTQGVSTYQLSEYLIWSVHASEITEYNGAINPSIRDGQQDEPDEGQETGAIVLAAPSMQENFGTSTSRGSESPENFEVFPPKAVALHRLRWNMNKGSEKWLCYGGAAGIIRCQRI >ORUFI01G41220.1 pep chromosome:OR_W1943:1:34097507:34100768:1 gene:ORUFI01G41220 transcript:ORUFI01G41220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTARGRTQVPQLTDDKDQDNRTRVASAGDGDSDHLEERELRERAIAEFQRLVEYTFRLTHTPEAFVPVGWTAGLDRPESTPRLVPGDPIGTDAPGSSRRRVVGARRRSRTWQLQPTTWDAEAAAAWDTENTRRSNVLSSGDGASSSSPDDDSAVAEAAAVRDAKAAAAALDAEAAAAAWTGSPSQPPSHGRPVHPPSHLATAAATRTWIPDRQARCSADALDTGATRNDSIAVRGLYGVSASAMLVASTTLRAPAGAGSKILACSSAGRLA >ORUFI01G41230.1 pep chromosome:OR_W1943:1:34106589:34116535:1 gene:ORUFI01G41230 transcript:ORUFI01G41230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEYHEEYVRNSRGVQLFTCGWLPAKTSPKALVFLCHGYAMECSGYMRECGMRLAAAGYGVFGMDYEGHGKSMGARCYIRSFRRLVDDCHRFFKSICDMEEYRSKSRFLYGESMGGAVALLLHMKDPTFWDGAILVAPMCKISEKVKPHPVVISLLTQVEDVIPRWKIVPTKDVIDAAFKDPAKREKIRKNKLIYQDKPRLKTALEMLRTSMYVEDSLSKVKLPFFVLHGDADTVTDPEVSRALYERAASADKAIKLYAGMWHGLTAGEPDHNVDAIFSDIVAWLNGRSRTWTVEDRLMKMMASPDRFIRGERGGAADVDGDAKRGPPRRRGGCFSGLAGRTHHHSEM >ORUFI01G41230.2 pep chromosome:OR_W1943:1:34110793:34116535:1 gene:ORUFI01G41230 transcript:ORUFI01G41230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSIDMEEYRSKSRFLYGESMGGAVALLLHMKDPTFWDGAILVAPMCKISEKVKPHPVVISLLTQVEDVIPRWKIVPTKDVIDAAFKDPAKREKIRKNKLIYQDKPRLKTALEMLRTSMYVEDSLSKVKLPFFVLHGDADTVTDPEVSRALYERAASADKAIKLYAGMWHGLTAGEPDHNVDAIFSDIVAWLNGRSRTWTVEDRLMKMMASPDRFIRGERGGAADVDGDAKRGPPRRRGGCFSGLAGRTHHHSEM >ORUFI01G41230.3 pep chromosome:OR_W1943:1:34106589:34111612:1 gene:ORUFI01G41230 transcript:ORUFI01G41230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEYHEEYVRNSRGVQLFTCGWLPAKTSPKALVFLCHGYAMECSGYMRECGMRLAAAGYGVFGMDYEGHGKSMGARCYIRSFRRLVDDCHRFFKSICGKQQNLYPFFITSLFNLSAYFTFSYTISVHYQARLCLCVAMQCFNLAAVYCTSVLALVMT >ORUFI01G41240.1 pep chromosome:OR_W1943:1:34116834:34120344:-1 gene:ORUFI01G41240 transcript:ORUFI01G41240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELTYRGGAGAPGSASDGGEYSPKPSKPLSWLTRAARYAAAEHRPAFALAGMLLAAALFSLYAPSSDASSSAATTTTTTFSHLSSLPSSSAASLHESAGGKVPLGLRRRALRVLVTGGAGFVGSHLVDRLVERGDSVIVVDNFFTGRKDNVAHHLANPRFEVIRHDVVEPILLEVDQIYHLACPASPTNVVGTLNMLGLAKRIGAKFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMSLMEGEHIGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRPNTADDPHKRKPDITRAKELLGWEPKVPLREGLPLMVTDFRKRIFGDQEA >ORUFI01G41250.1 pep chromosome:OR_W1943:1:34131019:34134312:-1 gene:ORUFI01G41250 transcript:ORUFI01G41250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEAEALHGAVEALAGSLQPHVATAFFVFSACTVALAALLAVVRLRPPWWCDCTVCEAYLTASWAGEFDNLCDWYAHLLRTSPAQTVHVHVLRNVLTANPVTVDHVLRARFDNYPKGAPFSAILADFLGRGIFNVDGDAWLFQRKLAAAELASPALRAFAARVVASELRCRLIPLLHSASREGNGKVLDLQDVFRRFAFDSICKISFGLDPGCLELSMPVSTLVEAFDTASTLSARRATVPMQIIWRLKRFLNVGDERKLRDAVRLVDALAAEVIRQRRKLGGAATGSDLLSRFMGSIDDDKYLRDIVVSFMLAGRDTIASALTAFFLLLSDHPEVATAIRDEVARVTGDGNRTMAATFDKLKDMHYVHAAMYESMRLFPPVQFDSKFAAGDDTLPDGTVVAKGTRVTYHAYAMGRMESVWGPDCTEFRPERWLRDGRFVPESPYRYPVFQAGVRVCIGKELALMEMKAVIVAVVRSFDIEAIARSSRRPKFAPGLTATFAGGLPVRVRRRRARASGHNPPI >ORUFI01G41260.1 pep chromosome:OR_W1943:1:34154955:34159303:-1 gene:ORUFI01G41260 transcript:ORUFI01G41260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPAQPDAAAAAPAAPPTSTSASAPSSAPQPNPTPSASTAAPPTPDTTLAPAPNPTPAPVQTLETPAPSPASARPPVPRMRPPYTHLASPITMSSSPATGAASSSSASAPAATSASSSAMPRGGVALGLPAHPRAPQTPVGYTGFVPPPTLAAQFGSMHRGPDQPPPSSTQPRQPSPGIQNIGTVGSINTSQVRPGAISSLPQQTRPNFPSSTAPSPSDSQIASSQKTPIQALARPPSMASSPSMPLQQTPPNQQQQQQQQQKLQQQQQQHQNQPQHSSQQSQQTTTLRNQQQISQQQTARTPVSMAQKLDSPAVLKATNVQSGDMASVDVDAGGSGNRLLSKRSIHELVAQIDPSEKLDPEVEDVLIDIAEDFVESVATFACSLAKHRKSSILEAKDVLLHAERSWNITLPGFSGDEIKLYKKPHVNDIHRERLTLIKKSMASESNAKGSAAQAAANQKNQTPKPPATGSP >ORUFI01G41260.2 pep chromosome:OR_W1943:1:34154955:34159303:-1 gene:ORUFI01G41260 transcript:ORUFI01G41260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPAQPDAAAAAPAAPPTSTSASAPSSAPQPNPTPSASTAAPPTPDTTLAPAPNPTPAPVQTLETPAPSPASARPPVPRMRPPYTHLASPITMSSSPATGAASSSSASAPAATSASSSAMPRGGVALGLPAHPRAPQTPVGYTGFVPPPTLAAQFGSMHRGPDQPPPSSTQPRQPSPGIQNIGTVGSINTSQVRPGAISSLPQQTRPNFPSSTAPSPSDSQIASSQKTPIQALARPPSMASSPSMPLQQTPPNVSAPLRPPQHRPHPRPYHAPAISHPQNALLTQQQQKLPQHQHLQQQQQQQQQQQKLQQQQQQQQQKLQQQQQQQQQKLQQQQQQQQQQQKLQQQQQQHQNQPQHSSQQSQQTTTLRNQQQISQQQTARTPVSMAQKLDSPAVLKATNVQSGDMASVDVDAGGSGNRLLSKRSIHELVAQIDPSEKLDPEVEDVLIDIAEDFVESVATFACSLAKHRKSSILEAKDVLLHAERSWNITLPGFSGDEIKLYKKPHVNDIHRERLTLIKKSMASESNAKGSAAQAAANQKNQTPKPPATGSP >ORUFI01G41270.1 pep chromosome:OR_W1943:1:34159324:34163059:1 gene:ORUFI01G41270 transcript:ORUFI01G41270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKKTESHRQGRGRRASRFRGGGGGGDEDGDELPSSAYDAPPPHHEDSDGDDTDEAAAEDEHEGGVDGGDQEQREVGSMPSKFHLYQLSVQSPKGDISYLQKFFLMYVGGRVPLHFQEDFCGTALLSAEWLRTDARRTAVGLDLDLESLEWCLENNLSKIGADGYSRMLLFHGNVLQPNVARLVKQKICDAMQDLHVNNDNDSLASNICDQLDPASPKCSANSTMSDLVLPARDIICAFNYSCCCLHRRKDLVLYFRHAFNALSKRGGIFVMDVYGGTSSERKLRLQRRFPSFTYFWEQEEFDIISHQTRISLHFQAGKKQMLRHAFTYHWRLWSIPEIKDCLEEAGFKSIHVWIREMPNTQSSGNAKEYNANRDVKYEELQHFNQADAWNAYVVGVANI >ORUFI01G41280.1 pep chromosome:OR_W1943:1:34168302:34169483:1 gene:ORUFI01G41280 transcript:ORUFI01G41280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPLRRPFAVLLFVVLCAAASFPSVLRRSVGPAPVLAPLPPLDPARLNATLLRLAAADPSEAPLRRDVDDLLEGRLPASSARARAWRLRGDRLHLHLRHHQFPVYRRGHHPDHDHDPLLHPLPRQELHLDPSLRRALRSWHRLRRHDPGVLRNLPSLLSLPGRIPSCAVVGNSGILLGASHGALIDSHAAVFRLNNARISGFAANVGAKTNLSFINSNVLHLCARRPNCFCHPYGDGVPILLYICQAAHFLDVASCNASSRSLHAASISVTDPRLDVLCARIVKYYSLRRFVAETGRAAEEWSSTRDAAMFHYSSGMQAIMVAVGVCDRVSVFGFGKAADAKHHYHSNQKAELDLHDYKAEYAFYRDLADRPEVVPFLNDAGIAVPPVVFYH >ORUFI01G41290.1 pep chromosome:OR_W1943:1:34181299:34182883:-1 gene:ORUFI01G41290 transcript:ORUFI01G41290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRTCSAMEGEVVAASPFFEWLKPPPRPASSSSWSSSFSSSSSMASRDQETVVPGEDGGGEIQEDHKSGMTCLPLLSMLEEGNSKRHEHPVKEEIMSSAHAAGVVEPGVELNIGLPVTGSSAQEVTMEEDDEEEDDDDVGEEEMDEWKPMHGGCKVEGDEEQYGEAVASVEGSSSITAVGDMFGGVGAESGVAMSSRYWIPTPAQILVGPVQFICHVCNKTFNRYNNMQMHMWGHGREYRKGPESLKGTQTLAMLKLPCYCCAAGCKNNVAHPRARPLKDFRTLQTHYKRKHGAKPFRCRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGAHHLPVAESAAAAATTPADKDRIISFQR >ORUFI01G41300.1 pep chromosome:OR_W1943:1:34186528:34191367:1 gene:ORUFI01G41300 transcript:ORUFI01G41300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMAGVDPEWWVLGSMATPGWQNVLLEIYGWMRSTAAWGELERGGGSAARFSSGDRGGEPAGRSAEAEHSEEGDELIDQEFAMVALSKLVLITAVALLGWAYKVARPPPPPILGGPGGPPVSSPRVQLKDGRHLAYREAGVGREIAKYKIIFSHGFASTKESDFPVSQELAEELGIYLLYFDRAGYGDSDANPKRGLKSDATDVEELADKLQLGEKFYVVGTSMGGYVAWSCLNYIPYRLAGVALVVPAVNYWWPMPASVSASAYRKLDVGDRRTFWIAHHMPWLFYAWFNQKWFRISPIVEGKPEAFTEKDWEILAEIQRTGQLDRGRATKQGAYHSLCRDATILFGAWEFDPTAIENPFPNGDGVVSIWQGREDKIVRVEAQRYVAEKLPWVRYHEHPEGGHLFMCADGLGDKIVRELLLGEEPRDL >ORUFI01G41300.2 pep chromosome:OR_W1943:1:34186528:34188806:1 gene:ORUFI01G41300 transcript:ORUFI01G41300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMAGVDPEWWVLGSMATPGWQNVLLEIYGWMRSTAAWGELERGGGSAARFSSGDRGGEPAGRSAEAEHSEEGDELFRMMTRARVLDLSLSTYLYMAKSSCPAP >ORUFI01G41300.3 pep chromosome:OR_W1943:1:34189260:34191367:1 gene:ORUFI01G41300 transcript:ORUFI01G41300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSKLVLITAVALLGWAYKVARPPPPPILGGPGGPPVSSPRVQLKDGRHLAYREAGVGREIAKYKIIFSHGFASTKESDFPVSQELAEELGIYLLYFDRAGYGDSDANPKRGLKSDATDVEELADKLQLGEKFYVVGTSMGGYVAWSCLNYIPYRLAGVALVVPAVNYWWPMPASVSASAYRKLDVGDRRTFWIAHHMPWLFYAWFNQKWFRISPIVEGKPEAFTEKDWEILAEIQRTGQLDRGRATKQGAYHSLCRDATILFGAWEFDPTAIENPFPNGDGVVSIWQGREDKIVRVEAQRYVAEKLPWVRYHEHPEGGHLFMCADGLGDKIVRELLLGEEPRDL >ORUFI01G41310.1 pep chromosome:OR_W1943:1:34209534:34211343:1 gene:ORUFI01G41310 transcript:ORUFI01G41310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMSKNVKVTDDQEVTSQERDQSGGTKVGGEEEIAPLARQSSILSLTLEELQNSLCEPGRNFGSMNMDEFVANIWNAEEFQATTGGCKGAMEEAKVVDSGSGSGDAGGSGLCRQGSFSLPLPLCQKTVEEVWTEINQAPAHTSAPASALQPHAGSGGVAANDRQVTLGEMTLEDFLVKAGVVRGSFTGQAAMGSGMVNGPVNPMQQGQGGPMMFPVGPVNAMYPVMGDGMGYPDGYNGMAIVPPPPPAQGAMVVVSPGSSDGMSAMTHADMMNCIGNGMMIENGTRKRPHREDGCAEKTVERRQRRMIKNRESAARSRARKQAYTVELEAELNYLKQENARLKEAEKTVLLTKKQMLVEKMMEQSKEKMNANRGGSQLRRSGSCMW >ORUFI01G41310.2 pep chromosome:OR_W1943:1:34209534:34211343:1 gene:ORUFI01G41310 transcript:ORUFI01G41310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMSKNVKVTDDQEVTSQERDQSGGTKVGGEEEIAPLARQSSILSLTLEELQNSLCEPGRNFGSMNMDEFVANIWNAEEFQATTGGCKGAMEEAKVVDSGSGSGDAGGSGLCRQGSFSLPLPLCQKTVEEVWTEINQAPAHTSAPASALQPHAGSGGVAANDRQVTLGEMTLEDFLVKAGVVRGSFTGQAAMGSGMVNGPVNPMQQGQGGPMMFPVGPVNAMYPVMGDGMGYPDGYNGMAIVPPPPPAQGAMVVVSPGSSDGMSAMTHADMMNCIGNGMMIENGTRKRPHREDGCAEKTVERRQRRMIKNRESAARSRARKQAYTVELEAELNYLKQENARLKEAELVEKMMEQSKEKMNANRGGSQLRRSGSCMW >ORUFI01G41320.1 pep chromosome:OR_W1943:1:34212416:34220058:1 gene:ORUFI01G41320 transcript:ORUFI01G41320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGISRLIGLKAAVFLSVAYFFHRLDMTLLSFPLIYASVIAMLVSIASHPSIDLPLLLGKGSNGSFPVWSWIMFSPFLLFIHLFVLLRRFVKNEPLYTEIADGVYVGGWPSSVERLPPGEPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSQIESAVRWALRKRSQNKAVYVHCAYGHGRSVCVMCALLVALGLAEDWKAAEQMIREKRPSISMNSLHRKSLEEWAKHLLTPSKRSGESDMSIFKPVQEPLEVWNNSVPVGLTLSVPMKFLLQEKKESMPAMFICFSDSRRDIARISVLDITHQMIKSTIHPMNTSIIHRLSNDSLEISSSESYSRGREDQRLLRARRRR >ORUFI01G41320.2 pep chromosome:OR_W1943:1:34212416:34218335:1 gene:ORUFI01G41320 transcript:ORUFI01G41320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGISRLIGLKAAVFLSVAYFFHRLDMTLLSFPLIYASVIAMLVSIASHPSIDLPLLLGKGSNGSFPVWSWIMFSPFLLFIHLFVLLRRFVKNEPLYTEIADGVYVGGWPSSVERLPPGEPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSQIESAVRWALRKRSQNKAVYVHCAYGHGRSVCVMCALLVALGLAEDWKAAEQMIREKRPSISMNSLHRKSLEEWAKHLLTPSKRSGESDMSIFKPVQEPLEVWNNSVPVGLTLSVPMKFLLQEKKESMPAMFICFSDSRRDIARISVLDITHQMIKSTIHPMNTSIIHRLSNDSLEISSSESYSRGREDQRLLRARRRR >ORUFI01G41320.3 pep chromosome:OR_W1943:1:34212350:34220058:1 gene:ORUFI01G41320 transcript:ORUFI01G41320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGISRLIGLKAAVFLSVAYFFHRLDMTLLSFPLIYASVIAMLVSIASHPSIDLPLLLGKGSNGSFPVWSWIMFSPFLLFIHLFVLLRRFVKNEPLYTEIADGVYVGGWPSSVERLPPGEPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSQIESAVRWALRKRSQNKAVYVHCAYGHGRSVCVMCALLVALGLAEDWKAAEQMIREKRPSISMNSLHRKSLEEWAKHLLTPSKRSGESDMSIFKPVQEPLEVWNNSVPVGLTLSVPMKFLLQEKKESMPAMFICFSDSRRDIARISVLDITHQMIKSTIHPMNTSIIHRLSNDSLEISSSESYSRGREDQRLLRARRRR >ORUFI01G41320.4 pep chromosome:OR_W1943:1:34212416:34217479:1 gene:ORUFI01G41320 transcript:ORUFI01G41320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGISRLIGLKAAVFLSVAYFFHRLDMTLLSFPLIYASVIAMLVSIASHPSIDLPLLLGKGSNGSFPVWSWIMFSPFLLFIHLFVLLRRFVKNEPLYTEIADGVYVGGWPSSVERLPPGEPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSQIESAVRWALRKRSQNKAVYVHCAYGHGRSVCVMCALLVALGLAEDWKAAEQMIREKRPSISMNSLHRKSLEEWAKHLLTPSKRSGESDMSIFKPVQEPLEVWNNSVPVGLTLSVPMKFLLQEKKESMPAMFICFSDSRRDIARISVLDITHQMIKSTIHPMNTSIIHRLSNDSLEISSSESYSRGREDQRLLRARRRR >ORUFI01G41330.1 pep chromosome:OR_W1943:1:34216613:34223466:-1 gene:ORUFI01G41330 transcript:ORUFI01G41330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGYKEWIELVVIMVQGEESSWRMAASTHHERAIPLNQALAYGVQAHASPSVAAAPPASFLDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGGDHDKRKHGSTRKDGKSVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQGLFLGGCRAAGDMSSGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQVTNLANVQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >ORUFI01G41330.10 pep chromosome:OR_W1943:1:34216613:34222319:-1 gene:ORUFI01G41330 transcript:ORUFI01G41330.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRYCLGRDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGGDHDKRKHGSTRKDGKSVDAKRGCEEEQAEKKGDEKKPVNSNFKTSSKDCFPIAYVQNLETSRVRLQQIEQELQRARSQQQLQPASLQLADEGVPRSSHHGAVKSFAGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >ORUFI01G41330.11 pep chromosome:OR_W1943:1:34216613:34222319:-1 gene:ORUFI01G41330 transcript:ORUFI01G41330.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRYCLGRDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGGDHDKRKHGSTRKDGKSVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQQQLQPASLQLADEGVPRSSHHGAVKSFAGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >ORUFI01G41330.12 pep chromosome:OR_W1943:1:34222724:34223466:-1 gene:ORUFI01G41330 transcript:ORUFI01G41330.12 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGYKEWIELVVIMVQGEESSWRMAASTHHERAIPLNQALAYGVQAHASPSVAAAPPASFLYCSLYLLIAHFPCKIDHHQASAKLSLLASPVPLTPCKKEDGGLDLRPDHYNFSRCN >ORUFI01G41330.2 pep chromosome:OR_W1943:1:34216613:34223466:-1 gene:ORUFI01G41330 transcript:ORUFI01G41330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGYKEWIELVVIMVQGEESSWRMAASTHHERAIPLNQALAYGVQAHASPSVAAAPPASFLDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGGDHDKRKHGSTRKDGKSVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQGLFLGGCRAAGDMSSGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >ORUFI01G41330.3 pep chromosome:OR_W1943:1:34216613:34223466:-1 gene:ORUFI01G41330 transcript:ORUFI01G41330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGYKEWIELVVIMVQGEESSWRMAASTHHERAIPLNQALAYGVQAHASPSVAAAPPASFLDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGGDHDKRKHGSTRKDGKSVDAKRGCEEEQAEKKGDEKKPVNSNFKTSSKDCFPIAYVQNLETSRVRLQQIEQELQRARSQQQLQPASLQLADEGVPRSSHHGAVKSFAGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQVTNLANVQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >ORUFI01G41330.4 pep chromosome:OR_W1943:1:34216613:34222319:-1 gene:ORUFI01G41330 transcript:ORUFI01G41330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRYCLGRDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGGDHDKRKHGSTRKDGKSVDAKRGCEEEQAEKKGDEKKPVNSNFKTSSKDCFPIAYVQNLETSRVRLQQIEQELQRARSQGLFLGGCRAAGDMSSGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQVTNLANVQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >ORUFI01G41330.5 pep chromosome:OR_W1943:1:34216613:34222319:-1 gene:ORUFI01G41330 transcript:ORUFI01G41330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRYCLGRDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGGDHDKRKHGSTRKDGKSVDAKRGCEEEQAEKKGDEKKPVNSNFKTSSKDCFPIAYVQNLETSRVRLQQIEQELQRARSQGLFLGGCRAAGDMSSGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >ORUFI01G41330.6 pep chromosome:OR_W1943:1:34216613:34222319:-1 gene:ORUFI01G41330 transcript:ORUFI01G41330.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRYCLGRDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGGDHDKRKHGSTRKDGKSVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQGLFLGGCRAAGDMSSGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQVTNLANVQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >ORUFI01G41330.7 pep chromosome:OR_W1943:1:34216613:34222319:-1 gene:ORUFI01G41330 transcript:ORUFI01G41330.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRYCLGRDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGGDHDKRKHGSTRKDGKSVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQGLFLGGCRAAGDMSSGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >ORUFI01G41330.8 pep chromosome:OR_W1943:1:34216613:34223466:-1 gene:ORUFI01G41330 transcript:ORUFI01G41330.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGYKEWIELVVIMVQGEESSWRMAASTHHERAIPLNQALAYGVQAHASPSVAAAPPASFLDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADRKHGSTRKDGKSVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQGLFLGGCRAAGDMSSGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQVTNLANVQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >ORUFI01G41330.9 pep chromosome:OR_W1943:1:34216613:34222319:-1 gene:ORUFI01G41330 transcript:ORUFI01G41330.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRYCLGRDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGGDHDKRKHGSTRKDGKSVDAKRGCEEEQAEKKGDEKKPVNSNFKTSSKDCFPIAYVQNLETSRVRLQQIEQELQRARSQQQLQPASLQLADEGVPRSSHHGAVKSFAGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQVTNLANVQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >ORUFI01G41340.1 pep chromosome:OR_W1943:1:34230854:34232107:-1 gene:ORUFI01G41340 transcript:ORUFI01G41340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPVEVIGNILAHLSAARDVMVASGVCRKWRTACRKHLHSLSFNSDDFPRDMTTRQLEIVITQTIFQTMGLQCLSIHIDRTHEFSAAPVIAWLMYTRETLRSLSYNVRTNPNVNILEKCGRQKLEVLDLDHNTITGVEPSYQRFTCLKSLSLRHVSISALDLSLLVAACPKIESLALDFLEVVTSDPQSTMELTSHTLKSLFAKSVGVDKIILDTDNLEVLNLNALNLDLFELIGKGTLKHLKIDDVSVTHMDIGESTDHLEVVDVSNFTIVRPKLYSMISRASNLRMLRFWGVVFDDDDEIVDSETIAVSFPLLRHLSLSYELRDGLLHYSLQGSSPLDNVSVLELGWTVISEHFGPWVFGMIERCPNLKKLVIHGVLSEAKTREERQMLASFTSFIVCLMRKYVHVDVQFEYE >ORUFI01G41350.1 pep chromosome:OR_W1943:1:34250306:34253691:1 gene:ORUFI01G41350 transcript:ORUFI01G41350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIRAPMAYSESSTNWVAYPESTHSRRHFALRFRRRRAAATFLVAEQCARTAGAMSKLQSDALREAISQITSESREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKSILKSSYIIVSKRGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVIRVF >ORUFI01G41350.2 pep chromosome:OR_W1943:1:34250306:34253691:1 gene:ORUFI01G41350 transcript:ORUFI01G41350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIRAPMAYSESSTNWVAYPESTHSRRHFALRFRRRRAAATFLVAEQCARTAGAMSKLQSDALREAISQITSESREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVIRVF >ORUFI01G41360.1 pep chromosome:OR_W1943:1:34254695:34256166:1 gene:ORUFI01G41360 transcript:ORUFI01G41360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALTPMQLIGTVFVALLATCHAGGIAVYWGQNDGEASLAETCASGNYEFVIIAFLPKFGKGQTPRVDLASHCDPASGGCTGQSKDIRACQRRGVKVLLSIGGGDGSYGLSSPGDARQVAMYLWNNFLGGSSSSRPLGDAVLDGIDFDIELGGAKFWDDLARDLKSLGRSGGGRRVVLSAAPQCPFPDEWDGGAISTGLFDAVWVQFYNNPECQFSAGRGAFMDAWRKWESVPAGRLFLGLPASKDAAGTGFVPAGELNSRVLPLIRGSPKYGGVMLWSKYYDDQTGYSSAIKSHV >ORUFI01G41370.1 pep chromosome:OR_W1943:1:34258551:34259441:1 gene:ORUFI01G41370 transcript:ORUFI01G41370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLIMVVVALAGLAAGARAGDIAIYWGQNGNEGTLAQTCATGNYRFVIVAFLPVFGKGQTPVLNLAGHCDPASNGCTGVGADIKSCQSLGIKVMFSIGGGVGNYGLSSRDDAKQVAAYLWNNYLGGTSPSRPLGDAVMDGIDFDIESGGGMYWDDLARYLKAYSRQGSSKKPVYLTAAPQCPFPDASLGVALSTGLFDYVWVQFYNNPPCQYSSSNGVGNLASAWKQWTSIPAGRVFLGLPAAAEAAGSGFVETSDLVSKVLPVVKKSPKYGGIMLWSRYYDGLTGYSDKVKSSV >ORUFI01G41380.1 pep chromosome:OR_W1943:1:34260374:34260862:1 gene:ORUFI01G41380 transcript:ORUFI01G41380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPVATCFVPATSGVRCRAFSTPITNYSARGVVADPPKLLSRPGNLQLTSGGARFSGRFRASAGAVHKVKLIGPDGAESELEVPEDTYVLDAAEEAGLELPYSCRAGSCSTCAGKLASGEVDQSDGSFLADEQIEQGYVLTCISYPKSDCVIYTHKEEEVH >ORUFI01G41390.1 pep chromosome:OR_W1943:1:34260872:34261681:1 gene:ORUFI01G41390 transcript:ORUFI01G41390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAGHGEEMASDWRGEGRWLEGCQRCSSVDDGDVVLSAKPTKRKSTKGTPTKTVKMSPQLVRSMARRYVWSQQVC >ORUFI01G41400.1 pep chromosome:OR_W1943:1:34267058:34268179:1 gene:ORUFI01G41400 transcript:ORUFI01G41400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNHKTGYTDSSNYQNRRRRVFEGRSGGSQREGGRCLETERRRGALVVLKASRRLPPPMHVATPVPPSPPPPPGLCSTLASAPPAHARAHMVFVAITTYPGGKDLDAGVLDVPAELGDGPAGAAKLVSVVDDVVEVGGGGGKGVAMCVGSPPPRALVIEGERRGKDEREGRGRHVDDTWNEDRVNTAT >ORUFI01G41410.1 pep chromosome:OR_W1943:1:34274605:34279609:1 gene:ORUFI01G41410 transcript:ORUFI01G41410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIISPMRERDPWKQIKPWERTSYAVTRRAEHRRRPPRGPIPRREEGEGRCINSNVTTLPVIGGEKQKRARDRGRRRREKARRKAQMELCVRASSLLLGLLLQLLSSVDVVSAAQKFGINYGQIANNLPDPTQVAGLLQSLNVNKVKLYDADPKVLMAFANTGVEFIIAIGNENLQSMAGNPGAARQWVTQHVQPFLPATRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGELGLGGQVTVSSAHSVNVLATSFPPSSGAFREDLAQYIQPLLDFHGQTNSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEAGATVENAAAYNGNLMQRIAMNQGTPLKPNVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGAGGVSGRTGPFDPYSAQMFSSASRLAMTSGMGETENLY >ORUFI01G41410.2 pep chromosome:OR_W1943:1:34274664:34279607:1 gene:ORUFI01G41410 transcript:ORUFI01G41410.2 gene_biotype:protein_coding transcript_biotype:protein_coding LNVQRERDPWKQIKPWERTSYAVTRRAEHRRRPPRGPIPRREEGEGRCINSNVTTLPVIGGEKQKYITEKKSERPREEEEREGEAQSANGALRPRLLASARPPAPAPFLSGRGVGGAEIWYQLWPDRQQPAGPDAGGRPPPVAEREQGEALRRGPQGADGIRQHRRRVHHRHREREPAVHGRQPRRRQAVGDAARAALPTGHANHLHHRRQRGVLRQRHRHDGQPPARHEGDLRRGRRTRPRRPGDRVVGALGERARHQLPAVVRRVPGGPRAVHPAAARLPRADELAVPDQRVPVLRVQGEPRERVAAVRAVRAQPRRARPKHQPLLRQHAVRADRRRVRGHEGHGAHGHRREDLRDRVAVQGGRGRGRRHRGERRGVQRQPDAADRHEPGDTAQAQRAHRRVRVRAVQRGHETRADLGA >ORUFI01G41410.3 pep chromosome:OR_W1943:1:34274689:34279609:1 gene:ORUFI01G41410 transcript:ORUFI01G41410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVRASSLLLGLLLQLLSSVDVVSAAQKFGINYGQIANNLPDPTQVAGLLQSLNVNKVKLYDADPKVLMAFANTGVEFIIAIGNENLQSMAGNPGAARQWVTQHVQPFLPATRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGELGLGGQVTVSSAHSVNVLATSFPPSSGAFREDLAQYIQPLLDFHGQTNSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEAGATVENAAAYNGNLMQRIAMNQGTPLKPNVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGAGGVSGRTGPFDPYSAQMFSSASRLAMTSGMGVVVLLGALFL >ORUFI01G41410.4 pep chromosome:OR_W1943:1:34274689:34279607:1 gene:ORUFI01G41410 transcript:ORUFI01G41410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVRASSLLLGLLLQLLSSVDVVSAAQKFGINYGQIANNLPDPTQVAGLLQSLNVNKVKLYDADPKVLMAFANTGVEFIIAIGNENLQSMAGNPGAARQWVTQHVQPFLPATRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGELGLGGQVTVSSAHSVNVLATSFPPSSGAFREDLAQYIQPLLDFHGQTNSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEAGATVENAAAYNGNLMQRIAMNQGTPLKPNVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGAGGVSGRTGPFDPYSAQMFSSASRLAMTSGMGETENLY >ORUFI01G41410.5 pep chromosome:OR_W1943:1:34274689:34279607:1 gene:ORUFI01G41410 transcript:ORUFI01G41410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVRASSLLLGLLLQLLSSVDVVSAAQKFGINYGQIANNLPDPTQVAGLLQSLNVNKVKLYDADPKVLMAFANTGVEFIIAIGNENLQSMAGNPGAARQWVTQHVQPFLPATRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGELGLGGQVTVSSAHSVNVLATSFPPSSGAFREDLAQYIQPLLDFHGQTNSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEAGATVENAAAYNGNLMQRIAMNQGTPLKPNVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGAGGVSGRTGPFDPYSAQMFSSASRLAVRTASLTLLLLVLPLLSSFSC >ORUFI01G41410.6 pep chromosome:OR_W1943:1:34277132:34279607:1 gene:ORUFI01G41410 transcript:ORUFI01G41410.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWKHPKGRSKDERASQKARQYDVWDGGSCPTRRIIPLSFLHTYHAAEKESPTTERMIGGGD >ORUFI01G41420.1 pep chromosome:OR_W1943:1:34280976:34281854:-1 gene:ORUFI01G41420 transcript:ORUFI01G41420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDLESLVCGVAGAGAGDRKVSCETVIAAGESGDASPPRMPPPPPPPDPDFPPESITIPIGDEVAFSELNPIYDRDDSTKGSTNPKSAAGASSNPIPAKSRSNSTRIAGAPAAATTFFGLPASIRPAFTRRRPSQGRILPDKRSGSRGGGGGGRRSAAEAAVERRRRQADRERYGRSRGRRWWRGLVAVLLCGGGCSCQGGGRRHARKKVALDEDHHDGDDDKQAGIAAMRRFKSGRRTASWVEEAIAAAEAAGEEEQQQENDAAADDDEKKQEVERYEPTTLNSGPHDR >ORUFI01G41430.1 pep chromosome:OR_W1943:1:34284868:34285254:-1 gene:ORUFI01G41430 transcript:ORUFI01G41430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDGEDAKATRQPEQQPEAAAAEAGVAAYGGGVIAKLEEQWRKTKEHAETYPYVWGSYILVYGGLAAYLTWRWRKLRRTEDRVRGLQARLRQLAAAEESQAASTPPPPPQQPPLSGPGKPTSGP >ORUFI01G41440.1 pep chromosome:OR_W1943:1:34293705:34313171:-1 gene:ORUFI01G41440 transcript:ORUFI01G41440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPNDIEDLFGDSISSESESSPNDDEFCDNEDSESSYVGISKDELLNSLKRKLSKKKNTDEGTSKRKKTKTVDVDFKNKLDSALLEIYRDNVLNGMKRKLYYHKSKKNPMKSAATLDTKLTRFSVKYFSHVLTSLSEHQREIISKTCFKTLLLFEKCPVPYKFALWVAQRVDVTSCDIIVRDKDSESSYVGISKDELLNSLKRKLSKKKNTDEGTSKKNPMKSAATLDTKLTSFLDMLDSSIGADLTQDMKNKINELLIQHFGPDENCIDERAKNLLVDVFVLLSNSKPIVPENTNVNLNEDDKSKFNDDSSMVKDQNTFNDNAIGNEQSPMKISSSPKDKIAVVDGIMKKLSKPGLSNTSPKKAISSIVGFNERKSIFLGHEKPSFKIWDLDDDFPNEEKHFKTQIIPKDLSQDFDDNSQSQLNNSTNEDKLVMITLEDTDTEILTQHNEKENLNIEQLQKKDSPDVIFLGEKQCPDNCFDITSKTNVLYNKINTFVVKPGKKLKMSTGSPERILLCNVDKSVGQCSTSQKPKHDLRRILQPARYYTDPYSPERHSFPVTQYDRQISNFSLAWNLYVQDHSIDFNRFSDSPDVIFIGEKQCPDNCFDITSKTNVLYNKINTFVVKPDKKLKMSTGSPERILLCNDDLLKDRSMTNFSAVKKCFDGASLARPVHTCDLLFFPIVKSRHWVVFVIDLKFERFVFLDSLYDEESFYHAELRPKLISNFSLAWNLYVQDHSIDFNRFSVIYPPVPKQNNRFDCGIFALKFMEIWGPRVLLRNIFFPERYTQFEDSVNEQNAVPSPQFYSLLRFLSTPK >ORUFI01G41450.1 pep chromosome:OR_W1943:1:34309930:34310901:1 gene:ORUFI01G41450 transcript:ORUFI01G41450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAAAAANQKQQKASIGRRAWRLLRLAVLWVRKGSAVHSLCLFSNLRRAGVGLGVVGGGGRNIISIYLKQG >ORUFI01G41460.1 pep chromosome:OR_W1943:1:34316281:34316675:1 gene:ORUFI01G41460 transcript:ORUFI01G41460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRIWIARWLDARRVAPVFIPTVPDFLRLRKCAVSVSIELRRRPLHAAAQAEHVGSVRHAGRCHTDTAFALLLPGAVVPEQGLVERLVIVRSTLGRVAMN >ORUFI01G41470.1 pep chromosome:OR_W1943:1:34318304:34322826:1 gene:ORUFI01G41470 transcript:ORUFI01G41470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSPSPRRRGRPTSPSGSPPLDRTPSAKAAGGGATTTVSPYALARSPSVSAAEADGDDGVVRVYGSDGCPVAWRVRVALLYKAAAPVHFTPSEAAPLGRPVLRLSASDPELCGTADELLRHVDARFEGKPLVTPPERPARVSAAAAAAEEVAELVRLQHRSAERHLEGVAAKVAEMVKKGAKKAGKGAKVVVVEGAEVRRLGKWYGDAMEVMLEHARMEETVLFPDIQRASFPGVLDKANEQHGRHLPMMNGIKEDIKTLLTLELGSSLFQEVLVNLSVRLKALQDHTKEHFKEEERELLPRLEGVRRMQREEGNVSDKSNTAWASEAMGTMEMTHSKLFPFFMTGLLPQEAMQYLDLVCRCTKNTRHLVSMLRSLAERLEDANPAIIHNNPTKLYEHLLVKSP >ORUFI01G41470.2 pep chromosome:OR_W1943:1:34318304:34323639:1 gene:ORUFI01G41470 transcript:ORUFI01G41470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSPSPRRRGRPTSPSGSPPLDRTPSAKAAGGGATTTVSPYALARSPSVSAAEADGDDGVVRVYGSDGCPVAWRVRVALLYKAAAPVHFTPSEAAPLGRPVLRLSASDPELCGTADELLRHVDARFEGKPLVTPPERPARVSAAAAAAEEVAELVRLQHRSAERHLEGVAAKVAEMVKKGAKKAGKGAKVVVVEGAEVRRLGKWYGDAMEVMLEHARMEETVLFPDIQRASFPGVLDKANEQHGRHLPMMNGIKEDIKTLLTLELGSSLFQEVLVNLSVRLKALQDHTKEHFKEEERELLPRLEGVRRMQREEGNVSDKSNTAWASEAMGTMEMTHSKLFPFFMTGLLPQEAMQYLDLVCRCTKNTRHLVSMLRSLAERLEDANPAIIHNNPTKLYEHLLVKSP >ORUFI01G41480.1 pep chromosome:OR_W1943:1:34322766:34324864:-1 gene:ORUFI01G41480 transcript:ORUFI01G41480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATVGGGGGEPEAAAEWRARAVGGMEYGWYRAVPGGTGTTLLALRLARGAEAAVAAATVQAALRAILDAHPVLRARLRGSASGSPTLAFPSAAAPPPPPLALELLPVPESATDFPSLLEHELNRNPWTAAAATATASEHEPDAPPVLFATLYELPPPAGGGSALFVRIHTAACDRAASASLVRELLAQLAGDGAAAAAASEPEDAAVRASLEERIPQRDSWKPFWARGLDMVGYSINGLRTSTLPFEVTGTERSTQMLRLGFDRDETTRLLDACKQNGVKLCAAMAAATLLAARQSKLQLASNQQETYSIATLINCRKFLEPALDDHNVGFYHSAITNTHAIHGGEELWELAKRCQDSYTNAKNNKKHLTDIADLNFLITKGSYISTE >ORUFI01G41490.1 pep chromosome:OR_W1943:1:34325789:34329371:-1 gene:ORUFI01G41490 transcript:ORUFI01G41490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRASSSSLRSRLLSSSSAAWSPWSHVLSSSVHSEASNQTETLAFDEIQLSPEKPSTATAFVLHGLLGSGRNWRSFSRALASELRDRSPSDEWRMVLVDLRNHGRSAGIKGLRPPHDMSTAARDLADLVKARGWAWPDVVVGHSMGGKVALDFAESCSRGDYGESADLPKQLWVLDSVPGQVETDNSDGEVERVLQTLASLPSSLPSRKWVVDHMINLGFSKSLSEWIGSNLKKDNEHVTWAFDLQAAIDMFNSYRERSYWTLLENPPKGLDIAIVQAELSDRWLSDDVQRLKALSRRESKPDAGKVSLHVLPNSGHWVHVDNPKGLLEIMAPNFLSAAKI >ORUFI01G41500.1 pep chromosome:OR_W1943:1:34329826:34331866:1 gene:ORUFI01G41500 transcript:ORUFI01G41500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRQLLVHRLSCSGLPASRCKTSCWSSGERLPGLKSRVRLLAVAIKPPRAAAGKDEIVRADDDDDGVSLGTVKLPANIDIARFETLLFQWGNSLCQGATLPLPVPLRVDKVEGGVRLGFMAVDDGVTQVLVYIDCLVSPATAASGPVFRAIRNGPMKDQEPPGEPRIMRSLLEALQKCIQYAQV >ORUFI01G41510.1 pep chromosome:OR_W1943:1:34331210:34335254:-1 gene:ORUFI01G41510 transcript:ORUFI01G41510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGVQAYISYRVITKTNLPDFEGQEKIVIRRYSDFEWLHDRLAEKYKGIFIPPLPEKNAVEKFRFSKEFIELRRQALDLFVNRIASHPELKQSGDLKIFLQADEEKMDRERSYETGIFKKPSDFLQMFKDVQSKVSDVVLGKEKPVEESSPEYEKLKNYIFELENHLAEAQKQAFRLVKRHRELGQSLADFGKAIKLLGACEGDSLEKVFSEVGSKSEMLSVKLQREADNLLFNFEEPLKDYVRAVQSIKATMVDRANAFRQHHDLFQQKEYKGVNLEKLKFVNPDKFSELEAELTADSEEATKRFEHIVAVMNEELARFQEQKTADIGFAFHEFAKGQAKLAKDIADAWRGVLPKLEACSTS >ORUFI01G41520.1 pep chromosome:OR_W1943:1:34336819:34337353:1 gene:ORUFI01G41520 transcript:ORUFI01G41520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVLALLQWSEEGAASAQGAGEAAGAGAWLPRSPAGRDDTRSKHGVAAYSRRLSTSIESSSYGYYRSPKIVEVDIGRPKSRSSSSRRASSPLLDAGCASGGEEWCANSMSSLLPCYLPGGAAAPPPRIAVPTSRHFPYYDWCTLEKARPAMVQSTPRYAHALPKQRP >ORUFI01G41530.1 pep chromosome:OR_W1943:1:34338505:34339164:1 gene:ORUFI01G41530 transcript:ORUFI01G41530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRHKNSPSSERFLGSFLPSAAAGDQPGSAAFELDEDDLFASGAGSPERPQPSRRPLILSAVRAANPSPLPRLRRPPEGILDALPERRSPFSPPPSSSSNSSTTASPAAAAAAPPRLIPTIPRPAAALAPHIPQSAPVNVPVAQFRRLSVEALMDKAEDDDDDDEEMLPPHEMVARARARDSPMTTFSVLEGAGRTLKGRDLRQVRNAVWRKTGFLD >ORUFI01G41540.1 pep chromosome:OR_W1943:1:34348697:34350762:1 gene:ORUFI01G41540 transcript:ORUFI01G41540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGRRAPGFRFYPTEEELICFYLRNKLDGLRDDIERVIPVFDVYSVDPLQLSEIHHEMLGGGGEEGEPWFYFCPRQEREARGGRPSRTTPSGYWKAAGTPGVVYSADRRPIGMKKTMVFYRGRAPSGTKTAWKMNEYRAFHYPDASSASASSAGAAAPPNHLPPQLRSEFSLCRLYTRSGGIRQFDRRPLAGGGDENPGPSMAAAAASPEENDGSGSSMQQLELMDQGGAVDPDWDQWDDLATLTALLYWPRD >ORUFI01G41550.1 pep chromosome:OR_W1943:1:34352491:34352970:-1 gene:ORUFI01G41550 transcript:ORUFI01G41550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSATSEFRVSGSGAPEFMVAGTVWGRVGDVEARRRPEISGDGRRGLSMALGSGVVPRSPMVLAPALSSSLARYDSDLALWRREGGDDPDLEWWWWRRGGDCGRWAKEAVTRRRWAKELTTGRRRDGGGDHGAKGEGGGDLWRWAKEAGGWWEEEKRD >ORUFI01G41560.1 pep chromosome:OR_W1943:1:34363618:34364022:1 gene:ORUFI01G41560 transcript:ORUFI01G41560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADGKNRTLQEHSSAAAHRIARFGETNPQKAGGKPGETPRFQSECAVHLAAVPRHMAVCIPAGPASDVGGIGATGIPKSRPPETKCRPLVNRPENICCCYGGLPVKAIQAVYTVHGLMPVSGSNAVGRCFAHE >ORUFI01G41570.1 pep chromosome:OR_W1943:1:34364062:34366727:-1 gene:ORUFI01G41570 transcript:ORUFI01G41570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFGAEQIGAVSSFRRRKRSETRRPIESWMQDPVGYGAVDESGGGVVQAELFGSPDRRVQIVGRRWTSMDFPTRMLLWPPDPVTIDSPAVEVLELEKLR >ORUFI01G41570.2 pep chromosome:OR_W1943:1:34364636:34366727:-1 gene:ORUFI01G41570 transcript:ORUFI01G41570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFGAEQIGAVSSFRRRKRSETRRPIESWMQDPDMVQWTNLEVELYKQSCSVVRIGGFKLSDEGGPLWIFQQGCCCGRRIQLE >ORUFI01G41570.3 pep chromosome:OR_W1943:1:34364859:34366727:-1 gene:ORUFI01G41570 transcript:ORUFI01G41570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFGAEQIGAVSSFRRRKRSETRRPIESWMQDPVGYGAVDESGGGVVQAELFGSPDRRVQIVGRRWTSMDFPTRMLLWPPDPVTIDSPAVEVLELPD >ORUFI01G41580.1 pep chromosome:OR_W1943:1:34366755:34367117:1 gene:ORUFI01G41580 transcript:ORUFI01G41580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNGEGRSIASELGGIGSVAGGLGGGTRKGSGGEGEAPSVGGRGGGSAEKEGVAEWRRRGEKTERKERKEGSSRRRKATDPSRAFEHEEEKHGESDTTAYGNPPPIEGPAAGAATASAG >ORUFI01G41590.1 pep chromosome:OR_W1943:1:34369146:34369917:1 gene:ORUFI01G41590 transcript:ORUFI01G41590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYGAAAGGGPVARRPWSKVEDKVFESALVLCPEDVPDRWALVAAQLPGRTPQEALEHYQVLVADIDLIMRGAVDAPGSWDDNDGNDRRGGGGKPRGEERRRGVPWSEDEHRLFLEGLDRYGRGDWRNISRFSVRTRTPTQVASHAQKYFIRQANAGARDSKRKSIHDITTP >ORUFI01G41600.1 pep chromosome:OR_W1943:1:34371587:34374467:-1 gene:ORUFI01G41600 transcript:ORUFI01G41600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGANARRRRRLVDRGSDRLAFITGQAQSLPSDPSPDSPLYTVDAASLQRSERQLNEVGIGDDIFNYITQLQKSESGVQPTSEAHLETHKEKHQGNESDLQKLKTSNVVPEIQPVNEKAFQRHSEETLRKKNSHDRSASTQPMREMETRSRYVPPNQSNQSDSAGWSVETLKEILNFAPHEITQAISATEYNRFLASVIIGFLVVLSNWGLDVGGTITKVLVATRPILFLIVTNITIVFTLLMENKDPNVRGRPAGSNLGSADNLGQMLEIGLLLQKALSTLLIDCSVCAVIMICFI >ORUFI01G41610.1 pep chromosome:OR_W1943:1:34376760:34377074:-1 gene:ORUFI01G41610 transcript:ORUFI01G41610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPAPPPAASGLPSDDTHELGGDAVDDDEDDSLRGDVFAPLTPPLSPPGRTVADGPPPPPPRALDRLISLRHSSLELLPLFLLILAASTNTTSDHCTQLRQQH >ORUFI01G41620.1 pep chromosome:OR_W1943:1:34392069:34392299:1 gene:ORUFI01G41620 transcript:ORUFI01G41620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTVGLAPIIRIVRLSVPNELQDEPMHTWIIKSWILKYEDSTYSVFLDNENPSLRKRIL >ORUFI01G41630.1 pep chromosome:OR_W1943:1:34393659:34394393:1 gene:ORUFI01G41630 transcript:ORUFI01G41630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGRLALSSFFHNKARDTSPSPPPAPAIAPPWVWPSCKNPRTQSFRAATAPPPPPGSRTIASIFLDSAESSFTTSSARHDCSDSLSTASEASAGAEAADTADDAIVRGLRSSDRLLFDPGASATSSILEEKSSDAAGEASFIGGVAVAFESEDPYVDFRVSMEEMVVAHGVGNWGWLEEMLGWYLRANAKDTHAAILAAFIDVIVAIADPALASCSSHRRSSTCTITEESSLEVAEKQAKLAV >ORUFI01G41640.1 pep chromosome:OR_W1943:1:34402516:34403202:-1 gene:ORUFI01G41640 transcript:ORUFI01G41640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRRGSFSLRQPPVVDIGCNCRRPKLFSIFSSSSSSSFRRGGSKPKSPNASSTSTTTAFTATTGGAGTATSTDSSWGPASFTTNSLFEEPAAAQQEQEQLETRRRRRQQRRRRRRAGATSFARCGDVGGHDDEQQQLQEQAPYRRVAKESVAVAVESAEPYEDFRESMVQMVVEKEIYAWDDLNDLLHQFLSLNSPRHHPLILHAFADLWTRNGLFSPPSPCQF >ORUFI01G41650.1 pep chromosome:OR_W1943:1:34409403:34409858:1 gene:ORUFI01G41650 transcript:ORUFI01G41650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDARMVLALALTAGVFVALLSLLVAVLVRRWWRRREAVASSRGFVLFGICFNDKESQQLRMARPSLERNRRWPSRERQPGEAEDDDQEPDQCELERWKKMFGGPARSLSTIDEGTEKGTTPITTPAFCSPAASPDRRDARSLQTMSIAV >ORUFI01G41660.1 pep chromosome:OR_W1943:1:34412549:34413259:-1 gene:ORUFI01G41660 transcript:ORUFI01G41660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNHEHKVDHLDQPFYGPPVLPPVEPLSAAAARRRCVADPYALCCRAIRVLTIVVIAVGVVALVLWLVSLPNALKAYVDSAELTRFELGGSDGAKRGQLLRYNLTVAVSIRNPNRDQAVLYRRLEAVVLYGGERFGYVDFPRTRQGRKSTMVIRPSFVGQGVLAGAAAFGREKEEGFFNINVKLHMRVRLKVMVFVDSVEYRPDVDCYIRVPDPSNATAVAMGFTATRCRVDDFM >ORUFI01G41670.1 pep chromosome:OR_W1943:1:34417500:34418303:1 gene:ORUFI01G41670 transcript:ORUFI01G41670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVYSKSQFKGYIYAPDQLLHYLNKNREAATTPIPNQTRVGDSMQNEGRRPLGRSSRIPAWGSE >ORUFI01G41680.1 pep chromosome:OR_W1943:1:34419184:34419900:-1 gene:ORUFI01G41680 transcript:ORUFI01G41680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGKPQPPLNDAYYGPPIPPPPAAAYYGAAAPPPAPRRSGAHRLFCCLFRVLAVAVIALGTAVLVLWLIYRPRGVKAYADTAALSRFDLTNGGSLLVYNLTVGMRVRNPNRFGINFRSVDAQASYDGDRFGYAPLQPLYVGRKSDARFDVTLSGSAAIDDRDVERTYRRETAQGSYEVKVRVYARQGFKVRGFRLNNKSKFTCTLNLPAPSSGNGTASGTPTTVFTRKQPKCDVDY >ORUFI01G41690.1 pep chromosome:OR_W1943:1:34422823:34423488:-1 gene:ORUFI01G41690 transcript:ORUFI01G41690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSGQELHVEHGGGDPPPYPQAQPAALHPQRRRPSAFRVLVRAFIAACTVVVAVTVLVWLIYRPRAIQVAVDAATLSRFALNTTANPRPVLSFNLTAGLTIRNPSRRTAVYYDVLSADGFYRGLRFGAAALPLSYQGGRRADAVRAVLVGSSGVVSWDAGAFGEDNQTGVFPVTLWVLGAVRYKYGGLMTTSATMLSARCPLALKLVEASSRVECTVISF >ORUFI01G41700.1 pep chromosome:OR_W1943:1:34424298:34429597:-1 gene:ORUFI01G41700 transcript:ORUFI01G41700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRMDQYEVMEQIGRGAFGAAILVNHKTEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKANGTYFPEEKLLKWFAQLALAVDYLHSNFVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKADDLTSSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSPSMKSLIKSMLRKSPEHRPTASEILKSPYLQPYVNQYRPFADISHPIHSLEKPITSSRSSQKSMSGSQCSSISGSDIDSIQSSERNTSGPSTSSNNTIDTEGAEATDHVSVKNCSRSDDVKSNKETVGPELERQDSSKSIHVDQRPRNEIKQPKIIKKILTTLREESKLRQNNSPIRASRVKLNSPSNREQLSDDSKHSSDISSSSKSSEVTSRESAKVICEPVKRAQASPPLKHLSPIVEHSPKAKIKQDEPLQPDPAKQAMEDVDAAVGKVKNRTPPSYSRRLSIPPRRPLGAESTLHADTKRAHNKVIKERAKSPCRPVHGPDNDIIEPPGFPMAPPSPLGGVQMKVGNARAKSAPPRAVSIKEDSSDCSSSTIAYAENTELSEPSKQDSSAQLVSSCKCSIPDAAIQKHDLTAMPSSELNTTNFQKSMASNDDVCENLALEPSSDISEQVSIFKDNVPCSKISQSTANAIVQNDEDKFTVQELLSSVADIAPFVSTKNFALEKGSPPIQSLERTSSPHLNPPIEDVIHVIRHSSFRVCGEQAVAENAEMGVQSSDVGKLLNVVREEVDSRSIPSNNLVPHRLPDCAAPKPNISETNTISSKTACSDVVKFLTVPEVNSTTTAINNGFKEEASPTKEILDVKSFRQRAEALEGLLELSADLLQHNRLEELAVVLKPFGKDKVSPRETAIWLAKSFKGMMNDEASRSSM >ORUFI01G41710.1 pep chromosome:OR_W1943:1:34429660:34430412:-1 gene:ORUFI01G41710 transcript:ORUFI01G41710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYISRSFPHPYGDFKNPKATHTGTKTRSQRRGKSNRIAQRRRRATGCSLQRERSQVRGSRGLVGERGDAPSRCPTAGERGVAGFGGDPAVERRRRRRRSRSTPIVANVGGESREIASGVELLPVGDVEIGHWSTGD >ORUFI01G41720.1 pep chromosome:OR_W1943:1:34430411:34452681:1 gene:ORUFI01G41720 transcript:ORUFI01G41720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATNDEGSAERVKRALMQLVSPGQVIAALLALRSCRAVTEIYLYLFSVWSSGLMCPPAPRRMDTLVARQNTPEGIHWRQAAQAWAPCARLRESVASPAWTQQEAHGTWPRGPWRLPPGRNARRRKRALHVRDGDDASRPHCRRALLRRGDDYVRPRARHFQLRKRQFQLVDNTATLKFIPIMKPSIVEEVCSDPSQIFRTRTERDKVVKESTSDKTLLTVGMRLPIDNHTLPWTLGDGHRCL >ORUFI01G41730.1 pep chromosome:OR_W1943:1:34449488:34453239:-1 gene:ORUFI01G41730 transcript:ORUFI01G41730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLELQGRHGKSRVRVSRVWRRPAAAGGHVIVEWNVAVSVVSDRLPSYTSDDNSAIVATDSIKNTVYVKAKECTEIVSMEEFAVILGRHFTSLYPQVSEATVTIAERPWERVVVDGKPHSHGFKLGVEKHVTEVIVKKSGNLLINSGIQGYSLLKTTQSGFEKFVRDRYTLLPDTRERIVATEVTAWWRYPFEHVSQIPSKSFCFTQRYQDVKKVLADTFFGPPDVGVYSPSVQNTLYLMAKEVLNRFPDIASVQLRMPNLHFIPVNLGNKENPGLVKFADDVYLPTDEPHGTIEATVSRPKSKL >ORUFI01G41740.1 pep chromosome:OR_W1943:1:34461463:34462884:-1 gene:ORUFI01G41740 transcript:ORUFI01G41740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGVGGSMHVVMLPWLAFGHILPFAEFAKRVARQGHRVTLFSTPRNTRRLIDVPPSLAGRIRVVDIPLPRVEHLPEHAEATIDLPSNDLRPYLRRAYDEAFSRELSRLLQETGPSRPDWVLADYAAYWAPAAAARHGVPCAFLSLFGAAALCFFGPAETLQGRGPYAKTEPAHLTAIPEYVPFPTTVAFRGYEARELFKPSLIPDESGVSESYRFSQSIEGCQLVAVRSNQEFEPEWLELLGELYQKPVIPIGMFPPPPPQDVAGHEETLRWLDRQEPNSVVYAAFGSEVKLTAEQLQRIALGLEASGLPFIWAFRAPPDAGDGDGLPGGFKERVNGRGVVCRGWVPQVKFLAHASVGGFLTHAGWNSIAEGLANGVRLVVLPLMFEQGLNARQLAEKKVAVEVARDEDDGSFAANDIVDALRRVMVGEEGDEFGVKVKELAKVFGDDEVNDRYVRDFLKCLSEYKMQRQG >ORUFI01G41750.1 pep chromosome:OR_W1943:1:34465306:34466595:1 gene:ORUFI01G41750 transcript:ORUFI01G41750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEDDDNVDDVVGEVVNDGDGLARRRSDPRLSAFFTLENPGMAYENRPVNMSANLPLVVEPSAVVIAVSTPSGPTATEGIGAADAPTLGSSGGGGGGGGGDEGGGRRSKQSAVLICSNPSQTLIHTPMPLPKSTRPSVTASPELIKAQTAEFAVEISISSELKRTTVLHPPQKRRRLPPNRIVSCNT >ORUFI01G41760.1 pep chromosome:OR_W1943:1:34467462:34473789:1 gene:ORUFI01G41760 transcript:ORUFI01G41760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPLRDSLRRLCTDVGWSYAVFWRATRAADSQRLKLVWGDGHYERAAGAPSISGFEAMDLLLKEKAAALRSGTGRGGGGGEGHAADGAAGHSHDRVDALVHKAMAQQVHVVGEGVIGQAALTGLHRWIVHDIVDECEEEDEVLLEMKGQFCAGIQVMEEAAFIDHVRSLFQQLGSSTAVVPCGSFVQDSIMRTPFHKSLGVPTSSHSEDLAGGGNTYNDDMINHQFRHQKSPASTIQSFNPVQQFYAGPTFCRPVTIASRCDLFQPDHGSTFTLNSQSEDNRSTALLKNSVSHFKTSNDAFSHAFNPLNEPNVSISGRRECVSIEQHGSCRNGEMEITIGRTASSSCTGKTNIINKVDDLLSQDCLVGCQASNATSVNRKFQTMSIVDNTKLQDGSYAIPHAALVDSTQYSDCFQSLLGTIQGSSSSNSNAIHVDTSHNAVHGKSNFCPLGDRNAANSSDLAELLASPIPLELTGGNDLFDVLQLQQKPNGSNNSEVNNRQSMPYGSEQAVKSLIGCVDDDFTGLITEADPDQLLDAIVSKIITGHKQNVDTSASCSTTVAGFDRPLHSDCHLYTTGPSSGPIFCNFASVAPVAIKTEGPAAGSRQSSSSIDKSAGCSQTQESYKSQIRLWVENNHSVGSDSLSTGQASDSLSTGQCKRSDEIGKSNRKRSRPGESARPRPKDRQMIQDRIKELREIVPNSAKCSIDTLLEKTIKHMLFLQNVAKHADKLKGSGEPKIVSHEEGLLLKDNFEGGATWAFEVGTRSMTCPIIVEDLNPPRQMLVEMLCKERGIFLEIADQIRGLGLTILKGVMEVRKDKIWARFAVEANKDVTRMEIFLSLVHLLEPSTGSSILSAGVENTSLPRDSFFPSSIPATGFSNCL >ORUFI01G41760.2 pep chromosome:OR_W1943:1:34467462:34473871:1 gene:ORUFI01G41760 transcript:ORUFI01G41760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPLRDSLRRLCTDVGWSYAVFWRATRAADSQRLKLVWGDGHYERAAGAPSISGFEAMDLLLKEKAAALRSGTGRGGGGGEGHAADGAAGHSHDRVDALVHKAMAQQVHVVGEGVIGQAALTGLHRWIVHDIVDECEEEDEVLLEMKGQFCAGIQVMEEAAFIDHVRSLFQQLGSSTAVVPCGSFVQDSIMRTPFHKSLGVPTSSHSEDLAGGGNTYNDDMINHQFRHQKSPASTIQSFNPVQQFYAGPTFCRPVTIASRCDLFQPDHGSTFTLNSQSEDNRSTALLKNSVSHFKTSNDAFSHAFNPLNEPNVSISGRRECVSIEQHGSCRNGEMEITIGRTASSSCTGKTNIINKVDDLLSQDCLVGCQASNATSVNRKFQTMSIVDNTKLQDGSYAIPHAALVDSTQYSDCFQSLLGTIQGSSSSNSNAIHVDTSHNAVHGKSNFCPLGDRNAANSSDLAELLASPIPLELTGGNDLFDVLQLQQKPNGSNNSEVNNRQSMPYGSEQAVKSLIGCVDDDFTGLITEADPDQLLDAIVSKIITGHKQNVDTSASCSTTVAGFDRPLHSDCHLYTTGPSSGPIFCNFASVAPVAIKTEGPAAGSRQSSSSIDKSAGCSQTQESYKSQIRLWVENNHSVGSDSLSTGQASDSLSTGQCKRSDEIGKSNRKRSRPGESARPRPKDRQMIQDRIKELREIVPNSAKCSIDTLLEKTIKHMLFLQNVAKHADKLKGSGEPKIVSHEEGLLLKDNFEGGATWAFEVGTRSMTCPIIVEDLNPPRQMLVEMLCKERGIFLEIADQIRGLGLTILKGVMEVRKDKIWARFAVEANKDVTRMEIFLSLVHLLEPSTGSSILSAGVENTSLPRDSFFPSSIPATGFSNCL >ORUFI01G41770.1 pep chromosome:OR_W1943:1:34474246:34475460:-1 gene:ORUFI01G41770 transcript:ORUFI01G41770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAEVPSYFVCPISLQLMRDPVTLPTGISYDRAAIARWLAAPGARRTCPVTRQPLEHGLELTPNHTLRRLIQSWAASVSPGSAVDEEVAALRPVSSDEVASLLSDAAAAQVGALRRLRELAAECEDSRAMLESQGGVFDVLSRVVTSGSACSTAREEAVGVLASLRIPEQELIGVSTRHGNLAESLTAVLRSSNLQSRAHAVQLVRTLADAVVPAWVIGLNAELLAEVVGVVRDRVSARATKASLHALAALCPYGRHRVKIVGAGAVATLVELLLDEPERRVCELALAVLDRLCTCAEGRAELVAHAAGVAVVGKKVLRVSEAASERAVRVLRSVARHAATPAVLQEMAQCGVVGKLCLALRSEQCGVKTKEKAHEVLKLHSRVWRASPCLSPSFLALYPS >ORUFI01G41780.1 pep chromosome:OR_W1943:1:34484209:34485198:-1 gene:ORUFI01G41780 transcript:ORUFI01G41780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSHHEAMLPYAPRPPSLLVDRRYKQGAEAAPNCPRCDSPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKSSSSARSAADAVSSGRDAAFGHRFPGPVRPDMVLEGMVGNPANPGQAMPDVAAAADGSTIDLAMLYAKFLNHPPTDAGLGAVTPESGGHVDEAFDTFSASSDLSPGILAAASAQFDPNQDGFGEWSSPASGNDPTSTATTATTSMLCTDASVQAALGELNFAMDQSCFDSLGLPTDVAGAGSLSSWCSIVPSLSTWEEPKYDSLDSFPDDAMSLHECMIGAPDHDWSVDCQGLEALYMP >ORUFI01G41790.1 pep chromosome:OR_W1943:1:34502802:34503437:1 gene:ORUFI01G41790 transcript:ORUFI01G41790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEQLQQHARRLLSNGRVVSAAAAAGASPGPAGRVLEGGAAAAARRPAPFSSLDATVITVLSLLLCVLVVGLVLHAIARCAFRVTRRMCYGQEPPGDHGDEAAAERCARVARKKPGRAIAEKIPAIVCPAGGLDRLAGCGSTECAICLSEFAQGHRVRVLPRCGHGFHARCIDRWLAARQTCPTCRREPFAAAAAVQLQVYPDAAGHETP >ORUFI01G41800.1 pep chromosome:OR_W1943:1:34507279:34511000:1 gene:ORUFI01G41800 transcript:ORUFI01G41800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELDTARSSSSIEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIGDRMSKEITALAPGSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPGIVHMKCF >ORUFI01G41800.2 pep chromosome:OR_W1943:1:34507239:34511000:1 gene:ORUFI01G41800 transcript:ORUFI01G41800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPMNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGFTLPHAILRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELDTARSSSSIEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIGDRMSKEITALAPGSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPGIVHMKCF >ORUFI01G41810.1 pep chromosome:OR_W1943:1:34511181:34516270:1 gene:ORUFI01G41810 transcript:ORUFI01G41810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKPSSSGGGASSSGGGGGSGGPEAVVLANATDVSHFGFFQRGAAREFIVFVARTVAQRTQPGQRQSVQHEEYKVHSHNRNGLCAVAFMDDHYPVRSAFSLLNKVLDEYQKAFGDSWKAATKDATDAAQQWPFLTDALTKFQDPAEADKLMKIQRDLDETKIILHKTIESVLQRGERLDSLVEKSSDLSAASQKPTDTV >ORUFI01G41820.1 pep chromosome:OR_W1943:1:34516394:34520387:1 gene:ORUFI01G41820 transcript:ORUFI01G41820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEADAYRTDLMTITRYVLNEQSRNPEARGDLTILLSHIVLGCKFVASAVNKAGLAKLIGLAGETNVQASVPGNNCHLSQFLVSEIEIGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEEDEEATFVDPALRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKENVTLEDVLQPGKNMVAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDEPTAKFVEKCKFPKDGSSPKSLRYIGSMVADVHRTLLYGGVFLYPADKKSPNGKLRYTLSLLSASHFAYGTSNFILINNSEFTKSWNYSVLYEVFPMSFLMEQAGGQSFTGKERALDLVPTKIHERSPIFLGSFEDVEEIKGLYAAQAK >ORUFI01G41830.1 pep chromosome:OR_W1943:1:34520164:34521499:-1 gene:ORUFI01G41830 transcript:ORUFI01G41830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADGGEGAKPKRPAPRLNERILSSLSRRSVAAHPWHDLDTGADAPAVFNVVVEISKGSKVKYELDKKTGFIMVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGCFLRARAIGLMPMIDQGEKDDKIIAVCVDDPEYRHYNDLSELSPHRVQEIRRFFEDYKKNENKEVAVNEVLPVTAARDAIQYSMDLYAQYIEHLGQ >ORUFI01G41840.1 pep chromosome:OR_W1943:1:34521672:34523588:-1 gene:ORUFI01G41840 transcript:ORUFI01G41840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLLTSPWIPIPRHIPRQHRSVSGCSESRSRHFPVHGRCSKLWTTPCSIFPKRTDRHNINRAKSQNLATKRAISSVAGFRLPRRQLSIRRNGNGKGKAVASEEKSA >ORUFI01G41850.1 pep chromosome:OR_W1943:1:34523671:34526608:-1 gene:ORUFI01G41850 transcript:ORUFI01G41850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAEEEIAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRTTKRTIPFLFVRDPHAKNTLFQSLLKKSQQVRSNKGEGETAGAIPSRLPPSLNPQKNTDSKRQRRRRDARAMGVTKEDVEAAITSSLSPSSLVVTDTSGGCGASYEIEVVSEKFEGKRLLERHRMVNTALAPHMAEIHAVSIKKALTPAQAQPQPEPAADKAPQA >ORUFI01G41850.2 pep chromosome:OR_W1943:1:34523671:34524350:-1 gene:ORUFI01G41850 transcript:ORUFI01G41850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNPHQVGIFLRSNKGEGETAGAIPSRLPPSLNPQKNTDSKRQRRRRDARAMGVTKEDVEAAITSSLSPSSLVVTDTSGGCGASYEIEVVSEKFEGKRLLERHRMVNTALAPHMAEIHAVSIKKALTPAQAQPQPEPAADKAPQA >ORUFI01G41850.3 pep chromosome:OR_W1943:1:34524870:34526608:-1 gene:ORUFI01G41850 transcript:ORUFI01G41850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAEEEIAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRIHMPRTPCSNLY >ORUFI01G41860.1 pep chromosome:OR_W1943:1:34533409:34537389:1 gene:ORUFI01G41860 transcript:ORUFI01G41860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFEVTLASLYGLTGHHKGKTQSSLDELDDSPAIIPESRWASLPPELLREVIRRLEADESTWPSRRNVVCFAAVCRTWREMCKETVLSPEFCGKLTFPVSIKQPGPRDGMIQCYIKRNRSKSTYHLYLCLSNVVTAEGGKFVLAAKRHRKTTCTEYTISMVSGNISRSSRTNIGKLRSNFLGTKFIIYDTQPPYNGAVVPHVGRTSKRFNSTKVSPKVPSVTYNIAQVSYELNVLGTRGPRRMRCMMHSIPASSVEPGGIVPGQPEQIVPRALEDSFRSTTSFSQSFRSTTSFSKSIMDPSMDFSSARFSDISGSIMGGDDNGEIKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLVAAPSPPPAGAPTPSQPGPADPEKVILQFGKVARDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >ORUFI01G41860.2 pep chromosome:OR_W1943:1:34533482:34537389:1 gene:ORUFI01G41860 transcript:ORUFI01G41860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFEVTLASLYGLTGHHKGKTQSSLDELDDSPAIIPESRWASLPPELLREVIRRLEADESTWPSRRNVVCFAAVCRTWREMCKETVLSPEFCGKLTFPVSIKQPGPRDGMIQCYIKRNRSKSTYHLYLCLSNVVTAEGGKFVLAAKRHRKTTCTEYTISMVSGNISRSSRTNIGKLRSNFLGTKFIIYDTQPPYNGAVVPHVGRTSKRFNSTKVSPKVPSVTYNIAQVSYELNVLGTRGPRRMRCMMHSIPASSVEPGGIVPGQPEQIVPRALEDSFRSTTSFSQSFRSTTSFSKSIMDPSMDFSSARFSDISGSIMGGDDNGEIKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLVAAPSPPPAGAPTPSQPGPADPEKVILQFGKVARDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >ORUFI01G41870.1 pep chromosome:OR_W1943:1:34539700:34539957:1 gene:ORUFI01G41870 transcript:ORUFI01G41870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRAAIGAGGLPWTAIAGGTGSQPVAAWEGSAGGTGGQRPGGPHGRRRVRRISRAARMATPWAAIGAGGLPRTASAGGTGGHP >ORUFI01G41880.1 pep chromosome:OR_W1943:1:34541405:34556323:1 gene:ORUFI01G41880 transcript:ORUFI01G41880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLFTSRDTVEGSFDQRFATLKSIGEDRVKDSELELLLKKKSAPVCYVWCDPTPFMRISQGIITTLSVNKMVKSGYKVKILMADWIAQMNRNIGGNLSEMRTIGLYNIEMWKAAGMALDRVEIVWLSDEISRLADEYWPLAIDVARKTTVSIIKRCCGRDLIEEFTAADIFYLSFQCATILFQKVDIWLLGMDQHEANLLAREYCKRVKRRNKPVAVSHKKLPNLLQYPEEEHRRNPFLAIFMDDSTVDISRKIKHAFCPPKLAEGNPCLEYIKYIILPWYGKFEVVREKEDGGNKTFLSMEELTADYVSGVLHPGDMKLALANSLIKILQPVYDHFKSNAEAKKALQGIEKYYKI >ORUFI01G41880.2 pep chromosome:OR_W1943:1:34541405:34554111:1 gene:ORUFI01G41880 transcript:ORUFI01G41880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLFTSRDTVEGSFDQRFATLKSIGEDRVKDSELELLLKKKSAPVCYVWCDPTPFMRISQGIITTLSVNKMVKSGYKVKILMADWIAQMNRNIGGNLSEMRTIGLYNIEMWKAAGMALDRVEIVWLSDEISRLADEYWPLAIDVARKTTVSIIKRCCGRDLIEEFTAADIFYLSFQCATILFQKVDIWLLGMDQHEANLLAREYCKRVKRRNKPVAVSHKKLPNLLQYPEEEHRRNPFLAIFMDDSTVDISRKIKHAFCPPKLAEGNPCLEYIKYIILPWYGKFEVVREKEDGGNKTFLSMEELTADYVSGVLHPGDMKLALANSLIKILQPVYDHFKSNAEAKKALQGIEKYYKI >ORUFI01G41880.3 pep chromosome:OR_W1943:1:34541405:34556323:1 gene:ORUFI01G41880 transcript:ORUFI01G41880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPRSLRKAAVPPSLLSDPSPGSLQPTRLAVHVNAAGSSCSAYLASGCRVYKIEIAMEGEMLSKGKESLLIPINAEVISSSVVDRCPHRSEIQSVVLAEGEGDGCLILGTVDSYGHLIVSRLDTVADGPPIQYHLVIVVSEKEVGLGYVAVARELCKCIDIYDQDIHVRSLRTLWYPSSFSFAQCMPQVNESGSMLAIAEGSQLSIWDLRTSNNGGCIHRISGPIGGIIYSLCSSPSGPIAVGGTDRTVTIYDPRRWSALSRWVGCSKYEITGLSFSSVDESFIYVQGVDYEITCGLWKGNERAFSFRGDSNWLGFSKCANTDVVAGWCESGSVFVADVRQDCLSVIGPTLLQF >ORUFI01G41890.1 pep chromosome:OR_W1943:1:34554719:34557276:-1 gene:ORUFI01G41890 transcript:ORUFI01G41890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVMASSSPSHTASDLARFAAGRGGGGSAGLGSMNVEEILRGIYADMPTPALPLVGGDRPMSPLPAPDVAAAPRTAEEVWKEITGAGVAAAAGGVVPPAAAAAAAPAVVAGAGAGTGAEMTLEDFLAREGAVKEDEAVVTDPSAAKGQVVMGFLNGAEVTGGVTGGRSRKRHLMDPMDRAAMQRQKRMIKNRESAARSRERKQAYIAELESLVTQLEEENAKMFKEQEEQHQKRLKELKEMVVPVIIRKTSARDLRRTNSMEW >ORUFI01G41900.1 pep chromosome:OR_W1943:1:34559398:34561384:-1 gene:ORUFI01G41900 transcript:ORUFI01G41900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELERRWRAHRPATQMEPRVGVNGGAVDGRRWCWSSRRQSGDHVADGHVAGDGVGAPAEGAPADHADGAPGGKSGDHVAGGRVSGGRVLLSPSGTSSLRRSHRRPPPPHSLGAQRQRRSYLDGIGGRRVSRRYPSRWYTGPVTVILDSTSGSELRYASIADYPLLQDNGPPPPASTPYLHSRAKDHASSSSSSTQADAVSTQRTECTLSTAIRTRASSTTPCLELTTGAHRYGGDKGKRKEDREIEEEGGRDSYWPPLQDEATKRQPVSGAKSSRFAGDGNGPRRVAWRT >ORUFI01G41910.1 pep chromosome:OR_W1943:1:34562206:34563036:1 gene:ORUFI01G41910 transcript:ORUFI01G41910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLEYHLIPRNYATIIFYVNRMIPYRYHLISSWYRVIPIRYHTILPRIRYQTIPITYQAIVVRSWASRCRGIVACGRVLPRPRSTLNPNLVAGSRILPRPRSTSELVANDRVLRRELVTGSHVRRPRLSRRLRPPRWSSLPAPPPSPRRILRRPRPTPELVAGAVSVTTQILRRPCDHAPRRSSPAAASSMLEFIAGGRVVGAGRVRAAGVSRICAASTVACTLVFEGGGQGAIPLG >ORUFI01G41910.2 pep chromosome:OR_W1943:1:34562206:34563036:1 gene:ORUFI01G41910 transcript:ORUFI01G41910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLEYHLIPRNYATIIFYVTIPITYQAIVVRYHMIPIRSWASRCRGIVACGRVLPRPRSTLNPNLVAGSRILPRPRSTSELVANDRVLRRELVTGSHVRRPRLSRRLRPPRWSSLPAPPPSPRRILRRPRPTPELVAGAVSVTTQILRRPCDHAPRRSSPAAASSMLEFIAGGRVVGAGRVRAAGVSRICAASTVACTLVFEGGGQGAIPLG >ORUFI01G41910.3 pep chromosome:OR_W1943:1:34560985:34562420:1 gene:ORUFI01G41910 transcript:ORUFI01G41910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKELVNLVMAGCGNRMIPGRYHLIPVRYQDLIHRKHHPPRGKNRMIPDRISFVKPNDTRHDTDEDHDTSQVSHDPPKVSPMKPGETGHDTKEDHDTSQV >ORUFI01G41920.1 pep chromosome:OR_W1943:1:34563637:34573610:1 gene:ORUFI01G41920 transcript:ORUFI01G41920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGEAVAEWGKEGEGGLRHRWRAEAVGASSSFAEGMGEFVLRSMDARFSGSADADGFPSSRHPGFGHSKSTTATSDCSKGQEHVFVRSYSDRLLKCDLTLDMLSENEKIKIIENLVKIQNDGTLEVDVKRSALIASELSEIDAFGSLSRDIVEAAPGLSKSVPKLKIVILVVGTRGDEFGHYVRLATHANFRTFVKSAGIDFYPLGDMTKNKGFCLAGPTEISVQRKQLKEIIFSVLPACTEPDLDTGLPFRAQAIIANPPALGHLHIAEALGVPLHIFFTFPWTPTNEFPHLLARMPQSATYRLSYLILDLVIWWGTRGFINEFRKKLKLAPIAYFSTYHGSISHLPTGYMWSPHLMPKPNDWGPLVDVVGYCFLNLGTKYQPPQELSQWLQQGPKPIYIGFGSMPLGDEKKVTSVILDALRETGQRGIISRGWGDLGSFSEVPVDVFILEDCPHDWLFPRCAAVVHHGGAGTTAAGLVAGCPTTIVPFFGDQFFWGERIHAQGVGPAPIPIAELTVEALSNAIRFMLDPEVKSRTMELAIAIGNEDGVAAAVDSFHRHLPAELPLAPPPTDVKEEQLDFFQWFSQALEKCCFPFNP >ORUFI01G41930.1 pep chromosome:OR_W1943:1:34574387:34577065:1 gene:ORUFI01G41930 transcript:ORUFI01G41930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNHGDTIPLHPSSAQSDMDEIESLIYAAPSATVLPARPPSPPRASIPVSTSPAPLPAPAKPSLPGASVPIIVPQAPPASVSVPIASDGFGPPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALVCMLKDNVILKIIVVTVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGFLIIAID >ORUFI01G41940.1 pep chromosome:OR_W1943:1:34577967:34582604:1 gene:ORUFI01G41940 transcript:ORUFI01G41940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEISESELLQVVQPFGTVAKLVMLRAKNQALVQMEDLASAVNVIQYYNTIQPSVRGRNVYLQYSSHQELTTDQSSHGRNPDQAFKPSYNISHVKVQYKLMVLYILSELQVHYNNDRSRDFTNPSLPTEQRSRSSQPSYNDPSSLFGFQQPGDPYAQMSKAAMIAAAFGGTLPPGVSGINDRCTLLVSNLNTDKIDEDKLFNLFSMYGNIVRIKILKNKPDHALIQMADGLQAELAVLYLKGAMLFGKKLEVNYSKYPTVTADPDARDYSTSHLNRFNSNVVKNYRHCCAPTKMIHISALPQDITEDTIHSLVGEHGTIANSRLFETNGKTQALVLFESVEEATEALVEKHASKLDRTNIRISFSQMQNI >ORUFI01G41950.1 pep chromosome:OR_W1943:1:34582803:34585457:-1 gene:ORUFI01G41950 transcript:ORUFI01G41950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEESTSIPLSQAAEAVDPEDPAKSPPRPSSPTTSTRKACCAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYAKLGIDIFGLGFLTSLVFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVRHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQVIASLEPTPRKSQNIRLNRIMT >ORUFI01G41950.2 pep chromosome:OR_W1943:1:34582803:34585457:-1 gene:ORUFI01G41950 transcript:ORUFI01G41950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEESTSIPLSQAAEAVDPEDPAKSPPRPSSPTTSTRKACCAVLQSWVSRKFMTGWYIFDRNQVKHVTKQKVKNHAFVVLFPVAVTFFITWWFIQFVDGFFSPLYAKLGIDIFGLGFLTSLVFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVRHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQVIASLEPTPRKSQNIRLNRIMT >ORUFI01G41960.1 pep chromosome:OR_W1943:1:34587497:34590065:-1 gene:ORUFI01G41960 transcript:ORUFI01G41960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFDLADQRGPRGGGDRPVKGGGDGRAAAAAAATAMTVAGASELMSGYYQAQEMSTMVSALARVERRREEQAMHELAGYACGGAPSPEFAGSEQSSDTQSASAATMDEHHSPVGGGGNAEGPDTPRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLYPAAATTTTAAPPPAPVAAASPSAAIYPGASQSAEYLRYQMLLQGRLTTATPNQGTLLPFYGGGGGGGSMTNPYGGGGGGAMSGFLGSYYSFPTPSVSVATVPSSTSSAPGNYYSSHGGSHQSMSAAEEWNWENALVYPATAASWSESSYHHHPPPPHTQ >ORUFI01G41970.1 pep chromosome:OR_W1943:1:34612086:34617478:-1 gene:ORUFI01G41970 transcript:ORUFI01G41970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQPQSQRQRVYEAWKGNNRFFFGGRLIFGPDAKSLLFSVALIFVPVAVFCAFVARNLRHQFPAYNAGYAILAVAIVLAIYVLSLLFITAAQDPGIVPRASHPPEEEFHYDNLSLADTPGRLVFPRVKDVMVNGVPVKVKYCETCMRNYRYFFLFVSSASILCIYVFAMSALYIKILMDGDYPTVWKALKHSPASLALLIYCFICLWFVGGLTGFHTYLISTNQTTYENFRYRADGRPNAYDRGCMNNFLEVFYTKVPPSKHKFREPIQEEARAPPANRAVEREEEPVGARTKVEDDLDIGGDLLKISQRHNYDGIDIEMGGGDRNSRNEAVSNSKLISKTDAQAPTVEDEVQHPSRGERSRSSDLASEGITTSAPAHLVVNAEDIGIITDMLPSQPDSELPFECWSCRCSTGIL >ORUFI01G41970.2 pep chromosome:OR_W1943:1:34612779:34617478:-1 gene:ORUFI01G41970 transcript:ORUFI01G41970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQPQSQRQRVYEAWKGNNRFFFGGRLIFGPDAKSLLFSVALIFVPVAVFCAFVARNLRHQFPAYNAGYAILAVAIVLAIYVLSLLFITAAQDPGIVPRASHPPEEEFHYDNLSLADTPGRLVFPRVKDVMVNGVPVKVKYCETCMRNYRYFFLFVSSASILCIYVFAMSALYIKILMDGDYPTVWKALKHSPASLALLIYCFICLWFVGGLTGFHTYLISTNQTTYENFRYRADGRPNAYDRGCMNNFLEVFYTKVPPSKHKFREPIQEEARAPPANRAVEREEEPVGARTKVEDDLDIGGDLLKISQRHNYDGIDIEMGGGDRNSRNEAVSNSKLISKTDAQAPTVEDEVQHPSRGERSRSSDLASEGITTSAPVSQPAFPFRREAL >ORUFI01G41980.1 pep chromosome:OR_W1943:1:34620779:34631651:-1 gene:ORUFI01G41980 transcript:ORUFI01G41980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGSADAGASGRRSRARGSEAVARSAALERLRAIRDGGARAAAAVQVRIEAPIYDTVAEEDYAALVARRRKDAGAFIVDDDGLGYADDGREEDWTHRTIHSSSDEGSDGEDGAPRKRKQPRPQSKRPPQQSAAAASLSAAAAMMGKQRLSSMFTSSVFRKPGSDRGRDSSLAADSIVDDVIAEFAPDDNDREERRRRVGRVCAPAPAPTTTAHIKAENVAVDTAMAFRSDNVFEAHEVSDHGNDMDMELKPDVEMEPKLDTPLGASAELANNSNSLEEPKQEANGEVKIEKVHRLNAKIKTEDSRNGDMASATAGWMKICGDGDNAGGEGAVAANSNTGVDESSEFELKDGALPFYILDAYEEPFGANSGTVYLFGKVEVGKRFHSCCVVVKNMQRCIYAIPSSSIFPRDTISRLEKNSTTSDSSPSLRASLHELASGLKSEIADKLSDFNVSNFAMTPVKRNYAFERTDLPNGEQYVLKINYPYKDPALPTDLRGQHFHALLGTNNSALELLLIKRKIKGPSWLSISKFLACPATQRVSWCKFEVTVDSPKDISVLMTSTTLEVPPVVVAAVNLKTIINEKHNVHEIVSASVICCHRVKIDSPMRSEDWQKRGMLSHFTVMRKLEGSIFPIGLSKESSDRNQKAGSNVLALESSERALLNRLMIELSKLDCDVLVGHNISGFDLDVLLHRAQTCKVPSNMWSKIGRLRRSVMPRLTKGNTLYGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLAETQLKKERKEVSPHDIPPMFQSSGALLKLVEYGETDACLALELMFHLSVLPLTRQLTNISGNLWGKTLQGSRAQRVEYLLLHAFHARKFIVPDKFARSKEFNSTKRKMNPDTEAARPDEADPSIDDEGHHVDQGKTKKGPSYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTVDRSADGNVPNLPASKTTGVLPESLVERRRMVKSWLKTASGLKRQQFDIQQQALKLTANRFYAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIHTGLDDISRAKGIAGKVIQEVNKKYRCLEIDLDGIYKRMLLLKKKKYAAIKVALDGSLRENIERKGLDMVRRDWSLLSKEIGDFCLNQILSGGSCDDVIESIHSSLVQVQEQMRGGQTELEKYIITKSLTKAPEDYPDAKNQPHVALRLKQNGYSGCSAGDTVPYIICSQQDSESTHSGGIAQRARHPEELKRNPDKWMIDIDYYLSQQIHPVVSRLCASIQGTSPARLAECLGLDSSKFQSRLTESDNQDTSSMLLSVIDDEDERYRGCEPLRLSCPSCSTTFDCPPVSSLIIGSSSGNVSNPNEGNDASINFWRRMRCPRCPDDTDESRVSPAVLANQMKRQADSFINLYYKGLLMCDDEGCKYSTHSVNLRVMGDSERGTICPNYPRCNGHLVRQYTEADLYRQLSYFCYVVDATRCLEKLDQKARLPFEKEFAALSQTINLALMEVQKIRDRYLQ >ORUFI01G41980.2 pep chromosome:OR_W1943:1:34620779:34631651:-1 gene:ORUFI01G41980 transcript:ORUFI01G41980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGSADAGASGRRSRARGSEAVARSAALERLRAIRDGGARAAAAVQVRIEAPIYDTVAEEDYAALVARRRKDAGAFIVDDDGLGYADDGREEDWTHRTIHSSSDEGSDGEDGAPRKRKQPRPQSKRPPQQSAAAASLSAAAAMMGKQRLSSMFTSSVFRKPGSDRGRDSSLAADSIVDDVIAEFAPDDNDREERRRRVGRVCAPAPAPTTTAHIKAENVAVDTAMAFRSDNVFEAHEVSDHGNDMDMELKPDVEMEPKLDTPLGASAELANNSNSLEEPKQEANGEVKIEKVHRLNAKIKTEDSRNGDMASATAGWMKICGDGDNAGGEGAVAANSNTGVDESSEFELKDGALPFYILDAYEEPFGANSGTVYLFGKVEVGKRFHSCCVVVKNMQRCIYAIPSSSIFPRDTISRLEKNSTTSDSSPSLRASLHELASGLKSEIADKLSDFNVSNFAMTPVKRNYAFERTDLPNGEQYVLKINYPYKIDSPMRSEDWQKRGMLSHFTVMRKLEGSIFPIGLSKESSDRNQKAGSNVLALESSERALLNRLMIELSKLDCDVLVGHNISGFDLDVLLHRAQTCKVPSNMWSKIGRLRRSVMPRLTKGNTLYGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLAETQLKKERKEVSPHDIPPMFQSSGALLKLVEYGETDACLALELMFHLSVLPLTRQLTNISGNLWGKTLQGSRAQRVEYLLLHAFHARKFIVPDKFARSKEFNSTKRKMNPDTEAARPDEADPSIDDEGHHVDQGKTKKGPSYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTVDRSADGNVPNLPASKTTGVLPESLVERRRMVKSWLKTASGLKRQQFDIQQQALKLTANRFYAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIHTGLDDISRAKGIAGKVIQEVNKKYRCLEIDLDGIYKRMLLLKKKKYAAIKVALDGSLRENIERKGLDMVRRDWSLLSKEIGDFCLNQILSGGSCDDVIESIHSSLVQVQEQMRGGQTELEKYIITKSLTKAPEDYPDAKNQPHVALRLKQNGYSGCSAGDTVPYIICSQQDSESTHSGGIAQRARHPEELKRNPDKWMIDIDYYLSQQIHPVVSRLCASIQGTSPARLAECLGLDSSKFQSRLTESDNQDTSSMLLSVIDDEDERYRGCEPLRLSCPSCSTTFDCPPVSSLIIGSSSGNVSNPNEGNDASINFWRRMRCPRCPDDTDESRVSPAVLANQMKRQADSFINLYYKGLLMCDDEGCKYSTHSVNLRVMGDSERGTICPNYPRCNGHLVRQYTEADLYRQLSYFCYVVDATRCLEKLDQKARLPFEKEFAALSQTINLALMEVQKIRDRYLQ >ORUFI01G41990.1 pep chromosome:OR_W1943:1:34632829:34639049:1 gene:ORUFI01G41990 transcript:ORUFI01G41990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRITVLAIALLVLILSPQMAVQGKPAAGNTASPRPKQQQLGNFFKKHGSDIAGLFPRHRNGGSSGSYSGQAVPADGGENGGGGQSQDPATNTGMYVLSFSVGTPPQVVTGVLDITSDFVWMQCSACATCGADAPAATSAPPFYAFLSSTIREVRCANRGCQRLVPQTCSADDSPCGYSYVYGGGAANTTAGLLAVDAFAFATVRADGVIFGCAVATEGDIGGVIGLGRGELSPVSQLQIGRFSYYLAPDDAVDVGSFILFLDDAKPRTSRAVSTPLVASRASRSLYYVELAGIRVDGEDLAIPRGTFDLQADGSGGVVLSITIPVTFLDAGAYKVVRQAMASKIELRAADGSELGLDLCYTSESLATAKVPSMALVFAGGAVMELEMGNYFYMDSTTGLECLTILPSPAGDGSLLGSLIQVSLLSCRRRADFTKVVHFDNVSSGLGGKLFAVWRSEFGETGGLGIAWEGLNLGPSWAAKMNRNYTEMGFNLSSSSPRNKN >ORUFI01G41990.2 pep chromosome:OR_W1943:1:34637201:34639688:1 gene:ORUFI01G41990 transcript:ORUFI01G41990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSHAHALAFLVLAVLMPQMASPGKLPRFTMGPRTNKQLRDFFKNHGSDMADLVPSGQGGHEQGGGSSSNGQSQQGGGDSGGGGGGGNQAPATNAGMYVFSYGIGTPPQQVSGALDISSDLVWTACGATAPFNPVRSTTVADVPCTDDACQQFAPQTCGAGASECAYTYMYGGGAANTTGLLGTEAFTFGDTRIDGVVFGCGLKNVGDFSGVSGVIGLGRGNLSLVSQLQVDRFSYHFAPDDSVDTQSFILFGDDATPQTSHTLSTRLLASDANPSLYYVELAGIQVDGKDLAIPSGTFDLRNKDGSGGVFLSITDLVTVLEEAAYKPLRQAVASKIGLPAVNGSALGLDLCYTGESLAKAKVPSMALVFAGGAVMELELGNYFYMDSTTGLACLTILPSSAGDGSVLGSLIQVGTHMMYDINGSKLVFESLAQAAAPPPSGSSQQTSSKTNQQAGGRRSASAPPPLISPAVFVIHFMLVVRSVPVPPGTNIMTVGSGLIDPTAVLFRSAVFLFRVTLKSRSAAAVFLFRVAAVFLFRSSALVFLFRPVVAVSATAVSSFGAVRSAYASSVAAEAEADEPAAEYVVAAAEDPLRWRHRSRPWLRRDEGGDDGGGGGTPIFSPDPTLLRFNGWMIFGTDRY >ORUFI01G42000.1 pep chromosome:OR_W1943:1:34642651:34649795:1 gene:ORUFI01G42000 transcript:ORUFI01G42000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASHARAPLLLLLLMCSCLLLSPVAADRAAYIVHMDKSAMPAHHSDHREWYSATVATLTPGAPRGGRGGPRIVYTYDEALHGFAATLSASELGALRLAPGFVSAYPDRRADVLHDTTHSTEFLRLSPFGGLWPAARFGEGVIIGVIDTGVWPESASFDDGGMPPVPSRWRGECEAGQDFTPDMCNRKLIGARYFNRGLVAANPTVTVSMNSTRDTLGHGTHTSSTAGGSPAPCASFFGYGRGTARGVAPRAHVAMYKAMWPEGRYASDVLAAMDAAIADGVDVISISSGFDGVPLYEDPVAIAAFAAIERGILVSASAGNDGPRLGTLHNGIPWLLTVAAGMVDRQMFAGSIYLGDDTRSTITGITRYPENAWIKDMNLVYNDTISACNSSTSLATLAQSIVVCYDTGILLDQMRTAAEAGVSAAIFISNTTLITQSEMTFPAIVVNPSDAASLLSYINSSARPTATIKFQQTIIGTRPAPVVAAYSSRGPSRSYEGVLKPDIMAPGDSILAAWAPVAPLAQVGSTALGSDFAVESGTSMACPHAAGVAALLRAAHPDWSPAMIKSAMMTTATAVDNTFRPIGDAGHGDAAASPLAIGAGQVDPNAAMDPGLVYDAGPEDFVELLCSTNFTAAQIMAITRSKAYNCSFSTNDMNYPSFIAVFGANDTSGDMRFSRTVTNVGAGAATYRAFSVSPSNVEVTVSPETLVFTEVGQTASFLVDLNLTAPTGGEPAFGAVIWADVSGKYEVRTHYVLRTYVRARYTYMAASLAIVAVVVALCVAAAASAETATYIVHMDKSAMPSGGGGGNGSTSLESWYAATLRAAAPGARMIYVYRNAMSGFAARLSAEQHARLSRSPGFLSSYLDAPVTRRDTTHTPEFLGVSGAGGLWETASYGDGVIVGVVDTGVWPESGSYRDDGLPPVPARWKGYCESGTRFDGAKACNRKLIGARKFSAGLAAALGRRNITIAVNSPRDTDGHGTHTSSTAAGSPVPGASYFGYAPGVARGMAPRARVAVYKVLFDEGGYTTDIVAAIDQAIADGVDVLSISLGLNNRPLHTDPVAIGSFAAMQHGIFVSTSAGNDGPGLSVLHNGAPWALTVAAGTVDREFSGIVELGDGTTVIGESLYAGSPPITQSTPLVYLDSCDNFTAIRRNRDKIVLCDAQASSFALQVAVQFVQDANAAGGLFLTNDPFRLLFEQFTFPGALLSPHDGPAILRYIQRSGAPTAKIAFRATLLNTKPAPEAAAYSSRGPAVSCPTVLKPDIMAPGSLVLASWAESVAVVGNMTSPFNIISGTSMATPHAAGVAALLRAVHPEWSPAAIRSAMMTTAATLDNTGRSINDMARAGHAATPLAMGSGHIDPNRAADPGLVYDAVPGDYVELMCAMGYNLSDIRAVTQWSTYAVNCSGASSPDLNYPSFIAYFDRRSAAAAAETKTFVRVVTNVGAGAASYRAKVKGNLGGLAVSVTPSRLVFGKKGETQKYTLVLRGKIKGADKVLHGSLTWVDDAGKYTVRSPIVATTLSSTRL >ORUFI01G42010.1 pep chromosome:OR_W1943:1:34651140:34656664:-1 gene:ORUFI01G42010 transcript:ORUFI01G42010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLRLQPPRFTPLPRRRVAGGGHHRRRRAAAPPPPLALRSQWRIPDVDADAVQERVRSWLSRARGAIADAANAAREKGRSKEEAEGRKKRRKEALEEQALVAVPEITVERRVGRGWLSLDAVVTIEQFARLNGLTGRKVQRIFEALAPSHVQNDARNLVEYCCFRYLSRDNSDLHPSLKELAFQRLIFMTMLAWEDPYGEDDDTESSLDNYSILGRLVGEDAFVRIAPAVAGVADVSTAHYLFRALVGSEKGLSLDIWTTYLGELLKVHHGRQTHKSGDHFLSDEQVLCIGSSRKRPVLKWEENTAWPGHLTLTNKALYFEAIGLTSTNKPLKLDLTDRNSRVEKAKVGPFGSRLFDSAVSVSSGSVSNEWTLEFVDFGGEMRRDVWLAFISEIISVYRFINEYGPRDDDPAIHHVYGAHRGKKRATLAVKFWGGPLVTNSKAASQRASQWHRPSEDSSSAHAHIFDIDGSVYLRKWMTSPSWTSSRSANFWRNSSVKHGVILSKSLVVADKNLVEKAMVACKEKSKIVERTQATIVAATIEGIPSNIDLFKELILPFAIVSEKFYKLKRWENSRTTACFLLVVYTIIFRNMLSYVLPFSLMMLALSMLALKGLKEQGRLGRSFGKVTIKDQPPSNTIQKIIALKEAMASVENYLQNLNLSLLKIRTIFLAGQPEVTTQVALVLLASSAVLLVVPFKYVLAFFMFDQFTRELEFRREMVKAFMSFMKERWESIHAAPVVVLPYEDGGEQHNKTLPPKSTQQTQSGSVQSTDTYMNLSNGTYTLDI >ORUFI01G42010.2 pep chromosome:OR_W1943:1:34651140:34656664:-1 gene:ORUFI01G42010 transcript:ORUFI01G42010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLRLQPPRFTPLPRRRVAGGGHHRRRRAAAPPPPLALRSQWRIPDVDADAVQERVRSWLSRARGAIADAANAAREKGRSKEEAEGRKKRRKEALEEQALVAVPEITVERRVGRGWLSLDAVVTIEQFARLNGLTGRKVQRIFEALAPSHVQNDARNLVEYCCFRYLSRDNSDLHPSLKELAFQRLIFMTMLAWEDPYGEDDDTESSLDNYSILGRLVGEDAFVRIAPAVAGVADVSTAHYLFRALVGSEKGLSLDIWTTYLGELLKVHHGRQTHKSGDHFLSDEQVLCIGSSRKRPVLKWEENTAWPGHLTLTNKALYFEAIGLTSTNKPLKLDLTDRNSRVEKAKVGPFGSRLFDSAVSVSSGSVSNEWTLEFVDFGGEMRRDVWLAFISEIISVYRFINEYGPRDDDPAIHHVYGAHRGKKRAVSSAANSIARLQSLQFIRRLYEDPAKLVQFSYLSNAPFGDVVLQTLAVKFWGGPLVTNSKAASQRASQWHRPSEDSSSAHAHIFDIDGSVYLRKWMTSPSWTSSRSANFWRNSSVKHGVILSKSLVVADKNLVEKAMVACKEKSKIVERTQATIVAATIEGIPSNIDLFKELILPFAIVSEKFYKLKRWENSRTTACFLLVVYTIIFRNMLSYVLPFSLMMLALSMLALKGLKEQGRLGRSFGKVTIKDQPPSNTIQKIIALKEAMASVENYLQNLNLSLLKIRTIFLAGQPEVTTQVALVLLASSAVLLVVPFKYVLAFFMFDQFTRELEFRREMVKAFMSFMKERWESIHAAPVVVLPYEDGGEQHNKTLPPKSTQQTQSGSVQSTDTYMNLSNGTYTLDI >ORUFI01G42020.1 pep chromosome:OR_W1943:1:34657394:34658049:-1 gene:ORUFI01G42020 transcript:ORUFI01G42020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSRKILTESSRRRLVAVFVWSPVKALNKAGLEASVWAYGSSGMVIWWPSPYIVASGVLLMASFFEWLFPPLQCLAVAAVVAGAPPMVRRGFAAASQLLQASAEMSSLMGMLPAKAVLATTGDVVSVRDVRVGDVVAVRAGEIVPVDGVVVDG >ORUFI01G42030.1 pep chromosome:OR_W1943:1:34659610:34663299:1 gene:ORUFI01G42030 transcript:ORUFI01G42030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAQPVSAAVAPVTGRRKGAAASRKWMVVPAVGEERRVEFGKHQIMKMTGLPGRDLRVLDPVLSYPSTILGRDRAIVVRLQGVKAIITATEVLVPDHDDVLLASFLLDLRSRLSLPDAAPSTNPAAADRGNGTEQGDQGSVPGLAISGAGNAKIPPFEFKVLEVCLEHACKDLESQVSTLNLDHVRNLKSRMVDLSGRVQKIRDELEHLLDDDMDMSEMYLTRKLSFQGLSGSLSRADSHKYASVDHDDDREEEDHDDETESGRESSVYVKPDIEELEMLLEAYFVQIDGTLNTLYHIREYADDTEDYINIMLDEKQNQLLQMGVMLTTATVVVTAGIVVVSLFGMNIHIDLMKDPETPEMVRMSNMHFWETTFGTVAGCIAIYLLAIYAGRKSKILQ >ORUFI01G42030.2 pep chromosome:OR_W1943:1:34659610:34663299:1 gene:ORUFI01G42030 transcript:ORUFI01G42030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAQPVSAAVAPVTGRRKGAAASRKWMVVPAVGEERRVEFGKHQIMKMTGLPGRDLRVLDPVLSYPSTILGRDRAIVVRLQGVKAIITATEVLVPDHDDVLLASFLLDLRSRLSLPDAAPSTNPAAADRGNGTEQGDQGSVPGLAISGAGNAKIPPFEFKVLEVCLEHACKDLESQVSTLNLDHVRNLKSRMVDLSGRVQKIRDELEHLLDDDMDMSEMYLTRKLSFQGLSGSLSRADSHKYASVDHDDDREEEDHDDETESGRESSVYVKPDIEELEMLLEAYFVQIDGTLNTLYHIREYADDTEDYINIMLDEKQNQLLQMGVMLTTATVVVTAGIVVVSLFGMNIHIDLMKDPETPEMVRMSNMHFWETTFGTVAGCIAIYLLAIYAGRKSKILQ >ORUFI01G42040.1 pep chromosome:OR_W1943:1:34663313:34677299:-1 gene:ORUFI01G42040 transcript:ORUFI01G42040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPEQAVREVGKRLAQPRLGKDALVKLLKASSVASLVSSCLNRSKSTALPFPVERCFDFRAFELQAESALSELSQSSSLQEALHPLSKSLVQTTLLTHRDKDVKLLVAVCFIEVMRVLAPDPPFSDEIFKEIFRLFISVFADLAETSSPYLPRRILILENVAALRCSVIMLDVGCQDLVLDMVRIFFSAVKQGLQQSVCQAMLSIMTQILNEKVTQPLLDVILRNLVKEDKGASHKLAVDIIQNCAEKLEPVLRTFLSSCIFNKDVPANETRKQHHKIILEMFQCAPQMLFAVIPHLTHELLSDRVDIRLEAVHLIGRLLVLSNLRFAQENQLIFKEFLKRFSDKSAEVRIAAIDAAKVCYMAISSGNEAEDILSRLLDFDDKVRIRAVAAVCDMAKSNLNSFPAKVSVRKHVMLKLLDLYRDYCKKCSKGIATVNFHYEQIPAQLLTLCFDKDSEIFRPQNMELILAEELFPSSLSPKERAIHWVEFFSYFKPQHIKALHIIFSLKRRLQLEMQAYLSLRAKKEEPSDEIQKKFCASFRNMSVAFADASNVEECLKNLHQLKDNNIFKDLTELSYEGSSFATVQSIRDLFLKRIGNKHPLYNFCKVLSVKCSHSIFNWEMIYAILEVLFSHRNELTNHVEAACDLLLLVSKVFPSLFQGSEEYLIKLFSEESVLINEKTLEMLAHLAKSGCHLSIDFSDDVYPLLEQKCIEGTRAESKYAVAAIDSLIQSPNDEKFARLCEKVVAALDDNYNVPTLLQSLGLILEHSPSMYKLYDKKIMNFVQDILCSTEIYCLKTLVKSCLPRSTVRDRIEHFLKILLDIILEKFKAITLCENDRPYLKLAAGKSDSSYTVRKSFICKLHDLIMEHAIPIKYACAFALASTDCSRDVRTESTSPLVMMLRTLIEMDDEHGHNTSSVPILMGIFRAIQMAGDLAEAEDLAECGITHKLHILSRIGLLIVKELDKHCKMSDSPRHFPLPSSYFRVSGSARKTDECCQGDLISDSFVKRILGAHGPVHPDDTKCSDNAERVSTEVAPDKEARSSLSNIVGQNASCHDKGKRNKKQDQTTNHSLEKEKVSSCGSAGTKLSSPASLGLAKEADSIDSISLLENQNRPESRSSTGETRASETDHNYSNRRETVMKDTGTVLVGCRIRLWSARDMCYICGTVETYDQSNGFHKIIYENGDKELVRLECQKWEFISDTISTVKDIPNSHPRCCDPDEDGDIDDNFVKQPFSNNRTGVAGLKKNSKRALDSSNAQTSSGLTAFNPVDNVSQFEKNTQNSSLVLLFTFSSFFFF >ORUFI01G42050.1 pep chromosome:OR_W1943:1:34678125:34679402:-1 gene:ORUFI01G42050 transcript:ORUFI01G42050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRYNERLREFLRSGVRGRSGGIAAVVVDAPSIEALDVARELGIPAYSFFASTASALAVFLHLPWFRARAASFEELGDAPLIVPGVPPMPASHLMPELLEDPESETYRATVSMLRATLDADGILVNTFASLEPRAVGALGDPLFLPATGGGEPRRRVPPVYCVGPLVVGHDDDDERKENTRHECLAWLDEQPDRSVVFLCFGGTGAVTHSAEQMREIAAGLENSGHRFMWVVRAPRGGGDDLDALLPDGFLERTRTSGHGLVVERWAPQADVLRHRSTGAFVTHCGWNSASEGITARVPMLCWPLYAEQRMNKVFMVEEMGVGVEVAGWHWQRGELVMAEEIEGKIRLVMESEEGERLRSSVAAHGEAAAVAWRKDGGAGAGSSRAALRRFLSDVGGRELRSVETLLLWAFHEIVVARIGLPLD >ORUFI01G42060.1 pep chromosome:OR_W1943:1:34682210:34684870:-1 gene:ORUFI01G42060 transcript:ORUFI01G42060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMEEIQRKLAVLAYPRANAPAQSLLFAGVERYRLLEWLFFRLLGDRSPFTQQNWQGDSLDRDEENSRIQHLAEIANFLGITPSVDTEAIQGRGSYDERVELLCLIVDLVEASCYADNPEWSVDEQLAKDVLLVDSIAEKQAQIFSEECKLFPADVQIQSIYPLPDITELELKLSEYTKKMSNLQLMVQELASKYDYNPNEDYAETELKLREHLQSFLETVKSFNMIYTKEIHPWTHMMEVPQLHGFGPAANRLLEAYNTLLKFLSNLRSLRDSYAAMAAGSLSASNEPSSVTKIISDCESALTFLNNSLSILSTSVAREQGETLNSQ >ORUFI01G42070.1 pep chromosome:OR_W1943:1:34685611:34688686:1 gene:ORUFI01G42070 transcript:ORUFI01G42070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTAWAARLAEGGEEGTESIVRCGSPLATTTTSPMPPPPHRGGGGGRDTSAFFAATLVLWAVSVGFEIGARGRRELAPVAAGFAFFQAASAAVRAAVSRDPLFVNTAVSLLHSSLTSASVIFVLVNRWHNKDLKNMFEHEELFGGSWVGAYSALCFSCGYFAYDQLDMLRYRLYSGRIPGILMHHLILLICFTLALYRNVTINYLILTLVCELHSVFLHIRKLRRMAGFRDYNRKIVKLEWVLNWTTFVSARVACHILITYKLIIDAHKFDSGIELPLALFGMAGMNLLNIFLGLDLLKAYTLERNQQTHQD >ORUFI01G42080.1 pep chromosome:OR_W1943:1:34688993:34692394:1 gene:ORUFI01G42080 transcript:ORUFI01G42080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMLVSGANGTVAAASTSRLQPVRPTPFSRLVLSQPSSSLGRAVSVKTVALFGRSKTKAAPARKAEPKPKFKTEDGIFGTSGGIGFTKENELFVGRVAMLGFAASILGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGSFVDDQPVTGLDKAVIAPGKGFRSALGLSEGGPLFGFTKANELFVGRLAQLGIAFSIIGEIITGKGALAQLNIETGVPINEIEPLVLFNVVFFFIAAINPGTGKFVSDDDEE >ORUFI01G42090.1 pep chromosome:OR_W1943:1:34702528:34707339:1 gene:ORUFI01G42090 transcript:ORUFI01G42090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEAVRDIGSGNFGVARLMRNRETRELVAVKCIERGHRIDENVYREIINHRSLRHPNIIRFKEVILTPTHLMIVMEFAAGGELFDRICDRGRFSEDEARYFFQQLICGVSYCHHMQICHRDLKLENVLLDGSPAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQDDPKNIRKTIQRIMSVQYKIPDYVHISAECKQLIARIFVNNPLRRITMKEIKSHPWFLKNLPRELTETAQAMYYRRDNSVPSFSDQTSEEIMKIVQEARTMPKSSRTGYWSDAGSDEEEKEEEERPEENEEEEEDEYDKRVKEVHASGELRMSSLRI >ORUFI01G42100.1 pep chromosome:OR_W1943:1:34707195:34712880:-1 gene:ORUFI01G42100 transcript:ORUFI01G42100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDGGEASPSGGGGGEGSPDPRRPPARPQLTKSRTISGSAASAFDRWGTSNSSSSILVRRSSTAPLPPGAAPRGLLTVAVDEPSYAAPNGGAAMLDRDWCYPSFLGPHASRPRPPRSQQQTPTTTAAAAADSRSPTPAAPPQTASVSQREEEKSLASVVKRPMLLDERRSLSPPPPQQRAPRFDLSPYLVLMLVVTVISFSLAIWQWMKATVLQEKIRSCCSVSTVDCKTTTEAFKINGQHGSDFINSADWNLASCSRMLVFAIPVFLVKYIDQLRRRNTDSIRLRSTEEEVPLKKRIAYKVDVFFSGHPYAKLLALLLATIILIASGGIALYVVSGSGFLEALWLSWTFVADSGNHADQVGLGPRIVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEVNHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIGKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFGDVLISFPDAVPCGVKIASKAGKILMNPDNDYVLQEGDEVLVIAEDDDTYVPASLPQVRKGFLPNIPTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKERERKLTDGGMDIYGLTNIKLVHKEGNAVIRRHLESLPLETFDSILILADESVEDSIVHSDSRSLATLLLIRDIQSKRLPSKELKSPLRYNGFCHSSWIREMQHASDKSIIISEILDSRTRNLVSVSKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIRSAEFYLYEQEELSFFDIMVRARERDEVVIGYRLANDDQAIINPEQKSEIRKWSLDDVFVVISKAGNATYFVKTTVMRSNPVFVGQMYRASFGGNGKAAKFLTKYIAYA >ORUFI01G42100.2 pep chromosome:OR_W1943:1:34707768:34712880:-1 gene:ORUFI01G42100 transcript:ORUFI01G42100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDGGEASPSGGGGGEGSPDPRRPPARPQLTKSRTISGSAASAFDRWGTSNSSSSILVRRSSTAPLPPGAAPRGLLTVAVDEPSYAAPNGGAAMLDRDWCYPSFLGPHASRPRPPRSQQQTPTTTAAAAADSRSPTPAAPPQTASVSQREEEKSLASVVKRPMLLDERRSLSPPPPQQRAPRFDLSPYLVLMLVVTVISFSLAIWQWMKATVLQEKIRSCCSVSTVDCKTTTEAFKINGQHGSDFINSADWNLASCSRMLVFAIPVFLVKYIDQLRRRNTDSIRLRSTEEEVPLKKRIAYKVDVFFSGHPYAKLLALLLATIILIASGGIALYVVSGSGFLEALWLSWTFVADSGNHADQVGLGPRIVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEVNHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIGKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFGDVLISFPDAVPCGVKIASKAGKILMNPDNDYVLQEGDEVLVIAEDDDTYVPASLPQVRKGFLPNIPTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKERERKLTDGGMDIYGLTNIKLVHKEGNAVIRRHLESLPLETFDSILILADESVEDSIVHSDSRSLATLLLIRDIQSKRLPSKELKSPLRYNGFCHSSWIREMQHASDKSIIISEILDSRTRNLVSVSKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIRSAEFYLYEQEELSFFDIMVRARERDEVVIGYRLANDDQAIINPEQKSEIRKWSLDDVFVVISKAGNATYFVKTTVMRSNPVVYSSTF >ORUFI01G42110.1 pep chromosome:OR_W1943:1:34714602:34730108:1 gene:ORUFI01G42110 transcript:ORUFI01G42110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHYWPMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYSDFIAAMLIRATGHRLNITRNRSLNSLELTEAVSNSVNISAGDIASLIYLWNPWAIVTCVGSCTSPIENLMVVIMIYGACSRLAPLAAFGYVMSTHLTLYPAILIVPVCATTFVCSLVFIYLIISATYPYSRLLKTRFLFQIILLLGYGPDTPPAKVFRLKISSASKTEVPDNDRFSTSRDVQQFMWKPVFYFVLWMFFWSCYVLLLSSMILNKVDGLQEMFEKTYGFILTVKDLSPNIGVLWSFFLIVINMNIVFMVLPLAIRLKHRPCFLAFVYTAIVAMLKSYPSGTGNANFYFATGLAYTCIQTVLVVESKLHHRYLRMEACPVNGATAVRTGPASAPNTDSHKPKAPNTIEPSTQKALKKFGEKERRAGDESSRTLAMAGEAGGDPRAWLAVDETAAAFLSRSLSARPPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLTAAVQCILPKEWKGTYFGGLGKVVMYLDLDCRFDVLRLAQVLRNRIGECCGSTNPTNEEFAKDGATNSFSENTLFSECMKRFLRTLSLQSMTETVVQKLRNFLQLQPVLVMATKAPIYGEGFTGNDFQRGTSKQMLEDSTMRCIGQEEEKNISYREFMPSVWQSFVTHRIKLQDLGQEAELFSGQENKELPLRTSEWMQPSLNTKDKFSITDDGVILIH >ORUFI01G42110.2 pep chromosome:OR_W1943:1:34714602:34730108:1 gene:ORUFI01G42110 transcript:ORUFI01G42110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHYWPMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYSDFIAAMLIRATGHRLNITRNRSLNSLELTEAVSNSVNISAGDIASLIYLWNPWAIVTCVGSCTSPIENLMVVIMIYGACSRLAPLAAFGYVMSTHLTLYPAILIVPVCATTFVCSLVFIYLIISATYPYSRLLKTRFLFQIILLLGYGPDTPPAKVFRLKISSASKTEVPDNDRFSTSRDVQQFMWKPVFYFVLWMFFWSCYVLLLSSMILNKVDGLQEMFEKTYGFILTVKDLSPNIGVLWSFFLIVINMNIVFMVLPLAIRLKHRPCFLAFVYTAIVAMLKSYPSGTGNANFYFATGLAYTCIQTVLVVESKLHHRYLRMEACPVNGATAVRTGPASAPNTDSHKPKAPNTIEPSTQKALKKFGEKERRAGDESSRTLAMAGEAGGDPRAWLAVDETAAAFLSRSLSARPPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLTAAVQCILPKEWKGTYFGGLGKVVMYLDLDCRFDVLRLAQVLRNRIGECCGSTNPTNEEFAKDGATNSFSENTLFSECMKRFLRTLSLQSMTETVVQKLRNFLQLQPVLVMATKAPIYGEGFTGNDFQRGTSKQMLEDSTMRCIGQEEEKNISYREFMPSVWQSFVTHRIKLQDLGQEAELFSGQENKELPLRTSEWMQPSLNTKDKFSITDDGVILIH >ORUFI01G42110.3 pep chromosome:OR_W1943:1:34714602:34730108:1 gene:ORUFI01G42110 transcript:ORUFI01G42110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHYWPMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYSDFIAAMLIRATGHRLNITRNRSLNSLELTEAVSNSVNISAGDIASLIYLWNPWAIVTCVGSCTSPIENLMVVIMIYGACSRLAPLAAFGYVMSTHLTLYPAILIVPVCATTFVCSLVFIYLIISATYPYSRLLKTRFLFQIILLLGYGPDTPPAKVFRLKISSASKTEVPDNDRFSTSRDVQQFMWKPVFYFVLWMFFWSCYVLLLSSMILNKVDGLQEMFEKTYGFILTVKDLSPNIGVLWSFFLIVINMNIVFMVLPLAIRLKHRPCFLAFVYTAIVAMLKSYPSGTGNANFYFATGLAYTCIQTVLVVESKLHHRYLRMEACPVNGATAVRTGPASAPNTDSHKPKAPNTIEPSTQKALKKFGEKERRAGDESSRTLAMAGEAGGDPRAWLAVDETAAAFLSRSLSARPPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLTAAVQCILPKEWKGTYFGGLGKVVMYLDLDCRFDVLRLAQVLRNRIGECCGSTNPTNEEFAKDGATNSFSENTLFSECMKRFLRTLSLQSMTETVVQKLRNFLQLQPVLVMATKAPIYGEGFTGNDFQRGTSKQMLEDSTMRCIGQEEEKNISYREFMPSVWQSFVTHRIKLQDLGQEAELFSGQENKELPLRTSEWMQPSLNTKDKFSITDDGVILIH >ORUFI01G42120.1 pep chromosome:OR_W1943:1:34731750:34740877:-1 gene:ORUFI01G42120 transcript:ORUFI01G42120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAPLCSSALVLLPCLFVIAMAALQSGVVVLADAADTVAADRPLSGRQRPLVSNRGKFALGFFQPENSEHWYLGTWYNQISKHTPVWVANRGSPISNPDTSQLTIATDGNMVLLDNSTTAIWSTNISNFTSNSTVGVILDSGNLVLADASNTSIILWQSFDHFGDTWLPGGKLGRNKLTGVSTRLVAWKARNDPVPGVFSLELDPNGTSQYLLEWNSTLQYWTSGNWTGRIFTGVPEMTPTGSYPNSLYTFDYVNGENESYFVYDLKDDSVLTRFVLGEMGQIQFLTWMNGANDWMLFWSQPKAQCDVYSLCGPFSVCTENAMASCSCLRGFGEQNVGEWLQGDHTSGCRRNVELQCSSNGSVVGRSTDRFYTMANVRLPSDAESVVAAGIDQCEEACLSNCSCTAYSYNGSCSLWYRDLINLQDVSVIGSQGSSAVLIRLAASELSGQKQKHTKKLITFYVLATGAVLLMMAVLVVILRRRMVKATTRVEGSLISFTYRDLKSVTKNFSEKLGGGAFGSVFKGSLPDATMVAVKKLEGFRQGEKQFRSEVSTIGNIQHVNLIRLLGFCSEKTRRLLVYEYMPNGSLDKHLFGSNQHVLSWNTRYKIALGIARGLDYLHEKCRDCIIHCDIKPENILLDGSFAPKVADFGLAKLMGRDFSRVLTTSRGTVGYIAPEWIAGTAVTAKADVFSYGMTLLEIVSGRRNVQEQGGAAVDGLLPLLAASTLGGGGGGRDELVSAVVDGRVGVNADMGEVERACRVACWCIQDDEKARPAMATVVQVLEGLVEIGVPPVPRSLQILADLANQSNNLQFFSDLPSNAVVFADTVTAKRPLSGSQSALVSKRRKFALGFFQPENSQHWYLGIWYNQISKHTPVWVANRGTPISNPDTSQLTIATDGNMVLLDNSTTAIWSTNISKIASNSTVGVILDTGNLVLADESNTSIIHWQSFDHFGNTWLPGGKLGRNNKLAGVSTRLVAWKARNDPSPGVFSLELDPNGTSQYLLEWSITQQYWTSGNWTGRIFADVPEMTGCYPSSTYTFDYVNGENESESYFVYDLKDESVLTRFFLSEMGQIQFLTWIYAAKDWMPFWSQPKVKCDVYSLCGPFSVCTENALTSCSCLRGFSEQNVGEWLQGDHTSGCRRNVELQCSSNASVMGRTDGFYTMANVRLPSNAESVVVIGNDQCEQACLRSCSCTAYSYNGSCSLWHGDLINLQDVSAISSQGSSTVLIRLAASELSGQKQKNTKNLITIAIVATSVLVLMIAALFFIFRRRMVKETTRVEGSLIAFTYRDLKSVTKNFSEKLGGGAFGLVFKGSLPDATVVAVKKLEGFRQGEKQFRAEVSTIGNIQHVNLIRLLGFCSEKSRRLLVYEYMPNGSLDKQLFDNKKHVLSWNTRYQIALGIARGLDYLHEKCRDCIIHCDIKPENILLDGSFAPKVADFGLAKLMGRDISRVLTTARGTVGYIAPEWIAGTAVTAKADVFSYGMTLLEIVSGRRNVQGRRRRQEQQDDGGAAADRPFPLVAAGRLVGGGGGRREELVSAVVDCRLGGDADMGEVERACRVACWCIQDDENARPAMATVVQVLEGLVEIGVPPIPRSLQFLAELADQSNYLQFFSDLLPSN >ORUFI01G42130.1 pep chromosome:OR_W1943:1:34745245:34754661:1 gene:ORUFI01G42130 transcript:ORUFI01G42130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAPPCSSALVPPCLFVIAMATLQSAVVFADAADTVAADRPLSGSQRLLVSSRGKFALGFFQPENSIHWYIGIWYNQISKHTPVWVANRGSPISNPDTSQLTIATDGNMVLLDNSRTAIWSTNISKIASNSTVGVILDTGNLVLADASNTSIIHWQSFDHFGNTWLPGGKLGRNKLAGVSTGLVAWKARNDPAPGVFSLELDPNGTSQYLLEWNSTQQYWTSGNWTGRIFTGVPEMTPTGIYPNSLYTFDYVNGENGSYFVYDLKDDSVLTRFVLGEMGQIQFLTWMNGANDWMLFWSQPKAQCDVYSLCGPFSVCTENAMASCSCLRGFGEQNVGEWLQGDHTSGCRRNVELQCSSNGSVVGRSTDRFYTMGNVRLPSDAESVVATSTDQCEQACLRSCSCTAYSYNGSCSLWHGDLINLQDVSAIGSQGSNAVLIRLAASELSSQKQKHAKKLITIAIVATIVAALMVAALVVILRRRMVKGTTQVEGSLISFTYRDLKSMTKNFSEKLGGGAFGSVFKGSLPDATMVAVKKLEGFHQGEKQFRAEVSTIGNIQHVNLIRLLGFCSEKSRRLLVYEYMPNGSLDKQLFDGRKHVLSWDTRYQIALGIARGLDYLHEKCRDCIIHCDIKPENILLDGSFAPKVADFGLAKLMGRDISRVLTTARGTVGYIAPEWLAGTAVTAKADVFSYGMTLLEIVSGRRNVERREDGTADILPLLAASRLVGGVGDGRREELVSAVVDGRLGGDADMGEAERACRVAFWCIQDDENARPAMATVVQGLEGLVEIGVPPIPRSLQLLADESNYLQFSDLLPSK >ORUFI01G42140.1 pep chromosome:OR_W1943:1:34755752:34762768:1 gene:ORUFI01G42140 transcript:ORUFI01G42140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAGGGGGGGGESAGELLLRAAAMVPAEHYALAALAVVSVLAYGFLELHFLGDLLRGFRGGRVELTFHPASEIYHRVASKCRSLHGRYLATPWLASPHLQTLFLGISGRPPSFTYKRQLYTVHDGGTIALDWLLATDSKGSDGILSEDASAPLVVIVPGLTSDSAAAYVKHMAYSMATKGCNTVVSNHRGLGGVSITSDCLYNAGWTEDLREVINYLHHKYPKAPMLCVGTSIGANIVVKYLGEEGENTPVAGAASICSPWDLVVGDRFISRKLVQRFYDKALAFGLKGYAKLHEPVLVRLANWEGIKKSRSIREFDHHATCMVAKYETVDTYYRRCSSASYVGNVSVPLLCVNALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTAGRLWWVGAVSEFLFALLDSKYMHQQKAQDHILLSSLESSIDKSPYVNVMEDGMIAPVTDDGPCDDITPSHQVNDIKQDNGDFTQQNEHTREVDDKNITEVNAMPSQSPEQSAGQQVEEHYVGKFHEAIAPVKRSINQLTRYQGKSVWLLAYIAFVTSWPLLGSLAFIAFRKKFRNNLLAKWLRR >ORUFI01G42150.1 pep chromosome:OR_W1943:1:34768941:34770509:1 gene:ORUFI01G42150 transcript:ORUFI01G42150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGLGRSSETSLKALPSMASNATRNTDPDQQGVRFSSMDQPPCFARPGQSFPAFPPLFGVQSSSLYLPDDIEAKIGNQFESNPSPNNPTMAWDPQAMLSNLSFLEQKIKQVKDIVQSMSNRESQVAGGSSEAQAKQQLVTADLTCIIIQLISTAGSLLPSMKNPISSNPALRHLSNTLCAPMILGTNCNLRPSANDEATIPDISKTPDYEELMNSLNTTQAESDEMMNCQNPCGGEGSEPIPMEDHDVKESDDGGERENLPPGSYVVLQLEKEEILAPHTHFCLICGKGFKRDANLRMHMRGHGDEYKTAAALAKPSKDSSSESAPVTRYSCPYVGCKRNKEHKKFQPLKTILCVKNHYKRSHCDKSYTCSRCNTKKFSVIADLKTHEKHCGRDKWLCSCGTTFSRKDKLFGHVALFQGHTPALPMDDIKVTGASEQPQGSEAMNTMVGSAGYNFPGSSSDDIPNLDMKMADDPRYFSPLSFDPCFGGLDDFTRPGFDISENPFSFLPSGSCSFGQQNGDS >ORUFI01G42160.1 pep chromosome:OR_W1943:1:34771880:34777840:1 gene:ORUFI01G42160 transcript:ORUFI01G42160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSATSFSTPTKPASSSSSSSSPNSVCFARASGRCRMASVVVRAEAVDATISPTVSALRPSKTMAITDQATALRQAGVPVIGLAAGEPDFDTPHVIAEAGMNAIKDGYTRYTPNAGTLELRKAICNKLQEENGISYSPDQVLVSNGAKQCITQAVLAVCSPGDEVLIPAPYWVSYPEMATLAGATPVILPTSISENFLLRPELLASKINEKSRLLILCSPSNPTGSVYPKELLEEIADIVKKYPRLLVLSDEIYEHIIYQPAKHTSFASLPGMWDRTLTVNGFSKAFAMTGWRLGYLAAPKHFVAACGKIQSQFTSGASSISQKAGLAALNLGYAGGEAVSTMVKAFQERRDYLVKSFKELPGVKISEPQGAFYLFIDFSSYYGSEVEGFGTIKDSESLCMFLLEKAQVALVPGDAFGDDKCIRMSYAAALSTLQTAMEKIKEAVALIKPRVAAK >ORUFI01G42170.1 pep chromosome:OR_W1943:1:34775872:34777708:-1 gene:ORUFI01G42170 transcript:ORUFI01G42170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHGMSSPSHSFRSYSVSSSEEENRCGAVVACLTRRVMPAGTANTVGTSKVTPFPLMAAGQGTEGAPRLQRSRAVSRDLVRDWNFEEIAIRN >ORUFI01G42180.1 pep chromosome:OR_W1943:1:34782631:34807611:1 gene:ORUFI01G42180 transcript:ORUFI01G42180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAVTDALLLPRSEGAVAGAVDFRGRPASRASTGRWSAAMFVLGVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINLWSGVATMLPLLVACVADAWLGRYRTIVLASLLFVVSMGMLTLSSALPAFHGDGGGCSYTSKSLSCAPSTAQVAIFYVSLYLVALAEAGHKPCAQAFGADQFDQNDAKESVSRSSFFNWWYFGMCSGTAMTTMVSSYIQDNIGWGLGFGIPCLVMAFALAMFLLGTRNYRYYVSTQSSPFARLARAFVALIRGSKDDALAVVDDDDGGDHREELRGVLRLFPIWATCIIYAVIFSQSSTFFTKQAATLDRRIGESFRVPPAALQTFISVTIIAFIPVYDRAFVPVARRFTRASSGITMLQRIGTGLVLALAAMVVAALVEARRLGVARDAGMVDDPKAALPMSLWWMVPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSLGLAFFLSIFGVGHFFSSFIISAIDGATKKSGASWFTNNLNRAHLDYFYWLLAGLCAVELVAFVFVSRVYVYKKRVPHNGCDVIKLVSHLLDSGMADTVAGAVDYRGRPASRAATGGWKSSVFVMAMEIAERFAYKGVAANLITYLTGPLGQPMARAAASIDAWKGVSQMLPLPLACVADAWLGRYRAIVLASVIFVLSMGTLSMSSAFPVSRAGHVAVFYVALYMVALGEGAHKPCAQAFAADQFDEKDGGECAARSSFFNWWYFGMCAGTAVTTMVSSYVQDNVGWGLGFGIPCIVIVVSLAAFLLGTRSYRFYTARTASPVARVAKAFLTLIKSWRSNRRTNPASGGKGDGDGDAGDLVEEVKSVLRLLPIWASCIIYAIIFSQTSTFFTKQAATLDRRIGRSFNVPPAALQTFISVSIVVFIPVYDRLFVPLARRYTGRPSGITMLQRVGAGLALSLVAVVLSALVETRRLRVAAGAGMADAPKARLPMSLWWMVPQYVLVGVADVFAMIGLQEFFYDQVPDAVRSLGLALFLSIFGVGHLLSSLLISVIDGATARRAGGSWFANNLNRAHLDYFYWLLAGLCAVELVAFFLFSRVYTYKKKGNDADGNCDYRGVDDDGICVEQCALLPEAEPSTGSKSGGRGGWPAAFFLLAAVFAERVGFNGVQGNLIMYLTGQLGMSTAAAAAGVNAWGGTAFMLPLLGALAADSWIGRPRAVVASGVLYLLSLGMLTVSSMVAPPQATMVCQDTAAVCSSPAAAPAGRVAFCYVALYLLALGQGFHRPCVQAMGADQFSESNPGGLASRSSFFNWINFAVSCGYVLSTAGISYVQDNVSWGIGFGACWAMMLVSLFVFLLGTGTYRPEQPRTFAETRRGDAMDDTASLPTPPRGHGDDKGIVARLLPIWMTTVMYAVVATLFTKQGSTMDRRIVIGTGGGGGVLLVPPAALQSLVSFAVMVTIPAYDRALVPLARRVTKHPSGITTLRRVGTGMVTACLAMAVAALVEAARLRAARDAGLLDEPGVAVPMSVWWLAPQFVLLGVATTFTMVGLEEFFYDQVPDELRSVGVAACMSVVGVGSYASGMLVSAIDWATRSRGESWFSDNLNRAHLDYFYWLLAGISALEVLVFLYFAKGYVYTKNKRECHSVTVLAMESGLLAHSDEPCDAGSKPDADADGRRGGWRAARFLIAVGFLERVGFNGVQSNLVMYLAGPMGMSTAAAAAGANAWAGTVLVLTLVGALAADSRLGRYRAIVAAGVLHLLSLGMLTISSVMQPNHPHPASCHDAAAACSPSPPPPPSLARLVFFHAALYLLALAQGFHNPCSEAFGADQFAASDPGARASRSSYFNWYQFFNSFGYGISNTALSYVEDSVSCTVGFAVCLATTAVYLPIFLLGTRAYRAEQPVDGALLARLAKTSSSAARAWTARVFRRKDTSCTERLLAREEVGEKGFLAKLLPIWVTSIVFAIVSAQEVTLFIKQGSTMDRRIGARGGLVVPPAALQSIVSVIFLTFVPVYDRALVPLARRFTGHPAGITTLQRVGVGMAMSCLAMAVAALVEAQTAPRRLATPA >ORUFI01G42180.2 pep chromosome:OR_W1943:1:34782631:34803656:1 gene:ORUFI01G42180 transcript:ORUFI01G42180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAVTDALLLPRSEGAVAGAVDFRGRPASRASTGRWSAAMFVLGVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINLWSGVATMLPLLVACVADAWLGRYRTIVLASLLFVVSMGMLTLSSALPAFHGDGGGCSYTSKSLSCAPSTAQVAIFYVSLYLVALAEAGHKPCAQAFGADQFDQNDAKESVSRSSFFNWWYFGMCSGTAMTTMVSSYIQDNIGWGLGFGIPCLVMAFALAMFLLGTRNYRYYVSTQSSPFARLARAFVALIRGSKDDALAVVDDDDGGDHREELRGVLRLFPIWATCIIYAVIFSQSSTFFTKQAATLDRRIGESFRVPPAALQTFISVTIIAFIPVYDRAFVPVARRFTRASSGITMLQRIGTGLVLALAAMVVAALVEARRLGVARDAGMVDDPKAALPMSLWWMVPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSLGLAFFLSIFGVGHFFSSFIISAIDGATKKSGASWFTNNLNRAHLDYFYWLLAGLCAVELVAFVFVSRVYVYKKRVPHNGCDVIKLVSHLLDSGMADTVAGAVDYRGRPASRAATGGWKSSVFVMAMEIAERFAYKGVAANLITYLTGPLGQPMARAAASIDAWKGVSQMLPLPLACVADAWLGRYRAIVLASVIFVLSMGTLSMSSAFPVSRAGHVAVFYVALYMVALGEGAHKPCAQAFAADQFDEKDGGECAARSSFFNWWYFGMCAGTAVTTMVSSYVQDNVGWGLGFGIPCIVIVVSLAAFLLGTRSYRFYTARTASPVARVAKAFLTLIKSWRSNRRTNPASGGKGDGDGDAGDLVEEVKSVLRLLPIWASCIIYAIIFSQTSTFFTKQAATLDRRIGRSFNVPPAALQTFISVSIVVFIPVYDRLFVPLARRYTGRPSGITMLQRVGAGLALSLVAVVLSALVETRRLRVAAGAGMADAPKARLPMSLWWMVPQYVLVGVADVFAMIGLQEFFYDQVPDAVRSLGLALFLSIFGVGHLLSSLLISVIDGATARRAGGSWFANNLNRAHLDYFYWLLAGLCAVELVAFFLFSRVYTYKKKGNDADGNCDYRGVDDDGICVEQCALLPEAEPSTGSKSGGRGGWPAAFFLLAAVFAERVGFNGVQGNLIMYLTGQLGMSTAAAAAGVNAWGGTAFMLPLLGALAADSWIGRPRAVVASGVLYLLSLGMLTVSSMVAPPQATMVCQDTAAVCSSPAAAPAGRVAFCYVALYLLALGQGFHRPCVQAMGADQFSESNPGGLASRSSFFNWINFAVSCGYVLSTAGISYVQDNVSWGIGFGACWAMMLVSLFVFLLGTGTYRPEQPRTFAETRRGDAMDDTASLPTPPRGHGDDKGIVARLLPIWMTTVMYAVVATLFTKQGSTMDRRIVIGTGGGGGVLLVPPAALQSLVSFAVMVTIPAYDRALVPLARRVTKHPSGITTLRRVGTGMVTACLAMAVAALVEAARLRAARDAGLLDEPGVAVPMSVWWLAPQFVLLGVATTFTMVGLEEFFYDQVPDELRSVGVAACMSVVGVGSYASGMLVSAIDWATRSRGESWFSDNLNRAHLDYFYWLLAGISALEVLVFLYFAKGYVYTKNKRECHSVTVLAMESGLLAHSDEPCDAGSKPDADADGRRGGWRAARFLIAVGFLERVGFNGVQSNLVMYLAGPMGMSTAAAAAGANAWAGTVLVLTLVGALAADSRLGRYRAIVAAGVLHLLSLGMLTISSVTRATHPHPVSCHDAATACSPPAEAAAAPPSTARLAFFHAALYLLALAQGFHNPCSEAFGADQFAPPSDPGARASRSSYFNWYHFFNSCGYAISNSALSYVEDSVSRTRVRRVLGHDGGVPPRLLARHGDVPRRATRPRRRRHPRAARRVVLLGCACVDGESVWAQGRHLNRTVSKIVSSIRRSWLLAKEEVEHGKGLFVKLLPIWLTSIVFAAVVSQQSTLFTKQGSTMDRRVGGIVVPAAALNCVVSFTMITLVPVYDRAVVPLARRFTGHPAGVTTLQRVGAGMATSCLAMVVAALVEARRLRAASDASLVDRPGATVPMGVWWLVPQYLLVGLAKVFGDIGLDEFFYDQAPDGLRSVGLAMSLSVLGVGNYVSGVLVSVIDTATRSGGESWFSDDLNRAHLDYFYWILAAFAALEVVVFVYIAKRYIYKNKGEP >ORUFI01G42190.1 pep chromosome:OR_W1943:1:34811575:34817617:1 gene:ORUFI01G42190 transcript:ORUFI01G42190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGILPPSETCAGSGSPDGRGGWRAARFLIAVGFLERIGFNGVQGNLVMYLSGPMGMSTAAAAAGANAWGGTVLVLTLVGALAADSRLGRYRAIVAAGVLHLLSLGMLTISSVMQPTHQHPVSCHDAAAACSPPPPPSPSLGRLVFFHAALYLLALAQGFHNPCSEAFGADQFTPPSDPGARASRSSYFNWYNFSSSCGYAISNTAMSYVEDNVSWTVGFAACLATTAVYLPVFLLGTAAYRAEQPIDGALLALLAKKSLSATRVWTARVFPRKDAICTERLLLAKEEEVEHGKGFVVKLLPIWVTSIVFAAVISQQVTLFTKQGSTMDRRVAVGGGVFVLPPAALQDVISATMLTVLPAYDRALVPLARRFTGHPAGITTLQRVGAGMATCCLHMVVAALVEAKRLRAASDAGLPADATVPMSVWWLVPQYALVGLSKVFGVIGLQEFFYDQVPDDLRSVGLAMSLSAQGVGSYASSALVSAIDWATTRRGGESWFSDDINRAHLDYFYWLLAALAALDVAVFVYIAKRYVYRNKDEQ >ORUFI01G42190.2 pep chromosome:OR_W1943:1:34815300:34817617:1 gene:ORUFI01G42190 transcript:ORUFI01G42190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTISSVMQPTHQHPVSCHDAAAACSPPPPPSPSLGRLVFFHAALYLLALAQGFHNPCSEAFGADQFTPPSDPGARASRSSYFNWYNFSSSCGYAISNTAMSYVEDNVSWTVGFAACLATTAVYLPVFLLGTAAYRAEQPIDGALLALLAKKSLSATRVWTARVFPRKDAICTERLLLAKEEEVEHGKGFVVKLLPIWVTSIVFAAVISQQVTLFTKQGSTMDRRVAVGGGVFVLPPAALQDVISATMLTVLPAYDRALVPLARRFTGHPAGITTLQRVGAGMATCCLHMVVAALVEAKRLRAASDAGLPADATVPMSVWWLVPQYALVGLSKVFGVIGLQEFFYDQVPDDLRSVGLAMSLSAQGVGSYASSALVSAIDWATTRRGGESWFSDDINRAHLDYFYWLLAALAALDVAVFVYIAKRYVYRNKDEQ >ORUFI01G42200.1 pep chromosome:OR_W1943:1:34818955:34822894:1 gene:ORUFI01G42200 transcript:ORUFI01G42200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRLLAHSDEPSSAASKHGDGRGGWRAALFIIAVGFLERVGFYGVQGNLMMYLTGLMGMSMAAAAAAANAWGGTVMVLTLVGALAADSHLGRYRAVVAAGVLYLLSLGMLTVSSTLQPKHPRPASCNGGATACLPPPSPTSRLAFFYAALYLLALAQGFHKPCSEAIGADQFADDADPGANASRSSYFNWFHFSTSWGYAVATTLLSYVEDNVSWTVGFAVCWATMVLYLAVFLLGTGTYRATAERPIDGAPLARLAETSAAAARAWTKRVFCRKDAICTERSGVSAYGRSGCAKRAGGGASFFSLPVSTLSLLELLPFFVGSFGWVEAAAGQWRKLKRPKRCCLVPGSPLAEHGERPAGGGTEVAWASSQGRSGGMQRAGGRVLRQVRKSAGGGAPVRSWWKPRAANACVVVNELMGDKLLERLQGFQAIVFAIIASQDITLFTKQGSTMNRNVGGGLVVPPAALQFAISVTIVTLLPVYDRALVPLARRLTRHLAGITTLQRVGAGMAMSGLAMAVAALVEAKRLRAASDAGLVDRPDATVPTSVWWLVPQFVLLGTSKVFGLIGLEEFFYDQVPVELCSVGLAVSLSVLGVGSYASGVLVSAIDWVTRGGGESWFSDNLNRAHLDYFYWILATLAALEVAIFVYIAKRYVYKNKGEQ >ORUFI01G42210.1 pep chromosome:OR_W1943:1:34825205:34834272:1 gene:ORUFI01G42210 transcript:ORUFI01G42210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAEALLPEPEPESPPLLAVDHLGGPASRGSSGRWPAAFFLIGAEVGERFAYSGIMGNLVIYLTGPLRQPTAAAAAAVNVWMGTSMLLPLLGSAVADSWLGRYRTIVCASLLYILGLGMITVSSVLAPEESSESSNLAAHVAFFYFSLYVVAFAQGGHKPCAQALGADQFDENDPGELASRSSFFNWWFFASYGGNTVTVPILNYVQESVSWQLGFAIPCIAMAVSLAIFLIGTRSYRFYPPKSKGNPFGEVAEWIRRWIASSCSKLPDSSDELLPSSSSEGDVSNSSSEFVPKEAAELVKLFPIWASSLIYAAVMAQCITFFTKQASTLDRRVGSLVLPAASNGALFNATIMVFLPIYDRIFIPVARRYTKNPSGITTLQRIGVGLVLSIITMIVAAMVEMRRLRIARDFGLVDKPEAVVPMSFLWIVPQNILAAISDMFAVIGLQEFFYGEAPESLRSFSMALFLSIIGVGNFISSFIVYAIDRVTSSFGDSWFSNNPNRGHVDYFYLLITVLNALSLACFLYFAKMYEHRKKWDSGCEQHLPMAYKMKGVFKGLKVISQIFVVKEHQMEIGYPTDVKHVTHIGWDSPTGSAASPSWMNDMKGSPDYSSLNNFGPSTGTSWTSQVCSTDFDHPQDISPFGLYVENAGKEANPPHPDIPKPPRKSRRKKSKNNSPTASSRSSRSRSKRSFSSTADTVVDNSIQNEVRIV >ORUFI01G42220.1 pep chromosome:OR_W1943:1:34832571:34834665:-1 gene:ORUFI01G42220 transcript:ORUFI01G42220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVTCLTSVG >ORUFI01G42230.1 pep chromosome:OR_W1943:1:34835992:34843238:-1 gene:ORUFI01G42230 transcript:ORUFI01G42230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVVVDVVEEEAVEIEGVKVPVDTSKPNPNGLEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFVMVRPRKLMYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFEREGRKLPPKQQSQTCDSNVITPGTEFMAVLSIALQYYIHLRLNYDPGWKQVKVILSDANVPGEGEHKIMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVYTPGQQDKCFLCGQVGHLAANCEGKVKRKAGEFDEKGEAIVPKKPYQFLNIWTLREYLEYEFRMQNPPFPIDFERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNKVEHFIQAVGSYEDKIFQKRARLHQRQAERIKREKAQAKRGDDLDPHVRDDLIVPVARFQGSRLASGPVPSPYEQNGSDKNNGGKNSRARKAARVSSSGSSIAAAIVEAENDLEAQERENKEDLKTMLKDALREKSDVFNSENPEEDKIKLGEPGWRERYYEEKFGARTPGQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLSGLGQLNITFELGSPFKPFDQLMGVFPAASSHALPVQYRQLMTDANSPIIDFYPTDFEVDMNGKRYSWQGIAKLPFIDEARLLAEIKKVEHTLTPEEARRNSIMFNMLFVNGSHPLSPYIYSLNSKFGHLPDRERNEIKEKIDPSSSGGMNGYISLCSGDPCPPVFRSPVDGLEDIMDNQVICTIYKLPDSHKHIARPPVGVIIPKKTVEATDLKPPPVLWHEDSGRRPHDNNNRRPYENSNRQNPAGAIPGRQLGEAAHRLVVNSLNARSGGQYNTPSMPYQTIMNGMPYPNGIPPRMEQPAPGWHVPGDLPNGQVPPAYASSSGHYQNDRSGPSQYGRDNHGRYPYARDNHHDSRGRVPPYHQSGGNSYQSHSAPSAGPGRYAQPPPYAGGYGRSYQPAPYGGGQQWQQQQQQPYGSYAGSGPYGGGAPPARPNSRPQQSQNRYNTLDRNSNRRPPPGHGRH >ORUFI01G42240.1 pep chromosome:OR_W1943:1:34844355:34847713:-1 gene:ORUFI01G42240 transcript:ORUFI01G42240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGDDDMERDFAARLRLAPSPASPNAAAGGGGGGIAFRAPQEQFTVGDFELGKIYGVGSYSKVVRAKKKDTGNVYALKIMDKKFITKENKISYVKMERIVLDQLDHPGVIRLFFTFQDTYSLYMALESCEGGELFDQIVRKGRLSEDEARFYAAEIVDILEYLHSLGLIHRDVKPENLLLTSDGHIKIADFGSVKPTKDTPIKVLPNSTNERACTFVGTAAYVPPEVLNSAPPTFGNDLWALGCTLYQMLSGSSPFKDASEWLIFQRIIARDLKIPEYFSDDARDLIDKLLDVDPSKRPGAGPDGYVSLKKHPFFRGIDWKNIRSTRAPKLAMEANANEDEDSQDSSWLSHMGSAPVNQHVSPVGNDGASSSSEVRSHISRLASIDSFDSRWQDFLEPGESVVLISKLKKINKLTNKKVQLILTDKPQLICVDPGKMVTKGNIMWSDDPSELNVQVSNSSHFRICTPKKVSSFEDAKQRAWQWKKAIEDLQRCQKN >ORUFI01G42240.2 pep chromosome:OR_W1943:1:34844355:34847713:-1 gene:ORUFI01G42240 transcript:ORUFI01G42240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGDDDMERDFAARLRLAPSPASPNAAAGGGGGGIAFRAPQEQFTVGDFELGKIYGVGSYSKVVRAKKKDTGNVYALKIMDKKFITKENKISYVKMERIVLDQLDHPGVIRLFFTFQDTYSLYMALESCEGGELFDQIVRKGRLSEDEARFYAAEIVDILEYLHSLGLIHRDVKPENLLLTSDGHIKIADFGSVKPTKDTPIKVLPNSTNERACTFVGTAAYVPPEVLNSAPPTFGNDLWALGCTLYQMLSGSSPFKDASEWLIFQRIIARDLKIPEYFSDDARDLIDKLLDVDPSKRPGAGPDGYVSLKKHPFFRGIDWKNIRSTRAPKLAMEANANEDEDSQDSSWLSHMGSAPVNQHVSPVGNDGASSSSEDFLEPGESVVLISKLKKINKLTNKKVQLILTDKPQLICVDPGKMVTKGNIMWSDDPSELNVQVSNSSHFRICTPKKVSSFEDAKQRAWQWKKAIEDLQRCQKN >ORUFI01G42250.1 pep chromosome:OR_W1943:1:34859675:34861330:-1 gene:ORUFI01G42250 transcript:ORUFI01G42250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATATASSGLIRCATGGAPAHGHHQVFRCSAAKPSPLALRHRAGRPAPLQAFPEYDRVTPFDYDGEVDGGDGDDHPREECGVFGVVGDPDATSLCYLGLQKLQHRGEEGAGIAAAGDDGTIKLERGLGLVGDVFGDPARLGKLPGQAAIGHVRYSTAGAAASLRNVQPFLAGYRFGQLAVAHNGNLVNYQALRNKLEAQGSIFSTSSDTEVILHLIATSLSRPLLARICDACERLAGAYSLLFLTADKLLAVRDPFGFRPLVMGRRANGAIVFASETCALDLIDATYEREVEPGEVVVVDRRDMSVSSACLVPHRPRKSCVFEHIYFALPNSVVFGHAVHERRNAYGRALAEESPAPTADVVIPVPDSGFYAALGFSQTSGLEFQQGLIRWHYSGRSFIQPSQAIRDLAVKLKLAPVHGVIRGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVIGSCLYGIDTPSEGELISNRMDLEGVRRAIGCDSLAFLSLDKLHTIYGDEAHELCDACFSRNYPVLPTVPEPVPELVSAFED >ORUFI01G42260.1 pep chromosome:OR_W1943:1:34877975:34884618:1 gene:ORUFI01G42260 transcript:ORUFI01G42260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDATGEAGGGGGGGGDLFAANLKGSLLAVASSAFIGVSFIVKKKGLLRAGAAGSRAGVGGYGYLLEPLWWVGMVTMLVGEIANFIAYMFAPAVLVTPLGALSIIVSAVLAHFTLNEKLQRVGVLGCVLCIVGSTVIILHAPQERTPSSVDEIWHLAIQPDFLCYATAAVAVSLFLMIYCAPRYGQMNIMVYVGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWLFAVISITCMAVQLVYLNKALDTFNAAVVSPIYYAMFTTLTILASAIMFKDWSGQSASKIASEICGFLTVLAGTLVLHSTREPDQTLSADLYAPLPPKIYWHIQGNGDIGKQKEDDSLPCDIITVMRQDYFV >ORUFI01G42270.1 pep chromosome:OR_W1943:1:34881426:34887855:-1 gene:ORUFI01G42270 transcript:ORUFI01G42270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSELQCVGRLEVAAPPPARYLRVGSLPVPTDSPASLPALLPSSSPTGAPRYQMLPLETDLNTLPMIPNIPEKVFLMDAKSTEGSRYGSGLANQNLSRKCEALAVSGLAEYGDEIDVVAPTDILKQIFKIPYSKAQVSIAVNRIGDTLILNTGPDVDEGEKIFRRQSNHPKGSDPSMFLNFAMHSVRAEACDCPPSHQPSKEKQTASAILRGPFGQREGPLDSPSSSSFSTSPYLDQNISKSRKTSHGARESLYWGARENKQKVKGSDPVKKTTHVGDKPRCDVQESEKSRRVGNNGFRKVCFWQFHNFHMLLGSDLLIFSNEKYMAVSLHLWDVSRQVTPLNWLEAWLDNIMASVPELAICYHQNGVVQGYELLKNDDIFLLKGVSDDGTPAFHPQVVQQNGLAVLRFLQDNCKQDPGAYWLYKGAEEDVIQLYDLSILPQNHTAGDHRSTCGPMSSLMKKGRKESLFSLGTLLYRVAHRMSLSKVPSNRAKCAKFFKKCLDFLSEQDHLVVRAYAHEQFARLILRCYEELELTSESFLLESEVTLTDLDESPDLSLENLPSKQNEVLTEISEEPATLDGMLECSRSGSSQASNSLVDPGHVDISPVSSATKGDVTVDSLVMCQSGTQVSRTIADAISSKLAAIHHVSQAIKSLRWNRQLQNTQDDCVGNADTIWEKPVDFSLCRCGDIDCIEVCDIREWLPKSKMDHKLWKLVLLLGESYLALGEAYKNDGQLRRTLKVVELACLVYGSMPKNLEGEQFISSMSNSSLSVEDGDLKANLVLDEADYFKNAKCFNYDVSAGQLPPNYLFWVKAWMLVGDVYAESHRLRGQQAPVLPEQKPDGEVRMSNEVAMEVKRLKRKLGKDKQNCGTCSLINCSCQSDRANSGSSASSSSSEASTLYGRKKNKKSSGRNFHSQSVSNVEIDTNNYTMENQSRNNDGVPDKSKEDVSSVRVGGIFKFLGGPEPGDVEYNLHSAIHCYDAAKGVIFAFPVPLAEKSTILKKRGWAFNELGCHRLESRNLGNAEIAFADAIKAFQEVADHTNVILINCNLGHGRRALAEQFVSRIDEFQKYDFPQDAYMQSFKSAKSEYFQAINYYTAAKRQLTYADNEVDKVLYNEVYTQYAHTHLRLGMLLARESFLTDSYEGGFVDESSNRTVLEISASDAFREALSTYESLGEHRKQEAAFGHFQLACYQRDLCLRFLDLIDKEVKQKNEDKYRQKAKWYGSLAEKNWQRALEFYGPKTHSTMFLNILMAQSALSVNLSDSFHSSVMLENALVHLLDGRHVVEANDEYSNDLDLDIKPKFWSQLQRLLKSMLAAARPAASVGQANASNSRGDTAKLKEMYRLSLKSTSLGQLHALHKIWVS >ORUFI01G42270.2 pep chromosome:OR_W1943:1:34881426:34887855:-1 gene:ORUFI01G42270 transcript:ORUFI01G42270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSELQCVGRLEVAAPPPARYLRVGSLPVPTDSPASLPALLPSSSPTGAPRYQMLPLETDLNTLPMIPNIPEKVFLMDAKSTEGSRYGSGLANQNLSRKCEALAVSGLAEYGDEIDVVAPTDILKQIFKIPYSKAQVSIAVNRIGDTLILNTGPDVDEGEKIFRRQSNHPKGSDPSMFLNFAMHSVRAEACDCPPSHQPSKEKQTASAILRGPFGQREGPLDSPSSSSFSTSPYLDQNISKSRKTSHGARESLYWGARENKQKVKGSDPVKKTTHVGDKPRCDVQESEKSRRVGNNGFRKVCFWQFHNFHMLLGSDLLIFSNEKYMAVSLHLWDVSRQVTPLNWLEAWLDNIMASVPELAICYHQNGVVQGYELLKNDDIFLLKGVSDDGTPAFHPQVVQQNGLAVLRFLQDNCKQDPGAYWLYKGAEEDVIQLYDLSILPQNHTAGDHRSTCGPMSSLMKKGRKESLFSLGTLLYRVAHRMSLSKVPSNRAKCAKFFKKCLDFLSEQDHLVVRAYAHEQFARLILRCYEELELTSESFLLESEVTLTDLDESPDLSLENLPSKQNEVLTEISEEPATLDGMLECSRSGSSQASNSLVDPGHVDISPVSSATKGDVTVDSLVMCQSGTQVSRTIADAISSKLAAIHHVSQAIKSLRWNRQLQNTQDDCVGNADTIWEKPVDFSLCRCGDIDCIEVCDIREWLPKSKMDHKLWKLVLLLGESYLALGEAYKNDGQLRRTLKVVELACLVYGSMPKNLEGEQFISSMSNSSLSVEDGDLKANLVLDEADYFKNAKCFNYDVSAGQLPPNYLFWVKAWMLVGDVYAESHRLRGQQAPVLPEQKPDGEVRMSNEVAMEVKRLKRKLGKDKQNCGTCSLINCSCQSDRANSGSSASSSSSEASTLYGRKKNKKSSGRNFHSQSRETKENPSTQDSMGDSESKQQSVNGACFEKRSVSNVEIDTNNYTMENQSRNNDGVPDKSKEDVSSVRVGGIFKFLGGPEPGDVEYNLHSAIHCYDAAKGVIFAFPVPLAEKSTILKKRGWAFNELGCHRLESRNLGNAEIAFADAIKAFQEVADHTNVILINCNLGHGRRALAEQFVSRIDEFQKYDFPQDAYMQSFKSAKSEYFQAINYYTAAKRQLTYADNEVDKVLYNEVYTQYAHTHLRLGMLLARESFLTDSYEGGFVDESSNRTVLEISASDAFREALSTYESLGEHRKQEAAFGHFQLACYQRDLCLRFLDLIDKEVKQKNEDKYRQKAKWYGSLAEKNWQRALEFYGPKTHSTMFLNILMAQSALSVNLSDSFHSSVMLENALVHLLDGRHVVEANDEYSNDLDLDIKPKFWSQLQRLLKSMLAAARPAASVGQANASNSRGDTAKLKEMYRLSLKSTSLGQLHALHKIWVS >ORUFI01G42280.1 pep chromosome:OR_W1943:1:34889792:34896662:-1 gene:ORUFI01G42280 transcript:ORUFI01G42280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKGSAVDKSPSDTTLGPDRVVRGHERGGAGVGVGKEERKTVAREAAAKRIQEQQQQQRQQPASVQEAPASRAPIDARELPWDGVPNLARLPSQKSGMEVSSILGRASTVGLGKAVEVLDTLGSSMANLNINSGFGSGTTTKGNKISILAFEVANTIVKGCNLMRALSKESIKHLKEVVLHSEGVQNLISKDMDELLKISAADKREELKVFSTEVVRFGNRCKDPQWHNLDRYFDKFASERTPQHHLKEEAESVMQQLVTCVQCTAELYHEMHALDRFEQDYQRKQQEEDGSSVHQRGENLNILKQEVKSQRKHVKSLQKKSLWSKNLEEVMEKLVDIVHFLHLEIHNAFGRSDNEESQEPTKRRNRLGPAGLALHYANIISQIDTLVSRSSSIPPNTRDALYQSLPPTVKSSLRSKVNSFVVNEEVTAAQIKAEMEKTLRWLVPIANNTTKAHHGFGWVGEWANTGSEVNCKPTGQMDLTRIETLYHADKEKTETHILELVAWLHHLISRSKSANGERSPIKSPVRSPTQRGHTITLSPNKASSNSSPLLTQEDQDMLRDVKYRKFIPGISKSQEFETKSRHSKQSRLSKSNSHSPSSGNMKELLSIRRMLPVIDFEIDRTKAMDVIDRVDNLKSTVRT >ORUFI01G42290.1 pep chromosome:OR_W1943:1:34900995:34901771:1 gene:ORUFI01G42290 transcript:ORUFI01G42290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTAPCAARSASRRVAWHIALLFLWTQTKSKTIEQGVGLVSWFGVQTIDRFEITDDQNIAGEMLTRRRRMLYRVVASPVLPIPSEGVMDVLGYDMVLRVKH >ORUFI01G42300.1 pep chromosome:OR_W1943:1:34905441:34909178:-1 gene:ORUFI01G42300 transcript:ORUFI01G42300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLVPRAAASFLGAPPLLTTQAGPLLAARSGPPNPSRLRLRLSPWRLLRSRRGLSCSADAAKRCGDDDAEEDGEQSVAGGGGSRPVVDRRQRSRGDAAMGSGELLAIPGVGPRNLRKLVDKGFDGFFGKSNEKMVEFLQSSVGIIHKNHAESITLFIKESVDEELKGTDSPNVSKNKRLTFCVEGNISVGKTTFLQRIANETIELRDLVEIVPEPIAKWQDVGPDHFNILDAFYAEPQRYAYTFQNYVFVTRVMQEKESSSGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMVRKRSEEGGVTLDYLRGLHEKHESWLLPSKGQGPGVLSVSQVPVHMEGSLPPDIRERVFYLEGDHMHSSIQKVPALVLDCEHDIDFNKDIEAKRQYARQVAEFFEFVKKKKEDPSAESSGGDKSTNKQIMLPHRGGLWVPEGNPLPGSALNSLDFRRAMSSFLSA >ORUFI01G42310.1 pep chromosome:OR_W1943:1:34920939:34922800:1 gene:ORUFI01G42310 transcript:ORUFI01G42310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGKENQPTQQHRKASPSESPKKKKTPLYDSTNTPPSPARSLAAHIYARLASPLPLLLLLVQNQREALVAHNQLDTAARRTNARRGHGEVAMLREGAHEQGGVDEGGGPAADRLHQGARRGLLAVAAQGGGAPPLRQELPPPLDELPPPRPQARQLHRRRRRAHHQAPRPSRQQVVVDCGAAAGEDGQRDQELLEHAHQAQAPEPGHRPADAPAGQRREQRRRGERADHDGQHRRLSVPCAGAAAAAAQATQPGARRGAEQCELRQVRGVPAVGGRPQQQRRQLGRAAVPRPQPRPRPRPVHEPAELAAQDAGRRVVHDRVAPPSPPAAEDHLPLLPPRRPQRRRLQLQGGRAIAGRPTRVPVSQATGGGPVHIAQ >ORUFI01G42320.1 pep chromosome:OR_W1943:1:34938315:34942037:1 gene:ORUFI01G42320 transcript:ORUFI01G42320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWAPPSRASPPIRSASPPPPPAASFASLFPFLPFQLATASPQREKRRQQSKRTQSWPRTHTDSLALLLPLYLSSLPRRLLPNPPNPNPPPHSLSISCSPPFASAFFGGEMAEEPQPEAAPAAVAATTEVAVAEKAPVEAEKEKKVEEETPAVEAEAKEEKKDEAAAGGDEAGAIEGTGSFKEESNLVADLPDPEKKALDEFKQLIAAALAACEFNLPPPPPPPKAKVEAAVEETKAEEEPKAEEPAKEEEPKAEVAAAAAPPPEAGTEEPKAEASSEEAKTEEPKAEAAADEPAKEESKAEAAPAEEAKPAEPEPEEKTVVVTEEEAATKTVEAIEETVVPAAAAPAAAATEEAAAPEPEVQAAAAPEPVLIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLRSAVLWRKRFGIESLLDADLALPELDSVVFYRGADREGHPVCYNVYGEFQDKDLYEKAFGDEEKRERFLKWRIQLLERGILSQLDFSPSGICSMVQVTDLKNSPPMLGKHRAVTRQAVALLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFIFASPAKSAETLFRYIAPEQVPVQFGGLFKEDDPEFTTSDAVTELTIKPSSKETVEIPVTENSTIGWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPANEEPIMKGSFKVGEPGKIVLTINNPASKKKKLLYRSKVKSTSESV >ORUFI01G42330.1 pep chromosome:OR_W1943:1:34944535:34948517:-1 gene:ORUFI01G42330 transcript:ORUFI01G42330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAVSTAPVAPVVASSSSAAAAAAPRRPRSLRLRVTPYASVSSSYSPSTLAVSIPPPPPARHSRKQLVGRDGAPAKPAKPRVFFLDVNPLCFCGSQRSLGAFARWLALFFAHVSLRDPVVAVLDGEGGNEYRRRLLPSYKAHRPRGPGTGADSRVIDVLRECNVPVVRVDGYEADDVVATLTEQVLQKGFRVVIGSPDKDFKQLISEDVQLVMPIPEIGRWSFYTLRHYVAQYKCDPTADLSLRCFIGDEADGVPGIQHLVPGFGRKTAVKLLKKHGSLENLLNTAAVRTVGKDYAQDALVKHADYLRKNYEVLSLKRDVKVQLDDRWLSTRDSCNDSSVLSDFILKFNEGQNISEDARVELESERAPNRTFAVPENMPK >ORUFI01G42330.2 pep chromosome:OR_W1943:1:34944803:34948517:-1 gene:ORUFI01G42330 transcript:ORUFI01G42330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAVSTAPVAPVVASSSSAAAAAAPRRPRSLRLRVTPYASVSSSYSPSTLAVSIPPPPPARHSRKQLVGRDGAPAKPAKPRVFFLDVNPLCFCGSQRSLGAFARWLALFFAHVSLRDPVVAVLDGEGGNEYRRRLLPSYKAHRPRGPGTGADSRVIDVLRECNVPVVRVDGYEADDVVATLTEQVLQKGFRVVIGSPDKDFKQLISEDVQLVMPIPEIGRWSFYTLRHYVAQYKCDPTADLSLRCFIGDEADGVPGIQHLVPGFGRKTAVKLLKKHGSLENLLNTAAVRTVGKDYAQDALVKHADYLRKNYEVLSLKRDVKVQLDDRWLSTRDSCNDSSVLSDFILKFNEGQNISEDARVELESERAPNRTFAVPENMVCFRFSPFPAFFLHSLLVQLWSWSTKRFTFIS >ORUFI01G42340.1 pep chromosome:OR_W1943:1:34951793:34956470:1 gene:ORUFI01G42340 transcript:ORUFI01G42340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRPESSDLSLGFHSLGHARGHAVTGPLRLFHDMEDAKPEKSVGGGGGGGGGGGEEEDGEEGGDQHFSLLGHALCVKRPRRALYGGGGGGGAGGGGGGGGEASSCSSSSSSLHPAKRQATAERGADLEARRGAVRAWGNQALAEADPDVHALMELERDRQVRGIELIASENFVCRAVLEALGSHLTNKYSEGHPGARYYGGNQHIDGIERLCHERALAAFGLDPACWGVNVQPYSCTSANLAVYTGLLLPKDRIMGLEPPSGGHVSHGYYTPSGKKVSGASIFFESLSYKVNPQTGYIDYDKLEERAMDFHPKILICGGSSYPREWDFARMRLIADKCGAVLMCDMAHISGLVAAKECRSPFDYCDVVTSTTHKNLRGPRGGIIFFRRGKNLRRRTGSFSQADENDYDFEDRINFAVFPSMQGGPHNNHIAALAITLKQVATPEYKAYIIQVKKNAQALASALLRRKCRLVTGGTDNHLVLWDLRNLGLTGKNFEKVCEACHISINKMPIYGDNGSISPGGVRIGTPAMTTRGCLEDDFEVIADFLIRATQIASNLMKEHGKMQKEFLRGLQNNKNIIELRNQVENFASQFAMPGFDV >ORUFI01G42350.1 pep chromosome:OR_W1943:1:34958622:34959733:-1 gene:ORUFI01G42350 transcript:ORUFI01G42350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFFTSLARGLDDLSSGGGLSSLPALLRAAALLRGLHSQLTLLVGQLHLPPGGRWLDEYMDETARLWEACLAVKVGLAAVERYCAAASCAAAAMDYWLQDPSPLSTRQVMRAISASRREAMAAEEENRALSEARIAPLSLQLDERLLLRAADARLSGFNGFRGLLYALHNASSLLLLVLASGAVSCAAAAAGPCSADGAADAGGFVASIAMLQQRMAEEADADGGAGAARGGIRMYEFRCARAAVEAAREEVERAVAAGPRKQQCEDGDGGVKDKVDELKAWLDVLRTGTDGLVCQLDDFLDDIVEGRKELSDLCSH >ORUFI01G42360.1 pep chromosome:OR_W1943:1:34971771:34974855:1 gene:ORUFI01G42360 transcript:ORUFI01G42360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGEHFLRQLSASNGGYGGAAQYQMGRGVVAEEEVELGGGGRRRGSKRWSKKRAGRGGYGGGGGGKGDAAAAAAAAAAVAGRKRVMVVVDDTSGAKHAMMWALTHVANKGDFLTLLHVLPYAGAGRGEETPSLANSLGTLCKACRPEVEVEALVIQGPKLATVLSQVKKLEASVLVLSQSKPSHFCWLSCILRSSSEEFVEQCINQAECLTLAVRKQSKGVGGYLISTRWQKNFWLLA >ORUFI01G42370.1 pep chromosome:OR_W1943:1:34976178:34980814:-1 gene:ORUFI01G42370 transcript:ORUFI01G42370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASSSSAAAVPPLDPEAIAAVAEAAPPEEMTLVAKWKGNDYTVRVVGDDTLGELKRRICEVTGVLPKRQKLLYPKFKLNECKDSELISSIPFKPNVKINMIGTVEDEIFVDQEDHPEILNDYEIGQNEVTAIKDKDVYKQKLKRRASQYKIKILNPCRKGKKLLVLDIDYTLFDHRSAAENPIELMRPYLHEFLSAAYSEYDIMIWSATSMKWVEMKMDQLGVLGNPNYKITALMDHLAMITVQSENLSEKKTFDCKPLGVIWAQFLEYNETNTIMFDDLRRNFVMNPQNGLVIKPYKRTHSNRGTDQELVKLTQYLLTIAELEDLSKLDHSAWESFTEENAKRRRHR >ORUFI01G42380.1 pep chromosome:OR_W1943:1:34983616:34990665:1 gene:ORUFI01G42380 transcript:ORUFI01G42380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSYFSLRRLLLLLLPLIPLLGATTAAAAGANSSVTYDQRSLIISGRRRLLISTSIHYPRSVPEMWPKLVAEAKDGGADCVETYVFWNGHEPAQGQYYFEERFDLVRFAKIVKDAGLYMILRIGPFVAAEWTFGGVPVWLHYVPGTVFRTNNEPFKSHMKRFTTYIVDMMKKEQFFASQGGHIILAQVENEYGDMEQAYGAGAKPYAMWAASMALAQNTGVPWIMCQQYDAPDPVINTCNSFYCDQFKPNSPTKPKFWTENWPGWFQTFGESNPHRPPEDVAFSVARFFGKGGSLQNYYVYHGGTNFGRTTGGPFITTSYDYDAPIDEYGLRRLPKWAHLRDLHKSIKLGEHTLLYGNSSFVSLGPQQEADVYTDQSGGCVAFLSNVDSEKDKVVTFQSRSYDLPAWSVSILPDCKNVAFNTAKVRSQTLMMDMVPANLESSKVDGWSIFREKYGIWGNIDLVRNGFVDHINTTKDSTDYLWYTTSFDVDGSHLAGGNHVLHIESKGHAVQAFLNNELIGSAYGNGSKSNFSVEMPVNLRAGKNKLSLLSMTVGLQNGGPMYEWAGAGITSVKISGMENRIIDLSSNKWEYKIGLEGEYYSLFKADKGKDIRWMPQSEPPKNQPMTWYKVNVDVPQGDDPVGLDMQSMGKGLAWLNGNAIGRYWPRISPVSDRCTSSCDYRGTFSPNKCRRGCGQPTQRWYHVPRSWFHPSGNTLVIFEEKGGDPTKITFSRRTVASVCSFVSEHYPSIDLESWDRNTQNDGRDAAKVQLSCPKGKSISSVKFASFGNPSGTCRSYQQGSCHHPNSISVVEKACLNMNGCTVSLSDEGFGEDLCPGVTKTLAIEADCS >ORUFI01G42390.1 pep chromosome:OR_W1943:1:34999535:35002293:1 gene:ORUFI01G42390 transcript:ORUFI01G42390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHKSHSSLTHSLTHTATLAVFLLCRLTTSLRNKLLISLPSLALLLLLLLPPPRPPPIHPSTVSSLPSPMGNPPELYHRILNIPRETSPQEIRAAYKSLVKKWHPDKHPPSSKPEAEARFKAITEAYEALLDQQENRAVFGVCCNDGRAGEKAMACGVVGGGGAHIARTRSDDFGARMAPGTPAREFKKVYSSGNSGGRRAFAEFSSSIMRKAPPLERKLDCTLEELCHGCKKEVKFTRDVVTKNGVAGLITFNGFTILDRSIVKKEVSQMVLVKPGWKKGNKITFEGMGDERPGCLPADAVFVISEKKHPVFKRVGNDLVLKAEVPLVSALTGWSFSFRLLSGKKVSCSFQDEIICPGYEKIIKGEGMPIADQKGARGDLRVKFEIAFPKQLTDEQRDGLAQILRGFTTDVLVTALPNPFLG >ORUFI01G42390.2 pep chromosome:OR_W1943:1:34999535:35002293:1 gene:ORUFI01G42390 transcript:ORUFI01G42390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHKSHSSLTHSLTHTATLAVFLLCRLTTSLRNKLLISLPSLALLLLLLLPPPRPPPIHPSTVSSLPSPMGNPPELYHRILNIPRETSPQEIRAAYKSLVKKWHPDKHPPSSKPEAEARFKAITEAYEALLDQQENRAVFGVCCNDGRAGEKAMACGVVGGGGAHIARTRSDDFGARMAPGTPAREFKKVYSSGNSGGRRAFAEFSSSIMRKAPPLERKLDCTLEELCHGCKKEVKFTRDVVTKNGSIVKKEVSQMVLVKPGWKKGNKITFEGMGDERPGCLPADAVFVISEKKHPVFKRVGNDLVLKAEVPLVSALTGWSFSFRLLSGKKVSCSFQDEIICPGYEKIIKGEGMPIADQKGARGDLRVKFEIAFPKQLTDEQRDGLAQILRGFTTDVLVTALPNPFLG >ORUFI01G42390.3 pep chromosome:OR_W1943:1:34999535:35001845:1 gene:ORUFI01G42390 transcript:ORUFI01G42390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHKSHSSLTHSLTHTATLAVFLLCRLTTSLRNKLLISLPSLALLLLLLLPPPRPPPIHPSTVSSLPSPMGNPPELYHRILNIPRETSPQEIRAAYKSLVKKWHPDKHPPSSKPEAEARFKAITEAYEALLDQQENRAVFGVCCNDGRAGEKAMACGVVGGGGAHIARTRSDDFGARMAPGTPAREFKKVYSSGNSGGRRAFAEFSSSIMRKAPPLERKLDCTLEELCHGCKKEVKFTRDVVTKNGVAGLITFNGFTILDRSIVKKEVSQMVLVKPGWKKGNKITFEGMGDERPGCLPADAVFVISEKKHPVFKRVGNDLVLKAEVPLVSALTGWSFSFRLLSGKKVSCSFQDEIICPGYEKIIKGEGMPIADQKGARGDLRVKFEIAFPKQLTDEQRDGLAQILRGCAWD >ORUFI01G42400.1 pep chromosome:OR_W1943:1:35003032:35004289:-1 gene:ORUFI01G42400 transcript:ORUFI01G42400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAMADEFKIVLTRKERFLYLAKAVVFLVIFALGVVAGLWTATGPRRCCSTYTNILFPSTTVYRYRGGGGGSFSEFVAPTRLMHDMTDEQLFWRATMAPASSGGAYPFQRVPKVAFMFLAGRGVLPLAPLWERFFRGHEGLFSIYVHAPPGMVLNVSDDSPFYGRQIPSQETSWGSITLMDAEKRLLANALLDFSNDRFVLLSESCIPVQSFPVAYGYLTGSRHSFVEVYYHKGKTCRGRYSRRMEPDITLPQWRKGSQWFELRRDLAVAALTDARYYPLFRRHCRPSCYPDEHYLPTFVAMLHGADNSNRTVTYVDWSRGGAHPATYTAGDVTPELILSIRRSEVPCMYNSRPTTACFLFARKFSADALEPLLNISSTVMQY >ORUFI01G42410.1 pep chromosome:OR_W1943:1:35007390:35011808:1 gene:ORUFI01G42410 transcript:ORUFI01G42410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTESGSGGVAESAPSTPMSAMTTTPISARSVRWESDMPDIEEGGGGLERPLLRRRGTNTTSQMAIVGANVCPIESLDYELVENEVYKQDWRSRGKLQIFHYQILKWVLALLVGLIVGLIGFFNNIAVENIAGFKLLLTTNLMLQNRYKAAFLWFISCNAMLAAAAAALCAYFGPAAAGSGIPEVKAYLNGVDAPSILAPSTLFVKIVGSIFGVSAGFVLGKEGPMVHTGACVASFLGQGGSRKYGFTWNWLRYFKNDLDRRDLITCGAAAGVTAAFRAPVGGVLFALEEATSWWRSALLWRTFSTTAVAAMVLRSLIEYCRSGNCGLFGKGGLIMFDVSSQVTSYTTMDLAAVVLLAIVGGLLGALFNFLLNRILRVYSYINEKGAPYKIILTVVISLVTSCCSFGLPWLTACTPCPPELAASGHCPTIGRSGNFKNFRCPPGQYNAMASLFLNTNDDAIRNLFSGGTESEFGVPMLLAFFTAVYSLGLVTYGVAVPSGLFIPVILSGASFGRLLGKLLGVLTGLDTGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLLLPLIMLVLLVSKTVADCFNKGVYEQMVRMKGLPYLEAHAEPCMRSLVAGDVVSAPLIAFSSVESVGTVVDTLRRTGHNGFPVIEDAPFAPEPELCGLVLRSHLLVLLRAKTFTADRVKTGAAEVFRKLAPFDFAKPGSGKGLTVDDLDLTEEEMAMYVDLHPIANRSPYTVVENMSLAKAAVLFRQLGLRHMCVVPRTPGVIELTNLAESYMLFRHFCI >ORUFI01G42420.1 pep chromosome:OR_W1943:1:35013067:35014157:-1 gene:ORUFI01G42420 transcript:ORUFI01G42420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEIGEDMLTEILEGRGGGGGGGEGRRRQAYTYACASGGGDHGGGVAEADMGFFPGHETSTIIDGCNGMLLYYASQPAAAFHVVNPTTRRWARLPPPRGKTLLSVLAFDPSASPHYRVVCFTGWLPRGASVEVFDSEGGAWRDHELDFGLDTDAMSATMHYFDGAIHVLAYSGHVVRIDLGTMACAVTALPAPVSCRARAGHCRGRLRYASSDGTRLKFWELKNAATSEWALKHELGVNDLVAGEASGACQAVTFLFMAFHPDREMVYLWTPWKLVAFDMEKRRVEEEWEVGPEKEKEGAHLIQIWLFPFSRHLANSLA >ORUFI01G42430.1 pep chromosome:OR_W1943:1:35016094:35020301:-1 gene:ORUFI01G42430 transcript:ORUFI01G42430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQKPPPIHRHAAIQPPSPSPAQHRTSPPRRWGFVPCPVLFLCVGRGGGREGKSVVRWRVVWRRCEGGGMQRSRRALLKRKAAAAAKEEEEEAGVGVATAAAAGRRRRRRLYGFSVSLVVACWVVLLLLNPLVGHGNGQRDEGIFADEGSSDPSFDSVEPTLSEGSVDSVVQQENGENHALPGDSCAKPDENHVLSEETLLEKDQLCSNDEAQGDGMDALPKDNVDQGENLPRTDDDSVVHPEGEVESEGVPRPARLSRVVPPGLDEFKTRAIAERGKGVPSGQPGNVIHRREPSGKLYNYASAAKGAKVLEFNKEAKGASNILDKDKDKYLRNPCSAEGKFVIIELSEETLVDTIAIANFEHYSSNLKEFEMLSSLNYPTDSWETLGRFTVANAKIAQNFTFPEPKWARYLKLNLLSHYGSEFYCTLSMLEVYGMDAVEKMLENLIPVENKRLEPDDKMKEPVDQQTELKEPTEGKESSHEPLDEDEFELEDDKLNGDSSKNGAHDQVTETRPIQAGRIPGDTVLKVLMQKVQSLDVSFSVLERYLEELNSRYGQIFKDFDADIDTKDALLEKIKLELKHLERSKDDFAKEIEGILSWKLVASSQLNQLLLDNVIIRSELERFREKQADLENRSFAVIFLSFVFGCLAIAKLSIGMIFNTCRLYNFEKFDRGYPGMVGELVPDGK >ORUFI01G42440.1 pep chromosome:OR_W1943:1:35021558:35025734:1 gene:ORUFI01G42440 transcript:ORUFI01G42440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPTRTVYIGNLDEKVTERILYEILIQPGRVVDLCIPRDKETSCPKGYAFAEYETEEIAQYAVQLFSGLVRLYGKTLKFAISGQDKPSSNGNNPVMPKLNPVPLPKQPQFVHHSDMHVLHTPADPMHYQLDPCIATEGSAMVWRLCFECCWPWSSEATNVVSVLLGTKEGNLPREEAMKMESPGVQPAAAGEEEGGGGVFFCVAVTSRGRTDRLSYFQAEGDGDDAEEVARATAALCLDHAPEHHHWHHHTVVGRRTFAFLAGDDGRTYFAVADPTPGSAETVRFLQRVRDAFGSCGGGGGATRRRNQRDDAVDAVVWQFVRALRASAGRGTAALFPGDDSRGGGDASSADGDKDEEEEEDDRGGEAMAVAADGARRRTRRSWWRYSKVVIGVELVLFLVLFVVWMIVCKGFNCVQR >ORUFI01G42450.1 pep chromosome:OR_W1943:1:35027541:35028781:-1 gene:ORUFI01G42450 transcript:ORUFI01G42450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHSRARRGDRPALACRRRPHSGQSGSRSLGRPAGSGSSKLAGNGWIHELAGLPSLTRQIRLVTTTPPLAEDADAEAQLFGIDRRM >ORUFI01G42460.1 pep chromosome:OR_W1943:1:35031345:35033081:-1 gene:ORUFI01G42460 transcript:ORUFI01G42460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGRMDVCTAIPGSNGKQCGVGPTTRRIIAGADLEGNQDLSTWSKWDYVPYRTVNVTILETTAAGIYSHAKHMEYMIDDSVCEDD >ORUFI01G42470.1 pep chromosome:OR_W1943:1:35037177:35045104:1 gene:ORUFI01G42470 transcript:ORUFI01G42470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSVIGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRACVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGARSFRVMKSFSQQAGIQGGLGAAFPSHATFPHYAIPQGLPYHVYGYSPYSPDYSYPTNYYNIYGGAQYPFYGAAAAAAAAAAGMVTGSSPFYPYFQFGQSGSTTTNYASGQGYNLQYPQMFHFSTVASTAAAVTGFAQQYGGPLSLAASPQAQAGMTMALTAPTLPTPTQAAHPYRLIPSHFAVSAAPEQPLA >ORUFI01G42480.1 pep chromosome:OR_W1943:1:35046382:35048256:-1 gene:ORUFI01G42480 transcript:ORUFI01G42480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGALARLLLGRRAAATPLLARPFAAKARASRRPQEPAFPSEDEDDFAGGEVAAPAPTEGISKPLAEVLRELGKRVPEALVKTRVEDGFSLKYIPWHIVNKILNIHAPEWSGEVRSIVYSSDGKSVSVIYRVTLHGTDAEIYREATGTSSADDTGYGDPVQKAEAMAFRRACARLGLGLHLYHEDMS >ORUFI01G42490.1 pep chromosome:OR_W1943:1:35050136:35050932:-1 gene:ORUFI01G42490 transcript:ORUFI01G42490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFRRKTQTIPILRNRGQLPYGIPLQRSSHGIINKTTPTDLIPHFVIFPTKANSARFPIQNPADMQLLIAIARTQLGIARDTSPATLRDAATTLSKSAPSFAPNPRYLASTACNYSKDSPKPEPGSVSRAEACDTSPATLRDAATTPSSPSQNPARLTPSRSAPSSAQTVKKWTPRLDPLEE >ORUFI01G42500.1 pep chromosome:OR_W1943:1:35053581:35061847:1 gene:ORUFI01G42500 transcript:ORUFI01G42500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSEQHQILSTDQSRTGLSWAWDSTGLPVWSSKVKSEFMVALDTAEKQVQEYRATLDDMEERLSKSEDERATCQDKLNYVEQELAATKGRESAMQERLLKEVGDFQERYCDQIKKIGELETQLKKEIDSRIAAESSSASAKESVKELEGNLQRLSENSEREKKALKKKLSYLQDDTKLSISKLNAELERMRLRAQNSEDEAKLLNEQLEDLKKQLDESVREKNEMEHRLLNCSSLSYERTPSDDQKLIKLLQEELRNYEKEVDEARRLKSSHTNVELLKEKILEEQGRRERAEMELSKLQEIEAKAQKLELELASCTALLSNIPDVSSFGDIPQKIADLQKQALTNLNKVGEVTSQLKELKVALEFADLSKQRAEGEATLAKERAESATREIKRLELLLAAISEERDRLRKDHAVSKSRDGDDASSKFCAFIILNGMHNISCEVTIQNMESDLSRMEKVVTELESTIRDQRELISQQHTELNLMNEKLSIESRKAKSLERDGDQLRSQVALLESKLGHGDYSASSTKVLRMVNTLAVDNEAKQTIEALQAELKKTKERLQAVEELKGQADVGTVVDVNIAEKLAQLKNQIATLEKREERYKAVFAERISVFRKACCSLFGYKIVMNDQQQSNGIPVTRFILHSVYAQSDDEKLEFDYESGSTNIVVNDYTSQHEIAQQVDIFIRKMNSIPAFTANLTMESFNKRSIC >ORUFI01G42500.2 pep chromosome:OR_W1943:1:35053581:35061847:1 gene:ORUFI01G42500 transcript:ORUFI01G42500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSEQHQILSTDQSRTGLSWAWDSTGLPVWSSKVKSEFMVALDTAEKQVQEYRATLDDMEERLSKSEDERATCQDKLNYVEQELAATKGRESAMQERLLKEVGDFQERYCDQIKKIGELETQLKKEIDSRIAAESSSASAKESVKELEGNLQRLSENSEREKKALKKKLSYLQDDTKLSISKLNAELERMRLRAQNSEDEAKLLNEQLEDLKKQLDESVREKNEMEHRLLNCSSLSYERTPSDDQKLIKLLQEELRNYEKEVDEARRLKSSHTNVELLKEKILEEQGRRERAEMELSKLQEIEAKAQKLELELASCTALLSNIPDVSSFGDIPQKIADLQKQALTNLNKVGEVTSQLKELKVALEFADLSKQRAEGEATLAKERAESATREIKRLELLLAAISEERDRLRKDHAVSKSRDGDDASSKFCAFIILNGMHNISCEVTIQNMESDLSRMEKVVTELESTIRDQRELISQQHTELNLMNEKLSIESRKAKSLERDGDQLRSQVALLESKLGHGDYSASSTKVLRMVNTLAVDNEAKQTIEALQAELKKTKERLQAVEELKGQADVGTVVDVNIAEKLAQLKNQIATLEKREERYKAVFAERISVFRKACCSLFGYKIVMNDQQQSNGIPVTRFILHSVYAQSDDEKLEFDYESGSTNIVVNDYTSQHEIAQQVDIFIRKMNSIPAFTANLTMESFNKRSIC >ORUFI01G42500.3 pep chromosome:OR_W1943:1:35053581:35061847:1 gene:ORUFI01G42500 transcript:ORUFI01G42500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSEQHQILSTDQSRTGLSWAWDSTGLPVWSSKVKSEFMVALDTAEKQVQEYRATLDDMEERLSKSEDERATCQDKLNYVEQELAATKGRESAMQERLLKEVGDFQERYCDQIKKIGELETQLKKEIDSRIAAESSSASAKESVKELEGNLQRLSENSEREKKALKKKLSYLQDDTKLSISKLNAELERMRLRAQNSEDEAKLLNEQLEDLKKQLDESVREKNEMEHRLLNCSSLSYERTPSDDQKLIKLLQEELRNYEKEVDEARRLKSSHTNVELLKEKILEEQGRRERAEMELSKLQEIEAKAQKLELELASCTALLSNIPDVSSFGDIPQKIADLQKQALTNLNKVGEVTSQLKELKVALEFADLSKQRAEGEATLAKERAESATREIKRLELLLAAISEERDRLRKDHAVSKSRDGDDASSKFCAFIILNGMHNISCEVTIQNMESDLSRMEKVVTELESTIRDQRELISQQHTELNLMNEKLSIESRKAKSLERDGDQLRSQVALLESKLGHGDYSASSTKVLRMVNTLAVDNEAKQTIEALQAELKKTKERLQAVEELKGQADVGTVVDVNIAEKLAQLKNQIATLEKREERYKAVFAERISVFRKACCSLFGYKIVMNDQQQSNGIPVTRFILHSVYAQSDDEKLEFDYESGSTNIVVNDYTSQHEIAQQVDIFIRKMNSIPAFTANLTMESFNKRSIC >ORUFI01G42510.1 pep chromosome:OR_W1943:1:35062050:35064923:1 gene:ORUFI01G42510 transcript:ORUFI01G42510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLSTSERQPQPEKKPPRTRPPLPGKAVAALCVASFVVGLLLSGRVVVPLLPPGSSSPASNYKTSFSTGCENKRAKLGESNPTDIMNEVSRTHHAIQSLDKAVSSLEMELAVERARSSAAVGAGTAVSSLGPQKAFVVIGINTAFSSKKRRDSLRDTWVPRGDKLRRLEKEKGIVIRFVIGRSGAAAAGDGPLDRAVDAEDAENKDFLRLDHVEGYHELSSKTRIYFTTAVATWDADFYVKVDDDVHVNLGMLTSRLAKYRTRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNKYFRHATGQIYAVSKDLAAYISINQPILHRFANEDVSLGAWLIGLEVEHVDDRSMCCATPPDCEWKKRAGNVCVASFDWSCSGVCKSVDRMKHIHRACGEGQGAVWSVAT >ORUFI01G42510.2 pep chromosome:OR_W1943:1:35064619:35065728:1 gene:ORUFI01G42510 transcript:ORUFI01G42510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVHDGGKWKCMGGHVQCVPLDHVPAHAVGACRQAVSQQRAATPIQGTRLYELLGWGTRRGVALGRPWLGEEPVDIAFSPRFSPSTLASRRREREREYRRRRRGGRRRVTVLPGNNIGACPPLSPRCGADGTAPTRSSRGGPDPPTTAPDLPLRRVTVRDGEVSDGARQGRWQWRDGQRRGKEREREAVTADK >ORUFI01G42520.1 pep chromosome:OR_W1943:1:35071807:35076062:1 gene:ORUFI01G42520 transcript:ORUFI01G42520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNSRPLKRARTRVEARDFAGFPPAGDGGAAGTFREAVRGFLARYARLLPLPSIFSPAAAAAPPHLLTWRVSLRVGEEGDEEGGGGAVELNVVEEDVLRSRSVYCDQCRVVGWSGHPVCGKRYHFIIENDNNQVCGKRHSCCLRCGTPTVAGESRCLLCNFDMDGEELEECGYMHLDDNTHLLHAVVHANGYGHLLRVNGREGGSRCLTGRDIMSFWDRLCKVLHVRKVTVMDISKKHGMEYRLLHAITSGHPWYGEWGYKFGAGSFALTSDTYQEAVDTLSGIQLALYFSHRQPIRTPLQNTIALYWALSDRQLVTVRDLFRFIMHLLHQARKKNETSKPTTDEHKEVASNVLCKWTKEDIDRAETAMLKVLRVVQPGQWVSWRALRGAASKAVDSQELLDYSLRGLGGKLMDDGHFIAVRCNAETSAIEYRLEDNSNQSVDAAAFGPSVDHLLHDLKFLYNALLNPETMLASQPEVIGASSHSAAAKILDCKQFIKHYDQHTPRAPLNPFLLSVRCSIELLDHPKDYTAPPVELVLLPASATLAELKIQATRAFQETYLMFQSFQVEQLPDFPNFSDTTLVKHVLGSSQLVRVRGRCTGDNRRIVQFRMERGLENWTVDCTCGAKDDDGERMLACDVCGVWQHTRCSGISDFDDVPEKFICRKCASPRRGKGRGGGGGNGGSRMDVSAAGRCKDEIGSSVGGAGKFGRMATVG >ORUFI01G42530.1 pep chromosome:OR_W1943:1:35078654:35081724:1 gene:ORUFI01G42530 transcript:ORUFI01G42530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIRLSSRWRYQQLEEGGRSGAKREERREGERGRRKGGRAVAANRTAVWRLGCKPRARTPGAAKEPRARACYFQGPWRENEDMCRWGILLTLQSASTLAENTYDNGVCFDMSPLLLLSEAVSFSSRVRFKSGAPTHWNQLTSVDEAWPLTECIYMGPRADLDADLLSGRLRRGNPAKVTTITLFGPESLAFDGGRSGPVHPASPTSRIILKWGGVVAGWTTSAYSGNYRCTCFRSNTCVRTDRSQLIRACRRELRAGPPRTQYATCNGEHVQEASGPSVPFEIRRPLHRRFVCRSCSSMASSTVDIDQLVVESNAYVH >ORUFI01G42540.1 pep chromosome:OR_W1943:1:35088806:35089240:-1 gene:ORUFI01G42540 transcript:ORUFI01G42540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGYCNLTSSPPVASGAVEAETKWEGVAVGAATLVRNFSSASQRFRPVERSRSTAGGNGGGLQAVVRRAFSMRRQPSSFADGYWRIHDDMDGDDAAGEHQEQAAFQDGEQKRREEQDHATGKKEKITKKKGRIFKACKKLLGF >ORUFI01G42550.1 pep chromosome:OR_W1943:1:35091505:35092583:-1 gene:ORUFI01G42550 transcript:ORUFI01G42550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSGEAHQDGRRRAGCNLQEAKKRPRQAAMSGRSRPWPGDPSPAPPQPPVVAAAADAGGEASTSLRDFGTSMDAISFGFAATAILVSMFLLMAIFEHLIKPHVFPPLASAALRPARRRHGVSPAGKLRSPPMVETVLQAADLSVLMPGQRYPTYLAQPAPLPPAPCPREGVHWPPHDHDVHHSYMPP >ORUFI01G42550.2 pep chromosome:OR_W1943:1:35091505:35092061:-1 gene:ORUFI01G42550 transcript:ORUFI01G42550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSRPWPGDPSPAPPQPPVVAAAADAGGEASTSLRDFGTSMDAISFGFAATAILVSMFLLMAIFEHLIKPHVFPPLASAALRPARRRHGVSPAGKLRSPPMVETVLQAADLSVLMPGQRYPTYLAQPAPLPPAPCPREGVHWPPHDHDVHHSYMPP >ORUFI01G42560.1 pep chromosome:OR_W1943:1:35096595:35096810:1 gene:ORUFI01G42560 transcript:ORUFI01G42560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWRLRHWRREAAHGGGRRRCGRRESVTARATSGSDHQRGPGGGGGGDTVEGEDVATRVSSRTKIPVAAR >ORUFI01G42570.1 pep chromosome:OR_W1943:1:35101782:35107801:1 gene:ORUFI01G42570 transcript:ORUFI01G42570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQQLQIYLCFILLSLKFGISASLPLETDALLDIKSHLEDPQNYLGNWDESHSPCQFYGVTCDQTSGGVIGISLSNASLSGTISSSFSLLSQLRTLELGANSISGTIPAALANCTNLQVLNLSTNSLTGQLPDLSTFINLQVLDLSTNDFSGPFPAWVGKLSGLTELGLGENNFNEGDVPESIGKLKNLTWLFLGQCNLRGELPVSIFDLVSLGTLDFSRNQIIGVFPIAISNLRNLWKIELYQNNLTGEIPPELAHLTLLSEFDVSQNQLSGILPKEIANLKKLKIFHIYRNNFSGVLPEGLGDLEFLESFSTYENQFSGKFPANLGRFSPLNAIDISENYFSGEFPRFLCQNNKLQFLLALDNNFSGEFPSSYSSCKTLQRFRISQNQFTGRIHSGIWGLPNAVIIDVANNKFVGGISSDIGISASLNQLYVHNNVFSGELPMELGKLSLLQKLVAFNNRFSGQIPAQIGSLKQLSFLHLEQNALEGSIPPDIGMCNSLVDLNLADNSLTGTIPDTLASLFTLNSLNLSHNMISGEIPEGLQYLKLSYVDFSHNNLSGPVPPALLMIAGDDAFSENDGLCIAGVSEGWRQNATNLRYCPWNDNHQNFSQRRLFVVLIIVTSLVVLLSGLACLRYENYKLEQFHSKGDIESGDDSDSKWVLESFHPPELDPEEICNLDVDNLIGCGGTGKVYRLELSKGRGVVAVKQLWKRDDAKVMRTEINTLGKIRHRNILKLHAFLTGGESNFLVYEYVVNGNLYDAIRREFKAGQPELDWEKRYRIAVGTAKGIMYLHHDCSPAIIHRDIKSTNILLDEEYEAKLADFGIAKLVEGSPLSCFAGTHGYMAPELAYSLKVTEKSDVYSFGIVLLELLTGRSPSDQQFDGELDIVSWVSSHLANQNPAAVLDPKVSSHASEDMTKVLNIAILCTVQLPSERPTMREVVKMLIDIDSISANGKAKNKNDKK >ORUFI01G42570.2 pep chromosome:OR_W1943:1:35103339:35107801:1 gene:ORUFI01G42570 transcript:ORUFI01G42570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTNVRFILFRYTVLTSNNTMLPQQLQIYLCFILLSLKFGISASLPLETDALLDIKSHLEDPQNYLGNWDESHSPCQFYGVTCDQTSGGVIGISLSNASLSGTISSSFSLLSQLRTLELGANSISGTIPAALANCTNLQVLNLSTNSLTGQLPDLSTFINLQVLDLSTNDFSGPFPAWVGKLSGLTELGLGENNFNEGDVPESIGKLKNLTWLFLGQCNLRGELPVSIFDLVSLGTLDFSRNQIIGVFPIAISNLRNLWKIELYQNNLTGEIPPELAHLTLLSEFDVSQNQLSGILPKEIANLKKLKIFHIYRNNFSGVLPEGLGDLEFLESFSTYENQFSGKFPANLGRFSPLNAIDISENYFSGEFPRFLCQNNKLQFLLALDNNFSGEFPSSYSSCKTLQRFRISQNQFTGRIHSGIWGLPNAVIIDVANNKFVGGISSDIGISASLNQLYVHNNVFSGELPMELGKLSLLQKLVAFNNRFSGQIPAQIGSLKQLSFLHLEQNALEGSIPPDIGMCNSLVDLNLADNSLTGTIPDTLASLFTLNSLNLSHNMISGEIPEGLQYLKLSYVDFSHNNLSGPVPPALLMIAGDDAFSENDGLCIAGVSEGWRQNATNLRYCPWNDNHQNFSQRRLFVVLIIVTSLVVLLSGLACLRYENYKLEQFHSKGDIESGDDSDSKWVLESFHPPELDPEEICNLDVDNLIGCGGTGKVYRLELSKGRGVVAVKQLWKRDDAKVMRTEINTLGKIRHRNILKLHAFLTGGESNFLVYEYVVNGNLYDAIRREFKAGQPELDWEKRYRIAVGTAKGIMYLHHDCSPAIIHRDIKSTNILLDEEYEAKLADFGIAKLVEGSPLSCFAGTHGYMAPELAYSLKVTEKSDVYSFGIVLLELLTGRSPSDQQFDGELDIVSWVSSHLANQNPAAVLDPKVSSHASEDMTKVLNIAILCTVQLPSERPTMREVVKMLIDIDSISANGKAKNKNDKK >ORUFI01G42580.1 pep chromosome:OR_W1943:1:35108351:35110744:-1 gene:ORUFI01G42580 transcript:ORUFI01G42580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNAAPEDVESGEHERTGTVWTATAHIVTAVIGSGVLALAWSVAQLGWVAGPLALAGFACVTYYTSTLLANAYRAPHPVTGTRNRTYMDAVRSYLSPREVFMCGIAQYVNLWGTMVGYTITATISMVAIRRSDCIHRNGAGAAARCDNTSATVLMLAFSIVQVVLSQFPGLEHITWLSVVAAVMSFAYSFIGLGLSVAEWVSHGGHLSGRIQGATAASSSKKLWNVLLALGNIAFAYTFAEVLIEIQDTLKPSPPENKTMKKAAMYGIGATTIFYISVGCAGYAAFGSDAPGNILTASGMGPFWLVDIANMCLILHLIGAYQVYAQPIFATMERWISSRWPEAKFINSAYTVNVPLIQRGSVTVAPYKLVLRTVVVIATTVVAMMIPFFNAVLGLLGAFSFWPLTVYFPISMHIAQEKITRGGRWYLLQGLSMVCLMISVAVGIGSVTDIVDSLKVATPFKTVS >ORUFI01G42590.1 pep chromosome:OR_W1943:1:35125326:35129265:-1 gene:ORUFI01G42590 transcript:ORUFI01G42590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEVERKEVAVDDDGRVRTGTVWTATTHAITAVIGSGVLALPWSVAQMGWVLGPIALVVCAYITYYTAVLLCDCYRTPDPVHGKRNYTYMDVVRSCLGPRDVVVCGIAQYAILWGAMVGYTITTATSIMSVVRTNCHHYKGPDATCGSSGTMYMVLFGLAEVVLSQCPSLEGVTLISVVAAVMSFTYSFVGLFLSAAKVASHGAAHGTLLGVRVGAGGVTASTKAWHFLQALGNIAFAYTYSMLLIEIQDTVKSPPSENVTMKRASLYGIGVTTVFYVSIGCVGYAAFGNAAPGNVLTGFLEPFWLVDIANVAVVIHLVGAYQVYAQPVFACYEKWLASRWPESAFFHREYAVPLGGGRAVRFTLCKLVLRTAFVAVTTVVSLVLPFFNAVLGLLGAVAFWPLTVYFPVTMYMAQAKVQRGSRKWVALQALNVGALVVSLLAAVGSVADMAQRLRHVTIFQTQL >ORUFI01G42600.1 pep chromosome:OR_W1943:1:35131072:35134195:-1 gene:ORUFI01G42600 transcript:ORUFI01G42600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQSKQPSPAAAVHARATTTPTPTPGEDEQQGSALEAERRGRRTTTTRRAAEGAMDTFFLSHGAPTLSIDDTIAAQGFFKSWLPAAVAGAELPRAILVVSGHWEAAAPTVNVIGGNNDTIHDFYGFPKAMYKLKYPAPGAPDLAMKTKELLEQAGFGPVKEDHSRGLDHGAWVPLMFMYPEANVPVCQLSLQSGRDGAYHYELGRALAPLRDDGVLVLGSGSATHNLRRMGPEGTPVPQWAAEFDGWLQEALLGGRHDDVKRYEEKAPHGRVAHPSPDHFLPLHVALGAAGEGAKAELIHRSWSNASLSYASYRFTTAKN >ORUFI01G42610.1 pep chromosome:OR_W1943:1:35136595:35137581:-1 gene:ORUFI01G42610 transcript:ORUFI01G42610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFFLSHGTPTLSIDDTMPAQHFFRSWLPAAVAGAQPPRAILIVSGHWETATPTVNVVRGNNDTIHDFEGYGFPKSMFQLEYPAPGAPDVAKKAKELLEQAGFGPVKEDHGRGLDHGAWVPLMFMYPEANVPVCQLSLQSGRDGAYHYDLGRALAPLRDDGVLILGSGNATHNLSCMAPVAEGTPVPQWAAEFDGWLQEALLAGGRHDDVKQYEEKAPHGKMAHPSPDHFLPLHVALGAAGEDAKAELIHHSWYNATLSHASYRFTTTTKNKPIAACKE >ORUFI01G42620.1 pep chromosome:OR_W1943:1:35137793:35139089:1 gene:ORUFI01G42620 transcript:ORUFI01G42620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWCVATSPAADEALRGEASQIEHKNITSQRLRGEDGFVAPKGGRGWPAADPLAGAGSAPHRPSHAPVPPSAATGPSPRASPEDRRRRIHSPEARRRQIRTSLSRTTAASFPAPLPHLLPCAAAPFGPSPLSNGE >ORUFI01G42630.1 pep chromosome:OR_W1943:1:35141518:35142105:1 gene:ORUFI01G42630 transcript:ORUFI01G42630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSSKTASLLLCLAMISFVQLAQSSPSGDKGIKGFHGKKLSFTLYQQETINKTSYMVVAGVAGAGVSETTTPFGTVYVFRDDLTVRAERSSRVAGVVEGTSVTTSFDGLRSLSLGKITLDHRGRRGSMSVLGGTHNTRPSDCPVVGGTGDFAYAVGYVRTSPVNLRGSSVTFKVEFHLYWPPYAHYAPTSKH >ORUFI01G42640.1 pep chromosome:OR_W1943:1:35143691:35144374:1 gene:ORUFI01G42640 transcript:ORUFI01G42640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNTAMSLVLCLLVMIICSTHRLLHPQPQLAATAASSSSSPFSSRSKIGKDIIDKTVSFTLYQQETMNRTGYVVVPGVDAPAPAPARVVVSDAGEPSADRQPFGSMYVFRDNLTVRADSSSRVAGVAEGTSISTSFDGEDGRRSVSVAKITLHHRGYRGSVSILGGTPNITRPSVYPVVGGTGDFLYAVGYVRSSPVDTRGRTRTTYKMELRLYWPLHAYFAPIP >ORUFI01G42650.1 pep chromosome:OR_W1943:1:35146367:35150688:1 gene:ORUFI01G42650 transcript:ORUFI01G42650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINNGRMKMRNKQRKSSALNFDAGCRSSLSFIVWSLVGVALIVCFFSVVRQADTRQNHIYFRHLSATRELEEIEEEHFRLPPPHKVNPRAVKRRGPRKAPKVIDQYLDESSAVHALFFPDERSAVNPTKGGNDSMYFYPGRVWLDTDGHAIQAHGGGILYDHITAKYYWYGENKDGLTYQTHPKSTYRVDIIGVSCYSSKDLWSWTNEGIVLPGEPTNFTHDLHKSKVLERPKVIYNDHTGQYVMWMHIDDANYTKASVGVAVSNSPTGPFTYLYSFRPHGFESRDMTIFKDDDGSAYLFYSSRDNTELHVSPLTKDYLNITVAMRRILIRRHREAPAVFKLQGTYYMITSGCSGWAPNRALAHAAESIMGPWETLGNPCVGGNRFFRLTTFLSQSTFVLPLPGLPGTFIFMADRWNPSNLKDSRYVWLPLFIGGLADEPLDYSFGFPAWSRVSIYWHRKWRLPESWKGYT >ORUFI01G42650.2 pep chromosome:OR_W1943:1:35146364:35150688:1 gene:ORUFI01G42650 transcript:ORUFI01G42650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRINNGRMKMRNKQRKSSALNFDAGCRSSLSFIVWSLVGVALIVCFFSVVRQADTRQNHIYFRHLSATRELEEIEEEHFRLPPPHKVNPRAVKRRGPRKAPKVIDQYLDESSAVHALFFPDERSAVNPTKGGNDSMYFYPGRVWLDTDGHAIQAHGGGILYDHITAKYYWYGENKDGLTYQTHPKSTYRVDIIGVSCYSSKDLWSWTNEGIVLPGEPTNFTHDLHKSKVLERPKVIYNDHTGQYVMWMHIDDANYTKASVGVAVSNSPTGPFTYLYSFRPHGFESRDMTIFKDDDGSAYLFYSSRDNTELHVSPLTKDYLNITVAMRRILIRRHREAPAVFKLQGTYYMITSGCSGWAPNRALAHAAESIMGPWETLGNPCVGGNRFFRLTTFLSQSTFVLPLPGLPGTFIFMADRWNPSNLKDSRYVWLPLFIGGLADEPLDYSFGFPAWSRVSIYWHRKWRLPESWKGYT >ORUFI01G42650.3 pep chromosome:OR_W1943:1:35146285:35150688:1 gene:ORUFI01G42650 transcript:ORUFI01G42650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPAATPRPLPLQVSPLPLCDLCRTPQPPPVDATSATPPTSSPWAPAARLAPRSGAHPSFLMRINNGRMKMRNKQRKSSALNFDAGCRSSLSFIVWSLVGVALIVCFFSVVRQADTRQNHIYFRHLSATRELEEIEEEHFRLPPPHKVNPRAVKRRGPRKAPKVIDQYLDESSAVHALFFPDERSAVNPTKGGNDSMYFYPGRVWLDTDGHAIQAHGGGILYDHITAKYYWYGENKDGLTYQTHPKSTYRVDIIGVSCYSSKDLWSWTNEGIVLPGEPTNFTHDLHKSKVLERPKVIYNDHTGQYVMWMHIDDANYTKASVGVAVSNSPTGPFTYLYSFRPHGFESRDMTIFKDDDGSAYLFYSSRDNTELHVSPLTKDYLNITVAMRRILIRRHREAPAVFKLQGTYYMITSGCSGWAPNRALAHAAESIMGPWETLGNPCVGGNRFFRLTTFLSQSTFVLPLPGLPGTFIFMADRWNPSNLKDSRYVWLPLFIGGLADEPLDYSFGFPAWSRVSIYWHRKWRLPESWKGYT >ORUFI01G42650.4 pep chromosome:OR_W1943:1:35147015:35150688:1 gene:ORUFI01G42650 transcript:ORUFI01G42650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYILIWLKTITDHYCSFLMRINNGRMKMRNKQRKSSALNFDAGCRSSLSFIVWSLVGVALIVCFFSVVRQADTRQNHIYFRHLSATRELEEIEEEHFRLPPPHKVNPRAVKRRGPRKAPKVIDQYLDESSAVHALFFPDERSAVNPTKGGNDSMYFYPGRVWLDTDGHAIQAHGGGILYDHITAKYYWYGENKDGLTYQTHPKSTYRVDIIGVSCYSSKDLWSWTNEGIVLPGEPTNFTHDLHKSKVLERPKVIYNDHTGQYVMWMHIDDANYTKASVGVAVSNSPTGPFTYLYSFRPHGFESRDMTIFKDDDGSAYLFYSSRDNTELHVSPLTKDYLNITVAMRRILIRRHREAPAVFKLQGTYYMITSGCSGWAPNRALAHAAESIMGPWETLGNPCVGGNRFFRLTTFLSQSTFVLPLPGLPGTFIFMADRWNPSNLKDSRYVWLPLFIGGLADEPLDYSFGFPAWSRVSIYWHRKWRLPESWKGYT >ORUFI01G42660.1 pep chromosome:OR_W1943:1:35149895:35152112:-1 gene:ORUFI01G42660 transcript:ORUFI01G42660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASTSTARRLRAFRRWMRDHGVVCSNALRLDAAEDGGGGVYVRALAALREGDLVATIPRGACLTPRTSGAAEAIEAAELGGPLALAVAVMYERARGAESPWDAYLRLIPEREPVPLVWPADEAERLLAGTELDKIVKQDRQFICEDWKECIEPIILSGELEVDPDDFSLENYFSAKSLLSSRSFRIDSYHGSGMVPLADLFNHKTGGEHVHFTSVLEASDSDSEDGEDPNNASADEQSTIENSADIPSGDDDEDLEMIVVRDVNEGEEVFNTYGTMGNAALLHRYGFTEMDNSYDIVNIDLALVTKWCSSKYSRRYARARVSLWHNLGYSGCTSQDADYFEISYDGEPQLELLILLYIISLKSDAYDKLASVAHDLIGDDEVDSISSVLKVVRVTSSNQHPDISGLEKLPDVKKLLLNESVCSALVSLVDMRESLYGSNTLEDDRQKLQACSSVNERNLYHSLVLRVSERTILHKLKKHASSWSKTKKRKQL >ORUFI01G42670.1 pep chromosome:OR_W1943:1:35156874:35159254:1 gene:ORUFI01G42670 transcript:ORUFI01G42670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQERAMKHVMEIGEERKRSSLKRRLMMRLRKDGYDASLCRSSWVATAEHPGGDYEYIDVLVAVGHGADTSSTSRLIVDVDFRSQFQLARPAPWYAHLSSRLPPVFVGPPEKLRQAVALLCMAAQRSLRESGLHVPPWRRPSYVQAKWLPCRGVQASALPPGGESAAAANGGDGPRAVVQWSVGKERRRRGGGHRRSGLSVELSDSGAGESSTILSPWPIAAFARRKEKKEGKRGESYAEKIEIYVQ >ORUFI01G42680.1 pep chromosome:OR_W1943:1:35168603:35172573:1 gene:ORUFI01G42680 transcript:ORUFI01G42680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTGAFSNSQTSTISSVNFTILPQEEQDTMCNSATLPESEAKVFSQQISACTLRAKWAAGLAGGLAGRVKRRERYGAYGQLPGRASKCIQRTRLAVPRKVLTGPDS >ORUFI01G42690.1 pep chromosome:OR_W1943:1:35187225:35193136:1 gene:ORUFI01G42690 transcript:ORUFI01G42690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLETTNTRYRPAGAADDTAKRRTQKSKSFKEVEKFDVFVLEKSSGCKFRSLQLLLFAIMSAAFLTLLYTPSVYDHQMQSSSRFVSGWIWDKTIPDPRYVSSLGVQWEDVYKTVENLNDGERKLKVGLLNFNSTEIGSWTQLLPDSDFSIIRLEHAKESITWQTLYPEWIDEEEETEIPSCPSLPDPIFPRGTHFDVVAVKLPCTRAGGWSRDVARLHLQLSAAKVAVTASRGNRGIHVLFVTDCFPIPNLFSCKNLVKHEGNAWMYKPDLKALREKLRLPVGSCELAVPLKAKARLYSVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDFVILVDETISNHHRKGLEAAGWKVRIIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEVKVKKTRLFGADPPILYVLHYLGLKPWLCFRDYDCNWNNPILREFASDVAHARWWKVHDKMPKKLQHYCLLRSRQKAGLEWDRRQAEKANFTDGHWRRNITDPRLKTCFEKFCFWESMLWHWGESKNSTKENPVPATPTASLTSS >ORUFI01G42690.2 pep chromosome:OR_W1943:1:35187361:35193136:1 gene:ORUFI01G42690 transcript:ORUFI01G42690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLETTNTRYRPAGAADDTAKRRTQKSKSFKEVEKFDVFVLEKSSGCKFRSLQLLLFAIMSAAFLTLLYTPSVYDHQMQSSSRFVSGWIWDKTIPDPRYVSSLGVQWEDVYKTVENLNDGERKLKVGLLNFNSTEIGSWTQLLPDSDFSIIRLEHAKESITWQTLYPEWIDEEEETEIPSCPSLPDPIFPRGTHFDVVAVKLPCTRAGGWSRDVARLHLQLSAAKVAVTASRGNRGIHVLFVTDCFPIPNLFSCKNLVKHEGNAWMYKPDLKALREKLRLPVGSCELAVPLKAKARLYSVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDFVILVDETISNHHRKGLEAAGWKVRIIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEVKVKKTRLFGADPPILYVLHYLGLKPWLCFRDYDCNWNNPILREFASDVAHARWWKVHDKMPKKLQHYCLLRSRQKAGLEWDRRQAEKANFTDGHWRRNITDPRLKTCFEKFCFWESMLWHWGESKNSTKENPVPATPTASLTSS >ORUFI01G42700.1 pep chromosome:OR_W1943:1:35197739:35202163:-1 gene:ORUFI01G42700 transcript:ORUFI01G42700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPLRLLLFLLLLHLPSSLSSRHHHHAPSPSKPAPASYAAPLAVLLACNATRFQPACVSTLSNASADASTPDLLAATLSALRARIPPAVSTARSVLAASSNVNLTNAATNCLTFLSLSTHRLSPPPSTSSPSLLSASTALLHLYDCWSAYKYVNFSRTISDAMAYLDDTIAVNSNYISMLAALQRYGDDTFRWAPPQTERDGYWPPAAAGSAADEDALGVPKGLPPNVTVCGAGCHYKTVGEAVAAAPDYGDEMFVVHVKEGVYKETVNVPWEKTNVVVVGDGMGKTVITGDLNADTPGVSTFNTATVGVLADGFMARDLTISNTAGPDAHQAVAFRSTGDRTVLDTVELLGHQDTLYAHAMRQFYTRCRVSGTVDFVFGNSATVLRDTALIVLPRQLRPEKGENDAVTAQGRTDPAQPTGIVLRGCVVNGSDDYMALYREKPDVHHVYLGRPWKEYSRTVYVGCTLSEIVQPRGWMAWNGDFALKTLYYGEYESAGPGGDGASGSRIGWSSQVPRDHVDVYSVASFIQGDKWIPKIH >ORUFI01G42710.1 pep chromosome:OR_W1943:1:35205099:35207191:-1 gene:ORUFI01G42710 transcript:ORUFI01G42710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSFSRSTSARLTARGGVGSPRVSAAAAAHRKWWWWAPSGPSFECAALAFFLSSVALVLACALYLYLFRYQGRGHAVAEFAGDNLESCDVFDGSWVPDRRYPLYNSSDCPFVERGFNCLANGRKDTGYLKWRWKPRGCDLPRFSARDVLERLRGKRVVFVGDSMSRTQWESFICMLMAGVENPKTVYEVNGNQISKTIRFLGVRFASFNLNVEFFRSVFLVQQSPAPRSSPKRVRAILKLDKMDNISRKWENADVLIFNSGHWWTPSKLFDMGCYFEAGGLLKLGTSINSAFKMALETWASWVKEKVDLKRTHVFFRTYEPSHWSGSNQKVCEVTEFPTAEAKGDDRSEFGDILAGVVVNMSVPATILNVTLMGAFRSDAHIVIGAFLESQMLGMN >ORUFI01G42720.1 pep chromosome:OR_W1943:1:35209487:35211224:-1 gene:ORUFI01G42720 transcript:ORUFI01G42720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRTSGGVDKLGLLLLNRMGHLHVVVVSPPLPLSLGAGIVSAVIVIVGAASIPDTPNSFTLRGRLNEARDLLWRICRAGAATADVDAELKDIVRVAEEDRRAWAAVWRHPAGAEAVPAVEESTMARPALGEMGSASVLRTSRRQGC >ORUFI01G42730.1 pep chromosome:OR_W1943:1:35211298:35211887:1 gene:ORUFI01G42730 transcript:ORUFI01G42730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVRGLKEHSGTSAWRWWPRRWIGATMIQVWGTDNLELHVPQRIQPLTHSMAKGGIRLSVHLTKMKDGRCVRR >ORUFI01G42740.1 pep chromosome:OR_W1943:1:35214391:35218031:1 gene:ORUFI01G42740 transcript:ORUFI01G42740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVGTVRVSGCGAVVAPSRRQCRVSAAVLTAAETATATRRRVTHSMPPEKAEVFRSLEGWARSSLLPLLKPVEECWQPTDFLPDSSSEMFEHQVHELRARAAGLPDEYFVVLVGDMITEEALPTYQTMINTLDGVRDETGASACPWAVWTRTWTAEENRHGDILGKYMYLSGRVDMRMVEKTVQYLIGSGMDPGTENNPYLGFVYTSFQERATAVSHGNTARLARAHGDDVLARTCGTIAADEKRHETAYGRIVEQLLRLDPDGAMLAIADMMHKRITMPAHLMHDGRDMNLFDHFAAVAQRLNVYTARDYADIVEFLVKRWKLETLETGLSGEGRRARDFVCGLAKRMRRAAERAEDRAKKDEQRKVKFSWIYDREVIV >ORUFI01G42750.1 pep chromosome:OR_W1943:1:35216746:35218392:-1 gene:ORUFI01G42750 transcript:ORUFI01G42750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRARQLHALYLTRGCRDPDMWANLAKVYASNSFLREAAIIYSKLLPHRTHHLPLVIVLLKATASRADPVLGRALHAEAVKSANAHDRLVGTTLVSVYCKCGLLADARRVFDGMLDRNAVTCNAMLAGYAAAGDMVSAEALFAGMGSRTPVTWATLIRGFAGKGDMAETRRWFEAMPLGMQNVVTWTVAVQAYVAAGDMETARELFNKMPARNAFVWSSMVTGNFKAGNADEAQAVFDKIPVRNLVNWNALIAGYAKMGCCEKALEAFHSMLKDKIKPDEFTMAGVLSACAQLGSLEQARKVHNFIIQHRIRKNQFVMNGLVDMFAKCGDLAFARNIFDNMQWRNTECWNSMISALSSHGQSIEAIRLFSKMECSEQKPNEITLLAVLGACTHGGFVDEGLRIFSKFDIYGVAAAVEHYGCLVDLLGRSGRLREAYEIVKNMLVEPNEVIWGSLLGACRVHGDAEMSELVSSEIHQLHSRRVSTNDAEYILLSNIMASSERWEQAERMRRKMALHGVGKTPGCSSVELEITEHQVCAGSGTLSQLH >ORUFI01G42760.1 pep chromosome:OR_W1943:1:35218866:35227284:-1 gene:ORUFI01G42760 transcript:ORUFI01G42760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARGGRRGRGRGRRGGRGGAASRARGENVVDVEPIKLGDDDAKVDPQTKSPNEEITSAEVCEVETSEASPLGAPEEDEGKPGSTRNLKRLRKTITIDSAAAEEHGAESDDEEFDVLATASCQELAPCRRSKRLRCKPTRKRSKPTGKRDLGGKESEDDVFANSSSSSQDDAGKLASRRSKRLRERLTSDYFDGDVGEDKDTLGSSSDDYDENCSAPRRGSKRLRNRLGPRNISYADDDSDTDDNRKKIVPCRRTSKRLQEKRKAHDVSEESYTEASSCMLSTSSSSDDELLHNSIKPGRRNSGGPSCSICKIGIACSFLIKCKNSTCSRSFHTFCLDPPLQEIIGTWECSWCKSNAAPAVKVTEVLTSKKIQRLVGHRRILQEADFQYQFLVKWQSLSHHHDCWVPLEWLHVSDPLRVQSYLNKNCLPKEVYSEDQRKLEWFEVDHAIACRRKFHHEGLCDVLATFQDNQDFDGYEFLVKWKGLDYCEATWEPCCTDGVQQAVSMLVRRHKNASKRVNISQTCLDGSKIEEVHCGALYDYQLQGLQWLIDNFKTRRSVILADEMGLGKTVQVVCFLYHIIKESLTASPALILAPKSILLQWEKEFCQWASDLNVIVYQGDRDSRKCIQVHEMYSSDGKPLFDALVTSYEFVQIDKAVLQKFKWSTIVIDEAHRMKKLDCNLAACLKRYCSEFRLLLTGTPLQNNIMELFSLLHYIDPDEFSDPKADGLFSPIESGRDLTMDEKVARIHNILKPRMLRRMKSDVLTDSMPVKKWVEVPCALADSQRELYINILERNYSKLNSAIRNGLEVGQQATEDVFLSLIASSGKLQLLHKLLPRLKERGNRVLIFSQMTRMLDILEDFLCSLGYKYARIDGQTSLSARQESIEEYKNIDSETFIFLMSTRAGGMGVDLPGADRVIIYDPDFNPFMDLQAQSRAHRIGQTRPVVVYQLITKCSVEEKILQKSKQKLAIENMLMNSSKKPSADELQSILLHGAKTIVDRKISATSIHYDNEAIENLLKLDPSTGEKCSSDDNGYLGSIVSFAHGVEDEAPSSPKVEDLKVLKPATPKVDLGRGKRQRKVVNYADEVENEDSDDMYAPEGSSTSEFSSSSSDDETDSALQLQIVTVPDIDAD >ORUFI01G42770.1 pep chromosome:OR_W1943:1:35228624:35230189:-1 gene:ORUFI01G42770 transcript:ORUFI01G42770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLRAALGAVKDRTSVGLARVGGADEVAADLAVAIVKATAHGESVPGDERHVQEILTLTCYSRARVAACVSAVSRRLGRTRAWAVAVKALALVHRLLADGDPAYEQEVFLATRRGRRMLDVSHRFPHRSSRSRATWDFHGFVRAYAAYLDDRLKHRMKGRVASQGKWCSDGRRDGFPDITDGSYEVGEAVAEVWALVPRDTPATGTTTTEELVSKAQHLKHILQRFIGCRPTGKARTNKVVAAALHRLVKESAVMYRELTEVMAMLADRFAELETPCCVRVHSIFTSIAKLFDELDEFYSWCRSATICRPSEIPEVERVAQKKLDLMDEFIRDRQPASSRWWRCTPPAPSSPLAPIASNGDNSSKASPAEPAPAGALVVVDDHMADFLNLGEESTPLSTEEQDRDLTLSLFGDDPATPAPKWETFDDDQCDDWETALVQSASKFAATQSATVLALPPPPGATGGEVADPFAASLAVPPPTYVQMMDMQARQRLLANEQMMWQQFETQQMAAWSYSSLL >ORUFI01G42780.1 pep chromosome:OR_W1943:1:35235886:35236682:1 gene:ORUFI01G42780 transcript:ORUFI01G42780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETHLGRSGRDNADPHALHASSMTNQIMRSSGISIMEYISIRSTGSAWDNTAALTYRTQNTNAFTPINRESTNSTATTTSLLRGDTVRDRISHASSSTTGGQQRRSQFDPYGVDADFDRYPEASSYTSSLG >ORUFI01G42790.1 pep chromosome:OR_W1943:1:35244005:35251860:1 gene:ORUFI01G42790 transcript:ORUFI01G42790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEMPRRLPFAVDTWGPSSRRRRHRFLTHAHRDHLVAAGGAADSGECPGAVYATRLTLDLALRHFPRLERGEFVEMEVGKTVVVDDPAGAFSVTAYDANHCPGAVMFLFEGQFGSILHTGDCRLTPDCVQNLPLKYIAKKGKENICRLDFVFLDCTFSKCFLKLPSKESAIQQVIACIWKHPHAPFVYLACDLLGHEEILIEVSRTFGSKIYVDKRRNSDCFRALSLIAPEIITEDPSCRFQILGFQNLYDKACTKIEEARASLQPEPLFIRPSTQWYAHCAQSQKPSLTEAVLDGCGVWHICFSIHSSRDELEQALELLQPQWVISTTPPCFAMELSYVKKKCFKTCLTADDPLWKIFKNPLRKSVSSPSTLLDSDTHTNEDHSISVDDDHDHSASPSGEECTDFDICTLELKFMPSPPVQEPDITLFGRARFGSEEIDIMREELCNQRIAVEEARAYSTAYLICDGSSEVETCPNSRTDFVIVQASKSQQSYSGYEDEDPSCQCAASPRQLESRSILSLPIGECSLSPVVDNPKKSEVVIESESTNHAESSNLCMVRRGYSGSEDDCQRAASPRQLEKLSIRSSPIGECSLSPVADKPEKSEVVIESESTNLAENSNLCMVRGEETTDCERGTLCVIGSSKCLNASLKRLYRSRNVPVPRPLPSLVGLLESTKRMKMQPGSDGSSLNSWHTLPRR >ORUFI01G42800.1 pep chromosome:OR_W1943:1:35252263:35254029:-1 gene:ORUFI01G42800 transcript:ORUFI01G42800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYMVTADGHSPSSADNSCSDVAKDSPLVSNVSQQNSQSISDNQSSELEVEFDEDDIRMKLQELEHALLDDSDDILYEISQAGSINDEWADPMKNVILPNSPKESESSISCAGSNNGEPRTPKQLLFDCAMALSDYNVDEAQAIITDLRQMVSIQGDPSQRIAAYLVEGLAARIVASGKGIYKALSCKEPPTLYQLSAMQILFEICPCFRFGFMAANYAILEACKGEDRVHIIDFDINQGSQYITLIQFLKNNANKPRHLRITGVDDPETVQRTVGGLKVIGQRLEKLAEDCGISFEFRAVGANIGDVTPAMLDCRPGEALVVNFAFQLHHLPDESVSIMNERDQLLRMVKGLQPKLVTLVEQDANTNTAPFQTRFREVYDYYAALFDSLDATLPRESPDRMNVERQCLAREIVNILACEGPDRVERYEVAGKWRARMTMAGFTPCPFSSNVISGIRSLLKSYCDRYKFEEDHGGLHFGWGEKTLIVSSAWQ >ORUFI01G42810.1 pep chromosome:OR_W1943:1:35260004:35260933:1 gene:ORUFI01G42810 transcript:ORUFI01G42810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRCHHHPHHPTAGIXSSRARPSSPYPAACASRRRRCGLLHLRRGGAQPGHRRRPQAISYTVRSDRLDALALLLLLAADIPHGERRRAVRRRAPGRVPAGCGGDGVGAPGPRHAGGARQPVLRTGTCSPGRCWLFNSDHWALISEAATRQMVEDNAVNQSALCLLACRLMLVHTCTACCYRLCLLAAVQPVLICRPLPIASATSRRMLNADASQLACGCVVVGWLSTPTASIGGGGASPARAAPPAFNAAAVASAGEATAGCCHLRGDADASRGGETQAALTRSWAQRENGGGGCVVAGREGVEHSGG >ORUFI01G42820.1 pep chromosome:OR_W1943:1:35260559:35260798:-1 gene:ORUFI01G42820 transcript:ORUFI01G42820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGGFSCTCDGGGVEGGRSGAGGGGAAAADGRGRRAEPADNDATTRELGGICVEHSPAGCRGNRQGATDEDWLDCG >ORUFI01G42830.2 pep chromosome:OR_W1943:1:35261678:35264733:-1 gene:ORUFI01G42830 transcript:ORUFI01G42830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAVFGASDYPKKKRMDGEQLMSGELDNSFNALMVSGEGESGQAHHEGTGTTLLGWKDLPMELLLRILSMAGDDRMVIVGSGVCTGWRDTLEWGVTNLSLSWCQAHMNDLVMSLAQKFTKLQVLSLRQIKPQLEDSAVEAVANNCHDLRELDLIRSFRLSDRSLYALAHGCPHLTRLNISGCSNFSDAALAYLSSQCKNLKCLNLCGCVRAVSDRALQAIACNCGQLQSLNLGWCDSVTDKGVTSLASGCPELRALDLCGCVLITDESVVALANGCPHLRSLGLYYCQNITDRAMYSLAANSRRVRSKGRSWDAAARKNAGAGADGLASLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTSVHCACAHHPHRHGRAILSNHAY >ORUFI01G42840.1 pep chromosome:OR_W1943:1:35264978:35265307:1 gene:ORUFI01G42840 transcript:ORUFI01G42840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERMITESISNADNIRSRLIVTWSKYLQEREEKEENNSIAKDRSSFRAQPATQTKQLLPKCRVKLKDSYSIQENYMGSKPREMAISSSASTTNPRHKGQEIPQKNSPP >ORUFI01G42850.1 pep chromosome:OR_W1943:1:35269370:35273373:-1 gene:ORUFI01G42850 transcript:ORUFI01G42850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSALSRIYSAARRRLGLLIASLPGGHDGGRRRRRGRGDDRSSATSTPVSTPMSMYSVIAAGDDHPTPASSVAAEAADGSAAAGGGAAVQPAALPPLLPPPQMVVLALDATRDHREEEIRMALRAVVTRGDILRAGGDSLLVLGVLHAITNPMKEMSNRCAYCNSVLLVINIGATSRWVGQPIMSLGYQTKACIDSFAGTNLRLLSDQVKAKAEFYQNKLRHDVEELSKVGINVTLKVSPGSPAKFVIINEVKSSKAAWVVLDRHFRRDFKYLEKHIACKVAVFQDNLVVQPLKIIRTIPPSKSMGEVKALQHLAVSLDLRSETLDTDTHSVLTKSSPVSYFASLSYHEMHETSSVVGSSMSYLTPSMSAMSLTTIDGTDSLSNGKGVEGNMFYHYDSSERPVLCAGCGLKSVLYIKESMKFPFSEIQAATSEFSNENLLGEGGFGHVYKGQLKDGQVIAAKVRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNKSLEWHLFDKDANLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKASNASIHTRILGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKVLDECGGQCTHILQWAEPLVESLALHELIDERIAETYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIESENEHIRDLSRQFIPHFTK >ORUFI01G42850.2 pep chromosome:OR_W1943:1:35269370:35273373:-1 gene:ORUFI01G42850 transcript:ORUFI01G42850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSALSRIYSAARRRLGLLIASLPGGHDGGRRRRRGRGDDRSSATSTPVSTPMSMYSVIAAGDDHPTPASSVAAEAADGSAAAGGGAAVQPAALPPLLPPPQMVVLALDATRDHREEEIRMALRAVVTRGDILRAGGDSLLVLGVLHAITNPMKEMSNRCAYCNSVLLVINIGATSRWVGQPIMSLGYQTKACIDSFAGTNLRLLSDQVKAKAEFYQNKLRHDVEELSKVGINVTLKVSPGSPAKFVIINEVKSSKAAWVVLDRHFRRDFKYLEKHIACKVAVFQDNLVVQPLKIIRTIPPSKSMGEVKALQHLAVSLDLRSETLDTDTHSVLTKSSPVSYFASLSYHEMHETSSVVGSSMSYLTPSMSAMSLTTIDGTDSLSNGKGVEGNMFYHYDSSERPVLCAGCGLKSVLYIKESMKFPFSEIQAATSEFSNENLLGEGGFGHVYKGQLKDGQVIAAKVRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNKSLEWHLFDKDANLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKASNASIHTRILGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKVLDECGGQCTHILQWILLIVFVNLLTVDLHHSFLTPQNFQAEPLVESLALHELIDERIAETYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIESENEHIRDLSRQFIPHFTK >ORUFI01G42850.3 pep chromosome:OR_W1943:1:35269370:35273373:-1 gene:ORUFI01G42850 transcript:ORUFI01G42850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSALSRIYSAARRRLGLLIASLPGGHDGGRRRRRGRGDDRSSATSTPVSTPMSMYSVIAAGDDHPTPASSVAAEAADGSAAAGGGAAVQPAALPPLLPPPQMVVLALDATRDHREEEIRMALRAVVTRGDILRAGGDSLLVLGVLHAITNPMKEMSNRCAYCNSVLLVINIGATSRWVGQPIMSLGYQTKACIDSFAGTNLRLLSDQVKAKAEFYQNKLRHDVEELSKVGINVTLKVSPGSPAKFVIINEVKSSKAAWVVLDRHFRRDFKYLEKHIACKVAVFQDNLVVQPLKIIRTIPPSKSMGEVKALQHLAVSLDLRSETLDTDTHSVLTKSSPVSYFASLSYHEMHETSSVVGSSMSYLTPSMSAMSLTTIDGTDSLSNGKGVEGNMFYHYDSSERPVLCAGCGLKSVLYIKESMKFPFSEIQAATSEFSNENLLGEGGFGHVYKGQLKDGQVIAAKVRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNKSLEWHLFGKSLVDVQKVIYIVKMKDKDANLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKASNASIHTRILGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKVLDECGGQCTHILQWAEPLVESLALHELIDERIAETYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIESENEHIRDLSRQFIPHFTK >ORUFI01G42850.4 pep chromosome:OR_W1943:1:35269370:35273373:-1 gene:ORUFI01G42850 transcript:ORUFI01G42850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYSALSRIYSAARRRLGLLIASLPGGHDGGRRRRRGRGDDRSSATSTPVSTPMSMYSVIAAGDDHPTPASSVAAEAADGSAAAGGGAAVQPAALPPLLPPPQMVVLALDATRDHREEEIRMALRAVVTRGDILRAGGDSLLVLGVLHAITNPMKEMSNRCAYCNSVLLVINIGATSRWVGQPIMSLGYQTKACIDSFAGTNLRLLSDQVKAKAEFYQNKLRHDVEELSKVGINVTLKVSPGSPAKFVIINEVKSSKAAWVVLDRHFRRDFKYLEKHIACKVAVFQDNLVVQPLKIIRTIPPSKSMGEVKALQHLAVSLDLRSETLDTDTHSVLTKSSPVSYFASLSYHEMHETSSVVGSSMSYLTPSMSAMSLTTIDGTDSLSNGKGVEGNMFYHYDSSERPVLCAGCGLKSVLYIKESMKFPFSEIQAATSEFSNENLLGEGGFGHVYKGQLKDGQVIAAKVRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNKSLEWHLFGKSLVDVQKVIYIVKMKDKDANLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKASNASIHTRILGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKVLDECGGQCTHILQWILLIVFVNLLTVDLHHSFLTPQNFQAEPLVESLALHELIDERIAETYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIESENEHIRDLSRQFIPHFTK >ORUFI01G42850.5 pep chromosome:OR_W1943:1:35269370:35273373:-1 gene:ORUFI01G42850 transcript:ORUFI01G42850.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYSALSRIYSAARRRLGLLIASLPGGHDGGRRRRRGRGDDRSSATSTPVSTPMSMYSVIAAGDDHPTPASSVAAEAADGSAAAGGGAAVQPAALPPLLPPPQMVVLALDATRDHREEEIRMALRAVVTRGDILRAGGDSLLVLGVLHAITNPMGYQTKACIDSFAGTNLRLLSDQVKAKAEFYQNKLRHDVEELSKVGINVTLKVSPGSPAKFVIINEVKSSKAAWVVLDRHFRRDFKYLEKHIACKVAVFQDNLVVQPLKIIRTIPPSKSMGEVKALQHLAVSLDLRSETLDTDTHSVLTKSSPVSYFASLSYHEMHETSSVVGSSMSYLTPSMSAMSLTTIDGTDSLSNGKGVEGNMFYHYDSSERPVLCAGCGLKSVLYIKESMKFPFSEIQAATSEFSNENLLGEGGFGHVYKGQLKDGQVIAAKVRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNKSLEWHLFDKDANLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKASNASIHTRILGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKVLDECGGQCTHILQWAEPLVESLALHELIDERIAETYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIESENEHIRDLSRQFIPHFTK >ORUFI01G42850.6 pep chromosome:OR_W1943:1:35269370:35273373:-1 gene:ORUFI01G42850 transcript:ORUFI01G42850.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYSALSRIYSAARRRLGLLIASLPGGHDGGRRRRRGRGDDRSSATSTPVSTPMSMYSVIAAGDDHPTPASSVAAEAADGSAAAGGGAAVQPAALPPLLPPPQMVVLALDATRDHREEEIRMALRAVVTRGDILRAGGDSLLVLGVLHAITNPMGYQTKACIDSFAGTNLRLLSDQVKAKAEFYQNKLRHDVEELSKVGINVTLKVSPGSPAKFVIINEVKSSKAAWVVLDRHFRRDFKYLEKHIACKVAVFQDNLVVQPLKIIRTIPPSKSMGEVKALQHLAVSLDLRSETLDTDTHSVLTKSSPVSYFASLSYHEMHETSSVVGSSMSYLTPSMSAMSLTTIDGTDSLSNGKGVEGNMFYHYDSSERPVLCAGCGLKSVLYIKESMKFPFSEIQAATSEFSNENLLGEGGFGHVYKGQLKDGQVIAAKVRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNKSLEWHLFDKDANLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKASNASIHTRILGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKVLDECGGQCTHILQWILLIVFVNLLTVDLHHSFLTPQNFQAEPLVESLALHELIDERIAETYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIESENEHIRDLSRQFIPHFTK >ORUFI01G42860.1 pep chromosome:OR_W1943:1:35274318:35279375:1 gene:ORUFI01G42860 transcript:ORUFI01G42860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAGRGSNGATDEALREWRHHGSKFYDTFTVSGLRVDAIQPGRVLCSFTVPPRLTNARSKRMHGGAVASLVDLVGSAVFFAGGSPKTGVTVEITVSYLDAARANEEIEMEARVLGIGETTGCVTVEVRRKGAGEVLAHGRITKYLAVSSKL >ORUFI01G42870.1 pep chromosome:OR_W1943:1:35278240:35279048:-1 gene:ORUFI01G42870 transcript:ORUFI01G42870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLIWMSPQPLLEMVRLPLVPCDGVADADEETALLAADARVLESHLEPLTDQLFMGICNLQQSSQQVEDALSQGMEALQQTLGDTLASAAATVVVGGIGADNVTNYMGQIAIAMAMLTTLENFLKLRSNINGELNCLLPWRDAMIFFCVG >ORUFI01G42880.1 pep chromosome:OR_W1943:1:35285996:35293006:1 gene:ORUFI01G42880 transcript:ORUFI01G42880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEASTSAAAGAGGGSWVEGMSADNIKGLVLALSSSFFIGASFIVKKKGLKKAGASGVRAGVGGYSYLYEPLWWAGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLADIMLKEKLHIFGILGCVLCVVGSTTIVLHAPQEREIDSVAEVWALATEPAFLFYAVTVLAATFVLIFRFIPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLIYPQTWMFTIVVVACILTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPTLPIRIPKHDEDGYAAEGIPLSFYVGAKSPNKLVHTLIQPLPMAEKEECKVLIDQASNEAGDPHQDDDCEDEDDDDSSSLILLTNLILSGTARLNVLLPTATILAFAIFAPLLTDDGKCTRLNRALTGALMLLCAASCVFFTLTDSFRSPTGRLRYGIATTSGIRTFCVGGRRRRRGGGKAGPREPERYRLRWSDLFHTALALVAFVTFAASHHDIVLCYYPGVPRKVVNTVPLVIGFVVSLLFVLFPSKRRGIGYPFLLSTDLVYLRR >ORUFI01G42890.1 pep chromosome:OR_W1943:1:35293034:35293981:-1 gene:ORUFI01G42890 transcript:ORUFI01G42890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAAAARTVTPLPAASPLQQHQQRRGAWARVGNGRAASTTAARAGLWDFVGGDLVKPDMGRWLDDVEKHKSLAIYPPHEGGYEGRYLSRLSYQGYYFLDLSARGLGDPETTLTKIHPVCPPSLGRQPVARWYFPPEVDYRLSLLHPDAKGLVVWVMEAKVLSKAELQFLAILPDIRPKVRVIAECGNWRKFVWKPLKQIAGLEPDPDAEE >ORUFI01G42900.1 pep chromosome:OR_W1943:1:35297096:35299815:-1 gene:ORUFI01G42900 transcript:ORUFI01G42900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENVVGTYYYPPSAAAMDGVELGHAAAGSKLFDDDGRPRRNGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMVLFSLVTYYTSSLLSDCYRSGDPVTGKRNYTYMDAVNANLSGFKVKICGFLQYANIVGVAIGYTIAASISMLAIGRANCFHRKGHGDPCNVSSVPYMIVFGVAEVFFSQIPDFDQISWLSMLAAVMSFTYSVIGLSLGIVQVVANGGLKGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESAVMKRATVVSVAVTTVFYMLCGSMGYAAFGDDAPGNLLTGFGFYEPFWLLDIANAAIVVHLVGAYQVFCQPLFAFVEKWAAQRWPESPYITGEVELRLSPSSRRCRVNLFRSTWRTAFVVATTVVSMLLPFFNDVVGFLGALGFWPLTVYFPVEMYVVQKKVPRWSTRWVCLQMLSVGCLVISIAAAAGSIAGVMSDLKVYRPFKGY >ORUFI01G42900.2 pep chromosome:OR_W1943:1:35297098:35298729:-1 gene:ORUFI01G42900 transcript:ORUFI01G42900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENVVGTYYYPPSAAAMDGVELGHAAAGSKLFDDDGRPRRNGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMVLFSLVTYYTSSLLSDCYRSGDPVTGKRNYTYMDAVNANLSGFKVKICGFLQYANIVGVAIGYTIAASISMLAIGRANCFHRKGHGDPCNVSSVPYMIVFGVAEVFFSQIPDFDQISWLSMLAAVMSFTYSVIGLSLGIVQVVANGGLKGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESAVMKRATVVSVAVTTVFYMLCGSMGYAAFGDDAPGNLLTGFGFYEPFWLLDIANAAIVVHLVGAYQVFCQPLFAFVEKWAAQRWPESPYITGEVELRLSPSSRRCRVNLFRSTWRTAFVVATTVVSMLLPFFNDVVGFLGALGFWPLTVYFPVEMYVVQKKVPRWSTRWVCLQMLSVGCLVISIAAAAGSIAGVMSDLKVYRPFKGY >ORUFI01G42910.1 pep chromosome:OR_W1943:1:35300118:35301705:-1 gene:ORUFI01G42910 transcript:ORUFI01G42910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVLESHLEPLTDQLFMGICNLQQSSQQVEDALSQGMEALQQTLGDTLASAAATVVVGGIGADNKHIPT >ORUFI01G42920.1 pep chromosome:OR_W1943:1:35324288:35327487:1 gene:ORUFI01G42920 transcript:ORUFI01G42920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEHPTPPQPHQPPPMDSTAGSGIAAPAAAAVCDLRMEPKIPEPFVWPNGDARPASAAELDMPVVDVGVLRDGDAEGLRRAAAQVAAACATHGFFQVSGHGVDAALARAALDGASDFFRLPLAEKRRARRVPGTVSGYTSAHADRFASKLPWKETLSFGFHDRAAAPVVADYFSSTLGPDFAPMGRVYQKYCEEMKELSLTIMELLELSLGVERGYYREFFADSSSIMRCNYYPPCPEPERTLGTGPHCDPTALTILLQDDVGGLEVLVDGEWRPVSPVPGAMVINIGDTFMALSNGRYKSCLHRAVVNQRRERRSLAFFLCPREDRVVRPPPSAATPRHYPDFTWADLMRFTQRHYRADTRTLDAFTRWLAPPAADAAATAQVEAAS >ORUFI01G42930.1 pep chromosome:OR_W1943:1:35328515:35332833:-1 gene:ORUFI01G42930 transcript:ORUFI01G42930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRGSKMDGRRSSPSSSLCTTTTVVVFVALCLVGAWMMTSSTIFPLEITSNKKAAVKEQPARVNYGASEEAAAASGNSAEGVDRFGDTDNNDNAVPEEPNNTVPSEEEKFSENTVEKPVESSEEKAPPKEKEESKDTFDDANGKTEQSSAKEDGDSESGSGQSDGSKNGDDEEKSEEKKDDEQSDGERKDDQEEKAEGSGSKDTTDQPQIEETVDESGEKGQGAKSNEVFPDGAQSELLKESNTENGSFKTQDAESKNEKESQAASNSSDDETTYNWKLCNNNAGTDYIPCLDNEKAIKKLRTTKHYEHRERHCPVEPPTCVVPLPEGYKRPVEWPTSRDKVWYSNVPHTKLAEYKGHQNWVKVSGDHLLFPGGGTQFKNGALHYIDTIQQALPDIAWGKRSRVILDVGCGVASFGGYMFERDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPSRVFDVIHCARCRVPWHIEGGMLLLELNRLLRPGGYFVWSATPVYQKLPEDVEIWNAMSSLTKAMCWKMVNKTKDKLNQVGMAIYQKPMDNSCYEKRPENSPPLCKETDDADAAWNVPLQACMHKLPAGQSVRGSKWPETWPQRLEKTPYWIDDSHVGVYGKPGNEDFEADYAHWKRVVSKSYVNGMGIDWSKVRNVMDMRAVYGGFAAALRDQKVWVMNIVPTDSADTLPIIYERGLFGMYHDWCESFSTYPRTYDLLHADHLFSKLKKRCKLLPVFAEVDRILRPEGKLIVRDNAETINELQGMVKSLQWEVRMTYTKGNEGLLCVQKSMWRPKEIEASM >ORUFI01G42940.1 pep chromosome:OR_W1943:1:35341294:35343165:-1 gene:ORUFI01G42940 transcript:ORUFI01G42940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQDLQLPPGFRFHPTDEELVMHYLCRRCAGLPIAVPIIAEIDLYKFDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGSPKPVAIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSARKKNSLRLDDWVLCRIYNKKGGLEKPPAAAVAAAGMVSSGGGVQRKPMVGVNAAVSSPPEQKPVVAGPAFPDLAAYYDRPSDSMPRLHADSSCSEQVLSPEFACEVQSQPKISEWERTFATVGPINPAASILDPAGSGGLGGLGGGGSDPLLQDILMYWGKPF >ORUFI01G42950.1 pep chromosome:OR_W1943:1:35350755:35355750:1 gene:ORUFI01G42950 transcript:ORUFI01G42950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKKRARWDPPPEEENGGPTRQSAQAGTTALRDCRWGPRVSGGEQLLPSCSRIRPPRAPGLPYASPYLQMGPTLSLSLLDSISNFRVLSSSNASKTELVKKYCQTMDGILDHLEVALNRAFPQITPDGELSKVLEELGATINEATELVGGWNQMMSKIYFVIQADSIIAKMQIYVFELCQIVNSLMQIESMHLEDLEHDSCGKISDVIREASRALAGEVMPNSEEFGKIQTTLSLSTNQELLMEYVALVKVKTKGNHEDNKEMDDINDIVELVNHMLDKHVEEKQTRSIDGVTIPADFCCPLSLELMSDPVIVASGQTYEHVFIRKWFDLGYNICPKTRQILGHTKLIPNFTVKQLIENWCEVHGIMLPDPVKLLSLCFPVSLNITDGSASADKSGSPEHCQLVAALHPKAQCASDDSHHYNLIHENSDSDDRVSSFGDTDDSEPDSLRLSTETTAANKSLLDEKTDRSDGLKQLRDNGFQVSDEEQYLERNGKSHISSHHQLEVDGENVRVQASSDINASEVMQDDPVTTCSKVSDNPPRLGGVRSRNQPNWWRQSNKTIPRIGLSSSTDSKPDFSGNDAKVRNLIEELKSDSAEVQRSATGELRILSRHSLENRIAIANCGAIPFLVSLLHSTDPSTQENAVTILLNLSLDDNNKIAIASAEAIEPLIFVLQVGNPEAKANSAATLFSLSVIEENKIKIGRSGAIEPLVDLLGEGTPQGKKDAATALFNLSIFHEHKTRIVQAGAVNHLVELMDPAAGMVDKAVAVLANLATVHDGRNAIAQAGGIRVLVEVVELGSARSKENAAAALLQLCTNSNRFCTLVLQEGVVPPLVALSQSGTARAREKAQVLLSYFRNQRHVRVGRGPMASVSRTESFCVWPNLHTLKSGGKKRFRCQFRICSICVKCSDSRNTAFRRGSPVLASLSTRKSGSTSPIHGYRSTMSGSHDHVHGCPRSSTFWFMLLWVRILVFIAV >ORUFI01G42960.1 pep chromosome:OR_W1943:1:35355322:35366201:-1 gene:ORUFI01G42960 transcript:ORUFI01G42960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSKQKVEDMAEELCFVCKDGGDIRVCDFKNCLKGYHPHCVGKEESFLDSAEQFICELHKCVSCKRNSEYHCLCCPSSSVCGECLGKVEFAQFRKQSQTKGLCNNCLKLALFVEKNSEANSDGETVEFRYTENYLVLFKDYWETIKDNEGLALIDLQQANICLRTSLSCKQGRDSEKPPDEDYRADKNSLSVNDGAEQPFPVDVKVQPSEAKMSLKRKKSNKKTYVGWGSKELIEFLSCIGKDTTKPLDEFILTGVVKEYIQQKNLFKDRKRKSVICDDKLHSLFRKRKVKSNMILNLLEIHLAANAASEDDFLDDSEDDEGRIMRKRPCNTLKAAETSERDPKRNKKCFAALNHNNLKLIYLRRTLIMNLMGQDTFEQKVVGSLVRVKNDDNHYSYQMPKKHYQLGLVTGIRKSPQEYKIKDKRTDILLCVSNLWDDIKISMLSEEDIEEDECNDLLLLAKKGLFKRPTVADLEEKAASIHVDIVNHWIDRELMRLEKEIERAHEKEVPEAIPDTEESKRDEFGVAASNHLEENKGATGHVPNSVKVLMEDSRGATGHIADSVKVLMEGLPGGATARVADVFNVDMAKSQDASGQVTDYLEVVEEETPEDASGQVADILDVVEEEPPEDASGQVADILELVEEETSEDASGQVASILEVVEEETPEAPGKDLCNGGIPGSGLQNKMHNAQDGGTAQGSDMCNGGNTSRRLNDRKSVIVIDSDSDEDEDPHPEQHEPERAAPRAAMDVVMAPTHGAPVAMNGTSAPTLPCAKRGKNGTTAPKGRVPAIAALHALQSMNAPGEHEYIWNYADPQGKVQGPFTMEHMRNWHRNRFFPPDFRVWRLGQTQNDSVLLTEAMGLKFSS >ORUFI01G42960.2 pep chromosome:OR_W1943:1:35355322:35366201:-1 gene:ORUFI01G42960 transcript:ORUFI01G42960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSKQKVEDMAEELCFVCKDGGDIRVCDFKNCLKGYHPHCVGKEESFLDSAEQFICELHKCVSCKRNSEYHCLCCPSSSVCGECLGKVEFAQFRKQSQTKGLCNNCLKLALFVEKNSEANSDGETVEFRYTENYLVLFKDYWETIKDNEGLALIDLQQANICLRTSLSCKQGRDSEKPPDEDYRADKNSLSVNDGAEQPFPVDVKVQPSEAKMSLKRKKSNKKTYVGWGSKELIEFLSCIGKDTTKPLDEFILTGVVKEYIQQKNLFKDRKRKSVICDDKLHSLFRKRKVKSNMILNLLEIHLAANAASEDDFLDDSEDDEGRIMRKRPCNTLKAAETSERDPKRNKKCFAALNHNNLKLIYLRRTLIMNLMGQDTFEQKVVGSLVRVKNDDNHYSYQMPKKHYQLGLVTGIRKSPQEYKIKDKRTDILLCVSNLWDDIKISMLSEEDIEEDECNDLLLLAKKGLFKRPTVADLEEKAASIHVDIVNHWIDRELMRLEKEIERAHEKEVPEAIPDTEESKRDEFGVAASNHLEENKGATGHVPNSVKVLMEDSRGATGHIADSVKVLMEGLPGGATARVADVFNVDMAKSQDASGQVTDYLEVVEEETPEDASGQVADILDVVEEEPPEDASGQVADILELVEEETSEDASGQVASILEVVEEETPEDGGTAQGSDMCNGGNTSRRLNDRKSVIVIDSDSDEDEDPHPEQHEPERAAPRAAMDVVMAPTHGAPVAMNGTSAPTLPCAKRGKNGTTAPKGRVPAIAALHALQSMNAPGEHEYIWNYADPQGKVQGPFTMEHMRNWHRNRFFPPDFRVWRLGQTQNDSVLLTEAMGLKFSS >ORUFI01G42960.3 pep chromosome:OR_W1943:1:35355639:35366201:-1 gene:ORUFI01G42960 transcript:ORUFI01G42960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSKQKVEDMAEELCFVCKDGGDIRVCDFKNCLKGYHPHCVGKEESFLDSAEQFICELHKCVSCKRNSEYHCLCCPSSSVCGECLGKVEFAQFRKQSQTKGLCNNCLKLALFVEKNSEANSDGETVEFRYTENYLVLFKDYWETIKDNEGLALIDLQQANICLRTSLSCKQGRDSEKPPDEDYRADKNSLSVNDGAEQPFPVDVKVQPSEAKMSLKRKKSNKKTYVGWGSKELIEFLSCIGKDTTKPLDEFILTGVVKEYIQQKNLFKDRKRKSVICDDKLHSLFRKRKVKSNMILNLLEIHLAANAASEDDFLDDSEDDEGRIMRKRPCNTLKAAETSERDPKRNKKCFAALNHNNLKLIYLRRTLIMNLMGQDTFEQKVVGSLVRVKNDDNHYSYQMPKKHYQLGLVTGIRKSPQEYKIKDKRTDILLCVSNLWDDIKISMLSEEDIEEDECNDLLLLAKKGLFKRPTVADLEEKAASIHVDIVNHWIDRELMRLEKEIERAHEKEVPEAIPDTEESKRDEFGVAASNHLEENKGATGHVPNSVKVLMEDSRGATGHIADSVKVLMEGLPGGATARVADVFNVDMAKSQDASGQHLVKTFVMVAFLGLDYKTKCTMLKMVARLKAAICAMAEIPQGVSMIARVLSLSTQTAMKTRILTQSNMNQNVLLLGQPWTWSWLPLMVLR >ORUFI01G42970.1 pep chromosome:OR_W1943:1:35373485:35375335:-1 gene:ORUFI01G42970 transcript:ORUFI01G42970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEAADLNDWELLLASPTAADEAAAAETRDGGGDDEAGAIKYDYFELGSDVKYPERVSFSKELEEEGEGEEEEGVASGNASWVEPDPDDLVFPGPDRAALWSDSSDDGERREEAEATEPLPVEAAAAEVEAGEGAVTKGGGAGAGVVRWWHLPMGVLRAWALRAARSVWSLPVAVALLGIAVLGRRLYRMRRQSKAVARVRLVLDEKVRPLAAFPAMPLPWKASQFKAQASRLNESFPMARRAPIMKPLLPANGVTPWPVLGHI >ORUFI01G42980.1 pep chromosome:OR_W1943:1:35377346:35378899:1 gene:ORUFI01G42980 transcript:ORUFI01G42980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGKGLVACNAAISRCSRAGLHRRALALFTEMRARGLRADEYTLPPILNSAALLRAPPAAALHALLLRAGLASHLHVANALVDAYAKLSRLGAARAVFDEMPRRDVVTWTSLVTGLARAGSHGLAVRAYRDMVAAGVATDEFAVAAVLSSCAGSTALELGRSVHAAAVRSGHEPFLSVGNSLVSMYAKTGSLRDARTVFDAMRSRCAITWTALIVGYAQNGRGRESLAVYADMARSGCRPDYVTFVGLLFACSHAGLLDAGRAHFQSMQSEHGISPGPDHYACMVDLLGRAGRLGEAVDLLDRSPAVELDATVWKALLAACRTHRNAALAERAAGMVWRLDPTDAVPYVMLSNLYSRARRWGDVARVRALMRSRGISKEPGCSWVVVAGVTHVFHAGDRDHQRAAEIYRKAEEMAARIRARGHVPDTEWALQDEAPEGREMGLAHHGERLAVAFGLLAVPAAAPIRVYKNLRVCGDCHAAIKMVAEVYGREIILRDSNCFHHMKDGSCSCGDYW >ORUFI01G42990.1 pep chromosome:OR_W1943:1:35379139:35382780:-1 gene:ORUFI01G42990 transcript:ORUFI01G42990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISSKTGWQVLVTGYGPAGYALFVLVYAGLEVLAIPAIPLTMSAGLLFGSITGTVIVSISGTLAAAVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFLPYVLGSWLGMLPGSWAYVSAGAFGRAIIQDESEIGLGGNSQLLTLGIGLLFTAIAATYVTRLAKDAVKEIDE >ORUFI01G43000.1 pep chromosome:OR_W1943:1:35385131:35387880:1 gene:ORUFI01G43000 transcript:ORUFI01G43000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSDAPPGDAAAGEKIFRTKCAYCHAVDKAAGHKHGPNLNGLFGRQSGTAPGFSYPSGDKIVPVIWEENTLYDYLLTPKKYTPAKMGFNGLKQPQDRADLIAYLKNATA >ORUFI01G43010.1 pep chromosome:OR_W1943:1:35388791:35392185:-1 gene:ORUFI01G43010 transcript:ORUFI01G43010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIWGRVHPAITHPGLVPRRLGVGPAKAGGSAXAAARASPSHPSSAAAAAAAAAAGSHARLAAPPRSTPRIAGSRRRRRRRAWGGAPTRALPAILLRPSAERACVAAVLRVMPLTREAADAFGVATITLFAIFAVLGLFCIFQSIYFRFRIRRGAFIPLGYFNGPWVTRIVLILITIWWGVGEIVRLSFLKRKLFSSILLQKGVCDAYILSNLGFAEPSIFFAFAFLLHGSLQKRELGTLNQRWNWKTIGYMLVFCVPVFFVQALLVFVGPTFVKDENNTHGRKKIAKYFIRTSMPVGDTNICTYPLFGTIFLGLIDAILMSYVSYVGSRVLSLVINKALRRRVSLLMLSVLFFLPIRVLLLGFSVLPQPGDVAFEVIIFLSFLMMISCTTVGVLLLVYYPVADSLALREIGQREITEMVPYDDYYYEGASLVANQSFREIERNSDTSTKRGSISFRTMIREDQLQQDGVDEIGFSSRSGAHIGSPSPSGSSPSAAMPMLPLKEDGSLGITLFTNRSKAK >ORUFI01G43010.2 pep chromosome:OR_W1943:1:35390071:35392185:-1 gene:ORUFI01G43010 transcript:ORUFI01G43010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIWGRVHPAITHPGLVPRRLGVGPAKAGGSAXAAARASPSHPSSAAAAAAAAAAGSHARLAAPPRSTPRIAGSRRRRRRRAWGGAPTRALPAILLRPSAERACVAAVLRVMPLTREAADAFGVATITLFAIFAVLGLFCIFQSIYFRFRIRRGAFIPLGYFNGPWVTRIVLILITIWWGVGEIVRLSFLKRKLFSSILLQKGVCDAYILSNLGFAEPSIFFAFAFLLHGSLQKRELGTLNQRWNWKTIGYMLVFCVPVFFVQALLVFVGPTFVKDENNTHGRKKIAKYFIRTSMPVGDTNICTYPLFGTIFLGLIDAILMSYVSYVGSRVLSLVINKALRRRVSLLMLSVLFFLPIRVLLLGFSVLPQPGDVAFEVIIFLSFLMMISCTTVGVLLLVYYPVADSLALREIGQREITEMVPYDDYYYEGASLVANQSFREIERNSDTSTKRGSISFRTMIREDQLQQDGVDEIGFSSRSGAHIGSPSPSGSSPSAAMPMLPLKEVPRY >ORUFI01G43010.3 pep chromosome:OR_W1943:1:35388791:35391266:-1 gene:ORUFI01G43010 transcript:ORUFI01G43010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTREAADAFGVATITLFAIFAVLGLFCIFQSIYFRFRIRRGAFIPLGYFNGPWVTRIVLILITIWWGVGEIVRLSFLKRKLFSSILLQKGVCDAYILSNLGFAEPSIFFAFAFLLHGSLQKRELGTLNQRWNWKTIGYMLVFCVPVFFVQALLVFVGPTFVKDENNTHGRKKIAKYFIRTSMPVGDTNICTYPLFGTIFLGLIDAILMSYVSYVGSRVLSLVINKALRRRVSLLMLSVLFFLPIRVLLLGFSVLPQPGDVAFEVIIFLSFLMMISCTTVGVLLLVYYPVADSLALREIGQREITEMVPYDDYYYEGASLVANQSFREIERNSDTSTKRGSISFRTMIREDQLQQDGVDEIGFSSRSGAHIGSPSPSGSSPSAAMPMLPLKEDGSLGITLFTNRSKAK >ORUFI01G43020.1 pep chromosome:OR_W1943:1:35396999:35401786:-1 gene:ORUFI01G43020 transcript:ORUFI01G43020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLLLASGRQGVSVSQKIDHGSISFGRFELESLSWEKWSVFSDDKRHEEFGKFNGLVAQKKAYFEEYYRKIRELKAQQQNQQTELILEYSGDGSDSSQTGEYTQGAELETPTGSGTIVDDYVEQGAHETTSEQGLTCYDDHENENFNAEFSSSNISSSAVGLQQTGRDARENVHGDDSAGKMDLEQQNAIFGHSLGTAYEVVRAPKRIIEKDSRLRYAPKIVPKSVKTSSNSPLDRTSVSKRPDSLKLGMSINQKAKTDSDRLLRGPNVAPHKMSGSTERNKLTTKQTGVRRPSSASSQRPSVGERHRIARESIKKPADVSTPRRPSTAERHPVTTERARKQADVDTPRRPSTSERRAVNKGSADMTTTHRPSTGERRSVTRESVLKMDVRTPSKTRPTMTQLKGATTTVGIVKKAGTPNASKSINMGTKRTGRTFESGQTLCWVKNYGPANCWQTEIKLCPPSSTETIEFQYWRTSTANLYKAKKERCCSAISSIYIEESNDFAHWKRKGEEFKSTPTTTSTASAFTDDEQTKWQ >ORUFI01G43020.2 pep chromosome:OR_W1943:1:35396999:35401786:-1 gene:ORUFI01G43020 transcript:ORUFI01G43020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLLLASGRQGVSVSQKIDHGSISFGRFELESLSWEKWSVFSDDKRHEEFGKFNGLVAQKKAYFEEYYRKIRELKAQQQNQQTELILEYSGDGSDSSQTGEYTQGAELETPTGSGTIVDDYVEQGAHETTSEQGLTCYDDHENENFNAEFSSSNISSSAVGLQQTGRDARENVHGDDSAGKMDLEQQNAIFGHSLGTAYEVVRAPKRIIEKDSRLRYAPKIVPKSVKTSSNSPLDRTSVSKRPDSLKLGMSINQKAKTDSDRLLRGPNVAPHKMSGSTERNKLTTKQTGVRRPSSASSQRPSVGERHRIARESIKKPADVSTPRRPSTAERHPVTTERARKQADVDTPRRPSTSERRAVNKGSADMTTTHRPSTGERRSVTRESVLKMDVRTPSKTRPTMTQLKGATTTVGIVKKAGTPNASKSINMGTKSNIRELEGPSKLCPPSSTETIEFQYWRTSTANLYKAKKERCCSAISSIYIEESNDFAHWKRKGEEFKSTPTTTSTASAFTDDEQTKWQ >ORUFI01G43030.1 pep chromosome:OR_W1943:1:35411805:35414598:-1 gene:ORUFI01G43030 transcript:ORUFI01G43030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEEEEEEEEEAEASRKKGERGLGEGECGAPSSKKRDPELELRMKQKAAEWHRKAREETLKEIAKEMAKYPNEDWSDTPGVKAREYREDWEYRWSAIFGPYDTISPIPPMRYTHRKDDPMPRHISVRHTLQIISVKIKGIRGGLQWPINVFGLIAARDTIDRNRIMIFNRTRDNCQTITKEDRYLLLTGPTRAVVVSDPVYFEAPLKVKGSVESEDKDLSFLAVPLTGASDRGETRLVNREYTSRLSTLELTFGFVVESLEASISVRIIDGSWKDGFRGAFTAHTPSLKDNKVLLLDSGYCEMVPVTADRMIKLSRHVVSVEGEGDLTVSVLALGTDNVIEDEKDFTPKEAGMSQSSLDVGFCKLEVTVNWSLLSLLPDGYT >ORUFI01G43040.1 pep chromosome:OR_W1943:1:35415015:35420624:1 gene:ORUFI01G43040 transcript:ORUFI01G43040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASAAPRVLSAEPRRRDPVAVGVPPWRLSPGSRVRAASRARQENVRRGQLISTNIKSRPLLCPPCRCAQMALANTRIAYQPDVQKHSGVLAYELVQGSLVQWNSFMDKSVPDPPTAVLLHGILGSRKNWGSFAKRLAQEFPMWQHKERNCTCLFLMQFLLVDLRCHGDSASIKKRGPHTVASTALDVLKLIVQLRLTPRVLVGHSFGGKVALSMVEQAAKPLARPVRVWVLDATPGKVRAGGDGEDHPAELIESLRRMPMQVSSKQEVVDALVKEQFSVDVARWVATNLRRNSPLGSLSSSSFSWIFDLNGISDMYKSYEETNLWGIVENVPRGVHINFLKAERSLHRWALDDLQRIHTAEELAADEGGGVEMHVLEDAGHWVHADNPDGLFRILSSTFRIEATIRGMQN >ORUFI01G43040.2 pep chromosome:OR_W1943:1:35415015:35420624:1 gene:ORUFI01G43040 transcript:ORUFI01G43040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASAAPRVLSAEPRRRDPVAVGVPPWRLSPGSRVRAASRARQENVRRGQLISTNIKSRPLLCPPCRCAQMALANTRIAYQPDVQKHSGVLAYELVQGSLVQWNSFMDKSVPDPPTAVLLHGILGSRKNWGSFAKRLAQEFPMWQFLLVDLRCHGDSASIKKRGPHTVASTALDVLKLIVQLRLTPRVLVGHSFGGKVALSMVEQAAKPLARPVRVWVLDATPGKVRAGGDGEDHPAELIESLRRMPMQVSSKQEVVDALVKEQFSVDVARWVATNLRRNSPLGSLSSSSFSWIFDLNGISDMYKSYEETNLWGIVENVPRGVHINFLKAERSLHRWALDDLQRIHTAEELAADEGGGVEMHVLEDAGHWVHADNPDGLFRILSSTFRIEATIRGMQN >ORUFI01G43050.1 pep chromosome:OR_W1943:1:35421258:35423729:1 gene:ORUFI01G43050 transcript:ORUFI01G43050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNPPLIMLLAFLFSALLLLSPAHGGSRLQRGESLSVERASDILVSSNGVFAFGFYNLSSTVFTVSIWFAASAGRTVAWTANRDRPVHGAGSKLTLRRDGRLVLADYDGTPVWQTNSSSGAAAAAELTDSGNLVVTSHGGDVLWQSFDYPTDTLLPGQPVTATARLSTTDVLHPTSHYALRFDDRYLLSLAYDGPDISNIYWPDPDASSWANGRISYNASRRGVLDDAGRFLASDNTTFVASDTGAAAGGVTWRRLTLDHDGNLRLYSLRDADGGWSVSWMAFSQPCGIHGLCGWNGLCVYTPRPACSCPPGYVPADAGDRGKGCRPTFNLTCGGGGGRPEMGFARLPQTDFWGSDLNLFSSISVDGCKAACLELCNCVAFEYKDDVSDCYLKSALFNGKTYPGYPGTVYLKLPANLVAESDTYTAAPAAAAAVNLACDAARTEEVLLSFSAASPDTSSWRYYYGFLSAFFAVELCFIAFGWWFTARSRPATSEQWAAEEGYRVVTDHFRRFTYGELRKATKNFKDVIGHGRYGSVYRGVLAGAGDDRAVAVKKLKAATPQRGDDEFETEVSVIGRINHMNLVRIRGVCSERHRRRRLLVYEYVDNGSLATWLFGAKETLNWNQRYNIAVGVAKGLAYLHHECLDWIIHCDVKPENILLDEDFEPKISDFGLAKMQQRRDLDDPASFSIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVRGARMADLATDSVGDAEIAMRQLVWKIREGLKIGDRTWVISLVDRRLNGSFVYSQVALMLEVATSCLEKERNQRPSMNDVVKKFYTSDKKVEFIGEMSS >ORUFI01G43060.1 pep chromosome:OR_W1943:1:35424355:35425695:-1 gene:ORUFI01G43060 transcript:ORUFI01G43060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTSSTSAHVGERPPAPAPFGPLPVLVYDHGLDPVSRRHTTTHVVVPELTSNDYHVTPHGWVFLSEPAAAGTTRRTRLWNPTTGESVELPRMEQLLPANWKCYLSDDPTAASCVVLVLAMSEPSLLYCHVGATAGGGWVAHDYDIGDVGLPPSYAPPRRQFISQIAAVNGRFYFADTGKLGVLEFTPSPEFSYLDYPHIEFPEGSNFAKSFLVASHGELFDVYVFFKGFTPEILAVRVCAIDLGGAGDERPAFREVGDLGDRALLLGDANAALLCSASAHGVKGNCVYFMHNILEEPDGGPLRVYDLGDGSMETVRSCPGVSELMCKPFWVMPSAP >ORUFI01G43070.1 pep chromosome:OR_W1943:1:35430003:35430572:1 gene:ORUFI01G43070 transcript:ORUFI01G43070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAECGGGEEEERCRYRGVRRRRWGKWVSEIRVPGTRERLWLGSYATPEAAAVAHDTAVYFLRGGAGDGGGGGATLNFPERAAATYGGAAAVARLSPRSVQRVASDAGMAADAQLVAARDAAPAPAPATAYARPDHCAGATTARHDELARRGMYGAHAHAAGANARTSGERQLVCAEEISVDDMEILM >ORUFI01G43080.1 pep chromosome:OR_W1943:1:35431934:35434500:-1 gene:ORUFI01G43080 transcript:ORUFI01G43080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALTPNSGGSSCLPARRTPPPLAAAGEAGSLAAGPGRWCSWRRRQPAERWPKLAVSASGRKSKGGRDEGGGDEPKKNKAASSSSSGKGDASAPSGDVSNNELQSNDTMYVPGNLSYWRDVRASFVVPKVQTVDAHTLPQAATDAPVHCLPRKWAHSIPMPESGCVLVAAEELDGNGTFERTVILLLRLGSRDAYDGPFGVILNRPLYTKMKHVNPSFRNQATPFSDCSLFFGGPVDMSIFLMRTTDDRPIKGFEEVSPGVCFGFRTDLEKASALLKSGAVKPEDLNFYVGYSAWDYDQLLSEIDQGYWHVTSCSSGLISDSLATDPSCLWTEILKLMGGQYAELSQKPKEDGS >ORUFI01G43090.1 pep chromosome:OR_W1943:1:35441239:35445425:1 gene:ORUFI01G43090 transcript:ORUFI01G43090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENKTSRQVTFCKRRNGLLKKAYELAILCDAEIALIVFSSRGRLYEFSNVNSTRSTIERYKKASASTSGSAPVIDVNSHQYFQQEAAKMRHQIQTLQNANRHLIGESIGNMTAKELKGLENRLEKGISRIRSKKHELLFSEIEYMQKREADLQNENMFLRAKVAEAERAEHDDQQAAEDDEMAPAPAVGGGSSSGTELEALPATFDTREYYQPAPPVSMLAAAAAAAAAQYSSDHHQTALHLGYFKVDSGKGGLL >ORUFI01G43090.2 pep chromosome:OR_W1943:1:35442143:35445425:1 gene:ORUFI01G43090 transcript:ORUFI01G43090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENKTSRQVTFCKRRNGLLKKAYELAILCDAEIALIVFSSRGRLYEFSNVNSTRSTIERYKKASASTSGSAPVIDVNSHQYFQQEAAKMRHQIQTLQNANRHLIGESIGNMTAKELKGLENRLEKGISRIRSKKHELLFSEIEYMQKREADLQNENMFLRAKVAEAERAEHDDQQAAEDDEMAPAPAVGGGSSSGTELEALPATFDTREYYQPAPPVSMLAAAAAAAAAQYSSDHHQTALHLGYFKVDSGKGGLL >ORUFI01G43100.1 pep chromosome:OR_W1943:1:35449473:35453993:1 gene:ORUFI01G43100 transcript:ORUFI01G43100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRIPPPSPLQYSPSPVHSSPHPLSSLRYSSSERERYLAELLAERQKLAPFVQVLPFCTRLLNQEILRASSLPPNHNFVDPERIEHGSPLRLPGLPVNGQPMDLEGWSGMQTENMRVLQASSMGWNGPPAITGTPVVKKVVRLDVPVDKYPNYNFVGRLLGPRGNSLKRVEASTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNEPLHVLVEAEFPADIIDTRLNQAVTILEDLLKPIDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKRAKTGR >ORUFI01G43110.1 pep chromosome:OR_W1943:1:35456838:35457258:1 gene:ORUFI01G43110 transcript:ORUFI01G43110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPSRTWRMLAGRSNSGSKSCHTNSSGERGKGRTWCRGSRLAEGCAHTVKHEPEILGGGGGGREEEPALGKKGGGASGGEDICTCTGEKRRRRRWIRLKAAAPTDAVRGGGGAGVRGERRRRSLREEDEALAAQP >ORUFI01G43120.1 pep chromosome:OR_W1943:1:35458471:35460540:-1 gene:ORUFI01G43120 transcript:ORUFI01G43120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGRRGSSRWGLPVVRSEALGKLGPSFGIGAGCGVGVCFGLIGGAGIGAGFPGLQLGLGAGAGCGIGIGFGYGFGKGIAYDENGRYSNIRRSFQNSRNLPYDEQFDILFDEMMESTRKLIKATSKEIDKWRRM >ORUFI01G43130.1 pep chromosome:OR_W1943:1:35460938:35466743:-1 gene:ORUFI01G43130 transcript:ORUFI01G43130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTFSKLREAAAPLTAAAVRRCCSGGGGGRIRAEANCPRCAAHMSVQFSLQPLPTPPPAAAAGGGGGDGSQSHHHDGASVCPACSAAFLFRAHRIEPLRGAFLEIPPGVGGEDEDAAREGFADRIRRMISERPPDDFPMPPPPPPPLPPMQHVPPRQRRTPRRRLREDGGGGGGGSSGDSSGGEGTSAAPKREWWGGATLGEELPTPREMCRRLDEFVIGQGKAKKVLSVAVYNHYKRIYNVTVQKGCSTNSGWLDAASDDQNNIEIDKSNVLLMGPTGSGEDVESILQKLLVAAEYNVQAAQQGIVYIDEVDKITKKAESANVSRDVSGEGVQQALLKILEGTVVSIPEKGSRKNSRNESIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPIRINMRSSEVTDPMVTSSLLESVESGDLARYGLIPEFIGRLPILVSLTALNEDQLVQVLTEPKNSLSRQYRKMFSLNKVKLHFTDGALRIVAKKAIARNTGARGLRAILESLLLEAMYEIPDEKTGSERVDAVVVDEEAIGSIDRPGCGAKILRGDGALEQYITNTNMKNSMVHETGVFI >ORUFI01G43140.1 pep chromosome:OR_W1943:1:35468001:35468987:1 gene:ORUFI01G43140 transcript:ORUFI01G43140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGRQRLDEGSSGGHRGAAEPGRGRRAAAARPRQWEDEGNGGGGPRCRSSSSSSWLPAWSPTARRGRGHFQLQLRPLAPFSTGAAGLAAMLAAGAALLLLVCAASLRCSTAPKKLLSGGVSIEEPRAGGGGEECDMFNPLYASRDCPFLDVGFCCSDNGRPDE >ORUFI01G43150.1 pep chromosome:OR_W1943:1:35475894:35481506:1 gene:ORUFI01G43150 transcript:ORUFI01G43150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVGIKRSAAAVATQTISVPPPDARFAVREAVRATIASPPVEAPPAAAGKAAAAAPAVEGFLCLEEVDGRRWSYVVDGGQGKGKGRGRGRSGAAVPMGASVRAVPLQSPLPPAEHFFGGAMGVFTTRTLLSSVGVSQSKVTPGAIAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSSDLLLEIGAGIELATAAFPQFFLPMACVANVVKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGESVGNIADLLGTGLSIFISKRNPSLVTSFAFLSCGYLWSSYHEVRSVVLNTLNRARFTVAVDSFIKTGHIPSLKEGNSQETIFNPPWRHEPIAIGSRFGEAFQEPASFVAIRPLFEDERYMVTYNPAKDKVYALLKDQAKSDDIIKAAFHAHVLLHFINASHARKQMNSRRSDPYGNPCNMDFMAHIAESCKIVSSSYGTFKKKAREQGWIMSESLLNPGKARLCPARP >ORUFI01G43160.1 pep chromosome:OR_W1943:1:35483521:35487286:1 gene:ORUFI01G43160 transcript:ORUFI01G43160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLCLRLHAPPASAPTTHPPPPLSPSPNLALRRLRTGGSCAVAPRRHARKWGSAVCAAKADGAQGEAVKERSVSVVLLSGGQGKRMGASMPKQYLPLLGLPIALHSLKTFCQLKEVKEVVVVCDPDYKDIFEGSIENVQIPIKFALPGKERQDSVYNGLQEIDGDSELVCVHDSARPLVSSEDVKKVLEDAIVHGAAVLGVPVKATIKEADSNSFVVKTLDRKTLWEMQTPQVMRPSLLRDGFELVKRDGLEVTDDVSIVEYLKHSVYITEGSYTNIKVTTPDDLLLAERLMNEK >ORUFI01G43170.1 pep chromosome:OR_W1943:1:35487110:35499308:-1 gene:ORUFI01G43170 transcript:ORUFI01G43170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLRITRSYCPLSPSPRLPPPLHHRGAAPPLRFRFLSLSSSSAPSSSAAATANSSDGPGEKGGGGGGWDDYLGMSDDELMGQCEMGTFKASGPGGQHRNKRESAVRLRHRPTGIIAQAVEDRSQHMNRASALSRLRTLIALKVRKPINLEDYTPPVELLQILPLKSTIRGKDIGPQIGPNNSKFAPGMQALLDLLFAVEGSVSEAAKLLGLSTGAVSRLILSDDSLRAAANELRAAKGLKPLR >ORUFI01G43180.1 pep chromosome:OR_W1943:1:35501513:35502875:-1 gene:ORUFI01G43180 transcript:ORUFI01G43180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSLRRGGGGYMKVAVLEFNKSVRKYPKRGKATTPTPILKILPSLSNKYPKRRKITTPTPITFIYYEISKEGKDNNTNTNVTYSDIMQQEQFAVAEISRISDTKTLMEQNLCNLKKAIKSPSSDNLRRIVALTRRIGELEEDRTLHCS >ORUFI01G43190.1 pep chromosome:OR_W1943:1:35507040:35511796:-1 gene:ORUFI01G43190 transcript:ORUFI01G43190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGGGGGGGGGGGGGAPYATRTAEEVFRDLRGRRAGMIKALTTDVEKFYKLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLSVAFYFGARFGFDREARRRLFNMINNLPTIFEVVTGAAKKQAKEKTPNSSSKSNKPSSKVQSKAESRSKSKLSAPKDEEGSGDDEGEEEEDDHDNTLCGTCGTNDGKDEFWICCDNCEKWYHGKCVKITPARAEHIKQYKCPDCTNKRARA >ORUFI01G43200.1 pep chromosome:OR_W1943:1:35512495:35512737:-1 gene:ORUFI01G43200 transcript:ORUFI01G43200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIADLLPLPASSSMSGLASGHWMCLHVPFTVGASVPFAPLIKPTCVEIATGAFAPERQTICNESSESSGRLHCSLVLSI >ORUFI01G43210.1 pep chromosome:OR_W1943:1:35515080:35521601:1 gene:ORUFI01G43210 transcript:ORUFI01G43210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIIRRHGGGRILRRSREEKRRLSEGGGGASQQPQGPAEDDYCPVIGSKINMDGISDQEVANAYSFVFSFDEALRSIKLEEGRLLIHIADLYEMKRSLDDKITSLKAAESPRSWRISIDEVAVVADSDGDTDVNAEQLLHLLEHVGAIGENTYGSNASATTTGRSVHSLLGMVPHHDREVEATGVAAAVVPALSSSPFPRLRAARDGFGTHGGRRQFRPCQKYKSGRIASRRRRGAEREQLPLENTSKDTHMLAAPAAAPDGTRPPTDMTQNSKSSAVSFVTKPLAAASARGSGTTPAMT >ORUFI01G43220.1 pep chromosome:OR_W1943:1:35552893:35554953:1 gene:ORUFI01G43220 transcript:ORUFI01G43220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMRRGRAAGGEGEAAAVVVMSRYDNNGHAAAAAAAAVAGGGGGGGGNKAAGEVDGHEDDLVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELITFLDLYRYDPWELPGIEHLINKLDGGAAMAAIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRAENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPADTDRYHKTEISLCRVYKRTGIDDGHGHVSTARSSAHSRGGGAAPVQDNKQGSSSTSTPTPPPTPSKLHLLSSECTSPPAIVTDHAAMAAHKAPSPRHHQQQQQLHAAKPCGGYLQNSSMASAAGGDQQQQFQQDFAAALYQQYSKNTSGAFASTYSLLNLVNAASMGSSAAAIDELSSLVGHGTPSYINPAAGSHNYSQFLHLPTTPSSHQPTPAPLGTTTAAAAATLPMSLAAFSDRIWDWNNPIPEAGGRDYSTSTGFK >ORUFI01G43230.1 pep chromosome:OR_W1943:1:35560908:35565278:-1 gene:ORUFI01G43230 transcript:ORUFI01G43230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPGEMSVSNPLRFQGFPCNVGKRNVFIAARSSGLGRSRIAQQCFQQHHICYPGVRRASVPNVRMLPAPGALVSRGVDSSLVPKTGNASEAGVIQLYRIPYLQESETIELLRQVQAKVSSNIVGIKTEQCFNIQLDNALTPEKLATLQWLLAETYEPDKLQAQSFLEEEISRSPYSVIVEVGPRMTFSTAFSTNAVSICKSLSLMEVTRLERSRRYLLCLDPGNGPLDESQLNDFAALVHDRMTECVYPNKLTSFHSDVVPEPVRIVPVIERGREALEEINVKMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPKTLFQLVKSPLKANPDNNSVIGFKDNSTETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRMEGSYAPWEDPSFSYPSNLASPLQILVDASDGASDYGNKFGEPLIQGFTRNFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGESNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCERERVSMAVIGTIDGCGKIVLIDSAAMEHAKINGLPPPTPVEDLELEKVLGDMPQKTFEFKRVSLVSEPLDIAPGVTIMDALKRVLSLPSICSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPAKGLLNPKAMARLAVGEALTNLVWAKVSSLSDVKASGNWMYAAKLDGEGADMYDAAVALADCMIQLGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISAYVTCPDITLTVTPDLKLGNDGVLLHIDLGKGKRRLGGSALAQAFDQIGNDCPDIDDVPYLKKAFEAVQELLSQRLISAGHDISDGGLIVSVLEMAFAGNCGVKLNIDSEDSGLLQALFAEELGLLLEVHLKDLSVVKQKLEAGGISANVIGQVTASPDIELVVDGRLHLKEKTSDLRDIWEETSFQLEGLQRLKSCVRLEKEGLKHRTSPSWSLSFTPKFTDEKLLTASSKPKVAIIREEGSNGDREMAAAFYAAGFEPWDITMSDLLAGKSSLEEYRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQNFYNRPDTFSLGVCNGCQLMALLGWVPGSDVGSSLGSGGDMSQPRFIHNESGRFECRFTSVSIGTSPAIMFKGMEGSTLGIWSAHGEGRAFFPDENVLASVVNSNLAPVRYCDDANNITEVYPFNPNGSPPWHCSNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWYPKEWQLEKCGPSPWLRMFQNAREWCL >ORUFI01G43240.1 pep chromosome:OR_W1943:1:35569206:35574072:1 gene:ORUFI01G43240 transcript:ORUFI01G43240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEAAVALEFTPTWIVAAICSLIVLISLAAERCLHYLGKTLKRKNQKALFEALLKVKEELMLLGFISLLLTVSQGILQKTCVPPKWTNYLLPCRKMEDQSKQRGPSEAHFVAAGVLGHLGRRLLADGGTGADHCQNKGKVPLLSLEALHQLHIFIFVLAITHVIFSALTMLLGGAKIHQWKHWENDIQKDVAQNAPKKVTHVHQFEFIRERFKGIGKDSIILSWLHSFVKQFSGSVTKSDYITMRLGFIQTHCRANPKFDFHRYMVRALEADFKKVVGISWYLWIFVMIFLLLNVNGWHTYFWISFVPLLLLLAVGTKLEHVITQLAHEVAEKHSAIEGDLVVNPSDEHFWFGRPKVILYLIHFILFQNAFEIAFFFWILTTYGFNSCIMDHVPFILTRLIIGAIVQILCSYSTLPIYAIVTQMGSFFKKEIFDEHVQQGLVGWAQKAKKRKGLKESNGAMAGAGSTNGSSQPSSILQMVRRAAASEEGSSNGGDIRTNQ >ORUFI01G43240.2 pep chromosome:OR_W1943:1:35569206:35575196:1 gene:ORUFI01G43240 transcript:ORUFI01G43240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEAAVALEFTPTWIVAAICSLIVLISLAAERCLHYLGKTLKRKNQKALFEALLKVKEELMLLGFISLLLTVSQGILQKTCVPPKWTNYLLPCRKMEDQSKQRGPSEAHFVAAGVLGHLGRRLLADGGTGADHCQNKGKVPLLSLEALHQLHIFIFVLAITHVIFSALTMLLGGAKIHQWKHWENDIQKDVAQNAPKKVTHVHQFEFIRERFKGIGKDSIILSWLHSFVKQFSGSVTKSDYITMRLGFIQTHCRANPKFDFHRYMVRALEADFKKVVGISWYLWIFVMIFLLLNVNGWHTYFWISFVPLLLLLAVGTKLEHVITQLAHEVAEKHSAIEGDLVVNPSDEHFWFGRPKVILYLIHFILFQNAFEIAFFFWILTTYGFNSCIMDHVPFILTRLIIGAIVQILCSYSTLPIYAIVTQMGSFFKKEIFDEHVQQGLVGWAQKAKKRKGLKESNGAMAGAGSTNGSSQPSSILQMVRRAAASEEGSSNGGDIRTNQ >ORUFI01G43250.1 pep chromosome:OR_W1943:1:35573883:35577177:-1 gene:ORUFI01G43250 transcript:ORUFI01G43250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNALRYLSKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDATKYDGYRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKSKRDYLAHRRSFNWLYLSRLAALKEFAFMKALGDHGFPVPTAVDCNRHCVIMSLVQGYPLVQVKELQNPDDVFDTILGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVKHRNAQMFFDRDIECIYKFFRKRFHLSSEKCEEQDGSDIDDDENSRPSFLSIQKAAGSLDKELAASGFTRKEQVEMDKYIDQNAEEESSDDDSTSEQDNEDGDDVAVKIGSLKIAEQDSAEVPDCTLASKDSNEPETFAKENETSTSCSGENNSINPSPSSNGDAKEPTESQDNDDSDDDSSDDPDGEDDDALAKQLNKQRKRAIAAAHGRRRPISSRNAYKYKGKGTMNSKIERQACKW >ORUFI01G43260.1 pep chromosome:OR_W1943:1:35579210:35579653:1 gene:ORUFI01G43260 transcript:ORUFI01G43260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAIRSSEPEFWFLIPSEEAAVAVAAHRLVVMDQRRSGSAHRPKRTHMAAAEDEHRRPGTSSRRRVAPTPTTQTQTQTAPGYFTVELVMAFVCVTASLVLLPLVLPPLPPPPSLLLVVPVCLLAVLVAMAFVPLDAQSNVVGSSCL >ORUFI01G43270.1 pep chromosome:OR_W1943:1:35584121:35587142:-1 gene:ORUFI01G43270 transcript:ORUFI01G43270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVDRLKLVKLKISQRDEEQCSRKKALAMEAMSAFAPPPPPTQLMVPVVGYGGGGGGGAGEGTTTAVRGSYGPVIAMLAVLAVLAAAAVAVGRLCFGRRVHLGQAAAGHDLEAWVERTCGPCVGARIFSTAGGAKEEGGEASAAPAEPPPPPPPAAAAEGTERGEDSCIVSGGS >ORUFI01G43280.1 pep chromosome:OR_W1943:1:35587327:35587656:-1 gene:ORUFI01G43280 transcript:ORUFI01G43280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFIYKAIKERRSRTYSLCSSDMSAARRFGRVGEEEEDVVAWEEQKQWAVDGGKFAGGEREMTAHRRHRSLEELAGEVGASPQWRQQGGLARGRSARIFSCISGM >ORUFI01G43290.1 pep chromosome:OR_W1943:1:35592465:35596708:1 gene:ORUFI01G43290 transcript:ORUFI01G43290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDCMNSYEKLQKFKVESMDLKINIIAALVAAGRASEVQATMKAQKVDLSSRALRDTRSFELAYNSACSLIENKKYSEAKEQLDLAKRIGKEELMVDEYGDDDIEYELAPVSAQLAYVHQLQGQTQEAMETYNNITSRNLADPSSLAVATTNLISLKGTRDAADSLRKLSRLIEKSTAPNQLQLIESLESKLSPRQKEALYSAHVLLLLHANKIDQAHEFVSGLLGMFQDSTFPVLLQAAVHVREKKVQKAEEVLSQYAEKHPENSKGVLLSLAQIAASANHFQIAADSLSKIVDIQHMPATVATLVALKERLGDSNGASSVLDSAIQWWKNSMTEDNKLEVFMREAATFKLNHGRDEEACQLYEDLVKSFGSAEALAGLVATSARTNLEKAEQYEKKLKPLPGLKGINVESLEKTSGARPFEAPKPMEQDVTDEVKKQKAKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAQVRGAQGAVSRDGTSSASASSSSKANQATKAPAAAPEPPKGSNKSRKKKSRS >ORUFI01G43300.1 pep chromosome:OR_W1943:1:35597178:35598410:-1 gene:ORUFI01G43300 transcript:ORUFI01G43300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEIHPGGGGGGGYYDSGSETEDDDDRYVFQSRRDEEEEEEEGVNPASKRRRLEDILAETRGALPLPSPTPSSSGSEGTISDDHGDGIAGAAGAADAPVARVAFPCHVCSKEFGSRKAVHGHMRVHQADKDKEKEPSLHLALGWTSTGKRGANGNARAVTVAFAPMEQAVGDDDGDASAIVLAPAAQPQPQPMVVAEAANPPNQVADDNHRLPVPAAAPYVGAAAAPARRRSRPKRNAGQGGPYRCSYPGCKGEYRTHQGLGGHVAGHINREKQAAAAAQGGSGGGLGGGARPEGNHPCKTCGKEFSTGVALGGHMRKHYDPKKKKKHAGLVLTLSVAPPTPAPAPSIAGAALPPAEVKADVDEHEAEQVPMAPVSPPAEARGNIVRIFGVDIEKPADEEEQEGGSDV >ORUFI01G43310.1 pep chromosome:OR_W1943:1:35601162:35605708:-1 gene:ORUFI01G43310 transcript:ORUFI01G43310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPSLLSSSSFVPNQWKARVPLTREWRALLVARLVAPSSPAGGPVVRQHPLFPAIALFHWFALSVKPFGFAGSLLRPSLSSTLSVELSGSKWKWMQMVAPRKALQVVALERPNLEQSKPIDEELMKRLKEGTTKANWKKKPFHITRAVPLDAIPGLLYDDEDDDDDDDDEGDNNVELEEIRPSSTECESSIHPAEELNLLEQGDTRRMLLFQLPKSLPLPRISAAVERNGKARDKEVKEGSNLKELPQGYLGKMVVYKSGKIKMKLGDVMFDVNPGEECRMAQHVAAINTKEKHCCLLGEIESRHVVVTPDVDSLLLNDNRDLTKAFIEMSFPTGLPKRHVAQGPGRYTHTRPYDGPIKAAGTSTGLTQAMVPLLKPPLKPCPSMKTGGFFFIFNFF >ORUFI01G43310.2 pep chromosome:OR_W1943:1:35601162:35603378:-1 gene:ORUFI01G43310 transcript:ORUFI01G43310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGSSKKGPPGRRTRKKLKFKPKVPPQKPRKSVPEKPNLEQSKPIDEELMKRLKEGTTKANWKKKPFHITRAVPLDAIPGLLYDDEDDDDDDDDEGDNNVELEEIRPSSTECESSIHPAEELNLLEQGDTRRMLLFQLPKSLPLPRISAAVERNGKARDKEVKEGSNLKELPQGYLGKMVVYKSGKIKMKLGDVMFDVNPGEECRMAQHVAAINTKEKHCCLLGEIESRHVVVTPDVDSLLLNDNRDLTKAFIEMSFPTGLPKRHVAQGPGRYTHTRPYDGPIKAAGTSTGLTQAMVPLLKPPLKPCPSMKTGGFFFIFNFF >ORUFI01G43310.3 pep chromosome:OR_W1943:1:35601162:35603378:-1 gene:ORUFI01G43310 transcript:ORUFI01G43310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGSSKKGPPGRRTRKKLKFKPKVPPQKPRKSVPEKPNLEQSKPIDEELMKRLKKKPFHITRAVPLDAIPGNFLKASLAPLLWIIAQYIPQESHKNLFSGLLYDDEDDDDDDDDEGDNNVELEEIRPSSTECESSIHPAEELNLLEQGDTRRMLLFQLPKSLPLPRISAAVERNGKARDKEVKEGSNLKELPQGYLGKMVVYKSGKIKMKLGDVMFDVNPGEECRMAQHVAAINTKEKHCCLLGEIESRHVVVTPDVDSLLLNDNRDLTKAFIEMSFPTGLPKRHVAQGPGRYTHTRPYDGPIKAAGTSTGLTQAMVPLLKPPLKPCPSMKTGGFFFIFNFF >ORUFI01G43310.4 pep chromosome:OR_W1943:1:35601162:35603378:-1 gene:ORUFI01G43310 transcript:ORUFI01G43310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGSSKKGPPGRRTRKKLKFKPKVPPQKPRKSVPEKPNLEQSKPIDEELMKRLKKKPFHITRAVPLDAIPGNFLKASLAPLLWIIAQYIPQESHKNEQGDTRRMLLFQLPKSLPLPRISAAVERNGKARDKEVKEGSNLKELPQGYLGKMVVYKSGKIKMKLGDVMFDVNPGEECRMAQHVAAINTKEKHCCLLGEIESRHVVVTPDVDSLLLNDNRDLTKAFIEMSFPTGLPKRHVAQGPGRYTHTRPYDGPIKAAGTSTGLTQAMVPLLKPPLKPCPSMKTGGFFFIFNFF >ORUFI01G43310.5 pep chromosome:OR_W1943:1:35603996:35605708:-1 gene:ORUFI01G43310 transcript:ORUFI01G43310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPSLLSSSSFVPNQWKARVPLTREWRALLVARLVAPSSPAGGPVVRQHPLFPAIALFHWFALSVKPFGFAGSLLRPSLSSTLSVELSGKGWCRQ >ORUFI01G43310.6 pep chromosome:OR_W1943:1:35604372:35605708:-1 gene:ORUFI01G43310 transcript:ORUFI01G43310.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPSLLSSSSFVPNQWKARVPLTREWRALLVARLVAPSSPAGGPVVRQHPLFPAIALFHWFALSVKPFGFAGSLLRPSLSSTLSVELSGNHT >ORUFI01G43320.1 pep chromosome:OR_W1943:1:35616528:35622438:1 gene:ORUFI01G43320 transcript:ORUFI01G43320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDEWAYVSRNLNEKARRMASSSASSVPAPSGSVITIASASASAAANTAACGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVAIISILQRNLRQLQQDLARAKFELSKYQQAAAAAAAASASTGTNNGPHSMAEFIGNAVPNGAQSFINVGHSAALASVGGAAACFGQEQQFSAVHMLSRSYEGEPIARLGGNGGYEFGYSTSMAGGGHMSGLGALGGAPFLKSGIAGSDERQGAGQLLTRKLKFPKFWKLKR >ORUFI01G43330.1 pep chromosome:OR_W1943:1:35627449:35630965:-1 gene:ORUFI01G43330 transcript:ORUFI01G43330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAGMALLQLREHCSLLPNPLRPARNPRKNRLLPPNSAKVPGFSRISIHGAPPAPEAAILEERVEVDVDVEAAVVPWRGAFLFPVAAAVIASWPLPSLAAEAGGKVSLESIVVAIDDFNNRNPFFVAGAVFVWLVAIPLVQEYFKKYKAVSAIDAFRKLRDEPGAQLLDIRRGKSVRFMASPNLRLVEKSAVQVEFDEEDEEGFVKEVLARFPDPANTVVCVLDNFDGNSMKVAELLFNNGFKEAYAIKGGLRGPEGWQAIQEKYLPPSVHVVPRKKSKESEDSDVSADGTDDQLEVNGKLLATPNSSVVNTGNGAEDSTEKPNGSTSAIKRASRRPLSPYPNYPDLKPPSSPTPSKPERRSMRFTMQKTIAVTDIMVNLMFVGCCIFPPISFVMFIVCRLQRVKKLV >ORUFI01G43340.1 pep chromosome:OR_W1943:1:35631391:35637983:-1 gene:ORUFI01G43340 transcript:ORUFI01G43340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGGRRRRPWSSSSSCGSPFLMMLISCLLWLHREAAPSLAADTVTVGRPLSGGQVLVSRGGKFALGFFQPDNSSQRWYMGIWYNKIPDHTKVWVANRGAPLSDPDTSRLAISADGNMVLLDRARSPVWSTNVTTGVAANSTVGVILDTGNLVLADASNTSVVLWQSFDHFGDTWLPGGRLGRNKLTGEVTRLVGWKGYDDPTPGMFSLELDPGGASQYVMSWNGSSRLYWSSGNWTGGMFSSVPEMMASNADPLSLYTFNYVDGENESYFFYDVKGEVVLTRFVVDVTGQIKFMTWVDSAAQWVLFWSEPKAQCDVYSICGAFGVCAEDALPACSCLRGFHARQPRRWLQGDHTAGCARSTALQCGGGSGAQPAAQKTKSDRFFVMPNVNLPTDGVTAASASARDCELACLGNCSCTAYSYNGSCSLWHDDLISLRDTTGAGNGGGRSISIRLAASEFSGNGNTKKLIIGLVVAGVAAAVILAVVVTVLVRRSRRLKALRRVEGSLTAFTYRDLQVATKSFSEKLGGGAFGSVFKGSLPADGTPVAVKKLEGVRQGEKQFRAEVSTIGTIQHVNLIRLLGFCTERTRRLLVYEHMPNGSLDRHLFGHGGGVLSWEARYQIALGVARGLDYLHEKCRDCIIHCDIKPENILLDDAFAAKVADFGLAKLMGRDFSRVLTTMRGTVGYLAPEWITGTAITTKADVFSYGMMLFEIISGRRNVEQGQDGAVDFFPATAARLLFDGDLKGAVDGRLAGNADMGEVERACKVACWCVQDSEATRPSMGMVVQVLEGLVDVNAPPMPRSFKVLGDPSNYVKFFSALPSIS >ORUFI01G43350.1 pep chromosome:OR_W1943:1:35644072:35647971:-1 gene:ORUFI01G43350 transcript:ORUFI01G43350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKASSPNTHSACFALDAPAGKVRCSLGFVEPNRIRRFAMDEGRGREEEAAFGGMQHLFGEGPRESKLRGWKNPRANLPS >ORUFI01G43360.1 pep chromosome:OR_W1943:1:35644422:35646938:1 gene:ORUFI01G43360 transcript:ORUFI01G43360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTSDQGREQHIKFAVTILINHGRNANYMQRLTEPSDPAPGMFSLQMDPSGANQYTLLWNNSIEYWASGNWTGDSFTGVPEMSPASAYPNSAYTFQFIDNDQEVSFMYNVTDDALLTRNVIDMSGQTQAWVWVDAAQAWVLYFSQPKLMCGVYGICGAYSKCSSNAVLSCTCLKGFSESPRNGNPGNQTAGCRRNVPLQCGHGDSAKVKNQEGFYMIGCAHLPDKAQGTDAANVHSCWLAQNFLTQEGRNIGIGIIIVGLTVIIPDTTTVAVKRLEGLCQGEKEFRAEVSTIGKIHHKNLIRLLGFCCGGSKKLLVYEYMPNGSLDQHLFGKSNLTLSWSTRYQITVGIAKGLAYLHEGCRDCIIHCDIKPQNILINESLAPKVADFGLSKLIGHDFSRVLTSMRGTLGYLAPEWLSGQAITSKADVFSYGMMLFEIISGKRNIEHGASTSSSMLIAEEIPKGGEVHRLFDPELVGDANPEELARVFKVACWCIQNHPDCRPSMREIIQILEGLKPFETPPVPRYLKLLADGQE >ORUFI01G43370.1 pep chromosome:OR_W1943:1:35650063:35652835:1 gene:ORUFI01G43370 transcript:ORUFI01G43370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLSACLVFLLILVLSLQESPLHAADTLTAEQPLSADQKLISQDGKFALGFFQPAAGGSSSRWYIGIWYNKIPVQTVVWVANRDKPITDPTSSNLTILNDGNIVLLVNHSESPVWSTNIVNNTIASSPVAVLLDSGNLVVRHESNTSEVLWQSFDDFTDTWLPGNKLSRNKKTGVIKRMISWKDRADPAPGVFSIQLDPSGATQYILLWNSSSVYWASGNWTGNTYTGVPELSPTNSDPNSAYTFQFVDNDQETYFNYTVKNDAQLTRGVIDVSGHFQAWVWADAAQAWQLFFAQPKAKCSVYGMCGAYSKCSENAELSCSCLKGFSESYPNSWRLGDQTAGCRRNLPLQCANNGSVKAKQDRFFMISSVKLPDMAHTRDVTNVHNCELTCLKNCSCSAYSYNGTCLVWYNGLINLQDNMGELSNSIFIRLSASELPQSGKMKWWIVGIIIGGLVLSSGVSILYFLGRRRTIGINRDDGKLITFKYNELQFLTRNFSERLGVGSFGSVYKGILPDATTLAVKKLEGLRQGEKQFRAEVSTIGNIQHINLIRLLGFCSEGAKRLLVYEYMPNGSLDHHLFQNNSAISSWKRRYQIAIGIAKGLAYLHDGCRDCIIHCDIKPQNILLDMSFTPKVADFGMAKLLGRDFSRVLTSIRGTIGYLAPEWISGESITTKADVFSYGMMLFEIISRKRNLTQTETRTEIFFPVLVARKLVQGEVLTLLDSELVDDVNLEELERACKVACWCIQDDESSRPTMAEVLQMLEGLVDIEVPPAPRYLQVLAEGAASKT >ORUFI01G43380.1 pep chromosome:OR_W1943:1:35657667:35662144:-1 gene:ORUFI01G43380 transcript:ORUFI01G43380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRLAVNGTPIQPHACAVLLFPALSISTTKLVNEDSATNGAAGSPEQGEIRSADQATQDWWRHLGAIWRTHLQGSSDVFLPVHLPDDGLCCDLCDCLVVYHV >ORUFI01G43390.1 pep chromosome:OR_W1943:1:35663826:35669539:1 gene:ORUFI01G43390 transcript:ORUFI01G43390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGGARPTSQPDPEADNNISGRPDFVDSFVQDMRPVPATAATYSATAIHGVEREPNKSSPPTVALPPGGSTGKWYVGIWYNKISVQTVVWVANREKPVSDPSSSSLSILDDGNIILSHSNSTVWSTNSTNTGSSPMVAVLLDTGNLVIRQESNASSVLWQSFDDITDTWLPGNKLSLNKVTGVPERMISWKNLGDPAPGMFSVEIDPDGSNQYIISWNKSVVYWGTGNWTGSSFPNMPELSPANTYPNTPYTYKFVNNDKETYFTYNVTDDRVLSRHAIGVSGQTQSLVWVESAQAWVLYFSQPKANCGVYGLCGVNSKCSGSALSSCSCLKGFSIRDPNSWNLGDQTAGCRRNVMLQCGSKSSAGGQQDRFYTIGSVKLPDKSQSIEATSIHSCKLACLSNCSCTAYSYNGTCSLWHSELMNLQDSTDGTMDSIYIRLAASELPNSRTKKWWIIGIIAGGFATLGLVVIVFYSLHGRRRISSMNHTDGSLITFKYSDLQILTKNFSERLGVGSFGSVFKGALPDTTAMAVKKLEGVRQGEKQFRAEVSTIGTIHHINLIQLLGFCSEGAKRLLVYEYMPHGSLDHHLFGSSGVSLSWSTRYQIAAGIAKGLAYLHEKCRDCIIHCDIKPQNILLDSSFTPKVADFGMAKLLGRDFSRVLTSMRGTIGYLAPEWISGEAITTKADVFSYGMMLFEIISGKRNGMHGGSFFPVLVARELVEGELHKLFGSESSDDMNLGELDRACKVACWCVQDSESSRPTMVEIVQILEGLVDVEMPPVPRYLQVLGQGVKSYEISSEMNQANSSNFYLSRKSFSGGEDCSV >ORUFI01G43400.1 pep chromosome:OR_W1943:1:35669713:35675102:1 gene:ORUFI01G43400 transcript:ORUFI01G43400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISELSPEYRQPPPHAGLIADLSKAVSDPRLRRVLLVGPGPRLWNAVVDRANSAALAGGPAALAVEAEIRQAAPELLLLAGIPNGVPSAAAKVASFFHRSGLAWLDLGRVDLASACFEKATPLVSAAATEDRGVLLELNLARARAASDAGDQALAVALLSRSKPLAAASPEGAKSLAQGYLSIGEATLAAKHSNPAVEASTLFTEALDLCEKAASPSSSSPRTPPYGGATPKTPNLEGLKRRCLRFLALERLQAQDYEGVLRCIRVSRASMGLEEEHPSIGVMAMRAWIGSGNMAEADKELERLMANALATENLCVSAAEAYLAAAGPEAARKVLIALAARCRAGGAAAAVRVVKQVIDGGGGGIGRARAIAELVSDERVVALFDGPGNTHERGTMHALLWNCGTEHFRAKNYDTSADLIERSMLYVSRDEESRSRRADCFRVLSICHIALQHLDRALEFVNEAYKVEPNIKCAFLKVKINLQKGEEDEAFKQMKTMVGCVDFNPEFLTLTAHEAMSCKSFGVAVASLSYLLGLYSAERPMPMPEVAVLRNLIELLSREPGTEAEILKYSRRAKQRMADLGVESFFGSGIVGGRELNWFADLSWNMGLRASKEKKYNFGAEFFELAAEFFSSRNAECDENRSKVCKALIMAVTIMLNAEELNNSPLSDSDIKKGVEMLSRAGKLLPLISPSVPVASDQLEANNFLYLHTFNSYQLMGRMGTPAHPQQLQLIKNFASSKACTPANLLTLGVTASKGALPNMLAAEFSLKACITTALASQSPNYRVISCALRKLACLAGLQDLNGSKSDAAYDVFQQAYQIVVGLKEGEYPVEEGQWLVATAWNMSCLPLRLHQAKVARKWMKMGLDLARHLEGMKERIASMQTTFENLERVSGDEPDECSQEEAPKASISGSMSQPVLV >ORUFI01G43410.1 pep chromosome:OR_W1943:1:35673386:35678929:-1 gene:ORUFI01G43410 transcript:ORUFI01G43410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRISYHLANMAQALSLGLLLAFLAIQSCIAIELTDHIDLWPMPTSVSHGTQRLYVSKDITMSMEGSTYPDGKGILKDAFQRVVDLIKLNHVVDGANPSSFVLTGVNVVVHSPEDELKFGVDESYNLSVPTAGYPLRVQIEAQTVFGALHALQTFSQLCYFDFTSKLIELISAPWRISDTPRFPYRGLLIDTSRHYLPVTNVLHWHIVDAQSFPIEIPSYPKLWNGSYSFSERYTTSDAVDIVRYAENRGVNVMAEIDVPGHALSWGVGYPSLWPSDSCKEPLDVSNNFTFGVIDGILSDFSKVFKFKFVHLGGDEVNTSCWTATPHIKKWLDDNQMNVSDAYRYFVLRSQKLAISHGYDVINWLGEDVAPKVVAAGLRCIVSNQDKWYLDHLDATWEGFYTNEPLKGIDDPEQQSLVIGGEVCMWGEQIDASDIEQTIWPRAAAAAERLWTPIEKIAEDPRLVTSRLARFRCLLNQRGVAAAPVAGYGRTAPYEPGPCVRQ >ORUFI01G43420.1 pep chromosome:OR_W1943:1:35682062:35684294:1 gene:ORUFI01G43420 transcript:ORUFI01G43420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKAMLSLLVHLHAALLFVPEPAGAGAVYSVVRYGARGDGASDSTRPFLRAWADACRSPRPATVYVPPGRYLLGRATFVGPCSSRAVAFSIAGTVVAPAGYAWDGATAGQWITFESVVGLTVSGGTLDGRGDALWACKKQQPRGHCPTGASSLTISNARNVVVEGVRSVSSELFHVVVLQSRGVTVRRVTVEAPADSPNTDGIHIHKSTNVAVYDAAIRTGDDCVSVGPGNSNLWIERVACGPGHGISIGSLGKQQGMAVEAVQNVTVKTTWFTGTTNGLRIKTWGNSKRGFVRGVTFSDSTMAGVGNPIIIDQHYCPDGGCGGAARGSSSGIKISEVEYADVRGSSATPVAVSFDCSRSNPCSGIRLRDVRLTYQGKSGRLQAAGAVSSCRNAQGTASGLVVPPSCL >ORUFI01G43430.1 pep chromosome:OR_W1943:1:35685747:35702993:1 gene:ORUFI01G43430 transcript:ORUFI01G43430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQAAPMARNRKVVLREYIAGRPPREDDMVLVDGGTVALRVPEAAAPAPAVLVKNLYLSCDPYMRGRMRDFHGSYIPPFKPGSVIEGLGVARVVDSTHPGFSAGDIVSGMTGWEEYSLIDRPEQLSKIQQSDIPLSYHLGLLGMPGFTAYAGFYEICSPKKGEFVFVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVELLKGKFGFDAAFNYKEEPDLTAALKRYFPEGIDIYFENVGGPMLDAVLLNMRTHGRIAVCGMVSQNALTDPVGIHNIFCLVPKRIRMQGFIQSDHLHMFPQFVSDMAKHYRDGKIVYVEDMSIGLENAPAALVGLFSGKNVGKKVVCVSQELKSWDTTQVKHYRDGKIVYVEDTSIGLENAPAAFVGLFSGKNVGKQVSVSVMSFDTGLLFWLLLLSSSSLCFGSELDIQCLKSVKRSLIDPSGILRSSWKFSQDGTTNSICNFMGVICWNPDENRILGLSLGSLGLQGQFPRGLEHCTSLVRLDLSNNSLSGPIPSGISWQLPDLSSLNLSYNRFSGEIPVNISEMTYLYSIGLQHNKLTGSIPGKFALLSRLESFNVSDNLLSGPIPVALSKFSTSCFSGNQGLCGVPFDSCSTSYGDYSIGIIGAAVGFVVGFVGALYISHCLFFLRDAPALRSVF >ORUFI01G43430.2 pep chromosome:OR_W1943:1:35685747:35689547:1 gene:ORUFI01G43430 transcript:ORUFI01G43430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQAAPMARNRKVVLREYIAGRPPREDDMVLVDGGTVALRVPEAAAPAPAVLVKNLYLSCDPYMRGRMRDFHGSYIPPFKPGSVIEGLGVARVVDSTHPGFSAGDIVSGMTGWEEYSLIDRPEQLSKIQQSDIPLSYHLGLLGMPGFTAYAGFYEICSPKKGEFVFVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVELLKGKFGFDAAFNYKEEPDLTAALKRYFPEGIDIYFENVGGPMLDAVLLNMRTHGRIAVCGMVSQNALTDPVGIHNIFCLVPKRIRMQGFIQSDHLHMFPQFVSDMAKHYRDGKIVYVEDMSIGLENAPAALVGLFSGKNVGKKVVCVSQELSQFTF >ORUFI01G43430.3 pep chromosome:OR_W1943:1:35689148:35702993:1 gene:ORUFI01G43430 transcript:ORUFI01G43430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLGEESWDTTQVKHYRDGKIVYVEDTSIGLENAPAAFVGLFSGKNVGKQVSVSVMSFDTGLLFWLLLLSSSSLCFGSELDIQCLKSVKRSLIDPSGILRSSWKFSQDGTTNSICNFMGVICWNPDENRILGLSLGSLGLQGQFPRGLEHCTSLVRLDLSNNSLSGPIPSGISWQLPDLSSLNLSYNRFSGEIPVNISEMTYLYSIGLQHNKLTGSIPGKFALLSRLESFNVSDNLLSGPIPVALSKFSTSCFSGNQGLCGVPFDSCSTSYGDYSIGIIGAAVGFVVGFVGALYISHCLFFLRDAPALRSVF >ORUFI01G43430.4 pep chromosome:OR_W1943:1:35701341:35702583:1 gene:ORUFI01G43430 transcript:ORUFI01G43430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDIKFVLSLLLLSSLMLFVASDDTECLRTLQKSLVDPRGELESTWNFSGNGFNGFICSFTGVNCWNPSDSTVRSLHLVSLGLQGQFPEGLQGCSMIEDLNLSGPIPSDISWRLPYLRYLDLSHNSFSGQIPTNITEMTKLEFLNLSNNDFSGPIPSNISLLVPQLSSLDLSYNSFSGPIPVSITDMAYLKLKTLNLRHNKLNASIFAGNRRLCGAPLGNCRLRRVNDETSIGAAVGFVVGFVLAFYFPHWFVFSTSLHSYIFRI >ORUFI01G43430.5 pep chromosome:OR_W1943:1:35701341:35702583:1 gene:ORUFI01G43430 transcript:ORUFI01G43430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDIKFVLSLLLLSSLMLFVASDDTECLRTLQKSLVDPRGELESTWNFSGNGFNGFICSFTGVNCWNPSDSTVRSLHLVSLGLQGQFPEGLQGCSMIEDLNLSGPIPVSITDMAYLKLKTLNLRHNKLNASIFAGNRRLCGAPLGNCRLRRVNDETSIGAAVGFVVGFVLAFYFPHWFVFSTSLHSYIFRI >ORUFI01G43440.1 pep chromosome:OR_W1943:1:35688432:35691699:-1 gene:ORUFI01G43440 transcript:ORUFI01G43440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKPPPSALVDNHVVPGDVVLDLTEMTNQTIKLGAGLRQDCDTIQATSAGRLRLSKPNKYWVESSQKRYIPSVEDTVLGVVVDTKPDNFLVDIKGPNLAFLPVLAFEGGTRRNIPKFEIGTLIYARVVKANSIMNPELSCMDATGKAAEFGQLKDGYMFDTSTGLSRMLLSSPTCPVLEALGKKLSFEIAVGLNGRVWVNAPSPSNVIVVSNAIIKSESLSGIGQRSMGRENRLICFPLYGNRGNRV >ORUFI01G43440.2 pep chromosome:OR_W1943:1:35689055:35691699:-1 gene:ORUFI01G43440 transcript:ORUFI01G43440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKPPPSALVDNHVVPGDVVLDLTEMTNQTIKLGAGLRQDCDTIQATSAGRLRLSKPNKYWVESSQKRYIPSVEDTVLGVVVDTKPDNFLVDIKGPNLAFLPVLAFEGGTRRNIPKFEIGTLIYARVVKANSIMNPELSCMDATGKAAEFGQLKDGYMFDTSTGLSRMLLSSPTCPVLEALGKKLSFEIAVGLNGRVWVNAPSPSNVIVVSNAIIKSESLSGIGQRSMVESLLERLS >ORUFI01G43450.1 pep chromosome:OR_W1943:1:35724164:35725270:1 gene:ORUFI01G43450 transcript:ORUFI01G43450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAKLLLWLLLLSSSPWCFCSELDVQCLETLYRSGPFPQGLQSCTSMIGLDLSSNIFSGPIPADIATQVPCLTSLDLSYNSFSGPIPASISNMTYLNTLNLQHNQFSGEIPRQFDSIGRLISFNVADNLLSGPIPSSLQKFSASNFAENQGLCGAPLDNCPRRRRWRLRLHRINDESSIGAAVGFVVGFVVAFYFPHWFVFSKRLHPYIFQIWSIPSGNLSANLRRT >ORUFI01G43460.1 pep chromosome:OR_W1943:1:35729531:35743756:-1 gene:ORUFI01G43460 transcript:ORUFI01G43460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGKLRPPFRLLLLLLAAAVARSVDGVELTLLTGAREKGTVCLDGSPPGYHLQRGFGSGEHSWFIHLQGGAWCNTIEDCSKRKMSAYGSSKFMRAVEFNGILSNDQQLNSDFYNWNRVFIRYCDGASFSGDGEAQDQDGSTLHFRGLRIWEAVINELMGKGLATAKQAILSGCSAGGLAALLHCNDFYARFSKEVSAKCLPDAGFFLDIEDLSGERHMWSVFNGTVHLQNVTQVLSKDCLAKKDPTECFFPAELVKSITAPTLILNSAYDSWQIQNALAPDGSFPGQSWSSCKTDIRNCSSTQIQVFNGFRNKFVDDIEVVKDKKDWGLFIDSCFTHCQTPFDISWNSQASPVLGNKTVAEAIGDWYFERSYEVKEIDCEYPEIDHPVHHPPRTCSVHTRAAATGEMPILPRRRYAEPLLLLLLAAVARSTAAAPDVVELILLTGAQEKGAVCLDGSPPGYHLQRGFGSGEHSWLIYLEGGEWCDTIESCSNRKTTELGSSKLMEAQEFEGILSNNQTVNSDFYNWNKVVIRYCDGASFSGNAEAQDQDGSTLHFRGLRIWQAVLDELMEKGLASAKQALLSGCSAGGLATLLHCNDFHARFPKEVSAKCLPDAGIFLDILCSSEDLSGKRLMWSVFNGTVQLQNVSEVLPKDCLAKKDRTECFLATELVKSITAPTLIVNSAYDSWQIRDTLAPVGSYPGQSWLNCTNDIGNCNSTQMEVLNGFRKKFVDGVKVVKDKKDWGLFIDSCFMHCQTKYSISWSSQFSPVLGNMTIAKAVGDWYFERSKTVKEIDCDSKLRSPVLPRRRLAEPFLLLLLLLLLAAVARPTAAADVVELTLLAGAQEKGAVCLDGSPPGYHLQRGFGSGEHSWLVFLEGGAWCNSIESCSRRKMGVYGSSKFMKAAEFNGILSNDQQLNSDFYNWNKVAIRYCDGASFSGDAEAQDKDGSTLHFRGLRIWEAVVDELMGKGLATAKQAILSGCSAGGLAALLHCNDFHARFPKEVSAKCLPDAGFFLDVEDLSGERHMWSVFNGTVHLQNVREVLSKDCLTKKDPTECFFPAELVKSITAPTLILNSAYDSWQMDPFLDSHGPVAKLTFGIAVPHKSKNKFVDDVEIVKDKKDWGLFIDSCFTHCQTPFNISWSSQASPVLGSKTVAEAVGDWYFERSYEVKEIDCEYPCNPTCSSQLPT >ORUFI01G43460.2 pep chromosome:OR_W1943:1:35729531:35743756:-1 gene:ORUFI01G43460 transcript:ORUFI01G43460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGKLRPPFRLLLLLLAAAVARSVDGVELTLLTGAREKGTVCLDGSPPGYHLQRGFGSGEHSWFIHLQGGAWCNTIEDCSKRKMSAYGSSKFMRAVEFNGILSNDQQLNSDFYNWNRVFIRYCDGASFSGDGEAQDQDGSTLHFRGLRIWEAVINELMGKGLATAKQAILSGCSAGGLAALLHCNDFYARFSKEVSAKCLPDAGFFLDIEDLSGERHMWSVFNGTVHLQNVTQVLSKDCLAKKDPTECFFPAELVKSITAPTLILNSAYDSWQIQNALAPDGSFPGQSWSSCKTDIRNCSSTQIQVFNGFRNKFVDDIEVVKDKKDWGLFIDSCFTHCQTPFDISWNSQASPVLGNKTVAEAIGDWYFERSYEVKEIDCEYPEIDHPVHHPPRTCSVHTRAAATGEMPILPRRRYAEPLLLLLLAAVARSTAAAPDVVELILLTGAQEKGAVCLDGSPPGYHLQRGFGSGEHSWLIYLEGGEWCDTIESCSNRKTTELGSSKLMEAQEFEGILSNNQTVNSDFYNWNKVVIRYCDGASFSGNAEAQDQDGSTLHFRGLRIWQAVLDELMEKGLASAKQALLSGCSAGGLATLLHCNDFHARFPKEVSAKCLPDAGIFLDIEDLSGKRLMWSVFNGTVQLQNVSEVLPKDCLAKKDRTECFLATELVKSITAPTLIVNSAYDSWQIRDTLAPVGSYPGQSWLNCTNDIGNCNSTQMEVLNGFRKKFVDGVKVVKDKKDWGLFIDSCFMHCQTKYSISWSSQFSPVLGNMTIAKAVGDWYFERSKTVKEIDCDSKLRSPVLPRRRLAEPFLLLLLLLLLAAVARPTAAADVVELTLLAGAQEKGAVCLDGSPPGYHLQRGFGSGEHSWLVFLEGGAWCNSIESCSRRKMGVYGSSKFMKAAEFNGILSNDQQLNSDFYNWNKVAIRYCDGASFSGDAEAQDKDGSTLHFRGLRIWEAVVDELMGKGLATAKQAILSGCSAGGLAALLHCNDFHARFPKEVSAKCLPDAGFFLDVEDLSGERHMWSVFNGTVHLQNVREVLSKDCLTKKDPTECFFPAELVKSITAPTLILNSAYDSWQMDPFLDSHGPVAKLTFGIAVPHKSKNKFVDDVEIVKDKKDWGLFIDSCFTHCQTPFNISWSSQASPVLGSKTVAEAVGDWYFERSYEVKEIDCEYPCNPTCSSQLPT >ORUFI01G43460.3 pep chromosome:OR_W1943:1:35729531:35743756:-1 gene:ORUFI01G43460 transcript:ORUFI01G43460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGKLRPPFRLLLLLLAAAVARSVDGVELTLLTGAREKGTVCLDGSPPGYHLQRGFGSGEHSWFIHLQGGAWCNTIEDCSKRKMSAYGSSKFMRAVEFNGILSNDQQLNSDFYNWNRVFIRYCDGASFSGDGEAQDQDGSTLHFRGLRIWEAVINELMGKGLATAKQAILSGCSAGGLAALLHCNDFYARFSKEVSAKCLPDAGFFLDIEDLSGERHMWSVFNGTVHLQNVTQVLSKDCLAKKDPTECFFPAELVKSITAPTLILNSAYDSWQIQNALAPDGSFPGQSWSSCKTDIRNCSSTQIQVFNGFRNKFVDDIEVVKDKKDWGLFIDSCFTHCQTPFDISWNSQASPVLGNKTVAEAIGDWYFERSYEVKEIDCEYPEIDHPVHHPPRTCSVHTRAAATGEMPILPRRRYAEPLLLLLLAAVARSTAAAPDVVELILLTGAQEKGAVCLDGSPPGYHLQRGFGSGEHSWLVFLEGGAWCNSIESCSRRKMGVYGSSKFMKAAEFNGILSNDQQLNSDFYNWNKVAIRYCDGASFSGDAEAQDKDGSTLHFRGLRIWEAVVDELMGKGLATAKQAILSGCSAGGLAALLHCNDFHARFPKEVSAKCLPDAGFFLDVEDLSGERHMWSVFNGTVHLQNVREVLSKDCLTKKDPTECFFPAELVKSITAPTLILNSAYDSWQMDPFLDSHGPVAKLTFGIAVPHKSKNKFVDDVEIVKDKKDWGLFIDSCFTHCQTPFNISWSSQASPVLGSKTVAEAVGDWYFERSYEVKEIDCEYPCNPTCSSQLPT >ORUFI01G43460.4 pep chromosome:OR_W1943:1:35729531:35743756:-1 gene:ORUFI01G43460 transcript:ORUFI01G43460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGKLRPPFRLLLLLLAAAVARSVDGVELTLLTGAREKGTVCLDGSPPGYHLQRGFGSGEHSWFIHLQGGAWCNTIEDCSKRKMSAYGSSKFMRAVEFNGILSNDQQLNSDFYNWNRVFIRYCDGASFSGDGEAQDQDGSTLHFRGLRIWEAVINELMGKGLATAKQAILSGCSAGGLAALLHCNDFYARFSKEVSAKCLPDAGFFLDIEDLSGERHMWSVFNGTVHLQNVTQVLSKDCLAKKDPTECFFPAELVKSITAPTLILNSAYDSWQIRDTLAPVGSYPGQSWLNCTNDIGNCNSTQMEVLNGFRKKFVDGVKVVKDKKDWGLFIDSCFMHCQTKYSISWSSQFSPVLGNMTIAKAVGDWYFERSKTVKEIDCDKLRSPVLPRRRLAEPFLLLLLLLLLAAVARPTAAADVVELTLLAGAQEKGAVCLDGSPPGYHLQRGFGSGEHSWLVFLEGGAWCNSIESCSRRKMGVYGSSKFMKAAEFNGILSNDQQLNSDFYNWNKVAIRYCDGASFSGDAEAQDKDGSTLHFRGLRIWEAVVDELMGKGLATAKQAILSGCSAGGLAALLHCNDFHARFPKEVSAKCLPDAGFFLDVEDLSGERHMWSVFNGTVHLQNVREVLSKDCLTKKDPTECFFPAELVKSITAPTLILNSAYDSWQMDPFLDSHGPVAKLTFGIAVPHKSKNKFVDDVEIVKDKKDWGLFIDSCFTHCQTPFNISWSSQASPVLGSKTVAEAVGDWYFERSYEVKEIDCEYPCNPTCSSQLPT >ORUFI01G43460.5 pep chromosome:OR_W1943:1:35729531:35743756:-1 gene:ORUFI01G43460 transcript:ORUFI01G43460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGKLRPPFRLLLLLLAAAVARSVDGVELTLLTGAREKGTVCLDGSPPGYHLQRGFGSGEHSWFIHLQGGAWCNTIEDCSKRKMSAYGSSKFMRAVEFNGILSNDQQLNSDFYNWNRVFIRYCDGASFSGDGEAQDQDGSTLHFRGLRIWEAVINELMGKGLATAKQAILSGCSAGGLAALLHCNDFYARFSKEVSAKCLPDAGFFLDIEDLSGERHMWSVFNGTVHLQNVTQVLSKDCLAKKDPTECFFPAELVKSITAPTLILNSAYDSWQIQNALAPDGSFPGQSWSRFRNKFVDDVEIVKDKKDWGLFIDSCFTHCQTPFNISWSSQASPVLGSKTVAEAVGDWYFERSYEVKEIDCEYPCNPTCSSQLPT >ORUFI01G43460.6 pep chromosome:OR_W1943:1:35729531:35743756:-1 gene:ORUFI01G43460 transcript:ORUFI01G43460.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGKLRPPFRLLLLLLAAAVARSVDGVELTLLTGAREKGTVCLDGSPPGYHLQRGFGSGEHSWFIHLQGGAWCNTIEDCSKRKMSAYGSSKFMRAVEFNGILSNDQQLNSDFYNWNRVFIRYCDGASFSGDGEAQDQDGSTLHFRGLRIWEAVINELMGKGLATAKQAILSGCSAGGLAALLHCNDFYARFSKEVSAKCLPDAGFFLDIEDLSGERHMWSVFNGTVHLQNVREVLSKDCLTKKDPTECFFPAELVKSITAPTLILNSAYDSWQMDPFLDSHGPVAKLTFGIAVPHKSKNKFVDDVEIVKDKKDWGLFIDSCFTHCQTPFNISWSSQASPVLGSKTVAEAVGDWYFERSYEVKEIDCEYPCNPTCSSQLPT >ORUFI01G43470.1 pep chromosome:OR_W1943:1:35747544:35750829:1 gene:ORUFI01G43470 transcript:ORUFI01G43470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGMRVALHRQVSSGSLKESGELRRQSSLESPRTGRAATRFLFGRQSSMDPNRRRGRSQSPVGLAEDLTVPDNLDATMQLLFLACHGDAAGVEALLRGGVDVNSINLDGRTALHIASCEGHPDVVRAVADAKCYGHTEVYNLLKARGAKIPRNRRTPMMVSNPGDVPEYELNPSELQFKKGDEVVKGVYQVAKWNGTKVHVKILDRECYCDQEVINSFRHELTVLEKVRHPNVVQFVGAVTQNIPMMIISEYLPNGDLSSCIPRKGKLHGQKVLKYGLEIARGMTYLHQCKPDPIIHCDLKPKNIFLDSGGQLKIAGFGLTRLSKISPGRVKLADHESMVDSFSHYTAPELYRNEIFDASVDAFSFGFILYEMVEGTHTVHGKSSEESGHTIRYDGMRPSLKNKLRGYPPDFKALIEECWDTQGIARPTFSEIIIRLDKIYAQCMKQGTWKDSLKIWK >ORUFI01G43480.1 pep chromosome:OR_W1943:1:35750952:35752214:1 gene:ORUFI01G43480 transcript:ORUFI01G43480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQAGAAAVRAMEEGRGGDPPSSSSSAAAAAGVAIGYPFLEPQQGARLRRRRTISPFKKYFSRGFAIGMEIAFIVFGTIVHFPVWARIFLIISLSILPFSAFSITFQEY >ORUFI01G43490.1 pep chromosome:OR_W1943:1:35764510:35767227:1 gene:ORUFI01G43490 transcript:ORUFI01G43490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGAVAEPRRGGVALSRYAGQVGNDESSQNPIPFQPSSPRRRAAPSSTAAGEGAADEAGWSRSRGLHSIVSQSLPERAPRSRSRRCGGPALAMVFKSVHLGGDEVNTSFT >ORUFI01G43500.1 pep chromosome:OR_W1943:1:35768106:35772526:1 gene:ORUFI01G43500 transcript:ORUFI01G43500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVVSGNWRRGGAVVVVVQLLSSSESSRLLVLPVAEASPARPRGLISGEQAALMACLELDSSQFLLNGDGSVIGSPFDIQLECNSFTGSKAVQDHSRYTLPSQCTNAPDPPPLPGTSYGTHRTSRNAKACRCVPEEIQDFWDRMFFEAYQYDLRVLTEDGNEIMSHSCVVGIKSPVLRAMLEEAKVQGGIRHILIPGVPSEAVHVFIRFLYSSRFEQYQMKRYVLHLLVLSHVFSVTSLKRVCINQLETSLLSPENVVDILQLARLCDAPRLSLVCTRMIIGDFKAITQTEGWRVMRQANPSLEQELLESLVEEDTKRQERARRLEENKVYLQLHEAMEALIHICRDGCRTIGPRDQTLKSSQAVCRFPACKGIELLLRHFSACKMRVPGGCANCKRIWQLLELHSRMCSALETCHAFQGENATSEQKGGGQVESFGVQGVGEQSNNQFHLRKEKISIPENICPYRCEYPAGRERGGASSASSRRLLGQRVTGGGRRRPAAALDRRMAADDHPAVDVGHGFLAAAVVYYSIRTARGFGESVRRVDARARRLRTRDRGLFFRWEKCRDEREKCTWLGECICSQKRCHA >ORUFI01G43500.2 pep chromosome:OR_W1943:1:35768106:35771759:1 gene:ORUFI01G43500 transcript:ORUFI01G43500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVVSGNWRRGGAVVVVVQLLSSSESSRLLVLPVAEASPARPRGLISGEQAALMACLELDSSQFLLNGDGSVIGSPFDIQLECNSFTGSKAVQDHSRYTLPSQCTNAPDPPPLPGTSYGTHRTSRNAKACRCVPEEIQDFWDRMFFEAYQYDLRVLTEDGNEIMSHSCVVGIKSPVLRAMLEEAKVQGGIRHILIPGVPSEAVHVFIRFLYSSRFEQYQMKRYVLHLLVLSHVFSVTSLKRVCINQLETSLLSPENVVDILQLARLCDAPRLSLVCTRMIIGDFKAITQTEGWRVMRQANPSLEQELLESLVEEDTKRQERARRLEENKVYLQLHEAMEALIHICRDGCRTIGPRDQTLKSSQAVCRFPACKGIELLLRHFSACKMRVPGGCANCKRIWQLLELHSRMCSALETCHVPLCRHFKEKMQHLSRKEEAKWNLLVSKVLESKATTSSISERRKFPSLKT >ORUFI01G43500.3 pep chromosome:OR_W1943:1:35768115:35771759:1 gene:ORUFI01G43500 transcript:ORUFI01G43500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACLELDSSQFLLNGDGSVIGSPFDIQLECNSFTGSKAVQDHSRYTLPSQCTNAPDPPPLPGTSYGTHRTSRNAKACRCVPEEIQDFWDRMFFEAYQYDLRVLTEDGNEIMSHSCVVGIKSPVLRAMLEEAKVQGGIRHILIPGVPSEAVHVFIRFLYSSRFEQYQMKRYVLHLLVLSHVFSVTSLKRVCINQLETSLLSPENVVDILQLARLCDAPRLSLVCTRMIIGDFKAITQTEGWRVMRQANPSLEQELLESLVEEDTKRQERARRLEENKVYLQLHEAMEALIHICRDGCRTIGPRDQTLKSSQAVCRFPACKGIELLLRHFSACKMRVPGGCANCKRIWQLLELHSRMCSALETCHVPLCRHFKEKMQHLSRKEEAKWNLLVSKVLESKATTSSISERRKFPSLKT >ORUFI01G43500.4 pep chromosome:OR_W1943:1:35768115:35772526:1 gene:ORUFI01G43500 transcript:ORUFI01G43500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MACLELDSSQFLLNGDGSVIGSPFDIQLECNSFTGSKAVQDHSRYTLPSQCTNAPDPPPLPGTSYGTHRTSRNAKACRCVPEEIQDFWDRMFFEAYQYDLRVLTEDGNEIMSHSCVVGIKSPVLRAMLEEAKVQGGIRHILIPGVPSEAVHVFIRFLYSSRFEQYQMKRYVLHLLVLSHVFSVTSLKRVCINQLETSLLSPENVVDILQLARLCDAPRLSLVCTRMIIGDFKAITQTEGWRVMRQANPSLEQELLESLVEEDTKRQERARRLEENKVYLQLHEAMEALIHICRDGCRTIGPRDQTLKSSQAVCRFPACKGIELLLRHFSACKMRVPGGCANCKRIWQLLELHSRMCSALETCHVPLCRHFKEKMQHLSRKEEAKWNLLVSKVLESKATTRRERGGASSASSRRLLGQRVTGGGRRRPAAALDRRMAADDHPAVDVGHGFLAAAVVYYSIRTARGFGESVRRVDARARRLRTRDRGLFFRWEKCRDEREKCTWLGECICSQKRCHA >ORUFI01G43500.5 pep chromosome:OR_W1943:1:35771146:35772526:1 gene:ORUFI01G43500 transcript:ORUFI01G43500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRKACGNSRCPYRCEYPAGRERGGASSASSRRLLGQRVTGGGRRRPAAALDRRMAADDHPAVDVGHGFLAAAVVYYSIRTARGFGESVRRVDARARRLRTRDRGLFFRWEKCRDEREKCTWLGECICSQKRCHA >ORUFI01G43510.1 pep chromosome:OR_W1943:1:35773541:35775005:-1 gene:ORUFI01G43510 transcript:ORUFI01G43510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAMRQWHHLLLVLCFVGSSSLLLVSSQTTSDSCTAALSLGNLISFNTTGLNCFQAWSSQGFILRFGKDASSAGSNSVWNFVLSAPDSGGYIAVGFSPNGKMVGGSAVAGWATPGAAGTARQYYLGGTTSSLCPPDQGKLSLSRGAAAPTIVSKGSRLYLAFQLSGQPLTNVIYAVGPAGTLPGPSGLLAQHKDMAAGTISLSGGTSGGGGSGTPATGGGGDGDEGHEDHEGGGEGKGKSDQSGGVGGESGSDGNGGRSTTTTASASSSGSASGRVFCAQWTKCSLVVQMLVYFVLLSGTNMEFVD >ORUFI01G43520.1 pep chromosome:OR_W1943:1:35777298:35781312:-1 gene:ORUFI01G43520 transcript:ORUFI01G43520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVTAVGDGYEYDGVVVGHPVIQFGDGAPPAVVGYPLPKEPPPPPPPATLQRGRPEQRCSSRCGPCEIFTVTILLNLLRAVAATTDSTTDKIQGTASIDAIDLALSVVGMTAADGAHGGAADGGRGRPARTGGRWWTRRRGGRAAAHRPRSLGSRHDSGGRRAGRCGRRWAGVVGADRLAGADEAERRTGGGGEADEANEAGEASGGGEAGGGGESERRTR >ORUFI01G43530.1 pep chromosome:OR_W1943:1:35785111:35788982:1 gene:ORUFI01G43530 transcript:ORUFI01G43530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYRNLLLLPLLLALVAAAASAAGDERAVLEVSGSPEGVVWVVQLSDLHFSVHHPDRAYDFRRYVGPALAMVNPALVLITGDLTDGKSKDLLTMKQNEMEWIEYRSKLKDVIESSKLPRSIFYDLRGNHDSFGVPSPGGDHDFYQKYSINAILRRHSRVQSITLENSGRKHLFVGFDSTMEIGLRGPTNLFGHPTDKQLIELDQSLSQWDTDFNKAQVTKVAFGHFPMSFSTLTESGKSIKDVFLKHSLAAYLCGHLHTRFGKNLKRYYHRSTEGSPLSEHYYQFNMHQGYEIHSDKESCSKEAVHAEEEFWEWEMGDWRKSRSMRILAIDDGYVSYTDIDFRLGSKSIIVLPTFPLDSRFMQRATASRDFKCQVMGASTFDTVRALVFSRHEIVSVSVKIYDSRSGHLDVVFDSEMKRVNANETRGDMYLVPWNWRAFADPSLNRYWLQIEVMDITGDASVSQLRPFSVNGFASKVSWTWKEFFVMGIQWALVYHPALWCVLALIFSLLLVPRASVLLFKDQFTYKYLRPNGSQWVSLKYLIGGFIWLFVEFSRVTIVWSLLLVYMIYLLVFPWLFGHPITEDSNLASMTFRGWILGKSNSGSEVLHAGTPDVMVIVLPHLCFVVLPTIVILAAMAAERTAYREQYLSQSGKKKDDHYQKSRRQKEHDNFWNSRWTRKFLFLLCLAVLWKHWKHCRALVKAYAMNPVIHSPVHFFFIPVLIAFAIYKTSSL >ORUFI01G43540.1 pep chromosome:OR_W1943:1:35794659:35799956:-1 gene:ORUFI01G43540 transcript:ORUFI01G43540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSELVVSFGEMLIDFVPTVAGVSLAEAPAFVKAPGGAPANVAIAVARLGGGAAFVGKLGDDEFGRMLAAILRDNGVDDGGVVFDAGARTALAFVTLRADGEREFMFYRNPSADMLLTHAELNVELIKRAAVFHYGSISLIAEPCRSAHLRAMEIAKEAGALLSYDPNLREALWPSREEARTKILSIWDQADIVKVSEVELEFLTGIDSVEDDVVMKLWRPTMKLLLVTLGDQGCKYYARDFRGAVPSYKVQQVDTTGAGDAFVGALLRRIVQDPSSLQDQKKLEEAIKFANACGAITATKKGAIPSLPTEVELRYEIHWYRISDISEILVHGLYWMAVRLSVYASQQSRASWNPGLEKALVDLLHEHNNPHYRCQNGWTSEAWNKVVKEFRDRHPYVTMNKQQIQDKEKELKRDYRLLKEARKQSGASWDNQRCMIVADDAVWANIITV >ORUFI01G43550.1 pep chromosome:OR_W1943:1:35803611:35806434:1 gene:ORUFI01G43550 transcript:ORUFI01G43550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSVYVAAAVALVLTSCSVLCLGAERFGARECEELGFTGLALCSDCNALAEFVKDQELVEDCRKCCTEDSDDSISKLTFSGAIIEVCMRKLVFYPEIVGFLEEDKDDFPYVEARYVYGSPPKLIMLDDKGDQKETIRIDNWKREHIRQFLKEKVKPVKSDS >ORUFI01G43560.1 pep chromosome:OR_W1943:1:35806375:35809855:-1 gene:ORUFI01G43560 transcript:ORUFI01G43560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTEKVAGDGCSGGGGGGGEGQVEVEVGVGMGMDGKGMIECRICQEEGDEGAMDSPCACTGTLKFAHRKCIQRWCDKKGNITCEICNQVYSPNYVLPPTKCCSAEMDMDLRQSWVGRIDPHDSHFLAIAIAEQQLLQAEFDDCVSSNSSGATCCRTVVLILMLLLLVRHVVVFVRDVSMLQDATVLFSPVLVMLFNTGGEDRFRGHLNLAGSIYSKQLNTITERREEKLVKK >ORUFI01G43570.1 pep chromosome:OR_W1943:1:35813188:35815110:-1 gene:ORUFI01G43570 transcript:ORUFI01G43570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATLPKLRFRPKCHGHFQAIYPVHVHIKNCNACKCQIPTHGLLVSSARSRPVLPVSAVGSGGGSSVAEDERKSGLSLQNAKTSVVSRDDETINVRVDLPGKATQKVFDEALTSLARDAPPVPGFRKSKGGRTSNIPSSILLQMLGKSRVTKFVLQEILSITVGDFVKKENLKVNPEIKTTQSEEELESSFTPGSSFSFSVVLQLEKPESDETSENSESDEASEPSS >ORUFI01G43580.1 pep chromosome:OR_W1943:1:35818767:35821793:-1 gene:ORUFI01G43580 transcript:ORUFI01G43580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTRTVADGEKLDICWRLPLISRQCQIVRARLRPGTHLSSFGRTSARLRCIRKGMGSNGHWRCSCDSEGDMAREGSRRVAMRGIGSTWSDSSTLLHMAMVRQDSRRTPTGETHGGRQEKAQGIARPGPALWKAVPRSRRWCWAASWWHEKGEEMEGINNNEGNKKGPTVGIEFLLTSHHVPLSPTATLLPIGHRPLLLSCHQPCTLAACAVSTMRLSRRLPI >ORUFI01G43590.1 pep chromosome:OR_W1943:1:35821965:35822186:-1 gene:ORUFI01G43590 transcript:ORUFI01G43590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHLHRDLLLAVVLAAIAAASLAGRADAARPLPPSPALPSPSSSSALEAAKAAVSTLMEMLPSGPSAGGAGH >ORUFI01G43600.1 pep chromosome:OR_W1943:1:35823877:35827981:-1 gene:ORUFI01G43600 transcript:ORUFI01G43600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRAPPTSLRLAPPPPSLRLAPPPPASASFRRTALRTSFLNGSVSLRLIQVRQSNVNRFKCNGIRSNLLDRFSRVVKSYANAVLSSFEDPEKILDQAVLEMNDDLTKMRQATAQVLASQKRLENKYKAAEQASDDWYRRAQLALQKGDEDLAREALKRRKSYADNASSLKAQLDQQKGVVENLVSNTRVLESKIAEAKQKKDTLKARAQSAKTSTKVSEMLGNVNTSGALSAFEKMEEKVMAMESQAEALGQLATDDLEGKFALLETSSVDDDLAQMKKEISGSSSKGELPPGRTAVSNSGAARPFRDIEIENELNELRKKANEY >ORUFI01G43610.1 pep chromosome:OR_W1943:1:35828713:35830542:1 gene:ORUFI01G43610 transcript:ORUFI01G43610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIMRQWRSLLLLLLCVVGSSSLLLVSSQTSSSSSDSCTAALAVGDLIPFNTTGLNCFQAWSSQDFILRFGQDASAGSNVWNFVLSAPDAGGYISVGFSPNGGMVGSSAVAGWVASGGVGTARQYYLGGTSSRSCPPGQGKLSLSTGAAAPTIVSQGSRLYLAFQFSGQPRTDLVYAVGPAGSLPGTNGFLAQHQYMTSGTITLPTGTSGGGGGGSTSTGGGGGGGDSDDGNEGGGGEGKGKHKHSGGDGDGDEGKGGRRTSPSSSSSATASGAAGGLSSKRRHGVLAVVSWGAMIPAGVAMARFMKRFEPLWFYAHAGVQGLGFVVGAVAIVAGFRLDGEDDVGAHKAVGVAVLVCACLQVMAVLARPIKEAKARKYWNWYHHYVGRAAVVLGVGNVFYGMSLAKEGDEWSYVYGIFVGVCAVAYLVLEEWRRRH >ORUFI01G43620.1 pep chromosome:OR_W1943:1:35837433:35839058:1 gene:ORUFI01G43620 transcript:ORUFI01G43620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHKQLHPVFFFPSSRPQATARTHQTRNRAEKQEIKPMKPRSRCSSVINGAPLLLVVVVCFGLSPVARSQSSDSCSTPASLAAGVSKLIPFDTSNLTCFDAWSSENFIVRYTSSGSTWSFVLSAPDKGGYVAVGFSQDGAMVGSSAVAGWSSGNGVGGVAKQYKLGGTSSRSCPPDQGSLSLVAKNTLVVAQSSRIYVAFQFTAPQPTPYLIYAVGPSNTNPSGNGDYLAQHRVYTSAAVNYAAGTTSSAGGGAADTKKWHGAMAGLGWGVLMPVGIALARYFKKHDPFWFYAHISVQGVGFVLGVAGVVAGFKLNDDVPGGDTHQAIGITVLVLGCLQVLAFLARPDKSSKVRRYWNWYHHNVGRAAVACAAANIFIGLNIAHEGNAARAGYGIFLVVLALVAVFLEVKLWRSRRSG >ORUFI01G43630.1 pep chromosome:OR_W1943:1:35841303:35842508:1 gene:ORUFI01G43630 transcript:ORUFI01G43630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLIYEILEKPASSSVIGICSLIWFFIQKRNIGYGDVGLSYEAAMEGGQYWRIITSAFSHISVVHLVFNMSALWSLGVVEQLGQIGLGVEYYLHYTLVLVVLSGLLVLGFYHVMIQKFKVEYFRRVTAVGYSCVVFGWMTILATKQPSSKLNIFGVLSLPISFAPFESLIFTSIMVPQASFIGHLSGIIVGYSIAWGLVHGMNNYWAITMFGWIVLVFVLSLKRTGSMDLRFIEIEPVTDPSLPSVGVVASRNGRTLQMDVLPRRGVADIV >ORUFI01G43640.1 pep chromosome:OR_W1943:1:35843510:35847400:-1 gene:ORUFI01G43640 transcript:ORUFI01G43640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSGGRHRLFLRFIALSSLLLIAAGEVIFEERFEDGWESRWVKSDWKRSEGKAGTFKHTAGRYSGDPDDKGIQTTLDARHFAISAKIPEFSNKGRTLVLQYSIKFEQDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLQCETDKLTHVYTFILRPDASYSILVDNRERESGSMYTDWDILPPRKIKDVHAKKPKDWDDREYIEDPDAVKPEGYDSIPKEIPDPKDKKPDTWDDDDDGIWKPRMIPNPAYKGPWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPLKYIGIEVWQVKAGSVFDNILICDDPEYARKAAEETWGANREAEKEAFEEAEKERKAREDKEAELAREEGERRRRERGDRHRGRDYKDRYKRRHRDHWDDDYHDEL >ORUFI01G43650.1 pep chromosome:OR_W1943:1:35850893:35851147:1 gene:ORUFI01G43650 transcript:ORUFI01G43650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRRAMVGEGERRKVRRQWGRGGLGAAALGTASLGAWRGGAWLGGTEAGGGGWGRERGWLRWRLSGRRRLWPASATSTALVS >ORUFI01G43660.1 pep chromosome:OR_W1943:1:35861345:35863946:-1 gene:ORUFI01G43660 transcript:ORUFI01G43660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLQGCKPFLFGGVAELNNMAANLADAGVLDRLGQLGVQHFVRQGNWPVGEADIRRPTTGRWRRRWATRRRRTSTRHRVVGGGSPAAGNEVAADLNTTSSSGRREAAAADDDLAAVRDLCADAEQPSLPDPSSLERRPRPHLPRPPPEQLKLPCRRKRHGEHVVLPGGPPCALRQEEAVHVDREVLDDDGLSAGIRERAFADHRKEGLHGGAMRLGWNGSEGVEGGGVVTRGGKEAEARERRQG >ORUFI01G43670.1 pep chromosome:OR_W1943:1:35864378:35869122:1 gene:ORUFI01G43670 transcript:ORUFI01G43670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGWITALKKAFTSGPKDKPTNGQLVAQYSHQHRSGGGGAAAARDKKRWGFGRSRQHAEPSPAGALINIPLYREPSSIEKILVDAEMEQQHRQYRAHYQITPAKPATVTAPAAAASLPAPPPPVSARERQRRRDVDDDKPAIVLPLPPPSPPPLIRRFDHDREQQQKLQQMQQQTRAETEWRRPQAQRRRAARPRGPAPPDRARAAAVAIQSAFRGYMARRNYRSLRGLIRLQGVVRGPSVRRQTAHAMRCMQMLVRVQSQVRASRVEAMERRNRHHHAAMLRDAARWRAASQDGGIWEDSLLSRDEMDARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRNPWWWTPIERRHEAEAAAALLSRQRVEHVGNGGGGGRHVAVTATPARSVVSSYSTATATTAVRPRATRPAKVASSYGGGGSIRDDESLTSCPAFGGALPNYMTPTMSASAKARARAQMLRQQQEKQAQAAQEKPRFSFGLGQSIGSWAKSPFWKGGAAAAPPSISSRVATPAASVAGRHRPTRSSVSELSVDSAVSMPAGIGRRTFK >ORUFI01G43670.2 pep chromosome:OR_W1943:1:35864378:35869122:1 gene:ORUFI01G43670 transcript:ORUFI01G43670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGWITALKKAFTSGPKDKPTNGQLVAQYSHQHRSGGGGAAAARDKKRWGFGRSRQHAEPSPAGALINIPLYREPSSIEKILVDAEMEQQHRQYRAHYQITPAKPATVTAPAAAASLPAPPPPVSARERQRRRDVDDDKPAIVLPLPPPSPPPLIRRFDHDREQQQKLQQMQQQTRAETEWRRPQAQRRRAARPRGPAPPDRARAAAVAIQSAFRGYMARRNYRSLRGLIRLQGVVRGPSVRRQTAHAMRCMQMLVRVQSQVRASRVEAMERRNRHHHAAMLRDAARWRAASQDGGIWEDSLLSRDEMDARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRNPWWWTPIERRHEAEAAAALLSRQRVEHVGNGGGGGRHVAVTATPARSVVSSYSTATATTAVRPRATRPAKVASSYGGGGSIRDDESLTSCPAFGGALPNYMTPTMSASAKARARAQMLRQQQEKQAQAAQEKPRFSFGLGQSIGSWAKSPFWKGGAAAAPPSISSRVATPAASVAGRHRPTRSSVSELSVDSAVSMPAGIGRRTFK >ORUFI01G43680.1 pep chromosome:OR_W1943:1:35871970:35877777:1 gene:ORUFI01G43680 transcript:ORUFI01G43680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKLLGVAHPEPANNIDADLRYDLGQSRMQVDGPVVLNRSAELEPSDSMAIDDVPVEASSQPAPAKQSPALMDTIVEVQKQLKRKRASSGPALAAADKDALVAGCCQELEGLLEYYREVSGHRMQFEVGNLSTNAAIGCLLEESSLGLSKLVDEIYEKLKGMEGVSATSVRSSVLLIGQRMMYGQSSPDADVLEDESETALWCWEVRDLKVIPLRMRGPLSTRRTARKKIHERITAIYSTLSVLEAPGAEAQVNDMRKASLKGAKNTGSTAKEPMQEMVKSNNDTGIIENVDDSQLQKNTSTNEKDTQKAQKQVEKELKQKEKEEARMRKQQKKQQEEALREQKRREKEEAEMKKQQRKQEEEAQKEQKRREKEEAETRKQQKKQQEEAEKEQKRREKEAVQLKKQLAIQKQASMMERFFKNKKDSEKLEKPGGKDSGVQTTDPCTTNKEVVPLVTSIIDSSFSQKENWALEDLRRLQISGWQKLSSYNRSSRWGIRNKPKKEAFKELKLQKTSDNMLEEILSPNEDTCHNLSQENEPDKSANDVDMLPAVELQFHGTNHANPLPTRSIKRKLLQFDKSNRPAYYGTWRKKSAVVGPRCPLKMDPDLDYEVDSDDEWEEEDPGESLSDCEKDNDEVMEEDSKITDEESEDSFFGIQIESLLDDKDEASSSPPDQCAEVEEFRALLRQQKVLNTLTEQALRKSQPLVISNLTHEKAELLTAGDLKGTSKIEQLCLQVLSMRICPGGATIDLPVIDSSSANAEETNQLNVKSSPAAASAIPDTDLAEIVKVIGSCRDGINKLVESLHQKFPNVSKSQLKNKVREISEFVDNRWQVKKEVLSKLGLSSSPASSKKPKSIATYFSKRCLPPEEAILASPELRLKSKTTQNVNGDTDIPRINLLPSSQ >ORUFI01G43680.2 pep chromosome:OR_W1943:1:35871970:35877777:1 gene:ORUFI01G43680 transcript:ORUFI01G43680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKLLGVAHPEPANNIDADLRYDLGQSRMQVDGPVVLNRSAELEPSDSMAIDDVPVEASSQPAPAKQSPALMDTIVEVQKQLKRKRASSGPALAAADKDALVAGCCQELEGLLEYYREVSGHRMQFEVGNLSTNAAIGCLLEESSLGLSKLVDEIYEKLKGMEGVSATSVRSSVLLIGQRMMYGQSSPDADVLEDESETALWCWEVRDLKVIPLRMRGPLSTRRTARKKIHERITAIYSTLSVLEAPGAEAQVNDMRKASLKGAKNTGSTAKEPMQEMVKSNNDTGIIENVDDSQLQKNTSTNEKDTQKAQKQVEKELKQKEKEEARMRKQQKKQQEEALREQKRREKEEAEMKKQQRKQEEEAQKEQKRREKEEAETRKQQKKQQEEAEKEQKRREKEAVQLKKQLAIQKQASMMERFFKNKKDSEKLEKPGGKDSGVQTTDPCTTNKEVVPLVTSIIDSSFSQKENWALEDLRRLQISGWQKLSSYNRSSRWGIRNKPKKEAFKELKLQKTSDNMLEEILSPNEDTCHNLSQENEPDKSANDVDMLPAVELQFHGTNHANPLPTRSIKRKLLQFDKSNRPAYYGTWRKKSAVVGPRCPLKMDPDLDYEVDSDDEWEEGIQIESLLDDKDEASSSPPDQCAEVEEFRALLRQQKVLNTLTEQALRKSQPLVISNLTHEKAELLTAGDLKGTSKIEQLCLQVLSMRICPGGATIDLPVIDSSSANAEETNQLNVKSSPAAASAIPDTDLAEIVKVIGSCRDGINKLVESLHQKFPNVSKSQLKNKVREISEFVDNRWQVKKEVLSKLGLSSSPASSKKPKSIATYFSKRCLPPEEAILASPELRLKSKTTQNVNGDTDIPRINLLPSSQ >ORUFI01G43690.1 pep chromosome:OR_W1943:1:35877931:35878431:-1 gene:ORUFI01G43690 transcript:ORUFI01G43690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKGSHTHRAFLLCNYALLGAASSCIFLTLSLRLLPSPCGLLLLFLHALTAVFSAAGCSGSFTAPATPAQWHNAHTAGAALTAIFQGAVALLAFTRTSDFLAELQSYVRDDDAAVILKMVGGLGTAIFVLEWAALALAFSLRLDDDDGAGDYDNKNWAAASYHV >ORUFI01G43700.1 pep chromosome:OR_W1943:1:35879974:35884288:-1 gene:ORUFI01G43700 transcript:ORUFI01G43700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVCSAAPTCSPLCPVTSSVAHVVGAPALRGRVVEVSALRSYADPLVARPVPDRPLLADSSILSPYSASPDDIVRGLAAAEVPSADATAAGDALCCGGVEPATLTAVSDLAATTADAAVTDAAERALLDAPVPTTFPADASDAEAAFARLIDSLGKKIFQAEDALTEGYDKLRLSAYQSLSAWRKSVDGAVGGLTASVDATKKKAAGGVTDASGALQDKVAGAGTVAVDVLRKAIVAAEDSLGSAATSIGYYYGSTKSSLPPNVKDLLNSSEEKASLVLKPIGGALQQVYVVVEGIEKNVGLDPSDPIVQLAVLLGGSTTIGLTYWLFAYGGYSGDLSPESTLELLRNDDKADLRVKDGIPDLRRAARSKYATAASPEIKGSVKRLLKSGRDVDDALLAVIIRNLKLVKGDSKVIIMDANGSRSKAIARLLKKLGVQRPYLVKGGFQSWAKNLRVKELKPETALTVINEDAEEILEDIKPTPTLVLGSLLGLSAAAYALLEWETTLQYIGVLSLGLTIYLRFSTYEGSEDLEQDLKLLLSPLRVGAEAFSWAAKKLEPSKIGLATSPSTTAVQDRVLQAAAKHESQPSDAEADSLVSEA >ORUFI01G43710.1 pep chromosome:OR_W1943:1:35886341:35895110:1 gene:ORUFI01G43710 transcript:ORUFI01G43710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMLACKFIWAGNEETFPFPASQTEAHQAAESNPSRRSEPSDPSPAPHPSARCAPATRGSWAAAAINPNHSFSHLLPSPRSSPSAAAAAEQQQQASDMF >ORUFI01G43720.1 pep chromosome:OR_W1943:1:35895895:35898732:-1 gene:ORUFI01G43720 transcript:ORUFI01G43720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGSGSGHAATPVRYVALPPPRGVRDGGGWNVLVPESMASEWTVAHVRGVVRVASRGGGAPEVSVDMAALQAALNGRRRGDDDPDLHLRPERGGGRDALTPVRYVALLLPRGVRDGGWNILVPEAMASEWTVVHVPAAAGVVRVASRGGGAPEVSVNMAALQAALNGPRRGDDPDHLHLRSGHSGVGGGVAERGGGGGAGGPCYVPVVFVLNTSKEAEKKEHQARMISLLMLSTFAVYLLYAMQHISKDTFLTVELLQFVGHIVMWAVASRVARSTNRSEPRLVPRSFITVTKKNA >ORUFI01G43730.1 pep chromosome:OR_W1943:1:35900045:35902579:-1 gene:ORUFI01G43730 transcript:ORUFI01G43730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAQDRATGQLVALKKTRLEMDEEGIPPTALREISILRLLSQSLYVVRLLSVEQATKNGKPVLYLVFEFLDTDLKKFVDAYRKGPNPRPLPTNVIKSFLYQLCKGVAHCHGHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGSTHYSTGVDIWSVGCIFAEMVRRQALFPGDSELQQLLHIFRLLGTPTEEQWPGVTDLRDWHEFPQWKPQILERQVPSLEPEGVDLLSKMLQYNPANRISAKAAMEHPYFDSLDKSQF >ORUFI01G43740.1 pep chromosome:OR_W1943:1:35905468:35909434:1 gene:ORUFI01G43740 transcript:ORUFI01G43740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLFFQGTTDGGSVDTDAVKARRSLLGKVEPIIRSVIKSGGGYEARMWLCSTVSSIHLLDPYGQRDLFLNLLGMKNSKRDVAARLLRMIFDKKPKKAGSIIAKKCQMLEDFFQGNPKQIMQWFGHFAVTGELTHKKGARALSQFAFVNRDICWEELEWKGKHGQSPAVVATKPHYFRDLDVLRTVENFLEYVPDFWSSEELADSVKDGEILQIDTEYFVDQFVYLMYEENSRDAWQLVDEFLMDEQFSSLSQHLLIHLDEQRLLNFLRALGKLIKLNSQCKEMVFPCCWLEVLLSAHSDHISLDELVLLNCVISKGRQLWRLMNDEEQEEEQGQMQELLKSTNQLTDADHFALMKGFVDTELPDALKWIGIQSWLVFCELSKGCKSADSCEHLFTCNRIEFRKADDYPLVQNDGNSIALDSDDEDLIRGSHKRRKRDRKRKRRRYDSDEDDLDQLLELGTSNRRGIESHHGCWYLSTDGFSASWDIADIPDHLSSHYLKTWLKFMHFWTC >ORUFI01G43750.1 pep chromosome:OR_W1943:1:35910212:35912656:-1 gene:ORUFI01G43750 transcript:ORUFI01G43750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARKAPRMVAIWAVVVVVVAADLFGAAVARSASARHAVGKKQREFDYFALALQWPGTICASTRHCCAINGCCRSEPLQTFTIHGLWPDYDDGTWPACCRHTSFDMDKILPLKPTLEKYWPSLYCSSSSTCFSGKGPFWAHEKHGTCSSPVVKDELEYFTTALDLYFKYNVTEMLASGGIHVSNGKQYALTDVIDAIKCAFGASPQIVCKKGSVEELRLCFDKDLKPLDCLTTTATNENVSKKKYCPRYITLPTYDPIVHANSTREIITVESEVYGYLYTS >ORUFI01G43760.1 pep chromosome:OR_W1943:1:35913681:35916335:-1 gene:ORUFI01G43760 transcript:ORUFI01G43760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRQRLVISLSALCLAVAVMSGALLPPRASAAGGVGRKRRWAGFDYYVLALQWPGTVCRQTSHCCSSNGCCRWAVAAVQLWGMAILLQANHIRRQQDLEVEDDTRGVLAVLVLRLFLNLLWWEKAILETHGTCGYPEIQDEYDYFSTALYLYSKYNVTKALKKAHIYPRGGRKYLVGHIVSAIEFSFGAMPSIVCKNGSVQELRLCFHKDYQPRDCLVEGENSVRRNHCPRYVTLPSYKPHAFGNSTEGISNQVNVEHQSYQ >ORUFI01G43770.1 pep chromosome:OR_W1943:1:35917201:35918925:1 gene:ORUFI01G43770 transcript:ORUFI01G43770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALPTFTDNLKDRDRKLRIFSGNEHPFHDRPLEPFVMPPRQVREMRPRARRVLIRAQKKEQDRAAAASTKDEENVKNAKSEITA >ORUFI01G43780.1 pep chromosome:OR_W1943:1:35919690:35921384:1 gene:ORUFI01G43780 transcript:ORUFI01G43780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSGARALLLLRSSIHRPLPPPPSPLRALTRAPPPPRPLSRFLSSSPEPLPDAGGGAASASSDPTDAGEDGLASDPTEAGEDNLASLWEEDAGDADDVFAADASPDPADAVVDEVLAARVGAVVESTPEDQIPSALADMVVDFNEPLLAAILVSAAESCSGKKLLLLFKSAGKNNPAAKSLANLEIVVDKIADSDEIDKMDVYLLWDLVKEMGAVPGSVNTQVLNKLLAMFWKLEKSKAALEAFDMFSELGCAPDGDSYYLAIQAAGRKSMVDAAWRVCDGMISSGCFPDGEKVGDIVMFFCKKKKVKEAHSVYITAKEKKVQAPTSALTFLVSALARNDETINTALELLEEYQGESLKDAGQSYAAVIHGLCNTNNVEDAKKVLMRMVNLGPAPGSAVFNFVITALSKNGEMEDAKGLMRVMENQGICPDIYTYSVIMSGYAKGGMMDEAHALLRDAKRIHPRLSRVTYHTLIRGYCKMEEFEKALECLKEMKEDGMEPNMDEYNKLIQSLCLKALDWRTAENLLKEMEDGGLCLKGTTRSLIAAVKELEMDELSKASQEA >ORUFI01G43790.1 pep chromosome:OR_W1943:1:35923781:35929082:1 gene:ORUFI01G43790 transcript:ORUFI01G43790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGHLPGRQSLRGGSHRLVPVPPTPVAAHKPREARDSPAEPRVAHAAEIASSSPPPPGGMGSTGRDAEVTRGDFPDGFVFGVATSAYQIEGARREGGKGDNIWDVFTENKERILDGSSGEVAVDHYHRYKEDIELMASLGFRAYRFSISWPRIFPDGLEKNVNEQGVAFYNDLINFMIEKGIEPYATLYHWDLPHNLQQTVGGWLSDKIVEYFALYAEACFANFGDRVKHWITINEPLQTAVNGYGIGHFAPGGCEGETARCYLAAHYQILAHAAAVDVYRRKFKAVQGGEVGLVVDCEWAEPFSEKTEDQVAAERRLDFQLGWYLDPIYFGDYPESMRQRLGDDLPTFSEKDKEFIRNKIDFVGINHYTSRFIAHHQDPEDIYFYRVQQVERIEKWNTGEKIGERAASEWLFIVPWGLRKLLNYAAKRYGNPVIYVTENGMDEEDDQSATLDQVLNDTTRVGYFKGYLASVAQAIKDGADVRGYFAWSFLDNFEWAMGYTKRFGIVYVDYKNGLSRHPKASARWFSRFLKGDDAENKADMN >ORUFI01G43800.1 pep chromosome:OR_W1943:1:35933090:35936742:-1 gene:ORUFI01G43800 transcript:ORUFI01G43800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRRFLELVVVGIVVCGVNGGSDGLEVAVARRQLHQPFFPDQSSSPPTPAPPGPAPPFFPALPVPPPPPATAGQEQPTYPALVLPNTGAGGAAATAAPDGGGGGGGGARKSKSSASKLVPAIVLPLLTVAVLGLSIAFFFTHRRGNAARGGGGGGGCVGGGDAKFLHPERASLFARDEFGGSGGAAAPPAAAMDYRYVGNAGIGRMDEKSSETTSSGDEASRSTGGSPELRPLPPLLARQCGPMGARSPGSGVGGFASPSSGDEEFYSPQGSSKMSTSHRTLAAAVEAAVAARDRSKSPSPGSIVSTPSYPSSPGATMSPAPASPPLFSSPGQSGRRSVKSRSDSVRTFGQPPAPPPPPPFAPTLPPPPPPRRKPPSPSPPSSPLIENTSALRSTTTTDTTIPRNPFVQPPPPPTHTHGPPPPPPPPPPPPVGYWESRVRKPGTGTSKETRSPALSPPPQAASFKSGLPTDAFPGRLADNADHAAAAAAGGGGDKSEETTPRPKLKPLHWDKVRASSDRVMVWDQLKSSSFQVNEEMIETLFICNPANSAPPKEPATRRPVLPTPKTDNKVLDPKKSQNIAILLRALNVSKEQVCDALCEGNTENFGAELLETLLKMAPTKEEEIKLREFKEETSPIKLGPAEKFLKAVLDIPFAFKRVDAMLYIANFESEVNYLKKSFETLETACDELRNSRLFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIRTEGSHLSASNQSTPRTQANPLRDELECKKLGLQVVAGLGNELSNVKKAAAMDSDVLSSYVSKLAGGIEKITEVLRLNEEVKSREDAWRFHDSMQKFLKRADDDIIRVQAQESVALSLVKEITEYFHGDSAKEEAHPFRIFMVVRDFLSVLDQVCKEVGRINDRTIASSVRHFPVPVNPMMPQLFPRIHALRAGISDDESSATSASSP >ORUFI01G43810.1 pep chromosome:OR_W1943:1:35944608:35953557:-1 gene:ORUFI01G43810 transcript:ORUFI01G43810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSIIFPEVPIALRLSSHLMLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPEAAFQGDIDHHVSTKEQITLQDNPEKTGYSTSQFGLDERFGDGNSSHIGLDLEEELMLNKDQSIQLEADDGIIIQGRSAVHPTDMEVDDNQNKDESAEGYNMEDGPSSHNKLNPLSADGLVGNSLPNWHTYNVQTPDLNDMLLNNDGNAGPSASYYQPSPFPCDEPASPEFVSAQAPATPGLMEETVPSRVHESPVLSPQRKASPSSNDETAKTAENANDLVGAETTELALTKPVQIESSGAVQEIDSLSQQCATEELPPQAETSNLEATMDKSMINTDGVAASGEATAAKETTEVSLVENSLELCADGPTEPVIENQTQFNDGSVDVQGGGQHAPHAILATADSQVNVEASTQEMASNDIPSDLPTLEFPEREKMLSAPDVELYQANDLGQVTAEKGTTESDGSNKVGSLTSRKRHLEDSLPALESATTEKLSSRPRGKRTIDGIPDDDDLLASILVGRRTPGLRLDSTPIPPKTSSLKRPRLTSKSTTPKRKVQMDDAMVIHADTIRQQLISTEDIRRIRKKAPCTRSEIWMIEKGMCKELNDLQYRTYEIVAHPTIHNMEIHVRLDMSQTMADGSNDVGTSGAKDSGNHQDHVVLPDGAESDAMHPEATDAADTRTDFDSHMPSDKQVNNVEGVTEQLTDNEKETAVVEKATTNMGDSAQVDSLDKEYLQDVPADLQRSTNTNTPLFVLDDMPGPDVVLDSSDPVSAQAVDDMKGELSDIVHDNVNAFDNKDMPTSEITVLEFTQNASGFPQPTEDENVLSAMGENSGLQENHVGSVMDLDNMGHDFSLKECSDFGSAIQGVDTDFLMYDDEVDCDEANDDEPNPEEFQSLDNSGWSSRTRGVARYLKTLFDEESGLGRKSVAIDHLLSGKTRKEASRMFFETLVLTTKDFISVDQPNSFDFVSVKPGPKLLKSDF >ORUFI01G43820.1 pep chromosome:OR_W1943:1:35956849:35961030:-1 gene:ORUFI01G43820 transcript:ORUFI01G43820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFPVPVTSWSSAALLGRSISSARDAAEASSPITAAEMVRVAKEVANAADACGVSGKKLLEAAEALSRSDTDAEPRRRAAERIFDAASMVAKEADASGASGLSDAAQNLTCATYAFSVAASGWGSLPESSTSGRDAGDLLTEPLLGSCQDKNEKMTGEGKDFSEMRNSAADSDPLQQSEIKESSLFGKCKELLNYGFLGGPALLPYLGSGLRKTVSPCSPSVFHYIFSSWWICIVVAAQGEQCVERDIWGLILSVYLEVASRLAMLGITLMVILYNYLELAPTEAIGFDIC >ORUFI01G43830.1 pep chromosome:OR_W1943:1:35965388:35966848:1 gene:ORUFI01G43830 transcript:ORUFI01G43830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRARVRSYLYSRGIDYPVDGQIVLDVHAIDLTSLTYARAAPRRAAFIVVVVVNDDQTDRRRPAIRRSARRSTAPLPGRRPTMPVLMLPRQYDAAAAPRRTTVTIDDSEAALLERLLRRMEEGDDAAAAGGDQTRANDRRCFSVKAGCRFVRGFGAMASLILVSFSLMGDSSYSLALRIIISLSPAAFAFFLTQENPFFAREPFE >ORUFI01G43840.1 pep chromosome:OR_W1943:1:35968767:35970457:-1 gene:ORUFI01G43840 transcript:ORUFI01G43840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLLRSAALLARAARLARAAATSTGRAVTAEHLAEVVASAAGDRGFPSGALRQAALALARSSAPEARPRAAAEVVRAAAMVFRAAQEAGSPGVAEVAGDLAHAAHDCVRALVESGPAAERPRCLLRLWRRKNRHNKNAAGEADLEAPLLHPHERPSSSSSPIGASLSEIIELSESERDFINYGMFGALAIFPYLTRTGGLKSAYSPLSPSTFHIIFCTWWICVGLDVLCGNRGRAMMKNILAFILAFYARASARLAILGVSLLVILYSHLELAPNEIYTLYILLGAATCMHLLVWAMDYMSRAPGDAAD >ORUFI01G43850.1 pep chromosome:OR_W1943:1:35971430:35977195:-1 gene:ORUFI01G43850 transcript:ORUFI01G43850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVLPACSSLCYFCPSLRARSRQPVKRYKKIIAEIYQLPPDGEPNDRRIGKLCDYVSRNPTRIPKITEYLEERCYKDLRHENFTLAKVVPCIYRKLLCSCKDHTPLLATSTLSIIRTLLDQRMNDDLRVLGCLMLVDFLNGQVDSTHMFNLEGLIPKLCQISQELREDDKGFRLRCAALQALASMVQYMGDHSHISMELDEVVSVIVSCYEVNQTLSIKEVVRLQDDDDLVINGSLTGLPVSGQNSAKVASDTMSASENPAHWARVCLRNMASIAKEATTVRRVLDPLFRLFDSHNYWSPENGIAFSILQEMQALMDKSGQNGHLLLSFTIKHIDHKSVAKKPAKQTSILKVASLLAKHAKLKASVTIASATSDLIKHLRKCMHCAVESPNAQNDVDKWNSALYVALEECLVQLTEKVGDVGPVLDMVGVMLENLSCTATIARTTISSVFRTAFPEALFHQLLLAMMHPDKKTRVGSHRVLSTIIAPSLLCPWSGISFPIPVKGNDSQSITLLALSAFSSEAVMDEVRIKSRTHEQLQNNVKPETVVGSENGYTHTEPNSRKSPGLGIPLKDENLKFMKLNSSQLVLLLSSIWSQAPLEDNSPANFEAMCHTYNIALLCSMTKSSSHAALVRCFQLAFSLRRMSLNQENGLQPSRRRCLYTMASAMLIFSAKVADIPQTIPLVKAAVPEKMVDPHLCLIDDCRLVISSPQSSNSGIVYGSEEDESDARNFLSRVNKNDTQLKEIVISHFKEKFENLSEKFNGIEEQLLQEFSLDDSFPLSAPLFMETPHSCSMYAEKDDHCFDEEVIPCEMDDDDDIVFEHSGSQSDRKTSGSMASSDVLNVNQLIESVHETARQVANAPVSANLVPYDQMKSQCEALVMEKQQKMSSSARSEPETQSTRKERMRRSDSASSESDRSFRLPPASPYDKFMRAAGR >ORUFI01G43850.2 pep chromosome:OR_W1943:1:35971430:35977195:-1 gene:ORUFI01G43850 transcript:ORUFI01G43850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVLPACSSLCYFCPSLRARSRQPVKRYKKIIAEIYQLPPDGEPNDRRIGKLCDYVSRNPTRIPKITEYLEERCYKDLRHENFTLAKVVPCIYRKLLCSCKDHTPLLATSTLSIIRTLLDQRMNDDLRVLGCLMLVDFLNGQVDSTHMFNLEGLIPKLCQISQELREDDKGFRLRCAALQALASMVQYMGDHSHISMELDEVVSVIVSCYEVNQTLSIKEVVRLQDDDDLVINGSLTGLPVSGQNSAKVASDTMSASENPAHWARVCLRNMASIAKEATTVRRVLDPLFRLFDSHNYWSPENGIAFSILQEMQALMDKSGQNGHLLLSFTIKHIDHKSVAKKPAKQTSILKVASLLAKHAKLKASVTIASATSDLIKHLRKCMHCAVESPNAQNDVDKWNSALYVALEECLVQLTEKVGDVGPVLDMVGVMLENLSCTATIARTTISSVFRTAFPEALFHQLLLAMMHPDKKTRVGSHRVLSTIIAPSLLCPWSGISFPIPVKGNDSQSITLLALSAFSSEAVMDEVRIKSRTHEQLQNNVKPETVVGSENGYTHTEPNSRKSPGLGIPLKDELTHFLPLKQNLKFMKLNSSQLVLLLSSIWSQAPLEDNSPANFEAMCHTYNIALLCSMTKSSSHAALVRCFQLAFSLRRMSLNQENGLQPSRRRCLYTMASAMLIFSAKVADIPQTIPLVKAAVPEKMVDPHLCLIDDCRLVISSPQSSNSGIVYGSEEDESDARNFLSRVNKNDTQLKEIVISHFKEKFENLSEKFNGIEEQLLQEFSLDDSFPLSAPLFMETPHSCSMYAEKDDHCFDEEVIPCEMDDDDDIVFEHSGSQSDRKTSGSMASSDVLNVNQLIESVHETARQVANAPVSANLVPYDQMKSQCEALVMEKQQKMSSSARSEPETQSTRKERMRRSDSASSESDRSFRLPPASPYDKFMRAAGR >ORUFI01G43860.1 pep chromosome:OR_W1943:1:35982367:35987552:1 gene:ORUFI01G43860 transcript:ORUFI01G43860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNCACPPPSKGNMITILSIDGGGVKGIIPAVILSFLESKLQELDGKDARIANYFDAIAGTSTGGLIAGMLAAPSLGNANQPCYAKDIVPFYLKHSPHIFPHRTGFFGWFFNILGIIKMAIGPKYDGKYLHRLINDLLGETRMKETLTNVVIPTFDVKCVKPIIFSTFKARRKPLKNACLADVCIGTSAAPTFLPAHYFETVDHTGASQSFNIIDGGMAANNPTLVTMGEITKQIKRKSEEFPKAEPLDYRKYLVISLGTGLPEHDIMFDARNVAKWGIFRWLVDKDTMPLLNMFFHASSDMADTLVADLFQAIGCSHQLLRIQDHNIPIGAISNDLSTKDHLQLLVKIGENLLKKPLSKEECEKNNIEPEPNLHGDHVTTYADMLTRFAKLLSDERKLRLHNMKLDAKP >ORUFI01G43860.2 pep chromosome:OR_W1943:1:35982330:35987552:1 gene:ORUFI01G43860 transcript:ORUFI01G43860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNCACPPPSKGNMITILSIDGGGVKGIIPAVILSFLESKLQELDGKDARIANYFDAIAGTSTGGLIAGMLAAPSLGNANQPCYAKDIVPFYLKHSPHIFPHRTGFFGWFFNILGIIKMAIGPKYDGKYLHRLINDLLGETRMKETLTNVVIPTFDVKCVKPIIFSTFKARRKPLKNACLADVCIGTSAAPTFLPAHYFETVDHTGASQSFNIIDGGMAANNPTLVTMGEITKQIKRKSEEFPKAEPLDYRKYLVISLGTGLPEHDIMFDARNVAKWGIFRWLVDKDTMPLLNMFFHASSDMADTLVADLFQAIGCSHQLLRIQDHNIPIGAISNDLSTKDHLQLLVKIGENLLKKPLSKEECEKNNIEPEPNLHGDHVTTYADMLTRFAKLLSDERKLRLHNMKLDAKP >ORUFI01G43870.1 pep chromosome:OR_W1943:1:35989411:35991679:-1 gene:ORUFI01G43870 transcript:ORUFI01G43870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSSAGGHPPPTSCSDHALRYFIRGFTTIVSLVMVAWLIYARYTTDDSELHDPAKLSFLIVLSLSLAAFGFCSTSETELQRLLD >ORUFI01G43880.1 pep chromosome:OR_W1943:1:35998504:36001216:1 gene:ORUFI01G43880 transcript:ORUFI01G43880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISTTTAAYFSSQPQLPATAAAKSGGRQQQRRRSSFVMLEAAAASGSGGGGGGAVGRTRSLTEEDLEELKGCLDLGFGFAYHEIPELCGTLPALELCYSMTRRFLDEQRAPGQEPESPATPPLPNWRISGPGRALKIKDRFALFMMSLFLVSKYTDEVLMPRVIEATRSISYFAKLQRGGDWSPHGTARKLKVIRGPSFSEGIRSLSFLMEVSAWCRVCNCETC >ORUFI01G43890.1 pep chromosome:OR_W1943:1:36004162:36010096:1 gene:ORUFI01G43890 transcript:ORUFI01G43890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEVECRVCHAKVQVPMAAAAVSKAYDIHRSSVSSRQRALNVLLVSGDCVLAGLQPILVYMCKVDGKFKFSPVSVNFLTEITKIIFAIIMLCIQARRLKVGEKPFLTVSTFMQAARNNVLLAVPALFYAINNYMKFVMQLYFNPATVKMLGNLKVLVIAVLLKVIMRRRFSTIQWEALALLLIGISVNQLKSLPEGSSTLGLPVAAGAYLYTLFFVTVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLVITAIIQGPNSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAVLFGHTLTINFVLAISIVIISMHQYLSNQIKDEVPSSKIEMGDAHEHRSKESVVVNVSDSIATEAKHRHGTDERQPLLPV >ORUFI01G43890.2 pep chromosome:OR_W1943:1:36004162:36010096:1 gene:ORUFI01G43890 transcript:ORUFI01G43890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEVECRVCHAKVQVPMAAAAVSKAYDIHRSSVSSRQRALNVLLVSGDCVLAGLQPILVYMCKVDGKFKFSPVSVNFLTEITKIIFAIIMLCIQARRLKVGEKPFLTVSTFMQAARNNVLLAVPALFYAINNYMKFVMQLYFNPATVKMLGNLKVLVIAVLLKVIMRRRFSTIQWEALALLLIGISVNQLKSLPEGSSTLGLPVAAGAYLYTLFFVTVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLVITAIIQGPNSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAVLFGHTLTINFVLAISIVIISMHQYLSNQIKDEVPSSKIEMGDAHEHRSKESVVVNVSDSIATEAKHRHGTDERQPLLPV >ORUFI01G43900.1 pep chromosome:OR_W1943:1:36010736:36013383:-1 gene:ORUFI01G43900 transcript:ORUFI01G43900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWRRWICCNCQVNESDQLENGHAKVLTSNADGVTKGLKDSATEKAQPQNSALTINIPVLSLDELVEKTDDFGSSALIGEGSYGRVYYAVLDSGTKIAVKKLDSNENEPTSEFLTQVALVSRLKHENFVDMLGYCTEGNLRLVAYEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVRIAVDAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKVADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDKVKQCIDPRLNGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLTMSLLLVLLYRSFKSWEINQISHHMLLLLS >ORUFI01G43910.1 pep chromosome:OR_W1943:1:36013396:36014427:-1 gene:ORUFI01G43910 transcript:ORUFI01G43910.1 gene_biotype:protein_coding transcript_biotype:protein_coding YYAASKRLEIGSRKKKRKEETELRYKTAPPPRRSRPSEAAPPPPTTTTTTTKPPEAERSRDYKG >ORUFI01G43920.1 pep chromosome:OR_W1943:1:36019977:36021239:1 gene:ORUFI01G43920 transcript:ORUFI01G43920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSMATTNTAGEPEAFKYLKTSDSDERMEGSKKVSEDEEQALKVRFQEWMNKFNRNYKDEAEKAYRFEVFKSTVQYVEKFNAEQVKKYGCCECTLGTNKFADLTMEEALNKFARGKRSRQ >ORUFI01G43930.1 pep chromosome:OR_W1943:1:36021940:36024663:-1 gene:ORUFI01G43930 transcript:ORUFI01G43930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSATDAAAAXEDDELSGERHCPPRPPPPPPPHPPEDPPPHPPHPPDHPPPPPPCRVPPPPGYRQPMAWPARRDRAWYANVELPPLAPAKLAGPPDPVRARGDWLVFPKGVGTYVEQLAGMVPLRGGEVRTALDVGCGVASFGDYLLNYGILTMSIDRRNRHKAQVQLALERGLPAMIGALGVRRLPYPTRSFDMVHCAGCLVPGNSHDELYMLEIDRLLRPGGYWVLAMPPISWKTQYDDLNRTAKGMPGEQLALEEIVKKLCWSKVSENGTIAVWRKPINHIQCEQDAKLLRYVNTSMCLTRLPRDIAGGAVEKWPERLTAVPPRIASGETKGMPIQTYRLDSLDWKKRVDFYRAYLNLSDGSYRNVMDMNAGFGGFAAAMSEYPVWVMNVVPAILTDNTLGIIYERGLIGTYMDWCESFSTYPRTYDVIHANGVFSLYMDMCGIPYIVLEMDRILRPGGAAIIRDTPDVVHKVKDAADRLHWHSEIVDTENGALDPEKLLIVDNSLPFPDHPA >ORUFI01G43940.1 pep chromosome:OR_W1943:1:36029943:36034570:-1 gene:ORUFI01G43940 transcript:ORUFI01G43940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYFWINPTFLADNRPGTIFFQNYSDSVPDSKYTRDRGYHPLEEVKERPKKKDLSLTDVETARTVVEANSKGLLVFPARVHNEPHGHVAWSDFQYIVDDYGDIFFQVPDSENILEDDAANNPVTVLIGMNGPIIGETSVVTSDFSDYMDVENFIDMPDENDSKIDTEITDILIEWGMPATMRAIHPIYFAKCLTKAVHDKHREKMDSPSNGVSIVGYLRPAFIEEESYLRSLFHGECNGDGYSSDWRDDDKSRFDFTSVGSSTDSTIYKLEIMTVELFSIYGKQLMIDPQDFQDAEPDILANSASEIINRIKENDDQCAMALRSLCHRKKGLTVEEASLISIDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIRRMLFPRRKNVKPSTEDEC >ORUFI01G43940.2 pep chromosome:OR_W1943:1:36029943:36034570:-1 gene:ORUFI01G43940 transcript:ORUFI01G43940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYFWINPTFLADNRPGTIFFQNYSDSVPDSKYTRDRGYHPLEEVKERPKKKDLSLTDVETARTVVEANSKGLLVFPARVHNEPHGHVAWSDFQYIVDDYGDIFFQVPDSENILEDDAANNPVTVLIGMNGPIIGETSVVTSDFSDYMDVENFIDMPDENDSKIDTEITDILIEWGMPATMRAIHPIYFAKCLTKAVHDKHREKMDSPSNGVSIVGYLRPAFIEEESYLRSLFHDDDKSRFDFTSVGSSTDSTIYKLEIMTVELFSIYGKQLMIDPQDFQDAEPDILANSASEIINRIKENDDQCAMALRSLCHRKKGLTVEEASLISIDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIRRMLFPRRKNVKPSTEDEC >ORUFI01G43950.1 pep chromosome:OR_W1943:1:36037551:36042136:1 gene:ORUFI01G43950 transcript:ORUFI01G43950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESTGRLGEKIQSCMEVVNNDAEELCAMADSGHVAAARGRRRRRRRHRPGRHPPGAAAVGVCADEVAVVPHLHLLPAVAAVIVAARHRGGRGRRGAHRRHDVAAAAAAIVAVVDDGVGAGAVLERRVIDAAEAVLLLHRLREEVMRGGGGAEVEVVEILQAVRRRDHRVVLLLLAPPTQRLRVRVVKPGGVRRRRRCRLERDGRPGGGGRVVVVVAVMRGVGAVAAEVRGDAADVVGGGGLGVLDALGGGADGEGGAVEDALDVVAAHVEVGDGVEPPELDRRDVVRLRRLLLRACSCHHH >ORUFI01G43950.2 pep chromosome:OR_W1943:1:36036206:36037522:1 gene:ORUFI01G43950 transcript:ORUFI01G43950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGCFFLLVVAVFVFSGASSLMLMTADLLVAAIDRSSQMVLSMICQHAAVAAEGYSGPEAVEMLHVPVETSQEEL >ORUFI01G43950.3 pep chromosome:OR_W1943:1:36036206:36042136:1 gene:ORUFI01G43950 transcript:ORUFI01G43950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGCFFLLVVAVFVFSDAEELCAMADSGHVAAARGRRRRRRRHRPGRHPPGAAAVGVCADEVAVVPHLHLLPAVAAVIVAARHRGGRGRRGAHRRHDVAAAAAAIVAVVDDGVGAGAVLERRVIDAAEAVLLLHRLREEVMRGGGGAEVEVVEILQAVRRRDHRVVLLLLAPPTQRLRVRVVKPGGVRRRRRCRLERDGRPGGGGRVVVVVAVMRGVGAVAAEVRGDAADVVGGGGLGVLDALGGGADGEGGAVEDALDVVAAHVEVGDGVEPPELDRRDVVRLRRLLLRACSCHHH >ORUFI01G43950.4 pep chromosome:OR_W1943:1:36036206:36040515:1 gene:ORUFI01G43950 transcript:ORUFI01G43950.4 gene_biotype:protein_coding transcript_biotype:protein_coding PPRKLSGSSAAARRPVPTPPPAAAAGPLASGDASTATSGRRPRPWPPVASSSSSSPSSSSPAAIVLYLYCSTRQLSRSN >ORUFI01G43960.1 pep chromosome:OR_W1943:1:36037360:36039055:-1 gene:ORUFI01G43960 transcript:ORUFI01G43960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSMRGQPKGIPWLVLAMLIASYAMLGAGATSLSPPSLSLTPTYAPVIKVIGKVYCYRCFNEAHPEESHGKEHLKGAMVKVTCQANDQALVGFGYTQDNGKYSVSITGLPLSSTYGADSCKVELHSAPGGSDCNVPIELNLSGLSVYSKSNEEVVLQANQVMAFASQKTFGFCSKPHIQPPIFPYNSPPPSPYQYPSPPFNYKSPPLPNQFSPPPFNKFPPPSHQYPSPPQSFYHSPPPYQYTPPNSYQAPPTSYNHPPPPYGYNSPIPPTNKYLPPPYYFNSPPPQYQHSPPANSYVSPPLAHQYPPPPYKSPPIPPYYYNSPPANHYSPPPYNFGSSPPTYQYSPPLLPKTPKYLPPKVPLEMSPPAHATSPQPLVHYSPPPPLQHAGISSTTPSVNSYQSPPPVNQLS >ORUFI01G43970.1 pep chromosome:OR_W1943:1:36041274:36044875:-1 gene:ORUFI01G43970 transcript:ORUFI01G43970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNNWLAFSLSPQDQLPPSQANSTLISAAATTTTGGDSSAGDVCFNIPQDWSMRGSELSALVAEPKLEDFLGGISFSEQQQHHHGAKGGAVGVIPSSAAAAAACYASSGSSVGYLYPPPSSSSLQFADSVMVATSSPVAHDGGMVSAAAAASGNGGIGLSMIKNWLRSQPAPQPAQALSLSMNMAGATTAQGQGGGMALLAGAGDQRGRTLAAESLSTSAHGATTATMAGGRKEINEEGSGSAGAVVAVGSESGGSGAVVEAGAAAAARKSVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPVNNYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILDSAALPVGTAAKRIKDAEAAAAYDVGRIASHLGGDGAYAAHYGHHHHHTAAAAWPTIAFQAAAAPPPHAAGLYHPYAQPLRGWCKQEQDHAVIAAAHSLQDLHHLNLGAAAAAHDFFSQAMQQQHGLGSIDNASLEHSTGSNSVVYNGDNGGGGGGYIMAPMSAASATATAVASSHDHGGDGGKQVQMGYDSYLVGADAYGGGAGRMPSWAMTPASAPAATSSSDMTGVCHGAQLFSVWNDT >ORUFI01G43980.1 pep chromosome:OR_W1943:1:36057599:36065219:1 gene:ORUFI01G43980 transcript:ORUFI01G43980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVLATVRWATACAAVLNAAAASTGGAVAALALRGGGGCGGALGPAAAAASAASAARLVASAVAGFAQGAAAAAIAAGAIGAHVDSDRDLRHLSRLRYKRWLWWTRFGVVITVLQFVLALCLLCIIVKDFSAGRSSKQCLSGHDQDNSNWKHTLLISFIVIMWVATIVQCSTGSDVLRWRSFYASHDIAWRAHYREVFDHGIREVLCCLGRVKYSSVLEEDDICVVAKLLGDLMAYRASGTGHLELIAGFSLLQKFKSSAVVSKEQAEAPQDLIKEAVLFHPFAEAAYTGPLLDFGRNPFMFPCVWLNRQGVMTPWTRARRPVLEGDNWWRGHAAAFLKYVSVPPEVLIKGRVSQARREAAYFVVVLHDLKTLVIAIRGTETPEDVITDGLCRECSLTVDDLDGLINSDQLPLQVKDAVISSFPHHGHAGMVESARELYAKLEGLPIHQDKPDAVPAGFLSSLLGAGCECHGYNIEIVGHSLGGSVAALLGIRLYGRFPKLHVYAYGAAPCVDYVIAEACSQFVTSIVHNDEFSARLSMNSIIRLRAAAVRALSKDALPNSAKVGKLVAGIVRTKGYNRNVADHRQSTGALQIVNEAKLRNDQIHGNNLMHTIRGGVFLLGKAISCLVNTPKYRVSSTAAINYELGSRSRMNILGDRGNCMAASCSVLDVPHFGEPSNACGNGKSSEDDLNECSREYRLPHSNDGTKLSIDPNDLRTISLPEGQSPEVYLPGLVIHLVPVKNHTSPFQKTPVTRHKSRKNKSYKAFIANRQDFMDLVVTPRMFLDHLPWRCHYAMQRVIETRKRAC >ORUFI01G43980.2 pep chromosome:OR_W1943:1:36057599:36065219:1 gene:ORUFI01G43980 transcript:ORUFI01G43980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVLATVRWATACAAVLNAAAASTGGAVAALALRGGGGCGGALGPAAAAASAASAARLVASAVAGFAQGAAAAAIAAGAIGAHVDSDRDLRHLSRLRYKRWLWWTRFGVVITVLQFVLALCLLCIIVKDFSAGRSSKQCLSGHDQDNSNWKHTLLISFIVIMWVATIVQCSTGSDVLRWRSVLEEDDICVVAKLLGDLMAYRASGTGHLELIAGFSLLQKFKSSAVVSKEQAEAPQDLIKEAVLFHPFAEAAYTGPLLDFGRNPFMFPCVWLNRQGVMTPWTRARRPVLEGDNWWRGHAAAFLKYVSVPPEVLIKGRVSQARREAAYFVVVLHDLKTLVIAIRGTETPEDVITDGLCRECSLTVDDLDGLINSDQLPLQVKDAVISSFPHHGHAGMVESARELYAKLEGLPIHQDKPDAVPAGFLSSLLGAGCECHGYNIEIVGHSLGGSVAALLGIRLYGRFPKLHVYAYGAAPCVDYVIAEACSQFVTSIVHNDEFSARLSMNSIIRLRAAAVRALSKDALPNSAKVGKLVAGIVRTKGYNRNVADHRQSTGALQIVNEAKLRNDQIHGNNLMHTIRGGVFLLGKAISCLVNTPKYRVSSTAAINYELGSRSRMNILGDRGNCMAASCSVLDVPHFGEPSNACGNGKSSEDDLNECSREYRLPHSNDGTKLSIDPNDLRTISLPEGQSPEVYLPGLVIHLVPVKNHTSPFQKTPVTRHKSRKNKSYKAFIANRQDFMDLVVTPRMFLDHLPWRCHYAMQRVIETRKRAC >ORUFI01G43990.1 pep chromosome:OR_W1943:1:36076632:36077939:1 gene:ORUFI01G43990 transcript:ORUFI01G43990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPRQCAAACRTGGGGGGVVRCRAVAAAGGAVAVRDAVVAPVARRGAARKTAETVAGMWREVQGCGDWEGMLEPAPHPVLRGEVARYGELVGACYKAFDLDPASRRYLNCKYGRERMLEEVGMGGAGYEVTRYIYAAADVSVPTMEPSTSGRGRWIGYVAVSTDEMSRRLGRRDVLVSFRGTVTPAEWMANLMSSLEAARLDPCDPRPDVKVESGFLSLYTSADKTCRFGGAGSCREQLLREVSRLVAAYSGGGEDVSVTLAGHSMGSALALLSAYDLAELGLNRAAPVTVFSFGGPRVGNAAFKARCDELGVKALRVTNVHDPITKLPGVFLNEATAGVLRPWRHSCYTHVGVELPLDFFKVGDLASVHDLATYISLLRGADKKQPAAAAADAGGVLAKVMDFVGRRRGGGALPWHDAAMIQMGGLVQTLGLI >ORUFI01G44000.1 pep chromosome:OR_W1943:1:36093758:36095029:1 gene:ORUFI01G44000 transcript:ORUFI01G44000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTPTTLELPSTMPPAAAAAADAVSTAPAERTAARVIATSSRTASASAASGSVARVWRKVQGSGDWDGMLSPLHPVLRGEVARYGELVGACYAALEEDPSSPRYMNCKYGKLRMLEDAGVAGAGYEVTQYIYSSPDAAVPGMEASTSGRASWVGYVAVSTDETTRRLGRRDVLVSFRGTVTPAEWMANLRSSLVPASLAARGGGGGDVKVESGFLNVYTSADETRRFGCADSCRDQLLREVSRLFAASRSGGEDVSVTLAGHSMGGALALLLAYDLAELGVAGGAPVTVFSYGGPRVGNAAFKARCDELGVKVLRVANARDPVTKLPGVFLNEATTRSGPLAAMRGACYVHVGEELALDFVNLGDLASVHDLGSYVASLREGVVTDAEAATGGVLAMAMELVGRQWQSKDAARGMVQSTGLI >ORUFI01G44010.1 pep chromosome:OR_W1943:1:36109683:36117070:1 gene:ORUFI01G44010 transcript:ORUFI01G44010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRYFSAESSGLTSCESSCRTTTTTSTAAAADVGRHPLERAYGGSGEIHVDASSAAVPLFRHSSSPAGLLSRLMADPHGNGMAATRGMGGYSGGGGDAGAMAHRRLSSQWSFSRQDLPQISEMGGLIPDIGESIVTGGGGNSSSDGAGHGAQSSSFLSSRNFSMSSWDDTNSIMFSPPSSSKKARVAAAAAGDHGDDMVSSFSNIDSQFGLSKQSSLEMAGMDDFLQLQPDSVACRARAKRGCATHPRSIAERERRTRISKRLKKLQDLVPNMDKQTNTSDMLDIAVTYIKELQGQVEKLKHDQANCTCSGKHDC >ORUFI01G44020.1 pep chromosome:OR_W1943:1:36115127:36118518:-1 gene:ORUFI01G44020 transcript:ORUFI01G44020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQTGNPCAADTEGPRARFKSGRPTAHGPRLSLPHSRHLFVAGNRASETATPPPTQRQRHCGRQERTRKEKEKKRGRKKKRGGNPNRDRSGVRGESNSIPILSLPTPPPRPICSSLPPISSSRAPTSLVPSLPHSNPPSSPATTGGGGGKRRIAARRMSDRELRPLRSIRITGDGRCLFRSVAYGACLRRGKQSPSDSIQKELADELRSKVADEFVRRRGDTEWFLEGDFESYVRQIRKPHVWGGEPELLMCSHVLRMPITVYMYTKGSDSPRIIAEYGQEYGKDNPICVLYDGYGHYDALQPSLERNTDNRRLRYLRLFSRTVSRSSAT >ORUFI01G44030.1 pep chromosome:OR_W1943:1:36120811:36123717:-1 gene:ORUFI01G44030 transcript:ORUFI01G44030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMEAEEGPFLANDAKLHAGMYRAFHPAVSKLVAIFPFIEASRPRSKSGIQALCSLHVALDKAKGLLQHCADCSRLYLAITAETVLLKFEKARTQLQESLRRVEGIVTEEIGCKIVEIIAELEEVVFTLDQSEKEAGDEVINLLQRNRKMNSSSDSGELEVFHMAALKLGITSSRAALTERRALKKLIEKARSDEDKRKEYVVSYLYNLMRKYSKFFRSEAGDDTDSQGSSPCSPTILGMEDMYGPYGNGRAFSRQLSSIQSFNSRFGSFNSRLGSFNCRRSGPRSENMSIPPEELRCPISLQLMYDPVIISSGQTYERVCIEKWFNDGHSTCPKTQQQLSHLSLTPNYCVKALIASWCEQNDFPVPDGPPGSFDVNWRLALSDSEATGCVSLESFDSINVKSVKVVPLDNVRKEEPANNESGTLDDSSCYDFDMNEGYRNLLLMLHEKTNLHIQCRLVEQIRYLLKDDEEARIQMGSNGFAEALVQFLRNAVQDGNEKAQEIGAMALFNLAVNNNRNKGLLLSAGVIDQLEQMISNPRLSAPATALYLNLSCLPEAKNIIGSSQAVSFLVDRLFSHEARDTKGSSCKHDALYTLYNLSNHQASVPSLLSAGIVEALHSLLSESLASEGLGWTEKALAVLISLAATQAGRKDIMSTPGLISTLAMLLDTGEPTEQEQSVSCLLVMCSADDKCIAPVLQEGVVPSLVSISATGTGKGKEKSQKLLKLFREQRQRDGAQPVPQQAQQQQQQQQQQQVGETGNGTMVCRRDSKQLCKSKSRKLGRTLSSLWKNRSFSLYQC >ORUFI01G44040.1 pep chromosome:OR_W1943:1:36137744:36142366:-1 gene:ORUFI01G44040 transcript:ORUFI01G44040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLRRASLRRAVAAVAAAAAPCPESYKQGICGSTFHCRYFSSKAKKKTKSSGTDSGEENLSKKDLALHQAIDQITSAFGKGAIMWLGRSEGRREVPVVSTGSFSLDLALGTGGLPKGRVIEVYGPEASGKTTLALHVIAEAQKHGGYCAFVDAEHALDPALAESIGVNTSNLLLSQPDCGEQALSLVDTLIRSGSVDVVVVDSVAALVPKSELDGEMGDAHVALQARLMSQALRKLSHSLSLSQTILLFINQIRSKVTTFGGFGGPMEVTSGGNALKFYASVRMNIKRIGLVKKGEETIGSQVLVKIVKNKHAPPFKTAQFELEFGKGICRSSELIELGLKHKLVKKLGGAFYSFNEESYRGKDALKSYLNENESIAKELETNLRKLMETQAPKKQEDEGDFLSDLPEESLATETSSEEELAAVIEA >ORUFI01G44050.1 pep chromosome:OR_W1943:1:36143324:36145664:1 gene:ORUFI01G44050 transcript:ORUFI01G44050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSFDLSCSLRKEEENGTNLSPFVRKLFKEVLPGEHNFVATLIEGRDQKKRPTQFGMNQVLQPFDSVKFNFTKVSPEEVIFTFKESQNDSVKYFDNVPHAVAASPTAILINVSPIGYCHVLLIPRIQDCLPQRVDKESFLLAMYVASEAKDPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKAPTEKLTTLGNGVSIIQLVQYPVSGFVFEGGACLEDLSDVVSKVCIFLQENNKPFNALISESGKRVFLLPQCYAEKQALGRASQEFLDMRINPAVWELSGHLVLKRRKDYDEASEATICRFLVEASLSESEFQELKSCILEFLSSAAPEE >ORUFI01G44050.2 pep chromosome:OR_W1943:1:36143520:36145664:1 gene:ORUFI01G44050 transcript:ORUFI01G44050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSFDLSCSLRKEEENGTNLSPFVRKLFKEVLPGEHNFVATLIEGRDQKKRPTQFGMNQVLQPFDSVKFNFTKVSPEEVIFTFKESQNDSVKYFDNVPHAVAASPTAILINVSPIGYCHVLLIPRIQDCLPQRVDKESFLLAMYVASEAKDPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKAPTEKLTTLGNGVSIIQLVQYPVSGFVFEGGACLEDLSDVVSKVCIFLQENNKPFNALISESGKRVFLLPQCYAEKQALGRASQEFLDMRINPAVWELSGHLVLKRRKDYDEASEATICRFLVEASLSESEFQELKSCILEFLSSAAPEE >ORUFI01G44050.3 pep chromosome:OR_W1943:1:36143147:36145664:1 gene:ORUFI01G44050 transcript:ORUFI01G44050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSFDLSCSLRKEEENGTNLSPFVRKLFKEVLPGEHNFVATLIEGRDQKKRPTQFGMNQVLQPFDSVKFNFTKVSPEEVIFTFKESQNDSVKYFDNVPHAVAASPTAILINVSPIGYCHVLLIPRIQDCLPQRVDKESFLLAMYVASEAKDPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKAPTEKLTTLGNGVSIIQLVQYPVSGFVFEGGACLEDLSDVVSKVCIFLQENNKPFNALISESGKRVFLLPQCYAEKQALGRASQEFLDMRINPAVWELSGHLVLKRRKDYDEASEATICRFLVEASLSESEFQELKSCILEFLSSAAPEE >ORUFI01G44060.1 pep chromosome:OR_W1943:1:36151337:36160064:1 gene:ORUFI01G44060 transcript:ORUFI01G44060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHISMSTPVQTLSLSHSLALAIAVAGVCRGAAHRGFISGVSDPRHGHMSKRSPPPQPAHIPMAEQRWRPPYPYASSAAGGGGVDRRSRSGFCAATRTFHSLRSVGPLPPEELPLTVAAYAFSLLSSAPPLVVAGRGPALVDAATGIAVSYPAFVARVRFLAGGLWCSLGLRPGDVALVVSPSCLDVAVLYFALMSIGVVVSPANPASTADEYAHQVRLSRPAIAFVAPEVAARLPRHVSRVVIGSEVFDRLASASAAGGWAAPPAVAMKQPSTAALLYSSGTTGRVKAVAITHRNLIAQISAYNAIRETVAREAATDAGKGKPPPPSPSPPAAVTLFPLPLFHVMGFGLLTRTISSGETAVVMRRFDLAAAARAVERYRVTKLSAAPPVVVALTKSDEARRRDLSSLVAIVVGGAPLGREVSQRFATVFPSSTGPVATMAGPEESAAYGSVGRLAPRVQAKIVDTATGEVLGPGRRGELWIRGPVVHLGYVGDPEATAATITPDGWLKTGDLCYFNEDGYLYVVDRLKELIKYKGYQVPPAELEHILQSRPEIADAAVVPYPDEEAGQLPMAFVVRQPGAYLTEQQVMNCVAKHVAPYKKVRRVAFVNAIPKSPAGKILRRELVLQAMASTSRL >ORUFI01G44060.2 pep chromosome:OR_W1943:1:36151337:36160064:1 gene:ORUFI01G44060 transcript:ORUFI01G44060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHISMSTPVQTLSLSHSLALAIAVAGVCRGAAHRGFISGVSDPRHGHMSKRSPPPQPAHIPMAEQRWRPPYPYASSAAGGGGVDRRSRSGFCAATRTFHSLRSVGPLPPEELPLTVAAYAFSLLSSAPPLVVAGRGPALVDAATGIAVSYPAFVARVRFLAGGLWCSLGLRPGDVALVVSPSCLDVAVLYFALMSIGVVVSPANPASTADEYAHQVRLSRPAIAFVAPEVAARLPRHVSRVVIGSEVFDRLASASAAGGWAAPPAVAMKQPSTAALLYSSGTTGRVKAVAITHRNLIAQISAYNAIRETVAREAATDAGKGKPPPPSPSPPAAVTLFPLPLFHVMGFGLLTRTISSGETAVVMRRFDLAAAARAVERYRVTKLSAAPPVVVALTKSDEARRRDLSSLVAIVVGGAPLGREVSQRFATVFPSLRFDGVDRAGGHHGWAGGVGGVRIGGEACAARAGEDRRHGHRRGAGAGAARRALDPRPSRYVGDPEATAATITPDGWLKTGDLCYFNEDGYLYVVDRLKELIKYKGYQVPPAELEHILQSRPEIADAAVVPYPDEEAGQLPMAFVVRQPGAYLTEQQVMNCVAKHVAPYKKVRRVAFVNAIPKSPAGKILRRELVLQAMASTSRL >ORUFI01G44060.3 pep chromosome:OR_W1943:1:36151337:36155319:1 gene:ORUFI01G44060 transcript:ORUFI01G44060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADRAPPPPPPPAGIDSRSGFCAATRIFHSTRAPGDLPPESLPMTAAAYAFSLLSSSTLPGRPALVDAATGIAISYPSFLAAVRSLAGGLWCSLGLRPGDVALVVAPSRLEVPVLDFALMSIGAVVSPANPVSTPEEYAHQVALSRPVVAFAAPEVAAKLPEHVRCVVIGSDEYGRLAASDGRRAAAPAAVAVKQSDTAAVLYSSGTTGRVKAVAITHRNLIALMSLHADNREKVAREAAEAGEEPPPPAVTLLPIPLFHVFGFMMVLRSVSMGETSVFMERFDFIAALRAIERYRVTLLPAAPPVLVAMVKYEEARRRDLSSLLVIGIGGAPLGREVAEQFASVFPNVELVQGYGLTESSGAVAATVGPEESKAYGSVGKLGSHLQAKIVDPSTGYVGDDEATAATVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQLHSWFKTTFTPATWVPPAELEHILQSHPGIADAAVIPYPDEEAGELPMAFIVRQPGSNITKEQVMDYVAKQVAPYKKVRRVAFVTAIPKSPAGKILRRELVQQALSMGASKL >ORUFI01G44060.4 pep chromosome:OR_W1943:1:36151337:36155321:1 gene:ORUFI01G44060 transcript:ORUFI01G44060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADRAPPPPPPPAGIDSRSGFCAATRIFHSTRAPGDLPPESLPMTAAAYAFSLLSSSTLPGRPALVDAATGIAISYPSFLAAVRSLAGGLWCSLGLRPGDVALVVAPSRLEVPVLDFALMSIGAVVSPANPVSTPEEYAHQVALSRPVVAFAAPEVAAKLPEHVRCVVIGSDEYGRLAASDGRRAAAPAAVAVKQSDTAAVLYSSGTTGRVKAVAITHRNLIALMSLHADNREKVAREAAEAGEEPPPPAVTLLPIPLFHVFGFMMVLRSVSMGETSVFMERFDFIAALRAIERYRVTLLPAAPPVLVAMVKYEEARRRDLSSLLVIGIGGAPLGREVAEQFASVFPNVELVQGYGLTESSGAVAATVGPEESKAYGSVGKLGSHLQAKIVDPSTGYVGDDEATAATVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILQSHPGIADAAVIPYPDEEAGELPMAFIVRQPGSNITKEQVMDYVAKQVAPYKKVRRVAFVTAIPKSPAGKILRRELVQQALSMGASKL >ORUFI01G44070.1 pep chromosome:OR_W1943:1:36161362:36165561:1 gene:ORUFI01G44070 transcript:ORUFI01G44070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIAGAGGGRLFSVNGAAAYWGSSRRRLLLLQRPLFSSYWGGTGDDVTPHLPVVIVGAGPVGLVLSFLLTKFGIKCAVLEKNVEFTRHPRAHFINNRTMEIFRKLDGLAGDIERSQPPVDLWRKFVYCTSLSGSILDFDKIISPISVAHFSQHKLVDLLLKKLDGIGFQTCLPDKGILIGASFNEAGRMQERKIHCGLLLGADGARSTVRELAGIHMKGQRDLQKLVSVHFRSKDLGKYLSRERPGMLFFIFNPGAIGVLVAHDLEHGEFVLQIPFYPPQQMFEDFSTKICEEIIVKLVGWEPSDVQVLDIKPWVMHAEVAEKYIGCDKRIILVGDAAHRFPPAGGFGMNTGVQDAHNLAWKLCLLQNGVASPSILQTYESERRPVAIFNTELSVENFKAAMSIPAALGLDPTVANSVHQVINSSLGSVIPRNLQKSVLEGLFSIGRAQVSDYILNEKNPLGSLRLARLRSILDEGKSLQLQFPAEDLGFRYELGALVAEDCAETTYEAEKQKHSKRSREYIPSAKAGSRLPHMLMRALSTSNEGVFSTLDLVNGDKPEFALIIAPMKVSYELARAMLKIADELKLPTKICVIWPQGSVDAKVKGSRSELAPWTNYVDVEEVPRAPVNSWWEMCQITSKSVILVRPDEHIAWRTESDRVTDADSEVRRVFSEVLCLTSRIQTLEKPLLLLLKSPSNASNSHPPSNTASYRT >ORUFI01G44070.2 pep chromosome:OR_W1943:1:36161362:36165561:1 gene:ORUFI01G44070 transcript:ORUFI01G44070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIAGAGGGRLFSVNGAAAYWGSSRRRLLLLQRPLFSSYWGGTGDDVTPHLPVVIVGAGPVGLVLSFLLTKFGIKCAVLEKNVEFTRHPRAHFINNRTMEIFRKLDGLAGDIERSQPPVDLWRKFVYCTSLSGSILDFDKIISPISVAHFSQHKLVDLLLKKLDGIGFQTCLPGDIGSSTQDLVLENKILLGHECSSVQLTDKGILIGASFNEAGRMQERKIHCGLLLGADGARSTVRELAGIHMKGQRDLQKLVSVHFRSKDLGKYLSRERPGMLFFIFNPGAIGVLVAHDLEHGEFVLQIPFYPPQQMFEDFSTKICEEIIVKLVGWEPSDVQVLDIKPWVMHAEVAEKYIGCDKRIILVGDAAHRFPPAGGFGMNTGVQDAHNLAWKLCLLQNGVASPSILQTYESERRPVAIFNTELSVENFKAAMSIPAALGLDPTVANSVHQVINSSLGSVIPRNLQKSVLEGLFSIGRAQVSDYILNEKNPLGSLRLARLRSILDEGKSLQLQFPAEDLGFRYELGALVAEDCAETTYEAEKQKHSKRSREYIPSAKAGSRLPHMLMRALSTSNEGVFSTLDLVNGDKPEFALIIAPMKVSYELARAMLKIADELKLPTKICVIWPQGSVDAKVKGSRSELAPWTNYVDVEEVPRAPVNSWWEMCQITSKSVILVRPDEHIAWRTESDRVTDADSEVRRVFSEVLCLTSRIQTLEKPLLLLLKSPSNASNSHPPSNTASYRT >ORUFI01G44080.1 pep chromosome:OR_W1943:1:36165547:36167731:-1 gene:ORUFI01G44080 transcript:ORUFI01G44080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGGGDGGASSAGFSYFAVFHNYPLVAALLGFAVAQSIKFFVTRYKENRWDPKQLIGSGGMPSSHSATVTALAVAIGFQDGFGCALFATAAIFASVVLNQIVCELPSEHPLSETRPLRELLGHTPTQVVAGALLGSMLATAGQMFLVVSGSV >ORUFI01G44090.1 pep chromosome:OR_W1943:1:36169290:36173469:-1 gene:ORUFI01G44090 transcript:ORUFI01G44090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPATATFPHLGLRPKPLLRRRLRRIAVSVSPSGPDETPADDPPVIPSILVKNTEPEDVARRRSWVEHGWAPWEEIMTPEVAFARHSLNEGEEVPLQTPESQEAFRMLTPAYREKVESEPGYEERLFATRDTPEPLETSWAGELPLRLVPPRDWPPPGWEVDPGELEFIREAHREFTERLDMAAVAAKGVTHVDKVENAPDDLGLDRYKMFLKQYKEWVEANRDRLEEESYKFDQDYYPGRRKRGEDYKEDMLELPFFYPGQICRGRVISVHLYQGAFVDIGCVHDGWVPIKGNDWYWIRHHIRPGMEVHVEILAKRDPYRFRFPLEMRFVYPNIDHLIFNRFDYPPIFHRKEDTNPEQLWREGGRPPIPRKKPLKDMEKEPLVSDHPFVETLWLWHNAEQMILDHEEENPDKFKDTTYESTVDSSIVHEENRVQHTEGYFKDTLLKKEVVNIDIKELDLDAARAERQLIKKLRKEAEERGEEYKVGKLRRNKEMDEYDLMQWRRSFEEREALIRDICCRKAFGLPVDEPGRYDVDETEVYGKDYYDPSKPMYRYDYWGEPKNTEKTKLEREVELHNQQVIGDAKKWCEMSYDDYVRQKVRMEAAEARERQRKASEPQEEDEKYEDEMDLDLKKMMDPQAPHNRCFITK >ORUFI01G44100.1 pep chromosome:OR_W1943:1:36180871:36182624:1 gene:ORUFI01G44100 transcript:ORUFI01G44100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFEIPFPCPGLQDRKYGKPGHQSKLPTEIKSRYGILTPDRQLWSGISVAVETANSVLCRHACVHHACTCIESFGCTIECMIIELPRWPMQKRTACACDHENGREKDSGSTVRSILPLTKRGLRRKCVSCLIFILLPPVKQ >ORUFI01G44100.2 pep chromosome:OR_W1943:1:36180871:36182779:1 gene:ORUFI01G44100 transcript:ORUFI01G44100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFEIPFPCPGLQDRKYGKPGHQSKLPTEIKSRYGILTPDRQLWSGISVAVETANSVLCRHACVHHACTCIESFGCTIECMIIELPSRGGAASGLNVWDMGNYLKDEIALPNNVHVTMKTVGRRIQEAQYDQYCH >ORUFI01G44100.3 pep chromosome:OR_W1943:1:36173712:36179040:1 gene:ORUFI01G44100 transcript:ORUFI01G44100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQRRRRWRRRRESETAAVERAGWRRRRRWRRRESETAAVERAGQPAFAFGRACGSVGGRGAGAGGGGRPCNGAVGRFQIGSWRILNVATADKTVPADNGISTRIHTPNVTTDTKVGEAQNRKAIAEHV >ORUFI01G44100.4 pep chromosome:OR_W1943:1:36173712:36182779:1 gene:ORUFI01G44100 transcript:ORUFI01G44100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQRRRRWRRRRESETAAVERAGWRRRRRWRRRESETAAVERAGQPAFAFGRACGSVGGRGAGAGGGGRPCNGAVGRFQVHVTMKTVGRRIQEAQYDQYCH >ORUFI01G44110.1 pep chromosome:OR_W1943:1:36174721:36181383:-1 gene:ORUFI01G44110 transcript:ORUFI01G44110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKHPTRCRVPQALVQGTLEARTWKWNLKSHGYVFLKIRALLVVNELIAGAGVVSNWPSVLLSWWFFSFLSESLLTSLHLLHLFNSATVVDFTSLPLCTFICLVAVTMRPSKANQQDQNQPLLVREDSDDSSTDRFSNSGWWSCLTFQWLNRIFEKGHKVRLELDHIPSVPQSDTANQSYALLQETLHKQKPEPMPMRRAIICAVWTPLIANGVFAGLNTIASYMGPFLITYLVELLSDKNPDKGHGHGYMLACLFFASKTVESLSQRQWYFGARRIGFRVRAALMVSIYQKSLLMKNSSTASGKIVNFLDVDVEKVSEFFWYVHGIWLLPLQISLALAILYRSLGAMASLSAVLATVLVMVSNTPLAKSQENLNMKIMEAKDSRIKAMAEAMKSMRILKLHAWETAYFDKLLKLRDVERGWLRKYLYTCSAIAFLFWASPTLVSVVTFGVCILVEMPLSAGTVLSAVATFRILQDPIYNLPELVSMVTQTKVSLDRIEEFIKEEHQGKPSRSDNNTRTKDLSMTGAMEIEPGVYGWEIDNSLKKTKFMLKIDRKLSISKGQKVAVCGPVGSGKSSLLYSIMGEIPRINGAETTVFGSRAYVAQSAWIQTGTIQDNVLFGKDMDRSFYEEVLHGCALDRDLELWANGDMTMVGERGMNLSGGQKQRIQLARALYSDSDVYLLDDPFSAVDAHTGAHLFKECLLRLMSSKTVIYVTHQLEFLRDADLVLVMKDGRIVQSGKYDDLVADRNGELSMQMAAHNQSLSQVTPAKAHVLTKNKSHKRRQTELTEIELDHNVIGRECEEERESGRVKWDIYRKFVNSAYGGALVPVILACQVLFQGLQICSNYWIAWAAERQEQVSREKMIGIFVLLSAGSSVFILGRAIVLSTIAIETAHQFFLGMTRSIFRAPINFFDSTPSSRILNRASTDQSTVDTDIPYRLAGLIFALIQLLSIIFIMSQIAWPIFILFIIIIAISTWYQSYYICSARELARMVGIRKAPVLHHFSETVSGAATIRCFNQGEKFFRKSLALIDDYSRITFHNSATIEWLCVRINFLFNLVFFVTLVILVSMPRNTIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQFSNITSEAPLVIEDCRPRESWPWCGTIQIDSLQVRYNPDMPMVLKGISCTIPGERKIGVVGRTGSGKSTLIHALFRIVEPSEGRILIDDVDISLLGVHDLRSRLSVIPQEPTLFQGTVRTNLDPLQQHLDTEIWEVLHKCRLEEIVREDSRLLDAPVVEDGENWSVGQRQLVCLARVLLMKKKILVLDEATASVDTATDNIIQKTIRQETNNCTVITIAHRIPTVIDSDLVLVLGEGKILEFDSPENLLRDESSAFSKLVMEFVGRSS >ORUFI01G44120.1 pep chromosome:OR_W1943:1:36188010:36198699:1 gene:ORUFI01G44120 transcript:ORUFI01G44120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRRERRVIAAAGAAALVAVGLNLAFSAVAAHRRRKRRELPGFTAQVNLSAADIKRLADRVVSKSKETYDAVAAVPLDKVSFSNVIAPLAELDAQQFPLVQACVLPRMVSPSDDVRRASAEAEKRLDSHFQQCRQREDVYRVIKAFTQKGERIGLEATRFVQCMVREFERNGAKLTQSKKTEMEKLKSHIDDLSLKYIQSLNDSTKFLLLNEEDLAGMPLEFLKELENTNGKWKVLLTSYHVTPILEHCKVGSTRKLIAVAYGQKGGKENIAILEKLVQLRHRLARLLGYPNYADYAIEPRMPRTSRKVLEFLEEMSEQLNGLANRELSVLKDLKMEEEGDAQFSVEDLLYYMKRAEELKVDLDIGEIKQFFPVDLVISGILKMFQDLFALRFEEMKDAETWHDTVRLFSVWDASSSDLLGYFFLDIFSREGKYAHTCVVALQNRCLCSNGTRKVPVAVLLSQCPKEFDGNSALLRFPEVVRIFHEFSHVVHHISNRATFSRFSGLQLEGDFAEIPSLLLENWCYENISLKMMSGFHQDITKSITSEACQSLKRRRDIFAGLKLKQEILLCLVDQIIHTGENVNIDDLIKDLHPKVMLGIPLLEGNSPASCFPRIAIGYDAVCYSYIWSEVFAADLFASKFKDDLLNQHAGLRFRNKVLAPGGSKNPLDIISDYLGREPSLQAFIQSRTRNSL >ORUFI01G44130.1 pep chromosome:OR_W1943:1:36196032:36198296:-1 gene:ORUFI01G44130 transcript:ORUFI01G44130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVGVKIYSVFFKLLLRHKLQSLAAAAAAAAAGGEDDAAAFGVSCRADEATAPSNPAFSAADGVASKDLHIDPNSSLSVRIFLPTPPPRPHSRRASEPPPATANGGSAPYRGYLPHAVSSPRAAASARRRLPIVVQFHGGGFVSGSSSSAANDAFCRRVAKMCDAIVVAVGYRLAPESRYPAAFDDGVRVLRWIAKQANLAMMSKVGGGVDTFGASTVEPWIAAHGDPARCVLLGVSCGANIANFVTRKAVEDGKLFDPIKVVAQVLMYPFFIGSVPTHSEIRLANSYFYDKSTCILAWRLFLSEKEFSLDHPAANPLAPDRGGPPLKCMPPTLTVIAEHDWMRDRAIAYSEELRKVNVDAPVLDYKDTVHEFATLDVFLKTPQAQACAEDIAIWMKKYISLRGHEFSY >ORUFI01G44140.1 pep chromosome:OR_W1943:1:36202185:36207250:1 gene:ORUFI01G44140 transcript:ORUFI01G44140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHRALCFPPITHSLCSATQTPAVALGGEPMRPLGIWAAILTYQGIWASNEAGSSSLHIDDLGVDADEAVAINSVLELHSVVKESRGALNSVLQTIRKLSPRAFVLVEQDAGHNGPFFLGRFMEALHYYAALFDALDAALPRYDARRARVEQFHFGAEIRNVVGCEGAARVERHERADQWRRRMSRAGFQSVPIKMAAKARELI >ORUFI01G44150.1 pep chromosome:OR_W1943:1:36209155:36211896:1 gene:ORUFI01G44150 transcript:ORUFI01G44150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVNNFMQYTAYFGVSTNLVNYLKYRLHEGSKSAANDVTNWQGTGSITPLVAAYLADAFLGRYWTILLFMAISVLGYGVLAASAAAPALLHGGAAAFYAGLYLVALGSGLLVVMAPFGAGQFDEADEGERRRQSSFFNWFYLSLNFGSLVGGTVLVWVQTSVGWGIGYGVPAIFSALSVAVFLAGTAAYRRCQPPGGSPLTRIAQVVVAAARKHDVEVPADASLLHECCDAVDGMSAIQGSRRLVHTDQFRFLDKAAVETAGDKAEPSPWRLCTVTQVEELKCVLRLLPVWASGIIFAAAYTQMTTTFVLQGDTLDPRIGGFKVPAAVLSVFDTLSVMLWVPLYDRAIVPLARRVTGHDRGFTQLARMGVGLVILTVAMLVAGTLEVARRRVIARHGLYGDDGDGGYLPLSIFWQVPQYVVVGASEVFTFIGQMEFFYDQAPDAMRSLCSGLSSTSFALGNYASSAIVVVVARATARGGRLGWIPDNINRGHLDDFFWLLAVLCVANFAAYLLIARWYTYKKTVD >ORUFI01G44160.1 pep chromosome:OR_W1943:1:36214057:36217016:1 gene:ORUFI01G44160 transcript:ORUFI01G44160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFGVSTNLVNYLKYRLHEGSKSAANNVTNWEGTGSIAPLVAGYLADAFLGRYWTIVLSMVISAVGYGVLAASASVIRLESAALYAGMYLVALGGVLEPIMAPFGADQFDDGEDDQRGRRQSSFFNWFYLSLNCGSLVGGTVLVWVQTSVGWGVGYGVPAIFSALSVAVFLAGTATYRRDQPPGGSPLTRIAQVVVAAVRKFDVEIPSDSSMLYESDAVDGMPAIHGRRRLLHTGIERTRSQAGILSFLTAGEKAAQSPWRLCTVTQVEELKCVLRLLPVWATGIIYAAAYTQVTTTFILQGDTLDRSLGRFKVPAAALSIFHTLSVILWVALYDRAIVPLARRVTRHDSGFTQLARMGVGLVILTVAMAAAGALEAARRRLIARPSVFWQVPQYAVVGASEVFTLIGQMEFFYDQAPDAMRSLCSALSSTSFALGDYASSALVVVAARRGGAPGWIPDDINRGHLDYFFWLLTALCVANFAAYLLIARWYTYKKTVD >ORUFI01G44170.1 pep chromosome:OR_W1943:1:36220716:36221831:1 gene:ORUFI01G44170 transcript:ORUFI01G44170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSPEPCNSISDCSQQQSHHLTLTQQQDSTIICTNQELDYYYRFYDVDEAAFDGNEVELVSRFSKVTRMDHMISSPYQPTWSPAQAAVDVVGSSETSRVRKKRFWDVLESCKQKVEAMEAMDTPATATFRVGAGDGGGGGGGGAGGGGGGADGMRLVQLLVACAEAVACRDRAQAAALLRELQAGAPVHGTAFQRVASCFVQGLADRLPLAHPPALGPASMAFCIPPSSCAGRDGARGEALALAYELCPYLRFAHFVANACMLEAFEGESNVHVVDLGMTLGLDRGHQWRGLLDGLAARASGKPARVRVTGVGARMDTMRAIGRELEAYAEGLGMYLEFRGINRGLESLHIDDLGVDADEAVAINSVLXA >ORUFI01G44180.1 pep chromosome:OR_W1943:1:36222702:36224646:1 gene:ORUFI01G44180 transcript:ORUFI01G44180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSPQMQKTKKLVRVRKKVEVVLPVEVLPPLPPSLAIICKHKVHEWITPEDYTFRLLDLNLVLTLAAVGCAGLGYFLFPWNRALGMALAAAFYVDFFAHAKASMLLHWDVFPRFVLAILDRGRRLMAVACVAIVVVCVLRFGTQDGVRRATLFIWTVAMALAALTGWVLRVDRRVSAAAEFVELDDDTSLWIIRKDGSLAAVADIEGDTTFYLLTYRGIIPTGYDVVVHTAKPCVTCDEGIDDFLTCRKNGGTITEDEGIDGFDV >ORUFI01G44190.1 pep chromosome:OR_W1943:1:36225804:36226310:-1 gene:ORUFI01G44190 transcript:ORUFI01G44190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAQLLVACAEAVAPRQRCRPARRAFQRVASFFVQELLDRRASAGAGPCEHGVLHPAVVVREAYAEGLGMYLEFRGINRGLESLHIDDLGVDADEAVAINSSVPIKMAAKAREWLDENAGGDGYTVADEKVCLVLGWKGKPVIAASCWKC >ORUFI01G44190.2 pep chromosome:OR_W1943:1:36226315:36226580:-1 gene:ORUFI01G44190 transcript:ORUFI01G44190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDKFSSSYQPSWPPAQATVDVVGSVETYAARQEEEVLGSASRRLRPWRLWRRRRRRRFGKVRVRGLVVTVAVAVTLAEEGRS >ORUFI01G44200.1 pep chromosome:OR_W1943:1:36229930:36230742:-1 gene:ORUFI01G44200 transcript:ORUFI01G44200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYGTARLYVDLPLLVGKQFGYTSPRSSLMAADPSPQMHKTKKLVRVRKQVEVVLPVEVLPPLPPLAVICKHKEHVWITQDDYTFRLLDINLVLTLAAVGCAGLGFFLFPRNRALGMALAAAFFVGFFAHAKASMLLHWDVFPRFVLAILDRGRRLMAVACVAIVVVCVLRFGTQDGVRRATLFIWTVAMALAALTGWVLRVERRVSAAAEFVELDGDTSLWIIRKDGRLAAVADIEGDTTFYLLTSRGIIPTGYDVVVHTAKPCVTCV >ORUFI01G44210.1 pep chromosome:OR_W1943:1:36233014:36233356:-1 gene:ORUFI01G44210 transcript:ORUFI01G44210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAQLLVACAEAVVCAATARRRQRCRPARPCTARRSSASHTSSCRSSWTGEHPPALGPASMAFCILRRARGRRDGVRGEAFRTCGSRTSWRTRARCKPSRERATTTWWTWA >ORUFI01G44210.2 pep chromosome:OR_W1943:1:36233361:36233624:-1 gene:ORUFI01G44210 transcript:ORUFI01G44210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDNFGSPYQQAWPPAQATVDVVGSVETCKQKVEALEAIETPARRRQRRFGKVRVRGLVVTVAEEGRS >ORUFI01G44220.1 pep chromosome:OR_W1943:1:36238807:36243744:-1 gene:ORUFI01G44220 transcript:ORUFI01G44220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALAQLQDIGDRITDRLRPWSRSAEFWVRAADIYTSYKVCQLRAGFVKDEEEREAMWEQQHELGAQKMYSICSELGGLFLKAAQILGKPDLAPMAWVKRLVTLCDNAPATPIDVVRDVVEKQFGKSFDDIFECFDVEPVGSASIAQVHRARLKLSKTDVAVKVQHPGAEKLMMVDIRNMQAFALFLQKYDINFDLYSATKEMEKQICYEFDFVREAKAMERIREFLRVTNKKKPPVIVPRVIPEMVSREVLVMEFIEGTPIMNLGNEMAKRGIDPGGKIATMAKQKILTDLTLAYGQMILKDALLDYGQVKAMPEDLRLAYANLVIAMADDDFLRTKESFREIGIETWSIADNELEELFQLSLRMFDTRLPPGVTAMSPFAEDSSLNKVGVQSFPEELFSVLRTIQLLRGLTVGMGLRFSCAQQWKPIAEEALLNCKIKKAAEKFCQETVFE >ORUFI01G44220.2 pep chromosome:OR_W1943:1:36238807:36243744:-1 gene:ORUFI01G44220 transcript:ORUFI01G44220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALAQLQDIGDRITDRLRPWSRSAEFWVRAADIYTSYKVCQLRAGFVKDEEEREAMWEQQHELGAQKMYSICSELGGLFLKAAQILGKPDLAPMAWVKRLVTLCDNAPATPIDVKYDINFDLYSATKEMEKQICYEFDFVREAKAMERIREFLRVTNKKKPPVIVPRVIPEMVSREVLVMEFIEGTPIMNLGNEMAKRGIDPGGKIATMAKQKILTDLTLAYGQMILKDALLDYGQVKAMPEDLRLAYANLVIAMADDDFLRTKESFREIGIETWSIADNELEELFQLSLRMFDTRLPPGVTAMSPFAEDSSLNKVGVQSFPEELFSVLRTIQLLRGLTVGMGLRFSCAQQWKPIAEEALLNCKIKKAAEKFCQETVFE >ORUFI01G44230.1 pep chromosome:OR_W1943:1:36244151:36246758:1 gene:ORUFI01G44230 transcript:ORUFI01G44230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAAVRRMHAAAAAAAAAQPPRLTKLALHPPRSVEVEFTDGSSFHLSAEFLRVHSPAADSKIISVSGEKVIFGRRYVGIMSAESVGNYGIRILFDDLHKTGIFTWDYLHHLGSKKFSLTRNYIRTLRKHGLSRDPQRRK >ORUFI01G44240.1 pep chromosome:OR_W1943:1:36247563:36255179:-1 gene:ORUFI01G44240 transcript:ORUFI01G44240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKEICLEGFKSYAGRTVVSGFDPLFNAITGLNGSGKSNILDSICFVLGITDLRQVRAASLQELVYKQGQAGVTKATVSIVFDNSDRSRSPLGYEDSPEITVTRQIVVGGRNKYLINGHLAQPSRVQTLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYEMKKEAALKTLEKKQNKVDEINKLLDEEILPALEKLRKERCQYMKWANGNADLDRLKRFCIAYEFVQAERVRDGALNDVKQIRAKIVELDESTEKLKSEIQEMDKNISNLAAEKEAKLGGEMKTLSEKVDKLSHALIKETSVMNNQEETIKSEEKGAEKILKNIEDIKRSIIERDTAVKNAEDGAADMKKRADDLTKELDESEKEYQGVLAGKSNANEKKCLEDQLRDAKAAVGEAESGLKQLTTKISHSEKELKDKKAQLVSKRDEATAAENELKAREKDLETVKASMQSVNYEEGQMEALQKDRSIELDAVQKLKDKIRALSGELANVHFNYRDPVKNFDRSKVKGVVARLIKIKDSSTATALEVAAGGRLYNVVVDTETTGKQLLQNGDLKRRVTIIPLNKIQTGTIPERVRQAARRLVGAENVTLALELVGYVEEVKNAMTYVFGSTFVCRNMESAKEVAFNREVGSTSVTLEGDIFQPSGLLTGGGGDLLRQLHELAKAEADLANHEKRLSVIEQKIVVLLPLQKKFTELKSQFELKSYDLSLFQNRVEQNEHHKLGELVKKLEQELQESKQELKAKQAQYEKSVSTVSELEKTIKTYGSEREGRLKALERKIKSLKSELQSMSKQLKAHESERERLIMEKDAVANELAMLEEQLTTSKAQIAAMTETLNRHQTKVASIKQDYDQAESELNIGRSKLKECDSQINSMAKEQQKLQQQLSDSNVERKKMENEVKRMEIEQKDCSSKVDKLVEKYSWIATEKQLFGKSGTDYDFVSCEPHKAREELENLQAQQSSLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKSKIKTVIEELDEKKKETLKVTWLKVNKDFGSIFSTLLPGTMAKLDPPEGGTFLDGLEVRVAFGTVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVIFRTKFVDGVSTVTRTVPSKQR >ORUFI01G44250.1 pep chromosome:OR_W1943:1:36256325:36260567:-1 gene:ORUFI01G44250 transcript:ORUFI01G44250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAVVAALLLLWPSPVSSEAAAGEGRRLHTLFSVECGDYFDWQAVGLLHSLRKAGQPGGVTRLLSCAADQLPSYRGLRIGHTLQVPSYSRHPRTGDWYPAINKPAGVVHWLKHSVEANNVDWVVILDADQIVRGPIIPWELGAEKGKPVAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILAMHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYSFGAAEVGLRHKINDDIMIYPGYTPRIGIDPLILHYGLPFKVGNWSFSKLEHHEDGIAYDCNRLFPPPPFPREVEMMESDPNVKRGLYLSIECINTLNEGLVLHHASVGCPKPQWSKYLSFLKSRRFSELTKPKHWNGQTIENRNIVQHVASLKEDHKLPKIHTLFSTECTPYFDWQTVGLMHSLRVSRQPGNITRLLSCSDEDLKNYKGHDLAPTHYVPSMNRHPLTGDWYPAINKPAAVLHWISHVETDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIDDLRRFAMLWLHKTEEVRADKAHYATNITGDIYSSGWISEMYGYSFAAAELGLHHIIRRDILIYPGYVPVPEVHYKVFHYGLRFGVGNWSFDKADWRNVDVVNTCWAKFPEPPDPTTVMKEDQAARERDLLSIACGRALNKALYWHHKSRDCPRPSPVDGASKKFQKVTASNDLGGGNTEQSFIHNIKQKSHIDVAKLKPIERVAATISSVHRARRLARSSRIWIIAVWAASVAVFLLVISMFFTDRRRSVSRSRISRSQKAHV >ORUFI01G44260.1 pep chromosome:OR_W1943:1:36268391:36271925:-1 gene:ORUFI01G44260 transcript:ORUFI01G44260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAFPYGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCGLARVALDILRERKNKFDIVISDVNMPDMDGFKLLEHIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEDQESSDGNTVKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQNEERILGAARQDFSHKGTSENLNLRSSFQEQPSNIANGYPHASQNIQTQANMLDSQLEDTKSTVPLPVPDKKRTLASDAADSQNVTSASSLGGVLSFKSMPVNQDRKPSETMILECQAWTGGIPSKQFMQYPKHNHERCDLLGDYSCLPKPDLEHPVGPSNLYAPPPLISMSCGMEGDARDFSDVKPAIMDCIKSLSPALTCTVDSVSVQLSDSVVTSIDGDLKSSGVDGLPSIKDCCLDQTNSQGSLRPSQEPSIIGSTELASLPEDLPSYPLHGVSLENIGLSSIDLLNYSDAMILSGLQSNWYDDLEFSSEMMDYPSIDECLFASS >ORUFI01G44260.2 pep chromosome:OR_W1943:1:36268391:36271925:-1 gene:ORUFI01G44260 transcript:ORUFI01G44260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAFPYGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCGLARVALDILRERKNKFDIVISDVNMPDMDGFKLLEHIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEGNDSCDDLQILRNSFEGLDEKSLFMRSDSDTMRKRKDVDKDHADQESSDGNTVKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQNEERILGAARQDFSHKGTSENLNLRSSFQEQPSNIANGYPHASQNIQTQANMLDSQLEDTKSTVPLPVPDKKRTLASDAADSQNVTSASSLGGVLSFKSMPVNQDRKPSETMILECQAWTGGIPSKQFMQYPKHNHERCDLLGDYSCLPKPDLEHPVGPSNLYAPPPLISMSCGMEGDARDFSDVKPAIMDCIKSLSPALTCTVDSVSVQLSDSVVTSIDGDLKSSGVDGLPSIKDCCLDQTNSQGSLRPSQEPSIIGSTELASLPEDLPSYPLHGVSLENIGLSSIDLLNYSDAMILSGLQSNWYDDLEFSSEMMDYPSIDECLFASS >ORUFI01G44270.1 pep chromosome:OR_W1943:1:36287095:36287577:1 gene:ORUFI01G44270 transcript:ORUFI01G44270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTSYLPASAAPWIELSTTGLGYNTATGEHKVVRLFKKRNGGEYSCEVYTQGAGGWRRCVGRVPPCAANFLPALPPVFVHGYLYWLLRPAGPGEEPIHRILSFSMGAEQFGWVYVPPRARGCLPASATSPTSTARCGPSSTTASSAASTGSSRGAARCS >ORUFI01G44280.1 pep chromosome:OR_W1943:1:36289389:36291994:1 gene:ORUFI01G44280 transcript:ORUFI01G44280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVGKAAPAKARLDIAENTIFQRNRGIWDAAAACTNERLLDAVDEILDLAEAQPFPAASAAAARLDSALRAAMSLMMDEFMCLRVWNASSHDLRLAVDRLAVGASANALWQHTFPSTGDRSSSASTVGRASAGSPSSAPGDLVGFLDGEFLDELQLLCPASLLVLHEIAHRVIRAGYTKELVQKFTNSPCDVLDRFLSIFQGECSRRTTVDLIKRWSLATHLVGKALVVMQRQLYTHNSPGAFDALKDEYFLAITKNRILNLLKFADDFTSITSHEKLIYILGMYQALSEAAPGLLLMFTGPHKELVAERSEEILAKLAMSIRSMVASLIAKVRDGVSNTKNIVGVGVHPLTKYAVLCIERLAPHRDTLDLILASGGDDVASLSDLASRVVGSLEEKPVLPCDDDATAAATGSRHHLFHANNANFVLQSCKPLLGDEWPAARESVVERHVAGYAEACWAPVVACLEPAGRKPAAKVVAKFSAAFDRAYESQARCEVRDPALRDALRRAVSDKVVTAYGVYLKTHPKLEKKLRYTAGELGERLSELFEGEAAEHNK >ORUFI01G44290.1 pep chromosome:OR_W1943:1:36292067:36294349:1 gene:ORUFI01G44290 transcript:ORUFI01G44290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAGKAAEDVAAASTMTRAEYRLAVAEQVILGWHCARSSGADLAGVWDSDATCTNESLLSAVDEVLLLAEIHAFPMASAARRSMQDALDVAVSCLMEEFLRLKVWDASQMEVRLGVRFAVGKFSMPAADSGVSLSLAFPTTGSISTASTIDELYASGGSQSSRVGAVTMLLDGEFFDELDLISPASLSVLHQIALRVIRAGYTKELLHAFTNAPCDVLDRFLTILQMECAFETDHVSFEDAEWWTAEDMIKRWILATKLVAKALAVMQRQLQAQSCGAFDRFKNDYFMAIAKNSIFVLLRFANGFTTTEAPDKLVYVLEMYEALSNATPGLLLLFTEQRVELVSRQVEVVLAKLARALRAMIGGLIARIRTADCPQTTGSAARGVGVHPLTRYAMTCVELLSPHRAALDLILANGAGESVTSLGSLVAVLVTSLERHLEEINPKLSNDDDDAAAAAAASRHLFLATNASYVARRAVDAGVEPLLGDWWAARRGSLIARYVASYVEACWAPVAACLETAGRKPVKVAAKFSSAFDEAYESQVHREIPDPALRDALRKAASEMVVPAYSAYLQNHPKLQKNVRHTAGELDRLLWELFEGEAAERNKLLL >ORUFI01G44300.1 pep chromosome:OR_W1943:1:36297716:36301618:-1 gene:ORUFI01G44300 transcript:ORUFI01G44300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEEHPGYHFPMRQVEKDGQVVRHQLASMVELIKVPKIEQEEGNADSHGKEKADVVHEEKTEKVKRRRKRVSDPQRKKACVDCTKRCIRIHGMASSSSEKARPTPTLPSFFKIMVGYFSENMDIPLPFARTITDMTGSNVYLEDAYGLRWRVRLYLHDDVLSFGHGWKNFVLDHDISVGEFLVFRQIARSVFTVQIFAISACERIHLCERNKRQSRKRKPGRKTGYPANNQMVKVSSKDVVKRRKKQRTDEQIYDLDPRQHDMPVRVCIDSGSELRCSESSVKELDAAPDKSHAVVQVPATECNADPSYNAAGMKTIKNLEAIGASSSTKDVTWDANKSEDYPSFSYPESSNVMTADKESERSHQDRPMQLYCELGLEDGNAETENCENSNVLENAELRTPLAMMDLNEVGIDDIFLSADIYEFDSDFCSPEAFSVDVNTEGLVANGRTPGDCFGVPETSRCLENKQMTDVPRTSTDDGSIAVHGIDINALPSNTYPDIDAAPDDCKKDKDVLHSECNKVAQKGNNSKGQESGGTKSCVVLAVAANSKKFCITIPPPDQTWLELPRRLPVLPRTKKQARKILILKDPSMRLWPVLYQCTPKFNGFIAGWADISRENNLREGDTCEFELCSNSELSFQVLVPNLQ >ORUFI01G44310.1 pep chromosome:OR_W1943:1:36306858:36308792:-1 gene:ORUFI01G44310 transcript:ORUFI01G44310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAFAFGRYISPAADPCDCDLESKKEEKKILSPIRPTDEAVRGLSRARLITDASPIGQPGVWIIARFFQNNLAVIGTGALMGGWLWLATPADKSQQAWGAGDFGRSRWLRLCFSLRNKNFSVSHRIFDWIGRCF >ORUFI01G44320.1 pep chromosome:OR_W1943:1:36310293:36314042:1 gene:ORUFI01G44320 transcript:ORUFI01G44320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGGRPLSLRGSLKALEADIHHANTLAHAIHRAYGGACVQMRLSYSSMAPIILNLIQWMDCSCSLSYTLPSYLGLLEVLVYKVYVDEDASISTNIERRASLKEFYAVIYPFLQQLEGNLMEKDCNEKGWCKEAASGGGGRKLYADDKDDEREDECGICLETCTKMVLPNCNHAMCINCYRDWYTRSQSCPFCRGSLKRVRSRDLWVLTGDDDVIDTVTLEKENVRHFHSFIDSLPLIVPDNLLLVYYDYLV >ORUFI01G44330.1 pep chromosome:OR_W1943:1:36315038:36316920:-1 gene:ORUFI01G44330 transcript:ORUFI01G44330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENVEENRRSLRELLFTTPGALQHLSGVILFEETLYQKTKDGKPFVDVLKEGGVLPGIKVDKGTVEVAGTNKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLKIGPNEPSQLSIDLNAQGLARYAIICQENGLVPIVEPEILVDGSHDIERCAYVTEKVLAACYKALNEHHVLLEGSLLKPNMVTPGSESKKVSPQLIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATVNLNAMNKLSTKKPWALSFSFGRALQQSTLKAWGGKTENVVKAQKAFITRCKANSEATLGTYQGDAVLGEGASESLHVKDYKY >ORUFI01G44340.1 pep chromosome:OR_W1943:1:36319536:36324032:-1 gene:ORUFI01G44340 transcript:ORUFI01G44340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEAAADAVLAAASRAFCGPAAVFIQIQGCLICLTLGLGWAVAALVRKKEIRRMRRRIVDGNSFAFLCDDVNELEHSVQEKLPRVSVIMPLKGFGEHNLQNWRTQITSLYGGPLEFLFVVESKDDPAYHAVSRLIAEYKDKLEAKVVVAGLSTTCSQKIHNQLIGVEKMHKDSKYVLFLDDDVRLHPGTIGALTKEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSIGFATGGKTFFLWGGCMMMHADDFRQDLYGVVSGLRDGGYSDDMTLAAIAGQHKRLISSPPVAVFPHPLSSDLSFSRYWNYLRKQTFVLESYVSKVNWMMNRALFASHCYLSWGFVWPYIMALVHVMVALRAPYSEIVKDASNSSCELVSMWNLTKVEIQLCNMLSPEGPKVSLGSYNWGLVFIAVLVDNFLYPISAFRSHFSQSINWSGIRYHLKDGKISKIERENKLKYTDLGGKHLYGKRTYPSKTSLLGYLSRTVAQWHQPKKYDV >ORUFI01G44350.1 pep chromosome:OR_W1943:1:36327490:36341138:1 gene:ORUFI01G44350 transcript:ORUFI01G44350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDAHLHVVSRWPPGRSSSSPSVSASPVHVSMTTEQVAENIKEEIAVWRGLKSYGLRTKNGAGLVHGSPRSPLPRERPPARPPPEDLEGGARAAAEPIRWDSYGGEEVAAGCPSRARREVEEEGIVGEVVVGCVTCRFGVHRYPVVPSNHPCKSKLLKS >ORUFI01G44360.1 pep chromosome:OR_W1943:1:36331626:36340026:-1 gene:ORUFI01G44360 transcript:ORUFI01G44360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPTEASLSGVEPLMQKIQSEIRRVDASILAAVRQQSNSGTKAKEELAAATNAVQELMHKIHEIKTKAEQSESMVQEICRDIKKLDCAKRHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDVPKITELREKFKNIKKILKSHVFSDFTSLGTGKETEDATLLQQLSDACLVVDALEPSVREELVKNFCSKELTSYKQIFEGAELAKLDKTERRYAWIKRRLRSNEDTWKIFPPSWHVDYLLCIQFCKITRAQLIDILNNLKEKPDVATLLLALQRTLEFEEELAEKFSGGVTTTRNKESASDDENEDTGRNKIVSDIRKKYEKKLAVPNDEIGHDKDKQKDLSIPGAGFNFHGIISSCFEPYMSVYIELEEKSLVDQLDKLIQEEKWETEEGSQTYILSSSMQVFLIIRRSLNRCSALTKNETLFNLFQVFQRVLKAYASKLYARLPKGGTGIVAAATGTDGQIRTSDRDEKMICYIVNTAEYCHQTSGELAENVAKMINPHFADKVDISEVQDEFSAVIMKALMTLVHGLETKFDAEMVAMTRVPWSTLESVGDQSEYVNGVSSILSSSIPVLGNLLSPTYFQYFLDKLAASLGPRFYLNIYKCKHISETGAQQMLLDTQAVKTILLDIPSLGKQSTAAASYSKFVSREMSKAEALLKVILSPVDSVANTYRALLPEGTPLEFQRILDLKGLKKADQQTILEDFNKHSPAIKHPAVAPTVAPPVATSSAHHIAPVTTPTVSTTPSMATLTGALANREDVLARAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >ORUFI01G44370.1 pep chromosome:OR_W1943:1:36341253:36342704:-1 gene:ORUFI01G44370 transcript:ORUFI01G44370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPTIIPLRPNYSPPGDGAAFRREPATYSRFPPAAARNECDLTFGQERKREGMKGKPPISRKIIYNHRDFVSMGERFVALCFIFTMSPCIDATLFVRVVLDFVRREETRRDEMPRTKLVLKLIENEKKRKATFKNRRDGLKQKVSQFATLCGVEALLICVAPAVAGGEVTTWPPDRAAVLDLIARLRATPPEKIRQLHNTQSLLRDDLDKQQRLLLKVQKCGADDVLTPWHCSLYDLSLDGLNALHDTLSETLDRAHRRIAALGGGHGHVHDDAASSSEFSVPAPAPHAVALPDNAFDFPFAPSNTGPVVGAHYFYPLHDTLPLPLPLPQQVPGQHPPCIAYQMPPPPCLAYQMPPPPPPSLAAAPFDKCMSATGFMDSNPYATHIMHGGSTAAGLLDDHGQIFSAGAGYDDDDILGHGFGFAAGTGYDLDPRMATADVWPMNTLNNIPNDGGIGFQLQNDLKWMLPGGSNGSNLQGGFQI >ORUFI01G44380.1 pep chromosome:OR_W1943:1:36343227:36344235:-1 gene:ORUFI01G44380 transcript:ORUFI01G44380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPSSSAPPRPGAGVATMAAGWRGHGDGDGAALGAAVGRSVSALCGSSDGRRRRQIWSPRLAGVNGDGLVLSLDEAF >ORUFI01G44390.1 pep chromosome:OR_W1943:1:36345302:36346278:1 gene:ORUFI01G44390 transcript:ORUFI01G44390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSMPPSTSSSAPSASPGSSATVAGRRYPWTRRTGSSPPDSAPASASSSLAIPCWGWGTGQRRGGRTLRGGGEPESEWGHLVVVGAGEVAVDREGPKRRARRRGRAPSGDGVGDRRGSRRRRRRRGHHLIRLPLPLPLPLIRRLSHGPRPCASPPPPRRS >ORUFI01G44400.1 pep chromosome:OR_W1943:1:36346322:36346873:1 gene:ORUFI01G44400 transcript:ORUFI01G44400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNQATAPASFSAISATAPAPAPVTVHARRRLNLRARSSPEGKEDGKGANETGEVADCRGCIT >ORUFI01G44410.1 pep chromosome:OR_W1943:1:36348204:36353600:-1 gene:ORUFI01G44410 transcript:ORUFI01G44410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADLNVDPPESDGEDQPPTPNPNVNPATAAVTAATVVAIDSSTRSSNDEGSLAKSVITTKEPDTVECEDADQHCQGASVAREEKVSNLKAALVHVARKMPKNAHAHFMLGLMYQRLGQPQKAIAAYEKSSEILLQDEEEVRRPDLLSSVRIHHAQCILQTSMGDTFDEELESGELDEILVKMKSSVESDPRQAAVWNILGLVLLRSGQLQSAISVLSSLTVVAPDYLDSLANLGVAYIQSGNLELATKCFQELVIKDQNHPAALVNYAALLLCKYGSFAAGSGGNVSAGSCLHQKEGLAVAKECLLAAVKADPKAASVWVNLANAYYMAGEHRNSKRCLEQAAKHEPSHMPARYAIAVHRIRDAVRSQCSDDQLLWASNEMATVLKEGDPSAVDAPIAWAGLAMAHRAQHEIAAAYDTEQINLSDVEERALYTLKQAIQEDPDDAVQWHQLGLYNICTTQFSRSVNFLKAAVARSPDCSYVWSNLGIALQLSDDSSCETVYKRALILSSSQQSYAILSNLGILYRQHGRYELARRMLLRSLELCPGHAPANNNLGLVSIAEGRYEEAISCFEKSLQSDPLLDAAKSNLAKVLALSKKQ >ORUFI01G44420.1 pep chromosome:OR_W1943:1:36362424:36363473:1 gene:ORUFI01G44420 transcript:ORUFI01G44420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIPSPRRLFRSRSKSIGGAGGGVGADICAMVAEHEKIEWEVRPGGMLVQKRRAPEEQDDGSSSMSAHSGADAIVVRVSTGWQWHDVSIDSTATFGDLKVMLSLVTGLWPRDQRLLYKGKERDDGDHLHMVGVQDKDKVLLLEDPAVKERKLRSTTLAQLMGVPCHSFIEV >ORUFI01G44430.1 pep chromosome:OR_W1943:1:36365547:36370824:1 gene:ORUFI01G44430 transcript:ORUFI01G44430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAEIAGDESPPPLPPSEGGEASGAPSTSSPSDADGGGKPSPRTSKPGRKRLVLTASVLLSFLIGLPLLLKSTEIHRSPLPSDAIAALSRRLHSNPPSFPCGLHAVFLRSGRDPSEASVANRIEREISTQLVDLPDASTAGKISVSVTVESAGGCSSSSKVASPWRCGAVTTADLGRGDEVFDELLDSALGDGGGDGMRVYTVVFVDSDDLKRIVIGKHRHAWVVGKVDEAEVVSIIGKVFVKYFMNGGVEEGEASTVKREFMPVGSDGNIVLSFSLLNADPSDWVYDWEFENIGQRMLTPVIEALRPIANINIESQVLYHTPKSSYSYSDDKLGGNVLSVGDIPFFVNSNEWHLDTSISATGRSKVLQFVVYIPSARECPLYLQLPDGELSKTNAFISPMWGGVVIWNPPGCSFGSKPHGALDKMSSEELMETIEIFIGQLRQLFGLKSSYHTQSMDGVTKFITSPKGLQYAIICINQGGLLSKLEDPNYMSTAEILNVPDKILDAICLISICLIVQSLPRMIVMDEIGRQVELSLEAASLAQRNASLGIISATRARALAEDAFFHPSVMSISYASIEHYFAIYMPFFAPVSLHVLLAVMKELKRYMVERRKYSAFLASQATSS >ORUFI01G44430.2 pep chromosome:OR_W1943:1:36365547:36370824:1 gene:ORUFI01G44430 transcript:ORUFI01G44430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAEIAGDESPPPLPPSEGGEASGAPSTSSPSDADGGGKPSPRTSKPGRKRLVLTASVLLSFLIGLPLLLKSTEIHRSPLPSDAIAALSRRLHSNPPSFPCGLHAVFLRSGRDPSEASVANRIEREISTQLVDLPDASTAGKISVSVTVESAGGCSSSSKVASPWRCGAVTTADLGRGDEVFDELLDSALGDGGGDGMRVYTVVFVDSDDLKRIVIGKHRHAWVVGKVDEAEVVSIIGKVFVKYFMNGGVEEGEASTVKREFMPVGSDGNIVLSFSLLNADPSDWVYDWEFENIGQRMLTPVIEALRPIANINIESQVLYHTPKSSYSYSDDKLGGNVLSVGDIPFFVNSNEWHLDTSISATGRSKVLQFVVYIPSARECPLYLQLPDGELSKTNAFISPMWGGVVIWNPPGCSFGSKPHGALDKMSSEELMETIEIFIGQLRQLFGLKSSYHTQSMDGVTKFITSPKGFAQWELDLLYRHHACSNLLSCLTTLESLSSLVQSLPRMIVMDEIGRQVELSLEAASLAQRNASLGIISATRARALAEDAFFHPSVMSISYASIEHYFAIYMPFFAPVSLHVLLAVMKELKRYMVERRKYSAFLASQATSS >ORUFI01G44440.1 pep chromosome:OR_W1943:1:36371812:36378785:1 gene:ORUFI01G44440 transcript:ORUFI01G44440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPPPAAPAAEPVPPWLRSLPVAPEFRPTAAEFADPVSYILKIEPAAAPYGICKVVPPLPPPPKKATFSNLSRSFAALHPDDRSPSFPTRHQQVGLCPRRTRPGLKPVWRSSHRYTLPQFESKAGATRKSLLAGLNFPASRQLTPLDHEVLFWRASADRPIVVEYGSDMSGSGFSPCAAQPQPPPQQQPTARAAAHLGETAWNMRGVARSPGSLLRFMPEDVPGVTTPMLYVGMMFSWFAWHVEDHDLHSLNYMHLGAAKTWYGVPRDAALAFEDVVREHGYGGEVNPLETFATLGQKTTVMSPEVLVESGIPCCRLVQNAGEFVVTFPGSYHCGFSHGFNCGEASNIATPEWLRIAKEAAIRRASINRPPMVSHYQLLYDLALSMRFREPSNGEMETRSSRIKEKKKCEGEQLVKKMFIQNVIEDNELLSHLLNDGSSCIILPANAHDGPGLSTLRSTDQSNMNSRISHNLCSREEAPEASGCLSPNRNGDTRNCISSDTHNMEGDKGDIMSATGLLDQGLLSCVTCGILSFSCVAVLKPRDSTARYLMSADSNSINNQLSISGGSILADAPTNERNGVISRPYSEHCCNEIMADDAEIDKNSALDLLAFAHGGQPDPEEDPLEKILKIAHGINKSQPNSSNNVGCVGTKLSSSSTERQERPSSQNAHCNGSSVISNGPKGVRTRNKYQLKMVLSEGFQAKDIYSAKEKKVQSEPSSSKGDVKETIDVSGTENDVGCKSTTISVSEHRGSTKNMYSVKEKKVQSKPSSLKGTVKETVDVSGTENDARCKSITISVSEHRGSTPMTNSLAASIVKPDKDSSRMHVFCLEHAIEVEKQLHAIGGSNIMLICRPEYPKIEAEARLLGEEIGLVYDWKGIHFKEANMEDRQKIQEVLRDEEAIPTSSDWAVKLGINLYYSANLAKSPLYNKQMPYNRVIYRAFGCDSPNDSPVMFNTCERKQSHQKKIVVAGRWCGKVWMSKQVHPYLAHRVESQEAEEADRICSYHFDEKHKAEPVGNSSRVEASKRKSSSLTDVTESSNRRGEIPGEETNTKRPKHSQENNLRALETAAEVVVPSPAGTGLRVSSRIANRANKLKSKMEKEDVPSSRPKSNIKEKSSHASGQKSNVQEANANSASHLRAMPPKQKAEAEAKKQIRTPKPPKQAVEYSCDIEGCSMSFRTKRDLSLHKSDICPVKGCGKKFFSHKYLLQHRKVHTDDRPLTCPWKGCNMAFKWPWARTEHLRVHTGDRPYVCHEPGCAQTFRFVSDFSRHKRKTGHSVKKKKKAKS >ORUFI01G44450.1 pep chromosome:OR_W1943:1:36380139:36381254:1 gene:ORUFI01G44450 transcript:ORUFI01G44450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVISSWRVLAVVAALMAMAAVELCAAIPFDERDLESDEALWDLYERWQEHHHVPRHHGEKHRRFGAFKDNVRYIHEHNKRGGRGYRLRLNRFGDMGREEFRATFAGSHANDLRRDGLAAPPLPGFMYEGVRDLPRAVDWRRKGAVTGVKDQGKCGSCWAFSTVVSVEGINAIRTGRLVSLSEQELIDCDTADNSGCQGGLMENAFEYIKHSGGITTESAYPYRAANGTCDAVRARRAPLVVIDGHQNVPANSEAALAKAVANQPVSVAIDAGDQSFQFYSDGVFAGDCGTDLDHGVAVVGYGETNDGTEYWIVKNSWGTAWGEGGYIRMQRDSGYDGGLCGIAMEASYPVKFSPNRVTPRRALGAKETQ >ORUFI01G44460.1 pep chromosome:OR_W1943:1:36395127:36397958:1 gene:ORUFI01G44460 transcript:ORUFI01G44460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFELREIFQAYGDVKDVRESALRPSNKFVEFFDTRDADRALHELNGKELFGRRLVVEYTRPSLPGPRRRGHVSHQPLAPTPPRLQAAWRPAPAPSQSAQPSSSGSGKAREGVVLLRRSSGKGSSGSQSKGGGNAGHERKSKGGKSAAAACSTAASASSQKLLLNMLDNHCILSNQQIEASCEDEAQPFSSYDFLYLPIDFNNKCNVGYGFVNLTSPEAAVRLYKAFHKQPWEVFNSRKICQVTYARVQGLDALKEHFKNSKFPCDSDEYLPVVFSPPRDGKLLTEPVPLVGRSPAPSSASGASSPPKSCAASVDPLAQELMTAPSSSGDGASSASSSNAHADEDDVHGETGGDRGDDAGLDLELQRLGYTD >ORUFI01G44470.1 pep chromosome:OR_W1943:1:36409287:36412031:-1 gene:ORUFI01G44470 transcript:ORUFI01G44470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPRSPPGAPPPPEFEISRQSRLLAALSKKVIDLDELRMLAAQGVPDGAAVRPTVWKLLLGYLPSDRALWEQELAKKRSQYAAFKEEFLSNPMEIARQRELEGQGSENAGSIYNGLLHRSEITQEEHPLSLGKTTAWNQFFEYSEIIEQIDRDVKRTHPDMHFFCGDSSFAKSNQESLKNILIIFAKLNAGIRYVQGMNEILAPLFFVFRNDPDDKNANFAEADSFFCFMELLSGFRDNFCQKLDNSAVGIQGTLSKLSQLVAKYDGELQRHLEITTEINPQFYAFRWITLLLTQEFNFADTIHIWDTLLSDPDGPQETLLRICCAMLILVRKRLLAGDFTSNLKLLQNYPPTNISHLLYVANKLH >ORUFI01G44480.1 pep chromosome:OR_W1943:1:36415058:36420919:1 gene:ORUFI01G44480 transcript:ORUFI01G44480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGVRAAGDAAAGDAAAAADVDVITSSGRRRIPAHSTVLASASPVLESILQRRLKKERDAAAGGGKVRRAVVLIRGVTDDAAAAFVRLLYAGSSGDEEEIDEKSAAQMLVLAHAYRVPWLKRRCEGAIGSRLTAESVVDTMQLAALCDAPQLHLRCTRLLAKEFKAVEKTEAWRFLQENDPWLELDILQRLHDADLRRRKWRRKRAEQGVYVELSEAMDCLSHICTEGCTEVGPVGRAPAAAPCPAQFRRKEEEKAAAKAKAKAGDDDDKWGLLVKKVRVARAMSSLGKRRQMSCSQC >ORUFI01G44490.1 pep chromosome:OR_W1943:1:36420270:36421653:-1 gene:ORUFI01G44490 transcript:ORUFI01G44490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVATYFAMTFGAFLFWQSMDRVHVWIALHQDEKKERMEREQEIKRMQAELMAQAKESES >ORUFI01G44500.1 pep chromosome:OR_W1943:1:36422814:36426008:-1 gene:ORUFI01G44500 transcript:ORUFI01G44500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGLPARDLRVLDPLLSYPSTILGRERAIVVNLERVKAVITAAEVLLPNSKDPAFASFVCDLQARVLASSSDQAAEFTDMEGESSAVTSPFPALTSTTPNELEMTNKNSNVVGGMTHSNSMPTLTAAKDGNTKVLPFEFRALEVCLESACRSLEEETSTLEQEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMYLTEKLTRQEISETSSRVEVDDPSQLEVDRDEDYRSEADVSNGTFIGYKPHIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVITAGVAVVGLFGMNIGISLYADPTNEEEKRASNMKFWETTLGTIAGCTVMYIVAMGWGKRSGLLQ >ORUFI01G44510.1 pep chromosome:OR_W1943:1:36433393:36437749:1 gene:ORUFI01G44510 transcript:ORUFI01G44510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCACVRECVCISLIAYEMAASSLDAEAAAAGQQDKAGSGSYTTAATAHQIDTDSWQQVGVLLVVGFNCAYVLSFSNLMMAPLGWGWGIACLLFIGAASWYANWLLAGLHVIDGQRFIRYRDLMGFVFGRKMYYITWFLQFITLILGNMGFILLGGRALKAIHAEFSSSHSPARLQWFIAATGFVYFAFAYFVPTISAMRNWLATSAALTVAYDVALIVILIKDGKSNKQKDYNVHGTQAEKVFGAFGAIAAILVCNTSGLLPEIQSTLRKPVVSNMRRALLLQYTAGAAVYYGISVAGYWAYGAAVSEYLPDQLSGPSWATVLINATAFLQSIVSQHLFTVPIHEALDTQMQRLDEGMFSRYNLGRRLLARGLVFGANAFVTALFPFMGDFVNLFGSFVLFPLTFMFPSMVVLKIKGKDEAGRWNRIWHWGIIVASSVLSVVTTAAAVRLIVHNASVYHFFADM >ORUFI01G44510.2 pep chromosome:OR_W1943:1:36433393:36437749:1 gene:ORUFI01G44510 transcript:ORUFI01G44510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCACVRECVCISLIAYEMAASSLDAEAAAAGQQDKAGSGSYTTAATAHQIDTDSWQQVGVLLVVGFNCAYVLSFSNLMMAPLGWGWGIACLLFIGAASWYANWLLAGLHVIDGQRFIRYRDLMGFVFGRKMYYITWFLQFITLILGNMGFILLGGRALKAIHAEFSSSHSPARLQWFIAATGFVYFAFAYFVPTISAMRNWLATSAALTVAYDVALIVILIKDGKSNKQKDYNVHGTQAEKVFGAFGAIAAILVCNTSGLLPEIQSTLRKPVVSNMRRALLLQYTAGAAVYYGISVAGYWAYGAAVSEYLPDQLSGPSWATVLINATAFLQSIVSQHVHISYTTFFSSSPLMA >ORUFI01G44520.2 pep chromosome:OR_W1943:1:36437182:36441044:-1 gene:ORUFI01G44520 transcript:ORUFI01G44520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGQSRSPRDGSGHGRYGHSPSFQQQWGGGGGGGGGYPYGQDAHGGGYYGAPAPQGGYAAPYPAYQQPPPPMPSPAATQPPARAGGASKPRLDRRYSRIADDYHSVDQVTDALAQAGLESSNLIVGIDFTKSNEWLGKFSFHGRSLHHISNAPNPYEQAISIIGQTLSKFDEDNLIPCFGFGDATTHDQDVFCFYPDLRPCNGFSEALSRYRELVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTASGQLSSQEQKTVDAIVRASELPLSIVLVGVGDGPWDMMKEFDDNIPSRAFDNFQFVNFSEIMNKNMPQSRKEAAFALSALMEIPQQYKATVELGILGRRSFKSPERVPLPPPGGSHDAYSYGSKSFSKPSTYPQSSTSSSSYPHYETAQSSSPAAPSSTYDNQVCPICLVNPKDMAFGCGHQTCCDCGQSLESCPICRSPISTRIKLY >ORUFI01G44530.1 pep chromosome:OR_W1943:1:36448150:36449670:1 gene:ORUFI01G44530 transcript:ORUFI01G44530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRLARKLPSLISKHQRLISPEIDVEQAAESPASSSSIPLDPSLPILPLAVSHLSPPSPLPALPSVHASTPAALLRILRRARHHPRLAALDLHLLLAAASDSPAFRPDHRLTSLLASRLAESRRLPSLRRLLELVLFRPCPCTDDSIFACPELLPTFRKAILAFAASGDIPAASDALASLRRAADSPLPAEFYNIILHALARLRRHEDTVRFYGEMTSTHRVHPDVYTFNILINSSCRAEGVDAAMRWFQEMRRWSCSPTGVSFNTLMRGFFREGRCKEGIKVAREMLQLGFGLSVASMEIMINGLCRGGEPLKAAEVFIEFLVDGVVPEGFDCLDLVESLCRVRNVEKAVEVVELILERNWVSCLGVPAGVTVLECLMKEGKLDKACQMMGRMVAAEIVPDTISCNYIFEALCEAGRTVDANRLRLQAKEKGFQADGFTYSIIVQGFGRQGIRKEGEAVLDEMLDAGYVPNIATYNRLLDGLHMGRSMQLQQKCSRHRNAAN >ORUFI01G44540.1 pep chromosome:OR_W1943:1:36451493:36452403:-1 gene:ORUFI01G44540 transcript:ORUFI01G44540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPATKPWQKHAPPRRPSYVPLWQRRVEEEEEKKKQRHAAAPPRAAAPEEQQQAEKTPRRTSTKRGDSARRAISANLTVLAAISNPNTKIGIVLRYVRFDLYFEDSVVAAQAVWPAPVQVAPGGSVPRRVHLVVSSVSVTRQDAALWRNATAKGGRPVALRLAGRFRTQLNFDRWFFRYRYWVKPQCTLWLDPPPSGALRRSRC >ORUFI01G44550.1 pep chromosome:OR_W1943:1:36453353:36454720:-1 gene:ORUFI01G44550 transcript:ORUFI01G44550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQPAGGSEPRSRARASGTAAPTTRGPAPQTHAPPQERRQEEIVHGVRHAVAPGDGGAGTSARPDRRTRFVTQVTEVPQSPVHGDAVAGEEAALARRPGKKPSYLPARLRRAEEGSHGRTPWSAPAGHPAPPAAVPEEPQEPRLGPPGLKPAKILDTHKSIERTSTIRDEDDGVTYPAEPAPPGRTFPAARPPQDEPGFATAARHPRTSPGTPALPGRSSPSPWRPHNEPGSYASPSPSSEAPARTPAKSPMLRPRNDKKRRPVAFCFTACCILFWLLVIAVGAAVLVIFLIYHPQSPRMRVTSATLNAGYVDELGIVGGARALNADLTVLAAIYNPNTKLHVVLRYMQLDLYFQGSMIGTQAVWPAPLHEGPRGSVLRSVHLVVSEVTMTQEDVYEWQNATSKGGPVVLHLAGRFRARLIFGRWFKFNYWVSPQCTLWLDPPPSGALRRARCS >ORUFI01G44560.1 pep chromosome:OR_W1943:1:36459137:36462439:1 gene:ORUFI01G44560 transcript:ORUFI01G44560.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTMGFWGVAVRPGETVMCDPPGEFYYHISQIALEPGELNENVQVFGEVDGKRILLGTLSVEHRPQLSIDLEYFSLTFCLAVCTSDSPTEEGDESDEEVPLAIPLFPNSDDDKIKEVQNSPSKFATLKSAAAASPTPEAIVEERKNYGKSEADDDDSDEESDASGEDEYDDDEDMIDKQDSSDDDGDSSDEEETPSKNTKGTNRHVETPLNTPPQKRARLATPIMDSKAGTGKRSGYVHVATPYPAKQAKKTPVNNDMAKQSSGYVHVATPYPAKQAKKRTANNDMSEHSAGYACKPCNKTFNTSMGLEAHSKAKHTATK >ORUFI01G44560.2 pep chromosome:OR_W1943:1:36459137:36462439:1 gene:ORUFI01G44560 transcript:ORUFI01G44560.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTMGFWGVAVRPGETVMCDPPGEFYYHISQIALEPGELNENVQVFGEVDGKRILLGTLSVEHRDESDEEVPLAIPLFPNSDDDKIKEVQNSPSKFATLKSAAAASPTPEAIVEERKNYGKSEADDDDSDEESDASGEDEYDDDEDMIDKQDSSDDDGDSSDEEETPSKNTKGTNRHVETPLNTPPQKRARLATPIMDSKAGTGKRSGYVHVATPYPAKQAKKTPVNNDMAKQSSGYVHVATPYPAKQAKKRTANNDMSEHSAGYACKPCNKTFNTSMGLEAHSKAKHTATK >ORUFI01G44570.1 pep chromosome:OR_W1943:1:36463812:36466244:-1 gene:ORUFI01G44570 transcript:ORUFI01G44570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGIEVKPGKAVSFNVDDECVIHISQVALGETKKAGSENVVVSVKVDGKKTVIGNLSAKNHPQFMCDLFIGNDFELSHSSKTTSVFLCDEDENMSDICDIMGKHLGRPVAEEGKKDNSDDGMDLSSSDDDDDSLDSSSSDDDDDESGDEDDGSDELPAEMESSEEEDGSDGQDESSSSMVSTDEEDESDEEDEIPEKPESSKLTAAGSTLSSGKKSKTATHFGQKTGDKNTHPAKEDGKTPAISKPNKETPESSGTHACKYCSKAFSSDKSLRSHQKARHPAK >ORUFI01G44580.1 pep chromosome:OR_W1943:1:36467213:36477445:-1 gene:ORUFI01G44580 transcript:ORUFI01G44580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKRSLESSSQEHEAGKQKLQKRECQDFTPRRYQLDVYEVAMRRNTIAMLDTGAGKTMIAVMLIKEFGKINRTKNAGKVIIFLAPTVQLVTQQCEVIEIHTDFEVEQYYGAKGVMVMTPQVFLQALRNAFLILDMVSLMIFDECHHATGNHPYTRIMKEFYHKSEHKPSISSHLDCEGQFCELENLLDAKIYTVSDREEIEFCVPSAKEMCRYYDSKPVCFEDLSEELGVLCSKYDALITELQNKRSDMYKDADDITKESKRRLSKSIAKICYCLDDVGLICASEATKICIERGQEKGWLKEVVDATDQQTDANGSRLFAENSALHMKFFEEALHLIDKRLQQGIDMLLNSESGCVEAAKTGYISPKLYELIQIFHSFSNSRHARCLIFVDRKITARVIDRMIKKIGHLAHFTVSFLTGGRSSVDALTPKMQKDTLDSFRSGKVNLLFTTDVAEEGIHVPECSCVIRFDLPRTTRSYVQSRGRARQEDSQYILMIERGNVKQNDLISAIVRSETSMVKIASSRESGNLSPGFVPNEEINEYHVGTTGAKVTADSSISIVYRYCEKLPQDKCYSPKPTFEFTHHDDGYVCTLALPPSAVLQILVGPKARNMHKAKQLVCLDACKKLHELGALDDHLCLSVEDPVPEIVSKNKGTGIGTTKRKELHGTTRIHAWSGNWVSKKTALKLQSYKMNFVCDQAGQIYSEFVLLIDATLPDEVATLEIDLYLHDKMVKTSVSSCGLLELDAQQMEQAKLFQGLLFNGLFGKLFTRSKVPNAPREFILNKEDTFVWNTASVYLLLPTNPSFDSNVCINWSVIDAAATAVKLMRRIYSENKRELLGIFDSDQNVGDLIHLANKSCKANSLKDMVVLAVHTGKIYTALDITELSGDSAFDGASDKKECKFRTFAEYFKKKYGIVLRHPSQPLLVLKPSHNPHNLLSSKFRDEGNVVENMSNGTPVVNKTSNRVHMPPELLIPLDLPVEILRSFYLFPALMYRIESLTLASQLRSEIGYSDSNISSFLILEAITTLRCSEDFSMECLELLGDSVLKYAVSCHLFLKFPNKDEGQLSSIRCHMICNATLYKLGIERNVQGYVRDAAFDPRRWLAPGQLSIRPSPCECPVKSEVVTDDIHIIDDKAIVLGKACDKGHRWMCSKTIADCVEAIIGAYYAGGGLRAAMAVLKWLGIGAEIEEDLIVQAILSASVQTYLPKDNVFEMLEAKLGYSFSVKGLLVEALTHPSQQELGAKYCYERLEFLGDAVLDILLTRYLFNSHKDTNEGELTDLRSASVNNENFAQVAVKHNFHHFLQHSSGLLLDQITEYVNRLEGSSMDKVELLSDGLPKGPKVLGDIVESIAGAILLDTKLDLDVVWGIFEPLLSPIVTPENLELPPYRELIEWCGKHGYFVGINCRDQGDTVVATLDVQLKEVLLVRQGFSKKRKDAKAHASSLLLKDLEEKGLIIPKNASKTEQFEKHCGSTNPFNNLHVDAMDTQTPKPTKEKNAADSRNISDPLLVHVIVKTSKGGPRIALYELCKKLQWPMPTMESEKVQPSFSSVCSSPGGSSQKATPQAFAFASTITLHIPNADVISLTGDGRADKKSSQDSAALFLLYELQRRGTLQLQEV >ORUFI01G44580.2 pep chromosome:OR_W1943:1:36467213:36477445:-1 gene:ORUFI01G44580 transcript:ORUFI01G44580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKRSLESSSQEHEAGKQKLQKRECQDFTPRRYQLDVYEVAMRRNTIAMLDTGAGKTMIAVMLIKEFGKINRTKNAGKVIIFLAPTVQLVTQQCEVIEIHTDFEVEQYYGAKGVMVMTPQVFLQALRNAFLILDMVSLMIFDECHHATGNHPYTRIMKVSLLIWIVKFCELENLLDAKIYTVSDREEIEFCVPSAKEMCRYYDSKPVCFEDLSEELGVLCSKYDALITELQNKRSDMYKDADDITKESKRRLSKSIAKICYCLDDVGLICASEATKICIERGQEKGWLKEVVDATDQQTDANGSRLFAENSALHMKFFEEALHLIDKRLQQGIDMLLNSESGCVEAAKTGYISPKLYELIQIFHSFSNSRHARCLIFVDRKITARVIDRMIKKIGHLAHFTVSFLTGGRSSVDALTPKMQKDTLDSFRSGKVNLLFTTDVAEEGIHVPECSCVIRFDLPRTTRSYVQSRGRARQEDSQYILMIERGNVKQNDLISAIVRSETSMVKIASSRESGNLSPGFVPNEEINEYHVGTTGAKVTADSSISIVYRYCEKLPQDKCYSPKPTFEFTHHDDGYVCTLALPPSAVLQILVGPKARNMHKAKQLVCLDACKKLHELGALDDHLCLSVEDPVPEIVSKNKGTGIGTTKRKELHGTTRIHAWSGNWVSKKTALKLQSYKMNFVCDQAGQIYSEFVLLIDATLPDEVATLEIDLYLHDKMVKTSVSSCGLLELDAQQMEQAKLFQGLLFNGLFGKLFTRSKVPNAPREFILNKEDTFVWNTASVYLLLPTNPSFDSNVCINWSVIDAAATAVKLMRRIYSENKRELLGIFDSDQNVGDLIHLANKSCKANSLKDMVVLAVHTGKIYTALDITELSGDSAFDGASDKKECKFRTFAEYFKKKYGIVLRHPSQPLLVLKPSHNPHNLLSSKFRDEGNVVENMSNGTPVVNKTSNRVHMPPELLIPLDLPVEILRSFYLFPALMYRIESLTLASQLRSEIGYSDSNISSFLILEAITTLRCSEDFSMECLELLGDSVLKYAVSCHLFLKFPNKDEGQLSSIRCHMICNATLYKLGIERNVQGYVRDAAFDPRRWLAPGQLSIRPSPCECPVKSEVVTDDIHIIDDKAIVLGKACDKGHRWMCSKTIADCVEAIIGAYYAGGGLRAAMAVLKWLGIGAEIEEDLIVQAILSASVQTYLPKDNVFEMLEAKLGYSFSVKGLLVEALTHPSQQELGAKYCYERLEFLGDAVLDILLTRYLFNSHKDTNEGELTDLRSASVNNENFAQVAVKHNFHHFLQHSSGLLLDQITEYVNRLEGSSMDKVELLSDGLPKGPKVLGDIVESIAGAILLDTKLDLDVVWGIFEPLLSPIVTPENLELPPYRELIEWCGKHGYFVGINCRDQGDTVVATLDVQLKEVLLVRQGFSKKRKDAKAHASSLLLKDLEEKGLIIPKNASKTEQFEKHCGSTNPFNNLHVDAMDTQTPKPTKEKNAADSRNISDPLLVHVIVKTSKGGPRIALYELCKKLQWPMPTMESEKVQPSFSSVCSSPGGSSQKATPQAFAFASTITLHIPNADVISLTGDGRADKKSSQDSAALFLLYELQRRGTLQLQEV >ORUFI01G44580.3 pep chromosome:OR_W1943:1:36467213:36477445:-1 gene:ORUFI01G44580 transcript:ORUFI01G44580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKRSLESSSQEHEAGKQKLQKRECQDFTPRRYQLDVYEVAMRRNTIAMLDTGAGKTMIAVMLIKEFGKINRTKNAGKVIIFLAPTVQLVTQQCEVIEIHTDFEVEQYYGAKGVDQWTGHGHDTTGVPTSFTQCFLNLGHGISSHLDCEGQFCELENLLDAKIYTVSDREEIEFCVPSAKEMCRYYDSKPVCFEDLSEELGVLCSKYDALITELQNKRSDMYKDADDITKESKRRLSKSIAKICYCLDDVGLICASEATKICIERGQEKGWLKEVVDATDQQTDANGSRLFAENSALHMKFFEEALHLIDKRLQQGIDMLLNSESGCVEAAKTGYISPKLYELIQIFHSFSNSRHARCLIFVDRKITARVIDRMIKKIGHLAHFTVSFLTGGRSSVDALTPKMQKDTLDSFRSGKVNLLFTTDVAEEGIHVPECSCVIRFDLPRTTRSYVQSRGRARQEDSQYILMIERGNVKQNDLISAIVRSETSMVKIASSRESGNLSPGFVPNEEINEYHVGTTGAKVTADSSISIVYRYCEKLPQDKCYSPKPTFEFTHHDDGYVCTLALPPSAVLQILVGPKARNMHKAKQLVCLDACKKLHELGALDDHLCLSVEDPVPEIVSKNKGTGIGTTKRKELHGTTRIHAWSGNWVSKKTALKLQSYKMNFVCDQAGQIYSEFVLLIDATLPDEVATLEIDLYLHDKMVKTSVSSCGLLELDAQQMEQAKLFQGLLFNGLFGKLFTRSKVPNAPREFILNKEDTFVWNTASVYLLLPTNPSFDSNVCINWSVIDAAATAVKLMRRIYSENKRELLGIFDSDQNVGDLIHLANKSCKANSLKDMVVLAVHTGKIYTALDITELSGDSAFDGASDKKECKFRTFAEYFKKKYGIVLRHPSQPLLVLKPSHNPHNLLSSKFRDEGNVVENMSNGTPVVNKTSNRVHMPPELLIPLDLPVEILRSFYLFPALMYRIESLTLASQLRSEIGYSDSNISSFLILEAITTLRCSEDFSMECLELLGDSVLKYAVSCHLFLKFPNKDEGQLSSIRCHMICNATLYKLGIERNVQGYVRDAAFDPRRWLAPGQLSIRPSPCECPVKSEVVTDDIHIIDDKAIVLGKACDKGHRWMCSKTIADCVEAIIGAYYAGGGLRAAMAVLKWLGIGAEIEEDLIVQAILSASVQTYLPKDNVFEMLEAKLGYSFSVKGLLVEALTHPSQQELGAKYCYERLEFLGDAVLDILLTRYLFNSHKDTNEGELTDLRSASVNNENFAQVAVKHNFHHFLQHSSGLLLDQITEYVNRLEGSSMDKVELLSDGLPKGPKVLGDIVESIAGAILLDTKLDLDVVWGIFEPLLSPIVTPENLELPPYRELIEWCGKHGYFVGINCRDQGDTVVATLDVQLKEVLLVRQGFSKKRKDAKAHASSLLLKDLEEKGLIIPKNASKTEQFEKHCGSTNPFNNLHVDAMDTQTPKPTKEKNAADSRNISDPLLVHVIVKTSKGGPRIALYELCKKLQWPMPTMESEKVQPSFSSVCSSPGGSSQKATPQAFAFASTITLHIPNADVISLTGDGRADKKSSQDSAALFLLYELQRRGTLQLQEV >ORUFI01G44580.4 pep chromosome:OR_W1943:1:36469242:36477445:-1 gene:ORUFI01G44580 transcript:ORUFI01G44580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKRSLESSSQEHEAGKQKLQKRECQDFTPRRYQLDVYEVAMRRNTIAMLDTGAGKTMIAVMLIKEFGKINRTKNAGKVIIFLAPTVQLVTQQCEVIEIHTDFEVEQYYGAKGVMVMTPQVFLQALRNAFLILDMVSLMIFDECHHATGNHPYTRIMKEFYHKSEHKPSISSHLDCEGQFCELENLLDAKIYTVSDREEIEFCVPSAKEMCRYYDSKPVCFEDLSEELGVLCSKYDALITELQNKRSDMYKDADDITKESKRRLSKSIAKICYCLDDVGLICASEATKICIERGQEKGWLKEVVDATDQQTDANGSRLFAENSALHMKFFEEALHLIDKRLQQGIDMLLNSESGCVEAAKTGYISPKLYELIQIFHSFSNSRHARCLIFVDRKITARVIDRMIKKIGHLAHFTVSFLTGGRSSVDALTPKMQKDTLDSFRSGKVNLLFTTDVAEEGIHVPECSCVIRFDLPRTTRSYVQSRGRARQEDSQYILMIERGNVKQNDLISAIVRSETSMVKIASSRESGNLSPGFVPNEEINEYHVGTTGAKVTADSSISIVYRYCEKLPQDKCYSPKPTFEFTHHDDGYVCTLALPPSAVLQILVGPKARNMHKAKQLVCLDACKKLHELGALDDHLCLSVEDPVPEIVSKNKGTGIGTTKRKELHGTTRIHAWSGNWVSKKTALKLQSYKMNFVCDQAGQIYSEFVLLIDATLPDEVATLEIDLYLHDKMVKTSVSSCGLLELDAQQMEQAKLFQGLLFNGLFGKLFTRSKVPNAPREFILNKEDTFVWNTASVYLLLPTNPSFDSNVCINWSVIDAAATAVKLMRRIYSENKRELLGIFDSDQNVGDLIHLANKSCKANSLKDMVVLAVHTGKIYTALDITELSGDSAFDGASDKKECKFRTFAEYFKKKYGIVLRHPSQPLLVLKPSHNPHNLLSSKFRDEGNVVENMSNGTPVVNKTSNRVHMPPELLIPLDLPVEILRSFYLFPALMYRIESLTLASQLRSEIGYSDSNISSFLILEAITTLRCSEDFSMECLELLGDSVLKYAVSCHLFLKFPNKDEGQLSSIRCHMICNATLYKLGIERNVQGYVRDAAFDPRRWLAPGQLSIRPSPCECPVKSEVGVV >ORUFI01G44590.1 pep chromosome:OR_W1943:1:36477362:36479427:1 gene:ORUFI01G44590 transcript:ORUFI01G44590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFEKRAYENEGFEVGNNDLRTQQHLETTTRRYYDTSSRPPPAAAGRMADPTVSPCTLSSLSLSLFHSSPHQKGKTTF >ORUFI01G44600.1 pep chromosome:OR_W1943:1:36479513:36485908:1 gene:ORUFI01G44600 transcript:ORUFI01G44600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGAPDLADFMNDWFFGTVGTRRSGGGAYDLTGDQSSGKRPSAPAEKKQQQQQGRSGGGGGGSASKQTQEWLEEARRMVGAGSPGRKGVGSPSRQVPRFAAAAAAGTEPSPSLDRRDPMSRSARRHRQPGGIGDEILQRASISSSPPRADPFASAPASPAHSLPPNPPSSRRKSRFHYPSAPDAFSSSSAYRRAASSASTSPTSAAKPHHRRHASASSSSSPAAVDVFDDGVARLNSFLRRQRTAIGELGFGGRPASRSAVAELGSGGRPSSRSTKIVLSDASKSVSSIAAAICYAWMLASKEDAEAAVPVVNMRRGRMERCRQAAWLLHHVGVDASALLFADEVDMEGLMMDKRVSLVVVGQDVLKPNDKMGSVCTILTNNYCEDAYSLLQSLDIKKLLLAGILLDTKNLSNMCSNRDSEAVQLLLFGTSEHMRHELFQQLLLDHNDHSFVEYLKNNYREPSTKGEGNSPLDQKHSVSASGSSQDAKKPNSNNQRPVHGNGGKTSDETPRGKNKFFLAKWGLHPNLLFLYLRIGEETRVKDIMLLFFYSQLSPWGISQDKKKKREIEKLTIGRRRQAQANGYEVSDIASRCMWVTC >ORUFI01G44610.1 pep chromosome:OR_W1943:1:36484320:36485288:-1 gene:ORUFI01G44610 transcript:ORUFI01G44610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLFSVPSCFSSGEKLPDIPSSGTAAAAAAARQSAVTLVYRAEISGHRRLVTVTWCRNLLTHGLSVSIEGSAGNGKDKIGREYGEAAVAATAADGGGGGGKSCSACKVEMQPWHFWRKYGAKQFQVDGNAIDVVWDLRSARFSDEPEPLSDYYVAVVAGEEVVLLLGNLKKDAFRRTGSRPSLQDAVLVCKKEHVFSKKRFVTKARFSDRGKLHDISIECSSSNLTGGTDVDMAIKIDGCVSVLVRHLQWKFRGNECISINKLKVQVYWDAHDWLFGTGMRHALFIFKPEPPSPSPPGASSEFSTDEYSDFCLFLYAWKVE >ORUFI01G44620.2 pep chromosome:OR_W1943:1:36487802:36494681:-1 gene:ORUFI01G44620 transcript:ORUFI01G44620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDFWGVVIEPCNKKEIFCPEDTLYHLTSVALDEAYGLKDSNVKVFVEVNDEKFAIGTLSSKRHPHIKVDFCFKKNFQLFHTSLISKVAFCGYQVKNLGKFTDSEGDESDEEVPPGIRLYPKLDDNMIKEAQKSKLPAKSATVASAMQKAFVKEIEHYEKSKADDDNNERYIDISVVGESTGNEDSSDDADYEGKSSDEEETTAKEGESTDEKETPAKEGESSDEEETPAKNTKEENEPVVTPLKTFPHEMAKIEAPITDNKTGTNTSKRGSHLQVTNPHPAKQAKRTPIKNDTPKRSASYVCNSCKK >ORUFI01G44630.1 pep chromosome:OR_W1943:1:36508524:36514029:1 gene:ORUFI01G44630 transcript:ORUFI01G44630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPSDSSTLTEGEKGGESADSATTATKTAAARRRQRRLPGRIFIFRQFWKLQVHMACLLNVLCSAPILPANHRVLLQAADTSTTMVNTGESSNHAFES >ORUFI01G44630.2 pep chromosome:OR_W1943:1:36508524:36510279:1 gene:ORUFI01G44630 transcript:ORUFI01G44630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPSDSSTLTEGEKGGESADSATTATKTAAARRRQRRLPGRIFIFRQFWKLQVHMACLLNVLCSAPILPANHRVLLQAADTSTTMVNTGESSK >ORUFI01G44630.3 pep chromosome:OR_W1943:1:36508524:36510279:1 gene:ORUFI01G44630 transcript:ORUFI01G44630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPSDSSTLTEGEKGGESADSATTATKTAAARRRQRRLPGAQVLCSAPILPANHRVLLQAADTSTTMVNTGESSK >ORUFI01G44640.1 pep chromosome:OR_W1943:1:36515159:36516310:-1 gene:ORUFI01G44640 transcript:ORUFI01G44640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGGGGGGGGGKRRLYLVLDDSKHGYGFRRIDMDEYYFEADDSGDDSALERMTEYLPSRRCLRVKAPRHQAMLFKALGTKIIATHPSPTRAAIPAYDARAQAVSAGPAWPPGEGDSTLPSCSTVFVPVGDRLYALDGGGDSGRAVSFQVLFPSGGDGWWSWSSVASAAAPPFDPSRITSYAAHPNGRAFFVSVARKDAPFFPTLSRGWPWLHAGSTFCFDTESLEWTDYGCWMLPFQGQGHYDAELDAWVGICRHPDKPGRLCSSDVPAPQIRGRDSRWRVPSCKIGKDVLFCKDKERHRGVALHYMGSDSNFCLLECVEQPAATASRLMYVRMFRLKYGKDGGLRTATLGRWGRCFLLPPEASSFDVMDQKITAFLMCIT >ORUFI01G44650.1 pep chromosome:OR_W1943:1:36520819:36521617:-1 gene:ORUFI01G44650 transcript:ORUFI01G44650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRRRHRPLLLSLFSPLDAGSCTGAARSGRRWWSEQWGVGMAEVGEKNEGEGARRHNHGWVFLFAPSSLAQFESSLRSLLSTWFQNTHVNGSKVQKTPSLSSLGHLNLPTWFMHDPLSVAALVAIVEAWSRRVRGCNFRRDGVLSHYITSLSPLSHSSSPIRAPAQRCGRCH >ORUFI01G44660.1 pep chromosome:OR_W1943:1:36521634:36524326:1 gene:ORUFI01G44660 transcript:ORUFI01G44660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAGGGEDAAAAGARLRERPARGLWLGADCAERGREKGGRSGHPARHRHRRDLEEAIPAILVIFSLYPSKPIEWRGLGGWEGINLITPMGEFSLRNSYQITEQSLREERKRREKPFSPTALVLDRVCRPTAPVLSRVCRGKHSDEY >ORUFI01G44670.1 pep chromosome:OR_W1943:1:36526826:36529307:1 gene:ORUFI01G44670 transcript:ORUFI01G44670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWELLFWDLLGRGKKKMKKRRELLKIRGNLTAERTDFLRKMHSHVICDLQEEGQRLDFYVEEKELISEVSVPGSESTLRISTEVCRAVLFKIIKRFQSMHSAGFSLGGFEHKILFVTSDFEVKIGGISRVKDFTKTRGSKDYKSIGKIAREVIFSSVTNLPVDIEQLLDLLTDNPMEQTALLGMHYSLLDPLTQVSEFLWWHKRLIHLKDINPEKFQRIMENIPTGNNWMSRAVENKYIRKVSLGIPKRRKGLVHTTEEVKPETTAASQGTGIEGVKPKTAVGQDTNIEDPKPETATPKCKYSEDSWGQSLLCRNSIEHLDRWAALRRIKKKKSGGKIRTVKVQFEEMGRCYIIIQAFSKFFGELQKALAEENELPQKKQ >ORUFI01G44680.1 pep chromosome:OR_W1943:1:36530061:36533532:1 gene:ORUFI01G44680 transcript:ORUFI01G44680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLAILGRSGLPRSNEGMRLLFSAVIGVMLGYLFGISFPTVNVTKPLLFREKRTDCILQLHFPSSIISYIEDKDSGITTQTLLNHAWTSANSKKRNNSESNSDEILKIYVPTNPKGAEGLAPGIVVPETDLYLRRLWGEPSEDLTSQPRYLITFTVGYSQKANIDAAVKKFSENFTIMLFHYDGRTNDWDEFEWSKRAIHVSVRRQTKWWYAKRFLHPDIVAPYDYIFIWDEDLSVQHFNAEAYIKLVRKHGLEISQPGLEPDKGLTWQMTKRLGDQEVHKFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGNQGTAENGRTPWEGVS >ORUFI01G44680.2 pep chromosome:OR_W1943:1:36529976:36533532:1 gene:ORUFI01G44680 transcript:ORUFI01G44680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLAILGRSGLPRSNEGMRLLFSAVIGVMLGYLFGISFPTVNVTKPLLFREKRTDCILQLHFPSSIISYIEDKDSGITTQTLLNHAWTSANSKKRNNSESNSDEILKIYVPTNPKGAEGLAPGIVVPETDLYLRRLWGEPSEDLTSQPRYLITFTVGYSQKANIDAAVKKFSENFTIMLFHYDGRTNDWDEFEWSKRAIHVSVRRQTKWWYAKRFLHPDIVAPYDYIFIWDEDLSVQHFNAEAYIKLVRKHGLEISQPGLEPDKGLTWQMTKRLGDQEVHKFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGNQGTAENGRTPWEGVS >ORUFI01G44690.1 pep chromosome:OR_W1943:1:36534647:36535876:-1 gene:ORUFI01G44690 transcript:ORUFI01G44690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRGNGGYQYGAYSYGYGYSKPQVNYHSQSSESVTTVVTKMDEMSVHENAVQKMSSSFREEKVYEQQGGAGGDVQVCRNGGAAAGAVQKHTYEEKKEEEVYEESNHGGGGGYARQHGAGGGQKHAAYQHEVLKGYESAGGGYAAARHNGAGAGGVKKQYSYREEEEYDAGGYARHNGGGVQKQYSSYRRDEEECDAAAGGGYGRHHGAGGGAVKQHATYKQHQAIEGVNGCGAGGYNYNRHQAVAVAGGGQHHYGGATAAAYGNASNKQHFTAAAAGHHSSGGHTQYHHQSYECEEEDSDEDDCEDDDESDDDDDESDDDDDDGHYPPSRQGSVHSYHQAAYQHEEKQHAGGRNHYHAYERHEEHGGGAQRYQKYESSTQVGYAGGGGGNGGCVRGNAKPCFRIMA >ORUFI01G44700.1 pep chromosome:OR_W1943:1:36543566:36543874:-1 gene:ORUFI01G44700 transcript:ORUFI01G44700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYYSEVDHCAEEMNRPPHAGGEHYAVRRESYEEVDEMARAGRGHHHGNGGGGGHLGYSGSRHGDAHLGGHREEHLVHGDEHRHGHGGGRQYDSCTGQYYG >ORUFI01G44710.1 pep chromosome:OR_W1943:1:36546874:36547161:-1 gene:ORUFI01G44710 transcript:ORUFI01G44710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYQEVDYCSEEVRSVTPTGGFLGRGGVQQQHVVKETFQEIDRSGSGRHHHNHNHNHNHGNDYLMVRETKVEEDFNTCTGEFRERKQSFLLKSD >ORUFI01G44720.1 pep chromosome:OR_W1943:1:36548725:36556468:-1 gene:ORUFI01G44720 transcript:ORUFI01G44720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSRVASPPPSPKDHDTWAIATDLARPHTLRILTHQNQTTGLLTPPHAAFLPDPNLPRRLPFPSSSSTPTAAAPPDSGEPSRARARTETYRTGDMNPYDLRYADPSSYRDRRSDLAGAPVLAASAPAAANPYAAAYAPAPAAPVAPAGGDFSRFGGRGRGGGAGGGGWGRGGGGGGGAGGYRGGGGRGGGRDALDSLSLPKPDFRSLIPFEKNFYVECPAVQAMSDMDVSQYRRQRDITVEGHDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDMIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQQESGKFGSYSRTRSTCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEGGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIIRPDRQTLYWSATWPREVESLARQFLQNPYKVIIGSPDLKANHSIQQIIEVISEHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKVTRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLDVKDIKCVINFDFPTTLEDYIHRIGRTGRAGASGTAFTFFTLSNAKFSRNLVKILREAGQVVNPALESMAKSASSMGGGNFRSRGRGGSSAREHPHPPPPPSSTRPHRRLLSALRPPVAPPTRPSPLRGGANLNHPTPRGAKASGGCRSPMDSGGTASPAGVAGDGAGGRGSVFRGDDAPKLLAALKEMKEGLDLVTGKVKALTRKVKKNQLPTADGIGYLEAKHHLLLSYCQDIVYYLLRKAKGLSVEGHPVVRSLVEIRLFLEKIRPIDKKMEYQIQKLTNAADSGAAQEKVLNAEAKSKDQPKDDEDLLKYRPNPDLMDTKIDPAGQDNDGIYRPPKFIAATMDDEDKRHKQASRKDKALVRMATESSYFKEIIDDAADRPEELKETAGDESREFTRYMRQRELQEKQEEELFTRAPLTKRDKQTEKRMRKELHGLRGLTDGFDLGINMFVDGDKDNDVGSTEPHFKSGGRRKHHKGKRKRH >ORUFI01G44720.2 pep chromosome:OR_W1943:1:36548725:36556468:-1 gene:ORUFI01G44720 transcript:ORUFI01G44720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSRVASPPPSPKDHDTWAIATDLARPHTLRILTHQNQTTGLLTPPHAAFLPDPNLPRRLPFPSSSSTPTAAAPPDSGEPSRARARTETYRTGDMNPYDLRYADPSSYRDRRSDLAGAPVLAASAPAAANPYAAAYAPAPAAPVAPAGGDFSRFGGRGRGGGAGGGGWGRGGGGGGGAGGYRGGGGRGGGRDALDSLSLPKPDFRSLIPFEKNFYVECPAVQAMSDMDVSQYRRQRDITVEGHDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDMIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQQESGKFGSYSRTRSTCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEGGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQAWLIRPDRQTLYWSATWPREVESLARQFLQNPYKVIIGSPDLKANHSIQQIIEVISEHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKVTRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLDVKDIKCVINFDFPTTLEDYIHRIGRTGRAGASGTAFTFFTLSNAKFSRNLVKILREAGQVVNPALESMAKSASSMGGGNFRSRGRGGSSAREHPHPPPPPSSTRPHRRLLSALRPPVAPPTRPSPLRGGANLNHPTPRGAKASGGCRSPMDSGGTASPAGVAGDGAGGRGSVFRGDDAPKLLAALKEMKEGLDLVTGKVKALTRKVKKNQLPTADGIGYLEAKHHLLLSYCQDIVYYLLRKAKGLSVEGHPVVRSLVEIRLFLEKIRPIDKKMEYQIQKLTNAADSGAAQEKVLNAEAKSKDQPKDDEDLLKYRPNPDLMDTKIDPAGQDNDGIYRPPKFIAATMDDEDKRHKQASRKDKALVRMATESSYFKEIIDDAADRPEELKETAGDESREFTRYMRQRELQEKQEEELFTRAPLTKRDKQTEKRMRKELHGLRGLTDGFDLGINMFVDGDKDNDVGSTEPHFKSGGRRKHHKGKRKRH >ORUFI01G44730.1 pep chromosome:OR_W1943:1:36559616:36567633:1 gene:ORUFI01G44730 transcript:ORUFI01G44730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGLPASATLLLLVIAAVAVAPLASAVRPVSDAHRSAAAELFAASPDGSFGDLETTYEAVRTFQILGVEKDKGLIGKACKFAAEKLASSSSSPAKDLFHAARISGVLKCSVDSGVYDDVATRLKAVIKDTNSLLELYYSVGGLLSIKEQGHNVVLPDADNTFHAIKALSQSDGRWRYDTNSAESSTFAAGIALEALSAVISLADSEVDSSMIAVVKNDIVKLFDTIKSYDDGTFYFDEKHVDAAEYKGPITTSASVVRGVTSFAAVASGKLNIPGEKILGLAKFFLGIGLPGSAKDCFNQIEVFVPLVLSLPSKVFSLTSKDQLKVEVTTVFGSAAPPLRVNLVQVLGSDSKVITTETKELQFDLDNNVHYLDIAPLKIDVGKYSLVFEISLQEQEHETIYATGGTNTEAIFVTGLIKVDKAEIGISDNDAGTVESVQKIDLQKDTSVSLSANHLQKLRLSFQLSTPLGKTFKPHQVFLKLKHDESKVEHLFVVPGSARQFKIVLVEYPIPQLDFLGLVEKFYYLSGRYDLELAVGDAAMENSFLRALGHIELDLPEAPEKAPKPPAQAVDPFSKFGPKKEISHIFRSPEKRPPKELSFAFTGLTLLPIVGFLIGLMRLGVNLKNFPSLPAPAAFASLFHAGIGAVLLLYVLFWIKLDLFTTLKYLSFLGVFLVFVGHRALSYLSSTSAKQKTA >ORUFI01G44730.2 pep chromosome:OR_W1943:1:36559616:36567633:1 gene:ORUFI01G44730 transcript:ORUFI01G44730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGLPASATLLLLVIAAVAVAPLASAVRPVSDAHRSAAAELFAASPDGSFGDLETTYEAVRTFQILGVEKDKGLIGKACKFAAEKLASSSSSPAKDLFHAARISGVLKCSVDSGVYDDVATRLKAVIKDTNSLLELYYSVGGLLSIKEQGHNVVLPDADNTFHAIKALSQSDGRWRYDTNSAESSTFAAGIALEALSAVISLADSEVDSSMIAVVKNDIVKLFDTIKSYDDGTFYFDEKHVDAAEYKGPITTSASVVRGVTSFAAVASGKLNIPGEKILGLAKFFLGIGLPGSAKDCFNQIEVFVPLVLSLPSKVFSLTSKDQLKVEVTTVFGSAAPPLRVNLVQVLGSDSKVITTETKELQFDLDNNVHYLDIAPLKIDVGKYSLVFEISLQEQEHETIYATGGTNTEAIFVTGLIKVDKAEIGISDNDAGTVESVQKIDLQKDTSVSLSANHLQKLRLSFQLSTPLGKTFKPHQVFLKLKHDESKVEHLFVVPGSARQFKIDFLGLVEKFYYLSGRYDLELAVGDAAMENSFLRALGHIELDLPEAPEKAPKPPAQAVDPFSKFGPKKEISHIFRSPEKRPPKELSFAFTGLTLLPIVGFLIGLMRLGVNLKNFPSLPAPAAFASLFHAGIGAVLLLYVLFWIKLDLFTTLKYLSFLGVFLVFVGHRALSYLSSTSAKQKTA >ORUFI01G44740.1 pep chromosome:OR_W1943:1:36567550:36572128:-1 gene:ORUFI01G44740 transcript:ORUFI01G44740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPAALLLTAATGSAALSVGVAAVGPRAPSLLLRSASATRRAPGRARPTRIRAAAAIGGEFGGLAQRRALAGEFIERLRNVLPGGSWWRLEDGEEAGGGRAEASGATAASALRRMWALVASDRWVVFVGFASLVGAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVVLCLISGVFSGVRSCCFGVANMILVKRMREMLFDSILSQDIAFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQKRAAKFAQEFTASANNVAQEVISLVRTVRVYGTEKQEYAKWLDKLYDVSFRQTMAYGGWSLSLNYLYHSTQVIAVLIGGISIMAGKLTAEQLTKFILYAEWLILSTWWIGDNWSSLMQSVGASEKVFRLMDLLPSRQLSHRLQKLEGRIQYADVSFSYPSRPTVPILGGLNLTLHPNEVVAIVGLSGSGKSTVVNLLLRLYEPTDGQILVDGVPLSELDIRWFRERIGFVGQEPRLLRMDISSNIRYGCPREVSNEEVEWAAKQAYAHDFIMSLPDGYNTIVDDALLSGGQKQRVAIARALLRDPSILVLDEATSALDAESEHYVKNVITKFSTDPKARRTVIIIAHRLSTIQAADRIIVMENGNIVEDGNHSELINKHDGLYSRLARRQNDALD >ORUFI01G44740.2 pep chromosome:OR_W1943:1:36567550:36572128:-1 gene:ORUFI01G44740 transcript:ORUFI01G44740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPAALLLTAATGSAALSVGVAAVGPRAPSLLLRSASATRRAPGRARPTRIRAAAAIGGEFGGLAQRRALAGEFIERLRNVLPGGSWWRLEDGEEAGGGRAEASGATAASALRRMWALVASDRWVVFVGFASLVGAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVKRMREMLFDSILSQDIAFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQKRAAKFAQEFTASANNVIAVLIGGISIMAGKLTAEQLTKFILYAEWLILSTWWIGDNWSSLMQSVGASEKVFRLMDLLPSRQLSHRLQKLEGRIQYADVSFSYPSRPTVPILGGLNLTLHPNEVVAIVGLSGSGKSTVVNLLLRLYEPTDGQILVDGVPLSELDIRWFRERIGFVGQEPRLLRMDISSNIRYGCPREVSNEEVEWAAKQAYAHDFIMSLPDGYNTIVDDALLSGGQKQRVAIARALLRDPSILVLDEATSALDAESEHYVKNVITKFSTDPKARRTVIIIAHRLSTIQAADRIIVMENGNIVEDGNHSELINKHDGLYSRLARRQNDALD >ORUFI01G44740.3 pep chromosome:OR_W1943:1:36567550:36572128:-1 gene:ORUFI01G44740 transcript:ORUFI01G44740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPAALLLTAATGSAALSVGVAAVGPRAPSLLLRSASATRRAPGRARPTRIRAAAAIGGEFGGLAQRRALAGEFIERLRNVLPGGSWWRLEDGEEAGGGRAEASGATAASALRRMWALVASDRWVVFVGFASLVGAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVKRMREMLFDSILSQDIAFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQVSEKSSQICTGVHCKCQQLLIGGISIMAGKLTAEQLTKFILYAEWLILSTWWIGDNWSSLMQSVGASHRLQKLEGRIQYADVSFSYPSRPTVPILGGLNLTLHPNEVVAIVGLSGSGKSTVVNLLLRLYEPTDGQILVDGVPLSELDIRWFRERIGFVGQEPRLLRMDISSNIRYGCPREVSNEEVEWAAKQAYAHDFIMSLPDGYNTIVDDALLSGGQKQRVAIARALLRDPSILVLDEATSALDAESEHYVKNVITKFSTDPKARRTVIIIAHRLSTIQAADRIIVMENGNIVEDGNHSELINKHDGLYSRLARRQNDALD >ORUFI01G44750.1 pep chromosome:OR_W1943:1:36585198:36588608:-1 gene:ORUFI01G44750 transcript:ORUFI01G44750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAGSSAPHSHGNPGKQGGGGGGGGGRGKAPAAEIRGEAARDDVFFADDTFPLLPDFPCLSSPSSSTFSSSSSSNSSSAFTTAAGGGCGGEPSEPASAADGFGELADIDQLLDLASLSVPWEAEQPLFPDDVGMMIEDAMSGQPHQADDCTGDGDTKAVMEAAGGGDDAGDACMEGSDAPDDLPAFFMEWLTSNREYISADDLRSIRLRRSTIEAAAARLGGGRQGTMQLLKLILTWVQNHHLQKKRPRTAIDDGAASSDPQLPSPGANPGYEFPSGGQEMGSAAATSWMPYQAFTPPAAYGGDAMYPGAAGPFPFQQSCSKSSVVVSSQPFSPPTAAAAGDMHASGGGNMAWPQQFAPFPVSSTSSYTMPSVVPPPFTAGFPGQYSGGHAMCSPRLAGVEPSSTKEARKKRMARQRRLSCLQQQRSQQLNLSQIHISGHPQEPSPRAAHSAPVTPSSAGCRSWGIWPPAAQIIQNPLSNKPNPPPATSKQPKPSPEKPKPKPQAAATAGAESLQRSTASEKRQAKTDKNLRFLLQKVLKQSDVGSLGRIVLPKKEAEVHLPELKTRDGVSIPMEDIGTSQVWNMRYRFWPNNKSRMYLLENTGDFVRSNELQEGDFIVIYSDIKSGKYLIRGVKVRRAAQEQGNSSGAVGKHKHGSPEKPGVSSNTKAAGAEDGTGGDDSAEAAAAAAAGKADGGGCKGKSPHGVRRSRQEAAAAASMSQMAVSI >ORUFI01G44760.1 pep chromosome:OR_W1943:1:36592019:36598587:1 gene:ORUFI01G44760 transcript:ORUFI01G44760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVSSSSAAAGAASSSSSRKKDLHAGKKLLAEYRKKKNKAAKKAAETADQATAPVPDTLENPPPNATSTNSGDKLVSDVDVNTTSTSSVPPVYENGPTSYSRGDEPLSNGPAPVNVPVSVSNPAPSHDVSSVGGSKIYGNLSFSDLVNGHNEDWRGDAARKREEHSPDKDVTLKSKLSAFGNSDEVSHSSDEVLLSQGRNPVLREVSDTEQSSSYSSGSLFGKLEGTQSQGYSANNDIFGRFRATSKDSSQADGLAYASSREYGSAFNNSWNTSNSTPVCFDKQDPFMSSGYPTTYNRSRPSFLDSIGVQRAPTTEVPYVEPAKASKPFGNSNSESSFFQPPNQQSAGSNDVDGSLKSGRQEYNNEKGSYGNSIRTDSFPSKDERNLHHSTQMFQNFTIPGKDDDFAALEQLIEDLTKEKFALQRTLEKSQELAQTLATDNSALTDKFNQQAQVISNLTSDMERLQNEIQAQLLALESVRTEYANAQLECNAADERAKVLAAEVILLEDKALRLRSSELKLEKEIEGLSSEISSYRRKVSSLEKERQHLQSTVEALQEEKKLLHSKLRNTSVTEKVNIIEKPSADKRDASTATEDLDTGESSSSETLTSAIDTVEDGETSVSRSNNVADFTYLEEVSSSIPHDQLRMIDNINSLMSELAVEREELLRALRIESSNCSKLKELNKDLTQKLEIQTHRLELLSSQRMANENVLPKPIDTRSINDATLYADEGDEVVERVLGWIMKLFPGGPKRRTSKLL >ORUFI01G44760.2 pep chromosome:OR_W1943:1:36592019:36598587:1 gene:ORUFI01G44760 transcript:ORUFI01G44760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVSSSSAAAGAASSSSSRKKDLHAGKKLLAEYRKKKNKAAKKAAETADQATAPVPDTLENPPPNATSTNSGDKLVSDVDVNTTSTSSVPPVYENGPTSYSRGDEPLSNGPAPVNVPVSVSNPAPSHDVSSVGGSKIYGNLSFSDLVNGHNEDWRGDAARKREEHSPDKDVTLKSKLSAFGNSDEVSHSSDEVLLSQGRNPVLREVSDTEQSSSYSSGSLFGKLEGTQSQGYSANNDIFGRFRATSKDSSQADGLAYASSREYGSAFNNSWNTSNSTPVCFDKQDPFMSSGYPTTYNRSRPSFLDSIGVQRAPTTEVPYVEPAKASKPFGNSNSESSFFQPPNQQSAGSNDVDGSLKSGRQEYNNEKGSYGNSIRTDSFPSKDERNLHHSTQMFQNFTIPGKDDDFAALEQLIEDLTKEKFALQRTLEKSQELAQTLATDNSALTDKFNQQAQVISNLTSDMERLQNEIQAQLLALESVRTEYANAQLECNAADERAKVLAAEVILLEDKALRLRSSELKLEKEIEGLSSEISSYRRKVSSLEKERQHLQSTVEALQEEKKLLHSKLRNTSVTEKVNIIEKPSADKRDASTATEDLDTGESSSSETLTSAIDTVEDGETSVSRSNNVADFTYLEEVSSSIPHDQLRMIDNINSLMSELAVEREELLRALRIESSNCSKLKELNKDLTQKLEIQTHRLELLSSQRMANENVLPKPIDTRSINDATLYADEGDEVVERVLGWIMKLFPGGPKRRTSKLL >ORUFI01G44770.1 pep chromosome:OR_W1943:1:36599128:36603438:-1 gene:ORUFI01G44770 transcript:ORUFI01G44770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHMASVPAALRRAATLARWYPAAMFSSGIVPENKPILVRDFVRSALYDPNHGYFSKRSGPVGVLDSSIRFNQLDGRSAYMQYLDKLYKKHDIAWFTPVELFKPWYAYAIAASILRTANLSVPLKIYEIGGGSGTCAKCILDYMMLNAPPKVYNTMKYISVEISSSLAEKQLETVGEVRSHLSKFMVECRDATDRAGWGRKDPQPCWVLMLEVLDNLPHDLVYSPDQVSPWMEVWIEKVNGSSQGSEVYKPLQDPLVSRCVEITGINEEKVSVRDKLSLAAKGVVSKVFPKPRRAWLPTGCLKILDTLHQALPSMSLIASDFSYLPDVSIPGDRAPLVSSKKDGRTLDHRNYLEAQGDADIFFPTDFWLLEKIDHDCSGFSKQQKNPGAFKPVKTRRTIILDSAAFMEEFGLPLKTRTKDGYNPLLDDFRNTKFYLSVPTHNKK >ORUFI01G44780.1 pep chromosome:OR_W1943:1:36603730:36607905:1 gene:ORUFI01G44780 transcript:ORUFI01G44780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGGGGGGEAPTPVTGVAGDPRHEQRMRLVRKASELATRCAVPVALSGPAIGGVCEPLRWPSMDKARDINNRYKALPENGRRKISVGDAADIANQAATQQPQGVPAGGESASAAGVAAAFGAMTEEELRELLRSIDCSLAAASHAIQKAADEAEQKLSLQRAGTLMAVDSSSQDAVPPHAAPMDMGDEVQGAQPPPDRWFEEEREPVICSKKKPEPCSAPPPPPPPANRNGVAYEAEQRRACALMVDSQEDAAPPPPPPASGNGVADDGEYINLGGYMIERNRFEAIWREHAIPPPQSLLPDDDGGEPLRLGAALKLEKTAQKNENGCEGKIKAHWTPHKKATSSFLFAKIKEEARTWTMAGAKPSTPSTSLSRQSA >ORUFI01G44790.1 pep chromosome:OR_W1943:1:36616184:36617271:1 gene:ORUFI01G44790 transcript:ORUFI01G44790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGGGGGEARVTPAVAGEQRRAALEMRKERLVRKASSLATRCDVPVAVICPGVGAGGEPTWWPSKEEVWAIATRYKSLPEKDRRKHSVDNASYCENQAAAKQGPGGGGGELAMAAAQVDGIAAMPDVELLELLRSIDVSLAAASDTIQKAADEAEQSVSLERAHAHAHAGALMVDSQEDAAPPPAASGNGVAYDGEHINLGGYMIEHNRFEAIWREHAIPPPQSLLPDDDGGEPLRLWSFDDGETRWKKPLRGGDDQSSLDFCVDEILDKLMDFRSIL >ORUFI01G44800.1 pep chromosome:OR_W1943:1:36621861:36625662:1 gene:ORUFI01G44800 transcript:ORUFI01G44800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGEEAESSAAGEQRRMPFARGGPVFVPFMVGPVSTVPEFMSSALHELQSLKDELGDPGDEFDEELCVDELRVLSEEELVERALREAMEEGWDSTALSQLEDQRIDGGMSASSTFGNGAITSSSSGVRETSRSPTEDTASIPHVHGNNGKTRGVKGETRGRKRKNVTPTLNSSVETEIPGPSVDTAVVPYVPQQGIEGKTGGMKGKSRGRMKKGGNTTSNSLGENLEVVSHESVPPVEDLEVVSHDAAGADGDTKCGKGKKRGRHFDREVRAHILQGSYLTKAVKMAEIKAKQEEDKHAASLHSFSGDSVLAKVSKPSAEKVDVAKSLRYISTTWKNKTFKPGEHRPVVYPEVLLCVEVYEKRYGSVKSQEFLVLGSQLLTDLRDNIYCFKDKLMNVAKQHVHSGYFLIEDTFYNDTRRSTVDYSKPILDWIKNSRNEAEEKWDAITSGVLKKRQKDLLMGLNVSNVPDFKSAKMEKTRFSDLNFRLGAGYLYCHQGNCKHMIVIRDMRLIHPEDTQNQAEYPLMTFQMQRRLQKCSVCQIFHATKMTVDDKWTLNNPCYFCDKCYYLLHYKEDNSLLYHHTVYDYLQE >ORUFI01G44810.1 pep chromosome:OR_W1943:1:36626536:36628809:1 gene:ORUFI01G44810 transcript:ORUFI01G44810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATAAALFSSRTLSPSSSPRRRRRRGIPAAVTGFLSRRHAPALQRHLAPLHGEDLISPMRSRRAVQLALVDDSKEVETAAGDGAEERSQTDKLVDGMDFGELCNDFECISSPYVEATARQLARDILDLRDDNRAFTCYAVSVKYKDPVRTFVGREKYKRPLWITKALENPTVTVQEMSMQSTSNLTIKWTFRGKPKNPIFATIGGDLIVSVTSQFVLNQISGQVLEQVDSWDLSASSPPAQAYFWLSRRAFSTVEAGKDTIEAAKGTASRLSSKKDENLEVYPDPSGDPTKFFQRPDDGLNQDVYQIALFLAVLYFIVQFLRTTL >ORUFI01G44820.1 pep chromosome:OR_W1943:1:36629554:36632117:-1 gene:ORUFI01G44820 transcript:ORUFI01G44820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYTEILDTNHHEHKVCLVRKDEPFICSGCKELGFELRYACHTAGCNHQYHRSCTLQPLNTRVPAPFYKHDFFFFKSLLKYDLYFYIFVHILNKVRGYVYYCPDKKVSLHPCCADLPRVITTETVQLKLERKITKKCGMCHERNQGSFSNPWAYASSEKMIQLHVACVRKALVSQFESRLYGVQKPKMLLPPPPAAGASAASSTSSSTAIVECNSFPVLEVDKYRRKSAGFLDTFRRIVRAVMAMVSAVITGNHLEIYMAFIEFFKPN >ORUFI01G44830.1 pep chromosome:OR_W1943:1:36638427:36639998:1 gene:ORUFI01G44830 transcript:ORUFI01G44830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHSKLRAPEHHDHELTLTAGKESFRCDGCKEHGYHMRYVCKLGGCRAGFHLHEACAQHRFGDSYQDPFKRYSLVFHKSLPRYSPGRHLPSPNIKDFGYIAENSKLVYVNGYAYVRDIGRLRTLLKRGRVLHPCCAALPKVIEAEGSVTKLRLTRKLRSPCCKCRHVKLGDRRHTWGYVSDGGGGAGVVQIHVACANDLFREEYEGARLQQQQRTRVERLKARLVNMLRGAATGGGGGVMILPQLPTGVPEESSPSPWTMDSPVVKALLWTICTVGAVITGNPVGISNFFLTL >ORUFI01G44840.1 pep chromosome:OR_W1943:1:36643279:36644594:1 gene:ORUFI01G44840 transcript:ORUFI01G44840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSVSSSHGPASSPAISTCRPAACGRFPALLGGGVASQRRSLTVVSGPETRAVIPVRSSGSDTATVGAEAEAVAVTGQVTEVNKDTFWPIVKSAGPKVVVLDMYTQWCGPCKVMAPKFQEMSEKDQDVVFLKLDCNQDNKSLAKELGIKVVPTFKILKDGKVVKEVTGAKLDELIQAIETVKSS >ORUFI01G44850.1 pep chromosome:OR_W1943:1:36645629:36647230:-1 gene:ORUFI01G44850 transcript:ORUFI01G44850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQHGGDPRLDRLSRALASDHPPPAAAAVHAHLVRAHAGTPPPVIRSLLNRAIRRLSKPHPRAALRLLLLMPRLPVSPDHFSLPFALNAAASLRLLPLGASLHALALRLALLPCRLPVANALVDLYAKCDDLPAAHTALADIAAPDAVSFNSLLCAHARLASVPDAESLFVAMPSRTQVSWNAMVVVYVNAGDVSSARRVFDQMPTRDSTSWSVLIVGYCKCGSMRSAREVFDRMPAKNLVAWTAMINGYAQSGVPKESLALFREMEAAGIEPDAATMVGVISAASQIGSTELAGWVGSYVDKKRIERNDKVLTALVDMHAKCGNVDEALSAFREIAQPDAYPYTALISGLAAHGHAKLALQVFERMQAQSVWPDPITFVGVLTACSHAGLVDKGLDYWEAMVKYYGMERRADHYACVVDMLGRAGRLEEAFEMVQTMPMGPHPGALGALLSACKTHGNVEIAEIVANKLFELEPHNTGNYIMLSNIYAEKEQWEEAERIRSVMRTRLPFKQPGSSWVEDRQRERGRFPLRS >ORUFI01G44860.1 pep chromosome:OR_W1943:1:36650535:36651139:-1 gene:ORUFI01G44860 transcript:ORUFI01G44860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSWWVVMLLMVVAAAGWGGVAAATAAEAAHEVLRAHGLPRGLLPAGIADFRHDEGSGRFEAALGESCTAQFEVGLRYNATVAGVISYGRIASLSGVSAQDLFLWFPVRGIRVDVPSSGVIYFDVGVVFKHFPLAVFEAPPPCTPDPLLLLTQVCEDGSVAGGGAASQ >ORUFI01G44870.1 pep chromosome:OR_W1943:1:36659083:36664224:1 gene:ORUFI01G44870 transcript:ORUFI01G44870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGAVENGGVVGGEVVAGKRGKTPQGWKCMPFIIATETFEKVATIGVVANLTVYLVKRFNIGQIEAANITNIFFGTLNFAPLLGAFISDVYLGRFKTLAYGCFASLLGMLGMTLSASLPALKPPICHEKTRLGGGCNSPSTLQLSVLYLSLGFLIIGGGAIRPCSLPFGVDQFDKTDEEGRKGLNSYYNWYYGTSTAALVLSMIVIIYIQNNVSWPIGFGIPTLLMFLAIIMLFLGTNLYVHVQPEGSIFAGIAQVLVASFKKRNLKLPCPHDINQQGLMLYNPPSKGNRVFRLPLTSQFRFLNKGAIVMGDDINVDGSARNSWELCNVQQIEEVKCLIRIVPVCISGVLCFVALAQQFTYIILQTFTMDCHFGTHFEIPAGSVVSISLIALTLFIPIYDRILVPIARGFTGVESGITLLQRQGIGLAISPISMVVAGLVERKRRNSALSNGGISPMSVLWLAPQLVLMGIAEAFNAVGQIEFYNKQFPENMQTLAGSLFFCTIAGANYLSTALANIMRKVTTRDGHSSWLTDNINLGKLDYYFYFIALMGVLNLIYFLICSHFYQYKVMSLHSEESIKIPTKEEEATEIDIDTDAPSK >ORUFI01G44880.1 pep chromosome:OR_W1943:1:36660828:36668395:-1 gene:ORUFI01G44880 transcript:ORUFI01G44880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALLSRLRFAPLSHHHHRHLGRALSAAATADPPPDESPPPPPHPPPPPTPPSNSKLFVAGLSWSADERSLTDAFSSFGTVTEVRIMYDKNSGRSRGFGFVHFSNEYEAKCAKDAMDGKVMLGRPLRISFALDKVRGAPVVVPRLSTTSEMKAPSNGAKVYPVQGSPG >ORUFI01G44880.2 pep chromosome:OR_W1943:1:36660828:36665729:-1 gene:ORUFI01G44880 transcript:ORUFI01G44880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNVRIMYDKNSGRSRGFGFVHFSNEYEAKCAKDAMDGKVMLGRPLRISFALDKVRGAPVVVPRLSTTSEMKAPSNGAKVYPVQGSPG >ORUFI01G44880.3 pep chromosome:OR_W1943:1:36666028:36668395:-1 gene:ORUFI01G44880 transcript:ORUFI01G44880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALLSRLRFAPLSHHHHRHLGRALSAAATADPPPDESPPPPPHPPPPPTPPSNSKLFVAGLSWSADERSLTDAFSSFGTVTEDGVRGRCSMKRLGGGSGCSARARPRQMFDEMPVRDLVACSAAIYRHAKSGLFGEAVRLFVGMMRVGVSPNSFTLVGALIAAAGMGNLVLAECIHGWAMKSLLESNPFVRTALLDSYAKCGRPTKAWALFGEMRDPGIVTWNALISGLVHNDLFEEALLVFKRLLFSFGPVHNVVTMISIAQASAGCGDLGLCESAHAYSVKIGLDSDVSVTNSILGMYLSFGSLAIGREIFKKIAVNDAVSWTMMMGFLLEEAQAIEVIHMFVQMRLSGIVPDRVALVTVAQACAHLGDGRIGKLVHNEIVIRGFSGELPAVNSLITMYSKCEDLSSARLLFDGTMEKSLVSWTAMVSAYIENGYALEGMYLFAKMRHEGSFMIDSVTLVTLLLACYEVAKFELCIQLHAYCYKSGLCLYKPVLNTLIAVYGKCGYATLAHKVFDEMISRNAVSWNTMILSYGVNGQGEKAVSLFNEMEKSSEDQDSVTYLNTLLACSHSGLVDDGMLVFRRMVNDKGIIPCPEHVGCIVDMLARAGRLEEAAGVASLTHNKLGANAWKALMGGGHLHGDMKFTKVAAKKVLTTESFDYGHVVLLSNAYASSGKYRAAESVRSCYAKRITRKTLGLSSIEIVPYSRR >ORUFI01G44880.4 pep chromosome:OR_W1943:1:36664435:36665729:-1 gene:ORUFI01G44880 transcript:ORUFI01G44880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNVRIMYDKNSGRSRGFGFVHFSNEYEAKCAKDAMDGKVMLGRPLRISFALDKVRGAPVVVPRLSTVSLTIDMFITFLE >ORUFI01G44890.1 pep chromosome:OR_W1943:1:36671279:36672577:-1 gene:ORUFI01G44890 transcript:ORUFI01G44890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKDKEKEHEQTASGRNPDVNDVEEEEEDEEGNKRAVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEQLGETAEPEVKVLNLTILSPDRPDLVLPIPFVPDEKGYAFALKDGSTYSFRFSFIVSNNIVSGLKYTNTVWKTGVRVENQKVMLGTFSPQLEPYTYEGEEETTPAGMFARGSYSAKLKVFKLIKFSSDVLIEFVDDDGKCYLEMSYYFEIRKEWPTIQ >ORUFI01G44900.1 pep chromosome:OR_W1943:1:36673835:36675319:1 gene:ORUFI01G44900 transcript:ORUFI01G44900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATPVRWPRVLTPAHLAGAIRRQKSPLDAVHLYADAPRRYPRSSYRHNDAVHSSLLAAASASSSDLLPSLLRRILPSSPSADSLLAASIPHLPPAAAVSVFRSSLPSSLAPSWSRSFSALLRRLLSDGLLPEAARLFADFAGRPEVSLASEDLTSLITGLCRARRPELALQVLDEMSNQCLAPEKDAYRAIVPALCDAGMLDEATHVLYSMLWRVSQKGCDEDVVVYRALLVALCAAGRGEQAEIVLDKVIRKGLRSSGSRRSLRVPMLAGLSIEDAQEIIDQALVVRGGRTVASFEVMVIDLYDEGRLNEADNLFKEMGKKGFKPTIYMYEAKITSLCREGRLDEAVKVLEEELPKNDLIPTVTTYNLLMRGLCNSMQSMRALRYLGRMDKQLGCVTRKETFSILISGLCSESRFIDAAQIMERMVKGHHRPEAGEFNNVIEGLCSAGRTYDALLWLEEMIDHGETPDVHVWSSLVSAALGLGEGLTTAATH >ORUFI01G44910.1 pep chromosome:OR_W1943:1:36676175:36677614:-1 gene:ORUFI01G44910 transcript:ORUFI01G44910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKTSIALIANPQTRATTYKKRKAGLIKKAGELATLCDIPVAVVCAGPDGGAPTVWVSPEGGDAIERYRALPAEKRARHTHVAYLQEELDKERAKLARLRQKGRPGELDPPDAVLDGMSQDELQQLLASIDATLLATAKRREALGLLPGADDDADGGGRRRDADVAGTNSVGVHGYQHQEVHAPATCDPFHPYNAGVTLMQPGYNNAQYMGGHGAVDMSGYQLQMQMPGNGSNNHSRLAWGGFQPCNATFVQPVYGNLQCWYNNVVDGNGEPCDAIVPSAGDPYMDIAGNDVYGNQMQPAPAANGGWHDPGTWGYDGGEPCKAIVPSFGDPYMGIGVYGNQMQPAPAPAANGCWHNPAGTWGNDGEPCNAIVPSAGHPYIDIECDIDGNYIDTTVFDYQTTSTSDNFMDAPVQFIATGSDESIVTNVAGCDETEFSIDDLLQCSDASQHSSGLEELHYLSDLADGFDFGCNFDVLLD >ORUFI01G44920.1 pep chromosome:OR_W1943:1:36678772:36680119:-1 gene:ORUFI01G44920 transcript:ORUFI01G44920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALDDCKWRQIPAFGDWNIWDDMPVTQYFESGTFFFTAQAEKDEDLFKVPQFPANPYNYKKCVVRVKGEKENANANANAVRVRKGGRKQQYLNEQQKWKPKTAVDEDLYKISPKLICRVKKAKEVAEEFARRVPWRELHRLKNN >ORUFI01G44930.1 pep chromosome:OR_W1943:1:36685254:36688341:1 gene:ORUFI01G44930 transcript:ORUFI01G44930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKKAAVAVLLVVMVVVSLSAVAPAAAAGAPFMVCGVDADRMAADCGSYCRAGSRERAPRRECCDAVRGADFKCLCKYRDELRVMGNIDAARAMQIPSKCRIKGAPKSAVVLVALVVVAAAAGGAAGLSMCGVDRSAVALCRSYCTVGSAEKAPTKECCKAVANADFQCLCDRRDMLRNLENIDADRATQIPSKCGVPGASSTCK >ORUFI01G44940.1 pep chromosome:OR_W1943:1:36687432:36693594:-1 gene:ORUFI01G44940 transcript:ORUFI01G44940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVCVPAHPCGSAHARHMHIPVPYHADCCIARRGAVGTAETTRWLISLVCARTQDKITAEVEEAPGTPHLDGICVARSASMFSRLRSMSLRSQRHWKSAFATALQHSFVGAFSALPTVQYDRHSATADRSTPHIDSPAAPPAAAATTTNATSTTALTLPAIVVVVVGDRIDQRLYASLAKCVVGLLRIWMKICLEAAQTCPM >ORUFI01G44940.2 pep chromosome:OR_W1943:1:36688346:36693594:-1 gene:ORUFI01G44940 transcript:ORUFI01G44940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVCVPAHPCGSAHARHMHIPVPYHADCCIARRGAVGTAETTRWLISLVCARTQDKITAEFFPELIHGCWDESEMIGCGSPNWGSSRPCSWLARVIISIT >ORUFI01G44950.1 pep chromosome:OR_W1943:1:36693418:36696314:1 gene:ORUFI01G44950 transcript:ORUFI01G44950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANACPTSTTSSLPLFFLFCFLLFSHARCNQGHHGSISDYGEQYPHQTLPEEHIHLQENIKVLNKERLPKYARRMLIGSTAPICTYNECRGCRFKCTAEQVPVDANDPMNSAYHYKCVCHRLWTASKIQVA >ORUFI01G44960.1 pep chromosome:OR_W1943:1:36697131:36699679:-1 gene:ORUFI01G44960 transcript:ORUFI01G44960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPPPHALATLLSRLRACSSASHALQCHALLLTSGHLAASPARLSNLLLLALASASASASPSAADHADSVFAHLAEEASRHAFPWNTLVRLHAAASPRRSLLYFSRMRRAAVAPDAYTFPAVLKACGCAPGCRVGLVVHGEAVRTGLDADLFTRNALISFYCRIGDCRSGRKVFDHGVRDLVSWNSMVAGYVGCGEVDLAQDLFDEMRQRDAFSWATMIDGYGEMAGGVDRARELFDQMPDRDLVCWNSMIDGYARHGRMDEARVLFEEMPERNVISWSIVIDGYVRFGEPNEALEFFQRMLRCGIKPDRVAAVGAVAACAQLGALEQGRWLHSYLEKKKVLFDVVVQTALIDMYVKCGRLDLAKLIFESMPKKSVVTWNVMIVGLGTHGYGLDAIKLFNQMETERAPMDDLSILAVLTSCTHAGLVSEGLGIFYRMEKDLGLEPKVEHYGALIDLLGRAGRVDQARNTIETMPMEPTPELWGSLLASCRSHRCVELAELSVERLASLGADDSGVYVLLSNIYADEGMWDDVFRIRKLMSAEDMKKNIGRSVIEVDGQIHEFVNGVCSPDYYPASLVQEHEVLFWRFGTLAFFAKELGDCDKVENRTRLNHNFNPD >ORUFI01G44970.1 pep chromosome:OR_W1943:1:36700634:36704082:1 gene:ORUFI01G44970 transcript:ORUFI01G44970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPAPSSSSPRRRGRGLAYLLVSVLLLASRVPGAAGADSEFEDGVSPKFPGCDNPFQKVKVTYWVDGDERSSLTGITARFGEVLPATGSDGDKRKAVVPAPKTGCAKSSAPLASSIAVAERGECTFLEKAKTAESGGAAALLLINDEDGQVLRVDLQKMVCTQNDTVPNIGIPVVMVSQSAGRKILSGMDGGAKVDILMYAPEKPSFDGAIPFLWLMAVGSVACASVWSFVVVGDEDKNAPTLGGEEAADSEIVELQTKTALVFIVTASLVLLFLFFFKSTWSAWLLVVLFCLSGLQGLHYVASTLIVRACDRCREAKVALPVLGNVTVVTLVILPLALIFVVVWAVHQNSPFAWVGQDLMGICMMILVLQVVHLPNIKVATALLVSAFMYDIFWVFISPFIFKKSVMITVARGSDEGPSLPMVLKMPKEFDTWNGYDMIGFGDILFPGLLVAFSFRYDRANGKDLTDGYFLCLMIGYAFGLSCTYVGLYLMKSGQPALLYLVPSTLGTIVTLGAKRGELSQLWNAKV >ORUFI01G44980.1 pep chromosome:OR_W1943:1:36705946:36708983:1 gene:ORUFI01G44980 transcript:ORUFI01G44980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPGRKAPAGAGGIRRWLSTVVVSVVALVLTLVVISLSVGSSLTGASLHEYLFVRPSDSSKLTDGNMNGTAVGVLLQEEVLQGGKEVPVEHGVQSGGVNSSETGEIDTKVQDPAVTDDTASVPDEGNLPVSSDSSDNLQKTNEGSCDLYHGHWVFDSSGPLYTNNSCPIITQMQNCQGNGRPDKDYENYRWKPEQCILPRFDGPKFLELMRGKTIAFVGIFVIHVEAPVNRGSRRMSKWIFRSTSTIIVRIWSSWLVHRSTEAVGFAPKGIDKVFLDIPDETFMEFIPRFDVLVLSSGHWFAKRSAYILNGNVVGGQLWWPRKAGNMQINNVDAFGISVETCLTALATNPNFTGIAIVRTYSPDHYEGGAWNTGGSCTGKTKPLDVVVRNGFTDTMYGKQVSGFTKAVQNSGKHGSRLKLMDITEPFALRPDGHPGPYRSTDPNKKTQRGPDGRPPPQDCLHWCMPGPVDTWNEMLLETIRREFEGVRS >ORUFI01G44990.1 pep chromosome:OR_W1943:1:36710287:36712094:1 gene:ORUFI01G44990 transcript:ORUFI01G44990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGQSLAQLPPCLAVPLARVREAIVGGGSAGAVLGLRGDGRGGGHLCPASTGNLLYVFSRLAHPAATVAFTMKPQYLLRRKEKE >ORUFI01G44990.2 pep chromosome:OR_W1943:1:36710287:36715408:1 gene:ORUFI01G44990 transcript:ORUFI01G44990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVLRHGGTCRPCERSDRRAASAATRTARPTASSRATTTDAGTAATTTAYSAVARATSSPARKSASTMYLLFCPLAAGATTSTYSYVPAVMPPEASHRDLHTPASYPAARSRRATARRNAAPYLLSAGSASIAAATAAASLTRNGSSSTGGSSSSLTDSAAAAARSGPSPSSESYPSPPLLAGPAPSSPAAAPSVSRNACTSPDRHAEASCSLPLLADDPASPRSRARTASSRRM >ORUFI01G45000.1 pep chromosome:OR_W1943:1:36714417:36715298:-1 gene:ORUFI01G45000 transcript:ORUFI01G45000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYIRLDDAVRARLRGDAGSSASSGSEHEASACLSGLVQAFLETEGAAAGEDGAGPASKGGEGYDSDDGDGPERAAAAAESVRELLDPPVEEDPFRVRLAAAVAAAMEAEPALRRYGAAFRRAVARRLRAAGYDAGVCKSRWEASGGITAGTYEYVDVVAPAARGQKSRYIVDADFRAGLEVARATAEYAVVVAAVPASVVVAREEAVGRAVRVAADAARRSLRSHGLHVPPWRKTRYMLAKWLGPYKRSTATSPSAAGAMPMPAAAAGMDVKCRAVGFFTPPPAAPAARIK >ORUFI01G45010.1 pep chromosome:OR_W1943:1:36724430:36724906:1 gene:ORUFI01G45010 transcript:ORUFI01G45010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCPVGVASVLLLIVLVTVASAASGARSGGGGGGGIRELRGGGAGRRVGGRTEVRDVEGDREVQELGRFSVEEHNRRRRSRDCGDVRLEFGRVVAAQRQVVSGLKYYLRVAAAEEGAAGQNGGEPRVFDAVVVVKPWLESRTLLTFAPAADSPNES >ORUFI01G45020.1 pep chromosome:OR_W1943:1:36726845:36727291:1 gene:ORUFI01G45020 transcript:ORUFI01G45020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLYYAVAPLVLVLLLLAPLSSARLAAAAAADDDGQWPAGGGRGRKVGGRTDVEDVEGNREVQELGLFCVVEHNRRGGSATRGRGLVFSRVVAAQTQVVSGIKYYLRIAAQEADDELVFDAVVVVKAWVPSREMVSFVPAAELPGY >ORUFI01G45030.1 pep chromosome:OR_W1943:1:36727315:36737816:1 gene:ORUFI01G45030 transcript:ORUFI01G45030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDGDGRWVCVIIFEESSKNAHNKGKKPEGEKAEEQGMSATRKGMTHINNIAGPVIILNRQ >ORUFI01G45040.1 pep chromosome:OR_W1943:1:36727531:36738515:-1 gene:ORUFI01G45040 transcript:ORUFI01G45040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRCGGGGGGAAAVEEHGAVRHHRPLTPRQQQQLRTVVESLRLDPLEVDEGARLEIARQSYRAGDYKAALEHCNAVYRANPRLLENLLLLGAVYYQLREFDMCIAKNEEAVAIQPNCPECFNSIANAWREKGDVDNAIQFYVHAVQLRPTFADAWTNLANAYTRKGNLSQAAECCHQALALNPHLADAYCNLGDVLKAQGLYREAYSHYLDALNIKPTFANAWNNIAGLLMQWGDFNKAALYYKEAIKCNPAFYDAHLNLGNLYKVTGMRQDAIVCFQNAARAKPENAVAYGNLGNAYHEQGQLDLAILSYRQAIHCNSSYVEAYNNLGNALKDAGRNEEAISCYQTCLALQPSHPQALTNLGNVYMERNMMDIAASLYMATLTVTTGLSAPYNNLAMIYKQQGNCNHAITCFNEVLRIDPMAADCLVNRGNTFKEAGRITEAIQDYFHAVTIRPTMAEAHANLAAAYKDTGLLEASIISYKQALQLRQDFPEATCNLLHTLQCVCDWDDRAEKFVEMSSLPSVQPFHAIAYPIDSTLALEISRTYAAHYSLVASRFGLPTFTHSYPVPISNDGRTSRLRIGDFGNHPLSHLMGSIFGMHNQDTIEVFCYALSQDDGTEWRQRIRSEAEHFIDVSSMSSDMIAKVINEDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGADYIDYLVTDEFVSPLKFSHIYSEKLVHLPHCYFVNDYKQKNRDVLGPVCPHKRADYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPNSALWLLRFPAAGEMRLRASLADAISKGVRADQIIFTDVAAKNEHIRRSALADLFLDTPLCNGHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGIGEEMVVNSLEEYEERAVSLAENPLKLEALTNKLKAVRMTCPLFDTARWVKNLERAYLHMWNLHCSGRHPQHFKVVVLFSEIVGLDSFKLQYPPCPSYRCH >ORUFI01G45040.2 pep chromosome:OR_W1943:1:36728527:36738515:-1 gene:ORUFI01G45040 transcript:ORUFI01G45040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRCGGGGGGAAAVEEHGAVRHHRPLTPRQQQQLRTVVESLRLDPLEVDEGARLEIARQSYRAGDYKAALEHCNAVYRANPRLLENLLLLGAVYYQLREFDMCIAKNEEAVAIQPNCPECFNSIANAWREKGDVDNAIQFYVHAVQLRPTFADAWTNLANAYTRKGNLSQAAECCHQALALNPHLADAYCNLGDVLKAQGLYREAYSHYLDALNIKPTFANAWNNIAGLLMQWGDFNKAALYYKEAIKCNPAFYDAHLNLGNLYKVTGMRQDAIVCFQNAARAKPENAVAYGNLGNAYHEQGQLDLAILSYRQAIHCNSSYVEAYNNLGNALKDAGRNEEAISCYQTCLALQPSHPQALTNLGNVYMERNMMDIAASLYMATLTVTTGLSAPYNNLAMIYKQQGNCNHAITCFNEVLRIDPMAADCLVNRGNTFKEAGRITEAIQDYFHAVTIRPTMAEAHANLAAAYKDTGLLEASIISYKQALQLRQDFPEATCNLLHTLQCVCDWDDRAEKFVEMSSLPSVQPFHAIAYPIDSTLALEISRTYAAHYSLVASRFGLPTFTHSYPVPISNDGRTSRLRIGDFGNHPLSHLMGSIFGMHNQDTIEVFCYALSQDDGTEWRQRIRSEAEHFIDVSSMSSDMIAKVINEDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGADYIDYLVTDEFVSPLKFSHIYSEKLVHLPHCYFVNDYKQKNRDVLGPVCPHKRADYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPNSALWLLRFPAAGEMRLRASLADAISKGVRADQIIFTDVAAKNEHIRRSALADLFLDTPLCNGHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGIGEEMVVNSLEEYEERAVSLAENPLKLEALTNKLKAVRMTCPLFDTARWVKNLERAYLHMWNLHCSGRHPQHFKVVVLFSEIVGLDSFKLQYPPCPVFVIHG >ORUFI01G45050.1 pep chromosome:OR_W1943:1:36740877:36742343:-1 gene:ORUFI01G45050 transcript:ORUFI01G45050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRNNQVVSWGTGFVAAFELYDKYPEIETAMKIQDYLTASPADFFSTISMGIDNVMRYKKETALQQMRRSHGKKRGAKGETGTVHIHSHKQSIIKPCLRLLADNFYSRRDIQS >ORUFI01G45060.1 pep chromosome:OR_W1943:1:36742939:36747939:-1 gene:ORUFI01G45060 transcript:ORUFI01G45060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGPPDQLPPATAPSCFLNLNWDQSMDAAAGGHLDPALSSMVSSPASNSTGALHGISPQPHYGGGTPLSSPPKLNLSMMGQFHHYAAPPQVGGGGGGGGGLPILENLMPMGHLDQFLADPGFAERAARLSGFDARGGGGGGGYGGAGPAQFGLPDAGAAGASKEMELGNTRDESSVSDPAPGGAEIPPKGASDGNARKRKASGKGKGKDSPMSTSAAKEDSSGKRCKSTEESNAAAEENSGKGKAAQSNSENGGGKKQGKDSSSKPPEPPKDYIHVRARRGEATDSHSLAERVRREKISQRMKLLQDLVPGCNKVVGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLDFNNLPNLLAKDMHQSCSPLQSSHFPLETSGAPLPYINQPQQGNPLGCGLTNGMDNQGSMHPLDPAFCRPMGSHHPFLNGVSDAASQVGAFWQDDLQSVVQMDMGQSQEIATSSNSYNGSLQTVHMKMEL >ORUFI01G45070.1 pep chromosome:OR_W1943:1:36762376:36764371:-1 gene:ORUFI01G45070 transcript:ORUFI01G45070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQQQRRLVRFAVAAAVVVAAALILTASAKKSGDVTELQIGVKYKPESCTLQAHKGDKIKVHYRGSLTDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLKIPAKMGYGERGSPPKIPGGATLIFDTELIAVNGKTTGGASNSEL >ORUFI01G45070.2 pep chromosome:OR_W1943:1:36762378:36764371:-1 gene:ORUFI01G45070 transcript:ORUFI01G45070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQQQRRLVRFAVAAAVVVAAALILTASAKKSGDVTELQIGVKYKPESCTLQAHKGDKIKVHYRGSLTDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLKIPAKMGYGERGSPPKIPGGATLIFDTELIAVNGKTTGGASNSEL >ORUFI01G45070.3 pep chromosome:OR_W1943:1:36762378:36764371:-1 gene:ORUFI01G45070 transcript:ORUFI01G45070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQQQRRLVRFAVAAAVVVAAALILTASAKKSGDVTELQIGVKGSLTDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLKIPAKMGYGERGSPPKIPGGATLIFDTELIAVNGKTTGGASNSEL >ORUFI01G45080.1 pep chromosome:OR_W1943:1:36770424:36771257:-1 gene:ORUFI01G45080 transcript:ORUFI01G45080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWKKSSGKSSSSSSQYYAGSDSSSFAGGGGDDRYGRAGSGNGRSPWTTSEEYPRYTSDDDNRSGNGSDDDDDHGDRNRRRGKKSNKKKSSSSSSSYKYDDDGGERDRSYSNYGGYSNNEGYSSSAPSGYNPYNNGGGYGGPSYGNGGGYGGSTYGNGGGYGGSTYGNGGGYGGSSYGNGGAIVAGGGGGSAPASYGYGSNSGWGAPAPPRQEGGSGSAPTYLNVIYMTQPGSSSSQNENSGERRDNGGGGGGRNGLFGPTFQAVGGYMDRRFGFD >ORUFI01G45090.1 pep chromosome:OR_W1943:1:36773792:36774448:-1 gene:ORUFI01G45090 transcript:ORUFI01G45090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSSGRSFSGSSYSNSSTDTYGSGGRQQQQQQYEAAAAGDKTSAKRQPPPPSRLKKTSGKNDDAATAAVVGYAASGGGYGGSTKANAGANYGGGGGYGYNGGYNAGSVTLYGAGVGTPYYGGGGGGGYTGGGSVPYGGGGGVPAGYWTPQNGARSPLYINTREVHVYGGPHGPYDGGDGYGGGGGSGERRRSGGFFRPAFEAVGHFFDRRFGFHSSD >ORUFI01G45100.1 pep chromosome:OR_W1943:1:36779392:36785523:-1 gene:ORUFI01G45100 transcript:ORUFI01G45100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRTIGRELAMGSQGGWGQSKEFLDLVKSIGEARSKAEEDRIISRELDHLKRRLADPDVPRRKMKELLLRLVYAEMLGHDASFGHIHAVKMTHDESLPLKRTGYLAVSLFLDERHDLVILVVNTIQKDLRSDNYLVVCAALTAACRLIGEEAIPAVLPQVVELLAHPKEAVRKKAVMALHRFYQRSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLYDLILEDPNSYKDLVVSFVNILKQVAERRLPTSYDYHQMPAPFIQIKLLKILAVLGSGDKQASGNMYMVLGDIFRKGDTASNIGNAILYECICCISSIFPNAKMLDAAAETTSKFLKSDSHNLKYMGIDALGRLIKINPDIAEEHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVDRMIEYMINITDHHYKTEIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNIRVAHNLMRLIAEGFGEEDEGADSQLRSSAVDSYLRIVGEPKLPSSFLQIICWVLGEYGTADGKYSASYIIGKLYDVAEAHPTDDTVRAYAISAILKIFAFEIALGRKIDMLPEIDRNLSFLNGYVQQAFENGAAPYIPESERSGVVSVGNYKAQDQQETSAHALRFEAYELPPAASQASISPTTDLVPVPEPSYYKEDHQMSRSQPSGDSLSGEFGLKLRLDGVQKKWGRPAYSSSSTPSSSTSSQQATNGGVSSEVGGSTSSQARESTYGSKRQQATEVSAEKQRLAASLFGKADRKAQAGRKTAKESSSTEKVATANATPQPAKEQVIPSAPPPDLLDLGEPVSSSPPLADPFTQLEGLLGPASASPVVSETPASSTSKTPDLMSIFSDDVQTGVTSGSTEPSLGVNVVAAKKGPSLQDALQKDAAARQVGVTPTGNNPILFKDLLG >ORUFI01G45110.1 pep chromosome:OR_W1943:1:36786821:36792494:-1 gene:ORUFI01G45110 transcript:ORUFI01G45110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPTIMIVQQTLNLLSKRLFFVNRQAKATIEPTQYGEDLLSNRRNPNALKEHLLKMTAEHRAEMANKRGKPLHADNGNVEIGNGYGVPGGGAYYAANMSSDKPKDIADKAKGADDLPEFLRQRLRARGILKDEATNNSFTIKQNVDSPVGQIKAAQELPPGWVEAKDPTSGASYFYNQSTGTTQWDRPGAPLNTMQHQAPPSSSLPENWEEALDQSTGQKYYYNTNTQATQWEPPTAVNPGVAPHAPTNAAVEMAAQNTDIWNSQMQRCLGCGGWGVGLVQPWGYCNHCTRVQNLPFQQYPSYPNNTTHSSSNKNPGNVAAKDRSSAKPPFGKANRKDHRKRNRPEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTAAGPLFQQRPYPSPGAVLRKNAEVASHGKKRGMAPITKRGDGSDGLGEAD >ORUFI01G45110.2 pep chromosome:OR_W1943:1:36786821:36792494:-1 gene:ORUFI01G45110 transcript:ORUFI01G45110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLMALHPTMAAITMQRATLLLHNPPCLSLTWMLGVHNQIRQLMKFPTIMIVQQTLNLLSKRLFFVNRQAKATIEPTQYGEDLLSNRRNPNALKEHLLKMTAEHRAEMANKRGKPLHADNGNVEIGNGYGVPGGGAYYAANMSSDKPKDIADKAKGADDLPEFLRQRLRARGILKDEATNNSFTIKQNVDSPVGQIKAAQELPPGWVEAKDPTSGASYFYNQSTGTTQWDRPGAPLNTMQHQAPPSSSLPENWEEALDQSTGQKYYYNTNTQATQWEPPTAVNPGVAPHAPTNAAVEMAAQNTDIWNSQMQRCLGCGGWGVGLVQPWGYCNHCTRVQNLPFQQYPSYPNNTTHSSSNKNPGNVAAKDRSSAKPPFGKANRKDHRKRNRPEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTAAGPLFQQRPYPSPGAVLRKNAEVASHGKKRGMAPITKRGDGSDGLGEAD >ORUFI01G45120.1 pep chromosome:OR_W1943:1:36795956:36799846:1 gene:ORUFI01G45120 transcript:ORUFI01G45120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANGAACCGGATGPGYATPLEAMEKGPREKLLYVTCVYNGTGINKPDYLGTVDVDPNSPTYSQVIHRLPVTHVGDELHHSGWNACSSCHGDPSASRRFLILPSLLSGRVYVVDTLKDPRAPALHKVVEAEDIAEKTGLGFPHTSHCLASGEIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDYWYQPRHKTMISSSWGAPAAFRTGFDLQHVQDGLYGRHLHVYDWPGGELKQTLDLGSTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTADGSWSHEVAISIKPLKVRNWILPEMPGLITDFVISLDDRYLYLVNWLHGDIRQYNIEDPAKPVLAGQVWAGGLLQKGSEVVYVTEDDKEEQYSVPQVKGHRLRGGPQMIQLSLDGKRIYVTNSLFSRWDEQFYGQDLVKKGSHMLQIDVDTEKGGLSINPNFFVDFGAEPEGPSLAHEMRYPGGDCTSDIWI >ORUFI01G45130.1 pep chromosome:OR_W1943:1:36801534:36804554:1 gene:ORUFI01G45130 transcript:ORUFI01G45130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMAKLLITGLSTAITCSSAVAFKGAAVAVVLLLRLVQLPGQLGCLLLCAIREAVERAVATAFAAAGDAVAAAADAAAGAWRDAAASNGTAVVALVQAAMGRPEALLAAAGEVAAFAWEVAKQTASNSTATFLDAALFVARHARAFTYKNPSRLRLCKTLRSASLLSSSLLPAAAAAVGPGWFRLDWSKTAI >ORUFI01G45130.2 pep chromosome:OR_W1943:1:36801534:36804554:1 gene:ORUFI01G45130 transcript:ORUFI01G45130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMAKLLITGLSTAITCSSAVAFKGAAVAVVLLLRLVQLPGQLGCLLLCAIREAVERAVATAFAAAGDAVAAAADAAAGAWRDAAASNGTAVVALVQAAMGRPEALLAAAGEVAAFAWEVAKQTASNSTATFLDAALFVARHARAGGALPTKTLAASASVKPSGRPPSSPRPSSPPPPQQI >ORUFI01G45130.3 pep chromosome:OR_W1943:1:36802288:36804304:1 gene:ORUFI01G45130 transcript:ORUFI01G45130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNKLGSFLRHSGLTSSASAGSSPAMFNAARLMSTKLFVGGLSWNTNDDSLKEAFTSFGDVTEARVINDRESGRSRGFGFVSFANGDDAKSAMDAMDGKELEGRSIRVNFANERPPGNRGGGGYGGGGGGYGNQGGYGDGNRGYGGQY >ORUFI01G45130.4 pep chromosome:OR_W1943:1:36802288:36804554:1 gene:ORUFI01G45130 transcript:ORUFI01G45130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNKLGSFLRHSGLTSSASAGSSPAMFNAARLMSTKLFVGGLSWNTNDDSLKEAFTSFGDVTEARVINDRESGRSRGFGFVSFANGDDAKSAMDAMDGKELEGRSIRVNFANERPPGNRGGGGYGGGGGGYGNQGGYGDGNRGYGGQY >ORUFI01G45130.5 pep chromosome:OR_W1943:1:36802646:36804554:1 gene:ORUFI01G45130 transcript:ORUFI01G45130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNKLGSFLRHSGLTSSASAGSSPAMFNAARLMSTKLFVGGLSWNTNDDSLKEAFTSFGDVTEARVINDRESGRSRGFGFVSFANGDDAKSAMDAMDGKELEGRSIRVNFANERPPGNRGGGGYGGGGGGYGNQGGYGDGNRGYGGQY >ORUFI01G45130.6 pep chromosome:OR_W1943:1:36802649:36804554:1 gene:ORUFI01G45130 transcript:ORUFI01G45130.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNKLGSFLRHSGLTSSASAGSSPAMFNAARLMSTKLFVGGLSWNTNDDSLKEAFTSFGDVTEARVINDRESGRSRGFGFVSFANGDDAKSAMDAMDGKELEGRSIRVNFANERPPGNRGGGGYGGGGGGYGNQGGYGDGNRGYGGQY >ORUFI01G45130.7 pep chromosome:OR_W1943:1:36801534:36802951:1 gene:ORUFI01G45130 transcript:ORUFI01G45130.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMAKLLITGLSTAITCSSAVAFKGAAVAVVLLLRLVQLPGQLGCLLLCAIREAVERAVATAFAAAGDAVAAAADAAAGAWRDAAASNGTAVVALVQAAMGRPEALLAAAGEVAAFAWEVAKQTASNSTATFLDAALFVARHARAFTYKNPSRLRLCKTLRSASLLSSSLLPAAAAAVGPGWFRLDWSKTAVR >ORUFI01G45140.1 pep chromosome:OR_W1943:1:36806259:36807607:1 gene:ORUFI01G45140 transcript:ORUFI01G45140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYTPTGAGGSGTGSDWAIGPHGIFPFFPKAVSPQTLDLGRASQPQSERAHRRHGSFTPPPPPPPPSPPPPRSAAPPPRPHPLLPPPPWPHHPPPARSRSGSGSGRPPHDTGGALAAARRRGAERRAAGGGDERGGGAASGASRGGDFGRGGGGGRGGAGFCSDRRVGGILRQIRRKEETR >ORUFI01G45150.1 pep chromosome:OR_W1943:1:36809965:36823850:-1 gene:ORUFI01G45150 transcript:ORUFI01G45150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLQAPDYKHVTEECLREWKGQPAAAAAFRVPDPVPMARFLYELCWAVMRGDLPPQKCRVALDSVEFVEEAQRGEVGSVLADIIAHLGQDVTISGEYRSRLVKMTKSFVESSLIAPRLLQERCEEEFLWEVELSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQIGSDLACQNSSSVTMSIIKSLIGHFDLDPNRVFDIVLECFELYPDNTIFCQLIPLFPKSHAAQILGFKFQYYQRLDVNSTVPPGLFRIAALLVKSGFIDLDSLYAHLLPNDDEAFEHFDSFVTRKIDEASNIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIDERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLARLNPVEHVEICDSLFRLIERSISSVYDIIFQTHYKMPRNPVYMMDTSPSSSQSFSVDPPKEFFQMLSACGPYLHRNTQLFQKVCRVLKAYHLSAKESIRTAGVMSPDSRIEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYETRYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVEAYRDMITPVVDAFKYLTQLEFDILQYIVIERLAQGGREKLKDDGLNLSDWLQCLASFWGHLCKKHHSVELRSLFQYLVNQLKKDTGIELVVLEELIQQMANVQYTENMTEEQVDAMAGSETLRLQASSLFGSTRNSKVLTKSTNKLRDSLLPKEEPKLAIPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSTAVTPTTYVQLIPPLEDLINKYHIEPDVAFLIYRPVMRLFKSTNGGDTYWPLDDNEEGESVSCDDLILHPDTSHKSIMWSDLLSTVRNTFPAKTWNGLSPDLYATFWGLTLYDLHFPKDRYDAEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESHKHQQHIASVLQRLGREKVKWLNSSPDALKINMEFFQRCIFPRCVFSMQDAVYCAMFVQTLHSLETPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYRWKSDESLYERECGNKPGFAVYIRYPNSQRVSYSQFVRVHWKWSGRITKVLNQCMESKEYMEIRNALIVLTKISSIFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGLLDLKQGPAKIVPGNQSADPLTAKDQSVRAKSIEGRHERSEAAMKPDAQQKKNALAANGSDNQMPSSSAQGKVSGIIRGSDEPPKLLSDEGVKVSKPTAESETRVPQKRVAHNAGKVSKHDMVKEDTKSGKSTNRGVTQQGSSVPVDKEVLSQAADGVQDTTSNSLAASNGNLHTAPRKQKRFVPTEEQDRGTKRRKGESEGRDGDFTEHTDKDRNLDSRLVDKFRPMDHDKSASEEQILSRPEKSKEKADDKYDRDPREKADRTERRRGEDIERPTDKSLERRERSIERMQERGTDRAPDKGREDRNKEERNKVKHAEPSIDRAHPSDERFRGQSLPPPPPLPASFVPQSVGNRRDEDTDRRGGSTRHNQRSSPRRDDKEKWHLEDNAASLQDDGKHRREEDIRDRKREDRDVSSSKVDDRDRDKSSIVKDESDPNSASKRRKIKRDQSGLEAGEYAPSAPQLPSHGTSSSQVFETRERERKGAISQHRISHADDLPRIHGKDSSKTSRREADQ >ORUFI01G45150.2 pep chromosome:OR_W1943:1:36809965:36823850:-1 gene:ORUFI01G45150 transcript:ORUFI01G45150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLQAPDYKHVTEECLREWKGQPAAAAAFRVPDPVPMARFLYELCWAVMRGDLPPQKCRVALDSVEFVEEAQRGEVGSVLADIIAHLGQDVTISGEYRSRLVKMTKSFVESSLIAPRLLQERCEEEFLWEVELSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQIGSDLACQNSSSVTMSIIKSLIGHFDLDPNRVFDIVLECFELYPDNTIFCQLIPLFPKSHAAQILGFKFQYYQRLDVNSTVPPGLFRIAALLVKSGFIDLDSLYAHLLPNDDEAFEHFDSFVTRKIDEVNIHFVLLKFMFLEIFAISRHCERELYASNIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIDERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLARLNPVEHVEICDSLFRLIERSISSVYDIIFQTHYKMPRNPVYMMDTSPSSSQSFSVDPPKEFFQMLSACGPYLHRNTQLFQKVCRVLKAYHLSAKESIRTAGVMSPDSRIEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYETRYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVEAYRDMITPVVDAFKYLTQLEFDILQYIVIERLAQGGREKLKDDGLNLSDWLQCLASFWGHLCKKHHSVELRSLFQYLVNQLKKDTGIELVVLEELIQQMANVQYTENMTEEQVDAMAGSETLRLQASSLFGSTRNSKVLTKSTNKLRDSLLPKEEPKLAIPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSTAVTPTTYVQLIPPLEDLINKYHIEPDVAFLIYRPVMRLFKSTNGGDTYWPLDDNEEGESVSCDDLILHPDTSHKSIMWSDLLSTVRNTFPAKTWNGLSPDLYATFWGLTLYDLHFPKDRYDAEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESHKHQQHIASVLQRLGREKVKWLNSSPDALKINMEFFQRCIFPRCVFSMQDAVYCAMFVQTLHSLETPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYRWKSDESLYERECGNKPGFAVYIRYPNSQRVSYSQFVRVHWKWSGRITKVLNQCMESKEYMEIRNALIVLTKISSIFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGLLDLKQGPAKIVPGNQSADPLTAKDQSVRAKSIEGRHERSEAAMKPDAQQKKNALAANGSDNQMPSSSAQGKVSGIIRGSDEPPKLLSDEGVKVSKPTAESETRVPQKRVAHNAGKVSKHDMVKEDTKSGKSTNRGVTQQGSSVPVDKEVLSQAADGVQDTTSNSLAASNGNLHTAPRKQKRFVPTEEQDRGTKRRKGESEGRDGDFTEHTDKDRNLDSRLVDKFRPMDHDKSASEEQILSRPEKSKEKADDKYDRDPREKADRTERRRGEDIERPTDKSLERRERSIERMQERGTDRAPDKGREDRNKEERNKVKHAEPSIDRAHPSDERFRGQSLPPPPPLPASFVPQSVGNRRDEDTDRRGGSTRHNQRSSPRRDDKEKWHLEDNAASLQDDGKHRREEDIRDRKREDRDVSSSKVDDRDRDKSSIVKDESDPNSASKRRKIKRDQSGLEAGEYAPSAPQLPSHGTSSSQVFETRERERKGAISQHRISHADDLPRIHGKDSSKTSRREADQ >ORUFI01G45150.3 pep chromosome:OR_W1943:1:36809965:36823850:-1 gene:ORUFI01G45150 transcript:ORUFI01G45150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLQAPDYKHVTEECLREWKGQPAAAAAFRVPDPVPMARFLYELCWAVMRGDLPPQKCRVALDSVEFVEEAQRGEVGSVLADIIAHLGQDVTISGEYRSRLVKMTKSFVESSLIAPRLLQERCEEEFLWEVELSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQIGSDLACQNSSSVTMSIIKSLIGHFDLDPNRVFDIVLECFELYPDNTIFCQLIPLFPKSHAAQILGFKFQYYQRLDVNSTVPPGLFRIAALLVKSGFIDLDSLYAHLLPNDDEAFEHFDSFVTRKIDEASNIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIDERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLARLNPVEHVEICDSLFRLIERSISSVYDIIFQTHYKMPRNPVYMMDTSPSSSQSFSVDPPKEFFQMLSACGPYLHRNTQLFQKVCRVLKAYHLSAKESIRTAGVMSPDSRIEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYETRYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVEAYRDMITPVVDAFKYLTQLEFDILQYIVIERLAQGGREKLKDDGLNLSDWLQCLASFWGHLCKKHHSVELRSLFQYLVNQLKKDTGIELVVLEELIQQMANVQYTENMTEEQVDAMAGSETLRLQASSLFGSTRNSKVLTKSTNKLRDSLLPKEEPKLAIPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSTAVTPTTYVQLIPPLEDLINKYHIEPDVAFLIYRPVMRLFKSTNGGDTYWPLDDNEEGESVSCDDLILHPDTSHKSIMWSDLLSTVRNTFPAKTWNGLSPDLYATFWGLTLYDLHFPKDRYDAEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESHKHQQHIASVLQRLGREKVKWLNSSPDALKINMEFFQRCIFPRCVFSMQDAVYCAMFVQTLHSLETPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYRWKSDESLYERECGNKPGFAVYIRYPNSQRVSYSQFVRVHWKWSGRITKVLNQCMESKEYMEIRNALIVLTKISSIFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGLLDLKQGPAKIVPGNQSADPLTAKDQSVRAKSIEGRHERSEAAMKPDAQQKKNALAANGSDNQMPSSSAQGKVSGIIRGSDEPPKLLSDEGVKVSKPTAESETRVPQKRVAHNAGKVSKHDMVKEDTKSGKSTNRGVTQQGSSVPVDKEVLSQAADGVQDTTSNSLAASNGNLHTAPRKVSASSQKSTLLATHTGGAANSSGESTDLIDSNMKQQKRFVPTEEQDRGTKRRKGESEGRDGDFTEHTDKDRNLDSRLVDKFRPMDHDKSASEEQILSRPEKSKEKADDKYDRDPREKADRTERRRGEDIERPTDKSLERRERSIERMQERGTDRAPDKGREDRNKEERNKVKHAEPSIDRAHPSDERFRGQSLPPPPPLPASFVPQSVGNRRDEDTDRRGGSTRHNQRSSPRRDDKEKWHLEDNAASLQDDGKHRREEDIRDRKREDRDVSSSKVDDRDRDKSSIVKDESDPNSASKRRKIKRDQSGLEAGEYAPSAPQLPSHGTSSSQVFETRERERKGAISQHRISHADDLPRIHGKDSSKTSRREADQ >ORUFI01G45150.4 pep chromosome:OR_W1943:1:36809965:36823850:-1 gene:ORUFI01G45150 transcript:ORUFI01G45150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLQAPDYKHVTEECLREWKGQPAAAAAFRVPDPVPMARFLYELCWAVMRGDLPPQKCRVALDSVEFVEEAQRGEVGSVLADIIAHLGQDVTISGEYRSRLVKMTKSFVESSLIAPRLLQERCEEEFLWEVELSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQIGSDLACQNSSSVTMSIIKSLIGHFDLDPNRVFDIVLECFELYPDNTIFCQLIPLFPKSHAAQILGFKFQYYQRLDVNSTVPPGLFRIAALLVKSGFIDLDSLYAHLLPNDDEAFEHFDSFVTRKIDEVNIHFVLLKFMFLEIFAISRHCERELYASNIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIDERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLARLNPVEHVEICDSLFRLIERSISSVYDIIFQTHYKMPRNPVYMMDTSPSSSQSFSVDPPKEFFQMLSACGPYLHRNTQLFQKVCRVLKAYHLSAKESIRTAGVMSPDSRIEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYETRYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVEAYRDMITPVVDAFKYLTQLEFDILQYIVIERLAQGGREKLKDDGLNLSDWLQCLASFWGHLCKKHHSVELRSLFQYLVNQLKKDTGIELVVLEELIQQMANVQYTENMTEEQVDAMAGSETLRLQASSLFGSTRNSKVLTKSTNKLRDSLLPKEEPKLAIPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSTAVTPTTYVQLIPPLEDLINKYHIEPDVAFLIYRPVMRLFKSTNGGDTYWPLDDNEEGESVSCDDLILHPDTSHKSIMWSDLLSTVRNTFPAKTWNGLSPDLYATFWGLTLYDLHFPKDRYDAEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESHKHQQHIASVLQRLGREKVKWLNSSPDALKINMEFFQRCIFPRCVFSMQDAVYCAMFVQTLHSLETPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYRWKSDESLYERECGNKPGFAVYIRYPNSQRVSYSQFVRVHWKWSGRITKVLNQCMESKEYMEIRNALIVLTKISSIFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGLLDLKQGPAKIVPGNQSADPLTAKDQSVRAKSIEGRHERSEAAMKPDAQQKKNALAANGSDNQMPSSSAQGKVSGIIRGSDEPPKLLSDEGVKVSKPTAESETRVPQKRVAHNAGKVSKHDMVKEDTKSGKSTNRGVTQQGSSVPVDKEVLSQAADGVQDTTSNSLAASNGNLHTAPRKVSASSQKSTLLATHTGGAANSSGESTDLIDSNMKQQKRFVPTEEQDRGTKRRKGESEGRDGDFTEHTDKDRNLDSRLVDKFRPMDHDKSASEEQILSRPEKSKEKADDKYDRDPREKADRTERRRGEDIERPTDKSLERRERSIERMQERGTDRAPDKGREDRNKEERNKVKHAEPSIDRAHPSDERFRGQSLPPPPPLPASFVPQSVGNRRDEDTDRRGGSTRHNQRSSPRRDDKEKWHLEDNAASLQDDGKHRREEDIRDRKREDRDVSSSKVDDRDRDKSSIVKDESDPNSASKRRKIKRDQSGLEAGEYAPSAPQLPSHGTSSSQVFETRERERKGAISQHRISHADDLPRIHGKDSSKTSRREADQ >ORUFI01G45160.1 pep chromosome:OR_W1943:1:36829046:36830318:1 gene:ORUFI01G45160 transcript:ORUFI01G45160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAGSSSSARRGRRVAAAEPPRRALRMRASSPMYYTSDDEDLPEIVLTPPAPAPPRTGTRSLRMHASPPDYGRRRTNRPRRVARTRSPPVVQEAEREPPAPQQPAAVVVERVFYMVGSPPDVITATGEGPGGRTVAGFRCRRLTSTRTLVDGEDAAARAAAISGARALVMCSCHGAPFTHAEFLLHAGGTDLGRNVTGYPWLGDEMELTPPGAGGPHL >ORUFI01G45170.1 pep chromosome:OR_W1943:1:36834766:36842840:-1 gene:ORUFI01G45170 transcript:ORUFI01G45170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPTAPPSTFLFLLLFLLAASNCSATSQVGGAGEEVEVARMVPVVEGGGGFSAMVLNETRRRLGSFQLCAPCTCCGGGGGGGGSRGGGCILSPCCYAINCNIPNRPFGFCSFTPKDAVDCGLDRS >ORUFI01G45170.2 pep chromosome:OR_W1943:1:36842205:36842840:-1 gene:ORUFI01G45170 transcript:ORUFI01G45170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPTAPPSTFLFLLLFLLAASNCSATSQVGGAGEEVEVARMVPVVEGGGGFSAMVLNETRRRLGSFQLCAPCTCCGGGGGGGGSRGGGCILSPCCYAINCNIPNRPFGFCSFTPKSCDCLGCNL >ORUFI01G45180.1 pep chromosome:OR_W1943:1:36835803:36838985:1 gene:ORUFI01G45180 transcript:ORUFI01G45180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWREGAGWCFCSGGGGGRSERVKAAIFSAKAAALAAVGGGHGGAGVLIHRNLLLTTHGNLPSAAAAEDAEALLGHARLAARLVPHRFFITSSILDLTIVGLDPAENETTLQAQQPHYLKTCCKPSLDHGSVVYLLGHTGKKELVIGEGKVVIGTDNLLKLSTDGVTWCPGSAGFDAQGNLAFMICDPMKLASSPTTRSSSTSSSSSHSSKKDHPMQFGVPISVVCDWLYQHWQGNLDEVSKPKLPLVRLMSSRSDHSSTSFTRRHVFKPADDENDDTSVSSQVTSKPKHQQASGSSANARISHDANPLVDLRANNEQGVSTPEIYESPRQSSCQGQKDAGSVQLLDINFPPSAPKTIFLPLPLKQMLSDENNADTSKPRNQSRDNGFPSGIIWHRNCEALSRDPPVAPLQDDCSSEGQSSSSPVELLEYRNEDHFSSEEETMYSAETMESRNIPSPREKHVGRSQSCVTYRRWSSPRMSTIQNGTLRKQHTLVPVRKSHSQNTSLPQRSHDYLSPTVSSAMKKRNSMEQQLPTKPRRSIAQTSPKWMF >ORUFI01G45190.1 pep chromosome:OR_W1943:1:36850132:36854183:-1 gene:ORUFI01G45190 transcript:ORUFI01G45190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGRASAPAVVTVTASAAAPTPPPPPPPPPPPPSQLPATAAATDEPSHDPAALYGEGMWQQMTMSGSGAMQPGPYPERSGEPDCTYYLRTGLCRFGMSCRFNHPQDRNLAIASARMKGEYPERMGQPECQYYLKTGTCKFGPTCKFHHPREKAGIAGRVQLNTLGYPLRPSEKECAYYLKTGQCKYGNTCKFHHPELFNAMASSRGSPIYPSVHSSATAGPPSYTGTMASWAFPRGSFIPSPRWQNPSNYAPMIVPQGLVQVPSWNSYTGQMMPVSSSESRLQSPGAQQTYGTSQQVDASAGNQGMLSPYRSSSYPVPQYALQRENVFPERPDQPECQYYMKTGDCKFGAVCKFHHPRVRSMPTPDCVLSPVGLPLRPGEELCKFYSRYGICKFGANCKFDHPTMAPPMGVYAYGSASTNVPMVRRLLQSPSASAYTS >ORUFI01G45200.1 pep chromosome:OR_W1943:1:36859899:36866983:1 gene:ORUFI01G45200 transcript:ORUFI01G45200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNSFWLFILLVSFIPISAWAESRDISTLFTLRDSITEGKGFLRNWFDSETPPCSWSGITCIGHNVVAIDLSSVPLYAPFPLCIGAFQSLVRLNFSGCGFSGELPEALGNLQNLQYLDLSNNELTGPIPISLYNLKMLKEMVLDYNSLSGQLSPAIAQLQHLTKISISMNSISGSLPPDLGSLKNLELLDIKMNTFNGSIPATFGNLSCLLHFDASQNNLTGSIFPGITSLTNLLTLDLSSNSFEGTIPREIGQLENLELLILGKNDLTGRIPQEIGSLKQLKLLHLEECQFTGKIPWSISGLSSLTELDISDNNFDAELPSSMGELGNLTQLIAKNAGLSGNMPKELGNCKKLTVINLSFNALIGPIPEEFADLEAIVSFFVEGNKLSGRVPDWIQKWKNARSIRLGQNKFSGPLPVLPLQHLLSFAAESNLLSGSIPSHICQANSLHSLLLHHNNLTGTIDEAFKGCTNLTELNLLDNHIHGEVPGYLAELPLVTLELSQNKFAGMLPAELWESKTLLEISLSNNEITGPIPESIGKLSVLQRLHIDNNLLEGPIPQSVGDLRNLTNLSLRGNRLSGIIPLALFNCRKLATLDLSYNNLTGNIPSAISHLTLLDSLILSSNQLSGSIPAEICVGFENEAHPDSEFLQHHGLLDLSYNQLTGQIPTSIKNCAMVMVLNLQGNLLNGTIPVELGELTNLTSINLSFNEFVGPMLPWSGPLVQLQGLILSNNHLDGSIPAKIGQILPKIAVLDLSSNALTGTLPQSLLCNNYLNHLDVSNNHLSGHIQFSCPDGKEYSSTLLFFNSSSNHFSGSLDESISNFTQLSTLDIHNNSLTGRLPSALSDLSSLNYLDLSSNNLYGAIPCGICNIFGLSFANFSGNYIDMYSLADCAAGGICSTNGTDHKALHPYHRVRRAITICAFTFVIIIVLVLLAVYLRRKLVRSRPLAFESASKAKATVEPTSTDELLGKKSREPLSINLATFEHALLRVTADDILKATENFSKVHIIGDGGFGTVYKAALPEGRRVAIKRLHGGHQFQGDREFLAEMETIGKVKHPNLVPLLGYCVCGDERFLIYEYMENGSLEMWLRNRADALEALGWPDRLKICLGSARGLAFLHHGFVPHIIHRDMKSSNILLDENFEPRVSDFGLARIISACETHVSTDIAGTFGYIPPEYGLTMKSTTKGDVYSFGVVMLELLTGRPPTGQEEVQGGGNLVGWVRWMIARGKQNELFDPCLPVSSVWREQMARVLAIARDCTADEPFKRPTMLEVVKGLKMTHGMECGPLVVTVSRDM >ORUFI01G45200.2 pep chromosome:OR_W1943:1:36859943:36866983:1 gene:ORUFI01G45200 transcript:ORUFI01G45200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNSFWLFILLVSFIPISAWAESRDISTLFTLRDSITEGKGFLRNWFDSETPPCSWSGITCIGHNVVAIDLSSVPLYAPFPLCIGAFQSLVRLNFSGCGFSGELPEALGNLQNLQYLDLSNNELTGPIPISLYNLKMLKEMVLDYNSLSGQLSPAIAQLQHLTKISISMNSISGSLPPDLGSLKNLELLDIKMNTFNGSIPATFGNLSCLLHFDASQNNLTGSIFPGITSLTNLLTLDLSSNSFEGTIPREIGQLENLELLILGKNDLTGRIPQEIGSLKQLKLLHLEECQFTGKIPWSISGLSSLTELDISDNNFDAELPSSMGELGNLTQLIAKNAGLSGNMPKELGNCKKLTVINLSFNALIGPIPEEFADLEAIVSFFVEGNKLSGRVPDWIQKWKNARSIRLGQNKFSGPLPVLPLQHLLSFAAESNLLSGSIPSHICQANSLHSLLLHHNNLTGTIDEAFKGCTNLTELNLLDNHIHGEVPGYLAELPLVTLELSQNKFAGMLPAELWESKTLLEISLSNNEITGPIPESIGKLSVLQRLHIDNNLLEGPIPQSVGDLRNLTNLSLRGNRLSGIIPLALFNCRKLATLDLSYNNLTGNIPSAISHLTLLDSLILSSNQLSGSIPAEICVGFENEAHPDSEFLQHHGLLDLSYNQLTGQIPTSIKNCAMVMVLNLQGNLLNGTIPVELGELTNLTSINLSFNEFVGPMLPWSGPLVQLQGLILSNNHLDGSIPAKIGQILPKIAVLDLSSNALTGTLPQSLLCNNYLNHLDVSNNHLSGHIQFSCPDGKEYSSTLLFFNSSSNHFSGSLDESISNFTQLSTLDIHNNSLTGRLPSALSDLSSLNYLDLSSNNLYGAIPCGICNIFGLSFANFSGNYIDMYSLADCAAGGICSTNGTDHKALHPYHRVRRAITICAFTFVIIIVLVLLAVYLRRKLVRSRPLAFESASKAKATVEPTSTDELLGKKSREPLSINLATFEHALLRVTADDILKATENFSKVHIIGDGGFGTVYKAALPEGRRVAIKRLHGGHQFQGDREFLAEMETIGKVKHPNLVPLLGYCVCGDERFLIYEYMENGSLEMWLRNRADALEALGWPDRLKICLGSARGLAFLHHGFVPHIIHRDMKSSNILLDENFEPRVSDFGLARIISACETHVSTDIAGTFGYIPPEYGLTMKSTTKGDVYSFGVVMLELLTGRPPTGQEEVQGGGNLVGWVRWMIARGKQNELFDPCLPVSSVWREQMARVLAIARDCTADEPFKRPTMLEVVKGLKMTHGMECGPLVVTVSRDM >ORUFI01G45210.1 pep chromosome:OR_W1943:1:36879885:36880826:-1 gene:ORUFI01G45210 transcript:ORUFI01G45210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPELRRTMTLSEQLSAPDQAIRDFLKIPHDGLGGGDGEGGRIPAAAGASGGGGGVGGMINWKPLRDRLRLRRAASAWMSASPKPAASNSGGGGGGGATLSSSNSGSNHSNKYNYSPGEAAAAFSRTFSRTRSLRPTPTFSRATSTRLGATAFSSGSRRAAVDGGGHANEDDDEDHTDDDDDDDEEEQGKDDAPAAQMSLMALLEQSDSQWDSEDEDDQGHGGGGGGGGNGGGGGGGGARKNGDGDDDEDDGEGREEEMVHVCCVCMVRHKGAAFIPCGHTFCRLCSRELWVSRGNCPLCNGFIQEILDIF >ORUFI01G45220.1 pep chromosome:OR_W1943:1:36888212:36896542:1 gene:ORUFI01G45220 transcript:ORUFI01G45220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGNGENMPIKVPKPVKDPAILSRVLNLKRNHVYFMKNFRENDGDLCIYDLDEQTLDIVRVHDRDLDLKSTKPFWIRTFNVN >ORUFI01G45230.1 pep chromosome:OR_W1943:1:36894555:36897338:-1 gene:ORUFI01G45230 transcript:ORUFI01G45230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGAIDDQSEMWGWQSQEYDLQKDLLAEEAADPSSCLWSEANQNAGDAWTMFDEQTPIKHCTDIDFQFCDIGDIIVKDFDEGKETLQAKRRRMLQFCSDNVEMDCAMAADGLSENLQLNLGFSGDQCLLNCDGTEELPEEWLVDCSPQESEPQCPPEDMSVHLSSPAVAMEEANLSVHKNSSSREQGNTVQKNTVQARPTPLKAGKNIIRARKVKTSVVYPFELIKPCGFHGDVTLHDINQRIHAPPPYKIKHKIDEEQLSYQTSAISGKPVVHKTKIHTEGGKGSITITRTRG >ORUFI01G45230.2 pep chromosome:OR_W1943:1:36894555:36897338:-1 gene:ORUFI01G45230 transcript:ORUFI01G45230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGAIDDQSEMWGWQSQEYDLQKDLLAEEAADPSSCLWSEANQNAGDAWTMFDEQTPIKHCTDIDFQFCDIGDIIVKDFDEGKETLQAKRRRMLQFCSDNVEMDCAMAADGLSENLQLNLGFSEMTPGDQCLLNCDGTEELPEEWLVDCSPQESEPQCPPEDMSVHLSSPAVAMEEANLSVHKNSSSREQGNTVQKNTVQARPTPLKAGKNIIRARKVKTSVVYPFELIKPCGFHGDVTLHDINQRIHAPPPYKIKHKIDEEQLSYQTSAISGKPVVHKTKIHTEGGKGSITITRTRG >ORUFI01G45240.1 pep chromosome:OR_W1943:1:36899986:36901662:-1 gene:ORUFI01G45240 transcript:ORUFI01G45240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAGEDEKKPAGGEGGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDIKSIAFLFDGRRLNAEQTPDQLEMEDGDEIDAMLHQTGGSLPA >ORUFI01G45250.1 pep chromosome:OR_W1943:1:36902784:36904413:-1 gene:ORUFI01G45250 transcript:ORUFI01G45250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGEEDKKPAGGEGGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMNAIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGCLPA >ORUFI01G45260.1 pep chromosome:OR_W1943:1:36905965:36908328:1 gene:ORUFI01G45260 transcript:ORUFI01G45260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRRAVNLRWSSLETEVEAAIAVEGGCGVDLAMVGRALGLDPATVRLNGYFVSRGRGHVSSAVTWRALLDFFAARGLPTGDAPAAPVAVHGKPAPPPPPPPVSDCTINVCPKRKFGLVSDCTTEICPKRKFGLVSDFTTEVCPKRKFGLASDCTTEVCPKRKFGLVSDCTTEVCPKRKFGLYAGKSLKKSKNSEDGVLSRTGADILSDEITLGLKRRLKLDDANPAKKMKQIECNTETQQPVKFSCSFINGHGKRSRDEEMITSFSCKRVR >ORUFI01G45270.1 pep chromosome:OR_W1943:1:36909246:36911881:-1 gene:ORUFI01G45270 transcript:ORUFI01G45270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAASSSSSSSATMAAAAASAAEASSFGSPASSRNARHRQRKGVRLRMLRRRGRQPVEAERAPGDGGGGAVQEDLALPLGMSFAAVLAQVINTKNISGQRLHPDFLSKIYGDSSNSFIKNFEKSFSSTFRTLHLVNEIPVNERSHIPECSFKHDDSVAVDSLSSSDLQNQTNRIEHDLVNTVESQLVLFASDNQQLTHLRHSRSSPEADNRILNAIDRSNELKEFEIGLTMRKLQLKQSQLALSSHSHMLEKIKLSFGFQKASFKGEKFKTRMQETRDAEILRTLIDFLVSAVIVMSACFGYGTYIYSYQRITDVTSACSATSKGSKSWWMPNSVSNFSSGCHVIAVTRMCFGILMILAIAWLAFQRSSTTGSNMPITFNLILLGIICGFAGRFCTNTLGGDGNTWLMYWEVLCSIHLLGNLFPSLLYHVLHGPISVSHREQVVWLPYWVRRCLFYAAVGLILPALTGLLPFASLSDWKDHFVEEIKSIVIGDKIEA >ORUFI01G45280.1 pep chromosome:OR_W1943:1:36912444:36913063:1 gene:ORUFI01G45280 transcript:ORUFI01G45280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYCSDRSSGSSHPSSRAPIPARVGPYDYQLAVMCRCGAKAVRWISWSVDNPGRRYYRCRNRGASCDFFDWYEPATSSFLRELLNDLREAVLSLRREKNELQRCVEELRPKVEERCLELGVAMNEVAQLRIVAAENEANMAAMRAIQM >ORUFI01G45290.1 pep chromosome:OR_W1943:1:36914053:36914395:-1 gene:ORUFI01G45290 transcript:ORUFI01G45290.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSTPSSIAQSRKRKSAELSTSTSACHTRKRTTPTKKSANTNNSLVKVAASARVATERGGSASVNLQAIVPHSQCSSSASVRITSGK >ORUFI01G45300.1 pep chromosome:OR_W1943:1:36927214:36930755:1 gene:ORUFI01G45300 transcript:ORUFI01G45300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSAPESMEADHQLTATVTAAASGDMPVTEQQQQQQMVAVAAPPATENADAAGGGGGGGGGDNGDHLPPTATSLLGGARRTGLHLFVLNARSALRLDELGAEVLRIAVPASLALTADPLASLIDTAFIGRIGSVEIAAVGVAIAVFNQVMKVCIYPLVSVTTSFVAEEDAILSKGAAGDDDDDDDGHDAKGHGASAAAVADLEKQQVVGVDSAETNGAEVSTAAVRTTDDKKAAAAGVGVGKCRRRFVPSVTSALIVGAFLGLLQAVFLVAAGKPLLRIMGVKPGSPMMIPALRYLVVRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVTGDLANIALDPILIFTCRFGVVGAAIAHVISQYLITLIMLCKLVRKVDVIPSSLKSLKFRRFLGCGFLLLARVVAVTFCVTLAASLAARHGATAMAAFQICAQVWLASSLLADGLAVAGQALLASAFAKKDHYKVAVTTARVLQLAVVLGVGLTAFLAAGMWFGAGVFTSDAAVISTIHRGVPVGVAAVTIPCLVLLSSHGGFVGIWIALAIYMSVRAFASTWRMGAARGPWKFLRK >ORUFI01G45300.2 pep chromosome:OR_W1943:1:36927214:36929158:1 gene:ORUFI01G45300 transcript:ORUFI01G45300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSAPESMEADHQLTATVTAAASGDMPVTEQQQQQQMVAVAAPPATENADAAGGGGGGGGGDNGDHLPPTATSLLGGARRTGLHLFVLNARSALRLDELGAEVLRIAVPASLALTADPLASLIDTAFIGRIGSVEIAAVGVAIAVFNQVMKVCIYPLVSVTTSFVAEEDAILSKGAAGDDDDDDDGHDAKGHGASAAAVADLEKQQVVGVDSAETNGAEVSTAAVRTTDDKKAAAAGVGVGKCRRRFVPSVTSALIVGAFLGLLQAVFLVAAGKPLLRIMGVKPGSPMMIPALRYLVVRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVTGDLANIALDPILIFTCRFGVVGAAIAHVISQ >ORUFI01G45310.1 pep chromosome:OR_W1943:1:36936377:36943739:-1 gene:ORUFI01G45310 transcript:ORUFI01G45310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEWINGYLEAILDSGGAAGGGGGGGGGGGGGGGGGGGGGGGGVDPRSPAAGAASPRGPHMNFNPTHYFVEEVVKGVDESDLHRTWIKLELEGILRISARRKEQEQVRRETSEDLAEDLFEGEKADTVGELVQQDTPMKKKFQRNFSELTVSWSDENKEKKLYIVLIRSYTAYFTHWCYSVYATKLQNFTEFLCSLFYFSLHGLVRGDNMELGRDSDTGGQVKYVVELARALAMMPGVYRVDLFTRQVSSPEVDWSYGEPTEMLTSGSTDGEGSGESAGAYIVRIPCGPRDKYLRKEALWPYLQEFVDGALAHILNMSKALGEQVSNGKVVLPYVIHGHYADAGDVAALLSGALNVPMVLTGHSLGRNKLEQIMKQGRMSKEEIDSTYKIMRRIEGEELALDAAELVITSTRQEIDEQWGLYDGFDVKLEKVLRARARRGVSCHGRFMPRMVVIPPGMDFSSVVVPEDISDGDDGKEFEIASPRSLPPIWAEVMRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLILIMGNRDDIDEMSAGNASVLTTVLKLIDKYDLYGSVAFPKHHKQSDVPEIYRLTGKMKGVFINPALVEPFGLTLIEAAAHGLPTVATKNGGPVDIKNALNNGLLVDPHDQHAISDALLKLVADKNLWQECRKNGLRNIQLYSWPEHCRTYLTRIAGCRIRNPRWLMDTPADAAAEEEEALEDSLMDVQDLSLRLSIDGERGSSMNDAPSSDPQDSVQRIMNKIKRSSPADTDGAKIPAEATATATAGAMNKYPLLRRRRRLFVIAVDCYGDDGSASKRMLQVIQEVFRAVRSDSQMSRISGFALSTAMPLPETLKLLQLGKIPPTDFDALICGSGSEVYYPGTAQCVDAGGRLRPDQDYLLHINHRWSHDGAKQTIAKLAHDGSGTNVEPDVESCNPHCVSFFIKDPNKVRTIDEMRERMRMRGLRCHLMYCRNATRLQVVPLLASRSQALRYLFVRWGLSVGNMYLIVGEHGDTDHEEMLSGLHKTVIIRGVTEKGSEQLVRSSGSYQREDVVPSESPLIAFTKGDLKADEIMRALKEVTKAASGMDWQRPLCKTAYWFQSRVYTNMEEEEMTMLSLGVGAASKHSISNRKFRLKEVTDHKFNPGDQDHNSGHARKKLRLSEEQLTVLENMYEADSNLDQALKQGLAEKLNIKPRQVEVWFQNRRARTKHKQIEEECKNRGGWRA >ORUFI01G45320.1 pep chromosome:OR_W1943:1:36950647:36955916:1 gene:ORUFI01G45320 transcript:ORUFI01G45320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDFARRVPKRRRTNKLLSQLLPDLNSLPAEGADDGGSPSSSVLVSHAQTSAVAVAGTSQHLVPAVVAGPHIGMSSCPIIVDDINDDVVIYSASSFPQVRQQAPRTEPVVTIEDDSETTPGQAGDAVDEHVDILLSLTLGRYPRHGHQRSSNISTSPVIHIIDTPSNVLKLEFLQYTYQALPEPEKAVPKEPTFNCPVCMNELVEPSSTICGHIFCKQCIKASIQAQKKCPTCRRKLTMNNFHRVYLPSAE >ORUFI01G45330.1 pep chromosome:OR_W1943:1:36962035:36967460:1 gene:ORUFI01G45330 transcript:ORUFI01G45330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSQVASVSDGLPQAQGPAAPNDSPRKRFSGVAPPAALIFFVLVFAGGAIVTLDHKENLSILQLRPREMVVSETETRAPTSEVQETETRAPTSEVQEAGTGAAAGGVQRAELAGSSICENQCRPSGSEPLPKGIVQDKSNFEMEPLGGNPERRAAVARPAKSLLAIPVGIKQKAVVDKLVSKFPGDRFTVMLFHYDGEMDGWRELGWSDRAIHVAAKDQTKWWFGKRFLHPDMVAEYEYIFLWDEDIEVDGFDPIRYLRVIRRERLEISQPALDHRSQIHHRLTLRARKGQVHRRFYKTRGGGRCDDNSTGPPCTGWVEMMVPVFSRAAWRCAWHMIQNDLIYAWGLDFKLGYCAGGDRRLAVGIVDSEYVLHRGIPTLGDGGGKPAAKRSSTATKAATDRLAVRQRSYTELQIFNRRWKAAAEGDVCWTDPYP >ORUFI01G45330.2 pep chromosome:OR_W1943:1:36962035:36967460:1 gene:ORUFI01G45330 transcript:ORUFI01G45330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGPAAPNDSPRKRFSGVAPPAALIFFVLVFAGGAIVTLDHKENLSILQLRPREMVVSETETRAPTSEVQETETRAPTSEVQEAGTGAAAGGVQRAELAGSSICENQCRPSGSEPLPKGIVQDKSNFEMEPLGGNPERRAAVARPAKSLLAIPVGIKQKAVVDKLVSKFPGDRFTVMLFHYDGEMDGWRELGWSDRAIHVAAKDQTKWWFGKRFLHPDMVAEYEYIFLWDEDIEVDGFDPIRYLRVIRRERLEISQPALDHRSQIHHRLTLRARKGQVHRRFYKTRGGGRCDDNSTGPPCTGWVEMMVPVFSRAAWRCAWHMIQNDLIYAWGLDFKLGYCAGGDRRLAVGIVDSEYVLHRGIPTLGDGGGKPAAKRSSTATKAATDRLAVRQRSYTELQIFNRRWKAAAEGDVCWTDPYP >ORUFI01G45340.1 pep chromosome:OR_W1943:1:36967862:36973375:1 gene:ORUFI01G45340 transcript:ORUFI01G45340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAAPRFHAAALPLRLRLRTRPQKLPCWRRAARPNDEDYYLIDAPESIGDGFSFSGGKYTDGPSKSDEWFAQGRMVKAHPVYGNKGKAKDPIFGLTMGAESQSSNDVFRWFCVEAGSSSNPPVLFIHGFPSQAYSYRNVLPVVSDNYHAIAFDWLGFGFSDKPQPDYGFDYTLDEYTSSLESLINAVAPDKLSIVVQGYFAPIVIKYANEHQDKLNHLILVNPPITDKHAKLPSTLACFSNFLLGEIFSQDPLRASDKALTSSGPYMMKEEDAMVYRRPYLVSGSSGFALNAISRAMKKDLKVYIESMRNILSSDSWKTKTTVCWGLRDRWLNYDGVEDFCGSANYKILELPMAGHHVQEDRGEELGKLRMTAFMWGRTLEHAKNRSST >ORUFI01G45340.2 pep chromosome:OR_W1943:1:36967862:36973375:1 gene:ORUFI01G45340 transcript:ORUFI01G45340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAAPRFHAAALPLRLRLRTRPQKLPCWRRAARPNDEDYYLIDAPESIGDGFSFSGGKYTDGPSKSDEWFAQGRMVKAHPVYGNKGKAKDPIFGLTMGAESQSSNDVFRWFCVEAGSSSNPPVLFIHGFPSQAYSYRNVLPVVSDNYHAIAFDWLGFGFSDKPQPDYGFDYTLDEYTSSLESLINAVAPDKLSIVVQGYFAPIVIKYANEHQDKLNHLILVNPPITDKHAKLPSTLACFSNFLLGEIFSQDPLRASDKALTSSGPYMMKEEDAMVYRRPYLVSGSSGFALNAISRAMKKDLKVYIESMRNILSSDSWKTKTTVCWGLRDRWLNYDGVEDFCGSANYKILELPMAGHHVQEDRGEELGKLVKRILRGQNVPVQ >ORUFI01G45350.1 pep chromosome:OR_W1943:1:36970901:36977421:-1 gene:ORUFI01G45350 transcript:ORUFI01G45350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVAATVPLYFALFLGYGSVRWWRIFTREQCDAVNRLVAFFALPFFTFEFTLHTDPFQVNYRAVAADVISKAVIVAVIGAWARFMSKGGCAVSWSITSFSLSTLTNSLVVGVPMARAMYGEWAQQLVVQLSVFQAIVWLTLLLFVLEVRKAAIGMYVDGAEAAAAAGKDVEAAGAAAAAGTVVVATAAGKPSLWALVKVVAHKLARNPNTYASFVGITWACLANRLHIALPSAFEGSVLIMSKSGTGMAMFSMGLFMAQQEKIIACGTSFAALGLVLKFALGPAAMAIGSIAVGLRGDVLRVAIIQASYLYRLDPCTSLSLPFLALLNIKAALPQSITSFIFAKEYGLHADVLSTAVIFGMLVSLPLEESVSFGNAP >ORUFI01G45350.2 pep chromosome:OR_W1943:1:36970580:36977421:-1 gene:ORUFI01G45350 transcript:ORUFI01G45350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVAATVPLYFALFLGYGSVRWWRIFTREQCDAVNRLVAFFALPFFTFEFTLHTDPFQVNYRAVAADVISKAVIVAVIGAWARFMSKGGCAVSWSITSFSLSTLTNSLVVGVPMARAMYGEWAQQLVVQLSVFQAIVWLTLLLFVLEVRKAAIGMYVDGAEAAAAAGKDVEAAGAAAAAGTVVVATAAGKPSLWALVKVVAHKLARNPNTYASFVGITWACLANRLHIALPSAFEGSVLIMSKSGTGMAMFSMGLFMAQQEKIIACGTSFAALGLVLKFALGPAAMAIGSIAVGLRGDVLRAALPQSITSFIFAKEYGLHADVLSTAVIFGMLVSLPLVILNIFSPGEDKRRVGVG >ORUFI01G45360.1 pep chromosome:OR_W1943:1:36981912:36985479:-1 gene:ORUFI01G45360 transcript:ORUFI01G45360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMALRPNDVTLRLTPPLAAAARRNRRAAAGGVRVYAVASGAVSTKVENKKPFAPPREVHVQVTHSMPPQKIEIFKSLDDWARDNILSHLKPVEKCWQPQDFLPDPASDGFHDEVKELRERAKEIPDDYFVCLVGDMITEEALPTYQTMLNTLDGVRDETGASPTAWAVWTRAWTAEENRHGDLLNKYLYLTGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARHAKDFGDLKLAQICGIIASDEKRHETAYTKIVEKLFEIDPDGTVLAFADMMKKKISMPAHLMFDGEDDKLFEHFSMVAQRLGVYTAKDYADILEFLVSRWKISDLTGLSSEGNKAQDYLCTLAARIRRLDERAQSRAKKAGTLPFSWVYGREVQL >ORUFI01G45370.1 pep chromosome:OR_W1943:1:36988243:36991923:-1 gene:ORUFI01G45370 transcript:ORUFI01G45370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFFHHVVGDLTVGKPEVVELHDTDTLDAAARAIAASPEGAVPVWRPRAAPDEPPSGARFLGMISALDIAAFVAASGVGDRAMAAVVGEVVQPNPGLLREVDPGTRLIDALDLMKQGVKRFLVRKNGAWRGISKRFSVLYNGKWLKNMEATSPTSASSSRELSSSTSSTYKFCCLSREDILRFLIGCLGALAPIPLSPISSLGAINPHYCHVDASVPAMEAIQKVPPDPSAVAVVETTPDGTRKILGDISAYKLWKCDYVAAAWALINLSAGQFVIGADDNESTPISAIPVPPISSSLVEEIGPGRSPRAKKFSSRSIGFLNSQAHQMAFGRMRSMYRGRSAPLMCKSTSSLAAVMAQMLSHRATHVWVTDAESEDGVLVGVVGYTDIFNAVTKSVYPAAS >ORUFI01G45380.1 pep chromosome:OR_W1943:1:37002456:37005124:1 gene:ORUFI01G45380 transcript:ORUFI01G45380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASVHKDLGFPKKLFLASSPTKEKKAANGKGGGGGVSVDLKRKEQQQAAAAGVGVRSPGSGSKDEMFFDSRAWLDSDCEDDFYSVNGDFTPSRGSTPNYQPRTQTVMSNVFVPDNVQNSKSPEPSPTGRRKLAELLQEAMQNGSEDSTDASVPDISKNEKQQFQPVSAAVKPVSESSSTCSTEPTPIRGAKNRKEKAWYTGRCCLPAFVHTLDLDERRQKMTPGPCAV >ORUFI01G45390.1 pep chromosome:OR_W1943:1:37006061:37008110:-1 gene:ORUFI01G45390 transcript:ORUFI01G45390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEREPRNEAGSKQAGRGGESNPIMRASINRPPTPNREEDPQKELSLREIINVKAVDSPATGDVQSPKKRLVESGEKEKLMELLRERLVECGWRDEMKALCRAYARKKGRNNVTVDDLIHVITPKGRASVPDSVKAELLQRIQSFLMSSSSLR >ORUFI01G45390.2 pep chromosome:OR_W1943:1:37006061:37008110:-1 gene:ORUFI01G45390 transcript:ORUFI01G45390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEREPRNEAGSKQAGRGGESNPIMRASINRPPTPNREEDPQKELSLREIINVKFLPLGAEKAVDSPATGDVQSPKKRLVESGEKEKLMELLRERLVECGWRDEMKALCRAYARKKGRNNVTVDDLIHVITPKGRASVPDSVKAELLQRIQSFLMSSSSLR >ORUFI01G45390.3 pep chromosome:OR_W1943:1:37006061:37008110:-1 gene:ORUFI01G45390 transcript:ORUFI01G45390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEREPRNEAGSKQAGRGGESNPIMRASINRPPTPNREEDPQKELSLREIINVKLVESGEKEKLMELLRERLVECGWRDEMKALCRAYARKKGRNNVTVDDLIHVITPKGRASVPDSVKAELLQRIQSFLMSSSSLR >ORUFI01G45400.1 pep chromosome:OR_W1943:1:37010492:37020852:1 gene:ORUFI01G45400 transcript:ORUFI01G45400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAGLLPWPAPSAARLAAPTRTRPPPRTRVRPPPPPAPPPPPPPRLEPVVVVAPSTTTATATLPPIITPTLSPSTSTCLECVHFNSCSGCTHEVDLDKPPVLQEVENFFKGHGVGDFTFSRGRLREWRCRAKLAIRGTPENPLIGLYQEGTHAVADIPECRAISELNIEPFDEDASTGELRYVQMAVTTYNTSIPVAKRYEQGRVQVSLVWNSRDERSKNAEKLSLLSEIIFGHKWRHLIGENDLWERYGGVDISLDPYSFGQANTLSFNALLHTLHKYVPRGSTVVDLYSGAGVIGLSLAASKKCRSVKCVEINKLSKMSFEKSASRLPPNLGCTITWHNTDASVEPVHWLEGSSVVIVDPPRKGLHPSVICALQKVALSERKAYKAKSTLTKVKDEKRPWILRAREAAVQVDSTPLEGGSETWPETLIYISCGWDSFKKDCKSLMSSKAWHLQNAHAFNFFPGTDSIEVLAIFKRESEAVQKKKKKAKKKKAK >ORUFI01G45400.2 pep chromosome:OR_W1943:1:37010492:37016364:1 gene:ORUFI01G45400 transcript:ORUFI01G45400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAGLLPWPAPSAARLAAPTRTRPPPRTRVRPPPPPAPPPPPPPRLEPVVVVAPSTTTATATLPPIITPTLSPSTSTCLECVHFNSCSGCTHEVDLDKPPVLQEVENFFKGHGVGDFTFSRGRLREWRCRAKLAIRGTPENPLIGLYQEGTHAVADIPECRAISELNIEPFDEDASTGELRYVQMAVTTYNTSIPVAKRYEQGRVQVSLVWNSRDERSKNAEKLSLLSEIIFGHKWRHLIGENDLWERYGGVDISLDPYSFGQANTLSFNALLHTLHKYVPRGSTVVDLYSGAGVIGLSLAASKKCRSVKCVEINKLSKMSFEKSASRLPPNLGCTITWHNTDASVEPVHWLEGSSVVIVDPPRKGLHPSVICALQKVALSERKAYKAKSTLTKVKDEKRPWILRAREAAVQVDSTPLEGGSETWPETLIYISCGWDSFKKDCKSLMSSKAWHLQNAHAFNFFPGTDSIEVLAIFKRESEAVQKKKKKAKKKKAK >ORUFI01G45410.1 pep chromosome:OR_W1943:1:37018059:37025546:-1 gene:ORUFI01G45410 transcript:ORUFI01G45410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGIYSSSAPPSTAAATAAAAAAAVGSSVIPIVNKLQDIFSQLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVHQPRRPADAEADEWGEFLHLPGRRFYDFREIRREIQAETDREAGGNKGVSDKQIRLKIYSPNVLNITLVDLPGITKVPVGDQPTDIEARIRTMILSYIKHKTCIILAVSPANADLSNSDALQIARNADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLRLGYVGVVNRSQQDIKSDLSIKEALAREESFFRNHPAYNGLAQYCGIPQLAKKLNQILVQHIKTVLPGLKSRISSQLTTTAKELSFYGDPVESKAGQGAKLLNILAKYCEAFSSMVEGKNEDISTIELCGGARIHYIFQSIYVKSLEDVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCAGFIYDELVKMSHRCLAVELQQFPLLRRSMDEVIGRFLRDGLKPAQDMIAHIIEMEVDYINTSHPNFIGGSKAVEQAQQQVRSSRLAAVARREGVDADKSQASDKTQKPRALLGRTGVNGVVTDHLQGLRPAAEAERPGSSGSGSTSFWGSMSIFSSTSDDRTHSSAKDNSSNKSYTASTSHLEHSLSTIQLREPPVVLKPSESQSEQEALEIAITKLLLKSYYNIVRKNVEDFVPKAIMHFLVNHTKRELHNYLITKLYRDDLFADMLREPDEITIKRRQIRDTLKVLQQAYKTLDEIPLEADTVERGYSLDADATGLPRAHGLSSSFQDGSSPYSTPKQPRSRKSSHSGEQLPFNPDASGNGF >ORUFI01G45420.1 pep chromosome:OR_W1943:1:37027612:37052599:1 gene:ORUFI01G45420 transcript:ORUFI01G45420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRGETAVISCTNALATGQPMMMGGQQSALNQLVSFLLGVSAAAVLIFFFSSAGGGWSTTTDLSSWANGTVAATAKETNLTSTAAHVEEKANLTNSQAAAAEAAKEEEEKELEKLLAAVADEHKNIIMTSVNEAWAAPGSLLDLFLEGFRAGEGIARFVDHLLIVALDDGAFRRCRDVHPHCYRLAVAGRNFTDEKVFMSEDYLDLVWSKVKLQQRILELGYNFLFTDVDILWFRDPFEQMSMAAHMVTSSDFFIGGAYNPANFPNTGFLYVRSSRRAVGVMEAWRAARASYPGRHEQQVLNEIKRELVERRGVRIQFLDTAHVAGFCSNTRDFATLYTMHANCCVGLGAKLHDLRNLLEEWRAYRRMPDEQRRQGPVRWKIKGNLRRFFVFLFELWLAATLVLVLLCVLANTGGSPEMPAAAEVCNCSQIGIASSRISEEVTGTSDLAELLPKVATDDRTVIITSVNEAFARPNSLLVLFRESFAAGEKIAHLLDHVLVVAVDPAAFHHCRAVHPHCYHLKVDTMNLSSANNFMSEAYVELVWTKLSLQQRVLELGYNFLFTPFTSTLESSRESEMGLGLGGGGGMAMINRNHVVSFLAGAALPTLLLFFLASDRVSEQLAIVSSWGSGGSSSAAAADHDLRGAGGDAAPPPAQQEKFPGLPELLPKVAMEDRTVIITSVNEAWAAPGSLLDLYRDSFKNGEGIAHLLDHVLVVAVDPAGFRRCKAVHPHCYLLHVKSINLTSATRFMSREYLELVWTKLSLQQRDCDMVLFRDPFRHIAVYADMSTSSDDYSAARAPLDNPLNTGLYYVKATSQSVEMLRYWQAARPRFPGAHDQAVFGHIKHELVAKLRARIEPLDTLYFGGFCEYHDDLARAVTMHADCCVGLDTKVHDLTDIAADWKNYTGMSPEERKKGGFKWTYPTRCRNSIGWRMASSKNGLSPVVVFLLGAASATALIVFVFTSTASPAWPTPEATPATRQEKKAAAVACAPRAKRIDSETRRAARTNQTGGGDDDDEFARMVRRAAMEDRTVIMTSVNEAWAAPGSLMDSFLESFRVGENISHFVEHIVVVAMDEGALRRCRAIHPHCYLLLPEVAGLDLSGAKIYMTKDYLDLVWSKLKLQQRASMIVGETRGVDDEEHDARWHWQDVDLAWFRNPMVHITAAADITTSSDFYFGDPDDLGNYPNTGFIYFKATPRNARAMAYWHAARRRFPGEHDQFVFNEIKRELAAGAGEGGGVGVRIRFIDTAAVSGFCQLGRDLNRIATVHMTCCIGLENKLHDLRNVIRDWRRYVARPRWERQMGKIGWTFEGGNEGLA >ORUFI01G45420.2 pep chromosome:OR_W1943:1:37027612:37052599:1 gene:ORUFI01G45420 transcript:ORUFI01G45420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRGETAVISCTNALATGQPMMMGGQQSALNQLVSFLLGVSAAAVLIFFFSSAGGGWSTTTDLSSWANGTVAATAKETNLTSTAAHVEEKANLTNSQAAAAEAAKEEEEKELEKLLAAVADEHKNIIMTSVNEAWAAPGSLLDLFLEGFRAGEGIARFVDHLLIVALDDGAFRRCRDVHPHCYRLAVAGRNFTDEKVFMSEDYLDLVWSKVKLQQRILELGYNFLFTDVDILWFRDPFEQMSMAAHMVTSSDFFIGGAYNPANFPNTGFLYVRSSRRAVGVMEAWRAARASYPGRHEQQVLNEIKRELVERRGVRIQFLDTAHVAGFCSNTRDFATLYTMHANCCVGLGAKLHDLRNLLEEWRAYRRMPDEQRRQGPVRWKIKGNLRRFFVFLFELWLAATLVLVLLCVLANTGGSPEMPAAAEVCNCSQIGIASSRISEEVTGTSDLAELLPKVATDDRTVIITSVNEAFARPNSLLVLFRESFAAGEKIAHLLDHVLVVAVDPAAFHHCRAVHPHCYHLKVDTMNLSSANNFMSEAYVELVWTKLSLQQRVLELGYNFLFTDCDMVLFRDPFRHIAVYADMSTSSDDYSAARAPLDNPLNTGLYYVKATSQSVEMLRYWQAARPRFPGAHDQAVFGHIKHELVAKLRARIEPLDTLYFGGFCEYHDDLARAVTMHADCCVGLDTKVHDLTDIAADWKNYTGMSPEERKKGGFKWTYPTRCRNSIGWRMASSKNGLSPVVVFLLGAASATALIVFVFTSTASPAWPTPEATPATRQEKKAAAVACAPRAKRIDSETRRAARTNQTGGGDDDDEFARMVRRAAMEDRTVIMTSVNEAWAAPGSLMDSFLESFRVGENISHFVEHIVVVAMDEGALRRCRAIHPHCYLLLPEVAGLDLSGAKIYMTKDYLDLVWSKLKLQQRASMIVGETRGVDDEEHDARWHWQDVDLAWFRNPMVHITAAADITTSSDFYFGDPDDLGNYPNTGFIYFKATPRNARAMAYWHAARRRFPGEHDQFVFNEIKRELAAGAGEGGGVGVRIRFIDTAAVSGFCQLGRDLNRIATVHMTCCIGLENKLHDLRNVIRDWRRYVARPRWERQMGKIGWTFEGGNEGLA >ORUFI01G45430.1 pep chromosome:OR_W1943:1:37052876:37061298:1 gene:ORUFI01G45430 transcript:ORUFI01G45430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGSSSVRRPVAAARSRSGPEPDARRAAAATAAAAAAARRRGRGDHGPLRLMEVSPRNLLLVGTASVALLAVAFVVYTGVWQGKADGEVETPLRRVVRSVTPLDAPRMMDLPQFQGDHKESLYWGTYRPNVYLGIRARTPLSLIAGIMWIGAKNGQYFLRHVCQDSDELSTYGWTDHNGRDYGHQVLVDHGLLLTTSFLKEKGEGSGYGGDWAVRLNAKTDGPSLSEDQESTTHLFFYIADEAGNSITMDSHIPSSRGHVLLASGSREEIGDWKVYLRSEENLEIHRAGFKSISMHNLSDLVQQALATNAMQSGNLNLPDMAEDSSNVIVYQVSMKRSAEVDIVFLSGAASENPMIEERINRLTGPVLSTRLESKQKDFEKRYDQIFNVNNKINPKELSVGVAALSNLLGGIGYFYGQSKIALPKGFTQKNGDKYIPYWPAALYTAVPSRSFFPRGFLWDEGFHQLVIWRWDVHISMDIIGHWLDLINADGWIPREQILGAEALSKVPEEFVLQYPSNGNPPTLFLALRDLASGIHANQFSDEESEKISTFLKRAYVRLNSWFQWFNSTQTGKYEGTFYWHGRDSMATRELNPKTLTSGLDDYPRASHPNDEERHVDLRCWMLLATNCMCSIAEFLKTDSSLEKDYYKMSNQLSDFGILNKMHLDDKTGAYFDYGNHTEKVRLRWYEVRENDVMRRELLRETLQPPQLQLVPHVGYVSMFPFMMGAIPPESWVLEKQLDLISNSSILWTNYGLRSLSRTSSIYMKRNTEHDPPYWRGAIWINMNYMILSGLHHYAHEDGPYKDRAKELYDELRSNLIRNIVKNYHETGFFWENYDQKNKGKGKGARSFTGWTSLVVLIMGESYPTLHR >ORUFI01G45440.1 pep chromosome:OR_W1943:1:37062140:37065258:1 gene:ORUFI01G45440 transcript:ORUFI01G45440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSRAVAVGGALLLLLVFAVPTTFLYLTSAPAASSPSLLLNLKPFGARCAPAAAAAPPLRVFMYDLPRRFHVGMMDASASGFPAWPPSAGGIRRQHSVEYWMMASLQGGGGGGNGSSSEEGREAVRVTDPDAAEAFFVPFFSSLSFNVHGRNMTDPETEADRLLQVELMEILWKSKYWQRSAGRDHVIPMHHPNAFRFLRDMVNASILIVADFGRYTKELASLRKDVVAPYVHVVDSFLNDDPPDPFDARPTLLFFRGRTVRKDEGKIRAKLAKILKGKDGVRFEDSLATGEGIKTSTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSSRIELPFEDEIDYSEFSLFFSVEEALRPDYLLNQLRQIQKTKWVEIWSKLKNVSHHYEFQNPPRKGDAVNMIWRQVKHKVPAVNLAIHRNRRLKIPDWWG >ORUFI01G45450.1 pep chromosome:OR_W1943:1:37067210:37072493:1 gene:ORUFI01G45450 transcript:ORUFI01G45450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPGGQEKVIAAAQHIVKSLANSKNAADDMIRILSGFDDRLTFMSDLFPPPPPAAAAVREPSVVEEVEEEEAGPDDAREDEVEEAAELVERWDSPEEGDRLVFDSAKDAGDYLDAAAVLVGARGARAEAALQAAMARLEDEFRHLLARGMSPLAGEDLHASLLRRLSLTVPSFASSASDLDCPSFASHTGDGDESGGAGGRASVSDEEISPYLISPDTVGALRGIADVMLRAGYGPELCQVYGEMRRDTLMECLAVLGVDKMSLEEVQRVEWGVLDGKMKKWIQALKVVVRGLLAEERRICNQIFAADAEAEEDCFTEAAKGCVLQLLNFGDAIAIGKRSSEKLFRILGMYEALDEVLPELEGLFSGDARDFIKEEAVGILMRLGDAVRGTVAEFANAIQGETSRRALPGGEIHPLTRYVMNYVRLLADYSRSLNQLLEDWDTELENGGDNVNMTPLGQCVLILITHLQAKIEEKSKLYEDEALQNIFLMNNLLYIVQKVKDSELKTLLGDNWIRQRRGQIRRYSTGYLRSSWTRVLACLRDDGLPQTMGSSSALKGSLKERFKNFNLAFEELYKTQTTWKVVDPQLREELKISISEKVLPAYRSFVGRFRGQLEGGRNSARYIKYNPEDLENQRENAIEEIPKVARRLVHQLGDYVSESDEPIQVTMFICFSPELCLAFAMNSCAVSVLQICNSIDSVNIFFPKKTEGDHPNVLR >ORUFI01G45450.2 pep chromosome:OR_W1943:1:37067210:37070433:1 gene:ORUFI01G45450 transcript:ORUFI01G45450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPGGQEKVIAAAQHIVKSLANSKNAADDMIRILSGFDDRLTFMSDLFPPPPPAAAAVREPSVVEEVEEEEAGPDDAREDEVEEAAELVERWDSPEEGDRLVFDSAKDAGDYLDAAAVLVGARGARAEAALQAAMARLEDEFRHLLARGMSPLAGEDLHASLLRRLSLTVPSFASSASDLDCPSFASHTGDGDESGGAGGRASVSDEEISPYLISPDTVGALRGIADVMLRAGYGPELCQVYGEMRRDTLMECLAVLGVDKMSLEEVQRVEWGVLDGKMKKWIQALKVVVRGLLAEERRICNQIFAADAEAEEDCFTEAAKGCVLQLLNFGDAIAIGKRSSEKLFRILGMYEALDEVLPELEGLFSGDARDFIKEEAVGILMRLGDAVRGTVAEFANAIQGETSRRALPGGEIHPLTRYVMNYVRLLADYSRSLNQLLEDWDTELENGGDNVNMTPLGQCVLILITHLQAKIEEKSKLYEDEALQNIFLMNNLLYIVQKVKDSELKTLLGDNWIRQRRGQIRRYSTGYLRSSWTRVLACLRDDGLPQTMGSSSALKGSLKERFKNFNLAFEELYKTQTTWKVVDPQLREELKISISEKVLPAYRSFVGRFRGQLEGGRNSARYIKYNPEDLENQYSLLLIKKETIVNYYIAPIIMYLSISDHEVVLQFHYTFCYDS >ORUFI01G45460.1 pep chromosome:OR_W1943:1:37070810:37072374:-1 gene:ORUFI01G45460 transcript:ORUFI01G45460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARREVRTKEEQWRGEERVVESPLGSARWSPEAEIGMRVEDIWDSLDQPQLSDRDRLNSCFDAIPVASFPHTFDGAQVVEIPSDATLAEAVDILSRHRIITAPVRNVDAPDDASWIDRYIGVVEFAGIAVWLLHQSEAAAARADDLGADELAAKLGTVALEAAAAARAPDQQQSAEGAVAEAFGALPSSDLFNKTKVKDISGSFRWAPFLALQSSDTFLTMLLLLSKYRMKSLPVVDIGEGTISNVITQAAVVHMLAECAGLHWFEDWGAKSLTELGLPMIRPSRLVKVRHDEPALKAFRLMRKRGVGGIPVVDHAGKPTGSIMIKDVKHLLPPPPPQKHLHLLVFGVSSLWTLTAQEFIANARQSSGEKQMNIVTCKKEESIKEIIFKLDAEKRQRIYVVDEQGNLDGLITLRDIIAKLVYEPPGYFGDFFNGVFPLPQNSRV >ORUFI01G45470.1 pep chromosome:OR_W1943:1:37072733:37076761:1 gene:ORUFI01G45470 transcript:ORUFI01G45470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGAMSDPERMFFFDLACQNAKVTYEQNPHDADNLTRWGGALLELSQMRNGPESLKCLEDAESKLEEALKIDPMKADALWCLGNAQTSHGFFTSDTVKANEFFEKATQCFQKAVDVAPELHMEIHRQMASQASQAASSTSNTRKIWHNFHMVDFKIYVDNDPTFSIPAKNPYPSQARDSEILWQSRKKKKDSDFWYDVFGWVVLGVGMVVWVGLAKSNAPPQAPR >ORUFI01G45470.2 pep chromosome:OR_W1943:1:37073121:37076761:1 gene:ORUFI01G45470 transcript:ORUFI01G45470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGPESLKCLEDAESKLEEALKIDPMKADALWCLGNAQTSHGFFTSDTVKANEFFEKATQCFQKAVDVAPELHMEIHRQMASQASQAASSTSNTRKIWHNFHMVDFKIYVDNDPTFSIPAKNPYPSQARDSEILWQSRKKKKDSDFWYDVFGWVVLGVGMVVWVGLAKSNAPPQAPR >ORUFI01G45480.1 pep chromosome:OR_W1943:1:37084488:37087312:-1 gene:ORUFI01G45480 transcript:ORUFI01G45480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPFLLSCSVFQLARQVQLHVHGPMLNSEGFYEGIFREMERICVCVFAMVGPYSSYGWQKLASAACAWLTVFVLINDAKARESNADGGVDVLIIHDDGKRFETVGILRFKKGGGVVCRMAEAFNFGNDGFFERARNHQNSGDDYDDNQA >ORUFI01G45490.1 pep chromosome:OR_W1943:1:37088900:37090381:-1 gene:ORUFI01G45490 transcript:ORUFI01G45490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSGSLYRRGGGGGETAMVGQKRKRSSLPPQYATAGDCCGGGGGRRKRLAGGGPDYLDELPDDLVLAVLSKLAASASSPSDLLSVHLTCKRLNGLGRHDMVFAKASPASLAVKAASWSEPVQRFLKLCADAGNLEACYILGMIRFYCLGNRSGGAALLARAAVGGHAAALYSLAVIQFNGSGGAKSDRDLRAGAALCARAAALGHVDALRELGHCLQDGYGVRRDPAEGRRFLVAANARELTLALAAAALPEAEPHPANLFMADWWASRGVQATAKKPGLEAPAAATGDSDGGGELRLCSHVRCGRRETRRHEFRRCSVCGAANYCSRACQALDWKRAHKAQCVPMDRWLLAAGEAQ >ORUFI01G45500.1 pep chromosome:OR_W1943:1:37096196:37105653:-1 gene:ORUFI01G45500 transcript:ORUFI01G45500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAAAASIRDGELPPGDRPRRRVDPREPYSSRHPRRSRFRPLASLGGDVTALAVPVFAALRRRLTVRCACIHIRSREDLEY >ORUFI01G45510.1 pep chromosome:OR_W1943:1:37107299:37108253:-1 gene:ORUFI01G45510 transcript:ORUFI01G45510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYTAILSFCSVSSYFATLNSSGRHCDTRKKSGLSTLSSLSTTKSLSGDNCSLVISSAKARSRSLYDHTSACCLPALLWISFTIASISLCAMCHCDAAAMWCWDQFLQSRHCWSQRQMSMTPRHCIVQS >ORUFI01G45520.1 pep chromosome:OR_W1943:1:37109137:37109782:-1 gene:ORUFI01G45520 transcript:ORUFI01G45520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRKYGAAAALGEGVGLSVARTPPVATTIWRYPGRQQSTRLQSWLLRAQMQLVKIYLFIFQVLSDNVHK >ORUFI01G45530.1 pep chromosome:OR_W1943:1:37117397:37118653:-1 gene:ORUFI01G45530 transcript:ORUFI01G45530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFDEAAVVVAAPPPPQPECGAAAAVVGGEPGWSSPSPAASPEFEFWMVGKNPSSFQSPALLTADELFSDGIVLPLRTLQQVPSGEGDGEGEEGEGEGDAAAVESSDLPEAAAQRVAESGGPAPTPDLPAVTFKWKDIFKATGGGESKDRKKVERRVSSVGGNGELININIWPFSRSRSAGHSAAGAGTAAAGAALSRNKSNPNANVNANASNNAAAAAAAAATAPAAATAPGPAPARKVSSAPCSRSNSRGETSAAAPPPSIATAACAAAAAAATAPAPAPATSMLRRLVPGHGRTGALTVTGIRLGRASPVWQLRRNKLQQQGAAAEQKQSSDTPTPTTAATKKKATATTTTAATPTTQDVDGEDKAAASATTPAAAAATAGCRNNASCSEAGGEESNPPQGLFGLRTFFSKKVY >ORUFI01G45540.1 pep chromosome:OR_W1943:1:37118735:37118938:-1 gene:ORUFI01G45540 transcript:ORUFI01G45540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSLRPGIKKRISISAISGGITQTKISERICIPFSFIKYASTHFLRLPFLSPLLSIPMASMASSC >ORUFI01G45550.1 pep chromosome:OR_W1943:1:37145828:37155161:1 gene:ORUFI01G45550 transcript:ORUFI01G45550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVSARAIAGCCSLLVACLVVVVVYNYALVGSGRGTITLLSPADPLSGRRRASAAAARSTETWTIPFSSGIVFVDRPLDGRRVVGSMSARALAGCARSDDARERSTDHLLGEERRGELLLYERKSSAQEQIEASAAARSRG >ORUFI01G45560.1 pep chromosome:OR_W1943:1:37155214:37159322:1 gene:ORUFI01G45560 transcript:ORUFI01G45560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDAKMPSWDLGTVVGPSGGGGGGGGGGGALDLKLGAPTSWKTTTTVSAASAAPAAVAPPPPPPASSSSSAAAAGKRARAGQGQQAAVPACSVEGCAADLSKCVRDYHRRHKVCEAHSKTAVVTVAGQQQRFCQQCSRFHLLGEFDEEKRSCRKRLDGHNKRRRKPQPDPLNPGNLFANHHGAARFTSYPQIFSTAASMSPQETKWPANVVKTEAADVFQEPYYHALHLNGAGAAAAASIFHHGGNKARKHHFPFLTADHGGGAAAASPLFGCQPFTITPSSESRSSSSSRHSNGKMFAHDGGLDNCALSLLSDNPTPTAQITIPQPLFAGGGQYGGGGGGDVSLTGLSYVRMAGKDTSILAKSATTTATTATTPTTTSAQLQYHGYYHHHVSADQGSSDAAIQALPFSSW >ORUFI01G45570.1 pep chromosome:OR_W1943:1:37160248:37160502:-1 gene:ORUFI01G45570 transcript:ORUFI01G45570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANLKNSARGGAKGKVVTVYSKYVKPQSSPSVSVTQAYSYQPNYSSSIDVAAAANGSYGGGNVDERATAYILAVRERFKKEWM >ORUFI01G45580.1 pep chromosome:OR_W1943:1:37160814:37162840:-1 gene:ORUFI01G45580 transcript:ORUFI01G45580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRRLGPYFSPTKPKRPHRKGMTAQPGPFCNRRRTDFYSLVGHRPGGDLYTQTYSIQVKEERWDLSELFCHSLVNGPFVAYWAHLSVTVLRRIMRSALHNS >ORUFI01G45580.2 pep chromosome:OR_W1943:1:37160814:37162840:-1 gene:ORUFI01G45580 transcript:ORUFI01G45580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRRLGPYFSPTKPKRPHRKGMTAQPGPFCNRRRTDFYSLVGHRPGSSLRGPIRWLSRPKQQQQPARRIRRAAGDDAFAAAGEAVCARDVAGATDRRGSHRRGSRRRAPKDLSELFCHSLVNGPFVAYWAHLSVTVLRRIMRSALHNS >ORUFI01G45590.1 pep chromosome:OR_W1943:1:37167638:37186485:1 gene:ORUFI01G45590 transcript:ORUFI01G45590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRVQLRRIEDKASRQVRFSKRRAGLFKKAFELALLCDVEVALLVFSPVGKLYEYSSSSLYLVYEALTPCTVPRFVSPTAWAALFFLPWCAFTRVSHEETPAFVEAEARSNTRITLRATAVIEGTYDRYQQFAGARRDLNEGSTSINSDENASIHSRLRDITAWSLQNNADESDANQLEKLEKLLTNALRDTKSKKMLAKQNGEGSRSRANSSGSRGQEEGRTVVKVIVGPGPAAW >ORUFI01G45590.2 pep chromosome:OR_W1943:1:37167638:37186054:1 gene:ORUFI01G45590 transcript:ORUFI01G45590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRVQLRRIEDKASRQVRFSKRRAGLFKKAFELALLCDVEVALLVFSPVGKLYEYSSSSIEGTYDRYQQFAGARRDLNEGSTSINSDENASIHSRLRDITAWSLQNNADESDANQLEKLEKLLTNALRDTKSKKMLAKQNGEGSRSRANSSGSRGQEEGSA >ORUFI01G45600.1 pep chromosome:OR_W1943:1:37193740:37194135:1 gene:ORUFI01G45600 transcript:ORUFI01G45600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTMYKEAAATCGDGEHYSRLIRELCALLAAIISPSSSSSTAAAARSPGMSPAAAATMLLGASVALMLCGSVTFAIGLLLMPWVAGVALLFGLSAAVSTLSSGVFGKAAAAASSPVSHASSDNKPVLVVA >ORUFI01G45610.1 pep chromosome:OR_W1943:1:37200140:37200367:1 gene:ORUFI01G45610 transcript:ORUFI01G45610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAASTDWGPIIVAVILFVVLSPGLLFQLPARARVVEFGNMATSAIAILVHAVIFFCLLTIFVVAIGVHVYAA >ORUFI01G45620.1 pep chromosome:OR_W1943:1:37200374:37204553:-1 gene:ORUFI01G45620 transcript:ORUFI01G45620.1 gene_biotype:protein_coding transcript_biotype:protein_coding RREAACGGRGTAARGGGGGATRGGVRYGGGAQRREAAAAGLREAACGTAVGRSGARRQRRGYARRRAVRRREAVATACGCAAQRRGHRGTAARGGGGRQACGPCGGDERRAASACGCAGVREWKKWQKKVLRQNGKKKKFK >ORUFI01G45630.1 pep chromosome:OR_W1943:1:37205804:37209991:1 gene:ORUFI01G45630 transcript:ORUFI01G45630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVGGAHSSRRSVSSSTGSRRRAGPTENGHHDAAAAAAGRRSSASISRASQQSMTGERTVKRLRLSKALTIPDHTTVYEACRRMAARRVDAVLLTDSNALLCGILTDKDITTRVIARELKLEETPVSKVMTRNPLFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGASVSGPNTFIETLRERMFRPSLSTIISENSKVVTVAPTDTVLTASKKMLEVKVSSAVVAIENKPGGILTSRDILMRVIAQNLPPESTTVEKVMTQTPECATVDTPILDALHTMHDGKFLHLPVLDKDGNVVTVVDVLHITHAAIATVGNSAGSGSEATSAMMQRFWDSAMSIGPLDDDDDSRSEGSTKVASEATDVGRSAFYPASGLSNTFGFKIQDKQGRMHRFNCETSSLTELITSILQRLGDDIDRKNLPQILYEDEDHDKVILSSDSDLVAAVDHARQIGWKSLKLHLDYAGVGRRKRGGGGTSDFEYAGKDAWASAYSAVAAGAALVAGLGVMAYLKRAG >ORUFI01G45640.1 pep chromosome:OR_W1943:1:37217797:37223736:1 gene:ORUFI01G45640 transcript:ORUFI01G45640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQQGFDTHRLHQEVKSRWLKPKEVLQILQNHDRFIITHKTPHKPPSGAWFLFNRRVLRYFRNDGYEWRKKKNGKTIAEAHERLKVDNVDALNCYYAHADKNSTFQRRIYWMLDPAYDHIVFVHYRDVQEGSISVSALNDSSTSNQNGSGSRAEAQSSPGLTSELFAPCLNSCSPGSAEEVSSQIMAINNETNSVSQPDWVQHCNQAALRKLKVQLSLEDREDHDVDAKDIPSNSEPITVYGIQNEEPGTCRNLADVFSGLEFSKENHPEETGLPFSSTIDVLKNSDTWLEEDQIEAILHSASMIVTENQWFNIREVSPEWSYCSESTKVIIAGDFLRDPSHGSWAIVFGDVKVHAEIVQQGVIRCHTPCLDARKVTMYLIDENEKACSEARQFEFHNKPTKSVVCENRKPCREVHESELHQRPTESNNELLLLFNYAQLLFDGHVSEQFLKFGLPFPNLECGLQVSPSEIMKGASERLNRDTAVNCVMEVLLNNKFEEWLFSKYEQNSEGNHFLPRQYHGVIHTIAALGYNWALKLLLNSGVLVNYRDANGWTALHWAARFGREETVVLLLDAGAAAGALSDPTAQDPAAKTPASVASAYGFKGLSAYLSEAELIAHLHSLESKENGSSGDQISRVVGRISDTSAHAQSGSDDQLALKESLGAMRYAVQAAGRIQTAFRIFSFRKKQQAGLQNRGNHIISIREVGAASHGMLEKAALSIQKNFRCWKKRKEFLKIRKNVIKIQARVRAHQQHNKYKELLRSVGILEKVMLRWYRKGVGLRGFHPGAIAMPIDEEDEDDIAKVFRKQRVETALNKAVSRVSSIIDSPVARQQYRRMLEMHKQNKDDDEKVEVSPASHVYGSGSHHMCWLSHNNKAMH >ORUFI01G45650.1 pep chromosome:OR_W1943:1:37223534:37229766:-1 gene:ORUFI01G45650 transcript:ORUFI01G45650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMSCGGGGGGARWKRARVAGMGEGKAGGGGGAAFLGLERVGMVVRMLPVPEKVSARARVVRGSLVAHFRGWRVVRETWWWVLLLWILAGSLGSFYLFLFMNAQSLDKRRDSLASMCDERARMLQDQFNVSMNHLQALAILVSTFHHSKTPSAIDQMTFARYAERTAFERPLTSGVAYAVRVTHGEREQFERQQGWAIKKMYSSSNKKQSSPGPGPGDAAVAEIREPAEEYAPVIFAQDAYKHVISFDMLSGNEDRDNILRARKSGKGVLTAPFKLLNNRLGVILTYTVYKYELPAYARPHERIQAAIGYLGGIFDIQALVEKLLKQLASQESIMVNVYDTTNESPISMYGDDTGSGMCHVSVLNFGDPSRKHEMHCRFEKKPPWPWLAITSSFGTLVIALLTGHIFQATVHRIAKVEDDFHKMSELKKRAEDADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELETVPFDLRTVCDDILSLFCGKAQEKGLELAVYVSDQVPQILIGDPGRIRQIITNLVGNSIKFTERGHIYLTVHVVEEVMSCLEVETGIQNTNTLSGYPVANRRCSWESIRLFNRELHSSEKSFAPIASDSISLVISVEDTGVGIPFEAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVGLMKGEIGFASKPHVGSTFTFTAVLMRAHCKGNDIKSSEFKGINALVVDHRPVRAKVTKYHLQRLGVKTELTAELNQFISKLNSGSLTAKLVLIDKETWLKESHCTPLLVNKLRNNDKPDSPKLFLLGSSASSPKGGSDTSREHNLNVIMKPLRASMLQVSLRRALGGVDKVHCRNGVVGNSTLGSLLHKKQIIVVDDNIVNLKVAAGALKKYGAEVTCADSGKKAITLLKPPHNFDACFMDIQMPEMDGFEATRRIRVMERDLNERIERGEAPPECASIQRWRTPILAMTADVIQATHEECLKSEMDGYVSKPFEGEQLYSEVARNEAQKSKGKKNQ >ORUFI01G45660.1 pep chromosome:OR_W1943:1:37258974:37263227:1 gene:ORUFI01G45660 transcript:ORUFI01G45660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNRDDAIRSKEIAERKFNENDIAGAKRFALKAKTLFDSLEGIDNMISALDIHIRAQTKIEGENDLYGILDISASDDDEKIKKQYRKLALQTHPDKNKFSGAESAFKLIQDAWDVLSDKDKKRSYDQKRFGGSSRVYQNGFAENANATPGSTMSSMNGFFWQNSGRHPSYATDTFWTYCDSCQMSFQYSREYVNRNLACSFCQTEFVAVETPPPTAPVYYNVTNLMDTSSNMDDPQGTGVPYSSNKIFDPVLQPVFGSVGGAHASRYPVQQTCKPARKEEVAEVNVARREEATKRKHEQASSSLGSSSSAAKVIHRRKAVTKEMEAEKRRCINNKSKVSGQKNNTNKVVGKSTSSAADGDSGPQMHPAKRKSASSIGTSGTKRRKMPSDHNSGNARTSFGKVFLQLETEIPGLKMEKMKLQIRDKLEEFKSRRANVENKGNVHVSLEKKKTWKWKKPATLFVYTRRNRKEHRKEPGVDAIGAGSSHKHLDGKYSCLDQVPSSDEGSCVMPVPEADFYTFGDHPETSFQNGQIWAAYDEEDGMPRYYALIQKVLSRHPFKVRLAFLKAKDCSEFVTSNWISYGYSKTCGDFIVGTPKNTDQLNTFSHVVTWEKGPGGIIRIFPRKGDIWALYQNWSPEWNTCTPDDTIYKYDLVQVLDSYNPSAGISVMPIVKVPGFVSVFTPLLDPTKSRTIPKEEMLRFSHQVPFHVLTGEEAKNSPKGCYELDPGSTPKELLQVVPQSDGV >ORUFI01G45670.1 pep chromosome:OR_W1943:1:37264117:37267001:-1 gene:ORUFI01G45670 transcript:ORUFI01G45670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAALRQLVGEHGSIKDDHCRARTGYNSELLKIMEANQSPPRKRSRRDKNREKAPNSNSTEEMQQEIWSEFPGDLFETVVARLPVAAIFRFRTVCRNWYSMLGSESFSQQYSEVPQRLPWFYTITHENASNNVAMYDPSLKKWHHPSVPLAPAKIVIPVASAGGLVCLLDLSHRNFYICNPLTQSLKEIPRRSVQAWSRVAVGMVMNGGTSNEGYKVMWLGNDGNYEVYDSMKNMWSCPGTFPPSIKLPLALNFRSQPVAVGSMLYFMCAEPEGVLSYDVSTGIWRQFVIPLPLHLTDHTLAEFQGRVMLVGLLCKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHMKMTCLGNSGLLMLSLKAKRMNRLVTYNLLNKEWQKVPDCMLPCSRKKQWIACGTAFGPCPSALA >ORUFI01G45680.1 pep chromosome:OR_W1943:1:37274649:37279392:1 gene:ORUFI01G45680 transcript:ORUFI01G45680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAFTLVGAFKGMSLSSPCHSSSSASFLRADRVSLSVGGGVGMGVPMTMPVRRLTIQMAHKKGAGSTKNGRDSPGQRLGVKIYGDQVAKPGAIIIRQRGTRVYPGNNVGMGKDHTLFSLIDGLVKFEKYGPDKKKVSVYPYEKQPENPNSYRARKREYFRMQRERKKARAEGIVEVQLVLAAADESPEVNADC >ORUFI01G45690.1 pep chromosome:OR_W1943:1:37281098:37281568:1 gene:ORUFI01G45690 transcript:ORUFI01G45690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATFVLLLLVTSPVWVPRLCSLMAFFFLTTLPDLAMAFLLSPKCLFVVGNLIVAFLVGESRLAPRGEPASSSVNEIHDEHVKRNAAISAKAVTAVVAIDQNAHVGELGEEEEEEEEEEEEEEGEEEELHQRVEDFIARVKKQRRMEDKSMFDTDR >ORUFI01G45700.1 pep chromosome:OR_W1943:1:37284133:37288443:-1 gene:ORUFI01G45700 transcript:ORUFI01G45700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAISWVPRGAAKLVPVEAEPPTQEEIDEAIKAIAQHTEGGSDADEDADDGEENGNMEVDAAADEEEEEVDEVAQAKAAAKALAKGAVDDVADELKELNMDNYDEEEEGLEIFSSGQGDLYYASNDLDPYLKNNDEDDDDEEIEDMTIKPTDLMVNLLEETEDGDLNMFVHHEVPLADFPLCTAWMDFNLKGGDKGNFVAVGTMDPAIEIWDLDIVDEVQPHMVLGGHSKKKKKVKGKKAKKYKKGSHRSSVLGLAWNKEVRNVLASASADKTVKIWDVSVGKCAVTLEHHDDKVQSVAWSRQSPEVLLSGSFDKSVAMNDMKDGGQSCNKWSVEADVESLAWDPHNEHSFMVSLENGMVQAFDKRTASSNSNSGRPTFTLHAHEKAVSSISFSPSTPNFLATGSTDKMVKLWDLSNNQPSCIASLNPKLGAIFSVSFSNDSPFLLASGGSKGKLKVWNTLTEPAVANKFGK >ORUFI01G45710.1 pep chromosome:OR_W1943:1:37298297:37299250:1 gene:ORUFI01G45710 transcript:ORUFI01G45710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKFPPPPPLNKTEPTTTTTNQQHHHDEQQQQHRLQIQVHPQQQEQQDGGGGGGKDQQQQQQMQVVVAAAAGERRMQGLGPKRSSNKDRHTKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETVQWLLQQAEPAIVAATGTGTIPASALASVAPSLPSPNSALSRSHHHHHHMWAAAPPTASAGFAGAGFSGADSGVIGGIMQRMGIPAGIELQGGGAGGLGGGGGGGGGHIGFAPMFASHAAAAAAMPGLELGLSQDGHIGVLAAQSLSQFYHQVGAAGQLQHQHQHHHQQQQQQQDGEDDRDDGESDEESGQ >ORUFI01G45720.1 pep chromosome:OR_W1943:1:37304236:37310242:-1 gene:ORUFI01G45720 transcript:ORUFI01G45720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKMDRFAALGKDVLSLGIDDDMAAAAAIGFVDESKDQQHLDNSIPLSPQWLYAKPTDAKILGHGSLLDPSEKEVRMPEGAADKKERRRNVFDADSSLRWLEEERETSLPGRRERKKEVDRDMESRKNDRRSDNVSVRDGGDSRAPPSERWNDGSTRGSGNEGRRDGKWSSRWGPDDKEKDSRSEKKLDAEKDESHAEKQTFTGRLLPETDSRDKWRPRHRQESHSAGTATYRAAPGFGLEKGRAKESNVGFSAGRGRANPNSIPSFTRPSSAGPIGAPATHGKCASSAVTFRYPRGKLLDIYRQQKAMPSFDDVHCKLEEVPSVVLSSPVKPLAFVAPDTDEEAVREDIMKGKVISSEVANTTGMQRDRKKELEGLASGIDSKKDTSSVAFSGLGQEESSTLISEKDAFYDGGVISAGITFPSKDLTMEQNEFGLSGIREDAKINEVNSSADLDLGVKLPNDSSSLFLESPFEHIQQPPVLYQNNDMDTKASGQASYPEDLTLFYLDPQGGMQGPFLGADIISWYEDGYFGLELPVRLANSPDDSPFRPLFEVMPHLRQKPQPLPPVSHGETSESPDSVHNSFDDKVPASGSFGKNDQTSKRDSESYVLDLKRGEQEAAVQSHMSWLPSHETEKTTSNVDIRQQHIPESVSLDAEEILYTARPNSSTGQSLRDLENDRSDFQLASRDHRAAMGEANLPQHDVPRESELSPLGLLWSELEGMHPKQPLSSNVLGVNERRNPKPAAPKDIPPVSMRHGQLGRMNEAPVVRDEWPANFGRLDNVTDTNISGRLPQLEPDLNHLNMEEQMLLQQIRREQRQQEQLMARNNLEFPGPFPGQVFDSLHQHRQSMNQPVPEVEHLLRVQFELEQQQRRQQLQQEQHQRQLQQRQAQQLLQQQQQQQQQQQQQLILEQMLQQQLQASNFGPTNMVDQVLLREHVLNDLHHQPHHLQRQHDAAIEQLIQAKFGQGIHREHHNDLMDVLSRSNQRQMLPLEQQILLGLHHDQLQSQQLANALRQHAGREEERHLSGGWPMDESGHFIRSGTSPNQGHASRLGHFDLLQSLQRSSSVEQHEHLERSLSLHERLHRGGQGIHSLERSGSLPGGAPLPNLDVVNSLARHHGIGQLETHGDLYSLGQMPMVPSGVHPQQHRLQEQLSGNLARLDRHWSDANGQLQNTLMEPSRISQMQMEAQKQRRNVEMNLAVDNSHAWASLRNNERSTEQDLSDLFHQKLVQSQQSRGYPDVPVPASFGRKDPSSLFAQPAADNPLRSPADRLSFDDPLAERSLFAKAGQAGQEGAANLESLTSSIENSGKLGLRSSSGSMLDMQRGDFSGIMGGGASGNQLVGLANEVPRGKRQGSSASLVGDDTNFAEEAGSNWPEATMPKGGTHSLLKRPPSQHGSATQAVSTDISSTIRLKKAGHASSDDHKLESGGVTSAAQPVDIPVSTNKEAGSFISPSGTSVDGPSFREMVKSTKKPALQQYDASESADGGPGGKGAKKKTKKGKQIDPSLLGFKVHSNRIMMGEIHRLDD >ORUFI01G45730.1 pep chromosome:OR_W1943:1:37310578:37315022:1 gene:ORUFI01G45730 transcript:ORUFI01G45730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGAMRCVGSGEGEEKDDEIEGSREGRGDQAWELGKPSQKRRFGSQGNEEKYTHVSAVPPAAAAAAAEAAAKRPPLGVGAGNLPLRLAAMLLLPRVAMTTAPGPREATSMKSIRRNSRWNHIRNDGSRGGARATHIALALDLSRVVDNFTFRSIPTCINVCR >ORUFI01G45740.1 pep chromosome:OR_W1943:1:37315877:37317907:1 gene:ORUFI01G45740 transcript:ORUFI01G45740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGKPPTAGVRVFGGLRYAPLDGMVVDNPLLAALIRAVYTLYMATTVFLYIFGMVTALKEYELLVPLSIIVVMQPFFILMWIAAPFLRTVAIVKYAMGLPDGNNVNRIPTGRMSALA >ORUFI01G45750.1 pep chromosome:OR_W1943:1:37318536:37320542:-1 gene:ORUFI01G45750 transcript:ORUFI01G45750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGAKAPVPARKKAAAAAAAVNPLFEKRPKQFGIGGALPPRKDLHRFVRWPKAVRIQRQRRVLKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLDHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKSNFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >ORUFI01G45760.1 pep chromosome:OR_W1943:1:37323303:37329971:1 gene:ORUFI01G45760 transcript:ORUFI01G45760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDATPDSPPAGVDPPPPKEEAKAEKEGEGEEPQSGGRKRGRRKKGEAEKEKEKPPPATPTIERPSRERKTVERYSELAPRVTPAKKSPAILQGSGSKLKDIPNIQFKLSKRKADENLQSLHVLMYGRKSNVHFLKRNISQFSGFVWTDNQEKQRTRIKEKLDKFNKEKLLDFCEILDIHVSRAATKKEEVSAKLLEFLESPCITRDVVLTDDKKGKKRGRRSKGNGQATAEGASDGKKRRKSRKQSTEAAKENDDEDDEGPAGSEDASMGEEDDEDSEAKDNAGSDEEPDEPPAKKKATDDKQTKKAKEKDATAKKASTRPAKGVSKPSQDTEEDDEPEVELESKKVVKKVSKSSKESDVTVDKTTKKASKSKKDEGKDDQNNNSGALNNKARKKDAAKTTNKNKGKGKGSTEAGAAPTTEELHAVVSDILKEVDFNTATLADILRQLGTHFKMDLMDRKSEVKHIIEEVINSMSDDEEGEEDNAEDDKDKNAKEENSKEDADGDEK >ORUFI01G45770.1 pep chromosome:OR_W1943:1:37331218:37332779:1 gene:ORUFI01G45770 transcript:ORUFI01G45770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGDITRPDPAVLGELVYSVPGAKNVTKFKCGGFVLGLAINHCMFDGVGAMQFVNSWGETARGVPLSVPPALDRAVLRARDPPRVAFPHHEFAQIDDXLLFAVDGRPRFTAPPLPAGYFGNAIVLTSAACAAGELSPARAVRLVRGAAEAVTDAYMRSAVDYFEATRARPSLASTLLITAWSRLPFRAADFGWGPPAAYGPAALPEREVALFLSCAGEGGGVRVLLGLPAAAMAEFERLVDEVIF >ORUFI01G45780.1 pep chromosome:OR_W1943:1:37340900:37341430:-1 gene:ORUFI01G45780 transcript:ORUFI01G45780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAARSSTKGVRRTKSLISRTLERCKSGLSSGGGGRSAAAPVAGCFSVYVGPERERFVVRTEYANHPLFRRLLDDAEREYGYAAQGPLALPCAVDAFLDVLWQMERDGCGGGGDDDDDLSIGGGGAASPICGLPSCGSKGRAAAAGYGMLSPARMTSILSRSSPMATPSRKR >ORUFI01G45790.1 pep chromosome:OR_W1943:1:37350744:37365421:-1 gene:ORUFI01G45790 transcript:ORUFI01G45790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETEPFLTSGIWGILNAQARLHNMNAGFSPQILAQKLLKLNNSRQTPRERRVSLLYLANDIVQNSKKDSGRYVNEFWRVIPAALNDVFVNGDDFGRNVVQRLVDIWEERNIFGSHGQSLKEDYSRRFKEFKSKSRNSSGELLEKVISCYKHMLNAHVDDDTLMRKCQNALSFVDNLSNEYENNSILDCSNGSGFVEELQEQHNVLRDSIEQFKTSELLRGNLISCLKEALHEQEFKMERARSQIKEVQSRYKKADDLCQKLGIHVERQEQPNHGPKNSGSEMHGSFGPGPDSANASSFEKGQSSAVMYSQENGGEHEIPNGVFSSRATKDNIEQKLEEHSTNKRQKLQNDVYVSRPQSPPPPLPSDAFEQPPPPPEHPPPPESTSPPPPPTSDPPPVPPPPPTTGSFMPIPSAPFAGLPVPAGPMTAVPYNSYPVFPPMNYPMVNIPPPFPSAPNTPPGFQGLAVATN >ORUFI01G45800.1 pep chromosome:OR_W1943:1:37366332:37371645:-1 gene:ORUFI01G45800 transcript:ORUFI01G45800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAITTVLAKVAELVAWEAAVLLEVGDDVRLLRDKLEWLHTFIRDADRRRRRRDDEFVAVWVRQTRDVAFEAEDALDDFLHRAARRHRQATPLAAVLPSCAAAAWPPRCAGQVALRHGLSGRIRQIRKRLDEISANRAAYNIEHTPSPAWATHPSAAAATALAAWDDLEEYTVGFDKYSNMLKEQLIDDAVTGRAIVSIVGESSIGKTTLGRKVYQSPEVRNHFEIRTWTVLPPKCRPADVLRDIYRQASSQLRRSPSSQQSVDDGCGGDAAVTACRPSGKDVGNMLFRNLTGRRYLVVVDGSIAASDWNSLRASLPDEGNGSRVVLITDMAGLEVVAYAAGPMHSRIELERLSPENTYEVFRRRVFGRGGDCPRQHRSRYYQKIFQITRGLPLSIVVLAGVLRSKELPAEWDEVMSQLVTAREPSSKNGNSRRIMSLAFDDLPHHLKSCFLYFAAMRESATVDAQRLVRLWVAEGFVRPRRGSTMEEVGQGYLKELISRCMVQLVDKDEFGVVQTVVVHDRLHAFAQDEAQEASFIESHDSTDVLAPATVRRLAVQNSSERYVHLSNALPKLRSVVCDLVDGRNGGGGGKCIQCTDLGFLHASKFLRVIDIHGLELKKLPNEIGSMIHIRYLGLQCGQLEKLPPSVSNLVNLQSLILKGRNAGHVLDVTAAFWRIATLRHVVAPFALPKVLGDLHSLQTLHGVQHLCWDTRRGGGGGNPLGTSTNLRSLELSGLHAKHAAALTAALESLDMLVHLMLDGESLPSTVFTIPSLRRLQSLKLRGSMDSPEGPGGGHDDDEATADDGVVRYIRPNLTRLSMWSTMVGQKFVDMLGELPSLAELTLMVAAFDGERLEFRDGRFRSLQKLKLGLPELEEWTVRAGAMASLARLTLFGCLKMRMLPEALAGIPELEEVVLYRMPIMVERIKKHGGEDHHKVKHVPVIQTIW >ORUFI01G45810.1 pep chromosome:OR_W1943:1:37373880:37376396:1 gene:ORUFI01G45810 transcript:ORUFI01G45810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDGDGDRGSPEAELRRGFKTLAVTRPDPSAAVYEVRLNRPARLNALSPDAFAEIPRAMALLDRAPAARAVVLSAAGPHFCAGIELGSPGSPATAPSGDPAPAAEGLRRAILKMQAALTAIERCRKPVVAAVHGACVGGGVEVVAACDIRCCSKDATFVLREVDMAIVADLGALQRLPRIVGYGNAADLALTGRKITAMEAKEMGLVTRVFNSKQELDAGVAKIAKEISEKSAWAVMGTKAVLLRSRDITVEQGLEHVATWNAAMLRSYDLEEAIKAFLEKRKPVFSKL >ORUFI01G45820.1 pep chromosome:OR_W1943:1:37376989:37379452:-1 gene:ORUFI01G45820 transcript:ORUFI01G45820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASSGGGGGGGAGGDGPLVYQRWKGNNDMYGVLVSKKDKKHEYLVLVFVLQGRFIFGPDARSLYVTMFLIIAPAAIFCVFVAKELMNNFSYGLGLPVMIAAVVFTAYDLSLLLLTSGRDPGIIPRNAHPPEPEGLDGNAEVGSNQTPPMRLPRVKDVVVNGITVKTKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRNSEQVTIWKAMAKTPASIALLVYTFIAVWFVGGLSVFHLYLMSTNQTTYENFRYRYDQRANPYNRGVVENIKEIFFSAIPASKNNFRARVPVPQEQGLRPRPTNGFMSPNMGRAVGDIEMGRKPVAWDEPRMAAEIGDLGAGLGNLLEDKDSRFRNASPDLSRDALAIGGLDDQGSSVMNPGRTSWGVEAGR >ORUFI01G45830.1 pep chromosome:OR_W1943:1:37382655:37384200:1 gene:ORUFI01G45830 transcript:ORUFI01G45830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAASGGGGGYRMLPQAGLPIGFRFRPTDEELLLHYLRRKVMSRPLPADVIPVADLARLHPWDLPGEGDGERYFFHLPATSCWRRGGGGSRAGGGGGAWRASGKEKLVVAPRCGKRPVGAKRTLVFFRRGGARTDWAMHEYRLLPADDHPPEANDVWVVCRVFKKTTTLAHRRSPPSIRGAPRRRAAAADDDDMPSSPSSCVTDGGDAGEEGEESSSCSVVASNCP >ORUFI01G45840.1 pep chromosome:OR_W1943:1:37392928:37394012:1 gene:ORUFI01G45840 transcript:ORUFI01G45840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASATDSAERRRPGRSPGHDGEQQQQQDGRRRGCKVAPEPKEEDGAAAAAFLASMPGSPSFRYYCQKSATVDAIVADADGDGDSDGDECVRITETPQPIKNNGHGSSEVEINPWLRSSSRIPNRIHPEFNMQLSKSAPEASRWVRFRGLALAAWCSLFSRHSRRSAASAPSHPPPPPPPAKSHQRFDAAAPAERSVLL >ORUFI01G45850.1 pep chromosome:OR_W1943:1:37394261:37399723:-1 gene:ORUFI01G45850 transcript:ORUFI01G45850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCILGKLAAAPGSSLFFPAAAAASGAGGGGGAGEVQLAAPQPEKIAAVQKDESGWPLWLSSAAGDALAGWAPRSADAFHKLEKRKDSNVQIGSGTYSNVYKAKEVESGRVVALKKVRVDGVGEAESARFMAREIALLRRLGDHPNIVRLRGLVTSRLATAPSLYLVFDYMDHDLTGLAAAALAADQRFSLPQHAYGNVKCYMKQLLTGIEHCHNKGVLHRDIKSSNLLVSNDGILKIADFGLATSFDPDNKEQPMTSQVITLWYRPPELLLGATHYGVGVDLWSVGCILAELLLGEPIFPGRTEVPTCLILLKHQVLHKYFRELHDLEMKLLVIQVEQLHKIFKLCGSPSDDYWEKMKFPHASFRTYERCIAEKFKDVAPSALSLLETLLSIDPDMRGTATDALNSEFFRTEPYACEPSSLPRYPPCKEIDVKLKYEKHKRKLRANGSVERQTTARKPMSQNPGRRVFTPDVNNKPQAKPNIPRLVTSTSTTKLERFPPPHLDASIGFSLDSSADVSTEQFFTSSVVELKKMPSLIFGHMKSYLNSPKKNTHKAKPGLSMPPSAVLIGAFKPYSLGHPMEVRRKNRDQFRTKGRNSVGAVK >ORUFI01G45860.1 pep chromosome:OR_W1943:1:37404798:37407525:-1 gene:ORUFI01G45860 transcript:ORUFI01G45860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVSRVQKELTECNRDREVSGVSITLHDGSTISHLTGTIAGPQGTPYEGGTFVIDIRLPGGYPFEPPKMQFITKVWHPNISSQNGAICLDILKDQWSPALTLKTALLSLQALLSAPAPDDPQDAVVAQQYLRDYSTFSATARYWTEAFAKNSSTGMEEKVQKLVEMGFPEDMVRSVLKSVNGDENMALEKLCSG >ORUFI01G45870.1 pep chromosome:OR_W1943:1:37409837:37410152:1 gene:ORUFI01G45870 transcript:ORUFI01G45870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEEEEDGGGGGRRQLTAAAAISASSSGDQLLEKLKRELPIANKRSLVRFFQKRKER >ORUFI01G45880.1 pep chromosome:OR_W1943:1:37415814:37420781:1 gene:ORUFI01G45880 transcript:ORUFI01G45880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASEPWEDFAVASALTISRSTLIQITLSIGKYTATFQRLESRPSNPAQGAAPLASTNPSTNITDNSLSETYHLVSRPPPYDTDPRYARVQREGLVSRREKSINLTQEESLALRRNGSSSGIEHLAAQKKWSSTEPEGEYKVHRSESTKSLSAKSYNSSFAVVTSEDEDVCPTCLEEYTPDNPKIIAKCSHHYHLSCIYEWMERSDTCPICGKEMEFCETP >ORUFI01G45890.1 pep chromosome:OR_W1943:1:37419167:37421616:-1 gene:ORUFI01G45890 transcript:ORUFI01G45890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAVSKLAAPRPAGAPPPPPASPAMASAKEGNGAPTKRTTLHDLYDLQGQSPWYDNLCRPVTDLLPLIGSGVRGVTSNPSIFQKAISTSNAYDDQFKQLILAGKDAESAYWELVIKDIQDACKLFEPIYDQTDGADGYVSVEVSPRLANDTQGTVEAAKWLHKVVDRPNVYIKIPATAECVPSIKEVIANGISVNVTLIFSIARYEAVIDAYIDGLEASGLSDLSRVTSVASFFVSRVDTLIDKMLEKIGTPEALALRGKAAVAQAKLANQLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVDPLIGPDTVSTMPDQALLAFIDHGTVSRTIDANVSDAEGVYSALEKLGIDWDEVGKQLELEGVDSFKKAFDSLLGSLEEKGNSLKKTVSL >ORUFI01G45890.2 pep chromosome:OR_W1943:1:37419167:37421616:-1 gene:ORUFI01G45890 transcript:ORUFI01G45890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAVSKLAAPRPAGAPPPPPASPSPSPPAAPGAPSCDAMASAKEGNGAPTKRTTLHDLYDLQGQSPWYDNLCRPVTDLLPLIGSGVRGVTSNPSIFQKAISTSNAYDDQFKQLILAGKDAESAYWELVIKDIQDACKLFEPIYDQTDGADGYVSVEVSPRLANDTQGTVEAAKWLHKVVDRPNVYIKIPATAECVPSIKEVIANGISVNVTLIFSIARYEAVIDAYIDGLEASGLSDLSRVTSVASFFVSRVDTLIDKMLEKIGTPEALALRGKAAVAQAKLANQLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVDPLIGPDTVSTMPDQALLAFIDHGTVSRTIDANVSDAEGVYSALEKLGIDWDEVGKQLELEGVDSFKKAFDSLLGSLEEKGNSLKKTVSL >ORUFI01G45890.3 pep chromosome:OR_W1943:1:37419167:37420777:-1 gene:ORUFI01G45890 transcript:ORUFI01G45890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKEGNGAPTKRTTLHDLYDLQGQSPWYDNLCRPVTDLLPLIGSGVRGVTSNPSIFQKAISTSNAYDDQFKQLILAGKDAESAYWELVIKDIQDACKLFEPIYDQTDGADGYVSVEVSPRLANDTQGTVEAAKWLHKVVDRPNVYIKIPATAECVPSIKEVIANGISVNVTLIFSIARYEAVIDAYIDGLEASGLSDLSRVTSVASFFVSRVDTLIDKMLEKIGTPEALALRGKAAVAQAKLANQLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVDPLIGPDTVSTMPDQALLAFIDHGTVSRTIDANVSDAEGVYSALEKLGIDWDEVGKQLELEGVDSFKKAFDSLLGSLEEKGNSLKKTVSL >ORUFI01G45900.1 pep chromosome:OR_W1943:1:37421442:37422551:1 gene:ORUFI01G45900 transcript:ORUFI01G45900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGQKGSKKGRRAIADSRVTHMMGLLARPAAMETEKRAGGAGRPPASGRRAWRRRRSWRRPGLYN >ORUFI01G45910.1 pep chromosome:OR_W1943:1:37424197:37427517:-1 gene:ORUFI01G45910 transcript:ORUFI01G45910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRPCAGVASAVAAAVAVLLLAVSCFAAAATTTQKHGRMSGKGGDVLEDDPTGKLKVFVYEMPRKYNLNLLAKDSRCLQHMFAAEIFMHQFLLSSPVRTLDPEEADWFYTPAYTTCDLTPQGFPLPFRAPRIMRSAVRYVAATWPYWNRTDGADHFFLAPHDFGACFHYQEERAIERGILPVLRRATLVQTFGQRHHPCLQPGSITVPPYADPRKMEAHRISPATPRSIFVYFRGLFYDMGNDPEGGYYARGARASVWENFKDNPLFDISTEHPATYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWGEISVFVAEEDVPRLDTILASVPLDEVIRKQRLLASPAMKQAVLFHQPARPGDAFHQILNGLARKLPHPKGVFLEPGEKGIDWDQGLENDLKPW >ORUFI01G45920.1 pep chromosome:OR_W1943:1:37435034:37444316:-1 gene:ORUFI01G45920 transcript:ORUFI01G45920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSWRKKEGSLGKPPLTFSTHQTLLGRTGRGLERRGDTGAGKRPVGIKKMRRWVLAIAILAAAVCFFLGAQAQEVRQGHQTERISGSAGDVLEDDPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIELIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQAGDAFHQILNGLARKLPHGENVFLKPGERALNWTAGPLALADSSSFGSDTPQPSFQPPATAIIILASSPPSSPLPVFLSFLLLLLDWPAASSILLGGFVEVGGWKGGEVGGAMAMRLSSAAVALALLLAATALEDVARGQDTERIEGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTLNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISSHWPYWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKDHVCLKEGSITIPPYAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVAEDDVPKLDTILTSIPMDVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLGRKLPHPKSVYLDPGQKVLNWTQGPVGDLKPW >ORUFI01G45920.2 pep chromosome:OR_W1943:1:37435034:37444088:-1 gene:ORUFI01G45920 transcript:ORUFI01G45920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWVLAIAILAAAVCFFLGAQAQEVRQGHQTERISGSAGDVLEDDPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIELIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQAGDAFHQILNGLARKLPHGENVFLKPGERALNWTAGPLALADSSSFGSDTPQPSFQPPATAIIILASSPPSSPLPVFLSFLLLLLDWPAASSILLGGFVEVGGWKGGEVGGAMAMRLSSAAVALALLLAATALEDVARGQDTERIEGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTLNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISSHWPYWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKDHVCLKEGSITIPPYAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVAEDDVPKLDTILTSIPMDVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLGRKLPHPKSVYLDPGQKVLNWTQGPVGDLKPW >ORUFI01G45930.1 pep chromosome:OR_W1943:1:37452649:37456815:1 gene:ORUFI01G45930 transcript:ORUFI01G45930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAANGSNSLPTPLTFWGASLTSYTSVLELLRPHALFLLSSLDHTDFISLALIIIVFLLLDLLLCHGGQQRRRCRGRGVAQGRRAQGHGGSPGPPCQGSGQPDAEEVPAGARPQRGEGVGDAAQGAPVEEGGGARRLGARGEGAKRPRRRQGEVRLHRGSQGLGLLQLRHQSLHRGHRDHAELLPGEARQGPDDPCTLHVHEGLEDDLPFHRQCHQGQVRVRGRQELARGAAPGDRR >ORUFI01G45940.1 pep chromosome:OR_W1943:1:37462568:37473954:1 gene:ORUFI01G45940 transcript:ORUFI01G45940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSGGSVVINLSSQKARRRSAAISRAILLCSLARSSPHLLLLLPHCCAAAAAAAAFRIVAVDFAELQWMEVMDSVAVMEVSPVPKPPLEAALALRRSVRCLNRTRRPTYVEQEEPKESAGRRRGGKRKREEEKKEPVAQHAMKPVRMGDAASERKPSSEGKPMPAIAAEPVSCAGFARPAAEDDVLGNGKSAKLRVKETLRAFTSHYLHLVQEEQKRAQAVLQEGQKRPSKRPDLKAITKMQESNAVLYPEKIIGELPGVDVGDQFYSRAEMVVLGIHSHWLNGIDYMGMKYQGKEEYANLTFPLATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQQLQRGNLALKNSKDNGNPIRVIRGHISKNSYTGKVYTYDGLYKMTGCRMEYKGTLVCDDISGGQENLPIPATNLVDDPPVPPTGFVYSKSLKIPKGIKIPSYCNGCDCEGDCANNKNCSCAQRNGSDLPYVSHKNIGRLVEPKAIVFECGANCSCNNNCVNRTSQKGLQYRLEVFKTASKGWGVRTWDTILPGAPICEYTGVLRRTEEVDGLLQNNYIFDIDCLQTMKGLDGREKRAGSDMHLPSLHAENDSDPPAPEYCIDAGSIGNFARFINHSCEPNLFVQCVLSSHNDVKLAKVTLFAADTILPLQELSYDYGYVLDSVVGPDGNIVKLPCFCGAPYCRKRLY >ORUFI01G45940.2 pep chromosome:OR_W1943:1:37473536:37475154:1 gene:ORUFI01G45940 transcript:ORUFI01G45940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAANATSPAAGAGTPRSRLPRWTRHETLVLLQARRAMEHRGRRSPQPVRLKWAAVSAYCRRHGVERGPMQCRKRWGNLSWDLKKIVAWEKNLAAVVSGAGDNAVAAGEGEGEAPPPPRLESFWDMRGEQRRARQLPSSFDREVYDALVGGHGAAPPSDFGEDLADGDGVDADELPPPPLMVMPISARKYVPPTASSQQECSDPATVSAKRGGAASDKNSTSQHDGGGGGGLKDSEATYGAGVGGEEGTTTATATATTTSIGRQVIEALERGNRMLGDQLEAQRAAWDAEREQRVALLAAVDKLAGAVCRIADKL >ORUFI01G45940.3 pep chromosome:OR_W1943:1:37473536:37475154:1 gene:ORUFI01G45940 transcript:ORUFI01G45940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAANATSPAAGAGTPRSRLPRWTRHETLVLLQARRAMEHRGRRSPQPVRLKWAAVSAYCRRHGVERGPMQCRKRWGNLSWDLKKIVAWEKNLAAVVSGAGDNAVAAGEGEGEAPPPPRLESFWDMRGEQRRARQLPSSFDREVYDALVGGHGAAPPSDFGEDLADGDGVDADELPPPPLMVMPISATVSAKRGGAASDKNSTSQHDGGGGGGLKDSEATYGAGVGGEEGTTTATATATTTSIGRQVIEALERGNRMLGDQLEAQRAAWDAEREQRVALLAAVDKLAGAVCRIADKL >ORUFI01G45950.1 pep chromosome:OR_W1943:1:37476862:37480368:-1 gene:ORUFI01G45950 transcript:ORUFI01G45950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGEEDDRASRCKRITRESDATKNGAATKLQALRLVEDLSLPSVQVVVMSANMGCSHCRQRVTKVVSKMNGLLDYMVDFGKKEVTVRGTMVHTKKKRKQHKKKHEENKKGIAANWEKKSSSQSNDSARTLAWTI >ORUFI01G45960.1 pep chromosome:OR_W1943:1:37483694:37485976:-1 gene:ORUFI01G45960 transcript:ORUFI01G45960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAEAAAGREAIAAKMRGEDYAGARTLLLETLQTNPRLDDAFEMLSVLEVLCAAAETRARRPGLGRGRGVDWYRILQVLPRDDAAKIDAQYRSIVRQVEPVRDDLPGAEAALRLVNDAYAVLSDPAKKVRYDSTVANVELWCEDILQTKGICAADRSTHDYPNAELGRLSSLEADCNAVAGISNNVPSYAQQTDRSCLDVGDCSNVASSSKTKRTDSCFLADDGFQLPDENHVDKKQKSVCEKDVHCVSSPHVDLDDRFTDPLDNREDELCSITQYDVHNFENDREIVNFAAGQIWAAYDWEKFPRRYARINKIVADKEHLYVSWFKPSPQSHDENRWFSASLPFVCGIFIADECKISVTCPTMLCHQISSDNWNHHLKVYPQEGEVWAIYSDWDIGWCNDPGMRKKSTFYVVEILNSYLKGSGCTVAQLVKVDGYRSVFQRHVRSGREQLLQVHIHNLLMFSHRIPSFRFTCDVGTVFELEHSAVPENLQYENTSASVAPLYPLQGLHDDSNGFHEVAATHLSNPSTSKMDLGNPQQGMMNYNNKLSPEHFVEGQIWAVYDAPDRMPRLYVRVIRVVSHTAVSVLKLEPHPMLNEEIHWVEDGLPVACGVFRAGSETACKEISEFSHPVECDWSAKRSFYRIFPKKGEIWAMYKNWKIAFSNADIDKCEPRMVEILSDYSDEIGVNVCRLTRVKGCLTFFQRVIVEDFHLTKLISRSEMLSFSHRVPAYVVIEIKDRDIPKGSWHLEPNALPLRNID >ORUFI01G45970.1 pep chromosome:OR_W1943:1:37488022:37492457:1 gene:ORUFI01G45970 transcript:ORUFI01G45970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLAAAVAPLLSSPLLCSPRAIASPLSMEMMASKNPTKTLLLLLLLVFFLSLACSQPLHSEPMSTTTTATQPAPPPPPPQSKIPHAEAGGAARLRRIVLGVLFGSLTGFLLSLAFLYAIRVAILHAKYAPAIVRGPVSFTPQISPKNLHSALPSAQPLAHGPNGKYYKLVLDNDVTVAVKRLEASRPEASPSSMPNVSKSDMRRVQRQLELLARVRHQNVMGLKAYVREADRLSLAYDFVPGGSLEDVMKRVRSLQVNLNWDARNRIAIGVAKGLRYLHFECTPRILHCSLKPSNVMLDEGFEPRLADCGVSRLIASGSADPELASSLYSAPECYQSSRYTDKSDVYSFGMILGVLLTGRDPTDHFFSGETGRGGLARWLRHMQQSGDAKDALDSSVLGEEGEEDEMVMAVRVAIICLSDLPADRPSSDELVPMLTQLHSL >ORUFI01G45970.2 pep chromosome:OR_W1943:1:37488116:37492457:1 gene:ORUFI01G45970 transcript:ORUFI01G45970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMASKNPTKTLLLLLLLVFFLSLACSQPLHSEPMSTTTTATQPAPPPPPPQSKIPHAEAGGAARLRRIVLGVLFGSLTGFLLSLAFLYAIRVAILHAKYAPAIVRGPVSFTPQISPKNLHSALPSAQPLAHGPNGKYYKLVLDNDVTVAVKRLEASRPEASPSSMPNVSKSDMRRVQRQLELLARVRHQNVMGLKAYVREADRLSLAYDFVPGGSLEDVMKRVRSLQVNLNWDARNRIAIGVAKGLRYLHFECTPRILHCSLKPSNVMLDEGFEPRLADCGVSRLIASGSADPELASSLYSAPECYQSSRYTDKSDVYSFGMILGVLLTGRDPTDHFFSGETGRGGLARWLRHMQQSGDAKDALDSSVLGEEGEEDEMVMAVRVAIICLSDLPADRPSSDELVPMLTQLHSL >ORUFI01G45980.1 pep chromosome:OR_W1943:1:37504068:37509095:1 gene:ORUFI01G45980 transcript:ORUFI01G45980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAMAPPPPPQGSSTGDPLYDELWHACAGPLVTVPRVGDLVFYFPQGHIEQVEASMNQVADSQMRLYDLPSKLLCRVLNVELKAEQDTDEVYAQVMLMPEPEQNEMAVEKTTPTSGPVQARPPVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHSMDWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQLSNVPSSVISSQSMHLGVLATAWHAINTKSMFTVYYKPRTSPSEFIIPYDQYMESVKNNYSVGMRFRMRFEGEEAPEQRFTGTIIGSENLDPVWPESSWRSLKVRWDEPSTIPRPDRVSPWKIEPASSPPVNPLPLSRVKRPRPNAPPASPESPILTKEAATKVDTDPAQAQRSQNSTVLQGQEQMTLRSNLTESNDSDVTAHKPMMWSPSPNAAKAHPLTFQQRPPMDNWMQLGRRETDFKDVRSGSQSFGDSPGFFMQNFDEAPNRLTSFKNQFQDQGSARHFSDPYYYVSPQPSLTVESSTQMHTDSKELHFWNGQSTVYGNSRDRPQNFRFEQNSSSWLNQSFARPEQPRVIRPHASIAPVELEKTEGSGFKIFGFKVDTTNAPNNHLSSPMAATHEPMLQTPSSLNQLQPVQTDCIPEVSVSTAGTATENEKSGQQAQQSSKDVQSKTQVASTRSCTKVHKQGVALGRSVDLSKFSNYDELKAELDKMFEFDGELVSSNKNWQIVYTDNEGDMMLVGDDPWEEFCSIVRKIYIYTKEEVQKMNSKSNAPRKDDSSENEKGSVKRDDTRERFRAKIYHYCYCPSQLLPTL >ORUFI01G45980.2 pep chromosome:OR_W1943:1:37504068:37509430:1 gene:ORUFI01G45980 transcript:ORUFI01G45980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAMAPPPPPQGSSTGDPLYDELWHACAGPLVTVPRVGDLVFYFPQGHIEQVEASMNQVADSQMRLYDLPSKLLCRVLNVELKAEQDTDEVYAQVMLMPEPEQNEMAVEKTTPTSGPVQARPPVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHSMDWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQLSNVPSSVISSQSMHLGVLATAWHAINTKSMFTVYYKPRTSPSEFIIPYDQYMESVKNNYSVGMRFRMRFEGEEAPEQRFTGTIIGSENLDPVWPESSWRSLKVRWDEPSTIPRPDRVSPWKIEPASSPPVNPLPLSRVKRPRPNAPPASPESPILTKEAATKVDTDPAQAQRSQNSTVLQGQEQMTLRSNLTESNDSDVTAHKPMMWSPSPNAAKAHPLTFQQRPPMDNWMQLGRRETDFKDVRSGSQSFGDSPGFFMQNFDEAPNRLTSFKNQFQDQGSARHFSDPYYYVSPQPSLTVESSTQMHTDSKELHFWNGQSTVYGNSRDRPQNFRFEQNSSSWLNQSFARPEQPRVIRPHASIAPVELEKTEGSGFKIFGFKVDTTNAPNNHLSSPMAATHEPMLQTPSSLNQLQPVQTDCIPEVSVSTAGTATENEKSGQQAQQSSKDVQSKTQVASTRSCTKVHKQGVALGRSVDLSKFSNYDELKAELDKMFEFDGELVSSNKNWQIVYTDNEGDMMLVGDDPWEEFCSIVRKIYIYTKEEVQKMNSKSNAPRKDDSSENEKGHLPMPNKSDN >ORUFI01G45990.1 pep chromosome:OR_W1943:1:37513115:37516420:1 gene:ORUFI01G45990 transcript:ORUFI01G45990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRFLNLIVDNRFPGSKSLRCIDLTLQNFFNRSDSKVVAADASAAISGSMDWIQLPSPMFNFQASSVAHHWEISCFPLRGREGCPISLFVPSTNVDDDDGDGTLFIMERVVKPESPRSSPSHSDQFEAVFYRTPSSVRSVSSTCKPLPPPPFVRDPKFSNTRTTINSYAVVSGGFEICISVEGAGTYCMDTVKHTWRHVGQWTLPFYGKVEYVPELKLWFGLSDKTNHLAATDLSAMDDCFQRPELVRAWMELSPCSASQGSSTLRHFAGYYRDQIIDDPFVVLTGVDVVPDDASGDANGSMGEVQLRMIKFLNLIMDNRFPVSKSLRCIDLTHHCNLFNATPPNFFNGSKSTIIRVENRIQLPSPIFNFAAGFGEDWRMDCLPFLDRRVICADQSGRCFLFEAYRSRVVMLPRLHGPKQVPISILIPCPEEESGEFDGGNFYIMDKMSRAGVSGTNQFEAFVYCHYRGSRIMKSWTCQLLPPPPYVYDRTYLGRWLEIRSYVVVDYGSKICISVKGVGTYCMDRRSLTWSHLGKWMLPFIGKVEYVPEVKLWVGICSSTHELAAADLSSMDSQPQLVGTCKEFEPPEEWKQCRDPQLVNLGSGKFCVTRFFRNQAPKGDSDDEFIGRNITVLTGVEVMQSACHGNGNGNGNGSSGEMELQMIPHRSRWYGGDTIGTVC >ORUFI01G46000.1 pep chromosome:OR_W1943:1:37516987:37521155:-1 gene:ORUFI01G46000 transcript:ORUFI01G46000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALRLAAAPLRLRLGVGAAAAAAETLGGIGVGGGDQLSVVMKFGGSSVSSAARMREVAGLILAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIRVSEIEEWNLIKDLHIKTVEELALPRSVIHTMLDELEQLLKGIAMMKELTLRTTDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFDIGFITTDDFGNADILEATYPAVAKRLHGDWIRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPNATTVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQREMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDCVATSEVSISVSLDPSKIWSRELIQQASAPIYMQNQELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKAFQVLRKSGVNVQMISQGASKVNMSLIVHDSEAKQCIKALHQAFFEDDVLTEDAKRLEHASQVPRLNVLSLWI >ORUFI01G46000.2 pep chromosome:OR_W1943:1:37516987:37521155:-1 gene:ORUFI01G46000 transcript:ORUFI01G46000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALRLAAAPLRLRLGVGAAAAAAETLGGIGVGGGDQLSVVMKFGGSSVSSAARMREVAGLILAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIRVSEIEEWNLIKDLHIKTVEELALPRSVIHTMLDELEQLLKGIAMMKELTLRTTDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFDIGFITTDDFGNADILEATYPAVAKRLHGDWIRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPNATTVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQREMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKAFQVLRKSGVNVQMISQGASKVNMSLIVHDSEAKQCIKALHQAFFEDDVLTEDAKRLEHASQVPRLNVLSLWI >ORUFI01G46000.3 pep chromosome:OR_W1943:1:37517224:37521155:-1 gene:ORUFI01G46000 transcript:ORUFI01G46000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALRLAAAPLRLRLGVGAAAAAAETLGGIGVGGGDQLSVVMKFGGSSVSSAARMREVAGLILAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIRVSEIEEWNLIKDLHIKTVEELALPRSVIHTMLDELEQLLKGIAMMKELTLRTTDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFDIGFITTDDFGNADILEATYPAVAKRLHGDWIRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPNATTVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQREMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDCVATSEVSISVSLDPSKIWSRELIQQASAPIYMQNQELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKAFQVLRKSGVNVQMISQGASKVNMSLIVHDSEAKQCIKALHQAFFEDDVLTEVEEEALHMS >ORUFI01G46000.4 pep chromosome:OR_W1943:1:37517224:37521155:-1 gene:ORUFI01G46000 transcript:ORUFI01G46000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALRLAAAPLRLRLGVGAAAAAAETLGGIGVGGGDQLSVVMKFGGSSVSSAARMREVAGLILAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIRVSEIEEWNLIKDLHIKTVEELALPRSVIHTMLDELEQLLKGIAMMKELTLRTTDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFDIGFITTDDFGNADILEATYPAVAKRLHGDWIRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPNATTVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQREMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKAFQVLRKSGVNVQMISQGASKVNMSLIVHDSEAKQCIKALHQAFFEDDVLTEVEEEALHMS >ORUFI01G46010.1 pep chromosome:OR_W1943:1:37522596:37525417:-1 gene:ORUFI01G46010 transcript:ORUFI01G46010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLGLLFSSLLFSERCEARRRARSGGEVAMDEAEAAAAAAKMDELAGGGGGGGGDWSYLAADALAAASFTAFPLHHHHHRDVLSASTPSSLLLNMDAATAAAMFDFQAAFPSSSVPPPPPTTTAALPPFHDFASSNPFDDAPPPFLAPPGQKLGFLGPPGGAFGGGMGWDDDDEIEQSVDASSMGVSASLENAAPVAAGGGGGGGGGGGRGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNELESAPSSSLTGPSSASFHPSTPTLQTFPGRVKEELCPTSFPSPSGQQATVEVRMREGHAVNIHMFCARRPGILMSTLRALDSLGLGIEQAVISCFNGFAMDVFRAEQCRDGPGLGPEEIKTVLLHSAGLQNAMRTDEMRLAI >ORUFI01G46020.1 pep chromosome:OR_W1943:1:37532028:37538649:-1 gene:ORUFI01G46020 transcript:ORUFI01G46020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRAGSPVYGRQRSGSSTGSSSPGGVSPSHHRSSSTSSAASAAAGLGGGVSNVRRTQNVAARAAAARLAQVMASQSAAAAAGRDDDDDDDDYANDHPPAPPPARFGSARPAAAHGSNGVSLLGRTARSPSPALGRNIVEPPPTVRSTSAGRPAVASRPTTTVVPPIKTSTTLRTPSPIPPVAVEPPVDRSRQKRFDTGHLNSRESTPKREASALQDELDILQEENESVLEKLRLAEERCEEAEARAKELEKQVAALGEGVSLEARLLSRKEAALKQREAALKAARESKDGKDGEVTTLKHELDCAKEEVVTAMEQLKEAETETKALRSMTQRMILTQEEMEEVVLKRCWLSRYWGLAVQYGEDDAQRRNKLVRDMSDVMGEGNIESMLSVEMGLRELSSLKVEDAVVVALGQHRRPSIVRQFTSDFKSPGEPKFLEAFDLSHEEAEDVSFKQAWLIYFWRRAKTHGIEEDIAEERLQFWIGRNAVAPTSHDAIDVERGLTELRKLGIEQQLWEGSRADIDEDSSAIENH >ORUFI01G46030.1 pep chromosome:OR_W1943:1:37546963:37551631:1 gene:ORUFI01G46030 transcript:ORUFI01G46030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARKGTATPLGAVFLPEETRRAVARVAEAVADRRAELGRLQGFSADNAALVSLVQRLPDEVSHDIMVPFGGAAFFPGRLIHTNELLVLLGEGYYVERSAKQTTEILHRRGMELEAQVEAMKATISDLEAEAKFFESTAAEASEGLIEIREEYDEDTEINSSTLDFQYLFDLSWTHNASSSASGMPDKDREYTQIMARLDELEMEENDAGSTSEEDGEDDEDDEDDEEDAGPSEDDKEDEEEENILIDDNDHHNASLGASFSGSGGNDQSHESAQLKSALKKPGGREILKSASFAPSASTPHSVFPGQTSTINPGIQLPLKKAVSFQDDNRHTVGPSKSLPLPQGPKHSSPILQVSSDNPTSHDQKIMSSGQKAFTGSIIEHDDNISTLQPSKSAALQNPASSSSRPVSRFKMQKGGR >ORUFI01G46030.2 pep chromosome:OR_W1943:1:37546963:37551631:1 gene:ORUFI01G46030 transcript:ORUFI01G46030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARKGTATPLGAVFLPEETRRAVARVAEAVADRRAELGRLQGFSADNAALVSLVQRLPDEVSHDIMVPFGGAAFFPGRLIHTNELLVLLGEGYYVERSAKQTTEILHRRGMELEAQVEAMKATISDLEAEAKFFESTAAEASEGLIEIREEYDEDTEINSSTLDFQYLFDLSWTHNASSSASGMPDKDREYTQIMARLDELEMEENDAGSTSEEDGEDDEDDEDDEEDAGPSEDDKEDEEEENILIDDNDHHNASLGASFSGSGGNDQSHESAQLKSALKKPGGREILKSASFAPSASTPHSVFPGQTSTINPGIQLPLKKAVSFQDDNRHTVGPSKSLPLPQGPKHSSPILQVSSDNPTSHDQKIMSSGQKAFTGSIIEHDDNISTLQPSKSAALQNPASSSSRPVSRFKMQKGGR >ORUFI01G46040.1 pep chromosome:OR_W1943:1:37552039:37558294:-1 gene:ORUFI01G46040 transcript:ORUFI01G46040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPARSRLFHHDSSSSSKRGGGNSSSSCTPQYCIATQLDAAAAAAPARRRHHHHHQQPAAARYQLAMAPPEDDAAAGTSSRGGGAGDDDDGDKDWLQLGLAAAAPTATAPAAAAAPSSSTPTAPELDVFAAKQYNGRPPLFPLPILRSYHHHHHHQYGHGGGRCPPPSFFPFARPLRSSGDLPGVMRVISPPRRAAAADAAGLWLTLQASPDQFREPILPQIPKSYLRIKDSNMKVEVVMKYLAGKLGLTQSHLQLLPPFLLVKNVRDSIWCSSLRPSCREDDDGDGEDDLVELHRRSPAAAATTDHVMALVYSTSSSCH >ORUFI01G46040.2 pep chromosome:OR_W1943:1:37552039:37558294:-1 gene:ORUFI01G46040 transcript:ORUFI01G46040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPARSRLFHHDSSSSSKRGGGNSSSSCTPQYCIATQLDAAAAAAPARRRHHHHHQQPAAARYQLAMAPPEDDAAAGTSSRGGGAGDDDDGDKDWLQLGLAAAAPTATAPAAAAAPSSSTPTAPELDVFAAKQYNGRPPLFPLPILRSYHHHHHHQYGHGGGRCPPPSFFPFARPLRSSGDLPGVMRVISPPRRAAAADAAGLWLTLQASPDQFREPILPQIPKSYLRIKDSNMKVEVVMKYLAGKLGLTQSHLQVELTCRGQLLPPFLLVKNVRDSIWCSSLRPSCREDDDGDGEDDLVELHRRSPAAAATTDHVMALVYSTSSSCH >ORUFI01G46050.1 pep chromosome:OR_W1943:1:37563587:37564691:-1 gene:ORUFI01G46050 transcript:ORUFI01G46050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNAPKYSSAQCHHEVGGLLVYTFLQGDDPYKRKYENDIHTTLLSGYRVLIAEESALLDYEILILDDINVSTYYRRIGGQ >ORUFI01G46060.1 pep chromosome:OR_W1943:1:37567436:37585879:-1 gene:ORUFI01G46060 transcript:ORUFI01G46060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYVTALTTLFSYGLLFAFGQLRDFFRRILDAGKSSNLKGYAPICLGLEDFYTRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDSNKTLHRTTKTSKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAILFGMGYVTNSAIIPALVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEVIAVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHIYATSMSPPAVQQVISAIKVILGEDGSNRGAKKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQHVAVVTVAFPATPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYFPPAGEGSAAAAADRSTAMVRVPFVTAVTTVFSYGVIFGFGHLRDWVTRQFAEDRRISITDDSFDCFWRPIASKPDAWFDVVERYSNDSNKTLHRTTKTSRCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAILFGMGYVTNSAIIPALIGKGGLIISDSLNHNSIVNGARGSGASVQVFQHNNPAHLEEVLREQIAGGQPRTHRRWKKIIVIVEGIYSMEGELCKLPEIVAVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHIYATSMSPPAVQQVISAIEVILGEDGSDRGAKKLAQIRENSNFFRSELEKMGFEVLGDNDSPVMPIMLYNPAKMPAFSRECLRQKVAIVTVSFPATPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYLPVEHEKTTSAEKLKKIHSITNTDASAAFRPPESRKKREREVPYVTAATTLFSFGLIFGFGHLRDSFRALLRLLFSSAAAADSPAGCNSKGYAPICVGKEDFYIRRFFRRVQDCFGRPIASKPDAWFDVVERYSTDSNKTLHRTTKTSKCLNLASFNYLGFAAADEYCTPRVIESLKKYSASTCSSRVDGGNTQLHIELEELVARFVRKPSAILLAMGYATNSAIIPALIGKGGLIISDSLNHNSIVSGARASGATIRVFEHNNPAHLEKLLREQISGGQPRTHRAWKKILVIVEGIYSMEGELCKLPEIISVCKKYKVYTYMDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTLSKSFGSSGGYIAASKEIIQHLKLTCPSHIYGTSMSPPAVQQVISAMKVILGEDGTDRGAKKIAQIRDNSNFFRSELQKMGFEVLGDNDSPVMPFMVYNPAKMPAFSRECLKQNVAVVPVGFPATPLLLGRIRICISASHSREDLIKGLEVISNVGDLVGIKYLPVEQEETTSVEKPKKL >ORUFI01G46060.2 pep chromosome:OR_W1943:1:37567436:37585879:-1 gene:ORUFI01G46060 transcript:ORUFI01G46060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYVTALTTLFSYGLLFAFGQLRDFFRRILDAGKSSNLKGYAPICLGLEDFYTRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDSNKTLHRTTKTSKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAILFGMGYVTNSAIIPALVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEVIAVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHIYATSMSPPAVQQVISAIKVILGEDGSNRGAKKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQHVAVVTVAFPATPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYFPPAGEGSAAAAADRSTAMVRVPFVTAVTTVFSYGVIFGFGHLRDWVTRQFAEDRRISITDDSFDCFWRPIASKPDAWFDVVERYSNDSNKTLHRTTKTSRCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAILFGMGYVTNSAIIPALIGKGGLIISDSLNHNSIVSGARASGATIRVFEHNNPAHLEKLLREQISGGQPRTHRAWKKILVIVEGIYSMEGELCKLPEIISVCKKYKVYTYMDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTLSKSFGSSGGYIAASKEIIQHLKLTCPSHIYGTSMSPPAVQQVISAMKVILGEDGTDRGAKKIAQIRDNSNFFRSELQKMGFEVLGDNDSPVMPFMVYNPAKMPAFSRECLKQNVAVVPVGFPATPLLLGRIRICISASHSREDLIKGLEVISNVGDLVGIKYLPVEQEETTSVEKPKKL >ORUFI01G46060.3 pep chromosome:OR_W1943:1:37567438:37585879:-1 gene:ORUFI01G46060 transcript:ORUFI01G46060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYVTALTTLFSYGLLFAFGQLRDFFRRILDAGKSSNLKGYAPICLGLEDFYTRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDSNKTLHRTTKTSKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAILFGMGYVTNSAIIPALVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEVIAVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHIYATSMSPPAVQQVISAIKVILGEDGSNRGAKKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQHVAVVPVGFPATPLLLGRIRICISASHSREDLIKGLEVISNVGDLVGIKYLPVEQEETTSVEKPKKL >ORUFI01G46070.1 pep chromosome:OR_W1943:1:37588030:37596427:1 gene:ORUFI01G46070 transcript:ORUFI01G46070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAGRKEKKEVVRLERESVIPIMKPKLIMKLAYLIEQQSDREEFLKLCKRIEYTIRAWYHLQFDDMMELFALFDPVHGAQKLQQQNFSTEEVDTLEQNFLTYFFQVMQKSNFNILSDDEVELAHSGQYLLNLPIKVDEAKLDNKLLSKYFKEHHHDNLPEFSDKYVIFRRGIGLDRTSNFFFMEKVDMIIARAWRWFLEKTRLQKLFSRKKSVRPKTDSKKNDDLVGEEEDKELYVERIRLETMNLSLRNLIGKVTIQEPTFEEVIVLYRRKSPKGQNDRSIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLVSSLEMPKADFWVVVAVLSALAGYCAKIYLSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEIIISYYILMENGKATIEDLDLQCEELIQEEFGLQCNFEVMDAVQKLERLGIITRDSIGRICCLSLKRANEIIGATTEELYKCSSSYQLSKKRAAELSVWSEMMSSSSSLVSAISASPSATAKARLRHDDAATATGAAAAAAVAARQLKTEHGGCCCCRSRGRAVVARAGPGPLTEIEPDLNEDPIDKWRTNGVSPDDFEYGVYDGHHTYNETQDKKGFWEDVSEWYQEAEPPQGFQALISWLFPPAIILGMAFNVPGEYLYIGAAVFVVVFCVIEMDKPDKPHNFEPEIYMMERSARDKLIADYNTMDIWDFNEKYGELWDFTVDRRDEIVKSS >ORUFI01G46070.2 pep chromosome:OR_W1943:1:37588225:37596427:1 gene:ORUFI01G46070 transcript:ORUFI01G46070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAGRKEKKEVVRLERESVIPIMKPKLIMKLAYLIEQQSDREEFLKLCKRIEYTIRAWYHLQFDDMMELFALFDPVHGAQKLQQQNFSTEEVDTLEQNFLTYFFQVMQKSNFNILSDDEVELAHSGQYLLNLPIKVDEAKLDNKLLSKYFKEHHHDNLPEFSDKYVIFRRGIGLDRTSNFFFMEKVDMIIARAWRWFLEKTRLQKLFSRKKSVRPKTDSKKNDDLVGEEEDKELYVERIRLETMNLSLRNLIGKVTIQEPTFEEVIVLYRRKSPKGQNDRSIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLVSSLEMPKADFWVVVAVLSALAGYCAKIYLSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEIIISYYILMENGKATIEDLDLQCEELIQEEFGLQCNFEVMDAVQKLERLGIITRDSIGRICCLSLKRANEIIGATTEELYKCSSSYQLSKKRAAELSVWSEMMSSSSSLVSAISASPSATAKARLRHDDAATATGAAAAAAVAARQLKTEHGGCCCCRSRGRAVVARAGPGPLTEIEPDLNEDPIDKWRTNGVSPDDFEYGVYDGHHTYNETQDKKGFWEDVSEWYQEAEPPQGFQALISWLFPPAIILGMAFNVPGEYLYIGAAVFVVVFCVIEMDKPDKPHNFEPEIYMMERSARDKLIADYNTMDIWDFNEKYGELWDFTVDRRDEIVKSS >ORUFI01G46080.1 pep chromosome:OR_W1943:1:37594758:37597886:-1 gene:ORUFI01G46080 transcript:ORUFI01G46080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKYPSNLQYFSSGTAEELQTLRKVVHPVQLNQGARCMTLEELSSATRNFSNVNLIGHGMFGEVYKGLLQDGTIVAIKKRHSPPSHEFIHEVNYLSSIRHRNLVNLLGYCQENGMQMLVYEYVPNGSVSTHLHGSSHAPGVKLEFKQRLSIAHGAAKGLNHLHSLTPPTVHMNFKTANVLVDEDLIPKVADAGIRALLDRLGGVGPSSRTSYDPFLDPSFGVFLVELLSGKRALSDQNIIRWVQNFQQSSDISAIADNRMASAYTSEGMREFLRLTSWCVNPTSEHRPSMNLVEAEINRIREQEMRMTTIMPESTPTVTLGSQLFTTSG >ORUFI01G46090.1 pep chromosome:OR_W1943:1:37603093:37604681:-1 gene:ORUFI01G46090 transcript:ORUFI01G46090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKEAARERREQRRREVTLLRAVPYEPHQRWWDGLAPPPPPPGRAVAVVTGANRGIGYEAARQLATHGLHVVLTSRDAARGRDATEQIRAAAGKPGVSVEWRQLDVTDAASVEGFATWVERTHGGVHVLVNNAGVNFNRGADNSVEFAEQVIETNYFGTKRMIEAMMPLMITSPHGGRIVNVSSRLGRVNGRRNRIGDPSLRERLLNDDHLSEELINEMVMKFLEQTKQDNWSSGNEWPQMYTDYSISKLAVNAYTRLLARRLLDRPEGQKIYINCFCPGWVKTAMTGWEGNISAEEGADTGVWLALVPQEQATIGKFFAERREISF >ORUFI01G46100.1 pep chromosome:OR_W1943:1:37611533:37612342:1 gene:ORUFI01G46100 transcript:ORUFI01G46100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAHTLFVLLSLPCSFFSSSRPLVAAATTAAAAARSCCEGGAAAAAPRSARGCHQLATGCACGSLLHPRRLRFSVAPVAAAKPEAVGTTGEAAAAPVKELAKSLQGVELFDLRGKAVPVVDLWKDRNFLSCFGRLIVFETIDDGCLHVCALP >ORUFI01G46110.1 pep chromosome:OR_W1943:1:37614675:37617558:1 gene:ORUFI01G46110 transcript:ORUFI01G46110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLCPAAAASYSSLLSSSFPSRRRPQPSAPLASPAPSSPPRPRSVAAAAAYGYGGDVLMRPFDTQTLLISAAVVSAVSLSLVLGLKGDPVPCERCAGNGGTKCVFCNDGKMKVENGVVECRVCKGAGLILCKKCSGSGYSRRL >ORUFI01G46110.2 pep chromosome:OR_W1943:1:37614675:37617867:1 gene:ORUFI01G46110 transcript:ORUFI01G46110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLCPAAAASYSSLLSSSFPSRRRPQPSAPLASPAPSSPPRPRSVAAAAAYGYGGDVLMRPFDTQTLLISAAVVSAVSLSLVLGLKGDPVPCERCAGNGGTKCVFCNDGKMKVENGVVECRVCKGAGLILCKKCSGSGYSRRL >ORUFI01G46110.3 pep chromosome:OR_W1943:1:37614675:37616103:1 gene:ORUFI01G46110 transcript:ORUFI01G46110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLCPAAAASYSSLLSSSFPSRRRPQPSAPLASPAPSSPPRPRSVAAAAAYGYGGDVLMRPFDTQTLLISAAVVSAVSLSLVLGLKGDPVPCERCAGNGGTKCVFCNDGKMKVENGVVECRVCKGAGLILCKKCSGSGYSRRL >ORUFI01G46120.1 pep chromosome:OR_W1943:1:37620909:37622055:1 gene:ORUFI01G46120 transcript:ORUFI01G46120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGAMKRLFVESSSSAAASNSGREAAVLCAPRPRRVQVHPCSADLILGPPPFLLSSNNTNKQREGKSKEEEEEEGRWEMFGGSPPARADNPLVHDPHFLLNQRPHAAAAAPELSIFDHRSTHHGHHPAYSSSSSFAPSLAAARVAGVRAAACCPPAPDPPAAARRRTACPKGNFSPAAFAVTMVKYIK >ORUFI01G46130.1 pep chromosome:OR_W1943:1:37630879:37639170:-1 gene:ORUFI01G46130 transcript:ORUFI01G46130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRPNSASVSPFVLAAEASNGGARGGGGLPRRRRPLRFPGGSWTARPSPRIGGMETRIGGLASRSGDGMLEHVDWGSWAECIGQWGYSRSNNEQSMNA >ORUFI01G46140.1 pep chromosome:OR_W1943:1:37633626:37638704:1 gene:ORUFI01G46140 transcript:ORUFI01G46140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPTHTCLYKPSHACLNNTEGRPEQTFHTLRMTEPLHTSSNGGAERGPNAAFESEKTLQTTTRLQRFDSLHMEAGKIPGGQSHTAKVGWATTLHLAFQSIGVVYGDMGTSPLYVFSSTFTNGIKDTNDILGVMSLIIYTVVLLPLIKYCFIVLRANDNGDGGTFALYSLISRYARISLIPNQQAEDAMVSHYKLESPSNRVKRAHWIKEKMENSPNFKIILFLVTILATSMVIGDGVLTPCISVLSAVGGIKESAKSLTQGQIAGIAIAILIVLFLVQRFGTDKVGYSFGPIILTWFIFIAGTGVYNLFKHDTGVLKAFNPKYIVDYFERNGKQGWISLGGVILCITGTEAMFADLGHFNVRAIQIGFSVVLLPSVLLAYIGQAAYLRIYPEHVADTFYKSIPDPLYWPTFVVAVAAAIIASQAMISGAFAIIAQSQILGCFPRVRVIHTSTKFHGQVYIPEINYVLMVLCVAVTAIFQTTDKIGNAYGIAVVFVMFITTLLVTLVMVMIWKTSLLWIALFPVIFGGAELIYLSSAFYKFTQGGYLPLVFSAILMFIMATWHYVHVHRYKYELRNKVSNNYVAELAVKQNLARLPGIGFLYSELVQGIPPILPHLVEKVPSIHSVLVIISIKYLPISKIETKERFLFRYVEPKEYRVFRCVVRYGYNDKVEDPAEFESLVIENLKQFIHEESLYSQSSHSLEGESIKEIGGVTDPTSEVQDAMSSRNNSDQHTTEPRNGCMDEIQSIHKEMGNGVVHLLGETNVVAEPNADFLKKIIVDYVYNFIRKNFRQPEKITCVPHNRLLRVGMTYEI >ORUFI01G46140.2 pep chromosome:OR_W1943:1:37633626:37638704:1 gene:ORUFI01G46140 transcript:ORUFI01G46140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPTHTCLYKPSHACLNNTEGRPEQTFHTLRMTEPLHTSSNGGAERGPNAAFESEKTLQTTTRLQRFDSLHMEAGKIPGGQSHTAKVGWATTLHLAFQSIGVVYGDMGTSPLYVFSSTFTNGIKDTNDILGVMSLIIYTVVLLPLIKYCFIVLRANDNGDGGTFALYSLISRYARISLIPNQQAEDAMVSHYKLESPSNRVKRAHWIKEKMENSPNFKIILFLVTILATSMVIGDGVLTPCISVLSAVGGIKESAKSLTQGQIAGIAIAILIVLFLVQRFGTDKVGYSFGPIILTWFIFIAGTGVYNLFKHDTGVLKAFNPKYIVDYFERNGKQGWISLGGVILCITGTEAMFADLGHFNVRAIQIGFSVVLLPSVLLAYIGQAAYLRIYPEHVADTFYKSIPVAAAIIASQAMISGAFAIIAQSQILGCFPRVRVIHTSTKFHGQVYIPEINYVLMVLCVAVTAIFQTTDKIGNAYGIAVVFVMFITTLLVTLVMVMIWKTSLLWIALFPVIFGGAELIYLSSAFYKFTQGGYLPLVFSAILMFIMATWHYVHVHRYKYELRNKVSNNYVAELAVKQNLARLPGIGFLYSELVQGIPPILPHLVEKVPSIHSVLVIISIKYLPISKIETKERFLFRYVEPKEYRVFRCVVRYGYNDKVEDPAEFESLVIENLKQFIHEESLYSQSSHSLEGESIKEIGGVTDPTSEVQDAMSSRNNSDQHTTEPRNGCMDEIQSIHKEMGNGVVHLLGETNVVAEPNADFLKKIIVDYVYNFIRKNFRQPEKITCVPHNRLLRVGMTYEI >ORUFI01G46150.1 pep chromosome:OR_W1943:1:37642106:37642807:-1 gene:ORUFI01G46150 transcript:ORUFI01G46150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESAARRMWGYLRAVFFMVRKGVISKRRLLLGMQLAMRLKRRNRAVARSVASLLSHHHGGGGGGALRRRREYEFSCSNSPDVVHGGAASRRRLAYFPCLGAEDDVVDAGASATAAAAALQRIEYYGYAAAAASSPAPSSPGFMVRDELLAPGDECSTPGLSPLLGTTGGYSVRVSNYSSSEEEDGDYGHADGGGGGEAVDDEAEEFIRRFYEQLRRQNHVALLPYMTESAA >ORUFI01G46160.1 pep chromosome:OR_W1943:1:37650678:37656857:1 gene:ORUFI01G46160 transcript:ORUFI01G46160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEIKPPQPHVLTRMAAAIAVVYLSLLLLLLHGAAPAVLGYTRGDFPEDFVFGSATSSYQYEGGFDEDGRSPSNWDIFTHQADVAADGYHKYKDDLKLMVDTNLEAYRLSISWSRIIPNGRGDVNPKGLQYYNDIIDGLVKNGIQVHIMLYQLDLPQVLEDEEDFKAYADVCFKEFGDRVAHWITIDEPNVASIGSYDSGQLAPGRCSDPFGIRKCTVGNSSVEPYIAVHNMLLAHASVTKLYREKYQVAGKGIIGISVYTFWAYPLTNSTVDLEATKRCQDFIVHWVLRPLVFGDYPQVMKNIVGSRLPSFTKAQSEDVKGSLDFIGMNHYYSLYVNDRPLGKGTRDFVADISIYYRGSKTDPPPGYGSSNDTVHDNDRVDYLKSYIGSILTALRNGANVKGYFVWSFVDVFEYLTGYGQSYGLYRVDFADESRPRQARLSARWYSGFLKNREMDVDQSELAMAAAESRAQQ >ORUFI01G46160.2 pep chromosome:OR_W1943:1:37650678:37657408:1 gene:ORUFI01G46160 transcript:ORUFI01G46160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEIKPPQPHVLTRMAAAIAVVYLSLLLLLLHGAAPAVLGYTRGDFPEDFVFGSATSSYQYEGGFDEDGRSPSNWDIFTHQADVAADGYHKYKDDLKLMVDTNLEAYRLSISWSRIIPNGRGDVNPKGLQYYNDIIDGLVKNGIQVHIMLYQLDLPQVLEDEEDFKAYADVCFKEFGDRVAHWITIDEPNVASIGSYDSGQLAPGRCSDPFGIRKCTVGNSSVEPYIAVHNMLLAHASVTKLYREKYQVAGKGIIGISVYTFWAYPLTNSTVDLEATKRCQDFIVHWVLRPLVFGDYPQVMKNIVGSRLPSFTKAQSEDVKGSLDFIGMNHYYSLYVNDRPLGKGTRDFVADISIYYRGSKTDPPPGYGSSNDTVHDNDRVDYLKSYIGSILTALRNGANVKGYFVWSFVDVFEYLTGYGQSYGLYRVDFADESRPRQARLSARWYSGFLKNREMDVDQSELAMAAAESRAQQ >ORUFI01G46170.1 pep chromosome:OR_W1943:1:37657729:37661930:1 gene:ORUFI01G46170 transcript:ORUFI01G46170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTPAASRERRWSLAGKTALVTGGTKGIGYRVHSPPSPRAIVEELAGFGVRVHTCSRHDADLQDCLRRWNAADGGGLGGGAAAPVTASVCDVSVRGDREALVAAARAALGGRLDILVNNVGQTLFGAAAACAAEDYARIMATNLESCFHLAQLAHPLLLGAGGAAASVVNISSVAGFIAYPALSVYSATKGAMNQLTRSLAAEWARDGIRVNCVAPGGVRTDIAGSSGVALEPGAARAMEEREAARVVMGRIGEPEEVASLVAFLCMPAASYITGQVICVDESVLSRGRLEERRGRRRKGSSVSYLEKEACLGWALCVQEEDRIYFGALELEHKSRMAAASRARRWSLAGKTALVTGGTKGIGRAIVEELAGFGVRVHTCSRHDADLQDCLRRWNAAGEGGGAAALVTGSVCDVSVRGDREALVAAARAALGGRLDMLVNNAGQVVVGPAAETAPEEYARLMATNLESCFHLAQLAHPLLRDAAAGGGAAASVVNISSTAAFYAAPHLAVYSATKGGMNQLTRCLAAEWARDGVRVNAVAPGATRTDICDTSGVALGEKTRRRLADAGAMDRVPIRRIGEPEEVAAAVVFLCMPAASYITGQVICVDGGRTLL >ORUFI01G46180.1 pep chromosome:OR_W1943:1:37664316:37667233:-1 gene:ORUFI01G46180 transcript:ORUFI01G46180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGQGVARRHGAVAEEDPDRRRGDRSGKEGDDDGVDVDEKAPPPRRTSRRVASLDVFRGLTVALMILVDGAGGEWPVIGHAPWNGCNLADFVMPFFLFIVGMAIPLSLKRIPDRGRAVRRVVLRTLKLLFWGILLQGGYSHAPDDLSYGVDMKHVRWCGILQRIALAYLVVAVLEIVTKNAKVQDQSSSGFSIFRMYFSQWIVACCILVIYLSLVYGIYVPDWDFRVSDVKNPNFGKILTVTCGTRGKLSPPCNAVGYIDRKVLGINHMYHRPAWRRHKDCTDDSPHEGPFKTDSPAWCYAPFEPEGLLSSLSAVLSTIIGVHYGHVLVHMKSHTDRLKQWSIMGITLLILGLTLHFSHAIPLNKQLYTFSYLCVTAGAAGIVFCMFYFLVDILNLHYPFAPLKWTGMNAMLVYVMAAAGIFEGFLNGWYYEGTNNTLVYWVRKHVFVKVWHSTRVGILLYVLFAQILFWALVAGLLHRARLYWKL >ORUFI01G46190.1 pep chromosome:OR_W1943:1:37668708:37669851:-1 gene:ORUFI01G46190 transcript:ORUFI01G46190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALDNTLPMASAERPKKLPKLSAAAAAVVPAASPEGKRKKNDENSAPKATTEQAVEYVRSEDLQPVPHPKAKAAGLVAELDSKDWIRACDALNDARRLAIHHPSLLNPILGKVMLAIVKTMKSPRSAVCKTSIMACTDVFDSFGNLLSSASDDAFDKLLLQLLLKASQDKRFVAEEAEKAMRAMAASMPPLPLLRKLKAYVHHANLRVRAKAAVAMSQCASRMDVATMKEFGMSAMLQVAAELLSDRLPEAREAARGMVNSMHAAFSKEAAAAREEEEGAAAAAAASWESLCALSLPPISAQSVAKIVSQSQSQ >ORUFI01G46200.1 pep chromosome:OR_W1943:1:37670755:37673793:-1 gene:ORUFI01G46200 transcript:ORUFI01G46200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGVPWGDRARVVGAQIRSRFRVGVAPVDRRWLWRRGDGRVASEAVRQWTERVRSLWQREKSTDQISSSPGTSQAAAAAKPSSSALRFYRKKVGKEVDGIEDSVIFRSLQALAVPLIGNACHIFMHGLNSVQIYGAEKLQQALQERPKGKPLLTVSNHVAAMDDPFVIASILPPSVMLEAQKLRWTLCASDRCFTNPILSTFFRSVKVLPVSRGDGIYQKGMDMALSKLNNGGWVHIFPEGSRSKDGGKTVAPAKRGVGRLVMDADSLPVVIPFVHTGMQDIMPVGKRIPRAGKRVIVVVGDPINFNDLIIDNSDETQHISRGILYDKATERIGQRLQELKAEVDRLAAEQKSELQHHHARDTVNYGTRLWQQVDWEAFGMESSMLSPEPSDVQEPLKKAKPVLHLESEHAKPELHLEPEQAKHELHTEQCVPAAPSAEICSDFGVPLFFRQHTDPSELMGFAARGLLKNGRFMEEEGYRELQRQGAMNMFWGSQANNTVL >ORUFI01G46210.1 pep chromosome:OR_W1943:1:37674478:37677363:-1 gene:ORUFI01G46210 transcript:ORUFI01G46210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTMTHSSSFLRLPATSSPHPPPADDASAAYAVVVLNQRLPRFAPLLWDRARLRVCADGGANRVFDGMPELLPAEDPDQVRMRYKPDVIKGDMDSIRPEVKEYYSNLGAEIVDESHDQDTTDLHKCVSFITRNPPGSEESNLYILVLGALGGRFDHEMGNINVLYRFSNIRIVLLSDDCSIFLLPKTHSHEIHIERSIEGPHCGLIPMGSPSASTTTAGLRWNLDNTSMSYGGLISTSNIVEEETVRITSDSDLIWTISLRN >ORUFI01G46220.1 pep chromosome:OR_W1943:1:37680913:37681821:-1 gene:ORUFI01G46220 transcript:ORUFI01G46220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLPAASPSAEWHHGDGGGGCVQGKQAVYTVWMKSLVFNGHGCTVYGSDGRVAFRVDNYGCRGSRDVFFMDTAGTTLIGIQTKSFGMMKRWEASRHHGGEKETTTATATTPWFRVQRGRGPGGAMATVTLHGGVGMAYRIDGCPSKSEYRISRGGGGGGDGEVVAEVARKQTASGVVLGEDVLTLTVGPGADHLLVLGLVVVCGLISRAM >ORUFI01G46230.1 pep chromosome:OR_W1943:1:37683413:37687003:1 gene:ORUFI01G46230 transcript:ORUFI01G46230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPPRIQPVSAHLHSSASPKDSDHQEKKQVCYTVWMKSLVFNGRGCTVYGQDGRAAYRVDNYACRRSREVFVMDSGGKTLLKLLKKNFGVFKTWQGYSCCNNNNGDAAATMEDQPWFRVQKEYKILKKEGRYNVRAVVEIALSGEVYRIIDGASHKSEYRIVGAGGEVLAEIRRKQTDAGVVLGDDVLTLTVGPTADRLLVVGLVVVCGLLDRCI >ORUFI01G46240.1 pep chromosome:OR_W1943:1:37687601:37687918:1 gene:ORUFI01G46240 transcript:ORUFI01G46240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQPWFRVQKEYKILKKEGRCNVRAVVEVALTGEVYRIIDGASHKLEYRIISAGGEVLAEIRRKQTDTGVVLGDDVLSLTVGPTVDRLLVVGLVVVCGLLDHCI >ORUFI01G46250.1 pep chromosome:OR_W1943:1:37701337:37706455:1 gene:ORUFI01G46250 transcript:ORUFI01G46250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGRNDMCQLRSSPSSIMRASARGFRRTKYMRSGSAPDDASAWPAGRRGAWVVCGDSLPHVTHRAHLGRGEARNEVHKEYKILKKEGRYNVKAVVEIVLSGEVYRIIDGGASHKSEYRIVGAGGELHKSAHLLSSLHLTILSPRAADGAEDGPRWSPPSPMMRRADEELRAHQSQRRRLAGHSPMPSMSNHSLCYFRLTHRAEQELAEPASNPAPPQELAPRADADLQGRGELESLAYVFLNGLLQLFLLDVELRA >ORUFI01G46260.1 pep chromosome:OR_W1943:1:37706543:37706815:1 gene:ORUFI01G46260 transcript:ORUFI01G46260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAWKRDRRAILAVELLLPPDGDDINCGLVEPKPRVVALSGRPVGTACNGSGGDDDSSGRRAARAGVDDEVEYNVCEGDGAGAGRVLTR >ORUFI01G46270.1 pep chromosome:OR_W1943:1:37708316:37708741:1 gene:ORUFI01G46270 transcript:ORUFI01G46270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVFALFLGTTTILVVSTAPHAHSYPAAAALHHQCIGAPAIGGENPLEYKKYIVLLRPWPDAATAGMDDDDGARRSWCLSFLPGNITAGGKPRLVRSYKHVVNGFAALLTEAEMDAVSKKPGFWRCFQNGIARLCLQAP >ORUFI01G46280.1 pep chromosome:OR_W1943:1:37717115:37733403:1 gene:ORUFI01G46280 transcript:ORUFI01G46280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTKRLVAPPPRTIASSSGPPGTPVRVVAAEHYGRLLIGFEQLKSQRVQQEIITVVMHANDPEDADEGGELAYAVAPPAHSPPVQLYTVQIDPGRERRCLDSDTAVRRETAAAVAAYIKHGKPNQKRGFGSQPHRGTKISALVLPWCQRRRRCVRRRRRRPYGLRRALARVAAQSSAAPSCHAVASACGNGGGTGTA >ORUFI01G46290.1 pep chromosome:OR_W1943:1:37723634:37725598:-1 gene:ORUFI01G46290 transcript:ORUFI01G46290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQLRLTSKQVSSAMDSNGGGSAPTSSKRPGPFVDITNVINAKLINKRATVIQCEINVPKDHENCQQINKNSTSKIQRASTILDLHSDFVVQAMKITLLSLGMCMKMHEDVTNLSTAELKGSVLERGTHH >ORUFI01G46290.2 pep chromosome:OR_W1943:1:37723634:37725598:-1 gene:ORUFI01G46290 transcript:ORUFI01G46290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQQWWRFSTNIVQKTRSLFHPSSHGPFVDITNVINAKLINKRATVIQCEINVPKDHENCQQINKNSTSKIQRASTILDLHSDFVVQAMKITLLSLGMCMKMHEDVTNLSTAELKGSVLERGTHH >ORUFI01G46300.1 pep chromosome:OR_W1943:1:37734440:37734664:1 gene:ORUFI01G46300 transcript:ORUFI01G46300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYSSGTSAMAMWMEYMRRNDGKIVGINHTGHAILYDPATRTVHTLPAIKTPKLWAISAAVGDNLYHRDDTSP >ORUFI01G46310.1 pep chromosome:OR_W1943:1:37735977:37742304:-1 gene:ORUFI01G46310 transcript:ORUFI01G46310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALDIAYTIYMKFEDLTSALRIALLLDNKSIQYMKQVYTATEDLQPKKQFSFIIARHGLAMEIDNEIAADDNDKEGLQEIFNNTKLSEGYLTLARDIEVMEPKSLEDIYKVHLIDGGGASSSLDSARQNLAATFVNAFVNAGFGQVSSTNNMILLVLSEERMNLKTISSVQDKLMTAPSDSSSSGASGNCLFKNKEHGKASAAASLALAILMEYINKNDTNIRIGAILGFGIAYAGSQKEECNEAEPAEPIIRLLPVALGLLYLGKQESVEATTEVSKTFDEKIRKYCDVTLMSLAYAGTGNVLKHLEKGETHQGPAVLGIALIAMAEELGAEMAVQPLERLLQYGEQNIRRAVPLALGILCISNPKVNVMDTLSRLCHDADADVSMNGPWTPCYCIACLPRYEIHHSRKIPLHSLNTTTFFTFIVLAMQVVVDIRMLLTVDEDLKPISVPVRVGQAVDVIHSADVRYWRALVILKKNPEYNEE >ORUFI01G46320.1 pep chromosome:OR_W1943:1:37748884:37761865:1 gene:ORUFI01G46320 transcript:ORUFI01G46320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVDATSAKPPSKPLGEVKVDPGSAAAAAAPAVATSPRRPCLAQLPPTTSTTSKKKLISIVKTQKLTTVREEQCIPVPIPTISREMVQGGCGGMVDNASIEAELEGMTKASTEGDNSIGMRLRKLVTLLVRIKCESIKGMINGNLWETWNAKELTGQGARGPGLPASADSVDTIRGHKLGREEHLPTPWHHLPTAHLYSSTHCESIQVESSGVSTATRREEKPLRRRRRGEARNGSDGMMKRGDGEWIEGKNGYVTGALSRVRRTASRCLPVG >ORUFI01G46330.1 pep chromosome:OR_W1943:1:37756270:37760792:-1 gene:ORUFI01G46330 transcript:ORUFI01G46330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEDPDWLRAFQPPTTSTVMLSSDSYDSPENSPTRTTPSGEEQKGENKASSDHTPKKLVKLPSSSNASKVTGPKAGPDQIDDTLEHREEGVAEVDMQDKLTEHSVSQRLPLIIPDKVQRSKALIECDGDSIDLSGDVGAVGRIVISNSPNGNQDLLLDLKGTIYKSTIVPSRTFCVIESIMNDFIQLEPQSNLFEAETMMEGTLDGFTFDSDEEGDKLPEPHASQNDQNNEDGDQPKAKTKRKAEKPAGKGQKKAKVAGKATKQGTRKTQTTKRTKKAKK >ORUFI01G46340.1 pep chromosome:OR_W1943:1:37763516:37764038:-1 gene:ORUFI01G46340 transcript:ORUFI01G46340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSPCASCKLLRRRCTKDCIFAPFFPADDPHKFAIVHKVFGASNVSKMLQELPAQQQGDAVSSLVYEVNDRMRDPVYG >ORUFI01G46350.1 pep chromosome:OR_W1943:1:37773336:37778094:-1 gene:ORUFI01G46350 transcript:ORUFI01G46350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPGNGNGAAAAAAAGNDVILELSTPGDDWSHGLQGDDVEANGGGNGDAPPRRTFSFGQAYKTRHRQPQVFTVWQTLMLGYQSLGIVYGDLGTSPLYVFPSVVLPDADATDFLGILSLIIWTLTLMSLVKYALIVLKADDHGEGGTFALYSLLRQHVNFKGNIPVPLTRLESDVHLKFHSKRRSRPSRLQLFLENSPKAQLAITIIVLIGTCMLIGDGALTPAISVLSAVQGIQSRSSHIKQKHVVVLSAVILVLLFLVQRFGTSRVSFTFSPIMLLWFASIAGIGVYNIVMHYPPVLKAVSPHYIYYYFAKNKRVGWEQLGAVAFSTAVFPSLILAYSGQAAYLIKNPGDLSTAFYSSVPAPLFWPMFVVSTLAAIVASQSLISASYSIIRQSIALGCFPRTTVKHTSDKYEGQVYCPEINYVLMVVCVLITVGFQGGPEIGRAFGVAVIWVMLLTTTLMTVVMVVIWEVNGALAGGFFVFYLAIEGTYMTSLMTKVPQGGWVPFAITVAFLSVTLSWTYGRKKKREYEARHAVGDGEFAGIVSRSARVPGMCLFCTDLMDGVPPIVRHYAANTGSLRELLLFVTFRTLPVRTVLAGERFLVAREGARAGVYRCIAQYGYMDEQDMVGDDFVRAAVAALVEVAAAAAEADSGEEEAEMIGRAPASGVSYVIGRTVLRMRRRARNWPKRFVINELYRFLQKNFRSNVSTLKLDHAKTLQVGMIYEI >ORUFI01G46360.1 pep chromosome:OR_W1943:1:37780633:37784801:-1 gene:ORUFI01G46360 transcript:ORUFI01G46360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVVASTPTVLPLQSRPATHSRSSGKTLLLGPSPLPHPASATQGHMVRGVCSGQDFHFCIDEQTQGCIARFQAGSSLSGSESSCISRCVDHYIEATGIVSRALFSTTR >ORUFI01G46360.2 pep chromosome:OR_W1943:1:37782146:37784801:-1 gene:ORUFI01G46360 transcript:ORUFI01G46360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVVASTPTVLPLQSRPATHSRSSGKTLLLGPSPLPHPASATQGHMVRGVCSGQDFHFCIDEQTQGCIARFQAGTIYILTRNDHLSFLAILILIWICAPLTEKLLDNMNFTVWITLKSAKSSTKIAHSTGLL >ORUFI01G46370.1 pep chromosome:OR_W1943:1:37785954:37791527:1 gene:ORUFI01G46370 transcript:ORUFI01G46370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPVSGDGAAAAAPVVALGPAGAVGGPRPYEVAVAAAELRPVDCNLAALCDHVQAEGFGSGAFSDVVVEAMGATYRLHRLIISRSAYFRNMLHGPWREAGAPTVVLHIDDPNIDSEAIAIALAYLYGQPPKLNDNNAFRVLAAASFLDLQDLCTICTDFIISELWTSNFLQYQLFAESQDYGSHGERVRNACWGYLCQSATLELREVLPKLSSQTLHALLTSDELWVPNEEKRFELALYALLAKVTLSDVEVSGNENLNLTSSSANSDHSMRKGKSPMNEAGEEQLMGSELQNLKLHDNTETISAHNTSDIPDMVILQDSTAYSIEQNAEASRRKVNDFSTGGPSGESTSYQFNEDIWLSSDQTRNYLSRTSSSNGLVPTEWGKPNAPLWGGRVVGRRQVRCVRGSSSLSADEYNAFMNIFERGSLLYCNMSFDALLSVRKQLEEFGFPCKAVNDGLWLQMLLCHRVQAIVADTCTNCCLTGNSCACKQAHVSSHHHYRQEHDRSSASGTVGNIYLTDAHGEGNAVFGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQPFANDESEPRVDYNGDISGDGLTALVNLSQESSASHHQTESIFETGIQVRYSGAASVSTPGGSSLQMQESKEHELGSNLETTENTTISLDMKTPLSHFPPFRFGVEFEDVHRLADSQVKHSTEVFYAGSLWKVSVQAFNDEDPHGRRTLGLFLHRRKAEPLDPLRKANMYVDHREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELGDLLQGGSLRIAAVVQLV >ORUFI01G46370.2 pep chromosome:OR_W1943:1:37785954:37791524:1 gene:ORUFI01G46370 transcript:ORUFI01G46370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPVSGDGAAAAAPVVALGPAGAVGGPRPYEVAVAAAELRPVDCNLAALCDHVQAEGFGSGAFSDVVVEAMGATYRLHRLIISRSAYFRNMLHGPWREAGAPTVVLHIDDPNIDSEAIAIALAYLYGQPPKLNDNNAFRVLAAASFLDLQDLCTICTDFIISELWTSNFLQYQLFAESQDYGSHGERVRNACWGYLCQSATLELREVLPKLSSQTLHALLTSDELWVPNEEKRFELALYALLAKVTLSDVEVSGNENLNLTSSSANSDHSMRKGKSPMNEAGEEQLMGSELQNLKLHDNTETISAHNTSDIPDMNAEASRRKVNDFSTGGPSGESTSYQFNEDIWLSSDQTRNYLSRTSSSNGLVPTEWGKPNAPLWGGRVVGRRQVRCVRGSSSLSADEYNAFMNIFERGSLLYCNMSFDALLSVRKQLEEFGFPCKAVNDGLWLQMLLCHRVQAIVADTCTNCCLTGNSCACKQAHVSSHHHYRQEHDRSSASGTVGNIYLTDAHGEGNAVFGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQPFANDESEPRVDYNGDISGDGLTALVNLSQESSASHHQTESIFETGIQVRYSGAASVSTPGGSSLQMQESKEHELGSNLETTENTTISLDMKTPLSHFPPFRFGVEFEDVHRLADSQVKHSTEVFYAGSLWKVSVQAFNDEDPHGRRTLGLFLHRRKAEPLDPLRKANMYVDHREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELGDLLQGGSLRIAAVVQLV >ORUFI01G46380.1 pep chromosome:OR_W1943:1:37792655:37793261:1 gene:ORUFI01G46380 transcript:ORUFI01G46380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGRKMTTMLAIALLMAILFASLSGTEAIICKARSKMYRGKCRGNRNCAMICVHEEYTGGYCSKGVFSKCMCTKRKFWSVN >ORUFI01G46390.1 pep chromosome:OR_W1943:1:37795053:37795388:-1 gene:ORUFI01G46390 transcript:ORUFI01G46390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRAALAAAAVLLLLVVAAATAQAVEVAPYCVGEPGEECVAGGGGEEAVAVAAAARRRLQGGGYISYDAMRRNAVPCSYRGASYYNCRPGGQANPYTRGCSAITQCRG >ORUFI01G46400.1 pep chromosome:OR_W1943:1:37798070:37815472:1 gene:ORUFI01G46400 transcript:ORUFI01G46400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWDAGVDGVMADVWWGIVEGTGPARYEWRAYREPSRCRAESATSATMTPTCATRAPVARRTRSTSPSALTTGFCSMAELPSRRWRWLLLLWLLWPWLSLLAGLAQLRNEDEWFTSSSGVKNMAASAGVSDEHAIEEIARSIAINGIDEQFTYKSGSKAQESRNK >ORUFI01G46400.2 pep chromosome:OR_W1943:1:37798070:37815472:1 gene:ORUFI01G46400 transcript:ORUFI01G46400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWWDAGVDGVMADVWWGIVEGTGPARYEWRAYREPSRCRAESATSATMTPTCATRAPVARRTRSTSPSALTTGFCSMAELPSSCCEARRSGRSIFTSITFEWFTSSSGVKNMAASAGVSDEHAIEEIARSIAINGIDEQFTYKSGSKAQESRNK >ORUFI01G46400.3 pep chromosome:OR_W1943:1:37798070:37818031:1 gene:ORUFI01G46400 transcript:ORUFI01G46400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWWDAGVDGVMADVWWGIVEGTGPARYEWRAYREPSRCRAESATSATMTPTCATRAPVARRTRSTSPSALTTGFCSMAELPSRSGSRRRLNMAASAGVSDEHVNFIHGFDVVGRTD >ORUFI01G46400.4 pep chromosome:OR_W1943:1:37798070:37815472:1 gene:ORUFI01G46400 transcript:ORUFI01G46400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWWDAGVDGVMADVWWGIVEGTGPARYEWRAYREPSRCRAESATSATMTPTCATRAPVARRTRSTSPSALTTGFCSMAELPSRSGSRRRLNMAASAGVSDEHAIEEIARSIAINGIDEQFTYKSGSKAQESRNK >ORUFI01G46400.5 pep chromosome:OR_W1943:1:37798070:37801690:1 gene:ORUFI01G46400 transcript:ORUFI01G46400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWWDAGVDGVMADVWWGIVEGTGPARYEWRAYREPSRCRAESATSATMTPTCATRAPVARRTRSTSPSALTTGFCSMAELPSRSVH >ORUFI01G46400.6 pep chromosome:OR_W1943:1:37798070:37804771:1 gene:ORUFI01G46400 transcript:ORUFI01G46400.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWWDAGVDGVMADVWWGIVEGTGPARYEWRAYREPSRCRAESATSATMTPTCATRAPVARRTRSTSPSALTTGFCSMAELPSRRWRWLLLLWLLWPWLSLLAGLAQLRNEDVGVHIS >ORUFI01G46410.1 pep chromosome:OR_W1943:1:37801859:37803462:-1 gene:ORUFI01G46410 transcript:ORUFI01G46410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSEPIECQVLVLRVSIHCEGCKKKVKKVLQHVPGVFRCDVDARSNKVIVTASRNMDANILVAKLRKSGKQAEPWPEEPKQQQPPPPPAESQSQETKNQSDESSKPSDQPAEKPGPDKAEGSAAEPNNPQPSPEPTKSTDETPKPNQEIQEPSNAKANTDANASGNASDETKEAAATGEQPSEPKGKVKQHRERPIDARVTMEYGGGSHVNYMPQPQPVPVMSYNVARPTASAAYYAAPPAPAPMSMPMPMARPGPSSQGYIDEEYSPSYYNRSSPYEPYYYPQPSPYRYQHYQQSSADDYYYGAPQQRSAFSPPRDAYGEMFNDENSNSCSVM >ORUFI01G46420.1 pep chromosome:OR_W1943:1:37810747:37811124:-1 gene:ORUFI01G46420 transcript:ORUFI01G46420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFRNGVVKLVENPPASANSGGGGGGGGGGGIRRKALLHMPTGEVVTSYASLERKLAALGWERYYSGGGGGGAAAAAMMLQFHKRSSVDLISLPKDFSQFGSVHMYDIVVKNRDAFRVIDV >ORUFI01G46430.1 pep chromosome:OR_W1943:1:37815810:37817789:-1 gene:ORUFI01G46430 transcript:ORUFI01G46430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDFLLKLLRAGSMVGTDAALLQELESRAVHTSPSQLSRPGYGRLTSRRSRPRRACQRPMSLTRRRFQPQPPSTPDKHMDFVGLLCCNTSLPNIYVASTSILEVEAIDKHYQQQKQDVSSRKQWKKIWIHIGMSRQSSIPALHNCIALCRVEFIDNLESTLIGGALTS >ORUFI01G46440.1 pep chromosome:OR_W1943:1:37831084:37832303:-1 gene:ORUFI01G46440 transcript:ORUFI01G46440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGRKLRVYGMALSANVVRVATVLNEKGLDFDLVPVDLRTAAHKQPHFLALNPFGQIPVLQDGDEVLYESRAINRYIATKYKAEGADLLPAEASPAKLEVWLEVESHHFYPAISGLVFQLLIKPLLGGATDTAAVDEHAAALAQVLDVYDAHLAGSRYLAGNRFSLADANHMSYLLFLSKTPMAELVASRPHVKAWWDDISSRPAWKKTAAAIPFPPAA >ORUFI01G46450.1 pep chromosome:OR_W1943:1:37835128:37839217:-1 gene:ORUFI01G46450 transcript:ORUFI01G46450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPHDASPAPPNPNPVSDDPPPPPPVTETKPEPEPPLPTTSIDPTPSGDEESGDDSSSSVSSASSTSPTAAAAAAAAGGGGGGGGERAAPHPAAKDLLHISFNQDYGCFAAGTKSGFRIYNCDPFREIFRRDLGAAGDNGVGGGGGGIGVVEMLFRCNILALVGGGDAPHYPPNKVMIWDDHQSRCIGELSFRSPVRGVRLRRDRIIVVLENKIFVYNFADLKLVHQIETAPNPKGLCAVSQQPGSIVLVCPGAQKGQVRVEHYGARKTKFINAHTSRVACFALSQDGRLIATASTKGTLVRIYNAAEGNLLQEVRRGADRAEIYSLAFSNNLQYLAVSSDKGTIHVFNLKINVGLTTNDKPLPAPDPDVPHISPSLSFIKGVLPKYFHSEWSVAQFRLHEGEQYIVAFGHEKNTVAVVGMDGSFYRCQFDPVNGGEMLQLECYNFLKPSSDQPQ >ORUFI01G46460.1 pep chromosome:OR_W1943:1:37842588:37843621:-1 gene:ORUFI01G46460 transcript:ORUFI01G46460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTLELTLISAKDLKDVNLLSKMEVYAVVSLSGDRRSRQRIATDRAGGRNPAWNAAPLRFTVPASGAGSLHVLLRAERALGDRDVGEVHIPLSELLSGAPDGAVPAKFVSYQVRKISSGKPQGVLNFSYKIGEVTQSGSYPGASPPVAYGQAPPAPAYPPSAAAAAAYPPQSTYPPPTAYPTAAKADGSAAAAYPPQSAYPPPGKGNEPSTAYPPPAGYPPATGSSKPAKAVRLRVPGPAAAAAGGAYGYPPQAGYGGYQQQAVKPAKKNNFGMGLGAGLLGGALGGLLIGDAISDASAYDAGYDAGFDDGGGFDF >ORUFI01G46470.1 pep chromosome:OR_W1943:1:37847933:37853300:1 gene:ORUFI01G46470 transcript:ORUFI01G46470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKKKEVFASSQGKQIRFLHYKAETPPQRQETNRLLLPKRPKPPTSSRPFSPPPPPPPPTRRRPMGSEEEPSQMRRALVDALAGAISGGISRTVTSPLDVIKIRFQVQLEPTTSWGVLRRDVYGPSKYTGLLQASKDILREEGILERKCSSPINVYAIYSYTIHCSTQAENICFWFIQNSPYLIRVICPEDHLHLSPYLSYVSGAIAGCAATVGSYPFDLLRTILASQGEPKVYPDMRSAFLDIMKTRGFRGLYAGLTPTLVEIIPYAGLQFGSYDTFKRSMMTWNRYRYSHLNSGSEDDSVSSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALKEIVAKEGFGGLYKGLFPSLVKSAPAGAVTFVAYEYISDWIGSKAGVE >ORUFI01G46480.1 pep chromosome:OR_W1943:1:37854032:37862548:1 gene:ORUFI01G46480 transcript:ORUFI01G46480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPFKPEAGALVESGGGAHGDSIPAMVAVQQELLHAQVDQLQLLVVAQCRLTGVNPLAQEMAAGALSIKIGKKPRDLLNPKAVKSMQSLFAMKDTIGKKETREISASFGVTVTQVREFFASQRTRVRKFVRLSREKALRIESSKAPDNVCSISTEQTPVDIEAHAQVIEPLRTLEPLEAQQISLPHLVVPQISLQLPVVPQSCAIPVAPIGVMQPTEAKTNPDPIQKETKQEEVAGVESEDKKFLESIFVLMRKENTFSGQVKLMESILQINNVTVLSWFLTMGGLAIVSTWLGQAVTEEQTTVILVVFKVLLHLPLHKALPAHMSTVLQTINRLRFYRTQDISSKARNLLSRLSKVLVRSQALKKSQKDLICKQRISEILRDESWKSEVDITEDILALTDDASESRMPEPRKTPLLLTASADESNKKSSLQTKSKEKRKVLLVEHPNRKAAGKNVNPVRSTSTNNSRPLSADDIQKAKMRAMFMQEKYGKVDTSKVIEKPHMMEIQKPSGLVDSNVPLVPRTPLTSIIKQPVDPSPSTSKQSTLSPPDKPEIAVSLKLNVTAKENFIEKLDSKRVIWQIPPEVWIDPAWSLGAGVNSKEFEVQTQRNRREKETFYASLKDIPLNPKGPWDVEMDFDDSLTPEIPIEQPPDADAMETDSVSTAPPNIVVPVVDKQIGSTSSVSPAVAAGANGATSEPDLELLAVLLKNPQLVFALTSNQGGTLPSEQTVALLDMLKQTGLGLSELVNSLANNSGVQKEPESGPEAIPASLPSPTPPKDLIARDGWSSEFPSQVRTQNLQHAHLPNRANAPPVASSVQQSFSNVVSSLPSQPYASASALPAQTRTNMTSLPQSMISVNPSTQHVAPMNNLLSRATVHQHTQSYALTSDPVAVAVHHQPAVNKLAHEVQSISHPAVSHSSVAESHASYTSYTWQSSVATIAATGRNATPDRWAAPARTTNSFNAAPSNSNHVTYPNQNAYSNHSTQATTYNSYGSAPVSSHSLHPGQGLDRNGYTHAAEYQTTTARDALWRNSRSPELGAGAVYGSSSQGYVPEPSRQWNYGQQSYNPEPSSRQWSSGQQSYNTVTAEPSRQWSSGQQSYSNPAEPSRQWSSSAHAQPSYNPEPSRPWNSGHQSQNPEASRQWSHHHGKQERYNPTDGRNSYDQHWRR >ORUFI01G46490.1 pep chromosome:OR_W1943:1:37863872:37867022:1 gene:ORUFI01G46490 transcript:ORUFI01G46490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAARHQRHPLSRCGEGRRWRRGEVRCSSPAQEFAALAAVFRRRLVVGATTAAAAAVGANFGGVTSFLLGLSPQLGRSLRLDVLYPVGGFTRCLDSDNGFEFIYPASWVGDQTLLYRGAKRAELQRSLDPPPLANGRSPSRPRNISEPVAAFGPPGSSGELNVSVIVSPVPQDFSIEAFGGPKDVGEVVLRRIAKTKRGADINATLLDAALREDPANNVKYYKLEFRVESPSFRRRNVTVCCAKDGKLYTLNAQAPESAWKAVRKEFLAMADSFSLVADV >ORUFI01G46500.1 pep chromosome:OR_W1943:1:37868843:37874811:1 gene:ORUFI01G46500 transcript:ORUFI01G46500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPAAAAEVVAAEAVPYEDQPLTRRSHSHCVEERSRLVLERVHLVDACRVHAGRSEVECRNTVPLRAEHGDHLVPAPCSMAKAMDRDEMLATATAAAFHFLLPWTESISSRFGHLHTLEMA >ORUFI01G46500.2 pep chromosome:OR_W1943:1:37868637:37873961:1 gene:ORUFI01G46500 transcript:ORUFI01G46500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFVWNSTVPDSLALAPDWDYSSIRYSFLVQFLTNQSVILAAYFSKKITELPWFGAHDVVVGSSNLLDFRARAQRDQITMQLLDVIPHAYQAHPLHRTIVGTDEAANTATAILSGNLSIASAMLRPPKLCPTRISLSPAGATATVSRSGRD >ORUFI01G46500.3 pep chromosome:OR_W1943:1:37868637:37873961:1 gene:ORUFI01G46500 transcript:ORUFI01G46500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDPHLPPRLRQARSKKITELPWFGAHDVVVGSSNLLDFRARAQRDQITMQLLDAAEAVPYEDQPLTRRSHSHCVEERSRLVLERVHLVDACRVHAGRSEVECRNTVPLRAEHGDHLVPAPCSMAKAMDRDEMLATATAAAFHFLKKIRELPRPGAHDGVVGPGNLFDFHARAQRNHVTVHLLQVILHGHQAHPLYRTVVGTVITSGMMRLPTHGTAAATNTATAIFSGNLSMARAMLRPPKLCPTRISLSPAGASATASRSGCEYSSKECTSSTRAGWTPDAARSSAVTRCPSERSMVTTLYQHHAPWQRPWTRMKCLLLLLLPSISCFMTKKH >ORUFI01G46500.4 pep chromosome:OR_W1943:1:37868843:37874811:1 gene:ORUFI01G46500 transcript:ORUFI01G46500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPAAAAEVVAAEAVPYEDQPLTRRSHSHCVEERSRLVLERVHLVDACRVHAGRSEVECRNTVPLRAEHGDHLVPAPCSMAKAMDRDEMLATATAAAFHFLLPWTESISSRFGHLHTLEMA >ORUFI01G46500.5 pep chromosome:OR_W1943:1:37868637:37873961:1 gene:ORUFI01G46500 transcript:ORUFI01G46500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLPTHGTAAATNTATAIFSGNLSMARAMLRPPKLCPTRISLSPAGASATASRSGCEYSSKECTSSTRAGWTPDAARSSAVTRCPSERSMVTTLYQHHAPWQRPWTRMKCLLLLLLPSISCFMTKKH >ORUFI01G46510.1 pep chromosome:OR_W1943:1:37869367:37872420:-1 gene:ORUFI01G46510 transcript:ORUFI01G46510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDRLLTSANYGSVKRVCLMAMEDDLKEVHRYMITLSPGVEVEEIAGADHAVMCSRPRELSDLLAKIGSKNSKRDTLQPRHLQEMEGSGGGSSKHFIPVHGLCHGAWCWYKVVTMLRSEGHRVTALDLAASGVHPARIDESRPLLDTVAVAPAGERLILVGHSFGGLSIALAMERFPDKIAVAVFAASSMPCVGKHMGIVRELMRERAPKGLLMDSKMIPMNNKRGPGTADLTLAKLLMTPGSQFQDDPMMKDDKLLTSANYGSVKRVCLIGMGDDIKELHRYLITLSPGTEVEEIAGADHNIMCSKPRELCDLLAKISSKYD >ORUFI01G46520.1 pep chromosome:OR_W1943:1:37872589:37879672:-1 gene:ORUFI01G46520 transcript:ORUFI01G46520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQVVIDILHLEQPLDHRACSKIPRFRMEDGGKHFVFVHGLGHGAWCWYRVVAALRAAGHRATALDMAAAGAHPARADEVGSLEEYSRPLLDAVAAAAPGERLVLVGHSLGGLSLALAMERFPDKVAAAVFLAACMPAAGKHMGITLEEVRQRDRLLHARLAQLHHFSELDQTSFMRRIKPDFFMDSKTIVLNTNQEPRTAVLLGPKLLAEKLYNRSPPEDLTLATMLVRPGTNYIDDPIMKDETLLTEGNYGSVKRVFLVAMDDASSDEEMQRWTIDLSPGVEVEELAGADHMAMCSKPRELCDLLLRIAAKRETSNPSRHSTKNFEAAELKMEISSSSKKHFILVHGLCHGAWCWYRVVAALRAAGHRATALDMAASGAHPARVDEVGTFEEYSRPLLDAVAAAAAPGERLVLVGHSHGGLSVALAMERFPDKVAAAVFVAAAMPCVGKHMGFMRRTAPEGLLMDCEMVAINNSQGSGVAINLGPTFLAQKYYQQSPAEDLALAKMLVRPGNQFMDDPVMKDESLLTNGNYGSVKKVYVIAKADSSSTEEMQRWMVAMSPGTDVEEIAGADHAVMNSKPRELCDILIKIANKYERANHKHLQEMEGSSSSSKHFILVHGLCHGAWCWYKVVTMLRSEGHRVTALDLAASGVHPARVDEVHSFEEYSQPLLDAVAEAPAGERLILVGHSFGGLSIALAMERFPEKIAVAVFVAAAVPCVGKRIIPELIREKAPKDMLLDSKMIPINNKQGPGTAILLGPNFLAEKGYPLSPAEAMN >ORUFI01G46520.2 pep chromosome:OR_W1943:1:37872589:37879672:-1 gene:ORUFI01G46520 transcript:ORUFI01G46520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQVVIDILHLEQPLDHRACSKIPRFRMEDGGKHFVFVHGLGHGAWCWYRVVAALRAAGHRATALDMAAAGAHPARADEVGSLEEYSRPLLDAVAAAAPGERLVLVGHSLGGLSLALAMERFPDKVAAAVFLAACMPAAGKHMGITLEEFMRRIKPDFFMDSKTIVLNTNQEPRTAVLLGPKLLAEKLYNRSPPEDLTLATMLVRPGTNYIDDPIMKDETLLTEGNYGSVKRVFLVAMDDASSDEEMQRWTIDLSPGVEVEELAGADHMAMCSKPRELCDLLLRIAAKRETSNPSRHSTKNFEAAELKMEISSSSKKHFILVHGLCHGAWCWYRVVAALRAAGHRATALDMAASGAHPARVDEVGTFEEYSRPLLDAVAAAAAPGERLVLVGHSHGGLSVALAMERFPDKVAAAVFVAAAMPCVGKHMGFMRRTAPEGLLMDCEMVAINNSQGSGVAINLGPTFLAQKYYQQSPAEDLALAKMLVRPGNQFMDDPVMKDESLLTNGNYGSVKKVYVIAKADSSSTEEMQRWMVAMSPGTDVEEIAGADHAVMNSKPRELCDILIKIANKYERANHKHLQEMEGSSSSSKHFILVHGLCHGAWCWYKVVTMLRSEGHRVTALDLAASGVHPARVDEVHSFEEYSQPLLDAVAEAPAGERLILVGHSFGGLSIALAMERFPEKIAVAVFVAAAVPCVGKRIIPELIREKAPKDMLLDSKMIPINNKQGPGTAILLGPNFLAEKGYPLSPAEAMN >ORUFI01G46530.1 pep chromosome:OR_W1943:1:37881034:37884340:-1 gene:ORUFI01G46530 transcript:ORUFI01G46530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFELQQQPYYSKLLLGSPLEIANVDDSDLQLVAGVPSDPPPAPPTAVKKKKKRSLPGTPDPSAEVVALSPRTLLATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLRQRGGAGGGGGGEPPRKRVYVCPEASCVHHSPSRALGDLTGIKKHFCRKHGEKKWKCDRCGKRYAVHSDWKAHSKVCGTREYKCDCGTVFSRRDSFVTHRAFCDALAQENNKLAQPMNMAAVTSALQGQQQAHHPVADDDDAAGVKSPHLKMFPDVDNIVAAATAGNPLLPPPLSMAGCMLSSLAAPLSSPFLPGCKLGVDAARDAAMVFPPPPPPAGSAAAIMSATALLQKAAELGATTSTGCYGGVAFPAMGIAGGLDRLPAIGHHLAPYDDVVVPAALQGQTATQLVGFDLGGLLPGQLYGGGGGAMTRAIGSLMHGGDQHAGVVDRRRGEGVRVVDYMGVDDDDDHGCFDGVGPFGPHIGPWA >ORUFI01G46540.1 pep chromosome:OR_W1943:1:37890986:37891522:-1 gene:ORUFI01G46540 transcript:ORUFI01G46540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLPRASSSSTSASRDRDGGDGDGGGGGVTMTNGQDNLLPIANVGRIMKDGLPPQAKISKRAKETIQECATEFISFVTGEASERCRRERRETGDGDDVCHAMRSLGLDHYADAMHRYLQRYREGEELAASLNSSSSAAAAAAAAAAAGSRGGGAIQIDVRAELSIFRSGNNQGRPNN >ORUFI01G46550.1 pep chromosome:OR_W1943:1:37898889:37899422:-1 gene:ORUFI01G46550 transcript:ORUFI01G46550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHGGHHADGHRRQQQLQGEAADQAAAEIIKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDVCWAFGALGFDDYVDPMRRYLNKYRELEGDRAAAAATSRSGAGAAAGPDHPSSSSSAAAATAGHFMFNAMDRSTDSSRQF >ORUFI01G46560.1 pep chromosome:OR_W1943:1:37916840:37921351:1 gene:ORUFI01G46560 transcript:ORUFI01G46560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHLRFEDGWKILEQGIVKCSKLLEDCPGGRPTVNEYMNYYDCAYRMAVQKDQYCQEMYNSYKATHESCVCAMVLPHLMHKQGDLFWRELVKMWSNYCAMIRFTTGFLAYLDRCFVTHKKLPSLEDAAATSFFSPVFSYFNNEISDVLLTLIRQERDGCNVDMDLLMGIMRGICRSEVKTKLKSAVIQDTYLYYSRKSYEWIVQYPLQDYLAKVQETVQKETKRLIHYLCISEEEGSGLCLKAVSAPLMQAYENYTKEKHIGGQVLLQTYKTVEDDLLDRCSRLTIDNGLDNNSFSHME >ORUFI01G46570.1 pep chromosome:OR_W1943:1:37921848:37923409:-1 gene:ORUFI01G46570 transcript:ORUFI01G46570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGAAAVCAEKASEIIKIGQVDDVQELQRRLCSATTVPERYIRDGDDRPDHAVVDDERAQERIPVIDVGELQRGSEDELDNLRLACEQWGFFQVVNHGVEEETMEEMEKAAREFFMLPLEEKEKYPMEHGGIQGYGHAFVFSDDQKLDWCNMLALGVEPAFIRRPNLWPTTPANFSKTLEKYSVEIRELCVRLLEHIAAALGLAPARLNGMFGEAVQAVRMNFYPPCPRPELVLGLSPHSDGSAVTVLQQDAAFAGLQVLRGGGGWVAVHPVPGALVVNVGDTLEVLTNGRYKSVEHRAVASGEHDRMSVVTFYAPAYDVELGPLPELVADGEPRRYRTYNHGEYSRHYVTSRLQGKKTLEFAKI >ORUFI01G46580.1 pep chromosome:OR_W1943:1:37925671:37934132:1 gene:ORUFI01G46580 transcript:ORUFI01G46580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAGVGGADQLPWRQHYRNLLLLAYQSFGVVYGDLSTSPLYVYKSTFSGRLRRYQDEQTVFGVLSLIFWTFTLIPLLKYVTIVLSADDNGEGGPFALYSLLCRHAKLSFLPNQQSADEELSTYYRNGFTSRHGSLPWLRRFMEKHKNARTVLLLIVLCGASMMIGDGILTPAISVLSSMSGLKVRATGLHDRSVVLLSCIVLVGLFALQHRGTQKVAFMFAPIVVIWLFCIGGIGLYNIIHWNPRIYQALSPYYIVKFFRTTGCEAMFADLGHFTSASVRLAFITIIYPCLILQYMGQAAFLSKNILDMPTGFYDSIPGPIFWPVFVVATLAAVVGSQAVISATFSIVKQCHSLGCFPRVKVVHTSRWIYGQIYIPEINWILMVLCVAVTVAFRDITLIGNAYGVACMTVMFVTTFLMALIMIFVWQKNIIFALYFFLLFGSVEVVYLSSSLMKVTQGGWVPLVLALIFMSVMYIWHYGTRKKYQYDLQNKVSMRYILSLGPSLDVVRVPGIGLIYTELVTGVPNIFTHFTTNLPAFHEVLVFLCVKSVPVPYVSPDERYLVGRIGPRAYRMYRCIVRYGYKDVQRDDDNFENMLVMNIGKFIMMEAEDASSSASYDTANEGRMAVITTSDDYDSPLAVRDSNDLADSMTTRSTKSESLRSLQSSYEQESPNVSRRRRVRFELPEEDDMDQQVKDELLALVEAKHTGVTYVMGHVYIKARKNSSFFKRFAIDVGYSFLRKNCRGPSVTLHIPHISLIEVGMAYQV >ORUFI01G46590.1 pep chromosome:OR_W1943:1:37931840:37937992:-1 gene:ORUFI01G46590 transcript:ORUFI01G46590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAFALLFSNLPQPLRRRRPPPPMLSTLRLLRRHHRRRRLAAASSAAMSSSGGASSSSSSGERHGVGRSPNRLAAEHSPYLLQHAYNPVDWYPWGEEAFEKARRKDVPIFLSMESFENDEIAKILNDGFVSIKVDREERPDVDKVYMTYVSALYGGGGWPLSVFLSPNLKPLMGGTYFPPDDKYGRPGFKTILRKVKEAWETKRDALEKTGNVVIKQLRDALSAKASSQDIPNDLAVVSVDNCVEKLAGSYDPKFGGYGSAPKFPRPVENWVEFMITLEVAFIDIVWMSAGMMLYDQGQIANVYLDTFLITGDEYYSSVARDILDYLRRDMIGEEGEIYSAEDADSAEYDGAPRKREGAFYVWTNKEIEDTLGENSELFKNHYYVKSSGNCDLSRMSDPHDEFKGKNVLIERKQASLMASKCGKSVDEYAQILGDCRHKLFDVRSKRPRPHLDDKVIVSWNGLAISAFARASQILKSEPTGTRFCFPITGCNPEEYLGVAEKAARFIKEKLYDSSSNRLNHSYRNGPAKAPGFLDDYAFLINGLLDLYEYGGKIEWLMWAAHLQDELFLDKQGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVAAINLIRLSSIFDAAKSDGYKCNVEHLLAVFQTRLRELGIALPLMCCAADMLSVPSRKQVVLVGNKESTEFRDMVAAAFSTYDPNRTVIQIDPRNTEEMGFWESNNAIIAQMARSSPPEKPAVAHVCQDFKCSPPVTSADALRVLLNKTVAAATSSAAA >ORUFI01G46590.2 pep chromosome:OR_W1943:1:37931840:37937992:-1 gene:ORUFI01G46590 transcript:ORUFI01G46590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAFALLFSNLPQPLRRRRPPPPMLSTLRLLRRHHRRRRLAAASSAAMSSSGGASSSSSSGERHGVGRSPNRLAAEHSPYLLQHAYNPVDWYPWGEEAFEKARRKDVPIFLSMESFENDEIAKILNDGFVSIKVDREERPDVDKVYMTYVSALYGGGGWPLSVFLSPNLKPLMGGTYFPPDDKYGRPGFKTILRKVKEAWETKRDALEKTGNVVIKQLRDALSAKASSQDIPNDLAVVSVDNCVEKLAGSYDPKFGGYGSAPKFPRPVENCVSESQNIMKMITHTLQCMARGGVHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQIANVYLDTFLITGDEYYSSVARDILDYLRRDMIGEEGEIYSAEDADSAEYDGAPRKREGAFYVWTNKEIEDTLGENSELFKNHYYVKSSGNCDLSRMSDPHDEFKGKNVLIERKQASLMASKCGKSVDEYAQILGDCRHKLFDVRSKRPRPHLDDKVIVSWNGLAISAFARASQILKSEPTGTRFCFPITGCNPEEYLGVAEKAARFIKEKLYDSSSNRLNHSYRNGPAKAPGFLDDYAFLINGLLDLYEYGGKIEWLMWAAHLQDELFLDKQGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVAAINLIRLSSIFDAAKSDGYKCNVEHLLAVFQTRLRELGIALPLMCCAADMLSVPSRKQVVLVGNKESTEFRDMVAAAFSTYDPNRTVIQIDPRNTEEMGFWESNNAIIAQMARSSPPEKPAVAHVCQDFKCSPPVTSADALRVLLNKTVAAATSSAAA >ORUFI01G46590.3 pep chromosome:OR_W1943:1:37931840:37937992:-1 gene:ORUFI01G46590 transcript:ORUFI01G46590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAFALLFSNLPQPLRRRRPPPPMLSTLRLLRRHHRRRRLAAASSAAMSSSGGASSSSSSGERHGVGRSPNRLAAEHSPYLLQHAYNPVDWYPWGEEAFEKARRKDVPIFLSSMKCHVMEVESFENDEIAKILNDGFVSIKVDREERPDVDKVYMTYVSALYGGGGWPLSVFLSPNLKPLMGGTYFPPDDKYGRPGFKTILRKVKEAWETKRDALEKTGNVVIKQLRDALSAKASSQDIPNDLAVVSVDNCVEKLAGSYDPKFGGYGSAPKFPRPVENWVEFMITLEVAFIDIVWMSAGMMLYDQGQIANVYLDTFLITGDEYYSSVARDILDYLRRDMIGEEGEIYSAEDADSAEYDGAPRKREGAFYVWTNKEIEDTLGENSELFKNHYYVKSSGNCDLSRMSDPHDEFKGKNVLIERKQASLMASKCGKSVDEYAQILGDCRHKLFDVRSKRPRPHLDDKVIVSWNGLAISAFARASQILKSEPTGTRFCFPITGCNPEEYLGVAEKAARFIKEKLYDSSSNRLNHSYRNGPAKAPGFLDDYAFLINGLLDLYEYGGKIEWLMWAAHLQDELFLDKQGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVAAINLIRLSSIFDAAKSDGYKCNVEHLLAVFQTRLRELGIALPLMCCAADMLSVPSRKQVVLVGNKESTEFRDMVAAAFSTYDPNRTVIQIDPRNTEEMGFWESNNAIIAQMARSSPPEKPAVAHVCQDFKCSPPVTSADALRVLLNKTVAAATSSAAA >ORUFI01G46590.4 pep chromosome:OR_W1943:1:37931840:37937992:-1 gene:ORUFI01G46590 transcript:ORUFI01G46590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAFALLFSNLPQPLRRRRPPPPMLSTLRLLRRHHRRRRLAAASSAAMSSSGGASSSSSSGERHGVGRSPNRLAAEHSPYLLQHAYNPVDWYPWGEEAFEKARRKDVPIFLSSMKCHVMEVESFENDEIAKILNDGFVSIKVDREERPDVDKVYMTYVSALYGGGGWPLSVFLSPNLKPLMGGTYFPPDDKYGRPGFKTILRKVKEAWETKRDALEKTGNVVIKQLRDALSAKASSQDIPNDLAVVSVDNCVEKLAGSYDPKFGGYGSAPKFPRPVENCVSESQNIMKMITHTLQCMARGGVHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQIANVYLDTFLITGDEYYSSVARDILDYLRRDMIGEEGEIYSAEDADSAEYDGAPRKREGAFYVWTNKEIEDTLGENSELFKNHYYVKSSGNCDLSRMSDPHDEFKGKNVLIERKQASLMASKCGKSVDEYAQILGDCRHKLFDVRSKRPRPHLDDKVIVSWNGLAISAFARASQILKSEPTGTRFCFPITGCNPEEYLGVAEKAARFIKEKLYDSSSNRLNHSYRNGPAKAPGFLDDYAFLINGLLDLYEYGGKIEWLMWAAHLQDELFLDKQGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVAAINLIRLSSIFDAAKSDGYKCNVEHLLAVFQTRLRELGIALPLMCCAADMLSVPSRKQVVLVGNKESTEFRDMVAAAFSTYDPNRTVIQIDPRNTEEMGFWESNNAIIAQMARSSPPEKPAVAHVCQDFKCSPPVTSADALRVLLNKTVAAATSSAAA >ORUFI01G46600.1 pep chromosome:OR_W1943:1:37938917:37943904:1 gene:ORUFI01G46600 transcript:ORUFI01G46600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGISQLLKKAFRPSSSSPLSSSWINHEESSGLTGLRALAILGVGASGLLSFATIASADEAEHGLEAPHYPWPHAGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFSNGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMKWSVYKSRKLVLDVVN >ORUFI01G46610.1 pep chromosome:OR_W1943:1:37944214:37946328:-1 gene:ORUFI01G46610 transcript:ORUFI01G46610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTAVVAGIAAAVATLLVAAAVAAAWWWRVARRSRRNSDTGSSETPPTLVEWGRCGRTLSAPEYQGARQFSLEELAHATKNFSDANLVGAGSFGPVYKGLLLDGTVVAVKRRVASPRQDFVDEVKRLSEIWHRNVVTLIGYCQEGGLQMLVFEYLPNGSVCGHLYADTGKESMTRLEFKQRLSIAIGAAKGLNHLHSLVPPLIHKGFKTSNVLVDENFIAKVADAGIDRLLRGFDGAAPSHHPSSSCSSIYQDPEVHSLAQLSESSDVYSFGVFLLELITGKEAASLISSEPREPLVHWMESHFSSNNEVTDPRLGGSFTSEGMKELVGLTLQCVSTSARRRPKMRLIAAELDRILEKEMSLTTVMGDGTAIVTLGSQLFTS >ORUFI01G46620.1 pep chromosome:OR_W1943:1:37950811:37952677:-1 gene:ORUFI01G46620 transcript:ORUFI01G46620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLARSKALALALSRADAAAPGPAAGVQWLRTLSSLPRDPAAAASPAPAPRQPAVGSPLGLSKIPGYEQTSRLSGTQVLPRWFSTGTSNGSSAQQEGATRKVMAFSPLEASIAKPRKGPLTSESWKVKQTELLTRSTYYMIPTLLLVSKNSISTSLLVASVFHQVYMFYKEILLDYVHHDITRKWVFIYFKILLIIMAKETVVYFDLF >ORUFI01G46630.1 pep chromosome:OR_W1943:1:37954889:37955203:1 gene:ORUFI01G46630 transcript:ORUFI01G46630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVARLSSQRAVVIFGASNCFMCHVVKTLFSELGVSWAVHEVDKDPNGKDVERALAGMVGRTPPVPAVFIGGKLVGPTDQVMSLHLAGKLVPLLREAGALWL >ORUFI01G46640.1 pep chromosome:OR_W1943:1:37960487:37965428:1 gene:ORUFI01G46640 transcript:ORUFI01G46640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFLCFGSAQEGEAKKPGADSKDARKDGSADRGVSRVGSDKSRSHGGLDSKKDVVIQRDGNNQNIAAQTFTFRELAAATKNFRQDCLLGEGGFGRVYKGRLETGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHTNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPQGEQNLVAWARPLFKDRRKFPKMADPMLQGRFPMRGLYQALAVAAMCLQEQATTRPHIGDVVTALSYLASQTYDPNAPVQHSRSNSSTPRARNLAGWNEDRRSVRSPNHHSPDLRREAARSSRAEVSRTSSTGDSGRRSGLDDLDMTGSQMGSPAQTGRKRETPRTADRQRAIAEAKTWGENSRERKHPNGHGSFDSTNE >ORUFI01G46650.1 pep chromosome:OR_W1943:1:37965239:37969236:-1 gene:ORUFI01G46650 transcript:ORUFI01G46650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGLLKAALLVCLIVLCSGREIQVIQRHPSTTIYNPKLAKTLVEYASAFNIEDVLQIYTADLTQLFTWTCARCGDLIEGFEMMDIIVDVENCLQAYVGFASDINAIVVVFRGTQENSIQNWIEDLLWKQLDLDYPGMPEAMVHRGFYSAYHNTTMRDGVVSGIQKTRKLFGDVPIMVTGHSMGGAMASFCALDLVVNYGLDGVKLMTFGQPRIGNAAFASFFKKYLPHAIRVTHGHDIVPHLPPYFSFFPQKTYHHFPREVWVHNVGLGSLVYSVEQICDDSGEDPSCCRSVSGSSVQDHIYYLGVSMHAEAWRSCRIVMDYSKLRYRMDINGNIVLSKHLGLSGDLEHSDQ >ORUFI01G46660.1 pep chromosome:OR_W1943:1:37971038:37971674:1 gene:ORUFI01G46660 transcript:ORUFI01G46660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAGETATATGMACVLLLLLGASAVGLEMAAGMEVAARLATEAGSGGEAGIGDQIQALEMVLPRWRLRRQRRARRRRWGGCDGGAGGLRQRLLASMAGDSGIGDGGRLAAAVTEETVATVIAGRKPSLGSFEP >ORUFI01G46670.1 pep chromosome:OR_W1943:1:37973324:37975570:1 gene:ORUFI01G46670 transcript:ORUFI01G46670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPALQRRTQAQRGGSLSPNSLINSRVPPTPPPHDHERGRAMPCRAVRNGCICMLSHLDWINPTVTLTSDHRLLFSAGWISDKSNNQFRTNHGHHYQHIRIEFLIIADALKIKLDPPFDDTEMPSGERPGHC >ORUFI01G46680.1 pep chromosome:OR_W1943:1:37976201:37978695:-1 gene:ORUFI01G46680 transcript:ORUFI01G46680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKEAVATGNTVGSTGGRSSRRLFRRKSSVVAAANAAPSHAPPPPSDESSAAAVVKESSVNEEVKGKGKATPKEKAIDEEKKDVEESTTAAAADEVVVKDTGVVIVEKTTTAAAAKATPAAVKKIVEEKKKEEAKNKQEAVTDDKKKEKVIPTKVAIDEKKEDVVVPMKEEFVKEKEKITDAKNDVASTENVAAVDEKIKAEEDKNKNKNDDEVVATATMEAAVEEEDDDEAALAEDDDDDDEQPNDDESITFAVAPVAEDDEGSVTFPGARARPVVANADEVHQEDDVTSVTSPTTAPATLENDTSSSAAAAFPAAVPAPVAGEEVAQLEQPSSSKSNNGEMEAVAGVDQAEDAKKETSNIEAINVEQEEIKVEEEEEKIIVSQETEEEEEKSAVISRDEITKVEVEREEIKVEHEEIIVSQETEEEKSIAVSKDDDGQSDGKQTIELKEAMTATKTDEKGEEQVAKDKKDDEQEQQATAAPIESPKQEQQATADPIEAPLN >ORUFI01G46690.1 pep chromosome:OR_W1943:1:37978887:37985843:-1 gene:ORUFI01G46690 transcript:ORUFI01G46690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDLAEIHPRELQFTFEVKKQSSCTVHLVNKSNEYVAFKVKTTSPKRYCVRPNTGVILPRSTCDFTVTMQAQRTAPPDMQLKDKFLVQTTVVPYGTSDEDLVPSYFSKESGRYIEESKLRVVLVSASHPFGEQPINGIPNTEAAVEVPSLKDTLNIKNEIPVAEKEVHSPLEEDPVVIPAPPYPVKEAPILREVPVHISPVRETSFLREVPAPVSPVKETPILREVPTPLKETPVILTESPPPPTDTSSITVESLHPFEQNLASLKESPLEETLPKAAVVLSEQGAVNVQSRQLCHVTEDVQNLKSKLNGLEVKLEEAEKMIIKLREESRTTTQERDKLQQEMAPSNQGQGLGHPESQVQQEVVGPILQGHLQEGPIPQGHLQEGPNRQGLLPVLQAQGQNSDSSRFTPVRTMRLQLEH >ORUFI01G46700.1 pep chromosome:OR_W1943:1:37980219:37980858:1 gene:ORUFI01G46700 transcript:ORUFI01G46700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPGPGGPGGGPGGWGPPGGGPGGWGPPGGGPGGWAPLPPAGPGFLGGPGPGPGYWGPGFGGFMGSWIVVGHCWGVQARVAHRHPSDTTLTLPT >ORUFI01G46710.1 pep chromosome:OR_W1943:1:37990335:37991609:-1 gene:ORUFI01G46710 transcript:ORUFI01G46710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYKIALVLSLLAAPLLCALAAGDGQHRPPSKPILTRLAKDPSTSLYTASVKNGGGQLVLDLAGPLVWSTCPGKHRTIPCGAGVCAVANRNHRPNCPYTAAGSNGGDGGRCACSATAYNPASGQCGYGDLTTVQLSANATDGKNPLFQVWLSAVASCAPQPLLGSLPAGAAGVAGLSRAPLSLPLQVAGQLKVEKKFALCLPTAGDVGAAIFGGGPFWLQAAPPQQVSDRLRYTPLLKNPKNTAYYIGVTGVAVNSVQVPLPPGALSLSARQGTGGVAVSTATPYTALRSDIYRPVRDAFAAATAGLARAPAAGPFDLCYQKSALPPTRIGPYTASVDLMLAGGQNWTIVGASAVVEVSQEAACFAFVDMGAAAAPAVIIGGHQMEDNLVVFDLEKWQFGFSGLLLGTMTRCGNFDFSIGSQ >ORUFI01G46720.1 pep chromosome:OR_W1943:1:37994510:37995685:-1 gene:ORUFI01G46720 transcript:ORUFI01G46720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDRRRPTPSKPIVARLGKDAATSLYTVSVGGAPLVVDLAGPLLWSTCPPAHRTVPCSSSVCKVANWYRSPASCPYSDGGRPGSGDRGCACAAYPYNPVSGQCGRGHVAAVPLAANATDGKNPLFPVSFSAFASCAPSGLLASLPSGVAGVAGMSRLPLSLPSQVASSLKVERQFALCLPASGGGGDGAAIFGGGPFQLLAAPPMEIAEGLRRNPVPLLKNPKNGAYYLRVTGIAVNLEAVPIPPRALDLDVRRGTGGVTLSTVAPYTTLRPDVYRALLGAFDAATSGIPRAAAVRPFETCYRASALGTTRLGFTVANIDLVLGGGRNWTLPGGSSLVQVDEQTVCFAFLEMMGTSPAAADSPAIVIGGFQMENNLLLFDLEKGTLGIR >ORUFI01G46730.1 pep chromosome:OR_W1943:1:37996825:37997976:-1 gene:ORUFI01G46730 transcript:ORUFI01G46730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTGSRLVLDLGGPLLWSTCLAAHSTVPCRSDVCAAAAVQDNPWNCSSSTDGRGSDGGGGRGLCACSAYPYNPLNGQCARGDVTTTPMLANVTDGVNPLYPVAFPVHAACAPGALLGSLPSGAVGVAGLSGAPLSLPSQVAASLKVERKFALCLPGGGGTGAAIFGGGPFHLLVVPEEFGMVSNGLSYISYLRNPKNGGFYLDVVGIAVNHRGADVPPDSLALDAGTGHGGVMLSTVAPYTALRPDIYRAVIEAIDAELRLIARAPPSWPFERCYQRSAMWWTRVGPPLATVDLMLRSGGNWTFFGSNMIVQVNEETLCFAIVEMGPTPAMDESPAVIIGGFQLEDNLLVFDLEKGRLGSTGLLYWIRTTCSNFNFSWGTP >ORUFI01G46740.1 pep chromosome:OR_W1943:1:38002360:38010780:-1 gene:ORUFI01G46740 transcript:ORUFI01G46740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGMPYAPMSLHLLLAVSLCVALASSLPWAAASANGNGNGKPLVAAITKDAATSLYTVPIKDGRPLVLDLAGALVWTSCAAAHPTLECHHHFCMHAHSYHPPGCPHNGYGRADVEDPFRCKCTAHPYNPFSGESATADLTRTRLSANATDGKNPLYPVSFAAVTSCAPDSLLAKLPAGAVGVAGLARTRLALQAQVARSQKVANKFALCLPSGGGGDGVAIFGGGPLFLLPPGRPDVAATLAGETPLHRNKDLPGYFISATKIAVNQEQVQLYTQEPLVVELCTRIPYTALRPDVYRAVVDAFARATAGRKRVTPPPAAAAPFELCYDSRELGSTRLGYAVPQIDLVLEGGKNWTVFGGNSMAQVSDNTACLAVVKVKGEKGSPPPPAAIIGGFQMENNLVAKSKGKPLLLVVISFLAVLPWHTLASGGGGKPLVTAVTKDGATKLYTIAVKDGHPLALDLSGELVWSTCDASHSTVLPYERECVEANRYTPPSCWMQYGGAGGDYRYGNKCTAHPYNGVTGRCAPGDLTRTALAADATNGSNPLYPVTFPAVASCAPGSLLASLPAGAVGVAGLGRSDLALHAQVAATQNVAKKFALCLPSVAVFGGGPFVLIFPYSRPDIMQKLSYTALRRSPELAGGNGGGYYITAKSIEVNHHQVPLPNHGAPLVVQLSSMVPYTELRPDVYGPFVKAWDEILQWPKKVAPPVAPFELCYESRTIGSNRLGYAVPDININLEDGAAWYIFGGNSLVQVDDATACFAFVEMRPEKVGYGPAVVIGGHQMEHNLVVFDEEKQQLGFSGLLFGLQTTCSNFNFTVAA >ORUFI01G46750.1 pep chromosome:OR_W1943:1:38010828:38012087:-1 gene:ORUFI01G46750 transcript:ORUFI01G46750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRNGNLVLAAAAAALLVLLASPPSCSAAAPRRRDPVVVPVTRDPATSLYTIPVRYYDNLVVDLAGPLVWSTCAADHLPASLSCQDPTCVVANAYRAPTCKVTGGGGDCSKNVCTAYPYNPVTGQCAAGNLAHTRFIANTTDGKNPLIQVSVKAVAACAPKRLLARLPRGATGVAGLAASGLALPAQVASSQGVAGRFLLCLPRLGYGQGVAIFGGGPIYLGEGLPDFTTTLDYTPLVAKRDNPGYYVTANAIALDDARLPLPSGALAAGGVALRTAVPFGQLRPDVFRPFVREFEKGLNRSDAKVAAVAPFPLCYRASMLGNTRIGYFVPAVRLMLAGGKNYTMTGTNSMVDVKGGKACLAFVEMKSGDAASSPAVILGGFQMENMLLQFDSEKKRLGFARLPFYTSCSNFNFTKTQ >ORUFI01G46760.1 pep chromosome:OR_W1943:1:38016780:38029777:-1 gene:ORUFI01G46760 transcript:ORUFI01G46760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSIRQLMLLAYLDVSGLPIAALPKYLHMFQNMQTLILSNCSLETLPANIGNLHKLCYLDLSGNSDLSKLPTSFGNLLKLSLLSLSGCTKLEELPESIHNLKCLEQLDMSGCCALQKLPDEFGSLSKLSFVNLTSCSKLTKLPGNFNLESLEHLILSDCHELENLPEDFGILYRLEVLDLSDCYKIPVLPESFCQLKHLKDLNLSDCHGLKQLPECFGDLSELQFLNLTSCSKLQSLPQSLCNIFKLKHLNLSYCIRIEHLPSLFGDLQLQVLNLTCCYSLRDMPDSISDMASLTLLDVISGTKGVLDKAWSIKECLNLPGREEHDVHEIENGECCSIVELGKLSCRALGIQHLENVERLDNAREAKLRDMTDLRELTLSWGLGGTRNVDKDEEVLENLLPPRTLESFMLDGYMCKDFPNWVSGISSYLPCLIYLCLSNLATCDSLPAFGRLPNLRFFCMENMPTIRKIGREFYDGEGNCKKLRIIWLERMDNFEEWWTTRSGEEDREFLIPNLHFLKAVDCPKLSFLPYPPRSMHWSLDNSDKVLPERGFGSLASSTLPFRVVINNCKYPPDMWVRFQHLATIEIFQVEGCSGLRTFPDILQSFVSLRELYLCSWENLEILPEWLGQLICLEVIEFINCPVLTTLPTSLQNLTSLRELLLRGCKGLETLPEGMGRLISLEKFIIMDCPKLTFLPESMKNLTALIELHLDGCKGLETLPEGLGLLISLKKFVISNCPKLTYLPESMKKLAALIELRLDGCKRLETLPKWLGLLISLKKIVINNYPMLTFLPESMKNLTAMKVLYLYGCKELEILPEGLGMLISLEKFVLIDCPKLTFLPESMKNLTALIELRLDGCKGLEILPEGLGLLISLEKFIINNCPKLTFLPESMKNLTALIELWLDGCKGLEILPEGLGLLICLEKFIIMDCPKLTFLPESMKNLTALIRLLLDGCKGLEILPEWLGMLVSLEEFIIIDCPKLTFLPSSMKNLTAITELRLDGCKGLEILPEGLGLHIPLKRFVINDCPMLTFLPELLGHLTALKCLDIQSSPNLTYLPESMKNLTALEELLLEGFNSLPEWIGQFIYLKEISIFDSPNLTSLPESIWNITTLELLYIYFCPRLAEWCQREDANKISRIPKIMLDGEKYLYRDKQSMDERRHVRRGVSFFGSGPYNLMPLSADAVRREQHPLLQRPRSGPMNPSTNSIYLRGISVNQEEVRPPPSRRRRAHVMTRRGRARPTLHRPATPRKATPPRIDTVHAEQDRGGGGADALLSPHPVSAIRAERTHRRRGGEGVGGGGRAGSPSAERWIEPSTLSPSIHRRKCSGWRRLDLLVLESLAGSFEAMSGVGEMIASSVARRVASKLGDLAVEEATLLWRFKDDVNDMKEKMRDLVAVMQDADDKVRQVGKDGAVARRWLSKVKSVAYDVEDVLDEFDAAQLIRNHQSKLKLYFSWNNPLLQKMTIARNMKNLRDKIVAIEKDGKMLNLVRHEPHAKGSRSNETFTVSDDMEIGMLGRDAETEKIISLLLKTEAKEDISIIPIVGLGGLGKTTLAQAVFADKRVSVFDMKIWVYVSEDFDLLKIGKAIIRGANRSISLDNCNLQFVQDNLIKELANRRYLIGLDDLWEEYGENLEKLKQMLQHGGKGSKIIVTTRNGSVVQVLHTGCLANQRKICPVHEADHINLDVLSPDDCWKVMKQRIFGPDDDQSGLEEIGRQIAGRCGGLPLVANALGQVMSEQRTVEAWRDIRDRKIVLDFIVDNRRDTLERVLLSYYYMKPDYKMCFTCLASFSKGFVVDSDCLILQWSALGYIQARHTGQSCIDYLLGMSFLQISKSSSVSPVHAKAPRKLTMHDLVYDLAKIIAADEVLVMDANKPTTWDKANEHYCRHAQLVNYHKRTDIFKHIPCKIRTLCFRECPEMQLPRKAFSQTSYIRILDLSGLSNEEQSTPSNPVLPSSIRRLMLLGYLDVSGFPIISLPKSFHTLQNMQSLILSNCSLEILPANIGSLQKLCYLDLSRNSNLNKLPSSVTDLVELYFLNLSGCAKLEELPESINNLKCLQHLDISGCCALQKLPGKFGSLAKLSFVNLSSCSKLTKLPDSLNLESLEHLILSDCHELEQLPEDLGNLYRLEVLDMSDCYRVQVLPKTFCQLKHLKYLNLSDCHGLIQLPECFGDLSELQSLNLTSCSKLQPLPWSLCNMFNLKHLNLSYCVSLESLPSSLGDLRLQVLDLTGCYNMHGLPDSISNMSSLTLLNTATGSECVFHKTQTIKKHLNLPGTVEHDVHEIENADFSSIVELGRLRCRELEVRHLENVERLEDARKANLRDMVELRWLKFSWELGGTRSVDKDKLVLENLIPPRTLEEFLLDGYMCKDFPSWLTGISSYLPYLMCIRICNLATCDSLPAFGQLPNLRHFRMNNMPSIRRIGKEFYGEEGNCKKLRVIWLERMTNLEEWWTTRSGKEDEEFLIPNLHVLKVDNCPKLSFLPYPPRSMNWYLDSSDEVLPERGFRSLVSSTLPFRVVIELLLEGCEGLEISPELFGHLASLKCIEIQGCPDLTDLPESMKNLIALDELWLGGFSSLPEWIGKFICLKQINIFDSPNMTCLPESIRNHTSLKEL >ORUFI01G46760.2 pep chromosome:OR_W1943:1:38014137:38029777:-1 gene:ORUFI01G46760 transcript:ORUFI01G46760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSIRQLMLLAYLDVSGLPIAALPKYLHMFQNMQTLILSNCSLETLPANIGNLHKLCYLDLSGNSDLSKLPTSFGNLLKLSLLSLSGCTKLEELPESIHNLKCLEQLDMSGCCALQKLPDEFGSLSKLSFVNLTSCSKLTKLPGNFNLESLEHLILSDCHELENLPEDFGILYRLEVLDLSDCYKIPVLPESFCQLKHLKDLNLSDCHGLKQLPECFGDLSELQFLNLTSCSKLQSLPQSLCNIFKLKHLNLSYCIRIEHLPSLFGDLQLQVLNLTCCYSLRDMPDSISDMASLTLLDVISGTKGVLDKAWSIKECLNLPGREEHDVHEIENGECCSIVELGKLSCRALGIQHLENVERLDNAREAKLRDMTDLRELTLSWGLGGTRNVDKDEEVLENLLPPRTLESFMLDGYMCKDFPNWVSGISSYLPCLIYLCLSNLATCDSLPAFGRLPNLRFFCMENMPTIRKIGREFYDGEGNCKKLRIIWLERMDNFEEWWTTRSGEEDREFLIPNLHFLKAVDCPKLSFLPYPPRSMHWSLDNSDKVLPERGFGSLASSTLPFRVVINNCKYPPDMWVRFQHLATIEIFQVEGCSGLRTFPDILQSFVSLRELYLCSWENLEILPEWLGQLICLEVIEFINCPVLTTLPTSLQNLTSLRELLLRGCKGLETLPEGMGRLISLEKFIIMDCPKLTFLPESMKNLTALIELHLDGCKGLETLPEGLGLLISLKKFVISNCPKLTYLPESMKKLAALIELRLDGCKRLETLPKWLGLLISLKKIVINNYPMLTFLPESMKNLTAMKVLYLYGCKELEILPEGLGMLISLEKFVLIDCPKLTFLPESMKNLTALIELRLDGCKGLEILPEGLGLLISLEKFIINNCPKLTFLPESMKNLTALIELWLDGCKGLEILPEGLGLLICLEKFIIMDCPKLTFLPESMKNLTALIRLLLDGCKGLEILPEWLGMLVSLEEFIIIDCPKLTFLPSSMKNLTAITELRLDGCKGLEILPEGLGLHIPLKRFVINDCPMLTFLPELLGHLTALKCLDIQSSPNLTYLPESMKNLTALEELLLEGFNSLPEWIGQFIYLKEISIFDSPNLTSLPESIWNITTLELLYIYFCPRLAEWCQREDANKISRIPKIMLDGEKYLYRDKQSMDERRHVRRGVSFFGSGPYNLMPLSADAVRREQHPLLQRPRSGPMNPSTNSIYLRGISVNQEEVRPPPSRRRRAHVMTRRGRARPTLHRPATPRKATPPRIDTVHAEQDRGGGGADALLSPHPVSAIRAERTHRRRGGEGVGGGGRAGSPSAERWIEPSTLSPSIHRRKCSGWRRLDLLVLESLAGSFEAMSGVGEMIASSVARRVASKLGDLAVEEATLLWRFKDDVNDMKEKMRDLVAVMQDADDKVRQVGKDGAVARRWLSKVKSVAYDVEDVLDEFDAAQLIRNHQSKLKLYFSWNNPLLQKMTIARNMKNLRDKIVAIEKDGKMLNLVRHEPHAKGSRSNETFTVSDDMEIGMLGRDAETEKIISLLLKTEAKEDISIIPIVGLGGLGKTTLAQAVFADKRVSVFDMKIWVYVSEDFDLLKIGKAIIRGANRSISLDNCNLQFVQDNLIKELANRRYLIGLDDLWEEYGENLEKLKQMLQHGGKGSKIIVTTRNGSVVQVLHTGCLANQRKICPVHEADHINLDVLSPDDCWKVMKQRIFGPDDDQSGLEEIGRQIAGRCGGLPLVANALGQVMSEQRTVEAWRDIRDRKIVLDFIVDNRRDTLERVLLSYYYMKPDYKMCFTCLASFSKGFVVDSDCLILQWSALGYIQARHTGQSCIDYLLGMSFLQISKSSSNTAECGHSSQDREHKQERQDEEKLYMHLQASPSPAIQALVAPITKDTKTGLHTLSISNKNYLLDLSGQLLWSPCSPSHPTVPCSSGECAAASGAHKSCNNGGRACTARPTNPVTGERAVGDLTLADIVANATDGKTLTSEVTVRGVVSSCAPGSLLRSLPAMAAGDAGLGRGGVSLPTQLYSKLSLKRQFAVCLPSTAAAPGVAFFGGGPYNLMPPTLFDASTVLSYTDLARSPTNPSAYSIKLRGIAMNQEAVHLPPGALSRGGGVTLDTAAPYTVLRRDVYRPFVAAFAKATARITRMPSVAPFELCFNSSALGFTRVGYAVAPIDLVTSGGRNWTVFGSNSLAQVAGDTACLAFVDGGRAARSAVTVGAFQMENNFLLFDEAASRLGFSGTLFFIRTTCGNFNFARN >ORUFI01G46760.3 pep chromosome:OR_W1943:1:38022503:38032966:-1 gene:ORUFI01G46760 transcript:ORUFI01G46760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIGGMIASSVANRVASRLSELVVEEATLLWRFKDDVDDMEEKMRDLEAVIQDVDGKARQGGKDGEAERRWLNKLKSVAYDVEDVLDELDAAQLIKNHQPKLKLFLSRNNPLLRKMTIARNMKNSREKIVSIKKDSIKLHHVHRELVAEGSRDNETFADDGDVDIGMLGRDAETKKIINLLLNTEAKEDISIIPIVGLGGLGKTTLAQAVFADKRVNVFDLRIWVYVSKEFDLLKIGKAIIRGANRSINLDNCNLQFVNNNLKKELANRRYLIVLDDLWEEYGENLEKLKQMLQHGGKGSKIIATTRSGSVVQVLYTGYLANERKVCPVPEPDHINLGVLSPYDCWSVMKRRVFGPDDGQNGLEEIGRQIAEKCGGLPLVANALGQVMSEHRTVEAWTDIRDRKIVLDFKADHQQDTIERLMLSYYYMKREFKMCFTYLAAFSKGFVMDTDRLIQQWIALGYIEARDNGQRCVNYLLRMSFLQISKSSMVSPMHTKAPRKLTMHDLVHDLATIIAPDEFLVMDATMPNTWSKANNKRYCRHAQLVNYQKQPKFFKDLPSKAWSIKECLNLPGREEHDVHEIENGECCSIVELGKLSCRALGIQHLENVERLDNAREAKLRDMTDLRELTLSWGLGGTRNVDKDEEVLENLLPPRTLESFMLDGYMCKDFPNWVSGISSYLPCLIYLCLSNLATCDSLPAFGRLPNLRFFCMENMPTIRKIGREFYDGEGNCKKLRIIWLERMDNFEEWWTTRSGEEDREFLIPNLHFLKAVDCPKLSFLPYPPRSMHWSLDNSDKVLPERGFGSLASSTLPFRVVINNCKYPPDMWVRFQHLATIEIFQVEGCSGLRTFPDILQSFVSLRELYLCSWENLEILPEWLGQLICLEVIEFINCPVLTTLPTSLQNLTSLRELLLRGCKGLETLPEGMGRLISLEKFIIMDCPKLTFLPESMKNLTALIELHLDGCKGLETLPEGLGLLISLKKFVISNCPKLTYLPESMKKLAALIELRLDGCKRLETLPKWLGLLISLKKIVINNYPMLTFLPESMKNLTAMKVLYLYGCKELEILPEGLGMLISLEKFVLIDCPKLTFLPESMKNLTALIELRLDGCKGLEILPEGLGLLISLEKFIINNCPKLTFLPESMKNLTALIELWLDGCKGLEILPEGLGLLICLEKFIIMDCPKLTFLPESMKNLTALIRLLLDGCKGLEILPEWLGMLVSLEEFIIIDCPKLTFLPSSMKNLTAITELRLDGCKGLEILPEGLGLHIPLKRFVINDCPMLTFLPELLGHLTALKCLDIQSSPNLTYLPESMKNLTALEELLLEGFNSLPEWIGQFIYLKEISIFDSPNLTSLPESIWNITTLELLYIYFCPRLAEWCQREDANKISRIPKIMLDGEKYLYRDKQSMDERRHVRRGVSFFGSGPYNLMPLSADAVRREQHPLLQRPRSGPMNPSTNSIYLRGISVNQEEVRPPPSRRRRAHVMTRRGRARPTLHRPATPRKATPPRIDTVHAEQDRGGGGADALLSPHPVSAIRAERTHRRRGGEGVGGGGRAGSPSAERWIEPSTLSPSIHRRKCSGWRRLDLLVLESNQCILPLDDIASTETAYSAHQVSMQSVRTKKFFAGSAERFGRPNFIKENALKILKSIWWANRITLLMQATCRWSMPSHGITLLMVDCGRVFPKAKRRSALVGRELSQTNWQHILLWER >ORUFI01G46760.4 pep chromosome:OR_W1943:1:38022503:38029777:-1 gene:ORUFI01G46760 transcript:ORUFI01G46760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSIRQLMLLAYLDVSGLPIAALPKYLHMFQNMQTLILSNCSLETLPANIGNLHKLCYLDLSGNSDLSKLPTSFGNLLKLSLLSLSGCTKLEELPESIHNLKCLEQLDMSGCCALQKLPDEFGSLSKLSFVNLTSCSKLTKLPGNFNLESLEHLILSDCHELENLPEDFGILYRLEVLDLSDCYKIPVLPESFCQLKHLKDLNLSDCHGLKQLPECFGDLSELQFLNLTSCSKLQSLPQSLCNIFKLKHLNLSYCIRIEHLPSLFGDLQLQVLNLTCCYSLRDMPDSISDMASLTLLDVISGTKGVLDKAWSIKECLNLPGREEHDVHEIENGECCSIVELGKLSCRALGIQHLENVERLDNAREAKLRDMTDLRELTLSWGLGGTRNVDKDEEVLENLLPPRTLESFMLDGYMCKDFPNWVSGISSYLPCLIYLCLSNLATCDSLPAFGRLPNLRFFCMENMPTIRKIGREFYDGEGNCKKLRIIWLERMDNFEEWWTTRSGEEDREFLIPNLHFLKAVDCPKLSFLPYPPRSMHWSLDNSDKVLPERGFGSLASSTLPFRVVINNCKYPPDMWVRFQHLATIEIFQVEGCSGLRTFPDILQSFVSLRELYLCSWENLEILPEWLGQLICLEVIEFINCPVLTTLPTSLQNLTSLRELLLRGCKGLETLPEGMGRLISLEKFIIMDCPKLTFLPESMKNLTALIELHLDGCKGLETLPEGLGLLISLKKFVISNCPKLTYLPESMKKLAALIELRLDGCKRLETLPKWLGLLISLKKIVINNYPMLTFLPESMKNLTAMKVLYLYGCKELEILPEGLGMLISLEKFVLIDCPKLTFLPESMKNLTALIELRLDGCKGLEILPEGLGLLISLEKFIINNCPKLTFLPESMKNLTALIELWLDGCKGLEILPEGLGLLICLEKFIIMDCPKLTFLPESMKNLTALIRLLLDGCKGLEILPEWLGMLVSLEEFIIIDCPKLTFLPSSMKNLTAITELRLDGCKGLEILPEGLGLHIPLKRFVINDCPMLTFLPELLGHLTALKCLDIQSSPNLTYLPESMKNLTALEELLLEGFNSLPEWIGQFIYLKEISIFDSPNLTSLPESIWNITTLELLYIYFCPRLAEWCQREDANKISRIPKIMLDGEKYLYRDKQSMDERRHVRRGVSFFGSGPYNLMPLSADAVRREQHPLLQRPRSGPMNPSTNSIYLRGISVNQEEVRPPPSRRRRAHVMTRRGRARPTLHRPATPRKATPPRIDTVHAEQDRGGGGADALLSPHPVSAIRAERTHRRRGGEGVGGGGRAGSPSAERWIEPSTLSPSIHRRKCSGWRRLDLLVLESNQCILPLDDIASTETAYSAHQVSMQSVRTKKFFAGSAERFGRPNFIKENALKILKSIWWANRITLLMQATCRWSMPSHGITLLMVDCGRVFPKAKRRSALVGRELSQTNWQHILLWER >ORUFI01G46760.5 pep chromosome:OR_W1943:1:38016780:38032966:-1 gene:ORUFI01G46760 transcript:ORUFI01G46760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIGGMIASSVANRVASRLSELVVEEATLLWRFKDDVDDMEEKMRDLEAVIQDVDGKARQGGKDGEAERRWLNKLKSVAYDVEDVLDELDAAQLIKNHQPKLKLFLSRNNPLLRKMTIARNMKNSREKIVSIKKDSIKLHHVHRELVAEGSRDNETFADDGDVDIGMLGRDAETKKIINLLLNTEAKEDISIIPIVGLGGLGKTTLAQAVFADKRVNVFDLRIWVYVSKEFDLLKIGKAIIRGANRSINLDNCNLQFVNNNLKKELANRRYLIVLDDLWEEYGENLEKLKQMLQHGGKGSKIIATTRSGSVVQVLYTGYLANERKVCPVPEPDHINLGVLSPYDCWSVMKRRVFGPDDGQNGLEEIGRQIAEKCGGLPLVANALGQVMSEHRTVEAWTDIRDRKIVLDFKADHQQDTIERLMLSYYYMKREFKMCFTYLAAFSKGFVMDTDRLIQQWIALGYIEARDNGQRCVNYLLRMSFLQISKSSMVSPMHTKAPRKLTMHDLVHDLATIIAPDEFLVMDATMPNTWSKANNKRYCRHAQLVNYQKQPKFFKDLPSKVRTIHFRECIGPQLPRKAFSGSKYIRILDLSGCSSEGQSIPVSMALPSSIRQLMLLAYLDVSGLPIAALPKYLHMFQNMQTLILSNCSLETLPANIGNLHKLCYLDLSGNSDLSKLPTSFGNLLKLSLLSLSGCTKLEELPESIHNLKCLEQLDMSGCCALQKLPDEFGSLSKLSFVNLTSCSKLTKLPGNFNLESLEHLILSDCHELENLPEDFGILYRLEVLDLSDCYKIPVLPESFCQLKHLKDLNLSDCHGLKQLPECFGDLSELQFLNLTSCSKLQSLPQSLCNIFKLKHLNLSYCIRIEHLPSLFGDLQLQVLNLTCCYSLRDMPDSISDMASLTLLDVISGTKGVLDKAWSIKECLNLPGREEHDVHEIENGECCSIVELGKLSCRALGIQHLENVERLDNAREAKLRDMTDLRELTLSWGLGGTRNVDKDEEVLENLLPPRTLESFMLDGYMCKDFPNWVSGISSYLPCLIYLCLSNLATCDSLPAFGRLPNLRFFCMENMPTIRKIGREFYDGEGNCKKLRIIWLERMDNFEEWWTTRSGEEDREFLIPNLHFLKAVDCPKLSFLPYPPRSMHWSLDNSDKVLPERGFGSLASSTLPFRVVINNCKYPPDMWVRFQHLATIEIFQVEGCSGLRTFPDILQSFVSLRELYLCSWENLEILPEWLGQLICLEGCEGLEISPELFGHLASLKCIEIQGCPDLTDLPESMKNLIALDELWLGGFSSLPEWIGKFICLKQINIFDSPNMTCLPESIRNHTSLKEL >ORUFI01G46770.1 pep chromosome:OR_W1943:1:38025267:38035357:1 gene:ORUFI01G46770 transcript:ORUFI01G46770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGESSNGLTLGMHGIDPRWRRLPWRRRTVQCRARAATSSHDMSTPTPGGRRPDFFLVDGDAPEERMLLTSDGVGGQRHQIVRPTPEEGHATPDVAPGSEMSKQFWQESRKVSLGQSMMINFSKEISRPIPSGSVSSPLHPRNNSSLRECKSTKTMDYPKLNKEEPAAKAAESLPTFGLQLQGGDSFQSQTVNQIEKERESLPTPGEAPPLHFRLYRADPSRGTQGRMVLDSIHRSGRRRSNGRRLQPPSPPRRRWLRSAPRGWTHGIVCAAAALKPPQPIKLSSWASGSHAAYTGEARRTDDRSRGFATPMSTLRYISSN >ORUFI01G46780.1 pep chromosome:OR_W1943:1:38033449:38034228:-1 gene:ORUFI01G46780 transcript:ORUFI01G46780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCVPRLGSARYRRKCSGGASPGVDDIASTELSTLHCTSGVDAKRLQVKKQRDANCATKNLFGGQTGKASDILVEHANRKEKLSWTCSCGLW >ORUFI01G46790.1 pep chromosome:OR_W1943:1:38035518:38040724:1 gene:ORUFI01G46790 transcript:ORUFI01G46790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPEPVHVLLLAAIAVQVFVRCTAQAASDQKPLVSRLAQDYNTSLYTISVNNGAPPLVVDLAGTLVWSTCPSTHATVPCQSAACDAVNRRQPGRCRYVNGGWFWAGREPGSRCACTAHPFNPVTGECSTGDLTTFAMSANTTNGTDLLYPESFTAVGACAPERLLASPSLPQAAAGVAGFSGTTPLSLPSQLAAQRRFGSTFALCLPAFATFGDTPVYLPNYDPSGPFDYTKMLRRTPFLTNPRRSGGYYLPVKRISVSWRGPRDVPLSLPAGALDLDARTGRGGVVLSTTTPYAIMRPDVFRAFAKAFDTVVARGMESRMVRVAGQKQFELCYGGAGDTMLSFPMMKRAGFDAPAITLELGAGATGNWTILNGNYLVRETCVGVVEMGPEGMPVDGEPAVVLGGMQLENILMVFDLDKRTLGFSRLLDWDLTSSIVSLVMLLSCLVATGDQQPAAYKLPLIVPLVRDTNTSLYTIAIKKDDAPLVVDLAGALVWSTCPSTHSTVSCLSGACGAANQQQPRRCRYVDGGWFWSGREPGSHCACTAHPFNPVTGECSTGDLTSFAMSANTTSNGTKLLYQEAFATVGACAPERLLASLPAGATGVAGFSRRPLSLPSQLAAQRNFGNKFALCLPGFAAFGDTPVYIGTESLGIVNYTESLPYTPLLTNPRNPGYYLPVKGITVSWYGPDVTASLPAGALDMDARTGRGGVVLSTTTPYAVMRPDVFRAFAEAFDAAIRGNDYAKVVRVPAVEPFKLCYDGAFPFRKRPPTWDVPTVDLELAGATGIWRLFTENYMVQTPRGMCVGILEMEAGGGMPVDGEPAMVLGLKQLDTNLLVFDLDKMLLWFSGELSFRLTGCVSPF >ORUFI01G46800.1 pep chromosome:OR_W1943:1:38041728:38042201:1 gene:ORUFI01G46800 transcript:ORUFI01G46800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARARGGRAFFLLVLVLVVLAAPAAALRTSAISAAPEYPRLPTGPGHGGGRHAAPAPAVVLPPAPALSPDIMPLLPSPGPDSDGSAEAPSDVMPTIPSSPSPPNPDALLPDSALAPFGSAPAVAAQSRAPPSPPTTAAAAWALPVAVGLVAMWLV >ORUFI01G46810.1 pep chromosome:OR_W1943:1:38046033:38048780:1 gene:ORUFI01G46810 transcript:ORUFI01G46810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRTLRLLAAVNPTTAVLSKAALVQIASPLDPFLLHLTARFHHAPADAHHLLDETPRRAGSIVRALGACRGASREEADGVAALHCAALKSGAVLDPPVRTSVLTAYSRVRDVCSALQVFDEAAAPDLILWNAAISALTLNCRYGDAVVLFRRMVDVLGVFDSASMVIMLSGASRARSLEHGIAFHGMALKRCLDTDLTFWNTLMDMYAKCGDFYSSEVVFQRMPYRDTTSWNSMVSGSLFNGLAEISAYYFKEMVRSSFQADEVSLSCVLSACSNLENLFSFGESVHSSVIKLGYEDTTSSVANSLITFYYELGFPEAAEEVFLSTSNKNLVTWNAMIKGLVENDRVNEAMCMFQEMRSKNQPDVATLVTIISACGDRGLLPEGKEVHGYIIRKGHLYEECSVGNSLLDLYMKCNDPSTARILFRTMPIRDLISWNTMISGYSRNDSLGEEAKAMLKGLLSEGLSCTLSTVLAVIPSCFCPQDLNFGKSVHSFILKYGFLTGVSAANSLIHMYICCGGSPAAFSLLESITPMSDTISWNTAIVGCVQNGLYRDALEAFQFMHSTLTLNPDSITLVSVLSVCGNLKLQSLGKSIHCMALKHLIAFNLRVKNALLTMYFRFGDTESAELFFSSLGDRNLCSWNCMISGFAQNNEGLRALQFYKKMEDFEPNEISIVGIICACTQLGDLRQGKNIHGHVVRFGLQTNVFISASLVDMYSKCGRLDISIRVFESSAEKSIACWNSMISAFGFHGLGLKSIEIFRMMNNSGMKATRSTFIALLSACSHSGLTDEGLKYYHLMTEHFGIIPTPEHHVCAVDMLGRAGRLQEAQKFVESLPSKQAHGVWGALLSACSQKSELKMGESVAKHLLCLEPENSGYYVTMSNLYAYQDMWSGAVQVREILQDKGLMKPRGRSIIG >ORUFI01G46820.1 pep chromosome:OR_W1943:1:38049084:38051289:1 gene:ORUFI01G46820 transcript:ORUFI01G46820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMKALAVASPISARAQPRRCPAGSSGGPSQSLHSSFGGVSLQCRRTKPASLQRSRPSMQVVMMAARPAIQFIQGTDEQTIPDVRLTKSRDGTNGVAIFTFDQPSVFDSSAELGDITGFYMIDDEGVLQSVDVSAKFVNGKPALIEAKYVMRTPREWDRFMRFMERYSQANGLQFVKK >ORUFI01G46830.1 pep chromosome:OR_W1943:1:38056278:38061922:1 gene:ORUFI01G46830 transcript:ORUFI01G46830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGDKATAAAEAGGGAAATAAAGGGGGGGGGGAEEESVKLFVGQVPKHMTEAELLAMFQEVAIVDEVTVIKDKATKASRGCCFLICPSREEADKAVNAYHNKHTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVTDTELTDLFSKYGNIKDLQILRGSQQTSKAGCAFLKYETKEQAVAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQLQSSNMPSASPMQQSSLFGALQMGYMPQYNGFGYQPPGTYGLMQYPLSPMQNQATFPNMVQPVNQGNSIRGVNPELSPNSVPRSFNAMQLSSPYPPVPGVQYAGSYPGGLMNNRPFGNSFSSIKVPIVNANSPASSSPSSNPGGQIEGPPGANLFIYHIPQDYGDQDLSNAFQRFGRVLSAKVFVDKATGSSKCFGFVSYDSPASAQAAIGVMNGFQLGSKKLKVQLKRDNSKHSKPF >ORUFI01G46840.1 pep chromosome:OR_W1943:1:38064608:38065117:-1 gene:ORUFI01G46840 transcript:ORUFI01G46840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSYLMSRRFSYRRLKKLPTAAVAAPPDVPQQLQEQYYAAITAAAAAAAQHGGGGGIGRRRRRRMRPRLRISRLARVLRRKAAAVGGAVRASVAKVVRRLREGSPYVGDLFAGNYMFMQVTPSPTMAAAAGLAKNGVVPYYHHGIIGGKNSKLGTTCSPSVMYKVKFN >ORUFI01G46850.1 pep chromosome:OR_W1943:1:38067219:38071351:-1 gene:ORUFI01G46850 transcript:ORUFI01G46850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAVGEGQHQQQRPPDGAGGGGGGRGGRGGGGGRGGSGRLGWWWCCCCGVGGVVRLKCVAALVLGVAVLLSAVFWLPPFARRGRGSEGPDPGAGFDADIVASFRLHKMVPELNGNASKLELDIYEEIGIPNSTVVVNSLQLVGSNWTNVIFSIVPYPKNLTLSSTGLSILRSYFMSFVVRQSTLQLTESLFGNSSSFEVLKFPGGITIIPPQTAFLPQKPHATFNFTLNFPIYKVQDRIDELKDQMKTGLLLNSYENLYIKLANLNGSTVDPPTIVETSIFLEVGNHQPSVPRMKQLAQTITNSSSGNLGLNHTLLSLQPMAIILLHQLLCHLTHCHHGIPMCTVGPLFHPLQFFQNHLCPLFLLLMLILQVNIHQEEILLDCRLWPLHLIHLDNLDFCFLLAVSAPEANTQTLLGACGVPFIGPTLCLLCVF >ORUFI01G46850.2 pep chromosome:OR_W1943:1:38067219:38071351:-1 gene:ORUFI01G46850 transcript:ORUFI01G46850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAVGEGQHQQQRPPDGAGGGGGGRGGRGGGGGRGGSGRLGWWWCCCCGVGGVVRLKCVAALVLGVAVLLSAVFWLPPFARRGRGSEGPDPGAGFDADIVASFRLHKMVPELNGNASKLELDIYEEIGIPNSTVVVNSLQLVGSNWTNVIFSIVPYPKNLTLSSTGLSILRSYFMSFVVRQSTLQLTESLFGNSSSFEVLKFPGGITIIPPQTAFLPQKPHATFNFTLNFPIYKVQDRIDELKDQMKTGLLLNSYENLYIKLANLNGSTVDPPTIVETSIFLEVGNHQPSVPRMKQLAQTITNSSSGNLGLNHTLLSLQPMAIILLHQLLCHLTHCHHGIPMCTVGPLFHPLQFFQNHLCPLFLLLMLILQVNIHQEEILLDCRLWPLHLIHQTLLGACGVPFIGPTLCLLCVF >ORUFI01G46860.1 pep chromosome:OR_W1943:1:38077086:38079934:1 gene:ORUFI01G46860 transcript:ORUFI01G46860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAETQEELLRKHLEEQKIEIVTLGFFVFLLHKGAGGDASGRSKQSRSEKKSRKAMQKLGMKTITGVSRVTIKKSKNILFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSNVISKAEPSAAAQDDEEVDESGVEPKDIELVMTQATVSRSRAVKALKAANGDIVTAIMELTN >ORUFI01G46860.2 pep chromosome:OR_W1943:1:38077086:38079934:1 gene:ORUFI01G46860 transcript:ORUFI01G46860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAETQEELLRKHLEEQKIESRSEKKSRKAMQKLGMKTITGVSRVTIKKSKNILFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSNVISKAEPSAAAQDDEEVDESGVEPKDIELVMTQATVSRSRAVKALKAANGDIVTAIMELTN >ORUFI01G46870.1 pep chromosome:OR_W1943:1:38080563:38082682:1 gene:ORUFI01G46870 transcript:ORUFI01G46870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDQLIGSAFIAFGIILFVGFFYAAVLTKMLPPYENRFLSAIQNDRYYCLLIPLTLPVIIVAVYLHWLSMKMNSNYT >ORUFI01G46870.2 pep chromosome:OR_W1943:1:38080905:38082682:1 gene:ORUFI01G46870 transcript:ORUFI01G46870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTELLLVAPTLRAQRAGDCTPKVKQVDLKMHRDQLIGSAFIAFGIILFVGFFYAAVLTKMLPPYENRFLSAIQNDRYYCLLIPLTLPVIIVAVYLHWLSMKMFKHA >ORUFI01G46870.3 pep chromosome:OR_W1943:1:38080563:38082687:1 gene:ORUFI01G46870 transcript:ORUFI01G46870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDQLIGSAFIAFGIILFVGFFYAAVLTKMLPPYENRFLSAIQNDRYYCLLIPLTLPVIIVAVYLHWLSMKMFKHA >ORUFI01G46870.4 pep chromosome:OR_W1943:1:38080905:38082687:1 gene:ORUFI01G46870 transcript:ORUFI01G46870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTELLLVAPTLRAQRAGDCTPKVKQVDLKMHRDQLIGSAFIAFGIILFVGFFYAAVLTKMLPPYENRFLSAIQNDRYYCLLIPLTLPVIIVAVYLHWLSMKMFKHA >ORUFI01G46880.1 pep chromosome:OR_W1943:1:38082901:38090942:1 gene:ORUFI01G46880 transcript:ORUFI01G46880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIRKKSMEFLKSFEVPAKNPSEEAQRRWRDAVGTLVKNRRRRFRMVPDLDKRSQAETQRRKIQEKLRVALFVQKAALQFIDAVRKTEHPLPELARQCGFSVSAEELASIVRGHDTKSLRFHNGVDGIARKVAVSLADGVKSDDAGLRAEVYGANQYTEKPPRTFWMFLWDASQDMTLLLLAFCAAVSVAIGLATEGWPSGMYDGVGIMLTILLVVMITAASDYKQSLQFRDLDKEKKKIDVQVTRDGYRQKVSIYDIVVGDIVHLSIGDQVPADGLFIDGYSFVVDESSLSGESEPVHVSTANRFLLGGTKVQDGSARMLVTAVGMRTEWGNLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFAVLTFTVLMARFLLGKAGAPGGLLRWRMVDALAVLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQERALVRHLSACETMGSASCICTDKTGTLTTNHMVVEKIWASGAAQTMSNAKGFDQLTSSMSETFAKVLLEGVFHCSGSEVVRGKDGRHTIMGTPTETAILEFGLAVEKRARIEHTGAGKLKVEPFNSVKKTMAVVIASPSAGGRPRAFLKGASEVVLSRCSLVLDGTGNVEKLTDAKAKRVAIAIDAFACEALRTLCLAYQDVDGGGGDIPGEGYTLIAVFGIKDPLRPGVREAVATCHAAGINVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFRNKDPDQMREIIPKIQARCQGERRCDHHGRQLLDHHQRCQMGSLRLHQHPEVRPVPAHRQCGRPDGELHLCVLHRYKNSLVLVPIYDEFVGDSGSAPLTIVQLLWVNLIMDTLGALALATEPPNDAMMKRPPVGRGDNFITKVMWRNIVGQSIYQLVVLGVLLLRGKSLLQINGPQADSLLNTFVFNTFVFCQVFNEVNSREMEKINVFSGIFSSWIFSAVVGVTAGFQVIMVELLGTFANTVHLSGKLWLTSVLIGSVGLVIGAILKCIPVESGSDASDRHDGYRPIPTGPSAV >ORUFI01G46890.1 pep chromosome:OR_W1943:1:38091408:38092684:-1 gene:ORUFI01G46890 transcript:ORUFI01G46890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRTTRCPKRPAPQLAVAPCAATAKRRRLAPPESPWASLNPDLLRLVAERALASDLLDYVRLRAVCAAWRSATACPRGRGITDPRFHPRRWMMFPEGHGLHPGHAKLRGFVRFFNLSTGALARAKLLLFQDHMVLDSVDGLLLLQRDHDTAIRLLHPFTGDIADLPPLETLRPQMGNTTNSVLWNYNEEKHRIGFLRDVCASVSVNDTGSITVMLAFHLFNRELSYQGKLFMVKAKHDITGNSDILQIDPPNDQDAEGSPLPEKELAPKLVATIPKDKLFGPCFLAECDSEILIIGHDSRPTSLDSQTMLLPFAYNDIGNYTHTSVYRISDLTSGRFSPVASIGDQLTMPCSLVHGLSVSPLRHFLPFSVVILVVIYFTLLQRNCSLRNTTSAVVPGHL >ORUFI01G46900.1 pep chromosome:OR_W1943:1:38094050:38098482:-1 gene:ORUFI01G46900 transcript:ORUFI01G46900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEPGDESQINAIDIVDRLLVEDDIETYQQISIDQTTRAKSASTLGSDIAQCLAKRARCSSPLKKAGNFDWVDTPTVDDCRTSIISMENTVDRANNQVKHGGCGSSTRAWPILECIDEDLGTNCLKKTEPFCGTDDLYQEYDIGPNTQMAAEAMEALFNASTVSYDVKENERPEDSVVKNMTKGTKVDKTCAVHSPIQKRKVNFLRHRSGVATEYKQIKVDDTVRENGESSVSHTNTSQTRKYTKQMAGKAKRNISSGITQRDIDHEVSEVITRSGTNDSNIPLSLDTDALIHPKRRRTYIFTSGSSKIEFIEAIKPTALRAKTTEVKQLSTANTVSVSDQDTTSGLRMSQHSSFADHEASAGSSYFNPLAETFTVGLEKQSIPEKKGHDSSLMPSVPLRELNGAGPQARTRTSETPKRVLKSPGSRELANLFRNEVSPVLQSSRRRRKHMSTVRVLLSQSMGNETLNDQTKILIHFGLSVATTISEATHFVAEKFARTRNMLEAIAMGIPVVTPAWLECCREARSFIDEKRYILRDIKKEKELGFSMPVSLSRACKKPLLEGRRVLITPNAKPSKELLKSLVVTAHGKVLERNAMSKMKNRSLMGAFVISCEQDYKICVPFIKNGFEVFESELVLNGIVTQKLEFERYALSRQIVALSFPME >ORUFI01G46910.1 pep chromosome:OR_W1943:1:38099641:38101650:-1 gene:ORUFI01G46910 transcript:ORUFI01G46910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAYDASSDEEDAGGEPPAAAAASPAPVPSSFGPRPRPPSPSTSVGAAPQPPPHSQNISSTSSSNISLPTPSLDLPDVADLFSSPSLPSRGSTSMMDSTSRKRESNGSAFQDPRSKFPRVQSGQSRGARIAAGNTLVPPQLSGRSNVVTEDMTKLFVARRKE >ORUFI01G46920.1 pep chromosome:OR_W1943:1:38103625:38106957:1 gene:ORUFI01G46920 transcript:ORUFI01G46920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSHETDKNIEIWKVKKLIKGLDAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNRVPPNGLVLYTGTIVTDEGKEKKVTVDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLFGTLSGNSREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLIIAGSADFKTELSQSDMFDQRLQAKILKVVDVSYGGENGFNQAIEISAEELSNVKFIQEKKLIGKYFEEISQDTGKYVFSVDDTMSALEMGAVETLIVWENLDINRYVLKNSVTGETTVKHLNKAQETDQSNFRDKATSAELEVIEKTLLLEWFAENYRQFGCSLEFVTNKSQEGSQFVRGFGGIGGILRYQVEINAYEDLSDEEGEEKT >ORUFI01G46930.1 pep chromosome:OR_W1943:1:38108053:38112488:1 gene:ORUFI01G46930 transcript:ORUFI01G46930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVHVNGSVNGGNGTEERLDELRRLLGKSDGDLLKIVGIGAGAWGSVFAALLQDAYGRFREKVQIRIWRRAGRSVDRTTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISKYWKERISVPVIISLAKGIEASLDPIPRIITPTQMISSATGVPTENILYLGGPNIASEIYNKEYANARICGSNKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGISAVGAFFELLSQPSLSVQHPEENKQVAPAELCPILKRLYRILIKRELSTRDILQALRDETMNDPRERIEMAQSHAFYRPSLLGKP >ORUFI01G46930.2 pep chromosome:OR_W1943:1:38108028:38112488:1 gene:ORUFI01G46930 transcript:ORUFI01G46930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVHVNGSVNGGNGTEERLDELRRLLGKSDGDLLKIVGIGAGAWGSVFAALLQDAYGRFREKVQIRIWRRAGRSVDRTTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISKYWKERISVPVIISLAKGIEASLDPIPRIITPTQMISSATGVPTENILYLGGPNIASEIYNKEYANARICGSNKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGISAVGAFFELLSQPSLSVQHPEENKQVAPAELCPILKRLYRILIKRELSTRDILQALRDETMNDPRERIEMAQSHAFYRPSLLGKP >ORUFI01G46930.3 pep chromosome:OR_W1943:1:38108028:38112488:1 gene:ORUFI01G46930 transcript:ORUFI01G46930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVHVNGSVNGGNGTEERLDELRRLLGKSDGDLLKIVGIGAGAWGSVFAALLQDAYGRFREKVQIRIWRRAGRSVDRTTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISKYWKERISVPVIISLAKGIEASLDPIPRIITPTQMISSATGVPTENILYLGGPNIASEIYNKEYANARICGSNKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGKNILNTFCLFSKILTIFITVKIIN >ORUFI01G46940.1 pep chromosome:OR_W1943:1:38113522:38134841:1 gene:ORUFI01G46940 transcript:ORUFI01G46940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSPPPVAALEQMSRTKMFGGHNLRFRHHSATLGCPMTFSVFLPPSPASDLPVLYWLSGLTCNDENFVTKAGAQRAAAAHGIALVAPDTSPRGLNIEGEADSWDFGVGAGFYLNATNEKWKNWRMYDYVVKELPKVLSDNFEQLNTSRASIFGHSMGGHGALTIYLKNTDKYKSVSAFSPVVNPINCPWGQKAFSNYLGPAKSDWKEYDATCLIKKCNKISTPILIDQGEDDKFLAKQLLPRNFEEACKAVGAPLTLRMQPGYDHSYFFIATFIDDHIAHHSQFLKSA >ORUFI01G46950.1 pep chromosome:OR_W1943:1:38160700:38164122:1 gene:ORUFI01G46950 transcript:ORUFI01G46950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAMKPSIVHCLKLLMLLALGGVTMHVPDEDDVVASLGALRLDGHFSFDDAHAAARDFGNRCSLLPAAVLHPGSVSDVAATVRRVFQLGRSSPLTVAARGHGHSLLGQSQAAGGIVVKMESLAAAAARAVRVHGGASPHVDAPGGELWINVLHETLKHGLAPRSWTDYLHLTVGGTLSNAGVSGQAFRHGPQVSNVNQLEIVTGRGEVVTCSHEVNSDLFYAALGGLGQFGIITRARIALEPAPKMVRWIRVLYSDFETFTEDQEKLIASEKTFDYIEGFVIINRTGILNNWRTSFKPQDPVQASQFQSDGRVLYCLELTMNFNHDEADIMEQVS >ORUFI01G46960.1 pep chromosome:OR_W1943:1:38168278:38176494:-1 gene:ORUFI01G46960 transcript:ORUFI01G46960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEMHAGLASDGGSKLKMLLTFVDALPSGSEEGVYYSIDLGGTNFRVLRVQVGAGSVIVNQKVEQQPIPEELTKGTTEGLFNFVALALKNFLEGEDDQDGKMALGFTFSFPVRQISVSSGSLIRWTKGFSIRDTVGRDVAQCLNEALANCGLNVRVTALVNDTVGTLALGHYYDEDTVAAVIIGSGTNACYIERTDAIIKCQGLLTNSGGMVVNMEWGNFWSSHLPRTPYDILLDDETHNRNDQGFEKMISGMYLGEIARLVFHRMAQESDVFGDAADSLSNPFILSTPFLAAIREDDSPDLSEVRRILQEHLKIPDAPLKTRRLVVKVCDIVTRRAARLAAAGIVGILKKLGRDGSGAASSGRGRGQPRRTVVAIEGGLYQGYPVFREYLDEALVEILGEEVARNVTLRVTEDGSGVGAALLAAVHSSNRQQQGGPI >ORUFI01G46970.1 pep chromosome:OR_W1943:1:38203203:38204821:1 gene:ORUFI01G46970 transcript:ORUFI01G46970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGLAALSSPARVGASATPCSGRPVRVSATPAAGGWRRRRRSMVVRAGGPPSTNALILAFVLPLSLFVGTLITAARVADDLDERFLREMESNKAIMEENEDFEQDGGGEEEEEDAEQPAPVEKEGVLVAAAPRTRNRPKREVQ >ORUFI01G46980.1 pep chromosome:OR_W1943:1:38207317:38208141:1 gene:ORUFI01G46980 transcript:ORUFI01G46980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYFPRSDILQALSGSSIALTMDVGNDQLGSLASDPSAAAAFVQNNIQAFPGVNFRYITVGNEVSGGDTQNILPAMQNMNSALSAAGLGNIKVSTSVSQGVTAGFPPSAGTFSASHMGPIAQYLASTGAPLLANVYPYFAYVGNQAQIDINYALFTSPGTVVQDGGNAYQNLFDAIVDTFYSALESAGAGSVPIVVSESGWPSAGGTAASAGNAQTYNQNLINHVGQGTPKRPGSIETYIFAMFNENQKGGDETERHFGLFNPDQSPAYSINF >ORUFI01G46990.1 pep chromosome:OR_W1943:1:38209689:38211153:1 gene:ORUFI01G46990 transcript:ORUFI01G46990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQGFAPVLAVALLLAAFPAAVQSIGVCYGVIGNNLPAASDVVKLYKSKGIDSMRIYFPRSDILQALTGSNIALTMDVANENLAAFAADATAAAAWVKQNVQAYPGVSFRYIAVGNEVTGDDTGNILPAMKNLNAALAAAGLGGVGVSTSVSQGVIANSYPPSNGVFNDDYMFDIVEYLASTGAPLLVNVYPYFAYVGDTKDISLNYATFQPGTTVTDDGSGLIYTSLFDAMVDSVYAALEDAGAPDVGVVVSETGWPSAGGFGASVSNAQTYNQKLISHVQGGTPKRPGVALETYVFAMFNENQKTGAETERHFGLFNPNKSPSYKIRFH >ORUFI01G47000.1 pep chromosome:OR_W1943:1:38225362:38240787:1 gene:ORUFI01G47000 transcript:ORUFI01G47000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYCATVLYILGSRRSTRTGTTSLKAPFPCGSHSGAELQEKAEVNDGGGKVMLDGVRRTLPLFLCVCLCLFTKNQGAQRQSGWPSAGGTAASASNAQTYNQNLIKHVGQGTPKRPGRIETYIFAIYGKAWCCFHFNTGIVVQSIGVCYGVNGNNLPSPSDVVKLYQSKGIDSMRIYFPRSDILQALTGSNIALTMGVANENLSAFASDPSAVANWVKQNVQAYPGVNFRYIAVGNEVESGNTQNVLPATQNMNSALSAAGLSNIKVSVSVSQKGLHGEEMAGQGVACALAVALFIGSLVSIPTVRSIGVCNGILGNNLPSPADVVKLYQSNGIAAMRIYSPHAATLRALAGTDIAVIVDEPAIDQFLTLSAASDWVQSNIKPYQGVNIRYIAVGNEVSGDATRSILPAMENLTKALSAAGFGKIKVSTAVKMDVLGTSSPPSGGEFSDAAVMAPIAKFLASNGSPLLANVYPYFAYKGGDVDLNFALFQPTTATVADDGRTYSNMFAAMVDAMYSALEKAGAPGVAVVVSESGWPSAGGSGASADNARRYNQGLIDHVGMGTPKRAGAMEAYIFAMFNENQKDGDETERHYGLFNPDKSPAYPIKVKITFTPGVAPAAGGGPKSARSERR >ORUFI01G47000.2 pep chromosome:OR_W1943:1:38224055:38225438:1 gene:ORUFI01G47000 transcript:ORUFI01G47000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGVTSVLAVVLVIGAFVSIPTVQSIGVCYGVKGNNLPPRSEVVQLYKSKGINGMRIYYPDKEALNALRNSGIALILDVGGFDTVSYLAASSSNAAAWVRDNVRPYYPAVNIRYIAVGNEVEGGATNSILPAIRNVNSALASSGLGAIKASTAVKFDVISNSYPPSAGVFRDAYMKDIARYLASTGAPLLANVYPYFAYRGNPRDISLNYATFRPGTTVRDPNNGLTYTNLFDAMVDAVYAALEKAGAGNVKVVVSESGWPSAGGFGASVDNARAYNQGLIDHVGRGTPKRPGPLEAYIFAMFNENQKNGDPTEKNFGLSYPNKSPVYPIRFYYV >ORUFI01G47010.1 pep chromosome:OR_W1943:1:38246115:38247735:-1 gene:ORUFI01G47010 transcript:ORUFI01G47010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCWRRLAAAGALLAALCAALALAVAEHRRPDTTASSSSRRPLRFASGGGFKVALFADLHYGENAWTDWGPRQDAGSDRVMAAVLDAEKPDFVVYLGDLVTANNLGIPNASLYWDRAISPTRGRGIPWATVFGNHDDMPFEWPPEWFSPAGVPPLHCPPPSMSDSDCSFRGTPRLELMTSEVIRNGLSYSSNGPKDLWPAVSNYVLQVLSQKRDDPALLMYFLDSGGGSYPEVISSAQVQWFHSQSQFLNPNGRIPEIIFWHIPSTAYAKVAPKAKSEIRKPCVGSINREEVAPQEAEWGMMDALVKRASVKAIFVGHNHGLDWCCPHEKLWLCFARHTGYGGYGNWPRGARVIEISEQPFSIQSWIRMEDGTTHSDISLSS >ORUFI01G47020.1 pep chromosome:OR_W1943:1:38250701:38251922:-1 gene:ORUFI01G47020 transcript:ORUFI01G47020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVCFSDLPPEALDDIARRAGPLDNVVCSAVCRPWRRALKTTRLRTLEQPSRPYSVRLDQWSNGIELCPLRLTRERIVRIPSDGGAAPVTRIIGSSHGWLVTVDEECGLSLLEAAVTGRAFPLPPITSSGSKKVARDLDQMGESMFQKAELVPGRRVGTFAVMLIHGGGNGLSFLRPGAKSWTALWFPKWMQHKYVDVVYHKGAFYTASREAAVTAWAPDASSSGLHATRVTEPRPEKCTWAALVESLGGGDLLMVSSVGAMDDEDHARHGYLRGSRRYEVSRYEEREEGRWLPVEDLGEVAILVGIGGRSLCVSTRGGRDALRNHLYFARPFVSFEYYDGHPREYRLPTATPGCGFVYVPGCSSSWFLPYVAPESHCN >ORUFI01G47030.1 pep chromosome:OR_W1943:1:38252791:38256202:-1 gene:ORUFI01G47030 transcript:ORUFI01G47030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVCFSDLPPEALDDIARRAGALNNVVCSAVCRPWRRALKTTRLGLLKQPNRPYSVNLELWCGSIELHPIRRCINGERTVRIANHDGAAPVTRIVGSSHGWLVTVDEDGGLSLLEADGGLSLLEAVTGRLYPLPPITSSGSKKVAKDLDQMGESMFQKAELVPGHRLGTFAVMLIHGGGFGMSFLRPGAKCWTAVRVPKWMKQKFVDVVFHQGAFYTPRRRGERLDAGRVSEPRQECAWVSLVVSIGGGDLLMVSRLHEREGAWLAVEDLGEAAILVGSSCSLSVSTRGSRDALRNHLYFARPPYRLLAADGVGGIWLPTEFRCNQAPFSDLPPEALDDIARRAGALNNVVCSAVCRPWRRALKTTRLRLLKRPSRPYSVRLDKWRNGTISLCLAVRLGCSSESTIYVPIAMVDGGDKLPTRIIGSSHGWLVTVDKECGLSLLEAFTGRVFPLPPITSSGSKKVAKELDQSMFYKATLAPGRRLGAFAVMLIHGGGFGLSFLRPDAKSWTAVRVPKRMQHKYTDVVFHRGAFYTASRDGEVAAWAPDASSSGLHAGRVSEPTQECTWAALVESVGGDDLLMVSSFVVEEGFAAHGQWYRLPRRRYAVSRYDGEREGTSSWLPVEDLGEAAILVGSSCSLCVSTRGFHDDLRNRLFFAWPSYESGKYYCFHPDEYRLPTATPGCTYLIVPHYGGSWFAPYVAPEFHWY >ORUFI01G47040.1 pep chromosome:OR_W1943:1:38256710:38258032:-1 gene:ORUFI01G47040 transcript:ORUFI01G47040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAADGIAALVDWSDLLPVILEDISQRVHGDDRAVFAAVCKSWRRAASAAGPRLSPPSLHLVALCSGANAVDFSSRHGDVVKTAYLGSGGARPHRIIGCSHGWLVVVDEACRASLLEPFTDGAQVPLPPVTSFDCEYFVTAVGGDGDGVPEYFAVDNHAYHHHLQGHRKIEWKPPKLVPVQSMRDEFFQKAAIAPGSHRKESYAAVMVSHSGGSGLAFARSGDDRWTSLPTQALTRYADVIWHNGAFYTLTRGDGAVEAWEPDGRALKPRLVTGPVMRWEFKRLVEFHSDTFHQPAFYEGARYLAKQADGGGGLLVVSTVAILDDSNALRARRFKVFDVDEDKGEWRSRDDVGDAAVLVGINHGECVSTREYPCLKPNCVYYVVKSFAADFEEEEKGCSRYESGVCDVKTGVASRMSVFRRAAGGHPVWFVPSAVSRR >ORUFI01G47050.1 pep chromosome:OR_W1943:1:38259863:38263283:-1 gene:ORUFI01G47050 transcript:ORUFI01G47050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAASMLDMALLLAVFASILAGTAAVGVCWGMSGDNLPPASKVTEMLRENGFTVVRLYAPDSAALAALGGTGIRVVVGAPNYDLPALAHGGTAAAAAWIRENIQAYPTVLFRFVVVGNEVAGADTQLLVPAMENVHAALAAAGLGHIKVTTSISQATIGVHIPPSAGEFTDEAKPFMSYVIPFLERTHAPLLANLYPYFIYSYNPGGMDISFALFTASGAVVQDGEYGYQNQFDATVDALYTAVAKLGGENVRVVVSETGWPTAGGVGASVENAMTFNQNLVRHVRNGTPRHPGKKTETYVFAMFNENLKEAGVEQNWGLFYPSTDRVYPISFHARI >ORUFI01G47060.1 pep chromosome:OR_W1943:1:38270658:38280065:1 gene:ORUFI01G47060 transcript:ORUFI01G47060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESALGNSMAPCPDPNKNANVTKAVAQMSTSLPAAEKKVPSGMRPAPTPVSTSSRPPPLPLRRTSPPPDLAEGRASPALPPPHREKGEEVERVAAAAARGGGGPPAAARLGGRRRRRHTPPHPTIAAPCRREGRDGAGGGGVGRLGGGGETPVGRGRGRGGVGAGEEEAAGSARLGGGLKWTF >ORUFI01G47070.1 pep chromosome:OR_W1943:1:38282417:38283033:1 gene:ORUFI01G47070 transcript:ORUFI01G47070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLVEFSPLHRHQAAPVSPLWVTMLPLVVFIAVRASTPSSSALVIVSRSGSSSSTSSIVAASPSCHRRRSRPVVQLPLHGRWSHYFAFVFVQHDSSPCHRIFLDYTSLFSGNCVLLRQFSLYVVLAPRPSRRPSLL >ORUFI01G47080.1 pep chromosome:OR_W1943:1:38297323:38304968:-1 gene:ORUFI01G47080 transcript:ORUFI01G47080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRGIGSLAVWKCYSDNREWRRSGRGNSELRPLYASRRGVSGAALRPWVWVLPGFTTNGSTSGIVNRERPHGRHVYVADKGIAACGFSSLFMDCFKIMENVLEKQENLLAFEFEVRQWGCDKRYDIRGEGACLKRYTDSSFNTDAVGQGLPLEDLLLLGHRQSLVVCTILQANKKIFSSYHMQNFQLRTYSFSLHLKIAYYYFPGVVLNFLNITHILDALVTTNNVITEQEVQEYRHICRKMMSSVQTEKILWESSLNCCPRQQGIWICH >ORUFI01G47080.2 pep chromosome:OR_W1943:1:38297323:38301956:-1 gene:ORUFI01G47080 transcript:ORUFI01G47080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDELLCTGGVQGVGAAVRGRSSLEELRRETRSRTGGGGLGSKQQWRTSQAEEMLEPGSRGTAGALRRNLLAFEFEVRQWGCDKRYDIRGEGACLKRYTDSSFNTDAVGQGLPLEDLLLLGHRQSLVVCTILQANKKIFSSYHMQNFQLRTYSFSLHLKIAYYYFPGVVLNFLNITHILDALVTTNNVITEQEVQEYRHICRKMMSSVQTEKILWESSLNCCPRQQGIWICH >ORUFI01G47080.3 pep chromosome:OR_W1943:1:38301989:38304968:-1 gene:ORUFI01G47080 transcript:ORUFI01G47080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRGIGSLAVWKCYSDNREWRRSGRGNSELRPLYASRRGVSGAALRPWVWVLPGFTTNGSTSGIVNRERPHGRPRVERDGRGIAEVGAMDFGHGTATTTPCGLAPNPSAVMAAGSSPLVPGAEKALG >ORUFI01G47090.1 pep chromosome:OR_W1943:1:38301386:38312476:1 gene:ORUFI01G47090 transcript:ORUFI01G47090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPLRRIHFAHLPFLFPLNQATTTPLFTTGDKLFYSPFRPHPSSSLPSTTLPGCASLGNTNLRRRRSLPATGAPPPHSASPLSSLGSLLAWQGISPTKFRKEEGLHNQIDDATTGTLSSLNLSANTV >ORUFI01G47100.1 pep chromosome:OR_W1943:1:38326642:38338652:1 gene:ORUFI01G47100 transcript:ORUFI01G47100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGILTKPKFRREEDICLRKKRTGSPFLQQSVFRDPGERGFSTRPNLSLEQLSVGPGDTADLLRKVLPGIRDASKTFAIPALKQVFEDSIRPLLVSKCLLIHQPLDKSMKTFNQRTNNLLKKSPRYLSALDVTTEKEILLEDEWTLFLEHIFKHRVIVVDDWTKCYGTVQTFLHSSDAESCSDSFKGECDASFCEQTGKAKLAFVIFKEGKLVWAEVFHEVPCKSVVEAEALAVIALLFKLIDLNLLRGTVWTDNKVCYNVLNGEYEIKVDDPNRSLFLFLRSLRGRFESLTPVWKPRELLLIPDRLVSMVDDPLISRNRLVLSILEKTVPILSQPQFRISWSSYLRKIFDKRDGGKQISNTTNEIKESDESEDSICWVKADDEEAEIASFLEIMKTFSAHRLLIVVDNFEDKSPHYQNVTIMECETSNTEEEETKRLVVMFGGTMALDEYIHQKNLCTVVLVKTSEIKLLRDAGIEEISAKTLLSFRNIKAKPVVPKKPREDEDLEKGGEGGGEGRW >ORUFI01G47100.2 pep chromosome:OR_W1943:1:38326642:38337073:1 gene:ORUFI01G47100 transcript:ORUFI01G47100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGILTKPKFRREEDICLRKKRTGSPFLQQSVFRDPGERGFSTRPNLSLEQLSVGPGDTADLLRKVLPGIRDASKTFAIPALKQVFEDSIRPLLVSKCLLIHQPLDKSMKTFNQRTNNLLKKSPRYLSALDVTTEKEILLEDEWTLFLEHIFKHRVIVVDDWTKCYGTVQTFLHSSDAESCSDSFKGECDASFCEQTGKAKLAFVIFKEGKLVWAEVFHEVPCKSVVEAEALAVIALLFKLIDLNLLRGTVWTDNKVCYNVLNGEYEIKVDDPNRSLFLFLRSLRGRFESLTPVWKPRELLLIPDRLVSMVDDPLISRNRLVLSILEKTVPILSQPQFRISWSSYLRKIFDKRDGGKQISNTTNEIKESDESEDSICWVKADDEEAEIASFLEIMKTFSAHRLLIVVDNFEDKSPHYQNVTIMECETSNTEEEETKRLVVMFGGTMALDEYIHQKNLCTVVLVKTSEIKLLRDAGIEEISAKTLLSFRNSKFVYLFFSFSRSFFVTCYVKLMKIISLQSKQNQLCQRNLGRMRI >ORUFI01G47110.1 pep chromosome:OR_W1943:1:38348707:38349313:1 gene:ORUFI01G47110 transcript:ORUFI01G47110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVANENLSAFASDPSAVANWVKQNVQAYPGVNFCYIAVGNEYLASTGAPLMANVYPYFAYVGNLRAQIDDINYALFTSPGTVVPDGSKAYQNQFDAIVDTFYSALESAGAGNVPIVVSESGWPSAGGTAASASNAQTYNQNLIKHVGQGTPKRAGKIEINIFGDGF >ORUFI01G47120.1 pep chromosome:OR_W1943:1:38356475:38358477:-1 gene:ORUFI01G47120 transcript:ORUFI01G47120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQGVASMLTIALIIGAFASAPTTVQSIGVCYGVLGNNLPSRSEVVQLYKSKGINGMRIYYPDKEALNALRNSGIALILDVGDQLSNLAASSSNAAAWVRDNVRPYYPAVNIKYIAVGNEVEGGATSSILPAIRNVNSALASSGLGAIKASTAVKFDVISNSYPPSAGVFRDAYMKDIARYLATTGAPLLANVYPYFAYRGNPRDISLNYATFRPGTTVRDPNNGLTYTNLFDAMMDAVYAALEKAGAGNVRVVVSESGWPSAGGFGASVDNARAYNQGLIDHVGRGTPKRPGALEAYIFAMFNENQKNGDPTERNFGLFYPNKSPVYPIRF >ORUFI01G47130.1 pep chromosome:OR_W1943:1:38359839:38361173:-1 gene:ORUFI01G47130 transcript:ORUFI01G47130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMRGFSLVFAAALLLGVFISIPVGVQCVGVCYGMIGNDLPSKSDVVQLYKSNGITDMRIYLPDVEAMNALRGTGIGLIVGVANDILIDLAANPASAASWVDANVKPFVPAVNIKYIAVGNEISGEPTQNILPAMQNINAALAAASITGVKASTAVKLDVVTNTFPPSAGVFAAPYMTAVAKLLASTGAPLLANIYPYFAYIGNKKDISLNYATFQAGTTVPDPNTGLVYTNLFDAMVDSVYAALDKAGAAGVSIVVSESGWPSAGGDSATIDIARTYVQNLIEHAKKGTPKRPGAIETYVFAMFNENQKPGEATEQNFGAFYPNKTAVYPINFQ >ORUFI01G47140.1 pep chromosome:OR_W1943:1:38362779:38368801:-1 gene:ORUFI01G47140 transcript:ORUFI01G47140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVASSALLRRSAARANLSGAGFCNGAPPVSSPLRRFPLQNWINDRFCWFLSKSCSMSTSVDVQLDYETDPPLDGVKVLEKESTLSVAVSQLASDFDRESNLCLERFSSTRRTPVISTGSLKLDIALGIGGLPKGRMVELFGKEASGKTTLALHVVKEAQKKGGCCAYIDAENAFNPSVAEAIGVNVEKLLIAQPDSAENSLSIVNTLVGGSIDVVVVDSVAALIPRCELEGEIYMNSEDVQSRLMTRALRKIQHTLSRSETLIIFVNQVRTKLSSNQTPGIFKEVACGGNALGFYAAVRMRTSRRELRYNEAQATGIGISVQIIKNKLAPATLKEAGIDIRFGKGICYESEILELASSLGVIMKDDSGYWINGEFLPNKAEAEKFLRENAAVADEICGTMRRQFFESLRLEIPSSVTIKASLQRCIFLHPDRVQVQQIKLVAAEDVYARRCSCACSGFGHCSLRLLSFSMRSIGVCYGMNGDGLPSRSNVVQLYKSNGIGAMRIYSADREALDALRGSGIDLALDVGERNDVGQLAANADSWVQDNVKAYYPDVKIKYIVVGNELTGTGDAASILPAMQNVQAALASAGLADSIKVTTAIKMDTLAASSPPSAGVFTNPSVMEPIVRFLTGNGAPLLANVYPYFAYRDSQDIDLSYALFQPSSTTVSDPNGGGLSYTNLFDAMVDAVRAAVEKVSGGGSSVVDVVVSESGWPSDGGKGATVENARAYNQNLIDHVAQGTPKKPGQMEVYVFALFNENRKEGDATEKKFGLFNPDKTPVYPITF >ORUFI01G47150.1 pep chromosome:OR_W1943:1:38371982:38377428:-1 gene:ORUFI01G47150 transcript:ORUFI01G47150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVQLAMAAGDQAADDPDSLRLLQLGYKQELKRGLSTLSNFAFSFANISVLTGVTATYNTGLRYGGPVSMTLGWLVVALFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWASLASWVTGWFNIVGQWAAIASVDFSLAQLLQVIILLSTGGANGGGYMASKYVVLVICAVILILHGVINSLPIQWLSLFGQIGAIWNAAGVFVLVILIPAVAKDRPSVEFVFTHLNTDNGMGIHSKAYILAVGLLMSQYSVLGYDTSAHMVEETKNADRSGPIGIITSVVCATVFGWIYLLALTTAVTDIPYLLSPGNDAGGYAIAQALYTAFHRRFGSGAGGIACLGAVAVAIFLCGIACVTSNSRMAYAFSRDGAMPLSRVWYRVNKHEVPLHVVWLAVAVAFFMALTSLGSQVAFQALGSIATLGMYIAYALPVFFRVTTARRSFVPGPFNLGKYGVLVGWVGVVWVATVTVLFSLPVAYPVANKETFNYTPVAVGGVLLLSVGAWAMSRSVQLAVAAGDQVDPDSLRLHQLGYKQELKRGLSALSNFAFSFANISVMMGVTTTYNTGLRYGGPVSMTLGWLVVAVFNCCVALSMAEICSAYPTSGGLYYWSAKLAGKQWASLASWVTGWFNVVGQWAAIASVDFSLAQLLQVIILLSTGGGNGGGYMASKYTVLAIYAFILILHGIINSLPIEWLSLFGHVGAIWNAAGIFVLTILIPAVAKDRPNIEFVFTHLNTENGMGIHDKAYILAVGLLMSQYSVIGYDTSAHMVEETKNADRSGPIGIITSVLFATVFGWIYLLALTSVVTDIPYLLSPSNDAGGYAIAQALYTAFHRRYGSGVGGIVCLGAVAVAVFLCGIACVTSNSRMAYAFSRDGAMPLSRVWYRVNKHEVPLNVVWLGVAVAFVMALTSLGSQVAFQAMGSIATLGMYIAYALPVFFRVTTARRSFVPGPFHLGRYGVVVGWAGVVWVATVTVLFSLPVAYPVANKETFNYTPVAVGGVLLLSVGAWVLRARFWFQGPITNVDTHC >ORUFI01G47150.2 pep chromosome:OR_W1943:1:38371980:38377428:-1 gene:ORUFI01G47150 transcript:ORUFI01G47150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVQLAMAAGDQAADDPDSLRLLQLGYKQELKRGLSTLSNFAFSFANISVLTGVTATYNTGLRYGGPVSMTLGWLVVALFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWASLASWVTGWFNIVGQWAAIASVDFSLAQLLQVIILLSTGGANGGGYMASKYVVLVICAVILILHGVINSLPIQWLSLFGQIGAIWNAAGVFVLVILIPAVAKDRPSVEFVFTHLNTDNGMGIHSKAYILAVGLLMSQYSVLGYDTSAHMVEETKNADRSGPIGIITSVVCATVFGWIYLLALTTAVTDIPYLLSPGNDAGGYAIAQALYTAFHRRFGSGAGGIACLGAVAVAIFLCGIACVTSNSRMAYAFSRDGAMPLSRVWYRVNKHEVPLHVVWLAVAVAFFMALTSLGSQVAFQALGSIATLGMYIAYALPVFFRVTTARRSFVPGPFNLGKYGVLVGWVGVVWVATVTVLFSLPVAYPVANKETFNYTPVAVGGVLLLSVGAWAMSRSVQLAVAAGDQVDPDSLRLHQLGYKQELKRGLSALSNFAFSFANISVMMGVTTTYNTGLRYGGPVSMTLGWLVVAVFNCCVALSMAEICSAYPTSGGLYYWSAKLAGKQWASLASWVTGWFNVVGQWAAIASVDFSLAQLLQVIILLSTGGGNGGGYMASKYTVLAIYAFILILHGIINSLPIEWLSLFGHVGAIWNAAGIFVLTILIPAVAKDRPNIEFVFTHLNTENGMGIHDKAYILAVGLLMSQYSVIGYDTSAHMVEETKNADRSGPIGIITSVLFATVFGWIYLLALTSVVTDIPYLLSPSNDAGGYAIAQALYTAFHRRYGSGVGGIVCLGAVAVAVFLCGIACVTSNSRMAYAFSRDGAMPLSRVWYRVNKHEVPLNVVWLGVAVAFVMALTSLGSQVAFQAMGSIATLGMYIAYALPVFFRVTTARRSFVPGPFHLGRYGVVVGWAGVVWVATVTVLFSLPVAYPVANKETFNYTPVAVGGVLLLSVGAWVLRARFWFQGPITNVDTHC >ORUFI01G47160.1 pep chromosome:OR_W1943:1:38378047:38385090:-1 gene:ORUFI01G47160 transcript:ORUFI01G47160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVGVTMDAAGADADQARLHQLGYRQELKRGLSLVSNFAFSFSIISVLTGVTTTYGTGLRYGGPVSMTLGWLVVAAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIVGQWACTTSVDFSLAQLLQVIILLATGGANGGGYMASKYVVLAIYSAILILHGLINSLPIRWLSWFGQLGAFWNVAGVFVLVALIPAVATERASVEFIFTHFNTENGMGIRDKAYILLIGLLMSQYAMAGYDTSAHMTEETKNADWSGPIGIVTSVALSTVFGWIYIVSLTSAVTDIPYLLSPDNDAGGNAVAQAFYTTFHRRYGSGLGGILCLGVVAVAVFLCGLACITSNSRMAYAFSRDGAMPFSKVWHRVNKQEVPINAVWLSVVVAFIMALTSLGSQVAFQAMVSIATIGLCISYALPIFFRVTTARGSFVPGPFHLGKYGIVVGWAAVLWVAAVTVLFSLPVAYPVAEETFNYTPVAVGGVLLLTVGAWALRARFWFQGPITNTNDGPL >ORUFI01G47160.2 pep chromosome:OR_W1943:1:38378047:38380499:-1 gene:ORUFI01G47160 transcript:ORUFI01G47160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRSVEVAVPADPAGDGDRDKIRLRQLGYKQELKRGLSVLSNFAFSFSIISVMAGVTTTYSTGLRYGGPVSMTLGWLVVSAFNGCMALSMAEICSAYPTSGGLYYWTRRQRPGSLCFLDHWLWAATTSVDFALAQLVQVIVLLSTGGANGGGYMASNYVVLAIYGAMLVIHGAINSLPIQCLSWFGQLGAFWNAAGVFVLVALIPAVATERASVEFIFTHFNTENGMGIRDKAYILLIGLLMSQYAMAGYDTSAHMTEETKNADWSGPIGIVTSVALSTVFGWIYIVSLTSAVTDIPYLLSPDNDAGGNAVAQAFYTTFHRRYGSGLGGILCLGVVAVAVFLCGLACITSNSRMAYAFSRDGAMPFSKVWHRVNKQEVPINAVWLSVVVAFIMALTSLGSQVAFQAMVSIATIGLCISYALPIFFRVTTARGSFVPGPFHLGKYGIVVGWAAVLWVAAVTVLFSLPVAYPVAEETFNYTPVAVGGVLLLTVGAWALRARFWFQGPITNTNDGPL >ORUFI01G47170.1 pep chromosome:OR_W1943:1:38386066:38389321:-1 gene:ORUFI01G47170 transcript:ORUFI01G47170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFGPLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLECEHVLGNRTLEVKIATPKEEMKSQGSKKATRIFVARIPQSVDESMFRRHFEAYGEITDLYMPKEHGSKGHRGIGFITFQSAESVDSIMQDSHELDGTTVVVDRATPKDEEVRYPPSRGASQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGGYYGSSQGMGNKKIFVGRLPQEANTEDLRHYFGKFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVADRVARRSHEILGHEVAIDTAAPLEGDSGGGYMEPMDLYGAYGSMRTYGRFCSGIDYDYGYGHSGSSSSRSRADWRYRPY >ORUFI01G47170.2 pep chromosome:OR_W1943:1:38386066:38389321:-1 gene:ORUFI01G47170 transcript:ORUFI01G47170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFGPLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLECEHVLGNRTLEVKIATPKEEMKSQGSKKATRIFVARIPQSVDESMFRRHFEAYGEITDLYMPKEHGSKGHRGIGFITFQSAESVDSIMQDSHELDGTTVVVDRATPKDEEVRYPPSRGASQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGSKSSATKITGGGYYGSSQGMGNKKIFVGRLPQEANTEDLRHYFGKFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVADRVARRSHEILGHEVAIDTAAPLEGDSGGGYMEPMDLYGAYGSMRTYGRFCSGIDYDYGYGHSGSSSSRSRADWRYRPY >ORUFI01G47170.3 pep chromosome:OR_W1943:1:38386272:38389321:-1 gene:ORUFI01G47170 transcript:ORUFI01G47170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFGPLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLECEHVLGNRTLEVKIATPKEEMKSQGSKKATRIFVARIPQSVDESMFQSVDSIMQDSHELDGTTVVVDRATPKDEEVRYPPSRGASQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGSKSSATKITDTAAPLEGDSGGGYMEPMDLYGAYGSMRTYGRFCSGIDYDVSAQIHYYAYDAQ >ORUFI01G47180.1 pep chromosome:OR_W1943:1:38394082:38395383:1 gene:ORUFI01G47180 transcript:ORUFI01G47180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLRDPTDRAAMSTPLLPRSAFVSSASFSSFSSVASFSSTSSSFSDPAVPSDVVEALPMSRDSFKALAVLRDHQGSVSSLSLCGEFLLSASTGADIVAWQQPDLRRFARFGHGEGSVKALAAAGGRVFSAHQDGRVRVWRVSRRSENAFKLVAALPTTRDYLGKIFRHASYVQTRRNHRRLWIEHADSISCLAVHDGVVYSGSWDKTLKVWRISDLKCLESIHAHDDAINAVAADSGTIYSASADGHVKAWGRGKAAHFLHGILISRDGVSWNALVASADAGARRVYAAGSDGHVVGWDRVGSRGSRWSLSCDVKAHDMAVLCLCVAGDLVFTGSADKTIGLWRWQTSGDGDGGAAAALARVGVIRGHEGPVKCIQASWCRVSNGCMVYSGGLDKSIRVWWVPNGWKANEQKQQQQQENDTKDHKNCVFLR >ORUFI01G47190.1 pep chromosome:OR_W1943:1:38397184:38397798:1 gene:ORUFI01G47190 transcript:ORUFI01G47190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEMNLIREDEYGGGGVGFEPTEDELMLHFLRPQLRGFAPRVAGAVVEADPCGAAPWELLARHGRREEGFFFSARARRKPSVRRTVAGCGGGGGGGGAWMHSSTKNGQSVTDLGVVVRWCRINYCFYVRGEMGQQRSTGWMMAEYEITDPRCYRRADDGEEDDFWVLCHVRKSSRPQAAKISPAKPARRRKPAAAAAADVRAA >ORUFI01G47200.1 pep chromosome:OR_W1943:1:38399057:38400802:1 gene:ORUFI01G47200 transcript:ORUFI01G47200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLVEALEEEGYAEGENLFGAPYDFRYAPAAPGLPSGVFSDFTSRLRRLVERASERNGGKPVILVTHSLGGLFAMVFLDRTPLPWRRRYIKHFVMLCLGVGGSPLNMWPLAASSIPSSSSLVGSVLTYGNRSFASMFSLLPSPAVYGDTPLVITRAKNYSADDMPEFLSAAGFSDDEVALYRARALPVTLDLRAPLVPLTSINGVGVPTVDKLVFWDGNISAKPQVVNGDGDGQINLDTVLALESRVYHQ >ORUFI01G47210.1 pep chromosome:OR_W1943:1:38402821:38414414:-1 gene:ORUFI01G47210 transcript:ORUFI01G47210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVYDALVSAGLSNSIKVSTAVRMDAITDSFPPSHGVFRPDLQQFMSPLLANVYPYFAYRDNPRDIPLNYATFQPGTTPGTTVRDNDSGLTYTNLFNAMVDAVYAALEKAGAPGVRVVVSESGWPSAGGFAANVENARNHNQGVIDNVKNGTPKRPGQLETYVFAMFNENQKPGDETERHFGLFYPDKTPVYPITYDHELASASIEAPFIIPSPDTDQADQSSSVHGVCYGMNGDNLPSQSEVVQLYNTAAQARRRGWPARLRDPDDGGGDQSSIRTHVRSRGVHGVCYGMNGDNLPSQSEVVQLYKSNGIGAMRIYSPDQQALDALRGSGVAVIIDVGGSGAVANLANNPSAAADWVRDNVQAYWPNVIIRYIAVGNELGPGDMGTILPAMQNVYDALVSAGLSNSIKVSTAVRMDVITASSPPSHGVFRPDLQQFMVPIAQFLANTMSPLLANVYPYFAYRDNPRDIPLNYATFQPGTTVRDNDSGLTYTNLFNAMVDAVYAALEKAGAPGVRVVVSESGWPSAGGFAANVENARNHNQGVIDNVKNGTPKRPGQLETYVFAMFNENQKPGDETERHFGLFYPDKTPVYPITFPPN >ORUFI01G47210.2 pep chromosome:OR_W1943:1:38402821:38414414:-1 gene:ORUFI01G47210 transcript:ORUFI01G47210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVYDALVSAGLSNSIKVSTAVRMDAITDSFPPSHGVFRPDLQQFMSPLLANVYPYFAYRDNPRDIPLNYATFQPGTTPGTTVRDNDSGLTYTNLFNAMVDAVYAALEKAGAPGVRVVVSESGWPSAGGFAANVENARNHNQGVIDNVKNGTPKRPGQLETYVFAMFNENQKPGDETERHFGLFYPDKTPVYPITYDHELASASIEAPFIIPSPDTDQADQSSSVHGVCYGMNGDNLPSQSEVVQLYKSNGIGAMRIYSPDQQALDALRGSGVAVIIDVGGSGAVANLANNPSAAADWVRDNVQAYWPNVIIRYIAVGNELGPGDMGTILPAMQNVYDALVSAGLSNSIKVSTAVRMDVITASSPPSHGVFRPDLQQFMVPIAQFLANTMSPLLANVYPYFAYRDNPRDIPLNYATFQPGTTVRDNDSGLTYTNLFNAMVDAVYAALEKAGAPGVRVVVSESGWPSAGGFAANVENARNHNQGVIDNVKNGTPKRPGQLETYVFAMFNENQKPGDETERHFGLFYPDKTPVYPITFPPN >ORUFI01G47220.1 pep chromosome:OR_W1943:1:38412188:38422963:1 gene:ORUFI01G47220 transcript:ORUFI01G47220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHGVCYGVVGNNLPSRSEVVQLYKSKGISAMRIYYPDQEALAALRGSGIAVIVDVGDKGAVANLANNPSAAADWVRNNVQAYWPSVFIRYIAVGNELGPGDMGTILPAMQNLYNALVSAGLSNSIKVSTAVKMDVITNSFPPSHGVFRPDLQRFIVPIAQFLANTMSPLLVNVYPYFAYRDNPRDIPLNYATFQPGTTLFEQMGAVNGVCYGVLGDNLPPRSEVVQLLKSQGIGAMRIYYPDKEALDALRGSGIAIIVDVGDSGAVANLASNPSAAGDWVRDNVEAYWPSVIIRYITVGNELPAGDMGLILPAMQNVHKALVSAGLSSSIKVSTAIKMDVVANTFPPSHGVFRPDVQQFMAPIARFLANTVSPLLVNVYPYVSYRENPRDISLNYATFQPGTTVRDSDSGLTYTNLFNAMVDAVYAALEKAGTPNVRIAVSETGWPSAGGFAATAENAMNHNQGVIDNVKNGTPKRPGPLETYVFAMFNENQQTGDETRRHFGLFNPDKTPAYPITPYPRPAVQSIGVCYGMVGNDLPSRSEVVQMYVSLGINRMRIYNPDREALDALRNSGIDLILDAGGFDTVSYLAASSSNAASWVHDNISPYYPAVNIKYIAVGNEVVGGTTESILPAMRNVNSALAAAGIGGIKVSTAVKSDVIANSYPPSAGVFAYPYMNGIAQYLASTGAPLLANVYPYFAYAGNPREISLNYATFQPGTTVRDDGNGLTYTNLFDAMVDCIYAALEKADAGNVRVVVSESGWPSAEGIGASMDNARAYNQGLIDHVGRGTPKRPGQMEAYIFAMFNENQKTGAATERHFGLFYPNKSPVYQIAFSN >ORUFI01G47220.2 pep chromosome:OR_W1943:1:38412188:38422963:1 gene:ORUFI01G47220 transcript:ORUFI01G47220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHGVCYGVVGNNLPSRSEVVQLYKSKGISAMRIYYPDQEALAALRGSGIAVIVDVGDKGAVANLANNPSAAADWVRNNVQAYWPSVFIRYIAVGNELGPGDMGTILPAMQNLYNALVSAGLSNSIKVSTAVKMDVITNSFPPSHGVFRPDLQRFIVPIAQFLANTMSPLLVNVYPYFAYRDNPRDIPLNYATFQPGTTLFEQMGAYPRPAVQSIGVCYGMVGNDLPSRSEVVQMYVSLGINRMRIYNPDREALDALRNSGIDLILDAGGFDTVSYLAASSSNAASWVHDNISPYYPAVNIKYIAVGNEVVGGTTESILPAMRNVNSALAAAGIGGIKVSTAVKSDVIANSYPPSAGVFAYPYMNGIAQYLASTGAPLLANVYPYFAYAGNPREISLNYATFQPGTTVRDDGNGLTYTNLFDAMVDCIYAALEKADAGNVRVVVSESGWPSAEGIGASMDNARAYNQGLIDHVGRGTPKRPGQMEAYIFAMFNENQKTGAATERHFGLFYPNKSPVYQIAFSN >ORUFI01G47220.3 pep chromosome:OR_W1943:1:38412172:38422963:1 gene:ORUFI01G47220 transcript:ORUFI01G47220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLNLNTVNGVCYGVLGDNLPPRSEVVQLLKSQGIGAMRIYYPDKEALDALRGSGIAIIVDVGDSGAVANLASNPSAAGDWVRDNVEAYWPSVIIRYITVGNELPAGDMGLILPAMQNVHKALVSAGLSSSIKVSTAIKMDVVANTFPPSHGVFRPDVQQFMAPIARFLANTVSPLLVNVYPYVSYRENPRDISLNYATFQPGTTVRDSDSGLTYTNLFNAMVDAVYAALEKAGTPNVRIAVSETGWPSAGGFAATAENAMNHNQGVIDNVKNGTPKRPGPLETYVFAMFNENQQTGDETRRHFGLFNPDKTPAYPITPYPRPAVQSIGVCYGMVGNDLPSRSEVVQMYVSLGINRMRIYNPDREALDALRNSGIDLILDAGGFDTVSYLAASSSNAASWVHDNISPYYPAVNIKYIAVGNEVVGGTTESILPAMRNVNSALAAAGIGGIKVSTAVKSDVIANSYPPSAGVFAYPYMNGIAQYLASTGAPLLANVYPYFAYAGNPREISLNYATFQPGTTVRDDGNGLTYTNLFDAMVDCIYAALEKADAGNVRVVVSESGWPSAEGIGASMDNARAYNQGLIDHVGRGTPKRPGQMEAYIFAMFNENQKTGAATERHFGLFYPNKSPVYQIAFSN >ORUFI01G47230.1 pep chromosome:OR_W1943:1:38429894:38430504:-1 gene:ORUFI01G47230 transcript:ORUFI01G47230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATDGEDNDDVADIDDDDALGVSPGDLAFTIFVPSPESFHRVLRLRRPKDSVVDRKADDATYAVISHVLGFSIVPLPPARYGCSSSAADNGRWRLSWSLGVRVWAEDLRLEKADGLSPLSCRRGLPHFPLILPAQPAASPLSPAGAASPASALPSRRLSHVWEEKKDDGADRWVPHFSSISLTCGTHILSYFYFAD >ORUFI01G47240.1 pep chromosome:OR_W1943:1:38435659:38448394:1 gene:ORUFI01G47240 transcript:ORUFI01G47240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNNLPSRSEVVHMYISKGIKRMRIYYPDKEALNALRNSGIALILDVGDQLSNLAASSSNAAAWVRDNISPYYPAVNIKYIAVGNEVVGGTTESILPAMRNVNSALAAAGIGGIKVSTAVKSDVIANYYPPSAGVFAYTYMNGIAQYLASTGAPLLANVYPYFAYKDKPCINLNYATFRVSPTERDQNNGLTYTNLFDAMMHAIYAALEKAGAGNVNVVVSESGWPSAGGVFPCFFFEMKQSSAPSLNIW >ORUFI01G47250.1 pep chromosome:OR_W1943:1:38453129:38456099:-1 gene:ORUFI01G47250 transcript:ORUFI01G47250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPDENPIAVERKIFSAVDRILVNIKVLIGDIGSNLKKLPKQSDHSCNTGDDEFDDAVALRHIEVNIHAEQLGAGVNRFQDSIGTKHHAEEESMPSLAEQEDEPARPMSGLHVRRLLDTLDGQLMQCLLCLAIFPPGEAIKKRLLIHWWLGEGIVQSADAGKERFNELFDRGLVQPALRRGHCRRTHYFRVHPVVHNQLVESLGFFRFLGHGKGNVDDPQRLFLQKGQSSDQNTRGTNNAFLSVFNLDMEYVKIHIAKSRITRVVQLGRWKRSRKHHIELVGDNDLLKKVLACKNLRYLSLRGISLIESIPEAIGTLAELLVLDLRACHNLEKLPGSIGSLLKLEYLDLSECFLLEEMPKEIGELSKLQVLKGFLVGSSRKKSSPCRLADLATKAQNLRKLNITTGRQSLVCDEDELCQLAKCQHLESLTITWIGEASTEPYLPLPSSLTKLDLRRAPTASLLNIIHPSTSVSLKRLYIRGGKLRTLGQDGGWNVETLRARCLNDLECEWSELHGLFRELRFVEMWRCARLSFWPCDGRGVWDKGSPSLARRSIGVCYGVKGNNLPPWHEVVQLYASNNIPAMRIFYPHHDVLEALRGTGIGISLDVEGQFLPSFASEPSVAAAWVKTNVQAFYPAVSFKFITVGNQVALREMRYILPAMQNIYAALSAVGLDHIKVSTSVRRDVLGLSYPPSAGAFSSAMEQYMAPIVQFLAKIGAPLLASVFPYFTYVHNQEGIDIDYALFTSPGTVVQDGEHSYQNLFDAIVDALYSAMEKVGGSTVRIVVSDSGWPSAGAPAATKDNARAYVQNLINHVSKGTPKRPVPIETYIFAMFNENEKTGDEIERNFGLFEPDKSPVYPITFS >ORUFI01G47260.1 pep chromosome:OR_W1943:1:38455156:38455811:1 gene:ORUFI01G47260 transcript:ORUFI01G47260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGMDSMREMPLRLRYLRSLSPTSSMWCFLDLFHRPSCTTLEQPLRVIDVAFAMTQKPEETEALHKLVVHHGVHSEVRGLNEAAIEQLVEALLPCVCRLDYPLPEPPVDEKPLLDGLAWREDGKAEEALHQLPVEGVKQAPDVQSRHGARGLVFLLRQ >ORUFI01G47270.1 pep chromosome:OR_W1943:1:38456770:38459691:1 gene:ORUFI01G47270 transcript:ORUFI01G47270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILFSAISIGAACRDAPTAQDDGRRARESQPAAAVVVVRDLLLGAPRPDATVRQLSPTKLPPPLFATEAAAACARGGLQLGSSIAIVQLRTGKKAVSVQRQAAICKGSSHGSDVRKVK >ORUFI01G47280.1 pep chromosome:OR_W1943:1:38463459:38464637:-1 gene:ORUFI01G47280 transcript:ORUFI01G47280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIFVFQSAARSTIPAFLLPGHCLILSETLISELERPESLMAVEVVQFLVRKFVDSLAEEEEAAAELPFRAHFYDVKAELEKAAISSTNDDELRQCLYELNDLLAECRLLANRTNMRPGCFSPSEAWRSNSLKKRVIAVKRRVLRSVESDSSGVGGNAAALEEEDSAATGFSRWTSSWIEEGTVQGFDQQLAELESVAECGAGGLTGVGIVGMGGVGKTGLAQLVFNSLRAMRRGAAAAGVRPRGQEWRRPRLSTVLISAGERREQRREMKRGGRGGDCNDRWGPHGPRYFFYYCVCGTDIWGRTSFIIFPDQMELTCGTDMWN >ORUFI01G47290.1 pep chromosome:OR_W1943:1:38465345:38473462:1 gene:ORUFI01G47290 transcript:ORUFI01G47290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEARQLKVHLRENEAVAQCVLEKWRSMEEKPGGLKENLAHTLYKSYRNVCAAKEPIRSLKDLYQIKGVGKWVIRQLKGSFPESSPDLSPPESNAAGEKGKKAGGSKRYVPQKNSAAYAILITLHRETINGKSHMKKQELIDATEASGLSRSAIGPDKSKAKPGAFASSQKDWYTGWSCMKTLTSKGLVAKSGNPAKYMITEEGKSTALECLSRSGLDDHAAPLVINSAPDTSNASHKLNNICMTSFVETSSGPSRAIGRPKTSIANPATKTSPEVTYLTSQESLNYNSDVRTAENCAEEIILSDSDSEELYTENYPLIGSEEFTERVAPPILNASNSGKTTTNYRFSDCSASISPRSSEGTFEMQSSSTMGIAEFNMLDNDTVCMDNSILAMPPRRSSKNFLEDYEVVLILDDRENFGGRSRKTVDNIHSQFRVPVEIKHLPVGDGIWIARDRKLHTEYVLDFIVERKNVADLCSSITDNRYKDQKLRLKKCGLRKLIYLVEGDPNPLDTSERIKTACFTTEILEGFDVQRTPGYAETKCDDLKKITVSDVFALQLMQVPQVTEEAALAVIGLYPTLFSLAKAYSMLDGDTHAQEKMLKNKSTLINAGASRNIFKLVWAEG >ORUFI01G47290.2 pep chromosome:OR_W1943:1:38465345:38473462:1 gene:ORUFI01G47290 transcript:ORUFI01G47290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEARQLKVHLRENEAVAQCVLEKWRSMEEKPGGLKENLAHTLYKSYRNVCAAKEPIRSLKDLYQIKGVGKWVIRQLKGSFPESSPDLSPPESNAAGEKGKKAGGSKRYVPQKNSAAYAILITLHRETINGKSHMKKQELIDATEASGLSRSAIGPDKSKAKPGAFASSQKDWYTGWSCMKTLTSKGLVAKSGNPAKYMITEEGKSTALECLSRSGLDDHAAPLVINSAPDTSNASHKLNNICMTSFVETSSGPSRAIGRPKTSIANPATKTSPEVTYLTSQESLNYNSDVRTAENCAEEIILSDSDSEELYTENYPLIGSEEFTERVAPPILNASNSGKTTTNYRFSDCSASISPRSSEGTFEMQSSSTMGIAEFNMLDNDTVCMDNSILAMPPRRSSKNFLEDYEVVLILDDRENFGGRSRKTVDNIHSQFRVPVEIKHLPVGDGIWIARDRKLHTEYVLDFIVERKNVADLCSSITDNRYKDQKLRLKKCGLRKLIYLVEGDPNPLDTSERIKTACFTTEILEGFDVQRTPGYAETVRTYGNLTHSITEYYSTHFSTGANTSQVCLTYDEFTKKCDDLKKITVSDVFALQLMQVPQVTEEAALAVIGLYPTLFSLAKAYSMLDGDTHAQEKMLKNKSTLINAGASRNIFKLVWAEG >ORUFI01G47300.1 pep chromosome:OR_W1943:1:38486173:38495702:1 gene:ORUFI01G47300 transcript:ORUFI01G47300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARAGAALARLMVQDEGSSSSVTSSPLHNFSNMPLHPAAAASPTPPWMVRELRSDERGLCLIHLLLNCAAAAAAGRLDAANAALEHIASLAAPDGDAMQRVAAAFAEALARRALRAWPGLCRALLLPRASPTPAEVAAARRHFLDLCPFLRLAGAAANQSILEAMESEKIVHVIDLGGADATQWLELLHLLAARPEGPPHLRLTSVHEHKELLTQTAMALTKEAERLDVPFQFNPVVSRLDALDVESLRVKTGEALAICSSLQLHCLLASDDDAAAVAGGDKERRSPESGLSPSTSRADAFLGALWGLSPKVMVVAEQEAGRRRATSSSAGKIAPSSPSPHGAAAASTDRRRRRCRRSPPPSRISAKETPPRLDYLLLLLLMNIHVPSSVCVIWLRSRWWMAGAGIDRVTA >ORUFI01G47300.2 pep chromosome:OR_W1943:1:38490225:38495702:1 gene:ORUFI01G47300 transcript:ORUFI01G47300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAQGTGDFSVVVLGSDFAVDAGAALLNPADREEWHDCLPDLSAPADGEDFSDLEELQVVRVQGTDRAGRRIVRVVGRFFPAPVIGGDRLKKYVLHKLRTELPEGPFCLLYMHSTVQSDDNNPGMSILRGVYEDLPPEYKERLQILYFLHPGLRSRLAIATLGRLFLSGGLYWKIKYVSRLEYLWGDIRKGEVEIPDFVIDHDKILEHRPLTDYGIEPDPLHLADMPAVGYSLGRYEDKWSPEDRWYSRNYM >ORUFI01G47300.3 pep chromosome:OR_W1943:1:38486173:38495702:1 gene:ORUFI01G47300 transcript:ORUFI01G47300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNARAGAAPVIGGDRLKKYVLHKLRTELPEGPFCLLYMHSTVQSDDNNPGMSILRGVYEDLPPEYKERLQILYFLHPGLRSRLAIATLGRLFLSGGLYWKIKYVSRLEYLWGDIRKGEVEIPDFVIDHDKILEHRPLTDYGIEPDPLHLADMPAVGYSLGRYEDKWSPEDRWYSRNYM >ORUFI01G47310.1 pep chromosome:OR_W1943:1:38493043:38496252:-1 gene:ORUFI01G47310 transcript:ORUFI01G47310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGAADLGLLGPRPSDEQNPPKKKIQRRRATAAQFPAPPLRAPTSGDPGHRRVAPTAIQLSIARGVAASGVLPATAIRTAPHRRPERAEAFSSIGAHILETNAQVVDDSDVIVISVKPQIVRQVLVELKPLLSEEKLLVSIAAGIKMEDLQGWSGHRRFIRVMPNTPSAVGQAASVMCLGEMATENDENRVRSLFSAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVAAGLPRDLALGLASQTVLGAATMVNKTGKHPGQLKDMVTSPAGTTITGIQELEKGAFRGTLINAVVAATKRCRELSQS >ORUFI01G47310.2 pep chromosome:OR_W1943:1:38493043:38496252:-1 gene:ORUFI01G47310 transcript:ORUFI01G47310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGAADLGLLGPRPSDEQNPPKKKIQRRRATAAQFPAPPLRAPTSGDPGHRRVAPTAIQLASKPTFHIHAILLRLLLLLLLGSLAMAAPPQPVPAPAAASPEVFRLGFIGPGNLAESIARGVAASGVLPATAIRTAPHRRPERAEAFSSIGAHILETNAQVVDDSDVIVISVKPQIVRQVLVELKPLLSEEKLLVSIAAGIKMEDLQGWSGHRRFIRVMPNTPSAVGQAASVMCLGEMATENDENRVRSLFSAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVAAGLPRDLALGLASQTVLGAATMVNKTGKHPGQLKDMVTSPAGTTITGIQELEKGAFRGTLINAVVAATKRCRELSQS >ORUFI01G47320.1 pep chromosome:OR_W1943:1:38496661:38499953:-1 gene:ORUFI01G47320 transcript:ORUFI01G47320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTAAGVDVEDLLVRVKNGADAELAEVAREVAALAEQGRLGEDDDEDGVLVPALLARLAAAGGAEARVRVMAALRRLARCVGCESKERLASIEALSSIVRSLSRDVDETREAIALLLDLSDIPQVRQRIGRIKGSIVMLVTLRNAHEPGTHDDAEKLLHMLSSNPQNVLLMAEAGYFRPLIHYLKEGSDMNKILMATAISKMFLSEPMKSSLGEDGAVEPLVEMFKSGNLEAKHSALGALLNLSSSLQNAEILINSGITGPLLQLLFSVTSVLMTLREPASAILAAIAQSERILLHKDVAPQMLSLLNLSSPVIQLHLLRALNSISGHTNAKRARAKIRQNGGVQLLLPFLTEKNIDIKIAALNFISNLSKDASQELAEQIRDTHLNIFVKIISSPTSGNEKAAAIGILSNLPVTDKKITELLTEANLLPLLISLLEINITAPLTPLRTSLLEGIAGVLIRFTVPWDKKLQSLAVGHGVVPCLVKLLSEGSIKAKSKAATSLAQLSQNSLALRKTKLPRWLCVAPSAETYCLVHNSQCTVKSTFCLVKAGAVSPLIQILEDDNREADGAVLEALATLMQDEIWENGSKVIEKASGVHALLRIAEAGNSTSQEKAIWMLERIFRLEAHRERYGEIAQALLIDLAQKGDPILKPMIGKILAHLELLQTQSSYF >ORUFI01G47330.1 pep chromosome:OR_W1943:1:38502736:38503231:-1 gene:ORUFI01G47330 transcript:ORUFI01G47330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSNNQPPPGYPTAAGAAEQGGKKSRRGSTKSRGDKGFIEGCIAALCCCWICEMCCD >ORUFI01G47340.1 pep chromosome:OR_W1943:1:38515148:38517892:-1 gene:ORUFI01G47340 transcript:ORUFI01G47340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLKSLSMDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGAAADQAAAPPGALLLDHLSPRSPSGGASASSPRGAGGSAAAAAAATPGAVIPVSSVSYEVFLLLLQFLYSGQVSLVPQKGEPRPGCGERGCWHTHCAAAVDLALDTLAAARSFGVEELALLTQKQLAGMVEKASIEDVMKVLMASRKQDLHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIDELRLKSMSRRSPFLSHHHHHPHAAAAGIEASSAAELDDHHKIRRMRRALDSSDVELVKLMVMGEGLNLDDALALHYAVENCSREVVKALLELGAADVNHPAGPAGKTPLHVAAEMVCPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLAHIEPNKLRLCLELVQSAAMVMSREDAQTAAVNAAPIYGESPGGGGGGGVYNASGTSSSMVNLSLDNRMVYLNLGMDAQFGKMNDGGDGDNGGSRGPSSLFSPHGFP >ORUFI01G47350.1 pep chromosome:OR_W1943:1:38549468:38556079:-1 gene:ORUFI01G47350 transcript:ORUFI01G47350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLARLLNNRILLPASSSPAAAFSKRTYARRTKPAPPTADAAAPAAVEGEGEEERGPGWQREKLPAEIPRPSTIAFQPRVANAVRLVGTVGAPVQLQRLPDGRFSAVSVLVQDRHADYPKFWIPIIFQDDLAQVAASHLQEKDHIYVSGQLTGDIPPTKLMDGQANIQVLAQMLSFVGGKAVQADSMVDEEEGFMQIVEAEKKVETKKFIPKYPPRTVSGYRNKDKLNKLWNDVVANPQDWTDNRPQKKNGSINAKYPDFKNNVSKEALWLDTAPKAVLEKLDDLVFSSDFSAAKKYRPFGGDKGNGTNWAKKSQDSSSISKQKQGELWQDLVDNPGKWWDNRSDKPSIKYPDFKHKENGTPLYNDIGGTTVLSRKRDWPER >ORUFI01G47350.2 pep chromosome:OR_W1943:1:38551429:38556079:-1 gene:ORUFI01G47350 transcript:ORUFI01G47350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLARLLNNRILLPASSSPAAAFSKRTYARRTKPAPPTADAAAPAAVEGEGEEERGPGWQREKLPAEIPRPSTIAFQPRVANAVRLVGTVGAPVQLQRLPDGRFSAVSVLVQDRHADYPKFWIPIIFQDDLAQVAASHLQEKDHIYVSGQLTGDIPPTKLMDGQANIQVLAQMLSFVGGKAVQADSMVDEEEGFMQIVEAEKKVETKKFIPKYPPRTVSGYRNKDKLNKLWNDVVANPQDWTDNRPQKKNGSINAKYPDFKNNVSKEALWLDTAPKAVLEKLDDLVFSSDFSAAKKYRPFGGDKGNGTNWAKKSQDSSSISKQKQGELWQDLVDNPGKWWDNRSDKPSIKYPDFKHKENGTPLWIGSQTPKWAIDALPPAKPSKAPFKQETFLS >ORUFI01G47360.1 pep chromosome:OR_W1943:1:38566286:38567602:1 gene:ORUFI01G47360 transcript:ORUFI01G47360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSIQSFARKLSLPSPKRTWSSGGGSSKRDGGMSKNGSGVKRAISRSEASSFASASSESESSSDDALMARSTPSPTTRSWTPSRPSPPRPRRAAGRTS >ORUFI01G47370.1 pep chromosome:OR_W1943:1:38570385:38574945:-1 gene:ORUFI01G47370 transcript:ORUFI01G47370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIKMPALFRRRSGSKSPPLPQADPASGGGSPAPTPEEEMERVFRKFDANGDGRISRSELGALFESLGHAATDDELARMMAEADADGDGFISLDEFAALNATASGDAAAVEEDLRHAFRVFDADGNGTISAAELARVLHGLGEKATVQQCRRMIEGVDQNGDGLISFEEFKLSHLPEPEYTSAVLGMARSYVIFCQKHAWMTGGMRWCSGWQEESCFAIGAGTDVANLFISIKRTQLLLATEEWLLAGLACSWGESMSSGGVGGGSLGAGLPYHKFVSFALEETRLRTTLTPHPSQEKFKSIKPNDDNTVFNALSFSAPKIRLLRSLTIEKKNSYQVLDFAAFSEPEYDLPIFCANVFTTHAQSIVVLDLNPLYDTTVHKDYKDKYYRSIMPLVHKYNEDPGYPLLKKLIGESRAEDLVMEFLFEGVNTLGTKSFLDYFPEYARDDGSVNKKRSMIGKSFETRPWDANGEFIGDAEAQ >ORUFI01G47380.1 pep chromosome:OR_W1943:1:38587967:38599524:1 gene:ORUFI01G47380 transcript:ORUFI01G47380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVLQFIFIVKAKNTLGSKQPNMADPVKLIGAFGSPFVHRAEVALRLKGVAYEFIHEDLDNKSDLLLAKNPIHKKVPVLLHGDRAICESLVIVEYADEEGLLKETKENLALLEAQLHGKRFFAGDSVGYLDIVASGLAHWISVVEEVTGVSLMGGADEDDEYPALRRWAKEYTTDETVMQCLPSREHLAAFFAAKKDKLKMVAKAMLHQNNSQKHSEANMADPVKLIGAFGSPFVHRVEAALQLKGVAYELIHEDLENKSNLLLASNPVHKKVPVLLDGGRAICESLVIVEYVDDAFDGPPILPADPYDRATARFWAQFIDHKANLFMLLHHCVHCTLPLLLALWLDGEEQKGFLKETKENLSLLEAQLEGKRFFAGDAVGYLDVAAGGMAHWIGVLEEVTGVSVIGSEDDDEYPSLQRWIKEYANIDAVKLSLPDREELVAFYTRNKYKYKMMFRAMLISAFGSPFGHRAEAALRLKGVQYELLLEDLRSKSDLLLAHNPVHKLVPVLLHSDGRSVAESLVVVQYVDDAFHGPPLLPADPYARAQARFWAQFIDDKFSRPFWLSFWMEDGEKKEAFVREAKENLRPLEAQLDGGNKRFFGGDAIGLVDIAASGLAHWVGVFEEVTGVSLVSEREFPALCRWSQRYVNDGAVRQCLPSRDELVALFTANKEAYTLLAKANKPSSTS >ORUFI01G47380.2 pep chromosome:OR_W1943:1:38585195:38588024:1 gene:ORUFI01G47380 transcript:ORUFI01G47380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSEPVKLIGAFGSPFVHRVEVALRLKGVPYELILEDMGNKSELLLAHNPVHKMVPVLLHGDRSAICESLVIVEYVDEAFDGPPLLPADPLRRAMARFWVHFLDENCLKPLRPALFGEGEEQKKSMEETREGLTVAEAELRGKRFSGGSSIGLADIAGGGVLAHWVGVLQEVAGVSVLSDGDGEYPALRRWAKDYVANESMGERVKLIGAFASAYGHRAEVALRLKGVRYELILEDLRNKSDLLLNHNPVHKLVPVLLHGDRSLSESLVILEYIDESFHGPPILPTDPYDRAVARFWAQFIDQKFGRFNFWIPFVQMEGNMQDCFVREAKENLALLEGQLKGRRFFGGDAIGFLDIAACLIAHWLGAFEEVCGVTLATDEEFPALCEWRRRYVNDEAVKPCLPNRDELVAYYRERKEMIKAAGRQHK >ORUFI01G47390.1 pep chromosome:OR_W1943:1:38600444:38600833:1 gene:ORUFI01G47390 transcript:ORUFI01G47390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELAGGHAVEVGGAGAEEEEEEEVVALSSSLSSAPGKKLGAAVEEARGLPRLVRYEVLVLVLQFLYSGQVSVAAPKSRPLPGCRARGCWHTRCGAAVDLLAAARSFGVEQRLGGCRGWCGWKAEHCFC >ORUFI01G47400.1 pep chromosome:OR_W1943:1:38607071:38609248:1 gene:ORUFI01G47400 transcript:ORUFI01G47400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKQARKKPKDSQLGSNDGLPADHARGGAIVRTALPNYMRATSSSDARGGGREAAAATGAPPRRERGAARGKAMVLADGGAPFVTRATCSSAMRGLGRGLGLRGGAAHACPYSYCSFKCHAADADVVPLRTLVASRRRLIKTQQSMKLKGASPFRKPSNGGGAADGFFVEIYSGAAAAAAPTVSSGASCSDLSTEDNDAAVREGEYAVFDHRSRGDDEDKARDSDGSVDGSCGSSDVISGGSVDLFVTKSRGGKQEIDGGKGIYLDQEAEDFDACKSDISEELDAKYEDGGVDASNGSSIDDISSAFGGMNFKDACPDPTGAASSQRKRWNIAKRTTSEQGEKMRLINPRAPNFLPVEPDPEAEKVDLRHQMMGDRKNAEEWMVDYALRRAVNKLARAQKRKVEMLVQAFETVQQDDDKKSITLTKSSQPCR >ORUFI01G47410.1 pep chromosome:OR_W1943:1:38610614:38615150:-1 gene:ORUFI01G47410 transcript:ORUFI01G47410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEGAAATALRAVLGRAAKAAERSGRAAEAVRVVAVGKTKPVSMVRELYDAGHRCFGENYVQEFVTKAPQLPEDIRWHFIGHLQSNKVKSLLAAVPNLHMVEGVDNVKIANHLDRAVSSLGRDPLKVLVQVNTSGEESKSGIDPSRCVELAKHVKLACPHLIFSGLMTIGMKDYSSTPENFKALVNCKLEVCKAIDMPAEQFELSMGMSGDFEQAVASSMAGSEDLSGLTASLGELHVESSALKDSEISHPGNAFDDDAIADDDVWDDVSDSPGHGSTLDREWAHRQNQFHKMGYRDGIAEGQKDIAQEGFNVGFGQSVHVGYKWGLVRGITSALASLPDNLKEKMFPNVQCRGQLQDLNNSVQEISAEDALQMFHESILRSSHSSEEPDATLKRATDSSRLQSLSKDLVILLHECPDVKVSEELTGDS >ORUFI01G47420.1 pep chromosome:OR_W1943:1:38615455:38619945:1 gene:ORUFI01G47420 transcript:ORUFI01G47420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGLLASAAINLGLALVALSLFSLLKKQPGNAPVYLARRMAAGGGGGGLPLGHGRLTPSFRWIRAALRLSEDDVLRRHGLDALVVVRLFKFGLWVHFACLCFISFYVVYLLHKEYKEMSSRRIAHLKYHRKRPDQFTILVRGIPLCPDHGTYGCYADHFFSKHYRTYQSYHIVHDIGNIEALQKLASSLEDKIKRKRETRRCNFWKWIWFKLTLEAIDTRKLEEKLKNVHHSIRLLQCENMLKRKELPVAFVSFKSQLDAAQAAEMQQHVNPLSLVTTYAPEPPDALWTNLAIPFCRIAIYKLGVFIAAFLLIVFFTIPVTAVQGIVQFEKIKIWFPPARAVELIPGLNSVVTGYLPSMILNGFIYLIPFAMLGMASFEGCIAKSQKEIKACNMVFYFLLGNVFFLSILSGSLLHQIGESFTHPKDIPSRLARAVSAQSDFFITYILTDGMSGFSLEVLQFGLLTWHFFKAHSIGHSEQPYLYGFPYYRVVPIVSLAVLIGLVYAVVAPLLLPILVIYFLLGYAVYINQMEDVYEITYDTCGQYWPNIHRYIFLSVTLMQITMLGLFGLKSKPGASFATVPLLVSTILFNEYCKVRFLPTFLRRPVQVAKENDDLNEAEGMRGDLDHAISAYKPPWMRPTNFSPDCSSVQPLIRSV >ORUFI01G47430.1 pep chromosome:OR_W1943:1:38619850:38626045:-1 gene:ORUFI01G47430 transcript:ORUFI01G47430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQQQPPPPPPPPQHPPPPQAGGGGGGEFYRGPPPQPPMRQLSAASSTNIPGDYAAHQGHPPPQQNQPPYDAYGDNFGAKRMRKPVQRRTVDYTSTVVRYVQARMWQRDARDRPTVQPTPAAVLDMLPSVAYPDNPSTSFAAKFVHSSINKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAVRSMIWSNNENWMVTGDDGGAIKYWQSNMNNVKVNKTAHRESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGGKDYLVKLWDAKSGRELRSFHGHKNIVQCVKWNQNGNWVLTASKDQIIKLYDIRSMKELESFRGHNKDVTALAWHPFHEEYFVSGSYDGAIFHWLVGHETPQIEINNAHDNSVWDLAWHPVGYLLCSGGNDHATRFWCRNRPGDLTRDKYNSGQMQGYGDQHPAFAGRAMGGFQMPEPSTTPGPFNTGLSRNEGTIPGIGVAMPLDGSDHGEQRPSIPGLPPGQPPLPPGPHPSLLAGGQQQQYQQMQQQHPQFPRPPPPNMPQLQPPAHMLPHAQGSRAPLPQLPSMSGPPPVNPPLPPMPHPMAMQVQGSSNQMMPQMPQHLIGMNQTHQGPPSNMPPMGGFPNGMGNIQGGSSASGMQNFPMGGMYNRPQGKMPPQAQAQMTSIPGLSSYQPGMGNVGLPPPPPQHPPPRGSAPQ >ORUFI01G47440.1 pep chromosome:OR_W1943:1:38627960:38631917:1 gene:ORUFI01G47440 transcript:ORUFI01G47440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHKPVHQFVDSPKPQRSARRICGMATRIDANDHGNQQGGNPQGPVGTAERLEHLLNQPANKICADCGAPDPKWVSLTFGVFICIKCSGAHRSLGVHISKVVSVKLDEWTDEQVDILADSGGNAAVNMIYEAFIPENYMKPRQDCSSEGRNDFVRRKYELQQFLSNTQLTCYSQKSGKNHNRVQHSSSNRHGLGHAFRNSWRRKEHESKSVKKTVEIGMVEFVGLIKVNVIRGTNLAVRDMMSSDPYVILNLGHQSMKTKVIKSSLNPVWNERILLSIPDPIPMLKLQVYDKDTFTTDDRMGEAEINIQPLVAAAKAYETSVVADTAQLNRWLAKEGIWIQKDSAISIIDGKVKQEVTVRLQNVERGHLEMELECVPLTQ >ORUFI01G47450.1 pep chromosome:OR_W1943:1:38632581:38642183:1 gene:ORUFI01G47450 transcript:ORUFI01G47450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAQESLILELHAIEAIKFGTFVLKSGITSPIYLDLRALVSHPGLLSSIATLLHTLPATRPYDLLCGVPYTALPIASVLSVHRSVPMVMRRKEAKAHGTAKSIEGAFRAGEAVLIIEDLVTSGASVLETAAPLRDQGLVVADAVVVVDREQGGRENLAANGITLHSLMTLTEVLAVLLKHGKVTEEKAREVRQFLDANRKVTVPGAAGAVKPKAVRKGFAERAGLAKNPMGKRLFEVMEAKQSNLCVAADVGTAKELLELAEKVGPEICMLKTHVDILSDFTPDFGAKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIIPGPGIVDGLKLKGLPKGRGLLLLAEMSSAGNLAHGEYTAAAVKIAEQHSDFVIGFISVNPASWSVAPSSPAFIHATPGVQMVSGGDALGQQYNTPHSLLSSRLKALAMDAAAMESLILELHAIEAVKFGAFVLKSGITSPIYLDLRMLVAHPRLLSTVASLLGSLPATRPYDLLCGVPYTALPIASVLSAAASVPMLLRRYHVTPHAAAECLDGSFRAGDAVLIVEDLVTTGSSVLETVAPLREVGLVVADAVVVIDREQGGRENLAANGVALHSLMTLTEVLAVLVKRGKLGEEKAQEVKRFLDANRKMAVPGLPVKPKVVRKAFSERAGLATNPMGRKLFELMEAKQSNLCVAADVGTATELLDLADKDDILLLQYYSLSKIGPEICMLKTHVDILSDFTPDFGHKLRSIAERHSFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIVSGPGIVEGLKLKGLPKGRGLLLLSEMSSAGNLAHGDYTAAAVKIAEQHSDIVIGFISVNPASWSVTPSSPAFIHATPGVQLVAGGDSLGQQYNTPYSVINDRGSDIIIVGRGIIRANNPAETAREYRIQGWHAYQSSLS >ORUFI01G47450.2 pep chromosome:OR_W1943:1:38632581:38641764:1 gene:ORUFI01G47450 transcript:ORUFI01G47450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAQESLILELHAIEAIKFGTFVLKSGITSPIYLDLRALVSHPGLLSSIATLLHTLPATRPYDLLCGVPYTALPIASVLSVHRSVPMVMRRKEAKAHGTAKSIEGAFRAGEAVLIIEDLVTSGASVLETAAPLRDQGLVVADAVVVVDREQGGRENLAANGITLHSLMTLTEVLAVLLKHGKVTEEKAREVRQFLDANRKVTVPGAAGAVKPKAVRKGFAERAGLAKNPMGKRLFEVMEAKQSNLCVAADVGTAKELLELAEKVGPEICMLKTHVDILSDFTPDFGAKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIIPGPGIVDGLKLKGLPKGRGLLLLAEMSSAGNLAHGEYTAAAVKIAEQHSDFVIGFISVNPASWSVAPSSPAFIHATPGVQMVSGGDALGQQYNTPHSLLSSRLKALAMDAAAMESLILELHAIEAVKFGAFVLKSGITSPIYLDLRMLVAHPRLLSTVASLLGSLPATRPYDLLCGVPYTALPIASVLSAAASVPMLLRRYHVTPHAAAECLDGSFRAGDAVLIVEDLVTTGSSVLETVAPLREVGLVVADAVVVIDREQGGRENLAANGVALHSLMTLTEVLAVLVKRGKLGEEKAQEVKRFLDANRKMAVPGLPVKPKVVRKAFSERAGLATNPMGRKLFELMEAKQSNLCVAADVGTATELLDLADKDDILLLQYYSLSKIGPEICMLKTHVDILSDFTPDFGHKLRSIAERHSFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIVSGPGIVEGLKLKGLPKGRGLLLLSEMSSAGNLAHGDYTAAAVKIAEQHSDIVIGFISVNPASWSVTPSSPAFIHATPGVQLVAGGDSLGQQYNTPYSVINDRGSDIIIVGRGIIRANNPAETAREYRIQGWHAYQSSLS >ORUFI01G47450.3 pep chromosome:OR_W1943:1:38632467:38642183:1 gene:ORUFI01G47450 transcript:ORUFI01G47450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAQESLILELHAIEAIKFGTFVLKSGITSPIYLDLRALVSHPGLLSSIATLLHTLPATRPYDLLCGVPYTALPIASVLSVHRSVPMVMRRKEAKAHGTAKSIEGAFRAGEAVLIIEDLVTSGASVLETAAPLRDQGLVVADAVVVVDREQGGRENLAANGITLHSLMTLTEVLAVLLKHGKVTEEKAREVRQFLDANRKVTVPGAAGAVKPKAVRKGFAERAGLAKNPMGKRLFEVMEAKQSNLCVAADVGTAKELLELAEKVGPEICMLKTHVDILSDFTPDFGAKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIIPGPGIVDGLKLKGLPKGRGLLLLAEMSSAGNLAHGEYTAAAVKIAEQHSDFVIGFISVNPASWSVAPSSPAFIHATPGVQMVSGGDALGQQYNTPHSLLSSRLKALAMDAAAMESLILELHAIEAVKFGAFVLKSGITSPIYLDLRMLVAHPRLLSTVASLLGSLPATRPYDLLCGVPYTALPIASVLSAAASVPMLLRRYHVTPHAAAECLDGSFRAGDAVLIVEDLVTTGSSVLETVAPLREVGLVVADAVVVIDREQGGRENLAANGVALHSLMTLTEVLAVLVKRGKLGEEKAQEVKRFLDANRKMAVPGLPVKPKVVRKAFSERAGLATNPMGRKLFELMEAKQSNLCVAADVGTATELLDLADKDDILLLQYYSLSKIGPEICMLKTHVDILSDFTPDFGHKLRSIAERHSFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIVSGPGIVEGLKLKGLPKGRGLLLLSEMSSAGNLAHGDYTAAAVKIAEQHSDIVIGFISVNPASWSVTPSSPAFIHATPGVQLVAGGDSLGQQYNTPYSVINDRGSDIIIVGRGIIRANNPAETAREYRIQGWHAYQSSLS >ORUFI01G47460.1 pep chromosome:OR_W1943:1:38649968:38652888:-1 gene:ORUFI01G47460 transcript:ORUFI01G47460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIGRLVGAIGAFFSGGDNVPWCGRDIIAGVEREVAEAATEEHKNVSIMRLSWALVHSRNTDDVNRGIAMLQASLGGSKSPLEAREKLYLLAVGHYRNGDYPRSRQLLEHCLEIQPGWGQALSLKKTVEDKIAKDGVIGIGIATTAVGLLVGIAAAVARKN >ORUFI01G47470.1 pep chromosome:OR_W1943:1:38660182:38661442:1 gene:ORUFI01G47470 transcript:ORUFI01G47470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLILATFAVVFMALAATSLAGDPDMLQDVCVADYKSLKGPLRLNGFPCKRIENVTANDFFFDGLMKAGNTGNAVGSVVTAASVESLPGLNTMGVSMARIDYAPWGLNPPHTHPRATEIIFVVEGSLDVGFVTTANKLFTRTVCKGEVFVFPRGLVHFQKNNGNTPAFAIAALNSQLPGTQSIAAALFGAAPPLPSDTLARAFQVDGGMVEFIKSKFVPPKY >ORUFI01G47480.1 pep chromosome:OR_W1943:1:38663201:38664650:1 gene:ORUFI01G47480 transcript:ORUFI01G47480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLPTVVLLASFAAVILSLAAPLLAGDPDMLQDICVADYKSLQGPLRVNGFPCKPEANVTAEDFFFPGLGKPADVYSGNPMGSAVTAATVERIPGLNTLGVSMARVDYAPWGGANPPHSHPRATEILFVADGLLEVGFVVATAAPASSRLITRVVPKGGVFVFPRGLLHYERSVGEKPAVAISAFDSQLPGTQAAADALFGSSSPAVPTDVLARAFQVDGGVVENIKSKFQHK >ORUFI01G47490.1 pep chromosome:OR_W1943:1:38665061:38674919:1 gene:ORUFI01G47490 transcript:ORUFI01G47490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDEEEVAGDVRRKLGGYLRAVVSVSSDVGVEALAPLSPCSLFACGGVSLAPIPDGGGATPRSKGRACGGGGVVRQLRALVSSRCVEVEGRVLRAVARRGREGGGGDGEVEARAVVLFDVYLPVSVWSGWQFPRSRAAAAAAVFKHVSCNWDARNALLAFNWTSPDNPHCDDQYIWTCTDCHVLGCEVHQIPSVLNNDKSFDLHEIFKTLPSVMVEKRMQITRITPDEAASGVGIWSVPDDVLYKVLVRLKPRDLIRVAAACHHLRNLSASIMPCMKLKLFPHQEAAVEWMLRREQNLQVLEHPLYKGLCTMDGFPYYINVTSGEISTGSAPTVHDFCGGMFCDEPGLGKTVTALSLILKTHGTLAVPPPGMNVMWCMHKPDKKYGYYELSASNSSNGNIFLSGSKKLRKDVIREDTCSSESLNNGGSVVSTRSSRKRSRLVNPDLNMIAAHPSGKSPMSAPTGAHSTPATHVLKITKNLKHVRKNLMEAYSDGSVGNKRKRDATSELSETWVQCDACRKWRRLLDGTALDSSTAWFCSMNPDSARQKCSIPEESWDLKRKITYLPGFHKKGTPPGNEQNASFFTNILKEHAALIDSETMKALLWLAKLSPKKHIEMEAVGLTRPVLDARANIGKGARPYYKIFQAFGLVRKVEKGITRWYYPSMLDDLAFDSAALGIALEKPLDLVRLYLSRATLIVVPANLIDHWTTQIQRHVSSDTLNVYVWGDHKKPSAHNLAWDYDIVITTFSRLSAEWGPKKRSVLKQIHWFRVILDEGHTLGSSLALTNKLQMAVSLVASNRWILTGTPTPNTPTSQVAHLHPMLKFLHEEVYGQNYQSWDTGIHRPFEAQMEDGRSRLLQLLQRTMISARKQDLKNIPPCIKKITFLDFSEGHAKSYNELAVTIRRNILMADWNDPSHVESLLNPKQWKFRTTTIKNVRLSCCVAGHIKVAEAGQDIQETMDALMQLGLDPSSGEYQSIRYALLNGASCFRCRDWCRLPVVTPCRHLLCLDCVALDSEKCTLPGCGNHYEMQTPETRARPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTTSSKVAYLVNKLRSLKAENIKHGYSRNMANGACLSSQSSCQDHNNVEGRLPHTMPDKVIIFSQFLEHIHVIEQQLTIGGITYAGMYSPMPLGSKRSSLTKFKDDPACMALVMDGTAALGLDLSFVSYVFLMEPIWDRSMEEQVISRAHRMGATRPIFVETLAMRGTIEEQMLKLLQDSNACRQMVNKGTSNTDNEGARPHRSLHDFAESSYLAQLSFVKGSDAAEKL >ORUFI01G47500.1 pep chromosome:OR_W1943:1:38670498:38671092:-1 gene:ORUFI01G47500 transcript:ORUFI01G47500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQCQSNIWTYLKSEHWASTAVCVDAEDLTTQPASLVLLLLEAEPWNSLACPSVLTGNGPGDVASSHKAAGNSKRARATLQPGLATISSP >ORUFI01G47510.1 pep chromosome:OR_W1943:1:38671414:38678029:-1 gene:ORUFI01G47510 transcript:ORUFI01G47510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASSDLAGGRAGPPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLSQVSRIVPGQRTRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRSHQRKWRTESRSDIISSGATSPRTYTRRSSPLSSPFSSNDSVHKDGSDHYRLRSPFGSPPKNALDKAFSDVVLYAVPPKGFFPSDSNAGSVHSMSSGHSDNTNGHPRGIPMDAFRVSYSSAISSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGSSRVGSSSSAKMDYLVPKPLEFAVRLDVQNISCGGRHAALVTKQGEIYSWGEESGGRLGHGVDCDVAQPKLIDSLAHMNIELVACGEYHTCAVTLSGDLYTWGDGTFKFGLLGHGNDVSHWVPKRVDGPLEGIHVSSISCGPWHTALVTSAGQLFTFGDGSFGVLGHGDRASLSVPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKIFTWGDGDKGRLGHGDKDSRFVPTCVAALVEPNFCQVACGHCLTVALTTSGHVYTMGSAVYGQLGNPQADGLLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDKNTPTLVEALKDKQVRSVVCGINFTAAICIHKWVSGSDQSMCSGCRQLFNLRRKRHNCYNCALVFCHSCSSKKSLKASLAPNPNKPYRVCDSCYSKLTKGLETDTNSSTKRGTVVQGFSETNEEELETRSNTQLLRLSSMESFKNMDSRYSKKNKKFEFNSTRVSPVPNGSSHWSGLNISRSFNPVFGSSKKFFSASVPGSRIVSRATSPVSRRTSPPRSTTPTPTLGGLTSPRVIANDAKPTNDSLSHEVLNLRSQVENLTRKSHLLEVELERTTKQLKEAIVIAGEETAKCKAAKEVIKSLTAQLKGMAERLPGGVTKNSKLPPLSGFPMPSELSSMATESLGSPSSVGEQISNGPNGLLASNGPSSVRIKAGHPEVGKNGSRLPEAESCHEAEWVEQDEPGVYITLTALPGGARDLKRVRFSRKRFSETQAEQWWQENRTRVYQHYNVRMVEKSASSIDNEIASR >ORUFI01G47520.1 pep chromosome:OR_W1943:1:38694332:38698470:1 gene:ORUFI01G47520 transcript:ORUFI01G47520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDAESRFHVLAVDDSLIDRKLIEMLLKNSSYQVTTVDSGSKALELLGLRDEGDDSSSSPSSSSPDHQEIDVNLIITDYCMPGMTGYDLLKRVKGSSSLKDIPVVIMSSENVPARINRCLEDGAEEFFLKPVKLADMKKLKSHLLKRKQQLPMAAAAPDKPPHKPDEAAASAAAIAEAATAQTDGIISDCSCSGSSKRKAAAMEQEVISSPDQRTKPRLSSTSSGLAVET >ORUFI01G47530.1 pep chromosome:OR_W1943:1:38700034:38708045:1 gene:ORUFI01G47530 transcript:ORUFI01G47530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASASALFSAKNLPQKPWEDPSFFRWRKREAHVPLRSHDTPEGALKYWHERRNVNYLNSDSAVWNDDAVRGALESAAFWSKGLPYVQTLIPSNWQMHGFDRPIYTNVTYPFTMNPPFVPNDNPTGCYRTVFRIPKEWKGRRILLHFEAVDSAFFAWVNGVPVGYSQDSRLPAEFEITDFCHPCDSEKENVLAVQVMRWSDGSYLEDQDHWWLSGIHRDVLLVSKPQIFITDYFFKATLDEGFRVADIEVEVEIDSQKQDREHVSTLSIEATLYDNYGPADVLTSDMSAASVANLKLKPASRPKHCYGFHGYVLGGKVENPKLWSSEHPNLYTLVVVLKDSNGKLIECESCQVGIRNVVLAHKQMLVNGCPVVIRGVNRHEHHPRVGKTNLEACMIKDLVLMRQNNINAVRNSHYPQHQRWYELCDIFGLYVIDEANIETHGFDESSHFKHPTLEPFWASAMLDRVVGMVERDKNHACIIVWSLGNESSYGPNHSAMSGWIRGKDPTRPIHYEGGGSRTSSTDIVCPMYMRVWDILKIAQDPSENRPLILCEYSHAMGNSNGNIDAYWMAIDNTVGLQGGFIWDWVDQGLLKEDADGSKNWAYGGDFGDTPNDLNFCLNGIVWPDRTIHPAVHEVKYLYQPIKITMMDSMLKIENVQFFATTEALEFSWLLHGDGCALGSGSLNVPSLAPQSTHLINMESSPWFTIWSTCAVKEIFLSINVKLRYQTQWAKDGHILASAQICLPPKKGFVPHAIALSRSSLVSERVGDHVLISKSNAWQIKVNSISGTIDSWKVNNIELMSKGIHPCFWRAPTDNDKGGSYAKPYASRWREASLDNISFYSSQFSLKELPDQTVEISTIYYGLPGNQPKPDETSLSDESESVLFRVRMRGRIYDSGDVILDYEVSPKNDLPPLPRVGVVFNADKSLSRAKWYGRGPFECYPDRKAAAHVGVYESGVDDLHVPYIVPGECGGRADVRWVALQNADGFGLFASAYGESPPMQVSASYYGAAELDRATHNHKLVKGDDIEVHLDHKHMGLGGDDSWSPCVHEQYLLPPARYAFSVRLCPLLPSSSCHDIYHSQLPC >ORUFI01G47530.2 pep chromosome:OR_W1943:1:38700034:38708045:1 gene:ORUFI01G47530 transcript:ORUFI01G47530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASASALFSAKNLPQKPWEDPSFFRWRKREAHVPLRSHDTPEGALKYWHERRNVNYLNSDSAVWNDDAVRGALESAAFWSKGLPYVQTLIPSNWQMHGFDRPIYTNVTYPFTMNPPFVPNDNPTGCYRTVFRIPKEWKGRRILLHFEAVDSAFFAWVNGVPVGYSQDSRLPAEFEITDFCHPCDSEKENVLAVQVMRWSDGSYLEDQDHWWLSGIHRDVLLVSKPQIFITDYFFKATLDEGFRVADIEVEVEIDSQKQDREHVSTLSIEATLYDNYGPADVLTSDMSAASVANLKLKPASRPKHCYGFHGYVLGGKVENPKLWSNSNGKLIECESCQVGIRNVVLAHKQMLVNGCPVVIRGVNRHEHHPRVGKTNLEACMIKDLVLMRQNNINAVRNSHYPQHQRWYELCDIFGLYVIDEANIETHGFDESSHFKHPTLEPFWASAMLDRVVGMVERDKNHACIIVWSLGNESSYGPNHSAMSGWIRGKDPTRPIHYEGGGSRTSSTDIVCPMYMRVWDILKIAQDPSENRPLILCEYSHAMGNSNGNIDAYWMAIDNTVGLQGGFIWDWVDQGLLKEDADGSKNWAYGGDFGDTPNDLNFCLNGIVWPDRTIHPAVHEVKYLYQPIKITMMDSMLKIENVQFFATTEALEFSWLLHGDGCALGSGSLNVPSLAPQSTHLINMESSPWFTIWSTCAVKEIFLSINVKLRYQTQWAKDGHILASAQICLPPKKGFVPHAIALSRSSLVSERVGDHVLISKSNAWQIKVNSISGTIDSWKVNNIELMSKGIHPCFWRAPTDNDKGGSYAKPYASRWREASLDNISFYSSQFSLKELPDQTVEISTIYYGLPGNQPKPDETSLSDESESVLFRVRMRGRIYDSGDVILDYEVSPKNDLPPLPRVGVVFNADKSLSRAKWYGRGPFECYPDRKAAAHVGVYESGVDDLHVPYIVPGECGGRADVRWVALQNADGFGLFASAYGESPPMQVSASYYGAAELDRATHNHKLVKGDDIEVHLDHKHMGLGGDDSWSPCVHEQYLLPPARYAFSVRLCPLLPSSSCHDIYHSQLPC >ORUFI01G47540.1 pep chromosome:OR_W1943:1:38711116:38717151:1 gene:ORUFI01G47540 transcript:ORUFI01G47540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSAFLAAGVAIAAAIFLLRPASDLSRPGDLGEAVADMILANATIYTADPAMPFAEAMAELKGRHTMELNLSGNVVLPGFIDSHVHFIDGGLQVWLSRMDGHMGIANSLAMRMAGIDKNTNNPVGGTIMRTTEGEPTGLLVDAAMKLVFDVISEVSIHERRDALLRASRHALMRGVTTVVDVGSYFPGKSEKQVWQDFTDIYEWAHSVETMIMRVCLFFPMPTWSRVYDLIHEKGRMLSQWIHLGGVKAFLDGSLGSSSALFYEHYKDDPRSYGLQLVDMDYLLNTTLELDKSGLQIAIHAIGDKANDMLLDMYEKVVDLNGMKDHRFRIEHAQHLAPGAAKRFGKHGIIASVQPDHILDDANSAGKKIGIERAERSSYSFRSLLDGGAHLAFGSDWPVSDINPLQAIRTAVSRKPAGWEVPWIPAERLSLDDSLKAHTISAAYACFLDHVLGSLSEGKYADFVILPSTSWNEFGSDIPDHVLATYVNGKQAYP >ORUFI01G47540.2 pep chromosome:OR_W1943:1:38711463:38717151:1 gene:ORUFI01G47540 transcript:ORUFI01G47540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSAFLAAGVAIAAAIFLLRPASDLSRPGDLGEAVADMILANATIYTADPAMPFAEAMAELKGRHTMELNLSGNVVLPGFIDSHVHFIDGGLQVWLSRMDGHMGIANSLAMRMAGIDKNTNNPVGGTIMRTTEGEPTGLLVDAAMKLVFDVISEVSIHERRDALLRASRHALMRGVTTVVDVGSYFPGKSEKQVWQDFTDIYEWAHSVETMIMRVCLFFPMPTWSRVYDLIHEKGRMLSQWIHLGGVKAFLDGSLGSSSALFYEHYKDDPRSYGLQLVDMDYLLNTTLELDKSGLQIAIHAIGDKANDMLLDMYEKVVDLNGMKDHRFRIEHAQHLAPGAAKRFGKHGIIASVQPDHILDDANSAGKKIGIERAERSSYSFRSLLDGGAHLAFGSDWPVSDINPLQAIRTAVSRKPAGWEVPWIPAERLSLDDSLKAHTISAAYACFLDHVLGSLSEGKYADFVILPSTSWNEFGSDIPDHVLATYVNGKQAYP >ORUFI01G47550.1 pep chromosome:OR_W1943:1:38719075:38719737:1 gene:ORUFI01G47550 transcript:ORUFI01G47550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLSPCMHMAAAAAAGVKLVYWGGQTRMLADDGGVCTVAGDVTAELPGDHVVCAADSFYVGLPIPVVSTGEELMAGRTYFVLPAERFSCFKVLTAAALASLSPAPSKTKVSVAGPGECPFEYVKGEGGATLIRVLPEFIEKVISCDENGGGGRRRKPSSTTAATATELCSTPELKRHYALLVGRRNQPWSPRLETISESNKTRKMLLLRTPARLLSSR >ORUFI01G47560.1 pep chromosome:OR_W1943:1:38722289:38724384:-1 gene:ORUFI01G47560 transcript:ORUFI01G47560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLFVDDPAFASSMSSLEADIFSGAGQLPSSPWLDLDHLDDDVQDLSMAPTTANAVSSGYGSGGSGSHRKLSHNAYERDRRKQLNELYSSLRALLPDADHTKKLSIPTTVSRVLKYIPELQKQVENLERKKKELTATSTTNCKPGVLGNQLMSEGMAPIVSATCINDMEIMVQVSLLSNVAGSILPLSKCIKVLENEGLHFISSSTSSGFGNRTFYSIHLQRSEGTINEECPAFCERLEKVVRNKAKL >ORUFI01G47570.1 pep chromosome:OR_W1943:1:38725902:38727065:-1 gene:ORUFI01G47570 transcript:ORUFI01G47570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAGGVSGLAAQHHGGGGGWCCSSAMTLELVGVFTAVCLVLYGVILYFNYLYVRWSGRDGCRRRRWRWGRSGEEEGRRRLSRQGGASGHPGSRRRRRRTAATRSARCMQDGNAVRELPGCGYAFHFGCSSST >ORUFI01G47580.1 pep chromosome:OR_W1943:1:38730094:38732241:1 gene:ORUFI01G47580 transcript:ORUFI01G47580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRRLWGEWEIRVLLLSSLSLQVFLLFTGGLRKRNVAAWLHFMLWLAYLLADSIAIYALGNLSQNQKLCCNSPHGGAAAGDMHLLVFWAPFLILHLGGQDTITAFAIEDNELWLRHLLSLVSQIALALYVYWKSRPSAAGLLVPAILMFVSGVVKYAERTWALKSASMSSLRSSMLTRPDPGPNYAKFMEEYHSSKEAGLHAEIVIVPERPPDDNIHVQEEHMEYGELVVKAHRFFHTFRRLFVDLILSFQDRTDSLAFFRRLQRDQAYKVVEIELLLMYESLHSKSSVIHGPTGRYLRIFTLAAPVLSLIVFSGTDKAPYKPVDVAVSYVLLGGAIFLEIYAILLMAISPWSFADLRKKDKCLPVASGVFRAVSYFLPEARPRWSNQMAQYNLIHYCLKDKPTWLTGALEKLEWDYNVRVKTIWDSVWYTHHIGVSMVLKQLVFKQLKEKANSTADPMSYRRFGDHRGQWFLHRMGCYQELGASVEVEFDESIILWHIATDLCFYDDDDDDGLDAGERKLKRWSSCCFCSCSDHAPTADDSHLNDVSHLPAASREISNYMLFLLVMRPFMLTASIGQIRFGDTCAETKNFFLRGDELGAARKAAEALTKVKTEINPREVKGDRSKSVLFDACRLAEQLRRLERRKRWRLVAGVWVEMLCYAAGKCRGNFHAKQLSQGGELLTVVWLLMAHFGMGDQYRVEAGHARAKLIIEN >ORUFI01G47590.1 pep chromosome:OR_W1943:1:38733552:38734742:-1 gene:ORUFI01G47590 transcript:ORUFI01G47590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESARFVYELDHGGEGEEEEEEDACFLDIYVHGARGIHNICIYAAQDVYARLALTSSPDDAPALDTRVAAGGGANPRFDERLPPLRVRRARLGTDVLKCEIWMRSCARRLLDDQLLGFALVPLAAVAAADGARLAQDFSLSSTDLFHSPAGTIRLSLALHSGPPGDACPPPERAAAEPSITSEVVILEPAPPVDYARIEFPDLNAVKENDDMAVQYLPFLHLADAQAMDDDDCEMATSPREEKPASSDDGSKNASTSTTTAVSDDNRAVTRKARPDADDAATAPMSCRSPDTPTSNGGKPSSSDKEKGAAEDVFDSPPLRDIDMEAEQSAMQRQIMEMYMKSMQQFTESLSKMKLPMELDGDNGVVVQKEEKPEAEVKLQPKKDGARVFYGSRAFF >ORUFI01G47600.1 pep chromosome:OR_W1943:1:38736886:38741905:-1 gene:ORUFI01G47600 transcript:ORUFI01G47600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAARPVIRVAAICGSLRKASYNGGLLRAAAGVCEESIPGLRVDHVDISGLPLLNTDLETADGGFPPAVEAFRDKVRQADCFLFGSPEYNYSIATPLKNALDWASRGQNCWADKPAAIVSAGGGFGGGRSQYHLRQVGVFLDLHFINKPELAVKAFEQPPKFDSDGLLSYKKDPLSSRLRRWTKVDPDLIQPNSVPETRRGVDKRGRHVLQITSQLCRMMSGGSATCFLLHGQQKVFATLHRRIMIPATISTSQKSQNGRPHTPWKMADSSIGHLGLQTRSIVGGWCSSIN >ORUFI01G47600.2 pep chromosome:OR_W1943:1:38737819:38741905:-1 gene:ORUFI01G47600 transcript:ORUFI01G47600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAARPVIRVAAICGSLRKASYNGGLLRAAAGVCEESIPGLRVDHVDISGLPLLNTDLETADGGFPPAVEAFRDKVRQADCFLFGSPEYNYSIATPLKNALDWASRGQNCWADKPAAIVSAGGGFGGGRSQYHLRQVGVFLDLHFINKPELAVKAFEQPPKFDSDGLLSYKKDPLSSRLRRWTKVDPDLIQPNSVPETRRGVDKRGRHVLQITSQLCRMMSGAKGVCNFASTYHDPCHYLHFTKITKWETTHTMENG >ORUFI01G47610.1 pep chromosome:OR_W1943:1:38743446:38743766:-1 gene:ORUFI01G47610 transcript:ORUFI01G47610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQLAAKQKGSFHAWSQIQYLLLPAPPAKLDQWSKGSEWLERESSAPHSKSQDGVQCADGEEWRRSEQMSRTEKAEELAFLVAFTLKKLKKY >ORUFI01G47620.1 pep chromosome:OR_W1943:1:38745063:38746070:1 gene:ORUFI01G47620 transcript:ORUFI01G47620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGSSRAELIEASPAPALDLPSPPRKPRGRPLGSKNKPKPPVVVTRESEAAMRPVVLELGAGCEVAAAVAAFARRRRVGVSVLCGRGTVAAVTLRLPTSPPAAVKLHGRFEVLSLSGTVLPSAAGEGAAPPPPFSVSLAGAGGQVIGGTLAGEMTTADGLVVVAATFGSAEVHRLPADEDDEATGSRGGEERRHPQQQQHGGRGAGPGPRPAPPLGVLPQAPPAAAAAGRDGLVGPVARLSRPGPSCHESLLATSQHGND >ORUFI01G47630.1 pep chromosome:OR_W1943:1:38750015:38751930:1 gene:ORUFI01G47630 transcript:ORUFI01G47630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSPKALRVAAISGSLRRGSANTGLIRAAKEICEESIPGMVIDHVDIPDLPMLNTDMEVDGGFPPAVEAFRASVRAADCFLFASPEYNYSISGPLKNALDWGSRPPNCWADRAAAIVSASGGSGGSRSMYHIRQVGVFLDIHFINKPEVFIKAHQPPKKFDSDGNLIDPEIKEELKDMLLSLQAFALRLQGKPANSKHAA >ORUFI01G47640.1 pep chromosome:OR_W1943:1:38753354:38753614:-1 gene:ORUFI01G47640 transcript:ORUFI01G47640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRRQPSRSLPLDFNVDMDDEPAAAAKGTAAHVDGGGGQPGRRNTTDDAKSQEAGNNGKKLPPSSATTGGGRPVASSEETGKKK >ORUFI01G47650.1 pep chromosome:OR_W1943:1:38758591:38760947:1 gene:ORUFI01G47650 transcript:ORUFI01G47650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAASASSSSSSSSSAESVPLPTTTLPIDKAAAIGGGGDRAVDCGVCAICLDKIALQETALVKGCDHAYCVTCILRWASYKQTPQCPHIHDYMFEESVCLLLRATWFEPLIVEAHEEALDEEELYHIYQCDDGVEDDLDEEAYYMSRSPSIRIGNRRWGDNGYVRGGRKEARPVSRQSLNDTDAGPSRTPKKKDVSASGSGSVSKEVAGRRAKRALKREAADKAAAEKHLKHLQRLGLRKAPEATAEATPEVEPQLNE >ORUFI01G47650.2 pep chromosome:OR_W1943:1:38758591:38761019:1 gene:ORUFI01G47650 transcript:ORUFI01G47650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAASASSSSSSSSSAESVPLPTTTLPIDKAAAIGGGGDRAVDCGVCAICLDKIALQETALVKGCDHAYCVTCILRWASYKQTPQCPHIHDYMFEESVCLLLRATWFEPLIVEAHEEALDEEELYHIYQCDDGVEDDLDEEAYYMSRSPSIRIGNRRWGDNGYVRGGRKEARPVSRQSLNDTDAGPSRTPKKKDVSASGSGSVSKEVAGRRAKRALKREAADKAAAEKHLKHLQRLGLRKAPEATAEATPEVEPQLNEWLKMKVVGERYTQLQLMA >ORUFI01G47660.1 pep chromosome:OR_W1943:1:38770909:38772218:-1 gene:ORUFI01G47660 transcript:ORUFI01G47660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGMVVVTPAASFHHTHHHHHHHEAAAAAAAAASWLAAPCIQCHSAASGSAIQFWQPPPQLPSSAAGGNPNPSSSAFPYLKKPLPMLDTGGGSSGSGGAATCQDCGNQAKKDCGHQRCRTCCKSRGFDCSTHVKSTWVPAARRRERQQLTGSASSSPATASAAAASKKPRLLTSQTTTSHTSTSNATTPRSFDTTSSHQDASFRESLPRQVRAPAVFRCVRVTSIDDGEDEYAYQATVTINGHVFKGFLYDQGVDDGRGLAATSNDDSTAGGVPNISELHLGGASISGNAMREGGSSMVHSDLYGGGGGSGGGPHILGGSSYGNTMN >ORUFI01G47670.1 pep chromosome:OR_W1943:1:38792319:38793656:-1 gene:ORUFI01G47670 transcript:ORUFI01G47670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLALPLLAFLSIFLTPTTAVSSSTLQLARSHSVTPNAGAPLSAWAASVAAQSAADTARIVSMLTSGAGPLTTRAKPKPKNRANPPVPIAPGRQILSIPNYIARAGLGTPAQTLLVAIDPSNDAAWVPCSACAGCAASSPSFSPTQSSTYRTVPCGSPQCAQVPSPSCPAGVGSSCGFNLTYAASTFQAVLGQDSLALENNVVVSYTFGCLRVVSGNSVPPQGLIGFGRGPLSFLSQTKDTYGSVFSYCLPNYRSSNFSGTLKLGPIGQPKRIKTTPLLYNPHRPSLYYVNMIGIRVGSKVVQVPQSALAFNPVTGSGTIIDAGTMFTRLAAPVYAAVRDAFRGRVRTPVAPPLGGFDTCYNVTVSVPTVTFMFAGAVAVTLPEENVMIHSSSGGVACLAMAAGPSDGVNAALNVLASMQQQNQRVLFDVANGRVGFSRELCTA >ORUFI01G47680.1 pep chromosome:OR_W1943:1:38796612:38800711:-1 gene:ORUFI01G47680 transcript:ORUFI01G47680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRRRPGPVAAAVLLLLAVATQAAASPIKTVVVVVMENRSFDHMLGWMKRLNPEIDGVTGGEWNPTNASDPSSGRVYFGEGAEYVDPDPGHSFQEIRQQIFGSDDASGPARMDGFVQQARSLGDNMTAAVMNGFSPDSVAVYRELVGEFAVFDRWFASVPSSTQPNRLFVHSATSGGATSNNPELLAKGYPQRTIFDNVHDAGLSFGVYYQDVPAVLFYRNLRKLKYLTKFHPFHGAFRDHAARGSLPNYAVVEQHYMDSKSHPANDDHPSHDVFQGQMLVKEVYETLRASPQWNQTLMVVTYDEHGGFYDHVPTPVTGVPSPDGIVGPPPYNFAFDRLGVRVPAIVISPWINKGTVVHGPNGSPTATSEYEHSSIPATVKKLFDLPQDFLTKRDAWAGTFESVVQGRTEPRTDCPEQLPMPMRIRLTEANEEAKLSEFQQELVQLASVLNGDHQLSSLQDTIRDRMNVREGIAYMRGAVKRFFETGMSAKRMGVDDEQIVKMRPSLTTRTSPAIEQP >ORUFI01G47690.1 pep chromosome:OR_W1943:1:38807035:38807490:-1 gene:ORUFI01G47690 transcript:ORUFI01G47690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASAASPCESSALFAAFDHDGDGRISAAELRLCMKATLGEEVSDEEAGQLVASVDADGDGLLCEAEFVRLVQAAEVEEEDERRGTGLREAFGMYEMEGEGCITPTSLRRMLRRLGSDQDIDDCRAMICRFDLNGDGVLSFDEFKIMMNA >ORUFI01G47700.1 pep chromosome:OR_W1943:1:38811278:38811733:-1 gene:ORUFI01G47700 transcript:ORUFI01G47700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASDEFRRVFGSFDQDGDGKISATELRLCVKASLGEDMPDEEVQALMALADTDGDGLLDEEEFVRLVTEMEADGDEEEDDDDETCRCLREAFAMYEMEGRGCITPLSLKLMLSELGTHLDVAECQAMICRFDMNGDGVLTFDEFKTMMMA >ORUFI01G47710.1 pep chromosome:OR_W1943:1:38812711:38813151:1 gene:ORUFI01G47710 transcript:ORUFI01G47710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATAEFRRVFSAFDRDADGKISAAELRLCMKAALGEDMSAEEAEALVSSADTDGDGLLDEEEFTKLAVQLEMGDEEERCRGLMEAFRMYEMEGEGRITPASLKRMLRKLGSHQGIEECQTMICRFDLDGDGVISFEEFKIMMDA >ORUFI01G47720.1 pep chromosome:OR_W1943:1:38813662:38817828:1 gene:ORUFI01G47720 transcript:ORUFI01G47720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLSSNTRNNGTWLSATHISQTGQKIKEIMAGPKHGCDAKKLNEGEITSDDMAAAMGLVVGSPFCALAAKREKLLQQDVHEDESRLNYENSVRRGNAIVIVLHKEHGYAPHINVQSLQLSPSVRTEGPITICAFCPQPREWKEIILRRGKSPHQAVVKSEKDQSQAAMKSEKADTEKLIQFMEANYEKYVANVDSFEDFYHAIVELIEKFCEERGQVQYKIPSKKALKEAYEKHHTEQGQLKREEFIKIGKEVIRRDSFTLGKATMDFIMYLFGAPLCALAAKRILPGLRWISDDVAIPLATSASVAYLIRTKQL >ORUFI01G47730.1 pep chromosome:OR_W1943:1:38819024:38828158:1 gene:ORUFI01G47730 transcript:ORUFI01G47730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTTAPPVSCRPSTARGRLLRLLPANGPAAAMELLPTVRRREAVRAAHVRRIEAAAWLGARRATRREDAAARCAAAGEVVGSAAGVGRSAGMEVAIATAAVVAMGTGNRVLYKLALVPLRDYPFFLAQLATFGYVVVYFSILYLRHQAGIVTDEMLSLPQKPFLAVGLLEALSAASGMAAGAVLSGASIPILSQTYLVWQLLLSAIFLKRRYRINEITGCFLVTVGVIITVASGSSAGASLKGTGILWPLLMIISFFLQAADTVLKEIIFLNAAKKLKGGSVDLFVVNSYGSAYQALFMCLLLPFLSKLWGVPFHQLPTYIRDGTACFLNMGSLSSGCEGAPLLPLLFVLVNMGFNISLLHLLKISSAVVSSLASTFSVPLSIYAFTLPLPYIGVASTLPPGFVAGAVFLDGTRTREKRRSIITTGMKSKNGGGGGSGGNTNGSHRRITAAAAINIIRTLLSILASPAAVDWTASSGRRLTGCSTLRCSCVFCDEEVLYGCFQ >ORUFI01G47740.1 pep chromosome:OR_W1943:1:38843450:38843656:-1 gene:ORUFI01G47740 transcript:ORUFI01G47740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLLLAAAAVLLLLLPLLLPPLPPPPSLLLLVPVVLLLALLSLAFLPNRDVVVYGQQPAADQFFFRQ >ORUFI01G47750.1 pep chromosome:OR_W1943:1:38851942:38852931:-1 gene:ORUFI01G47750 transcript:ORUFI01G47750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLHAARSLVGRLRGAAAVHDGAATAVAIAEIRHATKDDPDIRAPLADAGAVPFLAAQLTAPSAASEDAAAALLNISISARGQLMSAPGLLDALTAALRADEYCAAHHAAATVYSLLCVEANRPVVGARRPLLAALVSLLRAAPNTRATKDALKALFAVALHPPNRATLVGLGAVQALFALIMTDGRSGIMEDATAVVAQVAGCAESLDAFTRMSGLRILVDLVEQGGASTPRTRENAAAALLNLVVAGGERAVAEVIAVGGAEDAVRELAEDATASARGKAKAESLLRALECGGARRREHRLADFLNGLVQSDPYISSPASASTHG >ORUFI01G47760.1 pep chromosome:OR_W1943:1:38857942:38859417:-1 gene:ORUFI01G47760 transcript:ORUFI01G47760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLPDLGGGGVEDGGGKKWPGFVQFFFVLSVVLCVLLYAPRFIVLTPTYGLDFFPQPPPNVTTSPARVVGDHNAGEVVVLDNQLRSPCSSLAGDTICCDRSDFNTDVCFMAGDVRTDPSSLSLLLFPKQPPAANATVEERIRPYTRKWEALIMSRVEEVRLRMAPPEEEPGHRCDVRHDAPLLVMTAGGYTGNLFHAFSDGFVPAWLTVQHLRRRVVLGVLSYNPWWAGTYGEIISGLSDYHVVDLLHDKRTHCFPGAIVGTRFHGILSVDPARLRDNKTIVDFHDLLAGVYETAGDTVVVDDVTQPAPRRPRLGIVSRRGTRVIENQAAVARLARTVGFDVDILETANGLPLPASYASVSACDVLVGVHGADLTKLLFLRPGAALVQIAPLGVAPIARGCYAEASARMGLHYEQYDAEGHESSLSRKYGLRDVVVSDPEAAKRDKGWGFVARVYLGGQNVTLDLSRFRHTLTRLHARALRVRSLHPAP >ORUFI01G47770.1 pep chromosome:OR_W1943:1:38864982:38865227:-1 gene:ORUFI01G47770 transcript:ORUFI01G47770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHYEQYDAEGHESSLSRKYGLRDVVVSDPEAAKRDKGWGFVARVYLGGQNVTLDLSRFRHTLTRLHARALRVRSLHPAP >ORUFI01G47780.1 pep chromosome:OR_W1943:1:38866714:38872133:1 gene:ORUFI01G47780 transcript:ORUFI01G47780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKICMELRYVCEWNEYRWKFLLWLLKRTRLDLHPELVMDLSHTKELETKPTYDASQSREEASAAASASDGQGDDKERGDLQAVEAYGSPPGKEKVDLQAAKDSSPTAEKGKGKHIGYEAQQKKNSIEDVKSSSQQEISKPKKKKKQKKRQRRKMDESTENLSCHSGSPSSSHDGTSTSTIEGEPKPSSGDLAKISREHKQEQESMEDLEDLLGMDEDTISNKVSYYFHQLYVQDHLDDDDDDDDDWLECDGPQQLTELHEQLAFYRIIGYELSNGRKLDELDIAKLKEKYPPSILYEKGYFQYYEDSLEWYFDPERFQPAALDNYQRLVLCDNGLYMDWDQYHSNYSTYESDLAYVKFCEELAHKTKWDKVKNTVYLQAMKIALRIRVVSLMQVMTAFQEYIWSMRFDCCNYKDFDGVYFEVWKRVAKQKMEFTDALSELYREDMFPLRNVDIKDELRSTRGRFRSMKENYDLYVACIDETVPEKEARQLIKDAIIEMAEDQSQEPRRSPHNTAQGRQ >ORUFI01G47790.1 pep chromosome:OR_W1943:1:38875026:38875292:1 gene:ORUFI01G47790 transcript:ORUFI01G47790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIPSTTQAVAESEAPAAQRAEMEAMRQNMIRLHDTLRRMQEQHQAYEAALQAKTAPSASARPNPSSGPSTVTQVIQASTTAAQVN >ORUFI01G47800.1 pep chromosome:OR_W1943:1:38877917:38885034:1 gene:ORUFI01G47800 transcript:ORUFI01G47800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDQVEIPFKVLQERVPGKKKYSLRVIKPSSSKKICRSVNSYPLVCLKREMADNDHVHRIMLTLNHRNILSMKALSRDKVESNGPSSLAAFVEPYTGLLSSLCFKHDCWGDRINHIPSPLLQSLLRQVIEGLDFLRKNKLYHGNLNWDSILYLQPSTVKLANFRKQEHHFPKIFRTALPAIKEIVLGHPLFWDLMTRVNFFAKDISLRLNDDTFMSRVRASKIRKLPWNEGTTQDFKGLLFEMETYRKDEGIPAYDFRSLKDYHADSKKPVEEIKLISDVVRDSPHKIFIAGIPRVISSKMLRDIVSSFGQLAAYRFLFNEDLGGACAFLEYIDHSITSKACAGLNGMKLGGCVITAVGVLTDHPGQAGNEACPFHGIPANPKPLLAVPTQVLQLKNVFDQEEYSLLSKYEVDAVLEDVRVKCARYGAVKSINVVEYPAGSDNTKAPAVDARDNALASNNTALEAGCILVEFLCKEASFMAAHSLHGRPFGSRIVSAGYAPYDLLSLPT >ORUFI01G47810.1 pep chromosome:OR_W1943:1:38891530:38895320:-1 gene:ORUFI01G47810 transcript:ORUFI01G47810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCDDTHFPWEGSHTIVGSKRKAKDFNGKNLNLFNGTYKMVETTVDVMIRKGTNMRLESYEFLSDFKHGNAVSGNKGSFIVTQVDGSFTAWFETGGKELCFDSSGHITQLFKDMIVDFYDLTWSLHKRHLVMQNFVKENIYVKIFDGVPKLMILLTEVKLVDQLGPFKQDWSHVQGLMTWCKEQSGLKMDFLTKSFYDFIGSTDCTVIKLRNYPDDWDEKKKGDYLLTLAEVNHGVLKDKLKSRDDFHWPFVKLPKLEQNLPPMLQQILSGERQMKRKFDVTFFCDYINLLRNSYKKFNELPENVKALCINQDGFIKLIDGWSPEFWTKIYEKIGTLLDLNDGDAGARADILRTYGAVLQVRSLDGSC >ORUFI01G47820.1 pep chromosome:OR_W1943:1:38898214:38901006:1 gene:ORUFI01G47820 transcript:ORUFI01G47820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENIGKLDNTIKNLEVRKNKIQIRLSISEGKQETCNPEVTEWLQKVAAMETEVNEIKNVQRKRKQLFSYWSKYEIGMQAAKKLKEAEMLHEKGAFKEVSFEVPPYFVQEVPTIPSTEETECNLKEVLQYLKDDNVGILGIWGMGGVGKTTLLRKINNHFLGVTKENYGFDLVVYVVASTASGIGQLQADIAERIGLFLKPGCSINIRASFLLSFLRRKKFLLLIDDLWGYLDLAEAGIPYPNGLNKQKVVLATRSESVCGHMGAHKTIFMECLDQEKAWRLFKEKATEEVINSDVRIESLAKEVAEECGGLPLALATLGRAMSTKRTRHEWALALSYLKKSRIHEIPNMGNTSHIYTRLKLSYDYLQDKQIKECFLCCSLWPEGYSIWKVALIDCWMGMGLIEYDTIEEAYDKGHSIIEYLKNACLLEAGYLEDREVRIHDIIRDMALSISSGCVDQSMNWIVQAGVGIHNIGSRDIEKWRSARKISLMCNYISELPHAISCYNLQYLSLQQNFWLNVIPPSLFKCLSSVTYLDLSWIPIKELPEEIGALVELQCLKLNQTLIKSLPVAIGQLTKLKYLNLSYMDFLEKIPYGVIPNLSKLQVLNLYGSRYAGCEEGFHSRSHMDYDEFRIEELSCLTRELKALGITIKKVSTLKKLLDIHGSHMRLLGLYKLSGETSLALTIPDSVLVLNITDCSELKEFSVTNKPQCYGDHLPRLEFLTFWDLPRLEKISMGHIQNLRVLYVGKAHQLMDMSCVLKLPHLEQLDVSFCNKMKQLVHIKNKINTEVQDEMPIQGFQRLRILQLNSLPSLENFCNFSLDLPSLEYFDVFACPKLRRLPFGHAIVKLKSVMGEKTWWDNLKWDDENTPLLLFPFFKASETRIASLRPELDTSVASSPKAFFTKRQPYLSSSIRYTSFLKSMFEAEEFSSL >ORUFI01G47830.1 pep chromosome:OR_W1943:1:38906147:38912059:1 gene:ORUFI01G47830 transcript:ORUFI01G47830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDELPHKKLASSEGIQFARSDSRFRSLSPAPIPIPTAPSFRSLVDPVSDERVNHDTVTSHESEIGSGSISTVSSTVSSVESEKAAYEFLAQTPIKSTDAHLVEFSEAMRTVAKALRRVAEGKAAAQAEAEEWRRKYELEMAHKQQRKIKGYGSCANNELEKLASQLTLETPASDQAGCCGNHGICSHEVLQDESPGPNPRSSHKLVSRKASFRLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQILLKWESSPQTVLFITKPNSNSVHVLCAEMVRWLKEHKKINVVVEPRVSKELLTEDSYYNFIQTWDDDEEKKMLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFPSEQYRDCLDNVLNGPFSITLRNRLQCHVIRDAAKDELETEEPILVLNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPHNSRGQAWASFDGKDRKLLSPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPRD >ORUFI01G47830.2 pep chromosome:OR_W1943:1:38906147:38912059:1 gene:ORUFI01G47830 transcript:ORUFI01G47830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDELPHKKLASSEGIQFARSDSRFRSLSPAPIPIPTAPSFRSLVDPVSDERVNHDTVTSHESEIGSGSISTVSSTVSSVESEKAAYEFLAQTPIKSTDAHLVEFSEAMRTVAKALRRVAEGKAAAQAEAEEWRRKYELEMAHKQQRKIKGYGSCANNELEKLASQLTLETPASDQAGCCGNHGICSHEVLQDESPGPNPRSSHKLVSRKASFRLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQILLKWESSPQTVLFITKPNSNSVHVLCAEMVRWLKEHKKINVVVEPRVSKELLTEDSYYNFIQTWDDDEEKKMLHTKVDLIVTLGGDGTVLWFGFGLKETCLLDDKSHMKLPALLLTFVLSMLSSLTCFSASEQYRDCLDNVLNGPFSITLRNRLQCHVIRDAAKDELETEEPILVLNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPHNSRGQAWASFDGKDRKLLSPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPRD >ORUFI01G47830.3 pep chromosome:OR_W1943:1:38906147:38912059:1 gene:ORUFI01G47830 transcript:ORUFI01G47830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDELPHKVSDERVNHDTVTSHESEIGSGSISTVSSTVSSVESEKAAYEFLAQTPIKSTDAHLVEFSEAMRTVAKALRRVAEGKAAAQAEAEEWRRKYELEMAHKQQRKIKGYGSCANNELEKLASQLTLETPASDQAGCCGNHGICSHEVLQDESPGPNPRSSHKLVSRKASFRLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQILLKWESSPQTVLFITKPNSNSVHVLCAEMVRWLKEHKKINVVVEPRVSKELLTEDSYYNFIQTWDDDEEKKMLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFPSEQYRDCLDNVLNGPFSITLRNRLQCHVIRDAAKDELETEEPILVLNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPHNSRGQAWASFDGKDRKLLSPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPRD >ORUFI01G47840.1 pep chromosome:OR_W1943:1:38912621:38916038:1 gene:ORUFI01G47840 transcript:ORUFI01G47840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIALLLFVLVVAAAADSTMPMPVNEEVLGLVVFKSALSDPSGALATWTESDATPCGWAHVECDPATSRVLRLALDGLGLSGRMPRGLDRLAALQSLSVARNNLSGELPPGLSLLASLRSIDLSYNAFSGPLPGDVPLLASLRYLDLTGNAFSGPLPATFPATVRFLMLSGNQFSGPLPQGLSKSSFLLHLNLSGNQLSGSPDFAGALWPLSRLRALDLSRNQFSGTVTTGIANLHNLKTIDLSGNRFFGAVPSDIGLCPHLSTVDISSNAFDGQLPDSIAHLGSLVYFAASGNRFSGDVPAWVGDLAALQHLDFSDNALTGRLPDSLGKLKDLRYLSMSENQLSGAIPDAMSGCTKLAELHLRANNLSGSIPDALFDVGLETLDMSSNALSGVLPSGSTKLAETLQWLDLSVNQLTGGIPAEMALFMNLRYLNLSRNDLRTQLPPELGLLRNLTVLDLRSSGLYGTMPSDLCEAGSLAVLQLDGNSLAGPIPDNIGNCSSLYLL >ORUFI01G47850.1 pep chromosome:OR_W1943:1:38918675:38922457:1 gene:ORUFI01G47850 transcript:ORUFI01G47850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHYAAMPSSSSSSLSGVSSQPPLHLPRLRSPHQASRRLSALPFSRALPLPLRLRLRIPRPQLPPLPLAFSHGGGGDNDGDDNNNNGGGDGEGDGGAPDNRREALFVLAQLGRKLESLPSDLAAAVEGGRVTGEIVRRFAEMEGSALLRWLLQFQGFRERLLADDLFLAKLAMECGVGVIAKTAAEYEKRRENFVKEIDIVIADVVMAIVADFMLVYLPAPTVSLQPPLATNAGHIANFFHNCPDNAFQIALAGRSYSILQRLGAVLRNGAKLFTVGTSASLIGTGVTNALIKARKAVDKELDDEVEDIPVLSTSVAYGVYMAVSSNLRYQILAGVIEQRMLEPLLHNHKLLLSALCFAVRTGNTFLGSLLWVDYARWVGVQKVQEEA >ORUFI01G47860.1 pep chromosome:OR_W1943:1:38922748:38924839:1 gene:ORUFI01G47860 transcript:ORUFI01G47860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWPPPPPVQLSMQFLPPRPEPAAAARTSICCTCGVPMAPNAANTCALCIRSRVDIAAGVPRHADVVHCPSCSSYLHPPRLWLRAAPESPELMSLLLRRVDRHIARLGVALAAAEFVFTEPHSRRLMLRLRLRGEVLHGSGGGVTLEQGHVVEFAVHDRLCDACAMARARAAEPPDQCGWSAVVQVRQRASHRRTLLHLEQQVVAHGAAGDALRVGAAAEASRDLGGLGPIVLCVKVTNALALLDTSTLRVVHLGIKEYDRCRLEPALTSRQLVEYVVLDVDHEPEPAAGVAYAQVARASDLGKNDTIFTVRTHLGHVLNAGHRALGDDLYGANVNNHDVESHGLPDAVLVKKINEKGSTRRQLQDGDGCRRRKRDGDEMEEIAMGIGCIDLNPPDEKELDELLRGPHNLRASAVTSPCLCQAHMSHMSVTMAR >ORUFI01G47870.1 pep chromosome:OR_W1943:1:38927481:38928706:1 gene:ORUFI01G47870 transcript:ORUFI01G47870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPDWSMLPSDPIVRIGDCLLAADDFDCYDNLRLVCRMWRSGTDDPRTADFEDARFLPKKLAMLELDVNPGDHRAAAVATFVNLDTGRFLRKRVPGLRGCFLVAVTSAALVVLSDAAPPHGTRGEGRPFQGAHPCGGGGEGGGREDVAAHGVRLVVPREVYVTNRGSIVSTVLLTDDDEEEEEEEGEQQQGVRPQPRAADTIAMIPIIRMPPPAVKLYAYFHHLVESAAELLLVDTVNKVFVPVRSLGNRSLFVSQARSFSVDADKFPTVEAGCVYVVEPGPATYERFHLADGRLEEAIPMVNRRRAAEGESCVLPLTLEQVMVNYCVDTENYSELEIALDTDDDEEFFLPEAEGHGTN >ORUFI01G47880.1 pep chromosome:OR_W1943:1:38930829:38940823:1 gene:ORUFI01G47880 transcript:ORUFI01G47880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGHRQHALTARLAAAPRPACAVELLSPRRARSFRRVREAEPARLVRAVAASPAWPLVNVVGGEHVAAMMTAVGARPEEYLEELGKVAKLAAGFNLVDLFPESRLVRAAQAAHGKIHSIMDAMVQDHLKAMEERREEVADGVVDDGDGDGAERDEELLSILLRFQRDGGLGITLTNGNHQRDSGILAGGSDTTTTTVMWAMSELLRCPRAMQGRNKVDEAYILEGPFNITCNWSSKKRMSDAGKMRRIFDLRGLNMTIPIIMFLSGGFGRRMFPDFIFAQFNIEIALANLLYHFDWELPCSENRMELDMTESAGSSSQTIKMIKMAGIVDTAAFCTLLCLLLTLVVFKLKTATSSRHNAGVNLPPGPWALPVIGSIHCLLGSLPHHAMRELSRRYGPVMLLRLGHVRTLVLSSPEAAREVMKTHDAAFATRAVTPTASILTYGARDIVFAPFSKHLRELRKLCTLELLSPRRVRSFRHVRDEEAARLARSVAAAAPAVVNVSELVKIMANNIIMTAIIGDTCPQRDEYLEALDKTMDLMNGFNLIDLFPGSRLARVLGARSLRATKRVHQKLHQITDTIIQGHEIIKDGSVGDDTIQETVGTHNMHGHGHKCEDILDDLFAAGSETTSTTIIWAMSELVRTPHVMERAQSEIRQVLQGKTVVSEADIEGRLHYLQLVIRETLRLHPPVPFLIPRLCSEANSKIMRYNIPQGAMVLVNISAIGRDEKIWKNANEFRPERFKDDMVDFSGTDFRFIPGSAGRRMCPGLTFGLSNIEIALASLLYHFDWKLPNDASSSSNRLSSRSNQQIMAGIMDSTTASYYTTLLCGALLLAAVVFKLKTAAAFSRHNAGVNLPPGPWALPVIGSIHCLLGSLPHHAMRELSRRYGPVMLLRLGHVRTLVLSSPEAAREVMKTHDAAFATRAVTPTASIDIVFAPFGKHLRELRKLCALELLSPRRVRSFRHVRDEEAARLTSASSSRS >ORUFI01G47880.2 pep chromosome:OR_W1943:1:38940795:38944506:1 gene:ORUFI01G47880 transcript:ORUFI01G47880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDVTMRAIIGDRCPQREEYLEALDKTMDLLAGFNLVDLFPGSPLARVLGGRSLRTTKRVHEKLHQITEAIIQGHGIKDTVGDEHHECEDILDVLLRFQRDGGLGITLTKEIVSAVLFDLFAGGSETTSTTILWAMSELMRSPHVMEQAKYEIRQVLQGKAMVSEADIEGRLHYLQLVIKETLRLHPPVPIVIPRLCSKPNSKIMGYDIPQGTSVLVNVSAIGRDEKIWKDVNEFRPERFKDDIVDFSGTDFRFIPGGSGRRMRPGLTFGVSNIEIALVTLLYHFDWKLPSETDTHELDMRETYGLTTRRRSDLLLKATPSYARLGWSTNMQIYSVKARAGRLPVTTRTVAAREKEYLLVVLLRLQRWEHRDNPYYGGYGLNLVKSWVMTYLKNTAIFVNTWALGLKYSRMGIVDFNGLDFRFLPCGAGRRICLGLMFELSDIELTLASLLYHSSWRLPTRSYSNKLDMTEANGITTHRRIDIWLEATPFVPRG >ORUFI01G47890.1 pep chromosome:OR_W1943:1:38945385:38956601:1 gene:ORUFI01G47890 transcript:ORUFI01G47890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKQKPAAADAAAEVDADEPSQAPLPLEAFSGDVCAALTARYGRSTAPQHRHLLASAAAIRSILVDDGLPVTPASYLPAAISALQAAGSADPAAASALASLLVILLPNIPSSPSSLPPASASESASALAVFLSSPDASQLPTGTVRSVVKSLGHLAFHVDAAADWGSVASPLEALLAASVDQRAKVRRCAQESVEKLFAHLKQCGCGKKVSNAAIGMFDKHIASVKNHVNLNSDASEGKELEAANMLSAMVVVVPYLSKKAMKTVFSEVYQLLTPCFSPLTRHVLKLMETLLDHLKAENVESDLVNLIPLLLAYLNYDEKKPDDTIVAALKLMKNCLAKLVGRPNLWMEVLPSAFEAVSGYLILDRKCSEDIAGLLQDCIDSHIDRNIILTGSQLCDRDYESLSDAAAVKSICSSINNMLCACASPPNRESSYAFMKNIILTLSQIAAKTDKESERKNVEECIGAAVIALGPDKILSLIPIGFDEDRLTCSNTWLLPILDKYIYGASPQQFLERIVPLAESVQQASNMAKSARKCEDLKSCSNQLWNLLPAFCRYPVDICQNFGSLSKLLLEMLKCDKYLYKSAVKALQQLVDGTRRLSSSEQNREIYVELSTLFSSKIINLSCPSFERCSKKDARKNMKVLASHSVYLLSTVTDYFLDSSPEKRSHLKDALRCLAQLSGSTNICNLFLSLVKRFGLEDTQSEQENIECQTNEVDKKDEEGTDVDEEKNKKRSLVMELISTFAEAADEDLLDLLFGFVKSSLLNNKQPCEGKALFALSIILKEHNEYSLARLDEIMMLLHGIKADLDNEVLEGQLLCYQYLLVHMIKANEENTSKKAFLILNELIVALKSKKESRRLAYDVLLAISNSLRSSESNSEDSDLQRLFTMVMGYLSSPAPHIVSGAIAALSLLIYNDANFCLEVPNLIPSVLVLLKHKAIEVIKASLGFVKVLVTSLHSEKLLELQADIMSGILPWSSVTKHHFKGKVVLIMEILIRKCGFDAIDLVTPEKYKAFVRSVEEGRKGNHNPADGAESEAQQPTQHAAKRRKRSDSDVGTGQEGTHTRAPSRSLPAGKKEFFVKGARNARSPGVKSQRSKPSGRNGDRTNFKSKSEPRPGNGQNTKGDKPQGFNKRNRTGKFDKTQNRGGKASDRSSRFKKPRTAATT >ORUFI01G47900.1 pep chromosome:OR_W1943:1:38957933:38961095:1 gene:ORUFI01G47900 transcript:ORUFI01G47900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDESPSWGSRSVDCFEKLEQIGEGTYGQVYMAKETETNEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIQLKEIVTSPGPERDEQGKPIEGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNGNLTNRVITLWYRPPELLLGSTRYGPAVDMWSVGCIFAELLNGKPILTGKNEPEQLSKIFELCGTPDELIWPGVTKMPWYNNFKPQRPMKRRVKESFKHFDRHALDLLEKMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSLPKYEASHEFQTKKKRQQQRQAEEAAKRQKLQHPPPHSRLPPIQNPGQPHQIRPGQPMHNAPPVAAGPSHHYAKPRGPGGPNRYPQGGNQGGYNPNRGGQGGGYGSGPYPQQGRGPPPYPGGGMGGAGGPRGGGGSGYGVGGPNYQQGGPYGASGPGRGPNYNQGGSRNQQQYGNWQ >ORUFI01G47910.1 pep chromosome:OR_W1943:1:38963201:38965822:-1 gene:ORUFI01G47910 transcript:ORUFI01G47910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSHVIPFLSPAATSARCSPYGHRRRGRAGLLRCAAAAGQAGFFTRLGRLIQEKAKSDVEKLFSGFSKTRESLSVVDELLTYWNLADTDRVLDELEEARPLLHCSACCSLLLHCSALLLSSFFLNVSCLVSCTQALLVSDFGPKISFRIVDTLREEIRDGKLKSGAEIKEALKRCILELLTSKGGNPELQLGFRKPAVIMIVGVNGGGKTTSLGKLAYRFKNEGVKVLMAAGDTFRAAARDQLEVWAERTGSEIVIDNDKKAKPASVLSQAVKRGKREGFDLVLCDTSGRLHTNYGLMEELVSCKKVIAKALPGAPNEILLVLDGTTGLNMLQQAREFNDVSVVDELGIPVKFIGVGEGMEDLQPFDAEAFVEAIFP >ORUFI01G47920.1 pep chromosome:OR_W1943:1:38967293:38973944:1 gene:ORUFI01G47920 transcript:ORUFI01G47920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAALLGVGFALCLLRAQAQPYDYPTAKPSTTWANTDAALRHHVAYTDGSVARAALLRLNPARLGPSFAFGFFCTNHRAGAPCADFLLGVAVVYCNSGAGITAVTTGIPQVVWSANRAAPVGDGATAELTADGDLVLRSPGGKVLWSAGAAGRGVSGMSINSDGNLVLFDGSNRTVWQSFDHPTDTLVVGQSLKQGARLTANASFDNSSEGRIYLAVADDGLAAYVDAKPPQRYYVLGYSKNAGAYAAYTNGSLAVLDRPGGQQLATVQLPAVAAGTVQYMRLEHDGHLRLYEWRSNGMRWEATGDVLHPYPGDCAYPTVCGAYGVCTDMQCSCPDAANFRAVDFRRPNRGCVPTSPPAPPATCRSRRARHRLVSLRDTAYFNSHDTSMRTLERVGEAACKAACLGDCACMAAQFVYGFDPNDGFCYLQSEVLSLETMQPEKYYTIQNIYRNGPCRPSGGRQGHSSVPYYELGWNGIPTNVLGLLTLGPWAVASHPVIAWWHSPSPPRLREAPCVSPPAPLLPSPAPSPAFLGCRSNPAPRRDLRS >ORUFI01G47930.1 pep chromosome:OR_W1943:1:38976121:38983767:1 gene:ORUFI01G47930 transcript:ORUFI01G47930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAGGGGGAAGQFGDTTLTKVFVGGLAWETQKEGMRGYFEQFGDILEAVVITDKNTGRSKGYGFVTFREPEAAMKACFDPYPVIDGRRANCNLAYLGVQRSKAAAASLQPYAGHMRAMKSIIQTGGGGASLSMADHGIQQGIPTYNVYGYSPYFSDYGYPLSYYQAYGGLGAQYQMFAGGAAAGAAGLTMANPTGGGLYSPYFQYGPAVAANAAAAGYAGMQYPQMYQYAAAAAAVGAPTAATTASQLTAVAGLQQHDNVSDSSNSAGTITTVSVQAHFFSCRCSSRETIGLENHGCLKSASI >ORUFI01G47930.2 pep chromosome:OR_W1943:1:38976121:38983502:1 gene:ORUFI01G47930 transcript:ORUFI01G47930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAGGGGGAAGQFGDTTLTKVFVGGLAWETQKEGMRGYFEQFGDILEAVVITDKNTGRSKGYGFVTFREPEAAMKACFDPYPVIDGRRANCNLAYLGVQRSKAAAASLQPYAGHMRAMKSIIQTGGGGASLSMADHGIQQGIPTYNVYGYSPYFSDYGYPLSYYQAYGGLGAQYQMFAGGAAAGAAGLTMANPTGGGLYSPYFQYGPAVAANAAAAGYAGMQYPQMYQYAAAAAAVGAPTAATTASQLTAVAGLQQHDNVSDSSNSAGTITTAQEIR >ORUFI01G47930.3 pep chromosome:OR_W1943:1:38976121:38984229:1 gene:ORUFI01G47930 transcript:ORUFI01G47930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAGGGGGAAGQFGDTTLTKVFVGGLAWETQKEGMRGYFEQFGDILEAVVITDKNTGRSKGYGFVTFREPEAAMKACFDPYPVIDGRRANCNLAYLGVQRSKAAAASLQPYAGHMRAMKSIIQTGGGGASLSMADHGIQQGIPTYNVYGYSPYFSDYGYPLSYYQAYGGLGAQYQMFAGGAAAGAAGLTMANPTGGGLYSPYFQYGPAVAANAAAAGYAGMQYPQMYQYAAAAAAVGAPTAATTASQLTAVAGLQQHDNVSDSSNSAGTITTVSVQAHFFSCRCSSRETIGLENHGCLKSASI >ORUFI01G47940.1 pep chromosome:OR_W1943:1:38984083:38985619:-1 gene:ORUFI01G47940 transcript:ORUFI01G47940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAVVVGMLILVSSAAANGGAVGVRRLAAWASRCSTWRATWTRSGHRWKVAWKQRLHQQQPPHITVRFRLQLYSAEDYSDLLINHYSRQTLALYSVGLRKFLLDGVGPLGCLPSLRASGLGPQGQCVDQVNQMVGFFNQGLRSLVDKLNADHPDAMFIYGNTYDAVYNMINNPHKYGFRVMDSGCCVLGEDGTCEPYAEPCEICSS >ORUFI01G47950.1 pep chromosome:OR_W1943:1:38988725:38994377:1 gene:ORUFI01G47950 transcript:ORUFI01G47950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKAKLQSVSESAIRSIGLGYDIANDIRLKNCKQRGSPDPLLIELDHDKVQDIVLPGNLTVTGVSKSIKCDKGERMRFRSDVLSFQQMSEQFNRELSLSGKIPSGFFNAMFEFTGCWQKDASITKSLAFDGWCITLYTVALSKAHIILKDHVKQAVPSTWEPAALARFIKKFGTHIVVGVKMGGKDVIYLKQQHSSSLQAVDVQKRLKEMSDQRFLDANGHSDISLADSYAKDNKVEAREQRLRFVESNPLNSYSSNEELVMMPKRRGGRDKDIISHSEWLNTVQAEPDVISMSFIPITSLLNGVPDKPRVEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQSSASLPVNLIGPKLYVCTNMVDVGKRPVTGLRLFLEGQKSNKLAIHLQHLCSLPQIIQLEDDTYNPQTPEAEIRKYYEPIGSWKRFSHVCTAPVDSDDSSIVTGAHLEVVSHGFKKILFLRLHFSKVCNATSVKNPEWDGSPNLGQKSGLISTLISTHFSTAALKPAPRPAEVNINSAVYPGGPPVPVQTPKLLRFVDTTEMLRGPQDLPGYWVVSGAKLHLERGKISLRVKYSLLTVNSPDDEFSPDEEF >ORUFI01G47960.1 pep chromosome:OR_W1943:1:38993607:38997012:-1 gene:ORUFI01G47960 transcript:ORUFI01G47960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYLHLVRWFFHPTAGVRSELPTTGVASLSERRRRRRALFFPATAAAETSATRRFPPIAPPASAPSGRARTTDAPLISAGRRSACGASSGAVYMEKARLRAFQREQATAKTCMVSSFATDFLEIRAKEPSVHVLVIPGNPGIVAFYKDFVEELYENLGGQASITERGRLFSLHEQIDHKVDFIEQEFQHSEQSLVLVGHSIGAYICLEIFKRLQKKVKLCVGLYPFLTLNKKSMKQSAIGYIARSSLLSKGVSSFVSFIGSLQASVTRGIVRRLLGPSWSVTAVEATCGHLLWYHTMRNVLFMAMTEFTKLSEEPDWNFISAKQDQIAFLFDVDDHWGPLAHLEEISKRAPGVALSVETEGHTHGYCCTEAGSFWAADYVANLIKTKF >ORUFI01G47960.2 pep chromosome:OR_W1943:1:38993607:38997012:-1 gene:ORUFI01G47960 transcript:ORUFI01G47960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYLHLVRWFFHPTAGVRSELPTTGVASLSERRRRRRALFFPATAAAETSATRRFPPIAPPASAPSGRSASMANQALIPSQPPGSMMRALPLRDLQARTTDAPLISAGRRSACGASSGAVYMEKARLRAFQREQATAKTCMVSSFATDFLEIRAKEPSVHVLVIPGNPGIVAFYKDFVEELYENLGGQASITERGRLFSLHEQIDHKVDFIEQEFQHSEQSLVLVGHSIGAYICLEIFKRLQKKVKLCVGLYPFLTLNKKSMKQSAIGYIARSSLLSKGVSSFVSFIGSLQASVTRGIVRRLLGPSWSVTAVEATCGHLLWYHTMRNVLFMAMTEFTKLSEEPDWNFISAKQDQIAFLFDVDDHWGPLAHLEEISKRAPGVALSVETEGHTHGYCCTEAGSFWAADYVANLIKTKF >ORUFI01G47960.3 pep chromosome:OR_W1943:1:38993607:38997012:-1 gene:ORUFI01G47960 transcript:ORUFI01G47960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYLHLVRWFFHPTAGVRSELPTTGVASLSERRRRRRALFFPATAAAETSATRRFPPIAPPASAPSGRIGLRRELGSCIHGESEVESFPEGASHGQDIFATDFLEIRAKEPSVHVLVIPGNPGIVAFYKDFVEELYENLGGQASITERGRLFSLHEQIDHKVDFIEQEFQHSEQSLVLVGHSIGAYICLEIFKRLQKKVKLCVGLYPFLTLNKKSMKQSAIGYIARSSLLSKGVSSFVSFIGSLQASVTRGIVRRLLGPSWSVTAVEATCGHLLWYHTMRNVLFMAMTEFTKLSEEPDWNFISAKQDQIAFLFDVDDHWGPLAHLEEISKRAPGVALSVETEGHTHGYCCTEAGSFWAADYVANLIKTKF >ORUFI01G47970.1 pep chromosome:OR_W1943:1:38997344:39003440:1 gene:ORUFI01G47970 transcript:ORUFI01G47970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSPRGGGCAGEPPRIRRLEESVVNRIAAGEVIQRPSSAVKELIENSLDAGASSVSVAVKDGGLKLIQVSDDGHGIRFEDLAILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYRDGVMENEPKPCAAVKGTQVMVENLFYNMVARKKTLQNSNDDYPKIVDFISRFAVHHINVTFSCRKHGANRADVHSASTSSRLDAIRSVYGASVVRDLIEIKVSYEDAADSIFKMDGYISNANYVAKKITMILFINDRLVDCTALKRAIEFVYSATLPQASKPFIYMSIHLPSEHVDVNIHPTKKEVSLLNQERIIETIRNAIEEKLMNSNTTRIFQTQALNLSGIAQANPQKDKVSEASMGSGTKSQKIPVSQMVRTDPRNPSGRLHTYWHGQSSNLEKKFDLVSVRSRRNQKDAGDLSSRHELLVEIDSSFHPGLLDIVKNCTYVGLADEAFALIQHNTRLYLVNVVNISKELMYQQALCRFGNFNAIQLSEPAPLQELLVMALKDDELMSDEKDDEKLEIAEVNTEILKENAEMINEYFSIHIDQDGKLTRLPVVLDQYTPDMDRLPEFVLALGNDVTWDDEKECFRTVASAVGNFYALHPPILPNPSGNGIHLYKKNRDSMADEHAENDLISDENDVDQELLAEAEAAWAQREWTIQHVLFPSMRLFLKPPKSMATDGTFVQVASLEKLYKIFERC >ORUFI01G47980.1 pep chromosome:OR_W1943:1:39003985:39009011:1 gene:ORUFI01G47980 transcript:ORUFI01G47980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRRGRSASRSSSGSSSRSPSRSASSGSGSSRSRSRSRSFSSSSSPSRSRSPPAAKARKASPAPESVVLHIDHLSRNVNEAHLKEIFGNFGEVVNVELSMDRMVNLPRGYGYIEFKKRTDAEKALLYMDGGQIDGNVVKLRFTLAPRQRASSPMKAPPPPPKRDVPHNEKGAPSAEKDVQQRREPSPRRKPASPPRKRTPPNRRIESPRRQPDPSPRRRPDSPPIRRRADASPVRRGDTPPRRRPGSPVTTTTPKAAKKPSWKKATTSTSS >ORUFI01G47990.1 pep chromosome:OR_W1943:1:39009878:39010299:-1 gene:ORUFI01G47990 transcript:ORUFI01G47990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHHKNDDKAAAAAGGDHRKEEKHHKHMEQLAKLGAVAAGAYAMHEKHKAKKEPENARSHRVKEEIAATIAAGSVGLAIHEHHKKKEAKKHGHHH >ORUFI01G48000.1 pep chromosome:OR_W1943:1:39011273:39012064:1 gene:ORUFI01G48000 transcript:ORUFI01G48000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHHKNEEKMAAAGAAPKDAGDYRKEEKHHKHMEQIAKLGAAAAGAYAMHEKKQAKKDPEHARSHKMKEGIAAAVAVGSAGFALHEHHEKKEAKKHRRHAHHHH >ORUFI01G48010.1 pep chromosome:OR_W1943:1:39021170:39024111:1 gene:ORUFI01G48010 transcript:ORUFI01G48010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPFPSLDAFYLHLLRACTSLRHAAAVHAHIARAHPAASLFLRNTLLAAYCRLGGPLPARRLLDEMPRRNAVSFNLLIDAYSREGLAPLSLETLARARRAGVDVDRFSYAAALAACSRAGHLRAGRAVHALAILDGLSSGVFVSNSLVSMYSKCGEMGEARRVFDVAEERDDVSWNSLVSGYVRAGAREEMVRVFAMMRRGGMGLNSFALGSVIKCCSGRGDGTMDIAEAVHGCVIKAGLDSDVFLVSAMIDMYAKKGALVEAAALFRSVQEPNVVMFNTMIAGFCRTETVIGKEVASEALTLYSEVQSRGMQPTEFTFSSVLRACNLAGYLEFGKQIHGQVIKYTFQEDDFIGSALIDLYFNSGCMEDGFRCFRSSPKHDIVTWTAMVSGCVQNELHEKALSLFHESLGAGLKPDLFTISSVMNACASLAVARAGEQIQCFATKSGFDRFTVMGNSCVHMYARSGDVDAATRRFQEMESHDVVSWSAVISCHAQHGCARDALHFFDEMVDAKVVPNEITFLGVLTACSHGGLVDEGLRYYETMNKDYGLSPTIKHCTCVVDLLGRAGRLADAEAFISNSIFHADPVIWRSLLASCRIHRDLERGQLVANRIMELEPTSSASYVILYNMYLDAGELSLASKTRDLMKQRGVKKEPGLSWIELKCGVHSFVAGDKSHPESSAIYTKLEEMLSRIEKLATTDTEISKREQNLMNCHSEKLAVALGMIHLPQSAPIRVMKNLRVCRDCHSTMKLISKSENREIILRDPIRFHHFRDGSCSCADYW >ORUFI01G48020.1 pep chromosome:OR_W1943:1:39027703:39035050:-1 gene:ORUFI01G48020 transcript:ORUFI01G48020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPSRHNACGGGGGDGESPPSPLPSRFERFRRRLRLRHRDRAGRPGGDAHASESGTGRAIAVDEFAGIARIRIVKADMQFKDKFIACLSLGERTYRTEKSDNTSTPVWNSEKKVVVETNGPHIARISVFETNRFSKNTLVGYCEVDLFELLTKDLDEHSEVLSLLDPSSSATIVGSISISCYIEDPVETEQSFARRVLAIVDYNEDGELSLSEFSDLMKAFGNKLAVAKIEELFRQADKNGDGIVDMDELAALLANQQEKEPLISNCPVCGEILGKHDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSSYDVGLHSGSTASHILVFDRRTKRLVEEVIDGKIVLSMRALYQSKVGLTLIDTGVKDLLKNLSEKQGKKMSSPESAKDIPKFLELFKDQINLDEVKDPLESFKTFNEFFVRQLKPGARPIACYEQDTIATCAADSRLMTFSSVDESTRLWIKGRKFSIEGLLGKDVHSDALCNGSLVIFRLAPQDYHRFHVPVSGTLEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTSEFGKVAFVAIGATMVGSIEFLKEEGDYVHKGDEFGYFAFGGSTVICVFEKDAIEFDADLLANSARSLETLVSVGMRLGVSTRNRDLQPQELEKCSLE >ORUFI01G48020.2 pep chromosome:OR_W1943:1:39027703:39035050:-1 gene:ORUFI01G48020 transcript:ORUFI01G48020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPSRHNACGGGGGDGESPPSPLPSRFERFRRRLRLRHRDRAGRPGGDAHASESGTGRAIAVDEFAGIARIRIVKEKKVVVETNGPHIARISVFETNRFSKNTLVGYCEVDLFELLTKDPVETEQSFARRVLAIVDYNEDGELSLSEFSDLMKAFGNKLAVAKIEELFRQADKNGDGIVDMDELAALLANQQEKEPLISNCPVCGEILGKHDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSSYDVGLHSGSTASHILVFDRRTKRLVEEVIDGKIVLSMRALYQSKVGLTLIDTGVKDLLKNLSEKQGKKMSSPESAKDIPKFLELFKDQINLDEVKDPLESFKTFNEFFVRQLKPGARPIACYEQDTIATCAADSRLMTFSSVDESTRLWIKGRKFSIEGLLGKDVHSDALCNGSLVIFRLAPQDYHRFHVPVSGTLEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTSEFGKVAFVAIGATMVGSIEFLKEEGDYVHKGDEFGYFAFGGSTVICVFEKDAIEFDADLLANSARSLETLVSVGMRLGVSTRNRDLQPQELEKCSLE >ORUFI01G48030.1 pep chromosome:OR_W1943:1:39036027:39037475:1 gene:ORUFI01G48030 transcript:ORUFI01G48030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLSSPRALFSVTPTACWPASARRRRRVASPVKAAAAAAEPAGEEKKPATGGAAAAAGDGQAAAPAPKKILKKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPFFKGLDYIYEDRGEVLDIRIFETGEYALIAWVGIPTPPAWLPTYMLIKSDKLDYERI >ORUFI01G48040.1 pep chromosome:OR_W1943:1:39039372:39041910:1 gene:ORUFI01G48040 transcript:ORUFI01G48040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVAGVPEDEESGLLPRPSAAGRRPSVAAARRAPPPPVWATVDGPLGLPLEEAEGHARRFFLWGFACLPFLWAINCCYFWPVLRSPATFPSSAAFSRIRPYVVRSAIGFTIFSVVLLTWATTFIIGGERLFGPGWNDLVMYNVADKLGISGFMG >ORUFI01G48050.1 pep chromosome:OR_W1943:1:39049973:39053280:1 gene:ORUFI01G48050 transcript:ORUFI01G48050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSHDAFAAARARARASSSSLERPRRAQADPAALCRERAALIRAAADRRYALASAHAAYFRSLAAVGGALRRFAAAALAPGTPPSGSSPVLTLPPSPAKPVDASAAAARSSLPPSPSSSSTVSPLSHTLSDEDLDAHGAAKHATAAAASSTRYHYHYMRNSPTVPTTVYEDPNGEASYGGYGYTYSYGPYGEVVAEERPETATPPPTAEVAAWDFFDPFTSYDQFIEDYKGHDGGNLPSNSPNYSELRRVEGIPELEDEAELEAAEAKAKASKPSTSVVADQGGKGKRPISSDVSSKGEASDGKLLQRKGSGGNGEPENASLKGSGSGDNNGSSTSKKKGIAFDGIEQPIAAAQGEGGSGKSVQSTAVSSESFSPLHQGNRSVMEAMDEIKERFDEALNCGEEVSKLLEVGKVPPQSSTPRVLRYLSSRVMDPLSLTVPSSSCLPKPRRKSRTLSGKASTSSNPSVAGRRNSAGSLSSTLEKLCAWEKKLYQEIKDEEKLRILYEKKYRRLKSLDERGLDSTTIDATRLSVRNLQSRITINIRTANAFSSKIQNIRDEELYPQLVDLIIGLRRMWKAVLLCHEKQLSAIQDSKMHLIKAVTISQSNAAAVATVELERELAKWYRCFNKWISSQRSYAEALNGWLRKWLTEPEVQEENTPDGAPPFSPGKLGAPPVFVISNDWLQVIEMVSKNEVLKTIDQFSKLVHEYKKTQEKEHRQKRKADHASRDYNKRRKVLQRELGLSTSLDMVAVMENTHHSHDNRVIELEKMSRKKDEEKTKHDEIVKHAHLAASATLPVGLVPVLHQIVSFSQENVQKYTSIRTRGARVH >ORUFI01G48060.1 pep chromosome:OR_W1943:1:39053910:39059858:-1 gene:ORUFI01G48060 transcript:ORUFI01G48060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLRPPPQPAASLASFLPFSPFRRFLHSPSWRPPPPPPPRRRRLLSTAVASSSSSSKGSTSLEERYDEAMPDVRPRLRRLVLPCNPAVGGPAKSQLVHEVDALGGEMGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYAVEMKKVVESTQNLFIREAMATEVMIGQNDNVEGVHTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQQLGFETDRLKTGTPPRIDRRTVNFSGLEPQHGDEEVGWFSFDPEFHIERDQMCCYLTRTTKETHQIVRDNLDETPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQVFLEPEGRDVPELYLQGFSTGLPERLQLSLVRTLPGLENCVMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIILERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRADNADSRLTPLGRDIGLIDDRRWELYQSKQARIKEEKERLKSTKIPGGEFAAAVTSVSNQPVKESSTLEAILKKPHVQYKLLDKHGYGNEHLSRIEKECVEIDIKYEGFIARQQSQLQQIVNQEHKKLPEDLDYHSMTNLSLEAREKLSKVRPQTIGQASRIGGVSPADMTVLLIWMESNRRMANYKRQQSTLRSAATKADDSSDEVVHASATNA >ORUFI01G48060.2 pep chromosome:OR_W1943:1:39053910:39059858:-1 gene:ORUFI01G48060 transcript:ORUFI01G48060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLRPPPQPAASLASFLPFSPFRRFLHSPSWRPPPPPPPRRRRLLSTAVASSSSSSKGSTSLEERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEMGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYAVEMKKVVESTQNLFIREAMATEVMIGQNDNVEGVHTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQQLGFETDRLKTGTPPRIDRRTVNFSGLEPQHGDEEVGWFSFDPEFHIERDQMCCYLTRTTKETHQIVRDNLDETPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQVFLEPEGRDVPELYLQGFSTGLPERLQLSLVRTLPGLENCVMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIILERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRADNADSRLTPLGRDIGLIDDRRWELYQSKQARIKEEKERLKSTKIPGGEFAAAVTSVSNQPVKESSTLEAILKKPHVQYKLLDKHGYGNEHLSRIEKECVEIDIKYEGFIARQQSQLQQIVNQEHKKLPEDLDYHSMTNLSLEAREKLSKVRPQTIGQASRIGGVSPADMTVLLIWMESNRRMANYKRQQSTLRSAATKADDSSDEVVHASATNA >ORUFI01G48070.1 pep chromosome:OR_W1943:1:39060594:39072097:-1 gene:ORUFI01G48070 transcript:ORUFI01G48070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSRLFYLCSIIFMFYLVQRTEAQITAPWEVDALKAIKGNLIDPQGRLNNWNRGDPCMGNWSYVHCYNETASDGYLHVLELQLLKLNLSGSLAAELGRLSHMQIMDFMWNNISGSIPKEVGNITSLKLLLLNGNQLTGSLPEEIGFLPNLDRIQIDQNYISGPIPKSFANLNKTKHLLLDNNNLSGYLPPELSKLPKLLIIQLDNNNFSGTSIPSSYGNITTLLKLSLRNCSLEGPVPDVSGIPQLGYLDLSHNLLNGSIPGSFSGLPNLQRLSLDNNNLDGSVPSDVWRNIDFSGNRSLILDFQNNSLTNLSNPLSPPANVTILLSGNPICTSPNQLNITQYCQSVPVIVPDGSASNATVCPPCSTDLPFENILMSPIRCICAIPLYVDYRLKSPGFWDFVPYEGQFQQYLSSGLSLSSYQLEVSQFMWEEGPRVKMNLKLFPNNTAYFNKSEVLRLRGMFTGWLIPDSDIFGPYELLNFNPGWYNNLFPDRAKSSLSTGAIVGIVVAAFAAAAFLSSLITLIILRRRSRYSSKRRSAKRIPMKIDGVKDFSFQELSHGTNDFSDSALIGQGGYGKVYRGILSDGTIVAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQILVYEFMPNGTLRDHLSARSKEPLNFPTRLRIALGSSRGILYLHTEADPPIFHRDIKASNILLDSKFVAKVADFGLSRLAPEPESEGIAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGRNIVREVVAANQSGMILSVVDSRMGSYPAECVEKFAALALRCCRDETDARPSIVEVMRELEKIWQMTPDTGSMSSLSLEPSNTATPSSGSRMMVSSSSGVGNDDHHHYNMSSSDVSGSNLLSGVVPSINPR >ORUFI01G48080.1 pep chromosome:OR_W1943:1:39075296:39079468:-1 gene:ORUFI01G48080 transcript:ORUFI01G48080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDRTHHKHWNQAHVPSGTSKDKFQAKGQPKFIPDNYSSVDEVTAALRDAGLESSNLILGIDFTKSNEWSGRYSFGRKSLHAISATPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDQSVFSFYQDSRSCCGFEEVLERYRQIVPHLNLSGPTSFAPLIYAAISVVENSNLQYHVLVIIADGQVTTSNTKDGKLSPQEQATIQAIVDASYYPLSIVMVGVGDGPWDAMQHFDDCIPDRAFDNFQFVNFTEIMSTSKDMPKKEAAFALAALMEIPSQYKATQGLRPLEKHAGHVASHLRILPPPNKVLENDNAAASRPPTASSQSTGFGKNTTDEQVCPICLTNPKDMAFQCGHLTCKECGPTLSTCPLCRVPITMRIKFPVTAIDLF >ORUFI01G48080.2 pep chromosome:OR_W1943:1:39075296:39079468:-1 gene:ORUFI01G48080 transcript:ORUFI01G48080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDRTHHKHWNQAHVPSGTSKDKFQAKGQPKFIPDNYSSVDEVTAALRDAGLESSNLILGIDFTKSNEWSGRYSFGRKSLHAISATPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDQSVFSFYQDSRSCCGFEEVLERYRQIVPHLNLSGPTSFAPLIYAAISVVENSNLQYHVLVIIADGQVTTSNTKDGKLSPQEQATIQAIVDASYYPLSIVMVGVGDGPWDAMQHFDDCIPDRAFDNFQFVNFTEIMSTSKDMPKKEAAFALAALMEIPSQYKATQGLRPLEKHAGHVASHLRILPPPNKVLENDNAAASRPPTASSQSTGFGKNTTDEQVCPICLTNPKDMAFQCGHLTCKECGPTLSTCPLCRVPITMRIKFPVTAIDLF >ORUFI01G48090.1 pep chromosome:OR_W1943:1:39081407:39083696:-1 gene:ORUFI01G48090 transcript:ORUFI01G48090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSKKNPEPSSSSSSGDLGGKTVQELLDMDKDAVSELVSDHFHQLYSLQNHLDVDDDDDDHWSEHNEQEDRSQLQERLAFYRIIGYQLSMGGKIDELDIAKLKEKYSPEMLYNKGYFQYYEDSLEWYFDPERCMLTSLDDYQRLVLCDNGLYMDWDQYHSNYSTYESDLAYVKFCEELANETKWDKVKNIVYLQALKIALRIRVVSLMQIMAGFQEYIWSMRFDCCNYRDFDGVYFEVWKRVAKQKMEFTDALSELYREDMFPLRNVDIKDELDNIRVRAGFHSMKENYDIYVACIDETVPENEARQLIKEAVIKMVHRTRAKILEVTAQNRGDNSEAKLAMDN >ORUFI01G48100.1 pep chromosome:OR_W1943:1:39084768:39089963:-1 gene:ORUFI01G48100 transcript:ORUFI01G48100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSATSSNLIVYLVHKYNVKAIHAAQISNVVRGCMQLAPVLAAALSDAFFGPYPIASFVLFTLTAALPSLLPPPCQRGAGGGGATATSCEPPNAAQSAVLYAALCLLAAGNGGTRYNMAALGADQFAGEGQPRRRRQGGGFFSCYFAFLYASYATGDTVLVYVQDGVSWALGFGVCVATTGLALAALLLGSRHYRRPVPKGSPFTAMARVAVAAARKATVDLGSRVQYYHGCNRDDAVPRADQAPSDRFRFLNRAAMVVAGETREEDGSVAKPWRLCTVQQVEDVKSVVRVLPLWSSGILVSVTVNAQVSLTVLQALTMDRAVGPRFAVPAASITVTVLAAFVLAAALFDRVAAPLCAAAGKLAITPLRRVGLGHALNVASMAVAALVERRRIGAARGRAAAAAAVVPMSVLWLVPQLALTGAEEALHLPGNTALFYGELPASLRGTATAMPPLFIAAGSYLSAAAVDAVKRGTTWLPDDLNASRLDCVYWTLAVLAAVNLGYFLLCATTYKYNNYGGDDGNVKAQTQTDDCGFHYPLVFRASQDESESTKPNPSAPPPRNPDSQSQARHKHQATAASASAAERERGPASDSPPSSPASAGATPPARSPLPATPRPLGFAALTASSHVEVTPRVPSPPPLPPAKEEEDTARWLLANLLVIGGTVLGRAAVQAYRQAIVNANKTGAAQEAINGIRRASKAMTEQEARQILGISEKSTWEEIVQKYDTMFERNAKNGSFYLQSKVHRAKECLEAVYQKPDVPS >ORUFI01G48110.1 pep chromosome:OR_W1943:1:39093110:39093367:1 gene:ORUFI01G48110 transcript:ORUFI01G48110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAVVQIVMQVVLRRSICRLQEVFRVAVELGAAILAAVRLSGIASHRPTTPTSSPAAASRTTTTTYYYSPVAASMIGMSRLDRH >ORUFI01G48120.1 pep chromosome:OR_W1943:1:39101095:39105558:1 gene:ORUFI01G48120 transcript:ORUFI01G48120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASQPRSHQPTSLRRAPPPSSAAAKPEPNGKASNSKPASPVQAPSPERTVKKLRLAKALTLPEATSVSEACRRMALKRVDAALLTDSNGMLSGILTAEDISGRVIAEGLRPDETNVAKAMTRNPVFVMSNSPAIEALQKMVKGKFRHLPVVEHGEVIAMLDITKFLYDAISRMEKAAEQGSAIAAAMEGVERQWGNDFPGPHSFIENLRDQLFKPSLSTIITENNSVPVVSPSDPVIAAAKKMREYRVNSVVVMTGNMLLGILTSKDLVLRLVAQSLSPDVTLVEKVMTTNPDCATLDTSILEALHSMQDGKYLHIPVEGASEANSMANTMMQKFWDSALALQPAEESDARSAVKNLEWQHQIMLKGNIYPLMLAIHSPLNYKIEKGVCTDSVVVVSESLDELVSAVSYRLGMEKEKINLLYDDDEGDRVVLTTDGDLSAAIQHARSAGWKVLRLHMDEPWSNGEHTTSLVNTSPVKTGRSFLRLGIAAGAVAVASMGVIFYLKRSEL >ORUFI01G48120.2 pep chromosome:OR_W1943:1:39101095:39105523:1 gene:ORUFI01G48120 transcript:ORUFI01G48120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASQPRSHQPTSLRRAPPPSSAAAKPEPNGKASNSKPASPVQAPSPERTVKKLRLAKALTLPEATSVSEACRRMALKRVDAALLTDSNGMLSGILTAEDISGRVIAEGLRPDETNVAKAMTRNPVFVMSNSPAIEALQKMVKGKFRHLPVVEHGEVIAMLDITKFLYDAISRMEKAAEQGSAIAAAMEGVERQWGNDFPGPHSFIENLRDQLFKPSLSTIITENNSVPVVSPSDPVIAAAKKMREYRVNSVVVMTGNMLLGILTSKDLVLRLVAQSLSPDVTLVEKVMTTNPDCATLDTSILEALHSMQDGKYLHIPVEGASEANSMANTMMQKFWDSALALQPAEESDARSEESRMATSDNAEGKHIPPHVGNSFSFKLQDRKGLSESLDELVSAVSYRLGMEKEKINLLYDDDEGDRVVLTTDGDLSAAIQHARSAGWKVLRLHMDEPWSNGEHTTSLVNTSPVKTGRSFLRLGIAAGAVAVASMGPSYPFCNLV >ORUFI01G48120.3 pep chromosome:OR_W1943:1:39101095:39105630:1 gene:ORUFI01G48120 transcript:ORUFI01G48120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASQPRSHQPTSLRRAPPPSSAAAKPEPNGKASNSKPASPVQAPSPERTVKKLRLAKALTLPEATSVSEACRRMALKRVDAALLTDSNGMLSGILTAEDISGRVIAEGLRPDETNVAKAMTRNPVFVMSNSPAIEALQKMVKGKFRHLPVVEHGEVIAMLDITKFLYDAISRMEKAAEQGSAIAAAMEGVERQWGNDFPGPHSFIENLRDQLFKPSLSTIITENNSVPVVSPSDPVIAAAKKMREYRVNSVVVMTGNMLLGILTSKDLVLRLVAQSLSPDVTLVEKVMTTNPDCATLDTSILEALHSMQDGKYLHIPVEGASEANSMANTMMQKFWDSALALQPAEESDALKNLEWQHQIMLKGNIYPLMLAIHSPLNYKIEKGVCTDSVVVVSESLDELVSAVSYRLGMEKEKINLLYDDDEGDRVVLTTDGDLSAAIQHARSAGWKVLRLHMDEPWSNGEHTTSLVNTSPVKTGRSFLRLGIAAGAVAVASMGVIFYLKRSEL >ORUFI01G48130.1 pep chromosome:OR_W1943:1:39109554:39115397:1 gene:ORUFI01G48130 transcript:ORUFI01G48130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSREADQGSHALITRTEGHFGDATETETESRVLLVLFIRLPVAAGSSTVAERSAAELGGAIWGRGFAGSSTVAGVERRSCGQIIEGWEELRVNGLYHGNICLENVYYCKDNEKITIKLANFQSKGAMSKEAAQLEDINAIGRMLKSISALAKIGVPHQAERYMLVDHLAMNLEFLANTQQIGTIKDVILDHVFFWFKERRKRFFIYDIPKALKDAAFCNNVRRGQTCVLEWDKKPHHGLLGSMNRYRKTNLNLPAYDGNDPIQNVKFVSGAYTHEEEVQDDLTFNGMSSTVDEAVQSEQPMLCLNLYKCLSPEFPLNMDNKKIEGTQVPLRNLELVLVNKSFTNPTVLPV >ORUFI01G48130.2 pep chromosome:OR_W1943:1:39109554:39115397:1 gene:ORUFI01G48130 transcript:ORUFI01G48130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSREADQGSHALITRTEGHFGDATETETESRVLLVLFIRLPVAAGSSTVAERSAAELGGAIWGRGFAGSSTVAGVERRSCGQIIEGWEELRVNGLYHGNICLENVYYCKDNEKITIKLANFQSKGAMSKEAAQLEDINAIGRMLKSISALAKIGVPHQAERYMLVDHLAMNLEFLANTQQIGTIKDVILDHVFFWFKERRKRFFIYDIPKALKDAAFCNNVRRGQTCVLEWDKKPHHGLLGSMNRYRKTNLNLPAYDGNDPIQNVKFVSGAYTHEEEVQDDLTFNGMSSTVDEAVQSEQPMLCLNLYKCLSPEIEGTQVPLRNLELVLVNKSFTNPTVLPV >ORUFI01G48140.1 pep chromosome:OR_W1943:1:39109686:39114107:-1 gene:ORUFI01G48140 transcript:ORUFI01G48140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEPANKILQVQIMPGDSEDRLYWKHSRIGDCNTKSAYKEFMKRDNPITHQIWGNVDLVPTFLHVAVLSIRINHPDTGLSAHLQEVWNTQTAIQFRLRSRNLGKKNVELHSPTAPPLNARNRRRTRKTSPPNRPAKLRRRTLRNRRRACKISPEAARFSIKNWKSLLYHGETRSRTLTGGDRQADEEY >ORUFI01G48150.1 pep chromosome:OR_W1943:1:39119680:39124802:-1 gene:ORUFI01G48150 transcript:ORUFI01G48150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVRPAASAASWWAHPLPPPPSAPIPIHPASEQGRCCSSCCFRRILVSTSSAAAAACADPHPSRERAGTPSFALLLLPPHPGMLPSDPPGSDDDGNGTNMWTGQKDKLALDPCVSGDTNENAHACTSLTAVHQVLEESTNNTVHAMDMGVVTRQDDPLKASEEDINQHKDEQELWGPNVQTSQDDYFDYVKQLSPNSRRIDKWSLLSHDEMIEVKERHARYRIRFCKMLNKEFEDTMKDPAEYSRGELLKENYFLRYERDETLGWYFHPVHTWLAGLNDYQKLVLVNHDDSTEFLARDDYHSCFNTYEVDEDYVKYCEELPKKIKWIGDYVDLDRSSQEWSKMDNTTFFQALKIATNFCHMTVGLAQFAYMEYVWDLREISRLKEWPYFLHEIWKLVAKQKMNFNDALKVVYEMDMFHSFKFAVEAELNGDKLFGLEYRFSMCSKDISGDVEEGKALDLITKAVYREFHHTQTMCGYAAKKMDMAKKIGLV >ORUFI01G48160.1 pep chromosome:OR_W1943:1:39125423:39125977:1 gene:ORUFI01G48160 transcript:ORUFI01G48160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHRVDGLTWRSSVATYLHFAPSPLLLPSVRSNGSIGEPGRLAAGSTVAVGGRWAAGAAAVGSVAAGCGRSGNGQSSGCRGRQPNQERGGGDAATAVDGCSGEARRRPKMGPRRLQTGGEETSRGEGRGARQERRSGDAAVATTQEKGRAAMTVAQGRAKRTGEHGGSNVATAWLGEGRPWSK >ORUFI01G48170.1 pep chromosome:OR_W1943:1:39128094:39128704:1 gene:ORUFI01G48170 transcript:ORUFI01G48170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLLCPSRSQDYLLSRMLQGQYSDGPKPHRSPHLTGAAISTALPTPPCRPPHLTAEPPSPCPALLPSALLLPQTASSPRLSQAPNNCIGTSSPRAAGFSAAAHPSS >ORUFI01G48180.1 pep chromosome:OR_W1943:1:39130817:39133833:1 gene:ORUFI01G48180 transcript:ORUFI01G48180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTATSSSSTSISSMSTGGGINGGWTRGDENDNTNGGNNTDKASFSTRAFSGPHAFCISIIIDHVRPCSATSLPSSQALIIQISFRFFWGDVYLYDVLLCTYVWLSNVTSHQVVYKNWEGVDPNIRRTRSPLPPYLRHPKLSYCFQSEQASFRFSAKSIMN >ORUFI01G48190.1 pep chromosome:OR_W1943:1:39135628:39136771:1 gene:ORUFI01G48190 transcript:ORUFI01G48190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVGCLIAILARSCWHLAVAAVKLPALLCCDAMLSTVAFLTFPLRLLAAVDRERKAKLALLDRLVGEMQRQMERLVWENRELEEKLGMALKESRAMEEILDEMEEEHDDAFARITLLETQLKALKLENMRLIEHRGKSMWDKKPPATAVHGGESLPASTSRPSNTRKRKDREDEAEEAAAAQEEEGGGGVATEEDSEMSVQMRRGKAVARRRSLVSVGMAAAVGAVVWAADAPCLPLLAGLLATVGVSMCSVARFFLLREEAAAALRGGSGRPASSSSDAVVLLSLNWFLLGVLTSPMLPGAAHAVFPRAARLVAPAVAWFTATAPLSS >ORUFI01G48200.1 pep chromosome:OR_W1943:1:39137357:39140164:-1 gene:ORUFI01G48200 transcript:ORUFI01G48200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRRKKWVAWVAAVTIFVVLMLVTPAIPQNEEYHDFADQRRLFLGIPNTLNVISNIPFFFVGVVGLVLCHYKNYFRLNSQGELWSWTLFFAGVTAVAFGSSYYHLKPNDATLVWDRLPMTIAFTSVMAIFIIERVDDRAGTKSLAPLVIAGALSIMFFDDLRPYAVIQFVPCIAIPVMAIVIPPMYTHSSYWLWAAGFYLLAKVEEAADKPIYKWTHQIVSGHTLKHLAAAMVPVFLALMLAKRTVEPERVSLFQRWKVKLVAVRETRFNKDRNTVDYNYIAVSTTPEP >ORUFI01G48210.1 pep chromosome:OR_W1943:1:39141502:39144333:1 gene:ORUFI01G48210 transcript:ORUFI01G48210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFNGDEFAPFLGFIGAALALVFSCMGAAYGTARSGVGVAHMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIITTGINPTAMPYYHFDGSVHLAAGLATGLCALAAGLAIGVVGDAGVRANAQQPKLFVGMILILIFAEALGLYGLIVGIILSSRAGQFERT >ORUFI01G48220.1 pep chromosome:OR_W1943:1:39143235:39144924:-1 gene:ORUFI01G48220 transcript:ORUFI01G48220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGAGAAGGGKVSFKIILTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGALAAPF >ORUFI01G48230.1 pep chromosome:OR_W1943:1:39145673:39148368:-1 gene:ORUFI01G48230 transcript:ORUFI01G48230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQGGKPPAPDAGGGGGGGESKKGSPCEECGEQPWKYRCPGCSRLTCSLPCVQSHKRRTACTGKRPRTDPVPLANFDDHQLLSGNYSFLEETKQVAESAHRLIGAFGRNYGGFGGAQLPKWLFYLRKAAQRRGIWLQFLPRGMARREQNRSRHNHRKDCIYWTLEWKFNSTDVVLTDHNIDEHTSLLSSLEKHLSPGPWKNQLTPYRNTDLRDLKLFIQKSAKESTSPYRQLNIEEPLGPQLRSIKIVEYPTINVFLPSDSCDFEVEKFVNKLPASEKPPGSSTDSPDLEGTEFHEEEIEEGELAPETQVIDLKECGASHASNLASAKDTSGSKVDTKRDSSVLSYIRSLGLDGQQKALTERSKMAPNTTSGASKTKNCMKVYPMDMEESGDAGVISERQGIECKNQAASHPGNLTPVEGTTVSKIDSNTDSLVPSSISILASDGFSCPQVEHNQQSRLTPNSTPEALKRKSCMKVYPLDTEKNLGLFSEVPNLGFEQEIGNAYSDLFGDINPDDFLNFDLEMMDEDELAGITSPLKLWDDLEEGEIPTA >ORUFI01G48240.1 pep chromosome:OR_W1943:1:39149109:39150943:-1 gene:ORUFI01G48240 transcript:ORUFI01G48240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISKKNRREICKYLFHEGVLYAKKDYNLAKHPKVDVPNLEVIKLMQSFKSKEYVRETFSWQHYYWYLTNDGIEHLRSYLNLPSEVVPNTLKKSAKPPSRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRGSRPGFGRGGGGAFGGGASSME >ORUFI01G48260.1 pep chromosome:OR_W1943:1:39158868:39159221:-1 gene:ORUFI01G48260 transcript:ORUFI01G48260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLENPNYDGYGGDGGGDGDDDYYFTTMAERDVGIDSDYGLVAFLACLAVMTIGVGVLMVKYYRSHHGALAVFLLALAIFLFLMASGCGTKAMLYTLHGNPPAPDEQRLLVDDPLD >ORUFI01G48270.1 pep chromosome:OR_W1943:1:39166751:39172506:1 gene:ORUFI01G48270 transcript:ORUFI01G48270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTSATAGMLLLAAAAALVCSSAAARMPPLAKGLSLGYYDASCPQAEAVVFEFLQDAIAKDVGLAAALIRLHFHDCFVQGCDASILLDSTPTEKSEKLAPPNKTLRKSAFDAIDDLRDLLDRECGDTVVSCSDIVTLAARDSVLLAGGPWYDVPLGRHDGSSFASEDAVLSALPSPDSNVTTLLEALGKLKLDAHDLVALSGAHTVGIAHCTSFDKRLFPQVDPTMDKWFAGHLKVTCPVLNTNDTTVNDIRTPNTFDNKYYVDLQNRQGLFTSDQGLFFNATTKPIVTKFAVDQSAFFDQYVYSVVKMGMIEVLTGSQGQIRKRCSVSNAAAAGDRAWSVVETVAEAAESLEMASATTLVMLVAAVACLCAPAAAGDGNADATRQPPVVSGLSFDFYRKSCPKAESVVRKFVRDAVRKDIGLAAGLLRLHFHDCFVQGCDASVLLDGSATGPGERQAPPNLTLRPSAFKAVNDIRDRLEKACGASVVSCSDILALAARDSVVASGGPEYKVPLGRRDSAEFASQQDVLSGLPPPTAAVPALLDALAKIKLDATDLVALSGGHTVGLAHCSSFEGRLFPRRDPAMNATFAGRLRRTCPAAGTDRRTPNDVRTPNVFDNMYYVNLVNREGLFTSDQDLFADAATKPIVEKFAADEKAFFDQFAVSMVKMGQISVLTGSQGQVRRNCSARNPGTVAAGDLPWSVLEVADSFVF >ORUFI01G48280.1 pep chromosome:OR_W1943:1:39167844:39175839:-1 gene:ORUFI01G48280 transcript:ORUFI01G48280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQDPFYIVREEIQDSIDKLQTTFHRWEKTPSNTGEHVHLTKELHTSCESIEWQVDELEKTILVASRDPAYYGLDEVELSRRRNWIGSARNQVAAVRRSVEKGKSNSTFSAHQDMGTSRSSHYTAQDNDDFIASESDRQLLLMRQQDEELDELSESVQRIGGVGLTIHEELSGQERILNDLSLEMETTSNRLDFVQKRVAMVMKKAGIKGQIMLILFLVVLFIILFVLKTKLSATSRTDHGRSPAATVPGFLAEQFRRTWPCEPVSTLICPIFTMDTANWSKNAFSSAANFSTMGLVAASAKRSWSELN >ORUFI01G48280.2 pep chromosome:OR_W1943:1:39167416:39175839:-1 gene:ORUFI01G48280 transcript:ORUFI01G48280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQDPFYIVREEIQDSIDKLQTTFHRWEKTPSNTGEHVHLTKELHTSCESIEWQVDELEKTILVASRDPAYYGLDEVELSRRRNWIGSARNQVAAVRRSVEKGKSNSTFSAHQDMGTSRSSHYTAQDNDDFIASESDRQLLLMRQQDEELDELSESVQRIGGVGLTIHEELSGQERILNDLSLEMETTSNRLDFVQKRVAMVMKKAGIKGQIMLILFLVVLFIILFVLKTKLSATSRTDHGRSPAATVPGFLAEQFRRTWPCEPVSTLICPIFTMDTANWSKNAFSSAANFSTMGLVAASAKRSWSEVLGVRMSLTVVSLVFSTGQVTLRWPANHLSMVGST >ORUFI01G48290.1 pep chromosome:OR_W1943:1:39176141:39179910:1 gene:ORUFI01G48290 transcript:ORUFI01G48290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTSTTTAAAASPTPCRPAALVARSSAAPLRSAAPVVVAAGLRRAAAPSRRGATLRVQAKKQTFSSFDELLEKSEKPVLVDFYATWCGPCQYMVPILQEVSEKLGDKIQVVKIDTEKYTSIANRYQIEALPTFIIFKNGKPCHRFEGALPANQLIQQIESALEVAK >ORUFI01G48290.2 pep chromosome:OR_W1943:1:39176141:39179911:1 gene:ORUFI01G48290 transcript:ORUFI01G48290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTSTTTAAAASPTPCRPAALVARSSAAPLRSAAPVVVAAGLRRAAAPSRRGATLRVQAKKQTFSSFDELLEKSEKPVLVDFYATWCGPCQYMVPILQEVSEKLGDKIQVVKIDTEKYTSIANRYQIEALPTFIIFKNGKPCHRFEGALPANQLIQQIESALEVAK >ORUFI01G48290.3 pep chromosome:OR_W1943:1:39176141:39179060:1 gene:ORUFI01G48290 transcript:ORUFI01G48290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTSTTTAAAASPTPCRPAALVARSSAAPLRSAAPVVVAAGLRRAAAPSRRGATLRVQAKKQTFSSFDELLEKSEKPVLVDFYATWCGPCQYMVPILQEVSEKLGDKIQVVKIDTEKYTSIANRYQIEALPTFIIFKNGKPCHRFEGALPANQLIQQIESALEVAK >ORUFI01G48300.1 pep chromosome:OR_W1943:1:39181546:39182428:1 gene:ORUFI01G48300 transcript:ORUFI01G48300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQDELARTKTRWPTPAQLEMIERMKEEEEDDEIVASDNRTPSVVETKVQVPEIVEQNVVERDERLRTSDDNDDYEDEPIVRRDGHGGGGGGRRAYGDIGGYHGSKGRWPREPEVEKLEREKEMLKYGIMSKPTTTRKVKIVHRMIRPPNQYGAAGSAPPPTAGGGHQPATSSYLRPIYYHY >ORUFI01G48310.1 pep chromosome:OR_W1943:1:39185342:39186658:1 gene:ORUFI01G48310 transcript:ORUFI01G48310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYYSSTVDECYETTGRQHGHGHGHGHGHGHGHGGMRVESHTDDYYSEGGEIDRGRRNNSMHSQEYLMRQQSGHGGYGYGGGQQQEYYKREEREHKQRERYEGHQAKKDPANAQRHRIEQGVAAVAAVGAGGYAYHEHREQKQASYGAKEQQYGYARMPQQQGYYCN >ORUFI01G48320.1 pep chromosome:OR_W1943:1:39190387:39191585:1 gene:ORUFI01G48320 transcript:ORUFI01G48320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYYETGRHGHGGNDGEDHGSSSKKTSQQGGAGDQEYSHKQQERMAAIIQKGLSLVYEGHKAKEQYAPAPGCRSRSTASYYYYGNLFD >ORUFI01G48330.1 pep chromosome:OR_W1943:1:39193628:39195347:1 gene:ORUFI01G48330 transcript:ORUFI01G48330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRNHGGGLTLLFHFHLAVLVVLPSLLPRARAAAAADSSWHPNHPPTRRGHHVGGGNASPSAAAGHGLPPLSAPAPAPIAGADDLPAFGRAPKQAPPHFGFPLQPTFGVAAPPVAPTAAGEGYPFIGSNPTVPLPTGMTDTSTVVGRAAAAPVRAQIAMIGLVATISILFLSGRS >ORUFI01G48340.1 pep chromosome:OR_W1943:1:39197583:39198285:-1 gene:ORUFI01G48340 transcript:ORUFI01G48340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSSAAPCDITQFQLLIFGIRSNYMQYYSSADNMAPDNLIIALTPKNDRWKIKVKVTRLWDAVNPTMADDFYGIQMIVLDAEGNSIRVKVK >ORUFI01G48350.1 pep chromosome:OR_W1943:1:39202853:39206088:-1 gene:ORUFI01G48350 transcript:ORUFI01G48350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKSPGDGDSSSGGGGGEQEQEQQAAVVVANATDVSHFGYFQRNPAREFILFVARTVAARTPAGRRQSVHCYNQNGLCAIAFTDDHYPVRSAFSLLNTDPAEADKLLKIQRDLDETKIILHKTIDSVLSRGERLDSLVEKSSDLSAASQMFYKQAKKTNSCCTIL >ORUFI01G48360.1 pep chromosome:OR_W1943:1:39207312:39208905:-1 gene:ORUFI01G48360 transcript:ORUFI01G48360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSIEKSYELPDGQVITIGSERFRCPEVLFQPSMIGMESAGIHETTYNSIMKCDVDIRKDLYGNVVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYDESGPAIVHRKCF >ORUFI01G48370.1 pep chromosome:OR_W1943:1:39210308:39211449:-1 gene:ORUFI01G48370 transcript:ORUFI01G48370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAAATAAVSCPRLVPERIPLSASVAVTCGRGCGVAVRAHGQSATRRGEKLCDDHDGHGGCQCGGCEPKINKKAGPKAEAHGQLQPSKPPHQHNSPRGPEHIIAFAAKSQH >ORUFI01G48380.1 pep chromosome:OR_W1943:1:39218968:39229809:-1 gene:ORUFI01G48380 transcript:ORUFI01G48380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLDLPLLLIPVMVVTLISVSFAQTNVTSGASVQAVAGAGWSSPSGHFVFGFYATDGGLAVGVWLATAPSITVTWTASRNDTPATGGALRLTYDGRLLWTGANGQDRTVAAPPQPAVAAAMRDDGNFVLYAANATVAWSTFAAPTDTLLAGQDLAPGAQLFSSVSATSRATGKYRLTNQLNDGNLVMYPAGTMNVAAAAYWDTGTFQIGFPLTLRLDASGVLYLVGNNGSYTKNLTKASAAQAVEQAHYHRVTLDPDGVLRSYRHGLLSSGGWKTDVEWIGPSDRCHVKGACGFNSYCVLDRDAQPSCLCPPGFDLIDAGDAAGGCTASSGAGECTAGQRADPGSSMATMQNVSWADTPCGVLAAGTSAADCQAACMSDCFCVAALLDTNDGTCTKQQLPLLYGRAGGGYTMFVKTGGAASPALGGGGGGNHHHHRLRRASTVALVCVGLLTFVALCALLASARLLWLNQRMVRRRVALADAEALDEEAPLRSYSYEELEHATYSFRHPLGRGAFGTVFKGTLRRGGERTVAVKRLEKLVEDGEREFQREVRAIGRTSHRNLVRLLGFCHEGANRLLLDSRVIHCDVKPQNILMDAAGTAKISDFGLAKLLQPDHTRTFTSVRGTRGYLAPEWYRGAGPVTVKADVYSYGVVLLETVACRRSMEMEEAAGEEERTLAEWAYELLLVKSEAKSAMSSDETVEAAEVERVVRVAMWCVQAEPQSRPSMDGVILMLQGRLEVPFPPPPPASS >ORUFI01G48390.1 pep chromosome:OR_W1943:1:39233575:39233836:1 gene:ORUFI01G48390 transcript:ORUFI01G48390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKYMDHLILSTCDPKIRISYKRVKLLVLLFNNQMMILLAQDSDQNVNFKYQLFA >ORUFI01G48400.1 pep chromosome:OR_W1943:1:39237427:39243326:1 gene:ORUFI01G48400 transcript:ORUFI01G48400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKGASSDVIRVSTSSAPSTSSHGSAQDDCDSSGDVYVWGEVICDNSVRTGSDTVVRSTVRTDVLRPKPLESNLVLDAYHVDCGVKHSALVTKNGEVFTWGEESGGRLGHGSREDSIHPRLIESLAVCNVDIVACGEFHTCAVTTAGELYTWGDGTHNVGLLGHGKDVSHWIPKRIAGALEGLAVAYVSCGTWHTALITTMGQLFTFGDGTFGVLGHGNRESISCPKEVESLSGLKTISVACGVWHTAAIVEVIVTQSSSSISSGKLFTWGDGDKHRLGHGDKEPRLKPTCVASLIDYDFHRIACGHSLTVGLTTSGKVLSMGNTVYGQLGNPRSDGKIPCLVEEIVGENVVQVACGSYHVAVLTIKSEVFTWGKGANGRLGHGDIEDRKIPTLVEALRDRSVRHIACGANFTAAICQHKWVSGAEQSQCASCRQPFGFTRKRHNCHNCGLVHCNACTSRKAVRAALAPNPAKPYRVCDSCFLKLNNAVDSSAISKKKENVLRESNSDGRLTKAIIPSNLDMIRSLDSKAAKQGKKTDALSFLRTPQMNSLLQLRDIALSGGLDLNRPVPRAVRTTAVRSVNTSRAVSPFSRKPSPPRSTTPVPTTHGLSIGKGAADNLAKTNEMLNQEVERLRAQVDNLRHRCEVQELELQKSAKKVQEAMTLVAEESSKSKAAKEVIKSLTAQLKDMAERLPPDQGAYDGNESKQMHFPNGTELHAAIYSSTNGIHQLQNESISALNTPSLNTGRSLHANGISSQHKSLGSISEHSEVSTHSHRVSSPHDTELSNRRARISSDELFSASGKSDDSNNRDARSLQNGEDGYKPRGTVSLSSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAENWWNENREKVYERYNVRSSERVSSAASTRSAR >ORUFI01G48400.2 pep chromosome:OR_W1943:1:39237427:39243590:1 gene:ORUFI01G48400 transcript:ORUFI01G48400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKGASSDVIRVSTSSAPSTSSHGSAQDDCDSSGDVYVWGEVICDNSVRTGSDTVVRSTVRTDVLRPKPLESNLVLDAYHVDCGVKHSALVTKNGEVFTWGEESGGRLGHGSREDSIHPRLIESLAVCNVDIVACGEFHTCAVTTAGELYTWGDGTHNVGLLGHGKDVSHWIPKRIAGALEGLAVAYVSCGTWHTALITTMGQLFTFGDGTFGVLGHGNRESISCPKEVESLSGLKTISVACGVWHTAAIVEVIVTQSSSSISSGKLFTWGDGDKHRLGHGDKEPRLKPTCVASLIDYDFHRIACGHSLTVGLTTSGKVLSMGNTVYGQLGNPRSDGKIPCLVEEIVGENVVQVACGSYHVAVLTIKSEVFTWGKGANGRLGHGDIEDRKIPTLVEALRDRSVRHIACGANFTAAICQHKWVSGAEQSQCASCRQPFGFTRKRHNCHNCGLVHCNACTSRKAVRAALAPNPAKPYRVCDSCFLKLNNAVDSSAISKKKENVLRESNSDGRLTKAIIPSNLDMIRSLDSKAAKQGKKTDALSFLRTPQMNSLLQLRDIALSGGLDLNRPVPRAVRTTAVRSVNTSRAVSPFSRKPSPPRSTTPVPTTHGLSIGKGAADNLAKTNEMLNQEVERLRAQVDNLRHRCEVQELELQKSAKKVQEAMTLVAEESSKSKAAKEVIKSLTAQLKDMAERLPPDQGAYDGNESKQMHFPNGTELHAAIYSSTNGIHQLQNESISALNTPSLNTGRSLHANGISSQHKSLGSISEHSEVSTHSHRVSSPHDTELSNRRARISSDELFSASGKSDDSNNRDARSLQNGEDGYKPRGTVSLSSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAENWWNENREKVYERYNVRSSERVSSAASTRAKLEERIPTRITN >ORUFI01G48400.3 pep chromosome:OR_W1943:1:39238006:39243326:1 gene:ORUFI01G48400 transcript:ORUFI01G48400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFSILNQPCYSCNSSSWRSDVINMHTKGASSDVIRVSTSSAPSTSSHGSAQDDCDSSGDVYVWGEVICDNSVRTGSDTVVRSTVRTDVLRPKPLESNLVLDAYHVDCGVKHSALVTKNGEVFTWGEESGGRLGHGSREDSIHPRLIESLAVCNVDIVACGEFHTCAVTTAGELYTWGDGTHNVGLLGHGKDVSHWIPKRIAGALEGLAVAYVSCGTWHTALITTMGQLFTFGDGTFGVLGHGNRESISCPKEVESLSGLKTISVACGVWHTAAIVEVIVTQSSSSISSGKLFTWGDGDKHRLGHGDKEPRLKPTCVASLIDYDFHRIACGHSLTVGLTTSGKVLSMGNTVYGQLGNPRSDGKIPCLVEEIVGENVVQVACGSYHVAVLTIKSEVFTWGKGANGRLGHGDIEDRKIPTLVEALRDRSVRHIACGANFTAAICQHKWVSGAEQSQCASCRQPFGFTRKRHNCHNCGLVHCNACTSRKAVRAALAPNPAKPYRVCDSCFLKLNNAVDSSAISKKKENVLRESNSDGRLTKAIIPSNLDMIRSLDSKAAKQGKKTDALSFLRTPQMNSLLQLRDIALSGGLDLNRPVPRAVRTTAVRSVNTSRAVSPFSRKPSPPRSTTPVPTTHGLSIGKGAADNLAKTNEMLNQEVERLRAQVDNLRHRCEVQELELQKSAKKVQEAMTLVAEESSKSKAAKEVIKSLTAQLKDMAERLPPDQGAYDGNESKQMHFPNGTELHAAIYSSTNGIHQLQNESISALNTPSLNTGRSLHANGISSQHKSLGSISEHSEVSTHSHRVSSPHDTELSNRRARISSDELFSASGKSDDSNNRDARSLQNGEDGYKPRGTVSLSSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAENWWNENREKVYERYNVRSSERVSSAASTRSAR >ORUFI01G48400.4 pep chromosome:OR_W1943:1:39238006:39243224:1 gene:ORUFI01G48400 transcript:ORUFI01G48400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFSILNQPCYSCNSSSWRSDVINMHTKGASSDVIRVSTSSAPSTSSHGSAQDDCDSSGDVYVWGEVICDNSVRTGSDTVVRSTVRTDVLRPKPLESNLVLDAYHVDCGVKHSALVTKNGEVFTWGEESGGRLGHGSREDSIHPRLIESLAVCNVDIVACGEFHTCAVTTAGELYTWGDGTHNVGLLGHGKDVSHWIPKRIAGALEGLAVAYVSCGTWHTALITTMGQLFTFGDGTFGVLGHGNRESISCPKEVESLSGLKTISVACGVWHTAAIVEVIVTQSSSSISSGKLFTWGDGDKHRLGHGDKEPRLKPTCVASLIDYDFHRIACGHSLTVGLTTSGKVLSMGNTVYGQLGNPRSDGKIPCLVEEIVGENVVQVACGSYHVAVLTIKSEVFTWGKGANGRLGHGDIEDRKIPTLVEALRDRSVRHIACGANFTAAICQHKWVSGAEQSQCASCRQPFGFTRKRHNCHNCGLVHCNACTSRKAVRAALAPNPAKPYRVCDSCFLKLNNAVDSSAISKKKENVLRESNSDGRLTKAIIPSNLDMIRSLDSKAAKQGKKTDALSFLRTPQMNSLLQLRDIALSGGLDLNRPVPRAVRTTAVRSVNTSRAVSPFSRKPSPPRSTTPVPTTHGLSIGKGAADNLAKTNEMLNQEVERLRAQVDNLRHRCEVQELELQKSAKKVQEAMTLVAEESSKSKAAKEVIKSLTAQLKDMAERLPPDQGAYDGNESKQMHFPNGTELHAAIYSSTNGIHQLQNESISALNTPSLNTGRSLHANGISSQHKSLGSISEHSEVSTHSHRVSSPHDTELSNRRARISSDELFSASGKSDDSNNRDARSLQNGEDGYKPRGTVSLSSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAENWWNENREKVYERYNVRSSERVSSAASTRSAR >ORUFI01G48410.1 pep chromosome:OR_W1943:1:39243799:39244881:1 gene:ORUFI01G48410 transcript:ORUFI01G48410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSQSQPHLDFPSLFSDLTSLLLQHPPPPSSSSSPGPVFSSSSLSIPAPAPASAAVAATAPPTPLARAAIGACAGAAAGAFTYAALLPIDAVKTRIQAGAAAGGSWQVFLDILRTDGPLGLYRGLSAVILGSASSSAVYFGTCELAKSLLRPHLPPFLVPPLAGASGNVSSSAIMVPKELITQRLQSGAAKGRSWQGLLQILQTDGFFGLYAGYAATLLRNLPAGVLSYSSFEYLKAFTLKQRNKESLTPGESVLCGALAGAISAALTTPLDVVKTRLMTRVGTEGSRTVVGTMREVVAEEGLMGLSRGIGPRVLHSACFAALGYCAFETARLAILKWYIEDCERKAAAEMKAGVGAA >ORUFI01G48420.1 pep chromosome:OR_W1943:1:39246229:39253030:-1 gene:ORUFI01G48420 transcript:ORUFI01G48420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHPDPTSAATAMIPEASIRRINLSITSNEEILKAQPVNELEKPIPITHQSQLLNNPYLGLPLQVGSCQSCGSNAIEECEGHFGFIELPMPIFHPSHVTELSQILNLICLRCLKIKNRKELPPLCVAEVKKSNGARGLELRAPIKKELEEGFWSFLDQFGSCTRGTSHCRPLLPEEVQNIIKKIPEETRRWLSVRGYIPQDGFILSYLCVPPNCLRGTSTNLLRKALRKIQQIRGSRIGSSNIQVDQVADDLQVDVANYINLGGTTKGHGDDTFTSQPTAMQWKQKMKTLFISKSSSFSSRGVITGDPYIGLNVVGVPEEVAKRMSVEEKVTDHNIAQLQDMMNKGLCLTYTDANSITYSLDAGKDNPNKKHTILKVGEIVNRRVFDGDIVFLNRPPSTDKHSVEAFYVQVHNDHTIKINPLICDPLGADFDGDCVQIFYPRSLSARAEAKELYTVDKQLVSSHNGKLNFQFKNDFSLALKIMCGREYSEREANQITNAMFSSGMYPQKPLIGGPYWTFPQILETTKSNAITLADHLDRESVGALATGTTISSILSTKGPREATEFLNLLQPLLMESLLIDCFSINLGDFTVPSPILEAIQNNPLELNKYREPIMDFITHSSAIGLLVDPKSDSNMNKVVEQLGFLGPQLQHNGRLYSSRLVEDCLSKSLHRCCGSTNCCNPLEEYGTVRSSIYHGLNPYEALLHSICEREKIMRASKGLVEPGSLFKNMMSRLRDVTACYDGSIRTSSGNLVLQFGSRDASNCVTPGDPVGILAATAVANAAYKAVLAPNQNNIISWDSMKEVLLTRASTKADANHRKVILYLNQCSCENECMERALTIRACLRRIKLEDCTTEISIKYQQQATQAAHHLVGHIHLDKKQLNQIETIMDSVLHKCQETFRNNIKKKGSMREILKTVTFISSTSLCDQHTDDDKKFQFFLPGSITKNISESTERVIDFMTNAIFPIILDTVIKGDPRVEEANLVRIEPESTFWVQSSGAEQKGEAALEITVEEAAAAESGNAWGVAMNACIPVMDLIDTTRSMPYDIQQVRQVFGISSAFEKVTQVQLFPFLLLAEIQYLSKSVGMITKSVLQEHLTTVASSMTCTGDLHGFNNSGYKATCQSLKVQAPFMEATLSRSIQCFEKAAAKAYSDQLGNVVSACSWGNNAEIGTGSAFEILWNDENMSSSKSILGGYGLYDFLEAVETTGATKDKAIVPHNYCLYDVDCIPEDKVCLEENNQITWTDKPKAEFLMESEGRRAGMHSTGQKHPRKPNWHEGNTKSSPNSTAVEFTGQVFQRRQLKTKSNWNSDATQQDDKPSWYSSNSAGTQNFTIAGSSRPGEWNRKNNNRVWKSEASRRGGSMRQVASCAFTPVEQQIFEQIEPITKNVKRIIRESRDGIKLPPDDEKFIVTNVLMYHPERKKKIAGNGNYITVSNLPS >ORUFI01G48420.2 pep chromosome:OR_W1943:1:39246229:39253030:-1 gene:ORUFI01G48420 transcript:ORUFI01G48420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHPDPTSAATAMIPEASIRRINLSITSNEEILKAQPVNELEKPIPITHQSQLLNNPYLGLPLQVGSCQSCGSNAIEECEGHFGFIELPMPIFHPSHVTELSQILNLICLRCLKIKNRKELPPLCVAEVKKSNGARGLELRAPIKKELEEGFWSFLDQFGSCTRGTSHCRPLLPEEVQNIIKKIPEETRRWLSVRGYIPQDGFILSYLCVPPNCLRGTSTNLLRKALRKIQQIRGSRIGSSNIQVDQVADDLQVDVANYINLGGTTKGHGDDTFTSQPTAMQWKQKMKTLFISKSSSFSSRGVITGDPYIGLNVVGVPEEVAKRMSVEEKVTDHNIAQLQDMMNKGLCLTYTDANSITYSLDAGKDNPNKKHTILKVGEIVNRRVFDGDIVFLNRPPSTDKHSVEAFYVQVHNDHTIKINPLICDPLGADFDGDCVQIFYPRSLSARAEAKELYTVDKQLVSSHNGKLNFQFKNDFSLALKIMCGREYSEREANQITNAMFSSGMYPQKPLIGGPYWTFPQILETTKSNAITLADHLDRESVGALATGTTISSILSTKGPREATEFLNLLQPLLMESLLIDCFSINLGDFTVPSPILEAIQNNPLELNKYREPIMDFITHSSAIGLLVDPKSDSNMNKVVEQLGFLGPQLQHNGRLYSSRLVEDCLSKSLHRCCGSTNCCNPLEEYGTVRSSIYHGLNPYEALLHSICEREKIMRASKGLVEPGSLFKNMMSRLRDVTACYDGSIRTSSGNLVLQFGSRDASNCVTPGDPVGILAATAVANAAYKAVLAPNQNNIISWDSMKEVLLTRASTKADANHRKVILYLNQCSCENECMERALTIRACLRRIKLEDCTTEISIKYQQQATQAAHHLVGHIHLDKKQLNQIETIMDSVLHKCQETFRNNIKKKGSMREILKTVTFISSTSLCDQHTDDDKKFQFFLPGSITKNISESTERVIDFMTNAIFPIILDTVIKGDPRVEEANLVRIEPESTFWVQSSGAEQKGEAALEITVEEAAAAESGNAWGVAMNACIPVMDLIDTTRSMPYDIQQVRQVFGISSAFEKVTQVQLFPFLLLAEIQYLSKSVGMITKSVLQEHLTTVASSMTCTGDLHGFNNSGYKATCQSLKVQAPFMEATLSRSIQCFEKAAAKAYSDQLGNVVSACSWGNNAEIGTGSAFEILWNDENMSSSKSILGGYGLYDFLEAVETTGATKDKAIVPHNYCLYDVDCIPEDKVCLEENNQITWTDKPKAEFLMESEGRRAGMHSTGQKHPRKPNWHEGNTKSSPNSTAVEFTGQVFQRRQLKTKSNWNSDATQQDDKPSWYSSNSAGTQNFTIAGSSRPGEWNRKNNNRGQGGGREVWKSEGPHRGGSSSNRNQGGGRAVWKSEASHRGSGNNRNRGGGRAVWKSEASRRGGSMRQVASCAFTPVEQQIFEQIEPITKNVKRIIRESRDGIKLPPDDEKFIVTNVLMYHPERKKKIAGNGNYITVSNLPS >ORUFI01G48420.3 pep chromosome:OR_W1943:1:39246229:39253030:-1 gene:ORUFI01G48420 transcript:ORUFI01G48420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHPDPTSAATAMIPEASIRRINLSITSNEEILKAQPVNELEKPIPITHQSQLLNNPYLGLPLQVGSCQSCGSNAIEECEGHFGFIELPMPIFHPSHVTELSQILNLICLRCLKIKNRKELPPLCVAEVKKSNGARGLELRAPIKKELEEGFWSFLDQFGSCTRGTSHCRPLLPEEVQNIIKKIPEETRRWLSVRGYIPQDGFILSYLCVPPNCLRGTSTNLLRKALRKIQQIRGSRIGSSNIQVDQVADDLQVDVANYINLGGTTKGHGDDTFTSQPTAMQWKQKMKTLFISKSSSFSSRGVITGDPYIGLNVVGVPEEVAKRMSVEEKVTDHNIAQLQDMMNKGLCLTYTDANSITYSLDAGKDNPNKKHTILKVGEIVNRRVFDGDIVFLNRPPSTDKHSVEAFYVQVHNDHTIKINPLICDPLGADFDGDCVQIFYPRSLSARAEAKELYTVDKQLVSSHNGKLNFQFKNDFSLALKIMCGREYSEREANQITNAMFSSGMYPQKPLIGGPYWTFPQILETTKSNAITLADHLDRESVGALATGTTISSILSTKGPREATEFLNLLQPLLMESLLIDCFSINLGDFTVPSPILEAIQNNPLELNKYREPIMDFITHSSAIGLLVDPKSDSNMNKVVEQLGFLGPQLQHNGRLYSSRLVEDCLSKSLHRCCGSTNCCNPLEEYGTVRSSIYHGLNPYEALLHSICEREKIMRASKGLVEPGSLFKNMMSRLRDVTACYDGSIRTSSGNLVLQFGSRDASNCVTPGDPVGILAATAVANAAYKAVLAPNQNNIISWDSMKEVLLTRASTKADANHRKVILYLNQCSCENECMERALTIRACLRRIKLEDCTTEISIKYQQQATQAAHHLVGHIHLDKKQLNQIETIMDSVLHKCQETFRNNIKKKGSMREILKTVTFISSTSLCDQHTDDDKKFQFFLPGSITKNISESTERVIDFMTNAIFPIILDTVIKGDPRVEEANLVRIEPESTFWVQSSGAEQKGEAALEITVEEAAAAESGNAWGVAMNACIPVMDLIDTTRSMPYDIQQVRQYLSKSVGMITKSVLQEHLTTVASSMTCTGDLHGFNNSGYKATCQSLKVQAPFMEATLSRSIQCFEKAAAKAYSDQLGNVVSACSWGNNAEIGTGSAFEILWNDENMSSSKSILGGYGLYDFLEAVETTGATKDKAIVPHNYCLYDVDCIPEDKVCLEENNQITWTDKPKAEFLMESEGRRAGMHSTGQKHPRKPNWHEGNTKSSPNSTAVEFTGQVFQRRQLKTKSNWNSDATQQDDKPSWYSSNSAGTQNFTIAGSSRPGEWNRKNNNRGQGGGREVWKSEGPHRGGSSSNRNQGGGRAVWKSEASHRGSGNNRNRGGGRAVWKSEASRRGGSMRQVASCAFTPVEQQIFEQIEPITKNVKRIIRESRDGIKLPPDDEKFIVTNVLMYHPERKKKIAGNGNYITVSNLPS >ORUFI01G48430.1 pep chromosome:OR_W1943:1:39251046:39253687:1 gene:ORUFI01G48430 transcript:ORUFI01G48430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPVITPRDEKLELLLMNNGWLSQHEQEYLFWEQRTTMRGTPRATAKLIKEAPESLFQFFLDRCSQLQATGTIGLLDFCNAQRWELLE >ORUFI01G48440.1 pep chromosome:OR_W1943:1:39257901:39260228:1 gene:ORUFI01G48440 transcript:ORUFI01G48440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKENAAAAQPRLTRAAAKRAAAVTAVAVAAKRKRVALSELPTLSNNNAVVLKPQPAPRGGKRAASHAAEPKKPAPPPAPAVVVVVDDDEEGEGDPQLCAPYASDINSYLRSMEVQAKRRPAADYIETVQVDVTANMRGILVDWLVEVAEEYKLVSDTLYLTVSYIDRFLSAKSINRQKLQLLGVSAMLIASKYEEISPPNVEDFCYITDNTYMKQEVVKMERDILNVLKFEMGNPTTKTFLRMFIRSSQEDDKYPSLPLEFMCSYLAELSLLEYGCVRLLPSVVAASVVFVARLTLDPDTNPWSKKLQEVTGYRASELKDCITCIHDLQLNRKGSSLMAIRDKYKQHRFKGVSTLLPPVEIPASYFEDLNE >ORUFI01G48450.1 pep chromosome:OR_W1943:1:39258492:39264209:-1 gene:ORUFI01G48450 transcript:ORUFI01G48450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGDEASVNRGWLELRLGVNGGGGVETVPVTAADSSASSEAGEADTVTPSQQQQQQQQGSPSSPAASSAPNKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRTPSSSPYHLHHHRMMMAGAGLPLEAHAAFMRAALRVNPAGSAIHKQQQQHQPPPPITQDATAPRFHDGAAVAAAAAVTPWAPVAPLAYDEVLSSSASSWPGSFRFRTQPEPPPSSEQEPPSEQTRGGDETGGAYEAMSMAETPRSCSFWRLMDLAERKRSM >ORUFI01G48460.1 pep chromosome:OR_W1943:1:39269405:39270054:-1 gene:ORUFI01G48460 transcript:ORUFI01G48460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITGRTNPDSVETAKEKKNDTVKTVEPHGSDYAEDAIPRRKQPKRKAERIRSSYYRRQRPPKCQIPPQCKGSSLCREGGGRGEGEEKEEEKGESENVSLSMSKEMQIS >ORUFI01G48470.1 pep chromosome:OR_W1943:1:39274327:39275234:1 gene:ORUFI01G48470 transcript:ORUFI01G48470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGVGVPCACSSQWDPLLLVGVVIPLVPAGSRRGAVAGVLLLLRRRIEGVPLFSLPATSARVGTHASSTFNSFWPSSSMCQEEWPPGRQYQHQHELRAGDGPAAMYTVVPDSQIRNATIERFLKEIAGEKPIRFTAQQLAGFTNNYLARLGAGGFGTVYKGMLPNGHMVGRCALGRLPPPPLRASAPFRFTGRRALARRLRRPPLSPARRPAVCSLPVGWPAIEEWQREREGRKGKKRGKGS >ORUFI01G48480.1 pep chromosome:OR_W1943:1:39276977:39281318:1 gene:ORUFI01G48480 transcript:ORUFI01G48480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVACGMSTSFLIRLSPSPPASSHVPLPRSPASSARPRRASSVSLSTAPRPRARAAGSDSPSNFGGQTSLMPPFSLMLDEGSRSKKPYRWQRVLLKVSGEALAGDHTENIDPKITMAIAREVASVTRLGVEVAIVVGGGNIFRGASWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDADPKRNPNARLLEAVSYHEVQTRDLSVMDMTAITLCQENNIPVVVFNLQKPGNIAKAIVGEKVGTFIGCTKDQDQIVGNALDQERRLVNEL >ORUFI01G48490.1 pep chromosome:OR_W1943:1:39282098:39287234:1 gene:ORUFI01G48490 transcript:ORUFI01G48490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRALPPSSSSSSTTTTTSPELRRKRTAAPPPPPSPRRYRSISDVMRRSLPVDAAPPVARAYESTRCDVCGSGERDEELLLCDGCDRGRHTFCLRPIAARVPTGPWFCPPCAPRSKPVKRFPMTQTKIVDFFRIQKGAEDAEAEKCGLFQDVKKRRKRSLVMHKKRRRILPYVPTEDKVQRLKQMASLATAMTSSKMKFSNELTYMPGMAGRSCNQATLEEGGMQILPKEDKETIELCRTMQKRGECPPLLVVFDSREGFTVQADADIKDMTFIAEYTGDVDFLENRANDDGDSIMTLLLTEDPSKRLVICPDKRGNISRFINGINNHTLDGKKKKNIKCVRYDIDGESHVLLVACRDIACGEKLYYDYNGYEHEYPTHHFV >ORUFI01G48500.1 pep chromosome:OR_W1943:1:39289596:39292235:-1 gene:ORUFI01G48500 transcript:ORUFI01G48500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFDDDWRPFCAVDPSIRPRRSPSPATASSVAGVRLPPPHTRLGRLLDLHSPEVSSLAPPRRFPSIPPARWVPPSCRFRLRSGGAMGSKDSQVEATLQDGNKEDEEDDWEAIADRGENDETLTLARSLEQQAKVSPSSSSEKISTPSSGPKRRGRGSFLYDKSVLYSDQCGLENDMDEQESNDQSGSKGRVDEQKHKSNAAAKQYGTRHVLVLYDFPPSTLAADLEKIFDKFGDHGVAIRWVNDTVALAVFRTPSAANEAQACIPPRYKVRPLKEDDDLLTKNDGIDLEPPTPRPKTSARTAQRLIAHGMGLRQFTNFGSDELKKQEEARRSRIAARQALRDDAWGSD >ORUFI01G48510.1 pep chromosome:OR_W1943:1:39293731:39298028:1 gene:ORUFI01G48510 transcript:ORUFI01G48510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAPARKENGTVAAPAASSAAASNTFHVLNSGSAAAAVAAGRAYVQSPRATALRSPQVRAITNYGMFPTTGASAARTAMAGRTINGGVSMLTTTTTTTTPPHLIQQLMVLAGWTTRSPWLQNHASMSPRGSPSSFSSGRFSDPRGMPSTFAYRTPGASSGRVIDNGAVAGRGKQMAGTSRSLEIIAVDTGAGSSKNASPAGGHANSGVVVKRLAPVLAMPSAGAAGKGKEEAAAAAPSPNGRGRKRAPPKVSNDPAAGSDKKPRKRAKKAPAAGSKVTVPADVIIVDDDVNQPSSNTDAQSNADDDLKKAAVASPAATRSKTRSKRKTSSNSAAASPSARSSAIAARKKNNATATGTTATPPPPAAKKHTVLTWLIDTGFLKDKAKVFYVPGDAGAAEKVISGMVTKTGIRCRCCNTVVPVAVFETHARCERPGQPWEKLLLMSGKPLSKCMQEAWAQERVTAMRAREKAMASLEQEKEKSSQAKRKLAKTKKMQLLDGVVVVSTSSPRHQVKKNGGGKDCSDDACGVCADGGQLLCCDTCPSTFHPDCLAIQFMIKSWLLFDRQQLTTIYGQQPWLQTAPGAAISADHQYCRPLQSPGFEIGAYCSETCKKMSSHLSDMIGVMNHTEDGFSWALLKIQKDELVTSEDMPVILESNVKLAVALGVLNECFNPVQDRRTKIDMLHQAVYSLGSEFKRVNYEGFYTMVLEKDGEIISVALLRFHGRKLAEMPFAGTLPAYQKQGMMRRLVKAVEKVLASLQVENLVIPAVADLVETWKRSFSFRPMQAEVRDEAKKLSLVAITGTTLLQKPISAHQQQPVPSLKGSSSSRSKRASAPATVTGSSKEEEEQMTTTAARLTDDELAFLEMAPLCSFTDLLAGGVYPTGLQLLRGMK >ORUFI01G48520.1 pep chromosome:OR_W1943:1:39299803:39300354:-1 gene:ORUFI01G48520 transcript:ORUFI01G48520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQAVVELVVVAAALAALAAGILAASLLLLWRCRRRSAAANRQQPAVVVASDAELTVQSPKKPAPARRRGGGSALRRALLRLLFCSRRRLTRVEPADSAAAATQGEEGEQAAGPDEEEVNTWRDRWFGPATAAASRALYTIDEESGAGSEGEEEPEPETPFYTPPASPPRLGGGGHSPEATV >ORUFI01G48530.1 pep chromosome:OR_W1943:1:39302004:39304289:-1 gene:ORUFI01G48530 transcript:ORUFI01G48530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLDPILACMLNLRRATAAAAVDGLWFSFFSTTTTAGGAMEEEKAASPVSRHIMPHLLNIYGSCATARDFEIYAAHATFEDPLMRAHGVKQIKSALYTLPKLFGESKIVEYTITENETAPGKVEILIDNKQHYKFLGRAIDLASLITLDVEDGKVVKHQDWWDKKPLKNRDTVSFPVVGRLAEATRRGAMLLTHALMGCGKDP >ORUFI01G48540.1 pep chromosome:OR_W1943:1:39305275:39306249:-1 gene:ORUFI01G48540 transcript:ORUFI01G48540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQRKCIPNVKWIHSFFGKAAHLVDSTEVVGHFQKVLTSRGNFCICSIAIGVIVEVIIMFPIQHRFPVDAGMFPEHKYEIVRIIQREGHLCGMTGNGMNALALKKADIGTANIARASTE >ORUFI01G48550.1 pep chromosome:OR_W1943:1:39307140:39312482:-1 gene:ORUFI01G48550 transcript:ORUFI01G48550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYLMAGGGVKAAGVVALEVEVCDVVIWEVRRQGFLEAEAEAVAVVEAVAGEDGDEPPNRRAAHSSTRALSRGEKGETSEAAAAEPEAASSLLLSVRRFRRQRTVTAAARRTATGATQHGDGGRVGARWRAEQGRGSWGGTFTDIYAEVPGRREGYVMKLLSVDPSNYDDAPIEGIRRILQEFSGERIPRSSKIPTGKIDWIRMGTTVATNALLERKGERIALCVTRGFRDLLQIGNQARPNIFDLKVSKPSNLYEEVVEVDERVELVGGGDGERDDGLSVKGISGELVRVAKPVDVKALKPLLKGLLDKGIRCLAVVLMHSYTYPQHELLIEKLSLEMGFKHVSLSSSLTPMVRAVPRGLTASVDAYLTPVIKEYLSGFMSRFEGGGEQVNVLFMQSDGGLAPERRFSGHKAVLSGPAGGVVGYSQTLFQLETSKPLIGFDMGGTSTDVSRYDGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFKVGPDSVGAHPGPVCYRKGGELAITDANLILGTVIPEYFPSIFGPNEDLPLDYDATKKAFESLAVEINSHRKSQDPSAKDMTVEEIALGFVNVANEAMCRPIRQLTEMKGHDTKNHALACFGGAGPQHACAMARSLGMSELLIHRYCGILSAYGMGLADVIEDLQEPYSAIYNVDSAAEASRRVDLLVKQVKEKLIEQGFGEDSIRTHSYLNLRYEGTDTAIMVKQPERESGSDYADEFVKLFQQEYGFKLLNRKILICDVRVQGVGATNILQPHELTPVSTKPVPESSCRIYFSYGWQETPLYKLQNLGYGHVLKGPAVIMNGNSTVIVEKDCKAIITKYGNIKIEISAAPSSVEVSETVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVRWQLKYWGDNLHEGDVLVTNHPCSGGSHLPDITVVTPVFNEGKVIFFVASRGHHAEIGGITPGSMPPFSKSIWEEGAAIKAFKLVERGVFQEEGIIHLLQSPSSDELTNHKIPGTRKIQDNLSDLHAQVAANQRGITLIKELINQYGLITVQSYMNHVQNNAEEAVREMLKVVASRVEKENGSCVIEDEDYMDDGSVLHLKLTLDSSKGEATFDFEGTSPEVYGNWNAPEAVTAAAVIYCLRCLVDVDIPLNQGCLAPVKILIPKGSFLSPSDKAAVVGGNVLTSQRVTDVVLMAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGASWDGTSGVQCHMTNTRMTDPEIFEQRYPVLLHRFSIRENSGGSGFHRGGDGLVREIEFCQPVVVSILSERRVHAPRGLKGGRNGARGANYLVKKDGRRVYLGGKNTVMVNAGEILQILTPGGGGFGSP >ORUFI01G48560.1 pep chromosome:OR_W1943:1:39313838:39325996:1 gene:ORUFI01G48560 transcript:ORUFI01G48560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQLGAKNVRKNILIVMQVHRPRVIRAFVKMVLMIGPNGTNHLLGLMITAVLRTAVGHRLAKVQGYLFRAAFLRRVPTFTRLIIENLLLCFLQSTIYQTSKYLTGSLGLHFKKILTDLVHADYFENMVYYKLSHVDHRISNPEQRIASDIPKFCSELSGLVQDDLTAVADGLIYIWRLCSYASPKYVLWILAYVLGAGGAIRKFSPAFGKLKSMEQQLEGEYRQVHSRLRTHAESVAFYGGENREASHIMQRFQALVKHLNVVLHENWWFGMIQDFLLKYLGATVGVILIVEPFFAGNLKPESSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRFNLLSGYADRIRELLDVSRELSGVRDLSMNKKSSVDNYISEANYIEFSGVKVVTPSGNVLVDDLTLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSNLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETEPLSYGGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHRPKFAILDECTSAVTTDMEERFCKRVQAMGTSCITISHRPALVAFHDIVLSLDGEGGWTVQENRNGSFISAEPEFDALNSSETDRKSDALAVQRAFIANTKGNALMGPKDHSYSTQLIATSPNMEIEHTERSNLVPQLQCSPRPLPLRAAAMSKILVPKLFDKQGGQLLAVALLVFSRTWISDRIASLNGTSVKYVLEQDKAAFLRLIGISVLQSAANSIVSPSLRNLTSKIALGWRIRMTNHLLQYYLKRNAFYKVFNMSGIDIDADQRITHDVEKLTNDLAGLVTGMVKPLVDILWFTWRMKILSGRRGVAILYAYMLLGLGFLRAVSPDFGDLANQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAMVEAKFTTMLNHSRTLLRKRWLYGIFDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRVFELEELLQTSQSNAAMPSNPIIAASEEIISFHDVDIVTPSQKLLATQLSCDVSQGKSLLVTGPNGSGKSSIFRVLRGLWPIASGRLTMPSDGIFHVPQRPYTCLGTLRDQIIYPLSHEEAELKVLSLYKSGDKAITSGSLDDHLKTILENVRLVYLLEREGWDATPNWEDILSLGEQQRLGMARLFFHCPKFGILDECTNATSVDVEEHLYKIATSMGITVITSSQRPALIPFHSLELKLIDGEGKWELCTINQ >ORUFI01G48560.2 pep chromosome:OR_W1943:1:39313838:39325996:1 gene:ORUFI01G48560 transcript:ORUFI01G48560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQLGAKNVRKNILIVMQVHRPRVIRAFVKMVLMIGPNGTNHLLGLMITAVLRTAVGHRLAKVQGYLFRAAFLRRVPTFTRLIIENLLLCFLQSTIYQTSKYLTGSLGLHFKKILTDLVHADYFENMVYYKLSHVDHRISNPEQRIASDIPKFCSELSGLVQDDLTAVADGLIYIWRLCSYASPKYVLWILAYVLGAGGAIRKFSPAFGKLKSMEQQLEGEYRQVHSRLRTHAESVAFYGGENREASHIMQRFQALVKHLNVVLHENWWFGMIQDFLLKYLGATVGVILIVEPFFAGNLKPESSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRFNLLSGYADRIRELLDVSRELSGVRDLSMNKKSSVDNYISEANYIEFSGVKVVTPSGNVLVDDLTLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSNLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETEPLSYGGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHRPKFAILDECTSAVTTDMEERFCKRVQAMGTSCITISHRPALVAFHDIVLSLDGEGGWTVQENRNGSFISAEPEFDALNSSETDRKSDALAVQRAFIANTKVPKLFDKQGGQLLAVALLVFSRTWISDRIASLNGTSVKYVLEQDKAAFLRLIGISVLQSAANSIVSPSLRNLTSKIALGWRIRMTNHLLQYYLKRNAFYKVFNMSGIDIDADQRITHDVEKLTNDLAGLVTGMVKPLVDILWFTWRMKILSGRRGVAILYAYMLLGLGFLRAVSPDFGDLANQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAMVEAKFTTMLNHSRTLLRKRWLYGIFDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRVFELEELLQTSQSNAAMPSNPIIAASEEIISFHDVDIVTPSQKLLATQLSCDVSQGKSLLVTGPNGSGKSSIFRVLRGLWPIASGRLTMPSDGIFHVPQRPYTCLGTLRDQIIYPLSHEEAELKVLSLYKSGDKAITSGSLDDHLKTILENVRLVYLLEREGWDATPNWEDILSLGEQQRLGMARLFFHCPKFGILDECTNATSVDVEEHLYKIATSMGITVITSSQRPALIPFHSLELKLIDGEGKWELCTINQ >ORUFI01G48570.1 pep chromosome:OR_W1943:1:39327437:39328124:-1 gene:ORUFI01G48570 transcript:ORUFI01G48570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEASMSRTVSMLFSARRCIVASAAKDIEIAQGGRRRRSNDVKQQRGSHGQLAVAAATTAAAMTGQCHAAAAAAAPERQWQEVAEWVCRAVAVVGELDPATAKAAIGVAGPALSAFGFLFIARIVMSWYPRLPVREFPYVVAYAPTEPLLAVTRKVIPPLGGVDVTPVVWFGLVSFASEILVGPQGLLVLLSQQKP >ORUFI01G48580.1 pep chromosome:OR_W1943:1:39328178:39333184:1 gene:ORUFI01G48580 transcript:ORUFI01G48580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLRSSARLLRELREASRSSGRRREWLSGGAVASAARTTSLLHPLPGLDVPQCLPDQLGVQPTRVTTLPNGVRVASEDLPGPSACVGVFVDSGSVYETAETAGVSHLLERLSFKDTAHRSHLQIVQDVEATGGNIGASASREQTVYSYETLKAYLPQAIEVLIDCVRNPLFLQDEVERQVAFAREEVQELQKNPERFLQESLNLVGYTGALANPLVAPEESLTRINGSIIQKFYHENFTADRLVVAASGVDHQYLLDVAEPLLSDWHKGSPVERPESKYIGGDFRHRADSEMTHVALAFEVPGGWLEERDATIMTVVQTLMGGGGSFSSGGPGKGMHSRLYLRVLTKYHTVESFSAFSNAFDRSGLFGIYLTTPSDFVAKAVDIATKELIAIATPGQVTDIELARAKNSTISAVLMNLESRVIVAEDIGRQILTYGCRKPVDHFLQCMDEMTLDDITAFAKKMLSSPPTMASWGDVDKVPPYEFVCKRF >ORUFI01G48590.1 pep chromosome:OR_W1943:1:39332313:39335141:-1 gene:ORUFI01G48590 transcript:ORUFI01G48590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTVEQAKREAGSAGTLATSLNLSHRALSDVSCLSSFVNLERLDLGYNCLLTLEGLSNCANLKWLSVIENKLVSLKGVEGLSKLQVLNAGKNKLKTMDEVKSLTSLGALILNDNNISSICKLDQLHQLNTLVLSKNPIFTIGDALMKAKAMKKLSLSHCQIEKIGSSLTACVELKELRLAHNKITTIPSDLAKNVKILNLDLGNNLIERRSDLEVLSELHYLRNLNLQGNPIAEKDGLAKKVKKLVPNLRIFNSKPMEASSKSKNSREENLPINDADTPDDGPTDIYTKKKGKGKHSKQQIKSPEEPAGQSTRPDVTIAAPAKSELLDGKEMKKEKAAVEHVKNKKSKRKDDNSSVDHTDKKVSKGAKRTKSAKKEEKNADGIDDTEMPFADLVFSGEGNNPELELKGKNQEIARDGKFGGLVIDHTKKKKKAKGTVFGSSALEQLSSVPEVGSGALSGWD >ORUFI01G48600.1 pep chromosome:OR_W1943:1:39335321:39343942:1 gene:ORUFI01G48600 transcript:ORUFI01G48600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLFSCNWFESFTNLMLLVFAFIPFCWMMMMMSLIIDVCLDSACALYNNWWPMLAALMYVLVPMPCLFFGGGSTQFLTSRDGGGWFNAAKFLTGASAMGSIAIPAILRHAGLIETGAMFIEFTSFFILVCTVMCFHRATLDEDWNGVEATQRVFLYPQSPKVSSIVSKGYRTGYHFQPPKNWINGPMYYNGIYHEFYQYNPNGSVWGNIVWGHSVSTDLINWIRLEPAIEGNTPSDINGCWTGSATILTGDQPVIIYTGADTEKRQVQNIVLPKNRSDPYLREWTKPKNNPLIEPVGPGLNSNQFRDPTTGWIGPDGLWRIAVGAELNGYSAALLYKSKDFMQWTRVDHPLYSSNASNMWECPDFFAVLPGKNNGLDLSAAIPNGAKHVLKMSLDSCDKYMIGVYDLKHDMFVPDTVLDDRRLWLRIDYGNYYASKSFFDSKKGRRIIWGWTNETDSNPQDNLADVEIDFELTSIDAADPFDPSWLLDTEKHCREADASVHGGLGPFGLVVLASDNMDEHTTVHFRVYKSEQKYMVLLCSDLRRSSLRPGLYTPAYGGFFEYDLEKEKKISLRTLIDRSAVESFGGGGRACIMARVYPAAVVDGATHMYAFNNGSSTVKVSQLKAWSMTRAQVNVRKG >ORUFI01G48610.1 pep chromosome:OR_W1943:1:39348969:39350705:-1 gene:ORUFI01G48610 transcript:ORUFI01G48610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQKNTPDMAASSESEHAPPRKKANVRFAFACAILASMTSILLGYDIGVMSGASLFIKEDMKITDVEVEILLGILNLYSLVGSFAAGRTSDWIGRRLTIILAAVIFFVGAIMMGLSVNYPMLMAGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINFGILLGYVSNYAFSRLRLQLGWRLMLGVGAAPSVALALMVLAMPESPRWLVMKGRLADAKVVLGETSDTAEEAATRLAEIKEAVAIPADLDGDVVAVPKRAGGERRVWKELILSPTPAVRRVLLSALGIHFFQQSSGIDAVVLYSPRVFQSAGITDKNKLLGTTCAVGVTKTLFILVATFTLDRFGRRPLLLASAGGMIATLVTLGLGLTVIGEDATGGGWAIAVSIASILAFVAFFSIGLGPITWVYSSEIFPLHLRALGCALGVGLNRVTSGVISMTFLSLSKAITIGGSFFLYAGVASLAWLFFFTYLPETRGRTLEQMGELFGIHNMAGDDDSAATRPPSPEEKITNYVEMYT >ORUFI01G48620.1 pep chromosome:OR_W1943:1:39351988:39356515:-1 gene:ORUFI01G48620 transcript:ORUFI01G48620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPALGSSTSCRPCRRCCSAPPASSACRANYEAWKLGREIDALLLDIIESRRNKASAGAREEADDAGAGGRVQDVLLQRHQRQWASPHADQAEGVVYAAEVLRPAPEGDATHGGVRAERERLGGEDAVGGGAVAVGEVVRAARVGRRAAGPRALEHLAHRRAARHVGARHGPGRRVQRRRLWEAPPARRVVLRPDEVATRVEHRGERERLSWTLLMLAAHPEWQAAVREEVVEAAGRSGLLDAAALGKLTKMGCVLNEVLRLYQPSPNVQRQALQDVVVVIPRAPNDSICAALWRRRGWRQRGRRSPAHPPWLRMGRGGGARCSRRRGRSRRPPPLPLSSFFPARRSARCAGSRARSAVGRTPASACLGVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKSLLVNMDRFISKMFLRGDSVIIVLRNPK >ORUFI01G48630.1 pep chromosome:OR_W1943:1:39358553:39366704:1 gene:ORUFI01G48630 transcript:ORUFI01G48630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRPDLGGGGSFREGPQLSGAGTPRALAEPPPLAQYLPLESFPVGDHKQSRATELRRVLGVTVEAEQSFGLVQTKPLPSIASEELKRIRGGVVESSAKAKEKTKSLQDSIQKLDKYRNVVTRRRQRSEGGATERSSGSGSGSLRMGAQNSMDNPGQRLEERAKCATTSKRVRSSLAADARLEGRGNVPTRQGPLVDSEKSSSLEKEKNSLRNVNAASGFSEDKLRGLAPGGEGWEKKLKRKRSVGTMLNRGNDVDRDVKPLVQHRPNNEARMRSSDGLPIRHGASAGALGGSKMDGGSQQSNAGSRYLLKADMDSTSLPNERRERHLGIDKERVLVKGNKANTSEDMQPGTLNPLTKGKACRAPRTSSLVVMNSSSTLQRSSGGIDEWEETPSTNKSSPLGGTANRKRPMTASGSSPPVAWVGQRPQKMSRTRRANVVSPVSNFDEGLSEGSPLDAAVRPAVESPGLLLPRGVASNNSQVTPRMDNISSPAGLSESEDSAATENKNKDKISNSGDFENEGANSAHNSADLIISSKKSRILLKEELEDGSIRRQGRSGRNTMHVKGCASMPREKLDSPETRKLLKSGRPVSEKNESKLGRPPTKKGSDRKASSRHSEILNCGLTDISGESEDDREELLAAANAARSAIVNAYAGPFWKKIEPMLTFISSEDLSFLKHQITFLEELEMGMSNSSDEHNLNTSTNYSGPLSMGQNSSLPQSNSCVSLEQSEANGPRTRESIDILSPNDENTASQKTHAEELFGGMASLTHKLFSAFIVEDGDNSSECNGGDILLEFSNDFLPYAANMNLENDFEASAVKSNFGSSPDFKHSNHSSVHNSMSNGFTASSNLRASYSPNSICSENASDAIKFAVYPENGGFHEFVPHISQQYQNCAKSTPLPPYEYQYDQLPVHDRALIELHSIDLCPEMPKLDDGEDEDIDKVITELQKRLFEQVNQKKCQLHKLDKAIRDTKNMEERSLEQHAMNKLVEMAYKKLMGGRGSSSHKGGLNKAANKAAKQVALAFAKRTLARCQKFEETEKSCFREPFLWNVLSAPLPKNDAIDGGLPGSADRPKLLKLDRSPLSQGTTKWKKSDRERDQNRDASLKNSNSKSGRNSSGNARSERKTKIKPKQKLAQLSTSGNVLGRVTEPSNFAAPGQRESHDWTSTSSTRPTQPVRNSAATVAQDTLDAPLANLPAIDPMDILDVPEGNDISSWFTDGLDDSLQDFDFSGGLEIPDDDLTQLGFM >ORUFI01G48640.1 pep chromosome:OR_W1943:1:39366990:39368214:1 gene:ORUFI01G48640 transcript:ORUFI01G48640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAGGGEEVEEVEAVDGGEQQPPMEIGWPTDVRHVAHVTFDRFHGFQGLPVELQPEVAGNAPSASKTVFGVSTESMQCSYDARGNSVPSILLLMQRRLYEQGGLKAEGIFRIAADDAQEQAVREQLNSGVLPEGGVDVHCLAGLIKAWFRELPGGMLDSLPAAEVTRCQSGDDCARLCARLPAAKAALLDWAVQLMADVAREERSNKMGSRNVAMVFAPNMTHAMDPFTALKHAVHVMNFLTMLIDRALNDVQTCNN >ORUFI01G48650.1 pep chromosome:OR_W1943:1:39368747:39373227:1 gene:ORUFI01G48650 transcript:ORUFI01G48650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCVCQDSGEAGEKGKSTCPGEDEQRIDRGEGGDGEKLQKQRPEEIGSSGQTTRENHHRPKEMDGQKPTNGNTAPKDCKEPATNDESNAVPVADLNNDLQEADEAIKRLNELGLGENISSEEFLTYIDQLNEQPIIDTSIELDDAQVTTLYFQHARYRLRYYKHLSQQPNTELVEDSYHMKLVGEDELSDEFIREMEFFMRFEEDGTFDWYFYPDYCWLAALNDYQRLVPINCVGEEYAYWDDYRGYFNSYHTELQYLDFCKALSKELKWGRICSRGAYQAIKIATRFSKITAALAYNAYYDCLQHMRFYVAYCKDMDSLYFEIWQRVNMQKKSFRDSLEEVYNLNKFPSRQDKMKDALENNCSHMETVFHVCTASVTSEIAEDKALELIAKAVESRMNKAKFYEQYIEKKIDIAQAIGLISTDGTEAT >ORUFI01G48660.1 pep chromosome:OR_W1943:1:39373714:39379188:1 gene:ORUFI01G48660 transcript:ORUFI01G48660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRAHHLLLMRPRAAFSSRPPPLSSSPCRRALPTTAIASRLLCSHHASSPPDDASASASPSIVADLLDYLNESWTQFHATAEAKRQLLDAGFTLLSENDDWDLQPGGRYFFTRNMSCLIAFAVGEKYKLGNGFNIIAAHTDSPCLKLKPRSASFKSGHQMVNVQTYGGGLWHTWFDRDLTLAGRVILKDADGSFKHELVKVSRPLIRVPTLAIHLDRTVNSDGFKPNLENHLVPLLATKHEETTANSSEKNSSSSTKVVHHPLLLQVLSDEIGCKSDEIIGIELNVSLMDSSKMPEELSNEKAIRMIALFDNEEVGSNSMQGAGAPTMFHAMRRIVDSLMHQSMGEGALERAINSSFLVSADMAHALHPNYPDKHEEHHRPELQKGLVIKHNANQRYATSAVTAFLFKEIARLHNLPVQEFVVRNDMGCGSTIGPILASACEKCVAKKT >ORUFI01G48660.2 pep chromosome:OR_W1943:1:39373714:39379188:1 gene:ORUFI01G48660 transcript:ORUFI01G48660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRAHHLLLMRPRAAFSSRPPPLSSSPCRRALPTTAIASRLLCSHHASSPPDDASASASPSIVADLLDYLNESWTQFHATAEAKRQLLDAGFTLLSENDDWDLQPGGRYFFTRNMSCLIAFAVGEKYKLGNGFNIIAAHTDSPCLKLKPRSASFKSGHQMVNVQTYGGGLWHTWFDRDLTLAGRVILKDADGSFKHELVKVSRPLIRVPTLAIHLDRTVNSDGFKPNLENHLVPLLATKHEETTANSSEKNSSSSTKVVHHPLLLQVLSDEIGCKSDEIIGIELNVCDTQPSCLGGGNNEFIYSGRLDNLASCYCALRSLMDSSKMPEELSNEKAIRMIALFDNEEVGSNSMQGAGAPTMFHAMRRIVDSLMHQSMGEGALERAINSSFLVSADMAHALHPNYPDKHEEHHRPELQKGLVIKHNANQRYATSAVTAFLFKEIARLHNLPVQEFVVRNDMGCGSTIGPILASACEKCVAKKT >ORUFI01G48670.1 pep chromosome:OR_W1943:1:39379605:39382643:-1 gene:ORUFI01G48670 transcript:ORUFI01G48670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGASSPARRRPRRGSKGPNSDLSRTLTDCTRRGDAAAAMAAFDSALSGPDAPRLLAHQYNQLFHLLATADADSLPNAAAAARRVFSHMLGSGASPSEATITSLARVTASDASNPAAADEAFDLVATMRDKYGVAPRLRSYSPVLAAFRRAGEAGKAYAVDAHMEASAVAPEEPEIAALLDVSAKAGDADKVYEYMHKLSRTVDCVGEETAEVLEGWFRSGKAAMAGKAEWDACKVKDAIVANGGGCHRLGWLGTGPWTVQRVRVGGDGQCEGCGCRLACVDIDVEETQRFADSVAGLALQRETKINFSQFQEWLEEHGAYEAIVDGANIALYQQNFAEGGFSLTQLDAVVTELRDRYNGKWPLVVLHNKRIAKLMENASNRHLIETWRANGALYTSPIGSNDDWYWLYAAIRLNCLLVTNDEMRDHIFELLGSSFFPKWKQRHQVKYTFSKGKAVLMMPPPYSSEIQESEMGSWHVPMEEKSGDDRARIWLCIDRTGHCKHPHEAPAANGVVQDVSPTEASHGCEQRRAEHNGGSLTGKRKDRN >ORUFI01G48680.1 pep chromosome:OR_W1943:1:39383862:39390509:-1 gene:ORUFI01G48680 transcript:ORUFI01G48680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQLVGVLAVLVAVCAVAAVQAQPPSPKLPPNYHMINPGHMGGKRDQQLSCDDTQGKKKGCMAKCDKRCPNQCIVMCPSCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDHDFCIDSRIHNYGVTEEDSLAHLDIGFKFYDLSDDVHGVLGQTYRSDYVNKLSVSASMPVMGGAPSYVASDIFSTDCAVARFGRRAGISMAPSSPRLPSNYHVINPGRFGKRDQQLSCTDSNGNKAVCMAKCDKRCPNQCIVMCPGCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDHDFCIVSDADLHINAHFIGKRNPTMSRDFTWIQALGIRFADHRLYMGALKTAKWNSDDDRLELAFDGAPVDVPAELGARWESAAVPGLTVTRTAATNAVRVQLAGVLDIMANVVPITEQDSRIHNYGDSRIHNYGVTEEDSLAHLDLGFKFYDLSDDVHGVLGQTYRSDYVNNLSVSASMPVMGGAPSYVVSDIFSTDCAVARFGRRAGISMVTGRAN >ORUFI01G48690.1 pep chromosome:OR_W1943:1:39391668:39393125:1 gene:ORUFI01G48690 transcript:ORUFI01G48690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAYMAVAVALFLVVVCAAVSEAAKPPASHPRLPPNYHMINPGSSGLGKRDQELSCADTKGKKKGCMAKCDKRCPNQCIVMCPSCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDHDFCIVSDADLHINAHFIGKRNPTMSRDFTWIQALGIRFADHRLYMGALKTAKWSSDVDRLELAFDGAPVDVPAQLDARWESAAVPGLTVTRTAATNAVRVQLAGVFDIMANVVPITEHDSRIHNYGVTEEDSLAHLDLGFKFYDLSDDVHGVLGQTYRSDYVNKLSVSASMPVMGGAPSYVASDIFSADCAVARFGHRAGITMVTARAS >ORUFI01G48700.1 pep chromosome:OR_W1943:1:39395961:39397073:1 gene:ORUFI01G48700 transcript:ORUFI01G48700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVQVVAVALALWCCGAAVVASAAASSPPLVSPKAKPGVRPKLPPKTKLTTITFSPHHKRDYQVTCTNTGRRPCVVSCPSNCPNKCLVACAYCLTFCMCDLFPGTSCGDPRFTGADGNTFYFHGKKEQDFCIVTDADLHINAHFIGNHNPAMKRDFTWIQSLGISFGDHRLYIGARRAAEWDDDEDHVQITFDGEPVNVDAAKGAHWVSAALPSLSVSRTDTVNAVAVELDGVFAITANAVPITDDDSRIHHYGKTAKDTLVHLDLGYKFHALSGDVDGVLGQTYRPTYANRLNITAKMPIMGGADKYRSSGLFSPDCAVSRFHRRRTAGDHVALGFAS >ORUFI01G48710.1 pep chromosome:OR_W1943:1:39398237:39398951:-1 gene:ORUFI01G48710 transcript:ORUFI01G48710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKDLLRDKASDAMVHRGWLSMYTSSDSESSHNKDNARDQVLSEVARVVSMYQDEELSIRVTGHSLGAALATLNAFDIVANGYNRAPRAAVAALAATGCPVTAFVFANPRVGGHDFKSRFDGARGLGPRLLRVLRYPTAPLYHGNELVWHNLKWYLRDVAGAWGGEAGRSKLAVNAGEQGLRRAERRARRARSKSTGGGKWG >ORUFI01G48720.1 pep chromosome:OR_W1943:1:39400834:39412166:1 gene:ORUFI01G48720 transcript:ORUFI01G48720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCEELPPNSVDHQLYLLNKVRICLLPLEFAAGTKRNWAEFGSKVESRILNLLKDSLRTVLDAIADDNLESYRVRITEYSKKIVLSGCPQITTEILYISVLPPTNVGASLNKRLESSWAQVDYRNIILYNELEEAVKSSSFGNVHMVDLSKCPNAHFSTAIDWLKLAFPELRIFRASFCLTFQFEDLLYLLLTCPWINEIDLSIDTSIIAQMHSVISSRFEGRGAVKPKLTRYYAQDPLCDTTMNSYISNISKLILEGRNDITDVDLLKISILKNSLCYINIKNCTLLTDDGISKLLLKCTKIHSMVLSYTSFGNQSIQTLCNSNPLDSMDECRHVMAFRMQELHLDGCKGIGYAAMSQLMSNVNITNFLCLRETTLTDGALCNFVGSSLEFLDISETVVSMVSLAPVIRRNSNLRCLKAAGCRNLLFEHGEVEAMSGGNIYGDFLQEITSTCCLEDVEMGWAFCPIRVTTLIPSFSKVRKMTIGLGTTLPENILCALPDICPFLESLVLRFQMISDKVVRNLLESSTKLRVLCLYSCLGNLTSFSFQIKAPLLRILRLEWITPWMTNDDLAVLIQNYNLVELSLSGCKLLDSNSQELISSGWPNLTCLHLEECGQITLDGVSSILNCKALEDLLLRHTGKGIGRTIITDAITELPLLRKLALDLCDASEEGYDSPNNPEGKMMRTITMSRCKSVRSCFELHREGSSNSKPVHKETIVLEWSSRQLRTTIVKERL >ORUFI01G48720.2 pep chromosome:OR_W1943:1:39400864:39412166:1 gene:ORUFI01G48720 transcript:ORUFI01G48720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGASFAAAGEDAGDAVLLEITDASFIAGEADPSPPPPVSVDDLAGLDPLPLIESSSYFRALLGGSFSESGRAHVQISCNLEAAVQVLIYLFEPSGSLTITHHTFLPLMEGGLFLAAENLLTECERWFRTMSSQSSSLLAPLDFLIDTWYFAQEHGINYVQDICPGYLAQNFVQVISRRSFVKLPYDLLYSTIECPFLTVDSEKQLCEAILCWITENMLCCEELPPNSVDHQLYLLNKVRICLLPLEFAAGTKRNWAEFGSKVESRILNLLKDSLRTVLDAIADDNLESYRVRITEYSKIMHFVALLTDEDFVLQKIVLSGCPQITTEILYISVLPPTNVGASLNKRLESSWAQVDYRNIILYNELEEAVKSSSFGNVHMVDLSKCPNAHFSTAIDWLKLAFPELRIFRASFCLTFQFEDLLYLLLTCPWINEIDLSIDTSIIAQMHSVISSRFEGRGAVKPKLTRYYAQDPLCDTTMNSYISNISKLILEGRNDITDVDLLKISILKNSLCYINIKNCTLLTDDGISKLLLKCTKIHSMVLSYTSFGNQSIQTLCNSNPLDSMDECRHVMAFRMQELHLDGCKGIGYAAMSQLMSNVNITNFLCLRETTLTDGALCNFVGSSLEFLDISETVVSMVSLAPVIRRNSNLRCLKAAGCRNLLFEHGEVEAMSGGNIYGDFLQEITSTCCLEDVEMGWAFCPIRVTTLIPSFSKVRKMTIGLGTTLPENILCALPDICPFLESLVLRFQMISDKVVRNLLESSTKLRVLCLYSCLGNLTSFSFQIKAPLLRILRLEWITPWMTNDDLAVLIQNYNLVELSLSGCKLLDSNSQELISSGWPNLTCLHLEECGQITLDGVSSILNCKALEDLLLRHTGKGIGRTIITDAITELPLLRKLALDLCDASEEGYDSPNNPEGKMMRTITMSRCKSVRSCFELHREGSSNSKPVHKETIVLEWSSRQLRTTIVKERL >ORUFI01G48720.3 pep chromosome:OR_W1943:1:39400864:39412166:1 gene:ORUFI01G48720 transcript:ORUFI01G48720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGASFAAAGEDAGDAVLLEITDASFIAGEADPSPPPPVSVDDLAGLDPLPLIESSSYFRALLGGSFSESGRAHVQISCNLEAAVQVLIYLFEPSGSLTITHHTFLPLMEGGLFLAAENLLTECERWFRTMSSQSSSLLAPLDFLIDTWYFAQEHGINYVQDICPGYLAQNFVQVISRRSFVKLPYDLLYSTIECPFLTVDSEKQLCEAILCWITENMLCCEELPPNSVDHQLYLLNKVRICLLPLEFAAGTKRNWAEFGSKVESRILNLLKDSLRTVLDAIADDNLESYRVRITEYSKKIVLSGCPQITTEILYISVLPPTNVGASLNKRLESSWAQVDYRNIILYNELEEAVKSSSFGNVHMVDLSKCPNAHFSTAIDWLKLAFPELRIFRASFCLTFQFEDLLYLLLTCPWINEIDLSIDTSIIAQMHSVISSRFEGRGAVKPKLTRYYAQDPLCDTTMNSYISNISKLILEGRNDITDVDLLKISILKNSLCYINIKNCTLLTDDGISKLLLKCTKIHSMVLSYTSFGNQSIQTLCNSNPLDSMDECRHVMAFRMQELHLDGCKGIGYAAMSQLMSNVNITNFLCLRETTLTDGALCNFVGSSLEFLDISETVVSMVSLAPVIRRNSNLRCLKAAGCRNLLFEHGEVEAMSGGNIYGDFLQEITSTCCLEDVEMGWAFCPIRVTTLIPSFSKVRKMTIGLGTTLPENILCALPDICPFLESLVLRFQMISDKVVRNLLESSTKLRVLCLYSCLGNLTSFSFQIKAPLLRILRLEWITPWMTNDDLAVLIQNYNLVELSLSGCKLLDSNSQELISSGWPNLTCLHLEECGQITLDGVSSILNCKALEDLLLRHTGKGIGRTIITDAITELPLLRKLALDLCDASEEGYDSPNNPEGKMMRTITMSRCKSVRSCFELHREGSSNSKPVHKETIVLEWSSRQLRTTIVKERL >ORUFI01G48730.1 pep chromosome:OR_W1943:1:39412759:39413418:1 gene:ORUFI01G48730 transcript:ORUFI01G48730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEDTSSASSSSVSPPSSPGGGHHHRLPPKRRAGRKKFRETRHPVYRGVRARAGGSRWVCEVREPQAQARIWLGTYPTPEMAARAHDVAAIALRGERGAELNFPDSPSTLPRARTASPEDIRLAAAQAAELYRRPPPPLALPEDPQEGTSGGGATATSGRPAAVFVDEDAIFDMPGLIDDMARGMMLTPPAIGRSLDDWAAIDDDDDHYHMDYKLWMD >ORUFI01G48740.1 pep chromosome:OR_W1943:1:39421629:39423233:-1 gene:ORUFI01G48740 transcript:ORUFI01G48740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSSPEQAAGNNKEDAEARRPPPSTVRFYPSADHPKARQPPPIKLEEEDVPPPPAADEEMAPRNLWQVYALGAFIVLRWAWVKWKESKERDDSPDGRSPDGSS >ORUFI01G48750.1 pep chromosome:OR_W1943:1:39424626:39427410:-1 gene:ORUFI01G48750 transcript:ORUFI01G48750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPPAASAAARLDLDGNPIAPLTICMIGAGGFIGSHLCEKLMAETAHVVYAVDVYCDKIRHLVDPAPPYLHGRISFHRLNIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPTDHPLRKEPEFYVLKEDESPCIFGPIVKQRWSYACAKQLIERLIFAEGAENGLEFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVHLMIENPARANGQIFNVGNPNNEVTVRQLAEMMTEVYANVSGEPPLDEPMIDVSSKQFYGEGYDDSDKRIPDMTIINKQLGWNPKTPLKDLLETTLTYQHKTYKEAIKRQMSQASASS >ORUFI01G48760.1 pep chromosome:OR_W1943:1:39439542:39443335:-1 gene:ORUFI01G48760 transcript:ORUFI01G48760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKHRGSSRGPPPPSGKNMPAIGASEKKTTPNLRRLAVSTINDIVDVSAGIDSTTPKGRRFAVLGELDDDDDDVMDSCVPGLSGLSGPSQEKAAPHNKQAKKKKTWVPPRRKKSFRFSKALNSPADQDDDTEQDYSVPVAIATECNDATMEKNVEEANLLTQELNELGLGEDISTDKFRGYLRQLPQEQDSPVDTSTQLDFNQLDAQNELHELYRVKYYKSLQQVSGTRSKLDHDEMINQYPFDMSKQLEEVLICFENDGTLDSVDDEVLWEVLKCFDYIFVWYFHPEYCKLAALVDYQRLVIKNDGCMYANWDKYHMYFNTYDVEKQYVKYYGELSKKLKWGRVSNRGLYQAVKIATGFPKITARLAYLGFHEFVSSMNYDVCFFKELDDVYFEIWQRVTKQKMSFRDAMKEVYELNRFPVRQQKMKYVLEINDCSQWEAEVAEDQVLGLIADAVKKLRDKPRFYDDYIKKKINIAQAIGLITTEEA >ORUFI01G48770.1 pep chromosome:OR_W1943:1:39444392:39444874:-1 gene:ORUFI01G48770 transcript:ORUFI01G48770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEDVCVLAEDKHVSLIVLPFHKQQTVDGGMEPIXVVPEVAKSERQMDEEYLNEFRSRNLGSDAILYVEQVVANSEETLAAIRSQLDNAHELYTVGRHPGEASSPLTSGLAEWMESPELGPIGDLLVSSEFSKMASVLVMQQYVITAAAAAGGARRAADG >ORUFI01G48780.1 pep chromosome:OR_W1943:1:39456948:39458763:1 gene:ORUFI01G48780 transcript:ORUFI01G48780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEVHKSVFYAKDSTRGECFVIDQRKLHLDLDGNPTKLHEATYIMGPSTIKCLVKNGTAEKPLMLKDYAILKNIRHPNIVSIQNFYDEADLSSHGLMGPFFAWIKSKKGQQGIIESSHISSRPSTTFRQIIIGISKALDCLLQHNIYPSEIRIEDVYVRVKGGITTVKLLVYEAQLATSRNTTASNQNAQRIKLWKDVKGVADKCVELAGLKKQIHPDCDRFLKYIGAGNVKWLEDYPDEWNDDKKACYLKALVASDSKYVRSKLQLIGFTWPDYSDFLNNLITDQVTRLDIKYNILDPYDYLRLCRNLVKHWLSLPSNLREKNDDYAFFLRKMEKWTPRIWCNLYEAIGWPPNLVLYISELQQQQVLIVSVPNERNIKVFGVAAAFDSVRDRFRSRFSELQQFKKTQC >ORUFI01G48790.1 pep chromosome:OR_W1943:1:39460782:39462736:-1 gene:ORUFI01G48790 transcript:ORUFI01G48790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLRTLQNDQSEAKDKGNKIDIKYELTSAILSLKHQQASGRLKMPSRLPARYPHNVGHCAELIMNLGIGEDVHCLNVMRSCNHENILHPGIIKEVIIGDSSFNVAWMERYTRVLSEYVQLLLSTARFHAPVGATLLLPSPHLQMVLRGIFDGMEQLFLNGYYHGNFSLENTYCFLECSTPVVKLTNFRKKVPEQAMTWGVEEVRSDRYLSISCIECEICSFVACSLDQVVGSSLFCV >ORUFI01G48800.1 pep chromosome:OR_W1943:1:39464180:39468057:1 gene:ORUFI01G48800 transcript:ORUFI01G48800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLAGGWSGLQGGGGGRRRRRRIFPFLFPSTSSAAEEGKCEEAPSLYKLIFRSRLLRTSTATRCGGGRHGGHAMRVGAEQRPDPLPPCLPLVVARHSRARRHQCRIHTGSAATPPAFVQDMPHRSRIRARGARSGDRMCRRAASSPPACNRPSPPCPQPCCAGSADAAHAATRRWRRNCRDSLKVDDDHKRRAKITQQNQGQRADVLADYRARLRRRKVTPTTRTGFLYAPDSVDAVLARRNTGEESDPAVRRLCPRAAT >ORUFI01G48810.1 pep chromosome:OR_W1943:1:39473520:39477737:-1 gene:ORUFI01G48810 transcript:ORUFI01G48810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEDNNNLATSEHDDGAINTMIMEKNVEEANLITQELNDLGLGEDISTDKFRGYLCQLPQERDSPVDISTQLNFDQLDAQNELHELYRVKYYKLLQQVPRTGSKLDHDTMIEQYPFDMSKQLEEVLMCFENDGTLDSVDDEVLWEVLKCFDYTFVWYFHPEYCKLAALVDYQRLVIKNYGCMYANWDRYHMYFNTYDVEKQYAKYYVELSKKLKWGKVSNRGLYQAVKIATGFPKITAKLAYLGFHELDGVYFEIWQRVTKQKMSFRDAMKEVYELNRFPVRQQKMKYVLEINDCSQWEAEFHTCTACITEEVAEDEVLGLIAEAVKKLVLLEKVDAQAALYSPNDARLGQKREDSRKEKKKKKERSGGREGGGAGHGAGGARDREGRRGRPPALRTIWRRQGRA >ORUFI01G48820.1 pep chromosome:OR_W1943:1:39484625:39491116:1 gene:ORUFI01G48820 transcript:ORUFI01G48820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHETRPPSAGRPPSSGRGRADDADEREEGEIADDDSGHAPPQANPAAPHPLEHAWTFWFDNPQGKSKQATWGSSIRPIHTFSTVEDFWSLYNNIHHPSKLVMGADFHCFKNKIEPKWEDPICANGGKWTFSCGRGKSDTMWLHTLLAMIGEQFDYGDEICGAVVSVRGKQERIAIWTKNAANEAAQISIGKQWKEFLDYKDSIGFIVHDDAKKMDKGLKNRYTNHLIQRLKIVADPPLRTRVLLSPFLTRILPITLLTRILLSLARRRRRTLTPPPSPYPAPSAIATPPRQQRPSLLRRPASPTSSISSAPPPKPISPVRIATDGRSPICSASVDLSRPHRHRRPPLPISSVPKDRVKLLTNLWGNYSIPKDNPYTDDSDLELEVWALGLRNPWRCSFDSARPSYFYCADIGQRLISIDQLRRGPCCQSESFQIIWYYM >ORUFI01G48830.1 pep chromosome:OR_W1943:1:39491637:39495943:1 gene:ORUFI01G48830 transcript:ORUFI01G48830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTDTLDDMVSSGALSPELAIQVLVQFDKSMTSALEHQVKSKVTVKGHLHTYRFCDNVWTFILTDAIFKNEEITETINKVKIVACDSKLLETKEE >ORUFI01G48830.2 pep chromosome:OR_W1943:1:39492028:39495943:1 gene:ORUFI01G48830 transcript:ORUFI01G48830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTDTLDDMVSSGALSPELAIQVLVQFDKSMTSALEHQVKSKVTVKGHLHTYRFCDNVWTFILTDAIFKNEEITETINKVKIVACDSKLLETKEE >ORUFI01G48840.1 pep chromosome:OR_W1943:1:39494092:39497515:-1 gene:ORUFI01G48840 transcript:ORUFI01G48840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSGCASPLSTTLRSGLAPFTLRHRLRLRRLRASAATLREVCAGRVPEHVLQRAEEVGYVVPTEVQEQSLPVLLSGQDCILHAQTGSGKTLAYLLSVFSAIDFGRSSVQALVVVPTRELGMQVTKVARILAAKACTVMALLDGGMLRRQKSWVKAEPPAIIVATVASLCQMIEKRAFSLQSMRVLVIDEVDFIFGSSKQVSSLRKILTSYSAASSRQTIFASASIPQHNRFVHDCVQHKWTKTDVVHVHVNPVQPMPSHLQHKYAICSKKERLHVLLSLLEKDAPKSGIIFVAEQSEKSKKAGHPPSTTVVVEFLRTTYMGSLEVLLLEEDMNFNARATSFTEVKGKGFLLVSTDIASRGFDLPQTSHIYNFDLPKTAIDYLHRAGRTGREPFSKLACSVTTLITEDEHFVLQRFQNELKFHCEELPVESMFAFNL >ORUFI01G48840.2 pep chromosome:OR_W1943:1:39494094:39497495:-1 gene:ORUFI01G48840 transcript:ORUFI01G48840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSGCASPLSTTLRSGLAPFTLRHRLRLRRLRASAATLREVCAGRVPEHVLQRAEEVGYVVPTEVQEQSLPVLLSGQDCILHAQTGSGKTLAYLLSVFSAIDFGRSSVQALVVVPTRELGMQVTKVARILAAKACTVMALLDGGMLRRQKSWVKAEPPAIIVATVASLCQMIEKRAFSLQSMRVLVIDEVDFIFGSSKQVSSLRKILTSYSAASSRQTIFASASIPQHNRFVHDCVQHKWTKTDVVHVHVNPVQPMPSHLQHKYAICSKKERLHVLLSLLEKDAPKSGIIFVAEQSEKSKKAGHPPSTTVVVEFLRTTYMGSLEVLLLEEDMNFNARATSFTEVKGKGFLLVSTDIASRGFDLPQTSHIYNFDLPKTAIDYLHRAGRTGREPFSKLACSVTTLITEDEHFVLQRFQNELKFHCEELPVESMFAFNL >ORUFI01G48850.1 pep chromosome:OR_W1943:1:39499044:39501297:-1 gene:ORUFI01G48850 transcript:ORUFI01G48850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASAPRLLLPPAASTFPHQHEHVRRNWHRPRRQVISARAGASVAARGLDADDFRHPLDKQNTLLLRAVPGLNDMGKALLGPVSEQVMVLQNIGSSVLVSENQLSDLHQILTEAAKLLNTDAPDLYIRQNPVPNAYTLAISGKKPFIVVHTSLVELLTRKELQAVLAHELGHLKCDHGVWLTFANILTMGAYSVPGFGMVAGFLEEQLYRWLRAAELTCDRAALLVVQDPKVVISVLMKLAGGCPSLADQLNVDAFLEQARSYDKAASNPVGWYIRNAQTRELSHPLPVMRAREIDEWSRSREYKTVQQKVIQLQLNDHDAS >ORUFI01G48860.1 pep chromosome:OR_W1943:1:39505889:39510488:-1 gene:ORUFI01G48860 transcript:ORUFI01G48860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPTAFSRLRRLFSTAAATATAPTPESVLYSLRTLSKDPSVALAFFRRSQAGGHPLGSAAYNLMLRTLASHPTSAHSHFWPFLRDMNDAGHSIDQGTYLAALASFKKASLTADYASLTAHYAKAQEDAKGGTPTSAAADAVRALEDGSDSDASAELDEKLEGVDLPLTETAVARVLREVRDHPIKALAFFRWAGRQIGYKHGSVSYNAMVRVLGREESMREFWDLIQEMKADGIHVDIDTYVKLSRQFQKRHMLTEAVELYELMMDGPYKPSKQDGPVLIRRIALGPSPDLELVYRVVRKFEAVWEFKTKDVFDGIHRALTSNGRFDEAAEIVKRMKGEGHQPDNITYSQLIFGLCKANRFDEARKALDEMEAEGCVPDLKTWTMLIQGHCAAGEVEKALQYFTEMVEKNLEADAALLDVMVKGLCSDDKIDASYAFFVEMVDKANLSPWQGTYKHIIGELLRVKKLEEALGLLRSMKARKFPPFADPFPTHIAKYGTFDDARQFLKALTVNNKYPSPTAYLHVFKSFFTEGRYSEAQDLLYKCPFHIRKHPDVTELVARAMDFQQRQRAKTVAECDGSLDWMDRFPAGSVQALHA >ORUFI01G48870.1 pep chromosome:OR_W1943:1:39506954:39507353:1 gene:ORUFI01G48870 transcript:ORUFI01G48870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSHNQVLYFQAQRKVRFQDNQANIMKEVPIGTNEKLVHDQRMKDDKNKDVDSVASDFIKLKHRAWALQKSASMYQPS >ORUFI01G48880.1 pep chromosome:OR_W1943:1:39513152:39520347:1 gene:ORUFI01G48880 transcript:ORUFI01G48880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWETNAIAKHSCSHTCLVARVVSREGKQWSSGSAMEVEASYSYGFLPSGRHQPYAPPPPHPAEEGELWEYFPCPFCYIEVEVPFICNHLQEEHCFDTRNAVCPLCADNIGRDMGAHFRVQHSHLLKRRKPSRPSSSWPTPSNNSDPYFEGPPQYMMNNRTYQDPAPDPLLSQFICSMAQTDTNSDNTNTEIAVSAVSHDQRLSQRVTLTDDASKLELKERLQRIEFVKEIIMSTIL >ORUFI01G48890.1 pep chromosome:OR_W1943:1:39516452:39519738:-1 gene:ORUFI01G48890 transcript:ORUFI01G48890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPIVGRSAPRKPNESMRLLVVTVIGVMLGFFIGISFPAVSITKLHFPSSIVSYIEDKNSALTAQAILNHAWTAARNAKGNDTESSSDTAMKIYVPTNPRGAESLAPGIVVPESDFHPRRLWGNPDEDLPFKPKYLVTFTVGISQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSKRAIHVSVRRQAKWWYAKRFLHPDIVASYEYIFIWDEDLGVEHFNAEEYIKLVKKYQLEISQPGLEPDRGLTWQMTKRRGDHQVHKETEERPGWCTDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRRCVDPAHEKIGVVDSQWIVHQVVPSLGNQGQSEHGRAPWEGVRERCRKEWGIFQTRIAEAEKSYYEMMGVPPPNVTFVH >ORUFI01G48900.1 pep chromosome:OR_W1943:1:39523577:39525402:1 gene:ORUFI01G48900 transcript:ORUFI01G48900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMNSKLPLALVLLLLCGGACVAVAMPSELSIVGYSEEDLASHERLMELFEKFMAKYRKAYSSLEEKLRRFEVFKDNLNHIDEENKKITGYWLGLNEFADLTHDEFKAAYLGLTLTPARRNSNDQLFRYEEVEAASLPKEVDWRKKGAVTEVKNQGQCGSCWAFSTVAAVEGINAIVTGNLTRLSEQELIDCDTDGNNGCSGGLMDYAFSYIAANGGLHTEESYPYLMEEGTCRRGSTEGDDDGEAAAAVTISGYEDVPRNNEQALLKALAHQPVSVAIEASGRNFQFYSGGVFDGPCGTRLDHGVTAVGYGTASKGHDYIIVKNSWGSHWGEKGYIRMRRGTGKHDGLCGINKMASYPTKNA >ORUFI01G48910.1 pep chromosome:OR_W1943:1:39526395:39528502:1 gene:ORUFI01G48910 transcript:ORUFI01G48910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGSVRQAPLPTNQTDYDWWALRPTPTIQLYCSSILSSSSSSFAISLSLFLSSLDPKLLLLASRSSSLLSSHLSSHLLVVASWDLGERGAGGRSKRRARSIKINMSNNKVLTLEEVSKHNTKDDCWLIIGGKVYNVTKFLEDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMMDEYYVGDIDATTIPTKVKYTPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAVRIYTKSESA >ORUFI01G48920.1 pep chromosome:OR_W1943:1:39531250:39534216:-1 gene:ORUFI01G48920 transcript:ORUFI01G48920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHAKNLVAVIGSGNWGSVASRLIASNTAKLPSFHDEVRMWVFEEILPTGKKLSESINQANENCKYLPGIKLGANVIADPDLENAVKDANMLVFVTPHQFVEGICKKLVGKLRPGTEGISLIKGMEIAVEKFSEATIGYKKDKEVATRWAKLFTTPYFLVSVVEDIEGVELCGTLKNVVAIAAGLVDGLDMGNNTKAAIMRIGLREMRAFSKLLSPTVRDNTFFESCGVADLITTCLGGRNRRVAEAFARNGGKRSFDELEAEMLHGQKLQGVSTAKEVYEVLTYRGWQELFPLLSTVHEICIGQLPPTSIVEYSEHTPNLSIINGSNAYY >ORUFI01G48930.1 pep chromosome:OR_W1943:1:39535613:39540040:-1 gene:ORUFI01G48930 transcript:ORUFI01G48930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSSSSHGLLHPPLRLLAAASASSGSSYSIPHARLRLAVTTPSRLPSPISSSPDPPPDVAHDEDEQEGQHHKEERDERYGFEIQVRKLPKRNRRLVRARVRVDAPLDAVWATLTDYEGLAGFIPGLSECRLLDQSDCFARLYQVGEQDLALGFKFNARGTIDCYEGELQLLPAGARRREIAFNMIDGDFKVFEGNWSVQEEVDGGEISADQEFQTILSYVVELEPKLWVPVRLLEGRICNEIKTNLFSIREEAQRIQRLQDKASSQYYTSLILKNTLQKGSIAVLTAQWEGASRRFNRIGLHKSNPELNDEMRGFLRHAESRTPRAFVQLLAAQPPRPSAADQCHAAATKLGFSASNPFANTALLAFYCRSRRLREAQHLFDQMPLRTAVTWNTLIYGHAQSTAPDLAVAAFARMVRAGVSPTASSVSSVLVACVRLENAGAGAMLHSVGLRCGFFASVVVGTALVDMYAKCRRLGAAQQVFGEMEEKNVATFTALVTGFVLSRRPHDAVLLVREMERSGVAPNLMTYSSLLSSFASPEDIDHGKQVHCAVLKKGLEHDPFVLSALVTMYSKCGIWEDFVKVQMSVSCQDQLSNAFAGDGRWGNAAEIRETMSGPLQASMAVCEAVS >ORUFI01G48940.1 pep chromosome:OR_W1943:1:39540436:39540880:-1 gene:ORUFI01G48940 transcript:ORUFI01G48940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLLGRAGYLNEAEYLINGMPIKPGASVYRALLSACQIHGNLEIAIRVSKRLMELNPHDSSVHVQLSNAFAGDGRWAAQSARATMLVLNKIND >ORUFI01G48950.1 pep chromosome:OR_W1943:1:39541025:39541463:-1 gene:ORUFI01G48950 transcript:ORUFI01G48950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGTDMDVFTFASILKAIGSSSSLLEGRQVHTLILKIGYDSVVDVQNSLISMGIQWRFHFNGSTQFGFLEFTNVGMCSTWPWKGGS >ORUFI01G48960.1 pep chromosome:OR_W1943:1:39543236:39543952:-1 gene:ORUFI01G48960 transcript:ORUFI01G48960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAPEEYELGGGEDERVMEWEAGLPGADELTPLSQPLVPAGLAAAFRIPPEPGRTLLDVHRASAATVSRLRRASSSSSSSFPAFASKGAGAGADEAESGGGADGGNGNTNNNSSKRARLVWTPQLHKRFVEVVAHLGMKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYVKRMQGLSNEGPSPSDHIFASTPVPHASLHDQVPSPYHPHPHHHSYNNAAYAATVSSYHHYHHANH >ORUFI01G48970.1 pep chromosome:OR_W1943:1:39545767:39551237:-1 gene:ORUFI01G48970 transcript:ORUFI01G48970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEMAMRGEPWRRQEGEQAQAEADRERELDLFRSGSAPPTVEGSMGALHAAAAADVFLEDELRADPAYHSYYYSNGNINPRLPPPLLSKEDWRSAQRLRPGLGGIGDGRKPRGGGGGRGGGGAGGMGPGDGLIGMPGLEIGRQNSFSGIFQVDDSYQHDTDRQGANCNGTDLLSSSKVQYGLHRETGAISGLHSDSKAPCLPENQNESSHSYASIIGSSLSRSASPDPELVRRVPSPCLPPIGVKLGATDKKNNVGSSSFNCSSPNIIESDDLVSALSGMNLSSSRAMNGNTMDQSKLHQDVDDVRKFLFDQYMDQTNGNQRHSYMKRSEQGHVKVPQEYSGASMNPSVMRSQINAGGFTSFNNSSVGSGFASPRLGSRSPGGSLSSRQNLTGASNLPNYVGIGSPTAASAHQMPVDPLYVQFLRAAEIAALAANCEDPLMDRANLGGSYMDLFGPQKAYLSPLLQSQKQYSYYGNLGVGLGYAGNSLTSPILPSSPGGPGSPLRHGDRSMRYPSGMRNFGGSFGSWNSDLGGKMEANLVPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFAEIMPQALTLMTDVFGNYVVQKFFEHGSSAQIKELADQLIGRVLALSLQMYGCRVIQKAIEVVDLDQQTKMVAELDGQVMRCVRDQNGNHVIQKCIECIPQHAIQFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPKTQQIMMDEILQSVCLLATDQYGNYVVQHVLEHGKPHERSAIIEKLIGQIVQMSQQKFASNVIEKCLAFGNPVERQVLIGEMLGSSNESEHLEVMMKDQFANYVVQKVLETCDDQQREMILTRIKAHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPSTAA >ORUFI01G48980.1 pep chromosome:OR_W1943:1:39553665:39554672:1 gene:ORUFI01G48980 transcript:ORUFI01G48980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDASSYTYWCHSCDSFVHPHPHLDAAVLCPHCNAAGFLHDHEMPPAADHSPFNPPVIVLRRSASPDDATTFDLLYDDGASSALRPLFDRLLLRIPSASDNPNPPASKAAVDSMPTILIGACHLAADSHCAVCKEPFHLAAEAREMPCAHIYHHNCILPWLALHNSCPVCRHRMPTDDHDSTNAAAAQAAAGSSDEDATTVGTLTIWRLPGGGYAVGRFAAAGGTRAGERELPVLYTQMDDGGFNGGGGSGSPTMIGWSSRGSRSSQRQRSIIPRLFRNMFACFRHHDATADSGDYSSRAGRRSSSSVFTRSLRSQITSWRSEDGHPDAIATGR >ORUFI01G48990.1 pep chromosome:OR_W1943:1:39559166:39562001:-1 gene:ORUFI01G48990 transcript:ORUFI01G48990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTMTMRVSSLLVAVVLLAALSFQACSGHGGINDGDGQACSGHGGINDGDGQVDAPATPASSSGVRSKGLIAVKVWCLVILLVFTFAGGVSPYFYRWNESFLLLGTQFAAGVFLGTALMHFLADSTSTFKGLTTNQYPFSFMLTCVGFLLTMLSDLVIAAVARRSAAAGVSDNQVSEQQQRQQAEGAVMSRKEEEAAAVAHPAMLVRTSSFEDAVLLIVALCFHSVFEGIAIGVSASKSEAWRNLWTIGLHKIFAAVAMGIALLRMIPKRPFLMTVVYSLAFAVSSPVGVGIGIAIDATSQGRAADWTYAISMGLATGVFIYVAINHLIAKGYRPHHPTAADKPLFKFLAVLLGVAVMAVVMIWD >ORUFI01G49000.1 pep chromosome:OR_W1943:1:39572432:39572809:-1 gene:ORUFI01G49000 transcript:ORUFI01G49000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERWKLSSSKGRSKSGRSCSSSSSNYYYHSSDFNSSNATTLSRSYSASVTASRHATTAWSAAGAGGGGASSSSSSQHQHQQQQQQSNNSQRLSKKCVEAVKEHRARFYIVRRCVSMLVCWRDY >ORUFI01G49010.1 pep chromosome:OR_W1943:1:39595709:39597974:1 gene:ORUFI01G49010 transcript:ORUFI01G49010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAMQESREYWRDGGDVVGEELLREILDETAAVHSNSNSNSNSNSNSKEAEEEDEREYFAAAAADEQLQVEAPCGRRRRESMVNKLISTVYSGPTISDIESALSFTAAGDHQLLADGHNFAASSCSPVVFSPEKTLSKTMENKYTLKMKSCGNNGGLADDGYKWRKYGQKSIKNSPNPRSYYRCTNPRCNAKKQVERAVDEPDTLIVTYEGLHLHYTYSHFLHSTSSSSSSTTTQQQLQPQPQMMTNCKKKPKLHLHPLLHDDPPPPPPPPEMTTMMIMQSFSIQQQQHDDDQLLQPAADDHLMVQAPPDDCYNINGSSSSGLMMSLDDDEQAAGAGGLLEDVVPLLVRRPPPPICNNNNYYYSPATTCTSDNEYGSSASASPSSSVSVSSWTTPMSPCIDMAILSNIF >ORUFI01G49020.1 pep chromosome:OR_W1943:1:39598370:39601673:1 gene:ORUFI01G49020 transcript:ORUFI01G49020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDASPKPAPPRLNVPPAMAGGLRLDPAVASPARLLLDVPKTPSPSKTTYSDRFIPCRSSSRLHNFALLDRDRASPSSTTDDAPYSRLLRAEIFGPDSPSPAPSSPNTNLFRFKTDHPSPKSPFAASAAATAGHYDCTAGSAESSTPRKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNTLAVGLGNCVYLWSASNCKVTKLCDLGPRDSVCAVHWTREGSYLAIGTSLGDVQIWDSSRCKRIRNMGGHQTRTGVLAWSSRILSSGSRDKNILQHDIRVPSDYISKFSGHRSEVCGLKWSHDDRELASGGNDNQLLVWNQRSQQPILRLTEHTAAVKAIAWSPHQQGLLASGGGTADRCIRFWNTVCNLAWCKNVNELVSTHGYSQNQIMVWKYPSMSKVATLTGHTLRVLYLAMSPDGQTIVTGAGDETLRFWNIFPSMKTQAPVRDIGLWSFSRSHIR >ORUFI01G49030.1 pep chromosome:OR_W1943:1:39602979:39605684:1 gene:ORUFI01G49030 transcript:ORUFI01G49030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHPSNISVKKVVSPRHEKRKREENIFFFHSTTAPFPSHSSRGGAAPTPHRLVHSISISISIYIYIYGRFQLQSPACPAILPTISFPAFLIPFVSSLRLTARTNLLPSHNQFIKQIEMECESNYSKEQRLNGDSLVFDLDDTLYPVTSGIGADVVRNIQAYMIEKLGVEESISLELCVLLYKQYGTTMAGLRAVGYQFDYDDFHSYVHGRLAYEKIKPDPVLRNILLSLPIRKVVFTNGDRIHASRALKRLGIEDCFERVVCFETLNPTSSSLSAAGQVEIFDIMKHLAHPEPGVELPKSPILCKPNIDAMRQALKVASINPKTSILFDDSARNIQAAKQIGMYTVLVGTSERIKGADHALESLHNMKEALPELWDEAVKDEDVRKSSKVGIETSVIA >ORUFI01G49040.1 pep chromosome:OR_W1943:1:39609055:39614652:1 gene:ORUFI01G49040 transcript:ORUFI01G49040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAWLQLQVALLLLVALIFSTLSPSEAEAEAAAATSTNLRRRQEVQSLLRRLNKPPLATIQSPDGDIIDCVHISKQPAFDHPLLKNHTIQMRPSIQPSGMHGEAARPFTQTWNQNGEKCPDNTVPIRRTKEEDVMRATSVATFGKKTHGSHHPRLVGVTDGHHYGVASATGDANYYGTKVYPALYRDEKTRFFIYWTRDAYDQTGCYNLACSGFIQTNTQVVIGGSISPVSIYGGPQYEYDYLVWKDLAGGNWWLQVQGKYVGYWPSSIFTHLQTGVADTVEWGGEVNSPRSTTPMGSGHFPKEGFGKATYSKAIQVVDSSNKLKSPNGVSLIAPLPNCYNVMTGSSSTTSWGTYIYYGGSGCP >ORUFI01G49040.2 pep chromosome:OR_W1943:1:39609055:39614672:1 gene:ORUFI01G49040 transcript:ORUFI01G49040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAWLQLQVALLLLVALIFSTLSPSEAEAEAAAATSTNLRRRQEVQSLLRRLNKPPLATIQSPDGDIIDCVHISKQPAFDHPLLKNHTIQMRPSIQPSGMHGEAARPFTQTWNQNGEKCPDNTVPIRRTKEEDVMRATSVATFGKKTHGSHHPRLVGVTDGHHYGVASATGDANYYGTKDLAGGNWWLQVQGKYVGYWPSSIFTHLQTGVADTVEWGGEVNSPRSTTPMGSGHFPKEGFGKATYSKAIQVVDSSNKLKSPNGVSLIAPLPNCYNVMTGSSSTTSWGTYIYYGGSGCP >ORUFI01G49050.1 pep chromosome:OR_W1943:1:39617150:39619821:-1 gene:ORUFI01G49050 transcript:ORUFI01G49050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGGGAIELRRRMAAQCLAFERQIADGRERTKAAASAFSAALLSARSLSNHTISQREKSNQLKDQLRKLEADFAQALSSHGRSETLQTSSAVQGSNKTKYDLTGQSITNAIATNDQLSCLVTDKRASRDEYANVISSQLEAIEALEAKTDAAGKKNLDEAFMWYKKFLGFQVVGGEGVKFVFSKIDIQNPDNEYSFCIKLNKDRYNLLQCTPFLKDSEELVKDLNCSNDLFKFVRIMRERFQAAAINGFLPASSLCPDMSSSITDSSPPALSIDTGRESTTTTSQSHSRSRAKNQDNPTKRGARPSNLLSSTRRSPRVAAADATNRY >ORUFI01G49060.1 pep chromosome:OR_W1943:1:39619904:39629048:1 gene:ORUFI01G49060 transcript:ORUFI01G49060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLQASGAAASWVVGRMGTDAHLYDDPDDASIPTLLDSRFDADKLDALKRLLALIAQGVDVAHFFPQVVKNVASQSLEVKKLVYLYLLHYAEKRQNEALLSINIFQKDLSDINPLVRAWALRTMAGIRLHVVAPLVLVAVKKCARDPSAYVRKCAAYALCKLHDLLPDETTSLEDTVDVLFSDNSPGVVGATAVAFNSVCPNCLPLISKHFQRLCETLPDIEEWAQILLIDIILRYVIARHGLVKDSSIFASNLTLKSQGSGDSALIGNETCGTTSTITLFRHYIEEYSECLEGDIINCSSVTSSTNNDVALLLKCTSPLLWSRNSGVILAAASVHWIMAPVDQLNRVVGPILFTLRSSPDATYVMLGNILVFAKTAPQLFAPFYEDFFICTSDPYQTKALKLEILTTIATESSIPAIFEEFQDYIKEPDRRFVADTVAAIALCAQKLPSITTSCLGGLLALVFYESSISDSANFDGEAAVLVQAILSIKAIVRTDPASHEKVIVRLVRSLDKIKEPAARSLIIWIFGEYSSIGNIIPKITPAVLKYLAWSFAAEMLETKLQILNAAAKVIIHSPEEHLEEFKKIMAHVIKLATCDLSYDVRDRARFISRLLPYSTTYLNGNNSSCQSHNEDMFKELANHIFDGKMPSTFHPTNNYRIYLPGSLSQVVLHAAPGYAPLPKPQSMELIHKTMEPTRGVGNSSESINSDAESGSSTYDGGSVYDSESEVDGSSDRNAADSNTKDNQEDPLVHVYDASVDQGQTARDVEDNFASLITTDLTELMSKSALETWLDEAPAEPVQVSTQASSARVSFTNRSFERKPKLHMLLDPSNSNGLSVLYAFSSEVSPVSRLLVCVDLLFENVSTNQLADITIKSEEASGSEDGLDQTLQGSASVPTIVLDKEIQLLAPEQTEKMVLQVHFHHHLLPLKLSVLCNGKRHPAKLHPDIAYFVRPLPMDLNAFLCKENQLRGMFEYARRCTFKDHLQKLEHNDDSEEHTDKNLLIAQSLASKILSNANFHLVSMDMPVTFSIEDASGLCWRFSSEILSTSNPCLITILAEGHISEPLDLTAKVNSEDTAFGLNLLNRVVAIIE >ORUFI01G49070.1 pep chromosome:OR_W1943:1:39627149:39629483:-1 gene:ORUFI01G49070 transcript:ORUFI01G49070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAAPQQVHVRAAPLARALRTRVAAAAASASSPERALLGLSEPDLRQLAVDLGQVLSHASLPYPASSIVCPAPYCCTRFLQQSYRGKQLHDLLYKSRAKQIQEFSHVPKVFREALVGAGWKVGRSPVHHAVTASDGTTKILLKLEDNRLIETVGIPVDDDKGPSRLTACVSSQVGCPLRCSFCATGKGGFARNLRAHEIVEQVLAIEETFQHRVTNVVFMGMGEPMLNLKSVLEAHRCLNKELKIGQRMITISTVGVPSTIKKLASHKLQSTLAVSLHAPNQKLRETIVPSAKSYPLEALMDDCKNYFLETGRRVSFEYTLLAGINDAKEHAEELAELLHTCGGGYHVNLIPYNPIQGSEYKRPYRKVVQAFVDALEARKITVSVRQTRGLDANAACGQLRNEFQKNPLLESSPSSEPNLVPA >ORUFI01G49080.1 pep chromosome:OR_W1943:1:39631185:39634308:-1 gene:ORUFI01G49080 transcript:ORUFI01G49080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGTKISSDAISRNASGSRRRRSGSRRKGTGLSSRVSSSVAAVTPRSEGEILRCANVRSFAFNELKTATRNFRPDSVLGEGGFGSVFKGWVDENTFLPSRPGTGMVIAVKKLNQDGFQGHREWLAEVNYLGQLSHPNLVKLVGYCLQDEQRLLVYEFMPRGSLENHLFRRGAHFQPLSWNLRMKVALGAAKGLAFLHSDKAKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLSAKSDVYSFGVVMVEMLSGRRALDKNRPAGEHNLVEWARPYLSSRRRIFRILDARLAGQYSLAGAHKAAALALQCLSADAKNRPTMHQVVAALEQLQETTTTSHHHRSPQSRMLLGGRGFNASGRSSAGAGARPRRLSASPLPA >ORUFI01G49090.1 pep chromosome:OR_W1943:1:39645379:39647617:1 gene:ORUFI01G49090 transcript:ORUFI01G49090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLAKELGLSPPAAVTPRRRPPPARVPASPSGGSPVAVGDLWLRTKGAGGGGDGFGSHSHESEMDLAMLVTDFLENGGTGGADSRASSDSDSALSDHLADNISIYKQGGDEKENELLSMVHSLLFSIHESDLLAFKRGQCSASCIRHLLVKLLRYSGYDAAVCVSKWQGFDKIPGGDHEYIDVIMNSDTEYRLIIDIDFRSHFEIARAVDSYDSLLNSLPVVYVGTLPRLKQFLHVMVDAAKWSLKQNSMPLPPWRSLPYLQAKWHSKYERIDLHIEQDFHSTASDHALCIGHLKRLKSSLQSELDTERLLMMPIKTDMKRRAKFERRRRRSLLSF >ORUFI01G49100.1 pep chromosome:OR_W1943:1:39658210:39664622:1 gene:ORUFI01G49100 transcript:ORUFI01G49100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKSFLPPPFIALSSNPRPTTLAPTPNPRPRRRNSLAICSASASGDPSPPPEAEGGGNPLLALWRRTLHPLGDYGFGKRSVWEGGVGLFMVSGAALLALALAWLRGFQLRARFRKYQAVFEFTQACGICVGTPVRIRGVTVGNVVRVDSSLKSIDAYVEVEDDKIIVPRNSVVEVNQSGLLMETLIDITPKDPLPTPSVGPLDPDCSKEGLILCDKERMKGQQGVSLDALVGIFTRLGRDMEEIGVHKSYKLAEKVASIMEEAQPLLSRIEALAEEIQPLLSEVRDSDLVKDVEIIAKGLADASGDLRRLKSSMLTPENTDLIKQSIFTLIFTLKNIESISSDISGFTGDDATRRNIKLLIKSLSRLL >ORUFI01G49100.2 pep chromosome:OR_W1943:1:39658210:39664622:1 gene:ORUFI01G49100 transcript:ORUFI01G49100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKSFLPPPFIALSSNPRPTTLAPTPNPRPRRRNSLAICSASASGDPSPPPEAEGGGNPLLALWRRTLHPLGDYGFGKRSVWEGGVGLFMVSGAALLALALAWLRGFQLRARFRKYQAVFEFTQACGICVGTPVRIRGVTVGNVVRVDSSLKSIDAYVEVEDDKIIVPRNSVVEVNQSGLLMETLIDITPKDPLPTPSVGPLDPDCSKEGLILCDKERMKGQQGVSLDALVGIFTRLGRDMEEIGVHKSYKLAEKVASIMEEAQPLLSRIEALAEEIQPLLSEVRDSDLVKDVEIIAKGLADASGDLRRLKSSMLTPENTDLIKQSIFTLIFTLKNIESISSDISGFTGDDATRRNIKLLIKSLSRLL >ORUFI01G49100.3 pep chromosome:OR_W1943:1:39658210:39662620:1 gene:ORUFI01G49100 transcript:ORUFI01G49100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKSFLPPPFIALSSNPRPTTLAPTPNPRPRRRNSLAICSASASGDPSPPPEAEGGGNPLLALWRRTLHPLGDYGFGKRSVWEGGVGLFMVSGAALLALALAWLRGFQLRARFRKYQAVFEFTQACGICVGTPVRIRGVTVGNVVRVDSSLKSIDAYVEVEDDKIIVPRNSVVEVNQSGLLMETLIDITPKDPLPTPSVGPLDPDCSKEGLILCDKERMKGQQGVSLDALVGIFTRLGRDMEEIGVHKSYKLAEKVASIMEEAQPLLSRIEALAEEIQPLLSEVRDSDLVKDVEIIAKGLADASGDLRRLKSSMLTPENTDLIKQSIFTLIFTLKNIESISSDISGFTGDDATRRNIKLLIKSLSRLL >ORUFI01G49110.1 pep chromosome:OR_W1943:1:39665418:39666110:1 gene:ORUFI01G49110 transcript:ORUFI01G49110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSSCQCGNGCGGCKYSEVEPTTTTTFLADATNKGSGAASGGSEMGAENGSCGCNTCKCGTSCGCSCCNCN >ORUFI01G49120.1 pep chromosome:OR_W1943:1:39667132:39676657:-1 gene:ORUFI01G49120 transcript:ORUFI01G49120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQVQESKRVGRQLPPHRPPLSVSLAASISFSSARKPPEPLRRAVADCLSPPAPHTHTHAPPPAASSAPAEASRTLRDYIANPSTIDMAYNVLIDHALAERDRSPAVVPKCVSLLKTYLIRYTPRVQTLRQIDLFCANTIAKCEPLGTQRSSSASPHSSVAAPPITNFASPSLVKSLNYVRSLVARHIPKLSFQPIGHSVAPTSTKQSLPSLSSFFNKSLVSQLTPEAITNMDLVESKESHAPSDLISSATEKADGGEPADDTKYISFDILNWRWHVYGERQASASTKESNDFADLQDFHTQGFLEVGAAALLVGDMEAKINDQQWKYSFIQDFPDIDLLQPSTSTASTFASSQSHLKAITASKRMKSGPNQVWMNIPANTFQPRARPLFQYRHYSEQQPLKLNPAEISEVIAEVCSETTSNSNQFSAPSRLTTQSRQPSADVAFSVLIKLVIDMYMMDSEAAAPLTLYMLEGMLSSQKSPARTKAFDLILNLGIHAHLLEPMIVENAPLIEKSETVNHSYMNNEYGSSMDEQRATESEQEQRMEERQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVIKTLLEISVEHSWAKVVHSKLICMLTNMLYQVSDGAPNGAIDTHFLPDQIDRVGGVDYICLEYSRANSREEKRDLFFVLFDYVLHQINETFLAGGLSTYTYDDAQPLASLLACADAPEAFYISVKHGVEGVGDMLRKAISSALSQSTEYEQLNVLLDKVIRKLDGTVSTFSRIDTEFAYMIQVTKSYKCFSSIRDGHEDADVALRARLCWATLHSLLNSQISSYRHHGYIWLVELLLSEISEETDGSIWSKIQKLQDEIEVAGSQDLSSSEVSLPVCLLCGLLKSKHNFIRWGFLYVLDKFLMRCKLLLDDNDMQEHTVADHSKHRLDKAFAVIDIMNSALLLVVQNNETDHINILKMCDMLFSQLCLRLPSSNVMHMGGLQSLGQLFGCTTKNIESHLETLASHQNVGNKNFCRSETLQDISVNQTAQTTLLSETSMAALLLRGLAIAPMQLVARVPTSLFFWPLMQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPDTYQRMLQSLISKAQQCNNEKLLENPYLQMRSESPVTCKLITGSKRCGYLNEIRIES >ORUFI01G49120.2 pep chromosome:OR_W1943:1:39667431:39676657:-1 gene:ORUFI01G49120 transcript:ORUFI01G49120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQVQESKRVGRQLPPHRPPLSVSLAASISFSSARKPPEPLRRAVADCLSPPAPHTHTHAPPPAASSAPAEASRTLRDYIANPSTIDMAYNVLIDHALAERDRSPAVVPKCVSLLKTYLIRYTPRVQTLRQIDLFCANTIAKCEPLGTQRSSSASPHSSVAAPPITNFASPSLVKSLNYVRSLVARHIPKLSFQPIGHSVAPTSTKQSLPSLSSFFNKSLVSQLTPEAITNMDLVESKESHAPSDLISSATEKADGGEPADDTKYISFDILNWRWHVYGERQASASTKESNDFADLQDFHTQGFLEVGAAALLVGDMEAKINDQQWKYSFIQDFPDIDLLQPSTSTASTFASSQSHLKAITASKRMKSGPNQVWMNIPANTFQPRARPLFQYRHYSEQQPLKLNPAEISEVIAEVCSETTSNSNQFSAPSRLTTQSRQPSADVAFSVLIKLVIDMYMMDSEAAAPLTLYMLEGMLSSQKSPARTKAFDLILNLGIHAHLLEPMIVENAPLIEKSETVNHSYMNNEYGSSMDEQRATESEQEQRMEERQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVIKTLLEISVEHSWAKVVHSKLICMLTNMLYQVSDGAPNGAIDTHFLPDQIDRVGGVDYICLEYSRANSREEKRDLFFVLFDYVLHQINETFLAGGLSTYTYDDAQPLASLLACADAPEAFYISVKHGVEGVGDMLRKAISSALSQSTEYEQLNVLLDKVIRKLDGTVSTFSRIDTEFAYMIQVTKSYKCFSSIRDGHEDADVALRARLCWATLHSLLNSQISSYRHHGYIWLVELLLSEISEETDGSIWSKIQKLQDEIEVAGSQDLSSSEVSLPVCLLCGLLKSKHNFIRWGFLYVLDKFLMRCKLLLDDNDMQEHTVADHSKHRLDKAFAVIDIMNSALLLVVQNNETDHINILKMCDMLFSQLCLRLPSSNVMHMGGLQSLGQLFGCTTKNIESHLETLASHQNVGNKNFCRSETLQDISVNQTAQTTLLSETSMAALLLRGLAIAPMQLVARVPTSLFFWPLMQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPDTYQRMLQSLISKAQQCNNEKLLENPYLQMRSESPVTCKLITGSKRCGYLNEV >ORUFI01G49120.3 pep chromosome:OR_W1943:1:39667431:39676657:-1 gene:ORUFI01G49120 transcript:ORUFI01G49120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQVQESKRVGRQLPPHRPPLSVSLAASISFSSARKPPEPLRRAVADCLSPPAPHTHTHAPPPAASSAPAEASRTLRDYIANPSTIDMAYNVLIDHALAERDRRYTPRVQTLRQIDLFCANTIAKCEPLGTQRSSSASPHSSVAAPPITNFASPSLVKSLNYVRSLVARHIPKLSFQPIGHSVAPTSTKQSLPSLSSFFNKSLVSQLTPEAITNMDLVESKESHAPSDLISSATEKADGGEPADDTKYISFDILNWRWHVYGERQASASTKESNDFADLQDFHTQGFLEVGAAALLVGDMEAKINDQQWKYSFIQDFPDIDLLQPSTSTASTFASSQSHLKAITASKRMKSGPNQVWMNIPANTFQPRARPLFQYRHYSEQQPLKLNPAEISEVIAEVCSETTSNSNQFSAPSRLTTQSRQPSADVAFSVLIKLVIDMYMMDSEAAAPLTLYMLEGMLSSQKSPARTKAFDLILNLGIHAHLLEPMIVENAPLIEKSETVNHSYMNNEYGSSMDEQRATESEQEQRMEERQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVIKTLLEISVEHSWAKVVHSKLICMLTNMLYQVSDGAPNGAIDTHFLPDQIDRVGGVDYICLEYSRANSREEKRDLFFVLFDYVLHQINETFLAGGLSTYTYDDAQPLASLLACADAPEAFYISVKHGVEGVGDMLRKAISSALSQSTEYEQLNVLLDKVIRKLDGTVSTFSRIDTEFAYMIQVTKSYKCFSSIRDGHEDADVALRARLCWATLHSLLNSQISSYRHHGYIWLVELLLSEISEETDGSIWSKIQKLQDEIEVAGSQDLSSSEVSLPVCLLCGLLKSKHNFIRWGFLYVLDKFLMRCKLLLDDNDMQEHTVADHSKHRLDKAFAVIDIMNSALLLVVQNNETDHINILKMCDMLFSQLCLRLPSSNVMHMGGLQSLGQLFGCTTKNIESHLETLASHQNVGNKNFCRSETLQDISVNQTAQTTLLSETSMAALLLRGLAIAPMQLVARVPTSLFFWPLMQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPDTYQRMLQSLISKAQQCNNEKLLENPYLQMRSESPVTCKLITGSKRCGYLNEV >ORUFI01G49120.4 pep chromosome:OR_W1943:1:39667431:39676657:-1 gene:ORUFI01G49120 transcript:ORUFI01G49120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQVQESKRVGRQLPPHRPPLSVSLAASISFSSARKPPEPLRRAVADCLSPPAPHTHTHAPPPAASSAPAEASRTLRDYIANPSTIDMAYNVLIDHALAERDRRYTPRVQTLRQIDLFCANTIAKCEPLGTQRSSSASPHSSVAAPPITNFASPSLVKSLNYVRSLVARHIPKLSFQPIGHSVAPTSTKQSLPSLSSFFNKSLVSQLTPEAITNMDLVESKESHAPSDLISSATEKADGGEPADDTKYISFDILNWRWHVYGERQASASTKESNDFADLQDFHTQGFLEVGAAALLVGDMEAKINDQQWKYSFIQDFPDIDLLQPSTSTASTFASSQSHLKAITASKRMKSGPNQVWMNIPANTFQPRARPLFQYRHYRYMMDSEAAAPLTLYMLEGMLSSQKSPARTKAFDLILNLGIHAHLLEPMIVENAPLIEKSETVNHSYMNNEYGSSMDEQRATESEQEQRMEERQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVIKTLLEISVEHSWAKVVHSKLICMLTNMLYQVSDGAPNGAIDTHFLPDQIDRVGGVDYICLEYSRANSREEKRDLFFVLFDYVLHQINETFLAGGLSTYTYDDAQPLASLLACADAPEAFYISVKHGVEGVGDMLRKAISSALSQSTEYEQLNVLLDKVIRKLDGTVSTFSRIDTEFAYMIQVTKSYKCFSSIRDGHEDADVALRARLCWATLHSLLNSQISSYRHHGYIWLVELLLSEISEETDGSIWSKIQKLQDEIEVAGSQDLSSSEVSLPVCLLCGLLKSKHNFIRWGFLYVLDKFLMRCKLLLDDNDMQEHTVADHSKHRLDKAFAVIDIMNSALLLVVQNNETDHINILKMCDMLFSQLCLRLPSSNVMHMGGLQSLGQLFGCTTKNIESHLETLASHQNVGNKNFCRSETLQDISVNQTAQTTLLSETSMAALLLRGLAIAPMQLVARVPTSLFFWPLMQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPDTYQRMLQSLISKAQQCNNEKLLENPYLQMRSESPVTCKLITGSKRCGYLNEV >ORUFI01G49130.1 pep chromosome:OR_W1943:1:39682216:39683553:1 gene:ORUFI01G49130 transcript:ORUFI01G49130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGLSCSRDTDEYDLFRAAQLGDIHALSALLAADPALARRATVYDRFTALHIAAANGRLQVLSMLLDRDGDVDVLSRKKQTPLMVAAMRGNTECVVRLLRGGANVLTFDSPRARTCLHHAAYYGHAECLQAILGAAAQAQGPVAASWGFARFVNVRDERGATPLHLAARHARASCVRLLLDKGAIVSAPTAVYGFPGSTALHLAARAGSMECIRELLAWGADRLQRDSAGRIAYAVAMRRGHRACAALLNPAAAEPIVWPSPLKFIGELEADAKALLEAALMEANREREKRILLGSDINIKGGDEEESEDEEEACNICFEQACSMEVKECGHQMCAACTLAICCHSKPNPKTLLLHPPACPFCRTTISRLVVATTNSNKTNSRRRSRSSSSSFKGGLSSAMGSFSRIGRGSGRLVVDGSSVGELADKPDHDFSSVAAAAAICDT >ORUFI01G49140.1 pep chromosome:OR_W1943:1:39685478:39688738:-1 gene:ORUFI01G49140 transcript:ORUFI01G49140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLFSAPALHRRRLLRHAAAFALVLLAVALLFLLLVHPRSLGTPSPSPSYGHRLPTLVDLTLVHGAKEKGAVCLDGTPPGYHWLPGFGDGSNKWLLHLEGGSWCRNRTSCDHRKKTSLGSSAYMETRVEFVGILSDDKAQNPDFYNWNKVKIRYCDGASLSGNVQDEHQYGATFFFRGQRIWEAVMAELLPKGLARAKQAFLTGCSAGGLSTYIHCDDFRALLPKDSTVKCLADGGFFLDVEDISGRRYMRGFYNDVARQQDLRKRFPGCSSDMEPGQCFFPQEVAKGITTPMFILNPAYDVWQVEHVLTPDGSDPQNLWQDCRMDITKCNAKQLEILQGFRKSLLDAISEFKKKRGWGMFIDSCFIHCQSMKSLAWHSPSASRINNKTVAEAVGDWFFDRREVKEIDCEYPCNPTCFNVVLEQPYQEG >ORUFI01G49150.1 pep chromosome:OR_W1943:1:39690066:39695367:1 gene:ORUFI01G49150 transcript:ORUFI01G49150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMGVGDTDTWSAAAQTRRKEATQNLFSFTTLLIVPIFFNSTPSFLPPCSSISIRSDLFHPTLPEETRHFSLSGKFGIGSVGNQRIGLTSLVRPMHTDSLLLKGRKKVHPRSLNLSSRYKNILEPQNQICGVPQLYKTGHLRSLGGFQPGFI >ORUFI01G49160.1 pep chromosome:OR_W1943:1:39691578:39693390:-1 gene:ORUFI01G49160 transcript:ORUFI01G49160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLQVATTASSSTREGKAPALNERILSSMSKRSVAAHPWHDLEIGPEAPTIFNCVIEIPRGSKVKYELDKKTGLVKVDRVLYSSVVYPHNYGFIPRTLCDDSDPLDVLVIMQEPVIPGCFLRAKAIGVMPMIDQGEADDKIIAVCADDPEYKHYNDIKDLPPHRLAEIRRFFEDYKKNENKEVAVNDFMPATSAYETIRHSMDLYATYILEGLRR >ORUFI01G49170.1 pep chromosome:OR_W1943:1:39693408:39694387:-1 gene:ORUFI01G49170 transcript:ORUFI01G49170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFLPPSGRYGTVQRANIGVTFNTGPGLEQWILTSSSFGCRGGRHVDRACFIEQQQAPFKI >ORUFI01G49180.1 pep chromosome:OR_W1943:1:39694724:39695407:-1 gene:ORUFI01G49180 transcript:ORUFI01G49180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPRESYADSATTSDKTGLKTTEGPQVTRRVGWNRSDRIEIEEQGGRKEGVELKKMGTMRRVVKEKRFWVASFLLVWAAALQGHMMWMQRQDAFKQKFPSNSNHDDDLAGADS >ORUFI01G49190.1 pep chromosome:OR_W1943:1:39696035:39696301:1 gene:ORUFI01G49190 transcript:ORUFI01G49190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSEEAKQEQHRVLAHKLFLLSHPDLNDLAKVALRSDALDAVKSDGMALLFESLAVNGVLEPDDALLVEMRVRIDEEVPQAIVVRA >ORUFI01G49200.1 pep chromosome:OR_W1943:1:39696456:39696779:-1 gene:ORUFI01G49200 transcript:ORUFI01G49200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLHHRTDDDDGAQARGWAEKGQWAPAAASASASTYVAAPDNDTRARGRAEKGRWPAERRRAPAAASATDSFVRRAKMVEDDAAAADKAERRGGDNGCLSPSAAGD >ORUFI01G49210.1 pep chromosome:OR_W1943:1:39697710:39698878:-1 gene:ORUFI01G49210 transcript:ORUFI01G49210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSRHSRSGDGNGWASPERTMVWRTELKKKQMKQVVVGVVYYLCRQDGQLDHPHFVHVHVPSDSDSDHPRPRLHLRDFIARLSDLRGAAMPAAYSWSAKTTYRRNAGYVWQDLTADDLIPAPSTNHEEYVLKGSPLLHHNSNTPPQHRRCMTSFDLADYHRTTDPVPVPAAAQQSLIGIDEISPPPSSSSPDDTTTQLVTLKQKQQEEDGCTPQQQAATTPAGRMRTSAMLMKLISCGASSIKELQGQAQSQRRRATAWHNNKPDIMDHRDYFSGSLLDNNTTTHPIDLTLRRSSSCNAHRGQSSRLGVVDQDGVPRRQQLHAKSTAARMDSPETDQIRSDHRGGDQQAKLS >ORUFI01G49220.1 pep chromosome:OR_W1943:1:39708632:39710241:1 gene:ORUFI01G49220 transcript:ORUFI01G49220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGKKQGRHSFSASSSSSSSSSCSVVQLGHHQRPQGEDPLIGIKAAAAGGGGIMRKGPWTEQEDVQLVWFVRLLGERRWDFLAKVSGLQRSGKSCRLRWVNYLHPGLKRGRMSPEEERMVVQLHAKLGNRWSRIAKSIPGRTDNEIKNYWRTHLRKLKLKQQKQQQSDDHHNDNDDDDDRNSSSSSSSSNSNSNLQQQPQPEDESSASGSLQAQHHEDQHQLFLHPLWNDDIIVDVDCWSSSTNVVAPPPMPASPLWDIDDAFFCSDYSLPLWG >ORUFI01G49230.1 pep chromosome:OR_W1943:1:39723619:39724245:1 gene:ORUFI01G49230 transcript:ORUFI01G49230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQVAAPSRRRPSLGRQKIEIRRIESEEARQVCFSKRRAGFFKKASELSILCSADVAAVVFSPAGKAYSFGHPSVECLLERFLPDSSSGAAARVRRGANNNGGGGMVGELNRQYGELRAMVEAHKARRERADEKIEMERAAGRWLPMDADVRRMSPEELMAFGTGLMAGQAAVSARADQMLRDALLIGRRPPTTTTAGFGFFHMPHY >ORUFI01G49240.1 pep chromosome:OR_W1943:1:39727125:39727964:-1 gene:ORUFI01G49240 transcript:ORUFI01G49240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRIAVGAPGELSHPDTAKAAVAEFISMLIFVFAGSGSGMAFSKQALNPSKLTDGGGTTPSGLIAASLAHALALFVAVAVGANISGGHVNPAVTFGAFVGGNISLVKAVVYWVAQLLGSVVACLLLKIATGGAAVGAFSLSAGVGAWNAVVFEIVMTFGLVYTVYATAVDPKKGDLGVIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVTGVWDNHWVYWLGPFVGAAIAALIYDIIFIGQRPHDQLPTADY >ORUFI01G49250.1 pep chromosome:OR_W1943:1:39731175:39736512:-1 gene:ORUFI01G49250 transcript:ORUFI01G49250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGLYVEEKRSREGRETVCSVAKGSQQPVSFHRIRPTEATQPSKDTIESNRVHSLQGRGASEQGRRRRGIGIASGRPPMDMDPPTNNPSPPGPPDSPPPEKRLASLSLRTSHLPPDFEIHDDYDDDDDEGYLTAVSRVGSISTSASAWKDDLEDADVAPPSPSSSGYAAERGTSLASSAAANDDPQPQPDDDDWPRDKKHLHEDDTSASWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENSGDHIKFVRAAKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQMLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIHAFSWNPATFLHAYTCLPLAQSTRQAASAVLQDIADSGVLFALLMCEHKVISLVGAQKATLHPDDIFLLSNFILSSESFRTSESFSPICLPRYNSMAFLYAYENTYLTLLTARSDAFYDLKDSRSRIQNVLLKANVLVEVQRSLRESALRIEDLPADPSSQSVSPPPQFSQDLHFQLLSSEMAIGGPAGLWHFIYKSIYLDQYVSSEFPLIISNPKQQKRLYKAYQKLYASMHDKATGPHKTQFRRDEDYVLFCWITQDFELYAAFNPLADKSQAIKVCNRVCQWIRDLENEIFVYGESTLSWQYHHCWGDMRD >ORUFI01G49250.2 pep chromosome:OR_W1943:1:39731306:39736512:-1 gene:ORUFI01G49250 transcript:ORUFI01G49250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGLYVEEKRSREGRETVCSVAKGSQQPVSFHRIRPTEATQPSKDTIESNRVHSLQGRGASEQGRRRRGIGIASGRPPMDMDPPTNNPSPPGPPDSPPPEKRLASLSLRTSHLPPDFEIHDDYDDDDDEGYLTAVSRVGSISTSASAWKDDLEDADVAPPSPSSSGYAAERGTSLASSAAANDDPQPQPDDDDWPRDKKHLHEDDTSASWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENSGDHIKFVRAAKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQMLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIHAFSWNPATFLHAYTCLPLAQSTRQAASAVLQDIADSGVLFALLMCEHKVISLVGAQKATLHPDDIFLLSNFILSSESFRTSESFSPICLPRYNSMAFLYAYENTYLTLLTARSDAFYDLKDSRSRIQNVLLKANVLVEVQRSLRESALRIEDLPADPSSQSVSPPPQFSQDLHFQLLSSEMAIGGPAGLWHFIYKSIYLDQYVSSEFPLIISNPKQQKRLYKAYQKLYASMHDKATGPHKTQFRRDEDYVLFCWITQDFELYAAFNPLADKSQAIKVCNRVCQWIRDLENEIFVYGESTLSW >ORUFI01G49250.3 pep chromosome:OR_W1943:1:39731175:39736512:-1 gene:ORUFI01G49250 transcript:ORUFI01G49250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGLYVEEKRSREGRETVCSVAKGSQQPVSFHRIRPTEATQPSKDTIESNRVHSLQGRGASEQGRRRRGIGIASGRPPMDMDPPTNNPSPPGPPDSPPPEKRLASLSLRTSHLPPDFEIHDDYDDDDDEGYLTAVSRVGSISTSASAWKDDLEDADVAPPSPSSSGYAAERGTSLASSAAANDDPQPQPDDDDWPRDKKHLHEDDTSASWRKRKKHFFILSNSGKPIYSSGDHIKFVRAAKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQMLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIHAFSWNPATFLHAYTCLPLAQSTRQAASAVLQDIADSGVLFALLMCEHKWEHKRQLCILMIFFYFQISYCPLNLLGNHHDTHSEMENTYLTLLTARSDAFYDLKDSRSRIQNVLLKANVLVEVQRSLRESALRIEDLPADPSSQSVSPPPQFSQDLHFQLLSSEMAIGGPAGLWHFIYKSIYLDQYVSSEFPLIISNPKQQKRLYKAYQKLYASMHDKATGPHKTQFRRDEDYVLFCWITQDFELYAAFNPLADKSQAIKVCNRVCQWIRDLENEIFVYGESTLSWQYHHCWGDMRD >ORUFI01G49250.4 pep chromosome:OR_W1943:1:39731306:39736512:-1 gene:ORUFI01G49250 transcript:ORUFI01G49250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGLYVEEKRSREGRETVCSVAKGSQQPVSFHRIRPTEATQPSKDTIESNRVHSLQGRGASEQGRRRRGIGIASGRPPMDMDPPTNNPSPPGPPDSPPPEKRLASLSLRTSHLPPDFEIHDDYDDDDDEGYLTAVSRVGSISTSASAWKDDLEDADVAPPSPSSSGYAAERGTSLASSAAANDDPQPQPDDDDWPRDKKHLHEDDTSASWRKRKKHFFILSNSGKPIYSSGDHIKFVRAAKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQMLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIHAFSWNPATFLHAYTCLPLAQSTRQAASAVLQDIADSGVLFALLMCEHKWEHKRQLCILMIFFYFQISYCPLNLLGNHHDTHSEMENTYLTLLTARSDAFYDLKDSRSRIQNVLLKANVLVEVQRSLRESALRIEDLPADPSSQSVSPPPQFSQDLHFQLLSSEMAIGGPAGLWHFIYKSIYLDQYVSSEFPLIISNPKQQKRLYKAYQKLYASMHDKATGPHKTQFRRDEDYVLFCWITQDFELYAAFNPLADKSQAIKVCNRVCQWIRDLENEIFVYGESTLSW >ORUFI01G49260.1 pep chromosome:OR_W1943:1:39737509:39745053:-1 gene:ORUFI01G49260 transcript:ORUFI01G49260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGLFGWSPPHVQPLTPVSEASEPPESPSPYAADLAGDAAPPPEDDAAAALDDGDDEPDPPPAAVPFKRLFACADRLDWALMSAGALAAAAHGVALVVYLHLFGTAIHSLHGRHNHDLFHHINQVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLIIGLVNCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYGEAASVAEQAILYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLISHGKANGGEVVVALFSIILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSVVNQDGRTLPSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRSATTDQIEEAAKTAHAHTFISSLEKGYDTQVGRAGLSLTEEQKIKLSIARAVLSNPSILLLDEVTGALDFEAEKAVQEALDILMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLNLDGLYAELLRCEEAAKLPKRTPIRNYKEPSSFQIERDSSASHSFQESSSPNMSKSPSLQKTHGFLAFRNSDANHNSHESPNIQSPPSEQMAETRLPTVASERAPSIKRQDSFEMKLPDLPKIDVPLHRQSSNTSDPESPISPLLTSDPKNERSHSKTFSRPLDMFDNFHAEESKKQKTKAPSFWRLVELSLAEYFYALLGSAGAACFGSFNPLLAYTISLIVVAYYRIGVRDVHDEVNKYCSFIVGMGIITVLANFLQHFYFGIMGEKMTERVRRMMFSAILRNEVGWFDEEENSADILSMRLANDATFVRAAFSNRLSIFIQDTAAIFVALLLGMLLEWRVALVALATLPILVISAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGNILWKSLVHGMGIGFAFGLSQFLLFACNALLLWYTAVAVKNGHLSLVTALKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRAPKIDPDDASGLKPPNVYGSIEFRNVDFCYPTRPETMVLSNFSLRVNGGQTVAVVGVSGSGKSTIISLIERFYDPTAGQVLLDGRDLKLFNLRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTVLIAHRAAMMKHVDNIVVLNGGKIVEQGTHDSLVQKNGLYVKLMQPHFTKGFRQRRLI >ORUFI01G49260.2 pep chromosome:OR_W1943:1:39737509:39745053:-1 gene:ORUFI01G49260 transcript:ORUFI01G49260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGLFGWSPPHVQPLTPVSEASEPPESPSPYAADLAGDAAPPPEDDAAAALDDGDDEPDPPPAAVPFKRLFACADRLDWALMSAGALAAAAHGVALVVYLHLFGTAIHSLHGRHNHDLFHHINQVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKEEYQIFFSTGLQKTFRMRMVKQQALPNRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLISHGKANGGEVVVALFSIILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSVVNQDGRTLPSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRSATTDQIEEAAKTAHAHTFISSLEKGYDTQVGRAGLSLTEEQKIKLSIARAVLSNPSILLLDEVTGALDFEAEKAVQEALDILMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLNLDGLYAELLRCEEAAKLPKRTPIRNYKEPSSFQIERDSSASHSFQESSSPNMSKSPSLQKTHGFLAFRNSDANHNSHESPNIQSPPSEQMAETRLPTVASERAPSIKRQDSFEMKLPDLPKIDVPLHRQSSNTSDPESPISPLLTSDPKNERSHSKTFSRPLDMFDNFHAEESKKQKTKAPSFWRLVELSLAEYFYALLGSAGAACFGSFNPLLAYTISLIVVAYYRIGVRDVHDEVNKYCSFIVGMGIITVLANFLQHFYFGIMGEKMTERVRRMMFSAILRNEVGWFDEEENSADILSMRLANDATFVRAAFSNRLSIFIQDTAAIFVALLLGMLLEWRVALVALATLPILVISAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGNILWKSLVHGMGIGFAFGLSQFLLFACNALLLWYTAVAVKNGHLSLVTALKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRAPKIDPDDASGLKPPNVYGSIEFRNVDFCYPTRPETMVLSNFSLRVNGGQTVAVVGVSGSGKSTIISLIERFYDPTAGQVLLDGRDLKLFNLRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTVLIAHRAAMMKHVDNIVVLNGGKIVEQGTHDSLVQKNGLYVKLMQPHFTKGFRQRRLI >ORUFI01G49270.1 pep chromosome:OR_W1943:1:39747336:39748469:-1 gene:ORUFI01G49270 transcript:ORUFI01G49270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRTSSDSAASAEAMVMDLSPKRPAKSYGGEGGSYFDWSPSELPMLRAASIGAAKLSLAAGGLALPFYSDSAKVAYVLQGKGTCAVLLPETPSEKILPIKEGDALALPFGVVTWWHNLHAATTELVVLFLGDTSKGHTAGRFTNMQLTGSTGIFTGFSTEFVARAWDLPQDAAASLVSTQPGAGIVKLKDGFRMPEGCDKDREGMVLNCLEAPLDVDIKNGGRVVVLNTQNLPLVKEVGLGADLVRIDGHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGIDGTRVLETRAEGGCLFIVPRFFVVSKIADDTGMEWFSIITTPNPIFSHLAGRTSVWKAISPAVLQASFNTTPEMENLFRSKRLDSEIFFAPNSNSI >ORUFI01G49280.1 pep chromosome:OR_W1943:1:39753359:39753973:1 gene:ORUFI01G49280 transcript:ORUFI01G49280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVLKDLNKRLLGLSCSSAASTSIVLSGHSPPHLRDPARTSNSSKKKKAKANKSKQQLVSPASSSRFLLNSSRMQPSADELPPPFVIPVAVAAAAEENEIVAAAVEGGGGEEEKRESSSQVEVVVLRVSLHCKGCAGKVKKHISKMEGVTSLDIDIATKKVTVVGHVTPLSVLTAVSKIKPAQFWPISSSSSPMPPRASASF >ORUFI01G49290.1 pep chromosome:OR_W1943:1:39755139:39766647:1 gene:ORUFI01G49290 transcript:ORUFI01G49290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRFAPRRCAAAAAASASKGGGGGGDCDCSVFLRWLRSKSGTHISSVLSLGTSSAFGRSLFASEPIQEGDCIMQVPYHVQLTLDKLPQKFNTLLDHAVGDTSKLAALLIMEQHLGNESGWAPYIKSLPTKDQMHNMVLWDLNELHAVQNSSIYDEAIEHKEQAKKEFLALKPALDHFPHLFGEVKLGDFMHASALDFLNHDGVFGSVLIYDEQKDVCEIIADRNYAVGEQVMIRYGKYSNATLALNFGFTLARNIYDQALIRIDMPVQDPLYKKKLDIWQKHRLPIFEDMCNLSSATSFVIKEVKSSQSKGIGIPLILRAFLRVFSAMSLKELEEMAMEAAESDGRLARCPLKNMEREIHAHRRLLLHFAEMIQGHSAAIEQLEIVDGPASRSMHPFRKEMAKDLLVGELRFSCTGKTNALDFGEGEGGRDRGGRMLQRAASNAYSWWWASHIRTKQSKWLDSHLQDMEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEEVYRAYRGLADRYDIISGELHKANHTIATAFPDQVQYAMLEEEDDNIPKAFTPVDPRKIHKSTVDGLMKKKKGGEQPAGSMNKNTTSAPIDKDNAREEISRLQKEILVMQTEKEFIKSSYESGIAKYWDLEKQINDMQEQVCHFQDKFDESAVIEDDEARALMTATALKSCEDTIVKLQEQRKTSASQAMGESERVKVLREKLKAVMEGHGKSLPDSPDPCDKNVRKNHGFEMEEVQHIKLGEFETQTVLEKIKEHFERDGSISVAEITEHIDELVNKVVDLELMVSSQSSQIDRLCRENSELESCLQSLEEENVSDPDEVNEKLKKLEEELVRVQALESCFHKDESTIRSNFSEAISRLSGISEMLQSSEHGGVGGTLAVADGKEEEEDNDAGGIDDVAEPQVQTEAASDDVDPAGKSTADVDPAGKSTATQEEAQAVDVGQEKAGGCSRERGSLVRLRHISSDDLGGCDDEAPAAVDDPDGMRKQKKGQEGEGVEEEKKVILVAEYRALLEENKDAKRRLAEVEKTNQECMHEIRSLRELLSSGSSEAGAAAAGGGGGGGDSSGGRRGHRRTPSYSLGHHRKQSLSSISRMIRMGSTIHEGDESEKVKAEELRLPAVATSSSPLENKLRKDIDTLLEENLEFWMKFSSSLQRVQEFQRKHDELMQQLQPAATDGNSDTKQKQKQEQQLRALKTELQVWSEQNAMLRGELQCRFAALCDVQEEITAALEQGGGGGEFTSYQAAKFQGEVLNMQQENNRVSDELQAGQDHVKGLQAQIEKKLQHGGVTLPDADGPAAGAGAGATTPPPLPLTRVASKSKVPLQSFLFPAKAKKPSLLARVTPVLQKQQPDLRFLAKLQPR >ORUFI01G49290.2 pep chromosome:OR_W1943:1:39755139:39760476:1 gene:ORUFI01G49290 transcript:ORUFI01G49290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRFAPRRCAAAAAASASKGGGGGGDCDCSVFLRWLRSKSGTHISSVLSLGTSSAFGRSLFASEPIQEGDCIMQVPYHVQLTLDKLPQKFNTLLDHAVGDTSKLAALLIMEQHLGNESGWAPYIKSLPTKDQMHNMVLWDLNELHAVQNSSIYDEAIEHKEQAKKEFLALKPALDHFPHLFGEVKLGDFMHASALDFLNHDGVFGSVLIYDEQKDVCEIIADRNYAVGEQVMIRYGKYSNATLALNFGFTLARNIYDQALIRIDMPVQDPLYKKKLDIWQKHRLPIFEDMCNLSSATSFVIKRKPSPVVHRAGSGYVFGRRNLLGALSRGTLFLS >ORUFI01G49290.3 pep chromosome:OR_W1943:1:39760512:39766647:1 gene:ORUFI01G49290 transcript:ORUFI01G49290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGILDVVTTVVASFSESCLCELEEMAMEAAESDGRLARCPLKNMEREIHAHRRLLLHFAEMIQGHSAAIEQLEIVDGPASRSMHPFRKEMAKDLLVGELRFSCTGKTNALDFGEGEGGRDRGGRMLQRAASNAYSWWWASHIRTKQSKWLDSHLQDMEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEEVYRAYRGLADRYDIISGELHKANHTIATAFPDQVQYAMLEEEDDNIPKAFTPVDPRKIHKSTVDGLMKKKKGGEQPAGSMNKNTTSAPIDKDNAREEISRLQKEILVMQTEKEFIKSSYESGIAKYWDLEKQINDMQEQVCHFQDKFDESAVIEDDEARALMTATALKSCEDTIVKLQEQRKTSASQAMGESERVKVLREKLKAVMEGHGKSLPDSPDPCDKNVRKNHGFEMEEVQHIKLGEFETQTVLEKIKEHFERDGSISVAEITEHIDELVNKVVDLELMVSSQSSQIDRLCRENSELESCLQSLEEENVSDPDEVNEKLKKLEEELVRVQALESCFHKDESTIRSNFSEAISRLSGISEMLQSSEHGGVGGTLAVADGKEEEEDNDAGGIDDVAEPQVQTEAASDDVDPAGKSTADVDPAGKSTATQEEAQAVDVGQEKAGGCSRERGSLVRLRHISSDDLGGCDDEAPAAVDDPDGMRKQKKGQEGEGVEEEKKVILVAEYRALLEENKDAKRRLAEVEKTNQECMHEIRSLRELLSSGSSEAGAAAAGGGGGGGDSSGGRRGHRRTPSYSLGHHRKQSLSSISRMIRMGSTIHEGDESEKVKAEELRLPAVATSSSPLENKLRKDIDTLLEENLEFWMKFSSSLQRVQEFQRKHDELMQQLQPAATDGNSDTKQKQKQEQQLRALKTELQVWSEQNAMLRGELQCRFAALCDVQEEITAALEQGGGGGEFTSYQAAKFQGEVLNMQQENNRVSDELQAGQDHVKGLQAQIEKKLQHGGVTLPDADGPAAGAGAGATTPPPLPLTRVASKSKVPLQSFLFPAKAKKPSLLARVTPVLQKQQPDLRFLAKLQPR >ORUFI01G49300.1 pep chromosome:OR_W1943:1:39768365:39771224:-1 gene:ORUFI01G49300 transcript:ORUFI01G49300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSAAGRLASSSRRRLLSPPTSIHTAFLHSHATSFGTLLLLNPTLPPNSSSSSCPNSFLLVGSSGYKQVAEEDKSKLVGNVFSSVASSYDLMNDLMSVGLHRLWKDRLISKLNPFPGMKHLDVAGGTGDVAFRALERINSVSHRAMQGTLTDIEEETQIYVCDINPNMLNVGKKRASERGYKEGHCLSWIQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYRVLKRGGRFLCLELSHVDVPLFKEIYDVYSFSVIPAVGELVAGDRQSYQYLVESIRRFPNQEKFAQMIQEAGFERVEYENLVGGVVAIHSGLKL >ORUFI01G49310.1 pep chromosome:OR_W1943:1:39772498:39774874:-1 gene:ORUFI01G49310 transcript:ORUFI01G49310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQTVMRIVRPCFKPDHQLAVGGTRDGLLWYKDTGRHACGDFSMALVQANNLLEDASQVEAAPLLLSHSSSTTFVGIYDGHGGPETAHFIAQHFFPNLKKFATEQQTVSVDVIRKSYAATEEGFLNLVRKQWLIKPQLASVGSCCLVGIINEGVLYVANTGDSRAVLGRLERGVIKAVQLSAEHNASIESVREELRQFHPDDPRIVVLKHNVWRVKGLIQVSRTLGDAYLKSTEFNREPLLARFRLSEPFHKPILSPEPSIEVHKLCTEDQFVIFASDGLWEHLTNQEAVDIVNCSPRNGIARRLIKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSALVGKRFYGGPLLSLRGGDASPSASQVSVVLCAREGFGEFEAGGSPVQ >ORUFI01G49310.2 pep chromosome:OR_W1943:1:39772500:39774874:-1 gene:ORUFI01G49310 transcript:ORUFI01G49310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQTVMRIVRPCFKPDHQLAVGGTRDGLLWYKDTGRHACGDFSMALVQANNLLEDASQVEAAPLLLSHSSSTTFVGIYDGHGGPETAHFIAQHFFPNLKKFATEQQTVSVDVIRKSYAATEEGFLNLVRKQWLIKPQLASVGSCCLVGIINEGVLYVANTGDSRAVLGRLERGVIKAVQLSAEHNASIESVREELRQFHPDDPRIVVLKHNVWRVKGLIQVSRTLGDAYLKSTEFNREPLLARFRLSEPFHKPILSPEPSIEVHKLCTEDQFVIFASDGLWEHLTNQEAVDIVNCSPRNGIARRLIKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSALVGKRFYGGPLLSLRGGDASPSASQVSVVLCAREGFGEFEAGGSPVQ >ORUFI01G49320.1 pep chromosome:OR_W1943:1:39780755:39781334:-1 gene:ORUFI01G49320 transcript:ORUFI01G49320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSSSSPVDKVDPDECNGSKACADCHTTKTPLWRGGPGGPKSLCNACGIRYRKRRRAALGLDSSATATATATDGAEQQKKTKAKKEKAQEEEVTMELHTVGFRSKDAAVFKQRRRMRRRKCLGEEERAAILLMALSSGVIYA >ORUFI01G49330.1 pep chromosome:OR_W1943:1:39783819:39787373:-1 gene:ORUFI01G49330 transcript:ORUFI01G49330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLCCCLLRARRLLFFFFFFFFFFAVAVVLFSSVSVAQIPGFQSIDCGGSGNYTDEVGLEWTGDEAYVGGGAGTTASISSISGQGRRPYRTVRYFPADGRKYCYRVSVKARTRYLVRASFLYGNFDGSRVFPEFDLYVGASRWSTIVIYDESKVVTREMVALAQSGPSLSVCLANATTGHPFISTLELRPLNASLYHTAFEAAFFLSLAARINFGAPTADPVRYPDDPYDRVWESDMARRPNFLVDAAPGTIRVATDNPVFVASGERPPQKVMQTAVVGTLGALTYRLDLNGFPGSGWACSYLAEIEDDAAATARRFKLYIPGLAEVSKPTVDIGENAPGKYRVYQPGYDNISLPFVLPFAFRKTDDSARGPILNAMEIYSYIPILPASPDAVAMDALAARYQQQQHSWAREGGDPCVPAPWSWLTCTSSRVIAIRLDNNMLTGPIPDLSACTNLTVIHLENNQLEGGVPSYLSGLPKLSELYLENNRLSGVIPRALLSRTIVFKYSGNKHVRVGKQEEEERNVIIGICALMGIGLLLAAALCYAYNVSVSGRKQLQGASAGGNSKSKSIVVSAEQKKKATPVAGGGGGIDNMMAAMAARGPLEFEVRELEEATSKFARKIGSGGFGVVYYGRLGDGREIAVKVASSNESIQGKKQLANEVALLSRIHHRNLVAFLGYCWERDSSSYMLVYEYMHNGSLKEQLQMMSMSWLRRLQVAEDAAKGIEYLHCGCTPAIIHRDIKTSNILLDAHMRAKVSDLGLSKSNKATNSTTNTITTHVRGTLGYLDPHYYVSQQLTHKSDLYSFGIILLELISGRPPILLTPGAGAMASLGPWAKSHYESGDIEAIVDPSLRGRYRDVHSVWKVAETAVRCIDADPRGRPSMPEVVKDIQEAIALEMPSSESERPAASFFSPGAGAAGARSSATVRSHDLVMDNLMYDSSFCDSLNLPRTPR >ORUFI01G49340.1 pep chromosome:OR_W1943:1:39788892:39790532:-1 gene:ORUFI01G49340 transcript:ORUFI01G49340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRKREVAPPPHTSSSYPPGVPTPSAVPYLYRGPWFATPPPAWFASPPSQAMPSSSVCPLPMAAKTNIGLQHDFEEWNMLCSVCPNHGAALLKGSLYELNLVLGQITYRHILMILFSFL >ORUFI01G49340.2 pep chromosome:OR_W1943:1:39788954:39790801:-1 gene:ORUFI01G49340 transcript:ORUFI01G49340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDLPRRAPFLSLPHAPSLPLSSAKISSPPDTNPPKKNPHLLFCRPQKSGTCSAQYVQIMVLHFSKVAYMN >ORUFI01G49350.1 pep chromosome:OR_W1943:1:39792749:39793988:1 gene:ORUFI01G49350 transcript:ORUFI01G49350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRAAAGGEQQHLSNRVVGLVNLVTLVASVPIIGAGLWLQAHGGSSPCGSALQAPLLAIGFVTLLVSLAGFLGACYHVPSALWLYLAAMLLLVLALLGITVFGLAVTAGGGGTQVAGRPYREFRLADYSSWLQRHVRAERYWRPALACVLAARACDTLAAWTPLDYLRNDLTPVQSGCCKPPTACTYYDDAQQQQQQPDCYRWSNAPGVLCYGCDSCKAGVLEQLRRHWHNVTILNVVLLLLLILFYSCACCAFRNTATATSSKTIFHLHPRWEYRWYLLYLCARESQGGGMDEDS >ORUFI01G49360.1 pep chromosome:OR_W1943:1:39796513:39800985:1 gene:ORUFI01G49360 transcript:ORUFI01G49360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPWRWRVLLPLLLLHSSPVFAQEGQDNDPSTLFKRASEMMNLRKYDGSLGLLNAVLEVDPNHSEAYRQRASVLRHKCRYKEAEGDYSKYLELKPGSSSVEKELSQLLQAQNALESAYGQFESHDFSKVLEYINKIVLVFSPNCLKAKLLKAKALLALEDYSSVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSELKKAYFGLKNLLKKTKSAEDNAAKGKLRVSAEDYKAALAMDPDHTSYNVHLYLGLCKVLVKLGRGKEAISSCTEALNIDGELVDALTQRGEAKLLTEDWEGADMGIREALMRAEKQLKLSKRKDWYKILGISKTASAADIKRAYKKLALQWHPDKNVDNREEAENMFREIAAAYEVLGDEDKRVRYDRGEDLDEMNMGGGGGGFNPFGGGGQQYTFHYDGGFYGGGGFPGGGFPGGFQFNFG >ORUFI01G49370.1 pep chromosome:OR_W1943:1:39801559:39802311:-1 gene:ORUFI01G49370 transcript:ORUFI01G49370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCSKTRKKGSWRAEEDALLTRLVAQHGPHRWSIISGAIPGRSGKSCRLRWCNQLSPAVQHRPFTPQEDALLAAAHARHGNKWATIARLLPGRTDNSVKNHWNSNLRRCLRRQAKFKSKDPDLLPDPINIPPDCIVVLNDDDEPADRPVTPPAIIQAQAQETLPSLTLSLSLSLPGAAAAAAEVEVAPPPPRALAAASEIQDGSSRSSSASRVMLQVMRQMVREEVQRHTAQLAYSLMALASCSRRPPN >ORUFI01G49380.1 pep chromosome:OR_W1943:1:39804480:39806921:1 gene:ORUFI01G49380 transcript:ORUFI01G49380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRSLAAAASASAPPPQMPPSFHFHWRHHAARRLSSTTACLHPPPLQPPRASASASASLLLQLQDHIASGHLSRAHHLFDQIPSPDVRTYNDLIRAYSSSSPTAAADGLHLYRRMLRHRVAPNNYTFPFALKACSALADHHCGRAIHRHAIHAGLQADLFVSTALLDMYVKCACLPDAAHIFATMPTRDLVAWNAMLAGYAHHGMYHHAVAHLLSMQMQMHRLRPNASTLVALLPLLAQQGALAQGTSVHAYCIRACLHPNRNSKSKLTDGVLLGTALLDMYAKCGSLLYARRVFDAMPARNEVTWSALIGGFVLCSRMTQAFLLFKAMLAQGLCFLSPTSIASALRACASLDHLRMGEQLHALLAKSGVHADLTAGNSLLSMYAKAGLIDQAIALFDEMAVKDTVSYSALVSGYVQNGRAEEAFLVFKKMQACNVEPDAATMVSLIPACSHLAALQHGRCSHGSVIIRGLASETSICNALIDMYAKCGRIDLSRQVFNMMPSRDIVSWNTMIAGYGIHGLGKEATALFLEMNNLGFPPDGVTFICLLSACSHSGLVIEGKHWFHVMGHGYGLTPRMEHYICMVDLLSRGGFLDEAYEFIQSMPLRADVRVWVALLGACRVYKNIDLGKKVSRMIQELGPEGTGNFVLLSNIYSAAGRFDEAAEVRIIQKVQGFKKSPGCSWIEINGSLHAFVGGDQSHPQSPEIYRELDNILVGIKKLGYQPDTSFVLQDLEEEEKEKALICHSEKLAIAYGILSLSEDKTIFVTKNLRVCGDCHTVIKHISLLKRRAIIVRDANRFHHFKNGQCSCGDFW >ORUFI01G49390.1 pep chromosome:OR_W1943:1:39811025:39823455:-1 gene:ORUFI01G49390 transcript:ORUFI01G49390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHKGRAASSGLAASLLPHAQGAVPIVGFGGYHGASRVEPAAPSSSTDPDASILLPPDVDSEVLQHLRRLGRKDPTTKLKALSTLSMLFAQKPGQEVVQIVPQWAFEYKRLLLDYNREVRRATHDTMSSLVKTVKKGLAPHLKALMGPWWFSQFDPALEVAQAARHSFEAAFPQSDKRLDALMLCVKETFLHLNENLKLTTQALSDKATPMDELEDMHQRVISSSLLAMATLIDILLGVKLQNCVRDNSSSENTSLSKVLSGTLSSAESAFSMNKYFLDFLKSKSAIIRRLWHFLQNGCYGSKQASYPLLVQFLESIPSKAVTTEQFVFDFLHNLWAGRNQRQLSAADSLAFFTTFKQSFLWLLKVLPRHSGGGSSDDIPIKLITYFLAKVVWHDYLRIPSSKNQDISLSGLSDEAISGDCQLSHKESLLASSTRYPTYYLQDLGKCIIEILDEISAMENHLLNIACETLLKDCLDIIHQRESLPNFQYHVEQVVSFFISLDQLIVQKGKTWPLESLARPLIEQSVPAIKSMIQKDTPIVVKLLSVLVEIFRPVPLFLKNSQKESEESVQGYLDVFNGDFVPWCLDRKYSTCSSKIDLLLSLIIDECFFDQWCTIIKYTSAKQKHPVDNKNSHVDDQFELLTLILQKIPMSIIAALGGSDQDDQICFLSADTVHKMLGSILKCLTSVLMTSTFEWARFAYVVLLPTEPKDSKVIGAQSFSSNIKMAHFAFKVLEGSLFALRRLEEDSLFPSVLAALFIIEWEYSMALTLDEEHDLKGYKEDIDVGSSACNSSDDHLDEGIHLKANLAESIHTFCQSLSPSFWSDLHPFTLNNLCALFQTLELPTESTSVLCSEWMVNMLKLISLDHTKLQSFFYLLLSEGEYWPLWVKPSLQNENAPVKIKFEPVITNETGLKHHQFVAFVDKLVLNLGFGEVILGVPGNTCYNTSQSIDTTSTVPSLSRAWVAAEILCTWKWKGGSVFSTFLPSMIQHLKMESCAEVSILSILLDTLLEGAFHECNQWVLFNAWHISDNEIEKIQDHFLRALVALLFSINSINECIWRESEALVFFEKLLSNLFIGSTVNRKCVKTLPFVMSTIIKPLSGKLKLNEASCYTDLVGQNILSWLDVAISCLSSSPREVLQQDIVDWMQVVLSCFPLNITCGTQKLEVKIEREISDTERSLLLTLFQKYKIFCAIEAPSLSTSGTTLSTMVELLGVKLIAVMVGYCWTELQENDLHFVFHTVQKWIESAVLLVEEMTDAINDAVINQKSNEDTLEKLKLVVSSIDELTLSFGEFALVTLCHLNHLVDIQETENFQSLQIIKSGDFADRNNNMMESMLRLFLASGVSEAIAKSSCEEASSVIASSRVAYMHFWELVASFVIYASPQTRRCALESMELWGLAKGSVSGLYSILFSAQPISHLQFAAYSLLLSEPLCQFSLIKECSLGSNRPLTQESCMGQSIELMPDSEKTLDLREELSSLIEMPTSELLQTDLLAHDRVDAFIAWSLLLSHLQLLPPASITRERHIPLRTGAPCGKKKDAELMPEAEVAAQASKNTIITCSLLPCIESLWPVGTWQMASLAGGLYGMMIRLLPSYVRTWFTSLRDRSLSSSIESFTRAWCSPPLLLDEFSQVKDSLYADDNFSVSVNRSAYEIVATYKKEETGIDLVIRLPSCYPLRHVDVECTRSLGISEVKCRKWLLSLTAFVRNQNGAIAEAIHTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >ORUFI01G49390.2 pep chromosome:OR_W1943:1:39811025:39823455:-1 gene:ORUFI01G49390 transcript:ORUFI01G49390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHKGRAASSGLAASLLPHAQGAVPIVGFGGYHGASRVEPAAPSSSTDPDASILLPPDVDSEVLQHLRRLGRKDPTTKLKALSTLSMLFAQKPGQEVVQIVPQWAFEYKRLLLDYNREVRRATHDTMSSLVKTVKKGLAPHLKALMGPWWFSQFDPALEVAQAARHSFEAAFPQSDKRLDALMLCVKETFLHLNENLKLTTQALSDKATPMDELEDMHQRVISSSLLAMATLIDILLGVKLQNCVRDNSSSENTSLSKVLSGTLSSAESAFSMNKYFLDFLKSKSAIIRSATYSLLASYIKHVSHVFNEEAMKVLSPALLGAFNEKDPSCHSSMWDAFLAFSRRFPEAWSYCNIHKVVFSRLWHFLQNGCYGSKQASYPLLVQFLESIPSKAVTTEQFVFDFLHNLWAGRNQRQLSAADSLAFFTTFKQSFLWLLKVLPRHSGGGSSDDIPIKLITYFLAKVVWHDYLRIPSSKNQDISLSGLSDEAISGDCQLSHKESLLASSTRYPTYYLQDLGKCIIEILDEISAMENHLLNIACETLLKDCLDIIHQRESLPNFQYHVEQVVSFFISLDQLIVQKGKTWPLESLARPLIEQSVPAIKSMIQKDTPIVVKLLSVLVEIFRPVPLFLKNSQKESEESVQGYLDVFNGDFVPWCLDRKYSTCSSKIDLLLSLIIDECFFDQWCTIIKYTSAKQKHPVDNKNSHVDDQFELLTLILQKIPMSIIAALGGSDQDDQICFLSADTVHKMLGSILKCLTSVLMTSTFEWARFAYVVLLPTEPKDSKVIGAQSFSSNIKMAHFAFKVLEGSLFALRRLEEDSLFPSVLAALFIIEWEYSMALTLDEEHDLKGYKEDIDVGSSACNSSDDHLDEGIHLKANLAESIHTFCQSLSPSFWSDLHPFTLNNLCALFQTLELPTESTSVLCSEWMVNMLKLISLDHTKLQSFFYLLLSEGEYWPLWVKPSLQNENAPVKIKFEPVITNETGLKHHQFVAFVDKLVLNLGFGEVILGVPGNTCYNTSQSIDTTSTVPSLSRAWVAAEILCTWKWKGGSVFSTFLPSMIQHLKMESCAEVSILSILLDTLLEGAFHECNQWVLFNAWHISDNEIEKIQDHFLRALVALLFSINSINECIWRESEALVFFEKLLSNLFIGSTVNRKCVKTLPFVMSTIIKPLSGKLKLNEASCYTDLVGQNILSWLDVAISCLSSSPREVLQQDIVDWMQVVLSCFPLNITCGTQKLEVKIEREISDTERSLLLTLFQKYKIFCAIEAPSLSTSGTTLSTMVELLGVKLIAVMVGYCWTELQENDLHFVFHTVQKWIESAVLLVEEMTDAINDAVINQKSNEDTLEKLKLVVSSIDELTLSFGEFALVTLCHLNHLVDIQETENFQSLQIIKSGDFADRNNNMMESMLRLFLASGVSEAIAKSSCEEASSVIASSRVAYMHFWELVASFVIYASPQTRRCALESMELWGLAKGSVSGLYSILFSAQPISHLQFAAYSLLLSEPLCQFSLIKECSLGSNRPLTQESCMGQSIELMPDSEKTLDLREELSSLIEMPTSELLQTDLLAHDRVDAFIAWSLLLSHLQLLPPASITRERHIPLRTGAPCGKKKDAELMPEAEVAAQASKNTIITCSLLPCIESLWPVGTWQMASLAGGLYGMMIRLLPSYVRTWFTSLRDRSLSSSIESFTRAWCSPPLLLDEFSQVKDSLYADDNFSVSVNRSAYEIVATYKKEETGIDLVIRLPSCYPLRHVDVECTRSLGISEVKCRKWLLSLTAFVRNQNGAIAEAIHTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >ORUFI01G49390.3 pep chromosome:OR_W1943:1:39811025:39823455:-1 gene:ORUFI01G49390 transcript:ORUFI01G49390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHKGRAASSGLAASLLPHAQGAVPIVGFGGYHGASRVEPAAPSSSTDPDASILLPPDVDSEVLQHLRRLGRKDPTTKLKALSTLSMLFAQKPGQEVVQIVPQWAFEYKRLLLDYNREVRRATHDTMSSLVKTVKKGLAPHLKALMGPWWFSQFDPALEVAQAARHSFEAAFPQSDKRLDALMLCVKETFLHLNENLKLTTQALSDKATPMDELEDMHQRVISSSLLAMATLIDILLGVKLQNCVRDNSSSENTSLSKVLSGTLSSAESAFSMNKYFLDFLKSKSAIIRSATYSLLASYIKHVSHVFNEEAMKVLSPALLGAFNEKDPSCHSSMWDAFLAFSRRFPEAWSYCNIHKVVFSRLWHFLQNGCYGSKQASYPLLVQFLESIPSKAVTTEQFVFDFLHNLWAGRNQRQLSAADSLAFFTTFKQSFLWLLKVLPRHSGGGSSDDIPIKLITYFLAKVVWHDYLRIPSSKNQDISLSGLSDEAISGDCQLSHKESLLASSTRYPTYYLQDLGKCIIEILDEISAMENHLLNIACETLLKDCLDIIHQRESLPNFQYHVEQVVSFFISLDQLIVQKGKTWPLESLARPLIEQSVPAIKSMDTPIVVKLLSVLVEIFRPVPLFLKNSQKESEESVQGYLDVFNGDFVPWCLDRKYSTCSSKIDLLLSLIIDECFFDQWCTIIKYTSAKQKHPVDNKNSHVDDQFELLTLILQKIPMSIIAALGGSDQDDQICFLSADTVHKMLGSILKCLTSVLMTSTFEWARFAYVVLLPTEPKDSKVIGAQSFSSNIKMAHFAFKVLEGSLFALRRLEEDSLFPSVLAALFIIEWEYSMALTLDEEHDLKGYKEDIDVGSSACNSSDDHLDEGIHLKANLAESIHTFCQSLSPSFWSDLHPFTLNNLCALFQTLELPTESTSVLCSEWMVNMLKLISLDHTKLQSFFYLLLSEGEYWPLWVKPSLQNENAPVKIKFEPVITNETGLKHHQFVAFVDKLVLNLGFGEVILGVPGNTCYNTSQSIDTTSTVPSLSRAWVAAEILCTWKWKGGSVFSTFLPSMIQHLKMESCAEVSILSILLDTLLEGAFHECNQWVLFNAWHISDNEIEKIQDHFLRALVALLFSINSINECIWRESEALVFFEKLLSNLFIGSTVNRKCVKTLPFVMSTIIKPLSGKLKLNEASCYTDLVGQNILSWLDVAISCLSSSPREVLQQDIVDWMQVVLSCFPLNITCGTQKLEVKIEREISDTERSLLLTLFQKYKIFCAIEAPSLSTSGTTLSTMVELLGVKLIAVMVGYCWTELQENDLHFVFHTVQKWIESAVLLVEEMTDAINDAVINQKSNEDTLEKLKLVVSSIDELTLSFGEFALVTLCHLNHLVDIQETENFQSLQIIKSGDFADRNNNMMESMLRLFLASGVSEAIAKSSCEEASSVIASSRVAYMHFWELVASFVIYASPQTRRCALESMELWGLAKGSVSGLYSILFSAQPISHLQFAAYSLLLSEPLCQFSLIKECSLGSNRPLTQESCMGQSIELMPDSEKTLDLREELSSLIEMPTSELLQTDLLAHDRVDAFIAWSLLLSHLQLLPPASITRERHIPLRTGAPCGKKKDAELMPEAEVAAQASKNTIITCSLLPCIESLWPVGTWQMASLAGGLYGMMIRLLPSYVRTWFTSLRDRSLSSSIESFTRAWCSPPLLLDEFSQVKDSLYADDNFSVSVNRSAYEIVATYKKEETGIDLVIRLPSCYPLRHVDVECTRSLGISEVKCRKWLLSLTAFVRNQNGAIAEAIHTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >ORUFI01G49400.1 pep chromosome:OR_W1943:1:39825341:39826159:1 gene:ORUFI01G49400 transcript:ORUFI01G49400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQELEDLYLGLGVPDESVDLTFKDLPSASAASEKQNDLLAVRSSTNIFAYKLQQDEEEHRPSAEAPAGLRLSPTTTSSTVVADRRVGANYNYKRRRPGIPHSNICALCNSYIYLFRHRCLVCGRVYCRRCVGAGMGDMTEGRKCIDCLGRRYSHRYIHRAGTNLTPSAACCTCAFWGYYPDAQAVKLQELVWAEKGPAPRRRPRQSSSASISTSTSTSYTGTSSASINMTTMISLNNGFHSTRPVLASASTTPTSSSFLVSSSNPHAFPL >ORUFI01G49410.1 pep chromosome:OR_W1943:1:39827331:39828631:-1 gene:ORUFI01G49410 transcript:ORUFI01G49410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESWRDAEANASAAAPPSSASVAAADSSLGNGKGGGGAAARGERAASAASASAWVPFHFHKLFAFADKTDVALMALGTLGAVANGAALPFMTVLFGNLIDAVNRVSMVSLEFIYLAIASSVASFVQVTCWMIPGERQAARIRNLCLKTILRQEIAIHDNRLLRQVHQHRRGRRQDVRRHHAHTGRHGGGDGGASSVVVSAAAAAARRLPVGVRKPPLHVVVTGERASSAATSSTSSRGDSVIIVDNLFTGRKENFAHHLADPRFELIRRHEPHPLPARPRKPRPSGPRIRLCLLCLAVVGGRLLIPHHRRVRFTRFRP >ORUFI01G49420.1 pep chromosome:OR_W1943:1:39833426:39837663:1 gene:ORUFI01G49420 transcript:ORUFI01G49420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFAAVFFSSAFAACFAEVCTIPLDTAKVRLQLQKKAALATGGGGGTTGGMLGTIMCIAREEGVAALWNGIIPGLHRQCVYGGLRIALYEPVKAFFIRDGDTVAGGVSLFAKILAALMTGVIAIVVANPTDLVKVRLQADGKATTVKRHYSGALNAYATIIRQEGIGALWTGLGPNIARNAIINATELASYDQLKQMFLKLPGFTDNVFTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDAMYKSTLDCFAKTLKNDGLPAFYKGFIANFCRIGSWNVIMFLTLEQVRRSIL >ORUFI01G49420.2 pep chromosome:OR_W1943:1:39833426:39837663:1 gene:ORUFI01G49420 transcript:ORUFI01G49420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFAAVFFSSAFAACFAEVCTIPLDTAKVRLQLQKKAALATGGGGGTTGGMLGTIMCIAREEGVAALWNGIIPGLHRQCVYGGLRIALYEPVKAFFIRDGDTVAGGVSLFAKILAALMTVRLQADGKATTVKRHYSGALNAYATIIRQEGIGALWTGLGPNIARNAIINATELASYDQLKQMFLKLPGFTDNVFTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDAMYKSTLDCFAKTLKNDGLPAFYKGFIANFCRIGSWNVIMFLTLEQVRRSIL >ORUFI01G49430.1 pep chromosome:OR_W1943:1:39839679:39845817:-1 gene:ORUFI01G49430 transcript:ORUFI01G49430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSSPVAAAALQVHFGSSCFFSARSPRQTLLLPPLARNPTLTIQPRPHPFRNINSSSSSSWMCHAVAAEVEGLNIADDLIGKTPMVYLNNIVKGCVANVAAKLEIMEPCCSVKDRIGYSMISDAEEKGLITPGKLSPSDLPCMTYYFMLYAQSVLVEPTSGNTGIGLAFIAASRGYKLILTMPASMSMERRVLLKAFGAELVLTDAAKGMKGAVDKATEILNKTPDAYMLQQFDNPANPKVHYETTGPEIWEDSKGKVDVFIGGIGTGGTISGAGRFLKEKNPGIKVIGIEPSESNILSGGKPGPHKIQGIGAGFVPRNLDSEVLDEVIEISSDEAVETAKQLALQEGLLVGISSGAAAAAAIKVAKRPENAGKLVVVVFPSFGERYLSSILFQSIREECEKLQPEP >ORUFI01G49440.1 pep chromosome:OR_W1943:1:39851188:39856780:-1 gene:ORUFI01G49440 transcript:ORUFI01G49440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSPRWWWVLEAVNLAASTSRLGKWTSCNHVDFAVRVERQPQMRLWSAGMTRCVRVCGGNRRIAGQSEIANQLVSDLELEVWALGLRNPWRCSFDSARPSYFYCADVGQNAQKDFAFSCDTFFPTVLSSIFSNLPSPIFLLPGEASSRLTDGISCHGEVTASSTRRRVEERDKAASGIDLVPKFPTQPLSIDLKVNIAHGRSMTGAVATGNSALDLAPGPCCG >ORUFI01G49440.2 pep chromosome:OR_W1943:1:39851188:39856780:-1 gene:ORUFI01G49440 transcript:ORUFI01G49440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSPRWWWVLEAVNLAASTSRLGKWTSDLELEVWALGLRNPWRCSFDSARPSYFYCADVGQTDGRAEGPCWLLSSSQRTTTGVGKNAQKDFAFSCDTFFPTVLSSIFSNLPSPIFLLPGEASSRLTDGISCHGEVTASSTRRRVEERDKAASGIDLVPKFPTQPLSIDLKVNIAHGRSMTGAVATGNSALDLAPGPCCG >ORUFI01G49450.1 pep chromosome:OR_W1943:1:39851887:39852870:1 gene:ORUFI01G49450 transcript:ORUFI01G49450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERRVLVTGGNGFIGSWIVRILLARGYAVTATYQPGTDAAHLLALDDERLLLLPADLLDAGAISAAAAAGGGCRAGVLHVASPCTLADPRDPQAELVEPAVRGTLHVLEAARGAGARRVVVTSSISAMVPNPGLAAGELVDERSWTDMEFCKARGKWYPVSKTLAERAAWEYAARWPGFELATILPSTCLGPLLQPTLNASSVVLQRLLQGSTDDQADYWLPPPPPPPVAAAHLLLLEAPTVSGRYLCTNGIYQFSDFARLAARICPAYAHAIHRFEEGTTQPWLVPRDARDAARRLLDLGLVLTPLEEAIKDAEKSLTDKCFLP >ORUFI02G00010.1 pep chromosome:OR_W1943:2:2275:6667:-1 gene:ORUFI02G00010 transcript:ORUFI02G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSLLLVALATLLLHASASASDDDLDYLIDNADDIPANDPDGWLQEGSPDDDDDDDLFHHGQAQDHPIDETHVFLLSAANFSDFLASHRHVMVEFYAPWCAHCQALAPDYAAAAADLSPLAHQVALAKVDATEDTDLAQKYDVQGFPTILFFIDGVPKDYNGARTKEAIVSWVNKKLAPGVQNITTVDEAEKILTGEDKAILAVLDSLSGAHSDEIAAASRLEDAINFYQTSNPDVAKLFHLDPAAKRPSLVLLKKQEEEKLTFYDGPFKASAIADFVSANKLPLILLFVVANESSKFLPIFKEASKSFKGKLLFVFVERDNEEVGEPVANYFGITGQETTVLAYTGNEDARKFFLDGEISVENIKRFAEDFLEEKLTPFYKSEPVPESNEGDVKIVVGKNLDQIVLDESKDALLEIYAPWCGHCQELEPTYNKLGKHLRGIDSLVIAKMDGTANEHPRAKPDGFPTILFYPAGKKSFEPHASIPFKLKRPDSSATKTEKDQSTASTNLRGERSSGTNFKDEL >ORUFI02G00010.2 pep chromosome:OR_W1943:2:2275:6667:-1 gene:ORUFI02G00010 transcript:ORUFI02G00010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSLLLVALATLLLHASASASDDDLDYLIDNADDIPANDPDGWLQEGSPDDDDDDDLFHHGQAQDHPIDETHVFLLSAANFSDFLASHRHVMVEFYAPWCAHCQALAPDYAAAAADLSPLAHQVALAKVDATEDTDLAQKYDVQGFPTILFFIDGVPKDYNGARTKEAIVSWVNKKLAPGVQNITTVDEAEKILTGEDKAILAVLDSLSGAHSDEIAAASRLEDAINFYQTSNPDVAKLFHLDPAAKRPSLVLLKKQEEEKLTFYDGPFKASAIADFVSANKLPLVNTLTQETAPSIFDNPIKKQACLIDIASILLFVVANESSKFLPIFKEASKSFKGKLLFVFVERDNEEVGEPVANYFGITGQETTVLAYTGNEDARKFFLDGEISVENIKRFAEDFLEEKLTPFYKSEPVPESNEGDVKIVVGKNLDQIVLDESKDALLEIYAPWCGHCQELEPTYNKLGKHLRGIDSLVIAKMDGTANEHPRAKPDGFPTILFYPAGKKSFEPHASIPFKLKRPDSSATKTEKDQSTASTNLRGERSSGTNFKDEL >ORUFI02G00010.3 pep chromosome:OR_W1943:2:2273:6667:-1 gene:ORUFI02G00010 transcript:ORUFI02G00010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSLLLVALATLLLHASASASDDDLDYLIDNADDIPANDPDGWLQEGSPDDDDDDDLFHHGQAQDHPIDETHVFLLSAANFSDFLASHRHVMVEFYAPWCAHCQALAPDYAAAAADLSPLAHQVALAKVDATEDTDLAQKYDVQGFPTILFFIDGVPKDYNGARTKEAIVSWVNKKLAPGVQNITTVDEAEKILTGEDKAILAVLDSLSGAHSDEIAAASRLEDAINFYQTSNPDVAKLFHLDPAAKRPSLVLLKKQEEEKLTFYDGPFKASAIADFVSANKLPLVNTLTQETAPSIFDNPIKKQACLIDIASILLFVVANESSKFLPIFKEASKSFKGKLLFVFVERDNEEVGEPVANYFGITGQETTVLAYTGNEDARKFFLDGEISVENIKRFAEDFLEEKLTPFYKSEPVPESNEGDVKIVVGKNLDQIVLDESKDALLEIYAPWCGHCQELEPTYNKLGKHLRGIDSLVIAKMDGTANEHPRAKPDGFPTILFYPAGKKSFEPHASIPFKLKRPDSSATKTEKDQSTASTNLRGERSSGTNFKDEL >ORUFI02G00020.1 pep chromosome:OR_W1943:2:12243:16822:1 gene:ORUFI02G00020 transcript:ORUFI02G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRVGELRTFVEACKKDPSLLADPNLAFFRDYLESLGAHLPAAAFTKATPKPKQPSSMDDIDDEYDDDDDDDDDLNMRDATPEPDELDQDIVESDLELEGDIVESDHQDPPQKMGDPSIDVTEENRDASQEAKSKAMEAMSEGKLEEAIDHLTKAILLNPLSAIMYGTRASVFIKMKKPVAAIRDANAALEINPDSAKGYKTRGMAYAMLGKWEEAAHDLHTASNMDYDDEINAVLKKVEPNAHKIMEHRRKYERLRKEREEKRAERDRFHRRAEAQAAYDKAKRKEQSSSRSSGGASPRGGFPGGMPGGGFPGGMPGGGFPGGMPGGGFPGGMPGGFPGGAMPGGVPGNVDMSKILNDPDLMAAFGDPEVMAALQDVMNNPASFARHQANPKVGPIIAKMMAKFNGSQ >ORUFI02G00020.2 pep chromosome:OR_W1943:2:7292:16873:1 gene:ORUFI02G00020 transcript:ORUFI02G00020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIDDEYDDDDDDDDDLNMRDATPEPDELDQDIVESDLELEGDIVESDHQDPPQKMGDPSIDVTEENRDASQEAKSKAMEAMSEGKLEEAIDHLTKAILLNPLSAIMYGTRASVFIKMKKPVAAIRDANAALEINPDSAKGYKTRGMAYAMLGKWEEAAHDLHTASNMDYDDEINAVLKKVEPNAHKIMEHRRKYERLRKEREEKRAERDRFHRRAEAQAAYDKAKRKEQSSSRSSGGASPRGGFPGGMPGGGFPGGMPGGGFPGGMPGGGFPGGMPGGFPGGAMPGGVPGNVDMSKILNDPDLMAAFGDPEVMAALQDVMNNPASFARHQANPKVGPIIAKMMAKFNGSHCITIELRE >ORUFI02G00020.3 pep chromosome:OR_W1943:2:12243:16961:1 gene:ORUFI02G00020 transcript:ORUFI02G00020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRVGELRTFVEACKKDPSLLADPNLAFFRDYLESLGAHLPAAAFTKATPKPKQPSSMDDIDDEYDDDDDDDDDLNMRDATPEPDELDQDIVESDLELEGDIVESDHQDPPQKMGDPSIDVTEENRDASQEAKSKAMEAMSEGKLEEAIDHLTKAILLNPLSAIMYGTRASVFIKMKKPVAAIRDANAALEINPDSAKGYKTRGMAYAMLGKWEEAAHDLHTASNMDYDDEINAVLKKVEPNAHKIMEHRRKYERLRKEREEKRAERDRFHRRAEAQAAYDKAKRKEQSSSRSSGGASPRGGFPGGMPGGGFPGGMPGGGFPGGMPGGGFPGGMPGGFPGGAMPGGVPGNVDMSKILNDPDLMAAFGDPEVMAALQDVMNNPASFARHQANPKVGPIIAKMMAKFNGSQ >ORUFI02G00030.1 pep chromosome:OR_W1943:2:7978:11952:-1 gene:ORUFI02G00030 transcript:ORUFI02G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVGARRSTRVFVPKTPRPPQPSDPARVLRSGKRLAFSESPADAHWFQCKPNNCFHVHDHQRQLHDDPKPPPPPLPRTRSFGIVYSRKRRRRLPEPKEDTRFAIVFTRKRPKVAPFQHHAPNDLATIPCSSSREFASRTGFFDSHFLTLVDCIPTNKADAAMLIVLVDSSCSGSSQHFLRLLLSVLRWMRSCRRGKVRNLASFLSSDAVATALALRGLHFVQLQCRRDCALSQRALVQCGWCELRGAKDSEPLLSVNFLAVPSYFQILHLLIALESMYLPAVIRTRMHLVGGAEEIYPRTLLEEDSESLSTGDTDPAVDLCSNKLCSVAQDYVPLEEIAGVVVHGLRLKKHQRKRSSMRHPLSRQRLAARFPDKVVATNQIDVARQTEADAPPSVSPELPLEPVKPKAALEISLDLLENMDDSDVSTPIGSNGKQKRSSLKSPIERMNERLALAEVRQNIDSVHCRANLLIIQPDRCWREEGAEVMLEPSESNEWCIAVKIHGVNRISLKPSEQRFYVVNRFTHAYILAVDDGLKIEFSDKWDWLLFKELQIEGRERNSQGKMIPIPGVNEVSDDMGVIGTYPFSRPVPDYIRMADDEVGRALSRDSVYDLDSEDEQWLTQLNHSDSDRKSAHLNHISYEDFEKMITTFEKDAFNNPEGTSDLDQILSRYPTLEKDHNVLAVHEYWINKRYKKGVPLLRILQGATLRRGQLSQRSIKKKRSFKRQRSQAGRGKPDICLQDANGAEEEALRRVVEAERAATQAGETAVRLRSRAQRLMAKAELVAYKSVMALRIAEAARISDSSRDLVLTTLD >ORUFI02G00040.1 pep chromosome:OR_W1943:2:18014:18598:-1 gene:ORUFI02G00040 transcript:ORUFI02G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGLERLVWRSMLGDVSKEELVYVQVQQKSSHGGDGGGEEVDVERGETRQRSRPRVARQHGTMGEFEVKTGHDDNGD >ORUFI02G00050.1 pep chromosome:OR_W1943:2:29980:30624:1 gene:ORUFI02G00050 transcript:ORUFI02G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLITDESPRDCSSYKHQHHHRAIHGRRISRRTLVVASSAVVSLASLSLILWLVLRPSPPRFSLLAATTTANDSASVVAINAAFAARNPNSHTAVLYDRLQASASYAGLPLTAPSPLIPPFLPQQGQGDAMLSASLTSPPAAAAVAGGRALLRLRVEGQLRWKVAAWVTGRHALTVDCIAVVELQPTPTPSPSAIVGVLQPQDQAGSRCSTTVA >ORUFI02G00060.1 pep chromosome:OR_W1943:2:31121:38308:1 gene:ORUFI02G00060 transcript:ORUFI02G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLGILLLAAFAVFFSLQHDGDLSFREAWYHLSDADYPIKHDADRLPSPLVADLNGDGKPEVLIPTHDAKIQVLQPHPRPSPDDASFHDARLMADVSLLPSNVRLSSGRRPVAMAVGTVDRHYAHAPSPSKQLLLVVTSGWSVMCFDHNLKKLWEANLQDDFPHAAHHREVAISITNYTLKHGDAGLVIVGGRMEMQHHSAELFDEFMVSEHNREEHRRSASEKQASETGNTDLRHFALYAFAGRTGELRWSRKNENIPSQPSDASVLIPQHNYKLDAHALNSRHPGQAPGAGALVIARRTELLKMERMVAWGGERIGFEMRQISGGSGAGSYWAKMTLWSGRRKKRRWQGQSRSQRTLYVPTITNYTQVWWVPNVVVAHEKEGIEAVHLASGRTICKLHLTEGGLHADINGDGVLDHVQVVGANGIEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNNFNLFHHGDFSRSFGRTFDTTGLEVATPILLQRDDGHKHRRGSHGDIIFLTSRGEVTSYSPGLLGHDAIWRWQLSTGATWSNLPSPSGMMENIVVPTLKAFSLRAYDPKQVIIAGGDLEAVVISPSGGLLASIELPAPPTHALVLEDFNGDGLTDIILVTSGGVYGFVQTRHPGALFFSTLVGCLIVVIGVIFVSLHLNSSNSGKPRASTDYR >ORUFI02G00060.2 pep chromosome:OR_W1943:2:31121:38308:1 gene:ORUFI02G00060 transcript:ORUFI02G00060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLGILLLAAFAVFFSLQHDGDLSFREAWYHLSDADYPIKHDADRLPSPLVADLNGDGKPEVLIPTHDAKIQVLQPHPRPSPDDASFHDARLMADVSLLPSNVRLSSGRRPVAMAVGTVDRHYAHAPSPSKQLLLVVTSGWSVMCFDHNLKKLWEANLQDDFPHAAHHREVAISITNYTLKHGDAGLVIVGGRMEMQHHSAELFDEFMVSEHNREEHRRSASEKQASETGNTDLRHFALYAFAGRTGELRWSRKNENIPSQPSDASVLIPQHNYKLDAHALNSRHPGQSQRTLYVPTITNYTQVWWVPNVVVAHEKEGIEAVHLASGRTICKLHLTEGGLHADINGDGVLDHVQVVGANGIEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNNFNLFHHGDFSRSFGRTFDTTGLEVATPILLQRDDGHKHRRGSHGDIIFLTSRGEVTSYSPGLLGHDAIWRWQLSTGATWSNLPSPSGMMENIVVPTLKAFSLRAYDPKQVIIAGGDLEAVVISPSGGLLASIELPAPPTHALVLEDFNGDGLTDIILVTSGGVYGFVQTRHPGALFFSTLVGCLIVVIGVIFVSLHLNSSNSGKPRASTDYR >ORUFI02G00070.1 pep chromosome:OR_W1943:2:39162:39543:-1 gene:ORUFI02G00070 transcript:ORUFI02G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPCTWFTQPHFSCAWICQSPFTKLLKAAGHVYIIVVVIVNCESLSLYISPLMTMFELPCLTTPATQFAFGSNKFLNFDIKITKIAAMTS >ORUFI02G00080.1 pep chromosome:OR_W1943:2:39612:40430:-1 gene:ORUFI02G00080 transcript:ORUFI02G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNGDVPSSRCPKHPSQPPFTGFCSACLLERLSTAPARCFLSPSPVAAAAEISTEIPQPRVRTTLLYLFQLDDDQEDQGQQVRVDQEDEQGRQLQRKRSLRQSCEWIVCCDATADSRQSWDGSADAPLPNSNPIIRARGFVTRPAQMLRRSLSESWRHARSRPAVPTKAASVSSAGMDSEISLGGDSSSIHAAAAAPRQSSLFKRLYRLGRSRSVHCSSPQIRSLDTGTLRFHLTPLTRNSSTSTRLSIANKIQGRRLNLFDFFANQRQQL >ORUFI02G00090.1 pep chromosome:OR_W1943:2:45631:46014:-1 gene:ORUFI02G00090 transcript:ORUFI02G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSGSSRREEAWRQQQLILQAKKARSYPCGFCRREFRSAQALGGHMNVHRRDRARLRLTHQPDAALIASPSTKSTPPLLPNLNYPPPPPHDGASSSSPPHLPRPPADADADADAGLDLELRLAFF >ORUFI02G00100.1 pep chromosome:OR_W1943:2:47695:53066:-1 gene:ORUFI02G00100 transcript:ORUFI02G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTDGINLFNHALVVYSNQPKRGEEIPREEMRSHEMNNSSSRYASEEERGSNSFLIESEEEEEDEEAHPHSSILLKDADSDSDSDDSSCATPRPSSYATHQWPQSYRQSIDIYSSVQSPNLSFLGTPTLSRLSNSFLTNSFRGKTPEILSNLVKPLLRPSSSSDDHQQQQQHDDDTRKSSQYLLPSRKPSLQQIPEDQKPLVPAHEVPAYQQCSYTQAVMNGINVLCGVGILSTPYAIKQGGWLGLVILCLFAVLAWYTGVLLRRCLDSKEGLETYPDIGHAAFGTTGRIAISACCIEYLILESDNLSKLFPNAHLTIGSMTLNSHVFFAILTTLIVMPTTWLRDLSCLSYLSAGGVIASILVVVCLCWVGVVDHVGFENKGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKNRNHIGLSSILFAGAAVMGYKMFGESTESQFTLNLPENLVVSKVAVWTTKYANIIMLRSSLVVSTLLIALSVPFFGLVMALVGSLLTMLVTYILPCACFLAILKRKVTWHQIAACSFIIVVGVCCACVGTYSSLSKIIHNYT >ORUFI02G00100.2 pep chromosome:OR_W1943:2:47695:52745:-1 gene:ORUFI02G00100 transcript:ORUFI02G00100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHEMNNSSSRYASEEERGSNSFLIESEEEEEDEEAHPHSSILLKDADSDSDSDDSSCATPRPSSYATHQWPQSYRQSIDIYSSVQSPNLSFLGTPTLSRLSNSFLTNSFRGKTPEILSNLVKPLLRPSSSSDDHQQQQQHDDDTRKSSQYLLPSRKPSLQQIPEDQKPLVPAHEVPAYQQCSYTQAVMNGINVLCGVGILSTPYAIKQGGWLGLVILCLFAVLAWYTGVLLRRCLDSKEGLETYPDIGHAAFGTTGRIAISACCIEYLILESDNLSKLFPNAHLTIGSMTLNSHVFFAILTTLIVMPTTWLRDLSCLSYLSAGGVIASILVVVCLCWVGVVDHVGFENKGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKNRNHIGLSSILFAGAAVMGYKMFGESTESQFTLNLPENLVVSKVAVWTTKYANIIMLRSSLVVSTLLIALSVPFFGLVMALVGSLLTMLVTYILPCACFLAILKRKVTWHQIAACSFIIVVGVCCACVGTYSSLSKIIHNYT >ORUFI02G00100.3 pep chromosome:OR_W1943:2:47695:52745:-1 gene:ORUFI02G00100 transcript:ORUFI02G00100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHEMNNSSSRYASEEERGSNSFLIESEEEEEDEEAHPHSSILLKDADSDSDSDDSSCATPRPSSYATHQWPQSYRQSIDIYSSVQSPNLSFLGTPTLSRLSNSFLTNSFRGKTPEILSNLVKPLLRPSSSSDDHQQQQQHDDDTRKSSQYLLPSRKPSLQQIPEDQKPLVPAHEVPAYQQCSYTQAVMNGLVMALVGSLLTMLVTYILPCACFLAILKRKVTWHQIAACSFIIVVGVCCACVGTYSSLSKIIHNYT >ORUFI02G00110.1 pep chromosome:OR_W1943:2:56166:61905:1 gene:ORUFI02G00110 transcript:ORUFI02G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMALRRAVGIGSAAALRSAAYLRRASPSPARPHPLVPPPPAARTFAAPPQVMKRSTKDDDDDGPRINNDITSPFVRLVTDQGHSVVPRHEALQLAARMDLDLVEVHRKSDPPVCKIMDFHKEKYKKDVKEKERLKTKSAIVLRGGENKEVRFKGKTELKDLKVKADGITRLMERGYRVKCMAMPSGNEEEDLGGPLSRLLGLIQDVCIVESGPHLDSKHAYVIVRHVKFATKKAGKKASKAIEDVGKGARKNASELSTVTADSGDETNDCGNGAISDQMDNAPAYVSNEFSMQKDAHDRGSRRELSWSKSNPGNYGENMQNVDAGAHRISSSQRAAQTSEGGFGSNNVKSGMEKQEKANEDVVPAETNRYASRRQQIRGDNQGLSQDRSPQGHRRNENEVRYPVNDYQRPLQQNNRQSPRFNDGRLPQEPRRNERGGHIPLNNKQGQFQQMNHPAESAGNGAGYPTPTAKSFGVFSTRKPATSELGKTNGASRTANSDVPKSYGIFSSPRRESGDKSS >ORUFI02G00120.1 pep chromosome:OR_W1943:2:64418:64915:-1 gene:ORUFI02G00120 transcript:ORUFI02G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYSLLPTTIACKANRQAQVATRTTLQHHRIDPNSVIACVVAATRMRRDCRSQFHAAVTSEATIQLATLSGSAMAVDSSLRAALQCAAASWAPGSCLPYLFALLGLNLYFNLVPQSTGDGPGLNR >ORUFI02G00130.1 pep chromosome:OR_W1943:2:71026:71727:-1 gene:ORUFI02G00130 transcript:ORUFI02G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSERRRREKMPVGSSCTLLIEKHHGELHGDPGGGGGLRAAAAAAAAREELDGRHERKRKKKKKRKEKSSQGTGISGKPMGAASSSMQHLFLLCRLLGFCFAFTASQQQQSDSCSSAGVAVAHLAFCCLTVWKQEDFVLRARARYRAVDSRGRKRK >ORUFI02G00140.1 pep chromosome:OR_W1943:2:71297:71752:1 gene:ORUFI02G00140 transcript:ORUFI02G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRDAGAATRVRLLLLARRERKAEAEEAAEQEQMLHRRRSCPHWLATDPRALTALLLPLLLLLPLPLVPFLPWLSSEKAPAVELLARGSGGGGGAESSSSTGVAVQLAMVLLDEELRYIMVHQTVQLDPTGIFSLRRLSLGSMDDGSAGG >ORUFI02G00150.1 pep chromosome:OR_W1943:2:76175:77521:1 gene:ORUFI02G00150 transcript:ORUFI02G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGGGSSAAAALVVMVLWAAVPVVVVQAASSPALIVFGDSIVDPGNNNGINTIIKANFPPYGHDFHNHTPTGRFCNGRIPTDFIASRLGLKELLPPYLSPELSTEELLTGVSFASGGTGFDPLTPRLASVISMPDQLLLFQQYKERVRGAAGDARVADMMTRGIFAICAGSDDVANTYFTMRARPGYDHASYAALLVHHAAAFVDELVKAGARKVAIIGMPPIGCVPSQRTMSGGMERRCSEGHNQIAVAYNAGMKRRMEEMQAKKKSTKTKLVFMDIYGFLMDMMMRPRAYGFSDSTMGCCGTGLLEVSVLCNALTSSVCTPVSDYLFWDSYHPTEKAYSILTDFVYDNYVKKLLLD >ORUFI02G00160.1 pep chromosome:OR_W1943:2:77678:80777:-1 gene:ORUFI02G00160 transcript:ORUFI02G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPISIEVWNPSGKYRVVSTKSMPGTRWIRLLADNDCRLEICTETKTILSVDDILALIGDRCDVQLTEEWGEVLFSALKRAGGTAFSNMAVGYNNVDVEAANRNGIAVGNTPGVLTETTAELAASLSLAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMFLKANGEQPVTWKRAATMEDVLREADVISLHPVLDKTTYHLINPERLAIMKKEAVLVNASRGPVIDEVALVEHLKANPMFRVGLDVFEDEPYMKPGLADMKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPVWGNPNLVEPFLKEDATPPAACPSIVNAKQLGL >ORUFI02G00160.2 pep chromosome:OR_W1943:2:78070:80777:-1 gene:ORUFI02G00160 transcript:ORUFI02G00160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPISIEVWNPSGKYRVVSTKSMPGTRWIRLLADNDCRLEICTETKTILSVDDILALIGDRCDVQLTEEWGEVLFSALKRAGGTAFSNMAVGYNNVDVEAANRNGIAVGNTPGVLTETTAELAASLSLAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMFLKANGEQPVTWKRAATMEDVLREADVISLHPVLDKTTYHLINPERLAIMKKEAVLVNASRGPVIDEVALVEHLKANPMFRVGLDVFEDEPYMKPGLADMKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPVWGNPNLVEPFLKEDATPPAACPSIVNAKQLGLPSSKL >ORUFI02G00170.1 pep chromosome:OR_W1943:2:81412:83606:-1 gene:ORUFI02G00170 transcript:ORUFI02G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGEKKMITLKSSDGEEFEVEAVGMESQTIRHMIEDKCADNGIPLPNVNSKILSKVIEYCNKHVHASADDSTSSADLKNWTPTSSRSTRPPSSTSSCSDLQSLWLKSITVADMIKGKTPEEIRKTFNIKKDLAPEEEEEIRRENQWAFE >ORUFI02G00170.2 pep chromosome:OR_W1943:2:81412:83606:-1 gene:ORUFI02G00170 transcript:ORUFI02G00170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGEKKMITLKSSDGEEFEVEAVGMESQTIRHMIEDKCADNGIPLPNVNSKILSKVIEYCNKHVHASADDSTSSADLKNWTPTSSRSTRPPSSTSSCCADHMIKGKTPEEIRKTFNIKKDLAPEEEEEIRRENQWAFE >ORUFI02G00180.1 pep chromosome:OR_W1943:2:84822:94595:-1 gene:ORUFI02G00180 transcript:ORUFI02G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSRKRAAAAAAAAAASSSSSASAPKRTRRSSAASSSSAAAPPPAPAPAPAMDPSPSSRRRARASASDKGKDPDPSSDPSPPSAPDDDDAVAPFPHSFTSASTALQGLLRRLGAGLDDLLPSSAAAASSSSTSAQLKRILSGLQSDGDESRQLQSLMQLCEMLSIGTEESLAAFPVDAFVPILVGLLGPGEDGAGGASPDVMLLAARALANLVDVLPSSCSAVVHYGAIPCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANICRKLPSDASEFVMEAVPLLTNLLNHHDSKVLEHASVCLTRVAEAFASYPEKLDDLCNHGLVAQSASLVSISNSAGQASLSTSTYTGLIRLLSTCASGSLLAAKTLLLLGISGTLKDILSGSGLVAGASVSPALSRPAEQMFEIVSLADDLLPHLPSGIISLPAYFHVLVKGSSSKKSASTKQDGTSSTENERSGHERLLREHPELLKQFGMDLLPIMTQVYGSSVNAPIRHKCLSIIGKLMYYSSAEMIQSLLGTTNISSFLAGILAWKDPQVLIPALQIAEIMMEKLPETFSKLFVREGVVHAVESLICPESSDMVLPHDKDNESVMPSRSRRQRRRGAAAPTENSSLDESNTSNPGIASSTPCSTEVQNTSLRFAVSDRAKSFKDKYFPSDHESRDLGVTDDLLKLRTLCAKLNSASENVVTKAKGKSKALSATHFDISHDVEAQFELIITQILTELSKTNGVSTFEFIRSGVVAALLDYLSCGTFGKERVSEANLPKLRQQALRRYKSFISVALSIDHERNETPMAFLVQKLQSALCSLERFPVVLSQSSRIGIGGSRLTSGLSALAQPFKLRLCRGQGEKSLRDYSSNIVLIDPFASLAAVEEFLWPRVQRSEAASKPTVPSGNNSESGIPGTAAGASSTAAPAPSGRRPTTRSKSSAASSGASKKDSQEESTNTAKGKGKAVAKPNSEEPKGPNTRNATRRKAASEKDLEMKRAHGDSSSEDEELDTSPIEIDDALMIDDDDMSEDEEDDHEVLQDGSLPICVQDGVHDVKLGDTDDSNIGSASDSQVQPSSGSSTRNIMSRGVDPNTFGSRGAMSFVAATMAGLASVGGRGVRGSRDRRGLSLGGSINEHNKLIFMAGGKQLSKHLTVYQALQRQLMFEEDDDEKFNGSDLSNDGNRFWGDVFTITYQKADSQAEKVSQGGSTSLNSKSDPSRSISELKGVSLLDSILQAELPCDLERTNSTYNILALLRVLEGLNQLSPRLRVHAASDDFAEGKITTLDELYRTGAKVPSEEFVNSKLTPKLARQMQDVLALCSGSLPSWCYQMTKACPFLFPFETRRQYFYSTAFGLSRALNRLQQQQGDNQNAGGEREIRFGRLQRQKVRVSRNRILDSAAKVMEMFSSQRAVLEVEYFGEVGTGLGPTLEFYTLLSHELQSVRLGLWRSSSPSDTGMQIDRSASPDDDLAAKELSSDLPDNGSHLIQAPFGLFPRPWPLTVDASEGSRFSKVIEHFRLVGRVMAKVLQDGRLLDLPLSTALYKLILGQELDLFDIISFDAEFGKTLQELQILVERKRFLESTYGMNQLEVTDLRYPDYILKEGEENTIVNIYNLEEYVTLVVDATVKSGIMRQVEAFRSGFNQVFDISSLKIFSPEELDYLICGRREIWEPDSLVDNIKFDHGYTAKSPAIVNLLEIMAEFTPEQQHAFCQFVTGAPRLPPGGLAALNPKLTIVRKHPSSAVNTSNIAGVTESADDDLPRSDAQEIALCDPRRPEIKFHICGVGSLASTEKRSSILCRTCK >ORUFI02G00190.1 pep chromosome:OR_W1943:2:96242:105774:-1 gene:ORUFI02G00190 transcript:ORUFI02G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSTKPPNPKVEMNLKEPPITGAGAGAAASPPAPSTLRRNPPRSARPPPTPLPNSKPSQISRLLEEAAERLKVFLRIRPLPLPERKGKAKSPTNPKQVCLVANSPNSVALTVPHSKLLDPKRGRTEVFDGFSSVFSPDSSQHDVFSQVMNPLVDDLLLGGKSGLLVAMGPTGSGKTHTVFGSPRNPGLVPLTLRRIFSPTTHEPFSKLRSFCFSMFEILSEGKGERILDLLSDATDLVLQQSTIKGLKEVSVENFADAEALLLSGMLKRTTAATNANSNRSQCIITIRAVHKSSDAESENSLNNAVLTIADLAGAERERRTGNQGTRLLESNFINNTSMVFGLCLRSLLEHQKNKKKPLEKHFKNSMLTRYLRDYLEGRKKMTLILNVAGKDDIDKDDGVTISEKDESQYKLLNSELRRVSRNEEIMTNFARALWTVLKQYKQKLLESENAVESTRELLRSKDIKIMELEKKLKVLSCSCKKFPAVEDTFVEQNNDVSSGQVAQSFVSLSSQTDLVSIDSALNKSLAVEEVSEESTGHGPERSSDYDDKTGTGGSDVCDTSIIKLIAEEELCSGDCKVLDKKLDRSESCSDGGGVTHSSSSLDHPSDQSFTDTCLQNESANLSPQFIGASKKSPIEQSEEEREEIHNITTEGIQQNVHTRGVKHHSTPSCSQEVNSGSLHVSSSQLQGMGALQQDPQSERCKPTVEITIVEYGCAQPPHVVDDHGGMYPCTLNGKSSPRKAPISPTKDNQAEKLTDKIEDLSASKPCNRKNTRRRLQPVSAMMLKEFTGPDIFVDTRKEEKVKSSRDAMGRSDKLIRLLTDHPTRARGRAQ >ORUFI02G00200.1 pep chromosome:OR_W1943:2:108621:109330:1 gene:ORUFI02G00200 transcript:ORUFI02G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRWYVQAVALLVVLKAAAGSAAPPTTTRSRSSSTVVAGMVFCDQCKDGARGLFDYPLYGARVAIECGGGESPVTVRECNTNWFGGFSVRMEGTPEMNRCTARVVQATGHCGAAIPTAPRDLTLAFRMLGLALYTVDPTLATR >ORUFI02G00200.2 pep chromosome:OR_W1943:2:109335:109994:1 gene:ORUFI02G00200 transcript:ORUFI02G00200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPPQPQGSACTFDKWADVGLHGCNWKVVTPNTTVAMAFGPAAAQRYGPDMTLREALDGRGDMYRTLLREATAALLNAYYNPSGSGFLYPTTASVIDHINAALLTPTLHKLLLEGARFRRANSDSNLPCHLTPCN >ORUFI02G00210.1 pep chromosome:OR_W1943:2:110383:111267:-1 gene:ORUFI02G00210 transcript:ORUFI02G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASAFVDDDDDDDRRRRIIGVSASHIVSLTSSTYGILDNILVSSAQSQSQSQSPTRIPPPPTPPPRPTTTTNPPCAAPPEKHLKKQGEAEVINSWELMAGLLDPATPQKPRRPTHHSPPPAPPAGVLLYTTTLRGVRATFEACNAVRAALHSHGVAFRERDISMDRGFREELRHRISLDHHDRAPLVPRLFVRGNHVGGAAEVARLEEEGKLAALLEGLPRARPGGGCCDGCGGMRFLPCFDCNGSRKLCFSLPTPVPAAAAARSNKTRAVVVVRCGECNENGLVLCPICS >ORUFI02G00220.1 pep chromosome:OR_W1943:2:112326:112978:1 gene:ORUFI02G00220 transcript:ORUFI02G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGRRGGSAAVASDLRCSSGDDHAGAGRSAEREGDGRSGGGSCACRTGVPEDRASRGQSWRWRGPERPTGGAARAVAGGRWAGVLQERKGLRRQPPLYCSTAVASNYTTDSQYSLNLNQLLALKNGQCVVNAENNDGFYKASLGTAPDEAFALAMCYADRN >ORUFI02G00230.1 pep chromosome:OR_W1943:2:116964:119428:1 gene:ORUFI02G00230 transcript:ORUFI02G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSRTLPPRIHDGVVVVERDVRRYQQLPQQVEMEMTTTKRQQDHQVETMTTKKIDEEDEEVDDDGRAKRRGTVWTAASHIITAVIGSGVLSLAWAIAQLGWVVGPTVMLLFAAVIYFTSNLLADCYRTGDPATGRRNYTYMDAVKANLGGAKVKVCGCIQYLNLLGVAIGYTIAASISMMAIQRSNCFHARGEQDPCHASSNVYMIMFGIVQVFFSQIPDFDQVWWLSILAAVMSFTYSAVGLALGAAQVAQNRTFAGSAMGVAVGFVTKTGDVVTPAQKVWRNLQALGDIAFAYSYSIILIEIQDTLRSPPAEARTMRKATGISVVVTSVFYLLCGCMGYAAFGDDAPGNLLTGFGFYKPYWLLDVANMAIVVHLVGAYQVYCQPLFAFVERRAERRWPNGLPGGDYDLGWIKVSVFRLAWRTCFVAVTTVVAMLLPFFNDVVGILGALGFWPLTVYFPVEMYIAHRRIRRWTTTWVGLQALSLACLLVSLAAAVGSIAGVLLDLKSYRPFRSTY >ORUFI02G00230.2 pep chromosome:OR_W1943:2:116964:119428:1 gene:ORUFI02G00230 transcript:ORUFI02G00230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTTTKRQQDHQVETMTTKKIDEEDEEVDDDGRAKRRGTVWTAASHIITAVIGSGVLSLAWAIAQLGWVVGPTVMLLFAAVIYFTSNLLADCYRTGDPATGRRNYTYMDAVKANLGGAKVKVCGCIQYLNLLGVAIGYTIAASISMMAIQRSNCFHARGEQDPCHASSNVYMIMFGIVQVFFSQIPDFDQVWWLSILAAVMSFTYSAVGLALGAAQVAQNRTFAGSAMGVAVGFVTKTGDVVTPAQKVWRNLQALGDIAFAYSYSIILIEIQDTLRSPPAEARTMRKATGISVVVTSVFYLLCGCMGYAAFGDDAPGNLLTGFGFYKPYWLLDVANMAIVVHLVGAYQVYCQPLFAFVERRAERRWPNGLPGGDYDLGWIKVSVFRLAWRTCFVAVTTVVAMLLPFFNDVVGILGALGFWPLTVYFPVEMYIAHRRIRRWTTTWVGLQALSLACLLVSLAAAVGSIAGVLLDLKSYRPFRSTY >ORUFI02G00240.1 pep chromosome:OR_W1943:2:122132:125413:1 gene:ORUFI02G00240 transcript:ORUFI02G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHETSAAGSESPVPVVTVDVAAASDLITSAGHRYVDVRTEEEMNKGHLHNSLNVPFMEKNPLFVEQFSSLVSKEEHVVVGCQSGKRSELACVDLLEAGFKNVKNMGGGYAAWLDNGFPINTPPHTMY >ORUFI02G00250.1 pep chromosome:OR_W1943:2:125375:128429:-1 gene:ORUFI02G00250 transcript:ORUFI02G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRHTSQYSPEGPVVTWLPKPFSSAAGRFMASSAAARSRAAASAAWARLLSLRPSPPVSSTHHLTLRIASPRRHFAFSANASSGGSRLKQIQSERVIHDLFAELQRERQRDRQEEDEDEGEDEDYLGVKPLIEKLERRRAKEEAAPDDSFWEPTDSDSDEEDERYTPDAIKRRVDEFERKCNRHSELLRSFAEAETLDDAHKWMTKIDRFEERHLKLPLEYRVIGDMMNRLKDATGKDRFLLLQKLNRAVRIMECREAYDPSNPSNFGVIQHQQVGSPEDLVLNAGFDREKQMIQGAGDDDDDEEFNEDKEKDDLLIEKLNSIEKKIEEKLAELDHTFGKKGRVLEEEIKDLVEERNSLTEHKRRPMYRKGFDVKVIDVNRTCKVTKGGQIAKFTALLATGNYHGVVGFAKARGPTAKIAIQRAYEKCFQDLHYMERYEDHTIAHAIQAKYEKTKIYLWPGPMRSGMSAAGRTVETVLYLAGFSNVKSKIIGSRNPLNVIKALFIALNAIETPKDVEQKFGRTVVESYLFYLVD >ORUFI02G00250.2 pep chromosome:OR_W1943:2:125796:128429:-1 gene:ORUFI02G00250 transcript:ORUFI02G00250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRHTSQYSPEGPVVTWLPKPFSSAAGRFMASSAAARSRAAASAAWARLLSLRPSPPVSSTHHLTLRIASPRRHFAFSANASSGGSRLKQIQSERVIHDLFAELQRERQRDRQEEDEDEGEDEDYLGVKPLIEKLERRRAKEEAAPDDSFWEPTDSDSDEEDERYTPDAIKRRVDEFERKCNRHSELLRSFAEAETLDDAHKWMTKIDRFEERHLKLPLEYRVIGDMMNRLKDATGKDRFLLLQKLNRAVRIMECREAYDPSNPSNFGVIQHQQVGSPEDLVLNAGFDREKQMIQGAGDDDDDEEFNEDKEKDDLLIEKLNSIEKKIEEKLAELDHTFGKKGRVLEEEIKDLVEERNSLTEHKRRPMYRKGFDVKVIDVNRTCKVTKGGQIAKFTALLATGNYHGVVGFAKARGPTAKIAIQRAYEKCFQDLHYMERYEDHTIAHAIQAKYEKTKIYLWPGPMRSGMSAAGRTVETVLYLAGFSNVKSKIIGSRNPLNVIKALFIALNAIETPKDVEQKFGRTVVESYLL >ORUFI02G00260.1 pep chromosome:OR_W1943:2:130771:133547:1 gene:ORUFI02G00260 transcript:ORUFI02G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFRSSLSMSRARTRHGDGDDRGWNQLHVASRKGDLNQVRRLLDDGMDVNAPAWGPKSPGATPLHLAAQGGHVKIMDELLERGANIDARTKGACGWTPLHIAAKERNKRAVRFLIENGAFLPPDMNDHRFNPPLHYCSGLEWAYEMKRMQDESDSTGETSLGSES >ORUFI02G00270.1 pep chromosome:OR_W1943:2:131247:135736:-1 gene:ORUFI02G00270 transcript:ORUFI02G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMVECEDNWNCQLDNITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARAAAGRGGGPVGRGGAPPVRRDDNVRITWLRSPLREATWSWFQPRSSPSPWRVRARLMLRELRNCTIRRQAIERRGGGG >ORUFI02G00280.1 pep chromosome:OR_W1943:2:135770:137923:-1 gene:ORUFI02G00280 transcript:ORUFI02G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGWPHEWEMDGSKSRQVWAFHIDGIGLGPIEVAPSPPSLSSALLDSTRLPSAAAAAIFLLHQTTTTTTNNSSSRLPS >ORUFI02G00290.1 pep chromosome:OR_W1943:2:137760:144316:1 gene:ORUFI02G00290 transcript:ORUFI02G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSSSASRREAGGGGAASSTKSGELSLSKVASVAIRESSGSGSGSGGISKSSELLPRAGTMAAAREAAAAAVHHEGWMVRYGRRKIGRSFFHTRYFVLDSRLLAYYKKKPKDNMVPLKSLLIDGNCRVEDRGLKTHHGQMVYVLCVYNKKEKEHQITMGAYDIEDALAWKKNIELIIDQQQENMTSKNRKAFASMDFDTELGGQFIFSDHDSAAEDEEERPMLIRRTTIGNGPPESIHDWTKEHDIGPPNQIDPIQDYASLKNFWNSIILQEAVAELCELLVLWKPHAKPFLGWWDCSFRYGSLVEEVDGHTAILYHKLQLHWCPMLVWPRDLCYVRYWRRNDDGSYVVLFRSTEHPNCGRQKGYVRAFIESGGFKISPLKCRNGRPRTQVQHLMQIDLRGWLLNYSPSFQYHSLLQIQNCVAGLREYFSQTDETHITPRIPVMENMVDTSAVQKDDKKSTEEVDSKTKTPDRGQADSKNMGIIDEETDEDEDYQVPEANIEEDPNKDAKRADEPPEKIDLSCFSGILRCDADEKSRNCWTVPDSKLFKVRSKNFPHDKSKIPAASYLMELAAIDWFKDSKRMDNVGRQKGCVAQVAAEKGMHTFVANIQIPGSTHYSLVMYFVTKSLKKGSLLQRFFDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYVRGAGYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYEELPEQVIGAARLAHVEPAAAIVPQDLTPPPPALADDDNAAASSSEDDHLSKKTN >ORUFI02G00290.2 pep chromosome:OR_W1943:2:137760:144114:1 gene:ORUFI02G00290 transcript:ORUFI02G00290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSSSASRREAGGGGAASSTKSGELSLSKVASVAIRESSGSGSGSGGISKSSELLPRAGTMAAAREAAAAAVHHEGWMVRYGRRKIGRSFFHTRYFVLDSRLLAYYKKKPKDNMVPLKSLLIDGNCRVEDRGLKTHHGQMVYVLCVYNKKEKEHQITMGAYDIEDALAWKKNIELIIDQQQENMTSKNRKAFASMDFDTELGGQFIFSDHDSAAEDEEERPMLIRRTTIGNGPPESIHDWTKEHDIGPPNQIDPIQDYASLKNFWNSIILQEAVAELCELLVLWKPHAKPFLGWWDCSFRYGSLVEEVDGHTAILYHKLQLHWCPMLVWPRDLCYVRYWRRNDDGSYVVLFRSTEHPNCGRQKGYVRAFIESGGFKISPLKCRNGRPRTQVQHLMQIDLRGWLLNYSPSFQYHSLLQIQNCVAGLREYFSQTDETHITPRIPVMENMVDTSAVQKDDKKSTEEVDSKTKTPDRGQADSKNMGIIDEETDEDEDYQVPEANIEEDPNKDAKRADEPPEKIDLSCFSGILRCDADEKSRNCWTVPDSKLFKVRSKNFPHDKSKIPAASYLMELAAIDWFKDSKRMDNVGRQKGCVAQVAAEKGMHTFVANIQIPGSTHYSLVMYFVTKSLKKGSLLQRFFDGDDEFRNSRLKLIPSVPKLFAKYSWFSST >ORUFI02G00300.1 pep chromosome:OR_W1943:2:145192:145404:1 gene:ORUFI02G00300 transcript:ORUFI02G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTSLQRRLRAVTLPGVEGENEVQGATGLFVLAQINAAADPSLAPRPGRCQGRGSPICFPAASPAPWER >ORUFI02G00310.1 pep chromosome:OR_W1943:2:153403:168491:1 gene:ORUFI02G00310 transcript:ORUFI02G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWSEVGPTCQDDRLATATPTLPLREERGEIFFFAYGGCRVNAAKELYFNKDGLAIKKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGMITEGVKVVAAGANPVQITRGIEKTAKALVSELQKMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMNKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMSVEYENCKLLLVDKKINNARDLITILEDAIRGGYPILIVTEDIEQEALATLVVNRLRGALKIAAIKAPGFGERKSQYLDDIATLTGGTVIREEVGLSLDKADRDVLGTAAKVVVTKDSTTIVGDGTTQDEVNKRVTQIKNQIEVAEQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIIETLENDEQKVGAEIVRKSLSYPLKLIAKNAGVNGSVVTEKVLANDNFRYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIKEPEKAAAAGNPMGGSGPKEEYIYVFSKKWVVSYRQGSRRVVAVGLFDGVGRLFIINRQVLGFRTTNHHHHRGGSCSVLLLPPQQKYYIHIYLPRWSCSWRKKKSMKATMIWMTGMKAAVNTGPLFPTHHAEAVSLSVASVSAPPCSVLMKNMMAVQE >ORUFI02G00310.2 pep chromosome:OR_W1943:2:153403:163066:1 gene:ORUFI02G00310 transcript:ORUFI02G00310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWSEVGPTCQDDRLATATPTLPLREERGEIFFFAYGGCRVNAAKELYFNKDGLAIKKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGMITEGVKVVAAGANPVQITRGIEKTAKALVSELQKMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMNKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMSVEYENCKLLLVDKKINNARDLITILEDAIRGGYPILIVTEDIEQEALATLVVNRLRGALKIAAIKAPGFGERKSQYLDDIATLTGGTVIREEVGLSLDKADRDVLGTAAKVVVTKDSTTIVGDGTTQDEVNKRVTQIKNQIEVAEQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIIETLENDEQKVGAEIVRKSLSYPLKLIAKNAGVNGSVVTEKVLANDNFRYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIKEPEKAAAAGNPMGGSGGGVVGAEVLEAPVTADAAAATETRRRAAAFTFHQLRRRRLLHRRFALAHLLLLLQHRLLLLLLFVVVVVVAVAGRRRGRHGRRWWWIEQQQCGLGRPDRGVARLREESVSGDTSFQGGAAGGRLRLTPPIVLVFFHATSLPLPGHQVARQYIFNSISN >ORUFI02G00310.3 pep chromosome:OR_W1943:2:153403:163066:1 gene:ORUFI02G00310 transcript:ORUFI02G00310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWSEVGPTCQDDRLATATPTLPLREERGEIFFFAYGGCRVNAAKELYFNKDGLAIKKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGMITEGVKVVAAGANPVQITRGIEKTAKALVSELQKMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMNKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMSVEYENCKLLLVDKKINNARDLITILEDAIRGGYPILIVTEDIEQEALATLVVNRLRGALKIAAIKAPGFGERKSQYLDDIATLTGGTVIREEVGLSLDKADRDVLGTAAKVVVTKDSTTIVGDGTTQDEVNKRVTQIKNQIEVAEQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIIETLENDEQKVGAEIVRKSLSYPLKLIAKNAGVNGSVVTEKVLANDNFRYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIKEPEKAAAAGNPMGGSGPKEEYIYVFSKKWVVSYRQGSRRYIFNSISN >ORUFI02G00310.4 pep chromosome:OR_W1943:2:153403:169449:1 gene:ORUFI02G00310 transcript:ORUFI02G00310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWSEVGPTCQDDRLATATPTLPLREERGEIFFFAYGGCRVNAAKELYFNKDGLAIKKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGMITEGVKVVAAGANPVQITRGIEKTAKALVSELQKMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMNKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMSVEYENCKLLLVDKKINNARDLITILEDAIRGGYPILIVTEDIEQEALATLVVNRLRGALKIAAIKAPGFGERKSQYLDDIATLTGGTVIREEVGLSLDKADRDVLGTAAKVVVTKDSTTIVGDGTTQDEVNKRVTQIKNQIEVAEQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIIETLENDEQKVGAEIVRKSLSYPLKLIAKNAGVNGSVVTEKVLANDNFRYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIKEPEKAAAAGNPMGGSGGGVVGAEVLEAPVTADAAAATETRRRAAAFTFHQLRRRRLLHRRFALAHLLLLLQHRLLLLLLFVVVVVVAVAGRRRGRHGRRWWWIEQQQCGLGRPDRGVARLREESVSGDTSFQGGAAGGRLRLTPPIVLVFFHATSLPLPGHQVARQVVAVGLFDGVGRLFIINRQVLGFRTTNHHHHRGGSCSVLLLPPQQKVQT >ORUFI02G00310.5 pep chromosome:OR_W1943:2:153403:169449:1 gene:ORUFI02G00310 transcript:ORUFI02G00310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWSEVGPTCQDDRLATATPTLPLREERGEIFFFAYGGCRVNAAKELYFNKDGLAIKKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGMITEGVKVVAAGANPVQITRGIEKTAKALVSELQKMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMNKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMSVEYENCKLLLVDKKINNARDLITILEDAIRGGYPILIVTEDIEQEALATLVVNRLRGALKIAAIKAPGFGERKSQYLDDIATLTGGTVIREEVGLSLDKADRDVLGTAAKVVVTKDSTTIVGDGTTQDEVNKRVTQIKNQIEVAEQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIIETLENDEQKVGAEIVRKSLSYPLKLIAKNAGVNGSVVTEKVLANDNFRYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIKEPEKAAAAGNPMGGSGPKEEYIYVFSKKWVVSYRQGSRRVVAVGLFDGVGRLFIINRQVLGFRTTNHHHHRGGSCSVLLLPPQQKVQT >ORUFI02G00320.1 pep chromosome:OR_W1943:2:158708:159181:-1 gene:ORUFI02G00320 transcript:ORUFI02G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSYLTCNLVTRQRQRGGVEEDEYDGRRQPQTATCCTALKRGVTADGLLAQPRYAPVRTPQSALLLLDPPPPAPMTPTSSSSNSNHDDDDEKKKKKQPMLQQQKQVRKCKSTVEEASASQLMECKGGGPPPRLRRSGGVRRDWSFEDLRANNTAA >ORUFI02G00330.1 pep chromosome:OR_W1943:2:160464:161009:-1 gene:ORUFI02G00330 transcript:ORUFI02G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCGSVCFLFVIMVIASSPAAVAAAGEDIVEETCGQCSRSNPNVNYTLCVSSLSGYPGADLHGLALFSTMPLRSALATIASEARVLRDRAPPGSPPRSCLDSCLEVFRDAAYNLGNAVAAIESWRYGDAKTAMSATTDAPVTCEDEFKGQGMATPPAIKARTKPLFQHGVISLAIISLL >ORUFI02G00340.1 pep chromosome:OR_W1943:2:161411:161710:-1 gene:ORUFI02G00340 transcript:ORUFI02G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSARSAPFRPDLVGWAGGGKGVGGGDDDGGGDGRRWRSSGDGDGVRVAMVEAAXPLGAVHLLEGVATGALVQLHFKSFLRVKI >ORUFI02G00350.1 pep chromosome:OR_W1943:2:163217:163822:-1 gene:ORUFI02G00350 transcript:ORUFI02G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSSSSSRSVVMMCCVLMLMIAAAAHAGEQEAEECASPMSIEEACRGASETHHAVAYDHCVASLTADPRSSSSEARSLHGLAMLATRMAIDHATSTVCKMDDLAELEPEDSSSPSDARARFEHCLEQYGGAADLLRDALDNLKVRIYGTAMEQLSAALGAAESCEDAWKGDEGNIPIAAHDREYGRMAHIAIGFTHAAA >ORUFI02G00360.1 pep chromosome:OR_W1943:2:167634:171227:-1 gene:ORUFI02G00360 transcript:ORUFI02G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEEHINYSEILLLKRCDRCVRVKRAVTHNHKAKECRTVMTMLVFDLISAVMTTLVKKALEQGLNRLVLITLRQLVATLFLSPIAYFKERNTRPKMTWEIFVYLFFSALLGAGLSQYSFFYGLQYTTATYAITFANLSPVLTFLIAIALGVESLNMKSMAGGAKVLGTLTSMAGVLLLSLYKGVALTNHPSAAAAMDASAGGGHGGSVMVKNNKQWTLGTVMLLGNCLCFSLWLLLQGKLTKKYPAIYSCTAIMFFISTLQGGALTLATERLTASAWTLTNKVEIVTVIYSGVMASGVGYLIMTWCVGKRGPVFTAAFIPVIQIMVAFIDFFFLHEQLHLGSVLGSVLMILGLYLLLWGKKKDAAAASSVVVVVCCPEPKHLPVDDEEAPNTIKKPNSNHLHHSNYN >ORUFI02G00360.2 pep chromosome:OR_W1943:2:167634:169237:-1 gene:ORUFI02G00360 transcript:ORUFI02G00360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQAKECRTVMTMLVFDLISAVMTTLVKKALEQGLNRLVLITLRQLVATLFLSPIAYFKERNTRPKMTWEIFVYLFFSALLGAGLSQYSFFYGLQYTTATYAITFANLSPVLTFLIAIALGVESLNMKSMAGGAKVLGTLTSMAGVLLLSLYKGVALTNHPSAAAAMDASAGGGHGGSVMVKNNKQWTLGTVMLLGNCLCFSLWLLLQGKLTKKYPAIYSCTAIMFFISTLQGGALTLATERLTASAWTLTNKVEIVTVIYSGVMASGVGYLIMTWCVGKRGPVFTAAFIPVIQIMVAFIDFFFLHEQLHLGSVLGSVLMILGLYLLLWGKKKDAAAASSVVVVVCCPEPKHLPVDDEEAPNTIKKPNSNHLHHSNYN >ORUFI02G00370.1 pep chromosome:OR_W1943:2:171415:180471:-1 gene:ORUFI02G00370 transcript:ORUFI02G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNTVSSLPCSKAGAAVAGGAPRPSTCSVFYPPRCWSKRSSGNGVRAQASTTETTAAPAAEVTTKVEKVSKKQVDGVVTNKYRPKEPYTGRCLLNTRITGDDAPGETWHMVFSTDGEIPYREGQSIGVIPDGIDKNGKPHKLRLYSIASSAIGDFADSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGSDVKITGPVGKEMLMPKDPNATIIMLGTGTGIAPFRSFLWKMFFEEHDDYKFNGLAWLFLGTNAAGEKMYIQTRMAEYKDELWELLKKDNTYVYMCGLKGMEKGIDDIMIDLAAKDGIDWLDYKKQLKKSEQWNVEGPRGKLTRNFKHLNLDFQLLEVEGVRKLQVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGTITEDQIGWTNGMLLTQRMNWVEFLKPVVAMLVFDTLFALMTALVKKALADGLNHVVFITLRQFVAAVLLAPIAYFKERNTRPRFTTEIFAYMFMSALLGGLCAQYLFFLGLSYTTATLTATFSNMTPVFTFLIAIPLQLETVDVRSKAGLAKVVGTLMSVGGATLLGLYKGAALTHTTSSVQEHGAKGITSNSSSISKERWMLGSVLLVLNCISFSLWMLLQGKLTKKYPAVFSSTAFMTSFSSMQAGVVALTTQRRLSVWLIRGNIQIIAVVFAGVGVSGIGYVLMTWCIEKKGPVFTAGFMPLIQIMAALIDLFFLHEQIFLGSAIGAALVIGGLYLLLWGKSKEASATALLAKAVEQDGEKKENLEA >ORUFI02G00370.2 pep chromosome:OR_W1943:2:171415:180471:-1 gene:ORUFI02G00370 transcript:ORUFI02G00370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNTVSSLPCSKAGAAVAGGAPRPSTCSVFYPPRCWSKRSSGNGVRAQASTTETTAAPAAEVTTKVEKVSKKQVDGVVTNKYRPKEPYTGRCLLNTRITGDDAPGETWHMVFSTDGEIPYREGQSIGVIPDGIDKNGKPHKLRLYSIASSAIGDFADSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGSDVKITGPVGKEMLMPKDPNATIIMLGTGTGIAPFRSFLWKMFFEEHDDYKFNGLAWLFLGVPTSSTLLYREEFERMKEIAPERFRLDFAVSREQTNAAGEKMYIQTRMAEYKDELWELLKKDNTYVYMCGLKGMEKGIDDIMIDLAAKDGIDWLDYKKQLKKSEQWNVEGPRGKLTRNFKHLNLDFQLLEVEGVRKLQVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGTITEDQIGWTNGMLLTQRMNWVEFLKPVVAMLVFDTLFALMTALVKKALADGLNHVVFITLRQFVAAVLLAPIAYFKERNTRPRFTTEIFAYMFMSALLGGLCAQYLFFLGLSYTTATLTATFSNMTPVFTFLIAIPLQLETVDVRSKAGLAKVVGTLMSVGGATLLGLYKGAALTHTTSSVQEHGAKGITSNSSSISKERWMLGSVLLVLNCISFSLWMLLQGKLTKKYPAVFSSTAFMTSFSSMQAGVVALTTQRRLSVWLIRGNIQIIAVVFAGVGVSGIGYVLMTWCIEKKGPVFTAGFMPLIQIMAALIDLFFLHEQIFLGSAIGAALVIGGLYLLLWGKSKEASATALLAKAVEQDGEKKENLEA >ORUFI02G00380.1 pep chromosome:OR_W1943:2:183115:187359:-1 gene:ORUFI02G00380 transcript:ORUFI02G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVQYRLERMSDELDDLERRGLFTRAELAEVVRRRRDFEFRLRRRSPLRSDFLDYIAYELRLDALRDLRKRAIIRATPDTTDHDADATDNDSSKKKKKKRNKGKAKKWKKSVSDIAGVLRVLDIYRMATVRYKGDLDLWFRYLEFCRDKRHAIRYHPKVPGLWIYAAAWEFDQNLNVAAARALMQTGLRSCPESEDMWIEYLRMELTYLNKLKARKVALGEDVKTLQKKNNDASTWKEENKELFMSLNEQDEGPKESGSQGVALEGKEDLFWQQGLLIIQTIYHGATKALPSSLTLRKKFLEILNSVDLAHSDELKVEVMDDLKKEFSDSEDYWDWLARLQLSDSTSSSNLNRMEALSNKLNKSIQVYDEAVRRLPTSRMYSLYAKFWMGVLFADTEDSISLFHGGEFDASGFTSCILKVYQNAESSGCLTEDLACQYVSLYLRLGGLEEAPGRLEEARNLAKKLCNGPLSHAADLWNLRASIEMKSLATSTGISSFSKENLSSLFDLFNVALPKLPIPEAEELWHTAMKLFSHEKVYFEKLVKCAMLSLSSAGGNDCGASVSSAIVGWILQRDGIKQARKMYKRFLALPRPSFKFFQYCIELETNLASIGNQDSLVNARKLYDAALDLYPQERELWRNYYNMELKLGTSETANAIYWRARKVLGDSSALDIPHS >ORUFI02G00390.1 pep chromosome:OR_W1943:2:193541:202073:1 gene:ORUFI02G00390 transcript:ORUFI02G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGVQHQETTTTVVQVAAEASRKKVEVRSAGRRGRREMRRIEDATSRQVTFSKRRSGLLKKAFELSVLCDAEVALIVFSPRGRLYQFASASSDLQRTIDRYLNHTKNSSAHEEGEESCVQKWRSEATTLGKKIEAIEGYKSKLLGEGLGSCSVQELQELEVQLEKSLCSIRQKKQKMLMDQILELREKEMNLLKENMVLRDQCKALSSPWSTSVGELKNKQADDDVHRHEDYSGGGVRDDDDRRMMEDVETDLAAANQAGKVLKKGKKKQAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKKQKKREEQQRLDEEGAAIAEAVALHVLIGEDSDEPCHLMLNKHRRCNHWDHSAGFDFAVDAQGADIYPPDGLIQCADHVYAPKGRCIDWGIGQPLPSWGEVKDLQLQAPCYQGMFHQSVACPGFIAAQAVSSLQIGGDSSDITSPSQGATVVNRMLGATNRLNLYREI >ORUFI02G00390.2 pep chromosome:OR_W1943:2:193512:202073:1 gene:ORUFI02G00390 transcript:ORUFI02G00390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGMGGGGGVQHQETTTTVVQVAAEASRKKVEVRSAGRRGRREMRRIEDATSRQVTFSKRRSGLLKKAFELSVLCDAEVALIVFSPRGRLYQFASASSDLQRTIDRYLNHTKNSSAHEEGEESCVQKWRSEATTLGKKIEAIEGYKSKLLGEGLGSCSVQELQELEVQLEKSLCSIRQKKQKMLMDQILELREKEMNLLKENMVLRDQCKALSSPWSTSVGELKNKQADDDVHRHEDYSGGGVRDDDDRRMMEDVETDLAAANQAGKVLKKGKKKQAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKKQKKREEQQRLDEEGAAIAEAVALHVLIGEDSDEPCHLMLNKHRRCNHWDHSAGFDFAVDAQGADIYPPDGLIQCADHVYAPKGRCIDWGIGQPLPSWGEVKDLQLQAPCYQGMFHQSVACPGFIAAQAVSSLQIGGDSSDITSPSQGATVVNRMLGATNRLNLYREI >ORUFI02G00400.1 pep chromosome:OR_W1943:2:202602:204189:1 gene:ORUFI02G00400 transcript:ORUFI02G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSNVATNGNVTKPIVDDDISCPDLMPPAWLHASTLQLQYKLLQKIQIKKLAISIHRELAGGGNAMQAARARAGQGLQLCERGRDAQQ >ORUFI02G00410.1 pep chromosome:OR_W1943:2:214427:217192:-1 gene:ORUFI02G00410 transcript:ORUFI02G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGYGGVSEFQQYIMDPGAFAMSAPPQPAQAAAAAAAAAAAAAGGQELGAPFRYQPLHHHALPQHHHHHHPPPQMPPHLAHFGGAGGIPFTQQLLHQAAAAGHHPHLQLFHEQHHHQKHQQQPPPPARWAPQQHHHHHPHHHLGLDVEAAVPESSGAGAGSAASGAAAPPGVPPFLAAAMSFKLGVDGGGGSGATGGTDDALNDGGGAGSGMMLHGGGGGGGGGDDEAATESRLRRWPGDEETSIKEPTWRPLDIDYIHSSSSSKRAPPKDKPATPDSPAPPPPANYFKNKPDDNAAAASAASAGAVNYKLFSELEAIYKPGSGGPQTGSGSGLTGDDNAMLAPPMADLPDAAAANPPHLNTSETSAGEDAHAVVQPQPQTQQPSGADAARRKRKRRRQEQLSASASFFERLVQRLMEHQESLHRQFLDTMERRERERAARDEAWRRQEADKFAREAAARAQDRASAAARESAIIAYLEKISGETITLPPPAANPAPGADEQDGVGKEVVAYDGEGSLQLSSSRWPKHEVEALIRVRTGLEDRFQEPGLKGPLWEEVSARMAAAGYRRNAKRCKEKWENINKYFRKAKESGKKRPAHAKTCPYFDELDRLYSRSGSGGGGGSSSAGGNGGEEAKGSSELLDAVVKYPDVRCAPPGFPFDGEQNEEGRTKDDGDEAHHDGDGDGDEEDVGVGVGRATDDHDDQVDESHDGH >ORUFI02G00420.1 pep chromosome:OR_W1943:2:229057:229787:-1 gene:ORUFI02G00420 transcript:ORUFI02G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWARGNFDAVVTMGCVGDGLDSELLLLRHHWTYAPSSPLQPPAVVVMGFSSLPNTTTCQIDTRL >ORUFI02G00430.1 pep chromosome:OR_W1943:2:232624:240291:1 gene:ORUFI02G00430 transcript:ORUFI02G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLLSLSQRLLFLSLSLPKPQLAPNPSSFSPTRAASTAPPPPEGAGPAAPSRGDRFLGTQLAAEAAARVLAPEDAERRRRRREKRKALARKPSAAACYGCGAPLQTADEAAPGYVHPATYDLKKRHHQLRTVLCGRCKLLSHGHMITAVGGHGGYPGGKQFVSADQLRDKLSYLRHEKALIIKLVDIVDFNGSFLARVRDFAGANPIILVITKVDLLPRDTDLNCIGDWVVEAVVKKKLNVLSVHLTSSKSLVGVTGVISEIQQEKKGSANVGKSAFISAMLRTMAYKDPVAAAAQKYKPIQSAVPGTTLGPIQIEAFLGGGKLYDTPGVHLHHRQAAVIHADDLPSLAPQSCLRARCFPANDTDVGLSGNSLFWGGLVRIDVVKALPRTRLTFYGPKKLKINMVPTTEADEFYEREVGVTLTPPAGKEKAEGWVGLQGVRELQIKYEESDRPACDIAISGLGWVAVEPLGVPSSNPDESAEEEDNESGELHLRVHVPKPVEIFVRPPLPVGKAASQWYRYQELTEEEEELRPKWHY >ORUFI02G00430.2 pep chromosome:OR_W1943:2:232624:240291:1 gene:ORUFI02G00430 transcript:ORUFI02G00430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLLSLSQRLLFLSLSLPKPQLAPNPSSFSPTRAASTAPPPPEGAGPAAPSRGDRFLGTQLAAEAAARVLAPEDAERRRRRREKRKALARKPSAAACYGCGAPLQTADEAAPGYVHPATYDLKKRHHQLRTVLCGRCKLLSHGHMITAVGGHGGYPGGKQFVSADQLRDKLSYLRHEKALIIKLVDIVDFNGSFLARVRDFAGANPIILVITKVDLLPRDTDLNCIGDWVVEAVVKKKLNVLSVHLTSSKSLVGVTGVISEIQQEKKGSANVGKSAFISAMLRTMAYKDPVAAAAQKYKPIQSAVPGTTLGPIQIEAFLGGGKLYDTPGVHLHHRQAAVIHADDLPSLAPQNVGLSGNSLFWGGLVRIDVVKALPRTRLTFYGPKKLKINMVPTTEADEFYEREVGVTLTPPAGKEKAEGWVGLQGVRELQIKYEESDRPACDIAISGLGWVAVEPLGVPSSNPDESAEEEDNESGELHLRVHVPKPVEIFVRPPLPVGKAASQWYRYQELTEEEEELRPKWHY >ORUFI02G00430.3 pep chromosome:OR_W1943:2:232624:240291:1 gene:ORUFI02G00430 transcript:ORUFI02G00430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGPSTTGDSPPPPPESGGSISSMVASSAASAAAAAADFTRRGEAFGADMASAARAAMDTAIAHSQSTAIAAAEAASSAKADALAAFPSLTLIAKEEFEWLKKEYTVHEQVVFGKIKEGAIMAIEHPGIAAGSTAIAGIVLFKRPRSYLIQRVRRIFVSKETLLSGIQADVNHMRQTVNLVSNESQKEEGRAIQSELNQISDIEKQAVGLKSILNQLPRAHASEFRSEISGLASQVKKEKRVLNNTLTKIVNYGVPI >ORUFI02G00430.4 pep chromosome:OR_W1943:2:232624:237180:1 gene:ORUFI02G00430 transcript:ORUFI02G00430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLLSLSQRLLFLSLSLPKPQLAPNPSSFSPTRAASTAPPPPEGAGPAAPSRGDRFLGTQLAAEAAARVLAPEDAERRRRRREKRKALARKPSAAACYGCGAPLQTADEAAPGYVHPATYDLKKRHHQLRTVLCGRCKLLSHGHMITAVGGHGGYPGGKQFVSADQLRDKLSYLRHEKALIIKLVDIVDFNGSFLARVRDFAGANPIILVITKVDLLPRDTDLNCIGDWVVEAVVKKKLNVLSVHLTSSKSLVGVTGVISEIQQEKKGSANVGKSAFISAMLRTMAYKDPVAAAAQKYKPIQSAVPGTTLGPIQIEAFLGGGKLYDTPGVHLHHRQAAVIHADDLPSLAPQSCLRARCFPANDTDVGLSGNSLFWGGLVRIDVVKALPRTRLTFYGPKKLKINMVPTTEADEFYEREVGVTLTPPAGKEKAEGWVGLQGVRELQIKYEESDRPACDIAISGLGWVAVEPLGVPSSNPDESAEEEDNESGELHLRVHVPKPVEIFVRPPLPVGKAASQWYRYQELTEEEEELRPKWHY >ORUFI02G00440.1 pep chromosome:OR_W1943:2:245971:246897:-1 gene:ORUFI02G00440 transcript:ORUFI02G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDSTTMADLAKTLSLLHVSWRINSVLHFLFVLLQHLPVWVVARLLLLRLLLPNHLQGQ >ORUFI02G00450.1 pep chromosome:OR_W1943:2:247809:250691:1 gene:ORUFI02G00450 transcript:ORUFI02G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREPAAVRVYTVCDESKYLIVRNVPSLGCGDDLANLFATYGPVDESVSSSSHLYHTTTCLCTPMDAEDCDPYTDVFFIKFSQVSNARFAKRKLDESVFLGNRLQVSYAPQFESLLDTKEKLEVRRKEVLGRMKSSSGRPEGLSHHSPGQGSSAANSHRQMSSNKREYTKTLHASQFEDPRFTHVSSNKDYFPSESMNATVNLVREKLDKIQSSSDNSNAIVAPKKPRTDNRRRI >ORUFI02G00450.2 pep chromosome:OR_W1943:2:247809:250691:1 gene:ORUFI02G00450 transcript:ORUFI02G00450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPREPAAVRVYTVCDESKYLIVRNVPSLGCGDDLANLFATYGPVDECTPMDAEDCDPYTDVFFIKFSQVSNARFAKRKLDESVFLGNRLQVSYAPQFESLLDTKEKLEVRRKEVLGRMKSSSGRPEGLSHHSPGQGSSAANSHRQMSSNKREYTKTLHASQFEDPRFTHVSSNKDYFPSESMNATVNLVREKLDKIQSSSDNSNAIVAPKKPRTDNRRRI >ORUFI02G00460.1 pep chromosome:OR_W1943:2:252598:260041:1 gene:ORUFI02G00460 transcript:ORUFI02G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPFVADDQTDADFFDKLVDDDDDLSPAPAPAPVPAQQSAEAALLPALSDLSLADDDTDPSPAPPPVEAPPEGASPESGKGAVHTTVKQVQWASFGGAADDGADPFSDLSGGAADDGFLGTMAGNQSFQTSVIGSVGASAHGIFGGSQSLTAEVTDQDFFGGTSDQNTDTQQQQLEQSGSSAFDSTDPKYLESIYPGWKYDEATQQWYQVDNSDTHGNATQVDTSTENIQQQQLAASYMHNPTQSSLETIAEEGTTTGSVSTWGQGDTSEYPPNMVFYAEYPGWYFDTNTQQWQSLESYQQAVTASAVQDGANNGVVASSAETNYSVKQTEDLPAHNQVAQHNSFSNNYSYQSQWQTNSFSNSMQPESATASLPDSFQSLGQHAISESFNSSTNSQVSFNTAETATSHYGNVNLDSSSTQGGYTASGGQQTGYKGFEPFIGHQAGYKGFEPSTGHQTSHKLFDPSAGNQNSYKPFEPSTGHHQHKGFEPSTNHQGDYKAFEPSTHNQGGYKGFSPSTVQQPGYKGFEASTGLQTNFKGFEPYSGQQAGYTGSLPSTGHQSSYMGFETSSNQGYGDANNVANSQGFVPMESMYGSHNQAHTNPQVPLSNSYLSADNSKNFSQQQFLGPNASHLQFGQSPHEERSSAGRPPHALVAFGFGGKLILMKETNSMATNYDSGNQGNSSGTVSVLNLSEVVTDKADALSASNGSALSYFHALCRQPVPGPLVGGSAASKDVNKWLDDMIALYESSTSEFQRGDPRKLLISLLKILCHHYGKLRSPFASDPSHEDTDGPEMAVTKLFSSCKRSSFQMGDFGSHVRCMKNIPSENQMQAVAQEVQNLLVSGRRKEALQCAQEGQLWGPAIILALQLGDKFYVDTVKKMAHCHFLSGSPLRTLCLLIAGQPADVFNADNNISSNYGSQQPMEPSPNGMLDDWEENLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLVAELNIDPYSESARLCLLGADHLKCPRTFASPEAIQRTEIYEYAKVLGNSQYILLPFQPYKLIYAYMLAEVGRVADSLRYCQASMKVLKASGRAPELEAWKQLFSTLEDRIRTHQQGGYGTNLAPAKLVGKIFTSLDKSISRMMGTPSAPLPPLPQGAVSDRESYTAPGATKFANSQSVMTMSSLMPSASVQSMSEMSDSGRKIAHNRSVSEPDFGRTPKQGAGSDSTQSTAPGSGSSRFGWLGSTLQKTMGFVSKSHRQAKLGQQNKFYYDEKLKRWVEEGAEIPAEEPPLPPPPSKPSFQNGVVDYKLNGPMSASHTPNGFMEGKSSTSSEHGSGMPPIPPSQNQFSARGRMGVRSRYVDTFNKGGGGGAVPSYNKPAVASVTPPSGAKFFVPTAAVVAAEQMPNQTAETHGETFRPDERSSPAETSFSSPPPATQFSAPLMVPTIQRYPSMDNITTPNNGSGLSSGSNSSSFSRSRAASWSGTYSEQINAVAGARSPDQQTMPSPLMPGKQSHSRSNSNSSVQFNSLTEDLHEVEL >ORUFI02G00470.1 pep chromosome:OR_W1943:2:260827:267051:1 gene:ORUFI02G00470 transcript:ORUFI02G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAHLLRHSRKLRTLRDALDYDRSALVRYFSAASASFPTKGGAAAGVEKRIGGARFPQCKQPGKELETFKVSLGGLNGRYACRRAPNNCIPTTITGLNGSLSCGQVSSARSFSSSADLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAVTVEEEGDLEKFKDYKPSTSAAPAAPSEPKAQPEPAEPKVKETEPSRTPEPKAPKTEEASQPGGRIFSSPLARKLAEDNNVPLSSVMGTGPDGRILKADIEDYLASVAKGGKREALAAPGLSYTDVPNTQIRKVTANRLLSSKQTIPHYYLTVDARVDNLIKLRGELNPLQESSGGKKISINDLVIKAAALALRKVPQCNSSWMSDFIRQYHNVNINVAVQTEHGLFVPVIRDADKKGLGTIAEEVKQVAQRARDNSLKPEDYEGGTFTISNLGGPFGIKQFCAIINPPQSAILAIGTAEKRVIPGSVDGQYEFGSFMSATMSCDHRVIDGAIGAEFLKAFKGYIENPNSMLL >ORUFI02G00470.2 pep chromosome:OR_W1943:2:260827:267823:1 gene:ORUFI02G00470 transcript:ORUFI02G00470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAHLLRHSRKLRTLRDALDYDRSALVRYFSAASASFPTKGGAAAGVEKRIGGARFPQCKQPGKELETFKVSLGGLNGRYACRRAPNNCIPTTITGLNGSLSCGQVSSARSFSSSADLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAVTVEEEGDLEKFKDYKPSTSAAPAAPSEPKAQPEPAEPKVKETEPSRTPEPKAPKTEEASQPGGRIFSSPLARKLAEDNNVPLSSVMGTGPDGRILKADIEDYLASVAKGGKREALAAPGLSYTDVPNTQIRKVTANRLLSSKQTIPHYYLTVDARVDNLIKLRGELNPLQESSGGKKISINDLVIKAAALALRKVPQCNSSWMSDFIRQYHNVNINVAVQTEHGLFVPVIRDADKKGLGTIAEEVKQVAQRARDNSLKPEDYEGGTFTISNLGGPFGIKQFCAIINPPQSAILAIGTAEKRVIPGSVDGQYEFGSFMSATMSCDHRVIDGAIGAEFLKAFKGYIENPNSMLL >ORUFI02G00480.1 pep chromosome:OR_W1943:2:268354:271301:1 gene:ORUFI02G00480 transcript:ORUFI02G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKTTIDHFHFHFQERSTSPLAFHPVSEGGRSRSRSRDMKKASSLSELGFDAEGASSGFFRPVADGGSTPTSHRRRLTKISVIGAGNVGMAIAQTILTRDMADEIALVDAVPDKLRGEMLDLQHAAAFLPRVRLVSDTDLAVTRGSDLAIVTAGARQIPGESRLNLLQRNVALFRKIVPALAEHSPEALLLIVSNPVDVLTYVAWKLSGFPASRVIGSGTNLDSSRFRFLLAEHLQVNAQDVQAYMVGEHGDSSVAIWSSMSVAGMPVLKSLRESHQSFDEEALEGIRRAVVDSAYEVISLKGYTSWAIGYSVASLAASLLRDQHRIHPVSVLASGFHGIPQDHEVFLSLPARLGRAGVLGVAEMELTEEEARRLRRSAKTLWENCQLLDL >ORUFI02G00490.1 pep chromosome:OR_W1943:2:272110:274860:1 gene:ORUFI02G00490 transcript:ORUFI02G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHHLFRFLAADDHPFFPTFPTSSSSFLLDDTHPFFFPPSSSCPLGFTSPPSSSCPLGFTSSYDLDAAFHHHLDLDLDLDLFLPTPTPPVTTSCPALRDPFLLHHSLAHRVSALELAAPRSKYTYEAESAGRKIKWTTHDKPDGDRTFKWEAQIDTPNDDGFDRKWKWESKASAAGTTKLKWAKEVKGKGFLHPWSHAYSVEEVFGDDDHHHKADKTAENKVKQHNKDTSAKEEKKKTNKSNVQIVEIDDNTAGCVAINKAFASSYAKGKRKQLSPQDAALLIQMNYRAHLAHRSQVLRCLRHLAVAKAKLKDIRSLFYNISYRRRISHDSEERQRFADKIIALLATVDALEGPDYMVRNAKRSMLEELEGMLEIVGPQSLGKPRTLSRRKFENHI >ORUFI02G00500.1 pep chromosome:OR_W1943:2:274818:288072:-1 gene:ORUFI02G00500 transcript:ORUFI02G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMLPPPALRAPSAWEQATTSSSIGRSAMEESCSSNWPATPCAAATTADKSNCTVGSTNNSPSEQVGHGDSLIYSLPYLPEDIWRHIHSLMPMSAAARAACLSHSFLNSWRFHPNLSLNFKTLCPRTSRGNFKCKIDSILRNHLGTAKILKLNVADEDSTYPYIDRWLEGLKSSLLRYIKSDELESLLLNSLVLEQLRLNVCNKISFLKIPCVLQHLSCLSVMACRRMQVIVCEAPNLSSISLSGGIKFSLGETLTMKVLSMIRPNVVCYARAQLPSIMPNLESMVLSSDSEAVNIPMLPTKFLCLKHLTIQIARGTFSPSYDYFFLVSFLHASPSLETLYLDVFQEDMRHESIVEDSSAHLRQLPELSHECLKSVEIIGFNSAKSLVELTCCIVKAAASLERLVLDTLRGGDRCSGESNGKICWPVSNAVLKESARAAIAVRKVSSSQLGVMSSLVCQDDMAHESIIESSSPHLRQLPELSHDCLKSVKILGFNSAKSLVELTCGIVKAAVSLERLVLDTLRGGDDRCCGESNGKICWPVSNAVLKESARAAIAVRRYIEDKVSPITTLTLVEPCTRFKRHGGEEAMGLGGGRNRKEGGWVREGDVEETWGWMGMVQSAMVAQAKAETRSSGRTSLEQSGETTGWVTKVLELGIDWGGRSQAFILQELSVLDVAGNAEAWQHAARLDASVEKHHANMHTIW >ORUFI02G00500.2 pep chromosome:OR_W1943:2:274818:287006:-1 gene:ORUFI02G00500 transcript:ORUFI02G00500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAVQREQRWQNLALAPALPMSKPQLPLPPSPVSDKSNCTVGSTNNSPSEQVGHGDSLIYSLPYLPEDIWRHIHSLMPMSAAARAACLSHSFLNSWRFHPNLSLNFKTLCPRTSRGNFKCKIDSILRNHLGTAKILKLNVADEDSTYPYIDRWLEGLKSSLLRYIKSDELESLLLNSLVLEQLRLNVCNKISFLKIPCVLQHLSCLSVMACRRMQVIVCEAPNLSSISLSGGIKFSLGETLTMKVLSMIRPNVVCYARAQLPSIMPNLESMVLSSDSEAVNIPMLPTKFLCLKHLTIQIARGTFSPSYDYFFLVSFLHASPSLETLYLDEDMRHESIVEDSSAHLRQLPELSHECLKSVEIIGFNSAKSLVELTCCIVKAAASLERLVLDTLRGGDRCSGESNGKICWPVSNAVLKESARAAIAVRKVSSSQLGVMSSLVCQDDMAHESIIESSSPHLRQLPELSHDCLKSVKILGFNSAKSLVELTCGIVKAAVSLERLVLDTLRGGDDRCCGESNGKICWPVSNAVLKESARAAIAVRRYIEDKVSPITTLTLVEPCTRFKRHGGEEAMGLGGGRNRKEGGWVREGDVEETWGWMGMVQSAMVAQAKAETRSSGRTSLEQSGETTGWVTKVLELGIDWGGRSQAFILQELSVLDVAGNAEAWQHAARLDASVEKHHANMHTIW >ORUFI02G00500.3 pep chromosome:OR_W1943:2:274818:287006:-1 gene:ORUFI02G00500 transcript:ORUFI02G00500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAVQREQRWQNLALAPALPMNKSNCTVGSTNNSPSEQVGHGDSLIYSLPYLPEDIWRHIHSLMPMSAAARAACLSHSFLNSWRFHPNLSLNFKTLCPRTSRGNFKCKIDSILRNHLGTAKILKLNVADEDSTYPYIDRWLEGLKSSLLRYIKSDELESLLLNSLVLEQLRLNVCNKISFLKIPCVLQHLSCLSVMACRRMQVIVCEAPNLSSISLSGGIKFSLGETLTMKVLSMIRPNVVCYARAQLPSIMPNLESMVLSSDSEAVNIPMLPTKFLCLKHLTIQIARGTFSPSYDYFFLVSFLHASPSLETLYLDEDMRHESIVEDSSAHLRQLPELSHECLKSVEIIGFNSAKSLVELTCCIVKAAASLERLVLDTLRGGDRCSGESNGKICWPVSNAVLKESARAAIAVRKVSSSQLGVMSSLVCQDDMAHESIIESSSPHLRQLPELSHDCLKSVKILGFNSAKSLVELTCGIVKAAVSLERLVLDTLRGGDDRCCGESNGKICWPVSNAVLKESARAAIAVRRYIEDKVSPITTLTLVEPCTRFKRHGGEEAMGLGGGRNRKEGGWVREGDVEETWGWMGMVQSAMVAQAKAETRSSGRTSLEQSGETTGWVTKVLELGIDWGGRSQAFILQELSVLDVAGNAEAWQHAARLDASVEKHHANMHTIW >ORUFI02G00500.4 pep chromosome:OR_W1943:2:274818:288072:-1 gene:ORUFI02G00500 transcript:ORUFI02G00500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMLPPPALRAPSAWEQATTSSSIGRSAMEESCSSNWPATPCAAATTADKSNCTVGSTNNSPSEQVGHGDSLIYSLPYLPEDIWRHIHSLMPMSAAARAACLSHSFLNSWRFHPNLSLNFKTLCPRTSRGNFKCKIDSILRNHLGTAKILKLNVADEDSTYPYIDRWLEVAVTPGIEELTLTLHKKYIFACSLLSDGVRDSIRCLQLTFCAFHPMAELGPLRSLTKLHLCGVHITGDELESLLLNSLVLEQLRLNVCNKISFLKIPCVLQHLSCLSVMACRRMQVIVCEAPNLSSISLSGGIKFSLGETLTMKVLSMIRPNVVCYARAQLPSIMPNLESMVLSSDSEAVNIPMLPTKFLCLKHLTIQIARGTFSPSYDYFFLVSFLHASPSLETLYLDVFQEDMRHESIVEDSSAHLRQLPELSHECLKSVEIIGFNSAKSLVELTCCIVKAAASLERLVLDTLRGGDRCSGESNGKICWPVSNAVLKESARAAIAVRKVSSSQLGVMSSLVCQDDMAHESIIESSSPHLRQLPELSHDCLKSVKILGFNSAKSLVELTCGIVKAAVSLERLVLDTLRGGDDRCCGESNGKICWPVSNAVLKESARAAIAVRRYIEDKVSPITTLTLVEPCTRFKRHGGEEAMGLGGGRNRKEGGWVREGDVEETWGWMGMVQSAMVAQAKAETRSSGRTSLEQSGETTGWVTKVLELGIDWGGRSQAFILQELSVLDVAGNAEAWQHAARLDASVEKHHANMHTIW >ORUFI02G00500.5 pep chromosome:OR_W1943:2:274818:287006:-1 gene:ORUFI02G00500 transcript:ORUFI02G00500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAVQREQRWQNLALAPALPMSKPQLPLPPSPVSDKSNCTVGSTNNSPSEQVGHGDSLIYSLPYLPEDIWRHIHSLMPMSAAARAACLSHSFLNSWRFHPNLSLNFKTLCPRTSRGNFKCKIDSILRNHLGTAKILKLNVADEDSTYPYIDRWLEVAVTPGIEELTLTLHKKYIFACSLLSDGVRDSIRCLQLTFCAFHPMAELGPLRSLTKLHLCGVHITGDELESLLLNSLVLEQLRLNVCNKISFLKIPCVLQHLSCLSVMACRRMQVIVCEAPNLSSISLSGGIKFSLGETLTMKVLSMIRPNVVCYARAQLPSIMPNLESMVLSSDSEAVNIPMLPTKFLCLKHLTIQIARGTFSPSYDYFFLVSFLHASPSLETLYLDEDMRHESIVEDSSAHLRQLPELSHECLKSVEIIGFNSAKSLVELTCCIVKAAASLERLVLDTLRGGDRCSGESNGKICWPVSNAVLKESARAAIAVRKVSSSQLGVMSSLVCQDDMAHESIIESSSPHLRQLPELSHDCLKSVKILGFNSAKSLVELTCGIVKAAVSLERLVLDTLRGGDDRCCGESNGKICWPVSNAVLKESARAAIAVRRYIEDKVSPITTLTLVEPCTRFKRHGGEEAMGLGGGRNRKEGGWVREGDVEETWGWMGMVQSAMVAQAKAETRSSGRTSLEQSGETTGWVTKVLELGIDWGGRSQAFILQELSVLDVAGNAEAWQHAARLDASVEKHHANMHTIW >ORUFI02G00500.6 pep chromosome:OR_W1943:2:274818:287006:-1 gene:ORUFI02G00500 transcript:ORUFI02G00500.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAVQREQRWQNLALAPALPMSKPQLPLPPSPVSDKSNCTVGSTNNSPSEQVGHGDSLIYSLPYLPEDIWRHIHSLMPMSAAARAACLSHSFLNSWRFHPNLSLNFKTLCPRTSRGNFKCKIDSILRNHLGTAKILKLNVADEDSTYPYIDRWLEVAVTPGIEELTLTLHKKYIFACSLLSDGVRDSIRCLQLTFCAFHPMAELGPLRSLTKLHLCGVHITGDELESLLLNSLVLEQLRLNVCNKISFLKIPCVLQHLSCLSVMACRRMQVIVCEAPNLSSISLSGGIKFSLGETLTMKVLSMIRPNVVCYARAQLPSIMPNLESMVLSSDSEAVNIPMLPTKFLCLKHLTIQIARGTFSPSYDYFFLVSFLHASPSLETLYLDVCQDDMAHESIIESSSPHLRQLPELSHDCLKSVKILGFNSAKSLVELTCGIVKAAVSLERLVLDTLRGGDDRCCGESNGKICWPVSNAVLKESARAAIAVRRYIEDKVSPITTLTLVEPCTRFKRHGGEEAMGLGGGRNRKEGGWVREGDVEETWGWMGMVQSAMVAQAKAETRSSGRTSLEQSGETTGWVTKVLELGIDWGGRSQAFILQELSVLDVAGNAEAWQHAARLDASVEKHHANMHTIW >ORUFI02G00500.7 pep chromosome:OR_W1943:2:274818:288072:-1 gene:ORUFI02G00500 transcript:ORUFI02G00500.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMLPPPALRAPSAWEQATTSSSIGRSAMEESCSSNWPATPCAAATTADKSNCTVGSTNNSPSEQVGHGDSLIYSLPYLPEDIWRHIHSLMPMSAAARAACLSHSFLNSWRFHPNLSLNFKTLCPRTSRGNFKCKIDSILRNHLGTAKILKLNVADEDSTYPYIDRWLEVAVTPGIEELTLTLHKKYIFACSLLSDGVRDSIRCLQLTFCAFHPMAELGPLRSLTKLHLCGVHITGDELESLLLNSLVLEQLRLNVCNKISFLKIPCVLQHLSCLSVMACRRMQVIVCEAPNLSSISLSGGIKFSLGETLTMKVLSMIRPNVVCYARAQLPSIMPNLESMVLSSDSEAVNIPMLPTKFLCLKHLTIQIARGTFSPSYDYFFLVSFLHASPSLETLYLDLPELSHDCLKSVKILGFNSAKSLVELTCGIVKAAVSLERLVLDTLRGGDDRCCGESNGKICWPVSNAVLKESARAAIAVRRYIEDKVSPITTLTLVEPCTRFKRHGGEEAMGLGGGRNRKEGGWVREGDVEETWGWMGMVQSAMVAQAKAETRSSGRTSLEQSGETTGWVTKVLELGIDWGGRSQAFILQELSVLDVAGNAEAWQHAARLDASVEKHHANMHTIW >ORUFI02G00500.8 pep chromosome:OR_W1943:2:274818:287006:-1 gene:ORUFI02G00500 transcript:ORUFI02G00500.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAVQREQRWQNLALAPALPMSKPQLPLPPSPVSDKSNCTVGSTNNSPSEQVGHGDSLIYSLPYLPEDIWRHIHSLMPMSAAARAACLSHSFLNSWRFHPNLSLNFKTLCPRTSRGNFKCKIDSILRNHLGTAKILKLNVADEDSTYPYIDRWLEVAVTPGIEELTLTLHKKYIFACSLLSDGVRDSIRCLQLTFCAFHPMAELGPLRSLTKLHLCGVHITGDELESLLLNSLVLEQLRLNVCNKISFLKIPCVLQHLSCLSVMACRRMQVIVCEAPNLSSISLSGGIKFSLGETLTMKVLSMIRPNVVCQDDMAHESIIESSSPHLRQLPELSHDCLKSVKILGFNSAKSLVELTCGIVKAAVSLERLVLDTLRGGDDRCCGESNGKICWPVSNAVLKESARAAIAVRRYIEDKVSPITTLTLVEPCTRFKRHGGEEAMGLGGGRNRKEGGWVREGDVEETWGWMGMVQSAMVAQAKAETRSSGRTSLEQSGETTGWVTKVLELGIDWGGRSQAFILQELSVLDVAGNAEAWQHAARLDASVEKHHANMHTIW >ORUFI02G00500.9 pep chromosome:OR_W1943:2:287093:288072:-1 gene:ORUFI02G00500 transcript:ORUFI02G00500.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMLPPPALRAPSAWEQATTSSSIGRSAMEESCSSNWPATPCAAATTAVKSSSNRIKNELERKPETELSY >ORUFI02G00510.1 pep chromosome:OR_W1943:2:278822:282402:1 gene:ORUFI02G00510 transcript:ORUFI02G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLSGLAEVVREVGVAPGPRRVVIISDIQAGDAAVIDELMAIQLGVDASWEFVNRERLRRMGRTYNLLKMVEAVLPGLIDDPYHASDFLRDMEHKIRTRATFSGVSFRVLAVEFCNLLRDYNDRIIADPPVSTREELQDHINELIAQLDDLLHQTCTLRQDDPVGGGMNIKSDCDEPSPHCSCVGQTDISWVTYFWNSVEFELTYCLAVGGGMKIKADCDESSPYAAMLVSQDVALCCKYRTNGQILGDCFLEFCLIMLCAAGGNKTNTPGPDAQSALRALALARSGMNIGRIGSRSQLLLVCCPDLVSCDLLNDDGPVC >ORUFI02G00520.1 pep chromosome:OR_W1943:2:288735:290921:-1 gene:ORUFI02G00520 transcript:ORUFI02G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTGENYRLLYDTKGRFRLQSVKDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPIIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHQFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAANA >ORUFI02G00520.2 pep chromosome:OR_W1943:2:288735:290921:-1 gene:ORUFI02G00520 transcript:ORUFI02G00520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTGENYRLLYDTKGRFRLQSVKDEDAKFGQKGIPYLNTYDGRTIRYPDPIIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHQFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAANA >ORUFI02G00530.1 pep chromosome:OR_W1943:2:310234:314352:1 gene:ORUFI02G00530 transcript:ORUFI02G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSNTSISNTRNRNSNWIGGTINTSSDPSPIPHTTQTQTNLLFSSPPFFFLVLILYPSRGDATIMETRDDVADASALPYSYSPLPAGDAASADLAAARRSRRRPLCVALFLASAAVILAVAVLSGVRLAGRPATTTMVVPGVVEMEMASRGPESGVSEKTSGAEEMVRLMGGAAGGEAFPWSNAMLQWQRTGFHFQPERNWMNDPNGPVYYKGWYHLFYQYNPDGAVWGNKIAWGHAVSRDLVHWRHLPLAMVPDQWYDVNGVWTGSATTLPDGRLAMLYTGSTNASVQVQCLAVPSDPDDPLLTNWTKYHANPVLYPPRTIGDRDFRDPTTAWRDPSDGDWRIVIGSKDEHHAGIAVVYRTADFVTYDLLPGLLHRVEATGMWECIDFYPVAGGEGVDMTEAMYARNKGVVHVMKASMDDDRHDYYALGRYDPARNAWTPLDAAADVGIGLRYDWGKFYASKTFYDPAKRRRVLWGWVGETDSERADVAKGWASLQSIPRTVELDTKTGSNLLQWPVEEVETLRTNSTDFGGITVDYASVFPLNLHRATQLDILAEFQLDPLAVDAVLEADVGYNCSTSGGAAGRGALGPFGLLVLADKRHRGDGEQTAVYFYVAKGSDGGVTTHFCQDESRSSHADDIVKRVVGNVVPVLDGETFSLRVLVDHSIVESFAQGGRSTATSRVYPTEAIYANAGVFLFNNATSARVTAKKLVVHEMDSSYNQAYMA >ORUFI02G00540.1 pep chromosome:OR_W1943:2:318310:321418:-1 gene:ORUFI02G00540 transcript:ORUFI02G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPNLFTRNALLSALAHSRLVPDMERLFASMPERDAVSYNALITGFSSTGSPARSVQLYRALLREESVRPTRITLSAMIMVASALSDRALGHSVHCQVLRLGFGAYAFVGSPLVDMYAKMGLIRDARRVFQEMEAKTVVMYNTLITGLLRCKMIEDAKGLFQLMVDRDSITWTTMVTGLTQNGLQLEALDVFRRMRAEGVGIDQYTFGSILTACGALAALEEGKQIHAYITRTCRIYEKLEWLNSKMAEEGYKPDVSSVLHDVADADKVHMISHHSEKLAIAFGLIFVPQEMPIRIVKNLRGNLVYLTLQRRLSREEFKEVAIHTQRHWLGCKD >ORUFI02G00550.1 pep chromosome:OR_W1943:2:323183:324309:-1 gene:ORUFI02G00550 transcript:ORUFI02G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPHDQHEHEPDHAHRSPSNGTAATSTIATNRWGPYSGAGDFASNMAVILAALLAALALALALNAAVRYLLRRHRRARQQPAAAAAAAEDPEKPPVQEADPPPPPPALVYSAAGTKLAGAAECAICLAEFVDGDTVRVMPCWCQEIPLESGLRFAQIKVYQTGPFNVEAANLLPTPGICTTCRFVYRGVVKHLHFLYGDWRDILACFVKGKKNTNS >ORUFI02G00560.1 pep chromosome:OR_W1943:2:329201:333371:1 gene:ORUFI02G00560 transcript:ORUFI02G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSCPICNVQVLTAELQWHANAHFDDDQLQTDMELAHQIALTQSTPNPLLLDTHQECNNLFAGDPHAQGASSSIAGSSSLQGSVLDEQVSCLLQAQLRSNVQEIQGGVMNLLRACLESEAGSSTSMISGHVDHYQSLSSEDKGWGCGWRNIQMLSSHLLKQRPEAREALFGGSGFVPDIPSLQRWLEIAWDKKFDTLGSSHFHNKVYGAKKWIGTTECAALLRSFGLRARVVDFDSTESSGPHSKNGKHAPKRVQGPMDKFLIKNVSPTSTSSELCREDAENMRGQQVLVDWIWNYFASRHSDNSDSSRRVLVSDKTPLYFQHQGHSRTIVGIQKQNGQRGNQDRFTLLILDPGHRTSDLERALRSKTGWQRLVKRGVHTLRKPQYQLCYVDPGIASSEEMEQLKTIDSILVRF >ORUFI02G00560.2 pep chromosome:OR_W1943:2:329201:333000:1 gene:ORUFI02G00560 transcript:ORUFI02G00560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSCPICNVQVLTAELQWHANAHFDDDQLQTDMELAHQIALTQSTPNPLLLDTHQECNNLFAGDPHAQGASSSIAGSSSLQGSVLDEQVSCLLQAQLRSNVQEIQGGVMNLLRACLESEAGSSTSMISGHVDHYQSLSSEDKGWGCGWRNIQMLSSHLLKQRPEAREALFGGSGFVPDIPSLQRWLEIAWDKKFDTLGSSHFHNKVYGAKKWIGTTECAALLRSFGLRARVVDFDSTESSGPHSKNGKHAPKRVQGPMDKFLIKNVSPTSTSSELCREDAENMRGQQVLVDWIWNYFASRHSDNSDSSRRVLVSDKTPLYFQHQGHSRTIVGIQKQNGQRGNQDRFTLLILDPGHRTSDLERALRSKTGWQRLVKRGVHTLRKPQYQLCYVDPGIASSEEMEQLKTIDSILVRF >ORUFI02G00560.3 pep chromosome:OR_W1943:2:329201:331951:1 gene:ORUFI02G00560 transcript:ORUFI02G00560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISSCPICNVQVLTAELQWHANAHFDDDQLQTDMELAHQIALTQSTPNPLLLDTHQECNNLFAGDPHAQGASSSIAGSSSLQGSVLDEQVSCLLQAQLRSNVQEIQGGVMNLLRACLESEAGSSTSMISGHVDHYQSLSSEDKGWGCGWRNIQMLSSHLLKQRPEAREALFGGSGFVPDIPSLQRWLEIAWDKKFDTLGSSHFHNKVYGAKKWIGTTECAALLRSFGLRARVVDFDSTESSGPHSKNGKHAPKRVQGPMDKFLIKNVSPTSTSSELCREDAENMRGQQVLVDWIWNYFASRHSDNSDSSRRVLVSDKTPLYFQHQGHSRTIVGIQKQNGQRGNQDRFTLLILDPGHRTSDLERALRSKTGWQRLVKRGVHTLRKPQYQLCYVDPGIASSEEMEQLKTIDSILVRF >ORUFI02G00560.4 pep chromosome:OR_W1943:2:329201:331669:1 gene:ORUFI02G00560 transcript:ORUFI02G00560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MISSCPICNVQVLTAELQWHANAHFDDDQLQTDMELAHQIALTQSTPNPLLLDTHQECNNLFAGDPHAQGASSSIAGSSSLQGSVLDEQVSCLLQAQLRSNVQEIQGGVMNLLRACLESEAGSSTSMISGHVDHYQSLSSEDKGWGCGWRNIQMLSSHLLKQRPEAREALFGGSGFVPDIPSLQRWLEIAWDKKFDTLGSSHFHNKVYGAKKWIGTTECAALLRSFGLRARVVDFDSTESSGPHSKNGKHAPKRVQGPMDKFLIKNVSPTSTSSELCREDAENMRGQQVLVDWIWNYFASRHSDNSDSSRRVLVSDKTPLYFQHQGHSRTIVGIQKQNGQRGNQDRFTLLILDPGHRTSDLERALRSKTGWQRLVKRGVHTLRKPQYQLCYVDPGIASSEEMEQLKTIDSILVRF >ORUFI02G00570.1 pep chromosome:OR_W1943:2:334746:340938:1 gene:ORUFI02G00570 transcript:ORUFI02G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGDALESQAAAAAEAARELREAAASLVARRSADEDALRRRATALDADLRRLQASLSTLAPTTLDKVEEELERARVTISDSDVAAFLPSKRNGKFLKTFVGPVNVRVARKEDKLRVKDEYNNYRDRAAYMFLLFPSILLLLRWWIWDGCLPALAVQMYQAWLLFLYTSFALRENVLIVNGSDIRPWWIYHHYLAMLMALVSLTWEIKGQPDCSSKQRGVQLFLRWAIMQGIAMHLQNRYQRQRLRTRIALGKAKRMDVVAGETAGVEGQLLLLYPVLFTLQVVVCGILLVVMAVGNFVNTMETLMLKLRFKAKMKRAKSRQDLSRQHQN >ORUFI02G00580.1 pep chromosome:OR_W1943:2:340505:342659:-1 gene:ORUFI02G00580 transcript:ORUFI02G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAMALAVLFFCLLLLSSAAITFLLLRHCLSGLRRRHRAAAAADVDAEAAEGGAGARQLMPPCSPPPQQELPLLRPAAKLVPSTKEEGEPWKLTWREVEALTGGFDEAAVVGRGGSSTVYLASSCRVAVVSPPVAVKVHRWCGGERWLRAFRQELDLLRRLRHPHIVSLLAYSDDHEEGGALVLEYLSGGTLADRLHGGASPPLPWRHRMRVVHDVAGALEHLHDGAPPVVHGDVSASNVLLDGRGLGARLCDLGSACEGFSAAVAPTRAAVGSPGYVDPFFLRTGIVSKKSDVYSFGVLLLEAITGSPAAGIPGPDGGAGGGNLTARLLPRVRTEGVDGLADRRLGDDYDAAEAGDVARIAVECLAAQPGLRPTMAQVRAAIAEKAATSISIAHHDLHDASDST >ORUFI02G00590.1 pep chromosome:OR_W1943:2:346471:354906:1 gene:ORUFI02G00590 transcript:ORUFI02G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRIDPRSFGDRAVQAKPPELEEKLTKSRKKKAAASDPDDLHRRDAKRRRRAAAAQREVSVLSLTDDVVYKPQTKETRAAYEALLSVIQQQFGGQPLDVLGGAADEVLAVLKNDKIKSPDKKKEIEKLLNPISNQMFDQIVSIGKLITDFHDASAGDSAAAPSGDGMDTALDDDIGVAVEFEENEDDEESDFDQVQDDLDEDEDDDLPESNAPGAMQMGGELDDDDMQNSNEGLTINVQDIDAYWLQRKVSQAYEDIDPQHSQKLAEEILKIIAEGDDRDVENRLVMLLDYEKFDLIKLLLRNRLKIVWCTRLARAEDQEQRKKIEEDMMGNPTLTPILEQLHATRASAKERQKNLEKSIRDEAKRLTKSENTGIDGARDRRAVDRDMESGWLKGQRQLLDLDSLSFHQGGLLMANKKCELPPGSFRTPHKGYEEVHVPALKAKPYETGEKIVKISDMPEWAQPAFAKMTQLNRVQSKVYETALFKPDNILLCAPTGAGKTNVAVLTILQQIGLHMKDGVFDNTKYKIVYVAPMKALVAEVVGNLSARLSAYGITVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVSRTVRQIETTKEHIRLVGLSATLPNYEDVAVFLRVRSDGLFHFDNSYRPCPLAQQYIGITVRKPLQRFQLMNEICYEKVMASAGKHQVLIFVHSRKETAKTARAIRDTALANDTLNRFLKDDSASQEILGSQAELVKSSDLKDLLPYGFAIHHAGLARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQYYLSLMNQQLPIESQFISRLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADIMETDKTLDERRADLVHSAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVSVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMIDKQMWNVQTPLRQFPGIPKEILMKLEKKELAWERYYDLSSQEIGELIRFPKMGRQLHKCIHQLPKLNLSAHVQPITRTVLGFELTITPDFQWDDKVHGYVEPFWVIVEDNDGENILHHEYFMVKKQYVDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVTALRNARYEGLYSAFKHFNPIQTQVFTVLYNTDDSVLVAAPTGSGKTICAEFAILRNHQKAVSGESNMRVVYIAPIEALAKERYRDWEQKFGEFARVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKQVQQVSLFIVDELHLIGSEKGHVLEVIVSRMRRIASHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAITQHAKNGKPALVFVPTRKHARLTALDLCAYSSAEGGGTPFLLGSEDEMDAFTGGISDETLKYTLKCGVGYLHEGLSDLEQEVVTQLFLGGRIQVCVASSTVCWGRSLPAHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESHLHHFLHDHMNAEVVVGVIENKQDAVDYLTWTFMYRRLTKNPNYYNLQGVSHRHLSDHLSELVETVLNDLESSKCVAIEEDMYLKPLNLGLIASYYYISYTTIERFSSMLTQKTKMKGLLEILASASEYAELPSRPGEEDFIEKLVRHQRFSIEKPKYGDPHVKANALLQAHFSRHTILGNLAADQREILLSAHRLLQAMVDVISSNGWLTLALNAMELSQMVTQGMWDRDSVLLQLPHFTKELAQRCQENEGRPIESIFDLAEMSIAEMRDLLQQSNPQLQDIIEFFKRFPNVDMAYEVREGDDIRAGDNVTVQVTLERDMTNLPSEVGPVHAPRFPKPKEEGWWLVIGDSSTNQLLAIKRVALQKRARVKLEFTAASEAGRKEYMIYLMSDSYLGCDQEYEFTVDVMAGGD >ORUFI02G00600.1 pep chromosome:OR_W1943:2:356632:361768:1 gene:ORUFI02G00600 transcript:ORUFI02G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISPKEQLMFTGRGSVFAQSFLEEIYVMLLQGKCLHKPLSMDDMVVSALGRVHLRDGILMRPIAESRRAAIINSTWFEAMKLLKQMLAYANPHNFDLPPDFLHLCNTMENRHEPKSINIPYHASLLPLHSRQQALLDAYNLLARRMNFRYASWISCELPHRTEWPQVLHGNNFLQQWFRDKQWLNDDGTFKVPTGIEFLRAHRVLCCHSDDFARKGVCMYTWEQLHLLIHGKNPLVLPDLHLKLMRADRSPSMAAAEEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTTVAVSPSFPSDRMWLNGKVLLHLSALLLPTFFRLLRIDAYAFMSPDCFLQGSGSACRSIYGGFVKWCMGKNNDGSDSIAVQLADEAHWNDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTIVPERVLKMEEAIKSRNFESFARLTCADSNQFHAVCLDTSPPIFYMKDTSHRIISLVEKWNQSEGTPQVAYTFDAVPNAVLIAPNRKNSTLLLQKLLLGAGPKVVTDESLALIDSVTGLPKGV >ORUFI02G00600.2 pep chromosome:OR_W1943:2:356632:361768:1 gene:ORUFI02G00600 transcript:ORUFI02G00600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLISPKEQLMFTGRGSVFAQSFLEEIYVMLLQGKCLHKPLSMDDMVVSALGRVHLRDGILMRPIAESRRAAIINSTWFEAMKLLKQMLAYANPHNFDLPPDFLHLCNTMENRHEPKSINIPYHASLLPLHSRQQALLDAYNLLARRMNFRYASWISCELPHRTEWPQVLHGNNFLQQWFRDKQWLNDDGTFKVPTGIEFLRAHRVLCCHSDDFARKGVCMYTWEQLHLLIHGKNPLVLPDLHLKLMRADRSPSMAAAEEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTTVAVSPSFPSDRMWLNGKVLLHLSALLLPTFFRLLRIDAYAFMSPDCFLQGSGSACRSIYGGFVKWCMGKNNDGSDSIAVQLADEAHWNDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTIVPERVLKMEEAIKSRNFESFARIISLVEKWNQSEGTPQVAYTFDAVPNAVLIAPNRKNSTLLLQKLLLGAGPKVVTDESLALIDSVTGLPKGV >ORUFI02G00610.1 pep chromosome:OR_W1943:2:362165:362770:1 gene:ORUFI02G00610 transcript:ORUFI02G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKGISEGIDTVELEAREYRQSTQLVECGGGGEGPSRQEPPRCQRGQWSAAAAGKDFAGGNHHGIGKGRLRCSVAEVGRPRCREPPRRQQRLEKKSAPARASVGFGGGGRWGRQQLLRGQVECVAAVEGDDLGSGDGDWGRIRLQRRQRRSEAAATVHVRRSEEEPVSPREGEA >ORUFI02G00620.1 pep chromosome:OR_W1943:2:366384:366946:1 gene:ORUFI02G00620 transcript:ORUFI02G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFYPILQSFFPNLLCPQRLDFIRSSAYTLQSSRNQSKCSTVSGFIRGKCNACCKQLGFLGGKCNLLRGMLCLCCHEDDDQPPPSSSTHLPVAAIDHDHAANMP >ORUFI02G00630.1 pep chromosome:OR_W1943:2:367576:368724:-1 gene:ORUFI02G00630 transcript:ORUFI02G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRFVNLVVDTAGGGGDATAYKLYRVAASALFSCPARRQAAKVLDPDDVEDAAGGLPPPAITFHPSSLSGPGNVDFLYLYGSGGGSDGDDSLLALDVDGRGLLYSAASAAVRYMPDPCKPKIEPISFTADDGRLYVIERVPLSGNPGCFEALTYGLLPDDDDSLSSRMDWYWRSLPPPPFAKAGYDITASAVVQLNESKELWVTAAHGAGTFSFDTNSKVGEWRELGEKGMPFMGRGEYVEEHGQWFGLSSTPRLGLYLCSCDLSYLCCSYDAQVMVRCWLDGLDRLPAAAPPKRSLLMETYAVHLGSGRFCIARFMEEEEQHNISLHPFFRVAGEKSKNDRFLLLTGVDVVGSDDAVVVHKSIRYAFQNGDFVRGYSRVF >ORUFI02G00640.1 pep chromosome:OR_W1943:2:370932:371459:1 gene:ORUFI02G00640 transcript:ORUFI02G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGERGTCDNRESTELCGRVGGGEHQRDETMGAKGIVEGIDTVELEAREYRQSTQLVECGGGGGEGPSRQEPPRCQQGQWSAAAAGKDFAGGNHHSVGEGRLQCSAAEVGRPRRQEPPRRQRRLKKSAPARVAVGFGGGRRWGRRRLRRG >ORUFI02G00650.1 pep chromosome:OR_W1943:2:375130:378856:1 gene:ORUFI02G00650 transcript:ORUFI02G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTQRFWLRNNAAADSELERSFHLGQKAVGTIIGIYEYKTTRESHSMNSKMIRLFAPFPKFIPLLAVFIISCSLPLAISDDTDTDREALLCFKSQISDPNGSLSSWSNTSQNFCNWQGVSCNNTQTQLRVMVLNVSSKGLSGSIPPCIGNLSSIASLDLSRNAFLGKIPSELGRLGQISYLNLSINSLEGRIPDELSSCSNLQVLGLSNNSFEGEIPPSLTQCTRLQQVILYNNKLEGSIPTRFGTLPELKTLDLSNNALRGDIPPLLGSSPSFVYVDLGGNQLTGGIPEFLVNSSSLQVLRLTQNSLTGEIPPALFNSSTLTTIYLDRNNLVGSIPPITAIAAPIQYLTLEQNKLTGGIPASLGNLSSLVHVSLKANNLVGSIPKSLSKIPTLERLVLTYNNLTGHVPQAIFNISSLKYLSMANNSLIGQLPPDIGNRLPNLEALILSTTQLNGPIPASLRNMSKLEMVYLAAAGLTGIVPSFGSLPNLQDLDLGYNQLEAGDWSFLSSLANCTQLKKLALDANFLQGTLPSSVGNLPSQLNWLWLRQNKLSGTIPSEIGNLKSLSVLYLDENMFSGSIPPTIGNLSNLLVLSLAQNNLSGLIPDSIGNLAQLTEFHLDGNNFNGSIPSNLGQWRQLEKLDFSHNSFGGSLPSEVFNISSLSQSLDLSHNLFTGPIPLEIGNLINLGSISISNNRLTGEIPSTLGKCVLLEYLHMEGNLLTGSIPRSFMNLKSIKELDLSCNSLSGKVPEFLTLLSSLQKLNLSFNDFEGPIPSNGVFGNASRVILAGNYRLCANDPGYSLPLCPESGSQSKHKSTILKIVIPIAVSVVISLLCLMAVLIERRKQKPCLQQSSVNMRKISYEDIAKATDGFSPTNLVGLGSFGAVYNGMLPFETNPVAIKVSDLNKYGAPTSFNAECEALRYIRHRNLVKIITLCSTIDPNGYDFKALCFQYMPNGSLEMWLHPEDHGHGKKRFLTLGERISLALDIAYALDYLHNQCVSPVIHCDIKPSNVLLDLEMIAYVSDFGLARFMCANSTAAPGNSTSLADLKRSIGYIAPEYGMGGQISTKGDVYSYGVLLLEILTGKRPTDEKFNDGLSLHDRVDAAFPHRVTEILDPNMLHNDLDGGNSELMQSCLLPLVKVALMCSMASPKDRLGMAQVSTELHSIKQAFLELSSGGKVV >ORUFI02G00660.1 pep chromosome:OR_W1943:2:375856:376139:-1 gene:ORUFI02G00660 transcript:ORUFI02G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNVELLKRAGGISPLIAPKINIYKRRATAQQWWYVTSEGVVGEIQSFQLRKRSKSGGYTAFKLVVV >ORUFI02G00670.1 pep chromosome:OR_W1943:2:377488:379903:-1 gene:ORUFI02G00670 transcript:ORUFI02G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTACAASTICHPPSLSFSNPSTASSLSRRRGAAEEDETMGGAGAAEDGRFARPAPSSPRAKGQAIPWIIRTQSVVSGQDNPASITKDTI >ORUFI02G00680.1 pep chromosome:OR_W1943:2:380945:382888:-1 gene:ORUFI02G00680 transcript:ORUFI02G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLLPSSLSLALSPPPPPPPFFPPQWAPPVPGGGGPFAEHGVGYGSGPDGSHNPTVSSVHGNQYMPTGRHGMYRWPGRRT >ORUFI02G00690.1 pep chromosome:OR_W1943:2:384569:391868:-1 gene:ORUFI02G00690 transcript:ORUFI02G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWPLSPGKEILMRSEKAGYEKKDIHIDGIRQGEKRVNRSYKNQRIATRSSVTTLRKCTMEKMGMQKKLLSEGYLFLCHVGNVLVFLDQMAQEKPLSLTCLLWETLNGREHLLFYGRLRNLQGAPLSQAIEKSLKNVRLFAGGIADKLVSKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKDLWNAVKSAKQDRAIILTTHSMEEAEFLCDRIGIIANGSLQCIGNSKELKAKYGGSYVLTVTTATGEAEEEMRRLVQSISPTMNIVYHISGTQKFEMAKPMEHAKRRMNVLAWGLADTTNAGGCLHQSCQGE >ORUFI02G00700.1 pep chromosome:OR_W1943:2:392637:393735:-1 gene:ORUFI02G00700 transcript:ORUFI02G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHKEEAEQREATAVWHRVRGRGGDPVVIQGGVGAMRSVGRGGVGLEAISGEGCRWRRLGVGIDGSSGCAAVERCLVVLARNFADVSRSTTSLLSRSLPSKETRFLARARQRERLELALWRACLQLVVPSRPLQRRPRCRLPLRVADLDVAGELVAAPLRSVPPPPSSSEKLAGSMGKARRRRGARGRCRVRPRRRRLDPVGLGRIWPLSPASSPSPLGPPLHPALRGRQGRVAPVDDDRAAARRPRGRRGRAATSARKTPPRARCCGAG >ORUFI02G00710.1 pep chromosome:OR_W1943:2:395898:396157:-1 gene:ORUFI02G00710 transcript:ORUFI02G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKDLWNAVKSAKQDRAIILTSTALCFQL >ORUFI02G00720.1 pep chromosome:OR_W1943:2:408970:410058:-1 gene:ORUFI02G00720 transcript:ORUFI02G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSVVPERESGVSVVVAAVAAASTAKPVASAAEPVAAAAAEPDYMKEYRSELCKRLLYHRFNDLHPKRRF >ORUFI02G00730.1 pep chromosome:OR_W1943:2:419744:427883:1 gene:ORUFI02G00730 transcript:ORUFI02G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRFVNLVVDTAGVGADATACKLYRVAASALFSSPARRQAAAKELDPDDVDDAGGLPPPAITFHPSSLSGPGNVDFLHLPGDDSLLALDVDGRGLLYSAASAAVRYMPDPCKPKMEPISFTAGDSVLYVIERVPFSGNPGCFEALTYGLLPHDLDRMGWYWRSLPPPPFAKVGYDGDFRRHRQRREYDITASAVVNETELWVTAHGAGTFSFDTQVGEWRGRGEWRMPFKGRGEYVEEHGKWFGLSSTPLQGLHLCSCDLSHLCCSYDVPVVRRWLDGLDRLPAAAPPKQSFLMEAYAVHLGSGRFCIARFMEEEEEEEVEEEKDNISVHPFFHVAGVNNNKKKNDRFLLLTGVDVVACDDAVLVHKSIRYPFQNGDFVTLERDMTNLPSEVGPVHAPRYPKPKEEGWWLVIGDSSTNQLLAIKRVALQKRAREIKLSKGTSSNAKPTMEETWLFLLFSISLVAVLLATARRRRSSSIKARLPPGPSPLLFLAKFLRLRRSIFDLGPLLRDLHARHGPVISIRLFGTTLVFVADRRLAHRALVQGGSTFADRPPLPELGRLFTSDTRDINSSPYGPYWRLVRRNLASEALSSARVALFAPARRRARDVLVRGLRDRGGDGSRPVELRPLLRRAMFELLLYMSLGARLAPEALEEVERLELWMLRAFTSFPVFSFFPAITKRLFRNRWAAHVAVRRRVGEIYVPLINARRAGDGDGDDPPCYTDSLLQLRVAEEGDRPLTDDEIIALCSEFLNAGTDTTVTLVEWIMAELVNRPDIQAKVHDEVRRRPELTEADLQAMPYLKAVVLEGLRLHPPAQFLLPHGVQSDAEVGGYVVPRGAELNVWVAELGRDEVVWTAAREFMPERFMDGGEVEVDVTGSREITMMPFGVGRRMCPGYTVGMLHAEYLVGSLVRELEWLPETEGEAADMAEELDFTTVMKHPLRARVLPRPSSLY >ORUFI02G00730.2 pep chromosome:OR_W1943:2:419744:427883:1 gene:ORUFI02G00730 transcript:ORUFI02G00730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLPSEVGPVHAPRYPKPKEEGWWLVIGDSSTNQLLAIKRVALQKRAREIKLSKGTSSNAKPTMEETWLFLLFSISLVAVLLATARRRRSSSIKARLPPGPSPLLFLAKFLRLRRSIFDLGPLLRDLHARHGPVISIRLFGTTLVFVADRRLAHRALVQGGSTFADRPPLPELGRLFTSDTRDINSSPYGPYWRLVRRNLASEALSSARVALFAPARRRARDVLVRGLRDRGGDGSRPVELRPLLRRAMFELLLYMSLGARLAPEALEEVERLELWMLRAFTSFPVFSFFPAITKRLFRNRWAAHVAVRRRVGEIYVPLINARRAGDGDGDDPPCYTDSLLQLRVAEEGDRPLTDDEIIALCSEFLNAGTDTTVTLVEWIMAELVNRPDIQAKVHDEVRRRPELTEADLQAMPYLKAVVLEGLRLHPPAQFLLPHGVQSDAEVGGYVVPRGAELNVWVAELGRDEVVWTAAREFMPERFMDGGEVEVDVTGSREITMMPFGVGRRMCPGYTVGMLHAEYLVGSLVRELEWLPETEGEAADMAEELDFTTVMKHPLRARVLPRPSSLY >ORUFI02G00730.3 pep chromosome:OR_W1943:2:419744:421322:1 gene:ORUFI02G00730 transcript:ORUFI02G00730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRFVNLVVDTAGVGADATACKLYRVAASALFSSPARRQAAAKELDPDDVDDAGGLPPPAITFHPSSLSGPGNVDFLHLPGDDSLLALDVDGRGLLYSAASAAVRYMPDPCKPKMEPISFTAGDSVLYVIERVPFSGNPGCFEALTYGLLPHDLDRMGWYWRSLPPPPFAKVGYDGDFRRHRQRREYDITASAVVNETELWVTAHGAGTFSFDTQVGEWRGRGEWRMPFKGRGEYVEEHGKWFGLSSTPLQGLHLCSCDLSHLCCSYDVPVVRRWLDGLDRLPAAAPPKQSFLMEAYAVHLGSGRFCIARFMEEEEEEEVEEEKDNISVHPFFHVAGVNNNKKKNDRFLLLTGVDVVACDDAVLVHKSIRYPFQNGDFVRGYSRLF >ORUFI02G00740.1 pep chromosome:OR_W1943:2:428864:429996:1 gene:ORUFI02G00740 transcript:ORUFI02G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVNLVVDNAGGGGATAYKLYRVAASALFSSPPRRQAANVLDPDDVEDAAGGLPPPAITFHPSSLSVGPGNVDFLRLSGDDSLLALDVDGRGLLYNAASAAVRYMPDPCKPKMEPISFTTAGDSCLYVIERDGLVLAVSSAKAGYDGDVRRLRHRREYDITASAVVNETELWVTAHGAGTFSFDTQAGEWRARGEWRMPFMGRGEYVEEHGGWFGLSSTPVKGLHLCSCRLCSYDVPVVRRWLDGLDRLPAAAPPKRSFLMEAYAVHLGSGRFCIARFMEEEEEEEKDNISLHPFFRVAGEKSKNDRFLLLTGVDVVASDGAAVVVHKSIRYKFQNGDFVRGYSRLF >ORUFI02G00750.1 pep chromosome:OR_W1943:2:431138:431695:-1 gene:ORUFI02G00750 transcript:ORUFI02G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVALFLVTVLIASHGATMQWAAEAETCNYPIYKCEGVCYKRGKCNACCKQLGFLGGKCNLLRGMLCLCCHEDDDQPPPSSSSSTHLAIDHDHDHAADMP >ORUFI02G00760.1 pep chromosome:OR_W1943:2:433037:436235:-1 gene:ORUFI02G00760 transcript:ORUFI02G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFPSDRMWLNGKEISLSGGRFQSCLREIRKRAQDVEDEKKGIRIKKEDWGKLHVHIASYNNFPTAAGLASSAAGLACFVFTLGKLMNVKEDHGELSSIARQGSGSACRSIYGGFVKWCMGKNNDGSDSIAVQLADEAHWNDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTVVPERVLKMEEAIKSRNFESFARIISLVEKWNQSEGTPRVAYTFDAGPNAVLIAPNRKNATILLQKLLYYFPPQDNDLSSYMVGDKSILSDAGLKSIEDVEALPAPAETKMPSQKFKGDVSYFICSRLGAGPKVVTDESLALIDSVTGLPKGV >ORUFI02G00770.1 pep chromosome:OR_W1943:2:436329:438836:-1 gene:ORUFI02G00770 transcript:ORUFI02G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDLDAAALSRIEKKNNTALHLKTVMSNVQSQEGSTAYEKPFTEEMIMIDGGGGAARWPGKHASRFGLTLVFLGVSAPPSPISRPPASAAAGDCSRSPSLLPFR >ORUFI02G00780.1 pep chromosome:OR_W1943:2:438865:440124:-1 gene:ORUFI02G00780 transcript:ORUFI02G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADPPPPPTGMGFLGLLSFRRSATAVASFDPAQDDELQVLHALQAHVADRLAALSHHPPLLSLAFLSKLLDAVLSSDDAFREVLGIGPVAAALSRPPADRLAADLLDRTVKTLDILNAVSLTLASLRGSHRAALTAASCLLAPPLHRAHFGRARRAISRLFPDAAKLAAAPSPSCRAGPARALSFSVSRNWSSGRHVHAMAAHLAPPPQSPTSASPGAGCGLGLALYTMSSVLVFSMWALVAAVPCQDRSSAATNPPVAPPKQVQWAAPMCALQERIADEWRKKDKKGSSSGSAAATGLLAEMQAVERAARELSSLLEEVAEEEEEEQLVMGATDERARDVVERAEALAAACRALEEGLAPLERQVRAVFHRVVASRGEVVRIMEHSTRSNSSATASAAAAAAAASGSGAPPHHHSF >ORUFI02G00790.1 pep chromosome:OR_W1943:2:446285:454054:-1 gene:ORUFI02G00790 transcript:ORUFI02G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKRGGGRPRKAPEDAAAKENGEKTNKEKETQASPEENGAGQTQSSRTARKRRKGPVADPSSTELPPRKLRDRRNVPAVDYKENKHTKKMDGTSTMCHQCQRKDSGRVVRCRNGAEKNRRHRYCVKCIKRWYPHLTEDDFENCCPVCHNNCNCKTCLRTNVINKGDKEFADGKNKIKYSLRIARFLLPWLKQLHQEQMLEKSVEATIKGIDVTDLEVPQAQFNNDERIYCDNCRTSIVDFHRSCKSGHYDLCLSCCQELRQGLTTGTVVTCDTAVDVPEIEGKEGLQEGSSHSSAVGQGASDQQNDRLIGSAAPSEDCTPSLIWRAKSNGSIPCPPNAGGCGDCLLELRCLFKENFISDLLDKVNSVVNKETEQELGGSRCSCFTESGEVNNETSRKSACREDSNDNYIYCPTAREVQSGALDHFQQHWLNGQPVIVRDVLELTSGLSWEPMVMWRALREKRDKKEHERLSVIALDCLTWFEVDINIHMFFEGYSRGAVGSEDLPVLLKLKDWPQHSSFEERLPRHGAEFMSALPFREYTDPKSGPLNLAVKLPKHVKKPDLGPKTYIAYGVAQELGIGDSVTKIHCDMSDAVNILMHTDEVELKAERITAIEKKKESLRKDGKNLHVLRPDRDDDTSIALSESTEVPRSRGLENGSSIKQPAPNVAVMDQGGVHTDMVADEAEGNLSLSNGQSPNQSDAHNMDITFSKGETDHSICTINGGEEMGNGFGREDKCKSSHGVGSSESSDCQRRSRRRDACSSSATGEINETSMETNKFTISIEPKDDHPFVEGNQTEGGALWDIFRREDVSKLHDYLMKHAEEFRHYNYETVKQVSHPIHDQCFYLTNEHKRKLKEEHGIEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVQECIRLTEEFRLLPKGHRVNEDKLEVKKIALYALDQAIDDITGKSCNERTKDEGEEEASAPSVS >ORUFI02G00800.1 pep chromosome:OR_W1943:2:458165:468267:-1 gene:ORUFI02G00800 transcript:ORUFI02G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGPACAAATRFHCCCLIGRHGSGQLYNMGNPNDIEDLFGDSISSESESSPNDDEFCDNELLAYLALISFSQLSRVVTGKGLEVHAAVYMGDS >ORUFI02G00810.1 pep chromosome:OR_W1943:2:473868:476747:-1 gene:ORUFI02G00810 transcript:ORUFI02G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAAADNSPPVAAPPPRRLSSPLPRSRAPPSPSPSTSSRAKPRKVAAQPETDEAALDNPDLGPFLLKQARDAMVSGEGGGAARALEFAERAARALERRGEGAELELAMSLHVAAAIHCGLGRHADAIPVLERAVAVVTPPPPPPPPEGESSAEEQPPEDQQKGEEWALAAFSGWMQLGDTHAMLGRMDESIACYGKGLEIQMAALGERDPRVAETCRYLAEAHVQALQFDEAEKLCRKALEIHREHSAPASLEEASDRRLMALILDAKGDYDGALEHLVLASMTMVANGRDIEVATIDVAIGNTYLALARFDEAVFSYQKALTVLKSARGDDHPSVASVFVRLADLYHRTGRLRESKSYCENALRVYAKPAPGAAPDEVAGGLMEIAAIYEALGDLDEALKLLQRALKLLEDSPGQWSTVAGIEAQMGVLYYMVGRYADSRNSFENAVAKLRASGERKSAFFGVLLNQMGLACVQLFKIDEAAQLFEEARAVLEQECGASHPDTLGVYSNLAAIYDAMGRVEDAIEILEHVLKVREEKLGTANPDVEDEKLRLAELLKEAGRSRNRKQKSLENLFVTNSQRVKKDAGRRWSNFGFRS >ORUFI02G00820.1 pep chromosome:OR_W1943:2:478770:487013:1 gene:ORUFI02G00820 transcript:ORUFI02G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLLLHRHHHRLSPRFAPSRSPSPRTLSLHPAPRLRLRLRLRASARGGGGSTRDHDDGARSIPIARCYEAALARLDLSGTARREQAVAAAAAADGGAAAEAHLAAVADAMVMEAFLPGPDGARRSAMSTRLILQANEVTEKASKLKKDLGAEFFSENEPDAESVLAMAFKQVVMDQLTNFRLEVFSPGSEIDLQDLRKPRKVPADFSIRSSDEKLLAALAEAIFSCVIEDARNNYLGGTGGLFHKWKSNCSLDSSVCIDIISESEVVNSARRRLDSFDLVQSSHVAGKAKNGWWPAPKSERLAKIGGPDFMLWASEFVYTYKLQIDAKAFKNTKLGGHHVLANNKGEVLLSHAQMVELANILDMYFEDQFTLPGKTFYSNWNSEPSKIKKNNGYLNNLFALLAGSSIVFLVGAIAQLCWPQSLKDKRLATVSSCVSSSQSYCSDIHSLDSSELQAYCVSVVEKIKDSFGCTGDLMVDANIGAWVGELPEFFKGINCDSHDDYVDIQSTGAISQGEQPSLVSSPIKMSSHLEQNDDTQETLQNIASFQVVMSERGKVVGFQPTNRLAVNHWATNPLTKLLYEGRKISPAFLEPRLRISRPAKVVPVELLMSVNPESFFALVRPVQDPC >ORUFI02G00820.2 pep chromosome:OR_W1943:2:478770:483884:1 gene:ORUFI02G00820 transcript:ORUFI02G00820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLLLHRHHHRLSPRFAPSRSPSPRTLSLHPAPRLRLRLRLRASARGGGGSTRDHDDGARSIPIARCYEAALARLDLSGTARREQAVAAAAAADGGAAAEAHLAAVADAMVMEAFLPGPDGARRSAMSTRLILQANEVTEKASKLKKDLGAEFFSENEPDAESVLAMAFKQVVMDQLTNFRLEVFSPGSEIDLQDLRKPRKVPADFSIRSSDEKLLAALAEAIFSCVIEDARNNYLGGTGGLFHKWKSNCSLDSSVCIDIISESEVVNSARRRLDSFDLVQSSHVAGKAKNGWWPAPKSERLAKIGGPDFMLWASEFVYTYKLQIDAKAFKNTKLGGHHVLANNKGEVLLSHAQMVELANILDMYFEDQFTLPGKTFYSNWNSEPSKIKKNNGYLNNLFALLAGSSIVFLVGAIAQLCWPQSLKDKRLATVSSCVSSSQSYCSDIHSLDSSELQAYCVSVVEKIKDSFGCTGDLMVDANIGAWVGELPEFFKGINCDSHDDYVDIQSTGAISQGEQPSLVSSPIKMSSHLEQNDDTQETLQNIASFQVVMSERGKVVGFQPTNRLAVNHWATNPLTKLLYEGRKISPAFLEPRLRISRPAKVVPVELLMSVNPESFFALVRPVQDPC >ORUFI02G00830.1 pep chromosome:OR_W1943:2:484699:486674:-1 gene:ORUFI02G00830 transcript:ORUFI02G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLAVAAAALLLVAWHFSLITMAAAQLSPPQPPDDQYDDPPMPGLPVSPPSPGYSDSPEPPLPDSPPSQEPDTPEPAPPTPPQQQQQPWQSPLPPRREPAPPRTVVPPQEPGWSSVPPPPARVINYTTTGCTTMLVFGDSTVDPGNNNRLQTAMKANFLPYGADFLGGRPTGRFSNGRLITDILAEKLGIARSIPGFRDPRLRSGQLRRGVSFASAGSGYDEATARSSNALSFPNQIEDLWRYKRNLQRLVGRRRAEELVRRATFVVSAGTTDLLFHYLASNQSAAESGPQYENQLISRVANYTQVMATLGGRRFVFVGVPPIGCLPIARTLLGTGTTRCHENMNLLATSFNERLVEVVRLLKNQPNIRATFVDTYTTIGMATISPNNYGLTETSRGCCGTGVIEVGQTCRGRRACTHPSKYIYWDAAHHTERMNQIITEEVIMNSIGEIYA >ORUFI02G00840.1 pep chromosome:OR_W1943:2:488041:489598:-1 gene:ORUFI02G00840 transcript:ORUFI02G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIFSIDVPAPPPPPAAAATDEPWITDTLPFVPYDNSMSYTNYCYYPEMFEDANPDISKEMTTIGGEDLLVDNANQQDYFQAWTNSFDSVALMEPGALQEPSYLDLDPSYFDLGSYLDPDHQQMASSSCSDIALLSDTSFLQPLNMSNAPYVQLPMMDANINNEIGAATSSSELAQLIPQSSDHSLLQPLNINDETAYDQLPVIDTNSSNNNTGSEFPCVNFQSSNTGSLLGGSSNMFDGQDQQTSHIVLPEKSCPDPEKRQRAVQRYKEKKSNRRFVKQIMYASRKATADTRRRVRGRFVKASLEQGTSSNDNKQPKHEGN >ORUFI02G00850.1 pep chromosome:OR_W1943:2:493051:494514:1 gene:ORUFI02G00850 transcript:ORUFI02G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSFPQPASAAAATRPIPGSYGPPLLGPLRDRLDYFWFQGPDDFFRRRAADHKSTVFRANIPPTFPFFLGVDPRVVAVVDAAAFTALFDPALVDKRDVLIGPYVPSLAFTRGTRVGVYLDTQDPDHARTKAFSIDLLRRAARNWAAELRAAVDDMLAAVEEDLNRAPDPAAASASYLIPLQKCIFRFLCKALVGADPAADGLVDRFGVYILDVWLALQLVPTQKVGVIPQPLEELLLHSFPLPSFVVKPGYDLLYRFVEKHGAAAVSIAEKEHGISKEEAINNILFVLGFNAFGGFSVFLPFLVMEVGKPGRDDLRRRLREEVRRVLGGGDGGEAGFAAVREMALVRSTVYEVLRMQPPVPLQFGRARRDFVLRSHGGAAYEVGKGELLCGYQPLAMRDPAVFDRPEEFVPERFLDDDGEALLQYVYWSNGPETGEPSPGNKQCAAKEVVVATACMLVAELFRRYDDFECDGTSFTKLDKRELTPS >ORUFI02G00860.1 pep chromosome:OR_W1943:2:497339:498406:1 gene:ORUFI02G00860 transcript:ORUFI02G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKAIELVLKRLDEVRTRMDGVEKLLRILICREEKRAKGWEEEEEVDKMQMVTAAAGGGSSSRRKAIKRNRKRKKEEDASEKKKKKKKKKKKRQTEEAEAEKKIEARKAKKEAYERYLANFFDFEPFPRTPDHILNEMPEEERAGENQLAAFADSIMERRRLLYQRCIKDYMDKDKDDHE >ORUFI02G00870.1 pep chromosome:OR_W1943:2:500609:502852:-1 gene:ORUFI02G00870 transcript:ORUFI02G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRHHLHLPLRLLSRNNPSAPLFRHAFSTLDTPEPPPPETEAEAEAVPEVTPAEAAAATPNPPRREEPLHETILYMIRRRPWTTRLENSIRLLSPTLAAPLVHGVISGAAAAGRADLALQFFRFAYRRAGFSPEPATFSLLIPILASHRMLNHARCILLDTMPSFSIAPEEATVAALIAAYGKANIPQESVKLFRLMPDLGIARTALSYNAVLKAILCRGREAMARRIYNAMIADAVTPDLSTYNTLIWGFGLCKKMEAALRVFGDMKDHGVTPDVTTYNTLLNAWVRAGDLESARKVFDEMPGAGFAQNSVSYNVMIKGYVEAGKVEEAVGLFSEMGEKGLRLSEKTFAALMPGLCDDEGRVVEARKAMDDMAERRLTPKDKSVFLRLVTTLCRAGDLDGALDVHQKSGQFKHVLVDPRQYGVLMESLCAGGKCDGAVEVMDELLEKGTLLSPKSPVLEGPAYNPVIEYLCSNGNTSKAETFFRQLMKKGVDDKAAFNSLIRGHAKEGVPEAAQEILAIMTRRGVRTDPESHALLVDSFLKKNEPADAKTALDSMMEQGHVPSPSLFMSVMVALFNGGRVQTASRVMKSMIEKGVTENMDMAHKILEALFMRGHVEEAIGRVNLMVENGCLPDLDKLLIALCENDKVMEAHKLADFALDRDFDVSFSTYDRVLEALYTEEKTLPAYSMLCKIKNKGGVVDQKGCDALMDSLKAGGYSKQADILSRILAENASSTSKRGKRVAMGA >ORUFI02G00880.1 pep chromosome:OR_W1943:2:503392:504362:-1 gene:ORUFI02G00880 transcript:ORUFI02G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLAARKIAGGGGRRASMNIGGGSPVVAAEAEGQRRRLLQGLIYGGGGGGSPVSSSSSSYGTTFRRLMSSDAAADHPPSTPKHSWRCLVEPPPNALETAEVEALKLEVKQKKEELFYKLATLNWQYKKRSKEAQIDAELLCKLIGHVKPNPDDLLWCKYYYARKLNIFLLCVLSTFAAVELVAFYKYTVGRIDRTLET >ORUFI02G00890.1 pep chromosome:OR_W1943:2:504663:506951:-1 gene:ORUFI02G00890 transcript:ORUFI02G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHKKLLLASLSCVLLIQVASSDGTAEGVGTTSWTCVCTAHPLGEPNSNSSLSSNCSSSCHCLQGISQEGKKHVSNKTVIVTLLVCVILTTIAFLGTTAYYLRRKDALSPHSHAYSFDKYTSWSSRSNLVSHRSSPLPQPKPKPRISVLKEFLCSCNPICGNEGGPLPGVIVRFSYSELEQATGKFSDEHLIGVGGTSKVYRGQLSDAKVIAVKKLRPLGGADEDFEFLSEVELLSRLNHCHVVPLLGYCMESQGRQLERLLVFECMGNGNLRDCLDLKQGRKAMDWATRVGVALGAARGVEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKCLMNDGVTSCSSSPARMLGTFGYFAPEYAIVGKASLKSDVFSFGVVILELITGRQPIHHHRPPAAAGESLVLWAAPRLRDSRLVVAELPDPALQGRFPQEEMQIMAHLARECLQWEPESRPTMSEVVQILATIAPSSRKHAAAATLVTRIGRSSSAGDTMHSTRLAVKCSVGESWRSPEMEEETVVDLTEPRLEPAIFFN >ORUFI02G00900.1 pep chromosome:OR_W1943:2:512087:528192:-1 gene:ORUFI02G00900 transcript:ORUFI02G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTQPGGTSRDGRGGGSTARANAGTGYPTRSRTSGNPQFSAPTNGANQRAMASLRSPLRGGPDMPTSRRCSPRLSGTQQDEVAEVARVGMLKRASNNASNSSTSRAPTRSSSTTTASSKDVAEEHSSGVGSTSSLSKKRKRMTAKSYRSLFKRSKKASSTERARKILPMGMWQRATLVHQHISIETCPQNKVAESRLEVEGRDRPTGHSRQNSISSLQSAPIPPIHYEEPESGHGDGEPLSIQKEVASSQFKVTASDEMEGNSNICVACGTPGDLNRVCDSGPVMEKAAKEATMFLVWIIGWNICLLRLLFGIHSVADGIESLWNVKEGMQNGKQYLVKYKNLAHVHNRWVPEGVINDTPGGCDLLSLFNKRDHKEKTNWKKEWTEPHHLLRKRPLMPPKEADDFFCSSRANIEHCNVEWLVKWRDLGYEHATWELETACFLRTPQADELKRKYENRRKAAKQSSIPVETKVKHKTFQKLQRLPDEWPPGFDNDHLFSINQLLEFWCKSHGAVLVDDKEYVTKTILFTLTVLPDVCQPLLIVTTPASLSAWEIQFNHLAPFINVVVYDGQKDTLKLIQDLEFYDNRRCMMLQVLLSHPDAILEDIETIERIRWEAVIVDYYENSAFKYFEQLKKISTDFRMVLLGSPIKDNVPEYMNLLAFLNSEDKGYSDYVNADDALVMSKARFTHHIAYERKTDSSKFLEYWVPSCISQPQLEMYCSILLSKSSVLRSEMETDSVGALHDIYLSLKKCCDHPYIVNEFLRSSLSNNSNVTENIDTVVHASGKLLVLDKMLNEIKKKSLRVILLFQSDRAGGNKMGNILEDLMHHRFGPESYERVEYRAVLSRKQAAIDKFNNKTNGRFVFLIENRACLPSIKLSSIDAIIIYGSDNNPLNDLKALQKIKIESQFERVSIFRLYTPFTVEEKSLVLARQGIVIDNNIQDLRTSLKHSLLRWGAAFLFSRLDEVQQDDHASKSSEMERHFIDEVIVEFLTKLSTTVEDSTEVHRKSISKANMSGELYSRNITLMGEKEGISVLEDNPAEFWLNLLDGRSPHVSCISEPLQSRVTKSQTMDEVNAPAEEINEARKKRRKVGEIMGSSSKVVSDKSNDDALPDICTTSGPALQPVDVTQQKSVQSEGSESLMSTPKNLHAQMKQELSKLIKVLQLPDNVTLLVEQFFEYLLNNHVVVQEPKYIFHALNIALCWRVASIHNFKVDHKESLALAEKRLKYECNEELARLVYDSLKRKFPKKAGATGSNCQSTSVEKTKPSQQETSNILRNDHIFPKQRMDLHDNFMNGALQEGSFVAAQMVSEEQELIAVPGTHMECHFSTDELPDIVEKRINLIDNVFSVREYRIFDKQQSQISELEKYTQNKTARLKTVCNLVLEHICRSHADVETRNDTIKQTVQWFTMLMYAFLEHMRLQHSKLESLQSNTWAEERQLKEKLCLEAKSGQLDHTFDQQIALPDSNFVMQEFIHLKEQSSNSHVSGSAVSDCQQLCHDRLKMVNTLVRNVVPSEPISAQTVRNGSVEVVMVAGQPAPEVVDFPENNTCYSPDGIGLQKAKSPSIRPSNDDSINQESSASEYTSTENVERDNANPSTLPGVATSPAIGIYANLESTMVASTQNLTIFPASKEVATQSNLSTLPGSQTVETSQQPPAEAKLTENLGVTAWDVQPEMQTTTSTLDSPSARMCPDNNNQTVHQPDTSTSPLQEGSTSCHLTSVDATAGVTAKVDDTAAADPLDSETQSYTAAHKPAALLVSTEVETQTDQSSMLERQSISVPLVQSSLSSQNPPAEAEPASTLSRETARDVQPERQQSASVLETSLQRMHPDDDSQTKHQLETVLSQRGETCGHLGDAREIVDANDSNTVCDVRAHLESPIFATPQSLVICQGLSEVGSQGNISNMSSQQSTDLSAQQNLAPSPLPPAEAERTGLLTTQPAQNFQPELQPSTSLFDASLESNNISQTDCQSDRAVVFLQEGATTQQHLLDTGVVVDDIVAEEPSHSESPTYIIHETAALVVSTEVETQTCQSNIPIQQNTSHPAQQSPETSRHSIASPVGLEATQEFQPEMQPSTSGQDQSEELEQEGMSSSAIQDLQPEMQPPNSVQGQYPGAVLCIAAAEDLQPLMQSSTPVPNQLAEANQEGMLSAAAAQNLQCETQRLTSTQDAPFERTDLSGIPVPRSITTAHQSVVPSWDLQTGVEPTGALCMETTHERQSELPSGSMQERSAETRANLVQRSCTTETCDLQPQLDLSSTIQTVQLEGIRSEDMNQIGVQSNSALSSEQPTQPLPVAPLVFNYQRFSDEPLKNELERLKHTSNVLSKVHEQKRKQLLVEYNQEMEKLKQKYDSLLQKEDSFYAQKEAELDTIYRKVFINQSLAENFRRKFLPLSAAQGGSTRPTIGQLVQSSQEPSARIVAEQVTASPVTLSSAVRPQVLHSSGPYVQPSLVVQPSSQATQPESILPGNMYRAMSSSPFSSTPMPMPHGTYRAAGAQPHAPSPHLQQLRMPSPYATSHGNQHQRPSILASLLPFVLPSSSNPSLTAPLSLNTVVHRTSGPLNAGAGSQHAGSQISGVNPSGFSASASLNTWLTARLALTSEARGTVSSTEVVCLSDDES >ORUFI02G00910.1 pep chromosome:OR_W1943:2:543176:543601:1 gene:ORUFI02G00910 transcript:ORUFI02G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATAAPPYPSKSELAAAEVASCAWGRSGGRIRHRLASCPQLPITAAPSVTRARSTPDSCAWGAERRPHAPPPRLPPVVARRRSARSRAAPDPPTSCPPSRQISDRGRAAAPPARSRPSSPRPQPCCAESANVAPAAARL >ORUFI02G00920.1 pep chromosome:OR_W1943:2:545348:545767:1 gene:ORUFI02G00920 transcript:ORUFI02G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYADLVPLTAENFRALYTKKPLHYKGLCLSQHYLRIHVEGRRLRQGPWHRRRVHLRRYLPNENFLLPHDRPWLPNGSAENNISQFFTRVPWFDGNYVVFGCIISGFHNLKAIEAEVEVKIANRGEVVIVPPPSLTTN >ORUFI02G00930.1 pep chromosome:OR_W1943:2:553729:556262:1 gene:ORUFI02G00930 transcript:ORUFI02G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPRRFKSNFREGTEATPRKRAAAAN >ORUFI02G00940.1 pep chromosome:OR_W1943:2:557298:560420:-1 gene:ORUFI02G00940 transcript:ORUFI02G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVPVLLLVTVLSLILPSGIGAAAAGDERSALLALKAGFVDTVSALADWTDGGKASPHCKWTGVGCNAAGLVDRLELSGKNLSGKVADDVFRLPALAVLNISNNAFATTLPKSLPSLPSLKVFDVSQNSFEGGFPAGLGGCADLVAVNASGNNFAGPLPEDLANATSLETIDMRGSFFGGAIPAAYRSLTKLKFLGLSGNNITGKIPPEIGEMESLESLIIGYNELEGGIPPELGNLANLQYLDLAVGNLDGPIPPELGKLPALTSLYLYKNNLEGKIPPELGNISTLVFLDLSDNAFTGAIPDEVAQLSHLRLLNLMCNHLDGVVPAAIGDMPKLEVLELWNNSLTGSLPASLGRSSPLQWVDVSSNGFTGGIPAGICDGKALIKLIMFNNGFTGGIPAGLASCASLVRVRVHGNRLNGTIPVGFGKLPLLQRLELAGNDLSGEIPGDLASSASLSFIDVSRNHLQYSIPSSLFTIPTLQSFLASDNMISGELPDQFQDCPALAALDLSNNRLAGAIPSSLASCQRLVKLNLRRNKLAGEIPRSLANMPALAILDLSSNVLTGGIPENFGSSPALETLNLAYNNLTGPVPGNGVLRSINPDELAGNAGLCGGVLPPCSGSRSTAAGPRSRGSARLRHIAVGWLVGMVAVVAAFAALFGGHYAYRRWYVDGAGCCDDENLGGESGAWPWRLTAFQRLGFTCAEVLACVKEANVVGMGATGVVYKAELPRARAVIAVKKLWRPAAAAEAAAAAPELTAEVLKEVGLLGRLRHRNIVRLLGYMHNEADAMMLYEFMPNGSLWEALHGPPERRTLVDWVSRYDVAAGVAQGLAYLHHDCHPPVIHRDIKSNNILLDANMEARIADFGLARALGRAGESVSVVAGSYGYIAPEYGYTMKVDQKSDTYSYGVVLMELITGRRAVEAAFGEGQDIVGWVRNKIRSNTVEDHLDGQLVGAGCPHVREEMLLVLRIAVLCTARLPRDRPSMRDVITMLGEAKPRRKSGSSTGSASAKAPTPAPPAVAAVVDKDKPVFTTTPDSDYA >ORUFI02G00950.1 pep chromosome:OR_W1943:2:566408:570221:1 gene:ORUFI02G00950 transcript:ORUFI02G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRVIRVRAHDGRSTTVTIAASATVSDLRTALRSSFAPALVSPDFHLFLKGTKLIADAKVGNLPVGPGESISFIPVNAKSAPPHPPSSSAPNPWRKRKFSWHDGGGEDIYAKKPTNPAPPRPLSCHGTQPLDPTQMVEHLRQGLGKAGQITHVEEIPGREATFAELPGHLSSSMRDALRSIGVTKLYAHQAQAVQSAVSGEHVVVSTSTSSGKSLCYNIPVLESISQSSAPCALYIFPTKALAQDQLKTLLEMKPAFRSDFDVSIYDGDTAMKDRTRIRNTARLLITNPDMLHMSILPCHAQFKRVLFNLKYIVIDEAHSYKGAFGCHAALILRRLKRICSYVYGSNPTFIFCTATLANPREHVMELANLDRVVLVDNDTSPCGSKNFLLWNPPLQLAKAEDRRPNPVLEVSYLFAEMVQHGLRAIAFCKTRKMCEQVLMQTRQILKETAAELVNSICVYRGGYVASDRRKIEADLFGGILRGVAATNALELGIDVGHIDATLHLGFPGSMASFWQQAGRSGRRAKQSIAVYVAFEGALDQYFMRSPHKLFGKPIEHCQVDSQNRKVLEQHLACAASEYPLRQEHDESYFGFSMNSVLMTLKDKGCLMNNPSGGDSGVWKYIGPDKKPSHSVSIRAIEHHRYKVIDRRSNRVLEEIEESKAFFQVYDGAVYMHQGVSYLVDKLDLTSRIAYCKVFDLNYYTKVQDYTEISFIGGDVDEHPASECKPDIRRTTAQANDCRVTTKWVGFDRILKSNNQKSDSINLDHLPPYSFETQAVWVQIPVSVRTTMEQMEYQLCGGVHAASHALLSIIPLHMMCSGSDLGTQCAEPQENSETADRILLYDKHPGGIGLASQAKLLFGELLVAALELVSSCSCTNSDGCPNCIQSFACSDYNRDLDKEASIFLLKGVIQYEKLYFEAIDGGLPAPICLMSVYRASLEARQHFRTGYRELFDTVFMLTCWFIWKERNGRIFEHRSRAPEQLVHDIKEELMIWKMAGVFSLVSREALPHCRCDRVLSL >ORUFI02G00960.1 pep chromosome:OR_W1943:2:570643:571458:-1 gene:ORUFI02G00960 transcript:ORUFI02G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSGGVEAACRVLPIIDEESESEMDEGSPERKVVDDRRKAIVSRMRELLRRAAAQSSAQSKLRSTVLVSAKKWKRVVVSLQNSRRKQEQQQQQLTTTSRQSDGGMSSSPVSSKSDSFSWDIATAESCSSSPAQSPLWPAALRQFSPSPATKHGMRLRRDSSGSAADDDRMSSMSCSGSPDDDDYGGSSRLCQWITTDSDFVVLEL >ORUFI02G00970.1 pep chromosome:OR_W1943:2:586126:587469:-1 gene:ORUFI02G00970 transcript:ORUFI02G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTVGAPGSSLHGVTGREPAFAFSTEVGGEDAAAASKFDLPVDSEHKAKTIRLLSFANPHMRTFHLSWISFFSCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLAMGAICDMLGPRYGCAFLIMLAAPTVFCMSLIDSAAGYIAVRFLIGFSLATFVSCQYWMSTMFNSKIIGLVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGTLHVVMGVLVLTLGQDLPDGNLRSLQKKGDVNRDSFSRVLWYAVTNYRTWIFVLLYGYSMGVELTTDNVIAEYFYDRFDLDLRVAGIIAASFGMANIVARPTGGLLSDLGARYFGMRARLWNIWILQTAGGAFCLLLGRASTLPTSVVCMVLFSFCAQAACGAIFGVIPFVSRRSLGIISGMTGAGXPSVAAATSSTPPPPPPRRPTTRRSTPN >ORUFI02G00980.1 pep chromosome:OR_W1943:2:590919:591240:-1 gene:ORUFI02G00980 transcript:ORUFI02G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEQCTNLPCRCRLLLCLVGLVGSSPPARRPSPPPTRSFSPTAAASPLADTLLLPPAKSEKGER >ORUFI02G00990.1 pep chromosome:OR_W1943:2:593806:594856:1 gene:ORUFI02G00990 transcript:ORUFI02G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQRLQEEKRRKNHRPSVGTQKSQDWAFARLSPLRGFLWSQLQFGAESAGTTVFTLRNNCTYTVWPTTLSGNTAVAIGGGGFELSLGANVSFPAPDGWSGRLWARTDCAPSGTASLACATGDFGGVVSCSLGGAPPVTLAEFTLGSADGKDLYDVSLVGRGRRGSPRATPPPAARALLLAAHPQLLPPPPLRRPPPPSPPPRRPSPAADALLLPLAESEKGEREMRKGEKRGKEREREADVAA >ORUFI02G01000.1 pep chromosome:OR_W1943:2:596711:612983:-1 gene:ORUFI02G01000 transcript:ORUFI02G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPHGLLQYSSGAFSDQVPADDSAEEHGVKDHAMLAPFTAAWQTAISPPLVIERSEGCYVYDVNGTKYLDALAGLLSTALGGSEPRLVKAATEQLNKLPFYHSFWNHTTRPSLDLAKELISMFTAREMGKVFFTNSGSEANDSQVKIVWYYNNALGRPKKKNIISRTQSYHGTTFISASLSGLPTLHQDFDLPGRFVLHTDCPHYWRFHLPGETEEEFATRLADNLENLILKQGPETIAAFIAEPVIGAGGVILPPKTYFEKIQAVVKKYDILFIVDEVITGFGRLGTMFGSDLYNIKPDLVSLAKALSSAYAPIGAILVSPEISDVIHSHSNKLGTFAHGFTYSGHPVSCAVALEALKIYRERDIPGHVTHVAQRFQEGIKAFAAGSPIVGETRGVGLLIATEFTDNKSPYELFPFEWGVGEIFGQECKKRGMMVKVLGNLIAMSPPLIITREEIDKLVSIYGEALKATEERVAELKSKKN >ORUFI02G01000.2 pep chromosome:OR_W1943:2:596711:612970:-1 gene:ORUFI02G01000 transcript:ORUFI02G01000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTHQVPSLIRCQLMTLLKNMGGSEPRLVKAATEQLNKLPFYHSFWNHTTRPSLDLAKELISMFTAREMGKVFFTNSGSEANDSQVKIVWYYNNALGRPKKKNIISRTQSYHGTTFISASLSGLPTLHQDFDLPGRFVLHTDCPHYWRFHLPGETEEEFATRLADNLENLILKQGPETIAAFIAEPVIGAGGVILPPKTYFEKIQAVVKKYDILFIVDEVITGFGRLGTMFGSDLYNIKPDLVSLAKALSSAYAPIGAILVSPEISDVIHSHSNKLGTFAHGFTYSGHPVSCAVALEALKIYRERDIPGHVTHVAQRFQEGIKAFAAGSPIVGETRGVGLLIATEFTDNKSPYELFPFEWGVGEIFGQECKKRGMMVKVLGNLIAMSPPLIITREEIDKLVSIYGEALKATEERVAELKSKKN >ORUFI02G01010.1 pep chromosome:OR_W1943:2:628277:631403:1 gene:ORUFI02G01010 transcript:ORUFI02G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTITSLVAACLSMAVLFVATKMIQQRPRTLCLYEKENKEEELLLPPVMSVVSVLTAYLPTLIAKGLPAVIHDLHSRLGSVFTRFRPEEGDPSGDGSFLPSLRIGDPAVQYLQRYDVDLATRSRQISFCTDSIKPINLRGHVDSMVHEVEGYFAQWGEDGVVDIKYEMGNLILLIANRCLLGKQFGESKLEQPLLPISPYPTAPSAMLGEMIHEAVRSRRNSGVAEDDVLQKFLDSKYINGRCMTENEIAGLLICMMFAAQHTSSSTSTWTGACLLSHGHRSYLAAAIQEQKRIIQQHGDRINWGILLQMTTLTHCIKEALRLHPPANLLIRHASKSFSVQTRQGHRYQIPKGHTLATCTNRLPYIYKDPNVYDPSRFGPGREEDKVGGKFSYTPFSAGRHVCLGEDFAYMQIKVIWSHLLRNFDLELISPFPEEEWEKFIPGPKGGGGDGRGKGWRKRRTGGRPAAGGRGCGAHAAAPRVGGRGGAGGESTREAMAQRQR >ORUFI02G01020.1 pep chromosome:OR_W1943:2:633512:657936:1 gene:ORUFI02G01020 transcript:ORUFI02G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDEPVPYVMDEGVLLPLLFPPPLPADDDATGGVSPSWVYLEDRAYVSPDAVSNSTTAFSTTTTGVSIHVSFCHARPPRLSYLCVHCPRPGDGEGAYRFTVDPQVLGTHTDVALLRVPHPNDGLHRGIKSYDYFVYTAGGATSLRLLPNPRVSPFRNEEVAIVRCSGGARYVIACLMPTIRRPMEFKVMRFDSDVGRWKSTAVSISEPVERDRVLPIPDTASQMVFHCTTKVITLGGTIGWVDLWRGILLCDDVIDQHPVLRDLPLPKPARSNRKSFCRGPPHHYRDITPARSNRKSFCRGPPHHYRDITVVVQDSVPTCIKYVEMETRPGDRPPPRQRQPPQHSDDSDSDEEEDVAYYWKANIWSMPIPVGSWGDWQMECTVDVTDIAVVDNVRFSELLPKIGNDPEETLRRLVTGFPTLGMDGDVISFLSKINRLDDQGWVISVDLRSKTLQGVAELDERKNFLFERYYNTSEISKYLIKATGEAGTLVKTGVNRVSRKKNTSRAHLPPLPRLAPPRIAERSIPARLLKMPHHGGRKKKNKGGKGKPRKKTDDRGEANVGSGDSSPAVRVEDEAEVEAEAEAEVATAVEPQRSGTLASPTDVVEAAQHGQRQQQASQSQQTPSPRSYAAAVVNKREDETVGYNFLQFLRSFTVEHREGPFYAGELKSMVYQNSKTFCVYYGHFQGQYANLGTKISNSYARVVGFLRSAIGRFITEYELDDQWREISNDPPKIHIDALPPAGSIVSLQYFLGQAHNKLVETARIYDPNGSLVADSTKLGRRVFLGFLIYIINQHKDGRSWCGEFSIDDLLVRNESTFGITKAASSHASCKAMAKDLKQLAKILEKHFRTAQGQVPGYFIMLFSELKESAGELGQYNSEKTRKFHKYLSSHLALRSAMSRRHLFMDLFRAYQLLGKTAKKDLISLLGSMFPEDEWLDQVDKHQMFIKVSEYGIVEGDADKASNSQDQKKKRSYSGDLLDLLVFIRHVTEHGADHTKDDNMEQKLKSLVEIDLIIAKYLSAAVVDLIKALVKSDLLKDTWVSTLVGEERHHCISCIQSAVKDLRVPRSVIIVGPDRSRNKKRDVA >ORUFI02G01020.2 pep chromosome:OR_W1943:2:633512:662816:1 gene:ORUFI02G01020 transcript:ORUFI02G01020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDEPVPYVMDEGVLLPLLFPPPLPADDDATGGVSPSWVYLEDRAYVSPDAVSNSTTAFSTTTTGVSIHVSFCHARPPRLSYLCVHCPRPGDGEGAYRFTVDPQVLGTHTDVALLRVPHPNDGLHRGIKSYDYFVYTAGGATSLRLLPNPRVSPFRNEEVAIVRCSGGARYVIACLMPTIRRPMEFKVMRFDSDVGRWKSTAVSISEPVERDRVLPIPDTASQMVFHCTTKVITLGGTIGWVDLWRGILLCDDVIDQHPVLRDLPLPKPARSNRKSFCRGPPHHYRDITPARSNRKSFCRGPPHHYRDITVVVQDSVPTCIKYVEMETRPGDRPPPRQRQPPQHSDDSDSDEEEDVAYYWKANIWSMPIPVGSWGDWQMECTVDVTDIAVVDNVRFSELLPKIGNDPEETLRRLVTGFPTLGMDGDVISFLSKINRLDDQGWVISVDLRSKTLQGVAELDERKNFLFERYYNTSEISKYLIKATGEAGTLVKTGPIPLDDDLLLPLLFSPPLPADDDDGGGVSPTPSWVYLDASAYVSPDAVSNATTAVSTTTTGVRIHVSFCLARPPRLSYLCVHCPRPGAGHGEAYRFTVDPRVISTHADVALLLVPHPNDELYRGIRSYDYFVYTASPRPSLRLLPNPHASPFSSDAVAIVRCSGGARYVIAGLMPTIRCPMEFKLQRFDSDVGRWTSTAVSVDEPAERDRVLPIPDTATEVLFHYTTKVITLAGGEHAMAVGWVDLWRGILLCDDVLDEHPVLRDLPLPKPARRNRKSFCIGYPHGYRDITVVVQDSAATCIKYVEMILLTTDEDAPVIPAGSWKDWHRECTVDVTDIAVVDNVRFSELLPKIGNDPEETLRLLTGHPTLGMDGNVISFLSKIGYSDDKGWVISVDLRDKTLQGVTELDHRKNSSFMRYYITSEISKYLINATGTLVRTGAMESNKKRKKKKKSRRLPGGKR >ORUFI02G01020.3 pep chromosome:OR_W1943:2:657897:662816:1 gene:ORUFI02G01020 transcript:ORUFI02G01020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDEPVPYVMDEGVLLPLLFPPPLPADDDATAGVSPSWVYLEDRAYVSPDAVSNSTTAFSTTTTGVSIHVSFCLARPPRLSYLCVHCPRPGDGEGAYRFTVDPRVLGTHTDVALLRVPHPNDGLHRGIKSYDYFVYTARPGPGASLLRLLPNPRVSPFRNEEVAIVRCSGGARYVIACLMPTIRRPMEFKVMRFDSDVGRWKSTAVSISEPVERDRVLPIPDTASQMVFHCTTKVITLGGTIGWVDLWRGILLCDDVLDQHLVLRDVPLPKPARSNRKSFCRGPPHHYRDITVVVQDSVPTCIKYVEMVTRPGDRPPPRQRQPPQHSDDSDSDEEEDVAYYWKANIWSMPIPVGSWEDWQMECTVDVTDIAVDNVRFSELLPKIGNDPEETLRRLVTGFPTLGMDGDVISFLSKINRLDDKGWVISVDLRSKTLQGVAELDERKNFLFKRYYNTSEISKYLIKATGEAGTLVKTGPIPLDDDLLLPLLFSPPLPADDDDGGGVSPTPSWVYLDASAYVSPDAVSNATTAVSTTTTGVRIHVSFCLARPPRLSYLCVHCPRPGAGHGEAYRFTVDPRVISTHADVALLLVPHPNDELYRGIRSYDYFVYTASPRPSLRLLPNPHASPFSSDAVAIVRCSGGARYVIAGLMPTIRCPMEFKLQRFDSDVGRWTSTAVSVDEPAERDRVLPIPDTATEVLFHYTTKVITLAGGEHAMAVGWVDLWRGILLCDDVLDEHPVLRDLPLPKPARRNRKSFCIGYPHGYRDITVVVQDSAATCIKYVEMILLTTDEDAPVIPAGSWKDWHRECTVDVTDIAVVDNVRFSELLPKIGNDPEETLRLLTGHPTLGMDGNVISFLSKIGYSDDKGWVISVDLRDKTLQGVTELDHRKNSSFMRYYITSEISKYLINATGTLVRTGAMESNKKRKKKKKSRRLPGGKR >ORUFI02G01040.1 pep chromosome:OR_W1943:2:648323:657670:-1 gene:ORUFI02G01040 transcript:ORUFI02G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCSFYASTSLQLRRGGRNGRAPHVRLVPVEDGVLKTESTAVVKAEAAEVEERRAELVADAAGEGLGAFDDDAALGGVRDGEVVGEEGEDRAEVGADAGGKEPPGAPSGCLCRSGDEAAATGPTDREQTPQTRAVIQISKA >ORUFI02G01050.1 pep chromosome:OR_W1943:2:659787:673755:-1 gene:ORUFI02G01050 transcript:ORUFI02G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGEAPPSSGGSPATSPRPPPAVTQGQQQQQQQQLGFRNQAMMHHHDQQQQQQQGYPSGAPHGMMGGGGSSSFPPSSGPMPPFQGQRNMPLPGGLQGIAGGQQHNPTAMQQAYLQYMMQQQQQKAHGMLLQQQQQAKMNMAGPSTRDQDVAANTAKMQELMSLQAQAQAQMFKRQQSEHLQQAEKQAEQGQPSNSEQRSGDMRPPSMPPPGQQLSSAGMVRPMQPMQGQAGMSNAGANPMAMAQLQAIQAWAKEHNVDLSNPANVTLISQILPMLQSNRMAAMQKQNEVGMASQQQSVPSQMNNDSPGHSNFPSQGAPSKPRQPLPPSTSVSGGAEPKMMNMSNMQMQQQLAAHNRDSSNDRAARPAMSMGNGGQMMHMPQSSGHANKIPEQPNPKNANSEAMQMQYARQLQQANRATAPSANSGETGGSQAPNQAVRPPMGFTKHQLHVLKAQILAFRRLKRGDKKLPPEVLDLIMSEPPPDSQAQQVSGPPVTNRERSATSSADEHGRPVESGGIAPERSSLLKAPCLPKVEVSAPEDKTIPASGPMQVMKASPKEPLRIGPVSMPEQTNTTLIKSEQDPERGIQRTPGRSDYNGERGKSLPAESGSADAEQAKRAASSSSVPTPNRDVSRKYHGPLFDFPSFTRKHDSMVSANYNSNLALGYDVKDLLAQEGMIVLGKKREDNLKKISGLLAINLERKRIQPDLVLRLQIEEKKLKLLEFQARMRDEVEQEQQEIMAMPDRVYRKFVRQCERQRVELTRQVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKKKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKITAAKNHQQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRENTSVNKYYTLAHAVNERVTRQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMSLIAYLMEFKGNYGPHLIIVPNAVLVNWKEVLAVKFNVLVTTYEFVMYDRSKLSRIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFQDWFSKPFQRDVPTHSEEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKESIVLRCRMSGIQGAIYDWIKSTGTIRVDPEDEKARIQRNAMYQAKTYKNLNNKCMELRKVCNHPLLSYPFMNYYGKDFIIRSCGKLWNLDRILIKLHRSGHRVLLFSTMTKLLDILEEYLQWRQLVYRRIDGTTSLEDRESAIVDFNRPNSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTRDVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLQQVNRMIARTEEEVELFDQMDEEFDWTGDMMKHNQAPKWLRVSSTELDAVVASLSKKPLRNMAAGGISLDTNEKLEKRRGRPKGSGKYSIYREIDDDDFEESDDDSEERNTSSLPEEGEIGEFEDEEDNDDSVPDNKDQSEEEEPINDDGYDFSHGMGRRKAHRSEEAGSTGSSSGGRRLPPPAPSSSSKKLRSLSALDSRPGALSKRTADDLEEGEIALSGDSHLDLQQSGSWNHERDDGEDEQVVQPKIKRKRSIRIRPRPNAEKLDDRSGDGTVPQRGVHLAFQGDGDYDSQFKSEQAFADPASRQQDTVHRTVKQKRNMPSKKAPPATKAGKMTQLSGSGEGSAEHSKENWSNKVIESAGPNSSGTKMSDSMQRKCKNVINKLWRRIDKEGHQIIPNISSWWRRNENSSFKGLAGSTLDLQKIEQRVDGFEYGGVNEFIADMQQMLKSVVQHFSYRHEVRVEAETLHNLFFNIMKIAFPDSDFREAKGAMSFSNPGGGASGSAAQSTKQSASGQKRRSSTSEAEQHGSSTSRHNQHAPVGEVSGRAHTSKSEKDSRHSGPGSREQFTDSAGLFRHPTDMFIVKKKRDRRPSLGSPSSSGRAGPLSPTNAGRMGPAPSPRGARTPFQRDPHPSQQSMHSAGWGAHSVQQSDRGGSSSPGIGDIQWAKPTKRSRTDSGKRRPSHILYY >ORUFI02G01050.2 pep chromosome:OR_W1943:2:663629:673755:-1 gene:ORUFI02G01050 transcript:ORUFI02G01050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGEAPPSSGGSPATSPRPPPAVTQGQQQQQQQQLGFRNQAMMHHHDQQQQQQQGYPSGAPHGMMGGGGSSSFPPSSGPMPPFQGQRNMPLPGGLQGIAGGQQHNPTAMQQAYLQYMMQQQQQKAHGMLLQQQQQAKMNMAGPSTRDQDVAANTAKMQELMSLQAQAQAQMFKRQQSEHLQQAEKQAEQGQPSNSEQRSGDMRPPSMPPPGQQLSSAGMVRPMQPMQGQAGMSNAGANPMAMAQLQAIQAWAKEHNVDLSNPANVTLISQILPMLQSNRMAAMQKQNEVGMASQQQSVPSQMNNDSPGHSNFPSQGAPSKPRQPLPPSTSVSGGAEPKMMNMSNMQMQQQLAAHNRDSSNDRAARPAMSMGNGGQMMHMPQSSGHANKIPEQPNPKNANSEAMQMQYARQLQQANRATAPSANSGETGGSQAPNQAVRPPMGFTKHQLHVLKAQILAFRRLKRGDKKLPPEVLDLIMSEPPPDSQAQQVSGPPVTNRERSATSSADEHGRPVESGGIAPERSSLLKAPCLPKVEVSAPEDKTIPASGPMQVMKASPKEPLRIGPVSMPEQTNTTLIKSEQDPERGIQRTPGRSDYNGERGKSLPAESGSADAEQAKRAASSSSVPTPNRDVSRKYHGPLFDFPSFTRKHDSMVSANYNSNLALGYDVKDLLAQEGMIVLGKKREDNLKKISGLLAINLERKRIQPDLVLRLQIEEKKLKLLEFQARMRDEVEQEQQEIMAMPDRVYRKFVRQCERQRVELTRQVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKKKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKITAAKNHQQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRENTSVNKYYTLAHAVNERVTRQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMSLIAYLMEFKGNYGPHLIIVPNAVLVNWKEVLAVKFNVLVTTYEFVMYDRSKLSRIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFQDWFSKPFQRDVPTHSEEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKESIVLRCRMSGIQGAIYDWIKSTGTIRVDPEDEKARIQRNAMYQAKTYKNLNNKCMELRKVCNHPLLSYPFMNYYGKDFIIRSCGKLWNLDRILIKLHRSGHRVLLFSTMTKLLDILEEYLQWRQLVYRRIDGTTSLEDRESAIVDFNRPNSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTRDVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLQQVNRMIARTEEEVELFDQMDEEFDWTGDMMKHNQAPKWLRVSSTELDAVVASLSKKPLRNMAAGGISLDTNEKLEKRRGRPKGSGKYSIYREIDDDDFEESDDDSEERNTSSLPEEGEIGEFEDEEDNDDSVPDNKDQSEEEEPINDDGYDFSHGMGRRKAHRSEEAGSTGSSSGGRRLPPPAPSSSSKKLRSLSALDSRPGALSKRTADDLEEGEIALSGDSHLDLQQSGSWNHERDDGEDEQVVQPKIKRKRSIRIRPRPNAEKLDDRSGDGTVPQRGVHLAFQGDGDYDSQFKSEQAFADPASRQQDTVHRTVKQKRNMPSKKAPPATKAGKMTQLSGSGEGSAEHSKENWSNKVIESAGPNSSGTKMSDSMQRKCKNVINKLWRRIDKEGHQIIPNISSWWRRNENSSFKGLAGSTLDLQKIEQRVDGFEYGGVNEFIADMQQMLKSVVQHFSYRHEVRVEAETLHNLFFNIMKIAFPDSDFREAKGAMSFSNPGGGASGSAAQSTKQSASGQKRRSSTSEAEQHGSSTSRHNQHAPVGEVSGRAHTSKSEKDSRHSGPGSREQFTDSAGLFRHPTDMFIVKKKRDRRPSLGSPSSSGRAGPLSPTNAGRMGPAPSPRGARTPFQRDPHPSQQSMHSAGWGAHSVQQSDRGGSSSPGIGDIQWAKPTKRSRTDSGKRRPSHM >ORUFI02G01050.3 pep chromosome:OR_W1943:2:663629:673755:-1 gene:ORUFI02G01050 transcript:ORUFI02G01050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGEAPPSSGGSPATSPRPPPAVTQGQQQQQQQQLGFRNQAMMHHHDQQQQQQQGYPSGAPHGMMGGGGSSSFPPSSGPMPPFQGQRNMPLPGGLQGIAGGQQHNPTAMQQAYLQYMMQQQQQKAHGMLLQQQQQAKMNMAGPSTRDQDVAANTAKMQELMSLQAQAQAQMFKRQQSEHLQQAEKQAEQGQPSNSEQRSGDMRPPSMPPPGQQLSSAGMVRPMQPMQGQAGMSNAGANPMAMAQLQAIQAWAKEHNVDLSNPANVTLISQILPMLQSNRMAAMQKQNEVGMASQQQSVPSQMNNDSPGHSNFPSQGAPSKPRQPLPPSTSVSGGAEPKMMNMSNMQMQQQLAAHNRDSSNDRAARPAMSMGNGGQMMHMPQSSGHANKIPEQPNPKNANSEAMQMQYARQLQQANRATAPSANSGETGGSQAPNQAVRPPMGFTKHQLHVLKAQILAFRRLKRGDKKLPPEVLDLIMSEPPPDSQAQQVSGPPVTNRERSATSSADEHGRPVESGGIAPERSSLLKAPCLPKVEVSAPEDKTIPASGPMQVMKASPKEPLRIGPVSMPEQTNTTLIKSEQDPERGIQRTPGRSDYNGERGKSLPAESGSADAEQAKRAASSSSVPTPNRDVSRKYHGPLFDFPSFTRKHDSMVSANYNSNLALGYDVKDLLAQEGMIVLGKKREDNLKKISGLLAINLERKRIQPDLVLRLQIEEKKLKLLEFQARMRDEVEQEQQEIMAMPDRVYRKFVRQCERQRVELTRQVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKKKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKITAAKNHQQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRENTSVNKYYTLAHAVNERVTRQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMSLIAYLMEFKGNYGPHLIIVPNAVLVNWKEVLAVKFNVLVTTYEFVMYDRSKLSRIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFQDWFSKPFQRDVPTHSEEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKVDCSALVTLSDAICHSALFVCYIVNSSCHLQESIVLRCRMSGIQGAIYDWIKSTGTIRVDPEDEKARIQRNAMYQAKTYKNLNNKCMELRKVCNHPLLSYPFMNYYGKDFIIRSCGKLWNLDRILIKLHRSGHRVLLFSTMTKLLDILEEYLQWRQLVYRRIDGTTSLEDRESAIVDFNRPNSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTRDVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLQQVNRMIARTEEEVELFDQMDEEFDWTGDMMKHNQAPKWLRVSSTELDAVVASLSKKPLRNMAAGGISLDTNEKLEKRRGRPKGSGKYSIYREIDDDDFEESDDDSEERNTSSLPEEGEIGEFEDEEDNDDSVPDNKDQSEEEEPINDDGYDFSHGMGRRKAHRSEEAGSTGSSSGGRRLPPPAPSSSSKKLRSLSALDSRPGALSKRTADDLEEGEIALSGDSHLDLQQSGSWNHERDDGEDEQVVQPKIKRKRSIRIRPRPNAEKLDDRSGDGTVPQRGVHLAFQGDGDYDSQFKSEQAFADPASRQQDTVHRTVKQKRNMPSKKAPPATKAGKMTQLSGSGEGSAEHSKENWSNKVIESAGPNSSGTKMSDSMQRKCKNVINKLWRRIDKEGHQIIPNISSWWRRNENSSFKGLAGSTLDLQKIEQRVDGFEYGGVNEFIADMQQMLKSVVQHFSYRHEVRVEAETLHNLFFNIMKIAFPDSDFREAKGAMSFSNPGGGASGSAAQSTKQSASGQKRRSSTSEAEQHGSSTSRHNQHAPVGEVSGRAHTSKSEKDSRHSGPGSREQFTDSAGLFRHPTDMFIVKKKRDRRPSLGSPSSSGRAGPLSPTNAGRMGPAPSPRGARTPFQRDPHPSQQSMHSAGWGAHSVQQSDRGGSSSPGIGDIQWAKPTKRSRTDSGKRRPSHM >ORUFI02G01060.1 pep chromosome:OR_W1943:2:674196:675989:-1 gene:ORUFI02G01060 transcript:ORUFI02G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAANGERRRRRQEVGGELRRAGWHPAIDDGDRGREEAIARMVIILSPSNQIEFSVRFEVADPKP >ORUFI02G01070.1 pep chromosome:OR_W1943:2:677905:679511:-1 gene:ORUFI02G01070 transcript:ORUFI02G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAGGRKAAASGGGARLHVAMLALQLGYAGFHVVSRLALDMGVSKLVFPVYRNLIALFLLAPFAYFLEKKERPAMTASLAVQFFFLALCGITANQGFYLLGLENTSPTFASAIQNSVPAITFAMAAALRIERVRLSSRDGLAKVAGTLLCVAGASVITLFKGPAIFNTHHNHQPQPQQLAVAVAVAAGNKSWTLGCVFLLGHCVSWSGWLVLQAPVLKRYPARLSVTSYTCFFGLIQFLAIAAFLERDAAAWAVRSGSELFTILYAGFVASGVAFAVQTWCIHRGGPVFVAVYQPVQTLLVAVMASLLLGEQFHLGGIIGAVLIVAGLYLVLWGKSQERAIAAAKEASAAAAIADHQQQPASAAAADSCLKQPLLPASTAASENV >ORUFI02G01080.1 pep chromosome:OR_W1943:2:681977:686573:-1 gene:ORUFI02G01080 transcript:ORUFI02G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARVSLILLVVVLAASACAEGLRLPRDAKFPAAQAERLIRSLNLLPKEAGPTGAGDVPTVAPGELLERRVTLPGLPQGVGDLGHHAGYYRLPNTHDARMFYFLFESRGKKEDPVVIWLTGGPGCSSELAVFYENGPFTISNNMSLAWNKFGWDTISNIIFVDQPTGTGFSYSSDDRDTRHDETGVSNDLYSFLQVFFKKHPEFAKNDFFITGESYAGHYIPAFASRVHQGNKANEGIHINLKGFAIGNGLTDPAIQYKAYTDYALDMNLIKKSDYDRINKFIPPCEFAIKLCGTNGKASCMAAYMVCNSIFSSIMNLVGTKNYYDVRKECEGKLCYDFSNLEKFFGDKAVKEAIGVGDLEFVSCSTTVYQAMLTDWMRNLEVGIPALLEDGINVLIYAGEYDLICNWLGNSRWVHSMEWSGQKDFVSSHESPFVVDGAEAGVLKSHGPLSFLKVHNAGHMVPMDQPKASLEMLRRFTQGKLKEEWLAELPEQPMYAAM >ORUFI02G01090.1 pep chromosome:OR_W1943:2:689443:693093:-1 gene:ORUFI02G01090 transcript:ORUFI02G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGRRRFFPPVTAYDAAAGARRTVAADLDGTLLVSSSAFPYYFLVALEAGSYLRALALLLAAPWLLALYVGVSEAAAIALLVFITFAGLRVRDVEAVARAVLPRHYAAGVRADTWAVFHGCAERRVVVTASPAVMVGEFVREFLGAEVAGTELETFASGKRFTGRIKAVLVGEKKREVVERLFAGGDMPDVGLGDRESDHDFMAICKNKRAPRAAADELLSRAIFHDGRLVRRPEPASALFALAYLPVGFAVALLRVFLNLPVPARLVRHTYRLTGIRLAVRGAPPPPPRPGTPGSLLVCNHRTALDPIIVSIALGRPVTCVTYSVSRLSTAISPIRAAALTRDRAADAARIAALLEEGDVVVCPEGTTCREPYLLRFSALFAELTARIVPVAVEARQGTYYGSTARGWKFLDPYFFYMNPRPGYEVTFLPALRPEETCVAGGRSAVEVANHVQRVIAKELGFQCTTLTRKDNSSPAATSFIVRVTSELIAGGRVLHLHAPRRSLSLTTASSTVHATLELVAGGRVLRHPRPTTELVGDGCILHRPCHVGACRWWPRPLPSQVCARRAPHRRRQRGQHLRDLLPHPPARSSRSRGSVSTGRAWAPQHYCPCQPCVRTGAAPVEAAAAQDVHGQVSLLFE >ORUFI02G01100.1 pep chromosome:OR_W1943:2:695848:700574:-1 gene:ORUFI02G01100 transcript:ORUFI02G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLLLPLAKKLGFTLAALAAARPLSSDSGSPRSSSLSFAGRAPCSTVTLRRAEHHGLLSVAHCKDGGKLSCSGMDSDGPHTSLIHGLPDEIAILCLARVPRRYHNALRCVSKRWRALLSSEEWHSCRKRNNLDEPWVYVICRSTGIKCYVLAPDPTTRSLKIMQVIEPPCSSREGISIETLDKRLFLLGGCSWLKDANDEVFCYDASSNCWSSVAPMPTARCYFVSAALDKKLYITGGLGLTDKSPNSWDIYDPVTNSWCVHKNPMLTPDIVKFVALDGELVTVHKAAWNRMYFAGIYDPLCRTWRGTENEIALCWSGSTVVMDGTLYMLEQSLGTKLMMWQKETKEWIMLGRLSDKLTRPPCELVGIGRKIYIIGRGLSIVTIDLDTVRADGFLVSSSTGPLVEHDFPPERCRVISI >ORUFI02G01110.1 pep chromosome:OR_W1943:2:700826:704112:1 gene:ORUFI02G01110 transcript:ORUFI02G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAWPPLAPAPEAAAPPGGGGGGGAWGAAAVAQRKEVAEESSTHAVSRLVASCANTSGVAVAVVDANAVISGGAALSSSAARLVTVPEVLEEVRDASARRRLALLMAPVETLDPAPEFVKKVVKFARETGDLQTLSDVDIKIIALAYMLETEIHGTNHLREQPPPLRVVNVRNLKEAPLPGWGSNVQNLAEWEELDQMSEAGGDLKSRILPLKDLENHEIPNSETNSISDKQGDEEHQPAKKDVGIAWEDDENNEGWLPAVSRSTHRRYLRRKARRDALKESEQSIETSSASPSIDDDKILSENGLNPVDGPSADTDVMEHQEVNEPEIVADHSQSDNKDNGVGNVGDVEETGGTDACIEELDNLDIKSDSEEGVDSSLADDGSSEQSWALRSLSESTVACITSDYAMQNVILQIGLRLLAPGGMQIRQLHRWVLRCHACYKVTQEIGKIFCPKCGNGGTLRKVSVTVGENGITMASRRPRVTLRGTKFSLPMPQGGRDAITKNPILREDQLPQKVLHPKSKKSNKQDDDFLGVEDIFSHSGEKKVPLKPPVRKALAMFSGKRNPNDNHFSRKKH >ORUFI02G01120.1 pep chromosome:OR_W1943:2:704478:705963:-1 gene:ORUFI02G01120 transcript:ORUFI02G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSCDKPKMNYRKGLWSPEEDQRLRDYIVKHGLGCWSAVPAKAGLQRNGKSCRLRWINYLRPGLKRGMFSQEEEDIVINLQAKLGNKWSQIAMHLPGRTDNEVKNYWNSYLKKRVMQAQGSIPNNLAAAAAEVTSMSTTEPPSLHHHHHHPHHHQIKNSSGSTTTSHDQDANLSSGGSHGGISAPAPVAEPFDQQPKSFVFTGDWMPMSAAAAGQESYSISAQHWPASTASSGNVTPSHGGAFGDQMSGSYGALQQQHQSSAAAAPMAGGGGYFDLLNMGDIYGGFAATSDDLLF >ORUFI02G01130.1 pep chromosome:OR_W1943:2:709959:711173:-1 gene:ORUFI02G01130 transcript:ORUFI02G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSSPATSTAAGEQQLHDQDHRDEAALQQEHAAAGIIPDDEDKLSPPRCEWEFRLAATVPSPALAGASDSIGSLDFDPTGRHLATGGIARKIRIYRVAEPSSPAACICVPAKLSSVRWRPGGGEAVAASHVGCGDYDGVVTEYDVERGVPVWERDEHEGRRVWALDYARGGGAATMVASGSDDRTAHVWDPRAPAGAAGSWATARAGGAVLCVEFDPAGGPQLAVGSADRRAAVHDVRALGRGAVASMDGHGRAVTYVRWAATARRVVTSAADGTHRLWALPAPAAAETAAREVRSYSGHVSGRSFVGMGVWRGAGLIASGSESGHVFVYDLRWSKPIWVHPFSHADAFVSAVAWRQLAGDDSDGQLVAGGSDGVLKLFTTHRRLTPDVAGVGGDDDDDVAA >ORUFI02G01140.1 pep chromosome:OR_W1943:2:726667:732200:1 gene:ORUFI02G01140 transcript:ORUFI02G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFAAAAAAAGVFAGAGAAARPVLLRRRGAPRSGRVRLLRAPPRAGGDRGGDLPPLDEWDRMELEFGRFLGEDPKLTLAKILLKKADPDASSLDVENLIANKKAKLDDILREFMDANRQEQTSESPEETSKPMVDKNSPSMSRPVQTNAKQDEPALTLLRPAGSKLKQDDPPLTLQRPAGSKPKQDGPSLAPLRPVGSKPKENNTSLTLVRPMGSRPIVRGKPVQDSWPSKGSLAARRESSDVGSTSRKNNVDVSLRKPTIHQSDDDELKSKLNLKPNIDLKMRKDMDEDLANISLLQKPELSVDNMNSNQEKSDAGPASVASGEDNGAVDPETNGLDERVVIDSINDRESSVLPDDLSAELQPSEQNFNREGDPSVVDDQSAVSSNFSMQAFLQGKPKMKDLAVETFPSQVDAEKMNASENNMNYVDDGGNVLSSKLEDITESDWTRLENYASTGEKVEVELINCSSKGFVVSLDSLIGFLPYRNLATKWKFLAFETWLRQKGGDPSLFKQSVGIEESFEVNDRNIESVSSSDSELAVQDQGSMPSKEKFEDLLRTYNTEKSKFLSSFIGQRLRVSVVLADRKSKKIFFSMKPKESEELIQKKKSLMAKLNVGDIVECTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYKIGQVVDAKVIQLDYNNNRIFLSLKDVKPNPSVGALEAVIGEELSLGGALEPAEADFEWPEVDALMEEMKNIEEVRDVYKGRFLRSPGLAPTFQVYMAPLVGQKYKLLARYGNNVQEVMVETSLDKEQLKEAVLACTNRVS >ORUFI02G01140.2 pep chromosome:OR_W1943:2:726667:732200:1 gene:ORUFI02G01140 transcript:ORUFI02G01140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFAAAAAAAGVFAGAGAAARPVLLRRRGAPRSGRVRLLRAPPRAGGDRGGDLPPLDEWDRMELEFGRFLGEDPKLTLAKILLKKADPDASSLDVENLIANKKAKLDDILREFMDANRQEQTSESPEETSKPMVDKNSPSMSRPVQTNAKQDEPALTLLRPAGSKLKQDDPPLTLQRPAGSKPKQDGPSLAPLRPVGSKPKENNTSLTLVRPMGSRPIVRGKPVQDSWPSKGSLAARRESSDVGSTSRKNNVDVSLRKPTIHQSDDDELKSKLNLKPNIDLKMRKDMDEDLANISLLQKPELSVDNMNSNQEKSDAGPASVASGEDNGAVDPETNGLDERVVIDSINDRESSVLPDDLSAGDPSVVDDQSAVSSNFSMQAFLQGKPKMKDLAVETFPSQVDAEKMNASENNMNYVDDGGNVLSSKLEDITESDWTRLENYASTGEKVEVELINCSSKGFVVSLDSLIGFLPYRNLATKWKFLAFETWLRQKGGDPSLFKQSVGIEESFEVNDRNIESVSSSDSELAVQDQGSMPSKEKFEDLLRTYNTEKSKFLSSFIGQRLRVSVVLADRKSKKIFFSMKPKESEELIQKKKSLMAKLNVGDIVECTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYKIGQVVDAKVIQLDYNNNRIFLSLKDVKPNPSVGALEAVIGEELSLGGALEPAEADFEWPEVDALMEEMKNIEEVRDVYKGRFLRSPGLAPTFQVYMAPLVGQKYKLLARYGNNVQEVMVETSLDKEQLKEAVLACTNRVS >ORUFI02G01150.1 pep chromosome:OR_W1943:2:733040:735168:-1 gene:ORUFI02G01150 transcript:ORUFI02G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCKFRPSSSFDTKTTTTNAGAPVWNDNEALTVGPRGPILLEDYHLIEKVAHFARERIPERVVHARGASAKGFFECTHDVTDITCADFLRSPGAQTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPRSHVQEYWRVFDFLSHHPESLHTFFFLFDDVGIPTDYRHMDGFGVNTYTFVTRDAKARYVKFHWKPTCGVSCLMDDEATLVGGKNHSHATQDLYDSIAAGNFPEWKLFVQVIDPEEEERFDFDPLDDTKTWPEDEVPLRPVGRLVLNRNVDNFFNENEQLAFGPGLVVPGIYYSDDKMLQCRVFAYADTQRYRLGPNYLMLPVNAPKCAHHNNHYDGAMNFMHRDEEVDYYPSRHAPLRHAPPTPITPRPVVGRRQKATIHKQNDFKQPGERYRSWAPDRQERFIRRFAGELAHPKVSPELRAIWVNYLSQCDESLGVKIANRLNVKPSM >ORUFI02G01160.1 pep chromosome:OR_W1943:2:747325:750801:-1 gene:ORUFI02G01160 transcript:ORUFI02G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRGCAFLLGVLLAGSLFAFSVAKEETKKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGENKVFSPEEVSAMILGKMKETAEAYLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKYSKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLEKSQIHEIVLVGGSTRIPKVQQLLRDYFEGKEPNKGVNPDEAVAYGAAVQGSILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLSGIPAAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVREAEEFAEEDKKVKERIDARNQLETYVYNMKNTVGDKDKLADKLESEEKEKVEEALKEALEWLDENQTAEKEEYEEKLKEVEAVCNPIISAVYQRTGGAPGGGADGEGGVDDEHDEL >ORUFI02G01170.1 pep chromosome:OR_W1943:2:760377:766812:-1 gene:ORUFI02G01170 transcript:ORUFI02G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLCCSGELLALRRGGMNRSVCHHLLTQCKTIRELQRIHAQALTHGLHPNQQSISCKIFRSYAEFGRPADAGRLFDEIPHPDIISFTSLMSLHLKLDHHWKAISVFSHAIASGHRPDGFAAVGALSASGGLGDQRIGSVVHGLIFRCGLDSELVVCNALVDMYCRCGKFEPARTVFDRMLVKDEVTWGSMLYGYMKCVGVDSALSFFYQMPMKSTVSWTALITGHVQDKQPIQALELFGKMLLEGHRPNHITIVGVLSACADIGALDLGRAIHGYGSKSNATTNIIVTNALMDMYAKSGSIASAFSVFEEVQMKDAFTWTTMISSFTVQGNGRKAVELFWDMLRSGILPNSVTFVSVLSACSHAGLIQEGRELFDKMREVYHIDPRLEHYGCMVDLLGRGGLLEEAEALIDHMDVEPDIVIWRSLLSACLAHGNDRLAEIAGMEIIKREPGDDGVYVLLWNMYALSNRWKEALDMRKQMLSRKIYKKPGCSWIEVDGVVHEFLMCSGDDIDGDTRVEATQHRDIRRYKCEFCTVVRSKKCLIRAHMVAHHKEELDKSEIYKSNGEKVVHEGDHTCQECGASFQKPAHLKQHMQSHSDERSFICPLEDCPFSYIRKDHLNRHMLKHQGKLFTCSMDGCGRKFSIKANMQRHVKEIHEDETATKSNRQFVCKEEGCNKVFKYASKMKKHEESHVKLDYVEVVCCEPGCMKTFTNVECLRAHNQACHQYVQCDICGEKHLKKNIKRHLRAHEEVPSTERIKCSFEGCECSFSNKSNLTKHIKASHDQVKPFACRFTGCEKVFPYKHVRDNHEKSSAHVYTQANFTEMDEQLLSCPRGGRKRKAVTVETLTRKRVTMHGDASSLDNGTEYLRWLLSGGDDDSSQTH >ORUFI02G01180.1 pep chromosome:OR_W1943:2:767822:768432:1 gene:ORUFI02G01180 transcript:ORUFI02G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDAAISDMNTGFILMMTGSALPPPTARCALIISLVDHPGNVFKKLIFSLKLDKNDEIKH >ORUFI02G01190.1 pep chromosome:OR_W1943:2:768548:771454:1 gene:ORUFI02G01190 transcript:ORUFI02G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVNDFQEGISTEHAFEAEPVPSLSETITPRSMVVSFILSVTLSIVAMKVTLSSGFIPSFSIPAGLLGFCVSRASIRILDYFAVAQLPFTRQENTIIQTCVVACTSITFTGGFGTYILAMGKKAAVGDVNAQNNVEEPSFARMITFLFLISFAGMFIIMPFRKVMIIRHRLTFPSGTATAHLINSFHTPQGVKQARKQVTLLFKSFGGTIAWSFFQWFFASGPGCGFKFFPTFGLEAYKHGFFFDFTMANVGIGMMCPYMIVFSVFIGTIISCGVIWPYIESKEGIWYPSNLGPNSLNGIRGYKVFIGLSMIMADCLFVFLCIMVRTTCAMIKRRRQAMQGGGGNAQPFQGIDIADQPVKSFDDRRRAQVFLRDEIPDSVTIGCYVLLSIISIAAIPHLYPQMRYSHVALIYLAAPVFAFCNAYGFGVTDMNLASTYCKIAMFAFGSWVGIKSGGVVAALVAGGITMSILGNAADVAQDLKTGYLTLTSPRAVFISEAIGTALGCVVNPTVFWVFYRVYKMGSGDMGDMPYAKLYRGFAMLSVGDGEQGLPRHSMLLFKVFFVLALALSVFREVASRKEWRIRRYIPSTIGMAITFFMPPRVPVGMCIGSLVAYLWEKMDAGRGRMLSPALASGLICGDGVGSILLSMLTLMGARAPICIKFLSRGDNVKLDAFLATLHDMR >ORUFI02G01200.1 pep chromosome:OR_W1943:2:772595:775246:-1 gene:ORUFI02G01200 transcript:ORUFI02G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEGEAEVTREVISVSTEKAFEGKALPAWSEQITVRSLVVSAVLGMFLSFIVMKLNLTSGIVPSLNVSAGLLAFFLMKTWTSALERCGVFPKPFTRQENTVVQTCVISCSSIAFSGGFGTYILGMSKKIAEGFDEAEAKTSINVEEPSLGRLIAFLFLVSFVGLFSIVPLRKIMIISYKLTYPSGSATAHLINSFHTPQGAIQAKHQVSILFKSFVGSFLWSLFQWFYAAGPGCGFSSFPTFGMVAYSRRFYFDFSATYVGVGMICPYIINFSLLIGSVVSWGIMWPYIESKKGSWYDAGLPKSSLHGLNGYQVFISIAMIVGDGLFNFFSIVLRTAYDLYLKRRGGASKQPQETPFAGATGTERQVLSFDDRRRTQVFLKDQIPTTIAAAAYVLLAAISVVAIPHIFRQLRPKHVVWAYVVAPLFAFCNAYGTGLTDWSLSSSYGKLAIFIFGANIGAKDGGVVAGLAACGLMMGIVSTASDLVQDFKTGYLTLTSPRSMFVSQVLGTGMGCIISPMVFWMFYKANNIGMEEGFPAPYAKIYRGIALLGVNGWDQLPRYCLRFCLAFFLLAIAICALKEVAKQRGWWIQDFIPSALGMAVPFFLGSFFTIDMCVGSLVLFLWSRSDPVRAHTFAPAVASGLICGDGIWSLPSSILSLANVNPPMCMRVFSTATNDKVQLFLRTLPTPP >ORUFI02G01210.1 pep chromosome:OR_W1943:2:776855:783011:1 gene:ORUFI02G01210 transcript:ORUFI02G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDLRQRRAAAAADGEGDGKMGSGGEEAEVKGKGQGRKEALGWLEWGRGWMGIVGEFLFQRIAASNLSNPLELPPLDGVSFVVTGATSGIGLEIARQLALAGGHVVMAVRRTKLAQELIQKWQNDNSEIGKPLNAEVMELDLLSLDSVVKFADAWNARMAPLHVLINNAGIFSIGEPQRFSKDGYEEHMQVNHLAPALLAVLLLPSLLRGSPSRIVNVNSVMHTVGFVDAEDMNLTSGKRKFTSLMGYSNSKLAQIKFSSMLHRRIPAEAEINVICASPGIVHTNVARDLPKLVVAAYHLIPYFIFDAQEGSRSTLFAASDPQVPDYCEMLKSEDWPVCACISYDCNPMNASEESHNLETSQLVWEKTLEMIGLPPDAVDKFIEGESVQCRYGQQKAE >ORUFI02G01220.1 pep chromosome:OR_W1943:2:781884:783922:-1 gene:ORUFI02G01220 transcript:ORUFI02G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGDPVDEFLIGGGGEDGDLGVFCDGVPTLPCDGGLGIDDVSGDTCCLDQSVLGKRGRDESSSSGPKSKACREKIRRDRLNDRFLELSSVINPDKQAKLDKANILSDAARLLAELRGEAEKLKESNEKLRETIKDLKVEKNELRDEKVTLKAEKERLEQQVKALSVAPTGFVPHLPHPAAFHPAAFPPFIPPYQALGNKNAPTPAAFQGMAMWQWLPPTAVDTTQDPKLWPPNA >ORUFI02G01230.1 pep chromosome:OR_W1943:2:790319:793501:1 gene:ORUFI02G01230 transcript:ORUFI02G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGGALPVAVLVLLLLLVLKNGAAQSRCSSGDLAALRGFSAGLDGGVDGWPAAVGNASSSSTSDGGDCCAWRGVACDEAGEVVGVVLPNATLRGVVAESLAGLAALRVLNLSSNALRGALPAGLLRLRALQVLDVSVNALEGAVAAAAVVDLPAMREFNVSYNAFNGSHPVLAGAGRLTSYDVSGNSFAGHVDAAALCGASPGLRTLRLSMNGFSGDFPVGFGQCRSLVELSLDGNAIAGALPDDVFGLTSLQVLSLHTNSLSGHLPPSLRNLSSLVRLDVSFNNFTGDLPDVFDAVPGLQELSAPSNLLTGVLPATLSRCSRLRILNLRNNSLAGDIGLDFRALQSLVYLDLGVNRFTGPIPASLPECRAMTALNLGRNNLTGEIPATFAAFTSLSFLSLTGNSFSNVSSALRTLQGLPNLTSLVLTKNFHGGEAMPTDIAGFAGIEVLVIANGELHGAIPAWLAGLSKLKVLDLSWNHLAGPIPPWLGELDRLFYLDVSNNSLHGEIPLKLAWMPALMAGGDGSDEAHVQNFPFFIRPNSSARGRQYNQVSRFPPSLVLARNNLTGGVPAALGALTRVHVVDLSWNALSGPIPPELSGMSSVESLDVSHNALSGAIPPSLARLSFLSHFDVAYNNLSGEVPVGGQFSTFSRADFDGNPLLCGIHAARCAPQAVDGGGGGGRKDRSANAGVVAAIIVGTVLLLAVAAVATWRAWSRWQEDNARVAADDESGSLESAARSTLVLLFANDDDNGNGDDGERTMTLDDVLKATGNFDETRIVGCGGFGMVYRATLADGREVAVKRLSGDFWQMEREFRAEVETLSRVRHRNLVTLQGYCRVGKDRLLIYPYMENGSLDHWLHERADVEGGGALPWPARLSIARGAARGLAHLHATSEPRVLHRDIKSSNILLDARLEPRLADFGLARLVRAHDDTHVTTDLVGTLGYIPPEYGHSSVATYRGDVYSLGVVLLELVTGRRPVDMARPAGGGRDVTSWALRMRREARGDEVVDASVGERRHRDEACRVLDVACACVSDNPKSRPTAQQLVEWLDAIAAAAAD >ORUFI02G01240.1 pep chromosome:OR_W1943:2:796028:800181:1 gene:ORUFI02G01240 transcript:ORUFI02G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIEKPFPTQGGGFSPKRLRAMLLGVEKRRKGQEEEEEGDAGEVDDEYGAVPKSSVRSDADSDARRGGSMCEEYKDVDVVSTISESSSSLETGSGHRSRDTHSMGSRVRVPEEDSCDSESVASNFEFHKERGASARSVTAAIVPPFSKPAPSKWDDAQKWIASPTTNRPGRAGGVPQRKMEKTSFGGGRLPATKVVLEATEEIDTKRVDPSQEKREIGWQKAVNWAPPDPYPEVETCAKSALAEEITVADSAVTFSRHDSSATLQSATTCIPPPPTVRSVSMRDMGTEMTPIASQEPSRTGTPVRATSPDCSRPTTPRKTIGPNAIGAVIGHGECSNVELSEQELQMKTRREIMLLGTQLGKTNIAAWASKKEEEKDASLSLKAVPMDQSTQKVTEIRAAAWEEAEKAKYLARFKREEIKIQAWEDHQRAKIEAEMRKIEVDVERMRARAQDKLMSQLASARHTADEKRAAAELKRSRAAAKTAEQADHIRRTGRMPSSIGCWNWCS >ORUFI02G01250.1 pep chromosome:OR_W1943:2:801065:801573:-1 gene:ORUFI02G01250 transcript:ORUFI02G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGEEFLKAKCLMNCEVAIILEHKYEQIQHMSDGDPSSQVSQVFEKSLQYVKRFSRYKNPDAVRQVRETLSRYGLAEFELCTLGNLCPDTSDEASALVPSLRSGGRFVGDPGSEKIDKMLNDLSLIKKFE >ORUFI02G01260.1 pep chromosome:OR_W1943:2:802117:807065:1 gene:ORUFI02G01260 transcript:ORUFI02G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPLSGLRPRAPAPAPAARSRLCGQSPPVVSPRLGAFPRRRGGAARCSPRAEAGDVEALRAGVSVYKPRSYDVLVSDAARSLACAMDEGKTRLEIEFPPLPSNISSYKGSSDEFIDANIQLALAVARKLKELKGTRSCIVFPDLPEKRRASQLFGTALDSIETATISSLDEVSTGPVNTFFRSMRDTLDFDFADDVEDRWKSDEPPSLYIFINCSTRDLPTIEKYVEQFASSVPALLFNLELDTLRSDLGLLGFPPKDLHYRFLSQITPVFYIRQRDYSKTIAVTPYIVNYSGAVFRQYPGPWQVMLKQADGSYACVAESAARFTLGQAKEELLRVLGLQEEQGSSLEFLRRGYKNATWWEENVDQEKSSAWRT >ORUFI02G01270.1 pep chromosome:OR_W1943:2:802657:814610:-1 gene:ORUFI02G01270 transcript:ORUFI02G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGGGGGRMCSPGWMRRKQQEERTAATLLGRGGRRYPGDRSGLVAGDVVFSHADLSTRLLSLTATRAVYFLNFSNFYFGCDGQGFKNRRGPAAPPPSLSSPPTPRRRPSRRRQSRAAARTAAAGLSVSTARSGAGQGAGKTEQQGADDEEEPTMVARSGAGMHGSGTPMARSAAPGGGEPGRHVAASAAWRWWLWRPGGRVGGRRAASAAWSWQRGGGRGGMTVAAATNGGRCHGLAAALAAVVQLRRSTPTILDKAIGQFTFDIVSKVKIQEQSQTTGMPCAFLFSGNSKSKLDVGVDELIRGTLKNEAKQGRMVRNKRSSHNSLITKHETRTMSVSYETSLR >ORUFI02G01280.1 pep chromosome:OR_W1943:2:809729:819397:1 gene:ORUFI02G01280 transcript:ORUFI02G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESSRSHLQKHHRDERTKKWEQDYKQERGERSRAGTETMETRRATCRSAALLLLAAILASAASASSIGDKCAACKAVAAELEIGISSEKPRNHLDLRNRLNSKGQREGKVIDYRVSELRVVELLDGLCDKMQDYTLQKLESGEKGWVKVADWNSFQTEKKAAARAHSKNLSSFCGRLLEETEDELAEWIKTSSAESGNVSRALCEDISKHCQSTRSAWEKTTSPATRPDRSPGYRLPPRPSRVAAVRSSCCFRRIHPVTMKTHERAANLALAGLSLAPLVVKVEPNVNVILTACLAVYVGCYRSVKPTPPSETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTAYFFILGIAALCATLLPSIKRFLPKEWNDNAIVWCAPFFHSLSVEFTKSQVVASIPGFFFCIWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLAGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKPLLEYNESKAEEEDAAEEDTDSKQNKKEE >ORUFI02G01290.1 pep chromosome:OR_W1943:2:826598:834584:1 gene:ORUFI02G01290 transcript:ORUFI02G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGLFMLLVLFLVMSPDGIRRSLAARPSIVNIGAILRFNSTIGGVSMIAIQAALEDINSDSTILNGTTLKVDMRDTNCDDGFLGMVEALQFMETDVIAIIGPQCSTIAHIVSYVANELRVPLMSFASDATLSLIQFPFFVRTAPSDLYQMDAVAAIVDYYRWKIVTAIYIDDDYGRNGIATLDDALTQRRCKISYKIAFPANARKSDLISLLVSVSYMESRIIILHTGAGPGLKIFSLANQLSMMGNGYVWIATDWLSAYLDANSSVPAETMYGMQGVLTLRPHIPESKMKSNLISKWSRLSKKYSYSYLRTSSYAFYVYDSVWAVARALDAFFDDGGKISFSNDSRLRDETGGTLHLEAMSIFDMGNNLLEKIRKANFTGVSGQVQFDATGDLIHPAYDVINIIGNGMRTVGYWSNYSSLLSTVLPEVLYSKPPNNSLANQHLYDVIWPGQTAQTPRGWVFPSNAKELKIGVPNRFSFREFVTKDNVTGSMKGYCIDVFTQALALLPYPVTYKFIPFGSGNENPNYDKLVQMVEDNEFDAAIGDNTITMSRTVTTDFTQPFIESGLVILAPVKKHIVNSWAFLQPFTLQMWCVTGLFFLVVGAVVWVLEHRINDEFRGSPREQIITIFWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLDTSIRGIDDLKNSDDPIGFQVGSFAEEYMVRELNISRSRLRALGSPEEYAEALKHGPKRGGVMAIVDERPYVELFLSTYCKIAVAGSDFTSTGWGFAFPRDSSLQIDLSTAILSLSENGELQRIHDKWLKTSECSADNTEFVDSDQLRLESFWGLFLICGIACVIALLIYFFTTVRKFLRHEPPEDPTPRPGGSTTLPDERTPPKKGREKCNCRNFISFLDHKEPPKKKRSLSLTPTTPLSNFTALEIEGPVRTARNGSHLYGYDMRAISALLLRPLPPPLDSSMASSSLPSRTFYRHSLPSSTSPPSRGACAAACCCLPAAVSRRRAAAQLLSAAGFLIAVSPPSLAARRGRMVVSPEDYVTAPDGLKYYDLVEGKGPTAEKGSTVQVHFDCIYRGITAVSSREAKLLAGNRSIAQPYEFSVGSLPGKERKREFVDSANGLYSAQASPKPPAAMYTITEGMKVGGKRRVIVPPEFGYGKKGMNEIPPDAPFELDIELLEVVPPAEK >ORUFI02G01290.2 pep chromosome:OR_W1943:2:826598:836231:1 gene:ORUFI02G01290 transcript:ORUFI02G01290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGLFMLLVLFLVMSPDGIRRSLAARPSIVNIGAILRFNSTIGGVSMIAIQAALEDINSDSTILNGTTLKVDMRDTNCDDGFLGMVEALQFMETDVIAIIGPQCSTIAHIVSYVANELRVPLMSFASDATLSLIQFPFFVRTAPSDLYQMDAVAAIVDYYRWKIVTAIYIDDDYGRNGIATLDDALTQRRCKISYKIAFPANARKSDLISLLVSVSYMESRIIILHTGAGPGLKIFSLANQLSMMGNGYVWIATDWLSAYLDANSSVPAETMYGMQGVLTLRPHIPESKMKSNLISKWSRLSKKYSYSYLRTSSYAFYVYDSVWAVARALDAFFDDGGKISFSNDSRLRDETGGTLHLEAMSIFDMGNNLLEKIRKANFTGVSGQVQFDATGDLIHPAYDVINIIGNGMRTVGYWSNYSSLLSTVLPEVLYSKPPNNSLANQHLYDVIWPGQTAQTPRGWVFPSNAKELKIGVPNRFSFREFVTKDNVTGSMKGYCIDVFTQALALLPYPVTYKFIPFGSGNENPNYDKLVQMVEDNEFDAAIGDNTITMSRTVTTDFTQPFIESGLVILAPVKKHIVNSWAFLQPFTLQMWCVTGLFFLVVGAVVWVLEHRINDEFRGSPREQIITIFWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLDTSIRGIDDLKNSDDPIGFQVGSFAEEYMVRELNISRSRLRALGSPEEYAEALKHGPKRGGVMAIVDERPYVELFLSTYCKIAVAGSDFTSTGWGFAFPRDSSLQIDLSTAILSLSENGELQRIHDKWLKTSECSADNTEFVDSDQLRLESFWGLFLICGIACVIALLIYFFTTVRKFLRHEPPEDPTPRPGGSTTLPDERTPPKKGREKCNCRNFISFLDHKEPPKKKRSLSLTPTTPLSNFTALEIEGPVRTARNGSHLYGYDMRAISALLLRPLPPPLDSSMASSSLPSRTFYRHSLPSSTSPPSRGACAAACCCLPAAVSRRRAAAQLLSAAGFLIAVSPPSLAARRGRMVVSPEDYVTAPDGLKYYDLVEGKGPTAEKGSTVQVHFDCIYRGITAVSSREAKLLAGNRSIAQPYEFSVGSLPGKERKREFVDSANGLYSAQASPKPPAAMYTITEGMKVGGKRRVIVPPEFGYGKKGMNEIPPDAPFELDIELLEVVPPAEK >ORUFI02G01300.1 pep chromosome:OR_W1943:2:834187:837719:-1 gene:ORUFI02G01300 transcript:ORUFI02G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKLAKLREAVAGLSQISDNEKSGFISLVARYLSGEEEHVEWAKIHTPTDEVVVPYDTLAAPPEDLEETKKLLNKLAVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESQYPRVVADEFLPWPSKGKTCKDGWYPPGHGDIFPSLMNSGKLDLLLSQVTPKTLADVKGGTLISYEDKVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLQLVQSDLYTLVDGFVTRNPARTNPSNPSIELGPEFKKVECFLGRFKSIPSIVELDTLKVSGDVWFGSSITLKGKVTITAQPGVKLEIPDGAVIENKDINGPEDL >ORUFI02G01310.1 pep chromosome:OR_W1943:2:838318:841339:-1 gene:ORUFI02G01310 transcript:ORUFI02G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRDDEAGWSAEAARRVWGGAVPLQVHLHDADVTTLPPPPPFLTLGPRIGYLPLLVPIIKAHFSSTLPPGIDTVWFEYKGLPLKWYIPIGVLYDLLCADPERPWNLTVHFRGYPSEILTPCDGEDSVKWSYMNSLKEAAFIITGNSKNVMNMSQADQGALWQSVMKGNLDGYMNISTRLKLGPFEEDCLVRTSSVEGQQGSDEPESPGSGKPCRVPVRLYVRSVQEDLYDLEDALPVGDWESISYINRPFEVRREEGRSYITLEHALKTLLPEFFSSKASRIPDDSETAPQAPDSAPNDDSDVTPRSCEKLESSASSSPQEANVANKGKIVKLVRVQGIEVDMDIPFLWVANNLKNPECYLHICVYVGTRKREPKDGR >ORUFI02G01320.1 pep chromosome:OR_W1943:2:842003:844757:-1 gene:ORUFI02G01320 transcript:ORUFI02G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRLPLLLLLPITLTLFLLFPSPPPPRPPPPHQPQPLPCGAAPSDATGGRWVPTPEPLPPPLYTSSCPFHRNAWNCPRNSRPPVAALSWAPARCGGGAVPRIDAAEFLAAARGRRIGLVGDSLSENLVVALLCALRSADGGARKWKRRGAWRGGYFPRDDVVVAYHRAVLLAKYTWQPVENSKELHKDGIKGSYRVDVDIPADEWVNVTRFYDVLIFNTGHWWGLDKFPKETPLVFYRGGKPIEPPLGIYDGLKVVLKSMASYIEREVPSKTLKLWRTQSPRHFYGGEWDHNGSCVSDRLLQEHELDSWFDPRFGGVNKEARLVNSAIQEALIGTDIQLLNLTYMSEFRADAHPAIWLGKKDAVAVWGQDCMHWCLPGVPDTWVDILAARILHHFKQANG >ORUFI02G01330.1 pep chromosome:OR_W1943:2:845584:849147:-1 gene:ORUFI02G01330 transcript:ORUFI02G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPRRKKRVKMSEPKWADLARSGPSRRRRTRVGGLAGDSATESGATKGRAGVCHGCAWRGAAFEASQGERSCRGAPRQPRLTSELACSRRSPGRGKRTIWRQSTGSMSLVTRPLPALYGICTASVKSRAWWLCRDGNLPSTSRISCTEPSNGGSAMELEVMDCNEQTYYENSSASEDEDDDEEEAVEWSKDELDAISALFDRPMRQKPPKPPNPVRQRPLPLPLPHKTRLPNAPAPKQHIRLAARAALSSRSSFSDQVFKNPEVLIGIAREIAALPPESDVSIVLDRWVRFLRKGSLSMTIRELGHMGLPERALQTLCWAQRQTVVPLFPDDRILASTIEVLARFDQLKMEDALEQCVPSASRAVLEAMVSGFIRAGKVGLARKLLEFATINKRTLRPSVHVKLMLEAVRTPEGYGLAAALLDELGERPELHLAQQDCTAVMKVCVKLRRYAAVESLFGWFRDTGGRPTVVMYTAVIHSRCRDGRHREALSLAWEMERHAGGLLDLPAYRVLVKLCVALRDHERGVRYLARMKDAGFVPTGDMYGGLIGGYAAEGRMGRCRRLIREAELAGVKLERRLLSRLSEMGVEHSQL >ORUFI02G01340.1 pep chromosome:OR_W1943:2:846515:847782:1 gene:ORUFI02G01340 transcript:ORUFI02G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSDSGGSAAISRAIPMRTSGSIGQSCLVRQWQRERSLPHRVWRLWWLLAHWPVKECRDGIKLIFAPLYSLFLIIVLILRSRRILIVSEALKLPSSPPDCKHVYKRGSSLSFEQHNGNCSERI >ORUFI02G01350.1 pep chromosome:OR_W1943:2:856348:860877:1 gene:ORUFI02G01350 transcript:ORUFI02G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNICGGSGKAHVSGDCRPPSSGTMTPKTSSSVTTSNSTTGKLSSVGSSFMASSGSGGTSSGFDDGVYPEGQILEAPNLRTFTFIELRTATKNFRPDSVLGEGGFGRVYKGWVDEKTMNPVKGGTGMVVAVKKLNSESMQGYEEWQSEINFLGRLSHPNLVKLLGYCFEDKELLLVYEFMAKGSLENHLFKKGCPPLSWELRLKIAIGAARGLAFLHASEKQVIYRDFKASNILLDANYNAKLSDFGLAKLGPTGSNSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVMLEMMSGQRALDPNRPNGQLSLVDWAKPYLADRRKLARLMDPRFEGQYNSKQAVQAAQLTLNCLAGEPRSRPSMKEVLETLERIESMKSRARDARGSGSSRDHSHGRTTAHQRSSPRPDGRRGSRTNGHATKAR >ORUFI02G01360.1 pep chromosome:OR_W1943:2:869143:873606:1 gene:ORUFI02G01360 transcript:ORUFI02G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPLALAAAIFSGVTAILVYLSGLSSYGGARVSDADLAALGALQSGFSKCVDANGLGLKAIPGEDYCRVVIQYPSDTDSKWVRNSTTILTKEYIDALPNGWEEYAWRRINKGIHLNKCQNRTLCMEKLSLVLPETPPYVPRQFGRCAVVGNSGDLLKTKFGDEIDSYDVVIRENGAPIQNYTEYVGTKSTFRLLNRGSAKALDKVVELDETKKEALIVKTTIHDIMNQMIREIPITNPVYLMLGTSFGSSAKGTGLKALEFALSMCDSVDMYGFTVDPGYKEWTRYFSESRKGHTPLHGRAYYQMMECLGLVKIHSPMRGDPGRVVKWAPTKDTIEAARVASEKLLKRPGAGSEGPLSSCTMIKKREKGKTPKRSVVRHAALKHLEYMRGATRYPLERNAGGGYLCMINER >ORUFI02G01370.1 pep chromosome:OR_W1943:2:873557:874014:-1 gene:ORUFI02G01370 transcript:ORUFI02G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGAAAAVALCCILILLSGDQLHQVAAMSKFCRCYLQCYPDCRKSLPRFICVLKCIDDCSPNKKRMAVGDCNKFCLLAICGMALNGQADVASCVDDCTKNPDLHTKFL >ORUFI02G01380.1 pep chromosome:OR_W1943:2:874798:913681:1 gene:ORUFI02G01380 transcript:ORUFI02G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGSIDLGASAAAGGGEDGLVLASVALVAVWIMGLVATAIEAAIGWVVESILGNFFTGQMQVWTREVGLSEEVEELETEMRSMQMVLAAAESSKIDNRPLSESLDELKELLYDAEDVMDELDYYRLQQHIEGGKGSTAASCTNPEGSYVSSSTPSYFQRVSNSINHNISWPMHGKKRKREEEEEQTHSTMLPLEIKHDISKRINEIVNCLRTRCKPVQGVLQLEILRQIAMPKHSQSEPRKPRQTTSLAIEHKVYGRDTERDNIIELLTKVKSCDLGVLPLVGVGGVGKTTLARFVYHDQRIKDHFDLRMWVCVSDNFNEKNLTHEMLELVCKDRQGYKNIISFDVLQNTLWEEIRGKRFLLILDDMWEDGNRSGWDKLLAPLKCNEEWAFLGNEKLECNPTLQSIGKHIAKALKGNPLAARSVGALLNRSVSFDHWRKVQHKWKFLLKQDDDILAILKFSYEFLPVHLQHCFSYCSLFPKDHKFNGKKLVRAWISQNFVKCECRAERLEETGKQYLDNLVDWGFFEEVESHYVMHDLMHDLAEEVSSDECDTVDGLESKKISPGLQHLSIITTAYDREEPCNFPREKFENILQSIGSLPKLRTLMFFGENSIMLLRSLNTICKESKRLRLLKIYVTAADISSTHNLFKPNHLRYLEFIVVPTNNMFGSRGIVNTSIPQALTKFYHLQVLDVSSKGNLAVPTGLNNLINLRHLIAHEKVHSTIAGLGNLTSLQELIFKVQDADNFNIGQLRALNELVILGISQLENVKTKQEASSARMIDKEHLEELSLLWNDNSMNPEPTAEKTRDDVIEGLEPLQNLKHLQLIRYSGATSPTWLASRVTSLQVLHLENCRQWQIVQSLEMLPLLRKLKLIRMWNLMEVSIPSCLEELDLVNVPKLEKCVGTYGLDLTSGLRVLIVKDCPILNEFTFFHKDYFHAEQKSWFPSLNKLIVGRCHRIITIAEVSYTYFVKLCYRAWKILPLEEMQALKKLELMDVPVVEELSVPSLEKLVLIQIPRLQSCRGITTAPSPHFSTSQGDQRELVCGLRELTINDCPSLLVQFPIPPSSLISFLSIKGLSSFPTMVINRRVLTIESNELSELDGRISPFHNLKGITWVNLRRCPNLTRISIDGFSQPHVMSKPVHDNSIPITSYPVLPSVNSLSIQSCGIAGGWLTLMLPHLQSLENLELRDCPQIKLLSTSQCTKIEAPNSLASAETTSARDKYLLQIPYNLLRSLKRLIIWACRDLEFSGVNEGFGGFTSLVELQIRDCPRLVPSLVSETKDNWLLPTSFQYLTISPLPANMQLFAPEGLTCLRVLSVFCSQYLKSVQLRSCTALECLQLLECQQLSVLEGLQHLSSLVSLDIEMNHELSMAWDHKLQEQEQGSNQVGLFPLSLVHLGITNLEGSVHSRFLCLPSITKLDLWNSPDLKSLQLGYCTALVDLAIDSCKSLASIEGFQSIRNLRSLRVGDSPSVYPCLQLMSQQQGASDIFSQLETLTVDDASVLSVPLCKHLTSLRVLGLHRDGYSGKSMVSLTEEQERALQLLTSLRQLNFYSYQNLEFLPANLRSLDSLEELHIVRCPRILRLPEMGLPPSLKYLLLCGCSEELCMQCRMAETEKLKMRSMYDAEDVMDELDYYRLQQHIEEAGGDSWAVVALLPANRATVRTRMGEVGGGPNFQPHHATNSPLPAYATCVGERAVLAAAYWGAMGLVGTVVDAAIGWMVQGILGSFFTGQMQVWSHEVGLAKDVEMLESEMKSVQMVLAAAEGRRIDNKPLSDSLDELKELFYDAEDRVSGKMNEIITWVIHGRKRKRDEDEPTHSIMLPLEIKHDISQRINGIVNCLHIRNKSVQGVLQLEISRPIVVPKQTQSVARGARLTTSIPIERKVYGRDAEKENIIKLLTSGKPSDLGVLPLVGVGGVGKTTLARFVYHDERIKEHFDLRMWESLLDKIRHKRFLLVLDDIWEDKDRSRWDKLLAPLRFNEANGCMILATTQRTSVARMIGTMHKVEVNGLSDTEFWLLFKAWAFFGNENQEHDPTMQSIGQHIAKALKGNPLAARSVGALLNRNVSYEHWRKVQYKWRYLLEQDDDILTILKFSYEFLPVHLQQCFSYCSLFPKDHKLRGENLVRAWISQNFVECECHSKRLEETGKQYLDNLVDWGFLEEVESHYIMHDLMHDLAEKVSSNECAIIDGLGSKNIPPNVRHLSIITTAYDEKRSCDFPSSEKFENILHKIVPLQKLRTLMFFGESSIIALNDCWCCNLTSLQELIFKVQDASNFNIGQLRSMNELVILGISQLENVKTKEEAKSARLIDKEHLQELSLSWDDKNMNSGPTAEKTRDGVFEGLEPHHNLKHLQLTRYSGATSPTWLASNVKSLQVLHLENCREWQIINSLEMLPVLRKLKLIRMWNLMSVSIPSYLEELILVNTPKLEKCVGTYGSDLTSGLRVLVVKDCPCLNEFTLFHSDYFHTNQKLWFPSLNKLTIGHYHRIIIESNELNELDNRILPFHNLKGLRSMYLQHCPNLSYVSSEVFSQLVALEHLSIEHCPNLFQPHSMSEPVHENSILNTDHLVLPSLRFLKISSCGIVDLEFSGVNRGFSGFTSLVMLQIRECPKLVSSLVTETNDTNVLLPQSLEHLDIGPLPANLQSYFPKGLPCLKKLSLNSGEYLKSGEGYDGETMVSLTEEQERALQLLTSLRVLAFSHLQNLKSLPTNLQSLDCLDELYISVCPSILRLPQMGLPPSLRYLSLYRCSEELCVQCRMAETANLRVGIYSASAIPRPGYASREKNGRGETCLGGSVGWTAWRAAPSGTWYRLLGGLAQSSTRDAVGLYHSQPHVTVSHGIAFLCLHKYISISSAHMVYPALPVLIWQPACQPSIVKLYDLESCGFCGANITHRMLERKQESEMRCAIWSSGGHWATMGRRSIMQVLARFFWASDRFHAELSRCKHVSKLSSLLQMQECISRIVKKHTPSFYDVGQFKNILGWSYLVTVLAQSCREWPPRNETLVHAHRGCCDCESAIRRAAFSRDPPVVWWWGFRAGENLRQMDWFKGLVRSLLALAFTKLAQSRASGRARARRVHWGTMGLVSTAAEAAIGWVVQSILGSFFTGQMQVWTREVGLDKQVEELETEMRNMQMVLAEAEGTKIDNRPLSESLDEIKELIYDAEDFPYPGKGSSAAACTNPEESSASSSTPSYIQQISNRMNQNISWVMDGKKRKREEEEEPTHSVMLPPEVKHGISERINGIVNHLRIRGNPVQGVLQLEILRQIALPKQSQNGPRKSRLTISLMTEHKVYGRDAERDNIIELLTKGKSSDLDVVPLVGVGGVGKTTLARFVYNNNRIENHFDLRMWVCVSDNFNEKSLTCEMLDHVCKDRQEYGNISNFDALQKILLEKIRHKRFLLVLDDMWEDRDRKGWENLLAPLKCNEATGCMILVTTRRTSVARMTGTMSKIDVNGLDETEFWSLFKAWAFLGNENQERDPTLRSIGQHIAEALKGNPLAARSVGALLNWNVSFEHWRKIQYKWRSILEQDDDILAILKLSYEFLPVHLQYCFSYCSLFPKDHKFCGKKLVRAWISQNFVKCECHTKRLEEIGKQYLDKLVDWGFLEEVESHYVMHDLMHDLAEKVSSNEYATVDGLESKKISPGVRHLSIITTYDKEEHCNFPSEKSTYGYLDIVNTSIPQALTKFYHLQVLDGDSTGNLVVPIGMNDLINLRHLIDHEEVHSAIASVGSLTSLQELTFNVQAAGNFSIGQLSSMNELVTLRICQLENVKSEEEAKSARFIDKEHLEALSFTWNDLSMTSEPTAEKTTDDVLEGLEPHHNLKHLQLTRYSGATSPTWLASTVTSLQGLHLYNCREWRVVRSLEKLPLLRKLKLVRMWNLMEVSIPSYLEELVLVDMPKLKKCVGTYGQDLTSGLRELMEMGALKELELIDVPVVEELSVPSLEKLVLIQMPSLQSCNGITASPPLQFSNSQVDQTEWVSSLCELKIHDCSNLVLPWPIPLVSYLSIKEVSAFPTLVIKQRKFTIKYSELSEMDGRIFPFHNLKSITSMRLENCPNLIYNWSEAFSQLIALKHLDISKCPSLLQRHVMSEFLHENTTPDTNYLVPSLKSLKIFSSGISGRWLTRTLPHLPSLEDFELRKCPQIKFLLISQSTGEATSSLASAETTSARDGQLLKMPCNLLRSLKRLCIYDCPDLEFCGGNGGFGGYTSLVELQIYGCPKLLSSPANEMDISLLPTSIQELWIGDLPSFFSPEGLSFLKRLWLSSSQDLKSLQLHSCTALEDLNIWGRQQLGVLEGLQGLSLLRRLNIEMNPELFAAWALKLQEQEQSGNQVGLFPPSLIELEISNLEGSIHPCFFSCLHSLTRLELGDSPELVTLQLGYCTALESLTIYDCKSLASIEGLQSIRNLRRLTTRDSPGVTPCLQLVSQQEGASGIWSRLEVLCTDGASVLTTSLCKHLTSLQSLVFWSRFNDDEPMVSLTEEQERALQLLTSLQQLEFSNHHYLESLPANLRSLDSLEALHINGCRSIRRLPEMGLPPLLTCLNLIGCSKELCLQGKMAQTEKLMFESEWCITALSDIAWLLAIVMDLGSLTLSSRLSEKAKKGTSQSSTQDVTSSGPAAADHETTVPISRHGGEDFASWPHDKLGQYTVRSAYNFLAAHSSNGRGLPSVLDETVKKGKALWKIKAPGKMKINLWRAAHDCQTTPYTSNGGMHLLDDPIEHVLLFCPFASAVWDEVRKSFNLQLGRAALTSAAVEWVVQSILGSFFTGQLQVWTHEVGLIEHVQGLESEMRSMQMVLATTDRRKIDNRPLSESLNELQDVLFDAEDVMDELDYYQLQQQIEGKGSRASACIDPEGSCVSSYTPSLFQQVSSSMNQIIGWAMHGRKRKREEEPTHSIILPLEIKHDISDRIKGILNQLRIKGKPVLEILQLELSCQIAMSKQIQSGPRKPRQTTSLLIERKVYGRDAERDNIIELLTKGKSSDLGVLPLVGVGGVGKTTLARFVYHDQRIKDHFDLRMWVCVSDNFNEKSLTREILEHVCKDRQGYENIIGLGALQDTLLENIRCKRFLLVLDDMWEDEDMSGWDNLLAPFKCNEATGCMILVTTRRTSVAKRIGTVNKVEVNGLDETEFWLLFKAWAFNGYENLELDPFFQSTGKDIARELKGNPLAARSVGALLNRSVSFEHWRKVQYKWKSLVGQDDDILSILMISYEYLPVHLQHCFSYCSLFPKDHKFNGKKLVHAWISQNFVKCECHTERLEETGMQYLDNLVDWGFFEEVESHYVMHDLMHDLAEKVSSNECAAIDGLESNKISPSVRHLSIITTAYDKDGPRSFPTKNFENKLQNIRIYVTVSDISSIHNFLKPHHLRYLEFIVVPATNMFGHMDIANTSIPQAFTNFFHLQVLDVSSNGNIAVPIGMNKLINLRHLIAHEKVHSAIDSVGKLTCLQKLIFKVQDADSFEIGQLRAMNDLVILGISQLENVKTKKEARSARLMDKEHLKELSLSWNDNMSSGPTEENTRYDVLEGLEPHGNLKHLQLTGYSGATSPTWFASKVLHLENCTEWRIVQYLEMLPLLRKLKLIRMWNLVEVSIPSYLEELVLVNMPKLENCVGTYGIELTSRIRVLMVKDCPQLNEFVLFHRDHFHAEQKSWFPSLNKLMIGHCYRIIMWKILPLEEMRALKELELMDVPIVEELPVPSLEKLVLIQMRSLQICSGITASPVQVSTSQVDQNEWISSLRELTIHDCSSLVVSLPILPSPLMSYSSIKRLSAFPTMEINNRKFTIESDELSELDGRILSFHNLKGVTSIYLRRCPNLTRISTEGFNQLITLECLVIQKCPNLFQLQISDQANNTSSATNIPALPSLKSLTISSCGIAGRWLTQMLHHVNSLEKLDLFDCPQIKFLLTNQPTEREVTSSLASAEITSAGDEQLLQIPCSLLHSLKWLSISECPDLEFCGGSGGFAGFTSLVQLQIKNCPKLVSALVSETNDNGLLPMSLQDLSLSPLSVSENLQSFSPEGLPCLRRLSLCRSQHLKSMQLHSCTSLEYLKISGCRSLVVLEGLSSLRRLDIQMNPELSAAWHLKLQEQEQGGNQAQVFPPSLVELHISNLEGSISSQFLCLPSVTKLAVRDSPALKSIQLKHCMTLEKLEIINCKLLASIEDFHSIRNLRSLKVLGTRSLSPYLQQEASGMWFRLESLMIDDAAVLSVHLCIQLTSLRILQFWSMGMASLTEEQERALQLLTSLRQLGFSRCQKLESLPANLRSLDFLEVLRIDECRSIRRLPEMGLPPSLSYLDLYGCCEELCMQGRMAETEKLKVEIIYKQ >ORUFI02G01380.2 pep chromosome:OR_W1943:2:874798:913681:1 gene:ORUFI02G01380 transcript:ORUFI02G01380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGSIDLGASAAAGGGEDGLVLASVALVAVWIMGLVATAIEAAIGWVVESILGNFFTGQMQVWTREVGLSEEVEELETEMRSMQMVLAAAESSKIDNRPLSESLDELKELLYDAEDVMDELDYYRLQQHIEGGKGSTAASCTNPEGSYVSSSTPSYFQRVSNSINHNISWPMHGKKRKREEEEEQTHSTMLPLEIKHDISKRINEIVNCLRTRCKPVQGVLQLEILRQIAMPKHSQSEPRKPRQTTSLAIEHKVYGRDTERDNIIELLTKVKSCDLGVLPLVGVGGVGKTTLARFVYHDQRIKDHFDLRMWVCVSDNFNEKNLTHEMLELVCKDRQGYKNIISFDVLQNTLWEEIRGKRFLLILDDMWEDGNRSGWDKLLAPLKCNEEWAFLGNEKLECNPTLQSIGKHIAKALKGNPLAARSVGALLNRSVSFDHWRKVQHKWKFLLKQDDDILAILKFSYEFLPVHLQHCFSYCSLFPKDHKFNGKKLVRAWISQNFVKCECRAERLEETGKQYLDNLVDWGFFEEVESHYVMHDLMHDLAEEVSSDECDTVDGLESKKISPGLQHLSIITTAYDREEPCNFPREKFENILQSIGSLPKLRTLMFFGENSIMLLRSLNTICKESKRLRLLKIYVTAADISSTHNLFKPNHLRYLEFIVVPTNNMFGSRGIVNTSIPQALTKFYHLQVLDVSSKGNLAVPTGLNNLINLRHLIAHEKVHSTIAGLGNLTSLQELIFKVQDADNFNIGQLRALNELVILGISQLENVKTKQEASSARMIDKEHLEELSLLWNDNSMNPEPTAEKTRDDVIEGLEPLQNLKHLQLIRYSGATSPTWLASRVTSLQVLHLENCRQWQIVQSLEMLPLLRKLKLIRMWNLMEVSIPSCLEELDLVNVPKLEKCVGTYGLDLTSGLRVLIVKDCPILNEFTFFHKDYFHAEQKSWFPSLNKLIVGRCHRIIAWKILPLEEMQALKKLELMDVPVVEELSVPSLEKLVLIQIPRLQSCRGITTAPSPHFSTSQGDQRELVCGLRELTINDCPSLLVQFPIPPSSLISFLSIKGLSSFPTMVINRRVLTIESNELSELDGRISPFHNLKGITWVNLRRCPNLTRISIDGFSQPHVMSKPVHDNSIPITSYPVLPSVNSLSIQSCGIAGGWLTLMLPHLQSLENLELRDCPQIKLLSTSQCTKIEAPNSLASAETTSARDKYLLQIPYNLLRSLKRLIIWACRDLEFSGVNEGFGGFTSLVELQIRDCPRLVPSLVSETKDNWLLPTSFQYLTISPLPANMQLFAPEGLTCLRVLSVFCSQYLKSVQLRSCTALECLQLLECQQLSVLEGLQHLSSLVSLDIEMNHELSMAWDHKLQEQEQGSNQVGLFPLSLVHLGITNLEGSVHSRFLCLPSITKLDLWNSPDLKSLQLGYCTALVDLAIDSCKSLASIEGFQSIRNLRSLRVGDSPSVYPCLQLMSQQQGASDIFSQLETLTVDDASVLSVPLCKHLTSLRVLGLHRDGYSGKSMVSLTEEQERALQLLTSLRQLNFYSYQNLEFLPANLRSLDSLEELHIVRCPRILRLPEMGLPPSLKYLLLCGCSEELCMQCRMAETEKLKMRSMYDAEDVMDELDYYRLQQHIEEAGGDSWAVVALLPANRATVRTRMGEVGGGPNFQPHHATNSPLPAYATCVGERAVLAAAYWGAMGLVGTVVDAAIGWMVQGILGSFFTGQMQVWSHEVGLAKDVEMLESEMKSVQMVLAAAEGRRIDNKPLSDSLDELKELFYDAEDRVSGKMNEIITWVIHGRKRKRDEDEPTHSIMLPLEIKHDISQRINGIVNCLHIRNKSVQGVLQLEISRPIVVPKQTQSVARGARLTTSIPIERKVYGRDAEKENIIKLLTSGKPSDLGVLPLVGVGGVGKTTLARFVYHDERIKEHFDLRMWESLLDKIRHKRFLLVLDDIWEDKDRSRWDKLLAPLRFNEANGCMILATTQRTSVARMIGTMHKVEVNGLSDTEFWLLFKAWAFFGNENQEHDPTMQSIGQHIAKALKGNPLAARSVGALLNRNVSYEHWRKVQYKWRYLLEQDDDILTILKFSYEFLPVHLQQCFSYCSLFPKDHKLRGENLVRAWISQNFVECECHSKRLEETGKQYLDNLVDWGFLEEVESHYIMHDLMHDLAEKVSSNECAIIDGLGSKNIPPNVRHLSIITTAYDEKRSCDFPSSEKFENILHKIVPLQKLRTLMFFGESSIIALNDCWCCNLTSLQELIFKVQDASNFNIGQLRSMNELVILGISQLENVKTKEEAKSARLIDKEHLQELSLSWDDKNMNSGPTAEKTRDGVFEGLEPHHNLKHLQLTRYSGATSPTWLASNVKSLQVLHLENCREWQIINSLEMLPVLRKLKLIRMWNLMSVSIPSYLEELILVNTPKLEKCVGTYGSDLTSGLRVLVVKDCPCLNEFTLFHSDYFHTNQKLWFPSLNKLTIGHYHRIIIESNELNELDNRILPFHNLKGLRSMYLQHCPNLSYVSSEVFSQLVALEHLSIEHCPNLFQPHSMSEPVHENSILNTDHLVLPSLRFLKISSCGIVDLEFSGVNRGFSGFTSLVMLQIRECPKLVSSLVTETNDTNVLLPQSLEHLDIGPLPANLQSYFPKGLPCLKKLSLNSGEYLKSGEGYDGETMVSLTEEQERALQLLTSLRVLAFSHLQNLKSLPTNLQSLDCLDELYISVCPSILRLPQMGLPPSLRYLSLYRCSEELCVQCRMAETANLRVGIYSASAIPRPGYASREKNGRGETCLGGSVGWTAWRAAPSGTWYRLLGGLAQSSTRDAVGLYHSQPHVTVSHGIAFLCLHKYISISSAHMVYPALPVLIWQPACQPSIVKLYDLESCGFCGANITHRMLERKQESEMRCAIWSSGGHWATMGRRSIMQVLARFFWASDRFHAELSRCKHVSKLSSLLQMQECISRIVKKHTPSFYDVGQFKNILGWSYLVTVLAQSCREWPPRNETLVHAHRGCCDCESAIRRAAFSRDPPVVWWWGFRAGENLRQMDWFKGLVRSLLALAFTKLAQSRASGRARARRVHWGTMGLVSTAAEAAIGWVVQSILGSFFTGQMQVWTREVGLDKQVEELETEMRNMQMVLAEAEGTKIDNRPLSESLDEIKELIYDAEDFPYPGKGSSAAACTNPEESSASSSTPSYIQQISNRMNQNISWVMDGKKRKREEEEEPTHSVMLPPEVKHGISERINGIVNHLRIRGNPVQGVLQLEILRQIALPKQSQNGPRKSRLTISLMTEHKVYGRDAERDNIIELLTKGKSSDLDVVPLVGVGGVGKTTLARFVYNNNRIENHFDLRMWVCVSDNFNEKSLTCEMLDHVCKDRQEYGNISNFDALQKILLEKIRHKRFLLVLDDMWEDRDRKGWENLLAPLKCNEATGCMILVTTRRTSVARMTGTMSKIDVNGLDETEFWSLFKAWAFLGNENQERDPTLRSIGQHIAEALKGNPLAARSVGALLNWNVSFEHWRKIQYKWRSILEQDDDILAILKLSYEFLPVHLQYCFSYCSLFPKDHKFCGKKLVRAWISQNFVKCECHTKRLEEIGKQYLDKLVDWGFLEEVESHYVMHDLMHDLAEKVSSNEYATVDGLESKKISPGVRHLSIITTYDKEEHCNFPSEKSTYGYLDIVNTSIPQALTKFYHLQVLDGDSTGNLVVPIGMNDLINLRHLIDHEEVHSAIASVGSLTSLQELTFNVQAAGNFSIGQLSSMNELVTLRICQLENVKSEEEAKSARFIDKEHLEALSFTWNDLSMTSEPTAEKTTDDVLEGLEPHHNLKHLQLTRYSGATSPTWLASTVTSLQGLHLYNCREWRVVRSLEKLPLLRKLKLVRMWNLMEVSIPSYLEELVLVDMPKLKKCVGTYGQDLTSGLRELMEMGALKELELIDVPVVEELSVPSLEKLVLIQMPSLQSCNGITASPPLQFSNSQVDQTEWVSSLCELKIHDCSNLVLPWPIPLVSYLSIKEVSAFPTLVIKQRKFTIKYSELSEMDGRIFPFHNLKSITSMRLENCPNLIYNWSEAFSQLIALKHLDISKCPSLLQRHVMSEFLHENTTPDTNYLVPSLKSLKIFSSGISGRWLTRTLPHLPSLEDFELRKCPQIKFLLISQSTGEATSSLASAETTSARDGQLLKMPCNLLRSLKRLCIYDCPDLEFCGGNGGFGGYTSLVELQIYGCPKLLSSPANEMDISLLPTSIQELWIGDLPSFFSPEGLSFLKRLWLSSSQDLKSLQLHSCTALEDLNIWGRQQLGVLEGLQGLSLLRRLNIEMNPELFAAWALKLQEQEQSGNQVGLFPPSLIELEISNLEGSIHPCFFSCLHSLTRLELGDSPELVTLQLGYCTALESLTIYDCKSLASIEGLQSIRNLRRLTTRDSPGVTPCLQLVSQQEGASGIWSRLEVLCTDGASVLTTSLCKHLTSLQSLVFWSRFNDDEPMVSLTEEQERALQLLTSLQQLEFSNHHYLESLPANLRSLDSLEALHINGCRSIRRLPEMGLPPLLTCLNLIGCSKELCLQGKMAQTEKLMFESEWCITALSDIAWLLAIVMDLGSLTLSSRLSEKAKKGTSQSSTQDVTSSGPAAADHETTVPISRHGGEDFASWPHDKLGQYTVRSAYNFLAAHSSNGRGLPSVLDETVKKGKALWKIKAPGKMKINLWRAAHDCQTTPYTSNGGMHLLDDPIEHVLLFCPFASAVWDEVRKSFNLQLGRAALTSAAVEWVVQSILGSFFTGQLQVWTHEVGLIEHVQGLESEMRSMQMVLATTDRRKIDNRPLSESLNELQDVLFDAEDVMDELDYYQLQQQIEGKGSRASACIDPEGSCVSSYTPSLFQQVSSSMNQIIGWAMHGRKRKREEEPTHSIILPLEIKHDISDRIKGILNQLRIKGKPVLEILQLELSCQIAMSKQIQSGPRKPRQTTSLLIERKVYGRDAERDNIIELLTKGKSSDLGVLPLVGVGGVGKTTLARFVYHDQRIKDHFDLRMWVCVSDNFNEKSLTREILEHVCKDRQGYENIIGLGALQDTLLENIRCKRFLLVLDDMWEDEDMSGWDNLLAPFKCNEATGCMILVTTRRTSVAKRIGTVNKVEVNGLDETEFWLLFKAWAFNGYENLELDPFFQSTGKDIARELKGNPLAARSVGALLNRSVSFEHWRKVQYKWKSLVGQDDDILSILMISYEYLPVHLQHCFSYCSLFPKDHKFNGKKLVHAWISQNFVKCECHTERLEETGMQYLDNLVDWGFFEEVESHYVMHDLMHDLAEKVSSNECAAIDGLESNKISPSVRHLSIITTAYDKDGPRSFPTKNFENKLQNIRIYVTVSDISSIHNFLKPHHLRYLEFIVVPATNMFGHMDIANTSIPQAFTNFFHLQVLDVSSNGNIAVPIGMNKLINLRHLIAHEKVHSAIDSVGKLTCLQKLIFKVQDADSFEIGQLRAMNDLVILGISQLENVKTKKEARSARLMDKEHLKELSLSWNDNMSSGPTEENTRYDVLEGLEPHGNLKHLQLTGYSGATSPTWFASKVLHLENCTEWRIVQYLEMLPLLRKLKLIRMWNLVEVSIPSYLEELVLVNMPKLENCVGTYGIELTSRIRVLMVKDCPQLNEFVLFHRDHFHAEQKSWFPSLNKLMIGHCYRIIMWKILPLEEMRALKELELMDVPIVEELPVPSLEKLVLIQMRSLQICSGITASPVQVSTSQVDQNEWISSLRELTIHDCSSLVVSLPILPSPLMSYSSIKRLSAFPTMEINNRKFTIESDELSELDGRILSFHNLKGVTSIYLRRCPNLTRISTEGFNQLITLECLVIQKCPNLFQLQISDQANNTSSATNIPALPSLKSLTISSCGIAGRWLTQMLHHVNSLEKLDLFDCPQIKFLLTNQPTEREVTSSLASAEITSAGDEQLLQIPCSLLHSLKWLSISECPDLEFCGGSGGFAGFTSLVQLQIKNCPKLVSALVSETNDNGLLPMSLQDLSLSPLSVSENLQSFSPEGLPCLRRLSLCRSQHLKSMQLHSCTSLEYLKISGCRSLVVLEGLSSLRRLDIQMNPELSAAWHLKLQEQEQGGNQAQVFPPSLVELHISNLEGSISSQFLCLPSVTKLAVRDSPALKSIQLKHCMTLEKLEIINCKLLASIEDFHSIRNLRSLKVLGTRSLSPYLQQEASGMWFRLESLMIDDAAVLSVHLCIQLTSLRILQFWSMGMASLTEEQERALQLLTSLRQLGFSRCQKLESLPANLRSLDFLEVLRIDECRSIRRLPEMGLPPSLSYLDLYGCCEELCMQGRMAETEKLKVEIIYKQ >ORUFI02G01380.3 pep chromosome:OR_W1943:2:874798:913681:1 gene:ORUFI02G01380 transcript:ORUFI02G01380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGSIDLGASAAAGGGEDGLVLASVALVAVWIMGLVATAIEAAIGWVVESILGNFFTGQMQVWTREVGLSEEVEELETEMRSMQMVLAAAESSKIDNRPLSESLDELKELLYDAEDVMDELDYYRLQQHIEGGKGSTAASCTNPEGSYVSSSTPSYFQRVSNSINHNISWPMHGKKRKREEEEEQTHSTMLPLEIKHDISKRINEIVNCLRTRCKPVQGVLQLEILRQIAMPKHSQSEPRKPRQTTSLAIEHKVYGRDTERDNIIELLTKVKSCDLGVLPLVGVGGVGKTTLARFVYHDQRIKDHFDLRMWVCVSDNFNEKNLTHEMLELVCKDRQGYKNIISFDVLQNTLWEEIRGKRFLLILDDMWEDGNRSGWDKLLAPLKCNEEWAFLGNEKLECNPTLQSIGKHIAKALKGNPLAARSVGALLNRSVSFDHWRKVQHKWKFLLKQDDDILAILKFSYEFLPVHLQHCFSYCSLFPKDHKFNGKKLVRAWISQNFVKCECRAERLEETGKQYLDNLVDWGFFEEVESHYVMHDLMHDLAEEVSSDECDTVDGLESKKISPGLQHLSIITTAYDREEPCNFPREKFENILQSIGSLPKLRTLMFFGENSIMLLRSLNTICKESKRLRLLKIYVTAADISSTHNLFKPNHLRYLEFIVVPTNNMFGSRGIVNTSIPQALTKFYHLQVLDVSSKGNLAVPTGLNNLINLRHLIAHEKVHSTIAGLGNLTSLQELIFKVQDADNFNIGQLRALNELVILGISQLENVKTKQEASSARMIDKEHLEELSLLWNDNSMNPEPTAEKTRDDVIEGLEPLQNLKHLQLIRYSGATSPTWLASRVTSLQVLHLENCRQWQIVQSLEMLPLLRKLKLIRMWNLMEVSIPSCLEELDLVNVPKLEKCVGTYGLDLTSGLRVLIVKDCPILNEFTFFHKDYFHAEQKSWFPSLNKLIVGRCHRIITIAEVSYTYFVKLCYRAWKILPLEEMQALKKLELMDVPVVEELSVPSLEKLVLIQIPRLQSCRGITTAPSPHFSTSQGDQRELVCGLRELTINDCPSLLVQFPIPPSSLISFLSIKGLSSFPTMVINRRVLTIESNELSELDGRISPFHNLKGITWVNLRRCPNLTRISIDGFSQPHVMSKPVHDNSIPITSYPVLPSVNSLSIQSCGIAGGWLTLMLPHLQSLENLELRDCPQIKLLSTSQCTKIEAPNSLASAETTSARDKYLLQIPYNLLRSLKRLIIWACRDLEFSGVNEGFGGFTSLVELQIRDCPRLVPSLVSETKDNWLLPTSFQYLTISPLPANMQLFAPEGLTCLRVLSVFCSQYLKSVQLRSCTALECLQLLECQQLSVLEGLQHLSSLVSLDIEMNHELSMAWDHKLQEQEQGSNQVGLFPLSLVHLGITNLEGSVHSRFLCLPSITKLDLWNSPDLKSLQLGYCTALVDLAIDSCKSLASIEGFQSIRNLRSLRVGDSPSVYPCLQLMSQQQGASDIFSQLETLTVDDASVLSVPLCKHLTSLRVLGLHRDGYSGKSMVSLTEEQERALQLLTSLRQLNFYSYQNLEFLPANLRSLDSLEELHIVRCPRILRLPEMGLPPSLKYLLLCGCSEELCMQCRMAETEKLKRPGVTREWAVVALLPANRATVRTRMGEVGGGPNFQPHHATNSPLPAYATCVGERAVLAAAYWGAMGLVGTVVDAAIGWMVQGILGSFFTGQMQVWSHEVGLAKDVEMLESEMKSVQMVLAAAEGRRIDNKPLSDSLDELKELFYDAEDRVSGKMNEIITWVIHGRKRKRDEDEPTHSIMLPLEIKHDISQRINGIVNCLHIRNKSVQGVLQLEISRPIVVPKQTQSVARGARLTTSIPIERKVYGRDAEKENIIKLLTSGKPSDLGVLPLVGVGGVGKTTLARFVYHDERIKEHFDLRMWESLLDKIRHKRFLLVLDDIWEDKDRSRWDKLLAPLRFNEANGCMILATTQRTSVARMIGTMHKVEVNGLSDTEFWLLFKAWAFFGNENQEHDPTMQSIGQHIAKALKGNPLAARSVGALLNRNVSYEHWRKVQYKWRYLLEQDDDILTILKFSYEFLPVHLQQCFSYCSLFPKDHKLRGENLVRAWISQNFVECECHSKRLEETGKQYLDNLVDWGFLEEVESHYIMHDLMHDLAEKVSSNECAIIDGLGSKNIPPNVRHLSIITTAYDEKRSCDFPSSEKFENILHKIVPLQKLRTLMFFGESSIIALNDCWCCNLTSLQELIFKVQDASNFNIGQLRSMNELVILGISQLENVKTKEEAKSARLIDKEHLQELSLSWDDKNMNSGPTAEKTRDGVFEGLEPHHNLKHLQLTRYSGATSPTWLASNVKSLQVLHLENCREWQIINSLEMLPVLRKLKLIRMWNLMSVSIPSYLEELILVNTPKLEKCVGTYGSDLTSGLRVLVVKDCPCLNEFTLFHSDYFHTNQKLWFPSLNKLTIGHYHRIIIESNELNELDNRILPFHNLKGLRSMYLQHCPNLSYVSSEVFSQLVALEHLSIEHCPNLFQPHSMSEPVHENSILNTDHLVLPSLRFLKISSCGIVDLEFSGVNRGFSGFTSLVMLQIRECPKLVSSLVTETNDTNVLLPQSLEHLDIGPLPANLQSYFPKGLPCLKKLSLNSGEYLKSGEGYDGETMVSLTEEQERALQLLTSLRVLAFSHLQNLKSLPTNLQSLDCLDELYISVCPSILRLPQMGLPPSLRYLSLYRCSEELCVQCRMAETANLRVGIYSASAIPRPGYASREKNGRGETCLGGSVGWTAWRAAPSGTWYRLLGGLAQSSTRDAVGLYHSQPHVTVSHGIAFLCLHKYISISSAHMVYPALPVLIWQPACQPSIVKLYDLESCGFCGANITHRMLERKQESEMRCAIWSSGGHWATMGRRSIMQVLARFFWASDRFHAELSRCKHVSKLSSLLQMQECISRIVKKHTPSFYDVGQFKNILGWSYLVTVLAQSCREWPPRNETLVHAHRGCCDCESAIRRAAFSRDPPVVWWWGFRAGENLRQMDWFKGLVRSLLALAFTKLAQSRASGRARARRVHWGTMGLVSTAAEAAIGWVVQSILGSFFTGQMQVWTREVGLDKQVEELETEMRNMQMVLAEAEGTKIDNRPLSESLDEIKELIYDAEDFPYPGKGSSAAACTNPEESSASSSTPSYIQQISNRMNQNISWVMDGKKRKREEEEEPTHSVMLPPEVKHGISERINGIVNHLRIRGNPVQGVLQLEILRQIALPKQSQNGPRKSRLTISLMTEHKVYGRDAERDNIIELLTKGKSSDLDVVPLVGVGGVGKTTLARFVYNNNRIENHFDLRMWVCVSDNFNEKSLTCEMLDHVCKDRQEYGNISNFDALQKILLEKIRHKRFLLVLDDMWEDRDRKGWENLLAPLKCNEATGCMILVTTRRTSVARMTGTMSKIDVNGLDETEFWSLFKAWAFLGNENQERDPTLRSIGQHIAEALKGNPLAARSVGALLNWNVSFEHWRKIQYKWRSILEQDDDILAILKLSYEFLPVHLQYCFSYCSLFPKDHKFCGKKLVRAWISQNFVKCECHTKRLEEIGKQYLDKLVDWGFLEEVESHYVMHDLMHDLAEKVSSNEYATVDGLESKKISPGVRHLSIITTYDKEEHCNFPSEKSTYGYLDIVNTSIPQALTKFYHLQVLDGDSTGNLVVPIGMNDLINLRHLIDHEEVHSAIASVGSLTSLQELTFNVQAAGNFSIGQLSSMNELVTLRICQLENVKSEEEAKSARFIDKEHLEALSFTWNDLSMTSEPTAEKTTDDVLEGLEPHHNLKHLQLTRYSGATSPTWLASTVTSLQGLHLYNCREWRVVRSLEKLPLLRKLKLVRMWNLMEVSIPSYLEELVLVDMPKLKKCVGTYGQDLTSGLRELMEMGALKELELIDVPVVEELSVPSLEKLVLIQMPSLQSCNGITASPPLQFSNSQVDQTEWVSSLCELKIHDCSNLVLPWPIPLVSYLSIKEVSAFPTLVIKQRKFTIKYSELSEMDGRIFPFHNLKSITSMRLENCPNLIYNWSEAFSQLIALKHLDISKCPSLLQRHVMSEFLHENTTPDTNYLVPSLKSLKIFSSGISGRWLTRTLPHLPSLEDFELRKCPQIKFLLISQSTGEATSSLASAETTSARDGQLLKMPCNLLRSLKRLCIYDCPDLEFCGGNGGFGGYTSLVELQIYGCPKLLSSPANEMDISLLPTSIQELWIGDLPSFFSPEGLSFLKRLWLSSSQDLKSLQLHSCTALEDLNIWGRQQLGVLEGLQGLSLLRRLNIEMNPELFAAWALKLQEQEQSGNQVGLFPPSLIELEISNLEGSIHPCFFSCLHSLTRLELGDSPELVTLQLGYCTALESLTIYDCKSLASIEGLQSIRNLRRLTTRDSPGVTPCLQLVSQQEGASGIWSRLEVLCTDGASVLTTSLCKHLTSLQSLVFWSRFNDDEPMVSLTEEQERALQLLTSLQQLEFSNHHYLESLPANLRSLDSLEALHINGCRSIRRLPEMGLPPLLTCLNLIGCSKELCLQGKMAQTEKLMFESEWCITALSDIAWLLAIVMDLGSLTLSSRLSEKAKKGTSQSSTQDVTSSGPAAADHETTVPISRHGGEDFASWPHDKLGQYTVRSAYNFLAAHSSNGRGLPSVLDETVKKGKALWKIKAPGKMKINLWRAAHDCQTTPYTSNGGMHLLDDPIEHVLLFCPFASAVWDEVRKSFNLQLGRAALTSAAVEWVVQSILGSFFTGQLQVWTHEVGLIEHVQGLESEMRSMQMVLATTDRRKIDNRPLSESLNELQDVLFDAEDVMDELDYYQLQQQIEGKGSRASACIDPEGSCVSSYTPSLFQQVSSSMNQIIGWAMHGRKRKREEEPTHSIILPLEIKHDISDRIKGILNQLRIKGKPVLEILQLELSCQIAMSKQIQSGPRKPRQTTSLLIERKVYGRDAERDNIIELLTKGKSSDLGVLPLVGVGGVGKTTLARFVYHDQRIKDHFDLRMWVCVSDNFNEKSLTREILEHVCKDRQGYENIIGLGALQDTLLENIRCKRFLLVLDDMWEDEDMSGWDNLLAPFKCNEATGCMILVTTRRTSVAKRIGTVNKVEVNGLDETEFWLLFKAWAFNGYENLELDPFFQSTGKDIARELKGNPLAARSVGALLNRSVSFEHWRKVQYKWKSLVGQDDDILSILMISYEYLPVHLQHCFSYCSLFPKDHKFNGKKLVHAWISQNFVKCECHTERLEETGMQYLDNLVDWGFFEEVESHYVMHDLMHDLAEKVSSNECAAIDGLESNKISPSVRHLSIITTAYDKDGPRSFPTKNFENKLQNIRIYVTVSDISSIHNFLKPHHLRYLEFIVVPATNMFGHMDIANTSIPQAFTNFFHLQVLDVSSNGNIAVPIGMNKLINLRHLIAHEKVHSAIDSVGKLTCLQKLIFKVQDADSFEIGQLRAMNDLVILGISQLENVKTKKEARSARLMDKEHLKELSLSWNDNMSSGPTEENTRYDVLEGLEPHGNLKHLQLTGYSGATSPTWFASKVLHLENCTEWRIVQYLEMLPLLRKLKLIRMWNLVEVSIPSYLEELVLVNMPKLENCVGTYGIELTSRIRVLMVKDCPQLNEFVLFHRDHFHAEQKSWFPSLNKLMIGHCYRIIMWKILPLEEMRALKELELMDVPIVEELPVPSLEKLVLIQMRSLQICSGITASPVQVSTSQVDQNEWISSLRELTIHDCSSLVVSLPILPSPLMSYSSIKRLSAFPTMEINNRKFTIESDELSELDGRILSFHNLKGVTSIYLRRCPNLTRISTEGFNQLITLECLVIQKCPNLFQLQISDQANNTSSATNIPALPSLKSLTISSCGIAGRWLTQMLHHVNSLEKLDLFDCPQIKFLLTNQPTEREVTSSLASAEITSAGDEQLLQIPCSLLHSLKWLSISECPDLEFCGGSGGFAGFTSLVQLQIKNCPKLVSALVSETNDNGLLPMSLQDLSLSPLSVSENLQSFSPEGLPCLRRLSLCRSQHLKSMQLHSCTSLEYLKISGCRSLVVLEGLSSLRRLDIQMNPELSAAWHLKLQEQEQGGNQAQVFPPSLVELHISNLEGSISSQFLCLPSVTKLAVRDSPALKSIQLKHCMTLEKLEIINCKLLASIEDFHSIRNLRSLKVLGTRSLSPYLQQEASGMWFRLESLMIDDAAVLSVHLCIQLTSLRILQFWSMGMASLTEEQERALQLLTSLRQLGFSRCQKLESLPANLRSLDFLEVLRIDECRSIRRLPEMGLPPSLSYLDLYGCCEELCMQGRMAETEKLKVEIIYKQ >ORUFI02G01380.4 pep chromosome:OR_W1943:2:874798:913681:1 gene:ORUFI02G01380 transcript:ORUFI02G01380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGSIDLGASAAAGGGEDGLVLASVALVAVWIMGLVATAIEAAIGWVVESILGNFFTGQMQVWTREVGLSEEVEELETEMRSMQMVLAAAESSKIDNRPLSESLDELKELLYDAEDVMDELDYYRLQQHIEGGKGSTAASCTNPEGSYVSSSTPSYFQRVSNSINHNISWPMHGKKRKREEEEEQTHSTMLPLEIKHDISKRINEIVNCLRTRCKPVQGVLQLEILRQIAMPKHSQSEPRKPRQTTSLAIEHKVYGRDTERDNIIELLTKVKSCDLGVLPLVGVGGVGKTTLARFVYHDQRIKDHFDLRMWVCVSDNFNEKNLTHEMLELVCKDRQGYKNIISFDVLQNTLWEEIRGKRFLLILDDMWEDGNRSGWDKLLAPLKCNEEWAFLGNEKLECNPTLQSIGKHIAKALKGNPLAARSVGALLNRSVSFDHWRKVQHKWKFLLKQDDDILAILKFSYEFLPVHLQHCFSYCSLFPKDHKFNGKKLVRAWISQNFVKCECRAERLEETGKQYLDNLVDWGFFEEVESHYVMHDLMHDLAEEVSSDECDTVDGLESKKISPGLQHLSIITTAYDREEPCNFPREKFENILQSIGSLPKLRTLMFFGENSIMLLRSLNTICKESKRLRLLKIYVTAADISSTHNLFKPNHLRYLEFIVVPTNNMFGSRGIVNTSIPQALTKFYHLQVLDVSSKGNLAVPTGLNNLINLRHLIAHEKVHSTIAGLGNLTSLQELIFKVQDADNFNIGQLRALNELVILGISQLENVKTKQEASSARMIDKEHLEELSLLWNDNSMNPEPTAEKTRDDVIEGLEPLQNLKHLQLIRYSGATSPTWLASRVTSLQVLHLENCRQWQIVQSLEMLPLLRKLKLIRMWNLMEVSIPSCLEELDLVNVPKLEKCVGTYGLDLTSGLRVLIVKDCPILNEFTFFHKDYFHAEQKSWFPSLNKLIVGRCHRIITIAEVSYTYFVKLCYRAWKILPLEEMQALKKLELMDVPVVEELSVPSLEKLVLIQIPRLQSCRGITTAPSPHFSTSQGDQRELVCGLRELTINDCPSLLVQFPIPPSSLISFLSIKGLSSFPTMVINRRVLTIESNELSELDGRISPFHNLKGITWVNLRRCPNLTRISIDGFSQPHVMSKPVHDNSIPITSYPVLPSVNSLSIQSCGIAGGWLTLMLPHLQSLENLELRDCPQIKLLSTSQCTKIEAPNSLASAETTSARDKYLLQIPYNLLRSLKRLIIWACRDLEFSGVNEGFGGFTSLVELQIRDCPRLVPSLVSETKDNWLLPTSFQYLTISPLPANMQLFAPEGLTCLRVLSVFCSQYLKSVQLRSCTALECLQLLECQQLSVLEGLQHLSSLVSLDIEMNHELSMAWDHKLQEQEQGSNQVGLFPLSLVHLGITNLEGSVHSRFLCLPSITKLDLWNSPDLKSLQLGYCTALVDLAIDSCKSLASIEGFQSIRNLRSLRVGDSPSVYPCLQLMSQQQGASDIFSQLETLTVDDASVLSVPLCKHLTSLRVLGLHRDGYSGKSMVSLTEEQERALQLLTSLRQLNFYSYQNLEFLPANLRSLDSLEELHIVRCPRILRLPEMGLPPSLKYLLLCGCSEELCMQCRMAETEKLKMRSMYDAEDVMDELDYYRLQQHIEEAGGDSWAVVALLPANRATVRTRMGEVGGGPNFQPHHATNSPLPAYATCVGERAVLAAAYWGAMGLVGTVVDAAIGWMVQGILGSFFTGQMQVWSHEVGLAKDVEMLESEMKSVQMVLAAAEGRRIDNKPLSDSLDELKELFYDAEDRVSGKMNEIITWVIHGRKRKRDEDEPTHSIMLPLEIKHDISQRINGIVNCLHIRNKSVQGVLQLEISRPIVVPKQTQSVARGARLTTSIPIERKVYGRDAEKENIIKLLTSGKPSDLGVLPLVGVGGVGKTTLARFVYHDERIKEHFDLRMWESLLDKIRHKRFLLVLDDIWEDKDRSRWDKLLAPLRFNEANGCMILATTQRTSVARMIGTMHKVEVNGLSDTEFWLLFKAWAFFGNENQEHDPTMQSIGQHIAKALKGNPLAARSVGALLNRNVSYEHWRKVQYKWRYLLEQDDDILTILKFSYEFLPVHLQQCFSYCSLFPKDHKLRGENLVRAWISQNFVECECHSKRLEETGKQYLDNLVDWGFLEEVESHYIMHDLMHDLAEKVSSNECAIIDGLGSKNIPPNVRHLSIITTAYDEKRSCDFPSSEKFENILHKIVPLQKLRTLMFFGESSIIALNDCWCCNLTSLQELIFKVQDASNFNIGQLRSMNELVILGISQLENVKTKEEAKSARLIDKEHLQELSLSWDDKNMNSGPTAEKTRDGVFEGLEPHHNLKHLQLTRYSGATSPTWLASNVKSLQVLHLENCREWQIINSLEMLPVLRKLKLIRMWNLMSVSIPSYLEELILVNTPKLEKCVGTYGSDLTSGLRVLVVKDCPCLNEFTLFHSDYFHTNQKLWFPSLNKLTIGHYHRIIIESNELNELDNRILPFHNLKGLRSMYLQHCPNLSYVSSEVFSQLVALEHLSIEHCPNLFQPHSMSEPVHENSILNTDHLVLPSLRFLKISSCGIVDLEFSGVNRGFSGFTSLVMLQIRECPKLVSSLVTETNDTNVLLPQSLEHLDIGPLPANLQSYFPKGLPCLKKLSLNSGEYLKSGEGYDGETMVSLTEEQERALQLLTSLRVLAFSHLQNLKSLPTNLQSLDCLDELYISVCPSILRLPQMGLPPSLRYLSLYRCSEELCVQCRMAETANLRVGIYSASAIPRPGYASREKNGRGETCLGGSVGWTAWRAAPSGTWYRLLGGLAQSSTRDAVGLYHSQPHVTVSHGIAFLCLHKYISISSAHMVYPALPVLIWQPACQPSIVKLYDLESCGFCGANITHRMLERKQESEMRCAIWSSGGHWATMGRRSIMQVLARFFWASDRFHAELSRCKHVSKLSSLLQMQECISRIVKKHTPSFYDVGQFKNILGWSYLVTVLAQSCREWPPRNETLVHAHRGCCDCESAIRRAAFSRDPPVVWWWGFRAGENLRQMDWFKVATIGWIMDWEVRIGCSLYPRPLCWQGHVIHTQCVFTSLTEFRPSSVIVSTCFHEIGTKPCQWQSSSSTGIGGGHVLEALAWKILPLEEMGALKELELIDVPVVEELSVPSLEKLVLIQMPSLQSCNGITASPPLQFSNSQVDQTEWVSSLCELKIHDCSNLVLPWPIPLVSYLSIKEVSAFPTLVIKQRKFTIKYSELSEMDGRIFPFHNLKSITSMRLENCPNLIYNWSEAFSQLIALKHLDISKCPSLLQRHVMSEFLHENTTPDTNYLVPSLKSLKIFSSGISGRWLTRTLPHLPSLEDFELRKCPQIKFLLISQSTGEATSSLASAETTSARDGQLLKMPCNLLRSLKRLCIYDCPDLEFCGGNGGFGGYTSLVELQIYGCPKLLSSPANEMDISLLPTSIQELWIGDLPSFFSPEGLSFLKRLWLSSSQDLKSLQLHSCTALEDLNIWGRQQLGVLEGLQGLSLLRRLNIEMNPELFAAWALKLQEQEQSGNQVGLFPPSLIELEISNLEGSIHPCFFSCLHSLTRLELGDSPELVTLQLGYCTALESLTIYDCKSLASIEGLQSIRNLRRLTTRDSPGVTPCLQLVSQQEGASGIWSRLEVLCTDGASVLTTSLCKHLTSLQSLVFWSRFNDDEPMVSLTEEQERALQLLTSLQQLEFSNHHYLESLPANLRSLDSLEALHINGCRSIRRLPEMGLPPLLTCLNLIGCSKELCLQGKMAQTEKLMFESEWCITALSDIAWLLAIVMDLGSLTLSSRLSEKAKKGTSQSSTQDVTSSGPAAADHETTVPISRHGGEDFASWPHDKLGQYTVRSAYNFLAAHSSNGRGLPSVLDETVKKGKALWKIKAPGKMKINLWRAAHDCQTTPYTSNGGMHLLDDPIEHVLLFCPFASAVWDEVRKSFNLQLGRAALTSAAVEWVVQSILGSFFTGQLQVWTHEVGLIEHVQGLESEMRSMQMVLATTDRRKIDNRPLSESLNELQDVLFDAEDVMDELDYYQLQQQIEGKGSRASACIDPEGSCVSSYTPSLFQQVSSSMNQIIGWAMHGRKRKREEEPTHSIILPLEIKHDISDRIKGILNQLRIKGKPVLEILQLELSCQIAMSKQIQSGPRKPRQTTSLLIERKVYGRDAERDNIIELLTKGKSSDLGVLPLVGVGGVGKTTLARFVYHDQRIKDHFDLRMWVCVSDNFNEKSLTREILEHVCKDRQGYENIIGLGALQDTLLENIRCKRFLLVLDDMWEDEDMSGWDNLLAPFKCNEATGCMILVTTRRTSVAKRIGTVNKVEVNGLDETEFWLLFKAWAFNGYENLELDPFFQSTGKDIARELKGNPLAARSVGALLNRSVSFEHWRKVQYKWKSLVGQDDDILSILMISYEYLPVHLQHCFSYCSLFPKDHKFNGKKLVHAWISQNFVKCECHTERLEETGMQYLDNLVDWGFFEEVESHYVMHDLMHDLAEKVSSNECAAIDGLESNKISPSVRHLSIITTAYDKDGPRSFPTKNFENKLQNIRNTILLRSLQTLCKELKRLRLLRIYVTVSDISSIHNFLKPHHLRYLEFIVVPATNMFGHMDIANTSIPQAFTNFFHLQVLDVSSNGNIAVPIGMNKLINLRHLIAHEKVHSAIDSVGKLTCLQKLIFKVQDADSFEIGQLRAMNDLVILGISQLENVKTKKEARSARLMDKEHLKELSLSWNDNMSSGPTEENTRYDVLEGLEPHGNLKHLQLTGYSGATSPTWFASKVLHLENCTEWRIVQYLEMLPLLRKLKLIRMWNLVEVSIPSYLEELVLVNMPKLENCVGTYGIELTSRIRVLMVKDCPQLNEFVLFHRDHFHAEQKSWFPSLNKLMIGHCYRIIMWKILPLEEMRALKELELMDVPIVEELPVPSLEKLVLIQMRSLQICSGITASPVQVSTSQVDQNEWISSLRELTIHDCSSLVVSLPILPSPLMSYSSIKRLSAFPTMEINNRKFTIESDELSELDGRILSFHNLKGVTSIYLRRCPNLTRISTEGFNQLITLECLVIQKCPNLFQLQISDQANNTSSATNIPALPSLKSLTISSCGIAGRWLTQMLHHVNSLEKLDLFDCPQIKFLLTNQPTEREVTSSLASAEITSAGDEQLLQIPCSLLHSLKWLSISECPDLEFCGGSGGFAGFTSLVQLQIKNCPKLVSALVSETNDNGLLPMSLQDLSLSPLSVSENLQSFSPEGLPCLRRLSLCRSQHLKSMQLHSCTSLEYLKISGCRSLVVLEGLSSLRRLDIQMNPELSAAWHLKLQEQEQGGNQAQVFPPSLVELHISNLEGSISSQFLCLPSVTKLAVRDSPALKSIQLKHCMTLEKLEIINCKLLASIEDFHSIRNLRSLKVLGTRSLSPYLQQEASGMWFRLESLMIDDAAVLSVHLCIQLTSLRILQFWSMGMASLTEEQERALQLLTSLRQLGFSRCQKLESLPANLRSLDFLEVLRIDECRSIRRLPEMGLPPSLSYLDLYGCCEELCMQGRMAETEKLKVEIIYKQ >ORUFI02G01380.5 pep chromosome:OR_W1943:2:874798:913681:1 gene:ORUFI02G01380 transcript:ORUFI02G01380.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGSIDLGASAAAGGGEDGLVLASVALVAVWIMGLVATAIEAAIGWVVESILGNFFTGQMQVWTREVGLSEEVEELETEMRSMQMVLAAAESSKIDNRPLSESLDELKELLYDAEDVMDELDYYRLQQHIEGGKGSTAASCTNPEGSYVSSSTPSYFQRVSNSINHNISWPMHGKKRKREEEEEQTHSTMLPLEIKHDISKRINEIVNCLRTRCKPVQGVLQLEILRQIAMPKHSQSEPRKPRQTTSLAIEHKVYGRDTERDNIIELLTKVKSCDLGVLPLVGVGGVGKTTLARFVYHDQRIKDHFDLRMWVCVSDNFNEKNLTHEMLELVCKDRQGYKNIISFDVLQNTLWEEIRGKRFLLILDDMWEDGNRSGWDKLLAPLKCNEEWAFLGNEKLECNPTLQSIGKHIAKALKGNPLAARSVGALLNRSVSFDHWRKVQHKWKFLLKQDDDILAILKFSYEFLPVHLQHCFSYCSLFPKDHKFNGKKLVRAWISQNFVKCECRAERLEETGKQYLDNLVDWGFFEEVESHYVMHDLMHDLAEEVSSDECDTVDGLESKKISPGLQHLSIITTAYDREEPCNFPREKFENILQSIGSLPKLRTLMFFGENSIMLLRSLNTICKESKRLRLLKIYVTAADISSTHNLFKPNHLRYLEFIVVPTNNMFGSRGIVNTSIPQALTKFYHLQVLDVSSKGNLAVPTGLNNLINLRHLIAHEKVHSTIAGLGNLTSLQELIFKVQDADNFNIGQLRALNELVILGISQLENVKTKQEASSARMIDKEHLEELSLLWNDNSMNPEPTAEKTRDDVIEGLEPLQNLKHLQLIRYSGATSPTWLASRVTSLQVLHLENCRQWQIVQSLEMLPLLRKLKLIRMWNLMEVSIPSCLEELDLVNVPKLEKCVGTYGLDLTSGLRVLIVKDCPILNEFTFFHKDYFHAEQKSWFPSLNKLIVGRCHRIITIAEVSYTYFVKLCYRAWKILPLEEMQALKKLELMDVPVVEELSVPSLEKLVLIQIPRLQSCRGITTAPSPHFSTSQGDQRELVCGLRELTINDCPSLLVQFPIPPSSLISFLSIKGLSSFPTMVINRRVLTIESNELSELDGRISPFHNLKGITWVNLRRCPNLTRISIDGFSQPHVMSKPVHDNSIPITSYPVLPSVNSLSIQSCGIAGGWLTLMLPHLQSLENLELRDCPQIKLLSTSQCTKIEAPNSLASAETTSARDKYLLQIPYNLLRSLKRLIIWACRDLEFSGVNEGFGGFTSLVELQIRDCPRLVPSLVSETKDNWLLPTSFQYLTISPLPANMQLFAPEGLTCLRVLSVFCSQYLKSVQLRSCTALECLQLLECQQLSVLEGLQHLSSLVSLDIEMNHELSMAWDHKLQEQEQGSNQVGLFPLSLVHLGITNLEGSVHSRFLCLPSITKLDLWNSPDLKSLQLGYCTALVDLAIDSCKSLASIEGFQSIRNLRSLRVGDSPSVYPCLQLMSQQQGASDIFSQLETLTVDDASVLSVPLCKHLTSLRVLGLHRDGYSGKSMVSLTEEQERALQLLTSLRQLNFYSYQNLEFLPANLRSLDSLEELHIVRCPRILRLPEMGLPPSLKYLLLCGCSEELCMQCRMAETEKLKRPGVTREWAVVALLPANRATVRTRMGEVGGGPNFQPHHATNSPLPAYATCLMGCAASREKNGRGETCLGGSVGWTAWRAAPSGTWYRLLGGLAQSSTRDAVGLYHSQPHVTVSHGIAFLCLHKYISISSAHMVYPALPVLIWQPACQPSIVKLYDLESCGFCGANITHRMLERKQESEMRCAIWSSGGHWATMGRRSIMQVLARFFWASDRFHAELSRCKHVSKLSSLLQMQECISRIVKKHTPSFYDVGQFKNILGWSYLVTVLAQSCREWPPRNETLVHAHRGCCDCESAIRRAAFSRDPPVVWWWGFRAGENLRQMDWFKGLVRSLLALAFTKLAQSRASGRARARRVHWGTMGLVSTAAEAAIGWVVQSILGSFFTGQMQVWTREVGLDKQVEELETEMRNMQMVLAEAEGTKIDNRPLSESLDEIKELIYDAEDFPYPGKGSSAAACTNPEESSASSSTPSYIQQISNRMNQNISWVMDGKKRKREEEEEPTHSVMLPPEVKHGISERINGIVNHLRIRGNPVQGVLQLEILRQIALPKQSQNGPRKSRLTISLMTEHKVYGRDAERDNIIELLTKGKSSDLDVVPLVGVGGVGKTTLARFVYNNNRIENHFDLRMWVCVSDNFNEKSLTCEMLDHVCKDRQEYGNISNFDALQKILLEKIRHKRFLLVLDDMWEDRDRKGWENLLAPLKCNEATGCMILVTTRRTSVARMTGTMSKIDVNGLDETEFWSLFKAWAFLGNENQERDPTLRSIGQHIAEALKGNPLAARSVGALLNWNVSFEHWRKIQYKWRSILEQDDDILAILKLSYEFLPVHLQYCFSYCSLFPKDHKFCGKKLVRAWISQNFVKCECHTKRLEEIGKQYLDKLVDWGFLEEVESHYVMHDLMHDLAEKVSSNEYATVDGLESKKISPGVRHLSIITTYDKEEHCNFPSEKSTYGYLDIVNTSIPQALTKFYHLQVLDGDSTGNLVVPIGMNDLINLRHLIDHEEVHSAIASVGSLTSLQELTFNVQAAGNFSIGQLSSMNELVTLRICQLENVKSEEEAKSARFIDKEHLEALSFTWNDLSMTSEPTAEKTTDDVLEGLEPHHNLKHLQLTRYSGATSPTWLASTVTSLQGLHLYNCREWRVVRSLEKLPLLRKLKLVRMWNLMEVSIPSYLEELVLVDMPKLKKCVGTYGQDLTSGLRELMEMGALKELELIDVPVVEELSVPSLEKLVLIQMPSLQSCNGITASPPLQFSNSQVDQTEWVSSLCELKIHDCSNLVLPWPIPLVSYLSIKEVSAFPTLVIKQRKFTIKYSELSEMDGRIFPFHNLKSITSMRLENCPNLIYNWSEAFSQLIALKHLDISKCPSLLQRHVMSEFLHENTTPDTNYLVPSLKSLKIFSSGISGRWLTRTLPHLPSLEDFELRKCPQIKFLLISQSTGEATSSLASAETTSARDGQLLKMPCNLLRSLKRLCIYDCPDLEFCGGNGGFGGYTSLVELQIYGCPKLLSSPANEMDISLLPTSIQELWIGDLPSFFSPEGLSFLKRLWLSSSQDLKSLQLHSCTALEDLNIWGRQQLGVLEGLQGLSLLRRLNIEMNPELFAAWALKLQEQEQSGNQVGLFPPSLIELEISNLEGSIHPCFFSCLHSLTRLELGDSPELVTLQLGYCTALESLTIYDCKSLASIEGLQSIRNLRRLTTRDSPGVTPCLQLVSQQEGASGIWSRLEVLCTDGASVLTTSLCKHLTSLQSLVFWSRFNDDEPMVSLTEEQERALQLLTSLQQLEFSNHHYLESLPANLRSLDSLEALHINGCRSIRRLPEMGLPPLLTCLNLIGCSKELCLQGKMAQTEKLMFESEWCITALSDIAWLLAIVMDLGSLTLSSRLSEKAKKGTSQSSTQDVTSSGPAAADHETTVPISRHGGEDFASWPHDKLGQYTVRSAYNFLAAHSSNGRGLPSVLDETVKKGKALWKIKAPGKMKINLWRAAHDCQTTPYTSNGGMHLLDDPIEHVLLFCPFASAVWDEVRKSFNLQLGRAALTSAAVEWVVQSILGSFFTGQLQVWTHEVGLIEHVQGLESEMRSMQMVLATTDRRKIDNRPLSESLNELQDVLFDAEDVMDELDYYQLQQQIEGKGSRASACIDPEGSCVSSYTPSLFQQVSSSMNQIIGWAMHGRKRKREEEPTHSIILPLEIKHDISDRIKGILNQLRIKGKPVLEILQLELSCQIAMSKQIQSGPRKPRQTTSLLIERKVYGRDAERDNIIELLTKGKSSDLGVLPLVGVGGVGKTTLARFVYHDQRIKDHFDLRMWVCVSDNFNEKSLTREILEHVCKDRQGYENIIGLGALQDTLLENIRCKRFLLVLDDMWEDEDMSGWDNLLAPFKCNEATGCMILVTTRRTSVAKRIGTVNKVEVNGLDETEFWLLFKAWAFNGYENLELDPFFQSTGKDIARELKGNPLAARSVGALLNRSVSFEHWRKVQYKWKSLVGQDDDILSILMISYEYLPVHLQHCFSYCSLFPKDHKFNGKKLVHAWISQNFVKCECHTERLEETGMQYLDNLVDWGFFEEVESHYVMHDLMHDLAEKVSSNECAAIDGLESNKISPSVRHLSIITTAYDKDGPRSFPTKNFENKLQNIRNTILLRSLQTLCKELKRLRLLRIYVTVSDISSIHNFLKPHHLRYLEFIVVPATNMFGHMDIANTSIPQAFTNFFHLQVLDVSSNGNIAVPIGMNKLINLRHLIAHEKVHSAIDSVGKLTCLQKLIFKVQDADSFEIGQLRAMNDLVILGISQLENVKTKKEARSARLMDKEHLKELSLSWNDNMSSGPTEENTRYDVLEGLEPHGNLKHLQLTGYSGATSPTWFASKVLHLENCTEWRIVQYLEMLPLLRKLKLIRMWNLVEVSIPSYLEELVLVNMPKLENCVGTYGIELTSRIRVLMVKDCPQLNEFVLFHRDHFHAEQKSWFPSLNKLMIGHCYRIIMWKILPLEEMRALKELELMDVPIVEELPVPSLEKLVLIQMRSLQICSGITASPVQVSTSQVDQNEWISSLRELTIHDCSSLVVSLPILPSPLMSYSSIKRLSAFPTMEINNRKFTIESDELSELDGRILSFHNLKGVTSIYLRRCPNLTRISTEGFNQLITLECLVIQKCPNLFQLQISDQANNTSSATNIPALPSLKSLTISSCGIAGRWLTQMLHHVNSLEKLDLFDCPQIKFLLTNQPTEREVTSSLASAEITSAGDEQLLQIPCSLLHSLKWLSISECPDLEFCGGSGGFAGFTSLVQLQIKNCPKLVSALVSETNDNGLLPMSLQDLSLSPLSVSENLQSFSPEGLPCLRRLSLCRSQHLKSMQLHSCTSLEYLKISGCRSLVVLEGLSSLRRLDIQMNPELSAAWHLKLQEQEQGGNQAQVFPPSLVELHISNLEGSISSQFLCLPSVTKLAVRDSPALKSIQLKHCMTLEKLEIINCKLLASIEDFHSIRNLRSLKVLGTRSLSPYLQQEASGMWFRLESLMIDDAAVLSVHLCIQLTSLRILQFWSMGMASLTEEQERALQLLTSLRQLGFSRCQKLESLPANLRSLDFLEVLRIDECRSIRRLPEMGLPPSLSYLDLYGCCEELCMQGRMAETEKLKVEIIYKQ >ORUFI02G01380.6 pep chromosome:OR_W1943:2:874798:897940:1 gene:ORUFI02G01380 transcript:ORUFI02G01380.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGSIDLGASAAAGGGEDGLVLASVALVAVWIMGLVATAIEAAIGWVVESILGNFFTGQMQVWTREVGLSEEVEELETEMRSMQMVLAAAESSKIDNRPLSESLDELKELLYDAEDVMDELDYYRLQQHIEGGKGSTAASCTNPEGSYVSSSTPSYFQRVSNSINHNISWPMHGKKRKREEEEEQTHSTMLPLEIKHDISKRINEIVNCLRTRCKPVQGVLQLEILRQIAMPKHSQSEPRKPRQTTSLAIEHKVYGRDTERDNIIELLTKVKSCDLGVLPLVGVGGVGKTTLARFVYHDQRIKDHFDLRMWVCVSDNFNEKNLTHEMLELVCKDRQGYKNIISFDVLQNTLWEEIRGKRFLLILDDMWEDGNRSGWDKLLAPLKCNEEWAFLGNEKLECNPTLQSIGKHIAKALKGNPLAARSVGALLNRSVSFDHWRKVQHKWKFLLKQDDDILAILKFSYEFLPVHLQHCFSYCSLFPKDHKFNGKKLVRAWISQNFVKCECRAERLEETGKQYLDNLVDWGFFEEVESHYVMHDLMHDLAEEVSSDECDTVDGLESKKISPGLQHLSIITTAYDREEPCNFPREKFENILQSIGSLPKLRTLMFFGENSIMLLRSLNTICKESKRLRLLKIYVTAADISSTHNLFKPNHLRYLEFIVVPTNNMFGSRGIVNTSIPQALTKFYHLQVLDVSSKGNLAVPTGLNNLINLRHLIAHEKVHSTIAGLGNLTSLQELIFKVQDADNFNIGQLRALNELVILGISQLENVKTKQEASSARMIDKEHLEELSLLWNDNSMNPEPTAEKTRDDVIEGLEPLQNLKHLQLIRYSGATSPTWLASRVTSLQVLHLENCRQWQIVQSLEMLPLLRKLKLIRMWNLMEVSIPSCLEELDLVNVPKLEKCVGTYGLDLTSGLRVLIVKDCPILNEFTFFHKDYFHAEQKSWFPSLNKLIVGRCHRIITIAEVSYTYFVKLCYRAWKILPLEEMQALKKLELMDVPVVEELSVPSLEKLVLIQIPRLQSCRGITTAPSPHFSTSQGDQRELVCGLRELTINDCPSLLVQFPIPPSSLISFLSIKGLSSFPTMVINRRVLTIESNELSELDGRISPFHNLKGITWVNLRRCPNLTRISIDGFSQPHVMSKPVHDNSIPITSYPVLPSVNSLSIQSCGIAGGWLTLMLPHLQSLENLELRDCPQIKLLSTSQCTKIEAPNSLASAETTSARDKYLLQIPYNLLRSLKRLIIWACRDLEFSGVNEGFGGFTSLVELQIRDCPRLVPSLVSETKDNWLLPTSFQYLTISPLPANMQLFAPEGLTCLRVLSVFCSQYLKSVQLRSCTALECLQLLECQQLSVLEGLQHLSSLVSLDIEMNHELSMAWDHKLQEQEQGSNQVGLFPLSLVHLGITNLEGSVHSRFLCLPSITKLDLWNSPDLKSLQLGYCTALVDLAIDSCKSLASIEGFQSIRNLRSLRVGDSPSVYPCLQLMSQQQGASDIFSQLETLTVDDASVLSVPLCKHLTSLRVLGLHRDGYSGKSMVSLTEEQERALQLLTSLRQLNFYSYQNLEFLPANLRSLDSLEELHIVRCPRILRLPEMGLPPSLKYLLLCGCSEELCMQCRMAETEKLKMRSMYDAEDVMDELDYYRLQQHIEEAGGDSWAVVALLPANRATVRTRMGEVGGGPNFQPHHATNSPLPAYATCVGERAVLAAAYWGAMGLVGTVVDAAIGWMVQGILGSFFTGQMQVWSHEVGLAKDVEMLESEMKSVQMVLAAAEGRRIDNKPLSDSLDELKELFYDAEDRVSGKMNEIITWVIHGRKRKRDEDEPTHSIMLPLEIKHDISQRINGIVNCLHIRNKSVQGVLQLEISRPIVVPKQTQSVARGARLTTSIPIERKVYGRDAEKENIIKLLTSGKPSDLGVLPLVGVGGVGKTTLARFVYHDERIKEHFDLRMWESLLDKIRHKRFLLVLDDIWEDKDRSRWDKLLAPLRFNEANGCMILATTQRTSVARMIGTMHKVEVNGLSDTEFWLLFKAWAFFGNENQEHDPTMQSIGQHIAKALKGNPLAARSVGALLNRNVSYEHWRKVQYKWRYLLEQDDDILTILKFSYEFLPVHLQQCFSYCSLFPKDHKLRGENLVRAWISQNFVECECHSKRLEETGKQYLDNLVDWGFLEEVESHYIMHDLMHDLAEKVSSNECAIIDGLGSKNIPPNVRHLSIITTAYDEKRSCDFPSSEKFENILHKIVPLQKLRTLMFFGESSIIALNDCWCCNLTSLQELIFKVQDASNFNIGQLRSMNELVILGISQLENVKTKEEAKSARLIDKEHLQELSLSWDDKNMNSGPTAEKTRDGVFEGLEPHHNLKHLQLTRYSGATSPTWLASNVKSLQVLHLENCREWQIINSLEMLPVLRKLKLIRMWNLMSVSIPSYLEELILVNTPKLEKCVGTYGSDLTSGLRVLVVKDCPCLNEFTLFHSDYFHTNQKLWFPSLNKLTIGHYHRIIIESNELNELDNRILPFHNLKGLRSMYLQHCPNLSYVSSEVFSQLVALEHLSIEHCPNLFQPHSMSEPVHENSILNTDHLVLPSLRFLKISSCGIVDLEFSGVNRGFSGFTSLVMLQIRECPKLVSSLVTETNDTNVLLPQSLEHLDIGPLPANLQSYFPKGLPCLKKLSLNSGEYLKSGEGYDGETMVSLTEEQERALQLLTSLRVLAFSHLQNLKSLPTNLQSLDCLDELYISVCPSILRLPQMGLPPSLRYLSLYRCSEELCVQCRMAETANLRVGIYSASAIPRPGYASREKNGRGETCLGGSVGWTAWRAAPSGTWYRLLGGLAQSSTRDAVGLYHSQPHVTVSHGIAFLCLHKYISISSAHMVYPALPVLIWQPACQPSIVKLYDLESCGFCGANITHRMLERKQESEMRCAIWSSGGHWATMGRRSIMQVLARFFWASDRFHAELSRCKHVSKLSSLLQMQECISRIVKKHTPSFYDVGQFKNILGWSYLVTVLAQSCREWPPRNETLVHAHRGCCDCESAIRRAAFSRDPPVVWWWGFRAGENLRQMDWFKGLVRSLLALAFTKLAQSRASGRARARRVHWGTMGLVSTAAEAAIGWVVQSILGSFFTGQMQVWTREVGLDKQVEELETEMRNMQMVLAEAEGTKIDNRPLSESLDEIKELIYDAEDGKVLVLLLVLTLRKALHLHPLHLTFNK >ORUFI02G01380.7 pep chromosome:OR_W1943:2:897335:913681:1 gene:ORUFI02G01380 transcript:ORUFI02G01380.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKRKREEEEEPTHSVMLPPEVKHGISERINGIVNHLRIRGNPVQGVLQLEILRQIALPKQSQNGPRKSRLTISLMTEHKVYGRDAERDNIIELLTKGKSSDLDVVPLVGVGGVGKTTLARFVYNNNRIENHFDLRMWVCVSDNFNEKSLTCEMLDHVCKDRQEYGNISNFDALQKILLEKIRHKRFLLVLDDMWEDRDRKGWENLLAPLKCNEATGCMILVTTRRTSVARMTGTMSKIDVNGLDETEFWSLFKAWAFLGNENQERDPTLRSIGQHIAEALKGNPLAARSVGALLNWNVSFEHWRKIQYKWRSILEQDDDILAILKLSYEFLPVHLQYCFSYCSLFPKDHKFCGKKLVRAWISQNFVKCECHTKRLEEIGKQYLDKLVDWGFLEEVESHYVMHDLMHDLAEKVSSNEYATVDGLESKKISPGVRHLSIITTYDKEEHCNFPSEKSTYGYLDIVNTSIPQALTKFYHLQVLDGDSTGNLVVPIGMNDLINLRHLIDHEEVHSAIASVGSLTSLQELTFNVQAAGNFSIGQLSSMNELVTLRICQLENVKSEEEAKSARFIDKEHLEALSFTWNDLSMTSEPTAEKTTDDVLEGLEPHHNLKHLQLTRYSGATSPTWLASTVTSLQGLHLYNCREWRVVRSLEKLPLLRKLKLVRMWNLMEVSIPSYLEELVLVDMPKLKKCVGTYGQDLTSGLRELMEMGALKELELIDVPVVEELSVPSLEKLVLIQMPSLQSCNGITASPPLQFSNSQVDQTEWVSSLCELKIHDCSNLVLPWPIPLVSYLSIKEVSAFPTLVIKQRKFTIKYSELSEMDGRIFPFHNLKSITSMRLENCPNLIYNWSEAFSQLIALKHLDISKCPSLLQRHVMSEFLHENTTPDTNYLVPSLKSLKIFSSGISGRWLTRTLPHLPSLEDFELRKCPQIKFLLISQSTGEATSSLASAETTSARDGQLLKMPCNLLRSLKRLCIYDCPDLEFCGGNGGFGGYTSLVELQIYGCPKLLSSPANEMDISLLPTSIQELWIGDLPSFFSPEGLSFLKRLWLSSSQDLKSLQLHSCTALEDLNIWGRQQLGVLEGLQGLSLLRRLNIEMNPELFAAWALKLQEQEQSGNQVGLFPPSLIELEISNLEGSIHPCFFSCLHSLTRLELGDSPELVTLQLGYCTALESLTIYDCKSLASIEGLQSIRNLRRLTTRDSPGVTPCLQLVSQQEGASGIWSRLEVLCTDGASVLTTSLCKHLTSLQSLVFWSRFNDDEPMVSLTEEQERALQLLTSLQQLEFSNHHYLESLPANLRSLDSLEALHINGCRSIRRLPEMGLPPLLTCLNLIGCSKELCLQGKMAQTEKLMFESEWCITALSDIAWLLAIVMDLGSLTLSSRLSEKAKKGTSQSSTQDVTSSGPAAADHETTVPISRHGGEDFASWPHDKLGQYTVRSAYNFLAAHSSNGRGLPSVLDETVKKGKALWKIKAPGKMKINLWRAAHDCQTTPYTSNGGMHLLDDPIEHVLLFCPFASAVWDEVRKSFNLQLGRAALTSAAVEWVVQSILGSFFTGQLQVWTHEVGLIEHVQGLESEMRSMQMVLATTDRRKIDNRPLSESLNELQDVLFDAEDVMDELDYYQLQQQIEGKGSRASACIDPEGSCVSSYTPSLFQQVSSSMNQIIGWAMHGRKRKREEEPTHSIILPLEIKHDISDRIKGILNQLRIKGKPVLEILQLELSCQIAMSKQIQSGPRKPRQTTSLLIERKVYGRDAERDNIIELLTKGKSSDLGVLPLVGVGGVGKTTLARFVYHDQRIKDHFDLRMWVCVSDNFNEKSLTREILEHVCKDRQGYENIIGLGALQDTLLENIRCKRFLLVLDDMWEDEDMSGWDNLLAPFKCNEATGCMILVTTRRTSVAKRIGTVNKVEVNGLDETEFWLLFKAWAFNGYENLELDPFFQSTGKDIARELKGNPLAARSVGALLNRSVSFEHWRKVQYKWKSLVGQDDDILSILMISYEYLPVHLQHCFSYCSLFPKDHKFNGKKLVHAWISQNFVKCECHTERLEETGMQYLDNLVDWGFFEEVESHYVMHDLMHDLAEKVSSNECAAIDGLESNKISPSVRHLSIITTAYDKDGPRSFPTKNFENKLQNIRIYVTVSDISSIHNFLKPHHLRYLEFIVVPATNMFGHMDIANTSIPQAFTNFFHLQVLDVSSNGNIAVPIGMNKLINLRHLIAHEKVHSAIDSVGKLTCLQKLIFKVQDADSFEIGQLRAMNDLVILGISQLENVKTKKEARSARLMDKEHLKELSLSWNDNMSSGPTEENTRYDVLEGLEPHGNLKHLQLTGYSGATSPTWFASKVLHLENCTEWRIVQYLEMLPLLRKLKLIRMWNLVEVSIPSYLEELVLVNMPKLENCVGTYGIELTSRIRVLMVKDCPQLNEFVLFHRDHFHAEQKSWFPSLNKLMIGHCYRIIMWKILPLEEMRALKELELMDVPIVEELPVPSLEKLVLIQMRSLQICSGITASPVQVSTSQVDQNEWISSLRELTIHDCSSLVVSLPILPSPLMSYSSIKRLSAFPTMEINNRKFTIESDELSELDGRILSFHNLKGVTSIYLRRCPNLTRISTEGFNQLITLECLVIQKCPNLFQLQISDQANNTSSATNIPALPSLKSLTISSCGIAGRWLTQMLHHVNSLEKLDLFDCPQIKFLLTNQPTEREVTSSLASAEITSAGDEQLLQIPCSLLHSLKWLSISECPDLEFCGGSGGFAGFTSLVQLQIKNCPKLVSALVSETNDNGLLPMSLQDLSLSPLSVSENLQSFSPEGLPCLRRLSLCRSQHLKSMQLHSCTSLEYLKISGCRSLVVLEGLSSLRRLDIQMNPELSAAWHLKLQEQEQGGNQAQVFPPSLVELHISNLEGSISSQFLCLPSVTKLAVRDSPALKSIQLKHCMTLEKLEIINCKLLASIEDFHSIRNLRSLKVLGTRSLSPYLQQEASGMWFRLESLMIDDAAVLSVHLCIQLTSLRILQFWSMGMASLTEEQERALQLLTSLRQLGFSRCQKLESLPANLRSLDFLEVLRIDECRSIRRLPEMGLPPSLSYLDLYGCCEELCMQGRMAETEKLKVEIIYKQ >ORUFI02G01390.1 pep chromosome:OR_W1943:2:883243:883697:-1 gene:ORUFI02G01390 transcript:ORUFI02G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNRAAAVALCCMISLLSDATGSSTQSAGRTYLGSSACMDDCSPNKNKVVACDCATFCQLAICGTASNGAADVASCVDGCTKNRNLYTKFL >ORUFI02G01400.1 pep chromosome:OR_W1943:2:913770:915842:1 gene:ORUFI02G01400 transcript:ORUFI02G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTDANGLGMRAIPGEDYCRIVIQYPSDTDSKWKDPKTGEPEGLSFEFNLCEAVASWEQVSIHSTDICMSAIAQQYSQSCYYSVDMYGFTVDPGCKEWMRYFSESRKGHTSLHGRAYYQMMECLGLVKIHSPMRGDPGRVVKWLPTKDTIEAARAASEKLLKRPGAGSEGPLSSCTMIKKLEKVKTAKRSVVHDAALNHLEYIRGSTRYPLERNAGGEPAVEMILNA >ORUFI02G01400.2 pep chromosome:OR_W1943:2:914496:915842:1 gene:ORUFI02G01400 transcript:ORUFI02G01400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWITVFYLVIVMDSWSLSCYFPRSAIAQQYSQSCYYSVDMYGFTVDPGCKEWMRYFSESRKGHTSLHGRAYYQMMECLGLVKIHSPMRGDPGRVVKWLPTKDTIEAARAASEKLLKRPGAGSEGPLSSCTMIKKLEKVKTAKRSVVHDAALNHLEYIRGSTRYPLERNAGGEPAVEMILNA >ORUFI02G01410.1 pep chromosome:OR_W1943:2:918959:922100:-1 gene:ORUFI02G01410 transcript:ORUFI02G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAAAAASLPPPPPEVAHLVDQLQRHHLAPDASLLSSCAHSDLLQAREEVASERARYLEALAVYAEAIAMVEEYQHAISTGVANAGKKLNCSPQVYESLEHHLAVAEAAQRLRLPLLSQDGDVHEEEIEKLSTLSRSSFDSTMTSAAPSSSSISTSYNNYSSTASAATVAAAPGTGGSEPVEPGVGGVPDRFLGITSDYLYQVQQEQPAMTVDMVDYQRTLAREIEARLEAKCDALADLFAMDERDSSSISQISSARLPERVKLIIEEIEKEEALLLDDLASMDRKFAEHYNVLEQILAVLIQFVKDKKLEHQHQYDDLKKTWLIKRCRTMNAKLSYLEHHLLRDTYTKETVPALHRIRKYLVEATKEASNSYTEAVSRLREYQGVDPHFDVIARQYHEIVKKLEGMQWTIHQVEMDLKPHHDHADV >ORUFI02G01420.1 pep chromosome:OR_W1943:2:924226:926467:1 gene:ORUFI02G01420 transcript:ORUFI02G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRVEQTCGVLLPCRHRTTFSSLPSPFAFTSAQLVSLTLISPSRVRRTRTHAATTAAMEGALLCAANHAPLTPITFLDRAALVYPDRPAIVASSSGLTRTWRETRDRCLRLTAALAALGVHRHHVVAVFAQNIPAMCELHFGIPMAGAVICTLNSRLDAAMASVLLRHSEAKLIFVDCALLDVAHDAIRRISQSGATPPVLVLISELLDDPSDAKLPSGRVDYEYEHLVGNAGSSPEFAVRWPADENEPIALNYTSGTTSRPKGVIYSHRGAYLNSLAAVLLNDMASTPVYLWTVPMFHCNGWCMAWGVAAQGGTNVCVRRVTAATIFDAVARHGVTHMGGAPTVLSMIVNATAEEQRPVARRVTVMTGGAPPPPQVLFRMEEQGFLVIHSYGLTETYGPATVCTWRPEWDALPAEERARIKSRQGVHHHGLEVDVKDPATMRSVPRDGKTMGEVMLRGNTVMSGYYKDGAATAEALAGGWFRSGDLAVRHEDGYVKVLDRSKDIIISGGENISTIEVEAALFSHPAVEEAAVVGRPDDYWGETPCAFVKLRPGAAAAAKAGVVEEELMAYCRARLPRYMAPRTVVVVEEGLPKTATGKVQKVALRERATRQGHGHRPGGGGGEVEEEQALNEFVCVSC >ORUFI02G01430.1 pep chromosome:OR_W1943:2:927806:932358:1 gene:ORUFI02G01430 transcript:ORUFI02G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAPPPPPRRLLALTMALAAAVVSAASAARVPASVTPISRTLYHSSDSLLSDIKALVARHPDKLSMDTITASNKGYSTDLFIVTFNHAKESTSNSSKIHVLLSFGQHGRELITSEVALNLLYILTEKRKIAGVDLSSFEKILENLVIKVVPMENLNGRKRVEEGELCDRRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGTAPFSEPEAQIMRELSKSFKPHMWVNVHSGMEALFMPYDHKNTTPNGASAHLMRTVLENLNHRHFQDSCLVGSGGGAVGYLAHGTTTDYMYDIVKVPMPFTFEIYGDEKASTSDCFKMFNPVDKTTFDRVINKWCMAFLILFEEGLRNLREAQLVSQGAVDNWVPMGGDIVEISAARKSSPDKRKLEGLDLGMQELRTYFRLFMLSTVLLMFMFCSRISKNRNRETGNIFDS >ORUFI02G01440.1 pep chromosome:OR_W1943:2:932601:935042:-1 gene:ORUFI02G01440 transcript:ORUFI02G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWVREYSEASRLADDVTSMIADRGSLPQSGPEIMRHTSAIRRKITILGTRLDSLEALLSRIPPKSITDKELHKRQDMLSNLKSRAKQMATSFNMSNFANREDLLGQSKKAADDMSRVAGLDNQGIVSLQRQVMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLDDHVDVTNSRLQRVQKRLAILNKRVKVFQRCGCSHLISVS >ORUFI02G01440.2 pep chromosome:OR_W1943:2:932680:935042:-1 gene:ORUFI02G01440 transcript:ORUFI02G01440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWVREYSEASRLADDVTSMIADRGSLPQSGPEIMRHTSAIRRKITILGTRLDSLEALLSRIPPKSITDKELHKRQDMLSNLKSRAKQMATSFNMSNFANREDLLGQSKKAADDMSRVAGLDNQGIVSLQRQVMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLDDHVDVTNSRLQRVQKRLAILNKRVKGGCSCMALLISVVAIVFLAVIAWLLIKHL >ORUFI02G01440.3 pep chromosome:OR_W1943:2:932680:934697:-1 gene:ORUFI02G01440 transcript:ORUFI02G01440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWVREYSEASRLADDVTSMIADRGSLPQSGPEIMRHTSAIRRKITILGTRLDSLEALLSRIPPKSITDKELHKRQDMLSNLKSRAKQMATSFNMSNFANREDLLGQSKKAADDMSRVAGLDNQGIVSLQRQVMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLDDHVDVTNSRLQRVQKRLAILNKRVKGGCSCMALLISVVAIVFLAVIAWLLIKHL >ORUFI02G01450.1 pep chromosome:OR_W1943:2:940023:944504:1 gene:ORUFI02G01450 transcript:ORUFI02G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGGAASSVLPAARRGGRIAAVIAPLLLFLAAALSFPSSIGRIPSLVALGRRHAPSPPPPPPPPRVAVCLVGGARRFELTGPSIARHVLAPLVAHQQEKKEGEGGAPVVDVFLHSPLDADAYKLSLLARAAPPGSRLAAVRVFRPERIAETPERARVLTASNSPNGIQGLLQYFRLVEGCLDLIRERESRGNFTYDWVVRTRVDGFWTGPLAAADAFPAGGAYVVPKGSRFGGLNDRLGAGGRHASRVALSRLSLIPRLDVAGYQELNSEAAWPASRRGSGGGRSAGWYGVPVASLGSPGPLSGAKCRPCRPACRGGECASAARLVRGWSWTEWRNGTLEMCDASVPWEQGWEALFDEVAGEEAAAVRRRVATMGADDCVAEVAALMTRAERWDAPAPAEICRAGRLRLATRSASANATVTAKPNQN >ORUFI02G01460.1 pep chromosome:OR_W1943:2:948811:949914:-1 gene:ORUFI02G01460 transcript:ORUFI02G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVPHAMRAAKLPLAVLAKPTHASASQPPAPPRPSNPRHRKTPAKASKTPAPATAAAPPPVEKRPIKTASDLAAAIRAAADADVDAAVDLARSAARTIPLPPHSLSLLLRRLARHRSVAAARGLLAELHPSPASPPPRPALLALSDAVCRRGEPREIAQLLPVLADHGVKADAPVYNALMKAHCAASDPAGVLGVLRRMKDDGVEPDLVTYNTLVFGLARAGMVTKARTYLDAMAAAGHFPDVITYTSLMNGMCVKGDAMGALALLEEMEAKGCEPNERTYNTLLMGLCKNKKLDKAVDVYKSMVGAAMKLEAPAYATFVRALCRAGRIPDAYEVFDYGIESKSFAEVTLYTELENSLKWLHRMKS >ORUFI02G01470.1 pep chromosome:OR_W1943:2:950804:960254:1 gene:ORUFI02G01470 transcript:ORUFI02G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVGQHGVATLRRYKYSGVDHSLVAKYILQPFWSRFVNLFPLWFPDSSISSFFFDSFNWFYSPHLDTAPPRWVHLAHGMLLFLYQFEALAFGSTAMCGKATFWYWFIAAVPFYCATWEHFFTNTLILPIVNGPTEGLMLIYLCHFFTFFTGAEWWAQDFRKSIPLLNWVPLVPEVPVYGIALFLMIAFAVIPTIGSNIHNVYKVVEARKGSMLLALAMLFPFGLLLAGVLVWSYLSPSDIMRNQPHLLVIGTGFAFGFLVSLAYFPFAIANALTARLDDGFVPNYLFIILYMITLFTNLIWPSIVVAETPLLMSS >ORUFI02G01470.2 pep chromosome:OR_W1943:2:956512:960254:1 gene:ORUFI02G01470 transcript:ORUFI02G01470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRATELRLLLVAMAVAAVTARGAAGGGAAKVPAIFVFGDSTVDVGNNNYLAGISARADFPHNGVDFPGGEPTGRFSNGLIGVDFIAAAMGFTRSPPPYLSLIAMDANSSGEVMSNMMMAAASAMKGASFASGGSGVLDSTGTTISMTKQIEYFSDLRDQISTILSAEKASTLLSKSIFLISAGGNDAFEFFSQNKSPDSTAIQEFCEAFISTYDSHVKTLYNLGARKFAVINVPLLGCCPYLRSQNPTGECFEPLNQLAKRLNGEIRDLFRDLSSEMQGMKYSIASSYELISSLIENPQAAGFVEVKSACCGGGGKFNAEEACTPSSSCCADRSRYLFWDLLHPTQATSKIVGLAFYDGAARFVSPITFKQLADA >ORUFI02G01480.1 pep chromosome:OR_W1943:2:956789:960335:-1 gene:ORUFI02G01480 transcript:ORUFI02G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAASLSTPAPSPAAGGRGRRRVNVAVASLRRAAAGGGSSWRSERRLMSELERTVTPGAAERVIRSYVASKSERAALAALSRLLMDSDPFAIPFYEVVTQARWFKWSSIHAAAVAALLESNGSAEESRSLISDSISRLHSTSSSSEEVSLFYCDLMAAFSSRGMRDRAMDFYSQLRASPPLSGKKTYTAMIKSLCLMGLAGEAEAALREMASRGHQPEAFQFGLVAKCYGKAGSMAEMERVISSMSDAGIRLGTGAANIVLSCYTSCRDHSRMLAWLRRMRKLRIAPTTKAFNFVLNSCPTVASMAQELGESLPLSTAELVKKLRSASQWPAEAELVQELLTSSSVLDKAMDWSESEVKLNLHGFSTIAAYVLILQWVDAMKARRALPLEVSVVCGIGKHSDVRGEPKVRELAQEVLSRMGSPLRLSMRNKGRLVAKRDRVKQWLATDWSSPVDEESTDQSPNGDNQQPFLLTLMRKLGQVLSPFLQFSKESGDLFCEKNSKASFPPALMRKMDFDSNVDAFSALNMVEIWSLRSEKTPEPPEAKLAPFMADAAAIIMLLITSPLLLASMAMRESDEAT >ORUFI02G01490.1 pep chromosome:OR_W1943:2:961386:966928:-1 gene:ORUFI02G01490 transcript:ORUFI02G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEESPPPPPSSPPPPPSSPQPAAEVGAGGRRADKSGRRLEVYSEVLARLRGLGAAAPVEISPAYEDALWAHFHRLPARYALDVHADRAEDVVTHHRLLEEARDPDRRPALSVRVVQISRILDGDMDDCSELGMEPVHTNHLARQMVHPPPAFGSCSNLEALALEASEANLRSSNNDEDSSVHLISRPMHEITFATTDKPKVLSQLTCLLSELGLDIQEAHAFSTSDGYSLDVFVVTGWHLGGTEQLKEKLLEKFHDIETQAWPTSNSSSQSLEGPSGGESMPSTSVEIPTDGTDVWEIDLKLLKFGTKVASGSNGDLFRGSYCSQDVAIKVVRPERISADMYRDFAQEVYIMRKVRHRNVVQFIGACTRQPNLYIVTGGSLHDYLHKKNNSFKLSEILRVATDISKGMNYLHQNNIIHHFGVARVKDQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKIPYEYLTPLQAAIGVVQKGLRPTIPKDTHPKLSELLQKCWHRDPAERPDFSQILEILQRLPKEVRADTEGRQKSKAGFLSALKRNH >ORUFI02G01500.1 pep chromosome:OR_W1943:2:972169:976337:1 gene:ORUFI02G01500 transcript:ORUFI02G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLASPRSGRAPVIMSWSGELSPPAAASGTRLLHGDLDLTIHEARGLPNMDFLSTLLRRLCLCLRPPARRPSPGQSRGSVPADEDGRRQPHGHHLLPTSDPYAAVVVAGNTLARTHVVRDSEDPEWSTHVLLHLAHHATGVAFHVKDADPFGSDLIGVAILPAADVLAAAAAPIVRRELPLYRPDGRGRPKPSSAIVITASFVPAGEHQSIYDAEHGGVPAAYFPARRGCEVKLYQDAHVAGGELDGVRRRGVFEPGRCWEDMCLAVLGAQHLVYVAGWSVNTKVRLVREAMSPEMAAKVEEVRTTATDDDDNPVAAEGMSLGALLKYKSQEGVRVCLLVWDDKTSHDTFFLKTGGLMQTHDEETKKFFKDSPNAERGVSASVQIVGTMYTQHQKCLLVDTPASGSTRRITAFLGGLDLAAGRYDTPSHRLFADLGTVFSGDVYNPAIPPAGNKGGAGEEGPRQPWHDMHCRVDGPAAYDVLENFEQRWRKATKLFRRAKAHWKDDALLKLERISWILSPSDSGAGDGDGGDSHLYALPDGHPDCWNAQVFRSVDSGSVKGLPRCWETKKMEAKHLVCDKNVTVEQSIHTAYVRAIRSAKRFIYIENQYFIGSSFAWPSYKHQEGRHHLNLLNLSHHLSESIALKVASKIAAGERFAVYIVIPMWPEGVPTSGPIQEILFWQRQTMQAMYEVIAAAIRAAGMEGAAHPRDYLNFYCLGKREAAAAAAAGSPEQEHNPAASSARRHRRFMIYVHSKGMIVDDEYVIVGSANINQRSLAGSRDTEIAVGAYQPNLRAGAGAGDGQVFGFRMLLWEEHLGSSEWRELRSPESPECVKRVNEIAAENWRRYAADDDDVAMQGHLMRYPVDVGDDGKISELRGHEFFPDVGGRILGSTNNNYWDYLTM >ORUFI02G01510.1 pep chromosome:OR_W1943:2:977295:979977:1 gene:ORUFI02G01510 transcript:ORUFI02G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAASASTSTPEGDSGQHAAAAPPRMTTVSKHYFGGASSAHNHDLRVDIIENIEEDYGMFVWPCSVILAEYVWQQRSRFTASTVVELGAGTSLPGLVAAKVGADVTLTDIAHNTEVLNNIRQVCGLNNVNCTVLGLTWGEWDEPTFDLHPDVILGADVLYDSAKFDDLFATVSFLLENSPGAMFITTYHNRSGHHLIEFLMVKWGLKCLKLLDGFSFLPSCKAASLQGNIQLVEIALDKEKPNCSSADENNL >ORUFI02G01520.1 pep chromosome:OR_W1943:2:978160:982234:-1 gene:ORUFI02G01520 transcript:ORUFI02G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESATLDAPPPLERSPQRESAVDEETRALVVPDAGDLPPFPPSAVEANFARYFVADFLNPGHDQYVYRHPNGLCVVGLASAHIALKEEGGITAVDFNVGKSDRSEMKVTGKRKRNAQHLQENSALCKVCTSSNSFVVRCCVKGSLLEINDRLIKQPDLLNTSCDVCSNLCSY >ORUFI02G01520.2 pep chromosome:OR_W1943:2:978158:981550:-1 gene:ORUFI02G01520 transcript:ORUFI02G01520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTGKRKRNAQHLQENSALCKVCTSSNSFVVRCCVKGSLLEINDRLIKQPDLLNTSCDVCSNLCSY >ORUFI02G01530.1 pep chromosome:OR_W1943:2:984017:986419:-1 gene:ORUFI02G01530 transcript:ORUFI02G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGDHLLMKNSNAAAAAAAVNGGGTSLDAALRPLVGSDGWDYCIYWRLSPDQRFLEMTGFCCSSELEAQVSALLDLPSSIPLDSSSIGMHAQALLSNQPIWQSSSEEEEADGGGGAKTRLLVPVAGGLVELFASRYMAEEQQMAELVMAQCGGGGAGDDGGGQAWPPPETPSFQWDGGADAQRLMYGGSSLNLFDAAAADDDPFLGGGGGDAVGDAAAAAGAWPYAGMAVSEPSVAVAQEQMQHAAGGGVAESGSEGRKLHGGDPEDDGDGEGRSGGAKRQQCKNLEAERKRRKKLNGHLYKLRSLVPNITKMDRASILGDAIDYIVGLQKQVKELQDELEDNHVHHKPPDVLIDHPPPASLVGLDNDDASPPNSHQQQPPLAVSGSSSRRSNKDPAMTDDKVGGGGGGGHRMEPQLEVRQVQGNELFVQVLWEHKPGGFVRLMDAMNALGLEVINVNVTTYKTLVLNVFRVMVRDSEVAVQADRVRDSLLEVTRETYPGVWPSPQEEDDAKFDGGDGGQAAAAAAAAGGEHYHDEVGGGYHQHLHYLAFD >ORUFI02G01540.1 pep chromosome:OR_W1943:2:988631:989998:1 gene:ORUFI02G01540 transcript:ORUFI02G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRIIKELKDLQRDPPTSCSAGPVSDDMFHWQATIMGPNDSPYSGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGNICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKTDRLRYESTARGWTQKYAMG >ORUFI02G01550.1 pep chromosome:OR_W1943:2:991141:994070:-1 gene:ORUFI02G01550 transcript:ORUFI02G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELRKQIGAPYYIECSSKTQLNVKGVFDAAIKVVLQPPKAKKKKKAQRGACSIL >ORUFI02G01560.1 pep chromosome:OR_W1943:2:996921:999829:-1 gene:ORUFI02G01560 transcript:ORUFI02G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSDCSSSATSRLLPLRRALLAPPCRFRPATVAAPPRRPLAIAAPQLPLLPRARGTASASAAAAAAASSTDSDACAKIIDGKLVAKQIREEIAVEIAKMKDAIGVVPGLAVILVGSRKDSQTYVRNKKKACEAVGIKSYEVNLPEDSSEDEVLKHIATFNSDPSVHGILVQLPLPHHMNDENILNAVSIEKDVDGFHPLNIGRLAMQGRDPFFVPCTPKGCMELLHRYGVEIKGKRAVVIGRSNIVGMPAALLLQKANATVSIVHSNTKKPEEITRQADIVIAAVGVANLVRGSWIKPGAAIIDVGINPVDDPESPRGYRLGGDVCYEEASKIAGLITPVPGGVGPMTIAMLLSNTLESAKRIHKFK >ORUFI02G01570.1 pep chromosome:OR_W1943:2:1000911:1005871:-1 gene:ORUFI02G01570 transcript:ORUFI02G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMGSPWLRIRLLPEVPPRLLRPHLRRTLSVRASASASASPDGAGGPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTKKSEEAVLSDLAWLGLDWDEGPDVGGEFGPYRQSERNSMYKQYAEKLMESGAVYQCFCSSEELEQMKETAKQMQLPPVYMGKWGTASDAEIQQELEKGTPYTYRFRVPKEGSLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMRISHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDRSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTIDDLVEKFTINRVNKSGAVFDAVKLKWMNGQHLRSFPPDVLIKSFEDRWKDTGILQESESGFAKEAAELLKDGIDLITDADAALSNLLSYPLHATLSSDEAKSVVQDKLSEVASGLISAYDSGELCQALAEGRDGWQKWVKIFGKSLKRKGKSLFMPLRVLLTGKLHGPDMGGTVVLIHKAGTCGAVTQQSGFVNLDERFRILKEVEWESLVQEQESPAETAVPASR >ORUFI02G01580.1 pep chromosome:OR_W1943:2:1013062:1013745:-1 gene:ORUFI02G01580 transcript:ORUFI02G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARHRGTVKWFNDTKGFGFISPDDGSEDLFVHQSSIKADGFRSLAEGEQVEFAISESEDGRTKAVDVTGPDGSFVKGGAGGGGGGGGGFGSRGGGGSGGGGRSYGGSWGGGRRSGGGGPGGGCFKCGESGHMARDCFNGGGVGVGGGGGGGGGAGGGCFKCGEMGHMARDCFNSGGGGGGGGGGGGGGACYNGGGGGGGRFGGGGDRSCYNCGEAGHIARDCHK >ORUFI02G01590.1 pep chromosome:OR_W1943:2:1016417:1021444:-1 gene:ORUFI02G01590 transcript:ORUFI02G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKRRRRDGSEAPAIHPRNRYAAAAPDFASLASLYPSFAPFVSVSRGGRASIDFTDFAATRELTRVLLLHDHGVNWWIPDGQLCPTVPNRSNYIHWIEDLLSSDLIPPISSSNKTVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDVALEWAKKNVESNPQLAALVEIRNANKMSCSSESEAVDGEAARENTSKPVDGVLRSKPSILLGVVKDSESFDFCMCNPPFFESIEEAGLNPKTSCGGTAEEMVCPGGEQAFITRIIEDSVSLKNSFRWFTSMVGRKANLKILVSKVREAGVSVVKTTEFVQGQTARWGLAWSFIAPRKMVIRSSTPGKANYSFMLQGLRREYGAFQVLKSAESFFHASNLSCKTDSSLFSIDVTLSDEQAQAAMLHDESGSVEGNSTKLHSGVTGTSFRISVFEQMPGTLLVRGSLLNKALSGIFSSTFSQLEDTLKMEFLSKAR >ORUFI02G01600.1 pep chromosome:OR_W1943:2:1025719:1026237:1 gene:ORUFI02G01600 transcript:ORUFI02G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTRVFFDMTVGGAPAGRIVMELYAKDVPRTAENFRALCTGEKGVGKSGKPLHYKGSTFHRVIPEFMCQGGDFTRGNGTGGESIYGEKFADEVFKFKHDSPGILSMANAGPNTNGSQFFICTVPCSWLDGKHVVFGRVVEGMDVVKAIEKVGSRGGSTAKPVVIADCGQLS >ORUFI02G01610.1 pep chromosome:OR_W1943:2:1033453:1034926:-1 gene:ORUFI02G01610 transcript:ORUFI02G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGVVVEAFTEEEHEVAAILRDLADLVRARHRRRRRRRVQREEIPSWGCRRPRTTPGEKKPAPPADVGRRHEAAASPDTPLAFLVPDESSGDDVAARAAPPRKAPASHAEWVEEQRAVVASLSQENSHLSKQIEEYRVRLQSSRSTNDGLKQMQRKLKRQREHEEEEEVNRKRRVEAAAAAADIVRPAPVLDLNEPARAPEEDDDDAVAVAAAKAAAAAAAAEWYHLGQKRAAMACKAAMTAEARLRRQQIRRDKAAARRAG >ORUFI02G01620.1 pep chromosome:OR_W1943:2:1035839:1042352:-1 gene:ORUFI02G01620 transcript:ORUFI02G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMEKKREKLVAGLTRRHMIRSGSSSSSSPTAAAAAADNNKNMSEGGDLNLISRQKKGKAKVLKWRLSNTDMDMKGEEGGSDGDYDDTVLSSLTTASFSSLISRKRVKSLGKVAEDCDAIDPPVPRKLRSAINKRASQTVSTSPRHVKKRRHLSAISSQTFLMDRETRCNAIPQLANHFSKEEEVVVDALLSLSQIPHLCELSSDRGMAEDNLDLNVTSVSYSAGATKVDEKISALPTAGTEVANQPALDEPVERTGNVSQINHVPCGGTCNNTNPTLSNDGQIHDISLGIVTNLPSPSKDYNNSRKQLKVQFDNSTIHPTKIEAPRCLENSKKPDILEHDRKNVKNNTAQEIVPPVQTSKPCASHRPSSNTLASCNNTAAETVKGTGEHENLSLVNKNGTPSKTWKRSITHVYMCHLIQMHLDKEKASQNRVKPEEVCHSHISRSPDGSTISKNGAQDEKFYALRFDVRLPVQPSCSVCDTTIARQKMVSGNFLNLPTSAALSGVQHVQYLHPPIAPRGAMPYPIQHLPYTRGNLTHTALLLQQQMPQYMCNPNPAIMKIQQQLMPNQHQHQQQQQMWQFQFPQYHHPRPDAAAAAVSAAWQHSSRLHDVSSLRPVAVLPAPPPPPPPQMELFCSPYHGGSRQPPQLRLI >ORUFI02G01630.1 pep chromosome:OR_W1943:2:1044091:1049088:1 gene:ORUFI02G01630 transcript:ORUFI02G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSIITRALILILGYAYPAYDCYKTVELNRPEVEQLRFWCQYWILLAVLTVFERVGDNFVSWLPMYSEAKLAFIVYLWYPKTQHFQGTSYVYESFFKPYIGKHEAEIDRNLLELRTRAGDMAVHYFQKIADYSHTRFYEILQYIASQSEAQRSRPQAQQHQQRPPPPRTRQVNPAPPPVPSPSAPPLPPQPPPPRNQAQADKAPIPVAPPGAAVPPAQPQPQPPQAGAEAVTTESTEATQAANPPATTASNPHQAPVIPDEETLIQEAIRMTRSRLRRRMGGA >ORUFI02G01630.2 pep chromosome:OR_W1943:2:1044091:1049088:1 gene:ORUFI02G01630 transcript:ORUFI02G01630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSIITRALILILGYAYPAYDCYKTVELNRPEVEQLRFWCQYWILLAVLTVFERVGDNFVSWLPMYSEAKLAFIVYLWYPKTQHFQGTSYVYESFFKPYIGKHEAEIDRNLLELRTRAGDMAVHYFQKIADYSHTRFYEILQYIASQSEAQRSRPQAQQHQQRPPPPRTRQVNPAPPPVPSPSAPPLPPQPPPPRNQAQADKAPIPVAPPGAAVPPAQPQPQPPQAGAEAVTTESTEATQAANPPATTASNPHQAPVIPDEETLIQEAIRMTRSRLRRRMGGA >ORUFI02G01640.1 pep chromosome:OR_W1943:2:1055424:1060639:1 gene:ORUFI02G01640 transcript:ORUFI02G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCHVFSSFSSSLIRVLEAPLLLPAASASSSSSSSPASRSGGRRRRAAHVRPSPAIYSGRQELASHSSMLPTDFDIQVLIERHEALTDDVQEMLQHQRRRHQKTASGGRERIATVDHLRRLCMDHYFQDEVDDAMDACLLEELAHGGDLLDATLAFRLMREAGHHVSADEVLGRFTDDNGEFRLDYRKDIRGLLSLQDISHMNIGQEASLCKAKEFSTRNLESAINYLEPNLARYVRQSLDHPYHVSLNQYKARHHLSYLQTLPIRCTAMEELALADFQLNKLLHQMEMQEIKRWWMDLGLAQEIPVARDQVQKWFVWMMTAIQGASLSRCRIELTKIVSFVYIVDDIFDLVGTREELSCFTQAIRMWDLAAADSLPSCMRSCFRALHTVTNDIADMVEREHGVNPINHLKKAWAMLFDGFMTETKWLSAGQVPDSEEYLRNGVVTSGVPLVFVHLLFMLGHDVSQNAAEFVDHIPPVISCPAKILRLWDDLGSAKDEAQEGLDGSYKELYLKENPGLAAGEAEEHVRRLIAGEWEELNRECFSASPSRSSPATTFPAGFTQAALNAARMVGVMYGYDGERRLPVLDDYVRMLLF >ORUFI02G01650.1 pep chromosome:OR_W1943:2:1056967:1060375:-1 gene:ORUFI02G01650 transcript:ORUFI02G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTILAALSAACVKPAGKVVAGDDLLGLAEKHSRLSSSHSPAISRRTCSSASPAASPGFSLRRAPHLVELEVCKCELLHGSAPDRQGLEVAEMVPGLVLIQADMAE >ORUFI02G01660.1 pep chromosome:OR_W1943:2:1061406:1064269:1 gene:ORUFI02G01660 transcript:ORUFI02G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYWSRAVLPSCQSQIHLSPSREFRRRPTWPAAGYPRHAPPPDQASLPQTRSSSSPALSSSTRMEKYHSNSRFAPFRDAPFALRGALGSSGSSFSSIDSLRRSSTLEQARGYTSRPLGAVRPKMLPSGCRPLHTSHPLSAPVANRPLSPHLPLKKPQLSATFSISHRIFGAALGAAIISIPLATKFSLMGSRVVVPGK >ORUFI02G01670.1 pep chromosome:OR_W1943:2:1064282:1066156:1 gene:ORUFI02G01670 transcript:ORUFI02G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAARSRSPEDASVAAARKLHLLLRSRDLRPALSYLRTLPSPLTLLPNHALNALLRALAAAGRVRAAAALFRRIPSPTPHSFNSLLAALLRRGRRRAASALFAALLRSPSASPDAATLNTLLHGLSTASPHPSTPALLRLFRFLPDTYAFAPDAISYNSLLSALCRAGDVLTARKLFDGMRVGGEEGRGAVFPNVITYTTMIKAYCAKRLVNEALAIFKLMVADGVAPNRITYNTMVQGFCDAGRMELVKEVLEMDSFRPDTCTFNTLVAVHCREGRIEDAMKVFNQMVELRVRRDSASYSMVIRVLCENGEFGQAEELVDELLEKEVLKKRGGCTPLIAAYNPVFVYLCEHGKTKKARMLFGQLLDRRSKVDVPAFKTLILGHCREGDFEEGYALLLSMLKRDLVPDDECYIAVIEGFSQRGRMKFAWEALHRMLNSGLRPSTSTFHSVLLGLLNKDGCAKEAADLIEIMLERKIRQNVDLSTNLVDTLFRNNLNDRAYKIVTSLYDHGYYIKMEKLIANLCEEKKFIEAADFTLFSLEKSQNFGVAIPSMVLDGLCMTGRASEAFRLFYELIENRSALASVAAPRSLVALHHALEESGKMKEADFIARQMRRASARIRERI >ORUFI02G01680.1 pep chromosome:OR_W1943:2:1068045:1069890:-1 gene:ORUFI02G01680 transcript:ORUFI02G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGEMPMRPVRPGPPMQYRGPPPMARARVEPVDREKTCPLLLRVFTKVGGHHQNEEFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVALAARKRNARLSFAFVYPDKHGRFVVKEVGSTFSYGHGRGDDAKTLAELGFQIGDYLSVAIY >ORUFI02G01690.1 pep chromosome:OR_W1943:2:1070955:1077364:1 gene:ORUFI02G01690 transcript:ORUFI02G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGEPLRRRRHLADDGFFRFLLPSPKPATTTTTTPPPAALFVPPHRLIAPPVPLPQPPRPEERLFIVPPTRPSWLPPLSIPPPATATAPPPTRCPPRRMGNGGGGCFGGRSGVVGWRYGGFVGNGGRRGFERRRVGGGFIGAANAGEATGGERRAVVRKREKKVWVAVEKKGEDCGGGDEDQAAMGAGYAGGDERDEQVDVDDDEQDDGDGDDPFDVAADHDLLAVVADGAGSEKPMEQLGSPPDQPPPPPPRQRVGTRRWRVERRHDIDAFTPGLLSLYESLNPSEEHKAKQRQLIESLTNSVSKEWPNAQLHLYGSCANSFGNSHSDVDVCLQIDTAAEENIAELLLALAETLRKDDFDNVEAITSARVPIVKIADPGSGLSCDICVNNLFAVANTKLLKDYAQIDERLLQLAFIVKHWAKLRGVNETYRGTLSSYAYVLMCISFLQQREPKILPCLQAMEPTYTVVVDGTECAYFDQVDQLKDFGAENKESIAELLWAFFHYWAFHHDYRNDVISVRMGNTISKQEKNWTTRVGNDRHLICIEDPFETSHDLGRVVDRQTIRVLREEFERAATILQYDDDPCVALFEPYDYES >ORUFI02G01700.1 pep chromosome:OR_W1943:2:1075488:1082254:-1 gene:ORUFI02G01700 transcript:ORUFI02G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHLRLLSAAPLPALLPTRRLPAVPTPALAARAARLVLSRPLTEPQPPRLPRGAAARCRGVAVAGADGDEPAAAAAGTAGLWEQVRDIVVFAGPALGLWICGPLMSLIDTMVIGQTSSLQLAALGPGTVFCDYLCYIFMFLSIATSNMVATSLAKKDEELAQHQVSMLLFVALTCGLGMFLFTKLFGTQVLTAFTGSGNYDIISAANTYAQIRGFAWPAVLVGLVAQSASLGMKDSWGPLKALAAASVINGVGDLLLCSVCGYGIAGAAWATMVSQIVAAFMMMQNLNKRGFRAFSFTIPSSSELLQIFEIAAPVFITMTSKVAFYALLTYSATSMGAITLAAHQVMVNVLCMCTVWGEPLSQTAQSFMPELIYGAKCNLMKARMLLKSLVMIGAITGTTVGAVGTLVPWLFPSLFTNDFMVVQQAGRDLRFLSQSMGACFGIGTFLLMIIRNKFGSLPGCWWILVLFQWGRFGSALQRLLSPTGMLYNENFNNHHDEYVKVKAT >ORUFI02G01700.2 pep chromosome:OR_W1943:2:1075488:1082254:-1 gene:ORUFI02G01700 transcript:ORUFI02G01700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHLRLLSAAPLPALLPTRRLPAVPTPALAARAARLVLSRPLTEPQPPRLPRGAAARCRGVAVAGADGDEPAAAAAGTAGLWEQVRDIVVFAGPALGLWICGPLMSLIDTMVIGQTSSLQLAALGPGTVFCDYLCYIFMFLSIATSNMVATSLAKKDEELAQHQVSMLLFVALTCGLGMFLFTKLFGTQVLTAFTGSGNYDIISAANTYAQIRGFAWPAVLVGLVAQSASLGMKDSWGPLKALAAASVINGVGDLLLCSVCGYGIAGAAWATMVSQIFEIAAPVFITMTSKVAFYALLTYSATSMGAITLAAHQVMVNVLCMCTVWGEPLSQTAQSFMPELIYGAKCNLMKARMLLKSLVMIGAITGTTVGAVGTLVPWLFPSLFTNDFMVVQQAGRDLRFLSQSMGACFGIGTFLLMIIRNKFGSLPGCWWILVLFQWGRFGSALQRLLSPTGMLYNENFNNHHDEYVKVKAT >ORUFI02G01710.1 pep chromosome:OR_W1943:2:1083029:1085590:-1 gene:ORUFI02G01710 transcript:ORUFI02G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWDSEDFQPAVPSAKAEPLKSKWADEDVEEDDVKESWEEEEEEKPKPPPVEKTAPKPSGKGAAKKGKQQASTSSEVVQDEALDDPALEKLRQQRLVEEADFKSTTELFGKKDGSEKSLDTFIPKSESDFAEYAELIANKLRPYEKSFHYMGLLKNVMRLSMASLKGADAKDISSSIAAIANEKIKAEKEAAAGKKKQGAKKKQLHIENKDDDFIPGKGNFDDPDEYDFM >ORUFI02G01720.1 pep chromosome:OR_W1943:2:1087622:1091190:-1 gene:ORUFI02G01720 transcript:ORUFI02G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFHNLLARPNSAPFAFSLPRPRPRPRRRPPPHPSAACRAASRWAERLFADFHLLPTAAPSDPPSPAPAPAAAPSASPFVPLFPDAAERSLPLQVDFYKPHFLGDGIRRAFEARIAKPPQYGYSTDALVGRRQMLQIAHDTLMNQNSRTQYDRALSENREEALTMDIAWDKVPGVLCALQEAGEALAVLVTGEQLLLDRPPKRFKQDVVLAMALAYVDLSRDAMAASPPDVIGCCEVLERALKLLQEDGASNLAPDLLSQIDETLEEITPRCVLELLSLPIDTEHHKKRQEGLQGARNILWSVGRGGIATVGGGFSREAFMNEAFLRMTSIEQMDFFSKTPNSIPPEWFEIYNVALAHVAQAIISKRPQFIMMADDLFEQLQKFNIGSHYAYDNEMDLALERAFCSLLVGDVSKCRMWLGIDNESSPYRDPKILEFIVTNSSISEENDLLPGLCKLLETWLIFEVFPRSRDTRGMQFRLGDYYDDPEVLSYLERMEGGGASHLAAAAAIAKLGAQATAALVIGAKYLPRKRPLSAIRSEHGSVAVANSVDSTDDPALDEDPVHIPRMDAKLAEDIVRKWQSIKSKALGPEHSVASLQEVLDGNMLKVWTDRAAEIERHGWFWEYTLSDVTIDSITISLDGRRATVEATIDEAGQLTDVTEPRNNDSYDTKYTTRYEMAFSKLGGWKITEGAVLKS >ORUFI02G01720.2 pep chromosome:OR_W1943:2:1087622:1091190:-1 gene:ORUFI02G01720 transcript:ORUFI02G01720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFHNLLARPNSAPFAFSLPRPRPRPRRRPPPHPSAACRAASRWAERLFADFHLLPTAAPSDPPSPAPAPAAAPSASPFVPLFPDAAERSLPLQVDFYKVLGAEPHFLGDGIRRAFEARIAKPPQYGYSTDALVGRRQMLQIAHDTLMNQNSRTQYDRALSENREEALTMDIAWDKVPGVLCALQEAGEALAVLVTGEQLLLDRPPKRFKQDVVLAMALAYVDLSRDAMAASPPDVIGCCEVLERALKLLQEDGASNLAPDLLSQIDETLEEITPRCVLELLSLPIDTEHHKKRQEGLQGARNILWSVGRGGIATVGGGFSREAFMNEAFLRMTSIEQMDFFSKTPNSIPPEWFEIYNVALAHVAQAIISKRPQFIMMADDLFEQLQKFNIGSHYAYDNEMDLALERAFCSLLVGDVSKCRMWLGIDNESSPYRDPKILEFIVTNSSISEENDLLPGLCKLLETWLIFEVFPRSRDTRGMQFRLGDYYDDPEVLSYLERMEGGGASHLAAAAAIAKLGAQATAALGTVKSNAIQAFNKVFPLIEQLDRSAMENTKDGPGGYLENFDQENAPAHDSRNAALKIISAGALFALLAVIGAKYLPRKRPLSAIRSEHGSVAVANSVDSTDDPALDEDPVHIPRMDAKLAEDIVRKWQSIKSKALGPEHSVASLQEVLDGNMLKVWTDRAAEIERHGWFWEYTLSDVTIDSITISLDGRRATVEATIDEAGQLTDVTEPRNNDSYDTKYTTRYEMAFSKLGGWKITEGAVLKS >ORUFI02G01730.1 pep chromosome:OR_W1943:2:1093836:1094767:-1 gene:ORUFI02G01730 transcript:ORUFI02G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAPLAAVHAIITCSASNKNSPPSARQQQQTTTTTATRGSPAALPSLLRTTAAAAATAALALAPPDALAAGGEFGILEGRSVALLHPLVMGGLFAYTLWAGYLGWQWRRVRTIQDEINELKKQLKPAAATPAAVAAGDSSSSSSPPPSAPKSPVEIKIDELTEERKKLIKGSFRDRHFNAGSILLGLGVTESVGGALNTWFRTGKLFPGPHLFAGAAITVLWAAAAALVPAMQKGNETARSLHIALNAINVLLFIWQIPTGLEIVGKVFEFTTWP >ORUFI02G01740.1 pep chromosome:OR_W1943:2:1095923:1096366:-1 gene:ORUFI02G01740 transcript:ORUFI02G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHQQIRSTTAAEQQEASAGGGGGEEYEDLMPVMAGRLGAEGLLSELRAGFRLLADPARGAITAESLRRSAASVLGLGGGGGEMTVEEAAAMVREGDQDGDGALSEAEFCVLMVRLSPGIMGDAEGWLEEAIADELLRSLPPPPPA >ORUFI02G01750.1 pep chromosome:OR_W1943:2:1099307:1101374:1 gene:ORUFI02G01750 transcript:ORUFI02G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPATPLRRRTRGAPPPPPPGRRAAAAATATTTTFAARRAGPGSRRRSCCCAMAATVASTSSASAPSSRASPPATGSAPPAPRRRRTPINHTRPRSPSSSRWSRRRSWISSRSRGARRRRWRRRRSRRRGRRGSGRRVASAWSPRRRGSFSPSTPATTRRGASARWRRSPRRSPPPAPSSATSSPTSPAWLPAPPTAPPSNPAECRCYQRRTSRR >ORUFI02G01760.1 pep chromosome:OR_W1943:2:1102231:1110852:-1 gene:ORUFI02G01760 transcript:ORUFI02G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALPSLSPPPPRPSPSPATPRRRPPPPPPPHATPSHRVRSRPATVVSAASGVTDGYHSTIRSLNSRGRHVPRKSLGQNYMLNSKVNEELVAAAGVEEGDVVLEIGPGTGSLTAALLDAGATVFAVEKDKHMATLVNDRFGSTEQLKIIEEDITKFNVRSHFLPFLEEKSHHTRKYAKVVSNLPFNVSTEVVKLLLPMGDVFSVMVLLLQDETALRFADASIQTPEYRPINVFVNFYSEPEYKFKVERTNFFPQPKVDGAVISFKLKNSGDYPPVNSAFNGKRKMLRKSLQHLCSSSEIEAALANIGLPVTLALPVLARFSPVVARPSGFSCNNSGDGSSSSPTGHCRWAHIHPAPGKISTPIQLIHPHLVHVVAYKNPRYAATDQSPLSPPLRFSIRRRRPNQSPRGGGDLGFPRPRRRRLPPRRRPLLPSSIPGGLRRVACPKMRPVFCGNLDYDARQSEIERLFSKYGRVERVDMKSGPDYLNVNYQNIGQVHIRDIMEHLCSSWMMDWALPFLPEPRSSLVHLQELRVHPGICSLGNNHLVNDALLLRSALHPPLRCTLLPRFAFVYMEDERDADEAIHRLDRIEFGRKGRRLRVEWTKEDRSGGRRGNSKRSPNNTRPTKTLFVINFDPINTRTRDLERHFDQYGKISNVRIRRNFAFVQYELQEDATKALEGTNGSTLMDRVISVEYALRDDDEKRNGYSPERRGRDRSPDRRDYRGRSASPYGRGRERGSPDYGRGRERGSPDYGRGGDRGSPDYHRGASPQGGNKGDERGSPPNNYDRERREASPGYDRPRSRSPARYERE >ORUFI02G01760.2 pep chromosome:OR_W1943:2:1102231:1110852:-1 gene:ORUFI02G01760 transcript:ORUFI02G01760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALPSLSPPPPRPSPSPATPRRRPPPPPPPHATPSHRVRSRPATVVSAASGVTDGYHSTIRSLNSRGRHVPRKSLGQNYMLNSKVNEELVAAAGVEEGDVVLEIGPGTGSLTAALLDAGATVFAVEKDKHMATLVNDRFGSTEQLKIIEEDITKFNVRSHFLPFLEEKSHHTRKYAKVVSNLPFNVSTEVVKLLLPMGDVFSVMVLLLQDETALRFADASIQTPEYRPINVFVNFYSEPEYKFKVERTNFFPQPKVDGAVISFKLKNSGDYPPVNSAFNGKRKMLRKSLQHLCSSSEIEAALANIGLPVTLALPVLARFSPVVARPSGFSCNNSGDGSSSSPTGHCRWAHIHPAPGKISTPIQLIHPHLVHVVAYKNPRYAATDQSPLSPPLRFSIRRRRPNQSPRGGGDLGFPRPRRRRLPPRRRPLLPSSIPGGLRRVACPKMRPVFCGNLDYDARQSEIERLFSKYGRVERDIMEHLCSSWMMDWALPFLPEPRSSLVHLQELRVHPGICSLGNNHLVNDALLLRSALHPPLRCTLLPRFAFVYMEDERDADEAIHRLDRIEFGRKGRRLRVEWTKEDRSGGRRGNSKRSPNNTRPTKTLFVINFDPINTRTRDLERHFDQYGKISNVRIRRNFAFVQYELQEDATKALEGTNGSTLMDRVISVEYALRDDDEKRNGYSPERRGRDRSPDRRDYRGRSASPYGRGRERGSPDYGRGRERGSPDYGRGGDRGSPDYHRGASPQGGNKGDERGSPPNNYDRERREASPGYDRPRSRSPARYERE >ORUFI02G01760.3 pep chromosome:OR_W1943:2:1102231:1110852:-1 gene:ORUFI02G01760 transcript:ORUFI02G01760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVALPSLSPPPPRPSPSPATPRRRPPPPPPPHATPSHRVRSRPATVVSAASGVTDGYHSTIRSLNSRGRHVPRKSLGQNYMLNSKVNEELVAAAGVEEGDVVLEIGPGTGSLTAALLDAGATVFAVEKDKHMATLVNDRFGSTEQLKIIEEDITKFNVRSHFLPFLEEKSHHTRKYAKVVSNLPFNVSTEVVKLLLPMGDVFSVMVLLLQDETALRFADASIQTPEYRPINVFVNFYSEPEYKFKVERTNFFPQPKVDGAVISFKLKNSGDYPPVNSAFNGKRKMLRKSLQHLCSSSEIEAALANIGLPVTLALPVLARFSPVVARPSGFSCNNSGDGSSSSPTGHCRWAHIHPAPGKISTPIQLIHPHLVHVVAYKNPRYAATDQSPLSPPLRFSIRRRRPNQSPRGGGDLGFPRPRRRRLPPRRRPLLPSSIPGGLRRVACPKMRPVFCGNLDYDARQSEIERLFSKYGRVERVDMKSGFAFVYMEDERDADEAIHRLDRIEFGRKGRRLRVEWTKEDRSGGRRGNSKRSPNNTRPTKTLFVINFDPINTRTRDLERHFDQYGKISNVRIRRNFAFVQYELQEDATKALEGTNGSTLMDRVISVEYALRDDDEKRNGYSPERRGRDRSPDRRDYRGRSASPYGRGRERGSPDYGRGRERGSPDYGRGGDRGSPDYHRGASPQGGNKGDERGSPPNNYDRERREASPGYDRPRSRSPARYERE >ORUFI02G01760.4 pep chromosome:OR_W1943:2:1103725:1110852:-1 gene:ORUFI02G01760 transcript:ORUFI02G01760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVALPSLSPPPPRPSPSPATPRRRPPPPPPPHATPSHRVRSRPATVVSAASGVTDGYHSTIRSLNSRGRHVPRKSLGQNYMLNSKVNEELVAAAGVEEGDVVLEIGPGTGSLTAALLDAGATVFAVEKDKHMATLVNDRFGSTEQLKIIEEDITKFNVRSHFLPFLEEKSHHTRKYAKVVSNLPFNVSTEVVKLLLPMGDVFSVMVLLLQDETALRFADASIQTPEYRPINVFVNFYSEPEYKFKVERTNFFPQPKVDGAVISFKLKNSGDYPPVNSAFNGKRKMLRKSLQHLCSSSEIEAALANIGLPVTLALPVLARFSPVVARPSGFSCNNSGDGSSSSPTGHCRWAHIHPAPGKISTPIQLIHPHLVHVVAYKNPRYAATDQSPLSPPLRFSIRRRRPNQSPRGGGDLGFPRPRRRRLPPRRRPLLPSSIPGGLRRVACPKMRPVFCGNLDYDARQSEIERLFSKYGRVERVDMKSGYHGASLLFLDDGLGSTFPA >ORUFI02G01760.5 pep chromosome:OR_W1943:2:1102231:1103283:-1 gene:ORUFI02G01760 transcript:ORUFI02G01760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFAFVYMEDERDADEAIHRLDRIEFGRKGRRLRVEWTKEDRSGGRRGNSKRSPNNTRPTKTLFVINFDPINTRTRDLERHFDQYGKISNVRIRRNFAFVQYELQEDATKALEGTNGSTLMDRVISVEYALRDDDEKRNGYSPERRGRDRSPDRRDYRGRSASPYGRGRERGSPDYGRGRERGSPDYGRGGDRGSPDYHRGASPQGGNKGDERGSPPNNYDRERREASPGYDRPRSRSPARYERE >ORUFI02G01770.1 pep chromosome:OR_W1943:2:1111469:1113032:1 gene:ORUFI02G01770 transcript:ORUFI02G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFGGKVRVNSLSYEAVAIYGRMPPVLPPPNASSHLAAAGARYRKSCGRPGRRRQGKRAGGGAPPRRGRRYDARTAEGGGRSGRRGGSGRAGHGFGLPGGGSGPPAARSGEGRRRRGKTAGGLELAATATRQVGGRPELAATAVLQDARGSGGATRRNSSRRRRPRRRGNAARRSNPVGTQRRLTGQTAVGDGGDGRARRGARGSLRRCRLATVVWGWLLGGRGRRRSGLRRRRLASVAAVTVAVAVDGGDVSGCGLLARWLGVRQQRLRWR >ORUFI02G01780.1 pep chromosome:OR_W1943:2:1115860:1119496:1 gene:ORUFI02G01780 transcript:ORUFI02G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAQPNSSPSPPPHTHTHAHHSSPHNPSTPTPPPPPGSPRDGAGEHPSTSAMTMPFAVSDPSASVEEMVAAAADDDECVCVWLEEQYEKVEKIGEGTYGVVYKGKHRHTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVRLQDVVHKEKCIYLVFEYLDLDLKKHMDSSPDFKNHRIVKSFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARHYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFSIMGTPNEETWPGVASLPDYISTFPKWPSVDLATVVPTLDSSGLDLLSKMLRLDPSKRINARAALEHEYFKDLEVA >ORUFI02G01790.1 pep chromosome:OR_W1943:2:1122227:1126250:1 gene:ORUFI02G01790 transcript:ORUFI02G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKLWVRKNRDLVRSLESWRFIVITLLESYQDITHLKYFYQGLTWILPERFANSEIAPEAVYAFLGIVSSVNQHIIETPTDGQTLASKEQSIPWFLVVSVLKDIEAVVEVAAQHFVGDDRKWSFLAVTEAVKAGVRLAAFGESGYKMLLQGGEVANEEEINILDENFGAKSNGVPVIYPMNGHFQNGHGVASNGLDGKAGFVSKSLEGRAVAALNKFGQNAKMTSDPMWMKKALPPPDPPAMVVEKPTLASIWSAKGISGRLFLLGEVVHIFRPLLYVLLIKKFGIKSWTPWLVSLAVEITSLGIHSRATDLHQRGGKLKRRKMMWALYVMRDPFFTRYTKRHLQKAEKVLDPVPLIGFLTGKLVELVEGAQTRYTYTSGS >ORUFI02G01790.2 pep chromosome:OR_W1943:2:1122428:1126250:1 gene:ORUFI02G01790 transcript:ORUFI02G01790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKLWVRKNRDLGLTWILPERFANSEIAPEAVYAFLGIVSSVNQHIIETPTDGQTLASKEQSIPWFLVVSVLKDIEAVVEVAAQHFVGDDRKWSFLAVTEAVKAGVRLAAFGESGYKMLLQGGEVANEEEINILDENFGAKSNGVPVIYPMNGHFQNGHGVASNGLDGKAGFVSKSLEGRAVAALNKFGQNAKMTSDPMWMKKALPPPDPPAMVVEKPTLASIWSAKGISGRLFLLGEVVHIFRPLLYVLLIKKFGIKSWTPWLVSLAVEITSLGIHSRATDLHQRGGKLKRRKMMWALYVMRDPFFTRYTKRHLQKAEKVLDPVPLIGFLTGKLVELVEGAQTRYTYTSGS >ORUFI02G01800.1 pep chromosome:OR_W1943:2:1126757:1133069:1 gene:ORUFI02G01800 transcript:ORUFI02G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWGQGDSDSEEEEQEIESEAGSESEDEGGDAGGRSGNQNRYLRTTNASDSDESDSGQRVVRSLKDKRNEELKITVDQMRNAMKINDWVNLQESFEKLNKQLEKVVRVNESSTVPNMYIKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYENLIQECREHPERFEDDDVEDKDDDDETEDEDSDAEEDPEKIAMSESDEGDDDEEDDQDGGDWVRHTNKKDKLMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRVERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNMWKKCVNNMLLVLDILQQYPNIVVDTSVEPDEKETQKGADYDGKIHVTGDLVAFLERLDSEFFKSLQCTDPYTKDYVQRLRDEPLFLVVAQNVQDYLEHVGNFKAEAKVALRRVELVYYKPQEVYDSMRKLAEQDEDSREDEDAEADEERQVSDNNRGPPPFVVIPEVVPRKPTFPESGRALMDALMSVIYKYGDERTKARAMLCDIYHHAISDEFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLIIEAHGCLSELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTYDKRKFMNRTFRRLLEISERQTFVGPPENVRDHVMAATRALSKGDHQKAFDVINSLEIWKLLRNKEHVLEMLKLKIKEEALRTYLFSYSSCYQSLSLDQLTTMFDLSEQQAHSIVSKMMMHEELHASWDQPTKCIIFHNVDQTRLQGLLFQMADKLSVLVESNERAYEAKTGGTFEGAPPRRRGGDGQDSSNLGKWQENFVSSQGRQGGGRSGYSGRGGGSGRGGGGYQRDRGSQGSRGGYGGGSRFQDGGRSRTGSMAKGGGDGGARMVSLNRAGRG >ORUFI02G01810.1 pep chromosome:OR_W1943:2:1131618:1135014:-1 gene:ORUFI02G01810 transcript:ORUFI02G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPAAAVALADLLESGTFAPPSPPRPPAPTTILSAWSHLRNPTSPAAADALAALETLHLHRRSLRLSSAHVALLLPLLPLHPRLVSPLLATSPHLLPPSLPASLPVSPRLLLLGALASSRSAKSLSSHANSGSTAAENLGGGGGESGDGHDSDPVVAVARILDDMEKGSESCHDLDHLALAGIGCVLASADELQFRRIIGSLLTICGRIGSLSVGVRMLKLVEWLLLGFIESRKMRKVQVLFEMISPESCQSQGYVMSPVVMVACGALRALRVASARYRLDFDPRLKEAPERTIRFAAEKAVLEGKHVDDRRLLLQCVALGLTQCGQVTPHESVLRCVCMALLEELLPLPDLLKMSVQCPDGNSPEIVKNRVKQHLDSVLFKEAGPVAGILCNQYSFASDKAKTSVETCVWEYAQVLYCHLRAAVILHQGKQDDLITDIEKIAEAAFLMVVVFSAEVTKHRLNAKSSEGFQPDVAVKILVSFSCLEHLRRLRLPEYTEAVRRAVLVNQENAAVAALFIESIPSYAELTNLLTLDGTRYIWHGDVVQTSRILFYLRVIPTCISLIPAHMIQDKYIQHSNEKVTRASHSVVVSFLSSGNDTDPDDRMALKEQLAFYYIKRTLEAYPGVTPFEGLASGVAALARHLPAGSPATLFCIHNLVVKAKDLCHTSMVEDKSLWRSWEGSTEPCKKILDLLLRLIFLVDIQSFPYLLKELAEFVSLLPKEGQDVLLDDMHAHVAESDDVTRKPSLSYISSQATRHKSLDNVGSDELNLNRTMARETNILSTYTMTGILVIDHQR >ORUFI02G01820.1 pep chromosome:OR_W1943:2:1135760:1138370:-1 gene:ORUFI02G01820 transcript:ORUFI02G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYLETRGTSRISLVVHHIRRRTHSSSSSRLRFQRGGGAEQRRGMAAAQGSGVPAALALSSGHTMPSVGLGVWRMDSPAIRDLIHSALRIGYRHFDCAADYQNEAEVGDALAEAFQTGLVKREDLFITTKLWNSDHGHVVEACKDSLKKLRLDYLDLYLIHFPVATRHTGVGTTASALGDDGVLDIDTTISLETTWHAMEDLVSMGLVRSIGISNYDIFLTRDCLAYAKIKPAVNQIETHPYFQRDCLVKFCQKHGILVTAHTPLGGSTANTEWFGSVSCLDDPVIKVSLAEKYGKTPAQLVLRWGLQRNTVVIPKTSKEERLQENFAVFDFAISDEDMEKMRSIDRKYRTNQPAKFWGIDLFA >ORUFI02G01830.1 pep chromosome:OR_W1943:2:1139678:1141965:-1 gene:ORUFI02G01830 transcript:ORUFI02G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSSKKTPSVYLYIPNIIGYFRIIINFIAFAVCYSNRVLFAILYFFSFFCDGLDGWFARKFNQASTFGAVLDMHCLFVGTSLPVLQTWLSFLDVAWVGYYKPLVSNVQVHPLPEGKTSHKDVKDTGNWLLKLYYGHRPFMAFCCVASEVLYIVLFLFADEKSTSLLNVCGNLLKQSPLTVFVFISTLVGWALKQVINVIQMKSAADACVVFDLKRGK >ORUFI02G01830.2 pep chromosome:OR_W1943:2:1139678:1141965:-1 gene:ORUFI02G01830 transcript:ORUFI02G01830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSSKKTPSVYLYIPNIIGYFRIIINFIAFAVCYSNRVLFAILYFFSFFCDGLDGWFARKFNQASTFGAVLDMHCLFVGTSLPVLQTWLSFLDVAWVGYYKPLVSNVQTSHKDVKDTGNWLLKLYYGHRPFMAFCCVASEVLYIVLFLFADEKSTSLLNVCGNLLKQSPLTVFVFISTLVGWALKQVINVIQMKSAADACVVFDLKRGK >ORUFI02G01830.3 pep chromosome:OR_W1943:2:1141970:1142710:-1 gene:ORUFI02G01830 transcript:ORUFI02G01830.3 gene_biotype:protein_coding transcript_biotype:protein_coding KSNRSICTRKEASNRTTNRINPSAAAATRLSLPRIHPRAPLLSGDSPESRRGGAAALASATARNSYIGLQFKGEAFRLLASNNY >ORUFI02G01840.1 pep chromosome:OR_W1943:2:1145337:1148572:1 gene:ORUFI02G01840 transcript:ORUFI02G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACLLLVVAAVCLAGREAAAFNYADALDKAILFFEAQRSGKLPPGQRVAWRADSGLSDGSADGVDLAGGYYDAGDNVKFGLPMAFTVTMLSWSVIEFGDMMPARRSSFLGGIFGGGGVAQLDNARAAVRWGADYLLKAATATPDTLYVQVADPYQDHRCWERPEDMDTPRSVYKVTPQSPGSDVAGETAAALAAASIVFRVSDPSYSAKLLDAAQLVFDFADKYRGSYSDSLSSVVCPFYCSHSYHDELLWAASWLHLASPEKKDVYLSYIGSNGHALGAEQDDFTFSWDDKRVATKGFLQSRADGLQLYKAHTDNYICSLVPGANGFQSQYTPGGLLFKEGDSNMQYVTSTAFLLLTYAKYLSSSAATVSCGSTAVSPSTLISLAKKQVDYILGANPAGMSYMVGFGARYPRHVHHRGASMPSVRDHPARIGCDEGFRYLHSPEPDRNLLAGAVVGGPDAGDAFADGRDNYAQAEPSTYTNAPLVGALAFFAGAHKIFTP >ORUFI02G01850.1 pep chromosome:OR_W1943:2:1152815:1154879:1 gene:ORUFI02G01850 transcript:ORUFI02G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKQYSFTLCLMLLLVAALLCTMNTISVEAAKNIFSLKYTPFSKRGLKFGSASSCPIFGSGRSGYNSVNPDGRSGYNSVNPDGRSGYNSVNPDGRSGYNSVNPNGRGGYNSVNPNGRSGYNSVPRNGGGSP >ORUFI02G01860.1 pep chromosome:OR_W1943:2:1156369:1156584:-1 gene:ORUFI02G01860 transcript:ORUFI02G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKKASWPEVVGWPATAAVTQINGDRPDVSIEVIPDGATVPPGFDANRVRVFFNAGDAVGPVMRTPFVG >ORUFI02G01870.1 pep chromosome:OR_W1943:2:1157333:1158803:1 gene:ORUFI02G01870 transcript:ORUFI02G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVRWAEVLGELAPLAVTQISNDRPDVAVEVLLRDAAAVVSPEFNPKRVLMRIIGDRFGNEV >ORUFI02G01880.1 pep chromosome:OR_W1943:2:1159446:1159676:1 gene:ORUFI02G01880 transcript:ORUFI02G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAAAAGDGDSLKTAWPELVGWVELNAAFQINRDRPDVHVAFYMVGTQLPTDHDDLRVIIVSDAGTVVVRTPVVG >ORUFI02G01890.1 pep chromosome:OR_W1943:2:1161068:1161856:1 gene:ORUFI02G01890 transcript:ORUFI02G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGGDPQVAAAEQLRRLKSSWPELVGWPEFYAALKIIDERPDVTVYMFRDGDDLPPPEHDPARVAIFVDGDIRPQHTELFYGP >ORUFI02G01900.1 pep chromosome:OR_W1943:2:1163189:1164577:1 gene:ORUFI02G01900 transcript:ORUFI02G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAAAAVGGGEIVSIKTAWPEVVGWDGMSAVMKIHRDRADVRVEVHTVGESVPPGFDGERVLVFLNDDNAATVAQTPVM >ORUFI02G01910.1 pep chromosome:OR_W1943:2:1164769:1173554:-1 gene:ORUFI02G01910 transcript:ORUFI02G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSVVTARRAVHGILVAVVVVQRLLAAGASPPADPVHCASGTARCTVTNAYAAFPDRSTCRAAAAAYPASEEELLRVVAAAAASGTKMKAATRYGHSVPKLSCPGAGDGRGLAISTSALNRVVAVDAAGMAITVESGVTLAELIDAAAGGGLALPHSPYWLGVTVGGLLSTGAHGSSVWGNGSAVHEYVNGMRIVTPAPAREGYAKVRVLAAGDPELDAAKVSLGVLGVISQVTLKLQPMFKRSVAFQHCGDGDLAERVVAFAGEHEFADILWYPGHGKAVYRIDDRVPSNTPGDGVYDFDGLEATGNAAGKCLAASTTNSILAARNYGLTRNGQLLGAFPGTAVVGYQNRIQSSGSCLAGADDGLLTACPWDPRVEHGTFFFQSGISVPLSRAAAFIRDVQRLRDLNPDALCGVELYDGVLMRYVRASAAHLGKPEDSVDFDLTYYRSRDPATPLLHEDVVEEVEQMALRKYGGVPHWGKNQNAAFEGAAAKYGGARAAAFMRVKRAYDPEGLFSSEWSDKVLGVAGAGGVSVVXVVRDGCALEGLCVCSEDAHCSPEKGYLCRPGRVYKEARVTNRTSNHALQAKKIKLTMQQLQARRRRGAPGGRRRITHGAPLLAAVAVLLCASVRFAGASPPPGPVRCASGTANCTVTNAYGAFPDRSTCRAAAAAYPASERELLRVVAGAAASRTKMKVATRYGHSVPKLACPGDGGGGLVISTDALNRVVAVDAGRMEITVESGVTLAELIDAAAGGGLALPHSPYWLGLTVGGLLSTGAHGSSVWGKGGAVHEYVVGMRIVTPAPASEGHAKVRVLAAGDPELDAAKVSLGVLGVISQVTLKLQPMFKRSVAFRRRDDDDLAERVAAFAGEHEFADILWLPSQGKAVYRIDDRVPNTTSGDGAVYDLVVFQSSPTVAIQANRIGEDALEATANSAGKCLAGSATIARLAAGNYGVTRRGVLPPPPGAAVVGYQNRIQSSGSCLSGADDGLLTACTWDPRVRHNSFFFQSGISVPLSGAATFIRDVQRLRDLNPDALCGLEVYYGVLLRYVRASTAHLGKPEDSVELDLTYYRSRDPAAPRLHEDAVEEIEQMALRKYGGVPHWGKNRNAAFDGAIAKYPKSGEFLKVKGSYDPEGLFSSEWSDKVLGVAGAGGVSVVRDGCALEGLCVCSEDAHCSPEKGYLCRPGRVYKEARVCRRVAGDH >ORUFI02G01920.1 pep chromosome:OR_W1943:2:1174642:1186234:1 gene:ORUFI02G01920 transcript:ORUFI02G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSPAMAPPSQPPPPPPSQELDLDAFLPSSPTSSSVSEPDGDGAADHRRAVDDLLLLLSSSDSDTDEPPARPSPIAQLKTLASIRAPAPSPKPSPSPSPSPRRSTSASPSATLSSLVSRTFSNNAASSSSSKPLPSLFRGVRPSPKPGAALAAAAAASRAVLTPHAAAIKSRRSASAPIEKLLDEGSGSEVSEEFPSAGNSEAGVAEKTNAEGNADVSKEATSGSGDEELEADKHGEEVRFEEKTEPTESVEEVAADSAVAENINEHKQLGGESLAETDRPADQIGLDDEEHVDDRTADENLVEFGDVEDLVGAACEEDVDDEQESERSETSVEEHSESESIIDKAIEERLEISRKTEKIVEKRPKLSMKPLEQAEELEKRQASFGQHWEEGAAAQPMHLEGIGRGQPAIGYMQIEVDNPITRAMSSQSFGQDHGSPQVMTVHKSYIALGMSKGSVIVIPSKYSIHQADDTDAKMLFFWNQGEKTQSSVTAMCFNQQGDLLLVGYNDGHMTIWDVQKGTAAKVIYGEHTAPVVHACFIRQSKAITGDSKGLVLLHTFSIIPVINRLTIKGTQRLFDGNTGIVLSACPLLVDESFGSSNSSTQGNLTTSSGGGLSSMMGGVVGGVVGVDSGWKFFNEGSSPIEDGVVVMFIMHQHALVVRLRTNIDHVDHIETFSRPDGAREGSIAYAAWKYTTSSSDSPSIVEEQVSWLALAWDRQVQVAKFVKSKMIKHKEWKIDSAAIGVAWLDDQMLVVLNLRGQLCLFSKDSNELRRTVFVLDGYIFDESILYHTHFSNRFGNPEKHFNNSVAVRGATVYILGPNFLTVSRLLPWKERIEALKRAGDWMGALDMAMKLYDGQTQGVVDLPRTVDSIREAIMPYLVELLLSYIHYVFEYISIALSSHTGKGGASDGLVDADRSLLTQREEQYARVGGVAVEFCVHIGRNDILFDTVFSKFVAAKSGGMFLEVLEPYILKDMLGSLPPEIMQALVEHYSSKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLKDFRTPLEELLSVIQNASRKEGASTCYRMLVYLKYCFQGLAFPPGHGTIPQSGLHSVREELLQFLLEESKTSSTDVYKSFKSSSEKCPNICYLLWMDTEAALEVLKCAFAHERFEPRDNPSSTPDARVSEDGDNINIGSPDSENIMLQNVVDTIVDIVGLENEAIHSIVIGAAESEIWPSEKDFGYLIEFVSFFVSHKRAKASQRVVRHILRYLTSSNILSSDDKKTPTQKEKEVLQLFDAVPQTDWNSDYVLHICLDAHFHQACGLIYMTRKQNLPALESYMKDTLEPFHAFIFINKKLSELADYEASSFRSSVISHFPELVKLSRECAFVLVIDHFHDEIQKILSELHSDHHSLFLFLKTAIEVHLSGKLNFSELNARKNSTVELQYSSRELEFYIQRLSNLPKLLDRNPVIMTDEIVELYLELLCQYERRSVLKFLETFDSYRLERCLHLCLDYGVTDAAAFLQERVGDVGSALALILAGLDEKINLFISSVENAFSGIASKSISEIEQPDIVLKMSEAHPVLDALRAAIGLCQRNSQRLNPEESQSLWFQLLDSFSEPLKKLYGSKDVNGKGVRSNGSETSNRQPKDKGFSRKTRISAYQRCLNALRRVFSQFVGEIIEAMAGHIPLPAIMGKLLSDNRSQEFGDFKLVIHRMLSMYLYEKRILETAKSVIEDDSFYTLSLLKRGVCHGLAPQTFVCCICNCSLSKESAVSAIRVFSCGHATHLQCESEQSKSSNRDSKDGCPICLSTSNTQAQNKSPISENGLGKHFGAESEVSHGTYHTHETDHVDRSRGLQQMSRYEILNHLQRPQSLHIETVPPLRLSPPAIYHEKIQKRTTTMGESSKHSVRTEKPQRIWQMKEPRSKRSGNRVLRIINWGDEYQISRQRRERRRATRSGDPEKL >ORUFI02G01930.1 pep chromosome:OR_W1943:2:1187445:1190364:-1 gene:ORUFI02G01930 transcript:ORUFI02G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLSAVARVLEQPTAWGAACEMAVLAGPLWAAALLGLLLGWAWRPRWAAGLVATADSHPAPLTFATLEFWRTQLPARIRAPLAQYVGAAGPAPPPQQEDDSSLLGSSEMANEQLAVGKDDLMNLWRLVEGRDGGPAWIKMMEKALPAMTYQAWRRDPQTGPPQYQSSTIFENANPEEVRDFFGDDQFRMSNKWDDMLIYHKTLEECQTTGTMKVHWVRKFPFFCSDREYIIARRIWKLGGAYYCVTKGVPCSSIPRRNKPRRVDVYYSSWCIRPVESRRGNSGLTACEVLLFHHEDMGIPYEIAKIGIRQGMWGCVKRIEPGLRAYQKARAAGEPLSQSALMAHINTKVGDNFVRGLESNDDQSDIVEAEEKPAKNRVARFLVLGGAVALACTLDQGLLTKALIFGVARKFVGQRNTL >ORUFI02G01940.1 pep chromosome:OR_W1943:2:1190595:1202253:-1 gene:ORUFI02G01940 transcript:ORUFI02G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAHTRVGPTCSGLRHKCASASIARRPIAGAPPRCTTSFVLRILRSPPCPPALLTHTSLDYLLRLQGTSNESTTLLEMQSPCTMSTPQ >ORUFI02G01950.1 pep chromosome:OR_W1943:2:1199793:1201963:1 gene:ORUFI02G01950 transcript:ORUFI02G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACSSAAFLAYPGSLGAGPRPLRLFRAFAAASSSGSGSKKKARKSKGAGNKGEASGGGGGKGKEKALEPPPAVIRRAPAGSASVFQQPEPGFTPGGGGGGKGPTEEERRQRQANENAFLLAWLGLGLIILAEGLALAASGFLPEEWDSFFVKFLYPSFTPTVSKRMRRVETRLESKWFIFAMVI >ORUFI02G01960.1 pep chromosome:OR_W1943:2:1202840:1207497:-1 gene:ORUFI02G01960 transcript:ORUFI02G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISAASPVAGKAAAFAHKNELAAAAAVAPSQQQLQRRVKSAMTMTEKILARASERASLEPGENVWVDVDVLMTHDVCGPGTIGIFKREFGEDAKVWDREKVVIIPDHYIFTSDERANRNVDILRDFCMEQNIKYFYDIKDLSNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCNAGAFGQFATGIGNTDAGFVMGTGKALLKVPPTIRFVLDGEMPPYLLAKDLILQIIGEISVSGATYKSMEFVGSTVESLNMEERMTLCNMVIEAGGKNGVVPADQTTFNYLEGKTSVEYEPVYSDAQARFVSDYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFFAAAKVFLASGKKVKVPTFLVPATQKVWMDIYSIPVPGAGGKTCSQIFEEAGCDTPASPSCGACLGGPRDTYARMNEPMVCVSTTNRNFPGRMGHKEGQIYLASPFTAAASALTGYVTDPRDFLM >ORUFI02G01970.1 pep chromosome:OR_W1943:2:1211273:1216664:1 gene:ORUFI02G01970 transcript:ORUFI02G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATETTATSPAAAGAAPTPVAVAAAAAATYQPPAPVVPVAVAGAAAGRGNGKRKRGRPRKYGPDGSLLRPLKATPISASVPDDSGGGQYTPAAAVGAVMKRGRGRPVGFVSRASPVSVAVTAATSTAAVVVSSPATHTQTPLGPLGELVACASGANFTPHIINVAAGEDVNMKVISFSQQGPRAICILSANGVISNVTLRQQDTLGGTVTYEGRFELLSLSGSFTPTDSGGTRSRSGGMSVSLAATDGRVIGGGVAGLLVAASPVQVVVGSFLPSYQLDQNATKKPVIEITTVPPPPPAIGFTISSGDPMEDSYSGSHGQHRSGAAAATTTTAKANSTSAFRVENWTPPAPPAAEAARTKTPSSEAKVPVPGA >ORUFI02G01970.2 pep chromosome:OR_W1943:2:1211273:1216638:1 gene:ORUFI02G01970 transcript:ORUFI02G01970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATETTATSPAAAGAAPTPVAVAAAAAATYQPPAPVVPVAVAGAAAGRGNGKRKRGRPRKYGPDGSLLRPLKATPISASVPDDSGGGQYTPAAAVGAVMKRGRGRPVGFVSRASPVSVAVTAATSTAAVVVSSPATHTQTPLGPLGELVACASGANFTPHIINVAAGEDVNMKVISFSQQGPRAICILSANGVISNVTLRQQDTLGGTVTYEGRFELLSLSGSFTPTDSGGTRSRSGGMSVSLAATDGRVIGGGVAGLLVAASPVQVVVGSFLPSYQLDQNATKKPVIEITTVPPPPPAIGFTISSGDPMEDSYSGSHGQHRSGAAAATTTTAKANSTSAFRVENWTPPAPPAAEAARTKTPSSEAKVPVPGA >ORUFI02G01980.1 pep chromosome:OR_W1943:2:1223810:1227477:1 gene:ORUFI02G01980 transcript:ORUFI02G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFYSTSSAYGAAASGWGYDSLKNFRQISPAVQSHLKLVYLTLCVALAASAVGAYLHVALNIGGMLTMLGCVGSIAWLFSVPVFEERKRFGILLAAALLEGASVGPLIKLAVDFDSSILVTAFVGTAIAFGCFTCAAIVAKRREYLYLGGLLSSGLSILLWLQFAASIFGHSTGSFMFEVYFGLLIFLGYMVYDTQEIIERAHHGDMDYIKHALTLFTDFVAVLVRILVIMLKNASDKSEEKKRKKRS >ORUFI02G01990.1 pep chromosome:OR_W1943:2:1228211:1230342:1 gene:ORUFI02G01990 transcript:ORUFI02G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTTRRTSSKGAAAAPAPKVVAVLAGLLERAAARGDADADARGGSAAAAAATAFRGRTRPEISVRRYAERIYRYAGCSPACFVVARVYLDRLAGRSPEEESSPSPSPPATAAAAVCVDSYSVHRLLITSVMVAAKFMDDIHYNNAYFARVGGVEVAEMNGLELELLFALRFRLNVTPATFATYCAALEGEMAADDGPLPSPSPSPEEEGNDRRQPPPRRKDGITNKVAAAVDRSALLTAAHHRVVVVEITQ >ORUFI02G02000.1 pep chromosome:OR_W1943:2:1231422:1235885:1 gene:ORUFI02G02000 transcript:ORUFI02G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPDEVAADADAEVEEEEPEEVEWLSSSSDSEPEHPALKQWTPSSPDSEEAEAEQQPSAPQPASSVSAGKEDEGEVGLEEGEVGKPFRWPGWPGASVFRLVVATDKVGGLIGRRGDTIKRLCEDTRARVRVLEAAAAAAANRIVLISATEESQAELPPAMDAAIKIFMHINDIEKINCDDTLSGSAPEKCSAKLLVPSAQATHLIGKQGVRIKSIQETTGATVKIIDKVELLSYDVVDERIVDIHGAPLKVLHALKSVLGVLRKFLVDHGVLHLFERKNQAVGEAHDNSKENQVNQVASDYRLPVNKDILLPDHRTPLNPKITQTMQIPLPFAEEIIGARGQNISYIRSVSGAVVDLEESRDYPNEVLVMIKGSSSQEVLSGNKERPSGSSYINLDSGPKFLISGHINPVNRDHLASYRVHQPYIVRYGSSSLPRLRGYRL >ORUFI02G02010.1 pep chromosome:OR_W1943:2:1236605:1241324:1 gene:ORUFI02G02010 transcript:ORUFI02G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYADPPPPIKPPEIKIKELANKSTYESSMMEQKTRLHAIERHRSCEVSQAILSEVENRHQHQTLEPIKSPISGCSPSVESTTDTNTVHRHTVADAAWEALKKSIVHFRGQPIGTVAAIDKSQGALNYDQVFMRDFVPSALAFLMKGEPTIVKNFLLETARLQLREKMVDLFKLGQGVMPASFKVHHCNSKHKTESLLADFGETAIGRVAPVDSGLWWIILLRAYTIWTRDNSLAESPECQRAMRLILKLCLSEGFDTSPALLCADGCSMIDRRMGIYGYPIDIQALFFMALRCAVTLLKEDHNDDFVYQISRRIKALSYHLHSYYWLDFQRLNEIYRYKTEEYSETALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLTTGEQAEAILDLVEERWEELIGEMPMKVCYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRPHIARRAVEVMEKRLVKDEFPEYYDGKAGRYVGKQARKFQTWSVAGYLVAKMLLDDPSNLRAVSLADDSHIRSAPVLKRSNSFP >ORUFI02G02010.2 pep chromosome:OR_W1943:2:1236226:1241324:1 gene:ORUFI02G02010 transcript:ORUFI02G02010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYADPPPPIKPPEIKIKELANKSTYESSMMEQKTRLHAIERHRSCEVSQAILSEVENRHQHQTLEPIKSPISGCSPSVESTTDTNTVHRHTVADAAWEALKKSIVHFRGQPIGTVAAIDKSQGALNYDQVFMRDFVPSALAFLMKGEPTIVKNFLLETARLQLREKMVDLFKLGQGVMPASFKVHHCNSKHKTESLLADFGETAIGRVAPVDSGLWWIILLRAYTIWTRDNSLAESPECQRAMRLILKLCLSEGFDTSPALLCADGCSMIDRRMGIYGYPIDIQALFFMALRCAVTLLKEDHNDDFVYQISRRIKALSYHLHSYYWLDFQRLNEIYRYKTEEYSETALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLTTGEQAEAILDLVEERWEELIGEMPMKVCYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRPHIARRAVEVMEKRLVKDEFPEYYDGKAGRYVGKQARKFQTWSVAGYLVAKMLLDDPSNLRAVSLADDSHIRSAPVLKRSNSFP >ORUFI02G02010.3 pep chromosome:OR_W1943:2:1236182:1241324:1 gene:ORUFI02G02010 transcript:ORUFI02G02010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYADPPPPIKPPEIKIKELANKSTYESSMMEQKTRLHAIERHRSCEVSQAILSEVENRHQHQTLEPIKSPISGCSPSVESTTDTNTVHRHTVADAAWEALKKSIVHFRGQPIGTVAAIDKSQGALNYDQVFMRDFVPSALAFLMKGEPTIVKNFLLETARLQLREKMVDLFKLGQGVMPASFKVHHCNSKHKTESLLADFGETAIGRVAPVDSGLWWIILLRAYTIWTRDNSLAESPECQRAMRLILKLCLSEGFDTSPALLCADGCSMIDRRMGIYGYPIDIQALFFMALRCAVTLLKEDHNDDFVYQISRRIKALSYHLHSYYWLDFQRLNEIYRYKTEEYSETALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLTTGEQAEAILDLVEERWEELIGEMPMKVCYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRPHIARRAVEVMEKRLVKDEFPEYYDGKAGRYVGKQARKFQTWSVAGYLVAKMLLDDPSNLRAVSLADDSHIRSAPVLKRSNSFP >ORUFI02G02020.1 pep chromosome:OR_W1943:2:1241384:1245244:1 gene:ORUFI02G02020 transcript:ORUFI02G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSTFSPPASQLSLTRRRLHPGPDLLTLSSPRLRAGLRLARAAAGEAPVETVEAPPSKPEAEPSPAASNGAAVKAEKPPAAAAAPPLPKFRDSRWVNGTWDLRQFEKGGAVDWDAVIDAEARRRKWLEDCPEATSPDEAVVFDTSIIPWWAWMKRFHLPEAEKLNGRAAMIGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLLVRKNEDIETVKKLIDETTFYDKQWQATWQDESPSQPKK >ORUFI02G02030.1 pep chromosome:OR_W1943:2:1243997:1247995:-1 gene:ORUFI02G02030 transcript:ORUFI02G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLYSDDVSLAVVVVDTNPLFWATAALPFADFFAHLIHYVNSLLLLNHLNHVVVIAAGLSSCTYIFDSSDASASGAADVAATFAKASRKMDEFIAEDARATSSNGSVADGNAASLLSGALGFFGLVLDIHNLGVLQMDLNSGVYLKPPELNGLFQYLAAVFATDLHSRTFLRLPKTLGVDFRASCFCHKKTIDMGYVCSVCLSIFCKYHKKCSTCGSEFNRVMPDLNSVPDQRQLR >ORUFI02G02030.2 pep chromosome:OR_W1943:2:1248003:1248412:-1 gene:ORUFI02G02030 transcript:ORUFI02G02030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEGLGVTEVGRGSTGTTEGEPSTEGPTFLPWADATSAPSSLAAPKRSRNSAEFAASASASRRRQGNRSRRRLGPTSASVRACPALPRRR >ORUFI02G02040.1 pep chromosome:OR_W1943:2:1249574:1252826:1 gene:ORUFI02G02040 transcript:ORUFI02G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLRRAAVLRRAVSFAAPSPAVRRAARLPIAPRRPFSQPSAVSGDQPPKSALDKLNPLFEEVMRKGVCSMDQYMTLCSMDQYMTLEIVKSIQHARIEFNRKEND >ORUFI02G02050.1 pep chromosome:OR_W1943:2:1254686:1258340:1 gene:ORUFI02G02050 transcript:ORUFI02G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAREKRSSPGRHGASRGDVITVVVAAELPTGGHHLAPLEVLNMALDLKVSFLKRKKPLGLLGDSGGGEAPAAEVLRRRRVPVPGFRSKQNQEGHVVVVSAALVGRRRPSRSLRCLLLLGTSTRAPFLPPCHLSGSHRVRPPRPCAAPNPAVPQPHSDDKTDLKLKKHLQELEQMLDQIVSEHVKLHRLVHSSDRGYFERLLSEHGWPKCKPRDVFVWRCRLTTIFVASVMIGYMLPEIGYPS >ORUFI02G02050.2 pep chromosome:OR_W1943:2:1254686:1258340:1 gene:ORUFI02G02050 transcript:ORUFI02G02050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAREKRSSPGRHGASRGDVITVVVAAELPTGGHHLAPLEVLNMALDLKVSFLKRKKPLGLLGDSGGGEAPAAEVLRRRRVPVPGFRSKQNQEGHVVVVSAALVGRRRPSRSLRCLLLLGTSTRAPFLPPCHLSGSHRVRPPRPCAAPNPAVPQPHSVFFLCDT >ORUFI02G02050.3 pep chromosome:OR_W1943:2:1254686:1255766:1 gene:ORUFI02G02050 transcript:ORUFI02G02050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAREKRSSPGRHGASRGDVITVVVAAELPTGGHHLAPLEVLNMALDLKVSFLKRKKPLGLLGDSGGGEAPAAEVLRRRRVPVPGDGLSPIPMFSVDFRLFVLILPHSHGYLVLLGFRSKQNQEGHVVVVSAALVGRRRPSRSLRCLLLLGTSTRAPFLPPCHLSGSHRVRPPRPCAAPNPAVPQPHSVFFLCDT >ORUFI02G02060.1 pep chromosome:OR_W1943:2:1259166:1263304:1 gene:ORUFI02G02060 transcript:ORUFI02G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLRQRPSAVNEPLDMKNIDLALDKTIADLSEGGNASAEQAEEERAHDIGVQWHNDNDGGASTHSRTRYDGGRWQPA >ORUFI02G02070.1 pep chromosome:OR_W1943:2:1264776:1266962:1 gene:ORUFI02G02070 transcript:ORUFI02G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRRLAVASLTPPRIPRLLRLRRPDRTPSAFSTWTPHRRPRPHAADPTPIYLPAIEKIEKMKTVSSLTKDALKVLQDAGDKAKTHLRIMHNQYIEEVPIMEGLMQNGWLHFVCYIVPVSLPSFVLVVGTRVVNIINERSHSHLEEGIKIPFQDLENK >ORUFI02G02080.1 pep chromosome:OR_W1943:2:1268441:1271172:-1 gene:ORUFI02G02080 transcript:ORUFI02G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLRAILFPFQMEPRREMLLQELGEMWDQIGEAEEDRREMLHALEEDCLNVYRVKVAQVKQYRAQLQREIADSVAEVAAICATIGEPSTTVHIACSSLQSTGNLKEELGSITPELEEMRRRREERRRKFSEVTELINRIEQEMKPSKQLHLTMDNSDLTIRRLEELRAYLQDLQLEKDSRVRKMTELMGSLHSSSLVLGMDFRETNLHHDDEGDISDDAIARLVSEIGRLREIKRNRMQKLQDLLATMLDLWNLMDTPSEEQKRFQSVACNIAASEDEITERDALSMEFINNVEAEVVRLERLKECRMKDLVLKKYDELNEIRRRAHVPVENEDDAMMMFDAIDSDAKRSLILERLEVQISEAKDEEFSRKDVLEKMEKWQAALEEESWLEEYNRNENRYNVGKGTHLVLKRAEKARALVSKMPAMAEALITKVIAWEKERGAKFEYDGDGLLDMLEEYNNTRKEKEQERKRQRDQRRMLGQGTGESPVVRPPPKNIKNVTRTLSMGGTSTGGKKASASVSSRPSTPSFLKSPMSARRSDEGQMLLSRAVEEDDLL >ORUFI02G02090.1 pep chromosome:OR_W1943:2:1271210:1279223:-1 gene:ORUFI02G02090 transcript:ORUFI02G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHRNIYCTDRWNCLFCDQEVETIDHILVQCPKSCQVWWVILTALQIPQHLPVRQTDFASWWFNTYRGLNKTQKGGFDSIVILVAWVIWKECNNRVFNHTHRDWAQLIQAIVKEVQLWRRRQRGGDDDEVEEEEGGCLVGRKRKVERKVRERGEGRGREGEEALERVEATQTDACALLFLLTSLSPALFSAFANSPRTIPDRCCNRTIIAPLAMIRACSTAAFRRFLELSVDLDAFLISIAAAAVAAVAGGGGGD >ORUFI02G02100.1 pep chromosome:OR_W1943:2:1276652:1283429:1 gene:ORUFI02G02100 transcript:ORUFI02G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSSHTATAAADGGSGKRQQRKGDHKGKLPDGGGGEKEKEAARVEFGYERDFEGRYQVGRLLGHGQFGYTFAATDRASGDRVAVKRIDKAKMVRPVAVEDVKREVKILKELKGHENIVHFYNAFEDDSYVYIVMELCEGGELLDRILAKKNSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWNKTEDGIFREVLRNKPDFRKKPWPGISSGAKDFVKKLLVKNPRARLTAAQALSHPWVREGGEASEIPVDISVLSNMRQFVKYSRFKQFALRALASTLKEEELADLKDQFDAIDVDKSGSISIEEMRHALAKDLPWRLKGPRVLEIIQAIDSNTDGLVDFEEFVAATLHIHQMAELDSERWGLRCQAAFSKFDLDGDGYITPDELRMHTGLKGSIEPLLEEADIDKDGRISLSEFRKLLRTASMSNLPSPRGPPNPQPL >ORUFI02G02110.1 pep chromosome:OR_W1943:2:1283884:1288013:1 gene:ORUFI02G02110 transcript:ORUFI02G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPRPRLAPNPAAIIHAALLKSSPASLPPRLSFNSLLAAAAASPHPRLRSLVLPALALAHRCPAAAGPLDSYALCSALRHASAPEAEPLHAHAARSGWLGSVFVSCAIAAAYGGSGRFLDARRLFDESPVRNAVFGNAVLAGYVNAGKWAPLLEFARRFSELRLQVDGYTMTAVVRACGELADADLGGQAHGHAIRRVGAVESDVFLTSALVDMYAKCGLVSHAKRVFDLAQQVNAVGIDVVLWTALLNAYGRHGMCKEVIQIYDRMVASGVRPDELTILAVLSACQHAGEVVKGLHYFESIHEDYGLTPTPDHFSCVVNMLCRAGMVIKAWEIVTSKGCGGEFGISTWVALLSACCDCGNVELGRMAAQRAIELEPNNGTIYVELSNLYARFGLWGEIDQLRELMKDNGLEKDAGLTWVELSS >ORUFI02G02120.1 pep chromosome:OR_W1943:2:1288596:1290205:-1 gene:ORUFI02G02120 transcript:ORUFI02G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIMYVNSVLYVVFIHNISWDRTDDKTFEDLILLTRELLFFTTIIVVSVTLHVHAKGFDEYMNLVLDEAEEINIKKDTRKSLGRILLKGDNITLMMNTGK >ORUFI02G02130.1 pep chromosome:OR_W1943:2:1291663:1293527:1 gene:ORUFI02G02130 transcript:ORUFI02G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSASDAAAAAAALELQESGWEELRREARKLEGDLDVKLSSYARLAARSSSAADAASASSPSERSSWKSMEFEIQSLLDKLQDVNDAMSRCAASTAPTTSEFRRTRGNLSSIREHADLLSSVRDDITESKATGGMSPRVHLLRERASIHGSINQIDEVIGQAQSTRVALSNQRALFGDVQGKVKQLGEKFPVIRGLLGAIKRKKSKDTIILSAVIAACTIFLIIYWLSK >ORUFI02G02140.1 pep chromosome:OR_W1943:2:1294508:1298279:-1 gene:ORUFI02G02140 transcript:ORUFI02G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVATATTPAAAHLHHHHRHHRLPLLPSQPRPRPTLRLRLLIPTPPPLRRLLRRSPLLAAAAVSADGGGGGGGGGEEAERKREKSRQLQKRVLVGVAIGVGAGGVVVAGGWVFAAAVAAAVLAGAREYFGLVRGTAGGGGTPPPRFVSRVCSAICALMPILTLYYGHMDVTVTFSAFLIAISLLLQRGNPRFAQLTSSVFGLFYCGYLPSFWVKLRSGLAAPALNTKIAYSWPILLGGQAHWTVGLVATLISISSIIAADTSAFLCGRAFGRTPLTDISPKKTLEGALAGLTGCVLTTVLLSSVLHWPRSLLSATAYGILIFLGSLFGDLVESLIKRDAGVKDSGSLIPGHGGMLDRVDSYVFTGALCYSFIKVALPLFGV >ORUFI02G02150.1 pep chromosome:OR_W1943:2:1301018:1304939:1 gene:ORUFI02G02150 transcript:ORUFI02G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASAAAATAAEDGARLLRLEEQAGHGGGGAWEYLCLARRLRARRPEPVLRLGLALLNDSSARSRLASEQWTLYEQVAVAAMDCQRLDVAKDCIGVLSKQFPGSMRVGRLEALLFEAKGEWTDAERAYALILENNPFDQIVHKRKIAIAKAQGDMALAVEYLNKYLELYKQAAFCYEELILAQPTVPLYHLAYAEVLYTMGGLENLQTARKYYASTIQLTGGKNTRALFGVCLCSSAINQLTKGRNKEEESSELQSLASEALLKDYKQRAPSKEALITSLLKNMKLS >ORUFI02G02160.1 pep chromosome:OR_W1943:2:1305655:1306477:1 gene:ORUFI02G02160 transcript:ORUFI02G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSRTVVAALLLVAAVVAASPVASAATYGCFEDCYERCASGKKDEACTKMRLAAAPPRTAMPPPLALVLAPALAPPSGLALRLPSGLAPELALALAPASELALRPPSGLAPELALALVLAPASGLALRPPSGLALELALALALVLVPPSGLALRPPSGLAPELALALAPPSGLALRPPSGLAPELAPASGLALRPPSGLAPELAPASGLALRPPSGLALALVLAPPSGLALEPPPKSPAPPRAPPARHPRCTREIDRSSGVHLHYSIHI >ORUFI02G02170.1 pep chromosome:OR_W1943:2:1309177:1309455:1 gene:ORUFI02G02170 transcript:ORUFI02G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNKIAVAALFLVAVVVAGGAGASAHVGVGAGAGADAGVGAAVGAGADAALGVGANAAGAAGAGVGAGIGAAANVAGSLTGAAGVAPKVHV >ORUFI02G02180.1 pep chromosome:OR_W1943:2:1312903:1316860:1 gene:ORUFI02G02180 transcript:ORUFI02G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKMNKIAVAALFLVAVVVAGGAGASAHVGVGVGAGAGADAALGVGANAAGAAGAGVGAGIGAAANVAGSLTGAAGVAPKVHMNRMAVAALFLAAVVVAGGAGASAHVGVGAGAGADAGVGAAVGAGADAALGVGAGAAGAAGAGVGAGIGAAANVAGSLTGAAEGAAGVAPKVHV >ORUFI02G02190.1 pep chromosome:OR_W1943:2:1319454:1319675:1 gene:ORUFI02G02190 transcript:ORUFI02G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQVSRTAVVALVLVAVVVAAAYVPAATAYGCFDDCYERCANGKTDDACNSMCKQACSGAGGGPAEAPDEA >ORUFI02G02200.1 pep chromosome:OR_W1943:2:1322356:1325067:1 gene:ORUFI02G02200 transcript:ORUFI02G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPLLPSRSPRRRPLLLAILSNTFSASTRAPPPPLPPLSPLLPRRADAATALTPVASAIADSFRDWFLLSRRGAAGAAAPPAALDAIYSAVAAEEAAALDALPLSEQLVLAVLRHRPRHLPDDDALLLLRLRFFDWSGSRGRYSHTRAVYHSVFRLLSRSRRSAVVVDWLRLFSNANATPARSRFHDTLVVGYAVAGDPQRGLSILGRMRFRGLDLDAFSSHILLNSLVEASLHEYADSFARHLAASPVATCIRIKSLCRQARSRDAVALLDTLPFDQASSAPAAGSIITDLCRRGRFDDAAQIVDRFPSADVYGSWIHGLVKAGRLDTTLQFLSEKKEAEGYIPDGPRYDKLTYRLLRSNRLGEVYDLLVEMMEEGIAPGRSTMNAALCFFCKAGLVEVAAHLYRSRMELGVNPNNDVYNNLIRALCRVGDTEEACLVLEQAMAEGYFPGRQTFTMFANVLCQEGKLDRVRVLLDRALKQEACPTDSVLAKYLVALCKSGDVEAACAVPQMAGSKSPKGLYRYESTYKSLIRALILIRRVDVLPRLLLEMQDMGHIPSRSLYQSVVCALCEVSRYAEVLELLNNQLQRTDLHPRVCYNYFIAGAGHAKKADMAREVYNQMEYSGLEPSGDSNVLLLMSYLRSKRIGDALNFFNFIRDKKTPGTKLYNVFISGLCEAQKPEQAMVFWREAREKGLVPSISCYEQLVLLLCSVKDYDNVVKVIDDFKETGRPVSAFLCNVLLLHTLRGSDLLKAWKRSEQATVKPEEIQGKGVGRFLIGELIMMFAGGIRNMNDLEDLEEDLEKHFPVDVYTYNMLLRGLSMVGRMDSACNLFERLCRKGYEPNRWTFDIMVHGFCKNSDRDEAERWMEAMHRNGFYPTWYTMRIYNNLALRSTDHKVISFV >ORUFI02G02210.1 pep chromosome:OR_W1943:2:1326961:1330158:-1 gene:ORUFI02G02210 transcript:ORUFI02G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSYSAAAAAAPSPLAARSRGLLRRPPRSSPVVVRCKKIDQLRAVNGIPPYAPVSNRSLLSPVTLPIIRDANIKNDTRLRIFSGTANPSLSQEIASYLGLELGKINIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKSQGRESIAAKLVANMITEAGANRVLVCDLHSSQAMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVMNLIGDVRGKVAVMMDDMIDTAGTIAKGAELLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPLKEDKSFPQLTILSVANLLGETIWRVHDDCSVSTK >ORUFI02G02210.2 pep chromosome:OR_W1943:2:1326961:1330158:-1 gene:ORUFI02G02210 transcript:ORUFI02G02210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSYSAAAAAAPSPLAARSRGLLRRPPRSSPVVVRCKKIDQLRAVNGIPPYAPVSNRSLLSPVTLPIIRDANIKNDTRLRIFSGTANPSLSQEIASYLGLELGKINIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVMNLIGDVRGKVAVMMDDMIDTAGTIAKGAELLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPLKEDKSFPQLTILSVANLLGETIWRVHDDCSVSTK >ORUFI02G02220.1 pep chromosome:OR_W1943:2:1332691:1335634:1 gene:ORUFI02G02220 transcript:ORUFI02G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRLLVATAVVAAAAAVVAAAALEAINVTTVAFEEGYTPLFGFDNILRSADDRTVSLLLDRSTGSGFMSSSMYQHGFFSASIKLPSDYTAGVVVAFYTSNGDVIEKRHDELDFEFLGNIRGKPWRVQTNVYGNGSVSRGREERYLLPFDPTTEFHRYSILWTRAAIVFFVDDVPIREVRRTPAMTGDFPSKPMSIYATVWDASTWATSGGRYRVNYRYGPFVASFTDLALLGCRVGDPIGQMLSSAACAAAEDALLASDLAVMTLEKQQAMRRFREQNMVYSYCYDTLRYPAPFPECDVVESERRRFKGSGHLRLAFRRRRRTRPGSRPARPTRAADM >ORUFI02G02230.1 pep chromosome:OR_W1943:2:1335887:1338447:1 gene:ORUFI02G02230 transcript:ORUFI02G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWELTAITAYFLGLRRTYRLALRIQRRLIGPNHPRIRHFVYRRTRDVFNVAVSVHKNIQQRDLEVGRNLGNMILRWLDRMKPSAQIRPHPPGPPNGSSEQFRHLSSTSKSTGAQKPTSKTLPCDGGKVLFSPLNIRPKSFPVLPTMMQPTRISASSQCRRISYSSFPSATAKRNDFMQGVFRKDIAQLMV >ORUFI02G02240.1 pep chromosome:OR_W1943:2:1339049:1339582:1 gene:ORUFI02G02240 transcript:ORUFI02G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMITSMLGRKQNAQQKGGGGGGRTGGGGGGEIEPVSVDIMEPFMDAISLTAFAAAPSAAAAAAGVPSTASMDWKETAAAHVFMADMPGVRREEVRVEVEEEKVLRISGQRARAAEEKGERWHRVERSSERFVRTVRLPPNANTDGVHAALDNGVLTITIPKDNDRKPHARIIPITN >ORUFI02G02250.1 pep chromosome:OR_W1943:2:1341903:1345230:1 gene:ORUFI02G02250 transcript:ORUFI02G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRRIAGMFGISRDDADHHPHHHHHDGAAGDSAAAAEVPQDKVVAAAAAAAAAGNVQRRGFSVQVPVPVERPGPGPVLVPCPQGDGGVQGFRWYTRKLRIDEDGDVADEFLDEVVPEISINNDSTPAGRYQVKYNTKSAALAMRKQISVIDGDICHSLEYQGRLRWV >ORUFI02G02260.1 pep chromosome:OR_W1943:2:1345930:1351045:1 gene:ORUFI02G02260 transcript:ORUFI02G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNDPGTPSKATKASEPEQSPATTSGTTAPVYPEWPGFQAYSAIPPHGFFPPPVAASPQAHPYMWGAQGVHPFNHYPMLANGNVETAGTAPGASEINGKNELGRTSGPSANGITSHSESGSESESEGSDANSQNDSHSKENDVKEDGSSQNGISHTALNQNMSMAPTQTGVVIGGVAPTTNLNIGMDYWGAAGSSPVPAMHGKASSGSVRGEQWDERELKKQKRKQSNRESARRSRLRKQNIMDSLIEAPKYLFQAECEELSVRADNLRAENSSLRAELERIKKEYEALLSHNASLKEKLEGNSDSIPYMNEQNDTNGTHQKQQDSDAQPNDAP >ORUFI02G02270.1 pep chromosome:OR_W1943:2:1350749:1356983:-1 gene:ORUFI02G02270 transcript:ORUFI02G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLDDEWDDDKFLAELFRAQDEAVASRNPNPTPPPPPPPPDLISYLPPPSTSSYPSSSAAAAALPLSYITPGPHVFSAAPVHFLPPRELSQHPQGFDVGLRDFSPPRELSQRPAAEVSSREIVAVSSGIAGADRFRGGGGGGARRERDAREAADRREVERLKRELNRVSKQMNDVKNECSELKKDRTRKDLEIKAKEAEIQSLRRANVGSANKYAGSMAMDIDQSVHAPANGALHTGDSCLASTRRAETLNGRNKELSSPQDGLCLNQRNQTYASEVLEESVRFESKGGKHKEIKTVGVQTDLPGNNEYLEHKKVLVDRISSNLCAVWGMPTNSLMGRSLISKILVSCSEEILTLVQSTGSLDKCEASSEASSSVRNAISQVYDIIIKTSSDTIPIQTLLEALLNLAAVGNDAVVSRALRMLHSVLQHLLNNRTMSNQRNNVSIEPCVNNTVHMERNSHKVWTSLFTAMLQIADRHSEENIRVDALSIMIIIARTSDPKVEREKFGFTSVMEKLHQLLQKENGLLVKKHSVDLLFLLLNCPTTLKLLCNGGKDSPEQIEAIRCENDRSQEAISSIFKDLSECLSCRATSSLGIKLCRVVVTLLAYIASSGKLGYEVLLGPVTVRGANFLELIMEVLASQMEYDTALSNGEHELLKERYLLMREVLILLNRLASHANFSKPTLEVLTSSKLCATLTIDVANQLPQRSKYPLRHLGEINIQMANDLAELAQKFRTRVHSFLEEQHSTVDHSNPSALHES >ORUFI02G02270.2 pep chromosome:OR_W1943:2:1350749:1356983:-1 gene:ORUFI02G02270 transcript:ORUFI02G02270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLDDEWDDDKFLAELFRAQDEAVASRNPNPTPPPPPPPPDLISYLPPPSTSSYPSSSAAAAALPLSYITPGPHVFSAAPVHFLPPRELSQHPQGFDVGLRDFSPPRELSQRPAAEVSSREIVAVSSGIAGADRFRGGGGGGARRERDAREAADRREVERLKRELNRVSKQMNDVKNECSELKKDRTRKDLEIKAKEAEIQSLRRANVGSANKYAGSMAMDIDQSVHAPANGALHTGDSCLASTRRAETLNGRNKELSSPQDGLCLNQRNQTYASEVLEESVRFESKGGKHKEIKTVGVQTDLPGNNEYLEHKKVLVDRISSNLCAVWGMPTNSLMGRSLISKILVSCSEEILTLVQSTGSLDKCEASSEASSSVRNAISQVYDIIIKTSSDTIPIQTLLEALLNLAAVGNDAVVSRALRMLHSVLQHLLNNRTMSNQRNNVSIEPCVNNTVHMERNSHKGSSTSLNTPDASSLSRSHDGLHTENTQLPFTVWTSLFTAMLQIADRHSEENIRVDALSIMIIIARTSDPKVEREKFGFTSVMEKLHQLLQKENGLLVKKHSVDLLFLLLNCPTTLKLLCNGGKDSPEQIEAIRCENDRSQEAISSIFKDLSECLSCRATSSLGIKLCRVVVTLLAYIASSGKLGYEVLLGPVTVRGANFLELIMEVLASQMEYDTALSNGEHELLKERYLLMREVLILLNRLASHANFSKPTLEVLTSSKLCATLTIDVANQLPQRSKYPLRHLGEINIQMANDLAELAQKFRTRVHSFLEEQHSTVDHSNPSALHES >ORUFI02G02280.1 pep chromosome:OR_W1943:2:1358120:1363460:-1 gene:ORUFI02G02280 transcript:ORUFI02G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNILKVNRNATLEDLKKSYRRLARTWHPDKNPTGGAEAEANVTKEINRSVVLSDPEKRAIYDRYGEEGLKGMPPPGSQSRTSAAAGSSGPSNFRYNPSDPDDFFAEFMASNKPYSFDQERTRFQPRSQWTAGNTRGEASSASHKESSTSTSQLEKPPAIEKTLLCTLEELYNGTKRKMKITRNVANTDGKVEIETEVLPVEVLPGWKKGTKITFPNKGDRLSGQLPQDLTFVIDLKPHDVYLLEGNNLVATQVIPLVDALAGTTIHLKTLDGRNLPIRVEEVVRPGHEIVLANEGWPIRKEPGKKGNLKIKFDVTFPTRLSSSQRAAIRQIMGG >ORUFI02G02280.2 pep chromosome:OR_W1943:2:1358120:1363460:-1 gene:ORUFI02G02280 transcript:ORUFI02G02280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNILKVNRNATLEDLKKSYRRLARTWHPDKNPTGGAEAEANDPEKRAIYDRYGEEGLKGMPPPGSQSRTSAAAGSSGPSNFRYNPSDPDDFFAEFMASNKPYSFDQERTRFQPRSQWTAGNTRGEASSASHKESSTSTSQLEKPPAIEKTLLCTLEELYNGTKRKMKITRNVANTDGKVEIETEVLPVEVLPGWKKGTKITFPNKGDRLSGQLPQDLTFVIDLKPHDVYLLEGNNLVATQVIPLVDALAGTTIHLKTLDGRNLPIRVEEVVRPGHEIVLANEGWPIRKEPGKKGNLKIKFDVTFPTRLSSSQRAAIRQIMGG >ORUFI02G02290.1 pep chromosome:OR_W1943:2:1359459:1360134:1 gene:ORUFI02G02290 transcript:ORUFI02G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMYFPFFHFPLSISSRADRKRRGGGALQDGRAGWGKRRCPGRAGEAAASRAGGRSGGGGDLRMSFFIFLPLLSPVHLLPTCPVSNPASRSSWRRAE >ORUFI02G02300.1 pep chromosome:OR_W1943:2:1365104:1369189:1 gene:ORUFI02G02300 transcript:ORUFI02G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALRRLFDAFFSTREMRVVMLGLDAAGKTTILYRLHMGEVLSTVPTVGFNVEKVQYKNVVFTVWDVGGQEKLRPLWKMYLSNSDALIYVVDSLDRERIIDARQEFQTIIKDPLMANSIILVFANKQDLRGAMSPDEVSEGLGLHDLRNRIWHIQGTCALRGEGLYDGLDWLASTLKQLQETGHATSRCQCLN >ORUFI02G02310.1 pep chromosome:OR_W1943:2:1370343:1372551:-1 gene:ORUFI02G02310 transcript:ORUFI02G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSSIVTDIPESDCVSDGLSEALTGIRLDGDSTCKPWSTSLVTVELSSLTGLNDLLECPVCTNSMRPPILQCPNGHTICSNCKHRVENHCPTCRQELGSECLIAGDVPMLVSHLINDHKVDLHEGCTFNHRYVKSNPYEVENATWMLTVFKCFGQHFCLHFEAFLLGMAPVYMAFLRFMGEDSEARNFCYSLEVGGNGRKLTWQGIPRSIRDSHKKVRDSFDGLIIHRNMALFFSGGNRQELKLRVTGRIWKEQ >ORUFI02G02310.2 pep chromosome:OR_W1943:2:1370343:1372551:-1 gene:ORUFI02G02310 transcript:ORUFI02G02310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSSIVTDIPESDCVSDGLSEALTGIRLDGDSTCKPWSTSLVTVELSSLTGLNDLLECPVCTNSMRPPILQCPNGHTICSNCKHRVENHCPTCRQELGNIRCLALEKVAESLQLPCKYQSLGCAEIHPYQNKLKHEELCRFRPYSCPYAGSECLIAGDVPMLVSHLINDHKVDLHEGCTFNHRYVKSNPYEVENATWMLTVFKCFGQHFCLHFEAFLLGMAPVYMAFLRFMGEDSEARNFCYSLEVGGNGRKLTWQGIPRSIRDSHKKVRDSFDGLIIHRNMALFFSGGNRQELKLRVTGRIWKEQ >ORUFI02G02320.1 pep chromosome:OR_W1943:2:1404451:1405326:1 gene:ORUFI02G02320 transcript:ORUFI02G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQLGKSKVIGNWEDKAQRVLLSLLLQCALSMVMKGQRDGEPSCSSPDCVQVSDLIPVGIRLGTWVSWLGVRPGTRMYQAWIIQR >ORUFI02G02330.1 pep chromosome:OR_W1943:2:1408215:1408970:1 gene:ORUFI02G02330 transcript:ORUFI02G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICKLVVMSRDLVAAIVSTSGGGGGRAVALCRPGTSPSWSAHHPPGGADHQLGDLRDIAVHGGKLYALHGHGNLCSYDLIAGDGEPKVSSCVHHIAGDALPPNKLPEEHDAGHHLVPSATGGELLLVRHLYSRFLGRHFTVFVADVGGARWSEAAASSLRDQLIFVGTGCSRALTASHYGGVGAMRGNRVFYTNDVEAYSSTHSYLVYDMIMRSNGPVFPIYDDDYLHEGRRRYRYEDTRYRSWFFPSSV >ORUFI02G02340.1 pep chromosome:OR_W1943:2:1415454:1418493:-1 gene:ORUFI02G02340 transcript:ORUFI02G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYCSISNPPLSKTSFPNKQVPGWVLRAISKGKGNYTGGIYTTTKRNLRTGFHVCAVNGGQGTRNVSGAEFPSDYTELLAQAKEAAESAFKDGKQLLEIEFPTAGLQSVPGDSEGGIEMTGSMLLIREFCDRFVPAEKATRTRIFFPEANEVSFARQSAFEGCSLKLDYLTKPSLFEDFGFTTKVKMSDRVRPEDEIFLVAYPYFNVNEMLVVEELYKEAIVSTDRKLIIFNGELDRIRMLVTFLNKREAALMMFENNYPPFFYPKLAELSKTFLPKLETVYYIHNFKGLKGGTLFRCYPGPWKVLRNIGGSFFCLHEQEEMPSLKEVALDILPSA >ORUFI02G02350.1 pep chromosome:OR_W1943:2:1420745:1420978:-1 gene:ORUFI02G02350 transcript:ORUFI02G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQLIQTRLGHERSERYFRYLKMFLGSRMEKSMFDRVVIQTIGRENIRLHNHLLMSVLRNASLPAPHPGAPPGAM >ORUFI02G02360.1 pep chromosome:OR_W1943:2:1422215:1430956:1 gene:ORUFI02G02360 transcript:ORUFI02G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKHPHSPVLDGDPPPHRRPRGLVSTPPPPAVAADTSPSPSPSPAAPPPRRRGGGGGGGEREREREKERTKLRERHRRAITSRMLSGLRQHGNFPLPARADMNDVLAALARAAGWTVHPDGTTFRASSQPLHPPTPQSPGIFHVNSVETPSFTSVLNSYAIGTPLDSQASMLQTDDSLSPSSLDSVVVADQSIKNEKYGNSDSVSSLNCLENHQPVELEVVLPPPLAALLVCGSTVVQLMGGSMAGSADGHPEEEQRERSGDGRVGACAGAAAGAEPVPAAVHSSSSHAVSAVIAAIGSLTRASAALAGDYTRTPYIPVYASLPMGIINSHCQLIDPEGIRAELMHLKSLNVDGVIVDCWWGIVEAWIPHKYEWSGYRDLFGIIKEFKLKVQAVLSFHGSGETGSGGVSLPKWVMEIAQENQDVFFTDREGRRNMECLSWGIDKERVLRGRTGIEAYFDFMRSFHMEFRNLTEEGLISAIEIGLGVSGELKYPSCPERMGWRYPGIGEFQCYDRYMQKNLRQAALSRGHLFWARGPDNAGYYNSRPHETGFFCDGGDYDSYYGRFFLNWYSGILIDHVDQVLSLATLAFDGVETVVKIPSIYWWYRTASHAAELTAGFYNPTNRDGYSPVFRMLKKHSVILKFVCYGPEFTIQENNEAFADPEGLTWQVMNSAWDHGLSISVESALPCLDGEMYSQILDTAKPRHDPDRHHVSFFAYRQLPSFLLQRDVCFSELGNFVKCMHDGSLIKIVVRVRTIIAHQSGSSALDVLMQRLTVLCIRGVSTYPIKIIRSRYLTTVIAL >ORUFI02G02360.2 pep chromosome:OR_W1943:2:1422215:1430956:1 gene:ORUFI02G02360 transcript:ORUFI02G02360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKHPHSPVLDGDPPPHRRPRGLVSTPPPPAVAADTSPSPSPSPAAPPPRRRGGGGGGGEREREREKERTKLRERHRRAITSRMLSGLRQHGNFPLPARADMNDVLAALARAAGWTVHPDGTTFRASSQPLHPPTPQSPGIFHVNSVETPSFTSVLNSYAIGTPLDSQASMLQTDDSLSPSSLDSVVVADQSIKNEKYGNSDSVSSLNCLENHQLTRASAALAGDYTRTPYIPVYASLPMGIINSHCQLIDPEGIRAELMHLKSLNVDGVIVDCWWGIVEAWIPHKYEWSGYRDLFGIIKEFKLKVQAVLSFHGSGETGSGGVSLPKWVMEIAQENQDVFFTDREGRRNMECLSWGIDKERVLRGRTGIEAYFDFMRSFHMEFRNLTEEGLISAIEIGLGVSGELKYPSCPERMGWRYPGIGEFQCYDRYMQKNLRQAALSRGHLFWARGPDNAGYYNSRPHETGFFCDGGDYDSYYGRFFLNWYSGILIDHVDQVLSLATLAFDGVETVVKIPSIYWWYRTASHAAELTAGFYNPTNRDGYSPVFRMLKKHSVILKFVCYGPEFTIQENNEAFADPEGLTWQVMNSAWDHGLSISVESALPCLDGEMYSQILDTAKPRHDPDRHHVSFFAYRQLPSFLLQRDVCFSELGNFVKCMHDGSLIKIVVRVRTIIAHQSGSSALDVLMQRLTVLCIRGVSTYPIKIIRSRYLTTVIAL >ORUFI02G02360.3 pep chromosome:OR_W1943:2:1422215:1430956:1 gene:ORUFI02G02360 transcript:ORUFI02G02360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKHPHSPVLDGDPPPHRRPRGLVSTPPPPAVAADTSPSPSPSPAAPPPRRRGGGGGGGEREREREKERTKLRERHRRAITSRMLSGLRQHGNFPLPARADMNDVLAALARAAGWTVHPDGTTFRASSQPLHPPTPQSPGIFHVNSVETPSFTSVLNSYAIGTPLDSQASMLQTDDSLSPSSLDSVVVADQSIKNEKYGNSDSVSSLNCLENHQLTRASAALAGDYTRTPYIPVYASLPMGIINSHCQLIDPEGIRAELMHLKSLNVDGVIVDCWWGIVEAWIPHKYEWSGYRDLFGIIKEFKLKVQAVLSFHGSGETGSGGVSLPKWVMEIAQENQDVFFTDREGRRNMECLSWGIDKERVLRGRTGIEAYFDFMRSFHMEFRNLTEEGLISAIEIGLGCYDRYMQKNLRQAALSRGHLFWARGPDNAGYYNSRPHETGFFCDGGDYDSYYGRFFLNWYSGILIDHVDQVLSLATLAFDGVETVVKIPSIYWWYRTASHAAELTAGFYNPTNRDGYSPVFRMLKKHSVILKFVCYGPEFTIQENNEAFADPEGLTWQVMNSAWDHGLSISVESALPCLDGEMYSQILDTAKPRHDPDRHHVSFFAYRQLPSFLLQRDVCFSELGNFVKCMHDGSLIKIVVRVRTIIAHQSGSSALDVLMQRLTVLCIRGVSTYPIKIIRSRYLTTVIAL >ORUFI02G02370.1 pep chromosome:OR_W1943:2:1431257:1436094:1 gene:ORUFI02G02370 transcript:ORUFI02G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGSRSPPMSSPWRAASDLGGGSHAPLRLLLPPPRRRRPPPPSLRFPPPKSTESHRRQPGLDDLSRMRSREDVDKNMAAWLYEDADYFVHSLVIRNARSRSERNMK >ORUFI02G02370.2 pep chromosome:OR_W1943:2:1431257:1432609:1 gene:ORUFI02G02370 transcript:ORUFI02G02370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGSRSPPMSSPWRAASDLGGGSHAPLRLLLPPPRRRRPPPPSLRFPPPKSTESHRRQPGLDDLSRMRSRLQH >ORUFI02G02380.1 pep chromosome:OR_W1943:2:1441511:1441819:-1 gene:ORUFI02G02380 transcript:ORUFI02G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMFVGIAAAGGKKGGAKDEAEELRRRNAELEREVAALRAEVAAARRRAETAEEAEERLCVQLGEAEVEAVELAREYQCRVHDLARELAAARLLVSSPSP >ORUFI02G02390.1 pep chromosome:OR_W1943:2:1443355:1446120:1 gene:ORUFI02G02390 transcript:ORUFI02G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFDASDISLLLTLGTPHLPPPKGTPGVIDQTRGLLTYVEKNCAPAVYTPELKYVCIAGRYIQGAPLTGNTIATTDDILAVDTPSDIAEAVMVSTNDKSTQSGPTLRARFIGQGYKQVCGRADVWGDGVVPEVSAHLEGALNISFDGVYHSPVGSDDEQRPWYGSPAILKQWVHHLLS >ORUFI02G02390.2 pep chromosome:OR_W1943:2:1443408:1446120:1 gene:ORUFI02G02390 transcript:ORUFI02G02390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLLPPARPRAAVSPDVTASAPRRPAVILPGLGNNTADYARLAAALRDDHGVPAVAVARVSRPDWLRNAAGLVDPSYWRCNLRPRPVLDWYLKRVDEAVSEARELSPNEGISLIGHSAGGWLARVYMEEFDASDISLLLTLGTPHLPPPKGTPGVIDQTRGLLTYVEKNCAPAVYTPELKYVCIAGRYIQGAPLTGNTIATTDDILAVDTPSDIAEAVMVSTNDKSTQSGPTLRARFIGQGYKQVCGRADVWGDGVVPEVSAHLEGALNISFDGVYHSPVGSDDEQRPWYGSPAILKQWVHHLLS >ORUFI02G02400.1 pep chromosome:OR_W1943:2:1446577:1448135:-1 gene:ORUFI02G02400 transcript:ORUFI02G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARVFRGCRFLMAAAGGKRPASASASASAAGAKVTKTEAAQAKEKRGIMQPVPVSDALSRFAGGAPEMSRAGAVKLIWNHIKANGLQNPANKREINCDDKLKSLFAGKDKVGMMEIAKLLSPHFIKAN >ORUFI02G02410.1 pep chromosome:OR_W1943:2:1448393:1461590:-1 gene:ORUFI02G02410 transcript:ORUFI02G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEMFGSGHWGGSFPYASIPKESQFVFDAKASPLQLQLFGSAAAMEHPMMVCAVPRGTTFGPTGMMVEQLVKCMKDVKQRQMVEFLASLERGVGKKLKEKELEVEAMNRKSKELNEQIRQVALEVQSWQSVALHNQSVANSMKSKLMQMVAHSSNLTREGSGDSEVDNTASSQNVNAVPGVFFQSGLLGINSMADGGLGACRLCRMKEAAVLVMPCRHLCLCADCEKNADVCPVCRFPKSCSVEINIETMEAVGGRRRRPRTMLFLVLVALMVIMAMAKTASGGGGDDGGAGGDHDHDHEQFLKLWNGRGGADAKEDYLNWDDDDDDDEDEDEEEEEAEQVMAWAAKCRPPAGRNVVNVDSFGAAGDGCSDDTEAFLNAWKKACSLNNAVFLVPGGRRYKVGAARFIGPCKNRMIIQIQGTIVAPDEPSEWDPASPRLWLLFSGLAGARIQGGGLIDGSGSKWWANSCKIDRSKALTIDSCRGVSVRNLRLQNAQQMHLTVSRSRDVRLASVRVDSPEDSPNTDGIHVADSTAVTIQSCRIATGDDCISISNGSFAVRMRDIDCGPGHGISIGSLGQGGAFAAVDGVSLDGARVARAQNGVRIKTWQGGAGYVRNVRFAGVRVDGVDHPIVIDQFYCDATRPCRNRTSNVRVSGVVFRNITGTARRAEAIRLACSDAVPCVGIVLSDIDLRREDGGGEVQTVCNCAMGFDDGRVSPAADCLRTSPCGGMSPDDYHPDDKDDDDEPISVEVEVEARACGGRRHGNVLLPSTSSHPPIQSQSHTSQREREKRRGAAAAAAAMAVVGVLALQGSFNEHLAALRRIGVRGVEVRKPEQLQGLDSLIIPGGESTTMAKLANYHNLFPALREFVGTGRPVWGTCAGLIFLANKAVGQKSGGQELIGGLDCTVHRNFFGSQLQSFETELSVPMLAEKEGGSDTCRGEEVYSKDRLAKL >ORUFI02G02420.1 pep chromosome:OR_W1943:2:1477613:1482508:1 gene:ORUFI02G02420 transcript:ORUFI02G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGSPAAVAASAAVAEMRFTTGGSSTRSWEPTVTADTSDLHYWMQWRAAVCALSVLACMAVAACLVWRHEGPGGGSKERRRPGVLYDDEAWRPCLRDIHPAWLLGYRLISFFVLLSLLIVIVISDGGTIFYYYTQWTFILVTIYFGLGTALSIYGCSKLADENVVTERTDMELGSYVAHGAGTKPNLNGEDDTGEIAGFWGYLLQIIYQTNAGAVMLTDCVFWFIIFPFLTVKDYNLNFLLIGMHSVNAVFLLGEAALNSLVLRRRDHAITMLRGIQTGDQTETPPAHKVVSGFSRKRLIYTISQAVMHHLPPPLPTVYTERAMVKITRKE >ORUFI02G02430.1 pep chromosome:OR_W1943:2:1483235:1484577:1 gene:ORUFI02G02430 transcript:ORUFI02G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKVAMVAVCCMFILLFPGQQQQVAAMSRICRCYHECLPNCGLRNSRSFCKVFCGSCCVFNPVHNCTSTDAAAAAPAIAGDDCRMICLNSFCGEAATGYSGRNDADAAACLDGCSKG >ORUFI02G02440.1 pep chromosome:OR_W1943:2:1484304:1486010:-1 gene:ORUFI02G02440 transcript:ORUFI02G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDVGAEILLRLPAKAVLRCRAVCRSWRRITTADYFVAAHSRRRPLQLLGYTGLAVDSSSSPYSYVFTVITSVIPAFCDGDDAGRRILLRRDMRVSLRGSCDGLLLGTRAAAATAACSSATRRRGSWSICRRWKRLRPSAFYFHRPSGEHRVLCYRNGDNYILSTGSGASEPRRLGPVPDHQRRVCSHFCVKVGVTVGDTVYWGRRQTDDRGQMSAFDTVSETFRRVAPPPPVSHADEGPMFDMHGALAVTAMKSTEPYMDVWIAAAAGGENWVRLLRVELPPGHYYSGEVKPHGYGKAVLDDAGVLLVAMNGCPSFLYDTKGKRMLLSTIL >ORUFI02G02450.1 pep chromosome:OR_W1943:2:1486319:1492980:1 gene:ORUFI02G02450 transcript:ORUFI02G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAAGVAVAGDRARRRQWRYTWESLAHLPLLRLYLFRPELSAAAAADHLRADLRLDDSLLLLSFSLAGEPVALRVPVPRVLVDPSAPPECRDAGDHVEVRLALVLPVDHPVVAAAFLPPPGEEPPAPLSLRDDIKNLSSGDVHLYCKACSARLTKQPLRNIEEMPSLNWEDVADNWFGGCCTSFGGASEKLVSQYINAYGRLEGTSLLNATSISIEKDYLEKDLASGLVSSVPSNDCDALQEDICDVHIGEDHTTGNMEFNSSEEKAYHEKQIGCNHVQCSVVPEEGPCVSNSEKDGDTLWTDQSGIIKVNPEESKNDSCVDDIEKPTKETDLLSVDPCNCCCDGGNSRKSEDNPSNVPSGNLEMQAKLDTQRDYKLTKSISLGCSFIVKASNLVNDVEWLELLCAHCSSPIGSYPSQHSHAPADGRVRLFKCYASSDLHVGGPHDVFRGHTLERLFVNLLLEVAEDEISFRTLVRDLKTKRPILQIVLLSSKAWLFSGYCYENDMDGSHGAAHLQPSVKILYSNCSNALEEDLRTVEEWSSKYRAEELYMMRRQIDELILSLNSARDNFPLSCSSLQGMYLSSLER >ORUFI02G02450.2 pep chromosome:OR_W1943:2:1486319:1490415:1 gene:ORUFI02G02450 transcript:ORUFI02G02450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAAGVAVAGDRARRRQWRYTWESLAHLPLLRLYLFRPELSAAAAADHLRADLRLDDSLLLLSFSLAGEPVALRVPVPRVLVDPSAPPECRDAGDHVEVRLALVLPVDHPVVAAAFLPPPGEEPPAPLSLRDDIKNLSSGDVHLYCKACSARLTKQPLRNIEEMPSLNWEDVADNWFGGCCTSFGGASEKLVSQYINAYGRLEGTSLLNATSISIEKDYLEKDLASGLVSSVPSNDCDALQEDICDVHIGEDHTTGNMEFNSSEEKAYHEKQIGCNHVQCSVVPEEGPCVSNSEKDGDTLWTDQSGIIKVNPEESKNDSCVDDIEKPTKETDLLSVDPCNCCCDGGNSRKSEDNPSNVPSGNLEMQAKLDTQRDYKLTKSISLGCSFIVKASNLVNDVEWLELLCAHCSSPIGSYPSQHSHAPADGRVRLFKCYASSDLHVGGPHDVFRGHTLERLFVNLLLEVAEDEISFRTLVRDLKTKRPILQIVLLSSKAWLFSGYCYENDMDGSHGAAHLQPSVKILYSNCSNALEEDLRTVEEWSSKYRAEELYMMRRQIDELILSLNSARDNFPLSCSSLQGMYLSSLER >ORUFI02G02460.1 pep chromosome:OR_W1943:2:1494084:1494497:-1 gene:ORUFI02G02460 transcript:ORUFI02G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQEVKKRLHLHVEAQPESCKKKEQAAVWVLAGGGGGGGEITVSLKPVKVSRPQLGDEEEEEEEVTTPRGEECRLPAEAATCPPAPKKPRTAAVAIVAGGGRRCNCCDDDGGDSLEFFRVPADLEAVFANRVAKAN >ORUFI02G02470.1 pep chromosome:OR_W1943:2:1499411:1514043:1 gene:ORUFI02G02470 transcript:ORUFI02G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEAREATDGQEVQVEAATDLQAQLDSKLSSIKEIKGEAQTMGSTEGILKIPEDEVLVEAPSETKIPSEHSLNGTASSLNGHVNKEENISNEQPHEINQESQEQVETSSDGTSTYPSNKSNEEEMTDSLSHGESASEDTTILKCENAEAKDHGQQDVEGHVTNDTMVQEEICKTDDAIEQTVDAQDLDSSKESKEAQPAIMTDIPADEVLAEAPAGIQSPLEPNVGDSDTVPGNKETDDPAKEDDTAYLDHKESFPEDKVIAEHADEEVKAEDQQSKQADDMDAEVLQEEIPESKESDLPETEQAEEASVDDQEALNQQPALETNDSLSVKAEETCHQSNVATCGEKTPEDDATTREPTVDTKEEQNQGSVEEMKDAEAVDTEETVQQSSVAFDEAIQDHAATTNPSSDIQSIEPEETKGPSDVKAEEVSSQSNVAFAEDAVQDKVIPSEPPEDIRPVKKLEQEETKEADEASNETNSAIFSDLNQEDSIVASKQLETELAEEAAAFSNLDQEGGIADSESQVADLEEAKEIEATETEEITHQPPAAVSTELPKEDNSTRSEPHNDDIQHSLEQDSIEVKDTEAAEIQEISQERTIATSKEDAVEDDGTAEGPTCVSQEVQDVESEEVKDTEPDNVVEASDVVTVDDEGQENNVLTSENIAELQLQGLESEEIKSPEPIETEAGFHTSHAAPSNDPVEVNTTACETQDTESAEEIKETEGTKTESIPQESNISVSEESNPEDSITASETISNTQELTIAGSIEASEDNIDTKTGEITDQSNEVFAGEAAQGDNIPESVSTADTQSMQELESEEMKKPELVDLSGTFHQKDDAISQKQNQEDNPTTCETNEIGSTEVSSVEASEDQAIAHQKESLTESEPQILEMESVQDIKDTEATESETIFQKNIVPTSEESVPEENATAKEPAFDDREIQNDGAELTKEHDGVKDEEIPDQSSGAIVEETAQEANLLASEPTDDVQVKELEPEEISNTVDGETEEASCQTHEVEMTESSEQMKDTEPPVPEPESSEEMRDTAPTVPEPTLQDSRVASVEEIETHNNATIEQNVGYQQLQEQESVEFKETEVLEPQGVIPSHNVSSSEEFNPQETVTKEEPGSDTQAEESPVVIEDTEDVNNSAALSEKIAPEEHVLATETTVDTPPVQEPELEERQNIESVEAEDNIAASGLPGEEIDTEAIEIESVPHESTTTSVKELNEDVKSNVALAEEAASEEHILETEVTVDKSSAQEPELEEIKNTEPAEQEHNITETGLPEEEMKDNEAMETETVPHDSNIESIKELREDDIITASALDVYTQQIPEQESVEDMKCTDTTEHPGETPESIVSTSDELTKTGEITTVKEATFDTQQAQSFTDQETDLSSSSNPEEAVQESDLVKSEQETDVQQEQELDSTEETKGTEDYQQNGVSTCEESVTEVEPNVDDQHVQENKSAVEVKENEDTETEEISKQINFTTSENGAQESSEQEADQPFYVQPVQQLELTTDSKDNQLVEAEETSSQSNIVTPEDPTAEDRVAYEIDPSVDIDQGHELELVEEVKDTDAIEAEETSHAGQAVSSAEKFSESNLSAVELTHGIQQVHYLETMEEMKGTEGTCDEEICYEQTATSEDPSPTDNGKSLQDYHVESNEENLGNGIGDVISVHEKIEDNIHESAELKDETSELGEKTQISANRIEENDDHISTEDTEETSNNTNLVKEGPNEHGSSQTSNVQDNKQLHDVGLQTQVRERSVDIGQQDEDMKNVNLDQQQKEDEEIEKQKEDEEIEKQKEELQTDEQKHDDKRVDFIIDTQVESIDAFQKHGESKLPSNEILQAEQTDSVVTEMLNDEVTQHEPEDSIPRTTDAMVENITEIKEETEEENGPNSGGTLEVSAKNYNEDVHENTEKDAVVEKTSSSEHDEIAGEIRNEEVEPCLASSLERDLQVDSDLSNDQMLENNPIAVPQNDEYMYRAEEGYTNKVNVDMHAIQESDKVIEDAEEKQGMQNEDNVVHHDESLVTTQKEEASQVHTDEQYSADTKMDDTAISYAEMTHENTSTEPREVEDTKEKKGFNDFPEFVVETSKQDDVDQDFSIHHQVEDEKSAETENNSAESEAVQPKLDITIAETNNDNNLSTINPLPEHETENASDINQNRQYQEATNEDAIDNIETGRVEKMETSYTATTEVVTLNEDICDKASGADGVPPDGSLKTSEDNLDVSSVVTESEGENINKETEDHKLALPVHPTQDENTTEQGFGLEDTEKESMSPEKALPAEPEEQEENQVTKEQDEEDRHDAELGDAHEEDHKEAEQDYLPVSSFLMNLILGKDNDDPKKDSETEVEKEQEETTKDGSCLIASQQEENLVAFPIEKSVDEKLTFEQEKEKVEGSEETKEPVKEQSYDVEMDVQKSLETDEELKRNTCDLEAPVYQDNAQDEISSKLISAKAADLIKKMEARDFELDEESFDTVCQENVEAATEIEDGSLNRDQDDITSPKASQEDALEEVGTELPHESLHENRHGAKDEQTLSLIEPDTGNAEKLPNEADSVQSPPCTEQEESIESSYVEVRSTTEGQVESEVIETNEEDQHTTAGGHTEEQIENLHDDKSKETCSEEISDEQAPEITEPVSHTDRNFAYEKEIPASSTCMDKKESMISNNEVSNFEKALETHSDSPNLHVNQDKKDETADNQTVVDHNTVLDKLEDSNRQEEQETAAQKLPKETEGNQEFMAITEPVIKEENVHETVEGNTQAVKIKSNEEKELFDSQVQERGLNVVSPKATSEADEKFVEITKPEFSTDEEHSPKADESNKPDENTCDEKTKAEEETNNITDEATVKIEERGAEQKVSHKKHNILSGVGSKVKHQLAKVKKAIIGKPGHTKSESPKS >ORUFI02G02470.2 pep chromosome:OR_W1943:2:1499411:1514043:1 gene:ORUFI02G02470 transcript:ORUFI02G02470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEAREATDGQEVQVEAATDLQAQLDSKLSSIKEIKGEAQTMGSTEGILKIPEDEVLVEAPSETKIPSEHSLNGTASSLNGHVNKEENISNEQPHEINQESQEQVETSSDGTSTYPSNKSNEEEMTDSLSHGESASEDTTILKCENAEAKDHGQQDVEGHVTNDTMVQEEICKTDDAIEQTVDAQDLDSSKESKEAQPAIMTDIPADEVLAEAPAGIQSPLEPNVGDSDTVPGNKETDDPAKEDDTAYLDHKESFPEDKVIAEHADEEVKAEDQQSKQADDMDAEVLQEEIPESKESDLPETEQAEEASVDDQEALNQQPALETNDSLSVKAEETCHQSNVATCGEKTPEDDATTREPTVDTKEEQNQGSVEEMKDAEAVDTEETVQQSSVAFDEAIQDHAATTNPSSDIQSIEPEETKGPSDVKAEEVSSQSNVAFAEDAVQDKVIPSEPPEDIRPVKKLEQEETKEADEASNETNSAIFSDLNQEDSIVASKQLETELAEEAAAFSNLDQEGGIADSESQVADLEEAKEIEATETEEITHQPPAAVSTELPKEDNSTRSEPHNDDIQHSLEQDSIEVKDTEAAEIQEISQERTIATSKEDAVEDDGTAEGPTCVSQEVQDVESEEVKDTEPDNVVEASDVVTVDDEGQENNVLTSENIAELQLQGLESEEIKSPEPIETEAGFHTSHAAPSNDPVEVNTTACETQDTESAEEIKETEGTKTESIPQESNISVSEESNPEDSITASETISNTQELTIAGSIEASEDNIDTKTGEITDQSNEVFAGEAAQGDNIPESVSTADTQSMQELESEEMKKPELVDLSGTFHQKDDAISQKQNQEDNPTTCETNEIGSTEVSSVEASEDQAIAHQKESLTESEPQILEMESVQDIKDTEATESETIFQKNIVPTSEESVPEENATAKEPAFDDREIQNDGAELTKEHDGVKDEEIPDQSSGAIVEETAQEANLLASEPTDDVQVKELEPEEISNTVDGETEEASCQTHEVEMTESSEQMKDTEPPVPEPESSEEMRDTAPTVPEPTLQDSRVASVEEIETHNNATIEQNVGYQQLQEQESVEFKETEVLEPQGVIPSHNVSSSEEFNPQETVTKEEPGSDTQAEESPVVIEDTEDVNNSAALSEKIAPEEHVLATETTVDTPPVQEPELEERQNIESVEAEDNIAASGLPGEEIDTEAIEIESVPHESTTTSVKELNEDVKSNVALAEEAASEEHILETEVTVDKSSAQEPELEEIKNTEPAEQEHNITETGLPEEEMKDNEAMETETVPHDSNIESIKELREDDIITASALDVYTQQIPEQESVEDMKCTDTTEHPGETPESIVSTSDELTKTGEITTVKEATFDTQQAQSFTDQETDLSSSSNPEEAVQESDLVKSEQETDVQQEQELDSTEETKGTEDYQQNGVSTCEESVTEVEPNVDDQHVQENKSAVEVKENEDTETEEISKQINFTTSENGAQESSEQEADQPFYVQPVQQLELTTDSKDNQLVEAEETSSQSNIVTPEDPTAEDRVAYEIDPSVDIDQGHELELVEEVKDTDAIEAEETSHAGQAVSSAEKFSESNLSAVELTHGIQQVHYLETMEEMKGTEGTCDEEICYEQTATSEDPSPTDNGKSLQDYHVESNEENLGNGIGDVISVHEKIEDNIHESAELKDETSELGEKTQISANRIEENDDHISTEDTEETSNNTNLVKEGPNEHGSSQTSNVQDNKQLHDVGLQTQVRERSVDIGQQDEDMKNVNLDQQQKEDEEIEKQKEDEEIEKQKEELQTDEQKHDDKRVDFIIDTQVESIDAFQAEQTDSVVTEMLNDEVTQHEPEDSIPRTTDAMVENITEIKEETEEENGPNSGGTLEVSAKNYNEDVHENTEKDAVVEKTSSSEHDEIAGEIRNEEVEPCLASSLERDLQVDSDLSNDQMLENNPIAVPQNDEYMYRAEEGYTNKVNVDMHAIQESDKVIEDAEEKQGMQNEDNVVHHDESLVTTQKEEASQVHTDEQYSADTKMDDTAISYAEMTHENTSTEPREVEDTKEKKGFNDFPEFVVETSKQDDVDQDFSIHHQVEDEKSAETENNSAESEAVQPKLDITIAETNNDNNLSTINPLPEHETENASDINQNRQYQEATNEDAIDNIETGRVEKMETSYTATTEVVTLNEDICDKASGADGVPPDGSLKTSEDNLDVSSVVTESEGENINKETEDHKLALPVHPTQDENTTEQGFGLEDTEKESMSPEKALPAEPEEQEENQVTKEQDEEDRHDAELGDAHEEDHKEAEQDYLPVSSFLMNLILGKDNDDPKKDSETEVEKEQEETTKDGSCLIASQQEENLVAFPIEKSVDEKLTFEQEKEKVEGSEETKEPVKEQSYDVEMDVQKSLETDEELKRNTCDLEAPVYQDNAQDEISSKLISAKAADLIKKMEARDFELDEESFDTVCQENVEAATEIEDGSLNRDQDDITSPKASQEDALEEVGTELPHESLHENRHGAKDEQTLSLIEPDTGNAEKLPNEADSVQSPPCTEQEESIESSYVEVRSTTEGQVESEVIETNEEDQHTTAGGHTEEQIENLHDDKSKETCSEEISDEQAPEITEPVSHTDRNFAYEKEIPASSTCMDKKESMISNNEVSNFEKALETHSDSPNLHVNQDKKDETADNQTVVDHNTVLDKLEDSNRQEEQETAAQKLPKETEGNQEFMAITEPVIKEENVHETVEGNTQAVKIKSNEEKELFDSQVQERGLNVVSPKATSEADEKFVEITKPEFSTDEEHSPKADESNKPDENTCDEKTKAEEETNNITDEATVKIEERGAEQKVSHKKHNILSGVGSKVKHQLAKVKKAIIGKPGHTKSESPKS >ORUFI02G02470.3 pep chromosome:OR_W1943:2:1499411:1514043:1 gene:ORUFI02G02470 transcript:ORUFI02G02470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEAREATDGQEVQVEAATDLQAQLDSKLSSIKEIKGEAQTMGSTEGILKIPEDEVLVEAPSETKIPSEHSLNGTASSLNGHVNKEENISNEQPHEINQESQEQVETSSDGTSTYPSNKSNEEEMTDSLSHGESASEDTTILKCENAEAKDHGQQDVEGHVTNDTMVQEEICKTDDAIEQTVDAQDLDSSKESKEAQPAIMTDIPADEVLAEAPAGIQSPLEPNVGDSDTVPGNKETDDPAKEDDTAYLDHKESFPEDKVIAEHADEEVKAEDQQSKQADDMDAEVLQEEIPESKESDLPETEQAEEASVDDQEALNQQPALETNDSLSVKAEETCHQSNVATCGEKTPEDDATTREPTVDTKEEQNQGSVEEMKDAEAVDTEETVQQSSVAFDEAIQDHAATTNPSSDIQSIEPEETKGPSDVKAEEVSSQSNVAFAEDAVQDKVIPSEPPEDIRPVKKLEQEETKEADEASNETNSAIFSDLNQEDSIVASKQLETELAEEAAAFSNLDQEGGIADSESQVADLEEAKEIEATETEEITHQPPAAVSTELPKEDNSTRSEPHNDDIQHSLEQDSIEVKDTEAAEIQEISQERTIATSKEDAVEDDGTAEGPTCVSQEVQDVESEEVKDTEPDNVVEASDVVTVDDEGQENNVLTSENIAELQLQGLESEEIKSPEPIETEAGFHTSHAAPSNDPVEVNTTACETQDTESAEEIKETEGTKTESIPQESNISVSEESNPEDSITASETISNTQELTIAGSIEASEDNIDTKTGEITDQSNEVFAGEAAQGDNIPESVSTADTQSMQELESEEMKKPELVDLSGTFHQKDDAISQKQNQEDNPTTCETNEIGSTEVSSVEASEDQAIAHQSNITQCEEQATEESITESEPQILEIESVQDMEDTEATEPELVSEQNIVSTSEESVPEENATTEEPAFHDREIQNDGAELTEQHDSVKAEELPNQSSGAIVEETAQEADLVAGEPIDDVQEKDLEPEEISNTVDGETGEASHQTHAAVEDNWTGEVESSVEASEDQAIAHQSNITQCEEQATEESLTESEPQILEMESVQDIKDTEATESETIFQKNIVPTSEESVPEENATAKEPAFDDREIQNDGAELTKEHDGVKDEEIPDQSSGAIVEETAQEANLLASEPTDDVQVKELEPEEISNTVDGETEEASCQTHEVEMTESSEQMKDTEPPVPEPESSEEMRDTAPTVPEPTLQDSRVASVEEIETHNNATIEQNVGYQQLQEQESVEFKETEVLEPQGVIPSHNVSSSEEFNPQETVTKEEPGSDTQAEESPVVIEDTEDVNNSAALSEKIAPEEHVLATETTVDTPPVQEPELEERQNIESVEAEDNIAASGLPGEEIDTEAIEIESVPHESTTTSVKELNEDVKSNVALAEEAASEEHILETEVTVDKSSAQEPELEEIKNTEPAEQEHNITETGLPEEEMKDNEAMETETVPHDSNIESIKELREDDIITASALDVYTQQIPEQESVEDMKCTDTTEHPGETPESIVSTSDELTKTGEITTVKEATFDTQQAQSFTDQETDLSSSSNPEEAVQESDLVKSEQETDVQQEQELDSTEETKGTEDYQQNGVSTCEESVTEVEPNVDDQHVQENKSAVEVKENEDTETEEISKQINFTTSENGAQESSEQEADQPFYVQPVQQLELTTDSKDNQLVEAEETSSQSNIVTPEDPTAEDRVAYEIDPSVDIDQGHELELVEEVKDTDAIEAEETSHAGQAVSSAEKFSESNLSAVELTHGIQQVHYLETMEEMKGTEGTCDEEICYEQTATSEDPSPTDNGKSLQDYHVESNEENLGNGIGDVISVHEKIEDNIHESAELKDNSLVYFIQDETSELGEKTQISANRIEENDDHISTEDTEETSNNTNLVKEGPNEHGSSQTSNVQDNKQLHDVGLQTQVRERSVDIGQQDEDMKNVNLDQQQKEDEEIEKQKEDEEIEKQKEELQTDEQKHDDKRVDFIIDTQVESIDAFQAEQTDSVVTEMLNDEVTQHEPEDSIPRTTDAMVENITEIKEETEEENGPNSGGTLEVSAKNYNEDVHENTEKDAVVEKTSSSEHDEIAGEIRNEEVEPCLASSLERDLQVDSDLSNDQMLENNPIAVPQNDEYMYRAEEGYTNKVNVDMHAIQESDKVIEDAEEKQGMQNEDNVVHHDESLVTTQKEEASQVHTDEQYSADTKMDDTAISYAEMTHENTSTEPREVEDTKEKKGFNDFPEFVVETSKQDDVDQDFSIHHQVEDEKSAETENNSAESEAVQPKLDITIAETNNDNNLSTINPLPEHETENASDINQNRQYQEATNEDAIDNIETGRVEKMETSYTATTEVVTLNEDICDKASGADGVPPDGSLKTSEDNLDVSSVVTESEGENINKETEDHKLALPVHPTQDENTTEQGFGLEDTEKESMSPEKALPAEPEEQEENQVTKEQDEEDRHDAELGDAHEEDHKEAEQDYLPVSSFLMNLILGKDNDDPKKDSETEVEKEQEETTKDGSCLIASQQEENLVAFPIEKSVDEKLTFEQEKEKVEGSEETKEPVKEQSYDVEMDVQKSLETDEELKRNTCDLEAPVYQDNAQDEISSKLISAKAADLIKKMEARDFELDEESFDTVCQENVEAATEIEDGSLNRDQDDITSPKASQEDALEEVGTELPHESLHENRHGAKDEQTLSLIEPDTGNAEKLPNEADSVQSPPCTEQEESIESSYVEVRSTTEGQVESEVIETNEEDQHTTAGGHTEEQIENLHDDKSKETCSEEISDEQAPEITEPVSHTDRNFAYEKEIPASSTCMDKKESMISNNEVSNFEKALETHSDSPNLHVNQDKKDETADNQTVVDHNTVLDKLEDSNRQEEQETAAQKLPKETEGNQEFMAITEPVIKEENVHETVEGNTQAVKIKSNEEKELFDSQVQERGLNVVSPKATSEADEKFVEITKPEFSTDEEHSPKADESNKPDENTCDEKTKAEEETNNITDEATVKIEERGAEQKVSHKKHNILSGVGSKVKHQLAKVKKAIIGKPGHTKSESPKS >ORUFI02G02470.4 pep chromosome:OR_W1943:2:1499411:1514043:1 gene:ORUFI02G02470 transcript:ORUFI02G02470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEAREATDGQEVQVEAATDLQAQLDSKLSSIKEIKGEAQTMGSTEGILKIPEDEVLVEAPSETKIPSEHSLNGTASSLNGHVNKEENISNEQPHEINQESQEQVETSSDGTSTYPSNKSNEEEMTDSLSHGESASEDTTILKCENAEAKDHGQQDVEGHVTNDTMVQEEICKTDDAIEQTVDAQDLDSSKESKEAQPAIMTDIPADEVLAEAPAGIQSPLEPNVGDSDTVPGNKETDDPAKEDDTAYLDHKESFPEDKVIAEHADEEVKAEDQQSKQADDMDAEVLQEEIPESKESDLPETEQAEEASVDDQEALNQQPALETNDSLSVKAEETCHQSNVATCGEKTPEDDATTREPTVDTKEEQNQGSVEEMKDAEAVDTEETVQQSSVAFDEAIQDHAATTNPSSDIQSIEPEETKGPSDVKAEEVSSQSNVAFAEDAVQDKVIPSEPPEDIRPVKKLEQEETKEADEASNETNSAIFSDLNQEDSIVASKQLETELAEEAAAFSNLDQEGGIADSESQVADLEEAKEIEATETEEITHQPPAAVSTELPKEDNSTRSEPHNDDIQHSLEQDSIEVKDTEAAEIQEISQERTIATSKEDAVEDDGTAEGPTCVSQEVQDVESEEVKDTEPDNVVEASDVVTVDDEGQENNVLTSENIAELQLQGLESEEIKSPEPIETEAGFHTSHAAPSNDPVEVNTTACETQDTESAEEIKETEGTKTESIPQESNISVSEESNPEDSITASETISNTQELTIAGSIEASEDNIDTKTGEITDQSNEVFAGEAAQGDNIPESVSTADTQSMQELESEEMKKPELVDLSGTFHQKDDAISQKQNQEDNPTTCETNEIGSTEVSSVEASEDQAIAHQSNITQCEEQATEESITESEPQILEIESVQDMEDTEATEPELVSEQNIVSTSEESVPEENATTEEPAFHDREIQNDGAELTEQHDSVKAEELPNQSSGAIVEETAQEADLVAGEPIDDVQEKDLEPEEISNTVDGETGEASHQTHAAVEDNWTGEVESSVEASEDQAIAHQSNITQCEEQATEESLTESEPQILEMESVQDIKDTEATESETIFQKNIVPTSEESVPEENATAKEPAFDDREIQNDGAELTKEHDGVKDEEIPDQSSGAIVEETAQEANLLASEPTDDVQVKELEPEEISNTVDGETEEASCQTHEVEMTESSEQMKDTEPPVPEPESSEEMRDTAPTVPEPTLQDSRVASVEEIETHNNATIEQNVGYQQLQEQESVEFKETEVLEPQGVIPSHNVSSSEEFNPQETVTKEEPGSDTQAEESPVVIEDTEDVNNSAALSEKIAPEEHVLATETTVDTPPVQEPELEERQNIESVEAEDNIAASGLPGEEIDTEAIEIESVPHESTTTSVKELNEDVKSNVALAEEAASEEHILETEVTVDKSSAQEPELEEIKNTEPAEQEHNITETGLPEEEMKDNEAMETETVPHDSNIESIKELREDDIITASALDVYTQQIPEQESVEDMKCTDTTEHPGETPESIVSTSDELTKTGEITTVKEATFDTQQAQSFTDQETDLSSSSNPEEAVQESDLVKSEQETDVQQEQELDSTEETKGTEDYQQNGVSTCEESVTEVEPNVDDQHVQENKSAVEVKENEDTETEEISKQINFTTSENGAQESSEQEADQPFYVQPVQQLELTTDSKDNQLVEAEETSSQSNIVTPEDPTAEDRVAYEIDPSVDIDQGHELELVEEVKDTDAIEAEETSHAGQAVSSAEKFSESNLSAVELTHGIQQVHYLETMEEMKGTEGTCDEEICYEQTATSEDPSPTDNGKSLQDYHVESNEENLGNGIGDVISVHEKIEDNIHESAELKDETSELGEKTQISANRIEENDDHISTEDTEETSNNTNLVKEGPNEHGSSQTSNVQDNKQLHDVGLQTQVRERSVDIGQQDEDMKNVNLDQQQKEDEEIEKQKEDEEIEKQKEELQTDEQKHDDKRVDFIIDTQVESIDAFQAEQTDSVVTEMLNDEVTQHEPEDSIPRTTDAMVENITEIKEETEEENGPNSGGTLEVSAKNYNEDVHENTEKDAVVEKTSSSEHDEIAGEIRNEEVEPCLASSLERDLQVDSDLSNDQMLENNPIAVPQNDEYMYRAEEGYTNKVNVDMHAIQESDKVIEDAEEKQGMQNEDNVVHHDESLVTTQKEEASQVHTDEQYSADTKMDDTAISYAEMTHENTSTEPREVEDTKEKKGFNDFPEFVVETSKQDDVDQDFSIHHQVEDEKSAETENNSAESEAVQPKLDITIAETNNDNNLSTINPLPEHETENASDINQNRQYQEATNEDAIDNIETGRVEKMETSYTATTEVVTLNEDICDKASGADGVPPDGSLKTSEDNLDVSSVVTESEGENINKETEDHKLALPVHPTQDENTTEQGFGLEDTEKESMSPEKALPAEPEEQEENQVTKEQDEEDRHDAELGDAHEEDHKEAEQDYLPVSSFLMNLILGKDNDDPKKDSETEVEKEQEETTKDGSCLIASQQEENLVAFPIEKSVDEKLTFEQEKEKVEGSEETKEPVKEQSYDVEMDVQKSLETDEELKRNTCDLEAPVYQDNAQDEISSKLISAKAADLIKKMEARDFELDEESFDTVCQENVEAATEIEDGSLNRDQDDITSPKASQEDALEEVGTELPHESLHENRHGAKDEQTLSLIEPDTGNAEKLPNEADSVQSPPCTEQEESIESSYVEVRSTTEGQVESEVIETNEEDQHTTAGGHTEEQIENLHDDKSKETCSEEISDEQAPEITEPVSHTDRNFAYEKEIPASSTCMDKKESMISNNEVSNFEKALETHSDSPNLHVNQDKKDETADNQTVVDHNTVLDKLEDSNRQEEQETAAQKLPKETEGNQEFMAITEPVIKEENVHETVEGNTQAVKIKSNEEKELFDSQVQERGLNVVSPKATSEADEKFVEITKPEFSTDEEHSPKADESNKPDENTCDEKTKAEEETNNITDEATVKIEERGAEQKVSHKKHNILSGVGSKVKHQLAKVKKAIIGKPGHTKSESPKS >ORUFI02G02480.1 pep chromosome:OR_W1943:2:1515360:1519476:-1 gene:ORUFI02G02480 transcript:ORUFI02G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGVAVLEEGVRRNPAVSDSYRPPGMPRPNATVLEAQARVCTGPEQTRPLGEEQAMRVLDTILRSGMGELKDEPVSSAQLGAFFAGMTIRANSFPEATQWSEGERRAMSLFWPRLVNVLPPEVKFIADPEGTIMGANGLTGPRYVGQSTAEMRLVSALREVLAGGHLGYEEVQGVLKDVLPVELASANSTMVNEALLAAFLIGQRMNRETDRELKGYCLAFDDELGPTPVADVKSLTHYGEPYDGNTRFFRSTLFVAAVRACYGEACLLHGVEWMPPKGGITEGQMLKFMGANTHLSTLQAKTLLEDENTGFAYLGLQEACPPLYSIIGLREHIKKRPPLATSEKVQQFVRARGRESMVAGFYHVGYEDPLLMLMRRRTVHAGLVVKGEEGALSMTTKERSAHASKGLPVNHCSGFRIPNSTDFSETDGISREGFSVIVNAQELGFESTETPRTDRSVLKNLELGLAALSGEKGPAYDRIVLNAAMVDHLLGCTGAEDIKSALDRAREAIDSGKALSRLMNYIKISHKVS >ORUFI02G02490.1 pep chromosome:OR_W1943:2:1519854:1524153:1 gene:ORUFI02G02490 transcript:ORUFI02G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAARLVSRSRQLYSAQAALANGGATQVRLYAKEADRTPVNGDDLLKGIFFEVKKKFETALGVLKKEKITIDPDDPAAVSRYAQVMKTVRQKADLLSDSERIKYTIDTFTKGIPDARTYLNTLQEIRIKSGLIDDMGAEAMMMEALEKVEKEIKKPLLRSDKKNMGLLLAEFEKINKKLGIRKEDLPKIEEELELEIAKSELTELKKECVEAMEVQLKREEFKDEEMPDVKKLDIRNFL >ORUFI02G02500.1 pep chromosome:OR_W1943:2:1526528:1531057:-1 gene:ORUFI02G02500 transcript:ORUFI02G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRRGSSGGGAVLVVVVCVGCIAATAMAAAAGGGGGGGECPKYKDSKQPLNKRIDDLLRRMTLAEKIGQMSQIERENATFDVMRNYFIGSVLSGGGSVPAAQASPAAWVSMVNEMQCGAMATRLGIPMIYGIDAVHGHGNVYKATIFPHNVGLGCTRDPDLAKRIGAAVAAEVRATGIPYVFAPCVAVCRDPRWGRCYESFSEDPRVVQRMSSIISGFQGEIPPGGRRGVPFVSGGRPSVAACSKHYVGDGGTTRGMNENNTVATLRELMTVHMPPYYSAVAQGVSTVMVSFSSWNGVKMHANHFLITDFLKSKLRFRGFVISDWQGLDRITTPAHADYMLSIKLGIMAGIDMVMIPFTYTEFIDDLAALVKNGTIPMSRIDDAVRRILRVKFTMGLFERPYADLSLAGELGKQEHRDLARDAVRKSLVLLKNGKPGDAPLLPLPKRARSILVAGAHADDLGSQCGGWTITWQGLAGNDLTAGGTTILDGIRRAVDAATEVVFAEAPDAGFMRRNAGRFDAAVVVVGEPPYAETLGDNLNLTIPAPGPSVIQNVCGGGVRCVVVVVSGRPLVIEPYMDAIDALVAAWLPGTEGQGVSDVLFGDYEFTGKLARTWFRSVEQLPMNVGDEHYDPLFPFGFGLETRKAN >ORUFI02G02510.1 pep chromosome:OR_W1943:2:1533063:1533320:1 gene:ORUFI02G02510 transcript:ORUFI02G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSKRGGGGGEGEGILASWMAAHGREAASRAKKVVRSTGKAAWIAGTTFLVLVVPLIIEMDREQQLNDLELQQQALLGPAPLK >ORUFI02G02520.1 pep chromosome:OR_W1943:2:1534604:1537623:-1 gene:ORUFI02G02520 transcript:ORUFI02G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAATQVGTYFLRNYYNLLQQSPDVVHQFYNDASTMVRVDDLAGTNTTASTMMDIHSLIMSLNFTQIEIKTANFLNSWGDGVLVMVSGLVQTKEYSHQRKFIQMFFLAPQEKGYFVLNDYFHFVDEEQVQPAPVIAQDNFETNMASNSVVEPEYIHEEENQSAVPITSEESDAVENYTYSEPPQQVVSQSDNWGDEPLPEEPISSFTNGMAMAPEEPVQSPPVPPPHVEEPVGEPVKKTYASILRTAKAPLVFPVAQPAPTRPHQATETNQAAQHSVMTSSVATEKPKTDVYGEFAVQDDEESKSVYVGNVPSSVSEADLENEFKKFGRLIPDGVAIRSRKETGGYYAFVEFEELSGVHNALKASPIEINGRQIYVEERKPNSGIRGGRRGGRGRFGGGGRGYARGGGDNEYAGNRGSGRPSNGYQRVPHHERGILGSHNARN >ORUFI02G02530.1 pep chromosome:OR_W1943:2:1541475:1546245:-1 gene:ORUFI02G02530 transcript:ORUFI02G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTAPGLSCLRLPSPKNGCPKGSKIIGKQQPSKDSVVTAINKSSRSERHLISEESTGTIMIASHSALNMEGTGEMREVGRETLHGGVVQSVSETDEYKEKTIDSEKDGQFRVQPRWRKFLAHVGPGALVAIGFLDPSNLETDMQAGADFKYELLWVILVGMVFALLIQTLAANLGVKTGRHLAELCREEYPHYVNIFLWIIAELAVISDDIPEVLGTAFAFNILLKIPVWAGVILTVFSTLLLLGVQRFGARKLEFIIAAFMFTMAACFFGELSYLRPSAGEVVKGMFVPSLQGKGAAANAIALFGAIITPYNLFLHSALVLSRKTPRSDKSIRAACRYFLIECSLAFIVAFLINVSVVVVAGSICNANNLSPADANTCGDLTLQSTPLLLRNVLGRSSSVVYAVALLASGQSTTISCTFAGQVIMQGFLDMKMKNWVRNLITRVIAIAPSLIVSIVSGPSGAGKLIILSSMILSFELPFALIPLLKFCNSSKKVGPLKESIYTVVIAWILSFALIVVNTYFLVWTYVDWLVHNNLPKYANGLISVVVFALMAAYLVAVVYLTFRKDTVATYVPVPERAQAQAEAGGTPVVDASAADEDQPAPYRKDLADASM >ORUFI02G02540.1 pep chromosome:OR_W1943:2:1546929:1548514:1 gene:ORUFI02G02540 transcript:ORUFI02G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGAALGRGRGVDEHPRDGDPVGDGFPGEREGEEEERVVEAEVGGGELWAEEVQHIATPTATQLGAAAMNDDVVAEILLRLPAKSVLRCRAVCRSWRRITTADYFVAAHSRRRPLQLLGYTGPNDESLRDDEFLVTSAPVNAETMLICNPATRQLVNLPPVSTGGVVVDRNDLRLHSSAFYFHRPSGEYRVLCYRKGTNYILSTGSGEARRLGPVPDQQRRTCSFSAVTVGKTVGESVYWDRREVDDRSRIMAFDTVSERFRAVAPPPVEHADEGPLLDMHGRHARRGGDAGGAVPGRVGQRRRRRREMGLGVRHQGEEDAQLLSS >ORUFI02G02550.1 pep chromosome:OR_W1943:2:1552175:1555032:-1 gene:ORUFI02G02550 transcript:ORUFI02G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPTAANHLLALLRRNAASPAVALRLFLHLTSAASPPSPHSTSFLARILAAAAAHDAALLPSLLRHLLSLPDPAPHLLALLSSSSSPLRLPLGFSLSAFRSLRALPSAPPPPTPVYNRLLLAALQEARFDLVESLYKDLLLSGAAPDVFTRNILLQALCAAGRMELARRVFDAMPERNEFSFGILARGYCRAGRSMDALGVLDSMPTMNLVVCNTVVAGFCREGQVDEAERLVERMRDEGLAPNVVTFNARISALCKAGRVLDAYRIFNDMQEKWERGLPRPDQVTFDVMLSGFCEAGMVDEARVLVDIMRCGGFLRRVESYNRWLSGLVRNGMVGEAQELLREMAHEGVQPNSYTYNIIVDGLCKEGKAFDVRKVEDFVKSGVMTPDVVTYTSLLHAYCSEGNAAAANRILDEMAQKGCAPNSFTYNVLLQSLWKAGRITEAERLLERMSEKGYSLDTASCNIIIDGLCRNNKLDMAMDIVDGMWNEGSLALGRLGYSFLSLLTDSSSSKRCLPDRITYSTLVSALCKEGRFDEAKKKLLEMIGKDISPDSVLYDTFIHGYCKHGKTSLAVKVLRDMEKKGCKPSTRTYNLLIWGFREKHNSDEILKLISEMKGKGICPNVMTYNSLIKSFCERGMVNKAMPLLDEMLQNEIVPNITSFELLIKAFCKTSDFSAAQRVFDASLSTCGQKEVLYSLMCTQLSTYGRWLEAMNILETVLELRISIHRFPYKQIIEGLCKVDEVDHGHRLLKLLMVKGYSFDPAAFMPVIDALSERGKKQHVDMLSQKMMEMAERDNGLAAPSGEFKPRSQKHGQDKHAESDWHALLHRDDSARTVMKITKRVKTGWGQRGNVYENKQQQNDDIYVLENTG >ORUFI02G02560.1 pep chromosome:OR_W1943:2:1555904:1558983:-1 gene:ORUFI02G02560 transcript:ORUFI02G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSLVLGFGFGEPGFDVVELGRAVAAEASCGSRAAVGLGDMRVGISDSSDRAKSCLHPCDKKDTPQVTAEVATRSEDGEPSLLETGSFLQPEAACLTLGRSSDAAEVNNLCDKENIRTDLQPKPDVRHVENRMNGAPLGLDLNIVDSSNAAELNPFFPYKKLGQTKVSDPSECGSTTGAVGESESHRKWREMKQNGFLSSSQGTAVGPRPRGRPIKRKRDDESKRNTFAQKEQTNKFMKVAAPSGLLSGLNPGIINHVRNSKQVYSIIKAMVHSENLEKENQAVHASQTGERGREFSEKAQDQRYGGNMMNCHFLMKGSNMPFHQGLPTASQFLPEDGDDLKLQLSSAVTMASDRTCSTSADDFASNHDYMTVLSVKAANVASQWLELLQQDIRGRLAALKRSKKRVRNALQTELPYLISTEFSYNQENEPSVVHSSDGGSTGKTVPEAHVARWRSLFLQMDRTLQEEGMHLENRLKEVQEMQMNCEKGLRYMACEAPLVGPMAELWKLKNSETPESEWAVQAAAASIYSTCNLVMRTENVSCF >ORUFI02G02570.1 pep chromosome:OR_W1943:2:1562723:1572118:1 gene:ORUFI02G02570 transcript:ORUFI02G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFHSTMRSTPPRFSLLSALLLTDDGRAPVPVSSSSLLPASPLFQNIATATAAAAAARRPRPPPAHLHLRRISQPRSPHWREIRSSAWLASHATQADEATELASSCDCAEVPASQQIVSESSTAGSSTEHLVSCEIKPLGVDEDIETIDANEETHLVIQDCPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKVVALLGMLVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGTDGVPDLDPNHVTELRILGLY >ORUFI02G02570.2 pep chromosome:OR_W1943:2:1562723:1571183:1 gene:ORUFI02G02570 transcript:ORUFI02G02570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFHSTMRSTPPRFSLLSALLLTDDGRAPVPVSSSSLLPASPLFQNIATATAAAAAARRPRPPPAHLHLRRISQPRSPHWREIRSSAWLASHATQADEATELASSCDCAEVPASQQIVSESSTAGSSTEHLVSCEIKPLGVDEDIETIDANEETHLVIQDCPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKVVALLGMLVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGTDGVPDLDPNHVTELRILGLY >ORUFI02G02570.3 pep chromosome:OR_W1943:2:1562723:1567965:1 gene:ORUFI02G02570 transcript:ORUFI02G02570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFHSTMRSTPPRFSLLSALLLTDDGRAPVPVSSSSLLPASPLFQNIATATAAAAAARRPRPPPAHLHLRRISQPRSPHWREIRSSAWLASHATQADEATELASSCDCAEVPASQQIVSESSTAGSSTEHLVSCEIKPLGVDEDIETIDANEETHLVIQDCPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKVVALLGMLVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGTDGVPDLDPNHVTELRILGLY >ORUFI02G02580.1 pep chromosome:OR_W1943:2:1578516:1579007:-1 gene:ORUFI02G02580 transcript:ORUFI02G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSGGSAVSAATAAAGGSSSAAEEELRALMEQRRAKRMLSNRESARRSRMRKQRHLDDLTAQVAHLRRENAHVATALGLTTQGLLAVDAENAVLRTQAAELAARLASLNDILSCINTNGAAAAAAAALTVAAATDPLLAFDSAAFDDLFRSSPELFQLC >ORUFI02G02590.1 pep chromosome:OR_W1943:2:1592708:1600890:-1 gene:ORUFI02G02590 transcript:ORUFI02G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRVLETTKKAAAAATATAATGPQLATRKNPSSSRRKAVTPATAVSPAALLRLKQAASSKRTNLPSTLPDAQDVAAVEDENPSGGFTKEILSILNGPDDAEELRGAQAPPEESEDAEESVVNRILDTEWFAAPPPSNPLAAWRKEVAREKKKRYIFKNTESRRFTRLMQKCADKLGAEPALEFFGKLGRDTGAKEFNALIRICLGKAKECMDIDSAVEHIYRAYRLFELMRERGYQIVEDCYGPFLLYLVDVGMSEEFDMFSVFFKDANPRSSSRIAYYEMLLWIRAQDEEKIQELCRSVEDFNEEGDYDMAESYMLAFAESDRRLDFISLLESLDPTRILRSKYLLTIFQSLGRLELKNYAEKLLQQMRSKESGVGKFSSIIFEYASNIPNIAVEDVIVSFNRWHEQFEVAPSISASEKIISVCCKSSKISLALDVAECLCKSNPDMPVELFHPIIQACEQGSEFHLVHPIYDLMRRHKMKLKTETFRNMINLCVKMKDFEGAYRILTDAEESGDISTVSLYNAIMLGYFREKNHNGAQMVMAQMQIAGVKPDSETYCYLIFNCEFEEKISEYLDQLRQVGIHMSKHIYMSLVSAYARLGNFDMAKQVLENKEIPPKYLNEIKSALIGALASNGKVLDGLIMYDEIKQSGCHLEPKSAIALIENTQTKGELDRLYQLLEELGDSNMWFDGCSRVLLYCVQHNHPNAAIGLLKQLKEKDELSTYMVIDQVFSQIWDMDPVNLDFGMKILHAVKELGLNVSRTSLDFLLSACVKAKDSNRAQLIWTEYESAGLPHNVLTSLRMYQALLLSQKKKATKKLLRKIPKEDVHVRYIIDSCQMAYCSQNLKLPSASRSSSIKRAASKAGSTCKPAASGTEVFRNEAEEAHTGP >ORUFI02G02600.1 pep chromosome:OR_W1943:2:1602705:1604192:-1 gene:ORUFI02G02600 transcript:ORUFI02G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDVVSEILLRLPAKAVLRSRAAVLRSRAVCRTWRRITTAHYFITAYSRRRPLQLLGHTDPSDHFTSVYTLTSLPATAVPRIDDGGDIAGCRRLLQRGRFCLSLVTTCDGLLMFRRVQKGGMLICNPATRQLVNPVSPEPSSRPKDNELRTLGFYFHRPSGEYRVLCHRPLLDNTTYILSTGAAEPRQLGGGPDYHRCTMFVGETIGDTVYWCRPRYLQNGKPQISSFDTVSEAFRLLPPPPVSLAEDELVDMIDMHGTPAVWTMTELHLDVWALDEDERWVRRLRMPPPPAPLAYWSKGSWKNAVATFESGGGGVQMVVVTWAWKLLYDDTNKDRRVVGRTVALLFRDTVDISGTPIVGFMPTGRASSGWNLARRTSRRTASPIYSSVEYKHVVTSY >ORUFI02G02610.1 pep chromosome:OR_W1943:2:1607347:1610977:-1 gene:ORUFI02G02610 transcript:ORUFI02G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLLKSKAIPTSVIAFAGDVPLLAGGVVQDNGISPRTPMSIFRTSPGEKMLEMAGLIAGGLENGMVAMWNPDLKLRKLPSYLPDEEILKPHPDGVQTWIRKDFEVIEDYLDQVQVDGNSRALHLQVSPTYQEMSGNLMVEYQDDIMCRTSSSGVVALFAKHTGKVRGLSFNPNAPNLLASGAADGRIMLYDLAHPLAETIPVQLCDSPDEEISCLCWNSYKVHVIASTTTCGRICFHDTRAKTIIGCTTLDCKTKSGSTVIFCPDPDSEKLLVAREDSPSVKILNMGNTDHNPAGEIPFSNSEGIVAMSWCPHNPNLLLACTKNNKILVWNKKTNKVLPNPLSLGVPT >ORUFI02G02620.1 pep chromosome:OR_W1943:2:1614922:1616061:1 gene:ORUFI02G02620 transcript:ORUFI02G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDVVSEILLRLPAKAVLRSRAVCRTWRRITTAYSRRRPLQLLGNTDPSYYFTPFNTLTSLPATAVPRIDDGGDIAGCRRLLHRDRFCLGLEATCDGFLLFRRVQKGSMLICNPATRQLVNLPPVSPEPSSRPNDNELRALGFYFHRPSGEYRVLCHRPLLDVDATYILSTGAAEPRRLGGGPDYHRCTMVVGETIGDTVYWCRPRYLHNGKPQISSFDTVSEAFRLLPPPPVSLAKDELVAMIDMRGTPAVWTMTELHLDVWALEEEERWVRRLRVGMPPPPAPLAYWSKGSRKNAVATFESGDGGVQMVVVTWAWKLLYDDTSKDRRVVGRTIVPRHCGHFWHTNCWFRAYRESLVRVESCTPDLPPDGEPYLQFC >ORUFI02G02630.1 pep chromosome:OR_W1943:2:1617135:1620729:-1 gene:ORUFI02G02630 transcript:ORUFI02G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAAGSWYVTRDEVERGSPSRRDGVGAAKEAELRATYCSFIRDVGLRLQLPQVTIATATLLCHRFYLRQSHAKNEWQHTEMQWPIQDQNPNPAENTVATVCVFLASKIEDTPCPLQRVIIVAYETMYRKDCNAAHRIYQKEVLEKQKELILVGETLLLSTIRFDFNIQHPYEPLKLALKKLGIFQMEVKQVAVNLINDAIRTTLVVQFKPHYIAAGSLYLAAKFNNFRLPSDGKVWWHEFDVAPKQLQAVIQQMTELFMGRNPCSMGPAIRPPPTPSLMERQQVIRPPPTPTLMERQPIIRPLPTPTLMENQHITHSLGAVMRHTHSSIRSLSNNFDREASRSLPLNIPANRKSTVCPARNEGNQSLRMHMGHSNGSDARFEKQYSRGALKADHVYHVVSGQKDLHVTGIRDLVRQKRTFHEVGEHPAPIDKSDTKSWIRKRHGRNVIVVDTKSSSWKKQKLVNRGGGRRWSRALVEERR >ORUFI02G02630.2 pep chromosome:OR_W1943:2:1617715:1620729:-1 gene:ORUFI02G02630 transcript:ORUFI02G02630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAAGSWYVTRDEVERGSPSRRDGVGAAKEAELRATYCSFIRDVGLRLQLPQVTIATATLLCHRFYLRQSHAKNEWQHTEMQWPIQDQNPNPAENTVATVCVFLASKIEDTPCPLQRVIIVAYETMYRKDCNAAHRIYQKEVLEKQKELILVGETLLLSTIRFDFNIQHPYEPLKLALKKLGIFQMEVKQVAVNLINDAIRTTLVVQFKPHYIAAGSLYLAAKFNNFRLPSDGKVWWHEFDVAPKQLQAVIQQMTELFMGRNPCSMGPAIRPPPTPSLMERQQVIRPPPTPTLMERQPIIRPLPTPTLMENQHITHSLGAVMRHTHSSIRSLSNNFDREASRSLPLNIPANRKSTVCPARNEGNQSLRMHMGHSNGSDARFEKQYSRGALKADHVYHVVSGQKDLHVTGIRDLVRQKRTFHEVGEHPAPIDKSDTKSWIRKRHGRNVIVVDTKSSSWKKQKL >ORUFI02G02630.3 pep chromosome:OR_W1943:2:1617715:1620729:-1 gene:ORUFI02G02630 transcript:ORUFI02G02630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAAGSWYVTRDEVERGSPSRRDGVGAAKEAELRATYCSFIRDVGLRLQLPQVTIATATLLCHRFYLRQSHAKNEWQTVATVCVFLASKIEDTPCPLQRVIIVAYETMYRKDCNAAHRIYQKEVLEKQKELILVGETLLLSTIRFDFNIQHPYEPLKLALKKLGIFQMEVKQVAVNLINDAIRTTLVVQFKPHYIAAGSLYLAAKFNNFRLPSDGKVWWHEFDVAPKQLQAVIQQMTELFMGRNPCSMGPAIRPPPTPSLMERQQVIRPPPTPTLMERQPIIRPLPTPTLMENQHITHSLGAVMRHTHSSIRSLSNNFDREASRSLPLNIPANRKSTVCPARNEGNQSLRMHMGHSNGSDARFEKQYSRGALKADHVYHVVSGQKDLHVTGIRDLVRQKRTFHEVGEHPAPIDKSDTKSWIRKRHGRNVIVVDTKSSSWKKQKL >ORUFI02G02640.1 pep chromosome:OR_W1943:2:1626444:1633772:1 gene:ORUFI02G02640 transcript:ORUFI02G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHLDRLARPCFEGSSSNDDKRDHKSDVENSEDDKRTRMGSLKKKAIDASTKIRHSLKKKKRRSGSRVLSVSIEDVRDLEELQAVEAFRQALILDELLPARHDDYHMMLRFLKARRFDIEKAKQMWTDMLKWRKEYGTDTIVEDFDYNELDAVLQYYPHGYHGVDKDGRPVYIERLGKVDPNKLMHVTTMDRYVRYHVKEFERSFLIKFPACSLAAKRHIDSSTTILDVQGVGLKNFSKTARELIVRLQKIDNDNYPETLYQMFIVNAGPGFRLLWNTVKSFLDPKTTSKIHVLGNKYQSKLLEVIDASELPEFLGGACTCPEYGGCLKAEKGPWKDQNILNIVLSGEAQCARQIVTVSNGEEKIISYAKSKHHTIRGSDTSTAESGSEAEDVTSPKVLRSYISHPKLTPVREEVKMVRATSFSTRMPEYDVPVVDKAVDATWKREVTRKTAFSSKDSSLTSTESSSNGSLDRIVAVLLAVFMAIITLVRSVKDLAAKRLPDKNESEQKYSTLYPDSMPKEEFRPPSPTPGFVEAELFSSVLQRLGDLEEKFLMLQDKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYIDSKEVAKAQKKKKAMFCY >ORUFI02G02650.1 pep chromosome:OR_W1943:2:1632037:1636291:-1 gene:ORUFI02G02650 transcript:ORUFI02G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVMPTPSMNSSSAGAAAAAAAAEGGGGEDERRARALSSSSSSLRQRAMSASSKLLRTSLSRSSKGAAARQRSSKVMSVSIEDVRDAEEMKSVDAFRQTLVLEELLPARHDDYHMMLRFLRARKFDIDKSKQMWSDMLQWRKEFGSDTILDDFQFEEMDQVLEHYPQGHHGVDRDGRPVYIEKLGAIDTAKLLQVTSMDRYVKYHVREFERAFAVKFPACSIAAKRHVDQSTTILDVSGVTLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDPSELPEFLGGTCTCEGGCMRSDKGPWKDPEIIKMVQCGMGRCGFNSSGHTEADEKMITEDDIVAIPKKQESIRRDSVDSPKIPREKIEHPQMSPLHEMSTSESKAPPGQEGSSSRYDDLFPMPDKNMDFNWNGEVSAEKLALARDMYASLPDAYKHGDAGDRQVVTGFMAFVMGVVAMFRVGKIAPKRAMDAAMGIATMEAMAKNRKLMQQQQRQLEQLPGPDTVTVSTAQYEALIKRLGDLEEKVAALTSRPPEMPADKEDLLKAAVTRVEALETELESTKKLLETSSGQQEEVLAYIEKKKKKRGMFCLQQQNPFRW >ORUFI02G02660.1 pep chromosome:OR_W1943:2:1636320:1636616:-1 gene:ORUFI02G02660 transcript:ORUFI02G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAMQIQSPAMAMPMPMHAATNSGMPSPSPSLLLPPPSPSPSWLHLPRPLVQSEEALGLGAANNLGN >ORUFI02G02670.1 pep chromosome:OR_W1943:2:1638102:1665964:-1 gene:ORUFI02G02670 transcript:ORUFI02G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNLRSEDRGALLHEGADISVLSAFVEIVFDNSDNRIPVEKKVVRLRRTVASKKDEYYLDGKHISKTEVMNLLENAGFSRSNPYYVVQQGKIAALTLMKDSERLELLKEIGGTRGTSCKWTLIHDLLAANKRKQIDQVVHYLEERLRELDEEKEEMKKYQQLDKQRRSLEYTILDHELNDTRNELALMDDNRRKISERMSHADNEVVDVREKIRSFEKEIKFSTKGINENKAQKEDVEKKCTEVLKVVAQIELDLRDIKDRILNEKLAKDEAARDSQSVRMESERSKSELAETSKGRATQFANKAARDKWLQKEIDDLECVLLSNRKQGGLLQEEIQKLKDKINNLNSYFEFYESESNKLESALAKKHSDYNDLRKQRDKLQEERNYEANVTAEKDRLKENLVNAKEKLGNATPGDIIRGLNCVSRITMEHGITGVVGPILELIDCDEKLFTAVEVTAGNSLFHVVVENDDISTKIIQVLTREKGGRVTFIPLNRVKVPDVSYPRSDDFVPLLERLECNKANHRRAFEQVFGRTVICKDLETATKVARDNGLNCITLDGDQVARKGHMTGGFHDYRCSKLKFVKTIKNNMKAIEDKEEHLKNVERNLSDILSPCLHDADKKMTDLVTKQQQMDAESDHAKSELEHFKVGIASTMKQIGSLEKALGKKEKSLDNIQNQIVQIQSGIAMKYNEMGTEIIDQLTSEERDLLLQLNTELTELKGKFVLCRNRRIEIETRKEELKANLSTNLMRRQKEFEAVISSADSKTLSLEAESKEQELNSSKSSLDDLTAMLKANVDAINNFTIKIEELKRQRDNLKTLEANLDQTVRDGAKDLEQLMSSRSMHLAKQEECMKKIRDLGPFKNKRQLQKMLYDCNEQLQQFRHVKKKPLDCVNFTEQREQLERRRAELDAGDQKIRELVSILDQRKDESIERTFKGVARHFREDGDAGDEDIDNDEDGPREPDSEDRMEKYIGVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDTQYRTAVGNMIRRLSDMADTQFIATTFRPEIVKVADKIYGVTYKNRVVIEGFKSYREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNSDNRIPVDKEEVRLRRTVASKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGETSCEWTFIHALLTANKRKQIDQVVHYLEERLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNEARNELASMDDNRRKISERMSHADNEVVDVREKVKTFDKEIKYSTKGINDTKAQKEGVEKKRTEALKVVAQIELDLRDIKDRILNEKRAKDEAAKDLQSVRMESEKSKSELAEISKVHQAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDKWLQKEIDDLERVLLSNRKQEGLLQEEIQKLKDEINNLNSYIESRKSESSKLESALAKKHNDYNDLRKQRDELQEERKSFWKEEADVTAEIDRLKDDLVKAQKSLDHATPGDIRRGLNSVSRIIRDHGITGVFGPVLELVDCEEKFFTAVEVTAGNSLFHVVVENDDISTRIIQVLTREKGGRVTFIPLNRVKVPDVSCPQSPDFVPLLKKLKYRADHRRAFEQVFGRTVICRDLETATKVARGNGLDCITLDGDQVARKGGMTGGFYDSRRSKLKFVKIIRDNKTAIEKKAAHLENKITDLVTKQQQMDAECDHAKSELEQFKVDIASAMKQMLSLDKALGKKEKSLDNIRNQIEQIQSGIAMKNDEMGTELIDQLTSEERDLLSRLNPEITELKEKFLLCKNSRIEIETRKEELETNLSTNLMRRQKELEAIISSADSKTLPLEAESKEQELKSSKRSLDELTAMLKANVDAINNFTRKMEELKRQRDDLKALEANLEQTVQDGAKDLEQLMSSRSMHLAKQEECMKKIRDLGSLPADAFETYKRKNKKQLQKMLYDCNEQLQQFSHVNKKALDQYVNFTEQREQLQRRRAELDAGDQKIRELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMRKKDGDADDDDNDEDGPREPDPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMADTQFIATTFRPEIAKVADKIYGVTHKNRVSYINVVSKEQALDFIEHDQTHNAS >ORUFI02G02670.2 pep chromosome:OR_W1943:2:1638102:1665964:-1 gene:ORUFI02G02670 transcript:ORUFI02G02670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNLRSEDRGALLHEGADISVLSAFVEIVFDNSDNRIPVEKKVVRLRRTVASKKDEYYLDGKHISKTEVMNLLENAGFSRSNPYYVVQQGKIAALTLMKDSERLELLKEIGGTRGTSCKWTLIHDLLAANKRKQIDQVVHYLEERLRELDEEKEEMKKYQQLDKQRRSLEYTILDHELNDTRNELALMDDNRRKISERMSHADNEVVDVREKIRSFEKEIKFSTKGINENKAQKEDVEKKCTEVLKVVAQIELDLRDIKDRILNEKLAKDEAARDSQSVRMESERSKSELAETSKGRATQFANKAARDKWLQKEIDDLECVLLSNRKQGGLLQEEIQKLKDKINNLNSYFEFYESESNKLESALAKKHSDYNDLRKQRDKLQEERNYEANVTAEKDRLKENLVNAKEKLGNATPGDIIRGLNCVSRITMEHGITGVVGPILELIDCDEKLFTAVEVTAGNSLFHVVVENDDISTKIIQVLTREKGGRVTFIPLNRVKVPDVSYPRSDDFVPLLERLECNKANHRRAFEQVFGRTVICKDLETATKVARDNGLNCITLDGDQVARKGHMTGGFHDYRCSKLKFVKTIKNNMKAIEDKEEHLKNVERNLSDILSPCLHDADKKMTDLVTKQQQMDAESDHAKSELEHFKVGIASTMKQIGSLEKALGKKEKSLDNIQNQIVQIQSGIAMKYNEMGTEIIDQLTSEERDLLLQLNTELTELKGKFVLCRNRRIEIETRKEELKANLSTNLMRRQKEFEAVISSADSKTLSLEAESKEQELNSSKSSLDDLTAMLKANVDAINNFTIKIEELKRQRDNLKTLEANLDQTVRDGAKDLEQLMSSRSMHLAKQEECMKKIRDLGPFKNKRQLQKMLYDCNEQLQQFRHVKKKPLDCVNFTEQREQLERRRAELDAGDQKIRELVSILDQRKDESIERTFKGVARHFREDGDAGDEDIDNDEDGPREPDSEDRMEKYIGVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDTQYRTAVGNMIRRLSDMADTQFIATTFRPEIVKVADKIYGVTYKNRVVIEGFKSYREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNSDNRIPVDKEEVRLRRTVASKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGANKRKQIDQVVHYLEERLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNEARNELASMDDNRRKISERMSHADNEVVDVREKVKTFDKEIKYSTKGINDTKAQKEGVEKKRTEALKVVAQIELDLRDIKDRILNEKRAKDEAAKDLQSVRMESEKSKSELAEISKVHQAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDKWLQKEIDDLERVLLSNRKQEGLLQEEIQKLKDEINNLNSYIESRKSESSKLESALAKKHNDYNDLRKQRDELQEERKSFWKEEADVTAEIDRLKDDLVKAQKSLDHATPGDIRRGLNSVSRIIRDHGITGVFGPVLELVDCEEKFFTAVEVTAGNSLFHVVVENDDISTRIIQVLTREKGGRVTFIPLNRVKVPDVSCPQSPDFVPLLKKLKYRADHRRAFEQVFGRTVICRDLETATKVARGNGLDCITLDGDQVARKGGMTGGFYDSRRSKLKFVKIIRDNKTAIEKKAAHLENKITDLVTKQQQMDAECDHAKSELEQFKVDIASAMKQMLSLDKALGKKEKSLDNIRNQIEQIQSGIAMKNDEMGTELIDQLTSEERDLLSRLNPEITELKEKFLLCKNSRIEIETRKEELETNLSTNLMRRQKELEAIISSADSKTLPLEAESKEQELKSSKRSLDELTAMLKANVDAINNFTRKMEELKRQRDDLKALEANLEQTVQDGAKDLEQLMSSRSMHLAKQEECMKKIRDLGSLPADAFETYKRKNKKQLQKMLYDCNEQLQQFSHVNKKALDQYVNFTEQREQLQRRRAELDAGDQKIRELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMRKKDGDADDDDNDEDGPREPDPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMADTQFIATTFRPEIAKVADKIYGVTHKNRVSYINVVSKEQALDFIEHDQTHNAS >ORUFI02G02670.3 pep chromosome:OR_W1943:2:1638102:1665964:-1 gene:ORUFI02G02670 transcript:ORUFI02G02670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNLRSEDRGALLHEGADISVLSAFVEIVFDNSDNRIPVEKKVVRLRRTVASKKDEYYLDGKHISKTEVMNLLENAGFSRSNPYYVVQQGKIAALTLMKDSERLELLKEIGANKRKQIDQVVHYLEERLRELDEEKEEMKKYQQLDKQRRSLEYTILDHELNDTRNELALMDDNRRKISERMSHADNEVVDVREKIRSFEKEIKFSTKGINENKAQKEDVEKKCTEVLKVVAQIELDLRDIKDRILNEKLAKDEAARDSQSVRMESERSKSELAETSKGRATQFANKAARDKWLQKEIDDLECVLLSNRKQGGLLQEEIQKLKDKINNLNSYFEFYESESNKLESALAKKHSDYNDLRKQRDKLQEERNYEANVTAEKDRLKENLVNAKEKLGNATPGDIIRGLNCVSRITMEHGITGVVGPILELIDCDEKLFTAVEVTAGNSLFHVVVENDDISTKIIQVLTREKGGRVTFIPLNRVKVPDVSYPRSDDFVPLLERLECNKANHRRAFEQVFGRTVICKDLETATKVARDNGLNCITLDGDQVARKGHMTGGFHDYRCSKLKFVKTIKNNMKAIEDKEEHLKNVERNLSDILSPCLHDADKKMTDLVTKQQQMDAESDHAKSELEHFKVGIASTMKQIGSLEKALGKKEKSLDNIQNQIVQIQSGIAMKYNEMGTEIIDQLTSEERDLLLQLNTELTELKGKFVLCRNRRIEIETRKEELKANLSTNLMRRQKEFEAVISSADSKTLSLEAESKEQELNSSKSSLDDLTAMLKANVDAINNFTIKIEELKRQRDNLKTLEANLDQTVRDGAKDLEQLMSSRSMHLAKQEECMKKIRDLGPFKNKRQLQKMLYDCNEQLQQFRHVKKKPLDCVNFTEQREQLERRRAELDAGDQKIRELVSILDQRKDESIERTFKGVARHFREDGDAGDEDIDNDEDGPREPDSEDRMEKYIGVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDTQYRTAVGNMIRRLSDMADTQFIATTFRPEIVKVADKIYGVTYKNRVVIEGFKSYREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNSDNRIPVDKEEVRLRRTVASKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGETSCEWTFIHALLTANKRKQIDQVVHYLEERLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNEARNELASMDDNRRKISERMSHADNEVVDVREKVKTFDKEIKYSTKGINDTKAQKEGVEKKRTEALKVVAQIELDLRDIKDRILNEKRAKDEAAKDLQSVRMESEKSKSELAEISKVHQAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDKWLQKEIDDLERVLLSNRKQEGLLQEEIQKLKDEINNLNSYIESRKSESSKLESALAKKHNDYNDLRKQRDELQEERKSFWKEEADVTAEIDRLKDDLVKAQKSLDHATPGDIRRGLNSVSRIIRDHGITGVFGPVLELVDCEEKFFTAVEVTAGNSLFHVVVENDDISTRIIQVLTREKGGRVTFIPLNRVKVPDVSCPQSPDFVPLLKKLKYRADHRRAFEQVFGRTVICRDLETATKVARGNGLDCITLDGDQVARKGGMTGGFYDSRRSKLKFVKIIRDNKTAIEKKAAHLENKITDLVTKQQQMDAECDHAKSELEQFKVDIASAMKQMLSLDKALGKKEKSLDNIRNQIEQIQSGIAMKNDEMGTELIDQLTSEERDLLSRLNPEITELKEKFLLCKNSRIEIETRKEELETNLSTNLMRRQKELEAIISSADSKTLPLEAESKEQELKSSKRSLDELTAMLKANVDAINNFTRKMEELKRQRDDLKALEANLEQTVQDGAKDLEQLMSSRSMHLAKQEECMKKIRDLGSLPADAFETYKRKNKKQLQKMLYDCNEQLQQFSHVNKKALDQYVNFTEQREQLQRRRAELDAGDQKIRELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMRKKDGDADDDDNDEDGPREPDPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMADTQFIATTFRPEIAKVADKIYGVTHKNRVSYINVVSKEQALDFIEHDQTHNAS >ORUFI02G02680.1 pep chromosome:OR_W1943:2:1667436:1671115:1 gene:ORUFI02G02680 transcript:ORUFI02G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSACVGLRSRTHAVLAAANKAASELSSHQRKVFRVADHAGVALAGLTADGRVLSRFLRSECINHAFVYDAPLPVSRLALRLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTFLERRFEGYNDYTPEQLIKDALSAIKETLQGEKLTSSNCTVAIVGRKDDGTVEPFEMIDVKRIQEIIDSMEAAEEAPAAEAESSSMQEEDKGTDAAPMDI >ORUFI02G02690.1 pep chromosome:OR_W1943:2:1671643:1675699:1 gene:ORUFI02G02690 transcript:ORUFI02G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSARLLAAAAAAAAARGRAATTFRHAPTVSSSLSFPPNPHHRPSLRGRAGISFSSTSISLPSGSPHGASAPFNLFPPDSDPFIQWDPPPPDAASPLGAGAAGREAPGDLTLVVLLGWLGARQKHLRRYADLYRDRGVGSVRFVVPVRELLGLDLGRRVERRVADLAAEIAAWCDADHRRTLLFHTFSNTGWLAYGAILENLQSRADIIERIRGCIVDSAPVLEIRPEVWAAGFSAAMLKKSSSMTGPSVESPDGYTSNGTLNNLSSDVTRPTWGENILLSTLQKFFEIVLHLPDVNKRLEKVHSVLSEKQPTCPQFYLYSSADRVIPAECVERFIDMQKSLGQSVFAHNFVSSPHVDHYRSFPHVYAAKIDEFLKICSIARVLYPEN >ORUFI02G02700.1 pep chromosome:OR_W1943:2:1676692:1681268:1 gene:ORUFI02G02700 transcript:ORUFI02G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVEASPRGGAGDHPASCELDAGGDVGSGGRQYAAVVVGGTFDRLHQGHHLFLKAAAEFARERISIKPDLEVHVEPIVDPFGPSIVDEALEAIIVSKETLPGGLAVNRKRAERGLAQLEIEVVELVPEKSTGNKISSTAFRKKEAERELHKQQQEAPHEQAVELECRI >ORUFI02G02710.1 pep chromosome:OR_W1943:2:1682908:1683645:-1 gene:ORUFI02G02710 transcript:ORUFI02G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPRSLHTLISFGRGADGVDDDEATPASVDVGDAEGAGLDLDFAFAPPVSAAELAPADDIFAHGRIVPAYPVFDRSLLDLSPSDASTAAPSADTYCAWTPRSAPGSPGRDRFPKSASTGGESSSSSRRWRLRDLVGAGGRSRSDGKDKFAFLHHHAAAPPSSKLKTPPPPQQPQQKKQSAVKTKPAAKKGVVTEMDMATAHRLFYSKASAGGDRRPQQASYLTYRPAFSGLFALGRSQHHTAY >ORUFI02G02720.1 pep chromosome:OR_W1943:2:1686814:1688087:-1 gene:ORUFI02G02720 transcript:ORUFI02G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSKAKPPPKKRMDKLDTVFCCPFCNHGSSVECRIDLKNLIGEASCRICLETFSTSVNALTEPIDIYSEWIDECERVNNPEEDNANHYEDDNASRYRYDEEE >ORUFI02G02730.1 pep chromosome:OR_W1943:2:1692002:1698527:1 gene:ORUFI02G02730 transcript:ORUFI02G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLGVRGIFLAFPFLSKAKSTFWLLFFTHPSSSSSLLSPNQSPSRILLLLRKPSPDVVSDTQRLCLISARHLSSSSCCARACFFFPLSQRAVLGAGEAPRSPSSSSCDSAKSLEPGMAALMNGFGSLQCKAMVHVEKGHMQASGMAFFSPVNRCAQVHISSIPHFIGAKSVSASQLRMRHKVGSIRASAASCLQDETTKYFDFVVIGSGVAGLRYALEVSKYGSVAIITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEETVRVVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLQAVDNDDNISLFGHHFAIDLLTCQSNGEIYCYGVDSLDAETQKAIRFISKVTLLASGGVGHIYPSTTNPPVATGDGIAMSHRAQAVISNMEFVQFHPTALSDEGLPIKPATRRENAFLITEAVRGDGGILYNQSMERFMTSYDDRAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPREKVLAHFPNIAAECLRHGLDITQQPIPVVPAAHYMCGGVRAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMVDADVDPSFAKKWARPVLSVSLRDSILSDIIEKTKQARMELQSIMWEYVGIVRSTNRLKHAEWKISDLESEWEEFLFRRGWKPTMVGVETCEMRNLFCCAKLVVKSALARHESRGLHFTEDFPYLEESKRKPTVIFPTHIQELTWSSKPLQKQLQCK >ORUFI02G02730.2 pep chromosome:OR_W1943:2:1692490:1698527:1 gene:ORUFI02G02730 transcript:ORUFI02G02730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMNGFGSLQCKAMVHVEKGHMQASGMAFFSPVNRCAQVHISSIPHFIGAKSVSASQLRMRHKVGSIRASAASCLQDETTKYFDFVVIGSGVAGLRYALEVSKYGSVAIITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEETVRVVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLQAVDNDDNISLFGHHFAIDLLTCQSNGEIYCYGVDSLDAETQKAIRFISKVTLLASGGVGHIYPSTTNPPVATGDGIAMSHRAQAVISNMEFVQFHPTALSDEGLPIKPATRRENAFLITEAVRGDGGILYNQSMERFMTSYDDRAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPREKVLAHFPNIAAECLRHGLDITQQPIPVVPAAHYMCGGVRAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMVDADVDPSFAKKWARPVLSVSLRDSILSDIIEKTKQARMELQSIMWEYVGIVRSTNRLKHAEWKISDLESEWEEFLFRRGWKPTMVGVETCEMRNLFCCAKLVVKSALARHESRGLHFTEDFPYLEESKRKPTVIFPTHIQELTWSSKPLQKQLQCK >ORUFI02G02730.3 pep chromosome:OR_W1943:2:1692477:1698527:1 gene:ORUFI02G02730 transcript:ORUFI02G02730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMNGFGSLQCKAMVHVEKGHMQASGMAFFSPVNRCAQVHISSIPHFIGAKSVSASQLRMRHKVGSIRASAASCLQDETTKYFDFVVIGSGVAGLRYALEVSKYGSVAIITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEETVRVVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLQAVDNDDNISLFGHHFAIDLLTCQSNGEIYCYGVDSLDAETQKAIRFISKVTLLASGGVGHIYPSTTNPPVATGDGIAMSHRAQAVISNMEFVQFHPTALSDEGLPIKPATRRENAFLITEAVRGDGGILYNQSMERFMTSYDDRAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPREKVLAHFPNIAAECLRHGLDITQQPIPVVPAAHYMCGGVRAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMVDADVDPSFAKKWARPVLSVSLRDSILSDIIEKTKQARMELQSIMWEYVGIVRSTNRLKHAEWKISDLESEWEEFLFRRGWKPTMVGVETCEMRNLFCCAKLVVKSALARHESRGLHFTEDFPYLEESKRKPTVIFPTHIQELTWSSKPLQKQLQCK >ORUFI02G02730.4 pep chromosome:OR_W1943:2:1697255:1697476:1 gene:ORUFI02G02730 transcript:ORUFI02G02730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRALIAKTKNAMNEMAMELFAIFGKGSLPQLSIQSPTRAVADDDEDIRRSNN >ORUFI02G02740.1 pep chromosome:OR_W1943:2:1697221:1699582:-1 gene:ORUFI02G02740 transcript:ORUFI02G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEPPPPPPPPRRSPEAAAGGAGAAGDPPVTPMHSAAGDEWAAEEKRPPTPPQSSSASPPHSAAIVVSSQTHAAAAKYVPPSAAGHVGGGDGRSWYSWNGGRTAKPYRPPPPPRQKPQFQPPPQPPRAWDPSPPPPPPAPAAPVLVPPPAPAPRPAPAPAPRVPARAVEHDHRVVPDILLRKRRTAVLQRTALVARVAAALLCLAALAVLAADSRKGFALDSYSNYSQLRYSEAVNVIGFVYSVLQFFVLADLMRRNKHLNPRRKGDYFDFFMDQVLAYLLISSSSSATARVGDWIDNWGSDPFPKMANSSIAISFMAFLVFAISALISAYNLFRRDI >ORUFI02G02750.1 pep chromosome:OR_W1943:2:1707260:1708056:-1 gene:ORUFI02G02750 transcript:ORUFI02G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGVVAATAAACILLLILLTSGQLQLASAESEIGRCYDDCLPDCEQGSSRAGCKLFCFTCCVLKPIHNCTRGGESTAAAAAPEFAGDAGCRELCTTGNRC >ORUFI02G02760.1 pep chromosome:OR_W1943:2:1709811:1710086:1 gene:ORUFI02G02760 transcript:ORUFI02G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVVVAVALLVAAVLAAFAALAPACEGARVMREGAAVVGKMSSYQPPVRHKPPVPPSGPSHRHNKRATVPRKRKHFPPSGPSYHDPHS >ORUFI02G02770.1 pep chromosome:OR_W1943:2:1711478:1711789:1 gene:ORUFI02G02770 transcript:ORUFI02G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRRPYAVVVPAVRVAVLVVVVVVVLLVLLCGPCDGGARHMLQEESGGAWARRSPEPGAAGVLHRRTSDFLPPSGPSERHNARLDADVAERGQSSPPASP >ORUFI02G02780.1 pep chromosome:OR_W1943:2:1712954:1720514:-1 gene:ORUFI02G02780 transcript:ORUFI02G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVVDGVRRWLRRRRGRTASAYQPLRSSSLARHPGGDGGLEVVPGPFALPAAIRVPARNSPPPPDAQLRNEVVVVAQQNNSFKFSGSKTSDMEFFTEYGEASQYQIQEVVGKGSYGVVAAAVDTHTGERVAIKKINDVFEHVSDAIRILREIKVLRLLRHPDIVVIKHIMLPPTRREFRDIYVVFELMESDLHQVIEANHDLSPEHHRFFLYQLLCALKYIHSANVFHRDLKPKNILANSDCKLKICDFGLARVAFNDSPSTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEILTGRPLFPGRNVVHQLDLITDLLGTPSSETLSRIRNENARGYLTGMQRKHPIPFSHKGISKLSREPSRLPVSKFEFEFERRKLTKDDVREMIYREILEYHPQMLQEYIRGGEQISFLYPSGVDRFKRQFAHLEENYSRGERSTPLRRQHASLPRERVCSSVDSSNQDSDNEERRAISSIARTMISPPRSQEKGKNRASAYPNGIINLNSNPKIYLKSASISASTCIIRGNKGPKENGISEDMEEVVYELSDNVTRMLS >ORUFI02G02780.2 pep chromosome:OR_W1943:2:1712954:1716225:-1 gene:ORUFI02G02780 transcript:ORUFI02G02780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFTEYGEASQYQIQEVVGKGSYGVVAAAVDTHTGERVAIKKINDVFEHVSDAIRILREIKVLRLLRHPDIVVIKHIMLPPTRREFRDIYVVFELMESDLHQVIEANHDLSPEHHRFFLYQLLCALKYIHSANVFHRDLKPKNILANSDCKLKICDFGLARVAFNDSPSTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEILTGRPLFPGRNVVHQLDLITDLLGTPSSETLSRIRNENARGYLTGMQRKHPIPFSHKGISKLSREPSRLPVSKFEFEFERRKLTKDDVREMIYREILEYHPQMLQEYIRGGEQISFLYPSGVDRFKRQFAHLEENYSRGERSTPLRRQHASLPRERVCSSVDSSNQDSDNEERRAISSIARTMISPPRSQEKGKNRASAYPNGIINLNSNPKIYLKSASISASTCIIRGNKGPKENGISEDMEEVVYELSDNVTRMLS >ORUFI02G02780.3 pep chromosome:OR_W1943:2:1712954:1716225:-1 gene:ORUFI02G02780 transcript:ORUFI02G02780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFTEYGEASQYQIQEVVGKGSYGVVAAAVDTHTGERVAIKKINDVFEHVSDAIRILREIKVLRLLRHPDIVVIKHIMLPPTRREFRDIYVVFELMESDLHQVIEANHDLSPEHHRFFLYQLLCALKYIHSANVFHRDLKPKNILANSDCKLKICDFGLARVAFNDSPSTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEILTGRPLFPGRNVVHQLDLITDLLGTPSSETLSRIRNENARGYLTGMQRKHPIPFSHKGISKLSREPSRLPVSKFEFEFERRKLTKDDVREMIYREILEYHPQMLQEYIRGGEQISFLYPSLLILRRTTAEEKEVPPCGDSMHLCQDSSNQDSDNEERRAISSIARTMISPPRSQEKGKNRASAYPNGIINLNSNPKIYLKSASISASTCIIRGNKGPKENGISEDMEEVVYELSDNVTRMLS >ORUFI02G02790.1 pep chromosome:OR_W1943:2:1722265:1726328:-1 gene:ORUFI02G02790 transcript:ORUFI02G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTKTPRPRGGKSRRATAAAGKEREREREREGRSPSGELSLQLEHVSLFSFLADAPREGAAAARTPFTPFEELLEGSCDPDPTPPPPLPPLQPQATPMDADEVVEEKDSGILSQDFFCTPDYITPDAPQLGSGFDANKENIPCPNSPEKSVCRSKRYKRDCSPKGLGSNDIFDSQWIAPVQFEGLDDSEEEQLKESSSHKRGSYVSQSAVALRCRVMPPPCIRNPYLNTDHQIDDNVFGGRQCKSSGFSPSVDGDGLSRYRTDFREIEQIGRGNFSVVFKVLKRIDGCLYAVKRSIRQLHNDRERRQAVKEVQALAALGCHENIVGYFTSWFENKQLFIQMELCDRCLSMDRNQPLKCGEALELLYQICKGLDFIHERGIAHLDVKPDNIYVRNGVYKLGDFGCATLIDRSLAIEDGDSRYMPPEMLNDKYEHLDKVDIFSLGAAIYELIRGTQLPDSGPQFTSLREGKIALLPGCPMQFQSLIKSMMDPDPVRRPSAKEVLRHPIFDKLHKAPAKK >ORUFI02G02800.1 pep chromosome:OR_W1943:2:1735353:1737776:1 gene:ORUFI02G02800 transcript:ORUFI02G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSMRSRQEPRRVSNGVIIAAMLLSLCVLSIVKARYCSTPFVKPDDQLQEQMNSSIRMETDEPATMAAGEQEEDEEEESSGGGGAEPEVSATPAVVVTAAAGGGGGGGKRKPTCRMTSKRSERCEARGDIRVEGNASTIYIGGIDKEWKTKPYARYHDPVAMAVVREFTLKPVTESSPACTRNHSVPAFVFSNGGFSGNLYHDYTDVLVPLFLSTHQFKGQVQFLLSGLKPWWVNKFNLFFRQLTKYDILDIDNDKDVHCFPRIVVGATFHKDMGVDPKRSPGHVSVVDFKRALRRAFGLERVAASRGGATGNGKPRLLIISRKNSRRFLNEREMAQAAAAVGFEVRIAEPDQHTDMSTFAQLVNSADVMIGVHGAGLTNMVFLPRGAVLIQVVPFGGLEWLTTVTFKNPAKDMEVTYMDYNVQLEESSLIDQYPRNHQVLTDPYAVHKQGWDALKTAYLDKQNIKMDMDRFKKTLQEALDRLPPA >ORUFI02G02810.1 pep chromosome:OR_W1943:2:1742518:1745465:-1 gene:ORUFI02G02810 transcript:ORUFI02G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRGLVLYAAGHAALLPPASGGGGGAGGGGGGSHLDAFASLASCGFLSLRSPPLASGEEKRDSTILELAQLLDVYDDLFPAKTEKTGQETAQVDPLQLAVPKLSERFMGIRAAMVTNCPLVSSFAANLGFHVSGTEDLVAQSGSSAASKEAGIISQALSLLGFSEGNVQETSEFDLVFLHVAMENTNSKLGKLGMKTDLNRLDKLVGAIMEAAPISSAIASRILVSVMLSYGSAAENKDEFSISKSSTEIDSDLNLLRPRQSYTMKAGNTLDDVRNHHPILLAQWQEGVTRSDLTEGFSFEEFIKRGGNLAMLAERFLHEVAFKLWKAPKYGA >ORUFI02G02820.1 pep chromosome:OR_W1943:2:1745713:1752114:1 gene:ORUFI02G02820 transcript:ORUFI02G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRPPTALSEPEAAAAAEEEEAAAKTAPAAEAPAKKKKLAMERKKQRKEIDKERHRQSAESDAAAAKPKQPAEVVPEAAAAAAAAPVAPPPVIPVVSGPGLHMNVFRDLASPEASQREAAAEALVAELMQVQVAHEKGGRKEGESEAEGGDGSSRMEAEKDDGLENCAPSVRYAIRRLIRGISSSREFARQGFALGLAVVLESIHAISVEAIMKLIPNLLEYSASMRGPEAKDNLLGRLFGYGSIVRSGRVSGQWAHEEGSPIVKDFVDEVIKLGSKKRYLTEPAVAVILDLAGKLPEEAIISEILEAPSVQDWFNRAADAGDPDALYLALKLQEKTNAQKEIFGKLLPYPFSPEGFFAEEHLLSIAACFKESTFCLPRIHSLWLVITDMLVREAASQSDIATSSSKKHKKNKKGSSSEDTKKNLHTFCEVIIEGTLLLSSHDRKHLAFNILLNLLPRLSPSSIQVILSSKVVHGLMDVLSNESSWLHNAGQHFLKELVSLVSDDNDRRVAVITNLQKYTGGRFDCMTKTRTVKDLVAKFRSGQDCLCLVQSLMSLFVDESSVTDEPSDQSQTTDENSEVGSMEDKDLFVQGSADLLKSWIVTTIPCVLKNLKLTSKGNSLTDSEMVKCIEEKFLVQTEVLKFLAVQGLFSASLGYEVTSFELHEKFKWPKPAISTSIRNECIEQLQFLIEDAQKDEALHVSEVKSNDLGFYFMRFINTLCNIPSVSLFRTLSSNDDNAFKKLLDVESLLFYELLLQVLLHPDEYWEAAIDVTICCKKSFPSIVQNDKSSGQTSNEGAEVFNEDGPGKSNKDGPEEHNDDASEDSNEDGPLEFMDVLVQTFLSVLPHVSGPVFRVVCDEITETGLLDMLRVVKIDLKGSRRQSGSDDDEDDACVDIEDDDETIVEDAEVGDTDSAADGLDEEMDDDSADEVDEGQDDLKESVAHESKDGDGAEVTKDGDDSDDSDGMDDDAMFRIDPYIARIFKERNLPGSETKQSQLMRFKLRVLTLLEIYLQRNTGNNLVLEVYSFLMQAFVKSHSADGSEQFKQRIGGILQKRIFKAKDYPKGDGVELSTLESLLEKALKLASRSRYTTVASVAQNAAFWLLKIINSKSHSKQELASVVEKFQYILTDYFNNKKSRLKLGFVKEAVRRNPWVGEELFGFVLQKIGCTKAEYRRVQTLELVDCILKSWAGDDSSASKVLKKHLSQLCELIQEVLTKIPENKSRRQEVRRFCTRVLQTVTKLNLKDRFQKKLNPETLSLCEAQLGAAFVRFQK >ORUFI02G02830.1 pep chromosome:OR_W1943:2:1753303:1755668:1 gene:ORUFI02G02830 transcript:ORUFI02G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHKIETGHQDVVHDIAMDYYGKRIATASSDNTIKIIGVSGNSHQQLATLSGHQGPVWQVAWAHPKYGSLLASCSYDGRVIIWKEGSKPDEWAQAHTFIEHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARSDGGWDTTRIDQAHPVGVTSVSWAPAMAPGALINTGPSGQFEYVQKLASGGCDNTVKVWKLYNGSWRMDCFPALQMHRDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTAPKEGEQWEGRVLYDFQTPVWRLSWSLTGNILAVSDGNDNVTLWKEAVDGEWQQVTTVEA >ORUFI02G02840.1 pep chromosome:OR_W1943:2:1756227:1758788:1 gene:ORUFI02G02840 transcript:ORUFI02G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSRLNPNATPFVPPPRPSFEESLSKRKASEKQVGDTEKDENADKSAEYELPDSLSLDDYAESLGKLNISAESSSKGEATNSAFDPSQYEQNGVDNHLAVVESLSKMFPDVSADFIVEALKAHEFDTELTIDMLADLCEGDDYGHPAEVSAK >ORUFI02G02850.1 pep chromosome:OR_W1943:2:1759441:1764886:1 gene:ORUFI02G02850 transcript:ORUFI02G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLTTSFTRNSGREYNLICPSEALSEKQRIQRRVLCYFPASTNSRRCRKFTTMAYPVSPIAGRRSNWRSFAASLNLEDGPASSDSTSSPSEQTSDGGEVYGDPSENLNSRKLKSDELKSLLADSERSKLLKKLSEANQYNRFLKRQLQMKDNDVVKFKSELAVMELELQALVALAEEIANFDVPSGSRKINGKYIQSHLLTRLEAVHDKVMEQIKDVDSLKHQEISVFWVGIAENVQIMGSFDGWSQGEAMSMEYSGYQARFSATLNLRPGRYEIKFLVDGEWRLSLEYPIDGEGSMQNNILVVN >ORUFI02G02860.1 pep chromosome:OR_W1943:2:1763641:1766263:-1 gene:ORUFI02G02860 transcript:ORUFI02G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVVGDFNLLLQRGGAAGGSEGGGGGSPGTEEAVAVAVKQRIARALRLYKEAAGGGGSGGGWMVQVWAPARDGARRVLATRGQPFVLASQCHRLFQYRTVSLTRVFPVGGAAAADEQGLPARAFDATAPEWTPNVQCYGSGEYARISYALIYDIQGSLALPILDPDDASSPLAVFELVTTAPLLRVSGEVANLCNALRAVSLRGAGICNRAAECYSLAVNQIVHRDATRAAMAEVSELLITVCEAHKLPLAQTWVRCRSCGGGGEDTEKAALTTAGAPFHLAAGADAWGFRDACVEHHLQRGQGLVGTAARAPGGGRLCADVARCSKDDYPLAHYAGMYGLAGCLVLRAELSAAAMADAAAATAGDEEDCVVLELFLPPDCTGVAEQKAAVDAVSATIKQCSGNLKAIVISNLDDLFLDTMADGDHQLRHEMDDLGDDQRCSDEEDLQLLENTNIGELNIHNADQIRNEDPTSQVGKNKTKRGKAEKSVTLEELQKHFSGSLKDAARSLGVCPTTMKRICRQHGIPRWPFRKISKVNRSLDKMKRVMESVNCSPSPPVAMPAHPALLLPPPPPPPRPCLSSTVGETSSHGSCQAPPSHAKTALRKPPRCGNGDGVVTIKASHRGDIIRFRVPCSAGVAAVKAEVAKRLSLDAGAFDVKYLDDDHEWVLLSCDADFQECLDVVPALPSMSVTARSGSGAAAPVVVRLMVQEVADNIGSSCASSD >ORUFI02G02870.1 pep chromosome:OR_W1943:2:1778029:1779213:-1 gene:ORUFI02G02870 transcript:ORUFI02G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLDKNPGRQVGYESRPKGQQLMKPRLVSSESYRVCFSMRKQSTNDVGSKHMLEMRLR >ORUFI02G02880.1 pep chromosome:OR_W1943:2:1783776:1792334:-1 gene:ORUFI02G02880 transcript:ORUFI02G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSSSPLRHPLPGLRAAAEFRASAKSHRVVEIHGEPPEHERIGLRVLLRRQSSSPPRRHQPLRASASHLQSTIDETLLQLKFDPKEFARCNKLMETYNVLGQEWTFTKLKEQTKYLFDSLIVLVESFHEAGYCFSQISTSTVLVTSSWKFVLLEGCFALNNWSVEGGCFALNNWSVEGVKKYYRYIAVLFRKLLSESMGEETIHEFIRKILLSEEKDKARQLKLEGCFALNNWSVEGVRKDYRDIAVLFRKLLSESMGEETVHPPDFGMLLSLMEKDGFRNKYLIGTHVSLLPDDNINIAYMKIHEFIRKILLAEEKDKARQLKLGITRYSTISTRIRRRIPYKNIWLTKARSNSFMTAFLEQNERNMELGGTDFELLDTIRHFVCHRLDLLKLGFRYKANEVDRMWYAEFPTLLAELQLALFLVGRLEELELEKNFMNRKPMRPKVSSHDFELLDTIRHFVCHRLDLPKLGFRYKANEVDRMWYAEFPTLLAELQLALFLVDRLEELELEKNFMNRKPMRPKVSSHENQCDPKCQAMNLTRSSTDKDEVTKACLWKDDS >ORUFI02G02880.2 pep chromosome:OR_W1943:2:1783776:1792334:-1 gene:ORUFI02G02880 transcript:ORUFI02G02880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSSSPLRHPLPGLRAAAEFRASAKSHRVVEIHGEPPEHERIGLRVLLRRQSSSPPRRHQPLRASASHLQSTIDETLLQLKFDPKEFARCNKLMETYNVLGQEWTFTKLKEQTKYLFDSLIVLVESFHEAGYCFSQISTSTVLVTSSWKFVLLEGCFALNNWSVEGGCFALNNWSVEGVKKYYRYIAVLFRKLLSESMGEETIHEFIRKILLSEEKDKARQLKLEGCFALNNWSVEGVRKDYRDIAVLFRKLLSESMGEETVHPPDFGMLLSLMEKDGFRNKYLIGTHVSLLPDDNINIAYMKIHEFIRKILLAEEKDKARQLKLGITRYSTISTRIRRRIPYKNIWLTKARSNSFMTAFLEQNERNMELGGTDFELLDTIRHFVCHRLDLLKLGFRYKANEVDRMWYAEFPTLLAELQLALFLVGRLEELELEKNFMNRKPMRPKVSSHDFELLDTIRHFVCHRLDLPKLGFRYKANEVDRMWYAEFPTLLAELQLALFLVDRLEELELEKNFMNRKPMRPKNLTRSSTDKDEVTKACLWKDDS >ORUFI02G02880.3 pep chromosome:OR_W1943:2:1783776:1792334:-1 gene:ORUFI02G02880 transcript:ORUFI02G02880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSSSPLRHPLPGLRAAAEFRASAKSHRVVEIHGEPPEHERIGLRVLLRRQSSSPPRRHQPLRASASHLQSTIDETLLQLKFDPKEFARCNKLMETYNVLGQEWTFTKLKEQTKYLFDSLIVLVESFHEAGYCFSQISTSTVLVTSSWKFVLLEGCFALNNWSVEGGCFALNNWSVEGVKKYYRYIAVLFRKLLSESMGEETIHEFIRKILLSEEKDKARQLKLEGCFALNNWSVEGVRKDYRDIAVLFRKLLSESMGEETVHPPDFGMLLSLMEKDGFRNKYLIGTHVSLLPDDNINIAYMKIHEFIRKILLAEEKDKARQLKLGITRYSTISTRIRRRIPYKNIWLTKARSNSFMTAFLEQNERNMELGGTDFELLDTIRHFVCHRLDLLKLGFRYKANEVDRMWYAEFPTLLAELQLALFLVGRLEELELEKNFMNRKPMRPKNLTRSSTDKDEVTKACLWKDDS >ORUFI02G02880.4 pep chromosome:OR_W1943:2:1781842:1783769:-1 gene:ORUFI02G02880 transcript:ORUFI02G02880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKTKTNLRWLGTVARQREEWPGGGGNRQGDDDDHYPDSGLPREGLTRDQGIDDHGQRPELKKKGSISSMATPQLDSFDGLATGILAILVARSLGLRSTGLTGTATLSTAALQACSRTAIARSVFRNIDCMKSTELKGNGTSTERRQGNEQEIETTAAQSAMATVVILAAGGKNLSGGEAEALPLELLGFGRE >ORUFI02G02890.1 pep chromosome:OR_W1943:2:1782032:1783275:1 gene:ORUFI02G02890 transcript:ORUFI02G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMFRNTDLAIAVLEQAWSAAVDSVAVPVNPVDLRPRLRATRIAKIPPGVRIVVVIVALSISAAAGPFLALPGHRAEPSLSQSPKGISTRLVHRKRGQKHITY >ORUFI02G02900.1 pep chromosome:OR_W1943:2:1792359:1793204:-1 gene:ORUFI02G02900 transcript:ORUFI02G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRFVTSGMEVFSKLVMMPIARHSQRRRRVMSAASEILTENCRLLRRRISGRSELGCGLPPCRHRGNTPSAAGLSPPRCCCDSTEEFAAGRGFIRPRLGLGNLLVDIEREGSYRHADEGPSPPRRCDFTEFVAGSCFIRRRIGFGDRHVDAGTPPPRRCDSTEFSVASGSATSSSRAPPWGRVHLSGSLPQFHGIGGGADTLHSALPGQPARRERRHAGIHDAHKLGVFLEGFSGKLDELTMEVAAGSMKPPDEIISCVRLPGSSPPLRDRENLKACIL >ORUFI02G02910.1 pep chromosome:OR_W1943:2:1797240:1797643:-1 gene:ORUFI02G02910 transcript:ORUFI02G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDLGSSLVTLSPNGKNDDVICRCSTFCLVSAERQEQQWRQQQARRPAPCAPCCLTLARPTGHSSGWVAAKLGCGGGAREA >ORUFI02G02920.1 pep chromosome:OR_W1943:2:1805343:1807088:-1 gene:ORUFI02G02920 transcript:ORUFI02G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWMDEWGRRLSARRRSGDGEESAEEARWMPGAVEGAAERGIEPSRARDRGGCEERGEVGDSGGGEGMCVSANSTHSEALDIWIQWVPLRREGVGIAKKAELHQLVYHSFGKISLNLGLWRVRRGLATSACYPFCPIDEDVEHLFLSCSGVAAIWHSYGLDEQQVASLAHLEDLWGLPPPDNALTPRIWRTILLAAVWNIWKRHNNKIFNSIDEAHSV >ORUFI02G02930.1 pep chromosome:OR_W1943:2:1809931:1810986:-1 gene:ORUFI02G02930 transcript:ORUFI02G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRFVNLVLDKVQGNYTVRRMDMSRFFLPRKLATPLDAGAHDGAAAVEYGNLPCPVMSFRASVCPMETMEFMLLGGRHNKIVGTDLTGRTLLYDPDEHVVRSLPTLPMPKFSPVSLTIGDDDLYILDDIQGPFTGGHDHCFHALTYSRESFTDADGDWCCHTLPPPPYMVKQRGDFQFDSYAVVDGVDIWISKQGVGTYRFHTERGEWSAVASGGEWCAMPFTGLAVYVPEHGLFYGLASGTDNVLSASDLISGGRKPEQHSLLPLEYTPPKALTQVSSHLVHLGSANFCIARFFETGFFDADEQHNPELFAVFTAVEVERCDDTGVLRLVKHKSEMYKLISGIYYWVL >ORUFI02G02940.1 pep chromosome:OR_W1943:2:1811933:1815569:1 gene:ORUFI02G02940 transcript:ORUFI02G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRLVILVLLLSCSLQLLRAFPFPIPFFGPFTSPQDVDAINELYASLGSPDLHGWASSGGDPCMEAWQGVQCLGPNITAIELRGAGLGGKLSETLGKFTAMTALDLSSNRIGGVIPESLPPAVKQLNLSSNSLSGKLPDSMAKLNSLSTLHVQNNQLIGTLDVLGDLPLKDLDIENNLFSGPIPEKLINIPKFLRNGNHLTIPTMPGSSPTPDTIPGSPPTPAAAVAAPRSGASHPPIYVIPATPHGAAQGDPPRHGKKVSPAKAAGFSILAAGSLTIAVVLIVFAVSKRRRETSLHGGFLRGVEMSTPDWSGKPSGQSAVVKVDKEQSTVAEEKDTKGSISSYQKNVQESLQNHPLQFKFTIFTVASLQQYTNSFSEQNLMRQTLFGKIYLAEQQDIKFAVLKLDEAMARMPVDEFLRMVQRISELQHPNIEELAGCCVEHGQRLLVYKHFSDETLDDMIHLKKLASSDDPAAKITLPWDARVAVALEAAKALEYLHEGGQRQVVHQHFRPEHVLVDGEMRVRVSGCGLAAAVKSGLDLQSECWLDALSYEPPEAAAAPWTDKGDVYSFGVVMLQLLTGRRPYDGARPRGERRLVAWASSRLHDLTALEKMADPRLGTPATVRSMSRFADVISRCTQQEAEFRPAMSQVVQDLRRALQPARDACGQQSCSN >ORUFI02G02950.1 pep chromosome:OR_W1943:2:1817363:1827742:1 gene:ORUFI02G02950 transcript:ORUFI02G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCASPSSRLHGNAAGGGAGGSATAMMLPGPPGRGNGGCIDLSPAGLLAHGAGSSVVISDPRTMQLLCVLPMPSSSLASFVTAVRWVPPSSRFHAAGIDDDDDERRPLRLAAGDRHGRIAVWDARARLVLHWLNLDETRGVAPGSGGGVQDLCWIHHASGWLLASIHGPSLLCIWETSNNPSVLWMFDASPEYLSCLRRDPFDARHLCAIGLRGFLLSAIPRPDSDISLQEHRVTCGASDITELQRLEKEIAAPPPSPALAAFPAFTPKLCFSPLWRNILYVTFPRELIVFDLNYSTALSVTPLPRGFGKFSDVMSDPDFDLLYCTHIDGKLSIWKRKEGEHVHLLCAVEDLMPSIGTIVPPPAVLATTIWQSEAVFRNIEKQSRDLGQIQSTHSVISDTNTNQNMYQGTMTYLTSISEDGKIWSWHLSFDKSACARKDKLGTSNHSDAGISNSRSNGLDFTINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVAVGTQNGTIEIVDVLANAISVSFAVHSSTVRGLRWLGNSRLVSFSYSQANDKTGGYNNKLIITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPSAPRPGQNAASKQSSTSKERSAEATGAESTDETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASADGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSADPLANALLQPQSPGTLVLELDWLSTRTKKDEPLVLCIAGADSSFRLIEVNIDPRASSTLRPVTTRERFRPMPLCLPILFPTAHALALRMILQLGVKPSWFECNSGDKLASSSFKEAPATFGDLRSYMIETTLPPIGDSVVAELLLKVLEPYRKDGFILDDGRAKLYSAIVNKGTCARFAFAAAIFGDIQEALFWLQLPQALHHYLDKSTSRSSEKGSESSVHYHSEQASTLNRASSSTERSAPENMAKVAENCGQLSSMAFRQEQLWFNANERIPWHEKLDGEEALQKRVHELVSLGNLETAVSLLLSTPPEGSNFYPNALRAVVLSSAVSRSLHELAVKVVAANMVRTDKSLSGTHLLCAVGRYQEACSQLQDAGCWVDAATLAASHLHGSDYARVLQRWGDYILRSEHNMWRALILYVAAGALPEALETLRKNQRPDIAALFLLACHEIYSQITTESESSDDTPGSGSPTSEQSPKLRFPSKNVDDEDLIAVSEVFGHYQQKLIHVCMDAEPNVD >ORUFI02G02950.2 pep chromosome:OR_W1943:2:1817363:1827595:1 gene:ORUFI02G02950 transcript:ORUFI02G02950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCASPSSRLHGNAAGGGAGGSATAMMLPGPPGRGNGGCIDLSPAGLLAHGAGSSVVISDPRTMQLLCVLPMPSSSLASFVTAVRWVPPSSRFHAAGIDDDDDERRPLRLAAGDRHGRIAVWDARARLVLHWLNLDETRGVAPGSGGGVQDLCWIHHASGWLLASIHGPSLLCIWETSNNPSVLWMFDASPEYLSCLRRDPFDARHLCAIGLRGFLLSAIPRPDSDISLQEHRVTCGASDITELQRLEKEIAAPPPSPALAAFPAFTPKLCFSPLWRNILYVTFPRELIVFDLNYSTALSVTPLPRGFGKFSDVMSDPDFDLLYCTHIDGKLSIWKRKEGEHVHLLCAVEDLMPSIGTIVPPPAVLATTIWQSEAVFRNIEKQSRDLGQIQSTHSVISDTNTNQNMYQGTMTYLTSISEDGKIWSWHLSFDKSACARKDKLGTSNHSDAGISNSRSNGLDFTINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVAVGTQNGTIEIVDVLANAISVSFAVHSSTVRGLRWLGNSRLVSFSYSQANDKTGGYNNKLIITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPSAPRPGQNAASKQSSTSKERSAEATGAESTDETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASADGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSADPLANALLQPQSPGTLVLELDWLSTRTKKDEPLVLCIAGADSSFRLIEVNIDPRASSTLRPVTTRERFRPMPLCLPILFPTAHALALRMILQLGVKPSWFECNSGDKLASSSFKEAPATFGDLRSYMIETTLPPIGDSVVAELLLKVLEPYRKDGFILDDGRAKLYSAIVNKGTCARFAFAAAIFGDIQEALFWLQLPQALHHYLDKSTSRSSEKGSESSVHYHSEQASTLNRASSSTERSAPENMAKVAENCGQLSSMAFRQEQLWFNANERIPWHEKLDGEEALQKRVHELVSLGNLETAVSLLLSTPPEGSNFYPNALRAVVLSSAVSRSLHELAVKVVAANMVRTDKSLSGTHLLCAVGRYQEACSQLQDAGCWVDAATLAASHLHGSDYARVLQRWGDYILRSEHNMWRALILYVAAGALPEALETLRKNQRPDIAALFLLACHEIYSQITTESESSDDTPGSGSPTSEQSPKLRFPSKNVDDEDLIAVSEVFGHYQQKLIHAAKTEIWKL >ORUFI02G02950.3 pep chromosome:OR_W1943:2:1817363:1827742:1 gene:ORUFI02G02950 transcript:ORUFI02G02950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASCASPSSRLHGNAAGGGAGGSATAMMLPGPPGRGNGGCIDLSPAGLLAHGAGSSVVISDPRTMQLLCVLPMPSSSLASFVTAVRWVPPSSRFHAAGIDDDDDERRPLRLAAGDRHGRIAVWDARARLVLHWLNLDETRGVAPGSGGGVQDLCWIHHASGWLLASIHGPSLLCIWETSNNPSVLWMFDASPEYLSCLRRDPFDARHLCAIGLRGFLLSAIPRPDSDISLQEHRVTCGASDITELQRLEKEIAAPPPSPALAAFPAFTPKLCFSPLWRNILYVTFPRELIVFDLNYSTALSVTPLPRGFGKFSDVMSDPDFDLLYCTHIDGKLSIWKRKEGEHVHLLCAVEDLMPSIGTIVPPPAVLATTIWQSEAVFRNIEKQSRDLGQIQSTHSVISDTNTNQNMYQGTMTYLTSISEDGKIWSWHLSFDKSACARKDKLGTSNHSDAGISNSRSNGLDFTINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVAVGTQNGTIEIVDVLANAISVSFAVHSSTVRGLRWLGNSRLVSFSYSQANDKTGGYNNKLIITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPSAPRPGQNAASKQSSTSKERSAEATGAESTDETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASADGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSADPLANALLQPQSPGTLVLELDWLSTRTKKDEPLVLCIAGADSSFRLIEVNIDPRASSTLRPVTTRERFRPMPLCLPILFPTAHALALRMILQLGVKPSWFECNSGDKLASSSFKEAPATFGDLRSYMIETTLPPIGDSVVAELLLKVLEPYRKDGFILDDGRAKLYSAIVNKGTCARFAFAAAIFGDIQEALFWLQLPQALHHYLDKSTSRSSEKGSESSVHYHSEQASTLNRASSSTERSAPENMAKVAENCGQLSSMAFRQEQLWFNANERIPWHEKLDGEEALQKRVHELVSLGNLETAVSLLLSTPPEGSNFYPNALRAVVLSSAVSRSLHELAVKVVAANMVRTDKSLSGTHLLCAVGRYQEACSQLQDAGCWVDAATLAASHLHGSDYARVLQRWGDYILRSEHNMWRALILYVAAGALPEALETLRKNQRPDIAALFLLACHEIYSQITTESESSDDTPGSGSPTSEQSPKLRFPSKNVDDEDLIAVSEVFGHYQQKLIHVCMDAEPNVD >ORUFI02G02950.4 pep chromosome:OR_W1943:2:1817363:1827742:1 gene:ORUFI02G02950 transcript:ORUFI02G02950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASCASPSSRLHGNAAGGGAGGSATAMMLPGPPGRGNGGCIDLSPAGLLAHGAGSSVVISDPRTMQLLCVLPMPSSSLASFVTAVRWVPPSSRFHAAGIDDDDDERRPLRLAAGDRHGRIAVWDARARLVLHWLNLDETRGVAPGSGGGVQDLCWIHHASGWLLASIHGPSLLCIWETSNNPSVLWMFDASPEYLSCLRRDPFDARHLCAIGLRGFLLSAIPRPDSDISLQEHRVTCGASDITELQRLEKEIAAPPPSPALAAFPAFTPKLCFSPLWRNILYVTFPRELIVFDLNYSTALSVTPLPRGFGKFSDVMSDPDFDLLYCTHIDGKLSIWKRKEGEHVHLLCAVEDLMPSIGTIVPPPAVLATTIWQSEAVFRNIEKQSRDLGQIQSTHSVISDTNTNQNMYQGTMTYLTSISEDGKIWSWHLSFDKSACARKDKLGANQWSINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVAVGTQNGTIEIVDVLANAISVSFAVHSSTVRGLRWLGNSRLVSFSYSQANDKTGGYNNKLIITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPSAPRPGQNAASKQSSTSKERSAEATGAESTDETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASADGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSADPLANALLQPQSPGTLVLELDWLSTRTKKDEPLVLCIAGADSSFRLIEVNIDPRASSTLRPVTTRERFRPMPLCLPILFPTAHALALRMILQLGVKPSWFECNSGDKLASSSFKEAPATFGDLRSYMIETTLPPIGDSVVAELLLKVLEPYRKDGFILDDGRAKLYSAIVNKGTCARFAFAAAIFGDIQEALFWLQLPQALHHYLDKSTSRSSEKGSESSVHYHSEQASTLNRASSSTERSAPENMAKVAENCGQLSSMAFRQEQLWFNANERIPWHEKLDGEEALQKRVHELVSLGNLETAVSLLLSTPPEGSNFYPNALRAVVLSSAVSRSLHELAVKVVAANMVRTDKSLSGTHLLCAVGRYQEACSQLQDAGCWVDAATLAASHLHGSDYARVLQRWGDYILRSEHNMWRALILYVAAGALPEALETLRKNQRPDIAALFLLACHEIYSQITTESESSDDTPGSGSPTSEQSPKLRFPSKNVDDEDLIAVSEVFGHYQQKLIHVCMDAEPNVD >ORUFI02G02950.5 pep chromosome:OR_W1943:2:1817363:1827742:1 gene:ORUFI02G02950 transcript:ORUFI02G02950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASCASPSSRLHGNAAGGGAGGSATAMMLPGPPGRGNGGCIDLSPAGLLAHGAGSSVVISDPRTMQLLCVLPMPSSSLASFVTAVRWVPPSSRFHAAGIDDDDDERRPLRLAAGDRHGRIAVWDARARLVLHWLNLDETRGVAPGSGGGVQDLCWIHHASGWLLASIHGPSLLCIWETSNNPSVLWMFDASPEYLSCLRRDPFDARHLCAIGLRGFLLSAIPRPDSDISLQEHRVTCGASDITELQRLEKEIAAPPPSPALAAFPAFTPKLCFSPLWRNILYVTFPRELIVFDLNYSTALSVTPLPRGFGKFSDVMSDPDFDLLYCTHIDGKLSIWKRKEGEHVHLLCAVEDLMPSIGTIVPPPAVLATTIWQSEAVFRNIEKQSRDLGQIQSTHSVISDTNTNQNMYQGTMTYLTSISEDGKIWSWHLSFDKSACARKDKLGANQWSINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVAVGTQNGTIEIVDVLANAISVSFAVHSSTVRGLRWLGNSRLVSFSYSQANDKTGGYNNKLIITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPSAPRPGQNAASKQSSTSKERSAEATGAESTDETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASADGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSADPLANALLQPQSPGTLVLELDWLSTRTKKDEPLVLCIAGADSSFRLIEVNIDPRASSTLRPVTTRERFRPMPLCLPILFPTAHALALRMILQLGVKPSWFECNSGDKLASSSFKEAPATFGDLRSYMIETTLPPIGDSVVAELLLKVLEPYRKDGFILDDGRAKLYSAIVNKGTCARFAFAAAIFGDIQEALFWLQLPQALHHYLDKSTSRSSEKGSESSVHYHSEQASTLNRASSSTERSAPENMAKVAENCGQLSSMAFRQEQLWFNANERIPWHEKLDGEEALQKRVHELVSLGNLETAVSLLLSTPPEGSNFYPNALRAVVLSSAVSRSLHELAVKVVAANMVRTDKSLSGTHLLCAVGRYQEACSQLQDAGCWVDAATLAASHLHGSDYARVLQRWGDYILRSEHNMWRALILYVAAGALPEALETLRKNQRPDIAALFLLACHEIYSQITTESESSDDTPGSGSPTSEQSPKLRFPSKNVDDEDLIAVSEVFGHYQQKLIHVCMDAEPNVD >ORUFI02G02960.1 pep chromosome:OR_W1943:2:1829793:1835060:1 gene:ORUFI02G02960 transcript:ORUFI02G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREASGMSGGEDVRKVSRQDIQLVQNLIERCLQLYMNQKEVVETLSFQAKIEPSFTELVWQKLEEENREFFKAYYVRLMLKNQIMVFNKLLEDQYRLMCKEQPSGVPSMPPTTNGSNMGTYTTPSTAMPDSLLPNGSSSGIVNGTPSSDQFIYAGKVIHGLPSSMDASSSLLAAHNSTAGRFNGDNGTTIKTEASYSGNSDFGFCNESAFLEPCQSIGDASGGSFSSSELNGQPLGDPIMDMDSSSFGFLSQIPRNFSFSDLTEDFSQSAEILENYGRSPFIPSEPNNFSESTPGDHAEIGNRRLDTISEGVSYEDFGSD >ORUFI02G02970.1 pep chromosome:OR_W1943:2:1835256:1836810:-1 gene:ORUFI02G02970 transcript:ORUFI02G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAVGIGGGGGGALGLLAPRRRAASWSGAGGAAAAAARRRPLVAVVRASYEAGVGVMATKVGMMTYFDPATGKPVPVTVVGFREGGNVVTQVKTAATDGYDAVQVGYHGVREEKLTRPELGHLGKAGAPPLRHLQEFRLQSVDGYDPGQPLDFADMFKEGDLVDISGKSIGKGFQGGIKRHNFKRGLMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKIRKLKIVKIDNDLKVVMIKGAVPGKPGNLLRIAPAKIVGKNIPKN >ORUFI02G02980.1 pep chromosome:OR_W1943:2:1839389:1844537:1 gene:ORUFI02G02980 transcript:ORUFI02G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQRATGAVCAVIGSFSGRDSKKSAASGSSSSSSSTQEIAVARGTTLELLRPDPETGRLRTLLSVDVFGAIRSLAQFRLTGATKDYLVVGSDSGRLVILEYSPDRNRLDKVHQETFGKSGCRRIVPGQLLAVDPKGRALCIAALEKQKLVYVLNRDAAARLTISSPLEAHKSNTLTFSLTALDCGFDNPVFAAIELEYAESDRDPTGQAAEQAQKHLTFYELDLGLNHVSRKASEPIDNGANLLVTVPGGGDGPSGLLVCCDNFVLYRNQGHPEVRAVIPRRADLPAERGVLIVAAATHRQKSLFFFLLQTEYGDIFKVDLEHSNDTVTELRIKYFDTIPVTSAICVLRSGFLFAASEFGNHALYQFRDIGRDVDVESSSATLMETDEGFQPVFFQPRALKNLYRIDEIESLMPIMDMRVANLFDEETPQVFTACGRGPRSTLRILRPGLAISEMARSMLPAEPIAVWTVKKNINDMFDAYIVVSFANVTLVLSIGETIEEVSDSQFLDTTHSLAVSLLGEDSLMQVHPNGIRHIREDGRVNEWRTPGKKTITKVGSNRLQVVIALSGGELIYFEMDMTGQLMEVEKQDMSGDVACLAIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCLQPLSVQSVSSAPESLMFLEVQASVGGEDGADHPANLFLNAGLQNGVLFRTNVDMVTGQLSDTRSRFLGLRPPKLFPCIVSHRQAMLCLSSRPWLGYIHQGHFLLTPLSCDTLESAASFSSDQCSEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVILPKKKYLAVIESDKGALSAEEREAAKKECLEAAGVTENGNANNGDQMENGDGQEDGAEDRNTLPDEQYGYPKAESERWVSCIRILDPKSRDTTCLLELQDNEAAVSICTVNFHDKEHGTLLAVGTAKGLQFWPKRNLSAGFIHIYKFVDEGRSLELLHKTQVEEVPLALCQFQGRLLAGVGSVLRLYDLGKRKLLRKCENKLFPRTIVSIHTYRDRIYVGDMQESFHYCKYRRDENQLYIFADDSVPRWLTAANHIDFDTMAGADKFGNIYFARLPQDLSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTCLQKASLIPGGGECLIYGTVMGSVGALLAFTSREDVDFFSHLEMHLRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPSLPADMQRKIADELDRTPGEILKKLEDIRNKII >ORUFI02G02990.1 pep chromosome:OR_W1943:2:1846791:1858241:1 gene:ORUFI02G02990 transcript:ORUFI02G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGQAAHLSGQMSGQAPQTNQVGGSGVGGTDGLPQQMQDVVGLGGLDTQFLLMRNTMRDRIFEYIGRKQSSTDWRRRLPELAKRLEEILYRKYLNKADYLNMMRGPVEPQLQFAIKTLSAQNQQNQQNQQMPRQMASSSGYGTMIPTPGITQSATGNSRMPYVTDNTGLPSSGATMVPQGANTGTSLPGSMSNGYQHLTTSVPLNSTTSSIPSTMGPVGIQRQVTHMIPTPGFNNQQNVPVNPDFSNGAGYFNGEPTVTSQMQQQKQFPSNQNSHQIQHIGGHSNSGMHSNMLENSSAYGLSDGHVNGGMGVHVSNMQLTNRSAASEAYINISTYGNSPKPVQQQFNQHPPQRIPTPVDISGSGNFYNTGSSALTAANNHSMGATNLPSRSRMNSMLHTNQLNMQSIQPQPQIKTEVLDQPEKMNFQSSQLTHEQLIRQQHSMQQHQMQPSSQFVQNQYHLNQQQPNSQHQQSILRSNSLKQPQLSSSHSMQLSEQGALPHTELISSQATEHADIPIYQGQYQQRSAHDNVKGGQVFGHLSSSQNFHSNASHDSQQLLPTNQQLDDSSNDVSYVLKGSQPEQMHQAQWRPQTMEKAPVTNDSSLEKQIQADLCQRTMSQDGAQQPFSSDWRLPGCTVTPADPALPKLPSGGLEQAAGNIYYFRQMKWLLLLFHAKSCLTPVQELVKHFENCKRKDCSYRDCRRSRMVTEHYKACVDLQCPVCSNAKKLLQRSAELASKQKPPEPRKIAQQNTAQRIMNGVEGDIMDIDLVSDEIFDSQPSVPKRLKMQPVSPSTAEREVSMPSNAGLILQETHSELPDQNNKVGQLKMDVKIDPRPLQKPAKIGYGTDGNVPTARHNVAPGGSNEIKTHVKQEIMPIDKETSETAPEVKNEANDSTDITVSKSGKPKIKGVSMTELFTPEQIQEHINSLRLWVGQSKAKAEKNQLMGHNENENSCQLCKVEKLTFEPPPIYCSPCGARIKRNAPYYTVGTGDTRHFFCIPCYNESRGDTIEVEGQNFLKARFEKKRNDEETEEWWVQCDKCECWQHQICALFNGRRNDGGQAEYTCPNCYVEEVKRGLRMPLPQSAVLGAKDLPRTVLSDHIEDRLFKRLKQERQDRAAQERKSIEEVPGAEGLVVRVVSSVDKKLEVKPRFLEIFQEDNYPTEFPYKSKAVLLFQKIEGVEVCLFGMYVQEFGAECSYPNQRRVYLSYLDSVKYFRPEIRTVSGEALRTFVYHEILIGYLEYCKQRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKATKEEIVVELTNLYDHFFITMGECKAKVTASRLPYFDGDYWPGAAEDMINQLRQEEDDRKQQKKGKTKKIITKRALKAAGHTDLSGNASKDAMLMHKLGETIYPMKEDFIMSLLYPYGVWKTCYDAEQQLEDRERHPSNSRDTHTLHPVDIVGLPKDTKDRDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCCHDIETGQGWRCEVCPDFDVCNSCYQKGAVNHAHKLTNHPSAADRDAQNKEARQMRLRKMLDLLVHASTCRSGSCQYPNCRKVKGLFRHGMQCKTRASGGCVLCKKMWYMLQLHARACRDSGCNVPRCRDLKEHLRRLQQQSDSRRRAAVNEMMRQRAAEVAANE >ORUFI02G03000.1 pep chromosome:OR_W1943:2:1859073:1861775:1 gene:ORUFI02G03000 transcript:ORUFI02G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFARRLLAFHLRPPAHPLAAAIAAPHRRRKHDAVACRAAAGKGTSKARARDKDGSKRQQRRALEEHLKRRTRSGAAFDAGLYRRHSHAEHVPVMLGEVLAAFRRPLPLRSFVDCTLGAAGHSLAMMEAHPEMELYIGMDVDPSALEIGQSRIEAFLANRETNGDDEDASQGTLRAYTHAKNFKYIKHVLGSVDENIAVGTSGVDGILIDLGMSSMQVNRSDRGFSVLQDGPLDMRMDPKATLKAEDILNSWPDLEVGRILRDYGEESNWQSLQKRIVKARAMGGLHSTGELVKLIQRTCTSSGGRQGWIKTATRVFQALRIAVNDELRTLEDALHACFDCLATDGRLAVISFHSLEDRIVKQTFLELIHEDEIDDDEDNLVSADIDSEDEPWFKQRVQGKNGTILTKRPISPSQEEEELNQRCRSAKLRVIQKA >ORUFI02G03010.1 pep chromosome:OR_W1943:2:1862735:1866765:1 gene:ORUFI02G03010 transcript:ORUFI02G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSSRCAASSSSSAFLGAPLPGGRHHQGSCGFVAAGGGAKTTVRVAAALDTEATAKAGKSSSRARRARKDGGEPGGSSSTALLAPTHPDGGGGGGVALDDVIVNPVGLGRRSRQIFDEVWRKFSRLGQMSTVASPEQEEQEGVLFRGGGPMCDFAVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDQEVIDMLPRSVDIVVGDVGDASSVQAAVSGCNKVIYCATARSTITGDLNRVDNQGVRNVTKAFQDYYNQLAQSRAGKSSKSKLSIAKFKSAKSLQGWEVNQGSYFQDIYPSRFDEGTDASFEISENGQAVFSGFVFTRGGYVEVSKRLSLPLGSTLDRYDGLLLSVGGNGRSYVIILETGPLADTSQSKKYFARMNTKVGFCRVRVPFSDFRPVNPQDPPLDPFLVHTLTIRFEPKKQRPGDSSQGASDPRNFQLIMEFIKALPTGQETDIVLVSCTGSGIEPNRREQVLKAKKAGEDALRRSGLGYTIVCPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEHVSKQGDELYELVAHLPDKANNYLAPALSVLEKNT >ORUFI02G03020.1 pep chromosome:OR_W1943:2:1868060:1870873:1 gene:ORUFI02G03020 transcript:ORUFI02G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEANGEEEQQPPRRNHLRDDAEEEEEVERRAARPVSGQQQQQQRRRPTDVGGGAAMRSLGYVGKHRLSAAIARLDQELQSLQDELNELETMEPASAACQGVITSTEGKSDPLLPVTIGPENASWERWFQRVRSSRSNKWWASKGSDFS >ORUFI02G03030.1 pep chromosome:OR_W1943:2:1872546:1878285:1 gene:ORUFI02G03030 transcript:ORUFI02G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSIAVAAASAGLQGLAQPIIGNLSSFASRYVGVDMAREMVDLETIILPQLNLIIASAESQPQHVNNIVQKCLQRLKVELSQAEDLLDEHEYDLLKHRMKQKKLSVFSVHGQCQGPISNPFIWVLSNISSLSPHNTKMLNHLKEVKSILEKAKNFLGVLSVATQVSADTAGSRVIQDTTTFREEKVFGRDKDRDSIINLLFDPAMVGGGNSNIKGYSSIAIVGAGGAGKTTLFQYICSDDRVQNNFHVIWVCMSHKLDIRKHTTEVIESLATEETPKIQNLDTLQRKLKNLLLEKREKELLLVLDDVWFEQRHHSEWEQFLAPLISAEFNKGIRILVSSRSKELPSVLNCRKTVSLEDIEENDFLTFFRYYSLGHVLIGNEELEEELQGIGDDIAKKLRRSPLEAKAVASRLSRMLDVEIWKHARDSKQLDGNIMENLLWSYQRLDPQVQRCFLYCSIFPKGYMFHIDEIILLWEAEGFVSSNGRSERAEGITRQYFYELSLSGFFGKQSHGKDSHVGYRMHDLFHDLAENLSIDDGYRIETEENTEIPQFVRHISISVPSLERHAASICKLEQLHTLIFFNPVADIGKFLKPTLKKLKKLRVLSLCFFSSYTLPKHIGKLKHLRYLNLERTSISKLPKSSCKLYHLLVLKMNKKVPKTLPKKANNLISLRRINGPLKDICNVGMLTSLEDMKEFQVKKEKGYEIGQLGSLTKLRGHLHIMNLENVQNRNAAKDAKLQEKANLDALRLVWNHDTYKNNNVDLEVLEGLEPSSRLNELAIEGYRSTSYPKWLSGCSQSLRSLELLNCTFLENLPSNLQCFARCRSLALLNLTGLKRLSPLPENLTSLKFGGCSSLCFISKEEEEHGVNPAREKALQPLTSSTELETLTEILRLDGSELEQFQACFQELQYPVSTISARRRDVAQLVLPLTLRRLELSSCNITDQALSECLRSLTSLKDLALLHITTLSALPSKQVMENLSMLSSVGITSCRSLCSVAGLGAIASLEKFAISFCPSLELSDRRILPSQLKEVTVRGCTIHDGFLHDDLPFLVNLEISKCRTPSVLQVGAWPSLKCLKLCDCLDVCFLVGLPALESLQEVQLVLPNLGADSFTGCKGNWRSLRVRTSSLLHDLSELEGFAPPMLLTIEGCQEPDFSLEGIQNLSSIIGLSFMNCKVQSISAMKDLASLETLAFFACPLLISLPELPPSVQYLDIIGCQILEKSCRSRRGEDRRKISQIPHVVSGEYSMIKAGGALKMVDDERVMMESLLCLPPASRRRHHPHLLRRPPGRQPPLRHAPRLIQLMPNCTVGPTLLLPPVRGPGFQWPRSTG >ORUFI02G03040.1 pep chromosome:OR_W1943:2:1882105:1884189:-1 gene:ORUFI02G03040 transcript:ORUFI02G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRGHAAAAWALLLLLLGAAVAQHEGNVSEEYAASFASRYDAPPSWAFPNPRLRAAYAALQAWKQTAIFSDPSNFTASWVGANVCAYNGVYCAPSPGYGGGGGGGLVVAGIDLNHADIAGYLPASLPLGLPDLALIHLNSNRFCGVVPDTFRRLRLLHELDLSNNRFVGAFPEVVLALPSLRYLDLRFNDFEGSIPPALFDRPLDAIFLNSNRLRNPIPANLGNSPASVVVLAHNNLGGCIPPSIGKMADTLNEIVLIADELTGCVPTQVGLLRKVTVFDVSDNHLQGPIPASVAGMAAVEQLDVARNLFEGAVPAGVCGLASLKNFTYTDNFITSRPGCGVATADGVWNCIPGAPGQRPPSQCAAAAAHPFDCSKAQCQAASYTPTPGGSPGRGGQGPPAPVSSPPRRRGPYPQPPSSSPTPSYPSPSSSYPAPPGSNTPSYPSPPSSATTPSSHSPPGGSSSTTPSYPSPNGGKPSTPSHPSPPGSTTPSYPSPPSSSTTPSYHSPPQGHTTPSHPSPPSSSTAPPSHSPPQSTPTHPSYPSPPVTYTPPPPTSADRPDVRFAPPPGSYGPIPSTPPSSGSPPSPSSGYQPPSGQPGASPPTQHVPGAGTPTTTPPSHSHPSTPPSPSGPSFHPPPTPHNCSPPSHGSSTGGGHGGGHPPSTSTPPGGKLPFPPVYGVSYASPPPPVKPYN >ORUFI02G03050.1 pep chromosome:OR_W1943:2:1897078:1898313:1 gene:ORUFI02G03050 transcript:ORUFI02G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWLVPPPPAARHGVVIRMLQAPAVVALAAVLAASASPATAAAAAADPAPQEEAAAEGGAASTLCNVPPTLSGEDKQAEKIKHPKSASAMRCTSKCVSTCVLGGAGSPGVDGPFNIRRPLVVFKEDFRSRQYCLLECSDICNLIKDGEDGQ >ORUFI02G03060.1 pep chromosome:OR_W1943:2:1898628:1901353:1 gene:ORUFI02G03060 transcript:ORUFI02G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVEEGGGGGAVARIFVGGISEGVAAADLEAMFASVGRVAGVEFVRTNGRSFAYVDFHCPSDKALAKLFSPPQSSRLSTPVAAINNSISSLCLFVYNGCKWKGGKLRLEKAKEHYLTRLKREWEQEAAAAQEMPASADVESKKEKLELNKAVLDSTKINIYFPKLRKVKALPFKGTGKHKYSFRHIEVPSYPIHFCDCEEHCGPPEAANDEYASVLDAAAYEKERSIMNSVMSKLFEKENDHLDSMEIQNHGVDFDAAEPSNARNELQMDKREETSEEDLDDQMEETEDPSEEELDDLVLNIVTCKPKSSVAQLNSEKQAADKDSRFRKRQQFEESSLQKRHKSSDFSETRNRKQPFPAISGAIQNEQKSSDLSGKGTHEFSSELDGDKSSASVQDVEALADSSTRNGSEQNSLASEPKRVSLWTQKSAWRDLVGGMGSASFSLSQILPNTNPAPPKVSNATEASASHAESRTKVKPSGKSLKPSEAATQLLPEQKMPTSSMAMLSSERKENNKLEKERVVPKITIGEVCPFMRNSESEKQWSKAKKVLTGFIKKGNESTGSNVGKGKPSTRR >ORUFI02G03070.1 pep chromosome:OR_W1943:2:1902265:1904869:1 gene:ORUFI02G03070 transcript:ORUFI02G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRWLTTALPLAAAAARHAAAAPSSAATLAAAAARHAAAAPSSAATLAAAAARHAAAASSSSAARHAAAAPSSAATLATAAAPSSSSAATLAAAAAPSSAAVAVLPEAARRVSAASLLEELNAFPEMSLVVRWLGAARRPGAEVVSKIAGRRHHLTTTAAADSNLPSQAPGRLVNRGGAQVIGAGDFFGWESNDCGCLADLAPFPHRGAPFPRRRCGAASPSLPWWFAAEQVRFGVGDPFESDDGGILPDLAAFLRRRRRCAHSRRIFEFESTHHGFGKSNNGMSGGFRFMLDDTDKRFRHVRARRNRWQQLVIRKMFSSSGKRSSSTSRQQTPSPTSLPAAIPSQPAAAISSSSSETNSSSEQEKGASSSACYIDESFGNQEIIDAETYVKANPMLVKANVLGSAKTFWRMSDIAVRLIRRLARILKILHEQKRYLLAPLSVQNLVIVSGELKLRNVTLADDDFSFDRIKCDYQYMSVVLEELIMLSVGQNGLSNIPPDFGKYLGLLKGLVKPDDEFLITNHASLLPMANRTDAFMMMYNHIMGYLGRKDPKTKIDILSHLKYDTDWLRTASGNSEIGKWLWRRRYGQTVKEFLHLNRNIRSHPYEHSEEKIEEALYGEWPELLVEMQEKLHEEGELQNTEMESKFGQQ >ORUFI02G03080.1 pep chromosome:OR_W1943:2:1911351:1915238:-1 gene:ORUFI02G03080 transcript:ORUFI02G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAMVLKALDIDRSYQIYIADGEIYGGQRRMAALTSAYPNVVRKETLLPSDISGFFQNHSSQMALGYQAQREEVAPVPMWHSPEGGRAREQQQQKQQQQQQQQQQQQQLQQQQQQQ >ORUFI02G03090.1 pep chromosome:OR_W1943:2:1921672:1922431:-1 gene:ORUFI02G03090 transcript:ORUFI02G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTVMLKAERWTWRNLVVGGRRCRLGNQRWSRGGINGPRRAWWQHGQQSCYFYASRDDGREARVVPAPPSLASAGRWELVVHYQYKC >ORUFI02G03100.1 pep chromosome:OR_W1943:2:1922967:1925624:1 gene:ORUFI02G03100 transcript:ORUFI02G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFVYLVVKGCYDRRLRCCRDSYMADTFHMRRINVSRFFFYPEPPPPPPAMVVDARLPRPCITFCAPWVMHFMLLGRNSDKVLAVDHKGRTTMYDPAANTIRAAPTLAHPKRLPAISLPIGDDLYILDPTSSDHRCFEALVYKPGGPAYDWATRGPIDRYNDWHCQSLPPPPYHPYSCSFVGANRAIGGYAVVGGGGDSAEIWVSTNDGSGSGTFSFDTARRAWTKHGDWTLPFRGLAEYVPEYNLWFALSSGSNNNHLCAFDLAGAAEPPATRDFCQELKPPKDWKLVSSHLVHLGSGRFCIARFFEKPVKIPVCCVCDMDTQTYGVFTGVEVKKPGRGLRLRMVKHRSECYRFDDHIKEWVLSSKSTSEVSSVSSICDMDTNRTVQAVFTGVEVKPCGKAGRGLRMIKHKSECYSLDKNSSTIECSKECAEGLCIFCIFEIKVCIFVLRR >ORUFI02G03100.2 pep chromosome:OR_W1943:2:1922967:1925660:1 gene:ORUFI02G03100 transcript:ORUFI02G03100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFVYLVVKGCYDRRLRCCRDSYMADTFHMRRINVSRFFFYPEPPPPPPAMVVDARLPRPCITFCAPWVMHFMLLGRNSDKVLAVDHKGRTTMYDPAANTIRAAPTLAHPKRLPAISLPIGDDLYILDPTSSDHRCFEALVYKPGGPAYDWATRGPIDRYNDWHCQSLPPPPYHPYSCSFVGANRAIGGYAVVGGGGDSAEIWVSTNDGSGSGTFSFDTARRAWTKHGDWTLPFRGLAEYVPEYNLWFALSSGSNNNHLCAFDLAGAAEPPATRDFCQELKPPKDWKLVSSHLVHLGSGRFCIARFFEKPVKIPVCCVCDMDTQTYGVFTGVEVKKPGRGLRLRMVKHRSECYRFDDHIKEWVLSSKSTSEVSSVSSICDMDTNRTVQAVFTGVEVKPCGKAGRGLRMIKHKSECYSLDKNSSTIECSK >ORUFI02G03110.1 pep chromosome:OR_W1943:2:1924325:1924651:-1 gene:ORUFI02G03110 transcript:ORUFI02G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIEETEETSEVDLDDLQMDETEDPFAEELDNLVLNIITRKCNSSVAQLNREQFAENKDSRYKKRQQFEESSPHKN >ORUFI02G03120.1 pep chromosome:OR_W1943:2:1932366:1936880:1 gene:ORUFI02G03120 transcript:ORUFI02G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKINGTNPESTDQAPSLASRPDEFEEEESLVTPDALSARIGGFQIYAGSVNWGVFGSMKIVFLKSKLNVLIPCGFLAIFLNYMTQRYGWVFPLSMLGIIPLAERLGFATEQLALFTGPKGSILSNLLLVLGSAFFSGGLACGKTMQTFSKADAVVNSGLLLMAVMGLLIPAALHYTHSEAQFGKSELALSRFSSCIMLVAYASYLYFQLSNNRRRNEANVGASKAWNIPVAFISVVLLPVVGNSAGHANAVMFAVKDKLDISLGVAIGSSIQISMFGIPFCVVMGWMMGKPMDLNFHLFETASLLTTVLVVAFLLQDGTSNCVKGLMLFLCYLIVAASFYVHADPNSKASEKPPQN >ORUFI02G03130.1 pep chromosome:OR_W1943:2:1935459:1937710:-1 gene:ORUFI02G03130 transcript:ORUFI02G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSVIAVKQFSGPDKIAQAYTVPQPSAHVLSNANYDYDLCGSTNSTSLSCAIQSSNIKTESISSSSLPKILPFSTDSNGENSLSRMSQAEFSDPILSSSSTFCTSLYTSSPMNSGSCRKTGYLPFLPQPPKCEQQQNSAGQSSSSLMLLDADLRNSGHADDEHTDDLKDFLNLSSDCSFHGKCSAMAYNEQMEFQFLSEQLGIAISNNEESPRLDDIYDRPPQLMSLPVSSCSDQEDLQDARSPAKVQLSSSRSSSGTASCNKPRLRWTPELHERFVDAVNKLEGPEKATPKGVLKLMKVEGLTIYHIKSHLQKYRLAKYLPETKEDKKQEEKKTKSVANGNDHAKKKSAQMAEALRMQMEVQKQLHEQLEVQRQLQLRIEEHARYLQKILEEQQKARESISSMTSTTEGESPEFAPMEKTEDKAETSSAPLSKCRITDTDAECHSKVDNKKTKPQADLEMVHDE >ORUFI02G03140.1 pep chromosome:OR_W1943:2:1942384:1945885:-1 gene:ORUFI02G03140 transcript:ORUFI02G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCEGIEGERECEQTADLSPLMEETATAAAAAEKASSYRYWVREATGDAAPLPVPRKLDATAAAAANGNGNPPPLGSVWNQAGTWEEKNLNSWANSRIKEMLGSLDSLEFPTGKASLDGVSKCIGDAFLVTVRNKKRVGYTYELSLKFKGEWLIKEEKMKVKGHLDIPEFSFGELEDLEVEVRFTDDKGLASDDKRQICKDLKSFLSPIREKLRAFEEELKDR >ORUFI02G03140.2 pep chromosome:OR_W1943:2:1942384:1944618:-1 gene:ORUFI02G03140 transcript:ORUFI02G03140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETATAAAAAEKASSYRYWVREATGDAAPLPVPRKLDATAAAAANGNGNPPPLGSVWNQAGTWEEKNLNSWANSRIKEMLGSLDSLEFPTGKASLDGVSKCIGDAFLVTVRNKKRVGYTYELSLKFKGEWLIKEEKMKVKGHLDIPEFSFGELEDLEVEVRFTDDKGLASDDKRQICKDLKSFLSPIREKLRAFEEELKDR >ORUFI02G03150.1 pep chromosome:OR_W1943:2:1946868:1952426:-1 gene:ORUFI02G03150 transcript:ORUFI02G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGQRAGDKSESRYCGVEVLDFPAGEELPAVLSHSLSSSFDFLLAPLVDPDYRPTPGSVLPVAASDLVLGPAQWSSHIVGKISEWIDLDAEDEQLRLDSEITLKQEIAWASHLSLQACVLPPPKRSSCANYARVVNHILQGLTNLQLWLRIPLEKSEPMDEDHDGAKDNSDMEHTAIYEFTRAVAFLTNARGYPCLSKRHQKLLTGFFNHSVQVIISGRSNHNVSQGGVLSGDENHTEDTAVRHALSPYLDYIAYIYQRMDPLPEQERFEINYRDFLQSPLQPLMDNLEAQTYETFEKDTVKYTQYQRAIAKALVDRVSDDDVSTTKTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESLVTIISSDMRCWEAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIEPITASKLHNDIKAHKDIAHFETAYVVKLHRIARLAPTQSVFTFDHPNPSPNASNQRYTKLKFEIPQETGSCLVHGFAGYFDAVLYKDVHLGIEPNTATPNMFSWFPIFFPLRKPIYIPSKTPIEVHFWRCCGATKVWYEWAVTAPSPSPIHNSNGRSYWVGL >ORUFI02G03150.2 pep chromosome:OR_W1943:2:1946868:1952426:-1 gene:ORUFI02G03150 transcript:ORUFI02G03150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGQRAGDKSESRYCGVEVLDFPAGEELPAVLSHSLSSSFDFLLAPLVDPDYRPTPGSVLPVAASDLVLGPAQWSSHIVGKISEWIDLDAEDEQLRLDSEITLKQEIAWASHLSLQACVLPPPKRSSCANYARVVNHILQGLTNLQLWLRIPLEKSEPMDEDHDGAKDNSDMEHTAIYEFTRAVAFLTNARGYPCLSKRHQKLLTGFFNHSVQVIISGRSNHNVSQGGVLSGDENHTEDTAVRHALSPYLDYIAYIYQRMDPLPEQERFEVFSLSDYLSDLAHELIHLSESFLDLEFICFIQINYRDFLQSPLQPLMDNLEAQTYETFEKDTVKYTQYQRAIAKALVDRVSDDDVSTTKTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESLVTIISSDMRCWEAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIEPITASKLHNDIKAHKDIAHFETAYVVKLHRIARLAPTQSVFTFDHPNPSPNASNQRYTKLKFEIPQETGSCLVHGFAGYFDAVLYKDVHLGIEPNTATPNMFSWFPIFFPLRKPIYIPSKTPIEVHFWRCCGATKVWYEWAVTAPSPSPIHNSNGRSYWVGL >ORUFI02G03160.1 pep chromosome:OR_W1943:2:1954145:1955804:-1 gene:ORUFI02G03160 transcript:ORUFI02G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKLMMTAWCIDGDNNLLRCQQKNVITICDVGPSVKKWRYYPDDLKIAIYLQLLAKTDPLVLQRGVTKAVALNFDLPVRAVQQVWRSGQDYGGIEGVINKLHTSCGCKRVQIDMESIRDVPLRDRTTIQDLANVLGVK >ORUFI02G03170.1 pep chromosome:OR_W1943:2:1955556:1960950:1 gene:ORUFI02G03170 transcript:ORUFI02G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSWWWHGRAAAAVACWVWLAAAAAVGVEAIGANWGTQASHPLAPDTVVQMLKDNGFDKVKLFDAGEDTMSALRKSGLEVMVGIPNDMLAAMASSMAAANKWVDQNVSNYLNDGVKIRYVAVGNEPFLETYNGSFLQTTFPAIRNIQSALVKAGLGSQVRVTCPLNADVYQSSTSKPSDGDFRTDIHDLMLTIVKFLSDTGGAFTVNIYPFISLYSDSNFPVDYAFFDGAASPIVDGSATYTNMFDANYDTLIWALKKNGFGNLPVIVGEIGWPTDGDMNANIQMAQHFNQGFLTHIATGRGTPMRPGPVDAYLFSLIDEDEKSIQPGNFERHWGIFTYDGLPKYQLNLGQSHGLLKAKNVKYLERKWCVLKPSIGLTDPRLSDSISYACSLADCTSLGYKTSCGGLDTRSNISYAFNSYYQKNDQDDVACGFSNLATITGQDPSTGTCRFGIMIEVDSAFSWKLQHVRSSNLLMLLLVLLQLCLSFS >ORUFI02G03180.1 pep chromosome:OR_W1943:2:1963494:1969385:1 gene:ORUFI02G03180 transcript:ORUFI02G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGMDWNQKSSVLWDWENMPPIGNSANENPKNVMLAESKLAGVGVDIGHESGHSSGGTFSSSSEIGYGSSKSSISASIDSPSKVGNTIELNFASAEEHDKNMDKGKSKVDDTGTSRSPVVAANRVEPLIGLKLGKRTYFEDVCGGQNVKSSPSGVSVATPSPGLAKKVKVAQQNTQNPHCQVEGCNVDLSSAKPYHRKHRVCEPHSKTLKVIVAGLERRFCQQCSRFHGLAEFDQKKRSCRRRLHDHNARRRKPQPEAISLSSSRLSTLLYGDARQQASFLFGQAPYGQMGSCASSWDNPVPGGFKFTATKAPWSRPTIAAGVDGTHVSNQQASGNVLPHGAHHSFDGLMAFKETNAKVLNQGMEASAVASGSARGPDFEHALSLLSIDSVGAANLQPGSQIHPGVTAIAGTSNPVMMPSPAIWQGGLSLDQQAQFQAFDRLGNDDDEDHLQLPKPSYDNSHYDQMN >ORUFI02G03180.2 pep chromosome:OR_W1943:2:1963494:1969385:1 gene:ORUFI02G03180 transcript:ORUFI02G03180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGMDWNQKSSVLWDWENMPPIGNSANENPKNVMLAESKLAGVGVDIGHESGHSSGGTFSSSSEIGYGSSKSSISASIDSPSKVGNTIELNFASAEEHDKNMDKGKSKVDDTGTSRSPVVAANRVEPLIGLKLGKRTYFEDVCGGQNVKSSPSGVSVATPSPGLAKKVKVAQQNTQNPHCQVEGCNVDLSSAKPYHRKHRVCEPHSKTLKVIVAGLERRFCQQCSRFHGLAEFDQKKRSCRRRLHDHNARRRKPQPEAISLSSSRLSTLLYGDARQQASFLFGQAPYGQMGSCASSWDNPVPGGFKFTATKAPWSRPTIAAGVDGTHVSNQQASGNVLPHGAHHSFDGLMAFKETNAKVLNQGMEASAVASGSARGPDFEHALSLLSIDSVGAANLQPGSQIHPGVTAIAGTSNPVMMPSPAIWQGGLSLDQQAQFQAFDRLGNDDDEDHLQLPKPSYDNSHYDQMN >ORUFI02G03180.3 pep chromosome:OR_W1943:2:1963494:1969385:1 gene:ORUFI02G03180 transcript:ORUFI02G03180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGMDWNQKSSVLWDWENMPPIGNSANENPKNVMLAESKLAGVGVDIGHESGHSSGGTFSSSSEIGYGSSKSSISASIDSPSKVGNTIELNFASAEEHDKNMDKGKSKVDDTGTSRSPVVAANRVEPLIGLKLGKRTYFEDVCGGQNVKSSPSGVSVATPSPGLAKKVKVAQQNTQNPHCQVEGCNVDLSSAKPYHRKHRVCEPHSKTLKVIVAGLERRFCQQCSRFHGLAEFDQKKRSCRRRLHDHNARRRKPQPEAISLSSSRLSTLLYGDARQQASFLFGQAPYGQMGSCASSWDNPVPGGFKFTATKAPWSRPTIAAGVDGTHVSNQQASGNVLPHGAHHSFDGLMAFKETNAKVLNQGMEASAVASGSARGPDFEHALSLLSIDSVGAANLQPGSQIHPGVTAIAGTSNPVMMPSPAIWQGGLSLDQQAQFQAFDRLGNDDDEDHLQLPKPSYDNSHYDQMN >ORUFI02G03190.1 pep chromosome:OR_W1943:2:1969859:1986627:-1 gene:ORUFI02G03190 transcript:ORUFI02G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTVAEEAGAGGEGWLSSTNAHVGRQVWEFDAAAADDDDAAAAAEEIEAARREYIRRRRATTGGGGMAAAPPPRRLGALASAGLLHGIDAQLRRFTRSNPSKLENPGIKLGEDEDVTEEAVLTSLKRAIRRYSTLQAHDGHWPGDYAGPMFLLPGLFYPGYSTACDWCTKYCAINRTSKGDSPVLGVFDWSGNNPLLPELWMLPYFLPFHPGRIWSHCRMVYLPMSYIYGKKFVGPITPIVLTLRKELYNIPYDDINWDKARNQCAKEDLYYRHPLGQDILWATLYKFVEPVLSHWPGSKLREKALKNAMQHIHYEDENTRYICSGAVQKVLNMLSCWIENPNSEAFRFHIPRVHDYLWVAEDGMKMQGYNGSQLWDTAFTVQAILATNLIEDFGPTIKLAHDYIKNSQLLHDCPGDLSYRYRHISKGAWTFSTADQGWAVSDSTAEGLKASLLLSKISLEIVGEPLKVNRLYDAVNCLLSWMNNNGGFATYELTRSYAWLEILNPSETFGDIMIDYPYVECTSGVVQGLTAFRKHYPGHRREEIDNCIQKADSFIQSIQRSDGSWYGSWAVCFTSGTWFGVKGLIAAGRTYENCPAIRKACNFLLSKELPCGGWGESHLSCKDKVYTNLEGERPHAVNTSWAMLALIDAGQGERDPAPLHRAARILINLQLEDGEFPQQEIIGAFSKNCAISYSQYRNIFPIWALGEYRCRVLLGRQDASVTGNTAS >ORUFI02G03190.2 pep chromosome:OR_W1943:2:1969859:1986627:-1 gene:ORUFI02G03190 transcript:ORUFI02G03190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTVAEEAGAGGEGWLSSTNAHVGRQVWEFDAAAADDDDAAAAAEEIEAARREYIRRRRATTGGGGMAAAPPPRRLGALASAGLLHGIDAQLRRFTRSNPSKLENPGIKLGEDEDVTEEAVLTSLKRAIRRYSTLQAHDGHWPGDYAGPMFLLPGLNEDGGWGLHIEGTSTMFCTVLTYVTLRLLGDESDGGDGTMVLGVFDWSGNNPLLPELWMLPYFLPFHPGRIWSHCRMVYLPMSYIYGKKFVGPITPIVLTLRKELYNIPYDDINWDKARNQCAKEDLYYRHPLGQDILWATLYKFVEPVLSHWPGSKLREKALKNAMQHIHYEDENTRYICSGAVQKVLNMLSCWIENPNSEAFRFHIPRVHDYLWVAEDGMKMQGYNGSQLWDTAFTVQAILATNLIEDFGPTIKLAHDYIKNSQLLHDCPGDLSYRYRHISKGAWTFSTADQGWAVSDSTAEGLKASLLLSKISLEIVGEPLKVNRLYDAVNCLLSWMNNNGGFATYELTRSYAWLEILNPSETFGDIMIDYPYVECTSGVVQGLTAFRKHYPGHRREEIDNCIQKADSFIQSIQRSDGSWYGSWAVCFTSGTWFGVKGLIAAGRTYENCPAIRKACNFLLSKELPCGERPHAVNTSWAMLALIDAGQGERDPAPLHRAARILINLQLEDGEFPQQEIIGAFSKNCAISYSQYRNIFPIWALGEYRCRVLLGRQDASVTGNTAS >ORUFI02G03200.1 pep chromosome:OR_W1943:2:1983541:1988212:1 gene:ORUFI02G03200 transcript:ORUFI02G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDDDNHGDPLQQQPEEEDGGGYGDVAVGGGLFSVPGYEWADVAALGPDVAGRAVRVRGAAHAVRAVGRRVAFLVLRQGSSTVQCVVGGGGGGGGGGDAGVARFAAGLSRESVVDVAGVVSLPREPVRGTTQQEVEIQVKKLYCISKATPNLPISVDDAARSEEDVVKAKAAGEQLVHVGQDKRLDFRVIDLRTPANQAIFRVQCEIENIFRQVLLSEGFVGIHTPKLIGGSSEGGAAVFKLDYNGQPACLAQSPQLHKQMAICGGFERVFEVGPVFRAEDSNTHRHLCEFVGLDMEMAIKGHYSEVCDVVDRLFVAMFDHLNKNCAKELEAINRQYPFKPLKETDRSTTS >ORUFI02G03210.1 pep chromosome:OR_W1943:2:1987324:1995615:-1 gene:ORUFI02G03210 transcript:ORUFI02G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRVAEGGGDPWLRTKNGHVGRQVWEFDPAAGDPDELAAVEAARRGFAARRHELKHSSDLLMRMQFAKANPLKLDIPAIKLEEHEAVTGEAVLSSLKRAIARYSTFQSHDGHWPGDYGGPMFLMPGLIITLYVSGALNTALSSEHQKEIRRYLYNHQNEDGGWGLHIEGHSTMFGSALTYVSLRLLGEGPDSGDGAMEKGRKWILDHGGATYITSWGKFWLSVLGVFDWSGNNPVPPEIWLLPYFLPIHPGRMWCHCRMVYLPMCYIYGKRFVGPVTPIILELRKELYEVPYNEVDWDKARNLCAKEDLYYPHPFMQDVLWATLHKFVEPAMLRWPGNKLREKALDTVMQHIHYEDENTRYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRVHDYLWIAEDGMKMQGYNGSQLWDTAFTVQAIVATGLIEEFGPTLKLAHGYIKKTQVIDDCPGDLSQWYRHISKGAWPFSTADHGWPISDCTAEGLKAALLLSKISPDIVGEAVEVNRLYDSVNCLMSYMNDNGGFATYELTRSYAWLELINPAETFGDIVIDYPYVECTSAAIQALTAFKKLYPGHRKSEIDNCISKAASFIEGIQKSDGSWYGSWAVCFTYGTWFGVKGLVAAGRTFKNSPAIRKACDFLLSKELPSGGWGESYLSSQDQVYTNLEGKRPHAVNTGWAMLALIDAGQAERDPIPLHRAAKVLINLQSEDGEFPQQEIIGVFNKNCMISYSEYRNIFPIWALGEYRRRVLAADK >ORUFI02G03220.1 pep chromosome:OR_W1943:2:2000246:2000883:1 gene:ORUFI02G03220 transcript:ORUFI02G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAAAADPAASASRPHQEAAAGEQGKQGDGEQEIVSVEELAELDSGDHNRSLAAMPVRMKAEKEKEKEDFPVMAMVAGLPMRMGPRGSTRRGTSPTTRRCWADLGGGTPRGGIK >ORUFI02G03220.2 pep chromosome:OR_W1943:2:1998085:2003797:1 gene:ORUFI02G03220 transcript:ORUFI02G03220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLTQPRAPAVPIRKPRRANRASRETGSKKSSAWRSLRNSTAATTTGAWRRCRFRPRVRHPSPPHADRKTWSSTTPPRASPPGRLPTPPVLRRGPLPARRRPAASHLLPAVLRRYPLPRVLSPSFRAPPPTSSPLCCAAAPFLCAVTAAGSYEGREGEREGGFSGDGDGGGAADEDGAEG >ORUFI02G03230.1 pep chromosome:OR_W1943:2:2001377:2002969:-1 gene:ORUFI02G03230 transcript:ORUFI02G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISLRICTISAQMELGDKAVGFILTLTSLSIFTYYTFWVIILPFVDSDHFVHKYFLPQEYAILIPVLAGVILLSFLSMFMGLVMLKSKKKKKTT >ORUFI02G03230.2 pep chromosome:OR_W1943:2:2001377:2003531:-1 gene:ORUFI02G03230 transcript:ORUFI02G03230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGLVMLKSKKKKKTT >ORUFI02G03240.1 pep chromosome:OR_W1943:2:2008325:2027318:-1 gene:ORUFI02G03240 transcript:ORUFI02G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLYGKKFVGPTTPTILALREEIYSAHYLTIDWAQARSACAKEDLVCPRTRLQNAVWSWLYKWVEPVMSSWAMSKLRGRALDRLMAHPLRRREHAALNMVCCWAEDPNSDAFKQHLARVPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYASTVQRAHEFLKNSQVVRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTAEALKAVLLLTKISINVVGDPIERERLHDAVDCLLSFVNKDGTVSTYECKRTSTWIEISRMHVLSASSFGAIQRTVPWLPLGTWGVCFTYGAFFSVKGLIAAGRTYENSSSIRKACDFIMSKQLNTGGWGESHVSNETKVYVNIKGDHAHAVNTAWAMLTLIYAGQMERDPAPLHCAAKELINMQLETGEFPQQEHVGCFNCSLFFNYPNYRNLFPIWALGELYGLAEMIWFWINHYSNLMYRLKVAEGGGALLRSTNGFLGRAVWELDPDHGTPEDRADVERVRREFTDDRLRRRESADLLMRMQFAKQKKLQRRRDSIPPAVKLGEKEQVTEEIAMASLRRALDEFSSLQADDGHWPGDFSGVMFIMPGLNEDGGWGTFLSSSTMFGTCSNYITLRLLGEVLTNEQLARGRIWIISHGGATLVPQWGKIWLSILGVYEWAGNNPIFPELWLAPQFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPTTPTILALREEIYPVHYLTIDWAQARSACAKEDLVCPRTRLQNAVWSWLYKWVEPVMSSWAMNKLRGRALDALMEHIHYEDENTQYLCICSVNKALNMVCCWAEDPNSDAFKRHLARVPDFLWLSEDGMKAQVYDGCQSWETALIIQAFCATDLVNEYASTVQRAHEFMKNSQVVRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTAEALKAVLLLTKISSSMVGDPIERERLYDAVDCLLSFVNKDGTISTYECKRTSTWIEISRMYLLSASSFGAVQRTMPWLPKACDFILSKQLNTGGWGESHVSNETKVYVNIKGDRAHVVNTAWAMLTLIYAGQMERDPTPLHCAAKELINMQLETGEFPQQEHVGCFNCSLLFNYPNYRNIFPIWALGEYCWHLR >ORUFI02G03240.2 pep chromosome:OR_W1943:2:2008325:2027318:-1 gene:ORUFI02G03240 transcript:ORUFI02G03240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLYGKKFVGPTTPTILALREEIYSAHYLTIDWAQARSACAKEDLVCPRTRLQNAVWSWLYKWVEPVMSSWAMSKLRGRALDRLMAHPLRRREHAALNMVCCWAEDPNSDAFKQHLARVPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYASTVQRAHEFLKNSQVVRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTAEALKAVLLLTKISINVVGDPIERERLHDAVDCLLSFVISRMHVLSASSFGAIQRTVPWLPLGTWGVCFTYGAFFSVKGLIAAGRTYENSSSIRKACDFIMSKQLNTGGWGESHVSNETKVYVNIKGDHAHAVNTAWAMLTLIYAGQMERDPAPLHCAAKELINMQLETGEFPQQEHVGCFNCSLFFNYPNYRNLFPIWALGELYGLAEMIWFWINHYSNLMYRLKVAEGGGALLRSTNGFLGRAVWELDPDHGTPEDRADVERVRREFTDDRLRRRESADLLMRMQFAKQKKLQRRRDSIPPAVKLGEKEQVTEEIAMASLRRALDEFSSLQADDGHWPGDFSGVMFIMPGLNEDGGWGTFLSSSTMFGTCSNYITLRLLGEVLTNEQLARGRIWIISHGGATLVPQWGKIWLSILGVYEWAGNNPIFPELWLAPQFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPTTPTILALREEIYPVHYLTIDWAQARSACAKEDLVCPRTRLQNAVWSWLYKWVEPVMSSWAMNKLRGRALDALMEHIHYEDENTQYLCICSVNKALNMVCCWAEDPNSDAFKRHLARVPDFLWLSEDGMKAQVYDGCQSWETALIIQAFCATDLVNEYASTVQRAHEFMKNSQVVRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTAEALKAVLLLTKISSSMVGDPIERERLYDAVDCLLSFVNKDGTISTYECKRTSTWIEISRMYLLSASSFGAVQRTMPWLPKACDFILSKQLNTGGWGESHVSNETKVYVNIKGDRAHVVNTAWAMLTLIYAGQMERDPTPLHCAAKELINMQLETGEFPQQEHVGCFNCSLLFNYPNYRNIFPIWALGEYCWHLR >ORUFI02G03240.3 pep chromosome:OR_W1943:2:2008325:2027318:-1 gene:ORUFI02G03240 transcript:ORUFI02G03240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLYGKKFVGPTTPTILALREEIYSAHYLTIDWAQARSACAKEDLVCPRTRLQNAVWSWLYKWVEPVMSSWAMSKLRGRALDRLMAHPLRRREHAALNMVCCWAEDPNSDAFKQHLARVPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYASTVQRAHEFLKNSQVVRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTAEALKAVLLLTKISINVVGDPIERERLHDAVDCLLSFVISRMHVLSASSFGAIQRTVPWLPLGTWGVCFTYGAFFSVKGLIAAGRTYENSSSIRKACDFIMSKQLNTGGWGESHVSNETKVYVNIKGDHAHAVNTAWAMLTLIYAGQMERDPAPLHCAAKELINMQLETGEFPQQEHVGCFNCSLFFNYPNYRNLFPIWALGELYGLAEMIWFWINHYSNLMYRLKVAEGGGALLRSTNGFLGRAVWELDPDHGTPEDRADVERVRREFTDDRLRRRESADLLMRMQKKLQRRRDSIPPAVKLGEKEQVTEEIAMASLRRALDEFSSLQADDGHWPGDFSGVMFIMPGLNEDGGWGTFLSSSTMFGTCSNYITLRLLGEVLTNEQLARGRIWIISHGGATLVPQWGKIWLSILGVYEWAGNNPIFPELWLAPQFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPTTPTILALREEIYPVHYLTIDWAQARSACAKEDLVCPRTRLQNAVWSWLYKWVEPVMSSWAMNKLRGRALDALMEHIHYEDENTQYLCICSVNKALNMVCCWAEDPNSDAFKRHLARVPDFLWLSEDGMKAQVYDGCQSWETALIIQAFCATDLVNEYASTVQRAHEFMKNSQVVRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTAEALKAVLLLTKISSSMVGDPIERERLYDAVDCLLSFVNKDGTISTYECKRTSTWIEISRMYLLSASSFGAVQRTMPWLPKACDFILSKQLNTGGWGESHVSNETKVYVNIKGDRAHVVNTAWAMLTLIYAGQMERDPTPLHCAAKELINMQLETGEFPQQEHVGCFNCSLLFNYPNYRNIFPIWALGEYCWHLR >ORUFI02G03250.1 pep chromosome:OR_W1943:2:2020816:2021397:1 gene:ORUFI02G03250 transcript:ORUFI02G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDELPVAAAATEEEDLVEILDEGSGRLDIARYVDHVRDLAAGAIATFEGTTRDSFEGRRVVELRYEAYGAMARRRLAAILREARAAHSLRRLAVAHRLGTVPAGEASVFVAASAVHRADAMEACRYVIDEVKASVPIWKKEVYDDGEVWKENREFLDRTTTDGTTASLPAPATRPAKGGGCCGRKVRVNES >ORUFI02G03260.1 pep chromosome:OR_W1943:2:2027339:2031264:-1 gene:ORUFI02G03260 transcript:ORUFI02G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVAESGGTPLLRSTNGFLGRAVWEFDPDHGTPEDRADVERVRREFTDHRLHHRESADLLMRMQQNKHQRRRYRIPPVNNKLGEKEEVTEEIAMASLRRALDEFSSLQADDGHWPGDFSGVMFIMPGLNEDGGWGSLILSSSTMFGTCSNYITLRLLGEETSNEQLAKGRIWIISHGGATLVPQWGKIWLSILGVYEWTGNNPIFPELWLTPQFLPFHTANSGA >ORUFI02G03270.1 pep chromosome:OR_W1943:2:2033240:2035383:1 gene:ORUFI02G03270 transcript:ORUFI02G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEVLGDDLLRLPSPAALVRATLADRMATLLSIENLFPNLTDFDSLDVLFDSCSRCFFSNSDILLFFFNAGDNPNSSEEPINEGPGLEWGWEGWKGKGEEGGGCRA >ORUFI02G03280.1 pep chromosome:OR_W1943:2:2044884:2046229:1 gene:ORUFI02G03280 transcript:ORUFI02G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGKEVKTRPDPKVEIQEKGEIFFFYRPKVGKDEARGPDDVQRMYIVLRPEATGDGGDRAVEDKQAPDSGKEGHKNQQPQNSDGDGGGEGGHGKEVVSVTESCRFFRDFQEVNVEEQALLRLIVMGKKSLPDPAAKRGRPYWGYVELVTTDVEHIKDALKEEEYSTATRGTRRRAAARALGEGVYRILKHDSGRRVHTHLVYKLELPLPARRREHDAEADEASEPQEAMNVEPEASYLIQIKNPEQPPPSGGGGDGGFRGLQSKRRAAFPAHLQGRFGSNHRYAPADPPDLLNYEGCEFLLIAASDDVEEELGLELETETDAEADLEGDGAAAAKCSDLVKMFGEVADVKPLLSGSWD >ORUFI02G03290.1 pep chromosome:OR_W1943:2:2053911:2054954:-1 gene:ORUFI02G03290 transcript:ORUFI02G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRFVHLVLEEFAPRRSNYTLRNIDMDRFFLPRPSPVPSAAAAADAVEYGSLPCPAMTFYPPSSSLSRNQNMEFFLLGGNHNMVVAADQSCRTVLYDPGEHAVRTMPALPYQVTLPATSVTVGDDLYILDVDDGGSFHGLIYEDGLNEDWRCCALPPPPLSDFEVDSYAVIGGTDIWLSTHDNGGIYCFDTVRHAWSTVATRWTLPFVGLAEYCHEHGLWFGLSHSTRDRRRRSLVLSALDLDGGELPLLRSFPMEFTPPDALNLVSSDLVNLGSGKFCIARFFRTDEDHRDGEELFAVLTAVEVERCDDDEDDAGGGANGGGLRMLKHRSEMYKLTSEMMYWVL >ORUFI02G03300.1 pep chromosome:OR_W1943:2:2055225:2058143:-1 gene:ORUFI02G03300 transcript:ORUFI02G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARTSATVLSRRLPSNPTPGRRRRRHLPLRHGAPPTTGRCRSIGGLAEYVPEHGLSPASDGSGMVLSLPRTLTPASRRCGRSLLPRDYTLPGALNPVGSDLVGRFLHRQTDDEHRDGPELFVVFTAVEVELCDDDDGDAQVQHRVGGKP >ORUFI02G03310.1 pep chromosome:OR_W1943:2:2058069:2065490:1 gene:ORUFI02G03310 transcript:ORUFI02G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASHRVFVYGTLMAEEVVRVLIGRSPSSSPAVLPNHQRFSIKGRVYPAILPVDGKEVSGKVFKGITDRELNVLDIFEDEEYVKRTVEISLTDTSEKLLAYAYIWGNQDDPDLYGEWDFEEWKRVHLEDYVKMTQEFMEELEQLEPKTET >ORUFI02G03310.2 pep chromosome:OR_W1943:2:2058069:2060865:1 gene:ORUFI02G03310 transcript:ORUFI02G03310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASHRVFVYGTLMAEEVVRVLIGRSPSSSPAVLPNHQRFSIKGRVYPAILPVDGKEVSGKVFKGITDRELNVLDIFEDEEYVKRTVEISLTDTSEKLLAYAYIWGNQDDPDLYGEWDFEEWKRVHLEDYVKMTQEFMEELEQLEPKTET >ORUFI02G03320.1 pep chromosome:OR_W1943:2:2060670:2067285:-1 gene:ORUFI02G03320 transcript:ORUFI02G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKQQQTPASSAVTAAAAASSSATAAVAACEGERKAAAINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKDVDAHVPSYPNLPSKLICLLHGVNLHADPDTDEVYAQMTLQPVNTYGKEALQLSELALKQARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELQARDIHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIVVRDEKHQLLLGIRRANRQPTNISSSVLSSDSMHIGVLAAAAHAAANSSPFTIFYNPRASPTEFVIPFAKYQKALYSNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFLCPQPFFGVKRPRQLDDESEMENLFKRAMPWLGEEVCIKDTQNQNSTAPGLSLVQWMNMNRQQSSSLANTAAQSEYLQALGNPAMQNLAADELARQLYVQNNLLQQNCIQFNSPKLPQQMQTMNDLSKAAIPLNQLGAIINPQDQKQDAVSHQRQQNSIQVIPLSQAQSNLVQAQVIVQNQMQQQKPSPTQNPQRINGQRLLLSHQQKDQNLQLQQQLLLQQKQQLQQQQQQQQNQQQLNKSLGQLVNLASQQSKLFDEELQLQILQKLQQQSLMSQSTSTLSQPPLIQEQQKLITDMQKQLSNSHSLAQQQMMPQQEIKPSLQATPLLPTVQQEQQQKLLQKQVSLADVSGVAFQPISSTNVIPKTGGAMIISGATQSVVTEEMPSCSTSPSTANGNHFTQSTKNRHCINTERLPPSTAPMLIPTSIDAVTATPLMTKELPKPNNNVKQSVVNSKLPNVAPGPQNCINHALQTDNLETSSSATSLCPSRTDGLVHQGFPSSNFNQHQMFKDALPDVEMEGVDPSNSGLFGINNDNLLGFPIETEDLLINALDSVKYQNHISTDVENNYPMQKDALQEISTSMVSQSFGQSDMAFNSIDSAINDGAFLNKNSWPAAPLLQRMRTFTKVYKRGAVGRSIDIGRYSGYEELKHALARMFGIEGQLEDRQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVRCIRILSPQEVQQMSLDGDLGSNVLPNQACSSSDGVNGWRPRCDQNPGNPSIGPYDQFE >ORUFI02G03330.1 pep chromosome:OR_W1943:2:2081094:2090921:-1 gene:ORUFI02G03330 transcript:ORUFI02G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRGDGGGDEEEAAMAVVSAPPQHLVFAYYITGHGFGHATRALEVVRHLIAAGHDVHVVTGAPEFVFTTEISSPNLHIRKVLLDCGAVQADALTVDRLASLEKYHQTAVMPRESILRTEVEWLNTIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVVVAGHHHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRRLHKSRSEVRKELGIKDDVKVVIFNFGGQPAGWKLKKEWLPDGWLCLVCGASETQELPPNFIKLAKDAYTPDLMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEHYQCGVEMVRRDLLTGHWKPYLQRAMTLHPCYDGPINGGEVAAHILQDTAVGKKYISGKLSGARRLRDAIVLGYQLQRAPGRDVGIPDWYSVSEKEIGVRPAPTYHEVNGSAESSFEDFEILHGDIQGLTDTMAFLTSLSGLVGNDPRSPEKQSRERVAASVFFDWEEEIYVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAIQRSNPMKQKLWKHTQARQLANGRAVPLLQIVSFGSELSNRAPTFDMDLSDFMDGDKPISYDKAKEYFSQDPSQKWAAYVAGTILVLMTELGVVFTDSMSILVSSSVPEGKGVSSSASVEVASMSAIAAAYGLNIPPRDLAILCQKVENRIVGAPCGVMDQMTSACGEANKLLAMICQPAEVKELVSIPTHIRFWGLDSGIRHSVGGTDYGSVRVGTYMGRKMIKCAASDLLSESLPSCPPIQSGNTNSDEYEEHGVDLLKSEASLEYLCNLPPHRYEAVYARDIPEIITGDAFLEKYGDHNDAVTTVDPKRSYCVKAPTRHPIYENFRVEAFKALLTAAKTVEQLSALGELMYQCHYSYNACGLGSDGTDRLVNMVQEVQHRKTSQDGGPSLFGAKITGGGSGGSVCVIGKNCLKSSEEIFEIQKRYKAATGYLPIVFEGSSPGAGNIS >ORUFI02G03340.1 pep chromosome:OR_W1943:2:2093173:2097929:-1 gene:ORUFI02G03340 transcript:ORUFI02G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFMGKRKELDQVVDGLSDFSLSGPAAKSRRLDIGLPPIMEEETPGPSMPFGHEMLGEEIHSVVSMPIIEDMLGGAMESHPSSEDKALVLYKSVDNTACIGPGTSNPSIIISPDLIRSLKNQAFMQRNFHGLEDKSPGCSNFLALVPWTPPQIATVSNWSASDSEKREFFEVPMESDETEVDSMDVEESPEANVAAIDGESLHQWQQHCMTPSSLPNPSAPVMWSRMSRRFLHLLVKKPTPWGSEEFGLRRIDMSRFFPPRKPTGGGDGSAAADRPRLAPVAAAMVDAQLPRAGEAIYRPQGHGWGRCGAMILGGGKDKVVGVDGEYRSFLLILYKCGAHAVRLLPHMRATKRCPIAFTVGDGVYVMEAAAPEPARMRGAEHCFEALVHGLPPAPPRGGATIIEDWHWRSLTAPPYVLDPDGDDGPARVAAHAVVRDSQIWVSTERHGTFTFDTASGAWSKAGDWALPFRGRAEHVPEHSLWFGFSPHHDGHLCASDLTATPPSLRHTWRYRPPHKDWPAPVASYLVPLGGGRLCVAELFETTRVEVGGRPLDTNKKASLKTTTRRWGFNAAATAGEEEEEETEVRERFAVVTGVEVEASASGKAPLRMARRAVRRYVLSTETVVTREARRRSSWRRGRPRKDDDETLHWVF >ORUFI02G03350.1 pep chromosome:OR_W1943:2:2099637:2109875:1 gene:ORUFI02G03350 transcript:ORUFI02G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKETPDEAQKLEEFLCVRREMLKRGGGCGRAVRERPRACAGARSQEVHSRAGGGGCRVVYKVVAMMQRWKELLKEGDRGQVDKWKEVILENLARLRQLSDPPEDI >ORUFI02G03350.2 pep chromosome:OR_W1943:2:2099637:2109875:1 gene:ORUFI02G03350 transcript:ORUFI02G03350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKETPDEAQKLEEFLCVVYKVVAMMQRWKELLKEGDRGQVDKWKEVILENLARLRQLSDPPEDI >ORUFI02G03360.1 pep chromosome:OR_W1943:2:2103541:2114844:-1 gene:ORUFI02G03360 transcript:ORUFI02G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPKFEVFKQISTRQPPPPARLCTSWERAPAHALGRSRTARPQPPPPRARHARVRRRRLARAPMAAASAPRARPRRRHRVARPRRRRLVRAHGRHLRAAPPSPPLPQPPAPPACKVTHGVGDSLNWTYVLINPLIHQQEHNKKKKKQGKYR >ORUFI02G03370.1 pep chromosome:OR_W1943:2:2116488:2117319:-1 gene:ORUFI02G03370 transcript:ORUFI02G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLGASSCRRAAALADRIYSTPTSSLANVNPISRLGTNPNNRSGILEEKKVKKTKSRRFLYMVDFRAVDSSFQRLRRIDPSSLFYADRAAAAASPAAVEDVRLPPTDINFFPPGSSNSGTDNITWMEIMRRNDDKIIAVDQAGRTIIYDPAKNSVGALPTMVTPKLWTLSLAVGKDLYVMAVSPRPDGVTDLLVNATYPHLYSD >ORUFI02G03380.1 pep chromosome:OR_W1943:2:2125173:2125544:1 gene:ORUFI02G03380 transcript:ORUFI02G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTDRSPFLSFVSSLCSLLPLSHSDGGRGNNGQGSHSDSGRGGDGQGGHSGDGSAAAKTAKARGRRRRWWAMDPVLGGAWVTARLGGGGGGSRVVASMVGGGSGVGKHASGGEAVGSRSGC >ORUFI02G03390.1 pep chromosome:OR_W1943:2:2141777:2142845:-1 gene:ORUFI02G03390 transcript:ORUFI02G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDHIFDDLLKNDYIKLPDHHVMPSLQDLEEQIYCKWHNSFDHCTSDCNIFRQKIQSAINEGRLHVATPEKSHAMDNRFDKRNRSRWSSRKKSSVQVGGSSTRKQIWVPKSRVQEKSLAAELVTNQNPPKSSGGNGSQLRHSHSLSNWQKKQLHKLSVEKLREKGMSWLPNGSVQVQNKTDVKVEVEAKNEKVVRRCVPNQRFASDHQVLLPPYYIYSSPMQPMHMSWNQFSGM >ORUFI02G03400.1 pep chromosome:OR_W1943:2:2143083:2143454:-1 gene:ORUFI02G03400 transcript:ORUFI02G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIANIDQEHNFDLDNNTVTLDDLSEGQRCELEQEVEVEIAELREHKLTRLQKTKNSVIAKQQKPINLELSANEKEVAMLDLSGNIGPFVLPAEFRAKEVDEHLDDGSRNRDDKAEILESHQ >ORUFI02G03410.1 pep chromosome:OR_W1943:2:2147371:2161305:1 gene:ORUFI02G03410 transcript:ORUFI02G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPAARKIAGTEVPIPGSDRVRWIELTVPSTPSPSPEGDSDPFVLVPPRAASGFHVVSSGDSQCYLVWRFHEEQQNVLEVIDLCAFKEFPSSGLRLVFQEELCPFSFLCAREGGRRGTPVYLLYVLTVSGVALLCHLRSPFSYISGSIFPQDDIVEFNLQTQVQSAKVTAVTAMSGCLVIGRQDGSICCYSFLNELRDDAGIGRLWSLVSRTKAVGPVQDIVTATVNERELLFVLHLDGSLRIWDIFNHTKLLSYNVRSNDIEGQPSRIWVGDADDDQELIFLAVLRQGTVTGACDCVSVYGFSFGAGERFLFSPEPSFFSIPLVEGKLIDLKISMDKLWILKEVGSMLYEIVQYDCDTEIMHSYVLQEVSVSDQLFQSSENTLDDLVWTADSIFSSKKEQTFGFISSMFLRRLLQPGVNHSSVLRETLLEHKRFLSDSYFQSLTTSGLRKEILSTIEQEGSSQSASSTAYHWKKFSARYLHNWCWNNRPYGLLLDTNREVFGLIRKGSFSLFRCLEGMEQFIYGSSDDLRNLDILGVNPSDNISQSEILIEVLRCMDHISHLLGRSAAAIYHESLISSVISPDEIVSQILKILGTGFSPQSPSALITLFGTDAYAERRQTAHKSQRKFSVEMLLSFRKLQSKSTSWSAVFDVIENFMKYLNTNVTIQEYELKRVCNVNTALLVQATSQVARTMFESTFDLYLFFNYLVSIGGQVSLSQNDIARIKLKLFPVIQDILGQWIVLHFVGISPTTPPTIEDFSYQLSSLQLGKADDLSLHRKFGCSYFTLACLLDFPKSADGDVLSPWFPNPTELVNLVRRFSGSIMSENIAGNADCFLSSTINLAAVLVRHGQYEAAQSLLGILDTHMNYVKASQADQDTDLARSSCLHLNGFCLLVLARDEANIVLKESKVHEAIRCFFRAASGQEAPKALQKFSVETGFQISGECRSFTAWRLCYYEWAMQIFEQHSMSEGACEFALGALEQIDSIVDLDNGSEAEDIPETTTMIKGRLWANVFKYKLDLKNFQEAYCAIISNPDNDSKYVCLRRFIIVLCELGETKVICNGEIPFTGLVEKVEQELFWKAERSDLLSRPNLYKVLYSFEAYRNNWRKAAAHMYREGNAGGTRQLSHTLQERLHALSAAINALQLVDPSFAWLDSVCEADDQISPTAFGTDSELSRLKFCVDIEILEKEYTLTEALYMLSTVNSRFNFSDNQSIEALTDILINENMYDMVFTIVLKFRKESGMKRELERVFAAIAQQCCPNRVGNSGKNLLLPSSDDDACDGNGNSIAMAHQSQGSCHWETLEIYLEKYKDLHPRLPVIVAETLLYTDPEIELPLWLVQMFKTTKAGNRMISWGMSGTEADPATLFRLYINYGRHTEAANLLVEYLESFTSSRPVDVLHRKKMSAAWFPYTAIERLWCQLEEMQNAGHSVDQCDRLKKLLHGSLISHLQQVVVDSDDVLSSLGGGKGMGSQSN >ORUFI02G03410.2 pep chromosome:OR_W1943:2:2147371:2161305:1 gene:ORUFI02G03410 transcript:ORUFI02G03410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPAARKIAGTEVPIPGSDRVRWIELTVPSTPSPSPEGDSDPFVLVPPRAASGFHVVSSGDSQCYLVWRFHEEQQNVLEVIDLCAFKEFPSSGLRLVFQEELCPFSFLCAREGGRRGTPVYLLYVLTVSGVALLCHLRSPFSYISGSIFPQDDIVEFNLQTQVQSAKVTAVTAMSGCLVIGRQDGSICCYSFLNELRDDAGIGRLWSLVSRTKAVGPVQDIVTATVNERELLFVLHLDGSLRIWDIFNHTKLLSYNVRSNDIEGQPSRIWVGDADDDQELIFLAVLRQGTVTGACDCVSVYGFSFGAGERFLFSPEPSFFSIPLVEGKLIDLKISMDKLWILKEVGSMLYEIVQYDCDTEIMHSYVLQEVSVSDQLFQSSENTLDDLVWTADSIFSSKKTFGFISSMFLRRLLQPGVNHSSVLRETLLEHKRFLSDSYFQSLTTSGLRKEILSTIEQEGSSQSASSTAYHWKKFSARYLHNWCWNNRPYGLLLDTNREVFGLIRKGSFSLFRCLEGMEQFIYGSSDDLRNLDILGVNPSDNISQSEILIEVLRCMDHISHLLGRSAAAIYHESLISSVISPDEIVSQILKILGTGFSPQSPSALITLFGTDAYAERRQTAHKSQRKFSVEMLLSFRKLQSKSTSWSAVFDVIENFMKYLNTNVTIQEYELKRVCNVNTALLVQATSQVARTMFESTFDLYLFFNYLVSIGGQVSLSQNDIARIKLKLFPVIQDILGQWIVLHFVGISPTTPPTIEDFSYQLSSLQLAVLVRHGQYEAAQSLLGILDTHMNYVKASQADQDTDLARSSCLHLNGFCLLVLARDEANIVLKESKVHEAIRCFFRAASGQEAPKALQKFSVETGFQISGECRSFTAWRLCYYEWAMQIFEQHSMSEGACEFALGALEQIDSIVDLDNGSEAEDIPETTTMIKGRLWANVFKYKLDLKNFQEAYCAIISNPDNDSKYVCLRRFIIVLCELGETKVICNGEIPFTGLVEKVEQELFWKAERSDLLSRPNLYKVLYSFEAYRNNWRKAAAHMYREGNAGGTRQLSHTLQERLHALSAAINALQLVDPSFAWLDSVCEADDQISPTAFGTDSELSRLKFCVDIEILEKEYTLTEALYMLSTVNSRFNFSDNQSIEALTDILINENMYDMVFTIVLKFRKESGMKRELERVFAAIAQQCCPNRVGNSGKNLLLPSSDDDACDGNGNSIAMAHQSQGSCHWETLEIYLEKYKDLHPRLPVIVAETLLYTDPEIELPLWLVQMFKTTKAGNRMISWGMSGTEADPATLFRLYINYGRHTEAANLLVEYLESFTSSRPVDVLHRKKMSAAWFPYTAIERLWCQLEEMQNAGHSVDQCDRLKKLLHGSLISHLQQVVVDSDDVLSSLGGGKGMGSQSN >ORUFI02G03420.1 pep chromosome:OR_W1943:2:2179274:2183490:1 gene:ORUFI02G03420 transcript:ORUFI02G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDRHYYTLRNIVDMSRVFHPCPSPASAATGGAHDVMEYGSLPRHTMSFCPASLSRWFGGTERMKFVLLGGNHNMVVTADQSSRTVLDL >ORUFI02G03430.1 pep chromosome:OR_W1943:2:2185427:2187501:1 gene:ORUFI02G03430 transcript:ORUFI02G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFFLPRPSPVPFVASGTDAAEYASLPCPAMTFYPPFSKLPGKQQMEFLLLGGNHNMVVAADQTCRTVLYDPGEHAVRTLPALPYQLELPTASVTVGDDLYILDHVEVGNVPCFHGLIYEDRLNEDWQSLQMNVYKVGNIIWQ >ORUFI02G03440.1 pep chromosome:OR_W1943:2:2189134:2189521:1 gene:ORUFI02G03440 transcript:ORUFI02G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATWFPYTAIERLWCQLEEMQNAGHSVDQCDRLKKLLHGSLMSHLQQMMCFHRLEEGKEWGAKAIEIFFSLVLEELATDIS >ORUFI02G03450.1 pep chromosome:OR_W1943:2:2190339:2196407:1 gene:ORUFI02G03450 transcript:ORUFI02G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHLLLLSPLSPRQPIATAHTSPSPSSPARPAASATRALAVAAPSRASCSLRRSARGASQAGKMDKLNGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEEMVPDDGWVEYLNNKWDRNIVVEETANVSELKLQVESEQRPHKVSFYVDKKSAQEVIKSLSEKLEKRGLDVKIIYSGGQDLDVLPQGAGKGQALAYLLKKLSSCGKPPNNTLACGDSGNDAELFSIPGVHGVMVSNAQEELLQWYSENAKDNPKIIHATERCAAGIIQAIGHFKLGPNVSPRDVDFPYVKENPVKPMDAVVKFYVLYEKWRRAEVPKSDSVTQYFKNITHANGVIIHPAGLECSLHASIDASGSCYGDKQGKKYRAWVDRLVVSQCGSEGWLVRFNLWELEGDVWSCCLTSLALNAKPETPEGFVVTHIHKTWLKGYSSADEQSSKL >ORUFI02G03450.2 pep chromosome:OR_W1943:2:2190339:2196407:1 gene:ORUFI02G03450 transcript:ORUFI02G03450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHLLLLSPLSPRQPIATAHTSPSPSSPARPAASATRALAVAAPSRASCSLRRSARGASQAGKMDKLNGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEEMVPDDGWVEYLNNKWDRNIVVEETANVSELKLQVESEQRPHKVSFYVDKKSAQEVIKSLSEKLEKRGLDVKIIYSGGQDLDVLPQGAGKGQALAYLLKKLSSCGKPPNNTLACGDSGNDAELFSIPGVHGVMVSNAQEELLQWYSENAKDNPKIIHATERCAAGIIQAIGHFKLGPNVSPRDVDFPYVKENPVKPMDAVVKFYVLYEKWRRAEVPKSDSHANGVIIHPAGLECSLHASIDASGSCYGDKQGKKYRAWVDRLVVSQCGSEGWLVRFNLWELEGDVWSCCLTSLALNAKPETPEGFVVTHIHKTWLKGYSSADEQSSKL >ORUFI02G03460.1 pep chromosome:OR_W1943:2:2199471:2207060:1 gene:ORUFI02G03460 transcript:ORUFI02G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGLNDTVSGVVSRKVLPACGGLCYFCPGLRARSRQPVKRYKKIIADIFPATQDEEPNERRIGKLCEYVARNHHRVPKITAYLEQRCYKELRNEQYGFVKVVVLIYRKLLVSCKKQMPLLASSALSIICTLLDQTRRDDMRIIGCETLFDFTVSQVDGTYQFNLEELVPKLCELAQIVKAEEKDNMLRASALQALSAMIWFMGEFSHISSAFDNVIQVVLESYNLQKMQNDNIDSEAPGNRWVEQVLKAEGNATISRIPSWKSIVDDKGELHLPAEDAKDPNFWSRVCVHNMAKLSREATTFRRVLESLFRHFDNNNSWSSQNTLAFCVLLDMQILMENQGQNIDLMISILVKHLEHKSVLKQPEMQLSVVEVIASLAEQSRAEASAATIGAISDLIRHMKKTLHVALGSRDLEVIKWNDKLRNAVDECILQLSKKVGDAGPVLDMMSVMLENISRTPLVAIATTSAVYRTAQIITSIPNLSYRNKVFPEALFHQLLLAMVHPDHETRVSAHRIFSVVLVPSSVSPFSKSTSPNQLVKHDIKRTLSRAVSVFSSSAALFDKLKRDKESFREKPQDGSMNRLSHAADNDTSTVKDMPSSRSRRHSFKVPNFSMKRVASLSLKSPMSPKECQNTSAESCSETESTLLRLSSRQATLLLSSIWAQAISPKNTPQNYEAIAHTYSLLLLFSGSKASIFEALAPSFQVAFSLMSYSLEGTDSLLPSRRRSLFTLATSMIMFFSRAFNVAPLIPICKSMLNERTMDPFLHLVQDTKLQAVKDCSEETYGSPEDDNNALKSLSAVELTQSQSRESMASTIMNNIRDLPDSELQTIRSQLLSDFSPDDMCPTSALFFELTVRNPGCDEDSSNQEDVLINMANDNDTFGEVYENTEATTASVPTANLLGIDELLESVVTDAPSQTARCSVSTAPNIPFKEMTNQCEVLSMEKQQKMSVLLSFKHKNQSNVLPINQADNTGAVHISSDDQNTNPFLQQSLDGYPKYVADGEALQVAADDVFQQQFLKLPASSPYDTFLKAAGC >ORUFI02G03470.1 pep chromosome:OR_W1943:2:2208683:2209045:1 gene:ORUFI02G03470 transcript:ORUFI02G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFREPRRKAAAAAEGESVRAALLVGGGGEEAAVPKGYFAVYVGAEARRFVVPTSYLRQPAFRGLMELAADEFGFAQEGGLRLPCREEDFQATVAALDARRRPASGGAIMSTMVKARSL >ORUFI02G03480.1 pep chromosome:OR_W1943:2:2212194:2220531:-1 gene:ORUFI02G03480 transcript:ORUFI02G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSDDKIVGGAILYDPAEHAVRVLPSMVEYKFWTQSFAVGDDLYVMETGQRARLGVHPQLRRMLVRHGERRVEKAGDWALPFRGRAEYVPEHGLWYGLSAADDGVLGAWDLSASTVAQPQPPPAAHPGCGVFEVPEPEAPYGTNVVHLGGGKLCVAKLYMVARPGTCSCPCCVGEEDMMKFAMLTGVEVARGGRGGDLSIVKHKSLRRIDTSRLFYPKDQLPRASPSSSSAAAAVEDARLPPAAMGFSASMNFMRTSDDKIVTVDDTGRRAILYDPAAHTVRSLPPMASPKFLTVSLAVAGDLYVMVTPPHPDKVGGGEGRRPEYSFEALVHRERRSGWMTNADEEARHWRPMPPPPFVHDAAAGEIHGYAAIGDSHILVSTDRATYSFDTASAAWSKAGDWRLPFRGRAEHVPEHGLCVGFLEMDDTVLAAWDVSASPQPRAPAASVQSKGFSVASPGEWKGLAAPREVASHVVHLGGGKLCVAKVFWVVRRGTCSYPCCVGEHDKVKFAMLTGVEVVPGGGHGGKPRIVKHKSVRH >ORUFI02G03490.1 pep chromosome:OR_W1943:2:2221197:2221829:-1 gene:ORUFI02G03490 transcript:ORUFI02G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSWPRTTSTPVSTAKFLSSDSKSQQYSVQVPRRETVYSLATHSLLSPMCTTCDEYTSVYSPRSTACDGRALRRDGPGTAKSLHPGCAGGGGSGCWTVEEENRSHALRTSSSAAEKPKQRPCSGTSLPTASDSVRNLGVTMAGNAPTLCLAGS >ORUFI02G03500.1 pep chromosome:OR_W1943:2:2227764:2228714:-1 gene:ORUFI02G03500 transcript:ORUFI02G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKKEKRREAGRRGGREEGGGAATVAGGATSAGSRRRQLQLGNTTTREEGSTATASIRSDSLPSPPFPFPPNPTPLLPLLRRGGDGDDTGGAGAPSARQKAAPPKRTRVFSLTDDVLV >ORUFI02G03510.1 pep chromosome:OR_W1943:2:2230580:2230768:1 gene:ORUFI02G03510 transcript:ORUFI02G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPTPDGAPSPRVDGGPARGARLTTRRGDGGSGAAAAGSGLPAVGSGGSTPDLAGGGGG >ORUFI02G03520.1 pep chromosome:OR_W1943:2:2239217:2241405:1 gene:ORUFI02G03520 transcript:ORUFI02G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPYVHAAGYENAVGDTCAYAAVGGSRILLSSRRHGTYSFDTARATWSKDGDWTLPFSDRAEFIPD >ORUFI02G03530.1 pep chromosome:OR_W1943:2:2242899:2243975:1 gene:ORUFI02G03530 transcript:ORUFI02G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRFLYMVDIFDKDGYGPQPYRLRRINPSHLFFPKDALPVPQSSSVATVVEDLPLPPTEITFCGSTEFMRRSDDKIVGVDRTTRRAILYDPAEHSVRVLPSMLAPKFNTKALAIGDDLYLMDMTPWPDKGDDRQGRRSGHSFEALIHRDRRPLNGGRLEDECYWRPLPPPPCVHAAGYRGSSGEIRGYAVVGDAHILVSTQSYGTYSFATANTAWSKAGDWALPFCGRAEYVPEHGLWFGLSAANDDVFGAWDLSSTVQQQPVVAHRGCKGFAVLETPYASYVVHLGDGKLCIAKLFMVARRETCSESWCDFDRDRRFCTMLTGVEVVRCNGDKLHIIKHRSCRYSFGEHYIPTYVL >ORUFI02G03540.1 pep chromosome:OR_W1943:2:2249071:2249485:1 gene:ORUFI02G03540 transcript:ORUFI02G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDRRGRGCSGGEIAMEQRMGGEIAVECTAAAADGEYLGSVGRWIAAAAAADGDDVGSCCGQSRQMGKTTAPAVVGEDLASVQCAAEAVECTAAAADGEYLGSVGVWGRPRLRG >ORUFI02G03550.1 pep chromosome:OR_W1943:2:2253566:2254574:-1 gene:ORUFI02G03550 transcript:ORUFI02G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVFAPHQVAILELPAVEAEAAAATAPGRMAANGMSSISKGGAENSEPMELLEERDKIIELSGNGPEVERNLGRDRVRSWLSDHFLICPQFFFLEAKIIATSVCLLETYNNSQNIRIGGLEMLLYWLEIQLVTANYPAPCNKSISLWY >ORUFI02G03550.2 pep chromosome:OR_W1943:2:2251988:2254574:-1 gene:ORUFI02G03550 transcript:ORUFI02G03550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVFAPHQVAILELPAVEAEAAAATAPGRMAANGMSSISKGGAENSEPMELLEERDKIIELSGNGPEVERNLGRDRVRSWLSDHFLICPQFFFLEAKIIATSVCLLETYNNSQNIRIGGLEMLLYWLEIQLTFMWLLEVLYKEEGDQ >ORUFI02G03560.1 pep chromosome:OR_W1943:2:2285281:2292902:1 gene:ORUFI02G03560 transcript:ORUFI02G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRFLYMVDIFDKSHGPISRRLRRINPSHLFYPNDALPVPQSSSSATVVEDAPLPPIDMTFCGSTEFMRRSDDKIVSVDRTTRRAILYDPAEHTVRVLPSMVEYKFWTKSFAVGDDLYVMETIPLPDKVDLGRDARPGRSFEALIHREPRPVDGDRIEDECYWRPLPPPPYVHAAGIRTDGQICGYAVVGESHILVSTHSYGAYSFDTASAAWSKVGDWALPFCGRAEFVPEHGLWLGLSVADDGVLGAWDLSSTIHHQQPPPVAHHGCKGFAVPEVPYASHVVHLGAGKLCVAKLFMVAHHRETCSHSCCDFDSDKRYFAILTGVEVVRCNGDKVDIIKHKSCRYSFVGSDPEPLLDTTAGVLLPLPPDSCNSIYGTYSVGVFQARISRKQGVDEVMDNSAGSTMRTGWSGEMDQ >ORUFI02G03570.1 pep chromosome:OR_W1943:2:2301368:2302018:1 gene:ORUFI02G03570 transcript:ORUFI02G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVPGRVMLRAFYDDVDMVPRTIVIKQIPNQGGQGESWTFSVFVLNNDFAGIQAPDEDLPPMGALDPDLENNHSNGPVQEEHLDNHAGGWGDWEQQGENQDQHVIGDSGVSQQKQNQNQNQNSDSNGNMQIVPFVPLVDPALEVVFTVAEEGPLYFISDETQGRIQEFFLRKELLEKLVNSLSSSFIPGLVTPSAPFSQLVLPKRKLSKDISIPR >ORUFI02G03580.1 pep chromosome:OR_W1943:2:2304655:2312169:-1 gene:ORUFI02G03580 transcript:ORUFI02G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVDRALACTATAGREAGAAVLSEAEIRQLYVEVKRVLLSQPKCTTSCASTPPSRSAI >ORUFI02G03580.2 pep chromosome:OR_W1943:2:2307977:2312169:-1 gene:ORUFI02G03580 transcript:ORUFI02G03580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVDRALACTATAGREAGAAVLSEAEIRQLYVEVKRVLLSQPKCTTSCASTPPSRSAEELYPSNPTGEIIPSNLIRLSTI >ORUFI02G03590.1 pep chromosome:OR_W1943:2:2307491:2307958:1 gene:ORUFI02G03590 transcript:ORUFI02G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMPDRLGFPGGGNLDGGTNRIVSDSATSMRNWRRGGDGCRSGGWQDTGETVMGARSAGRWSQRMGGEIGGGGGRDDLGGSGGLQRRWRMGKISSPAVRLRWPVTRRREDARESSRSEEGGGDSWGGVSAAGIYATESSRGRGIGGIAHKWRRG >ORUFI02G03600.1 pep chromosome:OR_W1943:2:2313191:2315550:1 gene:ORUFI02G03600 transcript:ORUFI02G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRRQRRRRKRECECQPRDGATATLRSPRRRRSPLRWPTSHRILSPPPSPSSPSWRSPSYPPSPRTPRLMPFIFRNARSIVAASSPAARQLSTHLGLV >ORUFI02G03610.1 pep chromosome:OR_W1943:2:2313479:2317139:-1 gene:ORUFI02G03610 transcript:ORUFI02G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGRGGGGVEAPVPAAARRGAEEGVAAGGGRTRRRGLLLLLAVAIGGRSGVAPDARIWAVSSLRRLWRGRRSYDLVADEGCARLHRCSAETAALGDGARRRRTSSDLRDGDATAVAEEVEEAAVARWRRRRERASAAEFEGTADMTATSRTARTAMEAATES >ORUFI02G03620.1 pep chromosome:OR_W1943:2:2316636:2319056:1 gene:ORUFI02G03620 transcript:ORUFI02G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLDSMRVSRACAFVDHGCGWSSHQQRPRFQNVAVAVRLALICWDVGSGRCSGCGDDCFFLGWEPPFADLAAADARISFHVCVPEDLWDASSSRNSDRARPIPATFSVMYGKVGISADTHPQFYLRLFLQRYVSYRYCSCLLAVAVAGQRVVHYFAI >ORUFI02G03620.2 pep chromosome:OR_W1943:2:2316636:2319056:1 gene:ORUFI02G03620 transcript:ORUFI02G03620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLDSMRVSRACAFVDHGCGCWDVGSGRCSGCGDDCFFLGWEPPFADLAAADARISFHVCVPEDLWDASSSRNSDRARPIPATFSVMYGKVGISADTHPQFYLRLFLQRYVSYRYCSCLLAVAVAGQRVVHYFAI >ORUFI02G03620.3 pep chromosome:OR_W1943:2:2316636:2319056:1 gene:ORUFI02G03620 transcript:ORUFI02G03620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSDYTLMRLLAQADAINFIFFTKMDIGGLLCCLQLCRVGLDSMRVSRACAFVDHGCGCWDVGSGRCSGCGDDCFFLGWEPPFADLAAADARISFHVCVPEDLWDASSSRNSDRARPIPATFSVMYGKVGISADTHPQFYLRLFLQRYVSYRYCSCLLAVAVAGQRVVHYFAI >ORUFI02G03630.1 pep chromosome:OR_W1943:2:2317144:2317491:-1 gene:ORUFI02G03630 transcript:ORUFI02G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLRHGSAAWEGGGGAVAARRPTMARGGMTSTESGGARGRLLGESMTHDGEGRDEVGVGCSHHRCSNSNASTATATAGPPPGRREEAPHRPGLEHGQVDGGTSSSLPSSSPPPP >ORUFI02G03640.1 pep chromosome:OR_W1943:2:2317589:2318441:-1 gene:ORUFI02G03640 transcript:ORUFI02G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKQGGNAQHSSAQTVEEQKETAVYLWHTDMEGYAGVGGSKAAQQPTNVHLGEEDEVDGVRLCQQPHQRVVAVPPKQKSPEISVERKKLTHISLARLTLVLAKKPQPGLSSRVADLRMNS >ORUFI02G03650.1 pep chromosome:OR_W1943:2:2318988:2320023:-1 gene:ORUFI02G03650 transcript:ORUFI02G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQATAHLQRQETPPTPRSDAPTPRSTDTASSVRLRHPSHQQAAVCIAGPRARRVAVLPLCTTLCPATATASRHEQ >ORUFI02G03660.1 pep chromosome:OR_W1943:2:2330354:2330545:-1 gene:ORUFI02G03660 transcript:ORUFI02G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSNFCRCDLLPCCAHDRNGGNVSPVRSQGRPPTSSRAPPASPLQFIQPCIGATEPAFTSTF >ORUFI02G03670.1 pep chromosome:OR_W1943:2:2336497:2337990:-1 gene:ORUFI02G03670 transcript:ORUFI02G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVCVVHRIQPNGSPHAYRSWKLSVPRIVFRGMYQMSMNENTQPQGPSFLEMLGHGDWLFNQPPIMQPQTTGMYNPEQMMGYAGSIQSYGEPCSYGGGSSTAQHDIGPLQHDEPPPITQPAQDYDDVDLRGVEVVR >ORUFI02G03670.2 pep chromosome:OR_W1943:2:2336497:2337969:-1 gene:ORUFI02G03670 transcript:ORUFI02G03670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPLVNGREGLRGSQDPAKWFPPCIQKLEALSSKDRFPLSTCRGMYQMSMNENTQPQGPSFLEMLGHGDWLFNQPPIMQPQTTGMYNPEQMMGYAGSIQSYGEPCSYGGGSSTAQHDIGPLQHDEPPPITQPAQDYDDVDLRGVEVVR >ORUFI02G03680.1 pep chromosome:OR_W1943:2:2345561:2347423:-1 gene:ORUFI02G03680 transcript:ORUFI02G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVVFVVGGSCAASSVHILHYGAPSPSSERCSRYVRRDRSHRSFKPPFEDTAAYLHALDPTVQYRDKHSLETCYEPLFFFRKHPAVEFHHPIDAPDSMFDRVILTEWAKPAMRGVLETISSHHSANLELTEFSLKDLKIFCNYQTPEFKPRFKLVCGTRNATCEGMRMNYIQAGQIFKEIVNASLGTPSSWDILIEDFQDLLQMLENPILEEAYLMRNHPALLALKLHSHFFMACYETLMRASLQSVFEVFKMLPYGMNDSSGHWKVRLEQHPYLWWMLHSQFTSYGDRNSEQCRYRRNYSCHKIHHLTKRTERFKWYSANDVDMLLYQYLPMSLPGLMRAMWDEGLLALFCLRLDELFTK >ORUFI02G03690.1 pep chromosome:OR_W1943:2:2349152:2355010:1 gene:ORUFI02G03690 transcript:ORUFI02G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVDPVDDGLPKKSQRIIDREDDYRRRRLARIISPERHDPFAAGEATPDPSVRTYADAMRENDLQKQKEQLLRDIAQKKKEEEEKAKEKKAVPEQQPVAAPKRRNRWDQSQDGDASAAAGSKKAKTSSDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGITPGATPSGAWDATPKLPGGLVTPTPKKQRSRWDETPASMGSATPGGTGAATPAGYTPGPTPFGGDNLATPTPGQIASRGPMTPEQYQLLRWERDIEERNRPLTDEELDTIFIPTHTHTSKKAARYTNTIGHTINRGQQFDVPKELPGGLPLMKPEDYQYFGTLLNEEEEEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTIEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGTPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLATLAEAAAPYGIESFDTVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPEFFRHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGPAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPALDDDGDNIYSRPELAMLVASEGGAMFRIYFPLGILTRFAEEFP >ORUFI02G03690.2 pep chromosome:OR_W1943:2:2349152:2350554:1 gene:ORUFI02G03690 transcript:ORUFI02G03690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVDPVDDGLPKKSQRIIDREDDYRRRRLARIISPERHDPFAAGEATPDPSVRTYADAMRENDLQKQKEQLLRDIAQKKKEEEEKAKEKKAVPEQQPVAAPKRRNRWDQSQDGDASAAAGSKKAKTSSDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGITPGATPSGAWDATPKLPGGLVTPTPKKQRSRWDETPASMGSATPGGTGAATPAGYTPGPTPFGGDNLATPTPGQIASRGPMTPEQYQLLRWERDIEERNRPLTDEELDTMVQDS >ORUFI02G03690.3 pep chromosome:OR_W1943:2:2350704:2355010:1 gene:ORUFI02G03690 transcript:ORUFI02G03690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQEGYKILEPPASYQPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKELPGGLPLMKPEDYQYFGTLLNEEEEEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTIEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGTPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLATLAEAAAPYGIESFDTVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPEFFRHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGPAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPALDDDGDNIYSRPELAMLVASEGGAMFRIYFPLGILTRFAEEFP >ORUFI02G03690.4 pep chromosome:OR_W1943:2:2350704:2355010:1 gene:ORUFI02G03690 transcript:ORUFI02G03690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQEGYKILEPPASYQPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKELPGGLPLMKPEDYQYFGTLLNEEEEEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTIEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGTPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLATLAEAAAPYGIESFDTVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPEFFRHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGPAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPALDDDGDNIYSRPELAMFGILTRFAEEFP >ORUFI02G03700.1 pep chromosome:OR_W1943:2:2355788:2360842:1 gene:ORUFI02G03700 transcript:ORUFI02G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGPAVILNYCLQGLFHPARKLAAPLAVLAAAALAVELAVDGSASASSSPLRRFRTRPGASSGILLGATTLPSVMLSRVLLADPNGREEYAYLEMQYWAVSVSCLGMLSFFVWHLRQSPSNGNSIASKYGLLLIILYPLAYLFHLLLKTDGGEAILVSSGLVLYCGDMLAHTLSKMEFSVSSEAFIRAPGTRNEIGTVIQGVLLGLFLLPLLYKSSLQVLAYCRKLESQRTQTVEECTQKRIDCGVFYVSLLIALLLLVPSWTRLIQGFEVHPFVWVFNYIFTDSRERLALCAYWIFVIYVSIRRFYSISKQSKTERILLRKYYHLVAVLIFSPAVIFQPDFLDLAFGAAFAVFLILEMVRVWEIYPLGHIVHQFMSAFTDHRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTGSGETYFTPQLHKQLGKNSKLRVKPYAARSLKYGDLVQN >ORUFI02G03710.1 pep chromosome:OR_W1943:2:2362402:2366537:1 gene:ORUFI02G03710 transcript:ORUFI02G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKHYDSKMQELLHQGDNEEFFTSYDEVFESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQSLVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVTIEELPANVADLL >ORUFI02G03720.1 pep chromosome:OR_W1943:2:2368335:2376022:1 gene:ORUFI02G03720 transcript:ORUFI02G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPENPNGAGPAAPSDPAQPQPQPPAKSKWKKKDEKKDDDLSEEDLALKEQLELYVVRAQDADPGVQKLALESMRNLAGEIAQEFQKRQDDDMPIDALMELVQQIVSFHMKHNAEPEAVDLLMEVEDLDLLVEHVDSTNYKRTCLYLTSSSKYLPAPDDMLALDIAYTIYMKFEDLTSALRIALLLDNKYVKQVYTATEDLQLKKQFSFIIARHGLAMEIDDEIAADGNDKEGLQEIVNNSKLSEGYLTLARDIEVMEAKSPEDIYKVHLIDGRGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGASGNWLFKNKEHGKASAAASLGMILLWDTDSGLAQLDKYLHSNDAHVVAGALLGIGIVTCGVKNDCDPALAILMEYINKDDTNIRIGAILGLGIAYAGSQKEELKSYLSTVLGDSEKSTLEVLIFSAIALGLVFVGSCNEEIAQSIIFALMERSEAELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRKYCDVTLMSLAYAGTGNVLKVQKLLGICSQHLEKGETHQGPAVLGIALIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGILCISNPKVNVIDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVRIAQGLVHLGKGLLTLSPYHSDRMALGGLVTVLHACLDMKSTILGKYHYILYIIVLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLTSVLEGFVILKKNPEYNEE >ORUFI02G03720.2 pep chromosome:OR_W1943:2:2368335:2376022:1 gene:ORUFI02G03720 transcript:ORUFI02G03720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPENPNGAGPAAPSDPAQPQPQPPAKSKWKKKDEKKDDDLSEEDLALKEQLELYVVRAQDADPGVQKLALESMRYLPAPDDMLALDIAYTIYMKFEDLTSALRIALLLDNKYVKQVYTATEDLQLKKQFSFIIARHGLAMEIDDEIAADGNDKEGLQEIVNNSKLSEGYLTLARDIEVMEAKSPEDIYKVHLIDGRGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGASGNWLFKNKEHGKASAAASLGMILLWDTDSGLAQLDKYLHSNDAHVVAGALLGIGIVTCGVKNDCDPALAILMEYINKDDTNIRIGAILGLGIAYAGSQKEELKSYLSTVLGDSEKSTLEVLIFSAIALGLVFVGSCNEEIAQSIIFALMERSEAELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRKYCDVTLMSLAYAGTGNVLKVQKLLGICSQHLEKGETHQGPAVLGIALIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGILCISNPKVNVIDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVRIAQGLVHLGKGLLTLSPYHSDRMALGGLVTVLHACLDMKSTILGKYHYILYIIVLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLTSVLEGFVILKKNPEYNEE >ORUFI02G03720.3 pep chromosome:OR_W1943:2:2368335:2376022:1 gene:ORUFI02G03720 transcript:ORUFI02G03720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPENPNGAGPAAPSDPAQPQPQPPAKSKWKKKDEKKDDDLSEEDLALKEQLELYVVRAQDADPGVQKLALESMRYLPAPDDMLALDIAYTIYMKFEDLTSALRIALLLDNKGLAMEIDDEIAADGNDKEGLQEIVNNSKLSEGYLTLARDIEVMEAKSPEDIYKVHLIDGRGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGASGNWLFKNKEHGKASAAASLGMILLWDTDSGLAQLDKYLHSNDAHVVAGALLGIGIVTCGVKNDCDPALAILMEYINKDDTNIRIGAILGLGIAYAGSQKEELKSYLSTVLGDSEKSTLEVLIFSAIALGLVFVGSCNEEIAQSIIFALMERSEAELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRKYCDVTLMSLAYAGTGNVLKVQKLLGICSQHLEKGETHQGPAVLGIALIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGILCISNPKVNVIDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVRIAQGLVHLGKGLLTLSPYHSDRMALGGLVTVLHACLDMKSTILGKYHYILYIIVLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLTSVLEGFVILKKNPEYNEE >ORUFI02G03730.1 pep chromosome:OR_W1943:2:2377072:2379879:-1 gene:ORUFI02G03730 transcript:ORUFI02G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTILGAWNLSSTIQQPQPPVASLQLKGFSVESHSDDRRRELEVYTSQVVHLGGGKLCVAKMFSVNRRERGKINFAMLTGVEVVRCRGGKLRIVKHKSSAWSKAGDWELPFRGRAEHVPEHGLWFGISDMDGTILGAWNLSSAFQQPQPPVASLQVKGFSVESHSDDRRRRELEVYASQVVHLGGGKLCVAKMFSVDRRERGEINFAMLTGVEVVRGRGGKLRVVKHKSRRYNFGQDYTPVYLL >ORUFI02G03740.1 pep chromosome:OR_W1943:2:2385120:2390043:-1 gene:ORUFI02G03740 transcript:ORUFI02G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNLQEYAYLEMQYWAVSVSCLSMLAFFVWHLRQSPSNGNSIASKYGLGGHFGLKWSCFFTVVICWLILFQRGFCLVFFFSPCYTKAPFKFWLTVENWRVKEHKQLRNAHRRELTVPDFLDLAFGAAFAVFLILEMVRVWEIYPLGHIVHQFMSAFTDHRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTGSGDTCFTPQLHKQLGKNSKLR >ORUFI02G03750.1 pep chromosome:OR_W1943:2:2392849:2396687:-1 gene:ORUFI02G03750 transcript:ORUFI02G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDAELARAQEERKKMEEALAAGAPMAVSSVTFDTDLYGGGGSDPNRFAGYDTSIPASEDDAPEDESEAAVNPAARRLASYTGHAVAAADIPRAAEDDGLPKNASSTARMTIAGGGWPASSRRSAMIPSPLVRPPRTLPCGHMLMPCARMTCRSRRSSCCLRRKKEEEEKAKEKKAVPEQQPVAAPKRRNRWDQSQDGDASAAVGSKKAKTSSDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGITPDKAREFGAGPLFNKILPLLMQPTIEDQERHLLVKVIDRVLYKLDELVRPVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGTPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLATLAEAAAPYGIESFDTVLKPLRMALDRRNYKQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVFGSILGALKAIVNVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGAQDALVAAYPALDDDGDNIYSRPELAMFV >ORUFI02G03760.1 pep chromosome:OR_W1943:2:2399005:2405473:1 gene:ORUFI02G03760 transcript:ORUFI02G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRFKEEDLGLRVISLGKCSRHLVHAPRSAWFMLHAPSRRSFSTSASSSPCTSPPAPPETSPPNTSTPAPPAPDVPENSSPPDYDLCSDRCSLGDMLEASYDPVEFVRNFPAVMFSKPKNAPDTLYDRWVFEGWFQSAIRDILSKLEDHHIAGLEFEDINTETMTIACNIDSSEFYPRFNFHGKTRNITFEGQKRNYTQLGSTLEKLIAISASASNVHAYHHQLLTEDAKDLLNKMRHPSLDRFEVYMLRNHPSLLQIEAHASFYLTCFDHLKLLPKTPVKNFFKELPYAVGDQHGHWIAIAHQHPLLSMQLSHGYGISNWSQATYRRDGYCHRTEPGSKYSIVQTHRIYHQYFPRTLPAMMWGMMALRQHKGQRTVDVRFTLNSPVPVFFDKDKHASLNNIDSHDLHLWRKEDQRGKDCRIKCKFRDFFSLRSNMTPKKKLVKPPSGSNASKVTGPKAGPDQIDDTLEHQEEGVAEEDMQDKLTEHSVSQRLPLIIPDKIQRSKGKGQKKAKVAGKATKKGTRKTQTTKRTKKAKK >ORUFI02G03770.1 pep chromosome:OR_W1943:2:2407122:2413191:1 gene:ORUFI02G03770 transcript:ORUFI02G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPEKKPLCYTSRRALQQRTESSSELISVSKRATRQNTPRKPDSPPKRTTRSSANLAKCIENKHHSSPLKRRRGSDAATGKSATGPTRRKHKQKRKNDESDEVSRMEKRARYLLIKIKQEQNLLDAYSGDGWNGHSREKIKPEKELQRAKKQIMKYKIAIRDVIHQLDLCSSSGSKDDSVIPPDGCHESVNPEHTICSRCKSHESFPDNNIIFCEGGCKLACHQKCLEPPFDKILPTTRHGRLCKHCSSKMKILDAINAHLGTSFTVKCPSSDIFKEAAEHFNSDDGLGQDWLSEYSGDEDYDPEENEASSSGEENKSADSNCSGSPLYSPNDDIPDFISADFNDAEGFCRESSNLGIDFGEDGLAEILTHQRPRRDVDYTQLNEQMFGEPIGNDEQSEDEDWGLNKRKKRRTGSTGVGTNSVEGRSDVKSNKKAQPRRKLFRIPPAAVEVLRKAFAENELPARSVKENLSTELGISFEKIDKWFKNTRCAALRDRKGESRYSGPSKRSRTSIEKAETSAKVDQMDNSCFLPLSEIINVPTRLQKGLDKKPKSINSPPRPQDNETCLSPTDKTKEGTPPTIKPSITDSSQLMNNNIGTEETAVSWVDTWASDALHFLDVSDDEHFFDVIEKVCGLENRLQRLKENMLSSSSSTDNNVAAESGLQNEVVLVPAAELKDKAS >ORUFI02G03770.2 pep chromosome:OR_W1943:2:2407122:2413191:1 gene:ORUFI02G03770 transcript:ORUFI02G03770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPEKKPLCYTSRRALQQRTESSSELISVSKRATRQNTPRKPDSPPKRTTRSSANLAKCIENKHHSSPLKRRRGSDAATGKSATGPTRRKHKQKRKNDESDEVSRMEKRARYLLIKIKQEQNLLDAYSGDGWNGHSREKIKPEKELQRAKKQIMKYKIAIRDVIHQLDLCSSSGSKDDSVIPPDGCHESVNPEHTICSRCKSHESFPDNNIIFCEGGCKLACHQKCLEPPFDKILPTTRHGRLCKHCSSKMKILDAINAHLGTSFTVKCPSSDIFKEAAEHFNSDDGLGQDWLSEYSGDEDYDPEENEASSSGEENKSADSNCSGSPLYSPNDDIPDFISADFNDAEGFCRESSNLGIDFGEDGLAEILTHQRPRRDVDYTQLNEQMFGEPIGNDEQSEDEDWGLNKRKKRRTGSTGVGTNSVEGRSDVKSNKKAQPRRKLFRIPPAAVEVLRKAFAENELPARSVKENLSTELGISFEKVCCVVLNNLFLTYVNSPGYFVLLVNFWQHSPDHTPKFNFQNTANNVYDF >ORUFI02G03770.3 pep chromosome:OR_W1943:2:2407122:2413191:1 gene:ORUFI02G03770 transcript:ORUFI02G03770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPEKKPLCYTSRRALQQRTESSSELISVSKRATRQNTPRKPDSPPKRTTRSSANLAKCIENKHHSSPLKRRRGSDAATGKSATGPTRRKHKQKRKNDESDEVSRMEKRARYLLIKIKQEQNLLDAYSGDGWNGHSREKIKPEKELQRAKKQIMKYKIAIRDVIHQLDLCSSSGSKDDSVIPPDGCHESVNPEHTICSRCKSHESFPDNNIIFCEGGCKLACHQKCLEPPFDKILPTTRHGRLCKHCSSKMKILDAINAHLGTSFTVKCPSSDIFKEAAEHFNSDDGLGQDWLSEYSGDEDYDPEENEASSSGEENKSADSNCSGSPLYSPNDDIPDFISADFNDAEGFCRESSNLGIDFGEDGLAEILTHQRPRRDVDYTQLNEQMFGEPIGNDEQSEDEDWGLNKRKKRRTGSTGVGTNSVEGRSDVKSNKKAQPRRKLFRIPPAAVEVLRKAFAENELPARSVKENLSTELGISFEKGESRYSGPSKRSRTSIEKAETSAKVDQMDNSCFLPLSEIINVPTRLQKGLDKKPKSINSPPRPQDNETCLSPTDKTKEGTPPTIKPSITDSSQLMNNNIGTEETAVSWVDTWASDALHFLDVSDDEHFFDVIEKVCGLENRLQRLKENMLSSSSSTDNNVAAESGLQNEVVLVPAAELKDKAS >ORUFI02G03780.1 pep chromosome:OR_W1943:2:2418530:2418901:-1 gene:ORUFI02G03780 transcript:ORUFI02G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAATSIGFERDGLIYPTNEEGTVVAPEIGEASLSWRARRGDRRWRRLELKAGWREGGTSARREKGEVALVLSCHHRLRLDPMSPRTVWESSVAPLSASSSAASGPNEEAVMPPLLCLVFAT >ORUFI02G03790.1 pep chromosome:OR_W1943:2:2425229:2428962:1 gene:ORUFI02G03790 transcript:ORUFI02G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCIPTGLRLDLDIVKAATSPGAHSSPLRPAHSSPSSTLSEASNTSSSSATSVSLKRARAPRKRPNQAYNEAAALLASIHPSVFPVKKSPKTASRPPTRQLSGLSAVFDPSSDLLPPLPVLADAAFLLRDTPPTPRQNCSSPAPVSSAFREFRDPAPLSPASPHTTDEPGELDFDDDCFLDVDEAAADGAAGGIDGIMGSLTVETNTAAATTSDDSILSSSGIHPYLRSLMVVGLAGRFELGLGSRHGARPNLNRALKRRDDDGAWWMWPAVPVKDITVAPPPPTPTPTPPRPQGTASNTTAAMPSPPSAPEKKKSKKKKVVKVEKVMAREKEEEESPPDARCKEEEAADGAVDAGNGDGAPTMAPKTGLGLKLDADDVLKAWSGKGSMFADGGAPESPKSAAEVRAKLADIDLFPENGNGAGGIREASVMRYKEKRRTRLFSKKIRYQVRKVNADCRPRMKASTRTDS >ORUFI02G03790.2 pep chromosome:OR_W1943:2:2425229:2428962:1 gene:ORUFI02G03790 transcript:ORUFI02G03790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCIPTGLRLDLDIVKAATSPGAHSSPLRPAHSSPSSTLSEASNTSSSSATSVSLKRARAPRKRPNQAYNEAAALLASIHPSVFPVKKSPKTASRPPTRQLSGLSAVFDPSSDLLPPLPVLADAAFLLRDTPPTPRQNCSSPAPVSSAFREFRDPAPLSPASPHTTDEPGELDFDDDCFLDVDEAAADGAAGGIDGIMGSLTVETNTAAATTSDDSILSSSGIHPYLRSLMVVGLAGRFELGLGSRHGARPNLNRALKRRDDDGAWWMWPAVPVKDITVAPPPPTPTPTPPRPQGTASNTTAAMPSPPSAPEKKKSKKKKVVKVEKVMAREKEEEESPPDARCKEEEAADGAVDAGNGDGAPTMAPKTGLGLKLDADDVLKAWSGKGSMFADGGAPESPKSAAEVRAKLADIDLFPENGNGAGGIREASVMRYKEKRRTRLFSKKIRYQVRKVNADCRPRMKASTRTDS >ORUFI02G03800.1 pep chromosome:OR_W1943:2:2430096:2432251:-1 gene:ORUFI02G03800 transcript:ORUFI02G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMVDPPNGMGNQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKIHNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSPQGLSNDHCQYFLFQLLRGLKYLHSAEILHRDLKPGNLLVNANCDLKICDFGLARTNSSKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSESDLEFIDNPKARRYIKSLPYTPGVPLASMYPHAHPLAIDLLQKMLIFDPTKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENISADMIREMMWHEMLHYHPEVVAAMSAR >ORUFI02G03810.1 pep chromosome:OR_W1943:2:2435236:2446020:1 gene:ORUFI02G03810 transcript:ORUFI02G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKGGGGGGGNRNRTDLLAAGRQKLQQFRKKKEKRGPGKKAEAKADAAEAEEGSSKSGADAEEAMPEPKSPVGLKLLAGEGGASHRTPFEEAARSQVEQCNGQGPDTAESCDVDNADVLPVQEGGDGGGNAQDVGVSEHGSLEHVNPGPGDGEGATIPVTGADGSGLLIEGAQPVEMDVDEKLPDNSLKENTELCTSSQGDIADDNGDSQAEEHQQVEMYPVERPTSSDSKEITDIIGHSQDIGAGNTNKGEGRARETEIDVSGMPSGAVVECEGELNVRASHEASESTSREDTDKEADALGEEAAVQEDPGVANATEGVVTVDDLSLHAKSIGAVSLPPHKEIDQALLARDISQDMAPYHLEDIQRHLYLATMSRDFLQLQMDESADLNTDDTPQSSNEVINLQVLLEETEKSKLAVCEELQQCRHELSDMNTVKEELELTVASLTDRINTSNNKCEHLEFELQSSKENTQQILNELAGCRAMLEALQKENLELTATLTFEKEARKEVEEQREHLCSENKRVLSNLSDLELSLASLKEEMNDGSNRCADLECELRSTKENMERTLVELASCRNSLETLQNDNLELSANSSFEKEAIKKLEEDNLCLSNEKQGLLLDLSETKEELHLSYAKHEHLESHARDMETYFGQLTEQLIEENIYTSTSVDIYQTITKELYAKCNVVLGEARNAHQDNEACLDSSEIIVENVERETTSPELIGHDDNQRPLLVAENDSCNSTALQSLKGHLKVAKGDLRDLQKLVERISSRSDGRVLVSKLIQSFESKGNQEDLGMSEGEHDNLRKLTQEMICRLVEKLKAMTSDIAKTEEYVAELCNRIELSVKFMSQHEAEIEHTAVLVAKMDGFAGKLSNYKDTIDQLVSQVANVHQDADNHAGRLIDQAELLQNDVTERISTLEKERTSLTDVLMEVTDKLSALSKNALPSDLGGSEGLGSLALSSVECAAKLVQNLQEKLEHAQTDNAKLNASLVELKTAHSDVQERSKHAHGIVKKMYISLQELLFNSLGNPDESGVEYNAEEPIEALFSQYGDIVEHLKSLLHERQYLLSKNTDLESRLLSKCEETEALSSSLTKSMNDFSLLNEELKSVSTSRIEAQDELHGRCLAIAEKMVHRSTSHSSTVLSSMEMSSKANHILTTLLPCIEEGVASYIEEFENMAEEIRLSKICLQESNIIGQSSSEKWSVSLPVLIKEEIVPIFFDLQGRIDQLSTLNIQLETEVPVLRDGLTKLDSALETSRAELQKKVFELEQSEQKLSSVKEKLSIAVAKGKGLIVQRDSLKQTLLEKSGELEKLAHELQSKDSLLIELEAKIKSYADADRIEALESELSYIRNSATALRDSFLQKDSVLQRIEEVLEDLDLPENFHFRDIVEKIELLSKMAVGASFTVPDGNKQSSVDGNSESGAAIDSINDEQNSNSNSGAEEIKIKYDELHRRFYELAEHNNMLEQSLVERNNLIQKWEEVLGQISIPQQFRMLEPEDRIAWLGNRLLEVEHERDALHLKIEHLEDSSEMLISDLEESHKRISELSAEIVAVKAEKEFFSQSLEKLRFDFLGLSEKAVQDEFVRDNLRKDLAELQEKLAEKTEESKLYHDMEMEIKKLMDLVRDALQDDSNTEIPSGAGVGAAVLCLGSLLSRLIDGYKTHLSESTVRSSAEMETLSETKISKDASTSERGMEEKEMALNTLSGELEHTRNSLALVEQQRDEAVEKTQLLTIELETLRAQIDQLQGDGAEQMNRYQSLMLELESMTKQRDDLQEKLGQEEQKCTSLREKLNVAVRKGKGLVQHRDSLKQTMEEMNTMIEKLKVERKQHIESLESERSSLMGRLAENEKSLHDATQYLSRLLNSLSTVDIGREFDTDPITKVENFSKFCLDLQNEVKKSKQATELLLAELNEVHERADNLQDELVKAEAALSESFKQNSVVESARADAVRHLERIMHMQSQTKRKQIDHLMELNSTSSQLREIFSELLHHLLNTFSKDVDIINYMESFVKSSDKWMDSTSMVEIPITSNHHLSNSISSKMAHIPNVPLKITLDNADETQILHHLATACHAVADCVNDCNDLKSRIHEHGFSVDRKAADLFNVMSNLQNKFTSQNTELESLRENIIELQSEIKQRDEEILSMRRNLSLLYEACTSSVSEIEGMTGIESGDHSCSVVQNHLSADDHIKSVVNQLVAAIKTTQNSNEGNTKELKATVLELQQELQEKHIQISTISAELASQVKEAESSAKQLSVELENARMEIHNLEKHSEMLLNQKKNLETQVSELKDMEAVAHDQHGRIKDLSDELSKKDQEIEGLMQALDEEERELEVLENKSNDLEKMLQEKEFALKSLEVSRTKALTKLATTVDKFDELHSLSESLLAEVENLQSQLQERDSEISFLRQEITRSTNELLTTEESNKKYSSQINDFTKWLETALLQFSVHCDSTNDYECTQVPVYMDMLEKKIGSLISESDELRVTLQSKDSLLQAERTRMEELLRKSEALESSLSQKDSQIGLLRRDRTSGQPSRFINLPGTSEIEQVNEKVSPAAVVTQIRGARKVNTDQVAIDVEVEKDKPLDDEDDDKAHGFKSLTMSHIVPKFTRPISDRIDGMWVSGDRLLMRQPTLRLGL >ORUFI02G03810.2 pep chromosome:OR_W1943:2:2435981:2446020:1 gene:ORUFI02G03810 transcript:ORUFI02G03810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQLQQFRKKKEKRGPGKKAEAKADAAEAEEGSSKSGADAEEAMPEPKSPVGLKLLAGEGGASHRTPFEEAARSQVEQCNGQGPDTAESCDVDNADVLPVQEGGDGGGNAQDVGVSEHGSLEHVNPGPGDGEGATIPVTGADGSGLLIEGAQPVEMDVDEKLPDNSLKENTELCTSSQGDIADDNGDSQAEEHQQVEMYPVERPTSSDSKEITDIIGHSQDIGAGNTNKGEGRARETEIDVSGMPSGAVVECEGELNVRASHEASESTSREDTDKEADALGEEAAVQEDPGVANATEGVVTVDDLSLHAKSIGAVSLPPHKEIDQALLARDISQDMAPYHLEDIQRHLYLATMSRDFLQLQMDESADLNTDDTPQSSNEVINLQVLLEETEKSKLAVCEELQQCRHELSDMNTVKEELELTVASLTDRINTSNNKCEHLEFELQSSKENTQQILNELAGCRAMLEALQKENLELTATLTFEKEARKEVEEQREHLCSENKRVLSNLSDLELSLASLKEEMNDGSNRCADLECELRSTKENMERTLVELASCRNSLETLQNDNLELSANSSFEKEAIKKLEEDNLCLSNEKQGLLLDLSETKEELHLSYAKHEHLESHARDMETYFGQLTEQLIEENIYTSTSVDIYQTITKELYAKCNVVLGEARNAHQDNEACLDSSEIIVENVERETTSPELIGHDDNQRPLLVAENDSCNSTALQSLKGHLKVAKGDLRDLQKLVERISSRSDGRVLVSKLIQSFESKGNQEDLGMSEGEHDNLRKLTQEMICRLVEKLKAMTSDIAKTEEYVAELCNRIELSVKFMSQHEAEIEHTAVLVAKMDGFAGKLSNYKDTIDQLVSQVANVHQDADNHAGRLIDQAELLQNDVTERISTLEKERTSLTDVLMEVTDKLSALSKNALPSDLGGSEGLGSLALSSVECAAKLVQNLQEKLEHAQTDNAKLNASLVELKTAHSDVQERSKHAHGIVKKMYISLQELLFNSLGNPDESGVEYNAEEPIEALFSQYGDIVEHLKSLLHERQYLLSKNTDLESRLLSKCEETEALSSSLTKSMNDFSLLNEELKSVSTSRIEAQDELHGRCLAIAEKMVHRSTSHSSTVLSSMEMSSKANHILTTLLPCIEEGVASYIEEFENMAEEIRLSKICLQESNIIGQSSSEKWSVSLPVLIKEEIVPIFFDLQGRIDQLSTLNIQLETEVPVLRDGLTKLDSALETSRAELQKKVFELEQSEQKLSSVKEKLSIAVAKGKGLIVQRDSLKQTLLEKSGELEKLAHELQSKDSLLIELEAKIKSYADADRIEALESELSYIRNSATALRDSFLQKDSVLQRIEEVLEDLDLPENFHFRDIVEKIELLSKMAVGASFTVPDGNKQSSVDGNSESGAAIDSINDEQNSNSNSGAEEIKIKYDELHRRFYELAEHNNMLEQSLVERNNLIQKWEEVLGQISIPQQFRMLEPEDRIAWLGNRLLEVEHERDALHLKIEHLEDSSEMLISDLEESHKRISELSAEIVAVKAEKEFFSQSLEKLRFDFLGLSEKAVQDEFVRDNLRKDLAELQEKLAEKTEESKLYHDMEMEIKKLMDLVRDALQDDSNTEIPSGAGVGAAVLCLGSLLSRLIDGYKTHLSESTVRSSAEMETLSETKISKDASTSERGMEEKEMALNTLSGELEHTRNSLALVEQQRDEAVEKTQLLTIELETLRAQIDQLQGDGAEQMNRYQSLMLELESMTKQRDDLQEKLGQEEQKCTSLREKLNVAVRKGKGLVQHRDSLKQTMEEMNTMIEKLKVERKQHIESLESERSSLMGRLAENEKSLHDATQYLSRLLNSLSTVDIGREFDTDPITKVENFSKFCLDLQNEVKKSKQATELLLAELNEVHERADNLQDELVKAEAALSESFKQNSVVESARADAVRHLERIMHMQSQTKRKQIDHLMELNSTSSQLREIFSELLHHLLNTFSKDVDIINYMESFVKSSDKWMDSTSMVEIPITSNHHLSNSISSKMAHIPNVPLKITLDNADETQILHHLATACHAVADCVNDCNDLKSRIHEHGFSVDRKAADLFNVMSNLQNKFTSQNTELESLRENIIELQSEIKQRDEEILSMRRNLSLLYEACTSSVSEIEGMTGIESGDHSCSVVQNHLSADDHIKSVVNQLVAAIKTTQNSNEGNTKELKATVLELQQELQEKHIQISTISAELASQVKEAESSAKQLSVELENARMEIHNLEKHSEMLLNQKKNLETQVSELKDMEAVAHDQHGRIKDLSDELSKKDQEIEGLMQALDEEERELEVLENKSNDLEKMLQEKEFALKSLEVSRTKALTKLATTVDKFDELHSLSESLLAEVENLQSQLQERDSEISFLRQEITRSTNELLTTEESNKKYSSQINDFTKWLETALLQFSVHCDSTNDYECTQVPVYMDMLEKKIGSLISESDELRVTLQSKDSLLQAERTRMEELLRKSEALESSLSQKDSQIGLLRRDRTSGQPSRFINLPGTSEIEQVNEKVSPAAVVTQIRGARKVNTDQVAIDVEVEKDKPLDDEDDDKAHGFKSLTMSHIVPKFTRPISDRIDGMWVSGDRLLMRQPTLRLGL >ORUFI02G03820.1 pep chromosome:OR_W1943:2:2446293:2449892:1 gene:ORUFI02G03820 transcript:ORUFI02G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVRSPVTSPPAIAGAASPSPSRSLSRRRAPPDAAAFPKPWVIPSASVKSAACLQLQQARAPRRCARLLASAAEGGRRGEEGSPASSSDADDQAEALPFLESDSHHTQTSKHGESGGSNSGGSRAGLFRTPISGGVHTATSVHDLPPPARAVRNLMEQARFAHLCTVMSRMHHRRAGYPFGSLVDFAPDPMGHPIFSLSPLAIHTRNLLEDPRCTVVVQVPGWSGLSNARVTIFGDVVPLPADLQEWAHQQYVLKHQQWASHQWGNFYYYKMQTISDIYFIGGFGTVAWLDVKEYEALKPDKIATDGGEQSLKELNAMYSKPLKELLSTEIEVDDAALISIDSKGIDIRVRQGAQFNIQRIAFELHYSVETLEEAKEAIRRILGKSRWHAKF >ORUFI02G03830.1 pep chromosome:OR_W1943:2:2451047:2455092:1 gene:ORUFI02G03830 transcript:ORUFI02G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHHEAKPYQPRRGPAPADEEAAPAAAADEAEAEAEVEAMERYEQEQEYEEGEEGEEEEYEGGEGVPMDADASAAAVAGMDPHGEMVPVAGGEAGGGYPHVASNTLTLSFQGEVYVFESVSAERVQAVLLLLGGRELAPGSGSVPSSSAAYSKKMNFPHRMASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKSKAEEATSVITSSEGSPNWGAVEGRPPSAAECHHCGISAASTPMMRRGPDGPRTLCNACGLMWANKGTMREVTKGPPVPLQIVPAATNDVQNGIVEATGVEQHNSAVEEAVSAANGHESQSGVA >ORUFI02G03840.1 pep chromosome:OR_W1943:2:2455363:2458026:1 gene:ORUFI02G03840 transcript:ORUFI02G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAAGDEELESLLRNFHRFSQGYKDALVEAQALRVNCSSESERRQALESHVADLKSDNERLRRLYTETLFKFTNQMKFHTESRNLKEELEKANTRLLSMEEEYKREIEQLKLGSEMNSNDLENKLSCAVVQQATNEAVIKQLNLELEAHKAHIDMLNSRLEQVTADVHQQYKNEIQDLKDVVIVEQEEKNDMHRKLQNTENELRIMKMKQAEQQRDSISVQHVETLKQKVMKFRKENESLKRRLAISELDCS >ORUFI02G03850.1 pep chromosome:OR_W1943:2:2461478:2462099:-1 gene:ORUFI02G03850 transcript:ORUFI02G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQCLQWAEFCTIHLRVGGEEMADPAAAPHPTCADPAALGCVRCGCGRHDGGSSVVLARVMADTTVTSDCGREARRRRIRPPLCPTHTARPPRRRA >ORUFI02G03860.1 pep chromosome:OR_W1943:2:2462564:2463399:1 gene:ORUFI02G03860 transcript:ORUFI02G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLSEVTTLTCSTSYDLVVLVLWPRASHRVTGHGATRLSCPTPATTTTTTTSNLCSFLNRVVSAWLVCAVLTLFLFNLLWFYPVDALWNAALRSVVTSGKLGKHPSMAGGGEEARCDYWEGRWVAPIVLAFLLLAVRLALPKNAAKEVAYSDLLTGLRAGDVTAVAFEEDSRRIYFHRATDDVGGGGGRSASAARWLCYTRRVPHDEGFLLGLMRDGGVDYRSAPRLAGRLLVYMLSTLLALWVDWWSGGGGRVLRSPMTGEAAGEAEKERKG >ORUFI02G03870.1 pep chromosome:OR_W1943:2:2465366:2467264:1 gene:ORUFI02G03870 transcript:ORUFI02G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMLRFPVDPDLRSPPPPPPPPPPPPRDDGHRTRVDLPLFHPPPPPSPTPGLKRDRSPEGELLPLPPPLGSSRPDRLSDHLEGAAAPPRAEMRSASPTPTSERSPSPPLRLDDPVGCRSPPRGERFATIGLEKERAREMDRDTSCYAQYCFNYGYDGLCNGQGNAQSDPNAKGGMTVEEQPVPVYIALDY >ORUFI02G03880.1 pep chromosome:OR_W1943:2:2475662:2477582:-1 gene:ORUFI02G03880 transcript:ORUFI02G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYVLAKEQTYAEQGNCDIYRGADDVGSLIQFSLKNLGNARTPLAADNVTFRAYGTKVHGTAGKAYIYWSMNILETIRIGVLTDLSIGTHMDFYLFILNLLQPSCTGRKSPGGEADVRPSCAGSGSPDGGVGGRLGGASLRLTPQVAVLRGEHITQQRRVVPRQRGVSSPRAHGKVGEEHLDDAGGEAPESVVRSAMNAQTTREAKPLSP >ORUFI02G03890.1 pep chromosome:OR_W1943:2:2477720:2479313:1 gene:ORUFI02G03890 transcript:ORUFI02G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVFGSGRENSEAPTVVRIEAWGARSITSTLGLVFCRALQPTPSNRGEGEEGRRLSGGWKVATPAAGGVPEHHAPGEGGGWRRGRGAVVRGGCRGGSQGRHGDGSGVGRRMTAAGYFVTTEGVGGGVRRARVQHAHLEEGGLAMGRFGPARLSWAGSPRRRRKIEEKEKGIGPIGRKNGVSARWKKRKKSNLNT >ORUFI02G03900.1 pep chromosome:OR_W1943:2:2491937:2492542:1 gene:ORUFI02G03900 transcript:ORUFI02G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVVAPRDAGSHVEMMRTTLAIADDDLYILGFANRTGHWHVMKDFGGLPEPLTKLTIEHSYGDLVGSFQNLHTVPLGRESAVQAVRTLANYNSAMAEAQLKLPIAKFAIMISEALRFPFIRNTFSTNWESETFMKPDHVKYVVYWGRLSKALVWWKQSGNNWWPRPDSDLGEDFEYINVKTSQDAVKLVDLLIRPASRYS >ORUFI02G03910.1 pep chromosome:OR_W1943:2:2502958:2504973:1 gene:ORUFI02G03910 transcript:ORUFI02G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALPEDLLANVLGRLPPCSLAVSRCVRKDWRALIDNRRLLRADLLPLRLDAFFFKGQILTSHPYFFSSRSTARRIGGRLDFLDTFNDEDLQIMDHCNGLLLFFERLANPATRQWMHLPTFPMSPCVTLGLRTGFCLVFCVPLVPENIFYRSSDNLDPDSNSSVDQESLEWPLSSRCTTHVFSSRKWRWEERSFVRQQGVEPANETIADLQFHPQQFQRRALYLKGEIYVQCKNNSLMRITLSNDKYQMIKSPVESKIDDGNGVLQLGKSEKGVYFVLLMKIIISPNFKFGCSINLHHVVVRLSGR >ORUFI02G03920.1 pep chromosome:OR_W1943:2:2508166:2513754:1 gene:ORUFI02G03920 transcript:ORUFI02G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLLPELRSPPPPPPPPPPTNDDRARAVDLPSPTPECDDRSPRRELGRSPEDHGVPLPPPPPLGSSRPERLASDRPEEGASAAAQPCGGRSESPTARSMWPRRLSPASLPPRGGRRSESPTPRSIWRRLSPSPPPPLPPLPPKRPRLDGRRSPPRGGRFGFEHERGRERSMNTSRRAPDCLDSGCDAPYNGQSNTRRKGLMTYKQFTQKLEDDVSPGEAESRYQEYKTSYITSQKQDYFDHHKNEDRLKDMYHPTNLLSVIERRNELCKAAAKNLILDLRSGTLDLGPGMTAGTASKSGNDSDGIPADDEDYHNKRRRHHRGPLEETELVSVAPKAHPVSSHYRRIQTDIHQTLALVKKLDEEKGIVGNILTTGDHTKSNGDKSYAGSTGPLVIVRGLSTVKGLDGFELLDTLLTYLWHVHGIDYYGMSESTNAKGLRHVRADTKNANMDKSSAADWEKKLDYFWQERLTNGKDPLVALTAKDKIDASADKVLESYVTKVKDDNYGWTYGCGAKGCIKVFHAPDFVLKHLNLKHPDLVSKLTSRVQEDIYFQNYMNDPNAPGGTPVMQQQSPEQQGPTPSELTPGAFGGQGSFVEMPTPPVLIPVPGAGPLGPFVPAPPEVVMQMMRPVMPMYPPRPPNPRRLRSYKDLDAPDDEVTLVDYRSL >ORUFI02G03930.1 pep chromosome:OR_W1943:2:2515650:2517644:-1 gene:ORUFI02G03930 transcript:ORUFI02G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAAAARSLRAGVEKSRALGQALARAGPRVEEIQLALPALEAAVRPIRAPRAELAAAGPHIDRAVGPAAAVLKVFDAVHGLEPPLLAAGAVAGDLAGYLAVLGRLEEALRFLSDNSGLAAQWLADIVEYLGDHDLADPRFLADLAVTLEGLKKPSGDLDGGLLAAALDMLEAEFRRLLADHSAPLPLAMQQLNTTSASTAAPSLIPAATVHKLSLILDRLIANGRQDRCLSVYADARGGVVSASLRALGLDYLRNPVDDAQALGPGVELWGRHLEFVVRCLLESERQLCNKVFGQRKDDASACFADVAAHAGILDFLSFGRAAADAKKDPIKLLRLLEVFDSLNKLRLDFNRLFGGKKACVEIQNQTRDLVKSLVDGAVEIFEELLVQVELQRHMPPPPDGGVPRLVSFVVEYCNRLLSEKYRPVLAQVLTIHRSWRKETFSDKMLVNAVLNIVKALEANFDVWSKAYDNVTLSYLFMMNTHWHFFKNLKATRLGELLGDAWLQEHEQFKDYYLTVFMRDSWGVVSPLLNREGLILFSKGRATAKDLVKQRLKTFNASFDEMFRKQSAWVIPEKDLREKTCGLVVQAIVPAYRSYMQNYGPLVEQDVSASKYVKYTVDGLEKMLSALFIPRPRRAGSFQIRHTNDKITSAMTGFYRSASTVK >ORUFI02G03940.1 pep chromosome:OR_W1943:2:2523585:2526880:1 gene:ORUFI02G03940 transcript:ORUFI02G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPSRPLASSSSSSSSRVFSFFLAPRVFLFLVVVVVVVFLPGRSSCWWLEGTEELEEEMGFAGDCSPVSGGGLRGKIQEEEEEEEKDDKFFMARENGKFSYGYASAPGKRASMEDFYETRIDGVDGETIGLFGVFDGHGGARAAEYVKQHLFSNLIKHPKFISDIKSAIAETYNHTDSEFLKAESSHTRDAGSTASTAILVGDRLLVANVGDSRAVVCRGGDAIAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEIVDSSLEFLILASDGLWDVVSNKEAVDMVRPIQDPEQAAKRLLQEAYQRGSADNITVVIVRFLEGTTTGGGPSREAASDQNS >ORUFI02G03950.1 pep chromosome:OR_W1943:2:2537160:2538477:1 gene:ORUFI02G03950 transcript:ORUFI02G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLTTTSSMDSVVDGGLDTRLSLAVGCCPPRRRPVLLFGEVLPSPEKKVAAAAVVAAGKRGREQRGEAEAEATTTRQRRSCKKGRRGRGDDDDDDGDRRSPSGGGGDEEGASRKKLRLTGEQATLLEDSFRAHNILSHAEKQELAGKLGLSARQVEVWFQNRRARTKLKQTEADCDLLRRWCDHLAADNARLRRDLAELRRSSSSPPVSGLAVATPVVCPSCAHDDKRRLAFATAAAAAGDMASN >ORUFI02G03960.1 pep chromosome:OR_W1943:2:2540431:2549399:-1 gene:ORUFI02G03960 transcript:ORUFI02G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATASSPATAAAANSDDEDNYEEYIPVAKRRAMEADRLRRLRLSKPAPPSSSAAEAASDLPPPPPPPPNQPSAGGGGGGLEASAKPSLLVKATQLKRAAPEVTHTEQLIMQEKEMIEHLSDRKTLMSVRELAKGITYSDPLKTGWKPPLRLRRMPRAKADELRRKWHILVDGDDVPPPARDFRDLRLPEPMLRKLREKGIVQPTPIQVQGLPVVLSGRDMIGIAFTGSGKTLVFVLPLIMVALQEEMMMPIVPGEGPFGMIICPSRELAKQTYDVIEQFLVPLKEAGYPEIRPLLCIGGVDMRAQLDVVKKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPKKIQNFAKSALVKPVIVNVGRAGAANLDVIQEVEYVKEDARIIYLLECLQKTPPPVLVFCENKADVDYIHEYLLLKGVEAVAIHGGKDQEERENAIEFFKNGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLKEAKQRIPPVLAELNDPLEDEETMAKESGVKGCAYCGGLGHRVTDCPKLEHQKSMAIAGSRRDYYGVDAATTTVRQLVEHWMSTGRVRHKCTTQPMIFATSLRVKLVGATPLPARLSPPAPPASVVRACACLPRLGIGASAMWRRGRAMRWRRRWKYSLPAACLALVLLAAAAARGVPIGGGGMAHTGGGRVVVASSTAAFDAAAAAASRCKKQRSSRGGATGPAAAGRGWSPPAAAAADERVVPTGSNPLHNR >ORUFI02G03970.1 pep chromosome:OR_W1943:2:2551819:2553984:-1 gene:ORUFI02G03970 transcript:ORUFI02G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTTQLKPMASSSTSPSPSRLPRSASGKAPTSPPSSSNASRRHHQQPPRASASTPATPAPSRHHLRSLSISCMTIRTDDDSPPPAATHKDKAATAKPLSYYSSMLSPRKLMQRASRAFRRSKSSRRRKSKDDVIVGVGGGGGDISASVNGKGSESSASVPSLDAITTTDDDVHGGGARQDQQEVVPEKIIHEANTPVVIAVAAVEEEEPNTIKSPEPEKEIATTATTIIEEEEVVDDDEPKKGDAAATPVPTDSPAAASSTEEDKFVVVVKEEDKFFAVVKEDDKFVAVVKEAIKKQRDDDADGDELVRRFKGSRVKTAMEKRSEEEQPRRREMARRSNDVIEEARSKLLEKRQCSRVKALVGAFETVMDAKPAGDGAAAKPQHYHPRR >ORUFI02G03980.1 pep chromosome:OR_W1943:2:2555776:2558826:1 gene:ORUFI02G03980 transcript:ORUFI02G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGKTRVVVVGGGIAGSLLAKTMQPHADVVLLDPKDYLEIPWAELRSMVEPSFAERSLIYHRDYLTNATIVTSSAVNITEQAVLTADGQSLAYDYLVIATGHALTSPGSRSERIKEFQRDKGKIESSESVLIIGGGPTGVELAGEIAVDYPEKKVTLVHRGSRLLEFIGDKASKKCLDWLTSKKVDVLFQQSIDLDSLSNTEKLYRTSAGETVTADCHFVCIGKPLSSSWLHDTILKESLDNKGRLMVEKDLRIKGYNNIFAIGDITDIPEIKQGYLAHKHALLVAKNLRLLIKGSPNSKLETYSPGFALALISLGRNEGLAQLPFLTLGGCLPGKIKSRDLFIGRTRKQMGLNA >ORUFI02G03990.1 pep chromosome:OR_W1943:2:2560560:2561147:1 gene:ORUFI02G03990 transcript:ORUFI02G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDHDAEGVRMTGEGAADGCDAHSLAGGEEEIGDQRRRRRASSTRWPPPPPRGPRRGRRPSSTSPPLGPSTPAHEGLAAEDERRGAPDAPPAGGERGGASRVLDGEAGDAEVVGESANAVLAVIALGGGKRGAVAGGGMAEEPPEAASDLEAALDVARTAASPSCASVQGPRGAEVDDGRRPGASSRRPGRRSP >ORUFI02G04000.1 pep chromosome:OR_W1943:2:2561485:2561778:1 gene:ORUFI02G04000 transcript:ORUFI02G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARLGDFGLARLYGRAATHGAVAAGAWRKRGGARAVRLAVAEDGRRAGRRAQAPVDVDEPATARVLHEEEEKEAPAWPPSASSRPPPPPLGCEPPS >ORUFI02G04010.1 pep chromosome:OR_W1943:2:2562461:2568083:1 gene:ORUFI02G04010 transcript:ORUFI02G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRNMENCEFSDLVDIGKEIAQRCSGVPLVAKALGYVMQKHRTREEWMEIKNSNILDTKDDEEGILKGLLLSYYHMPPQLKLCFMYCSMFPMSHVIDHDCLIQQWIALGFIQDTDGQPLQKVAMEYVNELLGMSFLTIFTSPTVLASRMLFKPTLKLHMHDMVHELARHVAGNEFSHTNGAENRNTKRDNLNFHYHLLLNQNETSSAYKSLATKVRALHFRGCDKMHLPKQAFSHTLCLRVLDLGGRQVSELPSSVYKLKLLRYLDASSLRISSFSKSFNHLLNLQALILSNTYLKTLPTNIGCLQKLQYFDLSGCANLNELPTSFGDLSSLLFLNLASCHELEALPMSFGNLNRLQFLSLSDCYKLNSLPESCCQLHDLAHLDLSDCYNLGKLPDCIDQLSKLEYLNMTSCSKVQALPESLCKLTMLRHLNLSYCLRLENLPSCIGDLQLQSLDIQGSFLLRDLPNSIFNMSTLKTVDGTFTYLVSSKVEKLRENLKLEGCCKLDGGSTDLCSRITELKKTHCHELEIQGLEDFKHLEGIEHAILLNSLKLTKLIFSWQPKQYTNETAHHKTVLGMLVPPRSVHHLAIKGYCGIELPKWMLDIRSYLPHLTTIFLHGLMECNRLPPLGCLPHLRALLMTKMPKIKSVGPEFYGDYGSCQKLRMIILASMDNLEEWWTTRSSKQDNELFLIPNLHMLYAYDCPKLKFLPYPPRSMTWFIENSNHVLPEHGFGNLTSATYPLHLSIKHAPKSPEMWRRAQHLSSIETLILMSIAGLRALPEAIQCFTSLRRLHIGGCGELETLPEWLGDYFTCLEEILIKTCPMLSSLPESIRRLTKLKKLVITNCPVLSEKCQGEDRHKIAHILEPIFLLADTVSRVLVTANWRSKPLDFRWHLSLLKKRKGWLSCRSSLSVAAYQAGLNLDICLSVRQVSRWVRFLALQL >ORUFI02G04010.2 pep chromosome:OR_W1943:2:2562461:2568278:1 gene:ORUFI02G04010 transcript:ORUFI02G04010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRNMENCEFSDLVDIGKEIAQRCSGVPLVAKALGYVMQKHRTREEWMEIKNSNILDTKDDEEGILKGLLLSYYHMPPQLKLCFMYCSMFPMSHVIDHDCLIQQWIALGFIQDTDGQPLQKVAMEYVNELLGMSFLTIFTSPTVLASRMLFKPTLKLHMHDMVHELARHVAGNEFSHTNGAENRNTKRDNLNFHYHLLLNQNETSSAYKSLATKVRALHFRGCDKMHLPKQAFSHTLCLRVLDLGGRQVSELPSSVYKLKLLRYLDASSLRISSFSKSFNHLLNLQALILSNTYLKTLPTNIGCLQKLQYFDLSGCANLNELPTSFGDLSSLLFLNLASCHELEALPMSFGNLNRLQFLSLSDCYKLNSLPESCCQLHDLAHLDLSDCYNLGKLPDCIDQLSKLEYLNMTSCSKVQALPESLCKLTMLRHLNLSYCLRLENLPSCIGDLQLQSLDIQGSFLLRDLPNSIFNMSTLKTVDGTFTYLVSSKVEKLRENLKLEGCCKLDGGSTDLCSRITELKKTHCHELEIQGLEDFKHLEGIEHAILLNSLKLTKLIFSWQPKQYTNETAHHKTVLGMLVPPRSVHHLAIKGYCGIELPKWMLDIRSYLPHLTTIFLHGLMECNRLPPLGCLPHLRALLMTKMPKIKSVGPEFYGDYGSCQKLRMIILASMDNLEEWWTTRSSKQDNELFLIPNLHMLYAYDCPKLKFLPYPPRSMTWFIENSNHVLPEHGFGNLTSATYPLHLSIKHAPKSPEMWRRAQHLSSIETLILMSIAGLRALPEAIQCFTSLRRLHIGGCGELETLPEWLGDYFTCLEEILIKTCPMLSSLPESIRRLTKLKKLVITNCPVLSEKCQGEDRHKIAHILEPIFL >ORUFI02G04010.3 pep chromosome:OR_W1943:2:2562461:2568278:1 gene:ORUFI02G04010 transcript:ORUFI02G04010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRNMENCEFSDLVDIGKEIAQRCSGVPLVAKALGYVMQKHRTREEWMEIKNSNILDTKDDEEGILKGLLLSYYHMPPQLKLCFMYCSMFPMSHVIDHDCLIQQWIALGFIQDTDGQPLQKVAMEYVNELLGMSFLTIFTSPTVLASRMLFKPTLKLHMHDMVHELARHVAGNEFSHTNGAENRNTKRDNLNFHYHLLLNQNETSSAYKSLATKVRALHFRGCDKMHLPKQAFSHTLCLRVLDLGGRQVSELPSSVYKLKLLRYLDASSLRISSFSKSFNHLLNLQALILSNTYLKTLPTNIGCLQKLQYFDLSGCANLNELPTSFGDLSSLLFLNLASCHELEALPMSFGNLNRLQFLSLSDCYKLNSLPESCCQLHDLAHLDLSDCYNLGKLPDCIDQLSKLEYLNMTSCSKVQALPESLCKLTMLRHLNLSYCLRLENLPSCIGDLQLQSLDIQGSFLLRDLPNSIFNMSTLKTVDGTFTYLVSSKVEKLRENLKLEGCCKLDGGSTDLCSRITELKKTHCHELEIQGLEDFKHLEGIEHAILLNSLKLTKLIFSWQPKQYTNETAHHKTVLGMLVPPRSVHHLAIKGYCGIELPKWMLDIRSYLPHLTTIFLHGLMECNRLPPLGCLPHLRALLMTKMPKIKSVGPEFYGDYGSCQKLRMIILASMDNLEEWWTTRSSKQDNELFLIPNLHMLYAYDCPKLKFLPYPPRSMTWFIENSNHVLPEHGFGNLTSATYPLHLSIKHAPKSPEMWRRAQHLSSIETLILMSIAGLRALPEAIQCFTSLRRLHIGGCGELETLPEWLGDYFTCLEEILIKTCPMLSSLPESIRRLTKLKKLVITNCPVLSEKCQGEDRHKIAHILEPIFL >ORUFI02G04010.4 pep chromosome:OR_W1943:2:2562461:2568278:1 gene:ORUFI02G04010 transcript:ORUFI02G04010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRNMENCEFSDLVDIGKEIAQRCSGVPLVAKALGYVMQKHRTREEWMEIKNSNILDTKDDEEGILKGLLLSYYHMPPQLKLCFMYCSMFPMSHVIDHDCLIQQWIALGFIQDTDGQPLQKVAMEYVNELLGMSFLTIFTSPTVLASRMLFKPTLKLHMHDMVHELARHVAGNEFSHTNGAENRNTKRDNLNFHYHLLLNQNETSSAYKSLATKVRALHFRGCDKMHLPKQAFSHTLCLRVLDLGGRQVSELPSSVYKLKLLRYLDASSLRISSFSKSFNHLLNLQALILSNTYLKTLPTNIGCLQKLQYFDLSGCANLNELPTSFGDLSSLLFLNLASCHELEALPMSFGNLNRLQFLSLSDCYKLNSLPESCCQLHDLAHLDLSDCYNLGKLPDCIDQLSKLEYLNMTSCSKVQALPESLCKLTMLRHLNLSYCLRLENLPSCIGDLQLQSLDIQGSFLLRDLPNSIFNMSTLKTVDGTFTYLVSSKVEKLRENLKLEGCCKLDGGSTDLCSRITELKKTHCHELEIQGLEDFKHLEGIEHAILLNSLKLTKLIFSWQPKQYTNETAHHKTVLGMLVPPRSVHHLAIKGYCGIELPKWMLDIRSYLPHLTTIFLHGLMECNRLPPLGCLPHLRALLMTKMPKIKSVGPEFYGDYGSCQKLRMIILASMDNLEEWWTTRSSKQDNELFLIPNLHMLYAYDCPKLKFLPYPPRSMTWFIENSNHVLPEHGFGNLTSATYPLHLSIKHAPKSPEMWRRAQHLSSIETLILMSIAGLRALPEAIQCFTSLRRLHIGGCGELETLPEWLGDYFTCLEEILIKTCPMLSSLPESIRRLTKLKKLVITNCPVLSEKCQGEDRHKIAHILEPIFL >ORUFI02G04010.5 pep chromosome:OR_W1943:2:2561855:2563035:1 gene:ORUFI02G04010 transcript:ORUFI02G04010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQHVSYKSICKFDLFLDNTTTTTPHQYITKKIPVPTKESN >ORUFI02G04020.1 pep chromosome:OR_W1943:2:2570359:2573692:1 gene:ORUFI02G04020 transcript:ORUFI02G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYPSGRSKAAIGFLRRGGGFSSRNQSTEERTIQNYDGPGITTRLNPMKTRLSDNQERPRYLRDSFRSSTSMAIHGSSSKVPLRKFGDEKRRQTLLAGVDIAESSSRNAGGKHLEGSNKRIVVDDRSSDVLHTETEGLATEQDQLIAPNAGVSDSASSSDISEHAVESLVRSAAPSSRTRRQKDKELNLGQSGVCSSSCTNRPTISRYAPADVKRPCNHASGVQQHGHNNLDCTSVPNFLPSGCSSGSVYSRRFDAMRKRTSDGGSFSRSRGLSGTASLDDSPPAYPAIAGPRIRTTTTERASQQNALRSRRNFQDSAVSVRTRRPPWGARFRISEEREDGMISQRDSSIGNQQSDQVHSSSEEASTESSSRPFSAELPHAIYSSRGEGSNAFTARRRRSSSLYEERPPQTFHDLFRERNGRRHISIEGIAEVLLALDRIEQEAELTYEQLMVLETNILLGAFTSHDQHSDMRMDIDNMSYEELLALGDRIGSVSTALSEEQFVKCLRRSIYIPVATKANAQVVDDIKCSICQGVYCY >ORUFI02G04030.1 pep chromosome:OR_W1943:2:2577950:2586712:1 gene:ORUFI02G04030 transcript:ORUFI02G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSEGSGDEEEEEEEEEEGVEVGGGGDGGVGVGVGGGFALAIEGVLGACGLVVSDALEPDFPIIYVNRGFEDATGYRAEEVLGRNCRFLQCRGPFAKRRHPLVDTTVVTDIRRCLEEGTVFQGDLLNFRKDGSPFMAKLQLTPIYGDDETITHYMGMQFFNDSNVDLGPLSVSTTKEIVRSTLITPDNTIRPSPMGKGFCSEHSDLFLLSDEVLCQKILSRLSPRDIASVNSVCKRLYHLTRNDDLWRMVCQNAWGSEATQVLETVAGTRSLAWGRLARELTTLEAVTWRKLTVGGAVEPSRCNFSACAAGNRVVLFGGEGVNMQPMNDTFVLDLNASKPEWRHINVRSAPPGRWGHTLSCLNGSRLVLFGGCGRQGLLNDVFMLDLDAQQPTWREIPGLAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVTMERPVWREIPASWTPPCRLGHSLSVYDGRKILMFGGLAKSGPLRLRSNDVFTLDLSENKPCWRCITGSGMPGASNPAGVGPPPRLDHVAVSLPGGRILIFGGSVAGLHSASKLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWTLTELHELSLMFPTLNQKDLELYSWKL >ORUFI02G04040.1 pep chromosome:OR_W1943:2:2582380:2586477:-1 gene:ORUFI02G04040 transcript:ORUFI02G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSREREAEKFSLEDTLGSIRPASWKFSMGSGMVKKKVVKAGSFDLDVKLDKSWMEDITCPICLDFPHNAVLLRCTSYEKGCRPFICDTDQSRSNCLERFKGAHGLPTNMKVPSFNGAPLDSIHIISSNTTDRPACPLCRGDVIGWVVIDEARLHLNQKKRCCEESCCSYVGNFHELQKHTQQKHPNSRPSEIDPARRVDWENFQQSSDIIDVLSTIHAQVPNGIVLGDYVIEYGDDDAGDDYEVYHRVRGNWWTSCIFCKSFCRSSGGRSRARARERRSSGRRSSNRSSQESFTIEVPSGSVDIREIRFDEIDDEYIVTGAMPGIAASRRIASHYRDPRYGRRRQTNSDAEKR >ORUFI02G04050.1 pep chromosome:OR_W1943:2:2591302:2596192:1 gene:ORUFI02G04050 transcript:ORUFI02G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRLLLRRCLSAAAASSAAARFAVRHAHGELEVSGCSARIRDLGRLGRVGEAREVFDAMPRRDIIAWNSMISAYCHNGMPDAARDLYDAISGGNMRTGAILLSGYGRLGRVLEARRVFDGMLERNTVAWNAMISCYVQNGDITMARRLFDAMPSRDVSSWNSMLTGYCHSLQMVDARNLFEKMPERNLVSWTVMISGYGRIENHGKAWDIFCKMHREGLLPDQSNFASALSAVKGLGNLDVLESLRVLALKTGFERDVVIGTAILNVYSRDTSVLDTAIKFFESMIERNEYTWSTMIAALSHGGRIDAAIAVYERDPVKSIACRTALITGLAQCGRIDDARILFEQIPEPIVVSWNALITGYMQNGMVNEAKELFDKMPFRNTISWAGMIAGYAQNGRSEEALGLLQELHRSGMLPSLSSLTSIFFACSNIVALETGTQVHSLAVKVGCQFNSFACNALITMYGKCRNMEYARQVFSRMVTKDIVSWNSFLAALVQNDLLDEARNTFDNMLSRDDVSWTTIISAYAHAEQSNEAMGAFKTMFCEHELPNSPILTILLGVCGSLGASKIGQQIHTVAIKLGMDSELIVANALISMYFKCGCADSRRIFDLMEERDIFTWNTIITGYAQHGLGREAIKMYQHMESAGVLPNEVTFVGLLNACSHAGLVDEGWKFFKSMSQDYGLTPLPEHYACMVDLLGRTGDVQGAEQFIYDMPIEPDTVIWSALLGACKIHKNAEIGKRAAEKLFRIEPSNAGNYVMLSNIYSSLGMWGEVAEVRKIMKQQGVIKEPGCSWTQIKDKMHSFVTGDKQHEQIEEIVATLEELYTLLKATGYVPDTEFVLHDIDEEQKESSLLYHSEKLAVAYCLLATPKGMPIQILKNLRICGDCHTFIKFVSHVTKRQIDIRDGNRFHHFRNGSCSCEDFCCTPCQIRAVGDLNTRLQSHMVQANEWMKTPTNDKYVSEFPLSLKILS >ORUFI02G04060.1 pep chromosome:OR_W1943:2:2595917:2602887:-1 gene:ORUFI02G04060 transcript:ORUFI02G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRWKGDAPSHATRRAPERLRWVPEVAARVVAFVRFRMNICAAILLFYILQFVHGQPDSQGFISIDCGIPEKSSYQDPTSSIIYVSDYGFISTGANHNISSAYIKPSLAQRNYNVRFFPDGTRNCYTLRSLQEGNKYFVRAVFYYANYDSLNKLPVFDLYLGANYWHEVKFSNADAVNWMDIIVVAPADYLQVCLVNKGTGTPFISGLDLRPLKSTLYPEANTTQSLVLINSNRFNMGPTDNSITRYPLDPHDRLWSTYDTIPDWTEISATSVVQNYLTDVYDVPSAVMQSAATVNSSRINFTWDPSDPSVNISSKYFFVLYFSELQSVPSNGLRQFDIIVNNNTWNTQPYTPPFLFADSLSGTVQGLASYSVSLVATKNATLPPILNAMEMYLVKPLTEFATDPRDARAMMEVQQNYDVKKNWMGDPCAPKAFAWEGLNCSYPPADSSKITSLNLSSSGLAGSIATYFGDLKSLQYLDLSSNDLSGPIPYNLLQKSQNGSLSLRVGYNAKLCGNDTECRSGQKKIKGSLLSAIIITIVATVALIVVLFLLLRRMLKAKDKRRAAGPTYESALLENREFSYRELKHITNNFSQQVGKGGFGAVFLGYLENGNPVAVKVRSESSSQGGKEFLAEAQHLTRIHHKNLVSLIGYCKDKNHLALVYEYMPEGNLQDHLRATTNKPLTWEQRLHIALDAAQGLECLHVACKPALIHRDVKSRNILLTTNLGAKIADFGLTKVFSESRTHMTTEPAGTFGYLDPEYYRNYHISEKSDVYSFGVVLLELITGRPPVIPIDESVSIHIGEFVHQSLDHGSIESIVDARMGGGGGYDINSVWKVADLALHCKREVSRERPTMTEVVAQLKESLELESHGDRKHLVTGDDDVSVSNLGEETALEVEEQSGEISRVSPGPAVR >ORUFI02G04060.2 pep chromosome:OR_W1943:2:2595917:2602887:-1 gene:ORUFI02G04060 transcript:ORUFI02G04060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRWKGDAPSHATRRAPERLRWVPEVAARVVAFVRFSTQWKATADSQCSHVSFRGFISIDCGIPEKSSYQDPTSSIIYVSDYGFISTGANHNISSAYIKPSLAQRNYNVRFFPDGTRNCYTLRSLQEGNKYFVRAVFYYANYDSLNKLPVFDLYLGANYWHEVKFSNADAVNWMDIIVVAPADYLQVCLVNKGTGTPFISGLDLRPLKSTLYPEANTTQSLVLINSNRFNMGPTDNSITRYPLDPHDRLWSTYDTIPDWTEISATSVVQNYLTDVYDVPSAVMQSAATVNSSRINFTWDPSDPSVNISSKYFFVLYFSELQSVPSNGLRQFDIIVNNNTWNTQPYTPPFLFADSLSGTVQGLASYSVSLVATKNATLPPILNAMEMYLVKPLTEFATDPRDARAMMEVQQNYDVKKNWMGDPCAPKAFAWEGLNCSYPPADSSKITSLNLSSSGLAGSIATYFGDLKSLQYLDLSSNDLSGPIPYNLLQKSQNGSLSLRVGYNAKLCGNDTECRSGQKKIKGSLLSAIIITIVATVALIVVLFLLLRRMLKAKDKRRAAGPTYESALLENREFSYRELKHITNNFSQQVGKGGFGAVFLGYLENGNPVAVKVRSESSSQGGKEFLAEAQHLTRIHHKNLVSLIGYCKDKNHLALVYEYMPEGNLQDHLRATTNKPLTWEQRLHIALDAAQGLECLHVACKPALIHRDVKSRNILLTTNLGAKIADFGLTKVFSESRTHMTTEPAGTFGYLDPEYYRNYHISEKSDVYSFGVVLLELITGRPPVIPIDESVSIHIGEFVHQSLDHGSIESIVDARMGGGGGYDINSVWKVADLALHCKREVSRERPTMTEVVAQLKESLELESHGDRKHLVTGDDDVSVSNLGEETALEVEEQSGEISRVSPGPAVR >ORUFI02G04060.3 pep chromosome:OR_W1943:2:2595917:2602887:-1 gene:ORUFI02G04060 transcript:ORUFI02G04060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRWKGDAPSHATRRAPERLRWVPEVAARVVAFVRFRQYTMESNCGLTMFTCIIQSTGANHNISSAYIKPSLAQRNYNVRFFPDGTRNCYTLRSLQEGNKYFVRAVFYYANYDSLNKLPVFDLYLGANYWHEVKFSNADAVNWMDIIVVAPADYLQVCLVNKGTGTPFISGLDLRPLKSTLYPEANTTQSLVLINSNRFNMGPTDNSITRYPLDPHDRLWSTYDTIPDWTEISATSVVQNYLTDVYDVPSAVMQSAATVNSSRINFTWDPSDPSVNISSKYFFVLYFSELQSVPSNGLRQFDIIVNNNTWNTQPYTPPFLFADSLSGTVQGLASYSVSLVATKNATLPPILNAMEMYLVKPLTEFATDPRDARAMMEVQQNYDVKKNWMGDPCAPKAFAWEGLNCSYPPADSSKITSLNLSSSGLAGSIATYFGDLKSLQYLDLSSNDLSGPIPYNLLQKSQNGSLSLRVGYNAKLCGNDTECRSGQKKIKGSLLSAIIITIVATVALIVVLFLLLRRMLKAKDKRRAAGPTYESALLENREFSYRELKHITNNFSQQVGKGGFGAVFLGYLENGNPVAVKVRSESSSQGGKEFLAEAQHLTRIHHKNLVSLIGYCKDKNHLALVYEYMPEGNLQDHLRATTNKPLTWEQRLHIALDAAQGLECLHVACKPALIHRDVKSRNILLTTNLGAKIADFGLTKVFSESRTHMTTEPAGTFGYLDPEYYRNYHISEKSDVYSFGVVLLELITGRPPVIPIDESVSIHIGEFVHQSLDHGSIESIVDARMGGGGGYDINSVWKVADLALHCKREVSRERPTMTEVVAQLKESLELESHGDRKHLVTGDDDVSVSNLGEETALEVEEQSGEISRVSPGPAVR >ORUFI02G04060.4 pep chromosome:OR_W1943:2:2595917:2602887:-1 gene:ORUFI02G04060 transcript:ORUFI02G04060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRWKGDAPSHATRRAPERLRWVPEVAARVVAFVRFSTQWKATADSQCSHVSFRGFISIDCGIPEKSSYQDPTSSIIYVSDYGFISTGANHNISSAYIKPSLAQRNYNVRFFPDGTRNCYTLRSLQEGNKYFVRAVFYYANYDSLNKLPVFDLYLGANYWHEVKFSNADAVNWMDIIVVAPADYLQVCLVNKGTGTPFISGLDLRPLKSTLYPEANTTQSLVLINSNRFNMGPTDNSITRYPLDPHDRLWSTYDTIPDWTEISATSVVQNYLTDVYDVPSAVMQSAATVNSSRINFTWDPSDPSVNISSKYFFVLYFSELQSVPSNGLRQFDIIVNNNTWNTQPYTPPFLFADSLSGTVQGLASYSVSLVATKNATLPPILNAMEMYLVKPLTEFATDPRDARAMMEVQQNYDVKKNWMGDPCAPKAFAWEGLNCSYPPADSSKITSLNLSSSGLAGSIATYFGDLKSLQYLDLSSNDLSGPIPYNLLQKSQNGSLSLRVGYNAKLCGNDTECRSGQKKIKGSLLSAIIITIVATVALIVVLFLLLRRMLKAKDKRRAAGPTYESALLENREFSYRELKHITNNFSQQVGKGGFGAVFLGYLENGNPVAVKVRSESSSQGGKEFLAEAQHLTRIHHKNLVSLIGYCKDKNHLALVYEYMPEGNLQDHLRATTNKPLTWEQRLHIALDAAQGLECLHVACKPALIHRDVKSRNILLTTNLGAKIADFGLTKSDVYSFGVVLLELITGRPPVIPIDESVSIHIGEFVHQSLDHGSIESIVDARMGGGGGYDINSVWKVADLALHCKREVSRERPTMTEVVAQLKESLELESHGDRKHLVTGDDDVSVSNLGEETALEVEEQSGEISRVSPGPAVR >ORUFI02G04060.5 pep chromosome:OR_W1943:2:2595917:2602887:-1 gene:ORUFI02G04060 transcript:ORUFI02G04060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRWKGDAPSHATRRAPERLRWVPEVAARVVAFVRFSIDCGIPEKSSYQDPTSSIIYVSDYGFISTGANHNISSAYIKPSLAQRNYNVRFFPDGTRNCYTLRSLQEGNKYFVRAVFYYANYDSLNKLPVFDLYLGANYWHEVKFSNADAVNWMDIIVVAPADYLQVCLVNKGTGTPFISGLDLRPLKSTLYPEANTTQSLVLINSNRFNMGPTDNSITRYPLDPHDRLWSTYDTIPDWTEISATSVVQNYLTDVYDVPSAVMQSAATVNSSRINFTWDPSDPSVNISSKYFFVLYFSELQSVPSNGLRQFDIIVNNNTWNTQPYTPPFLFADSLSGTVQGLASYSVSLVATKNATLPPILNAMEMYLVKPLTEFATDPRDARAMMEVQQNYDVKKNWMGDPCAPKAFAWEGLNCSYPPADSSKITSLNLSSSGLAGSIATYFGDLKSLQYLDLSSNDLSGPIPYNLLQKSQNGSLSLRVGYNAKLCGNDTECRSGQKKIKGSLLSAIIITIVATVALIVVLFLLLRRMLKAKDKRRAAGPTYESALLENREFSYRELKHITNNFSQQVGKGGFGAVFLGYLENGNPVAVKVRSESSSQGGKEFLAEAQHLTRIHHKNLVSLIGYCKDKNHLALVYEYMPEGNLQDHLRATTNKPLTWEQRLHIALDAAQGLECLHVACKPALIHRDVKSRNILLTTNLGAKIADFGLTKVFSESRTHMTTEPAGTFGYLDPEYYRNYHISEKSDVYSFGVVLLELITGRPPVIPIDESVSIHIGEFVHQSLDHGSIESIVDARMGGGGGYDINSVWKVADLALHCKREVSRERPTMTEVVAQLKESLELESHGDRKHLVTGDDDVSVSNLGEETALEVEEQSGEISRVSPGPAVR >ORUFI02G04070.1 pep chromosome:OR_W1943:2:2603624:2608935:-1 gene:ORUFI02G04070 transcript:ORUFI02G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSGRFVVAAAVVAVSLAMAAAAAAHDYGEALSKSLLYFEAQRSGRLPYNQRVRWRGHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSVLEYGEEIAAAGELGHALHAIKWGTDYFIKAHTHPNVLWTQRPEDMTTSRHAYKVDAENPGSEVAAETAAAMAAASILFEFGDKYRGRYDESVEVVKNYYPSSSGYKDELLWAALWLHRATGRREYLDYAVDNADDFGGTGWAVSEFSWDIKYAGLQVLASKLLVEEKHLSSQQREVLEKYRSKAEYYVCSCMGRNPGGAAHNAGRTPAGLLFIRPWNNLQYVSNAAFLLTVYSDVLSSLSLPLLCPDPDAAADEAAPAAADAGEVLEFARSQADYILGTNPMATSYLVGYGEAYPRRVHHRAASSASYARDRDFIGCLQGFDSWYSAAAENPHDLVGAVVGGPNGNDVFTDHRGAYMQTEACTYNTAPMVGVFSRLMELERRRRGEDAPPSSTSPVAEDDL >ORUFI02G04090.1 pep chromosome:OR_W1943:2:2618953:2623041:1 gene:ORUFI02G04090 transcript:ORUFI02G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRVGRRFLAGDILSSEASPHAVGRLFTGIVSHLAAPSEASPLPPLQALIGILSASSEPPLPSPLEGVRSPSPLPLPRAAKQPFIGNFSPFKSYPLQVIRESLPLAHHQSLSRNAIGPSYRWPWTRLTSAVALPKLLGTRWASTNTSSTTGFGPCFTSAGELIDALTYARANPLMRKVKIMGREDKIIWIPNDNLRRLVRSLNKTYALLHAKKKCLSSLTSSNVLVGEDGSAVIQGVIEIPYSEEEACCRYNETASILKELITESVGSEAIGVDCIADFCRLLRQMESMTSVCQEYIISNHASLIPDANRTAVFLLFYNHIMGKLAQEQPRLKNQIISKLPYDGIWLGIVISNRFLRRWLNSHREYVSTGDDDMSFNWNVRSHFYVHLWIFAYSQLEVEECLYGEFPELLLEIEILLWKANEIDGLGFEDKF >ORUFI02G04100.1 pep chromosome:OR_W1943:2:2624086:2631084:1 gene:ORUFI02G04100 transcript:ORUFI02G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFSNMMGGCLDHDSIVTTNIFCGAAMHLHCDWASTGKELGLITRGVIHACQCWSENSHIPVILCLAVLQYMNDLNAVRGTNQKKTDRGSFWTLLFSLFGCCKKDQELGLPV >ORUFI02G04100.2 pep chromosome:OR_W1943:2:2624086:2631084:1 gene:ORUFI02G04100 transcript:ORUFI02G04100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSRRTPSFLRSSSSGLHSRRRSCQWPVPCGNVLSHDKTCGYQSSGHLQKNKESATAVKPTGERVDHRSRHHAISTKIAISRSLPNNVAVKPQAILIN >ORUFI02G04110.1 pep chromosome:OR_W1943:2:2632114:2632533:-1 gene:ORUFI02G04110 transcript:ORUFI02G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRTLDSWLLMIEVSYNAGEFEEAFWLFKQLKTNYHDLNKTKHLISPVGSVELIQLCRSGKARKALKLLEMGVHANLHAFFEIAAGCRTIAELNLVRGCLQRSAFSHNTFINSKIDQMQAKFEQMHANLKNKDGHGHP >ORUFI02G04120.1 pep chromosome:OR_W1943:2:2632559:2633311:-1 gene:ORUFI02G04120 transcript:ORUFI02G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGLPSWWALPLLRVPTSSAVRIIPSIAAAAAAAARGHDLLLLRASSSEATRGFSSSTSAPAAPAHATAELLQPRKDEPPAPGSATAARSRPRPPASSTAAAALVELEPQQKQPPVPASAAATRAYSSSAALVPSPAPASATTPPMKQRTGQPTPAAIKRQRQLQPAPTRVAGPADLINLAQAGRVNEAIHLLSQGARGNCKAFEELAASCSTPALKEELKDVHHYFLCSGFHNDCGVNNKLIEMYPK >ORUFI02G04130.1 pep chromosome:OR_W1943:2:2634075:2637785:1 gene:ORUFI02G04130 transcript:ORUFI02G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRRVVHLVTALNGSANLRHIDTSRFFSRRPPPPFSPSSMAVVTEESSLPEPSMSFYPAPAPPESNGDIGVMLFGRARDRLLVTDQSDSAAIYDAGTHALLAETTPLKPKYWPVSVPVGDDIYLFDLYPRVPCGGRHCFEAVTAVDSSSSSYCSRALPPPPFLFAPGYSPKPIESYTVVGGSEVWISTARAGTYAFDTVSCSWSKQADWPMPFAGLAEYVPEHKLWFGLSSSRRDKHPLCAVDLAAAASPETGPELTNVWMELSVPREWIPVEAFLVHLGSSRFFVARFFQELVEVRCDFSQRFDRFAVFTGVELERTSRGWLLNNIRHPNMVQQQLHNRQTPVLPSVTLQETQASNCHVNRSVCQQAEASINRRI >ORUFI02G04140.1 pep chromosome:OR_W1943:2:2638399:2644933:1 gene:ORUFI02G04140 transcript:ORUFI02G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPTFHVHPPFPVSARKKEDDGGGGGGKKEAAAAAMRRLAEMDHRAAADGGKVVAAVAVDGDRGSQHALKWAADHVLSRSHPFFLLHVRRKHASLHYAGGKQFSLLHVQDDVAASSPDHMDHHTKDLLLPFQCFCSRRGLQCRETILDGTDVWKVIIDFVLDQKVDKLVLGASSRNAITRTIWKLDVPTCVTKSAPNFCSVYVISKGKLSSFRLATHANANDTSKEELENISENRPLIAKSEPAPIIHIEGQNSSSALCPGCNPLSPNLSEECTESTSKYYPEDLEAEIRKLKLELKQKNDDMHMWNKLPLGIGDRSENSNASVEHEDEHLQEFTTCSTHSYSERPNAEPSSAVRGPKHKLLKLETSSSDQCRERTIQEFKDHSSQDTVHPILRRLPPKFYSPRNDSKHGCASEKAYNLELKCKSLPRPIETKRLLEGLPTRFQCKIYTTEEVANATDHFSPELKVGEGGYGPVYKATLDNTLVAAKILHSNITQGLKQFQQEVELLNNIRHPNMVHLLGACPEYGCLVYEYMPNGSLEDRLFCRSGTPPLPWQLRFKMAVEIATGLLYLHKMKPEAFVHRDLKPGNILLDKDFVSKISDVGLARIIPRSMDETVTQYRMTDAAGTFCYIDPEYQKTGLVTTKSDVYALGIIYLQMITAKDAMGLAYMVSDALEEGTFEGLLDPNVTGWPVQEAQKFAELSLKCCELRHRDRPDLESVVLPELIRLHTLVASSGNHSSIDQGHQRSVSDKELALDNDLAEILNDGLVKGASFAA >ORUFI02G04140.2 pep chromosome:OR_W1943:2:2638399:2644933:1 gene:ORUFI02G04140 transcript:ORUFI02G04140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPTFHVHPPFPVSARKKEDDGGGGGGKKEAAAAAMRRLAEMDHRAAADGGKVVAAVAVDGDRGSQHALKWAADHVLSRSHPFFLLHVRRKHASLHYAGGKQFSLLHVQDDVAASSPDHMDHHTKDLLLPFQCFCSRRGEDLEAEIRKLKLELKQKNDDMHMWNKLPLGIGDRSENSNASVEHEDEHLQEFTTCSTHSYSERPNAEPSSAVRGPKHKLLKLETSSSDQCRERTIQEFKDHSSQDTVHPILRRLPPKFYSPRNDSKHGCASEKAYNLELKCKSLPRPIETKRLLEGLPTRFQCKIYTTEEVANATDHFSPELKVGEGGYGPVYKATLDNTLVAAKILHSNITQGLKQFQQEVELLNNIRHPNMVHLLGACPEYGCLVYEYMPNGSLEDRLFCRSGTPPLPWQLRFKMAVEIATGLLYLHKMKPEAFVHRDLKPGNILLDKDFVSKISDVGLARIIPRSMDETVTQYRMTDAAGTFCYIDPEYQKTGLVTTKSDVYALGIIYLQMITAKDAMGLAYMVSDALEEGTFEGLLDPNVTGWPVQEAQKFAELSLKCCELRHRDRPDLESVVLPELIRLHTLVASSGNHSSIDQGHQRSVSDKELALDNDLAEILNDGLVKGASFAA >ORUFI02G04150.1 pep chromosome:OR_W1943:2:2645878:2650653:-1 gene:ORUFI02G04150 transcript:ORUFI02G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPYAGVPIDPAKCRLMSVDEKRELVRELSKRPESAPDKLQSWSRREIVEILCADLGRERKYTGLSKQRMLEYLFRVVTGKSSGGGAVEHVQEKEPTPEPNTANHQSPAKRQRKSDNPSRLPIVASSPTTEIPRPASNARFCHNLACRATLNPEDKFCRRCSCCICFKYDDNKDPSLWLFCSSDQPLQKDSCVFSCHLECALKDGRTGIMQSGQCKKLDGGYYCTRCRKQNDLLGSWKKQLVIAKDARRLDVLCHRIFLSHKILVSTEKYLVLHEIVDTAMKKLEAEVGPISGVANMGRGIVSRLAVGAEVQKLCARAIETMESLFCGSPSNSQFQRSRMIPSNFVKFEAITQTSVTVVLDLGPILAQDVTCFNVWHRVAATGSFSSSPTGIILAPLKTLVVTQLVPATSYIFKVVAFSNSKEFGSWEAKMKTSCQKEVDLKGLMPGGSGLDQNNGSPKANSGGQSDPSSEGVDSNNNTAVYADLNKSPESDFEYCENPEILDSDKASHHPNEPTNNSQSMPMVVARVTEVSGLEEAPGLSASALDEEPNSAVQTQLLRESSNSMEQNQRSEVPGSQDASNAPAGNEVVIVPPRYSGSIPPTAPRYMENGKDFSGRSLKAKPGDNILQNGSSKPEREPGNSSNKRTSGKCEEIGHKDGCPEASYEYCVKVVRWLECEGYIETNFRVKFLTWYSLRATPHDRKIVSVYVNTLIDDPVSLSGQLADTFSEAIYSKRPPSVRSGFCMELWH >ORUFI02G04160.1 pep chromosome:OR_W1943:2:2651046:2661055:-1 gene:ORUFI02G04160 transcript:ORUFI02G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVVVFPVKGRAWCFASPRATAPAAACGGGDGGAVLPPPPPTVKDLWRGIAGGGRTASENAEAVVDFVADKMNRAWIGFGSAPEGSMKNRIHRSGVFGLKLLSRVRPSEVLLKSVTKDVSLLEIVHPASINPRLVRRRLRHIAVRGASVHRKFLYGSVCLLPVTSVFMVLPLPNIPFFWVLFRAYSHWRALQGSERLQLLVSDSSDQWKILLEKQKEMSSRKDGNPCENTQYAPWPSKKLDGFLESRKLNEGLDCDTISRICQAYDLDKIDVLKYRDLDSARKTMPTPLSEAEAARILKRLAVRRACRGRRRRWPLYANLKL >ORUFI02G04170.1 pep chromosome:OR_W1943:2:2662434:2675356:-1 gene:ORUFI02G04170 transcript:ORUFI02G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQSAIPVAEGAIKSIKLSLSTEDEIRTYSINDCPVTHPSQLGNPFLGLPLETGKCESCGASENGKCEGHFGYIELPVPIYHPCHVTELRQILNVVCLKCLRVKKGKVKQTEGKDNTSALSCYYCRDLPALSLKEIKTADGAFRLELKMPPRKFMTEGSWNFLDKYGFHHGGTSHCRTLLPEEVNLIYFIFMTSALNILKKIPEETKRKLAARGYIAQSGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISISLLKKVLQKIEQIKKSRAGSPNFESHEVESCDLQLSIAQYIHLRGTTRGPQDNTKRFAISTDPSALSTKQWLEKMRTLFISKGSGFSSRSVLTGDPYIGVDVIGLPSEVAKRITFEEQVTDINLNRLQEIVDKGLCLTYRDGQATYAITVGSKGHTTLKVGQTISRRIVDGDVVFLNRPPSTHKHSLQAFRVYVHEDHTVKINPLICAPFAADFDGDCVHIYYPQSLAAKAEALELFSVEKQLTSSHSGKVNLQLVSDSLLALKHMSSRTMLSKEAANQLAMLVTCSLPDPAVIKSKPYWTISQIVQGALPKALTSQGDKHVVRDSTIIKLDLDKESVQTSFSDLVYSTLSVKGPGEALQFLNVLQPLLMELILLDGFSVSLQDFNVPKVLLEEAQKNIEKQSLILEQSRFAENQVVEMRVDNNLKDIKQQISDFVVKRSHLGLLIDPKSDSSVSKVVQQLGFVGLQLYREGKFYSRRLVEDCYYTFVNKHPAVREEHSPEAYGLVRSSYFHGLNPYEELVHAISTREAIVRSSRGLTEPGTLFKNLMALLRDVVICYDGTVRNVCSKSIIQLNYTEDDALDFPSAIGPGEPVGVLAATAISNPAYKAVLDASQSNNTSWERMKEILQTTSRYKNDMKDRKVILFLNDCSCAKKFCKEKAAIAVQGCLRRITLEDCATDICIEDGNWAAPAGFQHPVPPPQCKILPVPIPIPAHGSVKFPPVPIPAPEHLKYNIHVVRYQKQIGLDGTSEAAPALVGHIHLDRAHLERINISTEDILQKCQEVSGKYGKKKGHLSNLFKNITFSTCDCSFTQKLVDGKLPKLPCLQFFVSDNMIVSESVERAVSVLADSLCGVLLNTIIKGDPRIQEAKIVWVGSDATSWVKNTQKASKGEPAVEIIVEEEEALHIGDAWRTTMDACIPVLNLIDIRRSIPYGIQQVRELLGISCAFDQVVQRLSTTVRMVAKDVLKDHLVLVANSMTFTGNLNGFNNAGYKATFRSLKVQVPFTESTLITPMKCFEKAAEKCHSDSLGCVVSSCSWGKHAASGTGSSFQILWNESQLKSNKEYGDGLYDYLALVRTDEEKARYTFFDDVDYLAEENEADVCLSPELDGTIGQPIFDDNLEEQDVQNNSSWDNGTTTNASWEQNGSAGNDSDKWGGWNDAAAGADTGVTKPADQGNSCWDVPATVEKSSSDWGGWGTEKAKEKEKISEEPARHDAWSVQGPKRATDGGASWKKQSSTQNDGNSWKENKGRGSNGGSWEKDNAQKGSWGRGNDEAENNNDVQNKSWETVAADAHASTEKSWGNVTASPSDNAWSAAPVSQGNGRSDTKQSDSWDGWKSAGVDKAINKDKESLGNVPASPSFSAWNASPVSQGNERSDAKQSDSWDGWKSAGVDKAINKDKESLGNVPASPSFSAWNAAPVSQGNERLDAKQSDSWDGWKSAGVDDSVKDKESWGNVPASPSDSAWNAALVSQGNESSDAKQSDSWDGWKSAGVDASTNKDKESWGNVPASPSDSAWNAAPVSQGDDVWNSAEANESRNKDWKSDGWGARGGNWRGQRNNPGRPPRKPDGRGLPRRPDERGPPRRHFDLTAEEEKILGEIEPTVLSIRKIFRESIDSIKLSLEDEKFIKENVLEHHPEKQSKVSGEIDHIMVDKHQVFQDSRCLFVVSSDGTRSDFSYLKCMENFVRKTYPEHGDSFCKKYFKRRRDQPPAAGGGTAPGTPAGATQSTAVDTQEGTSQQTQPDIATAPAATQQETLQDTPAPPADDGLLGKGPSPSD >ORUFI02G04180.1 pep chromosome:OR_W1943:2:2677826:2678269:1 gene:ORUFI02G04180 transcript:ORUFI02G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLPYAHASRRAGHSFGGASSGTTALHQLRLLLHCIYYILCYVYFLFVATSVAGDEENARAPGGGGNDGTGESINGGNTNA >ORUFI02G04190.1 pep chromosome:OR_W1943:2:2680246:2682158:-1 gene:ORUFI02G04190 transcript:ORUFI02G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGSLLLLTPTVYQGIGRNRGGQSQEGQSISSSRSLKTKLSVSARAVSSCEASMFYTVKSFIDQFIGYNILILRNTFISMMLLNLEVQTRSSNQQKRITCCANQTQTARRKSFSGPTSPPSGSVKEKVRSPKLDDGGTGFPPFRFGGGGGGGGGGGSNSAGGFILFVIVLLLDYLREFERNLQNGTRRGSDYDNGLAPQ >ORUFI02G04200.1 pep chromosome:OR_W1943:2:2685020:2689736:1 gene:ORUFI02G04200 transcript:ORUFI02G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCARAEDALPSAAIAALEMPSSAAAAAAAGGLIQKVGGGRRSGGSGRALHRSAHLSAEDDGHAPPPASCSKDDKIGGGGKREGSQRSRMRKYRSQLEQEVKKLQRQLEEEIDLHVALADAVMQNAGPVLNSSVKIPYKAQELLTNIASLESAVSKLEKDLNELYYQLCHERNERLLAESKPGCLPSTSPDHSLSTCTCTWEEHISSLRDLKFGGSESMRSTQQDLYSELEYEQDVGEDPEERQMVSLNRLFEKHRDVSLNRLLEKHRDEEMQESCSTDKEGEEDEKIDTLSFEQSILKITSMKVGNLWNNPNQLSEEMVRCMRNIFLRLSESSKMSPKESSDCSSSSAERLSGSTLASFSDSSIIPSMLRSPSVDSNRNDEMTTEASNFDPYKVNGKESRRDIGNYHSAAEVSWMSVGKEQLEYASEALKKFRFLVEQLSKVNPNSMNCDERLAFWINLYNALIMHAYLAYGVPRNDIKLFSLMQKSLMLALNKFKITEEHKKYSIDGTEPLVLFGLSCGMFSSPAVRIFSAANVRQELQESLRDYVQASVGISDRGKLLIPKLLQSYAKGNVEDSLLADWICHHLTPDQVAVIRDSSSQRKQRLLGARSFTVVAFDSKFRYLFLPDSSGSQKPEPKRTS >ORUFI02G04210.1 pep chromosome:OR_W1943:2:2691515:2694658:-1 gene:ORUFI02G04210 transcript:ORUFI02G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLQFSNKNYSNRFPITSFGLALALLLFLASPTSSCTEKESNSLIQFLDWLSKDGGLGMSWKNGTDCCVWEGITCNPNRTVNEVFLATRGLEGIISPSLGNLIGLMRLNLSHNSLSGGLPLELVSSSSIMILDVSFNYLTGDLSDLPSSTHDRPLQVLNISSNLFTGNFPSTTWEVMKSLVALNASNNSFTGKIPTSFCASAPSFALLDISYNQFSGGIPPGLSNCSTLTLLSSGKNNLTGAIPYEIFDITSLKHLSFPNNQLEGSIDGITKLINLVTLDLGGNKFIGSIPHSIGQLKRLEEFHLDNNNMSGELPSTLSDCTNLVTIDLKKNNFSGELTKVNFSTLPNLKTLDVVWNKFNGTIPESIYSCSNLTALRLSFNNFRGQLSEKIGNLKSLSFLSLVKNSLANITSTLQMLQSSKNLTTLIIAINFMHETIPLDDSIDGFENLQVLSLYGCSLSGKIPHWLSKLTNLEMLFLHDNQLTGQIPIWISSLNFLFYLDITNNSLSGEIPTALMEMPMLKTDNVAPKVFELPIFTAQSLQYRINSAFPKVLNLGINNFAGAIPKEIGQLKALLLLNLSSNKLSGQIPESICNLTNLQMLDLSNNNLTGTIPEALNKLHFLSAFNVSNNDLEGPVPTVGQLSTFPSSIFDGNPKLCGPMLANHCSSAQTSYISKKRHIKKAILAVTFGVFFGGIAILVLLAHLLTLLRSTSFLSKNRRYSNDGTEAPSSNLNSEQPLVMVPQGKGEQTKLTFTDLLKATKNFDKENIIGCGGYGLVYKGELSDGSMLAIKKLNSDMCLMEREFSAEVDALSMAQHDNLVPLWGYCIQGNSRFLIYSYMENGSLDDWLHNRDNDASSFLDWPMRLKIAQGASQGLAYIHDVCKPNIVHRDIKSSNILLDKEFKAYVADFGLSRLILPNKTHVTTELVGTLGYVPPEYGQGWMATLRGDMYSFGVVLLELLTGRRPIPVLSASKELIEWVQEMRSKGKQIEVLDPTLRGTGHEEQMLKVLEVACQCVNHNPGMRPTIREVVSCLDIIGTELQTTELN >ORUFI02G04220.1 pep chromosome:OR_W1943:2:2694054:2698026:1 gene:ORUFI02G04220 transcript:ORUFI02G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTCRGRSWVEDGRSLKSPVRIMMLLDDTNSSGKPPDSELWDKLRRIKPIRLPREGEMIPSSPLVARNTSLTVLFGLQVIPSHTQQSVPFFHDMPRPPSFESQSRNWIRELLSFSVQELVGEAKKSSSARARPKELGAYDVQAGDNLLYNIISCTHSTSCFDEDKIGTGRLPIGQCGEVDIGELPAEAVALEVDGYKVCAVTQSPWQLPGHVVVVQMELLQSFQLSYGIWNATNELISSEIKGDQIYELHDALN >ORUFI02G04230.1 pep chromosome:OR_W1943:2:2695684:2698776:-1 gene:ORUFI02G04230 transcript:ORUFI02G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLGHALVLLLFLASPTSSCTEQERNSLIQFLTGLSKDGGLGMSWKNGTDCCAWEGITCNPNRMVTDVFLASRGLEGVISPSLGNLTGLMRLNLSHNLLSGGLPLELVSSSSIVVLDVSFNYMTGGMSDLPSSTPDRPLQVLNISSNLFTGIFPSTTWQVMKSLVAINASTNSFTGNIPTSFCVSAPSFALLELSNNQFSGGIPPGLGNCSKLTFLSTGRNNLSGTLPYELFNITSLKHLSFPNNQLEGSIEGIMKLINLVTLDLGGNKLIGSIPDSIGQLKRLEKLHLDNNNMSGELPWTLSDCTNLVTIDLKSNSFSGKLTNVNFSTLPNLKTLDVVWNNFSGTVPESIYSCRNLTALRLSYNGFHGQLSERIGNLQYLSFLSIVNISLTNITRTIQVLQSCRNLTSLLIGRNFKQETMPEGDIIDGFENLQVLSLANCMLSGRIPHWLSKLKNLAVLFLYNNQFTGQIPDWISSLNFLFYLDLSSNSLSGEIPKALMEMPMFKTDNVEPRVFELPVFTAPLLQYRRTSALPKVLNLGINNFTGVIPKEIGQLKALLLLNLSSNKFSGGIPESICNITNLQVLDISSNDLTGPIPAALNKLNFLSAFNVSNNDLEGSVPTVGQLSTFPNSSFDGNPKLCGPMLVHHCGSDKTSYVSKKRHNKTAILALAFGVFFGGITILFLLARLILFLRGKNFVTENRRCRNDGTEETLSNIKSEQTLVMLSQGKGEQTKLTFTDLKATKNFDKENIIGCGGYGLVYKAELSDGSMVAIKKLNSDMCLMEREFSAEVDALSTAQHDNLVPLWGYCIQGNSMLLIYSYMENGSLDDWLHNRNDDASSFLNWPMRLKIAQGASQGISYIHDVCKPQIVHRDIKCSNILLDKEFKAHIADFGLSRLILPNRTHVTTELVGTFGYIPPEYGQGWVATLRGDMYSFGVVLLELLTGRRPVPILSSSKQLVEWVQEMISEGKYIEVLDPTLRGTGYEKQMVKVLEVACQCVNHNPGMRPTIQEVVSCLDIIGTELQTTKLN >ORUFI02G04240.1 pep chromosome:OR_W1943:2:2698436:2699613:1 gene:ORUFI02G04240 transcript:ORUFI02G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDDTSSSGKPPDSKLWDKLRRIRPVIPSHAQQSVPFFHDMPRPPSFESPVRNWMSEFLSCSVQELVGEAKKSSSTRAWPRLAAMLDQTAASLEGLRID >ORUFI02G04250.1 pep chromosome:OR_W1943:2:2701924:2703548:1 gene:ORUFI02G04250 transcript:ORUFI02G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLVICFDAHPCRIAHVLLPKIGVISLSSGDGDRRAAAASWSLFRAAVRTSPDNRGQDMDRSSSCEQLKQDNSKADIAGLDITVDDMWLAGIMDIRKSPACSLGNFEPLRPVKKCTRIIVPVVKPVV >ORUFI02G04260.1 pep chromosome:OR_W1943:2:2702931:2712904:-1 gene:ORUFI02G04260 transcript:ORUFI02G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLHSPCKKHSNKFPIPVLALALVLLINLASLTSSCTEQDRSSLLRFLRELSQDGGLAASWQDGTDCCKWDGITCSQDSTVTDVSLASRSLQGRISPSLGNLPGLLRLNLSHNLLSGALPKELLSSSSLITIDVSFNRLDGDLDELPSSTPARPLQVLNISSNLLAGQFPSSTWVVMKNMVALNVSNNSFSGHIPANFCTNSPYLSVLELSYNQLSGSIPPGFGSCSRLRVLKAGHNNLSGTIPDEIFNATSLECLSFPNNDFQGTLEWANVVKLSKLATLDLGENNFSGNISESIGQLNRLEELHLNNNKMFGSIPSNLSNCTSLKIIDLNNNNFSGELIYVNFSNLPNLKTLDLMRNNFSGEIPESIYTCSNLTALRVSSNKLHGQLSKGLGNLKSLSFLSLAGNCLTNIANALQILSSSSNLTTLLIGHNFMNERMPDGSIDGFENLQVLSLSECSLSGKIPRWLSKLSRLEVLELDNNRLTGPIPDWISSLNFLFYLDISNNSLTGEIPMSLLQMPMLRSDRAAAQLDRRAFQLPIYISASLLQYRKASAFPKVLNLGKNEFTGLIPPEIGLLKVLLSLNLSFNKLYGDIPQSICNLTDLLVLDLSSNNLTGTIPAALNNLNFLSEFNISYNDLEGPIPTGGQLDTFTNSSFYGNPKLCGPMLVRHCSSADGHLISKKQQNKKVILAIVFGVFFGAIVILMLSGYLLWSISGMSFRTKNRCSNDYTEALSSNISSEHLLVMLQQGKEAEDKITFTGIMEATNNFNREHIIGCGGYGLVYRAELPDGSKLAIKKLNGEMCLMEREFSAEVETLSMAQHDNLVPLLGYCIQGNSRLLIYSYMENGSLDDWLHNKDDGTSTILDWPRRLKIAKGASHGLSYIHNICKPRIVHRDIKSSNILLDKEFKAYIADFGLSRLILPNKTHVTTELVGTLGYIPPEYGQAWVATLKGDVYSFGVVLLELLTGRRPVPILSTSKELVPWVQEMISEGKQIEVLDSTLQGTGCEEQMLKVLETACKCVDGNPLMRPTMMEVVASLDTCFVFFFLLFLKASSGPFHLHIQSESGVGTICLEAMQKQQQLHSSCKKCSNRCFIDFLRFNLAFALLLLLSLASPTSSCTEQERSSLLQFLSGLSNDGGLAVSWRNAADCCKWEGVTCSADGTVTDVSLASKGLEGRISPSLGNLTGLLRLNLSHNSLSGGLPLELMASSSITVLDISFNHLKGEIHELPSSTPVRPLQVLNISSNSFTGQFPSATWEMMKNLVMLNASNNSFTGHIPSNFCSSSASLTALALCYNHLSGSIPPGFGNCLKLRVLKVGHNNLSGNLPGDLFNATSLEYLSFPNNELNGVINGTLIVNLRNLSTLDLEGNNIAGWIPDSIGQLKRLQDLHLGDNNISGELPSALSNCTHLITINLKRNNFSGNLSNVNFSNLSNLKTLDLMGNKFEGTVPESIYSCTNLVALRLSSNNLQGQLSPKISNLKSLTFLSVGCNNLTNITNMLWILKDSRNLTTLLIGTNFYGEAMPEDNSIDGFQNLKVLSIANCSLSGNIPLWLSKLEKLEMLFLLDNRLSGSIPPWIKRLESLFHLDLSNNSLIGGIPASLMEMPMLITKKNTTRLDPRVFELPIYRSAAGFQYRITSAFPKVLNLSNNNFSGVIPQDIGQLKSLDILSLSSNNLSGEIPQQLGNLTNLQVLDLSSNHLTGAIPSALNNLHFLSTFNVSCNDLEGPIPNGAQFSTFTNSSFYKNPKLCGHILHRSCRSEQAASISTKSHNKKAIFATAFGVFFGGIAVLLFLAYLLATVKGTDCITNNRSSENADVDATSHKSDSEQSLVIVSQNKGGKNKLTFADIVKATNNFDKENIIGCGGYGLVYKADLPDGTKLAIKKLFGEMCLMEREFTAEVEALSMAQHDNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRDDDASTFLDWPKRLKIAQGAGRGLSYIHDACKPHIIHRDIKSSNILLDKEFKAYVADFGLARLILANKTHVTTELVGTLGYIPPEYGQGWVATLKGDIYSFGVVLLELLTGRRPVHILSSSKELVKWVQEMKSEGNQIEVLDPILRGTGYDEQMLKVLETACKCVNCNPCMRPTIKEVVSCLDSIDAKLQMQNSVKT >ORUFI02G04270.1 pep chromosome:OR_W1943:2:2715413:2728673:1 gene:ORUFI02G04270 transcript:ORUFI02G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRRELLSCSVHELVREARLMSSTSARARTVERSRFAVRRRAQGVRRNAREAVQFRSGADCGRRRSRGGGLVDQATEGPCFVAVLRELGEELVKRALLLLCAGAGERSQGDQQQETKAQGRYVGLECVGLVWSFPFGPNTSPKASIFFQISGGGRRRRPAATGGRNCGSVSLSWLPPPRPLTVRRNARAGLVERPSGGGGAASSLLGGALRVFGEMLENLAGGESGR >ORUFI02G04280.1 pep chromosome:OR_W1943:2:2716252:2719395:-1 gene:ORUFI02G04280 transcript:ORUFI02G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLHYKKHSNKFPIPVLALALVLLINLASLTSSCTEQDRSSLLKFIRELSQDGGLSASWQDGTDCCKWDGIACSQDGTVTDVSLASRNLQGNISPSLGNLTGLLRLNLSHNMLSGALPQELVSSSTIIIVDVSFNRLNGGLNELPSSTPIRPLQVLNISSNLFTGQFPSSIWDVMKNLVALNVSSNKFTGKIPTRFCDSSSNLSVLELCYNQFSGSIPSGLGNCSMLKVLKAGHNKLSGTLPGELFNDVSLEYLSFPNNNLHGEIDGTQIAKLRNLVTLDLGGNQFIGKIPDSISQLKRLEELHLDSNMMSGELPGTLGSCTNLSIIDLKHNNFSGDLGKVNFSALHNLKTLDLYFNNFTGTIPESIYSCSNLTALRLSGNHFHGELSPGIINLKYLSFFSLDDNKLTNITKALQILKSCSTITTLLIGHNFRGEVMPQDESIDGFGNLQVLDINSCLLSGKIPLWLSRLTNLEMLLLNGNQLTGPIPRWIDSLNHLFYIDVSDNRLTEEIPITLMNLPMLRSTSDIAHLDPGAFELPVYNGPSFQYRTLTGFPTLLNLSHNNFIGVISPMIGQLEVLVVLDFSFNNLSGQIPQSICNLTSLQVLHLSNNHLTGEIPPGLSNLNFLSAFNISNNDLEGPIPTGGQFDTFSNSSFEGNPKLCDSRFNHHCSSAEASSVSRKEQNKKIVLAISFGVFFGGICILLLLGCFFVSERSKRFITKNSSDNDGDLEAASFNSDSEHSLIMITRGKGEEINLTFADIVKATNNFDKAHIIGCGGYGLVYKAELPDGSKIAIKKLNSEMCLTEREFSAEVDALSMAQHANLVPFWGYCIQGNLRLLIYSLMENGSLDDWLHNWDDDASSFLDWPTRLKIAQGASQGLHYIHDVCKPHIVHRDIKSSNILLDKEFKSYIADFGLSRLVLPNITHVTTELVGTLGYIPPEYGQSWVATLRGDMYSFGVVLLELLTGRRPVPILSTSEELVPWVHKMRSEGKQIEVLDPTLRGTGCEEQMLKVLETACKCVDCNPLKRPTIMEVVTCLDSIGTEIKI >ORUFI02G04290.1 pep chromosome:OR_W1943:2:2723021:2730709:-1 gene:ORUFI02G04290 transcript:ORUFI02G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDNFHKENIIACGGYGLVYKAELPSGSTLAIKKLNGEMCLMEREFAAEVEALSMAQHDNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRDDETSSFLDWPTRFKIARGASQGLSYIHDVCKPHIVHRDIKSSNILLDKEFKAYVADFGLSRLILPNKNHITTELVGTLGYIPPEYGQGWVATLRGDVYSFGVVLLELLTGRRPVSILSTSEELVPWVLEMKSKGNMLEVLDPTLQGTGNEEQMLKVLEVACKCVNCNPCMRPTITEFHQRIMQPLHFPSYRYSSKLPTISSLSLGLLLLISLASLTSSCTEQEKGSLHQFLAELSQDGNLSMSWRNDRNCCVWEGITCNRNGAVTDISLQLKGLEGHISPSLGNLTSLLRLNLSHNSLSGYLPWELVSSSSISVLDVSFNRLRGELQDPLSPMTAVQPLQVLNISSNSFTGQFPSTTWKAMKNLVALNASNNRFTGQISDHFCSSSPSLMVLDLCYNLFSGGIPPGIGACSRLNVLKVGQNNLSGTLPDELFNATSLEHLSVPNNGLNGTLDSAHIMKLSNLVTLDLGGNNFNGRIPESIGELKKLEELLLGHNNMYGEVPSTLSNCTNLKTIDIKSNSFSGELSKINFSTLPNLQTLDLLLNNFNGTIPQNIYSCSNLIALRMSSNKFHGQLPKGIGNLKSLSFLSISNNSLTNITDTLQILKNSRSLSTLLMGVNFNGELMPEDETIDGFENLQFVSIDDCSLIGNIPFWLSKLTNLQMLDLSNNQLTGQIPAWINRLNFLFYLDISNNSLTGGIPTALMEIPRLISANSTPYFDPGILQLPIYTGPSLEYRGFRAFPATLNLARNHLMGAIPQEIGQLKMLRTLNISFNSISGEIPQPLCNLTDLQVLDLSNNHLIGTIPSALNNLHFLSKLNVSNNDLEGSIPTGGQFSTFQNSSFVGNSKLCGSNIFRSCDSSRAPSVSRKQHKKKVILAITLSVSVGGIIILLSLSSLLVSLRATKLMRKGELANNRNEETASFNPNSDHSLMVMPQGKGDNNKLTFADIMKTTNNFDKENIIGCGGYGLVYKAELPDGSKLAIKKLNSEMCLMEREFTAEIEALTMAQHDNLVPLWGYCIHGNSRLLIYSYMENGSLDDWLHNRDDDASSFLDWPTRLKIAQGASLGISYIHDVCKPHIVHRDIKSSNILLDKEFKAYIADFGLSRLILPSKTHVTTELVGTLGYIPPEYGQSWIATLRGDIYSFGVVLLELLTGRRPVPLLSTSKELVPWVQEMRSVGKQIEVLDPTVRGMGYDEQMLKVLETACKCVNYNPLMRPTIMEVVASLDSIDADRNT >ORUFI02G04300.1 pep chromosome:OR_W1943:2:2736325:2742721:1 gene:ORUFI02G04300 transcript:ORUFI02G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSRLLEGSETSVTVLSVLQVIPSHSQQSTPSFHDMLRPPSLESPVRKLRREFFSCSVHELVGEVKLSSSTRARLRTACLNSLDLMSFLFPLTDLCLSPIGMKWNGGDGGVNCPW >ORUFI02G04300.2 pep chromosome:OR_W1943:2:2736325:2742721:1 gene:ORUFI02G04300 transcript:ORUFI02G04300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSRLLEGSETSVTVLSVLQVIPSHSQQSTPSFHDMLRPPSLESPVRKLRREFFSCSVHELVGEVKLSSSTRARLRTDTTCKASFRECLSPIGMKWNGGDGGVNCPW >ORUFI02G04300.3 pep chromosome:OR_W1943:2:2738876:2739461:1 gene:ORUFI02G04300 transcript:ORUFI02G04300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQSVELTDRLWNVTTEVVLSKVKGSQLTEFDNICPFNTAKDGELVQKLVGIFPVKLLLLTLSAARFVITTHVEDGNCPANKLLEMLSTCSGLAGVEDGNLSRPPFRRLKLMSMTMSLLEDSNSCGRTLDSRL >ORUFI02G04310.1 pep chromosome:OR_W1943:2:2736647:2739796:-1 gene:ORUFI02G04310 transcript:ORUFI02G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPHFSYKTQSNRLPIPVLSLALVLLLNFTSPTSSCTEQEKNSLLNFLTGLSKDGGLSMSWKDGVDCCEWEGITCRTDRTVTDVSLPSRSLEGYISPSLGNLTGLLRLNLSYNLLSSVLPQELLSSSKLIVIDISFNRLNGGLDKLPSSTPARPLQVLNISSNLLAGQFPSSTWVVMTNLAALNVSNNSFTGKIPTNFCTNSPSLAVLELSYNQFSGSIPPELGSCSRLRVLKAGHNNLSGTLPDEIFNATSLECLSFPNNNLQGTLEGANVVKLGKLATLDLGENNFSGNIPESIGQLNRLEELHLNNNKMFGSIPSTLSNCTSLKTIDLNSNNFSGELMNVNFSNLPSLQTLDLRQNIFSGKIPETIYSCSNLTALRLSLNKFQGQLSKGLGNLKSLSFLSLGYNNLTNITNALQILRSSSKLTTLLISNNFMNESIPDDDRIDGFENLQVLDLSGCSFSGKIPQWLSKLSRLEMLVLDNNQLTGPIPDWISSLNFLFYLDVSNNNLTGEIPMALLQMPMLRSDRAAAQLDTRAFELPIYIDATLLQYRKASAFPKVLNLGNNEFTGLIPQEIGQLKALLLLNLSFNKLYGDIPQSICNLRDLLMLDLSSNNLTGTIPAALNNLTFLIEFNVSYNDLEGPIPTGGQFSTFTNSSFYGNPKLCGPMLTHHCSSFDRHLVSKQQQNKKVILVIVFCVLFGAIVILLLLGYLLLSIRGMSFTTKSRCNNDYIEALSPNTNSDHLLVMLQQGKEAENKLTFTGIVEATNNFNQEHIIGCGGYGLVYKAQLPDGSMIAIKKLNGEMCLMEREFSAEVETLSMARHDNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNKDDDTSTILDWPRRLKIAKGASHGLSYIHNICKPRIVHRDIKSSNILLDKEFKAYIADFGLSRLILPNKTHVTTELVGTLGYIPPEYAQAWVATLKGDVYSFGVVLLELLTGRRPVPILSTSKELVPWVQEMVSNGKQIEVLDLTFQGTGCEEQMLKVLEIACKCVKGDPLRRPTMIEVVASLHSIDPDGLT >ORUFI02G04320.1 pep chromosome:OR_W1943:2:2746290:2752101:1 gene:ORUFI02G04320 transcript:ORUFI02G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSEPSKEAVSADDSSAVQKTGAWSNTLNILLQQTSVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVVGVLLCGQLKLIEHDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETFYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEAFMLFPLEMLLTGELNQMKGDNAKVTNWLSSDVILPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASFVGTIGLLICMSGSVLYQQSTTKPKAPKAEPKEENDEEQQKLLEMQQGHESSSTQKQTSS >ORUFI02G04330.1 pep chromosome:OR_W1943:2:2749521:2751653:-1 gene:ORUFI02G04330 transcript:ORUFI02G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSGLAASSLCLLLFLLPMAVSTIACLEQEKSSLLRFLAGLSHDNGIAMSWRNGMDCCEWEGITCSEDGAVIEVSLASKGLEGRISPSLGELTSLSRLNLSYNSLSGGLPAELMSSGSIVVLDVSFNHLNGNLQELNSSVSNQPLQVLNISSNQFTGAFPSSTWEKMSNLVAINVSNNSFTGHIPSSFCIGSPSFAVIDIGYNQFSGSIPPGIGNCTALRMLKAGNNNISGALPDDLFHATSLEYLSFANNGLQGTINGSLIIKLSNLVFVDLGWNRFSGKIPNSIGQLKRLKELHISSNNLSGELPASLGDCTNLVIINLSTNKFTGELAKVNFSNLPNLKALDFSWNNFNGTIPESIYSCSNLTWLRLSANRLHGQLSKNIGNLKSITFLSISYNNFTNITNTLHILKSLRNLTVLFMGSNFKNEAMPQDEAIDGFENIQGLAIERCALYGKIPNWLSKLRNLQVLTLYSNQLSGPIPTWINSLNFLKYVDVSNNSLTGEIPAALMEMPMLKSDKVADNSEQRAFTFSFYAGACLCLQYHTTTALPEMLNLGNNNFTGVIPMEIGELKELVSLNLSFNNLNGEIPESISNLKNLMVLDLSYNHLTGAIPPAMVNLHFLSEFNVSYNDLKGPVPSGDQFSTFPSSSFAGNPKLCSPMLVHHCNSAEAAPTSTILTKQYIDKVVFAIAFGVFFGVGVLYDQIIMYKYFG >ORUFI02G04340.1 pep chromosome:OR_W1943:2:2756574:2758733:-1 gene:ORUFI02G04340 transcript:ORUFI02G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQSWRSNKTRRFCINFFDPALVLLLLTFISPVDSCTKQERHSLLRFLAGLSQDGGLAVSWQNSPNCCTWQGIICGEDGAVTELLLASRGLQGCISSSLSELTSLSRLNLSYNLLSGGLPSELISTSSIVVLDVSFNRLDGELHELNSSSPDRPLQVLNISSNLFTGAFPSTTWEKMSNLFAINASNNSFTGYIPSTFCISSSSFAMLDLSYNQFSGNIPHGIGKCCSLRMLKAGHNNIIGTLPDDLFSATSLEYLSFANNGLQGTINGALIIKLSNLVFVDLGWNRFSGKIPNSIGQLKRLEELHMSSNNLSGELPSSLGECTYLVTINLSSNKFTGELANVNFSNLPNLKALDFSGNDFTGTIPESIYSCSNLTSLRLSANRLHGQLTKNIGNLKSIIFLSISYNNFTNITNTLHILKSLRNLSVLFMGSNFKNEAMPQDEKIDGFKNILGLGINDCALSGKVPNWFSKLRNLQVLVLYNNQLSGPIPTWINSLNFLKYVDISNNSLSGEIPAALMEMPMLKSDKIADYTDPRLFQFPVYVGCMCFQYRTITAFPKMLNLGNNKLTGAIPMEIGELKALVSLNLSFNNLNGEIPQLVTNLRNLMVLDLSYNHLTGAIPSALVSLHFLSEFNISYNDLEGPVPIGGQFSTFPSSSFAGNPKLCSPMLVHHCNLAEAAPTSPTSRKQYIDQVVFAIAFGVFFGVGVLYDQLIMPRYFG >ORUFI02G04350.1 pep chromosome:OR_W1943:2:2765657:2765836:1 gene:ORUFI02G04350 transcript:ORUFI02G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTFGKATTTWRGGLNSVAAAVPIHGFTSLSPLPDSATTGAEGDERRPEEEINNKGQ >ORUFI02G04360.1 pep chromosome:OR_W1943:2:2766854:2769019:-1 gene:ORUFI02G04360 transcript:ORUFI02G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIPHFSCSNKTSRFCINFFGPVLVLLLFTFISPVGSCTERERRSLLQFLTRLSQDGGLAASWQNSTDCCTWEGIICGEDGAVTELLLASRGLQGCISSSLSELTSLSRLNLSYNLLSSELPSELIFSSIVVLDVSFNRLDGELQELNSSSPDRPLQVLNISSNLFTGAFPSTTWEKMSNLVAINASNNSFSGHIPSSFCISSPSFAVLDLSYNQFSGSIPPGIGKCSSLRMLRVGQNNIIGTLPDDLFRATSLEYLSFPNNHLQGIIDDALMIKLSNLGFLDLGGNRFSGKIPDSIGQLKRLEELHMEENNISGELPPTLGDCTNLVTINLKKNKLKGELAKVNFSTLPNLKILDLSSNYFIGTIPESIYSCSNLTWLRLSTNKLHGELTKKIENLKSITFISLSYNNFKNITNTLHILKNLRNLTVLLLGGNFMHEAMPEDETIDGFKNIQGLGINDCALTGKIPNWLSKLRSLQLLALYNNQLSGPIPTWISSLNFLKYVDISNNSLTGEIPTALMQMPMLKSDKIEDHPDLIVSPFTVYVGACLCFQYRATSAFPKMLNLGNNKLSGVIPMEIGQLKELLSLNLSFNNLNGEIPQAISNLKNLMVLDLSSNHLTGPIPSGLVNLHFLSEFNVSYNDLEGPVPIGGQFSTFLSSSFAGNPKLCSPMLEHHCNSAVAAPTTPISAKQYIDKVVFAIAFGVFFGVGVLYDQITMPRYFG >ORUFI02G04370.1 pep chromosome:OR_W1943:2:2773264:2774096:1 gene:ORUFI02G04370 transcript:ORUFI02G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYKVQMSTLSRSSLSFDGNPKLCGPVLGNDCDDSVEAASSQPSLKKNPASKSHCSDCLWRVLWNRGAKKFGTKLGLVNGVIVTMDREGRTYGPSSTAINKAGTIISIRKSVCKFICDILFFRTGYRDIFDIVVALVCWSIWKERNARIFEHRMRTPEHLVDDVKEETLVWKTAGVIKPCNNENH >ORUFI02G04380.1 pep chromosome:OR_W1943:2:2782938:2818624:1 gene:ORUFI02G04380 transcript:ORUFI02G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLEDISSTGRPPESELYDRLRRDKLVSSPRDGEICPSRPLEDSSSSVTAPSMLQMIPSQVQQSVLFLHDMVRLLSCESPARKWRRELCSCSVHELTGVTRNGAQGISAYMRPTIVGPEGKWTRVRLMLVRLMGSPVIQPPTPTPTPKPTGASATLKTSLAMALLPAEPPPPPSTHEWPYVVVPNRRRRPKREGLRPQRCSPGAAKATGRLKLTSRTTMLLVDISSDGSPPESKLYDRLSRDKLVSSLRDNEMRPCRPLEASDISVTAPSSPQMIPSHVQQSVLFCHDAARPLSCDSPARNRRRECLSCSVHELTGEMKVSSNTKAGSKKFMRNLLVLLLREECGFMMQREHPAKREDGAAAIEERKPREITWERPSDVVGISLEKSKGRTFTYSRGDVATELVVPKIKNSKRCRAAAEGGRSPLRRLKLTSRTTMLPEDINSAGRLPDRELYDRLRRDRLVSSPSDGDAFPQQQSMPFCHDMAMPPSCDSSAMNRRRELFSCSTQAMAELLLVIIILSTVMISSSDAYYQSCRCLGDGKNNQTSCDQAIDDSIKGSGQLIFRRIQPPITSVAFNVSFIGSLAFILRPVPAGGEYSNNSDAYGSLVFSGNTYNKTTTIITHSDCCSTGDSSSNVFVKMGALKNVSTFGVNITISRKASNIQIVQGNNYTISVWIDYNRAAEAADRSISVFVAKAGETKPKEAIIVNKDDNISKGATLQGCIFSSMDLQRLHQISDMDVTFAYGQHVSHSPSRSLPTILASVLGPAGGAVIAAAVTWLYFNSSYRRWKKDFDQLAKSMQSLPGVPVKISFADIRKATNNFHDTMKLGSGAFGAVYRCKLQSLNLKEQPVEVAVKKFTRADTRSYQDFLAEVSIINRLRHKSIVPLIGWSYNKGEPLLIYEYMPNGSLDRHIFARTDQLHGGHHTTIRQWDTRYNIVRDIATGLHYVHHEYEPKVLHRDIKASNILLDSTFRARLGDFGLACTVAVGRSSVSCGVAGTFGYIAPDYAINLKATQQTDVYAFGVLVLEIVTGKKAMLNDAQFGHITDWVWHLHQRGRLLEAVDGVLGTVGHGEFDIEEARRLLLLGLACSNPNPSDRPTMVVAVQVIAKLAPAPDVPLEKPTVVCFPPLTLPVGSSSSECTDYYVTAKGSLQIKSSMPSRSASFPSPPPPPPRPPAAAAVWEGMPDLGGDGDEVEKAPTLSPAAVACARGWMRRRATATDCGNSERRARQGCPPLESGDDGRPPPGSSGGGRPSPDLGRIHRRRWEESSVRQATLQSSPPLPPPASSCPLPSSWSSSTSSPRGRRRPPMDVDSDLADKGKPTQMDLEDQASIHLQVSVIDRKVLPSEFHLFSDIFVCAIFGKLPIASSSADDHGGGCNPSEAGGCCPGGGSRLVDSIDHTWAEEAVDSMMGLLAASSLGGAFSWGVLLPAMRELPAKREGGAAASSGSLECCCFTSCRFVIPSWHIAEKIVEKLY >ORUFI02G04380.2 pep chromosome:OR_W1943:2:2782938:2818624:1 gene:ORUFI02G04380 transcript:ORUFI02G04380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLEDISSTGRPPESELYDRLRRDKLVSSPRDGEICPSRPLEDSSSSVTAPSMLQMIPSQVQQSVLFLHDMVRLLSCESPARKWRRELCSCSVHELTGVTRNGAQGISAYMRPTIVGPEGKWTRVRLMLVRLMGSPVIQPPTPTPTPKPTGASATLKTSLAMALLPAEPPPPPSTHEWPYVVVPNRRRRPKREGLRPQRCSPGAAKATGVALRFPPLRLSRRHLLPNHGVHELGKREHPAKREDGAAAIEERKPREITWERPSDVVGISLEKSKGRTFTYSRGDVATELVVPKIKNSKRCRAAAEGGRSPLRRLKLTSRTTMLPEDINSAGRLPDRELYDRLRRDRLVSSPSDGDAFPQQQSMPFCHDMAMPPSCDSSAMNRRRELFSCSTQAMAELLLVIIILSTVMISSSDAYYQSCRCLGDGKNNQTSCDQAIDDSIKGSGQLIFRRIQPPITSVAFNVSFIGSLAFILRPVPAGGEYSNNSDAYGSLVFSGNTYNKTTTIITHSDCCSTGDSSSNVFVKMGALKNVSTFGVNITISRKASNIQIVQGNNYTISVWIDYNRAAEAADRSISVFVAKAGETKPKEAIIVNKDDNISKGATLQGCIFSSMDLQRLHQISDMDVTFAYGQHVSHSPSRSLPTILASVLGPAGGAVIAAAVTWLYFNSSYRRWKKDFDQLAKSMQSLPGVPVKISFADIRKATNNFHDTMKLGSGAFGAVYRCKLQSLNLKEQPVEVAVKKFTRADTRSYQDFLAEVSIINRLRHKSIVPLIGWSYNKGEPLLIYEYMPNGSLDRHIFARTDQLHGGHHTTIRQWDTRYNIVRDIATGLHYVHHEYEPKVLHRDIKASNILLDSTFRARLGDFGLACTVAVGRSSVSCGVAGTFGYIAPDYAINLKATQQTDVYAFGVLVLEIVTGKKAMLNDAQFGHITDWVWHLHQRGRLLEAVDGVLGTVGHGEFDIEEARRLLLLGLACSNPNPSDRPTMVVAVQVIAKLAPAPDVPLEKPTVVCFPPLTLPVGSSSSECTDYYVTAKGSLQIKSSMPSRSASFPSPPPPPPRPPAAAAVWEGMPDLGGDGDEVEKAPTLSPAAVACARGWMRRRATATDCGNSERRARQGCPPLESGDDGRPPPGSSGGGRPSPDLGRIHRRRWEESSVRQATLQSSPPLPPPASSCPLPSSWSSSTSSPRGRRRPPMDVDSDLADKGKPTQMDLEDQASIHLQVSVIDRKVLPSEFHLFSDIFVCAIFGKLPIASSSADDHGGGCNPSEAGGCCPGGGSRLVDSIDHTWAEEAVDSMMGLLAASSLGGAFSWGVLLPAMRELPAKREGGAAASSGSLECCCFTSCRFVIPSWHIAEKIVEKLY >ORUFI02G04380.3 pep chromosome:OR_W1943:2:2797231:2818624:1 gene:ORUFI02G04380 transcript:ORUFI02G04380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESMSWASESIRRSERTAPRRSRSGNLEVRGDVATELVVPKIKNSKRCRAAAEGGRSPLRRLKLTSRTTMLPEDINSAGRLPDRELYDRLRRDRLVSSPSDGDAFPQQQSMPFCHDMAMPPSCDSSAMNRRRELFSCSTQAMAELLLVIIILSTVMISSSDAYYQSCRCLGDGKNNQTSCDQAIDDSIKGSGQLIFRRIQPPITSVAFNVSFIGSLAFILRPVPAGGEYSNNSDAYGSLVFSGNTYNKTTTIITHSDCCSTGDSSSNVFVKMGALKNVSTFGVNITISRKASNIQIVQGNNYTISVWIDYNRAAEAADRSISVFVAKAGETKPKEAIIVNKDDNISKGATLQGCIFSSMDLQRLHQISDMDVTFAYGQHVSHSPSRSLPTILASVLGPAGGAVIAAAVTWLYFNSSYRRWKKDFDQLAKSMQSLPGVPVKISFADIRKATNNFHDTMKLGSGAFGAVYRCKLQSLNLKEQPVEVAVKKFTRADTRSYQDFLAEVSIINRLRHKSIVPLIGWSYNKGEPLLIYEYMPNGSLDRHIFARTDQLHGGHHTTIRQWDTRYNIVRDIATGLHYVHHEYEPKVLHRDIKASNILLDSTFRARLGDFGLACTVAVGRSSVSCGVAGTFGYIAPDYAINLKATQQTDVYAFGVLVLEIVTGKKAMLNDAQFGHITDWVWHLHQRGRLLEAVDGVLGTVGHGEFDIEEARRLLLLGLACSNPNPSDRPTMVVAVQVIAKLAPAPDVPLEKPTVVCFPPLTLPVGSSSSECTDYYVTAKGSLQIKSSMPSRSASFPSPPPPPPRPPAAAAVWEGMPDLGGDGDEVEKAPTLSPAAVACARGWMRRRATATDCGNSERRARQGCPPLESGDDGRPPPGSSGGGRPSPDLGRIHRRRWEESSVRQATLQSSPPLPPPASSCPLPSSWSSSTSSPRGRRRPPMDVDSDLADKGKPTQMDLEDQASIHLQVSVIDRKVLPSEFHLFSDIFVCAIFGKLPIASSSADDHGGGCNPSEAGGCCPGGGSRLVDSIDHTWAEEAVDSMMGLLAASSLGGAFSWGVLLPAMRELPAKREGGAAASSGSLECCCFTSCRFVIPSWHIAEKIVEKLY >ORUFI02G04380.4 pep chromosome:OR_W1943:2:2797231:2818624:1 gene:ORUFI02G04380 transcript:ORUFI02G04380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESMSWASESIRRSERTAPRRSRSGNLEVRGDVATELVVPKIKNSKRCRAAAEGGRSPLRRLKLTSRTTMLPEDINSAGRLPDRELYDRLRRDRLVSSPSDGDAFPQQQSMPFCHDMAMPPSCDSSAMNRRRELFSCSTQAMAELLLVIIILSTVMISSSDAYYQSCRCLGDGKNNQTSCDQAIDDSIKGSGQLIFRRIQPPITSVAFNVSFIGSLAFILRPVPAGGEYSNNSDAYGSLVFSGNTYNKTTTIITHSDCCSTGDSSSNVFVKMGALKNVSTFGVNITISRKASNIQIVQGNNYTISVWIDYNRAAEAADRSISVFVAKAGETKPKEAIIVNKDDNISKGATLQGCIFSSMDLQRLHQISDMDVTFAYGQHVSHSPSRSLPTILASVLGPAGGAVIAAAVTWLYFNSSYRRWKKDFDQLAKSMQSLPGVPVKISFADIRKATNNFHDTMKLGSGAFGAVYRCKLQSLNLKEQPVEVAVKKFTRADTRSYQDFLAEVSIINRLRHKSIVPLIGWSYNKGEPLLIYEYMPNGSLDRHIFARTDQLHGGHHTTIRQWDTRYNIVRDIATGLHYVHHEYEPKVLHRDIKASNILLDSTFRARLGDFGLACTVAVGRSSVSCGVAGTFGYIAPDYAINLKATQQTDVYAFGVLVLEIVTGKKAMLNDAQFGHITDWVWHLHQRGRLLEAVDGVLGTVGHGEFDIEEARRLLLLGLACSNPNPSDRPTMVVAVQVIAKLAPAPDVPLEKPTVVCFPPLTLPVGSSSSECTDYYVTAKGSLQIKSSMPSRSASFPSPPPPPPRPPAAAAVWEGMPDLGGDGDEVEKAPTLSPAAVACARGWMRRRATATDCGNSERRARQGCPPLESGDDGRPPPGSSGGGRPSPDLGRIHRRRWEESSVRQATLQSSPPLPPPASSCPLPSSWSSSTSSPRGRRRPPMDVDSDLADKGKPTQMDLEDQECSEFVEFSAPQLTIMGEAATPARQEDVVQVAGHASSLGGAFSWGVLLPAMRELPAKREGGAAASSGSLECCCFTSCRFVIPSWHIAEKIVEKLY >ORUFI02G04380.5 pep chromosome:OR_W1943:2:2775144:2783932:1 gene:ORUFI02G04380 transcript:ORUFI02G04380.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVVLFSHSTTKFIPRDFERGLFKHAGFETCIQLCIYILTEQCNFSRTNISCQILSPNTAVMGEIMKEAPHSLWRSRLGMPFSGLAAAALLLLLLSMANSTIACLEQEKSSLLRFLAGLSHDNGIAMSWRNGIDCCAWEGITCSEDGAIIEVYLVSKGLEGQISPSLGELRSLLYLNLSYNLLSGGLPEELMSSGSIIVLDVSFNRLDGDLQELNSSVSDRPLQVLNISSNRFTGEFPSTTWEKMRSLVAINASNNSFTGQIPSSFCTGLPSFVMLDVSYNQFSGSIPPGIGKCTALKVLKAGHNNISGALPDDLFHATSLECLSFPNNDLQGTIDGVLMIKLSNLVFLDLAWNRFSGTIPDSIGKLKRLQEFHMNNNNISGELPSSLGDCTNVITINLENNKLAGELSKVNFSNLHNLQALGLSSNYFTGTIPDSIYSCSTLTWLRLSRNKLQGQLTEKLENLKSLTFVSLSYNNFTNITGSLHILKSLRNLTTLLIGSNFINEAMPEDETIDGFENLHVLAINNCALTGKIPNWLSKLKKLELLLLHNNQLSGPIPTWINSLNFLKYIDLSNNSLIGDIPTALMEMPMLKSDKIEDHPDGPRVSPFTIYVGVSLCFQYRAASAFPKMLNLGNNKLSGLIPVEIGQLKALLSLNLSFNNLHGEIPQSISDIKNLMGLDLSSNHLTGAIPSALVNLHFLSEFNVSYNDLQGPVPIGGQFSTFPSSSFAGNPKLCSPMLVQHCNLAEAAPTSPTSTKQFIDKVVFAIGFGVFFGIAAMALLLPGDPLAAAAPSPIVAAVSAGRRSQRCFPRAAKPTRSRAGQSGFPLGCSSCWGLETVEPLSRPCCSENT >ORUFI02G04390.1 pep chromosome:OR_W1943:2:2775243:2776100:-1 gene:ORUFI02G04390 transcript:ORUFI02G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIIWEGSTDVVVTSLEHFKGSAFPYSRGDAATELLTSSITKDGKPVQKEEGICPVKLLLLALIATRLLIFSHVVDGNSPVPVEAVEADIKDDDAARGHQLLRKATGEQVVGQVEVMPSHAQQSMPFRHDMAMPLSCDSPARNRRRELFSCSRHAMVELAMESSSNNKAAAARPEKGIPSLLLHRECGASFMISPITAVFGLRIWQLIFVREKLHCSVRIYIHN >ORUFI02G04390.2 pep chromosome:OR_W1943:2:2775243:2778178:-1 gene:ORUFI02G04390 transcript:ORUFI02G04390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSIRSGGLEVPVEAVEADIKDDDAARGHQLLRKATGEQVVGQVEVMPSHAQQSMPFRHDMAMPLSCDSPARNRRRELFSCSRHAMVELAMESSSNNKAAAARPEKGIPSLLLHRECGASFMISPITAVFGLRIWQLIFVREKLHCSVRIYIHN >ORUFI02G04400.1 pep chromosome:OR_W1943:2:2781144:2787552:-1 gene:ORUFI02G04400 transcript:ORUFI02G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHSSRSNKTSRFRINFFDPAFVLLLTFISPVNSCTEQERHSLLRFLAGLSQDSGLAASWQNSTDCCTWEGIICGEDGAVTEISLASRGLQGRISLSLRELTSLSRLNLSYNLLSGGLPSELISTSSIVVLDVSFNRLDGELQELNSSSPERPLQVLNISSNLFTGAFPSTTWEKTSSLFAINASNNSFTGYIPSTFCISSSSFVLDLSYNQFSGNIPHGIGKCCSLRMLKVGHNNIIGTLPYDLFSAISLEYLSFANNGLQGTINGALIIKLRNLVFVDLGWNRFSGKIPDSIGQLKKLEELHMCSNNLSGELPSSLGECTNLVTINLRSNKLEGELAKVNFSNLPNLKKIDFGSNNFTGTIPESIYSCSNLTWLRLSSNRLHGQLTKNIGNLKFITFLSLSYNNFTNITNTLHILKSLRNLNVLLIGGNFKNEAMPQDEAINGFENILCLAIEDCALSGKIPNWFSKLRNLQILVLHNNQLNGPIPTWTSSLKFLKYVDISNNNLTGEIPAGLMEMAMLKSDKVADNSDPIAFPLPVYAGACLCFQYHTATALPKMLNLGNNKFTGAIPMEIGELKALVSLNLSFNNLNSEIPQSMNNLKNLMVLDLSYNHLTGAIPPALMNLHFLSKFNVSYNDLEGPVPIGGQFSTFPSSSFAGNPKLCSPMLLHHCNSAEEDLSSSNSTKDPSRFGRRRRFGTTTYGHSCVDGGGGGSAGRRAMARLVFNVAEAPVGFGVGVGVGGWMTGEPMSLTNMSRTRVHFPSGPTIVGLMKEKAHNGTKNMGRIQVVIPFRPHVLNISSNRFTGDFPSTTWEKMRNLVVINASNNSFTGYIPSSFCISSPSFTVLDLSYNRFSGNIPPGIGNCSALKMFKAGYNNISGTLPDELFDAISLEYLSFPNNGLQGRIDGTHLIKLKNLATLDLRWNQLTGKIPDSINQLKQLEELHLCSNMMSGELPGKLGSCTNLKVIDLKHNNFYGDLGKVDFSALHNLRILDLYLNNFTGTIPVSIYSCRNLKALRLSANHLHGELSSGIINLKYLSFLSLANNNFTNITNALQVLKSCRTMTTLLIGRNFRGEIMPQDENIDGFGNLQVLDISGCLLSGNIPQWISRLKNLKMLILSANRPTGPIPGWINSLNLLFFIDMSDNRLTEEIPINLMNMTMLRSEKYVTHVDPRVFEIPVYNGPSLQYRALTAFPTLLNLSYNSFTGEISPIIGQLEVHVLDFSFNNLSGKIPQSICNLTNLQVLHLSNNHLTDAIPPGLSNLHFLSAFNVSNNDLEGPIPTGGQFDTFPDSSFRGNPKICSPIVARRCNSTEEALTSPISTKQYIDKTVFVIAFGVSFGVGVVYDQIVVSRFLQSLRLRLVCPLE >ORUFI02G04410.1 pep chromosome:OR_W1943:2:2791827:2798654:-1 gene:ORUFI02G04410 transcript:ORUFI02G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPMLKSDKIADNSDPRAFPFPVYAGACLCFQYRTVTAFPKMLNLGNNKFTGVIPMEIGELKALVSLDLSFNNLNREIPQSISNLKNLMVLDLSYNHLTGAIPPALVNLHFLSEFNVSYNDLKGFAGNPELCSPMLLHRCNVAEADLSPPSSKKDYINKVIPVIAFCVFFGIGVLYDQIVVSRYFRYGMGHRNETSKATAATLSMATTLEEVLLSIETTSSAHSLSSSTTASKEALELGRVISSLAVPRSLEAKLMVKTLASERRKRKVNNLERKGNKVDVSIAANDYYKPRAVKSHNSCTEEDRSSLLRFLAGLSHDGGLAASWRPDVDCCHAWEGVVCDDEGTVTEVSLQSRGLHGNISLSSLAGLTSLTRLNLSHNALSGSLPPELMYSASLVVLDVSFNSLDGVLPPLPMLMTGLKHPLQLQVLNISTNNLHGEIPESIGQLKKLEVIRLSNNNMSGNLPSSLGNCTRLTTIDLKMNSFSGDLGSVDFSSLHNLRALDLLHNDFSGVIPESIYSCNNLTALRLSSNQIHGEISSKIGDLKYLSFLSITENSFSDIAKTLHAFKSSRNLTTLFIGENFWGEVIPQDETIESLESIRHLSIYRCSLIGNIPLWLSKLKNLEVLDLSNNQLTGPMPSWLNSFNNLFYLDVSNNSLTGQIPATLIEIPMLKSDDYKAHRTILFDLPVYVTTLSRQYRAVTSFPALLNLSANSFTSVIPPKIGELKALTHLDFSSNQLQGEIPPSICNLTNLQVLDLSRNYLTGPIPEALNKLNFLSKFNISDNDLEGPIPTGGQMNTFSSSSFAGNPKLCGSMLAPCGSVEVAHTIPTISEDQQCSSKTISAIAFGVFFGIGVLYDQLVLSRYYG >ORUFI02G04420.1 pep chromosome:OR_W1943:2:2799962:2800147:-1 gene:ORUFI02G04420 transcript:ORUFI02G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLLIVATSAMACVEQEKSSLLRFIAELSQDGGIAMSWQNGMDCCCGKASPATKMGL >ORUFI02G04430.1 pep chromosome:OR_W1943:2:2800481:2815238:-1 gene:ORUFI02G04430 transcript:ORUFI02G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLEASAARRGAALSLRWQLPLNSRGDESSGFGVPGPRGPSGWACPCRPGQSRRPSADGGGHAASWWRTTMMTAAGRKKREEEEEERTARWPAARCFPPTAAGGSVRGQARDARHHWIRAEDDRRHRIRAGDSPAEPVARYCRSPSPSPVAASIPEHKPQPQGRGWAPSLLRRRHLPSLASPPTRRRRQGGGGGGGGGMETRRSGLARSENNGERGEEVGTSKTTEN >ORUFI02G04440.1 pep chromosome:OR_W1943:2:2815924:2818056:-1 gene:ORUFI02G04440 transcript:ORUFI02G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSGLATAALLLLLLIVATSAMACVEQEKSSLLQFLAELSHDGGIAMSWQNGTNCCVWEGITCNEDGAVIEVRLTSKGLEGQIAPSLGELTSLSRLNLSYNSLSGGLPAELMSSGSIVVLDVSFNRLNGDLQELNPSVSDRPLQVLNISSNRFTGEFPSITWEKMRNLVAINASNNSFTGHIPSSFCSNLPSFAVLDLGYNQFSGNIPPGIGKCSALRLLKANANNIRGPLPGDLFNATSLEYLSFANNGLQGTIDDALIVKLINLVFVDLGWNRFSGKIPNSIGQLKRLKELHICSNNLSGELPSSLGDCTKLVTINLRGNKLTGELAKVNYSNLPNLKTLDFASNHFTGKIPESIYSCSNLTWLRLSSNRLHGQLTKNIQNLNSITFLSLSYNNFTNIKNTLHILKSLRNLNVLLIGGNFMHEAMPQDETIDGFENIFGISIHDCALTGKIPSWLSKLGNLAVLDLSNNKLRGPIPTWINSLNFLKYADISNNSLSGEIPQALMEIPMLKSDKIADNSDPRAFPFPVYAGACLCFQYRTVTAFPKMLNLGNNKFTGAIPMEIGELKALVSLNLSFNNLNREIPQSISNLKNLMVLDLSYNHLTGAIPPALVNLHFLSEFNVSHNDLKGSVPIGGQFSTFPSSSFAGNPELCSPILLHRCNVAEVDLSSPNSTKEYINKVIFVIAFCVFFGVGVLYDQIVLSRYFRLN >ORUFI02G04450.1 pep chromosome:OR_W1943:2:2818389:2839981:-1 gene:ORUFI02G04450 transcript:ORUFI02G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCFGEKRRLGSQVGGASSTGSCTDQEKSFLLQFLAGLSEDGGLAVSWQNDTDCCTWEGITCGTDATITEISLASKGLEGQISPYLANLTGLLHLNLSHNSLSGELPLEELVSSSSIVILDVSFNHLSGALKELSAHTTIRPLQVLNISSNLFAGQFPSTTWKVMNNLVALNASNNSFVGQILSSLCINAPSFAVLDLSFNQFGGSIPLDISNCSMLRVLKGGHNNFHGALPDELFNASSLEYLSFPDNFLNGVLDDANIIKLSKLSILDLEQNMFSGKIPKSIGQLKRLKELRLGENKLYGELPSTLGNCTNLKILDLKFNNLSGDLGKIDFSSLSNLTTIDLLVNNFSGTVPESIYACTNLIVLRIARNNFHGEFSQTMNRLRSLVFLSVADNAFTNIRTALHIFKTFRNLKMLLIGGNFKNEVLPEDETIDGFENLQHLSISGSSLYGKMPVWLSKLTNLEKLHLYDNQLTGSVPLKALDMLDLSYNSFSGEIPQAICKLTDLEMLDLSNNNLTGTIPLQLSKLHFLSAFNVSNNDLEGPIPTGGQFDTFDNSSFDGNPKLCGPMISRQCNSAKAIPTPAFYTDNFSVNIFGITVGLFFALGVLLDQMLLSRLGSSSNYNKLTMPTLCLVLALILFSASSISCCTDHERNCLLQFLAGLSQDGHGGLAASWPQGTDCCSWEGITCSSSTASKAVTITDILLASKKLEGSISPALGRLPGLQRLNLSHNSLSGGLPAEIMSSDSIVILDISFNLLNGDLQDSPSSSASGRRIQVINVSSNSFSGRFPSSSWEEMENLVVLNASNNSFTGPMPTFFCIRSSSFAMLDLSYNHFSGNLPPEIGNCSSLRLLKAGHNSLRGTLPDELFNVTSLEHLSFPNNGLQGVLDGAGMIKLRNLVVLDLGFNMFSGNIPDSIGKLKRLEEIHLHHNSMAGELTPAIGSCTNLKALNLGSNNFSGELGKVNFSKLSSLKSLHVSYNSFAGTIPESVYTCSNLNALQLSFNKFHGQLSFRITNLKSLTYLSLAENSFTNISNTLQILKSSRDLTTLLIGGNFRDEEISDDKTVDGFENLKVLAMENCPLFGNIPIWISKLKNLEMLFLFNNHLSGSIPVWISTLNSLFYLDLSNNSLSGEIPAELTEMPMLRSEMVTSHLDIKIFELPVYTGPSPKYFTVSDFPAVMILENNKLTGVIPTEIGQLKALLSLILGYNNLHGEIPETILDLTNLEILDLSNNHLTGTIPADLNNLNFLSALNVSNNDLQGPVPTGGHLDTFPRSSFDGNPRLCGHILDQDCDDPVMVDSPQGSSRQGGYKVIFVIAFGAFFGVGPMPRQLSSSSNRDNSRFCMPPFGQALLLLLLCFVCHAGSCTEQERESLLQFLSGLSNDGGLGVSWQNGTDCCTWEGITCSGNGAVVEVISLASRGLEGSISPSLGDLTGLLRLNLSRNSLSGGLPLELVSSSSIVVLDVSFNYLTGGLSELPSSTPDRPLQMPTSFCASAPSFAVLELSYNQFSGRIPAGLSNCSKLTLLSASYNNLTGTLPDELFDLTSLKHLCFLRNQLEGSIKGITKLKNLVTIDLGQNRLSGSIPNSIGQLKRLEKLHLAYNSMSGELPSTVGNCRNLKNMNLGGNNFSGDLGNVNFSTLRNLQSLDLMSNNFTGTVPESIYSCRNLSALQLSNNSFHGQLSEKIRNLKCLSFVSLVDISLTNITGSLQILQSCRNLTTLLIGYNFMQETMPEDDEIYGFENLRIFSLNDCSLSGKIPKWLSKLTNLEMLSLYNNQLNGAIPDWISSLNFLFHIDISNNSLSGEIPSALVEMPMLKSDNVPPKVFELPICTGYALQYRINSAFPKVLNLGINNFTGIIPNEIGQLKALQLLNLSSNRLSGEIPESIYKLTNLQVLDLSSNNLTGTIPDGLNKLHFLSAFNISNNDLEGPVPNAGQLSTFPSTSFDGNPKLCGPMLARHCGLAQTPFVSTKQNADKVVSSFVFMISFGAFFAVGSGDRRPQRSQPGGAVKTDRDHSVLSEQTTDFNHLNSKTTENYTNWEDGDLYYTN >ORUFI02G04450.2 pep chromosome:OR_W1943:2:2818389:2839981:-1 gene:ORUFI02G04450 transcript:ORUFI02G04450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCFGEKRRLGSQVGGASSTGSCTDQEKSFLLQFLAGLSEDGGLAVSWQNDTDCCTWEGITCGTDATITEISLASKGLEGQISPYLANLTGLLHLNLSHNSLSGELPLEELVSSSSIVILDVSFNHLSGALKELSAHTTIRPLQVLNISSNLFAGQFPSTTWKVMNNLVALNASNNSFVGQILSSLCINAPSFAVLDLSFNQFGGSIPLDISNCSMLRVLKGGHNNFHGALPDELFNASSLEYLSFPDNFLNGVLDDANIIKLSKLSILDLEQNMFSGKIPKSIGQLKRLKELRLGENKLYGELPSTLGNCTNLKILDLKFNNLSGDLGKIDFSSLSNLTTIDLLVNNFSGTVPESIYACTNLIVLRIARNNFHGEFSQTMNRLRSLVFLSVADNAFTNIRTALHIFKTFRNLKMLLIGGNFKNEVLPEDETIDGFENLQHLSISGSSLYGKMPVWLSKLTNLEKLHLYDNQLTGSVPVWIKNLNFLFHLDITNNNFTGEILTTLIQLPVLKSKKMVSILNERVSKIIVCSGSRHQLLMGPATLNIGRNGFTGVIPPEIGQLKALDMLDLSYNSFSGEIPQAICKLTDLEMLDLSNNNLTGTIPLQLSKLHFLSAFNVSNNDLEGPIPTGGQFDTFDNSSFDGNPKLCGPMISRQCNSAKAIPTPAFYTDNFSVNIFGITVGLFFALGVLLDQMLLSRLGSSSNYNKLTMPTLCLVLALILFSASSISCCTDHERNCLLQFLAGLSQDGHGGLAASWPQGTDCCSWEGITCSSSTASKAVTITDILLASKKLEGSISPALGRLPGLQRLNLSHNSLSGGLPAEIMSSDSIVILDISFNLLNGDLQDSPSSSASGRRIQVINVSSNSFSGRFPSSSWEEMENLVVLNASNNSFTGPMPTFFCIRSSSFAMLDLSYNHFSGNLPPEIGNCSSLRLLKAGHNSLRGTLPDELFNVTSLEHLSFPNNGLQGVLDGAGMIKLRNLVVLDLGFNMFSGNIPDSIGKLKRLEEIHLHHNSMAGELTPAIGSCTNLKALNLGSNNFSGELGKVNFSKLSSLKSLHVSYNSFAGTIPESVYTCSNLNALQLSFNKFHGQLSFRITNLKSLTYLSLAENSFTNISNTLQILKSSRDLTTLLIGGNFRDEEISDDKTVDGFENLKVLAMENCPLFGNIPIWISKLKNLEMLFLFNNHLSGSIPVWISTLNSLFYLDLSNNSLSGEIPAELTEMPMLRSEMVTSHLDIKIFELPVYTGPSPKYFTVSDFPAVMILENNKLTGVIPTEIGQLKALLSLILGYNNLHGEIPETILDLTNLEILDLSNNHLTGTIPADLNNLNFLSALNVSNNDLQGPVPTGGHLDTFPRSSFDGNPRLCGHILDQDCDDPVMVDSPQGSSRQGGYKVIFVIAFGAFFGVGPMPRQLSSSSNRDNSRFCMPPFGQALLLLLLCFVCHAGSCTEQERESLLQFLSGLSNDGGLGVSWQNGTDCCTWEGITCSGNGAVVEVISLASRGLEGSISPSLGDLTGLLRLNLSRNSLSGGLPLELVSSSSIVVLDVSFNYLTGGLSELPSSTPDRPLQMPTSFCASAPSFAVLELSYNQFSGRIPAGLSNCSKLTLLSASYNNLTGTLPDELFDLTSLKHLCFLRNQLEGSIKGITKLKNLVTIDLGQNRLSGSIPNSIGQLKRLEKLHLAYNSMSGELPSTVGNCRNLKNMNLGGNNFSGDLGNVNFSTLRNLQSLDLMSNNFTGTVPESIYSCRNLSALQLSNNSFHGQLSEKIRNLKCLSFVSLVDISLTNITGSLQILQSCRNLTTLLIGYNFMQETMPEDDEIYGFENLRIFSLNDCSLSGKIPKWLSKLTNLEMLSLYNNQLNGAIPDWISSLNFLFHIDISNNSLSGEIPSALVEMPMLKSDNVPPKVFELPICTGYALQYRINSAFPKVLNLGINNFTGIIPNEIGQLKALQLLNLSSNRLSGEIPESIYKLTNLQVLDLSSNNLTGTIPDGLNKLHFLSAFNISNNDLEGPVPNAGQLSTFPSTSFDGNPKLCGPMLARHCGLAQTPFVSTKQNADKVVSSFVFMISFGAFFAVGSGDRRPQRSQPGGAVKTDRDHSVLSEQTTDFNHLNSKTTENYTNWEDGDLYYTN >ORUFI02G04460.1 pep chromosome:OR_W1943:2:2825216:2827177:1 gene:ORUFI02G04460 transcript:ORUFI02G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDDTSSSGKPPDNELRDKFSLSKPVRSPRDGEMLPSRPLDAREITSTTAPFPLQVMPSQVQQSVPFCHDTPRPPSFDSPERNWRRDSLSCSDRQSRPPSVLFHSVQRIRTRSHQLLSR >ORUFI02G04470.1 pep chromosome:OR_W1943:2:2840042:2844774:1 gene:ORUFI02G04470 transcript:ORUFI02G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELQLLLVLAALVLLSFLSPATSCTEQEKSSLLQFLRELSPDSSSKFSRSWQSGTSCCSWEGIACGSNGTVTELSLPSMALEGPISVSIANLTGLRRLDLSYNSLSGELPPELISSASVAFLDVSFNRLNGELQESSPSLPHHPLQVLNISHNFFAGEFPSTIWEKKSDLVAINASHNTFSGALPSSFCISSPSFAVLDLSYNLFSGSIPAEIGKCSSLRVLKASNNEINGSLSDELFDASMLEHLSFLKNGLEGELDDGPLADGPAHKHTHTLFYLQPNSKQIAKLKNIVVLDLGSNNFIGDIPGSIGQLKRLEELHLDYNRMSGELPSALGNCTNLKIINLKYNSFRGELLKLSPRIGNLKSLLFLSLSNNAFVNIANVIHALKNSRKINTLIIGTNFKGETMPEDIPITDGFQSLQVLSIPSCSLSGKIPLWLSKLAKLEVLDLSNNQLTGPIPDWIHDLNFLYFIDISNNKLTGDLPTAIMLMPMLQPDKVATQLDPRAFEQPVYAGPSLTYGKNNALPAMLNLANNELTGAIPSEVGQLKSLTLLNLSFNSLSGQIPQQLFDLTNLQVVDLSNNHLSGSIPPGLNNLHFLTTFDASNNDLEGWIPAGVQSSYPYDFSGNPKLCDPTLTRTCDSAEAPPVSTLTGEETTPKIVFAIAFGAFFCLGVVYDQAVLSRFFG >ORUFI02G04480.1 pep chromosome:OR_W1943:2:2848166:2850524:-1 gene:ORUFI02G04480 transcript:ORUFI02G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILCLVLVLMLSSASSTSCCTERENNCLLQFLAGLSQDGGLAASWRLGTDCCSWEGITCSSMVSKDAMVTDVLLASKRLEGSISPALGRLPGLLRLNLSHNSLSGGLPSEVMSSGSIIILDVSFNSLGRILPLSPPLTTGLKLPLQVLNISSNKFSTELPSLDGMAHLITLSASNNRFSGHIPTNFCTNLPSLAVLELSYNQFSGSIPPGLGNCSRLRVLKTNSSMLSTSIECLSFPNDNLHGTLEGENVIKLGKLATLDLGENNFSGNIPESIGQLNRLEELLLNNNKMYGGIPSTLSNCTSLITINLRSNNFSGELVNVNFSNLPNLKALDLLWNNFSGSFPNCLLLIYGKIPRWLSKLSSLEMLILNRNQLTGPIPDWISSLNFLFYLDISNNNLTGEIPTALVQMPMLRSEKSAVQVQLHPRAFQLPIYSLTSLLQYRKANAFPIMLDLGSNKFTGLIPPEIGQLKGLLELNLSANKLYGDIPQSICNLTNLLTLDLSSNKLSGTIPAALKNLNFLTRFNISYNDLEGPIPTEGQLSTFTDCFIGNPKLCGPMLSHRCSSAKAVPAPASTLSTGEFSDKVIFGITVGLFFALGVLLDQMVFSRMNIPDDGHYSNPSKFRVNLPLLELVCTL >ORUFI02G04490.1 pep chromosome:OR_W1943:2:2851055:2853211:-1 gene:ORUFI02G04490 transcript:ORUFI02G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGFAVVLLLSLASSTSSCKDHEKSFFLQFLAGLSEDGGLAVSWQNDTDCCTWEGITCGTDATITEISLVSKGLEGHISPYLGNLTGLMRLNLSHNLLSGELPLEELVSSTSLVILDISFNHLSGALQEFSAQISETTIRPLQVLNISSNLFTAQFPTNTWKVMNNLVALNASNNSFTGQAPSSFCISAPSITELDLSFNRFGGSVPQDIGNCSMLRVLKGGHNNFHGALPDELFNASSLEYLSFPDNVLNGVLDDANIIKLRKLSILDLERNMFIGKIPNSIGQLKRLEELHLGHNNMYGELPLTLGNCTNLKILDLKINYLSGDLGKINFSSLSNLMIIDLLVNNFNGTIPESIYDCTNLIALRLSWNKFHGEFSQRMDRLRSLSFLSVGGNAFANIRNALHIFKSFRNLTVLSIEQNFMHEILPEDETIDGFESLQHLEIYGSSLSGKMPVWLSKLKNLEKLFLYDNRLTGTVPVWINKLNFLICLDISNNSFTGEILMTLIQMPMLKSEKTVANIDARVLILPTYMSSKKDLPALKDWKYEYRILRAEVNVARNGFTSVIPPEIGRLKALDMLDLSFNSFSGEIPQAICNLTNLEMLDLSSNNLMGAIPLELNKLHFLSAFNVSNNDLEGPIPTGGQFDTFDNSSFIGNPKLCGGMLSHHCNSAKAVHAPASTLSTDQFSDKVIFGVAFGLFFALGVLLDQIVLSKLRFLQF >ORUFI02G04500.1 pep chromosome:OR_W1943:2:2854525:2858037:1 gene:ORUFI02G04500 transcript:ORUFI02G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVRDTRSVRDALVWSSARASMSSSSGHGLCDAYTCLPHKPNKHPSNEAADDPSDSILGKPSIEVAWASTRQRPFGLTLATRLDDETCSTLAPVDGAGSPAAGEDKRVLHEFTGKGPRLGPIATGTVSSSPWRQRRRCSVAGGQRNRRLFGSPAARGDSRKASASPSLLPIARAGKVDLAKVSTEVVDLEVEDFEICAVA >ORUFI02G04500.2 pep chromosome:OR_W1943:2:2854525:2860008:1 gene:ORUFI02G04500 transcript:ORUFI02G04500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVRDTRSVRDALVWSSARASMSSSSGHGLCDAYTCLPHKPNKHPSNEAADDPSDSILGKPSIEVAWASTRQRPFGLTLATRLDDETCSTLAPVDGAGSPAAGEDKRVLHEFTGKGPRLGPIATGTVSSSPWRQRRRCSVAGGQRNRRLFGSPAARGDSRKASASPSLLPIARCSALVDAGAGGQDTGPAPVILPCRALGKLQVKLACMQV >ORUFI02G04500.3 pep chromosome:OR_W1943:2:2856424:2861507:1 gene:ORUFI02G04500 transcript:ORUFI02G04500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDDTNPSNGSSPDSELCERLSCSRPVRLPRDGEICPSRALEASKISAILMSALQVMPSHVQQFVPFRHDAERPPSPERPARNWRRTLFSWSMQESVEEAKHSTVSRANPSKSVGNLLLILLHGKWKGLMCSALVDAGAGGQDTGPAPVILPCRALGKLQRSKLKSFGGICPEELLKLKKSTVSDFSWPTSEGIAPVSLLFPRFSIAGRALVIPYVSDGSS >ORUFI02G04500.4 pep chromosome:OR_W1943:2:2856424:2861507:1 gene:ORUFI02G04500 transcript:ORUFI02G04500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDDTNPSNGSSPDSELCERLSCSRPVRLPRDGEICPSRALEASKISAILMSALQVMPSHVQQFVPFRHDAERPPSPERPARNWRRTLFSWSMQESVEEAKHSTVSRANPSKSVGNLLLILLHGKWKGLMCSALVDAGAGGQDTGPAPVILPCRALGKLQVKLACMQVEKFRWNMP >ORUFI02G04500.5 pep chromosome:OR_W1943:2:2858077:2858530:1 gene:ORUFI02G04500 transcript:ORUFI02G04500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQSLELTYGLGTPKDGELMHKELGICPIKLLLLALRATRLFITLHVVVGNFPVNKLLEMFST >ORUFI02G04510.1 pep chromosome:OR_W1943:2:2861282:2861921:-1 gene:ORUFI02G04510 transcript:ORUFI02G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELPSALGNCTSLKIIGIKHNNFIGDIPGSIGQLNRLEELQLDNNRMSRVFPSSLSNFTSLKIINLKHNNFTGELSKVNFSRLPNLKLLDLMSNKFTGEILDSIYRCSNLTTLQLSSNKFYGQLSPRIDNLKSLVFAGYIDKSMSSMVRGTASDWRGRNPETGQTIPSTHYIGKKNSSFLPNETGRT >ORUFI02G04520.1 pep chromosome:OR_W1943:2:2862063:2864091:-1 gene:ORUFI02G04520 transcript:ORUFI02G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELPLALGNFSKPSASSITTSSAIFQVPYVSLRDWRSSIWTTPNISGELPSALGNCTSLKTISIKHNNCIGDIPGSIGQLKRLEKHHLGYT >ORUFI02G04530.1 pep chromosome:OR_W1943:2:2865454:2868496:1 gene:ORUFI02G04530 transcript:ORUFI02G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTQQHRKLDKAFQRSHPFQGWRRAVNRDTTSSSMESAAMSSNKKELEALPIVDAGEVRELMSSGHHYLDVRLGKDFDKAHADGARNISYYLSVTPSGKEKNPHFVDEVASLFGKDEHLIVGFKNVRNLKGGYQSFLRSESQQPAAHQQ >ORUFI02G04540.1 pep chromosome:OR_W1943:2:2868027:2876094:-1 gene:ORUFI02G04540 transcript:ORUFI02G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATASRLAVPAPRTSPHAPGRRRPAAPLPSAPPRPRALSAAPRGRVVCPAAPASSPASTTDAGQDRLQKVPVSNIRNFSIIAHIDHGKSTLADKLLELTGTVQKREMKQQFLDNMDLERERGITIKLQAARMRYIMNDEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENDLEIIPVLNKIDLPGAEPDRVAQEIEEIIGMDCSNAIRCSAKEGIGITEILDAIVTKIPPPQNTAKSPLRALIFDSYYDPYRGVIVYFRVVDGSIKKGDKICFMASGKEYVADEIGVLSPNQMQVSELYAGEVGYLSASIRSVADARVGDTITHSSKRAECALPGYSQATPMELREALEKLQLNDAALKFEPESSSAMGFGFRCGFLGLLHMEIVQERLEREYNLNLIITAPSVVYHVNLADGETVECSNPSLLPEPGKRRSIEEPYVKIDMLTPKEYIGPIMELGQERRGEFKEMNFITENRASVVYELPLAEMVGDFFDQLKSRSKGYASMEYSLIGYRESNLVKLDIQINGDPVEALSTIVHRDKAYSVGRALTQKLKELIPRQMFRVPIQACIGAKVIASEALSAIRKDVLSKCYGGDISRKKKLLKKQAEGKKRMKAIGRVDVPQEAFMAVLKLEKEVL >ORUFI02G04550.1 pep chromosome:OR_W1943:2:2876886:2882133:1 gene:ORUFI02G04550 transcript:ORUFI02G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKQTEGSSIQAAASATPGGIKRSFGLGGAEAPGGGDDLGGQWAPSMELMGEYCSNAPCKWLLDLGKGGAHDPNAHNLFDGMPSQSEMPKEDQRTSKPVPINSTMNKEEKWLDKSLDRILEKFEQMEAKRMQEESKAFEEIIAAIRATTAVLKATSPTTPMAPPTPVPTKCLTECPNNSFTWATASSSHIGEDTAPTATWELGDNKDKGHAPYIVTKDSPEPIAMRPKQTEGSSIRAAASATPGGIERSFGLGGAEAPGSGGDLGGRWAPSSISLPYLSSDLQPSPLGSRSFQHLPYNLTLPFRLLSSLPSATDNPSKFEGPNRPISATTILTWNLTRCVATILCTNRR >ORUFI02G04560.1 pep chromosome:OR_W1943:2:2883274:2885244:1 gene:ORUFI02G04560 transcript:ORUFI02G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKLNPSAAPFHCSRRDLFFAPPPPPPPPMPAYQYHATGACAAAASPPFPFFATYSCASLPFHGHLYPPCGYQAQMGPAPPGAAFAKGVLAAAPPPPPPPHGRPPHKLMVCKGAPTVTDVKLRAQARAAARVGVAAAVRGWRPAPATAGPPRMLVAAAPCGMLHPAAVARRRGMSKVYKPRKPQRAGRERSPSPSPVFTTRPMSPTPPMQKLKPAHTTVMVRNIPNKLTRSDMVRLLDDHCARENRRRGRGGEPRAEYDLVYVRMDFGMCNKERSSNMGYAFVNFTTAEAARGLQRALHGCRWKRSAFDSGKIIDIRAARIQGKDALVRHFGRTTYYECDTDEYLPAVFSPPRDGSTAGAGAPSPPAVKTVGIRVPPRPITLLTHRGNVN >ORUFI02G04570.1 pep chromosome:OR_W1943:2:2891137:2895210:-1 gene:ORUFI02G04570 transcript:ORUFI02G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSTSSTPHHPHLVRFPFRLRLCCSSSSSPPPPPRRGMELARSACSKEHHKIYAGWFAVADPDGDGRVTGADATKFFAMSGLSRADLKQVWAIADTKRQGFLGFGEFVAAMQLVSLAQAGEEITQDSLKREDLSSLDPPVMEGLDELLARSKAGVKRVHPEENGAPQVQVHSANSWFSSKSAKKMQVPLTAVTSVIDGLKRLYVEKLKPLEVAYRFNDFASPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLQTSYPGAHVGPEPTTDRFVVVMSGSDERTIPGNTIAVQADMPFTGLTTFGGAFLSKFECSQMPHPLLDHITFVDTPGVLSGEKQRTQRSYDFTGITSWFAAKCDLILLLFDPHKLDISDEFKRVIASLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVARVYIGSFNDKPVNESAVGPIGKDLFEKEQDDLLCDLKDIPKKACDRRVNEFVKRARAAKIHAYIIGHLKKEMPAMMGKAKAQQRLIDNLGDEFAKVQREYHLPAGDFPDVEHFKEVLGGYNIDKFEKMKPKLVQAVDDMLAYDIPELLKNFRNPYE >ORUFI02G04570.2 pep chromosome:OR_W1943:2:2891137:2895210:-1 gene:ORUFI02G04570 transcript:ORUFI02G04570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSTSSTPHHPHLVRFPFRLRLCCSSSSSPPPPPRRGMELARSACSKEHHKIYAGWFAVADPDGDGRVTGADATKFFAMSGLSRADLKQVWAIADTKRQGFLGFGEFVAAMQLVSLAQAGEEITQDSLKREGCFSCDAAICISVFVWMSPEFMVILADLSSLDPPVMEGLDELLARSKAGVKRVHPEENGAPQVQVHSANSWFSSKSAKKMQVPLTAVTSVIDGLKRLYVEKLKPLEVAYRFNDFASPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLQTSYPGAHVGPEPTTDRFVVVMSGSDERTIPGNTIAVQADMPFTGLTTFGGAFLSKFECSQMPHPLLDHITFVDTPGVLSGEKQRTQRSYDFTGITSWFAAKCDLILLLFDPHKLDISDEFKRVIASLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVARVYIGSFNDKPVNESAVGPIGKDLFEKEQDDLLCDLKDIPKKACDRRVNEFVKRARAAKIHAYIIGHLKKEMPAMMGKAKAQQRLIDNLGDEFAKVQREYHLPAGDFPDVEHFKEVLGGYNIDKFEKMKPKLVQAVDDMLAYDIPELLKNFRNPYE >ORUFI02G04580.1 pep chromosome:OR_W1943:2:2903679:2909062:1 gene:ORUFI02G04580 transcript:ORUFI02G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPVSSPECAPGRRVTVAASDLAPLFGAQRRHLDHFFDRLDLSQAAAFAQALVDAPGAVFFTGVGKSGIVARKLAQTLASLGFTRAGFLSPVDALHGDIGSVFPGDLLVLLSKSGASDELLALAPCARAKGAHLISLTSAASGADCPLAAVCDLNVHLPLQAEVCPFGLAPVTSTAIQMVFGDTVVAAIMEARRLSRDQYASNHPAGKIGKSLIFKVKDVMKKQNELPLCKEGDMIMDQLTELTSKGCGCLLVVDDEYHLIGTFTDGDLRRTLKASGQAIFNLTVGEMCNRHPRTITADAMAVQAMEKMESPPSPVQFLPVVDSNNVVCGIITLHGLVSAGL >ORUFI02G04590.1 pep chromosome:OR_W1943:2:2907531:2911377:-1 gene:ORUFI02G04590 transcript:ORUFI02G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLMAVGPGRPDWAVGWPTCQARKRRHRCGWLPETSGAFPAAFFLFSHSIRHPLLGGQRDGGGKPRPTMQRLSRFVPSSSSVFPLLRRVTDLKDALWSGSLTFQHALSTFAADENTSGRKFASYTVFKGKAALSMQPILPSFSKLESGGSRVNKNGSVMLTFFPAVGQRKYDYSKKQLFALSPTEVGSLISLGPAESCEFFHDPSMKSSHEGQVKKSLSVTPLGNDSGYFLNITVLNNLQKTTERLSLPISKAEFTVMRTALSFALPHILGWDQALTNHQPSPSPASKPRVERPHPDSEWER >ORUFI02G04600.1 pep chromosome:OR_W1943:2:2912320:2914238:-1 gene:ORUFI02G04600 transcript:ORUFI02G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMSGPKLLVVHPASKGYNGVVSLSGAASPGPSAASMPLFLGSRRRCCAWLVALLLALLTCVSLLTVFSTARAASEGAALPQRARLTVAGAAGAAAASAAGGAAGGLPAYVFDALVQYAAAAGANATASMPEEDVRAIASVLRRRAPCRLLVFGLGAETPLWRALNHGGRTVFLDENPFYVAHMEGALPGLEAYDVSYATAVREFPDLLDAARAAQSADCRPVQNLLFSDCRLAINDLPNQLYDVSWDVILVDGPSGFTEGSPGRMSAIFSAAVMARTKGSETEVLVHDYQREVEIACAREFLCPENRVEATATPSLGHFLVRGGAAANRDAFCGGAAGATTKKAN >ORUFI02G04610.1 pep chromosome:OR_W1943:2:2915742:2918173:-1 gene:ORUFI02G04610 transcript:ORUFI02G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYDVEMEAAEGEPMAEQAPPPAAAAAAAARGDGWSMLSRARVLLEEGKPSLALQAILLAIRSQGGEQALMQTLNRARELYRQRSQPSPSVDDLASLLAQCAIAESQSTNTNPQQVPGSDPVMMLDSDEVCILAESGRKQIILDAFADGSSFICLKCGGLFSTSRKDEHLAYWCGTA >ORUFI02G04620.1 pep chromosome:OR_W1943:2:2918206:2920098:-1 gene:ORUFI02G04620 transcript:ORUFI02G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAMDAISAKLDRRNPDTSPSARRRGLARRSSMTVAALAPAWAVAGVGKLKPSLPFRLFSNKAAAPALTNSWNHPEPHPAAAAVHPPTGGDPSIGSE >ORUFI02G04630.1 pep chromosome:OR_W1943:2:2921429:2927623:1 gene:ORUFI02G04630 transcript:ORUFI02G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKEKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPDIEEMADKLAARMRNRTGSVNPYMALHLRFEKGMVGLSFCDFAGTREEKEMMAAYRQKEWPRRYKNGSHLWPLALQKRKEGRCPLEPGEIAIILRALGYTRGTQIYVASGQVYGGKNRMAPLRNMFPNLVTKEELASAAEMAPFRKHVTSLAALDFLVCLRSDAFVMTHGGNFAKLIMGARRYGGRHRLKSIKPDKGLMSKSLGDPHMGWAAFSDDVVITHQTRAGLPEPTFPNYDLWENPLTPCMCPTA >ORUFI02G04630.2 pep chromosome:OR_W1943:2:2921429:2927623:1 gene:ORUFI02G04630 transcript:ORUFI02G04630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKEKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPDIEEMADKLAARMRNRTGSVNPYMALHLRFEKGMVGLSFCDFAGTREEKEMMAAYRQKEWPRRYKNGSHLWPLALQKRKEGRCPLEPGEIAIILRALGYTRGTQIYVASGQVYGGKNRMAPLRNMFPNLVTKEELASAAEMAPFRKHVTSLAALDFLVCLRSDAFVMTHGGNFAKLIMGARRYGGRHRLKSIKPDKGLMSKSLGDPHMGWAAFSDDVVITHQTRAGLPEPTFPNYDLWENPLTPCMCPTA >ORUFI02G04640.1 pep chromosome:OR_W1943:2:2928533:2930783:1 gene:ORUFI02G04640 transcript:ORUFI02G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLRTPAAEEALLRGHAAGDKDDAVAVAGESKDAKRARAGLCGVLRERKVVELARAKRRLVEVPYTATLAHTANALLAARVSAVAVAAPPGHWIGAGGSMILESDPATGAVRKHYIGMVNMLDILAHIAEAGDDNDAAADAAPGEAVDLDRRMAVPVSSVIGHSLEGLTLWTLHPNTSVLDCMETFSKGVHRALVPLESAAENVVAAELVEAAPGYRMVTQMDVARFLRARAAELGDAILSRSVRGVGVGGAVLAVASGTKVIEAVRAMRAASLAAVPVVDAAPADDADARRILLQLQDGRGKRVVETFSATDLRDCPVAELQAWLGVAVAEFKKKVAMYRAGVLAADADEEEERRREMVTCSPESTLGEAIEKAVAHHVHRLWVVDEEGLLAGVVSLTDVLRVVREAAIGEDRELHDILS >ORUFI02G04650.1 pep chromosome:OR_W1943:2:2937817:2942712:1 gene:ORUFI02G04650 transcript:ORUFI02G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLLNSKFYNKCKHAIKCTRTRLDLVRRKKQAMVKFMKKDVADLIGNRLESHAFGRMEALIVEMNQASCYDMIEQYCEYIVKQLNNLQKQNECPQEALEAVSTLIFATARFPELPELCDLRHMFTERYGSFVEPFVSSEFVQKLQNKSFTNEEKLQVMQSIAEEFSVPFNSKALERKISGVPQNKHDLQNKSSFKRVEVEASACNELKVDRHAVHERKSKVTPEVHERKQEMPVKPKDIHVIPDAIGKVGEKSRKNRSDIPYDVPPSDLKQINDQELKKDHKKHSHHQRELRNADKSAPPYAEPKEDVAEKSDGKGYHVHRSRMAGGLDHNWGHADLGLKTLGLEKQGIEPASSLDGKTLNKAPPYSKPYKASDEYGQSVQDRQKMPEKAVNMRPPYVKPNSTNQAVDDYKHAGTGEIGHQRDGLADDNTLQPVSVRRKSAKPPTHGDRYDDEAKMTSQTPGGRRRHSSRRNGSDDDYDQRVGYMLPPDDDAVNNARHFKRMSERRKHGSRQGGSASGNDYESDEDETNSAIDFGNLLPRAPSSHRKHRSRSAHPRSGGRDDEERVMDKLLMHYSKKGIDREEHKTRTKSRTPRPRADQPADGVGERSNREVAPQHPPERTVSLPSDSGNLGVKPKAPARSISMQPDKSRGIVHPSMPDFDELAARISALRKE >ORUFI02G04660.1 pep chromosome:OR_W1943:2:2943124:2943315:-1 gene:ORUFI02G04660 transcript:ORUFI02G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLASRPAATAAAATVLYHGGALPRSPRLQRLVCHELLDGGGGGGDNYILHFAVAVMRSLG >ORUFI02G04670.1 pep chromosome:OR_W1943:2:2944406:2945320:1 gene:ORUFI02G04670 transcript:ORUFI02G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGFKNGGKQKPLKAPKAAKKEYDETDLENMKKKKEEEKALKELRAKAAQKGALGGAGLKKSSKK >ORUFI02G04680.1 pep chromosome:OR_W1943:2:2945703:2950652:-1 gene:ORUFI02G04680 transcript:ORUFI02G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTPYPPRPVDRSTCSSLDPPAPRSRPLCSAFLIQFRRQAGAAAHAAVTSTAEGSASVLVTPASSPALGRPNNSRFRPNIETIKKSATPTSPICEKKSRNPTEERIGGNKIPEAMKLSLISDGDIPDSQDDQGSGGRADGRQVLERGGGGGKRRIRVFLEEEDEEENAMKVDEEAVILSCARGGPAGVSTAKIDGCIDKQDGQGSSKQRKKSCSTVMKLQFREIGLHCSLRTCGISRFVPTPSTFSHEVFSKIKNNKVVFDIYRCKDVIRHLERPIRKRSAVLQILPLKDLVAVVYDDEIIVYIFYNKEDKSVITTSSRLFDGCMSRQVTSTPLECIRSNKQNNGQQIFESENIKWPDSVDFDAPNARALIQQRSTYRVFDLKDYSLLYQIPDVNVHQVVFRPSLFLIKLEQTHNVYPFRIFCAQNFEETHSFVLVASSKRPDIQPLHDKMIIKQNFANENGNLQILDLRSSKITEVPIGIYEFHALHGRNLFLSFQNNSTELRDLQGDIVRNFEDHVLDELNCVDDKLFITKDEDVIISGCKSEGIGAVHISSIESGKCITDINTKVIVSALSYNPELNEIFIGTAKGKVQALADLDILPHQIPQNLPHQILKNRVEL >ORUFI02G04680.2 pep chromosome:OR_W1943:2:2945984:2950652:-1 gene:ORUFI02G04680 transcript:ORUFI02G04680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTPYPPRPVDRSTCSSLDPPAPRSRPLCSAFLIQFRRQAGAAAHAAVTSTAEGSASVLVTPASSPALGRPNNSRFRPNIETIKKSATPTSPICEKKSRNPTEERIGGNKIPEAMKLSLISDGDIPDSQDDQGSGGRADGRQVLERGGGGGKRRIRVFLEEEDEEENAMKVDEEAVILSCARGGPAGVSTAKIDGCIDKQDGQGSSKQRKKSCSTVMKLQFREIGLHCSLRTCGISRFVPTPSTFSHEVFSKIKNNKVVFDIYRCKDVIRHLERPIRKRSAVLQILPLKDLVAVVLCSGLSFLLSRVTNKMISILNRYDDEIIVYIFYNKEDKSVITTSSRLFDGCMSRQVTSTPLECIRSNKQNNGQQIFESENIKWPDSVDFDAPNARALIQQRSTYRVFDLKDYSLLYQIPDVNVHQVVFRPSLFLIKLEQTHNVYPFRIFCAQNFEETHSFVLVASSKRPDIQPLHDKMIIKQNFANENGNLQILDLRSSKITEVPIGIYEFHALHGRNLFLSFQNNSTELRDLQGDIVRNFEDHVLDELNCVDDKLFITKDEDVIISGCKSEGIGAVHISSIESGKCITDINTKVIVSALSYNPELNEIFIGTAKGKVQALADLDILPHQIPQKLKSPNKDS >ORUFI02G04690.1 pep chromosome:OR_W1943:2:2953215:2954462:1 gene:ORUFI02G04690 transcript:ORUFI02G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRPRKRARTTSPCSPPASSWRDLPLDIAGEVLRRLPSYADRICFGATCRSWRTSAREHRAPPPLSPCLCFADGSFRGFFPEDARPFRLPAAAGWLGSCGEWLLYRRHDDGAYLLVDPFSKAAAMAPLPSVSRLHVRHDPIVAVDERDLRWCRPTWLPRENTGEPQAAASLLKLAVSPAADVVAAVVAEGRHGKLAVCRPGAPAWSVSGGDGWRRIKDMAFYQGKLYAVDHNEDLLAVTLAADGEPPAVSRIDRVINGKPPGAAALLRVTLHYLVDSGGELLLVRREVQRSSMVRTQPWQHTAELQDRFAVFRADFRRSRWRRVKTIGDESGGRALFVGRWCSRAVRVAGDRWADQVFFLEDGTGDEWHTRAQRCSLRGSTFGCVRPNELLPLMTTADGQDLDATWIFPREAKL >ORUFI02G04700.1 pep chromosome:OR_W1943:2:2954754:2958369:-1 gene:ORUFI02G04700 transcript:ORUFI02G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAPRLHALLSRALAFGGLPPPPLPRAQLQGITEPGAASRLGAAFLARALATTGLPAPRRPRALQRITEPGAASRFGAAFLARGGFSTDASAAAQDSAKPAAATGGEGGDGKSGKSEQGDAGKSVRGGPVSWLSFLLLLVTGGGIIVYYDKEKKRHIEELKNRTSAVKQEPSVGTAAIGGPFNLLNHDGKPVTQKDFFGKWTLLYFGFTHCPDICPDELQKMALAIDKIKEKAKMEVVPVFITVDPERDTVEQVRDYVNEFHPSLIGLTGTTDEIRKVARAYRVYYMKTEEEGSDYLVDHSIVMYLMNPKMEFVKFYGKNYDADSLADGIIKELKGHQ >ORUFI02G04710.1 pep chromosome:OR_W1943:2:2958435:2959404:-1 gene:ORUFI02G04710 transcript:ORUFI02G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEINEAEFMGPTTRPLGLCEVSGPTAQAVEAAAQLGEAVAEEIFSWCAITVLPLQWEKFERNMPATTILHRKQREVKPLDPSTPKTHGRRRSSEAEPNARSLALLRTS >ORUFI02G04720.1 pep chromosome:OR_W1943:2:2959567:2962971:1 gene:ORUFI02G04720 transcript:ORUFI02G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGMKEQGILLVRKAVEEDDAGNHARALPLYVHALDYLAAHLKYERNPRVRDAITAKLAGYIARAEEIRDALLPAAGDDATPPAAAAEEGKAKCGGEDESDRAKLRAGLHSAIVSEKPNVRWSDVSGLDGAKQALQEAVVLPVQFPQFFTGKRKPWKAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLLSKWMGESEKLVTNLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRVKTEFLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHSLTKGDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFGTAEGDGDGGAWTPCGPTRPGAVQITMQELAAKGLAAQITPPPITRTDLDKVLARQKATVSEKDLEVYTRFTREFGEGG >ORUFI02G04730.1 pep chromosome:OR_W1943:2:2963177:2975066:-1 gene:ORUFI02G04730 transcript:ORUFI02G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLTTSSPASEVPFRVSFSGHGGHLRLDPTPNPPSPIPEFVLPPAYPPESPSSVKEYLEANYLNPELHLPTAADGARVWDLDWFELARPPLEPSAPRTMLVPAWEPPFRRRRPPLSSSSSRQESQVWDPESVQMDMSDVFDSGTGGITPRMPGPAKDFVRGSVNSRPFRPGGLHDDAAAAAALEKAFPEGARNGDWVRELMSGGPAQVNPPGFRKGLDLGNLKEYKSHWKCYQDGERVDEQSTSSSNDTMDKYSVQFDDLFKIAWEEDSDDKVPREDHVQQLVGDEETNDVDKQNISKLQNASETIENLDIEKQKGGAQGDVSEPQSDLDQMLLSSVKDTSRDSSGSGDGSMAKEGKVWALVGGDEDIVTNFYKLVPDMAIEYPFELDKFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSIRPEATCLIMTTEILRSMLYRGADIIRDIEWVHCLSSQFFIVHNVIFNFPPYILKTYGAVCRAWIILQSERGVVWEEVIIMLPKHINIVLLSATVPNTVEFADWIGRTKQKKIHVTSTNKRPVPLEHCLFYSGEVFKICEKDAFLTQGYREAKEVFKKKNSSKLGMKPGSKPGTTAVRAGTQGRNPDTSSRGRDQKNPKHHHASSSAAAVQQSTSGPRRSESSFWMPLINNLLKKSLVPVVIFCFSKNRCDRSAESMFGADLTSNSEKSEIRLFCDKAFSRLKGSDRNLPQVVGIQSLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDSLRKFDGKEHRKLLPGEYIQMAGRAGRRGLDNIGTVIVMCRDEIPEESDLKNLIVGKPTRLESQFRLTYTMILHLLRVEELKVEDMLKRSFAEFHAQKNLPEKEKLLLQMLRQPTKTIECIKGEPAIEEYYEMVLEAEAHRESITEAIMQLPASQQSLTPGRLVVVKSQSDDDHLLGVIVKTPSAALKQYVVLVLTGDCTSSALAPDSSNQNEKEAGDFKQGYFVIPKGKRSMEDEYFSSVSTRKGSGVINIKLPYKGDASGMGFEVRAIENKEIMSICASKIKIDQVRLLEDPSKTVYSKTVQMLIKEQPDGNKYPAALDAIKDLKMKDMLLVENYYAYQRLLQKMSENKCHGCIKLKEHIALMKEQKVYKDQLNDLKYEMSDEALQQMPEFQGRIDVLKEIHYIDSDLVVQLKGRVACEMNSGEELISTECLFENQLDDLEPEEAVAIMSALVFQQRNTSEPSLTPKLADARKRIYDTAIRLGKLQRKFKVPVDPEEYARDNLKFGLVEVVYEWAKGTPFADICELTDVSEGLIVRTIVRLDETCREFRNAASIMGNSALHKKMETASNAIKRDIVFAASLYVTGI >ORUFI02G04740.1 pep chromosome:OR_W1943:2:2976372:2977876:1 gene:ORUFI02G04740 transcript:ORUFI02G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPMMGRSSGEGGGGGGGGDNVLIDDNVLDSLPLPPLPMRPTPRCRRHPSRPQRHVIVKFSGPWTLQPWSSSHLKEEEEAKDKTTTLVEELEGKAAMAEARLRQKEEENAELKRKFEGYHVRWLQHEIRLSSLKETIDEQMISLQVAQECVEKRSREMLSLYDRQESSESHVKMSKETSARLAHSSRHYAGIARGTCTEFRQQSQALVESREPWQPSTQGGNSIDDLEKLKSKFCMWKKDYKARLRKAMAAELDLEGRHRSICWI >ORUFI02G04750.1 pep chromosome:OR_W1943:2:2980807:2982925:1 gene:ORUFI02G04750 transcript:ORUFI02G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDQEGRRQRRRGWGEEEEDRISDLPDALRLQILSLLPLKSAIRTGALSSRWRGLWEQRWPDPSSLDVRLPSGGGAASASVAALRAEHLAGIDRRGRRRMDLLSLAFHAGQLAPPELKRFIEYAAACDVEEVRLRLDGGGGRGARGGTRRPGALAVHFPIGSKLLARLSVRGLHLTASANAMVATLEVIHFHSVSLTDAALRRVVSACPRLRELELRYCRHLRRIDFTVGASNLKSLTVVDCSRATELRVPSAPRLRSFRFSGAFLCSNIFGSTADCVEHLYLCSGGPETGLPRTNLPSAVPRLSNLTVLTLCSIALQYVSASVATPNVEKSLNSLRELQLLMFGMANSNLADIYSFLKACRCPQLERLFVQLPTNTYDSFTTNYLEVAEEEPPEGGLENLRLVKMTNFKGYRNELRLVDFLLRKASRLNKLFLIAPKEVHPQGLRKVHSEALPHFLKTDVLHLERASAIAQIIFDESVSPQILPLHSEVFVRI >ORUFI02G04760.1 pep chromosome:OR_W1943:2:2989555:2991264:1 gene:ORUFI02G04760 transcript:ORUFI02G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAECSEKLQILKASASASMAYSIVQFPVKWQSIKYKLQQLCSNLNAPGDDGSCNENVILVQFLQTATATVSHIQAIASQCSDESYNGGRLRLRSDLDSISSKLDIHLKDLKEMVSSRISVHSQAVIATRPAIGASLSNKRFYINDLFLRVRIGDLAQRKQALVTIGELLSEDIEYVKIVALDIDNSITLLISFLESGDACIQEQAARIVSLIAGYDSYRGMLVKAGVVAPLVQLLDSPSCTSTTVSSRERAAHALRELTSNSDNVWAVCAQGGVTVLLIVCANVDSRGKLVSSAFAVLKNMSRVEEVKMFMVEQGAVMELVKLSRQKEEERKVGSVELLHYMALADANVRQAAISMGMIQSLTQLMNPDLPYSSKAREVALSAIAFFCLPSKALTDDLISSNFLSWLQTYLNNVDYAVLECTLNILVRLTRISEEYSKMVGRAGFMTALVSSLGAKSCQVREMAAQVLCNLLLLHSNRVVFIQDGDNLNRLLQSLEHGDGKTMAKDLAISCLVSLAETSAGRKKIISSQHFVSLKGLADSGDLPAKKIVKKLCANKLQSILTRIRIT >ORUFI02G04770.1 pep chromosome:OR_W1943:2:2991653:2993422:-1 gene:ORUFI02G04770 transcript:ORUFI02G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMPVSCWKKGMSTANASCGLYLPPKKSSRHGCATALLSSLAVVRSSNSARTSSTPRILASVARAASPSPRAMSDTGVSGMASDPSAITAAGTVPNASPTRHPHPPAIFDVQYVVRLADRIPTVIISWNSMFSIPRCCAGAISDMYSGDRCHMTTYVDADADADAEEEAAGEENDEVEGDGAEDGADEATAATKRVHLRPKARDIGEAKMPATMAERKSDEPNSVSTWLSSLQYWFVITRSCCCSKITGKNIAKNDSVDVNPAAMDEH >ORUFI02G04770.2 pep chromosome:OR_W1943:2:2993427:2993762:-1 gene:ORUFI02G04770 transcript:ORUFI02G04770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVFDEMGGCLLAWEMIIWVPPWRKRARRPTLSAVMTATKVEKTLTRPVMTEETREASWRKPTVLKRTGA >ORUFI02G04780.1 pep chromosome:OR_W1943:2:2992012:2994285:1 gene:ORUFI02G04780 transcript:ORUFI02G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVIVHHHTRYKTYPGEVTGFVFFCCLIASVGGCIFGYDIGLTAGLTSTESFLAMFFPVIFEQQQERVITNQYCKLDSQVLTLFGSSLFLSAMVAGIFASPMSRAFGRKWTLFVAAVAYLIGAILGAISFNFIVLLTGRLLLGVGVGVCIHASPLYISEMAPAQQRGMLNILFQLMITVGILSASLTTYWTSKIAGGWGWRVGLAFGTVPAAVIALGSLAIPDTPVSLIARGEGEAARATLAKIRGVDDVRAEFEDLTTASEESKAVAHPWRELFFGGRYKPQLAFAVLIPFFQQLTGINVIMFYAPVLFKTVGFRQDASLVSSVITGLVNVFSTFVAVMTADKILVGTFIGLQFGVSGTGAMSEQYAMCIVLFVCVYVAGFAWSWGPMGWLIPSEVYPLAVRSAAQSVTVAVNMFFTAFISQIFLTLLCHLRFGLFYFFGAWVLLMTVFIATLLPETKCVPLEEVAHVWRKHWFWRKFIVDSPDRGGAEMRKRIALEMS >ORUFI02G04790.1 pep chromosome:OR_W1943:2:3004865:3005131:1 gene:ORUFI02G04790 transcript:ORUFI02G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICGAKAAAPSLVVAASMGAVEALKDQAGLCRWDYALRSLYLRAAASSPRLRSPLSNTAAAAARPPAEEAADVRLRKVHHLVCWGPN >ORUFI02G04800.1 pep chromosome:OR_W1943:2:3008939:3010420:-1 gene:ORUFI02G04800 transcript:ORUFI02G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRRTILMDRYEIGRQLGQGNFAKVYYARNLTSGQAVAIKMIDKEKVTRVGLMVQIKREISIMRLVKHPNILQLFEVMASKSKIYFVLEYAKGGELFKKISKGKFSEDVARRYFHQLISGIDYCHSRGVYHRDLKPENLLLDENESLKVSDFGLSALSESKRHDGLLHTTCGTPAYVAPEVLSRRGYDGAKADIWSCGVILFVLVSGYLPFHDTNLIEMYRKIAKAEYKCPRSFSAELKDLLYKILDPDPSTRISIPKIKRSAWYRKSSDVNALKSKHETGDKVYKGEATTSDTTECSIFEGNRASSRDKVYTNGEATTSDSPECSNSDGKQASLSLPNLNAFDIISLSTGFDLSNLFEERYGRREERFTTRQPAAAIFAKLNELARRFKLKIKKKENGVLRLVAPKEGIKGLLELDAEVFELAPSFHLVEFKKSNGDTIEYQKLMKEDIRPALKDIVWAWQGGQHQQPEQSMQGMQGEQQPSRLPSQQPQG >ORUFI02G04810.1 pep chromosome:OR_W1943:2:3016441:3020708:1 gene:ORUFI02G04810 transcript:ORUFI02G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRVVFLLLLVAASALVKSSRGGGGGEEKLGKFYGWRRHLSSGPAASSLVLSGDLVDKIWSVCLQDIVSPEDTFGFGESFAWDELSSHSTEDELKATLFMELMALLPPEKSSFTYDCIRANCFSLGVPQIFSVALSNYLESQKSLVGSNFYPRRRLVDKLIGDAPSMAPAFAPSMSSGGEVHSPLSVAEAPLTPSNSLNMEPPSPYYPSKSAHKHQGVAPPVSPSEEYHDYMKVVLIAVLPTAALSFLAAFLCFYCCGCNKSKVSVGEQRDDHPLLHLQFSNLPGSSPDVHVPASPLHKDDHGVRPSNAGVSMSKCFPCCFKTSSDATTPTLVTGGTQENNATSDAPKLMPPPPPPPPPPPPPPPPPPPRPPPPPPPIKKGAPPPAPPKATMARFPKLSPTESSRSEESSASELASESSETEVNAPRAKLRPFYWDKVLANPDQSMAWHDIKFGSFHVNEEMIEELFGYGAGNQNNVKDKEISIADPSPQHVSLLDVKKSCNLAVVFKAMNVRAEEIHDALVEGNELPRLLLETILRMKPTDEEEQKLRLYNGDCSQLGLAEQVMKALIDIPFAFERIRALLFMSSLQEDASSLRESFLQLEAACGELKHRLFLKLLEAILKTGNRLNDGTFRGGANAFKLDTLLKLSDVKGADGKTTLLHFVVQEIIRSEGVREARLAMENGRSPPFPSTSDDNSNESLQEDGNYYSNLGLKIVSGLSNELDNVKRVAALDADALSTSVANLRHELLRAKEFLNSDMASLEENSGFHRSLESFIEHAETETNFLLKEDKRLRMLVKRTIRYFHGNDEKDDGFRLFVIVRDFLVMLDKACKEVGASQKKATNKSQANGNSNNPSSQSNPQEQQFPAVLDHHFDSSDSND >ORUFI02G04820.1 pep chromosome:OR_W1943:2:3022328:3043769:1 gene:ORUFI02G04820 transcript:ORUFI02G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGEEIIEPVISEAGLIGGFHLGGGIPLEESPGVIVPFMIEGHLLEKEILAIHDLLAGRECERRHEKKTDDGETNSSRSLSLSDNNDEKKKDKFSSGDEKEDHEKQLKQIRLDMEALRDDKTQMEVILDEKIDEVRKISSKVNDLEVQLRREKDECHRMTSKMKKFIKAHARFLKAQEEVKRSQARFERLGDLLASDILKRGANEEGSSVNEDLNERSPNTAATKKRSIPYSTSEEAKAVKKRRERDSDTMTRSDKYRSDVTDFDKTSKGTEATKSLYLKKKLWEDEKSKYFVNLQVKGSPVRHVLPSTGMAAHAIDDLNEAIELEDRHESIDALLENDADDKTRSPAIPLQPPPVVQNAYEQSGFEHISFDIPFGLSIYCAKFPLTQLAGSTQATRFAAARQIGEIAKSHPQELNVLLKKVSPYLRSKNWDTRVAAAHAIGAIAENVKHTSVKDLFASAEAEKHASGLSGIGDVGSTLRHADTTATSELAFGSFDINRVLEFGSPLLASGGQEYDIANDNGKNPAERLARQKQNLRRRLGLDVCEQFMDFNDVIKDEDLLAQKNYWGANMQNNGFYSFNTGQNIQHLVASMVPSAIALEHSVGMALSSICDDLITPLTFFQDTVDAITDEDNLEYSENGRWPFQQFVDQLIHDMFDPIWEVRHGTIMALREILTHQGACAGVYFPDLNSPFADLDDKNNLDSLKRAHGIDLNEDIDSGQLEPVLKRQKKEESNPEVMDIQLDKEPSNGDYSKTEASLSTEPTVSSGEPNLAHAKVESPFQVDGSANPSKVDPYCTPPHETLNSMPKLSSTHLPENSKFIKLMKLANYSAVKNWEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPTLVCHTLNILLQMQRRQEWEVRHGSLLGIKYLVAVRQEMLKDLLNYVLHACKAGLEDPDDDVRAVAAEALIPAAASLVRLNDQMLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQPEMVPKMLGTTAIGGDNEFDLNSVTLVAGEEKMGSNDNPYVLAALTPRLWPFMRHSITSVRRSAVRTLERLLEVGNTRNSAKLWLASILGDALQVVFQNLLLESNDEIIRSSERAWKLLLQCPTEDLESAASSYFSNWVQLATTPYGTALDSAKMFLPVALPRGSRSRAAAKIKSARLEHENTRMISFGSTGENTSQEKHSEASLSVSKIIVGSDSDKSVTHTRVLTSMALGLFASKLPEGSWQVVLGPLASDLMSLSGVQRQVASMVIVSWFKDLRKSDPAAVGTLLAFLSSLKGWMLDLLACSDPSFPTKDSPLPYAELARTYRKMRNEANNLFQSIESCALLKEYTSNLNFEADMLSVDDAINFTSKLLLPSEPDFSLDSDKIVLNNIESAKQGLLSTSGYLKCVQNNLHVTVSSLVASAVVWMAGLPSKLNPVILPLMAAVKREQEEILQDKAADALAELIFSCVGRKPGPNDKLTKNLCTLTCTDASETPQAAVINSIQVIEDQNLLSIGKRFSNHKSRGQMTSGGESKSEGFISRRGSELAFKHLCEKFGASLFEKLPKLWDCLTEFLKPVKTGDDLMKEDPSIAQLGRSCEDKEPQSLINNIQVVRSVTPHLAEPLRPQLLSLLPCILGCVRHPHVAVRLAAARCITSMAKSLTANVMVIVIENVIPMLSDSSSVCARQGAGMLLSLLVQGLAVELVPYAPFLVVPLLRCMSDPDGSVRQTVTHSFAALVPLLPLAKGSLLPDGLSERLSSSAEDAQFLEQLLDNSQIDDYKLSIDLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAIVAADIAESRARNDEQDPKSLIICPSTLVAHWEYEIEKYIDSSIMKPLQYIGSSQDRIILRSQFDKFNVIITSYDIIRKDIDFLENVFWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQATYGKPLLAAKDPKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCNLSLLQLKLYDKFSNSNAKQEISTIVKENELDQSTSQPKATRHVFQALQYLLKLCSHPLLVTGESPPDYLVDLLKEIGMGTGDELHDLHHSPKLVALQEILQECGIGSEISSPDASAAIGQHRVLIFAQHKALLDIIEKDLFQSHMRSVTYLRLDGSVEPEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENASLKTMNTDQLLDLFASTPASRKASVLPSSSGGDQSKDSKGKSGGKGLKSILNGLDELWDQSQYADEYDLNQFLAKLNG >ORUFI02G04820.2 pep chromosome:OR_W1943:2:3022750:3043769:1 gene:ORUFI02G04820 transcript:ORUFI02G04820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGEEIIEPVISEAGLIGGFHLGGGIPLEESPGVIVPFMIEGHLLEKEILAIHDLLAGRECERRHEKKTDDGETNSSRSLSLSDNNDEKKKDKFSSGDEKEDHEKQLKQIRLDMEALRDDKTQMEVILDEKIDEVRKISSKVNDLEVQLRREKDECHRMTSKMKKFIKAHARFLKAQEEVKRSQARFERLGDLLASDILKRGANEEGSSVNEDLNERSPNTAATKKRSIPYSTSEEAKAVKKRRERDSDTMTRSDKYRSDVTDFDKTSKGTEATKSLYLKKKLWEDEKSKYFVNLQVKGSPVRHVLPSTGMAAHAIDDLNEAIELEDRHESIDALLENDADDKTRSPAIPLQPPPVVQNAYEQSGFEHISFDIPFGLSIYCAKFPLTQLAGSTQATRFAAARQIGEIAKSHPQELNVLLKKVSPYLRSKNWDTRVAAAHAIGAIAENVKHTSVKDLFASAEAEKHASGLSGIGDVGSTLRHADTTATSELAFGSFDINRVLEFGSPLLASGGQEYDIANDNGKNPAERLARQKQNLRRRLGLDVCEQFMDFNDVIKDEDLLAQKNYWGANMQNNGFYSFNTGQNIQHLVASMVPSAIALEHSVGMALSSICDDLITPLTFFQDTVDAITDEDNLEYSENGRWPFQQFVDQLIHDMFDPIWEVRHGTIMALREILTHQGACAGVYFPDLNSPFADLDDKNNLDSLKRAHGIDLNEDIDSGQLEPVLKRQKKEESNPEVMDIQLDKEPSNGDYSKTEASLSTEPTVSSGEPNLAHAKVESPFQVDGSANPSKVDPYCTPPHETLNSMPKLSSTHLPENSKFIKLMKLANYSAVKNWEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPTLVCHTLNILLQMQRRQEWEVRHGSLLGIKYLVAVRQEMLKDLLNYVLHACKAGLEDPDDDVRAVAAEALIPAAASLVRLNDQMLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQPEMVPKMLGTTAIGGDNEFDLNSVTLVAGEEKMGSNDNPYVLAALTPRLWPFMRHSITSVRRSAVRTLERLLEVGNTRNSAKLWLASILGDALQVVFQNLLLESNDEIIRSSERAWKLLLQCPTEDLESAASSYFSNWVQLATTPYGTALDSAKMFLPVALPRGSRSRAAAKIKSARLEHENTRMISFGSTGENTSQEKHSEASLSVSKIIVGSDSDKSVTHTRVLTSMALGLFASKLPEGSWQVVLGPLASDLMSLSGVQRQVASMVIVSWFKDLRKSDPAAVGTLLAFLSSLKGWMLDLLACSDPSFPTKDSPLPYAELARTYRKMRNEANNLFQSIESCALLKEYTSNLNFEADMLSVDDAINFTSKLLLPSEPDFSLDSDKIVLNNIESAKQGLLSTSGYLKCVQNNLHVTVSSLVASAVVWMAGLPSKLNPVILPLMAAVKREQEEILQDKAADALAELIFSCVGRKPGPNDKLTKNLCTLTCTDASETPQAAVINSIQVIEDQNLLSIGKRFSNHKSRGQMTSGGESKSEGFISRRGSELAFKHLCEKFGASLFEKLPKLWDCLTEFLKPVKTGDDLMKEDPSIAQLGRSCEDKEPQSLINNIQVVRSVTPHLAEPLRPQLLSLLPCILGCVRHPHVAVRLAAARCITSMAKSLTANVMVIVIENVIPMLSDSSSVCARQGAGMLLSLLVQGLAVELVPYAPFLVVPLLRCMSDPDGSVRQTVTHSFAALVPLLPLAKGSLLPDGLSERLSSSAEDAQFLEQLLDNSQIDDYKLSIDLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAIVAADIAESRARNDEQDPKSLIICPSTLVAHWEYEIEKYIDSSIMKPLQYIGSSQDRIILRSQFDKFNVIITSYDIIRKDIDFLENVFWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQATYGKPLLAAKDPKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCNLSLLQLKLYDKFSNSNAKQEISTIVKENELDQSTSQPKATRHVFQALQYLLKLCSHPLLVTGESPPDYLVDLLKEIGMGTGDELHDLHHSPKLVALQEILQECGIGSEISSPDASAAIGQHRVLIFAQHKALLDIIEKDLFQSHMRSVTYLRLDGSVEPEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENASLKTMNTDQLLDLFASTPASRKASVLPSSSGGDQSKDSKGKSGGKGLKSILNGLDELWDQSQYADEYDLNQFLAKLNG >ORUFI02G04830.1 pep chromosome:OR_W1943:2:3046568:3052910:-1 gene:ORUFI02G04830 transcript:ORUFI02G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPPTRRGGGATPAAGMATLGAVQVKTGSDGEIRRNCAVVYVFFDKLKGGELRAGGGRVAGGGGWREGRWRKRTRRKWSRTAYK >ORUFI02G04840.1 pep chromosome:OR_W1943:2:3048728:3061743:1 gene:ORUFI02G04840 transcript:ORUFI02G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTMLFSLLFCTAKATDDSGAETEAEALLRWKSNLIDATNSLSSWSIANSTCSWFGVTCDAAGHVTELDLLGADINGTLDALYSAAFENLTTIDLSHNNLDGAIPANICMLRTLTILDLSSNYLVGVIPINISMLIALTVLDLSGNNLAGAIPANISMLHTLTFLDLSSNNLTGAIPYQLSKLPRLAHLDSLPNLRVLELSNNGFHGTIPHSLSRLQKLQDLYLYRNNLTGGIPEELGNLTNLEALYLSRNRLVGSLPPSFARMQQLSFFAIDSNYINGSIPLEIFSNCTWLNWFDVSNNMLTGSIPPLISNWTNLHYLALFNNTFTGAIPWEIGNLAQVYLEVDMSQNLFTGKIPLNICNATLEYLAISDNHLEGELPGCLWGLKGLVYMDLSRNTFSGKIAPSDTPNNDSDLLALDLSNNNFSGYFPVVLRNLSRLEFLNLGYNRISGEIPSWIGESFSHLMILQLRSNMFHGSIPWQLSQLPKLQLLDLAENNFTGSIPDSRHYIDIDWKGREHPFKDISLLATGIDLSNNSLSGEIPSELTNLRGIQSLNISRNFLQGNIPNGIGNLTHLESLDLSWNKLSGHIPHSISNLMSLEWLNLSNNLLSGEIPTGNQLRTLDDPSIYANNLGLCGFPLKISCSNHSSSTTTLEGAKEHHQELETLWLYCSVTAGAVFGVWLWFGALFFCNAWRLAFFCRIDAMQQKLIAGDLRPPPLTLPLVPPGGFRSPGGGHPFPFFFARRVFAACLPRGVNLRAAAAACPSSSSRQQALRHRPPRPQIRLHRQRVRRRVTPPPARCSQRRHPRAGGSRRHQPPRLRRDHVVTTAPTADLSSALPASGGRQQGPAPHHCRPPPSSGCVGSRSPPVKHAALPAPRLQEQPVSSHSLPMVCLHVSHVWVDKFWGDSWLLVRLRQKVSWTLAYVPDHRATGDATVLLLLVFFFRSWNGFASPTASSTSTLVPGSGKSGVTSRPSRFNYIGSSASSSSTTATIVSPSSSPVHPRAPWSTTPLMCVHDHSTTPHARSAAQLPRHLFPDHSYSTHGYLDHGSLAPFALATSTMAQGAIIRFLLQSKCPRCSRLDCGGCYTKATDDSGAETEAEALLRWKSNLIDATNSLSSWSIANSTCSWFGVTCDAAGHVTELDLLGADINGTLDALYSAAFENLTTIDLSHNNLDGAIPANISMLHTLTVLDLSVNNLTGTIPYQLSKLPRLAHLNLGDNHLTNPEYAMFFTPMPCLEFLSLFHNHLNGTFPEFILNSTSLRMEHLDLSGNAFSGPIPDSLPEIAPNLRHLDLSYNGFHGSIPHSLSRLQKLRELYLHRNNLTRAIPEELGNLTNLEELVLSSNRLVGSLPPSFARMQQLSFFAIDNNYINGSIPLEMFSNCTQLMIFDVSNNMLTGSIPSLISNWTHLQYLFLFNNTFTGAIPREIGNLAQLLSVDMSQNLFTGKIPLNICNASLLYLVISHNYLEGELPECLWNLKDLGYMDLSSNAFSGEVTTSSNYESSLKSLYLSNNNLSGRFPTVLKNLKNLTVLDLVHNKISGVIPSWIGESNPLLRILRLRSNLFHGSIPCQLSKLSQLQLLDLAENNFTGPVPSSFANLSSMQPETRDKFSSGETYYINIIWKGMEYTFQERDDCVIGIDLSSNSLSGEIPSELTNLRGLQFLNMSRNVLYGGIPNDIGHLHVVESLDLSCNRLLGAKEHHQELETLWLYCSVTAGAVFGVWLWFGALFFCNAWRLAFFSLIDAMQQKLMQNITHLSNMLCFSSSH >ORUFI02G04850.1 pep chromosome:OR_W1943:2:3054636:3056343:-1 gene:ORUFI02G04850 transcript:ORUFI02G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGAVAGALTPSFADRLYGGGGGAGNANGNNTDPPPLDAAYAANLRERKCRTAGDGVVEMDPGSHLTFDLGYYRAVLRHRVLNSIGEIYCVIWDILY >ORUFI02G04870.1 pep chromosome:OR_W1943:2:3071767:3074134:-1 gene:ORUFI02G04870 transcript:ORUFI02G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESPFLPRERLFKQQQYFQNLTKHTYLKGRYDVVTSVAIPLALAASSLFMIGRGVYNMSHGVGKKE >ORUFI02G04880.1 pep chromosome:OR_W1943:2:3077743:3079229:1 gene:ORUFI02G04880 transcript:ORUFI02G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKMKGIFKGLKIISQMFVHKEHEMEIGYPTDVKHVAHIGLGTSDTSPSWMNEFTGTEDLSTGSLSTTTAPSRQTSWASLDFEQPRSMLPIEILPEKSGQEAPSCPDIPRGPRKILLMIGWQSADVRLQ >ORUFI02G04890.1 pep chromosome:OR_W1943:2:3080243:3084774:1 gene:ORUFI02G04890 transcript:ORUFI02G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGTSGYSYGLGLACFALVVAMAGATQLKVGGGNGWSVPAANAESYNDWAEKMRFQIGDTLVFVYPKDKDSVLVVEPADYNACNTSSFDQKFADGNTVFTLDRAGAFFFISGVDANCRAGEKLIVMPQRHRHRHRAVSAPGFVDRAAADVACTRQPAAVVPVTSARFTAVSQLVGRRCSDDAAARVVASLHSDASVACAIRVVPAGAAIGERAERAGGEEPERHVVAAARRERRRARRAMASSCSVLVVACSFAVLHVVAIAGATQYKVGGDGGWGVPGAGDEPYNTWAEKTSFQVGDQLLFVYPKDKDSVLVVEPADYNACNTASYDSKFADGNTAVTLDRAGAFFFISGVDANCRAGEKLIVMVANATGSSASPPSSSSSPSSPSGGGGGGGAPAGQAPPGAPATPAGTNSSPANGGAAGGGAKSGAGLTVAASGLAGSLIAAIACVAIAI >ORUFI02G04900.1 pep chromosome:OR_W1943:2:3085775:3087243:-1 gene:ORUFI02G04900 transcript:ORUFI02G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIGKFRVSLIQPKDIEIVICFIYAVDSVCSRLIMLQSSLDIVMIVCLILFAEDVTPVPTDSTRRKGGRRGRRL >ORUFI02G04910.1 pep chromosome:OR_W1943:2:3088472:3112749:-1 gene:ORUFI02G04910 transcript:ORUFI02G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLLRKSAAASSGVGGGGGGGGGGGDVRSRQAPRRAVPQGPLQLLGDNLLRLILFDSGVEGVLNMLWQKYENAIDKAEKKESLQIFIMHFVQAFKEWEPQYTEQSVDQEPISDDTVLGCSRGHPSEIILILVQEVSQITSFITESSSCSESSPNISEQSSDLMLSSEGLNILECLTIVTRSVHNCRVFSYYGGVQKVTALLKAAVVKLKTLTSLLAADEQLSNKAIDNMKMMQKILVHIVTIISNFMNLEPTATRLTQFVNTTGKTLSNEFLATVTPISAKSAVHDTNWQQKAIVAVMEAGGVNWLVELLRVIRRLNLKDQWTDVLLHFITLHALRSTISQHARAQNHFRSIGGLEVLLDGLGLPSSKLSVSKYSFVPSDERSGILQLQILSLEILREAVFGNVNNLQFLCENGRIHKFANSICWPAFMLQGFHQQKALDSRVQNCKLEESTGISLTSESFASPIDILDTTEWTEYSVKLSIALCSFLLPPNEIRNSSGAVDSQVSLSISVAYCEQCARWIIKVLSTVFPCIKACASESELPNHIRILANTLQHYILCTFRKVLISVPALLKSFRAEGLWSLIFSDKFFYFGSSMEYIHHIVCDTQNNHFIDATESAGSKGLNQADVNILQAEAISFLEFAATINENTNNLPECSALLDVLERCTYDPGLAGTILKSFHVILQLATEQTISSFKSLDALTRVLKVACLQAQHLRKLSHPGDGLSGNVFQSENVQMSSSDEKIKSTIACVELAFNLFKEYTTISELGKILVLHNANCIECLFDLFQEENLRKNVLEQVLDLFRLPSASAQDHTAKLQLCSKYLEAFTRAKEKEDFAELSIDLLVNMREIIMIDRMYYQNLFRNGECFLHIVSLLNGTFDEVVGEQLVLNVLQTLTVLLAENDVSKNEDVIILFLNVLQKSSTALQHYGLVVLQQLLKGSITNRSSCFKAGFLSFLLDWFSVEETDDTVIKIAELIQIIGGHSICGKDIRKMFALLRDEKIGVKQKRNSLLLTSLSHMLKEKGPEAFFEFSGHDSGIEIKSPVQWPYNKGLSFCCWLRVESFLENSMMGLFSFFTEIGRAFSTGSQLRCYVDGGQISNQKCRWAKVNEVMTRCSIGTDLMPIGEEPTSLGFESTSAFVGQMGPVYAFSDALSSEQIKGIYNLGPSYMYSFLGDQNLLMNVDTLYKGILDGKDGISSKMIFGLNAQASNNRTLFNVSAALDGLDKSKFEATIMGGTKLCSRRLLQEIIYCVGGVSVFFPLLIHFDDAVIHSREPAIGDELAGQVVELVASVLDGNVANQQQMHLLSGFSILGFLFQSVSPQLLNFKTLSSLKYMLNVLKNCGMSDVLLKDALSQIYLNPHIWAYASYEVQRELYLLLIQYFECDGKCLPMLCGLPRIIDIVLQFYSEKTDLRSSKTSLYPVTKKVIAERPNIEEIRKIRLLLLSLAEMSIKLKVSQHDITTLVSFFERSQDVACIEDVLHMIIRALSHNSLLSSFLEKVNPLGGCYIFINLLKREFEPIRLLGLQFLGELLVGVPSEKKGPKFFGLPVGRPRSISENLRKGMTAAPQLFFYSISERLFKFPLSDHLRATLFDVLLGGASPKQKRSQSEVSKDSAISSASLSPFAVPQILVCIFKYMQSCQDTLARTKILSDLLDLLDSNPSNVEALVEYGWSSWLETSVKLDVFRDYKSSSEAEDNTYETNELILVRNMYSLVLTYCLCSMKGGWHQLEDTTNFLLLKIEQFPSPGLSAQSSSDDSLIEDINTSVVEILNAEGSGQLTSFPWSNSTYTDGDKLSDDWWSFYDKIWTLICNLNGRGQNRLTPKGSNAAVPSIGQRARGLVESLNIPAAEMAAVVVTGGIGSALSGKANKNADKAMMLRGERFPRIIFHLIILYLCKAGLENLSKSLLRGRSQYGGLDDGARSHIMSPLIFEILVQGKSLLATNMLARDDSTEVNSNKDSGYVLKDSGYVLNFVQKDRVLAAAADEVKYMKDAKADRLRQLQELHSKIDESLIEDIEQLQSFEDDIQFAKSAAISVDDSRKAALQLAFDEDQQIIADKWIHIFRALSEERGPWSANPFPNSTVTYWKLDKTEDKWRRRLKLKRNYKFDERLCQPPSKFSNESNASSVNPSVSAKIPEKMKHLLLKGVRGITGDVSSEPCEDNNDMSEPSQNTLSETQGSSDAADSSGYSTSVQNRKEPVSTGGDDDYAAILSSVQCVLVTPKRKLAGQLTITQKALHFSFEFLVEGTGGTSVFNRYQEKDSDPKNDLGGAEKLKGSLDGGRGNATESGDALMKNTSNNIKHHRRWKISRIKAVHWTRYLLQYTATEIFFDDANAPVFLNFSSQNDAKNIGSLLVSLRNDALFPKGSTKDKNSVISFVDRKVALEMAESARESWRRREMSNFEYLMILNTLAGRSYNDLTQYPIFPWILSDYSSEKIDFNKSSSFRDLSKPVGALDAKRFKVFEERYLNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFFYMPEFLENSNSYHLGVKQDGEPLGNVGLPPWAKGCPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGKPAVEKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVVPSSSSSSSPVLFVSLLDSNIVVMNEGLVLSVKLWLTTQLQSGGNFTFSGSLEPFFGIGSDVISPRKISTSLAENVDFGRQCLTAVQIHGDNYLILCGNWENSFQIISLGDGRIVQSVRQHKDVVSCVAVSSDGSVIATGSYDTTVMIWHAFRGKSADKKSRNANYDLSTKDHVIIESPYHILCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGKYVRSIRHPSGAGLSKLVASQHGRLVFYSDSDLSLHMYSINGKHIASSEANGRLNCMELSCCGEFVVCAGDHGQIVLRSMHSLDVVWRYEGAGKTITSLVVTPEECFLAGTKDGSLIVFSIENPLIRKGTVQRNKIKSSVGARNINKSLLLHVLSNKRLSAVNGSHNCATPAARTYADKTHTWLASVGAPCRDATR >ORUFI02G04920.1 pep chromosome:OR_W1943:2:3088764:3089198:1 gene:ORUFI02G04920 transcript:ORUFI02G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQAAARIMTEVAPPQLVSVMRRRKQVARSLDTIAEDDRELMHQAPYAGDGHHHGVKKQAAATSSASTFATPTLAFERQPPPAPAPASGFMRGLSKWFSNNGVHGQEGWPEIGREGHRRAIYSQQAHIRGRATGLNSSSLTS >ORUFI02G04930.1 pep chromosome:OR_W1943:2:3113427:3113822:-1 gene:ORUFI02G04930 transcript:ORUFI02G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRVENKMASNISRIRQDVSVIKSMIRRGIKLEGEKKTLNTSGKSVLVESLGLVPGLQEVAATFKVIDHINNPADGRSFVRTERFFKSKSHMVMSAAISYSVFYLARKTKSVSEVLNYDYGEFLHQMC >ORUFI02G04940.1 pep chromosome:OR_W1943:2:3130128:3132900:1 gene:ORUFI02G04940 transcript:ORUFI02G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVSALRRALSSSSSAAKTSSSLRRLLPAAPLRRGVLSSQPRPSRRKDSIELSRSEEGRRLAGRFDEIEDAVHAILIRDIESYRASTMADQGFVERRLTSLGFTKGYTRDQALWLSKLVLAFFSSWVVGTGFAKIDDSLHQVNS >ORUFI02G04950.1 pep chromosome:OR_W1943:2:3133496:3137697:1 gene:ORUFI02G04950 transcript:ORUFI02G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFSRARGAVLRVLDGLKRRPPASGAADTMSPVRKIQMLEEELRPSGGEISRILQRARAHLERQEEKFDPSSQTPELFRNGAGWQLNTFFLCLFSSIIANYKYNKVD >ORUFI02G04960.1 pep chromosome:OR_W1943:2:3138006:3140878:-1 gene:ORUFI02G04960 transcript:ORUFI02G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRRSSITHHATSAVASAAGAHRAFSPAMGGGIRPDLTNTEIDKLLLQKSAFNKAGIFSARGKITHANVEKGLVLSECLKILRREGEQQSWAKLWCKQIAAVVIFGVLFRSGEPEKHEPNASS >ORUFI02G04970.1 pep chromosome:OR_W1943:2:3142693:3145593:-1 gene:ORUFI02G04970 transcript:ORUFI02G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFARSFLGCGGKAGRAGARRPRALSSEVRGGDLSQRKPAPGVHEAGSGCVHKDPYPPLSEAATKLNALLDEIKGKKLNSVPLVMVGKTISNFEIVRREVHFKNIGRSWAITAVLLGGYFTGYCMEEEKTRKKRQSLVN >ORUFI02G04980.1 pep chromosome:OR_W1943:2:3151328:3153297:-1 gene:ORUFI02G04980 transcript:ORUFI02G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLRRLRSWRVSRGATSPCSTSAASMAAARTTNTLHRLLPLARAVHSSLPPSRYGDPRSPPQARRPSGEVELSKLEKTPSDRFDEIEAAIHANLVNDIEAYKSSLMADHGSVGIGFAKIDDRLKQAPSNAL >ORUFI02G04980.2 pep chromosome:OR_W1943:2:3151328:3153297:-1 gene:ORUFI02G04980 transcript:ORUFI02G04980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLRRLRSWRVSRGATSPCSTSAASMAAARTTNTLHRLLPLARAVHSSLPPSRYGDPRSPPQARRPSGEVELSKLEKTPSDRFDEIEAAIHANLVNDIEAYKSSLMADHGFFERMLTSMGIKKSYTRDQTLWLCKLILIFFASGSVGIGFAKIDDRLKQAPSNAL >ORUFI02G04990.1 pep chromosome:OR_W1943:2:3153653:3156245:1 gene:ORUFI02G04990 transcript:ORUFI02G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENPRNEPTSAPPGAGNMTLYGHTMLYDEHQMMSDSTRIGQQCTFTGLMHEIMQTPKSAINADQDYLGMSRDILHTPVGFENSMIMTSNRFPENYEQMGGEIEQGTQAICDQWASKNIEELYNINMNNQQGLSSIIKTLASRWSKKDIDELYNINNTHQVVNGSTSEVENNVSASELENNGTTSNNQDDEDSENQNTWAFESNNETSEGQQAHTENSTIDLVDKVRADEEEHRDQVVATKPQMQENSNPETESNQLITEQDIDNFLEEDEESTPTIDSKHMPHIGMQFKDYNEAHGFF >ORUFI02G04990.2 pep chromosome:OR_W1943:2:3153653:3160046:1 gene:ORUFI02G04990 transcript:ORUFI02G04990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENPRNEPTSAPPGAGNMTLYGHTMLYDEHQMMSDSTRIGQQCTFTGLMHEIMQTPKSAINADQDYLGMSRDILHTPVGFENSMIMTSNRFPENYEQMGGETEQGTQVTNSQQYMSNKKKYLCKIMNFNLFCTKYVQK >ORUFI02G05000.1 pep chromosome:OR_W1943:2:3166559:3175809:-1 gene:ORUFI02G05000 transcript:ORUFI02G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPRGSHAEPGATWDKIGVKTTEGPIVTGFFNRHEMDGSTRWAGSENRLGRPISLWPMKIANSRMNQECHKHTSLNLSDPNPSIFTRSEKKFPVGEETQAEAEAETPLSTIFSPPAENLLSAPVGTAQFQSTCTFKISDYGKLKIIRHELSLMRVSSSKTKMPLICKRKMPRRTIDISSEESEGNSINSESDTCTGSDEDYHTDEVLEALNISGFDNMLHELYSKKGIKKKGAHNETKLDKMLYALFRKDVIQKMKKELVSTSKNVQHKPKIQKGKAASKTDTSFIRFSAKYFAEVVSKLPEEKKIVIKNFGFHSLLLFDSSFIPNKFATWIVKKVDLKTSEIVLGDKVIPVNKEAVHAILDLPIGGSEFGKNYEHGRQFILSKFGKTVMPSVKFFGDQLINNEDMTDDSIIISFLIVALATFLCPNSSTCPSIKYLDIFEDVDMLHSFDWSSFVYDWLMSYVKKFQKTNRFGGCLYIWAVLYLDHRDFGSKNVPKDVPRIAVWKQDMVKIYSDLDEIDDENYGPRPLKDFSDTCYYEPVHVQNSESFRNKLDTAIGDNLPANLKDNISDLMDSHFSANHAAKNNSCEDVLISPLQILIDASASHFVRAAYNNVSAENRTDTPTENAIPKSCGKDYPSSDRNADIDIDANLDQGHGEHLTNDLADNNVIDAPNIVHSDGSLNCCCEEPPALLTKIAMEFKSRLAEFNNRDNRGHIYDEDKLAFDIFHEYNIGENVCTPDTLKSHTHGKENEAPANPATFAGPDYMTPPLGTRTRLNNNTYRRHANLNPSQIGMKRTFQDLTNSPNDICNTTKLLTNSSHASIPCINNSGLSSSGGKVPLYGPRRIIHPAKHRSDPFVCPRRRFVVSDNAHRYYNAICSLSDSEYQDEDAVNIDNVRISFCNFGNSLKKGGDVNGFVISAFCRSLFHKNHPSKSKKNYFFPSIGDMLISHLNSKELAKIEKSFQGAAMISNLQNAWDEAEESAMDFNSFEIFFPPVPREENNADSGIFVMKSIELWSPRSLLCNEFDKSDIDIIRIQLANKIFFNEKNKMLQTETEHLVQSWASKGNLSCAGKRDQV >ORUFI02G05000.2 pep chromosome:OR_W1943:2:3166559:3175809:-1 gene:ORUFI02G05000 transcript:ORUFI02G05000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPRGSHAEPGATWDKIGVKTTEGPIVTGFFNRHEMDGSTRWAGSENRLGRPISLWPMKIANSRMNQECHKHTSLNLSDPNPSIFTRSEKKFPVGEETQAEAEAETPLSTIFSPPAENLLSAPVGTAQFQSTCTFKISDYGKLKIIRHELSLMRVSSSKTKMPLICKRKMPRRTIDISSEESEGNSINSESDTCTGSDEDYHTDEVLEALNISGFDNMLHELYSKKGIKKKGAHNETKLDKMLYALFRKDVIQKMKKELVSTSKNVQHKPKIQKGKAASKTDTSFIRFSAKYFAEVVSKLPEEKKIVIKNFGFHSLLLFDSSFIPNKFATWIVKKVDLKTSEIVLGDKVIPVNKEAVHAILDLPIGGSEFGKNYEHGRQFILSKFGKTVMPSVKFFGDQLINNEDMTDDSIIISFLIVALATFLCPNSSTCPSIKYLDIFEDVDMLHSFDWSSFVYDWLMSYVKKFQKTNRFGGCLYIWAVLYLDHRDFGSKNVPKDVPRIAVWKQDMVKIYSDLDEIDDENYGPRPLKDFSDTCYYEPVHVQNSESFRNKLDTAIGDNLPANLKDNISDLMDSHFSANHAAKNNSCEDVLISPLQILIDASASHFVRAAYNNVSAENRTDTPTENAIPKSCGKDYPSSDRNADIDIDANLDQGHGEHLTNDLADNNVIDAPNIVHSDGSLNCCCEEPPALLTKIAMEFKSRLAEFNNRDNRGHIYDEDKLAFDIFHEYNIGENVCTPDTLKSHTHGKENEAPANPATFAGPDYMTPPLGTRTRLNNNTYRRHANLNPSQIGMKRTFQDLTNSPNDICNTTKLLTNSSHASIPCINNSGLSSSGGKVPLEDAVNIDNVRISFCNFGNSLKKGGDVNGFVISAFCRSLFHKNHPSKSKKNYFFPSIGDMLISHLNSKELAKIEKSFQGAAMISNLQNAWDEAEESAMDFNSFEIFFPPVPREENNADSGIFVMKSIELWSPRSLLCNEFDKSDIDIIRIQLANKIFFNEKNKMLQTETEHLVQSWASKGNLSCAGKRDQV >ORUFI02G05000.3 pep chromosome:OR_W1943:2:3166559:3175809:-1 gene:ORUFI02G05000 transcript:ORUFI02G05000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPRGSHAEPGATWDKIGVKTTEGPIVTGFFNRHEMDGSTRWAGSENRLGRPISLWPMKIANSRMNQECHKHTSLNLSDPNPSIFTRSEKKFPVGEETQAEAEAETPLSTIFSPPAENLLSAPVGTAQFQSTCTFKISDYGKLKIIRHELSLMRVSSSKTKMPLICKRKMPRRTIDISSEESEGNSINSESDTCTGSDEDYHTDEVLEALNISGFDNMLHELYSKKGIKKKGAHNETKLDKMLYALFRKDVIQKMKKELVSTSKNVQHKPKIQKGKAASKTDTSFIRFSAKYFAEVVSKLPEEKKIVIKNFGFHSLLLFDSSFIPNKFATWIVKKVDLKTSEIVLGDKVIPVNKEAVHAILDLPIGGSEFGKNYEHGRQFILSKFGKTVMPSVKFFGDQLINNEDMTDDSIIISFLIVALATFLCPNSSTCPSIKYLDIFEDVDMLHSFDWSSFVYDWLMSYVKKFQKTNRFGGCLYIWAVLYLDHRDFGSKNVPKDVPRIAVWKQDMVKIYSDLDEIDDENYGPRPLKDFSDTCYYEPVHVQNSESFRNKLDTAIGDNLPANLKDNISDLMDSHFSANHAAKNNSCEDVLISPLQILIDASASHFVRAAYNNVSAENRTDTPTENAIPKSCGKDYPSSDRNADIDIDANLDQGHGEHLTNDLADNNVIDAKLSVLLVLTTCSSYKNCDGVQVQPATFAGPDYMTPPLGTRTRLNNNTYRRHANLNPSQIGMKRTFQDLTNSPNDICNTTKLLTNSSHASIPCINNSGLSSSGGKVPLYGPRRIIHPAKHRSDPFVCPRRRFVVSDNAHRYYNAICSLSDSEYQDEDAVNIDNVRISFCNFGNSLKKGGDVNGFVISAFCRSLFHKNHPSKSKKNYFFPSIGDMLISHLNSKELAKIEKSFQGAAMISNLQNAWDEAEESAMDFNSFEIFFPPVPREENNADSGIFVMKSIELWSPRSLLCNEFDKSDIDIIRIQLANKIFFNEKNKMLQTETEHLVQSWASKGNLSCAGKRDQV >ORUFI02G05000.4 pep chromosome:OR_W1943:2:3166643:3175809:-1 gene:ORUFI02G05000 transcript:ORUFI02G05000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPRGSHAEPGATWDKIGVKTTEGPIVTGFFNRHEMDGSTRWAGSENRLGRPISLWPMKIANSRMNQECHKHTSLNLSDPNPSIFTRSEKKFPVGEETQAEAEAETPLSTIFSPPAENLLSAPVGTAQFQSTCTFKISDYGKLKIIRHELSLMRVSSSKTKMPLICKRKMPRRTIDISSEESEGNSINSESDTCTGSDEDYHTDEVLEALNISGFDNMLHELYSKKGIKKKGAHNETKLDKMLYALFRKDVIQKMKKELVSTSKNVQHKPKIQKGKAASKTDTSFIRFSAKYFAEVVSKLPEEKKIVIKNFGFHSLLLFDSSFIPNKFATWIVKKVDLKTSEIVLGDKVIPVNKEAVHAILDLPIGGSEFGKNYEHGRQFILSKFGKTVMPSVKFFGDQLINNEDMTDDSIIISFLIVALATFLCPNSSTCPSIKYLDIFEDVDMLHSFDWSSFVYDWLMSYVKKFQKTNRFGGCLYIWAVLYLDHRDFGSKNVPKDVPRIAVWKQDMVKIYSDLDEIDDENYGPRPLKDFSDTCYYEPVHVQNSESFRNKLDTAIGDNLPANLKDNISDLMDSHFSANHAAKNNSCEDVLISPLQILIDASASHFVRAAYNNVSAENRTDTPTENAIPKSCGKDYPSSDRNADIDIDANLDQGHGEHLTNDLADNNVIDAPNIVHSDGSLNCCCEEPPALLTKIAMEFKSRLAEFNNRDNRGHIYDEDKLAFDIFHEYNIGENVCTPDTLKSHTHGKENEAPANPATFAGPDYMTPPLGTRTRLNNNTYRRHANLNPSQIGMKRTFQDLTNSPNDICNTTKLLTNSSHASIPCINNSGLSSSGGKVPLEDAVNIDNVRISFCNFGNSLKKGGDVNGFVISAFCRSLFHKNHPSKSKKNYFFPSIGDMLISHLNSKELAKIEKSFQGAAMISNLQNAWDEAEESAMDFNSFEIFFPPVPREENNADSGIFVMKSIELWSPRSLLCNEFDKSDIDIIRIQLANKIFFNEKNKMLQTETEHLVQSWASKVSSYCFFFLFLSILCAS >ORUFI02G05010.1 pep chromosome:OR_W1943:2:3177532:3181409:1 gene:ORUFI02G05010 transcript:ORUFI02G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRKGGGGDGGVSRRWAVLLCLGSFCLGLLFTNRMWTLPEANEIARPNGNGDEGNTLVAAECGPKKVQHPDYKDILRVQDTHHGVHSDRTLDKTIASLETELSAARSLQESLLNGSPVAEEFKLSESIGRRKYLMVIGINTAFSSRKRRDSIRYTWMPQGEKRKKLEEEKGIIIRFVIGHSAISGGIVDRAIEAEDRKHGDFMRIDHVEGYLALSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSNHALKPRVYIGCMKSGPVLTEKGVRYYEPEHWKFGEPGNKYFRHATGQLYAISKDLATYISINRHVLHKYINEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWRCSGICNSEGRIWEVHNKCAEGEKALWNATF >ORUFI02G05010.2 pep chromosome:OR_W1943:2:3177533:3181409:1 gene:ORUFI02G05010 transcript:ORUFI02G05010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRKGGGGDGGVSRRWAVLLCLGSFCLGLLFTNRMWTLPEANEIARPNGNGDEGNTLVAAECGPKKVQHPDYKDILRVQDTHHGVQTLDKTIASLETELSAARSLQESLLNGSPVAEEFKLSESIGRRKYLMVIGINTAFSSRKRRDSIRYTWMPQGEKRKKLEEEKGIIIRFVIGHSAISGGIVDRAIEAEDRKHGDFMRIDHVEGYLALSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSNHALKPRVYIGCMKSGPVLTEKGVRYYEPEHWKFGEPGNKYFRHATGQLYAISKDLATYISINRHVLHKYINEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWRCSGICNSEGRIWEVHNKCAEGEKALWNATF >ORUFI02G05020.1 pep chromosome:OR_W1943:2:3182091:3182471:1 gene:ORUFI02G05020 transcript:ORUFI02G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRLHRAAPREEERMHRFLRPGALARLRDSRIVARWLRSAAAATRLLPTPLPPAPTPPQVVAEQQQGGAPHFVVPARGLGGAGRYPLRRRMCAARSVVFLPPPAADAFFAAFAAAPPPPADLVS >ORUFI02G05030.1 pep chromosome:OR_W1943:2:3183283:3184143:1 gene:ORUFI02G05030 transcript:ORUFI02G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAEMDVGGGEGRRRKRTRGRQRIEMKLIENKEARQVCFSKRREGVFKKASELSVLCGARVAVVFFSPAGRPHCFGHPSVSAVADRFLLGRSPADAAAAAAEEEEAVVREFNRVEERLKDALGAAARRRDALDEAARVAGVWNDADVRRAGLADLVSMLAALQRVQAEASERVHDIIVEEAMTHYTGAAAAAANLIDYLDAGPFVSHSPGSHDTTTKLIGGNAVHAPPLSFPPMIMPPPLPPQFSHGFGYTDLAAGYGYNLDHGHGAAYETEEFHNAAACDFF >ORUFI02G05040.1 pep chromosome:OR_W1943:2:3189834:3192308:1 gene:ORUFI02G05040 transcript:ORUFI02G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSPPHAAALPAWAAENALFRRHRRLRPLLLPAASLRAFAPLLSHCIVSGLARNPFVASRLLVASSRLSLRFSLLLLSHLPASSLSPFSFNSLIRASPPRLALQLFDQMRHRGVTTDRYTLPFLIHACSSGDRPLCESLHGQSLRLGYSSVLFTQTALMNAYFACRFEVAARRVFDEMQAKDVVAWTGMVSGYVDSGMFLRGVEVFQEMRSCEEAVRPNVATVVSVASACAGLGSLEYAKGLHAYVEKVGLEGELIVKNSLIDMYGKCGSIELARGLFGLMPQKDLHSWTAMISGLASHGHGKEAVALFFSMKEAGVLPDSTTFVVVLSACSHAGLVDEGISIFNSMENEYKVTPDIKHYGCMVDLFSRAGLIARGYQFIESMPFEPNLAILGALLSACSINNELDIGELVIKRIESVRSDKGGAGVLLSNIYANQNLWHEVDSLRRKIRDDTICRKPPGQSGFNTSTLNVASLIIGQQSVWGPDDVSESLFAPARPTHMPLTKEIKGGMSAAERRRILLFTGK >ORUFI02G05050.1 pep chromosome:OR_W1943:2:3194406:3198103:1 gene:ORUFI02G05050 transcript:ORUFI02G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVVRRVIPSDNSCLFNAVGYVMEHNRNKASELRQVIAAAVASDPAKYSEVFLGKPNEAYCAWILDSEKWGGAIELSILSEYYGREIAAYDIQTTRCDLYGQEKNYTERTMLIYDGLHYDALAMSPFEGAPEEFDQTIFPVDHKWSIGPVEGLALNFVKDEKRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYK >ORUFI02G05060.1 pep chromosome:OR_W1943:2:3210594:3216783:1 gene:ORUFI02G05060 transcript:ORUFI02G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSPSAGGVSDQPPSPPEVAEEQKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPFLPAELGTASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELMAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDSNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWMNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLYGGKEDDLASSLMWLRDSQNTGFQSLNFGGLGMSPWMQPRLDSSLLGLQPDMYQTIAAAAALQNTTKQVSPAMLQFQQPQNIVGRSSLLSSQILQQAQPQFQQMYHQNINGNSIQGHSQPEYLQQPLQHCQSFNEQKPQLQPQQQQQESHQQQPQHQQMQQQKHLSNFQTVPNALSVFSQLSSTPQSTPSTLQTVSPFSQQHNFPDTNISCLSPSNVSSMHDTLRSFPSEAASDLPGVPRITPVPVSDPWSSKRVAVESTITSRPHDISSQIENFDLTPSSIPQNSTLAPLPGRECLVDQDGSSDPQNHFLFGVNIDSQSLLMQDGIPSLHNENSSSTIPYSTSNFLSPSQDDYPLSQTLTTPGCLDESGYVPCSDNADQVKRPHATFVKVYKSGTVGRLLDITRFSSYHELRSEVGRLFGLEGQLEDPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKPGIELFSTSARRLGNSCDNYMSRQESRSLSTGIASVGSVEF >ORUFI02G05070.1 pep chromosome:OR_W1943:2:3219606:3223868:-1 gene:ORUFI02G05070 transcript:ORUFI02G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGGAAAAAAAAESSNRAGEDAKSDGVSCQNSRRPNLSLQIPNRAFDDTLPTSTRIKISPSPNSARSGLPPRPNSTRTKSSIRGIIPQRSFKAKSSLQDGDQTILLIPDTPSSSGQQVKATTSRSFSFTKVINSLSAKRTHSLPVTPVAASGPSSHEGHADNLPSTVKNEVETQIRRSLSAPGNHDSKDLRRTASSGLIRVIPTTPRPVPVETVASNDGIEEAVDAPEDGGEDIPEEDAVCRICLVELNEGGETLKLECSCKGELALAHQECAIKWFSIKGNKTCDVCRQEVQNLPVTLLRIQIRTVNRQPRNGVQQRAAHPHRFWKETPVLVMVSTLAYFCFLEQLLLKMGAVLAILLASFTGFGIAISLNAMLIEYLRWRLLRNQRLTQRRNHRHGQSGNNASNENTASNARQQGSGSDQQSAEHG >ORUFI02G05080.1 pep chromosome:OR_W1943:2:3228035:3232195:1 gene:ORUFI02G05080 transcript:ORUFI02G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQCAIASRVRPAEPPPPRRARAAPRVRLSDRWAPRGQRGHHVVNGLTGQPILLLLFFLFFFFFLLPFPDSHCGEVKYGHLVRYNPSPPPLRSCHSPTNSSPERLLSRSFELLGLALLGFLLGWDYQRRATRQQSSQHNDDPSGDMNITKFTYKELSRVTENFSPSNKIGEGGFGSVYKGKLRNGKLVAVKVLSLESRQGAKEFLNELMAISNVSHENLVKLYGYCVEGNQRILVYNYLENNSLAQTLLGYGHSNIQFNWATRVNICVGIARGLTYLHEVVNPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPDASHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNTNTRLPYEDQILLERFPEITNGVLLLQTWVHYEEGDLEKIIDASLGDDLDVAQACMFLKIGLLCTQDVTKHRPTMSMVVRMLTGEMDVELAKISKPAIISDFMDLKEHEERSGHSFILNIHIAIFHHGTLFSFVVARDNRSLHDIHRDIRS >ORUFI02G05080.2 pep chromosome:OR_W1943:2:3228035:3232195:1 gene:ORUFI02G05080 transcript:ORUFI02G05080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQCAIASRVRPAEPPPPRRARAAPRVRLSDRWAPRGQRGHHVVNGLTGQPILLLLFFLFFFFFLLPFPDSHCGEVKYGHLVRYNPSPPPLRSCHSPTNSSPERLLSRSFELLGLALLGFLLGWDYQRRATRQQSSQHNDDPSGDMNITKFTYKELSRVTENFSPSNKIGEGGFGSVYKGKLRNGKLVAVKVLSLESRQGAKEFLNELMAISNVSHENLVKLYGYCVEGNQRILVYNYLENNSLAQTLLGYGHSNIQFNWATRVNICVGIARGLTYLHEVVNPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPDASHVSTRVAGTFFTYSVLHDRGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNTNTRLPYEDQILLERFPEITNGVLLLQTWVHYEEGDLEKIIDASLGDDLDVAQACMFLKIGLLCTQDVTKHRPTMSMVVRMLTGEMDVELAKISKPAIISDFMDLKEHEERSGHSFILNIHIAIFHHGTLFSFVVARDNRSLHDIHRDIRS >ORUFI02G05080.3 pep chromosome:OR_W1943:2:3228035:3233102:1 gene:ORUFI02G05080 transcript:ORUFI02G05080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPQCAIASRVRPAEPPPPRRARAAPRVRLSDRWAPRGQRGHHVVNGLTGQPILLLLFFLFFFFFLLPFPDSHCGEVKYGHLVRYNPSPPPLRSCHSPTNSSPERLLSRSFELLGLALLGFLLGWDYQRRATRQQSSQHNDDPSGDMNITKFTYKELSRVTENFSPSNKIGEGGFGSVYKGKLRNGKLVAVKVLSLESRQGAKEFLNELMAISNVSHENLVKLYGYCVEGNQRILVYNYLENNSLAQTLLGYGHSNIQFNWATRVNICVGIARGLTYLHEVVNPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPDASHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNTNTRLPYEDQILLERFPEITNGVLLLQTWVHYEEGDLEKIIDASLGDDLDVAQACMFLKIGLLCTQDVTKHRPTMSMVVRMLTGEMDVELAKISKPAIISDFMDLKVRSMRKEVDIVSSSTSTLLSSIMAHSSPLLSQETTEASMTFTAISDRE >ORUFI02G05080.4 pep chromosome:OR_W1943:2:3228035:3233102:1 gene:ORUFI02G05080 transcript:ORUFI02G05080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPQCAIASRVRPAEPPPPRRARAAPRVRLSDRWAPRGQRGHHVVNGLTGQPILLLLFFLFFFFFLLPFPDSHCGEVKYGHLVRYNPSPPPLRSCHSPTNSSPERLLSRSFELLGLALLGFLLGWDYQRRATRQQSSQHNDDPSGDMNITKFTYKELSRVTENFSPSNKIGEGGFGSVYKGKLRNGKLVAVKVLSLESRQGAKEFLNELMAISNVSHENLVKLYGYCVEGNQRILVYNYLENNSLAQTLLGYGHSNIQFNWATRVNICVGIARGLTYLHEVVNPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPDASHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNTNTRLPYEDQILLERTWVHYEEGDLEKIIDASLGDDLDVAQACMFLKIGLLCTQDVTKHRPTMSMVVRMLTGEMDVELAKISKPAIISDFMDLKVRSMRKEVDIVSSSTSTLLSSIMAHSSPLLSQETTEASMTFTAISDRE >ORUFI02G05090.1 pep chromosome:OR_W1943:2:3240323:3243259:1 gene:ORUFI02G05090 transcript:ORUFI02G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADVDDSLNHGGGPYVFKINGQVHHRIDALLPNENTPTKYAQLYIYDTQNEIQNRMCAILSEGGDPSALDPVIAAELMQMLDECIPLVKQFRLARDHLAEKLSSQGQVDCFPCVEEGRLTYIRDHQGDFRCENIQGIADAVGRGCLDGGVGKQRILPTSFTGERRKALIKELAAAYHAECVACCEELLQLQRKWEEEQYVEFKMADEAPRTLMVKSSKRRKR >ORUFI02G05100.1 pep chromosome:OR_W1943:2:3246683:3253318:-1 gene:ORUFI02G05100 transcript:ORUFI02G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEARLPPGFRFHPRDDELVVDYLSGKLRSGDGGAASGGGAAGAGCPTPTLIDVDLNKCEPWDLPEIACIGGKEWYFYNLKDRKYARGQRTNRATESGYWKATGKDREITRKGSLVGMRKTLVFYRGRAPKGERTDWVMHEFRQELDHANHHHHLKVLAHRFRFQFALDCIISHSHASWQLDYMQEGWVLCRVFYKSRTEAVAAPTMESTLPPRYINGGTSRSPLPPLVDSSISFNHGGYEEVLPCFSSSHHQQPSPASMNASAAADDDQDYHHLSEGQRHYSDKKMMRDVQNDQVTTRFDGHLAVKREMSLKKKDLSEDEQAAPNADAGGFSILLKYSVSKMTSLMKPIQRNISTLQEFLNQKKEAILEKVEIFTKLLLPSRLGSAVFQLCLEHLIKNHKVGISWDGIWELSDWEVADNEVVLKMVGQCSAPADSKSKDLKRLFDLLRPYYDQEGKDPHLFFEHLKFDFTDVLKTIVTDAKWEWFWKYLLNHVFVMPPTGNTY >ORUFI02G05110.1 pep chromosome:OR_W1943:2:3264900:3266114:1 gene:ORUFI02G05110 transcript:ORUFI02G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVASTMPSPPPPAVSFGWLAPTRVSPPPALPAVAVAAEQAVSKEFIDFEFSLGGSATMLPADELFADGKLLPLRPHPVAAEKAVAMEAEKAVPELVKAVRPAVAVAAEVFDPYVFSPKAPTCSSRWRELLRLKKVQTPTKPSASTSASPSTATAATPSRSSNSSAARSLKLLLLQRNGGRASGAAASDLSVAPLLRDSSDSEASLSLASSRFSLSSSSSSSCHEHDDFPRHSLDSVDPTPRPRLRLVRSQPQPHPPAAAAAAAVAKPRAAIQSPARRRPSPPPPPPPQVASVDSPRMNASGKIVFQGLERSSSSPAGSVHSSLRSRSRVMDRSYSAGVRAATPVVLNVPVCSRPVFGFFKDRKDAAAKDSSASRPRSALGRKTAPHAGNGGGASRDLGTSN >ORUFI02G05120.1 pep chromosome:OR_W1943:2:3272379:3275755:1 gene:ORUFI02G05120 transcript:ORUFI02G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDHYDGGHLPELGGGAPFLFRSRTIGVGAIFLMWGASAIVLGAVPEPAIPIAHMLLSFAFLMAGVALLTLSVAAPRCAMAARAAATLENWLTALIRLDQIAQNMHELFRYAGHISFMGQTKIARYNNPGIYTVIR >ORUFI02G05130.1 pep chromosome:OR_W1943:2:3278577:3279582:-1 gene:ORUFI02G05130 transcript:ORUFI02G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPYLARVVLATQLRAFIPSCPRVWQTRRDVSSFTVRLHRLFGVIYLNDCRDRVTVIVLRVLAYLGPRRPPVHPRPLYGAPCATQRLSYLDIDSRLRLHRPRLLYAQLSRPRLPRTLRFGYIDYGTKGYHPDATELPAAADPGTVPDAAGAGAGMAAGWNFPNLPLLQPPNPPSPPSGRD >ORUFI02G05140.1 pep chromosome:OR_W1943:2:3279586:3279804:-1 gene:ORUFI02G05140 transcript:ORUFI02G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVVVQAVGPANPPSSSSNMVHRQRRRVFLNYTSLFSGNCVLLRQFSLYTVLAPRPSRKPSLLVFSDIGV >ORUFI02G05150.1 pep chromosome:OR_W1943:2:3280201:3281637:-1 gene:ORUFI02G05150 transcript:ORUFI02G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGTAPAAAAATLLLLSCAVAASHTAAAAAAEGSTSPPAAPQLGMPRSATAGGGMRTKMGLRRPPPRRHSHVSDIGIYSASTSSFCLVHVWLDHPFQC >ORUFI02G05160.1 pep chromosome:OR_W1943:2:3283660:3287627:1 gene:ORUFI02G05160 transcript:ORUFI02G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRSLVQQNMDPQLQMGLMLQYDSWKQALFRREYEKLACLIVHHADQEQGMQENAAYVLPDQYPTLIVSLYNLHPIIRQYTHQLRNNS >ORUFI02G05160.2 pep chromosome:OR_W1943:2:3283660:3288926:1 gene:ORUFI02G05160 transcript:ORUFI02G05160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRSLVQQNMDPQLQMGLMLQYDSVHGGAGKPGGGDAGDLDHGDYLAPLLETGIVQIKSRECKKMQHMGQIYFGGGGGSSLYC >ORUFI02G05170.1 pep chromosome:OR_W1943:2:3291852:3292544:-1 gene:ORUFI02G05170 transcript:ORUFI02G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAQNGAAAAPPPADHAIAAAVPAPPPTDPRDQMVIMLQQLGMGNLVCKLRKQVDASDAKPNQSRLQLTYQLARGLVESGDLTDGEKRLIHGGDGDDDDLSKKKKKKSSGLKLDGYDRHGRRYGAMRFGRVGSVDGKNGNGLYRLKSFGRFVAANGLRAGHTVVAWVFRLPPPPPPEEEEDAPARLAVMLLDYPSSDPAMVEAMVVWEDDVLEKFGAASGIVKISNAGN >ORUFI02G05180.1 pep chromosome:OR_W1943:2:3293960:3294463:1 gene:ORUFI02G05180 transcript:ORUFI02G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGHGVEVDDVEVGRSCVAVAPPPPPAEEEEKKEGAVGTTSSTASALAVAAAAAVELTNLFFFLHGTGDGRAVAPRRRGRRRCCEMGVAPVELVEAATVILALAAFLSAAGLLLLRHAAGDLGGHHRIVSPACSTAVLVASTAALFLASLGTVVLLLNDYQTVMY >ORUFI02G05190.1 pep chromosome:OR_W1943:2:3307760:3309096:1 gene:ORUFI02G05190 transcript:ORUFI02G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLLVFVLVAAFSFVIGTAVTTAPAPPWPAAAFAAYLFWVVASAAVALFVRRPAAQRDPPQAARGSRPKGLKVCHFCIK >ORUFI02G05200.1 pep chromosome:OR_W1943:2:3320841:3322808:1 gene:ORUFI02G05200 transcript:ORUFI02G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQREKGQQLRERESEVTRDGHDRHGVAGGKPRRRRRRRGEAEQVRVAEAAGLADVEEHGGRPESNPFGARAVRLYLRDIRDTQSKARGIAYEKKRRKRAAASHTKQKQQQQLVEQAVAPPAAAALPDMETTTTTTTVPHFLFPAHFLHGHYFLAPAGEQPGGGDVAASTGGAAGAPSGGGGEDLVLAMAAAAEAHAAGCMMPLSVFN >ORUFI02G05210.1 pep chromosome:OR_W1943:2:3333745:3336553:1 gene:ORUFI02G05210 transcript:ORUFI02G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPVRLSPPATAAAATAGAVLAAVALRRYLRTRSSMATSAHRRGSAGGITLVVSGKSTEDQHLLAAAGGALVLGEGDSVEDITVSLDSGAGAGAGFDAGAYMAALRASRFGRWMLWSPRLASTHDLVTQNFAKLPVGVVCVADVQFKGRGRSKNVWESPPGCLMFSFTSQMEDARKLPLMQYVVCLAMTEAIKELCCAKGLSELDVKIKWPNDLYLKGLKVGGILCTSSYQPKVYNICTGIGLNVDNEEPTTCLNAALKEMNANLPTLKREDILASFFNKFEVLFEIFTNEGFQALEEQYYNSWLHSGQKVVVQDGPEAQHADSVVTIQGLTPAGYLYAIGEDGKSYELHPDGNRVSAKEDGNVVLHEYIHR >ORUFI02G05220.1 pep chromosome:OR_W1943:2:3337550:3340825:1 gene:ORUFI02G05220 transcript:ORUFI02G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDSVVSAQWLHEHLGQPDVKVLDASWYMPVEKRDPWQEYQVAHIPGALFFDIDGIVDLTTDLPHMLPSQEAFAAAVSALDIKNHDKVIVYDGKGFFSAPRVWWMFRVYGHNKIWVLDGGLPQWRTSGFVLESSTPGDAVQKTKAANSVVERIYNGQLASDVTFQTEFQPHIFWTLEKVKHNMDAQSHQVVDARSKGRFDGVAPEPREGVRSGHIPGTKCVPFPEMFDDAPMLLPADEIRKKFEQAGISLDRPIVVTCGSGVTACILALGLYRIGKQDIPVYDGSWTEWEALPDHDYPKVTSNGS >ORUFI02G05230.1 pep chromosome:OR_W1943:2:3341334:3343847:-1 gene:ORUFI02G05230 transcript:ORUFI02G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAQLAGVVEACIKRSPKPSRAHAKAAHARALAAGLAADTFLANRLVELYSRAGLPRHALLAFRALPSPNDYSYNAALSAACRAGDLDAARDLLGGMPRRNAVSWNTVISALARSPGDGGEAVEMYGRMRAEGLLPTHFTLASVLSACGGLAALGDGRRCHGVAVKVGLDANQFVENALLGMYTKCGSVGDAVRLFYGMARPNEVSFTAMMDGLAQTGSIDDALRLFARMCRSGVPVDPVSVSSVLGACAQACATDYSVARAFRLGQAIHALVVRKGFGSDQHVGNSLIDMYTKCVEMDEAVKVFESLPSVTIVSWNILITGFGQEGSCAKAVEVLSLMQEAGFEPNEVTYSNLLASCIKARDVHSARAMFDKISRPSVTTWNTLLSGYCQEEQHQDTIELFRRMQHQNVQPDRTTLAVILSSCSKLGILDFGRQVHSASVRFLLHNDMFVASGLVNMYSKCGQIGIARSIFNKMTEKDVVCWNSIISGLTIHSLNKEAFDFFKQMRENGIMPTESSYASMINSCSRLSSIPHGRQIHAQVMKDGYDQNVYVGSALIDMYAKCGNMDDARLFFDTMMMKNIVAWNEMIHGYAQNGLGDKAVELFEYMLTTEQKPDAVTFIAVLTGCSHSGLVDKAMAFFNSMENSYGIIPLAEHYTCLIDALGRAGRFVEVEALIHKMPCKDDPIIWEVLLAACVVHHNAELGKCAAEHLFRIDPKNPSPYVLLSNIYASLGRHGDASAVRALMSNRGVVKGRGYSWIDQKDGVRAFMVADDLGADGGELTMFSNEIAFLRYTKMRIVSADSTCGFSAVKYSKCTDKSKQMVAESSCATLVPFA >ORUFI02G05240.1 pep chromosome:OR_W1943:2:3346513:3349591:1 gene:ORUFI02G05240 transcript:ORUFI02G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYSGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPNGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDEEEDYGDEEEDEVAA >ORUFI02G05250.1 pep chromosome:OR_W1943:2:3350553:3357089:-1 gene:ORUFI02G05250 transcript:ORUFI02G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGRYAPQHGWENNSALDGYGVINGPDYRAGGSYNGRRFVDDGFPGDSYGRGAFYQDTHDRNVYPPAPSVPMLSQPRRNKRIGSRDRAEFHGDFEDRYRSSHQSREDSYERDRDYDRYSYDSDYEKSRRDGSWRRRDLCESEHERRGLSHERDRSPYMQHSRSRSRGRDERSRSRSRSRSPRGKSRGRNQRDGFYDDNSFGRRREYDWDERRHGDLVAPSATVVVKGLSQKTNEDDLNQILAEWGPLRSVRVIKERSSGMSRGFAFIDFPTVEAARRMMEGVGDNGLLIDGRKVFFQYSSKPTSGMSGPSHGEENFTRYNYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDSLPADPTSPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFIHFHSVEEATKALEATNGITLEKNGQVLRVTYAKSTHGPVSGASQSNSLAAAAIEAASFSQQYDAIGWAPKEYNPDDKLNSNSEPQSSGSAPQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDGNAGVWYSYDQQTQQYVPCSEQNSSKAAGDMANTSTKTSESSGKNVVISAPAATIKQSEKTSLPEAVQAAASAALAAEKKEKERAKEIKLASKGSLLANKKKMNNVLAMWKQRNQEGQAGRAILDDKEPSNSADDKLNNLHNSTGFAVKAKPKSDVGNAKDMNSPASYNSLGRTAAPTEMIDSDIKPTPVSNSLGTTIMGVIRGSGRGIIRSDAAFHAPSDAGGADSFSNIPTSTWSGKRRFSEAPGHSQYRDRAAERRNLYGSSSSLGSDNDGLDPTGEYPRRGPSEMGSMPFPPGVGERSSGEIGNTENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKTGSGIKEPVQAKSVDVRAGLGSQQRKSSDPSLEAQAGDSYKTIIQKKAMARFREMS >ORUFI02G05250.2 pep chromosome:OR_W1943:2:3350553:3357089:-1 gene:ORUFI02G05250 transcript:ORUFI02G05250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGRYAPQHGWENNSALDGYGVINGPDYRAGGSYNGRRFVDDGFPGDSYGRGAFYQDTHDRNVYPPAPSVPMLSQPRRNKRIGSRDRAEFHGDFEDRYRSSHQSREDSYERDRDYDRYSYDSDYEKSRRDGSWRRRDLCESEHERRGLSHERDRSPYMQHSRSRSRGRDERSRSRSRSRSPRGKSRGRNQRDGFYDDNSFGRRREYDWDERRHGDLVAPSATVVVKGLSQKTNEDDLNQILAEWGPLRSVRVIKERSSGMSRGFAFIDFPTVEAARRMMEGVGDNGLLIDGRKVFFQYSSKPTSGMSGPSHGEENFTRYNYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDSLPADPTSPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFIHFHSVEEATKALEATNGITLEKNGQVLRVTYAKSTHGPVSGASQSNSLAAAAIEAASFSQQYDAIGWAPKEYNPDDKLNSNSEPQSSGSAPQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDGNAGVWYSYDQQTQQYVPCSEQNSSKAAGDMANTSTKTSESSGKNVVISAPAATIKQSEKTSLPEAVQAAASAALAAEKKEKERAKEIKLASKGSLLANKKKMNNVLAMWKQRNQEGQAGRAILDDKEPSNSADDKLNNLHNSTGFAVKAKPKSDVGNAKDMNSPASYNSLGRTAAPTEMIDSDIKPTPVSNSLGTTIMGVIRGSGRGIIRSDAAFHAPSDAGGADSFSNIPTSTCGLTANAGAPTSAPFKTELSALASYTPSGVSGSGKRRFSEAPGHSQYRDRAAERRNLYGSSSSLGSDNDGLDPTGEYPRRGPSEMGSMPFPPGVGERSSGEIGNTENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKTGSGIKEPVQAKSVDVRAGLGSQQRKSSDPSLEAQAGDSYKTIIQKKAMARFREMS >ORUFI02G05250.3 pep chromosome:OR_W1943:2:3350553:3357089:-1 gene:ORUFI02G05250 transcript:ORUFI02G05250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGRYAPQHGWENNSALDGYGVINGPDYRAGGSYNGRRFVDDGFPGDSYGRGAFYQDTHDRNVYPPAPSVPMLSQPRRNKRIGSRDRAEFHGDFEDRYRSSHQSREDSYERDRDYDRYSYDSDYEKSRRDGSWRRRDLCESEHERRGLSHERDRSPYMQHSRSRSRGRDERSRSRSRSRSPRGKSRGRNQRDGFYDDNSFGRRREYDWDERRHGDLVAPSATVVVKGLSQKTNEDDLNQILVQVPLHKLLNWLQAEWGPLRSVRVIKERSSGMSRGFAFIDFPTVEAARRMMEGVGDNGLLIDGRKVFFQYSSKPTSGMSGPSHGEENFTRYNYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDSLPADPTSPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFIHFHSVEEATKALEATNGITLEKNGQVLRVTYAKSTHGPVSGASQSNSLAAAAIEAASFSQQYDAIGWAPKEYNPDDKLNSNSEPQSSGSAPQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDGNAGVWYSYDQQTQQYVPCSEQNSSKAAGDMANTSTKTSESSGKNVVISAPAATIKQSEKTSLPEAVQAAASAALAAEKKEKERAKEIKLASKGSLLANKKKMNNVLAMWKQRNQEGQAGRAILDDKEPSNSADDKLNNLHNSTGFAVKAKPKSDVGNAKDMNSPASYNSLGRTAAPTEMIDSDIKPTPVSNSLGTTIMGVIRGSGRGIIRSDAAFHAPSDAGGADSFSNIPTSTCGLTANAGAPTSAPFKTELSALASYTPSGVSGSGKRRFSEAPGHSQYRDRAAERRNLYGSSSSLGSDNDGLDPTGEYPRRGPSEMGSMPFPPGVGERSSGEIGNTENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKTGSGIKEPVQAKSVDVRAGLGSQQRKSSDPSLEAQAGDSYKTIIQKKAMARFREMS >ORUFI02G05250.4 pep chromosome:OR_W1943:2:3350553:3357089:-1 gene:ORUFI02G05250 transcript:ORUFI02G05250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGRYAPQHGWENNSALDGYGVINGPDYRAGGSYNGRRFVDDGFPGDSYGRGAFYQDTHDRNVYPPAPSVPMLSQPRRFHDDEYSTARDYRRHDTYHCNDGKHHEFESYGGVDILHDNYAADNYESGSYRDFGFDRNKRIGSRDRAEFHGDFEDRYRSSHQSREDSYERDRDYDRYSYDSDYEKSRRDGSWRRRDLCESEHERRGLSHERDRSPYMQHSRSRSRGRDERSRSRSRSRSPRGKSRGRNQRDGFYDDNSFGRRREYDWDERRHGDLVAPSATVVVKGLSQKTNEDDLNQILVQVPLHKLLNWLQAEWGPLRSVRVIKERSSGMSRGFAFIDFPTVEAARRMMEGVGDNGLLIDGRKVFFQYSSKPTSGMSGPSHGEENFTRYNYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDSLPADPTSPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFIHFHSVEEATKALEATNGITLEKNGQVLRVTYAKSTHGPVSGASQSNSLAAAAIEAASFSQQYDAIGWAPKEYNPDDKLNSNSEPQSSGSAPQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDGNAGVWYSYDQQTQQYVPCSEQNSSKAAGDMANTSTKTSESSGKNVVISAPAATIKQSEKTSLPEAVQAAASAALAAEKKEKERAKEIKLASKGSLLANKKKMNNVLAMWKQRNQEGQAGRAILDDKEPSNSADDKLNNLHNSTGFAVKAKPKSDVGNAKDMNSPASYNSLGRTAAPTEMIDSDIKPTPVSNSLGTTIMGVIRGSGRGIIRSDAAFHAPSDAGGADSFSNIPTSTCGLTANAGAPTSAPFKTELSALASYTPSGVSGSGKRRFSEAPGHSQYRDRAAERRNLYGSSSSLGSDNDGLDPTGEYPRRGPSEMGSMPFPPGVGERSSGEIGNTENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKTGSGIKEPVQAKSVDVRAGLGSQQRKSSDPSLEAQAGDSYKTIIQKKAMARFREMS >ORUFI02G05260.1 pep chromosome:OR_W1943:2:3368274:3368534:-1 gene:ORUFI02G05260 transcript:ORUFI02G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDTDDEAQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEVY >ORUFI02G05270.1 pep chromosome:OR_W1943:2:3376728:3385745:-1 gene:ORUFI02G05270 transcript:ORUFI02G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMNITTILEKMTGKDKDYRYMATSDLLSELNKEGFKADQDIEPKLTTTVLQQLEDASGDVSGLAVKCLAPLVKKVGEDRVVEMTNILCDKLLNGKDQHRDTASIALKTIIVEVTTTSLAEKILVSLAPQLIKGATAGKSAEVKCECLDILGDVLHRFGNLITKDHDSMLTALLSQLSSNQASVRKKSISCIASLAACLSDDLLAKATFEVVQLLKNRSAKSEIARTNIQMIGALSRSVGYRFGPHLAEAVPLLINYCTSASENDEELREYSLQALESFMLRCPRDISPYCEGILNLALEYISYDPNFTDSMEEDTDDEAQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIERFREREENVKIDIFNTFIELLRQTGNMTKGQGDIDDPRWLLKQEVPKVVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLADHIGSLVPGIEKALNDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIQALSGPILSAIGDRYYKVTAEALRVCGELVRVLRPNFEARTLDYRPYIGPIYKAILARLANQDQDQEVKECAISCMSLVVFTFGDGLQRELPACLPILVDRMGNEITRLTAVKAFAVIAKSPLRIDLSCVLDHVISELTAFLRKANRALRQATLGTLNSLVVAYGGQIGSSYETIIAELSTLISDMDLHMTALALELCCTIMVDRKSIQNVGLAVRYKVLPQALILIRSALLQGQALQALQRFFASLVQSANTSFDTLLDSLISTAKPSQSGGLAKQALSSIAQCVAVLCLAAGDQKCASTIEMLKGILKDDSATNSAKQHMALLCLGEIGRRKDLSNHAQIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILNQIDNQQKKQYLLLHSLKEVIARQSVDHTGQSELQDSNIEKILALLFNHCESEEEGVRNVVAECLGKIALIEPRKLIPALKERTSSPAANTRATVAIAIKYSIVERPGKIDEIMYSEISTFLMLIKDSDRHVRRAAVLALSTAAHNKPNLIKGLLPELLPLLYDQTVVKQELIRTVDLGPFKHVVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPIEKTINHKPKGDAVKQEVDRNEDMIRSALRAIAALSRISGNDYSMRFKNLMNKIMASPPLADKYNSVRSE >ORUFI02G05270.2 pep chromosome:OR_W1943:2:3376728:3385745:-1 gene:ORUFI02G05270 transcript:ORUFI02G05270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANMNITTILEKMTGKDKDYRYMATSDLLSELNKEGFKADQDIEPKLTTTVLQQLEDASGDVSGLAVKCLAPLVKKVGEDRVVEMTNILCDKLLNGKDQHRDTASIALKTIIVEVTTTSLAEKILVSLAPQLIKGATAGKSAEVKCECLDILGDVLHRFGNLITKDHDSMLTALLSQLSSNQASVRKKSISCIASLAACLSDDLLAKATFEVVQLLKNRSAKSEIARTNIQMIGALSRSVGYRFGPHLAEAVPLLINYCTSASENDEELREYSLQALESFMLRCPRDISPYCEGILNLALEYISYDPNFTDSMEEDTDDEAQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIERFREREENVKSTEPMLAILLLFSPRWLLKQEVPKVVKSINRQLREKSIKTKDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIQALSGPILSAIGDRYYKVTAEALRVCGELVRVLRPNFEARTLDYRPYIGPIYKAILARLANQDQDQEVKECAISCMSLVVFTFGDGLQRELPACLPILVDRMGNEITRLTAVKAFAVIAKSPLRIDLSCVLDHVISELTAFLRKANRALRQATLGTLNSLVVAYGGQIGSSYETIIAELSTLISDMDLHMTALALELCCTIMVDRKSIQNVGLAVRYKVLPQALILIRSALLQGQALQALQRFFASLVQSANTSFDTLLDSLISTAKPSQSGGLAKQALSSIAQCVAVLCLAAGDQKCASTIEMLKGILKDDSATNSAKQHMALLCLGEIGRRKDLSNHAQIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILNQIDNQQKKQYLLLHSLKEVIARQSVDHTGQSELQDSNIEKILALLFNHCESEEEGVRNVVAECLGKIALIEPRKLIPALKERTSSPAANTRATVAIAIKYSIVERPGKIDEIMYSEISTFLMLIKDSDRHVRRAAVLALSTAAHNKPNLIKGLLPELLPLLYDQTVVKQELIRTVDLGPFKHVVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPIEKTINHKPKGDAVKQEVDRNEDMIRSALRAIAALSRISGNDYSMRFKNLMNKIMASPPLADKYNSVRSE >ORUFI02G05270.3 pep chromosome:OR_W1943:2:3385747:3386638:-1 gene:ORUFI02G05270 transcript:ORUFI02G05270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAVTAKNTASSPSPSRRPPASPSRPLAAGEPAAWSRPPAAVPAVRYVVSGPGVLGSRRVLDSLDWTGDAALRSTSPHPPPASSSSATVISSAEKEKDFWILWIRFS >ORUFI02G05280.1 pep chromosome:OR_W1943:2:3387057:3387885:-1 gene:ORUFI02G05280 transcript:ORUFI02G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGCNTVGGERHRRVHGEVEVHGGMLEGVRPDSEREQWEVDELVDDGEHGEGEEELYDSDSPAATSVSPAPAAATTTVVVSHAKGSNSSAACKCVKRNDTIWGAWFFFTHYFKPVMLADKNGKAKAPTAVGTHDMENMYMWVFKERPENARGRCTCGEDAAAAAPPHLAPAGLLRHPDRQEKGSLPGRRRSSSIFLVRDEKEPAGWDDPKASAPEEEPAFMVSSLREWRLMAEQREL >ORUFI02G05290.1 pep chromosome:OR_W1943:2:3393336:3395432:-1 gene:ORUFI02G05290 transcript:ORUFI02G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPTPTATTGAVSAAAAAGENAGFRLVGHRRFVRANPRSDRFQALAFHHVELWCADAASAAGRFAFALGAPLAARSDLSTGNSAHASLLLRSASVAFLFTAPYGGDHGVGADAATTASIPSFSPGAARRFAADHGLAVHAVALRVADAADAFRASVAAGARPAFQPADLGGGFGLAEVELYGDVVLRFVSHPDGADAPFLPGFEGVSNPGAVDYGLRRFDHVVGNVPELAPVAAYISGFTGFHEFAEFTAEDVGTAESGLNSVVLANNAETVLLPLNEPVHGTKRRSQIQTYLDHHGGPGVQHIALASDDVLGTLREMRARSAMGGFEFLAPPPPNYYDGVRRRAGDVLSEEQINECQELGVLVDRDDQGVLLQIFTKPVGDRPTFFLEMIQRIGCMEKDESGQEYQKGGCGGFGKGNFSELFKSIEEYEKSLEAKQAPTVQGS >ORUFI02G05300.1 pep chromosome:OR_W1943:2:3397939:3399552:-1 gene:ORUFI02G05300 transcript:ORUFI02G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARGRRDRMGSAGGCGGSPPVVVRRYNRSEAPRMRWPEELHRRFVHAVRRLGGCHEATPKRIMQLMGAKGVSISHVKSHLQMYRNSNNSSNVNRRHPVTPQIDWTTTAQQDEQQRRQMSSFSFLATRTVPAAGIGSHSHQRPHRRQALHAGDDDGCELTLSISGGAAEESKDGGSSITDNDDELLIQPPAPNIINDDGSTRHGHRHPFACSTQPPPPAAINLELTISSPCCWLT >ORUFI02G05310.1 pep chromosome:OR_W1943:2:3403443:3406938:1 gene:ORUFI02G05310 transcript:ORUFI02G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSCFRGAGSDPAGSLRDPLVRESRIGEAFLDDETKVEASGTLDGDRGNGGSVDEELWREANYLKSCGAIGETPPEMLKGSNQITEEETNGELKGAAVSEENLSEGFNCDEHSALKHEQSDSPHPTPLVLRGDMQTPGTLNTAYKESLRSGKRARTNKQFIYPVLRPIENKLQWMELRDDSSPILSFNPPKRRYLSTDCSAKPQESITNSMATQTARITPASFSFHDITAGQDQGVISPEEHKSENDSRKLLDDADQLKYNADSERKGVASLSCWLKPPSSAGGSQSDTEGKVVKQRCYENSVFTDLPIFTASGLNWDNDKPTPVLPKVWDGNGIPNTTTKYKEDQKVSWHATPFEERLMKVLSDEKPHHQRKISGKLIQLDEETN >ORUFI02G05310.2 pep chromosome:OR_W1943:2:3403443:3406938:1 gene:ORUFI02G05310 transcript:ORUFI02G05310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSCFRGAGSDPAGSLRDPLVRESRIGEAFLDDETSGFSLPFSTGCPLQFFFFVFAVYDRIGAEGVRRFIWYFSAEVEASGTLDGDRGNGGSVDEELWREANYLKSCGAIGETPPEMLKGSNQITEEETNGELKGAAVSEENLSEGFNCDEHSALKHEQSDSPHPTPLVLRGDMQTPGTLNTAYKESLRSGKRARTNKQFIYPVLRPIENKLQWMELRDDSSPILSFNPPKRRYLSTDCSAKPQESITNSMATQTARITPASFSFHDITAGQDQGVISPEEHKSENDSRKLLDDADQLKYNADSERKGVASLSCWLKPPSSAGGSQSDTEGKVVKQRCYENSVFTDLPIFTASGLNWDNDKPTPVLPKVWDGNGIPNTTTKYKEDQKVSWHATPFEERLMKVLSDEKPHHQRKISGKLIQLDEETN >ORUFI02G05320.1 pep chromosome:OR_W1943:2:3410257:3415322:1 gene:ORUFI02G05320 transcript:ORUFI02G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSPPMPPMPPSSSSSLRDLLEQERCESHALAAAPRRARSGRHASPPPQRVAPEEEDDGGGGAAAVGAVVAMLSGYVGRFVKDEGFRRGLREKCAACLAPAASRRGAGHAVLANLELGIESIERLAADAASAQAQQRDAKIRSLRNSIRLLSVVASLHAPPQPPRHAAPTSAPAAAEAHTCGVPNSHLSACAQLYLSVVYKMERNDHVSARHLLQVFVDAPYLARKNLLPDLWDHVFLPHLLHLKVWFTAEADLAPDDRSRRMKTLQRLYNDHLNSGTAQFAIYYKEWLKSGGAEAPPPPSVPLPSMPGDFDAWDKHSSSLRRSSINSGLYNAVFGTAMEQEDVKDTKLEDDEMSQLVLETDVELEDNPGCLKMGRIAHSNMGLQEKHSVIRKEGNIPETAPTPRKSYSLRLFSCRGDLTRNVINHPKIPKKEAVSVEKDLECSELTMNLERAVSMVSSSDSLTQCEYAVQEVARACSNLREDPNLGTWLSCPSFIQGLLEVTFTSKDDLVLECAILIIGELILSNEVNRQIVLNADPQLEVFLRLLRSKELFLKAAIVLYLMKPKAKQMLSLDWIPLVLHILECGDEVQFLSSVKCAPKVAALYFLDQLLMGFDVDRNVENAKQMIALGGLDLLMNRIDGSDSRESKKCISLLTSCIQADGSCRHYLVDNLKKEPIVQLLVGNQKKASSAALNLLSELVCLNRTTQILEFLKELKNGGCLNTMHILLVYLQQAPIAQHPLAAVMLLQLDLLGDSSQYSVYREEAIDAMVAALEHGSHSRKLQEQCARALLLLAGRFSSSGEPIAEAWLLKRAGLDDSLSESFRRTEIFKDKSARVEEEKIVEERLKKLALMLLNSGNKKFLTALSNCISDGIPSLARACLITVTWMSSSLSPLHGCNTFQPLACSILATKLVDSLSYDRVLEERVLASLSLLNLVRHPECLEKLYPLKKDTVESLQDLAEVTWTAKELLFACCR >ORUFI02G05330.1 pep chromosome:OR_W1943:2:3418503:3421215:1 gene:ORUFI02G05330 transcript:ORUFI02G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIILELNHLKQVSLPTRPVVCKGLFIVIALIVLRAIVSPFLAVNPSEKEGFYDPTADLLPGIRRGKFIEVPQIIWGLNNQKIAFARACLTARFLNRSLLMPSLSASLFYKEVDLLRPITFDKVFDFTKFNARCQGFVRLARYSEVSNQTKPFKLQKGSGRRWTVEKDLDQLLQYRRGEADDSEVIEIIGKHPFLWPDHWPVKDYARIFDCLALVPEIETEVVKAISKIREAGIKARHEAGISHNKHVKDGTMNPPVPYIAVHMRIEKDWMIHCKKWEQRSNSKEICSSKEEIIHKVSQITDLRRPVVVYLAVADSLLEDDSITSGWRVGMVAFEKKRLGVTDIYNRQPYLIKSAIDFEVCARADVFVGNSFSTFSNLVVLSRTQRLYNMGEASSCGENVGLSSYAYNVIGDDGGPQRWMTDMSDTSLQNLSYGTNNISCH >ORUFI02G05340.1 pep chromosome:OR_W1943:2:3421696:3425502:-1 gene:ORUFI02G05340 transcript:ORUFI02G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPSIFFCCLALLLSFFLSFFPASSSPSRSSPLLSAADLLLREPELLLLPRAAGKNQQGSMSEQQDHASKSSCSSLSASTQESEEDVTVGTLLTEAKNSGRSLGKRLSHLDSIPHTPRVNGQIPDVNNATIDHETLLERLGTYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHVRKSVVKQLKEFRKHYEGYVPMEYKVYLKKMKRSGEWGDHVTLQAAADRFAAKICLLTSFRDTCLIEIVPRGATPTKELWLSFWSEVHYNSLYATEDLPNRKTRKKHWLF >ORUFI02G05350.1 pep chromosome:OR_W1943:2:3427040:3428965:-1 gene:ORUFI02G05350 transcript:ORUFI02G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSAAVAPAAGVVPPPQKAQAFAVAEAHAPTIPRRHLLLASAASTLPAAAAAASASAAAAPSFAEIPGSGGVKALDLREGPGEVPADGDQVAIHYYGRLAAKQGWRFDSTYDHKDETGDPMPFVFTVGAGNILDAPCDFFYGIVNLVNVSLLSVIPPVIPGIEAAVKSMRVGGLRRVIIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELISIRQHS >ORUFI02G05360.1 pep chromosome:OR_W1943:2:3430826:3434375:1 gene:ORUFI02G05360 transcript:ORUFI02G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRCAATTAHHSLLGSPTCFARPRRRCCPVRAAVAVQAEAQAKVSLIRIGTRGSLFEICKYDSPLALAQAHETRDKLKAAHSELAEEGAVEIVIIKTTGDMILDKPLADIGGKGLFTKEIDDALLQGRIDIAVHSMKDVPTYLPEGTILPCNLPREDVRDAFICLTASSLAELPAGSVVGSASLRRQSQILYKYPSLKVVNFRGNVQTRLRKLKEGDVHATLLALAGLKRLNMAETATSVLSVDEMLPAVAQGAIGIACRSSDDTMMNYLSSLNHEDTRLAVACEREFLSVLDGNCRTPIAAYASRDKDGNCSFRGLLASPDGSTVYETSRTGPYDFDIMVEMGKDAGHELKAKAGPGFFDSLQ >ORUFI02G05360.2 pep chromosome:OR_W1943:2:3430826:3434375:1 gene:ORUFI02G05360 transcript:ORUFI02G05360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRCAATTAHHSLLGSPTCFARPRRRCCPVRAAVAVQAEAQAKVSLIRIGTRGSPLALAQAHETRDKLKAAHSELAEEGAVEIVIIKTTGDMILDKPLADIGGKGLFTKEIDDALLQGRIDIAVHSMKDVPTYLPEGTILPCNLPREDVRDAFICLTASSLAELPAGSVVGSASLRRQSQILYKYPSLKVVNFRGNVQTRLRKLKEGDVHATLLALAGLKRLNMAETATSVLSVDEMLPAVAQGAIGIACRSSDDTMMNYLSSLNHEDTRLAVACEREFLSVLDGNCRTPIAAYASRDKDGNCSFRGLLASPDGSTVYETSRTGPYDFDIMVEMGKDAGHELKAKAGPGFFDSLQ >ORUFI02G05370.1 pep chromosome:OR_W1943:2:3434350:3435667:-1 gene:ORUFI02G05370 transcript:ORUFI02G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAAAAVTAAVDLSTAAADLGRVHLLPCGIKQNGAAAVSDYFKPKDTGVEVEGIRVEEAFFRGRKLQGATISLPDGYRGYVLEKRSGGKDMKKLEGEVSNFKSRAEFQNITYWNHDTTPSAEDPLPRCFHLLTVANAMHKPVTAEEMAYMSAMQNQSS >ORUFI02G05380.1 pep chromosome:OR_W1943:2:3436842:3440084:-1 gene:ORUFI02G05380 transcript:ORUFI02G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASFSPPLASPSRWSPPSRRLRLRVRAGSSLKEWGDFEDAVRSRDLPRALRFLQSVDVETPPAAAAAGAAVARVALPVPPGRDWEVLDACIDADDMRLVGRAYQFLADRGVLANFGKCKNIVLEGPREVTPTVLKEMTGLEAIKLAPKKWGLSGNSSYVLIGFLGGVSYLLNQGVDVRANLAAILGLVAADAMFLGGTCVAQISCFWPPYKRRILVHEAGHLLTAYLMGCPIRGVILDPFVALRMGIQGQAGTQFWDEKMEKELAEGHLSSTAFDRYCMILFAGIAAEALVYGEAEGGENDENLFRSLCILLDPPLSVAQMANRARWSVMQSYNLLKWHKKAHRAAVKALESGHSLSTVIRRIEEAISSDR >ORUFI02G05390.1 pep chromosome:OR_W1943:2:3443089:3446905:1 gene:ORUFI02G05390 transcript:ORUFI02G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSVGTLGEADLRGKKVFVRADLNVPLDDAQKITDDTRIRASVPTVKFLLEKGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVDVVMANDCIGEEVEKLAAALPEGGVLLLENVRFYKEEEKNDPEFAKKLAAVADLYVNDAFGTAHRAHASTEGVTKFLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDVLILGGGMIFTFYKAQGYAVGKSLVEEDKLELATSLIEKAKAKGVSLLLPTDVVVADKFAADAESKTVAASAIPDGWMGLDVGPDAIKTSSEALDTCNTIIWNGPMGVFEFEKFAAGTDAIAKKLADLTTTKGATTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALDEA >ORUFI02G05390.2 pep chromosome:OR_W1943:2:3443213:3446905:1 gene:ORUFI02G05390 transcript:ORUFI02G05390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSVGTLGEADLRGKKVFVRADLNVPLDDAQKITDDTRIRASVPTVKFLLEKGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVDVVMANDCIGEEVEKLAAALPEGGVLLLENVRFYKEEEKNDPEFAKKLAAVADLYVNDAFGTAHRAHASTEGVTKFLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDVLILGGGMIFTFYKAQGYAVGKSLVEEDKLELATSLIEKAKAKGVSLLLPTDVVVADKFAADAESKTVAASAIPDGWMGLDVGPDAIKTSSEALDTCNTIIWNGPMGVFEFEKFAAGTDAIAKKLADLTTTKGATTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALDEA >ORUFI02G05400.1 pep chromosome:OR_W1943:2:3457553:3457977:1 gene:ORUFI02G05400 transcript:ORUFI02G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWPLACTTTTDNIAAVGKGEGRRETKTVARGVCNMVVTSIHTWSLSYLSHLVVINHVAVCQFLPGAGKRLKMIPVLCLLVQNLSSTADDQSEF >ORUFI02G05410.1 pep chromosome:OR_W1943:2:3463712:3470552:-1 gene:ORUFI02G05410 transcript:ORUFI02G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRMTWLYDRHHSLKHNKAERQAILSTYRLAKRPNLSSEGMIGESCIVRTNCFSVHLESLDDQTIYEYDVCVTPEVGINRAVIRELVKQQKDSGLGGRLPAYDGRKRLYTSGPLPFDSHRFLVLLDSIEDSPEESRHLRVRDFVVTLKFAAKISLWTLRKFRGGKPNRESRAALRALDVVLKELPTARYTQFAGSFYSPNLGECRQLCKVLESWRGFHQRIQATQMGLQLNIDVSSSVFIKPVPVVDYVAQLLNEDILLDRPLCSTEFLKIKEALEGLKVQINGILFNTYHVQDLVHQAASFPVNFSIQYPSLPCLKVAHFGETIFLPLEVCKIAEGQCHQKQLNAKHMAALLQVARQPPNERDYNILQTVHQNKYQEDPHAKEFGIKIEEKLVSIKSRILPAPWLKFHDSGETTEFLPQLGIWNMMHKKMINGGRVKSWACVNFCWSVREYAARNFCYDLGFMCRESGMVFSVKPVLPLVIAKPGCVESALRTLHDDAMDILRPQGRKLDLLIVILPNNNGSLYGDVKRICETDIGLISQCCLAKHVLKMNKWYLASVALKINAKMGGRNTVLVDALEMRLPHVRDTPTIVFGAHVTHPHPGKANSSSIAAVVASQDWPEVTKYAGLISVQACHQESIQGLFKVQDDPERGTTTSGMIKEHLMSFYRATKRKPGRIIFYRDGVSKGQLPQALMHELGAIKMACASMGPDYNPLVTYVVLQKCRHTRLFADYYNANTHDSTANIRAGTVVDSNICQPNQFDFYLCSHRSTQGTKRPRYYHVLWDENDFLAGSFQELTNYLCYTSATCTQSISVVAPVHYARLLSSRARCYIKPRSIGDSTSHTSLPSEEDSSAASETGSLLPIKDNLKGAMFFC >ORUFI02G05420.1 pep chromosome:OR_W1943:2:3476057:3477820:1 gene:ORUFI02G05420 transcript:ORUFI02G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNQGKEEEEKQYRKVRVTYYGHESSSGTGRRRKIPAARSTRRSISQLGRRRREIPAARSAPPKGTSSREEEEVNAGRQEHSAATGTHREEEEANTGRQEHAAAATTGTNHNQNQDCIHRKEGGAPTSEGQEYQEEEIGEANRKDRQQQGHGMGSRGDGTRKWIGGTVTEEKGLPILLNLLPPTCLQLILAY >ORUFI02G05430.1 pep chromosome:OR_W1943:2:3487370:3492286:1 gene:ORUFI02G05430 transcript:ORUFI02G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFMELKERHDYVPPEDFITRVLPPEAFADSRDIPEYEVAFIRVPLYELRDPVDKGLMRKRIEEQRRREAERRRQLEKDMRRWEEDEEEEAWPWEDDDDDFLRMSQQLSVICSESRGKRGDWPNMKATRRGRRRTHALTPDNEEEEENLSELELAVENTRRRIHHCNCNHIPRHTLFTSHADVEELFLPFKLEEPYLCFASRCQKSAPSYAHFFPDFNRSPLMDTVECGNTEDTVLAKAITIATQSYQKMLYFQIEAAVQDCLELLKEMERMNDRYDFYHFIASEILFNNKSYEDVLKLIRDGHVELHYTLKEFSTPHADHHMDDLVHRLVGRTRAYMPTDAQRECGMFKDWVCQQVDKEYGSLGYLYMHFLDNKMRAWFAPFPEVLKDLKYSETHEWVSVEGDYATIGISDHAQDHLGYVASVELPEVGQSVSQGNKFGVVVSVKGTTRGINSPVSGEVVEVNDQLSDLPGLVNARPYETGWIIVVKISDSGELNSLMNDEDYSKFCKGEKDKTIYS >ORUFI02G05430.2 pep chromosome:OR_W1943:2:3487370:3492286:1 gene:ORUFI02G05430 transcript:ORUFI02G05430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFMELKERHDYVPPEDFITRVLPPEAFADSRDIPEYEVAFIRVPLYELRDPVDKGLMRKRIEEQRRREAERRRQLEKDMRRWEEDEEEEAWPWEDDDDDFLRMSQQLSVICSESRGKRGDWPNMKATRRGRRRTHALTPDNEEEEENLSELELAVENTRRRIHHCNCNHIPRHTLFTSHADVEELFLPFKLEEPYLCFASRCQKSAPSYAHFFPDFNRSPLMDTVECGNTEDTVLAKAITIATQSYQKMLYFQIEAAVQDCLELLKEMERMNDRYDFYHFIASEILFNNKSYEDVLKLIRDGHVELHYTLKEFSTPHADHHMDDLMPTDAQRECGMFKDWVCQQVDKEYGSLGYLYMHFLDNKMRAWFAPFPEVLKDLKYSETHEWVSVEGDYATIGISDHAQDHLGYVASVELPEVGQSVSQGNKFGVVVSVKGTTRGINSPVSGEVVEVNDQLSDLPGLVNARPYETGWIIVVKISDSGELNSLMNDEDYSKFCKGEKDKTIYS >ORUFI02G05440.1 pep chromosome:OR_W1943:2:3493053:3507732:1 gene:ORUFI02G05440 transcript:ORUFI02G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIKPNILLVVGRVIGGAGAGAPGVLDAAGEAGSSSSSLLLLSPSPDPRPPPPFHPLPGPFGIRCRLRDPSTSAGGASPPPISDYSVHAAKMANMNITTILEKRLNKEGFKADQDIEPKLTTTVLQQLEDTSGEVSGLAVGEDSVVEMTNILCDKLLNGKDQHRDTASIALKTIIAEVTTTTLAEKILVSLAPQLIKGATAGKSAEVKCECLDILGDVLHRFGNLITKDHDCMLTALLSQLSSNQASVRKKSISCIASLAACLSDDLLAKATFEVVQLLKNRSAKSEIARTNIQMIGALSRSVGYRFGPHLAEVVPLLINYCTSASENDDELCEYSLQANNFLLSNALESFMLRCPRDISPYCESILNLALEYISYDPNFTDSMEEDTDDEAQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIEWFREREENVKSTEPMLAILLLFSPRWLLKQEVPKVVKSINRQLREKSIKTKDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIQALSGPILSAIGDRYDKVTAEALRVCEELVRVLRPNSEPHSPDYRPYIGPIYKAILARLANQDQDQDQEVKECAISCMSLALSTFGDGLQRELPACLPVLVDRMGNEITRLTAVKEIEHNGQPLGLVKSPSSVVEQNEQILESIDDLTLIRYLIKWIPAQPWADGEIYLYSPDTHSWSSQGMKMKFFIMDEKYNMEAKGIYKKIDELIGCLLKPFKGRFIPPIWMHLIKDVISYMSNIDNLAASLGSIDSYVLETKPERIKVILFPFESREVNWRKDFATFLIEHLRNKWKSTMLDHFINILQKDFKIGYIQHHPLLQDFNQLSNMIRMTWKESKYLTVERRVLLSSTLNAIRNNIPWTSVTTMDAVVNEYISKATNDSLGLFMEIKKIAAHYIENRRKLNKEKGICNRKQVHPIEIIEEIWPVLKDLEYSENHEWVSVEGDYATIGISDHAQMQTRSAS >ORUFI02G05440.2 pep chromosome:OR_W1943:2:3493053:3507732:1 gene:ORUFI02G05440 transcript:ORUFI02G05440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIKPNILLVVGRVIGGAGAGAPGVLDAAGEAGSSSSSLLLLSPSPDPRPPPPFHPLPGPFGIRCRLRDPSTSAGGASPPPISDYSVHAAKMANMNITTILEKRLNKEGFKADQDIEPKLTTTVLQQLEDTSGEVSGLAVGEDSVVEMTNILCDKLLNGKDQHRDTASIALKTIIAEVTTTTLAEKILVSLAPQLIKGATAGKSAEVKCECLDILGDVLHRFGNLITKDHDCMLTALLSQLSSNQASVRKKSISCIASLAACLSDDLLAKATFEVVQLLKNRSAKSEIARTNIQMIGALSRSVGYRFGPHLAEVVPLLINYCTSASENDDELCEYSLQANNFLLSNALESFMLRCPRDISPYCESILNLALEYISYDPNFTDSMEEDTDDEAQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIEWFREREENVKVGAFSVLKELVVVLPDCLADHIGSLVPGIENALNDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIQALSGPILSAIGDRYDKVTAEALRVCEELVRVLRPNSEPHSPDYRPYIGPIYKAILARLANQDQDQDQEVKECAISCMSLALSTFGDGLQRELPACLPVLVDRMGNEITRLTAVKEIEHNGQPLGLVKSPSSVVEQNEQILESIDDLTLIRYLIKWIPAQPWADGEIYLYSPDTHSWSSQGMKMKFFIMDEKYNMEAKGIYKKIDELIGCLLKPFKGRFIPPIWMHLIKDVISYMSNIDNLAASLGSIDSYVLETKPERIKVILFPFESREVNWRKDFATFLIEHLRNKWKSTMLDHFINILQKDFKIGYIQHHPLLQDFNQLSNMIRMTWKESKYLTVERRVLLSSTLNAIRNNIPWTSVTTMDAVVNEYISKATNDSLGLFMEIKKIAAHYIENRRKLNKEKGICNRKQVHPIEIIEEIWPVLKDLEYSENHEWVSVEGDYATIGISDHAQMQTRSAS >ORUFI02G05440.3 pep chromosome:OR_W1943:2:3493053:3507732:1 gene:ORUFI02G05440 transcript:ORUFI02G05440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWIKPNILLVVGRVIGGAGAGAPGVLDAAGEAGSSSSSLLLLSPSPDPRPPPPFHPLPGPFGIRCRLRDPSTSAGGASPPPISDYSVHAAKMANMNITTILEKRLNKEGFKADQDIEPKLTTTVLQQLEDTSGEVSGLAVGEDSVVEMTNILCDKLLNGKDQHRDTASIALKTIIAEVTTTTLAEKILVSLAPQLIKGATAGKSAEVKCECLDILGDVLHRFGNLITKDHDCMLTALLSQLSSNQASVRKKSISCIASLAACLSDDLLAKATFEVVQLLKNRSAKSEIARTNIQMIGALSRSVGYRFGPHLAEVVPLLINYCTSASENDDELCPREFYAEVSKRYISIFYDPNFTDSMEEDTDDEAQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIEWFREREENVKVGAFSVLKELVVVLPDCLADHIGSLVPGIENALNDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIQALSGPILSAIGDRYDKVTAEALRVCEELVRVLRPNSEEVKECAISCMSLALSTFGDGLQRELPACLPVLVDRMGNEITRLTAVKEIEHNGQPLGLVKSPSSVVEQNEQILESIDDLTLIRYLIKWIPAQPWADGEIYLYSPDTHSWSSQGMKMKFFIMDEKYNMEAKGIYKKIDELIGCLLKPFKGRFIPPIWMHLIKDVISYMSNIDNLAASLGSIDSYVLETKPERIKVILFPFESREVNWRKDFATFLIEHLRNKWKSTMLDHFINILQKDFKIGYIQHHPLLQDFNQLSNMIRMTWKESKYLTVERRVLLSSTLNAIRNNIPWTSVTTMDAVVNEYISKATNDSLGLFMEIKKIAAHYIENRRKLNKEKGICNRKQVHPIEIIEEIWPVLKDLEYSENHEWVSVEGDYATIGISDHAQMQTRSAS >ORUFI02G05440.4 pep chromosome:OR_W1943:2:3493053:3507732:1 gene:ORUFI02G05440 transcript:ORUFI02G05440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWIKPNILLVVGRVIGGAGAGAPGVLDAAGEAGSSSAGPLRHPLPTPRPEHIRRRRLSATYLRLLTKMANMNITTILEKRLNKEGFKADQDIEPKLTTTVLQQLEDTSGEVSGLAVGEDSVVEMTNILCDKLLNGKDQHRDTASIALKTIIAEVTTTTLAEKILVSLAPQLIKGATAGKSAEVKCECLDILGDVLHRFGNLITKDHDCMLTALLSQLSSNQASVRKKSISCIASLAACLSDDLLAKATFEVVQLLKNRSAKSEIARTNIQMIGALSRSVGYRFGPHLAEVVPLLINYCTSASENDDELCPREFYAEVSKRYISIFYDPNFTDSMEEDTDDEAQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIEWFREREENVKVGAFSVLKELVVVLPDCLADHIGSLVPGIENALNDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIQALSGPILSAIGDRYDKVTAEALRVCEELVRVLRPNSEPHSPDYRPYIGPIYKAILARLANQDQDQDQEVKECAISCMSLALSTFGDGLQRELPACLPVLVDRMGNEITRLTAVKEIEHNGQPLGLVKSPSSVVEQNEQIGCLLKPFKGRFIPPIWMHLIKDVISYMSNIDNLAASLGSIDSYVLETKPERIKVILFPFESREVNWRKDFATFLIEHLRNKWKSTMLDHFINILQKDFKIGYIQHHPLLQDFNQLSNMIRMTWKESKYLTVERRVLLSSTLNAIRNNIPWTSVTTMDAVVNEYISKATNDSLGLFMEIKKIAAHYIENRRKLNKEKGICNRKQVHPIEIIEEIWPVLKDLEYSENHEWVSVEGDYATIGISDHAQMQTRSAS >ORUFI02G05440.5 pep chromosome:OR_W1943:2:3493053:3507732:1 gene:ORUFI02G05440 transcript:ORUFI02G05440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWIKPNILLVVGRVIGGAGAGAPGVLDAAGEAGSSSSSLLLLSPSPDPRPPPPFHPLPGPFGIRCRLRDPSTSAGGASPPPISDYSVHAAKMANMNITTILEKRLNKEGFKADQDIEPKLTTTVLQQLEDTSGEVSGLAVGEDSVVEMTNILCDKLLNGKDQHRDTASIALKTIIAEVTTTTLAEKILVSLAPQLIKGATAGKSAEVKCECLDILGDVLHRFGNLITKDHDCMLTALLSQLSSNQASVRKKSISCIASLAACLSDDLLAKATFEVVQLLKNRSAKSEIARTNIQMIGALSRSVGYRFGPHLAEVVPLLINYCTSASENDDELCEYSLQANNFLLSNALESFMLRCPRDISPYCESILNLALEYISYDPNFTDSMEEDTDDEAQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIEWFREREENVKILESIDDLTLIRYLIKWIPAQPWADGEIYLYSPDTHSWSSQGMKMKFFIMDEKYNMEAKGIYKKIDELIGCLLKPFKGRFIPPIWMHLIKDVISYMSNIDNLAASLGSIDSYVLETKPERIKVILFPFESREVNWRKDFATFLIEHLRNKWKSTMLDHFINILQKDFKIGYIQHHPLLQDFNQLSNMIRMTWKESKYLTVERRVLLSSTLNAIRNNIPWTSVTTMDAVVNEYISKATNDSLGLFMEIKKIAAHYIENRRKLNKEKGICNRKQVHPIEIIEEIWPVLKDLEYSENHEWVSVEGDYATIGISDHAQMQTRSAS >ORUFI02G05450.1 pep chromosome:OR_W1943:2:3508661:3512500:-1 gene:ORUFI02G05450 transcript:ORUFI02G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLLRPPTAAAAAAAAASSHRRNGTTSPRGPVLGLRALASRAGKARPVMAVASEQPAARGKCPKVAAPTTGPIPAAELLGVIQDAARAGAEVIMEAVNKPRNIHYKGVADLVTDTDKLSESVILEVVRKTFPDHLILGEEGGLIGDALSEYLWCIDPLVILPPLDGTTNFAHGYPSFSVSIGVLFRGKPAASTVVEFCGGPMCWSTRGGAYCNGQKIHVSKTDKVEQSLLVTGFGYEHDDAWVTNINLFKEYTDISRGVRRLGSAAADMSHVALGITEAYWEYRLKPWDMAAGVLIVEEAGGMVSRMDGGEFTVFDRSVLVSNGVVHDQLLDRIGPATEDLKKKGIDFSLWFKPDKYPTDF >ORUFI02G05450.2 pep chromosome:OR_W1943:2:3508661:3512500:-1 gene:ORUFI02G05450 transcript:ORUFI02G05450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLLRPPTAAAAAAAAASSHRRNGTTSPRGPVLGLRALASRAGKARPVMAVASEQPAARGKCPKVAAPTTGPIPAAELLGVIQDAARAGAEVIMEAVNKPRNIHYKGVADLVTDTDKLSESVILEVVRKTFPDHLILGEEGGLIGDALSEYLWCIDPLVILPPLDGTTNFAHGYPSFSVSIGVLFRGKPAASTVVEFCGGPMCWSTRTVSASSGGGAYCNGQKIHVSKTDKVEQSLLVTGFGYEHDDAWVTNINLFKEYTDISRGVRRLGSAAADMSHVALGITEAYWEYRLKPWDMAAGVLIVEEAGGMVSRMDGGEFTVFDRSVLVSNGVVHDQLLDRIGPATEDLKKKGIDFSLWFKPDKYPTDF >ORUFI02G05450.3 pep chromosome:OR_W1943:2:3508661:3512500:-1 gene:ORUFI02G05450 transcript:ORUFI02G05450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLLRPPTAAAAAAAAASSHRRNGTTSPRGPVLGLRALASRAGKARPVMAVASEQPAARGKCPKVAAPTTGPIPAAELLGVIQDAARAGAEVIMEAVNKPRNIHYKGVADLVTDTDKLSESVILEVVRKTFPDHLILGEEGGLIGDALSEYLWCIDPLGGGAYCNGQKIHVSKTDKVEQSLLVTGFGYEHDDAWVTNINLFKEYTDISRGVRRLGSAAADMSHVALGITEAYWEYRLKPWDMAAGVLIVEEAGGMVSRMDGGEFTVFDRSVLVSNGVVHDQLLDRIGPATEDLKKKGIDFSLWFKPDKYPTDF >ORUFI02G05460.1 pep chromosome:OR_W1943:2:3512580:3516136:-1 gene:ORUFI02G05460 transcript:ORUFI02G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAKVSGVRPDLCSCAITSSHLVALPDLACAASSSMWDFIVGDIPRCFSSSNTASASENTEYLYILRRSCSHPMCCIKEKISDAPCGNPARLWDNTTEPLSSTCKMVGEGMSAYWKVINRAEVLFLFSNPNESNYYSYMEYICK >ORUFI02G05470.1 pep chromosome:OR_W1943:2:3513432:3517518:1 gene:ORUFI02G05470 transcript:ORUFI02G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEAQCVPTEDTYALLLRAYCNAGSLHRAEGVISEMREHGIPPNATVYNAYLDGLLKARCTEKAVEVYQRMKRERCRANTETFTLMINVYGKAKQPMSSMKVFNEMKSIGCKPNICTYTALVNAFAREGLCEKAEEVFEEMQQAGHEPDVYAYNALMEAYSRAGLPQGASEIFSLMQHMGCEPDRASYNILVDAYGRAGLHEDAEAVFEELKQRGMSPTMKSHMLLLAAHARSGNATRCEEVMAQLHKSGLTPDTFALNAMLNAYARAGRLDDMERLLAAMERRGDADVGTYNVAVNAYGRAGYVGRMEAAFAAVAARGLAADVVTWTARMGAYARRKEYGRCVGMVEEMVDAGCYPDAGTARVLLAACSDERQVEQVTAIVRSMHKKPKTLFTI >ORUFI02G05480.1 pep chromosome:OR_W1943:2:3520855:3526831:1 gene:ORUFI02G05480 transcript:ORUFI02G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASRLLWASRAASYLKISTFPRAFSTVLKDLKYADTHEWVKVEGDSATVGITDHAQHHLGDVVYVELPEVGSSVSQGKNFGAVESVKATSDIYSPVSGEVVAVNDGLGDEPGLVNTSPYESGWIIKVKVSDSGELNSLMDDAKYSKFCEEEDSKH >ORUFI02G05490.1 pep chromosome:OR_W1943:2:3523010:3526764:-1 gene:ORUFI02G05490 transcript:ORUFI02G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGKSRKRRSSPSSGEEEERERKKRRDKKESRRSSRDEQAEEEEEEERRRRKKKKHGDRGKDKERDSKEKHSKEKEKSKRKHKDDDFKEISKDDYFAKNNEFATWLKEEKGKFFSDLSSESARDLFEKFVKTWNKGKLPSQYYEGITRGPRSAHKWNIKKSVC >ORUFI02G05500.1 pep chromosome:OR_W1943:2:3528312:3531224:-1 gene:ORUFI02G05500 transcript:ORUFI02G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENATNRQVTFSKRRGGLLKKANELAVLCDARVGVVIFSSTGKMFEYCSPTCSLRELIEHYQTVTNTHFEEINHDQHQLLNQQLDNLRRKEHILEDQNSFLCRMINENHHQAAVGGGDVKAMVEMAPVLSMLTAAPAYYGEESSSTALQLTPPLHAVDAAAAAGFRLQPTQPNLQDPGCSSSSFHAAAAGHGLQLW >ORUFI02G05500.2 pep chromosome:OR_W1943:2:3528312:3531224:-1 gene:ORUFI02G05500 transcript:ORUFI02G05500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENATNRQVTFSKRRGGLLKKANELAVLCDARVGVVIFSSTGKMFEYCSPTCSLRELIEHYQTVTNTHFEEINHDQHQLLNQQLDNLRRKEHILEDQNSFLCRMINENHHQAAVGGGDVKAMVEMAPVLSMLTAAPAYYGEESSSTALQLTPPLHAVDAAAAAGFRLQPTQPNLQDPGCSSSSFHAAAAGHGLQLW >ORUFI02G05510.1 pep chromosome:OR_W1943:2:3531230:3535508:-1 gene:ORUFI02G05510 transcript:ORUFI02G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGRRRAHRRLASLEESPVEAEGVDAWQRQLGSRREVTASEEGSKQVYPVPGELLMAMANLTNLAAKIGKEEETGWAHTFPLVQKKEKQKNTEIKLNSIRKV >ORUFI02G05520.1 pep chromosome:OR_W1943:2:3537971:3538820:1 gene:ORUFI02G05520 transcript:ORUFI02G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRMVKLLCTLLLALSLTAHYSDMSMKVSADCQSVNVPGPCSPTTCDDNCKSQIGAGAVGECTSGGCQCTFCTLPPPKKN >ORUFI02G05530.1 pep chromosome:OR_W1943:2:3541016:3541795:-1 gene:ORUFI02G05530 transcript:ORUFI02G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSQPQPVMGVATGGSQAYPPPAAAYPPQAMVPGAPAVVPPGSQPSAPFPTNPAQLSAQHQLVYQQAQQFHQQLQQQQQQQLREFWANQMEEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIVPRDEMKEEGLGLPRVGLPPNVGGAADTYPYYYVPAQQGPGSGMMYGGQQGHPVTYVWQQPQEQQEEAPEEQHSLPESS >ORUFI02G05540.1 pep chromosome:OR_W1943:2:3544641:3547753:-1 gene:ORUFI02G05540 transcript:ORUFI02G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANEMRSKGRMKLNNAEFYQAWSPHRETSGPPSPPPPPAAAAASLLVFLALTLLLLLLASF >ORUFI02G05550.1 pep chromosome:OR_W1943:2:3547831:3548223:-1 gene:ORUFI02G05550 transcript:ORUFI02G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRGGVGGQPPSSVAAAAVVARRCGCGLGRLVRRLRRQAALCAARPAPASSRLRGGRCQYDPLSYARNFDRGGVDVAGGLDDDSDAAQLYYSYTFSSRFVLPAAAAAAARAHPSSLAAGRTAPATATH >ORUFI02G05560.1 pep chromosome:OR_W1943:2:3550296:3557040:-1 gene:ORUFI02G05560 transcript:ORUFI02G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFKYWDDCLDPEDMQLMWADPHVSKEWIDAGEGPGQKVHLSRDPDGEAYLTQTEMMAVAAITVHKHFKSQLDPYMIGALAEIASGRRLFVDNYDRKTKEIKAGIMQVTIEVAQWLGRELGYKYYDIEEDANLLYWPFVNVYFGAAYAKWLFSCDDKERTEEFVVRAYKGGKKKAVHKSTSPIFQRYLYVKEALLSMRQPESFNDLTPNLLANSSSTEGQLIYWDSKVSEVDMDAMWSQPDVIKEWTKSGERRGNVRFSHDSKRRPYLSRVEVKAVAEITISRHLSSKGVTPEALAALAEVCSMRFVHAYLGWLSQYEGRERSHEFIVQAYLGGPENVSLQETGPFWNKFLEALRLYQDPKKLENSPQY >ORUFI02G05560.2 pep chromosome:OR_W1943:2:3550296:3557040:-1 gene:ORUFI02G05560 transcript:ORUFI02G05560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFKYWDDCLDPEDMQLMWADPHVSKEWIDAGEGPGQKVHLSRDPDGEAYLTQTEMMAVAAITVHKHFKSQLDPYMIGALAEIASGRRLFVDNYDRKTKEIKAGIMQVTIEVAQWLGRELGYKYYDIEEDANLLYWPFVNVYFGAAYAKWLFSCDDKERTEEFVVRAYKGGKKKAVHKSTSPIFQRYLYVKEALLSMRQPESFNDLTPNLLANSSSTEGQLIYWDSKVSEVDMDAMWSQPDVIKEWTKSGERRGNVRFSHDSKRRPYLSRVEVKAVAEITISRHLSSKGVTPEALAALAEVDCGHRAYTVSSVDDLYNPFTSMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPENVSLQETGPFWNKFLEALRLYQDPKKLENSPQY >ORUFI02G05560.3 pep chromosome:OR_W1943:2:3550296:3557040:-1 gene:ORUFI02G05560 transcript:ORUFI02G05560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFKYWDDCLDPEDMQLMWADPHVSKEWIDAGEGPGQKVHLSRDPDGEAYLTQTEMMAVAAITVHKHFKSQLDPYMIGALAEIASGRRLFVDNYDRKTKEIKAGIMQVTIEVAQWLGRELGYKYYDIEEDANLLYWPFVNVYFGAAYAKWLFSCDDKERTEEFVVRAYKGGKKKAVHKSTSPIFQRYLYVKEALLSMRQPESFNDLTPNLLANSSSTEGQLIYWDSKVSEVDMDAMWSQPDVIKEWTKSGERRGNVRFSHDSKRRPYLSRVEVKAVAEITISRHLSSKGVTPEALAALAELAFSCRDCGHRAYTVSSVDDLYNPFTSMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPENVSLQETGPFWNKFLEALRLYQDPKKLENSPQY >ORUFI02G05560.4 pep chromosome:OR_W1943:2:3550296:3557040:-1 gene:ORUFI02G05560 transcript:ORUFI02G05560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFKYWDDCLDPEDMQLMWADPHVSKEWIDAGEGPGQKVHLSRDPDGEAYLTQTEMMAVAAITVHKHFKSQLDPYMIGALAEIASGRRLFVDNYDRKTKEIKAGIMQVTIEVAQWLGRELGYKYYDIEEDANLLYWPFVNVYFGAAYAKWLFSCDDKERTEEFVVRAYKGGKKKAVHKSTSPIFQRYLYVKEALLSMRQPESFNDLTPNLLANSSSTEGQLIYWDSKVSEVDMDAMWSQPDVIKEWTKSGERRGNVRFSHDSKRRPYLSRVEVKAVAEITISRHLSSKGVTPEALAALAEAYLGGPENVSLQETGPFWNKFLEALRLYQDPKKLENSPQY >ORUFI02G05570.1 pep chromosome:OR_W1943:2:3562665:3567924:1 gene:ORUFI02G05570 transcript:ORUFI02G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLSAPFRAAAAAGSRASAAAADPAKVLRLRSAGSAQFTSIAASSSFARNIEPLRAIATQAPPAVPQYSSGEKTKVGINGFGRIGRLVLRIATSRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGSIKVVDDSTLEINGKKVTITSKRDPADIPWGNFGAEYVVESSGVFTTTEKASAHLKGGAKKVVISAPSADAPMFVVGVNEKSYDPKMNVVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAAQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRIEKSASYDDVKAAIKAASEGALKGILGYTDEDVVSNDFVGDARSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIAHMALVNAKH >ORUFI02G05580.1 pep chromosome:OR_W1943:2:3569092:3569749:1 gene:ORUFI02G05580 transcript:ORUFI02G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKVASIFASILILSVLVMSCDAAGLSCPTVRAPNPTCLSPQICANQCVAAGYLIGFCEFYGSRLGDCVCTKCTNAVQAGRPPTIAPTPAVRRLIL >ORUFI02G05590.1 pep chromosome:OR_W1943:2:3597611:3599703:1 gene:ORUFI02G05590 transcript:ORUFI02G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFSQDDCASHLSSFQVTVDSAMEAKIGATAMVLLLLAFGVVGEAKTHEYRSHTFKGVCIHDDLWKYCVRVRSRYDGSSPLNEKDERGNAKNTITVVERLGVTSLLVSFDAFFTQLRLS >ORUFI02G05600.1 pep chromosome:OR_W1943:2:3617438:3622998:-1 gene:ORUFI02G05600 transcript:ORUFI02G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLTPLAAGGGRGGADEMEEVALLGPESYDEEAAAAPGPEEEEGMRRVQVRVTGMTCSACTAAVEAAVSARRGVGGVAVSLLQSRARVVFDPALAKEEDIIEAIEDAGFEAELLPDSTVSQPKLQNTLSGQFRIGGMTCAACVNSVEGILKKLPGVKRAVVALATSLGEVEYDPSVISKDEIVQAIEDAGFEAALLQSSEQDKVLLGLMGLHTEVDVDILHDILKKMEGLRQFNVNLVLSEAEIVFDPEVVGLRSIVDTIEMESSGRLKAHVQNPYIRAASNDAQEASKMLHLLCSSLFLSHTDSPLVPLQQIPVFFIRMVCPRIHFTRSLLLMHLGPFYIGDLLKWILVSIVQFGVGKRFYVAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFHPPKYFETSAMIITFVLFGKYLEVLAKGRTSDAIKKLVELVPATALLLLKDKEGKYAAEKEIDASLIQPGDVLKVLPGSKVPADGTVVWGTSHVDESMVTGESAPISKEVSSIVIGGTMNLHGILHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVAGIFVPIVVTLSLVTFIAWFLCGSLGAYPNSWVDETSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVKYVIFDKTGTLTQGKATVTSTKVFSGIDLGDFLTLVASAEASSEHPLAKAILDYAFHFHFFGKLPSSKDDIKKRKQQILSQWLLEVAEFSALPGKGVQCLINGKKILVGNRTLITENGINIPEEAESFLVDLELNAKTGVLVAYDSELIGSIGMTDPLKREAVVVVEGLKKMGIYPVMVTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNIIAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVDFVDR >ORUFI02G05610.1 pep chromosome:OR_W1943:2:3631271:3632607:1 gene:ORUFI02G05610 transcript:ORUFI02G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFKLHIEHGQKTGIPDLQWYVQAVRAMLAGVTAFMPKVWIVFGKLHDMDAWMDMIDGSMDVTDEIIGTHATQ >ORUFI02G05620.1 pep chromosome:OR_W1943:2:3638550:3642268:1 gene:ORUFI02G05620 transcript:ORUFI02G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRPGWVGGLVEESFFVGCPAHESRKKNEKNIFCLACCTSICPHCAPSHRHHPLLQVRRYVYNDVVRLGDLEKLIECSYVQPYTINSAKVIFLKPRPQSRPFKGSGNVCLTCDRILQEPFHFCSLSCKVDHVMVHGGGDLSNIILLHPHNHPNTATASAFPRFEDLRVGADDDAAAITAVTPEGRYGGGGGGSSDNGGGDGGGGEAGEVKRKKKKGGGFFPQILGLGSRRKGAPHRSPLS >ORUFI02G05630.1 pep chromosome:OR_W1943:2:3644358:3654804:-1 gene:ORUFI02G05630 transcript:ORUFI02G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAHNAWISRVAEWLAPSSNGTAPSSCHRGAREPTWQEEGASHAGAAASLPLTRPEPVANRNRGGFWQGWLGDWVGRSVGRSHWTIYMYPVGTGVQRLPFHRRQSKLELQRLAAASKHLTAAALDTAPKSELRQPAGTTRLLLLHLHRDACQRMEVQIQLT >ORUFI02G05640.1 pep chromosome:OR_W1943:2:3660779:3663392:-1 gene:ORUFI02G05640 transcript:ORUFI02G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAMAPAAATGAVRVEKVRGRSAVTRCFAKYPLKLIAPSKAGRASSGAAWLYAITYGGGIVSGDIISCTVAVGDGCAAAMTTQASTKVYKAVDSKCSEQVLEARVGEDALFALIPDPVTCFSMARYHQKQVFHVFPNSNLVVVDWFTSGRYESGEKWNFSFYKSINHILLEDQPLFIDSVLLEQSSNFSIADRMQEYNVVAMVILLGPKLKHIQDQMQDEVKKMMSVQLRPPTSAGGRYSTRSQPLHPQRPPIIASCSPFGRMGTGMVARITAVSTESVYSFLRHHLAALEPFLGACPYPAS >ORUFI02G05640.2 pep chromosome:OR_W1943:2:3660779:3663392:-1 gene:ORUFI02G05640 transcript:ORUFI02G05640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAMAPAAATGAVRVEKVRGRSAVTRCFAKYPLKLIAPSKAGRASSGAAWLYAITYGGGIVSVSRRIASSSSPGLQSTNQPTGDIISCTVAVGDGCAAAMTTQASTKVYKAVDSKCSEQVLEARVGEDALFALIPDPVTCFSMARYHQKQVFHVFPNSNLVVVDWFTSGRYESGEKWNFSFYKSINHILLEDQPLFIDSVLLEQSSNFSIADRMQEYNVVAMVILLGPKLKHIQDQMQDEVKKMMSVQLRPPTSAGGRYSTRSQPLHPQRPPIIASCSPFGRMGTGMVARITAVSTESVYSFLRHHLAALEPFLGACPYPAS >ORUFI02G05650.1 pep chromosome:OR_W1943:2:3664504:3671066:1 gene:ORUFI02G05650 transcript:ORUFI02G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAATAAAAATPHPWQADASPRRHAACPALRGRRRLPVVRCQSSSVDDKPKSKRGLLDNASNLLTNLLSGGSLGAMPVAEGAVTDLFGRPLFFSLYDWFLEHGSVYKLAFGPKAFVVVSDPIVARHILRENAFCYDKGVLAEILKPIMGKGLIPADLDTWKQRRKVITPGFHALFIDAMVGVFTKCSERTIFKLEELIERGEHGEKYTIVDLEAEFSNLALDIIGLGVFNFDFDSVTKESPVIKAVYGTLFEAEHRSTFYIPYWNLPLTRWIVPRQRKFHSDLKVINDCLDSLIKNAKETRQEADVEKLQQRDYSSLKDASLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSVFLLAQNPSKMRKAHAEVDSVLSNETINVDQLKKLEYIRLIIVEALRLYPQPPLLIRRALRPDKLPGGYNGAKEGYEIPAGTDIFLSIYNLHRSPYFWDRPDEFEPERFSVPKKDESIEGWAGFDPDRSPGAMYPNEILADFAFLPFGGGPRKCVGDQFALLESTVALALLLQKFDVELRGSPDEVEMVTGATIHTKSGLWCRVRRRT >ORUFI02G05660.1 pep chromosome:OR_W1943:2:3677390:3678079:1 gene:ORUFI02G05660 transcript:ORUFI02G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAGGGMAAHWAALYGGGVNGGAAAGAEGTVSSPTSGGSPVAGGSPTRGGAAALPGIEGGRVAKPARRRSRASRRAPVTLLNTDTSNFRAMVQQFTGIPTPPYATAAAAGGPVISFGAGEYGGGAMPVRPSPTPASVMSFDHLGHHHRQAASSLQSQLFRPQHQHHHQYGGGGDVGYGGGGGDMFLHGFESSSAEERLLLQSIQAAQMLPAARPTTTSANNANGYNFG >ORUFI02G05670.1 pep chromosome:OR_W1943:2:3684212:3684975:-1 gene:ORUFI02G05670 transcript:ORUFI02G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAAAGGSQLPHRDRPQPHRIRMPDGGGVATCIPSWPKSRMELGLLLAPSPGAPTSMAGRTVRNSIDATGGGGYSSARSPASSAPSPPPPALNVSRQITGTLTDLTLRAIMGECGFRWREEFLKTLGEAQKKVTWFGVVGSRS >ORUFI02G05680.1 pep chromosome:OR_W1943:2:3687106:3692097:-1 gene:ORUFI02G05680 transcript:ORUFI02G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEKKKKNKQMDQEDGTKKMKLTKETKLPGTISAAETTKDIKLQTKKKEPIQIKGNTQSKTCIRDIIESEELKIKKTVEVPGSRYLQDFEKGKKSETIESEIDENKARLLYDQYIFLNENERLVSTIMLYKNEEYIYFFDE >ORUFI02G05690.1 pep chromosome:OR_W1943:2:3693711:3697707:1 gene:ORUFI02G05690 transcript:ORUFI02G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHAAAEAAPQSSQEEEEDWKEAEGGDGDVEVADRGGGGGAANGGIPEGRPIRVYADGIYDLFHFGHAKSLEQAKRLFPNTYLLVGCCNDELTHKYKGRTVMTEDERYESLRHCKWVDEVIPDAPWVVTEEFLNKHNIDFVAHDSLPYADASGAGNDVYEFVKKLGKFKETQRTDGISTSDIIMRIVKDYNEYVMRNLARGYTRKDLGVSYEKRLRVNMGLKNLRDKVKQHQEKVGEKWNTVAKLQEEWVENADRWVAGFLEKFEEGCHSMGTAIKERIQERLKAQSRDFSLLQYDGEDVDEDEDDDEDVRE >ORUFI02G05700.1 pep chromosome:OR_W1943:2:3698819:3702158:1 gene:ORUFI02G05700 transcript:ORUFI02G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRLVTVDVTGTLIAYKGQLGDYYCMAAKSAGMPCPDYKRMHEGFKAAYTEMTVKHPCFGHASNMPNIDWWKMCVKDSFIRAGYEYDDATFEKIFRRIYSTFGSSAPYSVFPDAQQFLRWLRNNGCTVGIVSNAEYRYKDVVLPALGLNEGSEWDFGVFSGIVGVEKPDRRMYEAALEMAGGVAAAEALHIGDSMRKDYAPARRAGMHALLLDRFRTAEAEGWRRSGAAVLPDLAAAREWLTAAAAPPATAAWRRSPSSQLTAESSE >ORUFI02G05700.2 pep chromosome:OR_W1943:2:3699237:3702158:1 gene:ORUFI02G05700 transcript:ORUFI02G05700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRLVTVDVTGTLIAYKGQLGDYYCMAAKSAGMPCPDYKRMHEGFKAAYTEMTVKHPCFGHASNMPNIDWWKMCVKDSFIRAGYEYDDATFEKIFRRIYSTFGSSAPYSVFPDAQQFLRWLRNNGCTVGIVSNAEYRYKDVVLPALGLNEGSEWDFGVFSGIVGVEKPDRRMYEAALEMAGGVAAAEALHIGDSMRKDYAPARRAGMHALLLDRFRTAEAEGWRRSGAAVLPDLAAAREWLTAAAAPPATAAWRRSPSSQLTAESSE >ORUFI02G05710.1 pep chromosome:OR_W1943:2:3702615:3710744:1 gene:ORUFI02G05710 transcript:ORUFI02G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRACGGASPAAAAAAVPALVRARLAKQASSAAHAAATATASASSSLSALGEVAAGRKGLARVVLKKGKTQIFRDGSPMVYSGAIDRIIGRPPPKTGDVVLVADGAEKPIGWGLYNSVSMFCVRLMQLEEEAKRDPTCALNMERLLEARILSAVDLRRSLGLPSVHTNAYRLINSEGDRLSGLIVDIFADVAVVASSAAWVEKYRHEIQFLVNKVSDVNHIKWRSSTDILKEEGLDVSEQKDPESSSHCGTVEREMAFMHSIQHINQLGFSDLTIFSSSYVMLPVVPTPLNCCSYFQVMENDVLYLVSLEGQKTGFYADQRENRHFISTLSKDQRVLDLCCYSGGFALNAAKGGANNVIGIDSSASALDLANKNIILNKLDTQRISFVKEDATAFMKGAISRNEVWDLVILDPPKLAPRKKVLQSASGMYRSLNALAMQVVKPGGLLMTCSCSGAMTQSGLFLRTIQGAASMTGRKVTVLRQAGAACDHPVDPAYPEGRYLSNYLLRVT >ORUFI02G05710.2 pep chromosome:OR_W1943:2:3702615:3710744:1 gene:ORUFI02G05710 transcript:ORUFI02G05710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRACGGASPAAAAAAVPALVRARLAKQASSAAHAAATATASASSSLSALGEVAAGRKGLARVVLKKGKTQIFRDGSPMVYSGAIDRIIGRPPPKTGDVVLVADGAEKPIGWGLYNSVSMFCVRLMQLEEEAKRDPTCALNMERLLEARILSAVDLRRSLGLPSVHTNAYRLINSEGDRLSGLIVDIFADVAVVASSAAWVEKYRHEIQFLVNKVSDVNHIKWRSSTDILKEEGLDVSEQKDPESSSHCGTVEVMENDVLYLVSLEGQKTGFYADQRENRHFISTLSKDQRVLDLCCYSGGFALNAAKGGANNVIGIDSSASALDLANKNIILNKLDTQRISFVKEDATAFMKGAISRNEVWDLVILDPPKLAPRKKVLQSASGMYRSLNALAMQVVKPGGLLMTCSCSGAMTQSGLFLRTIQGAASMTGRKVTVLRQAGAACDHPVDPAYPEGRYLSNYLLRVT >ORUFI02G05720.1 pep chromosome:OR_W1943:2:3705046:3710345:-1 gene:ORUFI02G05720 transcript:ORUFI02G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGQEAGSSSGGSGDGGAAAPRRNTRKPKYSKFTQQELPACKPILTPKWVISVFVLVGVIFVPIGLVSLKASRKVVEIVDRYDDACVPANTTDKLAYIQNPTISKNCRRTLKVPKDMDAPIFVYYQLDNFYQNHRRYVKSRSDAQLRDPKKANDTSTCDPEGTANGMAIVPCGLIAWSIFNDTYGFVRNSKNLPVDKKDISWKSDREHKFGRDVFPKNFQNGSLIGGKTLDPNKSLSEQEDLIVWMRTAALPTFRKLYGRIHTDLKKGDTITVTLENNYNTYSFSGKKKLVLSTSTWLGGKNDFLGLAYLSVGGLCFFLAFAFTLLYLIKPRKMGDNNYLSWNRNPAGRHSEQVIAEISAFRDNILIGKV >ORUFI02G05730.1 pep chromosome:OR_W1943:2:3712892:3721118:1 gene:ORUFI02G05730 transcript:ORUFI02G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAMRRAAALGARHILAASSTSSSGVLLRRHMSVDAGAAMEKVRAAGLLRTQGLIGGKWVDAYDGKTIEVQNPATGETLANVSCMGSKETSDAIASAHSTFYSWSKLTANERSKALRKWHDLIISHKEELALLMTLEQGKPMKEALVEVTYGASFIEYFAEEAKRIYGDIIPPTLSDRRLLVLKQPVGVVGAVTPWNFPLAMITRKVGPALACGCTVVVKPSEFTPLTALAAADLALQAGIPAGAINVVMGNAPEIGDALLQSTQVRKITFTGSTAVGKKLMAGSANTVKKVSLELGGNAPCIVFDDADIDVAIKGSLAAKFRNSGQTCVCANRILVQEGIYEKFASAFIKAVQSLKVGNGLEESTSQGPLINEAAVQKVEKFINDATSKGANIMLGGKRHSLGMSFYEPTVVGNVSNDMLLFREEVFGPVAPLVPFKTEEDAIRMANDTNAGLAAYIFTKSIPRSWRVSEALEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGMDEYLELKYICMGNLN >ORUFI02G05730.2 pep chromosome:OR_W1943:2:3712892:3721118:1 gene:ORUFI02G05730 transcript:ORUFI02G05730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAMRRAAALGARHILAASSTSSSGVLLRRHMSVDAGAAMEKVRAAGLLRTQGLIGGKWVDAYDGKTIEVQNPATGETLANVSCMGSKETSDAIASAHSTFYSWSKLTANERSKALRKWHDLIISHKEELALLMTLEQGKPMKEALVEVTYGASFIEYFAEEAKRIYGDIIPPTLSDRRLLVLKQPVGVVGAVTPWNFPLAMITRKVGPALACGCTVVVKPSEFTPLTALAAADLALQAGIPAGAINVVMGNAPEIGDALLQSTQVRKITFTGSTAVGKKLMAGSANTVKKSLKVGNGLEESTSQGPLINEAAVQKVEKFINDATSKGANIMLGGKRHSLGMSFYEPTVVGNVSNDMLLFREEVFGPVAPLVPFKTEEDAIRMANDTNAGLAAYIFTKSIPRSWRVSEALEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGMDEYLELKYICMGNLN >ORUFI02G05740.1 pep chromosome:OR_W1943:2:3726611:3728719:-1 gene:ORUFI02G05740 transcript:ORUFI02G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGSKKGGGGGAAVSSGDGGGGRAAAAMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFSEHSVKEAAAMEMQRNAASSSGIMGRSMNHDRNVNDAIRMQMEVQRRLHEQLEVQKHLQMRIEAQGKYMQSILEKAYQTLAAGDVAAAVACGPAGYKSLGNHQAAVLDVCSMGFPSLQDLHMYGGAGGGHLDLQQQQPPASTMESFFACGDGGGSLGKTAAKTRHYGGAGKSPMMWGVDDDDDDDDPAGKCGGGGHHQLQMAPPPMMDGGIDVMDSLAADVYETKPIMSGDSTGSKGGGYDVAAAASKLERPSPRRPPQLGSPSVMAGAQTRNLSYG >ORUFI02G05750.1 pep chromosome:OR_W1943:2:3740787:3744818:1 gene:ORUFI02G05750 transcript:ORUFI02G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWMPPPKPTSPRSPPLLWDWADAAVPGSSSGEVSAAAAHPGRRRKEKRGRAEEGGGGGGEVRCQVEGCGVELVGVKDYHRKHRVCEAHSKFPRVVVAGQERRFCQQCSRFHALSEFDQKKRSCRRRLYDHNARRRKPQTDVFSYASARPPSSLLFDDNRQISFVWNKAPLSHVRPFAISPWESSSEVGTTDGHIYLDKSHISKSLPAFNTDIDELLPMKGPDASLTASKFDGAPDLQRALSLLSSSSCGLPDPVQQASRVIQFTGASQNNRELPPLNGGNSASASCANVQTIAQPAQLVRFTMDASSNACQSNFFGLNQIN >ORUFI02G05760.1 pep chromosome:OR_W1943:2:3745094:3745659:-1 gene:ORUFI02G05760 transcript:ORUFI02G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGRKQGRMKKEAISMYSLVPAHRCMTATEFSSTESRHKISATRSRVKTDLYQHNCDGDMLLLPSPDS >ORUFI02G05770.1 pep chromosome:OR_W1943:2:3745687:3747646:-1 gene:ORUFI02G05770 transcript:ORUFI02G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQPRFHDMIGGGGKGMQDNEINGLFNMPSYHKFVEGSQMSVDSADGFNMANYVGGSVAMSVDNSSVGSNESRTVILKHPGLRDAPTASYSVGNSVFRPNRVAAHTLNEDALARVLMDPSHPTEILSNYEEWAIDLGRLDMGVPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAQALEQQFVQEVMMLSRLRHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLRLAVKQALDIARGMAYVHALGFIHRDLKSDNLLIAADKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNKGARPVIPQDCLPALSHIMTLCWDANPEVRPAFTDIVCMLESAEMEILSNVRKARFRCCITEPMTTD >ORUFI02G05780.1 pep chromosome:OR_W1943:2:3747677:3748517:-1 gene:ORUFI02G05780 transcript:ORUFI02G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEGGPKAKPKRGGEAKPTQPHANPLGIRLGFPPLPLPLAAAARRILLRRRRAAGVGAASWSDQLFLLLRREGSGSDNQGISCTRMNSLPRLK >ORUFI02G05780.2 pep chromosome:OR_W1943:2:3747677:3748404:-1 gene:ORUFI02G05780 transcript:ORUFI02G05780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTTCSSLSLSFPTCGVVVSALRSPEKQKGRRSQANPTTRQSTRNPPRVSSSSSSPRGCCSADSAAEEEGGGGSGSDNQGISCTRMNSLPRLK >ORUFI02G05790.1 pep chromosome:OR_W1943:2:3756857:3760248:1 gene:ORUFI02G05790 transcript:ORUFI02G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEAFIHGGSGGGDADADHPLGIFSAADLSGFGFADSSTITGGIPNHIWPQSQNLNARHPAVSTTIESQSSICAAASPTSATNLNMKESQTLGGTSGSDSESESLLDIEGGPCEQSTNPLDVKRVRRMVSNRESARRSRKRKQAHLADLESQVDQLRGENASLFKQLTDANQQFTTSVTDNRILKSDVEALRVKVKMAEDMVARGALSCGLGHLGGLSPALNPRQACRVPDVLAGLDYAGDDPFTAGLSPPEQLQMPGGEVVDAWGWDNHPNGGMSK >ORUFI02G05800.1 pep chromosome:OR_W1943:2:3760095:3763002:-1 gene:ORUFI02G05800 transcript:ORUFI02G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKKVEEEAAVKTCHDRRSFVKKAIAQRNLLASSHVAYAHSLRRVSLALFYCLAEDEHLYFLQDTAASSAAPCRHRPCSPERKVLVMNWLRPDAGGVGGGAPVHPVVEVEQRWEENDVAAETVTVDGFFGADPGQLFHPSSYAPVNAMPASPPPPPPTTTWDFVSWDPFSSLHHDHQQYVSYGVEDDEERRRRSDDEDDEQMPELEEESDDAADDDDGDGDVKLQAEASPAAVERPMAEEEEEEKTVDRVKNELRVVASAEIEQQSTPGFTVYVDRPPASMAEAMRDIQGHFVKIVDTANHVSVLLEVVPYQRKVRPAAPSDGDDEEGGGEVSPEPFELFKSHKESLDRLYEWEKRLYEEVKAGERVRLSYERKCALLRSQDANGAEPSAIERTRAAMRDLRTKLDISITSVDAVSKRIAAVRDDELLPQLAQLIRGQEQCQSIHRSDLLRCFFLFFLLICLRCFRLARMWMVIADAHRVMKRTADEACALLSSSSAAAARAAAGGEGGVRGPPPPPGQARAATAAGALGAELRGWGAAMEAWAESQRGYAAALWGWARSCVADGEHMPRLLAAWAAAVEAVDVEAATRAVDALAAEAAAVATAARRRGGEEEWNEEEGKKRICVGLAAGLAATAEAGGLASAAYGELVVEMEERERAREMAGRDEEQNQN >ORUFI02G05810.1 pep chromosome:OR_W1943:2:3767202:3775123:1 gene:ORUFI02G05810 transcript:ORUFI02G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGDRITTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDALWHFKPEKLGVGDIITGGDLYAIVNENTLMQHKVALPPGAMGKISYIAPAGQYSLQDTVLELEFQGIKKEFTMLQTWPVRSPRPVMEKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSQAVVYVGCGERGNEMAEVLMDFPQLTMTTEDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYYRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVRCLGSPKRDGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALEPHYEREDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKYCPFYKSVWMMRNIIHFNTLATQAVERAAGADGQKITYSVIKHRMGDLFYRLVSQKFEDPAEGKEVLIAKFQKLYDDLTAGFRNLEDEAR >ORUFI02G05820.1 pep chromosome:OR_W1943:2:3776549:3781243:1 gene:ORUFI02G05820 transcript:ORUFI02G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASQVWQRGSKDMTAMPPPRQRGAAKKPMWIIVLLSLVCVALIGAYAYPPRRYSACYFFASSVCTPFKDWLPTVTRRERTDEEIVSSVVMRDLLAMPMPVSKNPKIALMFLTPGSLPFEKLWEKFLQGHEGRYSIYIHASRERPVHSSSLFVGREIHSEKVVWGRISMVDAEKRLLANALEDVDNQFFVLLSDSCVPLHTFDYIYNFLMGTNVSFIDCFLDPGPHGSGRYSVEMLPEIEQRDFRKGAQWFAVTRRHALLILADHLYYNKFELYCKPAEGRNCIADEHYLPTLFNMVDPGGISNWSVTHVDWSEGKWHPRSYRAIDVTYALLKNITAIKENFRITSDDKKVVTMTPCMWNGTKRPCYLFARKFYPEALNNLLKHSSYTST >ORUFI02G05820.2 pep chromosome:OR_W1943:2:3776549:3781243:1 gene:ORUFI02G05820 transcript:ORUFI02G05820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASQVWQRGSKDMTAMPPPRQRGAAKKPMWIIVLLSLVCVALIGAYAYPPRRYSACYFFASSVCTPFKDWLPTVTRRERTDEEIVSSVVMRDLLAMPMPVSKNPKIALMFLTPGSLPFEKLWEKFLQGHEGRYSIYIHASRERPVHSSSLFVGREIHSEKVVWGRISMVDAEKRLLANALEDVDNQFFVLLSDSCVPLHTFDYIYNFLMGTNVSFIDCFLDPGPHGSGRYSVEMLPEIEQRDFRKGAQWFAVTRRHALLILADHLYYNKFELYCKVCSSFIDC >ORUFI02G05820.3 pep chromosome:OR_W1943:2:3776576:3781243:1 gene:ORUFI02G05820 transcript:ORUFI02G05820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKASQVWQRGSKDMTAMPPPRQRGAAKKPMWIIVLLSLVCVALIGAYAYPPRRYSACYFFASSVCTPFKDWLPTVTRRERTDEEIVSSVVMRDLLAMPMPVSKNPKIALMFLTPGSLPFEKLWEKFLQGHEGRYSIYIHASRERPVHSSSLFVGREIHSEKVVWGRISMVDAEKRLLANALEDVDNQFFVLLSDSFLDPGPHGSGRYSVEMLPEIEQRDFRKGAQWFAVTRRHALLILADHLYYNKFELYCKPAEGRNCIADEHYLPTLFNMVDPGGISNWSVTHVDWSEGKWHPRSYRAIDVTYALLKNITAIKENFRITSDDKKVVTMTPCMWNGTKRPCYLFARKFYPEALNNLLKHSSYTST >ORUFI02G05830.1 pep chromosome:OR_W1943:2:3782328:3783347:1 gene:ORUFI02G05830 transcript:ORUFI02G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLSNRFHCPAVNVERLWSMVPAEAGAGAGKAPVIDVTQFGYTKVLGKGMLPPEEAHRRRGPSSSRPSSSPRSPRRRSRPPAAPSSSPPRLYHCIWDQH >ORUFI02G05840.1 pep chromosome:OR_W1943:2:3783554:3787715:-1 gene:ORUFI02G05840 transcript:ORUFI02G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRRKAYGALKDSTKVGLAKVNSDFKELDIAIVKATNHVECPPKDRHVRKIFVATSINRPRADVQYCIYALSRRLSKTKNWTVALKTLIVVHRLLREGDPTFKEEFLAYSYKGSVLQRANFKDDSSQLAWDCSAWVRAYALFLEERLECFRILKFDIETERLMRSPECSSKAHSRTRTLPCIELLEQLPALQQLLFRLIGCQPEGAAGTNYLIQYALALVLKESFKIYCAINDGIINLVDMFFDMPKYDAIKALVVYKRAGQQAEDLSDFYDSCKQLELARTFQFPTLRQPPPSFIATMEEYIREAPRPSINSVENGERKLVTYDQEATEESEKPAEEEKNEPAESEQEQEPKQEPKPPETTGDLLNLDAEVSPLVAELEENNAWALAIVGTGDQTKASTSLDLFSGNTSGWELALAGGFDKLLLDSLYEDETRRRQIAGVTYTGSIGGGAPNPFDTNDPFATSSSFLPPSNVQFAILNQQQHQYYQSQHQQQYYQPHHFQDHRHHHNMYFQTHYQQNQIYQQQQQQQHQYPAPQAGSSNPFGDPFGDLVPMAMAASQKHGHSSLI >ORUFI02G05850.1 pep chromosome:OR_W1943:2:3789034:3789333:1 gene:ORUFI02G05850 transcript:ORUFI02G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALISEIRGMKVREVPGYLKPRLSWENVKKSSDQAVDRYIDKYIETSSPEPLFHVIYGLMAFSYLINPGGAGPRRRRPPLDPRSGEGSPVSLPPLI >ORUFI02G05860.1 pep chromosome:OR_W1943:2:3791943:3794340:-1 gene:ORUFI02G05860 transcript:ORUFI02G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLANYASSDDEADETLPTPPAAAAARPKPPSGGGIFSSLPQPKSALLFSSLPAPKSGPVFSAIPPPKSSSGNPKRVVQFRPPPIRQPTGESSDEEDDDAEKRRPSEAEPRPPVSAGTGPVSSFLPPPKRSLGLGGGGAARRSAIDTAAPERSNVVAAGPSSSAVNAIAPERPDTTSADDEDDESNGSSDDDEMPVPEEQQEQLAVDSEAGQQQQNQQQSYDAGVGSSNGQEGYAWDPNYYANYGANYGWDPSGNVNYGTEAQYAAYGGEHGGVYGNTYGVEHGDGYGHSTEMAYGGGYAGGYEHNAAAATAPPIQQPILPPEVGRIGGKRGRSDMPAEILEVNQAELMKNRPREDKSKLTGMAFGPSYQPAPSAKGKPSKLHKRKHQIGSLFYDMKQKEMELAERRSKGFLTKAETQAKYGW >ORUFI02G05870.1 pep chromosome:OR_W1943:2:3797760:3798425:-1 gene:ORUFI02G05870 transcript:ORUFI02G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGNGKCGGGGAGCELCGGVAAVHCAADSAFLCLVCDDKVHGANFLASRHRRRRLGVEVVDEEDDARSTASSSCVSTADSASSTAAAAAVESEDVRRRGRRGRRAPRAEAVLEGWAKRMGLSSGAARRRAAAAGAALRAVGRGVAASRVPIRVAMAAALWSEVASSSSRRRRRPGAGQAALLRRLEASAHVPARLLLTVASWMARASTPPAAEEGWAECS >ORUFI02G05880.1 pep chromosome:OR_W1943:2:3810041:3816846:-1 gene:ORUFI02G05880 transcript:ORUFI02G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAAGGRCCFRRGAPAAVLVLPLLLLIAAAALPRRGAAGAVAAINGLYVALGSPALPKWTANGGDPCGDGWQGVVCIGSNIDSIIFNAANLEGQLGSLGNFTSITTINLSNNNIGGTIPEDLPVTLQHFFMSDNQLTGSIPTSLSMLQSLTDMSLNDNHLDGKLPDAFGSLTGLVNLHMQDNQLSGTLDVLQDLPLKDLKDGNPFNTSIAPSASPSSTPTGSTPTQTPSSPSSSGTPSPSSSPSNSSGGSTARDSSSPSSRKHKSSTLRIVGYVLLAIVLFIVTVLLVIFCLSKYQERQSRRDYTTSQVGRVHQRVEEPKVKQASVQSRNDAKKGSTEVPERRQVREINLAVPAALEKPPEKRKEHVINLQRSETEIFASTPPPPPPPPPPPPPPPPTPPPPPPRPPPPPPPPPPVEKVIVNPIVKPEKRVSTPPRTGPSTSATSFSVASLQQYTNSFEEGNLIRESRLGKVYLAELPEGRFLEVMKIDNANDRIPVDEFLELVASVSDIRHPNILELVGYCAEYGQRLLVYNHFSRKTLHDVLHEGEELDGALSWNARLQVALGAAKALDYLHESCEPPVVHQNFEPANVLLGNGFSVRVAECGLAELTLSGSVTQLSGRMRALLNYEAPEIHEAGTFTYRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWADSQFHDIESISKMVDPSIQGECSEKVLSRFADIISRCIRPEPEFRPSMSEIVQDLARIISVTSEESE >ORUFI02G05890.1 pep chromosome:OR_W1943:2:3824586:3825062:-1 gene:ORUFI02G05890 transcript:ORUFI02G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKDSDDVAAVYTAVMDHVVGEVHGDLVAQGVGDGVELAGVLAAVRARWEAKLARRRGGAALDGDGDGDGDGAPPEYKPAAGGGYCCDAPSSGPHHHDAVVKEEEEVAAAVDDDGAFFPAAAAAPETSNDGAASRAVVRRDLLGTLGAKRKRDTCN >ORUFI02G05900.1 pep chromosome:OR_W1943:2:3827460:3828491:-1 gene:ORUFI02G05900 transcript:ORUFI02G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSISDEDDLVGGAVGGPRGAPVKEIAFAAALLAFGALGAIGGVLMAANNVGGDRAHGIFFMILGIVMFIPGFYYTRIAYYAYKGYKGFSFSNIPPI >ORUFI02G05910.1 pep chromosome:OR_W1943:2:3829064:3829375:-1 gene:ORUFI02G05910 transcript:ORUFI02G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEFFTFVAWFAPTLPIMPIFDLTEKSAKAPTKCLSCMQPNVLQQLAMDWVFGADRRAPPWRIWGKERGDGKRGRGKRRPFTCGVCCWLRQVKHGQLSMLTTI >ORUFI02G05920.1 pep chromosome:OR_W1943:2:3833036:3833419:-1 gene:ORUFI02G05920 transcript:ORUFI02G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELDLLSAQLPPIRTTAAAAAAEMPEFEEVVLCSTPTAAASVLRAPSVCPPAPRKPPRPAAKRRKKDARFSRSCYCCGRRRGGRAPAAAAFVAVPDDLAKVFVPRRPVPCRPPLDGKKIGVHVVG >ORUFI02G05930.1 pep chromosome:OR_W1943:2:3838907:3851664:-1 gene:ORUFI02G05930 transcript:ORUFI02G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVQAPEASPGRYHRRRDDDDGNGNDCSDVLGVDVLDEGADPFDIPAKRASVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGQKHDVDRELPGLANLLKTNTEKGVHGDEVDLACRANAFGANRYPRKKGRSFLVFLWEACQDLTLVILIIAAVISLVLGIATEGIKEGWYDGASIAFAVFLVILVTAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRIEVSIFDIVVGDVVALKIGDQVPADGVLVSGHSLAIDESSMTGESKIVVKDHKSPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLIVLVARYFTGHTTNPDGSIQFVKGQTSVKSTIFGTIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSVVGGIKLKSPADIENLSPVVSSLILEGIAQNSSGSVFEPEDGSPIEITGSPTEKAILSWGVELHMKFAEEKSKSSIIHVSPFNSEKKRAGVAVIVDDSDIHVHWKGAAEIVLALCTNWLDVNGISHEMTPDKANQFKKYIEEMAEESLRCVAFAYRNLDLNYVPNEEERINWELPDNELALIGIVGMKDPCRPGVRNAVDLCKNAGVKVRMVTGDNLQTARAIALECGILTDSQASQPVIIEGKVFRAYSDAEREAVADQISVMGRSSPSDKLLLVKALKKKGNVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRPPVGRKEPLVTNIMWRNLFIQAVFQVTVLLTLNFRGRDLLHLTQDTLDHANKVFNEFNSRKPYELNIFDGVSRNHLFLAVVSITVVLQITKDQLHLHLHLHLCDCACPSDQNYQL >ORUFI02G05930.2 pep chromosome:OR_W1943:2:3838907:3851664:-1 gene:ORUFI02G05930 transcript:ORUFI02G05930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVQAPEASPGRYHRRRDDDDGNGNDCSDVLGVDVLDEGADPFDIPAKRASVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGQKHDVDRELPGLANLLKTNTEKGVHGDEVDLACRANAFGANRYPRKKGRSFLGIKEGWYDGASIAFAVFLVILVTAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRIEVSIFDIVVGDVVALKIGDQVPADGVLVSGHSLAIDESSMTGESKIVVKDHKSPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLIVLVARYFTGHTTNPDGSIQFVKGQTSVKSTIFGTIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSVVGGIKLKSPADIENLSPVVSSLILEGIAQNSSGSVFEPEDGSPIEITGSPTEKAILSWGVELHMKFAEEKSKSSIIHVSPFNSEKKRAGVAVIVDDSDIHVHWKGAAEIVLALCTNWLDVNGISHEMTPDKANQFKKYIEEMAEESLRCVAFAYRNLDLNYVPNEEERINWELPDNELALIGIVGMKDPCRPGVRNAVDLCKNAGVKVRMVTGDNLQTARAIALECGILTDSQASQPVIIEGKVFRAYSDAEREAVADQISVMGRSSPSDKLLLVKALKKKGNVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRPPVGRKEPLVTNIMWRNLFIQAVFQVTVLLTLNFRGRDLLHLTQDTLDHANKVFNEFNSRKPYELNIFDGVSRNHLFLAVVSITVVLQITKDQLHLHLHLHLCDCACPSDQNYQL >ORUFI02G05930.3 pep chromosome:OR_W1943:2:3838907:3851664:-1 gene:ORUFI02G05930 transcript:ORUFI02G05930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVQAPEASPGRYHRRRDDDDGNGNDCSDVLGVDVLDEGADPFDIPAKRASVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRVKGLANLLKTNTEKGVHGDEVDLACRANAFGANRYPRKKGRSFLGIKEGWYDGASIAFAVFLVILVTAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRIEVSIFDIVVGDVVALKIGDQVPADGVLVSGHSLAIDESSMTGESKIVVKDHKSPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLIVLVARYFTGHTTNPDGSIQFVKGQTSVKSTIFGTIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSVVGGIKLKSPADIENLSPVVSSLILEGIAQNSSGSVFEPEDGSPIEITGSPTEKAILSWGVELHMKFAEEKSKSSIIHVSPFNSEKKRAGVAVIVDDSDIHVHWKGAAEIVLALCTNWLDVNGISHEMTPDKANQFKKYIEEMAEESLRCVAFAYRNLDLNYVPNEEERINWELPDNELALIGIVGMKDPCRPGVRNAVDLCKNAGVKVRMVTGDNLQTARAIALECGILTDSQASQPVIIEGKVFRAYSDAEREAVADQISVMGRSSPSDKLLLVKALKKKGNVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRPPVGRKEPLVTNIMWRNLFIQAVFQVTVLLTLNFRGRDLLHLTQDTLDHANKVFNEFNSRKPYELNIFDGVSRNHLFLAVVSITVVLQITKDQLHLHLHLHLCDCACPSDQNYQL >ORUFI02G05940.1 pep chromosome:OR_W1943:2:3864594:3867247:-1 gene:ORUFI02G05940 transcript:ORUFI02G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVSSVEVVKDGATGLEKLVLREAHGCSVQVFLYGGQVIFWENEYREQLLFVSRKAYFKPPKAIRGGIQICFPQFGNHGVLEQHGFARNRLWSVDETPPPFPATTSNCHIDLILKQSPEDLKIWPHSFEFRLRVALSPTGDLMLTSRIKNTNADGKPFKFGFSYNTYFSVSDISEVRVEGLETLDYIDNLQCGKRCTEQGDAVVFESEAEKVYLSAPPKIVIIDHDKKRTFELRKEGLPDVVVWNPWDRKAKTILDFGEEEYKCMLCVGAANAEKPITLRPGEEWQGRQEISVVPSSYSSGQWDPEIIHRIQDI >ORUFI02G05950.1 pep chromosome:OR_W1943:2:3888422:3891972:1 gene:ORUFI02G05950 transcript:ORUFI02G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAAAVAADVVDFGYAAPMPPPYVGFDPAGMGGERQLFQHGGACHGLYDGGLDFSAAAAFQEAATLGFGLPGGNLLQSLAPPAAAAATPSSLQMPMMMSLPGLPATAADVYPFGGGGFVKREDGPVLDVVGGGGGGRIGLNLGRRTYFSPADVLAVDRLLLRSRLGGMGMEMGMGMGVLGLGLAAAAHHHQPPRCQAEGCKADLSAAKHYHRRHKVCDFHAKAAAVLAAGKQQRFCQQCSRLAPIPRNHVAARWDPAGTRVAARFHVLAEFDEAKRSCRKRLTEHNRRRRKPTAGGQSSKDSPPPPPSKKGTDASIASSYTSCDHHKAAASTTTASGVSCLQELADHHDVGGGHQAAMAAAPPPTLSLAALPPQEEDDEDEDGGLGNVLMMQQHHQRRRLQHDGDGDDDVAAAAAHHHLMRSLARQQQQHRHSSGCSNNNDGDDDDHNNNNNILSCSSASDQQNSSNNNNMHFFEVDFI >ORUFI02G05960.1 pep chromosome:OR_W1943:2:3895707:3908310:-1 gene:ORUFI02G05960 transcript:ORUFI02G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGDDPPSPPPPAERPGGWFSGLVCGAGRLLAAVLGPDSPDSGTGGSASSSQESSSQSPPPPRGHRGSGDNTAHFASNNQFNQSGKEITLKDSGVGSLALVSEIDPKDAILQMLLQETYSRSECDILIKIIHERVVDSDPDVVEPSIVLPIAWQTSQQQDHVPYSSFRPNTCSASSNVHDCSQQLDNNIVENGWLEESQHALKRSNSCTGHNLDESHSRSVRPKLNDLNISNRQDGILKSHSASFEEATTKYPNAFRGIPEDTKKLFKDIPLLGTDNLIFSNIVSYDDTDNDISALRGKRPAVTARTFASATSEANRDNRCPTMLYPYSDRDLTNTFPIKVEPLDDIVPFDPEIVVLSRKNRNTGTICNDPCSVSKLMFQEDKEAAPSSSTGVPLENSPRNCTGASLQRSTQTRRSSPANNPIKEWSITSRRTDSGWPGARLGSNPGEETGWEAKEVKKVLEGQQKMKNAQDQNCKRLTPGGADQLCPT >ORUFI02G05970.1 pep chromosome:OR_W1943:2:3909724:3913872:-1 gene:ORUFI02G05970 transcript:ORUFI02G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRI >ORUFI02G05980.1 pep chromosome:OR_W1943:2:3919995:3925518:1 gene:ORUFI02G05980 transcript:ORUFI02G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAAEEVVVFRSKLPDIEIDNSMTLQEYCFARMAEVGARPCLIDGQTGESYTYAEVESASRRAAAGLRRMGVGKGDVVMSLLRNCPEFAFSFLGAARLGAATTTANPFYTPHEVHRQAEAAGARVIVTEACAVEKVREFAAERGVPVVTVDGAFDGCVEFREVLAAEELDADADVHPDDVVALPYSSGTTGLPKGVMLTHRSLITSVAQQVDGENPNLYFSKDDVILCLLPLFHIYSLNSVLLAGLRAGSTIVIMRKFDLGALVDLVRKHNITIAPFVPPIVVEIAKSPRVTAEDLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFKVKSGSCGTVVRNAELKIVDPDTGTSLGRNQSGEICIRGEQIMKGYLNDPEATKNTIDEDGWLHTGDIGFVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMKDDLAGEVPVAFIVRTEGSEITEDEIKKFVAKEVVFYKRINKVFFTDSIPKNPSGKILRKDLRARLAAGIPDAVAAAAADAPKSS >ORUFI02G05990.1 pep chromosome:OR_W1943:2:3926697:3928246:-1 gene:ORUFI02G05990 transcript:ORUFI02G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAALLLGFSAETKTVLFVRKTAVAKDVQALWVLTVAAAAAAGYQFAQLVRCMYCSSSGDAGAMAVAWTSFLLDKGCAYVVFASTAAALQACMVGLIGVEALQWSKLCNIYTRFCEQAAAGMLCSFLAAAGMAVLSAFSARRLFRLYSPAGHRRSCPRAAVLATSPH >ORUFI02G06000.1 pep chromosome:OR_W1943:2:3933024:3938635:-1 gene:ORUFI02G06000 transcript:ORUFI02G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHVKPTNAEVHLAKEQRLLVAGMVSLSLFLQRILPPPPTPPPLPRDPSAAAAAESGVPSLGEIWGRAMKEKRGLEAAAAGGDGHPEAKRARPPALASVIVEALKVDSLQRLCSSLEPILRRVVSEEVERALGRLGPATITGRSSPKRIEGPDGRNLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDAGTGCVVSSGPESCAKLDIVVLEGDFNNEDEEGWSGEEFESHVVKEREGKRPLLTGDVQVTLKEGVGTVGELTFTDNSSWIRSRKFRLGLKISSGFCEGIRIREAKTEAFMVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNKAGISTVEDFLRLVVRDPQKLRSILGSGMSNKMWDILVEHAKTCVLSGKYYIYYSDENRSIGAIFNNIYAFCGLISGEQFYSSESLDDSQKLFADALVKKAYDNWMYAIEYDGKALLNSKPKKKAAPTGHVETHPPLSQPASYEQRISSASMTGPSPAGGSGTGTDSIGYDGNQAATQPSQLQSTSANVPVPYDDTFSFLPPSMLMGSDNQETGNDGMGLELGQLQQAISQSQSIQPANVGYDDWTRSQNGQFADDFTEDIRMKSHQMLESEDMQQLLRVFSMGGASTSLQEDAFGFPTYMPSPLPNLGFEGERTRSSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELDD >ORUFI02G06010.1 pep chromosome:OR_W1943:2:3941679:3948861:1 gene:ORUFI02G06010 transcript:ORUFI02G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGGGAVFLGVDGKLLGSASSPIQIWKEKDCIEQSSTDIWHAVCAAVKHACSLANVAPENVVGLGFAATCSLVAVDADGSPVSVSWTGDARRNIIVWMDHRAVDQAERINARNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWKESDSRDMEACGWDEVFWEEIGLGDLELGLRPGIPVGTSLIDAHAGGVGVMESVPDAESKADTSDESDEQAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSAMVPEFWLTEGGQSATGALLDYIVENHVAAPLLANHAASQRISIYELLNKILFSMAHEQNISFISSLTQDIHVLPDFHGNRSPLADPKSKGIICGFTLDTSEKHLALLYLATIQGIAYGTRHIVEHCNAHGHKIDTLLACGGLAKNSLENESVLLGAAVLGAVAAKKFPGVRDAMKALNAAGKVVYPSSDPRVKKYHDAKYQIFRSLYEQQLSHRSAMAQALQSPLTSCPFPLPRYKGNLSNYQQERLVESLEMVDNQQEVQTGSHHKTRHSCPPETYSITRHIFVFVAITCTGRKGII >ORUFI02G06010.2 pep chromosome:OR_W1943:2:3941679:3949470:1 gene:ORUFI02G06010 transcript:ORUFI02G06010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGGGAVFLGVDGKLLGSASSPIQIWKEKDCIEQSSTDIWHAVCAAVKHACSLANVAPENVVGLGFAATCSLVAVDADGSPVSVSWTGDARRNIIVWMDHRAVDQAERINARNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWKESDSRDMEACGWDEVFWEEIGLGDLELGLRPGIPVGTSLIDAHAGGVGVMESVPDAESKADTSDESDEQAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSAMVPEFWLTEGGQSATGALLDYIVENHVAAPLLANHAASQRISIYELLNKILFSMAHEQNISFISSLTQDIHVLPDFHGNRSPLADPKSKGIICGFTLDTSEKHLALLYLATIQGIAYGTRHIVEHCNAHGHKIDTLLACGGLAKNSLENESVLLGAAVLGAVAAKKFPGVRDAMKALNAAGKVVYPSSDPRVKKYHDAKYQIFRSLYEQQLSHRSAMAQALQ >ORUFI02G06010.3 pep chromosome:OR_W1943:2:3941679:3949470:1 gene:ORUFI02G06010 transcript:ORUFI02G06010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGGGAVFLGVDGKLLGSASSPIQIWKEKDCIEQSSTDIWHAVCAAVKHACSLANVAPENVVGLGFAATCSLVAVDADGSPVSVSWTGDARRNIIVWMDHRAVDQAERINARNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWKESDSRDMEACGWDEVFWEEIGLGDLELGLRPGIPVGTSLIDAHAGGVGVMESVPDAESKADTSDESDEQAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSAMVPEFWLTEGGQSATGALLDYIVENHVAAPLLANHAASQRISIYELLNKILFSMAHEQNISFISSLTQDIHVLPDFHGNRSPLADPKSKGIICGFTLDTSEKHLALLYLATIQGIAYGTRHIVEHCNAHGHKIDTLLACGGLAKNSLENESVLLGAAVLGAVAAKKFPGVRDAMKALNAAGKVVYPSSDPRVKKYHDAKYQIFRSLYEQQLSHRSAMAQALQ >ORUFI02G06020.1 pep chromosome:OR_W1943:2:3946710:3949398:-1 gene:ORUFI02G06020 transcript:ORUFI02G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVESIGRYRVGRTIGAGTFAKVRLAVDADTGATVAVKVIDKRMVIRNNLMYQVKREITAMKLLNHPNIVKIYEVIATKTKICLVMEYVSGGQLSDKLSYLKRLDEKEAKKYFYQLIDAVDYCHRRGVYHRDLKPENLLVDNQGNLKVSDFGLSVLKKPGQFLSTSCGSPCYVAPEVIQHKSYDGAAADVWSCGVILFELLAGYLPFQDCSLTNLYRRISRAQFVFPQWLSVPQKKIIIRILDPSPITRAKISDIFDDKWLQDHCNPSARIENDDDCDVIEEASTDSDSSHNTEVKETEEMTAETDRFINAFQLIARCSDLDLSGLFQEQKTKLASPHPVQETFDKIKVAAKDVSMAVKRMNSSLVEIQDSKLLPRSNLDLTLSAEVIKVTPAHCVVEVSKSTGDLRSYKEKPIKLAQWWTAVCKLI >ORUFI02G06020.2 pep chromosome:OR_W1943:2:3946710:3949398:-1 gene:ORUFI02G06020 transcript:ORUFI02G06020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVESIGRYRVGRTIGAGTFAKVRLAVDADTGATVAVKVIDKRMVIRNNLMYQVKREITAMKLLNHPNIVKIYEVIATKTKICLVMEYVSGGQLSDKLSYLKRLDEKEAKKYFYQLIDAVDYCHRRGVYHRDLKPENLLVDNQGNLKVSDFGLSVLKKVIQHKSYDGAAADVWSCGVILFELLAGYLPFQDCSLTNLYRRISRAQFVFPQWLSVPQKKIIIRILDPSPITRAKISDIFDDKWLQDHCNPSARIENDDDCDVIEEASTDSDSSHNTEVKETEEMTAETDRFINAFQLIARCSDLDLSGLFQEQKTKLASPHPVQETFDKIKVAAKDVSMAVKRMNSSLVEIQDSKLLPRSNLDLTLSAEVIKVTPAHCVVEVSKSTGDLRSYKEKPIKLAQWWTAVCKLI >ORUFI02G06030.1 pep chromosome:OR_W1943:2:3954743:3956616:1 gene:ORUFI02G06030 transcript:ORUFI02G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELGLGRYWGVGGRRCGACAVAPAAVHCRTCDGGGGGGGYLCAGCDAEHGRAGHERVWVCEVCELAPAAVTCKADAAALCAACDSDIHDANPLARRHERVPVHPIGSSAAPPPDALLLGGENDAAAAVDGGGGGKEVKLDFLFADFMDPYLGGSPELARFPHADSVVPNHNGSAGPAMELGFTGGGGAAVKPSYSSYTAASLGNSGSSSEVGLVPDAICGGGGGGGIIELDFAQSKAAYLPYASTPSHSMSSSMDMGVAAPEMSDCAAAAAGRAYAAEGRAARLMRYREKRKNRRFEKTIRYASRKAYAETRPRVKGRFAKRADDHDAAAPPPQIMLDFAGYGVVPTF >ORUFI02G06040.1 pep chromosome:OR_W1943:2:3960130:3963756:1 gene:ORUFI02G06040 transcript:ORUFI02G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRLAAFYWPRLRAADRDDVRAAGMGHGLDPEGITITPLMDMVLGFLYQSIPRPPVSASASLSAAAATGDGGGDDRISLLPDDILRAVVSRLPAKDGARTAVLSSRWRRLWRSTPLVLVDTHLLPRRGGGRPARAGAASRAVADAVSRVLEAHPGPFPFVSLSCSFIGDDAQRGVAARWLDLLAAKGVEHLVFVNRPCPLPGVTLPAALFNCSSLRRLYIGSWELPDTASIPLPRAAAAAAFPNLRELVLGCVVMVDGDLPFLLAASPALETLAVFGILNTLRARLSSGSLRCAQFCLSFMEEVAVLDAPHLERLFLWRNIKNTRVKIGHAPQLRMLGYLQPGVHQLEIGNTIIKARTIVRPGTTVPSVNMLALHLHFGVRNEVKMLPSFLRCFPNVETLCVESEEAPGRTSNIDVNFWQEAGPIECVQSHLKMMILREFQGEESELSFLKFVGENARVLEKMVIVMKLGRYSAPEEVAAKVMDLQSAKWAREGNKLGFLISRLRAGGSAWSLRDGTDLSCDDPFMCL >ORUFI02G06050.1 pep chromosome:OR_W1943:2:3965851:3966468:-1 gene:ORUFI02G06050 transcript:ORUFI02G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAEYAMVHGGGPAPAAVAAAGPSSSAVVASTASVAARSPWQSPVPYLFGGLAAMLGLIALSLLALACSYWKLAAAGGGGGQDGGEESRDGGGGGGGEKGSGGGGGGLAREWRDHVVVIMAGDERPTFLATPASSRAEPAAPDVAAAVCCSCGAASGSSSSSSTEVKTPAAAAAPEFPAGDGEPQAQSPSEQTSSSHSSVITS >ORUFI02G06060.1 pep chromosome:OR_W1943:2:3971686:3974773:1 gene:ORUFI02G06060 transcript:ORUFI02G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLAGDAEGGDLHGGGHHQRRLRGVADGVLLVHEAAGDDGLVLGDHLVAVAALEPLLALVALVVAVLHLEEVPHHAVLPHRRHQMPLLLPVLGHLHRP >ORUFI02G06070.1 pep chromosome:OR_W1943:2:3974479:3977772:-1 gene:ORUFI02G06070 transcript:ORUFI02G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWRSQHLLHFLPLPNFKFPPLPPPPPPPRRNPNRRRRRPGRLPQPSHASDASSDFATPPPSSSAKPSAAKSSAAAATPASIDAIDRHLRSLHLKYAEPISPNPSPSPTSAAAPAALNAVKLYLHIGGSSPSARWIISDRLAAASFVRAGDDDDDDAPSSGPWCLVVGSKIRARVGPELQLKTFPAQRRVDFVADGVWALKFLHADGYPNFYAKYQSCLFENSYGVAATDEGRAKVFGKDFAAWARPEDGDESIWEDATDGFAPSPSRSPMPSRSPILKPLMEDLREYEEPVEEGGGIQSLALGALDNSFLVGDSGIQVVRNFEHGIHGKGVSVKFSGGSTNFSTPKKALLMRAETNMLLMSPATDGKPHAKGVHQLDIETGKVVSEWKFGKDGADINMRDITNDSKGAQMDPSESTFLGLDDNRLCRWDMRDRRGIVQNIASATESPVLQWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFIDKDGKEKTGFGGRMGNRIAAPRLLKLTPLDSHLAGQNNIFREGRFSWVTENGKQERHLVATVGKYSVVWNFLQVKNSHHECYQCQEGLKSCYCYKVIPKDESIVASRFMHEKYAVSDTPEAPLVVATPMKVTSFSISSKH >ORUFI02G06080.1 pep chromosome:OR_W1943:2:3981311:3981703:-1 gene:ORUFI02G06080 transcript:ORUFI02G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALALFLLFAAAAAILLLHLVVAARAFRNQRHGGAGRSRYRVPETSYTPSRAGLSPADLRFLPCFAASASASASPELCAVCLEAACAGERWRALPACGHAFHAACVDRWLARAAACPVCRASVSASAS >ORUFI02G06090.1 pep chromosome:OR_W1943:2:3986864:3989821:-1 gene:ORUFI02G06090 transcript:ORUFI02G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVASPPELATLWSYEANVGRLAHHLVKLAGKPLDQLPLQIDDVQHAAYGVLQLQALWSMHPCDVIYCDGMDGWMIFVGLEDLTVERAVRLSSTISFMRTRPKVGNWGADGLRPRREAAHVCSLQSSPERLAQIEEGTK >ORUFI02G06100.1 pep chromosome:OR_W1943:2:3990323:3991620:1 gene:ORUFI02G06100 transcript:ORUFI02G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPSTTTMATFKQSFLKNLLSSLKSSSKNKAAMSTLSERKRAIKSSADIAMATARTGIAGAARWPHAILASSSSSSSSSSSSSSSSSMPRTTFPCKMMQGKVRRRCKSIVRRRTPLMSSSSEVARRLVKKRDKVLRRMIPGGELIADEISLLHEAMDYVVHLHAQVDVLRRVSRAAVARRSSASSSSSGGLAQLKERTVQISGETENPC >ORUFI02G06110.1 pep chromosome:OR_W1943:2:3994866:4001846:-1 gene:ORUFI02G06110 transcript:ORUFI02G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLSSWPWASLGSWVQYVLYGAVVWKVAEEWRQQGAAPVGSWWLHLLLLFAARGLTYQFWFSYGNMLFFTRRRRVVPDSVDFRQVDAEWDWYALRRATLVGSPAVARQQLLLPSLKQAWDPRGWAIALLLHVLVAEPLFYWAHRALHRAPLFSRYHAAHHHASVTTPLTAGFGTPLESLLLTVVIGVPLAGAFLMGVGSVGLVYGHVLLFDFLRSMGYSNVEVISPRVFQAVPLLRYLIYTPTYLSLHHREKDSNFCLFMPIFDLLGGTLNHKSWELQKEVYLGKNDQAPDFVFLAHVVDIMASMHVPFVLRSCSSTPFANHFVLLPFWPVAFGFMLLMWCCSKTFLVSSYRLRGNLHQMWTVPRYGFQYFIPAAKKGINEQIELAILRADRMGVKVLSLAALNKNEALNGGGTLFVNKHPELRVRVVHGNTLTAAVILNEIPSNVKDVFLTGATSKLGRAIALYLCRKKIRVLMLTLSSERFLKIQREAPAEFQQYLVQVTKYQPAQNCKTWLVGKWLSPREQRWAPAGTHFHQFVVPPIIGFRRDCIYGKLAAMRLPKDVQGLGYCEFADMVGRRSPGNICVQYTMERGVVHACHAGGVVHFLEGWEHHEVGAIDVDRIDVVWKAALKHGLTPA >ORUFI02G06120.1 pep chromosome:OR_W1943:2:4016532:4022270:1 gene:ORUFI02G06120 transcript:ORUFI02G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKRRGGSSSSSGPQAVARKRDQFPSRAKDYELLEPVGDGATAVVRRARCLPLGGEVVAVKIMNMSQRSEDDVNHASEEVKMMSTIDHDNLLGAYCSFTEGFDEKFIAFVLRETLEGLAYLHRYALVHRDVKAGNILLDQHKGVKLADFGASASLYDPMINRHGKRKTLVGTPCWMAPEVMEQKEYDAKADIWSFGITALELAHGHAPFSTQPPAKVFLLTLQHAPPSLHNTKDKKFSKSFKQMIATCLMKDPSKRPTAQHLLELPFFKKVKFEDNVLKSVLNKLPSLGDRMQSIQENEAKLQAEKKPLDKCKEKASQDEYMRGVSEWNFDIEELKAQAALYPDENDGGEDEYLRFLFELDTICESAPIHDVQSRDYSKNENEKKESNEITRNEKIDTTPILQRIKEALMASCATISNCKDFDEYLKSAIQKGRFKVTVEGAEVEKLEVATPREKELLERIASLERIDKRSNVMPTATVAKSKYNPS >ORUFI02G06120.2 pep chromosome:OR_W1943:2:4016532:4022270:1 gene:ORUFI02G06120 transcript:ORUFI02G06120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKRRGGSSSSSGPQAVARKRDQFPSRAKDYELLEPVGDGATAVVRRARCLPLGGEVVAVKIMNMSQRSEDDVNHASEEVKMMSTIDHDNLLGAYCSFTEGETLWIIMPYMAGGSCFHLMKSSYPKGFDEKFIAFVLRETLEGLAYLHRYALVHRDVKAGNILLDQHKGVKLADFGASASLYDPMINRHGKRKTLVGTPCWMAPEVMEQKEYDAKADIWSFGITALELAHGHAPFSTQPPAKVFLLTLQHAPPSLHNTKDKKFSKSFKQMIATCLMKDPSKRPTAQHLLELPFFKKVKFEDNVLKSVLNKLPSLGDRMQSIQENEAKLQAEKKPLDKCKEKASQDEYMRGVSEWNFDIEELKAQAALYPDENDGGEDEYLRFLFELDTICESAPIHDVQSRDYSKNENEKKESNEITRNEKIDTTPILQRIKEALMASCATISNCKDFDEYLKSAIQKGRFKVTVEGAEVEKLEVATPREKELLERIASLERIDKRSNVMPTATVAKSKYNPS >ORUFI02G06120.3 pep chromosome:OR_W1943:2:4016532:4022270:1 gene:ORUFI02G06120 transcript:ORUFI02G06120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKRRGGSSSSSGPQAVARKRDQFPSRAKDYELLEPVGDGATAVVRRARCLPLGGEVVAVKIMNMSQRSEDDVNHASEEVKMMSTIDHDNLLGAYCSFTEGETLWIIMPYMAGGSCFHLMKSSYPKGFDEKFIAFVLRETLEGLAYLHRYALVHRDVKAGNILLDQHKGVKLADFGASASLYDPMINRHGKRKTLVGTPCWMAPEVMEQKEYDAKADIWSFGITALELAHGHAPFSTQPPAKVFLLTLQHAPPSLHNTKDKKFSKSFKQMIATCLMKDPSKRPTAQHLLELPFFKKVKFEDNVLKSVLNKLPSLGDRMQSIQENEAKLQAEKKPLDKCKEKASQDEYMRGVSEWNFDIEELKAQAALYPDENDGGEDEYLRFLFELDTICESAPIHDVQSRDYSKNENEKDFDEYLKSAIQKGRFKVTVEGAEVEKLEVATPREKELLERIASLERIDKRSNVMPTATVAKSKYNPS >ORUFI02G06120.4 pep chromosome:OR_W1943:2:4016532:4022270:1 gene:ORUFI02G06120 transcript:ORUFI02G06120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKRRGGSSSSSGPQAVARKRDQFPSRAKDYELLEPVGDGATAVVRRARCLPLGGEVVAVKIMNMSQRSEDDVNHASEEVKMMSTIDHDNLLGAYCSFTEGETLWIIMPYMAGGSCFHLMKSSYPKGFDEKFIAFVLRETLEGLAYLHRYALVHRDVKAGNILLDQHKGVKLADFGASASLYDPMINRHGKRKTLVGTPCWMAPEVMEQKEYDAKADIWSFGITALELAHGHAPFSTQPPAKVFLLTLQHAPPSLHNTKDKKFSKSFKQMIATCLMKDPSKRPTAQHLLELPFFKKVKFEDNVLKSVLNKLPSLGDRMQSIQENEAKLQAEKKPLDKCKEKASQDEYMRGVSEWNFDIEELKAQAALYPDENDGGEDEYLRFLFELDTICESAPIHDVQSRDYSKNENEKKESNEITRNEKIDTTPILQSVKQLENKGSPNGLVRHEDKRSNVMPTATVAKSKYNPS >ORUFI02G06130.1 pep chromosome:OR_W1943:2:4024094:4027993:1 gene:ORUFI02G06130 transcript:ORUFI02G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRALPLSSLLAAATKSPLLHHRPLPLRLAASMSSSSPSPSPAAPASASAIDFLTLCYRLKTTKRAGWVRRGVQGPESVADHMYRMGVMALVAADLPSGVNRDRCVKMAIVHDIAEAIVGDITPSDGVPKEEKSRREQEALDHMCSLLGGGPRAEEIRELWMEYEQNATLEAKVVKDFDKVEMILQALEYEKEQGLDLEEFFQSTAGKFQTDVGKAWAAEVASRRK >ORUFI02G06130.2 pep chromosome:OR_W1943:2:4024094:4027993:1 gene:ORUFI02G06130 transcript:ORUFI02G06130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRALPLSSLLAAATKSPLLHHRPLPLRLAASMSSSSPSPSPAAPASASAIDFLTLCYRLKTTKRAGWVRRGVQGPESVADHMYRMGVMALVAADLPSGVNRDRCVKMAIVHDIAEAIVGDITPSDGVPKEEKSRREQEALDHMCSLLGGGPRAEEIRELWMEYEQNATLEAKVVKDFDKVEMILQALEYEKEQGLDLEEFFQSTAGKFQTDVGKAWAAEVASRRK >ORUFI02G06140.1 pep chromosome:OR_W1943:2:4030157:4033415:-1 gene:ORUFI02G06140 transcript:ORUFI02G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAAAASGGVGGRRYALLLALNDSEYARKVYGGYGNVFVSALGGGGGGGEEERWDCFRVIDGEFPAAEEVGRYEGFVVSGSPHDAYGDERWILRLCSLLRALHAMGKRILGICFGHQVLCRALGGRIGKARSGWNIGVKKMTFVRDFEGSKLFGDLKEIPQSASIIEVHQDEVLEVPPMGRVLAYSDKTPVEMFAVGDNVLGIQGHPEYTSDILLNLIDRLVNNNTITSGIGEEARRTVEASEPDRRFWTGLCKGFLKRPTAATTVDMPPREVAPEMMSCSHIIAEEDDTFVRCLSLAN >ORUFI02G06150.1 pep chromosome:OR_W1943:2:4044118:4048960:1 gene:ORUFI02G06150 transcript:ORUFI02G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGTQFEIRVQPNDTVSLGDDNSIQNQYLKPWVQQGFRYLFLVCYVFYNYSKNGSATYITVPGFFCLYTMEQIMAVKKIIEEIQGKDSYPWGQQLLIHNGKVLKDESTLEENKVSEVGFLVVMLSKSKASGSSGALSSLTSSTPLTRQETPADASRAAPQPLAPSNAYGQAASNLLSGSNLDTTINQLMEMGGGSWDRDKVQRALRAAYNNPERAVEYLYSGIPITAEVAVPAGGQGANTTEPSSTREASLSGIPNASPLNLFPQFQALREMVHTNPQILQPMLQELSKKNPQLLRLIQENHDEFLQLINEPFDGADGDFLDQPDQDEMPHSINVTPEEQEAIGRLEGMGFDRARVIEAFFACDRNEQLAANYLLEHAADED >ORUFI02G06160.1 pep chromosome:OR_W1943:2:4049146:4051569:-1 gene:ORUFI02G06160 transcript:ORUFI02G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKREPLRPISSNAGTVERRARGGAAAAAAAKEKEKENEVPTEIGRGKDGGEKKPPVVVAVVVPPAPPLKPSSLQVRMKAEEEKEREEEEEGSSPAVALVAGLQVRMGPRGRELLLPPPPPPPPLPLPTSSSYEAWDLSDNEAAPASSWATLPNRALLCRPLPLDVGRCTCIIAKETLAAAAAGARGVALYSLYTNEGQGRQDRKLAVARHRRRRGRSEFVVAQNLDGIFCTSDKNFLGTLSSNLVGSRYRIWGQGNRVDEIKSQSKRLLGVVAFAPTIQHISGLPKDWQEKKIKADQLCSRSPFYNNMTKRYELDFRERAGRMGYKVQPSVKNFQMTLEEKGRQTILQLGRIGKSKYIMDFRYPLTGYQALCICLASIDSKLCCTL >ORUFI02G06170.1 pep chromosome:OR_W1943:2:4056625:4062376:1 gene:ORUFI02G06170 transcript:ORUFI02G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVAAAAAEMRRTGRCGGGGGGGGEKKGAKEEGVVVAAEAAAERGRRLRLVGYDELPDFLRDNEFIRGYYRAEWPLRDAALSAFSWHNETLNVWTHLGGFLLFLALALAGAAGDAAADVAPGIIRFVVGSTNASWQTNDHSGAASHDAAAAAAAAAVLGGGHGVPRWPRMVFLVGAMTCLAISATAHLLACHSRRASVVFWQLDYAGISAMIVASFVPPVYYAFLCHRPARVAYLSAISALGALVVGALLSPPCSSPRFRRLRAALFLAMGLSGVVPALHALWLNWGHAACYLALSLEVAMGLAYAAGAWFYVSRVPEKWRPGVFDVVGHSHQIFHVLVLVGAVTHYVAVDVLLNWRETVAAACSATS >ORUFI02G06180.1 pep chromosome:OR_W1943:2:4061078:4063048:-1 gene:ORUFI02G06180 transcript:ORUFI02G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAIATLLGPPEARRPSAAVAAAPATTTGDAFLDLMDANFNKPAPRKALTENLSPTFVSSGDACLDFFFHVVPGTPSAAVASLLAAAWGADPATALRLVANLRGVRGTGKSDREGFYAAALWLHSHHPATLALNAASVAAFGYLKDLPELLHRIVNGGLSTRKPGKKARLAAADGIGFIARRGRGRGRGRGCFRGRGRVSPRGYHTSSRKQSRGVGSAEERIAASLERDGRLAAKAAVERRCRRAEAAARAVERYSRDPTYRSLHDRTADLFADLLRDDMRKLAEGNVHEFSLAAKWCPSLDKSYDRSTLLCEAIARRLFPKGSLPELAADLPDAHYAYRARERLRKAALVPLRRALKLPEVYISARAWESVVYTRVASVAMKNYKDLFLKHDADRFNAYLADVKSGKKKISAGALLPHQIISSLDDDGGGSGVADLQWQRMVDDMRALGKLRNCVAVCDVSGSMTGLPMDVCVALGLLVSDLSDDPWRGRVITFSESPQLHHIVGEALSDKARFIREMNWGMNTNFQAVFDKILEVAAGAALSPDKMVRRVVVFSDMEFDQASAQPWETDYEAIVRKYTAAGYGAAVPEVVFWNLRDSKAVPVTSGQKGVALVSGFSKNLLKLFLDGDGVVSPRAVMEKAISGPEYDKLVVFD >ORUFI02G06190.1 pep chromosome:OR_W1943:2:4064398:4070060:1 gene:ORUFI02G06190 transcript:ORUFI02G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPRRHVANRSTTVHASHRNDEPRMKRSKSKSIGASDGNVVGASAFSTTGASSQAVIDDDNSKDNSFDHIDDMSMSMPSGDEAATGGTSDASGGDSLGGRRRGKKLKVSPKVATVKGRKRQQKKKRMLRVEEQWIRNIIQ >ORUFI02G06200.1 pep chromosome:OR_W1943:2:4071274:4076282:-1 gene:ORUFI02G06200 transcript:ORUFI02G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSAAAGGGGGGAEDAAAVALGQKLPVHVAENGHTFEFKCGGETPVEAIQRTIEGLCGIPPADQLLLCGNTSLDGAHHLAYYQLPRDDREVFLYNKARLHDGAPRPAPESIEIPQPSIPPPPRPQDSPPLDVSSDPALKALVSYETTFRYHFQVGNAVYQSSVAKHEVCCRLLREGQVQERALDTARSNLEHTARKLTQRYSDFVKCFSQQHRGHAEMLANFERDVERLRAVRLHPALQCEGRRSLIDLVKENDLRKLADGCFSSHKQFDVKVSQHKAKFMELKKRLENLFNIMSSTGCKDLEAMIKEHEKFIGDQKIIMQSLSKDVTTSKKLVDDCSNCQLSASLRPHDAVSAVGRIYEVHEKDNLPSVHNIDRLFTKLLEKCKAKKNEMNTLVHVSMQRVKSAQIVIKEMMNELHAFQEVMGHQDKIFDSLKLASGMGHAYRACLAEVVRRKSSFKLYTGLAGQLAEKLATEREAEVRRREAFFRTWSKYIPEDIMGSMGLFDSPSQCDVTVAPFDCNLLSIDVDDVEKLAPQSLVGSFLKSERSQLPKSSLSNSGTSGNLSKSEQYPPNADDKMDFQDFLGGFDSVDIAGTSKLEVENARLKAELASAIAVLCSFGAEYGYESIDEGQIDNVLKDAREKTAAALSAKDEYANHLQAMLTAKQNQNLSYEKRIQELEEQLANQYIQGHVISGSKDASDSLLSAFKANDCNLHISGGRQTQVRDESSVAMDEASSTSEQPSKQTEGGDENMTDISGALNLQLLDPIARTNLDAFMAELPPDSEHKIVDSDKEGQVLTQFTTTDTSGVPIEDPLGILNSRTNEHHTSELRNKELLVSELQSTLEDKSKRLDETESKLNALVDEVNSLKKELEHTQGLLDESQMNCVQLENCLHEAREEARTNKCSADRRAVEYDALRSSALRIHGLFERLNNCVTAPGMSGFADSLRALALSLASAKKDEGDTTIQFQQCIKILADKVGFLSRQSAELLERYSRIVRVLEEKKESIKNLYSKLQLEKQASKEKISFGRFEVHELAVFVRNPAGHYEAINRNCSNYYLSEESVALFTEQHPRHPAYIIGQIVHIERRIAKLPSHGDQMEASRLDSGGRRSPASMLNPYNLPVGCEYFLVTVAMIPDNIR >ORUFI02G06210.1 pep chromosome:OR_W1943:2:4078339:4087132:1 gene:ORUFI02G06210 transcript:ORUFI02G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPFHRHKENEEARKKREQDEAARVYEEFVESFKGDSTSGSKFVRGGVIDPNAKLRIDSEGGKSKDGGSVPKKGSRYVPSFLPPSFGKEPDKKKEEERPKEKERRKPRVIDEFMEELKFEKELRQKRNQEREQWREGRHTDTSASSSRFDELPDELDPIGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLMRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPPLASVKPQTSELVLTPNVPDIVVAPPDDAHVRHVIDTMALHVLDGGCAFEQAVMERGRGNSLFSFLFDLKSKEHTYYVWRLYSFAQGSGDSILLEVNCDSKEGDTLQRWRTEPFIMITGSGRWVPPALPSSRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAALPDVIESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLRATFLRSSHLGVIPFHSLCGDTPEIEKKASSEDGSDGFRLNEDGALATGKAAATRELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERVYEKDAGIKYGQGESHRTGRDDIAVNARNASRPGEGTDSGESDMLGLSHYAMEAGYKRSNESTPAEPVPSKKPKVDPVLPASKWSREDDVSDDEDRKGGRGLGLSYSSGSDIAGDSGKADATEVSTDHSNHHQDTILDEEHRKKLRQIEIAVMQYRESLEEKGLRNTEEIEKKVASHRRRLQSEYGLSFSNDGANSRRSSERTSSERRDRHDDSSRKRHRSLSRSRSPPRRSLERDREHNRNRDTDRSHGNDAGRERDRVREKSASRGRDDHYDRSRDREKDRRKGR >ORUFI02G06210.2 pep chromosome:OR_W1943:2:4078339:4087132:1 gene:ORUFI02G06210 transcript:ORUFI02G06210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPFHRHKENEEARKKREQDEAARVYEEFVESFKGDSTSGSKFVRGGVIDPNAKLRIDSEGGKSKDGGSVPKKGSRYVPSFLPPSFGKEPDKKKEEERPKEKERRKPRVIDEFMEELKFEKELRQKRNQEREQWREGRHTDTSASSSRFDELPDELDPIGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLMRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPPLASVKPQTSELVLTPNVPDIVVAPPDDAHVRHVIDTMALHVLDGGCAFEQAVMERGRGNSLFSFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPALPSSRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAALPDVIESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLRATFLRSSHLGVIPFHSLCGDTPEIEKKASSEDGSDGFRLNEDGALATGKAAATRELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERVYEKDAGIKYGQGESHRTGRDDIAVNARNASRPGEGTDSGESDMLGLSHYAMEAGYKRSNESTPAEPVPSKKPKVDPVLPASKWSREDDVSDDEDRKGGRGLGLSYSSGSDIAGDSGKADATEVSTDHSNHHQDTILDEEHRKKLRQIEIAVMQYRESLEEKGLRNTEEIEKKVASHRRRLQSEYGLSFSNDGANSRRSSERTSSERRDRHDDSSRKRHRSLSRSRSPPRRSLERDREHNRNRDTDRSHGNDAGRERDRVREKSASRGRDDHYDRSRDREKDRRKGR >ORUFI02G06210.3 pep chromosome:OR_W1943:2:4078339:4087132:1 gene:ORUFI02G06210 transcript:ORUFI02G06210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPFHRHKENEEARKKREQDEAARVYEEFVESFKGDSTSGSKFVRGGVIDPNAKLRIDSEEPDKKKEEERPKEKERRKPRVIDEFMEELKFEKELRQKRNQEREQWREGRHTDTSASSSRFDELPDELDPIGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLMRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPPLASVKPQTSELVLTPNVPDIVVAPPDDAHVRHVIDTMALHVLDGGCAFEQAVMERGRGNSLFSFLFDLKSKEHTYYVWRLYSFAQGSGDSILLEVNCDSKEGDTLQRWRTEPFIMITGSGRWVPPALPSSRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAALPDVIESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLRATFLRSSHLGVIPFHSLCGDTPEIEKKASSEDGSDGFRLNEDGALATGKAAATRELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERVYEKDAGIKYGQGESHRTGRDDIAVNARNASRPGEGTDSGESDMLGLSHYAMEAGYKRSNESTPAEPVPSKKPKVDPVLPASKWSREDDVSDDEDRKGGRGLGLSYSSGSDIAGDSGKADATEVSTDHSNHHQDTILDEEHRKKLRQIEIAVMQYRESLEEKGLRNTEEIEKKVASHRRRLQSEYGLSFSNDGANSRRSSERTSSERRDRHDDSSRKRHRSLSRSRSPPRRSLERDREHNRNRDTDRSHGNDAGRERDRVREKSASRGRDDHYDRSRDREKDRRKGR >ORUFI02G06210.4 pep chromosome:OR_W1943:2:4078339:4087132:1 gene:ORUFI02G06210 transcript:ORUFI02G06210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPFHRHKENEEARKKREQDEAARVYEEFVESFKGDSTSGSKFVRGGVIDPNAKLRIDSEEPDKKKEEERPKEKERRKPRVIDEFMEELKFEKELRQKRNQEREQWREGRHTDTSASSSRFDELPDELDPIGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLMRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPPLASVKPQTSELVLTPNVPDIVVAPPDDAHVRHVIDTMALHVLDGGCAFEQAVMERGRGNSLFSFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPALPSSRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAALPDVIESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLRATFLRSSHLGVIPFHSLCGDTPEIEKKASSEDGSDGFRLNEDGALATGKAAATRELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERVYEKDAGIKYGQGESHRTGRDDIAVNARNASRPGEGTDSGESDMLGLSHYAMEAGYKRSNESTPAEPVPSKKPKVDPVLPASKWSREDDVSDDEDRKGGRGLGLSYSSGSDIAGDSGKADATEVSTDHSNHHQDTILDEEHRKKLRQIEIAVMQYRESLEEKGLRNTEEIEKKVASHRRRLQSEYGLSFSNDGANSRRSSERTSSERRDRHDDSSRKRHRSLSRSRSPPRRSLERDREHNRNRDTDRSHGNDAGRERDRVREKSASRGRDDHYDRSRDREKDRRKGR >ORUFI02G06210.5 pep chromosome:OR_W1943:2:4078339:4087132:1 gene:ORUFI02G06210 transcript:ORUFI02G06210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTLYVAYFYPGMFHLFCRRHLGKSRTKRLVQFQYSYGCLSSHYGFLVKHYLAVQKEEERPKEKERRKPRVIDEFMEELKFEKELRQKRNQEREQWREGRHTDTSASSSRFDELPDELDPIGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLMRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEDGFRLNEDGALATGKAAATRELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERVYEKDAGIKYGQGESHRTGRDDIAVNARNASRPGEGTDSGESDMLGLSHYAMEAGYKRSNESTPAEPVPSKKPKVDPVLPASKWSREDDVSDDEDRKGGRGLGLSYSSGSDIAGDSGKADATEVSTDHSNHHQDTILDEEHRKKLRQIEIAVMQYRESLEEKGLRNTEEIEKKVASHRRRLQSEYGLSFSNDGANSRRSSERTSSERRDRHDDSSRKRHRSLSRSRSPPRRSLERDREHNRNRDTDRSHGNDAGRERDRVREKSASRGRDDHYDRSRDREKDRRKGR >ORUFI02G06210.6 pep chromosome:OR_W1943:2:4078339:4085061:1 gene:ORUFI02G06210 transcript:ORUFI02G06210.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPFHRHKENEEARKKREQDEAARVYEEFVESFKGDSTSGSKFVRGGVIDPNAKLRIDSEGGKSKDGGSVPKKGSRYVPSFLPPSFGKEPDKKKEEERPKEKERRKPRVIDEFMEELKFEKELRQKRNQEREQWREGRHTDTSASSSRFDELPDELDPIGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLMRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEAQRRWCLGHRKGSGNKGAVRASAS >ORUFI02G06220.1 pep chromosome:OR_W1943:2:4088059:4092823:1 gene:ORUFI02G06220 transcript:ORUFI02G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVISHSQPQHQLHHSPPLRDRKGRADPPRTRSPRDSDLPENLRLAARRIHPSRHLSPTRNLLALGETTLINMGIYLSTPKTDKFSEDGENDKLKLGLSSMQGWRANMEDAHSALLNLDNETSFFGVFDGHGGRVVAKFCAKYLHSQVLRSEAYSAGDLGTAVHRAFFRMDEMMRGQRGWRELSALGDKINKIGGMIEGLIWSPRGSDSNNGQDDWSFEEGPHSDFAGPTCGCTACVALIRNNQLVVANAGDSRCVISRAGQAYNLSRDHKPELEAERDRIVKAGGFIHMGRINGSLNLTRAIGDMEFKQNKFLPPEKQIVTANPDINVVELCDDDDFLVLACDGIWDCMSSQQLVDFIHEHIQKESSLSAVCERVLDRCLAPSTIGGEGCDNMTMVLVQFKKPITQNKKADVGEQSVKGVEEAEINSVPCCCGAALVW >ORUFI02G06220.2 pep chromosome:OR_W1943:2:4088059:4092278:1 gene:ORUFI02G06220 transcript:ORUFI02G06220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVISHSQPQHQLHHSPPLRDRKGRADPPRTRSPRDSDLPENLRLAARRIHPSRHLSPTRNLLALGETTLINMGIYLSTPKTDKFSEDGENDKLKLGLSSMQGWRANMEDAHSALLNLDNETSFFGVFDGHGGRVVAKFCAKYLHSQVLRSEAYSAGDLGTAVHRAFFRMDEMMRGQRGWRELSALGDKINKIGGMIEGLIWSPRGSDSNNGQDDWSFEEGPHSDFAGPTCGCTACVALIRNNQLVVANAGDSRCVISRAGQAYNLSRDHKPELEAERDRIVKAGGFIHMGRINGSLNLTRAIGDMEFKQNKFLPPEKQIVTANPDINVVELCDDDDFLVLACDGIWDCMSSQQLVDFIHEHIQKESSLSAVCERVLDRCLAPSTIGGEGCDNMTMVLVQFKKPITQNKKADVGEQSVKGVEEAEIK >ORUFI02G06230.1 pep chromosome:OR_W1943:2:4092424:4098394:-1 gene:ORUFI02G06230 transcript:ORUFI02G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSHPSPTTHARSPPTRRRRRIRFAPSAAPRATSVDAGPTRAVREGGGGGGASAGSDHLQEGAQGFFFDMSWAAIENDPGIFTELLQQMQLKGLQVDELYSLDLDALNDLQPVYGLIVLYKWQPPEKDERPIKDPIPNLFFAKQIINNACATQAIVSVLLNSPGITLSEELKKLKEFAKDLPPDLKGLAIVNSESIRLASNSFARPEVPEEQKSSVKDDDVYHFISYVPVDGVLYELDGLKEGPISLGKCPGGVGDIGWLRMVQPVIQERIDRFSQNEIRFSVMAILKNRREKFTLELKELQRKRENLLAQMGDPSANRHAPSVEHSLAEVAAHIEAVTEKIIMEEEKWKKWKTENIRRKHNYVPFLFNFLKILEERQQLKPLIEKAKQKSHSSANPRYII >ORUFI02G06240.1 pep chromosome:OR_W1943:2:4098358:4102029:1 gene:ORUFI02G06240 transcript:ORUFI02G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPQIIQFVIYPSLSLPFLFNDILYPKNRAFSSPLPPPPPPISPPPPPPMAPSTALSPVAFKSSFSPLLFNPTRSKINVEGAFCLPCYNRKKASNRSFRVYSLFGGKKDKDENGEEAPSKAGIFGNMQNLYETVKKAQMVVQVEAVRVQKELAATEIDGYCEGELIKVTLSGNQQPVRVEITEAAMEVGAEKLSELVNDAYKDAHQRSVQAMKERMADLAQSLGMPAGLGDGLK >ORUFI02G06250.1 pep chromosome:OR_W1943:2:4118701:4120288:1 gene:ORUFI02G06250 transcript:ORUFI02G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKIMAAGKKMVRVREFIMEKDLPAVEELERLCQAGLSGDNGAGGGGGKKKKRGMSLYAEQIGDPFARVRHAPDHVILVAECGDEVVGVIKACVRMVTRGSSSSLRKTKTKTNKFVKAACLLGLRVSPSHRRLGIATELVRRAEEWCAARGAAYATMATTASNAASLALFQGRFKYALFRKPRFLGHPVHRHRARVPRAHRVLQLPPPLAAAAYAALLPAAAAAPEFVPADLPALLAHKLTRGTYLAVERSPGAGAPSSFAVLSVLRVSGAPALLRASLAAARALDRRAPWLRVPSVPDVFRPFGAYLLYGLHMSGPAGAALLRTLCRHAHNVARNNPACAVVAADVAPDDPAAAAVPHWRRFSCDEDVWCIKKITSVAANGNAAPAAGDDDDWTTAPPSSVLFVDPREF >ORUFI02G06260.1 pep chromosome:OR_W1943:2:4123325:4126148:-1 gene:ORUFI02G06260 transcript:ORUFI02G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAELSLAAVRDALVREEDSIVFALIERARRPRNAPAYAAAAAAGGRSLAEFFVREAEVLHAKAGQYQKPEDVPFFPQDLPSPLFPTKDYPKVLHSFASSVSVNDAIWKMYFNELLPLFTVDGDDGNYAETVALDFACLKALSRRIHIGKYVAEVKFKDASQDYSPLIRAKDTKALMNLLTFKAVEEKVKRRVEKKARIFGQNVTLEDNADKQEGNAGDSECKVNPEVLSKLYDLWVMPLTKDVEVDSISVQASLNIN >ORUFI02G06260.2 pep chromosome:OR_W1943:2:4123934:4126148:-1 gene:ORUFI02G06260 transcript:ORUFI02G06260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAELSLAAVRDALVREEDSIVFALIERARRPRNAPAYAAAAAAGGRSLAEFFVREAEVLHAKAGQYQKPEDVPFFPQDLPSPLFPTKDYPKVLHSFASSVSVNDAIWKMYFNELLPLFTVDGDDGNYAETVALDFACLKALSRRIHIGKYVAEVKFKDASQDYSPLIRAKDTKALMNLLTFKAVEEKVKRRVEKKARIFGQNVTLEDNADKQEGNAGDSECKVNPEVLSKLYDLWVMPLTKDVEVEYLLRRLD >ORUFI02G06270.1 pep chromosome:OR_W1943:2:4133751:4137228:1 gene:ORUFI02G06270 transcript:ORUFI02G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVCVTGAGGFIGSWIVKLLLARGYAVRGTSRRADDPKNAHLWALDGAAERLTMVSVDLLDRGSLRAAFAGCHGVIHTASPMHDDPEEIIEPVITGTLNVVEVAADAGVRRVVLSSTIGTMYMDPRRDPDSPLDDSFWSDLDYCKNTKNWYCYAKTIAERKAWEVARGRGVDMAVVIPVVVLGELLQPGMNTSTKHILKYLTGEAKTYVNESHAYVHVVDAAEAHVRVLEAPGAGGRRYICAERTLHRGELCRILAGLFPEYPIPTRCRDEINPPKKGYKFTNQPLKDLGIKFTPVHEYLYEAVKSLEDKGFIKKTSNTKELHRQSSPPQNSPASMLMSKL >ORUFI02G06280.1 pep chromosome:OR_W1943:2:4137016:4163562:-1 gene:ORUFI02G06280 transcript:ORUFI02G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEHLSPSRWRGSAASAAVPDVARARALLPHGGRTWTLGQKIKGHFWLTEERCQEGRSWATGHRIMEEVDGWTLSKLRYRVITIQLRFDDQDAMLDDTLTGKGGQGMSVLSPELLPRRVIRMLLKLLVQVVGHGGGKDDNFKCMQTRTASILARLETDTVE >ORUFI02G06280.2 pep chromosome:OR_W1943:2:4137016:4163562:-1 gene:ORUFI02G06280 transcript:ORUFI02G06280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEHLSPSRWRGSAASAAVPDVARARALLPHGGRTWTLGQKIKGHFWLTEERCQEGRSWATGHRIMEEVDGWTLSKLRYRVITIQLRFDDQDAMLDDTLTGKGGQGIRAVAGTTTEESDTDAGDNVVVLLLALGAEAWRCCQYTGALWASILARLETDTVE >ORUFI02G06280.3 pep chromosome:OR_W1943:2:4137016:4163562:-1 gene:ORUFI02G06280 transcript:ORUFI02G06280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEHLSPSRWRGSAASAAVPDVARARALLPHGGRTWTRAEAGPQVIESWRRSMDGLSVITIQLRFDDQDAMLDDTLTGKGGQGIRAVAGTTTEESDTDAGDNVVVLLLALGAEAWRCCQYTGALWASILARLETDTVE >ORUFI02G06290.1 pep chromosome:OR_W1943:2:4179326:4182046:1 gene:ORUFI02G06290 transcript:ORUFI02G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWISTQPSLSLDLRVGLPATAAVAMVKPKVLVEEDFFHQQPLKKDPEVAALEAELKRMGAENRQLSEMLAAVAAKYEALQSQFSDMVTASANNGGGGGNNPSSTSEGGSVSPSRKRKSESLDDSPPPPPPPHPHAAPHHMHVMPGAAAAGYADQTECTSGEPCKRIREECKPKISKLYVHADPSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSAEDNTILVATYEGEHNHGQPPPPLQSAAQNSDGSGKSAGKPPHAPAAAPPAPVVPHRQHERVVVNGEQQAAAASEMIRRNLAEQMAMTLTRDPSFKAALVTALSGRILELSPTKD >ORUFI02G06300.1 pep chromosome:OR_W1943:2:4193595:4193843:1 gene:ORUFI02G06300 transcript:ORUFI02G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWCGLQLLVVVSSLAGGGEAMAPNWDFGSIIWHFAICDERRRWMMGRSNWPCLRADGLFLRGVVVVAFFPTASVINHWGME >ORUFI02G06310.1 pep chromosome:OR_W1943:2:4195411:4196537:1 gene:ORUFI02G06310 transcript:ORUFI02G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAIGAREKKLVRISDFLLLHDDSDGAGGADHELLRRRRRRRRRQEDEEEEVVAAAAAQVASPPSPGTPRLRIPGFTCARLRFVSFRGGRGGRRDGGRKEELAAEKSEAASSSADEASGREVAAGSGSGSGASSSAATATTTEAAAGLGLSLLFLLARTSAELNKMAEVRAQMEALLSEMRDEAAICKRNIAAAARRELRTTSSSSSSISTRLASGYSSNTSSAGRAASSPAANGEVEIKKPLQEEEWSDDGEFIELEGGFGFVAGGDEEDGGSGGGGVSGVELERRLREVQHERDRERVAELESALRRAERRLMEKEMEARLWKDTAELALQRPPPPPLAGGRQ >ORUFI02G06320.1 pep chromosome:OR_W1943:2:4200330:4203665:1 gene:ORUFI02G06320 transcript:ORUFI02G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTGLRQRKLAVLPVANLDDADDGYGYRGSTFEQTYRCYPASFIDKIGSIYSCHWYNVRVPQLETGDKIIMPPSALDRLASLHIEYPMLFEVHNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLTEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNYSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPERQKAAVPPSTAPTAEEAAEEEPKFIPFTGPGRRLDGKAPKDKDVSASSPAKRQANATNSVQPSTASTSQSSSSRKTTGKLVFGPGGNRTSKETEKVPEKEPKEDPKKDEPKFSAFTGRKYSLKG >ORUFI02G06320.2 pep chromosome:OR_W1943:2:4200330:4203665:1 gene:ORUFI02G06320 transcript:ORUFI02G06320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTGLRQRKLAVLPVANLDDADDGYGYRGSTFEQTYRCYPASFIDKPQLETGDKIIMPPSALDRLASLHIEYPMLFEVHNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLTEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNYSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPERQKAAVPPSTAPTAEEAAEEEPKFIPFTGPGRRLDGKAPKDKDVSASSPAKRQANATNSVQPSTASTSQSSSSRKTTGKLVFGPGGNRTSKETEKVPEKEPKEDPKKDEPKFSAFTGRKYSLKG >ORUFI02G06330.1 pep chromosome:OR_W1943:2:4204669:4209740:-1 gene:ORUFI02G06330 transcript:ORUFI02G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRATAVSRLARAARAAAAARRHHAGGRDPLRALASLAGDASASAGGGARRPAWFAPPMGRLGGGGLLVPPPPPQRRLFHPTQAARYSTSSSSQITPGEFTEMAWEGVVGAVDAARMSKQQVVEAEHLMKALLEQKDGLARRIFSKAGIDNTSVLQATDEFISRQPKVVGDTSGPIIGSSFVSILDNARKHKKEYADEFVSVEHILRAFTEDKRFGQQLFRDLKIGENELKEAISAVRGSQRVTDQNPEGKYQALEKYGIDMTELARRGKLDPVIGRDDEVRRCIQILCRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLQNRKLISLDMGALLAGAKFQGQFEERLKAVLKEITASNGQIILFIDEIHTIVGAGAAGGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDAALERRFQQVYCGEPAVEDTISILRGLRERYELHHGVKISDGALVSAAVLSDRYITGPIDLVDEAAAKLKMEITSKPIELDEVDREIIRLEMEKLSLKNDTDKASKQRLSKLEADLESLKQKQKNLSEHWEYEKSLMTRIRSIKEETDRVNLEIEAAEREYDLNRAAELKYGTLLSLQKQLEEAENKLMEFQQSGKSMLREEVTDVDIAEIVSKWTGIPVSNLQQSEKEKLLLLEDVLHKRVIGQDIAVKSVANAIRRSRAGLSDPNRPIASLMFMGPTGVGKTELGKTLAEFLFNTENALIRIDMSEYMEKHAVSRLVGAPPGYIGYGEGGQLTEAVRRRPYSVVLFDEIEKAHQDVFNILLQLLDDGRITDSQGRTVSFTNCVIIMTSNIGSPLILDTLRNTSDSKEAVYEIMKKQVIDMARQSFRPEFLNRIDEYIVFQPLDTTEINRIVEIQLNRVKNRLRQQKIHLQYTPEAVEHLGSLGFDPNYGARPVKRVIQQMVENEIALSVLKGDFKEDDTVLVDVSSVAIAKGLAPQKKLVLQRLENANLELVAND >ORUFI02G06340.1 pep chromosome:OR_W1943:2:4210428:4214937:-1 gene:ORUFI02G06340 transcript:ORUFI02G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEERQGRVGGHGVSGGGGGGRDQFPVGMRVLAVDDDPTCLKILENLLLRCQYHVTTTGQAATALKLLRENKDQFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGETQTVMKGITHGACDYLLKPVRLEQLRTIWQHVIRRKNCDAKNRGNDDDAGQKAQGMNNEGESIGANRNKRQSRKSRDENGDDGDDSDENSNENGDSSTQKKPRVVWSVELHRKFVAAVNQLGIEKAVPKKILDLMNVENITRENVASHLQKYRLYLKRLSTDASRQANLAAAFGGRNPAYINMNSFGNYNAYGRYRTVPTAGHTQANNILTRMNSPSAFGVHGLLHSQPIQLGHAQNNLSTSLNDLGGLNNGNMIRGAQMSTILTGPSGNSFPNISNGAPLATANRSLQPLESSNQQHLSRVHSSSADPFSTLVGESPQFPDLGRTTNTWQTAVPSNIQDRGHNDNMSQATLHMNGPKIEPVSSFTSSNQIPLLGNEMQGQVASLASNVPIAFNQDTSPFNYGSSTNSRDMLNNSHVFSNSSINTSLPNLSLDNPAVPRQTLDRGNTGIVSPMQDGKIHHQAVSNQLNYNDDLMRTTGLQRGLSGGLDDIVVDMFRPDREDDGVPYIDGDWELISEEKLLNYSLN >ORUFI02G06350.1 pep chromosome:OR_W1943:2:4232758:4233354:-1 gene:ORUFI02G06350 transcript:ORUFI02G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMSKLAGGGGDVTFVGGGRRMAAAAAAALRLVELDLIGTVGAAVPGQATAPRLLVVSPALAKEEDEEEERDGDDDGEPRQLFACHYCRREFYSSQALGGHQNAHKRERTLARRGAGAGAGGEQASSSSFAIHHGAFVSASPGWMARVLHGEAPPAISVAGDGGGGERWWWGGGNVGYYWPRDGDDQTRQLDLTLKL >ORUFI02G06360.1 pep chromosome:OR_W1943:2:4235723:4236224:-1 gene:ORUFI02G06360 transcript:ORUFI02G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGDEEAEREGRRRRRGRTTAAAVREDSGGGVLGLIFGKRQAEERAARDRRGQGRRLHPVRGYGARRTGWSGSGARRAGWSAAEDIKGGLVRATAADVLGWLVLAVVPEAEHFALSSSSSSGARLSGGSGGGSATTDVDAKQLQPTTTRRRREEDGSGVVVVALS >ORUFI02G06370.1 pep chromosome:OR_W1943:2:4237379:4239541:-1 gene:ORUFI02G06370 transcript:ORUFI02G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLGFVSLQIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTVATDFQRVFKIHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPQAFASLVSALLYEKRFGPYFCQPVIAGLGDNDEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPSMEPEELFEMISQALQASVDRDCLSGWGGYVLLVTPTEVHERVIKGRMD >ORUFI02G06380.1 pep chromosome:OR_W1943:2:4242720:4245766:-1 gene:ORUFI02G06380 transcript:ORUFI02G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLTPLSSSSSRFFFLLVLVLVLSCSLPANGRTHRSPAAAASPSPGPGPSPAPATPRVVPPAPAPATGGGGGGGGIFSSNGSLAVTPAAAAAAVAPSPPLGAVAAMEQRQHHHFHKELIIAIGLASVAGMAIVATVLYACILCRHSHRAHESKNIRSSSDTARVALVPMLNKFNSMKTNKKGLVAMMEYNTLETATGKFSESNLLGAGGFGCVYKANFEGGLVAAVKRFGHRGQDCEKEFENELDLLGSIRHLNIVSLLGFCIHEENRFIVYELMENGSLEAQLHGPSHGSALSWHIRMKIALDTARGLEYLHEHCNPPVIHRDLKSSNILLDSDFNAKISDFGLAVTSGNHSKGSLKLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLLGRRPVEKTAQSQCQSIVTWAMPQLTDRSKLPNIIDPMIKNTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPMELGGTLRISPESPCATRKQSPC >ORUFI02G06390.1 pep chromosome:OR_W1943:2:4255109:4260492:1 gene:ORUFI02G06390 transcript:ORUFI02G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAGDDDAVAAGIICSLRGADLAGWTPPWWCSSSSKGAAREELIWPPVTRGKRSRRRSPSAVAAAAGKKGRWARASPASPLDYSGGSGSGSGSGSGSAASTSGGEDGTFCSPPGHRPAPATTKVGAMGRQQQLPFSAPSPLRPAGQRPRKKMRLPDVQQLVRSLAVENDSLREEMRTLQRACAALSKENDKLEIRLQISSSRNKPMITEDLKGKQQIDQQSATQSIGGGFALPDLNIPVQDAADGSVH >ORUFI02G06400.1 pep chromosome:OR_W1943:2:4263361:4266403:1 gene:ORUFI02G06400 transcript:ORUFI02G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHYPDHGLAMDPSSAAASSPNPSFSPGGGGGAGVGGGEREKAAVAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAASAAAAAGGPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMGCWELEQSLQSLTGASPGEGTGATMSDDEDNQVDSEANMFDGNDGSDGMGFGPLMLTEGERSLVERVRHELKNELKQGYKEKLVDIREEILRKRRAGKLPGDTASILKAWWQAHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSGEKTKKKR >ORUFI02G06410.1 pep chromosome:OR_W1943:2:4267911:4272637:-1 gene:ORUFI02G06410 transcript:ORUFI02G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGGEDPSGSASSSASALDSLPFSSGNPRIEETRGVVLLHPEPPAASSSSLLPVGRKPRVCVPGVPNHLTYADFGRFCASWASHILETRIIRIDGVEDQYGVLIKFDTQSFTDSFYMSFNGNRFSSLEGNVCRVRFVEDVHYTQLIEHAHSSVTSSAEQPTCPNDLTKILEAFLQQYATILSTIRACQSGQTLRAQYCQQEPEKSSCSVCGTSENLWICVICGHVGCGRYKGGHAIEHWKETQHCYSLELETQKVWDYAGDNYVHRLIQSKTDGNLVEYNFYGDHSVDGMCSTCNGDAGISEALLDSKMEAIVEEYNDLVTSQLEKQRNYYESLLLEVKEDNEKEIAAATEKAVGIKVQKLQAKLDKCMEETGFLNDIHENLVKNMEMWRERIQKVKEREQAAIRLKDEKIEKLEEELRDLIAHFERQNTVAEASESMSSDINAFMETEASPPSPMSSLRDRLRATVCCCFGYGGGGGGGGLGDTVAQWRRRVGSPGEFRYDPLSYALNFDEGAADDEDDDYEAGDNHRADGLLYRSFSPPAAAIAAV >ORUFI02G06410.2 pep chromosome:OR_W1943:2:4268697:4272637:-1 gene:ORUFI02G06410 transcript:ORUFI02G06410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGGEDPSGSASSSASALDSLPFSSGNPRIEETRGVVLLHPEPPAASSSSLLPVGRKPRVCVPGVPNHLTYADFGRFCASWASHILETRIIRIDGVEDQYGVLIKFDTQSFTDSFYMSFNGNRFSSLEGNVCRVRFVEDVHYTQLIEHAHSSVTSSAEQPTCPNDLTKILEAFLQQYATILSTIRACQSGQTLRAQYCQQEPEKSSCSVCGTSENLWICVICGHVGCGRYKGGHAIEHWKETQHCYSLELETQKVWDYAGDNYVHRLIQSKTDGNLVEYNFYGDHSVDGMCSTCNGDAGISEALLDSKMEAIVEEYNDLVTSQLEKQRNYYESLLLEVKEDNEKEIAAATEKAVGIKVQKLQAKLDKCMEETGFLNDIHENLVKNMEMWRERIQKVKEREQAAIRLKDEKIEKLEEELRDLIAHFERQNTVAEASESMSSDINGSTILSVPSESSASSNSSIRN >ORUFI02G06420.1 pep chromosome:OR_W1943:2:4273668:4283627:1 gene:ORUFI02G06420 transcript:ORUFI02G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKLYRSSFSRVPDAGFATAVCSRESMTRTSALPELSSYMRRIISRGVDLMRTAMVCPRNSCFITPFLCTMMVLNADEMGIRGESVR >ORUFI02G06430.1 pep chromosome:OR_W1943:2:4278607:4279916:-1 gene:ORUFI02G06430 transcript:ORUFI02G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPAWLEALNTQKFFIACSFHEHAKKNEKNICCLDCCTSICPHCVAAHRVHRLLQVRRYVYHDVVRLEDLEKLIDCSSVQVEYILRQKKDLSAYLRPCKTLQLGPDFFIPHDADDETTHSTLVDVDEPMGSSDSENLSAPCPNFVRKKRSGPYICARSANRVSDDDMATNMSRRKGVPHRSPLC >ORUFI02G06440.1 pep chromosome:OR_W1943:2:4289042:4292467:1 gene:ORUFI02G06440 transcript:ORUFI02G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVIMASRAPSLLLLLIVLSLVVLAALLAAAAADASAVAGGGRSSPSTTTFVLAGERTRRKDPLDGLRLYSGGWNISDEHYWASVGFTAAPVFAAAAIWFVVFGVSLFLAGCCFCCCPGSSRRGGGSYSCTALVVSLVLLLAFTAAAAVGCGVLYDGQGRFDGSTAATVEYVAGKSGDAVASLRGFASSMEAAKAAGVGPVSLPASVKGSIDGVVRKMSSAADELAARMASNAAKIRDALETIRKILIVVAATMLILAVLGLAFSICGMESLVYVLVFLGWILVAATLLLCGTFLLLHNVVGDTCAAMGEWVQRPQARTALDDILPCVDTAAAGDALARSKDVTHHLVTVLNGVIANVSNAAAAGLPPPLYYNQSGPPVPLLCSPGERCAPGEVDLAAAPRAWRERVCRTTRAAAAAPEVCATVGRLTPAMYAQMVAAASACDALSRYGPVLADMADCAFVRRAFRVVGDEHCPGLGRHSAEVYRGLLAVAVAALASVVLWVAHSRERRRRRDAVELRAAASPYTVHHSHLEEGALLKSPRMMYR >ORUFI02G06450.1 pep chromosome:OR_W1943:2:4294555:4294899:1 gene:ORUFI02G06450 transcript:ORUFI02G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVNSSSSSSSSSSPAKNAGAPAAVAKYCLCAPTTHPGSFRCRLHRSPAATAKAKAAIVPPPATEEEEEEGEEMAAARAFLARASRKSRQDGGRNRIKCFHPRTSRLGIIEE >ORUFI02G06460.1 pep chromosome:OR_W1943:2:4296589:4311787:-1 gene:ORUFI02G06460 transcript:ORUFI02G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVCEGKDFSFPAEEERVLRLWEELDAFHEQLRRTAGGEEFVFYDGPPFATGLPHYGHLLAGSIKDAVARHHAMRGRHVARRFGWDCHGVPVERAVDEALRIATRAQVLEMGVASYNDACRGVVTRYVAEWEAVVTRMGRWVDFEDGYKTMDIKFMESVWWVFAQLWDKDLVYKSFKVMPYSTGMKTPLSNFEAGQHRQFVPAETAMVSFPVVGDVDNAALVAWTTTPWTLPSNLALCVNAKFVYAKVKDKSNGSVYIVAETRLDQLPVTVKVTGKKPGPSKGSSGAAKNGLDTESYELLEKIHGSSLVGLRYTPLFDYFSELQDTAFRVVADNCVADDTGTGVVHFAPAFGEDDYRVCLASGIVESAGGYQSMLITFNADAISTALISQAISEKLCIPPAEFRVEILPKMTNDNVGAESRVEVLPKMTNDNVGVPNKVVLGCHLPDGQEVPSSPPEGDLEKLTAAPLPSVVSPLSPSAPNTAVALTVSTSHGSVPSSLNEGHGVSSKIAAPKEEHVTPTMDPPSRCEHLPLEVAPSNLVTDKAELLEITKAPAVKNRLAKRVRQKIITATSRRSVPLPSNMKKRRLLIDQMKTYVLMKKLGIITKDEEVDEDTMDRCIAFLKEAYPGHYVQLAVLGVLGVLGALVFAGGVGEFWGRDVWVGGEVFIVVPNNNHHGFKSLATGTDLVVAVDDDGFFKDKISEFNGLLVTDKETNKYVINAVKCRFIRVERIRDQLLECNKETYWVPDYVKEKRFHNWLEGARDWAVSRTRFWGTPLPLWISQDGEEIIVMDSVDKLEKLSGVKISDLHRHHIDGITVPSQRGEEFGALKRVDEVFDCWFESGSMPYAYIHYPFENRELFEKNFPGDFIAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKNNYPSPMEVIDNYGADALRLYVINSPVVRAESLRFNKNGVHGVVKDVFLPWYNAYRFLVQNAKRLEVEGLAVFSPINQAILLRSSNVLDHWIQSATESLVSFVRQEMDAYRLYTVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEDDCRISLSTLYHALVTTCVVMAPFTPFFTEVLYQNLRKVSSKSEKSIHFCNFPSSTGQIDERVEHSVNRMMTIVDLARNIRERHNRPLKTPLRHAHYTTILFTQFLVLWEMVVVHPDNDFLEDITGKLKEYVKEEMNVKMVTACSDPLVYSSLRAEPNFSVLGKRLGKDMGKISNEVKKMTQDQILTFEESGEIFFGSHLLTLEDIKVFREFKRPANVSEKEIDAAGDGDVLVILDLQVDQSLFEAGVAREVVNKIQKLKKTAQLRLTDPVDVYYSSQDSSDSSLEKILQSQDQYIRDALGSPLTSKAQAQPNVEVICEEAYNCVSHYHTFRLLQETVKLVHPFIKIEDFP >ORUFI02G06470.1 pep chromosome:OR_W1943:2:4313702:4321423:-1 gene:ORUFI02G06470 transcript:ORUFI02G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVLEDTTAAAAAPAATTGGGEVAGAGAGEEGEAAADAEALKDDVYTGAAYGDLEKLHRLVEREGRPVTEPDALGYHALQWAALNNRVAAAQYILEYVLSFSVVCFGGMTVLSLLSGQHGADVNAIDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQTTHVAAQYGQTSYLYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSLKCFSLGCTPLHWAAIRGNLESCTVLVQAGKKEDLMVQDNTGLTPAQLAADKNHRQVAFFLGNARRVHERGCGQYSSNMTLLFGLFSWLGIFLATAGLVMFYKCSRKDPGYIDKNTRDAQNQRDDEPLLKRGLDNPELLAGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFLILEVSAMIITGVTAVIRVVGDPDSPASFGGWLNYSATNHPWVVSFVVMDLFLFFGVITLTVVQASQISRNLTTNEMANAMRYSYLRGPGGRFRNPFDHGVRKNCSDFLLKGYNEDIERVEQTLQPDEELGMIQMTRSAVSQNGESMSLHANGTDHGCADPQGNSKSHRHSHGSSQCCSHSKRPDKTPLGLGLGLGRNNPSSRYTRSLPSIHSESSAYLPL >ORUFI02G06480.1 pep chromosome:OR_W1943:2:4322256:4325684:1 gene:ORUFI02G06480 transcript:ORUFI02G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLGPSKRPDLSQHRTAAALGEKKKKTLTGLALISSILLTLPLSPPPPPHPNAMAAAVASHRFLSVAEAGAAFRWTPSQLDPKMLSLQNEIFITSGGDRDLINRILSKSKTETVPENKDDGESDDDNDEEGDDEDAENQGDDDAGDEDASEEEGNDEDEDGDPEANGEGGSDDDDGGEDDDDEDEDGDDDEGEGEGEDDDEDEEEEEEEDDDDDVPQPPAKKRK >ORUFI02G06490.1 pep chromosome:OR_W1943:2:4322463:4322672:-1 gene:ORUFI02G06490 transcript:ORUFI02G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSTAAQAARYSGGREEYLGVELGRGPAEGGARLGDGEEPMGGDRGSHGVGVGRRRRRERKGEQDR >ORUFI02G06500.1 pep chromosome:OR_W1943:2:4324734:4331214:-1 gene:ORUFI02G06500 transcript:ORUFI02G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAILPELAAQVVIPVAAAVGIAFAVLQWALVSKVKLTAEPRRGEAGGAAGGKSGPSDYLIEEEEGLNDHNVVSKCAEIQTAISEVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLLVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMVYPLLVSSVGIIACLITTLFATDFFEIKAVSEIEPALKKQLIISTAVMTVGIALVSWLGLPYTFTIFNFGAQKTVQSWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWLRDVIVVILFLFFLLILIVILALALTLIIVPVLILVIVIFSTIVVITPSFTIGFRVPVLVLIIPFLFRGIFITSIIVALIFSILVVSLLIVIIIRLTIILVLRNRFSFAFAENPLGEGSKTNLSFEMRY >ORUFI02G06500.2 pep chromosome:OR_W1943:2:4324734:4331214:-1 gene:ORUFI02G06500 transcript:ORUFI02G06500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAILPELAAQVVIPVAAAVGIAFAVLQWALVSKVKLTAEPRRGEAGGAAGGKSGPSDYLIEEEEGLNDHNVVSKCAEIQTAISEGATSFLFTEYKYVGLFMSIFAVLIFLFLGSVEGFSTKSQPCHYSKDKTCKPALANAIFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLLVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMVYPLLVSSVGIIACLITTLFATDFFEIKAVSEIEPALKKQLIISTAVMTVGIALVSWLGLPYTFTIFNFGAQKTVQSWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWLRDVIVVILFLFFLLILIVILALALTLIIVPVLILVIVIFSTIVVITPSFTIGFRVPVLVLIIPFLFRGIFITSIIVALIFSILVVSLLIVIIIRLTIILVLRNRFSFAFAENPLGEGSKTNLSFEMRY >ORUFI02G06510.1 pep chromosome:OR_W1943:2:4331347:4338646:-1 gene:ORUFI02G06510 transcript:ORUFI02G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASEYRAQRNQEEIERETTTKIRISTCGRATGLPSLRGAVAKQEVCLRHGTISQARVHGSGSRHARQRSPAGPNPRDVASNFEITKPHRQLMRLVLAASLCCVLLLLRSSPSPPPPHL >ORUFI02G06520.1 pep chromosome:OR_W1943:2:4342188:4350676:1 gene:ORUFI02G06520 transcript:ORUFI02G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHFSALAPSPLLFLLFLPFPWLRLHSSAHSSPPPRSRRDLHGGGGGMAGNDNWINSYLDAILDAGKAAIGGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQERNTRLENMTWRIWNLARKKKERVSLATVYVIVPRATILEALNFVILLSTICSYMQFEKEEACRLLKRQPEAEKLRTDTNADMSEDLFEGEKGEDAGDPSVAYGDSTTGSSPKTSSIDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALNSSPGVYRVDLLTRQILAPNFDRSYGEPTEMLVSTSFKNSKQEKGENSGAYIIRIPFGPKDKYLAKEHLWPFIQEFVDGALGHIVRMSKTIGEEIGCGHPVWPAVIHGHYASAGIAAALLSGSLNIPMAFTGHFLGKDKLEGLLKQGRHSREQINMTYKIMCRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRYMPRMVIIPPGVEFGHIIHDFEMDGEEENPCPASEDPPIWSQIMRFFTNPRKPMILAVARPYPEKNITSLVKAFGECRPLRELANLTLIMGNREAISKMNNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMNGLPIIATKNGAPVEINQVLNNGLLVDPHDQNAIADALYKLLSDKQLWSRCRENGLKNIHQFSWPEHCKNYLSRILTLGPRSPAIGGKQEQKAPISGRKHIIVISVDSVNKEDLVRIIRNTIEVTRTEKLSGSTGFVLSTSLTISEIRSLLVSAGMLPTVFDAFICNSGSNIYYPLYSGDTPSSSQVTPAIDQNHQAHIEYRWGGEGLRKYLVKWATSVVERKGRIERQIIFEDPEHSSTYCLAFRVVNPNHLPPLKELRKLMRIQSLRCNALYNHSATRLSVVPIHASRSQALRYLCIRWGIELPNVAVLVGESGDSDYEELLGGLHWTVILKGEFNIPANRIHTVRRYPLQDVVALDSSNIIGIEGYSTDDMKSALQQIGVLTQ >ORUFI02G06520.2 pep chromosome:OR_W1943:2:4342188:4350493:1 gene:ORUFI02G06520 transcript:ORUFI02G06520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHFSALAPSPLLFLLFLPFPWLRLHSSAHSSPPPRSRRDLHGGGGGMAGNDNWINSYLDAILDAGKAAIGGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQERNTRLENMTWRIWNLARKKKERVSLATVYVIVPRATILEALNFVILLSTICSYMQFEKEEACRLLKRQPEAEKLRTDTNADMSEDLFEGEKGEDAGDPSVAYGDSTTGSSPKTSSIDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALNSSPGVYRVDLLTRQILAPNFDRSYGEPTEMLVSTSFKNSKQEKGENSGAYIIRIPFGPKDKYLAKEHLWPFIQEFVDGALGHIVRMSKTIGEEIGCGHPVWPAVIHGHYASAGIAAALLSGSLNIPMAFTGHFLGKDKLEGLLKQGRHSREQINMTYKIMCRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRYMPRMVIIPPGVEFGHIIHDFEMDGEEENPCPASEDPPIWSQIMRFFTNPRKPMILAVARPYPEKNITSLVKAFGECRPLRELANLTLIMGNREAISKMNNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMNGLPIIATKNGAPVEINQVLNNGLLVDPHDQNAIADALYKLLSDKQLWSRCRENGLKNIHQFSWPEHCKNYLSRILTLGPRSPAIGGKQEQKAPISGRKHIIVISVDSVNKEDLVRIIRNTIEVTRTEKLSGSTGFVLSTSLTISEIRSLLVSAGMLPTVFDAFICNSGSNIYYPLYSGDTPSSSQVTPAIDQNHQAHIEYRWGGEGLRKYLVKWATSVVERKGRIERQIIFEDPEHSSTYCLAFRVVNPNHLPPLKELRKLMRIQSLRCNALYNHSATRLSVVPIHASRSQALRYLCIRWGIELPNVAVLVGESGDSDYEELLGGLHWTVILKGEFNIPANRIHTVRRYPLQDVVALDSSNIIGIEGYSTDDMKSALQQIGVLTQ >ORUFI02G06530.1 pep chromosome:OR_W1943:2:4351209:4357348:1 gene:ORUFI02G06530 transcript:ORUFI02G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSSIFGDELIPSSPPSPSPPHHHHAKRSRCSPARAFDEAAHRREALLHHLLSLFPHMDPQLLERALEASGDDIDSAIKSLNELCLESAAVGDSDSVLPAALKLSAEGVVSNGHLDVLTENPHATENFQTNHHGSEWVELFVREMMSASDIDDARARASRALEALEKSIMERAGTEAVHNLHKENVMLKEQLAIYLRENAVLKRGVAIQHERQKEFDERTQEVHSLKQLVLQYQEQIKTLEINNYALRVHLKQAQQNNSMPGRFPPDVF >ORUFI02G06540.1 pep chromosome:OR_W1943:2:4354781:4359463:-1 gene:ORUFI02G06540 transcript:ORUFI02G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPTSCYGYYHYLALAVAVLVLVRVKRTRGGFGRGRLPVIGSLHPLAGKPLVHRALADLARRMDAPLMYLRLGEVPVVVATSPGAAREVMRTHDVAFATRPVSPTVRIMTADGEGLVFAPYGALWRQLRRIAILELLSARRVQSFRRVREEEAARLAAAVAAAAHGEAAVNVSERIAVLIADSAVRTMIGDRFKKRDEFLEALAEGLKLVSGFSLADLFPSSWLASFVTGAARRAQENHRKNFELMDRAIEQHQERRAAAATASGDVVEDDDLVDVLLRIQKGGGLDVPLTMGIIKAVILDLFSAGSETSATTIQWAMSELMRNPRVMKRAQAELRDNLQGKPKVTEEDLADLNYLKLIIKETLRLHLPAPLLLPRESRESCKIFGYDVPKGTTVLVNAWAIGRDPKYWDDPEEFKPERFEDSKIDFKGLDFEFLPFGSGRRMCPGIMFTQPNIELTLATLLYHFDWSLPAGVKPSELDMTEEMGITVRRKNDLYLHADVLIEHLACTAMAPMAQDVAEYLSIFLALVVVPLLLLRVARRARGNGAGRPRLPPGPWRLPVIGSLHHLVGKPHVHRAMADLARRHGAPLMYLRLGEVPFVVASSPGAAREILRAQDANFASRPWSPTLRVMMADGEGLAFARHGAHWRRLRKICVLELLGPRRVRSFRRVREEEVARLLAAVAAAAAAAADAVVNVSERTAVLVTDTTVRAMIGDRFERRDEYLEGVAEVGKLLLGLSLGDLFPSSRLASLVSGTARRAAASHRKMFELMDCAIRQHQERKAAMDADEDILDVLLRIQKEGGDDAPLTMGDVKDTILDLFAAGTETSTATLQWAMSEVVRNPRIMQKAQAELRNKLQGKPSVTEDDLVGLTYLKLVIKETLRLHPAAPMLVPRECGESCKVLGYDVPKGTNVLINVWAIGRDPNYWDETETFKPERHENSKYDFRGTDFEYIPFGSGRRICPGPAFAHAILELALAALLYHFDWELPGGVAPGEVDMTEETGVVVRPKNDLYLRPVVRVPPGAASSGIGGT >ORUFI02G06550.1 pep chromosome:OR_W1943:2:4367509:4369104:1 gene:ORUFI02G06550 transcript:ORUFI02G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLVHRTMADLARRLDAPLMSLRLGELRVVVASSANAAREITKTHDVAFATRPWSSTIRLRKIAVVELLSARRVQSFRRIREDEVGRLVAAVAAAPAAQPVNVSERIAALISDSAVRTIIGDRLGDLFPSSRLASFVGGTTRRAEANHRKNFELIECALRQHEERRAAGAVDDDEDLVDVLLRVQKDGSLQMPLTMGNIKAVVLVEILSIGRTLRHSYLNDLRMAI >ORUFI02G06560.1 pep chromosome:OR_W1943:2:4373045:4376463:1 gene:ORUFI02G06560 transcript:ORUFI02G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQDVTGYLCLFLALLVLLKVVRKASGNGAAGRLRLPPGPWRLPVIGNLHQIAMGGPLVHRTMADLARRHDAPLMSLRLGEVPVVVASSADAAREITKTHDVAFATRPLSSTIRVMVSDGEGLVFTPYGALWRRLRKIAMLELLSARRVQSFRRVREEEVGRLVAAVAAAAAARPGEAVNLSQLIAELISDTAARTIIGDRFEKRQELLEGLTEGIRISSGFSLGDLFPSSRLANLIGGTTRRAEANHRKNLALIECALRQHEERRAAGDEEDDEDLVDVLLRVQKEGGGEVPLTMGNVKVVIREMFGAGSETSANTLQWLMSELILNPRVMSKAQVELSDTLRGKQTVTEDDLAGLKYLKLIIKENLRLHPVVPLLLPRECQKTCKVMMYDVPVGTTVLVNVWSINRDPKYWEDPETFKPERFEDGHIDFKGTDFEFIPFGAGRRMCPGITFAEAIMELALASLLYHFDWKLLGNGISSTKLDMTEELGATVRRKNDLYLVPTIRVPLPADS >ORUFI02G06570.1 pep chromosome:OR_W1943:2:4379642:4381530:1 gene:ORUFI02G06570 transcript:ORUFI02G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASRGFRRGHGGCRSXSARRVQSFRGIREDEVGRLVAAVAAASAAQPGEAVNVSERIAVLIADSVVRALMGDRFDRRDEFLDQLAERVKITSGFSLGDLFPSSRLASFIGGTTRRAEANHRKNFELIECALRQHEERRAARASAAAAGAVDDDEDLVDVLLRIQKEGKLEVPLTMGNINAVIYDLFGAGSETSANTLQWVMSELILNPRVMLKLQAELRGILQGKQRVTEDDLVELKYLKLVIKETLRLHPVVPLLLARECQDTCKIMGYDIPVGTTVFVNVWVICRESKYWKDAETFRPERFENVCVDFKGTHFEYIPFGAGRRMCPGVAFAEASMELVLASLLYHFDWKLPNDILPTKLDMTEEMGLSIRRKNDLYLIPTICVSPLAA >ORUFI02G06580.1 pep chromosome:OR_W1943:2:4391045:4392342:-1 gene:ORUFI02G06580 transcript:ORUFI02G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKEAFTWVKSNNRRLLNVGDIDRTSTSYICTSCSMWLTEEDRMMDGCYCAMSSTSLYHATTPFHDSIIDYRSPSGCPLHYLSQDTYRHLHPYSKIQ >ORUFI02G06580.2 pep chromosome:OR_W1943:2:4391142:4392342:-1 gene:ORUFI02G06580 transcript:ORUFI02G06580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKEAFTWVKSNNRRLLNVGDIDRTSTSYICTSCSMWLTEEDRVESASNGDDGWLLLRNVEHISIPCHYTLP >ORUFI02G06590.1 pep chromosome:OR_W1943:2:4392904:4394970:1 gene:ORUFI02G06590 transcript:ORUFI02G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQDVTGYLCLSLALLLLTLVLHKVARKATGNGAGKPRLPPGPWRLPVIGNLHQIMVGGPLVHRTMADLARRLDAPLMSLRLGELRVVVASSADAAREITKTHDVAFATRPWSSTIRVLMSDGVGLVFAPYGALWRQLRKIAVVELLSARRVQSFRRIREDEVGRLVAAVAAAPAAQPVNVSERIAALISDSAVRTIIGDRFERRDEFLEGLAEGIKITSGFSLGDLFPSSRLASFVGGTTRRAEANHRKNFELIECALRQHEERRAAGAVDDDEDLVDVLLRVRSLLRLLHRREAPLRHHLHRCSPAGRETGGRPGGVDGEPQVHRARTFDPTLVVEKDGTGTGH >ORUFI02G06600.1 pep chromosome:OR_W1943:2:4401283:4403390:-1 gene:ORUFI02G06600 transcript:ORUFI02G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDRRWPASGGRWRLRRRRCFGPRCLRRRSPRSRGTIGGCSTSATLTEQARPTFAHHAPCGSPQRIGWSLPMMEMMDGCYCAMSSPSLYHAATPFHYSIIDYRSPSGCPLHYLSQDTDRHLHPYSKIQESHQDPRMVSHDMGTFMQAKSKGIHASKFVTLEGADVGG >ORUFI02G06610.1 pep chromosome:OR_W1943:2:4406190:4406741:1 gene:ORUFI02G06610 transcript:ORUFI02G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELIMNPKVMLKAQDELSNVIKGKQTISEDDLVELRYLKLVIKETLRLHPVVPLLLPRKCRETCEAMGYDMDVWAIGRDPKYWEDAETFRPEQFEDGHIDFKGTDFEFIPFGAGQRMCPGMAFAEAIMELVLASLLYHFDWELPDGISPTKVDMMEELGATIRKKNDLYLVPTVRVPMSTAL >ORUFI02G06620.1 pep chromosome:OR_W1943:2:4432473:4456683:1 gene:ORUFI02G06620 transcript:ORUFI02G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDVPIGTIVLVNMWVIGRDPKYWEDAKTFRPERFEDGHVDFKGMNFEYLPFGAGRRMCPGVAFAEAIMELALASLLYHFDWEFPDGISPAKMDMMEVMGSTVRKKNDLYLVPNAHVAWCACFLLLALMVVRLTAKRRGDNGAARLPPGPWRLPLVGNLHQVMARGPLVHRTMADLARRLDAPLMSLRLGEVPVVVASSADAAREIMRTHDVAFATRPWSPTTRRLRCDGEGVVFATYGALWRQLRKLCVVELLGERRVRSFRRVREEEARRLVAAVAASPRGEAVNVSERITAVITDATMRAMIGGRFGRRDEFLELLADIVKIGSGFSLDDLFPSWRLAGAIGGMARRAEANHRRTYELMDSVFQQHEQRRVHVAAPADGAMDDAEVDLVDVLFRIQKDGGLEVPLTIGNIKAIILDLFNAGSETSANTLQWVMSELMRNPKVMRKAQAELRNNLQGKTTVTEDDLTNLKYLKLVIKETLRLHPVLPLLLPRECREACNVIGYDVPKYTTVFINVWAINRDPKYWDMADMFKPERFDNSMIDFKGTDFEFVPFGAGRRICPGIAFAQSNMELVLATLLYHFDWELPSGMSPEELDMTEDMGLSVRRKNDLYLHPTVSCFAAAAAVVVVVLLLARMLLAPRGEWDGLNLPPSPPRLPFIGSFHLLRRSPLVHRALADVARQLGSPPLMYMRIGELPAIVVSSADAAREVMKTHDIKFASRPWPPTIRKLRAQGKGIFFEPYGALWRQLRKICIEAGRLVAAVAATPPGQAVNLTERIEVVIADTTMRPMIGERFERREDFLELLPEIVKIASGFSLDDLFPSSWLACAIGGSQRRGEASHRTSYELVDSAFRQRQQQREAMAASPPDIAKEEEDDLMDELIRIHKEGSLEVPLTAGNLKAVILPPPAAMEQVSCFAAAAAVVVVVLLLARMLLAPRGEWDGLNLPPSPSRLPFIGSFHLLRRSPLVHRALADVVRQLGAPPLMYMEIGEVPAIVVSCADAAREIMKTHDINFASRPWPPTVQKLRAQGKGIFFEPYGALWRQLRKICIVKLLSVRRVSSFHGVREEEAGRLVAAVAATPPGQAVNLTERIKVAIADTTMRPMIGERFERREDFLEVLPPSPTCSRRRGSPAPSAARGEAVNRASYELVDSAFRQRQQQKEAMAAPPPDIAKEEEDDLMDELIRIHKEGSLEVPLTAGNLKAVILELFCAGSETSSNAIQWAMSELVRNPKVMEKAQNEVRSILKGKPTVTEADMVDLTYVKMIVKETHRLHPVLPLLTPRVCQQTCQIMGYDVPQGSVIFIKSWAIMRDPKHWDDAETFKPERFEDSEIDLKGTNYEFTPYGAGRRICPGLALAQVSIEFILTMLLYHFNWELPNGAAPEELDMTEDMGLTIRRKNDLYLLPTLRLARSGDGGGGGSGGVRLPPGPWRLPVIGSLHHVVGDRLLHRSMARIARRLGDAPLVYLQLGEVPVVVASSPGAAREVTRTHDLAFADRALNPTARRLRPGGAGVALAPYGALWRQLRKICVVELLSARRVRSFRRVREEEAGRLVGALAAAAASPGEEAAVNFTERIAEAVSDAALRAMIGDRFERRDEFLQELTEQMKLLGGFSLDDLFPSSWLASAIGGRARRAEANSRKLYELMDCAIRQHQQQRAEAAVVDGGAGVEDDKNQDLIDVLLNIQKQGELETPLTMEQIKAVILDLFSGGSETSATTLQWAMSELIKNPMVMQKTQAELRDKLRRKPTVTEDDLSGLKYVKLIIKETLRLHPVVPLLVARECRESCKVMGYDVPKGTTVFVNAWAIGRDPKYWDDAEEFRPERFEHSTVDFKGIDLEFIPFGAGRRICPGMAFAEAIMELLLAALLYHFDWELPNGMAASELDMTEEMGITVRRKNDLHLRPHPPCVVRSNFRSFVERERERHFLTNGGDGVKLPPGPWRLPVIGSMHHLMGESLVHRAMADLARRLDAPLMYLKLGEVPVVLASSPCAAREIMRAHDVAFASRPLSPTVRRMRPPPGDGSSARSASSSCSARAASGRSAASGRRRWPASWARSCVWPMWPRSGCIVVV >ORUFI02G06620.2 pep chromosome:OR_W1943:2:4432473:4456683:1 gene:ORUFI02G06620 transcript:ORUFI02G06620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDVPIGTIVLVNMWVIGRDPKYWEDAKTFRPERFEDGHVDFKGMNFEYLPFGAGRRMCPGVAFAEAIMELALASLLYHFDWEFPDGISPAKMDMMEVMGSTVRKKNDLYLVPNAHVAWCACFLLLALMVVRLTAKRRGDNGAARLPPGPWRLPLVGNLHQVMARGPLVHRTMADLARRLDAPLMSLRLGEVPVVVASSADAAREIMRTHDVAFATRPWSPTTRRLRCDGEGVVFATYGALWRQLRKLCVVELLGERRVRSFRRVREEEARRLVAAVAASPRGEAVNVSERITAVITDATMRAMIGGRFGRRDEFLELLADIVKIGSGFSLDDLFPSWRLAGAIGGMARRAEANHRRTYELMDSVFQQHEQRRVHVAAPADGAMDDAEVDLVDVLFRIQKDGGLEVPLTIGNIKAIILDLFNAGSETSANTLQWVMSELMRNPKVMRKAQAELRNNLQGKTTVTEDDLTNLKYLKLVIKETLRLHPVLPLLLPRECREACNVIGYDVPKYTTVFINVWAINRDPKYWDMADMFKPERFDNSMIDFKGTDFEFVPFGAGRRICPGIAFAQSNMELVLATLLYHFDWELPSGMSPEELDMTEDMGLSVRRKNDLYLHPTVSCFAAAAAVVVVVLLLARMLLAPRGEWDGLNLPPSPPRLPFIGSFHLLRRSPLVHRALADVARQLGSPPLMYMRIGELPAIVVSSADAAREVMKTHDIKFASRPWPPTIRKLRAQGKGIFFEPYGALWRQLRKICIEEAGRLVAAVAATPPGQAVNLTERIEVVIADTTMRPMIGERFERREDFLELLPEIVKIASGFSLDDLFPSSWLACAIGGSQRRGEASHRTSYELVDSAFRQRQQQREAMAASPPDIAKEEEDDLMDELIRIHKEGSLEELFCAGSETSSNAIQWAMSELVRNPKVMEKAQNEVRSILKGKPTVTEADMVDLTYVKMIVKETHRLHPVLPLLTPRVCQQTCQIMGYDVPQGSVIFIKSWAIMRDPKHWDDAETFKPERFEDSEIDLKGTNYEFTPYGAGRRICPGLALAQVSIEFILTMLLYHFNWELPNGAAPEELDMTEDMGLTIRRKNDLYLLPTLRLARSGDGGGGGSGGVRLPPGPWRLPVIGSLHHVVGDRLLHRSMARIARRLGDAPLVYLQLGEVPVVVASSPGAAREVTRTHDLAFADRALNPTARRLRPGGAGVALAPYGALWRQLRKICVVELLSARRVRSFRRVREEEAGRLVGALAAAAASPGEEAAVNFTERIAEAVSDAALRAMIGDRFERRDEFLQELTEQMKLLGGFSLDDLFPSSWLASAIGGRARRAEANSRKLYELMDCAIRQHQQQRAEAAVVDGGAGVEDDKNQDLIDVLLNIQKQGELETPLTMEQIKAVILDLFSGGSETSATTLQWAMSELIKNPMVMQKTQAELRDKLRRKPTVTEDDLSGLKYVKLIIKETLRLHPVVPLLVARECRESCKVMGYDVPKGTTVFVNAWAIGRDPKYWDDAEEFRPERFEHSTVDFKGIDLEFIPFGAGRRICPGMAFAEAIMELLLAALLYHFDWELPNGMAASELDMTEEMGITVRRKNDLHLRPHPPCVVRSNFRSFVERERERHFLTNGGDGVKLPPGPWRLPVIGSMHHLMGESLVHRAMADLARRLDAPLMYLKLGEVPVVLASSPCAAREIMRAHDVAFASRPLSPTVRRMRPPPGDGSSARSASSSCSARAASGRSAASGRRRWPASWARSCVWPMWPRSGCIVVV >ORUFI02G06620.3 pep chromosome:OR_W1943:2:4407846:4432930:1 gene:ORUFI02G06620 transcript:ORUFI02G06620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVQMQDVTGYVCLSLALVLLTLVLHKCSRPTARCGGSSARSPWWSCSARAASSLIVAIAGAATQPVNVVSERIAYLKLIIKETLRLHPVVPLLLPRECRETCEVMGYDIPIDFKGTNFEFIPFGAGRRMCPGMAFAEVIMELALASLLYHFDWELPAGISPTKVDMMEELGATIRRKNDLYLIPAVRLHLHIAMAMADLELEKVASFLLAALLPLVLFKLAAAKRGGGDGGMRLPPGPWRLPVIGNLHQIMAGGQLVHRTMAGLARGLGDAPLLSLRLGEVPVVVASSADAAREIMSRHDAKFATRPWSPTVRVQMVDGEGLAFAPYGALWRQLRKITMVELLSPRRVRSFRRVREEEVGRLVVAVATAATRRPGEAAVNVGERLTVLITDIAVRTIIGDRFERREDFLDAAAEWVKIMSGFSLGDLFPSSRLASFVSGTVRRAEANHRKNFELMDYALKQHEEKRAAAAAAGAGAVEDDEDIVDVLLRIQKEGGLEVPLTMGVIKGVIRDLFGAGSETSANTLQWTMSELVRNPRVMQKAQTELRDCLRGKQSVSEDDLIGLKYLKLVIKETLRLHPVVPLLLPRECQETCNIMGYDVPKGTNVLVNVWAICRDPRHWENAETFIPERFEDSTVDFKGTDFEFIPFGAGRRMCPGLAFAQVSMELALASLLYHFDWELPSGVAPSNLDMEEEMGITIRRKNDLYLVPKVAWCACFLFLALMVVRLRTKRRGDNNGGVKLPPGPWRLPLVGNLHQVMARGPLVHRTMADLARRLDAPLMSLRLGEVPVVVASSADAAREITKTHDVAFATRPWSSTIRVMMSDGVGLVFAPYGALWRQLRKIAMVELLSARRVQSFRRIREDEVGRLVAAVAAAQPGEAVNVSERIAALVSDAAVRTIIGDRFERRDEFLEGLAEGIKITSGFSLGDLFPSSRLASFIGGTTRRAEANHRKNFELMECALKQHEEKRAAAAAAAAGAVEDDEDIVDVLLRIQKEGSLQVPLTMGNIKAVVLDLFGAGSETSANTLQ >ORUFI02G06630.1 pep chromosome:OR_W1943:2:4457649:4458274:1 gene:ORUFI02G06630 transcript:ORUFI02G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMKNPRVMRKVQAELRDKLAGKPRVTEDDLSDLKDPNYWDDAEVFRLERFANSTIDFKGMDMEFIPFGAGRRMCSGLAFAEAIIDLLFSTLLFHFDWELPCGMTASELDMIEEMALTVRRKNDLHLRPILRVPQTQTSSALLFCERAQTSSVFLF >ORUFI02G06640.1 pep chromosome:OR_W1943:2:4459359:4462215:-1 gene:ORUFI02G06640 transcript:ORUFI02G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSPSSSPPATAAAVLRCGSPSCRPVTHELFRQKLSFMVSFQAQHMRCAPHLIKSVVKGIRANITDGENGATEPARELLERLFAKTQRLDTSASQDSELSMSIDVLKSEFEAALSTLRKKERDLRDAENRVSVDQVRLNRAKKDLDQRERGINRAYARQQEMERSLGKASRDLVLQVRQIDNLKLLVDEQDKKIASSQDLLSQKVTEVEKLKQDMLKKNEEVTLMRSEIKSKEQLLLEANQAAEQQEATIKELRSEIKRKEIDFSRSNELRKANEQKLKIAEQELERQNMGWLAAQKELKEVAQLACKDMDGIKDTVSDFKRVRSLLDAVRSELIASKEAFSSSRKQIEDQAVQMQKQVQELSGQRLLLSSFNQNLEAARLEIQGKAKELNAAQSRCHELESLLLQEKEKVESLEAVLTKERESLEEKTKEVELLQKALVQKENEHSNSLKLVEIKESELLEARNEVQDMKSKVESIQIAVQEKDSELSETQRRLAEVNSEVVELKQLLDSKEDQLVQVRTELQDKEQHIQTLQNKLDSMKFSCSQAESVVQKIAELTGNLASSVEGEEMDIYALLDDEISSTGTALKSNLHKHNQLEADIEMLKESLHQKDMDLRAAHEALDAKDQELKAVMRRWDVKEEVDKLEGFLKDPSDIKRPSDFSVHMGLQNLQTEAAEVEALAATTTLKKLADMAKGFLRSGKTDSGINLVASPSVNSTRIVSKTKPNKEMDMILDAEKEIAGLFSLTEQLITEAGIDVAHQA >ORUFI02G06650.1 pep chromosome:OR_W1943:2:4464393:4472716:-1 gene:ORUFI02G06650 transcript:ORUFI02G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASCCKGVDGVLPGRRKKKKETTWRIFSLKELQSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKSWSNKAETEFAIEVEVLATVRHKSLLSLRGYCAEGQERLIVYDYMPNLSLHSHLHGQHAAECHLGWERRMKIAIDSAEGIAYLHHQATPHIIHRDIKSSNVLLDKNFQARVADFGFAKLIPDGATHVTTKVKGTLGYLAPEYAMLGKASESCDVFSFGVLLLELASGKRPVEKLNPTTKLTITEWALPLARDKKFKEIADPKLKDVFVEAELKRMVLVGLACSQNKQEQRPIMSEVVELLKGESAEKLSNLENDEMFKPDLTSSFQDSSHSSHPDSSDCITEEKNSKADTIDEAVDSSETVPSAR >ORUFI02G06660.1 pep chromosome:OR_W1943:2:4476316:4478540:1 gene:ORUFI02G06660 transcript:ORUFI02G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHANTGPSRGPRGPNLRVQGGGEKQQSPTSSASSRPHPASPPQTLSPPASPLLHGGGGHHRTMRSSPAASGTTPSNMDSGSESDSAPEELTAVQGVEKHDEISKVEKDSAIRVSQQEKERRRRWAQRRTSSKPDKKEPLEVEDKDIKQKAENEEDEESEETHTMPGMLPTNVIEMLAAREKQTFSSDSEEEITNQKVQKRKKRLKSSGPETILLKDVRSTQHVKNALAFLEQRKNQVPRSNAVLKNANKALRLLSSKGNFLS >ORUFI02G06670.1 pep chromosome:OR_W1943:2:4480122:4481869:1 gene:ORUFI02G06670 transcript:ORUFI02G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELTEYLLLLPLLVVPLLYLAASSSRRSGRLRLPPGPWALPVIGHLHHLALAGAPTHRAMRDMARRHGPLMLLRFCELPVVVASSPDAAREIMRTHDVAFASRPIGPMLRLVFQGAEGVIFAPYGDGWRQLRKICTVELLSHRRVHSFRPVRADELGRLLRAVADQAASSSSSPVNLTGMISAFVADSTVRAIIGSRSRHRDTFLRLVEDGLKIMPGMSLPDLFPSSRLAMLLSRVPAKIERRRRGMMGFIDTIIQEHQESRAAAEDEDLLDVLLRLQKDMDSQYPLTTMNIKSILIDMFGAGSETSATTLQWAMAELMRNPAVMRRAQDEVRRELAVAGNDRVTEDTLPSLHYLRLVIKETLRLHPPAPLLLPRECGGACKVFGYDVPAGTMVLVNAWAIGRDAAAWGAAAEEFSPERFERCERDFRGADFELIPFGAGRRICPGMAFGLAHVELALAALLFHFDWRLPGGMAAGEMDMTEAAGITVRRRSDLLVFAVPRVPVPAQ >ORUFI02G06680.1 pep chromosome:OR_W1943:2:4482081:4484649:1 gene:ORUFI02G06680 transcript:ORUFI02G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAAMAGGAMPLVVLLLATIPLLFFTIKRSAQRRGGGGGGEGRLPPGPWALPVIGHLHHLAGDLPHRALSALARRHGALMLLRLGEVQAVVASSPDAARDIMRTHDAAFASRPLSPMQQLAYGRDAEGVIFAPYGDGWRHLRKICTAELLSARRVQSFRPVREAELGRLLRSVAEATSSSSSASLVNLTELISAFVADSTVRAIIGSRFEHRDAYLRMLQDGLKIVPGMTLPDLFPSSRLALFLSRVPGRIEHHRQGMQRFIDAIIVEHQEKRAAAAANDDDDEDEDFLDVLLKLQKEMGSQHPLTTANIKTVMLDMFGAGSESSATVLQWTMAELMRNPRVMQKAQDEVRRALAGHDKVTEPNLTNLPYLRLVIKETLRLHPPAPLLLPRKCGSTCKILGFDVPEGVMVIVNAWAIGRDLTYWDKPEEFVPERFEHNGRDFKGMDFEFIPFGAGRRICPGITFGMAHVELVLSALLYHFDWELPQGMAAKDLDMTEDFGVTTQRRSNLLVRPIHRVSVPVE >ORUFI02G06690.1 pep chromosome:OR_W1943:2:4484690:4486605:1 gene:ORUFI02G06690 transcript:ORUFI02G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLARRHGPLMLLRLGEVEAVVASSPDAAREIMRTHDVAFASRPVGPMSRLWFQGADGLVFAPYGEAWRRLRRVCTQELLSHRRVQSFRPVREDELGRLLRAVDAAAAAGTAVNLTAMMSTYVADSTVRAIIGSRRLKDRDAFLRMLDELFTIMPGMSLPDLFPSSRLAMLVSRAPGRIMRYRRRMRRIMDSIIHEHQERRAAADAAGDDDDDDDEDLVDVLLRLQKEVGAQYPLTTENIKTVMMDIFGAASETSSTTLEWVMAELMRSPSAMRKAQDEVRRALAAGAAGHDTVTEDILPNLSYLKLVVKETLRLHPPAPLLAPRRCDSPREVLVLGHDVPAGATVLVNAWAIGRDTAAWGGAAEEFSPERFERCERDFRGADFELIPFGAGRRMCPGMAFGLVHVELALAALLFHFDWSLPGGMAADELDMAESSGLTTRRRLPLLVVARPHAALPTKYCN >ORUFI02G06700.1 pep chromosome:OR_W1943:2:4486744:4491037:1 gene:ORUFI02G06700 transcript:ORUFI02G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRLALLLLVLAVAAARHALPAAGSDAHPGYDGAEDTCGVPAAAAAAGRMEEYGGGRILDITHAYRADLPAFAPGAVTGPVVRLRDSMANGTLYNLSELKMECHMGTHVDAPGHMNQGHFAAGLDVDKLDLDLLNGPTLLVDTPRNTNITAKAMESLNIPKGVRRVLFRTLNTDRKLMWKKGGDLSYVGFTEDGAQWLVDNTDIKLVGIDYLSVAAYDHLITAHVVFFKFPNIILVEGLKLDDVKAGIYMLHCLPLRLVGSEGSPIRCILIK >ORUFI02G06710.1 pep chromosome:OR_W1943:2:4495009:4497886:-1 gene:ORUFI02G06710 transcript:ORUFI02G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLPGAASAAAAPLPRALLLLAALALFSLTFLSLRSLRPAAAPPSLAIGGAPPPPPSLAIGGSRPSSFARPSVYHSAEAFAAGYAEMERSFKVYMYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTGDPDKAHLFFVPISPHKMRGKGTSYENMTIIVKDYVEGLINKYPYWNRTLGADHFFVTCHDVGVRAFEGLPFMVKNSIRVVCSPSYNVDFIPHKDIALPQVLQPFALPEGGNDVENRTILGFWAGHRNSKIRVILAQVWENDTELAISNNRISRAIGELVYQKQFYRTKFCICPGGSQVNSARISDSIHYGCVPDYYDLPFNDILDWRKFAVVLKERDVYQLKSILKSISQEEFVELHKSLVQVQKHFVWHSPPLPYDAFHMVMYELWLRHHVIKY >ORUFI02G06720.1 pep chromosome:OR_W1943:2:4500339:4503626:-1 gene:ORUFI02G06720 transcript:ORUFI02G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGRFGSPPPLPLPRLRRRATFSAGARRSAARGRITSSRNFSRMDSCAARASASGAESSSGGGEDESEIIDAMEVKSSSTAASFLAKVALALGVAATATVISLFMRQPSSGPSFSLPQIVDASAPPDAAATIGYTFSLFGKKVIVPEYTPGWVYFWLLMAAGFGLFISEEALNVWVGISLARSLCLDGTWQSLANSFSMNASYIISTVLWVYWQTRASEDISSKIGIGKEKALSISRAVQKYGNLIGFVERFSVGVRNPTGFLAGALGIPADCYFAGVCCGCLFTLPIQLAVGFFLRERPVVALASVAAAVGMWTVFPYAAAACTALFFYLSRRNSSN >ORUFI02G06720.2 pep chromosome:OR_W1943:2:4500339:4503626:-1 gene:ORUFI02G06720 transcript:ORUFI02G06720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGRFGSPPPLPLPRLRRRATFSAGARRSAARGRITSSRNFSRMDSCAARASASGAESSSGGGEDESEIIDAMEVKSSSTAASFLAKVALALGVAATATVISLFMRQPSSGPSFSLPQIVDASAPPDAAATIGYTFSLFGKKVIVPEYTPGQTRASEDISSKIGIGKEKALSISLERFSVGVRNPTGFLAGALGIPADCYFAGVCCGCLFTLPIQLAVGFFLRERPVVALASVAAAVGMWTVFPYAAAACTALFFYLSRRNSSN >ORUFI02G06730.1 pep chromosome:OR_W1943:2:4505440:4508853:-1 gene:ORUFI02G06730 transcript:ORUFI02G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERYPHMFLILLLFHGAHAALKDPVQKWQTLGGQRPLVIARGGFSGLFPDSSQFSYQFAMSSSLHDVVLYCDLQLSSDGLGFCKTGLTLENSTLIAEAFPKRAKTYKVNGEEIHGWFALDFTADELYQNVTLIQDIFSRPSTFDGAMGMFTLEDLVGLKPPHLWVNVEYALFLQEHKLSAEDYILGLPKDFSVAYISSPEIGFLKNVGAKLKKSNTKLIFRFLREDVAEHTTKKTYGEILKDLKSVKAFASGILVPKEYIWPLGKDQYLRLPTSLVKDAHALGLEVFASGFANDVSMSYNYSFDPSAEYLQYIGNANFSVDGVITDFPPTASGAVACLANTKGNPLPPPGGDGGRPLIITHNGASGVYPGSTDLAYQQAVKDGADIIDCAVRMSKDGVAFCQPSADLSTSTTASTSFMTKISTVSEIQNKSGIFSFDLTWSEIQTLKPDLLGPYTQAGLKRNPAAKNAGKFVTLPEFLDLAKATNVSGIMVEMEHASFLAKRGLGLVDAVSGALANASYDKEGGHLPELMVVSDDTSVLAAFKKFPAFRRVLMVDETISDASAPSVEEIKQFATAVTVGRGSIAQVNGFFLTRFTDVADRMHAANLTVYVGVLRNEFMNLGFDYWADPIIEIATYAFHVMADGLITDIFVYKLSQSLK >ORUFI02G06740.1 pep chromosome:OR_W1943:2:4512896:4515817:-1 gene:ORUFI02G06740 transcript:ORUFI02G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRWHSPIRSFQSAGPTTTTTSPLPLARCSPPATAKQPAAAVARRPVASPGLAVAGPPAAHSSMAAKWAQKTVVIPAQRRGCHLITPKILREIEGDLSGFKCGLAHLFLQHTSASLTINENYDSDVQADTETFLNRIVPEGRSAPWKHTLEGPDDMPAHIKSSMFGCALTIPITDGHLNMGTWQGIWLCEHRDNASSRKIVITLNGV >ORUFI02G06750.1 pep chromosome:OR_W1943:2:4516600:4519946:1 gene:ORUFI02G06750 transcript:ORUFI02G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAALLLPARPSAAAPPTLLRRGAASASSARAPTPLPAGRLSGGVLSAVRRLTVAAAGSSSGPLYPTPPPTEQDIERAKFEQVVKRLGKTARYFKNLGTLGFWSQLVSTVVSAGILSFSTVITGKVTAPFTFYATAAGIAAGFISVFWSFGYIRLSERLRKTASEPAKAPPRADVIRSLKNGIVLNMLGMGAAVLGMQATVGALVAKALTTSAVPYYQATSPGQSPVLALDVFLVQASANIILSHFLGLASSLELLRSVAIPPAEAAAA >ORUFI02G06760.1 pep chromosome:OR_W1943:2:4524570:4525475:1 gene:ORUFI02G06760 transcript:ORUFI02G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEAECDRIKGPWSPEEDEALRRLVERHGARNWTAIGRGIPGRSGKSCRLRWCNQLSPQVERRPFTAEEDAAILRAHARLGNRWAAIARLLPGRTDNAVKNHWNSSLKRKLATATDGGEIDRPCKRVSPGPGSPTGSERSELSHGGCGSGSGGGQVFRPVPRPGGFDAISAADVVRPPRRRDDNDDDGDDDPLTSLSLSLSLPGFHHDSARSHFQELPSPSRSPSPPPSPPAASPSAYPFNADLVSAMQEMIRTEVRNYMAGVGLRAGCGPGAVAESFMPQLVDGVMRAAAERVGVVTRQ >ORUFI02G06770.1 pep chromosome:OR_W1943:2:4527148:4530923:-1 gene:ORUFI02G06770 transcript:ORUFI02G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFNYIYSLRDAPPPLQNSARESESATALLVLVLFLLPNPIHPLAAAAAAASCVACSCPETMGSLAAEKTVTGWAARDASGHLTPYNYTLRKTGPEDVVVKVLYCGICHTDIHQAKNHLGASKYPMVPGHEVVGEVVEVGPEVTKYSAGDVVGVGVIVGCCRECHPCKANVEQYCNKRIWSYNDVYTDGRPTQGGFASAMVVDQKFVVKIPAGLAPEQAAPLLCAGLTVYSPLKHFGLMSPGLRGGVLGLGGVGHMGVKVAKSMGHHVTVISSSARKRGEAMDDLGADAYLVSSDAAAMAAAGDSLDYIIDTVPVHHPLEPYLALLKLDGKLILMGVINQPLSFISPMVMLGRKAITGSFIGSMAETEEVLNFCVDKGLTSQIEVVKMDYVNQALERLERNDVRYRFVVDVAGSNIDDADAPPA >ORUFI02G06780.1 pep chromosome:OR_W1943:2:4535901:4536272:-1 gene:ORUFI02G06780 transcript:ORUFI02G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLETAKKFKEIFSETTTNSQEITAEANKQADLLVNQIKHLTTDLPVPSIPAIPHIPSAVTPKPDAAKLEHYGIIEDLREFVKGMTTLPTPSSRVNADNLLHATASRHRLHSVASRAVDAQLS >ORUFI02G06790.1 pep chromosome:OR_W1943:2:4545563:4546996:-1 gene:ORUFI02G06790 transcript:ORUFI02G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAAAATVTAAAAAAASGGAPHLLLICFPGQGHVNPMLRLAKRIAAKGLVVTFSSTSAIGAKLVESSGVSVGGDGVPLGGGRIRFEFLEDGFDGSDLDELMRHLGTAGPAAFAELLARQEAAGRPVACVVGNPFIPWAVDVAAAAGILSAVLWVQSCAVFSLYYHRVHGLVEFPPEDDLDARLTLPGLPAMSVADVPSFLLPSNPYMSLTEAIQQQIRTIDKATWVFVNSFTELERDVVDALRGVATSPPPPPLIPVGPLIELEGDAAVRGDMIRAADDCVGWLDEHPPRSVVYASLGSVVVLSAGEVAEMAHGLASTGRPFLWVVRPDSRALLPEGFLDAVAGRGMVVPWSPQEQVLVHPAVACFLTHCGWNSTLETVAAGVPVVAFPQWGDQCTDAMFLVDELGMGVRLRAPLRRGAVRDAVDAAVAGPDAGAMRSSAAAWSAAARAAVAAGGSSDRHVEAFVEEVKARAAKA >ORUFI02G06800.1 pep chromosome:OR_W1943:2:4563650:4563964:1 gene:ORUFI02G06800 transcript:ORUFI02G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALKQAAAVANSSFPAAAASMSSFPRGGVGVQVVLPVGGNSELVVPGGGGVDVVLPGGSGVDVVLPGGGVDVILPDGGSVDVVLPGGGGVDVVLPGGGDSDG >ORUFI02G06810.1 pep chromosome:OR_W1943:2:4565698:4567763:-1 gene:ORUFI02G06810 transcript:ORUFI02G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPDAAAGDIESALDDFARADADCIAAALACGRTSFSYRRLPEPRRLRLTVRKLDDSYFDVQIARSAAVWELKAAIEDVFAALYDETDNKAISWQHVWSHFCLCFKDEKLTDDKATLRAFGIRDGDEVHFAQHLSVEYRPCKSLSLRRSRTSLDDFSARSRSLLDDLGEDDGDKFIDTRHSTSVLEEGLYVYQHDEERMEESRKKGGFFSGWFSYSRLRGNSRTHSEDAIQSSCEKRDTRTRPKLGRWLSSKKTKGT >ORUFI02G06820.1 pep chromosome:OR_W1943:2:4569656:4569991:-1 gene:ORUFI02G06820 transcript:ORUFI02G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTRVWVLAAVLVACAIAVRSARAGHASGHAAAADEEDAAAAAPAPSKGHGCNPLKDKTCRHDDRHDPENQEEEGGFGVRLPSFPSLTGDDGDDDELPSFDTHMTILGH >ORUFI02G06830.1 pep chromosome:OR_W1943:2:4580882:4584079:1 gene:ORUFI02G06830 transcript:ORUFI02G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGFPRGKRGRNATATAGPDFLSALPEGILHHIMSFLNVRQVIQTCVLSWRWRDLWRSVPRINANYCELSMSPIAAFTPDNEAAFKRFVNRLLERRDPAAVIHTFNLRYTISNPNNRDNDSADANRWISHALQNQASFLKIIVDAHELHLDHTVFTSCYLGRITLKNVFLDQGFFEQLEIGCPLLQDLLLYDCIIGDDEISSETLNVLTMYGCQFPTLQESCISAPNLTSLIMHQPENFVPVLDDVASLVTATVDLFPLIEFCAYDMRQLLWSLSGVRNLDLDYYACKMTIKNNPQLCPKFINLVDLTLGQWCLDSDFYVLIIFLQSSPKLEKLTLKLEKYYPHPYEHIIGDELTERSFTCEHLKIVEIICMEDDEPLAKIVEGLFVDNGMNSVRFDIKYWSQIPFQLPAFYRELYN >ORUFI02G06840.1 pep chromosome:OR_W1943:2:4584803:4585792:-1 gene:ORUFI02G06840 transcript:ORUFI02G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPATTSLLSALLQLPLAPFSGRRSPPPPSVVHVAPPRSPTAAVAATKGYNVQIVVDENEGEESIFRRFRREVMRAGVLQEIKRRRRYESKKDERKRKAREAGRRNRRRRMMEEPRFPEDDADSEAARRARDDEKDNWEIDGIL >ORUFI02G06850.1 pep chromosome:OR_W1943:2:4588128:4590052:-1 gene:ORUFI02G06850 transcript:ORUFI02G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQSQSSSLQRLNHVEQMIVRAVNLAGTVMEELGNATGPRTEGVAGHCREFMLAMKEIQTTLREEIKSACEYRPFEKCDYSARIANEICCKKVEYVLEKLDAMQKNIEECSTTS >ORUFI02G06860.1 pep chromosome:OR_W1943:2:4590866:4596357:1 gene:ORUFI02G06860 transcript:ORUFI02G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRDLVVAVALLQLSVLLLLLSGEPAAAAGKSKVAAIIVFGDSTVDTGNNNYLSTLVRSDFAPYGRDLQLAGAGVSGGGNGRPTGRFSNGRLAVDFISEAFGLPPLVPALDPGVNMSSLAAGACFASAGAGYDNATSDLFSVLPLWKELDYFKEYAARLRSFRGDDDAAAAAAAATLSEALYIVSMGTNDFLENYYAVARGHAAEYSTAAAYGDYLLGVAEAFVRELHALGARKVDLNGLPPMGCLPLERATGGACTEEYNAVAGRFNAGLQDMIARLNGELGGGARIVYGDVYGAVAAVLADPAAYGVENVKAGCCGVTGVFEMGYMCGAGARSPLTCTDASKFAFWDAIHPTERLHRAIADAKMNTTLHLAAMASPLVRLLLLLLLVVAAASRGAASAAKAKAARVTAVIVFGDSTVDTGNNNQIGTPLRSDFPPYGRDMPGGARATGRFGNGRLAPDFMSESLGLPPLVPAYLDPAYGIADFARGVCFASAGTGLDNATAGVLSVIPLWKEVEYYREYQRRLRAHAGAAAARDVVRGALHVVSIGTNDFLENYYMLATGRFARYSVGEYEDYLVAAARAFLAAIHRLGARRVTFAGLSPMGCLPLERTAGALLGGGGGGCVEEYNRVAREYNGKVEAMVRSLRAELPRLKVAFIPVGLENVEEGCCATGRFEMGFMCNDESPLTCDDASKYLFWDAFHPTEKVNRIMAQHTLDVCYQQGVL >ORUFI02G06870.1 pep chromosome:OR_W1943:2:4597866:4603647:-1 gene:ORUFI02G06870 transcript:ORUFI02G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKVLLVALVPTDPATDYPLVYPVVKCNMSRLWGNKYGNDLFGYFPLLLQILRVTACSPARRSKASHVPDVAPVGQGPVVPKFGSWDAENIGYTVFFDKVRENKTAPTPATAAPAPAPATHDDDYEFDPYEHYENLSRKAASRPPSSHGHGHGHAPPPAHHHHHHRAPPPPQQQQHHHHYPASQRSGNGYHRRSGSNGSSAASEASSRGSKFSPPKPYQPRYSSNSNSGGGGGGYGYGGPGAGAYAAPPQMHHHHQQQQHAAQPRVAASPPRHALPQVSRAAKAASAVPKFGVWDEQNAAAAGQGFTVQFEKVKRHREVAKAAAAAGPDVTPRMSPAQAAPARHPRRKAEKRSFLSKARVQVHVPKGEGVMQKLDLYY >ORUFI02G06880.1 pep chromosome:OR_W1943:2:4607052:4607654:-1 gene:ORUFI02G06880 transcript:ORUFI02G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGAAEEDAEAARFRGVRKRPWGRYAAEIRDPAKKARVWLGTYDSAEDAARAYDAAARALRGAKAKTNFPLSLPHAQPQLHHHHHHHLTYPAAAVVAARPATSSLSSTVESFGTRPRPVLPPRPPPPPPIPDGDCRSDCGSSASVVDDDCADAAASPSCRLPFQFDLNLPPGGGGGGGGGGFGCAYDDEELRLTALRL >ORUFI02G06890.1 pep chromosome:OR_W1943:2:4617262:4636839:-1 gene:ORUFI02G06890 transcript:ORUFI02G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKPNQFKYIIRPRALSQVRTQLQTVTLGRCNGSSNLPEPAGITAAMGGDDRSAGKAKPVLGSFMTVFMHADAVDVVLMVLGLLGAVGDGLSMPVLLLITGSVYNNFGGGADNVQEFSSKVNMNARNLLFLAAGQWGHLLNSNACRRWRGELTPAGDVCVVQTEGYCWTRTAERQASRMRARYLQAVLRQDVEYFDLKKGSTAEVITSVANDSLVVQDVLSEKVPNFVMNAAMFVGNYAFGFALMRQLMLVALPSVVLLIIPTFMYGRVVVDLARRIREQYTRPGAIAEQAMSSVRTVYSFVAERTTMAQFSAALEESVRLGLKQGLAKGVAIGSNGITFAILAFNVWYGSRLVMSHGYKGGTVFVVSYAVIQGGLALGSVLSNVKYLSEASSAAERILEVIRRVPKIDSESDTGEELGNVAGEVEFRNVKFCYPSRPESPIFVSFNLRVPAGRTVALVGGSGSGKSTVIALLERFYDPSAGEVMVDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILFGKEDATAEEVIAAAKAANAHSFISQLPQGYDTQSNPEARAILKSPKILLLDEATSALDTESESVVQEALDLASMGRTTIVIAHRLSTIRNADIIAVMQSGEVKELGSHDELIANENGLYSSLVRLQQTRDSNEIDEIGVIGSTSALGQSSSHSMSRRFSAASRSSSVRSLGDARDADNTEKPKLPVPSFRRLLMLNAPEWKQALIGSFGAVVFGGIQPAFAYAMGSMISVYFLTDHAEIKDKTRTYALIFVGLAVLSFLINIGQHYNFGAMGEYLTKRIREQMLAKILTFEIGWFDRDENSSGAICSQLAKDANVVRSLVGDRMALVIQTISAVLIACTMGLVIAWRLALVMIAVQPLIIVCFYARRVLLKSMSKKSIHAQAESSKLAAEAVSNLRTITAFSSQERILRLFDQSQDGPRKESIRQSWFAGLGLGTAMSLMACSWTIGFWYSGRLMAEHQITAKEIFQTFIILASTGRVIAEAGSMTTDLAKGADAVASVFAVLDRETEIDPDNPQGYKPEKLKGEVDIRRVDFAYPSRPDVIIFKGFTLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPIRGSVKIDGRDIKAYNPRALRRHIGLVSQEPTLFAGTIRENIVYGTETASEAEIEDAARSANAHDFISNLKDGYGTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMIDRTSVVVAHRLSTIQNCDLITVLEKGIVVEKGTHASLMAKGPSGTYFSLVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESERIVQEALDMASMGRTTIIIAHRLSTIRNADIIVVMQSGEVMELGPHDELIANENGLYSSLVHLQQTRDSNEIDQICVTGSTSAVEQSNIHIMTRRFSTVSRSNSARSLGDARDADNTKKQKLPVPSFRRLFMLNAPEWKQSLMGGFSAILFGGIQPTYSYTLGSMISAYFLTDHAEIKDKTRTNALIFLGLAVLSFFLNIGQHYNFGAMGEYLTKRIKEQMLAKILTFEIGWFDHDKNSSGALCSQLAKDANIVRSLVGDQMALMIETISTILIGYTMGLIIAWRLALFMIVMQPPIIVCFYVRRVLFKSMSEKSKYAQAKSSKLAAEAVSNLQTVTAFSSQERILRLFNQAQDGARKESIRQSWFAGLGLGTPMSLMICTWALELWYCGRLVADHQITNTELFQTFYILINTCRVTEEAGSMTTDLAKGADAVASVFSILDRETEIDPNNPHGYKPEKLKGEVDIRGVDFSYPSRPDVIIFKGFTLSIQPGKSTAIVGKSGSGKSTIIGLIERFYDPIRGSVKIDGRDIKAYNLRYLRRHIGLVSQEPTLFAGTIRENIVYGIDIASEVEIEDAARSANAHDFISNLKDGYDTLCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMVGRTSVVVAHRLSIIQKCDLITMLEKGTIVEKGTHTSLMAKGFSGTYCSLVSLQQAGNQQVQL >ORUFI02G06900.1 pep chromosome:OR_W1943:2:4622031:4634210:1 gene:ORUFI02G06900 transcript:ORUFI02G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKPRRKPVQGRLLVRKNSEESSTQWTTGIAEVQPPINWEMKLCALAALAAAITSSAVASSFPNRMFSRMLVANSAGSWLTSPICARSHFSRSLRMSTPSTITSPADGSSAITVDLPDPLPPTSATVRPAGTRRLKLTKMGLSGQLHVPELHLAGDVAQLLAGVALGVDLGHPPDHLQDPLRRRARLRELRIELGESTRGARTMLTLELNSWTLSAPPPKLLYTLPVMSSNTGIESPSPTAPRSPSTINTTSTASACMNTVMNDPSTGFAFPALRSSPPMAAVMPAGSGRLLLPLHLPSVTASLSLTLYFSSLRGYHLNGLKKKEAKLYKLKYKLSQLEEAKLVAVKAMGVSGDGDGAAKAKLVLGSFMTVFMHADMADMVFMVLGPCSWVSSIVVKFPRTSVLLTAIHILVINASSHHNTLFCHRLVVIHTSPGHWTSSFVKPLGMAVTGSESLRVATGAGELDDRGWAEMNDDDQGDLNNGVWVKELERITMVGSSMNDGHGDLENNVNNYGVGSPKVNGGRREKCQKKSRPYSHFRISECVILASMCHTLSYFGPSVIPLAS >ORUFI02G06900.2 pep chromosome:OR_W1943:2:4622031:4632545:1 gene:ORUFI02G06900 transcript:ORUFI02G06900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKPRRKPVQGRLLVRKNSEESSTQWTTGIAEVQPPINWEMKLCALAALAAAITSSAVASSFPNRMFSRMLVANSAGSWLTSPICARSHFSRSLRMSTPSTITSPADGSSAITVDLPDPLPPTSATVRPAGTRRLKLTKMGLSGQLHVPELHLAGDVAQLLAGVALGVDLGHPPDHLQDPLRRRARLREAALDNGVGDDEDGATLVAMGHDEAASVPDVEGQDGEGDAVATDGDALGKPLLEPQSHRLLECR >ORUFI02G06900.3 pep chromosome:OR_W1943:2:4631776:4634210:1 gene:ORUFI02G06900 transcript:ORUFI02G06900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFMTKLGTFSLSTSCTTRLSLATLVITSAVDPFFRSKYRARIRDACRSAVRVQQYPSKRHDPLTGGQEEEVPRVLMKWRSTLQFSKANPIRSFQLRIELGESTRGARTMLTLELNSWTLSAPPPKLLYTLPVMSSNTGIESPSPTAPRSPSTINTTSTASACMNTVMNDPSTGFAFPALRSSPPMAAVMPAGSGRLLLPLHLPSVTASLSLTLYFSSLRGYHLNGLKKKEAKLYKLKYKLSQLEEAKLVAVKAMGVSGDGDGAAKAKLVLGSFMTVFMHADMADMVFMVLGPCSWVSSIVVKFPRTSVLLTAIHILVINASSHHNTLFCHRLVVIHTSPGHWTSSFVKPLGMAVTGSESLRVATGAGELDDRGWAEMNDDDQGDLNNGVWVKELERITMVGSSMNDGHGDLENNVNNYGVGSPKVNGGRREKCQKKSRPYSHFRISECVILASMCHTLSYFGPSVIPLAS >ORUFI02G06900.4 pep chromosome:OR_W1943:2:4631776:4634210:1 gene:ORUFI02G06900 transcript:ORUFI02G06900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFMTKLGTFSLSTSCTTRLSLATLVITSAVDPFFRSKYRARIRDACRSAVRVQQYPSLRIELGESTRGARTMLTLELNSWTLSAPPPKLLYTLPVMSSNTGIESPSPTAPRSPSTINTTSTASACMNTVMNDPSTGFAFPALRSSPPMAAVMPAGSGRLLLPLHLPSVTASLSLTLYFSSLRGYHLNGLKKKEAKLYKLKYKLSQLEEAKLVAVKAMGVSGDGDGAAKAKLVLGSFMTVFMHADMADMVFMVLGPCSWVSSIVVKFPRTSVLLTAIHILVINASSHHNTLFCHRLVVIHTSPGHWTSSFVKPLGMAVTGSESLRVATGAGELDDRGWAEMNDDDQGDLNNGVWVKELERITMVGSSMNDGHGDLENNVNNYGVGSPKVNGGRREKCQKKSRPYSHFRISECVILASMCHTLSYFGPSVIPLAS >ORUFI02G06900.5 pep chromosome:OR_W1943:2:4622031:4634414:1 gene:ORUFI02G06900 transcript:ORUFI02G06900.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCKPRRKPVQGRLLVRKNSEESSTQWTTGIAEVQPPINWEMKLCALAALAAAITSSAVASSFPNRMFSRMLVANSAGSWLTSPICARSHFSRSLRMSTPSTITSPADGSSAITVDLPDPLPPTSATVRPAGTRRLKLTKMGLSGQLHVPELHLAGDVAQLLAGVALGVDLGHPPDHLQDPLRRRARLREAALDNGVGDDEDGATLVAMGHDEAASVPDVEGQDGEGDAVATDGDALGKPLLEPQSHRLLECR >ORUFI02G06900.6 pep chromosome:OR_W1943:2:4632134:4634210:1 gene:ORUFI02G06900 transcript:ORUFI02G06900.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKWRSTLQFSKANPIRSFQLRIELGESTRGARTMLTLELNSWTLSAPPPKLLYTLPVMSSNTGIESPSPTAPRSPSTINTTSTASACMNTVMNDPSTGFAFPALRSSPPMAAVMPAGSGRLLLPLHLPSVTASLSLTLYFSSLRGYHLNGLKKKEAKLYKLKYKLSQLEEAKLVAVKAMGVSGDGDGAAKAKLVLGSFMTVFMHADMADMVFMVLGPCSWVSSIVVKFPRTSVLLTAIHILVINASSHHNTLFCHRLVVIHTSPGHWTSSFVKPLGMAVTGSESLRVATGAGELDDRGWAEMNDDDQGDLNNGVWVKELERITMVGSSMNDGHGDLENNVNNYGVGSPKVNGGRREKCQKKSRPYSHFRISECVILASMCHTLSYFGPSVIPLAS >ORUFI02G06910.1 pep chromosome:OR_W1943:2:4635365:4645875:1 gene:ORUFI02G06910 transcript:ORUFI02G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKATDDNGGGDGEDGAALVSMVHDEAAAVPDVEGPDGEGDAVAADGDALGEPLLEPEPRRLLERCRELSHGGPLRHERVHRARRRHGLLGDGAGAGVLLPDPAGEADEDAAVHEPRDDEQHHRRQRHQRQPPQQREPDGVAAGEHGGVHDEVGHLLAQHVLHHEAVVGHAGDHLRRRPLLQVEVLHVLPEHRPKVPCPHPRCLPLRRPRPAIPLCSHTRHPSPAASARRRRSIGMQQFRIELGGEELQGTYHVDLGAELLDDVGATAEVVEDAAGDEQHHRRRDAVAHGAQKPKHHQRHVRRVRVHEHCHERREHRPRLRLAGAAVAASHGRRRRVLSYSLVAI >ORUFI02G06910.2 pep chromosome:OR_W1943:2:4635365:4645875:1 gene:ORUFI02G06910 transcript:ORUFI02G06910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGSKSPATDDNGGGDGEDGAALVSMVHDEAAAVPDVEGPDGEGDAVAADGDALGEPLLEPEPRRLLERCRELSHGGPLRHERVHRARRRHGLLGDGAGAGVLLPDPAGEADEDAAVHEPRDDEQHHRRQRHQRQPPQQREPDGVAAGEHGGVHDEVGHLLAQHVLHHEAVVGHAGDHLRRRPLLQVEVLHVLPEHRPKVPCPHPRCLPLRRPRPAIPLCSHTRHPSPAASARRRRSIGMQQFRIELGGEELQGTYHVDLGAELLDDVGATAEVVEDAAGDEQHHRRRDAVAHGAQKPKHHQRHVRRVRVHEHCHERREHRPRLRLAGAAVAASHGRRRRVLSYSLVAI >ORUFI02G06910.3 pep chromosome:OR_W1943:2:4635365:4645875:1 gene:ORUFI02G06910 transcript:ORUFI02G06910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGSKSPATDDNGGGDGEDGAALVSMVHDEAAAVPDVEGPDGEGDAVAADGDALGEPLLEPEPRRLLERCRELSHGGPLRHERVHRARRRHGLLGDGAGAGVLLPDPAGEADEDAAVHEPRDDEQHHRRQRHQRQPPQQREPDGVAAGEHGGVHDEVGHLLAQHVLHHEAVVGHAGDHLRRRPLLQVEVLHVLPEHRPKVPCPHPRCLPLRRPRPAIPLCSHTRHPSPAASARRRRSIGMQQFRIELGGEELQGTYHVDLGAELLDDVGATAEVVEDAAGDEQHHRRRDAVAHGAQKPKHHQRHVRRVRVHEHCHERREHRPRLRLAGAAVAASHGRRRRVLSYSLVAI >ORUFI02G06910.4 pep chromosome:OR_W1943:2:4635344:4645875:1 gene:ORUFI02G06910 transcript:ORUFI02G06910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDEAAAVPDVEGPDGEGDAVAADGDALGEPLLEPEPRRLLERCRELSHGGPLRHERVHRARRRHGLLGDGAGAGVLLPDPAGEADEDAAVHEPRDDEQHHRRQRHQRQPPQQREPDGVAAGEHGGVHDEVGHLLAQHVLHHEAVVGHAGDHLRRRPLLQVEVLHVLPEHRPKVPCPHPRCLPLRRPRPAIPLCSHTRHPSPAASARRRRSIGMQQFRIELGGEELQGTYHVDLGAELLDDVGATAEVVEDAAGDEQHHRRRDAVAHGAQKPKHHQRHVRRVRVHEHCHERREHRPRLRLAGAAVAASHGRRRRVLSYSLVAI >ORUFI02G06910.5 pep chromosome:OR_W1943:2:4635344:4644028:1 gene:ORUFI02G06910 transcript:ORUFI02G06910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHPAQFDLAAGHPLTHPAVSEPYPDLAGNQSKRRTPPITPTTRTPARKNSEESSTQWITGIAEVCWRGTMLKQRQGKLHLKDCHSLQRLIGVGITLSWEMKLCALAALAAATTSSAVASSFPNRMFSLMLVANSAGSWLTSPICARSHFSRSLRMSTPSTITSPADGS >ORUFI02G06910.6 pep chromosome:OR_W1943:2:4635344:4645875:1 gene:ORUFI02G06910 transcript:ORUFI02G06910.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGSKSPATDDNGGGDGEDGAALVSMVHDEAAAVPDVEGPDGEGDAVAADGDALGEPLLEPEPRRLLERCRELSHGGPLRHERVHRARRRHGLLGDGAGAGVLLPDPAGEADEDAAVHEPRDDEQHHRRQRHQRQPPQQREPDGVAAGEHGGVHDEVGHLLAQHVLHHEAVVGHAGDHLRRRPLLQVEVLHVLPEHRPKVPCPHPRCLPLRRPRPAIPLCSHTRHPSPAASARRRRSIGMQQFRIELGGEELQGTYHVDLGAELLDDVGATAEVVEDAAGDEQHHRRRDAVAHGAQKPKHHQRHVRRVRVHEHCHERREHRPRLRLAGAAVAASHGRRRRVLSYSLVAI >ORUFI02G06910.7 pep chromosome:OR_W1943:2:4639016:4644028:1 gene:ORUFI02G06910 transcript:ORUFI02G06910.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWGPSSLTSPDCMTAIMSALRIVDRRCAITIVVRPIDARSRASCTTRSDSVSNALVASSRRRILGLLERNYAEAAARKAAPQGDYLFIPGNDCHSLQRLIGVGITLSWEMKLCALAALAAATTSSAVASSFPNRMFSLMLVANSAGSWLTSPICARSHFSRSLRMSTPSTITSPADGS >ORUFI02G06910.8 pep chromosome:OR_W1943:2:4635344:4644028:1 gene:ORUFI02G06910 transcript:ORUFI02G06910.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHPAQFDLAAGHPLTHPAVSEPYPDLAGNQSKRRTPPITPTTRTPARKNSEESSTQWITGIAEVCWRGTMLKQRQGKLHLKDCHSLQRLIGGSWEMKLCALAALAAATTSSAVASSFPNRMFSLMLVANSAGSWLTSPICARSHFSRSLRMSTPSTITSPADGS >ORUFI02G06910.9 pep chromosome:OR_W1943:2:4644098:4645875:1 gene:ORUFI02G06910 transcript:ORUFI02G06910.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDEAAAVPDVEGPDGEGDAVAADGDALGEPLLEPEPRRLLERCRELSHGGPLRHERVHRARRRHGLLGDGAGAGVLLPDPAGEADEDAAVHEPRDDEQHHRRQRHQRQPPQQREPDGVAAGEHGGVHDEVGHLLAQHVLHHEAVVGHAGDHLRRRPLLQVEVLHVLPEHRPKVPCPHPRCLPLRRPRPAIPLCSHTRHPSPAASARRRRSIGMQQFRIELGGEELQGTYHVDLGAELLDDVGATAEVVEDAAGDEQHHRRRDAVAHGAQKPKHHQRHVRRVRVHEHCHERREHRPRLRLAGAAVAASHGRRRRVLSYSLVAI >ORUFI02G06920.1 pep chromosome:OR_W1943:2:4637191:4645567:-1 gene:ORUFI02G06920 transcript:ORUFI02G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGGAGKAKARPVFSSFMTVFMHADAADVALMVLGLLGAMGDGISTPVMLLITSRIFNDLGSGADIVKEFSSKVNVNARNLVFLAAASWVMAFLEGYCWARTAERQASRMRARYLRAVLRQDVEYFDLKKGSTAEVITSVSNDSLVVQDVLSEKVPNFVMNAAMFAGSYAVGFALLWRLTLVALPSVVLLIIPGFMYGRILVGLARRIREQYTRPGAIAEQAVSSARTVYSFVAERTTMAQFSAALEESARLGLKQGLAKGIAVGSNGITFAIWAFNVWYGSRLVMYHGYQGGTVFAVSAAIVVGGLALGSGLSNVKYFSEASSAAERILEVIRRVPKIDSESDTGEELANVTGEVEFRNVEFCYPSRPESPIFVSFNLRVPAGRTVALVGGSGSGKSTVIALLERFYDPSAGEVMVDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILFGKEEATAEEVVAAAKAANAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESERVVQEALDLASMGRTTIVIAHRLSTIRNADIIAVMQSGEVKELGPHDELIANDNGLYSSLVRLQQTRDSNEIDEIGVTGSTSAVGQSSSHSMSRRFSAASRSSSXLAVLSFLINIGQHYNFGAMGEYLTKRIREQMLAKILTFEIGWFDRDENSSGAICSQLAKDANVVRSLVGDRMALVIQTISAVLIACTMGLVIAWRLALVMIAVQPLIIVCFYARRVLLKSMSKKSIHAQAESSKLAAEAVSNLRTITAFSSQERILRLFEQSQDGPRKESIRQSWFAGLGLGTSMSLMTCTWALDFWYGGRLMAEHHISAKELFQTFMILVSTGRVIADAGSMTTDLAKGADAVASVFAVLDRETEIDPDNPQGYKPEKLKGEVDIRGVDFAYPSRPDVIIFKGFTLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPIRGSVKIDGRDIKAYNLRALRRHIGLVSQEPTLFAGTIRENIVYGTETASEAEIEDAARSANAHDFISNLKDGYDTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMIGRTSVVVAHRLSTIQNCDLITVLEKGTVVEKGTHASLMAKGLSGTYFSLVNLQQGGNQQVQH >ORUFI02G06930.1 pep chromosome:OR_W1943:2:4658471:4661414:1 gene:ORUFI02G06930 transcript:ORUFI02G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVIPLTWHFYPYKPSKWPNKAEKCQSWRLQQLEELDSPVWTGQWTKQKVQKIGRNWTAAKVPPVVVLVLLPPKLLSWKEDDKTQQDYQDQKPQFQTLIILPHLKYS >ORUFI02G06940.1 pep chromosome:OR_W1943:2:4663397:4670188:1 gene:ORUFI02G06940 transcript:ORUFI02G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAALVGFLLAVSSAPAGATTDASDAAALGNLYSSWNSPSQLAGWSAGGGGDPCGAGWQGISCSGAGVTEMLAGVGLDGSLGYELSSLFSLKTLDLSNNNLHGSIPYQLPPNLTYLNLATNNLSGNLPYSISNMVSLEYLNVSHNSLSQQIGDLFGSLNSLSELDVSFNKLTGDLPNSLGSLSNLSSLYMQNNQLTGSVNVLSGLSLTTLNIANNNFNGWIPQEFSSIPDLTLGGNSFTNGPAPPPPPFMPPPPRRPRNRPSHPRGSGDAPEGSVSPAGQGDKKQGLQTGPLVGIVAGSTVGALCALLLLVFCIRNAQKRKDDTSSNSKDFVGPLSVNIERASNREIPEQSPENTSVATMKISPAEKMTPERIYGKTGSMRKTKVPITATPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSSALSLQEEDNFLEAVSSMSRLRHPNIVPLTGYCVEHGQRLLVYEYIGNGTLHDVLHYSDELSRKLTWNIRVRVALGTARALEYLHEVCLPSVVHRNFKSSNILLDEEHNPHLSDCGLAALTPNTERQVSTEVFGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLMQRASIVRRQSGEELGYSYRAPEREGDMRDLSF >ORUFI02G06950.1 pep chromosome:OR_W1943:2:4671005:4672474:-1 gene:ORUFI02G06950 transcript:ORUFI02G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALLLRAHPSCKPPPPPSPSPRPTRALVCRAAAAGEALRSLAPPSRPELLSLDLPRYDPARSTPVDLAVVGGGPAGLAVAQRVAEAGLSVCAIDPSPALVWPNNYGVWVDEFDAMGLSHCLDAVWPSATVFTHDDGAAKSLHRPYARVARRKLKSTMMDRCVAHGVTFHKARVVKAVHGEASSLLICDDGVAVPATVVLDATGFSRCLVQYDKPYDPGYQVAYGILAEVDGHPFDIDKMLFMDWRDAHLPEGSEIRERNRRIPTFLYAMPFSPTRIFLEETSLVARPGLAMDDIQERMAARLRHLGIRVRAVEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLATAPIVADAIVRFLDTGSGDSAFAGDALSAEVWRELWPAQRRRQREFFCFGMDILLKLDLDGTRRFFDAFFDLEPRYWHGFLSSRLFLPELAMFGLSLFAKASNTSRLEIMAKGTAPLAKMIGNLIQDRDR >ORUFI02G06960.1 pep chromosome:OR_W1943:2:4675719:4676298:-1 gene:ORUFI02G06960 transcript:ORUFI02G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLNWVSLLLLLFFMVAALSSFVATAHRELPMARKVDEIGDHLQAKLDNQASSVSVTRATAKAEHDHQEAVMRKCKNGRKNCKNFRTRKLPADADGKIHFDGHMPFTADYHSVRRHPPSHN >ORUFI02G06970.1 pep chromosome:OR_W1943:2:4681269:4685251:-1 gene:ORUFI02G06970 transcript:ORUFI02G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSTVAARFAFFPPSPPSYGAEAPPPPAAAGAGVGVEKDGGGGGVVVELTDVPRRGNVEARRLRTKRGTEVVAMYVRQAGARLTLLYSHGNAADLGQMFELFVELSAHLNVNLMGYDYSGYGQSSGKPSEHNTYADIEAVYRCLVETYGASEENIILYGQSVGSGPTLDLASRLPHLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKIPLVRCPVLVIHGTADEVVDCSHGRALWELSKVKYEPLWVKGGNHCNLELYPEYIKHLKKFVGAIEKSPPLYDESPESSGPSDNTQTNPEGTEESRKSTDCREKTRPSIDHRQSTDRRDKSRGSTDRRDKNRKSVDQPRASVDQPDRPRKSVDRFGGVMKSVRYIDCFRVTTASGS >ORUFI02G06980.1 pep chromosome:OR_W1943:2:4687663:4689093:-1 gene:ORUFI02G06980 transcript:ORUFI02G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASGERDELADSLAELFTNVSLMVRGELQGTNSQLSLLEKMNRRVAEEYNNYGDVASGLRVFVEQLNEKNQSFGEYVSQIDAIDQQVTEFEAVVSMLDKHVSLLEKKVKSAYNIAPTQ >ORUFI02G06990.1 pep chromosome:OR_W1943:2:4690751:4693492:-1 gene:ORUFI02G06990 transcript:ORUFI02G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVLALGKLVTSFGVSSLRTYLEKEPARLPDLPYTAKHIERELDMIHHFLSQVGTKIYSNKVLEGWIVRVRKVAYRVEDITDEYSYNIALEHENHFKRLIHKFFYPTAFHRIAIELKDIEEEIKHLSQLKRDYREMFNELLDNTSDSAHIHLLSSNGSPHAVKGDDIVGMKEDMELLGRWLDPKELDRTVISIWGFGGLGKTTLVRKVYDWEKGMKSFDCYSWIAVSHNYNINAILRQLIQELSEDQSKIPTDLDTMHHGKLNDELKEVLSNKKYLIVLDDVWDTRAFHELSDSLMDDKKGSRIIITTRNNDVASLAQEMYKMKLNPLGNDDAFELFHRRCFQKSNMECPSHLEELSRQIVNKCGGLPLAINAIGNVLAVQESKEIVWRRINNQFKCELEDNPGLDKVRSALSISFMYLPRHLKNCFLYCSMFPQDYIFKRELLIKLWIVEGFVIQRGQSTLEEVADGYFTELIQQSMMQLVENDEIGRVVSCRMHDIMRELALSFSRKERFGLADINLETQKKDDVRRLLVSNFDQVNQLIKSSMDLPRLRTFIAANRVANYQLLTLLISRCKYLAVLELRDSPLDKIPENIGDLFNLRYLGLRRTRIKSLPISIKKLTNLETLDLKSTNIERLPREVAKLKKLRHIFAEQLYDPEERQLRYFRGVKLPDCAFDLAQLQTLQTVEATKESVKLLKYLPELRLLCVENVCRADCATLFSSLSNMNHLYDLVISANDLNEPLDFNAFNPICTKLEKLTIRGCWDNETFRRPVFCEYGANIKYLTLTFCKNDTNPLPSISSSVPNLIFLSIRRGCWAEDIILRAGWFPQLRTLWLGKLEELRRLVIEEGAIIRLEVLLLLSLPSLREVPKGLELLASLKKLNVTMQHHELKVEWERDNWKTKLHRIQEIRF >ORUFI02G07000.1 pep chromosome:OR_W1943:2:4696404:4700263:1 gene:ORUFI02G07000 transcript:ORUFI02G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPWPTPRTVRQAAELHARLTTSGHLLLHPPSARHLLNSLVNCLEPHPLHLRYALHLFDRMPPSTFLFDTALRACSRAGSDPHRPFLLFRRMRRAGVRPDGFTFHFLFKCSSSSSRPHSLLLCTMLHAACLRTMLPSAAPFVSNSLIHMYIRLGLAADARRAFDEIHVKDAVAWTMLISGLAKMGMLCDTQLLLSQAPVRDVISWTSLIAAYSRANRAREAVGCFKTMLSHGIAPDEVTVIAVLSACAKLKDLELGRSLHLLVEEKGMPTSENLVVALIDMYAKCGDFGHAQQVFDALGRGPRPQSWNAIIDGYCKHGHVDVARSLFDEMEVRDIITFNSMMTGYIHSGQLREALLLFMSMRRHDLRVDNFTVVNLLTACASLGALQQGRALHACIEQRLVEADIYLGTALLDMYMKCGRVDEATIVFQRMGKRDVHTWTAMIAGLAFNGMGKAALEHFYQMRCDGFQPNSVSYIAVLTACSHSCLLNEGRLYFDEMRILYNIHPQIEHYGCMIDLLGRSGLLDEAMDLVKTMPIQPNAVIWASILSACRVHKHIDLAQCAAEHLLKLEPDEDGVYVQLYNIYIDSRQWENASKIRMLMEERQVKKTAGYSSITVAGQVHKFVVSDKSHPRILEIIAMLEEISHRLKSLGYSPLTSQITVDVDEEEKEQALLAHSEKLAIAFGLINLAPNLPVHIRKNLRVCEDCHSAIKLISRLWNREIIVRDRSRFHHFREGTCSCNDFCFPEISYFQTYQRISAKAQVRGSVFPMLASLLIFSYGQNLDWMATIAAWAEGTVKFKGKYRSFSWNISS >ORUFI02G07010.1 pep chromosome:OR_W1943:2:4701180:4703367:-1 gene:ORUFI02G07010 transcript:ORUFI02G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGNGSPSDSRHVSHKEIRDETTPLLPIKEEEEGIHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIILVALLTEASIDMLVRCSHEGKITSYGWLMGETFGQWGRIALQASVVINNIGMMIVYMIIVGDVLSGTSTSGVHHRGIFEGWFGPHLWNSRPVVLLATTLFVFGPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAIVRLIEGTVEIPKLFPELDGTNSIWELFTAVPVVVTAYICHYNVHSIDNELEDRTQTKPIVQTSLALCSSVYIATSFFAYLLFGEGTLADVLANFDSNLHIPFSSVFNDVVRVSYVVHIMLVFPIVFFALRLNLDGLLFPTARHISRDNRRFAIITGSLLAVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMIILRDPYGIATKRDKILALTMIVLAVLSNSVALYSDALNIIFHRKVEA >ORUFI02G07020.1 pep chromosome:OR_W1943:2:4705232:4708861:1 gene:ORUFI02G07020 transcript:ORUFI02G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAGDDSSFFGDDGDGDEFCYGPFDVEDLCYGASDVDDDWEEFCDGPFGGGGVEEFCVSGFSVRDLSDASSSGARETGDPHDDDPLPQTLARSLDSDGDLSATLHQIVSAMRLSEDEEEEEEEELLVLGHGHGVGIGGGGDVDGFMVSAFDLDTAMAIGGILEDIHEVMGADEVREEVVVEEEEAGNGGGIMPNGFEFGPPPRAISGTAAGFRMMVDADDTDSDDFQFVEVLGGGQVREAGAGMSMRPSRASQLVVESLPEATLSEEEASRGCAVCKDSFASGQIVALLPCKHYFHGDCIWPWLTIRTTCPVCRHQVRTEDDDYEQRMARRVIVLAAVEHQGAPAQGCGDSATMGAEGASECVVENGPEKTSF >ORUFI02G07030.1 pep chromosome:OR_W1943:2:4709530:4710042:-1 gene:ORUFI02G07030 transcript:ORUFI02G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDAISNIAYHPSMDFTSFFLPQTDAYSHDLSALLDMAVVDPYISCNGSSITMIPVTEDEANAQPMNHGNDERKKRRLVSNRESARRSRVRKQRRLDELSSQVSELRDTNQRLLVELNHMISKHARIVRENSQLREEASDLQRKLSEMKMEDAEVAAAAAAAPRTLEVA >ORUFI02G07040.1 pep chromosome:OR_W1943:2:4714092:4717794:-1 gene:ORUFI02G07040 transcript:ORUFI02G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADLSAAAEALALQVDLLQLPPEIPAPGAPALSVVLDRLFAHWLTLPDTVALVGCLVQKAKASGGGGGGGGGGGAAGAAVGPGSAMLPSMMMQGGAAVPPLSPRSPRLSRRPSGVGTGGQSNRSASPLRPATARAVKEVIPQFYFQDGRPPTYELKKQCIAIVDQLFAGHSNGLRIQEFRLVTRELCKLPSFFTTVLFGKIDKENTGFVTRDAFIDFWLNSNMVTMDVATQVFIILKQKNQDFLTKEDFKPVLRDLLDNHPGLEFLKSTPEFQERYAETVVYRIFYCLSRIGGGHLILRELKRGNLIDALRHADDEEDINKVLKYFSYEHFYVIYCKFWELDTDHDFFIDKENLIKYGNHALTYRIVDRIFSEVPRKFTSKVEGKMGYEDFVHFILSEEDKSSEPSQEYWFRCIDVDGNGILTHNELQFFFEEQLHRMECMAQEPVLFEDILCQLIDMIGPENETFFTLKDFRRCKLSGHFFNILFNLNKFMAFEARDPFLIRQMREEPSLTDWDRFARREYIRLAMEEDGEDASNASGDVWDESLESPF >ORUFI02G07050.1 pep chromosome:OR_W1943:2:4721278:4721700:-1 gene:ORUFI02G07050 transcript:ORUFI02G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGIGGAAASTSSVPAMPAAVAPPPFWSTPTPYLFIGFGVVMALIAVALAVLLCTRRKDGGRGVGGEERGDVVVPPGMMSVRVLAPLDREAPPRVVVVMAGDDSPSFLASATPLAFAAAAATGVPLAPAPKVVAPPQP >ORUFI02G07060.1 pep chromosome:OR_W1943:2:4748163:4748426:-1 gene:ORUFI02G07060 transcript:ORUFI02G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCSSRSSSTELDGGGGYRPQQRRVRPSDEDGEWPLAGRRTVDLEAAVYIAKFHQYQSNCCAEHAAMAPPTPRAPPPAAAHPPPA >ORUFI02G07070.1 pep chromosome:OR_W1943:2:4748516:4748746:1 gene:ORUFI02G07070 transcript:ORUFI02G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEFIGAALIEVWRWEERMVEKKRTRNTRERGGLFPRKLQLRALAAIARFRRWGFDHTFLDPFTLEIGDLQEVP >ORUFI02G07080.1 pep chromosome:OR_W1943:2:4754576:4757158:-1 gene:ORUFI02G07080 transcript:ORUFI02G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGRAPPPYHHRGAHKMMHRDPYGGAPGMPGPFPYDMLAAAAPPPEILEQKLMAQRGELQKLAVENDRLAMSHDSLRKELAAAQQEAQRLQAQGQAAMAAEEQEARGILDKVAKMEADLKARDPVKAELQQAHAEAQGLVVARQQLAADTQKLSKDLQRNLGEAQQLPALVAERDAARQEYQHLRATYEYERKLRMDHSESLQVMKRNYDTMVAELDKLRAELMNTANIDRGGMPNHTMRHFLCVGMLYNTNTAQKDDGAPSLPVGQIAYDSGYGAAQGRTPPAGLGDSLSGNPAGTAPRTGFDPSRGNMYDASRIASFSSSKAGGHDASRGAAGYNSLKGAGYDPSKAPALGGQATAAAAHGSSADYYGSNQATPPSYAWGQAASAYGSAQVPQSHASGPPVQSTSYSATTARNFGSAQALPSYAHAQEQPSYGHAQLPSSYGLAQASFPFAPAQGVSPYGSGAQPPQYGAGQAATNPGSAYQAPHGRK >ORUFI02G07090.1 pep chromosome:OR_W1943:2:4757183:4762532:1 gene:ORUFI02G07090 transcript:ORUFI02G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREERSLPLAVAGGGGGGGGGGGSRVGRRRRRGGRRKTASDFSLKFSCYFSPRAQEWGEKDRIPSRTRARPPRATVAGGAPSAGARGLGGEAVIWGVFLAAAAAAAWVVRERRRDYCIPDSGNCSCGSFTVAEPKEYH >ORUFI02G07100.1 pep chromosome:OR_W1943:2:4767358:4789024:1 gene:ORUFI02G07100 transcript:ORUFI02G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPHLVIDLNEEPEPAPTNAADGAHLTEFAVQLPHFEGLHLKNTALYDGNQFMPAFGLAHCLSMRQQFTSMDRDIMADGNIVQRSIHLRRKRRDLPQTSSLPKFAENHELGSANIFMDPSFYTKATESSSTENKSSSKPPKFLGENSSRRPHHRTVGLPVQYSDFFITSLGEIDKRPSYHNSYQIWPVGFTSYWHDRITGSLFECEVCDGGNFGPLFKVRRISCSVFPLPDASTILSQNSTRKAETIETNENNTLLEDTANDTDDNILMLLSDSSETNQDLLSCFSNDMESKMTSLGCNDLHSSNRSVPTVPSHSGTDKIGEFTFEGTSPSSVWRMISCAMMEACEKMYKEHGHLVFFCTHGTEKHSFDSGSGFQDFNGPYTPLTRFCSSYGPSIPRIVEKENDVEPTYSLLKEWLYQDRIGFDLEFVQEIVESLPRSRACSNYQFLCNRAEFVSSLTVASGSLRVVHKNGQSNGDVMSYGRHGSVVTGLQDHTQPSGFRIRELPLGRPISNKLPPELAGEVLQIWEFLGRFSEIIGLKEIPSYEQLEDELIDPWPICADQKEKLSNDIHRDHTSPINSPANVSTSYSNGESGLTSNEEIVSLFIPVETSSMKKAAQDKSAAQTLGRCSGVVLPGVHLTLFRVLFGELLSKVAIFVDPNIDPKESKTRRGRKKDTENLISAKEFKFDMLTANKLTWPELARRYILAISSISGCMDLSDISSREGVKLFRCLQGDGGILCGALPGVAGMEKDALLLVEAENLICKSSVNEESKVFMMDQKDTDMVDSPEVSATDNKTLPDWAKSLEPVRKLPTNVGTRIRKCVYESLERKPPEWARKILEHSISKEVYKGNASGPTKKAVLSVLTEACRVKVPHNPEKPRKERNAISISEAILKKCRIALRSAISSDESKLFGNLLGTTLVNSNENEDEGILGFPGMVSRPLDFRTIDIRLAMGAYYGSWEAFLEDVQEVIRNLHTAFGDRPDVLEMVVALSQSFESLYKTEVLDLVEKFDKYLSDKNAGSEMHEELHDILTAANSLPKAPWEDGVCKVCGIDRDDDSVLLCDKCDSEYHTYCLNPPLARIPEGNWYCPSCMLGQTKAHHDQGVQDVKRQQKKFVGEEAHAFQEELNKLATAMEEKEYWDLNMQERIYLLKFLCDEMLNTALIREHLDQCSDKLGDLQQKFRASNFELKDLKYKEEMRTSYARQSRSSKTEQHFNNSSGPVENQQQCTPTALDHLEEAEQGNVGVNLNNPADGVPDGQLNVGKPYKSDKDISSASMVEERKSSGLSEQPSGMAIDQIDGDAIDEGSQSCEKRSLGAKSSTCDNLNLKDTEFSTPGRELPDERASTSFQDNLEASSTKSIELDADNNEMDTLSDDISKLQDSISLLESQINMASSRRECLGKDSIGRLYWVIGRPGKRPWLVADGSMLKPKERDISMVNSYPPSAFDCKGWNSASIFIYESDEEIQCLLDWLRDYDPREKELKDSILQWQRHFCHQSSSPLVDPPISGPKGEQLMELPNTKAAVILEQKYGLQLDQDTSDLPKKRGKKIKLSSEDRTYRCDCLEPVWPSRYHCLTCHETYLISTEFEGHNDGKCSKIHQSPDESRENDEPKVKVTKSDTKEKDSLECSSVIEPSSDRKLMQCPYDFEEICRKFVTNDSNKETVKQIGLNGSNGVPSFVPSPAFFLEPAIVQSQNRKDDELKDWTSSLEECNAMSAQKLVQEVSKSGQSCPGNVGDEKVQKSKKPTPDNTSGEEAHSTTGKPTRLLAVNGGLVPESSLRPLIGRNSHILKQQKINLLDIEAALPEEALRASKCQQIRRRSWRAFVKDAESISQMVLAANLLEGMIKAEFLKNDWWYWSSFTAAMKTSTVSSLALRVYTLDDCIIYSKDQVPSVEPADNTRSGNRGGRRRRELESLAS >ORUFI02G07110.1 pep chromosome:OR_W1943:2:4788027:4794541:-1 gene:ORUFI02G07110 transcript:ORUFI02G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGGGAAGLPEAWSQVRAPVIVPLLRLAVAVCLTMSVLLFLERMYMAVVISGVKILRRRPDRRYRCDPIPDDDPELGTSAFPVVLIQIPMFNEREVYQLSIGAVCGLSWPSDRLVVQVLDDSTDPVIKEMVRIECERWAHKGVNITYQIRENRKGYKAGALKEGMKHGYVRECEYVAIFDADFQPDPDFLRRTIPFLVHNSDIALVQARWRFVNADECLMTRMQEMSLDYHFTVEQEVSSSVCAFFGFNGTAGVWRVSAVNEAGGWKDRTTVEDMDLAIRASLKGWKFVYLGDVQVKSELPSTFKAFRFQQHRWSCGPANLFRKMLMEIVRNKKVTIWKKIHVIYNFFLIRKIIAHIVTFAFYCLIIPATIFVPEVRIPKWGCVYIPTIITLLNSVGTPRSFHLLFFWILFENVMSLHRTKATLIGLLEAGRANEWVVTEKLGNALKMKSSSKSSAKKSFMRVWDRLNVTELGVAAFLFSCGWYDLAFGKDHFFIYLFFQGAAFFIVGIGYVGTIVPQS >ORUFI02G07120.1 pep chromosome:OR_W1943:2:4803070:4803747:-1 gene:ORUFI02G07120 transcript:ORUFI02G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTAAALSTLSTASVTSGKRFITSSFSLSFSSRPLATGVRAAGARAARRSAASASTVVATIAVGDKLPDATLSYFDPADGELKTVTVAELTAGRKAVLFAVPGAFTPTCSQKHLPGFIEKAGELHAKGVDAIACVSVNDAFVMRAWKESLGLGDADVLLLSDGNLELTRALGVEMDLSDKPMGLGVRSRRYALLADDGVVKVLNLEEGGAFTTSSAEEMLKAL >ORUFI02G07130.1 pep chromosome:OR_W1943:2:4807544:4809613:1 gene:ORUFI02G07130 transcript:ORUFI02G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPRRIRRGGAGLSLVVVALLLAVAARAQQEYEANQQNACYATNASSTLGYTCNATSASAAAAAPCDAYLVFRSSPPLYASAVSISYLLNVAAAAVADSNAVDPVAPVAADRLVLAPVPCGCSPGGYYQHNASHTIRDTGVETYFIIANLTYQGLSTCQALIAQNPLHDSRGLVAGDNLTVPLRCACPSPPQAAAGVKHMVTYLVTWGDTVSAIAARFRVDAQEVLDANTLTESSIIYPFTTLLVPLKNAPTPDMLAPPAQAPPPPAPAPPRAQPPPGGSGSGKGVAVGVGVGCGVLALAGVFGLLFFCLRRRRGVGEESVRPGKVVGDVSSSAEYGALASGKQTTTATSMSSLSAARSLMASEVREALESLTVYKYSELEKATAGFSEERRVPGTAVYRGVFNGDAAAVKRVSGDVSGEVGILKRVNHCSLIRLSGLCVHRGDTYLVFEYAENGALSDWLHGGDAATGVLGWRQRVQVAFDVADGLNYLHHYTNPPCVHKNIKSSNILLDADLHGKMSSFGLARALPAGDGAAAAAAQLTRHVVGTQGYLSPEYLEHGLITPKLDVFAFGVVLLELLSGKEAASSGDGENGEALLLWESAAEALVDGGGEDAGSNVRAFMDPRLGGDYPLDLAMAVASLAARCVARQPAARPAMDEVFVSLAAVYGSTVDWNPSDHGNSGSSLIGR >ORUFI02G07140.1 pep chromosome:OR_W1943:2:4811439:4812068:-1 gene:ORUFI02G07140 transcript:ORUFI02G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLSCIYRPESDIVVESEAPAPPPPPARVIAADGSLRELPASPCVAVVSDVLGGGDDAASFFVCNSDALYFDDRPPALSPGEPLRPGELYFVLPRAMLGRPLSSADMGRLAVRASLALVGERPQRRRRHRQGGGKKQKKKVHVMPAQAQSRDDGDGDVDGVFNEKLNEQTLGVFAVFLSPARGAAPAAPAAARSPLKRALSLVEEEA >ORUFI02G07150.1 pep chromosome:OR_W1943:2:4812108:4823046:-1 gene:ORUFI02G07150 transcript:ORUFI02G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLSCISRRRGSPVPAPARVIAADGSLKELHAAASPAVADVLRGEGESFFVCNSDTLYFNEQPPAMAPGEALRPGQIYFVLPAAMLGQPLSTADMAALAVRASAALAAVKAPRRRGARRGGDRKMKTVRVTPLRDEGLDGGDVLLHEKLNERTLGEFPASWSPPKSGGEKLAAAARSRLKRLSCISCRRRSSSHQPAPAPARVITADGSLKELAVSSAVADVLRGEGEGRSFFVCNSDALYFNEQPPALAPGEALRPGQIYFVLPAAMLGQPLSTADMAALAVRASAALAAAAAKAPRRRGVRRGGGDRKRKTVRVTPLRDERLDGGDVLLHEKLNERTLGEFPASWSPTKSGGEKLAAAARSRLKRVLSIIQEDAELNSP >ORUFI02G07160.1 pep chromosome:OR_W1943:2:4830219:4832718:-1 gene:ORUFI02G07160 transcript:ORUFI02G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLAEREPAWLRSLLGARFFEACAAHRGMSRNECNQYCLTCAAAADDAGGAAAVGCQWCVVAAHGGGAGRDRGHRHRVVQVRRSSYHNVVRVSELERTLDLTRVQTYVINRDRVVFLNERPQAPRNGRCAAAAAVACAACEACGRGLLDVAFRFCSLGCKLKCMESDPTLTFTIDPNNIPEPQISGPQEDEEEDDDEEDEPFYPTKANAAQSKAAGGGGCRPPPPPSASSSRPRRGGRRVARGDKEEDQEAAANILAFAAAAARSVPAASAADPNSYRRRARKGAHRAPERSPFF >ORUFI02G07170.1 pep chromosome:OR_W1943:2:4835187:4836591:1 gene:ORUFI02G07170 transcript:ORUFI02G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPRGMPRDEFATPKTHKRKVVSRPLPPSQIKAEPELLRREVPLTSGKTKKAPKITFKNEPRHSAPQSDWGTPDSVPEFGPADEYRALRKKYLLLEDENGELDKQLSQAEEEASVLEDEKFALLDQLVVLEGLVDPSQMQPPRRL >ORUFI02G07180.1 pep chromosome:OR_W1943:2:4837360:4840519:1 gene:ORUFI02G07180 transcript:ORUFI02G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMATTAAAAAEEAVVALDKETLMLMGCGDAPPAAPCAEWETFKENVRPLKRGRNVGLLNRALKAHADPAQRAALLAARRKMIEAIDEYSGEDPLQPWIDCIKWVQESFPTGGDCSGLVVIYEQCVRAFWHDDRYKNDLRYLKVWLEYAGNCADSEVIFRFLEANQIGQSHTNYYLSYASVMESKNKLKKANEIFNLGIARKAKPVEKLETTYRAFLQRSSKKKAHPEDDTTTDDHPVRNFGTVLNRGEIRGQHAENSHLVKPRATLQRVDVNRPLAVYTDENSLPSHGLARTRSNNTAWQTLGSQADRNKENNMRPAKWTSHKIPQKVGSRAAVQPTRVSSIEVFVDDECAEEPVPQVPKSTKPSILKLRQATSRNLKQETELLMENPLRNFPLTSLR >ORUFI02G07190.1 pep chromosome:OR_W1943:2:4844858:4846494:1 gene:ORUFI02G07190 transcript:ORUFI02G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIPNSQVQGDQHQLPAGDRPPGTAGAACPAGLGAPAAGEAVRRRRAVAPVPGGAQLLPEEVVAGDGGGGGDHRDEGTAAEPGLPAPAAGGAGGGRRGGRRRELFPGGGHCRLLGVHQEIIPAAYYSISLLVNFHRLISGSTNIDVQSFCAFAKN >ORUFI02G07200.1 pep chromosome:OR_W1943:2:4846704:4856382:-1 gene:ORUFI02G07200 transcript:ORUFI02G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGAIGGASPSGRVLGPALDRIIKNAAWRKHSGLVAAAKAALDLLSSSAYASASAPSPPSLLLGLPAAAADACIHALLLALESASPKVADPALDCVAKLLYHRLLVGDLGGGGGDDDSPSSKLLAAVLSCGALADDAMELSTLRVLVAAARCPSVAIRGEGLGQMLKTCYNIYLSSSSGANQLCAKLALAQVLVIVFARVEVDSMDVRVRTVSITDMMDMSDRNLNDSSIVHAAQSFINETMEGSDVPEPGSPVEPAETDGKEDVVMSKIREDGLTLFKNLCKLSMKFSTPDNPEDQVLLRGKVLSLELLKMVIDNAGAFWRTNEKYLGAIKQYLCLSLLKNSALSAMSIYQLLCSIFLGLLSRFRSGLKEEIGIFFPMLVLRVLENVHQPSFLQKMTVLNLLEKICKDSQVIIDVFVNYDCDVDAPNIFERIVNGLLKTALGVPPGSATTLTPAQDQTFRIESVKCLATIIKSMGSWMDQQLKIGEFSPKPSEISLNSIDIPNILVGEDGGAVDYELQTDSGNPDLSDASSLEQRRTYKIELQKGISLFNRKPSKGIDFLIKSKKIGHSPEDVASFLRDTAGLNATMIGDYLGERDEFPIKVMHAYADALNFEGMDFGEAIRYYLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNTMVKDKMSKSDFIRNNRGIDDGKDLPEHYLSTLYDQIVKNEIKMSADSSVPQSKQPSSVIKLLGLDNIINLVNWKQAEDKALGANDLLIKNIQEKFKAKSGKSESIFHVITDSTILRFMMETQRDAFVTSVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGDYLQDSWEHVLTCLSRFEHLHLLGEGAPTDASFLTVPLVESEDKTQKSSSTTASKRTNALQNPAVMAAVRGGSYDSTTAKNNASPLVTPEQINSFISNINLLDQIGIFELNHIFAHSQRLNSDAIVAFVKALCKVSMTELHSPTEPRIFCLTKIVEIAHYNMNRIRLVWSHIWKVLSDFFVSVGSSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAVVMQKSNASEVRELVVRCISQMVLSRVNNIKSGWKSVFTVFTAAAADDRKSIVLLAFETMEKIVRDYFPYITETETTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFVCHEKDTDHQSNNLDVSDGNATLHKDDHVYFWVPLLAGLARLTTDTRPTIRKGAVEVLFDILKDHGHLFSQSFWRNIFESVVYPLFSTGSSTPNGHINLTEDDSWNSETKTVAVKCLVDLYITFFDEMRTELSRVTSVVTNFIRSPYKQSASTGLSVFQRLTEGLESRLSKEEWKEILLCFKDSAMQTFVVFDKIVRMMQDIEIPDRNESYPEVERYSDNDIYNDDEEEANMETTSYAIIKLKNHMAQQLLVVQGIVKLYETHRWSFYAEHMGIILETLSAIASHASEVSSESTLLMKFHKACSLLEVSEPAVIHFENESYQSYLKLLQALVHDHPSISEDMKIESHIMLVSEKILRKYLKCAGRERSNDSSGRDPALRWKLPLGTAKKEELSARTSLVLHVMQLLGGLERDCFRRNLPLFFPLLTNLIRCEHSSGEVQLALNYGPRYTVTYNLSSSLIKL >ORUFI02G07200.2 pep chromosome:OR_W1943:2:4846704:4856382:-1 gene:ORUFI02G07200 transcript:ORUFI02G07200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGAIGGASPSGRVLGPALDRIIKNAAWRKHSGLVAAAKAALDLLSSSAYASASAPSPPSLLLGLPAAAADACIHALLLALESASPKVADPALDCVAKLLYHRLLVGDLGGGGGDDDSPSSKLLAAVLSCGALADDAMELSTLRVLVAAARCPSVAIRGEGLGQMLKTCYNIYLSSSSGANQLCAKLALAQVLVIVFARVEVDSMDVRVRTVSITDMMDMSDRNLNDSSIVHAAQSFINETMEGSDVPEPGSPVEPAETDGKEDVVMSKIREDGLTLFKNLCKLSMKFSTPDNPEDQVLLRGKVLSLELLKMVIDNAGAFWRTNEKYLGAIKQYLCLSLLKNSALSAMSIYQLLCSIFLGLLSRFRSGLKEEIGIFFPMLVLRVLENVHQPSFLQKMTVLNLLEKICKDSQVIIDVFVNYDCDVDAPNIFERIVNGLLKTALGVPPGSATTLTPAQDQTFRIESVKCLATIIKSMGSWMDQQLKIGEFSPKPSEISLNSIDIPNILVGEDGGAVDYELQTDSGNPDLSDASSLEQRRTYKIELQKGISLFNRKPSKGIDFLIKSKKIGHSPEDVASFLRDTAGLNATMIGDYLGERDEFPIKVMHAYADALNFEGMDFGEAIRYYLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNTMVKDKMSKSDFIRNNRGIDDGKDLPEHYLSTLYDQIVKNEIKMSADSSVPQSKQPSSVIKLLGLDNIINLVNWKQAEDKALGANDLLIKNIQEKFKAKSGKSESIFHVITDSTILRFMMEVCWAPMMAAFSVTLDQSDDKAATSQCLQGFRSAVHVTAVMCMQTQRDAFVTSVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGDYLQDSWEHVLTCLSRFEHLHLLGEGAPTDASFLTVPLVESEDKTQKSSSTTASKRTNALQNPAVMAAVRGGSYDSTTAKNNASPLVTPEQINSFISNINLLDQIGIFELNHIFAHSQRLNSDAIVAFVKALCKVSMTELHSPTEPRIFCLTKIVEIAHYNMNRIRLVWSHIWKVLSDFFVSVGSSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAVVMQKSNASEVRELVVRCISQMVLSRVNNIKSGWKSVFTVFTAAAADDRKSIVLLAFETMEKIVRDYFPYITETETTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFVCHEKDTDHQSNNLDVSDGNATLHKDDHVYFWVPLLAGLARLTTDTRPTIRKGAVEVLFDILKDHGHLFSQSFWRNIFESVVYPLFSTGSSTPNGHINLTEDDSWNSETKTVAVKCLVDLYITFFDEMRTELSRVTSVVTNFIRSPYKQSASTGLSVFQRLTEGLESRLSKEEWKEILLCFKDSAMQTFVVFDKIVRMMQDIEIPDRNESYPEVERYSDNDIYNDDEEEANMETTSYAIIKLKNHMAQQLLVVQGIVKLYETHRWSFYAEHMGIILETLSAIASHASEVSSESTLLMKFHKACSLLEVSEPAVIHFENESYQSYLKLLQALVHDHPSISEDMKIESHIMLVSEKILRKYLKCAGRERSNDSSGRDPALRWKLPLGTAKKEELSARTSLVLHVMQLLGGLERDCFRRNLPLFFPLLTNLIRCEHSSGEVQLALNYGPRYTVTYNLSSSLIKL >ORUFI02G07210.1 pep chromosome:OR_W1943:2:4856663:4860059:1 gene:ORUFI02G07210 transcript:ORUFI02G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVDALEVLLQGLSGVPKERVRVHELCLKSGPNLGVVPSEVRLLCDLAQSTPSWTIRHVGGAMRGAGAEQISVLVRSIVESKASNNVLRYFYGIGYKLDHEVLKGGFAFRFHRGAQITVTVTSVSKMTKLHATNEAVPITPAIQLVEITAPAAADNYNDVVSAVTSFCEYLAPLLHLSKPGNSTGIVPTAGAAAASLMSSAGGGGGKTL >ORUFI02G07220.1 pep chromosome:OR_W1943:2:4861537:4864987:-1 gene:ORUFI02G07220 transcript:ORUFI02G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKLDIGEHGDRNLRGDLILSFFPSISKKSFVCMGMAKLELDLPCSDSVHKKSSPQDSIYNRKGFNTKSKEHFHEQPSTLSNELLMHIQIQDLSVDGSRRRHLDRTVAPFEKKIASARVSGRCRGRERRAMRLHEGSVLMDTAACILSAKSNSVCMRDACQARRT >ORUFI02G07230.1 pep chromosome:OR_W1943:2:4866138:4870191:1 gene:ORUFI02G07230 transcript:ORUFI02G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSMPAPAAEAAAAATTATANSMPLAPPQPPSSGAPFSQPPSTAGTVKTEAPPPPSTSASSAASAAAPAPAAAAAAAAAEESPCTITIPSYSAWFSYDSIHETERRLMPEFFEGEAAAASGSRGPEAYKYYRDTLVRRFRARPGRRLTLTEARRGLVGDVGSVRRVFDFLEEWGLINYGASPSGAKQGRDKKEEAALSQSSLPIGATMPSKLCTGCRTVCGLAYFSCEKADISLCARCYVRANYRPGLTSADFKRIEITEDAKSDWTDKETLHLLEAVLHYGEDWKKVSHHVGSRSEKDCIARFTRLPFGEQFMGPKEDKIQFGNDCDLNEESGSHISKRLRLTPLADASNPIMAQVAFLSAIVGSDVAVAAGQAAISAQSQVDISASETDSSINISKEEESSCTNGLSANDLLKEASANAQVQLEKERKAIEQSLSDIVGVQMKEIQDKIRRFEQKELLMEKERKQLHCLKELLFSDQLAVVQHQRRPPAVTTESKDDEKPKPVISIS >ORUFI02G07240.1 pep chromosome:OR_W1943:2:4871037:4876765:-1 gene:ORUFI02G07240 transcript:ORUFI02G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRGLTAVSRLRSRVAQEATTLGGVRWLQMQSASDLDLKSQLQELIPEQQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMTGMLWETSLLDPDEGIRFRGLSIPECQKVLPTAVKDGEPLPEGLLWLLLTGKVPTKEQVDALSKELASRSSVPGHVYEAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGMSKSKFWEPTYEDCLNLIARLPAVASYVYRRIFKGGKTIAADNALDYAANFSHMLGFDDPKMLELMRLYITIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIGETGSDVTTDQLKEYVWKTLKSGKVVPGFGHGVLRKTDPRYTCQREFALKYLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSIGIGSQLIWDRALGLPLERPKSVTMEWLGNHCKKGAA >ORUFI02G07250.1 pep chromosome:OR_W1943:2:4878001:4883045:1 gene:ORUFI02G07250 transcript:ORUFI02G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGRKRGKPDGANGAGGKRARESESFQTGVGSKSKPCTKFFSTSGCPFGEGCHFLHHFPGGYQAVAKMTNLGGPAIAPPPGRMPMGNAVPDGPPTPTVKTRLCNKYNTAEGCKWGDKCHFAHGERELGKPMLMDSSMPPPMGPRPTGHFAPPPMPSPAMSTPASFGASATAKISVDASLAGGIIGRGGVNTKQISRVTGAKLAIRDHESDTNLKNIELEGTFDQIKNASAMVRELIVSIGGGAPPQGKKPVGGSHRGGGPGSNFKTKLCENFTKGSCTFGDRCHFAHGENELRKSAAA >ORUFI02G07260.1 pep chromosome:OR_W1943:2:4882428:4885355:-1 gene:ORUFI02G07260 transcript:ORUFI02G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSSGGGGRQQQQGVAERRLLRSRYLAVKNLISDEKDDMARAGSDTFAAIITQVDCLHELVQRPREQIADAETLLDIANTLVTSVRSQSSEGITPSDFVTAMLKKFGQSGGLDSEAASLRWGDVGLSVSHVFRAAPGCCTMLGPMNTEVKQRKAVVANRKRTARPTENARPEQLAESLEGVKTDTDRNVSVIFDILRRNKRARLENIVLNRRSFAQTVENVFALSFLVKDGRVAINIDDNGHHIVYPRNAPAASAIASGEVSYSHFVFRFDFKDWKLMQEVVPDGEELMPHRNPENIPCAEDHDQADPPELCAQRTPIRKLTRNRGLVMQQDQMVVAETPEEKQPTSKRRRLFRDQQS >ORUFI02G07260.2 pep chromosome:OR_W1943:2:4882428:4885355:-1 gene:ORUFI02G07260 transcript:ORUFI02G07260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSSGGGGRQQQQGVAERRLLRSRYLAVKNLISDEKDDMARAGSDTFAAIITQVDCLHELVHKMMASFYNAVQRPREQIADAETLLDIANTLVTSVRSQSSEGITPSDFVTAMLKKFGQSGGLDSEAASLRWGDVGLSVSHVFRAAPGCCTMLGPMNTEVKQRKAVVANRKRTARPTENARPEQLAESLEGVKTDTDRNVSVIFDILRRNKRARLENIVLNRRSFAQTVENVFALSFLVKDGRVAINIDDNGHHIVYPRNAPAASAIASGEVSYSHFVFRFDFKDWKLMQEVVPDGEELMPHRNPENIPCAEDHDQADPPELCAQRTPIRKLTRNRGLVMQQDQMVVAETPEEKQPTSKRRRLFRDQQS >ORUFI02G07270.1 pep chromosome:OR_W1943:2:4887917:4894470:-1 gene:ORUFI02G07270 transcript:ORUFI02G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLSIASRSPSPALIAPHASARATGLRAPFAGNRIVGWGWGDQTKSGTDRNRASICVVLFLRVRSGEPTQAPRDPPAAVGGGGCYVRLPAPPRDRDAVLYHVCLFTLLLCFIPITALAESDIKNLFALRKAIAVGKGFLHNWFELETPPCNWSGISCVGLTVVAIDLSSTPLYVDFPSQIIAFQSLVRLNVSGCGFSGELPEAMVNLQHLQHLDLSDNQLGGPLPASLFDLKMLKVMVLDNNMFSGQLSPAIAHLQQLTVLSISTNSFSGGLPPELGSLKNLEYLDIHTNAFSGSIPASFSNLSRLLYLDANNNNLTGSIFPGIRALVNLVKLDLSSNGLVGAIPKELCQLKNLQSLILSDNELTGSIPEEIGNLKQLEVLNLLKCNLMDTVPLSIGNLEILEGLYISFNSFSGELPASVGELRNLRQLMAKSAGFTGSIPKELGNCKKLTTLVLSGNNFTGTIPEELADLVAVVLFDVEGNRLSGHIPDWIQNWSNVSSISLAQNMFDGPLPGLPLHLVSFSAESNQLSGSIPAKICQGTFLQILRLNDNNLTGSIDETFKGCKNLTELSLLDNHLHGEIPEYLALLPLVSLDLSHNNFTGMIPDRLWESSTILDISLSDNQLTGMITESICKLLSLQSLSIDRNYLQGPLPRSIGALRNLTALSLSGNMLSEDIPIQLFNCRNLVTLDLSCNNLTGHIPKAISHLTKLNTLVLSRNWLSGAIPSELCVAFSRESHSELEYVQHIGLIDLSRNRLTGHIPRAINNCSILVELHLQDNLLSGTIPVELAELRNITTIDLSSNALVGPVLPWPVPLASLQGLLLSNNRLSGSIPSGIGNILPQITMLDLSGNALTGTLPLDLLCKESLNHLDVSDNNISGQIPFSCHEDKESPIPLIFFNASSNHFSGSLDESISNFTKLTYLDLHNNSLTGRLPSAIARVTSLYYLDLSSNDFSGTIPCGICGMFGLTFANFSGNRDGGTFTLADCAAEEGGVCAANRVDRKMPDHPFHVLEATICCIATAIVIVLVVILVVYLRRRRKMLRRRQFVLVPAGDNAMADHETTLSDNLLGRRRMKKREPPSINLATFEHAPVRVTVDEIMRATGNFDGMHVVGDGGFGTVYRAELPGGRRVAVKRLHGVGRRFQGGEREFRAEMETVGKVRHPNLVPLLGYCAAGDERFLVYEYMEHGSLEDRLRGGGGAALGWPERLTICGGAARGLAFLHHGFVPHVIHRDVKSSNVLLGEGLQPRVSDFGLARIISACETHVSTVLAGTLGYIPPEYALAMRCTAKGDVYSFGVVMLELLTGRPPTWSSAEVTAEGDDERGGGGSLVGWVRWMAARGRGGEVFDACLPVSGAEREQMARVLDVARDCTADEPWRRPTMAEVARRVGAIEAMEYGPLVVAVSSGEPPAMP >ORUFI02G07280.1 pep chromosome:OR_W1943:2:4896973:4912818:1 gene:ORUFI02G07280 transcript:ORUFI02G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALPRLLLAAAVLCAAFAPVSGFTDPSDALGLWELYRTLDSPWQLSGWTSQGGDPCGRGGEQRPWHGVLCRDSSIVALNISGLGVGGWLGLELLKFYSLKILPVFNFFASLNHDDRRDVSFNNIAGEIPRNLPPSVEYLNLSHNKLSGIIGDVFVNMESLGTMDLSFNSFSGDLPTSFSSLKNLHHLYLQHNEFTGSVILLADLPLSSLNIENNSFSGYVPGTFESIPELRIDGNQFQPGFKRASPSFTRSAHSPPTPHPPPSSPPPPMSPPPPAVKENLKHKPEPLKPSVSHSSMYNHNQHRKSHSRVTAAAIATVTGTAFVLLIVGLVLKSCTYSPKSTANNAKSPPANVEKVPKANEVLYSWNSLMNDCEASSSDVIKPERAMKTKVWAKTSKNFLTAKQFQAVDILAATRNFSKECFIGEGFTGQVYRGDFPGGQLLAIKKINMVDLSLSEQDELIDMLGKMSNLKHPNISALVGYCVEFGHCALLYEYAENGSLDDILFSAATRSRALSWKARMKIALGVAYALEFMHSTCSPPVVHGNIKATNILLDAQLMPYLSHCGLARLSQFVSAIRTDSEALNSGKGYVAPELTDPATDSIKADIYSFGVILLVLLTGQKAFDSSRRQNEQFLVDWASPHLHNLDSLERITDPRIHASMPPQAISTLGNIILLCIKKSPELRPPMTVITDKLLKLVQSTGLQKTSTTTQHLEVDAQEPSFKTTRPYFEPSFTGSLPERPRLATLLQSQIDKRVNRHVQVYGKMANAKSEGDKTIAGKNLSGYVPSVLGSLVLLRRLNLHGNLRDELRLCLSRPPPSVTGSAACSRPRVAAAVLVRREREGGGERLG >ORUFI02G07280.2 pep chromosome:OR_W1943:2:4896973:4902773:1 gene:ORUFI02G07280 transcript:ORUFI02G07280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALPRLLLAAAVLCAAFAPVSGFTDPSDALGLWELYRTLDSPWQLSGWTSQGGDPCGRGGEQRPWHGVLCRDSSIVALNISGLGVGGWLGLELLKFYSLKILPVFNFFASLNHDDRRDVSFNNIAGEIPRNLPPSVEYLNLSHNKLSGIIGDVFVNMESLGTMDLSFNSFSGDLPTSFSSLKNLHHLYLQHNEFTGSVILLADLPLSSLNIENNSFSGYVPGTFESIPELRIDGNQFQPGFKRASPSFTRSAHSPPTPHPPPSSPPPPMSPPPPAVKENLKHKPEPLKPSVSHSSMYNHNQHRKSHSRVTAAAIATVTGTAFVLLIVGLVLKSCTYSPKSTANNAKSPPANVEKVPKANEVLYSWNSLMNDCEASSSDVIKPERAMKTKVWAKTSKNFLTAKQFQAVDILAATRNFSKECFIGEGFTGQVYRGDFPGGQLLAIKKINMVDLSLSEQDELIDMLGKMSNLKHPNISALVGYCVEFGHCALLYEYAENGSLDDILFSAATRSRALSWKARMKIALGVAYALEFMHSTCSPPVVHGNIKATNILLDAQLMPYLSHCGLARLSQFVSAIRTDSEALNSGKGYVAPELTDPATDSIKADIYSFGVILLVLLTGQKAFDSSRRQNEQFLVDWASPHLHNLDSLERITDPRIHASMPPQAISTLGNIILLCIKKSPELRPPMTVITDKLLKLVQSTGLQKTSTTTQHLEVDAQEPSFKTTRPYFEPSFTVSQSATGGCISQR >ORUFI02G07280.3 pep chromosome:OR_W1943:2:4903652:4912818:1 gene:ORUFI02G07280 transcript:ORUFI02G07280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLFGMPLPVTPGVENGLSSSYGTMPAPHLFLSSGSLFRSSTMPSISFILPANLSNAALTLGSASHAGSACSPMYSSSGECDDRMSSVAMIVMACILDGMVSSSTCSGISMNFFITSSLCISHPIDCIAGKNLSGYVPSVLGSLVLLRRLNLHGNLRDELRLCLSRPPPSVTGSAACSRPRVAAAVLVRREREGGGERLG >ORUFI02G07290.1 pep chromosome:OR_W1943:2:4903648:4909159:-1 gene:ORUFI02G07290 transcript:ORUFI02G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPNQPLALSAAKNASTVVVGSPLLAASPASSPAAACCSSQLQQRRAAGPGRRGAGRLAAPRCSTIEQAAGVSSAVTVDRTLTVTATVTVQPPIGVVYAARGIDDLTDLIGKTLLLELVSSELDPRTGKEKETVSAFAHRTMKQDTYEAEFAVPATFGPVGAVLVENEHHHEMFVKEIRLVTGADDSSAVTFDCNSWVHSKFDNPDRRIFFTVKSYLPAQTPKGIEALRKKELETLRGDGTGERKFCDRVYDYDVYNDLGDPDFKIEHLRPVLGGDEHPYPRRCRTGRPHTKIDPRTEKRRGPVYVPRDEQFSDVKGMTFSATTLRSGLHAMLPALEPLLANQELRFPHFPAIDGLYSVGIPLPAQLAAAGAATAAASSSSSTNIVGGVIPRLVRMIEDTTDHVLRFDVPEIDEEFARQVLAGVNPICIQLLTEFPIVSKLDPEVYGPPESALTKELLESQIVESVTVEEAMAQRRLFILDYHDVFLPYVHRVRERPETTLYGSRTVFFLTGAGTLSPLAIELARPQSPTRPQWRRAFVHGPDATASWLWKLAKAHVLSHDTGYHQLVSHWLRTHCCVEPYIIAANRQLSRMHPVHRLLHPHFRYTMEINALARESLINADGIIEESFWPGRYAMELSSVAYAATWRFDAEALPEDLVRRGLAVRQEDGELELTIKDYPYANDGLLVWNSIKQWASDYIDFYYKSDEEVACDEEVRAWWEEVRTKGHADKKDEPWWPAVDTRDGLIGVLTTIMWVTSGHHAAVNFGQYHYGGYFPNRPTVMRKNMPVEENKEEVMKKFMEMPEHVLLDTMPSKMQAITIMATLDILSSHSPDEEYMGEHAEPAWLAEPRVKAAFERFAGRMKEIEGIVDERNNDPELRNRCGAGIVPYELLKPFSTPGVTGRGIPNSISI >ORUFI02G07300.1 pep chromosome:OR_W1943:2:4926127:4932891:-1 gene:ORUFI02G07300 transcript:ORUFI02G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPLDPPPEPQPQTLPAVAAASPSPSPPPLLLRPRREAFEHGLLPIPKLIFPEGTLAHTLAQVKDKLASAADGGGRVAAAALAEALQIPHEQAALALATLAAVLPADDPALDSGGGGRADLRDVLLFLYIQSYKRLVPRAHKDSPAVTDVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSQADEEIHQLSYLQKHMANILTLLADSVEGEGDDSLVLTMETFEHLGFLLKFSEGTPLSQAATFFANSDPDMPAAPVPAAQVHDWISQNISASLEFSTEKSISKEVSQQVTSDIDVTMADANASNARNSTPTGTNPAYYRNTTFVEGFSKTSVVKHASDVKGHSVKVLNCHESVIYILAPLKYATVYGCSDATVVLGAIGKVVKVEHCERVHIIAAAKWICIANCRECIFYLGVNHQPLIVGDNHKLQVAPFNTYYPQLGEHLAKVGVDPAINKWDQPFVLGVVDPHDSLSHPAGVSDVQAESAARLDPDLFTNFMIPSWFGTEVQEPTKCCPFPLPEIYCASQSKKIFLIQLCVYTIHIQLAALEDIRRTIRELQIDDNRKKELTNALHAQFKDWLYVPFAYDGFA >ORUFI02G07310.1 pep chromosome:OR_W1943:2:4940400:4951683:1 gene:ORUFI02G07310 transcript:ORUFI02G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRPWQQQLQPHDQQAASCSVTAGMMMQASATSSSIHGNNIIRKDPGGGYDMAELDHIFLYLNSQDQASAAIQEQPQTLNIFPSQPMHAGEPSPKGSSSMAAINSAPSNNALAIAAGSSKRPPAAAAAGLAGGSSRPCRRQGLHSKSGDKQDQTVPAGTGVGAKIKNSEAAWFDGEYARWVESHERMMAHMRAAVEEQPQHGGVAAAAAEAQLRQLVDAAVAHHGVLVELKAAVASADVFHLVSGTWLPAAERCFLWIGGFRPSELIKMMARHAEPLTEQQAAGVYGVQQSAREREEALDRDLHATHHALSDAVSSDSLLLFPPGTGATAYSDADALRLQTLYKLPQILTARQSARCFLAIADHSHRLRALTSLWLSRPRHPDQPAPPPPPPPSINPRN >ORUFI02G07320.1 pep chromosome:OR_W1943:2:4948769:4948975:-1 gene:ORUFI02G07320 transcript:ORUFI02G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEYAVAPVPGGKSRSESEETASERAWWVAWRSRSSASSLSLADCCTPYTPAACCSVNGSACRAII >ORUFI02G07330.1 pep chromosome:OR_W1943:2:4951800:4955408:-1 gene:ORUFI02G07330 transcript:ORUFI02G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNLGLVHYVLDHIYGTVLHRTKLGTPFFSKGWGGTKLDLLEKMVKQLFPEARCQNWPPTAVQPMWKTVWETKSSCLREGVFRTTCDPRLIEALPPESHNARVAFLTPKSVSPEKMACVIHLAGTGDHSFERRLRLGGPLLKDNIATMVLESPYYGQRRPSMQHGSKLQCVSDLLLLGKATIDEARSLLYWLQNEAGYGKMGICGLSMGGVHAAMVGSLHPTPIATLPFLAPHSAVVPFCDGLYRHATAWDALRKDAATLAQDVTSLTEDMAQKSGITIEQVRERLRSVLSLTDVTRFPVPKNPQAVIFVGATDDGYIPKHSIMELQKAWPGSEVRWVTGGHVSSFFLHNDAFRKAIVDALDRL >ORUFI02G07340.1 pep chromosome:OR_W1943:2:4957590:4961734:-1 gene:ORUFI02G07340 transcript:ORUFI02G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPWRVLLPLLLLHSSPVFFVFAQEGQDNDPSTLFKRALEMMNLRKYDGSLGLLNAVLEVEPNHSEAYRQRASVLRHKCRYKEAEGDYSKYLELKPGSSSVEKELSQLLQAQNALESAYGQFESHDFSKVLDYINKIVLVFSPDCLKAKLLKAKALLALKDYSTVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSELKKAYFGLKNLVKKTKSAEDNAAKGKLRVSAEDYKASLAMDPDHTSYNVHLYLGLCKVLVKLGRGKEAISSCTEALNIDGELVDALTQRGEAKLLTEDWEGADMGIREALMRAEKQLKLSKRKDWYKILGISKTASAAEIKRAYKKLALQWHPDKNVDKREEAENMFREIAAAYEVLGDEDKRVRYDRGEDLDEMNMGGGGGGGFNPFGGGGQQYTFHYDGGFHGGGGFPGGGFPGGFQFNFG >ORUFI02G07340.2 pep chromosome:OR_W1943:2:4957590:4961734:-1 gene:ORUFI02G07340 transcript:ORUFI02G07340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPWRVLLPLLLLHSSPVFFVFAQEGQDNDPSTLFKRALEMMNLRKYDGSLGLLNAVLEVEPNHSEAYRQRASVLRHKCRYKEAEGDYSKYLELKPGSSSVEKELSQLLQAQNALESAYGQFESHDFSKVLDYINKIVLVFSPDCLKAKLLKAKALLALKDYSTVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSELKKAYFGLKNLVKKTKSAEDNAAKGKLRVSAEDYKASLAMDPDHTSYNVHLYLGLCKVLVKLGRGKEAISSCTEALNIDGELVDALTQRGEAKLLTEDWEGAVQDLKEAAQKSPQAMVCTVHAQDMGIREALMRAEKQLKLSKRKDWYKILGISKTASAAEIKRAYKKLALQWHPDKNVDKREEAENMFREIAAAYEVLGDEDKRVRYDRGEDLDEMNMGGGGGGGFNPFGGGGQQYTFHYDGGFHGGGGFPGGGFPGGFQFNFG >ORUFI02G07350.1 pep chromosome:OR_W1943:2:4961750:4962383:-1 gene:ORUFI02G07350 transcript:ORUFI02G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRQATTSASPIRPGRLLARRPPPISILPAEVAPASSCSRRIRSPVSILIHPIRPRPRPRISPPRPPLPPRAEVAPGVLLLPPHRRSPN >ORUFI02G07360.1 pep chromosome:OR_W1943:2:4964637:4970001:1 gene:ORUFI02G07360 transcript:ORUFI02G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVVVVVVVFLAAAVDGVAGKDCTNGFPGLTASHTERAAAAAEQRPDGEVEAARVLDLLLPHGHGHGDDHDGDRHLTPTDESTWMSLMPRRLLASPVSSPRRDAFDWLMLYRNLRGSGSGAGAIAASGGALLAEASLHDVRLQPGTVYWQAQQTNLEYLLLLDVDRLVWSFRTQAGLPASGAPYGGWEGPGVELRGHFVGHYLSATAKMWASTHNDTLLAKMSSVVDALHDCQKKMGSGYLSAFPSEFFDRVESIKAVWAPYYTIHKIMQGLLDQYTVAGNSKALDLVVGMANYFSDRVKNVIQKYSIERHWASLNEESGGMNDVLYQLYTITNDQKHLTLAHLFDKPCFLGLLAVQADSISGFHSNTHIPVVIGAQMRYEVTGDLLYKQIATFFMDTINSSHSYATGGTSAGEFWTNPKRLADTLSTENEESCTTYNMLKVSRNLFRWTKELSYADYYERALINGVLSIQRGTDPGVMIYMLPQAPGRSKAVSYHGWGTKYDSFWCCYGTGIESFSKLGDSIYFEEKGDRPVLNIIQYIPSAYNWKAAGLTVNQQLKPISSLDMFLQVSLSTSAKTNGQSATLNVRIPSWTSANGAKATLNDNDLGLMSPGSFLSISKQWNSDDHLSLQFPITLRTEAIKDDRPEYASLQAILFGPFVLAGLSTGDWNAEAGNTSAISDWISPVPSSYNSQLVTFTQESSGKTFVLSSANGSLTMQERPTVDGTDTAIHATFRVHPQDSAGQLDTQGATLKGTSVQIEPFDLPGTVITNNLTQSAQKSSDSLFNIVPGLDGNPNSVSLELGTKPGCFLVTGVDYSVGTKIQVSCKSSLPSINGIFEQAASFVQAAPLRQYHPISFIAKGVKRNFLLEPLYSLRDEFYTVYFNLGA >ORUFI02G07370.1 pep chromosome:OR_W1943:2:4971466:4971987:-1 gene:ORUFI02G07370 transcript:ORUFI02G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKEAGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKEEQAKLAASSIDSIVNGCDGGKEHIVAASGSTAVAVAQVEAKTLVVQPTDVAGTSEEVAVVPKVKEGPNRCATCRKRVGLTGFNCRCGNMYCALHRYSDKHECQFDYRTAARDAIAKANPVVKAEKLDKI >ORUFI02G07380.1 pep chromosome:OR_W1943:2:4975768:4979653:1 gene:ORUFI02G07380 transcript:ORUFI02G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRRARVSRDGDGEEEEEPAPVAAESKSLYEILGVERTASQQEIKKAYHKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDEEKRALYDETGIADDDALVGEAADNLQEYFRAVYKKVTEADIEEFEAKYRGSDSEKKDLKDLYTKFKGNMNRLFCSMICSDPKLDSHRFKDIIDEAIAEGELKSTKAYDKWAKKISEIEPPTNPLERRVKKNKKKSEENDLILAISQRRAQRKDRFDSVLSSIMSKCDPKGSSSSEPTEEEFEQARQRLEKKRSKNRK >ORUFI02G07390.1 pep chromosome:OR_W1943:2:4980376:4980884:1 gene:ORUFI02G07390 transcript:ORUFI02G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPWIPLGPPPPAIKIPRAPRLASQLNATTARLQPEKPSIDNITRLLPHPAEPSTSPPPPGMEMKKIACAVLLAVAASASAVEASESPASAPGPGSL >ORUFI02G07400.1 pep chromosome:OR_W1943:2:4981786:4986311:1 gene:ORUFI02G07400 transcript:ORUFI02G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQVLVALALSLVGGLSTSIGALFVILNPTPNLKMLGLLQGFAAGLMLSISFLDLAHNALNSIGFLKGNLWFFAGVLFFGLVVKFIPEPTVVPTADAGKKQTDDDGSGKDMMKKHRRQVLFSGIITAVGISLHNFPEGMAVFLGSMKGLRVGLNLAIAIALHNIPEGVAVALPLYFATNSKWQAFKVATLSGFAEPLGVIIVVLKADANVIASAYLFPSSLNPEVLEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFVGMAFMSASLYFLEISLPKEVSL >ORUFI02G07400.2 pep chromosome:OR_W1943:2:4981786:4986311:1 gene:ORUFI02G07400 transcript:ORUFI02G07400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQVLVALALSLVGGLSTSIGALFVILNPTPNLKMLGLLQGFAAGLMLSISFLDLAHNALNSIGFLKGNLWFFAGVLFFGLVVKFIPEPTVVPTADAGKKQTDDDGSGKDMMKKHRRQVLFSGIITAVGISLHNFPEGMAVFLGSMKGLRVGLNLAIAIALHNIPEGVAVALPLYFATNSKWQAFKVATLSGFAEPLGVIIVAYLFPSSLNPEVLEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFVGMAFMSASLYFLEISLPKEVSL >ORUFI02G07410.1 pep chromosome:OR_W1943:2:4989223:4990997:-1 gene:ORUFI02G07410 transcript:ORUFI02G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYAQTNLISRGHGHHHQPELMKEFPFLSLISSTSSCISSSGQQQQQQQDDDGHGGHDRHQLLRYGCCSCNKQQEEEEEEVTVALRIGLPAVSSASASPSPAMANHGASASSTGVAGDDGGGGAMAGVGVEVESVRRSGGGGGGGQYWIPTAAQILAGATQFSCPVCRKTFNRYNNLQMHMWGHGSQYRRGGTAALRGAQPTAMLRLPCYCCAAGCRNHIDHPRARPLKDFRTLQTHYRRRHGARDFACRRCAKRFAVRGDWRTHEKNCGRLWRCACGAHFRHKRSLNDHARAFGAAHAHAGAGAGAEDDGRAAAAAAGVLR >ORUFI02G07420.1 pep chromosome:OR_W1943:2:4993835:4994110:1 gene:ORUFI02G07420 transcript:ORUFI02G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCKGHSQEANPIKASMMEVEAFMAKQENHNHRFEIHHQSPQGRAPTLAGLLIGKLKNGRRNLLLLVDLKDYMLQMLITKASVLSLTVAS >ORUFI02G07430.1 pep chromosome:OR_W1943:2:4998007:5004594:-1 gene:ORUFI02G07430 transcript:ORUFI02G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRDVRFVSSGVKLPCADAAPAPAPAPTLLSAALPFARIGRAIDGVVRHVARSLPRLPVARAETGAGAAAAPIALPRRQKDGGGGGGGEERVLISEVAVRGKDGEPLERPELEAAAAAALRACRPNAALTVREVQEDVHRVVESGLFRSCMPVAVDTRDGIRLVFEVEPNQDFHGLVCEGANMLPSKFLEDAFHDRHGKIINIRHLDQVIKSVNGWYQERGLTGLVSYAEILSGGILRLQVSEAEVNNINIRFLDRRTGEPTVGKTQPETILRHLTTKKGQAYNRAQVKRDVETILTMGIMEDVTIIPQPVGDSNKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGRNKKLNLSLERGQIDSIFRLNYTDPWIDGDNKRTSRTIMVQNSRTPGTLIHGGDHPDHGPITIGRVTAGIEYSRPFRPKWSGTLGLIFQHAGARDDKGNPIIRDFYNSQLTASGNAYDDTLLAKLESVYTDSGDRSSTMFVFNIEQGLPILPEWLSFNRVTARLRQGYEIGPARLLLSASGGHVEGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSCRMFGPLEGVVFGDYGSDLSSGPKVPGDPAGARGKPGSGYGYGVGVRVDSPLGPLRLEYAFNDKQARRFHFGVGYRN >ORUFI02G07440.1 pep chromosome:OR_W1943:2:5025660:5031744:1 gene:ORUFI02G07440 transcript:ORUFI02G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNGENHLKDPLLQADGGGSGASPAGASPRKERKTRKVMFNVRGISCASCAVSIETVVAGLKGVESVSVSPLQGQAVVQYRPEEADARTIKEAIEGLNFEVDELQEQEIAVCRLQIKGMACTSCSESVERALQMVPGVKKAAVGLALEEAKVHFDPNITSRDLIIEAIEDAGFGADLISSGDDVNKVHLKLEGVSSPEDIKLIQSRLESVEGVNNVECDTAGQTIIVAYDPDVTGPRLLIQCIQDAAQPPKYFNASLYSPPKQREAERHHEIRNYRNQFLWSCLFSVPVFMFSMVLPMISPFGDWLFYKVCNNMTIGMLLRWLLCSPVQFIIGWRFYVGAYHALKRGYSNMDVLVALGTNAAYFYSVYIVLKALTSESFEGQDFFETSAMLISFILLGKYLEVVAKGKTSDALSKLTELAPETACLLTLDKDGNAISETEISTQLLQRNDVIKIVPGEKVPVDGVVIKGQSHVNESMITGEARPIAKKPGDKVIGGTVNDNGCIIVKVTHVGSETALSQIVQLVEAAQLARAPVQKLADRISRFFVPTVVVAAFLTWLGWFVAGQFDIYPREWIPKAMDSFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALEKAHKVKAIIFDKTGTLTVGKPSVVQTKVFSKIPLLELCDLAAGAEANSEHPLSKAIVEYTKKLREQYGSHSDHIMESKDFEVHPGAGVSANVEGKLVLVGNKRLMQEFEVPISSEVEGHMSETEELARTCVLVAIDRTICGALSVSDPLKPEAGRAISYLSSMGISSIMVTGDNWATAKSIAKEVGIGTVFAEIDPVGKAEKIKDLQMKGLTVAMVGDGINDSPALAAADVGLAIGAGTDVAIEAADIVLMRSSLEDVITAIDLSRKTLSRIRLNYVWALGYNVLGMPVAAGVLFPFTGIRLPPWLAGACMAASSVSVVCSSLLLQLYKKPLHVEEVAAGPKNDPDLV >ORUFI02G07440.2 pep chromosome:OR_W1943:2:5026354:5031744:1 gene:ORUFI02G07440 transcript:ORUFI02G07440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNGENHLKDPLLQADGGGSGASPAGASPRKERKTRKVMFNVRGISCASCAVSIETVVAGLKGVESVSVSPLQGQAVVQYRPEEADARTIKEAIEGLNFEVDELQEQEIAVCRLQIKGMACTSCSESVERALQMVPGVKKAAVGLALEEAKVHFDPNITSRDLIIEAIEDAGFGADLISSGDDVNKVHLKLEGVSSPEDIKLIQSRLESVEGVNNVECDTAGQTIIVAYDPDVTGPRLLIQCIQDAAQPPKYFNASLYSPPKQREAERHHEIRNYRNQFLWSCLFSVPVFMFSMVLPMISPFGDWLFYKVCNNMTIGMLLRWLLCSPVQFIIGWRFYVGAYHALKRGYSNMDVLVALGTNAAYFYSVYIVLKALTSESFEGQDFFETSAMLISFILLGKYLEVVAKGKTSDALSKLTELAPETACLLTLDKDGNAISETEISTQLLQRNDVIKIVPGEKVPVDGVVIKGQSHVNESMITGEARPIAKKPGDKVIGGTVNDNGCIIVKVTHVGSETALSQIVQLVEAAQLARAPVQKLADRISRFFVPTVVVAAFLTWLGWFVAGQFDIYPREWIPKAMDSFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALEKAHKVKAIIFDKTGTLTVGKPSVVQTKVFSKIPLLELCDLAAGAEANSEHPLSKAIVEYTKKLREQYGSHSDHIMESKDFEVHPGAGVSANVEGKLVLVGNKRLMQEFEVPISSEVEGHMSETEELARTCVLVAIDRTICGALSVSDPLKPEAGRAISYLSSMGISSIMVTGDNWATAKSIAKEVGIGTVFAEIDPVGKAEKIKDLQMKGLTVAMVGDGINDSPALAAADVGLAIGAGTDVAIEAADIVLMRSSLEDVITAIDLSRKTLSRIRLNYVWALGYNVLGMPVAAGVLFPFTGIRLPPWLAGACMAASSVSVVCSSLLLQLYKKPLHVEEVAAGPKNDPDLV >ORUFI02G07450.1 pep chromosome:OR_W1943:2:5032316:5035087:1 gene:ORUFI02G07450 transcript:ORUFI02G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTRRTTSSLSATTAAAIAAAAAALMVSVAFATAQYTPATPAAPGAAAAGAAAGATPAAPYTPATPGAAGAAPSVPAGPLDIAQLGAKGDGTSDSTAFVLQAWKNACNATGTQKIVIPPGNYLTGALNLKGPCTSSIILRLDGNLLGTGDLNAYKTNWIEVEHVDNFAINGHGIIDGQGPLVWTHNQCNKNYNCKILPNSLVIDYSTNVTVRGITLKNSKFFHLNIYESKNVVIDKVTITSPGDSPNTDGIHVGDSTNITISSTTIAAGDDCISIGPGTKMVRVNGVRCGPGHGISVGSLGRYKDEKDVEDIIVTNCTIKGTTNGLRIKSYEDSKSQLRATKFLYDGITMDNVSYPIIIDQKYCPNNICSASGTSKVAVTDIVFKNIVGTSATPEAVTLNCANNLPCQGIQLHNVDLKYAGQGNTTLSVCKNVAGKSSNVAKELACV >ORUFI02G07460.1 pep chromosome:OR_W1943:2:5036207:5039522:-1 gene:ORUFI02G07460 transcript:ORUFI02G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSRSPLRSFVEVAPGSHFPIQNLPFGVFRRRGSPEPEPPRPAVAIGDFALDLAAVSDAGLFHGPLLSASPCFRQETLNMFLGMGRPAWKEARATLQKILSADEPVLRDNEALKKKCLVPMSDTEMLLPITVGDYTDFFCSVHHARNCGFIFRGPQTPVNPNWFQLPVGYHGRASSVIVSGTDIIRPKGQGHPTGDSRPYFGPSKKLDFELEMAAIVGPGNELGKPIDINDAEEHIFGLMIMNDWSARDIQAWETIPLGPFLGKSFSTTVSPWIVTMDALKPFTCEAPKQEPEPLPYLAEKNHVNYDIPLEVWIKPKEQSEPSMVAKSNFKHLYWTLTQQLAHHTVNGCNLRPGDMFATGTLSGPETESLGCLLELTWNGQKEISVGNSTRKFLEDGDEVILTACCKGEGYNVGFGTCTGKVLPALP >ORUFI02G07470.1 pep chromosome:OR_W1943:2:5043466:5047248:-1 gene:ORUFI02G07470 transcript:ORUFI02G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLRRALRLGLRRGLSSSSAAPAAAEQAELPPPRPSAGRRVVVTGLGAVTPLGRGVGPTWDRLVAGGCAVRALAAEDLRLPGGADAGRTLEQLPSRVAAPVPRGKGDAEFDEEAWTKDNKSISGFIAYALCAADEALRDANWLPSEDEKKERTGVSIGGGIGSISDILDASQMILENRLRRLSPYFIPKILINMASGHVSMRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALSIAGFSRLRALSTKYNSLPQAASRPFDCGRDGFVIGEGCGVMVLEALDHAKERGAKIYAEVRGYGMSGDAHHITQPQNDGRGATLAMKRALDQSGLQADQIDYLNAHATSTPLGDAVEANAIKSVFGDHATSGGLALSSTKGAIGHLLGAAGSVEAIFTVLAIHHGIAPPTLNLEKPDTLFEGAFMPLSSPKKMPIRAAISNSFGFGGTNTSLLFSCPP >ORUFI02G07470.2 pep chromosome:OR_W1943:2:5043466:5047248:-1 gene:ORUFI02G07470 transcript:ORUFI02G07470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLRRALRLGLRRGLSSSSAAPAAAEQAELPPPRPSAGRRVVVTGLGAVTPLGRGVGPTWDRLVAGGCAVRALAAEDLRLPGGADAGRTLEQLPSRVAAPVPRGKGDAEFDEEAWTKGVSIGGGIGSISDILDASQMILENRLRRLSPYFIPKILINMASGHVSMRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALSIAGFSRLRALSTKYNSLPQAASRPFDCGRDGFVIGEGCGVMVLEALDHAKERGAKIYAEVRGYGMSGDAHHITQPQNDGRGATLAMKRALDQSGLQADQIDYLNAHATSTPLGDAVEANAIKSVFGDHATSGGLALSSTKGAIGHLLGAAGSVEAIFTVLAIHHGIAPPTLNLEKPDTLFEGAFMPLSSPKKMPIRAAISNSFGFGGTNTSLLFSCPP >ORUFI02G07480.1 pep chromosome:OR_W1943:2:5062447:5067781:1 gene:ORUFI02G07480 transcript:ORUFI02G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEGGEEQSLALTPTWVVAGVCFIIVAISLAAERLLHRLGKVLKFNGQEALFSALQRVKEELMLLGFISFLLSVFQKFINHICIPESAAHLMLPCITRETSETTEDASKLCKRKGEVPMLSEEALHQLHIFIFVLGIVHVVFCVTTLLLGGAKMKKWEKWEKEIQQGRTKERPKRPGWMKFIVVRCAISFLKQFYDSVGKPDYQVLRSAFVQRHYPNRPDFDFHKYMVRALEHDFKEVVGISWYLWLFVIVFLLLNINGWHTYFWLSFLPLILLLIVGTKLELISTRLAQEAADCPDEATGNPWTKPCKEHFWFSKPRIVLHLIHFILFQNSFEMGFFFWVLATYGFDSCIMENKIYALPRLAIGIIVQVLCSYSTLPLYAIVTHMGGDIKLQAFGETVHVSVHSWATDVRKKKAAPPPHSHLRIPFLMKRRHSTRGADDAADDAGGDVDHHHHHHGHHHHGHHHHEGSSAAAAAPDLEEIVATTSGGEDGHPPAPPPPPQGPRP >ORUFI02G07490.1 pep chromosome:OR_W1943:2:5072183:5073136:-1 gene:ORUFI02G07490 transcript:ORUFI02G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSPARDRGGGDDAEASEQPQPQPQPXAAAADAGGAHRRVLHIVDLDAAHGVQWPPLLQAIADRADPAVGPPPEVRLTGAGTDRDVLLRTGDRLRAFSSSLNLPFRFHPLILPCTAELAADPTAALELHPDETLAVNCVLFLHKLGGDGELAAFLRWVKSMNPAVVTIAEREGVLGGDVDDDNVPDELPRRVAAAMDYYSSVFDALEATVPPASADRLAVEQEILSREIDAAVAAPGAGGGGRARDFDAWASAARAAGLAPRPLSAFAASQARLLLRLHYPSEGYKADDDGGRGACFLRWQQRPLMSVSSWQPQP >ORUFI02G07500.1 pep chromosome:OR_W1943:2:5083942:5084552:-1 gene:ORUFI02G07500 transcript:ORUFI02G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAIIGPFVVSSWAQPNKPSIPNRFPGGGSHLSVTGLGGCGPHGERSGGAARAINRRRQRRRRRRDGARGARRGGGEVVAARPLALRHRQRREPVLRGGGEAGAEPRAGGGRGAQGPRRRRRRRGRVTSLCAGEVIPACVETASLFELETSYIFAYLVSCFMKFSQ >ORUFI02G07510.1 pep chromosome:OR_W1943:2:5085550:5088096:-1 gene:ORUFI02G07510 transcript:ORUFI02G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRRTAASASGFLRRRLLSELAGNGAPLPRVGAVYAFGDNSHGAAGGAGPSPPSPPGTTTPSRFPPKGRSGRGAATMKVSSAAGTTPRAAIGCDGSLWVWGRSKRGQLGLGKDIVEAAVPSRVEALANYDIVKVSFGWGHAMALTKDGGLLGWGYSENGRLGEIGHITQASSAKELLGKTVDKYSSSMLEAVEKMVEEKIRSEDNMPIIWEPSLVHEVCHVEVSDVSCGLDHSLVLCSDGIVLSGGDNTYGQLGRKSAWSKFLPVDISHSPFSVSASVGHSLATCIISTEGDDHAETGVLSWGWNCSSQLGRPGKEDVPALVDGLNGERPVSASAGRVHSVALTSKGEVWAWGSGRNGRLGLGSSMDEPEPFLIDTLEGAEVSQVAAGMDHTLLLVAE >ORUFI02G07520.1 pep chromosome:OR_W1943:2:5088879:5090330:-1 gene:ORUFI02G07520 transcript:ORUFI02G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALLSGRQLLGRPLQSSVSRSSSSRKAPFMVRAEATPPAKQGADRQLWFASKQSLSYLDGTLPGDFGFDPLGLSDPEGTGGFIEPRWLAYGEVFNGRTAMMGVVGMVAPELLGKLGLVPAETAIPWFQTGVIPPAGTYTYWADPYTLFVFELALVGFAEHRRFQDWYTPGSMGKQYFLGLEKYLAGSGEPAYPGGPLFNPLGFGTKSEAEMKELKLKEIKNGRLAMLAFLGFSVQALFTGVGPVQNLLDHLADPVHNNILTSLKFH >ORUFI02G07530.1 pep chromosome:OR_W1943:2:5090822:5095201:-1 gene:ORUFI02G07530 transcript:ORUFI02G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKLILGLLWCLVHLVISFFGSLSHLKNDLECYLISFKLLPKYRNLHLERLAYLGVVVDSREAKNALKVKQLLRWFSTIGINYLILYDIEGVLKELIQPGIETSTDGNPINSLDVVADTKASCYRHGGMFMECLSSSDGKEAIAKVANLLYSTCCNSDNKSEIAFTEADMTHALKAVGTGGPEPDLLLVYGPGRFHLGFPAWRLRYTEIMYVGPLESMKYGTIID >ORUFI02G07540.1 pep chromosome:OR_W1943:2:5122028:5123067:-1 gene:ORUFI02G07540 transcript:ORUFI02G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMLNENVPVEFQEAHGYAAREKVVLRMRGRSWTVRLKHTKGRRPRRERAVLRYGWHRFCADNGLAVGDTCFFRALRSAGSGAGDVDDGDGDHVLSVTVHKADGGDPLE >ORUFI02G07550.1 pep chromosome:OR_W1943:2:5124089:5125557:-1 gene:ORUFI02G07550 transcript:ORUFI02G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMGAAVVRRLHAAQAQAQPPRLTKLALRAPKFVEVEFEDGSSFHLPAEFLRVYSPAADSKIRSVAGEKVIFGRRHVGIMSAESVGNYGVRILFDDLHKTGIFTWDYLHHLGSNKFGLMRSYITTLKKHGLTRDPQRRK >ORUFI02G07560.1 pep chromosome:OR_W1943:2:5127639:5134275:1 gene:ORUFI02G07560 transcript:ORUFI02G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFVNFVIRPPRSEYNPDQYLWETEFILAGRKYKRLDLEATYECKRPYNKMQPLCSCFHSREYFSSIGCRADANEAAVILLPANITVFTLDFSGSGLSGGDYVSLGWHEKEDLKCVVSYLRTTKQVSCIGLWGRSMGAVTSLLYGAEDSSIAGMVLDSAFTNLYGLMMELVDVYKIRVPKFTVKMAVQYMRKIIQKRAKFDIMDLNVLQFAPKTFIPALFGHASNDMFIQPHHCDRIHQAYGGDKSIIKFEGDHNSPRPQSYYDSVSMFFYNTLHPPQLPVKCSNNLGAFKVGTVTNESFIFEIISGLRGAGTNSCSSSIDASKFPNATTPVVELLSESVNQLSIKNDSDLDFLLDENRTLSEIDGDSAGSRLQDKSSGHNEESCSCTSSNRESWGRCSSLGGASDDSFPGDISDKQENMTVKALATPLRQKDSKSTTPKTKEKKKSLWKKLNRERAGVGDSLSQRLKMCLSHSSRHKRVKSSGIV >ORUFI02G07560.2 pep chromosome:OR_W1943:2:5127639:5134275:1 gene:ORUFI02G07560 transcript:ORUFI02G07560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFVNFVIRPPRSEYNPDQYLWETEFILAGRNGCRADANEAAVILLPANITVFTLDFSGSGLSGGDYVSLGWHEKEDLKCVVSYLRTTKQVSCIGLWGRSMGAVTSLLYGAEDSSIAGMVLDSAFTNLYGLMMELVDVYKIRVPKFTVKMAVQYMRKIIQKRAKFDIMDLNVLQFAPKTFIPALFGHASNDMFIQPHHCDRIHQAYGGDKSIIKFEGDHNSPRPQSYYDSVSMFFYNTLHPPQLPVKCSNNLGAFKVGTVTNESFIFEIISGLRGAGTNSCSSSIDASKFPNATTPVVELLSESVNQLSIKNDSDLDFLLDENRTLSEIDGDSAGSRLQDKSSGHNEESCSCTSSNRESWGRCSSLGGASDDSFPGDISDKQENMTVKALATPLRQKDSKSTTPKTKEKKKSLWKKLNRERAGVGDSLSQRLKMCLSHSSRHKRVKSSGIV >ORUFI02G07560.3 pep chromosome:OR_W1943:2:5127639:5134275:1 gene:ORUFI02G07560 transcript:ORUFI02G07560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFVNFVIRPPRSEYNPDQYLWETEFILAGRNGCRADANEAAVILLPANITVYQVEIILLYGAEDSSIAGMVLDSAFTNLYGLMMELVDVYKIRVPKFTVKMAVQYMRKIIQKRAKFDIMDLNVLQFAPKTFIPALFGHASNDMFIQPHHCDRIHQAYGGDKSIIKFEGDHNSPRPQSYYDSVSMFFYNTLHPPQLPVKCSNNLGAFKVGTVTNESFIFEIISGLRGAGTNSCSSSIDASKFPNATTPVVELLSESVNQLSIKNDSDLDFLLDENRTLSEIDGDSAGSRLQDKSSGHNEESCSCTSSNRESWGRCSSLGGASDDSFPGDISDKQENMTVKALATPLRQKDSKSTTPKTKEKKKSLWKKLNRERAGVGDSLSQRLKMCLSHSSRHKRVKSSGIV >ORUFI02G07570.1 pep chromosome:OR_W1943:2:5135567:5136766:-1 gene:ORUFI02G07570 transcript:ORUFI02G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRHRRHHRRDGTDLLEAEPLLDDSHLMTVRDPLPAAYIAVCRRDWYSHSYYRLYKVEDDSSSSSSPSSPVTKQVLHRFAHLPTDVACKTVVSVRSRWIVSVGGNPGGTVLFDTETRRVITGPKLLSAKLSPVAAAVGDRIYVLSSRPQYFEPWFEVLDLSRATVVATADGRHTLDGCSWEALPDPICFPCVLSPAGYLAPPLILVTSYVLFLPYLLVSVNNMGKMTTYAFDTGTHRWHKLYDYGLPFFGSATPLVGHTGIFLGRCWESGPINAYRIRLVSSAPHLKLSVTEFPVKTEAREEVGVGEALCLASMEDEGSFSCITFRLDDREHHMSYDKDIHAFYPRKMYLNLTTYKIVEGEKTDMDIVVRCKRDKALKIFSSHGFSSPPIAFALSI >ORUFI02G07580.1 pep chromosome:OR_W1943:2:5137397:5138590:1 gene:ORUFI02G07580 transcript:ORUFI02G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRRLPFPNPTKASPRSILETKTATKRRRDESAENRKAVYLVAELSTNEPSHSVFMVDAAAAAAVAGGGGEVRRAHPLSGSGLTGAKHGMSFVAVRSEHGSWILGAGGRGGCTVIYDPITMEEFRGPHLHWPKQEPVLVSVGGVVYAISRRPRVRLATRLDYLPWVESLSFNMGVPRLDRMDSPYWKSLPPPPLFPCLLRPSEYRNPPDYCVSSFAVVGSHILLSMEQLPGEEQRGTYGFHVVDKAWEKVHDCNLPFVGQAVSIGGSLFAAAMPNNGGGGASVFHMSIIKVSSSSSPASWQLLVQEFPVASLGRMIPQPRIFSPLGEGSFCSVGWLASSGRSHGCQIKEYQIEGAESKKDLQATVQVKHQDQTYQFKGQSRFLDTHMPIVAALSM >ORUFI02G07590.1 pep chromosome:OR_W1943:2:5146397:5149519:1 gene:ORUFI02G07590 transcript:ORUFI02G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRCYMLFVASIGLMRIVPPQVLSSKVSGKWLLSPYKIALFAEMGGVVGRGDSPRHTSPASKLERKMVEAMQQRAQKGTSVKSFNSVIMKFPKIDESLRNCRIIFQQFDEDSNGEIDQQELKHCFQKLDISFTDEEIKDLFQACDIYENMGMKFNEFIVFLCLVYLLNDPLVSEARKRMGLGSLEPTFETLVDSFVFLDKNKDGYVSKNEMIQAINETIGGERSSGRIGMKRFEEMDWDKNGTVTFKEFLFAFTRWVGIDDNEDDDDEEGV >ORUFI02G07600.1 pep chromosome:OR_W1943:2:5149922:5154593:1 gene:ORUFI02G07600 transcript:ORUFI02G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRDDSAKMAKLKELLHRSENRICADCSAPDPKWASANIGVFICLKCSGVHRSLGTHISKVLSVTLDQWSDNEIDNVIEVGGNSHANAIYEAFLPQNHSKPHPDSTQEEREKFIRSKYELQEFLEPSLRIVSHQSSDSGKHAGSASHSVSSKSEVGMIEFIGILNVKVKGGTNLAIRDMSSSDPYVVLTLGQQKAQTSVIKANLNPVWNEELKLSVPQQYGPLKLQVFDHDMLSKDDLMGEAEIDLQPMINAAAAFGDPGLLGDRQIGRWLKSGDNALVRDSAVVVAGGEVRQELALKLQFTESGEVELEMQWFPLNM >ORUFI02G07600.2 pep chromosome:OR_W1943:2:5149922:5154593:1 gene:ORUFI02G07600 transcript:ORUFI02G07600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRDDSAKMAKLKELLHRSENRICADCSAPDPKWASANIGVFICLKCSGVHRSLGTHISKVLSVTLDQWSDNEIDNVIEVGGNSHANAIYEAFLPQNHSKPHPDSTQEEREKFIRSKYELQEFLEPSLRIVSHQSSDSGKHAGSASHSVSSKSEVGMIEFIGILNVKVKGGTNLAIRDMSSSDPYVVLTLGQQKAQTSVIKANLNPVWNEELKLSVPQQYGPLKLQVFDHDMLSKDDLMGEAEIDLQPMINAAAAFGDPGLLGDRQIGRWLKSGDNALVRDSAVVVAGGEVRQELALKLQFTESGEVELEMQWFPLNM >ORUFI02G07600.3 pep chromosome:OR_W1943:2:5150202:5154593:1 gene:ORUFI02G07600 transcript:ORUFI02G07600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRDDSAKMAKLKELLHRSENRICADCSAPDPKWASANIGVFICLKCSGVHRSLGTHISKVLSVTLDQWSDNEIDNVIEVGGNSHANAIYEAFLPQNHSKPHPDSTQEEREKFIRSKYELQEFLEPSLRIVSHQSSDSGKHAGSASHSVSSKSEVGMIEFIGILNVKVKGGTNLAIRDMSSSDPYVVLTLGQQKAQTSVIKANLNPVWNEELKLSVPQQYGPLKLQVFDHDMLSKDDLMGEAEIDLQPMINAAAAFGDPGLLGDRQIGRWLKSGDNALVRDSAVVVAGGEVRQELALKLQFTESGEVELEMQWFPLNM >ORUFI02G07610.1 pep chromosome:OR_W1943:2:5156515:5162996:-1 gene:ORUFI02G07610 transcript:ORUFI02G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDESAISWIKQGTGSPAPPPPRSPLAERLPAGQIAAPRSPALYGRGCSGVGVGVGGGVGNGLFSPKSLPPVRTTARHSGLLGRHHHSVLLAAADSEEEDGEEGEESVASWGVPDDCYYGNFSDTLEEEEEDGACSSGDSSLLRRAMDRGGVAFDDEVTSQLSRRGGGGGLVRGQSKENLRVEVRAASAFAGKCSSGNNTVDSTSHEHYFGEQKFQAIGTPSAPPIAGDGVEAIFDTVAETKGGLERAGVSSVADILAQDVHEPELPTRSNVQEDGVHVPYVESNLLAQMPSFTANVQSAWQSFVAYDACFRICLNAWARNCMEAPEFLRDECMVLRSAFGIQSFLLHPKHKSQDDGKSIYDKDGSCNMKGRKLVKQIEIEEMFTCTLELQSSCKDNQRDSISPQYLKPGTGESQLFYLESQGDSILVEVQDNNRVVIGRAKIQVSSITDTHQEEIIKWWPLYLDDQECVGKIQLCINFSVSSDNQGAAKMLQGGPAVDTIVYDMVLEAAMRAQNFNSKMLHVSGSWKWLLDEFSDYYGVSDAYRKLRYLSYILNVATPTKDCLELAYELLLPVMKARDDRTLTRQERSILLDCEDRIKSLLAVVFENYKSLDENSPTGLSDLFGPISDCAAPALAPAVQIFSVLHDILSNEAQNILRNYLQTAAAKRCRRHMIETDEFMSSNNDSLLTDPMAISAAYLKMKTICINISREIQADIKIHNQNILPSSIDLPNIAASLYSTELCKRLKGFLSASPPSRPLEHVAELLIATADFERDLDSWQVRPVHGGVVSRDLFHGYIMVWIEDTRLQLLDNCRADKLSCPAVSTTSTFVEQMYEQIKESINEYGVVINRWPHYLMSLESAIADVEREIMKALEKQYMETLLPLRDGIPKILEKQVQRLTRRQSISPYVVPNQLGTFMNTVKRMLDVLHCRVEDSLKSWAAYLTITNGNAVFGEQMNSITVMLRKKYKKYLQAIVEKLVSNAQANRTTRLKRILEETRESEGESDIRERMQALRVHLSDSIYNLHEVFSSRIFVAICRGFWDRLGQIVLRFLESRKENRIWYRGSDYALGDRDLDPPQSVVDARSILC >ORUFI02G07610.2 pep chromosome:OR_W1943:2:5156515:5162996:-1 gene:ORUFI02G07610 transcript:ORUFI02G07610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDESAISWIKQGTGSPAPPPPRSPLAERLPAGQIAAPRSPALYGRGCSGVGVGVGGGVGNGLFSPKSLPPVRTTARHSGLLGRHHHSVLLAAADSEEEDGEEGEESVASWGVPDDCYYGNFSDTLEEEEEDGACSSGDSSLLRRAMDRGGVAFDDEVTSQLSRRGGGGGLVRGQSKENLRVEVRAASAFAGKCSSGNNTVDSTSHEHYFGEQKFQAIGTPSAPPIAGDGVEAIFDTVAETKGGLERAGVSSVADILAQDVHEPELPTRSNVQEDGVHVPYVESNLLAQMPSFTANVQSAWQSFVAYDACFRICLNAWARNCMEAPEFLRDECMVLRSAFGIQSFLLHPKHKSQDDGKSIYDKDGSCNMKGRKLVKQIEIEEMFTCTLELQSSCKDNQRDSISPQYLKPGTGESQLFYLESQGDSILVEVQDNNRVVIGRAKIQVSSITDTHLCINFSVSSDNQGAAKMLQGGPAVDTIVYDMVLEAAMRAQNFNSKMLHVSGSWKWLLDEFSDYYGVSDAYRKLRYLSYILNVATPTKDCLELAYELLLPVMKARDDRTLTRQERSILLDCEDRIKSLLAVVFENYKSLDENSPTGLSDLFGPISDCAAPALAPAVQIFSVLHDILSNEAQNILRNYLQTAAAKRCRRHMIETDEFMSSNNDSLLTDPMAISAAYLKMKTICINISREIQADIKIHNQNILPSSIDLPNIAASLYSTELCKRLKGFLSASPPSRPLEHVAELLIATADFERDLDSWQVRPVHGGVVSRDLFHGYIMVWIEDTRLQLLDNCRADKAIADVEREIMKALEKQYMETLLPLRDGIPKILEKQVQRLTRRQSISPYVVPNQLGTFMNTVKRMLDVLHCRVEDSLKSWAAYLTITNGNAVFGEQMNSITVMLRKKYKKYLQAIVEKLVSNAQANRTTRLKRILEETRESEGESDIRERMQALRVHLSDSIYNLHEVFSSRIFVAICRGFWDRLGQIVLRFLESRKENRIWYRGSDYALGDRDLDPPQSVVDARSILC >ORUFI02G07620.1 pep chromosome:OR_W1943:2:5164689:5164946:-1 gene:ORUFI02G07620 transcript:ORUFI02G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVFEPHGGAAVAVAGVGGGDVVFCVVILCLSVLSMIIFAAASPGGERRRRRRSSSGPVFVGGRGCGCGGRSSGACVCGTYLS >ORUFI02G07630.1 pep chromosome:OR_W1943:2:5169309:5174172:1 gene:ORUFI02G07630 transcript:ORUFI02G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTVMTADEQILTVDVDPDESVENLKALLEVETSVPLRQQQLHFNGREIQNTDKLSTVGVQDGDLVMMVKVTSNERPSQDIIRLNPDGSAVDPQAFRQHIRGDSQLMGQLLQNDPALAQAILGDDINELQNTLRSRHQQRLELKRKQEEELALMYADPFDVEAQKKIEAAIRQKGIDENWEAALEHNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRYRGVAIGVGQSEILGRIHVAPIKIGHVFYPCSFTVLDAPNMEFLFGLDMLRKHQCIIDLKDNVLRVGGGEVSVPFLQEKDIPSHIRDEEKLSKLASLSQGAAGESSTAREKTPDAPPRAPTTGAPAVNPPQPQGGGDFEAKVTKLVELGFDRASVIQALKLFNGNEEQAAAFLFGG >ORUFI02G07640.1 pep chromosome:OR_W1943:2:5175442:5182207:-1 gene:ORUFI02G07640 transcript:ORUFI02G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIVVLLVCSLPSLLVCSLPSLLVGAAAAGGGEKQSYVVYLGEHAHGERLGAAAAADVDVEALARQAEDSHCELLAGVLGDKEKAREAIFYSYTRHINGFAANLDAAAAAKIAEKPGVVSVFPNRGHKLHTTRSWQFLGLAGVGGAPTGAAWKKARFGEDTIIGNLDTGVWPESESFRDDGLGPIPSWWRGECQKGQDDAFSCNRKLIGARFFNKGYASAVGNLNTSLFDTPRDTDGHGTHTLSTAGGAPVAGASVFGYGNGTASGGSPMARVAAYRVCYTPVNGSECFDADILAAFDAAIHDGVHVLSVSLGGDAGDYFADGLAIGSFHAVRHGIAVVCSAGNSGPAPGTVSNVAPWLFTAAASTMDREFPAYVVFNDTKLKGQSLSASALSPASSSFPMIDSSLAASPNRTQNESQLCFLGSLDPEKVKGKIVVCLRGVNPRVEKGEAVLEAGGAGMVLANDVTTGNEIIADAHVLPATHIKFSDGQILFSYLKNTKSPAGTITRPETRLGTKPAPFMAAFSSQGPNTVTPGILKPDITAPGVSVVAAWTRASAPTDLAFDKRRVAFNSESGTSMSCPHVAGVVGLLRTLRPDWSPAAIRSALMTTAVEVDNERHAILNSSFAAANPFGFGAGHVSPARAMNPGLVYDLAAVDYLNFLCSLSYNATVMAMFAGGGGAAPFRCPASPPKVQDLNYPSITVVNLTSSATVRRTVKNVGKPGVYKAYVTSPAGVRVTVSPDTLPFLLKGEKKTFQVRFEVTNASLAMDYSFGALVWTNGKQFVRSPLVVKTTTPTMA >ORUFI02G07650.1 pep chromosome:OR_W1943:2:5193285:5194424:1 gene:ORUFI02G07650 transcript:ORUFI02G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRIRAVHDAHGGVEFLIDRSSDRSKHSEEIVDEAKRSRRSHSIGFAREIMHKRSKSCEPSSEMDERRRRGGVGGNGATTCDDSACGARAAASSASSSSSSATTSHCSSVSVYRAKINGAPRHVTAVWHRTLINQSFTISIDGGGGGGAGAGDDGALSHKVELKPWPFWSKRGAKTLDVDGDRLDIVWDLRSAKFPASSPEPAAGYYVALVSRDEVVLLLGDGKKDAFKRTRSRPSLDDAVLVSRRESVSGRRTFAARAPLAAGRKDHEIVVDSAIAGPREPEMRITVDGVVLVHVRSLQWKFRGNETVIVDQSPVQVLWDVHDWIFAGGPAAQAVFVFKPGAPPPGGDRCGRRGGAGAGGIGDEGGYSFFLQAWKTE >ORUFI02G07660.1 pep chromosome:OR_W1943:2:5195471:5197222:-1 gene:ORUFI02G07660 transcript:ORUFI02G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTIARRLCCSRPTSRGRLSAVWAHLYSTEAAKDTGAKKYKYPEVYDPYGPMSPPSQKVVDLADRIAALPPEEIKQIAPALLLRLNQPPPQAISGQGFSFSPQGGGGAAGAAKAEEKKAEKTVFDVKLEKFDAAAKIKIIKEIRTFTDLGLKEAKELVEKAPVILKQSLTKEEAEAIIEKIKVAGGVAVME >ORUFI02G07670.1 pep chromosome:OR_W1943:2:5199649:5201200:1 gene:ORUFI02G07670 transcript:ORUFI02G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAIVSSVVADMAGRLVSLVAGHLLADRRGVDDKLRRVRRLVVRIESAVEAAEARRITGRALLAWLSDLVDGAHQGRYFLDAFPVVADHDGDGNVEVAPSSFNPAKRLRVAARRLVFRDGGGVAAELDGVLADLESVSGDLTGLRLRASYFGGKALGALLRWRPDHRLWRRVLSSGAADLPCLGTGDYIAAAAGCLFPPHLNLHGVTVSRSPLRGLVGLHGSSLMTPPPTDSGRRSPELPVLLCKSVFPSYCLYYAALCTIDDTEIKQ >ORUFI02G07680.1 pep chromosome:OR_W1943:2:5200954:5216427:-1 gene:ORUFI02G07680 transcript:ORUFI02G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASLTRLTNPLPCASPSPRCRRRPGRSPPARSAACGVVSRRRAVSEMAILSGAAAAAASCCVDLFFAHMPARAATLEPDVIRYRKLDSGVKLEDVVDGEGPEAREGDVVQFNYVCRRANGYFVHSTVDQFSGESKPVTLALDGKEMIRGLKDVIVGMKTGGKRRALIPPQVGYTDESLQPIPEEFGPRRSLLSHAKEPLVFEVQLLKEGKTDLHSNTGNSGERRPESVGGGVIKEEPWRPTRPLSGERETVTPWRFRCGGNRQPAAAAM >ORUFI02G07680.2 pep chromosome:OR_W1943:2:5214820:5216427:-1 gene:ORUFI02G07680 transcript:ORUFI02G07680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASLTRLTNPLPCASPSPRCRRRPGRSPPARSAACGVVSRRRAVSEMAILSGAAAAAASCCVDLFFAHMPARAATLEPDVIRYRKLDSGVKLEDVVDGEGPEAREGDVVQFNYVCRRANGYFVHSTVDQFSGESKPVTLALDGKEMIRGLKDVIVGMKTGGKRRALIPPQVGYTDESLQPIPEEFGPRRSLLSHAKEPLVFEVQLLKVL >ORUFI02G07680.3 pep chromosome:OR_W1943:2:5200954:5213237:-1 gene:ORUFI02G07680 transcript:ORUFI02G07680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFSGRCSAGGQLCSIVMNPVGKDAVELRSRAAVPEHQPPRRDAQPLGRVERVRHRRLAAPAAVVIRRHGERVEEVAALERAVDELGEPREERAAGDPPRLGGLHRALDPDDEAPQPAQLLLGVAPPVAQLAGDEGHEPADHVGDDAGDDGVAGHEGKTDLHSNTGNSGERRPESVGGGVIKEEPWRPTRPLSGERETVTPWRFRCGGNRQPAAAAM >ORUFI02G07680.4 pep chromosome:OR_W1943:2:5213238:5214110:-1 gene:ORUFI02G07680 transcript:ORUFI02G07680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSGERDMVTLCKYSAGGGGRRVMVSAAAAARPATCSACLLVTMTLLPRRPRRSVGMIASITRRLRASTPSNTARNLTPRSLRSRSASVSPLSPNTLVVVALAAPPVPANMERRSSAVSPAAMRLKQWKSSSMISEKRRRTSRSLQTCCTSVVFPRPWRPTIERTASSAPAGSPPPSPSLSCSKKSKTRRLSTFRPNIWLSA >ORUFI02G07690.1 pep chromosome:OR_W1943:2:5212744:5214291:1 gene:ORUFI02G07690 transcript:ORUFI02G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAIVSGVVADMVGRLMSLVAGQLRDRRGDAEEKLRRLRRLVVRIESAVEAAEARRITGRALLAWLSELVDGALQGRYFLDAFPVATDHDGGGRGEAAVANPLNPAKRLRVAARRLVFWDGGAAAELDGVLADLESVSGDLTGFITMLQSCPPALHRPLNTNIYADSQMFGRKVERRRVFDFLLHDSDGDGGGEPAGAELAVLSIVGRQGLGKTTLVQHVCNDLEVRRRFSLIIELDFHCLSLMAAGETALLLRSMFAGTGGAASATTTSVFGDSGETLALLERRLRGVRFLAVFDGVDARRRRVIDAIMPTLRRGRRGSKVIVTSRHAEHVAGLAAAAADTITLRPPPPAEYWLFFKAHAFGGADAEADPRLVAAGQAIAKRLRLAASFFGGKMLAALLRSRPDPRFWRTVLSSGAADLPCLGYADDAVAGRLFPPHVTLQSVTMSRSPERGIVSLQDSCLVTPPATQSGDHRRRRRSPELPVLLCKSVFPSYCIYYTAHCTISDSDTDNKQ >ORUFI02G07700.1 pep chromosome:OR_W1943:2:5219403:5222279:1 gene:ORUFI02G07700 transcript:ORUFI02G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNDEILDGQNEEVQSQVSLPQDIQRIIIGFLPGRTVLKFCSVCKFWRDCIVEPAFVDHHLNCALRFRQAIACFTSVDNGLVQMYMFDPITVNFKRTEPVFSSRFHMSQPCNGMVCAYDLKGAAEVLNPTTRKHLTLPASESVYQAQYSEYFLGYVHSTKEYKVVALRHWIKHLTFEVCTIGTLSWRTVRGSEEEELLKTTKPVVVNDEMHWLLLDDESSHFTRKILSFNLTDEKFSYLDVPDSVRDRDLELVEGEGKLHLWSMPCKGAAYTESEIWLADSTRQFWVHLHNIAHPSVLGTKPFFMYKSKLFLGSQKRFIYIDILDGTVCYVDIPSGENIISSGMHRLGELNDIINRFSLCWVIIKRLWTIFSCCWIFLNKNSTFTRCLQVVLSSCAILQAGEENNKLSVEDVYRRHKQDSAGAMK >ORUFI02G07710.1 pep chromosome:OR_W1943:2:5222888:5224192:-1 gene:ORUFI02G07710 transcript:ORUFI02G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVAVIAAAGETQVPDDVVDEILVRLPSRSSLARAAAACSAFRALVSSPRFLRRHRARHGPCPGALLGSFAFSSEGGAFHPAEPPHASAAAARAVAAAADFSFAFLPPSPVVGDDDPRRGLGWIVRDHRDGRFLLDRVASLDDNVFPELAVCDPLSRRYVVLPPIPRELAAAVDRPLGVIGGRRRCEPFLAPCDADADADAESEPAFAVIWTARCPRKVVAFAFASRDGRWRALPSPECFVWSRHRSPFGCPVHAVWNRRFYAHGCFYWLDCLTHRWLVLDTRAMEITVKQIPSPACYWEEHVAVVEGEDGKVGVFAHDFYRAGGEACLYYYTIVDGGDGPRWRLERTVPLPWPAAHGRPYSIRAAANGSLILEVSHGTPAFMTSYRSRDVELYRIDVKSFELEMICRARCAAGDIAWAYFGFPPLLSLPTV >ORUFI02G07720.1 pep chromosome:OR_W1943:2:5231039:5232905:1 gene:ORUFI02G07720 transcript:ORUFI02G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDLTAASARSSHRLQYMRSGGESPTAVVLEAARLSSVPFGRRRGCRRWRRRPGTGKAARLGMGKAPARTGTTVRLGGAAHQALDLTACHGVTPFSDELLDVGSDLLAAELLVEATCSRAQPQPAQPRGIQQLEWALQPRRGERSTPAMVELAIEEGLQNPPWS >ORUFI02G07730.1 pep chromosome:OR_W1943:2:5234250:5240336:-1 gene:ORUFI02G07730 transcript:ORUFI02G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVGGGSGGGGERPGSAPMRLCVHVLEARGLQAAYLTGHSDPYVRLQMGRRRAKTTVVKRCLSPLWDEEFGFAVGDAEEELVVSVLNEEGYFGGGFLGRVKVPLSTVMAADGLSLGTAWYHLHSKGGRFRKKRRVAGEIRLRIYLSRTAICDEPRNMPMQLINDTPCSSMSLDLSACPSMERASCSSMDKLSQGIMDQQGRRSPGQLSCISTERSILLEPEEDDNDATTNASSVVEVMSRYFCRKPVDAAPSATSDHEQFQDTQMNSESCENGDNGALPETSLNELMKSLESKDKGSEMPENLRGGILVDQSYVLQPTEMNSMLFSAHSDFWPAVAEVQGLSGFQTDPWKLVSNDCLKRTLSYTKAASKLVKAVKITEEQTYLKAAGNSFAVLSSVSSPEVPCGNCFKVEILYCITPGPQLPSKEQTSHLTISWRLNFVQSTMLKGMIESGTKQGLREGYAQFTEVLSQKTKVIAPDDSNLSKDEILSSLQTQEESIWKLAARFLGNFAFIFSLCIALYATAHLRLVKPNMVHGLEYFGIDLPDSIWEVVFCAILIIQGQNIFKSGRRFLYAWKQRGSDHGVKAHGDGWLLTVALIEGSGVVGSGTPGLPDPYVVFTCNGKRKTSSVKFQTSEPKWNEIFEFNAMDDPPSRLEVVVHDSEGPHNKIPIGQTEVNFLKNNLSDLGDMWLPLDGRFPQGCEPKLHLRIFLNNSRGTEIVMNYLAKMGKEVGKKIHLRSAQTNSAFRKLFSLPPEEFLIDDFTCYLKRKMPLQGRIFLSSRILGFYSNILGRKTKFFFLWDDIDDIQVAPPTLAKVGSPSLMIILRKDRGLEARHGAKTLDPQGKLKYHFQTFVSFNDAHRIIMALWKMRSVDPEQKGEMIDKNSELKQLPCEEGSLLANEDVKMSEVYSAVLSVDINSLMDMFSGGPLEHKVMQKAGCVDYSPTEWELLNQNIYQRQISFKFDKILSRFGEASTTQRKYNLANRDGWVIEEVMTLQGVQHEDYSSIQLKYQMTSTSLKPSTCSIQVLLGIAWLKGAKQQKKVVKNVMSNSANRLREIFSEVEKELASKKGVS >ORUFI02G07730.2 pep chromosome:OR_W1943:2:5234250:5240336:-1 gene:ORUFI02G07730 transcript:ORUFI02G07730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVGGGSGGGGERPGSAPMRLCVHVLEARGLQAAYLTGHSDPYVRLQMGRRRAKTTVVKRCLSPLWDEEFGFAVGDAEEELVVSVLNEEGYFGGGFLGRVKVPLSTVMAADGLSLGTAWYHLHSKGGRFRKKRRVAGEIRLRIYLSRTAICDEPRNMPMQLINDTPCSSMRSVGTTASSLSARSVGTTASSLSARSVGTTASSLSASVSSLDLSACPSMERASCSSMDKLSQGIMDQQGRRSPGQLSCISTERSILLEPEEDDNDATTNASSVVEVMSRYFCRKPVDAAPSATSDHEQFQDTQMNSESCENGDNGALPETSLNELMKSLESKDKGSEMPENLRGGILVDQSYVLQPTEMNSMLFSAHSDFWPAVAEVQGLSGFQTDPWKLVSNDCLKRTLSYTKAASKLVKAVKITEEQTYLKAAGNSFAVLSSVSSPEVPCGNCFKVEILYCITPGPQLPSKEQTSHLTISWRLNFVQSTMLKGMIESGTKQGLREGYAQFTEVLSQKTKVIAPDDSNLSKDEILSSLQTQEESIWKLAARFLGNFAFIFSLCIALYATAHLRLVKPNMVHGLEYFGIDLPDSIWEVVFCAILIIQGQNIFKSGRRFLYAWKQRGSDHGVKAHGDGWLLTVALIEGSGVVGSGTPGLPDPYVVFTCNGKRKTSSVKFQTSEPKWNEIFEFNAMDDPPSRLEVVVHDSEGPHNKIPIGQTEVNFLKNNLSDLGDMWLPLDGRFPQGCEPKLHLRIFLNNSRGTEIVMNYLAKMGKEVGKKIHLRSAQTNSAFRKLFSLPPEEFLIDDFTCYLKRKMPLQGRIFLSSRILGFYSNILGRKTKFFFLWDDIDDIQVAPPTLAKVGSPSLMIILRKDRGLEARHGAKTLDPQGKLKYHFQTFVSFNDAHRIIMALWKMRSVDPEQKGEMIDKNSELKQLPCEEGSLLANEDVKMSEVYSAVLSVDINSLMDMFSGGPLEHKVMQKAGCVDYSPTEWELLNQNIYQRQISFKFDKILSRFGEASTTQRKYNLANRDGWVIEEVMTLQGVQHEDYSSIQLKYQMTSTSLKPSTCSIQVLLGIAWLKGAKQQKKVVKNVMSNSANRLREIFSEVEKELASKKGVS >ORUFI02G07740.1 pep chromosome:OR_W1943:2:5242571:5247531:-1 gene:ORUFI02G07740 transcript:ORUFI02G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDAAAARRRAAVTEYRKKLLNCRELESRVSTVRENLKNAKKDFTKTEDDLKSLQSVGQIIGEVLRPLDNERFIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQARMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVVHEDFMKAVRKLNDAKKLESSAHYSADFGKE >ORUFI02G07750.1 pep chromosome:OR_W1943:2:5250681:5256572:1 gene:ORUFI02G07750 transcript:ORUFI02G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASDDAVKQLALLMDQVEAPLRRTFQNVHQGYPKETLLRFLKAREWNVSKAHKMLVDSLNWRIQNEIDTVLERPIVPVDLYRSIRDSQLVGLSGYTKEGLPVFAVGVGQSTYDKASVHYYVQSHIQINEYRDRVILPMLTEKFGRPVTTCVKVLDMTGLKLSALSQMKMLTSISTVDDLNYPEKTETYYVVNVPYIFSACWKVVKPLLQERTKKKVKVLHGCGRDELLKIMDYSSLPHFCRREGSGSSKHSSTDADDCYSLDHPFHKELYGHIEELASCKELIKMGSLHVSIPEPDPDDAKIVEVIQAEFQKIGEQNGSANGHKV >ORUFI02G07760.1 pep chromosome:OR_W1943:2:5258071:5260737:-1 gene:ORUFI02G07760 transcript:ORUFI02G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTARKARVLLLSSPPPPPSPPVMLRRGGVVLLLVVVVLGLLAARCDGKKVSSFVGTYGVNYGRIADNLPPPTEVVKLLRMARIKNVKIYDADHTVLDAFRGSGLNLVIAVTNGEVKDIAASPAKAMDWLNENVQPYYPSTRIVGITVGNEVLGGADAGLAEALIGAVVNIHDALKMLGLATKIELTTPHSEAVFANSYPPSACVFRDDLMVYLKPLLDFFSKTGAPFYVNAYPFLAYMSDPAHIDVNYALFKPNAGIYDAKTRLRYDNMFEAQVDAAYFALEAAGYPEMEVRVAETGWASAGDATEAGADPANARAYNFNLRKRLFLRKGTPYRPGRVAKAYIFALFNENLKPGPTTERHYGLFKPDGSVSIDLGFKGLVPSSSLPSSSIISFKRARERGWMALVQYSATLLSYKTEKADAKR >ORUFI02G07770.1 pep chromosome:OR_W1943:2:5260760:5263869:-1 gene:ORUFI02G07770 transcript:ORUFI02G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGEKRGKREELRWTRLHLPHLAGHRSIAAVPARHREISLPRHSLGDTERAAGTRNRTNSLCQRERIDESSDATQEIGFHACSAIAIPFLATLIPRLLRPSTATNPNQEGHQREEDRRRISSRGCLPPR >ORUFI02G07780.1 pep chromosome:OR_W1943:2:5266020:5267649:-1 gene:ORUFI02G07780 transcript:ORUFI02G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVMVSWRREEEEKPKGKSTPKEEAKPPLAEEQRDLGVSDDGKVVDAASPMGSGDYESDAYVASAVSQWTPTAVPVAVARTCSRASCAAHGGRTSTTARPVAPAAFCVHVTRMRSSFPKCSEAPYTAVVAACSLRSRAPPRPESLAAAAAMPTELSPKHNDSRVASGFGFSSGEINCCLLLLLQ >ORUFI02G07790.1 pep chromosome:OR_W1943:2:5269034:5269436:-1 gene:ORUFI02G07790 transcript:ORUFI02G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGCDGMGENREDRRMGDQRKWIPSLSRKPIASPELQLYRHMISRNHVTGVVLLYILATLFILAWAAKARSQWLRARKSQHTYVDSGSDVSKRRRARRATIDGLEDCMMGILMPISTVVVRAMDHL >ORUFI02G07800.1 pep chromosome:OR_W1943:2:5269857:5273862:1 gene:ORUFI02G07800 transcript:ORUFI02G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTIADAVGAPGIEKEVNGKPALPDSMEEHEEAHEVQANGDHSGESDVINPPEEAGGESTSHLDGKKPRPAKATQSHGPKVVKSRSPKSGGEGQARRSTPSSTLSKAPVARVSHADSSTGSKINGDSSVDRNKAEKQEPRSSTKETSLEDSKEKRKTQKPLGQNSSVKKDDESNPESRKAGGTPAYGFSFKCDERAEKRKEFYSKLEEKIHAREMEISNLQAKSKETEEAELKMLRKSLNFKATPMPSFYQEPTPPKVELKKIPPTRARSPKLGRSKNKSAGETEETVTPPGRPVRLSLDSLDEKVSQNGVKKANPSNAVKKPQRKSLPKLPSEETGPFDSSHLKNTELSTGNIQESGSTTPNQQETESNTSISESIQDRIATGGQELDEQIIA >ORUFI02G07810.1 pep chromosome:OR_W1943:2:5275425:5277559:-1 gene:ORUFI02G07810 transcript:ORUFI02G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFYGLGDGGCLVSAPAELAGMFCRGGVVVQQQQRKRSLVAASAVAAADCVVRAAKRQRQQRQPPSLDALPDECLFEVLRRLPGGRERGASACVSRRWLALLCSIRASELNQATAAAAAAAPPSLPDLNEEFVMEEDDEEEESSPVVDPCVERVLEGKEATDVRLAAMAVVAGSRRGLEKLAVRGSHPTRGVTDRGLLAVARGSPNLCSLALWDVPLVTDAGLAEIAAGCPSLERLDITRCPLITDKGLAAVAHGCPNLLSLTVESCSGVGNDGLRAIGRSCSKIQALNIKNCARIGDQGISSLVCSATASLTKIRLQGLNITDASLALIGYYGKAVTDLTLVRLPVVAERGFWVMANAAGLQNLRCMSVTSCPGVTNLALAAIAKFCPSLRQLSFRKCGHMTDAGLKAFTESARLLESLQLEECNGVTLVGILDFLVNCGPKFRSLSLVKCMGIKDICSTPAQLPLCKSLQFLTIKDCPDFTDASLAVVGMVCPYLEQVDLSGLREVTDRGLLPLINSSEGGLVKVDLSGCKNITDAAVSTLVKGHGKSLKQVSLEGCSKITDASLFAISENCTELAELDLSKCMVSDNGVATLASAKHLKLRVLSLSGCSKVTPKSVSFLGNMGQSLEGLNLQFCNMIGNHNIASLEKQLWWCDILA >ORUFI02G07820.1 pep chromosome:OR_W1943:2:5285825:5286870:-1 gene:ORUFI02G07820 transcript:ORUFI02G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARQCLSKRLAAGCALARPLHAASPVAAAAANSHGPLNFRALFSSAGADAAATTGGCAPAKGDGHSREVAVVDRSRRRWPWRDLRDFVPLRLVDGIGSALSQVAETLTRPLTGKVREDEERYRLRFEVPGLGKDDVRVYVDDGVLAIHGEKRDVVEEDRGRDGDGECWAAATYHAGLLLPEDAVAEGITAEVRDGVLHVTVPRSPERKRSVTEVKVR >ORUFI02G07830.1 pep chromosome:OR_W1943:2:5286930:5290798:-1 gene:ORUFI02G07830 transcript:ORUFI02G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGCSSLTSWVRRLVACVGNCFGCAKPTPIIAVDEPSKGLRIQGRSIKQRSLSEDFWSSSPPGMENSAMQSQRSMSSISTAAQSSDQHGAGSSTNPNEFVNQGLLLWNQTRQQWVGNRRHNSQRQQPREPKISWNATYESLLGSTKPFPQAIPLGEMVDFLVDGWEQEGLYDYNTIASPSSSRVTN >ORUFI02G07830.2 pep chromosome:OR_W1943:2:5287527:5290798:-1 gene:ORUFI02G07830 transcript:ORUFI02G07830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGCSSLTSWVRRLVACVGNCFGCAKPTPIIAVDEPSKGLRIQGRSIKQRSLSEDFWSSSPPGMENSAMQSQRSMSSISTAAQSSDQHGAGSSTNPNEFVNQGLLLWNQTRQQWVGNRRHNSQRQQPREPKISWNATYESLLGSTKPFPQAIPLGEMVDFLVDGWEQEGLYD >ORUFI02G07840.1 pep chromosome:OR_W1943:2:5290884:5291279:-1 gene:ORUFI02G07840 transcript:ORUFI02G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGLDDYYQLPLRAPPVILPVTEREGVVEWSLSQLFRPICPRTPRVIPSLQTQTQTQTQSPPYSNDSPPPPPLHSSHQISPPASASAAAHAVAVAIAVVVVFVCSCTLDRSIDRARVEEEEEEGFEGVC >ORUFI02G07850.1 pep chromosome:OR_W1943:2:5300922:5301440:-1 gene:ORUFI02G07850 transcript:ORUFI02G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSGGGGSLALAAATAVAVSGSIVIFSLCRAARPAAAAEAEAEAEASLRPCLSSSSSSSERRRRRSGRRVRFAADVVDNEGAARPARRLAAAEEHTCRGDAAAAAAAERMPANREALYRGMLRDRSSHRVTYSC >ORUFI02G07860.1 pep chromosome:OR_W1943:2:5309286:5314307:-1 gene:ORUFI02G07860 transcript:ORUFI02G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVATHAAEPPRPSAAAAEVAVAGGGGGCGAARKPAATMEHVLLALHETEAEREARIRAMFGFFDAAGRGHLDHAQIEAGLAALHLPPPPPPEEGGGGYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWHALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAAIPERISKHASASKYLIAGGVSGATSRTATAPLDRLKVIMQVQTNRITVLQAVKDIWREGSLRGFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSDIGTSGRLMAGGLAGAVAQTAIYPIDLVKTRLQTFACGSGKIPSLGALSRDIWMQEGPRAFYRGLVPSLLGMVPYAGIDLTVYETLKEMSKTYVLKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSEAAYRGMSDVFWKTLQHEGISGFYKGLVPNLLKVVPAASITYLVYETMKKNLGGNFSVRFEMEL >ORUFI02G07860.2 pep chromosome:OR_W1943:2:5309995:5314307:-1 gene:ORUFI02G07860 transcript:ORUFI02G07860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVATHAAEPPRPSAAAAEVAVAGGGGGCGAARKPAATMEHVLLALHETEAEREARIRAMFGFFDAAGRGHLDHAQIEAGLAALHLPPPPPPEEGGGGYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWHALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAAIPERISKHASASKYLIAGGVSGATSRTATAPLDRLKVIMQVQTNRITVLQAVKDIWREGSLRGFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSDIGTSGRLMAGGLAGAVAQTAIYPIDLVKTRLQTFACGSGKIPSLGALSRDIWMQEGPRAFYRGLVPSLLGMVPYAGIDLTVYETLKEMSKTYVLKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSEAAYRGMSDVFWKTLQHEGISGFYKGLVPNLLKVVPAASITYLVYETMKKMGIPGI >ORUFI02G07870.1 pep chromosome:OR_W1943:2:5321587:5328697:-1 gene:ORUFI02G07870 transcript:ORUFI02G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQVTGTATAARTTSPAAPAASSALLSRTMLPSTVAALVPLMVGTCRARGATASAAAPSAPAALAGSLATGFAPGLDAMSTTSPAGWSASGATHRGTPALRCNLPYVSDRSGSDEACSSDDEQQKQR >ORUFI02G07870.2 pep chromosome:OR_W1943:2:5320989:5328713:-1 gene:ORUFI02G07870 transcript:ORUFI02G07870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRGYGFGSGAVRASRPGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGSAMTYENYLAA >ORUFI02G07870.3 pep chromosome:OR_W1943:2:5321665:5328713:-1 gene:ORUFI02G07870 transcript:ORUFI02G07870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRGYGFGSGAVRASRPGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGTEV >ORUFI02G07880.1 pep chromosome:OR_W1943:2:5329009:5329359:1 gene:ORUFI02G07880 transcript:ORUFI02G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTCRRLRGAASRVAVPCQGKCVTDRWGLVVVPSWMARIVGVVHVFWARRNRACHVVIWTVRRVIDVGPRLWLIRDEKYQIIILVLVWVAVDAADVKVGVALAVRGIIGHVFKYV >ORUFI02G07890.1 pep chromosome:OR_W1943:2:5334493:5335857:-1 gene:ORUFI02G07890 transcript:ORUFI02G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALALGAAATWPEAIVQCLVFILAAAMLVALHSLPRRAAHRLRRASSAGSSSAAAAQSRRHFAQGAQLLARARAAAAGGTKKPPGPLARAALAEADRAIALDPRDAAPLILKALALDLQGHRLPALRALDAALAPPLARSLEPRERGDALAKRAEIALALHRRHRRRLDQAADDLAEAVRLSPQNARAHALLGECYERKGMAAEALDAFKTAASIDPSLAAARDALRRTEGSDDGVESDSD >ORUFI02G07900.1 pep chromosome:OR_W1943:2:5339222:5341616:-1 gene:ORUFI02G07900 transcript:ORUFI02G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNAVAAGAYMGPTGYAAAAAAGALAAGGAVAVLVAFTLPTPDQPLPPLPPIVVRCRKLLDDIRRAAPRSHHRFQVASGALAEADRAIAAGAWGGLHKPLLLVVRAFALDALGQRRRALRALAGRLPPRERGDALVKRAEINLDYYRRCFFPCPARLDRAAADLKEALCFVPDNARARARCSASARGRRAATRRRIWLGHAAVNGVETW >ORUFI02G07910.1 pep chromosome:OR_W1943:2:5347266:5347643:-1 gene:ORUFI02G07910 transcript:ORUFI02G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLAMAMSQGAWVCHFLAEIVELMAFIFSLPFRLLAEILSGGGGDGDLQDAHDYFVEEGRKHLAGARDADLPAALRDELALAAVGAADHAIAIGRSLLRLHPSPFAVKGLGRSTCWASAAATR >ORUFI02G07920.1 pep chromosome:OR_W1943:2:5348765:5357328:1 gene:ORUFI02G07920 transcript:ORUFI02G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANHSMSKSMSISPRRSPVKRSAISPKISLISRSPDVQGHGRSPVRAPERSESRSPPREKSISMSPQRRSARRSKSRSPLREKSVSMSPRRSMSKSLPRSVSRSPVARRSRSPVKARTRSISRSSARSLQRRSRSRSLERSPVRKSVSRSPPTMDKGRSISRTSGRLPLRRSISRSPDRPYRRIPSRSPRKNTRRSISRSPVRLSKRSISPVRGGRSRRSVSRSASPPRRAISPAQNHGRSPSRSPSPDGSKRVRRGRGFTHRYSFARQYRSPSPDHSFRYGGRGDRDRHMSYRGSRQRSPPRRYRSSPLRGRASPRYRRRSRSISRSPVYRDRGRGGGRSRSPVRSRSPAGGKLRSHGDRSRSMSRSRSLSGSRSRSPPPIHNRSPLASLSPKRESNAKSRSPSASSEGKKGLVSYGDGSPDSAGK >ORUFI02G07920.2 pep chromosome:OR_W1943:2:5348751:5357328:1 gene:ORUFI02G07920 transcript:ORUFI02G07920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNPIVFMDVSIGDEPDERMVFELFADVAPRTAENFRALCTGEMGIGQTSKKPLYYKGSLFHRVIKGFMAQGGDFSNGDGSGGESIYGGTFEDENFVLRHDERGLLSMANAGPNTNGSQFFITFKHNSRLDRKSTVFGKLILGNDVLKRIEYVDVHGAGSTPVVPVRIVDCGELVDGKCLGSITVENDKKRSVKSKLSKDESSDEENNEGKRKRHHKKSSRRRRKKKRYSSSESESSSESESELSDSDSESDTCSSDSSDLSSSSDDRRRRRKRHSKKDKHKRGKRKRDRRRERKRRKRDRKSKQKSKRMLESDSETGNVSDSSLEDDKSKRHHRGRKSKASSQVSGENHTALAALKDAASTQQKSATPRSLAQEDKSPKENGDTRTNGVTESKTERNADIALTSNRSKSRSQSMSANHSMSKSMSISPRRSPVKRSAISPKISLISRSPDVQGHGRSPVRAPERSESRSPPREKSISMSPQRRSARRSKSRSPLREKSVSMSPRRSMSKSLPRSVSRSPVARRSRSPVKARTRSISRSSARSLQRRSRSRSLERSPVRKSVSRSPPTMDKGRSISRTSGRLPLRRSISRSPDRPYRRIPSRSPRKNTRRSISRSPVRLSKRSISPVRGGRSRRSVSRSASPPRRAISPAQNHGRSPSRSPSPDGSKRVRRGRGFTHRYSFARQYRSPSPDHSFRYGGRGDRDRHMSYRGSRQRSPPRRYRSSPLRGRASPRYRRRSRSISRSPVYRDRGRGGGRSRSPVRSRSPAGGKLRSHGDRSRSMSRSRSLSGSRSRSPPPIHNRSPLASLSPKRESNAKSRSPSASSEGKKGLVSYGDGSPDSAGK >ORUFI02G07920.3 pep chromosome:OR_W1943:2:5348765:5357328:1 gene:ORUFI02G07920 transcript:ORUFI02G07920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNPIVFMDVSIGDEPDERMVFELFADVAPRTAENFRALCTGEMGIGQTSKKPLYYKGSLFHRVIKGFMAQGGDFSNGDGSGGESIYGGTFEDENFVLRHDERGLLSMANAGPNTNGSQFFITFKHNSRLDRKSTVFGKLILGNDVLKRIEYVDVHGAGSTPVVPVRIVDCGELVDGKCLGSITVENDKKRSVKSKLSKDESSDEENNEGKRKRHHKKSSRRRRKKKRYSSSESESSSESESELSDSDSESDTCSSDSSDLSSSSDDRRRRRKRHSKKDKHKRGKRKRDRRRERKRRKRDRKSKQKSKRMLESDSETGNVSDSSLEDDKSKRHHRGRKSKASSQVSGENHTALAALKDAASTQQKSATPRSLAQEDKSPKENGDTRTNGVTESKTERNADIALTSNRSKSRSQSMSANHSMSKSMSISPRRSPVKRSAISPKISLISRSPDVQGHGRSPVRAPERSESRSPPREKSISMSPQRRSARRSKSRSPLREKSVSMSPRRSMSKSLPRSVSRSPVARRSRSPVKARTRSISRSSARSLQRRSRSRSLERSPVRKSVSRSPPTMDKGRSISRTSGRLPLRRSISRSPDRPYRRIPSRSPRKNTRRSISRSPVRLSKRSISPVRGGRSRRSVSRSASPPRRAISPAQNHGRSPSRSPSPDGSKRVRRGRGFTHRYSFARQYRSPSPDHSFRYGGRGDRDRHMSYRGSRQRSPPRRYRSSPLRGRASPRYRRRSRSISRSPVYRDRGRGGGRSRSPVRSRSPAGGKLRSHGDRSRSMSRSRSLSGSRSRSPPPIHNRSPLASLSPKRESNAKSRSPSASSEGKKGLVSYGDGSPDSAGK >ORUFI02G07920.4 pep chromosome:OR_W1943:2:5348751:5357328:1 gene:ORUFI02G07920 transcript:ORUFI02G07920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNPIVFMDVSIGDEPDERMVFELFADVAPRTAENFRALCTGEMGIGQTSKKPLYYKGSLFHRVIKGFMAQGGDFSNGDGSGGESIYGGTFEDENFVLRHDERGLLSMANAGPNTNGSQFFITFKHNSRLDRKSTVFGKLILGNDVLKRIEYVDVHGAGSTPVVPVRIVDCGELVDGKCLGSITVENDKKRSVKSKLSKDESSDEENNEGKRKRHHKKSSRRRRKKKRYSSSESESSSESESELSDSDSESDTCSSDSSDLSSSSDDRRRRRKRHSKKDKHKRGKRKRDRRRERKRRKRDRKSKQKSKRMLESDSETGNVSDSSLEDDKSKRHHRGRKSKASSQVSGENHTALAALKDAASTQQKSATPRSLAQEDKSPKENGDTRTNGVTESKTERNADIALTSNRSKSRFPIFLHTSIILCAYCVHEQCFI >ORUFI02G07920.5 pep chromosome:OR_W1943:2:5348751:5357365:1 gene:ORUFI02G07920 transcript:ORUFI02G07920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNPIVFMDVSIGDEPDERMVFELFADVAPRTAENFRALCTGEMGIGQTSKKPLYYKGSLFHRVIKGFMAQGGDFSNGDGSGGESIYGGTFEDENFVLRHDERGLLSMANAGPNTNGSQFFITFKHNSRLDRKSTVFGKLILGNDVLKRIEYVDVHGAGSTPVVPVRIVDCGELVDGKCLGSITVENDKKRSVKSKLSKDESSDEENNEGKRKRHHKKSSRRRRKKKRYSSSESESSSESESELSDSDSESDTCSSDSSDLSSSSDDRRRRRKRHSKKDKHKRGKRKRDRRRERKRRKRDRKSKQKSKRMLESDSETGNVSDSSLEDDKSKRHHRGRKSKASSQVSGENHTALAALKDAASTQQKSATPRSLAQEDKSPKENGDTRTNGVTESKTERNADIALTSNRSKSRSQSMSANHSMSKSMSISPRRSPVKRSAISPKISLISRSPDVQGHGRSPVRAPERSESRSPPREKSISMSPQRRSARRSKSRSPLREKSVSMSPRRSMSKSLPRSVSRSPVARRSRSPVKARTRSISRSSARSLQRRSRSRSLERSPVRKSVSRSPPTMDKGRSISRTSGRLPLRRSISRSPDRPYRRIPSRSPRKNTRRSISRSPVRLSKRSISPVRGGRSRRSVSRSASPPRRAISPAQNHGRSPSRSPSPDGSKRVRRGRGFTHRYSFARQYRSPSPDHSFRYGGRGDRDRHMSYRGSRQRSPPRRYRSSPLRGRASPRYRRRSRSISRSPVYRDRGRGGGRSRSPVRSRSPAGGKLRSHGDRSRSMSRSRSLSGSRSRSPPPIHNRSPLASLSPKRESNAKSRSPSASSEGKKGLVSYGDGSPDSAGK >ORUFI02G07920.6 pep chromosome:OR_W1943:2:5348765:5357328:1 gene:ORUFI02G07920 transcript:ORUFI02G07920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNPIVFMDVSIGDEPDERMVFELFADVAPRTAENFRALCTGEMGIGQTSKKPLYYKGSLFHRVIKGFMAQGGDFSNGDGSGGESIYGGTFEDENFVLRHDERGLLSMANAGPNTNGSQFFITFKHNSRLDRKSTVFGKLILGNDVLKRIEYVDVHGAGSTPVVPVRIVDCGELVDGKCLGSITVENDKKRSVKSKLSKDESSDEENNEGKRKRHHKKSSRRRRKKKRYSSSESESSSESESELSDSDSESDTCSSDSSDLSSSSDDRRRRRKRHSKKDKHKRGKRKRDRRRERKRRKRDRKSKQKSKRMLESDSETGNVSDSSLEDDKSKRHHRGRKSKASSQVSGENHTALAALKDAASTQQKSATPRSLAQEDKSPKENGDTRTNGVTESKTERNADIALTSNRSKSRFPIFLHTSIILCAYCVHEQCFI >ORUFI02G07930.1 pep chromosome:OR_W1943:2:5348798:5348980:-1 gene:ORUFI02G07930 transcript:ORUFI02G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRTGEEGRFGEWGGGIHPQRGGREGGVDRAAAANAGGGGVRRQSSARLHHHAAARAEE >ORUFI02G07940.1 pep chromosome:OR_W1943:2:5358019:5361531:1 gene:ORUFI02G07940 transcript:ORUFI02G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAATAATATAAAAMVWFRKGLRVHDNPALDAARRGGAAARLYPVFVLDPRYLRPDQAAPSPGSARAGVARVRFLLESLSDLDARLRRLGSRLLLLRARDDGDVAGTVCAALKDWNIGKLCFESDTEPYALARDKKVMDFAAASGIDVFSPVSHTLFDPAEIIEKFLFSFGKWKNGGRPPMTYQSFVAIAGEPPEPIMEEYSELPPVGDTGEYELLPVPRVEELGYGDISQEDLSLFRGGETEALKRMRESLHDKEWVAKFEKPKGDPSAFLKPATTVLSPYLKFGCLSSRYFYHCIQDIYRSTKKHTNPPVSLTGQLLWRDFFYTVAFGTPNFDQMKGNKICKQIPWTENEELFPAWRDGRTGYPWIDAIMIQLRKWGWMHHLARHSVACFLTRGDLFIHWEKGRDVFERLLIDSDWAINNGNWMWLSCSSFFYQYHRIYSPTSFGKKYDPNGNYIRHFIPVLKDMPKEYIYEPWTAPLSIQKKANCIIGKDYPKPVVDHAIASKECKKMMGEAYASNRLDDDKPDKGKSSNSSRRKLSAGSQVTPNSSKTKQLKRSS >ORUFI02G07950.1 pep chromosome:OR_W1943:2:5364280:5372935:1 gene:ORUFI02G07950 transcript:ORUFI02G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRKGTKVEVLQKGGAPLGSWRPAEIVSGNGHTYLVSYGSCPTQGSVAAERVPRKAMRPLRPPLDGIVCWVKGEIAEVFDSYTWKVAEVVRLLGFSYYLVRLLGSSLELKVHASNLRIRQLWEDGKWVAIPKDSARFPGDSPRSQLRCGKVGHELVHTSRDNRLLLKKKKVYEGNTSQGLKRKSSALSAFPMQYSEVSKRFQTSHRDGRCLPVVPGGSLHLMDKVDAVDSQCLELGEKYMHDSLSKRANGFHKTNLAAVKANFDYLDPAVTTQDSDTDSVVSSVGSCNPCGSPYGSTHPQEYDCADICSRTDDAEVSVSGRESFSRTDDAEASVSGRESPAPVNDGLKEKTHLLELHAYRATLMALYASGSISWEQEAMMTNLRLTLNISTDEHLSELRSLVSSEVHSR >ORUFI02G07950.2 pep chromosome:OR_W1943:2:5364280:5369145:1 gene:ORUFI02G07950 transcript:ORUFI02G07950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRKGTKVEVLQKGGAPLGSWRPAEIVSGNGHTYLVSYGSCPTQGSVAAERVPRKAMRPLRPPLDGIVCWVKGEIAEVFDSYTWKVAEVVRLLGFSYYLVRLLGSSLELKVHASNLRIRQLWEDGKWVAIPKDSARFPGDSPRSQLRCGKVGHELVHTSRDNRLLLKKKKVYEGNTSQGLKRKSSALSAFPMQYSEVSKRFQTSHRDGRCLPVVPGGSLHLMDKVDAVDSQCLELGEKYMHDSLSKRANGFHKTNLAAVKANFDYLDPAVTTQDSDTDSVVSSVGSCNPCGSPYGSTHPQEYDCADICSRTDDAEVSVSGRESFSRTDDAEASVSGRESPAPVNDGLKEKTHLLELHAYRATLMALYASGSISWEQEAMMTNLRLTLNISTDEHLSELRSLVSSEVHSR >ORUFI02G07960.1 pep chromosome:OR_W1943:2:5374383:5378370:-1 gene:ORUFI02G07960 transcript:ORUFI02G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGHDMNLGTALQLLQESTASARQLKLSFALLAHGFQLSLRDIRGNSALSADAAETAASYRMAMRGRRGEKEAQWQSVAVHAGRRWAAQGSRLVPGLVVSMSA >ORUFI02G07970.1 pep chromosome:OR_W1943:2:5385712:5387790:-1 gene:ORUFI02G07970 transcript:ORUFI02G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALMAEASSKPMSPPTSHNALPRVLAFYHYWRKIYGHRFLIWFGPTPRLTVAEPELIREIFLTRADAFDRYEAHPVVRQLEGDGLVSLHGDKWALHRRVLTDAFYPDNLNRLIPHVGKSVAALAAKWGAMAEAGGSGEVEVDVAEWFQAVTEEAITRATFGRSYDDGRVVFAMQGQLMAFASEAFRKVLVPGYRFLPTKKNRLSWRLDREIRRSLMRLIGRRSDEAEQGEKADDGSFRDLLGLMINAGAAAATRGNAGGEKNSPAAAIPVEDMLEECKTFFFAGKQTTTNLLTWATVLLAMHPDWQERARREVFDVCGAGELPSKEHLPKLKTLGMIMNETLRLYPPAVATIRRAKVDVQLSDGCMIPRDMELLVPIMAIHHDTRYWGPDASQFNPARFANGASKAAKHPLAFIPFGLGSRMCVGQNLARLEAKLTMAILLQRFEIRTSPNYVHAPTVLMLLYPQYGAPLIFRPLSSHPPDSTGP >ORUFI02G07980.1 pep chromosome:OR_W1943:2:5429232:5431063:-1 gene:ORUFI02G07980 transcript:ORUFI02G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLRRVYLAGSHGSAAKLLDRAASGVAQSGANLLSIKHLSSCSWIRPFGNSIVSGDSVHSHGFCVNTMPMRGLSTVGSAEVSVEEESSDSPGVEHPPRIKFKRPDKTARHIMNILNKEAVDKVRGEREIPDVQPGCIIQMRLQVPENKRRESTLKGIVIGRRNAGINTTFRLRRLVAGVGVESVFPLYSPNIKEIKVLDRKKVRRAKLYYLRDRMNALKK >ORUFI02G07990.1 pep chromosome:OR_W1943:2:5432648:5434684:-1 gene:ORUFI02G07990 transcript:ORUFI02G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYYLVVDCFVSLALAICCLKATVNQELKSRTRVVRCGGGGKSRTHPHPTKKKSSMPKSRTHQIEQDTRRRTTNH >ORUFI02G08000.1 pep chromosome:OR_W1943:2:5434709:5436005:-1 gene:ORUFI02G08000 transcript:ORUFI02G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSASLLLLMVVLCAAASGTALAAITDGLLANGNFERGPAPSQLRGTRVVGASAIPSWRTSGFVEYIPSGRKQGDMVLVVPEGSHAVRLGNEASIRQRLAGAARGARYALTFSAARTCAQAERLNVSASGQWAVLPMQTMYSSNGWDSYAWAWDAAADAFDVVIHNPGVTEDPACGPLIDSVAIRTLNPPRRTNSVRPGVAENLVKNGDFEEGPYIIPGTRWGVLIPSMVVDEHSPLPGWMVESLKAVKYIDSDHFAVPRGRRAVELLAGRESAIAQVIRTVPGRQYALSFTVGDASNGCEGSLAVEAYAGRESTRVAHESAGRGGAAKRAVLPFRAAAARTRVVFFSSFYSTRSDDMSSLCGPVIDDVAVVSVRARRPAAKRG >ORUFI02G08010.1 pep chromosome:OR_W1943:2:5441157:5445382:-1 gene:ORUFI02G08010 transcript:ORUFI02G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMDISKPPPAAGGDEAAAAKGRGGAGGGGGEGLRQYYLQHIHDLQLQIRQKTHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >ORUFI02G08020.1 pep chromosome:OR_W1943:2:5446619:5452712:1 gene:ORUFI02G08020 transcript:ORUFI02G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKKAGVPLVCHGHSRPVVDLFYSPVTPDGCFLISASKDSNPMIRNGDTGDWIGTFEGHKGAVWSCCLDTNALRAASGSADFSAKVWDALTGEELHSFEHKHIVRACAFSEDTHLLLTGGLEKILRIYDMNRPDAAPREIDKSPGSVRTVAWLHSDQSILSCCTDMGGVRLWDVRSGKIAQTLETKATVTSAEVSQDGRYIITADGSSVKFWDANYFGLVKSYNMPCNVESASLEPKYGNKFIAGGEDMWVHVFDFFTGEEITCNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLGPATSDEQESPPNANGKLKVNTVSDAARKIEGFHLPKDGQTEG >ORUFI02G08030.1 pep chromosome:OR_W1943:2:5452395:5454193:-1 gene:ORUFI02G08030 transcript:ORUFI02G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNAEAANDGAGAGERRRLPDFQQSVRLKYVKLGYHYLITNGVYLLLTPLIALVAVHLSTLTAGDVAGLWSHLRFNLVSVVACTTLLVFLSTVRFLTRPRPVYLVDFACYKPPPERRCSRDAFMRCSRLAGCFTAASLDFQRRIVERSGLGDDTYLPAAVLREPPNPSMAEARREAEAVMFGAVDDLLAKTGVSAKEIGVLVVNCSLFNPTPSLSAMVVNHYKLRGNIVSYNLGGMGCSAGLLAIDLAKDLLQVHRNSYALVISMENITLNWYSGNDRSMLVSNCLFRMGGAAILLSNRWSERRRSKYELVHTVRTHKGGDDKCFGCVTQEEDGEGNVGVALSKDLMAVAGDALKTNITTLGPLVLPLSEQLLFMATLVAKKLLKMKNVKPYIPDFKLAFEHFCVHAGGRAVLDEIEKNLSLGEWQMEPSRMTLYRFEKHDLVRSLVHSNYLILPLFTMFGNTSSSSLWYELAYSEAKGRVRRRDRVWQIAFGSGFKCNSAVWRALRSVDPEEEAVKKNPWMDEIDRFPVVVPRVSRISTD >ORUFI02G08040.1 pep chromosome:OR_W1943:2:5478192:5479592:-1 gene:ORUFI02G08040 transcript:ORUFI02G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESTAQAPAQPHFVLAPLAAHGHLIPMVDLAGLLAAHGARASLVTTPLNATWLRGVAGKAAREKLPLEIVELPFSPAVAGLPPDYQSADKLSENEQFTPFVKAMRGLDAPFEAYVRALERRPSCIISDWCNTWAAGVARSLGIPRLFFHGPSCFYSLCDLNAVVHGLHEQIAAAADADDEQETYVVPGMPVRVTVTKGTVPGFYNAPGCEALRDEAIEAMLAADGVVVNTFLDLEAQFVACYEAALGKPRAITAWLDKQATCSVVYVGFGSVLRKLPKHLSEVGHGLEDSGKPFLWVVKESEASSRPEVQEWLDEFMARTATRGLVVRGWAPQVTIXRRGGGRPRSTVRFPPKSGNFGVTEITEISGISERFFAIEV >ORUFI02G08050.1 pep chromosome:OR_W1943:2:5488274:5489452:-1 gene:ORUFI02G08050 transcript:ORUFI02G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAESTTQPPSPQPHFVLAPLAAHGHVIPMVDLAGLLAAHGARASLVTTPLNATRLRGVADKAAREKLPLEIVELPFSPAVAGLPSDCQNADKLSEDAQLTPFLIAMRALDAPFEAYVRALERRPSCIISDWCNTWAAGVAWRIGIPRLFFHGPSCFYSLCDLNAVVHGLHEQIVADDEQETTYVVPRMPVRVTVTKGTAPGFFNFPGYEALRDEAIEAMLAADGVVVNTFLDLEAQFVACYEAALGKPVWTLGPLCLHNRDDEAMASCGTGSTDLRAITAWLDEQVTGSVVYVSFGSVLRKLPKHLFEVGNGLEDSGKPFLWVVKESELVSSRPEVQEWLDEFMARTATRGLVVRGWAPQVTIXRRGGGRPRSTVSKLEGPWRKEAPRMRM >ORUFI02G08060.1 pep chromosome:OR_W1943:2:5524881:5525849:1 gene:ORUFI02G08060 transcript:ORUFI02G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLDKRIYEERQETKLFNDMIQKVPKYLFEVGHGLEDSGKPFIWVVKVSEVATPEVQEWLSALEARVAGRGVVVRGWAPQLAILSHRAVGGFVTHCGCNSILEDITHGVPVVTWPHISDQFLNERLAVDVLGVGVPEARLPVVTAVKINPYLYRYLGSTTGRYLGSTTACGNCC >ORUFI02G08070.1 pep chromosome:OR_W1943:2:5529701:5531367:1 gene:ORUFI02G08070 transcript:ORUFI02G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPDSVSATSPPPPLPPPHFVIVPFPAQGHTIPMVDLARLLAERGARASLVVTPVNAAHLRGVADHAARAKLPLEIVEVSFSPSAADAGLPPGVENVDQITDYAHFRPFFDVMRHLAAPLEAYLRALPVPPSCVISDWSNPWTAGVASRVGVPRLFFHGPSCFYSLCDLNAAAHGLQQQGDDDRILQLTMEAMRTADGAVVNTFKDLEDEFIACYEAALGKPVWTLGPFCLYNRDADAMASRGNTLDVAQSAITTWLDGMDTDSVTYVNFGSLACKVPKYLFEVGHGLEDSGKPFICVVKESEVATPEVQEWLSALEARVAGRGVVVRGWAPQLAILSHRAVGGFVTHCSWNSILESIAHGTSGSSIPPTAVLPLARPRLHSPLLRRLHGPLLLLRPGSLRHHLRRHPLRLPPLARHHLRHDRRRRQLRCRAHAAIVLHVVEVLHGHGAGVHGHHDHGVHAAVSVKLHVIEVLHGHGATSTSSLAPASAADSPRPPPVLAVRTAREREDREGRAEEEHDRWVPPFFIK >ORUFI02G08080.1 pep chromosome:OR_W1943:2:5539344:5540870:-1 gene:ORUFI02G08080 transcript:ORUFI02G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAELDTATSPPPPHFVIVPFPAQGHTIPMVDLARLLAERGVRASLVVTPVNAARLRGAADHAARAELPLEIVEVPFPPSAADAGLPPGVENVDQITDYAHFRPFFDVMRELAAPLEAYLRALPAPPSCIISDWSNSWTAGVARRAGVPRLFFHGPSCFYSLCDLNAAAHGLQQQGDDDRYVVPGMPVRVEVTKDTQPGFFNTPGWEDLRDAAMEAMRTADGGVVNTFLDLEDEFIACFEAALAKPVWTLGPFCLYNRDADAMASRGNTPDVAQSVVTTWLDAMDTDSVIYVNFGSLARKVPKYLFEVGHGLEDSGKPFIWVVKESEVAMPEVQEWLSALEARVAGRGVVVRGWAPQLAILSHRAVGGFVTHCGWNSILESIAHGVPVLTWPHFTDQFLNERLAVNVLGVGVPVGATASVLLFGDEAAMQVGRADVARAVSKLMDGGEEAGERRRKAKEYGEKAHRAMEKGGSSYESLTQLIRRFTLQEPKNSSSITVECSANRHI >ORUFI02G08090.1 pep chromosome:OR_W1943:2:5542870:5543270:1 gene:ORUFI02G08090 transcript:ORUFI02G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPWRQIFTRWLEFSSCIKDVSTSHSWRTESVCLLASYVAHRVSFAFFTNKIHLVVVFGVAMVFVLISLKNNSRNLKWCC >ORUFI02G08100.1 pep chromosome:OR_W1943:2:5547202:5547528:-1 gene:ORUFI02G08100 transcript:ORUFI02G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAASGSCCLLGAISGNMLYVTNAGDSCTTVSERLSTEHNVASEEVRRELAALHPDNGEVVVHARGTWRVKGIVQVARAIGDVYLKTPEFKHDPAV >ORUFI02G08110.1 pep chromosome:OR_W1943:2:5547556:5547841:-1 gene:ORUFI02G08110 transcript:ORUFI02G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSDTLAGVYDGHGGPDASRFLRSRLFPFVHEFAALCSGVVDADVIRKAFLAADEEY >ORUFI02G08120.1 pep chromosome:OR_W1943:2:5548550:5548810:-1 gene:ORUFI02G08120 transcript:ORUFI02G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRACTPKPRLPAWLDATKIPTPSSIIACKTPKYLFKVGHGLEDTGKPFLWVVKKSEVAGWKRAEP >ORUFI02G08130.1 pep chromosome:OR_W1943:2:5560456:5561487:-1 gene:ORUFI02G08130 transcript:ORUFI02G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPTATKYFWGDSPEPDEYYASLGLRHAEAYFQSPCGRLFTHSFHPLSAASDGDVKGVVFMSHGYGSDSSWMFQNIAISYARWGYAVFCADLLGHGRSDGVRGYLGDTEAVARAALSFFLSVRRSGAYASLPAFLFGESMGGATTLLAYLRSPPDAGWAGIILSAPLLVFPDDMYPSRVRLFLYGLLFGLADTWAVMPDKRMVGRSIRDPAKLRVIASNPRLYRGSPRVGTMRELARVTALLRESFGEVAAPFLVVHGTDDGVTSPEGSRMLYERAASEDKSLILYDGMYHSLIQGESDENRDRVLADMRAWIDERVRRYGAGAGAAAADGHAEAPAA >ORUFI02G08140.1 pep chromosome:OR_W1943:2:5572476:5575685:1 gene:ORUFI02G08140 transcript:ORUFI02G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLVASHRLRVPFAAAHHRHHPAAPPHLLRQRRGSVAATPLRLGLHLPTPTPLRLPAALPLRPSLPPLRAAAASAAAPEPVGSASPKFLGVETKTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLADVLSKEALFYTVIFPFIAFFGAFGYLLYPMRDAIHPTALADRLLAALGPSFLGPVAILRVWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANVALIFSGRTVKYFSNMRQNLGPGVDGWAISLRGMMSIVVLLGLVIAGIYWGVNKFVIDKSAAPVVERKKKDKPKLSMGESLKVLVSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQYPSPNEYSSFMGDFSTATGIATFTMMLLGRVILRKFGWGVAATITPAVLLLTGVGFFSLILFGEPLTPLMATLGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLGAVRSLDSQFSPLAKQELEKEKMLKAKTVETTAQVVGSGNGSLQETLASENSANGSAIKVSQEPESTTSEKSGQQSQ >ORUFI02G08150.1 pep chromosome:OR_W1943:2:5577508:5582241:1 gene:ORUFI02G08150 transcript:ORUFI02G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVNEETSVYVGGLPYEANEDMLRDAFGRFGTIVSVKVINDQRVRGKCYGFVTFTHADAAQHAISGMDGKRINRRVVRVNEVRTRGAREFGREGFRREPGSARDAYWDRRDRERSYDRDRDPYHDRDSDRSRDRDRDRFYEPRGFDQEIDYPMDQDHGDERRRDYDRAAEMHNVDSDNDREKENSKDYDSEREKEKEQRSRKRFSRPKDHDSRDLSVSSDDLHSDAKRQLNKAIQMREDLENEVSQIKDKVAAKEQHIADLQKRSQKLEDELSAARKVSSERQLVVTKLYKCFLQLQDYNDRVKMSEKELQSLIDDAMGEVDIGEEATTKDGSMYENGVA >ORUFI02G08160.1 pep chromosome:OR_W1943:2:5584408:5591208:1 gene:ORUFI02G08160 transcript:ORUFI02G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVRMGSVASGGGSVRRTASSWRGTSGRSDAFGRSVREEDDEEALKWAAIEKLPTYDRMRKGILTAGGVEEVDIGGLGLQERRNLIERLVRTAEEDNERFLLKLRDRMERVGIDNPTIEVRFENLSIDAEAYVGNRGIPTFTNFFSNKIMDVLSAMRIVSSGKRPISILHDISGIIRPGRMSLLLGPPGSGKTSLLLALAGKLDSTLKVSGRVTYNGHDMDEFVPQRTSAYIGQHDLHIGEMTVRETLAFSARCQGVGTRYDMLTELSRREKEASIKPDPDIDVYMKAISVEGQESVVTDYILKILGLEICADTMVGDAMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQSVHILGGTALIALLQPAPETYDLFDDIVLLSEGQIVYQGPRENILEFFEAMGFKCPERKGVADFLQEVTSRKDQHQYWCRRDEPYRYISVNDFSEAFKEFHVGRNLGSELRVPFDRTRNHPAALTTSRYGISKMELTKACFSREWLLMKRNSFVYIFKILQVHLYQLIILGSIGMTVFLRTKMHRRSVEDGAIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYALPTWVLKIPISFLECAVWICMTYYVMGFDPNIERFFRHYVLLVLISQMASGLFRLLAALGREMVVADTFGSFAQLILLVLGGFLISRENIKKWWIWGYWSSPLMYAQNAIAVNEFLGHSWNKVVDPTQSNDTLGVQVLKVRGIFVDANWYWIGVGALLGYIMLFNILFILFLEWLDPLGKGQAVVSEEELREKHVNRTGENVELLTLGTDSQNSPSDGRGEITGADTRKRGMVLPFTPLSITFDNIRYSVDMPQEMKYKGVTEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPSEVDSEARKMFVEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSCHLINYFEGIQGVRKIKDGYNPATWMLEVTTLAQEDILGINFAEVYRNSDLYQRNKTLISELSTPPPGSTDLHFPTQFSQPFFTQCMACLWKQHKSYWRNPSYTATRIFFTTVIALIFGTIFLNLGKKINKRLDLFNSLGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQVLIEIPHIFLQTVVYGLIVYSLIGFDWTVEKFFWYMFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYCIWNIFAGFLIPRPRIPIWWRWYSWACPVAWTLYGLVASQYGDITNSTLEDGEVVQDYIRRYFGFRHDYLGYVATAVVGFAALFAFVFAFSIKVFNFQRR >ORUFI02G08160.2 pep chromosome:OR_W1943:2:5584408:5591208:1 gene:ORUFI02G08160 transcript:ORUFI02G08160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVRMGSVASGGGSVRRTASSWRGTSGRSDAFGRSVREEDDEEALKWAAIEKLPTYDRMRKGILTAGGVEEVDIGGLGLQERRNLIERLVRTAEEDNERFLLKLRDRMERVGIDNPTIEVRFENLSIDAEAYVGNRGIPTFTNFFSNKIMDVLSAMRIVSSGKRPISILHDISGIIRPGRMSLLLGPPGSGKTSLLLALAGKLDSTLKVSGRVTYNGHDMDEFVPQRTSAYIGQHDLHIGEMTVRETLAFSARCQGVGTRYDMLTELSRREKEASIKPDPDIDVYMKAISVEGQESVVTDYILKILGLEICADTMVGDAMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQSVHILGGTALIALLQPAPETYDLFDDIVLLSEGQIVYQGPRENILEFFEAMGFKCPERKGVADFLQEVTSRKDQHQYWCRRDEPYRYISVNDFSEAFKEFHVGRNLGSELRVPFDRTRNHPAALTTSRYGISKMELTKACFSREWLLMKRNSFVYIFKILQLIILGSIGMTVFLRTKMHRRSVEDGAIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYALPTWVLKIPISFLECAVWICMTYYVMGFDPNIERFFRHYVLLVLISQMASGLFRLLAALGREMVVADTFGSFAQLILLVLGGFLISRENIKKWWIWGYWSSPLMYAQNAIAVNEFLGHSWNKVVDPTQSNDTLGVQVLKVRGIFVDANWYWIGVGALLGYIMLFNILFILFLEWLDPLGKGQAVVSEEELREKHVNRTGENVELLTLGTDSQNSPSDGRGEITGADTRKRGMVLPFTPLSITFDNIRYSVDMPQEMKYKGVTEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPSEVDSEARKMFVEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSCHLINYFEGIQGVRKIKDGYNPATWMLEVTTLAQEDILGINFAEVYRNSDLYQRNKTLISELSTPPPGSTDLHFPTQFSQPFFTQCMACLWKQHKSYWRNPSYTATRIFFTTVIALIFGTIFLNLGKKINKRLDLFNSLGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQVLIEIPHIFLQTVVYGLIVYSLIGFDWTVEKFFWYMFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYCIWNIFAGFLIPRPRIPIWWRWYSWACPVAWTLYGLVASQYGDITNSTLEDGEVVQDYIRRYFGFRHDYLGYVATAVVGFAALFAFVFAFSIKVFNFQRR >ORUFI02G08170.1 pep chromosome:OR_W1943:2:5593470:5594360:-1 gene:ORUFI02G08170 transcript:ORUFI02G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVKKRHEEKGFLYACFLVHAVLLLLLLRNLRALPRVLLLLLQEGQLIEIKIDFLDFFALRE >ORUFI02G08170.2 pep chromosome:OR_W1943:2:5593470:5594378:-1 gene:ORUFI02G08170 transcript:ORUFI02G08170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSQGGDQKLSAMEHVKKRHEEKGFLYACFLVHAVLLLLLLRNLRALPRVLLLLLQEGQLIEIKIDFLDFFALRE >ORUFI02G08180.1 pep chromosome:OR_W1943:2:5598482:5605016:1 gene:ORUFI02G08180 transcript:ORUFI02G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNQGQTPMSTNMGSQPLPSSNIQPNQAEYPSMFYPSLPADWGAQPMFSMGASVPISSYFIVPMSQQSVQIGASRPETPRSSGAHSLSRVSLRPPQQVLSIRTSLPTMVGSQHSPAGKKLQPTIASPKVQILKSTQSQSSNKRSAQKETPSKVQTQQLESVRSKFRESLSAALRTDSDQSKNQSSDVQPDGSADQKKEMDVDADQVATTSQGMSAAKSEVLTSVGAERRAEDEKLNSDLVSNIATPLNADIQQQPENASLQDEMLGQYTVVADELLQGHGLCWVSDFDAGVPEPATKPNLKRSRASDIDPVVADTLSESESKRMKSANDEEAIDKDSIIQKADDLAVRVEEELFKLFGGVNKKYKEKGRSLLFNLKDKSNPELRERVLSGDITPDRLCSMTAEELASKELSEWRLAKAEELAQMVVLPSTEVDVRRLVRKTHKGEFQVEVEETDGISVEVELGGNLLTQVPSKAPEDQTKSDDKESTDDKTGIQDNDKAPDGTSQDEDNGAGKNDPQDDLEYVDNEKSDLMQELMVDDLKDTENLPPIPSLDEFMQGLDSEPPFENLSAGTPEEDSDDRDEADTTAESAELPEEEVKVSAAEKIVSEADLPSSQDKSESKLESPKDEAGSNLGPVEQREGKLIKSSPDSVEVKQTTTENVLNRDSTVHNMATTLPMIRESIWEGAIQLTMSSLTNVVAIFKSGEKPPVKEWRSFVEIKGRVKLSAFQEFVEQLPKSRSRAIMVTELCWKEGSHESGRQHLLQTIDSYISDERVGLAEPADGIELYLCPSQGKTVEILSRHLPKEHLESLAVSASSIIGVIVWRRPNVPRMPAHPRHDGSRRPSILKKPQVTGSTPGPRPSLPMSSHGAPPGFPVQRHRHEEDVTDDVPPGFGPGVARDEDDLPEFNFVNSSNPAANVTTPQAYKGRQHVPLTSARPVEQMRELVQKYGKRSSVQARPWDDDDDDDIPEWNPNQLVTQQQPTRQLPVPPTPQQPLPPPPPPPSLQQLHHPYQHQQQQLYHHQNALQPQIPSNSIPQAYLRTQQPLQQLPLMQQQLQPAQAWQQTNAWWPAQGGAAAAAAPASMVQQSQYGVIPNSNNSAQSYGSGSVGGMAWRPR >ORUFI02G08180.2 pep chromosome:OR_W1943:2:5598792:5605016:1 gene:ORUFI02G08180 transcript:ORUFI02G08180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNQGQTPMSTNMGSQPLPSSNIQPNQAEYPSMFYPSLPADWGAQPMFSMGASVPISSYFIVPMSQQSVQIGASRPETPRSSGAHSLSRVSLRPPQQVLSIRTSLPTMVGSQHSPAGKKLQPTIASPKVQILKSTQSQSSNKRSAQKETPSKVQTQQLESVRSKFRESLSAALRTDSDQSKNQSSDVQPDGSADQKKEMDVDADQVATTSQGMSAAKSEVLTSVGAERRAEDEKLNSDLVSNIATPLNADIQQQPENASLQDEMLGQYTVVADELLQGHGLCWVSDFDAGVPEPATKPNLKRSRASDIDPVVADTLSESESKRMKSANDEEAIDKDSIIQKADDLAVRVEEELFKLFGGVNKKYKEKGRSLLFNLKDKSNPELRERVLSGDITPDRLCSMTAEELASKELSEWRLAKAEELAQMVVLPSTEVDVRRLVRKTHKGEFQVEVEETDGISVEVELGGNLLTQVPSKAPEDQTKSDDKESTDDKTGIQDNDKAPDGTSQDEDNGAGKNDPQDDLEYVDNEKSDLMQELMVDDLKDTENLPPIPSLDEFMQGLDSEPPFENLSAGTPEEDSDDRDEADTTAESAELPEEEVKVSAAEKIVSEADLPSSQDKSESKLESPKDEAGSNLGPVEQREGKLIKSSPDSVEVKQTTTENVLNRDSTVHNMATTLPMIRESIWEGAIQLTMSSLTNVVAIFKSGEKPPVKEWRSFVEIKGRVKLSAFQEFVEQLPKSRSRAIMVTELCWKEGSHESGRQHLLQTIDSYISDERVGLAEPADGIELYLCPSQGKTVEILSRHLPKEHLESLAVSASSIIGVIVWRRPNVPRMPAHPRHDGSRRPSILKKPQVTGSTPGPRPSLPMSSHGAPPGFPVQRHRHEEDVTDDVPPGFGPGVARDEDDLPEFNFVNSSNPAANVTTPQAYKGRQHVPLTSARPVEQMRELVQKYGKRSSVQARPWDDDDDDDIPEWNPNQLVTQQQPTRQLPVPPTPQQPLPPPPPPPSLQQLHHPYQHQQQQLYHHQNALQPQIPSNSIPQAYLRTQQPLQQLPLMQQQLQPAQAWQQTNAWWPAQGGAAAAAAPASMVQQSQYGVIPNSNNSAQSYGSGSVGGMAWRPR >ORUFI02G08190.1 pep chromosome:OR_W1943:2:5605949:5606719:1 gene:ORUFI02G08190 transcript:ORUFI02G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIPARTMERWRIQI >ORUFI02G08200.1 pep chromosome:OR_W1943:2:5608296:5610988:-1 gene:ORUFI02G08200 transcript:ORUFI02G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDELIPGLPEEVARECLLRVGFDQLPAARSTSRRWKAEVESPFYHRLRRARGMARPLLALAQAEPPLAAAGPANKYAGLSTSYRLVLHDPVAGGWAALPPLPGGGGGGLPLFCQLAAVAACGGERRRLVVVGGWDPETWAPTDAVHVYDFLSGSWRRGAAMPGPRRSFFACAAAGRWVFVAGGHDEEKNALRSAVAYDAEADAWVPLPDMAAERDEARGVCVGGRFVAVGGYPTEAQGRFAGSAEAFDPAAWAWGPVQERVLDEGTCPRTCCAAPAPAAGATMYMLRDGHLAARDATNNGGAAWRAVASLPEDGRAVTALAAIGDGRVVAIGAGSHGGEQAVYLLTTEEGGDKNGAAQSWARAAAPPEFAGVSYWARAKSRFSLYTMFLCQLPRVALWGRVEMAKPTHDMTKEGDVCYRFMRAELPSHI >ORUFI02G08210.1 pep chromosome:OR_W1943:2:5618892:5627652:1 gene:ORUFI02G08210 transcript:ORUFI02G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPRTPGPTNPPAAAVLLLLLTYTRSEAASERKRRSLRVAARRHLVFRCEISRERRHRRRRRGLWRRRPGIRAAMASRLKEDERNERIIRGLLKLPANKRCINCNNLGPQYVCTNFWTFICTNCSGAHREFTHRVKSVSMAKFTAQEVSALQEGGNERAREIFFKEWDAHRNSFPDSSNADKLRNFIKHVYVERRYTGERSADRPPRGKDDKDEYSENRRSDGNWGGSRSPPYNESYSDRRSYSGRSDDRNSRYSYGERSPGYEHNDYKKSPRYFEVDDRNREDRSGKATPVQRFEDRRPSEPQRPDNGSPNYQKETDGSSPVVRPVRDILGDNAPQLRVGEPPKPNVARTIDPPRPIDPPRPIDPPRPMDPPRPIDPPRPNGTRTIEPPPQMQRTSTASSIGSSEGTSEQIKVASTISLIDFSADPEPSASVPPPQSTPTSQQQPASAQPVQPVNAPAQQPAVEQGKNVSSVSSGGGDWASFDSFGQQQTPQTGNIVDPLESALAQLSFSEAPSAPNASAFPASVMPTSVPNDGGSSMMGQSHSSFFGAPPGVSGHQASTGMSIHGSSVQQTGLAAPAAGLPFQVSANSRATSGIQEAAPNTDSRSIGRKELPADIFTSLYPPGPQTIGGWQRTPQFGMGYAMPYQTAMGMQAYPQMAFAQPAYQQPVYPQQQHAYPQPAKASNPFDLGNEPAPVQAHTQQPLPGPLGASAGMTPPGLHGTSSFGVLPQQPQQLYQSPAPPNHYMMQQVPNMSEQLPNTMLPMQQGGLGSLNMGFDQQAAPRYPQPSTPPSYGSVGGNPFG >ORUFI02G08220.1 pep chromosome:OR_W1943:2:5628586:5656007:-1 gene:ORUFI02G08220 transcript:ORUFI02G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERELPPLLPYVFTPQDFVESVFRERLHLELPDAVRSSKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIILTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWSKHNEIQTVNIKTIGADNEIADGERLPLAVKELGTCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRIKIYSKNFQAIAIQAQSESKWRQLGELAMSTGKLDMAEECLLHAMDLSGLLLLYSSLGDAEGLTKLTSMAKEQGKNNVAFLCFFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIVTLWKKDLQKVNPKAAESLADPDEYPNLFEDWQIALNVEANVAPKRGIYPPAEEYIIHAERPNETLVEAFKSMHIHQEEVLPDENGDDAHEAIKENGVEESQEDAVEVDVEADGSTDGAVLVNGNDTEEQWVLTPDQYSHEAMIQRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTELPVRSSKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIILTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWSKHNEIQTVNIKTIGADNEIADGERLPLAVKELGTCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSLDGEYAVRESTSRIKIYSKNFQERKSIRPPFSAERIFGGVLLAMCTNDFICFHDWAEGRMIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEVTTLFHLDRQMYLLGYLANQSRVYLIDKQFNVVGYTLLLTMIEYKTLVMRGDFDRANALLPSIPKEQHDSVARFLESRGMLEEALEIATDSNYRFDLAVQLGRLELDMAEECLLHAMDLSGLLLLYSSLGDAEGLTKLTSMAKEQGKNNVAFLCFFMLGKLEECLQLLIESNRIPEAALMSRSYLPSKVPEIVTLWKKDLQKVNPKAAESLADPNEYPNLFEDWQIALNVEANVAPKRGIYAPAEEYIIHAERPNETLVEAFKNMRIHQEEVLPDENGDDTHEAIEENGVEESQEDAVEVDVEADGSTDGTVLVNGNDTEEQWVLTPDQ >ORUFI02G08220.2 pep chromosome:OR_W1943:2:5628454:5656007:-1 gene:ORUFI02G08220 transcript:ORUFI02G08220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQERELPPLLPYVFTPQDFVESVFRERLHLELPDAVRSSKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIILTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWSKHNEIQTVNIKTIGADNEIADGERLPLAVKELGTCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRIKIYSKNFQAIAIQAQSESKWRQLGELAMSTGKLDMAEECLLHAMDLSGLLLLYSSLGDAEGLTKLTSMAKEQGKNNVAFLCFFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIVTLWKKDLQKVNPKAAESLADPDEYPNLFEDWQIALNVEANVAPKRGIYPPAEEYIIHAERPNETLVEAFKSMHIHQEEVLPDENGDDAHEAIKENGVEESQEDAVEVDVEADGSTDGAVLVNGNDTEEQWVLTPDQYSHEAMIQRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTELPVRSSKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIILTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWSKHNEIQTVNIKTIGADNEIADGERLPLAVKELGTCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSLDGEYAVRESTSRIKIYSKNFQERKSIRPPFSAERIFGGVLLAMCTNDFICFHDWAEGRMIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEVTTLFHLDRQMYLLGYLANQSRVYLIDKQFNVVGYTLLLTMIEYKTLVMRGDFDRANALLPSIPKEQHDSVARFLESRGMLEEALEIATDSNYRFDLAVQLGRLELDMAEECLLHAMDLSGLLLLYSSLGDAEGLTKLTSMAKEQGKNNVAFLCFFMLGKLEECLQLLIESNRIPEAALMSRSYLPSKVPEIVTLWKKDLQKVNPKAAESLADPNEYPNLFEDWQIALNVEANVAPKRGIYAPAEEYIIHAERPNETLVEAFKNMRIHQEEVLPDENGDDTHEAIEENGVEESQEDAVEVDVEADGSTDGTVLVNGNDTEEQWGC >ORUFI02G08220.3 pep chromosome:OR_W1943:2:5628454:5656007:-1 gene:ORUFI02G08220 transcript:ORUFI02G08220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQERELPPLLPYVFTPQDFVESVFRERLHLELPDAVRSSKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIILTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWSKHNEIQTVNIKTIGADNEIADGERLPLAVKELGTCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRIKIYSKNFQAIAIQAQSESKWRQLGELAMSTGKLDMAEECLLHAMDLSGLLLLYSSLGDAEGLTKLTSMAKEQGKNNVAFLCFFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIVTLWKKDLQKVNPKAAESLADPDEYPNLFEDWQIALNVEANVAPKRGIYPPAEEYIIHAERPNETLVEAFKSMHIHQEEVLPDENGDDAHEAIKENGVEESQEDAVEVDVEADGSTDGAVLVNGNDTEEQWVLTPDQYSHEAMIQRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTELPVRSSKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIILTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWSKHNEIQTVNIKTIGADNEIADGERLPLAVKELGTCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSLDGEYAERKSIRPPFSAERIFGGVLLAMCTNDFICFHDWAEGRMIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEVTTLFHLDRQMYLLGYLANQSRVYLIDKQFNVVGYTLLLTMIEYKTLVMRGDFDRANALLPSIPKEQHDSVARFLESRGMLEEALEIATDSNYRFDLAVQLGRLELDMAEECLLHAMDLSGLLLLYSSLGDAEGLTKLTSMAKEQGKNNVAFLCFFMLGKLEECLQLLIESNRIPEAALMSRSYLPSKVPEIVTLWKKDLQKVNPKAAESLADPNEYPNLFEDWQIALNVEANVAPKRGIYAPAEEYIIHAERPNETLVEAFKNMRIHQEEVLPDENGDDTHEAIEENGVEESQEDAVEVDVEADGSTDGTVLVNGNDTEEQWGC >ORUFI02G08220.4 pep chromosome:OR_W1943:2:5628586:5640676:-1 gene:ORUFI02G08220 transcript:ORUFI02G08220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTELPVRSSKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIILTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWSKHNEIQTVNIKTIGADNEIADGERLPLAVKELGTCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSLDGEYAVRESTSRIKIYSKNFQERKSIRPPFSAERIFGGVLLAMCTNDFICFHDWAEGRMIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEVTTLFHLDRQMYLLGYLANQSRVYLIDKQFNVVGYTLLLTMIEYKTLVMRGDFDRANALLPSIPKEQHDSVARFLESRGMLEEALEIATDSNYRFDLAVQLGRLELDMAEECLLHAMDLSGLLLLYSSLGDAEGLTKLTSMAKEQGKNNVAFLCFFMLGKLEECLQLLIESNRIPEAALMSRSYLPSKVPEIVTLWKKDLQKVNPKAAESLADPNEYPNLFEDWQIALNVEANVAPKRGIYAPAEEYIIHAERPNETLVEAFKNMRIHQEEVLPDENGDDTHEAIEENGVEESQEDAVEVDVEADGSTDGTVLVNGNDTEEQWVLTPDQ >ORUFI02G08220.5 pep chromosome:OR_W1943:2:5641019:5659031:-1 gene:ORUFI02G08220 transcript:ORUFI02G08220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDFDRANALLPSIPKEQHDSVARFLESRGMLEEALEIATDSNYRFDLAVQLGRLEAIAIQAQSESKWRQLGELAMSTGKLDMAEECLLHAMDLSGLLLLYSSLGDAEGLTKLTSMAKEQGKNNVAFLCFFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIVTLWKKDLQKVNPKAAESLADPDEYPNLFEDWQIALNVEANVAPKRGIYPPAEEYIIHAERPNETLVEAFKSMHIHQEEVLPDENGDDAHEAIKENGVEESQEDAVEVDVEADGSTDGAVLVNGNDTEEQWDC >ORUFI02G08220.6 pep chromosome:OR_W1943:2:5641019:5659031:-1 gene:ORUFI02G08220 transcript:ORUFI02G08220.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDFDRANALLPSIPKEQHDSVARFLESRGMLEEALEIATDSNYRFDLAVQLGRLEAIAIQAQSESKWRQLGELAMSTGKLDMAEECLLHAMDLSGLLLLYSSLGDAEGLTKLTSMAKEQGKNNVAFLCFFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIVTLWKKDLQKVNPKAAESLADPDEYPNLFEDWQIALNVEANVAPKRGIYPPAEEYIIHAERPNETLVEAFKSMHIHQEEVLPDENGDDAHEAIKENGVEESQEDAVEVDVEADGSTDGAVLVNGNDTEEQWDC >ORUFI02G08220.7 pep chromosome:OR_W1943:2:5656433:5659031:-1 gene:ORUFI02G08220 transcript:ORUFI02G08220.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDFDRANALLPSIPKEQHDSVARFLESRGMLEEALEIATDSNYRFDLAVQLGRLELYP >ORUFI02G08230.1 pep chromosome:OR_W1943:2:5659169:5660243:-1 gene:ORUFI02G08230 transcript:ORUFI02G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSFEVTELPGMLSHVITYFCSLIYHLANIIIPISVRSSKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEALMIRPRRFGTIKRRAVFKRLKDMHIMSLLFVSIRSYL >ORUFI02G08240.1 pep chromosome:OR_W1943:2:5661492:5662037:-1 gene:ORUFI02G08240 transcript:ORUFI02G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRSETGGAATTTTVVAAAVDAPPPWRLRRQRSVPDAVVATFAPCVGIGVGGHHAPRRVLRLGGNKAAAAAVHHRRGEAEEEGESFDSGGGVGVLRALWRRIVWGRRWKVMSRSGSSTARRREQYAQDEYEQNFDEGAAAAGGEPEPEYLTRSFSARYASAAAGGRRSAGLARFGVSRAN >ORUFI02G08250.1 pep chromosome:OR_W1943:2:5665455:5665771:-1 gene:ORUFI02G08250 transcript:ORUFI02G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSIKVQAVILTYVLLAVLLHPLLCQGSPAASLLEAQTSDGKTIDQGIAYILMIVALVVTYLVG >ORUFI02G08260.1 pep chromosome:OR_W1943:2:5667392:5669156:-1 gene:ORUFI02G08260 transcript:ORUFI02G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFTSGSFKKYADLKNQAALDDMESGAGGEGANLEQFFEEVEGVKGEMRGLEALHGRLQASHEGSKTAHDARAVRSLRARMDADVEQVLRRARAVKGRLEALDRANAASRKLPGRGPGSSTDRTRSSVVSSLGTKLKDLMDDFQGLRSRMAEEYKETVARRYYTVTGEKAEESTVEALISSGESETFLQKAIQEQGRGQVLDTISEIQERHDAVKEIERGLLDLHQVFLDMAALVEAQGHQLNDIESHVARANSFVRRGAVELETAREYQRSSRKWACIAILAGVVLVVIIVLPIVVNLHLLTIR >ORUFI02G08270.1 pep chromosome:OR_W1943:2:5671637:5673882:-1 gene:ORUFI02G08270 transcript:ORUFI02G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARWPRFFVLRPAAALLLLLLLLLLHATAASPTTESGAGNATVARPDPCCPDGEKRVPRFDGTHPTPPLSQLPRMPRPGGRGRQYWSRPKNLTRGSRLM >ORUFI02G08280.1 pep chromosome:OR_W1943:2:5689038:5693689:1 gene:ORUFI02G08280 transcript:ORUFI02G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEAYITSTSSCSHTSSMISPTSPALFLVLLALTCSWPSSSSAGHGDGNDIDRQALLSFRSLVSDPARALESWRITSLDFCHWHGVTCSTTMPGRVTVLDLSSCQLDGLIPPCIANLSSIERLDLSNNSFHGRIPAELSRLEQLRHLNLSVNSLDGRIPAELSSCSRLEVLSLWNNSLQGEIPASLAQLVHIQLIDLSNNKLQGSIPSGFGTLRELKILNLATNTLVGNIPWLLGSGSSLTYVDLGGNGLPEGIPEFLANSSSLQFLSLTQNKLTGALPRALFNTSSLTAIYLDRNKLIGSIPPVTAVAAPIQYLSLAENNLTSEIPASIGNLSSLVGVSLAANNLVGSIPESLSRIPTLEMLILSINNLSGQVPQSIFNISSLKYLELANNSLIGRLPPDIGYKLPNLQRLILSKTRLSGPIPASLVNASKLEIIHLVDIGLTGILPSFGSLSHLQQLDLAYNQLEAGDWSFLSSLANCTQLQRLCLDGNGLQGHLPSSVGNLPSELKWLWLKQNKLSGTIPLEIGNLRSLEVLYMDQNLFTGTIPPSVGNLSNLLVLSFAQNNLSGHVPDSIGNLVKLTELYLDGNNFSGTIPASLGQWRHLEKLNLSHNSFGGSIPSEVFNISSLSQSLDLSHNSFAGPIPLEIGGLINLGSLSISNNRLTSNIPSTLGKCVLLESLHMEENLLVGSIPHFLMNLRSIKELDLSSNNLSGSIPDFFASMNYLKDLNLSFNDFDGPVPSTGIFRNASRVSLQGNDGLCANTPELGLPHCPALDRRTKHKSIILMIVVPIAAIVLVISLICLLTVCLKRREEKPILTDISMDTKIISYKDIVQATKGFSTENLVGSGSFGDVYKGTLELEVDLVAIKVFNLNRHGGPSSFIAECEALKNIRHRNLVKVITLCSTLDPKGEEFKAIIFQYMPNGSLETWLHQKVYDHNQKQVLTLGDRISIALDIAYALDYLHNQSASPLIHCDLKPSNVLLDLQMTAYVSDFGLARFMCTTTAACANSTSLADLKGSIGYIAPEYGMGGPISTKGDAYSYGVLLLEILTGKRPSDDKLKDGLSLHELVESAFPHKLDEILDPIMLQSDLNGGKYHTEIMQSCIIPMVKLGLLCSSISPKDRLGMSQVSAEMGTIRQSFLELQ >ORUFI02G08290.1 pep chromosome:OR_W1943:2:5694421:5694951:-1 gene:ORUFI02G08290 transcript:ORUFI02G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLEMVYLAAVGLTGIVPSFGSLPNLQDLDLGYNQLEAGDWSFLSSLANCTQLKNDFGLARFMGANSTAAPGNSTSLADLKGSIGYIAP >ORUFI02G08300.1 pep chromosome:OR_W1943:2:5695655:5700719:1 gene:ORUFI02G08300 transcript:ORUFI02G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGRRPRAVFMAFGTRGDVFPIAALAAAFAQDQRQYGVVFITHSAHQSLSTHLADCNVRYMPVSSPPVLAAEQLESISCDSVQSNVEHDSFSQRKKTIQVEHRRECLSAVENVFGNDMSTHGDFIVINFFALEGWHLAELFQVKCIIAAPYFVPYSAPASFERQFKQSLPLLYKYFQEAPLNMDPVTNLPLWYMREESPLLLYGFSKEIVECPGYWPFSAHNQVSIRHLLFIVTAISFLMVDSFAFLVAIHHAGSGSTAAALFAGIPQISCPFLLDQFYWAERLHWLGVAPEPLGRQHLIPDTDNASSINSAADMLIGAIKSALSPEIKAQATRIANKLSSEATGLEKPSGS >ORUFI02G08300.2 pep chromosome:OR_W1943:2:5695655:5700719:1 gene:ORUFI02G08300 transcript:ORUFI02G08300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGRRPRAVFMAFGTRGDVFPIAALAAAFAQDQRQYGVVFITHSAHQSLSTHLADCNVRYMPVSSPPVLAAEQLESISCDSVQSNVEHDSFSQRKKTIQVEHRRECLSAVENVFGNDMSTHGDFIVINFFALEGWHLAELFQVKCIIAAPYFVPYSAPASFERQFKQSLPLLYKYFQEAPLNMDPVTNLPLWYMREESPLLLYGFSKEIVECPGYWPFSAHNQVSIRHLLFIVTAISFLMVDSFAFLEFLIIRYFHNSGSTAAALFAGIPQISCPFLLDQFYWAERLHWLGVAPEPLGRQHLIPDTDNASSINSAADMLIGAIKSALSPEIKAQATRIANKLSSEATGLEKPSGS >ORUFI02G08300.3 pep chromosome:OR_W1943:2:5695655:5700719:1 gene:ORUFI02G08300 transcript:ORUFI02G08300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGRRPRAVFMAFGTRGDVFPIAALAAAFAQDQRQYGVVFITHSAHQTVMLDICLCQAHLSLLPNSSRASHRKKTIQVEHRRECLSAVENVFGNDMSTHGDFIVINFFALEGWHLAELFQVKCIIAAPYFVPYSAPASFERQFKQSLPLLYKYFQEAPLNMDPVTNLPLWYMREESPLLLYGFSKEIVECPVAIHHAGSGSTAAALFAGIPQISCPFLLDQFYWAERLHWLGVAPEPLGRQHLIPDTDNASSINSAADMLIGAIKSALSPEIKAQATRIANKLSSEATGLEKPSGS >ORUFI02G08310.1 pep chromosome:OR_W1943:2:5702223:5702660:1 gene:ORUFI02G08310 transcript:ORUFI02G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHHLVLHAITKAAAATPAAAAACGKLGAAAAAFLAVCALALALCASHAAPERLRRALASVSRRRTEPVIVSIHQVQPGVVGAGGELADDGLGGPPSCVWQKNILMGGKCQLPEFSGVINYDAAGNIVAPSGRPRAAGTAALGW >ORUFI02G08320.1 pep chromosome:OR_W1943:2:5703721:5712157:1 gene:ORUFI02G08320 transcript:ORUFI02G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCLAQTNALFRKSLVIQRRAGKTNCCIVLFPLLIFSAIGGLQIAINVEMLRGLAAAASVDCGGCGGGVAVAANATGGLDCPTPCALPRAPKWPPLLQIPPPERRAVGDGDLLPFAGDLPDAASCRAAGSCAAAFLVTGGNRSFVARVMDNMFPAHNSSAKLSSDISGLADYVLAEADDELDFNSFEVSSFLQKTCTPNQTLSFTYQSGNTTETKHVQCTQGLMLWRDSLWLINDELYRGYYQGNNKKKTNEIAAAYDFLSSDQGNFNVFISYNSTKKFDAYEQDISLTFNQGAWQAPRLVQVSRLINMASNAYLHLRASGLKISFDFVKDMPRAARPMRPIDISSLIGQLPYVWTMELLFPVILTNIVYEKQKKLRIMMKMHGLGDFSYWTISYCYFFLLSLLYVMSFTLFGSVLGLRFFRLNDYSVQFVYYFAYMNLQISFAFLMASCFSSVRTATVTGYFYIIGSGLLGEFLFRSYVEDVFLSRSWITLLELFPAFSLYRIIYEFAQSALLGNYMNSSGMKWVDLNDPKNGMRSVLTIMVLEWFLFLSLAFYLDHFGSFQNGIRKAAVLFHSRVDKNRFQATQHNIQLQEFKASADNEKTDVIKERETVEQILQESKNSYSVICDNLKKVYHGKDGNAKKIAVRGLSLSMPRGQCFGVLGPNGAGKTTLINMLTGFTKPTSGTAYIEGMDIQFEMNKIYAGIGVCPQHDLLWETLTGREHLLFYGRLKNLRGAPLSQAIEKSLKSVRLFAGGIADKLVSKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKDLWNAVKSAKQDRAIILTTHSMEEAEFLCDRIGIIANGSLQCIGNSKELKAKYGGSYVLTVTTATGEAEEEMRRLVQSISPTMNIVYHISGTQKFEMAKQEVRISQVFRAMEHAKLRMNVLAWGLADTTLEDVFIRVARESDSASSSVA >ORUFI02G08330.1 pep chromosome:OR_W1943:2:5715783:5722443:1 gene:ORUFI02G08330 transcript:ORUFI02G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIYEDGPAHSPISTRRAQPNLVLSPSLSFSNPSTASSLSRRRGAAEEDETMGGAGAAEDGRILVPVVAREEVHPLDETFETSPVHQPRMELINCRSS >ORUFI02G08340.1 pep chromosome:OR_W1943:2:5716826:5720272:-1 gene:ORUFI02G08340 transcript:ORUFI02G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFAPCPKFIPLLAVFIVSCSLPLAISDDTDTDREALLCFKSQISDPKGSLSSWSNTSQNFCNWQGVSCNNTQTQLRVIALNVSSKGLSGSIPPCIGNLSSIASLDLSRNAFLGKIPSELRRLRQISYLNLSINSLEGRIPDELSSCSNLKVLGLSNNSLQGEIPQSLTQCTHLQQVILYNNKLEGSIPTGFGTLPELKTLDLSNNALRGGIPPLLGSSSSFVYVDLGGNQLTGGIPEFLANSSSLQVLRLTQNSLTGEIPPALFNSSTLTTIYLDRLIPDSIGNLAQLTEFHLDGNNFNGSIPSNLGQWRQLEKLDLSHNSFSGSLPSEVFNISSLSQSLDLSHNLFAGPIPLEIGNLINLGSISISNNRLTGEIPSTLGNCVLLEYLHMEGNLLTGSIPQSFMNLKSIKKLDLSRNSLSGKVPELLTLLSSLQKLNLSFNDFEGPIPSNGVFGNASRVILDGNYRLCANDPGYSLPLCSELGSQSKHKSTILKIVIPIAVSVVISLLCLMAVLIKRRKQKPSLQQSSVNMRKISYEDIAKATDEFSPTNLVGLGSFGAVYKGMLPFETNPVAIKVFDLNKYGAPTSFNAECEALRYIRHRNLVKIITLCSTIDPNGYDFKALVFQYMPNGSLEMWLHPEDHGHGKKRFLTLGERISLALDIAYALDYLHNQCVSPLIHCDIKPSNVLLDLEMTAYVSDFGLARFMSANSTAAPGNSTSLADLKGSIGYIAPEYGMGGQISMKGDVYSYGVLLLEILTGKRPTDEKFNDGLSLHDRVDAAFPHRVTEILDPNMLHNDLDGGNSELMQSCVLPLVKVALMCSMASPKDRLGMAQVSTELHSIKQAFLELSSGGKVV >ORUFI02G08350.1 pep chromosome:OR_W1943:2:5725184:5729977:-1 gene:ORUFI02G08350 transcript:ORUFI02G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGPGRIMPFLPCTLATGSKSVELLMGRETHHGGHHRLSAPTKSIRSPLILLHENWDGPEHNPPNPNPLGN >ORUFI02G08360.1 pep chromosome:OR_W1943:2:5737112:5764895:-1 gene:ORUFI02G08360 transcript:ORUFI02G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFASFPKLIPLLAVFIFSCSLPIAISDDTDTDRGALLCFKSQISDPNGALSSWTNTSQNFCNWQGVSCNNTQTQLRVMALNVSSKGLGGSIPPCIGNLSSIASLDLSSNAFLGKIPSELGRLGQISYLNLSINSLEGRIPDELSSCSNLQVLGLWNNSLQGEIPPSLTQCTHLQQVILYNNKLEGRIPTGFGTLRELKTLDLSNNALTGDIPPLLGSSPSFVYVDLGGNQLTGRIPEFLANSSSLQVLRLMQNSLTGEIPAALFNSSTLTTIYLNRNNLAGSIPPVTAIAAPIQFLSLTQNKLTGGIPPTLGNLSSLVRLSLAANNLVGSIPESLSKIPALERLILTYNNLSGPVPESIFNMSSLRYLEMANNSLIGRLPQDIGNRLPNLQSLILSTIQLNGPIPASLANMTKLEMIYLVATGLTGVVPSFGLLPNLRYLDLAYNHLEAGDWSFLSSLANCTQLKKLLLDGNGLKGSLPSSVGNLAPQLDWLWLKQNKLSGTIPAEIGNLKSLTILYMDDNMFSGSIPQTIGNLTNLLVLSFAKNNLSGRIPDSIGNLSQLNEFYLDRNNLNGSIPANIGQWRQLEKLNLSHNSFSGSMPSEVFKISSLSQNLDLSHNLFTGPILPEIGNLINLGSISIANNRLTGDIPSTLGKCVLLEYLHMEGNLLTGSIPQSFMNLKSIKELDLSRNRLSGKVPEFLTLFSSLQKLNLSFNDFEGTIPSNGVFGNASRVILDGNYRLCANAPGYSLPLCPESGLQIKSKSTVLKIVIPIVVSAVVISLLCLTIVLMKRRKEEPNQQHSSVNLRKISYEDIAKATDGFSATNLVGLGSFGAVYKGLLAFEDNPVAIKVFNLNKYGAPTSFNAECEALRYIRHRNLVKIITLCSTVDPNGYDFKALVFQYMPNGSLEMWLHPEDHGHGKQRFLTLGERINVALDIAYALDYLHNQCVSPLIHCDMKPSNVLLDLEMTAYVSDFGLARFMCANSTEAPGNSTSLADLKGSIGYIAPEYGMGAQISTKGDVYSYGVLLLEILTGKRPTDEKFKDGRSLHELVDTAFPHRVTEILDPNMLHNDLDGGNFEMMQSCVLPLVKLALMCSMASPKDRLGMAQVSTEIHSIKQEFLDLSSGGKIARSSSPISLEYRNIRQVRASSYILAISKIASLTALSTNNKMIRLFASFPKLIPLLAVFIFSSSLPLAISDDTDTDREALLCFKSQISDPNGALSSWTNTSLNFCSWQGVSCNNTQTQPRVMALNVSSKGLSGSIPPCIANLSSIASLDLSRNAFLGKIPSELGRLRQISYLNLSINSLEGRIPDELSSCSNLKVLGLSNNSLQGEIPQSLTQCTHLQQAILYNNKLEGSIPTGFGTLPELKTLDLSSNALRGDIPPLLGSSPSFVYVNLGGNQLTGGIPEFLANSSSLQVLRLTQNSLTGEIPPALFNSSTLTTIYLDRLIPDSIGNLAQLTEFHLDGNNFNGSIPSNLGQWRQLEKLDLSHNSFGGSLPSEVFNISSLSQSLDLSHNLFTGPIPLEIGNLINLGSISISNNRLTGEIPSTLGNCVLLEYLHMEGNLLTGSIPQSFMNLKSIKELDLSRNSLSGKVPEFLTLLRYSLPLCRESGSQSKHKSTILKIVIPIAVSVVILLLCLMAVLIKRRKQKPSLQQSSVNMRKISYEDIANATDGFSPTNLVGLGSFGAVYKGMLPFETNPVAIKVFDLNKYGAPTSFNAECEALRYIRHRNLVKIITLCSTIDPNGYDFKALVFQYMPNGSLEMWLHPEDHGHGKKRFLTLGERISLALDIAYALDYLHNQCVSPLIHCDIKPSNVLLDLEMTAYVSDFGLARFMSANSTAAPGNSTSLADLKGSIGYIAPEYGMGGQISTKGDVYSYGVLLLEILTGKRPTDEKFNDGLSLHDRVDAAFPHRVTEILDPNMLHNDLDGGNSELMQSCVLPLVKVALMCSMASPKDRLGMAQVSTEINSIKQAFVDLSSGGKIV >ORUFI02G08360.2 pep chromosome:OR_W1943:2:5737112:5759121:-1 gene:ORUFI02G08360 transcript:ORUFI02G08360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFAPCPKLIPLLAIFIISCSLPLAISDDTDTDREALLCFKSQISDPNGALSSWTNTSQNFCNWQGVSCNNTQTQLRVMALNVSSKGLGGSIPPCIGNLSSIASLDLSSNAFLGKIPSELGRLGQISYLNLSINSLEGRIPDELSSCSNLQVLGLWNNSLQGEIPPSLTQCTHLQQVILYNNKLEGRIPTGFGTLRELKTLDLSNNALTGDIPPLLGSSPSFVYVDLGGNQLTGRIPEFLANSSSLQVLRLMQNSLTGEIPAALFNSSTLTTIYLNRNNLAGSIPPVTAIAAPIQFLSLTQNKLTGGIPPTLGNLSSLVRLSLAANNLVGSIPESLSKIPALERLILTYNNLSGPVPESIFNMSSLRYLEMANNSLIGRLPQDIGNRLPNLQSLILSTIQLNGPIPASLANMTKLEMIYLVATGLTGVVPSFGLLPNLRYLDLAYNHLEAGDWSFLSSLANCTQLKKLLLDGNGLKGSLPSSVGNLAPQLDWLWLKQNKLSGTIPAEIGNLKSLTILYMDDNMFSGSIPQTIGNLTNLLVLSFAKNNLSGRIPDSIGNLSQLNEFYLDRNNLNGSIPANIGQWRQLEKLNLSHNSFSGSMPSEVFKISSLSQNLDLSHNLFTGPILPEIGNLINLGSISIANNRLTGDIPSTLGKCVLLEYLHMEGNLLTGSIPQSFMNLKSIKELDLSRNRLSGKVPEFLTLFSSLQKLNLSFNDFEGTIPSNGVFGNASRVILDGNYRLCANAPGYSLPLCPESGLQIKSKSTVLKIVIPIVVSAVVISLLCLTIVLMKRRKEEPNQQHSSVNLRKISYEDIAKATDGFSATNLVGLGSFGAVYKGLLAFEDNPVAIKVFNLNKYGAPTSFNAECEALRYIRHRNLVKIITLCSTVDPNGYDFKALVFQYMPNGSLEMWLHPEDHGHGKQRFLTLGERINVALDIAYALDYLHNQCVSPLIHCDMKPSNVLLDLEMTAYVSDFGLARFMCANSTEAPGNSTSLADLKGSIGYIAPEYGMGAQISTKGDVYSYGVLLLEILTGKRPTDEKFKDGRSLHELVDTAFPHRVTEILDPNMLHNDLDGGNFEMMQSCVLPLVKLALMCSMASPKDRLGMAQVSTEIHSIKQEFLDLSSGGKIARSSSPISLEYRNIRQVRASSYILAISKIASLTALSTNNKMIRLFASFPKLIPLLAVFIFSSSLPLAISDDTDTDREALLCFKSQISDPNGALSSWTNTSLNFCSWQGVSCNNTQTQPRVMALNVSSKGLSGSIPPCIANLSSIASLDLSRNAFLGKIPSELGRLRQISYLNLSINSLEGRIPDELSSCSNLKVLGLSNNSLQGEIPQSLTQCTHLQQAILYNNKLEGSIPTGFGTLPELKTLDLSSNALRGDIPPLLGSSPSFVYVNLGGNQLTGGIPEFLANSSSLQVLRLTQNSLTGEIPPALFNSSTLTTIYLDRLIPDSIGNLAQLTEFHLDGNNFNGSIPSNLGQWRQLEKLDLSHNSFGGSLPSEVFNISSLSQSLDLSHNLFTGPIPLEIGNLINLGSISISNNRLTGEIPSTLGNCVLLEYLHMEGNLLTGSIPQSFMNLKSIKELDLSRNSLSGKVPEFLTLLRYSLPLCRESGSQSKHKSTILKIVIPIAVSVVILLLCLMAVLIKRRKQKPSLQQSSVNMRKISYEDIANATDGFSPTNLVGLGSFGAVYKGMLPFETNPVAIKVFDLNKYGAPTSFNAECEALRYIRHRNLVKIITLCSTIDPNGYDFKALVFQYMPNGSLEMWLHPEDHGHGKKRFLTLGERISLALDIAYALDYLHNQCVSPLIHCDIKPSNVLLDLEMTAYVSDFGLARFMSANSTAAPGNSTSLADLKGSIGYIAPEYGMGGQISTKGDVYSYGVLLLEILTGKRPTDEKFNDGLSLHDRVDAAFPHRVTEILDPNMLHNDLDGGNSELMQSCVLPLVKVALMCSMASPKDRLGMAQVSTEINSIKQAFVDLSSGGKIV >ORUFI02G08360.3 pep chromosome:OR_W1943:2:5736506:5759121:-1 gene:ORUFI02G08360 transcript:ORUFI02G08360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFAPCPKLIPLLAIFIISCSLPLAISDDTDTDREALLCFKSQISDPNGALSSWTNTSQNFCNWQGVSCNNTQTQLRVMALNVSSKGLGGSIPPCIGNLSSIASLDLSSNAFLGKIPSELGRLGQISYLNLSINSLEGRIPDELSSCSNLQVLGLWNNSLQGEIPPSLTQCTHLQQVILYNNKLEGRIPTGFGTLRELKTLDLSNNALTGDIPPLLGSSPSFVYVDLGGNQLTGRIPEFLANSSSLQVLRLMQNSLTGEIPAALFNSSTLTTIYLNRNNLAGSIPPVTAIAAPIQFLSLTQNKLTGGIPPTLGNLSSLVRLSLAANNLVGSIPESLSKIPALERLILTYNNLSGPVPESIFNMSSLRYLEMANNSLIGRLPQDIGNRLPNLQSLILSTIQLNGPIPASLANMTKLEMIYLVATGLTGVVPSFGLLPNLRYLDLAYNHLEAGDWSFLSSLANCTQLKKLLLDGNGLKGSLPSSVGNLAPQLDWLWLKQNKLSGTIPAEIGNLKSLTILYMDDNMFSGSIPQTIGNLTNLLVLSFAKNNLSGRIPDSIGNLSQLNEFYLDRNNLNGSIPANIGQWRQLEKLNLSHNSFSGSMPSEVFKISSLSQNLDLSHNLFTGPILPEIGNLINLGSISIANNRLTGDIPSTLGKCVLLEYLHMEGNLLTGSIPQSFMNLKSIKELDLSRNRLSGKVPEFLTLFSSLQKLNLSFNDFEGTIPSNGVFGNASRVILDGNYRLCANAPGYSLPLCPESGLQIKSKSTVLKIVIPIVVSAVVISLLCLTIVLMKRRKEEPNQQHSSVNLRKISYEDIAKATDGFSATNLVGLGSFGAVYKGLLAFEDNPVAIKVFNLNKYGAPTSFNAECEALRYIRHRNLVKIITLCSTVDPNGYDFKALVFQYMPNGSLEMWLHPEDHGHGKQRFLTLGERINVALDIAYALDYLHNQCVSPLIHCDMKPSNVLLDLEMTAYVSDFGLARFMCANSTEAPGNSTSLADLKGSIGYIAPEYGMGAQISTKGDVYSYGVLLLEILTGKRPTDEKFKDGRSLHELVDTAFPHRVTEILDPNMLHNDLDGGNFEMMQSCVLPLVKLALMCSMASPKDRLGMAQVSTEIHSIKQEFLDLSSGGKIAHARSEKQYHPDLRGRRRIWETAIAAPAVLACSASPSPSPIASSAAAA >ORUFI02G08360.4 pep chromosome:OR_W1943:2:5759163:5764895:-1 gene:ORUFI02G08360 transcript:ORUFI02G08360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFASFPKLIPLLAVFIFSCSLPIAISDDTDTDRGALLCFKSQISDPNGALRSWSNTSLDFCNWQGVSCNNTQTQIRVMGLNISSKGLSGSIPPCIGNLSSIASLDLSNNAFLGKIPAELGHLGQISYLNLSINSLEGHIPDELSLCSKLKVLSLCNNSLQGEIPPSLTQCTHLQQVVLCNNKLQGRIPTKFGMLHELKTLDLSNNALTGDIPPLLGSSPSFVYVDLGGNQLTGGIPEFLANSSSLQVLSLKQNNLTGGIPLALFNSSTLTTIYLNRNNLVGSIPPVTAIAAPLQFLSLALNKLRGGIPASLGNLSSLVRLSLAVNNLVGSIPGSLSELRKLERLILTYNNLSGPVPQSIFNMSSLQYLEMANNSLISQLPPDIEHSFRCGARSSRPTSLEFTSIRQVCAYLQ >ORUFI02G08370.1 pep chromosome:OR_W1943:2:5767452:5769014:1 gene:ORUFI02G08370 transcript:ORUFI02G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRRLRVSLQVAVLLVSLLLLPGCLAGRREHAGVNVDKSHGIVINWEKKHPVRRLFLGLATNRHRRILFYRNPGFDPNGEHYSPVSPATP >ORUFI02G08380.1 pep chromosome:OR_W1943:2:5769594:5770146:-1 gene:ORUFI02G08380 transcript:ORUFI02G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSGKLSSSAVVLVLLLVVATEMTGMVVVVQARLCEKPSSHFKGLCLRSQNCDNECMLEGYMDGKCKYLTRRCICSMECATMSNVGLTPTK >ORUFI02G08390.1 pep chromosome:OR_W1943:2:5774391:5776153:1 gene:ORUFI02G08390 transcript:ORUFI02G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAWLGVGLQAILVMFLLMSPPFLPCALAAGCSSEGKSGDQVVGIVKMGDTILPSPRDTSSTNGDKCRGSIENPTIFVEFHAWW >ORUFI02G08400.1 pep chromosome:OR_W1943:2:5776575:5777790:1 gene:ORUFI02G08400 transcript:ORUFI02G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASRIRVELQAILVMFLLLPLPFLPRVFAAGSSGGNSGELVAGGRETRRKPPAIRSPRFLSGGVPANPGGGHDPPVSNGRRGR >ORUFI02G08410.1 pep chromosome:OR_W1943:2:5778644:5779926:1 gene:ORUFI02G08410 transcript:ORUFI02G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARLCVGLQAILVMSLLLPPPFLPCVLAGAGPGGELVAGEEKHQGRVFASGISTRSLRILSQNPGPGREHHPPISSGRGNNG >ORUFI02G08420.1 pep chromosome:OR_W1943:2:5787493:5820812:1 gene:ORUFI02G08420 transcript:ORUFI02G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKTSPTEIKLDKVALAVFTSQGQLHDGLEVAVKRCFELPCSRNQMDFQDLEFQNEICFLGKLQHTNVVKLLGYCIQGTERILVYEYMLIGSVDTFIFGARTRRLHLDWSTRSRIIDGIAEGLLYLHKHCGLHIVHGDLKPSNILLDSNMNPKISDFGLARRYSPGVDEEYADRILGSIGFIAPECRERRLFSTKSDVYGFGALVLEIISGKRCFSLVSGDSGDDYRFLNKRICIPSLPPLYYVGVYANR >ORUFI02G08420.2 pep chromosome:OR_W1943:2:5787493:5820812:1 gene:ORUFI02G08420 transcript:ORUFI02G08420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRFTLRSSTGFLDVVLESDPVQRQSLPCESLSATSRRTVLSSIFFVIEVHCIGDIRSWEWRDETKTSPTEIKLDKGQLHDGLEVAVKRCFELPCSRNQMDFQDLEFQNEICFLGKLQHTNVVKLLGYCIQGTERILVYEYMLIGSVDTFIFGMCSVLLHLNFGSKTMLSARTRRLHLDWSTRSRIIDGIAEGLLYLHKHCGLHIVHGDLKPSNILLDSNMNPKISDFGLARRYSPGVDEEYADRILGSIGFIAPECRERRLFSTKSDVYGFGALVLEIISGKRCFSLVSGDSGDDYRFLNKRICIPSLPPLYYVGVYANR >ORUFI02G08420.3 pep chromosome:OR_W1943:2:5787493:5820994:1 gene:ORUFI02G08420 transcript:ORUFI02G08420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSARTRRLHLDWSTRSRIIDGIAEGLLYLHKHCGLHIVHGDLKPSNILLDSNMNPKISDFGLARRYSPGVDEEYADRILGSIGFIAPECRERRLFSTKSDVYGFGALVLEIISGKRCFSLVSGDSGDDYRFLNKRSWHLWRTGRLIKLVDSPSGDELETREILRCIHIALLCVEENPANRPTMQEVVLMLSCRTALLPKPRCPAYLRAEIVSTHP >ORUFI02G08420.4 pep chromosome:OR_W1943:2:5787493:5820994:1 gene:ORUFI02G08420 transcript:ORUFI02G08420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSARTRRLHLDWSTRSRIIDGIAEGLLYLHKHCGLHIVHGDLKPSNILLDSNMNPKISDFGLARRYSPGVDEEYADRILGSIGFIAPECRERRLFSTKSDVYGFGALVLEIISGKRCFSLVSGDSGDDYRFLNKRSWHLWRTGRLIKLVDSPSGDELETREILRCIHIALLCVEENPANRPTMQEVVLMLSCRTALLPKPRCPAYLRAEIVSTHP >ORUFI02G08420.5 pep chromosome:OR_W1943:2:5787493:5820812:1 gene:ORUFI02G08420 transcript:ORUFI02G08420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRFTLRSSTGFLDVVLESDPVQRQSLPCESLSATSRRTVLSSIFFVIEVHCIGDIRSWEWRDETWDVAFLEFKAPMVVYIHGSVKFYLSQLVDATENFSDGNKIGQGARTRRLHLDWSTRSRIIDGIAEGLLYLHKHCGLHIVHGDLKPSNILLDSNMNPKISDFGLARRYSPGVDEEYADRILGSIGFIAPECRERRLFSTKSDVYGFGALVLEIISGKRCFSLVSGDSGDDYRFLNKRICIPSLPPLYYVGVYANR >ORUFI02G08420.6 pep chromosome:OR_W1943:2:5787493:5820991:1 gene:ORUFI02G08420 transcript:ORUFI02G08420.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRFTLRSSTGFLDVVLESDPVQRQSLPCESLSATSRRTVLSSIFFVIEVHCIGDIRSWEWRDETLVDATENFSDGNKIGQGSFGCVYKGQLHDGLEVAVKRCFELPCSRNQMDFQDLEFQNEICFLGKLQHTNVVKLLGYCIQGTERILVYECKNKKVASGLVYTLSDN >ORUFI02G08420.7 pep chromosome:OR_W1943:2:5817841:5820812:1 gene:ORUFI02G08420 transcript:ORUFI02G08420.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKTSPTEIKLDKVALAVFTSQGQLHDGLEVAVKRCFELPCSRNQMDFQDLEFQNEICFLGKLQHTNVVKLLGYCIQGTERILVYEYMLIGSVDTFIFGARTRRLHLDWSTRSRIIDGIAEGLLYLHKHCGLHIVHGDLKPSNILLDSNMNPKISDFGLARRYSPGVDEEYADRILGSIGFIAPECRERRLFSTKSDVYGFGALVLEIISGKRCFSLVSGDSGDDYRFLNKRICIPSLPPLYYVGVYANR >ORUFI02G08420.8 pep chromosome:OR_W1943:2:5817841:5820812:1 gene:ORUFI02G08420 transcript:ORUFI02G08420.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKTSPTEIKLDKVALAVFTSQGQLHDGLEVAVKRCFELPCSRNQMDFQDLEFQNEICFLGKLQHTNVVKLLGYCIQGTERILVYEYMLIGSVDTFIFGARTRRLHLDWSTRSRIIDGIAEGLLYLHKHCGLHIVHGDLKPSNILLDSNMNPKISDFGLARRYSPGVDEEYADRILGSIGFIAPECRERRLFSTKSDVYGFGALVLEIISGKRCFSLVSGDSGDDYRFLNKRICIPSLPPLYYVGVYANR >ORUFI02G08420.9 pep chromosome:OR_W1943:2:5817841:5820812:1 gene:ORUFI02G08420 transcript:ORUFI02G08420.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKTSPTEIKLDKVALAVFTSQGQLHDGLEVAVKRCFELPCSRNQMDFQDLEFQNEICFLGKLQHTNVVKLLGYCIQGTERILVYEYMLIGSVDTFIFGARTRRLHLDWSTRSRIIDGIAEGLLYLHKHCGLHIVHGDLKPSNILLDSNMNPKISDFGLARRYSPGVDEEYADRILGSIGFIAPECRERRLFSTKSDVYGFGALVLEIISGKRCFSLVSGDSGDDYRFLNKRICIPSLPPLYYVGVYANR >ORUFI02G08430.1 pep chromosome:OR_W1943:2:5814394:5814702:-1 gene:ORUFI02G08430 transcript:ORUFI02G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLFTTAPAGTTLPPTSLPLPPELTAILATDGLYGTSDAFASALPAQDVSAFFITPGATSATLPLLGLPPPAAGAPPPPPPGYGGFIPLMTGAAPSAPTA >ORUFI02G08440.1 pep chromosome:OR_W1943:2:5817723:5824980:-1 gene:ORUFI02G08440 transcript:ORUFI02G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCVAMIRTDTPLSKDYYRAQLRLAAKAQEFDILIKKEQRGMMGKEHPTGATGGGEGDGDGRGTPRWAAVAEGGGDGDGGGDEVGRGRGGGGGGPVAATARCPAATAAVSPKSTPLGRI >ORUFI02G08450.1 pep chromosome:OR_W1943:2:5833316:5880236:1 gene:ORUFI02G08450 transcript:ORUFI02G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGDLARASRATSFASWARGYSADTKGPMSYPVLPFVSAFFAATSMVAGVLLPFCVVPPHHRHRLKHIVKLKGAHCNRVVQLVDATENFSDGNKIGQGARTRRLHLDWSTRSRIIHGIAEGLLYLHKHSGLHIVHGDLKPSNILLDSNMNPKISDFGLARRYSPGVDEEYADRILGSIGFIAPECRERRLFSTKSDVYGFGALVLEIISGKRCFSLASGDSGDDYGFLNKRGQLHDGLEVAVKRCFELPSSRNQMDFQDLEFQNEICSLGKLQHINVVKLLGYCIQGTERILVYDGWTGNRPLHMRDIRVTVESNGTFVINFKGVRGSPMCEDLKLKYYEEMAKRKKLHNIVGRPKVQDCRELLYRSTPRIWAARMVFLCWRGHRLVLQAWPLQGVPAPSAGPSCPCSQGTTMTVAAIVVPTLCKAVNIQRTVRHRCECQTGSPCDHPPASSTSSLKATLCRRTVLSSIFFVIEVHCIGDIRSWEWRDETVY >ORUFI02G08450.2 pep chromosome:OR_W1943:2:5837405:5880236:1 gene:ORUFI02G08450 transcript:ORUFI02G08450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLARRYSPGVDEEYADRILGSIGFIAPECRERRLFSTKSDVYGFGALVLEIISGKRCFSLASGDSGDDYGFLNKRGQLHDGLEVAVKRCFELPSSRNQMDFQDLEFQNEICSLGKLQHINVVKLLGYCIQGTERILVYDGWTGNRPLHMRDIRVTVESNGTFVINFKGVRGSPMCEDLKLKYYEEMAKRKKLHNIVGRPKVQDCRELLYRSTPRIWAARMVFLCWRGHRLVLQAWPLQGVPAPSAGPSCPCSQGTTMTVAAIVVPTLCKAVNIQRTVRHRCECQTGSPCDHPPASSTSSLKATLCRRTVLSSIFFVIEVHCIGDIRSWEWRDETVY >ORUFI02G08450.3 pep chromosome:OR_W1943:2:5833316:5880236:1 gene:ORUFI02G08450 transcript:ORUFI02G08450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGDLARASRATSFASWARGYSADTKGPMSYPVLPFVSAFFAATSMVAGVLLPFCVVPPHHRHIVQCEDLKLKYYEEMAKRKKLHNIVGRPKGAFVPFNSTNMGCSHGLPVLARASAGTAGLAAARGAGAVGRAQLSLLPRDDHDCCSHSGAHALQGSECELTTWSATMQVCLGIARLARSVSLIQRTVRHRCECQTGSPCDHPPASSTSSLKATLCRRTVLSSIFFVIEVHCIGDIRSWEWRDETVY >ORUFI02G08450.4 pep chromosome:OR_W1943:2:5837405:5854475:1 gene:ORUFI02G08450 transcript:ORUFI02G08450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLARRYSPGVDEEYADRILGSIGFIAPECRERRLFSTKSDVYGFGALVLEIISGKRCFSLASGDSGDDYGFLNKRGQLHDGLEVAVKRCFELPSSRNQMDFQDLEFQNEICSLGKLQHINVVKLLGYCIQGTERILVYDGWTGNRPLHMRDIRVTVESNGTFVINFKGVRGSPMVQDCRELLYRSTPRIWAARMVFLCWRGHRLVLQAWPLQGVPAPSAGPSCPCSQGTTMTVAAIVVPTLCKAVNIQRTVRHRCECQTGSPCDHPPASSTSSLKATLCRRTVLSSIFFVIEVHCIGDIRSWEWRDETVY >ORUFI02G08450.5 pep chromosome:OR_W1943:2:5833316:5837554:1 gene:ORUFI02G08450 transcript:ORUFI02G08450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGDLARASRATSFASWARGYSADTKGPMSYPVLPFVSAFFAATSMVAGVLLPFCVVPPHHRHRLKHIVKLKGAHCNRVVQGQLHDGLEVAVKRCFELPSSRNQMDFQDLEFQNEICSLGKLQHINVVKLLGYCIQGTERILVYEYMLNRSVDTFIFGMCKNKKVASGLVYTLSDNSWDS >ORUFI02G08460.1 pep chromosome:OR_W1943:2:5851424:5853928:-1 gene:ORUFI02G08460 transcript:ORUFI02G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLLMKNIVHTESACIKTKTAITYSSTAPLLNTKCSTKIAHNGTRGRGHRECIRQTCTGSLSRTTSRKPVDDRKVNRFDIHNGDAPCAGSAHDGVEEEDPMTKCTRSARRPQVEKYSQYRLWSVAELIKNNTVKQYAKTLKWIGKQEDVEEGLQEVTNETDLANLAIPKQTCIVADHVVNSHSLPCKAWAPLWLQQSWSSLGSRDSWALPTAPAPLAAAKPAVPADALASTGRPCEQPIFVELNGTKAPCSPEPRDEHGVEQAD >ORUFI02G08470.1 pep chromosome:OR_W1943:2:5870115:5876368:-1 gene:ORUFI02G08470 transcript:ORUFI02G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATMSAGLFTTAPLGTTLPPISLPLPPELTAILATGGLYGTSDASASALPAQDVSAFFITPGAASATLPLVGLPPQAAGAPPPPPPGYGGFIPLMTGSKPAYSTGYGNAGNRGNNTDRQGGIPNSTTQL >ORUFI02G08480.1 pep chromosome:OR_W1943:2:5881756:5883059:1 gene:ORUFI02G08480 transcript:ORUFI02G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFMARVVLILVLSLWLLPSLLPGSLAAGASSAGNELLPLSGGSSRRMAMVRSRERKDGYGLSIAKSARRSLRLTPGYEHRTSHKQ >ORUFI02G08490.1 pep chromosome:OR_W1943:2:5883807:5884308:1 gene:ORUFI02G08490 transcript:ORUFI02G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAESSGARHGSGGEGARAPPVLHRDGVQHVLVLQRRRRADVGPRPGHVLLVPEVQQDDEGGGVCPWEHGRCHERARAVESLELVGVAECTARRLVEEVGLLAGGAVPDANATYS >ORUFI02G08500.1 pep chromosome:OR_W1943:2:5893266:5894646:1 gene:ORUFI02G08500 transcript:ORUFI02G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAIITILAVSLLLLLPPLALGSITGGDQRRKEPWITTILNGGGSIAGMELQQRRRGGHGLPVMTLVMVDVTLQSPLHETDQGRKPDEMGNCALCAREKLVPWQNIHDLVCVILCEN >ORUFI02G08510.1 pep chromosome:OR_W1943:2:5895021:5895362:-1 gene:ORUFI02G08510 transcript:ORUFI02G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLRRRHRLLAVVQLAVALWLAATSGYRCKLVVDGGHTPLHGRAGARSRCRRSARRRVAFGHRPLPDSLS >ORUFI02G08520.1 pep chromosome:OR_W1943:2:5897415:5898622:-1 gene:ORUFI02G08520 transcript:ORUFI02G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRRLRLLAIVQLAVAIWLAATSSYQCKLDAVPPPPPPPTVLVDGGPPKANRPPPPSPPEVRAEFFFFYAKSPNFSILGAVNQPAVPGLSLQVAAPSNSEKEGEGGEAQQRRLVWHSSGSSRAKFFSHSHSTCDDDDQHADS >ORUFI02G08530.1 pep chromosome:OR_W1943:2:5904753:5909512:1 gene:ORUFI02G08530 transcript:ORUFI02G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGKSSPAATSTTAPPPGRPKAKAPPLTVEGYPVEGISIGGQETCVIFPTLSAAFDIGRCPQRAVSQEFLFISHAHLDHIGGLPMYVATRGLYRQRPPTIFIPACLRDPVERLFELHRSMDQSELSHNLVPLEIGQEHELRRDLKVKAFKTYHAIPSQGYVIYTVKQKLKPEYLGLPGSEIKQLKLSGVEITNTLTVPEIAFTGDTMADFILDPDNADVLKAKILVVESTFVDDSVTIEHAREYGHTHLFEILNQCDKLENKAILLIHFSARYTAEEIDIAINKLPPSFRSRVHALKEGF >ORUFI02G08540.1 pep chromosome:OR_W1943:2:5907135:5909426:-1 gene:ORUFI02G08540 transcript:ORUFI02G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQRLQWRKPGSFLLVAGVFLAAAAAVSNAGIGEFDEHWEKRRAAAEAAAEEVYKPDPFNVTNEFNHAVIRHAIHTIFVQILSTERGVLRRELSGKNSKYKGPCLATNPIDRCWRCRKDWATDRKRLARCAMGFGRGATGGVRGKIYVVTDPGDGDAANPRYGTLRWGAMQAAPLWITFAKSMVIRLTQELLVASDKTIDGRGAQVHIARGGAGITVQFARNVIITSLHVHDVKHSDGGAVRDSPTHIGPRTRADGDGISLFAATDVWVDHVSMSMCEDGLIDVVQGSTGVTISNSHFTNHNDVMLFGASDSYPQDKVMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGGMSPTILSQGNRYIAPPNIAAKLITRHYAPEWEWKNWAWRSDGDLFMNGAYFQASNGAINRKVKGSDMVKPKPGSYVRRLTRFAGALSCRPGEPC >ORUFI02G08550.1 pep chromosome:OR_W1943:2:5911423:5913265:1 gene:ORUFI02G08550 transcript:ORUFI02G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPQLAFSRMPPGFRFQPTDEQLVVDYLQRRTAAQPCVTPDITDIDVYNVDPWQLPAMAMYGSDHDRYFFTMAAREAQARRTTPSGFWKPTGTKKTIFVVAGGHEVPTAVKRRFVFYLGHHQPSGSNNNNKTSWIMHEYRLMNSPRAAVPSSSSVNRLPTDDLTEEMVLCRISNKDLPKPPFIHNSLLQFSSVGLNGDGYNYLILDHLEPPAMEYPNVGIGNVDDAAAGTDDPGDLDEEIDDSMQRNHGG >ORUFI02G08560.1 pep chromosome:OR_W1943:2:5922849:5923848:1 gene:ORUFI02G08560 transcript:ORUFI02G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDRIWWDGDGGGDGDGDGGGVGDDEDRGERRWAGMWAMASGMATVAAAVESTNPAASDLPHISRLASNGGEEDGRFWLGLDPVLWLRGTPRRKPCSASGASNGDALGCQQQHQRDDETGEFDEISWVSRQVAGIPTDVPLANADAI >ORUFI02G08570.1 pep chromosome:OR_W1943:2:5926957:5928470:-1 gene:ORUFI02G08570 transcript:ORUFI02G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCYERREGGGGGGSDNVAVLLAGLPARPRKAATGQEGLGQWHLNHSCLIQRRPLPIIHCDLKPSNIFLDSEMVAHVGDFGLARVLHQDHSDMLEKSSGWATMRGMDMLLQTNTYYQRTMMEKKETQMAKERDTRIACIISILQIGVSCSKESPADRMHIGDALKELQRTKDKFSVSLR >ORUFI02G08580.1 pep chromosome:OR_W1943:2:5929411:5934954:-1 gene:ORUFI02G08580 transcript:ORUFI02G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSAGAGGNSLASASCGDAQKRRVCYFYDPEVGNYYYGQGHPMKPHRVRMTHALLAHYGLLAPAKMEVLRPLPARGIDLCRFHSDDYVAFLRAVTPETQLGQVRALRRFNIGPDCPVFDGLYAYCQTYAGASVGAAVKLNHGTHDIAINWSGGLHHAKKSEASGFCYVNDIVLAILELLKLHERVLYIDIDIHHGDGVEEAFYTTNRVMTVSFHKFGDYFPGTGDIRDIGYSEGKYYCLNVPLDDGIDDDSYQSIFKPIISKVMEMYRPGAVVLQCGADSLSGDRLGCFNLSGKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGEELQEKLPYNEYYEYFGPEYSLYVAASNMENRNTNKQLEEIKCNILDNLSKLQHAPSVQFQERIPETKLPEPDEDQEDPDERHDPDSDMVLDDHKPTGHSARSLIHNIGVKREITETETKDQTADANAVAVNAPGNARNEPGSSPK >ORUFI02G08590.1 pep chromosome:OR_W1943:2:5937502:5945166:-1 gene:ORUFI02G08590 transcript:ORUFI02G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPWRFPAGDELCPVCAAPHFPFCPPPPHPFPYDLHPPPPPPPPEYHAPFHPPPPPPPPPEYHVPFHPPPPMWAPPGPHPYEVLDMEAPHKRMRVGDPYGDGMPPPLPPPPPPGMVPVEGDRLLGLIRDHGRPPFPPPPGMLHGEPYPPPDRFGYGGGRGYPPPPNYDNPYAHEGSFPDYEHAGRFPPAHERLAALGSSFVPGGPQEGYFNHDHRYNRFQRSESPVAPPLPPPARYPEARSRYDSHGWHPEADVPPPPPPLEPPVPSSSDYHAKPPLQAVKSSLFPIHSGSPAATARPPSSHTLHQAHLMPNANRYNGPIHNEVPGLAYQPHLEQHLGGGRQTQAQHSINNAKISVISACDLFKQPLRGSRPDHIVIILRGLPGSGKSYLAKALRDLEVENGGNAPRIHSMDDYFMIEVEKKVEDNEGSKSSSTSKGRKQLTKKVIEYCYEPEMEETYRSSMLNAFKKTLDEGNFTFVIVDDRNLRVADFAQFWASAKEALCSYTLFFGILFSESFYLVGSHLKKSGYEVYLLEAPYKDPTGCAARNVHGFTVDDVNKMAADWEEAPPLYLRLDIHSLFNDDNLREHSIQEVDMETEDTDGASNTATSTEAENTQKAVSESLDNGHDQDISGAGKKWDSSEEDDLDGYKELGQSKWSKDFDEDTEKSDHAEGSTHALSGLAQTYSTHRKTVTWGDRLEKGGFSIGAAKRRLTSSLIIGPGSGYNLVSNPLAEDNSKQAKGVINTDTKKRFSEQLRDEGESFRAVFDKRRQRVGVFGNGDGE >ORUFI02G08600.1 pep chromosome:OR_W1943:2:5945203:5950739:-1 gene:ORUFI02G08600 transcript:ORUFI02G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGKGGFIYRGRRDGEETAVSNNGLSPLGASSTRTRRAAPQPSTPADPKPRKEASRIFSKLPDPSSSPKTLDP >ORUFI02G08610.1 pep chromosome:OR_W1943:2:5946828:5947250:1 gene:ORUFI02G08610 transcript:ORUFI02G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASPRARSRFQWQTPRGHHRLLLVERNHQSSSPTRNPHRLLLQPPSRLHLTLLAQGLHLVELRPGTKPPRSRSTPASPIRAFSSCAYATSWRRTRELLRLGVARRVFDEMPKRTVARFNALFAGLAQRGEADEARRES >ORUFI02G08620.1 pep chromosome:OR_W1943:2:5950660:5956849:1 gene:ORUFI02G08620 transcript:ORUFI02G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSAGAGGNSLASASCGDAQKRRVCYFYDPEVGNYYYGQGHPMKPHRVRMTHALLAHYGLLAPAKMQVLRPLPARDRDLCRFHSDDYVAFLRAVTPETQFDQIRSLRRFNVGEDCPVFDGLYAYCQTYAGASVGAAVKLNHGTHDIAINWSGGLHHAKKSEASGFCYVNDIVLAILELLKLHERVLYIDIDIHHGDGVEEAFYTTNRVMTVSFHKFGDYFPGTGDIRDIGYSEGKYYCLNVPLDDGIDDDSYQSIFKPIISKVMEMYRPGAVVLQCGADSLSGDRLGCFNLSGKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGEELREKLPYNEYYEYFGPEYSLYVAASNMENRNTNKQLEEIKCNILDNLSKLQHAPSVQFEERIPETKLPEPDEDQDDPDERHDPDSDMLLDDHKPMGHSARSLIHNIGVKREITETETKDQHGKRLTTEHKVPEPMADDLGSSKQVPTADANSMAINAPGNAKNEPGSSL >ORUFI02G08620.2 pep chromosome:OR_W1943:2:5950877:5956849:1 gene:ORUFI02G08620 transcript:ORUFI02G08620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSAGAGGNSLASASCGDAQKRRVCYFYDPEVGNYYYGQGHPMKPHRVRMTHALLAHYGLLAPAKMQVLRPLPARDRDLCRFHSDDYVAFLRARVLYIDIDIHHGDGVEEAFYTTNRVMTVSFHKFGDYFPGTGDIRDIGYSEGKYYCLNVPLDDGIDDDSYQSIFKPIISKVMEMYRPGAVVLQCGADSLSGDRLGCFNLSGKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGEELREKLPYNEYYEYFGPEYSLYVAASNMENRNTNKQLEEIKCNILDNLSKLQHAPSVQFEERIPETKLPEPDEDQDDPDERHDPDSDMLLDDHKPMGHSARSLIHNIGVKREITETETKDQHGKRLTTEHKVPEPMADDLGSSKQVPTADANSMAINAPGNAKNEPGSSL >ORUFI02G08620.3 pep chromosome:OR_W1943:2:5950660:5956043:1 gene:ORUFI02G08620 transcript:ORUFI02G08620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSAGAGGNSLASASCGDAQKRRVCYFYDPEVGNYYYGQGHPMKPHRVRMTHALLAHYGLLAPAKMQVLRPLPARDRDLCRFHSDDYVAFLRAVTPETQFDQIRSLRRFNVGEDCPVFDGLYAYCQTYAGASVGAAVKLNHGTHDIAINWSGGLHHAKKSEASGFCYVNDIVLAILELLKLHERVLYIDIDIHHGDGVEEAFYTTNRVMTVSFHKFGDYFPGTGDIRDIGYSEGKYYCLNVPLDDGIDDDSYQSIFKPIISKVMEMYRPGAVVLQCGADSLSGDRLGCFNLSGKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGEELREKLPYNEYYEYFGPEYSLYVAASNMENRNTNKQLEEIKCNILDNLSKLQHAPSVQFEERIPETKLPEPDEDQDDPDERHDPDSDMLLDDHKPMGHSARSLIHNIGVKREITETETKDQHGKRLTTEHKVPEPMADDLGSSKQVPVSRRLLYPSANP >ORUFI02G08630.1 pep chromosome:OR_W1943:2:5965220:5986365:-1 gene:ORUFI02G08630 transcript:ORUFI02G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVHKPKLPSPMRFSETLALTNGGRDLSRNQIAREIKFARLPMNEGMLPVSEMAELRRYLAMEAILVEMEPPQVRPGGMAIVRARKEDDDGEQEKLMVMGMSLLEVVAPKKKRRHDSRQRTRGPYITWRH >ORUFI02G08630.2 pep chromosome:OR_W1943:2:5965220:5986546:-1 gene:ORUFI02G08630 transcript:ORUFI02G08630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGILRTLALTNGGRDLSRNQIAREIKFARLPMNEGMLPVSEMAELRRYLAMEAILVEMEPPQVRPGGMAIVRARKEDDDGEQEKLMVMGMSLLEVVAPKKKRRHDSRQRTRGPYITWRH >ORUFI02G08640.1 pep chromosome:OR_W1943:2:5970695:5986604:1 gene:ORUFI02G08640 transcript:ORUFI02G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIRSDPTQALASWGNQSIPMCQWRGVACGLSGRRTGRVVALDLTKLNLVGAISPLLGNLTYLRRLHLHKNRLHGEIPSELGHLRDLRHLNRSYNSIQGPIPATLSTCRGMENIWLYSNKLQGQIPSEFGSLQNLQALVLGENRLTGSIPSFIGSLANLKFLILEENNFTGEIPSDIGRLANLTVLGLGSNQLSGPIPASIGNLSALQFLSVFSNNLVGSIPPMQRLSSLEFFELGKNNIEGSIPTWLGNLSSLLTVKLGGNRLDGNIPESLGKLKLLTSLDLSSNNLVGPVPDTIGNLYSIKQFHVENNELEGSLPSSIFNLSSLEELNLQTNNLNGTIPLDLGNRLPKLQLFLISENQFHGSIPPSLCNISTLRWIQTVNNSLSGTIPQCIGINQKSLYSVTFAVNQFETSNKYGWSFMSSLTNCSNLRLLDVGDNKLTGELPNSIGNLSTRLEYFVTNYNSMTGKIPEGLGNLVSLKFIEMNNNFYEGTIPDSLGKLKNLNRLYLTNNNLSGSIPSSIGNLRMLTLLSVAGNALSGEIPPSLSNCPLEQLELSYNNLTGLIPKELFSISVLSTSLILDHNFITGPLPSEVGNLTNLALLDFSSNLISGEIPSSIGECQSLQYLNTSGNLLQGQIPPSLDQLKGLLVLDLSHNNLSGSIPKFLGTMTGLASLNLSFNNFEGDVPKDGIFSNATPALIEGNNGLCNGIPQLKLPPCSHQTTKHKKQTWKIAMAISICSTVLFMAVVATSFVFHKRAKKTNANRQTSLIKEQHMRVSYTELAEATKGFTSENLIGEGSFGSVYKGRMKINDQQVAVAVKVFNLKQRGSSKSFAAECETLRCVRHRNLVKVLTVCSSIDFQGRDFKAIVYKFLPNRNLDQWLHQNIMEDGEHKALDLITRLEIAIDVASSLEYLHQYKPSPIIHCDLKPSNVLLDDEMVAHVGDFGLARFLHQDPEQSSGWASMRGTTGYAAPEYGLGNEVSIHGDVYSYGILLLEMFSGKRPTDSEFGESLGLHNYVNMALPYRTASVIDLSLLEETVDGEAKTSKSNQTREMRIACITSILHVGVSCSVETPTDRMPIGDALKELQRIRDKFHRELQGAGFNHPQDSIHPSRFTVDHYIYERKLGKRAFLHLRGSERVALEGEEACRGRGPGAPLPAPGLRLHGELRLEAGPAALAADGVGSPVGRGAPRGGERNGTMVPLHIHELLLLAIIPLSCFASYVSSASLSIPGEESTTKITDRLALMSFRSLIRSDPTQALASWGNQSIPMCQWRGVVCGVSGRRTGRVVALDLTELNLLGAISPLLGNLTYLRRLHLHNNRLHGEIPPELGHLRDLRHLNISYNSIQGPIPATLSTCRKMENIWLYSNKLQGQIPSEYASLQNLQALVLGENRLMGSIPPFIGSLNNFTGEIPSDIGRLANLTVLGLAYNQLSGSIPASIGNLSALQFLSFFSNNLSGSIPPMQRLSSLQKFELGENNIKGSIPTWLGNLSSLLLVVLGNNRLEGNIPESLGNLKLLTVLDLSDNNLLGPVPDTIGNLHSIKQIHMSHNELEGSLPSTIFNLSSLELLNLQSNNLNGTIPLDLGNSLPNLQFFLISHNLFHGLIPPSLCNATMLRWIQTVSNSLSGTIPQCLGIKQKSLYSVTFEDNQLETRNNYDWGFMSSLTNCSNLRLLSVSDNNLTGELPNSVGNLSTRLEYFITNYNNIAGKIPEGIGNLASLKFIDMSSNFHEGTIPASLGKLKNLNKLSLSYNNLSGSIPSSIGKLRMLMLLSLGNNALSGEIPPSLSNCPLEQLDLSYNNLTGLIPKELFSISALSASVNLEHNFLTGALPSEVGNLTNLALLDFSNNWISGEIPSSIGECQSLQYLNTSGNLLQGKIPPSLDQLKGLLVLDLSHNNLSGNIPKFLGTMTGLASLNLSFNNFEGDVPKDGIFSNATPALIEGNNGLCNGIPELKLPPCSHQATRSKKQKWKLAMVISLCSAVLFMAVVTTSFMFHKRAKKKNADPQTSLIKEQHMRVSYTELAEATNGFASENLIGAGSFGSVYKGRMRINDQQVTVAVKVFNLKQRGASQSFTAECEALRCVRHRNLVKGRDFKAIVYEFLPNRNLDQWLHQNHMEDGEHRALDLIMRLQIAIDVASSLEYLHQHNPLPIIHCDLKPSNVLLDDEMVAHVGDFGLARFLHQDSEKSSGWASMRGTIGYAAPDSQ >ORUFI02G08640.2 pep chromosome:OR_W1943:2:5970695:5974712:1 gene:ORUFI02G08640 transcript:ORUFI02G08640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIRSDPTQALASWGNQSIPMCQWRGVACGLSGRRTGRVVALDLTKLNLVGAISPLLGNLTYLRRLHLHKNRLHGEIPSELGHLRDLRHLNRSYNSIQGPIPATLSTCRGMENIWLYSNKLQGQIPSEFGSLQNLQALVLGENRLTGSIPSFIGSLANLKFLILEENNFTGEIPSDIGRLANLTVLGLGSNQLSGPIPASIGNLSALQFLSVFSNNLVGSIPPMQRLSSLEFFELGKNNIEGSIPTWLGNLSSLLTVKLGGNRLDGNIPESLGKLKLLTSLDLSSNNLVGPVPDTIGNLYSIKQFHVENNELEGSLPSSIFNLSSLEELNLQTNNLNGTIPLDLGNRLPKLQLFLISENQFHGSIPPSLCNISTLRWIQTVNNSLSGTIPQCIGINQKSLYSVTFAVNQFETSNKYGWSFMSSLTNCSNLRLLDVGDNKLTGELPNSIGNLSTRLEYFVTNYNSMTGKIPEGLGNLVSLKFIEMNNNFYEGTIPDSLGKLKNLNRLYLTNNNLSGSIPSSIGNLRMLTLLSVAGNALSGEIPPSLSNCPLEQLELSYNNLTGLIPKELFSISVLSTSLILDHNFITGPLPSEVGNLTNLALLDFSSNLISGEIPSSIGECQSLQYLNTSGNLLQGQIPPSLDQLKGLLVLDLSHNNLSGSIPKFLGTMTGLASLNLSFNNFEGDVPKDGIFSNATPALIEGNNGLCNGIPQLKLPPCSHQTTKHKKQTWKIAMAISICSTVLFMAVVATSFVFHKRAKKTNANRQTSLIKEQHMRVSYTELAEATKGFTSENLIGEGSFGSVYKGRMKINDQQVAVAVKVFNLKQRGSSKSFAAECETLRCVRHRNLVKVLTVCSSIDFQGRDFKAIVYKFLPNRNLDQWLHQNIMEDGEHKALDLITRLEIAIDVASSLEYLHQYKPSPIIHCDLKPSNVLLDDEMVAHVGDFGLARFLHQDPEQSSGWASMRGTTGYAAPEYGLGNEVSIHGDVYSYGILLLEMFSGKRPTDSEFGESLGLHNYVNMALPYRTASVIDLSLLEETVDGEAKTSKSNQTREMRIACITSILHVGVSCSVETPTDRMPIGDALKELQRIRDKFHRELQGAGVTNHQDIQIC >ORUFI02G08650.1 pep chromosome:OR_W1943:2:5994330:5998227:1 gene:ORUFI02G08650 transcript:ORUFI02G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPAVGLLPAGKLLGKRRERFEEAQSKARLSSLLLRRPALGSIPPTSASGRRLPSPAAGSSWPFQRSRSRVLNGQPPPLPGHRVIFIHPRGDLPGDSEAYCQYQVEEPR >ORUFI02G08650.2 pep chromosome:OR_W1943:2:5994330:6000364:1 gene:ORUFI02G08650 transcript:ORUFI02G08650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPAVGLLPAGKLLGKRRERFEEAQSKARLSSLLLRRPALGSIPPTSASGRRLPSPAAGSSWPFQRSRSRVLNGQPPPLPGHRVIFIHPRGDLPGGR >ORUFI02G08650.3 pep chromosome:OR_W1943:2:5998758:6000364:1 gene:ORUFI02G08650 transcript:ORUFI02G08650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFARFPISDGMLPVRVFMPRLSTLRPRNEQSSGGISPWNLLLYRARISRPVQLASDAGICPWKALSLRLRKMSQLYRKAKQWLSGLLSDSGITTGAYPVDAY >ORUFI02G08660.1 pep chromosome:OR_W1943:2:5995732:5999362:-1 gene:ORUFI02G08660 transcript:ORUFI02G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGKRLLLAAVWPLAWLAATAAAAAVGDVDDGLALTAFMARMSTGSGSPPPPTWGNRSVPVCRWRAVACGARGRRRGRVVALELPGLGLRGTVPPDLGNLTYLRRLHLAGNRLHGVLPPELGGLAELSHLNLSDNAFQGQIPASLANCTGLEILALYNNRFHGEIPPELCSLRGLRVLSLGMNTLTGSIPSEIGNLANLITLNLQFSNLTGGIPEEIGDLAGLVGLGLGYNQLAGSIPASLGNLSALKYLSIPSAELTGSIPSLQNLSSLIVLELGENNLEGTVPAWLGNLSSLVFVSLQQNRLSGHIPESLGRLQMLTSLDLSQNNLISGSIPDSLGNLGALSSLRLDYNKLEGSFPPSLLNLSSLDDLGLQSNRLSGALPPDIGNKLPNLQRFVVDINQFHGTIPPSLCNATMLQVLQTVYNFLSGRIPQCLGIQQKSLSVVALSKNQLEATNDADWVFLSSLANCSNLNALDLGYNKLQGELPSSIGNLSSHLSYLIIANNNIEGKIPEGIGNLINLKLLYMDINRLEGIIPASLGKLKMLNKLSIPYNNLSGSIPPTLGNLTGLNLLQLQGNALNGTIPSNLSSCPLELLDLSYNSLTGLIPKQLFLISTLSSNMFLGHNLLSGALPAEMGNLKNLGEFDFSSNNISGEIPTSIGECKSLQQLNISGNSLQGIIPSSLGQLKGLLVLDLSDNNLSGGIPAFLGGMRGLSILNLSYNKFEGEVPRDGVFLNATATFLTGNDGLCGGIPEMKLPPCFNQTTKKASRKLIIIISICSIMPLITLIFMLFAFYYRNKKAKPNPQISLIRAGSFGSVYKGRMTNNDQQVVAVKVLNLTQRGASQSFMAECETLRCVRHRNLVKILTVCSSIDFQGNEFKAIVYEYLPNGNLDQWLHPDIMGQSEHKALDLTARLRIAIDVASSLEYLHQYKPSPIIHCDLKPSNVLLDSDMVAHVSDFGLARFLHQELEKSSGWASMRGTVGYAAPEYGIGNEVSIQGDVYSYGILLLEMFTRKRPTDGEFGEAVGLRKYVQMALPDNAANVMDQQLLPETEDGEAIKSNSYNGKDLRIACVTSVMRIGISCSEEAPTDRVQIGEALKELQAIRDKLEKHVSNEGTSSQ >ORUFI02G08670.1 pep chromosome:OR_W1943:2:6001273:6003231:1 gene:ORUFI02G08670 transcript:ORUFI02G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAKGWRGRKDGSGGASRQPAQAARGVTLGGGGSNRQIHTASAGSGGVAASSEEGIVNRGGDGDDDGNVSAARGGGDGDSDGDGGSDGDRRSGGRALGFGTPTPDPAAVGDGGDGPARRGNAARETRGDGRDSRQPTGGAARPGWPAVAGGGSAHTEAAARPERLAVTAGNQRKEERQLAGLLVAVEAARAEVARVVAQLATAEVGEVRPVVAAVRGGEAEVLVQHNEACWYGDGGRRNEWRPARYWCDSVDSACETG >ORUFI02G08680.1 pep chromosome:OR_W1943:2:6006250:6010255:-1 gene:ORUFI02G08680 transcript:ORUFI02G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGTAVARSSSASKKENPVATIARKKEARGYSVQLYQFMGGMIAGLLPEVYRFPGQLCCQLVWVKEPCKPKITNMSNHVIVKKNIAWLQITVNNWTWLVLILVMKPQSASVVASS >ORUFI02G08680.2 pep chromosome:OR_W1943:2:6004757:6010255:-1 gene:ORUFI02G08680 transcript:ORUFI02G08680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGTAVARSSSASKKENPVATIARKKEARGYSVQLYQFMGGMIAGLLPEVYRFPGQLCCQLVWVKEPCKPKITNMSNHVIVKKNIAWLQITDELFEVHKVANVGRDASCQRVLAKIEYLEAAQRGEELAGELAVQPVAVEDDVLEASAAGDQRRRDAAGDGVVAEVEMPELAEAAELPRQRAVEAVLREVEPPQVGEVAERRAHLAGDASPGEVQRHDAAAAAPRRDAARHAAPPAQWCRSVAPRRQGSRRVAAAHELLERHEREAVGVRAGGDITSSSRRRQRQPRRRRRRRMSDEDDGDGEQEALEQCGHCRARARALWRSLTGQLAETRSGKRSGSRHSD >ORUFI02G08690.1 pep chromosome:OR_W1943:2:6004853:6009376:1 gene:ORUFI02G08690 transcript:ORUFI02G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLERFLLAVAVVLVAHAAAPAASRLPLPPPAAAGDVSAGSDSDRLALMAFKKLVSGGDPSRALASWGDGSTPLCRWRGVSCGVAAGRRRGRVVALDLAGAGIAGEVSPALGNLTHLRRLHLPENRLHGALPWQLGRLGELRHLNLSHNSIAGRIPPPLISGCRRLKNVVLHGNRLHGELPGKLLSSLRRLEVLDLGKNTLTGSIPPDIGNLVNLKQLVLEFNNLTGQIPSQIGKLGNLTMLSLSSNQLSGSIPESIGNLSALTAIAAFSNNLTGRIPPLERLSSLSYLGLASNNLGGTIPSWLGNLSSLTALDLQSNGFVGCIPESLGDLQFLEAISLADNKLRCGIPDSFGNLHELVELYLDNNELEGSLPISLFNLSSLEMLNIQDNKLTGVFPPDMGDRLPNLQQFLVSKNQFHGLIPPSLCNLSMIQVIQTVDNFLSGTIPQCLGRNQKMLSVVNFDGNQLEATTDADWGFMTSLTNCSNMILIDVSINKLQGVLPKAIGNMSTQLEYFGITNNNITGTIPESIGNLVNLDELDMENNLLMGSLPASLGNLKKLNRLSLSNNNFSGSIPVTLGNLTKLTILLLSTNALSGAILSTLSNCPLEMVDLSYNNLSGPIPKELFLISTISSFLYLAHNKLTGNLPSEVGNLKNLDELDLSDNTISGKIPTTIGECQSLQYLNLSGNFLEGTIPPSLKQLRGLLVLDLSQNNLSGTIPRFLGSMTGLSTLNLSSNDFEGEVPKDGIFLNATATSVMGNNDLCGGAPQLKLPKCSNQTKHGLSSKIIIIIIAGSTILFLILFTCFALRRRTKLRRANPKIPLSDEQHMRVSYAQLSKATNSFASENLIGVGSFGAVYQGRIGISDQQMVVAVKVLNLQQAGAYRSFDAECEALRCIRHRNLVKILTVCSGIDFQGSDFKALVFEFLPNGNLDQWLHKHLEEEGEPKVLNLVERLQIAINVASALEYLHQHKPCPIVHCDLKPSNILLDNDMVAHVGDFGLARFLHQEHSNSSDKSTGWNAIRGTIGYVAPEYGLGNEVSIHGDVYSYGILLLEMFTGKRPTNSEFGEVLTLHEYVETALPDQTTSVIDQSLLDATWNSEGTAQKYHDIEEIRTECIVSILKVGILCSKEIPTDRMQIGDALRELQAIRDRFDTHQL >ORUFI02G08700.1 pep chromosome:OR_W1943:2:6010185:6013939:1 gene:ORUFI02G08700 transcript:ORUFI02G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGFSFLLALLLLATAVPPAPMTRAQPATDHLALMAFKSQITRDPSSALASWGGNQSLHVCQWRGVTCGIQGRRRGRVVALDLSNLDLSGTIDPSISNLTYLRKLDLPVNHLTGNIPSELGRLLDLQHVNLSYNSLQGDIPASLSLCQQLENISLAFNHLSGGMPPAMGDLSKLRIVQWQNNMLDGKMPRTIGSLGSLEVLNLYNNSLAGSIPSEIGNLTSLVSLILSYNHLTGSVPSSLGNLQRIKNLQLRGNQLSGPVPTFLGNLSSLTILNLGTNRFQGEIVPLQGLTSLTALILQENNLHGGIPSWLGNLSSLVYLSLGGNILTGGIPESLAKLEKLSGLVLAENNLTGYNQFQGAIPTWMCNSSMLSSFAIEMNMISGTVPPCVDHLNSLSVLTIENNQLEANNNYGWEFLSSLTNSSQLKILDFSSNKFRGTLPNAVANLSTNLKALALSENMISGHIPEGIGNLVNLLYLFMSNNSFEGNIPSSLGTLRKLSHLDLGFNNLSGQIPPALGNLTLLNKLYLGQNSLSGPLPSSLRNCTLQKIDIQHNMLRGPIPREIFLISTLSDFMYFQSNMFIGSVPLEIGNLKNIADIDLSNNQISGEIPLSIGDCQSLQYFKLQGNFLQGPIPASVSRLKGLQVLDLSHNYFSGDIPQFLASMNGLASLNLSFNHFEGQVPNDGIFLNINETAIEGNKGLCGGKPDLNLPLCSTHSTKKRSLKLIVAISISSAILLLILLLALFAFWQRSKTQAKSDLSLINDSHLRVSYAELVNATNGFAPENLIGVGSFGSVYKGRMTIQEQEVTAAVKVLNLQQRGASQSFIAECEALRCVRHRNLVKILTVCSSIDFQGHDFKALVYEFLPNGNLDQWLHQHLEENGEDKVLNIIKRLDIAIDVVSALDYLHQHRPLPIIHCDLKPSNILLDGEMVAHVGDFGLARVLHQDHSDMLEKSSGWATNERKQLDMLLQYGLGNEVSILGDVYSYGILLLEMFTGKRPTGTEFREALSLHNYVKMALPDNVINIADQHLLSKNNDGGERNSDGKRTRDTRIACITSILQIGVSCSNESPADRMHIRDALKELQRTKDKFSMSLH >ORUFI02G08710.1 pep chromosome:OR_W1943:2:6013164:6014720:-1 gene:ORUFI02G08710 transcript:ORUFI02G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVSAARTPHAPPPRARQGGRLITPPRSPESGGHRPRRPFSTQRLNWSARWRSSTPRLRLLPARLHRRDSCKPKITNVGNHFTI >ORUFI02G08720.1 pep chromosome:OR_W1943:2:6044455:6045006:-1 gene:ORUFI02G08720 transcript:ORUFI02G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKHRTRAEDGLSSHLLLQHHPVAAGKSPRPPAEDGDADVVHLLTVEKDAARPNAAACGEEGNYSGIPWLTVLGFVFLSFNSGMALYRSRGDVAAVSFVVFSYVDLVLLFYCLRRYERAAAGSAQREWLKAAVWILTAALTVVFSYKVAAIMPLAVAVLVWVMAFVTVAGGFYALFLHSEK >ORUFI02G08730.1 pep chromosome:OR_W1943:2:6049861:6050166:-1 gene:ORUFI02G08730 transcript:ORUFI02G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLTKKGTCQRRKKTHRERKSGARRRRPQLHRLASPRRPVREHIAREGEGALSGRMWPPATSYDVAWIVEDAAVSDELRRGAWMTEDAAVVDEL >ORUFI02G08740.1 pep chromosome:OR_W1943:2:6053169:6053669:-1 gene:ORUFI02G08740 transcript:ORUFI02G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRQEKQQQSLAADAVEAPPLLIPEQHHHGRDADDADGRVRRRHGRFGESFPWLTVAAIAFLTFNTGMAIRRAGGEPWAIAFVVFSYCDLLLLFGFLRAFERADQNSPRRERIKVAVWLLTTMLTAAFSYKVAAVMPLAIAVLVWVMAFATVAGGYYAFFLASEK >ORUFI02G08750.1 pep chromosome:OR_W1943:2:6071941:6072237:1 gene:ORUFI02G08750 transcript:ORUFI02G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSHGRRPSGRRGGSESVGHGSGLPDGGSGPPAAGSREGRRRRGETAGDLELTAMAAWCLELATVVAHLGGRTRGGGGGRDGASARLGGRARGGGQM >ORUFI02G08760.1 pep chromosome:OR_W1943:2:6078120:6078741:-1 gene:ORUFI02G08760 transcript:ORUFI02G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRPNRAAAAARRRRWPRPLEHRSFVAPTRVPISFNLLSFHRSAKDTLACCCLLGTWPTPPPDHIQRIRGRM >ORUFI02G08770.1 pep chromosome:OR_W1943:2:6086293:6087454:-1 gene:ORUFI02G08770 transcript:ORUFI02G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPRARAAAASILAVCLLTVNSGFALYKARGDMLSTAFIALSYLDLALLLAWRLVRRRDQPQPSPPPGKAPAGQVLVVSVVCLAMLMLTLLLGSQLRLPVKVFTVVIFPGVVVMMFIIYSLGGEASKQPYLLCNHYQDATKQAYFLCNQLNRIFFGVF >ORUFI02G08780.1 pep chromosome:OR_W1943:2:6089256:6089723:1 gene:ORUFI02G08780 transcript:ORUFI02G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAGDIENQQQQQQRESPPAAGAAATSPPARFSPLAVVGFGFLTFNSATAIYRSGDDPIAICSVAFSYIALVLLFELFRRHDGGGGGGADSRRLLMRCVWLLTTLLTLMFSYKVAAIMPLAMAAVVCVMAVAAIAGLFWAFGCFEERRRRPVG >ORUFI02G08790.1 pep chromosome:OR_W1943:2:6091861:6092658:-1 gene:ORUFI02G08790 transcript:ORUFI02G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSLTSSPSTLLERRADSVPHGDCGASARRGLTTVSVTKPSYPTVTTPMSASTSPSTMPMSSSSSEFPSLVTAGGGDGGGSWCVASPTALQVPLHYACGHGTDCSAIQPGGSCFNPDTVHDHASYAFNSYYQKNPIATSCDFSGTAPDFERGERSGGRRKKDVARLAASMRRAGRGAEGEMDATVVLNRPIDQAAADVDCRLRVNSFPRPPPAPRRHPFQAAAPPPLSAAGVPG >ORUFI02G08800.1 pep chromosome:OR_W1943:2:6095277:6105193:1 gene:ORUFI02G08800 transcript:ORUFI02G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLYQAFLLSALAVELFQIVKRSRRRQGSRRRRRPPGPWRLPVIGSMHHLAGKLPHRVLRDLAAAHGPLMMLQLGETPLVVASSREMAREIVKLAVVNRGKKQAAAAAPTPPGPWRLPVIGSMHHLAGKLAHRALRDLAAVHGPLMMLQLGETPLVVVSSREVAREVLRTHDANFATRPRLLAGEVVLYGGADILFSPSGEYWRKLRQLCAAEVLGPKRVLSFRHIREQEMASRVEGIRAIGPSVPVDVSALFYDMAISIVSCASFGKKQRNADEYLLAIKTGISLASGFKIPDLFPTWRTVLAAVTGMRRALENVHRIVDSTLEEVIEERRGIARECKGRLGMEDNEENLVDVLIKLHEQGGHLSRNSIKSVIFDMFTAGTGTLASSLNWGMSELMRNPRVMAKLQGEIREAFHGKATVGEGDIQVSNLPYLRLFIKETLRLHPPVPLLVPRESIDMCEVNGYTIPARSRIVVNAWAIGRDPKYWDDPEEFKPERFEGNKADFAGTSYEYLPFGAGRRICPGITYALPVLEIALVQLLYHFNWSLPKGVTEVDMEEEPGLGARRMTPLLLCATPFVVPSHSSKLTLATMDELLYKALLLSVLAVALLQIIKAFLIIIRAKPAAAAPLPPGPWRLPVIGSMHHLAGKLPHRALRDLAAAHGPLMMLRLGETPLVVASSREMAREVLRTHDANFATRPRLLAGEVVLYGGADILFSPSGEYWRRLRQLCAAEVLGPKRVLSFRHIREQEMASQVEEIRAAGSSTPVDLTAMFSFLVISNVSRASFGSKHRNAKEFLSAVKTGVTLASGFKIPDLFPTWRKVLAAVTGMRRALEDIHRVVDSTLEEVLEERRDAREDKARCGMVGTEENLVDVLIGLHEQGGCLSRNSIKSVIFDMFTAGTGTLSSTLGWGMSELMRSPKVMSKLQGEIREVFYGKATVGEEDIQASRLPYLGLFIKETLRLHPPVPLLVPRESIDTCEIKGYMIPARSRIIVNAWAIGRDPRYWDDAEEFKPERFEKNMVDFTGSCYEYLPFGAGRRMCPGIAYGIPILEMALVQLLYHFDWSLPKGVVDVDMEESSGLGARRKTPLLLCATPFVVPVL >ORUFI02G08810.1 pep chromosome:OR_W1943:2:6107865:6111899:-1 gene:ORUFI02G08810 transcript:ORUFI02G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICIRRHPPRRLLLPDRSHLPHPVNHAYIAPSSTYIGLTSTRLISRIPLPSRLPRTAPHRRRRQDGEKTPPLFSSPSHKLLLEIEALMEVELEGGNALGARHRWRKQILTGGASPEPHLHGSSGREERTEAAVLTGANHDGRSLSPVSAAAVGEWRNLASNNLQGPIPANISSCTALNKFNVYGNELNGSIPAGFQKLESLDLLLLGLSGHFGLGNTNNFAIIDVAGAFIGWELVESMYTCSAQRCMSHDHVNFFTIDLGIV >ORUFI02G08810.2 pep chromosome:OR_W1943:2:6107557:6111899:-1 gene:ORUFI02G08810 transcript:ORUFI02G08810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICIRRHPPRRLLLPDRSHLPHPVNHAYIAPSSTYIGLTSTRLISRIPLPSRLPRTAPHRRRRQDGEKTPPLFSSPSHKLLLEIEALMEVELEGGNALGARHRWRKQILTGGASPEPHLHGSSGREERTEAAVLTGANHDGRSLSPVSAAAVGEWRNLASNNLQGPIPANISSCTALNKFNVYGNELNGSIPAGFQKLESLDLLLLGLSGHFGLGNTNNFAIIDVAGAFIVGG >ORUFI02G08820.1 pep chromosome:OR_W1943:2:6112234:6112692:-1 gene:ORUFI02G08820 transcript:ORUFI02G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQAPPPPPEAQEPLLPPPADHHPQADAADGGGGDGWATFVGYSLAALNSTLEIRRFERGESFAAGAAVFAYPHLLLLLYFYFLTRFQGAPPRGLPGIRDRLKAPLWPLAALLAVEFAYQLTGSARLTPRALEIAAAAAAIGATYAFLQR >ORUFI02G08830.1 pep chromosome:OR_W1943:2:6114246:6121110:1 gene:ORUFI02G08830 transcript:ORUFI02G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGAAQPPRQYKLAPQSELRVEVPPDAPVRVRLVAGTAEVFGTELPPEGWVPVPPRSKIAIFTWHGATVELDGVSESEYTSDETPMVVYVNTHAILDARRARARAAAAQGAPPEASQGPRVIIVGPSDSGKSTLCKMLLSWAAKQGWKPTYVDLDIGQGSITIPGCISATPIEKPIDIVDGIPLEMPLAYFYGHPSPSVSPDVYRALMKELAQTLDKQFSGNSESRAAGMIINTMGWVENLGLELLHNSIEIFKANEKLWKMLKDAAKNKPNIDVVKLHKSEGVVPRNPKYRQKTRSFRIKEYFYGIANDLAPHSNVVNFSDVSVYKIGTHQAPKSALPIGAEPVADPTRLVAVNISTDMVHTVLAVSYAKEPDEIVSSNVAGFIHVTDVDIQRKKLTYIAPCPGDLPSKLLIASSLTWYEA >ORUFI02G08840.1 pep chromosome:OR_W1943:2:6118924:6122832:-1 gene:ORUFI02G08840 transcript:ORUFI02G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHGDLDRQIAQLRECKHLAEGEVRALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGEAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >ORUFI02G08850.1 pep chromosome:OR_W1943:2:6126633:6132002:1 gene:ORUFI02G08850 transcript:ORUFI02G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSKNRGHVPAPRPPPGQPPRRRMASRDAAERAAFFARREAAAVLRRVLRGDASRRAAASIKSLVYSPSVRNKRATFALVCQTLKYLPILKEVLASTGVLTSKWKKQEELVYVTAYDILFGQETATSGSVEQLIMVHKDTIMAALEKMCLRRKVRSVEDLVSKKSTVKPKPRFLRVNTLRTSTNSVIEELNKIHKVDKDELVPDLLVLPPGTDLHNHHLVSDGKGKASCMVAVALCPEPGWKVIDACAAPGNKTVHLAALMNGEGSITACELNKERTKTLQNTIRRSGANNIETINGDFLDIDSNDPSYAEVRAILLDPSCSGSGISTERLDHLLPSHSRGNQDDASTSSRIRKLSAFQRKALSHALSFPSVERVVYSTCSIHQAENEDVVSSVLPLASSLGFELATPFPQWRRRGLPVFEGSEHLLRTDPEDGLEGFFISLFVRKAAADAVKPSNGGLGVSKQICKRRNGLMAFGSSRLSRMIMLCSTRGLSRR >ORUFI02G08850.2 pep chromosome:OR_W1943:2:6126633:6132002:1 gene:ORUFI02G08850 transcript:ORUFI02G08850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSKNRGHVPAPRPPPGQPPRRRMASRDAAERAAFFARREAAAVLRRVLRGDASRRAAASIKSLVYSPSVRNKRATFALVCQTLKYLPILKEVLASTGVLTSKWKKQEELVYVTAYDILFGQETATSGSVEQLIMVHKDTIMAALEKMCLRRKVRSVEDLVSKKSTVKPKPRFLRVNTLRTSTNSVIEELNKIHKVDKDELVPDLLVLPPGTDLHNHHLVSDGKGKASCMVAVALCPEPGWKVRAILLDPSCSGSGISTERLDHLLPSHSRGNQDDASTSSRIRKLSAFQRKALSHALSFPSVERVVYSTCSIHQAENEDVVSSVLPLASSLGFELATPFPQWRRRGLPVFEGSEHLLRTDPEDGLEGFFISLFVRKAAADAVKPSNGGLGVSKQICKRRNGLMAFGSSRLSRMIMLCSTRGLSRR >ORUFI02G08860.1 pep chromosome:OR_W1943:2:6132061:6132585:-1 gene:ORUFI02G08860 transcript:ORUFI02G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSVVGGEPLLAAATQQLLDLDLPDELERQLNPPTRAYVRDRRAMANTPMDVKELRASGALVLAVDMPGVAPADVRVEVEDGNVLAISGERRRPAGDDGEGVKYLRMERRMGKFMRKFPLPESADIDGVRAEYKDGVLTVTVNKKPPPEPKKPRVVEVKVAVAGAGEPKAKGK >ORUFI02G08870.1 pep chromosome:OR_W1943:2:6136426:6143963:1 gene:ORUFI02G08870 transcript:ORUFI02G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEQSAEQFRGQARLPGFAAPRRYDLRLAPDLDGCAFAGSVDVSVDVTAPTRFLVLNAAELEVAPGGVQFKPLGAEQELLPAEVTNVPEDEILIIRFNEVLPVGEGTLVIAFKGTLNDKMHGFYRSVYELNGEKKNMAVTQFEPADARRCFPCWDEPSFKAIFKITLEVPSETVALSNMPVVEEKVNGPIKAVYFQETPIMSTYLVAVIVGMFDYVEAFTTDGTRVRVYTQVGKSAQGKFALEVAVKTLVLFKEYFAVPYPLPKMDMIAIPDFASGAMENYGLVTYRETALLFDEKHSAAANKQRVAVVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADNFFPEWNVWTQFLEESTTGFKLDALAGSHPIEVDVNHVDEIDEIFDAISYRKGAAVIRMLQSYLGAETFQKSLAAYIEKFAYSNAKTEDLWAALEEGSGEPVKTLMHSWTKQQGYPVVNVKLKDGKLEMEQTQFLSSGAEGVGQWVVPITLCCCSYSRQEKFLFNGKQEDFNLSGLVECQKKDDFWIKLNVNQTGFYRVSYDEELASRLRYAIEANKLSAADRYGVLDDTYALCMAGKQKLVSLLHLIAAYKDETEYTVLAHVIDTSLSIVEMVAVAAPEGLGKLKKFLIDFLEPFAQRIGWDAKSGEGHLDALLRGTLLTALAELGHEATINEAVRRFNVFVEDRETPLLPPDVRKAAYVALMQTVNKSNRAGYESLLKIYKETDLSQEKVRILGSLASCPDPDVVRDTLDFMLSPEVRNQDSIFLLRGVGAAGHEVAWTWLKEKWDYISDTFSGTLLTYFVSTTVSPLRTDEMGDDAEEFFKSRTKANIARTVRQSIERVRINAKWVESTRAEANLGNVLKEISHDH >ORUFI02G08880.1 pep chromosome:OR_W1943:2:6138349:6147325:-1 gene:ORUFI02G08880 transcript:ORUFI02G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAAAMHSILYITKIKTETNKCRSKISRRAQGGGCGFVESPSACTSTRGERRINQQRAARRRPACLVSRGRCRALGPCSSRTTLQAPAAMPATRTAPRAASVREGGRRRRVLCAVAASPPPLSAPSPPARDSMKSSVSRTTSGSGQDAREPTQGGSELIKGPRSQLQTDNVTYMLF >ORUFI02G08890.1 pep chromosome:OR_W1943:2:6145171:6147352:1 gene:ORUFI02G08890 transcript:ORUFI02G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFYPKYRDGGRGEASNERAATGRREEEATPRRRRGLSGAARPPARSQLVAPSWWQAWPPAPVKLCGRSKGQEHGTYPSTPDTLASFSLPSAG >ORUFI02G08890.2 pep chromosome:OR_W1943:2:6145149:6147352:1 gene:ORUFI02G08890 transcript:ORUFI02G08890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFYPKYRDGGRGEASNERAATGRREEEATPRRRRGLSGAARPPARSQLVAPSWWQAWPPAPVKLCGRSKGQEHGTYPSTPDTLASFSLPSAGAAAMEDGGSRDS >ORUFI02G08890.3 pep chromosome:OR_W1943:2:6145171:6147289:1 gene:ORUFI02G08890 transcript:ORUFI02G08890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFYPKYRDGGRGEASNERAATGRREEEATPRRRRGLSGAARPPARSQLVAPSWWQAWPPAPVKLCGRSKGQEHGTYPSTPDTLASFSLPSAG >ORUFI02G08900.1 pep chromosome:OR_W1943:2:6147353:6151368:-1 gene:ORUFI02G08900 transcript:ORUFI02G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSAFSSSASSHGGGDSEARDSSTVVAVDRDKNSQQAAKWAVDRLLARGSVLQLVHVKPQQNAEAGADAEMQQMFISYRGYCARKGMQLKEVILDGSDISKAIVEYATSNAITDIVVGASTRNTFIRKFRNPDVPTCLMKMVPDYCTVHVIHKGKAIQVKAAKAPAPFTTLPPKQYSQSSIESDGYPRSRGDWKKISNQSSPKANRPSVDRLSGFAKAPTRERPLSGARSAPPKDFDDYIDFIAPPRPSVTRSSFSDDVDFPLSLELPSMDFGDSLELSLSASMSMESLSSAGKDVETEMRRLRLELKQTMEMYNSACKEAIDAKQKAAQMHQMKMEESKKYQELRNAEEEALALVQMEKAKCRAALEAAEAAQRIAELEAQKRLRAEWKAKHEAEERKRATEAMNNTDLRYRRYSIDDIEAATHKFDKALKIGEGGYGPVYKAVMDHTNVAIKILRPDASQGRKQFQQEIEVLSCMRHPNMVLLLGACPEYGCLVYEYMDYGSLEDRLCRRGKTLPIPWNIRFRIAADIATGLLFLHQAKPEPLVHRDLKPANILLDHNFVSKISDVGLARLVPQSAAAAEATQYRMTSTAGTFCYIDPEYQQTGMLTTMSDIYSLGILLLQIITARSPMGLTHHVESAIERGTFQEVLDPMVTDWPVEEALVFAKLALRCAELRKKDRPDLGKEILPELNRLRCLSQEYDASKVSSTSTTCSSSAPYSFGGDDVSTP >ORUFI02G08910.1 pep chromosome:OR_W1943:2:6151657:6166235:-1 gene:ORUFI02G08910 transcript:ORUFI02G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTANFRAEAKQQNQVGMLKLAENVNLHEAIHSSPRRCTTDFIKPNQHLAAVKSWTRSSQALSYITKDRSGNIWDTHCPEKWTEPTGDEGGRKKGTHLLNHPKEAEPITRLRTPEFAAYRTSASATPSPSSTTVSTCAGERTPRRDPDQITGNVQRWGFFPFWGGLGELTVAFAAAKMRRKGRSASCARAWRSRAYASAASRATRAAGTCSPPSPPPHTTPRAKAKKPRLDEEEARRARALTWGGDEPERGDDVDDGNGGCGGAAVSVSSGDEVGGGPPRGGALPPGRTATATAAGDGGRGSPEAMGIEAEAEAAIARWFKATREIRWLRAGVSGVGEELAQLTSITMGFGGRGWARSDAHKDMQK >ORUFI02G08920.1 pep chromosome:OR_W1943:2:6153832:6160985:1 gene:ORUFI02G08920 transcript:ORUFI02G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEPRPPSPAAVAVAVRPGGSAPPRGGPPPTSSPLETETAAPPQPPFPSSTSSPRSGGEQVPAARVAREAAEAYARDRHARAQEALRPFRRIFAAANATVETVVLEGDGVAEALVRYAANSGVRSLVIGSASLGWFRRAMSVPDVATAVLKTTEISCNVFVVSKRRLTLKVTRHPQMSRSGTGLRIQSVSQKAFAQSYRSLLFDNFPENGIHPDSCLGAKTTGIEGYKNHGFRSPFKEAHYADSNSSEECQSIDEVAKLRKELQDTLVMYDKACEDLVHAKKKIQVLASECSEEARKVEHALQKEEALKQVVADEKAKHLEAIEEVEQAKKSFTREAYSRNKAEMVASMISLDKAKVVDAILSTSKNCRRYKKHEIELATDNFSEARKIGEGGYGNVYRCTIDHTEVAVKVIQQDSIDKTDEFLREVDILSQLQHPNLVLLLGFCPEIGCLVYEYLQNGSLEDQLLNNKGRQPLHWFLRFQIIFDVSCGLAFLHGRKPEPIVHRDLKPANILLNKNYVGKIGDAGFAKLISDLVPDWQTEYKETIIAGTLYYMDPEYQQTGTVRPKSDLFGLGVIILQMLTGKRPNGLIVSVENAIRNGMLADILDKSQTDWPLVEAEMLAKLGLRCTALKCRERPGLESEVLPKLQEILHRITSTVNLRSPKLNVPSHFICPIMQEVMNDPYVAADGHTYEQQAIKAWLKKHKVSPVTRRILPNLSVIPNHSLRAAIQQWKSQSAHAKS >ORUFI02G08920.2 pep chromosome:OR_W1943:2:6153832:6160985:1 gene:ORUFI02G08920 transcript:ORUFI02G08920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEPRPPSPAAVAVAVRPGGSAPPRGGPPPTSSPLETETAAPPQPPFPSSTSSPRSGGEQVPAARVAREAAEAYARDRHARAQEALRPFRRIFAAANATVETVVLEGDGVAEALVRYAANSGVRSLVIGSASLGWFRRAMSVPDVATAVLKTTEISCNVFVVSKRRLTLKVTRHPQMSRSGTGLRIQSVSQKAFAQSYRSLLFDNFPENGIHPDSWCQSRVSHCGPTNARQSSESHGQILFNSLGAKTTGIEGYKNHGFRSPFKEAHYADSNSSEECQSIDEVAKLRKELQDTLVMYDKACEDLVHAKKKIQVLASECSEEARKVEHALQKEEALKQVVADEKAKHLEAIEEVEQAKKSFTREAYSRNKAEMVASMISLDKAKVVDAILSTSKNCRRYKKHEIELATDNFSEARKIGEGGYGNVYRCTIDHTEVAVKVIQQDSIDKTDEFLREVDILSQLQHPNLVLLLGFCPEIGCLVYEYLQNGSLEDQLLNNKGRQPLHWFLRFQIIFDVSCGLAFLHGRKPEPIVHRDLKPANILLNKNYVGKIGDAGFAKLISDLVPDWQTEYKETIIAGTLYYMDPEYQQTGTVRPKSDLFGLGVIILQMLTGKRPNGLIVSVENAIRNGMLADILDKSQTDWPLVEAEMLAKLGLRCTALKCRERPGLESEVLPKLQEILHRITSTVNLRSPKLNVPSHFICPIMQEVMNDPYVAADGHTYEQQAIKAWLKKHKVSPVTRRILPNLSVIPNHSLRAAIQQWKSQSAHAKS >ORUFI02G08930.1 pep chromosome:OR_W1943:2:6166257:6167738:1 gene:ORUFI02G08930 transcript:ORUFI02G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPVNSGDAAAAATGEKSKLSPSGLPIREIPGGYGVPFFSPLRDRLDYFYFQGAEEYFRSRVARHGGATVLRVNMPPGPFISGDPRVVALLDARSFRVLLDDSMVDKADTLDGTFMPSLALFGGHRPLAFLDAADPRHAKIKRVVMSLAAARMHHVAPAFRAAFAAMFDAVEAGLGAAVEFNKLNMRYMLDFTCAALFGGEPPSKVVGDGAVTKAMAWLAFQLHPIASKVVRPWPLEELLLHTFSLPPFLVRRGYATLKAYFADAAAAVLDDAEKSHPGVPRDELLDNLVFVAIFNAFGGFKIFLPHIVKWLARAGPELHAKLATEVRAAADDGITLAAVERMPLVKSVVWEALRMNPPVEFQYGHARRDMVVESHDAAYEVRKGEMLFGYQPLATRDAKVFDRAGEFVADRFVAAGDRPLLEHVVWSNGPETRTPSEGNKQCPGKDMVVAVGRLMVAEMFRRYDTFAADVVEAPVEPVVTFTSLTRAASG >ORUFI02G08940.1 pep chromosome:OR_W1943:2:6168763:6173459:-1 gene:ORUFI02G08940 transcript:ORUFI02G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGGSGGQALQSLLRHLSGVVGRHGANQPAGHRSGAAEHGIYHRQRRREGGRRGRSSSFRGQRIWLADQAAAATGGGRFVGHSTDWVDLVVDPSAATPVGVDPVVMAALLPLPHFVSLIATMTRWRRCPRPRPVYCDDDWRRTSHSELKLVVRVGSFFSLPDEGQKTYMEGKTLPTQLVDMHNYGLLELVNFIAEHFIWGSKQYMTLWCSMDGDSVEITSDEQLLDWFQLNLEKGVVCIDAQIIDFDGPLQFSPTKRSASASGTTRGRGRGRGRGRGGAGAALAPDGGGGGGAGGGGGRGRVGVGAGAAPGGGGGGGVASAGDGGRGRGGRGGRLAQWFGPHM >ORUFI02G08950.1 pep chromosome:OR_W1943:2:6178492:6180036:1 gene:ORUFI02G08950 transcript:ORUFI02G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRANSGDGNDGAVGGQSKLSPSGLPIREIPGGYGVPFLSPLRDRLDYYYFQGADEFFRSRVARHGGATVLRVNMPPGPFLAGDPRVVALLDARSFRVLLDDSMVDKADTLDGTFMPSLALFGGHRPLAFLDAADPRHAKIKRVVMSLAAARMHHVAPAFRAAFAAMFDAVDAGLVAGGSVEFNKLNMRYMLDFTCAALFGGAPPSKAMGDAAVTKAVKWLIFQLHPLASKVVKPWPLEDLLLHTFRLPPFLVRREYGEITAYFAAAAAAVLDDAEKNHPGIPRDELLHNLVFVAIFNAYGGFKIFLPHIVKWLARAGPELHAKLASEVRSAAPAAGGEITISAVEKEMPLVKSVVWEALRMNPPVEFQYGRARRNMVVESHDAAYEVRKGEMLFGYQPLATRDEKVFDRAGEFVPDRFVSGAGGAARPLLEHVVWSNGPETGTPSEGNKQCPGKDMVVAVGRLMVAEMFRRYDTFAADVEELPLEPVVSFTSLTRAAAPAAAGEGAARRGV >ORUFI02G08960.1 pep chromosome:OR_W1943:2:6187891:6192025:-1 gene:ORUFI02G08960 transcript:ORUFI02G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRADARGGGGGGGGKFMSRMVTGQKPIHVRPPRPEKERVDMVPRDVVERCIVALFEKRGSTREAAMEDLVGALEGHVSAREVSCKYTTIVSRCVFSLKKGSVREARLAYRAIGILALTLGGGGDDTAGAKDVLAEAFPFLAKTVEASHDMAKVLAAIDGLAVATFAGEDGDDEIERSMDAIWGGVIDPSSAGPGGSRLAAGDARKTTPEALAAAVSAWAFLLTVVHDRYEAEEGESCKDKIALLAKLLDDHDDRGVRVAAGEAIAACVELKLAHDTPPEDMEALNATVSYLATEPSGKGAGDKRRHAGQKDIFRQIEIFLDDGEAPTKSVRTSSSRQSVLKVTTWTKLLQLNFLTRYLGNGFHSHLQHNPLFGETFEVDGDEVEGLPAARKMMSRKQREKKRTLERRRCREAVWKEKNKFGLPEEEPERGTTALMLLPALACCHHMLPPVHRQPHLLPPTAPATASHMLPPVQQPILLLDACGGGMSIYYQKINQRVAVLEKAAVSVHESRASTREAALASLVGALEGFVPAHFIGWHHRGEIVRGCCASIKKGAAKEARLALRAVALLAVTLGPGSKRRILPAETYNPLEPGPGSKKIMAETFPLVSRILEVSTDASLVIAALESLAVVAFVDVAAENMDDTEACMKALWGLIRPSTGPKLGGAARKTSPHVLAAAVSAWTLVLTTTDGWKKKKAASSSPTAGRW >ORUFI02G08960.2 pep chromosome:OR_W1943:2:6183723:6187891:-1 gene:ORUFI02G08960 transcript:ORUFI02G08960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAPLIGQVFVVKEDDMAMDQKKKVDKQRTLNKERQIASDLKHGSKSTGRSSGHASTSYDASAGGGAVAVSAYEQRIRRNAAVLEKVAVSVHDSLASTREAALAELIAALEGFLSADDAEGGHTDEILRGCYVSIKKRAAREACVALRAVALLAVTLGPGATSKKIAAVETAANPLGSGANKIMKATYPLASRILEAPQADASLVVAALECLAVVAFVDVAAENMDDTEACMNALWAVICPSAGPKLASAAKGTASPRVLAAAVSAWTLVLTTTGGGNKKKKVSPAAPWRGAAAHAHLVSLLGSDSREVRMAAGEALAVAIEMKLFTEKNNGALFSKMEARASDLANDAAGAGVDKTNFVEQKELFKDITTFLAGGKPPVISVRTSSSNYGLLTTSTWTDNVRLNFLRRFLAGGFLHHLQGKGLMGQVFVIDDDEVTGKLSAARSKRSLMKDTRIVKELNGGRGGGGGAMDEKKEKKQEMIKNSLEKKRTVKKERLKAYELKHGSSDL >ORUFI02G08970.1 pep chromosome:OR_W1943:2:6195510:6199758:-1 gene:ORUFI02G08970 transcript:ORUFI02G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPTPAAAAGRRVAVLAAALVAASLAASVGVANAAVSYDRRSLVINGRRRILLSGSIHYPRSTPEMWPGLIQKAKDGGLDVIQTYVFWNGHEPVQGQYYFSDRYDLVRFVKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGVSFRTDNGPFKAEMQKFVEKIVSMMKSEGLFEWQGGPIIMSQVENEFGPMESVGGSGAKPYANWAAKMAVGTNTGVPWVMCKQDDAPDPVINTCNGFYCDYFSPNKNYKPSMWTEAWTGWFTSFGGGVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEFGLLRQPKWGHLRDLHRAIKQAEPVLVSADPTIESIGSYEKAYVFKAKNGACAAFLSNYHMNTAVKVRFNGQQYNLPAWSISILPDCKTAVFNTATVKEPTLMPKMNPVVRFAWQSYSEDTNSLSDSAFTKDGLVEQLSMTWDKSDYLWYTTYVNIGTNDLRSGQSPQLTVYSAGHSMQVFVNGKSYGSVYGGYDNPKLTYNGRVKMWQGSNKISILSSAVGLPNVGNHFENWNVGVLGPVTLSSLNGGTKDLSHQKWTYQVGLKGETLGLHTITGSSAVEWGGPGGYQPLTWHKAFFNAPAGNDPVALDMGSMGKGQLWVNGHHVGRYWSYKASGGCGGCSYAGTYHEDKCRSNCGDLSQRWYHVPRSWLKPGGNLLVVLEEYGGDLAGVSLATRTT >ORUFI02G08980.1 pep chromosome:OR_W1943:2:6208220:6211900:-1 gene:ORUFI02G08980 transcript:ORUFI02G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRGGIPGPSRALLVLLLPALLMLLAFSTSSSAAASSPGAMHNNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNPRNNYPAQVFNNENHQLNLYGDNVEVDYRGYEVTVENFLRVLTGRHESAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFSQLQSPGVLTIGSSMKGENSYSHHLDSDIGVSVVDRFTFYTLAFFEKLNMYSNASLNSLFNSYNPSMLLSTAYYRMDLYERPLNEVPVTNFFGSVMNTIHTDSAYSGFLAADDIGTIPSTGDNLLNHAVLQNKASQRRSNIEEVKEAQVRSHGWTDVLLEQLDVKNADTIVMYGLGTMGILLAISTWLSM >ORUFI02G08990.1 pep chromosome:OR_W1943:2:6215283:6220563:1 gene:ORUFI02G08990 transcript:ORUFI02G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPVPATFPPKDPNLLLLLCQTHPHTRARHDTTRARGSSSSRASSSSSCVGRHGGEQQHHGVRDADGADLRRPGDRLGRVVRVGAGGGVRPPGAVAGGHPGGPHPPCRPRRLRRRLLEPPPPPRVLPLRHGVAHRAAHRAPRLRLRRHPRLRRLPGARPRLRRVPPRWLLHVAPGLRLRRPGAVGADQGVPRRLRHLQEAGAPGRVPHRRPVLPVAPLATPVRVLQAAGGVRVQLREPDGVGGAGGAAGGGRGLRGVGERPVAAVLRVRIVPRGPPRGAPRPVAPRQRRPRRRHRRPRLPLPRRLQRLQERPGRGPLPPLQVVAAAADFPPL >ORUFI02G09000.1 pep chromosome:OR_W1943:2:6218351:6221153:-1 gene:ORUFI02G09000 transcript:ORUFI02G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGEGSTAAAAAAEEEKVKVAAPFRLAELGLRVCAVPLAVASVWEMATNKQVDETYGEVRFSDLSGFRYLVWINAITAAYSVASILLSSCRFITRFDWLIFLLDQASAYLLLTSASAAAEVVYLAREGDREVSWGEVCSYFGRFCGAATVSVALNAAALLCFMALSLISAFRVFTKFNPPSQSNSKQQLSQEQGKPGLKSQDFRQSDGIQEKRQLQDDDPDKT >ORUFI02G09010.1 pep chromosome:OR_W1943:2:6225755:6233884:1 gene:ORUFI02G09010 transcript:ORUFI02G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCSIAFMVMASCLSVVVSGGLPGDLFAHSVASKLRVDRDTTARASSDFGRIVAAAPEAVLHPATPAEIAELVRFSASSPSPFPVAPRGQGHSARGQSLAPGGVVVDMRALAARRGRVNVSAGGAGAAPYVDAGGEQLWADVLRATLEHGLAPRVWTDYLRITVAGTLSNAGIGGQAFRHGPQIANVLELDVITGRGDMVTCSRDKEPDLFFAVLGGLGQFGIITRARIGLEPAPKRVRWVRLAYSDVVTFTRDQELLISKRASEAGFDYVEGQVQLNRTLTEGPKSTPFFSRFDIDRLAGLASESVSGVIYFIEGAMYYNESTTASVDQKLTSVLEQLSFDKGFVFTKDVSYVQFLDRVREEERILRSIGMWDVPHPWLNLFVPQSRILDFDTGVLKGVFVGANPVGVILMYPMNRNMWDDRMTAVSGNDDMFYVVGLLRSAVVPGDVERLERENEAVLAFCDNEGIGCKQYLPHYASQDGWRSHFGAKWSRVTELKVKYDPYGILSPGQRIFSSLTPMALVAMCSIAFMIMASCLSVVVSGGLPGDLFALSVASKLRVDRNSTARASSDFGRIVAAAPEAVLHPATPAEIAELVRFSASSPSPFPVAPRGQGHSARGQSLAPGGVVVDMRALASRRGRVNVSAGAAPYVDAGGEQLWADVLRATLEHGLAPRVWTDYLRITVAGTLSNAGIGGQAFRHGPQIANVLELDVITGTGDMVTCSRDKDSDLFFAVLGGLGQFGIITRARIGLMPAPKRVRWVRLAYSDVATFTKDQELLISKRASEAGFDYVEGQVQLNRTLTEGPKSTPFFSSSDIGRLAGLASKSVSGVIYVIEGAMYYNESTSTTMDQKLESILGQLSFEEGFVFTKDVRYVQFLDRVREEERVLRSIGMWDVPHPWLNLFVPRSRILDFDAGVFKGVFAGANPVGVILMYPMNTNMWDDRMMAVASDDDVFYAVGLLRSAAVIGDVERLEKENEAVLAFCHNEDIGCKQYLPYYTSQDGWQRHFGAKWSRVADLKAKYDPHRILSPGQRIFSSPASMVVVSM >ORUFI02G09020.1 pep chromosome:OR_W1943:2:6244156:6245558:-1 gene:ORUFI02G09020 transcript:ORUFI02G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYMSQLPATLPLMEGDQDQGLYPAFHRAKDPPILFPFMIDSAVEHQGQIYGDQGLRRQQVLGESNQQFNDHMMMGGSDVFLTPSPFRPTIQSIGSDMIQRSSYDPYDIESNNKQHANGSTSKWMSTPPMKMRIIRKGAATDPEGGAVRKPRRRAQAHQDESQQQLQQALGVVRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMAAAANGGAAVAPAKSVAAAPVNNKPAAKKEKRAADVDRSLPFKKRCKMVDHVAAAVAATKPTAAGEVVAAAPKDQDHVIVVGGENAAATSMPAQNPISKAAATAAAAAASPAFFHGLPRDEITDAAMLLMTLSCGLVHS >ORUFI02G09030.1 pep chromosome:OR_W1943:2:6255394:6267719:1 gene:ORUFI02G09030 transcript:ORUFI02G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVTAQQARLKRPRRMASATEMPSLICADHSRGNPRRDREAGAAASISRRRRIPRRLLLLPLGFRLASPAARLASSTVLHAGSGNKNAFKALIAAEYSGVKVELVKNFQMGVSNKTPEFLKMNPIGKIPVLETPDGPVFESNAIARYVTRSKADNPLYGSSLIEYAHIEQWNDFSATEVDANIGKWLYPRLGIAPYVAVRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYMGFARIMTESFTSEFPHVERYFWTMVNQPNFKKVLGDVKQAESVPPVQKKAPPPKEQKPKEAKKEAPKPKAVEKPEEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSEPPFKVKGLWLFRGPEIPKFVMDEVYDMELYEWTKVDISDEAQKERVSAMIEDLEPFEGESLLDAKCFKSEIE >ORUFI02G09030.2 pep chromosome:OR_W1943:2:6255394:6267719:1 gene:ORUFI02G09030 transcript:ORUFI02G09030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVTAQQARLKRPRRMASATEMPSLICADHSRGNPRRDREAGAAASISRRRRIPRRLLLLPLGFRLASPAARLASSTVLHAGSGNKNAFKALIAAEYSGVKVELVKNFQMGVSNKTPEFLKMNPIGKIPVLETPDGPVFESNAIARYVTRSKADNPLYGSSLIEYAHIEQWNDFSATEVDANIGKWLYPRLGIAPYVAVSEEAAIAALKRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYMGFARIMTKNFTSEFPHVERYFWTMVNQPNFKKVMGDVKQADSVPQVQKKAAAPKEQKPKEAKKEAPKEAPKPKAAEKPEEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSEPPFKVKGLWLFRGPEIPKFVMDEVYDMELYEWTKVDISDEAQKERVSAMIEDLEPFEGEALLDAKCFK >ORUFI02G09030.3 pep chromosome:OR_W1943:2:6255394:6267719:1 gene:ORUFI02G09030 transcript:ORUFI02G09030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVTAQQARLKRPRRMASATEMPSLICADHSRGNPRRDREAGAAASISRRRRIPRRLLLLPLGFRLASPAARLASSTVLHAGSGNKNAFKALIAAEYSGVKVELVKNFQMGVSNKTPEFLKMNPIGKIPVLETPDGPVFESNAIARYVTRSKADNPLYGSSLIEYAHIEQWNDFSATEVDANIGKWLYPRLGIAPYVAVRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYMGFARIMTESFTSEFPHVERYFWTMVNQPNFKKVLGDVKQAESVPPVQKKAPPPKEQKPKEAKKEAPKPKAVEKPEEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSEPPFKVKGLWLFRGPEIPKFVMDEVYDMELYEWTKVDISDEAQKERVSAMIEDLEPFEGEALLDAKCFK >ORUFI02G09030.4 pep chromosome:OR_W1943:2:6260591:6267719:1 gene:ORUFI02G09030 transcript:ORUFI02G09030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHTFDGNKNAFKALIAAEYSGVKVELAKNFQMGVSNKTPEYLKMNPIGKVPILETLDGPVFESNAIARYVTRSKSDNPLYGSSLIEYAHIEQWIDFSATEVDANTGKWLFPRLGFAPYVAVSEEAAIAALKRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYMGFARIMTKNFTSEFPHVERYFWTMVNQPNFKKVMGDVKQADSVPQVQKKAAAPKEQKPKEAKKEAPKEAPKPKAAEKPEEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSEPPFKVKGLWLFRGPEIPKFVMDEVYDMELYEWTKVDISDEAQKERVSAMIEDLEPFEGEALLDAKCFK >ORUFI02G09040.1 pep chromosome:OR_W1943:2:6265580:6271867:-1 gene:ORUFI02G09040 transcript:ORUFI02G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLLRKLHLSGGGGSGGGAAAAGAPSGEHHHRPRQHRRSSAQPPPLPPPPVVAAAAAAEAAPVMAPVAAPVAAAAAEPRGMGADATMTRLEEEYQVRLALAISASDHAGLVDADSVQIRAAERISLGGAAGDRGPMEALSARYWNHCVVNYDERLSDGFYDVCGAPMHPHFQAKFPSLTTLRAVPVGGDAAYVAVLVNRERDPALKRLEGRALAIAAQDRAEHGGVASPELVQKIANLVVDAMGGPVDDADEMNREWGVKSRALCLQRNSIVLPLGLLRIGLSRHRSLLFKVLADRVNLPCKLVKGIYYTGTDEGAINLVKIDFDSVEYIVDLMGAPGTLIPSDISGSQFQDSNNSQLSNDAIEESVAELCIALEQISAGCKNTSDMGGSSSEQKSALALASSQLEDIFHTENPLKQSTISDGGEIPHLMKVNDAPMYLVPTEVDPQFAQNLQDLLLEGTALLPTYEKPEICKHTASEDDKTAGWLVIAKTGQNLPNGHVAEDSPLQHGNTKTLAVVNCFHEDAQHDVGNTEAIGRNLDLHDHTAHAIANEDQRFSEDSLVKMPGSSNGNLDKSSCSSTKTISSVIDDVADYEIPWEDLHIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVALDQFKCEVGIMSRLRHPNVVLFLGYVTQPPNLSILTEYLPRGSLYRLLHRPNSQIDETRRLKMALDVAKGMNYLHASHPTIVHRDLKSPNLLVDKNWVVKVSDFGMSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATMRVPWSGLNPMQVVGAVGFQNRRLEIPKEIDPLVATIISSCWENDPSKRPSFSQLLSPLKQLQRLVVPENC >ORUFI02G09050.1 pep chromosome:OR_W1943:2:6279022:6285423:1 gene:ORUFI02G09050 transcript:ORUFI02G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAAALSCHTPSQRAAAQHSRARPFGSLSNGFISSDRMNRNRRGAGRCRPAMKIPVPEIEEMYLKEHLGVDLLPDEALDKLFAERNMTSIGIWMEMN >ORUFI02G09060.1 pep chromosome:OR_W1943:2:6283862:6288747:-1 gene:ORUFI02G09060 transcript:ORUFI02G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFVLGQESRQRILHRAAARLPGCAYVCAWAPLPLVAAAGLHHQRPSSGGAAGAARLLYCVDGWLSGGEDGGGCVRALFDAYRGSVCGAVTGCVPGWAYVGGGGGVFMELSELELVASASLPVQQSFYQEAGIKMAAFMGCESGEIEVGFSTAPAENYGGGGGGGSLQASVEQVFSEDFFQQSLLEELLQLPPTRPSSSSSSLVGSPADGAASTSLLRTMTPMMASSSATPSPRELAAQVATTTTTTTTPSSSSRLHPRPPAPHHVHVSPFSRHGGVGGSGVLHFPSAEADDAAMAQAMLDVISSPSTSSSAAALHAPWSSVKHRAQIIRSPRRGTPTTTAFRAYNAALAPRAAASRRPPGAPGQRMIKMGFSILRRMHMVRCSQERAAAAAAAASAAAAQRSGGGDDEDATAAPPPPTSSQLHHMISERRRRERLNESFEHLRGLLPPGSKKDKATVLAKTLEYMNLLIAQISELEAKNRALQTQIHQRANGSSSSRSSMIRTVNEVHHHHNHQWLAVAAAAGGSPERVQVHVIGGGDHDGGASASSSSSAPEVTVRVAVRAPERGGADVSELVLRVLALLKAMGGFTVVAVDARQPGGGGGNGVAQASLTLRATAGEFDEASLKEAIAKAVESLVAPPPPP >ORUFI02G09070.1 pep chromosome:OR_W1943:2:6306483:6307217:1 gene:ORUFI02G09070 transcript:ORUFI02G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQGHNMVSECVEKEQVSAQAIGKTSINDKEVNFTVARWKEDNEDNIELIIEWFLVYGVPRIYRNWKELYQIVSAIGVLIDVDEESLLGDRKEPFSCHFMFGWYSRCVTFMIEDEAQTTKCKRKVLEDCNGQDHLDEFGKEYRVKSDKAIEIPPEILNKEMISESSSNDTSIVVSTHNHTLDVKGWSDKEFEKRIICFSGRG >ORUFI02G09080.1 pep chromosome:OR_W1943:2:6307769:6317186:1 gene:ORUFI02G09080 transcript:ORUFI02G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEHNMVTKGTERLVAGAQLFGHSTRQPFYMIQPSEEVVQKEKFYYHCLLITSDVSNLDLRKVKVEVSKFWKLTDWEIRTEGRHNFLVSLNSEDDLVSCLKIPRIETSIDDKEVNFTVARWKEDDDENIELIREWILVYGVPRIYRNWKELYQIASAVGVLIDVDEESLEGDHKEPIKLKVALRGVDASPFSCHFVFGWYSRCVTFTIEDKAQTTECEREVLEDCNGEDHPDEFGKEYNNKTDKLIEMPPEILNKGMNLESSSHDTSIVVSTHNHTLDVKGCSDKELEAIESAVLVEEPSSIEDNNISAPVPTTTIISEKTAEDSPRAGREQSISGSSTSMIGDTLFKATVIHSKKTTEDIPKAEGGQSTSGNSTSIIGEEPFRGIQKPPVKIVYARRNKRSMEKQDYKSFLEKQHQKEMEAAIVGQRQKSDDESGGDGNNKDSNSIAPSAIAINSKKKQTTKDIVTTQGAQFISESLIGETQTKGTGGARFNANQHISKMSKQEHNEIFKSFNEMGLQESLLKGIYAYDLDKPSAVHQRGIVPLCNGLDIIQQSLFGTTVTLCCGILQRLDYASTECQALVLVPTRDLAHETQNVIGVLGQFLSAKAHAFCGGTSAHEDQQILSTGVQVAVGTPCHVLGMLQGRALCPDHIRMFVLDEADEVLRGFKDQIHGIIQFLPTKTQFGFFSASMSHEALEMCRKYMNKPVEIIVPRDEELEGINVKQFYVNVEKEDCKLDKLCGLFDTMEITRSIIFVNTRHHAKSLTEKIRGKGYTVSAIHGGIHQRARDKAVQEFQSGSSRILITTDLRGIDVLRAPAAIFYDLPTQPVCYLRHVQSGQHGRKGVAISFITSTDERVFSTIQKFCNTQIEELPSNVADLL >ORUFI02G09080.2 pep chromosome:OR_W1943:2:6312799:6317186:1 gene:ORUFI02G09080 transcript:ORUFI02G09080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEHNMVTKGTERLVAGAQLFGHSTRQPFYMIQPSEEVVQKEKFYYHCLLITSDVSNLDLRKVKVEVSKFWKLTDWEIRTEGRHNFLVSLNSEDDLVSCLKIPRIETSIDDKEVNFTVARWKEDDDENIELIREWILVYGVPRIYRNWKELYQIASAVGVLIDVDEESLEGDHKEPIKLKVALRGVDASPFSCHFVFGWYSRCVTFTIEDKAQTTECEREVLEDCNGEDHPDEFGKEYNNKTDKLIEMPPEILNKGMNLESSSHDTSIVVSTHNHTLDVKGCSDKELEAIESAVLVEEPSSIEDNNISAPVPTTTIISEKTAEDSPRAGREQSISGTATVIHSKKTTEDIPKAEGGQSTSGNSTSIIGEEPFRGIQKPPVKIVYARRNKRSMEKQDYKSFLEKQHQKEMEAAIVGQRQKSDDESGGDGNNKDSNSIAPSAIAINSKKKQTTKDIVTTQGAQFISESLIGETQTKDLDKPSAVHQRGIVPLCNGLDIIQQSLFGTTVTLCCGILQRLDYASTECQALVLVPTRDLAHETQNVIGVLGQFLSAKAHAFCGGTSAHEDQQILSTGVQVAVGTPCHVLGMLQGRALCPDHIRMFVLDEADEVLRGFKDQIHGIIQFLPTKTQFGFFSASMSHEALEMCRKYMNKPVEIIVPRDEELEGINVKQFYVNVEKEDCKLDKLCGLFDTMEITRSIIFVNTRHHAKSLTEKIRGKGYTVSAIHGGIHQRARDKAVQEFQSGSSRILITTDLRGIDVLRAPAAIFYDLPTQPVCYLRHVQSGQHGRKGVAISFITSTDERVFSTIQKFCNTQIEELPSNVADLL >ORUFI02G09090.1 pep chromosome:OR_W1943:2:6323458:6327096:-1 gene:ORUFI02G09090 transcript:ORUFI02G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEENQHRMNGYEEEEEVEEVEGFDEEGRPGRRGGGGRDGGDGGAYGDASGDDGRAPGGDSSGKIFVGGVAWETTEESFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHVIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGLPSSLTEDELREHFSPYGKIVEHQIMLDHSTGRSRGFGFVTFESEDSVERVISEGRMRDLGGKQVEIKKAEPKKHGGDHSSNGRSSHGSGGGYRSSYRSGGAAASGGGGGGGGGGSGSSGGYGYGAGYRSAGGEEGMAMEVMLDLDQDMVVVMVAPCMEVPMVLMGHMVVVPMEGVPMAEVLMVVVPMVALRVPMVVLEDMAVMVELEQEVLVGGVPAGTIHMGNE >ORUFI02G09090.2 pep chromosome:OR_W1943:2:6323460:6326772:-1 gene:ORUFI02G09090 transcript:ORUFI02G09090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEENQHRMNGYEEEEEVEEVEGFDEEGRPGRRGGGGRDGGDGGAYGDASGDDGRAPGGDSSGKIFVGGVAWETTEESFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHVIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGLPSSLTEDELREHFSPYGKIVEHQIMLDHSTGRSRGFGFVTFESEDSVERVISEGRMRDLGGKQVEIKKAEPKKHGGDHSSNGRSSHGSGGGYRSSYRSGGAAASGGGGGGGGGGSGSSGGYGYGAGYRSAGGEEGMAMEVMLDLDQDMVVVMVAPCMEVPMVLMGHMVVVPMEGVPMAEVLMVVVPMVALRVPMVVLEDMAVMVELEQEVLVGGVPAGTIHMGNE >ORUFI02G09090.3 pep chromosome:OR_W1943:2:6323460:6326772:-1 gene:ORUFI02G09090 transcript:ORUFI02G09090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEENQHRMNGYEEEEEVEEVEGFDEEGRPGRRGGGGRDGGDGGAYGDASGDDGRAPGGDSSGKIFVGGVAWETTEESFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHVIDGRTVEVKRTVPREEMSSKDGPKTRKIFVEIKKAEPKKHGGDHSSNGRSSHGSGGGYRSSYRSGGAAASGGGGGGGGGGSGSSGGYGYGAGYRSAGGEEGMAMEVMLDLDQDMVVVMVAPCMEVPMVLMGHMVVVPMEGVPMAEVLMVVVPMVALRVPMVVLEDMAVMVELEQEVLVGGVPAGTIHMGNE >ORUFI02G09100.1 pep chromosome:OR_W1943:2:6327764:6328614:-1 gene:ORUFI02G09100 transcript:ORUFI02G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPRSWTSPRQVAARSPADHIPAAAGTEVTARATTAMEASARTIAEEAVVRAPSANPYNSSERCLLPLARPNCFPVAHTSFMSWRDESRPKIVNNLRRGHLAKWSLLQPSQCERSTTIECLIAKLRPRARWLQLLRKRQPYSE >ORUFI02G09110.1 pep chromosome:OR_W1943:2:6328654:6328994:-1 gene:ORUFI02G09110 transcript:ORUFI02G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPTHSLPTRRAPPPLSLRPSLLPPRHSLSADKRTQRGRRAATSGAKGRGRRPALPDVACGGRDKTCKALGGPPRGDVGVEVALGEWEWEGAAEDLFTHKPPLKFNGY >ORUFI02G09120.1 pep chromosome:OR_W1943:2:6329801:6333939:1 gene:ORUFI02G09120 transcript:ORUFI02G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVNWELQGCCDRDQKIFIAAVGVSTVVILLLWRTFLLTPFKLITVFLHETSHALACKLTCGDVEGMQVHPNEGGVTQTRGGIYWIILPAGYLGSSFWGMVFILASTNLLTTRIAAGCFILALIVVLFVAKNWFLRWLCIGFIVFLAVVWVIQEFTKFHSLKYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEICPCPCNGCAWGVIWGFISFIFLCASIYLGLVILS >ORUFI02G09130.1 pep chromosome:OR_W1943:2:6337372:6341185:1 gene:ORUFI02G09130 transcript:ORUFI02G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPAARKPSAAQTVAVTLALALASAGLLFLLFHLSPSSPTPHPHPHRRLRLRGARASPSPRGQIPFDPVIAGLERRRDDREWERLAAAGLHAPGFEAAPVPEDYIDGGGGFGADPDEDYINDAARFNLTRRVEALFPKIDVDPADGAVTPAELTAWNLASARREVMHRTARELDLHDRDHDGRIAFSEYERPSWAWRFDDHNSSNDGVGWWKEEHFNASDMDVFSLVYFVQLLTSSRYYEPKANKLVVQRRSQVGDSTGYSLESRERDKDNDGKLNFQEFYNGLFYSIRHFDEEASTDDSNASDAPARKSFTHLDLDNDGLLSADELKPIIGNLHPPEHFYAKQQADYVITQADTNKDGQLSLQEMIENPYVFYSALFTEDDYGFHDELR >ORUFI02G09140.1 pep chromosome:OR_W1943:2:6341574:6349395:-1 gene:ORUFI02G09140 transcript:ORUFI02G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHCKRGPLVSAPPPPSSRFSLSPSLSYGFYGFTPPPFFSLSPPPPPPAAATSGDGGGIRAGAFARGGRGSGADRSLEARAVAAARRPLCLARSRARLRSFHFHAKSSGHAEGNWDRGISQTPTNTDAAAVLHHQESTGEGRNASNQMVKNCWCVTRVTTRLPVLLLGSSLALLAVFLVYFYAPFWSLRTVPGPPTRFPIGHLHLLAKNGPDVFRAIAKEYGPIFRFHMGRQPLVIVANAELCKEVGIKKFKDIRNRSTPPPNVGTLHQDALFLTRDSTWSSMRNMVIPLYQPARLAGLIPTMQSYVDALVDNIAGCPDQDCIPFCQLSLCMAIDIIGKTAFGIEFGLSRKAADAAACDDGDDGDDDDVKEFLREYKKSMEFIKMDLSSSLSTILGLFLPCVQTPCKRLLRRVPGTADYKMDQNERRLCRRIDAIIAGRRRDRDAGDGAALDFIAALLDARESGGGGGHGGFALEDRHVRALAYEHLIAGTKTTAFTVSSVVYLVSCHPRVEERLLREIDGFAPRGRVPGADELHAGLPYLDQVIKEAMRFHLVSPLIARETSEPVEIAGHLLPKGTYVWLAPGVLARDAAQFPEPEEFRPERFAAGAAEERARHPYAHIPFGIGPRACVGHRFALQQVKLAAVGLYRRYVFRHSPAMESPLQFDFDLVLAFRHGVKLRAIKRTNT >ORUFI02G09140.2 pep chromosome:OR_W1943:2:6341574:6343671:-1 gene:ORUFI02G09140 transcript:ORUFI02G09140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNCSIALEISHVATPGLPVLLLGSSLALLAVFLVYFYAPFWSLRTVPGPPTRFPIGHLHLLAKNGPDVFRAIAKEYGPIFRFHMGRQPLVIVANAELCKEVGIKKFKDIRNRSTPPPNVGTLHQDALFLTRDSTWSSMRNMVIPLYQPARLAGLIPTMQSYVDALVDNIAGCPDQDCIPFCQLSLCMAIDIIGKTAFGIEFGLSRKAADAAACDDGDDGDDDDVKEFLREYKKSMEFIKMDLSSSLSTILGLFLPCVQTPCKRLLRRVPGTADYKMDQNERRLCRRIDAIIAGRRRDRDAGDGAALDFIAALLDARESGGGGGHGGFALEDRHVRALAYEHLIAGTKTTAFTVSSVVYLVSCHPRVEERLLREIDGFAPRGRVPGADELHAGLPYLDQVIKEAMRFHLVSPLIARETSEPVEIAGHLLPKGTYVWLAPGVLARDAAQFPEPEEFRPERFAAGAAEERARHPYAHIPFGIGPRACVGHRFALQQVKLAAVGLYRRYVFRHSPAMESPLQFDFDLVLAFRHGVKLRAIKRTNT >ORUFI02G09140.3 pep chromosome:OR_W1943:2:6343794:6349395:-1 gene:ORUFI02G09140 transcript:ORUFI02G09140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACHCKRGPLVSAPPPPSSRFSLSPSLSYGFYGFTPPPFFSLSPPPPPPAAATSGDGGGIRAGAFARGGRGSGADRSLEARAVAAARRPLCLARSRARLRSFHFHAKSSGHAEGNWDRGISQTPTNTDAAAVLHHQESTGEGRNASQELLVCDACHHTFSIAPLWNGSPLLQQVLGIAA >ORUFI02G09140.4 pep chromosome:OR_W1943:2:6343794:6349395:-1 gene:ORUFI02G09140 transcript:ORUFI02G09140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MACHCKRGPLVSAPPPPSSRFSLSPSLSYGFYGFTPPPFFSLSPPPPPPAAATSGDGGGIRAGAFARGGRGSGADRSLEARAVAAARRPLCLARSRARLRSFHFHAKSSGHAEGNWDRGISQTPTNTDAAAVLHHQESTGEGRNASNQMVTANTWFSYKGQELLVCDACHHTFSIAPLWNGSPLLQQVLGIAA >ORUFI02G09140.5 pep chromosome:OR_W1943:2:6344006:6349395:-1 gene:ORUFI02G09140 transcript:ORUFI02G09140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MACHCKRGPLVSAPPPPSSRFSLSPSLSYGFYGFTPPPFFSLSPPPPPPAAATSGDGGGIRAGAFARGGRGSGADRSLEARAVAAARRPLCLARSRARLRSFHFHAKSSGHAEGNWDRGISQTPTNTDAAAVLHHQESTGEGRNASNQMTVC >ORUFI02G09150.1 pep chromosome:OR_W1943:2:6349217:6352608:1 gene:ORUFI02G09150 transcript:ORUFI02G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPEVAAAGGGGGGEREKKGGGREPVSPPLRFRRRRLHRRRIAAAGHRHAPLLDHSITPKTPFQSSSVVEMQEGRKGVPSLLSSQGECIASNITQLIGWTPLIELKNIAKKDGIAARLVGKIEPYQPLSSVKDRSALRLIEDAEERGLISPGITTLAGVTSGNLGIGVAFVAAQKDPALNGFKGLLDRVEQLKKDMENVFVIDQFTNPANPDAHFRWTGPEIWKDTAGKVDIFVAASGSGGTVTGVGRYLKMKNPSIKLICVEPAESAVISGGEPAFHNIQGIGPGFIPEILDRSQIDEIVTVTTQEAMDMARRLAREEGLLVAAREENKGKMIVTMFSSGGERYLNSELFAQVKEECININKAFC >ORUFI02G09150.2 pep chromosome:OR_W1943:2:6349070:6352608:1 gene:ORUFI02G09150 transcript:ORUFI02G09150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGRKGVPSLLSSQGECIASNITQLIGWTPLIELKNIAKKDGIAARLVGKIEPYQPLSSVKDRSALRLIEDAEERGLISPGITTLAGVTSGNLGIGVAFVAAQKGYKFIAVMPAKLSIDKQILLRYLGVEVILVDPALNGFKGLLDRVEQLKKDMENVFVIDQFTNPANPDAHFRWTGPEIWKDTAGKVDIFVAASGSGGTVTGVGRYLKMKNPSIKLICVEPAESAVISGGEPAFHNIQGIGPGFIPEILDRSQIDEIVTVTTQEAMDMARRLAREEGLLVAAREENKGKMIVTMFSSGGERYLNSELFAQVKEECININKAFC >ORUFI02G09150.3 pep chromosome:OR_W1943:2:6349070:6352608:1 gene:ORUFI02G09150 transcript:ORUFI02G09150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGRKGVPSLLSSQGECIASNITQLIGWTPLIELKNIAKKDGIAARLVGKIEPYQPLSSVKDRSALRLIEDAEERGLISPGITTLAGVTSGNLGIGVAFVAAQKDPALNGFKGLLDRVEQLKKDMENVFVIDQFTNPANPDAHFRWTGPEIWKDTAGKVDIFVAASGSGGTVTGVGRYLKMKNPSIKLICVEPAESAVISGGEPAFHNIQGIGPGFIPEILDRSQIDEIVTVTTQEAMDMARRLAREEGLLVAAREENKGKMIVTMFSSGGERYLNSELFAQVKEECININKAFC >ORUFI02G09160.1 pep chromosome:OR_W1943:2:6353716:6354375:1 gene:ORUFI02G09160 transcript:ORUFI02G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRYASFFLFFMMPFSLLHKSYPQLVNQSSDEHQILLEIKRHWGSSPVLGRWSSNSAAHCNWGGITCTDGVVTGISLPNQTFIKPIPPSICLLKNLTHLDVSYNNISSPFPTMLYNCSNLKYLDLSNNAFAGKLPNDINSLPALLEHLNLSSNHFTGRIPPSIGLFPRLKSLLLDTNQFDGRYPAEDISNLADLERLTLAVLAVNPFVPGSLSNGVW >ORUFI02G09170.1 pep chromosome:OR_W1943:2:6354410:6369409:1 gene:ORUFI02G09170 transcript:ORUFI02G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITGEIPESLSSLRELNVLDLSSNKIQGKIPRWIWQHKKLQILYLYANRFTGEIESNITALNLVEIDVSANELTGTIPDGFGKMTNLTLLFLYFNKLSGSIPPSVGLLPKLTDIRLFNNMLSGSLPSELGKHSPLANLEVSNNNLSGELPEGLCFNRKLYSIVVFNNSFSGKLPSSLDGCYPLQNLMLYNNNFSGEFPRSLWSVVTDQLSVVMIQNNNFSGTFPKQLPWNFTRLDISNNRFSGPIPTLAGKMKVFRAANNLLSGEIPWDLTGISQVRLVDLSGNQISGSLPTTIGVLMRLNTLYLSGNQISGNIPAGFGFITGLNDLDLSSNKLSGEIPKDFNKLLLSFLNLSMNQLTGEIPTSLQNKAYEQSFLFNLGLCVSSSNSLQNFPICRARANINKDLFGKHIALISAVASIILLVSAVAGFMLLRRKKHLQDHLSWKLTPFHVLHFTANDILSGLCEQNWIGSGRSGKVYRVYAGDRTSGGRMMAVKKIWNMQNIDNKLEKDFLAEVQILGEIRHTNIVKLLCCISSSEAKLLIYEYMENGSLHQWLHQRERIGVPGPLDWPTRLQIAIDSARGLCYMHHHCSPPIVHRDVKCANILLDHNFRAKMADFGLAKILLKAGDDESFSAIAGTFGYMAPEYGHRLKVNEKIDVYSFGVVLLEIITGRVANDGGEYYCLAQWAWRQYQEYGLSVDLLDEGIRDPTHVEDALEVFTLAVICTGEHPSMRPSMKDVLNILIQFDRKSTRIQGRSHLPPRIAPAGNPVSRLPRDPLLPSFRNLSRLPHPSPIRPTSPSHFLPAQVADAPPSEAASRSPSSLLALVPQASTVSPQTASSRISTTTTIYPPYNAKEFEFDGDDWEGWTVYIEKAGKVDPNKLMHITSVLDCQSTLLEVINKIYVVSSDHSLVAFIIFIAARTSTPLSSALIIFSHRVILRLLQASPPRLQVAIIAALGRWSNYPYMAIGVVVQAVGSATSSSSSCNMPHRQRRHTNDALELGGPHKQRH >ORUFI02G09170.2 pep chromosome:OR_W1943:2:6368935:6373620:1 gene:ORUFI02G09170 transcript:ORUFI02G09170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRYVNFFLFFVISFSLLHKSYPKSTNQSNEEHQILLELKKHWGSSPALRRWNSTTAAHCSWEGITCTNGGVIGISLPNQTFIKPIPPSICLLKNLTRLDLSYNNFSTSFPTMLYNCSNLKFLDLSNNAFAGQLPSDLNHLSALLEHLNLSSNHFTGRIPPSIGLFPRLKSLLLDTNQFDGRYPAEDISNLADLERLTLAVNPFVPAPFPVEFGRLTRLTYLWLSNMNITGEIPESLSSLRELNLLDLSSNKIQGKIPRWIWQRKKLQYLYLYDNRFTGEIEPNITALNLVEIDVSSNELTGMIPDGFGKITNLTLLFLYFNKLNGSIPPSIGLLPKLTDIRLFNNMLSGSLPPELGKHSPLGNLEVSNNNLSGELPEGLCFNRKLYDIVVFNNSFSGKLPSSLDGCYLLNNLMMYNNNFSGEFPKSLWSVVTNQLSTVMIQNNSFSGTFPKQLPWNFTRLDISNNRFSGPIPTLAGKMKVFIAANNLLSGEIPWDLTGISQVTEVDLSRNQISGSLPMTIGVLARLNTINLSGNQISGNIPAAFGFMTVLTILDLSSNKLSGEIPKDFNKLRLNFLNLSMNQLIGEIPISLQNEAYEQSFLFNPGLCVSSNNSVHNFPICRARTNGNDLFRRLIALFSAVASIMLLGSAVLGIMLLRRKKLQDHLSWKLTPFHILHFTTTDILSGLYEQNWIGSGRSGKVYRVYAGDRASGGRMVAVKKIWNTPNLDDKLEKDFLAEAQILGEIRHTNIVKLLCCISSSDAKLLVYEYMENGSLHQWLHQRERIGAPGPLDWPTRLQIAIDSARGLCYMHHHCSPPIVHRDVKCANILLDHNFRAKMADFGLAKILLRAGDDESFSAIAGTFGYMAPEYGHRLKVNEKIDVYSFGVVLLEIITGRVANDGGEYYCLAQWAWRQYQEYGLSVDLLDEGIRDLTHVEDALAVFTLAVICTGEQPSVRPSMKDVLHVLLRFDRKSNGGILQDDICDETALLES >ORUFI02G09170.3 pep chromosome:OR_W1943:2:6354410:6373620:1 gene:ORUFI02G09170 transcript:ORUFI02G09170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNITGEIPESLSSLRELNVLDLSSNKIQGKIPRWIWQHKKLQILYLYANRFTGEIESNITALNLVEIDVSANELTGTIPDGFGKMTNLTLLFLYFNKLSGSIPPSVGLLPKLTDIRLFNNMLSGSLPSELGKHSPLANLEVSNNNLSGELPEGLCFNRKLYSIVVFNNSFSGKLPSSLDGCYPLQNLMLYNNNFSGEFPRSLWSVVTDQLSVVMIQNNNFSGTFPKQLPWNFTRLDISNNRFSGPIPTLAGKMKVFRAANNLLSGEIPWDLTGISQVRLVDLSGNQISGSLPTTIGVLMRLNTLYLSGNQISGNIPAGFGFITGLNDLDLSSNKLSGEIPKDFNKLLLSFLNLSMNQLTGEIPTSLQNKAYEQSFLFNLGLCVSSSNSLQNFPICRARANINKDLFGKHIALISAVASIILLVSAVAGFMLLRRKKHLQDHLSWKLTPFHVLHFTANDILSGLCEQNWIGSGRSGKVYRVYAGDRTSGGRMMAVKKIWNMQNIDNKLEKDFLAEVQILGEIRHTNIVKLLCCISSSEAKLLIYEYMENGSLHQWLHQRERIGVPGPLDWPTRLQIAIDSARGLCYMHHHCSPPIVHRDVKCANILLDHNFRAKMADFGLAKILLKAGDDESFSAIAGTFGYMAPEYGHRLKVNEKIDVYSFGVVLLEIITGRVANDGGEYYCLAQWAWRQYQEYGLSVDLLDEGIRDLTHVEDALAVFTLAVICTGEQPSVRPSMKDVLHVLLRFDRKSNGGILQDDICDETALLES >ORUFI02G09180.1 pep chromosome:OR_W1943:2:6412060:6413462:-1 gene:ORUFI02G09180 transcript:ORUFI02G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIGPPPAPLLNLTSLVGSTPATCQLLQDQRNENTEIFRGPATTIFATAWFPEAGSGGAEGKARMWTVFKFPFAI >ORUFI02G09190.1 pep chromosome:OR_W1943:2:6414300:6415368:1 gene:ORUFI02G09190 transcript:ORUFI02G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGSKPQARVTWVTGRRRNQETINDAPKHIVKIPIRKDDQGRSKHSAVVIEPLRDDHDNREKSTRLDKAPPPEPSKSLLIEETPLSGPDPTTSYWQARAPPMVVYNKFPSSPSMGSSEAVQVDDLSDFSPETKEKLQEKNSDYITKFVARSTNLQLSSVYLIPDEPLALKLPKSTPVDGRNARMVQGDLVEIQDCLRRKQFDKDTIELIKTADAGRAKIYKPPLPADMAILMSHLEALVLRLKGDLPKTFEEKTLATQDGEAHSYLAKLEGDC >ORUFI02G09200.1 pep chromosome:OR_W1943:2:6423691:6424389:1 gene:ORUFI02G09200 transcript:ORUFI02G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMERSVSCAERPPAAFGGGAAADLRCYSASYATSYKPGAGAAAGAAAGAGTNTTTKVMKRATSANAWSRPGGGGGVQRSGSTKTVASSAAGWGRGGGGPTPGFNLRSYSASYAASYSPFEDPSPAEKTGGGGGGAATWASSAGRRSVNLRGYTPSFAALDDTAVAPPIPAKKQVSPTGSFAGAVVDDAELQRRKRLVAYKAYDVEGKVKDSVRRSVKWIKGKCSRAVDGKW >ORUFI02G09210.1 pep chromosome:OR_W1943:2:6426200:6426678:-1 gene:ORUFI02G09210 transcript:ORUFI02G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSPPETVHQSCYDLQRATMTYIYGRSQQMETQLTSEANVIPNFSHVETILLKKFSKKLNARLKNQ >ORUFI02G09220.1 pep chromosome:OR_W1943:2:6454205:6455107:1 gene:ORUFI02G09220 transcript:ORUFI02G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRARMVAAAPNLAWADPRVKDSQGDATTSKLTMVEVTEGVPCEHRLNGKAVEAMARTQGGGGGHSRGWRWQWRPPPARRWDIVASVSSTRQSSPMCGPPFPRQCDGGCSGVANGDDPQVEAYDSDRQADASWWGRRYSGFHAPMLGCWWWSIGAATAN >ORUFI02G09230.1 pep chromosome:OR_W1943:2:6462930:6466751:1 gene:ORUFI02G09230 transcript:ORUFI02G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICASSEQLEHVHETDESIVYVKDEQGRGGRGVESGGARKVASLFSQRGKKGPNQDSVILCQGFGMEDGVFCGVFDGHGRCGQFISKLVRDYLPFMILSHRNALLLADAAADDDDDAAFSDDAAASSSADSSGNSSPQPSASASAQMLEEWRQACASAFAAMDGELKLQPNLDCAFSGTTAVCAIKQGRDLIIANLGDSRAVLATMSDTGYLQAVQLTVDHKPSVPEEAARIKRSGGRVFGLKDEPGVMRVWLPGENSPGLAMARSLGDMRLKRHGVIPAPEVTSRRVTGADLFMVLATDGVWDVLSNEEVVSIVCATPRKQHASKAVVEAAVQRWRAKFPTSRVDDCSAVCLFLHDHTLGTAAAASAAAAAAARKARRASTATPPAS >ORUFI02G09240.1 pep chromosome:OR_W1943:2:6471249:6478421:-1 gene:ORUFI02G09240 transcript:ORUFI02G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFSKSPDDDDLRPEAEAARRPQPQPQPREWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDSRDSASRSELERQDYPIARHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANNALFLLSTNDKTIKYWKVQERKVKRISVMNLNTSQSSGNGTTSSSSSSSSRAILPNGGCSEKLYNFPNNDLLFPPGGCTSLRLPVVTGQDLNLVPRCRRVYSHAHDYHINSISNNSDGETYISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDNHAKLFEEHEAPGSRSFFTEIIASVSDIKFARDGRHILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCTPGSAEATTLEASRNPMRRQVANPTRPARTLTSLTRAVRRGGENPGVDANGNSYDLSTKLLHLAWHPTENSIACAAANSLYMYYA >ORUFI02G09250.1 pep chromosome:OR_W1943:2:6483177:6483732:1 gene:ORUFI02G09250 transcript:ORUFI02G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSFLPAGSSESEPSEESVMDLILITALTGFICRSSGSFCHPVCGCKPEAQMGSEEWVMAVCRTIWLPQQFIEGMIHVSQTPLP >ORUFI02G09260.1 pep chromosome:OR_W1943:2:6486512:6500482:1 gene:ORUFI02G09260 transcript:ORUFI02G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHYSSKRKYDDPSPPPRRTGFSSAPPAASPPSGGAPASYNSVPPPPADEIQLAKQRAQAIAARLFNAAEAKRPRLDAGGDDDDAGAGVGSLGSSGGGGGGRGARLQISLQPFTPMSIVSFKLFVYFTFIMDLPIYVLPVISGHGSAIPSLSSHGSTPQYSSYGGYQGTSKTIEIPNGRVGVIIGKSGETIKNLQLQSGAKIQVTRDLDALPGSQTRPVELSGTPDQISRAEQLINEVLAEADAASSGNLSSRKYNAPQPGADQFQMKIANNKVGLVIGKGGETIKSMQAKSGARIQVVPLHLPPGDPATERTVYIDGTQEQIETAKQLVIEVTSEVRFYPLSYLLPDFMIAMPICDLSVVTIPKLLENCKIFLLCLYHILGGDHGQKKLLNRARNPMSGGYSQQGYRPPRPQSNWGPHGGAPMQQPGYGYMQPGAYPGAPPQYGAPQQPYGSYPPASGGYQTGWDQSSNQQSQQAPPGTGYDYYNQQQQPQQQQSAPGTAAPGDATSYNSSQPPAYASQGYDSSYAQQSGGQQQAYDYSSYYQTQGQQQGYSQQTGYDQQGYGTSGYGSAANSTQDGSAPSYGAQGVAGQASPGQQTSTPAAGSHPGYSSQPPTSAASSYPVQGSAPQSGYGAPPPQTGYGTQPQPQGGYSQGSYGAPPQGQKAPPNTSPYGQAPPPGSAPGGYGQYGYSQNQQGYGAPPPYPGAPAASHPGYGQQQSYGDPYGSGSYGQPAAYSTEATTAAASQDQSASAPATGAAPATTAAPASTAPENSGAQSPPS >ORUFI02G09260.2 pep chromosome:OR_W1943:2:6486512:6500482:1 gene:ORUFI02G09260 transcript:ORUFI02G09260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHYSSKRKYDDPSPPPRRTGFSSAPPAASPPSGGAPASYNSVPPPPADEIQLAKQRAQAIAARLFNAAEAKRPRLDAGGDDDDAGAGVGSLGSSGGGGGGRGARLQISLQPFTPMSIVSFKLFVYFTFIMDLPIYVLPVISGHGSAIPSLSSHGSTPQYSSYGGYQGTSKTIEIPNGRVGVIIGKSGETIKNLQLQSGAKIQVTRDLDALPGSQTRPVELSGTPDQISRAEQLINEVLAEADAASSGNLSSRKYNAPQPGADQFQMKIANNKVGLVIGKGGETIKSMQAKSGARIQVVPLHLPPGDPATERTVYIDGTQEQIETAKQLVIEVTSENRARNPMSGGYSQQGYRPPRPQSNWGPHGGAPMQQPGYGYMQPGAYPGAPPQYGAPQQPYGSYPPASGGYQTGWDQSSNQQSQQAPPGTGYDYYNQQQQPQQQQSAPGTAAPGDATSYNSSQPPAYASQGYDSSYAQQSGGQQQAYDYSSYYQTQGQQQGYSQQTGYDQQGYGTSGYGSAANSTQDGSAPSYGAQGVAGQASPGQQTSTPAAGSHPGYSSQPPTSAASSYPVQGSAPQSGYGAPPPQTGYGTQPQPQGGYSQGSYGAPPQGQKAPPNTSPYGQAPPPGSAPGGYGQYGYSQNQQGYGAPPPYPGAPAASHPGYGQQQSYGDPYGSGSYGQPAAYSTEATTAAASQDQSASAPATGAAPATTAAPASTAPENSGAQSPPS >ORUFI02G09260.3 pep chromosome:OR_W1943:2:6486898:6500430:1 gene:ORUFI02G09260 transcript:ORUFI02G09260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVSFKLFVYFTFIMDLPIYVLPVISGHGSAIPSLSSHGSTPQYSSYGGYQGTSKTIEIPNGRVGVIIGKSGETIKNLQLQSGAKIQVTRDLDALPGSQTRPVELSGTPDQISRAEQLINEVLAEADAASSGNLSSRKYNAPQPGADQFQMKIANNKVGLVIGKGGETIKSMQAKSGARIQVVPLHLPPGDPATERTVYIDGTQEQIETAKQLVIEVTSENRARNPMSGGYSQQGYRPPRPQSNWGPHGGAPMQQPGYGYMQPGAYPGAPPQYGAPQQPYGSYPPASGGYQTGWDQSSNQQSQQAPPGTGYDYYNQQQQPQQQQSAPGTAAPGDATSYNSSQPPAYASQGYDSSYAQQSGGQQQAYDYSSYYQTQGQQQGYSQQTGYDQQGYGTSGYGSAANSTQDGSAPSYGAQGVAGQASPGQQTSTPAAGSHPGYSSQPPTSAASSYPVQGSAPQSGYGAPPPQTGYGTQPQPQGGYSQGSYGAPPQGQKAPPNTSPYGQAPPPGSAPGGYGQYGYSQNQQGYGAPPPYPGAPAASHPGYGQQQSYGDPYGSGSYGQPAAYSTEATTAAASQDQSASAPATGAAPATTAAPASTAPENSGAQSPPS >ORUFI02G09260.4 pep chromosome:OR_W1943:2:6486898:6500482:1 gene:ORUFI02G09260 transcript:ORUFI02G09260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVSFKLFVYFTFIMDLPIYVLPVISGHGSAIPSLSSHGSTPQYSSYGGYQGTSKTIEIPNGRVGVIIGKSGETIKNLQLQSGAKIQVTRDLDALPGSQTRPVELSGTPDQISRAEQLINEVLAEADAASSGNLSSRKYNAPQPGADQFQMKIANNKVGLVIGKGGETIKSMQAKSGARIQVVPLHLPPGDPATERTVYIDGTQEQIETAKQLVIEVTSENRARNPMSGGYSQQGYRPPRPQSNWGPHGGAPMQQPGYGYMQPGAYPGAPPQYGAPQQPYGSYPPASGGYQTGWDQSSNQQSQQAPPGTGYDYYNQQQQPQQQQSAPGTAAPGDATSYNSSQPPAYASQGYDSSYAQQSGGQQQAYDYSSYYQTQGQQQGYSQQTGYDQQGYGTSGYGSAANSTQDGSAPSYGAQGVAGQASPGQQTSTPAAGSHPGYSSQPPTSAASSYPVQGSAPQSGYGAPPPQTGYGTQPQPQGGYSQGSYGAPPQGQKAPPNTSPYGQAPPPGSAPGGYGQYGYSQNQQGYGAPPPYPGAPAASHPGYGQQQSYGDPYGSGSYGQPAAYSTEATTAAASQDQSASAPATGAAPATTAAPASTAPENSGAQSPPS >ORUFI02G09260.5 pep chromosome:OR_W1943:2:6486898:6500482:1 gene:ORUFI02G09260 transcript:ORUFI02G09260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPPSSSSLAPPEVPMELHAGNRDRLVAALRAHLSASGRPLRGLVLLQGGEEQTRYCTDHLELFRQESYFAYLFGVREPGFYGAIDIVSGQSILFSPRLPADYAVWMGEIKPLSYFKDRYKVDMVFYVDEITQVLQDRFSDHGKPLLFVLYGKNTDSGNYSKPASFEGMEKFDSDLSTLHPILTECRVIKSDMELALIQYANDVSSEAHIEVMRRAKPGMKEYQLESIFLHHVYMYGGCRHCSYTCICATGENSSVLHYGHAAAPNDRTLNDGDMALMDMGGEYHCYGSDITCSYPINGKFNSNQTIVYNAVLKAHNAVIAHMRPGVNWLDMHKLAEQTILESLKNERILHGDVTDMMAQRLGAVFMPHGLGHLLGIDTHDPGGYPEGLERPKEPGLSSLRTIRELKEGMVITVEPGCYFIDALLIPARDDPVSSKFFNWEEIEKYKSFGGVRIESDVYVTAHGCKNLTNCPRETWEIEAVMAGAPWPVRATNNSLSKAS >ORUFI02G09260.6 pep chromosome:OR_W1943:2:6486512:6491649:1 gene:ORUFI02G09260 transcript:ORUFI02G09260.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHYSSKRKYDDPSPPPRRTGFSSAPPAASPPSGGAPASYNSVPPPPADEIQLAKQRAQAIAARLFNAAEAKRPRLDAGGDDDDAGAGVGSLGSSGGGGGGRGARLQISLQPFTPMSIVSFKLFVYFTFIMDLPIYVLPVISGHGSAIPSLSSHGSTPQYSSYGGYQGTSKTIEIPNGRVGVIIGKSGETIKNLQLQSGAKIQVTRDLDALPGSQTRPVELSGTPDQISRAEQLINEVLAEADAASSGNLSSRKYNAPQPGADQFQMKIANNKVGLVIGKGGETIKSMQAKSGARIQVVPLHLPPGDPATERTVYIDGTQEQIETAKQLVIEVTSEVRFYPLSYLLPDFMIAMPICDLSVVTIPKLLENCKIFLLCLYHILGGDHGQKKLLVLIYVQSSLAPIVLHMGDDRWASAMLLENIDLDVQTIFLQNRARNPMSGGYSQQGYRPPRPQSNWGPHGGAPMQQPGYGYMQPGAYPGAPPQYGAPQQPYGSYPPASGGYQTGWDQSSNQQSQQAPPGTGYDYYNQQQQPQQQQSAPGTAAPGDATSYNSSQPPAYASQGYDSSYAQQSGGQQQAYDYSSYYQTQGQQQGYSQQTGYDQQGYGTSGYGSAANSTQDGSAPSYGAQGVAGQASPGQQTSTPAAGSHPGYSSQPPTSAASSYPVQGSAPQSGYGAPPPQTGYGTQPQPQGGYSQGSYGAPPQGQKAPPNTSPYGQAPPPGSAPGGYGQYGYSQNQQGYGAPPPYPGAPAASHPGYGQQQSYGDPYGSGSYGQPAAYSTEATTAAASQDQSASAPATGAAPATTAAPASTAPENSGAQSPPS >ORUFI02G09270.1 pep chromosome:OR_W1943:2:6493062:6501370:-1 gene:ORUFI02G09270 transcript:ORUFI02G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGLHLFQRTILEGTVFNRSYNLTYEMTDEHQTNNPGRPWRTSHYSFDFPGLPGAVCQRDMKENGSQEPDVYNKKVNKPLSRMEQ >ORUFI02G09280.1 pep chromosome:OR_W1943:2:6501620:6502261:-1 gene:ORUFI02G09280 transcript:ORUFI02G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPLSSPSPSRPRRADGCWRGGGDEDEEPAATDSQLRPLETETAAARPETGKAAARLGMGKAAVRTGTVATRMGTGRRRHGWGWGRRRLGRGRRRRRHGWGRWDVLLRRCRWRR >ORUFI02G09290.1 pep chromosome:OR_W1943:2:6512267:6517532:1 gene:ORUFI02G09290 transcript:ORUFI02G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSIDVERISFGGKEHHIHTNHGPVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPISPNAPIPSVDDLADQVADVLDFFGLGSVMCLGVSAGAYILTLFAAKYRDRVLGLILVSPLCKPPTWTEWFYNKVASNLLYYYGMCGLVKEGLLQRYFSKEVRGCSDLPESDIVQACRSLLDQRQSMNVWRFVQTMNMRYDLTEDLKQLQCRTLIFVGEYSQFHTEAVHMTSKLDRRYCALVEVQACGSLITEEQPHAMLIPMEYFFMGYGLYRPSQLDCSPRSPLSPFCISPDLLSPESMGVKLKPIKTRVRLEV >ORUFI02G09300.1 pep chromosome:OR_W1943:2:6518539:6522959:-1 gene:ORUFI02G09300 transcript:ORUFI02G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGRSRCPSNFYLSALSVASRDSTAAANPASDPSLLESQDGKCKKPKGKHKVVLLGFSRIKRFHSVAYLQQIRCFEVVGEIDFSFPVLTYSLYFRIHLGRFYKRFGRRACSTEHVHGWDQKPVRFQLSTSNGQHSLSQCSLGEPGSWVLYHAGNFVVSKPDRTIKLKFSMAQIDCTHTKGGLCVDSAFIYPKGFQQERMAALEGAAHHVLPPF >ORUFI02G09310.1 pep chromosome:OR_W1943:2:6522813:6529105:1 gene:ORUFI02G09310 transcript:ORUFI02G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQGGALTDSRRLGSEAGLAAAVESLDATERALSAILFRVSPLLRMQYPDFRRALTHNIASGRTLFGRDLLGITNKPEGLFAKNPPPSSAPSAPHNILPISPVSLSPPLLKNESRARGCRSPSGEESRSEQVNTRQQTERSAKKKANGAAIANTSTLFQMLQCVIELLQLFQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVGDLAVARGKKGLGDGTVGMFSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAEKKIMESKKELLLKDVARANSIEVSILKDRLYKLDSEKPRPYGTIQAVREVYRESGIRGFWKGLIPTLIMVCNPSIQFMIYETLAKRLRSKRSGKELPKKNLTAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNVMSRYTGTIDAIVKMIRYEGLHGFYKGMGTKIVQSVFAASVLFMVKEELVKFVVMLIARSRTVLGPSSKKR >ORUFI02G09320.1 pep chromosome:OR_W1943:2:6529832:6530437:1 gene:ORUFI02G09320 transcript:ORUFI02G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAAATTATTANNDSGSGGGGGGYIRTVTLRGFDGIRVRVSAGTMAAASATARARLDEAIRRTPRHAAVPDDVLINVPGVARPVLARVADYCDRHYGGGGEGGEFAAPEGYGFDDPLARFDDELMDGADVGTVVDLLRAATFLRVERLADLASREVAACMRGRTVEGIRQVFGIANDYTDEEEQDVRKENSWAFDAYND >ORUFI02G09330.1 pep chromosome:OR_W1943:2:6532032:6533363:-1 gene:ORUFI02G09330 transcript:ORUFI02G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALARSLATAAAAVMLPPPPAASSLRRRSSSRVAASGLRASVSASTATSSTSMVQLVAAGSGIDELRLVDERAAVAALQLLPVGGCEVEKLRAVAEAAADRAEMHDIIGRQRDNWNHLLLHSNNSLLLAASLMAALAPTAAPTVVALKASAGVLLASAAVTMAAVNKIQPSQLAEEQRNATRLWRQLERDVRATLALAAPVTRADVHDAMDRVLALDAAYPLPLLPVMLDKFPKAVEPARWWPPTKKHQQRSPTKVAATNGTACRRATSMAGNGWTQELEEEMRGILRVIKAKDENEYVSVGKLVLAVNKRLAVAGPALAGAAALAAAFIGSAGEAGAWASGAAVLGGALAAAVNTVEHGGQVGMVFELCRNVAGIYRKMQEDIEANLEEADVERRENGEVFETKVALQLGRSTSELRQFRAMASPAVKDEDIREFAGKLV >ORUFI02G09340.1 pep chromosome:OR_W1943:2:6537811:6539821:-1 gene:ORUFI02G09340 transcript:ORUFI02G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLVVAATDPLRAFLASAAASHDLPADLRDLASSLAARSAVPYRSLRDIWCAASPGARPPLRRLLHGADFLLSSPKPRDKSDELKARLDKLREMQERKEYAELVRDVAPPAKEDSPELFSSYKDQIGFGLHVVLIMFTGYLVGFVAFRALFNNSPVMNAAGGILGLVGGMLMETVLFIIRSSSKELATSVPRPKKVQ >ORUFI02G09360.1 pep chromosome:OR_W1943:2:6550236:6551543:-1 gene:ORUFI02G09360 transcript:ORUFI02G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQAHHRLFLASTCAGQQRRRLRPSRIAAPCRAAVSGGVRTAQSPSSSSSGSGSPSSLRLNLDWVDPRIVAVPTSSPGAQVEVEKLRAIAEAAADRAEMHDIIGRQRDNWNHLLLHSNNSLALAASVMAALAPAAPTVVALKASAGVLLASAAVTMAAVNKIPPSQLAEGQRNATRLWRQLERDVRVTLALAAPVTRADVHDAMDRVLALDAAYPLPLLPGMLDKFPKTVEPTRWWPKKKQQQQRAPVNKSNSFGSSSRRRGARGNGWTPELEEEMRGILRVIKAKDEHEYITVGKMVLGLNKGLAVAGPALAGTAAVAAAFIGSGEAGAWASGAAVLGGALAAAANTVEHGGQLGMVFELLRNCAGFYRKMQEEIEANLGEADVERREDGEVLETKVALQLGRSTSELKQFRRLASPSFKDDDVKDFAGKLF >ORUFI02G09370.1 pep chromosome:OR_W1943:2:6565269:6566151:1 gene:ORUFI02G09370 transcript:ORUFI02G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIQAHQRLFLASTCAGQRLRPRPSRIAAPCRAAVSGGVRTAQSPSSSSGSGSPSSMSLNLDWIAAVPAKEDVSGAAAVELEKLRAIAEAAADRAEMHDIIGRQRDNWNHLLLHSNNSLLLAASVMAALAPAAPTVVALKASAGVLLASAAVTMAALGMVFELLRNCAGFYRKMQEEIEANLGEADVERREDGEVFETKVALQLGRSTSELKQFRRMASPAVKDDDVKDFAGKLF >ORUFI02G09380.1 pep chromosome:OR_W1943:2:6568545:6571125:-1 gene:ORUFI02G09380 transcript:ORUFI02G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAAGRGGVRGRGGEGEEGSLARRAWRQYLRQLQLHPLRTKMITAGCLAGVSDSVAQKLSGYQRIEKRRLLLKMLFGFAYGGPFGHFLHKVLDYIFKGKKDTKTIAKKVLLEQITSSPWNNLLFLFYYGYVVERRPFKEVKTRVKKQYPSVQLSAWMFWPIVGWINHMYMPLQFRVIFHSFVACCWGIFLNLRARAMSLKQS >ORUFI02G09390.1 pep chromosome:OR_W1943:2:6580447:6581968:1 gene:ORUFI02G09390 transcript:ORUFI02G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGGNGVVVVFDFDKTIIDCDSDNWVVDALGATARFDDLLCRLPWNSAIDAMMGELHAEGRTVEEVAASLRAAPLSPRVAAAVETARALGCELRVLSDANAFFVGAVLDHHGLAGCFSAVDTNPAAVDADGRLRILPYHGLPGHGCPLATCPPNMCKGKVMERIIDELSCGCGGALAARRRRVVYVGDGRGDYCPSLKLTEMDYVMPRKGYPVWDLIAGGDRAAVRADVREWADFEDLEAVLLGIVAECLTSEHDDADDDGGEAAPPAECRALPATLASGQEAILPKAVHVPN >ORUFI02G09400.1 pep chromosome:OR_W1943:2:6585206:6589304:1 gene:ORUFI02G09400 transcript:ORUFI02G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARARGREAGEGGWGGGGGGLPLAVRELVAGGVAGGGAKTAVAPLERTRRAEFHGSGLIGSFRTISRTEGLLGFYRGNGASVARIVPYAALHYMAYEEYRRWIILGFPNVEQGPILDLVAGSIAGGTAVICTYPLDLVRTKLAYQVKGAVKLSLREYKPSEQVYKGILDCVKTIYRQNGLRGLYRGMAPSLYGIFPYSGLKFYFYETMKTYVPEEHRKDIIAKLACGSVAGLLGQTITYPLDVVRRQMQVQAFSSSNLEKGKGTFGSIAMIAKHQGWRQLFSGLSINYLKVVPSVAIGFTVYDSMKVWLKVPSREDTAIAALTEERSNAAPAHSS >ORUFI02G09410.1 pep chromosome:OR_W1943:2:6598774:6601164:1 gene:ORUFI02G09410 transcript:ORUFI02G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPSFSQLGAVDAAMNGGYFMAASGNGADVPLFHPAMAPPHDHGGSFGYGDAAAAAMDVGAHFAAANNLVLASLATQLFGAAPAAAAHGHGDYLAATTPPEEEMGGGYDVAVGDSSGGAVSLACLGHGQPGDMAAGWCSTSARKPSCNWSSSNAGVHGGSYYLAGVPEAAGFVSAAAAASELSLSLCSKSSSDSMLNAGGNQCSSAASRSGLTQMSRVVVVEPEPPLVPYYPAANFAVVVARSRYAAVAQQVLNDAVGCVLGGVADAAADSASGVDSGSSRPSSCSVAGGAPSSAVSSNNQLIASSGEHTHGGGDASAQRLRSELLTMLQLMDQKYNQCLDEIQSTTARFNTLTHATARAAGMSSSSICAPFAHRAVSAMYHGLRRRIAGEIMSAAAAAGRPCRGGESSSAVTGGERERSWESAFIQKHWAVQQLRRGEQQCWRPQRGLPEKSVAVLKAWMFENFLRP >ORUFI02G09420.1 pep chromosome:OR_W1943:2:6607358:6607972:1 gene:ORUFI02G09420 transcript:ORUFI02G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGLLLGSGAQGRAVGHRRHRGSSSERSCRAPSHLPAPGSATETGGRGSIEDAGMDGDRESRSTSPFYSPIPLHHPRSKGELGDEAWSSGRLPRARRQQRRWPQQQQCRHTSAGAGAGVVVVSKHGEAEPTAAAATDVAARERGGGDGVDGAGAGGQHDRDVVHQWRPAVGGPARPPRLLPLAQRAEHHRTIIALFRRVALV >ORUFI02G09430.1 pep chromosome:OR_W1943:2:6612875:6613498:-1 gene:ORUFI02G09430 transcript:ORUFI02G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHMERALREAVASEAERRELEGVVRAHHTFPAAERAAGPGRRPTCTSLVAQRVDAPLAAVWPIVRGFANPQRYKHFIKSCELAAGDGATVGSVREVAVVSGLPASTSTERLEILDDDRHVLSFRVVGGDHRLRNYRSVTSVTEFSSPSSPPRPYCVVVESYVVDVPEGNTEEDTRMFTDTVVKLNLQKLAAVATSSSPPAAGNHH >ORUFI02G09440.1 pep chromosome:OR_W1943:2:6617448:6628146:1 gene:ORUFI02G09440 transcript:ORUFI02G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLATTYSGRIAAARPSPAGPSLTVTVAPTPPPTPLDPRGYPLPRRHLVCAAARILRSHASPSPLLDLADYLRGLRLTLTAAEASEVVKALYGDPPLALAFFRFAAASLPGFRHDAFSYNRILALLFRTRAGPSEALRLVADMERDGVAGNISTINLLVGMGGGGVEVERCLELASKWGLRLSGYTYKCIVQAHLRSREVSKGFQVYEEMRRKGYKLDIFAYNMLLDALAKAGMVDQAFRVFEDMKQKHCVPDAYTYTILIRMSGKAGRTSKFLSFFDEMVSKGCVLNLIAFNTIIEALGKNKMVDKVIFVLSKMVENDCQPNQFTYSITLDILATEGQLHRLNEVLDICSRFMNRSIYSYLVKSLCKSGHASEAHNVFCRMWNSHEKGDRDAFVSMLEVLCNAEKTLEAIDLLHMMPEKGIVTDVGMYNMVFSALGKLKQVSFISNLFDKMKTNGIIPDVFTYNIMISSYGRVGLVDKASELFEVMEASSCKPDVVTYNSLINCLGKHGDLDEAHMLFKEMQEKGYDPDVFTYSILIECFGKSNKVEMACSLFDEMISEGCTPNIVTYNILLDCLERRGKTEEAHKLYETMKQQGLIPDSITYSILERLESRSQRTVRIRKPTRISGWVVSPLR >ORUFI02G09450.1 pep chromosome:OR_W1943:2:6628523:6636018:1 gene:ORUFI02G09450 transcript:ORUFI02G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHHLLLSRALASHPLHHHLLPSPPPSSSLLPPLLPSPPRPPSPPSPLPGGRGVPHSSLAAASRHYASSTPGRRRRAPPMLLRRRRARRPPREGPAELNVQIGIEEALPDDPTILSIAEALQMDVAKAAKVAFDHLENSEYKTRDNCISDVNKYDTVEVSILLCDDDFIRKLNKEWRDEDHATDVLSMSQHIPGLDIPILQLGDIVISIDTAQRQAEERGHTLHDEIRILMVHGLLHLLGFDHELSKVAEEEMESGEEHILNSLDWKGKGLIKSAYDSIHDMDHSQSYVEASRDSDEINLREVHQPKLSHIVCDIDGIVDNEGYPHEESIESLREAVSRGINVITVTGKTRASTIRTFRLLDLGGKDRFISESSPGVFLQGSLVYGRHGQEIYRANLGLDICKEAFLYSLKYSIPLVAYHEEQCLTLFEHPLVDLLHTIHYETKVKVVPSVEDLLGYSSFQKLLFLDKVDGDSSVLRQHWSELTQGRARVIKAHSSMIEIVPLNASKGGGIRILLDHLGITEDSDLDAVGDYTRWLSNIFT >ORUFI02G09450.2 pep chromosome:OR_W1943:2:6628523:6636018:1 gene:ORUFI02G09450 transcript:ORUFI02G09450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHHLLLSRALASHPLHHHLLPSPPPSSSLLPPLLPSPPRPPSPPSPLPGGRGVPHSSLAAASRHYASSTPGRRRRAPPMLLRRRRARRPPREGPAELNVQIGIEEALPDDPTILSIAEALQMDVAKAAKVAFDHLENSEYKTRDNCISDVNKYDTVEVSILLCDDDFIRKLNKEWRDEDHATDVLSMSQHIPGLDIPILQLGDIVISIDTAQRQAEERGHTLHDEIRILMVHGLLHLLGFDHELSKVAEEEMESGEEHILNSLDWKGKGLIKSAYDSIHDMDHSQSYVEASRDSDEINLREVHQPKLSHIVCDIDGIVDNEGYPHEESIESLREAVSRGINVITVTGKTRASTIRTFRLLDLGGKDRFISESSPGVFLQGSLVYGRHGQEIYRANLGLDICKEAFLYSLKYSIPLVAYHEEQCLTLFEHPLVDLLHTIHYETKVKVVPSVEDLLGYSSFQKLLFLDKVDGDSSVLRQHWSELTQGRARVIKAHSSMIEIVPLNASKGGGIRILLDHLGITEDSDLDAVGDYTRWLSNIFT >ORUFI02G09450.3 pep chromosome:OR_W1943:2:6628523:6636018:1 gene:ORUFI02G09450 transcript:ORUFI02G09450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHHLLLSRALASHPLHHHLLPSPPPSSSLLPPLLPSPPRPPSPPSPLPGGRGVPHSSLAAASRHYASSTPGRRRRAPPMLLRRRRARRPPREGPAELNVQIGIEEALPDDPTILSIAEALQMDVAKAAKVAFDHLENSEYKTRDNCISDVNKYDTVEVSILLCDDDFIRKLNKEWRDEDHATDVLSMSQHIPGLDIPILQLGDIVISIDTAQRQAEERGHTLHDEIRILMVHGLLHLLGFDHELSKVAEEEMESGEEHILNSLDWKGKGLIKSAYDSIHDMDHSQSYVEASRDSDEINLREVHQPKLSHIVCDIDGIVDNEGYPHEESIESLREAVSRGINVITVTGKTRASTIRTFRLLDLGGKDRFISESSPGVFLQGSLVYGRHGQEIYRANLGLDICKEAFLYSLKYSIPLVAYHEEQCLTLFEHPLVDLLHTIHYETKVKVVPSVEDLLGYSSFQKLLFLDKVDGDSSVLRQHWSELTQGRARVIKAHSSMIEIVPLNASKGGGIRILLDHLGITEDSDLDAVGDYTRWLSNIFT >ORUFI02G09460.1 pep chromosome:OR_W1943:2:6633920:6635163:-1 gene:ORUFI02G09460 transcript:ORUFI02G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGELNLDTCLCLPKSGVQKYTGDKQPFAPGRMREACAPVPPGAHEEACIEQSDDRSEDGSFSGSSIGSSCSSASDLSDDGSSYRPGDYLEPSSSSSSASSSTLQLDSEGPLCDLSSLIAQLPIRRGLSNYYQGKSQSFTSISDATCVQDLAKKITYNKRMKACKSYAAGLDMNQRSNHLPKPCNKMIAKRPSKGSFTCLLSRPSSTSLLCSSAKPPTHQSKKDVQMHINS >ORUFI02G09470.1 pep chromosome:OR_W1943:2:6636552:6639829:-1 gene:ORUFI02G09470 transcript:ORUFI02G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLANPSRVVVAKSDPFTPSPSPNRSKNTRLLLHPSRAEQRRGQRSRRRGGGEGAMAARSFQARSPKEESDAAVHEAVTLGLKNAAISGTVVAVPTLVGCRVLPWAKANLNYTAQALIISAACIAGFFITADKAILRNARQNTIGKIDRLLNEESEV >ORUFI02G09480.1 pep chromosome:OR_W1943:2:6642026:6648201:1 gene:ORUFI02G09480 transcript:ORUFI02G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFVAVRGKPRSSPKRSGGGGGADPPLSLAMPTVADLHKTAELEKFLVEAGLYEGEEESAKREEVLREIDQIVKEWVKKVTIQKGYSEQMVKEANAVLFTFGSYRLGVHGPGADIDALCIGPSYVKREEEFFVMLYGALSEMEEVTELQPVPDAHVPVMKFKFRGLPIDLLYASVSLPVIPPDFDISQGSVLCDVDEATVRSLNGCRVADQILRLVPNAEIFRKTLRCLKYWAQRRGVYSNVTGLLGGVSWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIENDDNLGFAVWDPRKNPRDRSHVMPIITPAYPCMNSSYNVSTSTLRVIMEQFQFGNKICQEIELNKASWSSLFEPFQFFEAYTRYLVVDIVADDDDDLRLWKGWIESRLRQLTLKIERDTKGMLQCHPNPCEYADPSIQCAHCAFYMGLSRKEGMKIRGQKFDIRGTVDEFMHEIGMYTQWKSGMDLAVTHVRKKEIPLYVFEQGCQKTRPPTPICAEQQDQSDKNDSEVCTTTASLVGQLKRKYHSVGGADVDSFKSVRRASVSPACEEASIQLHDDANFGLTNCSTSPHGSEGSTVSGNSCAAVGTIGLVDETS >ORUFI02G09480.2 pep chromosome:OR_W1943:2:6642026:6648201:1 gene:ORUFI02G09480 transcript:ORUFI02G09480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFVAVRGKPRSSPKRSGGGGGADPPLSLAMPTVADLHKTAELEKFLVEAGLYEGEEESAKREEVLREIDQIVKEWVKKVTIQKGYSEQMVKEANAVLFTFGSYRLGVHGPGADIDALCIGPSYVKREEEFFVMLYGALSEMEEVTELQPVPDAHVPVMKFKFRGLPIDLLYASVSLPVIPPDFDISQGSVLCDVDEATVRSLNGCRVADQILRLVPNAEIFRKTLRCLKYWAQRRGVYSNVTGLLGGVSWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIENDDNLGFAVWDPRKNPRDRSHVMPIITPAYPCMNSSYNVSTSTLRVIMEQFQFGNKICQEIELNKASWSSLFEPFQFFEAYTRYLVVDIVADDDDDLRLWKGWIESRLRQLTLKIERDTKGMLQCHPNPCEYADPSIQCAHCAFYMGLSRKEGMKIRGQKFDIRGTVDEFMHEIGMYTQWKSGMDLAVTHVRKKEIPLYVFEQGCQKTRPPTPICAEQQDQSDKNDSEVCTTTASLVGQLKRKYHSVGGADVDSFKSVRRASVSPACEEASIQLHDDANFGLTNCSTSPHGSEGSTVSGNSCAAVGTIGLVDETS >ORUFI02G09480.3 pep chromosome:OR_W1943:2:6642026:6648201:1 gene:ORUFI02G09480 transcript:ORUFI02G09480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFVAVRGKPRSSPKRSGGGGGADPPLSLAMPTVADLHKTAELEKFLVEAGLYEGEEESAKREEVLREIDQIVKEWVKKVTIQKGYSEQMVKEANAVLFTFGSYRLGVHGPGADIDALCIGPSYVKREEEFFVMLYGALSEMEEVTELQPVPDAHVPVMKFKFRGLPIDLLYASVSLPVIPPDFDISQGSVLCDVDEATVRSLNGCRVADQILRLVPNAEIFRKTLRCLKYWAQRRGVYSNVTGLLGGVSWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIENDDNLGFAVWDPRKNPRDRSHVMPIITPAYPCMNSSYNVSTSTLRVIMEQFQFGNKICQEIELNKASWSSLFEPFQFFEAYTRYLVVDIVADDDDDLRLWKGWIESRLRQLTLKIERDTKGMLQCHPNPCEYADPSIQCAHCAFYMGLSRKEGMKIRGQKFDIRGTVDEFMHEIGMYTQWKSGMDLAVTHVRKKEIPLYVFEQGCQKTRPPTPICAEQQDQSDKNDSEVCTTTASLVGQLKRKYHSVGGADVDSFKSVRRASVSPACEEASIQLHDDANFGLTNCSTSPHGSEGSTVSGNSCAAVGTIGLVDETS >ORUFI02G09480.4 pep chromosome:OR_W1943:2:6642026:6647682:1 gene:ORUFI02G09480 transcript:ORUFI02G09480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFVAVRGKPRSSPKRSGGGGGADPPLSLAMPTVADLHKTAELEKFLVEAGLYEGEEESAKREEVLREIDQIVKEWVKKVTIQKGYSEQMVKEANAVLFTFGSYRLGVHGPGADIDALCIGPSYVKREEEFFVMLYGALSEMEEVTELQPVPDAHVPVMKFKFRGLPIDLLYASVSLPVIPPDFDISQGSVLCDVDEATVRSLNGCRVADQILRLVPNAEIFRKTLRCLKYWAQRRGVYSNVTGLLGGVSWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIENDDNLGFAVWDPRKNPRDRSHVMPIITPAYPCMNSSYNVSTSTLRVIMEQFQFGNKICQEIELNKASWSSLFEPFQFFEAYTRYLVVDIVADDDDDLRLWKGWIESRLRQLTLKIERDTKGMLQCHPNPCEYADPSIQCAHCAFYMGLSRKEGMKIRGQKFDIRGTVDEFMHEIGMYTQWKSGMDLAVTHVRKKEIPLYVFEQGCQKTRPPTPICAEQQDQSDKNDSEVCTTTASLVGQLKRKYHSVGGADVDSFKSVRRASVSPACEEASIQLHDDANFGLTNCSTSPHGSEGSTVSGNSCAAVGTIGLVDETS >ORUFI02G09480.5 pep chromosome:OR_W1943:2:6642026:6648201:1 gene:ORUFI02G09480 transcript:ORUFI02G09480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFVAVRGKPRSSPKRSGGGGGADPPLSLAMPTVADLHKTAELEKFLVEAGLYEGEEESAKREEVLREIDQIVKEWVKKVTIQKGYSEQMVKEANAVLFTFGSYRLGVHGPGADIDALCIGPSYVKREEEFFVMLYGALSEMEEVTELQPVPDAHVPVMKFKFRGLPIDLLYASVSLPVIPPDFDISQGSVLCDVDEATVRSLNGCRVADQILRLVPNAEIFRKTLRCLKYWAQRRGVYSNVTGLLGGVSWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIENDDNLGFAVWDPRKNPRDRSHVMPIITPAYPCMNSSYNVSTSTLRVIMEQFQFGNKICQEIELNKASWSSLFEPFQFFEAYTRYLVVDIVADDDDDLRLWKGWIESRLRQLTLKIERDTKGMLQCHPNPCEYADPSIQCAHCAFYMGLSRKEGMKIRGQKFDIRGTVDEFMHEIGMYTQWKSGMDLAVTHVRKKEIPLYVFEQGCQKTRPPTPICAEQQDQSDKNDSEVCTTTASLVGQLKRKYHSVGGADVDSFKSVRRASVSPACEEASIQLHDDANFGLTNCSTSPHGSEGSTVSGNSCAAVGTIGLVDETS >ORUFI02G09490.1 pep chromosome:OR_W1943:2:6651980:6656503:-1 gene:ORUFI02G09490 transcript:ORUFI02G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSEAVGFGKPWPQEEQIRWSRPPGDWIRRSYGEKARRRRGNGEEESAPPRRELGGSKEERAPLWRKRGGAAMSPGETLEERSEERLKNLTSIDLSYNNLTGDFSTVLYACSALELLDLSNNQLSGRLPDDIDKLSSEMQHLNLSNNGFNIGVVPSAIGRLSKLKSLQLDTNSFINNVSYPGAAIGGLAELDTPALASNPARWTTDHLVHNAVDVNRISSTGTTTGDPLYRLGVIDDSSDTYRRLTVKKMQNETRSVDAELENRRQTEETTLGMIVHPNIIILLGYIRRNEMILILYEDMENGSLDKWIHHNTQAGERRLRPPLGWRKRLAIVIDVAGAILYMHHGCRRPIIHGDIKPANILLDGNFKAKISGFSYARRPQHAVGKRPSSCRGLRVFCSRIDWLPFNFAAEYADTEVSEKVDVYSFGVVLLEIVTGKVVNDQHGHLTTWAKAYLNTWARHGYDDKLFTDDVLDNDIASDAARYLKEMKAVFKLGVECTMMDPQRRPSMQTVLRRLRQCARWWRRFPCFV >ORUFI02G09500.1 pep chromosome:OR_W1943:2:6664215:6667285:1 gene:ORUFI02G09500 transcript:ORUFI02G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYSILILFLLLLIFSISNSQSAAQPSADEQTLLLAIKQDWDNPAPLSSWSSTGNWTGVIYNNITGQVTGLSLPSFHIARPNPPSVCRLKNLTYIDLSFNNLTGDFPTVLYGCSALEFLDLSNNQLSGKLPDRIDRLSLGMQHLNLSSNAFTGDVPLAIGRFSKLKSLVLDTNSFNGNYPGAAIGGLLELETLTLAKNPFEPGPVPKEFGNLTKLKLLWLSCMNMTGTIPDDLSAHTAGLELDLSMNKFSGSIPEDIANLKKLRLLYLYYNNLTGPIPAGVGMMPNLTDIRLFNNKLSGPLPAELGKHSELGNFEVSNNNLSGELPDTLCFNKKLFDIVVFNNSFSGVFPTNLGDCKTINNIMAYNNHFVGDFPKKIWSFELLTNVMIYNNFTGTLPSEISFNILRIEMGNNRFSGALPSAAVGLKSFTAENNQFSGELPTDMSRLANLTMLNLAGNQLLTTVKIYINNFASTLPSNKIVSITTNKILQMLLNATTPVGRLAEGELEAGPAAALLLSLINFFTGQRPKQSTGVTRSSVLVLLAVKFTHVHVLEQMALVSADTN >ORUFI02G09510.1 pep chromosome:OR_W1943:2:6671937:6675289:-1 gene:ORUFI02G09510 transcript:ORUFI02G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTDNLLLLLLLFFSGSSAELDDGGELQTLLTIKRHWGRPAAFSSWEVRSSNSFGYCDWVGVACTDGQVTSLSFQSFQIANPIPASICSLKNLKYLDLSYNNLTGDFPTVLYNCSALQFLDLSNNELTGSLPSNIDKLSLGMQHLNLSSNYFIGDVPSAIARFLKLKSLVLDTNSFNGSYTGASIGGLVELEILTLASNPFMPGPIPNEFSKLTKLTYLWLSWMNLTGDIPDALSALKELILLDLSKNKMQGKIPKWIWKLQKLEMLYLFASNFSGEIGPDISTLNMQELDLSMNKLTGSIPEDIANLKNLRLLYLYYNNLTGSIPKGVSMLPNLTDIRLFNNKLSGPLPPELGKYSELGNFEVSNNNLSGELPDTLCFNKKLYDLVVFNNSFSGVFPMNLGDCDTINNIMAYNNHFVGDFPENIWSFAKLINIMIYNNNFTGNLPSEISFNITRIEIGNNMFSGALPSAAVALKNFMAENNQFSGALPDDMSRFANLTELDLAGNRLSGLIPPSMQSLTKLTSLNLSSNQISGEIPAVLGLMDLNILDLSNNKLTGHIPQEFNDLHVNFLNLSSNQLSGEVPAALQTLAYEDSFLDNPSLCCQSESGMHIRTCPWSQSMSHDHLALSIRAILVILPCITLASVAITGWLLLLRRKKGPQDVTSWKMTQFRTIDFTEHDIVSNISECNVIGRGGSGKVYRIHLGGDIKAGRHGGGCTPRTVAVKRIGNTSKLDTNLDKEFESEVRTLGDLRHSNIVDLLCCISSQETKLLVYEHMENGSLDQWLHRYKRAGKSGPLDWPTRVAIAIDVARGLSYMHEEFVQPVIHRDVKCSNILLDREFRAKIADFGLARILAKSGESESASAVCGTFGYIAPEYVYRSKVSVKVDVYSFGVVLLELATGRGPEDGGTESGSCLAKWASKRYNNGGPVADLVDGEIQDPSYLDDMVAVFELGVVCTSEEPASRPPMSDVLHRLMQFDHSGTHSDGVVAKGVFDIDDSSDCIV >ORUFI02G09520.1 pep chromosome:OR_W1943:2:6675323:6676768:-1 gene:ORUFI02G09520 transcript:ORUFI02G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQVMSGGGKPSPAFAGPTTMGVVVPSHPLRVFAGRKPSLDSFESLTDGGSGFPSLLSLETSLTSPH >ORUFI02G09530.1 pep chromosome:OR_W1943:2:6680944:6687165:-1 gene:ORUFI02G09530 transcript:ORUFI02G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAIAGSRPGGEVGNMEAMLLHRFIPQISSIVAANASTDELQCRLEETMLGIIRHDNIVVLRGSIQSDDDDGTVQLVYEDMENGCCLHEWLHGNRRSQLEAGERQRRRRLRWRARRSIAVDVARAICYLHHDCKSPIIHRDIKPTNILLDGNLKAKIAGFGLARINVAGLNQPLLNVEIPSEAFGYTAPEYATAQRGYGRHLSKDVVDKEIVPDMARYLKEMKAMFKLGIGCRNGQVTSLSFHNFQIANLIPASICSLKNLKYLDLSFNNLTGEFPTALYSCSALQFLDLSNNEFTGKLPEHVDKLSLGMQHLNLSSNSFIGDLPSAIGRFSKLKSLVLDSNNFNGTYQGAAIGGLVELEMLTLAYNPFKASLIPNEFGKLTKLTYLWLSWMNLIGNIPNVLSALTELELLDISINKLEGKNPKELDLSINKLTGSIPEDIVNLKNLKILYLYYNNLVGQIPSGVGMLPNLTDLRLFTCHGVFPVSLGDCDTIHIIKASNNHFVGDFPEKIWSCAMLTIVMIGGNNFTGTLPRNNRFSGAIPTSSATGLHRFLAKNNLFSGMLPPDMSKLANLVRLDLSRNQLSGLIPTYYHRALQKSDHK >ORUFI02G09530.2 pep chromosome:OR_W1943:2:6676787:6680944:-1 gene:ORUFI02G09530 transcript:ORUFI02G09530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKNVDSRLLFLLLLIIGCAAVPAVQCRSNGDMLGEKINIPPGPLCAKRPDLCKNCWCCEVSDGQCYQSLEACQEKRGDPSAAGMKMAARMATAGRTRSRRKRIRPPEDGSGAGRGCGGSGAAELRRRCRSQRRRLRGDGDGGNGVELVAMVAAAVGRRRQHGGWSTETAAAGGCGNGRRGARGDGRGWTAARGLRLVGGEVSVGSSGCGGGDRIYGWRRHGGLGRLTGAIGGVGGRLGAERRSRWQWRPVRRKRRGRRRGGPLGARGVTDGGRPNWRERRVRWWRPAQHDEVRPAVKEATTVRYGAAGGVARSWVHEGWLEGAPVQWSHMSAEVERW >ORUFI02G09540.1 pep chromosome:OR_W1943:2:6694611:6695446:-1 gene:ORUFI02G09540 transcript:ORUFI02G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEFYKIKTVPLPSHFPSILFLFRLLPSFSHHLPGVKPERELAGKVNEPNLERGADPGVGLLQAEPPPIARSIRRDWQIQRWARPTNPVVAVSDDSGVGRNRRKPRLRVESDRRRDPLLPHRCSTSAPALASRSVSTSRRPLSLTAVFSLLSSSAGLSKCLLAACRERRKRQDTSPPSLSLRRHRQACSRHAVPDPPTLAPPPKLSKLAAAMDGWTTAGGIAATAPARDLACLGLAADYSELASLALGRNARKKEPPLP >ORUFI02G09550.1 pep chromosome:OR_W1943:2:6700250:6700573:-1 gene:ORUFI02G09550 transcript:ORUFI02G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGSGGRHGARWRDQRRWRRRARCDKEELPVGAVRSTTHEGWPAGGADAVVPCASRSLMVVEHRSASCGLAWFHVPAEV >ORUFI02G09550.2 pep chromosome:OR_W1943:2:6699625:6700250:-1 gene:ORUFI02G09550 transcript:ORUFI02G09550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEHRSASCGLAGGDWRVKTQPSLDQAGNDDRRSVMLSGGRFGASLLLDLCVGVAGVWVVVYFFSFPGYDFPGL >ORUFI02G09560.1 pep chromosome:OR_W1943:2:6708645:6708857:-1 gene:ORUFI02G09560 transcript:ORUFI02G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVLEQLVQYDRTFSVAAACRDDSGGAPSFSKGKKDGKWKSSSAGMMAGKMWGAGASDEESGSFVAHPV >ORUFI02G09570.1 pep chromosome:OR_W1943:2:6710708:6713880:-1 gene:ORUFI02G09570 transcript:ORUFI02G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYNILILFLLLLLFSLSTAQPSADEQTLLLAIKQDWDNPAPLSSWSSTGNWTGVISSSTGQVTGLSLPSLHIARPIPASVCSLKNLTYIDLSCNNLTGDFPTVLYGCSALEFLDLSNNQLSGRLPDRIDRLSLGMQHLNLSSNAFTGDVPSAIARFSKLKSLALDTNRFNGNYPGAAIGGLVELETLTLASNPFEPGPVPKEFGKLTKLKMLWLSWMNLTGTIPDDLSSLMELTLLDLSQNKMQGQIPEWVLKHQKLENLYLYASNLSGEIGPNITALNLQELDLSMNKFSGSIPEDIANLKKLRLLYLYYNNLTGPIPAGVGMMPDLTDIRLFNNKLSGPLPAELGKHSELGNFEVSNNNLSGELPDTLCFNKKLFDIVVFNNSFSGVFPTNLGDCKTINNIMAYNNHFVGDFPKKIWSFELLTNVMIYNNNFTGTLPSEISFNISRIEMENNRFSGALPSTAVGLKSFTAENNQFSGELPADMSRLANLTELNLAGNQLSGSIPPSIKSLTSLTSLNLSRNQISGEIPAAVGWMGLYILDLSDNGLTGDIPQDFSNLHLNFLNLSSNQLSGEVPETLQNGAYDRSFLGNHGLCATVNTNMNLPACPHQSHNKSSTNLIIVFSVLTGVVFIGAVAIWLLIIRHQKRQQDLAGWKMTPFRTLHFSECDVLGNLHEENVIGSGGSGKVYRINIGGKGSAGMVVAVKRLWRTAAKSDAKSDKEFDAEVRILGEVRHINIIDLLCCISGDDTKLLVYEYMENGSPARGLPRRDDGGAPTAPLQWPTRLCIAIDAARGLSYMHHECAQPIMHRDVKSSNILLDPAFRAKIADFGLARILAKSGEPNSISAIGGTFGYMAPEYGCRAKVNEKVDVYAFGVVLLELTTGRVANDGGADWCLAEWAWRRYKAGGELHDVVDEAIQDRAAFLEDAVAVFLLGMICTGDDPASRPTMKEVLEQLVQYDRTSSVAAACRDDSGGAPSFSKGKKDGKGKSSSAGTTAGKMWGAGTGDEESGSFVAHPV >ORUFI02G09580.1 pep chromosome:OR_W1943:2:6726385:6727579:-1 gene:ORUFI02G09580 transcript:ORUFI02G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCEDPPSSASAPAPPPRRAPLKPPRGTPAPLLLPPTPSHGFHRRGGRGALIDPPLPVDDEATASRVLTTSSPAVAVSAFFSSSFSGRIGRGISLSSRRA >ORUFI02G09590.1 pep chromosome:OR_W1943:2:6734532:6737489:-1 gene:ORUFI02G09590 transcript:ORUFI02G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASESMKKISRGRLGGSWMGEPSDHHRHGDEQEEEEKTLELSLGLPGGGWRAACRDKGTTTKHSIAAAAAADDDDGDKSSMLSLGYSTLVSHSQGKANKNKGSPEEEEAHPPPATGNNALASNNNGCFQTRSPSTPVVGWPPVRTFRRNLATSSKASLELQNGKKAAKAEEIKRAPFIKINMDGVPIGRKIDLNAFDSYEKLSLAVDKLFRGLLAAQRDPLTAGAKDCQQEDVAISGLLDGTGEYTLVYEDYEGDKVLVGDVPWGMFVSSVKRLRVLKTSDLSSSVSTSFHLSSITSNSVISALF >ORUFI02G09600.1 pep chromosome:OR_W1943:2:6748014:6749398:-1 gene:ORUFI02G09600 transcript:ORUFI02G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKTAPAVTLRTRKFMTNRLLSRKQFVLEVLHPGRANVSKADLKEKLAKLYEVKDSNCIFVFKFRTHFGGGKSTGFGLIYDNLDAAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKK >ORUFI02G09610.1 pep chromosome:OR_W1943:2:6762121:6767808:1 gene:ORUFI02G09610 transcript:ORUFI02G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALVAIAASIGNLLQGWDNATIAGAVLYIKKEFKLESEPTVEGLIVAMSLIGATIITTFSGPVSDWIGRRPMLILSSILYFLSSLIMLWSPNVYVLLLARLIDGFGIGLAVTLVPLYISETAPSEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAIPSLFFFGLTIFYLPESPRWLVSKGRMAEAKKVLQKLRGREDVSGEMALLVEGLEVGADTSIEEYIIGPAIEPADEHVVDGDKDQITLYGPEEGQSWIARPSKGPSILGSVLSLTSRHGSMVNQSVPLMDPIVTLFGSVHENMPHAGGSMRSTLFPNFGSMFSVTDQHPKVDQWDEENLHRDDEEYASDGAGGDYEDNVHSPLLSRQTTSAEGKDIAHHAHRGSALSMRRRSLLEEGGEAVSSTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHQEEVPGSRRGSVISLPGGGDAPEGSEFIHAAALVSQPALYSKDIIEQRMSGPAMIHPSEAAAKGSSWKDLFEPGVRRALLVGVGIQILQQFAGINGVLYYTPQILEQAGVAVLLSNLGLSSASASILISSLTTLLMLPSIGLAMRLMDISGRRFLLLGTIPVLIASLVVLVVSNVIDLGTVAHAALSTISVIIYFCCFVMGFGPIPNILCAEIFPTRVRGICIAICALTFWIGDIIVTYSLPVMLNAIGLAGVFGIYAVVCSIAFVFVFLKVPETKGMPLEVITEFFAVGAKQMQATKA >ORUFI02G09620.1 pep chromosome:OR_W1943:2:6767769:6774266:-1 gene:ORUFI02G09620 transcript:ORUFI02G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVVNCILALKDRFGSRGGDDHRNPGFLTRCDSEGGRKRVESKLQRMLTSPIMSEPSSPVFQLKQGGYADQLGGKYSDLLKSTSLDNAPTQSLLGVFNSILDESIERKNGQIPYRIACLLRKVILEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEVLAGGMEKDKFGDKGQLAVEDMERLMKYQDDVVRLMKENEDLVRLLREKEDMVRLLKEKEDMEEMVRLLKEKEDIIRLMKEKEDMVYLEKGEVEDRKQMTDDIKDKLIKEKDDIIIKLLEEKEDIISLMKQKEDMFMSIKEKENKAELKKIADEDAARSIKDKAEIMRLMKEKEDGNNTILKLKKESETLRSSYEESCRLLESKKEDVARLLTDKENNDSIISELKKELEETKRLHEAHSQQLETKAAQVSKELEQRIEEVKLMLDDSTKRRIELEELSETRIQFWKKKEVVIDQFVSLQVQNVQDLKLSSVSVRHEILNCQNKWSEELAGLGKSLKVVTNTAEKYHGALAENRKLFNEIQELKGNIRVYCRIRPFRPGEDDKSSSVEYIGDNGELVLSNPTKQGKEGGKNFTFNKVFGPITTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISHDRRDTITYELCVQMIEIYNEQIRDLLGSGGNTIQPNGLAVPDATMCPVTSTSHVIELMQTGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPDVSSYTETLSTLKFAERVSGVELGVARSNKEGKEGKDVKELMDQLSLLKDTISKKDEEIDRLQLLNSSTRLKPTRQADSVLKHSSSSPGITSLGKGTSVGSGAASDLDNFSDTSDRQSEAGSMLSVDPEISGLADVDSDGRLSDASDGISMGAEADSSVSNVADQEQEKTSNTAAKERLTRAVNRVQKLTLPKAGQSSSLRPKPRDPAPARSSAATGVRKSSTSQATPPLARNNSTLKRGP >ORUFI02G09620.2 pep chromosome:OR_W1943:2:6767668:6774266:-1 gene:ORUFI02G09620 transcript:ORUFI02G09620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVVNCILALKDRFGSRGGDDHRNPGFLTRCDSEGGRKRVESKLQRMLTSPIMSEPSSPVFQLKQGGYADQLGGKYSDLLKSTSLDNAPTQSLLGVFNSILDESIERKNGQIPYRIACLLRKVILEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEVLAGGMEKDKFGDKGQLAVEDMERLMKYQDDVVRLMKENEDLVRLLREKEDMVRLLKEKEDMVRLLKEKEGMINLKTVKAEETQRIEDEDKYRIIKEKDDALDRLVKEKEEMIRLLKEKDDVVRLMKEKEDLLNLEKGEVEGTTKMTDDNKDRLIKEKNDVVLRLTEEKEEMVRLLKEKEDIIRLMKEKEDMVYLEKGEVEDRKQMTDDIKDKLIKEKDDIVFRLTKEKEEIIKLLEEKEDIIILMKEKEDMVNLGKGEDEDRKQMADDNKDRLIKEKDDIVVRLTKEKEEIIKEKDDIVVRLTKEKEQIIKLLEEKEDIISLMKQKEDMFMSIKEKENKAELKKIADEDAARSIKDKAEIMRLMKEKEDGNNTILKLKKESETLRSSYEESCRLLESKKEDVARLLTDKENNDSIISELKKELEETKRLHEAHSQQLETKAAQVSKELEQRIEEVKLMLDDSTKRRIELEELSETRIQFWKKKEVVIDQFVSLQVQNVQDLKLSSVSVRHEILNCQNKWSEELAGLGKSLKVVTNTAEKYHGALAENRKLFNEIQELKGNIRVYCRIRPFRPGEDDKSSSVEYIGDNGELVLSNPTKQGKEGGKNFTFNKVFGPITTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISHDRRDTITYELCVQMIEIYNEQIRDLLGSGGNTIQPNGLAVPDATMCPVTSTSHVIELMQTGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVNPDVSSYTETLSTLKFAERVSGVELGVARSNKEGKEGKDVKELMDQLSLLKDTISKKDEEIDRLQLLNSSTRLKPTRQADSVLKHSSSSPGITSLGKGTSVGSGAASDLDNFSDTSDRQSEAGSMLSVDPEISGLADVDSDGRLSDASDGISMGAEADSSVSNVADQEQEKTSNTAAKERLTRAVNRVQKLTLPKAGQSSSLRPKPRDPAPARSSGEQQQVYEKVQLPRQLLPLQEIIMDRKAML >ORUFI02G09620.3 pep chromosome:OR_W1943:2:6767769:6774266:-1 gene:ORUFI02G09620 transcript:ORUFI02G09620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVVNCILALKDRFGSRGGDDHRNPGFLTRCDSEGGRKRVESKLQRMLTSPIMSEPSSPVFQLKQGGYADQLGGKYSDLLKSTSLDNAPTQSLLGVFNSILDESIERKNGQIPYRIACLLRKVILEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEVLAGGMEKDKFGDKGQLAVEDMERLMKYQDDVVRLMKENEDLVRLLREKEDMVRLLKEKEDMVRLLKEKEGMINLKTVKAEETQRIEDEDKYRIIKEKDDALDRLVKEKEEMIRLLKEKDDVVRLMKEKEDLLNLEKGEVEGTTKMTDDNKDRLIKEKNDVVLRLTEEKEEMVRLLKEKEDIIRLMKEKEDMVYLEKGEVEDRKQMTDDIKDKLIKEKDDIVFRLTKEKEEIIKLLEEKEDIIILMKEKEDMVNLGKGEDEDRKQMADDNKDRLIKEKDDIVVRLTKEKEEIIKEKDDIVVRLTKEKEQIIKLLEEKEDIISLMKQKEDMFMSIKEKENKAELKKIADEDAARSIKDKAEIMRLMKEKEDGNNTILKLKKESETLRSSYEESCRLLESKKEDVARLLTDKENNDSIISELKKELEETKRLHEAHSQQLETKAAQVSKELEQRIEEVKLMLDDSTKRRIELEELSETRIQFWKKKEVVIDQFVSLQVQNVQDLKLSSVSVRHEILNCQNKWSEELAGLGKSLKVVTNTAEKYHGALAENRKLFNEIQELKGNIRVYCRIRPFRPGEDDKSSSVEYIGDNGELVLSNPTKQGKEGGKNFTFNKVFGPITTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISHDRRDTITYELCVQMIEIYNEQIRDLLGSGGNTIQPNGLAVPDATMCPVTSTSHVIELMQTGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPDVSSYTETLSTLKFAERVSGVELGVARSNKEGKEGKDVKELMDQLSLLKDTISKKDEEIDRLQLLNSSTRLKPTRQADSVLKHSSSSPGITSLGKGTSVGSGAASDLDNFSDTSDRQSEAGSMLSVDPEISGLADVDSDGRLSDASDGISMGAEADSSVSNVADQEQEKTSNTAAKERLTRAVNRVQKLTLPKAGQSSSLRPKPRDPAPARSSAATGVRKSSTSQATPPLARNNSTLKRGP >ORUFI02G09620.4 pep chromosome:OR_W1943:2:6767668:6774266:-1 gene:ORUFI02G09620 transcript:ORUFI02G09620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVVNCILALKDRFGSRGGDDHRNPGFLTRCDSEGGRKRVESKLQRMLTSPIMSGYADQLGGKYSDLLKSTSLDNAPTQSLLGVFNSILDESIERKNGQIPYRIACLLRKVILEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEVLAGGMEKDKFGDKGQLAVEDMERLMKYQDDVVRLMKENEDLVRLLREKEDMVRLLKEKEDMVRLLKEKEGMINLKTVKAEETQRIEDEDKYRIIKEKDDALDRLVKEKEEMIRLLKEKDDVVRLMKEKEDLLNLEKGEVEGTTKMTDDNKDRLIKEKNDVVLRLTEEKEEMVRLLKEKEDIIRLMKEKEDMVYLEKGEVEDRKQMTDDIKDKLIKEKDDIVFRLTKEKEEIIKLLEEKEDIIILMKEKEDMVNLGKGEDEDRKQMADDNKDRLIKEKDDIVVRLTKEKEEIIKEKDDIVVRLTKEKEQIIKLLEEKEDIISLMKQKEDMFMSIKEKENKAELKKIADEDAARSIKDKAEIMRLMKEKEDGNNTILKLKKESETLRSSYEESCRLLESKKEDVARLLTDKENNDSIISELKKELEETKRLHEAHSQQLETKAAQVSKELEQRIEEVKLMLDDSTKRRIELEELSETRIQFWKKKEVVIDQFVSLQVQNVQDLKLSSVSVRHEILNCQNKWSEELAGLGKSLKVVTNTAEKYHGALAENRKLFNEIQELKGNIRVYCRIRPFRPGEDDKSSSVEYIGDNGELVLSNPTKQGKEGGKNFTFNKVFGPITTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISHDRRDTITYELCVQMIEIYNEQIRDLLGSGGNTIQPNGLAVPDATMCPVTSTSHVIELMQTGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVNPDVSSYTETLSTLKFAERVSGVELGVARSNKEGKEGKDVKELMDQLSLLKDTISKKDEEIDRLQLLNSSTRLKPTRQADSVLKHSSSSPGITSLGKGTSVGSGAASDLDNFSDTSDRQSEAGSMLSVDPEISGLADVDSDGRLSDASDGISMGAEADSSVSNVADQEQEKTSNTAAKERLTRAVNRVQKLTLPKAGQSSSLRPKPRDPAPARSSGEQQQVYEKVQLPRQLLPLQEIIMDRKAML >ORUFI02G09620.5 pep chromosome:OR_W1943:2:6767769:6774266:-1 gene:ORUFI02G09620 transcript:ORUFI02G09620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVVNCILALKDRFGSRGGDDHRNPGFLTRCDSEGGRKRVESKLQRMLTSPIMSGYADQLGGKYSDLLKSTSLDNAPTQSLLGVFNSILDESIERKNGQIPYRIACLLRKVILEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEVLAGGMEKDKFGDKGQLAVEDMERLMKYQDDVVRLMKENEDLVRLLREKEDMVRLLKEKEDMVRLLKEKEGMINLKTVKAEETQRIEDEDKYRIIKEKDDALDRLVKEKEEMIRLLKEKDDVVRLMKEKEDLLNLEKGEVEGTTKMTDDNKDRLIKEKNDVVLRLTEEKEEMVRLLKEKEDIIRLMKEKEDMVYLEKGEVEDRKQMTDDIKDKLIKEKDDIVFRLTKEKEEIIKLLEEKEDIIILMKEKEDMVNLGKGEDEDRKQMADDNKDRLIKEKDDIVVRLTKEKEEIIKEKDDIVVRLTKEKEQIIKLLEEKEDIISLMKQKEDMFMSIKEKENKAELKKIADEDAARSIKDKAEIMRLMKEKEDGNNTILKLKKESETLRSSYEESCRLLESKKEDVARLLTDKENNDSIISELKKELEETKRLHEAHSQQLETKAAQVSKELEQRIEEVKLMLDDSTKRRIELEELSETRIQFWKKKEVVIDQFVSLQVQNVQDLKLSSVSVRHEILNCQNKWSEELAGLGKSLKVVTNTAEKYHGALAENRKLFNEIQELKGNIRVYCRIRPFRPGEDDKSSSVEYIGDNGELVLSNPTKQGKEGGKNFTFNKVFGPITTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISHDRRDTITYELCVQMIEIYNEQIRDLLGSGGNTIQPNGLAVPDATMCPVTSTSHVIELMQTGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPDVSSYTETLSTLKFAERVSGVELGVARSNKEGKEGKDVKELMDQLSLLKDTISKKDEEIDRLQLLNSSTRLKPTRQADSVLKHSSSSPGITSLGKGTSVGSGAASDLDNFSDTSDRQSEAGSMLSVDPEISGLADVDSDGRLSDASDGISMGAEADSSVSNVADQEQEKTSNTAAKERLTRAVNRVQKLTLPKAGQSSSLRPKPRDPAPARSSAATGVRKSSTSQATPPLARNNSTLKRGP >ORUFI02G09620.6 pep chromosome:OR_W1943:2:6767769:6774266:-1 gene:ORUFI02G09620 transcript:ORUFI02G09620.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVVNCILALKDRFGSRGGDDHRNPGFLTRCDSEGGRKRVESKLQRMLTSPIMSGYADQLGGKYSDLLKSTSLDNAPTQSLLGVFNSILDESIERKNGQIPYRIACLLRKVILEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEVLAGGMEKDKFGDKGQLAVEDMERLMKYQDDVVRLMKENEDLVRLLREKEDMVRLLKEKEDMVRLLKEKEGMINLKTVKAEETQRIEDEDKYRIIKEKDDALDRLVKEKEEMIRLLKEKDDVVRLMKEKEDLLNLEKGEVEGTTKMTDDNKDRLIKEKNDVVLRLTEEKEEMVRLLKEKEDIIRLMKEKEDMVYLEKGEVEDRKQMTDDIKDKLIKEKDDIVFRLTKEKEEIIKLLEEKEDIIILMKEKEDMVNLGKGEDEDRKQMADDNKDRLIKEKDDIVVRLTKEKEEIIKEKDDIVVRLTKEKEQIIKLLEEKEDIISLMKQKEDMFMSIKEKENKAELKKIADEDAARSIKDKAEIMRLMKEKEDGNNTILKLKKESETLRSSYEESCRLLESKKEDVARLLTDKENNDSIISELKKELEETKRLHEAHSQQLETKAAQVSKELEQRIEEVKLMLDDSTKRRIELEELSETRIQFWKKKEVVIDQFVSLQVQNVQDLKLSSVSVRHEILNCQNKWSEELAGLGKSLKVVTNTAEKYHGALAENRKLFNEIQELKGNIRVYCRIRPFRPGEDDKSSSVEYIGDNGELVLSNPTKQGKEGGKNFTFNKVFGPITTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISHDRRDTITYELCVQMIEIYNEQIRDLLGSGGNTIQPNGLAVPDATMCPVTSTSHVIELMQTGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVNPDVSSYTETLSTLKFAERVSGVELGVARSNKEGKEGKDVKELMDQLSLLKDTISKKDEEIDRLQLLNSSTRLKPTRQADSVLKHSSSSPGITSLGKGTSVGSGAASDLDNFSDTSDRQSEAGSMLSVDPEISGLADVDSDGRLSDASDGISMGAEADSSVSNVADQEQEKTSNTAAKERLTRAVNRVQKLTLPKAGQSSSLRPKPRDPAPARSSAATGVRKSSTSQATPPLARNNSTLKRGP >ORUFI02G09630.1 pep chromosome:OR_W1943:2:6774316:6774741:-1 gene:ORUFI02G09630 transcript:ORUFI02G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNAAAAAASPDPSRRREDVVGWLLALFPDLPLPPPPEATDEDLRAALATGRLLCALLRRLCPGALLDDASTDNVGRFRAAVERMGVAKFSASDLAPPSFAAMPN >ORUFI02G09640.1 pep chromosome:OR_W1943:2:6788735:6788992:1 gene:ORUFI02G09640 transcript:ORUFI02G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLPEVAMRVRGVGFIGNEAGGLTMASESFSGEVAMAPFGWAFLAQCGHMVRPVDKLSRHESQEISSLTREVDGQQWHRVVRG >ORUFI02G09650.1 pep chromosome:OR_W1943:2:6789550:6790635:1 gene:ORUFI02G09650 transcript:ORUFI02G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGGGKVVAAEPGVARRLWRVVRAVLYMLRRGLQAPSGRKLAMDLHLLLRRGKIAGKALGHLVTFHHHHHNHGHGFSASAAAAGSSSLSCRGIDPALAVYEPSRGRRREVEFSCSNTPSSTTAPLRLLRRVPQQRRQHGYDAAYVARVFEMLNDSEHLFNDDDAAVAVAPATAETTPLWTPARSHHSHSPAPAAPSRHRGRTTDSPFAASNGDEAGGGAQQQVDRKADEFIRRFYEQLRAQRSVAATPDYYGASPYAGRRAPRPVAAGIA >ORUFI02G09660.1 pep chromosome:OR_W1943:2:6798503:6801238:1 gene:ORUFI02G09660 transcript:ORUFI02G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGEASSAVVAAVAPFLATFIGNHHLGHGGDGHGHKEMELLATTTGGGGGGGGESIPAEEQQQVVEEAECECCGMSEECTAAYAGAVRRRFSGRWVCGLCAEAVAEEAGKKKGGEREAALAAHMAAAVDPIQPDSLQKKTMLSGRFNSNEMQKISIASRKTKGLESLPRAAAPECRLSLSRHAETRLCKTSRRSSVSGCPAAKTSTYICTKDGVLQLW >ORUFI02G09670.1 pep chromosome:OR_W1943:2:6802312:6802656:-1 gene:ORUFI02G09670 transcript:ORUFI02G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRSFSRPAAAFLRSAAGRSPAASLPRAVAPVTRAASVGRLVGLARSLQPLHSAVAEARLTSRLGAEVARAVSQGTLCSSFPGV >ORUFI02G09680.1 pep chromosome:OR_W1943:2:6803168:6803467:1 gene:ORUFI02G09680 transcript:ORUFI02G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPQQTARKHCYVNLGKGDDSARRLSSLPSLLAPHAAASFPLFSFPTLTSSSASTLTPCPDDNVDFDTSEDHRPSINLHVSSTSLLRSRHFATLLSDR >ORUFI02G09690.1 pep chromosome:OR_W1943:2:6805111:6808239:1 gene:ORUFI02G09690 transcript:ORUFI02G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDPVDALAAAAEPMEVEADQCLDLTSCQLHDLSEVEIPPTLEELDLTANRLAAVDPRIGRLPGLRKLSFRQNLLDDAAVAPLSTWADIAGLQELVFRDNKLTTIPDASIFKGLLVFDVSFNEISSLKGLSKVSSTLKELYVSKNEVAKMEELEHFHALQILELGSNRLRVMENLETLANLQELWLGRNRIKTINLCSLKAIKKLSLQSNRLTSMNGLQECIALEELYLSHNGIQKMEGLSTLQNLRVLDVSSNKLTAIEDVETLSRLEDLWLNDNQIPSLDGIESALASSREKLTTIYLERNPCAKAPNYSSTLKTIFPNLEQLDSDMLA >ORUFI02G09700.1 pep chromosome:OR_W1943:2:6810262:6811320:1 gene:ORUFI02G09700 transcript:ORUFI02G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFLAGIPQLRRPAALIASCCALVLLATVLLLPRAPPAAPEQLASAAAAAAVRLDARVERRSGNEVLWQLPPPTTPPRAAVFVAPGCTIRATDFFDASPGCPRCAGLPEERRFTREALRRGYAVLAVSSRAECWSLDTGDGGELAAVESIIEWWVKERHPNQLAGLPLVGIGASSGGYFLSALAARVRFSSVAIMIAEGVFATMEEIPARYPPALFVQMPKDGERAREVAASMGKLRGSRVSVREIQCGEFAVSAQFLAARIPGLTLAVADGLVDVLRRKGFVDEKGFLKNDGRSTPWKKAAEEAKILPEEFRLERHVTEELNLAYAYHEFTSLKNGEIFDWFESHMDHKS >ORUFI02G09710.1 pep chromosome:OR_W1943:2:6812803:6813870:-1 gene:ORUFI02G09710 transcript:ORUFI02G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVLLISAVLVGLLSLSSCRSLGELSEQKTYSSTTQYGGSPTPSYGSDGGYKPTPTPTPAYGSTPTPSYGTTPTPSYGTTPTPSYGTTPSTPSTPDVPEVPTKHDFCGSCDYWKNHPDVIISAIGSLGDIGKTLGTACSLITGKKLENLHDALSNTGTDGTGALLREGAAAYLNSIVNKKFPFTTQQVKDCIVVAMTSDGAASSQAEIFKKANDYHY >ORUFI02G09720.1 pep chromosome:OR_W1943:2:6822114:6823067:-1 gene:ORUFI02G09720 transcript:ORUFI02G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPPSAGGGGGGGKPDRKTTERIRREQMNKLYSHLDSLVRSAPPTGGAAAATTRPDRLGVAAEYIRQTQERVDMLREKKRELTGGGGGGSSSSSGAGAATAAAPEVEVQHLGSGLHAILFTGAPPTDGASFHRAVRAVEDAGGQVQNAHFSVAGAKAVYTIHAMIGDGYGGIERVVQRLKEAIRSN >ORUFI02G09730.1 pep chromosome:OR_W1943:2:6835184:6835951:-1 gene:ORUFI02G09730 transcript:ORUFI02G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTAPRRRCCPTLAPRLGYGPSSTSAALWPLRHRLRLRSHLLLDVVVWEVRKRGVTVKDMTGVQLFVFQGVHMKTNDNGSTLENSRGVGSEEAWRDSEGHDRCPAGRLPRSSHENQ >ORUFI02G09740.1 pep chromosome:OR_W1943:2:6836835:6837836:1 gene:ORUFI02G09740 transcript:ORUFI02G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPDGPEAEELPPQEEEEEEGGKEKGKDEAAAGDYLRAREGLPQGSLNGLFAPEMTSSDIKPKLPDRLPGALDGPPSRTQAFRSVWAVSFRHPLPIGRPTWIVVA >ORUFI02G09750.1 pep chromosome:OR_W1943:2:6852517:6853260:1 gene:ORUFI02G09750 transcript:ORUFI02G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELEVCSPASSGGASPPESPVEGRRGGGGGEKRGRSGDGGRHPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVVKGPAAVLNFPDMAASLPRPASASPRDVQAAAARAAAMEPPQPPPSSLTVQADSAAAAFLTPKNGGVSGAGAADDELEAIVELPRLDELDAAELVFGAAFQDTAAEHPWCDPVWIDGGYAAAAAAAAAAAAHDDLFGLDADHHGWAQSVGALLWNL >ORUFI02G09760.1 pep chromosome:OR_W1943:2:6869004:6869234:1 gene:ORUFI02G09760 transcript:ORUFI02G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGGQIRRPPTSRQPVRQPPTSMPANPLARPPRKSREERRGEEGAVVTVSSVVVVAPPMGGNIEGLLTKEMETE >ORUFI02G09770.1 pep chromosome:OR_W1943:2:6882848:6883384:1 gene:ORUFI02G09770 transcript:ORUFI02G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAEWPVAVWCEEGDEAGRRAVAAQREVRPVEAS >ORUFI02G09780.1 pep chromosome:OR_W1943:2:6883570:6884007:1 gene:ORUFI02G09780 transcript:ORUFI02G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGWVRPRRRVAGGGVRYRGTIGCGRRRQAHQRGRIEAVTAAEAREATTAGATAARAWPVASAVTVDGTTMAASTAEWPAVVRCEKGDEAGWCAVAAQREVRPVEAEPSKVAHVKTGRLGAPVRWCPHIDVGLNGGGATVHLW >ORUFI02G09790.1 pep chromosome:OR_W1943:2:6915526:6915957:-1 gene:ORUFI02G09790 transcript:ORUFI02G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAASSRLRLLPWLSSPPARRRRRQGSSTASVAPTGGSPRRRRRRSCTTPGGRRPSPSTSATPSVTNQRQFHLIVVNSSSISSSLILHSHSHPWRVVAEFEYRNDSVIKVSKAGYYHCNETAGVDAGDAPVPGDGARVFYL >ORUFI02G09800.1 pep chromosome:OR_W1943:2:6921522:6925075:-1 gene:ORUFI02G09800 transcript:ORUFI02G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAALVAVAAILFFSTLTALADEREALLCLKSHLSSPNGSAFSTWSNTISPDFCTWRGVTCSIKLQERPRVVVALDMEAGGLTGEIPPCISNLSSLARIHLPNNGLSGGLTFTADVARLQYLNLSFNAISGEIPRGLGTLPNLSSLDLTSNNLHGRIPPLLGSSSALESVGLADNYLTGEIPLFLANASSLRYLSLKNNSLYGSIPAALFNSSTIREIYLRKNNLSGAIPPVTMFTSRITNLDLTTNSLSGGIPPSLANLSSLTAFLAAQNQLQGSIPDFSKLSALQYLDLSYNNLSGAVNPSIYNMSSISFLGLANNNLEGMMPPDIGNTLPNIQVLMMSNNHFVGEIPKSLANASNMQFLYLANNSLRGVIPSFSLMTDLQVVMLYSNQLEAGDWAFLSSLKNCSNLLKLHFGENNLRGDMPSSVADLPKTLTSLALPSNYISGTIPLEIGNLSSMSLLYLDNNLLTGSIPHTLGQLNNLVVLSLSQNKFSGEIPQSIGNLNQLAELYLSENQLSGRIPTTLARCQQLLALNLSNNALTGSISGDMFVKLNQLSWLLDLSHNQFISSIPLEFGSLINLASLNISHNRLTGRIPSTLGSCVRLESLRVAGNLLEGSIPQSLANLRGTKVLDFSANNLSGAIPDFFGTFTSLQYLNMSYNNFEGPIPVGGIFSDRDKVFVQGNPHLCTNVPMDELTVCSASASKRKHKLVIPMLAVFSSIVLLSSILGLYLLIVNVFLKRKGKSNEHIDHSYMELKKLTYSDVSKATNNFSAANIVGSGHFGTVYRGILDTEDTMVAVKVFKLDQCGALDSFMAECKALKNIRHRNLVKVITACSTYDPMGSEFKALVFEYMANGSLESRLHTRFDPCGDLSLGERISIAFDIASALEYLHNQCIPPVVHCDLKPSNVLFNHDYVACVCDFGLARSIREYSSGTQSISRSMAGPRGSIGYIAPEYGMGSQISTEGDVYSYGIILLEMLTGRHPTNEIFTDGFTLRMYVNASLSQIKDILDPRLIPEMTEQPSNHTLQLHEHKTGIMDICALQLLKLGLECSEESPKDRPLIHDVYSEVMSIKEAFFATSI >ORUFI02G09800.2 pep chromosome:OR_W1943:2:6921524:6925075:-1 gene:ORUFI02G09800 transcript:ORUFI02G09800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAALVAVAAILFFSTLTALADEREALLCLKSHLSSPNGSAFSTWSNTISPDFCTWRGVTCSIKLQERPRVVVALDMEAGGLTGEIPPCISNLSSLARIHLPNNGLSGGLTFTADVARLQYLNLSFNAISGEIPRGLGTLPNLSSLDLTSNNLHGRIPPLLGSSSALESVGLADNYLTGEIPLFLANASSLRYLSLKNNSLYGSIPAALFNSSTIREIYLRKNNLSGAIPPVTMFTSRITNLDLTTNSLSGGIPPSLANLSSLTAFLAAQNQLQGSIPDFSKLSALQYLDLSYNNLSGAVNPSIYNMSSISFLGLANNNLEGMMPPDIGNTLPNIQVLMMSNNHFVGEIPKSLANASNMQFLYLANNSLRGVIPSFSLMTDLQVVMLYSNQLEAGDWAFLSSLKNCSNLLKLHFGENNLRGDMPSSVADLPKTLTSLALPSNYISGTIPLEIGNLSSMSLLYLDNNLLTGSIPHTLGQLNNLVVLSLSQNKFSGEIPQSIGNLNQLAELYLSENQLSGRIPTTLARCQQLLALNLSNNALTGSISGDMFVKLNQLSWLLDLSHNQFISSIPLEFGSLINLASLNISHNRLTGRIPSTLGSCVRLESLRVAGNLLEGSIPQSLANLRGTKVLDFSANNLSGAIPDFFGTFTSLQYLNMSYNNFEGPIPVGGIFSDRDKVFVQGNPHLCTNVPMDELTVCSASASKRKHKLVIPMLAVFSSIVLLSSILGLYLLIVNVFLKRKGKSNEHIDHSYMELKKLTYSDVSKATNNFSAANIVGSGHFGTVYRGILDTEDTMVAVKVFKLDQCGALDSFMAECKALKNIRHRNLVKVITACSTYDPMGSEFKALVFEYMANGSLESRLHTRFDPCGDLSLGERISIAFDIASALEYLHNQCIPPVVHCDLKPSNVLFNHDYVACVCDFGLARSIREYSSGTQSISRSMAGPRGSIGYIAPEYGMGSQISTEGDVYSYGIILLEMLTGRHPTNEIFTDGFTLRMYVNASLSQIKDILDPRLIPEMTEQPSNHTLQLHEHKTGIMDICALQLLKLGLECSEESPKDRPLIHDVYSEVMSIKEAFFATSI >ORUFI02G09810.1 pep chromosome:OR_W1943:2:6951156:6952560:1 gene:ORUFI02G09810 transcript:ORUFI02G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTAEGGGGVAPFVAKTYRMVDDPATDGVIAWGRDSNSFVVADPFAFSQTLLPAHFKHSNFSSFVRQLNTYGFRKVDPDRWEFAHVSFLRGQTHLLRRIVRRSSGGGGAKRKEEAGGCGGGGEAAAGDVDEESAVVAMEVARLRLEQREIEGRVAAMWRRVQETERRPKQMLAFLVKVVGDPQVLRRLVDRDNTNAAAAASNADDAAVHHQVKRPRLLLDSSSTTTTHGDRHLVTAAADGFYAGGCGPEAAAAAAFVPDDAVDFTGLYTGDDGFGNAVVDAGVDYPPAYAFPVVDSGY >ORUFI02G09820.1 pep chromosome:OR_W1943:2:6954681:6955667:-1 gene:ORUFI02G09820 transcript:ORUFI02G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAYRSVMFYIGQKELEMQYKMNRIVGQCRQKCELMQAKFTEKLEEVHTAYQKMAKKCQLMEQEVENLSRDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESAKRSAIQPANNYFPRAQPDLFSGVPNIMDSSDPLRQAYSLAAGLAGLPETPGRRDEGWAPPPRQRRSTSGPFELSAGSPAHNAAPPVDIRPRQPARPVFGTAMNNTSAALRNMIISPVKRPQLSRNRPHMFT >ORUFI02G09830.1 pep chromosome:OR_W1943:2:6972617:6974204:1 gene:ORUFI02G09830 transcript:ORUFI02G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLATGRVIGDVLDPFISTVDLTVMYGDDGMPVISGVELRAPAVAEKPVVEVGGDDLRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDATYGREVVCYESPNPTTGIHRMVLVLFRQLGRETVYAPAVRHNFTTRAFARRYNLGAPVAAVYFNCQRQAGSGGRRFTGPYTSRRRQA >ORUFI02G09840.1 pep chromosome:OR_W1943:2:6978544:6985156:1 gene:ORUFI02G09840 transcript:ORUFI02G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARLAVLSAHLASPAAACGEADAAGPLEMSAASAGARGGALAVVDGRTGKRYEVKVSDEGTVRATDFKKITTGKDDKGLKIYDPGYLNTAPVRSSICYIDGDEGILRYRGYPIEELAESSSFVEVAYLLMYGSLPTQSQLAGWEFAISQHSAVPQGLLDIIQAMPHDAHPMGVLASAMSTLSVFHPDANPALRGQDLYKSKQVRDKQIVRVLGKAPTIAAAAYLRLAGRPPILPTNSLSYSENFLGDKEYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVFTALSGAVGALYGPLHGGANEAVLKMLNEIGSVENIPDFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIRKLAEEVFSIVGRDPLIEVAVALEKAALSDDYFVKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGWLAHWKESLDDPDTKIMRPQQVYTGVWLRHYTPVRERVPASQGEQLGQIATSNATRRRRAGSAL >ORUFI02G09840.2 pep chromosome:OR_W1943:2:6978544:6985401:1 gene:ORUFI02G09840 transcript:ORUFI02G09840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARLAVLSAHLASPAAACGEADAAGPLEMSAASAGARGGALAVVDGRTGKRYEVKVSDEGTVRATDFKKITTGKDDKGLKIYDPGYLNTAPVRSSICYIDGDEGILRYRGYPIEELAESSSFVEVAYLLMYGSLPTQSQLAGWEFAISQHSAVPQGLLDIIQAMPHDAHPMGVLASAMSTLSVFHPDANPALRGQDLYKSKQVRDKQIVRVLGKAPTIAAAAYLRLAGRPPILPTNSLSYSENFLGDKEYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVFTALSGAVGALYGPLHGGANEAVLKMLNEIGSVENIPDFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIRKLAEEVFSIVGRDPLIEVAVALEKAALSDDYFVKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGWLAHWKESLDDPDTKIMRPQQVYTGVWLRHYTPVRERVPASQGEQLGQIATSNATRRRRAGSAL >ORUFI02G09850.1 pep chromosome:OR_W1943:2:6988762:7001095:1 gene:ORUFI02G09850 transcript:ORUFI02G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSRLFLLLLLPLLLLLLGAGCRDGRRCAAAAAQTQRLPQQEVEALKGIARKLNKMDWDFSVDPCTGSKTWVNASDSNSYPKSSYPNFPVSNLTCDCSFKNNTECHVISLELMRQNLSGVLPEEVVNLTYLTNLSLQGNRISGTVPKELGRMPFLKSIFISANDITGELPSTFSRLTNMTDFRIDGTNISGRIPSFIKNWQRVNRIDMQGTLMSGPIPPEISLLNNLTELRVTDLSGPSMKFPPLQNAQHLTKVVLRNCSIYGEIPPYLGQMQYLILMDLSFNKLTGQIPQNFDRMVALQLQYLYLSDNMLTGDLPGWMLKNKASNKVNMDVSFNNFTDSSLFINCGGKSVEVNGNIYEDDSSRIGTSTFVLSNDRKWAYSSTGDFVGNPDADYIARNTSELTLDHPELYTEARLSPLSLKYYGVCMENGEYSVELHFAEIVFTEDHTFSSNGKRIFDVFIQGTKVLKDFNIQDEAGGVHRVITKTFTTNITDNTLEIHFYWAGKGTTGVPDRGLQFFYHGRKTDTSDLQTRTQYFFSLKEIESATKNFDPANKIGEGGFGPVYKGTLANGTTVAVKKLSSQSSQGNREFLNEIGIISALRHPNLVRLFGCCIDGEQLLLIYEFLENNSLGRALFGRGDHQLKLDWPKRYNICLGTAKGLCYLHEESTLKIIHRDIKPSNILLDERLQPKISDFGLAKLNDDRGRMSTRIAGTVGYMAPEYATRGCLTCKADVYSFGVVTLEIVSGMSNTSSMSDDEYLHLLDWAERLKQEGRLLEIVDQRLGSHYSQEEALRMLNVALLCTNTSPVQRPRMSSVVSMLCGQAPLEVVPDEDLSGYIRPSYSQSNQSMNNSLTEWSYAPSSDPSILLQNSMESGYLPSSSSPSSKL >ORUFI02G09850.2 pep chromosome:OR_W1943:2:6988762:7001704:1 gene:ORUFI02G09850 transcript:ORUFI02G09850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSRLFLLLLLPLLLLLLGAGCRDGRRCAAAAAQTQRLPQQEVEALKGIARKLNKMDWDFSVDPCTGSKTWVNASDSNSYPKSSYPNFPVSNLTCDCSFKNNTECHVISLELMRQNLSGVLPEEVVNLTYLTNLSLQGNRISGTVPKELGRMPFLKSIFISANDITGELPSTFSRLTNMTDFRIDGTNISGRIPSFIKNWQRVNRIDMQGTLMSGPIPPEISLLNNLTELRVTDLSGPSMKFPPLQNAQHLTKVVLRNCSIYGEIPPYLGQMQYLILMDLSFNKLTGQIPQNFDRMVALQLQYLYLSDNMLTGDLPGWMLKNKASNKVNMDVSFNNFTDSSLFINCGGKSVEVNGNIYEDDSSRIGTSTFVLSNDRKWAYSSTGDFVGNPDADYIARNTSELTLDHPELYTEARLSPLSLKYYGVCMENGEYSVELHFAEIVFTEDHTFSSNGKRIFDVFIQGTKVLKDFNIQDEAGGVHRVITKTFTTNITDNTLEIHFYWAGKGTTGVPDRGLQFFYHGRKTDTSDLQTRTQYFFSLKEIESATKNFDPANKIGEGRGDHQLKLDWPKRYNICLGTAKGLCYLHEESTLKIIHRDIKPSNILLDERLQPKISDFGLAKLNDDRGRMSTRIAGTVGYMAPEYATRGCLTCKADVYSFGVVTLEIVSGMSNTSSMSDDEYLHLLDWAERLKQEGRLLEIVDQRLGSHYSQEEALRMLNVALLCTNTSPVQRPRMSSVVSMLCGQAPLEVVPDEDLSGYIRPSYSQSNQSMNNSLTEWSYAPSSDPSILLQNSMESGYLPSSSSPSSKLGITVLLSIGGQGGGYSLPTNASAADVADNLIWNAYLGGHRAGVHRPFGDDAA >ORUFI02G09850.3 pep chromosome:OR_W1943:2:6988762:7001095:1 gene:ORUFI02G09850 transcript:ORUFI02G09850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSRLFLLLLLPLLLLLLGAGCRDGRRCAAAAAQTQRLPQQEVEALKGIARKLNKMDWDFSVDPCTGSKTWVNASDSNSYPKSSYPNFPVSNLTCDCSFKNNTECHVISLELMRQNLSGVLPEEVVNLTYLTNLSLQGNRISGTVPKELGRMPFLKSIFISANDITGELPSTFSRLTNMTDLDMQGTLMSGPIPPEISLLNNLTELRVTDLSGPSMKFPPLQNAQHLTKVVLRNCSIYGEIPPYLGQMQYLILMDLSFNKLTGQIPQNFDRMVALQLQYLYLSDNMLTGDLPGWMLKNKASNKVNMDVSFNNFTDSSLFINCGGKSVEVNGNIYEDDSSRIGTSTFVLSNDRKWAYSSTGDFVGNPDADYIARNTSELTLDHPELYTEARLSPLSLKYYGVCMENGEYSVELHFAEIVFTEDHTFSSNGKRIFDVFIQGTKVLKDFNIQDEAGGVHRVITKTFTTNITDNTLEIHFYWAGKGTTGVPDRGLQFFYHGRKTDTSDLQTRTQYFFSLKEIESATKNFDPANKIGEGGFGPVYKGTLANGTTVAVKKLSSQSSQGNREFLNEIGIISALRHPNLVRLFGCCIDGEQLLLIYEFLENNSLGRALFGRGDHQLKLDWPKRYNICLGTAKGLCYLHEESTLKIIHRDIKPSNILLDERLQPKISDFGLAKLNDDRGRMSTRIAGTVGYMAPEYATRGCLTCKADVYSFGVVTLEIVSGMSNTSSMSDDEYLHLLDWAERLKQEGRLLEIVDQRLGSHYSQEEALRMLNVALLCTNTSPVQRPRMSSVVSMLCGQAPLEVVPDEDLSGYIRPSYSQSNQSMNNSLTEWSYAPSSDPSILLQNSMESGYLPSSSSPSSKL >ORUFI02G09860.1 pep chromosome:OR_W1943:2:7003691:7006497:-1 gene:ORUFI02G09860 transcript:ORUFI02G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDNNSQTTNGGSGHEQRAMEEGRKQEEFAADGQGCGLAFSVPFIQKIIAEIFGTYFLIFAGCGAVTINQSKNGQITFPGVAIVWGLAVMVMVYAVGHISGAHFNPAVTLAFATCRRFPWRQVPAYAAAQMLGATLAAGTLRLMFGGRHEHFPGTLPAGSDVQSLVLEFIITFYLMFVISGVATDNRAIGELAGLAVGATILLNVLIAGPISGASMNPARSLGPAMIGGEYRSIWVYIVGPVAGAVAGAWAYNIIRFTNKPLREITKSGSFLKSMNRMNSST >ORUFI02G09870.1 pep chromosome:OR_W1943:2:7011850:7012188:-1 gene:ORUFI02G09870 transcript:ORUFI02G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALKANGKKAKGRYGYWKQILQRRCLGAPDRPPLADPTGRRLMSCSRSMTSTMIITSGGDRKATRMMVTPTTYNDDREGSSTYCGGGYNRLPDLAIAIGEGGMGASMQLQF >ORUFI02G09880.1 pep chromosome:OR_W1943:2:7030253:7034800:1 gene:ORUFI02G09880 transcript:ORUFI02G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAERPYYPPRPTDGGSGQPAGCDSPMRWDDDDEDHGGGGGDGMTAVAGFNLFEEESDDPPAKNGVVDDPDTTTTSDCNTDMSEDLVKREEGMNFHCDPPLHEHTGIWVPVSVPPMTKRDHEEWHKGFGHNGDYFPEDEFNWEIDEENKEMTMWDVFSEMVVAAKDKVISVASYDLGRRGMSMLSKFFFQEAWKDMAQTLADANAGIANELLETERTIWLPDSAASACMLCNVRFHPIMCSRHHCRFCGGVFCGGCSKGRSLMPPKFNTSEPQRVCDVCGVRLESIQPYLMNRISRASQPPTHDVTDLSTLRSWLNFPYAHTMEYEIYKAANSLNSYCKVGRLKPEKAIPYTILKQAKGLAIITVAKVGMMVAYKVGTGLVIARRADGSWSPPSAIATCGIGYGAQHIITKSDNFRLTHQAGGELADFIIVLRNTEAVKTFSGKAHLSVGAGVSASVGHVGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSLVSTRDTENARFYGGPIKAPDILMGSMARPPAAAALYKVLSELFDNPEKQSL >ORUFI02G09890.1 pep chromosome:OR_W1943:2:7036215:7039290:-1 gene:ORUFI02G09890 transcript:ORUFI02G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGGGGGRVPTWRERENNRRRERRRRAIAAKIYAGLRAYGNYTLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKYWSLDRIEAAHVKKGLVKEAIMETKGCKPPASELADQLGRSPSASPCSSYQPSPRGTSSFPSSGSSSQITLGGGGGGEGSSLIPWLKTLSSAGVGIGGGSSSKFPAHYSYFGGGSISAPVTPPSGSPPRTPRLKTAAWEEYHHHHAGSVLPPWATVGASYAYAASSSLPNSTPPSPRRKVAAAAAAAGGGNDAAAWLAGFQISSAGPSSPTYSLVAPPPNPFGAAAAAAGSSSRVMSGACSPVAGGDVQMADAARREFAFGGEGGKMTGLVKAWEGERIHEECGSDDLELTLGSSMTRGDR >ORUFI02G09900.1 pep chromosome:OR_W1943:2:7054758:7058719:-1 gene:ORUFI02G09900 transcript:ORUFI02G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRGALPPRAPLRPAPGGLCGRALLRRTCSLSWPATTGGAALSYQMKNSRWKPVFALETGGPSNADSQDFEDDGGFLGRTRLGRLIQAAGRELLEKLNSARSNSPTKIFLVLFGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKPMSRPPGRFQSLIAMVNYWKAGEAKVRECLGDRRRRGELDAGWEWWIVEGDELLLDIICHSPLGIGALLWVWRIHTRVTIQLPTMGLESRDATSGGDLRSRAKDDSYHNPQAPCKEELGAVTGQRRRWW >ORUFI02G09910.1 pep chromosome:OR_W1943:2:7071414:7075259:-1 gene:ORUFI02G09910 transcript:ORUFI02G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWNTDSDSDSDTRKKPIWRTYIFEFLSSHLLQPLAPASTCAQLRRPCATSTPIPPPKTTTTSSISTASLCLSHPTSQNRTPPPTSTVVEAVAVAVVAAAAAASPATCHYIWRLIQAIKPLLTIGQRNNRQASPLDPAPPSLRDLNADPPAEDDDHIVHLHGEPLPQPPYFPEPNAAADLDGGLDGDAEASFPGSNPEADGRELDGDLEQDHSLFMFLDPGEVRCRKRLRASEEDDVDVGDARGAPGRCYDDDVAEDGRSTQSRYSWRPRSKDDADSAAAEEGGAGRGRPVLLELFPRHFSGEADADDQSYTHDDDTPAAQEISGEQIAADDTYYDSYYYMDGEYGGAYDEEEHQQDDMAAADFHDGNQLSPEHQRVLYRLFGEADGSTRQEEQEAAAQGSGGEHVPPEEDSYEAAAVLAGDDVDEEQLQRQEQVDMTDGTDETF >ORUFI02G09920.1 pep chromosome:OR_W1943:2:7079855:7080169:-1 gene:ORUFI02G09920 transcript:ORUFI02G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAAGLAFLQASAEEGAADDQRRHAMASAAAAVPWRRVLRHRPGRKEHGGGGGGRDDGDDDLDWRSWRIPPSGPSSRGHVAVDADAPEKEKKTATVGGGAP >ORUFI02G09930.1 pep chromosome:OR_W1943:2:7083512:7089171:1 gene:ORUFI02G09930 transcript:ORUFI02G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLLLLVLSLAAVHTDAAAFPSPADSIVRQLSSVVKWPRVPSSSSSSSHGHKQPSHPQYDGGVALQFESGYFVETLVEGDKLGVTPHTIRVSPVEGGELLAVDSAHSNIVRITPPLSEYSRGRLVAGSFQGHSGHIDGKPSDARFKRPTGVAVDDTGNVYVADTANLAIRKIGESGVTTIAGGKSNIPGYRDGPSEDAKFSTDFDVVYVKKMCSLLVIDRGNAALRKIALPQEDCTYQDATLLSSDIILVIGAVVAGYIFSVVQHGFGSSTAEKIEAPEDEHQESSTVGKPPLVVESLKEEPSAGWPSLGTLIGDLLKLVIEGVGNQLLRLVPSRLQHGKRKTDLTPLKDRLVMPEDTEETPVAQKLSSTPMRPETLHGPNPVNETAPKAQKSVKSSKFRDSTLSSKHRSSKRQEYAEFYGSSETPQVSSKVPKDRLRHRHREKSGEAVYGTSHPEPKPAEVKPADYSDPKYDPYNIRSKYGADSGYRY >ORUFI02G09940.1 pep chromosome:OR_W1943:2:7101432:7103618:1 gene:ORUFI02G09940 transcript:ORUFI02G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASASSPVEFLLRRPAPRRRRLPLAGAFFAPTGLAGATLLRAVASLAASLVAGARPPSQRRNVDALARRLALLSAILESILLDTAAAGAFSDAANLCFRELYVVLFRAELLVSYVASAGRAWALLRSPHLAASFRDLDAELAVVLDVLPAASLRLSHDATGLLDLLRAHCRCRAPAQYHDPDEAALRERLMDALRQFDLGQPPDHPSLQSLLADMGISTAASCRAEIDYLEEQILSQEEDTDLPLVGSVLALLRYCLFAVFDPSNAKALRDWPLSGNRQRLLSIGGGDDTSFSVPKEFSCPISLDLMRDPVVASTGQTYDRPSIIQWIEEGHSTCPNSGQTLADHRLVPNRALRSLISQWCGVYGLQYDSPESNEGMAECVAASCSSRAAMEANKATARILVRMLEDGSENVKAVAAKEIRLLAKTGKQNRAFIADLGAIPLLCRLLLSNDWMAQENAVTALLNLSIFEPNKGRIMEQEGCLRLIVGVLQNGWTTEAKENAAATLFSLSVVHNFKKLIMNEPGAVEELASMLTKGTSRGKKDAVMALFNLSTHPESSARMLESCAVVALIQSLRNDTVSEEAAGALALLMKQPSIVHLVGSSETVITSLVGLMRRGTPKGKENAVSALYEICRRGGSALVQRVAKIPGLNTVIQTITLNGTKRAKKKASLIVKMCQRSQMPSAMALGSTLTVVDRSLVGNNTLRRAASFGSGELSNPISISVQVP >ORUFI02G09950.1 pep chromosome:OR_W1943:2:7107348:7108473:1 gene:ORUFI02G09950 transcript:ORUFI02G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWAESLLFLLLLFSLPIPFSHSWSFDYPSPIANINSLWTNNNATIPYSATYPDGSTIRAILVRQNPTWYSPFFACGFICTAPCNDFLFAIFSVSVGDPSNPAFNTSSMPRIMWTANRSRPVKDNASLQFKDGNLILRDFDGSLVWSTNTSDSRVVGLNLAETGNMVLFDAMGKTVWESFEHPTDTLLLGQSLRQGKRLTSDSLATNWTQVARVGILGMKINFSANWTTGNLTWAAP >ORUFI02G09960.1 pep chromosome:OR_W1943:2:7110426:7115784:1 gene:ORUFI02G09960 transcript:ORUFI02G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPVLRRPRGRPRTRKSFVWPWYPLPSTPLSNFSRSIAAVVVAAAAASTVREGGGGGEMSCCAASSSSPVLANPRGGFAASCSTRRNHRVIFLGSRQFSPIIYSPARRASSRLSRREVIAFAGQQSWDIGRFVRTLYFFNGPPNPLKIVESILSSFTGSAPGEVPKKMESSDVVLVTGATGGVGRRVVDILRNKGIPARNEEKARSMLGPDVDLIIGDVTKENSLDPKYFKGIKKVVNAVSVIVGPKEGDTPDRQKYKQGIKFFEPEIKGPSPEMVEYLGMQNLINAVKNSVGLTEGKLLFGGTGNLSGKIVWGALDDVVMGGVSESTFQIQPTGSETDGPTGLFKGTVSTSNNGGFTSIRTKGDGRRYKLIVRTSFEWDTVGYIASFDTTKGEWQSVKLPFSSLNPVFRARTMPDAAPFDASNVTSLQLMFSKFEYDGKLNPTFTEGPFELPFSSIRAYINEPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRMNKELGSILTYKLKGEDLIRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEIAFICVAALASPNAVEKTFEVKSTVPFSEPFVVDPSNPPPEKDYDVYFKELKAGITDSVIGI >ORUFI02G09960.2 pep chromosome:OR_W1943:2:7110426:7115866:1 gene:ORUFI02G09960 transcript:ORUFI02G09960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPVLRRPRGRPRTRKSFVWPWYPLPSTPLSNFSRSIAAVVVAAAAASTVREGGGGGEMSCCAASSSSPVLANPRGGFAASCSTRRNHRVIFLGSRQFSPIIYSPARRASSRLSRREVIAFAGQQSWDIGRFVRTLYFFNGPPNPLKIVESILSSFTGSAPGEVPKKMESSDVVLVTGATGGVGRRVVDILRNKGIPARNEEKARSMLGPDVDLIIGDVTKENSLDPKYFKGIKKVVNAVSVIVGPKEGDTPDRQKYKQGIKFFEPEIKGPSPEMVEYLGMQNLINAVKNSVGLTEGKLLFGGTGNLSGKIVWGALDDVVMGGVSESTFQIQPTGSETDGPTGLFKGTVSTSNNGGFTSIRTKGDGRRYKLIVRTSFEWDTVGYIASFDTTKGEWQSVKLPFSSLNPVFRARTMPDAAPFDASNVTSLQLMFSKFEYDGKLNPTFTEGPFELPFSSIRAYINEPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRMNKELGSILTYKLKGEDLIRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEIAFICVAALASPNAVEKTFEVKSTVPFSEPFVVDPSNPPPEKDYDVYFKELKAGITGKEALEGTPAQV >ORUFI02G09970.1 pep chromosome:OR_W1943:2:7116344:7116768:-1 gene:ORUFI02G09970 transcript:ORUFI02G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCHSSPPSLVDLVSLPPSSPAGGGGGGAGAGVVQVVPLDVSEELLGKFQDTSEFGFEYEKSGLWSPLVVRPEALVLAGGGKRRRRSWRRKVFCCW >ORUFI02G09980.1 pep chromosome:OR_W1943:2:7119832:7123618:-1 gene:ORUFI02G09980 transcript:ORUFI02G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTADLIWKSPHFFNAVKDRELDIRGNKIPIIENLGATEDQFDTIDLSDNEIVKLENFPYLNRLGTLLVNNNRITRINPNLGEFLPKLHTLVLTNNRLTNLAEIDPLASLPKLQFLSLLDNTVTKQPDYRLYVIHKLKHLRLLDFKKVKQQERIAAAQKFHSKEAEEEAKNVPAKTFTPGQVVDAQDTTMEEQGPKVVAPTPEQITAIKAAIVNSQTLEEVARLEKALSTGQIPAEFAIPKPDANMADASEETEKMETDGQNQENGADEKKQNEESTPIEED >ORUFI02G09990.1 pep chromosome:OR_W1943:2:7132036:7138345:1 gene:ORUFI02G09990 transcript:ORUFI02G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHSSFVDDTGITKACGCPLLPLKTHIRGPAPAFDQEPCQAADLCRAITDKADIVDEAITFFRANVFFKNFNVKSPADKLLIYLTFYINIALKRLEGCRTLAVGTKAIINLGLEKVPVPGEPGFPFPELLRNYLKQIREETSGRLLNCAYRANGTPNKWWLAFAKRKFMNIVIL >ORUFI02G10000.1 pep chromosome:OR_W1943:2:7134216:7143188:-1 gene:ORUFI02G10000 transcript:ORUFI02G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKALSDNHRGTVKPCETFWKERTLRQRSSVVVQTEIFDFVEDLHNFTPTFGKIREAHVQK >ORUFI02G10000.2 pep chromosome:OR_W1943:2:7134216:7143188:-1 gene:ORUFI02G10000 transcript:ORUFI02G10000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKALSDNHRGTVKPCETFWKERTLRQRSSVVVQTEIFDFVGKHVPFTPTFGKIREAHVQK >ORUFI02G10010.1 pep chromosome:OR_W1943:2:7143704:7144186:1 gene:ORUFI02G10010 transcript:ORUFI02G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDMDDPIPIPWVSEDEKTASTKPEFIPVNRNSAEAPCSSCSSSMPPSNSGDEVHVPDQRGLGWRASCGFSRRRSPRHGMPTVGGVVLQLVAPRRATAAAAEVRGAGEDGEAAVVGEEPEGAGSGWVEQAAEPRRKAGRRGSPRAAGEDRADERGGCRR >ORUFI02G10020.1 pep chromosome:OR_W1943:2:7155002:7166241:1 gene:ORUFI02G10020 transcript:ORUFI02G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSLPPSRLIAVHLLNLTPLFRVRVASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >ORUFI02G10020.2 pep chromosome:OR_W1943:2:7154965:7166241:1 gene:ORUFI02G10020 transcript:ORUFI02G10020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >ORUFI02G10030.1 pep chromosome:OR_W1943:2:7167716:7167958:1 gene:ORUFI02G10030 transcript:ORUFI02G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESTREVAFVVSVVNGKADLGGGEGARRWRRSSVAVARELGTGDRAGETPPGPAVTAPACLPLVPAVARCGGDEDETV >ORUFI02G10040.1 pep chromosome:OR_W1943:2:7168509:7170209:1 gene:ORUFI02G10040 transcript:ORUFI02G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRPRLPLLLLLLHFSLLASPCSARWRDGGGVGDLRESFLRCVARRSPATAADPSRLVHAPGDASYPSLLDSTIQNLRFASPRTPRPALVLTPVTADEVRACVVCCRAHGLTVRARSGGHDYEGLSYRSLGRSPRFAVVDVAALRAVRVDAARGVARAEAGATLGELYYAVAEGSGGRLGFPAGICPTVCVGGHLSGGGFGPMMRKYGLAADNVVDAEVVDAEGRLLDRAAMGEGLFWAIRGGGGGSVGIVVSWTVNLVPVPAVVSAFTVHRLLLRRGGHDEQSLLRLLTKWQAVAHALPDNLFVKMSMEAKTINDGDDSTRHPLVTFKSLFLGNSSDMITQIDHHLPELGIKPTDCREMNWLQSMLYSYGYTNGQPAEVLLDRTLQPKDYYKIKLDYLTSPIPTPGLIELLTKIVEDEDGSIDIDPQGGEMSRIPESGTPYAHRSGYLYNLQYFVKWGGDKNVSYEDDHLSWVRGLHELMTPYVSKNPRAAYINYRDLDLGQNVEGNTSYEEARVWGEKYFRGNFRRLAMVKGEVDPDQLFWSEQSIPPLVVSTRDAGLVSDS >ORUFI02G10050.1 pep chromosome:OR_W1943:2:7177899:7179053:-1 gene:ORUFI02G10050 transcript:ORUFI02G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSSMSKQQVLEVAGDEVGGGGGEEVMELIPGLPEEVAEKCLLHLPFLYHRLFRTVSSTWNRFLTVSPAKFPSAAAASVSLSLPFLFAFAFDPASRRLQCQALDPFSRRWLLLPPVPGGAAAAGSFAVVGLPRRGEIYVIGGVEEGGDKAVRSVAVYSAARNGWEEAAGMGTARGYMAAGEVGGRLVVAGEDGEAEVFDPEEGRWAPAAARRGAAVARYDAAASGGKLYVTEGWAWPFERAPRGAVYDAASDSWSEMARGMREGWTGSCAVAGGRMYIVAEYGEWRLKRYDEPRDEWRMVAGSGVPPEVRRPHVVSGEVEEVGSGGRRRIYVVGAGLDVAIGTVSPSPAIHGGDDERVDWEVVKGPAEFAGLAPCNAQVLYA >ORUFI02G10060.1 pep chromosome:OR_W1943:2:7193986:7200816:1 gene:ORUFI02G10060 transcript:ORUFI02G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSRSAAAIRGRRVGALARAMASLFGHVEPASKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLECVREAERRIAGNLNMEYLPMGGSIKMIEESLKLAYGEDSDFIKDKRIAAVQALSGTGACRLFADFQRRFLPNSQIYIPTPTWSNHHNIWRDAQVPQRTFTYYHPESRGLDFAGLMDDIKNAPNGSFFLLHACAHNPTGVDPTEEQWREISYQFKIKNHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCEDEMQAVSVKSQLQQIARPMYSNPPVHGALVVSIILNDPELKSLWLKEVKGMADRIIGMRKALRENLEGLGSPLSWDHITNQIGMFCYSGMTPEQVDRLTNEYHIYMTRNGRISMAGVTTGNVAYLANAIHEVTKTK >ORUFI02G10070.1 pep chromosome:OR_W1943:2:7198805:7202998:-1 gene:ORUFI02G10070 transcript:ORUFI02G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRALAVAAAVVVAAWAVAAAGDPPLSPKGLNYEVAALMAVKSRMRDEKGVMGGWDINSVDPCTWSMVACSPDGFVVSLQMANNGLAGTLSPSIGNLSHLQTMLLQNNMISGGIPPEIGKLTNLKALDLSGNQFVGEIPSSLGRLTELNYLRLDKNNLSGQIPEDVAKLPGLTFLDLSSNNLSGPVPKIYAHDYRWRLPFASADQDLEIELGHLKHFSFHELQSATDNFNSKNILGQGGFGVVYKGCLRNGALVAVKRLKDPDITGEVQFQTEVELIGLAVHRNLLRLYGFCMTSKERLLVYPYMPNGSVADRLRDYHHGKPSLDWSKRMRIAVGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAIVGDFGLAKLLDRQESHVTTAVRGTIGHIAPEYLSTGQSSEKTDVYGFGILLLELITGPKTLSNGHAQSQKGMILDWVREVKEENKLDKLVDRDLKDSFDFAELECSVDVILQCTQTNPILRPKMSEVLNALEANVTLPENGIDLNREVPPYGGSCSFSVRHEDPHDSSSFIIEPIELSGPR >ORUFI02G10080.1 pep chromosome:OR_W1943:2:7207017:7210934:-1 gene:ORUFI02G10080 transcript:ORUFI02G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPGGPHPTGGGAAADPMQVDQPRSAAAAAAVAPAGEKHGASLMEGSDPVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQIMRSMDHCNVVSLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYSNMNQRMPLIYVKLYVYQIFRGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKICDFGSAKMLVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYAPNLRCTALEACAHSFFDELREPHARLPNGRPFPPLFNFKQELANLSPELINRLIPEHARRHCGFNFLPAAGP >ORUFI02G10090.1 pep chromosome:OR_W1943:2:7228175:7231225:-1 gene:ORUFI02G10090 transcript:ORUFI02G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSSSLSLTKSDSYRNPSVFNGQISTAVAEMEEVRTGWHMHVRVVSYAFVDLGPAYVPVIFTLCLHDGSQEEDNNENEVEVICNTCNGLFNNYNSTIIETVNTGYGPAEVSYAVLTNAVEGQVTVKLVRRDEHNDPTAITGVLGRIITRSKLLNVGCVLFYSDFGSIPHIGSDGLIPLARRALAVPAMMPLTIELDLRSSSGDEIVRAAVEFDPTTSDQHVERVIGMGGHEIQVTISWLDFPW >ORUFI02G10110.1 pep chromosome:OR_W1943:2:7281741:7292532:1 gene:ORUFI02G10110 transcript:ORUFI02G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGRGRRPLLLLLLLLAVALALAARARAQLSPGFYSASCPTVHGVVRQVMSQAVMNDTRAGAAVLRLFYHDCFVGGCDASVLLDDTPAAPGEKGVGPNAVGSTTVFDLVDTIKAQVEAVCPATVSCADVLAIAARDSVNLLGGPSWAVPLGRRDALSPSRSAVSTDLPGPEADISALVSAFAAKGLSSRDLAALSGAHTVGRASCVNFRTRVYCDANVSPAFASHQRQSCPASGGDAALAPLDSLTPDAFDNGYYRNLVAGAGLLHSDQELFNNGPVDSVVQLYSSNAAAFSSDFAASMIRLGNIGPLTGSTGEVRLNCRKFSATMAAMSASGRRVFLLGALLLVAVSCHGGFGGVGVAEGLSTRYYAKTCPAVESVVRSVMARAVAADRRMGASVLRLFFHDCFVNGCDGSVLLDDAPPGFTGEKGAGANAGSARGFEVVDAAKARVEAACRATVSCADVLALAARDAVALLGGTTWPVRLGRKDARTASQAAANGNLPGPVSSLTSLLATFAAKGLSARDMTALSGAHTVGRARCATFRGRVNGGDANVNATFAAQLRRLCPAGTGGDGNLAPLDAETPDVFDNGYFRELTKQRGLLHSDQELFAAGGGGRSSSQDALVRKYAGNGAKFARDFAKAMVKMGNLAPAAGTPVEVRLNCRKPN >ORUFI02G10120.1 pep chromosome:OR_W1943:2:7293985:7297089:-1 gene:ORUFI02G10120 transcript:ORUFI02G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAVQEGIVREMNGGFEVMSGYDRSSMAPKQQQREEESKCVLHRGASKYQEIALIDTKHFGKALIIDGKMQSTEVDEFIYHESLIHPPLLFHPNPKTVFIMGGGEGSAAREVLRHNTVHRVVMCDIDQEVVDFCRTYLTVNWDAFASDKLCLIINDARAELEKSREKFDVIVGDLADPVEGGPCYQLYTKSFYQHIVKPKLNDRGVFVTQAGPAGVLTHKEVFSSIYNTLRHVFKYVKAYTAHVPSFADTWGWVMASDYPFSMNAQQINERIKERIDGELVYLSGESLISSTILNKSVYQSLLNETHVYTEDDARFIYGHGRARCA >ORUFI02G10130.1 pep chromosome:OR_W1943:2:7299458:7305809:1 gene:ORUFI02G10130 transcript:ORUFI02G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERENNKVKMEEGGRHHRPSLEVSSCGGLGVECGSLGAVASPKSLQRVTWESSSTTSEGRSQSITGWMSTSARACRCCVNPSRSRRECGGFMHLSTRAHNRSTQQPPPGRSIHPSAAPAAAVSANGMCTQGQSTPNVWFFFRLINTSPCIHMQKDPYYTLLLALHLYLQVKETGQSRTCIMGSSVLIVPCLSDNAIVDKEHC >ORUFI02G10140.1 pep chromosome:OR_W1943:2:7308339:7308822:1 gene:ORUFI02G10140 transcript:ORUFI02G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASRRGTPVQGSHMSAELVWWWSIGVSTGAISAVSLCWSSGGRSHLAAAGPVLAFSWACVLAMLVCGWWYFFLFPGYDPPGL >ORUFI02G10150.1 pep chromosome:OR_W1943:2:7315663:7338059:1 gene:ORUFI02G10150 transcript:ORUFI02G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSWHCCLLAFFLLSSAAGAAYGQQLSTTFYAASCPTLQVVVRATVLGALLAERRMGASLVRLFFHDCFVQGCDASILLDDVPATSFVGEKTAFPNVNSVRGYDVIDQIKRSVELLCPGVVSCADIVALAARDSTALLGGPSWAVPLGRRDSTTASLSAANSDLPAPSSDLATLIARFGSKGLSARDMTALSGAHTIGFSQCANFRDRIYNDTNIDPAFAALRRGGCPAAAGSAGDSSLAPLDALTQNVFDNAYYRNLLAQRGLLHSDQVLFNGGSQDALVQQYSSNPVLFAADFAAAMIKMGNINPLTGAAGQIRRSCRAFGVGYKGHTVMAEGVLIGLMTWHCWLLLVFFLLSDDASGQLSTSYYADSCPSVEKVVHATVASAIQAERRMGASLIRLFFHDCFVQGCDASILLDDVPATGFVGEKTAAPNNNSVRGYEVIDQIKANVEDVCPGVVSCADIVALAARDSTALLGGPSWAVPLGRCDSTTASRSEANSDLPGPGSNLTMLIARFGNKGLSPRDMTALSGSHTVGFSQCTNFRAHIYNDANIDPSFAALRRRACPAAAPNGDTNLAPLDVQTQNAFDNAYYGNLLVRRGLLHSDQVLFNGGSQDALVRQYAANPALFAADFAKAMVKMGNIGQPSDGEVSGEWDSGGIRGVQYLLPPGHGGLAPPPRAAGWHKLSQLVLDDPNVSRVFGKVGFRNEDIKLAILCPCRSSAAYPCVAVRRFSSFAASPPQTTLLSLIPPGTLPARGEKNCRRIAKILSRGRNPMLVITASSTTTRFCLLLALVLPMISSAAAGDDALPLPMTPSYYRKSCPTLEAIVRGTMLSAIKAERRMGASILRLFFHDCFVQGCDASILLDDVPSKGFVGEKTAGPNTNSIRGYEVIDKIKANVEAACPGVVSCADILALAAREGVNLLGGPSWEVPLGRRDSTTASKSEADSDLPGPSSSLADLVAAFGKKGLAPRDMTALSGAHTIGYAQCQFFRGHIYNDTNVDPLFAAERRRRCPAASGSGDSNLAPLDDMTALAFDNAYYRDLVGRRGLLHSDQELFNGGSQDERVKKYSTDPDLFAGDFVAAMIKMGKICPLTGAAGQIRKNCRVVNSS >ORUFI02G10160.1 pep chromosome:OR_W1943:2:7341646:7342076:-1 gene:ORUFI02G10160 transcript:ORUFI02G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRDATGGDPTVTGSQIHHSREGTQMRVVAMGSMLSLPAAVRRERRSLELPVAVEEESGVRGEAAESSLSAASVTGACARGLAEAEVQFTQLA >ORUFI02G10170.1 pep chromosome:OR_W1943:2:7352468:7353560:1 gene:ORUFI02G10170 transcript:ORUFI02G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLSTMEKLCVFSRVHRHRRRRHRRLHHGVSPAPPAGGGGAGLMSLSTPTTCCIEGLFSGEHSTISRSATVIVVFAAPLVPPSSSSSLSLASTMLRLFRFRITPRAQLTVESSHLTCPVTSSNTSTPKLYTSLSGVIVEEDVGWDQIAVHNWTSR >ORUFI02G10180.1 pep chromosome:OR_W1943:2:7353084:7355568:-1 gene:ORUFI02G10180 transcript:ORUFI02G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMLHAILLLAVAVAATSASAATAPAAARNSTSNCTVPLPDAGIVTAAFKNVANFPLPRRPSCRPVRRLAYSSRNLAGVVGWAALGNLSGLLTVDLSGNSLEGDDGFGGGALWRAPLLRAVDVSRNRLGGALRLGASARMASLNASRNGFTSVVGVDGLAAGLVVLDVSGNRIAAVPEGLRRLTRVRRLDMSQNSMAGKFPDDLPPLDGVEFLDISDNNFSGVVNSTWVTKFGRSAFLRAGNATSLVIEDNPPASAPAPAPATMTPSSGGKKHKRVVLIVVVVVCGVVAVSAAVAFMALAGCVACGFSRRRKRGKKAAAAWEDDEVAVGAVKVAATAPVVLVERPLMELTLADLAAATSGFGRESQLADVGGRSGAAYRAVLPGDLHVVVRVVDGAVAGVGGDDGDVAAAAAGLRELARLRHPNILPLLGYCIAGKEKLLLYEYIEKGNLYRWLHELPASSMDMEETGADMWDTTEQDKKSIDDWPTRYHIILGIARGLAFLHQGWAGSSGRPIVHGNLVPTNILLDDDLEPRISDYIHPVDSNNGELTPESDVYSFGVLVFELVTGQVRWDDSTVSWARGVIRNRKSLNIVDARLREEEEEGGTSGAAKTTMTVAEREMVECLQVGFLCTAHSPEKRPSMQQVVGVLKDIRPAPPPPAGGAGETP >ORUFI02G10190.1 pep chromosome:OR_W1943:2:7364452:7367980:1 gene:ORUFI02G10190 transcript:ORUFI02G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEVLVEIVEDDELKRSIGRNQLDLNEEVMDVESEEGEVGDDEDDEDEEDDDDDDDGGSTTDVAGSRSSSNNSSTNNVSESKLKGDKDSGGGRLEGINGGEQRVPSVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHEGRQIRGAIASVFSPMDFHLMRGDRRFHDMLLQRAAALSSSRQEHGGFFSSRSGGGGGGLPPEASRLYGLLQHRQSPAIATMQTFDFKNSSFRNQEWSFSFNHQRKETMNPSSSSSTTAAIRRWPSAAGAVAVVAGERQRLAERFGYYTGNGGASSTSLPLITRAAMAAPPPMFAAAVAPPGRDHHRLPFGWHAGGGGGGGGNKNRSSSDPVVIDEALDSRRLEHQQKHVEQPRMTPTTTTTPAGKRPPEWSPPDLQLTLSPTTAPAAADGGGAKRSKTSTTTTNTTAAISGEQEAEKNMDRCKNKLSISLSLSPPAAAAAAANFSSMDLSMEQQKQKQEKTTIGSSEEEAGDLGQSTLDLTMSIRALE >ORUFI02G10200.1 pep chromosome:OR_W1943:2:7372072:7384750:1 gene:ORUFI02G10200 transcript:ORUFI02G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDDQGQSDAHPSDPNWWSSHAEHKLGSISLTKQERNSGSPGSSHCGEGDHSLWAAQTLWCTGCLSSPIPNGFYSIIPDKKLKERFDTIPSPDDLYSLGIEGFKAEIILVDLEKDKKLSAIKQLCAALVKGLKSNPAAMIKKIAGLVSDFYKRPNPQLSPARTSSEEISHFMENRGVQLLGQIRHGSCRPRAILFKVLADAVGMDCKLLVGIPNEEYHEYDDSSKHMSVVVMLKSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDDNNRSFKVPSLRNIMLKSTNSMEGKLRCSSHSEPNVANSFCGRSRRKVVEEHQRTASSSPEHPLSRTRGRSMLGDRQHGDGVAVSRSDGASTSNMRRGRRRSISFTPEIGDDIVSAVRAMSERMRENRLSRGQNDGSPGQLNDSQKNESPDDFNDNEVHVRGPDEQEGSRRQVSNQKAVSLPSSPHRLRSDGSGLRGPAEFLTADLMSTWNKGSLEKFSVVSGMEQT >ORUFI02G10200.2 pep chromosome:OR_W1943:2:7372072:7384750:1 gene:ORUFI02G10200 transcript:ORUFI02G10200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDDQGQSDAHPSDPNWWSSHAEHKLGSISLTKQERNSGSPGSSHCGEGDHSLWAAQTLWCTGCLSSPIPNGFYSIIPDKKLKERFDTIPSPDDLYSLGIEGFKAEIILVDLEKDKKLSAIKQLCAALVKGLKSNPAAMIKKIAGLVSDFYKRPNPQLSPARTSSEEISHFMENRGVQLLGQIRHGSCRPRAILFKVLADAVGMDCKLLVGIPNEEYHEYDDSSKHMSVVVMLKSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDDNNRSFKVPSLRNIMLKSTNSMEGKLRCSSHSEPNVANSFCGRSRRKVVEEHQRTASSSPEHPLSRTRGRSMLGDRQHGDGVAVSRSDGASTSNMRRGRRRSISFTPEIGDDIVSAVRAMSERMRENRLSRGQNDGSPGQLNDSQKNESPDDFNDNEVHVRGPDEQEGSRRQVSNQKAVSLPSSPHRLRSDGSGLRGPAEFLTADLMSTWNKGSLEKFSVVSGMEQT >ORUFI02G10200.3 pep chromosome:OR_W1943:2:7372072:7384750:1 gene:ORUFI02G10200 transcript:ORUFI02G10200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDDQGQSDAHPSDPNWWSSHAEHKLGSISLTKQERNSGSPGSSHCGEGDHSLWAAQTLWCTGCLSSPIPNGFYSIIPDKKLKERFDTIPSPDDLYSLGIEGFKAEIILVDLEKDKKLSAIKQLCAALVKGLKSNPAAMIKKIAGLVSDFYKRPNPQLSPARTSSEEISHFMENRGVQLLGQIRHGSCRPRAILFKVLADAVGMDCKLLVGIPNEEYHEYDDSSKHMSVVVMLKSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDDNNRSFKVPSLRNIMLKSTNSMEGKLRCSSHSEPNVANSFCGRSRRKVVEEHQRTASSSPEHPLSRTRGRSMLGDRQHGDGVAVSRYLRFEI >ORUFI02G10200.4 pep chromosome:OR_W1943:2:7374279:7384750:1 gene:ORUFI02G10200 transcript:ORUFI02G10200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDDQGQSDAHPSDPNWWSSHAEHKLGSISLTKQERNSGSPGSSHCGEGDHSLWAAQTLWCTGCLSSPIPNGFYSIIPDKKLKERFDTIPSPDDLYSLGIEGFKAEIILVDLEKDKKLSAIKQLCAALVKGLKSNPAAMIKKIAGLVSDFYKRPNPQLSPARTSSEEISHFMENRGVQLLGQIRHGSCRPRAILFKVLADAVGMDCKLLVGIPNEEYHEYDDSSKHMSVVVMLKSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDDNNRSFKVPSLRNIMLKSTNSMEGKLRCSSHSEPNVANSFCGRSRRKVVEEHQRTASSSPEHPLSRTRGRSMLGDRQHGDGVAVSRSDGASTSNMRRGRRRSISFTPEIGDDIVSAVRAMSERMRENRLSRGQNDGSPGQLNDSQKNESPDDFNDNEVHVRGPDEQEGSRRQVSNQKAVSLPSSPHRLRSDGSGLRGPAEFLTADLMSTWNKGSLEKFSVVSGMEQT >ORUFI02G10200.5 pep chromosome:OR_W1943:2:7372072:7384750:1 gene:ORUFI02G10200 transcript:ORUFI02G10200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDDQGQSDAHPSDPNWWSSHAEHKLGSISLTKQERNSGSPGSSHCGEGDHSLWAAQTLWCTGCLSSPIPNGFYSIIPDKKLKERFDTIPSPDDLYSLGIEGFKAEIILVDLEKDKKLSAIKQLCAALVKGLKSNPAAMIKKIAGLVSDFYKRPNPQLSPARTSSEEISHFMENRGVQLLGQIRHGSCRPRAILFKVLADAVGMDCKLLVGIPNEEYHEYDDSSKHMSVVVMLKSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDDNNRSFKVPSLRNIMLKSTNSMEGKLRCSSHSEPNVANSFCGRSRRKVVEEHQRTASSSPEHPLSRTRGRSMLGDRQHGDGVAVSRSDGASTSNMRRGRRRSISFTPEIGDDIVSAVRAMSERMRENRLSRGQNDGSPGQLNDSQKNESPDDFNDNEVHVRGPDEQEGSRRQVSNQKAVSLPSSPHRLRSDGSGLRGPAEFLTADLMSTWNKVLRSSPFLNKPLLPFEEWHIEFSEITVGTRVGIGFFGEVFRGIWNGTDVAIKLFLEQDLTTENMEDFCNEISILRGLMCMHRLKIVHRDLKSANCLVNKHWAVKLCDFGLSRVMSNSAMNDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGIPSVQVVYNVANEGARLEIPDGPLGSLIADCWAEPDKRPGCQEILTRLLDCEYTLC >ORUFI02G10200.6 pep chromosome:OR_W1943:2:7372072:7384590:1 gene:ORUFI02G10200 transcript:ORUFI02G10200.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDDQGQSDAHPSDPNWWSSHAEHKLGSISLTKQERNSGSPGSSHCGEGDHSLWAAQTLWCTGCLSSPIPNGFYSIIPDKKLKERFDTIPSPDDLYSLGIEGFKAEIILVDLEKDKKLSAIKQLCAALVKGLKSNPAAMIKKIAGLVSDFYKRPNPQLSPARTSSEEISHFMENRGVQLLGQIRHGSCRPRAILFKVLADAVGMDCKLLVGIPNEEYHEYDDSSKHMSVVVMLKSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDDNNRSFKVPSLRNIMLKSTNSMEGKLRCSSHSEPNVANSFCGRSRRKVVEEHQRTASSSPEHPLSRTRGRSMLGDRQHGDGVAVSRSDGASTSNMRRGRRRSISFTPEIGDDIVSAVRAMSERMRENRLSRGQNDGSPGQLNDSQKNESPDDFNDNEVHVRGPDEQEGSRRQVSNQKAVSLPSSPHRLRSDGSGLRGPAEFLTADLMSTWNKVLRSSPFLNKPLLPFEEWHIEFSEITVGTRVGIGFFGEVFRGIWNGTDVAIKLFLEQDLTTENMEDFCNEISILRGLMCMHRLKIVHRDLKSANCLVNKHWAVKLCDFGLSRVMSNSAMNDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGIPSVQVVYNVANEGARLEIPDGPLGSLIADCWAEPDKRPGCQEILTRLLDCEYTLC >ORUFI02G10200.7 pep chromosome:OR_W1943:2:7374279:7384750:1 gene:ORUFI02G10200 transcript:ORUFI02G10200.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDDQGQSDAHPSDPNWWSSHAEHKLGSISLTKQERNSGSPGSSHCGEGDHSLWAAQTLWCTGCLSSPIPNGFYSIIPDKKLKERFDTIPSPDDLYSLGIEGFKAEIILVDLEKDKKLSAIKQLCAALVKGLKSNPAAMIKKIAGLVSDFYKRPNPQLSPARTSSEEISHFMENRGVQLLGQIRHGSCRPRAILFKVLADAVGMDCKLLVGIPNEEYHEYDDSSKHMSVVVMLKSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDDNNRSFKVPSLRNIMLKSTNSMEGKLRCSSHSEPNVANSFCGRSRRKVVEEHQRTASSSPEHPLSRTRGRSMLGDRQHGDGVAVSRYLSQMAHQHLICVEDGEEV >ORUFI02G10210.1 pep chromosome:OR_W1943:2:7385449:7394944:-1 gene:ORUFI02G10210 transcript:ORUFI02G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATGDTSLPLPPPPPHVVLMASPGAGHLIPLAELARRLVSDHGFAVTVVTLTGLSDPVTDAAVLSSLPASVATAVLPPVALDDLPADIGFGSVMFELVRRSVPHLRPLVVGSPAAAIVCDFFGTPALALAAELGVPGYVFFPTSISFISVRRGAPRXVSFGSGGALSVEQTAELAAGLEMSGHSFLWVVRMPRTGGLLSSMGASYGNPMDFLPEGFVERTSGRGLAVASWAPQVRVLAHPATAAFVSHCGWNSALETVSSGVPMIAWPLHAEQKMNAAILTEVAGVALPLRPVARGGVVSREEVAAAVKELMDPGEKGSAARRRARELQAAVAARAWSPDGASRRALEEVAGKWKNASHTTPSYRRPMEPFASTTAEDHRPHVVLLASPGAGHLIPMAELARRLAHHHGVAPTLVTLAGLSDPATDAAVLSSLASVATAVLPPPADVGGCLRYEVMRRFVPQLRALVVGIGSTTAAIVCDFFGTPALALAAELGVPGYVFFPTSISFISVVRSVVELHDDAAAGEYRDLPDPLVLPGCAPLRHDEIPDGFQDCADPNYAYVLEEGRRYGGADGFLVNSFPEMEPGAAEAFRRDAENGAFPPVYLVGPFVRPSSDEDPDESACLEWLDRQPAGSVVYVSFGSGGALSVEQTAELAAGLEMSGHRFLWVVRMPSTGRLPYSMGAGHGNPMDFLPEGFVERTSGRGLAVASWAPQVRVLAHPATAAFVSHCGWNSTLESVSSGVPMIAWPLYAEQKMNTVIMTEVAGVALRPVARGGGGGGVVSRKEVAAAVKELMDPGEKGSAARRRARELQAAAAARAWSPDGAPRARGGGRQVEERRA >ORUFI02G10220.1 pep chromosome:OR_W1943:2:7412677:7415917:-1 gene:ORUFI02G10220 transcript:ORUFI02G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSRGGEMADCNDKSSASSMEKQTYAQNKYGGITPKKPLISKDPERAYFDSADWVLGKQAANGSARAAIESLKPKLKNAPSPAPPSQPDLCIQLISAAKVKLECSLASKSQGIQDGNSNLKLAG >ORUFI02G10220.2 pep chromosome:OR_W1943:2:7412677:7415917:-1 gene:ORUFI02G10220 transcript:ORUFI02G10220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSRGGEMADCNDKSSASSMEKQTYAQNKYGGITPKKPLISKDPERAYFDSADWVLGKQAANGSARAAIESLKPKLKVKLECSLASKSQGIQDGNSNLKLAG >ORUFI02G10230.1 pep chromosome:OR_W1943:2:7425073:7435881:1 gene:ORUFI02G10230 transcript:ORUFI02G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEDYHVIVLVGEGSFGKVYMGRRKYTRQTVAMKFILKHGKTDKDIHNLRQEIEILRKLKHENIIEMIDSFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALHYLHSNRIIHRDMKPQNILIGKGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPENMSAHFKSFLKGLLNKSPQSRLTWPALLEHPFVKDDSVEPAADNGTVPYEVKGSEATWKADEIQTSRKQPPVTDPQSRNTVENREIVYDKQNNKKVEGPKHAAEDHHGSATGPACSALDKLEKTSQTVKGANAIIEDSEALSTALSPIKIWLSNTSTSPRELNIDDANQSLRIVKNLIEAGSYQSCAAIDDIICMFLECTSVIIKTKISDAYSFAVKILVSTIDPSGRISRESTACLALMLSRVISGLKTSISSESPKPMEESLIKIINHARSSQLLELLCECLIASGSDIVSGSTNMVPAACEACKAIWYLAHAVDIMSLGTHNFSFPLANSWRQVHAKLDGKMQDQGSLPASQKKDSLSDIDSTHLINIFVKSFLASKPMQVAVYHCLHNGLESAIHASLQLISRACLLDASFCAMICGPINPSSNVNEVEHGGDGTIVSDMFSLLSLCGSYLNKESKQNSNQKCRLSNPHALVVHCCLALATIAACLKSEGNSSASIILTSSQKKQRSRLSVLAHLSSVDDTVKSCLQPHCASAMLALSSLISLENGGQSRSSLCETALALFPRMATIHTLLKLWLSDGSEALCRYNAGLLNLFGLRDGCVGLLETRLKWGGPLAIEQACSVGIPQLLIRLLTDGFSKEPSDEKEGSIHRSGLSPLGVVWTLSALSQCLPGGVFREILYRREHVKLLTDLLSDTHLKALAAWTGLGGGKKGVRELINSVVDILAFPFVAVQSSPNMPSTSASINSGFLLNIASPGGRIGTENKEMLKTIEKNMAQYIQVLLEVSVPGCILHCFDHVNMEDLSRPLAIVAKMVGYRPLASQLLREGLLNHSRVEKLLKGPIAKETLLDFLMIVSDLARMSKDFYEPIDKAGMVGYLKNFLSNEDPDLRAKACSAIGNMCRHSSYFYSSLATNKVIELVVDRCSDPDKRTRKFACFAVGNAAYHNDMLYEELRRSIPQLTKLLLAPEEDKTKGNAAGALSNLVRNSNILCEDIVSQGAIQALLKMVSSYSTVALSPSRKDVLTESPLKIVLFALRKMCDHAACRLFLRSSELLPVIVHLRQSPDPAISEYASAIATKACQP >ORUFI02G10230.2 pep chromosome:OR_W1943:2:7425073:7435881:1 gene:ORUFI02G10230 transcript:ORUFI02G10230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEDYHVIVLVGEGSFGKVYMGRRKYTRQTVAMKFILKHGKTDKDIHNLRQEIEILRKLKHENIIEMIDSFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALHYLHSNRIIHRDMKPQNILIGKGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPENMSAHFKSFLKGLLNKSPQSRLTWPALLEHPFVKDDSVEPAADNGTVPYEVKGSEATWKADEIQTSRKQPPVTDPQSRNTVENREIVYDKQNNKKVEGPKHAAEDHHGSATGPACSALDKLEKTSQTVKGANAIIEDSEALSTALSPIKIWLSNTSTSPRELNIDDANQSLRIVKNLIEAGSYQSCAAIDDIICMFLECTSVIIKTKISDAYSFAVKILVSTIDPSGRISRESTACLALMLSRVISGLKTSISSESPKPMEESLIKIINHARSSQLLELLCECLIASGSDIVSGSTNMVPAACEACKAIWYLAHAVDIMSLGTHNFSFPLANSWRQVHAKLDGKMQDQGSLPASQKKDSLSDIDSTHLINIFVKSFLASKPMQVAVYHCLHNGLESAIHASLQLISRACLLDASFCAMICGPINPSSNVNEVEHGGDGTIVSDMFSLLSLCGSYLNKESKQNSNQKCRLSNPHALVVHCCLALATIAACLKSEGNSSASIILTSSQKKQRSRLSVLAHLSSVDDTVKSCLQPHCASAMLALSSLISLENGGQSRSSLCETALALFPRMATIHTLLKLWLSDGSEALCRYNAGLLNLFGLRDGCVGLLETRLKWGGPLAIEQACSVGIPQLLIRLLTDGFSKEPSDEKEGSIHRSGLSPLGVVWTLSALSQCLPGGVFREILYRREHVKLLTDLLSDTHLKALAAWTGLGGGKKGVRELINSVVDILAFPFVAVQSSPNMPSTSASINSGFLLNIASPGGRIGTENKEMLKTIEKNMAQYIQVLLEVSVPGCILHCFDHVNMEDLSRPLAIVAKMVGYRPLASQLLREGLLNHSRVEKLLKGPIAKETLLDFLMIVSDLARMSKDFYEPIDKAGMVGYLKNFLSNEDPDLRAKACSAIGNMCRHSSYFYSSLVRKYFIHIWTIVEIFNLVFREQATNKVIELVVDRCSDPDKRTRKFACFAVGNAAYHNDMLYEELRRSIPQLTKLLLAPEEDKTKGNAAGALSNLVRNSNILCEDIVSQGAIQALLKMVSSYSTVALSPSRKDVLTESPLKIVLFALRKMCDHAACRLFLRSSELLPVIVHLRQSPDPAISEYASAIATKACQP >ORUFI02G10240.1 pep chromosome:OR_W1943:2:7425737:7441811:-1 gene:ORUFI02G10240 transcript:ORUFI02G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGRRETEGCAALLLCCSAARVLEAEALKYIRRRCTGHEPPAVAPATSGGAGKQQKKAVEKLTAAGSTESSLTNGCSNNAGQDPATTLYRPYVNFDNEDKSSHA >ORUFI02G10250.1 pep chromosome:OR_W1943:2:7448389:7458230:1 gene:ORUFI02G10250 transcript:ORUFI02G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSHTHRELRAPCSMDPSPRPSPHVVLVASPCAGHVMPMAELARRLVAFHGCAATLVTFSGLAASLDAHSAAVLASLPASSVAAVTLPEVTLDDIPAGANIGTLIFELVRRSLPSLREFLLSIGGVAALVPDFFCGVVLDLAVQLGVPGYVFVPSNTASLAFMRRFVEVHDGAAPGEYRDLPDPLRLAGDVTIRVADMPDGYLDRSNPVFWQLLEEVRRYRRADGFLVNSFAEMEPTIVEEFKTASERGAFPPVYPVGPFVRSSSDEPGESACLEWLDRQPAGSVVFVSFGSAGMLSVEQTRELAAGLEMSCHRFLWVVRMPSLDGESYDFGTDHRNDDDDPLAWLPDGFLERTSGRGLAVASWAPQVRVLSHPATAAFVSHCGWNSALESVSAGVPMVAWPLYAEQKVNAVILTEEVAGVALCPAAARGGDGMVAREEVAAAVKELMDPGEKGSAMRRRAREMQAAAARARSPGGGARSPEGASCRALTVVVGKWKNAVQEEPTASGMDPSPRSPPPPHVVLVASPCVGHVMPMAELARRLVAFHGCAATLVTFSGLAASLDAQSAAVAASLPASSVAAVTLPEVTLDDVPAGANIATLIFELVRRSLPNLRQFLRSIGGGVAALVPDFFCGVVLDLAVELGVPGYLFLPPNVASLACMRRLVELHDGAAPGEYRDFSDPLHLAGDVTISVADLPIKFLDRSNPVFGQLIDEGRRHRRADGFLVNSFAEMEPTIVEDFKKAAAEGAFPPVYPVGPFVRSSSDEPGESACLEWLDRQPAGSVVFVSFGSAGMLSVEQTRELAAGLEMSGHRFLWVVRMPSHDGESYDFGTDHRNDDDPLAWLPDGFLERTRGRGLAIASWAPQVRVLSHPATAAFVSHCGWNSALESVSAGVPMVAWPLYAEQKVNAAILTEVAGVALRPAAARGGGDGVVTREEVAAAVRELMDPGEKGSAARRRAREMQAAAARARSPGGASHRELDEVAGKWKQTNRAPYE >ORUFI02G10260.1 pep chromosome:OR_W1943:2:7468015:7495561:-1 gene:ORUFI02G10260 transcript:ORUFI02G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFAPAPPTTDGQRPHVVLLASPGAGHLIPLAELARRLADHHGVAPTLVTFADLDNPDARSAVLSSLPASVATATLPAVPLDDLPADAGLERTLFEVVHRSLPHLRVLLRSIGSTAALVPDFFCAAALSVADEVGVPGYVFFPTSITALCLMRRTVELHDDFAAAGEQRALPDPLELPGGVSLRTAEFPEAFRDSTAPVYGQLVETGRQYRGAAGFLANSFYELEPAAVEDSKKAAEKGTFPPAYPVGPFVRSSSDEPGESACLEWLDLQPAGSVVFVSFGSAGVLSVEQTRELAAGLELSGHRFLWVVRMPSLDGDSFALSGGHDDDPLAWLPDGFLERTRGRGLAVAAWAPQVRVLSHPATAAFVSHCGWNSTLESVAAGVPMIAWPLHSEQRMNAVVLEESVGMALRPRAREEDVGGAVVRRGEIAAAVKEVMEGEKGHGVRRRARELQQAAGQVWSPEGSSRRALEVVAGKWMAAAQNYGTKKKHNNPAGSVVFVSFGSAGILSVEQTRELAAGLEMSGHRFLWVVRMPSFNGESFAFGKGAGDEDDRRVDDDPLAWLPDGFLERTSGRGLAVAAWAPQVRVLSHPATAAFVSHCGWNSTLESVAAGVPMIARWEASSSGWYAEKHMGGDTGENGIDKTLIGDVMLQHRQEAANETPQPSSQLHHEIMETFTADDQRDADAPRPPRVVLLASPGAGHLIPLAGLARRLADHHGVAPTLVTFADLEHPDARSAVLSSLPASVATATLPAVPLDDLPADAGLERTLFEVVHRSLPNLRALLRSAAPAPLAALVPDFFCAAALPVAAELGVPGYVFVPTSLAALSLMRRTVELHDGAAAGEQRALPDPLELPGGVSLRNAEVPRGFRDSTAPVYGQLLATGRLYRLAAGFLANTFYELEPAAVEEFKKAAERGTFPPAYPVGPFVRSSSDEAGESACLEWLDLQPAGSVVRVLSHPATAAFVSHCGWNSTVESVAAGVPMIAWPLHAEQSLNAVVLEESVGVAVRPRSWEEDDVVGGAVMRRGEIAAAVREVMEGEKGRVVRRRARELKLAAGRVWSPEGSSRRALEEVAGKWKAAATTQKFGELMTAGSYELASGSGDEGELTVLAPVMAPPIGGEGRSTMDLFTDEALRVTGRRTTAHLYMLRRKYSGGRCSGDLLVPYTHACKYSGSGNN >ORUFI02G10270.1 pep chromosome:OR_W1943:2:7469473:7471460:1 gene:ORUFI02G10270 transcript:ORUFI02G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIAFSRFSICFCVLLLCHGSMAQIFSLGINPWQNPRQGGSRECRFDRLQAFEPLRKVRHEAGVTEYFDEKNEQFQCTGTLVIRRIIEPQGLLLPRYSNTPGLVYIIQGTGVLGLTFPGCPATYQKQFRHFGLEGGSQRQGKKLRDENQKIHQFRKGDVVALPSGVPHWFYNEGDTPVVALFVFDVNNNANQLEPRQKEFLLAGNNIEQQVSNPSINKHSGQNIFNGFNTELLSEALGVNIEVTRRLQSQNDRRGDIIRVKNGLRLIKPTITQQQEQTQDQYQQIQYHREQRSTSKYNGLDENFCAIRARLNIENPNHADTYNPRAGRITNLNSQKFSILNLVQMSATRVNLYQNAILSPFWNINAHSLVYTIQGRARVQVVSNHGKAVFNGVLRPGQLLIIPQNYVVMKKAELEGFQYVAFKTNPNAMVNHIAGKNSVLRAMPVDVIANAYRISRQEARSLKNNRGEEIGAFTPRYQQQKIHQGYSNANESETQEVI >ORUFI02G10280.1 pep chromosome:OR_W1943:2:7505159:7507476:1 gene:ORUFI02G10280 transcript:ORUFI02G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLYNWLRVLALTSLFLQCFLFVSATFRRYRIPALFRTCIWLAYLGSDALAIYGLATLFNRHRKPAPGAVAAAGGTSNGHGRSSMLEVLWAPVFLIHLGGQDTITAYNIEDNELWARHAVAMSSQAAVSVYVFCRSWSGGKVPVRCPVALFVAGFLKMGHRLWALRRASITWHATVSSDRRSRRKTTAEEEEGDMSLENYIRQAREQAATRNIDDAVNINDDGEARRAARRRSREQRAQLLAPNILEELMELFIDFPAPYARRIGYLTSFMALENYDAYYNLCNLLDLAFQFFYTKKNTNYTIVGIFLWVLFFLLGITAVAGFDGLDSNKDGLDRDDVKVTYILLCSAIVMEFSSLVWLNDWNWVPLWMLAPEMHRTIVQFNLIGFAARSRWPTMVMWIATLLGCKNYVNQHWYLEHRSSTAKIIGFIRKDLTSGWVSLRSVADYRRFNDRRGHWTLRREQCYGELGWSVTELPFDEAVLVWHIATAICLHCTDVPTAAAEDADGASAAARSMEISNYMMYLLLFQPDMLMPGTQQSLFTVACREIRRALRNQRQQQEQLSERELARWLLFSVDEPTTAAAEQGGEGRHLADARRLAGAMMELDADRRLRVIGGVWVEMICYSASRCRGFLHSKSMGVGGEFLTVVWLLLHRMGMEGLADKLQRPELTTGDVQDAVIV >ORUFI02G10290.1 pep chromosome:OR_W1943:2:7509036:7512092:1 gene:ORUFI02G10290 transcript:ORUFI02G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAAFEERVRQMEDARNHRLSLLHAEKELQAERSRLLDAKLASARRLERRRLLLERRAADLASRALSARAGIDDARARRVAISRDLRQARAYASPTQTPPPSRLLKFPHPHRCLNSLTNCSNWGGRGYPTHHSSVRGEIEEAERREEEWDRFYEAKRKEMEEFQAMSGRFEAAARDEVQSLRDLVSQLKSTLQEHHGGVMYLNNAEITAAEARKSDLMAKKAKLDESLASARQFRALLQQQLQKSFASQIGDQKTTQTTI >ORUFI02G10300.1 pep chromosome:OR_W1943:2:7512205:7519870:1 gene:ORUFI02G10300 transcript:ORUFI02G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRIGGRRKAGVEERYTRPQGLYEHRDIDQKKLRKLILEAKLAPCYMGADDAAAAADLEECPICFLYYPSLNRSKCCSKGICTECFLQMKPTHTAQPTQCPFCKTPSYAVEYRGVKTKEERSIEQFEEQKVIEAQMRMRQQALQDEEDKMKRKQNRCSSSRTITPTKEVEYRDICSTSFSVPSYRCAEQETECCSSEPSCSAQTSMRPFHSRHNRDDNIDMNIEDMMVMEAIWRSIQEQGSIGNPVCGNFMPVTEPSPRERQPFVPAASLEIPHGGGFSCAVAAMAEHQPPSMDFSYMAGSSAFPVFDMFRRPCNIAGGSMCNLESSPESWSGIAPSCSREVVREEGECSADHWSEGAEAGTSYAGSDIVADAGTMPQLPFAENFAMAPSHFRPESIEEQMMFSMALSLADGHGRTHSQGLAWL >ORUFI02G10310.1 pep chromosome:OR_W1943:2:7532801:7541081:1 gene:ORUFI02G10310 transcript:ORUFI02G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPRESDVPVLFVVFIVLPVIAYFLLGRWHDAVSKKARVSVLAQRAAEETFKVETMATPDVILPGPSLRPMPYMRSAPSARPEYHECATCHGPAKTRCSRCKSVRYCSGKCQIIHWRQGHKQTCQQWNGFGTSSSGGLPPTENTEQMPFLSNLNSPLRGSDVHLHDMDFDTMSEPSFVTTDSYNLDTSPFLSDRSNMNKPNQFLHTSENGAAIGSYEKNDYSIDGEVPSSEILSGNKGLNNSSGSGENCGNRDVIYPLNSVVHQPNNYAPEIRKRPKASITVYESDKGVYLTSDMISSGEGPYASAAESLQRSNSSGNVTGKGNMIHKKPPYPSGSTKTAVSTNSSLQGCNGISKAGASKVEALKKPSKFLKTSLVGLINDNKRSKVLFPYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCNTKTQAPTLLGVVVKSLTISDFFDIDCFILLGCSKNWCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQGACLDGLGGEKQVEASLQETTLIQQMFGGRLKSKVKCLRCYHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCSAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPDMLDMVPFVTGAGDNPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVQAVSLNQVMSEGAYMLFYMRSFPRPPKIYIEKGLSSVPTCSKRHSSKSSKGSKQDLNHTESLFASSDQTYGIYDFRPDNGYIQDQHAALRTRNFYHTDDAFADSISTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPISSIFGPYYAQDHPPGSFASCTRLSPSNPQTRYFQENTGFVSDSSMPAHLPGNVHRGRYPDRACSSSAEPPASANPRSVYGRYGLSREGFVQTSGFCQM >ORUFI02G10310.2 pep chromosome:OR_W1943:2:7533521:7541081:1 gene:ORUFI02G10310 transcript:ORUFI02G10310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPRESDVPVLFVVFIVLPVIAYFLLGRWHDAVSKKARVSVLAQRAAEETFKVETMATPDVILPGPSLRPMPYMRSAPSARPEYHECATCHGPAKTRCSRCKSVRYCSGKCQIIHWRQGHKQTCQQWNGFGTSSSGGLPPTENTEQMPFLSNLNSPLRGSDVHLHDMDFDTMSEPSFVTTDSYNLDTSPFLSDRSNMNKPNQFLHTSENGAAIGSYEKNDYSIDGEVPSSEILSGNKGLNNSSGSGENCGNRDVIYPLNSVVHQPNNYAPEIRKRPKASITVYESDKGVYLTSDMISSGEGPYASAAESLQRSNSSGNVTGKGNMIHKKPPYPSGSTKTAVSTNSSLQGCNGISKAGASKVEALKKPSKFLKTSLVGLINDNKRSKVLFPYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCNTKTQAPTLLGVVVKSLTISDFFDIDCFILLGCSKNWCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQGACLDGLGGEKQVEASLQETTLIQQMFGGRLKSKVKCLRCYHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCSAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPDMLDMVPFVTGAGDNPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVQAVSLNQVMSEGAYMLFYMRSFPRPPKIYIEKGLSSVPTCSKRHSSKSSKGSKQDLNHTESLFASSDQTYGIYDFRPDNGYIQDQHAALRTRNFYHTDDAFADSISTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPISSIFGPYYAQDHPPGSFASCTRLSPSNPQTRYFQENTGFVSDSSMPAHLPGNVHRGRYPDRACSSSAEPPASANPRSVYGRYGLSREGFVQTSGFCQM >ORUFI02G10320.1 pep chromosome:OR_W1943:2:7544708:7545344:1 gene:ORUFI02G10320 transcript:ORUFI02G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRRGAPVQWSYMSAEFGRWWSFGGERRVKTQPNLGRTYNDGARVSFPLLRTLSCCLSPQGWLPGESPRQSVTLSGGWSGASLLPDLCVGVVNCG >ORUFI02G10330.1 pep chromosome:OR_W1943:2:7562250:7566653:1 gene:ORUFI02G10330 transcript:ORUFI02G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIEAAAAAAAAERGPIRAVAEAEAEAEAKVVDVEGKEEEEEEAAKVEEEEEEEEEEREYKSDMRKLEELMSKLNPRAQEFVPSSRRAPPAAAAQAAGGLSADAPVFVSAAEYFGGAGAGAGQLQVGGGGGRDSSSDGSSNGGGQPQNRRGGELNEIRRSGFNQGRRRTGGRTRRADREDSVRRTVYVSDIDQQVTEQKLAEVFSNCGQVVDCRICGDPHSVLRFAFIEFADDAGARAALTLGGTMLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVTEEDVKIFFQQLCGKVSRLRLLGDYVHSTCIAFVEFAQAESAILALNYSGMVLGTLPIRVSPSKTPVRPRSPRVMSN >ORUFI02G10330.2 pep chromosome:OR_W1943:2:7562250:7566653:1 gene:ORUFI02G10330 transcript:ORUFI02G10330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIEAAAAAAAAERGPIRAVAEAEAEAEAKVVDVEGKEEEEEEAAKVEEEEEEEEEEREYKSDMRKLEELMSKLNPRAQEFVPSSRRAPPAAAAQAAGGLSADAPVFVSAAEYFGGAGAGAGQLQRRSGFNQGRRRTGGRTRRADREDSVRRTVYVSDIDQQVTEQKLAEVFSNCGQVVDCRICGDPHSVLRFAFIEFADDAGARAALTLGGTMLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVTEEDVKIFFQQLCGKVSRLRLLGDYVHSTCIAFVEFAQAESAILALNYSGMVLGTLPIRVSPSKTPVRPRSPRVMSN >ORUFI02G10340.1 pep chromosome:OR_W1943:2:7570524:7576462:-1 gene:ORUFI02G10340 transcript:ORUFI02G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGKAAMERHQSIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQDLHGPHLREFVQECYELSAEYENDRDEARLDELGRKLTSLPPGDSIVVSSSFSHMLNLANLAEEVQIAHRRRIKLKRGDFADEASAPTESDIEETLKRLVTQLGKSREEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLRQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGINERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSDELRIRADDLHRSSRKAAKHYIEFWKQIPPNEPYRVILGGVRDKLYYTRERTRHLLTTGVSEIPEEATFTNVEEFLEPLELCYRSLCACGDKPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDAITTYLGIGSYAEWSEEKRQDWLLSELRGKRPLFGPDLPQTEEIADVLGTFHVLAELPADCFGAYIISMATAPSDVLAVELLQRECHIKQPLRVVPLFEKLADLEAAPAAVARLFSIDWYMNRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEELVKVAKHYGVKLTMFHGRGGTVGRGGGPSHLAILSQPPDTIHGSLRVTVQGEVIEHSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAVVATKEYRSIVFKEPRFVEYFRSATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGGAFKHIMQKDIRNIHTLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAALYDKLLVAGDLQSFGEQLRNNFEETKQLLLQVAGHKDILEGDPYLKQRLRLRESYITTLNVCQAYTLKRIRDPSFEVMSQPALSKEFVDSNQPAELVQLNAASEYAPGLEDTLILTMKGIAAGMQNTG >ORUFI02G10340.2 pep chromosome:OR_W1943:2:7573136:7576462:-1 gene:ORUFI02G10340 transcript:ORUFI02G10340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGKAAMERHQSIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQDLHGPHLREFVQECYELSAEYENDRDEARLDELGRKLTSLPPGDSIVVSSSFSHMLNLANLAEEVQIAHRRRIKLKRGDFADEASAPTESDIEETLKRLVTQLGKSREEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLRQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGINERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSDELRIRADDLHRSSRKAAKHYIEFWKQIPPNEPYRVILGGVRDKLYYTRERTRHLLTTGVSEIPEEATFTNVEEVILFILYVSL >ORUFI02G10350.1 pep chromosome:OR_W1943:2:7588514:7595069:1 gene:ORUFI02G10350 transcript:ORUFI02G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAILPLPAPDGDGDSHPQQPPPPPPGGGAKPEPPPTVATHTRTIGIIHPPPDIRVIIEKTATFVSKNGPEFERRIISHNAGNAKFNFLQPSDPYHAYYQHRVSELAAAPPSAAASAADAAPEPDESGAAPPPADSAAAPTVDGEAAKADHSAPFRVPPPTKVLVPPKAELYTVHLPEGITGEELDIIKLTAQFVARNGKSFLTSLAQRESTNPQFHFIRPTHSMFTFFTKLTDTYSRVLNPVEGMPALLKDLQDGAKDLTTVLERCLNRLEWDRSQEQARQQAEDEIEQERMQMQMIDWHDFVVVETIEFADDECEGLPVPLTLEELKRRKRIEDLGEEEAAMELAEPAKDVEMEMDEEEIQLVEEGMRAARLEENEGGVQVKLAGDEEPPMRIVKNYKRPEERIPAERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQALSMGGEEQVDASNVPGPAPLPRPPMPLLRPPQPLPLVNVPRFQPSAMPYHPHQQPHLMQGVPHMMPTMHPPPPPPIPGQPQVIRMPGSMGPMPTNIPPPPPGQNPYMPGPPRPYSMPPPPHMPTMATMVNPIGIPQPPPPLPPQPPAEEQPPQPDEPEPKRLRTDDASLIPAEQFLAQHPGPAHISVSVPNLDEGNLRGQVLEIGVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNIGPGVVINLTLRERGGRKK >ORUFI02G10350.2 pep chromosome:OR_W1943:2:7588514:7591935:1 gene:ORUFI02G10350 transcript:ORUFI02G10350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAILPLPAPDGDGDSHPQQPPPPPPGGGAKPEPPPTVATHTRTIGIIHPPPDIRVIIEKTATFVSKNGPEFERRIISHNAGNAKFNFLQPSDPYHAYYQHRVSELAAAPPSAAASAADAAPEPDESGAAPPPADSAAAPTVDGEAAKADHSAPFRVPPPTKVLVPPKAELYTVHLPEGITGEELDIIKLTAQFVARNGKSFLTSLAQRESTNPQFHFIRPTHSMFTFFTKLTDTYSRVLNPVEGMPALLKDLQDGAKDLTTVLERCLNRLEWDRSQEQARQQAEDEIEQERMQMQMIDWHDFVVVETIEFADDECEGLPVPLTLEELKRRKRIEDLGEEEAAMELAEPAKDVEMEMDEEEIQLVEEGMRAARLEENEGGVQVKLAGDEEPPMRIVKNYKRPEERIPAERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQALSMGGEEQVDASNVPGPAPLPRPPMPLLRPPQPLPLVNVPRFQPSAMPYHPHQQPHLMQGVPHMMPTMHPPPPPPIPGQPQVIRMPGSMGPMPTNIPPPPPGQNPYMPGPPRPYSMPPPPHMPTMATMVNPIGIPQPPPPLPPQPPAEEQPPQPDEPEPKRLRTDDASLIPAEQFLAQHPGPAHISVSVPNLDEGNLRGQVLEIGVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNIGPGVVINLTLRERGGRKK >ORUFI02G10350.3 pep chromosome:OR_W1943:2:7588514:7591935:1 gene:ORUFI02G10350 transcript:ORUFI02G10350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAILPLPAPDGDGDSHPQQPPPPPPGGGAKPEPPPTVATHTRTIGIIHPPPDIRVIIEKTATFVSKNGPEFERRIISHNAGNAKFNFLQPSDPYHAYYQHRVSELAAAPPSAAASAADAAPEPDESGAAPPPADSAAAPTVDGEAAKADHSAPFRVPPPTKVLVPPKAELYTVHLPEGITGEELDIIKLTAQFVARNGKSFLTSLAQRESTNPQFHFIRPTHSMFTFFTKLTDTYSRVLNPVEGMPALLKDLQDGAKDLTTVLERCLNRLEWDRSQEQARQQAEDEIEQERMQMQMIDWHDFVVVETIEFADDECEGLPVPLTLEELKRRKRIEDLGEEEAAMELAEPAKDVEMEMDEEEIQLVEEGMRAARLEENEGGVQVKLAGDEEPPMRIVKNYKRPEERIPAERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQALSMGGEEQVDASNVPGPAPLPRPPMPLLRPPQPLPLVNVPRFQPSAMPYHPHQQPHLMQGVPHMMPTMHPPPPPPIPGQPQVIRMPGSMGPMPTNIPPPPPGQNPYMPGPPRPYSMPPPPHMPTMATMVNPIGIPQPPPPLPPQPPAEEQPPQPDEPEPKRLRTDDASLIPAEQFLAQHPGPAHISVSVPNLDEGNLRGQVLEIGVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNIGPGVVINLTLRERGGRKK >ORUFI02G10360.1 pep chromosome:OR_W1943:2:7596940:7597914:-1 gene:ORUFI02G10360 transcript:ORUFI02G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFKAPAPGFSVRFSPFHEQRLLAAASQHFGLVGNGHLLVLDLSAAAGGGPGGTPAPAPVFAFPTSDALFDCAWSESHESLCAAASGDGSVRLFDVALPPAQNPVRLLREHAREVHGLDWNPVRRDAFLSASWDDTLKLWSPDRPASVRTFRGHEYCVYAAAWSARHPDVFASASGDRTARVWDVREPAPTLVIPAHDHEVLSLDWDKYDPSILATGSVDKSIRVWDVRAPRAPLAQLAGHGYAVKRVKFSPHRQGMLMSCSYDMTVCMWDYRKEDALLARYGHHTEFVAGIDMSVLVEGLLASTGWDEMIYVWPFGSDPRAM >ORUFI02G10370.1 pep chromosome:OR_W1943:2:7602909:7604470:1 gene:ORUFI02G10370 transcript:ORUFI02G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPTSAPTTRLPPPASWRFRPQLCHNRLLSRHQIHPVGVGSPAPAPDSQQQVGKGRSTVSYGEKEQLPITAPRPYAPAPLPPPPPRRRSRGRRCCRCVCWTLLAVLVLAVALGATAGILYAVFKPKIPDFRVNRLTVTRFDVNATAATVSDAFEVEVTSTNPNRRIGMYYDGLKVTASFHNNGTELCRGGFPALYQGHRSTLLQQQQARFVPLTVWARMPIRIKFGAIKLWKMTGKATCNLVVDNLVTGQADPNPLQQLQLQAQGLIELCRGVDPSPFL >ORUFI02G10380.1 pep chromosome:OR_W1943:2:7606804:7617489:-1 gene:ORUFI02G10380 transcript:ORUFI02G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRCNNQYYWYHVEHSSSTEKVVGLIREDLRSGWVEDDLRSAAAYRRFNNRRGQWTLRREQCYGEMGWSVAKLPFDEAVLVWHVATDICLHCTQNIDIDISSSPAAGADEVAAVTEISNYMMYLLAFQPNMLMPGARQGLFTAARHEIMHAIRHQGRHQQQLSERDLARCLAGGDDDDEYSTPATEEQAGAGSRLLERKGGGGEGRHLAHARRLAGAMMKLDAGKRLRVIGGVWVEMICYSASRCSGSLHLKILGVGGGEFLTVVWLLLHRMGMEVLADKLHRPELARDEPDAVGATFLSTDDATIADTIKRRNLDLEPGQCRANNIRDNFNLTNFWWEEWQLRVLVLTSLFLQCFLFFSATFRKHRIPAILRASIWLAYLGSDAVAIYGLAAIFSRHGGAATGDMSSSMLEVLWAPIFLIHLAGAQDSITAYDAAEDNALWARRAVAMSSQAAVAVYVFCRSWSGGKVRARCPVALFVAGFLKMGRTLCALRRASATRIAAVARKTAAADLSISLYLQRASKHAIEATRNRNNIQTNDDEDDDGDERQLDVPHSVLDNQNEFMELFIDFPAPYTRRLSYLWSFLQLEPYDAYCKLFNLVDYAFQIFYTSRNAAYPITGRFIRSIFLVLGMMAMGGIEELDRNKDGSFDTNDVKVTYILLWSAFFMEFTNLIPLAHQKWPMCKLAPQMKRTIAQFNLIGFTARSRWSTKIDSDLSRRYSLVRTCQTVLSRIATLLRCNNQHWYYGEHSPWTEKVVDLIREDLRCGWVEDDLRSAAAYRRFNDRRGQWTLWREQCYGEMGWSVAKLPFDEAVLVWHVATDICLHCTEYINISSSPVAGADKITAVMKISNYMMYLLAFQPDMLMPGTRQSLFTAARHEIAHTLRHQGRHQQQLSGRDLVRCLAAGDDDEYSTPATEGQDRAGSRLLKRRGGGGGGHLAHARRLAGAMMKLDAGKRLRVIGGVWVEMICYSASRCSGSLHLKSLGVGGGEFLTVVWFLLHWMGMEVSLESEAYMEPNLHKNSRKSIGFREEINKLADRLAKEAKSNQPSAPVYVCQNVHFSPGLSQYRMSCK >ORUFI02G10390.1 pep chromosome:OR_W1943:2:7617501:7618800:-1 gene:ORUFI02G10390 transcript:ORUFI02G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASLPPSSNGRMVEPAGTMVQWWEEWQLRVLVLTSLFLQCFLFFSATFRKHRIPAILRASIWLAYLGSDAVAIYGLAAIFSRHGKNAGDDGGRGESSMLEVLWAPVFLIHLAGAQDSITAYDAAEDNALWARRAVAMSSQAAVAVYVFCRSWSGGKVPARCPVALFVAGFLKMGLMLWALRRASATRIATVGRKAAAAEANWSLERYLQWASKSAIEATRNIQTNDDGDGGVDGGGENRSAARRHRSATSELHLDLIDLEYQNDLMELFIDFPNTYTSRLSYLSSFLKLEPYDAYCRLCDLVDYAFQIFYTSRNAAYPFASLFLILRFIFFMLAATAIGGFDGLDSNMDGLDTNDVQC >ORUFI02G10400.1 pep chromosome:OR_W1943:2:7621906:7622433:-1 gene:ORUFI02G10400 transcript:ORUFI02G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRAWYLRHDIVQCRGGEGSGGEGGGGGGVAVELEAPGTAVVCVGRRREQQRSAETGGGGGTETVEERSGATRRRTRGSCEEWWRIVGHRGGDRGRLSVIEAEIVGGADGMEEGEGSGERWEGINEAGVGVLGTWFDGGVTSLAVAAAERVEENGGGGESGVERKGRERWRMR >ORUFI02G10410.1 pep chromosome:OR_W1943:2:7628120:7628883:1 gene:ORUFI02G10410 transcript:ORUFI02G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRAKRGNTGGGDRGRGTGSLQCVVSRECLRKKGAEELEARIPHVGVSPLSRDTRSGRTANGVSGETTQGYPLWMASVSSAEQPPADLEGDVGVDAVYQIYSLERQKASQRLMAGLYELRAAQPLRREGAKVGGVPRGEAVVVAQPLGVLVHADTDEAVRGAGEEAAEGARDVVGVEEREDEAPAVDPELQQRDRVLRDGGGLVAARPPWQGHGPGEVISMDLGGSPSLLLF >ORUFI02G10420.1 pep chromosome:OR_W1943:2:7631578:7635490:-1 gene:ORUFI02G10420 transcript:ORUFI02G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAHSKSCFHQFWDGLQIKRSSDSFTVELLPSLGATINHSNKLQKFIISPYDPRYRSWELFLIVLVVYSAWICPFELAFLRDLPSKLLLVENIVDIFFAIDIVLTFFVAYVDSKTHLLVDDRKRIAMRYLSTWFIFDVCSTAPFQPIILLFTHKGNDIAFKVLNLLRLWRLHRVSSLFARLEKDIRFNYFWTRCSKLISVTLFAVHCAGCFNYMIADRYPNPEKTWIGAVMSTFRSESLWTRYITALYWSITTLTTTGYGDLHAENPTEMLFDIVYMMFNLGLTAYLIGNMTNLVVHGTSRTRKFRDSIQAASEFAARNQLPENIKQQVLSHFCLQFKTEGLNQQVMLDCLPKGIRSSIAYSLFFPIIRQAYLFNGVSGNFIAELVMEVQAEYFPPKEDIILQNEGEADVYIVVSGAVNIITTIHGNEQVYEKIAEGEMFGEVGALCNIPQPFTCRTAELSQLLRISKTRLREIIEENREDSNILMNNLVQKLKLRESLPDMNQPDRRFLSKYELFHVPREAWLLKKSQLHYTEHTSRDSSNNTPVFGGDRYSRQLLGEATRSSASENENSSMTDKEENHDEVHTNCEIKKRTEEHCIQINSEDSSSTYSQRTMNATVQTGSPHKTEENITRRIPDEYYIKEANKRVTIHKYRHNSTVSAAQNGKLIKLPTSLEELFKIGSQKFQGFHPRKVVSRDYAEIDDVSVIRDDDHLFLLEM >ORUFI02G10420.2 pep chromosome:OR_W1943:2:7631578:7635490:-1 gene:ORUFI02G10420 transcript:ORUFI02G10420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAHSKSCFHQFWDGLQIKRSSDSFTVELLPSLGATINHSNKLQKFIISPYDPRYRSWELFLIVLVVYSAWICPFELAFLRDLPSKLLLVENIVDIFFAIDIVLTFFVAYVDSKTHLLVDDRKRIAMRYLSTWFIFDVCSTAPFQPIILLFTHKGNDIAFKVLNLLRLWRLHRVSSLFARLEKDIRFNYFWTRYPNPEKTWIGAVMSTFRSESLWTRYITALYWSITTLTTTGYGDLHAENPTEMLFDIVYMMFNLGLTAYLIGNMTNLVVHGTSRTRKFRDSIQAASEFAARNQLPENIKQQVLSHFCLQFKTEGLNQQVMLDCLPKGIRSSIAYSLFFPIIRQAYLFNGVSGNFIAELVMEVQAEYFPPKEDIILQNEGEADVYIVVSGAVNIITTIHGNEQVYEKIAEGEMFGEVGALCNIPQPFTCRTAELSQLLRISKTRLREIIEENREDSNILMNNLVQKLKLRESLPDMNQPDRRFLSKYELFHVPREAWLLKKSQLHYTEHTSRDSSNNTPVFGGDRYSRQLLGEATRSSASENENSSMTDKEENHDEVHTNCEIKKRTEEHCIQINSEDSSSTYSQRTMNATVQTGSPHKTEENITRRIPDEYYIKEANKRVTIHKYRHNSTVSAAQNGKLIKLPTSLEELFKIGSQKFQGFHPRKVVSRDYAEIDDVSVIRDDDHLFLLEM >ORUFI02G10430.1 pep chromosome:OR_W1943:2:7648511:7651191:1 gene:ORUFI02G10430 transcript:ORUFI02G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASSSTPAAVRKQYTIQVGENELELELVNDEANVYKLIGPVLVKQDLAEAKANVKKRIEYISAELKRMDRALKDLEEKQNSKKESIFKLQQKMQAVQAKA >ORUFI02G10440.1 pep chromosome:OR_W1943:2:7664767:7665153:-1 gene:ORUFI02G10440 transcript:ORUFI02G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGSGRYCTHSCRAPWINSSGLGKRRIDDGHTTGSSRFDGCAPQEWRLRRPQSPGTKWWIDRRRHAREVANWQSKRCARGGDESTIQQPRAQEEVDSTIVIVGTGRWMAMGQAGFGGSSDHNGLLR >ORUFI02G10450.1 pep chromosome:OR_W1943:2:7667985:7668566:1 gene:ORUFI02G10450 transcript:ORUFI02G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMMRSQGGGGVAGEYQYYYHGGTGAGGLVDQEMAVAAPATSDDDGVVLLMELLDGEEEMGDDYSPSPAATTDGDGDADQLSRVIRSLEAEIGGGGATGAVAAATATRDSDESMAAAGPASDDDGAAAAGIRRLEDMFSDDLDGYGGGAFGYGWPPELALPAAASWCVYDDEHLYYGDGSIDEQVYSPLWEQ >ORUFI02G10460.1 pep chromosome:OR_W1943:2:7675020:7692262:1 gene:ORUFI02G10460 transcript:ORUFI02G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIVEVGPSSRPLRYVPAQQRWRPTPTPLPPPPPPLPPPPAASAASSSGAGDAAAVASAANQFDSEKLPQTLVSEIRPFLRVANQIEHESPRVAYLCRFHAFEKAHMMDPRSTGRGVRQFKTALLQRLEQDEKSTFTKRMAKSDSQEIRLFYEKKEKADERELLPVLAEVLRAVQIGTGKEKQKRIASETFADKSALFRYNILPLYPGSTKQPIMLLPEIKVAVCAVFNVRSLPFANTKDHKNQMDIFLWMQSWFGFQKGNVANQREHLILLLANMHARLNPKSSSETMLDDRAVDELLAKTFENYLTWCKLPSVKQEIQQHKLLYISLYLLIWGEASNLRLMPECLCYIFHHMSYELYGVLSGAVSLITGEKVRPAYGGDDESFLKKVVTPIYKEIYEESLKNKNGVSDHSTWRNYDDLNEFFWLDTMLYWVIAFASNRSADCFKLGWPMRLNNDFFFTSNKNKNSRLPIVPPVQQTEQQINQLRTSQQTDQQNTQLRTSQQTEQRNTQLRTPNGSSSFQNMLNPEAPGQTQQQTTSDTSQQKWLGKTNFVEVRSFWHIFRSFDRMWTLLVLGLQVLIIMAWHGLESPLQLLDPIIFQDVLSIFITNSVLRVIQVILDITFSWRTKRTMRFSQKLRFAVKLSIAVAWAIILPIFYASSQNYLSCSARRPKTFLGIFCLSKYMVVVALYLTSNVIGMALFFVPAFFRDIYLENLQYVSLVVSVKSNAGAILAVWAPIILVYFMDTQIWYSVFCTIFGGMCGIIHHLGEIRTMGMVRSRFCTLLEAFNTSLVPHSMMTKKKGILPSFLEKKIFKNFGKAERHDPIKFALVWNQIINSFRSEDLISNREMDLMTMPMSLEHRSGSIRWPMFLLAKKFSEAVDMVANFTGKSTRLFCIIKKDNYMLCAINDFYELTKSILRHLVIGDVEKRVIAAIYTEIEKSIQNASLLVDFKMDHLPSLVAKFDRLAELLYTNKQELRYEVTILLQDIIDILVQDMLVDAQSVLGLINSSETLISDDDGTFEYYKPELFASISSISNIRFPFPENGPLKEQVKRLYLLLNTKEKVVEVPSNLEARRRISFFATSLFMDMPSAPKVSSMLSFSIITPYFNEEVKFSEDELHSDQDEASILSYMQKIYPDEWRNFLERLGPKVTQEEIRYWASFHGQTLSRTELCKGPAANGRQTKNMHQSLSTELDALADMKFSYVISCQKFGEQKSSGNPHAQDIIDLMTRYPALRVAYIEEKEIIVDNRPHKVYSSVLIKAENNLDQEIYRIKLPGPPLIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRHPRGKAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRMFHLTRGGISKASKTINLSEDVFAGYNSILRRGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVVGVYVFLYGQLYLVLSGLQRALLIEAETQNMKSLETALVSQSFLQLGLLTGLPMVMELGLEKGFRVALSDFILMQLQLASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVAFHASFTENYQLYSRSHFVKGFELKIVCEHCGTCDDHILNVIVEDWADWTIWMRNQGGIGVQPEKSWESWWNAENAHLRHSVLSSRILEVLLSLRFFMYQYGLVYHLKISQDNKNFLVYLLSWVVIIAIVGLVKVIAHAYDYGMGSLLFFPIAALAWMPVISAIQTRVLFNRAFSRQLQIQPFIAGKTKRR >ORUFI02G10460.2 pep chromosome:OR_W1943:2:7675020:7692262:1 gene:ORUFI02G10460 transcript:ORUFI02G10460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIVEVGPSSRPLRYVPAQQRWRPTPTPLPPPPPPLPPPPAASAASSSGAGDAAAVASAANQFDSEKLPQTLVSEIRPFLRVANQIEHESPRVAYLCRFHAFEKAHMMDPRSTGRGVRQFKTALLQRLEQDEKSTFTKRMAKSDSQEIRLFYEKKEKADERELLPVLAEVLRAVQIGTGKEKQKRIASETFADKSALFRYNILPLYPGSTKQPIMLLPEIKVAVCAVFNVRSLPFANTKDHKNQMDIFLWMQSWFGFQKGNVANQREHLILLLANMHARLNPKSSSETMLDDRAVDELLAKTFENYLTWCKLPSVKQEIQQHKLLYISLYLLIWGEASNLRLMPECLCYIFHHESLKNKNGVSDHSTWRNYDDLNEFFWLDTMLYWVIAFASNRSADCFKLGWPMRLNNDFFFTSNKNKNSRLPIVPPVQQTEQQINQLRTSQQTDQQNTQLRTSQQTEQRNTQLRTPNGSSSFQNMLNPEAPGQTQQQTTSDTSQQKWLGKTNFVEVRSFWHIFRSFDRMWTLLVLGLQVLIIMAWHGLESPLQLLDPIIFQDVLSIFITNSVLRVIQVILDITFSWRTKRTMRFSQKLRFAVKLSIAVAWAIILPIFYASSQNYLSCSARRPKTFLGIFCLSKYMVVVALYLTSNVIGMALFFVPAFFRDIYLENLQYVSLVVSVKSNAGAILAVWAPIILVYFMDTQIWYSVFCTIFGGMCGIIHHLGEIRTMGMVRSRFCTLLEAFNTSLVPHSMMTKKKGILPSFLEKKIFKNFGKAERHDPIKFALVWNQIINSFRSEDLISNREMDLMTMPMSLEHRSGSIRWPMFLLAKKFSEAVDMVANFTGKSTRLFCIIKKDNYMLCAINDFYELTKSILRHLVIGDVEKRVIAAIYTEIEKSIQNASLLVDFKMDHLPSLVAKFDRLAELLYTNKQELRYEVTILLQDIIDILVQDMLVDAQSVLGLINSSETLISDDDGTFEYYKPELFASISSISNIRFPFPENGPLKEQVKRLYLLLNTKEKVVEVPSNLEARRRISFFATSLFMDMPSAPKVSSMLSFSIITPYFNEEVKFSEDELHSDQDEASILSYMQKIYPDEWRNFLERLGPKVTQEEIRYWASFHGQTLSRTELCKGPAANGRQTKNMHQSLSTELDALADMKFSYVISCQKFGEQKSSGNPHAQDIIDLMTRYPALRVAYIEEKEIIVDNRPHKEIYRIKLPGPPLIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRHPRGKAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRMFHLTRGGISKASKTINLSEDVFAGYNSILRRGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVVGVYVFLYGQLYLVLSGLQRALLIEAETQNMKSLETALVSQSFLQLGLLTGLPMVMELGLEKGFRVALSDFILMQLQLASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVAFHASFTENYQLYSRSHFVKGFELKIVCEHCGTCDDHILNVIVEDWADWTIWMRNQGGIGVQPEKSWESWWNAENAHLRHSVLSSRILEVLLSLRFFMYQYGLVYHLKISQDNKNFLVYLLSWVVIIAIVGLVKVIAHAYDYGMGSLLFFPIAALAWMPVISAIQTRVLFNRAFSRQLQIQPFIAGKTKRR >ORUFI02G10460.3 pep chromosome:OR_W1943:2:7675020:7692262:1 gene:ORUFI02G10460 transcript:ORUFI02G10460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIVEVGPSSRPLRYVPAQQRWRPTPTPLPPPPPPLPPPPAASAASSSGAGDAAAVASAANQFDSEKLPQTLVSEIRPFLRVANQIEHESPRVAYLCRFHAFEKAHMMDPRSTGRGVRQFKTALLQRLEQDEKSTFTKRMAKSDSQEIRLFYEKKEKADERELLPVLAEVLRAVQIGTGKEKQKRIASETFADKSALFRYNILPLYPGSTKQPIMLLPEIKVAVCAVFNVRSLPFANTKDHKNQMDIFLWMQSWFGFQKGNVANQREHLILLLANMHARLNPKSSSETMLDDRAVDELLAKTFENYLTWCKLPSVKQEIQQHKLLYISLYLLIWGEASNLRLMPECLCYIFHHMSYELYGVLSGAVSLITGEKVRPAYGGDDESFLKKVVTPIYKEIYEESLKNKNGVSDHSTWRNYDDLNESADCFKLGWPMRLNNDFFFTSNKNKNSRLPIVPPVQQTEQQINQLRTSQQTDQQNTQLRTSQQTEQRNTQLRTPNGSSSFQNMLNPEAPGQTQQQTTSDTSQQKWLGKTNFVEVRSFWHIFRSFDRMWTLLVLGLQVLIIMAWHGLESPLQLLDPIIFQDVLSIFITNSVLRVIQVILDITFSWRTKRTMRFSQKLRFAVKLSIAVAWAIILPIFYASSQNYLSCSARRPKTFLGIFCLSKYMVVVALYLTSNVIGMALFFVPAFFRDIYLENLQYVSLVVSVKSNAGAILAVWAPIILVYFMDTQIWYSVFCTIFGGMCGIIHHLGEIRTMGMVRSRFCTLLEAFNTSLVPHSMMTKKKGILPSFLEKKIFKNFGKAERHDPIKFALVWNQIINSFRSEDLISNREMDLMTMPMSLEHRSGSIRWPMFLLAKKFSEAVDMVANFTGKSTRLFCIIKKDNYMLCAINDFYELTKSILRHLVIGDVEKRVIAAIYTEIEKSIQNASLLVDFKMDHLPSLVAKFDRLAELLYTNKQELRYEVTILLQDIIDILVQDMLVDAQSVLGLINSSETLISDDDGTFEYYKPELFASISSISNIRFPFPENGPLKEQVKRLYLLLNTKEKVVEVPSNLEARRRISFFATSLFMDMPSAPKVSNEWRNFLERLGPKVTQEEIRYWASFHGQTLSRTELCKGPAANGRQTKNMHQSLSTELDALADMKFSYVISCQKFGEQKSSGNPHAQDIIDLMTRYPALRVAYIEEKEIIVDNRPHKEIYRIKLPGPPLIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRHPRGKAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRMFHLTRGGISKASKTINLSEDVFAGYNSILRRGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVVGVYVFLYGQLYLVLSGLQRALLIEAETQNMKSLETALVSQSFLQLGLLTGLPMVMELGLEKGFRVALSDFILMQLQLASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVAFHASFTENYQLYSRSHFVKGFELKIVCEHCGTCDDHILNVIVEDWADWTIWMRNQGGIGVQPEKSWESWWNAENAHLRHSVLSSRILEVLLSLRFFMYQYGLVYHLKISQDNKNFLVYLLSWVVIIAIVGLVKVIAHAYDYGMGSLLFFPIAALAWMPVISAIQTRVLFNRAFSRQLQIQPFIAGKTKRR >ORUFI02G10460.4 pep chromosome:OR_W1943:2:7675020:7692262:1 gene:ORUFI02G10460 transcript:ORUFI02G10460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIVEVGPSSRPLRYVPAQQRWRPTPTPLPPPPPPLPPPPAASAASSSGAGDAAAVASAANQFDSEKLPQTLVSEIRPFLRVANQIEHESPRVAYLCRFHAFEKAHMMDPRSTGRGVRQFKTALLQRLEQDEKSTFTKRMAKSDSQEIRLFYEKKEKADERELLPVLAEVLRAVQIGTGKEKQKRIASETFADKSALFRYNILPLYPGSTKQPIMLLPEIKVAVCAVFNVRSLPFANTKDHKNQMDIFLWMQSWFGFQKGNVANQREHLILLLANMHARLNPKSSSETMLDDRAVDELLAKTFENYLTWCKLPSVKQEIQQHKLLYISLYLLIWGEASNLRLMPECLCYIFHHESLKNKNGVSDHSTWRNYDDLNEFFWLDTMLYWVIAFASNRSADCFKLGWPMRLNNDFFFTSNKNKNSRLPIVPPVQQTEQQINQLRTSQQTDQQNTQLRTSQQTEQRNTQLRTPNGSSSFQNMLNPEAPGQTQQQTTSDTSQQKWLGKTNFVEVRSFWHIFRSFDRMWTLLVLGLQVLIIMAWHGLESPLQLLDPIIFQDVLSIFITNSVLRVIQVILDITFSWRTKRTMRFSQKLRFAVKLSIAVAWAIILPIFYASSQNYLSCSARRPKTFLGIFCLSKYMVVVALYLTSNVIGMALFFVPAFFRDIYLENLQYVSLVVSVKSNAGAILAVWAPIILVYFMDTQIWYSVFCTIFGGMCGIIHHLGEIRTMGMVRSRFCTLLEAFNTSLVPHSMMTKKKGILPSFLEKKIFKNFGKAERHDPIKFALVWNQIINSFRSEDLISNREMDLMTMPMSLEHRSGSIRWPMFLLAKKFSEAVDMVANFTGKSTRLFCIIKKDNYMLCAINDFYELTKSILRHLVIGDVEKRVIAAIYTEIEKSIQNASLLVDFKMDHLPSLVAKFDRLAELLYTNKQELRYEVTILLQDIIDILVQDMLVDAQSVLGLINSSETLISDDDGTFEYYKPELFASISSISNIRFPFPENGPLKEQVKRLYLLLNTKEKVVEVPSNLEARRRISFFATSLFMDMPSAPKVSNEWRNFLERLGPKVTQEEIRYWASFHGQTLSRTELCKGPAANGRQTKNMHQSLSTELDALADMKFSYVISCQKFGEQKSSGNPHAQDIIDLMTRYPALRVAYIEEKEIIVDNRPHKEIYRIKLPGPPLIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRHPRGKAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRMFHLTRGGISKASKTINLSEDVFAGYNSILRRGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVVGVYVFLYGQLYLVLSGLQRALLIEAETQNMKSLETALVSQSFLQLGLLTGLPMVMELGLEKGFRVALSDFILMQLQLASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVAFHASFTENYQLYSRSHFVKGFELKIVCEHCGTCDDHILNVIVEDWADWTIWMRNQGGIGVQPEKSWESWWNAENAHLRHSVLSSRILEVLLSLRFFMYQYGLVYHLKISQDNKNFLVYLLSWVVIIAIVGLVKVIAHAYDYGMGSLLFFPIAALAWMPVISAIQTRVLFNRAFSRQLQIQPFIAGKTKRR >ORUFI02G10460.5 pep chromosome:OR_W1943:2:7675020:7692262:1 gene:ORUFI02G10460 transcript:ORUFI02G10460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIVEVGPSSRPLRYVPAQQRWRPTPTPLPPPPPPLPPPPAASAASSSGAGDAAAVASAANQFDSEKLPQTLVSEIRPFLRVANQIEHESPRVAYLCRFHAFEKAHMMDPRSTGRGVRQFKTALLQRLEQDEKSTFTKRMAKSDSQEIRLFYEKKEKADERELLPVLAEVLRAVQIGTGKEKQKRIASETFADKSALFRYNILPLYPGSTKQPIMLLPEIKVAVCAVFNVRSLPFANTKDHKNQMDIFLWMQSWFGFQKGNVANQREHLILLLANMHARLNPKSSSETMLDDRAVDELLAKTFENYLTWCKLPSVKQEIQQHKLLYISLYLLIWGEASNLRLMPECLCYIFHHESLKNKNGVSDHSTWRNYDDLNEFFWLDTMLYWVIAFASNRSADCFKLGWPMRLNNDFFFTSNKNKNSRLPIVPPVQQTEQQINQLRTSQQTDQQNTQLRTSQQTEQRNTQLRTPNGSSSFQNMLNPEAPGQTQQQTTSDTSQQKWLGKTNFVEVRSFWHIFRSFDRMWTLLVLGLQVLIIMAWHGLESPLQLLDPIIFQDVLSIFITNSVLRVIQVILDITFSWRTKRTMRFSQKLRFAVKLSIAVAWAIILPIFYASSQNYLSCSARRPKTFLGIFCLSKYMVVVALYLTSNVIGMALFFVPAFFRDIYLENLQYVSLVVSVKSNAGAILAVWAPIILVYFMDTQIWYSVFCTIFGGMCGIIHHLGEIRTMGMVRSRFCTLLEAFNTSLVPHSMMTKKKGILPSFLEKKIFKNFGKAERHDPIKFALVWNQIINSFRSEDLISNREMDLMTMPMSLEHRSGSIRWPMFLLAKKFSEAVDMVANFTGKSTRLFCIIKKDNYMLCAINDFYELTKSILRHLVIGDVEKRVIAAIYTEIEKSIQNASLLVDFKMDHLPSLVAKFDRLAELLYTNKQELRYEVTILLQDIIDILVQDMLVDAQSVLGLINSSETLISDDDGTFEYYKPELFASISSISNIRFPFPENGPLKEQVKRLYLLLNTKEKVVEVPSNLEARRRISFFATSLFMDMPSAPKVSNEWRNFLERLGPKVTQEEIRYWASFHGQTLSRTELCKGPAANGRQTKNMHQSLSTELDALADMKFSYVISCQKFGEQKSSALRVAYIEEKEIIVDNRPHKEIYRIKLPGPPLIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRHPRGKAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRMFHLTRGGISKASKTINLSEDVFAGYNSILRRGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVVGVYVFLYGQLYLVLSGLQRALLIEAETQNMKSLETALVSQSFLQLGLLTGLPMVMELGLEKGFRVALSDFILMQLQLASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVAFHASFTENYQLYSRSHFVKGFELKIVCEHCGTCDDHILNVIVEDWADWTIWMRNQGGIGVQPEKSWESWWNAENAHLRHSVLSSRILEVLLSLRFFMYQYGLVYHLKISQDNKNFLVYLLSWVVIIAIVGLVKVIAHAYDYGMGSLLFFPIAALAWMPVISAIQTRVLFNRAFSRQLQIQPFIAGKTKRR >ORUFI02G10460.6 pep chromosome:OR_W1943:2:7675020:7692262:1 gene:ORUFI02G10460 transcript:ORUFI02G10460.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIVEVGPSSRPLRYVPAQQRWRPTPTPLPPPPPPLPPPPAASAASSSGAGDAAAVASAANQFDSEKLPQTLVSEIRPFLRVANQIEHESPRVAYLCRFHAFEKAHMMDPRSTGRGVRQFKTALLQRLEQDEKSTFTKRMAKSDSQEIRLFYEKKEKADERELLPVLAEVLRAVQIGTGKEKQKRIASETFADKSALFRYNILPLYPGSTKQPIMLLPEIKVAVCAVFNVRSLPFANTKDHKNQMDIFLWMQSWFGFQKGNVANQREHLILLLANMHARLNPKSSSETMMSYELYGVLSGAVSLITGEKVRPAYGGDDESFLKKVVTPIYKEIYEESLKNKNGVSDHSTWRNYDDLNEFFWLDTMLYWVIAFASNRSADCFKLGWPMRLNNDFFFTSNKNKNSRLPIVPPVQQTEQQINQLRTSQQTDQQNTQLRTSQQTEQRNTQLRTPNGSSSFQNMLNPEAPGQTQQQTTSDTSQQKWLGKTNFVEVRSFWHIFRSFDRMWTLLVLGLQVLIIMAWHGLESPLQLLDPIIFQDVLSIFITNSVLRVIQVILDITFSWRTKRTMRFSQKLRFAVKLSIAVAWAIILPIFYASSQNYLSCSARRPKTFLGIFCLSKYMVVVALYLTSNVIGMALFFVPAFFRDIYLENLQYVSLVVSVKSNAGAILAVWAPIILVYFMDTQIWYSVFCTIFGGMCGIIHHLGEIRTMGMVRSRFCTLLEAFNTSLVPHSMMTKKKGILPSFLEKKIFKNFGKAERHDPIKFALVWNQIINSFRSEDLISNREMDLMTMPMSLEHRSGSIRWPMFLLAKKFSEAVDMVANFTGKSTRLFCIIKKDNYMLCAINDFYELTKSILRHLVIGDVEKRVIAAIYTEIEKSIQNASLLVDFKMDHLPSLVAKFDRLAELLYTNKQELRYEVTILLQDIIDILVQDMLVDAQSVLGLINSSETLISDDDGTFEYYKPELFASISSISNIRFPFPENGPLKEQVKRLYLLLNTKEKVVEVPSNLEARRRISFFATSLFMDMPSAPKVSNEWRNFLERLGPKVTQEEIRYWASFHGQTLSRTELCKGPAANGRQTKNMHQSLSTELDALADMKFSYVISCQKFGEQKSSGNPHAQDIIDLMTRYPALRVAYIEEKEIIVDNRPHKEIYRIKLPGPPLIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRHPRGKAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRMFHLTRGGISKASKTINLSEDVFAGYNSILRRGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVVGVYVFLYGQLYLVLSGLQRALLIEAETQNMKSLETALVSQSFLQLGLLTGLPMVMELGLEKGFRVALSDFILMQLQLASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVAFHASFTENYQLYSRSHFVKGFELKIVCEHCGTCDDHILNVIVEDWADWTIWMRNQGGIGVQPEKSWESWWNAENAHLRHSVLSSRILEVLLSLRFFMYQYGLVYHLKISQDNKNFLVYLLSWVVIIAIVGLVKVIAHAYDYGMGSLLFFPIAALAWMPVISAIQTRVLFNRAFSRQLQIQPFIAGKTKRR >ORUFI02G10470.1 pep chromosome:OR_W1943:2:7699779:7702399:1 gene:ORUFI02G10470 transcript:ORUFI02G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPRAPSAAAAAGQEWSAMAAAGEFLGFAAARRGAHRRSASDSAAFLMEAAVPMDDVIVGVGGGGEFDRLDDEQLMSMFSDVEAPAVSDGGGGGGERGPAGEAHLMDMGDGDDGMGATSPAGAGAMAAAAAAAAADGIADPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQMEVSALSPRVAFLDHQRSLLTVGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQVYHQQQIKATGGADIATAASMQAKHELLACEGAAMR >ORUFI02G10480.1 pep chromosome:OR_W1943:2:7702138:7716950:-1 gene:ORUFI02G10480 transcript:ORUFI02G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAPVLVLKDSLKRESGTKVHHANIQAAKAVADIIRTTLGPQSMLKMLLDAAGGIVVTNDGNCILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAQAFIDKNYHPTVICRAYTKALDDALSVLDKIAIPVDVDDRVAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGIREVDIKKYIKVEKVPGGQLEDSKVLKGVMINKDVVAPGKMRRKIVNPRIILLDCPLEYKKGENQTNAELMKEEDWQVMLQMEEEYIENLCAQILKFKPDLVITEKGLSDLAVHYLGKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTGAGLFEVKKFGDEFFAFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSMARNIWKNPKLLPGGGATELTVSATLKQKSSSVEGVEKWPYEAAALAFEAIPRTLLQNCGLNVIRTMTQLQGKHANGENAWVGIDGSSGDIVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASSAPKQPQIEQEGDADNEQMIPE >ORUFI02G10490.1 pep chromosome:OR_W1943:2:7724376:7724573:-1 gene:ORUFI02G10490 transcript:ORUFI02G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFEVGGKLVEGVDLLRWRHWASTYSVLYALWLVVVVLTLDFTDALVVLCALSASHVLAFLFTT >ORUFI02G10500.1 pep chromosome:OR_W1943:2:7724949:7726070:1 gene:ORUFI02G10500 transcript:ORUFI02G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSDPTLATEIAEVTADKGRSPAATVGPARRRSPSSLAATQPWSPASRPYPISSTEKCNNGVKLLEPELSIAATNRKRHGKRERVEDCNCSIHPPSSLSFILSSWPKKAPGRACEDDSDCAIVAERRKEAKRDHGCTVRCEKSRESRPPPPWERGKR >ORUFI02G10510.1 pep chromosome:OR_W1943:2:7728794:7732899:1 gene:ORUFI02G10510 transcript:ORUFI02G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPTRCLPVLLLLLLVVAPLLAHGRRPFISDGGNANANANASVLRLPSAAAAAGEDMGCEMSYGFLPCTTTAWGNLFLVLAYGFLMFKSATYLSSGSEMLLQILGPGIVGGLFLPILGALPDALLILVSGLSGTKEVAQSQVLIGMGLLAGSTVMLLTLLWGSCVVVGKCDLSENSTAIDSRDTKGFSLLGSGVSTDKQTSYAARIMAISILPFIIVQIPKIFKLHSGHQITVLIGLIVAALLLLSYCLYQVFQPWIQRRRLEYTRLKHVMSGLLRHAQKHSIGRLLDDEGRPNVSVIEKLFHRIDQDNDGKLERGELQAFIVGINFEDIDWNSNLAADQVMADFDTSRNHFIEKGEFVNGMLRWLDEAKRTVTSGAYSKKFLNDFHARTRDEQTGLLDKDEEEGEADGNPTWTCIKAILLLLLGTAMAAASADPLVDAVHNFSNATHIPSFFISFIVMPLATNSSEAVSAIIFASRKKKRTLSLTFSEVYGGVTMNNTLCLAVFLALVYVRGLTWDFSSEVLIILLVCIIMGLFTSFRTDFPLWTCFVAFLLYPLSLIMVYILDYKFGWS >ORUFI02G10520.1 pep chromosome:OR_W1943:2:7733205:7768793:-1 gene:ORUFI02G10520 transcript:ORUFI02G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVFARISAATLRGGGEEERGGGRGRRACYGIAASFAAVLLFCVLAVVGSVWKASVLAGMVLLAFGVADYLAPASWCRRRGGTNTRAAEREAQPGASSSSTFGLEKAAVDALPTFAYASGGAGAAQGGGDLEAGNGEPCSVCLEELHAGEIVREMPACKHLFHVECIDMWLHSHRTCPMCRCDLSPPREVAAKEATAAETAAPPGDDALPPDRIAQRGIFPLDDGWINPADLPGYSRPSARASGASDEVGHVTPLTMREQRRREGGSGMQARLPASGGACSRRVVRPATAAPRWRRAGSGRRELDGLLVPLGDSGGGDLEAGNGEPCSMCLEELHAGEMVREMPSCKHLFHVECIDMWLHSHRTCPMCRCDLSPPRDVAMEETTAAETSPPADDALPPVVGGGSGGGDDDYECRACYGVSVACVSLLLFCVLAASVSVTKACAVAGLAVLLFGVIGWFVPLCGAGGPPARAGAAAGGGGRGAGAAGVTRCACRLVGAALIATLPAFVFEGPAEGGAGGGGGSKHGGSVLCAVCLEDVARGETVRRLPACGHLFHRDCVDMWLHSHTTCPLCRCEVLPRKPAAKPAPPPPAQAAESTSAYADPDALPPMFNGERRPAASQRGGDGGYLACYSVVMVCASLLLLSVLAATVSIAKACVFAAAAAVLFCAVGCVSRWCGDAGGAPAALPTTAAAAEEARARAPAAAACATCGLVGAAIDALPAFAYARPAADDGGGGGSKSGRCALCSVCLEDVQAGEMVRQLPACRHLFHVGCIDMWLHSHSTCPLCRCNVSPPATIVVKATATSTATAAAAAQQLPADTLPPVEPASAASGERRALATDPAPGLAIANAVSIGGTSLLVYQLVRLARTPGSKGGVVALAIFLVFWVSINAVAYSVFCGMLFPWSALRRCLAPLPSAARWLLCLPCRCARRRRRRPATSTSSSASALPPHMYVLEREPPVRWGARVATADDIPAYEQPAASEGGAAAAECAVCLGEVEKGEMVKRLPVCLHMFHRRCIDPWLRDHSTCPVCRCDAFAAPPLPAQMVSRTGGDAGSLVVLSLFLALWVAVGSCVYASFCGAFFPWASLRRPLAPVRDALSRCARALLPRRNGGGGDGLPSHLRDGVQPRETPTVRGGGGARVATADDIPAYEQPPAGEGEGGAAAAPECAVCLGEVEKGEMAKRLPACLHVFHQRCIDAWLRGNSTCPVCRRNAFATAAPPLPAQMRKVSCRVAMLLKLLLAVVPLAVIAGVLVYVAGVPWAISIVVLVVVFVVVHRARRGRSPAAGGGGGMVRDDQEPTVARPAAPSAVVVVVAPPLPPPLPIHRVPAAAVQPSAPPVVADDVALLAYAYEKKKKKRRGSDGDSGGGDDGDGGGEECSVCLGEMRQGEAAKRLPVCLHVFHEECIDMWLGSHATCPICRSPVDAGAVAARVQVQVQVQVLSC >ORUFI02G10530.1 pep chromosome:OR_W1943:2:7761429:7763553:1 gene:ORUFI02G10530 transcript:ORUFI02G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYMVTHGRPHVARVLCHLQVENIPPRYVLKRYPRSSRRDTTKIYIKEVHKKCQAMVVFDEHDYRRFGLTTQARYTASKSMQSYDRTMEVLKELDINGMSREQLLNNEKSKQAEHKQPKDYSKSNSMLWSVHLWSIGLVIVEQ >ORUFI02G10540.1 pep chromosome:OR_W1943:2:7769071:7788149:-1 gene:ORUFI02G10540 transcript:ORUFI02G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPQIWQELNREAYVGGVMDTCPFSSQGVDAWESRQGASRQCRFDRLQAFEPLRKVRSEAGDTEYFDERNEQFRCAGVFVIRRVIEPQGLVVPRYSNTPALAYIIQGKGYVGLTFPGCPATHQQQFQLFEQRQSDQAHKFRDEHQKIHEFRQGDVVALPASVAHWFYNGGDTPAVVVYVYDIKSFANQLEPRQKEFLLAGNNQRGQQIFEHSIFQHSGQNIFSGFNTEVLSEALGINTEASKRLQSQNDQRGDIIRVKHGLQLLKPTLTQRQEEHRQYQQVQYREGQYNGLDENFCTIKARVNIENPSRADYYNPRAGRITLLNNQKFPILNLIGMGAARVNLYQNALLSPFWNINAHSVVYIIQGSVRVQVANNQGRSVFNGVLHQGQLLIIPQNHAVIKKAEHNGCHWVAGKNSILRALPVDVIANAYRISRDEARRLKNNRADEIGPFTPRFPQKSQRGYQFLTEGLSLIGITGVGVGVDDDDDDGSGGYGVLDGACGGTLAVFCALAVSVVVWKACAFVAMAAALLAIGWRVVAPRRSVGRAGAGAPTPAECGLTAAAIDALPASEYERPRGGGGDPACSVCLEDVRGGETVRWLPACGHLYHAACIDAWLRSRTTCPLCRSDLSSRRGGTASGRPRPRLVTHESLLPPLPSPQTNGKLIDKEALGSTFVIRRVIQPQGLLIPRYANTPGMVYIIQGRGSMGLTFPGCPATYQQQSQQFLFQGESQSQKFIDEHQKIHQFRQGDIVVLPTGVAHWFYNDGDTPVVALYVYDINNSANQLEPRHREFLLAGKNNRVQQVYGRSIQQHSGQNIFNGFSVEPLSEALNINTVTTKRLQSQNDQRGEIIHVKNGLQLLKPTLTQRQEQEQAQYQEVQYSEKPQTSSRWNGLEENLCTIKTRLNIENPSRADSYDPRAGRITSLDSQKFPILNIIQMSATRVNLYQNAILTPFWNVNAHSLMYVIRGRARVQVVSNFGKTVFDGVLRPEQLLIIPQNYVVLKKAQHEGCQYIAINTNANAFVSHLAGVDSVFHALPVDVIANAYCISREEARRLKNNRGDEYGPFPPRLQQQIYPEFSNESKGETSESSAASSTAAAGDDVDGFRVFYGIAVVCLSIFLFCALAASVSVWKACAYAAMAALVLSVVGCFAPKRWVRRSRGGAEAERAAAGARRRPAADALARAPANAPPAFVHGCPLESGAAAAAGSCAVCAVCLEDVRGGETVRRLPACGHLFHVECIDMWLHSPHRTCPMCRCVVSPPARAAAKAAAEVVVSPESTADDVLPPVDDDVAFL >ORUFI02G10540.2 pep chromosome:OR_W1943:2:7769071:7778175:-1 gene:ORUFI02G10540 transcript:ORUFI02G10540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRKPSTMGANVIANAYRISRDEARRLKNNRADEIGPFTPRFPQKSQRGYQFLTEGLSLIGITGVGVGVDDDDDDGSGGYGVLDGACGGTLAVFCALAVSVVVWKACAFVAMAAALLAIGWRVVAPRRSVGRAGAGAPTPAECGLTAAAIDALPASEYERPRGGGGDPACSVCLEDVRGGETVRWLPACGHLYHAACIDAWLRSRTTCPLCRSDLSSRRGGTASGRPRPRLVTHESLLPPLPSPQTNGKLIDKEALGSTFVIRRVIQPQGLLIPRYANTPGMVYIIQGRGSMGLTFPGCPATYQQQSQQFLFQGESQSQKFIDEHQKIHQFRQGDIVVLPTGVAHWFYNDGDTPVVALYVYDINNSANQLEPRHREFLLAGKNNRVQQVYGRSIQQHSGQNIFNGFSVEPLSEALNINTVTTKRLQSQNDQRGEIIHVKNGLQLLKPTLTQRQEQEQAQYQEVQYSEKPQTSSRWNGLEENLCTIKTRLNIENPSRADSYDPRAGRITSLDSQKFPILNIIQMSATRVNLYQNAILTPFWNVNAHSLMYVIRGRARVQVVSNFGKTVFDGVLRPEQLLIIPQNYVVLKKAQHEGCQYIAINTNANAFVSHLAGVDSVFHALPVDVIANAYCISREEARRLKNNRGDEYGPFPPRLQQQIYPEFSNESKGETSESSAASSTAAAGDDVDGFRVFYGIAVVCLSIFLFCALAASVSVWKACAYAAMAALVLSVVGCFAPKRWVRRSRGGAEAERAAAGARRRPAADALARAPANAPPAFVHGCPLESGAAAAAGSCAVCAVCLEDVRGGETVRRLPACGHLFHVECIDMWLHSPHRTCPMCRCVVSPPARAAAKAAAEVVVSPESTADDVLPPVDDDVAFL >ORUFI02G10540.3 pep chromosome:OR_W1943:2:7778191:7783043:-1 gene:ORUFI02G10540 transcript:ORUFI02G10540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSSNSLPYSTDQGGYSTHDTLVLLGIGFFATAVSVLMIVRSEAGDTEYFDERNEQFRCAGVFVIRRVIEPQGLVVPRYSNTPALAYIIQGKGYVGLTFPGCPATHQQQFQLFEQRQSDQAHKFRDEHQKIHEFRQGDVVALPASVAHWFYNGGDTPAVVVYVYDIKSFANQLEPRQKEFLLAGNNQRGQQIFEHSIFQHSGQNIFSGFNTEVLSEALGINTEASKRLQSQNDQRGDIIRVKHGLQLLKPTLTQRQEEHRQYQQVQYREGQYNGLDENFCTIKARVNIENPSRADYYNPRAGRITLLNNQKFPILNLIGMGAARVNLYQCISSKEVCEYRLPIIKEDLCLMVYFIRGNY >ORUFI02G10550.1 pep chromosome:OR_W1943:2:7788161:7788661:-1 gene:ORUFI02G10550 transcript:ORUFI02G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDPSSATAADAVAGGSYRVCDTVVLVCLAFASSIIVFTVAVCFRRAVTLQGYAASASASPSGRGGGAAAAPAAVGGLRGLAPSALAAIPKFAYRRGAAGGGGGWAQCAICLGVVRDGEAVRRLPECKHLFHVECVDMWLYSHATCPLCRRDVGAAAAAAGDKV >ORUFI02G10560.1 pep chromosome:OR_W1943:2:7794000:7794454:1 gene:ORUFI02G10560 transcript:ORUFI02G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAGGAPGPAAQRHGGGGGGGGCCSSGVTLELVGAFTAVCLVLYGVILYFNYLYRPRRRAPDFGRRRRRRAWSXGDAVRALPGCGHAFHAGCVDAWLRAHGTCPVCRARPAVPPPPPAKPPCLKAPEPAAAAAGRQPVDLESHV >ORUFI02G10570.1 pep chromosome:OR_W1943:2:7799876:7800205:1 gene:ORUFI02G10570 transcript:ORUFI02G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGSRACGGDDKENVPPMPAVAAAVAASSWHGIAVVKNQRMKRPGGGGGKLRRRVPLRDITNLMYVAARPPAPPAASSVTAAARSREEPVAAAAALPARRSLRKEFR >ORUFI02G10580.1 pep chromosome:OR_W1943:2:7803335:7804037:1 gene:ORUFI02G10580 transcript:ORUFI02G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVSSQFSICFCVLLLVHDSMAQLFYPRTNPWHSPHQGSFSEYRFDRLQAFESLQKVRSEGGVTEYVDERNELFQHTGTFVIRRIIQPQGLLVPRYTNTLSMVYIIQGRGTMGLTFLGCPATYQQQFQQFSPQWQSESQKFRGEHQKIYQFRQGDIIPLPAGVAHWFYNDGDAPVVTIYVYDINNRAN >ORUFI02G10590.1 pep chromosome:OR_W1943:2:7817619:7819490:1 gene:ORUFI02G10590 transcript:ORUFI02G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLFNPSTNPWHSPRQGSFRECRFDRLQAFEPLRKVRSEAGVTEYFDEKNELFQCTGRGSMGLTFPGCPATYQQQFQQFSSQGQSQSQKFRDEHQKIHQFRQGDVVALPAGVAHWFYNDGDASVVAIYVYDINNSANQLEPRQKEFLLAGNNNRVQQVYGSSIEQHSSQNIFNGFGTELLSEALGINTVAAKRLQSQNDQRGEIVHVKNGLQLLKPTLTQQQEQAQAQYQEVQYSEQQQTSSRWNGLEENFCTIKARVNIENPSRADSYNPRAGRISSVNSQKFPILNLIQMSATRVNLYQNAILSPFWNVNAHSLVYMIQGQSRVQVVSNFGKTVFDGVLRPGQLLIIPQHYAVLKKAEREGCQYIAIKTNANAFVSHLAGKNSVFRALPVDVVANAYRISREQARSIKNNRGEEHGAFTPRFQQQYYPGFSNESESETSE >ORUFI02G10600.1 pep chromosome:OR_W1943:2:7824749:7826730:-1 gene:ORUFI02G10600 transcript:ORUFI02G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPHPRPLEDDDLLAEILLRLPPRPSSLPRAAAVCARWRRLVTADPAFLRRFRAHHRRGAPLLGFFHSHRVSPSFVSTMDPPDRVPAAVGAGGRFSLRFDDFRCRILGCRDGLVLAVNPVMPRLRGCFLVWDPVSGDQRRVAFPPECDQGQTEVRNGAVFRLPGGGGGFRFQIVLVGTRHQYEAIGCIYSSETGKWGDLIATPLPHNLTRISLAVPGVRIGDSLYWLISGIPGGILEFDLNEQRLAVIDDVPMAVSDGYRRFCVVPAADGGLGFVFMSDLGSQFWRRKNDWDDDVSESGWVLEKTVQLGELLSLSPTERKGSPIVMGFSEDYNVIFLKTINGLFMVHLESMEFKRILKDCAALFIYPFASVYTAGMSIGDGHDEDGQSPAMLVYNPLNPTFSIAHLLFCPYQINPLYPFVARLGHGLVVRGLSVTLIF >ORUFI02G10610.1 pep chromosome:OR_W1943:2:7830160:7831979:-1 gene:ORUFI02G10610 transcript:ORUFI02G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFSRFSIYFCVLLLCHGSMAQLFNPSTNPWHSPRQGSFRECRFDRLQAFEPLRKVRSEAGVTEYFDEKNELFQCTGTFVIRRVIQPQGLLVPRYTNIPGVVYIIQGRGSMGLTFPGCPATYQQQFQQFSSQGQSQSQKFRDEHQKIHQFRQGDIVALPAGVAHWFYNDGDAPIVAVYVYDVNNNANQLEPRQKEFLLAGNNNRAQQQQVYGSSIEQHSGQNIFSGFGVEMLSEALGINAVAAKRLQSQNDQRGEIIHVKNGLQLLKPTLTQQQEQAQAQDQYQQVQYSERQQTSSRWNGLEENFCTIKVRVNIENPSRADSYNPRAGRITSVNSQKFPILNLIQMSATRVNLYQNAILSPFWNVNAHSLVYMIQGRSRVQVVSNFGKTVFDGVLRPGQLLIIPQHYAVLKKAEREGCQYIAIKTNANAFVSHLAGKNSVFRALPVDVVANAYRISREQARSLKNNRGEEHGAFTPRFQQQYYPGLSNESESETSE >ORUFI02G10620.1 pep chromosome:OR_W1943:2:7848628:7848960:1 gene:ORUFI02G10620 transcript:ORUFI02G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLITLSCLCLCLLLLLVTGSSSPVSVSVSGDRCPVLHHHRRLHDMVAAAVVSQPPPRPPPPAAPAAARTSGTAVETVLPRQRDDGEEIDETVYEGSKRLSPGGPNPQHH >ORUFI02G10630.1 pep chromosome:OR_W1943:2:7856160:7857352:-1 gene:ORUFI02G10630 transcript:ORUFI02G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKARNESESSQKKGVYHNVGRREITVEMMQHNLLQKGVEQSTRRESEEATRGNASCWVHIQGTK >ORUFI02G10640.1 pep chromosome:OR_W1943:2:7861754:7870060:1 gene:ORUFI02G10640 transcript:ORUFI02G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPGAGAGGEERPAASPAAPAVAEAVEEGPVTSRWAPEIRVYRRKYPRKNPKPPPNPSPSSSPLAQTLASIRRSIRRPEDGPAAPRPDPPAAPASSPHPPPPSAPVAPAQQGEPAAPASDDVSAGPNRDGGAVPNGHGDVRAAAEEKARKRRARSELRRQLASELDQVRGLSKRLKAAAEAIAAESAAALALPVVVPPPQLPVGYAHSQFALADPVTPIPGQVAGAIVPVRSVMQRGPLTVSVTHTESFEKEKRTPKANQLYQNSEFLLAKDKFPPSDSHGRKKPKHHKKKHRSLASHGAGYDAEQRLYSHAFKKSMSLLSRLMKHKFGWVFNKPVDAVALGLHDYFAIIKHPMDLGTIKTRLTHGQYRNPREFADDVRLTFHNAMTYNPKGQDVHFMAEQLLGIFEAQWPEIEAEVQYLASCPPLPNKFPPPPIDVRFLDRSDSVKHHMVLDSKSRPLSHTPTYSARTPSMKKPKAKDPDKRDMTIDEKRKLSNNLQNLPPEKLDVVVQIIKNKNLSVRQHDDEIEVEIDSMDTETLWELDRFVANYKKNLSKQKRKAERAMLARQDAELHAQHVAPQQPSQEPNIGVKSPKQNLIVDEKLATSVPEQADNNGQNASRSSSSSSSSSDTGSSSSDSDSDSSSSDGSDAANSS >ORUFI02G10650.1 pep chromosome:OR_W1943:2:7873027:7876020:1 gene:ORUFI02G10650 transcript:ORUFI02G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVRGILVVAVVLAVAAILAGAAEGKVNGKAKGKYRALFNFGDSLADAGNLLANGVDFRLATAQLPYGQTFPGHPTGRCSDGRLVVDHLADEFGLPLLPPSKLKNSSFAHGANFAITGATALDTPYFEAKGLGAVVWNSGALLTQIQWFRDLKPFFCNSTKVECDEFYANSLFVVGEFGGNDYNAPLFAGKGLEEAYKFMPDVIQAISDGIEQLIAEGARELIVPGVMPTGCFPVYLNMLDEPADGYGPQSGCVRRYNTFSWVHNAHLKRMLEKLRPKHPNVRIIYGDYYTPVIQFMLQPEKFGFYKQLPRACCGAPGSVAKAAYNFNVTAKCGEAGATACDDPSTHWSWDGIHLTEAAYGHIARVRYKGGIGLSKA >ORUFI02G10660.1 pep chromosome:OR_W1943:2:7882383:7883798:-1 gene:ORUFI02G10660 transcript:ORUFI02G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQQQKQPRKAKQKEGEGHSAEEAAGLEEIGRYRAQAQQNSMDAIRDAEERYAKANREHGGATRAPGATVVSCVERKKEQPPPPPQHGKKHEAAEEGHGGGKAETGGQEGVTAKNRGEGRQQHGEPDAAGAAAREKSAGATHGAKQAGSTAATRAADYAAAKGTEAKDAGAHAAQAATENAKVAAGTATDYARQAAAKAKDVTLSTGGTAAEKTKDVALSTGGTASEYAKQAAVKGKDVTLSAGETAAEHAKAAAEKARDAAVAAGRTTAEYTQQAAVKAKDVTLSTGATVAQKAKEVTADTAHKVAEYAREKAEQGKEAAARAADGAEEPSSGTADKAGDMAGQTKDTTSDTTGGMAHKAGAMAAQTKDTVKDAAAAMAQKTSDTIAQAGHGAGEAKNRAAESGKNSSATTTIGSGGGGDGDDTTVVGDVLEAVGATVYGIAKHTKGIVAGEEELVPVTKEEDKGKLE >ORUFI02G10670.1 pep chromosome:OR_W1943:2:7884009:7901395:1 gene:ORUFI02G10670 transcript:ORUFI02G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATIGAAAASPCLRHVHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMEGLHSSQKCYHLASKHNCHLFGGTLLMWLFRIPFEEYGNTQKRGPTGI >ORUFI02G10670.2 pep chromosome:OR_W1943:2:7884009:7901356:1 gene:ORUFI02G10670 transcript:ORUFI02G10670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATIGAAAASPCLRHVHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMEGLHSSQKCYHLASKHNCHLFGGTLLMWLFRVIRCFNTKSDMYAIFYAGDANGVMEGEIRPQSQSSLCLKAYRLFPSCLHPGKTMFNLIRTFWHFVELLNATSDGEIRPQIQLSLCPQTCANPQLFTAQIHPNKTMFNLVR >ORUFI02G10670.3 pep chromosome:OR_W1943:2:7884009:7901356:1 gene:ORUFI02G10670 transcript:ORUFI02G10670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATIGAAAASPCLRHVHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMVTTSVTFCGRLTFKPKMLPSSIKTQLPSLWWNTLDVALQGEIRPQSQSSLCLKAYRLFPSCLHPGKTMFNLIRTFWHFVELLNATSDGEIRPQIQLSLCPQTCANPQLFTAQIHPNKTMFNLVR >ORUFI02G10670.4 pep chromosome:OR_W1943:2:7884009:7901395:1 gene:ORUFI02G10670 transcript:ORUFI02G10670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATIGAAAASPCLRHVHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMEGLHSSQKCYHLASKHNCHLFGGTLLMWLFRVIRCFNTKSDMYAIFYAGDANGVMEGEIRPQSQSSLCLKAYRLFPSCLHPGKTMFNLISVDGWFVTKDCCLEMLR >ORUFI02G10670.5 pep chromosome:OR_W1943:2:7884009:7901395:1 gene:ORUFI02G10670 transcript:ORUFI02G10670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATIGAAAASPCLRHVHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMEGLHSSQKCYHLASKHNCHLFGGTLLMWLFRVIRCFNTKSDMYAIFYAGDANGVMEGEIRPQIQLSLCPQTCANPQLFTAQIHPNKTMFNLVR >ORUFI02G10670.6 pep chromosome:OR_W1943:2:7884009:7901395:1 gene:ORUFI02G10670 transcript:ORUFI02G10670.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATIGAAAASPCLRHVHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMEGLHSSQKCYHLASKHNCHLFGGTLLMWLFRVIRCFNTKSDMYAIFYAGDANGVMEGEIRPQSQSSLCLKAYRLFPSCLHPGKTMFNLIR >ORUFI02G10670.7 pep chromosome:OR_W1943:2:7884009:7901395:1 gene:ORUFI02G10670 transcript:ORUFI02G10670.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATIGAAAASPCLRHVHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMVTTSVTFCGRLTFKPKMLPSSIKTQLPSLWWNTLDVALQGEIRPQIQLSLCPQTCANPQLFTAQIHPNKTMFNLVR >ORUFI02G10670.8 pep chromosome:OR_W1943:2:7884009:7901395:1 gene:ORUFI02G10670 transcript:ORUFI02G10670.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATIGAAAASPCLRHVHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMVTTSVTFCGRLTFKPKMLPSSIKTQLPSLWWNTLDVALQGEIRPQSQSSLCLKAYRLFPSCLHPGKTMFNLIR >ORUFI02G10670.9 pep chromosome:OR_W1943:2:7884009:7900338:1 gene:ORUFI02G10670 transcript:ORUFI02G10670.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATIGAAAASPCLRHVHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMEGLHSSQKCYHLASKHNCHLFGGTLLMWLFRVIRCFNTKSDMYAIFYAGDANGVMEGLSVRLWAGEVEIVRRSIDSDTMKAVMPHVDALH >ORUFI02G10680.1 pep chromosome:OR_W1943:2:7884711:7904872:-1 gene:ORUFI02G10680 transcript:ORUFI02G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCHTILESQTRCIHFKGSTKRQKTRVRPRDEDAKKMKSEQGNPGFKIRPPKRPQAEGSFVDTAARQRRRRLRRRRRRNRERCAYVSTAVDPQNKKN >ORUFI02G10690.1 pep chromosome:OR_W1943:2:7902003:7905421:1 gene:ORUFI02G10690 transcript:ORUFI02G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIQALELGVEQKKSEPEVDEMPGSMEDQKPAEISQDKVAETDIKPAVQTELETSPVANPNPAETNQYTDGVTYGDLETTDPGTTYRCKRCRTLVATEGYVVTHKVGRGEKCFATRKKYHVDEKEPECTCLFVEPLKWMQPVVEGYISGKIACRKCNSRLGQFHWAGMQCSCGAWVNPAFQLVKSKIDQCEM >ORUFI02G10700.1 pep chromosome:OR_W1943:2:7904944:7905294:-1 gene:ORUFI02G10700 transcript:ORUFI02G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGCNGNGGVKVTFIETQFVTSDAAGFKSLVQRLTGNDAAVATAPSLERPRPCRRGVDGWRGAEATVGVGLPAAPAPWMDEIEMMMLYETCDLADMLCVDVAAGGGGCHGGGGRR >ORUFI02G10710.1 pep chromosome:OR_W1943:2:7907298:7907678:-1 gene:ORUFI02G10710 transcript:ORUFI02G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGCNGNGGGGGGVKVTFIETQFVTSDAAGFKSLVQRLTGNDAAVPAAPPQRPRPCRADGWRGAGGASATVVKREAVPPPVAPWVDEMMMLYETCDLAEMLRVDVVGASGGGRCHGGGGYGGFPC >ORUFI02G10720.1 pep chromosome:OR_W1943:2:7916714:7919069:-1 gene:ORUFI02G10720 transcript:ORUFI02G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFTHSSCNTFNGSKSYNLTPQNPPRLLLPSPHPSPHAALAFAPLSPASPTAQRRISSSRSLALSPLCAGFLKESTRSSSTVRATRPSVPRKIAAPRLPHIAAPAPTIVTAAHCSPPRPHCADALPLFQVAAPADSLAIPNPNHRYRRPCRSGSPRLSSSPPPTLSPRLSSFCPPATDAATPGSDSSPTPMPIPPPSMPTPMAVRRTAASLPPSVCLENGTLRAASSIDAVLFHCLKRHRHSMPHLGLLCQKVHKSSAPTPLPFSLFPIPAMSPLSLLVPADC >ORUFI02G10730.1 pep chromosome:OR_W1943:2:7919597:7923586:-1 gene:ORUFI02G10730 transcript:ORUFI02G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALSLSPRGRLAFSLANSPTLPTPASAAAAAAAAATEPRRRQAYSPDKTMRRYSPPYRSPPRRGYGGRGRSPPRRGYGGRKEQGSGSLLGRTGLKEPRGFAFVEFVDPYDASEAQYHMNRQVVFGREITVVLAAESRKRPEEMRSRARVREVSFTFAFPLSPLSSYSPAPRRRDDYSASPQRKDTHRAKSPRRQPKEHEVDKKRRSYSPANKDGDRRDADNGYEKRSPPADSDGSPPHRRSPRQSSGSPPGSRSRSADGSPARSD >ORUFI02G10730.2 pep chromosome:OR_W1943:2:7919597:7923586:-1 gene:ORUFI02G10730 transcript:ORUFI02G10730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALSLSPRGRLAFSLANSPTLPTPASAAAAAAAAATEPRRRQAYSPDKTMRRYSPPYRSPPRRGYGGRGRSPPRRGYGGRKEQGSGSLLTHTTHEHFAPSYLLVLPSIFLKQLSHKIYIYREPRGFAFVEFVDPYDASEAQYHMNRQVVFGREITVVLAAESRKRPEEMRSRARVREVSFTFAFPLSPLSSYSPAPRRRDDYSASPQRKDTHRAKSPRRQPKEHEVDKKRRSYSPANKDGDRRDADNGYEKRSPPADSDGSPPHRRSPRQSSGSPPGSRSRSADGSPARSD >ORUFI02G10740.1 pep chromosome:OR_W1943:2:7938603:7940549:1 gene:ORUFI02G10740 transcript:ORUFI02G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNGAPLAVPPGFRFHPTDEELLYYYLRKKVAYEAIDLDVIREIDLNKLEPWDLKDRCRIGTGAQEEWYFFSHKDKKYPTGTRTNRATVAGFWKATGRDKAIFLGSGGGTRIGLRKTLVFYTGRAPHGKKTDWIMHEYRLDDDNVDVPEEGWVVCRVFKKKSIHQRGFDQPDMAAAADEDELRYQLLHGAGMSSSPVDQKHVLLQEQLVAHGAHGGGFVVPAFEASMHLPQLASADAAPCGGGGGGHVAFASMNPLDAAGCGSQNMMTMKMAATSGGEMLLMSGGGLTSSWRRTRTSTSSSMARSPERISSSSRWPWTPPRRCRGCRSTITSGWKLQIFSSFLCRNFLAICNVVSAS >ORUFI02G10750.1 pep chromosome:OR_W1943:2:7944588:7947770:-1 gene:ORUFI02G10750 transcript:ORUFI02G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGALSPVEEKPTVVKTTKAEQHEEEAAVAVKSAAEMMKKSSPCCPRCNSIKTKFCYYNNYSMAQPRYFCREAAPNAPVGGACRKSKRSSASSASASAASPPAPAVGAAPPVVPALSSAISKLLQSEPMAAPCADFPNVLPTFVSTGFELPAAAGDRLSLGSFGAFGNLSAAVAAPGGGGGSSTTTSFMDMLRGVGGLFDGVGNSHQMGGNGGGGGSYYAPLITGAGNGMLMPPPPLPPFSGSLMQHGMQGLFANHAMGGGGGGVMNAGEDGSVMAGLGGGQWPPALGGADEQQGGGDGGEAVMTKDTGGGASSSASRPDYFYGWNSAAGGVVAGGGIGGNAAAATGATPWQGLIDSSSAMMVLTSPYLACKLWEKEMKQQNIGVGQQISSKAW >ORUFI02G10760.1 pep chromosome:OR_W1943:2:7952747:7957709:-1 gene:ORUFI02G10760 transcript:ORUFI02G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPATVLVTNGTVSPQAPPSAASFLDSTPGAYTTARATAAGGLLWWPRHLLRLADSTRLLARFHPHLLGLAAPPSRKPFEDSLRGIEPLVNRSVRVALDEMPGEDMALTALLRASPAEEESELEVCVHLGAYVPPVFGEAGARLAVAGRGRDAAAAKYAPWARMRKSMEKMRPPGVTELLLTNDGDHILEGSITNFFVVCRREEEHPLNKPLSVEMTANEFEVQTAPLGDGILPGIMRQIVIEVCHDIGIPFREVSPSWSKHKLWEEAFVTSSLRLIQHVETVQAPILWENIESKTWADVSWEVKQFQREISKRAIQEEYDIKDLLEDKHALNYKVLPMDTQCYPSEALNASLYGAEDLFVFGIHLFGAD >ORUFI02G10760.2 pep chromosome:OR_W1943:2:7952602:7957709:-1 gene:ORUFI02G10760 transcript:ORUFI02G10760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPATVLVTNGTVSPQAPPSAASFLDSTPGAYTTARATAAGGLLWWPRHLLRLADSTRLLARFHPHLLGLAAPPSRKPFEDSLRGIEPLVNRSVRVALDEMPGEDMALTALLRASPAEEESELEVCVHLGAYVPPVFGEAGARLAVAGRGRDAAAAKYAPWARMRKSMEKMRPPGVTELLLTNDGDHILEGSITNFFVVCRREEEHPLNKPLSVEMTANEFEVQTAPLGDGILPGIMRQIVIEVCHDIGIPFREVSPSWSKHKLWEEAFVTSSLRLIQHVETVQAPILWENIESKTWADVSWEVKQFQREISKRAIQEEYDIKDLLGVRVSVLALLGAKGAKVTGTEKKMVQFVN >ORUFI02G10760.3 pep chromosome:OR_W1943:2:7953478:7957709:-1 gene:ORUFI02G10760 transcript:ORUFI02G10760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPATVLVTNGTVSPQAPPSAASFLDSTPGAYTTARATAAGGLLWWPRHLLRLADSTRLLARFHPHLLGLAAPPSRKPFEDSLRGIEPLVNRSVRVALDEMPGEDMALTALLRASPAEEESELEVCVHLGAYVPPVFGEAGARLAVAGRGRDAAAAKYAPWARMRKSMEKMRPPGVTELLLTNDGDHILEGSITNFFVVCRREEEHPLNKPLSVEMTANEFEVQTAPLGDGILPGIMRQIVIEVCHDIGIPFREVSPSWSKHKLWEEAFVTSSLRLIQHVETVQAPILWENIESKTWADVSWEVKQFQREISKRAIQEEYDIKDLLACFELQSTAHGYPVLSF >ORUFI02G10770.1 pep chromosome:OR_W1943:2:7958077:7958337:1 gene:ORUFI02G10770 transcript:ORUFI02G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVIVRSFPTHGPWQGSRGADMQTAWVTASVTHVHEDSKRINDSSAALSSMSESVSTFPVVATHDRGCSGNGPLSPDATPASPTP >ORUFI02G10780.1 pep chromosome:OR_W1943:2:7959955:7962994:-1 gene:ORUFI02G10780 transcript:ORUFI02G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDNLIYGPCGEYGSHWLFAFMELCLTKKKHRDISSRLKLNHPWTYDRYCDRVCVAHRLVDISPGFDNTLPPIVFDYASTPSSFGLLLRRPLGPQCRCPWSTPPLVIAVLRDALLSMATSSVDFSSLHRHGAATVLSSRDRSFAAFVVFIVIRALTTSSSVLVIVSRSGSSSSTSSIAAASPSCHCRHSRPMVQLPLHGYRRRHPGRWSHYFAFVFVQHDSSPASSYLPRLHFAFLRQPRAAPAILPLRHSRAATVLEVFSASLLRHRRARLSRVVPGSGKPCVMSRPSRFDYIGSSASSSSTTVAIMSPSSVLSTLAAEIAGLVGDDTFQETVTSELFRTTLGMIYNAIETVAGSSSPTSNLSLIELVKLKIKKCTNASRRKDDNDDEDTDEEEIDDSDDEDRISEVQAAVVQLFQDYSNQGNAREHTNHNNENVMDIDPFHQIHNSTFQTVGQPSMEQDHRSSQESITELDAYLREKTIPIKQENFDIVKWWKENCHRYPIVARMVRDFLAIPTSTRPTPQMMTKIRNHLRRYA >ORUFI02G10800.1 pep chromosome:OR_W1943:2:7968125:7968448:-1 gene:ORUFI02G10800 transcript:ORUFI02G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYETVTVKRDEPARAALIDSETAEEALVPPWGSVQAIDQRQWDGRLQFGGRIDHNRRLMSKSSPKQCRLANGRISGISHTGSTSVRRPVSWSWSNICSGQIKQRNP >ORUFI02G10810.1 pep chromosome:OR_W1943:2:7993543:7998067:-1 gene:ORUFI02G10810 transcript:ORUFI02G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTEPIPPKDSLETAVEQKLFPAHDDGSSFTIFRVPAHIREENKKLYEPQLVSIGPYYRGRDALRAMEQHKLRLLRHFLQRAATVPLSDFVRAVRAVERRARCCYSERTSVFDGCFILEFFFKWNRGEPDPLCDVGWGLTLLHSDLLLLENQIPFFVLERLFDTFFRGAVTQDNLVKILLIQLKLNGTVVPRQPLRPEFTGQFDHLLHLLHDKFVPKLEELELPAVTNGSPSPSPPRLLLIPCVSLLREAGVTFRKKRSPRDMFDVTFDRKRGVMELLRIEIHLANLTQLMNLIAFEQSRGTTRRDSGAADELQRAHVVAGQIGAGRLRAPAGAIWFVGILAIDDRFDLILRQIEEYEQRRMESKVTPTTCSTKCPNGGSPSTIERFIYINEGTTPTGVLELGNGEDKVHDPYIITKDFPEVTLTTCSMKCSIPDIEPNLTMVERVTFASTAAASMELVANKNTTRIAYINTPDYPKVTHAKCSTLGLGVKGGAYHARVTCQTMMGEPEGVLNWISGHEFRFVYPEDPLFLLKSLQVVHRGGIIFIVIEEIAEYTQDQRGEDFGVAHSGNNVIAIVEQELVYFTLRCSKLRISLVMINLHLVSKTFKQLGILVGLAVLLIGSGTSRILRRGIVKDLWLYYGLEQRLAKQRPKQAQEESSKRDMAAAQRVSNRERIGSAEGFLGGGGDLEPGLVPCRSLPSLFLYLSRSY >ORUFI02G10820.1 pep chromosome:OR_W1943:2:7999779:8002706:1 gene:ORUFI02G10820 transcript:ORUFI02G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRACYDIVNTMTILLSPSSITTKKLGTRMQTLGLNPMKAELQDIISEMDTDSGGIIDFYKFLDLVAH >ORUFI02G10830.1 pep chromosome:OR_W1943:2:8004934:8005421:-1 gene:ORUFI02G10830 transcript:ORUFI02G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSMKNESERLYEGEMVREVPVQRSLDLEHAVLASPAMARGDRPILDFVPPRLDGPALCSEIPPISAHPFPRPSTSAEGSDVGVEVSKATNHCSGPAQSISPAIIDRRSRAAFKL >ORUFI02G10840.1 pep chromosome:OR_W1943:2:8011744:8012577:-1 gene:ORUFI02G10840 transcript:ORUFI02G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQDTWCRMDPKSLQQSEVDGHEGCSQNMLGYLHLASPAINKYDSRSLDFVPPHLKIPAGPIEESMWRDKLQNQLVLWLRRIHGVGWIPNPFNRAKSMGTKGAALKTQYTPTALSPIDTPYLRRMIKINVNIHGPNPPINIPELQGTIMDTTRLQVIMKEVSHLRYILKEAPHLGDIIKEIPHLQGMMEKVPHLQVTMKIATGLLMCHGIECSPPYGDGK >ORUFI02G10850.1 pep chromosome:OR_W1943:2:8025894:8027204:1 gene:ORUFI02G10850 transcript:ORUFI02G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAIQRRLEQNDGESSSFTIFRVPAQVRHENRQHYEPRLVSIGPYYRGRDELRAMEQHKWRLLRHFLQRAATVPLSDFMRAVRAVEQRARCCYSERTAIFDDDDDDDGFAEMLLLDGCFILEFFFKLNCREPDALCDVGWGLPLLHSDLLLLENQIPFFVVETLFHAFFGGAVAQDMLVALLLLQLRPNGIVFPKLPSSCPAPAPTGKINHLLHLYHEGFVPKPHAPLATAPSRQEGASRRLPLVIPCVTMLREAGVRFVNKRSPRDMFDITFDSNKGVLELPPVAIDQASLPLLVNLVAFEQSRGHTGGAAAAPLTSYTVLLSSLVRTGDDVDELHRAGIVDNMLSNNDDAASGFFQRLGDCSTMNYDDHLFGALFAGVKRYHDASWHRHKARFLRDHCSNPWSVIALGLAVLAFVFSLFNQLVVIHSLIHHNG >ORUFI02G10860.1 pep chromosome:OR_W1943:2:8039304:8043238:-1 gene:ORUFI02G10860 transcript:ORUFI02G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENNHQPTNAADHSKWSNCWDHFQEKAGQKAECRHCKKQLSFKNGTSRLNRHYQDTCPARKRARQQGSHGASVQAGIHDLQDAPAFSRSSSDNFGEQTAAAAAAAAEDQLIRMIALHGFPSSMVEDEQFIRFVGMLCPDFKMPSRDDVEERCDALFDQETCSLKDAIARTPGLLSNIKPIPYRACEAEKKDSEWINASRKDDSDDEDIDEEQAPDIEDYDMQSHLEQTKEYMDKFFEDSYLSQSISLILDPRFKLVNAERLLKKASLPPDRISEVQAAVVQLFQDYSNQGSARQHTNHNNENLRTIMQTLVLNPMKAELQDIISEVDADGSGIIDFYRARTKRGGGGGAKGDVPCLRQGLENSFIFATKPRHVMSNVSREAH >ORUFI02G10870.1 pep chromosome:OR_W1943:2:8044826:8045604:1 gene:ORUFI02G10870 transcript:ORUFI02G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLPLFGDTLPPPTSSLIYQRPPQRRIRLSRGEEDPPNRRMRFSY >ORUFI02G10880.1 pep chromosome:OR_W1943:2:8046106:8048655:-1 gene:ORUFI02G10880 transcript:ORUFI02G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHETWITEVLRTTLGRIYHAIKTMSTSSLPTSNLVLIEMLEVRNTLVLGFNNCSGRMQNSSGELTDCFKDEHVLLISVQNAMSTLDRFLLKSYLLLSIPLILDPRYKLVYVENLLKNFQSILKNAPSVDLVSKVGEKFRELFTEYKTQGIETDYNNESNATEHANHIHEMDVDPSIQGNGSTLQLTEQGNTSSQEHMRELEAYLQDETVPLDQVDFDILKWWKNNCGRYPTVARIARDFLAIPTSGRPSPQLMAEITSHFRRYA >ORUFI02G10890.1 pep chromosome:OR_W1943:2:8059812:8060081:-1 gene:ORUFI02G10890 transcript:ORUFI02G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKMVLTKAELEWLMAQLKAGDRRLEDVLQEMARKRNRGLLTTAAAAGDACAACAAGAGGGDGADGCWRPSLESIVEGPEMSSFSFDY >ORUFI02G10900.1 pep chromosome:OR_W1943:2:8072152:8075561:-1 gene:ORUFI02G10900 transcript:ORUFI02G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGARNGSTTGAARTGGSGGDAAAGGGGGSAGNGKPLPPCCVKARAAAPESEAKCHATVVSGWFTEPRSRGGKTSKVQYYNNPMWPGEAHSLKVEKILYQGKSPYQEVLVFESSTYGKVLVLDGIVQLTDKDECAYQEMITHLPLCSIPSPKKVLVIGGGDGGVLREICRHGSVESIDICEIDQLVIDVCKDFFPDLSVGFKDPRVRLHVGDAVEFLRNAPEGTYDAIIVDSSDPIGPAQELVEKPFFDTIARALRPGGVLCNQAESMWLHTHLIQDMLSICRETFKGSVHYAWTSVPTYPSGVIGFLLCAKEGPPVNFLTPANPIEKLEGAMEAGRDIRFYNSEMHRAAFVLPTFARRELEAYCTTVEREQQEETTAEPLKMNIMPNSEILTAS >ORUFI02G10910.1 pep chromosome:OR_W1943:2:8084469:8085358:1 gene:ORUFI02G10910 transcript:ORUFI02G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIVNRERPHGRCKWPGIGDVGGDLCSRTTRTRGSRWLAEREGKLAGTASRRASLRLQRRCCGGCYGVGEKGETGKMGSPEGGKADGARKLGGKL >ORUFI02G10920.1 pep chromosome:OR_W1943:2:8091938:8099311:-1 gene:ORUFI02G10920 transcript:ORUFI02G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFREDKYVRFHDWRSEHSVGSEKTVLEGRHNVFDSLMDRTVGAFSFLGNSSHPETLNKPASEEKKSKTRVLDPQGPFLQRWNKIFVISCLIAVSVDPLFFYIPVIDGDNICLYLDKKLEIIASVLRFFTDIFYLLHIIFQFRTGFIAPSSRVFGRGVLVEDTFAIAKRYLSTYFLIDFLAVLPLPQVLVLVVLPRLQGSSVMTAKNILMVIVICQYVPRLIRIIPLYLQITRSAGIITETAWAGAAFNLLIYMLASHVLGALWYLLSIQREDTCWKDACSRHDGCDSGSLFCGSNAARNNSFLQDFCPTNGTDNADPTFGIYLPALQNVSQSTSFFEKLFYCFWWGLQNLSSLGQNLKTSTYTWENLFAVFVSTSGLVLFALLIGNVQTYLQSASVRIEEMRVKRRDTEQWMAHRLLPDNLKERILRHEQYRWQETRGVDEEGLLSNLPKNLRREIKRHLCLSLLMRMLVLDFLVLCQTFPLLGHSVPMFENMDEKLLDAMCDRLKPMLYTEGSCIIREGDPVNEMLFIMRGNLESMTTNGGQTGFFNSNIIKGGDFCGEELLTWALDPTSASNLPSSTRTVKTLSEVEAFALRADDLKFVATQFRRLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYCRKKLEDTLFEKEKRLQAAIVSDGSSSLSLGAALYASRFAGNMMRILRRNATRKARLQERVPARLLQKPAEPNFFAEDQ >ORUFI02G10930.1 pep chromosome:OR_W1943:2:8099375:8100615:-1 gene:ORUFI02G10930 transcript:ORUFI02G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEKRLAYQANTGVARRNGGTAGCEARPSHDRQGRAGVHLPSPPYGVRQSPPPPASCGASIELRLSSPLSLSLSLSLSSPLASRGLLRRRFL >ORUFI02G10940.1 pep chromosome:OR_W1943:2:8104552:8110771:1 gene:ORUFI02G10940 transcript:ORUFI02G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFASLRRALPLLLRATTTTTPRFLLPRALSGGVGGGAAVDARALLRGHSGWRGLRVAARMMLDSSDSAAAAGQMQPQQRAAGAVACSAQDGGAAGYASGGWAREDGKLKCGYSSFRGKRATMEDFYDVKLTEIDGQAVSLFGVFDGHGGPRAAEYLKENLFENLLKHPEFLTDTKLAIKSNAFRDDGSTASTAVLVGGHLYVANVGDSRAVVSKAGKAMALSEDHKPNRSDERKRIENAGGVVIWAGTWRVGGVLAMSRAFGNRLLKPFVVAEPEIQEELVNEDLECLVLASDGLWDVVENEEAVSLAKTEDLPESVARKLTEIAYSRGSADNITCIVVQFHHDKTE >ORUFI02G10950.1 pep chromosome:OR_W1943:2:8111665:8113690:-1 gene:ORUFI02G10950 transcript:ORUFI02G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNDALRTMVNAERRGKATALLQPISGVMVSFLNIMKHRGYIKKFEVIDPHRVGKINVELHGRIKDCKALTYRQDIRAKEIEQYRVRMLPTRQWGYVVITTPNGVLDHEEAIKQNVGGQVLGYFH >ORUFI02G10960.1 pep chromosome:OR_W1943:2:8124105:8126125:1 gene:ORUFI02G10960 transcript:ORUFI02G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSTSLAVGCVREVDFKSGFPAKSSVERLEILDDKEHVFGVRIIGGDHRLKNYSSVLTAKPEVIDGEPATLVSESFVVDVPEGNTADETRHFVEFLIRCNLRSLAMVSQRLLLAQGDLAEPPAQ >ORUFI02G10970.1 pep chromosome:OR_W1943:2:8139514:8143968:1 gene:ORUFI02G10970 transcript:ORUFI02G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGGGAAEAAAGRRWRLADERCDLRAAETEYVRRFHRHEPRDHQCSSAVAKHIKAPVHLVWSLVRRFDQPQLFKPFVSRCEMKGNIEIGSVREVNVKSGLPATRSTERLELLDDNEHILSVRFVGGDHRLKNYSSILTVHPEVIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALLKCNLKSLAEVSERLVVKDQTEPLDR >ORUFI02G10980.1 pep chromosome:OR_W1943:2:8148871:8158960:-1 gene:ORUFI02G10980 transcript:ORUFI02G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGKAKPHKAKGDKKKKEEKVLPTVLDVTVETPDYTQLTLKGISTDKILDVRKLLAVHVDTCHLTNYSLSHEVRGAQLKDTVEVASLKPCHVSIVEEGYTEELAVAHVRRLLDIVACTAAFGPRKSAPEQKPASPSSPDAPPPPPPPASPDAAKTPGSPAGGGGGVGAGGGGGEEPMYPPPKLGQFYEFFSFSHLSPPLHYIRRSTRPFVDDKTEDDFFQIDVRVCSGKPVTIVASKAGFYPAGKRALISHSLVGLLQQTSRAFDGAYKALMKAFVEHNKFGNLPYGFRSNTWVVPPAVADLPSVFPPLPTEDETWGSNGGGQGRDGKHDHRPWAKEFAILAAMPCKTAEERQIRDRKAFLLHSLFVDVAVLKAVAAIQQMVPDKSSLETPNDTTNPDLHTQQIGDMKITVTKDKADASSKLDVKLDGSQAPGMLSDELAKRNLLKGITADESATVHDTATLGVVVVKHCGYTAVVQVPADAQLTTVSLAQHDIDIEDQPEGGSNALNVNSLRMLLHKPCIQPSGGVQRLQSSPQESEYSTNFVRKIMTDSLQKLECEAPRETRPIRWELGACWVQHLQNQTSEKADTKKNEETKDVPTVKGLGKQFGQLKEIKKKTDEKSGKGASTKENTSTNTNDAQTVNSSSTKEDNEAILQRWLPEAAFQRLKESETGLHAKVELADKLPHIQSLCIHEMVVRAFKHVLRAVISAVHDINDMAEAATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKAKEMQKKQARAKIKGRAGQNPSEVVDDEDQRSPPPKSDHSLTEKESSEVKENGTFIQKEKLKEEIPGNTLSRIPQDDFTEEYTSDEGWQEAVPKGRSTGNRKTGVSARRPNLAKINTNALNNTENGRYKGRAPSNFSSPRVLPSEAVTAKKLVKSSSFNSKPGSPAISSNSAENSSNPNSLSASPATTPAAAKAVLSSAPIASQTVRKALSYKEVAIAAPGTLVKALNDAQTEEKDATDAGANIETAKAPKESNGHLSKEKDGAVQVSPKDSTSQGSKETGEGKSSNPDDEQTVVLAGSNQSETQPEKKRDLVASDVSSSSQSLTTVTEANAPNEVASMVTEANDSSSNDDERDAGEDAQEQMSSGGENEKSSPSESEKNDSPGAKETASKLSAAAAPFNPSTVPAFGSMAIPGFREHGGLLPSPANVPPMLSIPLRKHPHQSATARVPYGPRLAGGYNRSGHRGPRNKSALPSSEGLTEANTFATRVMNPNAAEFVPGQSRSPNGNPASPNGPLASPGGTEASPHGLPSPSDSIVESPATASPQVSEISQTSPEGNDTTSGVDTENGSEKQDTDGKNHVESKDGEGEPEQTEASKGDGDGAITPEDGSAVTENPKSWADYSDGEAEAVEVAS >ORUFI02G10980.2 pep chromosome:OR_W1943:2:8148871:8158960:-1 gene:ORUFI02G10980 transcript:ORUFI02G10980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGKAKPHKAKGDKKKKEEKVLPTVLDVTVETPDYTQLTLKGISTDKILDVRKLLAVHVDTCHLTNYSLSHEVRGAQLKDTVEVASLKPCHVSIVEEGYTEELAVAHVRRLLDIVACTAAFGPRKSAPEQKPASPSSPDAPPPPPPPASPDAAKTPGSPAGGGGGVGAGGGGGEEPMYPPPKLGQFYEFFSFSHLSPPLHYIRRSTRPFVDDKTEDDFFQIDVRVCSGKPVTIVASKAGFYPAGKRALISHSLVGLLQQTSRAFDGAYKALMKAFVEHNKFGNLPYGFRSNTWVVPPAVADLPSVFPPLPTEDETWGSNGGGQGRDGKHDHRPWAKEFAILAAMPCKTAEERQIRDRKAFLLHSLFVDVAVLKAVAAIQQMVPDKSSLETPNDTTNPDLHTQQIGDMKITVTKDKADASSKLDVKLDGSQAPGMLSDELAKRNLLKGITADESATVHDTATLGVVVVKHCGYTAVVQVPADAQLTTVSLAQHDIDIEDQPEGGSNALNVNSLRMLLHKPCIQPSGGVQRLQNSIVESPATASPQVSEISQTSPEGNDTTSGVDTENGSEKQDTDGKNHVESKDGEGEPEQTEASKGDGDGAITPEDGSAVTENPKSWADYSDGEAEAVEVAS >ORUFI02G10990.1 pep chromosome:OR_W1943:2:8167329:8172682:-1 gene:ORUFI02G10990 transcript:ORUFI02G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVRVEERKAKQSYSLLPSARIQGWCEESQADESRGWDGVAQLWSKPIACVEKKRDGDHVFVESSHPLLVPDEDILHYYPLAKTLKSGGDRVSL >ORUFI02G11000.1 pep chromosome:OR_W1943:2:8172580:8175703:1 gene:ORUFI02G11000 transcript:ORUFI02G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHGHGFAVTVLLVVLLVQCDAAEATTCAGAVPARPRPETVSIAEFGGVGDGRTVNTWAFHKAVYRIQHQRRRGGTTLLVPAGTWLTGSFNLTSHMTLFLARGAVLKATQETRSWPLAEPLPSYGRGRELPGARYTSFIHGDGLRDIVITGDKGIIDGQGDVWWNMWRQRTLQHTRPNLLEFMHSSGIHISNIVLKNSPFWNIHPVYCDNVVITNMMIIAPHDSPNTDGVDPDSSTNVCIEDSYISTGDDLVAIKSGWDEYGIAYGRPSSGITIRRVRGSSPFSGIAIGSEASGGVSNVLVEDCSIFNSGYGIHIKTNIGRGGFIRNITVDNVRMNSVRNGLRIAGDVGDHPDEHFSQLALPTVDAVSIKNVWGVNVQQPGSIEGIRNSPFTRICLANVKLFGWRNNAAWKCRDVHGAALGVQPGPCAELTTSLSSGFCSY >ORUFI02G11010.1 pep chromosome:OR_W1943:2:8181390:8182418:-1 gene:ORUFI02G11010 transcript:ORUFI02G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAVAAALLLVALCRVVHGEADQETAPAYANREAYVEHNGGDAAGTSVAIVPPYLDAAAAARDEPAAAAASPEGPVIPVDDDAADQQGFLRFPCRYHCRYRHHMRHGGHRHGEGFHGKEEKQQLVFEMPVEPATRGEERREEEEGVVLPVAEPDPDSRRQYAAVAAAEEEDEDEMARLHHGRRSHHHHHRHHHHHHDENEEDEHEQADEASPAVERLISFHRRRHHHHHHEDDHEQREEGAPMKRFRHHHEEEEESEMRTKRFHHHHHKDDDERELEEMARRWIRKALMSSRMHHHRGCRFHHHHHHLSFRHRAEDAAAAGEEEEKGGVMSWLKDFVNRF >ORUFI02G11020.1 pep chromosome:OR_W1943:2:8191987:8192645:-1 gene:ORUFI02G11020 transcript:ORUFI02G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSAAAPGLLLALCCAAAAIAVVHGEDWAVGDNKGWSFGVAGWENGKRIQPGDELVFKYDAKIHNVVEVDRAGYGGCTVTGPSKVYNSGDDRIKLAGGEAFFICSIRDHCTAGMKVKVAVTANA >ORUFI02G11030.1 pep chromosome:OR_W1943:2:8194226:8198239:1 gene:ORUFI02G11030 transcript:ORUFI02G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPSPVVAPTLVPVVTLTPLPISLPPSPLLAMAPTRLASPSPLVSTQMLPIVPQKRYSPQEATEGGGGGDRQIRYALAGSGGVAADGGEGDGDEDGELRRVVGTDGGGDGNSGPDLAAPEADLRPPKGRSGVGQTCGDGVGDNGVNSRRESTSRRQQRHGARGDGGDSDDSRWLAGGNSGWRSRCGCQRRRRHDCGDR >ORUFI02G11040.1 pep chromosome:OR_W1943:2:8204460:8204916:-1 gene:ORUFI02G11040 transcript:ORUFI02G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGSVCVAVLLAVCCAETILVAGATEWHVGDDKGWTFGVAGWENGKAFKVGDVLVFKYSPMMHNVLQVDHAGYDGCKVGAGDKKYASGNDRITLAAGKVFFICGFPGHCANGMKIAVATK >ORUFI02G11050.1 pep chromosome:OR_W1943:2:8206759:8208843:-1 gene:ORUFI02G11050 transcript:ORUFI02G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATARECRAYGLGGGGRAARGRWEYINAGAYVFAAVLLAGGFGWHLSAWSATTRSGLAAAALGLLLLLAVNAHDLLAHAAGVDYSLALAAGLDSQFALVEVAVPAVHFAGTVLTLIALIFFEIQMARGYRHSLEKHGLNMLIAGPALWLLGSIQNICQVYERANGHVQILQKCVQTPLLLGSTLFLIGGIINRHDIHSQSTSRSELLGRSWGWFCVSGSLLFVAAGLLNLLKVFKMQQMDGRGLEKLRGGAQERLNREREGKVPLILEEGQRRTPAPVSGQVPPPPAGSYKEAVVSGAPAG >ORUFI02G11060.1 pep chromosome:OR_W1943:2:8210476:8213818:1 gene:ORUFI02G11060 transcript:ORUFI02G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTILSSAAPTPLTAPPRARARAPAARRRRLRARDILGAALGLANGGASAALAAPLSYEETLRLSTDSGGGGGGGGGGEFALPDLGLGGVLDFVAQNPLAAAAGVAAVALPLVLAQVLGGASKPYGVVSAAAAYRALVEEPGAQLVDIRPPGDARQSGAPDLREAKKKAAAVPYDGEDKNGFLKKLSLRFKDPENTTLVILDKFDGNSELVAELVTANGYKAAFAVKDGAEGRRGWLSSSLPWTAPKKGFSLSDLIGDGTDGLPVTLGLAAATGLGILAYTEIETVLQFLGSAAIVQLVASKLIYAEDRKRTLKQIDDFFNKKVAPKELVDEIKEIGQALLPSTGTKSQPAITEAAPATAEAAPAAATATAAPPAAPVEETSTEAAPAEPTPLSPYTNYPDLKPPSSPSPLAPAEATKNESESESAATESAPAVNSAPVAEAAPEAAPPAAPRPLSPYPNYPDLKPPSSPSPSAP >ORUFI02G11070.1 pep chromosome:OR_W1943:2:8212038:8214953:-1 gene:ORUFI02G11070 transcript:ORUFI02G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMVPMVKATMVVKEMNGNEYISGAASVLVSSTGAAGGAAVAVAAAGAASAVAGAASVIAGWLLKKGTAESGNANCKSHQENQKSRHLSIYEFACN >ORUFI02G11080.1 pep chromosome:OR_W1943:2:8216819:8219799:-1 gene:ORUFI02G11080 transcript:ORUFI02G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGGLCELFSDDHRDIRHVADADLFRILETWEECINGGAGGGGGGVSLAGVADQGAAAASTGAGGGARTTTTTTAANGRRREGRDEEKGGGGGGGPPAQKKQKGSSSSSSSPAALAAAVGDGDGAAKMSHITVERNRRKQMNEHLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVLRSLEAKKNRKAYADQVLSPRPSPAAAALMVKPTPPISPRFAAAAAAGVPISPRTPTPGSPYNKHAAAAATARPPHPAAATSSCSVAYSMSPAMTPTSSSSTTTTTTHELSPAPAFLPILDSLVTELAARGGASCRPLVIPSSAAAIAGIVGVPDVRVEFAGPNLVLKTVSHRAPGQALKIIAALESLSLEILHVSICTVDDATVLSFTIKIGIECELSAEELVQEIQQTFL >ORUFI02G11090.1 pep chromosome:OR_W1943:2:8225350:8225680:-1 gene:ORUFI02G11090 transcript:ORUFI02G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACAIFFVARSFTPVTGCTLCLSIFFCWVKDITDGCWSPLTDLHPSKVRTVISDHLSLTRAKSVRGDSLSPSQVALSGVVNLDAI >ORUFI02G11100.1 pep chromosome:OR_W1943:2:8225951:8226565:1 gene:ORUFI02G11100 transcript:ORUFI02G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRPRPAAGTRIHVPQPCGGEIRWERHWRPDPASRRPDPASDGQEAAASGGWDADPRPLISWRPDPVGEAGEESGSRCGRRWRPGGGRDTDPHSPTSWRLDPVGEAGEESGSRWGRRWRPDPASDGRGAGEAWEVEAGGGREVEAAGGGTWEVRRNKREVEAGGREEVRERVEEEERVVGRRKREEEIRPGGGWVVGPLGGW >ORUFI02G11110.1 pep chromosome:OR_W1943:2:8245724:8254768:-1 gene:ORUFI02G11110 transcript:ORUFI02G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESIPDKQIVVRFPNHVLPASLRENMGKGATVDNMDPSCPFLVLEKYDRKKSYEWLNLRAAWVAKSMPNDIIIPDPTPEVKPSQLNKRLLRMLQRKRRLPVEAQSLSTAADDKSLRLHGGQLRNYSSTSMSNESLNSATNRFPSIKKVPYCCIRCAQEGAKACMNKKVCVRYLSSCLKFMNMWK >ORUFI02G11120.1 pep chromosome:OR_W1943:2:8259296:8259712:1 gene:ORUFI02G11120 transcript:ORUFI02G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLPPPMAAAAAAVGVADGGRTKKRAGLPRLLHKFFFKVLRLRPAAAAAEPGAAAFEAYYGYRMVDEYYYYSYGGAAGPASWAGVLSSIPEEESSDEGTPAADAATLRKARSDSDQFVAAEAAAVAVVVVNYRGAAS >ORUFI02G11130.1 pep chromosome:OR_W1943:2:8266714:8268419:-1 gene:ORUFI02G11130 transcript:ORUFI02G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGSRKGGRGRKALTAVLDNDANISAGKADVAAAAGILSPPQKAKRATSKSSKGKAAAAAAAEEQASVVDAVSELQGMLEELRLEKEKAEEMVRERDEVIRRKEEEQGRLQAELKKVQRAKEFKPTVSIPLVKALIEKDQEGEKKKGKGKAGHERKKPCPAYVLWCKDQWNEIKKESPDADFKEVSNALGAKWKALGAEEKQPYEERYRQEKEAYLQVVGQEKREAEAMKLLEEEQMQRTAKELLEQYLKFRQEADDDGDGGDNKKASKKGKKKKKEKDPSKPKQPMSAYFVYTQQRRAALVAEKKNVPEIGRITGEEWKAMSEAEKAPFEAAARKQREEYQVEMAAYRQRKQEEAACQEKEEEEQKKIMKQEALQLLKKKEKTDNIIKKTKEEQRKKKVGGAAAAADPNRPKKPASSFLLFSKEARRQLAEERPGVASSTLTALVSVKWKELGEAEKQAWNGKAAEAMAAYKRDMEEYTKAAASGGGGGDASPCTSSSASS >ORUFI02G11140.1 pep chromosome:OR_W1943:2:8270464:8274688:-1 gene:ORUFI02G11140 transcript:ORUFI02G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGDMEGEEGQRRQQQIRVVRCPKCEKFLPELPNYSVYVCGGCGATLQAKKNSASENSSEKSDGGHVKYLEVLESSPDNKGAASKDTCEAAQEGEAKNGEAKAEERQVLLDRMAACDDSRIPREPNALKLEASLRDDSREIREAKYRRIRSEDKGEAKHTVRARDRSPRSVVDAIPPNAYPAEGPSDYHIKSRFRHTNGEQADMRNLEGLNRVNGLEKDRADLLRMLDELRDQVQRSCEITNKPSGSTSTDKAVDASGLYNPRERLSRLRHGSPQLQRSGSQQSPSLNGQAPCIPQAYAPGTAQQDLHGYGEPMAHMGAPSYPVGTYPWRNFDNYFYGQYDPDPLISYHHDGFYHQPACSCLHCYHREFLPVQGPPLGFNHRRVPPYVMNNPRVYPVDGPAMFGTQNYNSRVNASMQRNHMRAAMSKKPAQTCEPIACGAPFTICYNCYEVLQLPKKSPVPGKDEYKLRCGSCSHALVVKLDGSRLDVSAPSPISHISGGSKISSNDGQGSNANSAPHERVLPLYSFSAASHGSQDLPSNSSEAEKMQGVSSSCSISEDENSPARSNSQRDTPGSRDLHPEAEVSTRVPSLHLRDHFGYSPSEKVVDGSGKGSRSTRSEHEKAVLTESFKQNTVKDVSVVNIMDLSDDEYDDPDYMQDRGDVAQPVDHPRAVKTGDSFFTNLIKKSFKINNGMGNGRAKVFINGYPISDRAVRKAEKIAGPIYPGEYWYDYRAGFWGVMGQSCLGMIPPYIPELNYPMPKKCAAGNTGVFVNGRELHQKDLDLLVGRGLPDSPGRSYRVEMSGKVSDEVSGEELYCLGKLAPT >ORUFI02G11150.1 pep chromosome:OR_W1943:2:8274926:8279659:-1 gene:ORUFI02G11150 transcript:ORUFI02G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARIWAARRRLHLRGAAWSPAIQRRRGRRSLAAAVLTAAIFAGSRSGDGEAGERREEGGGGGRWSPSVSPWGEQRGRLRQ >ORUFI02G11160.1 pep chromosome:OR_W1943:2:8279538:8279927:1 gene:ORUFI02G11160 transcript:ORUFI02G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRTAAARLLLPRRRWMAGDQAAPRRWSRRRAAQIRASMAGSGRGEASERRWRRWRGGRQRGRRGPDGGGGSGGGKATRRTRWWGRRGGCRRIWRLASYWPDPAPSSGEGGHLPVCQREEELRLGMR >ORUFI02G11170.1 pep chromosome:OR_W1943:2:8287309:8287986:-1 gene:ORUFI02G11170 transcript:ORUFI02G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLLLLTSLSCMVTMTTASAGALPAVGHLATARSTWHISFPSFGFARLAEKKDQKAKTGLIDRNRSLHF >ORUFI02G11180.1 pep chromosome:OR_W1943:2:8297801:8298597:1 gene:ORUFI02G11180 transcript:ORUFI02G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEGGGEDEMIQATTAGQAAQSLHTHITLYAVLSVTSAHLGYLDLLGAGMHTRGGLLLLLLLTCILHACKKQEKESRNQNETSMQASAPSSPPSFADQVAGKNCNGNGAITHRIRLKRWGKRRGGNSS >ORUFI02G11190.1 pep chromosome:OR_W1943:2:8305324:8305611:1 gene:ORUFI02G11190 transcript:ORUFI02G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGPSVVRLQPKAEKTTAAAAGGEQQHGGGGGGCGGSSFRMPLHYPRYKKAEYEAMPEWRVDCLLREYGLPVDGDLDAKRRFAMGAFLWPDQY >ORUFI02G11200.1 pep chromosome:OR_W1943:2:8309856:8313895:-1 gene:ORUFI02G11200 transcript:ORUFI02G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVVDAMRVGLRCLMSTCPSTKATDDSQRLYATSSCANVPEVAPSEAPLSDMLVDSFGRFHNYLRISLTERCNLRCQYCMPAQGVQLTPNSELLSHDEIIRVAGLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGLVLSKKLPRLKECGLNALNISLDTLVPAKFEFMTRRKGHSRVMESIDASIQLGFQSVKVNCVVMRGMNDDEICDFVEMTRDKPVNVRFIEFMPFDGNVWNVKKLVPYAEMMDKVRQRFKGVERLQDHPSETAKNFKIDGHAGTISFITSMTEHFCAGCNRLRLLADGNLKVCLFGPSEVSLREPIRAGVDDAGLREIISAAVDLALPAPEAIVPLDS >ORUFI02G11210.1 pep chromosome:OR_W1943:2:8319257:8321119:-1 gene:ORUFI02G11210 transcript:ORUFI02G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLGRSAFINFSNPNAYVGKFPVISTVSHPTASSQIDVLAHCSSYNLPRGTKRKFDGLSLGLGNSSSSESSKQSMGTGCTISSAKGSDDGSSIDLDLNHFTLGNEGTSRLDKRACDSRRALDKPELNLELSLSSQSAITGADFTAATEYNSPSLQPYYMDLVPTVDEGSTSARRPSGCQVLSFLNKTAKMSEFSPREVFPGSSNQSQGPAPMPTLLQLPKSPVACTSGFSRPQQRSSSTKNCTYPGCMKGARGSSGRCIAHGGGRRCQKDGCDKGAEGKTIFCKAHGGGRRCEHLGCTKSAEGRTDFCIAHGGGRRCSHEGCKRAARGKSGRCIKHGGGKRCQHAGCTKSAEGRSGLCIAHGGGRRCQQDGCGKGAQGSTNFCKAHGGGKRCTQPDCKKGAEGSTAFCKGHGGGKRCSAEGCTKSVHGGTLCCVAHGGGKRCVVEGCTKSARGRTDRCVGHGGGKRCQSSGCDKSAQGSTNFCKAHGGGKRCLWGHEGSDHGAGDTPCERLARGKNGLCVYHNPQVDENRVHGGFSVVSDALSQGSIFSHPMEAPRRVAAPADEGRVHGGNILSMFANGMSLGKHPANQAEASTSAPRNSKSTNGMVTGNSAARGSWL >ORUFI02G11220.1 pep chromosome:OR_W1943:2:8327943:8328585:-1 gene:ORUFI02G11220 transcript:ORUFI02G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVLLRRSVESGHCADGVNSASTFGVQVAFGGFRCGCYGDSEVKALLGLPVLATATPSGVVHILEGVAIGVLIQLHIKGIQLRQLKTKASHPRH >ORUFI02G11230.1 pep chromosome:OR_W1943:2:8339583:8341448:-1 gene:ORUFI02G11230 transcript:ORUFI02G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLPLRLLASKTLAFPSAPSLPASRCSLPVAASAPRRCWRLLASAEEPAPAPVEAEAEAEVVEEEEVEEEEAAVPEPVEAQIAAAGAGKDADIFAVVMIGSRQYIVMPGRYIYTQRLKGANVNDQIILNKVLLVSTRDKAYIGMPVVTNAAVHAVVEEQGRDDKVIVFKYKKKKKYQRKLGHRQPNTRLRITGISGYEDFPADPILEYVPA >ORUFI02G11240.1 pep chromosome:OR_W1943:2:8343860:8344550:1 gene:ORUFI02G11240 transcript:ORUFI02G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRGDCCADKAVLGEEGRGRGHPQRRGSLAVIDCDGSNSDIKMKQGYMSMKALVDDRTPKDSCKFTITPGPCFPMLCLTATRKSLQYLLASALLRDANAPTVFRLHL >ORUFI02G11250.1 pep chromosome:OR_W1943:2:8345592:8350557:1 gene:ORUFI02G11250 transcript:ORUFI02G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVECRVEPSINSRGSDASVQKQGRLVCDLSAISDGVLHISSSVMPTEIIKRYFKEKSCLLDLMELGNKSSDCHEQRISRDTEFNDRLEKPLTGCFRLNDWLDSRLPLARAAAVGEELAGRPRPAGRRGEARREVAAGWTRGKSLLGSRGQPSAGEELAGRSRPLGRADGARREAAAAWTRGWSSLGCLGRLGTGEELAGWPRLAGRWEERIGWSRSAGPGRSCTLRTPPPSCSPRGGGGAAFSRRQPMPSRSPRGGGGVAIGAAFSRRQPMPSRSPRGGGGVAIGAAFSRRQPMLTACRPHPRRERGEGKGSSWMLRSSTSPRRGPSTSPGRWASRWHSVDRRRRQAAQRQGGTVLHLRHVHHRLRTLPPRRSLDHRGPRVDTHGVPAVIVARHRADQAALIGEAGKDSDEEDQMQNAAASPLPACCVHSSCGGMRNVAASPPPPARVRRRARTTMTRRKRPPCRHAAPAAGWLTCGSHLMTQPFNGVKDATWDKTASETARGVDLQRFWELGDMLYPVLRLRMRFNQAQEMREAKWTYSYAKP >ORUFI02G11260.1 pep chromosome:OR_W1943:2:8350852:8358192:1 gene:ORUFI02G11260 transcript:ORUFI02G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPANQYMDPYYSHYRNHAPYAYYPPSGCWEVSHPRMAMDSSCRPPSYGPWPNMNHLHPAEFHSCCNHTYPPGYYSFRLPSPQEIPPPHLYYHGPFPQHPNAYPSYFAPPPPYPVDQTAYGYDKFKSHCCGCPNHSCHGGERSNIKIEEERPEVNPDTEQRDASGSDIVRHPNYQNQAIWLPSGNMNDKENKKSMELPPQFYNGWIPVSGKWVGDVKQQDQDDQKAKQFQWPIFWMPAGYNEKRQEAKELKEVDESPKVSEEAPPSPKIKIIPLSWFENGHNDQKPSVKDESHHNERSTVKKQSAGTEHQDGREMKNIPLMPKKESEEKKPARENYKTIPVMPRKDNEENKPAGGNYRIIPVMPVKESDDKKPEASVQRDEKKASSTEKEEENDKRSNEESSKAKNSKLPPVCLRVDPLPRKKSGSGSSRSPSPPTRKDADIAKKDVKEIHMQKQDAKQSDPKKERTVSEAKEKAHDEMNKGRAYGNETVQAASVKQMQEEQFPMSLADQKVQATGVNFDAQENVGEKNLQGSDKNTEGEAKIQGEPAKDYDTTPRINFSEVDAAVCIQSAYRGYNVRRWQPLEKLRMIKNVNEQMKDLKEQLQGIEASSKQLTVKEQVAINETIMNLLLKLDTIQGLHPTVREARKSVARELISLQEKLDCLCKQSSGESIHTNGEKEKPEAMENNFQNTDPVSAIEASEKEKAAGVDEEQGLSTINSKLLMPDAVSSVVSMDTTQDADPSDHIEESNTTKEEAPNNGGKVATQCDCQGEPSMDVMGGAALLGHSTEQKQQIEESNAISMDTSCEREKDVPPVGGQEIPSGDHMEPLHDEALSENSNELQQCTTSERSSTVISPAAADNSMITMAATSVESSVSADKVSPVEGQVTEAAVEHAPVEKDQCEEPNTTIVDSGDSSVSLKNEELQDHDQAPSGSSIMSNSAEQPEEASDVNMQQQVENVDTTQDATEESDATPEIGMVDVTYADTENYVQSPLLQTTSKLQSTTGQNVLKEPEAAKQSDVSGEYESVLVGKQNESANNLTGDSAKEEPPLVGLGMEADTHESAPRELKDEPILPETERSELSCEHGDITGHEDSEMYAPPECETDVQKESCCVDRRRADMQVPKEVECDELGDDNPKEDASVQTENMASEEASLASATPDGMKDENKVAEETTSDYATPYSSKSDNENKLAEENQKLKEMLQNLLASGSDQMGVITELSEKVKTLERKLARKKRPKDMWQGSGS >ORUFI02G11270.1 pep chromosome:OR_W1943:2:8381245:8384899:1 gene:ORUFI02G11270 transcript:ORUFI02G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSLLLPSPPPPLSLSAPSISLSPLSLSLSDLQSRSPEARLRLPSLLLLLQRAASEQPRRPPPPPPRPDGGGAGGAPSSGASPPNLGRNRIEGSCVSYCAVRWMLAMGSEEWELYPSSFIGAQVIDYGHVSGDMDDDQSGDLAVSMDAVLPDDLLEKVLSFLPVASVIRSGSVCKRWHEIVHARRQTWSKMVPQKPWYFMFTCSEEAVSGFTYDPSLRKWYGFDFPCIEKTTWSISSSSGLVCLMDSEDRSRIIVCNPITKDWKRLVDAPGGKSADYSALAISVTRTSHQYMVAVARCNQVPSEYYQWEFTIHLYESEINTWVSPFTELLIGWRGGDECVICDGVLYYLVYSTGVLVNNNEHRHCLLMYDLSTRPTHTSLMSMAIPVPCPLTCGRLMNLNERLVLVGGIGKQDRPGIIKGIGIWELRNKEWHEVARMPHKFFQGFGEFDDVFASCGADDLIYIQSYGSPALLTFELNQKLWKWSLKSPVTKRFPLQLFTGFSFEPRLDIAS >ORUFI02G11270.2 pep chromosome:OR_W1943:2:8381245:8385318:1 gene:ORUFI02G11270 transcript:ORUFI02G11270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSLLLPSPPPPLSLSAPSISLSPLSLSLSDLQSRSPEARLRLPSLLLLLQRAASEQPRRPPPPPPRPDGGGAGGAPSSGASPPNLGRNRIEGSCVSYCAVRWMLAMGSEEWELYPSSFIGAQVIDYGHVSGDMDDDQSGDLAVSMDAVLPDDLLEKVLSFLPVASVIRSGSVCKRWHEIVHARRQTWSKMVPQKPWYFMFTCSEEAVSGFTYDPSLRKWYGFDFPCIEKTTWSISSSSGLVCLMDSEDRSRIIVCNPITKDWKRLVDAPGGKSADYSALAISVTRTSHQYMVAVARCNQVPSEYYQWEFTIHLYESEINTWVSPFTELLIGWRGGDECVICDGVLYYLVYSTGVLVNNNEHRHCLLMYDLSTRPTHTSLMSMAIPVPCPLTCGRLMNLNERLVLVGGIGKQDRPGIIKGIGIWELRNKEWHEVARMPHKFFQGFGEFDDVFASCGADDLIYIQSYGSPALLTFELNQKLWKWSLKSPVTKRFPLQLFTGFSFEPRLDIAS >ORUFI02G11280.1 pep chromosome:OR_W1943:2:8390828:8393646:1 gene:ORUFI02G11280 transcript:ORUFI02G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLLANFKNIFDKFTFKDSSAAAKDYEKKDRLALMRQTKLQDLILTTMTSRGHGKKKEHLKDIAAYKSNFFTCKKHKNGADCDC >ORUFI02G11290.1 pep chromosome:OR_W1943:2:8392374:8395785:-1 gene:ORUFI02G11290 transcript:ORUFI02G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSALLPPIAAAPPLAGSTLSFGVPYQNKRRILTMRVYCAADEEEEVNDLGVNEKYRPYYPQAAVMCQLAVSTLCKHTKNTVLQRVVMDMIPQGGLVRKSYQSNDSLVKKLDLYAALKNITCIIANYPPRTTAVVKISANFQLSGIGEGFTRCLDIVIMVPVCGGKWLE >ORUFI02G11290.2 pep chromosome:OR_W1943:2:8392374:8395785:-1 gene:ORUFI02G11290 transcript:ORUFI02G11290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSALLPPIAAAPPLAGSTLSFGVPYQNKRRILTMRVYCAADEGEISPLLPSSCRYVVMDMIPQGGLVRKSYQSNDSLVKKLDLYAALKNITCIIANYPPRTTAVVKISANFQLSGIGEGFTRCLDIVIMVPVCGGKWLE >ORUFI02G11300.1 pep chromosome:OR_W1943:2:8404094:8408494:1 gene:ORUFI02G11300 transcript:ORUFI02G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPAAAQPSSSAASGAGAGAAGPVLGKVAGQEGASTSAAAAVAARRGEGDCRGEEEVPLRVRLGRAARRRAGPCTPSPSWKLEGEEVEVAAGELAPVHPAVAPARRSSASASASARQLGASLWEIHDVMREGRGGGSRRRRSGRPLASAGGELHQNTSGFYTLFLGIGKKNSGGFGRHIADSSTNHQKLNQARNCTAQPFSPGSYRSSVGDSSINQAISPARSLDIKGRFRGADYNLKTSTELLKVLNRIWSLEEQHTADMSAINGLKLELQHAQEHIQELKCERRGYRHDVASLVRQLSEDKLVRKNKDKEKIAADIHSLQDELEDERRLRRHSEDLHRKFGKELSEIKSAFVKAVKDLEKEKKTKNLLEDLCDQFAMGIRDYEEEVRALKQRHVNYEYQFDKSVLHVSEAWLDERMQMQNTDVKEDSLKKSTITERLRSEIEAFLLAKRSVSFKNNDNYMHDSRPNARLRRQSLESVHFNGATSAPQLAEDDDDDSVASDLHCFELNMHGSSIQMHDHTGPRRSYTGNMDAPKRRTEYSHSVVGESSHMSDVQIYSQCNKARSSSSRPWHATRTQEIDSQASARTVPADEQNEIPCPHISQGYHNGTTSKNNLGAHADCLGQESLDHYSRASLFCDGTTSGDLCNPHSPSRQLDYPSASLGHDIGECSTGLLVGMKENTLKAKLLQARLEGRHARLKASGGSVTSRRK >ORUFI02G11310.1 pep chromosome:OR_W1943:2:8415835:8421213:1 gene:ORUFI02G11310 transcript:ORUFI02G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEAAAAQEAEMDPDFSGGGGGGPSFEFAFNSVNFSDRVLRIEVVAGDDDDDDDHAPGSSRDGGAGSLSDWARHRKRRREELLKEKESEAVMPDQINCKVEPEECDAYEENQEEPVAMMDDSPPSVGPDGDDGPSMDSPWSGGVSTPVLRVKNIYISSAILAAKSPFFFKLFSNGMKESDERQATLRITDSEENALMELLSFMYSGKLTSTDPTLLLDILMAADKFEVVSCMRYCSQLLTSLTMTTESALLYLDLPCSISMAAAVQPLTDAAKEYLSNKYKDLTKFQDEVMNIPLAGIEAILSSNDLQVASEDAIYDFLIRWARAQYPKSEERREILSSRLLPLVRFSHMTCRKLRKVLICTDLDHEQATKCVTEALLYKADAPHRQRALAADVTTCRKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRMYSQAFHLAGQGFFLSAHCNMEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTRPSGEFVSKYKGNYTFTGGKAVGYRNLFAIPWSTFMADDSLFFLDGVLHLRAELTIKQPTV >ORUFI02G11320.1 pep chromosome:OR_W1943:2:8429933:8431621:1 gene:ORUFI02G11320 transcript:ORUFI02G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPPPPPTKPKPKPATAAQPAPAPATARTTPPLKKPPPLAPPTQARPLKPPPAHQYRQQQQQQPANRKRQYGRHRGGGGGCSGRRVCCLATGFVLLALCLALAAACLAYLYYHPRPPSFHLQPLAATRFRVGNSSAVSAMDVTAAVRVVSWNPNDRVSFEYGDGEGRVALADADGDVALGWAPVAGFRHAPRSVATVAFVAAAKGVVVDEAVAARVRDRYRRRQLAFKVVVDTHVGARAGAVRTGMVPVRLLCDGGAMAPRGGVSGSVVGPMSKCQVYLFRVRCYFFCITPMN >ORUFI02G11330.1 pep chromosome:OR_W1943:2:8437751:8441144:1 gene:ORUFI02G11330 transcript:ORUFI02G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATLMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >ORUFI02G11330.2 pep chromosome:OR_W1943:2:8437672:8441144:1 gene:ORUFI02G11330 transcript:ORUFI02G11330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATLMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >ORUFI02G11340.1 pep chromosome:OR_W1943:2:8454565:8478050:1 gene:ORUFI02G11340 transcript:ORUFI02G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIGAASWLVDKVVTQLSDELVAAYIASTELGLNMEQIKRDLMFMQGLLHHARERRDKSNPGLQGLLEELRKKADEAEDVLDELQYFIIQDQIDGTHEATPVVDDGIRGQVLHGRHALRHTIGNCLSCFSSSSSSVPEDANYPHHTAKSRSDESDYVGNETLSVLPIVGPGGIGKTTFAQHLYNHKRTEAHFSGNKTWVCVSTNFDVVRLTQEILMCICQNRNEESSGAHETSNLDQLQKSIAEKLDSKRFLLVLDDMWRCSSEGEWESLLAPLKTGEAKGSMVIVTTRFPSIAQMVKTTKPIELQGLEDDEFFTFFEECIFGQEKPACYEDELIDIARKISKKFKGFPLAAKTVGRLLKNNLSQESWMEVHERNEWKNQQDGDGIMPALQISYDYLPFHLKKCFSYCSLYPEDYRFGNLEITYFWEALGIIAYGDQNNKADHVGLKYLNELVGNGFLMKEGDDSRPYYVMHDLLHDLARNISSQECIDISSYNFRSDSIPQSIRHVSITLQYDEYDQSFERELEKFKTKIDIVNLRTLMLFGKGNANMTFFKDLLKETRSLRVLFMHANSPESFPHDFFKLIHLRYLKLKIPYGVELSLPNAISRFHHLNFLDLGNSICILPKDMNRLFNLHLFLARKELCSNIPGIGKMKYLQRLEEYHVKKEDIGFDLSELGDLTELGGELTIFNLENVATTEEGNQAKLQLKRNLRRLTLIWGAVQQTTGSDELTLINIAGMRQFGPDFGGVTKKSFLHLKKIELVGLPELVEWVGGDHCHMFSKLLSIRCEDCPNLTVLLLPSFECSISDTKDINTIWFPNLCSLKIRNCPRLSLPPLPHTSMLTCVTVKEDDTDLMYFDGKSLRLNRYGSALAFHNLNKVEDMEIVDMPLVSWTGLQKLNSPRSMQSMGLLSNLTSLTHLELVNCDNLRVDGFDPLTTCNLKEMAVYNSKNHHPSIAADLFSVVAMMEVIPAGSFQQLEQLSVDSISAVLVAPICNLLASTLCKMEFPYDMWMESFTETQEEALQLLTSLQCLGFYVCPRLQSLPEGLHRLSSLRELIIHKCPEIRALPKEGFPASLRYVFAYEGISVDLKDQLKKLKASTPDRCSRSIPLFIEISKGKTARGCSIVAVGAASWLVGKVVTQLSDSLVEAYVASTELGLNMEQIKSDLMFTQGLLHEAQMRRDVSNPGLPGLLEILSKKADEAEDTLDELQYFIIQDQIHGTHEATPVVDSSIRGQALHGHHVLHRTVGNCLSCFSSSSTRDGAGDHVGKLTFNRVDMSKKIKSIIEGIDAACNHVSNLLKIIHPTVGRVLSLKRPPSSSTITQNELYGREDIFNQTLDDMCTIRSETLSVLPIVGPGGIGKTTFAQHLYNHKKTVAHFSKNKAWLQKSIEERLDPKRFLLVLDDMWKCNSEAEWGSLLAPFKTGEAKGSVVIVTTRFPSIAQMIKTTKPIELQALGILCYGCQNNKDADIGLKSNSIPQSIRHVSITLQDKYEEKLCSNIPGIGKMKYLRRLEEYHVKKEGIGFELSELGDLTELGGELKIFNLENVTTREEANEAKLMIKTNLKTLKLVWSVVQRTTRSDVLDGLQPPPNLKTLVIINHGGSLEELTLKSISGIHQFGPDFGGVTQKSFSHLKKVELINMPDLVEWRCTRNARAIFLYLPSCPNFSSLLRPSSECSVSHIQDINTTWFPNLCDLDIRSCPKLSLPPMPHTSTLTRVSVRKGDETLLYFREEELTAYHYDGALALHNLGKVKAMEIVDMLHFPLADLQNLNCLTRHSFEDCDNIDNTVVLHSVQSLYLDDCHLTGKSLTMVLSIFPALTYFNLRMFGENHDIEEIVLQAPITCSLCHMSISSSKNLVLPVEDGGGLQELSSLQSLRISDCGRMFSRWCMVDAGARTSKPLPSSLRELSICRESSMQSMALLSNLTSLTHLTLLECEDLTVDGFDPLITLNLKELVVLNNCYENNYSCSIAADLLSEMTRTKVLSAGSLQLEALKVDNISGVLVPSICSLLAANLHKLIFKSDLQMESFDEEQEHALQLLTSLKQLYFADCPSLLSLPDGLHHISSLQKLHIYNCPKIGFLPKEGFPSSLRDLVLSECSVDLQDQLKKLETSNPDLFVHHMR >ORUFI02G11360.1 pep chromosome:OR_W1943:2:8499583:8516006:-1 gene:ORUFI02G11360 transcript:ORUFI02G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGDHLTTCWDSEPRIQPLIKHRLLEFIKEASSKIYIFEMEENSRVPQPDGESEGGEEDEHDGESEGGEEDKNDGDGGGQTRRMGKRKTIVQKGMLGKPEPSEKTRGVSQRAKKRSIGDDHADDIEEPPPKRSRSKQESSRASPMKLIKLYPHMSGEQKRLIEGAGFHGLVDLKCSKLRPDLCSWLMEHFNPATNQLVFPGRGAIDVNEESVKSVLGIPMGDKDVSYEMESEATEFVLNLLGINDGISPSLTSLGIQLEKLKLADDKYLRMWIIYAISSVLAPTTATTVSPRCYPSVVDAGNVKNLNWCKFIISILQKAAKAGKNTNSACLLYMMILYLDSLSFKNLNVPVEGYRATVWTNEMINQAILADTSADGSFGALPLRSSFQHEGTNLFGSNVDFSTFINSNVPNTMQQQEKDRIVIAVQNLCEGFSDVTSSDDEDFVADEEEVEDTEEDEYTDEDGDSDDDEDEGDEDDDDEGEEDDDEDRSENDDDDGAKTGRSGEQADAATDVTGCKGDDTNEGIGSGGKDVDGVTECKGDDTNEGIGCGGKGADDVIGKGKQVDEGIGFGDKKKHEEKQAPNAVAQNVPESEKQPVQNAXEVEEETPVPHEYNKRVVKPAKFKRSPLIDYENKKQFMVSRVINEVYDDICKNGGRTKSRRNSQKIIDTGEYYIYLSDLANSVKPMGSLDNNTCELALIVLSTDIKDNSKRIFPARIGGYLLDSQLDRNELKKHFDQTRANHLDHKELELGNGNDKASHYFVVCLNLKAERFEVYDSLRGEDDEALISASHLVVASIKTMWDRFYMSKVEEDQESSRASPMKLIKLYPHMSGKQKRLIEGAGFHGLVDLKCSKLRPDLCS >ORUFI02G11370.1 pep chromosome:OR_W1943:2:8516613:8516984:1 gene:ORUFI02G11370 transcript:ORUFI02G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDEDSGPARWMWARMTGWTPVTTAAAEDEDSGGRAGDDGSHGVADAGGRAGREPQRRRPPPPWTRSAAEAVAVGKGHCQGEQRRWRRRPWRTRVATTVDEGDGDAGHGARGGGPVERDDGR >ORUFI02G11380.1 pep chromosome:OR_W1943:2:8524859:8535497:-1 gene:ORUFI02G11380 transcript:ORUFI02G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRIVTADGIGVDAASLVRCVQDAIFGLGVEIPLGKGNTPPVRSPPEPRAGSPQICPPRIHAPACALACSPRICRPRRGNQRSFTSGFIHAPTAADFLSHPVPIHLRKERRCRGKVEEDVIDAYIMLIKEMQCESPRENGTAFLESTAHCQAWKTNGEQIGTRNEQYQDKRAKVTAKYLQHDMDTTTTFKHKVAANLINSTMNESLIDRGGY >ORUFI02G11390.1 pep chromosome:OR_W1943:2:8529996:8530817:1 gene:ORUFI02G11390 transcript:ORUFI02G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLVKERWFPRRGRQMRGEQASAQAGAWMRGGQIWGEPARGSGGLRTGGAALAEGRKEKRLGEKAAPHGGRKRERAKRRRGGATTFSVFQSYPSNMDGWIYLCTSQYQYLPVQVAGWEQRSSSRIHESSNLDQLQKCIAQRLKSKRFLLVLDDIWKCSSEDEWKCLLSPFTKGEARGSVVLVTTRFPYIAQMVKTTNLIELQGLEHNEFFAFFEACIFADCKPEIYVDDLIDIAKEISMKLKGFPLAAKTVGRLLKKNLSQECWIEVLERNE >ORUFI02G11400.1 pep chromosome:OR_W1943:2:8531598:8533127:1 gene:ORUFI02G11400 transcript:ORUFI02G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCSNIPGIGKMKYLQWLEEYHVKKEDIGFELSELGNLTELGGELKIFNLENVATREEANDAKLISKRNLKALTLVWSAKQLSIGSGVLDGLQPHPNLRALDIRNHGGSTGPTWLCGDVCVKGLKSLHLEGLSWGTFPPFGQLMHLEELTLINIAGIRKFGPDFGGVTQKSFLHLKKIKFVGMPELVEWVGGAHWHLFSKLASIRCEDCPNFSVLLLPFSKCSVICTQDINTVWFPNLCSLEIRNCSKLSLPPMPHNTMLTRVTVKEDNQAKLHFEEETLRIDGYGGALAFHNLDKVKDMTIENMFHISLTDLQKLNSLTSLDVTGCKSMLSSEVDNGFIFCSVQQLNLRDCHLTEKSLGKLLNCFPALTHFFLSMFPESEEEEEVVLRFLSPSSLHVASISNHRNLVLPVEDVDGGCLQHLSSLETLEIDGCGNMFSQWSMVEAGAQTRKPFPTSLKELSIVGESSMQSMAPLSNLTSLTSLTLKHCVNLTVDGFNPFITYSQYSYK >ORUFI02G11410.1 pep chromosome:OR_W1943:2:8548039:8552223:1 gene:ORUFI02G11410 transcript:ORUFI02G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAVGAASWLLGKVVTQLSDGMVAAYVSSTELGLNMEQIKTDLAYTQGLLDAAEERDVRNNHGLRVLLEILTKQADEAEDVLDELQYFIIQDQIDGTHEATPMVDDGLRGQVLIHGRHALHHTTGNWLSCFCCSSARDDADDPHDIPKSHSDVPDHVSKLTFNRVDMSNKIKLVIEGIHASCTPVSNLLKIIHPAVGRALPPKRPPTSSTITQDKLYGRENIFNQTLDAMTNFTIHSRTLSVIPIVGPGGIGKTTFAQYLYNDKTIEAHFSIKVWVCVSTHFDVVKLTQEILKCIYHAENEGSRRVDELSNLDQLQITIAQRLKSKRFLLVLDDMWKCGSEAEWGSLLAPFSKGDAKGSMVLVTTRFPSIAQMVKTTKPIELQGLGDSEFFTFFEECIFGHDKPEYYEDNIIDIARKISKKLKGFPLAAKSVGRLLKYRISQERWIEILERNEWQHQTNNDDIMPALQISYDYLPFYLKRCFSYCALYPEDYHFNNIEISHFWEALGIIDSGSHKNRAEDIGLKYLDELEGNGFLVKKVDDRTGRQYYVMHDLLHELAQNISSQECINISSYSFRSDNIPWSIRHVSITLQDNYEDSFEREMENLKRKIDIGNLRTLMLFGEGNASMLILFKDLLKETKRLRVLFMHANSLQSFPHNFSKLIHLRKELCSNIPGIGKMKYLQRLEEYHVKKRDIGFELSELGDLTDLEGELKIFNLEKVATREEANKAKLMSKRNMKKLELAWGMVQRTTRSDVLEGLQPPSNLKALVIKNPGGSIGPSWLCGNICVNYLKSLHIEGVSWGILAPFGQLMQLEELTLNNIPSTRRFEPNFGGVTQQSFSHLKKVEFVDMPELVEWVGGAHCHLFSKITSIRCENCPNLSMLLVPSSRFSVSYAQDINTRWFPNLCSLEIENCPKLSLPPIPHTSMLTCVIVSERKTDLLRLQENKLISHGYRGALVFDNLDKVEDMSIEEMPHVSLTDLQKLSSLTRLAVKGCESMLFSEVEEGVIFPSVQQLEISDCRLTRNSLTKLLNRFPALTEFHLIFSSFEVGEEAVLQLPSSNLLSYVRIWCCKNLVLPVADGGGLHDLSSLQEVEIRGCGKMFDRCSMVEAGARSNKFFPASLRELNISDELSIQSMALLTNLTSLTHLTLINCDNLTVHGFDPLITCSLKELVVYKKADDEIHLYSLADDLFLEVATRMTKVIPAGGSYFQQLEKLEVDSISAVLVSPICSLLAANLRELRFRYDLWMESFTEEQEEALQLLTSLQCLKFRKCLRLQSLPEGLHCLYSLYKLNIAGCPEIMSLPKDGFPVSLERLRIRDCSIDLMVQVKELEASNPDLHIRLH >ORUFI02G11410.2 pep chromosome:OR_W1943:2:8548039:8552547:1 gene:ORUFI02G11410 transcript:ORUFI02G11410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAVGAASWLLGKVVTQLSDGMVAAYVSSTELGLNMEQIKTDLAYTQGLLDAAEERDVRNNHGLRVLLEILTKQADEAEDVLDELQYFIIQDQIDGTHEATPMVDDGLRGQVLIHGRHALHHTTGNWLSCFCCSSARDDADDPHDIPKSHSDVPDHVSKLTFNRVDMSNKIKLVIEGIHASCTPVSNLLKIIHPAVGRALPPKRPPTSSTITQDKLYGRENIFNQTLDAMTNFTIHSRTLSVIPIVGPGGIGKTTFAQYLYNDKTIEAHFSIKVWVCVSTHFDVVKLTQEILKCIYHAENEGSRRVDELSNLDQLQITIAQRLKSKRFLLVLDDMWKCGSEAEWGSLLAPFSKGDAKGSMVLVTTRFPSIAQMVKTTKPIELQGLGDSEFFTFFEECIFGHDKPEYYEDNIIDIARKISKKLKGFPLAAKSVGRLLKYRISQERWIEILERNEWQHQTNNDDIMPALQISYDYLPFYLKRCFSYCALYPEDYHFNNIEISHFWEALGIIDSGSHKNRAEDIGLKYLDELEGNGFLVKKVDDRTGRQYYVMHDLLHELAQNISSQECINISSYSFRSDNIPWSIRHVSITLQDNYEDSFEREMENLKRKIDIGNLRTLMLFGEGNASMLILFKDLLKETKRLRVLFMHANSLQSFPHNFSKLIHLRYLKLEIPYDVELSLPNAVSRFYHLKFLDLGYSKCILPKDINHLVNLCLLNARKELCSNIPGIGKMKYLQRLEEYHVKKRDIGFELSELGDLTDLEGELKIFNLEKVATREEANKAKLMSKRNMKKLELAWGMVQRTTRSDVLEGLQPPSNLKALVIKNPGGSIGPSWLCGNICVNYLKSLHIEGVSWGILAPFGQLMQLEELTLNNIPSTRRFEPNFGGVTQQSFSHLKKVEFVDMPELVEWVGGAHCHLFSKITSIRCENCPNLSMLLVPSSRFSVSYAQDINTRWFPNLCSLEIENCPKLSLPPIPHTSMLTCVIVSERKTDLLRLQENKLISHGYRGALVFDNLDKVEDMSIEEMPHVSLTDLQKLSSLTRLAVKGCESMLFSEVEEGVIFPSVQQLEISDCRLTRNSLTKLLNRFPALTEFHLIFSSFEVGEEAVLQLPSSNLLSYVRIWCCKNLVLPVADGGGLHDLSSLQEVEIRGCGKMFDRCSMVEAGARSNKFFPASLRELNISDELSIQSMALLTNLTSLTHLTLINCDNLTVHGFDPLITCSLKELVVYKKADDEIHLYSLADDLFLEVATRMTKVIPAGGSYFQQLEKLEVDSISAVLVSPICSLLAANLRELRFRYDLWMESFTEEQEEALQLLTSLQCLKFRKCLRLQSLPEGLHCLYSLYKLNIAGCPEIMSLPKDGFPVSLERLRIRDCSIDLMLSSTIASSGTFQSSSIIV >ORUFI02G11420.1 pep chromosome:OR_W1943:2:8554608:8556422:1 gene:ORUFI02G11420 transcript:ORUFI02G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKLLALLLPGPTFLHGMTKLPSSLSLPLSSSFNSEAATEALQRCVGTGVGGGAWAMGAALQRRVGMGVGGGAWAMGGSVRVHAEDSGAWGWAWAAARGDRRRRRGSRVMRRRRAALRRALDSAGGGRSLGGRMATGVGGGARGRAAPTRAPRDAPAADGAEEGVGQHQGRTQLGAAGWRREMAEAARGRGLAPSGGDDGEQDGEDAPVEGGGGVTAAPLPLLHHNPASLIRGGAPARPPPWALLVRAASPCAKLAAHPSAELVARGRGWGEDVIAGGGRGGKEGGLGWGKNMTSGPEGIFDLLHCLSLLLNQKLIFYWLRCPLANNHILRVSFPKSDFVQCPTAKTTKSRCPVAKFAYFFLSM >ORUFI02G11430.1 pep chromosome:OR_W1943:2:8561962:8562715:1 gene:ORUFI02G11430 transcript:ORUFI02G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDVAENMPAPAPRLCYTIAGGGDDGGVEVGLHRVDDVLAELHQVEGLVDDRSRSRHRRRRRPKPRFEHGEMSIFSWGTEKVGIRSGRHSRRRGETKARKTLVPGQYPIGETGEDDGVDWRRREAYRVREGGGSIACERG >ORUFI02G11440.1 pep chromosome:OR_W1943:2:8568825:8574948:1 gene:ORUFI02G11440 transcript:ORUFI02G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGVASWLLGKVLTQLSSDLVAAYVASTELGLNVEEIKRDLKYTQGLLHMAQGRKDIRDNIGLQGLLQDLSKKADEAEDALDEIHYFIIQDQIDGTHEVIPEADGGLRGHALHGRHALRHTAGNWFSCFFCSSARARHDADDSQDTANSHGDVIDQVGNLPFNRVDMSNKIKSVVKGIHDLCTCISRLLQINQTAGEWDTSLERPPTSSTITQDKLFGREIIFRQTLHDLTNCNIPSGTLSVLPIVGPGGIGKTTFAQHLYNDKRTDAYFPIKVWVCVSTNFDVLRLTQEILKCIPHDKSAGSEAVHNSSNLDQLQKAIAERLKSQMFLLVLDDMWKCGSEAEWKNLVAPFTKGEAKGSVILVTTRFPSIAQMLKTTETIQLQGLEDSEFFTFFEECIFGQDKPECYKRDLIGIAKEISKKLRGSPLAAKTVDRLLKNNLSHECWTEVLEMDEWKNLQSNVDIMPALKISYDYLPYYLKKCFSYCALYPEDHRFNNLEITCFWEAIGIIDSGYQNDRAEAIGLKYLDELIGNGFLMKLEVPHNLEMSLPATICRFYHMKFLDLGHGNYILPDDINRLANLHYFLAKKELCSNIRGIGKMKYLRRLQEFHVKKERTGFELRELGYLKELGGALQINNLENIATTEEANGAELMLKRNLKTLELVWGAEQQNSGSEIIEGLQPPSNLRAFGIRNHGGSTGPSWLCDDICVKGLRSLHLEGISWGTLPPFGQFIYLEELTLINIAGIRQFGPDFGGVTQRSFLHLKKVRFVAMPELVEWVGGAHCHLFSKLASIECEECPNLSMLLPPSSERCISHAQDINITCFPNLCSLNIRNCPKLSLPPMPHTSTLTHIIVEGDYQEVLHLEEKILSVNGYGGSLAFHNLQKVENMKIEKMSHISWTDLQKLNSLSSLTIIGCKSLLCSEVDEGVIFHSVEQLNLYKCHLAGISLAKLLNCFPALTKFELNRSGEAQEVEEAELRFPSPRLLRYVKIFGYENLVLPMEVGGSFQDFSSLQELEFWRCGKIFASWFMVEAGTHHTSKPFLAPLKELTIYSESSVQSMAVLSSLTSLTRLRLVDCDNLTVVGFDPVMTGSLSELVIYXTSSLSELVIYNKPDIDDNNHRCSVAAHLFAAVSTTKAIPVGSFQQLKKLEVDSISAVFVAPICSLLASTLYRLRFTYDLWMKNFTKEQEQALQLLTSLRNLEFYECHRLQSLPEGLHLLSSICTLGIVGCPEIRSLPEEGIPASLKKLLAMRCSVDLKDQLKRLEESNQDLQVFYI >ORUFI02G11450.1 pep chromosome:OR_W1943:2:8601007:8603656:-1 gene:ORUFI02G11450 transcript:ORUFI02G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWDAAEAEEVLERIWDLHDRLSDAILAVSRAHFLLPPPPPPPPPPPSQPSAPPAREGRNGYVFVKGLKGGGGGGGGGVGDGVGVGSAKAAAAEAMAEARSLHAIRTALEDLEEHLEFLHTVQSQQRAEQDAAIARLEQSRLVLAMRLAEHQGKKYRVIDEALAFVGEVGDKSRFISPEDVRATHNQSGEDVMDSGSNGSSIMGNVLSCSLSLAKNSFRVDKIGSALGNAAAFAVTASSCLWKQISSNGLQEAQLPFWELTTEWQRKATRSVPSQRLRNHQPYGY >ORUFI02G11460.1 pep chromosome:OR_W1943:2:8608239:8609269:1 gene:ORUFI02G11460 transcript:ORUFI02G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKDVAVIAGKEEVGDEATAAAALIADKEEVGDEATASATVNGPDKGTRRKVRVMVSVVHEVKAAETVGEGHPPVPQGKIRLSQQTIDAILATKTMHYSTDALEYYRIKKDYEMLRLMHSCMRTHDEFQKRIVKRKAWIRNELEKKGEIHLTLVTEEEESDNDGDDEDDFSEGEKESEEDDDDNGDDEEEVSNDDTTEEVSDEEEVSNDDTTEEEDDLEDDDKSSSDDDEAPNESSTTY >ORUFI02G11470.1 pep chromosome:OR_W1943:2:8611700:8614446:1 gene:ORUFI02G11470 transcript:ORUFI02G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPALIFAMDPGSLPHIFLMTAMASHDAQKLPPPLSIGSLTFSFRVTPQVDRTPVQWHHMQLEVLRMMKGRYCAKFIPNQNHPFPIRIVMLSALSKHNPTIDAGQYPQGPYMQYSLIDSFLAYEQKGLRADTLSAAKRWPGNGSAGGWRRSQSGNVSGVGVGPDGCAKGAGGGGSSSSLPVSTLTLPGAPPLLCGEFLSWIEVAARQRGKLRLLKQCHPIPDSPSARSGEEAGGWWNGEVLGQLSGMVVR >ORUFI02G11480.1 pep chromosome:OR_W1943:2:8618557:8620085:-1 gene:ORUFI02G11480 transcript:ORUFI02G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARAPLGVVAVVAVLVVGIFMPAAAQAPAPAPVSDGTSIDLGIAYILMLVALVLTYLIHPLDASSPYKLF >ORUFI02G11490.1 pep chromosome:OR_W1943:2:8644153:8647035:1 gene:ORUFI02G11490 transcript:ORUFI02G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELCGNNWDLDAVVRLGCCRRRISPAAVAQQVDPFASFLQQGVAMEVAAEKEVGVEAAWSFPELTVRDGGGGGLGRDADELLKAFCAAFPSSSSSKSSPLPTPPPPPPTQPQPEQQKPVTVQENLPAPTTAPARASQPAAARQVPAGGVPRSKRRKNQQKKVVRHVPADGVSADVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRSDPNTFILTYTGEHNHSAPTHRNSLAGTTRNKLPSSSSASSASAQPQPPPPSVVVVGAGGGGAEAAGLSPTTPLRTPSMEEDEEEEEEEELLVEDMEMAGEDELLFLNGGDDNAALDGTPMSSLFDIADEPFLPSPWTEPTAAGS >ORUFI02G11500.1 pep chromosome:OR_W1943:2:8647066:8647839:1 gene:ORUFI02G11500 transcript:ORUFI02G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDDSGIADVASLGDGDAITTEDDGGGADPVRGDGGGGCSDAVRGGGSGSNAARGGGGCTDAARGCAVAASGGNDCTVVAYVGVGGVSLVLLLHLVQVRSSPTLCYREQFIRRWLCSSLHQGGWIWDPSSSLSPGSGIHAGCAIRVELKLLRFNGELRGEVWLSPVKLPPKSTAQQQISNLCSFCGGDRRGLTVRQAVCMLKETQGCNRHGFAAAPCRFAPSALSSFRRLFVFLFQFSILCILLSLLTMFGGLPS >ORUFI02G11510.1 pep chromosome:OR_W1943:2:8656190:8661033:-1 gene:ORUFI02G11510 transcript:ORUFI02G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLALGDLHVPHRAADLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKSLCPDLHITRGEYDEDARYPETKTLTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATMHA >ORUFI02G11520.1 pep chromosome:OR_W1943:2:8678998:8679404:1 gene:ORUFI02G11520 transcript:ORUFI02G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNLPHKDFITAVQEQLMGFINEEVLNPDGEFYYDGSTIHNVGPSSSDIMPASKS >ORUFI02G11530.1 pep chromosome:OR_W1943:2:8680197:8688442:1 gene:ORUFI02G11530 transcript:ORUFI02G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSLALGIKSENNGKRDYIGTETGQALHKQDSKVLTKKTIKLDAPPCSKRPKLEPVQITRETESKSHDFLLQKNVPELMQCTPSEKSRLLKQKRIYDPKRIDKKNFRSGARSKYDCFTSRASLGNFDPCLGNNTLGTHGLRSDIRDITNHIENLSLSELLNGTYKYSSLGREKGKKVLRTKDELLVSVRKAFSMLSGRDSYSKDPNFLLSPKLPTASTSSCDGKDQCTDKPMKGPSQMEVCDSTIHCPKDILNRLTLPQGQDLDSLLSPGCESSAAVKPSLPSVTTHGASLPPFPWSHSQAGGYRPGADCGKHGSSRSNTQWQWVRVGSNLTALDSEDPSVHKIDDLLQEMDTAKTSIMDSYGKQSSLCCTESTSGSLGQIIQSRKKLNGHNPQQLFSMDNVDSSDSFQKNDNESFLLRTPQASPKVLQAAEILCDMRSSMDVWSPQVFSNGTIKWPKSPSEKVMKARKPSSPFGTAESSSGSRNSDAARAGNNHSTKKIVDRKNDSVHMNNPGKGSIRWPVPIEDGASPVKSERGLVLDMRQNHVNAARHPNQVSSQAKEYENQQKLRKATLTSSLGSAGDWNRDRNKRM >ORUFI02G11530.2 pep chromosome:OR_W1943:2:8682712:8688442:1 gene:ORUFI02G11530 transcript:ORUFI02G11530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSLALGIKSENNGKRDYIGTETGQALHKQDSKVLTKKTIKLDAPPCSKRPKLEPVQITRETESKSHDFLLQKNVPELMQCTPSEKSRLLKQKRIYDPKRIDKKNFRSGARSKYDCFTSRASLGNFDPCLGNNTLGTHGLRSDIRDITNHIENLSLSELLNGTYKYSSLGREKGKKVLRTKDELLVSVRKAFSMLSGRDSYSKDPNFLLSPKLPTASTSSCDGKDQCTDKPMKGPSQMEVCDSTIHCPKDILNRLTLPQGQDLDSLLSPGCESSAAVKPSLPSVTTHGASLPPFPWSHSQAGGYRPGADCGKHGSSRSNTQWQWVRVGSNLTALDSEDPSVHKIDDLLQEMDTAKTSIMDSYGKQSSLCCTESTSGSLGQIIQSRKKLNGHNPQQLFSMDNVDSSDSFQKNDNESFLLRTPQASPKVLQAAEILCDMRSSMDVWSPQVFSNGTIKWPKSPSEKVMKARKPSSPFGTAESSSGSRNSDAARAGNNHSTKKIVDRKNDSVHMNNPGKGSIRWPVPIEDGASPVKSERGLVLDMRQNHVNAARHPNQVSSQAKEYENQQKLRKATLTSSLGSAGDWNRDRNKRM >ORUFI02G11540.1 pep chromosome:OR_W1943:2:8688670:8689275:1 gene:ORUFI02G11540 transcript:ORUFI02G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDAAKSPSPRLRVALLACGIASAAVAAAVLLAVTLTVFRVREPVMTMNAISVKGFGGAAPGGGGGSSPLWMTVVADVSVRNPNAASLRYAGSKTAVYYRAARVGGASGPPGTARARRTVRLNVTVGVAVGALLGDPGFLGDVAAGAVAVTTATTVRGRVAVLGGVVRRRVVLEMNCTATVAVADMSIRNQSCLQRVWL >ORUFI02G11550.1 pep chromosome:OR_W1943:2:8691352:8694511:1 gene:ORUFI02G11550 transcript:ORUFI02G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAGEAAPQADGVSEEAAARPRGFRLLGEDKSVHQALGGGKAADVLLWKDKKTSAAVIGGATVLWVLFEVVDYHFLTLISHVLIGVLAILFLWSKATIFIKKSPPDIPEVKISEDLAVNVALALRTDINQALHLLREISLGHDLMKFLGVIVALWILSEIGSLCDFLTLFYVAVLMLHTVPILYHKYQDKVDHFAGKAHVELSRQYSVLDAKVLSKIPRGPAKDKKQN >ORUFI02G11560.1 pep chromosome:OR_W1943:2:8697913:8700892:1 gene:ORUFI02G11560 transcript:ORUFI02G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAMVAPSISSVARQPQRFPAPSTSGNSKFPLFKTSCLPMSHGLVSNTAVASRPNDGAAKIIQPVVKMCGITSAKDAETALEAGAKLIGMILWPNSKRSVALSEAKEISRVAQSYGAESVGVFVDDDEETILRVSDSCDLNLVQLHGDESRSLLHVLSKNNRIIYVLNADDDGKLINAPPDEKYELDWFLVDSAKGGSGKGFNWQKFQMPSVRSKNGWLLAGGLHADNVCEAFYALKPNGVDVSSGICAPDGIRKDPTRIYSFMRNVKSLGRSQ >ORUFI02G11570.1 pep chromosome:OR_W1943:2:8701860:8705173:-1 gene:ORUFI02G11570 transcript:ORUFI02G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQVGAPFNQHLLSFPGGVPRPRLPILPTPGMPLGVPQVPGAPLMPGVRPPILPAPGIPGYPGAPTMPQAGAPPGSMPPGSMQPGSMPPGSMPMQMAPLPRPPTLPPPTSGAPGAPIPNSGAPPAMYQTNPPQPAGPTSGAPPPVAAPPPAAPPQAPFSYAQPPEGNH >ORUFI02G11580.1 pep chromosome:OR_W1943:2:8705402:8711986:1 gene:ORUFI02G11580 transcript:ORUFI02G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAPCLLAARGIASSPHLARRLKQTENEIVQMFRTPSPRSEDAVAALSPRYTNSMRVLDERFIRILKIFKWGPDAERALEVLMLRVDHWLVREVMKTDVGVNVKMQFFRWAAKKRNYQHDTSTYMALIHCLELVEQYGEMWKMIQEMVRNPICVVTPMELSQVIRMLGNAKMIGKAITIFYQIKARKCQPTAQAYNSMIIMLMHEGQYEKVHELYNEMSNEGHCLPDTVTYSALISAFCKLSRQDSAIRLLNEMKENRMQPTAKIYTMIISLFFKLDNVHGALSLFEEMRYMYCQPDVFTYTELIRGLGKAGRIDEAYHFYHEMQREGCKPDTVVMNNMINFLGKAGRLDDALKLFEEMGVSQCIPSVVTYNTIIKALFESKSRVSEVFSWFERMKGSGISPSPFTYSILIDGFCKTNRIEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLACELFQELKENCGSSSARVYAVMIKHLGKAGRLDDAINLFDEMSKLGCTPNVYAYNALMSGLARACMLDEALTTMRKMQEHGCLPDINSYNIILNGLSKTGGPHRAMEMLTNMKSSTIKPDAVSYNTVLSALSHAGMFEEAAELMKEMNALGFEYDLITYSSILEAIGKVDQE >ORUFI02G11590.1 pep chromosome:OR_W1943:2:8710009:8713517:-1 gene:ORUFI02G11590 transcript:ORUFI02G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPGSQNGRPRPAKAETIHGLVRAGDLAGVQRKLQENPALINDRNPVMSQTPLHVAAGYNNTGIVKFLLDFQGTDKVELEAKNMYGETPLHMAVKNSSCESAKLLLERGAHIEAKANNGMAPLHLAVWHALQSGDCSTCTESCSHHTLPHQEGKIPSNHIPGGAGNEKLQKLLTRHMEEQRKRKALMSCREGKAMAEFEEAISQIVGLQDLKMQLRRWARGMLFDEKRRAMGLGIASRRAPHMAFLGNPGTGKTMVARILGKLLHMVGVLPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQDAEGGILFVDEAYRLIPMQKSDDKDYGVEALEEIMSVMDNGKIVVIFAGYCEPMKRVIASNDGFCRRVTKFFYFDDFTTTELAEILHLKMNNPTESSLLYGFKLDPSCSIEVVGELIARETTEQRRKQMNGGLVDTLLINARENLDLRLDFNCDDANTMITITLEDLEAGLKQISKQRQLQ >ORUFI02G11600.1 pep chromosome:OR_W1943:2:8720329:8728495:1 gene:ORUFI02G11600 transcript:ORUFI02G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLLLLLLPVRSAPLRRLLLCRCSSSSSSAAAASASSSACPVPASSVLAPYHRAFARRMALAGVHPHHRVAVGVSGGPDSMALCVLAAAWKKAGEGREQEDEGEGGVSGFVDGLLGVVVDHGLRPESADEAQLVRDRVRGMGVVCEIATCEWPNGRPKLGHIQEAAREMRYQKLLDICIKQRIAVLLIAHHSDDQAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKYDGDNFSRYGVILVRPMLEFSKDDMYKSELHKLIYACRLTRAFIDNACSMVLKKSLTIMEHGYAVIDLEKLDPHNVDDLFLSRYLAYVLQFVSQRHRPLRGRSAQLLIDYIRTIPCKAALSVAGCYLCAVPRSKGTKVLVCCSVDLMESSSVHMSYKCSYVKQPPPVSEINQIVTEARIYSDQFRQNCPNTPFPSSKFSTDVLNKAKDLKLIGDCTLEKLNYLQTDEHQKFITTKEHGQEQYLEKTSFPYLEVLNLWPGETCHFMGRFLITWRTSEVVVNGMCLHDSQKHTCQYCMVNQDGSLAIRHMFDTDWLFLAEVCKIHSLEENKNYSNALCDKLEDAKLVQHSRYLQLSAMKSLQILRSIPAPARRMLPVLTNSQGAVLSIPCQPGVAEAIATFGTRQVEMKVPLDHCESNFVSAGGGSSA >ORUFI02G11600.2 pep chromosome:OR_W1943:2:8720329:8728495:1 gene:ORUFI02G11600 transcript:ORUFI02G11600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLLLLLLPVRSAPLRRLLLCRCSSSSSSAAAASASSSACPVPASSVLAPYHRAFARRMALAGVHPHHRVAVGVSGGPDSMALCVLAAAWKKAGEGREQEDEGEGGVSGFVDGLLGVVVDHGLRPESADEAQLVRVVCEIATCEWPNGRPKLGHIQEAAREMRYQKLLDICIKQRIAVLLIAHHSDDQAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKYDGDNFSRYGVILVRPMLEFSKDDMYKSELHKLIYACRLTRAFIDNACSMVLKKSLTIMEHGYAVIDLEKLDPHNVDDLFLSRYLAYVLQFVSQRHRPLRGRSAQLLIDYIRTIPCKAALSVAGCYLCAVPRSKGTKVLVCCSVDLMESSSVHMSYKCSYVKQPPPVSEINQIVTEARIYSDQFRQNCPNTPFPSSKFSTDVLNKAKDLKLIGDCTLEKLNYLQTDEHQKFITTKEHGQEQYLEKTSFPYLEVLNLWPGETCHFMGRFLITWRTSEVVVNGMCLHDSQKHTCQYCMVNQDGSLAIRHMFDTDWLFLAEVCKIHSLEENKNYSNALCDKLEDAKLVQHSRYLQLSAMKSLQILRSIPAPARRMLPVLTNSQGAVLSIPCQPGVAEAIATFGTRQVEMKVPLDHCESNFVSAGGGSSA >ORUFI02G11600.3 pep chromosome:OR_W1943:2:8720329:8728497:1 gene:ORUFI02G11600 transcript:ORUFI02G11600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLLLLLLPVRSAPLRRLLLCRCSSSSSSAAAASASSSACPVPASSVLAPYHRAFARRMALAGVHPHHRVAVGVSGGPDSMALCVLAAAWKKAGEGREQEDEGEGGVSGFVDGLLGVVVDHGLRPESADEAQLVRDRVRGMGVVCEIATCEWPNGRPKLGHIQEAAREMRYQKLLDICIKQRIAVLLIAHHSDDQAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKYDGDNFSRYGVILVRPMLEFSKDDMYKSELHKLIYACRLTRAFIDNACSMVLKKSLTIMEHGYAVIDLEKLDPHNVDDLFLSRYLAYVLQFVSQRHRPLRGRSAQLLIDYIRTIPCKAALSVAGCYLCAVPRSKGTKVLVCCSVDLMESSSVHMSYKCSYVKQPPPVSEINQIVTEARIYSDQFRQNCPNTPFPSSKFSTDVLNKAKDLKLIGDCTLEKLNYLQTDEHQKFITTKEHGQEQYLEKTSFPYLEVLNLWPGETCHFMGRFLITWRTSEVVVNGMCLHDSQKHTCQYCMVNQDGSLAIRHMFDTDWLFLAEVCKIHSLEENKNYSNALCDKLEDAKLVQHSRYLQLSAMKSLQILRSIPAPARRMLPVLTNSQGAVLSIPCQPGVAEAIATFGTRQVEMKVPLDHCESNFVSAGGGSSA >ORUFI02G11600.4 pep chromosome:OR_W1943:2:8720329:8728497:1 gene:ORUFI02G11600 transcript:ORUFI02G11600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLLLLLLPVRSAPLRRLLLCRCSSSSSSAAAASASSSACPVPASSVLAPYHRAFARRMALAGVHPHHRVAVGVSGGPDSMALCVLAAAWKKAGEGREQEDEGEGGVSGFVDGLLGVVVDHGLRPESADEAQLVRVVCEIATCEWPNGRPKLGHIQEAAREMRYQKLLDICIKQRIAVLLIAHHSDDQAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKYDGDNFSRYGVILVRPMLEFSKDDMYKSELHKLIYACRLTRAFIDNACSMVLKKSLTIMEHGYAVIDLEKLDPHNVDDLFLSRYLAYVLQFVSQRHRPLRGRSAQLLIDYIRTIPCKAALSVAGCYLCAVPRSKGTKVLVCCSVDLMESSSVHMSYKCSYVKQPPPVSEINQIVTEARIYSDQFRQNCPNTPFPSSKFSTDVLNKAKDLKLIGDCTLEKLNYLQTDEHQKFITTKEHGQEQYLEKTSFPYLEVLNLWPGETCHFMGRFLITWRTSEVVVNGMCLHDSQKHTCQYCMVNQDGSLAIRHMFDTDWLFLAEVCKIHSLEENKNYSNALCDKLEDAKLVQHSRYLQLSAMKSLQILRSIPAPARRMLPVLTNSQGAVLSIPCQPGVAEAIATFGTRQVEMKVPLDHCESNFVSAGGGSSA >ORUFI02G11600.5 pep chromosome:OR_W1943:2:8720329:8728495:1 gene:ORUFI02G11600 transcript:ORUFI02G11600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLLLLLLPVRSAPLRRLLLCRCSSSSSSAAAASASSSACPVPASSVLAPYHRAFARRMALAGVHPHHRVAVGVSGGPDSMALCVLAAAWKKAGEGREQEDEGEGGVSGFVDGLLGVVVDHGLRPESADEAQLVRDRVLFVRLLHVNGRMGDQSWGIFKRLPAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKYDGDNFSRYGVILVRPMLEFSKDDMYKSELHKLIYACRLTRAFIDNACSMVLKKSLTIMEAALSVAGCYLCAVPRSKGTKVLVCCSVDLMESSSVHMSYKCSYVKQPPPVSEINQIVTEARIYSDQFRQNCPNTPFPSSKFSTDVLNKAKDLKLIGDCTLEKLNYLQTDEHQKFITTKEHGQEQYLEKTSFPYLEVLNLWPGETCHFMGRFLITWRTSEVVVNGMCLHDSQKHTCQYCMVNQDGSLAIRHMFDTDWLFLAEVCKIHSLEENKNYSNALCDKLEDAKLVQHSRYLQLSAMKSLQILRSIPAPARRMLPVLTNSQGAVLSIPCQPGVAEAIATFGTRQVEMKVPLDHCESNFVSAGGGSSA >ORUFI02G11610.1 pep chromosome:OR_W1943:2:8732318:8735000:-1 gene:ORUFI02G11610 transcript:ORUFI02G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCPSELNFEAFFHGERGEDDADAAADQKPGGGPHPPPFAMFSAADLSSFGFADSVTQSTITGVIPNHIWPQSQSLNARHPAVYTIESQSSICAAASPTSATTLNMKESQTLGGTSGSDSDSESLLDIEGGPCEQSTNPLDVKRMRRMVSNRESARRSRKRKQAHLADLETQVDQLRGENASLFKQLTDANQQFTTAVTDNRILKSDVEALRVKVKMAEDMVARGALSCGLGHLGGLSPALNPRQGACRVPDVLTGLDYAGDDPFTGLSPPEQVQMPGGGEVGDAWGWDNHSNGAMSK >ORUFI02G11630.1 pep chromosome:OR_W1943:2:8740889:8746703:1 gene:ORUFI02G11630 transcript:ORUFI02G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIHFFPATSQAAAPSRRPLTKPSPKPPAATAAYHRGGDNEPVLFPRLFLRRRARPAAAPAESPPVGPDGSSSGGGGGGGEGGGGGGDDEEDEGTRKGLLPEWLSVTTDDAKTVLAAIAISLAFRSFVAEPRFIPSLSMFPTFDVGDRIVAEKVTYYFRKPCINDIVIFKSPPVLQEVGYTDNDVFIKRIVAREGDVVEVHKGKLVVNGEVRNEEFILEPPSYDMNPVQVPENSVFVMGDNRNNSYDSHVWGPLPSKNILGRSIFRYWPPGRIGSTTTDCLIPETKLAY >ORUFI02G11640.1 pep chromosome:OR_W1943:2:8747796:8749404:1 gene:ORUFI02G11640 transcript:ORUFI02G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVARMLAAVVCAIMAAAAMAAGGVGALEPSGWVRAHATFYGGADASGTMGGACGYGNLYAQGYGTRTAALSTALFNDGLACGQCYKLVCDRKTDRTWCKPGVSVTITATNFCPPNWDLPSDSGGWGNPPRPHFDMAQPAWEKIGIYRGGIIPVIYQRVPCMKKGGVRFTINGHDYFQLVLLTNVGAAGSIKAMDVKGSKSPDWMAMAHNWGAQWHSLAYLTGQGLSFRVTITDGQTLVFPNVVRPGWRFGQTFASNLQFK >ORUFI02G11650.1 pep chromosome:OR_W1943:2:8758567:8759743:1 gene:ORUFI02G11650 transcript:ORUFI02G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLISDLPPELLPCIAGHLHAAVDVVRFHAVCREWRDALRYLPRRPSFLPWLLAPWPTPDDDTTTYHAPGLGIRDKRVAHYDGGASWFVGGLFVNPLTGRAAACAVDDPYLSDWIDNEGSRCIFSGDGTLLTCCFYDAGPPLSIYGAIWCPDYQQVWKRLGDADSDERACAVAYHDGAAVCVDLARCYVHEIGGPAAGDQTTFLPLPDEPGKVRRRSYLLELRGELLLASVLQDAGCTDDDDDDDDDRLSVSVHAFDLVAALNALDQLDAAVDGAGGGDPPSPSVWEKMDGATGDHVLFLGYPRSFAVEAARYGGEVPGGSAYFVGRSKPCRVYRCSFEDDGTAATLVDTLPAGWNDERCMWFLPEPDIAPVIGART >ORUFI02G11660.1 pep chromosome:OR_W1943:2:8761838:8764257:1 gene:ORUFI02G11660 transcript:ORUFI02G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVSDLPPELVCLIGRRLHTAINVVRFHAVCSDWRQSLRHIPPSPPPAAALLPWLPAPSSGDDADAASGVACRCVFSKTSYHAPGLCFRDRRVAHADGTASWFINDKLVNPLTGWINVGRVKKYPWMWTDGSKGFFHCVVSGDGSLLVYRLSPRWRSPDRLSITPTTAAPPATVLASPHAPMPLPDEPRKVRRRSYLLELRGELLLASVLQDDDDDDRLSVSVHAFDVDAAVHALDPDAAEPPPVAARQRRRWWKRCPPDGTTREEQEEDAAARAYQQRRQHQQRDLRIHVSDLSPQVDSCRLREMYSEHGKVVRAGEGRVRQAGGDREGLGWLAVGCNLIPVGQILLPTRFPNRHYEDGIDEDSKVTGIFEEDLPGELLPMPDPSWERPLKPHPLREPSPTPHPPASDAVAHAATDTAGSIFAFCHYRLSRSKGKGAEEERRRG >ORUFI02G11670.1 pep chromosome:OR_W1943:2:8765612:8771509:1 gene:ORUFI02G11670 transcript:ORUFI02G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRAFALVFFAIAAVGCTQLTTADDAAPPVWQKAHATFYGGADASGTMGGGCGYGDLYSQGYGTRNAALSTALFNDGASCGQSPATVACDRKRAPQWCKPGVTVTITATNFCPPNWDLPSDNGGWCNPPRPHFDMAQPAWEKIGIYSAGIIPVIYQRVPCIKKGGVRFTINGHDYFNLVLVTNVATTGSIKSMDIMGSNSTDWMPMVRNWGANWHSLSYLTGQTLSFRVTNMDGQTLVFKNIVPSGWKFGQTFTSKLQFNALMAAAQDAPSPPTPMAPSPSTDETPPVWLKAHATFYGGADASGTMGGACGYVDLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPQWCKPGVTVTVTATNFCPPNWNLPSDNGGWCNPPRPHFDMAQPAWEKIGIYRAGIIPVMYQRVPCVKKGGVRFTINGHDYFNLVLVTNVATTGSIKSMDIMGSNSTDWMPMVRNWGANWHSLSYLTGQMLSFRVTNMDGQTLVFRNIVPSGWKFGQTFASKLQFK >ORUFI02G11670.2 pep chromosome:OR_W1943:2:8765612:8771509:1 gene:ORUFI02G11670 transcript:ORUFI02G11670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRAFALVFFAIAAVGCTQLTTADDAAPPDAPSPPTPMAPSPSTDETPPVWLKAHATFYGGADASGTMGGACGYVDLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPQWCKPGVTVTVTATNFCPPNWNLPSDNGGWCNPPRPHFDMAQPAWEKIGIYRAGIIPVMYQRVPCVKKGGVRFTINGHDYFNLVLVTNVATTGSIKSMDIMGSNSTDWMPMVRNWGANWHSLSYLTGQMLSFRVTNMDGQTLVFRNIVPSGWKFGQTFASKLQFK >ORUFI02G11680.1 pep chromosome:OR_W1943:2:8767101:8767754:-1 gene:ORUFI02G11680 transcript:ORUFI02G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREETVRFDGCCVEFDVCTLMRGAAEILGKGATATTYHVAMGGDDVIVDDAGVVEEGKAGEVVVMKRMRRREGTTREDERRKRELAREMGTWRHANVVSLCAFYASADELLLVFDYVPNGSLHSLLNENRGPARVPLEWQTRLKLAQDAAQGHAYLHGVSGGKLAHRHLTWRRRRPPAPTRRPPPRPP >ORUFI02G11690.1 pep chromosome:OR_W1943:2:8774245:8776847:1 gene:ORUFI02G11690 transcript:ORUFI02G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSAQVHLSSDVSLSLKAFCNTPGMVYIIQGRGSMGLTFPGCPATYQQQFQQFLPEGQSQSQKFRDEHQKIHQFRQGDIVALPAGVAHWFYNEGDAPVVALYVFDLNNNANQLEPRQKEFLLAGNNNREQQMYGRSIEQHSGQNIFSGFNNELLSEALGVNALVAKRLQGQNDQRGEIIRVKNGLKLLRPAFAQQQEQAQQQEQAQAQYQVQYSEEQQPSTRCNGLDENFCTIKARLNIENPSHADTYNPRAGRITRLNSQKFPILNLVQLSATRVNLYQNAILSPFWNVNAHSLVYIVQGHARVQVVSNLGKTVFNGVLRPGQLLIIPQHYVVLKKAEHEGCQYISFKTNANSMVSHLAGKNSIFRAMPVDVIANAYRISREQARSLKNNRGEELGHRDFAILAVGHYAK >ORUFI02G11700.1 pep chromosome:OR_W1943:2:8781985:8783003:-1 gene:ORUFI02G11700 transcript:ORUFI02G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARAFVLVLLAVASASTAAANTATTTPTNPVAAPTQWQKAHATFYGGADASGTMGGACGYGNLYSQGAPQWCKPGVTVTITATNFCPPNWNLPSDNGGWCNPPRPHFDMAQPAWEKIGVYSAGIIPVIYQRYQYKYSNYFGHYGENSAYPDRCFHMKISYSCDDFYRVPCVKKGGLRFTINGHDYFQLVLVTNVAAAGSIKSMEVMGSNTADWMPMARNWGAQWHSLAYLTGQGLSFRVTNTDDQTLVFTNVVPPGWKFGQTFASKLQFK >ORUFI02G11710.1 pep chromosome:OR_W1943:2:8794330:8795286:-1 gene:ORUFI02G11710 transcript:ORUFI02G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARPFALLFLAVTVGFVLLTAADDSANATATTTTAMAPSSSTDDAAPPVWLKAHATFYGGADASGTMGGACGYGDLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPQWCRPGVTVTITATNFCPPNWDLPSDNGGWCNPPRPHFDMAQPAWEKIGIYRACIIPVIYQRVPCVKKGGVRFTINGHDYFNLVLVTNVATTGSIKSMDVMGSNSTDWLPMVRNWGANWHSLSYLTGQMLSFRVTNMDGQTLVFRNIVPSGWKFGQTFASKLQFK >ORUFI02G11720.1 pep chromosome:OR_W1943:2:8797021:8797752:-1 gene:ORUFI02G11720 transcript:ORUFI02G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTQLCTRSIRVLGAAVDDDEPAAVPVWEKRDDVLGDHVLFLGYPGSFAVEAARFGGDVPGGSAYFVVRSEPCRVYRCSFVDSSGSPATTTTLVETLPAGWNDERCMWFLPEPNIDWIKVEEEAAAAPARRRRRRRELRIYAGDLSPQVDRLRLREMYSEHGKVVQARVVYDKRGRSRGFGFVTMATQEGFYRALGRCNAVEKPVIFLRRKSSLAFVCLSCLLSSLAYYTFANFCMLISCCIF >ORUFI02G11730.1 pep chromosome:OR_W1943:2:8807032:8812675:-1 gene:ORUFI02G11730 transcript:ORUFI02G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDAKPSAVHIPMPARDRDRDRDRELLLVPPAAVATSASASASAAGRDSDSDDESKPSSASAAAAAAQTGREAFHKVVHSWASKKFMTGCVILFPIAVTFYITWWFFRFVDGFFSPIYAHLGINIFGLGFVTSISFIFVVGVFMSSWLGASILGLGEWFIKRMPFVRHIYNASKQISAAISPDQNKHAFKEVVIIRHPRIGEYAFGFITSEVLLQDYSSEEQMYCVYVPTNHLYIGDIFLVNSSDVIRPNLSVREGIEIVVSGGMSMPQVLSIVETEQNQWSRMRSSRS >ORUFI02G11730.2 pep chromosome:OR_W1943:2:8807032:8812675:-1 gene:ORUFI02G11730 transcript:ORUFI02G11730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDAKPSAVHIPMPARDRDRDRDRELLLVPPAAVATSASASASAAGRDSDSDDESKPSSASAAAAAAQTGREAFHKVVHSWASKKFMTGWYVACFCAPRFWRIFAFVVQNLDPKLDRVILFPIAVTFYITWWFFRFVDGFFSPIYAHLGINIFGLGFVTSISFIFVVGVFMSSWLGASILGLGEWFIKRMPFVRHIYNASKQISAAISPDQNKHAFKEVVIIRHPRIGEYAFGFITSEVLLQDYSSEEQMYCVYVPTNHLYIGDIFLVNSSDVIRPNLSVREGIEIVVSGGMSMPQVLSIVETEQNQWSRMRSSRS >ORUFI02G11740.1 pep chromosome:OR_W1943:2:8830598:8831161:-1 gene:ORUFI02G11740 transcript:ORUFI02G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGGGDGGGGGGLEEEEGEALEGEVDEGAAGVGEEAAEVCAHHALPPHPVPLVELLQHQQTNNKSNPKSSPLIHPAQSNRLMHGRFNQIHGGFLGATCRLDVSGDGAAVGDVEEVERPRRRGRGRRLHPRRHVRVLHPRLPLQHYCRLLGAPTTQPLDRFLPILDSPDARNRTMLACTAAANEPE >ORUFI02G11750.1 pep chromosome:OR_W1943:2:8839707:8847631:-1 gene:ORUFI02G11750 transcript:ORUFI02G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRSAFCRSALLLVLLVLLPLSASASTKLYIVYMGEKKHEDPSMVTASHHDALTFVIGSKDGAMKSLVYSYKHGFSGFAAMLTESQAEELAKYPEVVNVKPNTYGKAHTTRSWDFLGLNYYEKSGLLQEAMYGEDVIIGVIDTGIWPESPSFSDDGYGPVPARWKGICQTGDAFNTMNCNRKIIGARWYSAGATDDMLKGEYMSPRDFDGHGTHTASTIAGGQVWNVSHHQGGLGTGVARGGAPRARIAVYKALWGEGGAFSYAAVLAAVDDAINDGVDVLSLSLGGPDEIPGTLHAVARGITVVFAGGNTGPAPQTLANTEPWVITVAASTIDRTFPTTISLGNNEKLLTIVRKLTNCNIYRACRDNLFTTMQHKINFTGNVVLLPVPYSNIGKDTISLLAKEGAKGIIFAQGNTFNLLETLDACNGIMPCAVVDKEIANRIASYATSTRHFFSLSSMPVVKVSPAVTVVGNGVLSPRVAGFSSRGPGTKFPGILKPDIAAPGASILAAVGDSYKFMSGTSMACPHVSAVVALLKSVHPDWSPAMIKSAIVTTASVTDRFGMPIQAEGSARKVADPFDFGGGHIEPNKAIDPGLVYDIDPKDYTKFFNCSLDPQKDCKSYMGKLYQLNLPSIAVPDLKDSVIVWRTVTNVGGSEANYKVVVEAPAGVNVVVEPQVITFAKGGSQSATFKVTFTARQRVQGGYTFGSLTWLDDNTHSVRIPVAVRTIIQDFVSDTA >ORUFI02G11760.1 pep chromosome:OR_W1943:2:8872756:8875391:-1 gene:ORUFI02G11760 transcript:ORUFI02G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPFLPTLHLILVLVVVLPIFADASSRLYIVYMGEKKHDDPSVVTASHHDALTSVFGSKDEAMKSIVYSYKHGFSGFAAMLTESQAEELAKLPGVVSVKPNTYHKAHTTRSWDFLGLNYYEQSNLLKKANYGEDVIVGGYGLHHEASKITAMALCPHDGRESARPVRSSTQRVARKIIGARWYSGDIPDELLKGEYKSPRDLSGHGTHAASTILGGQVYNVSHRQSGLAAGMARGGAPRARLAVYKACWGPKIDCGDASVLAAIDDAINDGVDVLSLSLGGYGEVPGTLHAVARGITVVFAGGNEGPVPQSVSNAVPWVITVAASTIDRSFPTVMSLGNKEKLVGQSLNYNATMNNSNFHMLVDGQRCDEDSLASVNITGKIVLCSAPLEAANSSPNSAFAATFVAVVKRRAKGLIYAQYSANVLDGFEDFCHLYLPASCVLVDYEIASRIASYAKSTRKSVVKISRVVSVVGNGVLAPRIAMFSSRGPSNEFPAILKPDISAPGVSILAAVGDSYKFMSGTSMACPHVSAVAALLKSVHPDWSPAMIKSAIHR >ORUFI02G11760.2 pep chromosome:OR_W1943:2:8872217:8875391:-1 gene:ORUFI02G11760 transcript:ORUFI02G11760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPFLPTLHLILVLVVVLPIFADASSRLYIVYMGEKKHDDPSVVTASHHDALTSVFGSKDEAMKSIVYSYKHGFSGFAAMLTESQAEELAKLPGVVSVKPNTYHKAHTTRSWDFLGLNYYEQSNLLKKANYGEDVIVGGYGLHHEASKITAMALCPHDGRESARPVRSSTQRVARKIIGARWYSGDIPDELLKGEYKSPRDLSGHGTHAASTILGGQVYNVSHRQSGLAAGMARGGAPRARLAVYKACWGPKIDCGDASVLAAIDDAINDGVDVLSLSLGGYGEVPGTLHAVARGITVVFAGGNEGPVPQSVSNAVPWVITVAASTIDRSFPTVMSLGNKEKLVGQSLNYNATMNNSNFHMLVDGQRCDEDSLASVNITGKIVLCSAPLEAANSSPNSAFAATFVAVVKRRAKGLIYAQYSANVLDGFEDFCHLYLPASCVLVDYEIASRIASYAKSTRKSVVKISRVVSVVGNGVLAPRIAMFSSRGPSNEFPAILKPDISAPGVSILAAVGDSYKFMSGTSMACPHVSAVAALLKSVHPDWSPAMIKSAIVTTGMYSCHTTSSVCISYMASVTDRFGMPIQAEGAPRKIADPFDFGGGQIDPDKSIDPGLVYDIDPKEYTKFFNCTLGPKDDCESYVGQLYQLNLPSIAVPNLKDSVTVWRTVTNVGGEEGTYKASIEAPAGVRMSVEPSIITFTKGGSRSATFKVTFTARQRVQAGYTFGSLTWLDGVTHSVRIPVVVRTIIQDFLSDTS >ORUFI02G11760.3 pep chromosome:OR_W1943:2:8872756:8874544:-1 gene:ORUFI02G11760 transcript:ORUFI02G11760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALCPHDGRESARPVRSSTQRVARKIIGARWYSGDIPDELLKGEYKSPRDLSGHGTHAASTILGGQVYNVSHRQSGLAAGMARGGAPRARLAVYKACWGPKIDCGDASVLAAIDDAINDGVDVLSLSLGGYGEVPGTLHAVARGITVVFAGGNEGPVPQSVSNAVPWVITVAASTIDRSFPTVMSLGNKEKLVGQSLNYNATMNNSNFHMLVDGQRCDEDSLASVNITGKIVLCSAPLEAANSSPNSAFAATFVAVVKRRAKGLIYAQYSANVLDGFEDFCHLYLPASCVLVDYEIASRIASYAKSTRKSVVKISRVVSVVGNGVLAPRIAMFSSRGPSNEFPAILKPDISAPGVSILAAVGDSYKFMSGTSMACPHVSAVAALLKSVHPDWSPAMIKSAIHR >ORUFI02G11760.4 pep chromosome:OR_W1943:2:8872217:8874544:-1 gene:ORUFI02G11760 transcript:ORUFI02G11760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALCPHDGRESARPVRSSTQRVARKIIGARWYSGDIPDELLKGEYKSPRDLSGHGTHAASTILGGQVYNVSHRQSGLAAGMARGGAPRARLAVYKACWGPKIDCGDASVLAAIDDAINDGVDVLSLSLGGYGEVPGTLHAVARGITVVFAGGNEGPVPQSVSNAVPWVITVAASTIDRSFPTVMSLGNKEKLVGQSLNYNATMNNSNFHMLVDGQRCDEDSLASVNITGKIVLCSAPLEAANSSPNSAFAATFVAVVKRRAKGLIYAQYSANVLDGFEDFCHLYLPASCVLVDYEIASRIASYAKSTRKSVVKISRVVSVVGNGVLAPRIAMFSSRGPSNEFPAILKPDISAPGVSILAAVGDSYKFMSGTSMACPHVSAVAALLKSVHPDWSPAMIKSAIVTTGMYSCHTTSSVCISYMASVTDRFGMPIQAEGAPRKIADPFDFGGGQIDPDKSIDPGLVYDIDPKEYTKFFNCTLGPKDDCESYVGQLYQLNLPSIAVPNLKDSVTVWRTVTNVGGEEGTYKASIEAPAGVRMSVEPSIITFTKGGSRSATFKVTFTARQRVQAGYTFGSLTWLDGVTHSVRIPVVVRTIIQDFLSDTS >ORUFI02G11760.5 pep chromosome:OR_W1943:2:8874676:8875391:-1 gene:ORUFI02G11760 transcript:ORUFI02G11760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPFLPTLHLILVLVVVLPIFADASSRLYIVYMGEKKHDDPSVVTASHHDALTSVFGSKDEAMKSIVYSYKHGFSGFAAMLTESQAEELAKLPGVVSVKPNTYHKAHTTRSWDFLGLNYYEQSNLLKKANYGEDVIVGVIDSG >ORUFI02G11770.1 pep chromosome:OR_W1943:2:8876357:8876860:-1 gene:ORUFI02G11770 transcript:ORUFI02G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACAIFSFARFFTPVTGCTLCLSIFFVGLKTSLTGHNIRCWSPLTDLHPSKVRTVISDHLSLTRVKSVRGDSLSLSQVALSGVVGGRVSSGSLTLELWSRRNFPGYGSLLFLSAHMAAHSFERIRSCLVLYIGEKFWHVTLDIRTYI >ORUFI02G11780.1 pep chromosome:OR_W1943:2:8918266:8920099:-1 gene:ORUFI02G11780 transcript:ORUFI02G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQPELAQDDQGRVAWKGDALHQVLGEEKPGQVHGMGLLPVPNHVYGQTSHRLRNINIKTVEGTPHEVAIHIIDDMEKLKEHAQKQDQLIQQLLKEKTDRKNKQEKVSLKKNKLRKRVQCNAADQEDLLSQQRYPFKYHNDANQEEPHYQEAPPSPWSAHSFRQEEFDMNKINYLEDSQMMASQMAKEGQKMFVKSTDNAHDQVIQSRVRSKKTRPSPMEVGSTVLLMTAKYPNKEGVAYANLLSCNPYAQVGGVELGNQFWKV >ORUFI02G11790.1 pep chromosome:OR_W1943:2:8943079:9002121:-1 gene:ORUFI02G11790 transcript:ORUFI02G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKHDDPFVVTASHHDMLASVLGSKDEAMRSIVYSYKHGFSGFAAMLTESQAEEIAGNVNPNIYHQAHTTRSWDFLGLNYYEQSGLLKKANYGEDVIVGVIDSGIWPESESFNDSGYSSVPTRWKGKCQTGMAFNATSCNRKIIGARWYSGGIQDESLKGEYLSPRDANGHGTHTASTIVGGQVWNASHKRGGLAAGSAHGGAPRARVAVYKACWGAAGGGISCSNAAVLAAIDDAINDGVDVLSLSIGGPVEYLSSRHAVARGIPVVFSAGNDGPTPQTVGSTLPWVITVAASTIDRTFPTVISLGNKEKLVGQSLYYKATAKSGKFEMLVDGGFSCDKETLALINVTGKIVLCSAPLQAKLNPPRLMLPAIIGDVANAGAAGLIFAQYTVNILEDLDACNGSMPCVLVDYEIANRIRSYVASTRMPVVEVSPAMTVVGSGVLSPRVAAFSSRGPSTLFPGILKPDIAAPGVSILAALGDSYEFMSGTSMACPHVSAVVALLKMVHPDWSPAMIKSAIVTTDPREYAKFYNCSINPKDECKSYMRQLYQLNLPSIVVPDLKYSVTVWRTIINVGVAEATYHAMLEAPVGMTMSVEPSVIKFTNGGSRSVTFKVTFTTRQRVQGGYTFGSLTWQDGITHSVRIPIALYIVYMGEKKHDDPSVVTASHHDTLTSVLGSKDGAMKSIVYSYKHGFSGFAAMLTESQAEELARLPEVISVKPNTYHQAQTTRSWDFLGLNYNEQSGLLKKAKNGEDVIVGVIDSGIWPESRSFDDNGYSPVPARWKGKCQTGAAFNATTGCNRKIIGVRWYSGGIPDENLKGEYMSARDLGGHGTHVASTIVGGQVRNVSHRQGGALAAGTARGGAPRARVAVYKVCWGLRAQCGGAAILAAIDDAMNDGVDVLSLSIGGAGEHYETLHAVARGIPVVFGGGNDGPTPQIVRNTVPWVITVAASTIDRAFPTVISLGNNKKFVGQSLYYNATASSTKFQMLVDGSSCDTQTLASINITSKVVLCSPPSLMPPRLSLGDIIGRVIKAGANGLIFVQYSVSNALDFLNACSRASVPCVLVDYEITRRIESYMTSTSTPMVKVSSAMTVVGSGVLSPRIAAFSSRGPSSLFPGILKPDIAAPGVSILAAVGDSYELKSGTSMACPHVSAVVALLKMVHPDWSPAMIKSAIVTTASVTDRFGMPIQAEAVPRKVADPFDFGGGHIEPNKAIDPGLVYDIDPSHYTKFFNCTLPEAEDDCESYMEQIYQLNLPSIAVPNLKDSVTVWRTVTNVGEAEATYHAALEAPVGMTMSVEPSVITFTRGGSRSVTFKVTFTTTQRVQGGYTFGSLTWLDGNTHSLYIVYMGEKKHDDPSVVTASHHDALTSVFESKDEAMKSIVYSYKHGFSGFAAMLTESQADEVEKLPGVVSVKPNTYHKAHTTRSWDFLGLNYYEQSSLLKKAKYGEDVIVGVVDTGIWPTSRSFDDNGYGPVPARWKGKCQTGADFNATSCNRKIIGARWYSGDIPDELLKGEYMSPRDLSGHGTHAASTIVGGQVWNASHRQSGLAAGVARGGAPRARLAVYKACWGDTDRNATCGDASVLAAIDDAINDGVDVLSLSLGGYGEVAGTLHAVARGITVVFAGGNEGPVPQSVSNAVPWVITVAASTIDRSFPTVVSLGNKEKLVGQSLNYNATMNSSNFHMLVDGQRCDEDSLASVNITGKIVLCSAPLEAANSSPNSAFAATFVAVVKRRAKGLIYAQYSANVLVGFEDYCHLYLPASCVLVDYEIASRIASYAKSTRKSVVKISRVVSMVGNGVLAPRIAMFSSRGPSNEFPAILKPDISAPGVSILAAVGDSYKFMSGTSMACPHVSAVAALLKSVHPYWSPAMIKSAIHR >ORUFI02G11790.2 pep chromosome:OR_W1943:2:8951880:9002121:-1 gene:ORUFI02G11790 transcript:ORUFI02G11790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKHDDPFVVTASHHDMLASVLGSKDEAMRSIVYSYKHGFSGFAAMLTESQAEEIAGNVNPNIYHQAHTTRSWDFLGLNYYEQSGLLKKANYGEDVIVGVIDSGIWPESESFNDSGYSSVPTRWKGKCQTGMAFNATSCNRKIIGARWYSGGIQDESLKGEYLSPRDANGHGTHTASTIVGGQVWNASHKRGGLAAGSAHGGAPRARVAVYKACWGAAGGGISCSNAAVLAAIDDAINDGVDVLSLSIGGPVEYLSSRHAVARGIPVVFSAGNDGPTPQTVGSTLPWVITVAASTIDRTFPTVISLGNKEKLVGQSLYYKATAKSGKFEMLVDGGFSCDKETLALINVTGKIVLCSAPLQAKLNPPRLMLPAIIGDVANAGAAGLIFAQYTVNILEDLDACNGSMPCVLVDYEIANRIRSYVASTRMPVVEVSPAMTVVGSGVLSPRVAAFSSRGPSTLFPGILKPDIAAPGVSILAALGDSYEFMSGTSMACPHVSAVVALLKMVHPDWSPAMIKSAIVTTDPREYAKFYNCSINPKDECKSYMRQLYQLNLPSIVVPDLKYSVTVWRTIINVGVAEATYHAMLEAPVGMTMSVEPSVIKFTNGGSRSVTFKVTFTTRQRVQGGYTFGSLTWQDGITHSVRIPIALYIVYMGEKKHDDPSVVTASHHDTLTSVLGSKDGAMKSIVYSYKHGFSGFAAMLTESQAEELARLPEVISVKPNTYHQAQTTRSWDFLGLNYNEQSGLLKKAKNGEDVIVGVIDSGIWPESRSFDDNGYSPVPARWKGKCQTGAAFNATTGCNRKIIGVRWYSGGIPDENLKGEYMSARDLGGHGTHVASTIVGGQVRNVSHRQGGALAAGTARGGAPRARVAVYKVCWGLRAQCGGAAILAAIDDAMNDGVDVLSLSIGGAGEHYETLHAVARGIPVVFGGGNDGPTPQIVRNTVPWVITVAASTIDRAFPTVISLGNNKKFVGQSLYYNATASSTKFQMLVDGSSCDTQTLASINITSKVVLCSPPSLMPPRLSLGDIIGRVIKAGANGLIFVQYSVSNALDFLNACSRASVPCVLVDYEITRRIESYMTSTSTPMVKVSSAMTVVGSGVLSPRIAAFSSRGPSSLFPGILKPDIAAPGVSILAAVGDSYELKSGTSMACPHVSAVVALLKMVHPDWSPAMIKSAIVTTASVTDRFGMPIQAEAVPRKVADPFDFGGGHIEPNKAIDPGLVYDIDPSHYTKFFNCTLPEAEDDCESYMEQIYQLNLPSIAVPNLKDSVTVWRTVTNVGEAEATYHAALEAPVGMTMSVEPSVITFTRGGSRSVTFKVTFTTTQRVQGGYTFGSLTWLDGNTHSLYIVYMGEKKHDDPSVVTASHHDALTSVFGSKDEAMKSIVYSYKHGFSGFAAMLTESQADELARTISQLQRNKEQQQLSYACLWVQESLATVNVTGKIVLCYAPLEAAATSSPNPAFGTAAIGIAKGGAKGLIFAHQRTNIFDDLENCNKILPAGCMMVDFEIAAIIASYLNSTRKTVAKISRAVTVVGNGVLAPRVAAFSSRGPSIDFPGILKPDVAAPGVSILAAVGDSYKFMSGTSMACPHVSAVAALLKSVHPDWSPATGGETLCSPASVTDRFGMPIQAEGVPRKVADPFDFGGGHIDPDKSVDPGLVYDIDPKEYTKFFNCTLGPKEDCESYVGQLYQLNLPSIAVPDLKDSITV >ORUFI02G11790.3 pep chromosome:OR_W1943:2:8951880:9002121:-1 gene:ORUFI02G11790 transcript:ORUFI02G11790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKHDDPFVVTASHHDMLASVLGSKDEAMRSIVYSYKHGFSGFAAMLTESQAEEIAGNVNPNIYHQAHTTRSWDFLGLNYYEQSGLLKKANYGEDVIVGVIDSGIWPESESFNDSGYSSVPTRWKGKCQTGMAFNATSCNRKIIGARWYSGGIQDESLKGEYLSPRDANGHGTHTASTIVGGQVWNASHKRGGLAAGSAHGGAPRARVAVYKACWGAAGGGISCSNAAVLAAIDDAINDGVDVLSLSIGGPVEYLSSRHAVARGIPVVFSAGNDGPTPQTVGSTLPWVITVAASTIDRTFPTVISLGNKEKLVGQSLYYKATAKSGKFEMLVDGGFSCDKETLALINVTGKIVLCSAPLQAKLNPPRLMLPAIIGDVANAGAAGLIFAQYTVNILEDLDACNGSMPCVLVDYEIANRIRSYVASTRMPVVEVSPAMTVVGSGVLSPRVAAFSSRGPSTLFPGILKPDIAAPGVSILAALGDSYEFMSGTSMACPHVSAVVALLKMVHPDWSPAMIKSAIVTTDPREYAKFYNCSINPKDECKSYMRQLYQLNLPSIVVPDLKYSVTVWRTIINVGVAEATYHAMLEAPVGMTMSVEPSVIKFTNGGSRSVTFKVTFTTRQRVQGGYTFGSLTWQDGITHSVRIPIALYIVYMGEKKHDDPSVVTASHHDTLTSVLGSKDGAMKSIVYSYKHGFSGFAAMLTESQAEELARLPEVISVKPNTYHQAQTTRSWDFLGLNYNEQSGLLKKAKNGEDVIVGVIDSGIWPESRSFDDNGYSPVPARWKGKCQTGAAFNATTGCNRKIIGVRWYSGGIPDENLKGEYMSARDLGGHGTHVASTIVGGQVRNVSHRQGGALAAGTARGGAPRARVAVYKVCWGLRAQCGGAAILAAIDDAMNDGVDVLSLSIGGAGEHYETLHAVARGIPVVFGGGNDGPTPQIVRNTVPWVITVAASTIDRAFPTVISLGNNKKFVGQSLYYNATASSTKFQMLVDGSSCDTQTLASINITSKVVLCSPPSLMPPRLSLGDIIGRVIKAGANGLIFVQYSVSNALDFLNACSRASVPCVLVDYEITRRIESYMTSTSTPMVKVSSAMTVVGSGVLSPRIAAFSSRGPSSLFPGILKPDIAAPGVSILAAVGDSYELKSGTSMACPHVSAVVALLKMVHPDWSPAMIKSAIVTTASVTDRFGMPIQAEAVPRKVADPFDFGGGHIEPNKAIDPGLVYDIDPSHYTKFFNCTLPEAEDDCESYMEQIYQLNLPSIAVPNLKDSVTVWRTVTNVGEAEATYHAALEAPVASVTDRFGMPIQAEGVPRKVADPFDFGGGHIDPDKSVDPGLVYDIDPKEYTKFFNCTLGPKEDCESYVGQLYQLNLPSIAVPDLKDSITV >ORUFI02G11790.4 pep chromosome:OR_W1943:2:8942540:9002121:-1 gene:ORUFI02G11790 transcript:ORUFI02G11790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKHDDPFVVTASHHDMLASVLGSKDEAMRSIVYSYKHGFSGFAAMLTESQAEEIAGNVNPNIYHQAHTTRSWDFLGLNYYEQSGLLKKANYGEDVIVGVIDSGIWPESESFNDSGYSSVPTRWKGKCQTGMAFNATSCNRKIIGARWYSGGIQDESLKGEYLSPRDANGHGTHTASTIVGGQVWNASHKRGGLAAGSAHGGAPRARVAVYKACWGAAGGGISCSNAAVLAAIDDAINDGVDVLSLSIGGPVEYLSSRHAVARGIPVVFSAGNDGPTPQTVGSTLPWVITVAASTIDRTFPTVISLGNKEKLVGQSLYYKATAKSGKFEMLVDGGFSCDKETLALINVTGKIVLCSAPLQAKLNPPRLMLPAIIGDVANAGAAGLIFAQYTVNILEDLDACNGSMPCVLVDYEIANRIRSYVASTRMPVVEVSPAMTVVGSGVLSPRVAAFSSRGPSTLFPGILKPDIAAPGVSILAALGDSYEFMSGTSMACPHVSAVVALLKMVHPDWSPAMIKSAIVTTDPREYAKFYNCSINPKDECKSYMRQLYQLNLPSIVVPDLKYSVTVWRTIINVGVAEATYHAMLEAPVGMTMSVEPSVIKFTNGGSRSVTFKVTFTTRQRVQGGYTFGSLTWQDGITHSVRIPIALYIVYMGEKKHDDPSVVTASHHDTLTSVLGSKDGAMKSIVYSYKHGFSGFAAMLTESQAEELARLPEVISVKPNTYHQAQTTRSWDFLGLNYNEQSGLLKKAKNGEDVIVGVIDSGIWPESRSFDDNGYSPVPARWKGKCQTGAAFNATTGCNRKIIGVRWYSGGIPDENLKGEYMSARDLGGHGTHVASTIVGGQVRNVSHRQGGALAAGTARGGAPRARVAVYKVCWGLRAQCGGAAILAAIDDAMNDGVDVLSLSIGGAGEHYETLHAVARGIPVVFGGGNDGPTPQIVRNTVPWVITVAASTIDRAFPTVISLGNNKKFVGQSLNYNATKNNSNYHMLVFGSSCDEESLATVNVTGKIVLCYAPLEAAATSSPNPAFGTAAIGIAKGGAKGLIFAHQRTNIFDDLENCNKILPAGCMMVDFEIAAIIASYLNSTRKTVAKISRAVTVVGNGVLAPRVAAFSSRGPSIDFPGILKPDVAAPGVSILAAVGDSYKFMSGTSMACPHLWQRCSSRFTLTASVTDRFGMPIQAEGAPRKIADPFDFGGGQIDPDKSIDPGLVYDIDPKEYTKFFNCTLGPKDDCESYVGQLYQLNLPSIAVPNLKDSVTVWRTVTNVGGEEGTYKASIEAPAGVRMSVEPSIITFTKGGSRSATFKVTFTARQRVQAGYTFGSLTWLDGVTHSVRIPVVVRTIIHDFVSDTS >ORUFI02G11790.5 pep chromosome:OR_W1943:2:8942540:8951807:-1 gene:ORUFI02G11790 transcript:ORUFI02G11790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEPSVITFTKGGSRSAKFKVTFTAKQRVQGVYTFGSLTWVDGVTHSLYIVYMGEKKHDDPSVVTASHHDALTSVFESCFRWNSKDEAMKSIVYSYKHGFSGFAAMLTESQADEVEKLPGVVSVKPNTYHKAHTTRSWDFLGLNYYEQSSLLKKAKYGEDVIVGVVDTGIWPTSRSFDDNGYGPVPARWKGKCQTGADFNATSCNRKIIGARWYSGDIPDELLKGEYMSPRDLSGHGTHAASTIVGGQVWNASHRQSGLAAGVARGGAPRARLAVYKACWGDTDRNATCGDASVLAAIDDAINDGVDVLSLSLGGYGEVAGTLHAVARGITVVFAGGNEGPVPQSVSNAVPWVITVAASTIDRSFPTVVSLGNKEKLVGQSLNYNATMNSSNFHMLVDGQRCDEDSLASVNITGKIVLCSAPLEAANSSPNSAFAATFVAVVKRRAKGLIYAQYSANVLVGFEDYCHLYLPASCVLVDYEIASRIASYAKSTRKSVVKISRVVSMVGNGVLAPRIAMFSSRGPSNEFPAILKPDISAPGVSILAAVGDSYKFMSGTSMACPHVSAVAALLKSVHPYWSPAMIKSAIVTTGMYSCHTTCSVCIPYMDNLGLTNSSVTDRFGMPIQAEGAPRKIADPFDFGGGQIDPDKSIDPGLVYDIDPKEYTKFFNCTLGPKDDCESYVGQLYQLNLPSIAVPNLKDSVTVWRTVTNVGGEEGTYKASIEAPAGVRMSVEPSIITFTKGGSRSATFKVTFTARQRVQAGYTFGSLTWLDGVTHSVRIPVVVRTIIHDFVSDTS >ORUFI02G11800.1 pep chromosome:OR_W1943:2:8950507:8951056:1 gene:ORUFI02G11800 transcript:ORUFI02G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPIKLSERDSLVKSASTVLNSKVVCQYSSLLSQLAINATLALVDPAHPDLLDLRSRGGPRLASSDPSGNLEVRRGVECDYGLDVFGRRVAGRGSSWARSGKLRCASQPLMSSAAMLCARAAISAVAKGDEDGNGDSMREEDVKGDWSGMVLILEIFSGI >ORUFI02G11810.1 pep chromosome:OR_W1943:2:9007126:9008205:1 gene:ORUFI02G11810 transcript:ORUFI02G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINKKAQDSPSLMESRLAPLLLFGHGEAGDKFLYSIPSRRQLTAAAAAFVDLIGHYSWITPRGWVLTLQPAGRRGGGDAPAEAFLRDPFSSRRVPLPPPDEEISGLAAKALGGGDDDAAWCVLSHDAADPRCVVVVVHPTEPVLFYCRPGPGDSAGRRRWLRHEYQPEAIIAPANHDDDDDLDAIVIESMGWLTASGGKLFADLEWSGKMATLEFSPSPATPTLASAPLAMVPCPAWCNHWNSSPVDSRGELFVVHFRNSLLCQRTVLLVQVHRLDSTRRAWVKADGLGSNRVFLVTSQFGVSMAADEAGLEENCIYFTKSEDKGLYVYDVGQGTTALYDPGEDIPDSMEPILLMPVS >ORUFI02G11820.1 pep chromosome:OR_W1943:2:9008516:9009658:1 gene:ORUFI02G11820 transcript:ORUFI02G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSMSSSSSSEWRDWSSLPEDLLLLILERLRWSSHPSVTAVCSPWRSAVPPSYPAWITPLLLNAADVGTTNIRYYSPYFHKNFEIDRTLTDPGAKICCSAAEHLTTLCTPDDVVLDADMVSGSIRELPRLPDSKFNFIVYDDGALRTTMYAIDTVGSLRICRTGLNDDSEWDDWDLVDSPDEQMVPTLPISNPVFHGGMLYLLGKDGRLALYDPCNHERGFEILDKPKSFGFETDDSYLVESEQGELMAILVGRRGTPVRIVELNEEAMKWEEVESLQGRTLFTGTLTTMMRSVKIKWMQNKVFLPKLYDWPETIQVNLVLRDGELAFVPKSGGENTILKDGEDYMEKMWCYEPGQRLAKKFWGTKSVDYGIWVNFST >ORUFI02G11830.1 pep chromosome:OR_W1943:2:9017869:9022142:1 gene:ORUFI02G11830 transcript:ORUFI02G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVEGEGEEEGEGKGNLGNALEDSHKHCQGEELEAGQSCKINYQDVIDKCGIEISEKRRRRWSTKESCLDFYFIILLHEDESLIQMINIYGHNNWETVARAIPGRNAQQCRVRWKFNLDPAISKQAWSREEELRLIHVQQIYGNKWCRMAEHFTGRTSAAIKEHWRGPMKRKLNSYLASGLLKKSPGLPENLSVPQSSDSNILQQCDVSSYENKLLSDLRPSLKSKEGVCSKCDGSSSDNQLLSDLRASLKSKGASSKCDGSSGDNQLLSDLRASLKSKGASSKCDGSSGDNQLLSDLRASLKSKEGASSKCDGSSDENKLLSDLRACLKSKQGASSKCDGSSGENQLLSDLQASLMSKQRTSSKYKQGASSKSKQGTSSKSKQGFIDLCENTDTSEGESSELICTERPDPDSGEASKRIRDRLNWRKRARKKLVFLSSPVELKVSAVAESERHLQESKVTSPEVNIVSPPAVLQGFSPEVPSVCEKIVEPPLADFNQSKNVCSSLETSSDPCTLEQHLANVSDLLDMSYIDGLMIIPPAGCPYDGDFI >ORUFI02G11840.1 pep chromosome:OR_W1943:2:9022982:9024079:-1 gene:ORUFI02G11840 transcript:ORUFI02G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRRSRSRSGTRRHQRDDDEEMRGGQRSHGETGGGGGEGHPRRLRSEIGEVSGSLWRITRGELLAPEVFARSPPPSPLPPTPFSSQVTTIIPGGTDGVLFGGGGGQGGEASKPAPAAPLPTVRTSSSAVAADDLKLKPPPEAVATASSSKKRKSGPESSPTASSKRPKTAHRQQNKSPLPPPPPKRAARARFAAIPLPPPPSNEEVLATRKRVDEMRKKYAGLIAKSQDVIGVAHREAAVPEKAKGRRANLAAAAAAAEDELLLQRQRRRRRDAERAKAREALREMEREARLAGLFAMEAVRREHLRALDITRDVEYAVSPECHRGEDGVLRVIAPSRHSPVSSMLGLLLRPQDGGELELDEE >ORUFI02G11850.1 pep chromosome:OR_W1943:2:9026482:9027127:-1 gene:ORUFI02G11850 transcript:ORUFI02G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDVSHGRALVHGGHNNQQRGSAGTGEGRQTNKTQQTRDTQANTRRQTSTSEVSLRKPYPVPAEADDGDLAPSLILV >ORUFI02G11860.1 pep chromosome:OR_W1943:2:9031631:9032740:-1 gene:ORUFI02G11860 transcript:ORUFI02G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISPLHKVIDDGRWAAERLLGRLIILAHAAFLDAGFVAAADAAADQENSVRLPSEVGRTAAALPLRYAAPQLLHRPDAAAAAVALRLRVHGRRHLVFYVRVDGLADLWVAEEDAYCFCVDALAAARLLAGGLDATARALRRDPALGALWGALTDGLGRRALADLCARSGVPLGRTLLSLPTDAMAAILSRLEDGEDLMVVECTCTALRRLVAELDAVLWKPMYEDVVDARRFAGVVRADDESPEMSWKERFTAAIHRPIPINIGPHAATVNLAWLLDLEAAIVEMWHNDHVPVPPQHPVVPLSDDDRSDSPELPPESVPRRRRRQWRAMPRDFSHGRALVHGGHNNQRRGGAGAVHSPSSRYRWSRR >ORUFI02G11870.1 pep chromosome:OR_W1943:2:9032935:9050529:-1 gene:ORUFI02G11870 transcript:ORUFI02G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRALAAGFAMGDEEGEEEKKKKRNLLNDDGKWKKSPGNGQENAPAHSAAPGARKERNVRIIEGITKSAMEVHWQ >ORUFI02G11880.1 pep chromosome:OR_W1943:2:9042456:9044129:1 gene:ORUFI02G11880 transcript:ORUFI02G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVLLITGAGPAGLATAACLTLQHVAYAIIERESCTASLWRHRTYDRLKLHLAKEFCELPHMAYPFGTPTYVPRESFVEYLDSYTDRFGIQPRYDTSVESATYDQGKKHWAVLAQDTDTGVVARLTARFLIMATGEKSAASIPLVPGLAGFEGEAIHSSAYKSGNGYTGKSVLVVGAGNSGMEIAYDLATHGAHTSIVVRSPVHIMTKELIRFGMTMVQNLGLSVTIVDPLLVMAAKLIFWDLSKHGIMRPKMGPLLLKSQTGKSAVIDVGTAKLITRGVIDVLEGILKINANNVEFHCGRQIPFDAIVFATGYKSTVNTWLKNGESMFRNDGFPKKKFPNHWRGENGLYCAGFARRGLVSIAMDAKNIVDDIRATMYQVSC >ORUFI02G11890.1 pep chromosome:OR_W1943:2:9050493:9053354:1 gene:ORUFI02G11890 transcript:ORUFI02G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLRRHSLDKNSAQFHRQLSHDSSSSSSDTADMHEPHGNGSGGTPPLPNGRAAAAGAPRSRFGRDGPPSDLDVMKERFAKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEHRKLEPMAPDTKERWKKEVGWLLSVTDHIVEFVPTRQTAENGTTMEIMSTAQRRDLQMNVPALRKLDAMLIGYMDNFVDQSEFWYEKGGDNKRDDDKWWMPTVKVPAEGLSEVTRKWLQYQKECVNQVLKAAMAINAQVLVEMEIPEVYIESLPKKGKTSLGDAIYRSITDEEFDPIEFLEGVDLSTEHKVLDLKNRIEASTIIWKRKMQTKQEAKSSWGSIISFEKREQFEERAETILHLLKLQFPGTPQSQLDISKIQYNRDVGYAILESYSRVLESLAYSVMSRIEDVLGADAAAQNLTATEAARRLMDGDAAARKLDAMEELEKLNEAPASMTLYDFMGWHFDQDELMRKKEEGTLDEAGEAKLKKAPSLAPKKFSYVDSLSAGGMRSPSARH >ORUFI02G11900.1 pep chromosome:OR_W1943:2:9053913:9058345:-1 gene:ORUFI02G11900 transcript:ORUFI02G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGDALRQAFMPRRAYAALRDEERALPRLRRPLAAAAGACVAAAVAAAAGVSLGIVFPAEPAERPFCRERRMLEALPAAASSREEEPEAYRYRGGAFYMTTAEAADFYWMVVFVPSALLFAVSSAYLVSGISVAYAAPRRHPLICVVENNFCASKRVFAVIFGLMAIILGSTLLALGSSCSVPLFWCYEITAWGLVILYAGTAFFLRRKAAVILDEGDYTPHNVGLEMLETTIEVTPEMQRRINDGFKSWMGPSTLSSDDEEEASDDYIEHNVPIPTASVQVRQENDLES >ORUFI02G11910.1 pep chromosome:OR_W1943:2:9063620:9063982:-1 gene:ORUFI02G11910 transcript:ORUFI02G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRCGCQDPDIGVLPMPSDVRRQVRTPARASERAEHSGMEGSDGGVLTGDVPFPLICGGSGVGAGLVRRWDNEGRRLDGHGDWRHPQRAVPIESTRSSRQRATDGGWTEASDASCPRS >ORUFI02G11920.1 pep chromosome:OR_W1943:2:9067337:9073327:1 gene:ORUFI02G11920 transcript:ORUFI02G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAGLGYALVALGPAFSLFAGVVARKPFLVLTLLTSTLFWLISLIILSGIWRVFLPIRSGAWWPYAILILTSVAFQEGIRLVFWRLYKKMEEMLDSFADRISKPRLCLTDKMLISLAGGLGHGVAHAVFFCLSLLTPAFGRATFYVEKCSRMPFFLVSAIISLGFLVIHTFSMIIAFNGYDERKRSDQVFVPVVHLIASVMTLINLAPGGCVIGTPLLCVMGAVTLQYCWQMLIC >ORUFI02G11930.1 pep chromosome:OR_W1943:2:9076327:9082652:1 gene:ORUFI02G11930 transcript:ORUFI02G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVNYLPNALTKWKAKKMMDLPAYEDFNMNVGFVTQSKELLIPGFDKILWQNGGTSSRNVSVQEGKAAEEMMLIGSGREGPIAQTLFNFILEDMRSGPTSVRIPVSY >ORUFI02G11940.1 pep chromosome:OR_W1943:2:9082495:9083430:-1 gene:ORUFI02G11940 transcript:ORUFI02G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRWKEGTERRDKRATNPCIPCLRYANRSWTGSHVLKNEVEKRLSNWAFSACVKNKEINQHAVCSKPWKG >ORUFI02G11950.1 pep chromosome:OR_W1943:2:9084982:9090636:-1 gene:ORUFI02G11950 transcript:ORUFI02G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVLQRLGDMDDDQIDVQTKEWRNKVRELSYDIEDCIDRFLQNHSSGDANANLLQKGVRKMKKLWENHQIGDEIKQLKERVIEEKERHERYKIADRLMVAPQHVRLDPRVPALYEQAKNLVGIDKPREQIIGWIKSEEKQLKVVSIFGTGGLGKTTLAMEVYHKIDESFDCRAMVSVSRTPDIKKLLRDILFQINEREYEKSNDWEMEQLIPKLRKNLEDKRYFFIIDDIWSTDAWKQLKSALPANDNKSRIITTTRIRDVAKSCCCDFVGHMYEAMPQSEENSCKLFFRRVFSSEDCPKHLTEAASVILKKCGGLPLAIISIAGLLSNKNPTVEVWTKIKNSISSMVEKDSPVDKMKRILFLSYFDLPQYLKTCLLYLSVFPEDSNIDPRRLIRLWVAEGLILGQSRACTEQSGESYLHELINRSMIQPSKIGADGRVKICRIHDVILDFIVSQAEEENFVTILNNSDPSDYTSNKFRRLSLQSGFSEGSKMPKASKDLSHLRSLHMFKHESLPVVPSEFAKCQVLRVLAIKLRLGDNYIKHVGHFCELKYLRIKGGIHKLPEEIGKLQHLQTLDLAYTRIEKLPASIVQLQKLVHLLIPFGVPLPDEIGNLQALEVLSGIDLDRASVKSIYGLGELSKLRDVRIWWSDSNEDNSKEGHRTACISSLSKLVKCSLQSLRLARGLSNPDVIASLMISCGFIPPLRRLVFYDEFPTIPSQFASLVNLTRLRVEVGGVGGLEILASLPILQSLTLDTNSDVSNLRWVISGQGFQNLRKFNFEIRDSVVGLMFEPGAMPKLQRLKLWLYARWQLDVHGGPVLGLHHLSALKSIALVFNCNGAVAAEVESSEDDARAAAVSHPNCPTLDIQRYNERRMKTSQTNSKRRLITKQIIDSACKL >ORUFI02G11950.2 pep chromosome:OR_W1943:2:9084982:9090495:-1 gene:ORUFI02G11950 transcript:ORUFI02G11950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVSASSGAMNSVIAKLASLLTDEYTHLKGVKSGIRWLRDELGSMNAVLQRLGDMDDDQIDVQTKEWRNKVRELSYDIEDCIDRFLQNHSSGDANANLLQKGVRKMKKLWENHQIGDEIKQLKERVIEEKERHERYKIADRLMVAPQHVRLDPRVPALYEQAKNLVGIDKPREQIIGWIKSEEKQLKVVSIFGTGGLGKTTLAMEVYHKIDESFDCRAMVSVSRTPDIKKLLRDILFQINEREYEKSNDWEMEQLIPKLRKNLEDKRYFFIIDDIWSTDAWKQLKSALPANDNKSRIITTTRIRDVAKSCCCDFVGHMYEAMPQSEENSCKLFFRRVFSSEDCPKHLTEAASVILKKCGGLPLAIISIAGLLSNKNPTVEVWTKIKNSISSMVEKDSPVDKMKRILFLSYFDLPQYLKTCLLYLSVFPEDSNIDPRRLIRLWVAEGLILGQSRACTEQSGESYLHELINRSMIQPSKIGADGRVKICRIHDVILDFIVSQAEEENFVTILNNSDPSDYTSNKFRRLSLQSGFSEGSKMPKASKDLSHLRSLHMFKHESLPVVPSEFAKCQVLRVLAIKLRLGDNYIKHVGHFCELKYLRIKGGIHKLPEEIGKLQHLQTLDLAYTRIEKLPASIVQLQKLVHLLIPFGVPLPDEIGNLQALEVLSGIDLDRASVKSIYGLGELSKLRDVRIWWSDSNEDNSKEGHRTACISSLSKLVKCSLQSLRLARGLSNPDVIASLMISCGFIPPLRRLVFYDEFPTIPSQFASLVNLTRLRVEVGGVGGLEILASLPILQSLTLDTNSDVSNLRWVISGQGFQNLRKFNFEIRDSVVGLMFEPGAMPKLQRLKLWLYARWQLDVHGGPVLGLHHLSALKSIALVFNCNGAVAAEVESSEDDARAAAVSHPNCPTLDIQRYNERRMKTSQTNSKRRLITKQIIDSACKL >ORUFI02G11960.1 pep chromosome:OR_W1943:2:9091370:9099687:-1 gene:ORUFI02G11960 transcript:ORUFI02G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPVDEVLDAAAAGVHYSTLRLDELNINGSMTGEEQPTTSGVENGHQEPFVIGVAGGASSGKSTVCKMIIDQLRDQRVVVVTQESFYYGLSDEELVHVHDYNFDHPDAFDTELLLSCMENLKHGKAVDIPNYNFKTYKSVASARKVNPSDVIILEGILVFHDSRVRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKVHPNLYVIQTTYQIRGMHTIIRDAATTTHDFIFYADRLIRLVVEHGLGHLPFKEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGKQAPQGVHVVSKRFPRVKIVTSEIEFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFCDEKNRVRCPY >ORUFI02G11970.1 pep chromosome:OR_W1943:2:9110179:9125652:-1 gene:ORUFI02G11970 transcript:ORUFI02G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLWRRDLVPTPSTATVVWGEALLLLRRRRRRIVALSLPPDSRLPLPQSPPASCAAEPERKEDEGEEERWRGRRRRRQRGEEFAAGRGFSFLTSAVARAGAAPSPFSSFSGRSGAICVAPSPRRARALGTSDDIRRRRMFAGVCLPRASASRFSARPESFAACPDAPAFTWSTAVGRAHSISMVRVGEGAAASSNRIVYERERRSWIALIVDIAEEVRQRMLAETKFCPCVLMMASLSTPPATAGVSPSPRPSLLAYKKAAGLTPSPWCGWRRAAVATAATSSNRTAAPAETIVTGNDVPLLSFAEVAERLDEFHASGTRNQNYMAMYSSIFGGITTNPSAMVIPIDDHMVHRGHGVFDTAAIMNGHLYELEQHLDRFLKSASMAKITLPFDRSTIRSILIQTVSASKCTQGSLRYWLSVGPGDFQLSSAGCANSALYAIVIESPSLPVPAGCKVITSSIPIKSQQFAVMKSVNYLPNALTKVEGEENGGFTGIWLDDEGFVAEGSNMNVGFVTQSKELLMPRFDKILSGCTAKRVLTLAKQLVADGRLSGISSRNVSVQEGKAADEMMLIGSGILVKPVVQWDDQIIGSGKEGPIAQMLFNLILEDMRSGPPSVRIPDMWTTDEADQSMSHS >ORUFI02G11970.2 pep chromosome:OR_W1943:2:9121877:9125652:-1 gene:ORUFI02G11970 transcript:ORUFI02G11970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLWRRDLVPTPSTATVVWGEALLLLRRRRRRIVALSLPPDSRLPLPQSPPASCAAEPERKEDEGEEERWRGRRRRRQRGEEFAAGRGFSFLTSAVARAGAAPSPFSSFSGRSGAICVAPSPRRARALGTSDDIRRRRIYEFKRWISSHKFSSDIITCLQVSVFRELPLPASLRAQREEEEVKRGKKWCAGMRVPWVEEGPHMLYAGPSFLAGAAPDPSSLPIPSFGPPRRRTSSSGGGVAVRVALIFLAGLVLRFAACPDAPAFTWSTAVGRAHSISMVRVGEGAAASSNRIARKKKTY >ORUFI02G11970.3 pep chromosome:OR_W1943:2:9117295:9125652:-1 gene:ORUFI02G11970 transcript:ORUFI02G11970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLWRRDLVPTPSTATVVWGEALLLLRRRRRRIVALSLPPDSRLPLPQSPPASCAAEPERKEDEGEEERWRGRRRRRQRGEEFAAGRGFSFLTSAVARAGAAPSPFSSFSGRSGAICVAPSPRRARALGTSDDIRRRRIYEFKRWISSHKFSSDIITCLQVSVFRELPLPASLRAQRVLPRVLMPRPSRGAQPLAGLTPSPWCGWGRVPPQAPIGSRGIMDFASSVIKCWSPSTTFSPNARNLDRVDAFIDES >ORUFI02G11970.4 pep chromosome:OR_W1943:2:9110179:9117185:-1 gene:ORUFI02G11970 transcript:ORUFI02G11970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSTPPATAGVSPSPRPSLLAYKKAAGLTPSPWCGWRRAAVATAATSSNRTAAPAETIVTGNDVPLLSFAEVAERLDEFHASGTRNQNYMAMYSSIFGGITTNPSAMVIPIDDHMVHRGHGVFDTAAIMNGHLYELEQHLDRFLKSASMAKITLPFDRSTIRSILIQTVSASKCTQGSLRYWLSVGPGDFQLSSAGCANSALYAIVIESPSLPVPAGCKVITSSIPIKSQQFAVMKSVNYLPNALTKVEGEENGGFTGIWLDDEGFVAEGSNMNVGFVTQSKELLMPRFDKILSGCTAKRVLTLAKQLVADGRLSGISSRNVSVQEGKAADEMMLIGSGILVKPVVQWDDQIIGSGKEGPIAQMLFNLILEDMRSGPPSVRIPDMWTTDEADQSMSHS >ORUFI02G11970.5 pep chromosome:OR_W1943:2:9120426:9125652:-1 gene:ORUFI02G11970 transcript:ORUFI02G11970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLWRRDLVPTPSTATVVWGEALLLLRRRRRRIVALSLPPDSRLPLPQSPPASCAAEPERKEDEGEEERWRGRRRRRQRGEEFAAGRGFSFLTSAVARAGAAPSPFSSFSGRSGAICVAPSPRRARALGTSDDIRRRRMFAGVCLPRASASRFSARPESFAACPDAPAFTWSTAVGRAHSISMVRVGEGAAASSNRIAWDHGFCIFCDQVLESIDHVLSQCPES >ORUFI02G11980.1 pep chromosome:OR_W1943:2:9135152:9135563:-1 gene:ORUFI02G11980 transcript:ORUFI02G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDAAASDELRRGADGGGCGRRRRAPTWDVDDGTTGDDALNRMIPDRYHPISVRYQNLIPRKYHPLRDKNRMVPDRYHLIPCKYHLIRGRNA >ORUFI02G11990.1 pep chromosome:OR_W1943:2:9135780:9136130:-1 gene:ORUFI02G11990 transcript:ORUFI02G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTIIPSGRDPFCPLEYSKRSRHGAAPVRTHGRHRRRRCNTHARTVDALPCDLELLVGGTGCGAHTRTIDALPRDRELLADGAGAGVGEEEPSADAVQMRK >ORUFI02G12000.1 pep chromosome:OR_W1943:2:9136266:9137648:-1 gene:ORUFI02G12000 transcript:ORUFI02G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAGGTKPNISTFGADQFDDFDARESRTKASFFNWWMFSSFTGGLVAVLVLVYVQENVGWGVGYAIPTAGLALSLLLFYVGTPFYRHKPVRRGAAAGPARLVGRVFRAAFANRRRQLHGDQLHEHDAAWYAAAGTKRRLHHTRGYRFLDKAALPAAAAEAEACTVTEVEEVKLITGMIVVWLTTLVPCTIWAQVNTLFVKQGTTLDRTVGGVRIPAASLGSFITISMLLSIPVYDRVLVPLARRRTGEPRGITLLQRLGVGSALQVAAVACACLVELRRMRAIRAASATAAHDTVPMSIFWMLPQYILIGVGDVFSSVGILEFFYEQSPQGMQSLGTTFFTSGLGVGNFLNSLLVTAVDRATRGGGAGKSWIGDNLNDSHLDYYYAFLLLLAVINLAVFVWVATRYEYKKEYLSDGGDVVAGMASRETEMAGGGKGKVVERSKVIDAPLVVVEEVRAV >ORUFI02G12010.1 pep chromosome:OR_W1943:2:9139934:9145433:1 gene:ORUFI02G12010 transcript:ORUFI02G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTQTKTIRSRRTAPRNSSKKKLSCSPKAGRFETIQEPRILPPFDDLNFLRHLSPPKAAPVSGEGGSRMPSVLVDRATNDMLIGPDWAMNLEICDTLNRDPGQAKDVVKSIKKRIAHRNAKVQLLALTLLETMIKNCGDIVHMQVAEKDILHEMVKIVKKRPDFHVKEKILTLIDTWQEVFGGVRARYPQYYAAYQELLRAGAVFPQRSNGSVPIFTPPQTQPLQNYPASLRSAQQEPPGSSVPDLPSLSLAEIQNARGIMDVLSEMLNALDPSNREGLRQEVIVDLVDQCRSYKQRVVELVNTTSNEELLSQGLSLNDDLQRVLAKHDAIAAGVAVRLEKTKSLQTKIDSSPPANPGTSKAPVQSSSGTASASNQSTLALPAPASSSSPKAPAAPVPVIDLLSGDDYIKPEPANSLALVPVTEYSAADQNVLALADMFEQNNANRSNNNLTNSFNTSAPNSNFPASQAYPAPVQPALPQHPVAYSNGVSSNAIVPYYDDQNGGLSPPPWEIQQSMDNPPQPTQLGQMPLQPGQPVGMHPQSPHSGQFGQGSFMSPQQMANGQLGGTQPRQSPQPQSAPNLQYGGMMMYPNSMQVNQGAGMYSQPMFGGQFYGMSHHHQMYAVQMAGYGFGQQPGAYYIPNAAYAYVSANELTQRMNAVSVQEGNSHGGAMASRPEDSLFGDLVSIAKMKQNKPAAGKVGGL >ORUFI02G12010.2 pep chromosome:OR_W1943:2:9139945:9145433:1 gene:ORUFI02G12010 transcript:ORUFI02G12010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLVDRATNDMLIGPDWAMNLEICDTLNRDPGQAKDVVKSIKKRIAHRNAKVQLLALTLLETMIKNCGDIVHMQVAEKDILHEMVKIVKKRPDFHVKEKILTLIDTWQEVFGGVRARYPQYYAAYQELLRAGAVFPQRSNGSVPIFTPPQTQPLQNYPASLRSAQQEPPGSSVPDLPSLSLAEIQNARGIMDVLSEMLNALDPSNREGLRQEVIVDLVDQCRSYKQRVVELVNTTSNEELLSQGLSLNDDLQRVLAKHDAIAAGVAVRLEKTKSLQTKIDSSPPANPGTSKAPVQSSSGTASASNQSTLALPAPASSSSPKAPAAPVPVIDLLSGDDYIKPEPANSLALVPVTEYSAADQNVLALADMFEQNNANRSNNNLTNSFNTSAPNSNFPASQAYPAPVQPALPQHPVAYSNGVSSNAIVPYYDDQNGGLSPPPWEIQQSMDNPPQPTQLGQMPLQPGQPVGMHPQSPHSGQFGQGSFMSPQQMANGQLGGTQPRQSPQPQSAPNLQYGGMMMYPNSMQVNQGAGMYSQPMFGGQFYGMSHHHQMYAVQMAGYGFGQQPGAYYIPNAAYAYVSANELTQRMNAVSVQEGNSHGGAMASRPEDSLFGDLVSIAKMKQNKPAAGKVGGL >ORUFI02G12020.1 pep chromosome:OR_W1943:2:9149295:9152873:-1 gene:ORUFI02G12020 transcript:ORUFI02G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPLFVPPPHLLFLLPATTSSSPAASLRRLLLPPLSCHARQVLDVMPQGDRVAGPRAAEGAGAKGATAQVGVATREAGTAGRHGRGRHGGGARPWRRGEDRPARGGQHAADDGEVQGTRRGGDLKQRREGRRWTRGETQARESGKAGNSGRCQSNGSGGNASRKGKKKAKGGENEVKLRVELDMCSKRGDVIGAINLYDSAVKEGIKMGQHHYSVLLYLCSSAALGFVQPAKSGNAGSGIASIGQLHSSSTQSVGNLEGDDVQSEGHSEDQEGNKTDLFASDDGTEKPSRIPVSDELREYARTRGFEIFEKMRSEEEKVPMNESALTAVARMAMSMGNGDMAFDVVKQMKDQGIAPKLRSYGPALTAFCNSGNVEKAFEVEAHMLESGVRPEEPELETLLKASVAAQQGDKVYYLLHKFRTTVRQASSTTAKLLEDWFQSPTASKVGKRKWDSGAITKAIENNGGGWHGLGWLGRGKWTISHSHIDRNGACLACGEKLTIIDLDPKETEDFATLVAKLAIKRERRSNFDNFQKWLEKHGPFDAVVDGANINIVADVIRQRFQSRKLPLIVVHNRHLTGERMQKPSNRKLVEKWKLSNAIYATPTGSNDDWYWLYAAIRCKCLMVTNDEMRDHTFQLLERDFFPKWKERHQVRFNFEDSCVTLQMPPPCSVVIQESENGQWHIPVVSEEGSLEKDRTWLCVTRRN >ORUFI02G12030.1 pep chromosome:OR_W1943:2:9157494:9157853:-1 gene:ORUFI02G12030 transcript:ORUFI02G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAVAARSRAIARAASSSLLARGLLPTSRRATCINRLPLVSGGLLSTLPLHSAVASARLRSAIAPESQSWGLVPQGEVLGHCTF >ORUFI02G12040.1 pep chromosome:OR_W1943:2:9161090:9165491:1 gene:ORUFI02G12040 transcript:ORUFI02G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVTCSLPGAVTTHASTRRFGGSQFQTSQASCISFKREVSAKAVLRQPVVVAGGIISVAGGGQQVNGAKRRGRSRHQSVCSLAETPTSERPHHEGQCEQCGWAESIGCGCIQPG >ORUFI02G12040.2 pep chromosome:OR_W1943:2:9161591:9165491:1 gene:ORUFI02G12040 transcript:ORUFI02G12040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVTCSLPGAVTTHASTRRFGGSQFQTSQASCISFKREVSAKAVLRQPVVVAGGIISVAGGGQQVNGAKRRGRSRHQSVCSLAETPTSERPHHEGQCEQCGWAESIGCGCIQPG >ORUFI02G12050.1 pep chromosome:OR_W1943:2:9168515:9175592:1 gene:ORUFI02G12050 transcript:ORUFI02G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIRVTMEVGADGVAVVTICNPPVNALHPIIIQGLKEKYAEAMDRDDVKAIVLTGAGGKFCGGFDINVFTEVHKTGNVSLMPDVSVELVSNLMEAGKKPSVAAIQGLALGGGLELTMGCHARISTPEAQLGLPELTLGIIPGFGGTQRLPRLVGLPKAIEMMLQSKFITAKEGKEGGLVDALCSPDELIKMSRLWALEIANYRKPWIRSLARTDRLGSLSEARSVLNSARQQAKKVAANLPQHQACLDVMEEGVLCGGHAGVLKEAKVFKELVLSPTSKALVHAFFAQRLTTKVPGVTDVQLKPRKIRKVAVIGGGLMGSGIATALLVSNTSVVLKEVNPQFLQRGQKMIAANLEGLVKRGSLTKDKMNKAMSLLKGALDYSDFKDVDMVIEAVIEKIPLKQSIFSDLEKVCPPHCILATNTSTIDLNVVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITVGKMIKKVPVVVGNCTGFAVNRTFFPYTQGSHLLVSIGIDVFRIDRVISSFGMPMGPFQLQDLAGYGVALAVKDIYAAAFGTRNLDSNLVDLMVQNGRQGKSNGKGYYLYEKGGKPKPDPSVQVVIDEYRRCAKTMPGGKPVTLSDQDILEMIFFPVVNEACRVMDENVVIRASDLDIASILGMGFPKFR >ORUFI02G12060.1 pep chromosome:OR_W1943:2:9176768:9180500:-1 gene:ORUFI02G12060 transcript:ORUFI02G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMCAGGAAAIIFLTALSSAASALGVAAAACVPSERAALLAIKAGFTSDPDGRLASWGAAADCCRWDGVVCDNATGHVTELRLHNARADIDGGAGLGGEISRSLLGLPRLAYLDLSQNNLIGGDGVSPSPLPRFLGSLSDLRYLNLSFTGLAGEIPPQLGNLTRLRQLDLSSNVGGLYSGDISWLSGMSLLEYLDMSVVNLNASVGWAGVVSNLPSLRVLALSDCGLTAAPSPPARANLTRLQKLDLSTNVINTSSANSWFWDVPTLTYLDLSGNALSGVFPDALGNMTNLRVLNLQGNDMVGMIPATLQRLCGLQVVDLTVNSVNGDMAEFMRRLPRCVFGKLQVLQLSAVNMSGHLPKWIGEMSELTILDLSFNKLSGEIPLGIGSLSNLTRLFLHNNLLNGSLSEEHFADLVSLEWIDLSLNNLSMEIKPSWKPPCKLVYAYFPDVQMGPHFPAWIKHQPSIKYLDISNAGIVDELPPWFWKSYSDAVYLNISVNQISGVLPPSLKFMRSALAIYLGSNNLTGSVPLLPEKLLVLDLSRNSLSGPFPQEFGAPELVELDVSSNMISGIVPETLCRFPNLLHLDLSNNNLTGHLPRCRNISSDGLGLITLILYRNNFTGEFPVFLKHCKSMTFLDLAQNMFSGIVPEWIGRKLPSLTHLRMKSNRFSGSIPTQLTELPDLQFLDLADNRLSGSIPPSLANMTGMTQNHLPLALNPLTGYGASGNDRIVDSLPMVTKGQDRSYTSGVIYMVSLDLSDNVLDGSIPDELSSLTGLVNLNLSMNRLTGTIPRKIGALQKLESLDLSINVLSGEIPSSLSDLTSLSQLNLSYNNLSGRIPSGNQLQALANPAYIYIGNAGLCGPPLQKNCSSEKNRTSQPDLHEGKGPRYEGQLGCRCTSSNYSAIS >ORUFI02G12070.1 pep chromosome:OR_W1943:2:9201563:9207767:-1 gene:ORUFI02G12070 transcript:ORUFI02G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRSAPVAPPPPPPPPQQKKPAVPVSRPWPMSFTPHPPPPPPPPYCECFAARVYCDGCHCSPCGNKIENENIRKEAIETILLRNPLAFQPKIENSPNTVTVRKDNSEAIPPIPKHNKGCHCRKSECLKKYCECFQANILCSKNCRCQDCKNFEGSEERKALVQMKNASDRNHIQEAANFALNGATGSLGYKNSPVRRKKYQENSLGEQILSEAQFQPTDHADVSQLASSCTGFGGDIAINYQSKSSEMIYRSPLANTIPLIEVNDLVKNALVACRKAPEAFLTKAGNKVEMQAEKQYQTNDEINNDKTKEQNLKEASLKDIQNKACIDRQNINGTEPHLANSFKDSRPASPGTQALMCDEMDTTFGNEDYRSPFVVPSRDQDISELNADQERIVLTGLRDYLRVLITRGNINEPNGRCHSNQILVRDSNGIGFPEASWSNNCFFPRQIYVFQLS >ORUFI02G12070.2 pep chromosome:OR_W1943:2:9202133:9207767:-1 gene:ORUFI02G12070 transcript:ORUFI02G12070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRSAPVAPPPPPPPPQQKKPAVPVSRPWPMSFTPHPPPPPPPPYCECFAARVYCDGCHCSPCGNKIENENIRKEAIETILLRNPLAFQPKIENSPNTVTVRKDNSEAIPPIPKHNKGCHCRKSECLKKYCECFQANILCSKNCRCQDCKNFEGSEERKALVQMKNASDRNHIQEAANFALNGATGSLGYKNSPVRRKKYQENSLGEQILSEAQFQPLASSCTGFGGDIAINYQSKSSEMIYRSPLANTIPLIEVNDLVKNALVACRKAPEAFLTKAGNKVEMQAEKQYQTNDEINNDKTKEQNLKEASLKDIQNKACIDRQNINGTEPHLANSFKDSRPASPGTQALMCDEMDTTFGNEDYRSPFVVPSRDQDISELNADQERIVLTGLRDYLRVLITRGNINGECNLLTLLL >ORUFI02G12070.3 pep chromosome:OR_W1943:2:9202133:9207767:-1 gene:ORUFI02G12070 transcript:ORUFI02G12070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRSAPVAPPPPPPPPQQKKPAVPVSRPWPMSFTPHPPPPPPPPYCECFAARVYCDGCHCSPCGNKIENENIRKEAIETILLRNPLAFQPKIENSPNTVTVRKDNSEAIPPIPKHNKGCHCRKSECLKKYCECFQANILCSKNCRCQDCKNFEGSEERKALVQMKNASDRNHIQEAANFALNGATGSLGYKNSPVRRKKYQENSLGEQILSEAQFQPTDHADVSQLASSCTGFGGDIAINYQSKSSEMIYRSPLANTIPLIEVNDLVKNALVACRKAPEAFLTKAGNKVEMQAEKQYQTNDEINNDKTKEQNLKEASLKDIQNKACIDRQNINGTEPHLANSFKDSRPASPGTQALMCDEMDTTFGNEDYRSPFVVPSRDQDISELNADQERIVLTGLRDYLRVLITRGNINGECNLLTLLL >ORUFI02G12080.1 pep chromosome:OR_W1943:2:9208832:9211758:-1 gene:ORUFI02G12080 transcript:ORUFI02G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRPPRKPWAASERIQAGHVIYHRTTPLSLWCQPKPLEHVARPSCRASCAAAVGIGNRARELGATAYPHLGNQKTVSSLGKKGLNPEGNKEEIIATNKEKIGVPTGQSILEVNDNRRVGRNPRGLPRRRGGGGDALPPRRLGAACGCCGGGVWRDSRRRMNPGGEGSRGGRRAAGGGSRRRSSRSAAPCSSSGPRGCSLTTRLLRRGARGGAGSGRRRGRGEEERASASSESEAEASLRRGRIAVWVSGGRRDDLVRVARLYIRRFHCQAAPNILPHAGAPPDHPHRGCRGIAMPSTPPTSMSALATTPHSPLKQPIAKHLCYGVINLNKPSNLLSHEVITWNKHLLHIEKTSHNGTLDPKVTGNLIVCVNRATRLVKSWLGASNECICVACFHAIVPDKTHVACA >ORUFI02G12090.1 pep chromosome:OR_W1943:2:9209956:9215540:1 gene:ORUFI02G12090 transcript:ORUFI02G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRRDASASDSDDADARSSSPRPRRRPDPAPPRAPLLSSLVVKLQPRGPEEEHGAADRLERRRDPPPAARRPPREPSPPGFMRRRESRQTPPPQQPHAAPRRRGGSASPPPPRRRGSPLGFRPRYPQPREEPQGERAIASYPFFPSEDTVFWFPRKHLPKENVVENEGYHPHSGSMIPPRGRGVESSNFNDGSRYGYELASKGGERLQYVSPPNGRGRPHRRDGGAPGKDFIFINGEYVHRNDPNLSPREGDWICQNPTCGNLNFARRSHCNNCNKERYAPAMYKSSYSPDRRQFNSPPQGPPSRVIGPPSGRDLPREKQRYRSPPRGWGMVRPDDHRDYSTQLSQDRPGRMADPMHRDRINFGDELPHRQRGKFDWDGYNHREHPRDGPYLDRREPRLGSPRGNWGQAVRDRSHSPMRNKPMNRGLDLRERSRSPFRDRPLNKASIGRGGPDRDYVHPFDTHGRPHNLGNSRGHGYRQEDDLFPIRNQGDQRVLSRHRNGIH >ORUFI02G12090.2 pep chromosome:OR_W1943:2:9209956:9215540:1 gene:ORUFI02G12090 transcript:ORUFI02G12090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRRDASASDSDDADARSSSPRPRRRPDPAPPRAPLLSSLVVKLQPRGPEEEHGAADRLERRRDPPPAARRPPREPSPPGFMRRRESRQTPPPQQPHAAPRRRGGSASPPPPRRRGSPLGFRPRYPQPREEPQGYHPHSGSMIPPRGRGVESSNFNDGSRYGYELASKGGERLQYVSPPNGRGRPHRRDGGAPGKDFIFINGEYVHRNDPNLSPREGDWICQNPTCGNLNFARRSHCNNCNKERYAPAMYKSSYSPDRRQFNSPPQGPPSRVIGPPSGRDLPREKQRYRSPPRGWGMVRPDDHRDYSTQLSQDRPGRMADPMHRDRINFGDELPHRQRGKFDWDGYNHREHPRDGPYLDRREPRLGSPRGNWGQAVRDRSHSPMRNKPMNRGLDLRERSRSPFRDRPLNKASIGRGGPDRDYVHPFDTHGRPHNLGNSRGHGYRQEDDLFPIRNQGDQRVLSRHRNGIH >ORUFI02G12100.1 pep chromosome:OR_W1943:2:9223949:9224189:-1 gene:ORUFI02G12100 transcript:ORUFI02G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALGRRRGGRRWREHAGIPRLPLARRPALVVRGAAGSGFLAQIQRILGWGRGGLESSRRADGVNIAGTLGV >ORUFI02G12110.1 pep chromosome:OR_W1943:2:9224823:9225593:-1 gene:ORUFI02G12110 transcript:ORUFI02G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLWSLVFCGAYLIDGGGGERGVGMSNYRVLCMFGELACVFSPGNDGGWRFVDTGLKYGDGEDVEFPEDTQFVGRAAGKIYWWAPGGLVQVFDEATDTFFLMEFPKHMRWEYHKSNLRVIGGVDGGGIRVVRMTGEDLEIYGESGGGEWAVERSVRLADATRGLPGRQEVFFTAEAPAARIVTAGGDGFVTLAPAEDETWLFSVDLETMEAERDHERNKHVGEAHPCSAPPLAAVFRACGVGDATRTEKSGSRL >ORUFI02G12120.1 pep chromosome:OR_W1943:2:9231613:9238543:1 gene:ORUFI02G12120 transcript:ORUFI02G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLKSSAYKRVPSRDAAMDLDVETPAKMADGGAPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISTDLGFAGNTLAEGLVVSICLGGAFVGCLFSGSIADGIGRRRAFQLSALPMIIGAAVSALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPSVRGTYGSFVQIATCLGIVVSLLIGTPVKDIDRWWRVCFWVAAVPATLQALGMEFCAESPQWLYKCGRTTEAEIQFEKLLGPLHVKSAMAELSRSERGDDGENVKYSELFYGRNFNVVFIGTTLFALQQLSGINSVFYFSSTVFRSVGVPPNLANICMGIANLSGSIVAMLLMDKLGRKVLLSGSFLGMAFAMGLQAVGANRHHLGSASVYLSVGGMLLFVLTFSLGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWFVVLAASGATWSTSSVHNVFLSLCGSSNICAAPYFISEFEATTTYMISI >ORUFI02G12120.2 pep chromosome:OR_W1943:2:9231879:9238543:1 gene:ORUFI02G12120 transcript:ORUFI02G12120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHAAVVLKSSAYKRVPSRDAAMDLDVETPAKMADGGAPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISTDLGFAGNTLAEGLVVSICLGGAFVGCLFSGSIADGIGRRRAFQLSALPMIIGAAVSALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPSVRGTYGSFVQIATCLGIVVSLLIGTPVKDIDRWWRVCFWVAAVPATLQALGMEFCAESPQWLYKCGRTTEAEIQFEKLLGPLHVKSAMAELSRSERGDDGENVKYSELFYGRNFNVVFIGTTLFALQQLSGINSVFYFSSTVFRSVGVPPNLANICMGIANLSGSIVAMLLMDKLGRKVLLSGSFLGMAFAMGLQAVGANRHHLGSASVYLSVGGMLLFVLTFSLGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWFVVLAASGATWSTSSVHNVFLSLCGSSNICAAPYFISEFEATTTYMISI >ORUFI02G12120.3 pep chromosome:OR_W1943:2:9231759:9238543:1 gene:ORUFI02G12120 transcript:ORUFI02G12120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLKSSAYKRVPSRDAAMDLDVETPAKMADGGAPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISTDLGFAGNTLAEGLVVSICLGGAFVGCLFSGSIADGIGRRRAFQLSALPMIIGAAVSALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPSVRGTYGSFVQIATCLGIVVSLLIGTPVKDIDRWWRVCFWVAAVPATLQALGMEFCAESPQWLYKCGRTTEAEIQFEKLLGPLHVKSAMAELSRSERGDDGENVKYSELFYGRNFNVVFIGTTLFALQQLSGINSVFYFSSTVFRSVGVPPNLANICMGIANLSGSIVAMLLMDKLGRKVLLSGSFLGMAFAMGLQAVGANRHHLGSASVYLSVGGMLLFVLTFSLGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWFVVLAASGATWSTSSVHNVFLSLCGSSNICAAPYFISEFEATTTYMISI >ORUFI02G12130.1 pep chromosome:OR_W1943:2:9241449:9245734:1 gene:ORUFI02G12130 transcript:ORUFI02G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDVKQRQIIESRARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIKSGKSDKEIYKKLQADYGETILYTPKFDLQTAAIWLSPVIVGGVAAGVWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMLDVLTPPPPANKCFTLPLALAASIDELDAPADVLAAPHYDGEWKHGSGVATRAHGGSGTAVAASFSHHGRRGMIHGRNPASPCAT >ORUFI02G12130.2 pep chromosome:OR_W1943:2:9242637:9245734:1 gene:ORUFI02G12130 transcript:ORUFI02G12130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDVKQRQIIESRARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIKSGKSDKEIYKKLQADYGETILYTPKFDLQTAAIWLSPVIVGGVAAGVWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMLDVLTPPPPANKCFTLPLALAASIDELDAPADVLAAPHYDGEWKHGSGVATRAHGGSGTAVAASFSHHGRRGMIHGRNPASPCAT >ORUFI02G12130.3 pep chromosome:OR_W1943:2:9243114:9245734:1 gene:ORUFI02G12130 transcript:ORUFI02G12130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDVKQRQIIESRARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIKSGKSDKEIYKKLQADYGETILYTPKFDLQTAAIWLSPVIVGGVAAGVWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMLDVLTPPPPANKCFTLPLALAASIDELDAPADVLAAPHYDGEWKHGSGVATRAHGGSGTAVAASFSHHGRRGMIHGRNPASPCAT >ORUFI02G12130.4 pep chromosome:OR_W1943:2:9243011:9245734:1 gene:ORUFI02G12130 transcript:ORUFI02G12130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDVKQRQIIESRARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIKSGKSDKEIYKKLQADYGETILYTPKFDLQTAAIWLSPVIVGGVAAGVWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMLDVLTPPPPANKCFTLPLALAASIDELDAPADVLAAPHYDGEWKHGSGVATRAHGGSGTAVAASFSHHGRRGMIHGRNPASPCAT >ORUFI02G12140.1 pep chromosome:OR_W1943:2:9245805:9252032:-1 gene:ORUFI02G12140 transcript:ORUFI02G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGGGGWDDDDDGDEQCATPPPPRSFSPMMMTEAGMKLVTPPWRRWRRWRGGCAESGRAVRAACVAAAVVLAVVVLSYYARWGGDQDEMPTSLFTTRGSEGATSANLTDDQLLGGLLTAAFSPQSCRSRYEFAGYHKRKPPHKPSPYLVAKLRSHEALQKRCGPGTAPYDKALRQLKSGDGAAAADGDDDCRYLVSISYNRGLGNRIIAIVSAFLYAVLTERALLVAPYNGDVAALFCEPFPGTTWLLPDGGRRFPLLHLRDLDGKSKESLGALLKSNGIVSVAAGVNGSTSSSWSGRPPPPYVYLHLDGGADYHDKLFYCDEQQRLLRGVPWLLMKTDSYLVPGLFLVPSLRGELERRGTTRRSATSSVAAAVACTSRATRGCSGWATRRTT >ORUFI02G12150.1 pep chromosome:OR_W1943:2:9256318:9256878:-1 gene:ORUFI02G12150 transcript:ORUFI02G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDSYLVPGLFLVPSLRGELERMFPEKDAVFHHLSRYLLHPANAVWHAITAYHRDHLAGAGHLVGIQIRVYHEETPPVSQVVLDQVLSCARRENLLPAAGNTSSSDQAVLVTSLSSWYYEKIRDELDLLYTPPLE >ORUFI02G12160.1 pep chromosome:OR_W1943:2:9257610:9261557:1 gene:ORUFI02G12160 transcript:ORUFI02G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEILHFPPPCTVAGEIPLKSPNRLAPSQSPKSACFQGREESRAITTVVTSESTAIIGAAGLRERGRAAGLREGRLMTAPTSASMAMDLTFRGEGKQAPSSQPSQITQQQGPLPDSAFIQQNQPSTRPVVLTTATKEGRAKITRQRRRHEVLQRRRQISLSKKKVLLQGRRRGLH >ORUFI02G12170.1 pep chromosome:OR_W1943:2:9262176:9262751:-1 gene:ORUFI02G12170 transcript:ORUFI02G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSQGLVAAPMASSSSASACTRRNKVPLILCPSCENKTVVKRTSKAVSNLDRIFYTCPDHEKDGSGYNFWFWEEGYMKYLKKNGLIAGEEAADVNAQVAASLKNAGQLDETEVPREDDDELKQTLITAVSIGRELVVVLKNMLVLGWLGVAVLVCILVVLMMK >ORUFI02G12180.1 pep chromosome:OR_W1943:2:9278634:9282475:1 gene:ORUFI02G12180 transcript:ORUFI02G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEVGDGVPSSSPASTTEVAVKAVPWWRRLPLLSGCGTGRKAVRAASVAAAVLIAAVVLSYYARGDYDEMPSSLFTTTTATRVNLTQIKGVITCKQRGDVLER >ORUFI02G12190.1 pep chromosome:OR_W1943:2:9286191:9287404:1 gene:ORUFI02G12190 transcript:ORUFI02G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEDAPPSNSNLTGDQLLGDLLSAAFSWQSCRSRHEALQVRCGPGTAPYEKALRQPKSGDGAIAADGDDDDCRYVVSIVYDRGLGNRVIPIISAFLYAVLTERALLVAPYNGDVDALFCEPFPGTTWIHPGGRRFPLRRLRDLDGKSRESLGTLLKSNAVSVDAGGNGTSSWSGRPPPYVYLHLDGGADYHDKLFYCDEQQRPATSSAYRFACTTRRRRRCRRWCSTREKLIPFPTAGTTTNTSSSDQAVLVTSLNSWYSDRIRDELGGGGGVHQPSHEGWQRMGDTAHDMRALSEMYLLST >ORUFI02G12200.1 pep chromosome:OR_W1943:2:9286414:9286663:-1 gene:ORUFI02G12200 transcript:ORUFI02G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPDLGCRSAFSYGAVPGPHRTWSASWLRSLATRGKACAPANSYRLRHDCQLNAAERRSPRSWSPVRFEFEGGASSKPE >ORUFI02G12210.1 pep chromosome:OR_W1943:2:9286725:9287041:-1 gene:ORUFI02G12210 transcript:ORUFI02G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAPPSRWRYTYGGGRPDHDDVPEAPEREPPAAGVNPRRPREGLAEEGVDVAVVRRDEERALGEDGVQEGGDDGDDAVT >ORUFI02G12220.1 pep chromosome:OR_W1943:2:9287480:9287701:1 gene:ORUFI02G12220 transcript:ORUFI02G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPVWDKEPATEVPEPPCVRAASPEPCFHSPSYYDCAARRNYEDIGKAVPYIRRCEDVSWGIQLVKGSSHW >ORUFI02G12230.1 pep chromosome:OR_W1943:2:9288059:9288912:1 gene:ORUFI02G12230 transcript:ORUFI02G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSQSVVARARWETTSNQVQVAVPWLLLARPPPSSKEGTVNLVPLCEKKIVGKHISGTIANLNRLKIAAKLDKAVAMRKDDEVKQMVIALVAIGRNSSGTEEHASTGLHLRSGSFLQQKLDKLLDSRGMLFKLLNGVFRAKTFYIKVVLKYQINPFFKFVIIKTQLIIYYYHLVLRETLNIHLHLQKIQTPSK >ORUFI02G12240.1 pep chromosome:OR_W1943:2:9290943:9291173:-1 gene:ORUFI02G12240 transcript:ORUFI02G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLATPIITAADPATPVVTAADPAFPVDAADRSSPKLAEKRRRQPAAAVVTAMEDGEGEGENAGEERRRLRWRW >ORUFI02G12250.1 pep chromosome:OR_W1943:2:9296054:9300396:-1 gene:ORUFI02G12250 transcript:ORUFI02G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAGSGDEWSETAMLVIDMQKDFVDPATSSAALLAGEAILPTVTAAVAVARQRGIFIVWVVREHDPSGRDVELFCRHFYSSGKGLGVEGSKGAELADGLTIKDGDYKLGFKHQIAFDRQSLML >ORUFI02G12260.1 pep chromosome:OR_W1943:2:9300731:9312787:-1 gene:ORUFI02G12260 transcript:ORUFI02G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFILVGLEKGAFRFLLHYLKKRWLSPRISTSPSKSRRSMAAAAAGGGGAKWSETAMLVIDMQKDFVDPAMRSPMLVDGGQAVVPTVAEAVAVARERGIYVVWVVREHDPSGADVEIFRRRYYSGGKGPTVKGLKGADLADGLVIKEGEYKLVKTRFSAFFATPLDSVLKTSGIKKLVIVGVQTPNCIRQTVFDAVALDYEKVTVIIDATAAARPEIHLKYKRYEEYRSGNTNLGRMATIKLLFLVIYYMLNSKLGLAMRPAPD >ORUFI02G12260.2 pep chromosome:OR_W1943:2:9300731:9311727:-1 gene:ORUFI02G12260 transcript:ORUFI02G12260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLVLLLSLDKFLLHYLKKRWLSPRISTSPSKSRRSMAAAAAGGGGAKWSETAMLVIDMQKDFVDPAMRSPMLVDGGQAVVPTVAEAVAVARERGIYVVWVVREHDPSGADVEIFRRRYYSGGKGPTVKGLKGADLADGLVIKEGEYKLVKTRFSAFFATPLDSVLKTSGIKKLVIVGVQTPNCIRQTVFDAVALDYEKVTVIIDATAAARPEIHLKYKRYEEYRSGNTNLGRMATIKLLFLVIYYMLNSKLGLAMRPAPD >ORUFI02G12260.3 pep chromosome:OR_W1943:2:9306545:9311727:-1 gene:ORUFI02G12260 transcript:ORUFI02G12260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLVLLLSLDKFLLHYLKKRWLSPRISTSPSKSRRSMAAAAAGGGGAKWSETAMLVIDMQKDFVDPAMRSPMLVDGGQAVVPTVAEAVAVARERGIYVVWVVREHDPSGADVEIFRRRYYSGGKGPTVKGLKGADLADGLVIKEGEYKLVKTRFSAFFATPLDSVLKTSGIKKLVIVGVQTPNCIRQTVFDAVALDYEKVTVIIDATAAARPEIHLKYKRYEEYRSGNTNLGRMATIKLLFLVIYYMLNSKDLSIHVK >ORUFI02G12270.1 pep chromosome:OR_W1943:2:9303990:9307183:1 gene:ORUFI02G12270 transcript:ORUFI02G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRRVVGARGEGSGRRGPLSRRTFLPTSCQLHPPRADTSEDSPTLSPAEDMLERTKLAQGLSCVYLQSAL >ORUFI02G12280.1 pep chromosome:OR_W1943:2:9312923:9321240:-1 gene:ORUFI02G12280 transcript:ORUFI02G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAAAVAVSFPRPAPPPRRCAPRRHRRALAPRAASSSPSPSTAVAAPVYAPTPRDRALRTPHSGYHYDGTARPFFEGWYFKVSIPECRQSFCFMYSVENPLFRDGMSDLDRVIHGSRFTGVGAQILGADDKYICQFTEKSNNFWGSRHELMLGNTFIPNNGSTPPEGEVPPQCFCIKEFKSKYVPNVQTARWEYSTRPVYGWGDVTSKQKSTAGWLAAFPFFEPHWQICMAGGLSTGWIEWDGERFEFENAPSYSEKNWGAGFPRRWYWVQCNVFSGASGEVALTAAGGLRKIGLGETYESPSLIGIHYEGKFYEFVPWTGTVSWDIAPWGHWKLSGENKNHLVEIEATTKEPGTALRAPTMEAGLVPACKDTCYGDLRLQMWEKRNDGGKGKMILDATSNMAALEVGGGPWFNGWKGTTVSNEIVNNVVGTQVDVESLFPIPFLKPPGL >ORUFI02G12290.1 pep chromosome:OR_W1943:2:9322523:9323064:-1 gene:ORUFI02G12290 transcript:ORUFI02G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQSSLLVASGLCHPGVGCTTIGVGCSMASGSTTGLAGLAFDDAGRCDRNSLGRSGGHTMRWALGGMRQTLLQTRALLRQRLATTRWPRWQAYTGKSGSTLLSHPLLLQLKDVDEVEHIGMSSSYLLADR >ORUFI02G12300.1 pep chromosome:OR_W1943:2:9338556:9345395:-1 gene:ORUFI02G12300 transcript:ORUFI02G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGVLHKSSALVGEMGEGHGWWSVNNLRPPFVEQLHNPASLFLPSSTTTSTTPSSSSSPLHSFSSLLLSNHYPLPSTATTSTAAAPWHDTGSRHGQHLQDSWNHILLGGLASGEEGYNKNWEGQVLFPTTPAAAAAEADHGSNSYNNIYSTTTTSHGSSTSDDASQLAVAARPSSSPWGGIHGHHPHHNALQQQASSPRSSCITSTTSLGSNGVLEFSNNTSPRECISTASGAAFKKARTQEPSPAQATVKVRKEKLGDRITALHQLVSPFGKALSSPYLGNGGSSSNGGGGSNSKLQHQPEASRVQGERNSIFPEDPGQLLHDNAVKKRGQPDQDESCEEAKKMDLRSRGLCLVPVSCTVDVGVDAGPADYWAAPPAFGIGFGR >ORUFI02G12310.1 pep chromosome:OR_W1943:2:9353935:9356614:1 gene:ORUFI02G12310 transcript:ORUFI02G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCTRSLLVEVASLSRRWKGVKDGGSCSVLFDAAEGNVVVASCTAVDRSDRNNELIAAAASTTRRRRRSEQRWGWLRPYSGTEAENNTYLSRGSTSFRASQFKCLASTNKKPERVWSDTINQESGRLGSRGGGSTVGECKEEADEEAVDDAYAEIIESHARTRLVSVEDDGGRRRRYDACRL >ORUFI02G12320.1 pep chromosome:OR_W1943:2:9362850:9368754:1 gene:ORUFI02G12320 transcript:ORUFI02G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRPLHHAARRLLLLFPRPRAFSSAAAAAPSREPLHVCVVGSGPAGFYTADKMLKGHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANDRCQFYGNVTLGRDVSLSELRKTYDVVVLAYGAESDRSLGIPGEDLRGIHSAREFVWWYNGHPDMCNLAPDLQSTDSAVVLGQGNVALDVARILLRCTSELAATDIADYALDALRGSTIKKVYLVGRRGPVQAACTAKELREILGLKNVHVFIKEADLVTLPADEEEIRNSRIKRRVYELLSKAAIAHKGKNNNGQKELHFVFFRRPTRFLPSEDGVTVGAVQLEKTCLKDDGVAGRQVAVGTGEFEDLKCGLVLKSIGYKSLPVEGLPFDKYRGIVPNLKGRVLSSESENATVEPGLYVVGWLKRGPTGIVATNLHCAEETVASILEDDKKGLFMGPSDSKKQGRRGLLEILEQKNIRFVPFDGWEKIDSKEKMAGELKNKPREKITTWNEILMASN >ORUFI02G12330.1 pep chromosome:OR_W1943:2:9369263:9372112:-1 gene:ORUFI02G12330 transcript:ORUFI02G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVASQELLLVLLISLQCLSCLAIANPALDRQAEALLQWKSGLRGDLSYCGLEEWSNATSPCNWSGIYCSYKVRRGHERDAILVVTNITLFSCNISGGLSKLRFAQLPHLVFLDLSINSLYGPIPSDIGRLAELSYLDLSNNKLTGSIPPSIGNLTNLGFLELSNNYLSQGILSCLPDTLHNLQYLDLSHNSLTGPIPSSLGNLARLYFLDLGFNNLFGHIPREIGMLHSLVALDLDHNNINGSIPTTIGNLTSLKSLDLSTNEITGFIPESIGNLSLIELYLSINEITGFIPESIGNLRSLIKLYLSTNEITGSIPESIGNLTSLQNMDLSNNRIIGPIPSTFSKLISLITLKLESNVLNAILPPELGFLRNLFVLDLSSNQFTGSIPPQIGQFHHLSLLRLRNNLLTGPIPEELGYCTDLTELDLSRNNLSGAIPMTFMMLYRLLELNLSYNSLGGRFFGFYTTEASSVVSLDHNTGICGDPLYGLTPCQPSNPNPDSLHTNENSDSKHLVPRLLLAFAMFSCIWLVGGSITVVCWRRKLAKKGKEIIPGDFVSIWNFDAKVAFQDALYATENFDEKYCIGVGSYGSVLELRSKEKLLHRMEDYFDIGAFLAEIEVLTKIRHRRIVKLHGYCSHSQCKFLVYDLIERGSLASIWNDQELAKELDWCKRVTIVMDIAQALSYLHHDCDDPIVHRDIKSSNILLDHDFKAYLSDFGMAKKLKDNSSSWSTIFAGTCGYIAPELSSTMVLTEKCDVYSFGVVMLEVVMGKHPGDLLLPFFCRTEQHTKLKDILDQRIMAPTTEEKDIILLVLVAFACLQICPKSRPTMQQVCQAMTTRSLPAPILKPLDEIKLQHFHDFCGTIQNI >ORUFI02G12340.1 pep chromosome:OR_W1943:2:9392578:9393000:-1 gene:ORUFI02G12340 transcript:ORUFI02G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLALELLLLSSACLLCPANSTASVLDRQADALLQWKSGLDGHGSCLNSWTKGTNTCNWTGIVCSTSDDAPGILSISLNSCGISGNLDGFWFAEFPHLQGLDLGNNSISGPIPSSIGRLVDLFDLDLSSNRFSGSIPTP >ORUFI02G12350.1 pep chromosome:OR_W1943:2:9403734:9408408:1 gene:ORUFI02G12350 transcript:ORUFI02G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELSIENHSPISMDELSFGSLFMVAMATLALALALMVMGAHRRGGEKGATTGAKNLPPGPWNLPVIGSLHHLLGASPPHRALLRLSRRHGPLMLVRLGEVPTVIVSGSDAAMEVLKARDPAFADRARSTTVDAVSFGGKGVIFAPYGEHWRHARRVCLAELLSARQVRRLESIRQEEVSRLVDSIIAGSSNAAAVDMTRALAALTNDVIARAVFGGKCARQEEYRRELGVLTTLVAGYSMVDLFPSSRVVRWLSRRTERRLRRSHAEMARIVGSIIEERKEKKGSDAGVGAKDEDDDLLGVLLRLQEEDGLTSPLTAEVIAALVTDIFGAATDTTASTLEWIMVELMRNPRAMDKAQQEVRNTLGHEKGKLIGIDISELHYLCMVIKETLRLHPASALILRQSRENCRVMGYDIPQATPVLINTFAVARDPKYWDNAEEFKPERFENSGADIRTSIAHLGFIPFGAGCRQCPGALLATTTLELTLANLLYHFDWALPDGVSPKSLDMSEVMGITLHRRSSLHLHTTLTRSGFFSHSGR >ORUFI02G12360.1 pep chromosome:OR_W1943:2:9413318:9413719:-1 gene:ORUFI02G12360 transcript:ORUFI02G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIALFLLLVVAGAGAGAQGGDAGSSPPAPAATGEWVPIGGDVIRGDGLYRQVARFALVVRMLAFGAAEGELTLVEVVAGSVQAAGAGNNYRLLLRAAGGVGTYEAVVWGVPGSTGWTWKVLSFRRVAGDQN >ORUFI02G12370.1 pep chromosome:OR_W1943:2:9415653:9425861:-1 gene:ORUFI02G12370 transcript:ORUFI02G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLHSPPTAPAAFGGAGSADWRRRRRWSWSSSSRAPVAKGGHLRPCVWRRGGDDGGGEDHHADGGGGGGGGAAWRARATTAGVSSSSSTAKGLQANIIEHETPRITKWPNESRDLDDHQQNNEADEEADDELQPLVEQVRSMLSSMEDGAITASAYDTAWVALVPRLDGEGGTQFPAAVRWIVGSQLADGSWGDEALFSAYDRVINTLACVVALTRWSLHHDQCKQGLQFLNLNLWRLAEEEPDTMPIGFEIAFPSLVEAARGLGIDFPYDHPALKGIYANRELKLKRIPKDMMHIVPTSILHSLEGMPGLDWQRLLKLQCSDGSFLFSPSATAYALMQTGDKKCFAYIDRIIKKFDGGVPNVYPVDLFEHIWVVDRLERLGISRYFQREIEQNMDYVNRHWTEDGICWARNSNVKEVDDTAMAFRLLRLHGYNVSPSVFKNFEKDGEFFCFVGQSTQAVTGMYNLNRASQISFPGEDILQRARNFSYEFLREREAQGTLHDKWIISKDLPGEVQYTLDFPWYASLPRVEARTYIGQYGGNDDVWIGKTLYRMPIVNNATYLELAKQDFNRCQALHQHELQGLQKWFIENGLEAFGMTPEDVLRAYFLAAACIFEPNRASERLAWARVSVLANTISRHFYSDMSSMKRMERFMWSSLYEENGNVLGLEGYAKDGILARTLCQLIDLLSQETPPWIEWMMQQINMKDGRYGKGRVMHPGSCTVHNKETCLLIAQIVEICAGRIEEAASMINNTEGSWFIQLASSICDSLHAKMLLSQDTKKNETTINQIDKEIELGMQELAQYLLPRVDDRRINNKTKQTFLSIVKSCYYAANCSPHMLDQHISEVIFEQC >ORUFI02G12380.1 pep chromosome:OR_W1943:2:9461676:9462062:-1 gene:ORUFI02G12380 transcript:ORUFI02G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLALLSTGLSLSLSCADLAAIVIAEPRLLCAKADTITASARLGHPVRRRRRARRRRCRPSPPLPLSPESSIAAVAEPPAAAHRRHQGGRWRPCLEVRAEAASAMVVANGVVGGCKWRSSHPSLVP >ORUFI02G12390.1 pep chromosome:OR_W1943:2:9463860:9464443:1 gene:ORUFI02G12390 transcript:ORUFI02G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWEGRQHRRVVSSPLPDLAGGEAIAVACDDGREATAGTAASPPPDLTGGEATAMACGGGDALPDRHQNRHLFTLHISVAFQVPVGKSGTFVGSQLAPIKVSIVVIMSPFELKEKNKSLYDSNLIGFFYIYPFKTKD >ORUFI02G12400.1 pep chromosome:OR_W1943:2:9472512:9475682:1 gene:ORUFI02G12400 transcript:ORUFI02G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGGRGGGAQAAVAEQIAQAVQSTSNLLQLMEESSPAQAHLAKLPKKLLAKASLAKNTGQVLHQLPSVISSLDAYMDASLQSASQIKTVTQLLSNMENNQLRSILPASRLEKAQKKTETGELRIE >ORUFI02G12410.1 pep chromosome:OR_W1943:2:9480449:9484431:1 gene:ORUFI02G12410 transcript:ORUFI02G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFSGPERGPAQPGPPLTRTCNRARIRVVALSPLFSSPPPSPPLAIPTAAGDARAAVAGGCVRAAPTSRLVDGDGAAVPPYSSPATSSRGAVSLDPLAKARKEGRLSPATDAVRPDLRF >ORUFI02G12420.1 pep chromosome:OR_W1943:2:9493677:9495364:-1 gene:ORUFI02G12420 transcript:ORUFI02G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAGVSDAAITVRDKLRGKIGQTKVKRYWPGKAPEWADDGEDDADLRTANKVSLDKAFPKDEDGDAPPLKDDRRLRRLAQTRAENKEELRADHRRIRQAEIVSTVDEENERQEAELEEEDDEEAQEERRRRIRARQLLREQEELLPQEEEPIEEEEESEESEYETDSEDEQTGMAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIETRQIVVEEIRKEEHIEKTLNEEANIEDVDTDDELNEAEEYESWKNREIARIKRDREERDARLKEKEEIEKVRNMTEEERREWERKNPKPLRENKQKWKFMQKYYHKGAFFQEGADDVIQSAGKDDIYARDFSAPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNAPWATNGPLRAKYNAKMAGMNAPIAKPKGSKKMKDWDTKQDD >ORUFI02G12430.1 pep chromosome:OR_W1943:2:9500852:9507009:1 gene:ORUFI02G12430 transcript:ORUFI02G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLQGGGGGAAVMAVVVVAMVAGAASGGNFYEECDATWEPQNCWSSDNGKSLSLALVSNSSGSMIRSKRQFVYGSVSTSVQLVPGNSAGTVTTFYTSSLGDKHDEIDFEFLGNETGQPYTIHTNVYANGVGDKEMQFKPWFDPTDGSHNYTISWTPCRIVWYIDGMPIRVFRNCQSSNGVAFPTWQPMYAYSSIWAAEDWATQKGRVKTDWSKAPFVANYHGIDLDVCECYGGDCVYGCAAAFNQGGGCAGQQLTGDEMGQMKWVQDNFRIYDYCVDYKRFNGQMAPERTTTTMGRLSLLLVVFTAAAAVVGLAGASFRDECDIPWEPQNARFTDDGNGLSLSLVSNYSGCMLRTKKQFIFGSVSTLIQLVPGNSAGTVTTYYTSSVGDNHDEIDFEFLGNETGQPYTIHTNIYANGVGDKEMQFKPWFNPTDGYHNYTVSWTACMIVWYIDGTPIRVFRNYEKSNGVAFPMKRPMYGYSSIWAAEDWATQGGRVKADWSKAPFVANYRGLNINVCECSTTSGGGNSCAAKCASTYNSKSSVCQLSDSELARMRKVQDEYRIYNYCVDPKRYNGSVPVECSLPQ >ORUFI02G12440.1 pep chromosome:OR_W1943:2:9512303:9520757:1 gene:ORUFI02G12440 transcript:ORUFI02G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGAKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEDNVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRVEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYSLPWQGLKAATKKQKYDKISEKKLATPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLADREGYQYDHVFDWTLLKCKQSQKAKAQQQDPGVSSRAVPTNIEKHQVSVSRPTEASGQLESEQRPAIRMQFKSTAENSRSSNRHTDKLRVGTSTENVLLQSTSFGHADAPRRNIAISKTQGLVDSNAHGTNNPGPSNHL >ORUFI02G12440.2 pep chromosome:OR_W1943:2:9512303:9520757:1 gene:ORUFI02G12440 transcript:ORUFI02G12440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGAKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEDNVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRVEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYSLPWQGLKAATKKQKYDKISEKKLATPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLADREGYQYDHVFDWTLLKCKQSQKAKAQQQDPGVSSRAVPTNIEKHQVSVSRPTEASGQLESEQRPAIRMQFKSTAENSRSSNRHTDKLRVGTSTENVLLQSTSFGHADAPRRNIAISKTQGLVDSNAHGTNNPGPSNHL >ORUFI02G12440.3 pep chromosome:OR_W1943:2:9512303:9520757:1 gene:ORUFI02G12440 transcript:ORUFI02G12440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGAKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEDNVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRVEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYSLPWQGLKAATKKQKYDKISEKKLATPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLADREGYQYDHVFDWTLLKCKQSQKAKAQQQDPGVSSRAVPTNIEKHQVSVSRPTEASGQLESEQRPAIRMQFKSTAENSRSSNRHTDKLRVGTSTENVLLQSTSFGHADAPRRNIAISKTQGLVDSNAHGTNNPGPSNHL >ORUFI02G12440.4 pep chromosome:OR_W1943:2:9512303:9520757:1 gene:ORUFI02G12440 transcript:ORUFI02G12440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGAKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEDNVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRVEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYSLPWQGLKAATKKQKYDKISEKKLATPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLADREGYQYDHVFDWTLLKCKQSQKAKAQQQDPGVSSRAVPTNIEKHQVSVSRPTEASGQLESEQRPAIRMQFKSTAENSRSSNRHTDKLRVGTSTENVLLQSTSFGHADAPRRNIAISKTQGLVDSNAHGTNNPGPSNHL >ORUFI02G12440.5 pep chromosome:OR_W1943:2:9512303:9520757:1 gene:ORUFI02G12440 transcript:ORUFI02G12440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGAKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEDNVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRVEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYSLPWQGLKAATKKQKYDKISEKKLATPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLADREGYQYDHVFDWTLLKCKQSQKAKAQQQDPGVSSRAVPTNIEKHQVSVSRPTEASGQLESEQRPAIRMQFKSTAENSRSSNRHTDKLRVGTSTENVLLQSTSFGHADAPRRNIAISKTQGLVDSNAHGTNNPGPSNHL >ORUFI02G12440.6 pep chromosome:OR_W1943:2:9512303:9522281:1 gene:ORUFI02G12440 transcript:ORUFI02G12440.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGAKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEDNVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRVEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYSLPWQGLKAATKKQKYDKISEKKLATPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLADREGYQYDHVFDWTLLKCKQSQKAKAQQQDPGVSSRAVPTNIEKHQVSVSRPTEASGQLESEQRPAIRMQFKSTAENSRSSNRHTDKLRVGTSTENVLLQSTSFGHADAPRRNIAISKTQGLVDSNAHGTNNPGPSNHL >ORUFI02G12450.1 pep chromosome:OR_W1943:2:9520079:9521967:-1 gene:ORUFI02G12450 transcript:ORUFI02G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALPMAAGRAAAVAACASPAVPRRSLLLSTAAAALQPEPVRLTRGASAAPKLRASPPDAAQAAAAFGSKEEAFAWAKSDNRRLLHVVYRVGDIDRTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGAEDNHFVVELTYNYGVDKYDIGAGFGHFGIAVDDVAKTVELIRAKGGKVTREPGPVKGGKTVIAFVEDPDGYKFEILERPGTPEPLCQVMLRVGDLDRAISFYEKACGMELLRKRDNPEYKYTVAMMGYGPEDKNAVLELTYNYGVTEYDKGNAYAQIAIGTDDVYKTAEVVKLFGGQVVREPGPLPGINTKITSILDPDGWKSVFVDNIDFAKELE >ORUFI02G12460.1 pep chromosome:OR_W1943:2:9525217:9526059:-1 gene:ORUFI02G12460 transcript:ORUFI02G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMGEYCSNAPCKWLLDLGKGGAHDTNAHNLFDGMPSQSEMPKEDQRTSKPVPINSTMNKEEKWLDKVLDRILEKFEQMEAKRMQEEKINRIFQKLEEIEVRRSKASEEIIAAIRATTAVLKATSPTAPMAPPTPVPTKCLTECPNNNFTWATASSSHIGEDTTPTATWELGDNKDKGHVPCIVTKDSPEVTPTMCSMKCSGPTIEPNLTVAVVVTSATTAAASMELVAARNAIGATDINNLDHPKVTHAKCSMSSSGVKRGTEQVVLAFPLMLALWSS >ORUFI02G12470.1 pep chromosome:OR_W1943:2:9527598:9531654:1 gene:ORUFI02G12470 transcript:ORUFI02G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRAPAIVQELAAAGVEEPPSRYVLGEKDRSDELVAAELPEPLPVVDLSQLDGAEEATKLRVALQNWGFFLLTNHGVEASLMDSVMNLSREFFNQPIERKQKFSNLIDGKNFQIQGYGTDRVVTQDQILDWSDRLHLRVEPKEEQDLAFWPDHPESFRDVLNKYASGTKRIRDDIIQAMAKLLELDEDYFLDRLNEAPAFARFNYYPPCPRPDLVFGIRPHSDGTLLTILLVDKDVSGLQVQRDGKWSNVEATPHTLLINLGDTMEVMCNGIFRSPVHRVVTNAEKERISLAMLYSVNDEKDIEPAAGLLDENRPARYRKVSVEEFRAGIFGKFSRGERYIDSLRI >ORUFI02G12470.2 pep chromosome:OR_W1943:2:9527598:9531654:1 gene:ORUFI02G12470 transcript:ORUFI02G12470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRAPAIVQELAAAGVEEPPSRYVLGEKDRSDELVAAELPEPLPVVDLSQLDGAEEATKLRVALQNWGFFLLTNHGVEASLMDSVMNLSREFFNQPIERKQKFSNLIDGKNFQIQGYGTDRVVTQDQILDWSDRLHLRVEPKEEQDLAFWPDHPESFRDVLNKYASGTKRIRDDIIQAMAKLLELDEDYFLDRLNEAPAFARFNYYPPCPRPDLVFGIRPHSDGTLLTILLVDKDVSGLQVQRDGKWSNVEATPHTLLINLGDTMEVMCNGIFRSPVHRVVTNAEKERISLAMLYSVNDEKDIEPAAGLLDENRPARYRKVSVEEFRAGIFGKFSRGERYIDSLRI >ORUFI02G12470.3 pep chromosome:OR_W1943:2:9527598:9531654:1 gene:ORUFI02G12470 transcript:ORUFI02G12470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRAPAIVQELAAAGVEEPPSRYVLGEKDRSDELVAAELPEPLPVVDLSQLDGAEEATKLRVALQNWGFFLLTNHGVEASLMDSVMNLSREFFNQPIERKQKFSNLIDGKNFQIQGYGTDRVVTQDQILDWSDRLHLRVEPKEEQDLAFWPDHPESFRDVLNKYASGTKRIRDDIIQAMAKLLELDEDYFLDRLNEAPAFARFNYYPPCPRPDLVFGIRPHSDGTLLTILLVDKDVSGLQVQRDGKWSNVEATPHTLLINLGDTMEVMCNGIFRSPVHRVVTNAEKERISLAMLYSVNDEKDIEPAAGLLDENRPARYRKVSVEEFRAGIFGKFSRGERYIDSLRI >ORUFI02G12480.1 pep chromosome:OR_W1943:2:9558521:9567666:1 gene:ORUFI02G12480 transcript:ORUFI02G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSPSKVCSCPHYKGSLCFCDCGCFGQTPDSPRESRGKSNRVRGKTDSSASDASSDDLEEDDDGLHQMNITRDSNVGINRLSRVSSQFLPSEGSRKVRIPLGNYDLRYSYLSQRGYYPESLDKPNQDSFCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDDRFRTDVVQALHSAFLATNSQLHADSLDDSMSGTTAVTVLVRGKTIYIANTGDSRAVIAEKRGEDVVAVDLSIDQTPYRTDELERVKECGARVMTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVQNGMYPGTAFTRSIGDSVAESIGVVANPEIFILELNANHPFFVLASDGVFEFLSSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITIIVVHINGLTDMECTQTVMKVSLQPSQQVVELVGSESPSTINLNPKNQRSRQDLSRARLRALESSLENGRLWVPPSPSHRKTWEEQAHIERILHDHFLFRKLTDSQCHVLLDCMQRVEVKAGDIVVQQGGEGECFYVVGSGEFEVLAIQEEDGKEVTKVLHRYTADKLSSFGELALMYNKPLQASVRAVTTGTLWALKREDFRGILMSEFSNIPSLKLLRSVELFTRLTMLQLSQLADSLVEVTFGDGQMIVDKNDDASSLYIIQRGRVKLKLAADQVNSDAWDLLSSQTKVAQSSREDGNYVFEIDEGGHFGEWALFGETIAFTAMSVGDVTCSTIAKEKFDSIIGPLPKVSQSDSKLKDSLVPKGHGADDSSFRKAQLSDLEWKMCIYAADCSEIGLVQLRGSDKIKSLKRFYIKRVKDLHKEKHVFDEKDLMKSLSQSTCVPEVLCTCADQSYLGILLNCCLCCSLASILHAPLNESSARFYAASVVVALENLHQRSILYRGVSADILMVDRSGHLQLVDFRFAKKLQGERTYTICGIADSLAPEIVLGRGHGFSADWWALGVLIYFMLQSDMPFGSWRESELEPFAKIAKGHLVMPSTFSIEVVDLITKLLEVNENARLGAKGAESVKRHPWFDGIDWKQIADGTYTVPQEITDRVDSYVETLTEDLTASPSMPSEETADQAAPEWIQDW >ORUFI02G12480.2 pep chromosome:OR_W1943:2:9558918:9567666:1 gene:ORUFI02G12480 transcript:ORUFI02G12480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGILCFCDCGCFGQTPDSPRESRGKSNRVRGKTDSSASDASSDDLEEDDDGLHQMNITRDSNVGINRLSRVSSQFLPSEGSRKVRIPLGNYDLRYSYLSQRGYYPESLDKPNQDSFCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDDRFRTDVVQALHSAFLATNSQLHADSLDDSMSGTTAVTVLVRGKTIYIANTGDSRAVIAEKRGEDVVAVDLSIDQTPYRTDELERVKECGARVMTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVQNGMYPGTAFTRSIGDSVAESIGVVANPEIFILELNANHPFFVLASDGVFEFLSSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITIIVVHINGLTDMECTQTVMKVSLQPSQQVVELVGSESPSTINLNPKNQRSRQDLSRARLRALESSLENGRLWVPPSPSHRKTWEEQAHIERILHDHFLFRKLTDSQCHVLLDCMQRVEVKAGDIVVQQGGEGECFYVVGSGEFEVLAIQEEDGKEVTKVLHRYTADKLSSFGELALMYNKPLQASVRAVTTGTLWALKREDFRGILMSEFSNIPSLKLLRSVELFTRLTMLQLSQLADSLVEVTFGDGQMIVDKNDDASSLYIIQRGRVKLKLAADQVNSDAWDLLSSQTKVAQSSREDGNYVFEIDEGGHFGEWALFGETIAFTAMSVGDVTCSTIAKEKFDSIIGPLPKVSQSDSKLKDSLVPKGHGADDSSFRKAQLSDLEWKMCIYAADCSEIGLVQLRGSDKIKSLKRFYIKRVKDLHKEKHVFDEKDLMKSLSQSTCVPEVLCTCADQSYLGILLNCCLCCSLASILHAPLNESSARFYAASVVVALENLHQRSILYRGVSADILMVDRSGHLQLVDFRFAKKLQGERTYTICGIADSLAPEIVLGRGHGFSADWWALGVLIYFMLQSDMPFGSWRESELEPFAKIAKGHLVMPSTFSIEVVDLITKLLEVNENARLGAKGAESVKRHPWFDGIDWKQIADGTYTVPQEITDRVDSYVETLTEDLTASPSMPSEETADQAAPEWIQDW >ORUFI02G12490.1 pep chromosome:OR_W1943:2:9576858:9583138:1 gene:ORUFI02G12490 transcript:ORUFI02G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAEAEAAAGDEAWCRETVPRVMELVSPRLPQRDACALLAVSPWCHRALVANPKLWEPRYCHLKIINLEFAQDIDDRHFVRLKEMGCTSLQELELLNINACQKVSDKGIETITSLCPNLRALSIYWIVGLTDLTIRHIVQNCKHIVDLNLSGCKNISDKGMQLVADNYEGLKKLNITRCIKLTDDGLQEVLQKCSSLESLNLYALSSFSDKVYKKIGSLTNLTFLDLCGAQNVTDDGLSCISRCVCLTYLNLSWCVRVTDVGVVAIAQGCRSLQLLSLFGIVGVTDVCLEALSKHCSRSLTTLDVNGCIGIKKRSRDDLIQLFPLLRFELVTC >ORUFI02G12500.1 pep chromosome:OR_W1943:2:9585819:9590415:1 gene:ORUFI02G12500 transcript:ORUFI02G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKTIGFISGVNECVNLFQWARSAISSLHTRWSGTQDQKLQDEVLQLQSGLQRLKDTLPAMYDLIDRAEWRSHEDCVAKLLPNLKDAVYNADDLLDEFRWYEQKVALEGNAASQSPFLEFFDCVIQGRFNKVTDIIERLNNVSSELEKLGLREIPQRFDKTLRPETSSFPSDREIYGRDNELEKVMELLSVPKNYTGVHSKRKRGSNDASTSTSTSNQVSVPILPIVGIGGVGKTTLAQHICNHLLVKSHFDPVIWIFVSDDFDVKRLTKEAIESASGKEAKTDHLDSIQHVLRENVKNKRILIILDDVWDDALKENGQCWKKFCSPLANVCQGSMMLITTRSSKVSNALGTLEPFTVNCLENDIFWDFFKLCAFGSDSSNNDPELECIGRSILPKLKGSPLAAKTLGRLLRMDHHTTHWKNVQKSELWELKQEETDILPALQLSYMYLPLHLKRCFSFCAVYPKDYNFEKDSLCEIWVAEGFVEPEGDIPILDTSKKYFEDLVSRSFQKVYGTYVIHDLMHDMAQLVSKHDCFIIKDTGDFQKVPHNVRHLMILDSEKFDCSNLLSLCKHTKLRTILCNKSLWHKTLASVMDHWCTELWQIRVFSCAFLKEIPKSIGNLKHLRYLQISGSCHLNSIPLQFCCLYNLQCFNALECVVESLPCDFDRLINLRRYKSQGFVYDRMGQLHLGTHWEHEVRLMKNFNQFYGDLRLSNLGALSKDLAAEIKLNRKRYIGSLTLQWCLWISQEHNEMEVFQVLHPPTSLRSLKLMYYLGESLPCWFQEQNGCNEIAGVIANNNNGCISVFSSLTYLDISDCEKLSNLNQFLQVAHVPSLERIRISNCGRVASTPRFGDFHCLEELILDHCKIFDHSESLSIPSLKKLVLHYSGNPISKIECRSLTSLSFVCPSVTSIPLQVWSSNLPALQNLDIKWCPSLTFIGESEPADFTNLSHQVSSSSSRIRTFSSLTVLTIHGCEKLLTLDDLLKQEYLPFIKSIKISYCQGLLSLPGEMFGSFPFLNDLGIWNCPSLTWQRGLVLPSSLLELNLIDCGYFSTWLPSCLENVTSLVILRMIKCRGITYITDQTLSSNLASLQELCIEDCPDLVSIGRGKLIAKLKKGGMAGKIG >ORUFI02G12510.1 pep chromosome:OR_W1943:2:9631767:9635102:1 gene:ORUFI02G12510 transcript:ORUFI02G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKTIGFISGINECVNLFQWATSALSTLHSRWSGTQQQKLQGELLQLQSSLQRLRDTLPANYDLIDRAEWRIHKHCVANLLPNIKDAVYNADDLLDEFRWYEQKVALESNANQSPFMDFFNNMIQGSFNKVNDIMERLDNISSQLERMGLHEIPQRFDKSLRPETSSFPNERKIFGRDKELKKVMELLGVPINLTRACYKRKRNSSTADASMSTSEKSRISCFSVLPIVGIGGVGKTTLAQHICNHPQVKSHFDLIIWTCVSDDFDATRLIKEAIQSSSGKEATTDNLNCLQLGLSNIVNNKRFLIILDDVWDDALKENGQCWERLCLPLENGLQGSMVLVTTRSSKVADGVSTMDPFTLDGLKDDIFWDFFKLCAFGSQSSNNDPDLEDIGRGILPKLKGSPLAAKTLGRLLRMNLQIPYWNNILLSELWDLKQEETDILPALRLSYMYLPFHLKRCFSFCAVYPKDHKFEKNILAEIWVAEGFVESDGGFPILDIGHRYFEDLFLYATKCALESLPSDFSKLINLQTYESVGFAYYRMKNLLPVAGNDRNVRLMKNLNQFCGDLDIYPRGFSKDLAIEIDLKNKKDLRRLTLNWLFSSCKDNEIVLQVLQPPTNLKCLEVAGYGGESLPCWSNNGSISVFPSLTDLAISSCEKLSSLDHFLQADYMPVLERVSIRECANVTSLQTERFGEFSCLGDFTVSNCPKLFHNSGSLSMPTLKNLELRNSGILLSNIECSSLTSLSFKCVHVTAIPIQLLSGNLPSLQKLNIIECESLTFIGESYPLNGAFSFLTVLIIECCHRLPTLDGLLKKEHLPAIEIIKIYSCTGLLSLPGERFGSFTCLSDLRISHCPNINWQSGLVLPSYLKRLSLNNCGNFSAWFPNCLGSLTCLVELTMIKCHGIESFPNQMWRSNLASLKELHIADCPDLVSIGAVKPISKIKTVYIYECQKLTTVKQPFTRRGR >ORUFI02G12520.1 pep chromosome:OR_W1943:2:9645962:9649519:1 gene:ORUFI02G12520 transcript:ORUFI02G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKTIGFISGINECFNFFQWAKSAMSCVHSRWSNTQDQKLQGELLQLQSSLQCLRDTLPAKYDLIDRAEWRSHERRVAELLPNLKDAMYNADDLLDEFRWYEQKVALEGNASQSPFMDFFDSVIQGSFNKVNDVIKRLYNISSQLEKMGLHEVPRRFDNSLRPETSSFLNEREIFGRDNELQQVMELLGVPKNGTDARSKRRRKNNDESTSTSRCNQESIPVLPIVGIGGVGKTTMAQHILHDPRVISHFDMIIWICVSDDFDVKRLTKEAIQSSSKKESTTFDGKRITKEAIQSYSKKESTADHLDSLQHALSEKVRDKTLLIILDDMWDDALRESGRCWKRFCAPFSNVLAQGSIMLVTTRSLEVAHEVKTMEPVRLEGLKDDIFWNFFKICTFGSSDSSDYPELERIGRNIVPKLKGSPLAAKTLGRLLRTSLDIAHWNNILQSELWELRQHNTEILPALRLSYLYLPFHLKRCFSFCALYPKDHLFEKAGLAEIWIAEGFVEPEGSIPILDIGCQYFEELVNRSFFQKVHGNYVIHDLLHDMAQLVSKHECFILKDKDDFEKVPSSVRHLFILPSTNFDCNLLLSLCKHKKLRTLLCHRSLQDKTLACVMDRWCTELQHMRVIVCPYTKELPASIGKLKHLRYLKISGDCPFKSLPQEFCHLYNLQIFSATKCRLENLPSDFNKLRNLRRFDSCAFRCDPKFQTHFDAINGQEVGAILQYVNHICGGLTIDNIGLIRKDIAAKAALKNKKYVNTMTLKWSSMGQQVQKLTEVLQVLIPPTSLSYLNLTGCPGEFLPTWFHPSNLPMLTSLELIACHDFVTIPTPSMSQSIDPNEIPKVLTENNKGRPGIFSSLNHVIIESCNKLSNLDQFLQPAYLPAIKTIKITKCRQLVELPTDRLGEFHFLEELEVSHCPNLNDPQSLSIPTLKKLKLINSWNLLGDIECCSLTSLVFSLWHVTSIPLHVWSSSFPALQKLQIADSGITGESQSSVLTSLSVPGEYSSIRTFSCLTDLKISSCNNMTTLDHLLWPEHQPAVEKIYVALCSSLRTLPCELLKDFSVLKDLKICFCPSLKWHRRLVLPSTLQRLSLTRCGDISPCVPSCLENLASLVSLEITFCSIVAYIPASLWRGNLSSLRDLHIRGCEDLVSIGGAGAIAEINKVKIEGCLKLKEIEQPMSRARL >ORUFI02G12530.1 pep chromosome:OR_W1943:2:9660570:9683469:-1 gene:ORUFI02G12530 transcript:ORUFI02G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSARQRRRSSALPTPPHHAASDSAPPPVASAPLHHARSGGPRRQLCPMAPPTTLVGCAIDSAPGASRLLTACTCSRAASASMDVEPCLDDALGHSFCYAAAATANAHSLSFRHASPVPRSPPTASPPSAVAPERTRREEKRKRKETFMWAHGQPVNLFPVEGAAVQGIRDPDPRPADASSTPSSSSAAAGRRQRSGPYSSPRRSSPCLSVSPRNPHPRPRPSLPAAVLRRRRRANSDCVSETVRRLLLLLLFSRPTERLLLLLLCPTPPRARSIEPLHAAVVGSGPAAVGFDMAVSCERSTPSVEVPLPSLLF >ORUFI02G12530.2 pep chromosome:OR_W1943:2:9650717:9683469:-1 gene:ORUFI02G12530 transcript:ORUFI02G12530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSARQRRRSSALPTPPHHAASDSAPPPVASAPLHHARSGGPRRQLCPMAPPTTLVGCAIDSAPGASRLLTACTCSRAASASMDVEPCLDDALGHSFCYAAAATANAHSLSFRHASPVPRSPPTASPPSAVAPERTRREEKRKRKETFMWAHGQPVNLFPVEGAAVQGIRDPDPRPADASSTPSSSSAAAGRRQRSGPYSSPRRSSPCRSRASSQRKPRRSPRPSDPGIDGIASSIS >ORUFI02G12530.3 pep chromosome:OR_W1943:2:9660570:9683469:-1 gene:ORUFI02G12530 transcript:ORUFI02G12530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSARQRRRSSALPTPPHHAASDSAPPPVASAPLHHARSGGPRRQLCPMAPPTTLVGCAIDSAPGASRLLTACTCSRAASASMDVEPCLDDALGHSFCYAAAATANAHSLSFRHASPVPRSPPTASPPSAVAPERTRREEKRKRKETFMWAHGQPVNLFPVEGAAVQGIRDPDPRPADASSTPSSSSAAAGRRQRSGPYSSPRRSSPCRSRASSCERSTPSVEVPLPSLLF >ORUFI02G12530.4 pep chromosome:OR_W1943:2:9650757:9653169:-1 gene:ORUFI02G12530 transcript:ORUFI02G12530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVVVEYCPLDPRKAAVVELLAQCNGRKAKDSNPACSVELRRLPSPPPADAAAAGEKNAHPPPRVLVTYFNGVEESFVAAEGATAQGIRDQILDRGRLLDTEQLFRDGGEKWPVLIPEEELTMSFPGIKPKKAEEKPQA >ORUFI02G12540.1 pep chromosome:OR_W1943:2:9676231:9679756:1 gene:ORUFI02G12540 transcript:ORUFI02G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSKIVGAINGINEISTLCKMVKDIIKSCWSGIREQELQDKVMKLENDLERLRDILPAMYNLIDRAEWTIHKDHVPELLLKLKDAVYDAEDLLDELKWHELKVAMEGSANKSPLIDFLDSVIQGSFNKVSGTYEKLNNVSSLLEKMGLHEVTQHFDKSFRPETTSFPTETEMFGRDNELEQVMQSLGVPAKGSRVLSKRKKPSSAINASPSTSKTKQHNGTQRSDESGITCIPVLPIYGIGGVGKTTLAQHICHDSRVMSHFDPIIWICVSDDFDVKRLTKEAIQSCSTKEADNLDYLQRALSEEVMNKRLLIILDDMWGDVLRESGHCWKRFCAPLTNALQGSMMLVTTRSPDVAREVQTMEPIRLEGLQDDVFWDFFKLCAFGSKNSENYPELVHIGKSIVQKLKGVPLAAKTLGRLLRMSLDTEYWNRILKSELWELKQNNTEILPALRLSYLYLPTHLKRYFSFCAVYPKDHKFEEDNLAEIWIAEGFVQPEGNTLILDTGKQYFEDLVNRSFFQSVGGNKHVIHDLLHDMAQLVSKDDCFILKDKDDFEKVPPSVRHLFVLPSIAFDCTQLLSLCKHTKLRTLLCYRSLQKQSLASVMDHWCSKLQRMRVVLCAHTKELPKSIGKLKHLRYLEISGACPFKSLPSELCHLHNLQIFSARKCKLESLPGDFKSHFDASTGQGVGLTLMKNVNQICGGVTINNLGATSKDIAAESAIKNKKNLDRLNLKWSSVRSQDHNDIEVLQVLIPPTSLKCLTLYGYLGQSLPNWFYPHNLPSLKSLEFHDCHRLCSLPFYGISPPCINLNEVPEVPIENGMGSIGVFSSLVDLKIVKCDYLSNLDKFIQPACIPAIKRITLQRLTYKKTKVLPLPIGKFDCLEELDVAGYQIFNASESLSMRTLKELKLCNSGDLPCFFEFPSLTNMFLVVLPVTSIPLRVWCSKLPALLRLKIYSCANLEFIGESVFTGNRPQRDSCSTTTFASLISLEICGCEKLTSIDDLVTPEYLPAIEKIDVSSCVKLSSLPGERFGNFSALKHLRISYCGKLKWKGLVLPSTLQSLCLSYCGDISPWVPSCLENLASLVRLLINSCQHVQYIPSSLWSSNLSSLQDLRIFNCSDLVSIGGADAIVKINKVKIGNCPKLQEIEQPMSRGGL >ORUFI02G12550.1 pep chromosome:OR_W1943:2:9693762:9698964:-1 gene:ORUFI02G12550 transcript:ORUFI02G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQQYTPDPPNWDEIMRNFRGSELQKYFTRLLEDKMKKAFARGNSTSAKAPSEQNSSARECFGTAPGYAPELRGQDRFEMTSEI >ORUFI02G12560.1 pep chromosome:OR_W1943:2:9705074:9705461:-1 gene:ORUFI02G12560 transcript:ORUFI02G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTAGGEDGVGGGEEAWGRRIRRRQWEWAVDPASGAGDDDGGGGSGLPASGLGRFSRAGASPARKNRDFRRHFVPEGRNNHTQKPIRPHGKIAIVLVILWQIHNLLKPNIP >ORUFI02G12570.1 pep chromosome:OR_W1943:2:9743637:9743849:1 gene:ORUFI02G12570 transcript:ORUFI02G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGSGKGVMAVVVNSSKRVVAVAKGPDHTVEEGEQRWRPQQRRRLMTWIRAGGSDGGGEEVARHARDH >ORUFI02G12580.1 pep chromosome:OR_W1943:2:9753322:9759821:1 gene:ORUFI02G12580 transcript:ORUFI02G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRVAVLIIAVSLPSFSASDRQGDALYDMKQKLNVTGNQLSDWNQNQVNPCTWNSVICDNNNNVIQVTLAARGFAGVLSPRIGELKYLTVLSLAGNRISGGIPEQFGNLSSLTSLDLEDNLLVGEIPASLGQLSKLQLLILSDNNFNGSIPDSLAKISSLTDIRLAYNNLSGQIPGPLFQVARYKIFHITGGSHSSKIGIVLGTVGGVIGLLIVAALFLFCKGRRKSHLREVFVDVAGEDDRRIAFGQLKRFAWRELQIATDNFSERNVLGQGGFGKVYKGVLPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLKLIGFCTTQTERLLVYPFMQNLSVAYRLRDFKPGEPVLNWPERKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGQLGSIVDRNLNQNYDDEEVEMMIQIALLCTQSSPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQEYERMQRRFDWGEDSVYNQEAIELSGGR >ORUFI02G12590.1 pep chromosome:OR_W1943:2:9800880:9801443:-1 gene:ORUFI02G12590 transcript:ORUFI02G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIISDFAAARRRAAVPAAAAGVARHVRGADAQGQGVPHPAAGERAPLPALHVYTRHARCSCVCVCSWFLLALAAFASAVCLVFKRRQSDYTLQGADLLVGLSALRRRYASRSASRCAASAASMAARHLVFSISAIASTASSACRASSSRRPYPSSLLVDERERGEEGEERGCMTGGPQHFFIIKY >ORUFI02G12610.1 pep chromosome:OR_W1943:2:9818493:9818906:-1 gene:ORUFI02G12610 transcript:ORUFI02G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPGKAVILLQGRYAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFLKLVNFTHIMPTRYTLDVDFKDVASGGPDALATRDKKVAACKAAKARLEERFKTGKNRWFFTKLRF >ORUFI02G12620.1 pep chromosome:OR_W1943:2:9821819:9822202:-1 gene:ORUFI02G12620 transcript:ORUFI02G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAASLALRRLAAAAPRPPPAHLAVGPAAPRLAAAAPPSAAVARFLLHPAGATPAALHARRGYAAAGRKAKAVSESEDEDEDDEFEAMGSDGEFDDDLEDFDDDDEVSGFEDDDDDCKPAKKRGRH >ORUFI02G12630.1 pep chromosome:OR_W1943:2:9832661:9833945:-1 gene:ORUFI02G12630 transcript:ORUFI02G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWKTKVLPKIKVVFAKGGNAKKAAAAELIKSFDESKEGINGEFEEKKADLQPKVVEIYEAAPAPLKVLIKDRAKVSGIKKNSAAVTKFVDDLAKIEFPGAKQLSEGIAKVGPALLSGPVFATFEKVSTLLPADEEEIKPKEATAAAAEEEKKEEAAAAAATDAAGEEKKEEAEEKKEEEAAAPADEPAAAAGESAPAAAEAEPPVEAAATPAAAEAAPAKAEEEEAPKA >ORUFI02G12640.1 pep chromosome:OR_W1943:2:9838868:9839134:-1 gene:ORUFI02G12640 transcript:ORUFI02G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAIAEFAACLQRPSSTACGNPTTLSLQIHHPGPEPPPVPLLDLGEGRGLEVLPEPSQGLAVVMVAAAGSTSSTVTCPSPSPPGRRI >ORUFI02G12650.1 pep chromosome:OR_W1943:2:9843482:9845056:-1 gene:ORUFI02G12650 transcript:ORUFI02G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPSPRMVVPAKDQSPDKPSGFRKSINPIYADAVVVDMAAAAARPSRTAAAAAVEDDDDGGAAPALVRRHTGGAGDGRWEAIRAASARESPLSLGHFRLLRRLGYGDIGSVYLVELRGGGSGALFAMKVMDKSSLVSRNKLARAQTEREILGLLDHPFLPTLYSHFETDKFYCLLMEFCSGGNLHSLRQKQPNKCFSEHAARFYASEVLLALEYLHMLGVVYRDLKPENVLVREEGHIMLSDFDLSLRCSVSPALVRSPSGRVGAGAGLVHGCVLPRILPRRSGKKKKKQKGNDQEVTSATGDGNGKNRPPPATSLEFTAEPTGARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGVFLYELLHGTTPFKGSGNRATLFNVVGQPLRFPDAPAASAAARDLIRGLLVKEPQSRLAYRRGATEVKQHPFFDGVNWALVRSAMPPYIPEADVAAAAVDCRSPLARATQGGGTPKKSAAGGKASSPRDDPSYVEFEYF >ORUFI02G12660.1 pep chromosome:OR_W1943:2:9856595:9864286:1 gene:ORUFI02G12660 transcript:ORUFI02G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIPQAPTATPPPYKLTSTPTPHPLAAAHPPTPTSASASAAMQMQAATTTTAARRLAPKPQPQANRCRPSSVSVVAAGRSRRRSARSSLRASASLDQEVKERASPAAGKSGQATRRDVRNIAIVAHVDHGKTTLVDSMLRQAKVFRDNQVVQERIMDSNDLERERGITILSKNTSITYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPTARPEFVVNSTFELFIELNATDEQCDFQTVYASGIKGKAGLSPENLGDDLGPLFEAILRCIPEPRIEKDGALQLLVSNTEYDEHKGRIAIGRLHAGELQRGMEVKVCTPDDACRISKISELFVYQNFSRVPVDNVSAGDICAVCGINDIMIGETIADKVSGTPLPTIKIEEPTVRMSFSINTSPFVGKEGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRREGFEFMIGPPKVINKTVDGKLQEPYEIAAVEVPEEYMGSVVELLGKRRGQMVDMQPSGPEGTTLLKYKIPTRGLIGLRNAVLTASRGTAILNTIFDSYGPWAGDLSSRDQGSLVAFEDGSTTSYALLNAQERGILFVSPGQDVYKGQIVGIHQRPGDLAINVCKKKAATNVRSNKETTVVLDEALSYSLDDCIEFIQEDELVEVTPASIRMCKNPKVSKKNR >ORUFI02G12660.2 pep chromosome:OR_W1943:2:9856595:9864630:1 gene:ORUFI02G12660 transcript:ORUFI02G12660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIPQAPTATPPPYKLTSTPTPHPLAAAHPPTPTSASASAAMQMQAATTTTAARRLAPKPQPQANRCRPSSVSVVAAGRSRRRSARSSLRASASLDQEVKERASPAAGKSGQATRRDVRNIAIVAHVDHGKTTLVDSMLRQAKVFRDNQVVQERIMDSNDLERERGITILSKNTSITYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPTARPEFVVNSTFELFIELNATDEQCDFQTVYASGIKGKAGLSPENLGDDLGPLFEAILRCIPEPRIEKDGALQLLVSNTEYDEHKGRIAIGRLHAGELQRGMEVKVCTPDDACRISKISELFVYQNFSRVPVDNVSAGDICAVCGINDIMIGETIADKVSGTPLPTIKIEEPTVRMSFSINTSPFVGKEGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRREGFEFMIGPPKVINKTVDGKLQEPYEIAAVEVPEEYMGSVVELLGKRRGQMVDMQPSGPEGTTLLKYKIPTRGLIGLRNAVLTASRGTAILNTIFDSYGPWAGDLSSRDQGSLVAFEDGSTTSYALLNAQERGILFVSPGQDVYKGQIVGIHQRPGDLAINVCKKKAATNVRSNKETTEF >ORUFI02G12670.1 pep chromosome:OR_W1943:2:9864714:9871446:-1 gene:ORUFI02G12670 transcript:ORUFI02G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAESQGLSPGFKFNPSVEQLLCFFLLPYLQHRRLLVDGVVFLDDPASAPPWALLHRHGRGGEDEAYFIGPVPAGDGHGGRRQQQVSRTVTGGGGGKWIKQRTERPRGEEEPVVVFGGETFRWEEFSLNFHADERCRSGSTGWVMHEFAVVPPAGSRVAATHTACRIAFTGHGQKRKRVPDGYVFVDVHVQTAAAAAAVAPPLPMLSSYGEPPHEHFSDDHPPPHSYTYYTQEYQQFLPAAEQSDQEQEYCAPEQQNFQDYHVAAAEQTDQDYFYTEMINQEQDYAYQQQQQHLFHGDFLATSQQFLGQDHEVMFTGLGGGLVVSDNGEHASAAAPATEPPVHDVFLETLVPEPPENAYVDGAGESAMASASSAGGAPLLEQPFATPPQQFLDQEPAPAGLNDGGAMIYNNNGDGEHDAAPAAQPPARYYSGPVPAVDSVFLDKMREYLMADAKGLCRIDAPINNGEHAAAPAPAADDPLASQHGHGDAPPLPVPPDAAELERVVGHLLREVEDIIKVAAAGGYGGSSDKPLSEFDKAQNQILAKLMAVFNQVAETEDGSVTMTVTAVRSGRQQLLWRELRTSLGEANLPSMRLGEADPSLPSSGVSDPSLPISGVVDPPSMGSGSRRPMAAAARSQGLSPGFKFNPSDQMLVELFLLPYLIDGELPVRGLVFVEDDHLGGLPLPPWILLDRHGRGDEDEAYFVAPMGAGDGARQVRSVAGGGKWVKQRSEGKGEVVVAPGGEAFLWENFSLNFHRDDRRSGSTGWVMHEYIVSPPAGSAVAASHRATHIAFTGHGQNRKRVPDGYVLVLDDAVPPAAAAAAPPPESEQSNQEEQEYAAYTDQIQQQCFVPEQQMSNQEYFPEAAAEQSNQQFFVPAEEQSSHQLFLPAEEQSNQQFFMPAEEQSSHQFLPAEEQSSHQFLPAEEQSNYQQFLPALEQMTQSNQEFAYGEQSQCYIVPEQQQLSNQEYAYSEQSQCYILPEQQQLSDQEYAYSEQSQCYILPEQQELSNQEAEYAFVCYDEQQQQQQQSNQEAEYAFACYDEQQQQQQQQYLHGDLTSWQEPFVTSSSSSSQQFLGQEQLLPDGLLLDGFGEISQQQGDQEYAYCEESQCYIMPEQQQQSNQEAEFAFACYD >ORUFI02G12680.1 pep chromosome:OR_W1943:2:9878953:9882661:-1 gene:ORUFI02G12680 transcript:ORUFI02G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPLSGTGKPPTLPFSLKKPPPMPVYKDLHFNRDLSATKKLQAGVDLVARLVGVTLGPKGRNVVLSNKYGPPKIVNDGETVLKEIELEDPLENLGVKLVRQAGARTNDVAGDGCTTSIILAQGLIAEGMKVLAAGINPVQIARGIEKTASALVSELRLMSREIEDHEIAHVAAVSAGDDYAVGNMISDAFKRVGRKGMVRIENGRGTENGLEIVEGMQFERGYLSPYFVTDCTNMSAEFTDCKILLVDKKITDASEIIRILDSAVKEDYPLLIVAEDVEEKAMADLIKNKLKGTIKVAAIKAPSFGEQMTQCLDDIAIMTGGTLVREDMGYTLEKAGKEVLGSASKVVVGKDSTLIVTDGSTQHVIEKRVAQIKGQIENSSERYQKKILGERIARLCGGIAIIQVGAQTIIEMKDKKLRIEDALNATKAAIEEGVVIGGGCSLLRLSMKIDRIKESLDNMEQKIGADIFKQALSYPTALIANNAGVNGSFVIEKVLLNEDSRYGYNAAKNRYEDLMAAGILDPSKVVRCCIEHAAVVAKSFLTSDVVIVEAKEGKPVRIRPPMPPKSLIPPMPASASGIRV >ORUFI02G12690.1 pep chromosome:OR_W1943:2:9883927:9884751:1 gene:ORUFI02G12690 transcript:ORUFI02G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALAVGDADGGVVSPGGGLQASPTVVVDGDVVLSGVVLVLVALAFVFVMHHFLAAMRRRDSDAESSSASSGRQRGGRGVMAGVVGIDAAKAGGQGGVDPAVLRALPVTVHRAEAAPPPPPLECAVCLAEVEDGEAARFLPRCGHGFHAECVDLWLRSHPTCPLCRLAVVADAAAGAAPPPLALPPAQPEPANYASATTTAQLPTNVLFWGSQGAVVTTTTSAAASSSGGDEAAAAVLVVVEVPGTTTTKPQGDSARVGGSLRRMWSRGALPRT >ORUFI02G12700.1 pep chromosome:OR_W1943:2:9892910:9896661:1 gene:ORUFI02G12700 transcript:ORUFI02G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRDIFGISGPTYLNPVNWNCEHNRRSVAACLVQAVYVLERDRQLGRQSVEALGPPWWEFFHFELIRKLVDDADLSIFGAIFEFNPPSSKESSADNAPRFVIAFRGTITEKETISRDIALDLHLVQNGLHRTSRFTIAMQAVQNVASVFPGSNIWLAGHSLGAGMAILTGRNMVKKGVLLESYLFNPPFVAAPIERISDERVKHGFRIARSVITAGLAIAMKGKGEGSSQRSVAEDSFHILSSWTPYLFVNPGDHICSEYIGYFQHRKNMEDLGAGFIEKLATQNSIGDLFYKALGWESEPLHLLPSADLIVNVSPSPDFKYAHGISQWWQPELNLQCSKYRYSVGA >ORUFI02G12700.2 pep chromosome:OR_W1943:2:9894588:9896661:1 gene:ORUFI02G12700 transcript:ORUFI02G12700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRDIFGISGPTYLNPVNWNCEHNRRSVAACLVQAVYVLERDRQLGRQSVEALGPPWWEFFHFELIRKLVDDADLSIFGAIFEFNPPSSKESSADNAPRFVIAFRGTITEKETISRDIALDLHLVQNGLHRTSRFTIAMQAVQNVASVFPGSNIWLAGHSLGAGMAILTGRNMVKKGVLLESYLFNPPFVAAPIERISDERVKHGFRIARSVITAGLAIAMKGKGEGSSQRSVAEDSFHILSSWTPYLFVNPGDHICSEYIGYFQHRKNMEDLGAGFIEKLATQNSIGDLFYKALGWESEPLHLLPSADLIVNVSPSPDFKYAHGISQWWQPELNLQCSKYRYSVGA >ORUFI02G12710.1 pep chromosome:OR_W1943:2:9900193:9901458:1 gene:ORUFI02G12710 transcript:ORUFI02G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCVQSNGGQGEEEMVSQGSAGGGVAASSGVVVNITTGPMTETEDDMAVGEEEEVVAASAETEEHVQRILLAIDAFTRQVSEMLEAGHELFKNLAADFEDRLCSIINRCNLDPQGEERVERWEDEIRELRAHDAANEQARSLRRHRSRLPTSHRSSPSTPHRSRPPTPPLRNRARPPPSPLRLLWPPFWCGDGSFPSGDGARLKRCPLGRPAPSPPAGLPSRQKITVE >ORUFI02G12720.1 pep chromosome:OR_W1943:2:9906508:9906711:-1 gene:ORUFI02G12720 transcript:ORUFI02G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDGVPYAINNCMCMRNLGDTKEVARRTIGGLMVAFLRDALEDQHEVGVNPGLAPAVIKPVAYDLA >ORUFI02G12730.1 pep chromosome:OR_W1943:2:9908926:9909156:-1 gene:ORUFI02G12730 transcript:ORUFI02G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAPGYEGLLSHVASHGFIALYGIALDLNDATRKVACWAADERQGLAYVLGSVLNLPGVKPDLSRLALVA >ORUFI02G12740.1 pep chromosome:OR_W1943:2:9910340:9914845:-1 gene:ORUFI02G12740 transcript:ORUFI02G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATGALPSVIAKLGDLLVGEYNLQKAVKGEIRFLQSELESMQGALAKVSATPADQLDPQDKIWARDLRELSFDIEDTIDAFVVRDIGNDNGDGEAKPRGISKLIDRSVSLFRKAKARHGIASEIMDIKSRVVEVHEHRRRYEINIGAGGGDKTATIDPRLFTRYTDAKELVGIGETRDELINILTEENGVSMLRAGKVVSIVGFGGLGKTTLANAVYEKIRSLFDCCAFVSVSQTPDLKKLFMDIIYQLDKEKYKDLNEKPLDLDEVQLINELREFLQQKRYFIVMDDIWDISIWKMIKCALPDNDVGYKIITTTRISEVAEKAGGVYKLKHLSLNNSRRLLYGRIFGNCEDTEKYPDEELAEVSERRLKKCAGVPLAIITMASLLACKARNKMEWYKVYNSVGTGLENSLDVKNMRKILSFSYYDLPPHLRTYLLYLSVFPEDYKIEKDRLIWMWVAEGFIQCGKQGRSLFELGESYFNDLVNRSMIQPIYDMYTDMVSECRVHDMVLDLICSLSSEENFVTILNGRDQGSLSYTIRRLSLQNGNEDHAMTSATRSLQQARTALYLSLGASEFYVYWIYKVVIFHKVTALRLRDTDIREAPEEIGNIQFLQTLDLRANPICDLPLNIVKLRHLTSLCFDGFARVPDGIGSLTRLEHLAYVLIDCATVGILEELGNLTELRVLCIIFWDGWNDKLVGLLHKLQKIQRLSIDVCMNNVRKNMGGLDAWVAPRHLVALDTEKICWFSSLPAWMTNPSHVPNLRSLSIAVREIRQADVETLGRLPALRDLQLQVDHEELGIRGVVLVIGSAGSFACLVCCGLWGFVGPAVFRRGAMPRLRTLRSRFSVREAIAVAGAGDDGLDLGLGSLPSLQEVNVSLDCEGASEEEVNELKAALRRATKIHPNHPSISIDGEKEEEDTYLISIYHTWHIGCALGMVAVFHAAPHRVEAKHVDHSVLPSVPKAWCPKPLMVVAPANAGTYPVAIFLHGCNMVNSWYEQWRKQD >ORUFI02G12750.1 pep chromosome:OR_W1943:2:9941588:9945969:1 gene:ORUFI02G12750 transcript:ORUFI02G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNGCDATNSDVKVQENSASCHGCSMRWQEWQDLRGSPLSKGQVPPWLCSRVRPRQCKIHSNAMNTQVDVENVDVVIKPSMVPAHQGWQTSLCDCFGDGCESFCLSAWFPWLSISCIGEIVDQGSTEWCCICFIYLIAAYFGVWWAYAGWYRGKLRAQYGFPESPLPDCLTHLFCHWCALAQEHRELAARGYNVLNGWDGRPQVAAVPPPSRSAPAVQAPMRR >ORUFI02G12760.1 pep chromosome:OR_W1943:2:9957251:9959888:-1 gene:ORUFI02G12760 transcript:ORUFI02G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGRKGSKKKTVDPFSKKDWYDIKAPTVFSVRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKVRLRAEDVQGRNVLTNFWGMSFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMANQASTCDLKELVSKFIPEVIGKEIEKSTSSIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDVGMKLDRPAETDEAVAGEVAAAE >ORUFI02G12770.1 pep chromosome:OR_W1943:2:9981797:9982201:-1 gene:ORUFI02G12770 transcript:ORUFI02G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARVRATVAGDAWRAAAATADKGGEGHSLAAAGDGQEQWPRGKRARARAAAAADGGGEGATLRLPATGKSGGHTGRGEGECGDANDGRSASRGEHGRWRWPWQMQRVTAAAATTDPTGDEPMMVVIGGCSPG >ORUFI02G12780.1 pep chromosome:OR_W1943:2:9985005:9985698:-1 gene:ORUFI02G12780 transcript:ORUFI02G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPLHARLTPPVLYCRRRLNPPLPPPELTSSPAAAGAEVVPFRRRRGALHRCPRRIRGLPQPPPETWSSHPPRPAVAGVDVVPSRRRSRVVPSHHRNRGLPHPPQPQESLIHPTPAPTATLCSPPQPCRAHICRRQQVTVVDAAVFLVVFTVS >ORUFI02G12790.1 pep chromosome:OR_W1943:2:9985803:9988230:-1 gene:ORUFI02G12790 transcript:ORUFI02G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPFEVYEEDIILVMKEEKVSRDEALRLLLEEWMDAKRKLEEKLNRVLEKFEEMEAHNGAEDATVIIKAATTDLREASSPTPKTSLSPVCFNENSMHAATSSSHNIELTALTVAKCLKGCSNHGVGAGSLMPTRCPTNCSSPGVIPDHTMATVVACATTSMAFMELVVGEEATCDTYTGTTNCPEVTHTKCSTLGLDVKGDTDQVMVAFLAQPCVFLLNMDNTDVAPHDSVSPFIIDIIPYALDDREEMLRRSIELRPCTHPQYLGKGKYWAGTAMSYGGNHLLAVKRSSAWCATPPSRTVVLVLVHADAFPVPSRAAVPPSPFPVTVATAGNLRANPVSYAVELDKSPPSNPIGVIIRIDLYRPSANPV >ORUFI02G12800.1 pep chromosome:OR_W1943:2:9988676:9989452:1 gene:ORUFI02G12800 transcript:ORUFI02G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDTLLGRATDVCILLPEDGGDGQSYTVVTLVRSKRVDWRVWWEFFAKVSVLRAGAWDDDVRATAPVELPARCRGDTTWNRALLVQGKLYVLGMQSHAILVLDLASMAASFINLPDGVRHEEDGDLDLFRSNDAGVNLIHVNGFQARLWRRGGDDDDGDGMTAGNWVLVDDIGVRRGFDHLAKVGGEMDIGGPVGVIKVGDDCEFMFLCVDGDVLYMDIRRRMVKKIFKASPKRGGVLPVIHPLTMVWPPIFPVLE >ORUFI02G12810.1 pep chromosome:OR_W1943:2:9991646:9996839:-1 gene:ORUFI02G12810 transcript:ORUFI02G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKGTQPPEPAAAAAISSVLANDDLLREILLRLGLPTTLVRAALVSTRWLRLASDPAFLRRFRARHPPRLLGFYHTARARFQDEVPAFVPLPQPPELAAALRGARLRLAPGSSGSGPDAPVILSCRNGRVLAAEFPPDGPRVSIISPMHPARHPPALPLVYELPRQPGQILHASCMLLFPDVGSDDPSYTFVEFLRKDQEMLAKAVSVRAEISDLNSVRESATIEIQESWERSIRRDVLVNGNLYLLGGKGHVLGLNLASMRLFLFRLPDGVQQLHRMGNIELLRAGDSGLYLIHLKGFQIHVWFRASDSDIGGGNWELVDNICLGESFGQFAEPNWESGDALVALHRVEDNAEVFLRVDRVIFHIHIMNRTVNKVFEMSPEAYRYFDIFPFMMLWPPTFPQLRNDHDQDQTNGFKLRNEATRPSSPPPAASAASSVLADDDLLREILLRLAFPTTLVRAALVSSRWLRLASDSSFLRRFRARNPPRLLGFYHTARRDEQPAFVPLPQPPELAPVLRRLGGFALGGADVSAVVFDCRNGRLLRAEFPPPPDELRFGVVSPLLPPARQPPDLPPNLHSQLHQVPNDARVLRPGWMLLPEEEEDDGGDDLSYTLVVLIRRGRGLFARAVLVRGESDDQIRTSDSIELPNHYWPNKKMNRGLLFHGSLYMLGREHVLGLNLASMSLFLIKLPDGVEQLEHMGNLELLRDGDSGLYLAHLKGFQIHVWHRATDGGGNGGDWEMVDTMSLHQSFGQVARPDWESGDPSLGDALVSLRRVEDNAELFLTIDRVIFHIHIASRTANKVFEMAPKEDIGFEIFPFMMIWPPTFPALNYDDDDDQ >ORUFI02G12820.1 pep chromosome:OR_W1943:2:9998054:10001074:-1 gene:ORUFI02G12820 transcript:ORUFI02G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAVARRLILLLVFLPCFLLPLSGAHAFPASALEAEAVAARHHRLRIALNATAVHVGKALEALSGAAVAPASAVMTTPFSAGSSSSSPLAAAARDDCAELLEDSLDLLAGAGEPSAAHDDAVTWLSAALTNHDTCADSLNEAGIPHGADAAPHLAAARAMVRDCLTMYAEAASAAMATSNKDGLAGVPVRKGGGKSKKQRKRGRRRRSLFPRWLSARDRRLLLGPAAPLVESADMVVAKDGTGTHRTISDAVKAAPERSGRRTVIHVKAGRYDENVKVGRKKTNLVFVGDGKGVTVVSAGRSVADNFTTFHTATFAASGSGFMMRDMTVENWAGPERHQAVALRVSADRAAVYRCSIIGYQDTLYAHSNRHFYRDCDVYGTVDFVFGNAAAVLQRCNLWSRSPLPGQKNTVTAQNRRDPGQSTGLVIHACRVVPSPPPPSTAPAVAAPLAPTYLGRPWKLYSRVVVMMSYIGGHVPPEGWLAWNATFALDTLYYGEYMNYGPGAGVAGRVAWPGHRVINDSAEAERFTVARFISGASWLPATGVSFLSGLSL >ORUFI02G12830.1 pep chromosome:OR_W1943:2:10004344:10006074:1 gene:ORUFI02G12830 transcript:ORUFI02G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRRAPTPPPPPPPPPEAESSADSSGEEEEEEEEERESPVAPPPQKPSGRGAASSDEEEEEEEEDSDTDTYAQCFQLRKVGGGDEEGEEVEGDSSESEPEPEPVKKESAKKAKAEAKKKRAAPEPAPSGKAKKAKPEKSSSAAAPEPAPSLGKSKKAAKAEAAKAAAAEPAPSTGKVSKSKLAPEPSPSSKSGKALSRWTTDDEVKILEVLVAHFKSHGTQLNVEGIIAAVGDSLERKSIKYSDMYEKVRRLKQRYEATAKKVEHGGDLPAKEDDLRMYQLSSEIWGKNAKDAGNSSKNKKGQAKKDKVSGDSKEAAKEDKVDEAAIAVNEKGGTLAENKKGKTNKQKTGMETKVGSSKEAALAASPTKGKKKGSHKDKLDEEAKSGTAKVTSTIATDDDDGTLGGSKREKAGKEELDGDTHIVMPKEATTTAASDDGTLVGSKKGKADNGKLDGDTHSVMPKEATAGTQNGGILTGGENHKEKVDKDANVPSIRREYAELQSLYPNLASFVNGIEAQHPCGSTFKRAFEFISDDKACTLESKIKKQKIAEVRMQLRLADTKKEVANALLGLLD >ORUFI02G12840.1 pep chromosome:OR_W1943:2:10014617:10020733:-1 gene:ORUFI02G12840 transcript:ORUFI02G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCGVISLSWISHYWTVQLLPRLRPQAVDQLSQMLDSLVLSLFLGWGRYLILEGGXSAFTDAGFFSIITFSWMGPLLDLGRRKALDLDDVPTLDDNDSVQGILPNFEAKLILVSGSGKYTDVTTIKLVKALVLTTWKLIMFTAVCALLRTVSSYVGPYLIEYFVDYLNRSPRSAKEGYILVLSFVVAQFIEGLSSRHLLFRSQQLGVRVRSALVAIIYQKGLSLSNQSRESISSGEIINAVSVDAIILAMLILYSTLGLAAFAALAATVLTMLANLPMGRIQQNYQEKMMDAKDARMRAMSEMLRNMRILKLQGWEMVFLSKIMELRKEEMHWLKKDVYTAAMLISVFFGAPAFVATVTFGTCLLLGIPLETGKVLSALATFRQLQGPINSIPDTVSVIIQTKVSLDRICSFMHLEELSSDVVTKLPRGTTDVSIEVRNGQFSWNTSSEVPTLRNLNFRIRQGMRVAICGTVGSGKSSLLSCILGEIPRLSGDVQTCGRIAYVSQSPWIQSGTIEHNILFGTKMHRERYEKVLEACCLKKDLEILPLGDQTIIGERGINLSGGQKQRMQIARALYQDADIFLFDDPFSAVDAHTGLHLFKECLLGLLASKTVVYVTHHVEFLPSADAIMVMKDGQIIQVGNYAEILNSGEEFTKLVFSHKDAISTLESLEHSSGNPESSLIPGDSGSMFFRQDKQKDENEGAEEGIVQNGQLVQEEEREKGRVGISVYWKYITMAYGGALVPLILLAQIIFQVLQIGSNFWMAWAAPISKDVNPPVNSLKMVLVYVALAFVSSLFIFIRSHLLVMAGCKTAMMLFDKMHRCIFQASMSFFDSTPSGRILNRASSDQSTVDTSIFDLMGYVLFPVIELLGTIILMSRVAWPVFVIFVPIIAASLWYQQYYIDGARELQRLTGVCRAPLMQHFAESVAGSNIIRCFGKERQFINYVSHFMDNLSGPSLYNAASMEWLCFRLDILSSFIFAFALVLLVTLPAALIDPKTAGLAVTYGLSLNMLQGWAIAVLCSLENRMISVERIFQYMFIPSEQPLTISKSRPNCQWPTNGEIELRNLHVRYATQLPFVLKGLTCTLPGGLKTGIVGRTGSGKSTLIQALFRIVEPSIGQVLIDGLDICTIGLHDLRTRLSIIPQDPVMFEGTLRNNIDPLEEYSDEQIWEALNSCHLGDEVRKNELKLDSAENGNNWSAGQRQLVCLGRVVLKKRKILVLDEATSSVDPITDNLIQKTLKQQFFECTVITIAHRIASVLDSEKVILLDNGKIAEDDSPAKLLEDNSSLFSKLVSEYTKGSEY >ORUFI02G12850.1 pep chromosome:OR_W1943:2:10031719:10036707:-1 gene:ORUFI02G12850 transcript:ORUFI02G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKGNECAAASASLACLLLAAALSAAGCCRAHAAATAPRSLLARFPTTTTTTSELEKEAAGRYVDDSSLAARTNNKITNLQVSFVGHGHDTPADGDDGPFADAAYPRMWVSPPGDDASPTLPTNGEGQFADAAYPAKWKPDEDPSTPSLVVAHHLPNGNAPFIDAAYPVKWSPRADGPPKQPAIFPASPNGQKAEFIDTTYPVKWSPRADGPPKQPAIFPASPNGQKATFTDAAYPVKWSPRTDGPPKQPAIFPASPNGEKAKFTNAAYPVKWSPRSVAPPKAPGIFAQHSNGNKAQFTDAAYPVDWSPRSVAPPKPPAIFAQHSNGNKAQFTDAAYPVDWSPRSVAPPKPLAIFAQHSNGNKAQFTDAAYPVDWSPRSVAPPKPLAIFAQHSNGNKAQFTDAAYPVDWSPRSVAPPKPPAIFAQHSYGNKAQFSDAAYPVNWSPRSVAPPKPPAALSSLAHPAGIHIQRGMLFLMKKLHPGAVLPEGTKLALAQDDDHGVAAAAPRFIYKDEADAVPFDLRAMDAILAMFGILPGSDKAAQVANTLRACGELTAAGGGGEEPRACCATSREAVLDFAASALGTSAPRAVTTLVHGREPRRYVVAAGGVARIGGDAVVACHPMPYPATPSATTCRRAMFCG >ORUFI02G12850.2 pep chromosome:OR_W1943:2:10031719:10036707:-1 gene:ORUFI02G12850 transcript:ORUFI02G12850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKGNECAAASASLACLLLAAALSAAGCCRAHAAATAPRSLLARFPTTTTTTSELEKEAAGRYVDDSSLAARTNNKITNLQVSFVGHGHDTPADGDDGPFADAAYPRMWVSPPGDDASPTLPTNGEGQFADAAYPAKWKPDEDPSTPSLVVAHHLPNGNAPFIDAAYPVKWSPRADGPPKQPAIFPASPNGQKAEFIDTTYPVKWSPRADGPPKQPAIFPASPNGQKATFTDAAYPVKWSPRTDGPPKQPAIFPASPNGEKAKFTNAAYPVKWSPRSVAPPKAPGIFAQHSNGNKAQFTDAAYPVDWSPRSVAPPKPPAIFAQHSNGNKAQFTDAAYPVDWSPRSVAPPKPLAIFAQHSNGNKAQFTDAAYPVDWSPRSVAPPKPPAIFAQHSYGNKAQFSDAAYPVNWSPRSVAPPKPPAALSSLAHPAGIHIQRGMLFLMKKLHPGAVLPEGTKLALAQDDDHGVAAAAPRFIYKDEADAVPFDLRAMDAILAMFGILPGSDKAAQVANTLRACGELTAAGGGGEEPRACCATSREAVLDFAASALGTSAPRAVTTLVHGREPRRYVVAAGGVARIGGDAVVACHPMPYPATPSATTCRRAMFCG >ORUFI02G12850.3 pep chromosome:OR_W1943:2:10031719:10036707:-1 gene:ORUFI02G12850 transcript:ORUFI02G12850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKGNECAAASASLACLLLAAALSAAGCCRAHAAATAPRSLLARFPTTTTTTSELEKEAAGRYVDDSSLAARTNNKITNLQVSFVGHGHDTPADGDDGPFADAAYPRMWVSPPGDDASPTLPTNGEGQFADAAYPAKWKPDEDPSTPSLVVAHHLPNGNAPFIDAAYPVKWSPRADGPPKQPAIFPASPNGQKAEFIDTTYPVKWSPRADGPPKQPAIFPASPNGQKATFTDAAYPVKWSPRTDGPPKQPAIFPASPNGEKAKFTNAAYPVKWSPRSVAPPKAPGIFAQHSNGNKAQFTDAAYPVDWSPRSVAPPKPPAIFAQHSNGNKAQFTDAAYPVDWSPRSVAPPKPPAIFAQHSYGNKAQFSDAAYPVNWSPRSVAPPKPPAALSSLAHPAGIHIQRGMLFLMKKLHPGAVLPEGTKLALAQDDDHGVAAAAPRFIYKDEADAVPFDLRAMDAILAMFGILPGSDKAAQVANTLRACGELTAAGGGGEEPRACCATSREAVLDFAASALGTSAPRAVTTLVHGREPRRYVVAAGGVARIGGDAVVACHPMPYPATPSATTCRRAMFCG >ORUFI02G12860.1 pep chromosome:OR_W1943:2:10047463:10052838:-1 gene:ORUFI02G12860 transcript:ORUFI02G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSLIILAMLILYSTLGLVAFAALAATVLTMLANLPIGRIQQNYQEKMMDAKDARMRAMSEMLRNMRILKLQGWEMVFLSKIMELRKEEMHWLKKDVYTAAMLISVFFGAPAFVAMVTFGTCLLLGIPLETGKVLSALATFRQLQGPINSIPDTVSVIIQTKVSLDRICSFMHLEELSSDVVTKLPRGITDVSIEVRNGQFSWNTSSEVPTLRNLNFRIRQGMRVAICGTVGSGKSCLLSCILGEIPRLSGDVQTCGRIAYVSQSPWIQSGTIEHNILFGTKMHRERYEKVLEACCLKKDLEILPLGDQTIIGERGINLSGGQKQRMQIARALYQDADIFLFDDPFSAVDAHTGLHLFKECLLGLLASKTVVYVTHHVEFLPSADAIMVMKDGQIIQVGNYAEILNSGEEFTKLVFSHKDAISTLESLEHSSGNPESSLIPGDSGSMLFRQDKQKDDNEGAEKGIVQNGQLVQEEEREKGWVGISVYWKYITMAYGGALVPLILLAQIIFQVLQIGSNFWMAWAAPISKDVNPPVNSLKMVLVYVALAFVSSLFIFIRSHLLVMAGCKTAMMLFDKMHRCIFRASMSFFDSTPSGRILNRASSDQSTVDTSIFDLMGYVLFPAIELLGTIILMSRVAWPVFVIFVPIIATSLWYQQYYIDGARELQRLTGVCRAPVMQHFAESVAGSNIIRCFGKERQFINSVSHFMDNLSRPSLYNAASMEWLCFRLDILSSFIFAFALVLLVTLPAALIDPKTAGLAVTYGLSLNMLQGWAIAVLCSLENRMISVERIFQYMAIPSEPPLTISKSRPICKWPTNGEIELHNLHVRYATQLPFVLKGLTCTLPGGLKTGIVGRTGSGKSTLIQALFRIVEPSIGQVLIDGLDICTIGLHDLRTRLSIIPQDPVMFEGTLRNNIDPLEEYSDEQIWEALDSCHLGDEVRKNELKLDSAENGSNWSAGQRQLVCLGRVVLKKRKILVLDEATSSVDPITDNLIQKTLKQQFSECTVITIAHRITSVLDSEKVILLDNGKIAEDDSPAKLLVDKLSLFSKLVSEYTKGSEY >ORUFI02G12870.1 pep chromosome:OR_W1943:2:10064331:10065620:1 gene:ORUFI02G12870 transcript:ORUFI02G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTQTNQAIEVDGERLSPPPPPPAASPAVSAVLENEDLVGEILLRLAFPTTLVRAALACRRWLRVASDPSFLRRFRELHPPRLLGFYVTSKIPPAHPLFVPMPPPARPPELDPVVLRRGNFSLAYEGYTTSIYQCRNGSILLFKERHDRRELKYAVHRPLQHPERGLLAIPFSSTHDDDDDDDVEPDLGFDGENVWGFHFGEDGGSQLYRLSVMFTPRGATSAWFYAFRDGGWHVHTKATAQLPGLPPESAGFVVVRDKAYLAATASSVLVLDLKSSSLYTIQLPDGVEFPPVMMAYNDRRHDVLFGRASDDSGVYIADLKEPQLRIWLLKHGGTGWTLVDTICLREMCANLHINCVGGDRRVVYMDYVGDDAEFLFLKTDECALYLDVKSRQLHKVYEVTEKEEILFSIMPFMMIWPPIFPVRKEIS >ORUFI02G12880.1 pep chromosome:OR_W1943:2:10068486:10069145:1 gene:ORUFI02G12880 transcript:ORUFI02G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTQRRPGCCVLPEGTKLTLAHDDHGVAAAAPRFIYKDKADAVPFDLRAMDAILHGSDRAAQVADTLRTCGELTAAAGGEEPRACCATSREAVLDFAASALGTSAPRAVATLVHGREPRRYVVAAGGVARIGGDAVVACHPMPYPYEVYYCHRPADAVALRVDLHAVAGVGLGGATAVAVCHVNTTTWDSAYLELLKASRGDAICHYMPQGYVLWLAN >ORUFI02G12890.1 pep chromosome:OR_W1943:2:10075930:10076253:1 gene:ORUFI02G12890 transcript:ORUFI02G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIRFRGESHVLGVDLGECDGAVTPLLVLAFGYPIMSMDEQTSLTFAPFKDAAFNASLRRRGVRASMSPTAALASPSTPLRTPTTGTDTQRLHHAPQRELRSGHSA >ORUFI02G12900.1 pep chromosome:OR_W1943:2:10088890:10097386:1 gene:ORUFI02G12900 transcript:ORUFI02G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFDTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIITWNTVIAGYVMNRYPSRALKLFQGLLFTGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQETSLMNALVSFYSQCDRFDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAVRNIVTDNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLNPDTISITNILLACIHLSSVQLVKQCHGYMLRASLEDIHLEAALLDAYSKCGNIANAYNLFQVSPHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYNFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFVASDVQHQDRFSIYDVLGSLYQQIRGTQGGTAHFVVTYEL >ORUFI02G12900.2 pep chromosome:OR_W1943:2:10089064:10097386:1 gene:ORUFI02G12900 transcript:ORUFI02G12900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFDTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIITWNTVIAGYVMNRYPSRALKLFQGLLFTGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQETSLMNALVSFYSQCDRFDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAVRNIVTDNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLNPDTISITNILLACIHLSSVQLVKQCHGYMLRASLEDIHLEAALLDAYSKCGNIANAYNLFQVSPHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYNFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFVASDVQHQDRFSIYDVLGSLYQQIRGTQGGTAHFVVTYEL >ORUFI02G12900.3 pep chromosome:OR_W1943:2:10088890:10097386:1 gene:ORUFI02G12900 transcript:ORUFI02G12900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFPLARPPGRRYADAALRGGQWRLSHHLRATATGGGGAPDVFDAMPALDDRMRCSALLREHAAGGDHYGCTSLLRWMLARGLRPNRLALAAAVKSSSALPDCRGGAALGRCLHGLAVKVGYADGAVVAKAVMDMYGRIGSLADAHMVFDEMSCSDAVCRNILITASSRAGLYNDVFHLFRAMLATGVDESMPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFDTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIITWNTVIAGYVMNRYPSRALKLFQGLLFTGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQETSLMNALVSFYSQCDRFDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAVRNIVTDNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLNPDTISITNILLACIHLSSVQLVKQCHGYMLRASLEDIHLEAALLDAYSKCGNIANAYNLFQVSPHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYNFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFVASDVQHQDRFSIYDVLGSLYQQIRGTQGGTAHFVVTYEL >ORUFI02G12900.4 pep chromosome:OR_W1943:2:10089064:10097386:1 gene:ORUFI02G12900 transcript:ORUFI02G12900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFPLARPPGRRYADAALRGGQWRLSHHLRATATGGGGAPDVFDAMPALDDRMRCSALLREHAAGGDHYGCTSLLRWMLARGLRPNRLALAAAVKSSSALPDCRGGAALGRCLHGLAVKVGYADGAVVAKAVMDMYGRIGSLADAHMVFDEMSCSDAVCRNILITASSRAGLYNDVFHLFRAMLATGVDESMPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFDTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIITWNTVIAGYVMNRYPSRALKLFQGLLFTGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQETSLMNALVSFYSQCDRFDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAVRNIVTDNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLNPDTISITNILLACIHLSSVQLVKQCHGYMLRASLEDIHLEAALLDAYSKCGNIANAYNLFQVSPHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYNFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFVASDVQHQDRFSIYDVLGSLYQQIRGTQGGTAHFVVTYEL >ORUFI02G12900.5 pep chromosome:OR_W1943:2:10091015:10097386:1 gene:ORUFI02G12900 transcript:ORUFI02G12900.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFPLARPPGRRYADAALRGGQWRLSHHLRATATGGGGAPDVFDAMPALDDRMRCSALLREHAAGGDHYGCTSLLRWMLARGLRPNRLALAAAVKSSSALPDCRGGAALGRCLHGLAVKVGYADGAVVAKAVMDMYGRIGSLADAHMVFDEMSCSDAVCRNILITASSRAGLYNDVFHLFRAMLATGVDESMPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFDTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIITWNTVIAGYVMNRYPSRALKLFQGLLFTGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQETSLMNALVSFYSQCDRFDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAVRNIVTDNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLNPDTISITNILLACIHLSSVQLVKQCHGYMLRASLEDIHLEAALLDAYSKCGNIANAYNLFQVSPHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYNFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFVASDVQHQDRFSIYDVLGSLYQQIRGTQGGTAHFVVTYEL >ORUFI02G12900.6 pep chromosome:OR_W1943:2:10091015:10097386:1 gene:ORUFI02G12900 transcript:ORUFI02G12900.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFPLARPPGRRYADAALRGGQWRLSHHLRATATGGGGAPDVFDAMPALDDRMRCSALLREHAAGGDHYGCTSLLRWMLARGLRPNRLALAAAVKSSSALPDCRGGAALGRCLHGLAVKVGYADGAVVAKAVMDMYGRIGSLADAHMVFDEMSCSDAVCRNILITASSRAGLYNDVFHLFRAMLATGVDESMPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFDTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIITWNTVIAGYVMNRYPSRALKLFQGLLFTGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQETSLMNALVSFYSQCDRFDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAVRNIVTDNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLNPDTISITNILLACIHLSSVQLVKQCHGYMLRASLEDIHLEAALLDAYSKCGNIANAYNLFQVSPHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYNFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFVASDVQHQDRFSIYDVLGSLYQQIRGTQGGTAHFVVTYEL >ORUFI02G12900.7 pep chromosome:OR_W1943:2:10089064:10093845:1 gene:ORUFI02G12900 transcript:ORUFI02G12900.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFPLARPPGRRYADAALRGGQWRLSHHLRATATGGGGAPDVFDAMPALDDRMRCSALLREHAAGGDHYGCTSLLRWMLARGLRPNRLALAAAVKSSSALPDCRGGAALGRCLHGLAVKVGYADGAVVAKAVMDMYGRIGSLADAHMVFDEMSCSDASMPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFDTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIITWNTVIAGYVMNRYPSRALKLFQGLLFTGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQETSLMNALVSFYSQCDRFDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAVRNIVTDNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLNPDTISITNILLACIHLSSVQLVKQCHGYMLRASLEDIHLEAALLDAYSKCGNIANAYNLFQVSPHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYNFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFVASDVQHQDRFSIYDVLGSLYQQIRGTQGGTAHFVVTYEL >ORUFI02G12910.1 pep chromosome:OR_W1943:2:10098713:10111413:-1 gene:ORUFI02G12910 transcript:ORUFI02G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSSWERGEAKERACQIRMRGQRGAWYWPATEEPGTAGGNEARTPLLDDKEEDKRFSCFAVKWWRKFWPEMAEHWAEGSKHASAQASYSRYQATSARSRQRNIFDLLAQREISPRTKHQAKKLWSKSPGNDADSNELRYAATDAKHDIYSWAESQSLHHWSAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDYQTGKCLKVLSGHRRTPWVVRYHPLLPDILASGSLDQEVRLWDAKTSDCIGSQDFHRPIASIAFHARGEILAVASGHKLYIWNYNKRDEAAAPTIILRTRRSLRAVHFHPHGAPYLLTAEVNNLDSADSPLTLATSSGYSNYPSAVFFANINSRNCPHHEANSSSPCLLWPAYLRDDGSLCLIRNDLVSSSTNVHQRPSSLAQNSLASDVENQQPDQLVTPMDVCPGEPSTSHASASGLSGVEMQIDRGQPSSRLLGSSSTSNHESSTARDDVQMPSLSNSAPIPATSQPSENDGRHGMPMNSFTTSSGLDVHMILRNSEGGNHHHDLFSDSRSWELPFLQGWFMAQNHTGASPSIPIDVGSSRGSNRHHASRRHVVGSLRGVGSSLLGPQIDEAEVHAASLGVGSELTTSLLAAGAAELPCTVKLRIWRHDIKDPCVTLEPEACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDRGSQLPVQYDSAGAGTSPTRHPLPSHRVIYELRVYSLEEATFGKVLTSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSMLRSIVMDGETGIPVYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGADTTSTGLNCFIEENMLEIPASQQILSGYQLVTVGIPIYDGRFRDMRWKAERLVAMCYVYFSSLLFLDWVPNAND >ORUFI02G12910.2 pep chromosome:OR_W1943:2:10098751:10111413:-1 gene:ORUFI02G12910 transcript:ORUFI02G12910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSSWERGEAKERACQIRMRGQRGAWYWPATEEPGTAGGNEARTPLLDDKEEDKRFSCFAVKWWRKFWPEMAEHWAEGSKHASAQASYSRYQATSARSRQRNIFDLLAQREISPRTKHQAKKLWSKSPGNDADSNELRYAATDAKHDIYSWAESQSLHHWSAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDYQTGKCLKVLSGHRRTPWVVRYHPLLPDILASGSLDQEVRLWDAKTSDCIGSQDFHRPIASIAFHARGEILAVASGHKLYIWNYNKRDEAAAPTIILRTRRSLRAVHFHPHGAPYLLTAEVNNLDSADSPLTLATSSGYSNYPSAVFFANINSRNCPHHEANSSSPCLLWPAYLRDDGSLCLIRNDLVSSSTNVHQRPSSLAQNSLASDVENQQPDQLVTPMDVCPGEPSTSHASASGLSGVEMQIDRGQPSSRLLGSSSTSNHESSTARDDVQMPSLSNSAPIPATSQPSENDGRHGMPMNSFTTSSGLDVHMILRNSEGGNHHHDLFSDSRSWELPFLQGWFMAQNHTGASPSIPIDVGSSRGSNRHHASRRHVVGSLRGVGSSLLGPQIDEAEVHAASLGVGSELTTSLLAAGAAELPCTVKLRIWRHDIKDPCVTLEPEACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDRGSQLPVQYDSAGAGTSPTRHPLPSHRVIYELRVYSLEEATFGKVLTSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSMLRSIVMDGETGIPVYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGADTTSTGFRDMRWKAERLVAMCYVYFSRSVQRELEDVNQHNHVAVKSSRCCNYRIVHVIFNQLNLYFRKHTMLTLFFSMAEVFIVPLVFFIV >ORUFI02G12910.3 pep chromosome:OR_W1943:2:10098751:10112905:-1 gene:ORUFI02G12910 transcript:ORUFI02G12910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHKHRILDIKQHLQDLGKAQREISPRTKHQAKKLWSKSPGNDADSNELRYAATDAKHDIYSWAESQSLHHWSAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDYQTGKCLKVLSGHRRTPWVVRYHPLLPDILASGSLDQEVRLWDAKTSDCIGSQDFHRPIASIAFHARGEILAVASGHKLYIWNYNKRDEAAAPTIILRTRRSLRAVHFHPHGAPYLLTAEVNNLDSADSPLTLATSSGYSNYPSAVFFANINSRNCPHHEANSSSPCLLWPAYLRDDGSLCLIRNDLVSSSTNVHQRPSSLAQNSLASDVENQQPDQLVTPMDVCPGEPSTSHASASGLSGVEMQIDRGQPSSRLLGSSSTSNHESSTARDDVQMPSLSNSAPIPATSQPSENDGRHGMPMNSFTTSSGLDVHMILRNSEGGNHHHDLFSDSRSWELPFLQGWFMAQNHTGASPSIPIDVGSSRGSNRHHASRRHVVGSLRGVGSSLLGPQIDEAEVHAASLGVGSELTTSLLAAGAAELPCTVKLRIWRHDIKDPCVTLEPEACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDRGSQLPVQYDSAGAGTSPTRHPLPSHRVIYELRVYSLEEATFGKVLTSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSMLRSIVMDGETGIPVYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGADTTSTGFRDMRWKAERLVAMCYVYFSRSVQRELEDVNQHNHVAVKSSRCCNYRIVHVIFNQLNLYFRKHTMLTLFFSMAEVFIVPLVFFIV >ORUFI02G12910.4 pep chromosome:OR_W1943:2:10111731:10112905:-1 gene:ORUFI02G12910 transcript:ORUFI02G12910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIQRSETTRYRIAPSTLEPRRLPFLSARLALDALPPSVQSATRKRDEGKSTRLPRRRRRRRRRPLPGDFRRRPQDRPLPFLRPLAAASVSRPSSPLSLRTAGQPDPPGFQASARRAS >ORUFI02G12920.1 pep chromosome:OR_W1943:2:10116767:10120066:1 gene:ORUFI02G12920 transcript:ORUFI02G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPYAVKGRKKKRKLDDGGASREPPVDEEAAEELPPPEEVEEEEGKEEDVAAAEVADGLPVVPRPVDGKRRPGAIFVLERACLEVGKVGKTMQILNSDDHANYLRKQNRNPADYRPDIIHQALLAIFDSPLTKAGRLQAVYVRTEKGVLFEIKPYVRMPPQLLQKLSITAVGKREKLLNVIKNPVTRYLPVGAKKIGLSYSAEKSVNLFDYVAKSSDDVPLVFVVGAMAHGKIDNEYSDDYIQICNYPLSAACCLNRICSALEQKWNIQ >ORUFI02G12930.1 pep chromosome:OR_W1943:2:10120842:10122899:-1 gene:ORUFI02G12930 transcript:ORUFI02G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGIATLSPLLDQFCFVPHGEPRSQQLDSIVIFLAMPGVAPMPMRVLHSDSVASVKLRIQQSKGFVTTKQRLVFSGHELSLNNSHVRDYGLTDGNVLHLVVRLADLRAISIETANGKKFQFQVESRCNVGYLKDKLSAESGQQLGSLKDQRLVFDGEELEDNQLIADISKKGAAVIHLFIRRPAKVQTQQGDKETVVTVVTPKDNDNLQTDALNLAKLAKGKPSPVEPIIANGKVKLSPAVMEMIYSTISGLENGYLPVMSTEGSGGVYFMKDSSGESNVAVFKPIDEEPMAKNNPRGLPLSIDGEGLKRGTRVGEGALREVAAYILDHPVYGCKSCDVPGFSGVPPTALVRCFHMGKGSKVGSLQLFVDNNGSCEDMGPRAFPVKEVQKIAILDIRLANADRHAGNILVCQDGEDHLKLIPIDHGYCLPEKFEDCTFEWLYWPQAREPFGPETAAYIGSLDADKDVALLKFHGWALSPQCARVLRISTMLLKKGAERGLTPYDIGSILCRQTVKKEPEIEAIIEEAEDAVLPGTSEETFLETISEIMDFHLDKLAVKLKKF >ORUFI02G12940.1 pep chromosome:OR_W1943:2:10123065:10123752:-1 gene:ORUFI02G12940 transcript:ORUFI02G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKGGAVDRTNPRLPEPCSEAESRGVFAAVGVGDGDGRRGSVFSPPRIQFVYINSDAVLLRHWSSSRDDPAAFAQFLWFGVERIGGQEGVVGALVSSGGP >ORUFI02G12950.1 pep chromosome:OR_W1943:2:10129035:10133955:-1 gene:ORUFI02G12950 transcript:ORUFI02G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGEAAGAVRSSSDQGNSRKKPRFDAGEEEELARMPLADAFVGAGSSGGGGDAAGAGGGCAAAPSVELLDIVQHPLPGYGAPVALSFSPDDRRVAFLYSPDGTLHRNVYAFDPAQRRQELLFGPPDGGGLEEGNLSAEERLRRERARERGLGVTRYEWRARLPGTPSSRAGIVVPLPSGVYFQDLSGAEPVLKLQSSATSPIIDPHLSPDGSMIAYVRDDELHTVGFSDGQTTQLTYGASESGKIHGLAEYIAQEEMERKMGFWWSPDSKHLAFTEVDSSEIPLYRIMHQGKSSVGPDAQEDHAYPFAGAANVKVRLGVVSSHGGEITWMDLLCGEPNSIHGDEEYLARVNWMHNSAIAVQVLNRTHSKLKLLKFDIASGKREVILEEEHDTWITLHDCFTPLDKGVNSKHPGGFIWASEKTGFRHLYLHDKNGVCLGPLTQGDWMVDQIAGVNESSGVIYFTGTLDGPLETNLYSTNLFPDWSLPLQVPKRLTHGTGRHSVILDHQLLRFIDVYDSIKSPPVILLCSLLDGSVIMPLYEQPLTVQPLKKFQQLSPEIVQIEGKDGTALYGTLYLPDEKKYGPPPYKTLVNVYGGPSVQLVSDSWISTVDMRAQFLRSKGILVWKMDNRGTARRGLQFEGQLKYNIGRVDAEDQLAGAEWLIKKGLAKPGHIGLYGWSYGGFLSAMCLARFPDTFSCAVSGAPVTAWDGYDTFYTEKYMGLPSEQRDAYRYGSIMHHVKNLRGRLLLIHGMIDENVHFRHTARLINSLMAEGKPYDILLFPDERHMPRRLGDRIYMEERIWDFVERNL >ORUFI02G12960.1 pep chromosome:OR_W1943:2:10137891:10143579:1 gene:ORUFI02G12960 transcript:ORUFI02G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFLLLLAFALLFPLSAPPRCCSAAPASSPPPSPPPSPAAAAAAPRRTPLVPALFVIGDSTADVGTNNYLGTLARADREPYGRDFDTRRPTGRFSNGRIPVDYIAEKLGLPFVPPYLEQNMRMGVGSVDLSNIDGMIQGVNYASAAAGILSSSGSELGMHVSLSQQVQQVEDTYEQLSLALGEAATTDLFRKSVFFLSIGSNDFIHYYLRNVSGVQMRYLPWEFNQLLVNAMSQEIKNLYNINVRKVVMMGLPPVGCAPHFLWEYGSQDGECIDYINNVVIQFNYALRYMSSEFIRQHPGSMISYCDTFEGSVDILKNRDRYGFLTTTDACCGLGKYGGLFMCVLPQMACSDASSHVWWDEFHPTDAVNRILADNVWSGEHTKMCYPVDLQQMVKLK >ORUFI02G12970.1 pep chromosome:OR_W1943:2:10144350:10144794:-1 gene:ORUFI02G12970 transcript:ORUFI02G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAILNESDLLLSDDAVEQIVDQTFKQADLNSDGKIDPDEWKAFASKNPALLKNMTLPYLKDITMAFPSFVINSGVDDEEL >ORUFI02G12980.1 pep chromosome:OR_W1943:2:10183381:10185009:-1 gene:ORUFI02G12980 transcript:ORUFI02G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFKRAAQHEDPAILAKETTFSVSEVEALFELFKKISHSIFRDGLIHKEEFQLALFRNSNKKNLFANRCKKALSEEAQFYICLAELYNLFLCENADSVSPQVQIFDLFDLKRNGVIDFGEFVRSLSIFHPETPLGDKIALFFFVVAFRLYDLRGTGCIEREELHEMVLALLNESDLFLSEEAVEQIVDQTFKQADLNDDGKIDPDEWKTFASKNPALLKNMTLPYLK >ORUFI02G12990.1 pep chromosome:OR_W1943:2:10188405:10191853:-1 gene:ORUFI02G12990 transcript:ORUFI02G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSGSTNVATSISSHEDNLAGRVAKTNQTSKENQKMIKISDKLIGVFMVDKPTPTDWRKLLSFSREWDNIRPHFFKRCQERADAESNPEMKHNLLRLARKLKEIDEDVQRHNELLEVVKSTPSDEIGSVIAKRRKDFTVEFFNHLYYVAESYHDDPEKQRELAQLGNDCVDALQAHDDTSGSLEALSAAELKLKDILNSPSVDAACRKIDDLAEKKELDSALVLMLSKAWSAAKGTDITKSEAKDIMFHLYMTAVANLQRQMPKDIRILKHLIMIEDPEERLSALNDAFTPGPELQGDNVDTLYTIMLESIATALQKDIHLAFALPLSEQAHQEMLHMQFVDFSYSPISEYDKWVYTWGNDIYSSQKVYARNFMFTQQIPHLNGSGRPSVQ >ORUFI02G13000.1 pep chromosome:OR_W1943:2:10200718:10217929:1 gene:ORUFI02G13000 transcript:ORUFI02G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSSILAVMVVSFVVLLAGGAGAAAAAVAQPAPLFVFGDGTLDVGNNNYLPGDEDVGDPPRANHPYYGIDFPGGKATGRFSNGYTMADFIAKYMGYEMSPPAYLSLSGPVNMNGFTGVNYASADAGIRNSTNAGLTIPLSKQISYFATTRSQMESKLGRLAMSDLLARSLFLIAVGTMDLLPDCNYFLTFPPSPPDNKTEVRRLVELYNASVTSLYGMGARRFAVVNVGLVGCGPTVDTRRGGGSGSGGGGGGCDAGMNGLAAEFNAALGALLAGLRSEKRRLRYSLADFYAFSNATFANPSAAGFVNIDSSCCPGPCMPFPYFNHPPCDNRAQYWFWDGGYTTEQAAMVAAAAFFNGTAKFTTPVNFKKLIRRKKGRQLQHFATAEKCKKMASSESEAMGTFLAMAALTFQVMLLGGAVAAGGCNGTRRSSVPAIFLFDDGALDVGNNQYLPSSEAGDPIRADHPFYGIDFPGGNATGRFSNGYTMADFIAKAMGLEMSPPAFLSLNNSLIEVDAGFSGINYASAYAIIWTRFSPDFHKHHAFIQDDAQSVSLPRQTDIPHLVASYGELIVQPLHGLGARRFGIVNAPPIGCAPAVTEQPHSHSPGGGCDDRMNALAREFNGGLGSLMVGLSSSLPGLRYSVADFYGFSNATFMNPSANGFTNTDAACCKGPCNEQFGAPCGNRREYWFWDAGHTTEKAAKLAAAAFYDGERQFTTPLNFKRLMGIH >ORUFI02G13010.1 pep chromosome:OR_W1943:2:10232571:10234733:-1 gene:ORUFI02G13010 transcript:ORUFI02G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERRKPLVVRDGRRIRSYSPRSAGGMGPRPHPLLFAKIQLTKKEDPFITYFNKTKDNKVMVHIEEVKVNRKSMKVLTEPEYLNDDVKKGIRGDGKAFLEQAIKTGLLNVEGAHIFLPTNITEIHWYLAILNAKRREVQILDSLAKPISEHRPRTRACAIERGLHGTKFHHPQLKHDCPDFDITKWEHNEVKKLPKQGDKWVTRKLFHMIFTFFNYASRVAYTPLSSWSIGADLISQKIKYRQLQ >ORUFI02G13010.2 pep chromosome:OR_W1943:2:10232571:10234733:-1 gene:ORUFI02G13010 transcript:ORUFI02G13010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERRKPLVVRDGRRIRSYSPRSAGGMGPRPHPLLFAKIRGRFYVYILIICTTFEELTKKEDPFITYFNKTKDNKVMVHIEEVKVNRKSMKVLTEPEYLNDDVKKGIRGDGKAFLEQAIKTGLLNVEGAHIFLPTNITEIHWYLAILNAKRREVQILDSLAKPISEHRPRTRACASRVAYTPLSSWSIGADLISQKIKYRQLQ >ORUFI02G13010.3 pep chromosome:OR_W1943:2:10232571:10234733:-1 gene:ORUFI02G13010 transcript:ORUFI02G13010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERRKPLVVRDGRRIRSYSPRSAGGMGPRPHPLLFAKIQLTKKEDPFITYFNKTKDNKVMVHIEEVKVNRKSMKVLTEPEYLNDDIFLPTNITEIHWYLAILNAKRREVQILDSLAKPISEHRPRTRACASRVAYTPLSSWSIGADLISQKIKYRQLQ >ORUFI02G13020.1 pep chromosome:OR_W1943:2:10239458:10242481:1 gene:ORUFI02G13020 transcript:ORUFI02G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGHFRIIVLSLVIMASFQVLGSAEDHKTALPAIFVFGDGMLDVGNNNYLPSDAPQADYPYYGIDFPGSEPTGRFSNGYNMADFIAKDMGFKMSPPAYLSLNSSIKMETNFTGVNYASAGAGIQIIMNDEATIPFVYQVKNFNDTVSQMEANLGHQKLSKLLAKSLFLISIGTVDLSVNIWRVLRYSRKPSPFNIPYTLSSYKAIIMQLYGLGARKFGIINIQPLGCQPWMRKNLENNVDCNDSMNSLAREFNDGLKPLFSNLSSQLSGLSYSIADFYAFSNATFMNPRAYGFVNINSTCCIPPCTPEHEPPCQNRKQYWFWDLSYTTERAAKLAASAFYDGPARFTAPVNFKRLIKMK >ORUFI02G13030.1 pep chromosome:OR_W1943:2:10246137:10246917:1 gene:ORUFI02G13030 transcript:ORUFI02G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAHIHSLSLPALFALAAAAWTCEGGGYGVGVELRGRGVELRRAAVAASAWSYEGRGCGIGVQLRGHMLRRRRVVVRAGRGAARAVAAASAWSCEGGGATMVVEAVASERLCTQSPARRRWTLLPCAEEADLAGATRVGRSPPMERRHCLIEDEVKLKVISASAGRCRLVELEFLIPKPIASAELYVPKQLYRHQRVGFHGEDSCSWRLQERWTLSSGSPAVGAEGKAGDVFELRRRKESPPPISYSTSSRMPLP >ORUFI02G13040.1 pep chromosome:OR_W1943:2:10248432:10249268:-1 gene:ORUFI02G13040 transcript:ORUFI02G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEELDYVLIPLGMALMVGYHAWLLLRIRRRPATTVIGVNAINRRIWVRHIMERDENEIAGVTGFGWIQEASGKHAVLAVQTIRNNIMASTLLASTAITLSSLIAILMSSAGGGGGDGLLPGAPLVVGAAGETALSVKFFAILVCFLVAFLLNVQSIRYYSHTSTLVNVPVRLIQRRRRPGLAVDYVTATLNRGSYFWSLGARAFYFSCPVFLWLFGPIPMFAACAAMVCALYFLDVCDDWEEEHQDHDEQDGSGSDERSGEAKV >ORUFI02G13050.1 pep chromosome:OR_W1943:2:10252203:10252466:-1 gene:ORUFI02G13050 transcript:ORUFI02G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAEEATIITWVMNGMGWSSVQAELFLHVGVVIGEEGCRAEKEVNRVAARSCRWPRSMTSWRRWAVELDVGRCCCSLSSAEHSPC >ORUFI02G13060.1 pep chromosome:OR_W1943:2:10256555:10271093:1 gene:ORUFI02G13060 transcript:ORUFI02G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSEQGVESVTKLASAVELSWTSALLPSQDMMVIAEIYMRCAVRFLVSIRAAASTRVLANRLGQSRNLSTTSDMLGKGGEVDRRGGTAGKATQVESTSGATEVLAKQAGSGGGENMSVQW >ORUFI02G13070.1 pep chromosome:OR_W1943:2:10273886:10283310:1 gene:ORUFI02G13070 transcript:ORUFI02G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSDESELSDADIDDYADKCYMDLKSGKPVVSLGNEKFRCPFCLGKKKQDYRYNELLQHAIGVGASNRAPKVKANHMALANLLKNDYADAAGSLPSRQAIGPSNPPRPLQDQEAYVWPWMGILANVPAEKTKEDGASLMQQLANFNPLQFTAVLCSEGRYTGYAVVGFSKDWIGFTNALAFHNYFKSQRLGKKDWAALGQEKYICGWMAKEEDYKSSDPVGRFLSANGDLKTVSGLENDLSRKTETLIANLSHQITAKSKYLVELECRCNQMNLSVKRAMEETDLLHKSYNEEMRNMQSAAREHSQKIFEETDQLRKQLDDKENAIERRSKQLSKFVAQTDIERRKLESEMKKNSEQNDSIHMARIEQQKSDKNVLKLVEKHKKEKEIALNKILQLEKQLDEKQKLELEIQQLRGRLLVVQHMEGEGVDVKKRTDELTEELNEKIEEMEYMEGLNQTLIIKERKTNDELQDAKKALISGLSELLGPRSTIGLKRMGELDEKPFLAACKKRYGTADGEAEIKAAEFCSEWQENLKDANWHPFKIVTRGGKTEQIINEDDEKLVGLKEQLGDEVYKAVTTALLEINEYNASGSYVVSELWNNKEDRKASMQEALQHVLEQWKLRRRRR >ORUFI02G13080.1 pep chromosome:OR_W1943:2:10279659:10283036:-1 gene:ORUFI02G13080 transcript:ORUFI02G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMASIECVSYSDSMDDDDDDGVGVGGVSHLPRPILVKPSSAAAAVNVVVVSAGSGGGAGGGGGGVGVVAGAPAVPPATSVHELLECPVCTNSMYPPIHQSRGCVVCMLVCPYTSGRQGRIYRPVTPDIHLGSSMDNPLKFHCQNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHESQCNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGVAPVYMAFLRFMGDENDARNYSYSLEVGANGRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQQNPDSGACIPNLFS >ORUFI02G13080.2 pep chromosome:OR_W1943:2:10279659:10283036:-1 gene:ORUFI02G13080 transcript:ORUFI02G13080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMASIECVSYSDSMDDDDDDGVGVGGVSHLPRPILVKPSSAAAAVNVVVVSAGSGGGAGGGGGGVGVVAGAPAVPPATSVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHESQCNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGVAPVYMAFLRFMGDENDARNYSYSLEVGANGRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQQNPDSGACIPNLFS >ORUFI02G13090.1 pep chromosome:OR_W1943:2:10284989:10293894:-1 gene:ORUFI02G13090 transcript:ORUFI02G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVRSRAAAVAATAARRIHAGRTVAEEQGQGPGLRRPWAYFRRRHQQQQQQASLPRAVAAPPLRGAVAERGAGGGSDGGGEPPEIWRQPGEAPPGGGARGAEVGRIDVVRVAAGGGGGGGDGSDGNEGGGGGAGWGGSNLGRRFPTPKEICRGLDKFVIGQERAKKVLSVAVYNHYKRIHCELLSSRSAGDCSETDSCASDTDGVELEKSNILVMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAAADFDVAAAQQGIVYIDEVDKITKKAESINLSRDVSGEGVQQALLKMLEGTVVSVPEKGARRHPRGDNIQIDTKNILFICGGAFVDLEKTISERRHDSSIGFQAPIRSNMRTGGVINAEITSSLLESVESGDLIAYGLIPEFVGRFPILVSLSSLSEDQLVEVLTKPKNALGRQYTKLFEMNDVKLHFTEKALRLISKRAIAKNTGARGLRSILESLLTESMYEIPEIRTGKDKIDAVVVDEDSVGSTNQHGSGAKILCGEGALDLYLDEHNKESTLRRSEKVDGEPDIDTEAPSRVASM >ORUFI02G13100.1 pep chromosome:OR_W1943:2:10298261:10298596:-1 gene:ORUFI02G13100 transcript:ORUFI02G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGERRTIVPLVIALKLIAPVATRVTNVVAVLGLAAFVGVIANKAVPILDLPASVGIAANELTSLLALNRVAIELSSRCIISPVAPPLLPSNIEIAHVLALHGAHNCVFQ >ORUFI02G13110.1 pep chromosome:OR_W1943:2:10301976:10304406:1 gene:ORUFI02G13110 transcript:ORUFI02G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPAASSSSRPPPEGEPASSRATSSAESSIASLIDRATSTTSPSVDPALLRAIKSAARASDGAIRDAFRILLSLMSKPHAHVRLLAFTIADELFMRSKLFRSLLADVLDGFLPLAVGFRRAHPLPPPAASATLLRKAAVQALERWHHLFGAHYRQLRLAVEYLKESARVQFPGLRAAVEARAAREARTQEILTAKVEQLRRNLAAIKAEIRSAIDEIRNGLDIIRIESEKFNNYANDEDEEQEIASLAMRSIRMSSLMAGEWVPETQENGAVFDALRESYRLLVSKHLVTVQEWISVLVRVNLADNRFRDSALKEFIDVKNEIRAVRNRCSELGLNLDNVHRRKGVQEEEDDDFWVEGNIEVPSPGRVGTSADVASSSKDTRKGKKPVGGTDTDDGKAPNAGNSPQELDPERAKLHAEAPVLPWSSVLDRWGSNRDALVNQRGLELESHWGRVDNDAVIPAAKIAELNVHSSIYREDPVEILPCLAPLKKGGLCQRRDLKICPFHGPIVPRDAEGNPIHQSDGHCDAEGNPVGQTDGRYDAAVSPIDPNEDSSDVRENMIMPDGSSDGECIYESSPSRTANINNDISDSDVGHDLGKITVEQLARQAVQNVRKRDRDDKKALEKAQRARIRQHNQDVLREAALASTSRSAADYDLPSPAVSRRGRRGKTKGPTLASMLKKKVTSKDRIAERLLNARARDATIREVSHTEDMSYREAFPNQW >ORUFI02G13120.1 pep chromosome:OR_W1943:2:10304982:10306272:-1 gene:ORUFI02G13120 transcript:ORUFI02G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHSPPPPPAPPSPPPALPCDPMPPPPRHDDTTLTLSLAPPAAARPLQAMVARAKCSSPTGDAPPCTECGRQFLSWKALFGHMRCHPERHWRGITPPGGGGAGAASSTAASQFTLREREVAASLLMLSGAHPARSGAGKGKGKKRLLAPAAAAAPHHSPATCADHKCAVCHRGFATGQALGGHKRCHWPDRSCADQAISMLAVSTAGSSSVLIDQKSAF >ORUFI02G13130.1 pep chromosome:OR_W1943:2:10308611:10309663:1 gene:ORUFI02G13130 transcript:ORUFI02G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAGDTGLSKVRRYWPGRAPEYWPGAAVAHDVIKHVEEEALRSPRRRRRRVRQPPEIVSAAPAVDCWHEPDQIEEEEEDDDDAREERRARIRERALLLRQHEEEQLLLHHHHQRHQEDEAASESDETAAESDSDDEQMAVVYMAAPLFVPKSQRDTIRLREEEQHRQRRRLELELDKKRLEDRKAQTRRILLQEIIKEELLAATTASAEAEAAIDGVDTDDEVDQAEEHESWRRREAARVKRSREESGIDENPVADDRPKKMTIKKQMRFMRRYYHKGCFFQDDADGAAQTAAGACEIYRRDFSGPTGLDKMDVSVLPKVMQVKHFGRRGGRKWTHLVNEDTTYRNYS >ORUFI02G13140.1 pep chromosome:OR_W1943:2:10310277:10315624:-1 gene:ORUFI02G13140 transcript:ORUFI02G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRPRPPQPSPPPPVASSAASSSSSSVLDDGDLLREILLRLAHPTFLVRAALVCRRWLRHASDRAFLRRFAVLHPPRLLGFYVDSASLPRPRFVPLPHPPELAAVVRRGSFDLGTAADLHFHLGTGIYCCRNGRLLVCHRGGGESTLQLRRPLHPASDGAVISTFRTPPRPLPPNQRHTRYILLPEDGGDGDGDAVACTVVTLVSSEREVFAKVEKILRAGVWDVAQTSAPIELPAHWRRSLSRGFLVNGKLYMLGTTGYILGLELVSMSLFFIEVPDAVRDDCPESFQLSVKLSQAEKSGLYLIHVEGFKIHVWLHGTDGNSSADWNLVNTICLREVFGHLVKPSWESGDSRISLPGSGDNAEFVFLEVDGEVFCMDIISRTVEKVYEMAMKDDFLFEIYPFMMVWPPIFPALIKTHEPDSKRSPEDACTKAKAQAPQCYDLNDVKLWQAVAKIAGLLGLTMVLNSVQPVVSGHTCFNLRAKVGRHR >ORUFI02G13140.2 pep chromosome:OR_W1943:2:10310277:10315624:-1 gene:ORUFI02G13140 transcript:ORUFI02G13140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRPRPPQPSPPPPVASSAASSSSSSVLDDGDLLREILLRLAHPTFLVRAALVCRRWLRHASDRAFLRRFAVLHPPRLLGFYVDSASLPRPRFVPLPHPPELAAVVRRGSFDLGTAADLHFHLGTGIYCCRNGRLLVCHRGGGESTLQLRRPLHPASDGAVISTFRTPPRPLPPNQRHTRYILLPEDGGDGDGDAVACTVVTLVSSEREVFAKVEKILRAGVWDVAQTSAPIELPAHWRRSLSRGFLVNGKLYMLGTTGYILGLELVSMSLFFIEVPDAVRDDCPESFQLSVKLSQAEKSGLYLIHVEGFKIHVWLHGTDGNSSADWNLVNTICLREVFGHLVKPSWESGDSRISLPGSGDNAEFVFLEVDGEVFCMDIISRTVEKVYEMAMKDDFLFEIYPFMMVWPPIFPALIKTHEPDDVKLWQAVAKIAGLLGLTMVLNSVQPVVSGHTCFNLRAKVGRHR >ORUFI02G13150.1 pep chromosome:OR_W1943:2:10321262:10327707:1 gene:ORUFI02G13150 transcript:ORUFI02G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFEDDEPPSKRARASSVEPASLLDSFSCLKPAAPLGSTMARPLPSQGKEVMVGSKGVIKRDEFVRIITKALYSLGYEKSGAVLEEESGITLHSPTVNLFRRQVLDGNWDSAVVTLKKVGLLDENIVKSAIFLILEQKFLELLRNDNVMGAIRTLQSEITPLGVNKKRVHELSGCIISSPQHVLLGFSKLGIESSNSRLKLLEELQKVLPPTVMVPERRLENLLEQALTVQREACYFHNSIDGLSLYTDHHCGKDQLPSCTVQVLRAHRDEVWFLQFSNNGKYLASASNDKSAIIWKVDEDGELLLKHTLTGHDKPVMMVAWSPDDCQLLTCGMEEVIRRWDVESGKCLHVYEKSGIGLISCGWFPDGKHILSGLTDHNFCLWDLDGKEVDCWKGQRSSKTSDFAVSNDGKLIISMNRESTIRLFDRETKQERLIEEDNTITSFSLSEDGDFLLVNLISEAIHLWNIRNCPIRVNRYAGHKRSRFVIRSCFGGSEQAFIASGSEDSQIYIWHRATGDLIETLPGHTGTVNCVSWNPANPHMLASASDDHTIRIWGLKKANLKRKDVGSSNGIYANGNTPSNGVVHQCNGNSSK >ORUFI02G13150.2 pep chromosome:OR_W1943:2:10322187:10327707:1 gene:ORUFI02G13150 transcript:ORUFI02G13150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFEDDEPPSKRARASSVEPASLLDSFSCLKPAAPLGSTMARPLPSQGKEVMVGSKGVIKRDEFVRIITKALYSLGYEKSGAVLEEESGITLHSPTVNLFRRQVLDGNWDSAVVTLKKVGLLDENIVKSAIFLILEQKFLELLRNDNVMGAIRTLQSEITPLGVNKKRVHELSGCIISSPQHVLLGFSKLGIESSNSRLKLLEELQKVLPPTVMVPERRLENLLEQALTVQREACYFHNSIDGLSLYTDHHCGKDQLPSCTVQVLRAHRDEVWFLQFSNNGKYLASASNDKSAIIWKVDEDGELLLKHTLTGHDKPVMMVAWSPDDCQLLTCGMEEVIRRWDVESGKCLHVYEKSGIGLISCGWFPDGKHILSGLTDHNFCLWDLDGKEVDCWKGQRSSKTSDFAVSNDGKLIISMNRESTIRLFDRETKQERLIEEDNTITSFSLSEDGDFLLVNLISEAIHLWNIRNCPIRVNRYAGHKRSRFVIRSCFGGSEQAFIASGSEDSQIYIWHRATGDLIETLPGHTGTVNCVSWNPANPHMLASASDDHTIRIWGLKKANLKRKDVGSSNGIYANGNTPSNGVVHQCNGNSSK >ORUFI02G13160.1 pep chromosome:OR_W1943:2:10331594:10338927:-1 gene:ORUFI02G13160 transcript:ORUFI02G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPSSSASTGDTKFDASQYAFFGNNAVEEVELGGLEDDDGIDAGFVGPGDEEYPSAYGRDMFEDEGGGSFTGVDDLAGAFSKPIFGAEPGLNNESRWSQPPHLARFADSRLHRTSSSPQQDAQYNPNEHILGAMPSPLHRTSSYPQQEPQYSHTEPIPVPKSSFIPYPPSGAVSHSSPGQPHNMNMPSPPTSFQMPVSAQNELHHPQFPRGGMPPGPLGRSMAHLDSAGLSTNNLQQNALNSGPVRPNGAMLTPEPMLAARITIEDGLCLLLDVDDIDRLLQFSQQQDGGLQLRNRRQALLEQLAESLQLVDPLTPSNNAPLSPNDDLGRKLLSRYLELVSSGSELARIACMAIFRHLRFIFGNLPSDSSAVETTTKLATAVSTCVVRLELSGLSACLAAIVCSSLQPPLRPLGHAAGDGASFIIKSVLDRATELLTDQHVASTYSMQNRALWQASFLQKYCMSKFDSVIHALQTQPAVAAVIGREMPVELLRASLPHTNEYQRKQLLGFAQRTVPVNNHSSHGSSNGPMTSESIPSSESWKI >ORUFI02G13170.1 pep chromosome:OR_W1943:2:10342322:10342777:1 gene:ORUFI02G13170 transcript:ORUFI02G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIRSADMACDQKGGLHGKELTPEGDFLVAFLRFGLLYFLLEDEQCDTLIWVDPEWHPKVQKAFEQLWHLLERTNPGRRRSEWTCYVEKKNKGRRGNEARAGNGSPSFRYENFIREEVVQTEN >ORUFI02G13180.1 pep chromosome:OR_W1943:2:10355085:10356506:-1 gene:ORUFI02G13180 transcript:ORUFI02G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGLPVRVVSRHLVKASDATIQPHVLTLSHIDLHADDVQTTVTCVYPNPAPSGAGDFAAVVATFTANLPSFLSHLFFLTGRIATNPASGLLEVRCHNQGAELVVADVGVTLGSLDWGNAGASLNKIQLPYAAEFVMSVQLLSFACGGFAVVWATNHLLGDGHIAVVLLRLWSELALTGTFAGGLNLDRSVLSRPRSPPMYSAAVDGMFVPWDHEHEVNPLTAEASFVERLYYVEAADIARLREEATAGGGKARATSVQAVSAYLWKKLAAVVSSSASIAKSDTAARRCSMGYWVDLRWRVRSPELRRTLSGYVGNATTYVEREEAADAVLRKPLGEVAAMVREAIAAVDYDERLQETVDWLEAHRPRSYTERAAVGLGSPTLHQTVWASFPCEAADFGFGAAALVLPTSANGRMCSAYLCVGRQPGGDAWIVSAYVWPRLAAALENDRRRVFKPLTAEFLGLTGEMRRGLAL >ORUFI02G13190.1 pep chromosome:OR_W1943:2:10414079:10414772:1 gene:ORUFI02G13190 transcript:ORUFI02G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTDIDFSYVSCAAYCAPFPTKILDPNASTSFAAPSKSKGLAAVARGGFLGRRSLPINKSSIAKTNSIREPSSVDVLLTTETLTLLSIPAPLALGNLVQSVLLTPIAPLEPTPEDIAVTAARAMAEWGCASTSSWSEADS >ORUFI02G13200.1 pep chromosome:OR_W1943:2:10417602:10424407:-1 gene:ORUFI02G13200 transcript:ORUFI02G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPSSSASTGATKFDASQYAFFGNNAVEEVELGGLEDDDGVDAGFVGPGDEEYPSAYGRDMFEDEGGGSFTGVDDLAGAFSKLTRSINEPTQSGIISHGGSISRQSSTLDWAQDSYWPTQPIFGAEQGLDNESRWSQPPHLARFADSRLHRTSSSPQQDAQYNPNEHILGAMPSPLHRTSSYPQQEPQYNHTEPIPVPKSSFISYPPSGAVSHSSPGQPHHMNMPSPPTSFQMPVSAQNELHHPQFPRGGMPPGPLGRNMAHLDSAGLSTNNLQQNALNSGPIRPNGAMLTPGLVQHNLQRPNGLVPPQMLSRRPQHGMAPIQHSPPQFSQFHAQMLGPRHPPPQGRQMYNPQHSSQMMSGFDANLAMPDLSDPRARAMLHHGVHGQHYLPQGFELAGMRMDNGWPRFRSKYMSTAEIENIARMQQAATQINDPYIDDYYHQACLAKKSAGEPMLAARITIEDGLCLLLDVDDIDRLLQFSQQQDGGLQLRNRRQALLEQLAESLQLVDPLTPSNNGPLSPNDDLVFLRIVSLPKGRKLLSRYLELVSSGSELARIACMAVFRHLRFIFGNLPSDSSAVETTTKLATAVSTCVVRLELSGLSACLAAIVCSSLQPPLRPLGHAAGDGASFIIKSVLDRATELLTDQHVASTYSMQNRALWQASFDAFFGLLTEYCMSKFDSVIHALQTQPAVAAVISREMPVELLRASLPHTNEYQRKQLLSFSQRTVPVNNHSSHGSNNGPMTSESIPSSESRKI >ORUFI02G13210.1 pep chromosome:OR_W1943:2:10445976:10447061:-1 gene:ORUFI02G13210 transcript:ORUFI02G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAGDTGLSKVRRYWPGRAPDWAWAGAAVAHDEDDARLVSTLDEIKHVEEEVLRSPRRRRVRRAPEIASAAPAVDDWHEPDPIEEEQDEDDDDDAREERRARIRERALLLRRHEEEQLLLLHPQHQEEEDEEASESEETAESDSDVGEQMAVVYMAVPLFIPKPRRDTIRLKEEERQRLEDELHRKRLEDRKAQTRQILLQEIINEELLAANTASDEAAINGVDTDDEVDQAEEYESWRRREMARIKRSREESGIDDDKSIMEDENPVADRPKKKMKIKKQMRFMQRYYHKGCFFQQDADDAAQTAGSCEIYRRDFSGPTGLDKMDVSVLPKVLQVKHFGRRGGRKWTHLVNKDTTYGE >ORUFI02G13220.1 pep chromosome:OR_W1943:2:10462614:10465458:-1 gene:ORUFI02G13220 transcript:ORUFI02G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGELGNGAASEGMARLSLSATVTAMAATATATATSSVFDNDDLLREILVRVALPHCLVRASLVCKSWLRNASDLVFLRRFRSLHPPPTLGFYIDSSVLSCPRFVALQGHPPELGVLLGRASRHFDAWSDVPLSMWDSRNGRVLVEIYGKLAVHSPLLPPADISVYPQTPLKVWLDRSFTYNLHEFLPEDGGNGREYYRLALGYKCKCMIAYLFHLVDGIWVGRASDTISLPGPDEQAEPVIPLGVHAFGKLFLLTNFSIIIVLDCKTLELTKVNITDEIELEDCDEVDLCEGKLEDEFTLVCLHPTKLQVWTHNLSQITWTLSHSVARDDILQASVTEAGESCAHVSILGIANAGKSAFLKLDDSIFLLDIVAKNMTKVYEICPEDGDIERIYPLLMIWPPKFPAHLTGNENSGRKMCTED >ORUFI02G13230.1 pep chromosome:OR_W1943:2:10467628:10474119:-1 gene:ORUFI02G13230 transcript:ORUFI02G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSEVPSPRDPELVERLILDLLDPELKGHALSELRKKREMFQNLALLLWNSFGIVASLLQEIIVVYPALSPPTLSLGASNRVCNALALLQCIASHPETRTHFLQARIPLYLCAFLETDDKAKQFEYLRLTSLGVIGALVKVATFITEKIVVHDAGLAYVCANADRFYAVGAALATVVTSMVDQPSKRLLKHVIRCYLRMSENPRGFAALQTCLPPQLKDGTFNSCLRDDPSGRHLHQQLLVKMTSGKKGGGAGNSAGRMSWG >ORUFI02G13240.1 pep chromosome:OR_W1943:2:10479614:10480133:1 gene:ORUFI02G13240 transcript:ORUFI02G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDEWARHRCIIAPAFSATNLNDTIGVMEETTSKMLGEWSDMVALGHSCIDIEKGVVRNAAEIIAKASFSIAAADATVFHKAAGDAVPLHARVSSASRSPASSISAPPTRRGRWAARSTRSCSTLSSRGGGVKAAA >ORUFI02G13250.1 pep chromosome:OR_W1943:2:10481036:10485626:-1 gene:ORUFI02G13250 transcript:ORUFI02G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTAVLAAVAPRTRKARGSTGHKRQRDTTRSGEAARWERRCGQVGEGGSAFLTPPTRSCVATPPLRSGNPISLLFAFFPIERRQRRRPCEREASLSRRLATPSSLKLGWTTSSFSRHWLLRQNKSSAHPLTRSPLPTTPLPFPRRRTADAVGLTANHGSERAGGANRGAPQLLRAREATHPCAPEALWNSASATALSSPIFNKNHIVVLLGLWRVVYAYYLNPLPTLKCDPYKQTDIMLPRIGLNIGNIVMSAKPNSGFSSETAQGESCTVGEKDGVIIVDHGSRREESNLMLNDFVAMFRARTGYKIVEPAHMELAEPTIKDAFGKCVQQGASRVIVSPYFLSPGRHWKQDIPALAAEASKEHSNITYVVTAPLGLHELMVDVMNDRIKYCLRHVAGNVEECTVCAGTGKCQLYP >ORUFI02G13260.1 pep chromosome:OR_W1943:2:10488801:10491310:1 gene:ORUFI02G13260 transcript:ORUFI02G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQTNGGGSNKKPSTAAGGDASPDKQNAPPPEDKKPPPDGTAPSPTTPATGNNNPSSNKAQRTKPASDTPAKSTSRRPTRGGGRRAKKDPPPPPPPRQPAAAKGTLDAFGRDLTAEAANADPVVGREEEIDRVVCILSRKSKNSAVLVGAAGVGKTAIAEGLAQRIARGEVSGVLEGARVVELNVAAMISGTSYRGTFEERMTGVIAEAEAEADAAAGKVVLFVDEIHMLLGAGRVSGGCIDASNMLKPALARGRVRCLGATTHDEYHRYMVRNAAFERRFQKVHVAEPSVDDTVAILRRLKPSYQDHHAWISRTPPSSPPPSSPVATSQVRDHCVALDHQSTLLTMSRHFPDKAIDLVDEACATARLLMDRRKKKQAQAAVAPKDENVGPDHIAQVRRTPARATASTSRAGETLTAWTGIPVASLGEDERKKLLELPQLLHRRVIGQDEAVGAVAEAVVRSRSGLGNPNQPSGSFLFLGPTGVGKTELAKALAEQLFGNAKLLVRIDMSEYVNASSVTRLIGSAPGTNGYDKGGQLTELVRWRPYSVVLFDEVEKADAAVFNVFLQILDDGRLTDGQGRTVDFTNTIIIMTSNLGAQHLADAAAADASHKDDATEAAAAAAKQRVLADVQRHFRPELISRLTEMVVFRPLSGEQLRKVARLQLRGMAARLAEKGIGLDVADAALDVVLARSSDQVRAYGARPIKRCLEKDVMTRISKMVVQEEVDDDCYVTVEADQGKEELVFTVDKQADAEENDAAAAAASSSSAAAGKKRKRRPPARYLVVIDDDE >ORUFI02G13270.1 pep chromosome:OR_W1943:2:10497962:10501517:1 gene:ORUFI02G13270 transcript:ORUFI02G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESDSFVRMMSEETHVEAYSLPMQNEDLHTSTNGAKGRAKRSSNFNRTEDVQLCISWQSISSDSIIGNEQPRKAYWQRIAEHYHANRDFESDRNANSLEHRWGNIQKEVRKFQGCYDQIERRHPSGIPHQELVLEVEALYSSNAPKNRAFQFNHCWLKLRNSPKFQTLESHKRPRSRKSSTPIEIAGEEDEEGDDARKSTTPDLSQPSAKKRPIEKKLPLTIAGEEKGARRGGGLQGRRASGGLAAEREGANRQGGGCPAGRWPVGQEGAREKATGWGGGRQGRKSPAMEECGEEGGGQPERRALI >ORUFI02G13280.1 pep chromosome:OR_W1943:2:10506039:10508339:-1 gene:ORUFI02G13280 transcript:ORUFI02G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPSVAPEPAPRLVCNATTGDLPAGCNLGLLARIELLVLFCSAILALLVFLGSSRRRSSSATVRLVVWGAFTVSYPVAAYTIGLMQSSPFHHELFVVWSCFFLFVLASSDSITAYTLADIKSPATVLLNRGLQVIYVSVLLHYYASVLSPKLKIYLFIVWLVSLGKIALSALGYRLALRSDRLEADNKLVADYMTYEHDLSRQGGSDGDPVTMEGYKYIVRGEETDVMELTAPDYVKKIKVDGARALVTVESVWRCKGRLLMGSSVADASAAARRDLCLSFALFKLLRRRCSNYPLAESGQPKTRDFVLRGLLGQGKDDDDDGDGDRRSRRDGRAFRVIEVELGFLYDLFYTRYPFICHAAVSTAPHLAMCALVMTIGVLTLSSHSLRHYHPTHHRSIEVNGVNLDVALTMFIIALVIVLEAYQFVAVLFSDWQKVKMLCRYVLRPSWQGNPFFEAVLRVLCYCGSGVYWKKTMSQYSIVRHASPGHAVKDWLSRATRRWLDRLMFNGGKARSVKVSAAVESALASALRDRDDDDGVLGGGGGGGRAALRQHRLDWAWGGATWRTCAHAILIWHIATCLCDMQMPAAITHKKTRPRARKAAGGGDGDGDRAVATSLSRYCAYLVSSAPELLPEHQYTTRTIAEAVLLELRVCLRGCASDKEVLDRLKAVAETATASSPESGIHVHGARLWTQLMVIPDQEMTWKLLARVWAKLMLFVTPADNATAHVQHLTMGGELITHLWALLTHAGIVDRPNSPSPHPHPAP >ORUFI02G13290.1 pep chromosome:OR_W1943:2:10531957:10536559:1 gene:ORUFI02G13290 transcript:ORUFI02G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPANSIGRSTPRSNEGMRLIFSAVVGVMLGYLFGVSFPTVNITKENNLYFLHYCISQLHFPSSIISYIEDRNSGITTQTLLNHAWASANRHNKNNSDSTSEEVPKIYVPTNPKGAERLPPGIVVSETDLFPRRLWGDPSEDLSSEPRYLVTFTVGITQKANIDAAVKKFSDKFTIMLFHYDGRTTEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVEHFNAEKYIELVRKHGLDISQPGLQPDKGLTWQMTKRRGDQEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQVEWHYMFNFSIVYNSGILTHCLLQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQAVPSLGNQGNAENGKAPWEGIFPTHELCTAHKFLLRWYVLSQERL >ORUFI02G13300.1 pep chromosome:OR_W1943:2:10543431:10545482:1 gene:ORUFI02G13300 transcript:ORUFI02G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTKYVSWCLALLLRLAAVAGLADDGQFLYNGFAGVNLTLYGAARITPNGLLKLTNGTVQQTGHAFYPPPVRLRRTPSTKTNGTGNEKAVRSFSSSFVFGIVTADTQDLGGHGVVLVVAPRANLSTGLANNYMGLFNGTGSVGSASNHLFAVELDTIQNPDFRDINNNHVGININDLASRDNDKAGYYDDDDGRFHDMTLISGDAMQVWVDYDGDTTRVNVTLAPLGVRKPARPLLSAMHDLSTVIVGESYIGFSSATGTLSTQHYVLGWSFGVDMPAPAIDAAKLPKMPKRRTRSDQSKTMVIALPILSVVLLLFMVSCVILVRKRYNHGELREDWEVEFGPHRIPYKDLRRATERFKNKNLLGVGGFGRVYKGVLPKSRLEVAVKRVSHESRQGMKEFVAEVVSIGRLRHRNIVQLLGYCRLKNELLLVYDYMPNGSLDKYLYGHNNMPVLSWAQRFLIIKGIASGLYYLHEEWEQVVVHRDIKASNVLLDSEMNARLGDFGLAKLYNHGSDMQTTIIAGTLGYLAPEITRTGKASPLTDVFAFGVFLLEVTTGRKPVERDTEGGIHMLVDLISAHLDRETLPMDMVDPRLEGEYNTDEASLVLKLGLLCSHPLPDLRPSMRQVMQYLDGQLPFPELVPSHTSFSMLSMAQSRGLDSYAISKSLSSMASISQLSSFSSGR >ORUFI02G13310.1 pep chromosome:OR_W1943:2:10547214:10548446:-1 gene:ORUFI02G13310 transcript:ORUFI02G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASCPVLECLLLNCNLGFHYLRINSPSLRSIGARIISYRNSIGHLQLQELIIEDAPLLEKLPHLESRNDLHAVGCLCDPWFVHSRFTFGTTVIKGVKYESLAEVVCNVKILGIHVDNVILQGLSMGYKKVWWHKYRNLNKNIDICLKTVVLDNYRGIWSQVHFAQLFVLNARVLESMKFVVNTGDCYEEFVAKQCRMLQLDKRASRCAHFYFTTDRCRCGDSDIKHFCKKAHKNSSSHNTTQQMGLQVFRRTAQSSLNHLGMLANLIKDADTKEANTEQIN >ORUFI02G13320.1 pep chromosome:OR_W1943:2:10548495:10549071:-1 gene:ORUFI02G13320 transcript:ORUFI02G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGRSAKRRRRSGPPEIGEAEEHPAAAEEDEEGADHISNLPDAILGDIVARLPTKEAGSTQILACRWRHIWRSSSLNLDCTTTSLSAKNDALAAGVVSSILSTHPGPCRRLCVPAHHLVDRPDAVDAWLRSATLDNLKELRVHQRTLLEGDAPPPAPTFRFSSSLRVVTIGRCLLPDATIQELHFPHL >ORUFI02G13330.1 pep chromosome:OR_W1943:2:10560112:10562166:1 gene:ORUFI02G13330 transcript:ORUFI02G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHMVKPVCILCLQCSLLYGVHLAAAVAAAGDGNGGDQFAYSGFAGVKDLTLDGTAMVTPDGLLELTNGKPQVKGHAFHPTPLRFEESSSPEGGEKKAAVRSFSASFVFGIVTASPGVGGHGIALVITPTKDLSSGLASTYLGFLNRSSNGDNRNHIFAVELDTIQSPEFSDIDDNHVGIDINSLVSSNASDAGYYDDNTGEFKSLTLVSGKAMQVWVDYDDDATQIDVRLAPVGIKKPMKPLVSTRSNLSTVITDEAYVGFSASIGTMTSQHYVLGWSFGVGTQAPAIDMDKLPKLPGRRSKKSYPPKTMVIALPIVSVVLVIVVAAGVFLLIKRKFQRYVELREDWELEFGAHRLSYKDLLQATERFKNKNLLGIGGFGRVYKGVLPTSSSEVAVKRVSHDSRQGIKEFVAEVASIGRLRHRNLVQLLGYCRLKEELLLVYDYMPNGSLDKYLYSHDDKPTLNWAQRFQIIKGIASGLLYLHEEWEQIVIHRDIKPSNVLLDNDMNGRLGDFGLARLYNRDTELQTTVVAGTFGYMAPELALTGKASPLTDVFAFGAFLLEVTSGRRPVEQDIEGHRLLLTDWVFENCSKEQILAVIDPRLNGNINISEASLVLKLGLLCSHPMSNVRPTMRQVVQYLNGDMPLPEMSPMRFTFSLSALMQNQGFDSSLKSLGTISNLSIGR >ORUFI02G13340.1 pep chromosome:OR_W1943:2:10575736:10581033:-1 gene:ORUFI02G13340 transcript:ORUFI02G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGAGRQLPDAGSGDGRFWARGRRFCDLEAKAIPFLWSAVREVPVARLAAASRNGRRRRPWRQRLRRTPGRAAAW >ORUFI02G13350.1 pep chromosome:OR_W1943:2:10602381:10604429:1 gene:ORUFI02G13350 transcript:ORUFI02G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVCILCLQCSLLYGVNLAAVAAAGDGNSGNQFAYSGFAGVKDLTLDGTAMVTPDGLLELTNGKPQVKGHAFHPTPLRFGESSSPEGGEKKAAVRSFSASFVFGIITASPGVGSHGIALVITPTKDLSSGLASTYLGFLNRSSNGDDRNHIFAVELDTIENPEFSDINGNHVGIDINSLVSSNASDAGYYDDNTGEFKSLTLISGKAMQVWVDYNDDATQIDVRLASVGIKKPFKPLVSTRFNLSAVITDEAYVGFSASIGTMTSQHYVLGWSFGVGTQAPAIDMDKLPRLPGTGRRSKKSYRPKTIVIALPIVSVVLVIAVAAGVFLLIKRKFQRYVELREDWELEFGAHRLSYKDLLQATERFNNKNLLGIGGFGRVYKGVLPTSSSEVAVKRVSHDSRQGIKEFVAEVASIGRLRHRNLVQLFGYCRLKKELLLVYDYMPNGSLDKYLYSHDDKPTLNWAQRFQIIKGIASGLLYLHEEWEQIVIHRDIKPSNVLLDNDMNGRLGDFGLARLYNRDTELQTTVVAGTFGYMAPELALTGKASPLTDVFAFGAFLLEVTSGRRPVEQDIEGHPLLLTDWVFEHCSNEQILAVIDPRLNGNINISEASLVLKLGLLCSHPMSNVRPTMRQVVQYLNGDMPLPEMSPMHFTFSLSALMQNQGFDSSSKSLGTISTLSIGR >ORUFI02G13360.1 pep chromosome:OR_W1943:2:10609527:10615473:1 gene:ORUFI02G13360 transcript:ORUFI02G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKHAAAGSGGGWLAAVRKVFRPSKDAADKKGGGGGDREEEAAAEAAPEVLLLEHFPAGGTSPSAEGTGNDQGGTVVGKGEGEEEDGEDGYGEVERARALAAAAEAAVAAAEAAARVVRMSALRRASREERAAVRIQAFYRGYLARRALRALRGLVRLQALVRGHQVRRQVHLTMRCMQALVRAQARVRARRLITDHIHAGVAPLRLPPLPPATAAATYSSRRREGGYSWHPLRNNSYHAQLGERDESDDDVDGDGGKVGEHARQQQQRQRGNDVRSRSPFRSWDGSSRTPEEDRAEGVRRHDAAARRERARAYAYGYQQQRQWQEKAGGFQWLDRWMAAQAQQHAPEPDKSRRRAALTAAADGTTMPERTVEMDTTSYRSPLNSHSAAVQGRPPAVPGYMAATQAARARARTAPPATPAHARSRSGAVLAGDTSSSGQSGSGSGGGGAHVQKPCAVYSPESRGTGDWTPPRLAVSSRATRLVYA >ORUFI02G13370.1 pep chromosome:OR_W1943:2:10615917:10619356:-1 gene:ORUFI02G13370 transcript:ORUFI02G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCVVSHGDPSAAAAAAGEEGDVRIFYQRYGHGGTKVLLIIGFAGTHESWGPQVKGLTGAVEPVDEESPAGDDGAAGEGAEVCCFDNRGIGRSSVPPHKSQYTTVIMAKDALALMDHLGWRKAHVFGHSMGSMIASKLAAIAPERVASLALLNTTGGGYQCIPKIDWQTISLACRFLRARTPEQRAGVDLDVHYTREYLDEIVGSNTRRQMLYQEYVKGLSSCGMQSRHGYEGQLNACWTHKLTQKELDRIRSSGFLILVIHGRDDVVAQLYHARRLAEKLQPAAKLVELHGGHLVSHERTAEVNMSLMEMIKASKSNTDQGEWSNLPKKSDDLLLAGSDSRLAKRECNIIVIYNLLGKLQLILLFFFGVFYIILEHARRVLRVLKPVRVSATSL >ORUFI02G13370.2 pep chromosome:OR_W1943:2:10615917:10618491:-1 gene:ORUFI02G13370 transcript:ORUFI02G13370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDALALMDHLGWRKAHVFGHSMGSMIASKLAAIAPERVASLALLNTTGGGYQCIPKIDWQTISLACRFLRARTPEQRAGVDLDVHYTREYLDEIVGSNTRRQMLYQEYVKGLSSCGMQSRHGYEGQLNACWTHKLTQKELDRIRSSGFLILVIHGRDDVVAQLYHARRLAEKLQPAAKLVELHGGHLVSHERTAEVNMSLMEMIKASKSNTDQGEWSNLPKKSDDLLLAGSDSRLAKRECNIIVIYNLLGKLQLILLFFFGVFYIILEHARRVLRVLKPVRVSATSL >ORUFI02G13370.3 pep chromosome:OR_W1943:2:10618627:10619356:-1 gene:ORUFI02G13370 transcript:ORUFI02G13370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCVVSHGDPSAAAAAAGEEGDVRIFYQRYGHGGTKVLLIIGFAGTHESWGPQVKGLTGAVEPVDEESPAGDDGAAGEGAEVCCFDNRGIGRSSVPPHKSQYT >ORUFI02G13380.1 pep chromosome:OR_W1943:2:10629518:10630539:-1 gene:ORUFI02G13380 transcript:ORUFI02G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGSELSKNALQPYLPMTLKDGTFINLVKQWLQELLVKLRSEKMGGLPPSVLGGMLRV >ORUFI02G13390.1 pep chromosome:OR_W1943:2:10647743:10649928:-1 gene:ORUFI02G13390 transcript:ORUFI02G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVCNATAALPPDCDLRQLARIEALVLLCSVVLALLVFLGSSRRYSGSAMVRFILWGAFAVSYPLAAYTIGLMQSTPMHHELFLVWSCFFLFVLASSDTITAYSLADVKSPGIILLNRGLQVIYVTVLLQYYSNVLSAKLKLFVFGVWLVSLGKIALSALSYRQALQSDGLQRDNQLITDYMINQTESSHGGAEDPNTNTNTNPDPMLLRRRCGNFPLAESGLPKTRNLVLNRLLEQGSTRAFQVIEVELGFLYDLFYTRSIMLYDINLDVVLTMAIIVLVIVLEAYQFVAVLFSDWQKVKVLCRYVLWPSSLQNNPFIEVLLGVLCYCGSGKYWTRKMRQYSIIRHAILGHPVKDWLSGVTRGWLDNLMFNSGKTRSAKLSGDLQNALASPLKKSGGVLSDGAAGGGGDQQRRHREIAMSLSRYCAYLVSSAPDLLPDHQYTTQTIAEAVLLDLRRCLHGCTSNEAAVLKLQDTAKLAIRTPSTSAPDSIHVLGVRLAEDLMKIGEAKRWEVLADFWAELMLFVTPADNAMAHVEHLTMGGELITHLWALLTHAGIVQRPSHATQSQSV >ORUFI02G13400.1 pep chromosome:OR_W1943:2:10651559:10653848:-1 gene:ORUFI02G13400 transcript:ORUFI02G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRSSSAQGGGSSKQLGRRDLFELSSTTSAPSCCVSKCSMYSSMVAELDGKPAPLAKEKSGSATSSTESAPLAKLGPAKGESFVTSAKIDTSVNGSPVTSCHIWTLATTPRLGETLVSDRPENTGLSGVTVTSDEPPPVKATSPMAAASPHDELDGGGVWRATNPRSRGVTVESDERPLPRWPAVAAALRRRLASGVLTLDGDEHSRLHLHRWKTTATGHHGSARQTRVYCIDSVLSVHPTILILDSPPAQCMCMRAVVFGGDMHAIVRAGKIAGSQL >ORUFI02G13410.1 pep chromosome:OR_W1943:2:10680832:10681113:1 gene:ORUFI02G13410 transcript:ORUFI02G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQLGEEEWRQRFGKEEDAQRGGAAAWRSAPFGHLTSFVSRIHNRRAKGRRHREEEAAVTPPPSRRATRAEQARSRRRGGCHRRRRRPRSP >ORUFI02G13420.1 pep chromosome:OR_W1943:2:10681116:10681397:1 gene:ORUFI02G13420 transcript:ORUFI02G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRRGTADRAASWGRTVRSSACTRPREETERPPAMSFGDSGGRLEGETRRWQQVLSPWAAAMGEELAGRSPLRRLAERSPRRTRRRRGGEE >ORUFI02G13430.1 pep chromosome:OR_W1943:2:10682808:10686422:1 gene:ORUFI02G13430 transcript:ORUFI02G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSVGQLLGEEYRQLRGVGGEVAQLRDELATMNALLRMQSEAGEGAVDHFVREWMRQVCEVAYDAEDCIDLYFCRCRVRLQLSDGVLSWARHLASTLFPRRRLAGDIKALRARAIEISERHARYGVNREELRGWTVSAAALVPATAAAFHPAACGSDQLVGIEGQANTLADKLKAVDESSNLKVFSIVGFGGLGKTTLAMEVCRKLESVFQRQAMVSVSQAFDASKDLQVLLKRIILQIIKPKKSNENSINEEQSTGDIDSMDVSTLFQKLEVSLTGMRYLIVIDDVWSTSAWNAIQSKLPENNCGSIIMVTTRVETVAKASSSPSVSGDYMHKINPLGEKDAEKLFVSRAFGYKESCCPEDLKEQMKSILKKCARLPLAIVSIAGLLSSYRSSSSGSIRMWQRISNSIGSQMEIHPTLEGMKQIIALSYNHLPHHLKACMLYLSIFPEDYVTKKKRLLLRWIAEGFVMEKRGLTMFEVAESYYDELVSRSLIDAVRVRLDGTVKAVKVHDMMLEVIVSKSLENFVNFLGAQYGGGTPSYDSVRRLAIHGDGGPKHVVDVMSATHVRSLSTFGAQGNRLALLHRLAEFTLLKVLDLEDCKEVKDCHVKYICRLFLLRFLSLRNTDVSTISSQISRLQHLQTLNLYGTRIENLPTSVTMLERLEYLFFSERWSMRRWEIPVGLKKMMALCTLRTIRLPNDPNVVKEIGALAQLQTLDITILNSSEEVLANLADALDKTNNLRSLYAYGTGKDEHKDRLLNFLLRLKTPPLLLESVRIDGVMDQLPKWFNSLLPNLASVSLGYDSCTDDELLVRSAFKFPALKSFYVDPYIMPRAIRFEKSTMEKIETFRVFFYDNDGTGRPILAGIENLTSLKKLEVLTHSINAEIEILEWLKVERARHQLLSSNFHMVFYILFSI >ORUFI02G13440.1 pep chromosome:OR_W1943:2:10693401:10698512:-1 gene:ORUFI02G13440 transcript:ORUFI02G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSLWLISLGERTQSDKAYPRDPFQVGRVRVQLKKNDGSPVNPEITTKILISPSTILITEKMLMLHVAELVPKHLGRTRKQEPATSSSAAGTVKSTKGRRKKRLHHQILAV >ORUFI02G13450.1 pep chromosome:OR_W1943:2:10699317:10699767:1 gene:ORUFI02G13450 transcript:ORUFI02G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVARMLGNGRCEAQCIDGTKRLCGSRRGTSFLVGLDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGEIPDHVRLNEGVVDEDDAAAHDDYIQFEDEDIDKI >ORUFI02G13460.1 pep chromosome:OR_W1943:2:10701297:10701923:-1 gene:ORUFI02G13460 transcript:ORUFI02G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTHRRQLLLHCHHSLAPLHCHHIASGVSAGATTTAAAASGRHATATALPPSPPQPHLHRGGRSTAGESLAAPPPHHPQAATPSPSCRRAAAVPGLLLPHWPDLGARSNLRGTGSATFPRRPAVSASDAEVDVIGLAPSSSHQGGSPSLIGLAAGELRRRRGEGEGGVAAARAARVLPPEPPVRERHGRKGSLRALVLFLNISPKH >ORUFI02G13470.1 pep chromosome:OR_W1943:2:10708077:10708851:-1 gene:ORUFI02G13470 transcript:ORUFI02G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHGEEDGWGGRVVHTKGRAWWPEMTTVTVAPSSGSRLAALVKSCITIVWIASALHVAVNSGQYPYGGFSPNRPMAHHRQMPERGTEEYTELERRPDAAFIRTITGQLRTLLGISLIEILSKHSDDEVYLGQRDTPEWTLDTATEAFRRFGDRLVGIEARIAEMNRVTGTRATGIARTRRGSPTHCCPPRPQHLRRLPQATSAGAPQVRPPPCHRSISLNGSPHTKSLHHRICLAEENPFP >ORUFI02G13480.1 pep chromosome:OR_W1943:2:10709520:10714564:1 gene:ORUFI02G13480 transcript:ORUFI02G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGGEAGSGGSAPVCSFVRKPPKNIRKRPTAPAGSDDDDEDGSGAIAAARAKKAPSSTSKLFFSSADGSSEPRRFQYESSRTIQASTDSRATATLETETEFDRDARAIRERQLKQAEESLKKNPSAPASSSGSGSGEVYKGIHGYTDYKAGFRREHTVSSEKAGGSHGPLRASAHIRLSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQIEKEWEEAEKARKRRIAMGGDGSDDEAGEEDDDDDEEALPFACYICREPFVDPVVTKCKHYFCEHCALKHHSKNKKCFVCNKPTLGIFNAAQEIRKKMAQDKKQ >ORUFI02G13490.1 pep chromosome:OR_W1943:2:10723782:10727266:-1 gene:ORUFI02G13490 transcript:ORUFI02G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDTIRTAIGVVGNGTALVLFLSPVPTFIRIWKKGSVEQYSAVPYVATLLNCMMWVLYGLPAVHPHSMLVITINGTGMAIELTYIALFLAFSLGAVRRRVLLLLAAEVAFVAAVAALVLNLAHTHERRSMIVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYALIRFDLYITIPNGLGVMFAVAQLILYAIYYKSTQQIIEARKRKEADHVAMTDVVVDSAKNNPSSGAAAAAANGRY >ORUFI02G13500.1 pep chromosome:OR_W1943:2:10790730:10796588:-1 gene:ORUFI02G13500 transcript:ORUFI02G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFSAWGDCSASALRSLPEARFPGGGVGTSVGCGGWSWRGSSECRCAGGVGRGILFLYIICTVLTATSSHDSHLDYGSGTFTMLNFLRAKASWDRPGPYIAQVDSSPAPE >ORUFI02G13510.1 pep chromosome:OR_W1943:2:10797877:10803423:1 gene:ORUFI02G13510 transcript:ORUFI02G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAAAGPSDDGLRKLEYLSLVSKVCSELETHIGVGDKVLAEFITELGRDSASVADFDTKLKANGADLPDYFVRTLLTIIHAILPPPSDSRNPSSASQPAAGGSKFPGLSRPDDPDRARNLRLELERDAEEAAATAAPAPARDDRGRRRDERGRDRGRDDRGRDDRGRDRDYERGGRDHDRSHGRDRDHGRDRDRDRACDGDRQRGRDYGRDRDQDRDHDRDREGERRRDRDKDRGRDIDRDMDRDHRRGRRYDDEEEPEQFGGRKEGALVNSSGEPELYQVYRGRVTRVMDTGCFVRLEDVRGGREGLVHISQMATRRVANAKEMVKRDQEVYVKVVSVKGQKLSLSMRDVDQDTGRDLLPIQRGGDDAPRANPSGGSASGVGVGSGKRLGLSGIMIAEEDEVAPPSRRPLKRMSSPERWEAKQLIASGVLDVRDYPMFDEDGDGMLYQEEGAEEEQEIELNEDEPAFLQGQSRFSIDMSPVKIFKNPEGSLSRAAALQTALIKERREVREQEQRAMLDSIPKDLNRPWEDPMPDTGERHLAQELRGVGLSAYDMPEWKKEAYGKALTFGQRSKLSIQDQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILVDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLIKRRSDMRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDHACQCLYERMKGLGKDVPELIILPVYSALPSEMQSKIFDPAPPGKRKVVVATNIAEASLTIDGIYYVVDPGFAKINVYNSKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGSTVLNMKAMGINDLLSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDRYKLDVVSAGRNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLTELAPRFYKSADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >ORUFI02G13520.1 pep chromosome:OR_W1943:2:10818470:10823989:1 gene:ORUFI02G13520 transcript:ORUFI02G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIQVPNPTDPELAQRLVLDLLDPDLRGHALAELRKKREMFPDLAPLLWHSFGTIIVLLQEIIVVYPVLSPPTLSLLASSRVCNALALLQCVASHPETRSHFLKAHIPLYLCSFLENTSKTRPFEYLRLTSLGVIGALVKAEGTEVINFLLQYEFVPLCLHAMAVGSELSKTVATFIIEKIVLDDAGLGYICATADRFFAVGTALAGMVTSMDDKPSPRLLKHIIHCYLRITDNPRGLEALQTCLPTTLIDGTFNNLTKDDPTMQQWLQELLVKVRSGKMGGLPPPVHGHMPRV >ORUFI02G13530.1 pep chromosome:OR_W1943:2:10827072:10832245:-1 gene:ORUFI02G13530 transcript:ORUFI02G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLTLSHRPARRKSSSSLPVPGRCSRRSTKMAVVAGAMTALIAKLTTLLMDQYKLQNSARGDIIFIKAELESIQAALEKLFEVTDRQVKIWERDVREQSYDMEDIIDNFMVHVETHLLAKPHELKGFMKGSLSLLRRAMVRYRVATDIKRIRRLVNETSARRDRYKVGTIVAAAKTETAIDPRLIGIYGEATKLIGISGPKEELTKLLMDSKGNSKNKLKVISIVGVGGLGKTTLANVIYQQLRGQFECHAFVSVSLKPDLKKVLSSILRQFSEQAWECIECALIENDRGSRIITTSRVLDAATPCSSEVDHTVYKLQPLSSDNSKKLFYKRIFYCEDGCPLELKDISEKTLRKCEGVPLAIITIGSLLAMRPQNLYQWDRVHNLIGSGLEKSHHVENMRHILSISYYDLPAHLRACFLYLSIYPEDYNIQKDQLIRRWISEGFILGEDMDTLHEGGKYFNELINRSMIQPAYIDSHGRVHACRVHDMVLDLITSLSNETNFVTSLGGQQPTYHPNRARRLCLQNSIYDHTIRQEKMKWPRVRSLIVFPHATNLLPSLSRFRILRVLDLEGCQDLKNHQIEGISDLFHLRSLVLKDTNIGSLPKKIGNLSCLHTLDIRHTIITELPSTVVHLRRLVRLLIDASVKLPDGIGKMECLQEISLVGISKSPNFLKELGSLTELRILQISESTGAWHDSYENTLIDSLCNLHKICDLYIHGCKLSTEFISNIRCSPRYLRYLSCGQLSILPRWINSSLLSLSTIDLILNVLRQDDLHSLGALQSLCCLRLNVFKIEPERLVVGTEHAKFHWLAEFSFTTNAMGLIFSQYSMPRLENLELAFNVRETKYFDIGLEHLSSLKDVTARIDCRDSSIFEVQNADAAIRRIAYMNSNQPKVHVIRHYEHMLMDEVKIQKETEEEKEILENRIGPWGGNGGVTCDIKVAPKRLESITICSGIIIDALAFSYLDKDGERHTTSLWGGLGGSVQLIDLDEREFLMEVIGTVGPFNVLSEAITSLTLVTNVRSYGPFGQPQGTPFRTPRKKNSCIVGFFGRSGTYLDAVGVYFHPM >ORUFI02G13530.2 pep chromosome:OR_W1943:2:10827072:10832245:-1 gene:ORUFI02G13530 transcript:ORUFI02G13530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLTLSHRPARRKSSSSLPVPGRCSRRSTKMAVVAGAMTALIAKLTTLLMDQYKLQNSARGDIIFIKAELESIQAALEKLFEVTDRQVKIWERDVREQSYDMEDIIDNFMVHVETHLLAKPHELKGFMKGSLSLLRRAMVRYRVATDIKRIRRLVNETSARRDRYKVGTIVAAAKTETAIDPRLIGIYGEATKLIGISGPKEELTKLLMDSKGNSKNKLKVISIVGVGGLGKTTLANVIYQQLRGQFECHAFVSVSLKPDLKKFQFNSCLVLMLSQNHRYLIVIDDIWEKSAWECIECALIENDRGSRIITTSRVLDAATPCSSEVDHTVYKLQPLSSDNSKKLFYKRIFYCEDGCPLELKDISEKTLRKCEGVPLAIITIGSLLAMRPQNLYQWDRVHNLIGSGLEKSHHVENMRHILSISYYDLPAHLRACFLYLSIYPEDYNIQKDQLIRRWISEGFILGEDMDTLHEGGKYFNELINRSMIQPAYIDSHGRVHACRVHDMVLDLITSLSNETNFVTSLGGQQPTYHPNRARRLCLQNSIYDHTIRQEKMKWPRVRSLIVFPHATNLLPSLSRFRILRVLDLEGCQDLKNHQIEGISDLFHLRSLVLKDTNIGSLPKKIGNLSCLHTLDIRHTIITELPSTVVHLRRLVRLLIDASVKLPDGIGKMECLQEISLVGISKSPNFLKELGSLTELRILQISESTGAWHDSYENTLIDSLCNLHKICDLYIHGCKLSTEFISNIRCSPRYLRYLSCGQLSILPRWINSSLLSLSTIDLILNVLRQDDLHSLGALQSLCCLRLNVFKIEPERLVVGTEHAKFHWLAEFSFTTNAMGLIFSQYSMPRLENLELAFNVRETKYFDIGLEHLSSLKDVTARIDCRDSSIFEVQNADAAIRRIAYMNSNQPKVHVIRHYEHMLMDEVKIQKETEEEKEILENRIGPWGGNGGVTCDIKVAPKRLESITICSGIIIDALAFSYLDKDGERHTTSLWGGLGGSVQLIDLDEREFLMEVIGTVGPFNVLSEAITSLTLVTNVRSYGPFGQPQGTPFRTPRKKNSCIVGFFGRSGTYLDAVGVYFHPM >ORUFI02G13530.3 pep chromosome:OR_W1943:2:10827072:10831867:-1 gene:ORUFI02G13530 transcript:ORUFI02G13530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAGAMTALIAKLTTLLMDQYKLQNSARGDIIFIKAELESIQAALEKLFEVQVTDRQVKIWERDVREQSYDMEDIIDNFMVHVETHLLAKPHELKGFMKGSLSLLRRAMVRYRVATDIKRIRRLVNETSARRDRYKVGTIVAAAKTETAIDPRLIGIYGEATKLIGISGPKEELTKLLMDSKGNSKNKLKVISIVGVGGLGKTTLANVIYQQLRGQFECHAFVSVSLKPDLKKVLSSILRQFSEQAWECIECALIENDRGSRIITTSRVLDAATPCSSEVDHTVYKLQPLSSDNSKKLFYKRIFYCEDGCPLELKDISEKTLRKCEGVPLAIITIGSLLAMRPQNLYQWDRVHNLIGSGLEKSHHVENMRHILSISYYDLPAHLRACFLYLSIYPEDYNIQKDQLIRRWISEGFILGEDMDTLHEGGKYFNELINRSMIQPAYIDSHGRVHACRVHDMVLDLITSLSNETNFVTSLGGQQPTYHPNRARRLCLQNSIYDHTIRQEKMKWPRVRSLIVFPHATNLLPSLSRFRILRVLDLEGCQDLKNHQIEGISDLFHLRSLVLKDTNIGSLPKKIGNLSCLHTLDIRHTIITELPSTVVHLRRLVRLLIDASVKLPDGIGKMECLQEISLVGISKSPNFLKELGSLTELRILQISESTGAWHDSYENTLIDSLCNLHKICDLYIHGCKLSTEFISNIRCSPRYLRYLSCGQLSILPRWINSSLLSLSTIDLILNVLRQDDLHSLGALQSLCCLRLNVFKIEPERLVVGTEHAKFHWLAEFSFTTNAMGLIFSQYSMPRLENLELAFNVRETKYFDIGLEHLSSLKDVTARIDCRDSSIFEVQNADAAIRRIAYMNSNQPKVHVIRHYEHMLMDEVKIQKETEEEKEILENRIGPWGGNGGVTCDIKVAPKRLESITICSGIIIDALAFSYLDKDGERHTTSLWGGLGGSVQLIDLDEREFLMEVIGTVGPFNVLSEAITSLTLVTNVRSYGPFGQPQGTPFRTPRKKNSCIVGFFGRSGTYLDAVGVYFHPM >ORUFI02G13530.4 pep chromosome:OR_W1943:2:10831889:10833133:-1 gene:ORUFI02G13530 transcript:ORUFI02G13530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVPDRPPLIRKRRVRPPPSKLEPRSHRPRSASRSVGGASWFFHGDPPSAIHGGKLAPVSIRQLGGRVPAPFQFQDVVPALLNYT >ORUFI02G13540.1 pep chromosome:OR_W1943:2:10841571:10848974:1 gene:ORUFI02G13540 transcript:ORUFI02G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGDASAAAWRFGAANPAMEAARSQSIRALVYRVYACLDRGDARSVAPLGHGDPAAFACFRAAPAATGAVVAAAASGAHNSYAPAAGIAEACSHNSLSGHIPPSLANLTKIVQLEFDQNLLGSSIPDGLSHLPALRMLALSQNSLTGEIPPSFFNMTSLQGLALANNVFCGELPADRRGRAASDVAAESIRAGVGDDERSARPREGGSTGAGRPSRRSRTPTDDGGAWEFLDNLTNCNTLAEIFLNGNMFADVMLSSVVVPSAIGRLATSRSYSSST >ORUFI02G13550.1 pep chromosome:OR_W1943:2:10853213:10864451:1 gene:ORUFI02G13550 transcript:ORUFI02G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGAGGEGETAGWRFGAANPALAVAGSQSIRAAVTRIYRCLDGSGDARPVAPLAHGDPSAFACFRAAPAATDAVAAAAASGKYNHYSPAVGIAPACSAVAAHLSRELPYAVSPADVVLTAGCNHAVEIMMSVLASPGANVLLPRPGYPLYASRAALSGLEFRHFDLLPDSEWEVDLAGVEALADANTVAMVIVNPNNPCGCVYSRDHLAKIAETARKLGIMVISDEVYDHFAFGSKPFVPMGVFGDVAPVMTLGGISKRWMVPGWRLGWIAATDPNGILRNKKIIDSVIDYRAISVDPVTFVQGALPDILAKTDDAFFTNALGVVKKAAEICYEKLKEIDCITCPHKPEGSMFVMAKLDLSSLDGIEDDVDFCSKLAKEESVVICPGSGLGMKNWLRITFAVDPQLLEDGLERTKCFCKRHGKIKEAS >ORUFI02G13550.2 pep chromosome:OR_W1943:2:10853213:10864464:1 gene:ORUFI02G13550 transcript:ORUFI02G13550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGAGGEGETAGWRFGAANPALAVAGSQSIRAAVTRIYRCLDGSGDARPVAPLAHGDPSAFACFRAAPAATDAVAAAAASGKYNHYSPAVGIAPACSAVAAHLSRELPYAVSPADVVLTAGCNHAVEIMMSVLASPGANVLLPRPGYPLYASRAALSGLEFRHFDLLPDSEWEVDLAGVEALADANTVAMVIVNPNNPCGCVYSRDHLAKIAETARKLGIMVISDEVYDHFAFGSKPFVPMGVFGDVAPVMTLGGISKRWMVPGWRLGWIAATDPNGILRNKKIIDSVIDYRAISVDPVTFVQGALPDILAKTDDAFFTNALGVVKKAAEICYEKLKEIDCITCPHKPEGSMFVMAKLDLSSLDGIEDDVDFCSKLAKEESVVICPGSGLGMKNWLRITFAVDPQLLEDGLERTKCFCKRHGKIKEAS >ORUFI02G13560.1 pep chromosome:OR_W1943:2:10869971:10870449:1 gene:ORUFI02G13560 transcript:ORUFI02G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGERWPATLEAKALLQTSLQQHLLQINAEETSGPWRTSLTSIGLSTHFGVLLYSPLHGGDLVCDVYDSEAVTTATTTSIFGSVAGSGSYRGRSG >ORUFI02G13570.1 pep chromosome:OR_W1943:2:10875379:10880944:1 gene:ORUFI02G13570 transcript:ORUFI02G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGGGKRRGDDGAEAAPRWRFSRPSSQGGPLAAAGLTSIRAVVNRVNSSVDAAAAGGPRPVLRLGNGDPTASACYRTAPAAEDAVVDALRSGAHNGYSLTVGVLSARRAIAEYLSRDLPYELSANDIYLTSGCVQAIEVMISVLAQPGSNILLPKPGFPLYESRTTFSNLEVRHFDLIPERGWEVDLEGVQAIADENTVAIVVINPSNPCGSVYSYDHLAKIAETARKLGLLIIADEVYDHLAFGNNPFIPIGVFGKTVPVITLGSISKRWLVPGWRLGWIATCDPNGILKEAKVNQSIENYINISTDPATFVQGAIPQIIANTKEDYFNKILDQLRNAADLCYDKIKDIKGITCPHKPEGSMFVMVKLDLSYLDGFHDDMDFCCRLAKEESVIVLPGSALGLKNWVRITFAIDIPSLVDAFERIKSFCQRHGKLET >ORUFI02G13580.1 pep chromosome:OR_W1943:2:10883231:10884495:1 gene:ORUFI02G13580 transcript:ORUFI02G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSHQQQADEGVCPWEHGRRHERARAVEPLELVGVTERAEMHRSKVKLSGVLHMGFKPDKCKTALRMALARIKLLRNRKEVQVRSPVSAEKTATPPSRLPGLSRAATKSARRHSSITRFYTRTAPPSDAARTAISSPAALPATDAASRSPHVGHVGRAAPAAAERLQHLPPRGVPGPRGGKDVPRERQAEGALRRGQLLPPATPLLPLLSLAAAPRAATLRRRARHSAGRLSPSPATAAPASMPAASRRVRRRAC >ORUFI02G13590.1 pep chromosome:OR_W1943:2:10885640:10889972:1 gene:ORUFI02G13590 transcript:ORUFI02G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAMDHDHDHASSPAPPARLPAPPYHHSPSAAAAAAVEEVATPPRKSAAGAGAQRSSPMPSPLQLSGCSLQELLLMSPPPGSARRNRSRHRGAGLDESLEMVAAPAAGTPPRRRRRVAAEQCAAPAVASPRNGRRARRRLEKEIEVEDDAARRARRRKSTRAAATKAAPAAADKVATAAADKEDTSMALVLASTDAIRGADALEQSGWEDLWERIVDLVMWKNVAKSALWFGLGSMFFFSCSFSREITFSPISALCHLGVMVLGLAFFKDSIPQSRQQVERGRSFRLTEDDVLRAARAVLPVANSMISTAQVIFSGEPSMTLKVLPALLFGAKYGSLVTVWRLLATGFFTSFTLPKLYSCYSSQIHKRDRALEAWKSCPRKKLVAGTAVTMCWNMFSVKTRIIAAFISVVILRYNQKYRKAVVNAGAESCQDEQEQKMEIEDS >ORUFI02G13590.2 pep chromosome:OR_W1943:2:10885640:10890015:1 gene:ORUFI02G13590 transcript:ORUFI02G13590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAMDHDHDHASSPAPPARLPAPPYHHSPSAAAAAAVEEVATPPRKSAAGAGAQRSSPMPSPLQLSGCSLQELLLMSPPPGSARRNRSRHRGAGLDESLEMVAAPAAGTPPRRRRRVAAEQCAAPAVASPRNGRRARRRLEKEIEVEDDAARRARRRKSTRAAATKAAPAAADKVATAAADKEDTSMALVLASTDAIRGADALEQSGWEDLWERIVDLVMWKNVAKSALWFGLGSMFFFSCSFSREITFSPISALCHLGVMVLGLAFFKDSIPQSRQQVERGRSFRLTEDDVLRAARAVLPVANSMISTAQVIFSGEPSMTLKVLPALLFGAKYGSLVTVWRLLATGFFTSFTLPKLYSCYSSQIHKRDRALEAWKSCPRKKLVAGTAVTMCWNMFSVKTRIIAAFISVVILRYNQKYRKAVAPFD >ORUFI02G13590.3 pep chromosome:OR_W1943:2:10885640:10890091:1 gene:ORUFI02G13590 transcript:ORUFI02G13590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAMDHDHDHASSPAPPARLPAPPYHHSPSAAAAAAVEEVATPPRKSAAGAGAQRSSPMPSPLQLSGCSLQELLLMSPPPGSARRNRSRHRGAGLDESLEMVAAPAAGTPPRRRRRVAAEQCAAPAVASPRNGRRARRRLEKEIEVEDDAARRARRRKSTRAAATKAAPAAADKVATAAADKEDTSMALVLASTDAIRGADALEQSGWEDLWERIVDLVMWKNVAKSALWFGLGSMFFFSCSFSREITFSPISALCHLGVMVLGLAFFKDSIPQSRQQVERGRSFRLTEDDVLRAARAVLPVANSMISTAQVIFSGEPSMTLKVLPALLFGAKYGSLVTVWRLLATGFFTSFTLPKLYSCYSSQIHKRDRALEAWKSCPRKKLVAGTAVTMCWNMFSVKTRIIAAFISVVILRYNQKYRKAVVNAGAESCQDEQEQKMEIEDS >ORUFI02G13600.1 pep chromosome:OR_W1943:2:10893065:10895497:1 gene:ORUFI02G13600 transcript:ORUFI02G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVLMQGGTESAMAQQVLIPLTQYVMMAHTLMMHINKNLFGKNSATKFHVLTEEAKRLIRTILKQLYFFHRNGQCPDKFTESNVFVTSSGRAKLIGVKLGDKNDTMVFQNYQDAHRIIVETVFQKRWKEIPADMMHLLRLMRSRATAIRMGYVICTHASLVPLENREPFFMKMYKHIMHVLP >ORUFI02G13610.1 pep chromosome:OR_W1943:2:10900618:10907174:1 gene:ORUFI02G13610 transcript:ORUFI02G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIRVPYSAILNFLLEDGIAEIRECNLDDKSDSKVFENYQDAHKIIVEIVLQQHKDDIPKDVKHLLNLMNNPNKAISMELEYLICTHASLVPLRNRETFFLWMYRHIMFMLPCDKPAGDMPYKSYWHKKLKGDNLLKKLFRREKDMCYKKEITDFLKSYRNAIVHGTDKYGEERIRYTPDDIQLILLITFPMLLPRMQEELWENKQLEDLQLDSLFGSNMDKEFGVIMDPSAVGSMPISANQDTNKNDIGVDSLPTKRGVVFPFRNTHHVSYAEYIRDLEFKPLIEYIKHTPVMLVGPTRQRVYRLMTEEAKFVIRALLKELYFLHKRGKCPRNFDESNVFIREDGIVQLRGSELDDKNDSLVSKNYKDAGKIIMEIVFGQHKEDIPEDVMHLLNLMNTGDKVISMDLEYLICTHASLVPLRNREAFFLWMYAFIMFVLPCDKSTEKDEIINALRKLDWGGKLQGTSLLRKFSWCKRDGSEEEIDSFLNSYRDTIYHGMDECNGNGKRYILWMFNYGYASITGRGEGVEGGWTAAASALENVAPQAEARPRGTAAMEGTEKTRTGIGGGSETARSEMRGKRKRTRSKLQQSRGQGCWPIAIHVIGPSTADARHPTAPLSGAAAAAAAIQATTAAPLLLKGERRGRGGERVGDRGEQVNGDH >ORUFI02G13620.1 pep chromosome:OR_W1943:2:10906674:10907027:-1 gene:ORUFI02G13620 transcript:ORUFI02G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPDNGAVGCLASAVEGPITFDLVLFLFPRISLLAVSLPPPMPVRVFSVPSIAAVPLGRASACGATFSSADAAAVCRYASRRHWSGTAISGGKGSW >ORUFI02G13640.1 pep chromosome:OR_W1943:2:10912335:10912873:1 gene:ORUFI02G13640 transcript:ORUFI02G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNGLYNLSSPFLLLCRKGVMPHSSPINVALVALLPPKAPIKKKDGKTILYSPYRRQSSRLLQDKATKDLQMDPRMGIGKPRGRFAKKLKEFAGISKLFIDSSLQESDFNETSYSDMNSDSSPPDCSLLVIQKMGVDMCGLSPEEVAESSLGGGGKRRQKITRPNMEDK >ORUFI02G13650.1 pep chromosome:OR_W1943:2:10912921:10913640:1 gene:ORUFI02G13650 transcript:ORUFI02G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGSHFARPVDDQFFLPLSVQAYDEYKIYLQDISQITISSLSDTWRYMWGNDSYSSQKFYKLCFLSIQPPTSLPWIWK >ORUFI02G13660.1 pep chromosome:OR_W1943:2:10926776:10927432:-1 gene:ORUFI02G13660 transcript:ORUFI02G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTPCRLAISVILLCCFSLLPLAHARLLLSDKNTLNDSKAFSIKGGDGAGGGRGFGVSISHGGHDISIGIGGGFGGGAGTTRGGGASVGGGAGGGVGIDVGHGGVDVGIGGGGGAAVNGGGVHAGGGGGGGVGVHIGHGGVSISTGGGGGAGGGESAGSSGGGFGGGNGVGRAGNAVGGGGGSGSVIGSSGSGGGSGVGSTSSAIGGGSGSGGGQG >ORUFI02G13670.1 pep chromosome:OR_W1943:2:10936606:10937086:1 gene:ORUFI02G13670 transcript:ORUFI02G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGKPAAGVGGCSKEAGERRRAAKNNKRRRTEKAEDADGGCGGDEDQVVTSKAMEAVSVPEEVLRAKKKNGDRRGRSKEAEERRRAKKAADKKRRAAAKKLRTEEAEAGRDDDGAKEAEEVQDFSVL >ORUFI02G13680.1 pep chromosome:OR_W1943:2:10974832:10975217:-1 gene:ORUFI02G13680 transcript:ORUFI02G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSQLLLLTLALVLLSSNKLANASAESNINPEADCSPAVIMIGDKCIPNVCLHKCLALGAARGNCIEGPACNCDFCGPNAPPPSIVQ >ORUFI02G13690.1 pep chromosome:OR_W1943:2:10976647:10983987:-1 gene:ORUFI02G13690 transcript:ORUFI02G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSSRSSSLFHLHLFFPHHRRRRRRHRSGAGAIDDDMGCAQGKPSQGSPARSDGRGIDHLMRKNRYVPVASSRLSDPLPAAAPAAARLLLRPQGQQQDAARGSGRRAATAAVERELQTDQRDGGNDRQTDHHQAMDESSAAAVAEAEATAAAAAVDEEETPAPAPQPAPRREDELVDGWPTWLLDNVPREALQGIVPKSADAYDKIAKARTCTAMPPWPASLATLITAKTESLMAAAAMDGCWCSNVYKARERGTGRVVALKKVRFDTSESESVRFMAREMMILRRLDHPNVIRLDGIATSRMHRSIYLVFDFMYSDLTRIICRPDHRLTEPQVGKMLATWIEGGVESGADEVKRKLSTKAINGRVEKGAVPDHEVESKPRAVAVGRSGSWFARPRGTRRIKCYMQQLLSGLQHCHERGILHRDIKGSNLLIDRHGVLKIGDFGLANYYGRRRPLTSRVVTLWYRAPELLLGATDYGVGIDLWSAGCLLAEMFSGRPLMPGRTEIEQLSRIFTLCGSPPDDYWRKMRLPPTFRPPRTYKPSMVDKIAFLPPPALALLATLLALDPAARGTAAQALQSSFFSTPPLPCHLSELPVVYKEEDEVAASHDGRKPKLRERSHKRRDNKPKAEEQHKDKEQNLNSSPSNKEVQEVFPKGSPAPQDQQVPRTNTYSPDNDHHKNHKVVIFNHNLWTLGIVLVYVAKGPLAFWIPGCSMTGLQGGCLHV >ORUFI02G13700.1 pep chromosome:OR_W1943:2:10989037:10989327:1 gene:ORUFI02G13700 transcript:ORUFI02G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSSGMNPASPMAFLMSSETWHSRCAAFRAQCCSVTSPLRRKTRTRSRKPAATSRDVEASEDADAVGASLRRPVARCSAHPLRIRAHCRSAPLRA >ORUFI02G13710.1 pep chromosome:OR_W1943:2:10989604:10989834:-1 gene:ORUFI02G13710 transcript:ORUFI02G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASNVVGARPPPPPSTATSALTRAMDSGVNQNDLCRSGSAPARVGEPPLSPPSICANSWCGKATCGERHTPRTS >ORUFI02G13720.1 pep chromosome:OR_W1943:2:10990821:10994667:1 gene:ORUFI02G13720 transcript:ORUFI02G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLLLHHLRRRYSVSVSSAEDMVVSSLRILSSASPSEPTTLPPPTIHPDPDATATSPTTTAAAAALLSPADRLRGVFLLKPPGRAALHRALSSTGIDAAAALSPEVLSGVVSHGNFSGAATVDFFDWAIANSKLPPSVDTCNIVIRALGRRKFFAFFEPALEIMRKNGVSPDISTLEIIIDSLIAARHVNTAIQLINTDHFGLGVWQTCQRKEIFTVLINCLCRRSHVGLASSLLQASRGETIDLDNHMYNEVIGGWARFGRVDKVEHFWETMLEDGLVPDQVSYCHLIEALGRANRAEEALQVFEKMVDAAVSVVEESIRKGFCLGRVVYGKLNNKLLEMNKVETAYNLFKKVRDARVIANSPT >ORUFI02G13730.1 pep chromosome:OR_W1943:2:10996916:11000121:-1 gene:ORUFI02G13730 transcript:ORUFI02G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDHWISRLMAAKRQYALQRAQNHHHATATATATAASHSHLDRYGYDDVEPEDEVRPDFPCPYCYEDHDITSLCAHLEDEHPFESKVVRHHRLRRVAVPSNHALSLGGRDLQETYLKVLLGNSSRSSGTNAASSVTDSLLSSLVLNLSSSEAEDTAKFSALAVVENNWFKRTLPSKTWKASSDSNLSQEERERRRRRAAVRSSFVQHLLVSTLFDD >ORUFI02G13740.1 pep chromosome:OR_W1943:2:11010766:11013497:-1 gene:ORUFI02G13740 transcript:ORUFI02G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVVIRPLVSLLKEKVSSYLVEQYKVMKGMEEQRDSLARKLPAILDVIEDAQKGASRPGVFKYEALRRDAKKKGHYNKLGMDVISLFPSRNPIVFRYRMSKKLSKVVRTMDVLVRQMNDFGFTQRQQVTPSMQWRQTDSIMIDSDKDIASRSRNEEKEKIIKILVEQEGNGGLMVLPIVGMGGLGKTTFVQLIYNEPQVKEHFSLQRWCCVSDDFDIGNIARNICHSQEKNHEKALQDLQKELSGQRYLIVLDDVWNRDADKWGKLLTCLKQGGRGSTILTTTRDAEVARVMTMGVPGAYNLEKLGNKYMKEIIQSRAFRVQKPNSDELDVIVDKIVDRCVGSPLAAKAFGSMLSTKTSMQEWKDILVKSNICNEKTEILPILKLSYDDLPPHMKQCFAFCALFPKDYPINVERLIQHWMAHDFIPAREEDNPDMVGKEIFNDLAWRSFFQDVEQAPPPTGYYVRRPKFRYIMVCKIHDLMHDVALSVMGKECATIVNMPDMKSFINPTRHLFISYREIHTHLDGMLKKQSPTLQTLLYTDPYTYVSPPRLSKHNSLRAMQLCRLRKLPIRPRHLQYIRYLNFSNNWWIKKLPEEISLLYNLLTMDVSDCDSLCRLPNAMKYMKNLRHIYTGGCESLECMPPDLGQLTSLQTLTFFVVGSSSSCSNVSELENINLVGELELTGLENVTEAQAKAASLGSKEKLTHLSLEWNSGGPEELVQDCHAKVLDALKPHGGLEMLRIVNYKGRGAPTWMKELSLFQQHLTELHLVGCTLCTDFPEFSHLRALQILHLIKVDKLQSMCSKMAYVEFPALKKLQLHDLESFESWVATPGKEELSFPVLEEIDIRNCPKLTSLPGPPKVKVVKLNEDMAQLSLSLITSTRYMSSCLF >ORUFI02G13750.1 pep chromosome:OR_W1943:2:11026279:11032370:-1 gene:ORUFI02G13750 transcript:ORUFI02G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAVLFLLHHHLAFFGLRISPSVSVPSPRRRSAGEVALLAVVVVAALLTATTHAASATIESRPADALRSEVDELRLRVLHLESLLEENTKTLKSKANNLEENSNLIGTMEHDIEILMNKYESTKKSQSKSYPESNVKALEDEVQLLWRVVRKMNENADSIESLANGAEKRVESLSSEVKKMEGVIAEQWIQIRQLEQAFVLTKMMTSKVHQRSRLSETAYKWPGKDLVLKYFRNLHGTFLMGVSYTKSCFSHTYKHGRSFIQAMNRPYHEVSRFCKAICGQHIRDVDKPNVFFLGGSISRSCISAPYKQLKIFMLLAQNFHHKVQIFLQDAMRSNSYSRGFATEIITFCLVSTFQQLPLSRWCYSCWNTF >ORUFI02G13760.1 pep chromosome:OR_W1943:2:11036364:11039854:1 gene:ORUFI02G13760 transcript:ORUFI02G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSALKDLNLAQSAELEKTKDSSAKSCITKPVLNGNKCNNTEENAPPVLPDAVTNGCEAGNADVEYIDSGSLTDLEDAGATLSTLVARLDSKDWVMTCEALNNVRQLAIFHKDRLQELLEPLVPLIVKSVKNPRSAVCKTALMTCADIFKAYGDLMVDSIDPLLVQLFLKSSQDKRFVCEAAEAALISMTSWIAPSALLPKMQPYLKNRNPRIRAKASMCFSKSVPRLGVEGIKEYGMDKLVQIAATQLSDQLPESREAARKLALELQAFYEKSQASSSGEVDDAPATSPDADADAGAESWEAFCQSKLSPLSAQAILRVTSTTKEGVAVGVTSTPPKEGVTVGC >ORUFI02G13770.1 pep chromosome:OR_W1943:2:11039228:11039659:-1 gene:ORUFI02G13770 transcript:ORUFI02G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERGVRNKQGVIMKALLDRCLSKHRNGGRPEPPEGSFAVYVGGGGGGGGAARERFVVRTECVNHPLFRALLEEAEEEYGYVADGPLELPCDAGEFVAVLARIEREMAEERTVGCAGGLVFRLHPAAHLMLVAPATPPPMIVG >ORUFI02G13780.1 pep chromosome:OR_W1943:2:11045514:11046278:1 gene:ORUFI02G13780 transcript:ORUFI02G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPPEVPGPHGSGPTISYSGDKQKNSHATESPGTHISFWSPGARPASSRHPSFQCSRRSRPRYRVVLLILVHLPPRCNTVKNQPKPSSRRGERSPSFLSPDLDPLALFLPVRSSRSRVVIVFVALPVSI >ORUFI02G13780.2 pep chromosome:OR_W1943:2:11045514:11046158:1 gene:ORUFI02G13780 transcript:ORUFI02G13780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPPEVPGPHGSGPTISYSGDKQKNSHATESPGTHISFWSPGARPASSRHPSFQCSRRSRPRYRVVLLILVHLPPRCNTVKNQPKPSSRRGERSPSFLSPDLDPLALFLPVR >ORUFI02G13790.1 pep chromosome:OR_W1943:2:11081056:11081307:-1 gene:ORUFI02G13790 transcript:ORUFI02G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLLERLRLKSGPPPSPPPGCWIGGGARWWQAEGTRVRRTAPPRRLGLHIARSRRTASSSPSPGRDAHGQPQRRLLLYHFE >ORUFI02G13800.1 pep chromosome:OR_W1943:2:11087512:11088507:1 gene:ORUFI02G13800 transcript:ORUFI02G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVTLVLINVRYIYLAIIPVIMLVFISALCNEISLKSKLNRISSSVEAREVGGSGSKKADENCDDATKQDVNSSNEDEHNHGNDKKAEVMVTIAVMPYWVLCLMGQFCGADNFVTSYFLLFLSSSLGALTLMIARLASEIAPRLAPVLDLLHKSTLVVLLLTAHTIATEFLGQDMVVAFMPELLAVILWLGIHLDNGGSSTMSIQKKVTSSQEKEKKIDITSYANGVVAILIVVTATALAYLAGMDGLELEGRKMWSSWVGRVFPAGCISGLLPYFTALMISHWPGLSPDSSKGAVQLLWFWANVSLAATTMMLICACTLLAATYISSRR >ORUFI02G13810.1 pep chromosome:OR_W1943:2:11116747:11122123:-1 gene:ORUFI02G13810 transcript:ORUFI02G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASCCCAPPESVSHTRRISYKYSGTSYPTRTTTTSSSAPEFTNKKQSTAMAPTTAAAAASSNGGGESDGSSKEWRLTAPTRGGAMAAAGDKMSIRAVRYKISASVDDRGPRPVLPLAHGDPSVFPEFRTAAEAEDAVADALRSGDFNCYPAGVGLPAARRAVADHLSRDLPYKLSSDDIFLTAGGTQAIEVVISILAQPGTNILLPRPGYPNYEARAAFNNLEVRHFDLIPEKGWEIDLNSLESIADKNTTAIVIINPNNPCGNVYTYEHLSKVAEVARKLGILVITDEVYGNLVFGSSPFVPMGCFGHIVPILTIGSLSKRWIVPGWRLGWVAICDPKKTLQETKIATLITNFLNVSTDPATFIQGALPNILKNTKEEFFKRIIDLLTETSDICYRGIKDIKCITCPHKPEGSMFVMVKLNLYLLEGIHDDVDFCCQLAKEESVILCPGSVLGMKNWVRITFAIDSSSLLDGLERIKSFCQRHKKKNPLNYI >ORUFI02G13820.1 pep chromosome:OR_W1943:2:11124399:11124722:1 gene:ORUFI02G13820 transcript:ORUFI02G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAFTSPMFHRLPAEDNDAPASVSGSSADADEHRGLKVALRHRGRRSGRQGGWGKASAAVMEAVRLEEPERRRAKEEVGRRLEGWPAALSARLGGDDGDKGRRGRR >ORUFI02G13830.1 pep chromosome:OR_W1943:2:11130813:11135006:-1 gene:ORUFI02G13830 transcript:ORUFI02G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDAAILAAVVAFLLPLRLLSLLARLSLTGSAGDLRRPCAAFALLAALLAAIFALPRDHARECAAASVVVPDDGEGAFRGEVRSDIEQLKLQLARLESMWDNNSKPLDGKSGPLEEDGEVVRAMGLDIQSLINEHENIKESLCGSYSDNTIKAMEKEIQILMDESRKMNSNIHNIWSMAKDTDNRVSALHSDVNMVLMDESRQMNSNVRELWSLAKDTERRVEGLHSDMRKVQILIDESRKMESSIYKMWSFAKQTEKRVEDLYSDVKKVRLYFLSHL >ORUFI02G13840.1 pep chromosome:OR_W1943:2:11138444:11145684:1 gene:ORUFI02G13840 transcript:ORUFI02G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKLLQVERGATEEELKKAYRKLAMKWHPDKNPNSKKEAEAKFKQISEAYEVLSDSQKRAVYDQYGEEGLKGQVPPPGAGGPGGSSYYGGDGSTFRFNPRSADDIFAEFFGFSSPFSSMGGMGGMGGMGGMGGMGGGVDRGMRGSKFGMYDNDIFGSFSQFPGEASMHAPQRPQKAAPIENRLPCNLADLYKGTTKKMKISREILDSSGRTMVVEEILTIDIKPGWKKGTKITFPEKGNESPHVIPADIVFVIDEKPHDLFTREGNDLVMTQKISLAEALTGCTVQVTALDGRNLTVPINNVVYPGYEEVVLREGMPIPKDPSKKGNLRIKFNIKFPSRLTSEQKSEIKRLLAS >ORUFI02G13850.1 pep chromosome:OR_W1943:2:11143788:11154147:-1 gene:ORUFI02G13850 transcript:ORUFI02G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMLGLRGSASKDRDRGRRGGDEASPGPGSPWTPSSSASSPRSPFAGGGGGRPLRLVYCDERGRFRMDPEAVAALQLVKGPVGVVSVCGRARQGKSFILNQLLGRSSGFQVASTHRPCTKGLWMWSAPIKRTALDGTEYSLLLLDTEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRANGGKSTASELGQFSPIFIWLLRDFYLDLVENDRKITPRDYLEIALRPLEGRGKDISSKNEIRESIRALFPDRECFTLVRPLNSENELQRLDQIPIEKLRPEFQAGLDELTRFILERTRPKQVAGTVMTGPVLAGVTQSFLDAINNGAVPTISSSWQSVEEAECRRAYDSAAEVYLSAFDRTKQAEEDALRDAHEAALRKALEAYGTVAVGTGTSRMHYEKVLSNFCRKTFQEYKRNAFLEADKQCSNMIQIMERKLRAACSAPGVKVSNVIQVLESLLTEYETSCSGPSKWRMLAAFLRHLEGPILDLCLKLVNEAESERTSFALKYRSNEDQLELLKRQLEANEAHKSEYLKRYEAAISEKQRVSEDHSAHLANLRTKCSTLDERCLSLSKELDLVRHECTDWRVKYEQYVTQQKAEQDGFISQLATLESRYSSAEGKLGAAREQAAAAQDEATEWRDKYETAAAQAKAALERLASVQEQINKIAHERESGIRAEFASHLEEKEEEMKRLVAKIRHAESEESVLAERLQVVESKAQSHNKETAALKDEIRELTGKLEFLRDRAVSFEKQARMLEQEKNHLQEKFLSECKKYDEAEERYKAAEREAKRATELSDVARTEAVTAQKEKDEAQRLSMEKLAVIERIQRQVDRLEQEKVNLLDEVQKMHKSETDALSKVALLESRVAEREKEIEELMIQSNEQRSSTVHVLESLLSTERAARAEANKRAEALSLQLQSTQSKLDVLHQELTSVRLVETALDSKLRTTTHGKRLRENEVGMESVQDMDIDRPERSRKRSKSNTSPLKHFQSEDGGSVHMGEDSVTVSTDTKDGNPDGYKKLTIAKLKEELTKHGFGAQLLELKNPNKKDILALYKKLVLGK >ORUFI02G13860.1 pep chromosome:OR_W1943:2:11159004:11166683:-1 gene:ORUFI02G13860 transcript:ORUFI02G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRDFGTDLRLMSLSALGIVCIRACPSHSQVINPKHLRYLDLSRSYMDVLPSSICTMYNLQTLRLNDCLLLTYLPEGMRTMSKLIHLYLLRCPLKRMPPNISLLKNLRTLTTFVLDTEPGRGIEELKDLNHLANRLELESYGQARTSRLGYSESDGAGLARSGGRHCRGDLAVPTSRVRACCTGIFCMPENKGCNEEEVLESLKPHAKLKILELHGYSGLKIPQWMKDPQLLQCLTNLHIFNCPGCKDLSTTENTVGEADTILVTFPELAKLYIFDCPKLASVPDCPVLKELNSARCCSLAMSSLAHLTTLSELIYEDNERVRMSLGSWPSLTKLDVSSSYNKMSTLEVGTNQGPLENLRSLTLYRLNCFTDVSGFSKIHLGLCKCFAFVEDLSISGCDGLVRWPIEELMSLIHLRSLSIETCRNLEGKGSSSEDGQLHIPMLPASLEKLCLVYCPRMVALPSKLGNLARLKIMSLQHCYDLKELPDGMDGLISLEELKIWNCPEIEKFL >ORUFI02G13870.1 pep chromosome:OR_W1943:2:11166773:11170036:-1 gene:ORUFI02G13870 transcript:ORUFI02G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVFRLCLSSPPSCPEAGAALHAIALGNKDTISPLPSFSLFPNHHNSMTAGSTASSNKGRRLPNLLPNSGSEGDGGGARELAETPPLLAGDERSAGDGGGAGAHRGPSSPGRQRGLGGRRQQRESFWALHSRPATRARRTTVAARIRSGVRAHRRPPLPAGDKETERRGRRWRHGSRATVTPTVEREPVKESNCMAESLLLPIVRGVIGKATDALVQKVTRMYGVDGDRRKLERQLLAVERLLADAESKSETNPAVKRWMKDLNTADDEADDVLDEFQFEVLHREAMSLKSLGHKVRSYMTPLEFHFTMRRKLAKVLKKINELVEEMNTFGLLLRDEPQQLSYRQTYSVLPSNELDDIFGRDDDKELLQKELQKAVGRRRFLLVLDDVWNEEKKKWEEDLKPLLSSVGGGDGSVILVTTQSQRVASIMGTLEYHNPACLSDDDSWELFSKKAFSKEVQQQAELVTAGKLIVKKCKGLPLALKTMGVFPKDHEMDKEVLIQLWMANGFIQEDETMGLEQKGEYVFCNLVWRSFLQDVKPIKTFSFTADESIICCKTHDLMHDLAKDDIPNFPMIMK >ORUFI02G13880.1 pep chromosome:OR_W1943:2:11171075:11176670:1 gene:ORUFI02G13880 transcript:ORUFI02G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRRRERGELGARRFETAARARRTASLALSNRKEFATPHNGAVNSLQVDLIERRYLLSGASDGSAAIFDVQNAIEYEAGFIAKHRSILLVDKQHENGHKFAVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHRDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKDPLNSLQPSSSSKIYSAQQRTGKSKKQSHKLHKSQIPGHGHIQQRLHPGLSSSQNRATAHYGAVTGLRTTTDGMYLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYYSAQEQELYTGSNDRQILVWSPSTPAFTEMEDDGKRQMDFVVDEDNWSD >ORUFI02G13880.2 pep chromosome:OR_W1943:2:11171075:11176670:1 gene:ORUFI02G13880 transcript:ORUFI02G13880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRRRERGELGARRFETAARARRTASLALSNRKEFATPHNGAVNSLQRRYLLSGASDGSAAIFDVQNAIEYEAGFIAKHRSILLVDKQHENGHKFAVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHRDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKDPLNSLQPSSSSKIYSAQQRTGKSKKQSHKLHKSQIPGHGHIQQRLHPGLSSSQNRATAHYGAVTGLRTTTDGMYLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYYSAQEQELYTGSNDRQILVWSPSTPAFTEMEDDGKRQMDFVVDEDNWSD >ORUFI02G13880.3 pep chromosome:OR_W1943:2:11171075:11176670:1 gene:ORUFI02G13880 transcript:ORUFI02G13880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRRRERGELGARRFETAARARRTASLALSNRKEFATPHNGAVNSLQVDLIERRYLLSGASDGSAAIFDVQNAIEYEAGFIAKHRSILLVDKQHENGHKFAVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKDPLNSLQPSSSSKIYSAQQRTGKSSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYYSAQEQELYTGSNDRQILVWSPSTPAFTEMEDDGKRQMDFVVDEDNWSD >ORUFI02G13880.4 pep chromosome:OR_W1943:2:11171075:11176584:1 gene:ORUFI02G13880 transcript:ORUFI02G13880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRRRERGELGARRFETAARARRTASLALSNRKEFATPHNGAVNSLQRRYLLSGASDGSAAIFDVQNAIEYEAGFIAKHRSILLVDKQHENGHKFAVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHRDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKDPLNSLQPSSSSKIYSAQQRTGKSKKQSHKLHKSQIPGHGHIQQRLHPGLSSSQNRATAHYGAVTGLRTTTDGMYLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYYSAQEQELYTGSNDRQILVWSPSTPAFTEMYFILQFHPKYHFDKDS >ORUFI02G13880.5 pep chromosome:OR_W1943:2:11171075:11176670:1 gene:ORUFI02G13880 transcript:ORUFI02G13880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRRRERGELGARRFETAARARRTASLALSNRKEFATPHNGAVNSLQRRYLLSGASDGSAAIFDVQNAIEYEAGFIAKHRSILLVDKQHENGHKFAVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHRDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYYSAQEQELYTGSNDRQILVWSPSTPAFTEMEDDGKRQMDFVVDEDNWSD >ORUFI02G13890.1 pep chromosome:OR_W1943:2:11177763:11180034:-1 gene:ORUFI02G13890 transcript:ORUFI02G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENFGTSTSRGSESPENFEVFPPKAVAMHRLRWTMNKGSEKWLCYGGAAGIIRCQRI >ORUFI02G13900.1 pep chromosome:OR_W1943:2:11182982:11183762:1 gene:ORUFI02G13900 transcript:ORUFI02G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSGVTGGVFSLKRAVLLKNSSNNTGKIEMQEAKISPWIASNSTEYLCSRRLIDIIFVTLIQLPEENYALIFVFINCRGDLRLLLIPEILLMLFIFKNKLYVRCRKRLQRSPEAWRLRLSHKVYVNTTTLKNTTTLNQQCRSWVDVAKGMADEASLWHKANPAIPALQFRREGVFSSRQN >ORUFI02G13910.1 pep chromosome:OR_W1943:2:11184324:11188502:-1 gene:ORUFI02G13910 transcript:ORUFI02G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVRGVIGKATDVLVQKVTRMYGVDGDRPKLERQLLADAESKSETNPAIKRWMKDLNAAADEADDMLNEFQYEALRREAMSLESLGHKVRSCMTPLEFRFTMSRKLAKVLKNINELVEEMNMFGLLLQDEPVHQLPYWQTYSALPSNELDDIFGRDGDKEAVVIKLLLDQRDQHKWENLQVLPIVGMGGLSKTTFAKMVYNDYRIQNHFELKMWHCVSDNFEVVSLLKSIIELATNKACQLLDNVELLQKELHKVVGWRRFLLVLDDVWNEEKKNAILVTARSQQVASIMGTLESHNLACLSDDDSWELFSKKAFNKGVQQQAELVTAGKLIVKKCKGLPLALKTMGDMMSSKQQVKEWETIARSNIGDNDRGEDDILPVLKLSYRHLPPEMKQCFAFCSVFPKDHEMDKEVLIQLWMANGFIQEDETMGLEQKREYVFRNLVWRSFLQDVKQESCPLKRMPPNISLLKNLRTLTTFILDTEPGRGIEELKDLNHLANRLELYNLRKINSRKNGKDANLHLKQDLSELDLCIGACNDLVHWPMEELMSLIHLRSLSIEHCDNLEGKGSSSEEIMPLYYLEKFHIKDCKSLLDIPTMPASLEELCLLLCPRLVALPSNLGNLARLKTMSFEHCHDLKELPNGMDGLISLEELKITGVPRDREISTGSPPSDSDPSIPNGSRLP >ORUFI02G13920.1 pep chromosome:OR_W1943:2:11194822:11198822:1 gene:ORUFI02G13920 transcript:ORUFI02G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHSSPRLLLRHRRLLPPSRDLLPAASASALRLRRSVAVRAEPELSTSAAEPPPGDDGEGDGPVELRTPTLFSIDENPTPLQTATSVLLTGAISVFLFRSIRRRARRAKELRVRSGGVEKPNNLSKEALEGLRLVSASPIEVDKPPSPVQALLGGIAAGVIALILYKFTTTIEAALNRQTISDSFSVRQITITIRTIINGICYLATFVFGINSIGLVLYGLQLTFASIMGDDNSSSAAEKISEQSNTMASSNSSTDSTSDNESTSNDKSKG >ORUFI02G13930.1 pep chromosome:OR_W1943:2:11198549:11199941:-1 gene:ORUFI02G13930 transcript:ORUFI02G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWFHSSSSSSSSTHHHHRHVVHHASTYFDGDDDEGDVKPAVTQHWRYDARAAASYGGGEDVKPAVVVKQPPLPRPRGRKLHGVRRWRPGRRGRCWAMASAPAVACFELQAKDKALAKAQGEISRLKAQLGSAKARELEEARQALEYERKLGTQVLKSDGAAAGASKRRRGGQ >ORUFI02G13940.1 pep chromosome:OR_W1943:2:11215458:11219103:1 gene:ORUFI02G13940 transcript:ORUFI02G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEHRFYDSNGDVSRYMLDDMEFLPLFGEAEAATTLPLPVLPDVEPLPTAPAPAATAHVEPAPAADFGLGNPVLADLGFDVDLDFFPELNFQSPPPPPAMNAGGYTHQVQASPPVMHHQQQQQQPLAPLPAAHGFAGQPAPATTMAPSGGDDGLFLAAPSRDAPCSPVMFNFMDFNVDMGDVDMDDVLMWADQDTHGAAAGGDTAPPVVVDEYADFVPFQAGDLDCSNCHLVREMMHANASRTIYFLVHATGVGSFQHAIVDRRYTATGAEGLHFPGRQLLYFDLTNHTIESASDFIASNVEKLKNDTTGHHFLDTGYNFSGAVRTDMANSHTAMEMNMLHTIVSAPFENVTTDAASPPAAQFIGAPPAAELPAPVPAPAPPAAHEQNAVVATLLFKVEEFYAAANSRPAAKRADVKILESSQVTQQAGGSSAATATMYPSMVDRKRKRAQATPSRMAPHEVIQYLRATAVETDKELETLNNFFKVCDGEDKALITFSVEQIRSIKKKIGRIINKPVTAMSSRRMARFIDEIDTIKEEKARVFEEIIKILKNPRRKRENDGSSGSNRKNVGGSSGGKKKTVGGSSGSKKKNVGRPSAKKAQK >ORUFI02G13950.1 pep chromosome:OR_W1943:2:11222821:11223624:-1 gene:ORUFI02G13950 transcript:ORUFI02G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTTLILLLPLLAAAAVAAADVAPAPAPPAAQTINLTGILEKGGQYNTLLRLLNATRVGEQLGSQLKTTYDGLTFFAPTDAAFAALRPGTLNGLSDQEQVQLVLYHVLPRYYTLATFQTASNPLRTQATGPAGVYTVNVTTTTGQSLVNVSTGVAAVPLGTTLSADFPLAVYSVDGVLLPEQMFGKAKAPAPAAAPASAAGKGANKEHKKGGAMPKNEVAATAPTAGAGGEDSDDSATTNAAAVAGAAGVVWTAALVGIANLVIA >ORUFI02G13960.1 pep chromosome:OR_W1943:2:11232233:11232511:1 gene:ORUFI02G13960 transcript:ORUFI02G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEVGAAVVLTTCCRCPCALNPTKVTDEHEQEADGSGLGDADIEQEEPSPPARGATSCWPRARRGSCTGMPWRTRGSRRRELRPPQCAYAS >ORUFI02G13970.1 pep chromosome:OR_W1943:2:11236928:11237734:-1 gene:ORUFI02G13970 transcript:ORUFI02G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSTVFLVLSLTLATVSVVVVSGAGEAPSPAPTGPLNLTEILTKAGHYNTFVRLLKDTEVTSQVSSLLNNDRNGDGLTVLAPTDAAFGRLRPGTLNRMDAQAQAELVLYHVLPRYYGFVTFETTTNPVRTQASGQRGVCTVNVTTAGEDRVRVSSGVVEAELGRPLRDGHPLAVYSLDAVLLPPDMFGPGAKKDYGAADAPAAAGKHGKPQTASSSSVAAAPDEAPSKEVDATATAAAGRMAPAGWAAAFAGVVTAVAAVSLLSY >ORUFI02G13980.1 pep chromosome:OR_W1943:2:11252248:11256777:-1 gene:ORUFI02G13980 transcript:ORUFI02G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTDVTFDIGQDIFSAHKCILAARSSVFKAEFFGAMSAKAHRTIKIEDMEAGVFRSLLHFIYTDALPETALDVVMTQHLLVAADRYNVERLKLICEEKLSKHIDSNMVATTLALAEQHSCHGLKEACFKFLSSDANLERMKASEGYEHLKVSCPFVLKELIARFISPEMEAAREITMDLQMANHCNNTSSVTVAEVARGSHVIKIDGYLRTKELMENGKYVSSIPFSVGGHSWFITYFPNGVNTESKDYLSVFLTIDFACAGGVKATFSFALLDKNGRSVQLYSKLYPLHTFTEKGSDWGHSKFMKKTDLERSVHLSNDSFSIMCDLTVMKDICSKETTQKQFVVVPPSDLHQHLGDLLLKNMDSTDVTFNVGQDIFSAHKCILAARSSVFRAEFFGAMSAKARRTIKIEDIEAGVFRALLHFIYTDSLPETAQNIVMAQHLVVAADRYNVGRLKLICEEKLSKHIDSNMVATTLALAEQHSCYGLKEACFEFLASRSNLERMMASDDYEHLKISCPSVLMELVARFLPQQEK >ORUFI02G13990.1 pep chromosome:OR_W1943:2:11256805:11262728:-1 gene:ORUFI02G13990 transcript:ORUFI02G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATNLALAEQHRCHQLKEACFKFLIDSPSNIESMVESDGYEHLKTSCPSVLNELAARLLPHEMKAAKQITMALRKLIKLEGLVHLMDDSFSIKIDVIVMKDICSKETTQKQFVVVPPGDLH >ORUFI02G14000.1 pep chromosome:OR_W1943:2:11262813:11263598:-1 gene:ORUFI02G14000 transcript:ORUFI02G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDCNTISSAIVAEAVSGSHVMKIDGYSKTKALIKNEECLSSTPFSVAGYTWTIRYYPNGQSTECREYLSLYLFLDSFARDVKAIYSFKLLDKNGRPLLLNSIASPVKTFKLRGTGWGYPMFIKSKDLKASESLRDDSFSIRCDVTVMKPICSKETPAMPKPSVEVPPGDLHQHLGDLLKNMDGADVTFDVGQERFSAHKCVLAARSSVFEAMFFGATRAKPRRSNIKIEDMEAGVFRSFLHFVYTDLLPDTSQDVVMAQ >ORUFI02G14010.1 pep chromosome:OR_W1943:2:11299737:11300201:1 gene:ORUFI02G14010 transcript:ORUFI02G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASPLHPLVPFLPHSILFSSNPHTTRIVEEACFDQIDEFNNIDLFRCRPPPTTSVVPRALDTVEELLWLGSPTLAAYARQEIQFRHLVQEHAVAAAVARIRSIEARITAHRNTITAKGHRLRAVRANMVPPVRARLAVPMGATGGGGCYSSG >ORUFI02G14020.1 pep chromosome:OR_W1943:2:11302738:11303709:-1 gene:ORUFI02G14020 transcript:ORUFI02G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDTNNTSSSVIATETTSASHVIKIDGYTVTKDLMENGEFVSSIPFSVGDFLWNVRYYPNGNCSKNADYLSFSVFLESHWAEDVKAKFSFKLLDTNNKPVRSRNFISNTHNFSRRGSNWGYSRLIKKRDLEQSEHLIDDSFTIWCDLTVMKGFSSKGSHCKPSVEVPAGRLDLHLGNLLSNKKMNGKDVTIYVGKERFRAHKCILAARSSVFRALFFGAMIAETPRTIEIEDMEAGVFRLLLHFMYNDSLPETWSQDAMMAQHLLVAADWYNVGRLKLICEEKLAKHIDCNMVATTLALAEQHSCQGLKEACLEFLASTNQS >ORUFI02G14030.1 pep chromosome:OR_W1943:2:11318127:11320689:-1 gene:ORUFI02G14030 transcript:ORUFI02G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDERQEAVVVAEEAEQTKEMERVGGNSSSSKRSEPVDLVKEEEEDGEDWFDALLRKLGHPFTVDEKGRPVDDADDREKGIWNASMVYMRAQNMSSEYDMVPNNLVLYPMMFEKRRWYHCNILGCKRLNDSTGEHSTQQRFFVELIINDGDIDNNLCIACPPNSGIVHPPAGGFICGVSKDQKIFKVPFY >ORUFI02G14040.1 pep chromosome:OR_W1943:2:11331511:11331762:1 gene:ORUFI02G14040 transcript:ORUFI02G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNCNTFSTIVAEAVSGSHVIKIAGYSRIKVLLRNGESLTSIPFSVAGHSWTIRFYPNGDSAESQDYLSFYLILDSANSYDV >ORUFI02G14050.1 pep chromosome:OR_W1943:2:11332062:11332646:1 gene:ORUFI02G14050 transcript:ORUFI02G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDVVFEVGEERFSAHRCVLAARSSVFKAELLGTMKEKADGAIQVDDMEPGVFKSLLHFIYTDSLDTMAQEDQSRDEASEEEDLVMAQHLLVAADRYNVERLKLICEEKLCESIDSSMVATSLALAEQHNCNGLKEACFEFLASPSNLLEMMASDGYDHLKTSCPAVLKELTTRFLPPETKASEEITIGLYN >ORUFI02G14060.1 pep chromosome:OR_W1943:2:11389114:11389336:1 gene:ORUFI02G14060 transcript:ORUFI02G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAEKMVECPASGKTKARSCRRMRQQAEMEFGRARSIRVHAIRMRVRKWSSAVPECFATPPSACGSKGKWI >ORUFI02G14070.1 pep chromosome:OR_W1943:2:11389424:11391630:1 gene:ORUFI02G14070 transcript:ORUFI02G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAETCDDSVVESTIMGEGLAASADHLISSDDCITCAGVCSTAAAAAIIIVAVSAAAATAVVAAIIVVAAAAAIIVVTAAAAIIIVAAGGCVVNVFVPTFFI >ORUFI02G14080.1 pep chromosome:OR_W1943:2:11444187:11448279:1 gene:ORUFI02G14080 transcript:ORUFI02G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPLAKLGTLALKTMSQPIAIRLKTEASRHPQFRQLIINLAQTNHRVSTNIQRRIYGHSTKVEIRPLNEEKAVQAAADLIGELFVFSVAGAAVIFEVQRSARSEARKEEARRNEVEALRQKEDQLAREIEIVKQKLGEIERQANSRGLSGVLFRSSSAPDQTKAS >ORUFI02G14090.1 pep chromosome:OR_W1943:2:11448923:11450809:-1 gene:ORUFI02G14090 transcript:ORUFI02G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIHKKWIPELRHYAPNVPIVLVGTKLDLREDKQFFLDHPGLAPISTAQGEELKRMIGAAAYIECSSKTQQNVKSVFDSAIKVVLCPPKPKKKNTRKQRSCWIL >ORUFI02G14090.2 pep chromosome:OR_W1943:2:11448923:11450809:-1 gene:ORUFI02G14090 transcript:ORUFI02G14090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIHKKWIPELRHYAPNVPIVLVGTKLGKMTWHFLQTEEKTLATKSDKNRILADLREDKQFFLDHPGLAPISTAQGEELKRMIGAAAYIECSSKTQQNVKSVFDSAIKVVLCPPKPKKKNTRKQRSCWIL >ORUFI02G14100.1 pep chromosome:OR_W1943:2:11459785:11462610:-1 gene:ORUFI02G14100 transcript:ORUFI02G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPLRLLRSAASSPRRRPAPSPPPSPNPAAPPALLSRWGWGWAPPRRGYSRFATGFTPLQPKPLASILDVERASGLSPDHLVAAWDDYHLGRGHIGASMSAKLYHLMEQRSATCRHFVIPLWKGTGYTTMFMQVQMPHMIFTGLEDYKARGTQASPYYTITHYTEFAETKDTVLIRGDVVFTSKLTDSEAKCLLESAHSFYLNDVRYRLVERFNKEPHEFEFKDVLQVLEMPTM >ORUFI02G14110.1 pep chromosome:OR_W1943:2:11463777:11472509:-1 gene:ORUFI02G14110 transcript:ORUFI02G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRSPPPAAAAAADGSIEENAMAILDTAGIKDARDLHDDRCAFLETVRSACLAADSPSPPSWRMYNAVFQILQDSSSLELTMASLHLLMELGKQYPRAYLTDSGSGQALVAVKEAWSPFHLRSDVGCGEIGGNNRHLDHLFDSSRFSSLIEDMVETANDTDANNGIEHIKNMVLLEYLVSTLEADFVPRQIAYKESLDWVIFRESLLQMLLVSRKVAFKSLVKNCISFLLNQYNQGVEDGISSKEGSAKSAPDLESSLAIISFEFERKALASVQKLFTMVMNLDLIRKEADTMGLTSRADGCRNPILDVILDELTYNISYLSPFLLIFVEWKWKLEIILQYFSKYCGKPAVRTRRSDNSQHDLTLENVLTLFSTAATTKAIVKKMSSEVVQLLLANAYQVCLHLECDSSKDSDTTKKIGATLLQISESFVSTFHNMRKINPDMQLSPFEKEALFTAASMARTLSMVAHFVRDILLVLVSSVSSKQTFRKVRRIIEEQRSCLALEINDAIFCRKD >ORUFI02G14120.1 pep chromosome:OR_W1943:2:11477632:11478414:1 gene:ORUFI02G14120 transcript:ORUFI02G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLGLCIINGHCRGLLGPCVGRVTCTSLPLAKMITLFVAKAIRCAQIIAKSLEKLKPQGMKEKPKKTVEIFKLPKLAILPIHFINTIPPNEPTFIRRDMVLV >ORUFI02G14130.1 pep chromosome:OR_W1943:2:11484297:11486453:-1 gene:ORUFI02G14130 transcript:ORUFI02G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEEGQGDFVLLFAIDWPLVDISDFMYSIGTTKRGGNLVAPLTTGLEDGIRHNVMHMTSVEQFYQDCRLLSVQLITSETRRGIVVATALEVDKASNCLSTIAATTMMFLHNRDYQRYALLEEVRNSLLKEPTLHDAIKIAVSYRKQELLQLEEQNNDPAEPEVVIVEDDEVVIEPVPKKKRTGNKGFTIPEELGRGGGLMRSVPFPAPHSSHFSPSSSPSSLPITVHHKKILKKQKVRKIYV >ORUFI02G14130.2 pep chromosome:OR_W1943:2:11484297:11486453:-1 gene:ORUFI02G14130 transcript:ORUFI02G14130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEEGQGDFVLLFAIDWPLVDISDFMYSIGTTKRLLSVQLITSETRRGIVVATALEVDKASNCLSTIAATTMMFLHNRDYQRYALLEEVRNSLLKEPTLHDAIKIAVSYRKQELLQLEEQNNDPAEPEVVIVEDDEVVIEPVPKKKRTGNKGFTIPEELGRGGGLMRSVPFPAPHSSHFSPSSSPSSLPITVHHKKILKKQKVRKIYV >ORUFI02G14140.1 pep chromosome:OR_W1943:2:11489533:11499673:1 gene:ORUFI02G14140 transcript:ORUFI02G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDADAAEVERLYELGERLSSAKDKSQHAADYEAIISAVKGQSVKAKQLAAQLIPRFFRSFPALAPRAMEAMFDLVDMEELATRIQAIRGFPLLAKDAEFVSKIADILGQLLASEENVERDAVHKALMSLIRQDVKSSLQPLFKHVESGSEIREKVICFLKDKVFPVKAELLKPQAEMERYITDLIKKSVLDVTGLEFKLFMDFLRSLSIFGDSAPRESFQELIEIIQAQADLDAQFNVSDIDHIERWTSCMYMALPIFMRGGSSSKFLNYFVKQIVPVFDKIPEEKKLDLLKTVAASSPYATAQDARQLLPPVVQLLKKYMPGKKVEDINHNYVECLMYTFHHLAHKTPNTTNSLCGYKIVTGQPSDRLGEDFSEHYKDFTERLTGTEETVRAVSKRLTQGMADFNKAISSAKTEEEKTKIKSDQQKSTMTMRAYNNILAMAQKITLSWMEQPKKPAPTTTGGKRSQPATNGNTPASKKGRGEGAARNQLVNRAFEGLSRGGRGSGRGRGRGGRGRGWGYR >ORUFI02G14150.1 pep chromosome:OR_W1943:2:11504966:11517687:1 gene:ORUFI02G14150 transcript:ORUFI02G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRRDEGAEESFVKPLQLKVKDYPLLGVHVSECQIYDLENRMICYQKRRDFTMISSQHKRRPCENKYCQGVAQKVWNFSKCRGMCSYRLYRKKNWEMLAEILDHAVNTLGYTSVHKLLNKGSYVVAVAEMLTSTPLEIWDLCFAQALSSMEIVMAGKACHQCRQMKRNFAVACTQVKKKGVCPIKYCHRCLLKRYDENDEEVGQMEAWICPKCRGICNCSCCRRKKGQQPTGRLVHTAKKGEQGAADEESTGRSLRIENSVEELLFEGDQIKLKGNIVFLHPIKKCFQGVVCTNILGADLEVKDVGPAIQLYEFCNSFGEIFQIRKGQPEQILQDIARDQQVEIVPELIADFHKNLLSVIKEDRGEKNSIYITNGDAWLNDLGAYINELAFMSKELHLEFVNKGTLGYNKLSPCKLHVLNLLCDEALSTVKLRKLIEEQNGRAAERRNDAKAKLRAAKAKEKELREGLKNDMKEGATPIEGNRNQLISDIKKAKEVKLTAIKEKKLGTVLRSKPLMLEDREAYWKLDGYSNNKMLLLQEFDNENFTGNDIWFEFTEDEEKTIENHAAIRRQLGGQTYQYQQYVS >ORUFI02G14150.2 pep chromosome:OR_W1943:2:11504948:11517689:1 gene:ORUFI02G14150 transcript:ORUFI02G14150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHISNFASAVLKKKKEIKGEYLRVSSPSLRVKENLPSRLLRYLRHPRLNRGEAPISIWRAEQGRGGDPGGAFGGNRGVRPVPPEKGVFPLDHLHECDLEKKDYLACLKSTGFQSEKCRQFSKKYLECRMERNLMAKQDMSELGFRSEDKLWWMDLKRRDEGAEESFVKPLQLKVKDYPLLGVHVSECQIYDLENRMICYQKRRDFTMISSQHKRRPCENKYCREYLSAEGVAQKVWNFSKCRGMCSYRLYRKKNWEMLAEILDHAVNTLGYTSVHKLLNKGSYVVAVAEMLTSTPLEIWDLCFAQALSSMEIVMAGKACHQCRQMKRNFAVACTQVKKKGVCPIKYCHRCLLKRYDENDEEVGQMEAWICPKCRGICNCSCCRRKKGQQPTGRLVHTAKKGEQGAADEESTGRSLRIENSVEELLFEGDQIKLKGNIVFLHPIKKCFQGVVCTNILGADLEVKDVGPAIQLYEFCNSFGEIFQIRKGQPEQILQDIARDQQVEIVPELIADFHKNLLSVIKEDRGEKNSIYITNGDAWLNDLGAYINELAFMSKELHLEFVNKGTLGYNKLSPCKLHVLNLLCDEALSTVKLRKLIEEQNGRAAERRNDAKAKLRAAKAKEKELREGLKNDMKEGATPIEGNRNQLISDIKKAKEVKLTAIKEKKLGTVLRSKPLMLEDREAYWKLDGYSNNKMLLLQEFDNENFTGNDIWFEFTEDEEKTIENHAAIRRQLGGQTYQYQQYVS >ORUFI02G14150.3 pep chromosome:OR_W1943:2:11504966:11517895:1 gene:ORUFI02G14150 transcript:ORUFI02G14150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAPWLKTKMDLYWAIIAQFLHPIKKCFQGVVCTNILGADLEVKDVGPAIQLYEFCNSFGEIFQIRKGQPEQILQDIARDQQVEIVPELIADFHKNLLSVIKEDRGEKNSIYITNGDAWLNDLGAYINELAFMSKELHLEFVNKGTLGYNKLSPCKLHVLNLLCDEALSTVKLRKLIEEQNGRAAERRNDAKAKLRAAKAKEKELREGLKNDMKEGATPIEGNRNQLISDIKKAKEVKLTAIKEKKLGTVLRSKPLMLEDREAYWKLDGYSNNKMLLLQEFDNENFTGNDIWFEFTEDEEKTIENHAAISPHLLCRKSSSA >ORUFI02G14150.4 pep chromosome:OR_W1943:2:11504966:11517895:1 gene:ORUFI02G14150 transcript:ORUFI02G14150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAPWLKTKMDLYWAIIAQFLHPIKKCFQGVVCTNILGADLEVKDVGPAIQLYEFCNSFGEIFQIRKGQPEQILQDIARDQQVEIVPELIADFHKNLLSVIKEDRGEKNSIYITNGDAWLNDLGAYINELAFMSKELHLEFVNKGTLGYNKLSPCKLHVLNLLCDEALSTVKLRKLIEEQNGRAAERRNDAKAKLRAAKAKEKELREGLKNDMKEGATPIEGNRNQLISDIKKAKEVKLTAIKEKKLGTVLRSKPLMLEDREAYWKLDGYSNNKMLLLQEFDNENFTGNDIWFEFTEDEEKTIENHAAISPHLLCRKSSSA >ORUFI02G14150.5 pep chromosome:OR_W1943:2:11505650:11517687:1 gene:ORUFI02G14150 transcript:ORUFI02G14150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRRDEGAEESFVKPLQLKVKDYPLLGVHVSECQIYDLENRMICYQKRRDFTMISSQHKRRPCENKYCREYLSAEGVAQKVWNFSKCRGMCSYRLYRKKNWEMLAEILDHAVNTLGYTSVHKLLNKGSYVVAVAEMLTSTPLEIWDLCFAQALSSMEIVMAGKACHQCRQMKRNFAVACTQVKKKGVCPIKYCHRCLLKRYDENDEEVGQMEAWICPKCRGICNCSCCRRKKGQQPTGRLVHTAKKGEQGAADEESTGRSLRIENSVEELLFEGDQIKLKGNIVFLHPIKKCFQGVVCTNILGADLEVKDVGPAIQLYEFCNSFGEIFQIRKGQPEQILQDIARDQQVEIVPELIADFHKNLLSVIKEDRGEKNSIYITNGDAWLNDLGAYINELAFMSKELHLEFVNKGTLGYNKLSPCKLHVLNLLCDEALSTVKLRKLIEEQNGRAAERRNDAKAKLRAAKAKEKELREGLKNDMKEGATPIEGNRNQLISDIKKAKEVKLTAIKEKKLGTVLRSKPLMLEDREAYWKLDGYSNNKMLLLQEFDNENFTGNDIWFEFTEDEEKTIENHAAIRRQLGGQTYQYQQYVS >ORUFI02G14150.6 pep chromosome:OR_W1943:2:11509894:11517687:1 gene:ORUFI02G14150 transcript:ORUFI02G14150.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISFICHKLWWMDLKRRDEGAEESFVKPLQLKVKDYPLLGVHVSECQIYDLENRMICYQKRRDFTMISSQHKRRPCENKYCREYLSAEGVAQKVWNFSKCRGMCSYRLYRKKNWEMLAEILDHAVNTLGYTSVHKLLNKGSYVVAVAEMLTSTPLEIWDLCFAQALSSMEIVMAGKACHQCRQMKRNFAVACTQVKKKGVCPIKYCHRCLLKRYDENDEEVGQMEAWICPKCRGICNCSCCRRKKGQQPTGRLVHTAKKGEQGAADEESTGRSLRIENSVEELLFEGDQIKLKGNIVFLHPIKKCFQGVVCTNILGADLEVKDVGPAIQLYEFCNSFGEIFQIRKGQPEQILQDIARDQQVEIVPELIADFHKNLLSVIKEDRGEKNSIYITNGDAWLNDLGAYINELAFMSKELHLEFVNKGTLGYNKLSPCKLHVLNLLCDEALSTVKLRKLIEEQNGRAAERRNDAKAKLRAAKAKEKELREGLKNDMKEGATPIEGNRNQLISDIKKAKEVKLTAIKEKKLGTVLRSKPLMLEDREAYWKLDGYSNNKMLLLQEFDNENFTGNDIWFEFTEDEEKTIENHAAIRRQLGGQTYQYQQYVS >ORUFI02G14150.7 pep chromosome:OR_W1943:2:11509894:11517687:1 gene:ORUFI02G14150 transcript:ORUFI02G14150.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISFICHKLWWMDLKRRDEGAEESFVKPLQLKVKDYPLLGVHVSECQIYDLENRMICYQKRRDFTMISSQHKRRPCENKYCQGVAQKVWNFSKCRGMCSYRLYRKKNWEMLAEILDHAVNTLGYTSVHKLLNKGSYVVAVAEMLTSTPLEIWDLCFAQALSSMEIVMAGKACHQCRQMKRNFAVACTQVKKKGVCPIKYCHRCLLKRYDENDEEVGQMEAWICPKCRGICNCSCCRRKKGQQPTGRLVHTAKKGEQGAADEESTGRSLRIENSVEELLFEGDQIKLKGNIVFLHPIKKCFQGVVCTNILGADLEVKDVGPAIQLYEFCNSFGEIFQIRKGQPEQILQDIARDQQVEIVPELIADFHKNLLSVIKEDRGEKNSIYITNGDAWLNDLGAYINELAFMSKELHLEFVNKGTLGYNKLSPCKLHVLNLLCDEALSTVKLRKLIEEQNGRAAERRNDAKAKLRAAKAKEKELREGLKNDMKEGATPIEGNRNQLISDIKKAKEVKLTAIKEKKLGTVLRSKPLMLEDREAYWKLDGYSNNKMLLLQEFDNENFTGNDIWFEFTEDEEKTIENHAAIRRQLGGQTYQYQQYVS >ORUFI02G14160.1 pep chromosome:OR_W1943:2:11518800:11520260:-1 gene:ORUFI02G14160 transcript:ORUFI02G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGKINLPPDQYFQKYLSSLEALVGVLIHSTRLPVMRAMRSASIHPEAVQAIEAATCGAGEGGAAPMAGQIPWQI >ORUFI02G14170.1 pep chromosome:OR_W1943:2:11520895:11527412:-1 gene:ORUFI02G14170 transcript:ORUFI02G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITEVTVVHHAALVLLALWAAVSAGWAHPAIFLAALLYLFAVNERYTMRLRKRIQHEERKCANQRRLLSDAETVRWLNYAVEKIWPVCMERVASEQFLLPIFPWFLEKFKPWTARKAVIQDLYLGRNPPMFTDIRVVRQSTDDDHLVLEIGMNFLSADDMNATMAVQLRKRLGFGITANMHITGMHVEGKVLVGVRFLRQWPYIGRVRVCFVEPPYFQMTVKPLFGHGLDVTELPGISGWLDRMLDVAFGQTLVESQTSEVLGINIVQNATFTVLPNMLVIDLEKFASESTDSWFSVDEKPPIAHAKVEILEGADMKPSDPNGLADPYVKGHLGPYRFQTKIHKKTLNPKWMEEFKIPVTSWAALNLLSLQVRDKDPIFDDTLGDCSISINKLRGGQRHDIWIALKNIKTGRIHIAVTVLEDENEKVPNDDDEQCGTPKGGKASTPRSSFSSRTNNESESSEEFRKISAEYEPVDIEGLEKVDVWVHRPGSDVAATWEPRKGRARCQDSRILRENDVYCESPKSCQSESQRSDSSTEEPANGKSRHHLHKVKKGLGKLAGAMFKSPKKESDDEASPCVTPHPNIKPLGEKRVSVRYVIDEDPSENLPDDQHSSPERGESESPTKRHLRKKAVHMVKHAGNKTAHNLKNMFSRKGSDKSKEGQDDQKDDPDTIVVEPSKVKKDNPDASEVDIDPSQVENDIPDSTAIDPLKVDSNVANNIVVDALEYASESDAKDKPQ >ORUFI02G14180.1 pep chromosome:OR_W1943:2:11532649:11533104:-1 gene:ORUFI02G14180 transcript:ORUFI02G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKEPRTAAAGGDSGEGVEDGGDGRGQERQIKAVAGKDGGGNSRGAVTASGNGGGGAKDGGNGRGRRRQARDDGGGAEDGGDVQGWERQLGVAVVGGDDDEGNLASRPFASVASASSSPSPPMPLRAFPFARRASLPPAVYSITPSPVDC >ORUFI02G14190.1 pep chromosome:OR_W1943:2:11536406:11542379:-1 gene:ORUFI02G14190 transcript:ORUFI02G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPWWQFLDLLLPLLVAVVRQVAGGVQAPSPRLFPVAISYMRIMELHKMTDPLSLRVAGFLTMLRGRDGSSGWSDVMGNKGGGQHQVGQEGIEMLSLGSFKTGAILLGMKLLPMGRKSLFYLTIYGSVVGVGSYAVHYFSTLVASILENFGLSEEMHNPETSRNDLRGEVGVHPQEDDVRVAAAGAKAKKEAEAGGNVSGKQSTAGFDTFGLHTRIFHVIQLAIIHLQAIAVS >ORUFI02G14190.2 pep chromosome:OR_W1943:2:11536406:11542379:-1 gene:ORUFI02G14190 transcript:ORUFI02G14190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPWWQFLDLLLPLLVAVVRQVAGGVQAPSPRLFPVAISGGRISDHVARERWQQRLERCDGEQRRRPASGGTGGLGLFIFNEGIEMLSLGSFKTGAILLGMKLLPMGRKSLFYLTIYGSVVGVGSYAVHYFSTLVASILENFGLSEEMHNPETSRNDLRGEVGVHPQEDDVRVAAAGAKAKKEAEAGGNVSGKQSTAGFDTFGLHTRIFHVIQLAIIHLQAIAVS >ORUFI02G14190.3 pep chromosome:OR_W1943:2:11536406:11542379:-1 gene:ORUFI02G14190 transcript:ORUFI02G14190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPWWQFLDLLLPLLVAVVRQVAGGVQAPSPRLFPVAISGIEMLSLGSFKTGAILLGMKLLPMGRKSLFYLTIYGSVVGVGSYAVHYFSTLVASILENFGLSEEMHNPETSRNDLRGEVGVHPQEDDVRVAAAGAKAKKEAEAGGNVSGKQSTAGFDTFGLHTRIFHVIQLAIIHLQAIAVS >ORUFI02G14200.1 pep chromosome:OR_W1943:2:11542470:11548115:-1 gene:ORUFI02G14200 transcript:ORUFI02G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTSPPSPDLSSARCMDSSLIPALPELWNRRQSATPDDFLIKVKERCLNLFGPNKLKEKKESKFLRFLGFMSNPLSWVMEAAAIMAIALANGVLPGWQDFVGIITLLIMNSTISFIEENNAGNAAATLMGRLAPRAKRTQNRALLEQLATIVNDDKGTNRPQQGG >ORUFI02G14210.1 pep chromosome:OR_W1943:2:11553927:11576278:1 gene:ORUFI02G14210 transcript:ORUFI02G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPESSHHHLLESGLLEVSKAPSAAVAAEEEEKKEAAAWTPSSSSSMTGRKIKSEASPLLRRLLGGPAAQLQEVLLGTKLYPLFSAVPLAVAAESLRLGRVWVFAFSLIGLAPLAERVSFLSEHIANTVGPTAGGIMNATCGNVPELIIALFALHKNKMEILKWSLLGSILSNLLLVLGSSLLFGGIVNIGKERPLDKRQADVSIGLLLLGVLCHIATLVSKYTSSTGDSINSSSVMQLSRSCAIVMLIAYFGSLVFQLKTHRQIFELEEGYNVLIKNETWDSSDSSSSEDDATDKSVIGFASAMVWLIGMAVVTAMLSSYVVTTIEEASESMGIPVRFISIILLPIVGNAAEHAGAIIFAFKNKIDISLGITLGSATQISMLVVPVILIVSWVNAIPMDLDFNLLETGSLAMAVITTAFTLQDDKWHYLKGLNLVFSYIVIAVCFFVMKALPSIDGDALAMAMVVRKLGIMAWINEEALSKPSDQVKVQTYHEGLSELIRSVFAACNPNRPITHTPSGQTPLPLLS >ORUFI02G14210.2 pep chromosome:OR_W1943:2:11553927:11576278:1 gene:ORUFI02G14210 transcript:ORUFI02G14210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPESSHHHLLESGLLEVSKAPSAAVAAEEEEKKEAAAWTPSSSSSMTGRKIKSEASPLLRRLLGGPAAQLQEVLLGTKLYPLFSAVPLAVAAESLRLGRVWVFAFSLIGLAPLAERVSFLSEHIANTVGPTAGGIMNATCGNVPELIIALFALHKNKMEILKWSLLGSILSNLLLVLGSSLLFGGIVNIGKERPLDKRQADVSIGLLLLGVLCHIATLVSKYTSSTGDSINSSSVMQLSRSCAIVMLIAYFGSLVFQLKTHRQIFELEEDSSDSSSSEDDATDKSVIGFASAMVWLIGMAVVTAMLSSYVVTTIEEASESMGIPVRFISIILLPIVGNAAEHAGAIIFAFKNKIDISLGITLGSATQISMLVVPVILIVSWVNAIPMDLDFNLLETGSLAMAVITTAFTLQDDKWHYLKGLNLVFSYIVIAVCFFVMKALPSIDGDALAMAMVVRKLGIMAWINEEALSKPSDQVKVQTYHEGLSELIRSVFAACNPNRPITHTPSGQTPLPLLS >ORUFI02G14210.3 pep chromosome:OR_W1943:2:11553927:11575836:1 gene:ORUFI02G14210 transcript:ORUFI02G14210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPESSHHHLLESGLLEVSKAPSAAVAAEEEEKKEAAAWTPSSSSSMTGRKIKSEASPLLRRLLGGPAAQLQEVLLGTKLYPLFSAVPLAVAAESLRLGRVWVFAFSLIGLAPLAERVSFLSEHIANTVGPTAGGIMNATCGNVPELIIALFALHKNKMEILKWSLLGSILSNLLLVLGSSLLFGGIVNIGKERPLDKRQADVSIGLLLLGVLCHIATLVSKYTSSTGDSINSSSVMQLSRSCAIVMLIAYFGSLVFQLKTHRQIFELEEGYNVLIKNETWDSSDSSSSEDDATDKSVIGFASAMVWLIGMAVVTAMLSSYVVTTIEEASESMGIPVRFISIILLPIVGNAAEHAGAIIFAFKNKIDISLGITLGSATQISMLVVPVILIVSWVNAIPMDLDFNLLETGSLAMAVITTAFTLQDDKWHYLKGLNLVFSYIVIAVCFFVMKALPSIDGDALAMAMVVRKLGIMAWINEEALSKPSDQVKVQTYHEGLSELIRSVFAACNPNRPITHTPSGQTPLPLLS >ORUFI02G14210.4 pep chromosome:OR_W1943:2:11553927:11576279:1 gene:ORUFI02G14210 transcript:ORUFI02G14210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPESSHHHLLESGLLEVSKAPSAAVAAEEEEKKEAAAWTPSSSSSMTGRKIKSEASPLLRRLLGGPAAQLQEVLLGTKLYPLFSAVPLAVAAESLRLGRVWVFAFSLIGLAPLAERVSFLSEHIANTVGPTAGGIMNATCGNVPELIIALFALHKNKMEILKWSLLGSILSNLLLVLGSSLLFGGIVNIGKERPLDKRQADVSIGLLLLGVLCHIATLVSKYTSSTGDSINSSSVMQLSRSCAIVMLIAYFGSLVFQLKTHRQIFELEEGYNVLIKNETWDSSDSSSSEDDATDKSVIGFASAMVWLIGMAVVTAMLSSYVVTTIEEASESMGIPVRFISIILLPIVGNAAEHAGAIIFAFKNKIDISLGITLGSATQISMLVVPVILIVSWVNAIPMDLDFNLLETGSLAMAVITTAFTLQDDKWHYLKGLNLVFSYIVIAVCFFVMKALPSIDGDALAMAMVVRKLGIMAWINEEALSKPSDQVKVQTYHEGLSELIRSVFAACNPNRPITHTPSGQTPLPLLS >ORUFI02G14220.1 pep chromosome:OR_W1943:2:11584233:11584842:-1 gene:ORUFI02G14220 transcript:ORUFI02G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRASKGGGNDIMRGRPQGLGRGVDGRRTTTCDIIVLFPLGELRTMAWLSRPALSQRNRESFGDELLDYSTGKLSPIGWWAWSGNNLDVGGLSLIRCYRVLIQWASASSRKNLGWYGPDNKLLGYVDVGRGGHLPLVLSLSPPPPHTEYRCHLCLLDMRSGRWLSRRPLMLL >ORUFI02G14230.1 pep chromosome:OR_W1943:2:11586420:11587964:1 gene:ORUFI02G14230 transcript:ORUFI02G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLAVRSLVVLFLTVASPFLVAGGGGAKLNASSSSSPLYGIEFPPFNAGVADGGCDGKLMVQGMEEVSRSPSLKLHMTHRSAAEAAAAGRTRKESFLDSAGKDVARIHTMLRRVAGAGGGRAATNSTPRRALAERIVATVESGVAVGSGEYLVDLYVGTPPRRFQMIMDTGSDLNWLQCAPCLDCFEQRGPVFDPAASLSYRNVTCGDPRCGLVAPPTAPRACRRPHSDPCPYYYWYGDQSNTTGDLALEAFTVNLTAPGASRRVDDVVFGCGHSNRGLFHGAAGLLGLGRGALSFASQLRAVYGHAFSYCLVDHGSSVGSKIVFGDDDALLGHPRLNYTAFAPSAAAAADTFYYVQLKGVLVGGEKLNISPSTWDVGKDGSGGTIIDSGTTLSYFAEPAYEVIRRAFVERMDKAYPLVADFPVLSPCYNVSGVERVEVPEFSLLFADGAVWDFPAENYFVRLDPDGIMCLAVLGTPRSAMSIIGNFQQQNFHVLYDLQNNRLGFAPRRCAEV >ORUFI02G14240.1 pep chromosome:OR_W1943:2:11595239:11595472:1 gene:ORUFI02G14240 transcript:ORUFI02G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVAKSPNPLSPPPPPPHGSSCRLARSNVGGDLLHGGAGCDLLCVVPAQVGNSDCAARLAVLLSRQATDGIDDDQI >ORUFI02G14250.1 pep chromosome:OR_W1943:2:11614488:11615439:-1 gene:ORUFI02G14250 transcript:ORUFI02G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEERPCRSARLDDEPEKRGRREPAQYDLAPALSPHRPVSPRLLGTLLSRLPDACRGVALLDLLAPDLPTSALLILYNLLLCSTCRAGCSASPPASSWICGTEGSPPTRSRTPRSSRRSPARATSTTHSPFCHSWRTTPWLPTSCSSPTSSTSPSARATRPRRSRSSPVFGARGAAGIKPDLKAYNAAIAAYCKSDLLRDTNRLLLHDMPSDGVAPDAESYSPMRRAGVAPSVVTYNTMLRVYGDAGLFGEAVHLFGLMRSAASDGSDRGGSIIKPNVVTYNTMIAIYGMSLGDEKAGSLVQDMQANGIGVQKFR >ORUFI02G14260.1 pep chromosome:OR_W1943:2:11616302:11616835:1 gene:ORUFI02G14260 transcript:ORUFI02G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSDSATGGAAAAQAHGSDFDSIDPLFHVLRVLPFSFLRPPRTRLRLPSNLALPSPMTVFALILLTYFAVVSGLVYDVIVEPPGIGSVQDPATGAVRPVVFLPGRVNGQYIIEGLSSGIMFVIGGIGIILLDLAVDRNRPRSLRVSFGGSGVAAIVIAYAMAMLFLRIKIPGYLW >ORUFI02G14270.1 pep chromosome:OR_W1943:2:11626293:11627057:-1 gene:ORUFI02G14270 transcript:ORUFI02G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDHGYYGGRDSPPQGYGYGGGYGYGGGYGYDAGGYYSGGGGGYPSAGAAAAAYEDPMVGRRTHDFPAPMNELEFQPSATCPKNYVIFDQTCTKSRVMFHPSLAHKFGGGSSAYDNNVYGGGGAHDAAKGAYRDSVGYDDDDSCSVRQKEDTDEIDALLSSEDGDEDDVVSTGRTPGYRDGSSPDSTCSSSYGGGQARPGRKKERMKKMVRTLKGIIPGGNQMDTPAVLDEAVRYLKSLKVEVKKLGVRGSDN >ORUFI02G14280.1 pep chromosome:OR_W1943:2:11650989:11655191:-1 gene:ORUFI02G14280 transcript:ORUFI02G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSSSAGRRLAGNLSLRPGVASIIPGLPDDVAAVILCLLTFPDQSRLRATSRAWRLLLSAATLLPLRRSLRLPRRHLLCLFPTHYGLANFAAVAVGGQIYVLGGSRFDARSYPLDNPSPSAAAYRLDLALSRHRWERLPDMRVPRGSFACAPAPSGGVIVAGGGSRHPTFPSYGSRTSGVELYDAAARAWRVTAAMPRDRAGCVGFVAHGAGDGREDEFWVMGGYDGYTTVGGVVPSDVYCRDAVALGLWSGKWREIGDMWEEWERERLGPVAVISAEDGRVTEVFMLDGHDIFRYNFSSNSWSKEATLRRKIPSTQSCGFIAMNGELYVLTSAKLPVETSSPWKQSKKRLALEFQVYNPAAKMWRVLTTHPPVNVPIDFRTAALCTVEL >ORUFI02G14290.1 pep chromosome:OR_W1943:2:11693369:11694282:1 gene:ORUFI02G14290 transcript:ORUFI02G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSGNNKDCEEWFFDSKITQIPPTKFSQIPYPHTKIFSNSIQTGRVFKRDFGRELRCLHVHVDLTLSRLIC >ORUFI02G14300.1 pep chromosome:OR_W1943:2:11696019:11715921:1 gene:ORUFI02G14300 transcript:ORUFI02G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDMLVSAALEEVCARLSLGLPVTEMWTALSSVFNMAGLPMDLAVKRVLFARLIALPVISLVVEGVLAHPPRMDMEEAERYGARLLASRPLRDNFLGIYDHRCSASKLSDIQRKTLELIGASRTSGLTQRSLSKEAEIKGNNFHYVVKTLKSQGLIVGKQAIAKINTQAKRKAVSQDKHVISTNSLYLSRYAKNLNMNSYQRIEITKPKLECNEETNIDALQEDETLSVDYKNDVSIHDYLPAMKAICDKLEEASGKVLAVSDIKKDLSYRMQPGHRAWRNVLHGLLGAQLVEKIAANVDDKIVHCLRLLKRFNPDEFKPKGTISNYKLGKKGLETDQVMELPLDNCIYDMIYAQGPKGITLIELGKRLGGKYNNLKELHDRVSSMHDRFNLTVEVEVVGKSKQGRVWTSKNFSLYNATLRNCDVPDDHDYCSVWPLIPSEEPTSVSPYGFVVNNKLLFEEDCHDEPPVHRLLNSHEACVGVSQPVEQDKVAFQRKRHCWPTSISDDRRQKRIIHILKKHSFVLMVELHKWLERVEKENRKIFDRKTLIHTLDKLQQEGAAAKLKQKQDTAAIHGLRVQRRVKVKKISISEAIHDNGFIAAIKKMPLELFLQVVGSAKVHTLIKKCSLGKTLSEIPTDIYNQLMDTHAKGRLSRLINILDKLKLIGLLNGYIEDSNVQPDDLPTHSLELRPYIEEPTPRIILSSHLSKLESVDTYWETLKYSYVTAGSAETSAFPGCCIPEVSHPRSWSSVRVMTTDQRLELQQRLMNESETGKLSYKVCHTIAKELNLSLQQVLCASSRQLHGQASMSGTQNQRKFSSRSTSQKRKRSAIEISMKFIKQKAEASGSAEQRKEITDIISSTSTERGFPEHAKLSRHSSSIHESKSMPILFRSHENVIKFNEAEITKRGVCKSLAISNALELLKVFFLSSSSGSEVQATLTATFQLYSEREILTAVSFLREKNFLVRELLISPSLPSEGVGETDEPSSFRPFIKDSSELDDCTHKRKAVELISSKSKKRKPLPKIDTDFCYRREKGFPGIQVALNQERIQTSNLMQVLHHKECLMFTLAREMSSKDVDSQVERSEMLTDLNNSRMQYIELVVDTLERFQLAIKETVKKLGDGHIVSVLNVQSKSSSHLHSQSPGDDEGTSTWHWGSGCSHVCKSHIYHPILPWLNSDGSINSTVYECLSHRIIGYVMQYPGIMEEDVINWLDVLNPQTCRTLLGKLTIDKHLYVRVFDEPVPTAPTTLQNILRQGHNREPSKCGRRYFANPMSTFML >ORUFI02G14300.2 pep chromosome:OR_W1943:2:11696019:11715921:1 gene:ORUFI02G14300 transcript:ORUFI02G14300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDMLVSAALEEVCARLSLGLPVTEMWTALSSVFNMAGLPMDLAVKRVLFARLIALPVISLVVEGVLAHPPRMDMEEAERYGARLLASRPLRDNFLGIYDHRCSASKLSDIQRKTLELIGASRTSGLTQRSLSKEAEIKGNNFHYVVKTLKSQGLIVGKQAIAKINTQAKRKAVSQDKHVISTNSLYLSRYAKNLNMNSYQRIEITKPKLECNEETNIDALQEDETLSVDYKNDVSIHDYLPAMKAICDKLEEASGKVLAVSDIKKDLSYRMQPGHRAWRNVLHGLLGAQLVEKIAANVDDKIVHCLRLLKRFNPDEFKPKGTISNYKLGKKGLETDQVMELPLDNCIYDMIYAQGPKGITLIELGKRLGGKYNNLKELHDRVSSMHDRFNLTVEVEVVGKSKQGRVWTSKNFSLYNATLRNCDVPDDHDYCSVWPLIPSEEPTSVSPYGFVVNNKLLFEEDCHDEPPVHRLLNSHEACVGVSQPVEQDKVAFQRKRHCWPTSISDDRRQKRIIHILKKHSFVLMVELHKWLERVEKENRKIFDRKTLIHTLDKLQQEGAAAKLKQKQDTAAIHGLRVQRRVKVKKISISEAIHDNGFIAAIKKMPLELFLQVVGSAKVHTLIKKCSLGKTLSEIPTDIYNQLMDTHAKGRLSRLINILDKLKLIGLLNGYIEDSNVQPDDLPTHSLELRPYIEEPTPRIILSSHLSKLESVDTYWETLKYSYVTAGSAETSAFPGCCIPEVSHPRSWSSVRVMTTDQRLELQQRLMNESETGKLSYKVCHTIAKELNLSLQQVLCASSRQLHGQASMSGTQNQRKFSSRSTSQKRKRSAIEISMKFIKQKAEASGSAEQRKEITDIISSTSTERGFPEHAKLSRHSSSIHESKSMPILFRSHENVIKFNEAEITKRGELLISPSLPSEGVGETDEPSSFRPFIKDSSELDDCTHKRKAVELISSKSKKRKPLPKIDTDFCYRREKGFPGIQVALNQERIQTSNLMQVLHHKECLMFTLAREMSSKDVDSQVERSEMLTDLNNSRMQYIELVVDTLERFQLAIKETVKKLGDGHIVSVLNVQSKSSSHLHSQSPGDDEGTSTWHWGSGCSHVCKSHIYHPILPWLNSDGSINSTVYECLSHRIIGYVMQYPGIMEEDVINWLDVLNPQTCRTLLGKLTIDKHLYVRVFDEPVPTAPTTLQNILRQGHNREPSKCGRRYFANPMSTFML >ORUFI02G14300.3 pep chromosome:OR_W1943:2:11696078:11715921:1 gene:ORUFI02G14300 transcript:ORUFI02G14300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDMLVSAALEEVCARLSLGLPVTEMWTALSSVFNMAGLPMDLAVKRVLFARLIALPVISLVVEGVLAHPPRMDMEEAERYGARLLASRPLRDNFLGIYDHRCSASKLSDIQRKTLELIGASRTSGLTQRSLSKEAEIKGNNFHYVVKTLKSQGLIVGKQAIAKINTQAKRKAVSQDKHVISTNSLYLSRYAKNLNMNSYQRIEITKPKLECNEETNIDALQEDETLSVDYKNDVSIHDYLPAMKAICDKLEEASGKVLAVSDIKKDLSYRMQPGHRAWRNVLHGLLGAQLVEKIAANVDDKIVHCLRLLKRFNPDEFKPKGTISNYKLGKKGLETDQVMELPLDNCIYDMIYAQGPKGITLIELGKRLGGKYNNLKELHDRVSSMHDRFNLTVEVEVVGKSKQGRVWTSKNFSLYNATLRNCDVPDDHDYCSVWPLIPSEEPTSVSPYGFVVNNKLLFEEDCHDEPPVHRLLNSHEACVGVSQPVEQDKVAFQRKRHCWPTSISDDRRQKRIIHILKKHSFVLMVELHKWLERVEKENRKIFDRKTLIHTLDKLQQEGAAAKLKQKQDTAAIHGLRVQRRVKVKKISISEAIHDNGFIAAIKKMPLELFLQVVGSAKVHTLIKKCSLGKTLSEIPTDIYNQLMDTHAKGRLSRLINILDKLKLIGLLNGYIEDSNVQPDDLPTHSLELRPYIEEPTPRIILSSHLSKLESVDTYWETLKYSYVTAGSAETSAFPGCCIPEVSHPRSWSSVRVMTTDQRLELQQRLMNESETGKLSYKVCHTIAKELNLSLQQVLCASSRQLHGQASMSGTQNQRKFSSRSTSQKRKRSAIEISMKFIKQKAEASGSAEQRKEITDIISSTSTERGFPEHAKLSRHSSSIHESKSMPILFRSHENVIKFNEAEITKRGELLISPSLPSEGVGETDEPSSFRPFIKDSSELDDCTHKRKAVELISSKSKKRKPLPKIDTDFCYRREKGFPGIQVALNQERIQTSNLMQVLHHKECLMFTLAREMSSKDVDSQVERSEMLTDLNNSRMQYIELVVDTLERFQLAIKETVKKLGDGHIVSVLNVQSKSSSHLHSQSPGDDEGTSTWHWGSGCSHVCKSHIYHPILPWLNSDGSINSTVYECLSHRIIGYVMQYPGIMEEDVINWLDVLNPQTCRTLLGKLTIDKHLYVRVFDEPVPTAPTTLQNILRQGHNREPSKCGRRYFANPMSTFML >ORUFI02G14310.1 pep chromosome:OR_W1943:2:11718586:11719835:1 gene:ORUFI02G14310 transcript:ORUFI02G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWVPQMLKWDSGFKMWQKQLSKDPIEDANYIFFGPLGGGFYVLNNKEDLLVYAPKANDRHGELTMSSVNKYQLRRNPRPTMPEPGEVLARYLVESRGHLLMVVRFVSTEKATVAFDVFKLELKPPSWKKLTLDTLADQTIFLGRGCSFAVEMRKSSQCPPNIYFLDDSVSFSGAGSSTSQAQQVQGPFPCGDTGRCCEQGIVRCPAREPPSDSSPWTWFYLPPYVAFLEWFKTQAIKQLEQVLSKFLRISNVNLIGNCCAKMKHDSAVLI >ORUFI02G14320.1 pep chromosome:OR_W1943:2:11721050:11722291:1 gene:ORUFI02G14320 transcript:ORUFI02G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAELPKECLAGILRYLPCLPDKAMFSGVCRRWRSVASAHLTPMQPWLFMPSATAISFFCVACERTHHHQSPRLPDDARGARFCGTFLECWVAAAEIPYDEPLPWNRFPALLNLRTGERVLLPRDLRTNNPGSTAINRIQTFVLSDSPSQTYRYWVAAVVSGKPNLMFWSNNMNEWAPPMLKWDSGFKIWQKMLPKDPIEDVKYFYGGPLGGGFYVLNNKEDLLVYTPKADDEHGELTMSSVKKFEVRRNPRSTMPGPGEVLGRYLVESQGDLAMVVRFVSTEKATVAFDVFKLELEPLSWKKITLDAFTDRRIFLVRGFSMVVEMRNPCLPSIYFLDDSARIDGAGASTSQAQQVQGPFPCGDTGRCCEQGIVRCLPREPPSDSSPWTWFYLPQNDGLREWYEMHVFKQQE >ORUFI02G14330.1 pep chromosome:OR_W1943:2:11727020:11730356:-1 gene:ORUFI02G14330 transcript:ORUFI02G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPPPTEMAEGEVESRDWAEMPSDALAAVFGKLDVTDLLVGAGVVCRAWRRLAAIDPTLWRRVDMSHQGDIMEVDEAVAMARAAVDRAAGTMEAFWADSFVTDGLLLYISERASSLKSLQLSMCLNVSNEGMAEAMKGFPRLEELDITFCSLYGDVCASVGKACPELKCFRLNERYTLQMDYAAPDIMDDDTEALGIASNMPKLRELQLIGNKLTNDGLMSILDHCQHLESLDIRQCYSIQMDDALKSKCSRISDLKLPHDSISDFKYRAYIFSSSAFSGSDLELDMYDDLLDVVTDDDDADFDDMDDYDDGGSDGGMYDEFDI >ORUFI02G14340.1 pep chromosome:OR_W1943:2:11735219:11739718:1 gene:ORUFI02G14340 transcript:ORUFI02G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFSKESCPSVKNILLLDSEGKRVAVKYFSDDWSSNASKLAFEKSVFTKTLKTNARSEAEITLFDGYIVVYKFVQDLHFFVTAGDDENELIIANVLQGFSDSVGLLLRGDVEKRTALENLDLILLCIDEIVDGGIILETDANTIAGKVATNAVDGSAPFSEQTISQALATAREHLARSLLK >ORUFI02G14340.2 pep chromosome:OR_W1943:2:11735219:11737481:1 gene:ORUFI02G14340 transcript:ORUFI02G14340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFSKESCPSVKNILLLDSEGKRVAVKYFSDDWSSNASKLAFEKSVFTKTLKTNARSEGISFLALLHVLSLHTMAHAFATLTIWHITHSAS >ORUFI02G14350.1 pep chromosome:OR_W1943:2:11740432:11744556:-1 gene:ORUFI02G14350 transcript:ORUFI02G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPEWADLPVDAVLAVFERLGAAEVLMGAGVVCRSWLRAATREPRLWRRVDLTACFDPTVDMEAMARAAVDRAGGRLEHFAAERFVTDELLLYVAKRTSCLKSLRLRDCIKISEKGLVAVGKTSPCLEELELTTCTISILLKAVGEAFPNLKCLRLNHRWFDVQFDEFRDNFHALGIACSMHRLRHLQIFANRLRNNALAAILDNCPHLESLDLRQCFNVDVDAEVRAKCARLKDVRFPNDSTKDYEYETFIETPSLDSLPLPFPAAVPQWPFHGNDEDDDNDGDQDDDDDGDQDDDDLGGHRVTEYGFIIGDYHVRGRIIHHE >ORUFI02G14360.1 pep chromosome:OR_W1943:2:11746482:11751770:1 gene:ORUFI02G14360 transcript:ORUFI02G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGPPTPHLQDLSLPAGSPSSPRGGIWSCTSATAQLEQSTGWSGLGSGTDWTRRRWYTSGQSLVRIYFRQASRPDLGPCSGNHWIWGLRGWMPSPASSLRWQSPDLGPLRLDSVDGSFGARMENNHPSSADSSPSPDRSTGFQAACIAASRHGGCTVLGFSYGSFVVFVACCGVLCVVDSGRGPEADYDPRVGMVMQMAWYQHQACVMELELRNFNYQDRRSRCLEWHCEFVILNTIEVVHGAALGTMTSLEDRIRTKQTGL >ORUFI02G14360.2 pep chromosome:OR_W1943:2:11746482:11751899:1 gene:ORUFI02G14360 transcript:ORUFI02G14360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGPPTPHLQDLSLPAGSPSSPRGGIWSCTSATAQLEQSTGWSGLGSGTDWTRRRWYTSGQSLVRIYFRQASRPDLGPCSGNHWIWGLRGWMPSPASSLRWQSPDLGPLRLDSVDGSFGARMENNHPSSADSSPSPDRSTGFQAACIAASRHGGCTVLGFSYGSFVVFVACCGVLCVVDSGRGPEADYVFSPCEIGKLYGLLNYYNIIVKYDLTIGCIKNQYDPRVGMVMQMAWYQHQACVMELELRNFNYQDRRSRCLEWHCEFVILNTIEVVHGAALGTMTSLVMEQSS >ORUFI02G14360.3 pep chromosome:OR_W1943:2:11746482:11751899:1 gene:ORUFI02G14360 transcript:ORUFI02G14360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGPPTPHLQDLSLPAGSPSSPRGGIWSCTSATAQLEQSTGWSGLGSGTDWTRRRWYTSGQSLVRIYFRQASRPDLGPCSGNHWIWGLRGWMPSPASSLRWQSPDLGPLRLDSVDGSFGARMENNHPSSADSSPSPDRSTGFQAACIAASRHGGCTVLGFSYGSFVVFVACCGVLCVVDSGRGPEADYDPRVGMVMQMAWYQHQACVMELELRNFNYQDRRSRCLEWHCEFVILNTIEVVHGAALGTMTSLVMEQSS >ORUFI02G14370.1 pep chromosome:OR_W1943:2:11756292:11759420:-1 gene:ORUFI02G14370 transcript:ORUFI02G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAEAAGPLRRALFVLAVLALLLVAAPAAEAWTGEIRGHVVCDVCGDAAIGPEDHVLEGAEVAVLCITRSGEVINYQAFTNSKGVYIVAETMPESDRWESCLARPISSFHQHCTKRGDTHSGVKFTYSKPSGNSHTVKTFLYKPANAPLYCS >ORUFI02G14380.1 pep chromosome:OR_W1943:2:11761533:11761784:1 gene:ORUFI02G14380 transcript:ORUFI02G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGAHAMLMVVGEVIKRESFELFCVLELVLATKLRKQEGGGGLARRWRRKEREREIGTVLASPMSGLVRWIKHVATVHIEQA >ORUFI02G14390.1 pep chromosome:OR_W1943:2:11770460:11772821:1 gene:ORUFI02G14390 transcript:ORUFI02G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQSARRAAGPAASRLFSTASVAAAGRSPVAGLPKALPRPAISSLWMVGAAAPPRRFAGTAAVGGVDVTAPTATPPPAKKEESEKEAASYWGVAPTRLVKEDGTVWKWSCFRPWDTYEADVAIDLTKHHNPATLGDKVARWTVKSLRWPVDLFFQRRYGCRAMMLETDIHYQGHALREVAAPLGYH >ORUFI02G14400.1 pep chromosome:OR_W1943:2:11774943:11779065:-1 gene:ORUFI02G14400 transcript:ORUFI02G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVRNNKVSEPTIRGIPYQKARWMTHSGSSDVQRLDPSIGGGFTGGACQVFGEMPSWLGAGAGAVLRVQVSQVLYPMTSEVLHQVYNGYGAVAVQVLATTHASFPGNGANVMPTKCSTLGPSYATTTSGAKSIPAATERVFPATKASLASSTSSTTMTTPAPSTETKDVGAGMDKEVLKSEKTTQDLNTKMMAMINKMLETCRNTKEDYTVSVDCNGDATALSVNIDPVPILSEVSNEANSTHLVNTNKLSMVTVKPTKGLTKSKKEKVDGDVGGMVTDNCVKFTKVDTKLIFVFRPFTDVCLTLCRSNHIVVTNLPVVSSECEVCCDDFVSGADFTARPQVVPPWRLAVPLDFRFLPWPDIFNQGSGGVVVKLLQPWPPPIQAEVKAKVEKLNLHGQKLKIQIIVTVYSVPKATIEGLQLLGERMLLEEQLKCEVVKSSWYSFSNLLVGDMIDTALPMQSLGQLVSSYNLTRFENENLLVQQVMSWCRFKFSANYFLSKPYQWRKYMVDAPAYQGFPFQGMIKQQIDGVDKMLLYCHQISIVYCSVSENIVYDVTGTPVMPSKWIHVVAVGRTWLLSAFALINFLDAGTVQLAVKLVHVKIAEMTRIRSWDPGIVNLVTIIACQISTEVKKGGSDTLDLSSVCKYKNAHDSIQVGTVSSISLSLTIFVVLPYRKLGTSIKIPNYNSGQMEVQCIHQSASFVTSIGMESSLVVAFYGSAGAYGLALDDHLQLPWDPGGTDLELQLHQLGDKLIFKAGRMLCNWVGLAHPYYAAAIVSGKPNIMFWRPGMSDWVPPMLKWDSGFKMWQKQLSKDPIEDANYIFFGPLGGGFYVLNNKEDLLVYTPKANDRHGELTMSSVNKYQLRRNPRPTMPGPGEVLGRYLVESRGQLLMVVRFVSTEKATVAFDVFKLELKPPSWKKLTLDTLADRTIFLVRGCSCAVEMRKSSQCPPNIYFLDDSARFNGAGSSTSQVQQVEGPFPCGDTGRCCEQGIVRCLPREPPSDSSPWTWFYLPPYEALSRKWFMEQLIKQGEQLRLQEHQDG >ORUFI02G14410.1 pep chromosome:OR_W1943:2:11781172:11781696:-1 gene:ORUFI02G14410 transcript:ORUFI02G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGVCKPWRSIAQQQQQQHPPCNLPWLLMPSTAATSLFCVVSEDTHRPGLLGDARCARFCGSFPGAWLAAELPESRGRGPVLLDLCTGECVALPRGCEAGESSSADSASSPSTTSGRGPPCPLCMYFLVLARNLVLMVIRFVSTRETAFDALQAGARRRAAAASLEEARNRRP >ORUFI02G14420.1 pep chromosome:OR_W1943:2:11788300:11794092:-1 gene:ORUFI02G14420 transcript:ORUFI02G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRETLDFSRWCLGIGSRYDMLTEISRRERNAGIKPDPEIDAFMKATAMQGQETNIITDLILKVLGLDICADTIVGDEMIRGISGGQMKRVTTGEMLTGPARALLMDEISTGLDSSSTFHIVKFIRHLVHIMNETVMISLLQPPPETYNLFDDIVLLSEGYIVYHGPRENILEFFEASGFRCPQRKAVADFLQEVTSKKDQQQYWFLDKEPYCYVSVPEFAERFKSFYIGQQMMKEQHIPFEKSKIHPAALTTMKNALSNWESLKAVLCREKLLMKRNSFLYIFKVTQLIILAFLSMTVFLRTKMPHGQFSDGTKFLGALTFNLITVMFNGLSELNLTVKKLPVFYKHRDFLFFPPWTFGVANILIKVPVSLVEATVWVVITYYVMGFAPAAGRFFRQFLAFFVTHLMAMALFRFLGAILQTMVIAISFGMLVLLIVFVFGGFVIRKTKMQYRSTNFWPVGGPFWALILQKLALLAIRSANALVIDEHNETELYTETRNEEHRSRTSTTTSSIPTSANGEGNRPTQSQFVLPFQPLSLCFNHLNYYVDMPSEMKQQGLMESRLQLLSDISGAFRPGLLTALVGVSGAGKTTLMDVLAGRKTSGTIEGSITLSGYSKKQETFARISGYCEQADIHSPNVTVYESILYSAWLRLPSDVDSNTRKMFVEEVMALVELDVLCNAMVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTLLLLKRGGRVIYAGELGDHSHKLVEYFETILGVPSITEGYNPATWMLEVSSTLEEARMNVDFAEIYANSLLYRKNQELIEELSIPPPGYRDLLFATKYSQSFYIQCVANLWKQYKSYWKNPSYNSLRYLTTFLYGLFFGTVFWQKGTKLDSQQDLYNLLGATYAAIFFIGATNCMSVQPVVSIERAVYYRESAAGMYSPLSYAFAQASVEFIYNIIQGILYTVIIYAMIGYDWKASKFFYFLFFIVSSFNYFTFFGMMLVACTPSALLANILITFALPLWNLFAGFLIFRKAIPIWWRWYYWANPVSWTIYGVIASQFGGNGGSISVPGGSHVAMSQILEDNVGVRHDFLGYVILAHFGFMAAFVLIFGYSIKFLNFQKR >ORUFI02G14430.1 pep chromosome:OR_W1943:2:11804115:11805022:-1 gene:ORUFI02G14430 transcript:ORUFI02G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRHHAALVASASGRSPSWGSAISQSFRQVEAEDPFRRAQSMRGHDEEEEDLRWAALEKLPTYDRMRRGVVRSALLRDGDDDHKDDDDAGTGKAVELVDIGRLATGDAARALGWH >ORUFI02G14440.1 pep chromosome:OR_W1943:2:11808895:11809119:-1 gene:ORUFI02G14440 transcript:ORUFI02G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVTLMLSPPSRYDDRCAWPPWVFKTDGSGHVPTNPTPYSQIPCRHFGLSMYKCRPSLVLSGQIHKNIGGDV >ORUFI02G14450.1 pep chromosome:OR_W1943:2:11809153:11809574:1 gene:ORUFI02G14450 transcript:ORUFI02G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRRRWPQPHDDDIFFFVFCLTWYSGSPEFLGQRSSSCEMPVLQGRFHGSGIGQYMVKKGWLHATVDCNASCYVLMLGVDWINGSMVAH >ORUFI02G14460.1 pep chromosome:OR_W1943:2:11825576:11834921:-1 gene:ORUFI02G14460 transcript:ORUFI02G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLYVSLFWYSIQVEKRMKLMKIVKIVFQSIEYLCFYFCQFSEYHHTHIYAPSLVGLHLEAIVTPHQNCDDRCSNTYSGYCEDENCHGCHGMLGETGNDSANTLIPNEWCVATDLWPLACILKQCPVLNKLTLRNSKKHNALVKSAAISEHLKL >ORUFI02G14470.1 pep chromosome:OR_W1943:2:11834967:11835149:-1 gene:ORUFI02G14470 transcript:ORUFI02G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEASEEDAIEDLPDEIVHHILSYLPADEAMMTCILSRRWRNLWKSTCVLLIIDMQRWKS >ORUFI02G14480.1 pep chromosome:OR_W1943:2:11843305:11851626:1 gene:ORUFI02G14480 transcript:ORUFI02G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREDHTKSSEPAFIPSKRTHQMRNIWAWGGPSSTTVNGSSDAVLFSSSLPSVLQFGKLPGKEREYNAQPKDDMFPMMKQPGTNARVADPMDDVAQHLIGNLLPDDEELLAGVIEDFDHVKLRTQVEESEEYDVFRNSGGMELDIDPLESITFGTAKASLVNGTGSSTNQYSIQNGAGTVTGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEPFGDIRSMYTATKHRGFVMISYYDIRHARNAKTALQSKPLRRRKLDIHYSIPKENPSDKDMNQGTLVIFNLEPAVSNEELLQIFGAFGEVREIRETPHKRHHRFIEFYDVRAAESALRSLNKSDIAGKRVKLEPSRPGGARRSFIQHFNHEFEQDETKHNSFQIGSPSANSPPSLWSQLGSPTDENKLNALNETAFNGGMSPLGSNHLSGFSSGYPPMKSPVGKSSYWNNRADNIFHGSPTLHNSHSFPEHHGGIISASPLVSSAASSASTASGFTALTGTSFLWGNNNNLRDHGQPSSIQSQALSNSLFPNNQPQRQSNLYQNLRGSFGASEHFSQFNVGSAPSVFPFESNFGYFSDSPDTSYMRQGKFSGTGPTRVSGSLMTNFGAYPRINVASMQNGSVGFEGLLDRGRNQTVGNSGCQEDSRVQYQLDLEKIIAGKDTRTTLMIKNIPNKYTSNMLLEVIDETHEGTYDFFYLPIDFKNKCNVGYAFINMASPGYIVSFFKAFAGRKWEKFNSEKVVSLAYARIQGKAALVNHFQNSSLMNEDKRCRPMLFDPKHTENNNQILLNGIFISMAQQDATQERHDLPENPREDNFS >ORUFI02G14490.1 pep chromosome:OR_W1943:2:11853296:11853550:1 gene:ORUFI02G14490 transcript:ORUFI02G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVRMRVVLVVLVPVMLASSLQEAYAGRSRSPGTSGLSKGLSRLPGTSGLSKGVMGCNTLGKCDAGDKTGLAKVFNFNFGHG >ORUFI02G14500.1 pep chromosome:OR_W1943:2:11854370:11857384:-1 gene:ORUFI02G14500 transcript:ORUFI02G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRLPHHSSPLVFPCRLSAAAAARTLSTATGSNSTTVKMARSALDEVTDAGAFDRSPSTFRSSISRDSSARFPAVPGRYHLYVSYACPWASRCLAYLKLKGLDHAIGFTSVKPIFERTRETDDHLGWVFPATGDEEPGADPDPFNGAKTIRELYEIASPNYIGKPTVPVLWDKQLKTVVNNESSEIIRMLNTEFNEIAKNPDLDLYPAHLQTSVNEINELVYDAINNGVYKCGFAKKQGPYDEAVTRLYEALDKCEEILSRQRYICGNQLTEADVRLFVTLIRFDEVYAVHFKCNKRLLREYPNLFNYTKDIYQIPGISSTVNMEHIRKHYYGSHPSINPYGIIPAGPNIDYNAPHDRERFSA >ORUFI02G14510.1 pep chromosome:OR_W1943:2:11859690:11860995:-1 gene:ORUFI02G14510 transcript:ORUFI02G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAASAACRAAEKEESRGGCGRGVDDWKWVTARHGWPRAASWGGVEDDRIWPARQRREECSEASLVRRGAAGGSGGRHGARRRSRRRRRRRCWWVRCGLQCTKAGRQGAPVQWSHMSAEVGRWWSIGASDVDSQVRRSVTFSGGRSSASLLLFGVLALLMCGWWVVIL >ORUFI02G14520.1 pep chromosome:OR_W1943:2:11862587:11867416:-1 gene:ORUFI02G14520 transcript:ORUFI02G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSAAYGATSDDDDDGAEATPTPGWAATGYASAAGPPPKRPRWEPPPYLPPPPPYPIPQPARPRAAPPPASTASGRYVSKRERALMAASSSPVDSSSPLPPSAAAQFGSPVVGSISDSNLRADIFHSLRCQTKPGSTRRMPLNLSVSLTGHTKAVNCVDWSQSHAHLLASAGMDHTVHVFNVWNKGNTTARVFKFHTAAVKDVRWSLNGLSLLSGGFDCSLRLVDVEEGKEIKVFKEDQAVEVIKFNPSNSNLFLSGGSKGSLRLWDIRSGLVTKEYQRNLGTILDIEFSSDGKQFICSTDTSRSNVSENSIIIWDTLRQVPLSNQVYTEAYTCPCVRYHPFEASFVAQSNGNYIAIFSAKSPFKLNKYMRYEGHGVWGFPIKCNFSFSGKELASGSSDGCIYFYDYKSSRLLSKIQAFKEPCTDVAYHPVIPNNSNPGITVLRNDK >ORUFI02G14520.2 pep chromosome:OR_W1943:2:11862587:11867416:-1 gene:ORUFI02G14520 transcript:ORUFI02G14520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSAAYGATSDDDDDGAEATPTPGWAATGYASAAGPPPKRPRWEPPPYLPPPPPYPIPQPARPRAAPPPASTASGRYVSKRERALMAASSSPVDSSSPLPPSAAAQFGSPVVGSISDSNLRADIFHSLRCQTKPGSTRRMPLNLSVSLTGHTKAVNCVDWSQSHGHKNSQGRTFTAHLLASAGMDHTVHVFNVWNKGNTTARVFKFHTAAVKDVRWSLNGLSLLSGGFDCSLRLVDVEEGKEIKVFKEDQAVEVIKFNPSNSNLFLSGGSKGSLRLWDIRSGLVTKEYQRNLGTILDIEFSSDGKQFICSTDTSRSNVSENSIIIWDTLRQVPLSNQVYTEAYTCPCVRYHPFEASFVAQSNGNYIAIFSAKSPFKLNKYMRYEGHGVWGFPIKCNFSFSGKELASGSSDGCIYFYDYKSSRLLSKIQAFKEPCTDVAYHPVIPNNSNPGITVLRNDK >ORUFI02G14530.1 pep chromosome:OR_W1943:2:11870324:11872191:-1 gene:ORUFI02G14530 transcript:ORUFI02G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPLDRAVKHPMKICKKLEQQREPEHFLGMYLYQWKPLFKGVETDELELLTRRLKECCAGLRNIRTGVDDQFHCQMETNMLALELRCLYRSASRLQI >ORUFI02G14540.1 pep chromosome:OR_W1943:2:11873653:11878462:1 gene:ORUFI02G14540 transcript:ORUFI02G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSPPSTPSRVQALYDLCKRTFPPPSSSSSSPPPDHAIRAVSSLLDTITPADVGLGDDDVDESNILKDPLSAVTPPFMYLHVYNCDAFSIGIFCLPTSVTIPLHDHPGMTVLTKLLYGSMHVKSYDWVEPAVLASNSNSKPVRLGELHKDDVMNAPCPTAVLYPQSDGNIHCITSVSSCAFLDVVTPDPQYQFESTGHQ >ORUFI02G14550.1 pep chromosome:OR_W1943:2:11879162:11882562:-1 gene:ORUFI02G14550 transcript:ORUFI02G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRSAPESLPPAFLEFLQENGLDPMMYSMVDTIPRYIRLKPGMEPHIPEIQSELTCHLNKVSWLPDFYAIPPQVQIASSMAYQQGKIYGIDAASGAAVLALDVQPGEHVLDLCAAPGAKLCMLADMLGGRGSLTGVDAAKHRLAACRTMLQKYSLGDRCRLFVADGTSFSILPVNTSLGNGEGSTCHKDNGSTLSEWTSKRSWKDRQKSKKARMAGSPHLTSTSEPELIYYGKHSGLVGLRKCDAIRPSADDEAQTSGYDKVLVDAECTHDGSIKHIQKFEFWGWKTLDRRVLDAERTDDLLHLQLRLLTNGFKLLKTGGSLVYSTCSLTVAQNENVVQQFLCKHSSAELQKIDSADSWPCSSGSIFKTLRFDPATSQTSGLFVAKFTKLQI >ORUFI02G14560.1 pep chromosome:OR_W1943:2:11893197:11898501:1 gene:ORUFI02G14560 transcript:ORUFI02G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSPPSTPSRVQALYDLCKRTFPPPSSSSSSSSPPPDHAIRAVSSLLDTITPADVGLGDDDVDESNILKDSLSAVAPPFMYLHVYNCDAFSVGSSSTWIISLPVALLRPKPLLITLQMVVFHIGIFCLPTSVAIPLHDHPGMTVLTKLLYGSMHVKSYDWVEPAVLASNSNSKPVRLGKLHKDDVMNAPCPTAVLYPQSDGNIHCITSVNFCAFLDVVTPDPQYQFEYTGHGLHRKKQQHLVATMVIVDSFY >ORUFI02G14560.2 pep chromosome:OR_W1943:2:11893197:11898501:1 gene:ORUFI02G14560 transcript:ORUFI02G14560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSPPSTPSRVQALYDLCKRTFPPPSSSSSSSSPPPDHAIRAVSSLLDTITPADVGLGDDDVDESNILKDSLSAVAPPFMYLHVYNCDAFSIGIFCLPTSVAIPLHDHPGMTVLTKLLYGSMHVKSYDWVEPAVLASNSNSKPVRLGKLHKDDVMNAPCPTAVLYPQSDGNIHCITSVNFCAFLDVVTPDPQYQFEYTGHGLHRKKQQHLVATMVIVDSFY >ORUFI02G14570.1 pep chromosome:OR_W1943:2:11905438:11907711:1 gene:ORUFI02G14570 transcript:ORUFI02G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESESPFQQGEIDYAKAAELLSQMLHEEAMLARRVEGFVAASAMTMFLLIILSPWRRWSSNSSIHGVVWLAYTLSFPLVTYTLGLMQYSSVKTLFFPIWATSLFLVSGCTNSMTVYDLDENKQWMRHLFELLQHYFYFMQMSKMFPYVMFHGHEVKTIFATCSALAFIVLSSNILRVKAGWMVNYSYQSKVVADYMRDHSKQQYLQQIDPVSMKGCKYLVRWHDYMVSWNGNSTNSSKHPEEDIITIEMIWEKCNLSSSKDGDTRLKDVCLSFALSHLLKRRFFGMDCAEASLQQTSEFVLEGLLSNDSNNYARAFSIIEVELSFLYDFFFTKYACIFQSDISSFAMVVLKITSTSVLLVLFFFKRPTIDMPSEFPVSLTDMETDESTIDVQVIVILILATFLIMETLQFLFYLGSDWAIISFACNHTRARRFRSIYKHFHCLTRFRFSRSWQDKIGQYSVVRGCWTFPPISGMFEHLFNLGYNRTFTQREKVPLKKKITGMIHSHSFPRKSLHHVKLPGVVKSQIVSTLKSSISRKYLSNGKDSLEQNGVLEMFNWTFQRPTHEETMLIWHIATDYCEIATSAEPDAQHATEQYQYREVATTLSRYCISLMYSAPELLPGNYADTRVTFHSTVLEAKWAMLESATDEGETGERKTKGQRLQEAIRSCSKIERETIFVSGARLGTDLEDMEDGHLRWKVMADFWVETTLYIAPSDNVKAHMQCLAKGGQFLTHIWTILSHAGILSRDQGRRTPEENV >ORUFI02G14580.1 pep chromosome:OR_W1943:2:11909023:11923701:-1 gene:ORUFI02G14580 transcript:ORUFI02G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAAFTSSNGPLTMKKERERARARGDGERKAGSEFPSFLRSNWWCLHQQAFYNVECRRPRPQPPSSGLDSTGNSSDISSVPVHLLPLRQRLDQASWVGFPVSTVLNPHFFSILYTHIVSYLTQHLDLLNDRSPHLLLHHKGRSSEKRLKRIKLFAEDEIKWTCGQVRTVRETNKNLDEIVEYWQRNSSPEVKVYAYGVAQVKKTLEVTHYLRGENYVFWGGREGYQTLLNTDMKRELDHLANFLQATVDYKKNGFRAYNRNLPFYSSRRLWYHDCAYATKAARQGRAPASSVGNGGDNGRLPGRCASIPLFSYLDVT >ORUFI02G14580.2 pep chromosome:OR_W1943:2:11909023:11923701:-1 gene:ORUFI02G14580 transcript:ORUFI02G14580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAAFTSSNGPLTMKKERERARARGDGERKAGSEFPSFLRSNWWCLHQQAFYNVECRRPRPQPPSSGLDSTGNSSDISSVPVHLLPLRQRLDQASWHLDLLNDRSPHLLLHHKGRSSEKRLKRIKLFAEDEIKWTCGQVRTVRETNKNLDEIVEYWQRNSSPEVKVYAYGVAQVKKTLEVTHYLRGENYVFWGGREGYQTLLNTDMKRELDHLANFLQATVDYKKNGFRAYNRNLPFYSSRRLWYHDCAYATKAARQGRAPASSVGNGGDNGRLPGRCASIPLFSYLDVT >ORUFI02G14580.3 pep chromosome:OR_W1943:2:11909023:11923701:-1 gene:ORUFI02G14580 transcript:ORUFI02G14580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAAFTSSNGPLTMKKERERARARGDGERKAGSEFPSFLRSNWWCLHQQAFYNVECRRPRPQPPSSGLDSTGNSSDISSVPVHLLPLRQRLDQASWVGFPVSTVLNPHFFSILYTHIVSYLTQHLDLLNDRSPHLLLHHKGRSSEKRLKRIKLFAEDEIKWTCGQVRTVRETNKNLDEIVEYWQRNSSPEVKVYAYGVAQVKKTLEVTHYLRGENYVFWGGREGYQTLLNTDMKRELDHLANFLQATVDYKKNGFRAYNRNLPFYSSRRRARGAPQPLVWVTAVTMGGSRDAYLDVT >ORUFI02G14590.1 pep chromosome:OR_W1943:2:11926885:11931088:-1 gene:ORUFI02G14590 transcript:ORUFI02G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADARSTPESLPPAFLEFLQENGLDPMMYSMADTIPRYIRLKPGMEAQIPEIQSELKCHLDKVSWLPDFYAIPPQIYGIDAASGAAVLALDVQPGEHVLDLCAAPGAKLCMLADMLGGRGSLTGVDVAKHRLAACRTMLQKYSLGDCCRLFVADGTSFSILPVNSSLGSGEGSTCLKDNGSTLSEWTSKRSWKDRQKSKKARTAGSPHLTSTSEPELIYYGKHSGLVGLRKCDALRPSADDEAQTSGYDKVLVDAECTHDGSIKHIQKFEFWGWKTLDQRVLDAERTDDLHHLQLRLLTNGFKLLKTGGSLVYSTCSLTVAQNENVVQQFLCKHSSAELQKIDSADSWPCRSGSIFKTLRFDPATSQTSGLFVAKFTKLQI >ORUFI02G14600.1 pep chromosome:OR_W1943:2:11934432:11935698:-1 gene:ORUFI02G14600 transcript:ORUFI02G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKGGFMNFLNQGSSSQHSSQNSPSTQFLSTFFKSQFPKSPQFTQASPPNFQTFHPFGPPVNYHLYSSSPPNFQGFQQQAKVVLKSTEETTKSVEAKKEQTRMEKYQTYLKLLEKDTANFSDAKLKRHEAVLKRLATELAEEQMIPNLCLYP >ORUFI02G14610.1 pep chromosome:OR_W1943:2:11936251:11937538:1 gene:ORUFI02G14610 transcript:ORUFI02G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVPVVSSALAGPAIAVARPASGSVTRLRAPHGVPAGSVPLASGLANCHRGAAVAAARRLPISSAAGGGTVFPLAAAKAT >ORUFI02G14620.1 pep chromosome:OR_W1943:2:11937610:11943835:1 gene:ORUFI02G14620 transcript:ORUFI02G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHADAKRQEKHKSPLEFLTTSLGGCLGSLGGKSATGCEVGDAFVIEDTTNVKFPREIAVPGYTEPLVILGTVCNLNFSSLLGYREKFFLKIYAAAFYVDCSIGVDTMRWSEKVGIETFDASSVFVSIFKAPVVKSLSIILIRDVDGKTFVKALDDIIARQIKKPSAEEEQGLSTFQKTFLGRSLKQGTTVYLTWLEPSRLLISISGNQDPCQVDAEITSATVNYALYDGFFGSSPVSPTLRSSTAQLLEAILTNVVVGKKLATTVYDKVAGIGTVVVGKVQQDTNSSSLASNEPATGQHLEKGVTVTAYIADKLRPSNEDHALSEAISGAVQWRKKVVAVAASGDTMTKAREAVTSLTDGKRVSNTMQPVLVRS >ORUFI02G14630.1 pep chromosome:OR_W1943:2:11958087:11959062:1 gene:ORUFI02G14630 transcript:ORUFI02G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRQPEPTRGTPKPPLFVVRGTRRPPPPRSKPEALPFQAQSFGQLQFAQKPAAGRADSSRSGHETKFRHEVDPGVLDRRRCAPKLIRLPCRSTCSTSAVEPVAAEERPLAPSATKAPPQEGSRTPMVAPPMTPMRPVWQRRILMGMRCELPRFSGLILYDEHGRPIRGTTPGRSHPQWKKRNAKAATTLRDLL >ORUFI02G14640.1 pep chromosome:OR_W1943:2:11976094:11976552:-1 gene:ORUFI02G14640 transcript:ORUFI02G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGMSDGDATPCEVSSGRRRSSGVTSVPPRGGAGPAARRVAPPVLPLTEARARLCLVALLLCSRSRGSPPPSPTSSTPCCSPPNKSSGDRVAGGPAREREKKGPHAQAMAVSAWISGGQSDGSSGDGAASGQPDPSEWRWSGAELR >ORUFI02G14650.1 pep chromosome:OR_W1943:2:11976749:11979712:1 gene:ORUFI02G14650 transcript:ORUFI02G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSICRRIKWRARTSELPIMAADKQQWQVPALVQELSATVQEPPGRYVQPEQHRPAAAAAPPASFPIVDLGRLSSPSPDDDGGGGGDEAAKLRRALDSWGLFQVTNHGIEASLMDELMSASKEFFRQPLQVKREFSNLNDGEQFRAEGYGNDKVRSKDQILDWSDRIYLKVEPEDERNLALWPKHPSSFRDALHEFTVRCRRVKRDVLRAMARIAGLDDDEHFIDQLGGRATVHARFNCYPPCPRPDLVMGIKPHSDGTVITVLLVARGADGLQVLRDGVWYSVPSSSSTHALLINVGESMEVMSNGMFRSPVHRVVTSAENERISLAIIRTKQ >ORUFI02G14650.2 pep chromosome:OR_W1943:2:11976749:11979499:1 gene:ORUFI02G14650 transcript:ORUFI02G14650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSICRRIKWRARTSELPIMAADKQQWQVPALVQELSATVQEPPGRYVQPEQHRPAAAAAPPASFPIVDLGRLSSPSPDDDGGGGGDEAAKLRRALDSWGLFQVTNHGIEASLMDELMSASKEFFRQPLQVKREFSNLNDGEQFRAEGYGNDKVRSKDQILDWSDRIYLKVEPEDERNLALWPKHPSSFRDALHEFTVRCRRVKRDVLRAMARIAGLDDDEHFIDQLGGRATVHARFNCYPPCPRPDLVMGIKPHSDGTVITVLLVARGADGLQVLRDGVWYSVPSSSSTHALLINVGESMEVMSNGMFRSPVHRVVTSAENERISLAMFYAVDPERVIEPAAGLVDEKRPTLYKKMKARDFLVGLSKHFSRGTRFVDTLKISP >ORUFI02G14660.1 pep chromosome:OR_W1943:2:11992642:11992809:-1 gene:ORUFI02G14660 transcript:ORUFI02G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFTVEDHALGNTKAHMSGSGLEMPIPPVKRNRMRKNRSRKRSRMKVARRTRSK >ORUFI02G14670.1 pep chromosome:OR_W1943:2:11998408:12003301:1 gene:ORUFI02G14670 transcript:ORUFI02G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKIQLVSPLRKLCGGARQHAHVDQNNARRTTVFHPSSSRSPLIPRSQPVSLARSERPLLQRLTRERERERERGEPQAMAAACSFRSVARAPPPLRGLARRGVARCCSAAPPSGGTSTSSSKLVLEVKERLEREHPGLPTGRNGRDDEEMILWFLKDRKLSVDETISKLTKAIKWRQDFQVSELSEESVKGLYQTGKAYVHDSFDIYGRPVLVVVASKHFPSKQDPVENEKLCAFLVEKALSRLPLGTENILGIFDLRGFQVENGDLQFLKFLIDVFYYYYPKRLGQVLFVDAPFVFQPMWQLVKPLLKQYASLVRFCDVETVRKEYFTEETVPPDFRN >ORUFI02G14670.2 pep chromosome:OR_W1943:2:11998408:12003301:1 gene:ORUFI02G14670 transcript:ORUFI02G14670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKIQLVSPLRKLCGGARQHAHVDQNNARRTTVFHPSSSRSPLIPRSQPVSLARSERPLLQRLTRERERERERGEPQAMAAACSFRSVARAPPPLRGLARRGVARCCSAAPPSGGTSTSSSKLVLEVKERLEREHPGLPTGRNGRDDEEMILWFLKDRKLSVDETISKLTKAIKWRQDFQVSELSEESVKGLYQTGKAYVHDSFDIYGRPVLVVVASKHFPSKQDPVENEKLCAFLVEKALSRLPLGTENILGIFDLRGFQVENGDLQFLKFLIDVFYYYYPKRLGQVLFVDAPFVFQPMWQLVKPLLKQYASLVRFCDVETVRKEYFTEETVPPDFRN >ORUFI02G14680.1 pep chromosome:OR_W1943:2:12003846:12004933:1 gene:ORUFI02G14680 transcript:ORUFI02G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICMSSVAVWKEKVVYAGRRRGEVAGAEDVGGVGAGGGCGGPYGLLGGDADADVLEIEEEAAEKVVKCGAEAEAALTVAAGGTPARPIWQRKVLMGVKCQLPRFSGMILYDERGRPVCSGVRDRARDKEKHAAAIMVLRDML >ORUFI02G14690.1 pep chromosome:OR_W1943:2:12035559:12039687:1 gene:ORUFI02G14690 transcript:ORUFI02G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLVAEAAVAAVLLFKTPLRKLAVMGIDRLKRGRRAPVAVKTVAGVVLALLASTLYSMAEISGRAGGDPESGGGGGGGASLSTTDQVLFSRHLLEASLMGYSLFLALVIDRLHQYIRELRGLKKNVEAVTKHNKMLEEAKHGRSEETKKYQEEIGALNEDMKKLKLQVQEKTEEVHVAEDKALAIRKQSESLLLEYDRLLEDNQHLREQLQSIDHRLSSPK >ORUFI02G14700.1 pep chromosome:OR_W1943:2:12045083:12048191:1 gene:ORUFI02G14700 transcript:ORUFI02G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVYRVF >ORUFI02G14710.1 pep chromosome:OR_W1943:2:12052536:12054617:-1 gene:ORUFI02G14710 transcript:ORUFI02G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGRCIKPRGMSSRRHLRNDAWRHRSSASTMPGGGVVDGIRRWLRRHGRTASAYQPHPSGDGGLEVVPGPFTLPAAIRVPARTSPQPPPSDGQLKKEVS >ORUFI02G14720.1 pep chromosome:OR_W1943:2:12061014:12061927:-1 gene:ORUFI02G14720 transcript:ORUFI02G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTSSGGLDGMRSRRGGSIHRWRVTAGLASDRLDQTPLLPHSLGFFSPNNQRTQGGRYGLLLHRKNRSSRAGEPPHENIAIFVDISGKMCHLSSLPSLSKLPLTLMVNLELELICAKLLKEEAVELENEEARGEHDSEARSQGRIRQRTSWSGSLAGG >ORUFI02G14730.1 pep chromosome:OR_W1943:2:12068961:12070753:1 gene:ORUFI02G14730 transcript:ORUFI02G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGEWRRGPVIGRGATATVSIATDRRTGGVFAVKSVDVARAGALRREQGMLSALASPFVVPCVGSGVSAAVDGSGGACYDLFLEYAPGGSLADEIKRCGGRCEEPLIRSRVGDVLRGLAYVHAAGIAHCDVKGRNVLVGADGRAMLADFGCARWMAAEDCNAGGVTIRGTPMFLAPEAARGEAQGTAADIWALGCTVIEMATGGAPWPRFADPVAALHHVAHSVDVPESPAWFSAEGKDFLARCLIRDPAKRWTAEQLLEHPFVASAASDSTSKAVQIEQRVSPKSILDQCLWESTSTDSDTTVALAPADRLRALSAGASVAPDWTWSMDDWIAVCGGRADDHDTTPSPQPDTTTSFFRGDEASSDLVFPGGGETGRGAVAVEATVPLMAKSSEITGVERSIESRVASGSG >ORUFI02G14740.1 pep chromosome:OR_W1943:2:12098788:12106722:-1 gene:ORUFI02G14740 transcript:ORUFI02G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGDGGGGAGAGGGGGGGAKRVPMRRLFTFADRLDAALMAVGGVAAVANGVAMPFLAFLIGELVDAFGAADRAHVVHVVSKISLRFTYVAIGSGIAGFLQVSCWMVTGERQAARIRGLYLEAILRQDITFFDLETSTGEVGKFLQLLSTFLGGFIIAFARGWLLSLVMLSSIPPVALAAAAMSIAISKLANRSQLAYAEAGKLVEQTIGSIRTVVSFTGERRATDKYNEFLKISYRSAVHQGAAMGLGIGSVIYGLAVWYGAKLIIEKGYTGGYIINVLMAIMSGAMALGQSSPCLNAFASGQIAAYKMFATINREPEIDASDRSGLVLENFVGDVEFKDVHFSYPARPEQLIFTGFSISIPSGMTMALVGESGSGKSTVISLVERFYDPQSGEVLLDGVNMKLLNLSRIRQKIGLVSQEPILFTTTIRENIEYGKKDASEEEIRRAIVLANAAKFIDKLPNGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESEHVVQDALNNIMVNRTTIIVAHRLSTVRNADTISVLHRGQLVEQGPHAELIKYSNGAYYQLLQLQEVNARRNGTYELDPNRLSDVANRLSDVANRLSDAANRLSDAGNFVSRHSIRKLSFERSMSRHSSLGGSRRNSQTYALTEDEIEGCDDTKSGKNVLSRLLHLHKPETAILLLGCIAASANGAILPVFGLLLSSAINAFYEPPHKLRKDSVFWAEIYVILGVVSIFIIPVQHTLFNMAGGKLIERIRALSFSRVVYQDIGWFDDPLNSSGAIGARLSADAASVKSIAGDVLSLIVQSISTALVGIVIAMIANWKLAFIVLCFVPCVFAQSYAQSRLMRGFGADAKEMYEQASTIASDAISNIRTVTSFCVGEKIIESYRNKCKGPVKKGVRQGAISGVGYGFSFALLFCFYAVSFYVGARFVHNGTADVGEVFKVFFALTMMAVGVSQSSSLARDFSKVQDAAASIFKIIDRKSKIDASSDDGMAPEKIEGNIEFQHVSFKYPARTDVQIFTNLCLRIPSGKTVALVGESGSGKSTVVALLERFYDPDSGAIFLDGMDLKTLKLTWLRQQIGLVGQEPVLFNGTIRANIAYGKQDQVSEEEIVAVAEAANAHRFISSLPHGYDTSVGERGVQLSGGQKQRIAIARAILKDPKVLLLDEATSALDSESERIVQEALDRVMVGRTTVIVAHRLSTITGADKIAVIKNGVVAEEGRHGRLLRLPGGAYASLVALQSSSS >ORUFI02G14750.1 pep chromosome:OR_W1943:2:12148223:12149834:1 gene:ORUFI02G14750 transcript:ORUFI02G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIFSTNAWLTIALVFIITLAAKVVRSSVTLPAEKTSKPRPPPEAKGAPLVGIIPAVLRRGLQAVIREQHRALGSVFTLRSLGLAVTFLVGPECSDHFFHAPEWEIAIDGLYEVTVPIFGKEVGYDIDLDTRNEQHRFFAKMLRPAKLRGHVLPMEYFGKWGECGVVDLMQEVDHVLMLIASRCLLGKEVRENMFDEVASLFHELMGGMHLISMFFPYLPTPGHRRRDKARAKLGEIFSQIVKTRKMSGRVEDDMLQDLIDSTYGDGRATTDTEVTGLLVALLFAGHHTSSTVAVWTALRLLTHPEHLRAVRAEQERLVAAAEQQRSHHGGGGGGGIDYGVLLQMDVLHRCIKEALRLHPVTPMILRRARRGFTVRDKEGGEYSVPAGRLLASPLVVNTLLPNIYKDPHVFDPDRFAAGRAEDKAVAGARDLAYLSFGAGKHACMGEGYAYQQIKVILSHLVSNFELKLESPFPETEDMLSMRPKGKVIVSYKRRTLS >ORUFI02G14760.1 pep chromosome:OR_W1943:2:12157992:12158249:-1 gene:ORUFI02G14760 transcript:ORUFI02G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMRLWWREAPAGGSRGNLLPCGRKIRVWVEECGRGGVGASQEWGGRERGDGGGAALRWGVGAGPRWGGIAQRRSGPFDMNMSR >ORUFI02G14770.1 pep chromosome:OR_W1943:2:12162759:12164785:1 gene:ORUFI02G14770 transcript:ORUFI02G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRCFTGDRPRQWLRWLPWAEYIYNTAYQSSLHETPFRVVYGRDPPSIRSYEPGETRVTAVALEMEAREAFLADVRYRLEQAQAVQKKHYDRLHRPVSYQVDDWALLRLRQRAASSLPRTTMGKLKPRYVGPYRVTEVINDVAVRLQLPPGARLHDVFHVGMLKKFVGTPPTSTPALPPTLHGTVVPEPARVTRGRLARGIRQVLVQWRDEPDESATWEDLDDFRARYPAFQLEDELDFDGGGDVMYGRTYRRRRDMRRAAERATATSSG >ORUFI02G14780.1 pep chromosome:OR_W1943:2:12184604:12191034:-1 gene:ORUFI02G14780 transcript:ORUFI02G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPISTGSGLPSPMTSLPRRQRSDQPLSRLASATAYLVPLALTSPSPSSSATTAPPHRTTSLMVAAQEQARVSRSLHPLPLPPTLARWRARWCWRPCSPFLAGHEVGWQIGRRGRQPRRRLPTSAVERI >ORUFI02G14790.1 pep chromosome:OR_W1943:2:12192943:12199373:1 gene:ORUFI02G14790 transcript:ORUFI02G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAAARADNFYYPPEWSPKKGGLNKFHGQHALRERARKLDQGILIIRFEMPFNIWCGGCNSMIGKGVRFNAEKKQVGNYYSTKIWSFTMKSPCCKQEIVIQTDPKNTEYVIISGAQRKTEDYDVEDAETLLLPADEERDKLADPMYKLEHQEEDLKKKKEAEPVLVRLQRLSDSRHSDDYALNRALRDRLRSQKKRVAEEKRSARKMGLGVRLLPPSAEDATAAASVKFASKFEKSRRDKRAAIKAASIFPESSSSTSKNKLDLALKRRNIKAGAASALMASRVKPSSWQSAGSGSSRTQMPIMATRK >ORUFI02G14800.1 pep chromosome:OR_W1943:2:12200930:12201325:1 gene:ORUFI02G14800 transcript:ORUFI02G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLGAAAAVLLLWLAVLTFALHGYYGGRLGSARRRNILLQHPALALHLPTRKMLLAVASFDDASSPSSLTTTDRHHHHHRHHGHHHHRGHDRWNRKGVPPTAAGPGEEVDPRFGVQKRLVPTGPNPLHH >ORUFI02G14810.1 pep chromosome:OR_W1943:2:12211254:12212762:-1 gene:ORUFI02G14810 transcript:ORUFI02G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding METISSRASSRTSTSTMQCEWPFSASRLIDLWMCVTWLRMYMKWPRMYVCSQMDVAANWKVVVIHVLYHLCKAFKKIHVRLVKELEKKFSGKDVVFDATRRIVRPLNKGSAVHHPLYQISPRWCKVINVDATTFYNERNNIEYKLETFFAVYRRLCGKDVAFEYPVTESA >ORUFI02G14820.1 pep chromosome:OR_W1943:2:12225081:12227993:1 gene:ORUFI02G14820 transcript:ORUFI02G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLGKAESARGKVAPAGSGGRARMLVTVTVLGSAGPLRFLIDEGETVAGLIRAALRCYAREGRMPLLGADAAGFLLYTANGGSDALSADEKIYFNGCRSFLLWQKAARDTMAKGGRPELANVATCNPCKKRGGGGWKGGLNKFLLSFSFKV >ORUFI02G14830.1 pep chromosome:OR_W1943:2:12228480:12228878:1 gene:ORUFI02G14830 transcript:ORUFI02G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSNKGKEGCNTLAHVVVKEGAYSPSCWRCCAKEHDVHGSYHHLQLLFSSSGRPFVSLVATSRLLTTVRDWEELCY >ORUFI02G14840.1 pep chromosome:OR_W1943:2:12241187:12242365:1 gene:ORUFI02G14840 transcript:ORUFI02G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYSFTKELRFVPMLCTRTSPSNYYVWEDAMEEFLRGRGLASFIKIHFAKRTFSDRVLRWWLKQQNAYIDRGDKHCRSWTAMKLELRHRYDSQACMFVSTKEITKFPEMTSDPDGKLDGKKEKLYPEQKEAIVSEISLPQILKKEETHIAVEVDDGSSNMELSMIAQEVQRDNSKVDVKGQRCNIFQSECKIQDKICKLIIDGDPIVHSTKPRTALIQGREDDVTKAHVLFLVGSVSNIVEQDMKKNYRHKREDVRRTVQIGSMEVEIVY >ORUFI02G14850.1 pep chromosome:OR_W1943:2:12245837:12250762:1 gene:ORUFI02G14850 transcript:ORUFI02G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAATAPPPAAVLPAAPPPSYPATSAPSASAAAAEDDDDLYGRLKSLQRHMEFVEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDGNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLGSSEKPNVTYTDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPETDFDFYK >ORUFI02G14860.1 pep chromosome:OR_W1943:2:12252890:12255865:-1 gene:ORUFI02G14860 transcript:ORUFI02G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQPWWRFLALLLPLLVAVVMRVARFLNMSRRRDGSSSWSYVMGNKGGGQHQVGRGDEGNSALLSIFT >ORUFI02G14860.2 pep chromosome:OR_W1943:2:12252890:12255865:-1 gene:ORUFI02G14860 transcript:ORUFI02G14860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQPWWRFLALLLPLLVAVSFLMSFNFAYSEGGQISEHVAQERWQQQLELCDGEQGRRPASDEGNSALLSIFT >ORUFI02G14870.1 pep chromosome:OR_W1943:2:12256010:12256412:-1 gene:ORUFI02G14870 transcript:ORUFI02G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTIMAIALANGGGKPPNWQDFVGIITLLIINSTISFIENNVVNAAAALMARVAPRAKTQDRALLE >ORUFI02G14880.1 pep chromosome:OR_W1943:2:12270276:12272608:1 gene:ORUFI02G14880 transcript:ORUFI02G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIPTSKNARALQRVISLAEASIWSWHLREARPTVVYLSDLKRAVETAEIIEKACDVSNIVLTEAPRERHMGYLQGVFKGFANFEVKNGLDFDGRNQELPLLSYQLRTLNLEDVLLMRVIVVGYGAAILELKYFATLTGELCYICRFL >ORUFI02G14890.1 pep chromosome:OR_W1943:2:12281245:12281514:1 gene:ORUFI02G14890 transcript:ORUFI02G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGADKDASCGERGRRRHDGGGCTGQRRRRQTDVTNAMDELWRKNTTIVVDQGQRRWPTRARAAAKPDMGCAMDVVAAEADAGNERGG >ORUFI02G14900.1 pep chromosome:OR_W1943:2:12283352:12285496:1 gene:ORUFI02G14900 transcript:ORUFI02G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDHADRDGARRRCREYLLALEEERRKIQVFQRELPLCFDLVTQTIEGMRSQMDAAGSEETVSDQGPPPVLEEFIPLKPSLSLSSSEEESTHADAAKSGKKEEAETSERHSSPPPPPPEAKKVTPDWLQSVQLWSQEEPQQPSSPSPTPTKDLPCKPVALNARKAGGAFQPFEKEKRAELPASSTTAAASSTVVGDSGDKPTDDDTEKHMETDKDNDKDAKDKDKEGQSQPHRKPRRCWAPELHRRFLQALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSSTGQSSAAAGVPAPPAPQFVVVGSIWVPPPEYAAAAAAQQHVQLAAAGNNASGSANPVYAPVAMLPAGLQPHSHRKQHQQQQQGQRHSGSEGRRSGDAGDGSSSSPAVSSSSQTTSA >ORUFI02G14910.1 pep chromosome:OR_W1943:2:12300314:12301499:-1 gene:ORUFI02G14910 transcript:ORUFI02G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVSVDGGGRRRSYICTSCSMWLATEDRVESAGDGGSSSSSLDHTATSFHDSIVDYCSPPGIASSDVEAFMRVNLLLLNEQMWKAGSK >ORUFI02G14920.1 pep chromosome:OR_W1943:2:12304434:12310923:-1 gene:ORUFI02G14920 transcript:ORUFI02G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLLRLHPCPLLFPPPPPHPHLRRQLAVYSIPKSSFRSAAAAARARNPPRLASVGGAERRRVGDDYDEEEEDLGQALERTRQLVECAMFASVAGLAYFLSNSLAIENYFSCFFPLPIVISSLRWGLEAGRKTALMHGVVGLAMGTMWRLETNWIVSIILCSITRALGACGYVLLSSFLIRENILGLITVNIHASLTYILAAAGVNTIPSMDAIYVLFGTLLLLNCGFFIFLLHIMYTIFLTKLGIKPSLRPPRWLDKAI >ORUFI02G14930.1 pep chromosome:OR_W1943:2:12306397:12311194:1 gene:ORUFI02G14930 transcript:ORUFI02G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAARAPHLGSTPLRASDRARQGLHASVHTAVRSIHTASAGLRVSPSAPHHPLLIHAPPPPPLHALAPLLLLPPRVGVAAPPSERQSWPSLPSSARQQPVPPALPAEPPPRQVRWLDTYTIDCDSLLFGHLPVGDLVLNQRQSHLLGRSEWQKAADLDGEGVAEEVREASDGCEHRALDKLPRSLESLSQVLFLFIIIVTHAPSLGSTDGGQTRRVSSSSRGGGGSEGGFRDRIDGQLAAEMWVRWRRRKEERAGMKAKKHSRHGYPKLRPDRVACEPWFPSCYHRGGGDGVDTSQRRG >ORUFI02G14930.2 pep chromosome:OR_W1943:2:12310500:12316848:1 gene:ORUFI02G14930 transcript:ORUFI02G14930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLLSPPTAAAAGPAPAPAPAPVAVSLPPPSRLRASHLLFAFPRLRKYGRRDREPVATSLGELEEEDEDDEEEEEEEEEDDEEVEVEVEVDEDEFLKNRPKPVGFGAGKTYSTDIEEQLLREMGLGGRRRSSGSGPTPAKNRAAANSAKGTGEDLNDGGVCVRVWNLPKKKNIHKDLNLAFKGFPGLVNIEPAVSANKKTRDPICKGFAYLKLESVEAATRFVELYSQKAVSFGKVQKPIRCCVVDSQSSVDSQNQPSSGQAIRQPGLMAKNLVAAS >ORUFI02G14930.3 pep chromosome:OR_W1943:2:12306397:12311194:1 gene:ORUFI02G14930 transcript:ORUFI02G14930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWAARAPHLGSTPLRASDRARQGLHASVHTAVRSIHTASAGLRVSPSAPHHPLLIHAPPPPPLHALAPLLLLPPRVGVAAPPSERQSWPSLPSSARQQPVPPALQWQKAADLDGEGVAEEVREASDGCEHRALDKLPRSLESLSQVLFLFIIIVTHAPSLGSTDGGQTRRVSSSSRGGGGSEGGFRDRIDGQLAAEMWVRWRRRKEERAGMKAKKHSRHGYPKLRPDRVACEPWFPSCYHRGGGDGVDTSQRRG >ORUFI02G14940.1 pep chromosome:OR_W1943:2:12322879:12327454:1 gene:ORUFI02G14940 transcript:ORUFI02G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERIDWALERIDWLGSDGSLPSISRRKQRRSPPPAPILSQKTTPSAIAAHRSPPRRRASALPLSGRRPNIRSSSLRRHPVPIDRRPRRLHTIPASPSASPPSALGPRTSPRSAAPYRRPRRISLIFWFCSLPPPCKSLSIRRHSVFIVESPSPRTSVVGASIIVRMMSSTILPPLPATSSRLRKSLSIRRHSVFVAKSNCVAAVTIFTFTFTDLSSQNM >ORUFI02G14940.2 pep chromosome:OR_W1943:2:12322879:12327454:1 gene:ORUFI02G14940 transcript:ORUFI02G14940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERIDWALERIDWLGSDGSLPSISRRKQRRSPPPAPILSQKTTPSAIAAHRSPPRRRASALPLSGRRPNIRSPSLTARLPRRSSSLRRHPVPIDRRPRRLHTIPASPSASPPSALGPRTSPRSAAPYRRPRRISLIFWFCSLPPPCKSLSIRRHSVFIVESPSPRTSVVGASIIVRMMSSTILPPLPATSSRLRKSLSIRRHSVFVAKSNCVAAVTIFTFTFTDLSSQNM >ORUFI02G14940.3 pep chromosome:OR_W1943:2:12322879:12325378:1 gene:ORUFI02G14940 transcript:ORUFI02G14940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEERIDWALERIDWLGSDGSLPSISRRKQRRSPPPAPILSQKTTPSAIAAHRSPPRRRASALPLSGRRPNIRSPPSPICSIPSRFPTLTSAAPQVTVAHGALASQVVVAPPPPGPHRPSPTAPPHHPRISVRIASLSTRPSHIPALCGSVSPPSPHLPHILVLFTAAALQVAVNPPPFSLHRRVPFAAHIRRWSEHHRQNDVFNHPPSTAVSSVPRLAAFAMFVAKSNCVAAVTIFTFTFTDLSSQSRVKSSAELEEEMLANIPKFRARPFNKKVRIRLP >ORUFI02G14950.1 pep chromosome:OR_W1943:2:12323787:12324140:-1 gene:ORUFI02G14950 transcript:ORUFI02G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDIILTMMLAPTTDVRGEGDSTMKTEWRRIDSDLQGGGSEQNQNMREMRRGRRYGAAERGDVRGPSAEGGDADGDAGMVWRRRGRRSMGTGWRRSDDDLRGKRAVSDGDLRGGGG >ORUFI02G14960.1 pep chromosome:OR_W1943:2:12330674:12335833:1 gene:ORUFI02G14960 transcript:ORUFI02G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRAASPSSGAGAGAGAGSDPTPRVAMACVLASEVATVLAIMRRNVRWAGVRYGGDDGADDEHLDHPLIAGLKSLRRRAASWDTRQWRDVEPLLYLRPFLDVVRSDETGAPITGAALSSLHKILTLDLVGPDAPNVAEAMGAVVEAVTGCRFEVTDPASEETVLARVLQVLLACVRGRAAPALANRHVCNIVSTCFRVVQQAGTKGELLQRVSRQTMQEVIRCVFARLPDVDATVVADGQTACSKNQGLSDGEIGNGKSDFVCLNSSGDEVGGGFGVVQDQAMSELFGVPCMVEILQFLCSLLNIAEDIEVNPRINPIDFDEDVPLFALGLISSAIELSASSINKHPELLAFVQDELFRNLMQFGLSMSPLILSTVCSIVFTLFYHLRQELKLQLEAFFSCVIIRLGQSRYGASYQQQEVALEALVDFCRQKEFMAEMYANMDCDLQSSNIFEDLANLLSKSAFPVKSPLSTLNVLALDGLVLVIQAIAERTDNAPQHHEQTVPEISEYFPFWQLKCENTNDPDQWVRFVHQQKSIKRKLMVGVEHFNRDKKKGFEYLQGAHLLPERLDPRSVALFFRYTPGLDKNLLGDYLGNHDEFSILVLHEFAKTFDFKEMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPQMFVNRDAALVLSYSVIMLNTDQHNIRVKKKMTEEDFIKNNRRINGGNDLPREFLSELYYSICRNEIRTIPEQGAGCSEMSFSRWVDLMWKSKRTSAYIACDSFPFLDHDMFTIMAGPTVAAISVVFDNVEHEEFLTGCVNGFLSVAKLAAFYHLDDVLNDLVVALCKFTTLLNTSYINDPVTTFGEDTKARMATEAVFTIATTHGDHIRSGWRNIVDCILRLHKISLLPGCLTGDTADDQESSSDMLPSKLASSRAAPQVVPISTPKKSYGLMGRFSQLLYLDAEESRFQPTEEQLAAQRNASETIKKCQIGTIFTESKFLQADSLLNLARALTQAAGRPQRITSSLDDESTSVFCLELLITVTLNNRDRIVLLWQGVFEHITHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKENLVDDLLRSLQLILKLDARVADAYCENITQEVTRLVKGNATHIKSQMGWRTIISLLCITARHPDASDVGFEALVFIMSEGAHLSPANFVLSVEASRQFAESRLGSAERSIHALNLMAESVNCLTRWSREVKEAGGEADRILEGIAEMWLRLVQALRKVCTDQREEVRNHALLSLHRCLVVDGISVPSSAWLMSFDIIFQLLDELLEIAQNYSPKDFRNMEVSLLHAVKLLCKVFLQSLNDISSQSSFSKLWLEVLDMIEKLMKVKVRGRRTEKLQEVIPELLKNILLVLKANRVLSKTSTSEENSLWEATWLQVNKIAPSLQPEVFPDSEGDVATQSAKNKSDSPAQSEGVNV >ORUFI02G14970.1 pep chromosome:OR_W1943:2:12342894:12350416:1 gene:ORUFI02G14970 transcript:ORUFI02G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPLASSSFRCLLLLLARRAYDCCTKQLAPSAVKLQAGTYLMAGVIKWKMMSCEQSGRRSAEIARECLSLTPNRYKCHSYQHIGYLGDSAEGFICRPLKSKLRSKVGLHVAAKVHNKDDEGSCSSRISDEDNETLSNASRKMEVNHLGALICYFSKLNTEDAQKPYSFHQTNKQRTGPLSTNIEEANMATDYGDFRNTLESFEINFNRRKKGTKGYLNTAVEDYTNYLIFDEKNFLDMQQDDQTSSFCLTNLLAAINIAVLLFEIASPHSGFLHIALGCWVLLIFGPRVSRAYGQTTFLLMYILGGVCGNLTSYLHTSELTVCGTGPVFALIGAWLVYQSQNKDAIDKNVSETMFSQAVVATTLSFLLSSFGRIDNWTHLGATICGLLFGYLTCPSVQVDNAAKKGQKAVVLVRRQASPWKSIAIFVISIIVLALFAFAYGTQA >ORUFI02G14970.2 pep chromosome:OR_W1943:2:12342894:12349992:1 gene:ORUFI02G14970 transcript:ORUFI02G14970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPLASSSFRCLLLLLARRAYDCCTKQLAPSAVKLQAGTYLMAGVIKWKMMSCEQSGRRSAEIARECLSLTPNRYKCHSYQHIGYLGDSAEGFICRPLKSKLRSKVGLHVAAKVHNKDDEGSCSSRISDEDNETLSNASRKMEVNHLGALICYFSKLNTEDAQKPYSFHQTNKQRTGPLSTNIEEANMATDYGDFRNTLESFEINFNRRKKGTKGYLNTAVEDYTNYLIFDEKNFLDMQQDDQTSSFCLTNLLAAINIAVLLFEIASPHSGFLHIALGCWVLLIFGPRVSRAYGQTTFLLMYILGGVCGNLTSYLHTSELTVCGTGPVFALIGAWLVYQSQNKDAIDKNVSETMFSQAVVATTLSFLLSSFGRIDNWTHLGATICGLLFGYLTCPSVQVDNAAKKGQKAVVLVRRQASPWKSIAIFVISIIVLALFAFAYGTQA >ORUFI02G14980.1 pep chromosome:OR_W1943:2:12350341:12350622:-1 gene:ORUFI02G14980 transcript:ORUFI02G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTVIPRPQADAEGRYEANPNGASIVGNPPPMTKEVNVLVDVLLEKKTIAGTTRYKKNLLLFQLMTSSTNSELQP >ORUFI02G14990.1 pep chromosome:OR_W1943:2:12356454:12358043:1 gene:ORUFI02G14990 transcript:ORUFI02G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIIIRIPGDLTIVTIVGFDRFVVECFSCFDVGALRFALALERKKKQAYHIFTSQVTIKHVMERIQELTCVDVDGIEAEASIAPPTENDRTGGVGATSNDECTTMTGDDHEGPLKGALEAAVLAEVCEITGNGGGGGGEGGGGGGGGGGGGGGGIESM >ORUFI02G15000.1 pep chromosome:OR_W1943:2:12360140:12363293:1 gene:ORUFI02G15000 transcript:ORUFI02G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHLVGLVKVRVVRGVNLAVRDLRSSDPYVIVRMGKQKLKTRVIKKTTNPEWNDELTLSIEDPAVPVRLEVYDKDTFIDDAMGNAELDIRPLVEVVKMKIEGVADNTVVKKVVPNRQNCLAEESTIYISEGKVKQDVVLRLRDVECGEIELQLQWVDIPGSKGV >ORUFI02G15010.1 pep chromosome:OR_W1943:2:12365867:12370054:-1 gene:ORUFI02G15010 transcript:ORUFI02G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTGVISPTLGFNIKTIKYHKYSLNIWDIGGQKTIRSYWRNYFEQTDGLVWVVDSSDIRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPSEIAKVLNLEAMDGSRHWQIVGCSAHTGEGLLQGFDWLVQDIASRIYVLE >ORUFI02G15010.2 pep chromosome:OR_W1943:2:12369886:12371451:-1 gene:ORUFI02G15010 transcript:ORUFI02G15010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSQRSLFSFLRFFLSLYLFPISHRCFQSEGEERRRQAAPLQRWLRRARSSFLQRVLPPPSGSPPPCRRPGITGAERHQRAGAAPAGRPPPLCLALLPLRSPAARPCPPPTAGPPPPRSSLQTRSPTSPSPAPPSPTPPHAVAVPPLPASSAHLSIASVSQLPPQSPRLPVVRRQPRCRATAVICPPVCSIHGAAEATPLPPAHCQRRGGGGGGGGGEESRERLLDGAAQHHPEDQAQGEGDAHPHGVGAPLHPQSFFCDSVGNPSLSIPDLALERFVPL >ORUFI02G15010.3 pep chromosome:OR_W1943:2:12370758:12371465:-1 gene:ORUFI02G15010 transcript:ORUFI02G15010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQWYICNFLSALSSLSFGFFSLSISSQFPIAVSSPRERSGDDRRLPSSAGSGEPAPPSSKESSLLPPVPPPRAAAPASPAPKGINVPARPRPAGLLPFASLCSRYDHRPPALVRHPPPVRRLLGPLSKPDPPLPPPQRHLPPPHPTPSLFLPSRPLPLTSPSPRSRSFRLSLPDFPLSVANRGAEPLPSFALPSAQSTERQRVEEPPRQGTTATARQTPRRHRPLAPGSHDP >ORUFI02G15020.1 pep chromosome:OR_W1943:2:12382363:12393329:1 gene:ORUFI02G15020 transcript:ORUFI02G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKNVQQQQQHRVDVVVPVPAMVVAAMASPCPANKLLRGAIQLAFGFALGIAVAIYLIGSATPAAVPGGSSLELFFPLPPPAAASTANLSAVRQKQPPTPSPEAEKTTTAIKSQSWPADDASGGNSTADQAGGGFVDISDEELMKLAAAAPREVRTGGGGGPRPKVAFLFLTRWDLPMAPLWEKFFEGHCGLYSVYVHTDPAFNGSDPGEASVFYRRTIPSKEVKWGEISMVEAERRLLAHALLDQANARFILLSESHVPLFDFPTVYSYLINSTTKIYLESYDLPGVTGRGRYKRSMSPVVTAAQWRKGSQWFEVDRGLAADVITDDVYFPVFARHCSRNCYADEHYLPTFLGIRHPSRVTNRSVTWVDWSHGGPHPARFTRMEVTPDFLRWLRAGAGTTCDYNGATTTVCFLFARKFLPNSLTRFLRFAPKVMGFG >ORUFI02G15030.1 pep chromosome:OR_W1943:2:12413075:12416912:-1 gene:ORUFI02G15030 transcript:ORUFI02G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSAMKQGIWRRRIGAPFAAVLVAAVLAVVVFSGQFAKGPNDLERTVSSKLEGEDTEQIRLEDGQSPNKEAAIEEQKPSQAAAIDQDDNTLNPGLKQASGDERSAGGSDSLSKESPPQSQEGDGGTAESGVEPYIKCTAQSDIKICDLSNPRFDICELCGDARTIGQSSTVVYVPQNRASNGEEWIIRAQSRKHLPWIKKVTIKSVNSSEPEPICTSKHHIPAIVFALGGLTANVWHDFSDVLVPLFLTARQFNRDVQLIITNNQPWFIKKYSAIFSRLTRHEIIDFDSDGQIRCYPHVIVGLRSHRDLGIDPSSSPQNYTMVDFRLFVREAYGLPAAEVDIPYKADKDDPDKKPRIMLIDRGKSRRFVNVAHVVQGLDWFGFEVVKADPKIDSNLDEFVRLVDSCDAIMGVHGAGLTNMVFLRSGGVVVHIVPYGIKFMADGFYGAPARDMGLRHVEYSISPEESTLLEKYGWNHTVINDPETIRKGGWEKVAEFYMSKQDIVLNMTRFGPSLLNAIEFIM >ORUFI02G15040.1 pep chromosome:OR_W1943:2:12421218:12421490:1 gene:ORUFI02G15040 transcript:ORUFI02G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDQSPGGLGGADQRGRWRVPLEQCWRPRFGEDDTAGVEAVAKLSLDGVESEKDGVGDELETRRMAPAMVLGGPPGRMASATALGGLTG >ORUFI02G15050.1 pep chromosome:OR_W1943:2:12461944:12463396:-1 gene:ORUFI02G15050 transcript:ORUFI02G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAAVAAKYRRQTNLLHDPEVSLWMSFVLWISPIWALDASKDNCYRCGGRGHWSRNCRVPKHLVELYQQSMNEKKSQHESHFTIEPEAQIEKHDDMLINVKDGGDVRMDDD >ORUFI02G15060.1 pep chromosome:OR_W1943:2:12476777:12488570:1 gene:ORUFI02G15060 transcript:ORUFI02G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVANTVTTLPLLPSPHAFAVPAMGSLPFLRRRMRSRRLAAVQQDAAVWTPAPVSSFGPATADGSLVHFSVDLSDATDLAASYTTPGQYLLIRVPGEDELKPAFMAIASPPGGAAFEFLVKTVPGTTAEKLCGLRDGDVLELGAIMGNGFPISRINPPDEAQTVLLFATGTGISPVRSLIEFGFAADQRADVRLYYGARNLQTMAYQDRFTNWESTGLKIIPVLSRADDSWKGERGYVQDAFLKAQNIANHFSTGAVLCGQKQMSEEITSALVADGVSPDKILTNY >ORUFI02G15070.1 pep chromosome:OR_W1943:2:12489392:12490536:-1 gene:ORUFI02G15070 transcript:ORUFI02G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLGSSSIVRGRGKNKRIWTYFEDEELIKALFEIALDPSWKSEGGFKNGYCQVLENVLAKKLPSSGLTAVPHIESRVRHFRTKFGAIEVMLTKSGFSWDDNRKMIQCEKQQYDDHCRKNNEAKGLYGVAFPHYDTLAAIYGKDIATGEGAEGFGEAVANMEKEIVRDIQDEEDEEDEERVSRETPRRSVDSSAPRRSIDSAAPRRIIDSAAPRRSIDSTASSSKKRKKDSNKLKHTLSSDPFMDVFANVQGDLRDVTKHVGAMVAVASMQP >ORUFI02G15080.1 pep chromosome:OR_W1943:2:12499007:12511134:1 gene:ORUFI02G15080 transcript:ORUFI02G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKKCALQKEGSNSGKGAATSNDLEREGRRTLDPKTLRRLAQNREAPRKSSLSKKAYIQQLKSSRIRLSQLAQQVHVARVQRALLFRLVVNMPGKQLLRSVKMPFDLFCDISPTSKNWKICATIARNESCQPLGASKKLTFEVGASEGKDIDTAVVSERQWTRLNQVLSYVLCSCSVILVHAKGDTAMNPPRFFTVRLTTANQRYVRRPAAIAQGYQLEDGQRLKLVTTHNLMVYIELKRASGKIYITTGWPQFIEATGLQLKEYVATPNALSMKCASAPGRDINLSTELKDYIKDIAQFLHPSNEFYVTTINPTFMKQDKVHFSKQFSMTYIALIVRKKTSQIEVRIPGHSSTTMVLHHSTEKRFNLKSGWTHFPTSNGIQVGTICIFHFHQTNQLQATIDVL >ORUFI02G15090.1 pep chromosome:OR_W1943:2:12513119:12514278:-1 gene:ORUFI02G15090 transcript:ORUFI02G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAARVDLLKAWLPAIFKVQDPGCRPGQPRILTVPAAIAIAILRARSLVLPDQEACQDHAGTQTAQSMNLQFSQYSGAVVSTAMADDGIAAVTRRYAARFIIQDITTQKPCLFFNCKLDSCAKIKRGIIIADEGRNDQRPRPVHLREHPAPHHRVPPRVRVAGAAASADAFAGARDDTSAPTSAERDEEAEKPQRSHAPSAGGRRGAALPPHPGQLAEK >ORUFI02G15100.1 pep chromosome:OR_W1943:2:12517402:12518072:1 gene:ORUFI02G15100 transcript:ORUFI02G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFETTRCFNLAGFVEQLRALAAEVGYCITPEYRVVLNFEDEGVLEPLWSAKNRDKENELRALRKELKDLKSGMPGTVVKTLPPVGSSACPRHGISNLDEPFVDRSPSRSRSQE >ORUFI02G15110.1 pep chromosome:OR_W1943:2:12532480:12533364:1 gene:ORUFI02G15110 transcript:ORUFI02G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRQSLLVIPTKRGGSWWNHYGPILPTGFKQVKFTSLQISDDVVTKVIIMHIFSLMLIIRLIWVLYMS >ORUFI02G15120.1 pep chromosome:OR_W1943:2:12547604:12559132:1 gene:ORUFI02G15120 transcript:ORUFI02G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDREKEREAELESAMYTNCLLLGLDLAVLGSPSSPAAGPRVGLFRHSNPRLAPLLPPLFAPRARADFDKVWPIFDSAQSREFRKIVQGIISELEQQGALPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHRRTFAADVASSPLPAALTDVSYLHAAALLPARIALERRKFLKNANIAVQRQTTWSNLAHEMTAEFRSLCAEEAYLQQELEKLQDMRNKSKLEGELWDERISSSSGQNSHLVSKATRLWETILARKGQHEVLASGPIEDLIAHREHRYRISGSQLLAAMDLSSSVPHSELLSARASEASPFLDKQEQMSALFQGKEEALSRLDDRNGRAQQQTVDVAEILRRWTHALQRIHKQSLHLAKANDGDGPELLRSASDGETSTHADSLTATLAEHRQHLVSIQGLINQLKEAIPAMQQSIADLSEEVNSVSSNPMDKIISRLSPSVQNSGFGRAEESSSELSEMTSKLSSTHLDKAGSSPALKLPPLFSLTPSSSGKGTQAQKRNALGRQPSQEILSEEKTLPLPSTKDETNGSIHENEGYFAHNIRRSVREAALSKPLRNPERPHDQSSDDGSEHFFIPLSTGASKMEIDAVDNNRRMQKLGFSSPQMKFSDLHFNVDSPMHATPVLSSKLNGHDDPNTVTSMLDPVSGLGHQSFITDDALDQVFSPPLLLETSLFQDAYEDLLAPLSETDTALMEH >ORUFI02G15130.1 pep chromosome:OR_W1943:2:12558442:12560062:-1 gene:ORUFI02G15130 transcript:ORUFI02G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQALQILSEIEGDLSGFRSISGISSTTNIDSGSDKYSCIVSNSGTLSLREFHERYWSPDFKIGRSFRGCHFNCRSHELLWTHGRSCIHLIGDHLLAMKDIFEVLLVKI >ORUFI02G15130.2 pep chromosome:OR_W1943:2:12558442:12559822:-1 gene:ORUFI02G15130 transcript:ORUFI02G15130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLLRSISGISSTTNIDSGSDKYSCIVVRSTSVYHDSPLQLGPSNSGTLSLREFHERYWSPDFKIGRSFRGCHFNCRSHELLWTHGRSCIHLIGDHLLAMKDIFEVLLVKI >ORUFI02G15130.3 pep chromosome:OR_W1943:2:12558442:12560062:-1 gene:ORUFI02G15130 transcript:ORUFI02G15130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQGLPPPICPSNSGTLSLREFHERYWSPDFKIGRSFRGCHFNCRSHELLWTHGRSCIHLIGDHLLAMKDIFEVLLVKI >ORUFI02G15140.1 pep chromosome:OR_W1943:2:12564071:12567283:1 gene:ORUFI02G15140 transcript:ORUFI02G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAYSRPSKLPGGGNGSDRRLPPRLMRGLTTKIEPKKLGVGLLAGCCLALLTYVSLAKLFAIYSPVFASTANTSALMQNSPPSSPETGPIPPQETAAGAGNNDSTVDPVDLPEDKSLVEAQPQEPGFPSAESQEPGLPAALSRKEDDAERAAAAAASEIKQSSNQSRLDLVETHEIWAVFYWGFDAEKKNGVAAGGDTKIKCDENGVDEGFPYARPSVCELYGDVRVSPKQKTIYVVNPSGAGGFDENGEKRLRPYARKDDFLLPGVVEVTIKSVPSEAAAPKCTKQHAVPAVVFSVAGYTDNFFHDMTDAMIPLFLTTAHLKGEVQILITNYKPWWVQKYTPLLRKLSNYDVINFDEDAGVHCFPQGYLGLYRDRDLIISPHPTRNPRNYTMVDYNRFLRDALELRRDRPSVLGEEPGMRPRMLIISRAGTRKLLNLEEVAAAATELGFNVTVAEAGADVPAFAALVNSADVLLAVHGAGLTNQIFLPAEAVVVQIVPWGNMDWMATNFYGQPARDMQLRYVEYYVGEEETSLKHNYSRDHMVFKDPKALHAQGWQTLAATIMKQDVEVNLTRFRPILLQALDRLQQ >ORUFI02G15150.1 pep chromosome:OR_W1943:2:12587607:12587942:-1 gene:ORUFI02G15150 transcript:ORUFI02G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAFSPYSFAFLLLSPPSISCITTHATSPRVTAMASSPNNSTGVITPTPSTSTANRSFRNWSEKCGHVTTSTPWAMDSSIEFQLQWVTKPSRARLRSPSRARSRLHSLE >ORUFI02G15160.1 pep chromosome:OR_W1943:2:12591243:12591554:1 gene:ORUFI02G15160 transcript:ORUFI02G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASHRSLLFPFFPVHGPALATGWKTSLALTPTEDPTGERGPALPEWIATAGHLLWQQEAAHRNVVTVGGGDKVSTAEDGGDEAAPSRGGNEEATSGRASAL >ORUFI02G15170.1 pep chromosome:OR_W1943:2:12592575:12596802:-1 gene:ORUFI02G15170 transcript:ORUFI02G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHARTSPAATPSRCAKSPYAILKPLAPKHSLSDWPICYAQVLKHSRGTTKILPSPIISSAPSIETFMKTPTGVLATDRLDFGKHKDPSSGITGSSPKRAKADGFAGISAEALVDNIPPSLSLSPPTRVHYAIRKVALHIRKKTFISLMAFL >ORUFI02G15180.1 pep chromosome:OR_W1943:2:12597992:12601139:1 gene:ORUFI02G15180 transcript:ORUFI02G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVERAKLVRSLRQESRRLRLLVLVIGFFLVTLTFVVISKPDALLFNLNGRLSVDHAPRSLLIRQRIHADSRRSADTFPAAEDPKVVDEDEGAEDATAKGTSEEEKRLLSSEPEQGKNEEAATASEVLGGGGEEDNKNGEEEGHTQHSKVTLPTVSNYTIRDAEDTDNGKQEDGKPNEKYEFEMDADKGDNVEPETDNEEWNKKPLCDFSNFRANVCEMRGNIRIHPNASSVMYMEPASSKREEIWKVKPYPRKGDELCLGHITEITVKSSKVAPECSKYHNVPAVVFALTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMAIWWTRKYKVVFDKLSKYPLIDFNNDDQVHCFKHAIVGLHAYMEFTIDSSKAPHNYSMVDFNRFMRRTYSLPRDFVTALGEIPKAKPRLLIISRQRTRMFLNLNEIVAMAEEIGYEVVVEEANVSSDLSHFGKVVNSVDVMMGVHGAGLTNCVFLPQNATLIQIVPWGGLDWISRIDFGNPAEQMGLRYKQYSIGVHESSLTDQYPLDHEIFTNPLSFHKHGFEFIRQTFMDKQNVKLDCNRFKPVLLEVLDQLNQ >ORUFI02G15190.2 pep chromosome:OR_W1943:2:12645257:12648761:-1 gene:ORUFI02G15190 transcript:ORUFI02G15190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMQLNVTSLPPGLGSGVLLHHSSLRRHCPCLHPPPPDWNCACPFADINNASAGDSPKKRNNIGVFTPTSLTKSTPFADITNTSAGESRINNVPQKENMQKLQEDGAKLSALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSGLTQTTTNYVKAPLGDITNVSVDDLRRCHVNDCSTLQQGSNHALLQPVDRTGPGSDQQHMITPRRLPFTVINNVAHYDNVDHTGSPFSCILQGATQNSHTLPANTVQSSRPHFISLSLKQLQSILKKDEPLESDCFNMAIRKFMYEKIQTIHKTKEAISNHCLDLQFWSASGFGKDPVHHDNVHLEKTIGSWSEIHYKLSHCKAILIPVRHARSFIVLIVDQ >ORUFI02G15190.3 pep chromosome:OR_W1943:2:12645257:12648761:-1 gene:ORUFI02G15190 transcript:ORUFI02G15190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMQLNVTSLPPGLGSGVLLHHSSLRRHCPCLHPPPPDWNCACPFADINNASAGDSPKKRNNIGVFTPTSLTKSTPFADITNTSAGESRINNVPQKENMQKLQEDGAKLSALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSAPLGDITNVSVDDLRRCHVNDCSTLQQGSNHALLQPVDRTGPGSDQQHMITPRRLPFTVINNVAHYDNVDHTGSPFSCILQGATQNSHTLPANTVQSSRPHFISLSLKQLQSILKKDEPLESDCFNMAIRKFMYEKIQTIHKTKEAISNHCLDLQFWSASGFGKDPVHHDNVHLEKTIGSWSEIHYKLSHCKAILIPVRHARSFIVLIVDQ >ORUFI02G15190.4 pep chromosome:OR_W1943:2:12645257:12648761:-1 gene:ORUFI02G15190 transcript:ORUFI02G15190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMQLNVTSLPPGLGSGVLLHHSSLRRHCPCLHPPPPDWNCACPFADINNASAGDSPKKRNNIGVFTPTSLTKSKLQEDGAKLSALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSGLTQTTTNYVKAPLGDITNVSVDDLRRCHVNDCSTLQQGSNHALLQPVDRTGPGSDQQHMITPRRLPFTVINNVAHYDNVDHTGSPFSCILQGATQNSHTLPANTVQSSRPHFISLSLKQLQSILKKDEPLESDCFNMAIRKFMYEKIQTIHKTKEAISNHCLDLQFWSASGFGKDPVHHDNVHLEKTIGSWSEIHYKLSHCKAILIPVRHARSFIVLIVDQ >ORUFI02G15190.5 pep chromosome:OR_W1943:2:12645257:12648761:-1 gene:ORUFI02G15190 transcript:ORUFI02G15190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMQLNVTSLPPGLGSGVLLHHSSLRRHCPCLHPPPPDWNCACPFADINNASAGDSPKKRNNIGVFTPTSLTKSKLQEDGAKLSALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSAPLGDITNVSVDDLRRCHVNDCSTLQQGSNHALLQPVDRTGPGSDQQHMITPRRLPFTVINNVAHYDNVDHTGSPFSCILQGATQNSHTLPANTVQSSRPHFISLSLKQLQSILKKDEPLESDCFNMAIRKFMYEKIQTIHKTKEAISNHCLDLQFWSASGFGKDPVHHDNVHLEKTIGSWSEIHYKLSHCKAILIPVRHARSFIVLIVDQ >ORUFI02G15190.6 pep chromosome:OR_W1943:2:12645257:12648761:-1 gene:ORUFI02G15190 transcript:ORUFI02G15190.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMQLNVTSLPPGLGSGVLLHHSSLRRHCPCLHPPPPDWNCACPFADINNASAGDSPKKRNNIGVFTPTSLTKSTPFADITNTSAGESRINNVPQKENMQKLQEDGAKLSALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSGLTQTTTNYVKGSDQQHMITPRRLPFTVINNVAHYDNVDHTGSPFSCILQGATQNSHTLPANTVQSSRPHFISLSLKQLQSILKKDEPLESDCFNMAIRKFMYEKIQTIHKTKEAISNHCLDLQFWSASGFGKDPVHHDNVHLEKTIGSWSEIHYKLSHCKAILIPVRHARSFIVLIVDQ >ORUFI02G15190.7 pep chromosome:OR_W1943:2:12645257:12648761:-1 gene:ORUFI02G15190 transcript:ORUFI02G15190.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMQLNVTSLPPGLGSGVLLHHSSLRRHCPCLHPPPPDWNCACPFADINNASAGDSPKKRNNIGVFTPTSLTKSKLQEDGAKLSALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSGSDQQHMITPRRLPFTVINNVAHYDNVDHTGSPFSCILQGATQNSHTLPANTVQSSRPHFISLSLKQLQSILKKDEPLESDCFNMAIRKFMYEKIQTIHKTKEAISNHCLDLQFWSASGFGKDPVHHDNVHLEKTIGSWSEIHYKLSHCKAILIPVRHARSFIVLIVDQ >ORUFI02G15200.1 pep chromosome:OR_W1943:2:12650739:12652209:1 gene:ORUFI02G15200 transcript:ORUFI02G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREERVQGTGSRRGKEEKGKQKQNWGFSRIPQIHLKFVFLLCKVGLSKELRYLMTLIGMGRELHL >ORUFI02G15210.1 pep chromosome:OR_W1943:2:12673465:12676770:1 gene:ORUFI02G15210 transcript:ORUFI02G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNRNLTRGDGRRLGNAALIAFMLGSLLVLSLVRARFSPIGKPGEAIKTEEQEAMRKGSVKMETLEAADEAAASAAAAEEEEETQPKAGDTTAGGGGGGASSAAVAAASTTATDHLTKPVCYESSRRSDTCEATGDVRVHGRSQTIHISPLEQEWKVKPYCRKHDAFALSHVKEWALRPLSTADTYPAVPHCTVNSSATAFVLSTGGFTGNPFHDYTDVLIPAFITAHRFAGEVQFLVSSFKSWWTNRYMQIFQRLSKYELVDIDNDDEVRCYRSVVVGPTFHKELGVDASRTPSGYSMVDFRTMLRGALGLERAVAEPSGDRWDIRRRPRLLIISRRNSRAFLNERAMADMAMSLGFDVRLGEPDISTDVSKFARLVNSADVMVGVHGAGLTNMVFLPAGAVLIQVVPYGGLEWLARGTFKEPAADMQIHYLEYMIQLDETTLSEQYPKDDPVLKDPYSIHKQGWNALKMVYLDKQNVRPHLGRLKNTFMEALKLLPHGHTN >ORUFI02G15220.1 pep chromosome:OR_W1943:2:12683286:12685458:-1 gene:ORUFI02G15220 transcript:ORUFI02G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPEKLKLLLGVLALQCCLAGFHIVSRAALNMGISKIVFTVYRNCIALALLIPFAYFLEKKNRPPLTFSLLAQLFFLAFCGITCNQGFYLLGLHYLSPTYASAIQNTVPAITFALAASLRLEQVNINKRYGMAKVIGTVTPVLKKYPARLSMLALTLAFGLVQFLAIAAFWENDIGKWRLHSGEELFTILYAGLVASGVALSLQIWCIDRGGALFTAIFQPVQTVMVAIMAAVILGDLLYTGGIIGAVLIVIGLYLVLWGKNEEKKSNSNQPDLSRHLLSEESSRPTTVTSDVP >ORUFI02G15230.1 pep chromosome:OR_W1943:2:12707816:12708567:-1 gene:ORUFI02G15230 transcript:ORUFI02G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGFAARWSIATGTRALPPSPPGRYAARRGSSSFTRLARLADLATSSGSTPHARGSAFTWPARLRPGPRHDGYARGLRRTLRAPPSPGRHESALGVIATPGATPHAEGSAFTQPTRLGLGHDCYARGLHRMLGAPPSPGRHDSALGITAMPGGYTSRRGLCLQPADTTRPWARLLRPGAAPHAGGSAFTRSARLRPRQDYCAGGLRRMLGAPPAPVSRPDKFIPN >ORUFI02G15240.1 pep chromosome:OR_W1943:2:12708812:12709144:-1 gene:ORUFI02G15240 transcript:ORUFI02G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAGGFVLHPAGTLRRLRHGLGGFTTLTAWALRRTLRASSFTWLARFADLATSSGASTPSPPGRYSARRESYASTRLARFADLATGSGASPASPPRHYTARRGSSPSPG >ORUFI02G15250.1 pep chromosome:OR_W1943:2:12710033:12710362:-1 gene:ORUFI02G15250 transcript:ORUFI02G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSDGDTSSPSNKHATWSMRGGHITTILQDHESNSALTQPRQSRHKKLRNMPKVLPSTSRLINQAPSQVYLPDPAPSPSASYASGQQPPGSSERLTVPPCLEVIISR >ORUFI02G15260.1 pep chromosome:OR_W1943:2:12710392:12710810:-1 gene:ORUFI02G15260 transcript:ORUFI02G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSEKKQHHRPHLSPPPVHAATVNNSDVPDLTAAAADKRGAIVFHSCIVVHTLKIDDHYQTKAVVSAPLQGRRPDESSPQPRPPQYSTVFLFVSIPSSSTVKATVRTTKSNGLQLHIVTARRQDH >ORUFI02G15270.1 pep chromosome:OR_W1943:2:12734879:12735277:1 gene:ORUFI02G15270 transcript:ORUFI02G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVLRPQAMRRWNGMAGWCRCVSKVQWLSREGLMAIDRVGVDGFGQNVFADGRRSRTHVLVMLCGTRGWAGGCGLVQGGKDIARHLFDELPKRAECGEEERGWVGRLLGLYYE >ORUFI02G15280.1 pep chromosome:OR_W1943:2:12740222:12744931:-1 gene:ORUFI02G15280 transcript:ORUFI02G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVAASPAQSRKTETYTDTKRRDDVRGLNIAAARAVADAVRTSLGPRGMDKMISSGEQEVIITNDGATILSRMSLLQPAARMLAELSRSQDAAAGDGTTTVVVLAGSLLRRAQSLLSAGAHPTAAADALHRLATRAVEVLHAMAIPIELSDRDSLVKSASTALNSKVVSQYSSLLSPLAVDAALSVVDPAHPDLLDLRDIRVVKKLGGTVDDTELIRGLIFDKKASHAAGGPTRVENAKIAVIQFQVSPPKTDIEQSVIVSDYAQMDRILREERNYILGMVKKIKASGCNVLLIQKSILRDAVTDLSLHYLAKAKILVVKDVERDEIEFITKTLNCLPIANIEHFREDKLGYADLVEEVSVGESKIVKIMGIKDMGRTATVLVRGSNQLVIDEAERSLHDALCVIRCLVNKRFLIAGGGAPEIEMSMQLAAWAKELQGMESYCIKEFAEALEVIPYTLAENAGLNPISIVTELRNRHAKGEKNAGINVRKGQITNILEENVVQPLLVSTSAITLACECVRMILKIDDIVTVR >ORUFI02G15290.1 pep chromosome:OR_W1943:2:12746934:12748394:1 gene:ORUFI02G15290 transcript:ORUFI02G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRRSGHPSLSLRLPPPSPGARCSGTPSPTGVPTSRPPESRRPARPLLSLLSDQRSREEEEVEETYDKRAPLHQKATVETLHLLWRRSRGLKPRRQTCRSSHPTPQRVQWSEPHYSLDLHKHAAH >ORUFI02G15300.1 pep chromosome:OR_W1943:2:12761234:12764517:-1 gene:ORUFI02G15300 transcript:ORUFI02G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAEAIRGHNRGPPNASLPALTWSLCRCRCPYWPPIARVSTCVEVRPPTGSVQSRVKSSAELEEEMLANIPKFRARPFNKKGEKFNPLPALPWSLRRCRCPYWPPIARVSTCVVVRPPTGSVQSRVKSSAELEEEMLANIPKFRARPFNKKSYATCRHMFSEASSVGTVRVSSLNLVVLNVRMSILINLLKIKFFALLKVKSILKSESSKPLTLAKSKPPNFRQHCELGHQGFPFATCIIQKTSHFTLLIIFDYSNIIFVQGEKFS >ORUFI02G15310.1 pep chromosome:OR_W1943:2:12775112:12775297:1 gene:ORUFI02G15310 transcript:ORUFI02G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLGAHIIAVHPIRRRHVLRGSLVTDGHFAASQDVARVSVATPRPRLASSLSIGRRRASA >ORUFI02G15320.1 pep chromosome:OR_W1943:2:12775397:12776609:-1 gene:ORUFI02G15320 transcript:ORUFI02G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTDGLLPEVWRREKIQTFREELGFWRDVIWTLVDKGAESGLCPEEDRGGARGGGHARRRRSTRRGGVPVPVKTATATTSATGLEVAPALEGGTRAFGLAGRGDGTRAGPIWPCVVAAETGRGGEADELLRRAAPRQWRRWVLAQERSRGGDDWRGRMVGDSGHGHGFAWLRMASRRRIDTVVEG >ORUFI02G15330.1 pep chromosome:OR_W1943:2:12862506:12870743:1 gene:ORUFI02G15330 transcript:ORUFI02G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSSQAQEDGFFHPRDGACPADSSGKTECKTQGSIATRKVQKADHPDRPRNDKATILSDAIQMLKDLTSQVNKLKAEYTSLSEEARELTQEKNELRDEKVSLKFEVDNLNTQYQQRMRVLYPWTGMEPSVVIGPPLPYPFSVPVPVPVPIPSVQHSSSNRSHSMAQDSRSKSSALQQVSCRGKHDDFDDVATDLELKTPGSSAPLQSEIANKDSSSDLKKKQQFIQETKGSSLTEGSSSSSRCSSSGPPDVSNSIEGGSVADDQRSTVQT >ORUFI02G15330.2 pep chromosome:OR_W1943:2:12862506:12870743:1 gene:ORUFI02G15330 transcript:ORUFI02G15330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSSQAQEDGFFHPRDGACPADSSGKTECKTQGSIATRKVQKADHPDRPRNDKATILSDAIQMLKDLTSQVNKLKAEYTSLSEEARELTQEKNELRDEKVSLKFEVDNLNTQYQQRMRVLYPWTGMEPSVVIGPPLPYPFSVPVPVPVPIPSGAVPMHPQLQAYPYFRNQTSGTVSNPCTPYMAYTQPIHPPTDQLSNQFSAPVQHSSSNRSHSMAQDSRSKSSALQQVSCRGKHDDFDDVATDLELKTPGSSAPLQSEIANKDSSSDLKKKQQFIQETKGSSLTEGSSSSSRCSSSGPPDVSNSIEGGSVADDQRSTVQT >ORUFI02G15330.3 pep chromosome:OR_W1943:2:12866431:12870743:1 gene:ORUFI02G15330 transcript:ORUFI02G15330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGCVQDPDRPRNDKATILSDAIQMLKDLTSQVNKLKAEYTSLSEEARELTQEKNELRDEKVSLKFEVDNLNTQYQQRMRVLYPWTGMEPSVVIGPPLPYPFSVPVPVPVPIPSGAVPMHPQLQAYPYFRNQTSGTVSNPCTPYMAYTQPIHPPTDQLSNQFSAPVQHSSSNRSHSMAQDSRSKSSALQQVSCRGKHDDFDDVATDLELKTPGSSAPLQSEIANKDSSSDLKKKQQFIQETKGSSLTEGSSSSSRCSSSGPPDVSNSIEGGSVADDQRSTVQT >ORUFI02G15330.4 pep chromosome:OR_W1943:2:12862506:12866284:1 gene:ORUFI02G15330 transcript:ORUFI02G15330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSSQAQEDGFFHPRDGACPADSSGKTECKTQGSIATRKVQKADREKMRRDRLNEQGDSAFSPFSTVILATRCYANALVEIQPEITKQAVAPLSFPSNTG >ORUFI02G15340.1 pep chromosome:OR_W1943:2:12875650:12875921:-1 gene:ORUFI02G15340 transcript:ORUFI02G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPQHDHLLQESTMAARKEAAHGFTAAAAWPDARIGGRHYRRQASTPPARLLHRCVIVGLALLCSCFLLVGF >ORUFI02G15350.1 pep chromosome:OR_W1943:2:12903964:12910803:-1 gene:ORUFI02G15350 transcript:ORUFI02G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGAKATAAKSAEKEKGKKAPVSRSSRAGSQEKPAPNKDVYQLFAEKVRDNKQLESRWAIMQETRVEYFRGKDFTTFMRNHPEVREILGPDKDLEVEDIVNTLLSKNLVIRCDRVWKTVRPGKKKLSSWPAHLEIHREQVFTENDGFFAWMFLKRRTLWQTILSFVWPLFALAVCLFPVYPYQCKIVVLYSCAGALLFIVSILLLRAAIFGILWVLLGKRVWFFPNINAEETTFRELVRFWPEKDEGERPKWTSRLFYATVAVLVILLLRHHAPDEAARARYQKKVSNIIDDVLEWSPKLALSGMMEKHTGTNASETSNHTSGTGSSPVPPTNEGNTAKADPDMDRQTNSDKVQDGDEYGDDMRTRFSEV >ORUFI02G15360.1 pep chromosome:OR_W1943:2:12915959:12917321:-1 gene:ORUFI02G15360 transcript:ORUFI02G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELPCQETIKDHGGLPCHLRGHETLHNLVDEALLLKEARSWSHANLPYANVFGSFKIRPCCIDQIKQSLLP >ORUFI02G15370.1 pep chromosome:OR_W1943:2:12917673:12917939:1 gene:ORUFI02G15370 transcript:ORUFI02G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVVVMVIVAEGIELEMHLLRKLLQEKPPQDLTAVTEMESPIHMIPLIEMFDLGAARRRRWVADSGGSRKSVCWCHNISIGVIVC >ORUFI02G15380.1 pep chromosome:OR_W1943:2:12918074:12922391:1 gene:ORUFI02G15380 transcript:ORUFI02G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVDWREGWGTGDGGGDRGSMVDAGIRSSGDDDNLERCVGATAAGEATSLPPPLQAWLVASMLTTPGGGRWAMGVGGVVHTEEGAAMTQGAHRKEHRRRA >ORUFI02G15390.1 pep chromosome:OR_W1943:2:12923441:12923812:-1 gene:ORUFI02G15390 transcript:ORUFI02G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASSAPATTTVLTAALHVEHGKNNGNANGWASANNNGSSSPDTRRNIFI >ORUFI02G15400.1 pep chromosome:OR_W1943:2:12924238:12924971:-1 gene:ORUFI02G15400 transcript:ORUFI02G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKIGCYSVREVHGEFCIDEKAFNMNGLYIRKGYQVYFPSNQTACHSELSTNGNCKLKTSCAGRPGDAQVTTMVMSVGFARHGWIELYYHMAHLGLGRSPSIISPWIEAFQNQEFQDKKGKIQFGLGLGQPGYTWTKTLVSLSSGVRFGRVSTRWKANFMAHVVDRAHDTNSFWFHRKSRNKLTVL >ORUFI02G15410.1 pep chromosome:OR_W1943:2:12926308:12928657:1 gene:ORUFI02G15410 transcript:ORUFI02G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAIDEYSAEDPLQPWLERGLLKSAGGDLRPDDDLYKKDLRYLKTKSGTSAFMDFTARQFHSPKSVVQSNKCKTSPLMNMGHFANPK >ORUFI02G15410.2 pep chromosome:OR_W1943:2:12926292:12928657:1 gene:ORUFI02G15410 transcript:ORUFI02G15410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGIAQVGWW >ORUFI02G15420.1 pep chromosome:OR_W1943:2:12928180:12929779:-1 gene:ORUFI02G15420 transcript:ORUFI02G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTTGSESSTTICERRRRIVGGGAEEIGEAAPIWMLVRARGFVPIDPAAAQVELLRGVWDWGSARRGESEGAAMMADAVSVGLGYGSGAAIPWDGSDARWEVRERNGPCSYREQIQEDFGEWNCRAVKSMKAEVPDLVCTEGFISTQCKLGVKKNIMTEYLFKWGGNVHCVQAEGTTGFVDGMPSKTDT >ORUFI02G15430.1 pep chromosome:OR_W1943:2:12929447:12933986:1 gene:ORUFI02G15430 transcript:ORUFI02G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPYLPDLPPRVAPIPRNRRTAPISQSHGNRIRHHRRTLALPASRRPPIPNPPQQLHLRRRRIDGNEPPRSHQHPDRRRLTDLLCAAAHDSPSSLTNRRRRFAARRRRLHRCHLRLLCPRSPSLSVIHRHPDHRVAHEGAVLVKCWGGDDLWGGEWGTSSERAPWTRGTWGGIAARDNTRERKRGKSGNFLPRFSTMGPQMSPSTGDLRRLFVCLPILGVLVRVMLDLSFWS >ORUFI02G15430.2 pep chromosome:OR_W1943:2:12929447:12933619:1 gene:ORUFI02G15430 transcript:ORUFI02G15430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPYLPDLPPRVAPIPRNRRTAPISQSHGNRIRHHRRTLALPASRRPPIPNPPQQLHLRRRRIDGNEPPRSHQHPDRRRLTDLLCAAAHDSPSSLTNRRRRFAARRRRLHRCHLRLLCPRSPSLSVIHRHPDHRVAHEGAVLVKCWGGDDLWGGEIMQTE >ORUFI02G15440.1 pep chromosome:OR_W1943:2:12940822:12943674:1 gene:ORUFI02G15440 transcript:ORUFI02G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHSSCRVISVTPPQRITVASHHVKAGVEQAGRPWTAVPNALDMRSVVDNVLNLLQIKILPCPSPSFSIMIDLLSSLSKTNHSFAVAEGKPQLVSLAQAGNEISHESPFADITNLIDTKLTNKRAAVNQNEINVPKDRDNCEQISKNSTDKIQTASTIGFGSLLIMFIFFNMIYL >ORUFI02G15440.2 pep chromosome:OR_W1943:2:12940822:12943674:1 gene:ORUFI02G15440 transcript:ORUFI02G15440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHSSCRVISVTPPQRITVASHHVKAGVEQAGRPWTAVPNALDIFAVAEGKPQLVSLAQAGNEISHESPFADITNLIDTKLTNKRAAVNQNEINVPKDRDNCEQISKNSTDKIQTASTIGFGSLLIMFIFFNMIYL >ORUFI02G15440.3 pep chromosome:OR_W1943:2:12941261:12943674:1 gene:ORUFI02G15440 transcript:ORUFI02G15440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHSSCRVISVTPPQRITVASHHVKAGVEQAGRPWTAVPNALDMYYIASCHCSRSPFADITNLIDTKLTNKRAAVNQNEINVPKDRDNCEQISKNSTDKIQTASTIGFGSLLIMFIFFNMIYL >ORUFI02G15450.1 pep chromosome:OR_W1943:2:12978841:12979463:1 gene:ORUFI02G15450 transcript:ORUFI02G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTMKMYHWIQQPYEHNDACLTKACSSSPRTEEETDAASEVGTRGSAAGTGEPACWRRRQRSRQGAGARARQMEEEEEARRRPELAGVIGSKQLTAAVGHHAEQLLHAAPRDGGEGPAARAAADYAEA >ORUFI02G15460.1 pep chromosome:OR_W1943:2:12980478:12985906:-1 gene:ORUFI02G15460 transcript:ORUFI02G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASTFATHPAAAAAARRRGPIRWRLPFCSQIVTVTLRRRFPMARLSITNALASQSLESAPAAPPKHSFPILVNSCTGKMGKAVAEAAVSAGLQLVPVSFSAIEVPDGKVEICDREIYIRDPSEGESILPSIAKDYPDMIVVDYTVPDAVNANAELYCKLGLPFVMGTTGGNRQLLHKTVEDANVYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYKLEVMESHQATKLDISGTAKAVISCFQKLGVSFNLNEVKQVRDPQEQLTLVGVPEEHLSGHAFHMYHLTSPDETVSFEFQHNVCGRSIYAEGTVDAALFLHKKIQSGANKKLYDMIDVLREGNMR >ORUFI02G15470.1 pep chromosome:OR_W1943:2:12990615:12991415:1 gene:ORUFI02G15470 transcript:ORUFI02G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASSSSTAAHKNYMIHHYHLVQDSCFMQDTEGVEEEVRGVCNAVLFVYAHNKNEIKGSSSGATGKLAAHTHFIEHILSVLDFLNVLYCDQTNKVFNWHTVFSFGLK >ORUFI02G15480.1 pep chromosome:OR_W1943:2:13061837:13063189:-1 gene:ORUFI02G15480 transcript:ORUFI02G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDDMIAACSMKCPVRSFIPGLNLLRGNEHQMNMHLNIVQIGCYMVPMDSNFGASRLTSLTGSAGDLPLGVLSDITNLSAAELRRKCARERYALLSVDEKEARNKKAREKRRQKKEKCQGGNQSGAAVKGNYKPTQLILGHLFY >ORUFI02G15490.1 pep chromosome:OR_W1943:2:13075926:13081441:-1 gene:ORUFI02G15490 transcript:ORUFI02G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACICKCTECLVVWDNKLNFHLADIIFTYTTPVVIRDHTASPFCPSRKVALTAINLGKVKGIAGEEYLAKDCICIIAWVSTSLISFTPPTYISSKYTL >ORUFI02G15490.2 pep chromosome:OR_W1943:2:13077122:13082021:-1 gene:ORUFI02G15490 transcript:ORUFI02G15490.2 gene_biotype:protein_coding transcript_biotype:protein_coding LPGSSSSDLLHPRRSLPSASPGHATDLLRATAGTPTPALPTTNKYSERHSQSYKISVGSPLCPECLVVWDNKLNFHLADIIFTYTTPVVIRDHTASPFCPSRKVALTAINLGKVKGIAGEEYLAKDCICIIAWVSTSLISFTPP >ORUFI02G15490.3 pep chromosome:OR_W1943:2:13077122:13082149:-1 gene:ORUFI02G15490 transcript:ORUFI02G15490.3 gene_biotype:protein_coding transcript_biotype:protein_coding LRAVVPRFPTVPPPLASPPRRRPSPLHRAVSAPPRRYITVARSCQGRRPPISFTRADLSRPRRQATPPISSVPPLEHPRPRCRRRTKCLVVWDNKLNFHLADIIFTYTTPVVIRDHTASPFCPSRKVALTAINLGKVKGIAGEEYLAKDCICIIAWVSTSLISFTPP >ORUFI02G15490.4 pep chromosome:OR_W1943:2:13077124:13081441:-1 gene:ORUFI02G15490 transcript:ORUFI02G15490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MACICKCTECLVVWDNKLNFHLADIIFTYTTPVVIRDHTASPFCPSRKVALTAINLGKVKGIAGEEYLAKDCICIIAWVSTSLISFTPP >ORUFI02G15500.1 pep chromosome:OR_W1943:2:13093737:13113406:-1 gene:ORUFI02G15500 transcript:ORUFI02G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSSSLSCRACNARWHEVRAWNMSSTTSLTPSSPAPRRHHASHRVAPSLRIVNCLRSYVSHQSPPLHLVNRLRASTCLGLFNNVSRLDLIHRKEQKRPIHLGIFLKSSYLLPVDTFTQAYGTIQVYSDFRLQITDTSRAVIPTPGLLAPATASLPQHRQKRVSLAPRPALYRRRSQEGSRRSRRTGSAVQRQRTRLRFCLRATKSSLLPSALAFYCYISSCYVLLFLFHLCAETCLLRNLTQGVFEMSGRRSFFASKKPSRSSNPFDSDSDDGGREQRPARASSVPPPADQRGSLFGGGDGFSASSAAARSRYRNDFRDTGGVEAQSVQELEGYAAYKAEETTQRVQGCVRIAEEMRDTASKSLVTIHQQGQQITRTHMMTLDIDQDLSRSEKLLGDLGGIFSKKWKPKKNGEIRGPMLTRDDSFIRKGSHLEQRHKLGLSDHPPQSNARQFHSEPTSALQKVEMEKAKQDDGLSDLSNILTELKGMAVDMGTEIDRQTKALGDSEKDYDELNFRIKGANTRARRLLGK >ORUFI02G15500.2 pep chromosome:OR_W1943:2:13093735:13113406:-1 gene:ORUFI02G15500 transcript:ORUFI02G15500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSSSLSCRACNARWHEVRAWNMSSTTSLTPSSPAPRRHHASHRVAPSLRIVNCLRSYVSHQSPPLHLVNRLRASTCLGLFNNVSRLDLIHRKEQKRPIHLGIFLKSSYLLPVDTFTQAYGTIQVYSDFRLQITDTSRAVIPTPGLLAPATASLPQHRQKRVSLAPRPALYRRRSQEGSRRSRRTGSAVQRQRTRLRFCLRATKSSLLPSALAFYCYISSCYVLLFLFHLCAETCLLRNLTQGVFEMSGRRSFFASKKPSRSSNPFDSDSDDGGREQRPARASSVPPPADQRGSLFGGGDGFSASSAAARSRYRNDFRDTGGVEAQSVQELEGYAAYKAEETTQRVQGCVRIAEEMRDTASKSLVTIHQQGQQITRTHMMTLDIDQDLSRSEKLLGDLGGIFSKKWKPKKNGEIRGPMLTRDDSFIRKGSHLEQRHKLGLSDHPPQSNARQFHSEPTSALQKVEMEKAKQDDGLSDLSNILTELKGMAVDMGTEIDRQTKALGDSEKDYDELNFRIKGANTRARRLLGK >ORUFI02G15500.3 pep chromosome:OR_W1943:2:13093737:13113406:-1 gene:ORUFI02G15500 transcript:ORUFI02G15500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSSSLSCRACNARWHEVRAWNMSSTTSLTPSSPAPRRHHASHRVAPSLRIVNCLRSYVSHQSPPLHLVNRLRASTCLGLFNNVSRLDLIHRKEQKRPIHLGIFLKSSYLLPVDTFTQAYGGVDYSDHRHQPRRDSDTGTPCPCDSFSTATPSSDIFCTLTGNLSTALRTRSACPWLPAPHSTAEEARKGVGGAGGQGQRSRGNARDCETCLLRNLTQGVFEMSGRRSFFASKKPSRSSNPFDSDSDDGGREQRPARASSVPPPADQRGSLFGGGDGFSASSAAARSRYRNDFRDTGGVEAQSVQELEGYAAYKAEETTQRVQGCVRIAEEMRDTASKSLVTIHQQGQQITRTHMMTLDIDQDLSRSEKLLGDLGGIFSKKWKPKKNGEIRGPMLTRDDSFIRKGSHLEQRHKLGLSDHPPQSNARQFHSEPTSALQKVEMEKAKQDDGLSDLSNILTELKGMAVDMGTEIDRQTKALGDSEKDYDELNFRIKGANTRARRLLGK >ORUFI02G15500.4 pep chromosome:OR_W1943:2:13093735:13113406:-1 gene:ORUFI02G15500 transcript:ORUFI02G15500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSSSLSCRACNARWHEVRAWNMSSTTSLTPSSPAPRRHHASHRVAPSLRIVNCLRSYVSHQSPPLHLVNRLRASTCLGLFNNVSRLDLIHRKEQKRPIHLGIFLKITDTSRAVIPTPGLLAPATASLPQHRQKRVSLAPRPALYRRRSQEGSRRSRRTGSAVQRQRTRLRFCLRATKSSLLPSALAFYCYISSCYVLLFLFHLCAETCLLRNLTQGVFEMSGRRSFFASKKPSRSSNPFDSDSDDGGREQRPARASSVPPPADQRGSLFGGGDGFSASSAAARSRYRNDFRDTGGVEAQSVQELEGYAAYKAEETTQRVQGCVRIAEEMRDTASKSLVTIHQQGQQITRTHMMTLDIDQDLSRSEKLLGDLGGIFSKKWKPKKNGEIRGPMLTRDDSFIRKGSHLEQRHKLGLSDHPPQSNARQFHSEPTSALQKVEMEKAKQDDGLSDLSNILTELKGMAVDMGTEIDRQTKALGDSEKDYDELNFRIKGANTRARRLLGK >ORUFI02G15500.5 pep chromosome:OR_W1943:2:13093735:13113406:-1 gene:ORUFI02G15500 transcript:ORUFI02G15500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSSSLSCRACNARWHEVRAWNMSSTTSLTPSSPAPRRHHASHRVAPSLRIVNCLRSYVSHQSPPLHLVNRLRASTCLGLFNNVSRLDLIHRKEQKRPIHLGIFLKKRVSLAPRPALYRRRSQEGSRRSRRTGSAVQRQRTRLRFCLRATKSSLLPSALAFYCYISSCYVLLFLFHLCAETCLLRNLTQGVFEMSGRRSFFASKKPSRSSNPFDSDSDDGGREQRPARASSVPPPADQRGSLFGGGDGFSASSAAARSRYRNDFRDTGGVEAQSVQELEGYAAYKAEETTQRVQGCVRIAEEMRDTASKSLVTIHQQGQQITRTHMMTLDIDQDLSRSEKLLGDLGGIFSKKWKPKKNGEIRGPMLTRDDSFIRKGSHLEQRHKLGLSDHPPQSNARQFHSEPTSALQKVEMEKAKQDDGLSDLSNILTELKGMAVDMGTEIDRQTKALGDSEKDYDELNFRIKGANTRARRLLGK >ORUFI02G15500.6 pep chromosome:OR_W1943:2:13093735:13113406:-1 gene:ORUFI02G15500 transcript:ORUFI02G15500.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSSSLSCRACNARWHELTPSSPAPRRHHASHRVAPSLRIVNCLRSYVSHQSPPLHLVNRLRASTCLGLFNNVSRLDLIHRKEQKRPIHLGIFLKKRVSLAPRPALYRRRSQEGSRRSRRTGSAVQRQRTRLRFCLRATKSSLLPSALAFYCYISSCYVLLFLFHLCAETCLLRNLTQGVFEMSGRRSFFASKKPSRSSNPFDSDSDDGGREQRPARASSVPPPADQRGSLFGGGDGFSASSAAARSRYRNDFRDTGGVEAQSVQELEGYAAYKAEETTQRVQGCVRIAEEMRDTASKSLVTIHQQGQQITRTHMMTLDIDQDLSRSEKLLGDLGGIFSKKWKPKKNGEIRGPMLTRDDSFIRKGSHLEQRHKLGLSDHPPQSNARQFHSEPTSALQKVEMEKAKQDDGLSDLSNILTELKGMAVDMGTEIDRQTKALGDSEKDYDELNFRIKGANTRARRLLGK >ORUFI02G15500.7 pep chromosome:OR_W1943:2:13093737:13095414:-1 gene:ORUFI02G15500 transcript:ORUFI02G15500.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRSFFASKKPSRSSNPFDSDSDDGGREQRPARASSVPPPADQRGSLFGGGDGFSASSAAARSRYRNDFRDTGGVEAQSVQELEGYAAYKAEETTQRVQGCVRIAEEMRDTASKSLVTIHQQGQQITRTHMMTLDIDQDLSRSEKLLGDLGGIFSKKWKPKKNGEIRGPMLTRDDSFIRKGSHLEQRHKLGLSDHPPQSNARQFHSEPTSALQKVEMEKAKQDDGLSDLSNILTELKGMAVDMGTEIDRQTKALGDSEKDYDELNFRIKGANTRARRLLGK >ORUFI02G15510.1 pep chromosome:OR_W1943:2:13109363:13109971:1 gene:ORUFI02G15510 transcript:ORUFI02G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANWPRAAGSGWRSRSASAATWRRRASGGGEVWENGSSRTTANWPRAVGSGEWVAGQIGVGSDLATEGFRRRGGVGARVTARDGELVACSGEWVVEQISVGGDLATEGFRRRGGTRFGEAMEGVGRDRRRRRWRGKGATTMVRRRRGAMGDTARADEGGEIGAIHGNHEIDGAIARRSRVDVGRSAEGEGSKLHTIWTVGL >ORUFI02G15520.1 pep chromosome:OR_W1943:2:13116029:13117966:-1 gene:ORUFI02G15520 transcript:ORUFI02G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGALATAPSLASSALPLVVSASAAAPSTRLAELLPWWVASSPQLLHPLLRKRLGGSTLLVAHGVSLVSVRSSRLMWIGFDLLYGRERRGDK >ORUFI02G15530.1 pep chromosome:OR_W1943:2:13118989:13128203:-1 gene:ORUFI02G15530 transcript:ORUFI02G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLITLIRDYIDRMLHDIPGMKVLVLDPDTVGMVSVVYSQSDLLRKEVFLVETVDNASSSRESMAHLKAVYFLRPSSDNVQKLRRHLAAPLFSNVLKIPQIQVLADSDEQEVVQQVQEFYADFCAIDPYHFTLNIRNNHVYMLPMVVDPPGMQSFCDRAVDGIASVFLALKRRPVIRYQRTSDVAKRIAQETARLMYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIENNKVDLREYPNVPKDQKEVVLSSVQDEFFRANMFENFGDLGMNIKRMVDDFQHLSKTSQNIQSISDMSKFLSNYPEYRKTHGNVTKHVALVSEMSRIVEERKIMLISQTEQELACTSGQAAAFEAVTSLLNNESVSDIDRLRLVLLYALRYEKESPVQLMQLFNKLASRSAKYKSGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLFQTMEGIVKARLRDADYPLVGNHFQQNRPQDVVLFIVGGTTYEEARSVALYNAANPGVRFFLGGSVVLNSKRFLDDLGEAQRISKSSSLV >ORUFI02G15540.1 pep chromosome:OR_W1943:2:13142093:13150250:-1 gene:ORUFI02G15540 transcript:ORUFI02G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTTATFSSPSPPPIHPLQTSPLHHPSHATKRLNGLATLCIEKKLLDEIDIDAIVDDFASASFSLTSLWRNELDGGSLSSTVILNFVYSLAKRQ >ORUFI02G15550.1 pep chromosome:OR_W1943:2:13153731:13164750:1 gene:ORUFI02G15550 transcript:ORUFI02G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESQTSKLSCEHMYSWYFTREELEKFSSSRKDGITEIMESEIRQLYCSFIRDVGIRLKLPQMTIATAIMFCHRFYLYQSLAKNGWQTIATVCIFLASKVEDTPCPLDQVIRVAYGTMYRRDPATARRIHQKDVFEKQKALILTGERLVLTTVRFDFNIQHPYRPLLDAMEKLGISQKEVKQVAWNFVNDWLKTTLCLQYKPQYIAAGSLYLAAKFQNVKLPVHGGHVWWHQFDVAPKPLEAVLQQMREMVHMKAKLFAHPSPAKQKEVLFEGMLLISNSPDSVLTQSSLSVSSSSPEIGDPNDHLQVDSSQDIVHIEDRSKSYPERNLSNLTADMNNPGKTHNKESLDQALKIKHGGLISCNQQIPLDAIAKIDSSTAKCVEQNIGICCSSSNTFNGKILNPFSISQRSGDKTKLCSEGGSSLTDVDSKSTQSVEPPTTICNHTSDSLNVDSLCSDQRLANSTAGTTEKASFVLPVQIKVDHLCVERKKVDVARIKDLLMKRKRRRERQGRCIPSVDLSEEAWIERELESGIVFKKVDHVVASYDLSDEGWIERELESGIVIGQKNDQPVSLDGLTEDDWIERELESGIIVEPGPAGKKLKSKLLSEGHEIMNSRWEINGKSMQNQVT >ORUFI02G15560.1 pep chromosome:OR_W1943:2:13159335:13161146:-1 gene:ORUFI02G15560 transcript:ORUFI02G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFKRIAKQMDGVLFCATMRVMLLFAGAGRIEFVNDAISAEARACLVALLTISVQGVSAVEIETDSAILALAIKSSSHDLATGATIFTEIKTLLQFQFASFEVSFAPRSCNKVAHELAHLGTVYNEGLAANKDGFGISQSLIRAQTVHIETVTGMVVIGVSNFRTRAAY >ORUFI02G15560.2 pep chromosome:OR_W1943:2:13160546:13161089:-1 gene:ORUFI02G15560 transcript:ORUFI02G15560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMLLFAGAGRIEFVNDAISAEARACLVALLTISVQGVSAVEIETDSAILALAIKSSSHDLATGATIFTEIKTLLQFQFASFEVSFAPRSCNKVAHELAHLGTVYNEGLAANKDGLYIYT >ORUFI02G15570.1 pep chromosome:OR_W1943:2:13164797:13165144:1 gene:ORUFI02G15570 transcript:ORUFI02G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCATQSSVRPAPPSSVRHRLYPRQPRRCPFAAAIVVVLRSFRASKRGHRACLGRSSACGARRCSRAEPGAASRWRRYDAGSSLPGLVSSMPWTSTRRCDHQGRRGHRRRGLMPRP >ORUFI02G15580.1 pep chromosome:OR_W1943:2:13192796:13194983:1 gene:ORUFI02G15580 transcript:ORUFI02G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQGGARVAPDNGAWARGGGRATPTGGGSEAGGGGASLPGVHQENMGLQRKIKILAKRTIQEAQAVTLHPAKTKRPRSEEEEEAAASTPTALCGGGGGLEGALHCPPAPKKPRLVMGCSLNGFKVLSVVDLRFFLR >ORUFI02G15590.1 pep chromosome:OR_W1943:2:13204468:13207746:1 gene:ORUFI02G15590 transcript:ORUFI02G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRLNSSTADAAKSSKSTPHVAATARPVACGIPRHAAARAERSPALVEKTPSPSSADHRSPKISSRISTLPSAEKHRTAVKKQSMEQLAAIQEDLRRAKEQLAKKETEHRKVADDARRTADEANAKLRYALAELKKAEEASETEMFRAIELEQTTIESTQRKDELQRRLEATRRQQEADAAALRSMVAQLEEARLELADAIDAKNLALSHADDAIRAGEANAAQVELLNAEINRLKDSFNSELESKVKESAEKTRKLEAETSVLRIKLKKAKVAEEKVAELEGAVEGLRADVANAIKARREADGLVGEWKKKAQLLEIKLELANQSSILKAESMSSVMKELDAANALLQVKESQIALLHDKIESLEHEVVRQNEDINASGQRVDAAQRGALALRTEIQELRSRLGAMEQEKRGTIKDGSFTSSQIEAICEEKDKLAKELESSKYECEKVRKAMEDMASALQEMSAEARESQENYLHKEKEIEHTRAKLQELNISLNNTRDNYEVMLDEANYERICLKNKVEQLEAEAKTTSEEWRSKELSFVSSITKSEEEIMSMRTRLGKALETARDMENRNAQLEEKVRELEALMDKDNNYRGGKDTKAYKENDGLHLHVKESSGSEKIKDLYSLIGNDEGNTEKDGPVLLVSKMWENSYNLSKERDDGEPEVDLLDTDRDIAADGNGSRLSTEKTNSNTKLVVKQNQQKKALMKKFGGLLKKKSQH >ORUFI02G15600.1 pep chromosome:OR_W1943:2:13209337:13223604:1 gene:ORUFI02G15600 transcript:ORUFI02G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQGKLIQKNKVEFPKLVEEKIDKKSEGDGDKEEYKPTLQGEIWEWARPVSDLARSRLLPSSYSSSRPSGSSMGTRRAREGRPRVTRRQPGEARRSSSDLPPVTTTRGDAEASGS >ORUFI02G15610.1 pep chromosome:OR_W1943:2:13246595:13247920:1 gene:ORUFI02G15610 transcript:ORUFI02G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASAWAKPGSWALAAEEQDDLPPPPPPVPAADFPSLATAATTKVPKKKKPQPVPLGEFNSTKFVAPAYRGPTQDDLLSLPTGPRERTAEELANATRGFGARWGGAGAGGPRGDDEPRRGGSGPQDFGPSRADEADDWGAGKKPLERRERMGGFGVDSSMSRADDVDDWVSTKRAAAPAPMERRERSVAFGADSHSRADDSASWISNKGYSAAPPPPSDSRRGGPVWGFNRDGGPDADSWERRREEVSGGGSSGGARPRLNLQKRTLPLANGTDGEGKEDKEEEKGEMQPKSRSSNPFGAARPREVVLATKGDDGRKEEEKEKEEEKLEIQPRTRTSNPFGAARPREEVLAAKGEDWRKIDEKLEAMKMREAPPPERRSFGRRGSPVRGEDNGSRPLPESHVEGAWKKPDAVQAVGESEDGSDKLNTAEAARKFEEGFDN >ORUFI02G15620.1 pep chromosome:OR_W1943:2:13251874:13253039:-1 gene:ORUFI02G15620 transcript:ORUFI02G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKAAFELGCIVCPIAIKYNKEFTDTFWDIELFRLMTSWGVVCDVWFLDPQQIMPGETAIELALHLK >ORUFI02G15630.1 pep chromosome:OR_W1943:2:13255568:13262854:-1 gene:ORUFI02G15630 transcript:ORUFI02G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANLLSRALLPALNPNPSSHSNRVSPSAVSLRCRHGLTASVRASLSTAAPSPPPRPAAAAADGRAPKRCFRRGADGHLYCEGVRVEDAMGAAERTPFYLYSKPQVVRNFTAYRDALEGLRSIVGYAVKANNNLRVLQLLRELGCGAVLVSGNELRLALRAGFDPTRCIFNGNGKTLEDLVLAAESGVFVNIDSEFDLENIVTAARVAGKKVPVLLRINPDVDPQVHPYVATGNKTSKFGIRNEKLQWFLDSIKSYSNDITLVGVHCHLGSTITKVDIFRDAAGLMVNYVDEIRAQGFELEYLNIGGGLGIDYHHTDAVLPTPMDLINTVRELVLSRDLTLIIEPGRSLIANTCCFVNRVTGVKSNGTKNFIVVDGSMAELIRPSLYGAYQHIELVSPSPDAEVATFDIVGPVCESADFLGKDRELPTPDKVEDDGSIAKIRRGESFDDYMKFFDNLSA >ORUFI02G15640.1 pep chromosome:OR_W1943:2:13275043:13276054:-1 gene:ORUFI02G15640 transcript:ORUFI02G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLARSHRRGEAHQHAEQRSLPMGGVAGVEVLVGGGVSTTYVGCSRTSMSISTPVMPRSCVLESAYEFWILDPHNDLYVCQVMAIVSGKSLENQMQLLDGQSKAMVGLINLYSFQA >ORUFI02G15650.1 pep chromosome:OR_W1943:2:13283471:13284529:-1 gene:ORUFI02G15650 transcript:ORUFI02G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGRFGTATASALRSTAIRFLPRGGSRDYENPYPVRGWSGDGGGRRGTRLAAGAGFAQGLQRGCRDSYGEPGAVGLALSLRASALAGGGGSGLARRAARPALPGAASAVQTRVRGLCRRRQRHRLCAGRRQRRKAASVWLGRHRAEGHGVGLATAAAVVGAWAWQGIGRDGVGFMQPWHSGIRGQRVAQCRVASLLGQREGERESKRAAAPAGADLQC >ORUFI02G15660.1 pep chromosome:OR_W1943:2:13285344:13297317:1 gene:ORUFI02G15660 transcript:ORUFI02G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLSRPLEHRLSSPTLDGHYEEKRKSNVEYSEDEKKAKIISLKKKAMSASQKLRHSMKKGRRSSKVISISIADERDPEEVQAVDAFRQLLVLEELLPSHHDDYHMMLRFLKARKFDVEKAKQMWVDMLQWRKEFAADTILEDFEFEEADKVAECYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFIKNHVREFEKNFAVKFPACSIAAKCHIDQSTTILDVQGVGMKQFSKAARDLIGQLQKIDGDNYPETLCRMFIINAGPGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDAEILKMVQSGAGWCGNLSLNHLDAEEKMMICEDDTMHTKTLGSAYSCDVPMVEKAIDAICQSKGLPDENVTITKAIVNASNGSNPPLYGGIMALVMSIATMLRVSRNMPKKVLGATLGAQKMPADKEEMLKTAVSRVSALEEELAATKKALQETLERQEEIMAYIEKKKKKKSKRLFRW >ORUFI02G15660.2 pep chromosome:OR_W1943:2:13285344:13297317:1 gene:ORUFI02G15660 transcript:ORUFI02G15660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLSRPLEHRLSSPTLDGHYEEKRKSNVEYSEDEKKAKIISLKKKAMSASQKLRHSMKKGRRSSKVISISIADERDPEEVQAVDAFRQLLVLEELLPSHHDDYHMMLRFLKARKFDVEKAKQMWVDMLQWRKEFAADTILEDFEFEEADKVAECYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFIKNHVREFEKNFAVKFPACSIAAKCHIDQSTTILDVQGVGMKQFSKAARDLIGQLQKIDGDNYPETLCRMFIINAGPGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDAEILKMVQSGAGWCGNLSLNHLDAEEKMMICEDDTMHTKTLGSAYSCDVPMVEKAIDAICQSKGLPDENVTITKAIVNASNGSNPPLYGGIMALVMSIATMLRVSRNMPKKVLGATLGAQSTSKIQAQQLSEISVEAVSVAEYVSSTKRLSDIEEKVIAILTKPAEMPADKEEMLKTAVSRVSALEEELAATKKALQETLERQEEIMAYIEKKKKKKSKRLFRW >ORUFI02G15660.3 pep chromosome:OR_W1943:2:13293509:13297317:1 gene:ORUFI02G15660 transcript:ORUFI02G15660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCPATLDGHYEEKRKSNVEYSEDEKKAKIISLKKKAMSASQKLRHSMKKGRRSSKVISISIADERDPEEVQAVDAFRQLLVLEELLPSHHDDYHMMLRFLKARKFDVEKAKQMWVDMLQWRKEFAADTILEDFEFEEADKVAECYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFIKNHVREFEKNFAVKFPACSIAAKCHIDQSTTILDVQGVGMKQFSKAARDLIGQLQKIDGDNYPETLCRMFIINAGPGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDAEILKMVQSGAGWCGNLSLNHLDAEEKMMICEDDTMHTKTLGSAYSCDVPMVEKAIDAICQSKGLPDENVTITKAIVNASNGSNPPLYGGIMALVMSIATMLRVSRNMPKKVLGATLGAQSTSKIQAQQLSEISVEAVSVAEYVSSTKRLSDIEEKVIAILTKPAEMPADKEEMLKTAVSRVSALEEELAATKKALQETLERQEEIMAYIEKKKKKKSKRLFRW >ORUFI02G15670.1 pep chromosome:OR_W1943:2:13353878:13356625:-1 gene:ORUFI02G15670 transcript:ORUFI02G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQEKLVQEAVDTLLDSGSRGQPTRDGHNKVYKSLSDVIEDGSSFTYVFSYESPISRYWRSYLRTNPRHAYRTLCINDWKPSRTLEDEYRTREKDSENEYGSPENEYRTREEECKTLEDEYRTREEEYETLEDEYGIPENEYETLEDEYGILEDEYRTREEESEDEYGKNKYRPREDKYGTLEEDSEDEHGTLEEDSEEDSEDEYGNPEEDSVLKKGVLIEHRGTKEFSLKYQKEVD >ORUFI02G15670.2 pep chromosome:OR_W1943:2:13353878:13356625:-1 gene:ORUFI02G15670 transcript:ORUFI02G15670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCQEKLVQEAVDTLLDSGSRGQPTRDGHNKVYKSLSDVIEGKEGRTLEDEYRTREKDSENEYGSPENEYRTREEECKTLEDEYRTREEEYETLEDEYGIPENEYETLEDEYGILEDEYRTREEESEDEYGKNKYRPREDKYGTLEEDSEDEHGTLEEDSEEDSEDEYGNPEEDSVLKKGVLIEHRGTKEFSLKYQKEVD >ORUFI02G15680.1 pep chromosome:OR_W1943:2:13364498:13366882:-1 gene:ORUFI02G15680 transcript:ORUFI02G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGRVTKEENDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFALGGWFTGTTFVTSWYTHGLASSYLEGCNFLTVAVSPPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFALIGFMLRQFELARSVQLWPYNAISFSGPIAVFVSVFPIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGTNTFRTFNPTQAEDTYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSAIGVVGLALNLLAYDFVSQEIRAAEDPEFETFYTKNILLNKGIRAWMAAQDQPHENLIFPEEVQPPGHDQETTGFAWWAGNARLINLSGKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLSTLGWGIGSGGEVLDTFLYFVTGVLHLISSAVLGFGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFLLVLKALYFGGIYDTWAPGGGDVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFFFVGHLWHAGRARAAAAGFEKGIDRDLEPVLYMTPLN >ORUFI02G15690.1 pep chromosome:OR_W1943:2:13402905:13403195:1 gene:ORUFI02G15690 transcript:ORUFI02G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKIAPAANLKQILRRCSSLGRRQQQQGAVPRGHFPVYVGESRCRYVVPIACLEHPDFLLLLRKAEEEFGFEHDAAITLPCHEADFEALLAALTA >ORUFI02G15700.1 pep chromosome:OR_W1943:2:13406484:13407483:1 gene:ORUFI02G15700 transcript:ORUFI02G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKERSIHRSAALILRLLSAFVVRDGAIEMHDAPPFCYFLLTFCFVNCGLLLYAMKNWTQSDQQLTKKSRVHGLLYFFLEQFTTLLYYPCTTKCGVDV >ORUFI02G15710.1 pep chromosome:OR_W1943:2:13408331:13408567:1 gene:ORUFI02G15710 transcript:ORUFI02G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTSAVAGWSSSQQSTCPWHSLSSPACRSTAATGQQQQAATGATIVISTAEFSCPVLESEQLNTVLSSNDNEMNYKY >ORUFI02G15720.1 pep chromosome:OR_W1943:2:13425039:13425365:1 gene:ORUFI02G15720 transcript:ORUFI02G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGSKKPGQLKQMLRRCSSSLGIKGAGGDDDGLPGDVPRGHFAVYVGISRRRYIVPVACLAAPEFQELLRKAEEEFGFDHDMGITLPCDEATFHGVLASASATSIR >ORUFI02G15730.1 pep chromosome:OR_W1943:2:13426621:13431342:-1 gene:ORUFI02G15730 transcript:ORUFI02G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTARRRRRPRRRVRPPPVATPSSDPRLAAAGTGASTPPPPLPRRRMWSGWRWGRRRGRGACSPVDPAVLEAGLVWRLLAAAGQLTRGGAVAVAVDALLFEHLWWLQHTPTSSLPSPSWVINSPSSRPLAPPDSVDVIPPLAIVGRRAATPPPLPSHAAGPCRKTSTGHNHRCPLLQQIHATGRRPQSQCDLEVEKLGVGWVGLYEGFEGVCIADHGCGVVLPSWDAGSDRCSGRGDDCFFLGWEPPFANLAAADARISFPVCVPEDLWDASNSSNSDRARLIPAMFSIMYGKVGISADTPSSTSDSFFSDSLNSSCGQSLIGMSVVQVPLAFIVAPDIFRINRDILSFVSCIVSNQIKQTCASIIQDTSDTSSNTKTDLSSDTPSNTTMTGSTKRTFNELCFCAKNVDPTADLKKYIEDLECLDRSSKFYAVAVNNTFMKQDRVYCTKEFSQDYLKPLMEGKETISIGVQIAGGVSKNMILHMSTDGRCNLKKGWAKFATHNHIYLQSLCTFHFYKTTRLEATFDVL >ORUFI02G15730.2 pep chromosome:OR_W1943:2:13426621:13431342:-1 gene:ORUFI02G15730 transcript:ORUFI02G15730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTARRRRRPRRRVRPPPVATPSSDPRLAAAGTGASTPPPPLPRRRMWSGWRWGRRRGRGACSPVDPAVLEAGLVWRLLAAAGQLTRGGAVAVAVDALLFEHLWWLQHTPTSSLPSPSWVINSPSSRPLAPPDSVDVIPPLAIVGRRAATPPPLPSHAAGPCRKTSTGHNHRCPLLQQIHATGRRPQSQCDLEVEKLGVGWVGLYEGFEGVCIADHGCGVVLPSWDAGSDRCSGRGDDCFFLGWEPPFANLAAADARISFPVCVPEDLWDASNSSNSDRARLIPAMFSIMYGKVGISADTPSSTSDSFFSDSLNSSCGQSLIGMTCASIIQDTSDTSSNTKTDLSSDTPSNTTMTGSTKRTFNELCFCAKNVDPTADLKKYIEDLECLDRSSKFYAVAVNNTFMKQDRVYCTKEFSQDYLKPLMEGKETISIGVQIAGGVSKNMILHMSTDGRCNLKKGWAKFATHNHIYLQSLCTFHFYKTTRLEATFDVL >ORUFI02G15730.3 pep chromosome:OR_W1943:2:13429933:13431749:-1 gene:ORUFI02G15730 transcript:ORUFI02G15730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFFEKWLTLTHPPHALAAAALLPSSLSSHPLPLPLPPPSTVASPSRRSHRRPHRHRATATASTHSATAVASPFRSSSTAASRCRQAQLSLPSNGEGGHSLHQRRGTGASTPPPPLPRRRMWSGWRWGRRRGRGACSPVDPAVLEAGLVWRLLAAAGQLTRGGAVAVAVDALLFEHLWWLQHTPTSSLPSPSWVINSPSSRPLAPPDSVDVIPPLAIVGRRAATPPPLPSHAAGPCRKTSTGHNHRCPLLQQIHATGRRPQSQCDLEVEKLGCGFFANTRVNLANDMVGLDSMRVSRACALLTMAAGGPHTNSSLVVLPSWDAGSDRCSGRGDDCFFLGWEPPFANLAAADARISFPVCVPEVNGSFFLFFHRLC >ORUFI02G15730.4 pep chromosome:OR_W1943:2:13429933:13431342:-1 gene:ORUFI02G15730 transcript:ORUFI02G15730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTARRRRRPRRRVRPPPVATPSSDPRLAAAGTGASTPPPPLPRRRMWSGWRWGRRRGRGACSPVDPAVLEAGLVWRLLAAAGQLTRGGAVAVAVDALLFEHLWWLQHTPTSSLPSPSWVINSPSSRPLAPPDSVDVIPPLAIVGRRAATPPPLPSHAAGPCRKTSTGHNHRCPLLQQIHATGRRPQSQCDLEVEKLGVGWVGLYEGFEGVCIADHGCGVVLPSWDAGSDRCSGRGDDCFFLGWEPPFANLAAADARISFPVCVPEVNGSFFLFFHRLC >ORUFI02G15740.1 pep chromosome:OR_W1943:2:13462893:13478894:1 gene:ORUFI02G15740 transcript:ORUFI02G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMEITGLLLLNRRASSSLSCCRALLAAPNRSIRTASTASSDEGGDGGGGEAGGEVGAPFHIIAHRSASSYCHRRPLHPSPPYHHLLSIPFRCHSHTFQTTAAAASLCRLHQSLIG >ORUFI02G15750.1 pep chromosome:OR_W1943:2:13486138:13487153:1 gene:ORUFI02G15750 transcript:ORUFI02G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDVTMTNTTATTSTRHGKVICLQYREGKVKITCEPEANTPLQKGSGFGRSGDEGELSVTDPVMAEMTGCRSCGDEQQEHGSGDDQHKKHAPTVAGVIVGQHLTIT >ORUFI02G15760.1 pep chromosome:OR_W1943:2:13541918:13542868:1 gene:ORUFI02G15760 transcript:ORUFI02G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTASIHGHHLPPPFNTRDFHHHLQQQQHQLHLKTEDDQGGGTPGVFGSRGTKRDHDDDENSGNGHGSGGDGGDLALVPPSGGGPDGAGSESATRRPRGRPAGSKNKPKPPIIITRDSANTLRTHVMEVAGGCDISESITTFARRRQRGVCVLSGAGTVTNVTLRQPASQGAVVALHGRFEILSLSGSFLPPPAPPEATGLTVYLAGGQGQVVGGSVVGALTAAGPVVIMAASFANAVYERLPLEDDELLAAQGQADSAGLLAAGQQAAQLAGGAVDPSLFQGLPPNLLGNVQLPPEAAYGWNPGAGGGRPAPF >ORUFI02G15770.1 pep chromosome:OR_W1943:2:13562964:13570369:-1 gene:ORUFI02G15770 transcript:ORUFI02G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRTVLGFFGFGVGVTMGLVIGYYLFIYFQPTDVKDPVIRPLVELDTKSLESMLPEVPHWVKNPDFDRAICKTAKEIAKPIIAENTAKYKIDSVEFETLTLGSLPPTFQGMKVYTTDEQELIMEPSIKWAGNPNITVVVKAFGLKATAQVIDLHVFALPRITLKPLVPSFPCFAKIVVSLMEKPHVDFGLKLLGADLMAIPGLYVFVQEIIKTQVANMYLWPKVLEVPIMDPAKAQKKPVGILHVNIVRAVKLTKKDFLGKSDPYVKLKLTEEKLPSKKTSVKRSNLNPEWNEDFKLVVKDPESQALELTVYDWEQVGKHDKIGMSVIPLKELIPDEAKSLTLDLHKTMDANDPANDKFRGQLTVDVTYKPFKEGDSDVDTSDESGTIEKAPDGTPEGGGLLVVIVHEAQDVEGKHHTNPYVRIVFRGEERKTKHIKKNRDPRWEQEFQFVCEEPPINDKMQIEVISRPPSIGIHSKENLGYVVISLADVINNKRINEKYHLIDSKNGRIQLELQWRTS >ORUFI02G15780.1 pep chromosome:OR_W1943:2:13586959:13589484:1 gene:ORUFI02G15780 transcript:ORUFI02G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERKSRSRFASIRLGRSPAGLSSSPSRRRGSGSGGRRVRLAVRPAPASRSLPRREGSRALARSASEPALLLSGGRVHPEPRGLSPPSPPPPPLERPHTCFDVFTPDSPFGRSASAASLSNCNPREESKVVVSVTVEGSVGPVKAMVRLGASVGEAIAAVVERYAKEGRSPRLDPAAAEAFQLHHSHFCLQSLNKNDKIGDVGGRNFYLHKNDGNNRIYLQSEESGTNLVGGEIAHSFGGQQIVAINHDQFFAIFINKLDKIGRLTKRIWRLLTCNCT >ORUFI02G15780.2 pep chromosome:OR_W1943:2:13587585:13589485:1 gene:ORUFI02G15780 transcript:ORUFI02G15780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPERKSRSRFASIRLGRSPAGLSSSPSRRRGSGSGGRRVRLAVRPAPASRSLPRREGSRALARSASEPALLLSGGRVHPEPRGLSPPSPPPPPLERPHTCFDVFTPDSPFGRSASAASLSNCNPREVQKWTVVVVSRAVRGSELAGLRLVQESKVVVSVTVEGSVGPVKAMVRLGASVGEAIAAVVERYAKEGRSPRLDPAAAEAFQLHHSHFCLQSLNKNDKIGDVGGRNFYLHKNDGNNRIYLQSEESGTNLVGGEIAHSFGGQQIVAINHDQFFAIFINKLDKIGRLTKRIWRLLTCNCT >ORUFI02G15790.1 pep chromosome:OR_W1943:2:13638239:13638766:1 gene:ORUFI02G15790 transcript:ORUFI02G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGEEASPAAAASAVAEARPGDASTVATSPRETSSSRRRREEEQVGWASGGSSGRGARQREEERRAIRGGGEEEKEEEEESPGRRRRNGSPPQEEAQRRRCGRRGGAASSSPPTPRRRGLLPVDRAADPDPTSAAAVSSVIDRRGDGRIRLSRRRGRRGRREQTAARTKRVRE >ORUFI02G15800.1 pep chromosome:OR_W1943:2:13709591:13712023:1 gene:ORUFI02G15800 transcript:ORUFI02G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAKEEAAASAPAPAMGGEEAAARAAQKRYEGLLTVRAKAVKGKGAWYWAHLEPVLIPAADTGMPPKAVKLRCGLCSAVFSASNPSRTASEHLKRGTCPNFSAPPPGAAAASGSSGSQHQQQTPQAALQALPPPNSTASSPIPISSIAPSSPRHPHHHSQPQQPQSHHHHHHHSGSRKRHSMPPAYTAAEPVSHHHHLVVVDPSTVYSPPLPALPPPPPQQPQSALVLSGGKEDLGALAMLEDSVKRLKSPKASPGAMLPKPQADAALALLAEWFLESSGGVSLSAVANPKLRSFLRHVGLPELQRTDLAGARLDARFAEARADATARVRDALFFQLAADGWREQVVTLCVNLPNGTSVFHRGVPVPAPAPSDYAEEVLLDAVASVSASGSSNDLHHCAGIVADRFKSKALRDLENKHHWMVNLSCQIHGFTRLVRDFARELPLFRSAAAKSAKLAAYFNAKPTVRSLLHKHQIQELGHASLLRVAHVPFNSSGSDYRAAFEMLEDVLTSARPLQLAVLEESYKLVCIDDSAAREMADMLQDGSFWSEVEAVHLLVKLIMDMVKEMETDRPLVGQCLPLWEDLRGKVRDWCDKFNTDEGAALNVVEKRFRKNYHPAWSAAFILDPLYLIKDASGRYLPPFKFLTPDQEKDVDMLITRMVSREEAHIAVMELMKWRTEGLDPLYAQAVQVRQPDPSTGKMKVANKQSSRLVWETCLSELKSLGKVAVRLIFLHATARGFRCSPSMLRWLSAPGSLAGGIDRAHRLVFVAANSKLERRDFSSDEDKDAELLTEGDDDVLNEPGSLERSSV >ORUFI02G15810.1 pep chromosome:OR_W1943:2:13712759:13714746:1 gene:ORUFI02G15810 transcript:ORUFI02G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEGEAHFATASAKKASSQRTEEMIIGHNAVSIQQVMYCWGCVVVAKVVVAAAAVQTIAGMEKWMGKGGQVFGSY >ORUFI02G15820.1 pep chromosome:OR_W1943:2:13713055:13713273:-1 gene:ORUFI02G15820 transcript:ORUFI02G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMGEEQKAYRVCTFNSGFSSLSGPEPFRYRRDEAMRTGAIIARLGDDQGKEHEEEDDDDDNDRAHDNLT >ORUFI02G15830.1 pep chromosome:OR_W1943:2:13720054:13721155:1 gene:ORUFI02G15830 transcript:ORUFI02G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGGGGAMLPEMYQSTRRLLLVRRCRPDARSTVVARPGMAEAFRAHLIADGIGDGRIVGVHVLPFNPWSMASQPASSSVVLTCARRRRGAVLGTLPTAEHHYGCGQFCQI >ORUFI02G15840.1 pep chromosome:OR_W1943:2:13826238:13829557:1 gene:ORUFI02G15840 transcript:ORUFI02G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCPRRLLLLSILLVVLLLATKWMTMLKVVTHRFFTIVTSPVTTLGPFPLSLPSATIIINTSARGGKWRRWEGGRGKDVVGEEEV >ORUFI02G15850.1 pep chromosome:OR_W1943:2:13933638:13937458:-1 gene:ORUFI02G15850 transcript:ORUFI02G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLLGYGYGVEQSKPSPNSICFSIFSILASILCSVNLDDISCESVRASTDAFVTASPGCLICPRNAQGAQLRQRQTWWMQGSKVVAWQTRTVTDA >ORUFI02G15860.1 pep chromosome:OR_W1943:2:13941485:13960333:-1 gene:ORUFI02G15860 transcript:ORUFI02G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDTSSQGGDHRSFLQITRDRLLFEMLRSTRKHSKSTWKVLIMDKLTVKIISCSCKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENIGMFLNDMSGRNPLYKNSPIQKELVTQIKKDSSVLPRIGALSEMNLEYFAIDSQGFTTDHERALEELFSENALDSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASVTTTLRDLVPTKLAAAVWNCLSKLKTSIPDYPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLCMDGNKYVHEVPSKNGSSTEKKDVLLEDHDPIWVELRHAHIADASERLHDKMSNFVSKNKAAQLQQARTGGEISNRDLQKIVQALPQYSDQIEKLSLHVEEHCSKLNSIIREQGLRDVGQLEQDLGVSRENKLRLLMIYAAINPDKFESDKGEKLMQLAGLSTDDMIAVSNMRCLCGPDTKKSSGGGFTLKFDVHKKKHGLRKERTGEESTWALSRFYPVLEDLIEKLSKGELPKDEYYCMNDPSPSFHGLPMSSSVRTSPAHQPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKHSSSDFKKLGQRIFVFVIGGATRSELRAVHKLTSKLKREVILGSSSLDDPPQFITKLKMMSVDELTLDDLQI >ORUFI02G15860.2 pep chromosome:OR_W1943:2:13941485:13960333:-1 gene:ORUFI02G15860 transcript:ORUFI02G15860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDTSSQGGDHRSFLQITRDRLLFEMLRSTRKHSKSTWKVLIMDKLTVKIISCSCKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENIGMFLNDMSGRNPLYKNSPIQKELVTQIKKDSSVLPRIGALSEMNLEYFAIDSQGFTTDHERALEELFSENALDSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASVTTTLRDLVPTKLAAAVWNCLSKLKTSIPDYPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLCMDGNKYVHEVPSKNGSSTEKKDVLLEDHDPIWVELRHAHIADASERLHDKMSNFVSKNKAAQLQQARTGGEISNRDLQKIVQALPQYSKLNSIIREQGLRDVGQLEQDLGVSRENKLRLLMIYAAINPDKFESDKGEKLMQLAGLSTDDMIAVSNMRCLCGPDTKKSSGGGFTLKFDVHKKKHGLRKERTGEESTWALSRFYPVLEDLIEKLSKGELPKDEYYCMNDPSPSFHGLPMSSSVRTSPAHQPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKHSSSDFKKLGQRIFVFVIGGATRSELRAVHKLTSKLKREVILGSSSLDDPPQFITKLKMMSVDELTLDDLQI >ORUFI02G15860.3 pep chromosome:OR_W1943:2:13941485:13960333:-1 gene:ORUFI02G15860 transcript:ORUFI02G15860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDTSSQGGDHRSFLQITRDRLLFEMLRSTRKHSKSTWKVLIMDKLTVKIISCSCKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENIGMFLNDMSGRNPLYKNSPIQKELVTQIKKDSSVLPRIGALSEMNLEYFAIDSQGFTTDHERALEELFSENALDSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASVTTTLRDLVPTKLAAAVWNCLSKLKTSIPDYPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLCMDGNKYVHEVPSKNGSSTEKKDVLLEDHDPIWVELRHAHIADASERLHDKMSNFVSKNKAAQLQQARTGGEISNRDLQKIVQALPQYSDQIEKLSLHVEGVSRENKLRLLMIYAAINPDKFESDKGEKLMQLAGLSTDDMIAVSNMRCLCGPDTKKSSGGGFTLKFDVHKKKHGLRKERTGEESTWALSRFYPVLEDLIEKLSKGELPKDEYYCMNDPSPSFHGLPMSSSVRTSPAHQPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKHSSSDFKKLGQRIFVFVIGGATRSELRAVHKLTSKLKREVILGSSSLDDPPQFITKLKMMSVDELTLDDLQI >ORUFI02G15870.1 pep chromosome:OR_W1943:2:13962426:13964034:1 gene:ORUFI02G15870 transcript:ORUFI02G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRDSGAFGNGKELRGGDRGGSRGQWRRWHRVRNSCGLQCGPVQWPSKPSPTKTRRRTTKQQSCTFRGYRKINWQTATAAATWWPVLGRQQCKVEEELGKGGVQESSAHNLFDRLTSQHKVFKDDERIAEPVPIKSTMNKEGISMDEALDRILERFELMEANRRQEEKFNQILQKLEEVEARRSKAAEETIASIRATTAVLKATSPIAPMAPPTPACTKCLTECPNNNLTWATVSSSHISEDTAPTVAWELGDNKDKGHAPCVVTKDSLEVTPTMCSTKCSGPTVEPDLTVAVVVTSATTAAASMELVATGNAIGATYINNLDHPKVTHAKCLMLDLGSNSGDNQTMVTFQTLVDMTKGVFAPDATIEVSSPRKIAEMDLVIVMPTGCSMLFFDKGASELLPVRRHVMWQLLLEQCKRNPWSPPNSVYQVNGIWELWHVPWIDFNYFNVPWDRLQLF >ORUFI02G15880.1 pep chromosome:OR_W1943:2:13968455:13971968:1 gene:ORUFI02G15880 transcript:ORUFI02G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRNSCGLQCGSVQWPSKPSPTKTRRRTTKQQSYTFRGYCKINWRTATAAATWWPGAVALLMYRENGNGTGFLLLVQMDLQSNQMPCHEKEEVAWPAEVATDVLAISGKVEARAAGFSIL >ORUFI02G15880.2 pep chromosome:OR_W1943:2:13964090:13968451:1 gene:ORUFI02G15880 transcript:ORUFI02G15880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLVANSWLRIVELKPWPDPQSSQCSIGGRWTELKVPWSALDCECSMGDDLCSANCIRNEALSVTLSCAPKGDLNHKKIDGSQKDTLVALLIEFEFPRNHSFRLNLRVFVVFSIQAMGCNYLILIMCAAIITTTFHLAMITTQGNNVYGTNQLHEKLIARLIPLTTRGLPLPIHGNGSNVRNYLYCDDVAEAFEVVLHKGQVSHVYHIGTAKERRVIDEAKDISEDGNNRWTRDFSSRSIVLKGRKDCGLCWCALDVIRDVKVDRVLQLLKQYGSAKLVIINSLSIPWDPGGSRVTLAGRSSCHIGYITREVLTEEIKYKSLHQTYPLVKFPQLRSSAWGQAEF >ORUFI02G15890.1 pep chromosome:OR_W1943:2:13972322:13976126:1 gene:ORUFI02G15890 transcript:ORUFI02G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVALECGDDRAKGGVMSRLAESSRSRGHVAGRPVRRHLGARLSALPPLAAAASTATLGFEGGALQQGRRHCATMVMMALVPGGQEGREETSLRDPDAAAAAAGSCTIVGSRQCRPLTSSVPRHPSPFTTVTLFPYLCPRFIWVLVNGVDPLVNHFHHHPLDQAVVILKIAPQLLRLSSHVATTLEFPR >ORUFI02G15900.1 pep chromosome:OR_W1943:2:13977650:13990054:-1 gene:ORUFI02G15900 transcript:ORUFI02G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSTILPLCLGLLLFFQVSMAQFSFGGSPLQSPRGFRGDQDSRHQCRFEHLTALEATHQQRSEAGFTEYYNIEARNEFRCAGVSVRRLVVESKGLVLPMYANAHKLVYIVQGRGVFGMALPGCPETFQSVRSPFEQEVATAGETQSSIQKMRDEHQQLHQFHQGDVIAVPAGVAHWLYNNGDSPVVAFTVIDTSNNANQLDPKRREFFLAGKPRSSWQQQSYSYQTEQLSRNQNIFAGFSPDLLSEALSVSKQTVLRLQGLSDPRGAIIRVENGLQALQPSLQVEPVKEEQTQAYLPTKQLQPTWLRSGGACGQQNVLDEIMCAFKLRKNIDNPQSSDIFNPHGGRITRANSQNFPILNIIQMSATRIILQNNALLTPHWTVNAHTVMYVTAGQGHIQVVDHRGRSVFDGELHQQQILLIPQNFAVVVKARREGFAWVSFKTNHNAVDSQIAGKASILRALPVDVVANAYRLSREDSRHVKFNRGDEMAVFAPRRGPQQYAEWQINEKESMSVFQPTHLDRQQSGEKLHNQEEEEEYDKWGQGGGTKDDSCGDDGGGNGS >ORUFI02G15910.1 pep chromosome:OR_W1943:2:13977712:13979254:1 gene:ORUFI02G15910 transcript:ORUFI02G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTRQGRGEDGSGGGRRGTGEEGSGGTLLTPSGRPIQLEGRPRLMNQTVPEPYLTPQRGSGGGSTVEEKGAAAATALASGGGGRLGAGSAGEETGVTGSGGPGLLTRRSGGSLGGSGGGSTVEERELRRRRRTRAQEAGEVASERRRLRRACRERRGRAARVCTGWRNPGRPSIIRRSHG >ORUFI02G15920.1 pep chromosome:OR_W1943:2:13981419:13982417:1 gene:ORUFI02G15920 transcript:ORUFI02G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSKICLQINADGTTEKTFPAYHLLPTFFPASPPLHRQQRASVAAPWVRLHATRSTQDEEVKVDATMFDGAAVPPPDAPLFRRVESLDHVPRLHLGLIIEASTATSSWRLGRGSTRGRRRQRRPGEAALPREIHDEIGLEFLGNVTGKPYTLHANIFANGVGSREQQSSHLLLVVVLIVHIVARLP >ORUFI02G15930.1 pep chromosome:OR_W1943:2:14001871:14002876:1 gene:ORUFI02G15930 transcript:ORUFI02G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLRGGDQARYRLNQFADLKKTLSDTATKTIDRLGFGSFLGINMEMLPDKQFAIWTASLCKPAKVQNKDVVVLDLDPKHPLVISQEALHILTGLPMGQEDVPERWRVFFLDLSG >ORUFI02G15950.1 pep chromosome:OR_W1943:2:14010765:14013999:-1 gene:ORUFI02G15950 transcript:ORUFI02G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLSTPRAVAAVCPSRHRRRLARARRSHLALPRLFGLLLPCSHWDREEGETGSAHPAAPPPRARAEQPVSLVPYSRRDGEEGEGGSICADTVSPAPSAPSCPRRLAKDFRKVHLSMLPLQRRSSSRGSSHQHAGPDDQCQPHVLTVPFYHQLVSRSEVVEVEVNGLRQKSSADKRMQVVGDVYLDESISMKAYLLAPIYSGHEREEKTSLIAHSLSGWSAIIASGIGMMRVWMMRVSMVEKFLVDPRVRLLIPVKINKRGLPLEKIPRLT >ORUFI02G15950.2 pep chromosome:OR_W1943:2:14010765:14013999:-1 gene:ORUFI02G15950 transcript:ORUFI02G15950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLSTPRAVAAVCPSRHRRRLARARRSHLALPRLFGLLLPCSHWDREEGETGSAHPAAPPPRARAEQPVSLVPYSRRDGEEGEGGSICADTVSPAPSAPSCPRRLAKDFRKVHLSMLPLQRRSSSRGSSHQHAGPDDQCQPHVLTVPFYHQLVSRSEVVEVEVNGLRQKSSADKRMQAPIYSGHEREEKTSLIAHSLSGWSAIIASGIGMMRVWMMRVSMVEKFLVDPRVRLLIPVKINKRGLPLEKIPRLT >ORUFI02G15960.1 pep chromosome:OR_W1943:2:14045072:14045914:-1 gene:ORUFI02G15960 transcript:ORUFI02G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPFLVFSGDLRRISSMETSNTLRRAHRRSRRRDAVPVHPVGLWPNHCAIIITKVPESCLLKQSTQDTAVEEIAVVFLKSGYRLSSSNPCIRAEAWGVVVAVALPFFAAAGVLLRSPSPSIHHRPTGDLPLVVTAARAVSGIHPGHLRRQDEHRRMRKSLVHFSTFGCDVRIPAVRRRRRRQARRPVKLCCCCCFLLCRAYDRWSPLH >ORUFI02G15960.2 pep chromosome:OR_W1943:2:14045137:14045496:-1 gene:ORUFI02G15960 transcript:ORUFI02G15960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRRRRSAILCRRRSTSKVAIAVHPSPTYRRPSWSPPLALFPASIPAISGDKTNIVGCARAWCTFLLLGATSESPPFAADVDVKPAGR >ORUFI02G15970.1 pep chromosome:OR_W1943:2:14049535:14049975:1 gene:ORUFI02G15970 transcript:ORUFI02G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSLLPKLQVRMNMPVGRVKVLIPGLAPSEMLKAEVSLDSQPITWCLSPPPIKRRLKDTLPKDKVELDELRVDLASLRRGFCAKHFHLYKAVGKEDLYCAPILIRTQIAGI >ORUFI02G15980.1 pep chromosome:OR_W1943:2:14056558:14057667:1 gene:ORUFI02G15980 transcript:ORUFI02G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVMDSEFGSLVKVSAAVWAAMFYARLAAASLRPGAPRLAALLPVVALFCVVPFSFSTTTFRGCSAFFLSWLGVFKLLLLAAGRGPLNPTHPLHHFVFSASLPVKLRHLASAKPAKGVDPAPANESAAGKILVSGAVIPLIIYTYQFKNAMSRYQLLILYTGHIYFSLQLLLAVVHGLIHGVLGMEMEPQVDRPYLASSLRDFWGRRWNLMVPAILRPSVYRPVRARLGDAAGVLAAFLVSGLMHEAMFFYIMWRPPSGEVTVFFLLHGVCTAAEAWWARHAGWWRPPRAAAVPLTLAFVAGTGFWLFFPAMIKAGLDEMVLHECQGMVAVMEQSGRWLAGATNLTFATRWPEEMKEWDVSHTITTI >ORUFI02G15990.1 pep chromosome:OR_W1943:2:14070735:14092206:1 gene:ORUFI02G15990 transcript:ORUFI02G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAVVNVPVAVAAAMLYALLVASHLAPGIGRLLALTPVLALLLNITFAIPCFTAPAAPRPSSSSGWLLLLASGRGPLDPSIPPLAFVFSAALPVKLIRVPSDDAVVTKLASMPIMSLAIKLAVMAVAIFYLLHKKNEIHGYTAFTIYAVLTYCFLDFLMPCVAAVGAALGMELEPQFDRPYLSASLLDFSGRRWNLMASAMLRPAVYDRVRARLGAPGGVLSTFLVSGLMHEVIAYYISFRAPTGQVTAFFALHGVCMCAERLDGDAT >ORUFI02G16000.1 pep chromosome:OR_W1943:2:14116403:14117062:1 gene:ORUFI02G16000 transcript:ORUFI02G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWCPEMASKAYIDGVRALAGHDLAGAAADVAELVSAMAGGWNARLVVEAPDSAAPAAAATSLALAAAARRTGGRYALVLPDRDAAAASAAETAEVVVGEADEAMAGLHGVDLLVVDARRRDAAAVLRAARPGARGMVVVRHGDGRQRGAKDLAASMAAGTRVVRSVYLPIGKGVEVLHVGVGKGPSLQNHRDRRSTSRWIRHVDHDTGEEHVFRRQ >ORUFI02G16010.1 pep chromosome:OR_W1943:2:14139416:14140219:1 gene:ORUFI02G16010 transcript:ORUFI02G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLPLSAAIVGAEESVDKEVLEMEYLFEKFLMPSDLCSNTEWLGIPEEHVRKFGMMLEDRDGYSVIFFQDGVVPGKLWCFRYWKSNGVHGLTKGWRCFVREKGLKAGDTISFFRGSACGRLFICCRLGTHATFASSSTLHHGFSMPPPPARPLVGLQSGMLARDVPSLGQARLHDGNQDGGGAPSRHVPSSGRRVEAQLSRVSSRRQRRTMKHSIPEPTIETPPILESMFLIAAPPAVKCLRLFGVNIYVLPVSSSGQPKQESSP >ORUFI02G16020.1 pep chromosome:OR_W1943:2:14143000:14143818:1 gene:ORUFI02G16020 transcript:ORUFI02G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPLPTSIDGGQVLDDMEVVEMKYLFGKVLMPSDVSWDTEQLVIPDEHVGKLLDMVVMNRPEGGFFVVVVEDGEVTGKLWLFRYWKRDDVHCLTKGWGCYAREKGLRAGDTVSFFHSTACGRFFICCRCTCMSFLSLPTTSHRIHGSSVLPQPRAAQAAHHPFSGHATLCLGNKASDHSAPARHATASLGCAAAQPPQVPPTPTPRRRRRSMMVHPEPPEHTTDGMPVILESMALVSTPPVAKRVRLFGVYIDVPPLRPGGEATQDFNP >ORUFI02G16030.1 pep chromosome:OR_W1943:2:14148026:14152482:-1 gene:ORUFI02G16030 transcript:ORUFI02G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASYPLPIPRSPRPDAALPPDASTDTAPSLDFGDPASLVALRALTDAGAATRLLHECVAYQRALDARLDALLARRPDLDRAAASLLRSAPPLLSLAASDAAALRDSSSSTAALAEALSSRVRHLDAAHSRAEAALARAEAALDRSRALDAARRALAADDLTAAATAAHDFLAIDARFPTDDDLRRDLLDIKRRLEGLARRRLADAVDALNHPAVLRLVRLFPLLALADEGLQVYVAYLKKVVALRARADFEHLAELTSATQPTSERPDFVGCLTRLFKDIVLAVEENDAVLRELRGEDGVAYAIIELQEECDSRGTQILRRYADYRKLARLASDINSYTKNLLSVVSSVANAAGGNEGPDPREVELYLEEILSLTQLGEDYTEFMVNKIRGLRDVKPELGPQAMKSFRNGSFNKMVQDLTGFYVILEEFFMVENVRKAIRIDEPVPDGLTTSMVDDVFFVLQSCCRRAASTASINSIFAVLGGAASLLSNEYQEALQWRMREPNLGAKLFLGGVGVQKTGEEIATALNNMDVSSEYVLKLRHEIEELCSEAFHAPADREKIKSCLSELGEISASFKKILHSGLEHLVASVAPRVRPVLDSVATVSYELDDAEYGENEVNDPWVQKLLLTVNTNVAWLQPVMTSNNYDSFVHLIIDFIVKRLEVIMMQKRFSQLGGLQLDKEVRSLINHFSEMSQRPVRDKFSRLSQMSTILNFERVSEILDFWGDNAGHLTWLLTPAEVRRVLGLRIDFRPEAIAALRL >ORUFI02G16040.1 pep chromosome:OR_W1943:2:14159783:14162170:1 gene:ORUFI02G16040 transcript:ORUFI02G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSTIVPLCLSLLLFFQVSIAQFSFGGGPLYSSRGFRGDSVSQHQCRFEHLAALKVTHRDRSEADFIEYYNTEVRNEFRCAGVSVRRLVIESRGLALPVYANAHKLLYIIQGHGVFGMALPGCPETFQSVQSAFEQSSTQKLSDEHQQLHKFRQGDVIAVPAGVAHWLYNNGDSPMVAFLVIDFGNNANQLDPIPREFFLAGKPTSWQQEQYSYQAEQQSDNQNIFAGFNPDLLGEALGVSRQTAMRLQELNDQRGVIIRVAQGLQALHPSFQTEQVQEEQSQEQQQQPTWSGRGCAQNNGLDEIMCAFKLSKNINNAQSTDIFNPRGGRITRANS >ORUFI02G16040.2 pep chromosome:OR_W1943:2:14159783:14163580:1 gene:ORUFI02G16040 transcript:ORUFI02G16040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSTIVPLCLSLLLFFQVSIAQFSFGGGPLYSSRGFRGDSVSQHQCRFEHLAALKVTHRDRSEADFIEYYNTEVRNEFRCAGVSVRRLVIESRGLALPVYANAHKLLYIIQGHGVFGMALPGCPETFQSVQSAFEQSSTQKLSDEHQQLHKFRQGDVIAVPAGVAHWLYNNGDSPMVAFLVIDFGNNANQLDPIPREFFLAGKPTSWQQEQYSYQAEQQSDNQNIFAGFNPDLLGEALGVSRQTAMRLQELNDQRGVIIRVAQGLQALHPSFQTEQVQEEQSQEQQQQPTWSGRGCAQNNGLDEIMCAFKLSKNINNAQSTDIFNPRGGRITRANS >ORUFI02G16050.1 pep chromosome:OR_W1943:2:14163870:14180805:-1 gene:ORUFI02G16050 transcript:ORUFI02G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDADPAPAPAPAITSLQPADDDWAARDDLEEAPAKPHPHSAARDDDDAREAPRARAAQDVNGIKSSLQSLELQSVVQTEAKEEQNRKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVLLAKTLGVSKLVVVINKMDESTVGWSKERYDEIEGKMVPFLKSSGYNVKKDVHFLPISGLLGTNMKTRMDKSNCSWWSGPCLFEVLDCIQVPLRDPKGPVRMPIIDKYKDMGTVVMGKIESGTISEGDNMLVMPNKANVKVISVHCDEDRVRSAAPGENVRVKLSGIEEDDITAGFVLSSIKNPVSAISEFRAQLQILELIDNAIFTAGYKAVLHIHSVVEECEIVELIEEIDLKRRKEGDIKKKKSKRKPLFVKNGAVVVCRVQVNNLICIENFSEFPQLGRFTLRTEGKDIHPFLKSMFGSV >ORUFI02G16050.2 pep chromosome:OR_W1943:2:14164026:14180805:-1 gene:ORUFI02G16050 transcript:ORUFI02G16050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDADPAPAPAPAITSLQPADDDWAARDDLEEAPAKPHPHSAARDDDDAREAPRARAAQDVNGIKSSLQSLELQSVVQTEAKEEQNRKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVLLAKTLGVSKLVVVINKMDESTVGWSKERYDEIEGKMVPFLKSSGYNVKKDVHFLPISGLLGTNMKTRMDKSNCSWWSGPCLFEVLDCIQVPLRDPKGPVRMPIIDKYKDMGTVVMGKIESGTISEGDNMLVMPNKANVKVISVHCDEDRVRSAAPGENVRVKLSGIEEDDITAGFVLSSIKNPVSAISEFRAQLQILELIDNAIFTAGYKAVLHIHSVVEECEIVELIEEIDLKRRKEGDIKKKKSKRKPLFVKNGAVVVCRVQVILETSSVNCSDTIWIHRSGIKV >ORUFI02G16060.1 pep chromosome:OR_W1943:2:14186821:14187183:1 gene:ORUFI02G16060 transcript:ORUFI02G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQHLGRQQRLGRLGGGGDKAASTRGDKEVVMARGGEEVTAALHRLWGSDEASSAGGSRSVRWRGNTALRVLAGHPLVAQAPRSRFISSSLTLASQSHDSVGSSAGWGRGCSLKSGSTS >ORUFI02G16070.1 pep chromosome:OR_W1943:2:14199424:14202693:1 gene:ORUFI02G16070 transcript:ORUFI02G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDSFVTRCTASLEDFAGQEACGALGIGDDVRCLLATLRRVQAVVSHEERRGRVLSAKVDAWVAQVKDAMYETDDVLDVSMVEGGKMLAEGDSPPTPKARCSLMFSCFKPASAPKFHHEIGFTFREIDAKLREIEEEMPRLPAGSLHSESRRDWFSRGICSNFSDAIRPLAVGTQVQKSLDGLVPRMIREGKKKVDVLAIVGAVGIGKTMLAREIYNDERMTETFPIRVWVKMTKDLTDVDFLKKIIIGAGGGVNVGEIESKKELLGIVSSTLSKRFLIVLDDLDNPGIWDDLLKDPLGDGVARGRILITTRSEEVATGMKAMVHRVDKMDAENGWALLCRQSLPECSSEELASLKDVGIKIVERCDGHPLAIKMVAGVLRSRGKSKAEWEMVMRSDVWSMRPIIPELPQALYLSYVDLPSELKECFLHCSLYPEELPIQRFGLIRRWIAEGLVSDKDNKLLEDSAEEYYAELVSRNLLQLYAGNLDQCWITHDLLRSLARFLITDESILISGQQRLSTDPLSLSKPRHLTLCNMENRFDDPISVKQQMSLRSLMLFNSPNVRSIDNLVESASCLRVLDLSKTALGALPKSIGNLLHLRYLNLDETQVRDIPSSIGFLINLETLSLQNCQRLQRLPWTVRALLQLRCLSLTGTSLSHVPKGVGDLKNLNYLAGLIISHDNGGPEGCDLNDLQTLTELRHLHIENLDRATSGASALANKPFLKDLHLCEQAPLIEEQQSEQEQENQDDQKETEEEEKEVLDVTNSQFSREESIKASEKIWNELTPPQNIEKLVIKNYRGGKFPNWLTGPKLGISFPSLVYLDIDNCMSCTALPALGLLNQLQSLQISNADSVVTIGPEFLGAASSSSATASFPKLEILKLRNMKKLEEWSLAVEENQILLPCLKSLHIQFCPKLKALPEGLKNVSLRELHVEGAYSLTEIKDLPRISDDLQLKDNRALQRISSLPVLQSLTIDNCPKLKHVSGLDTLQHLRLAFPPSTETFYFDELIIFWSIAFPRWLELLIRKRDGLRHFELQCSLSLLRSCLDGGKNWSIVQQIPEVRITSTDGKRYIRYNKRRCIYETNAQSED >ORUFI02G16080.1 pep chromosome:OR_W1943:2:14210443:14213110:-1 gene:ORUFI02G16080 transcript:ORUFI02G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQSTRGRNTGSGDGEESAAPAAGMRRQSTRGRSTGSGDGEESEALAAAVHAGKISREDAAARRMARTKQTARKSTGGKAPRKPLRAIAAVMPAPSSRGVARKSVPFIGVKKPRRHRPGTVALREIRKYQKNTELLIRKLPFQRLVREIAQHFKHDMRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHSKRVTIMSKDVQLARRIRGERL >ORUFI02G16090.1 pep chromosome:OR_W1943:2:14231476:14232150:-1 gene:ORUFI02G16090 transcript:ORUFI02G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAARKSAPCIGGVKKPHRYRPGTVALREIRKYQKNTELLIRKLPFQRLVREIAQLFKHDMRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHSKRVTVISKDVQLARRIRGERL >ORUFI02G16100.1 pep chromosome:OR_W1943:2:14235858:14241330:1 gene:ORUFI02G16100 transcript:ORUFI02G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMPLTHSSTLDAEVASKWISLPVTVSTIRISCSSLPVLMILLFLLLNAALGPARASLQATFLRRVAPHVSTPDRIIRTALRCGGPRPPRVCFVSSSPASTWARATWSSSHSSVALFDLPLLLATDSAVSLPASAAPPRDTSALHASSLPAAAACISGV >ORUFI02G16110.1 pep chromosome:OR_W1943:2:14236154:14241417:-1 gene:ORUFI02G16110 transcript:ORUFI02G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRAAAVAAAAREEEEASPAQRLVEAALRGDVATMEACLAAAAAAAAADDDTDDGVPAASRVGVARLRVRCAEVALREEAAGEVIVESRELKTDVSPLFAAAHAGHAEVVRTLLVAGADVNQKLFLGYATTAAAREGNIHILEMLLQAGVTREACEDSLSEAALFAEAEAVRLLVCSEMIQPEAAAHALVTASSRGFDDVVVILLQNGVDVNSMARVLLRSVKPALHANVNCTPLVAAVMSRQISTVKLLIEEGSYLDCLVQVGSWCWDSATGEELRVGACLGEKYNAIWCAVEYYECSGEILKLLLDKAPWLLETPRKGRNLLCHAILCQNPNAVSVLLNAGANPRFPIMMTNGTHVSYPIHFAARLGHAPVLKQLMLDGANINAQTSTGDTPLMVSARCGHSDCFLELIKSGADLGIVNNAGDTAIMLAKKSSFSSTIIDILSRALSCGGCITSSDITVFSPLHFFAASDSAEALLMTLHYSAADLNRPDGSGLTPVMVAAESGHADIFRLLVMAGADIAATSAEGKSAMSIIRSRAPETRDRFEQILLQASLADAIAGQQPSFRPLHYAARIGDTSSLTQLLKMGHDPNAMDEDGYTPLMHAAAAGKLEACRALVSRGGAADAGSETALSVARRSGRSNKATEEWLLDHVARAHVLAGEELTKHTRGGRGPPHRKAVRMMRSGVLTWGATRRRNVACREARAGPSAAFRRRNRRIIRTGREEQLILMVETVTGREIHFEATSASSVELWVRGINLIVQDCAWSRPDEAEQA >ORUFI02G16120.1 pep chromosome:OR_W1943:2:14260703:14260960:-1 gene:ORUFI02G16120 transcript:ORUFI02G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLPWIEMERRVLAEERKGLLRIKAKAIGFEESVVTEEHDGWEASSRLPRSIKRVRERGPTAAVPWRGA >ORUFI02G16130.1 pep chromosome:OR_W1943:2:14265105:14274000:-1 gene:ORUFI02G16130 transcript:ORUFI02G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLEKLKEEVATMITSSIACSLLERLQLVDALERLCVDHLFEEQINDLLVQISSTNVMDCDDLHTVALWFYLLRKHGYRVSQDVFVKFKDEGGCFAVNSPRDILTLYNAAYLGTHGEIILGEAISFAKRYLESTLPNLEGLLAHETKCALSIPLPRRVRIYEAKDHILTYEKEHATHEVILELAKLSSNIMQLELKIISRWWKDLQVESRLSFARDRIVECYFWIVGVYFEPKHSRGRIILTMVIAIVTLLDDIYDIYGSTEECEVFTRCMERWDRKAAHDIPEYMKFVYEKVLDAYEYIEDLLADEEKFRMSYLRNFTIDLVRAFNIEVKWHDARYVPATVEEHLQISTRSGGCYLLSCASFVGMDHIAIAESFIWVSSTPRIVRALCTILRLSDDLETFEREQVELHVAPTIGSYMKEHNVSVENACEKIKELIEDTWKDFNHEWLTLANVQPKQLLERIFNLARTMEFMYKHDDKFTNCQNLKDRIHSLFVETFASTY >ORUFI02G16140.1 pep chromosome:OR_W1943:2:14290395:14292231:-1 gene:ORUFI02G16140 transcript:ORUFI02G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMKLPATFLSFSSHQPRGSSHCSVASAAYAVEPRLGEGAVVSKKTPSRSPCRTMAKVDDKNDLCRMNQAKFEPSIWGDFFLSYCNPLAYSDNQRSMEERAEYLKKEVAKLIVNSRIDSLPEKLHLIDVLERLCVDHLFEEEINAVMDEISDADVSDCELHTVALWFYLLRKHRHRVSPDVFLKFRDEDGIFEAEDARDLLSLYNAALLTTHGEGILDEAISFTKRQLRSLMPKVVEGSLAHDINSALEIPLPRRVRIYEAKYFMSTYEKGASVNEMIMELAKLSYNIMQIHHQQELKIITRWWKDLQLETRLSFARDRVVECYFWIAGVYFEPCYSRGRIILTKVLAIVSILDDIYHVYGSPEECEQFTKCIERSVFSHIWIKLFIYCE >ORUFI02G16150.1 pep chromosome:OR_W1943:2:14329829:14331485:1 gene:ORUFI02G16150 transcript:ORUFI02G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASSGPHRQLLGGILLLLLLLLGRTAAVGARVTAVIVFGDSTVDAGNNNAVQTVVRSNFPPYGRDFPGRRATGRFCNGRLATDFYSEAYGLRPFVPAYLDPDYGIRDFATGVCFASAGSGLDVTTAGVFVSETL >ORUFI02G16160.1 pep chromosome:OR_W1943:2:14337031:14337393:1 gene:ORUFI02G16160 transcript:ORUFI02G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTDAKYPSKGTTILRFPSKALRRRHCPHHCSRCRCGQPELWNYRGPRGGGDQIKLLKALGQPDPVASNLQKARSGALKVAATDPDLERVTMTLAMEACGGEPTNGTATQRQMCHQSQP >ORUFI02G16170.1 pep chromosome:OR_W1943:2:14341106:14343758:1 gene:ORUFI02G16170 transcript:ORUFI02G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSSIFNLQAIVPCPYDAGSVIPLWKQVDYFREYKRRLAAHLGAAEAEAVVSDAVYAISMGTNDFIENYFAGTTRRYLQFGVGEYTDFLVGLARGLLVELYGLGARKVAFTGLAAAGCLPLVRARRMMFCAEEYNAAARAFNGALRGMIAELADGLPGAQLRFADAEVGCCGTGTYEMGYTCSAWDARTCRDADRYVFWDAVHPTERANRIIAEYLFNTTFSHFL >ORUFI02G16180.1 pep chromosome:OR_W1943:2:14357276:14359925:-1 gene:ORUFI02G16180 transcript:ORUFI02G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVPSVLQFAAIYLFFFAIASIIFQLAAAYIIGSLIKLSLAAVLDINRSIEKLHKKFTNHEIINDQILHLDIHKLPQSI >ORUFI02G16190.1 pep chromosome:OR_W1943:2:14363928:14365363:-1 gene:ORUFI02G16190 transcript:ORUFI02G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSPDARQSRQTAAATAAAAALNPALVRETLKKVDRCMARLQELQYTVAGGAKVVSGVSLSPRSTRGYLRTSLRCKQETVRQVLDPDPLMRATPARKTSPNGKFGGSDGGATQWRRMSLPAMLLGETVLEIVQASKFASDIVAVVDAGANKNREAPKTPNPVTRTRKVNAEATPLRARRAREKQSQRGTARAEASTPPSRGRVRSRIQFKPASPLGRPSVSANRVSPRNRPWAKKTVMFPNPAFLASTSSAAYDSPSPSKKQKRFYKTRSPIIARQTPHKFLVKSPPSSLGSKLKSHGKLLPSRPFTVSPPGKVQVAAAAASVSKTRRCTFSPSRLVSRLVSSPGKAQAAASNNNNNNKGRRCSFSPSRLATRLVSPIKARLSLNRSRDGGVHGGGGMVCGLKQRPGVSMTVRTVSSRIPS >ORUFI02G16200.1 pep chromosome:OR_W1943:2:14366624:14366815:1 gene:ORUFI02G16200 transcript:ORUFI02G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRDVPPQFAAALDGLHVLHLLAPKAATMARTDASAARPTRGFAPRPRSDMLLWMDLACGVG >ORUFI02G16210.1 pep chromosome:OR_W1943:2:14369372:14371459:1 gene:ORUFI02G16210 transcript:ORUFI02G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRCRRLPLLFILLLAVRPLSAAAASSIAAAPASSYRRISWASNLTLLGSASLLPGAAGVALTTPSRDGVGAGRALFSEPVRLLLPQDAAASASASRAATPASFSTRFTFRITPSPTYGDGLAFLLTSSRTFLGASNGFLGLFPSSSASDEGELRDVSTVAVEIDTHLDVALHDPDGNHVALDAGSIFSVASAQPGVDLKAGVPITAWVEYRAPRRRLNVWLSYSPSRRPEKPALSADVDLSGLLRTYMYAGFSASNGNGAALHVVERWTFRTFGFPNSSYAPPPTKYIGPMPPNNQPLPPPPSPSPSPPPPSPPPPPHPNHRRRHLFYKVLGGVLGGMVLLGLVVVGSAVLLGRSVRRKNQEHAVASEDMGEATLSMEVARAATKGFDSGNVIGVGGSGATVYEGVLPSGSRVAVKRFQAIGSCTKAFDSELKAMLNCPHHPNLVPLAGWCRSKDELVLVYEFMPNGNLDSALHTLGGATLPWEARFRAVYGVASALAYLHDECENRIIHRDVKSSNVMLDAEFNARLGDFGLARTVSHGGLPLTTQPAGTLGYLAPEYVHTGVATERSDVYSFGVLALEVATGRRPAERGISVVNWVWTLWGRRRLVDAADRRLQGRFVADEMRRVLLVGLCCVHPDCRKRPGMRRVVSMLDGTAPLILVPDKMPPVLLQPVPNASSMNSADTANTAFFSCR >ORUFI02G16220.1 pep chromosome:OR_W1943:2:14387181:14389816:1 gene:ORUFI02G16220 transcript:ORUFI02G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVPCRRLRGKGGERGSAPPERWKRGGCLSGGRDPLHALRWQEKGGGGRRSGGRRPANAIGASLRPNFGNTNPARWPEIALPLLLRRRSTHRLPLLPPFPAGAACGEGGRGRGGRREMGDGSGGRLVLLTASYCCFRARLPPLASLARFPDAEDDIIDLLLVALGLRAVEEKRRKKG >ORUFI02G16230.1 pep chromosome:OR_W1943:2:14388492:14388821:-1 gene:ORUFI02G16230 transcript:ORUFI02G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHHQQKQESSTNVAGGVHAKSLLLLLRARFRLLADLTSGPITTKSLRRSAASVLGLGGGAVGGEITVEETTAMVQEGDHDSDNALSKVEFYVLMVRLSPGIMGDTEG >ORUFI02G16240.1 pep chromosome:OR_W1943:2:14397864:14398232:-1 gene:ORUFI02G16240 transcript:ORUFI02G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVWVFKDGIVRRVENPGSEESSSAGNGGGGGRRKVLVHVPSGEVVASYEVLERRLRELGWERYLTDPCLLQFHQRSTVHLISVPRDFSKFKLVHMYDIVVKTRNVFEVRDAAAPAVSPAT >ORUFI02G16250.1 pep chromosome:OR_W1943:2:14403689:14404865:1 gene:ORUFI02G16250 transcript:ORUFI02G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTGTRSGGEVASQEALATGEWHWSLDALALAIRETASQEALAAGGEAASHDGTRQAGGATTGSRWGSRGTVAVASRVCGAGMGTGLCGTRV >ORUFI02G16260.1 pep chromosome:OR_W1943:2:14433683:14434309:-1 gene:ORUFI02G16260 transcript:ORUFI02G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPVLLLLAAASPAALGAFDVNQMLADKSQYGSFLKLLTQTKVAEETNRLKSASLLVLQDKAMKPITSLPADKQRMAMANHVLLKYFDPIQLGEMKDRTAMLPTLLSNTDKKLGVVNYTKASDGQMYLGAPGAACVAKLVKVVAARPYAISIMEVSEAILPPALGGSGGPGRRAKGGKGKVKPKSSDADEAAAKPATEPKATDVPK >ORUFI02G16270.1 pep chromosome:OR_W1943:2:14440239:14442646:-1 gene:ORUFI02G16270 transcript:ORUFI02G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGFGSHDLLAGGSGHPEARVGLAQEAHRQYGGGRPSTVALPLTQEPPMKLHSIAAIAYHSWSERND >ORUFI02G16280.1 pep chromosome:OR_W1943:2:14443854:14448830:1 gene:ORUFI02G16280 transcript:ORUFI02G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGELEGFRRLSGLAFDELSRRDLSSASGPPPADLPTLLRLCLLSLPLSADAELALRRCTRLLASLRGILCRDLDPSLLPALEVFLDNLVSSSQLMTCFTAANAVMPRRSRITSLGSVCSGGNLFVMELMSHHFISSVQDEEGFLSALSWSAKAKLEVPEIGLSGALSLLHKSCLLSIPPAVQAHFLLLACRCADNGDLNMNLLAFEHAMDVYLSYLPALGVFRRTSGVKRPLGCSMKRRPLSSCLQAATHQKLACDINRLVLFCNLHSNDDLSINESDIVRFIEENQQVLHEQSRQDTITAVKSTVSNVLLLAKQEEMDRLYPNVSEEIICLAAALRLMGSSFIRIMHCIRQMTVGDGSQTTHCLEPCKVFNIVSETINLLGHYEPNELQRNDLFDTIGKPGDGQQGAVLMLSHFATLSVHCLRMRFNFMWKGCIFMMMMANLCATEMYHFLIDGSKASKVRCADQDGSLKASVPRKSSTVIALRFQNTQQVYIQDKLGPGFGEVCSSDSLQRCTSSYGRDNVRTILKGLTGRGEDSSDLYDFIECNPSLDYSNWWTQRKKFKKFKDHKWIRSKRHSMSRLRASKFQWVVQPLDLMGVGQPSTGGVCKIGWTLLVGYSDVNEGQLKGIPMYIQNF >ORUFI02G16290.1 pep chromosome:OR_W1943:2:14449735:14450494:-1 gene:ORUFI02G16290 transcript:ORUFI02G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEARRLEVEAARLESAHGDGGGAAEVGWLEAAHGRRWRRRREAHADAVKRRREGAKEDGDGDGDGAQEDGDATALVALNLANNSFAGQVPAEIGTLCALSLELSNNKLTAIDAGGGWEFVDNLTKCSALAEILLYGNKFAGVMPSFVKLKNLYCI >ORUFI02G16300.1 pep chromosome:OR_W1943:2:14456017:14456931:-1 gene:ORUFI02G16300 transcript:ORUFI02G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKNASSSAMPLLLVAGTVVLALLASPAAAFNITRILGEFSDFSTFNHLLTQTKLADEINRRQTITVLALDNGAAGGVSSLPSDEQRKVLSVHVVLDYYDTEKLGGMKMKNRSAVLTTLFQSSGQATDRMGFLNYTKRSDGIMVFGSAEPGAQATSQMVKVVVTRPYNISVLQVSSPIVPPGIASVSNSNTGAPPPHPAKSSAPAPSPSKSKGKKSDAPAPGPSDDVDDDTAADAPGPAVDGPTADGPAADGPAADGPTEADAPAHDKGDVADAPSAAGRAVASSAGLGVVALVVLIFPSVSL >ORUFI02G16310.1 pep chromosome:OR_W1943:2:14462683:14463045:1 gene:ORUFI02G16310 transcript:ORUFI02G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAAATSPAGLLHGAGGSDELGHSSRVYRPASGRVPFEWEDEPGKPKSPPPLDAAPPLLCPSPAMQSARLTSRGDGGSGRRGRKRGKEPELDGCLPVKLQLGRAMKRWHLICFFRGE >ORUFI02G16320.1 pep chromosome:OR_W1943:2:14471904:14472503:-1 gene:ORUFI02G16320 transcript:ORUFI02G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRRRDREKPRDRDRERGRDGERDRELDRPRDRDHRDRDRDKERDRDRDRDRERRRGERDRKRSRSPSADRSHRRHSHSHSHRGRSSPSPDAGRHKRRRDGSPAAAATDHKDDKKPEAPVVPKSAAGDGVAPGDGDVDVEELEMMKMMGIPVGFDSTKGKHVPDADVSGVRVVTKRQPRQYMNRRGGFNRPLPPERNR >ORUFI02G16330.1 pep chromosome:OR_W1943:2:14480095:14480973:-1 gene:ORUFI02G16330 transcript:ORUFI02G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSPSPPPPPMIGRAGNLTVFITPPSPASTPRSSRPSESPRSGFSTPATAPRTAASPSPPSPAPSPQQQRVASPPPTIPVKFSPPAAPVKVPPPPPVQVPPPQYEKASAGGKHDGSAFGFFWDAVARVQEAHASLDEYVANWFGLDQSKYQWALNDYYESTGKYEIEQTFLFGWWDALMVDAAVVYPGEVYYLMVNMELSF >ORUFI02G16340.1 pep chromosome:OR_W1943:2:14484825:14494333:1 gene:ORUFI02G16340 transcript:ORUFI02G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRDRYRRRTPAGRRRCCARLGRGGSRSTPAALTSSSALLTSSSPPTPPTSRGR >ORUFI02G16340.2 pep chromosome:OR_W1943:2:14485051:14494333:1 gene:ORUFI02G16340 transcript:ORUFI02G16340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGAMKRLFASNSGREATVLCAPRPRRIQIHPCSADLILSPPHFLLTSNTTNKSWTMKSAVPCCCEWMKKLSQMSTGMFPCGC >ORUFI02G16340.3 pep chromosome:OR_W1943:2:14484825:14485601:1 gene:ORUFI02G16340 transcript:ORUFI02G16340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRDRYRRRTPAGRRRCCARLGRGGSRSTPAALTSSSALLTSSSPPTPPTRRWPRTRQQRRCFVTGRASRRGAALGAISLFQKNSQLRNYKILSPVSYS >ORUFI02G16340.4 pep chromosome:OR_W1943:2:14485051:14485601:1 gene:ORUFI02G16340 transcript:ORUFI02G16340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGAMKRLFASNSGREATVLCAPRPRRIQIHPCSADLILSPPHFLLTSNTTNKEVAAHKAAAPVLRDRASEPERSSARGNLAISKKFSTQKL >ORUFI02G16350.1 pep chromosome:OR_W1943:2:14488293:14488765:-1 gene:ORUFI02G16350 transcript:ORUFI02G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLADHPLDTLRIRQQQPSSPAIRHQSSCPDRKRACAEPEEEREEWEEEAAAGGHSLDHQGYPPLYEEFPRKCPQLVRAPIECPWLCMPITLEY >ORUFI02G16360.1 pep chromosome:OR_W1943:2:14492081:14493391:-1 gene:ORUFI02G16360 transcript:ORUFI02G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRREPDARNNRTIRAMSTGIKPTDPAKGLRRARSVPSSPDRKLSPSHDASSSNAYRPSSSFSTRTGTSRSTFGSASSSIHSSKAPQTSSSTTTAKPANTTKGKADKSGGSSVWPPALTARNRSSKDMNRTAKSSSAMQKSNLSSRPGVDKMAASSAKQRTQKATPGALAGGKTQAVPSVRAPGTTTKKTMGVANSVPSIQRTSIPSRPIEAPKVNEQEVELLMEFDEMESISTPSIEEHLQERLPDPVELKQVDVIAYLLFGDNPSEPASNQQEDKNEEVVELISEEKHQVPDNNSFNGRDNADIGINSKVQAVKEAIDNSELKEAANETELKEAVDETELNEVVSESELYKDVNTTKYTEDALEPMLIEKEEAEENVEMVVPPKKTLKPVQGWSKDDGKSNEMKEEGRSKPTEERKSKVMALIGRFETAMSG >ORUFI02G16370.1 pep chromosome:OR_W1943:2:14498131:14502683:-1 gene:ORUFI02G16370 transcript:ORUFI02G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPPPARPGRRSRSRAVARGPGPPLPSKNRIIPGRPSRLWLDRCLRALFVAALALSLSLSPPPPSLLLPAAPARAASSPVGERRRNPEAPPYPCEDVGRYYAGLDGLAGDELMAKLRAVVSPHAALRYKDVWEALKILDAADAPKPEASLDVIEIYSQRAVPKNLAGKPDGWNINSSRGNKYYGHCAATSVRCMRPANHEAAPDTETDSERWAPPLKVRGDVSRALMYMAVSYGSDQKDGAPHLELSDSPSIQSRKMGLLSALLKWNELDPPSRSEQLRNNRVCSLYQHNRNPFVDHPEYANLIWGNSLGESSSSVRTFPEAWVNEFHYENKGKDENEFVELAVRTSLDAKDLTLILYNGANGRMYNSLNLDDKDGFSVAESSSSSSYLIYTAFITLQNGPADGIALVYKNGNRKEVLDFLSYEGSMRALDGPAKGMVSVDMMLKETDESSQQDSLGLTGNKIGDFAWRKLEGYATPGKLNVGQMF >ORUFI02G16380.1 pep chromosome:OR_W1943:2:14505948:14508098:1 gene:ORUFI02G16380 transcript:ORUFI02G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQHPQPPAGSRLLDAVPLFVVVLLAAHVLALVSLGQAAAAEEDAVATTTTGPDGFVLAFIKS >ORUFI02G16390.1 pep chromosome:OR_W1943:2:14515251:14516828:1 gene:ORUFI02G16390 transcript:ORUFI02G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPFPAAARGGEQGGGTAGQLVATPSRLRTAVASMLNRTGHARFRRAAPVVVQEEEDEAAAAARDAVVRCDGLSASASSSFPSSVTGVTGDGSVSNARAVLPAAGDGDKPPPMQSASDYASDGRLKRSSDDDGERCHCSKKKRKASWRARRRIRVPAISSRNADIPADDYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERDPGEPAMLIVTYDGDHRHGEPGHRRPDEAATTTEHRTTDQTTGRLL >ORUFI02G16400.1 pep chromosome:OR_W1943:2:14517995:14522015:1 gene:ORUFI02G16400 transcript:ORUFI02G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEANRAAFVELQGRMIDTTGKIKQLQTQMRSKEGEKKRAYLTLEELRQLPDNTNTYKTVGKVFILEPKSLLLNEQEQKLNDSESAIASMQTSKEYLEKQLAEVENNIRELLQQDPGLARQILSMTVQ >ORUFI02G16410.1 pep chromosome:OR_W1943:2:14533253:14547815:1 gene:ORUFI02G16410 transcript:ORUFI02G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNLERAMKENDRVYLMRVPDASSLGSLPAASLVKPTSLAEVLDASKERLFSSLVPDGSMKALSKYTEMVDNIIRTQAEKLQQASEITRVRLKEMDLPDSILSLEDLKEDVEAVQISGGPAGLEAELQQLRDLSRDDILPKLMAGVGSHDDLFKKEISKYDPVCAEIADNIVAQEQLLLQIQAQNEQFAAVFNLEDYKGWTNVWLDVPLVLLLVVQSALEAWLRAAEFESSPAPLLPVTDVLDSPYMELAHRVDEALGFMSADGLTMDHPIMTTEFWTSHGCLLLPYEQALPENMRVKLPHLIRAVHVDVQIVTWVIDPMHA >ORUFI02G16410.2 pep chromosome:OR_W1943:2:14533253:14547815:1 gene:ORUFI02G16410 transcript:ORUFI02G16410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNLERAMKENDRVYLMRVPDASSLGSLPAASLVKPTSLAEVLDASKERLFSSLVPDGSMKALSKYTEMVDNIIRTQAEKLQQASEITRVRLKEMDLPDSILSLEDLKEDVEAVQISGGPAGLEAELQQLRDLSRDDILPKLMAGVGSHDDLFKKEISKYDPVCAEIADNIVAQEQLLLQIQAQNEQFAAVFNLEDYKGWTNVWLDVPLVLLLVVQSALEAWLRAAEFESSPAPLLPVTDVLDSPYMELAHRVDEALGFMSADGLTMDHPIMTTEFWTSHGCLLLPYEQALPENMRVKLPHLIRAVHVDVQIVTWVIDPMHA >ORUFI02G16410.3 pep chromosome:OR_W1943:2:14533253:14541820:1 gene:ORUFI02G16410 transcript:ORUFI02G16410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNLERAMKENDRVYLMRVPDASSLGSLPAASLVKPTSLAEVLDASKERLFSSLVPDGSMKALSKYTEMVDNIIRTQAEKLQQASEITRVRLKEMDLPDSILSLEDLKEDVEAVQISGGPAGLEAELQQLRDLSRDDILPKLMAGVGSHDDLFKKEISKYDPVCAEIADNIVAQEQLLLQIQAQNEQFAAVFNLEDYKGWTNVWLDVPLVLLLVVQSALEAWLRAAEFESSPAPLLPVTDVLDSPYMELAHRVDEALGFMSADGLTMDHPIMTTEFWTSHGCLLLPYEQALPENMRVKLPHLIRAVHVDVQIVTWVIDPMHDYSTMILITHNLLSVLWKTKVVVTNYSAMIFN >ORUFI02G16410.4 pep chromosome:OR_W1943:2:14533253:14534821:1 gene:ORUFI02G16410 transcript:ORUFI02G16410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNLERAMKENDRVYLMRVPDASSLGSLPAASLVKPTSLAEVLDASKERLFSSLVPDGSMKALSKYTEMVDNIIRTQAEKLQQASEITRVRLKEMDLPDSILSLEDLKEDVEAVQISGGPAGLEAELQQLRDLSRDDILPKLMAGVGSHDDLFKKEISKYDPVCAEIADNIVAQEQLLLQIQAQNEQFAAVFNLEDYKVARERCYKQIAAAVAKYRDIKKNINEGLNFYVTLQLGYTSKMPVLRYG >ORUFI02G16410.5 pep chromosome:OR_W1943:2:14535624:14541820:1 gene:ORUFI02G16410 transcript:ORUFI02G16410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLLAEGVVEDGEAERVVDARSGWTNVWLDVPLVLLLVVQSALEAWLRAAEFESSPAPLLPVTDVLDSPSVPATAAYVDEALGFMSADGLTMDHPIMTTEFWTSHGCLLLPYEQALPENMRVKLPHLIRAVHVDVQIVTWVIDPMHDYSTMILITHNLLSVLWKTKVVVTNYSAMIFN >ORUFI02G16420.1 pep chromosome:OR_W1943:2:14537435:14540187:-1 gene:ORUFI02G16420 transcript:ORUFI02G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSKTTNSIKVDVESSDLHSNVQKSNETKPLLLDNQPEENKQTTISQTYDYIPQDYEMTDNDICAHITIETSSSTDVLVKINDIALKQNQLLPLLNENEYLDDNLQGLEYHFNSLERQQNVGTHNWKDLRVTTWPITEQLREPMQTDGASCGLFMLKYMESWTGDALSHTITQEHMSLFRSKLASILIHWKTNIAQTTPRSEQSNEVGDDSDCVEIFSSPDNEKKFTSKKRIDDSQQSKPSSNQAKYQSLMSVLSRMGKNELISGLCNYIKSIDCAETSQKVWLQTSKPYSIRLTLQKLQGILKEGEPMDHDCFNIIVRKLASDDIQFMKKNKGAISKHYLDMRFWNIIDFGRHPDYRKKLNVEQLVDSVCNGHGIDYNISKCKSILIPTDYCGTFVLIILDQETRTLYILDPTPLNPIYENNPNARYTKKLLCIGEYLAKAMVKACPRSRWNEDINLWRQIILPNVPIRNRELSGYYVSLSMQTWKYNELLLPDFQDGNELRKYFLAQLLAFQDNECEGNMPDGVRDLLKCITNNIN >ORUFI02G16430.1 pep chromosome:OR_W1943:2:14558963:14559166:1 gene:ORUFI02G16430 transcript:ORUFI02G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVLVCDEPERVVATYQAPGRCPYCGGGVVATDVESAPRLCFLPLCFRLRRRFFCSLCSRRLVSVA >ORUFI02G16440.1 pep chromosome:OR_W1943:2:14559534:14559779:-1 gene:ORUFI02G16440 transcript:ORUFI02G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRHASHGGGEPASAQQKQRQRSCDDSQLPASSLNPTAARLLREAIVSAPAGGGEKTAAEGSSDILAFARAVDRVDSPLE >ORUFI02G16450.1 pep chromosome:OR_W1943:2:14566100:14574883:-1 gene:ORUFI02G16450 transcript:ORUFI02G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASTAISAGRVVVVAGAAALVVVLLCAAPAPAAVEAVPAGAEVSEFPGFDGDLPSKHYAGYITVGHQPLKRHMYYYFATSERNSTTDPVIIWINGGPACSGFSAFLHSIGPLKIEGPMIHARDEPRTKLNPFSWTKMSSVLLVDSPAGVGYSYSENEDDYVTNDTSRVLDLYDFLSKWFSEYLEFLSNPFYIAGCSYSGVIVPVLAQEILKRNEDNGRIKINFKGYSLCNPAVDVEIENNAFVPYAFRMGLISDELYQNLVSTCNGKYWNNKGPSCLANLEQFHKQISGINMEHILCPPCRYQMGITKEANEEYDFGQMFELLSESSEYGLECNNQELVLEKLFDTKSSREKLHAKPEFFQCSGDHALLVPFSATLEWLKTLNYKEIEKWHPWFVEKQIAGYSVRYENNILFATIKGAGHVPSDYLPFEVFVAYQRWIDGSDSL >ORUFI02G16460.1 pep chromosome:OR_W1943:2:14584497:14588021:-1 gene:ORUFI02G16460 transcript:ORUFI02G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPGDGEVIFDVDTDEESDMFYDQGDGEVIFDVDTDEESDMFYDQALSSMINSGDMPSISLSPLLDHNEQRRQKDRERYAQMTNEDKENKLKRRREAYKRKKIEDSYCISIQEKRARARVKYNSMEPEQKQAKIAQVNANRAARRNTPSEDSIALENPGYIASDMPPPLLTRPHRKPVTHGERHALIARRNESFMIRRDTRTCDSLEDPSISVQTTSDIDPPKQPSIQNTGENAIYKHLLHVLMQYIFLL >ORUFI02G16470.1 pep chromosome:OR_W1943:2:14596293:14596529:-1 gene:ORUFI02G16470 transcript:ORUFI02G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQRDVGNAVASTPPTRRRAAGRRMGKRLGARRRAAGRRMGKRRGEEGTGTPGAGAAGRRRCRAQGCGLWACGGWRD >ORUFI02G16480.1 pep chromosome:OR_W1943:2:14603539:14607927:1 gene:ORUFI02G16480 transcript:ORUFI02G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILFGHRNLCVFPLRRHILDEVYQHGPYNCTIQRRWKKPVDSARTRLEGRTRDHKLDKLMIQLKNLRLALDLHELISQQRNKYASLQLLSRWRHEVGLNIEIGAFLKKYPHIFDIYVHPIKRNECCKVTPKMSELIAQEDAAIRENEPAIAKRLKKLLTLSKDGTLNMHALWLVRRELGLPDDYRCSILPNHQSDFSLSSPDTLTLITRDENLAVADVEEWRAKEYTEKWLAESETKYAFPINFPTGFKIEKGFRGKLGNWQRLPYTKAYEKNELHPIRNIERLEKRIVGILHELLSLTIEKMIPLERLSHFRKPFEMEVNLRELILKHPGIFYISTKGSTQTVLLRESYSKGCLVHPNPVYNDVVALMKWTVHFGFLRSTIRKAVTRDYLKFYDAISKAYPDIQMISNFDGSSRPLDHPADLYDFHDASNGRLLASLAEAAFLTGLEKNRWQVYAPLFVNDNDRMLIPLALHCLNVMISWNPDAIVFNSWQQYGTHILATLMRWLHLQSPGKTLRYLSESKAVVTSGNVLDEDSFSEPNKKESLSFSVVGEFV >ORUFI02G16480.2 pep chromosome:OR_W1943:2:14603539:14607927:1 gene:ORUFI02G16480 transcript:ORUFI02G16480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASILFGHRNLCVFPLRRHILDEVYQHGPYNCTIQRRWKKPVDSARTRLEGRTRDHKLDKLMIQLKNLRLALDLHELISQQRNKYASLQLLSRWRHEVGLNIEIGAFLKKYPHIFDIYVHPIKRNECCKVTPKMSELIAQEDAAIRENEPAIAKRLKKLLTLSKDGTLNMHALWLVRRELGLPDDYRCSILPNHQSDFSLSSPDTLTLITRDENLAVADVEEWRAKEYTEKWLAESETKYAFPINFPTGFKIEKGFRGKLGNWQRLPYTKAYEKNELHPIRNIERLEKRIVGILHELLSLTIEKMIPLERLSHFRKPFEMEVNLRELILKHPGIFYISTKGSTQTVLLRESYSKGCLVHPNPVYNDVVALMKWTVHFGFLRSTIRKAVTRDYLKFYDAISKAYPDIQMISNFDGSSRPLDHPADLYDFHDASNGRLLASLAEAAFLTGLEKNSWNPDAIVFNSWQQYGTHILATLMRWLHLQSPGKTLRYLSESKAVVTSGNVLDEDSFSEPNKKESLSFSVVGEFV >ORUFI02G16480.3 pep chromosome:OR_W1943:2:14603539:14607361:1 gene:ORUFI02G16480 transcript:ORUFI02G16480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASILFGHRNLCVFPLRRHILDEVYQHGPYNCTIQRRWKKPVDSARTRLEGRTRDHKLDKLMIQLKNLRLALDLHELISQQRNKYASLQLLSRWRHEVGLNIEIGAFLKKYPHIFDIYVHPIKRNECCKVTPKMSELIAQEDAAIRENEPAIAKRLKKLLTLSKDGTLNMHALWLVRRELGLPDDYRCSILPNHQSDFSLSSPDTLTLITRDENLAVADVEEWRAKEYTEKWLAESETKYAFPINFPTGFKIEKGFRGKLGNWQRLPYTKAYEKNELHPIRNIERLEKRIVGILHELLSLTIEKMIPLERLSHFRKPFEMEVNLRELILKHPGIFYISTKGSTQTVLLRESYSKGCLVHPNPVYNDVVALMKWTVHFGFLRSTIRKAVTRDYLKFYDAISKAYPDIQMISNFDGSSRPLDHPADLYDFHDASNGRLLASLAEAAFLTGLEKNSSGYSDALAASAITWQDTQISF >ORUFI02G16480.4 pep chromosome:OR_W1943:2:14603539:14606716:1 gene:ORUFI02G16480 transcript:ORUFI02G16480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASILFGHRNLCVFPLRRHILDEVYQHGPYNCTIQRRWKKPVDSARTRLEGRTRDHKLDKLMIQLKNLRLALDLHELISQQRNKYASLQLLSRWRHEVGLNIEIGAFLKKYPHIFDIYVHPIKRNECCKVTPKMSELIAQEDAAIRENEPAIAKRLKKLLTLSKDGTLNMHALWLVRRELGLPDDYRCSILPNHQSDFSLSSPDTLTLITRDENLAVADVEEWRAKEYTEKWLAESETKYAFPINFPTGFKIEKGFRGKLGNWQRLPYTKAYEKNELHPIRNIERLEKRIVGILHELLSLTIEKMIPLERLSHFRKPFEMEVNLRELILKHPGIFYISTKGSTQTVLLRESYSKGCLVHPNPVYNDVVALMKWTVHFGFLRSTIRKAVTRDYLKFYDAISKAYPDIQMISNFDGSSRPLDHPADLYDFHDASNGRLLASLAEAAFLTGLEKNR >ORUFI02G16490.1 pep chromosome:OR_W1943:2:14613009:14616472:1 gene:ORUFI02G16490 transcript:ORUFI02G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAHKLRFVRCPKCLQLLVEYPSISVYQCGGCGAVLRAKNRFMSVTQTGSKPDEHNNISSSLNGSSQDNESACSDGQKIDSSSAQPNEDAVEENIPSTTKDAKSCEAVNQEQNTTTVQSVTPTEDENKEKCPTTDADIRDTGCMVKVPHDMCTGADSSPMLIDKVENIGTSENTDLGKGYSYDCVSDGNAGSDVAAVHIAGEEPGAISNHSMKGEVDSVTDQIFSVSNKNVNCKELDERTNLCKETEAKSCNELIQMEERSQPNEGFHVESHEDLIEELERSLSFSDDEESLLDATGNNELNEALQFQIGSRRFSPGSKMNDASRSDPHGRLIEELERSFSDAEEAAEQHVVVVDKVITERDFGNEHGKVPTSLVAESGHPCEGNISSYDDGNQKSGQSFQQNELTADETEEKEHGLLENDSKINCIHGNEHAMVADNDIAEIHSEHDKDPQLLDGESAKLCEGTISSFDGHLKSGQCFQEDEPTADGNKQKEESHMGNNNVTDCAHEDNATVVGFSSLSNDGIHCKSPIFNEKEEERSDKYRANQLYQGLSLDSEDFMSIQNFIESQMDGTSSSLSSGSPNQGNLSLKSSTKFKFDRLERLKKIDELRDQLNRLCSKKRLENRYRMKGLEYQPQLSSYYVDQHSQNVDADSIQSSSTLGSYYWNGKQPSYPPRNQFSPPHSCTHCHFGHVETHMPHNYGAWDEFNSYYQPSYAGSSIIDHDSLNSSYKEQKRVVRKHILRPVSGASPFTVCNSCFNLVQMPSDIYISKTKMGKMQCGQCSKVLVLSFPAIHHACANSSKEVASKSNKHKGSIVVKPEDAASHFAESFTRDPVSMNEEYGASFTRSFSTQAGSALAASQSGKNVSDSTLHRLMGYDSASQLLHDLRHSKVYDDGYESFESMVPVSSRVSRRKNT >ORUFI02G16500.1 pep chromosome:OR_W1943:2:14620283:14622969:-1 gene:ORUFI02G16500 transcript:ORUFI02G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRGRPFFFITSRAPQALSLSSSRPAAAIVGRSPSPELHKTNPCTTSFTSLRRIHFTHLPLLSHLKSSHYHHSSCCRGQDLAAAVPAILLSPYAFLHLPRVRVSREHHRPPTSPILGHRSTDALLPPSPLYSAGRRREEEEDEKKRLKEHLTVGPTFIKSEGSEVYNNDEFI >ORUFI02G16510.1 pep chromosome:OR_W1943:2:14625886:14628034:-1 gene:ORUFI02G16510 transcript:ORUFI02G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDKLDLILRRMEEFERRRVEADQRRRAEYQSLKAAVESWMPEIHKNAEDLQILVGDEQSKCPNGSSPSTTARSIYDDEGTTPTIILELGDGEDKIHDPDIVAKVSLEVTPTMCSMKCSVPDTESNLIMVAEVTYASTATVSMELVAAQEAIGATYSDTSDHSKLTHTKCLTVVLDAIGDTVHKIFWSVMIKSVRHVPSISSELDDIQGKSTRIFIVVKIPEGCNPKKSSSATTETQVSHLFNSFSELLDVHLTTTEMLVSKRSQEVRCWQGALELQVSVIFWLLARNIHRPKFEVQVLEFLLRVLIGSLSEKYSGNTIDLEVNKLKTWISEMIGRTDRVDAKFTIVCQLSRSLQSGTHILDLYSAEEHIFDNFLNAIMWCSVPIKNLHKQWDPGGSGDTLHRLGDKPKFKERRLLGTQMGCLWAVNHFQSKAETSKSGALYKQQE >ORUFI02G16520.1 pep chromosome:OR_W1943:2:14628930:14630172:1 gene:ORUFI02G16520 transcript:ORUFI02G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVLLLVRLDTAAVDPTMVANLRDVIGSLNGKTFYLACDSQIVAAAAGDNDPGMFHLRPQPSLLAGFLDKVASAINALEELLRKQSGNA >ORUFI02G16530.1 pep chromosome:OR_W1943:2:14630206:14630448:1 gene:ORUFI02G16530 transcript:ORUFI02G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPQLETRWKRNSRGAEMTAQQPAWAAARWKRSSWQRAAETTAQPPTWAAVQGADEAKWPRSVAIACNDSGSADAVATH >ORUFI02G16540.1 pep chromosome:OR_W1943:2:14632283:14635874:-1 gene:ORUFI02G16540 transcript:ORUFI02G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGYTVHQALSADAAAVLKLALALARRRGHAQLTPLHVAFTLLRSSSSSSSSSSPSDPPPFACSGGEPSCCAHGLLRRACVRAHPAVAACAPAAAAASHPLRCRALELCFNVALNRLPATNAMADCGRACSPASSLVPPDPTLSNALVAALKRAQANQRRGCIELQSLQPPQHALQPQQQPLLAIKVELDQLIISILDDPSVSRVMREAGFSSAAVKSTLEEGGAMLPSLGGHHVCYSSSSPEPHIDLDAHAASGGGAPWPAQFLHRPDTGSSCKEEDVRAILEVMVRKQWARPNPIVVGDSVSVAEASVAELMRRLETGDVPGELRGAHVLRLHLSRVHLRLMTRADVDAQVAELRRTANSIVVDAKAAGLVIYVGDVRWAVDDDDHHHHHALAEYSAPEDHMVAELARLMSELRAASRGRAWLVAAASYQTYVRCQQRRRRRRAPSLEATWSLQAVVVPAGAGADAGTGLSLGRRAPPAPPPSRVAEDDQIAKLGEIPTLDLALGGDDGGVPALCAECADGYEKEASQVRAKADGTTLALTYFPGWPHANEPQTSHKAELMELRRKWGILCQRVHSRSHNDQASVPSPMPWWCRPSSVSRDGEARTELNPSSAGLRLSFGTPGDHDRSESVDERGADTTLSLLPPDSAAAATTWQDTRGRWSEGGGGGADGEMMTVNGLDATVDAVSIRRVWLEQLLLSGDLKRKAEKGRLSGEPKPRRRGGVSLDLNICAAADDDDDGGDSEEEAAPSDLTNEGGCDGSGEPGRLDDSLDSHE >ORUFI02G16550.1 pep chromosome:OR_W1943:2:14642460:14642864:-1 gene:ORUFI02G16550 transcript:ORUFI02G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASKQLHSQRCGGHCQLHHHRPEEIAGAESHERDGSSGCGGAGPMVVLILGSGAASEAEDDGGGRWRCCCGCSCGAGGGADAGDGLPPICGPSFWDLGTVSPPDLLFPDLVASDRCRRHRHLRLNLGDHAAAD >ORUFI02G16560.1 pep chromosome:OR_W1943:2:14643962:14644553:1 gene:ORUFI02G16560 transcript:ORUFI02G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWDRDTVMRALGAAYNNPERAVEYLYTGLPGQAEASAVVQALSVPAAVQAFPTSG >ORUFI02G16570.1 pep chromosome:OR_W1943:2:14654581:14655348:-1 gene:ORUFI02G16570 transcript:ORUFI02G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSVPYREGPLDYELPVFCDCKVKAARWISWSVGNPGRRYFTCYNARTGGCDFWEWHDPENAVWTAREEINGLKAHLQDNRDEALKNRAVSRSKESNELESLRAALEQIEATNCFS >ORUFI02G16580.1 pep chromosome:OR_W1943:2:14657351:14663090:-1 gene:ORUFI02G16580 transcript:ORUFI02G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRASAAAAAAAAKAPASTPPKTVHSALVTYASMLSLLSLCPPFVILLWYTMVHADGSVVRAYEHLREHGVLEGLKAIWPMPTMAAWKIIFGFGLFEAALQLLLPGKRFEGPVSPSGNVPVYKANGLQAYAVTLITYLSLWWFGIFNPAIVYDHLGEIYSALVFGSFVFCIFLYIKGHLAPSSSDSGSSGNVIIDFYWGMELYPRIGKHFDIKVFTNCRFGMMSWAVLAVTYCIKQYEMNGRVADSMLVNTALMLIYVTKFFWWESGYWCTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVNLGPQLALSILLAGILCIYINYDCDRQRQEFRRTNGKCSIWGKAPSKTTNGETKSSLLLTSGWWGLSRHFHYVPEILSAFFWTVPALFDHFLPYFYVIFLTILLFDRAKRDDDRCSSKIRFGLIGFNLLSLLYPTLTQMSQRCRQIVIFLVSSLIGEMIAGS >ORUFI02G16580.2 pep chromosome:OR_W1943:2:14657351:14663090:-1 gene:ORUFI02G16580 transcript:ORUFI02G16580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRASAAAAAAAAKAPASTPPKTVHSALVTYASMLSLLSLCPPFVILLWYTMVHADGSVVRAYEHLREHGVLEGLKAIWPMPTMAAWKIIFGFGLFEAALQLLLPGKRFEGPVSPSGNVPVYKANGLQAYAVTLITYLSLWWFGIFNPAIVYDHLGEIYSALVFGSFVFCIFLYIKGHLAPSSSDSGSSGNVIIDFYWGMELYPRIGKHFDIKVFTNCRFGMMSWAVLAVTYCIKQYEMNGRVADSMLVNTALMLIYVTKFFWWESGYWCTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVNLGPQLALSILLAGILCIYINYDCDRQRQEFRRTNGKCSIWGKAPSKFLPYFYVIFLTILLFDRAKRDDDRCSSKIRFGLIGFNLLSLLYPTLTQMSQRCRQIVIFLVSSLIGEMIAGS >ORUFI02G16590.1 pep chromosome:OR_W1943:2:14666411:14671596:-1 gene:ORUFI02G16590 transcript:ORUFI02G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMSTCLRLRSVGPKPPMLAQLKSTEGHQLSGVPRQRFLPKPTSASVAPCPCVRFRVCRCRPPTADRRCAPSPREEESVIEQFQQGRGLNLLVQLVNLRVGVGKKFGAWIKLAEREIWEMSELANKFLVLHLDGEGGGADDTEEALIQTSSSIKEADAGENALSDTLVLNYDEGSLVSSSGDYQMPLVWIDLEMTGLDVAKDRILEIACIITDGKLTKQIEGPDLVINQKKDMLDNMDEWCKTHHAASGLTQRVLQSTISEHDAETQVLDFVKKHVGSSPPLIAGNSVYVDLLFLKNYMPQLAAIFSHVIVDVSSIMALCIRWYPKERKRTPQKGKKHRAMNDIKESIAELKYYKDNIFKPQKSKQ >ORUFI02G16600.1 pep chromosome:OR_W1943:2:14675336:14676541:-1 gene:ORUFI02G16600 transcript:ORUFI02G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADAADSVLHGDLLECVLLRVPHGELTASPALVSREWRRAAREAHQRHRRRRRHLPCLVAHVHGAAAGVGRSTHVYDPRAGAWASDGWRVAGALPVRRCACAGGDRVYALSLASMAVSEDAVGAAWRELPPPRVWRVDPVVAAVGPHVVVLGGGCGATAAAGVVEVLDEGAGWATCPPMPAPLASRWVSSAASERRVYVVERRTGWASWFDPAARQWGPARQLQLPEGNNTASVESWAACGVTTSGGGGASERLLVLAGGGGGKVSLWGVDGDTLLLDAEANNTSMPPEMSERLGGAGSIAAAAAGAASGYVYNASEPSKGAVRYELVDAEVGGGHGSYSDSDSKNGRHEKTWGKRSSGGSRWEWEWLPCPPAAAAAMSTSSSAVVVFACCGSSSAPNK >ORUFI02G16610.1 pep chromosome:OR_W1943:2:14684428:14684760:-1 gene:ORUFI02G16610 transcript:ORUFI02G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSHPVDAPTPINSVRNYRYRPSPMLPELSDGVAEFSRQSTRRHAPWPPSGGHWSSSEPPLVAAGMPSPGLGRTRRASAPLDGRREEEDDGKRKNEKERAAGSTCGILH >ORUFI02G16620.1 pep chromosome:OR_W1943:2:14691924:14692121:-1 gene:ORUFI02G16620 transcript:ORUFI02G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAFPSTTGRHRDARRSLPHPGGPPRRASAPAICAPWDIVNEIQKMFADYCKLIWLHKIIASP >ORUFI02G16630.1 pep chromosome:OR_W1943:2:14692822:14695756:-1 gene:ORUFI02G16630 transcript:ORUFI02G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWVFGYGSLIWNPGFDFDEKILGFVKGYKRTFNLACIDHRGTPEHPARTCTLESDEEAICWGIAYCVKGGLKKEQEAMKYLERRECEYDQKISVDFYKEGDSLKPAVTGVLVFVSTPDPVGNKYYLGPAPLEDMARQIATANGPNGNNRDYLFSMEKALSNICHEDDSIIELANEVRKVLSRPKEKITGSDSPQKSHALVHLSALPEGTVVDSR >ORUFI02G16640.1 pep chromosome:OR_W1943:2:14706860:14707252:1 gene:ORUFI02G16640 transcript:ORUFI02G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRSKGGSAVVKGDAAGLRRPFALHRSRSTQARIDVQAWAIGPILAAPAPLKSQDDDTSIIQWLDAHPRRSVLYISFGSQNSISIHQMAELALGLETSGRPFLWAVQPLVGFDHKDGFDPGWLPVGFED >ORUFI02G16650.1 pep chromosome:OR_W1943:2:14714413:14715480:1 gene:ORUFI02G16650 transcript:ORUFI02G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELSSPSSSPRYTVGYALLPEKVSSVVRPSLVALAADRGVRLVAVDVSRPLAEQGPFDLLVHKMYDRGWRAQLEELAARHPGVPVVVDSPGAIDRLLDRATMLDVVSGLRTPVSVPPQVVVSDAAADADELLARAALRFPLIAKPLAVDGSAESHDMRLVYRRDGVLPLLRAPLVLQEFVNHGGVLFKVYVVGDRATCVRRSSLPDVPARRLLDLDAEPSVPFANISNQPLPPPDDDGGAADDDTPAAGFVDEVARGLRRGLGLHLFNFDMIRERSEEHGDRYFIIDINYFPGYAKMPGYEAALTDFFLEMLRGTRPVPEQLGPGSGLDMEARKLEPGLGIGLRELESGRAQA >ORUFI02G16660.1 pep chromosome:OR_W1943:2:14722335:14740540:-1 gene:ORUFI02G16660 transcript:ORUFI02G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGSERSHIVVALIERRLPHSFFSASAAHSAHTPSRIALPPTTVAIAPCHRRPPCPACSGIHASSVRRPTPPNPRRHFPRRHRLRPTLQLPRDAAAFSLSFVVHPITAIPRLLAAVAAPTPHTPTPVSSTPPQRIANGAVLSLLPRDDGGAAADRKAPDVKDAASRVPMDSNFGASRPTSLTRSVIYIVYINAPQPYRIAARRLRDLSLMQHLVRGDCDRASYNILVDAYEDSEATFKQLKQQVWQRGEDQVEVMAWLHKSGMS >ORUFI02G16660.2 pep chromosome:OR_W1943:2:14722335:14740540:-1 gene:ORUFI02G16660 transcript:ORUFI02G16660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGSERSHIVVALIERRLPHSFFSASAAHSAHTPSRIALPPTTVAIAPCHRRPPCPACSGIHASSVRRPTPPNPRRHFPRRHRLRPTLQLPRDAAAFSLSFVVHPITAIPRLLAAVAAPTPHTPTPVSSTPPQRIANGAVLSLLPRDDGGAAADRKAPDVKDAASRIAARRLRDLSLMQHLVRGDCDRASYNILVDAYEDSEATFKQLKQQVWQRGEDQVEVMAWLHKSGMS >ORUFI02G16660.3 pep chromosome:OR_W1943:2:14722367:14740540:-1 gene:ORUFI02G16660 transcript:ORUFI02G16660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGSERSHIVVALIERRLPHSFFSASAAHSAHTPSRIALPPTTVAIAPCHRRPPCPACSGIHASSVRRPTPPNPRRHFPRRHRLRPTLQLPRDAAAFSLSFVVHPITAIPRLLAAVAAPTPHTPTPVSSTPPQRIANGAVLSLLPRDDGGAAADRKAPDVKDAASRSGNVVRIKWR >ORUFI02G16670.1 pep chromosome:OR_W1943:2:14722363:14726592:1 gene:ORUFI02G16670 transcript:ORUFI02G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPLDPHHVARPARANNRTNSKAFSFRKWHHGTQVLGSFITKLANYLPPSVPSYASTKMLYEALSQSPLTKCCIKERSLKRLAAILYGCGALIYTMYITPNLKKTCTRNQHNSGKAYQDGVNEAVKRHGKRLEWIGRRRGGGGGDGRIYHETGLADLAIAEQADLEAASLTSGALRSAAAPPSSLGSRDRTAPLAMRCGGVEETGVGVWGVGAATAARRRGIAVMGWTTKEREKAAASRGSWSVGRRRWRRGKWRRGLGGVGRRTEEAWIPLQAGQGGRRWQGAMATVVGGRAMRDGVWAEWAADAEKKEWGRRRSIRATTMCLKSRRRRQGGAGGVERRAHVPAGHDGVLVPVCR >ORUFI02G16680.1 pep chromosome:OR_W1943:2:14729563:14730823:1 gene:ORUFI02G16680 transcript:ORUFI02G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLHIWKDIQILFSLIGFTTFLSIPCFFSSGICTYRSLSLCLLRALGSKNRDGDPDGASCLEDEAAHKHYQQQKQDVSSRKQCKVCVARFSRRQKMRFVYFDGLELWNRDGCQKIFR >ORUFI02G16690.1 pep chromosome:OR_W1943:2:14741895:14747915:-1 gene:ORUFI02G16690 transcript:ORUFI02G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTNPSTAVHHTMSRLTDTRAIYIDVPHHTVPKQTDFQLNLYQNTNDIGPSQAERTNTTQSMAASVVRVAIATGASLAVHLFVKSFLQAQHPALTLLLPVAVFAGIAVGAKGGNGGDGKAPPGPAAVPVFGNWLQVGNDLNHRFLAAMSARYGPVFRLRLGVRNLVVVSDPKLATEQYKAMWEAEMDAVVDDVRGDAVAQGTGFVVRRRLQLMLYNIMYRMMFDARFESVDDPMFIEATRFNSERSRLAQSFEYNYGDFIPILRPFLRGYLNKCRDLQSRRLAFFNNNYVEKRRKVMDTPGDRNKLRCAIDHILEAEKNGELTAENVIYIVENINVAAIETTLWSIEWALAEVVNHPAVQSKVRAEINDVLGDDEPITESNIHKLTYLQAVIKETLRLHSPIPLLLVRSFEMVPPPGVEKLDVSEKGGQFSLHIAKHSVLATEVLHTQGVEFGSRPRNVVFDIFTANGADMVFTEYGDHWRRMRRAVIKETLRLHSPIPLLVPHMNLEEAKLGGYTIPKGSKVVAVIKETLRLHSPIPLLVPHMNLEEAKLGGYTIPKGSKVVVNAWWLANNPALWENPEDE >ORUFI02G16700.1 pep chromosome:OR_W1943:2:14746608:14747760:1 gene:ORUFI02G16700 transcript:ORUFI02G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSVMGSSSPRTSLISARTLLCTAGWLTTSASAHSMDQSVVSMAATLMFSTISRSPPSARRSSPPQGYGRSRTGACSCLPECCARPAADGTRARTAPTSPRGTCGSGRSRPAASCRTPARPPAPAAPSRRPRSRPSRPPQCRQTQPPAAAR >ORUFI02G16700.2 pep chromosome:OR_W1943:2:14742660:14747760:1 gene:ORUFI02G16700 transcript:ORUFI02G16700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRMRRQWSPYSVNTMSAPLAVKMSKTTLRGRDPNSTPCVCSTSVASFGSDTTTRLRTPSRRRNTGPYRADIAARNLWFRSFPTCSQLPNTGTAAGPGGAFPSPPFPPFAPTAMPANTATGSSKVRAGCCACKNDLTNKCTANDAPVAMATLTTEAAMLCVVFVRSA >ORUFI02G16710.1 pep chromosome:OR_W1943:2:14753260:14754860:1 gene:ORUFI02G16710 transcript:ORUFI02G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAMRVAIATGASLAVHLFVKSFVQAQHPALTLLLPVAVFVGIAVGAKGGSGGDGKAPPGPAAVPVFGNWLQVGNDLNHRFLAAMSARLTVCSEHYPFSCVAVLRMPVRRRAKVIFFNLHHRHIAGTADAD >ORUFI02G16720.1 pep chromosome:OR_W1943:2:14758221:14761228:1 gene:ORUFI02G16720 transcript:ORUFI02G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRERRTVFVTVGTTCFDALVKAVDSPQVKEALLEKGYTDLIIQMGRGTYVPSKVSGDGTLQVDYFTFSPSIADYIRDASLVISHAGSGSIFETLRHGKPLIVVVNEDLMDNHQSELAEELATRKHLFCASPQTLGETIQEMDIETLNPYVPGDAKPVVSLINKFFGFPDD >ORUFI02G16720.2 pep chromosome:OR_W1943:2:14758221:14761228:1 gene:ORUFI02G16720 transcript:ORUFI02G16720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGTYVPSKVSGDGTLQVDYFTFSPSIADYIRDASLVISHAGSGSIFETLRHGKPLIVVVNEDLMDNHQSELAEELATRKHLFCASPQTLGETIQEMDIETLNPYVPGDAKPVVSLINKFFGFPDD >ORUFI02G16720.3 pep chromosome:OR_W1943:2:14758221:14761228:1 gene:ORUFI02G16720 transcript:ORUFI02G16720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGDGTLQVDYFTFSPSIADYIRDASLVISHAGSGSIFETLRHGKPLIVVVNEDLMDNHQSELAEELATRKHLFCASPQTLGETIQEMDIETLNPYVPGDAKPVVSLINKFFGFPDD >ORUFI02G16720.4 pep chromosome:OR_W1943:2:14758357:14761228:1 gene:ORUFI02G16720 transcript:ORUFI02G16720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRERRTVFVTVGTTCFDALVKAVDSPQVKEALLEKGYTDLIIQMGRGTYVPSKVSGDGTLQVDYFTFSPSIADYIRDASLVISHAGSGSIFETLRHGKPLIVVVNEDLMDNHQSELAEELATRKHLFCASPQTLGETIQEMDIETLNPYVPGDAKPVVSLINKFFGFPDD >ORUFI02G16730.1 pep chromosome:OR_W1943:2:14777439:14778479:1 gene:ORUFI02G16730 transcript:ORUFI02G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAAAAAVPLATTVAMLYARLAASLTGPGPRRLAALLPAMALLPVLPLALPYYSYRGFSAFVFVWLGEFKLLLLAFGHGPLHPALRPLLFVFTAALPVKLVDAAAAAAGASASRPPPAAPAATFKFVVSSAIKVGAMAAIVRVLHAKEEMHRYAAFSLNAVFMYCFLDVVLPALGAAGVALGMEMEPQFYRPYLSASLRDFWGRRWNLVASAVLRAAVYDPVRARSGDPAAGVLAAFLVSGLMHEVVILYLTSRAPTGRVTAFFALHGACVCAERWWCARQHKREARPQLPRAVAAPLVLGFVAGTAFWLFFPAIYGGGMDDLYLAEIAGFAKGLGLGGSWTGEN >ORUFI02G16740.1 pep chromosome:OR_W1943:2:14780547:14786214:1 gene:ORUFI02G16740 transcript:ORUFI02G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIACVCVGRWWCARRREARPPLPRAVAALLVLGFVAGTEFWLFFPAIYGGGMDDLVPRGDCRVCKRPRPWRKLDRGKRILICPFVYNFFLKFNANNCKLGCGYSMQVGDISGKNELSVENS >ORUFI02G16750.1 pep chromosome:OR_W1943:2:14788240:14791309:-1 gene:ORUFI02G16750 transcript:ORUFI02G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKRRSKNRKVVRFYATCFGFREPYRVLVDGTFVHHLLSHSLLPADDALQSLLSASRPPPLFTSKCVLAELRRLGKSHADAFDAAALLATAKCEHDKVVSAVDCVLSLIGEKNPEHFFVATQDSDLRAKLREVPGVPVIYGLKSSLFIEQPSVQQRKFAQLDEEKRLHMGKSEYQKLLKVPSDGKAAASENASDDEKNRRPISSLVENALGVADKSKFKKKRPKGPNPLSCKKKKPKPQLSAAQNQKSCLLLMQHKD >ORUFI02G16760.1 pep chromosome:OR_W1943:2:14796166:14801050:1 gene:ORUFI02G16760 transcript:ORUFI02G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATDSTPMAAGRAVPPPPEAAAPRLLLLGGGAELWRPVARGGGWATAAALLLLLASHLSVLLLRRLRLRRRLRPADAVSSSSAAAAAVVTADSAPGSAAGMDGLVTEGDLRELVGNLGVATREPEREGWQQVVAKGNDDVSYRVWCDKPMEGPPRYLSVTTYERCSTELLRDFYMDNEYRMEWDNTVIKHEQLQFDENSGIEIGRTIKKFPLLTPREYILAWRVWEGNDKSFYCLVKECEHPVAPRQRKFVRVQLLRSGWCIRKIPGRDACRITVLHHEDNGMNIEMAKLAFAKGIWNYICKMNSALRRYPQRNISSISILTMQRLTKKFPQALETDVDANHHPQGNTRANVVPTHFARTSSRQQPGKKSSRATIASGLLLIGSIVCLSRGRSNLGAQLAMAFFLKKAFKQDKGSSSQRSISRTDVTEPRHLE >ORUFI02G16770.1 pep chromosome:OR_W1943:2:14805520:14806247:-1 gene:ORUFI02G16770 transcript:ORUFI02G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPGLPFGDRRSGSPAIRAAPPLALLLLRRVAPVGTPLRAASAATACLLPVQMHPRRSSSTAPRSPDCRRPWAHQLDEYAGSNLDELLETLRVPENPDGIDARDHAEPPLTS >ORUFI02G16780.1 pep chromosome:OR_W1943:2:14815478:14816998:1 gene:ORUFI02G16780 transcript:ORUFI02G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPASTKAPLPWISPLHYRSPTRAAPPSPPPPPPPLPEAPLTQLRYVHHPDLARLIASSPSAQRALDLFNAAAAQRGFSHTPVTFAALLVRLARSRLPSAAAAVLRRAASAPCRFLEPQFLPLLRLLPPDHSLALLRLLPALLRRGRVSRKALAVCLDRLVSSRRCPDVLTELLADLRDPRSKYLPQPNTCIYNILIKHYVKKGDLGTAFQVFDEMRKMNCADVRPSLVTYSTLIGGLCRGAQMKEAFELFEDMIEKDRIVPDQLTYNLLIGGFCRLGQVEKAQSIFGFMRKNECEPNAFNYATLINGHSKKGEVEAARGVFEEMIRSGVQPDAVSYTSLVGCLCRHGNVDEGINLVQEMWQKGCKADVVTYNLLLEGLCKDRRIAEAVTLLEKLPSEGVQLNVASYRIVMNCLCSCGEMEKAAGLLGMMLGRGFVPHYAASNMLLIGLCDVGRVSDATVTLYGLVDTGFMPEARCWARLIESVFRERKLRRSIELLDVLIAEG >ORUFI02G16790.1 pep chromosome:OR_W1943:2:14824121:14824396:1 gene:ORUFI02G16790 transcript:ORUFI02G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQAPRKRIPSPSSSLLVILPPPPSQSFEAWTPTGSSSHGGRGKEGRREAVEKDADQRGFLGLILQGALLMVMELSFAAT >ORUFI02G16800.1 pep chromosome:OR_W1943:2:14850712:14853038:-1 gene:ORUFI02G16800 transcript:ORUFI02G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTCGRWQECRCGGGSAWAEVVAPGRLPAVVIVCGRREHVCRHCPRQPYRAPPYSTPSPPRGCRRPLAAPPCPKDASAPTTPIDLTTERPPKTSKRMSQPTPPTTTATTTALREWNRLIQHAAASGSYSRCLRHYASLLAAGLGGGGASTFPSLAKSCAALRLPRLGAAVHAHALLAGAASAVFVRTSLLDMYAKCGRLPDARRLFDEMPRPTLVSWNCMVAAYGRSSQVEESVAVFNAMRRAGVRPSEGTLVGVLSGCVDSVSASNPGMCVYGFSVKSGLDAGLPVLNSVLTMLVRGSHLDAARLLFDGICNKSVVTWTALASGYLLRGDYLEVFDLFNRMRGVGQNVDSVVLVNLISAAVLFGNLSVAKGVHALIIKLGFECEEDLAASLINLYAKCGDLESAREVFDAVHMANVVVWTSMISGYVEGGHLNEALVMFDSMVCANIEPNEATLSSVLSACAKLGSANLGKKVEEQAIATGLHSEPRVATGLIDMYSKFGSINLARKIFEGVTNRDIAVWSAMINGYACNGEGSEALVLFKEMKNKGFQPDGIAFTHVLTACNYSGLVDEGLECFHSMTMEYGIEPSIEHHMCMVDLLCKAGHFGSALKFFKQMPSEVQNKVLAPIISSYSARCADSSIDFIPEELLNLETQDSDHCVLMSNMLSCLGKWKKATSYRRQLSKQGLMKEPGWSCIELSG >ORUFI02G16810.1 pep chromosome:OR_W1943:2:14854980:14857556:1 gene:ORUFI02G16810 transcript:ORUFI02G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSAVARESVTASTSLVLPEWPSPVVVFELQWLPLRSSVVSDLILPSRGLSGGGGRRPASGEGARRRGVRRRIEGGLGLPAGGRRTAARRRHGESATARGGAAASGGAGTGTLGGSRAGGQPAADQPGRGGIEDSNFEG >ORUFI02G16820.1 pep chromosome:OR_W1943:2:14858854:14859609:1 gene:ORUFI02G16820 transcript:ORUFI02G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEDGDISALLSEPSIPEEQPEASEFDDVVPAILESIKSSEKAFKPSPEEAAWADSCFVQTSELSDSDWGAMKHALLNALEKPTEIPNNTSEIVHEEGSHAILEVKPHSLPAEIVSQHDDMQMEQKENNDYDTGTTEASEVANVIRGTNEHGKQMDGYTARPEDGDELSSSEVLEQTESRETIFKVWDLDVPFSDEDELELIKDLKKLLKDNPQESEFRPPSGTAKTLSQIAVDDLVADLSDLSLQQTDE >ORUFI02G16830.1 pep chromosome:OR_W1943:2:14862533:14883210:1 gene:ORUFI02G16830 transcript:ORUFI02G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGRLPAPFVFPLVLLLLALVPSPEAAESTPGTRTRKIGGAASVFSLFNLKPQNKFWSESVIRTEFDDLEGSTSRDSSKKALLNFTRAGNIANYMSLAEVDSIYLSVPVNFIFIGFDGKGGHEFKLGPEELERWFTKIDHIFEQTRIPPVGEVLTPFYKTSVKKLKQYDLPLISHINHNFSVHAVHMGEDVMSVFQHAIKVLSRREDITDSREGAEALWQVDSDQMEHLFSTLVDHLQIQEAYNIFILNPKTIGKSTQYGYRKGFSESEINLLRENKTLQARILQSKSDKRLYLDIEKGVNRRPLYESHPLSSFSWTTSDNMDMGDWSKKCKEALSNFELLKEGKSKDDIIYDKAVQVLHGKKDELHDIFESALKSSDLKGLHAECLTDMWIGRDRFAFIDLSAGPFAWGPAVGGDGVRTELSLPNVAKTVGAVAEVIEEEAEAKLQDTIRERFSSFGENYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERMHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMSHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNMKQFPVNVKSVTEGLSSVLLQFQKPMFSQRMLSLSEDPALMMAFSMARRAAAVPLLLVNGTYKSTVHTYLDSAILQHQLQRLSEHNSLKGGHSNHRSTLEIPIFWFIHSEPLLLDKHYQAKSLSNMVVVVQSEVDSWESHLQCNGRRPVKAAIAATAEYVSGLLPSHLAYSPAHETATEDWTWSVGCNPLSITSKGWQLSEFQRDVIARNYIITAVEESIQIINSAIQQLITERTSERGFKLFKAQERVLVEKYNSVVSLWRRVSAMSKGLRYGDAVKLTSMLEEASHGFANAVNSTISSLHPVQCTRERKVDVQLDLTTIPAFLAVFLLLWFLLRPRRPKPKIN >ORUFI02G16830.2 pep chromosome:OR_W1943:2:14862533:14883210:1 gene:ORUFI02G16830 transcript:ORUFI02G16830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGRLPAPFVFPLVLLLLALVPSPEAAESTPGTRTRKIGGAASVFSLFNLKPQNKFWSESVIRTEFDDLEGSTSRDSSKKALLNFTRAGNIANYMSLAEVDSIYLSVPVNFIFIGFDGKGGHEFKLGPEELERWFTKIDHIFEQTRIPPVGEVLTPFYKTSVKKLKQYDLPLISHINHKEGAEALWQVDSDQMEHLFSTLVDHLQIQEAYNIFILNPKTIGKSTQYGYRKGFSESEINLLRENKTLQARILQSKSDKRLYLDIEKGVNRRPLYESHPLSSFSWTTSDNMDMGDWSKKCKEALSNFELLKEGKSKDDIIYDKAVQVLHGKKDELHDIFESALKSSDLKGLHAECLTDMWIGRDRFAFIDLSAGPFAWGPAVGGDGVRTELSLPNVAKTVGAVAEVIEEEAEAKLQDTIRERFSSFGENYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERMHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMSHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNMKQFPVNVKSVTEGLSSVLLQFQKPMFSQRMLSLSEDPALMMAFSMARRAAAVPLLLVNGTYKSTVHTYLDSAILQHQLQRLSEHNSLKGGHSNHRSTLEIPIFWFIHSEPLLLDKHYQAKSLSNMVVVVQSEVDSWESHLQCNGRRPVKAAIAATAEYVSGLLPSHLAYSPAHETATEDWTWSVGCNPLSITSKGWQLSEFQRDVIARNYIITAVEESIQIINSAIQQLITERTSERGFKLFKAQERVLVEKYNSVVSLWRRVSAMSKGLRYGDAVKLTSMLEEASHGFANAVNSTISSLHPVQCTRERKVDVQLDLTTIPAFLAVFLLLWFLLRPRRPKPKIN >ORUFI02G16840.1 pep chromosome:OR_W1943:2:14891659:14902777:1 gene:ORUFI02G16840 transcript:ORUFI02G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGEPSAAAGGGEGDTEAHAVIDVSSSETDSDPDPGFGGAGKRPRRVVATAGSGREAEKRARILAAAVPPGFLDPLPRPSAPPPPPPPPRGRRRVTRQFWNAGDYDGKPDLLGGDPSLRSDSGMDHIRVHPRFLHSNATSHKWALGAFAELLDNSLDEVANGATYVNIDMLENKKDGTRMVSVEDDGGGMDPDKMWHCMSLGYSEKSKVKDTIGQYGNGFKTSTMRLGADVLVLSRSCGNGGRRRTQSIGMLSYTFLRETRKDDIIVPMIDYEKGQQYWKRMMRTTSIDWQTSLATIIEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDTDVNDIQIRGGNRDQKNIQLAKQFPNSRHFFTYRHSLQSYASILYLRVPSVFQMILRGKEIEHHNIIGDMMMKNHVIYKPVMTDGFPRDIDMMTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKIMEDEGYISGGLAYAECTQPIVWALPGIQGRGVIGVLEVNFVEPAHDKQDFERTNSLARLEARLNLMQKKYWSDNCHRIGYGGNSANRKSGREYKGPTSDQSPEGCRSSNYLQRKRSFGSPYSGSSNNNSKTGITSLNTSKISLPESRFSLRTTAQQTVEKTKRTLRYTRPLLHGLSHTSNDSDAHTSGTPSRSTSHILKTPEKSCHNENTLPLIPSSEAIRSEGTTRYQSEERNVTNNGDGQTVDNPETVIKLLTDENSSLKESIMKMEESLSRELHIERDKNKSLIERLENVQKQLGTANKEQEALVDIFTEERARRDQEVENQRTKLKEAASTIQNLLDQLNAARSCRKN >ORUFI02G16840.2 pep chromosome:OR_W1943:2:14891659:14902777:1 gene:ORUFI02G16840 transcript:ORUFI02G16840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGEPSAAAGGGEGDTEAHAVIDVSSSETDSDPDPGFGGAGKRPRRVVATAGSGREAEKRARILAAAVPPGFLDPLPRPSAPPPPPPPPRGRRRVTRQFWNAGDYDGKPDLLGGDPSLRSDSGMDHIRVHPRFLHSNATSHKWALGAFAELLDNSLDEVANGATYVNIDMLENKKDGTRMVSVEDDGGGMDPDKMWHCMSLGYSEKSKVKDTIGQYGNGFKTSTMRLGADVLVLSRSCGNGGRRRTQSIGMLSYTFLRETRKDDIIVPMIDYEKGQQYWKRMMRTTSIDWQTSLATIIEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDTDVNDIQIRGGNRDQKNIQLAKQFPNSRHFFTYRHSLQSYASILYLRVPSVFQMILRGKEIEHHNIIGDMMMKNHVIYKPVMTDGFPRDIDMMTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPFWRVWALPGIQGRGVIGVLEVNFVEPAHDKQDFERTNSLARLEARLNLMQKKYWSDNCHRIGYGGNSANRKSGREYKGPTSDQSPEGCRSSNYLQRKRSFGSPYSGSSNNNSKTGITSLNTSKISLPESRFSLRTTAQQTVEKTKRTLRYTRPLLHGLSHTSNDSDAHTSGTPSRSTSHILKTPEKSCHNENTLPLIPSSEAIRSEGTTRYQSEERNVTNNGDGQTVDNPETVIKLLTDENSSLKESIMKMEESLSRELHIERDKNKSLIERLENVQKQLGTANKEQEALVDIFTEERARRDQEVENQRTKLKEAASTIQNLLDQLNAARSCRKN >ORUFI02G16840.3 pep chromosome:OR_W1943:2:14891659:14902777:1 gene:ORUFI02G16840 transcript:ORUFI02G16840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGEPSAAAGGGEGDTEAHAVIDVSSSETDSDPDPGFGGAGKRPRRVVATAGSGREAEKRARILAAAVPPGFLDPLPRPSAPPPPPPPPRGRRRVTRQFWNAGDYDGKPDLLGGDPSLRSAFAELLDNSLDEVANGATYVNIDMLENKKDGTRMVSVEDDGGGMDPDKMWHCMSLGYSEKSKVKDTIGQYGNGFKTSTMRLGADVLVLSRSCGNGGRRRTQSIGMLSYTFLRETRKDDIIVPMIDYEKGQQYWKRMMRTTSIDWQTSLATIIEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDTDVNDIQIRGGNRDQKNIQLAKQFPNSRHFFTYRHSLQSYASILYLRVPSVFQMILRGKEIEHHNIIGDMMMKNHVIYKPVMTDGFPRDIDMMTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKIMEDEGYISGGLAYAECTQPIVWALPGIQGRGVIGVLEVNFVEPAHDKQDFERTNSLARLEARLNLMQKKYWSDNCHRIGYGGNSANRKSGREYKGPTSDQSPEGCRSSNYLQRKRSFGSPYSGSSNNNSKTGITSLNTSKISLPESRFSLRTTAQQTVEKTKRTLRYTRPLLHGLSHTSNDSDAHTSGTPSRSTSHILKTPEKSCHNENTLPLIPSSEAIRSEGTTRYQSEERNVTNNGDGQTVDNPETVIKLLTDENSSLKESIMKMEESLSRELHIERDKNKSLIERLENVQKQLGTANKEQEALVDIFTEERARRDQEVENQRTKLKEAASTIQNLLDQLNAARSCRKN >ORUFI02G16840.4 pep chromosome:OR_W1943:2:14891659:14902777:1 gene:ORUFI02G16840 transcript:ORUFI02G16840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGEPSAAAGGGEGDTEAHAVIDVSSSETDSDPDPGFGGAGKRPRRVVATAGSGREAEKRARILAAAVPPGFLDPLPRPSAPPPPPPPPRGRRRVTRQFWNAGDYDGKPDLLGGDPSLRSAFAELLDNSLDEVANGATYVNIDMLENKKDGTRMVSVEDDGGGMDPDKMWHCMSLGYSEKSKVKDTIGQYGNGFKTSTMRLGADVLVLSRSCGNGGRRRTQSIGMLSYTFLRETRKDDIIVPMIDYEKGQQYWKRMMRTTSIDWQTSLATIIEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDTDVNDIQIRGGNRDQKNIQLAKQFPNSRHFFTYRHSLQSYASILYLRVPSVFQMILRGKEIEHHNIIGDMMMKNHVIYKPVMTDGFPRDIDMMTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPFWRVWALPGIQGRGVIGVLEVNFVEPAHDKQDFERTNSLARLEARLNLMQKKYWSDNCHRIGYGGNSANRKSGREYKGPTSDQSPEGCRSSNYLQRKRSFGSPYSGSSNNNSKTGITSLNTSKISLPESRFSLRTTAQQTVEKTKRTLRYTRPLLHGLSHTSNDSDAHTSGTPSRSTSHILKTPEKSCHNENTLPLIPSSEAIRSEGTTRYQSEERNVTNNGDGQTVDNPETVIKLLTDENSSLKESIMKMEESLSRELHIERDKNKSLIERLENVQKQLGTANKEQEALVDIFTEERARRDQEVENQRTKLKEAASTIQNLLDQLNAARSCRKN >ORUFI02G16840.5 pep chromosome:OR_W1943:2:14891659:14902777:1 gene:ORUFI02G16840 transcript:ORUFI02G16840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGEPSAAAGGGEGDTEAHAVIDVSSSETDSDPDPGFGGAGKRPRRVVATAGSGREAEKRARILAAAVPPGFLDPLPRPSAPPPPPPPPRGRRRVTRQFWNAGDYDGKPDLLGGDPSLRSDSGMDHIRVHPRFLHSNATSHKWALGAFAELLDNSLDEVANGATYVNIDMLENKKDGTRMVSVEDDGGGMDPDKMWHCMSLGYSEKSKVKDTIGQYGNGFKTSTMRLGADVLVLSRSCGNGGRRRTQSIGMLSYTFLRETRKDDIIVPMIDYEKGQQYWKRMMRTTSIDWQTSLATIIEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDTDVNSYASILYLRVPSVFQMILRGKEIEHHNIIGDMMMKNHVIYKPVMTDGFPRDIDMMTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPFWRVWALPGIQGRGVIGVLEVNFVEPAHDKQDFERTNSLARLEARLNLMQKKYWSDNCHRIGYGGNSANRKSGREYKGPTSDQSPEGCRSSNYLQRKRSFGSPYSGSSNNNSKTGITSLNTSKISLPESRFSLRTTAQQTVEKTKRTLRYTRPLLHGLSHTSNDSDAHTSGTPSRSTSHILKTPEKSCHNENTLPLIPSSEAIRSEGTTRYQSEERNVTNNGDGQTVDNPETVIKLLTDENSSLKESIMKMEESLSRELHIERDKNKSLIERLENVQKQLGTANKEQEALVDIFTEERARRDQEVENQRTKLKEAASTIQNLLDQLNAARSCRKN >ORUFI02G16840.6 pep chromosome:OR_W1943:2:14891659:14902777:1 gene:ORUFI02G16840 transcript:ORUFI02G16840.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGEPSAAAGGGEGDTEAHAVIDVSSSETDSDPDPGFGGAGKRPRRVVATAGSGREAEKRARILAAAVPPGFLDPLPRPSAPPPPPPPPRGRRRVTRQFWNAGDYDGKPDLLGGDPSLRSAFAELLDNSLDEVANGATYVNIDMLENKKDGTRMVSVEDDGGGMDPDKMWHCMSLGYSEKSKVKDTIGQYGNGFKTSTMRLGADVLVLSRSCGNGGRRRTQSIGMLSYTFLRETRKDDIIVPMIDYEKGQQYWKRMMRTTSIDWQTSLATIIEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDTDVNSYASILYLRVPSVFQMILRGKEIEHHNIIGDMMMKNHVIYKPVMTDGFPRDIDMMTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPFWRVWALPGIQGRGVIGVLEVNFVEPAHDKQDFERTNSLARLEARLNLMQKKYWSDNCHRIGYGGNSANRKSGREYKGPTSDQSPEGCRSSNYLQRKRSFGSPYSGSSNNNSKTGITSLNTSKISLPESRFSLRTTAQQTVEKTKRTLRYTRPLLHGLSHTSNDSDAHTSGTPSRSTSHILKTPEKSCHNENTLPLIPSSEAIRSEGTTRYQSEERNVTNNGDGQTVDNPETVIKLLTDENSSLKESIMKMEESLSRELHIERDKNKSLIERLENVQKQLGTANKEQEALVDIFTEERARRDQEVENQRTKLKEAASTIQNLLDQLNAARSCRKN >ORUFI02G16840.7 pep chromosome:OR_W1943:2:14891659:14902777:1 gene:ORUFI02G16840 transcript:ORUFI02G16840.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGEPSAAAGGGEGDTEAHAVIDVSSSETDSDPDPGFGGAGKRPRRVVATAGSGREAEKRARILAAAVPPGFLDPLPRPSAPPPPPPPPRGRRRVTRQFWNAGDYDGKPDLLGGDPSLRSAFAELLDNSLDEVANGATYVNIDMLENKKDGTRMVSVEDDGGGMDPDKMWHCMSLGYSEKSKVKDTIGQYGNGFKTSTMRLGADVLVLSRSCGNGGRRRTQSIGMLSYTFLRETRKDDIIVPMIDYEKGQQYWKRMMRTTSIDWQTSLATIIEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDTDVNSYASILYLRVPSVFQMILRGKEIEHHNIIGDMMMKNHVIYKPVMTDGFPRDIDMMTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPFWRVWALPGIQGRGVIGVLEVNFVEPAHDKQDFERTNSLARLEARLNLMQKKYWSDNCHRIGYGGNSANRKSGREYKGPTSDQSPEGCRSSNYLQRKRSFGSPYSGSSNNNSKTGITSLNTSKISLPESRFSLRTTAQQTVEKTKRTLRYTRPLLHGLSHTSNDSDAHTSGTPSRSTSHILKTPEKSCHNENTLPLIPSSEAIRSEGTTRYQSEERNVTNNGDGQTVDNPETLENVQKQLGTANKEQEALVDIFTEERARRDQEVENQRTKLKEAASTIQNLLDQLNAARSCRKN >ORUFI02G16850.1 pep chromosome:OR_W1943:2:14917235:14921550:1 gene:ORUFI02G16850 transcript:ORUFI02G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRLLLLGLLLLSPAVAAASVPGEEEPLIRQVVGGGDDNELELNAERHFASFVQRFGKSYRDADEHAYRLSVFKANLRRARRHQLLDPSAEHGVTKFSDLTPAEFRRAYLGLRTSRRAFLRGLGGSAHEAPVLPTDGLPDDFDWRDHGAVGPVKNQGSCGSCWSFSASGALEGANYLATGKMDVLSEQQMVDCDHECDSSEPDSCDAGCNGGLMTNAFSYLLKSGGLESEKDYPYTGRDGTCKFDKSKIVTSVQNFSVVSVDEDQIAANLVKHGPLAIGINAAYMQTYIGGVSCPYICGRHLDHGVLLVGYGASGFAPIRLKDKAYWIIKNSWGENWGEHGYYKICRGSNVRNKCGVDSMVSTVSAIHTSKE >ORUFI02G16860.1 pep chromosome:OR_W1943:2:14925550:14931498:1 gene:ORUFI02G16860 transcript:ORUFI02G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLSSVPRWVPFLSSSSHRGLSFFPSMLEFSPLIASASRLLPLRPALPLPTFPSFPVGVGVFTGGEEIDICTITLLSTDLLMAASLVERRTGSWRTVGVDAWRLTTMSRILPWRHPPPPASFALPLADILPSLCCPLGGAKAGACAIIVEELNAGGCGEGVAVAGGNGSGGAVQDNDRVERQAVAGHCHRHDNPACGSQVVGDGRPSAAGLRASQSLRRPPPSLAAARRDEDPTPHTVDPPLQPDMWVAGRWQWRAECGGVKCQPVLEKATVVVGSGEEG >ORUFI02G16870.1 pep chromosome:OR_W1943:2:14936432:14941288:1 gene:ORUFI02G16870 transcript:ORUFI02G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLPFPSLPISLSSGARARRRGGGGGGGGGGFRVVVVVMMGFFSSWGGMSAAAEEAAAAAAAEEVEGVEAGKKGVADAKGKGKEKVVVAEEDSAVAAGSGGSGGGRRTLVAYPARVAGYKDVVADAAVFRRALEGLHAQMGTKLKVPIIGGKDLDLHQLFKEVTSRGGIDKVKSDNRWREVTASFIFPATATNASFMLKKYYMSLLYHFERLYLFEAQGWYQETDSRSISCIEMKAEGQASRKRKRGSNSCSSDLAASLDNDVQVIIDGKFEHGYIVTVIMGSKSTKAVLYNCTEEPAVPTAVPHVAIDSAEGIRPRRRRRRKKLSTTDPNHPKPNRSGYNFFFQDQHRKLKPEYPGQDRLISKMIGERWNNLGPEDKAVYQEKGVEDKARYQRQLALYREQRTGQPISNAVPIQQRLPQKEVTIDEVDSKVSEGDILLSNQGYSSSTSSSDETADSGEKNVEDDEEFNTETSPEPSMETTDSHGQPDPSADGERFELRRRENPKIDEKRDMPPN >ORUFI02G16870.2 pep chromosome:OR_W1943:2:14936195:14941288:1 gene:ORUFI02G16870 transcript:ORUFI02G16870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAEEAAAAAAAEEVEGVEAGKKGVADAKGKGKEKVVVAEEDSAVAAGSGGSGGGRRTLVAYPARVAGYKDVVADAAVFRRALEGLHAQMGTKLKVPIIGGKDLDLHQLFKEVTSRGGIDKVKSDNRWREVTASFIFPATATNASFMLKKYYMSLLYHFERLYLFEAQGWYQETDSRSISCIEMKAEGQASRKRKRGSNSCSSDLAASLDNDVQVIIDGKFEHGYIVTVIMGSKSTKAVLYNCTEEPAVPTAVPHVAIDSAEGIRPRRRRRRKKLSTTDPNHPKPNRSGYNFFFQDQHRKLKPEYPGQDRLISKMIGERWNNLGPEDKAVYQEKGVEDKARYQRQLALYREQRTGQPISNAVPIQQRLPQKEVTIDEVDSKVSEGDILLSNQGYSSSTSSSDETADSGEKNVEDDEEFNTETSPEPSMETTDSHGQPDPSADGERFELRRRENPKIDEKRDMPPN >ORUFI02G16880.1 pep chromosome:OR_W1943:2:14941987:14945336:-1 gene:ORUFI02G16880 transcript:ORUFI02G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSSSSSPPAAHHPFSFPRRAKQHGRPRLAAASSRWANRRASSSIWVNPAAPPRPGQTLRRLVQLGDLDAALRLLFLPGALPAPAAALISCNILIKKLCATRRLADAERVLGAARATGAANVVTYTALIDGYCRSGRLDDALRLIASMPVAPDTYTYNTVLKGLCIAKKWEEAEELMAEMIRNRCPPNEVTFATQIRSFCQNGLLDRAVQLLDQMPRYGCTPDVVIYSTLINGFSEQGHVDQALDLLNTMLCKPNTVCYNAALKGLCIAERWEDIGELMAEMVRKGCSPNEATFSMLISSLCQNNLVDSAVEVLEQMEKYGCEPDTVNYNIIINSLSERGRVDDALRLLNSMVCKPDALGFNAVLKGFCRAERWHDASELIAQMFRDDCPLIEMTFNILIDMLCQNGLVNYATQVFEQMPRYRCTPDIVTYSSLLNGFSEQGLVEVAIQLFRSMPCKPDIFSYNAVLKGLCRAARWEDAGELIAEMVGKDCPPNEVTFNILINSLCQKGLVDRAIEVLEQMPNYGSTPDIFTYNALINGFSEQGRLDDALKLLSTMSCKPDAISYNSTLKGLCRAERWQDAEELVAEMLRNKCTPNEVTFKFFVYELVMVMGMTMEHSVSISTFTW >ORUFI02G16890.1 pep chromosome:OR_W1943:2:14951680:14954385:1 gene:ORUFI02G16890 transcript:ORUFI02G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSLMAPSFLARRHAKLPTKETVTSSSTSHRPCRRQQPHRPAPLRQPLAASDQDQVLRTRAADYTLRVGHGERAFAYARLPVFHKLQLARLLLKQGMPFRGHDESEEVSQ >ORUFI02G16900.1 pep chromosome:OR_W1943:2:14957060:15002651:-1 gene:ORUFI02G16900 transcript:ORUFI02G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDNETYRSPTHVVYATLFGEVPICLGLRTYIVHTTLVSKITLHSLQGFSPCHFHELHPSRILQVSEEPDYYDYVINCFKNADMGTGASRFSPRHLGLDPVHDGAPEGSRRQRIRARGGVVVALERAAPPPPPPPPRRARPVLYLLRKYLGEYVEGLSVETLRISVWQGDVVLKDLKLKADALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDREKLFEAKLQQIEAAEAATLEATSRSSKGGPVPGSNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNSGHPFASGFTLSRLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSSAWKLAKKWEDLNPTEWGEIFQDGIDDHSGNSVWAMNRNYLVYPINGTLNYKRLGKQERGGPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVKVDCRAWWRYAVLAGWQQVKLAVGGPQIISSLTNPLPWSAHWHMEFALMVVDTACEATHVCGVVHLKDSMELQQRTAQVVQGTRGERSCVGAIGSHGRELGISASFVDAMLLGHAKVETVKSKEILHKKGASKRRWWTFGWNSAELPSEENALLEPQLDEEERLTKEEWQAINKLLSYQPEDDLSSPLEKVSPNTTRFLVDVSIGQAAARIINIDRTEILCGRFEKLQVVTKLYPKSTRCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPVGLDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTMKIEQVTRRAQEQLQMVLEEQSSFGLDIDLDAPKDGTREEERRSLYSRFYIAGRDMAAFVVCDTAEDIYSVPENQRVLSGPTVDANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYGKIVELLGVLCKLKGSDSEDSDSCENCNLAPWYPADLAGDARTLVWKGLGYSLAEWHTSYVVLSGMYLYILESEVSQDYQSMASRQVIEVPSTSVGGSLYSIAVCSRGVDMQKALESTSTLIIEYHNEIEKANWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVVETKLSLYAKLDRKKKDPEEVVMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINDNLETVDSSSPDEEDHRKSFSVEEDSFMDALTDFTPDQSPNLQDLEIPSNSIFDPDGHTQLSSKDGLSFDGDQQKVKPTEVFYEAQDNNINDFVVLTFLTRTPDSCLYDGIDSQMCIRMSALEFYCNRPTLVALIEFGFDLSMVNSAPKGDSDTTPAVRNVKPTGTEDNARNFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPEHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSKDYIQLDLGQLKVRNGFCWRGGEESDPSAVRLDILQAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPMLCMKFQIGLLHGIMSDKEYNVITSCISTNLSEAPNLPPGFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELVLEGLWVSYRTTSLFEMDLYLSILKFLIHDIRPDTKSEMRLMLGSYSETSKLSTQDPSSDVGVSNLTMVILDYRWRSSFQSFVIRIQEPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPVFFQRENFIQLSPGRQLIVDGCDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLESSLNDNEDDNTQNEEYKRINALQPGADTPSAQMLNFTFEAQVVSPEFTFYDSSKLSIDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVYIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCINFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSLEQMNSSQAAEDNECSIWIPVPPPGYIALGVTPGFSIWRVDNVIASFHAHNSIEQPTRVEALDLHHVLLRNPNCYIVKDLNADSSVRSNQPADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPFSIWRPIPRFGFSSVGDCITEGFEPPTLGILFKCDSAIVSERPTQFKKVAQIDRKGSDEILFFWYPVPPPGYASLGCVATKTDEMPSNDSVCCPKMGLVNHANILEDPISRSSSSKGPNCWSIWKVSNQGCTFLATSDTKKPPAQMAYRIADHAKPKVRENITAELKFGCLSVSILDSSCGMVTPIFDTTIANINLATHGKFETMNAVLICSISASTFNRHLEAWEPFVEPFDGIFKFETYDTSKHPPSKVGKRIRVAATSPLNDTVDSVKNADDLSCSALDEDDFQRIVFENKLGCDIYVKKLEDNEDIIELLQHENQVSLFMPPPRFSDKLSVLSNSTESRYYVIIQIFESKGLPIMDDGNDHSYFCALRLLVGSDVSDQYKVFPQSARTRCVKPLKTCESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATILKRAASMRIIQQAADVKRVLTCPLTRKGQALNHENVKHCGMLVLSSCYVERSTQTNFQSWKDSLSNAKSGFWIGLGPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGFDIKLEVSVCPVTMHSSSVSNAGSTSSTSIIDEVFENQWYRPTSGWGSNPASDQGCDVGPWSTKDGSYSSKAFFEPRLPPGWKWTSPWKIEISSSVDSDGWAYAANFQNLNWPSSWKSSKSPHDFVRRRRWVRSRQSMQEQSAEIPRKIIAVMEPHASTALPWTAMIKDMDLCLQVRPFSEKSQESYSWSQVLSLGSESIPKQQQSSLSRQSTLKQSSVPSKNSVLRLADLEKKDMLSYCCPPVGIKQNFWLSVGIDASILHTDLNMPIYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWIIEKDTVLILDLMSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNISSIPLSYRIVEVEPTENSDAESLSRPDSLSRAAKSSKFSLRYSSKSLIRRGPVAQRNMHILEDYMNRSAGVRFESRDNNSSPARVAICVAVGSCKQYSIGVSLFDLENKEHVDVKAFTSDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIILSEYHSETEEHLHPSSPPQAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNNTTGNDQALVRVNVRSGTKCSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHQPLAASSRVKKALRVTVLKEGKFHVTQINDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPDLDSEFHVTLELTEFGLSIIDHMPEEILFLSVQQLLLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQRMENQSDYIIKFSMTLQTNNSLEFCVYPYLGVQPIIWRLHEMIQNLKFDRISSSESSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAMSNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLARRRLPRAIGGDSLLYPYDDHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRVLLLQVPMMTQRKFSPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIYSSIDGAYKAYGPKSTKELLRWKVPRPYAPRNTSGRTVQDLSYEREGTYQCIAYITF >ORUFI02G16900.2 pep chromosome:OR_W1943:2:14957060:15002651:-1 gene:ORUFI02G16900 transcript:ORUFI02G16900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDNETYRSPTHVVYATLFGEVPICLGLRTYIVHTTLVSKITLHSLQGFSPCHFHELHPSRILQVSEEPDYYDYVINCFKNADMGTGASRFSPRHLGLDPVHDGAPEGSRRQRIRARGGVVVALERAAPPPPPPPPRRARPVLYLLRKYLGEYVEGLSVETLRISVWQGDVVLKDLKLKADALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDREKLFEAKLQQIEAAEAATLEATSRSSKGGPVPGSNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNSGHPFASGFTLSRLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSSAWKLAKKWEDLNPTEWGEIFQDGIDDHSGNSVWAMNRNYLVYPINGTLNYKRLGKQERGGPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVKVDCRAWWRYAVLAAIGSHGRELGISASFVDAMLLGHAKVETVKSKEILHKKGASKRRWWTFGWNSAELPSEENALLEPQLDEEERLTKEEWQAINKLLSYQPEDDLSSPLEKVSPNTTRFLVDVSIGQAAARIINIDRTEILCGRFEKLQVVTKLYPKSTRCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPVGLDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTMKIEQVTRRAQEQLQMVLEEQSSFGLDIDLDAPKDGTREEERRSLYSRFYIAGRDMAAFVVCDTAEDIYSVPENQRVLSGPTVDANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYGKIVELLGVLCKLKGSDSEDSDSCENCNLAPWYPADLAGDARTLVWKGLGYSLAEWHTSYVVLSGMYLYILESEVSQDYQSMASRQVIEVPSTSVGGSLYSIAVCSRGVDMQKALESTSTLIIEYHNEIEKANWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVVETKLSLYAKLDRKKKDPEEVVMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINDNLETVDSSSPDEEDHRKSFSVEEDSFMDALTDFTPDQSPNLQDLEIPSNSIFDPDGHTQLSSKDGLSFDGDQQKVKPTEVFYEAQDNNINDFVVLTFLTRTPDSCLYDGIDSQMCIRMSALEFYCNRPTLVALIEFGFDLSMVNSAPKGDSDTTPAVRNVKPTGTEDNARNFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPEHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSKDYIQLDLGQLKVRNGFCWRGGEESDPSAVRLDILQAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPMLCMKFQIGLLHGIMSDKEYNVITSCISTNLSEAPNLPPGFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELVLEGLWVSYRTTSLFEMDLYLSILKFLIHDIRPDTKSEMRLMLGSYSETSKLSTQDPSSDVGVSNLTMVILDYRWRSSFQSFVIRIQEPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPVFFQRENFIQLSPGRQLIVDGCDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLESSLNDNEDDNTQNEEYKRINALQPGADTPSAQMLNFTFEAQVVSPEFTFYDSSKLSIDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVYIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCINFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSLEQMNSSQAAEDNECSIWIPVPPPGYIALGVTPGFSIWRVDNVIASFHAHNSIEQPTRVEALDLHHVLLRNPNCYIVKDLNADSSVRSNQPADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPFSIWRPIPRFGFSSVGDCITEGFEPPTLGILFKCDSAIVSERPTQFKKVAQIDRKGSDEILFFWYPVPPPGYASLGCVATKTDEMPSNDSVCCPKMGLVNHANILEDPISRSSSSKGPNCWSIWKVSNQGCTFLATSDTKKPPAQMAYRIADHAKPKVRENITAELKFGCLSVSILDSSCGMVTPIFDTTIANINLATHGKFETMNAVLICSISASTFNRHLEAWEPFVEPFDGIFKFETYDTSKHPPSKVGKRIRVAATSPLNVNLSSANLDLLIETLISWKRQIDLEKKSSIKNEDTVDSVKNADDLSCSALDEDDFQRIVFENKLGCDIYVKKLEDNEDIIELLQHENQVSLFMPPPRFSDKLSVLSNSTESRYYVIIQIFESKGLPIMDDGNDHSYFCALRLLVGSDVSDQYKVFPQSARTRCVKPLKTCESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATILKRAASMRIIQQAADVKRVLTCPLTRKGQALNHENVKHCGMLVLSSCYVERSTQTNFQSWKDSLSNAKSGFWIGLGPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGFDIKLEVSVCPVTMHSSSVSNAGSTSSTSIIDEVFENQWYRPTSGWGSNPASDQGCDVGPWSTKDGSYSSKAFFEPRLPPGWKWTSPWKIEISSSVDSDGWAYAANFQNLNWPSSWKSSKSPHDFVRRRRWVRSRQSMQEQSAEIPRKIIAVMEPHASTALPWTAMIKDMDLCLQVRPFSEKSQESYSWSQVLSLGSESIPKQQQSSLSRQSTLKQSSVPSKNSVLRLADLEKKDMLSYCCPPVGIKQNFWLSVGIDASILHTDLNMPIYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWIIEKDTVLILDLMSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNISSIPLSYRIVEIFFKISYQKRPSGTEKYAHIGDYVMLSPQDYMNRSAGVRFESRDNNSSPARVAICVAVGSCKQYSIGVSLFDLENKEHVDVKAFTSDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIILSEYHSETEEHLHPSSPPQAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNNTTGNDQALVRVNVRSGTKCSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHQPLAASSRVKKALRVTVLKEGKFHVTQINDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPDLDSEFHVTLELTEFGLSIIDHMPEEILFLSVQQLLLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQRMENQSDYIIKFSMTLQTNNSLEFCVYPYLGVQPIIWRLHEMIQNLKFDRISSSESSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAMSNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLARRRLPRAIGGDSLLYPYDDHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRVLLLQVPMMTQRKFSPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIYSSIDGAYKAYGPKSTKELLRWKVPRPYAPRNTSGRTVQDLSYEREGTYQCIAYITF >ORUFI02G16900.3 pep chromosome:OR_W1943:2:14957060:15002651:-1 gene:ORUFI02G16900 transcript:ORUFI02G16900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERDNETYRSPTHVVYATLFGEVPICLGLRTYIVHTTLVSKITLHSLQGFSPCHFHELHPSRILQVSEEPDYYDYVINCFKNADMGTGASRFSPRHLGLDPVHDGAPEGSRRQRIRARGGVVVALERAAPPPPPPPPRRARPVLYLLRKYLGEYVEGLSVETLRISVWQGDVVLKDLKLKADALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDREKLFEAKLQQIEAAEAATLEATSRSSKGGPVPGSNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNSGHPFASGFTLSRLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSSAWKLAKKWEDLNPTEWGEIFQDGIDDHSGNSVWAMNRNYLVYPINGTLNYKRLGKQERGGPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVKVDCRAWWRYAVLAGWQQVKLAVGGPQIISSLTNPLPWSAHWHMEFALMVVDTACEATHVCGVVHLKDSMELQQRTAQVVQGTRGERSCVGAIGSHGRELGISASFVDAMLLGHAKVETVKSKEILHKKGASKRRWWTFGWNSAELPSEENALLEPQLDEEERLTKEEWQAINKLLSYQPEDDLSSPLEKVSPNTTRFLVDVSIGQAAARIINIDRTEILCGRFEKLQVVTKLYPKSTRCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPVGLDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTMKIEQVTRRAQEQLQMVLEEQSSFGLDIDLDAPKDGTREEERRSLYSRFYIAGRDMAAFVVCDTAEDIYSVPENQRVLSGPTVDANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYGKIVELLGVLCKLKGSDSEDSDSCENCNLAPWYPADLAGDARTLVWKGLGYSLAEWHTSYVVLSGMYLYILESEVSQDYQSMASRQVIEVPSTSVGGSLYSIAVCSRGVDMQKALESTSTLIIEYHNEIEKANWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVVETKLSLYAKLDRKKKDPEEVVMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINDNLETVDSSSPDEEDHRKSFSVEEDSFMDALTDFTPDQSPNLQDLEIPSNSIFDPDGHTQLSSKDGLSFDGDQQKVKPTEVFYEAQDNNINDFVVLTFLTRTPDSCLYDGIDSQMCIRMSALEFYCNRPTLVALIEFGFDLSMVNSAPKGDSDTTPAVRNVKPTGTEDNARNFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPEHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSKDYIQLDLGQLKVRNGFCWRGGEESDPSAVRLDILQAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPMLCMKFQIGLLHGIMSDKEYNVITSCISTNLSEAPNLPPGFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELVLEGLWVSYRTTSLFEMDLYLSILKFLIHDIRPDTKSEMRLMLGSYSETSKLSTQDPSSDVGVSNLTMVILDYRWRSSFQSFVIRIQEPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPVFFQRENFIQLSPGRQLIVDGCDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLESSLNDNEDDNTQNEEYKRINALQPGADTPSAQMLNFTFEAQVVSPEFTFYDSSKLSIDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVYIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCINFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSLEQMNSSQAAEDNECSIWIPVPPPGYIALGVTPGFSIWRVDNVIASFHAHNSIEQPTRVEALDLHHVLLRNPNCYIVKDLNADSSVRSNQPADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPFSIWRPIPRFGFSSVGDCITEGFEPPTLGILFKCDSAIVSERPTQFKKVAQIDRKGSDEILFFWYPVPPPGYASLGCVATKTDEMPSNDSVCCPKMGLVNHANILEDPISRSSSSKGPNCWSIWKVSNQGCTFLATSDTKKPPAQMAYRIADHAKPKVRENITAELKFGCLSVSILDSSCGMVTPIFDTTIANINLATHGKFETMNAVLICSISASTFNRHLEAWEPFVEPFDGIFKFETYDTSKHPPSKVGKRIRVAATSPLNVNLSSANLDLLIETLISWKRQIDLEKKSSIKNEDTVDSVKNADDLSCSALDEDDFQRIVFENKLGCDIYVKKLEDNEDIIELLQHENQVSLFMPPPRFSDKLSVLSNSTESRYYVIIQIFESKGLPIMDDGNDHSYFCALRLLVGSDVSDQYKVFPQSARTRCVKPLKTCESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATILKRAASMRIIQQAADVKRVLTCPLTRKGQALNHENVKHCGMLVLSSCYVERSTQTNFQSWKDSLSNAKSGFWIGLGPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGFDIKLEVSVCPVTMHSSSVSNAGSTSSTSIIDEVFENQWYRPTSGWGSNPASDQGCDVGPWSTKDGSYSSKAFFEPRLPPGWKWTSPWKIEISSSVDSDGWAYAANFQNLNWPSSWKSSKSPHDFVRRRRWVRSRQSMQEQSAEIPRKIIAVMEPHASTALPWTAMIKDMDLCLQVRPFSEKSQESYSWSQVLSLGSESIPKQQQSSLSRQSTLKQSSVPSKNSVLRLADLEKKDMLSYCCPPVGIKQNFWLSVGIDASILHTDLNMPIYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWIIEKDTVLILDLMSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNISSIPLSYRIVEVEPTENSDAESLSRPDSLSRAAKSSKFSLRYSSKSLIRRGPVAQRNMHILEVIEDCSTDYVMLSPQDYMNRSAGVRFESRDNNSSPARVAICVAVGSCKQYSIGVSLFDLENKEHVDVKAFTSDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIILSEYHSETEEHLHPSSPPQAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNNTTGNDQALVRVNVRSGTKCSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHQPLAASSRVKKALRVTVLKEGKFHVTQINDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPDLDSEFHVTLELTEFGLSIIDHMPEEILFLSVQQLLLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQRMENQSDYIIKFSMTLQTNNSLEFCVYPYLGVQPIIWRLHEMIQNLKFDRISSSESSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAMSNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLARRRLPRAIGGDSLLYPYDDHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRVLLLQVPMMTQRKFSPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIYSSIDGAYKAYGPKSTKELLRWKVPRPYAPRNTSGRTVQDLSYEREGTYQCIAYITF >ORUFI02G16900.4 pep chromosome:OR_W1943:2:14957060:15002651:-1 gene:ORUFI02G16900 transcript:ORUFI02G16900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERDNETYRSPTHVVYATLFGEVPICLGLRTYIVHTTLVSKITLHSLQGFSPCHFHELHPSRILQVSEEPDYYDYVINCFKNADMGTGASRFSPRHLGLDPVHDGAPEGSRRQRIRARGGVVVALERAAPPPPPPPPRRARPVLYLLRKYLGEYVEGLSVETLRISVWQGDVVLKDLKLKADALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDREKLFEAKLQQIEAAEAATLEATSRSSKGGPVPGSNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNSGHPFASGFTLSRLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSSAWKLAKKWEDLNPTEWGEIFQDGIDDHSGNSVWAMNRNYLVYPINGTLNYKRLGKQERGGPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVKVDCRAWWRYAVLAGWQQVKLAVGGPQIISSLTNPLPWSAHWHMEFALMVVDTACEATHVCGVVHLKDSMELQQRTAQVVQGTRGERSCVGAIGSHGRELGISASFVDAMLLGHAKVETVKSKEILHKKGASKRRWWTFGWNSAELPSEENALLEPQLDEEERLTKEEWQAINKLLSYQPEDDLSSPLEKVSPNTTRFLVDVSIGQAAARIINIDRTEILCGRFEKLQVVTKLYPKSTRCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPVGLDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTMKIEQVTRRAQEQLQMVLEEQSSFGLDIDLDAPKDGTREEERRSLYSRFYIAGRDMAAFVVCDTAEDIYSVPENQRVLSGPTVDANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYGKIVELLGVLCKLKGSDSEDSDSCENCNLAPWYPADLAGDARTLVWKGLGYSLAEWHTSYVVLSGMYLYILESEVSQDYQSMASRQVIEVPSTSVGGSLYSIAVCSRGVDMQKALESTSTLIIEYHNEIEKANWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVVETKLSLYAKLDRKKKDPEEVVMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINDNLETVDSSSPDEEDHRKSFSVEEDSFMDALTDFTPDQSPNLQDLEIPSNSIFDPDGHTQLSSKDGLSFDGDQQKVKPTEVFYEAQDNNINDFVVLTFLTRTPDSCLYDGIDSQMCIRMSALEFYCNRPTLVALIEFGFDLSMVNSAPKGDSDTTPAVRNVKPTGTEDNARNFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPEHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSKDYIQLDLGQLKVRNGFCWRGGEESDPSAVRLDILQAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPMLCMKFQIGLLHGIMSDKEYNVITSCISTNLSEAPNLPPGFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELVLEGLWVSYRTTSLFEMDLYLSILKFLIHDIRPDTKSEMRLMLGSYSETSKLSTQDPSSDVGVSNLTMVILDYRWRSSFQSFVIRIQEPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPVFFQRENFIQLSPGRQLIVDGCDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLESSLNDNEDDNTQNEEYKRINALQPGADTPSAQMLNFTFEAQVVSPEFTFYDSSKLSIDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVYIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCINFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSLEQMNSSQAAEDNECSIWIPVPPPGYIALGVTPGFSIWRVDNVIASFHAHNSIEQPTRVEALDLHHVLLRNPNCYIVKDLNADSSVRSNQPADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPFSIWRPIPRFGFSSVGDCITEGFEPPTLGILFKCDSAIVSERPTQFKKVAQIDRKGSDEILFFWYPVPPPGYASLGCVATKTDEMPSNDSVCCPKMGLVNHANILEDPISRSSSSKGPNCWSIWKVSNQGCTFLATSDTKKPPAQMAYRIADHAKPKVRENITAELKFGCLSVSILDSSCGMVTPIFDTTIANINLATHGKFETMNAVLICSISASTFNRHLEAWEPFVEPFDGIFKFETYDTSKHPPSKVGKRIRVAATSPLNDTVDSVKNADDLSCSALDEDDFQRIVFENKLGCDIYVKKLEDNEDIIELLQHENQVSLFMPPPRFSDKLSVLSNSTESRYYVIIQIFESKGLPIMDDGNDHSYFCALRLLVGSDVSDQYKVFPQSARTRCVKPLKTCESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATILKRAASMRIIQQAADVKRVLTCPLTRKGQALNHENVKHCGMLVLSSCYVERSTQTNFQSWKDSLSNAKSGFWIGLGPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGFDIKLEVSVCPVTMHSSSVSNAGSTSSTSIIDEVFENQWYRPTSGWGSNPASDQGCDVGPWSTKDGSYSSKAFFEPRLPPGWKWTSPWKIEISSSVDSDGWAYAANFQNLNWPSSWKSSKSPHDFVRRRRWVRSRQSMQEQSAEIPRKIIAVMEPHASTALPWTAMIKDMDLCLQVRPFSEKSQESYSWSQVLSLGSESIPKQQQSSLSRQSTLKQSSVPSKNSVLRLADLEKKDMLSYCCPPVGIKQNFWLSVGIDASILHTDLNMPIYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWIIEKDTVLILDLMSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNISSIPLSYRIVEVEPTENSDAESLSRPDSLSRAAKSSKFSLRYSSKSLIRRGPVAQRNMHILEVIEDCSTDYVMLSPQDYMNRSAGVRFESRDNNSSPARVAICVAVGSCKQYSIGVSLFDLENKEHVDVKAFTSDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIILSEYHSETEEHLHPSSPPQAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNNTTGNDQALVRVNVRSGTKCSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHQPLAASSRVKKALRVTVLKEGKFHVTQINDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPDLDSEFHVTLELTEFGLSIIDHMPEEILFLSVQQLLLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQRMENQSDYIIKFSMTLQTNNSLEFCVYPYLGVQPIIWRLHEMIQNLKFDRISSSESSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAMSNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLARRRLPRAIGGDSLLYPYDDHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRVLLLQVPMMTQRKFSPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIYSSIDGAYKAYGPKSTKELLRWKVPRPYAPRNTSGRTVQDLSYEREGTYQCIAYITF >ORUFI02G16900.5 pep chromosome:OR_W1943:2:14957060:15002651:-1 gene:ORUFI02G16900 transcript:ORUFI02G16900.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERDNETYRSPTHVVYATLFGEVPICLGLRTYIVHTTLVSKITLHSLQGFSPCHFHELHPSRILQVSEEPDYYDYVINCFKNADMGTGASRFSPRHLGLDPVHDGAPEGSRRQRIRARGGVVVALERAAPPPPPPPPRRARPVLYLLRKYLGEYVEGLSVETLRISVWQGDVVLKDLKLKADALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDREKLFEAKLQQIEAAEAATLEATSRSSKGGPVPGSNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNSGHPFASGFTLSRLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSSAWKLAKKWEDLNPTEWGEIFQDGIDDHSGNSVWAMNRNYLVYPINGTLNYKRLGKQERGGPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVKVDCRAWWRYAVLAGWQQVKLAVGGPQIISSLTNPLPWSAHWHMEFALMVVDTACEATHVCGVVHLKDSMELQQRTAQVVQGTRGERSCVGAIGSHGRELGISASFVDAMLLGHAKVETVKSKEILHKKGASKRRWWTFGWNSAELPSEENALLEPQLDEEERLTKEEWQAINKLLSYQPEDDLSSPLEKVSPNTTRFLVDVSIGQAAARIINIDRTEILCGRFEKLQVVTKLYPKSTRCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPVGLDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTMKIEQVTRRAQEQLQMVLEEQSSFGLDIDLDAPKDGTREEERRSLYSRFYIAGRDMAAFVVCDTAEDIYSVPENQRVLSGPTVDANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYGKIVELLGVLCKLKGSDSEDSDSCENCNLAPWYPADLAGDARTLVWKGLGYSLAEWHTSYVVLSGMYLYILESEVSQDYQSMASRQVIEVPSTSVGGSLYSIAVCSRGVDMQKALESTSTLIIEYHNEIEKANWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVVETKLSLYAKLDRKKKDPEEVVMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINDNLETVDSSSPDEEDHRKSFSVEEDSFMDALTDFTPDQSPNLQDLEIPSNSIFDPDGHTQLSSKDGLSFDGDQQKVKPTEVFYEAQDNNINDFVVLTFLTRTPDSCLYDGIDSQMCIRMSALEFYCNRPTLVALIEFGFDLSMVNSAPKGDSDTTPAVRNVKPTGTEDNARNFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPEHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSKDYIQLDLGQLKVRNGFCWRGGEESDPSAVRLDILQAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPMLCMKFQIGLLHGIMSDKEYNVITSCISTNLSEAPNLPPGFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELVLEGLWVSYRTTSLFEMDLYLSILKFLIHDIRPDTKSEMRLMLGSYSETSKLSTQDPSSDVGVSNLTMVILDYRWRSSFQSFVIRIQEPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPVFFQRENFIQLSPGRQLIVDGCDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLESSLNDNEDDNTQNEEYKRINALQPGADTPSAQMLNFTFEAQVVSPEFTFYDSSKLSIDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVYIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCINFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSLEQMNSSQAAEDNECSIWIPVPPPGYIALGVTPGFSIWRVDNVIASFHAHNSIEQPTRVEALDLHHVLLRNPNCYIVKDLNADSSVRSNQPADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPFSIWRPIPRFGFSSVGDCITEGFEPPTLGILFKCDSAIVSERPTQFKKVAQIDRKGSDEILFFWYPVPPPGYASLGCVATKTDEMPSNDSVCCPKMGLVNHANILEDPISRSSSSKGPNCWSIWKVSNQGCTFLATSDTKKPPAQMAYRIADHAKPKVRENITAELKFGCLSVSILDSSCGMVTPIFDTTIANINLATHGKFETMNADTVDSVKNADDLSCSALDEDDFQRIVFENKLGCDIYVKKLEDNEDIIELLQHENQVSLFMPPPRFSDKLSVLSNSTESRYYVIIQIFESKGLPIMDDGNDHSYFCALRLLVGSDVSDQYKVFPQSARTRCVKPLKTCESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATILKRAASMRIIQQAADVKRVLTCPLTRKGQALNHENVKHCGMLVLSSCYVERSTQTNFQSWKDSLSNAKSGFWIGLGPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGFDIKLEVSVCPVTMHSSSVSNAGSTSSTSIIDEVFENQWYRPTSGWGSNPASDQGCDVGPWSTKDGSYSSKAFFEPRLPPGWKWTSPWKIEISSSVDSDGWAYAANFQNLNWPSSWKSSKSPHDFVRRRRWVRSRQSMQEQSAEIPRKIIAVMEPHASTALPWTAMIKDMDLCLQVRPFSEKSQESYSWSQVLSLGSESIPKQQQSSLSRQSTLKQSSVPSKNSVLRLADLEKKDMLSYCCPPVGIKQNFWLSVGIDASILHTDLNMPIYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWIIEKDTVLILDLMSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNISSIPLSYRIVEVEPTENSDAESLSRPDSLSRAAKSSKFSLRYSSKSLIRRGPVAQRNMHILEDYMNRSAGVRFESRDNNSSPARVAICVAVGSCKQYSIGVSLFDLENKEHVDVKAFTSDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIILSEYHSETEEHLHPSSPPQAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNNTTGNDQALVRVNVRSGTKCSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHQPLAASSRVKKALRVTVLKEGKFHVTQINDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPDLDSEFHVTLELTEFGLSIIDHMPEEILFLSVQQLLLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQRMENQSDYIIKFSMTLQTNNSLEFCVYPYLGVQPIIWRLHEMIQNLKFDRISSSESSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAMSNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLARRRLPRAIGGDSLLYPYDDHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRVLLLQVPMMTQRKFSPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIYSSIDGAYKAYGPKSTKELLRWKVPRPYAPRNTSGRTVQDLSYEREGTYQCIAYITF >ORUFI02G16900.6 pep chromosome:OR_W1943:2:14957060:15002651:-1 gene:ORUFI02G16900 transcript:ORUFI02G16900.6 gene_biotype:protein_coding transcript_biotype:protein_coding MERDNETYRSPTHVVYATLFGEVPICLGLRTYIVHTTLVSKITLHSLQGFSPCHFHELHPSRILQVSEEPDYYDYVINCFKNADMGTGASRFSPRHLGLDPVHDGAPEGSRRQRIRARGGVVVALERAAPPPPPPPPRRARPVLYLLRKYLGEYVEGLSVETLRISVWQGDVVLKDLKLKADALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDREKLFEAKLQQIEAAEAATLEATSRSSKGGPVPGSNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNSGHPFASGFTLSRLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSSAWKLAKKWEDLNPTEWGEIFQDGIDDHSGNSVWAMNRNYLVYPINGTLNYKRLGKQERGGPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVKVDCRAWWRYAVLAAIGSHGRELGISASFVDAMLLGHAKVETVKSKEILHKKGASKRRWWTFGWNSAELPSEENALLEPQLDEEERLTKEEWQAINKLLSYQPEDDLSSPLEKVSPNTTRFLVDVSIGQAAARIINIDRTEILCGRFEKLQVVTKLYPKSTRCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPVGLDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTMKIEQVTRRAQEQLQMVLEEQSSFGLDIDLDAPKDGTREEERRSLYSRFYIAGRDMAAFVVCDTAEDIYSVPENQRVLSGPTVDANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYGKIVELLGVLCKLKGSDSEDSDSCENCNLAPWYPADLAGDARTLVWKGLGYSLAEWHTSYVVLSGMYLYILESEVSQDYQSMASRQVIEVPSTSVGGSLYSIAVCSRGVDMQKALESTSTLIIEYHNEIEKANWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVVETKLSLYAKLDRKKKDPEEVVMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINDNLETVDSSSPDEEDHRKSFSVEEDSFMDALTDFTPDQSPNLQDLEIPSNSIFDPDGHTQLSSKDGLSFDGDQQKVKPTEVFYEAQDNNINDFVVLTFLTRTPDSCLYDGIDSQMCIRMSALEFYCNRPTLVALIEFGFDLSMVNSAPKGDSDTTPAVRNVKPTGTEDNARNFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPEHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSKDYIQLDLGQLKVRNGFCWRGGEESDPSAVRLDILQAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPMLCMKFQIGLLHGIMSDKEYNVITSCISTNLSEAPNLPPGFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELVLEGLWVSYRTTSLFEMDLYLSILKFLIHDIRPDTKSEMRLMLGSYSETSKLSTQDPSSDVGVSNLTMVILDYRWRSSFQSFVIRIQEPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPVFFQRENFIQLSPGRQLIVDGCDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLESSLNDNEDDNTQNEEYKRINALQPGADTPSAQMLNFTFEAQVVSPEFTFYDSSKLSIDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVYIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCINFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSLEQMNSSQAAEDNECSIWIPVPPPGYIALGVTPGFSIWRVDNVIASFHAHNSIEQPTRVEALDLHHVLLRNPNCYIVKDLNADSSVRSNQPADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPFSIWRPIPRFGFSSVGDCITEGFEPPTLGILFKCDSAIVSERPTQFKKVAQIDRKGSDEILFFWYPVPPPGYASLGCVATKTDEMPSNDSVCCPKMGLVNHANILEDPISRSSSSKGPNCWSIWKVSNQGCTFLATSDTKKPPAQMAYRIADHAKPKVRENITAELKFGCLSVSILDSSCGMVTPIFDTTIANINLATHGKFETMNAVLICSISASTFNRHLEAWEPFVEPFDGIFKFETYDTSKHPPSKVGKRIRVAATSPLNDTVDSVKNADDLSCSALDEDDFQRIVFENKLGCDIYVKKLEDNEDIIELLQHENQVSLFMPPPRFSDKLSVLSNSTESRYYVIIQIFESKGLPIMDDGNDHSYFCALRLLVGSDVSDQYKVFPQSARTRCVKPLKTCESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATILKRAASMRIIQQAADVKRVLTCPLTRKGQALNHENVKHCGMLVLSSCYVERSTQTNFQSWKDSLSNAKSGFWIGLGPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGFDIKLEVSVCPVTMHSSSVSNAGSTSSTSIIDEVFENQWYRPTSGWGSNPASDQGCDVGPWSTKDGSYSSKAFFEPRLPPGWKWTSPWKIEISSSVDSDGWAYAANFQNLNWPSSWKSSKSPHDFVRRRRWVRSRQSMQEQSAEIPRKIIAVMEPHASTALPWTAMIKDMDLCLQVRPFSEKSQESYSWSQVLSLGSESIPKQQQSSLSRQSTLKQSSVPSKNSVLRLADLEKKDMLSYCCPPVGIKQNFWLSVGIDASILHTDLNMPIYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWIIEKDTVLILDLMSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNISSIPLSYRIVEVEPTENSDAESLSRPDSLSRAAKSSKFSLRYSSKSLIRRGPVAQRNMHILEDYMNRSAGVRFESRDNNSSPARVAICVAVGSCKQYSIGVSLFDLENKEHVDVKAFTSDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIILSEYHSETEEHLHPSSPPQAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNNTTGNDQALVRVNVRSGTKCSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHQPLAASSRVKKALRVTVLKEGKFHVTQINDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPDLDSEFHVTLELTEFGLSIIDHMPEEILFLSVQQLLLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQRMENQSDYIIKFSMTLQTNNSLEFCVYPYLGVQPIIWRLHEMIQNLKFDRISSSESSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAMSNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLARRRLPRAIGGDSLLYPYDDHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRVLLLQVPMMTQRKFSPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIYSSIDGAYKAYGPKSTKELLRWKVPRPYAPRNTSGRTVQDLSYEREGTYQCIAYITF >ORUFI02G16910.1 pep chromosome:OR_W1943:2:15018695:15023201:1 gene:ORUFI02G16910 transcript:ORUFI02G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERFSLERVIHDMEHKLLSKILTIQQELTEIKMHDTLPTRVYNLEVDYTDDYILTKEDEEVLHFVRNSYIWATIALIADIPLAINFLLPNVNGGWLYDTVIDAYGYTANIANHNAGVITTFQSNLLFDDFEDFDSRFDHHWVSQVGKICVVRHMVTNLQLCIERAVEGGLVTLIEPINITL >ORUFI02G16920.1 pep chromosome:OR_W1943:2:15026582:15027445:1 gene:ORUFI02G16920 transcript:ORUFI02G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAQGEVKTPALCSAHMMSWSPSLAGAPRWRWERSTARRRDSDICVGDKVGMKAWSMDRARVPSRLFPSASVTSTSTCNPTDGWRGAPQSGDRGVGRRELAVMGTEVAVVDTRSEGRGSRAPPPLRLKWIDGGATAETAAASSRCWAPSSDGGQSRVPPVLPLCQLSRRPPQTPRIIIDQGS >ORUFI02G16930.1 pep chromosome:OR_W1943:2:15027903:15030816:1 gene:ORUFI02G16930 transcript:ORUFI02G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEVVEDAAHGIVIVGGGICGLATALALHRKGISSLVLERSEALRADGVAIGIHANGWRALEHLGVAAVLREATNAITAYRSVWQLQNKTTLLPARKELRCLTRKDLVETLAKNLPAGTIRFGCRVAAVDEDSGSRCPVLTTEDGHTIKAKVLIGCDGANSVVAKYLGLGNPSELPRLAILGLASYPDGHPFGTEFLTIAGDDLAVGRLPINDHLVHFFLSRRRPSTDMARDASAAREYVLEKLQECPADVVDMVRRCDHASSLWTTTKVWYRPPWQVALAAFFQLRRRAAVTVAGDAMHVMGPFIGQGGSSALEDAVVLARSLSSSRATVEGGADDLAGDRGRRHDQPQVDGEMGAAIGRYVRERRARVIRLSLESFTVGTLLRTKSAVVRLVCAVVMALLGTRSRRHADYDCGSL >ORUFI02G16940.1 pep chromosome:OR_W1943:2:15035897:15039855:-1 gene:ORUFI02G16940 transcript:ORUFI02G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAAGRRSGANRRRPSGGGERRRQQQQHQRLVAVAVAARVVMVAPAATPAPAAGGGGGCVEDILGCLLGVLRALGVTWAAAARPQRQQPRLAAQTPRGPAPGADGRRAAAELRGIPGRIAGNGACAVASLYTLQGKKGVNQDAMIVWENFCSREDTIFCGVFDGHGPNGHLVAKRVRDLLPIKLGADLGTDEGRQTSTSNIKSNGDETGSPGNMGRDAEQNGEYPEIFTALRTSFLRAFNVMDRDLNGTTAVAVLKQGRNLIIGNLGDSRAILGTRDKDNQLMAVQLTVDLKPNIPSEAQRIRQRRGRIFALPEEPEVARVWLPKYNSPGLAMARAFGDFCLKDYGLISMPEVSYHRITEKDEFVVLATDGVWDVLSNTEVVSIVNRATSRASAARLLVESAHRAWRARFPTSKIDDCAVVCLFLDTDELSETSSSMARDMTNAVEVSSGQHSNTIQLSTGVSSDVVTAVLTDGDDLSAVDAVAKLVTLTDLPNNASGATQSITTK >ORUFI02G16950.1 pep chromosome:OR_W1943:2:15057674:15061591:-1 gene:ORUFI02G16950 transcript:ORUFI02G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPKSVDLEESPETKQVEFVDLEKGQGCEMEEEEAGAKNQTLFNVISLFFMVMILPVYISLVTYCSKNMPIWPAIGTAIIITPAYLLMLKTTSYLRDTYVKNFAMYPASGGDVSNLASGNA >ORUFI02G16960.1 pep chromosome:OR_W1943:2:15084647:15085248:1 gene:ORUFI02G16960 transcript:ORUFI02G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARWIRHPEEDLATRDKDEARRGGGGTELTVAVASWQAAAEIGVGTAARGGTEERHGWRLRRPARHRQRWRRGWLRHEEAWPVAAVVVPQECRGAIGKGSDQRSDRLQGAVGGGRQRLAGEGRRCSVALAPTEVRWQWSIGASTGDKLVVDGG >ORUFI02G16970.1 pep chromosome:OR_W1943:2:15090923:15091753:1 gene:ORUFI02G16970 transcript:ORUFI02G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAATALLLRCVVITTLLLPRAIAAYVYGDSGFGIPRNSTERFLYLQNQARADVGVAPLAWDGTVAAYAEKYAAARKGDCDLKHSGGPYGENIFWGSAGANWTATDAVASWASEKQWYNCSDDSCDAPGGRGCTHYKQMVWAKTTKVGCASVSCDANRGTFMVCEYDPPGNVPGVQAYAGCGHFNQTGRFTALDPQPINDSTRYT >ORUFI02G16980.1 pep chromosome:OR_W1943:2:15092815:15097158:1 gene:ORUFI02G16980 transcript:ORUFI02G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGRERGGTADRSTAAAAATTTSCAAELDLQNRARADVGVAPLTWDDTVAAYARRYAATRKGDCNLQHSGGPYGESIFWGSAGANWTAANAVASWASEKLVRR >ORUFI02G16990.1 pep chromosome:OR_W1943:2:15097211:15098480:1 gene:ORUFI02G16990 transcript:ORUFI02G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWAKTTKVGCAAVNCDADRGTFIICEYDPPGNVLGVQAYGGCGRFNQTDIFSFGVIILEILTGRRNTISSETIWTEHLLSYVWENWTRGTITEIVDPSLRCRSAESEILKCIHIGLLCVQENPGDRPRMSNVILMIVGKSTTLPAPSRPAFLFRLNDENHIHHGINNLNPSLNKVTITELEPR >ORUFI02G17000.1 pep chromosome:OR_W1943:2:15102025:15102366:1 gene:ORUFI02G17000 transcript:ORUFI02G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIRELDENEEEVVRTPEEVAAAAEREEKMALRRGYDRMIARRVKMALRRLDFDSRKILDRRQTPYSVVVDETNYKDVDADAFLGIPKAPCYCCTMRSQELQEALLRQQKRD >ORUFI02G17010.1 pep chromosome:OR_W1943:2:15102715:15108517:-1 gene:ORUFI02G17010 transcript:ORUFI02G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAHPPPCGVAPAAAATCPLFLLPRRSLSRSLHLRLRRLSLPAPRAASHAHDAVLLRRAADAADRSAGLTSPHPNFGCVIARPQLETDNAEAWVVGEGFLYAQGTPCAELLAAQEAGEHARGATAYLNLEPGDCYGDSTAVSTLVQAGITRVVVGLRHPLKHLRGKAIQSLRNEGIQVDVVGEDLHSKLFKEALKSCLIVNAPLLYRAAFRVPFSVLKYAMTADGKIAASSGHASWVSGKSSRGRVFELRGRSDAIIVGGNTVRLDDPRLTARHVKGHVPVRIVMSQSLNLPEEANLWNVHDAYTIVATQRGARRDLQKKLALKGVEVVEFDMLNPRDVMSYCYDRGYLSVLWECGGTLAASAISASVIHKVYAFLAPKIIGGVNAPTPVGELGMNQMTQAIDLIDVSYEQIDRDMLMSGFIQPIPDLSPVIPSVDEMPSVDPEVSPYETNIISFYKTWDTFGAFSNFSPHPIHMPDENGDYFTWPTVEHYYQAHKFIGVDDPQAREIVQEIKLAKSPEEAARIGRTRQREFQELVRPDWDSIKIEVMYRATKRKFSIYSHLTDMLLSTAGSVLVEASPHDLFWGGGREGEGMNYLGRLLMQLRSEILGTIQTAVEVGEPA >ORUFI02G17010.2 pep chromosome:OR_W1943:2:15102715:15108517:-1 gene:ORUFI02G17010 transcript:ORUFI02G17010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAHPPPCGVAPAAAATCPLFLLPRRSLSRSLHLRLRRLSLPAPRAASHAHDAVLLRRAADAADRSAGLTSPHPNFGCVIARPQLETDNAEAWVVGEGFLYAQGTPCAELLAAQEAGEHARGATAYLNLEPGDCYGDSTAVSTLVQAGITRVVVGLRHPLKHLRGKAIQSLRNEGIQVDVVGEDLHSKLFKEALKSCLIVNAPLLYRAAFRVPFSVLKYAMTADDPRLTARHVKGHVPVRIVMSQSLNLPEEANLWNVHDAYTIVATQRGARRDLQKKLALKGVEVVEFDMLNPRDVMSYCYDRGYLSVLWECGGTLAASAISASVIHKVYAFLAPKIIGGVNAPTPVGELGMNQMTQAIDLIDVSYEQIDRDMLMSGFIQPIPDLSPVIPSVDEMPSVDPEVSPYETNIISFYKTWDTFGAFSNFSPHPIHMPDENGDYFTWPTVEHYYQAHKFIGVDDPQAREIVQEIKLAKSPEEAARIGRTRQREFQELVRPDWDSIKIEVMYRATKRKFSIYSHLTDMLLSTAGSVLVEASPHDLFWGGGREGEGMNYLGRLLMQLRSEILGTIQTAVEVGEPA >ORUFI02G17020.1 pep chromosome:OR_W1943:2:15109401:15112118:1 gene:ORUFI02G17020 transcript:ORUFI02G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWICNNMVSEPTIRGIPGQETRWMTHGGSSDVQRLDPSIGGGCTGGACQVFEEMPSWLGAGAGIALRVQVSRVLYPVTGEVLHQVYNDYGAVAVQVLATSCWGVEALVWFRSSCDAERARSDTNERNIYDGCCLLDVQHTQSFPGNGANMMPTKCSTLGPSYATTTSGAKSIPAATECVFPATKASLAPSTSSTTMATPAPSTETKVVGAGIDKEVLKSEETTQDLYTKMMAMIDKMLETCRNTKEDYTVSVGSNGDATALSVNIDPVPILSEVSNEAKSTHLVNTNKLSMVKVKPTKGLTKSKKEKVDGDAGGMVTDDCVKFTKVDTKLIYVFRPFTDVSLALCQSNYIGVTNLPVVSSECEVRYDDFVSGADFTARPQVVPPWRLAVPLDFRFLPWPDIFNQGSGGVVVKLLQPWPPPAQAEVKAKVEKLNLHGQKLEIQIISLGQLVPSYSLAQFENENLLIQQAMSWCRFKLSANYFLSKPYQWRKYIVGAPAYQGFHFQGMIKQQIDGVDMMLLYYHQISIVYCSVSEDVVYDVTWTPVMPSKWIHVVAIGRTWLLSAFALINFLEAGTVQLAVKLVYVKIAEMTRIRSWDPVIVNLVTIIACQISTEVKKGGSDTLDLSSVCKYKNAHDSIQVGTVSSISLSLTMFAVLPSKKLVTSIKIPNYNSRQMEVQCIHQSASFVTSIGMESSLVVAFCGSAGAYVLALDDYLQLPWDPRGIDLELQLHQLVPKLIFKAERMPCN >ORUFI02G17030.1 pep chromosome:OR_W1943:2:15113035:15118176:-1 gene:ORUFI02G17030 transcript:ORUFI02G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGACDPPGPGASLRRLVLLLALSVSALAAADASGVFEVQRKFTRHGDGGEGHLSALREHDGRRHGRLLAAIDLPLGGSGLATETGLYFTRIGIGTPAKRYYVQVDTGSDILWVNCVSCDGCPRKSNLGIELTMYDPRGSQSGELVTCDQQFCVANYGGVLPSCTSTSPCEYSISYGDGSSTAGFFVTDFLQYNQVSGDGQTTPANASVSFGCGAKLGGDLGSSNLALDGILGFGQSNSSMLSQLAAAGKVRKMFAHCLDTVNGGGIFAIGNVVQPKVKTTPLVPDMPHYNVILKGIDVGGTALGLPTNIFDSGNSKGTIIDSGTTLAYVPEGVYKALFAMVFDKHQDISVQTLQDFSCFQYSGSVDDGFPEVTFHFEGDVSLIVSPHDYLFQNGKNLYCMGFQNGGVQTKDGKDMVLLGDLVLSNKLVLYDLENQAIGWADYNCSSSIKISDDKGSTYTVNADDISSGCEVQWRKSLILLLATTVISYLML >ORUFI02G17030.2 pep chromosome:OR_W1943:2:15113035:15118176:-1 gene:ORUFI02G17030 transcript:ORUFI02G17030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGACDPPGPGASLRRLVLLLALSVSALAAADASGVFEVQRKFTRHGDGGEGHLSALREHDGRRHGRLLAAIDLPLGGSGLATETGLYFTRIGIGTPAKRYYVQVDTGSDILWVNCVSCDGCPRKSNLGIPPLSCPDWMFDLGGVLQIELTMYDPRGSQSGELVTCDQQFCVANYGGVLPSCTSTSPCEYSISYGDGSSTAGFFVTDFLQYNQVSGDGQTTPANASVSFGCGAKLGGDLGSSNLALDGILGFGQSNSSMLSQLAAAGKVRKMFAHCLDTVNGGGIFAIGNVVQPKVKTTPLVPDMPHYNVILKGIDVGGTALGLPTNIFDSGNSKGTIIDSGTTLAYVPEGVYKALFAMVFDKHQDISVQTLQDFSCFQYSGSVDDGFPEVTFHFEGDVSLIVSPHDYLFQNGKNLYCMGFQNGGVQTKDGKDMVLLGDLVLSNKLVLYDLENQAIGWADYNCSSSIKISDDKGSTYTVNADDISSGCEVQWRKSLILLLATTVISYLML >ORUFI02G17040.1 pep chromosome:OR_W1943:2:15134353:15135086:-1 gene:ORUFI02G17040 transcript:ORUFI02G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLAVFHLAVSMDGNKERKRNRGSRNGRGQLGGIDEDTVKHSCSQTVTGNCGRRCRTTVGCPVRTDKPSQAMDHVHKANGNLHSSRRKSLTAATHQS >ORUFI02G17050.1 pep chromosome:OR_W1943:2:15147333:15148499:1 gene:ORUFI02G17050 transcript:ORUFI02G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEILVRLPVKSLLRFKPACRAWQAIIDDPVFIRAHLRRSASRWEQSHSFIINPHSMVRVPWDRWPVPSNRYRFHQWQLQRGTTTTSPRNNNVATFLHAKDLSDDQQFYTTEFTHRDGLVFSTTTTSLHVFNPATRDAITLPTSSRSNLMGGGRFNYHCSGLGLDPRTGMYKVVQAFFRFQSMEPAETKMGMEVFTIGGGGGGAGWREITSDPPYPAKRFQIGVSVCGYMFWRFSERHTKLERGILHLSLEEEEFGITGLPDELDTDNSFLLDELLGRDLCVSASNTSCTMLNIWTLPVADESLCTLWQWRYCIDYPWSLCSVMALPPFSDEIILLRGNNICRYDLATSKLKILCRLDRTRYQGEGARKSELFSAMPFIESLVRITY >ORUFI02G17060.1 pep chromosome:OR_W1943:2:15156095:15156634:-1 gene:ORUFI02G17060 transcript:ORUFI02G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPASACTRRKKVPLILCPSCENKTVVKRTSKTASNPDRIFYTCPDHEKDGSGCNFWFWEERYMKYLKKNGLIAGEEAAHVNAQVAASLKNAGQLDETKREDGDELKQTLITAVSIGRELVVVLKNMLVLGWLGVAVLVCILVVLMMK >ORUFI02G17070.1 pep chromosome:OR_W1943:2:15160875:15176069:1 gene:ORUFI02G17070 transcript:ORUFI02G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSPFRPCEMCGVSVTNNSSRQLSSIRSRLPVRLKSGSFFATGLMSNHNFVTNNIGNSRCFLTVCNKNLSFLIRNKSLFGNPNMRREEGSDMHSLFHRSEKKKSTLPSCSIITDEASTSTSNHSKSAIGTENSSTSRIKDVSEDKKVSIKKQSKSAKTIAAAKIGTTDVSEEENKREISKSEKNANISRDKKTSNRLKKFSKAKKSAAAKAEICTKSSVDSSDSEQKPLVPLYPPTAKSVIVVESVTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKRIRTALRGAENLILASDPDREGETIAWHIKEMLERQDALGCNVTVARVVFHEITEDAVKNALMSPRYIDMNLINAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQFAALALLCDREAEIEQFNPEEYWTIDTDFKTQHSGPSNGLNLQAQIKHLNSKKLDQLSIWSQEEAQDIEKRIYSSQFEVIGIKRSKIHKNPPMPYITSSLQQDAANKLHFSAGYTMKIAQKLYEGITLSSEDATGLITYIRTDGFHISDVAAEDILSLVKQRYGEEYASEGIRKYFKKVKNAQESHEAIRPTSIRSSVVIYLAASLVSTLDDDSLKLYALIWKRTMACQMEASRAEMIQVDIGNSKGDMIFHSSASNLDFKGYQAVYDDTEASPSSNNSEDDAVHQDNFEALSKLKVKDLMSPVNVHLAQNFTKPPSRYSESALIKRLEELGIGGPSTYSSIMKVLQDRNHVTVKGQVVHPEFRGRMVSAFLTHHFSEVADLSFTANLETELDNVSAGSTEWKGLLKDFWERFNKYCGNASRLQVRKVERMLEEKFGSILFPDLDNDSRICPSCSEGTLRLKVSKHGEGYFIGCDRHPKCKYIARTLSVEVDETEASDEIQSTFTPRLLGVLPDSDEKVFLKQGPYGHYIQVGEDIKGVSRKRAPLSEVKDIDSITLEDAIELLQYPKILGKHPDDDLPVLVTHSKSGFRIRHRRTLAPLPKSADPKEITLERALKLLTGKNVIRFGRPKGIKNPEPLEYHS >ORUFI02G17070.2 pep chromosome:OR_W1943:2:15160875:15176069:1 gene:ORUFI02G17070 transcript:ORUFI02G17070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSPFRPCEMCGVSVTNNSSRQLSSIRSRLPVRLKSGSFFATGLMSNHNFVTNNIGNSRCFLTVCNKNLSFLIRNKSLFGNPNMRREEGSDMHSLFHRSEKKKSTLPSCSIITDEASTSTSNHSKSAIGTENSSTSRIKDVSEDKKVSIKKQSKSAKTIAAAKIGTTDVSEEENKREISKSEKNANISRDKKTSNRLKKFSKAKKSAAAKAEICTKSSVDSSDSEQKPLVPLYPPTAKSVIVVESVTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKRIRTALRGAENLILASDPDREGETIAWHIKEMLERQDALGCNVTVARVVFHEITEDAVKNALMSPRYIDMNLINAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQFAALALLCDREAEIEQFNPEEYWTIDTDFKTQHSGPSNGLNLQAQIKHLNSKKLDQLSIWSQEEAQDIEKRIYSSQFEVIGIKRSKIHKNPPMPYITSSLQQDAANKLHFSAGYTMKIAQKLYEGITLSSEDATGLITYIRTDGFHISDVAAEDILSLVKQRYGEEYASEGIRKYFKKVKNAQESHEAIRPTSIRRLPSSLVSTLDDDSLKLYALIWKRTMACQMEASRAEMIQVDIGNSKGDMIFHSSASNLDFKGYQAVYDDTEASPSSNNSEDDAVHQDNFEALSKLKVKDLMSPVNVHLAQNFTKPPSRYSESALIKRLEELGIGGPSTYSSIMKVLQDRNHVTVKGQVVHPEFRGRMLDNVSAGSTEWKGLLKDFWERFNKYCGNASRLQVRKVERMLEEKFGSILFPDLDNDSRICPSCSEGTLRLKVSKHGEGYFIGCDRHPKCKYIARTLSVEVDETEASDEIQSTFTPRLLGVLPDSDEKVFLKQGPYGHYIQVGEDIKGVSRKRAPLSEVKDIDSITLEDAIELLQYPKILGKHPDDDLPVLVTHSKSGFRIRHRRTLAPLPKSADPKEITLERALKLLTGKNVIRFGRPKGIKNPEPLEYHS >ORUFI02G17080.1 pep chromosome:OR_W1943:2:15180382:15181594:-1 gene:ORUFI02G17080 transcript:ORUFI02G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRWRPVGLEVERRGGARGEAGVEDTVDRVEEERDVQRILAISEIYSGDACMTITGEMNQELIYNFSGLYATAQRKAPARRRRRNHAPRPLLVATPLEEGRRARKVKTEREQGKGSFVFLELKDGAVFVQGAGAVEAKKGGAAGGGDDGRHHHRHNQAVQSAVARERRRRISNGRAVATAGKRRQRRTVGHAAHLLLRSSPNSLADVGLLLPAAVLRLLLCPCPLESAASAHELATTERAKATALPALTASSSPALARPPPAPVPWPPLAERKRTREGGG >ORUFI02G17090.1 pep chromosome:OR_W1943:2:15196459:15210422:1 gene:ORUFI02G17090 transcript:ORUFI02G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSLAVVLRAALSHVPEERKAAEESLNQFQYAPQHLVRLLQIIVDGSCDMAVRQVASIHFKNFVAKNWSPNDPEESQKISESDKLMVRENILGFIVQVPPLLRAQLGESIKTIIHSDYPEQWPVLLHWVTHNLESENQIFGALYVLRVLSRKYEFKSEEERIPLYHIVEETFPRLLSIFSKLVQIVNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMSLFINLLERPVPVEGQPLDPDVRKSWGWWKVKKWTIHILNRLYTRFGDMKLQKPESKAFAQMFQKNYAGRILGCHLQILNAVRTGDYLPDRVINLVLQYLTNSVTKNSMYQLMQPQIDIILFEIIFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKSNLQKFIHFIVDIFRRYDEASIEIKPYRQKDGALLAIGTLCDKLKQTDPYKAELERMLVQHVFPEFNSHVGHLRAKAAWVAGQYAHISFSDQDNFRKAMHCIVSGMRDPDLPVRVDSVFALHLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMASQEADDEADDSGALAAVGCLRAISTILESVSSLPHLFIQIEPTLLPIMRRMLTSDGQDVYEEVLEIVSYMTFFSPSISLDMWSLWPLMMEALNDWAIDFFENILVPLDNYVSRGSDHFLACKNPDYQQSLWSALSSIMMDQNMEDSDIEPAPKLIEVVFQNCKGNVDQWVEPYLSLTIDRLRRAHKPYLKCLLVQVIANAFYYNPSLTLATLHKLGANKSKRVVYEPILRDHIPGEALNRIFKATLDLLVAYKEQVAESKKQDDADGDDMDGFDADEDEDDDEVESDKEMGLDEEDADEVNSLHLQKLAAEARGFQPADEYDDSDDDFSDDEELQSPIDEVDPFILFVETVQGLQASDPIRFQSLMQTLDFRYQALASGIAQHAEERRVEIEKEKLEKANAQ >ORUFI02G17100.1 pep chromosome:OR_W1943:2:15221577:15226491:1 gene:ORUFI02G17100 transcript:ORUFI02G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEAKGRRERQQETPISTVTDNPWATLRRRLAGLPIDAWPVAGVPIDAPPAGRLTDNAQSSARLPIDVRQGAGVPIYARQLGGLPSTCGRSTASPSTRGWSPGSPSTRRRPAGSPTTRGQALGSPSMRGRAPGSLSTRSGLPASLCAASHRGPFDVPPAARHPGASFPSAATTAASCRPRLRPRIYRRRHPSAFVPNSATTAFSVVTATSSQLCLHGWRPSEFAACSVGSAVAILFASETTAAVAVESAAR >ORUFI02G17110.1 pep chromosome:OR_W1943:2:15246881:15251847:1 gene:ORUFI02G17110 transcript:ORUFI02G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGERAAMALGAGFDLTSDFRLKFAKEGRLVELDEAGARDVPVPGGGVGGGAAAVLRGVPRDVGVDKGDRIRFRSDVLEFNQMSELLNQKSSVQGKVPSGYFNTLFDLSGAWMTDAKETKHLAFDGYFISLYKLHLKTSPLVLRDEVRSAVPPKWDPAALSRFIKTYGTHIIVEMAVGGQDVICVKQSPSSTISSADLKLHLEDLGDFLFSDGRNHSPIHRKTRDGKSKVPDVFVRMEQQPNNLHLSSYSESSTKDFNVSVGSLAALCILKDHCFPKQGLTITCSKRGGDASIASHSKWLQTVPRVPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDPEDLQHFLEFQVPLQWAPLFNELILGPQKRKGSYPSLQFRFLGPKLQVSTSQVSSSHKPVVGLRLYLEGRKCNRLAIHVQHLSSAPSMLGDSLSSSMSEWRESEEVGAGYIEPIQWKSYSCVCTSKVDYNPEWLKRVPGGRGVFVVTGAQLVTKGTWSRKVLHLRLHYTHVPGCAIQRTEWAAAPAASQRGSFLTTISTTLSSPFTQLQAAAAPAAPPRNEPAPAALLNSGVYPDGPPVPLQSRKLLKFVDMSEVVKGPHDVPGHWLVTAAKLVKDGGKIGLNVKFALLNYDGTQPATATMAGGDQGHGLLN >ORUFI02G17110.2 pep chromosome:OR_W1943:2:15246881:15251847:1 gene:ORUFI02G17110 transcript:ORUFI02G17110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGERAAMALGAGFDLTSDFRLKFAKEGRLVELDEAGARDVPVPGGGVGGGAAAVLRGVPRDVGVDKGDRIRFRSDVLEFNQMSELLNQKSSVQGKVPSGYFNTLFDLSGAWMTDAKETKHLAFDGYFISLYKLHLKTSPLVLRDEVRSAVPPKWDPAALSRFIKTYGTHIIVEMAVGGQDVICVKQSPSSTISSADLKLHLEDLGDFLFSDGRNHSPIHRKTRDGKSKVPDVFVRMEQQPNNLHLSSYSESSTKDGLTITCSKRGGDASIASHSKWLQTVPRVPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDPEDLQHFLEFQVPLQWAPLFNELILGPQKRKGSYPSLQFRFLGPKLQVSTSQVSSSHKPVVGLRLYLEGRKCNRLAIHVQHLSSAPSMLGDSLSSSMSEWRESEEVGAGYIEPIQWKSYSCVCTSKVDYNPEWLKRVPGGRGVFVVTGAQLVTKGTWSRKVLHLRLHYTHVPGCAIQRTEWAAAPAASQRGSFLTTISTTLSSPFTQLQAAAAPAAPPRNEPAPAALLNSGVYPDGPPVPLQSRKLLKFVDMSEVVKGPHDVPGHWLVTAAKLVKDGGKIGLNVKFALLNYDGTQPATATMAGGDQGHGLLN >ORUFI02G17120.1 pep chromosome:OR_W1943:2:15252762:15256188:1 gene:ORUFI02G17120 transcript:ORUFI02G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAASSSSSSSSCAAVGVATASHPHRHRQARFVVSPPAPASPAALLWRRPRRVAPTTFCSAPSLGRVGWPRREGAAWLLSFRAGPVSSPSSAAAGDPSQALSALLPLVVAATAVAALGNPATFSWVSKEYYAPALGGIMLSIGIKLSIDDFALAFKRPVPLTIGYMAQYIVKPLMGVLIARAFGMPSAFFAGFVLTCCVSGAQLSSYASFLSKGDVALSILLTSCSTISSVVVTPVLTGLLIGSVVPVDGIAMAKSILQVVLVPVTLGLLLNTYAKAVVNVIQPVMPFVAMLCTSLCIGSPLAINRSKILSSEGFLLLLPIVTFHIAAFIVGYWISKLPMLRQEEPVCRTISVCTGMQSSTLAGLLATQFLGSSQAVPAACSVVIMAIFGLTLASYWGNGLRIRDIGSRFVPQASAGVSS >ORUFI02G17120.2 pep chromosome:OR_W1943:2:15252762:15256629:1 gene:ORUFI02G17120 transcript:ORUFI02G17120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAASSSSSSSSCAAVGVATASHPHRHRQARFVVSPPAPASPAALLWRRPRRVAPTTFCSAPSLGRVGWPRREGAAWLLSFRAGPVSSPSSAAAGDPSQALSALLPLVVAATAVAALGNPATFSWVSKEYYAPALGGIMLSIGIKLSIDDFALAFKRPVPLTIGYMAQYIVKPLMGVLIARAFGMPSAFFAGFVLTCCVSGAQLSSYASFLSKGDVALSILLTSCSTISSVVVTPVLTGLLIGSVVPVDGIAMAKSILQVVLVPVTLGLLLNTYAKAVVNVIQPVMPFVAMLCTSLCIGSPLAINRSKILSSEGFLLLLPIVTFHIAAFIVGYWISKLPMLRQEEPVCRTISVCTGMQSSTLAGLLATQFLGSSQAVPAACSVVIMAIFGLTLASYWGNGLRIRDIGSRFVPQASAGVSS >ORUFI02G17130.1 pep chromosome:OR_W1943:2:15257628:15264296:-1 gene:ORUFI02G17130 transcript:ORUFI02G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSHLIQLNIISIWGMIGIGKTSFIRSAYGSEEITSMFEQCAWVTISHPFNLHDFITSLAHELNAHDFSVLGNGLQKSEESIKPSKRRCLLVLDDVLSIEEWNLIQPHLPNETNTKIIVTMSHPNLVPPGALHTTREASIAEHCSMTCKNIYKLEGLKEDAAFALFKNKVFVDSSNIDLDLDMITQAKLIIKECDGHPLAITNIAGFLARKQKTTTEWKKLNDNFTSGSVNKENLEMISTTLEPSYNNLSYHLKLCLLYLSVFPKGHNIRRKRIVRRWVAEGYISKTHSLSAEEVGESYFAELINRSIIQPSEPVPAHNVGNIEYCRVHNLMHKISVSKSMEENHGFVLEVSSNNEGTVRHLSIINTGETNKNALKCVDLTHVRSVTIFGECRASLDFSMMRMLRILDLEGTSGLKDRDLSQIGNFLHLRYLSLRGCADIYHLPNSLGNLWDIQMLDVSGTSIIKLPKTITKLKKLHYLRAGNIPKDDATSSIELKESSDLSKMEHEPIDDLEILDVEVKSVQFGMTVLDTTKAYITKTMQNNDNVNKHDIFHKYCKVLLPGIPQGLDMYGVKAPEGIGQLNDLHTLGVVNVAAGKVILRELEKLKKLHKLGLTGVNKKNSQAILSAIANLALLHSLSLQAEGEPGLQGCLDHTFAPPSKLQSLKIYGNLVTLPIWITQLQNLAKLKLRSTQLKLAPSMEILGKLPHLVILRLWKNSFLQRKKYFSIFSRALSQAL >ORUFI02G17140.1 pep chromosome:OR_W1943:2:15274750:15275357:-1 gene:ORUFI02G17140 transcript:ORUFI02G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQSTRRRQRRPARRLGKAAGQWRGDRQSVPERRRARTRRCGGPVEARRRRRQLSQWSRRAWGRGRRGRPWRDDDGRGGATPAWGRMKTAATAASVAEAEVVVGHGGGARARGGALADGGEAMVAAGNGRRKWLRGEGRELGGFGEKDKRRRHCGDAVGVGAAQAFGVDGFKEKEAGHSGGTAA >ORUFI02G17150.1 pep chromosome:OR_W1943:2:15275787:15276140:-1 gene:ORUFI02G17150 transcript:ORUFI02G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILGNLPHLAILRPWKSSFLQCKKIHFDFQQGTFPSLMELKDQEGLKSLNFMQINNCMHVDNNGFSGVSSLPSLNEVILIGDHNEELLKNLCDQLALNQNQPVLKGA >ORUFI02G17160.1 pep chromosome:OR_W1943:2:15288825:15291943:-1 gene:ORUFI02G17160 transcript:ORUFI02G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLIEIAGSMGPAFRNAPHIGREMEKSHLIQLVSQNCENHQIISIWGMIGIGKTSFIRSVYESKEITSMFEQYAWVTILHPFNLHDFVTSLAQELDAHDFSLLGNGVQKSEESIKASKRRCLLVLDDVLSIEEWSLIQPHLPNETNTKIIVTTREASIAEHCSVTYKNIYKLEGLKEDAALALFKNKYLKIQGRKVSSNNEGTIRHLSIIDVCETNKNALKQCADLTHVRSVTVFGEWRSSLDFSMMRMLRILDLEGTSGLKDDDLDQIGIFLHLSYLSLRGCADIYKLPNSLGNLWDIQVLDVSGTRIIKLPKTITKLKKLQYLCAGNVPKDDATSSIELKESSDLSKMVHEAIDDLEMPDFVAKSVQLGTTALDMTAAYCRKKMQNTDNVKMRDIYHEYCKVKFPSILHGLDMYGVEAPEGIDQLNDLHTLGVVNVAAEKAILHDLEKLKKLHKLGLTGVNKKNSQAVLFAIANLVLLHSLSLRSEGEPGLQGCLDHTFTPPSKLQSLKIYGNLVTLPTWITQIQNLTKLKLRST >ORUFI02G17170.1 pep chromosome:OR_W1943:2:15295576:15297485:-1 gene:ORUFI02G17170 transcript:ORUFI02G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLEWKLEATIAMTALVLTELHRMRDKKKASDTLAEDVAFIKKDLEFMESFLADAADAEKRSHTHHTTTTTTPSKSLRTWLRHIRGLTGTDVEDYLQEFCLHAEKPPRAKSKLLLPLDTITKQISRLRKEIEHVNKTSGIYCNALNFGPDAAQPMI >ORUFI02G17180.1 pep chromosome:OR_W1943:2:15299271:15299871:-1 gene:ORUFI02G17180 transcript:ORUFI02G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSSPGKVAADPRLPSLDLACPRLDSTEAGGAVAWRDGGGVADDKGGRFKMAREAGTQVAPTVTPSPAAKAVRREPACEARMREAVPTVALSGAAVGGGARR >ORUFI02G17190.1 pep chromosome:OR_W1943:2:15300951:15305721:-1 gene:ORUFI02G17190 transcript:ORUFI02G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIEQRDLCVQMVGDHGATAWADGQQHEEPLKHEAEEEADEMLHFLTKKHPFDISLPSVLDGSITSALIAPYVLSVSPHHPQVDGTLTPSTRFVPRTVMSDPSTAVVTTLVAPVEDKP >ORUFI02G17200.1 pep chromosome:OR_W1943:2:15312495:15313099:-1 gene:ORUFI02G17200 transcript:ORUFI02G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIPTLFPQPQATTAANASEGPLLSPPSATKAPSSNGRHHVLAESEAGGFGQRLAAVTGFPSLPYAYEEDNSIS >ORUFI02G17210.1 pep chromosome:OR_W1943:2:15318745:15320513:1 gene:ORUFI02G17210 transcript:ORUFI02G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGGGGEDVQGEPVLDAVLQVQAARVARRAARRSRPATPRRPPCRPTPACRSGTPAGGRRGPCAKGQLAHENEQISEHISDIPREICRQTFSKITGYNASLCKTGELEVPW >ORUFI02G17220.1 pep chromosome:OR_W1943:2:15322968:15329311:-1 gene:ORUFI02G17220 transcript:ORUFI02G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSADPGGLEAGECIAWEAHRGPVFALTTSLYGDLWSGSEGGVIKVWYEEGIEKSLSLQREEKRKTSFLVERSFIDLRAMVSDGGACPLPAVDVKLLLSDNSRSKVWSAGYLSLALWDSCTKELLKVISVDGQDPFGYETKQNLFSAPRKDKARSPVGFFQRSRNALMGAADAVRRVAAKAGFGDDSQRIEALAMSIDGMIWTGSANGCLARWDGNGNRLQEFQHHLCSVQSIFSFGTRIWAGYMDGSIQLLDLEGNLLGGWIAHSSPVLSMAVGGSYIFTMAGHGGVRGWNLSSPGPIDNIMRSTLIEAEPLYKQFEYMKVLVGSWNVGQEKASYESLRAWLKLPTPEVGLVVVGLQEVDMGAGFLAMSAAKETVGLEGSPNGDWWLDAIGQQLKGYSFERVGSRQMAGLLICVWVRTHLKQFIGDIDNAAVACGLGRAIGNKGAVGLRMRIHDRSICFVNCHFAAHMEAVSRRNEDFDHVFRTMTFATPSSGIMTTSVSSSTGQLLRGANGSRMPELSDTDMIVFLGDFNYRLYDISYDDAMGLVSRRCFDWLKNNDQLRAEMRSGRVFQGLREGDFKFPPTYKFEKHTAGLSGYDSSEKRRIPAWCDRILYRDSRVSSGNECSLDCPVVSSISLYDSCMEATDSDHKPIKSVFNLDIAYVDKQTMRQKYVELMSSNNKVVHLLQELEAFPGVNINNSNIILQDRNPSVVKLQNRTEVIACFEIIGQAPNLSSTHFSAFPAWLKVSPAVGIISPGQTVEVTLQHRDLHSQQNYNGTSLDILPGGATQQKAATVFAKITGVYSTVAKYYEIHVQHQNCRSTLPSRGYNLGDRFF >ORUFI02G17230.1 pep chromosome:OR_W1943:2:15353527:15354219:-1 gene:ORUFI02G17230 transcript:ORUFI02G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQHPSQQDGKTLDQDDGRRHGTRGRRAGGTTPPSRWRGSSARIDPGTAYLLKIRLHGHHSRENFTYKIEEVVDSGRTNFKDFTDDIREKYP >ORUFI02G17240.1 pep chromosome:OR_W1943:2:15356806:15360864:1 gene:ORUFI02G17240 transcript:ORUFI02G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGRVLTEVHRMMYKKKGVSDTLAEDVVPANDTLEEDVGFIKKDFQLMESFLADAAEKRRQTAAAATTTSTSLWNESTQPQFTRQKNHAYAPHHHHQKSIEMGEWEIGLEATIAIATLVLTEVHRMRDKRKVPTDTLEEDMAFIKKDFQLMESFLVDAAEKRRQTAAAATTTSRSLSTWLRHLRGLSLSTWLRHLRGLSQDVEDCLQEFCLHLERPPRAKSKLLLPLDTITKQIRRLRNEIEHVNKSSAIYCNAINFGPDAAQPMVRKHIYSLFLFNGTGTIVDFDQLTKDGFSSSVMCIDRGGNRADSNG >ORUFI02G17250.1 pep chromosome:OR_W1943:2:15369347:15389426:1 gene:ORUFI02G17250 transcript:ORUFI02G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSHLIQLVSQDGENHHIISIWGMIGVGKTSFIRSVYESEEITSMFEQCAWVTVSHPFNLHNFITSLAHELDSNDFSVLGNGLQKSEESIKASKRRCLLVLDDVSSIEEWSLIKPHLPSETNTKIIVTTREASIAEHCSMTCKNIYKLEGLKEDAALALFKNKAFLLVFVDGSNIDLDLDMTTQAKLIIKECDGHPLAITNIVGFLARKQKTATEWKKLNDDFSSGSVSKENLEMISTGLEPSYDDFSYHLKLCLLYLSVFPKGHNIRRKRITHSLSAEEVGESYFAELINRSIIQPSEPIPHNAGNIEYCRVHNLMHKISVSKSMEENHGFVLEVSSNNEGIVRNLSIINVGETNKNVLKCVDLTHVRLVTIFGEWRASLDFRKMRMLRILDLEGTSGLKDRDLSQIGNFLHLSGTSIIKLPKIITKLKKLHYLRAGNIPKDDATSSIELKESSDLSKMEHEPIDDLEIPDVEAKSVQFGMEVLDRTTSYCTITMQNTDYVKKRDIFHKYCKVLLPSILQELDMYGVKAPKGIGQLNDLHTLGVVNVVTGKVILRELEKLKKLHKLGLMGINKKNSQVVLSVIANLALLHSLSLQAEGEPGLQGCLDHTFAPLSKLQSLKIYGNLVTLPTWITQIQNLTKLKLRSTQLKLDLFLEVLGKLPHLAILRLWMNSFQSKELCFNFQQGTFLSLVVMELKDQGGLKSLTFMQGAMPRLELLQIDNCIHIDENGLSGVSSLPSLREVMLKGDHNEELMKNLCDQITLNQNQPVMDGVYVCVGGEGVQMLGQVLQRLRPATAAVRRRRGAAEWEEAKRDEGLGRVDRDLSQIGNFLHLRYLSLRGCADIYHLPNSLGNLWDIQASHHHQNATMAAGGIELVTLLGPSISLTSIALSELHRTRAKKKVSDTVEKDLAFIKKEFEMMQPFLVDAAAESAATTTASFKAWFRRIRDLAHDAEDTIHEFFLHVEKPPRTTSSKLLLPLDTITKRMATLRSEIEHVKGNGIYANMISNFHTAAVPPQSNTRTIVAASRSSPLIGRESEKSHLIQLISQDQEQCQIISIWGMIGIGKTSLIRSVYESEEISNMFEQRAWVTISHPFNLHEFVTSLTQELYAHNFVVLGNESTKNEEAIKASNRRRCFIVIDDVLSIEEWNMIQPHLPEETNTHIVVITNDASIAEHCSTAYKYIYKLESLMENAAFALFKNKVFMDSANIELHLDMETQAKLIIRECDGHPLALTNIAGFLARRPKTAMEWKKLNDNFSARSRSNKSLGMINTTLALSYDNLPYHLKRRRLVRRWVAEGYTSKTHNLSAEEVGENYFLELINRSIIQPSKTIAHNAGNIEYCRVHNLIHKISISKSMEENHGFVLDNSSNDQGTIRHVSIINTSETDKNTLKDADLSHVRSVTVFGEWREYLDSSKMRLLRVLDLEGTSGLKDHDLEQIGNFLHLKYFSLRGCADINQLPDSLGSLWDLQVLDVSGTNIIKLPKTIIKLKMLQYLRAGKVPKDDLLSSLDLKESSDLSKMVHEAIDGVELPDVVAKSVQFGTTALDMTAAYCTKIVQNTNNIKKHDIFHKYCNVLLPSILWGLDMHGVEAPDGIGQLNDLQTLGVVNVAVRKAILRELEKLTKLHKLGLTGVNKENSQAVMSVIANLSLLHSLSLRAEGDQGLQGCLDHKFSPPSKLQSLKIYGNLVTLPTWITQLQNLAKLKLRSTQLKLALSLEVLGKLPHLAILRLWKNSFKSKELIFLFQQGTFPSLLLLEIKDIDGLKSLSFTQGAMPRLELLHTDNCIHIDNNGFSGVSSLPSLKEVMLKGDYNDKLLKNLRNQLALNQNQPVLKGA >ORUFI02G17260.1 pep chromosome:OR_W1943:2:15397533:15399320:-1 gene:ORUFI02G17260 transcript:ORUFI02G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNSAVKSSSAARTCVKFCTKPIVVSATKSHPSMTPWHVAAAELRETATIASSCAIDLYGLQVLADGIQDDAGDVTRFVTKIEREGTSVMFKVLPAFAFRDISLTKIESWPHRHRPIRLIDDTNVGPFLCRATRATVHRPLYAGPPLHRAARATVRRCLTPTMPRLQSHQPLMGHTQARPITQAIRAHYT >ORUFI02G17270.1 pep chromosome:OR_W1943:2:15399662:15404446:1 gene:ORUFI02G17270 transcript:ORUFI02G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEIGEGVPHRERFAIPRDNLPNRRRKQMREQFMRRTRLVLKDTEHEAWCKKYMELYQELRENWERLYWDEGYSKKIAEDHANYDSAEEDDLDFSPYSRRRHTNVEPNKDIGFTASKQGETWERVTQIRDKFEYDRERRMRERAFAPMNMENNFGQHDSRFRNRHDSNYAPRNMENKFGSNDSDFGTQSGRSFRHDPSFRNQHGLNFQNESSFRNHQYPNFQNQRDPRNRVMSSEDQELMSSDDQEF >ORUFI02G17280.1 pep chromosome:OR_W1943:2:15407295:15410696:1 gene:ORUFI02G17280 transcript:ORUFI02G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKTMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKELEQCHERSDWRRSMKGSSGNRMRLGGFGHLVWGYEEDEVGEANGAELGEEAGKVGWQHVGEMGVECGHEEEVLTDKWWEGEVRQQKHE >ORUFI02G17280.2 pep chromosome:OR_W1943:2:15407295:15410696:1 gene:ORUFI02G17280 transcript:ORUFI02G17280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKTMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKELEQCHERSDWRRSMKGSSGNRMRLGGFGHLVWGYEEDEVGEANGAELGEEAGKVGWQHVGEMGVECGHEEEVLTDKWWEGEVRQQKHE >ORUFI02G17290.1 pep chromosome:OR_W1943:2:15412725:15414670:1 gene:ORUFI02G17290 transcript:ORUFI02G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >ORUFI02G17300.1 pep chromosome:OR_W1943:2:15416033:15416446:-1 gene:ORUFI02G17300 transcript:ORUFI02G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPTCYTSSSTLTLSLSGTKCAQQQRGGRAVGAWGPATERGEGMARGAEESVGGSGLRRRVCSPLKLHDYDDEVTSPQLRGAVGVVEVILPGGGRGDGDANAPFPGDGGEVALVVIVILDLEVGEGKSVEPLLRFK >ORUFI02G17310.1 pep chromosome:OR_W1943:2:15416583:15421343:1 gene:ORUFI02G17310 transcript:ORUFI02G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVRFDIALDTCASRITSTKRSRGNFLPPNRSLLLPASNLPPNPNPLAPCRCRGHGRRDRARAGAAPQCGGRCLSSVPFDADLYGGVTTDDDAPEPVNPAARSLASYSGHAIASSSSGLPRAADEEGDGLRAREGEYHRRHPVRGMSPDRHDPFAAAETTPDPSTRTYADAMRDNQPAPATKRRNRWDQSQEDEGDGAAATGAKKAKTASSSSSSHWDAAPDAATPGIGRWDATPGRAGGATPSLKRNRWDETPTPGRMADADGTPAPSVAWDSSSTPTPKKQRSRWDETPAGVGSTAPRATDAVTPAGYAPGPTPFDAADLATPSPGQIARGPMTPEQYQLLRWERDIEGRNMPLTDEELDTMLPQVGYKILDPPASYQPIRTPARKLLATPTPLFTPLYAIPEENRGQQFDVPKELPGGLPQMKPEDYQYFGTLLNEGEEEEKLSPEEHKERKILKLLFKVKNGTPQQRKAALRQLTDKAQEFGAGPLFNKILPLLMEPTLEDQERHLLVKVIDRVIYKLDDLVRPFVHKILVVVEPLLIDEDYYARVEARQIISNLSKAAGLATMIATMRPDIDNTDEYVRNTTARAFSVVASGLGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLRSLVDIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLRPLWKGTKSHRGKVLAAFLKAVGFIIPLMDVEYASYYTRGVIPILIREFQSPDEEMKKIVLKVVKQCVSIEGVEADYIRNGILPEFFRHFWIRRMALDRRNYKQLVETTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVAHLGASDIDRHQEELLIDGIVYTFQQQTSDDSNVILNGFETVANALGQRVKPYVPQICGIIKWMLNTSSAKARQRAADLMSRIAIVMKLCQEERLMCHLGHILYESLGEEYPDVLGSILGALKAIVNVVGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRVADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATANTFGYIAKAIGPEDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPEFNVRNGILKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLTLSGPTYLRHLPMS >ORUFI02G17320.1 pep chromosome:OR_W1943:2:15423218:15423847:-1 gene:ORUFI02G17320 transcript:ORUFI02G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPTGADEETPEAKAPLLASSDDGQTTQATQASLVCKALNSTADLAKHLPTGAVLAFEVLSPSFTADGSCTAANRALTACLVGACALCCFLLCFTDSYRDATGSVRYGFVTPSGSLRLIDSGSGSGSPPPPPLGARDVLHGALSFAVFLAVAMVDRNVVACFYPVESPATRQLLAAVPMAAGAAGSFLFAMFPSTRRGIGFPVAAGA >ORUFI02G17330.1 pep chromosome:OR_W1943:2:15434116:15436461:-1 gene:ORUFI02G17330 transcript:ORUFI02G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVEVVGEGRGGRDAEAAATVGLEELRRRMADFARERDWEQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPGWDEAEKVHLGEELADVLLYLVRLSDMCGVDLGSAALRKLEINARKYPASQCKGSSKKHTYYSSRCDVSGNSNGTNHLTSNEEHDNNTSSNNDNNGV >ORUFI02G17340.1 pep chromosome:OR_W1943:2:15438480:15439783:1 gene:ORUFI02G17340 transcript:ORUFI02G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVMTSPMTALETRKLSPPKPYTPSTRLKPCMSFSRGSMVPSSKMARSTWKSST >ORUFI02G17350.1 pep chromosome:OR_W1943:2:15441536:15444695:1 gene:ORUFI02G17350 transcript:ORUFI02G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNALVEVVHQSLSMTTCGKSAVAKAEAHLVRTAVKLYVVEEIPVIPLKIRVMCYFQGNLPLNRMHHPRRLIEAEQGKGTKLARGCCSTSSDFAQKLLLDLRRRRERLGFNSPAPPQSTSSSNAAALLRCPTLPRKGRAASNTFSDCTPPPPRRPSRRGCLSWRPTMTRRASGSSLRRAPPPPTSPRHGASDPAQPRHQERRLASDHYSCVPSSEFDHAIAAAIAAAVYHLTSIRKQPHHHPCLSPEAAAAARRCVEREVAALRHMHGHPHVVGLLDVLATRSTVYLVLKLARGGGGVIATSVSPFPLSPQRARRRRRRSSGGGSVRKRSGAQWRSGSARRRSGGGGSVRKRSCAQWRSGSARSGGGGAARRGGGAAAAAR >ORUFI02G17360.1 pep chromosome:OR_W1943:2:15445162:15451939:-1 gene:ORUFI02G17360 transcript:ORUFI02G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVFRTCWKVSAKVVFKSPVQQNKFGAQYIRFILKDATDTRMEALAYDQQADRFNGTIQSGLVYDFTNVGFQPTDVPTYANLTMQAKFCMILTPKTALRKPRFVDFSAIFTDAISDDMFIVWWQALVSQYCCMSATWLKKLDILTVSRRLKDKIIEVSRRLKDKIIEGRKKHETASTPAYPGHVNQDAIHADIITTPPSKKATNSESEEHGVCKVSMPNINVPSNLIRSMFGQTYEYLPQDYELTDEDVMAIFLIEDLTENCTLVISAYIHCMREQANNDKKVKYENPFLSDMLKAAGVNGVNEDKDNFITKIVKNYLDHELIFIPINMKDKHRYLPVVNTEKQQIQVLDSMCMTFNRVDLANTLQGLQYHLNIIGRQQDLPSHKWGDLNVIKWPIIEQLKERIQEDRSKLASVLLRWKTNKAVMTTGEQIEDTKDSDDDVVILGSHQQKFSSTRDINETKEMSKQELISGLLHYIQQINCAEAMEKIWVQSSMPHFISLSLKQLQTILKKDEPLESECFNMAIHKFMYEKIEMIHKTKEAISNHCLDLQFWRVTGFGKDLVHHDNINLAETILILVRHARSFIVLVVDQESQTLYVLDPNPLMPEYKNNPNMRYTRKLITICDHFNKAMRKACPGSRWNEDINLWRQVIVNNPVYNRMVTS >ORUFI02G17360.2 pep chromosome:OR_W1943:2:15445162:15451939:-1 gene:ORUFI02G17360 transcript:ORUFI02G17360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVFRTCWKVSAKVVFKSPVQQNKFGAQYIRFILKDATDTRMEALAYDQQADRFNGTIQSGLVYDFTNVGFQPTDVPTYANLTMQAKFCMILTPKTALRKPRFVDFSAIFTDAISDDMFIVWWQALVSQYCCMSATWLKKLDILTVSRRLKDKIIEVSRRLKDKIIEGRKKHETASTPAYPGHVNQDAIHADIITTPPSKKATNSESEEHGVCKVSMPNINVPSNLIRSMFGQTYEYLPQDYELTDEDVMAIFLIEDLTENCTLVISAYIHCMREQANNDKKVKYENPFLSDMLKAAGVNGVNEDKDNFITKIVKNYLDHELIFIPINMKDKHRYLPVVNTEKQQIQVLDSMCMTFNRVDLANTLQGLQYHLNIIGRQQDLPSHKWGDLNVIKWPIIEQLKERIQEDRSKLASVLLRWKTNKAVMTTGEQIEDTKDSDDDVVILGSHQQKFSSTRDINETKEMSKQELISGLLHYIQQINCAEAMEKIWVQSSMPHFISLSLKQLQTILKKDEPLESECFNMAIHKFMYEKIEMIHKTKEAISNHCLDLQFWILILVRHARSFIVLVVDQESQTLYVLDPNPLMPEYKNNPNMRYTRKLITICDHFNKAMRKACPGSRWNEDINLWRQVIVNNPVYNRMVTS >ORUFI02G17360.3 pep chromosome:OR_W1943:2:15445162:15451939:-1 gene:ORUFI02G17360 transcript:ORUFI02G17360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVFRTCWKVSAKVVFKSPVQQNKFGAQYIRFILKDATDTRMEALAYDQQADRFNGTIQSGLVYDFTNVGFQPTDVPTYANLTMQAKFCMILTPKTALRKPRFVDFSAIFTDAISDDMFIVWWQALVSQYCCMSATWLKKLDILTVSRRLKDKIIEVSRRLKDKIIEGRKKHETASTPAYPGHVNQDAIHADIITTPPSKKATNSESEEHGVCKVSMPNINVPSNLIRSMFGQTYEYLPQDYELTDEDVMAIFLIEDLTENCTLVISAYIHCMREQANNDKKVKYENPFLSDMLKAAGVNGVNEDKDNFITKIVKNYLDHELLQGLQYHLNIIGRQQDLPSHKWGDLNVIKWPIIEQLKERIQEDRSKLASVLLRWKTNKAVMTTGEQIEDTKDSDDDVVILGSHQQKFSSTRDINETKEMSKQELISGLLHYIQQINCAEAMEKIWVQSSMPHFISLSLKQLQTILKKDEPLESECFNMAIHKFMYEKIEMIHKTKEAISNHCLDLQFWILILVRHARSFIVLVVDQESQTLYVLDPNPLMPEYKNNPNMRYTRKLITICDHFNKAMRKACPGSRWNEDINLWRQVIVNNPVYNRMVTS >ORUFI02G17370.1 pep chromosome:OR_W1943:2:15453587:15455005:-1 gene:ORUFI02G17370 transcript:ORUFI02G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVAVQPWRSLFLHSIVSSIDGLCNAIILCILSSPCRIVRFHEIQMDHIHWKWWIVRVRVIKKGHLQENYYGDLQIRLILIDELGTKMEAIVYRRQAEHFNQLLRCGSVYDFYNVGFDPTEMIVHLRFKIRSHFCMILNSATTTRTPHGHVHMLRCPWRFPEYDDIFLARHNSLVDVIGLVVHVVDIEFRSLYLRRTRIIALVNPRLQIIFVRVWDQQLTRNLTRWRSARTHFDCFVATLTRVDRRADELSTTYESDIIFNPDSASANEFNVLRQALEVSPSNVQEQVEESIARRNLHNYQRQN >ORUFI02G17380.1 pep chromosome:OR_W1943:2:15464688:15467675:-1 gene:ORUFI02G17380 transcript:ORUFI02G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMDSNSKHSGSAKYKPEVEMDNILGGSTQSCTKTGPLADITNISGSGLTNRRGRGGNKSLSASPTSSNENRGASFNTPSNVHMCTGSHEDVSDLTVAELKRKRARDRYAALTPQQKDDRNKKAPPLGDISNISVVDIMKCHLEDNDSSTLHQGKREASHLNITPRRLPFTIINNVAHYGPNEVPMSRVTQLTTLNMNSADFTVHNSGCENHYDPIVTSGNQNTHSPMHESGIFQGNDRNECDHDDDISLGNTNLTSLYVF >ORUFI02G17380.2 pep chromosome:OR_W1943:2:15464688:15467675:-1 gene:ORUFI02G17380 transcript:ORUFI02G17380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMDSNSKHSGSAKYKPEVEMDNILGGSTQSCTKTGPLADITNISGSGLTNRRGRGGNKSLSASPTSSNENRGASFNTPSNVHMCTGSHEDVSDLTVAELKRKRARDRYAALTPQQKDDRNKKARERRKRKKEETQEETQASAPLGDISNISVVDIMKCHLEDNDSSTLHQGKREASHLNITPRRLPFTIINNVAHYGPNEVPMSRVTQLTTLNMNSADFTVHNSGCENHYDPIVTSGNQNTHSPMHESGIFQGNDRNECDHDDDISLGNTNLTSLYVF >ORUFI02G17380.3 pep chromosome:OR_W1943:2:15464688:15468262:-1 gene:ORUFI02G17380 transcript:ORUFI02G17380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNILGGSTQSCTKTGPLADITNISGSGLTNRRGRGGNKSLSASPTSSNENRGASFNTPSNVHMCTGSHEDVSDLTVAELKRKRARDRYAALTPQQKDDRNKKARERRKRKKEETQEETQASAPLGDISNISVVDIMKCHLEDNDSSTLHQGKREASHLNITPRRLPFTIINNVAHYGPNEVPMSRVTQLTTLNMNSADFTVHNSGCENHYDPIVTSGNQNTHSPMHESGIFQGNDRNECDHDDDISLGNTNLTSLYVF >ORUFI02G17390.1 pep chromosome:OR_W1943:2:15467869:15471933:1 gene:ORUFI02G17390 transcript:ORUFI02G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPAVEARRGAVKMGVPAVEASRCAAKRRWGRRRWRRVGGARRRGDGGDGGGGASAVRGEEAMGATAMEARRRGRSGDGGAGGGEKTVCGEEAVGATAVEQQSWPSPLRDPRPCQCPRCSPCLSEGTQKRRHAARQPEMGRQVKSEIDQSRDGGGDTSTLAIGHGRVGDELLQTVMSCCNPILSYSNDGPQNPEALTIQTIFVANEIEALYELFKRIDGAIIEDGKINKVFDLFDMKHEQALGFEELAVALSIFHPDAPIHDKINYLNHTDLVIETIIDKTFEEANTNKERKIDFEEWQALVNAHPCLPKNMTLTYLS >ORUFI02G17400.1 pep chromosome:OR_W1943:2:15486070:15498255:1 gene:ORUFI02G17400 transcript:ORUFI02G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIAQWLSDMAGLVMRCCNPIPSYTNADGPKNPEALTTQTIFTVNEIEALYELFKRIDGAIIEYGKINKEEFNLRVFGPEKGGTMFTDQVFDLFDLKLEQALGFEEFAVALSIFHPDTPMEDKIDFSFQLYDIKNQGFIERAELKQMMVATLAESDLNLTDQTFEEADTNKDGKIDFEEWQALVNAHPCLLKNMTLAYLG >ORUFI02G17410.1 pep chromosome:OR_W1943:2:15501295:15507822:-1 gene:ORUFI02G17410 transcript:ORUFI02G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPQQTMPPRASANGFPHRKLDREGSGRHDNKTQLLRSSSGGFSGAENGGKLGHGSPSRDRLIYVLTQLIGQHVDVHVKNGSIISGILHATNSDKDLGVIMKMAQVIKDGSARGQKSAADVVKKPETMIIPGRELVQILAKDVALGGDELPKGPSQEKRKDLMIDSAISRSHYPEERELERWAPDEGDSECIELEKYDRKGNRSWDQFETNAALFGVKSTFNEELYTTKLERGPHMRELEKHASRIAREIEGEDTKDMHLAEERGLYLDDDFDHDEEIKYSAVRRDTDNTKFKSSTNVLSNTNQVDSLTRAGNTNPKALLSTADEESSSHLFGTDLPVTNNVSQLVSQSQLNKLLPIDESRLDDKLTKDSSGNRDTSNLQTENIISEGGRSSISEDLEVPSSSSHASEPSSSGQAKKSSESLPADSSLSRKVPSSGEYVNSSQGPGSSTSSTSERIAASSVACAPGLSPSSSMGSLSSEKSSLNPNAKEFKLNPNAKSFTPSTSVRPPQPPASDGPYYYANNMPTAPLGPPMFPPAYGGQPMVYNAQPGPSPQGYMHPAGPQLQYGQQMMMGQTRPVYYYAPEMQQYRGRNF >ORUFI02G17420.1 pep chromosome:OR_W1943:2:15514176:15516330:-1 gene:ORUFI02G17420 transcript:ORUFI02G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQRGLGLLRRSLGLGPLSTQRALSSTSPAASAEGGAAAAAAAEVAKESKGRKKKKNLFDVVQFLPSWGVGYKVAKTTWRDVSYQITKINLYKDGRHGKAWGIRYKAGVQAAEAPTKISGVNKRGWKYIKESQKKLQDTPKVETPVTA >ORUFI02G17430.1 pep chromosome:OR_W1943:2:15525571:15525865:1 gene:ORUFI02G17430 transcript:ORUFI02G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALVYQVLRKSFNEFELGGDARNHHGRMRVEDLAVALRIKDGNKKEWCPSTSKEDSHIIFVLALSLFPCPHGSYRHEGLVSMKHA >ORUFI02G17440.1 pep chromosome:OR_W1943:2:15559240:15561303:-1 gene:ORUFI02G17440 transcript:ORUFI02G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSWSGRRDNVAAAGVLAPAFAAVGVHGSSLATVGVQASSLFAAGDHADVDGGSGGGWLGRGRDFTDPVGAGDGDGGNGGDCSGAAKRWRRRIWRRGRAGDDGATAARASMADLASGTVTGGCGASGCRLRWRGIGAGGGRLGPRSIVKSDIFLVEQPDIDDLERWAAGKARDSEVQYFENWSCARLGFSSSGCFNQHHNLDDVQAETGERILEQFKLIEKPGAVEAVESKHAQRSKRNTQNIKVSKLPPCT >ORUFI02G17450.1 pep chromosome:OR_W1943:2:15561405:15561632:-1 gene:ORUFI02G17450 transcript:ORUFI02G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGNGRGDNLAAADDAASVLGAAFAAVGVHTSSLATASVHASSLVATGDHTDVDGDDDDGSGGSWLGRGRGS >ORUFI02G17460.1 pep chromosome:OR_W1943:2:15561651:15564384:-1 gene:ORUFI02G17460 transcript:ORUFI02G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLRPSSVTVDGGAADEEGLGVGAAAATASGSGSGRRDDLATAFAAAGVHASSLAAAGDHADVDGDVDGGSGSGWLGRDWDTRIRP >ORUFI02G17470.1 pep chromosome:OR_W1943:2:15566830:15576314:-1 gene:ORUFI02G17470 transcript:ORUFI02G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALASRVESWARDQAARLPAWARPRPPSAAAAAVPRWPWPWPWSWSWPPPPRAPAWPGDRRRQRERAFREEFERRRRQLRELCRAVRVDTVAELQELLCAVVLAECVYKRPVSEMMRYINKFKSDFGGHIVSLERVQPSLDHVGHRYVLAEAGDTLFATFIGTKQYKDIIADVNILQGTVFHEDTAQDLADAVECVQNDDQKGEENLGTSYREKSKQLRKSKPAAHRGFLARANGIPALELYKLAQKKNRKLVLCGHSLGGAVAALATLAILRVLASSSPSKEPDRLQVKCITFSQPPVGNAALRDYVHRRGWQDYFKSYCIPEDLVPRILSPAYFHHYNAQTPDNTNAKSDEEKDTKSVCSKENNGEQLVLGVGPVQKSLWRLSKLVPLEGVRKSLSVLQKQTNSFGKAPSQLDNFLQSKVDESEEGLQSLEIQEGSEGIALTPLSDKDGGLTEENNKTDKTNVSEVGGSKRWNRVPSLPSYVPFGELYLLGDSSVNTLSDSEYSKMTSVQSVITELRERLQSHSMKSYRARFQKIYDICMSANAQLFTGIEQLPQFSHLQELLGLTAADSVELGHIVEPPTIRTATSILPLGWNGYPGDKSAEPLKVDIIGHDLHMCTLFQAQINGNWYSTVIETLPMVSYSSDQEVQPTLQKMRILVGQPLKQPPNYISEDFMVSVATGSNPDYGFDSLFEDKGCCKGLNEFLIYGTSDFVTICKEVYVRTRRVRLLGLEGAGKTSLLKALLGQFKERSKAVLECIHVDLHGKGVSNGLCYVDSATVNLQELPLEVRQFKEELQLGIHDLSRKTDLVIVVHNLAHRIPQYHQSNTSQPQPALSLLLDEAKALGIPWILAITNKFSVSAHEQNALITSAMEAYQASPDMTKVVNSSPFLMPSATNSLRPISSASGSLRNENPSGRAAFYPVNFSLSPFQRKDIVMHVEGVTALRQLVHQELSRERLSLELEREKAASLQGKRKPQKRDGSVTAAAVGASLGAGLGVVMAVIMGAASALRKP >ORUFI02G17470.2 pep chromosome:OR_W1943:2:15566830:15576314:-1 gene:ORUFI02G17470 transcript:ORUFI02G17470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATALASRVESWARDQAARLPAWARPRPPSAAAAAVPRWPWPWPWSWSWPPPPRAPAWPGDRRRQRERAFREEFERRRRQLRELCRAVRVDTVAELQELLCAVVLAECVYKRPVSEMMRYINKFKSDFGGHIVSLERVQPSLDHVGHRYVLAEAGDTLFATFIGTKQYKDIIADVNILQGTVFHEDTAQDLADAVECVQNDDQKGEENLGTSYREKSKQLRKSKPAAHRGFLARANGIPALELYKLAQKKNRKLVLCGHSLGGAVAALATLAILRVLASSSPSKEPDRLQVKCITFSQPPVGNAALRDYVHRRGWQDYFKSYCIPEDLVPRILSPAYFHHYNAQTPDNTNAKSDEEKDTKSVCSKENNGEQLVLGVGPVQKSLWRLSKLVPLEGVRKSLSVLQKQTNSFGKAPSQLDNFLQSKVDESEEGLQSLEIQEGSEGIALTPLSDKDGGLTEENNKTDKTNVSEVGGSKRWNRVPSLPSYVPFGELYLLGDSSVNTLSDSEYSKMTSLFTGIEQLPQFSHLQELLGLTAADSVELGHIVEPPTIRTATSILPLGWNGYPGDKSAEPLKVDIIGHDLHMCTLFQAQINGNWYSTVIETLPMVSYSSDQEVQPTLQKMRILVGQPLKQPPNYISEDFMVSVATGSNPDYGFDSLFEDKGCCKGLNEFLIYGTSDFVTICKEVYVRTRRVRLLGLEGAGKTSLLKALLGQFKERSKAVLECIHVDLHGKGVSNGLCYVDSATVNLQELPLEVRQFKEELQLGIHDLSRKTDLVIVVHNLAHRIPQYHQSNTSQPQPALSLLLDEAKALGIPWILAITNKFSVSAHEQNALITSAMEAYQASPDMTKVVNSSPFLMPSATNSLRPISSASGSLRNENPSGRAAFYPVNFSLSPFQRKDIVMHVEGVTALRQLVHQELSRERLSLELEREKAASLQGKRKPQKRDGSVTAAAVGASLGAGLGVVMAVIMGAASALRKP >ORUFI02G17480.1 pep chromosome:OR_W1943:2:15581349:15597998:1 gene:ORUFI02G17480 transcript:ORUFI02G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSFYRWLVNRYPSIVSPAKESRPADGIVVYDNLYLDMNQIIHYSFHPQDQMNAGTDVCAPTTVSEVFESMFDYLDRLFRIVRPRRLLYLAVDGVAPCAKMNGMRRGRRFAWASEEEEMQKISEGVSDPNVITPGTEFMEKISQALTYYIRARLNSSDPGWKHIMVILSDANVPGEGEHKIMSFIRAQRSMEGYDPNTRHCLFGHDADLIMLALASHEVHFSILREDNSQLTEADPEKQYLFLNIWVLREYLEIELKILDPVCEPDIERLIDDFIFICFLMGNDFIPHIPSLEMKEYALDLLIEVYKTTFNKMGGYIVNIEKVKDKHAAYLEVSRLEIFFHQLSMYEEKIFLKRYELEQESLKKSCRDVLREASESERLELSRKLEDRFFNEERPYDKLRLGLPGWKSRFYREYFGIETSNEIGNLQNDMAQKYLEGLCWMLQYYLADVPSWSWYYPFYVAPFVSDLKSHCRFEISFTVDKPLRPFDQLMAVLPLRSSCALPECYRKVMGRKEFDHPKLQADTIGKRFLWKCISEEELLQATKELDKELSMHEMRRNTPRQEKIFLQRNSNAQALAKVIVQLQTSSCSPEQKLPIDSAISGLGGWLSPDGLSNGFFCSPLQNLQDITNDQAISAMFFNPEAGNPIPRLLSNVKVPDKTVTEADISRRLLWHTYPGSRPPPPIVERPDTIWKPISKPSAPREEHKNAGTGWMGRGRGNAALAAAETTTQLLTASSSSSSSYGHGAAGSRTAAETQRSSSSSSSRRGSRRADMVAPQSRNSRFDDSDGGAYGFRPLGIGSAPWTGDGSGGGHGRGSAQPRGW >ORUFI02G17490.1 pep chromosome:OR_W1943:2:15603527:15603733:1 gene:ORUFI02G17490 transcript:ORUFI02G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGHEGLSRRRGGEAEVAGCGGLVGALEKRPVMGVVAEEEEEEKGAKVVKREKGATTTLETRWPIWK >ORUFI02G17500.1 pep chromosome:OR_W1943:2:15605089:15606666:-1 gene:ORUFI02G17500 transcript:ORUFI02G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGYQMEAAVLAAPVVKDREVLRLRRDAAGRRDVTGLVRTIVAAAPPPDSTTRAAAAGAFNVIDLGELEPHLEYAAGVGVDLTTFDSEEEVGKIKRCHPGCRLLLRIKAPDGDDGGGAMLNLGTKYGAHRDEVVPLLAAARRAGMAVVGVSFHVGSAVSRVGIYAAAIEAARVRRRRRARHAAHAHIGGGFKAGGGGGESTFQEASAVISAALARHFGGDDMPSGVEVIAEPGRYFAETAFALAARIFGKRTRGEVREYWIDDGMFGTLCCVHFENYVPRPAPVTATADDGDEQAATMGGEMMITSTNTSTKTHPSTVFGPTLDSFDEVVRGYQLPELCTGDWLVFDDVGAYTTVCSSDFNGFSTSNMKTYLAYSC >ORUFI02G17510.1 pep chromosome:OR_W1943:2:15626270:15627411:1 gene:ORUFI02G17510 transcript:ORUFI02G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRRVQRDGDPRAVPRVRPVFSYLISKTHVDRDINSRNTVTVLVDNSAAAAGGARRAPLRPRRARLHRRREDRRAAAGEAHRVDNPIAALPRGRPTVSTTLFQTTGNVCRRTRFLAITPTAKGGAVFTSAALSALVNATLKRVVAAVPYNIFQLRRAAECTHEATAGAPTVTAAPER >ORUFI02G17520.1 pep chromosome:OR_W1943:2:15630243:15631393:1 gene:ORUFI02G17520 transcript:ORUFI02G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILCHGSHTGVTPYTTQLRLGSVIRELRRGVPSFGGRRRHRRATATCLVANRRGAPEDTPPAATNLDLEDSMDGGSL >ORUFI02G17530.1 pep chromosome:OR_W1943:2:15634850:15642017:-1 gene:ORUFI02G17530 transcript:ORUFI02G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATQMAAPPPRARGGSFRVLRTARVAPSSPDGVPMLVERAVPLTFLDAIWLPTPPVDRVFFYRLGADDDGVDAVLSRLADSLSRALHLPDGGAVLAVQATVLPPARRGLALGVTVHHAACDGSSSTHFLHTWAAACAGAAVLPKPPVIDRTFIREREDLYDYMVSRTEESDKFRSPDVADSKLLATFTLSGEILQSIKDRVAGVAARRGKSPPPRCTSVVATFAIVWQCHIRAALGDVEADNKHHGRAHFIFPTDHRERMEPRVPDKYLGNCVGPCFASAPKEEIAAADAEDGLYTTCAAIAAAVDEGTRYDPDYWKRCMEHVGGMSASDGPPLAVAGSPRFRVYDVDFGFGRPAKVDVVSVAKTGAISVAEGRRGGIEVGVGLPPERMERFRRCFADAVAWLSSPSRPTNRYELFYQPGDAVAFTFAEHDDGVGVDELAADDPREVAKIAPLVPELPDGGAVLAVQAT >ORUFI02G17540.1 pep chromosome:OR_W1943:2:15642437:15646550:1 gene:ORUFI02G17540 transcript:ORUFI02G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERGVGDEPVLMGEVQQVGESNPTLSEASQRTGFTFNSVGHHAMGESSNCNLEGHNVVFRSDREKSEQVTQSNIKTILGYRTCMTLKTISWIQNLYDLREKWATIQKVVTVDHSKDETRVTFNSVDMNISCSCRKYTCLGEETTQPSNINIAGASQPTVQEPLDSNIVMPFGDISRMFVPPIRGEFTNLLFQAHHETTAAASASWRLEFENQDPNSSTSYD >ORUFI02G17550.1 pep chromosome:OR_W1943:2:15656085:15657602:-1 gene:ORUFI02G17550 transcript:ORUFI02G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAHGGSFRVLRTAHVAPSSSAGAGCNPLPEATQPLTFLDAIWLIRPPVERGFFYRLGDGGGATCDVDVALSRLVDSLARALHVFYPLAGRLRLTPGKANRYELFYQPGDAVAFTVAEHDVVGLDELATDVRREVSKIAPLVPELPDGGAVLAVQATVLLPACRALAPGVTVHHAACDGASSTHFLHTWAAICAGAAATPPPPVIDRTSIREREDIHDVMTARLHDHVKTTTINSPDVVDNKLLATFTLSRENLQSIKERIADVATRRGVPPPWCTSVVATFAVIWRCHVRAALGAGCDVEEEPRNHGRRAHLLFVTDLRSRMEPRVPDKYLGNCVGPCFASAPRTDIAAAGADGLFAACSAIAAAVDEGTRYDPGYWDRYREQSLEVSTSGAPPLSVAGSPRFRVYDVDFGFGRPAKVDVVSVAKTGAMSVAAALRWASLCRRSGWRGSGGASAKTSPSRGRRRDPVISGIEYMSIAGPPNGRLVAQGRGRAPRAASALLDY >ORUFI02G17560.1 pep chromosome:OR_W1943:2:15661850:15662179:1 gene:ORUFI02G17560 transcript:ORUFI02G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPDLGRGGPAAAAVGGGMRRQRGGGMRRRDERRWQPGGGALPSRLSLAVLSLAGMRGGGWSAVAAPSPPPDPGRGVPAAATAGGGMQRRPPSSLSVMIFCDVLQC >ORUFI02G17570.1 pep chromosome:OR_W1943:2:15663374:15663661:1 gene:ORUFI02G17570 transcript:ORUFI02G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDHIGGEEMVEALAAGRWGRRRWPDPCPRGQIRVPEADSAVVVGGHRRRRSSLASVTPSSWRPAVGDGGRGATAHLLLASARCRALLTAAMHR >ORUFI02G17580.1 pep chromosome:OR_W1943:2:15666063:15667467:1 gene:ORUFI02G17580 transcript:ORUFI02G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATQMAAPPPRGGSFRVLRTARVAPSSPDGVPSLRQRAVPLTFLDAMWLPTPPVDRVFLYRLGAADDDVDAVLSRLADSLSRVLHVFYPLAGRLRLTPGKTNRYELFYQPGDAVAFTVAEHDDGVGVDELAADDPREVAKIAPLAPELPDGGAVLAVQATVLPPARRGLALGVTVHHAACDGSSSTHFLHTWAAACAGAVVLPKPPVIDRTFIREREDLYDIMVNRTKEESDKFSSPDVADNKLLATFTLSGEILQNIKDIVAAPSCHIHAALASDVEAENNPRNHGRAHFVFPTDHRARMEPRVPDKYLGNCVGPCFASAPKKEIAAADAEDGLFTTCAAIAAAIDEGTRYDPGYWERCREHVRGMSTSDGPPLAVAGSPRFRVYDVDFGFGRPTKVDVVSVAKTGAISVAEGRGGGIEVGVGLPPERMERFRRCFTDAVTWLSSPSSSDT >ORUFI02G17590.1 pep chromosome:OR_W1943:2:15670772:15671099:1 gene:ORUFI02G17590 transcript:ORUFI02G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWYVVDTGEQRGVSGGMEATGMEESGGPTGASGGGSDGREAPKTGKQAGWEDLATVSLGKGEVMEEASQGASSASLMPPGQLVRPSGGEEGGADF >ORUFI02G17600.1 pep chromosome:OR_W1943:2:15671671:15672602:-1 gene:ORUFI02G17600 transcript:ORUFI02G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCWPCRPPCCCGRRRRAASPSASPCTTPPATARAPRTSSTPGPPSAQAPPPPVIDRTSIPEREDIHHVKTRTTNSPDPDVVDSKLLTTFTLSRENLQSIRDRVSAAACCLRGAGGDVEAEPRNHGRRAHLVFATDLRSRMEPHIPDKYLGNCIGTCFASAPRMDIAATGADGLFAACAAIAAAVDEGMRYDQGYWDRCREHRAEVTTWPLSVAGSPRFRVYDVDFGFGSPAKVEIVSVAKTGAMSVAEGRGGCSGGIEIEVGIALSPERMERFRRCFRDEVAWLSS >ORUFI02G17610.1 pep chromosome:OR_W1943:2:15681329:15681661:-1 gene:ORUFI02G17610 transcript:ORUFI02G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQGWLPVDGKEGIVERRLVVWHVEEGGLVGAVAATVIDTWHGTEKPSAAAEAHSTAERMGSKRSVWADDSGRRRRRLQWRSASWRRHEGEFSKLPTPRSDSLRLHPP >ORUFI02G17620.1 pep chromosome:OR_W1943:2:15681392:15681809:1 gene:ORUFI02G17620 transcript:ORUFI02G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPACAPPLQSPPPPAGIIRPHRPLRPHPLCGRVRLCGSAGLLCAVPGVNDGCCHRADEPTLFYMPHYEASLYDAFFAVNWEPPLLLRHVCVLGNNFHNYVIQAEENRSGPAAKAKLILTALQFSGEDGTRGNLAI >ORUFI02G17630.1 pep chromosome:OR_W1943:2:15683791:15685639:-1 gene:ORUFI02G17630 transcript:ORUFI02G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPSGGYRPLCLVVSCAWAMLGRAVAAVLGGVTEAVAMREMMTTTITIWMLSEAAVWLRFSSITIDFPKRTIFAYKSWTVIGVQSQAVIMIASKN >ORUFI02G17640.1 pep chromosome:OR_W1943:2:15686213:15686603:1 gene:ORUFI02G17640 transcript:ORUFI02G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEATSLEKARTSTSTEATTPSMHHPVPKRYPGLKYDYIFHVLHLLCFTVIAALMSMLSWSRNAGSTTSPVWEDTSRSGGW >ORUFI02G17650.1 pep chromosome:OR_W1943:2:15689221:15690870:1 gene:ORUFI02G17650 transcript:ORUFI02G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPAAHAAGLRVLRTTRVAPAPPAGEPSLPERALPLIFMDAGWLHAQPVERVFFYRLGPCDDDDVDAVLSRMEESLPRAIHAFYPLAGRVRPTPGETNRYELLYQPGDGVAFTVAEHDGVGVGVDELATDEPRELAKIASFVPELPEGGAVLALQVTVLPPNRRGLAVGIIVHHSACDGVGSTHFLHTWAAACAGDRMLPEPPVIDRTLIRDLPNMHDEITSSTNEAKDLFKAPDAGKLLDVLATFTLSKELLQGVKDAVASEAARRGVPPPRCTSHVAMYGLMWHCYRRARRDDGGRAAAAAHFIFAVDHRSRLVPRVPDNYLGNCVGPGFASAPEEELAVADAAGGVFTACATVAAAIDEAGRRAVLCGRVDEVPRVWPGRRGSRVYDVDFGFGRPAKVEIVSVAKTGAVSVAEDRSGAGGIEVGIALPPERMDRFRRCLADAIAWLSSSSQCNYRGKTA >ORUFI02G17660.1 pep chromosome:OR_W1943:2:15695474:15697515:-1 gene:ORUFI02G17660 transcript:ORUFI02G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLACQSQAVTVRALRARIYHAVAPAELLLAAFRRVSVLAEEAAAALPADPADAECVAGSSPRRWCARIDRAIAPAELLLAAFRRVSALTKEAAEALPADPAYADGAVGFVGHVDQLCDAIEEAVARGDEAVRRVEEVVGFLGQTKAIGRSCVRRLTDAVAAALRAVYEAEAEEMRFEGPLDEALLDLQDLFEAARRRSERPATMGKKRAAGHTRHPAALLDHALQETNASIHAALDCARGYLAGKLPLRLDSGKMMGEGRKKTEKMGDGWKM >ORUFI02G17670.1 pep chromosome:OR_W1943:2:15713766:15714783:1 gene:ORUFI02G17670 transcript:ORUFI02G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAHAAGLRVLRTTRVAPAPPAGQPALPKRALPLIFMDVMWLRAQPVERVFFYRLGPDDDVDAVLSRMEESLPRAIHAFYPLAGRVRPTPGETNRRESDNGGSGRAAAAAHFIFSVDHRSRLVPRVPDKYLGNCVGPGFVSAPEEELAGAAVAGGVFTASAAVAAAIDEAVRGEPAYWEGWKERIVEACRDDAPFSVAGSTRFRVYDVDFGFGRPAKVEIVSVAKTGAVSAAEDRSGAGGIEVGIALLPERMDTFRRCLADAMAWFSSSSQCN >ORUFI02G17680.1 pep chromosome:OR_W1943:2:15784546:15789602:1 gene:ORUFI02G17680 transcript:ORUFI02G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPHDHGGRLRVLRTEHVTPSSTGDDADALSERALPLKPVEPVERLFLYRLAPGAAVHGVLSHLADSLSRALRAFYPLAGRIRLAPGKTDRYELFYQPGDAVAFTVAEHDGAGVDELATDDPREVATIAPLVPALPRGGAVLAVQATVLLGLRPAAPRVLALGVTVHHAACDGASSTHFLHTWAASACAAAGNVPPEPPVMDHSFIVDRKDIHDLFAAPRAQKGFDSPDAMDRLVATYALSSAQLQSIKDAVAGEAARRGVVPPPRCTSIVATYGVMWLCHLRATHGHNNDGGHDDGGAEQRDDQPREVHPDHRRRMEGRVPSRYFGNCVGPCYASMPRKAAATATVTDGVFTACSAVAAAIDEAVHGETGYWERYPERIVEARRDGAPFSVAGSPRFRVYDVDFGFGTPAKVEIASVAKTGAMSVAEGRGGSGGIEVGIALPPEHMGRFRTKPKQS >ORUFI02G17690.1 pep chromosome:OR_W1943:2:15803390:15803602:1 gene:ORUFI02G17690 transcript:ORUFI02G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRRQLIPYSDIACRLLLSRRHPVGRLLPFQRRPFVYSRRNDNRQEKKRGDEGGGGGGEGEVEGQREKR >ORUFI02G17700.1 pep chromosome:OR_W1943:2:15809016:15809664:-1 gene:ORUFI02G17700 transcript:ORUFI02G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGDECGGGGSEWERPRLRFSARRRRKGTTSWVAVDCGGGCGWGTTREMGIGFDSVLTGVGFAGGGGRGKDGRRGASSGQRDSDDGGRAMMAAAPDLEESVAMAICGGVCGRSAGGEVRVWAPMWSSCQQGEGDAGAGGWGGRRIKATLGRRISAAAAVDTEGIEAVVERKTGERGTLARLRSAGSERCGSDMSHWIRQSDNSYSACMIGLE >ORUFI02G17710.1 pep chromosome:OR_W1943:2:15809688:15813080:1 gene:ORUFI02G17710 transcript:ORUFI02G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCLHPRRPGAGRPLPNSTPPDNLHPCRPPLHRVQLLRYLHARGEMTPTAENSMPPSLKPRRQASTSAPRPLAAAATVCCGWYPSITVRSSRSAITVVPWCAHGQHTNCLMKCMIEDSNWGGQWDSGGGAGSSWRRDGNGGGRSGIEDSGGLCWARVVGRQRGGGSSGWRAVAEAAWGAEDSSRWRQARAGYGGSSGWARWWREVAKAARGGQMAAGWRRG >ORUFI02G17720.1 pep chromosome:OR_W1943:2:15813522:15814919:1 gene:ORUFI02G17720 transcript:ORUFI02G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPAAHAAGLRVLRTTRVAPAPPAGEPALPERALPLMFMDAMWLRAQPVERVFFYRLGPGDDDDDGGVDAVLSRMEESLPRAIHAFYPLASRIRPTPSETNRYELLYQLGDGVAFTVAEHDGVGVDELATDEPRELAKIAPLVPELPEGGAVLALQVTVLPPKRRGLALGVIVHHSACDGVGSTHFLHTWAAACAGDRKLPELPVIDRTLIRDVPDRHDEFAAPTNEAKELFKAPDAGKFVATFTLSREHLQSVKDAVAGEAARRGVPPPRCTSLVAMYGLMWHCYRRARRDDGGRAAAAAAHFIFPVDHRSRLVPRVPDKYLGNCVGPGFASAPEEELADAAGGVFTACAAVAVAIDEAVRGEPAYWEGWTERVMEACRDDAPFSVAGSTRFRVYDVDFGFGRPAKVEIVSVAKTGAVSAAEDRSGAGGIEVGIALPPESMDTFRRCLADATAWLSSSSQCN >ORUFI02G17730.1 pep chromosome:OR_W1943:2:15883526:15888416:1 gene:ORUFI02G17730 transcript:ORUFI02G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDYPHHLRLRDCYLACGSRGNRKAHVEYSDTDLAPQEDQMALEAILRAMPHCCHRGNALARRGEPEHVDEPRHRRLIHVKINATARSATPGAPPHVPPTSTSTSKFRPPQLVTQELDLDKAKVSANLHREELAEDDDSYNLFANSVAPIVHDEQEAFTVVVRIGPDIWE >ORUFI02G17740.1 pep chromosome:OR_W1943:2:15887689:15888213:-1 gene:ORUFI02G17740 transcript:ORUFI02G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSQLTCRLRISGVPKSIHLVSEWFDENFGSSQSVILRSNVTVRAVPRYCVVGTEDIASNGGWFGMLYLDGEDCAIGPEELAQVVGVAPTRRRRRGLGGGGGGRRQPSDLGVGPPSWVDRSASTPGEPAASAPAASAAADSGGWRVTGMAGWRGRPGVPQEPHLRRVGIHARH >ORUFI02G17750.1 pep chromosome:OR_W1943:2:15891334:15895099:1 gene:ORUFI02G17750 transcript:ORUFI02G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRNASRVLGSAYFTTQSEASRAYMSNLPPTSSAPAPVAYGGGGGDLGGPMVTPDDACQLSLSPWDLPYELEDPDPLEAPFDRYMACIPFRASFVSDSDNNDDDQMEVDEDKSWNQVENEEVNDQQQPADHKVDQSGDPAARKMKGKMNESSMVVMEMQDADKQAGVWYCNKNDGKKWHCRNIVDGPKTLCDYHLAKSRSYYTRTGEAGAAASSKSGRAKAPAIAKPKSSSKRTPAGESSAQNNSIAAAAAAAVSVLPTISSQPSKRKASNGLLGGDAYYFYDMFVPYRKKDRGGSSSKQQAGAEEKEILPQDNAVAMEEKMDGKKLYDGVYNSSDYSSDTASDDESDEDYTVGGASKRRTKKRKMKLSVKKVQFSKMTKKRVKERSLKSLL >ORUFI02G17760.1 pep chromosome:OR_W1943:2:15897994:15898864:1 gene:ORUFI02G17760 transcript:ORUFI02G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLALDAAYPLPLLPVMLDKFPKVAATNGAAGRRATAMAGNGWTQEVEEEMRDILRVIRAKDENEYVSVGKLVLALNKRLAMAGPALAGAATLAAAFIGSGEVVASRRTSRRRLKEADVEQRENCKVFETKVALQLGRSTLELKKFKAIASPTVKDEDIKEFAGREGGLGGARVGAAAVVVVAVLHDAGEGSALDDVGEVDDEVVLAAAVRHHPPRASS >ORUFI02G17770.1 pep chromosome:OR_W1943:2:15898895:15899177:1 gene:ORUFI02G17770 transcript:ORUFI02G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEQERQEKKKRATPPPAASRRRGAAAQPRSPPSRLLPTRRPAARPCERERERESREGRKAERRGEREMTWIPDMWGPRGSHADSAVT >ORUFI02G17780.1 pep chromosome:OR_W1943:2:15899841:15901193:1 gene:ORUFI02G17780 transcript:ORUFI02G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSDHLSGLPDDLLRHIISLLSAKEGAATAVLSRRWRPLWRQAGTVNLDTEPYLYPAAYRGNNFPEHRRSAFVGHALAALAACESPRVLSLRLVSEEIEGGAAEERCAGVVDAVLDAPAAARVEELRVRCAVSWLCEHGSCERSSSSGTWRLRLGSLPCAAATLRVLHANDVGVERLGDGDGGVVLPLLEEMRLVKATVSPETLQGVIDAAPRLANLWLERISFRSNDGSRGVYLADGFRLQLRGPALTELALIGYYSRDRCIELDVSRLRSFVNERSLPGRFSLTSPAPDLASADLHFHDHRSYGDKDPNNLTVPMWSCLRHLHGVRVLKLQLDFYAEYIAVDADDADDGVPATFPNLEYLELDAHCKDDHDMATELTVASVLRWCPAIRELRLRLSVADAEGRVNVIYNSKRHMIHHARMMRNSFGQDVQTKIYVDVTNITTSSP >ORUFI02G17790.1 pep chromosome:OR_W1943:2:15915235:15917360:1 gene:ORUFI02G17790 transcript:ORUFI02G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRHKPTHPPLSSPIPQIPNPCNFRSCSLTTVPPAGDLIPAMRLRRAATRVLRPAYSTTQAGGPRTSSSNLSSELVMYVLRMHGGGRGLNNPVVDLEGACSLNQSPWDLACELENPNPLEDLFNKYLVHIPHRASFTFNNDNDEEMEVYDMIWKQQQGEEMKDLNPSQKEKQDGETKMVKDHMNVEPLVPEEDMLDKGIEDFGEKKASVWYYRKRWHCQNIVDGPKALCEYHLAKSHSNTPTSVKVATAHSKSCCTITMSHLLKSSFKPTLTGEASSLRAASASIQKNSQSHKRKAGNGLSEDTYYSYSLFSPFHGKDQDDSRIATIDYQQKGFLQQDNIVLIKERDDNKEYIDVDNLFDDFSIAGDDGQSDKDYFVGGANNPHVKKGKQ >ORUFI02G17800.1 pep chromosome:OR_W1943:2:15922193:15924061:1 gene:ORUFI02G17800 transcript:ORUFI02G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRAASRLLGSASAAFSAQAEAPPVIATATASELPPPPAPAAADAAAAVDVGLAGPEGPCEQSLSPWDLPCELLDDSTDSQNLQEAPFDKYLVRIPRRASFVLNSELELEDDTMESKDKIWNHDDEEEEVKNQKPAAHSLKKDGAVRKRSRKGNDEPILQEEELDMVMKTEESEDKEATIWFCKKNDGKKWHCRSIVDRPNALCDYHLARSRSSYTPSSENGASATAAATCSSGPTKADAIGKIKAPPAKSSGAKRNSPGGAAASSSKAAAATATAPSSSKASSSSSVSVTVPTSSISQRRKRRKKSTNGSGGDYYFYDLFGPFRGKDRRNHGVVSASEEDHKGLLKAKEKMEYIDVDNLSNNSSITGGGDKENDENYVVGGAGKARAEKRKGKIAVEKMPFPKMVKKRTVKERSLKSLL >ORUFI02G17810.1 pep chromosome:OR_W1943:2:15937165:15940866:-1 gene:ORUFI02G17810 transcript:ORUFI02G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTPAAAAAAPEEATAAALRLRLRRLVAAVTAGGVGGGEAFDEAASALAALRDAELGPPPKDRPGAGAERRRSGHAEAAAVPEQFLCPISSEIMRDPVVLASGQTYDRRFIQEWLSAGNRTCPQTQQVLSNTILIPNHLVRSMIAQWCTENGIALSPLENQEEDLVTNNERKSFSELFDRISSSSNISEKRQAIKDLRLLTKRNSSFRAVIGENPDSISQMISAVSNPELESNSEVLEDTVTTILNLSIHESNKKIIGDDTKAITFLISALQSGTMEARSNAAAAIFSLSALDSNKAKIGESGAMRPLVDLLEHGSMTAKKDAASAIFSLCKLHENKSRATKSGVIDVVLKAISDESLTDESLTILALLSSDHETVEEIGETGGVPCMLHIIKDDQCKRNKENAVAVLFSICMYDRTKLREVVEDENLNGSLAWLAQNGTSRARRKAAGILDKLKRTIHKTHYSC >ORUFI02G17820.1 pep chromosome:OR_W1943:2:15956239:15956808:1 gene:ORUFI02G17820 transcript:ORUFI02G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAGSTSLNLVEARSGGRRLGGVGGVGEEAADADGWGMEAAAAGSTSPNLVEAGSGGRCSGCVGGVEEEAADAGGWGMEAAAARSTSPNLVEAGSGSRRSGGIGGVGEEAADAGGWGMDVAAAGSTSSNLVKAGSGSRLSRKRLWRPDLHPGGQIRGWSSVGRQGRQQRRLDPCPGGQRRMDPSRI >ORUFI02G17830.1 pep chromosome:OR_W1943:2:15987937:15990645:1 gene:ORUFI02G17830 transcript:ORUFI02G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKDAEGQDAEATTEEAKKSNHVVRKLEKRQQGRTLDAHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGASA >ORUFI02G17840.1 pep chromosome:OR_W1943:2:15992323:15993294:1 gene:ORUFI02G17840 transcript:ORUFI02G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMITLPVAAHAPSPWLPPSILRLRSTSTTTTIPAAAARSSAPHPLPDELHLVADIRSPHNHIRVADVSRTASGAGHPLAGARLLLLDAPGNIHSLSFPRSPCPLTSTYLDVFATLPPLLPASASSLAVLGFGAGSAARAVLHFFPDISVHGWEIDPAVVSASRDFFGLAELEAEHAARLSIHVGDALEASADAVAVPGGFGGVLVDLFAGGSVLPELQEMDTWRRIGRRMVAPGGRVMVNCGGPCVEAEEEGRGGEAVKDATLRALTAAFGHGMVSVMDMDESWVAMTGPAVSSAPEEAAAWKAKLPPELRRYVDMWRPCLL >ORUFI02G17850.1 pep chromosome:OR_W1943:2:15998346:16010081:-1 gene:ORUFI02G17850 transcript:ORUFI02G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQQQGGGDPRPQQPAAAPALLGGADWLGFGRAAAELDESVASSPASFLLPPAPPVDARAQAQPEPKPKQLGAVDVERHLALAHQNYRSGKYKEALEHGNIVYEKNPRRTDNLLLLGAIYYQIRNYDMCIAKNEEALAIDPNFAECYGNMANAWKEKGDVDLAIRYYLTAIQLRPNFCDAWSNLASAYTRKGRLNEAAQCCRQALAINPRLVDAHSNLGNLMKAQGFIQEAYNCYIEALRIDPQFAIAWSNLAGLFMEAGDLDKALLYYKEAVKLKPSFADAYLNQGNVYKTMGMSQEAIISYQRAVQARPDYAMAYGNLATIYYEQGQLDIAIRCYNQAIICDPQFVEAYNNMGNALKDAGRVEEAINCYRSCLALQANHPQALTNLGNIYMEWNLISAAASFYKAAISVTSGLSSPLNNLAVIYKQQGNYADAITCYTEVLRVDPTAADALVNRGNTFKEIGRVNEAIQDYIQAATIRPTMAEAHANLASAYKDSGHVETAIVSYKQALRLRPDFPEATCNLLHTLQCVCDWENRNAMFRDVEEIIRKQIKMSVLPSVQPFHAIAYPIDPMLALEISCKYAAHCSLIASRFGLPSFVHPPPVPVKAEGKHCRLRVGYVSSDFGNHPLSHLMGSVFGMHDRDNVEVFCYALSQNDGTEWRQRIQSEAEHFVDVSAMTSDMIARIINQDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGAAYIDYLVTDEKNRDCLDPVCPHKRSDYGLPEDKFIFACFNQLYKMDPEIFDTWCNILKRVPNSALWLLRFPAAGETRVRAHAAARGVRPDQIIFTDVAMKNEHIRRSSLADLFLDTPLCNAHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGLGEEMIYEDRAVDLALNPAKLQALTNKLKEVRMTCPLFDTARWVRNLERAYYKMWNLYCSGRHREPFKVIEDDNEFPYDR >ORUFI02G17860.1 pep chromosome:OR_W1943:2:16025928:16033931:1 gene:ORUFI02G17860 transcript:ORUFI02G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFSSKLSRAPASPPPPPPHAAAGGGGDAHTPSSHGHRHRRFPKENVDPSPSPGPYDHHSAYRSPSGKQQQQQPLAAKNRSLPPRPPLKRKLLDVSAASPAPEGAPSGGGGGDSGVQVVVRVRPPSRAEEEDEGAGKEVCVRKTGPGSVEIHGQGFTFDSVADEASTQLCASSICANLIAYEEYWVMFTEDIFQLVGRPLVENCLDGFNSSIFAYGQTGSGKTYTMWGPLSALSDDTVSKERGLTPRVFELLFSRIKEIYNEQITDLLDPVQRNLQIREDVGTSSVYVESLTKESVFTINDVTQLLEKGLANRRTEATTANAESSRSHCVFTCFIKSESKNMEDGSNFTRTSRINLVDLAGSERQKLTNAAGDRLKEAGNINRSLSQLGCKSETLSTLRFAHRAKDIKNNAVVNEQREDDVNVLREQIRQLKEELQHVRSNGSLPGSNGSPSTGWNSQNSFLLKMSLSRPTAFPTIKDDSDEEMEIDDNDVEKPCNLENKSSFPHGDVETSRCKSNLAASIQKGLQVIESHRNSVTWRRSSLGLNTRLMDAHLSVPVCKVDVAIQTDPEESEPRQNTMALIPSNQPEATTDGNREISDCINLQLVTVDGSIPSNDLKQQEQVFKAVEKVLAGAIRREMLRDEQCAKQAAEIQQLKRLVQQYKHERECNAAIAQIREEKIARLETLVDGILPTEELMHAENLSLQDENKILHQKYENHPEVLSAKIELERIQEELERYRNFKDEKEVLLEEIQHLKNQLHYMLSSSMALCRPPVELVQAISTVSDRPTISALEEAGDDGHSIVDAAESRWITLTEELRVELEKSKSLSERLQLEVESEKQCSEELKGALEMAMQGHARILEQYCELQEKHASLLSMCRTINDGIEDVKKEAAKAGVRGAESKFINALARQVSILRAEREKERRFWMDENKGLQQQLSDTAEAVQAAGELLVRLNDAEEAASLVQKRAELAEQEMNKAFAEIDNLKRDHDQEVLVLNQRLAESKLPSNVVQSPEPSETGPARYDTGGSFGDEQWREEFKPFQSVEVSKSSDPSSWFYGYDKCNI >ORUFI02G17870.1 pep chromosome:OR_W1943:2:16035084:16035632:-1 gene:ORUFI02G17870 transcript:ORUFI02G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSASPRPRRRRMDPTAHLGRHHASDLATPHPPTTPTSPSNTNNIHIHPFTRATNQFGESQRRRRRRWRKRRRVDLAGGSDDEVVVAAKPAVAIGGNFGSAVFGKRHGVRRRQWGWLQQWRMDDGQQQNIVGGEMIPVAGGEKGGVLGQAVEHTHMSSSSGAAAANMGAALARVRRHRHR >ORUFI02G17880.1 pep chromosome:OR_W1943:2:16037110:16040650:1 gene:ORUFI02G17880 transcript:ORUFI02G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAEEAQLRLEMELAKKAKADMSGLQRSSSLGLDHAGLYPLPLPPGWRSAPTSPLRTPSSPPPLQFPPAWAADVAGTSGSAAPEDDGPARNAGADEATAGSAPKNEDPARAAGADDGPTRSDYAAMMRMALAKFQDDDAAADDEEAASAVMEQAMTGLMDLTYRKAKPPELPYEFATRWPIPIAHDGTLQAEVMRDPVILPSGYSVDQTYQNNQKRQNPWTNTSTFTDHSLPYSLSVPNHLLRDMISAWCLDHSDLSPSTTSDTPSTPLEPSEEEQIQRILKLFSGNSASQREALKLIQLLTKTTKGVQPCLAKYADIIPVLINLRRKYKSSWTQDLEEERLTIILNLTMHRQNREILAGQNELAGAIKKIVKKAGNRGKRTSSLAKVASIVAVLSEFDMFRKRMLDAGGMKMLRGMLKIKDTEVITEAATAILALYADGEGEQPARFHEVPQMLLECHMFTDGILLLLDRLPKSPRVFRKICDQALQLVNIVMAEDASGPVTRKGILSAISLIYEIVERDVGKMNAVKNMEDFIERLRQLSSDRLPMQKMLQVERIIRTLSDAFPAPTVRGRCQEPSGSRLLA >ORUFI02G17890.1 pep chromosome:OR_W1943:2:16068514:16070388:1 gene:ORUFI02G17890 transcript:ORUFI02G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASPAHAVFFPYPVQGHVASALHLAKLLHARGGVRVTFVHSERNRRRVIRSHGEGALAAGAPGFRFAAVPDGLPSDDDDDGPSDPRDLLFSIGTCVPHLKKILDEAAASGAPATCVVSDVDHVLLAAREMGLPAVAFWTTSACGLMASLQCKELIDRGIIPLKADAEKLSNGYLDSTVVDWVPGMPADMRLRDFFSFVRTTDTDDPVLAFVVSTMECLRTATSAVILNTFDALEGEVVAAMSRILPPIYTVGPLPQLTAASHVVASGADPPDTPALSAASLCPEDGGCLEWLGRKRPCSVLYVNFGSIVYLTSTQLVELAWGLADSGHDFLWVIRDDQAKVTGGDGPTGVLPAEFVEKTKGKGYLTSWCPQEAVLRHDAIGAFLTHCGWNSVLEGISNGVPMLCYPMAADQQTNCRYACTEWRVGVEVGDDIEREEVARMVREVMEEEIKGKEVRQRATEWKERAAMAVVPSGTSWVNLDRMVNEVFSPGNNM >ORUFI02G17900.1 pep chromosome:OR_W1943:2:16075038:16075362:-1 gene:ORUFI02G17900 transcript:ORUFI02G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPCSVLRQPSSPFAAPVLAVLSMGTLWLASLWLSSPSVALALVVASAGVGVCVALDAVGSSSIFSSKPTPLGFL >ORUFI02G17910.1 pep chromosome:OR_W1943:2:16095633:16097384:1 gene:ORUFI02G17910 transcript:ORUFI02G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFRISLLLLLVPLIPTAAASHHHSPAGGGGAAVPLHPRRHHRSVANTATALFYPAPSMHQNHIEAEEGQLLHVLADPFAAAPAAAEAPSGETAIAAVGAAAEEATPTLIDDSPQQAAAASPPPPPPPPPPPPPLFAKPDLDSTAPPQPKEEGVDGYGSTTATATVTAAPPLDEPAAATATTTTTTTTTLPLPRYSHVASPPPPPVHAGVAGLGDEQRLEQLARVLSSLGYNEMASAALLLANSALLAAWPGSITVFAAPDVFLRASCPMCSRRHVLLEHIALGYFPYTELAAASTAKLPSASPGLCLNLASDHGPFAIHHVRLYVDGVEVSHPELYNDGRYVVHGLHGFLPPLSHGSCSHGSNHRHHYHYQYHHHHHHIIASSAASSAATAASVVRIMIREAIARLRDSGYGFVALAMRVKFAELERLANMTVFALDDQAIFVGGGHDYVSAVRFHVVPGHRLTHADLQRLHPGTMLPTLAGEGQNLVVTQGASGSGSGPRDVRINYIPIKDPDVVINSRIALHGVYVTFPRLHLANLAAAVALASSNQINATCGVFGDCASAAATSTTVPAAHRYGEGQ >ORUFI02G17920.1 pep chromosome:OR_W1943:2:16101811:16105898:-1 gene:ORUFI02G17920 transcript:ORUFI02G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDLGYPPGMAGLDAMAGLGGLGGMGGLGGLGGMGGLGGLGGMGGLGGLGGFGGGGMEDLYGGGGGAGGEEGGEGPYAAEMEVGEEKEIGKEGLRKKLVKEGEGWERPDAGDEVQVHYTGTLLDGTKFDSSRDRDAPFKFTLGQGQVIKGWDLGIKTMKKGENAIFTIPPELAYGEDGSPPVIPPNATLQFDVELISWESVKDICKDGGILKKVLAEGTKWENPRDRDEVFVKYEVRLEDGTVVAESDGVEFTVKDGHFCPAISKAVKTMKKNEKALLTVKPQYGFGEQGRPAARDEAAIPPNATLHINLELVSWKAVTEIGNDKKILKKILHEGEGYERPSDCTLVRVKLIGKLEDGTIFVTRGHDGDEPFEFKTDEDQVVEGLDKAVLSMKKGEVALVTIPPEYAFGSDETRQDLSVVPPNSTVYYEVELVSFDKEKESWDMKENTEKIEAAAKKKDEGNAWFKMEKYARASKRYGKALNFIQYDSSFSEEEKQLSKPLKVSCKLNNAACKLKLKDYKEAKELCTEVLELDSMNVKAFYRRAQAHMYLVDFDLAELDIKKALEIDPDNRDVKMGYRRLKEKVKEQKRKETKLYGNMISKLSKLEDSETEGGTTQAPSKKHGLWPLTALLRRLFTRSDGSKESMLWLVLRLLIPVVLLVAVCVAFYMRSGPPEVDCINC >ORUFI02G17930.1 pep chromosome:OR_W1943:2:16110257:16111066:-1 gene:ORUFI02G17930 transcript:ORUFI02G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTWFFLLALLAVSISNAFASDPSQLQDFCVADKMSQVLVNGFACKDPAAITVEDFFFSGLHMAGNTSNRQGSAVTGVNVAQISGLNTLGISLARVDYAPYGLNPPHIHPRATEILTILEGSLYVGFVTSNPENKLFTKVLNKGDVFVFPQGLIHFQFNYGTKDVIALAALSSQNPGVITIANAVFGSKPFISDDILAKAFQVEKKIVDRIQAQF >ORUFI02G17940.1 pep chromosome:OR_W1943:2:16112486:16120989:1 gene:ORUFI02G17940 transcript:ORUFI02G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGACFLQQLAVVALLALWCSHGAIASDPGLLQDFCVVDKMSQVRVNGFPCKDAKDVVAGDFFFSGLHMAGNTTNKQGSNVTTVNVAQIPGLNTMGVSLVRIDYAPNGLNPPHTHPRATEIPTVLEGSLYVGFVISNPENKLFTKVLNKGDVFVFPQGLVHFQFNNGTNNAVALAALSSQNPGVITVGNAVFGSKPSISDDILAKAFQEMFKFESMAAIRASFLLAAAALLALWCSDHGGVVASDPSHLQDLCVADKASTVRVNGVACKDGEDVAAEDFFFSGLHMAGNTTNKQGSAVTAVNVAQVPGLNTLGISLARIDYALHGLNPPHTHPRATEILTVLEGSLYVGFVTSNPENKLFTKVINKGDVFVFPKGLVHFQFNYGTTDAVAIVALSSQNPGVITVANAVFGSKPSITDDILAKAFQVEKTVVDQIQAKF >ORUFI02G17950.1 pep chromosome:OR_W1943:2:16122244:16144014:-1 gene:ORUFI02G17950 transcript:ORUFI02G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHACSPGATQRLLLHAAADGDLRLFKRIASRLDGGEGRLKEAVEAVKDRGAGALHQAARNGRTAMCVYLVEELQVDINAANESGATPLIYAVLGGIVYTVSYLLDHGANPDKPNEQGRAPLHLAVEQGNCEILKVLLVKGADVDSSSDCGTPLHIAAVKSHDGCMKILLDHHADCNKVFSTFYTPLIAALMVRSLKCVKLLIKAGADIKGVGTFTPLIAAATEGLTDFYKCLLEAGADPNVPDENRRKDVEILLPVTSRIPSVYDWSVDGIITYVNKNVQATNLEPEDGTCTRIGAFAWLKMGEGMKALTDAHLCRMLCPDWPKACYREGAAHMTDKACDAFLDGLKLDPANMEIENGLRPENKNLPRRRGRSLPRTRQPPVKALLEEVLLHAAFEGNLRIFRKNVRALDEGDGRLADKVGAVRDSDGLGALHLAAAREKLPVCHYLVEELRVDVDAVDNKGETALTFAINCGNEDMVRYLLDHGADTEKINNDGLTALHFAAGEGKCKIVEILLSKGAYIDSLTTGGTALHCAAYNGRDAVVKILLDHHADAGADVKGIGKEIPLITAASKGLTDIIKCLLEAGADPNVRDCLGHMPIEVAARCGERKAIEILFPVTSRIQSVSDWTVDGIINHVKSLPEVKEEDFCEATLDMGKFQGREAVKNKDYLGAMNIYTAAIALNPRDASLFSNRSLCWLHLGEGKKALMDAEACRMMRPDWPKACYRKGTALMLLKDMHPMP >ORUFI02G17960.1 pep chromosome:OR_W1943:2:16157019:16162672:1 gene:ORUFI02G17960 transcript:ORUFI02G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKRPTPDGDACSATAAVALSPCNKPRWLFMSIFNYKRMETLGAGTYIVVYRARDRRTGETVAVKWLRDVAANPSNRDMFLVKEFVGARSLRDLIAGHARRRPFSEGETRALMRQLRAGVRTMHAAGIAHRDIKPRNILIGPGGALKICDFGMATTAAPPYEGFMVGTLHYNTPEQLAGKGQYNAQAVDMWALGEVLAGLLAFYDDERMMAEAALEHRWFMEEADSPTVLEGLAGLLHDRRFRVEAIVRRRQDRTPLHVMNRFLCYNVGSSPVNAPALTQFPRQVSQRANCGRPSKSRRSRDDRPMPTGSPINPPPWRQPNHTLAGNRCYGPAVDMWALGCIMGELLTGAPLFGGDMIEEELLADLSDNLGDPLRELFEDVLLELSPANLSGLLSFDPEKRLTAAEAMEHRWFRDHCRATVDVCGR >ORUFI02G17970.1 pep chromosome:OR_W1943:2:16163099:16165665:1 gene:ORUFI02G17970 transcript:ORUFI02G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPSGWFLKVPPPLHTVDPPGSPPPASILLEPYGYFSDRTNHTTARGLTRGGKNIVFTFWTATPPRASFFTLHSPDDTKCSAFADAPDAVCSDHHLLLLRIPICLEATQIYAINNHYFVYHAGGDGEQRLTPVPTPPGLTFAFPNSEVVLLRRRRPREAFFLAALHRPTLCRQYTHEQFDLHLYSSETGEWSTKLMVSVDADDDDDSTSFRFSYASKAIVVGGELGTVGWVDLWHGILVCDILLDNPRLRFIPLPPPLVPRQLKGDPMFLRNIVVLEGYIKFFEMYNHTTGSASAQGWVAATKKMKISSIASGNSSSSSWEDDCAIKFSEIPVESLTFAQMLRLQPNLKQGTGTTRLTLKRLHAGYPGLSLHDSDVVYIMHTPDPDEEDKALVIAVDMRNKALKGVADFGFGRPVGYGFTYLQTGISKHLRNCSSSSRTNMISIKHDMCNIDTLIA >ORUFI02G17980.1 pep chromosome:OR_W1943:2:16174394:16180567:-1 gene:ORUFI02G17980 transcript:ORUFI02G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALDEGDGRRLGDKVGAVRDGNGVGALHLAAGRGSLPVCGYLLEELRVDIDAVEDRGETALTFAINSGNADMVRYLLDHGADTEKLNNDGLTVLHFASGEEVAARCGARKDVETLFPVTSRIPCVHDWTVDGIINYAKSLPDVKDEEFCEAMLDMGKFQGREAVKNKDYRGAMHIYTKAIALNTRDASLFSNRSLCWLKLGEGEKALIDAEACRMMQPNWPEACYRQGAALMLLKVGHKCSDHLLKIL >ORUFI02G17990.1 pep chromosome:OR_W1943:2:16180600:16180854:-1 gene:ORUFI02G17990 transcript:ORUFI02G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRFPPSSSAARLPPRSTPPEPRPSPPAAAAGAAALAPGSLEEVLLQAAFDGNLRLVRSTRQLRLELPSPIDLPLRSSVSRR >ORUFI02G18000.1 pep chromosome:OR_W1943:2:16188732:16189073:1 gene:ORUFI02G18000 transcript:ORUFI02G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMLRAALSPAVALSTIDGVVSVAAAASDHDRVGAAKRARDGHLLPRSEEHDADADACGWSSAVMERSLALRRRRGCASSPCCSSFRRAATVAVVQEQGFPTSAAAARDVM >ORUFI02G18010.1 pep chromosome:OR_W1943:2:16189608:16234339:-1 gene:ORUFI02G18010 transcript:ORUFI02G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSRVPPPARGSRRRPPPSSPVPLSPRQEMLLEAASDGDLGFLKRVVRSLDGGRGRPAEAVEAVRECGAGALHLAAGAGKLAVCRYLVEELRVDANAIYDQGETPLAYAVNGANVATVRYLLDHGAHPEKADNKGFTPLHFAAEEGYCNVVELLLAKGAQVDSMSVRGTPLHLAATNGQHRVVKILLDHNADCNKIVSAVYTPLLVAIYGSSLKCVKLLIKAGADVNGVGNITPLIASVGSTEIMKCLLEAGADPNVPDEFGRMPIEFAVRCGTLKDVNILFPLTSPMPTVPDWSVRGIIRHVNTLPGQKDYESGLEKEVAGLKLQGVEALKRQDYLAASDLYTKALCLDFNDATLYSNRSLCFLHMGDGDKAYGDAYTCRMMRPDWPKACYRQGAALMLLKEYQKACDALLDGFKMDPGNSEIENALRVWSGRTKSKPLIADSTIPRLRKGHHSWTRGRLDRLYREIERTMLLGKEALVGVSMDHMRSSMQRGGLPPEMLEVGMELMRVLVGDSIPDPPVSTLPRLAPAAAARAPADGVDRISRLPDELLRDVVSRLPARDGARTAALSTRWRGVWHSVPLALVDAHLAPQGRGGGGVVAAVSRVLAAHPGPFRCAHLTTTSMEAHRGEVARWLEALAAKGVQDLVFVNRPWPLDLRLPAALFACSSLTRLHVGVWRLPDTRAVPRGAAFPHLREMVLSCVVMEDRDLAFLLDRSPALEKLAIITCQDGARVRLTSRSLRILQVCLTVVNYVDVVDAPRLERLMLWMTSKHRSCLSSMVKIRNAPKLRSLGFMEPGMHELEIGSTIIQAGMKLSPSTVVPSVKILALEVKFTVRYEARMLPSFLKCFPNVETLHIHSAVEDEPTGKSKLNLKFWQDAGPIECVQHHIKKVIMREFRGTKSELTFLKFVAERARKLERMVVVVTNGCFCSSGCQGDTQAQMETLMASAKWASEGSKLVAFENPHSQVGTPAWSFQFAFNFDWSDPFDYGYDQASLGEPVSKRKATELKSLGNKAVEKKDYLSATGFYSQAVDLYPDDATLFSNRSLCWHHMGDGHKALLDAYECRKLRPDWLKAYYRQGAALMLLKDYESACETLYDGFKLDPGNSEMEDALREALASLKASASTEARCSHILPGDFDTQNQNDPRAPTRRLLQAAADGDLAAFKRIAGKLDGGKGRLRETVEGVRDRGAGALHVSSGRGMLAVCSYLVEELQVDVDAADDSGDTPLAYAVRGRSIDGVKYLLDHGECEIAKILLSRGAHVDSFSSHGTPLHLSAFCQQDGVMKILLDHHADFNKLLKPVFTPLIMALNAGSLKCVVLLLKAGADVKGVGTVTPLITAANNGQTDFYKCLLEAGADPNVPDEFGHLPIELAAYNNRRKDVEILLPVTSRIPSVCDWSVDGVISYVKSCPSVEDDPMYKMSPADMKLAASEAYRRQDYITAMKLYTRLTDICPHDATLFSNRSLCWLKMGAGVNALQDAQICRLMHSDWSKACYLEGAAQMLLKDFEKACDAFFDGLKLDPASDEIAEALSFAFPPHSPKKPTAMAPHFVLGPGVPQQLLIQSAAAGDLPAFKKFARMLDGGKGRLKEAVEAVKNRGAGALHQAARYGRTAMCAYMVEELQVDIDAADELGATPLGYAIYGGIVDTVSYLLDHGANPDKPNEKGCTPLHLAVEQGHCEIVKVLLVKGANVDSSSDHGTPLHVAASKSQDGCMKILLDHHADCNKTFSTVCTPLIAAMMGRSLKCCKLLIEAGADVKGVGTFTPLIVAATEGLTDFYKCLLEGGADPDVPDKFGFLPIEIAARQNRRKDVEILLPVTSRIPSVHDWSVDGMITYVNKQVEVDPFFKIRPADLKLEGNRAYMRKDYLTAAKLYNMAIEHDPEDMTLYSNTSVCWLKMGKGMNALETAQVCRILRPDWPKGCYREGTAHMFLKDYEKACNAFLDGFKLDPANIEIENALREALKSLKASRAA >ORUFI02G18020.1 pep chromosome:OR_W1943:2:16225060:16225902:1 gene:ORUFI02G18020 transcript:ORUFI02G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTAQLGSTSGTGLPLQPRLPPCSSLTGSPRPQPSSARLDPGSASAASATTASDSSVSSTTVDRGYELPALLLRCRSSPTTSPVLPGPSSAGSPDDWGQGKAVDGPVKGLLLDDFGPFCGAQGGVDSPPRRHPRISDIGVDSASTSSFRLAHIQLDHPFKRPATTTSATDRHQARVYTIKLWGAAASPPSGHSVAACGPHLH >ORUFI02G18030.1 pep chromosome:OR_W1943:2:16234783:16235152:-1 gene:ORUFI02G18030 transcript:ORUFI02G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDAAKTTPAPAPRLCSTIAGGGDDGGVEVGLHRVDDVLVELHQAEGLVNDRSCSRPSAGGGSMRRPPRRTRTMFPRMMWRGGAPAGSSGVAAPSLCLLSHRVL >ORUFI02G18040.1 pep chromosome:OR_W1943:2:16249049:16256085:-1 gene:ORUFI02G18040 transcript:ORUFI02G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFFNGAMYAGGWMQHMLFEAAHNGDLDLVRGMAMLLVEGRGRLGEAVQAARLRGTGPLDGMGALHIAASKGRLEVCRYLVEELRLDVDDTDQEGRTPLIIAIVFNHVSTVEYLLDRGADANKASHNGLTPIHFAICLGECGMVQLLLAKGACVDPVAYCGTPLHVAATEGRDGAMKILLDHNADFNKMVDGLTPLDTAMDSGELKCINLLIKVGAVVSEDRMLTAENSGSTECFNYLMEETGANCNISDNGEPVNKRKATDLKSLGNKAVEKKDYLSATGFYSKALYLYPDDATLFSNRSLCWHRMGDGGKALLDAHECRKLRSDWPKAYYRLGAALMLLKDYESACEALYNGFKLDPGNSEIEDAFRYPFCLFWSHYGNMAYDDSFG >ORUFI02G18050.1 pep chromosome:OR_W1943:2:16259885:16260812:-1 gene:ORUFI02G18050 transcript:ORUFI02G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPHRGEGSGARAWPAGLQ >ORUFI02G18060.1 pep chromosome:OR_W1943:2:16267699:16274933:-1 gene:ORUFI02G18060 transcript:ORUFI02G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMGALHLAAGKGRLEVCRYLVEELRLDVDDADQEGRTALIIATLCKHLSTVKYLLDHGADVNKASHDGRTPLHDATHLGDCGTVQLLLAKGACVDTVANCGTPLHVAASKGKDGAMKILLDHNADFNKMADGHLTPLATAITAGELKCVNLLIEAGAVVSGDCISTAAKGGSNECNYSMEETCANRNISDNGEPVSKRKATELKSLGNKAVEKKDYLSATVFYSKALLDAYECRKLRPDWPKAYYRQGAALMLLKDYESACETLYDGLKLDPGNSEMEDALREALESLKTSASTEAR >ORUFI02G18060.2 pep chromosome:OR_W1943:2:16267699:16274933:-1 gene:ORUFI02G18060 transcript:ORUFI02G18060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMGALHLAAGKGRLEVCRYLVEELRLDVDDADQEGRTALIIATLCKHLSTVKYLLDHGADVNKASHDGRTPLHDATHLGDCGTVQLLLAKGACVDTVANCGTPLHVAASKGKDGAMKILLDHNADFNKMADGHLTPLATAITAGELKCVNLLIEAGAVVSGDCISTAAKGGSNECNYSMEETCANRNISDNGEPVSKRKATELKSLGNKAVEKKDYLSATVFYSKVLQNTKSLCWHHMGNGGKALLDAYECRKLRPDWPKAYYRQGAALMLLKDYESACETLYDGLKLDPGNSEMEDALREALESLKTSASTEAR >ORUFI02G18070.1 pep chromosome:OR_W1943:2:16277410:16281528:1 gene:ORUFI02G18070 transcript:ORUFI02G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGVPSATGTGAAPSTAPGLGRRCTSGDGRGSSSFYRTGPRAMVVGEEGGEAVCPCRSPGMDKLVQFGRKAWFVVRVLSGYEERRIRAHRLQMQQQIARAQAKKEELRKQPEQIILSEVRQMVQQMQALNQQLEETETAIDEYFKPIDKNAKIITDMQLEKEEKQIKEMTKVMQDQIAMQREIASKRAQATAIESKDTQTSDKIAEGPPKEETMK >ORUFI02G18070.2 pep chromosome:OR_W1943:2:16277410:16281595:1 gene:ORUFI02G18070 transcript:ORUFI02G18070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGVPSATGTGAAPSTAPGLGRRCTSGDGRGSSSFYRTGPRAMVVGEEGGEAVCPCRSPGMDKLVQFGRKAWFVVRVLSGYEERRIRAHRLQMQQQIARAQAKKEELRKQPEQIILSEVRQMVQQMQALNQQLEETETAIDEYFKPIDKNAKIITDMQLEKEEKQIKEMTKVMQDQIAMQREIASKRAQATAIESKDTQTSDKIAEGPPKEETMK >ORUFI02G18080.1 pep chromosome:OR_W1943:2:16293841:16308342:1 gene:ORUFI02G18080 transcript:ORUFI02G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQWLHEPHGINLCFSTSSTLPRMNPSIETGTRNVTHVPSKNVSTSSSQARKTTVQKPKRKRHRPKVIKEGKATQAHKSTTSEPPKEKDKPAGKRKYVRRKEQNTTPTEHHPPSKDAVAHTIVVPTLAKRCFNFDGRDHHEENVDLLSQTRVEETPTCYGDAQLLTSAVEGSNIQLVQPWCGIGSPIFASVDPMANMRQIWAESSRANRVTFDLNNSAVNHIPRRFSNPTNSYGQNFQFGSREQINQYQHFYDDDIPDEIPENLVVPAWHTGRTWMVGNFNHEASTRVVNPMPQGYRVPQSPSEPPTCSERNTTNINLSEFPAKNDQSKFATNPNDQIGASFGLCDSHFSDVHAIGKKRGYDTITDHQVSFDAYLEQSNSRRQFYSDPLSTSSETYLLTETCKRMRSENHSSWLDGFIGNVSSTSANLSGNWNTNNVLAINHGVCTTLADVQRSMALEESRSSRQYTDPTLPCTSNTHFIGSCAQHTNSPDSAMNSLGENIGHRNGDHQLESLEIRPTQHYTSECLGLPNEWSGHLSAGHTHLPNETMIPSINKNWSCSVAWAASAAQPTATVSQVASAAAATSTAQPSEQRDYIPSSGVHQPQPLENQMVKGQDLCQTHKTSTKYVADGNPSINTPVEHIQRTPIEVMSSFQSVNRPATTKNCHLEASRETTSANPTEKPKVWSRPRKEVEPVGKPKARGHPKKQAEPDEKPKARGRVRKTTEANGKAEDRDPTMKENDEAIIEKLKLLSINMTSDNTVEETPKDLGALVPLEGKVKKRGSRAEVKIDPVTNLMWNLLMALDKCEGVEGIDEDKERLLEEERRMFRGRIDSFIAHMHLVQGDRRFSPWKGSIVDSVVDVFLTQNVSDHLSSSAFMALAARFPVKSEGPEKPAAVEKSTPTPPKQKDSCSGVLGESAKLQGNFFVEEIGDLGSFNTVDDGSLEGVLSSQNSVVSPRNFSKYLLNGTYTMGSSSSLVKFTQEVGSSGCHQVSVLPTSDLNKAAPFDLDTTYQICTGLDHGVNISDVAQSEVSLYQQHPIDASINKNKAKVTDYSSGSFLYDNRDGSLSQHMYSSFPFQPSQEAECSATVKQSFFQQFISSEEVPISTGHSFYDNSFTSNRTEDPYVEQQDCFNNLQEAYTTRTIQINSERSQPECSQQQDNDIRVQAKTCEKHSSSNLCGNMNSHSDVPLGIASGSIGKSKHTEKRPKARNVRGRTKMKHYDWDNLRKEVLHNHGNRQRSDKAKDTIDWEADFLNRLVRDHGSIDLEWLRDIEPDKAKGFLLSIRGLGLKSTECVRLLTLHQMAFPVDTNVARICVRLGWVPLQPLPESLQLHLLELYELHYQMITFGKVFCSKSKPNCNSCPMRAECKHFASAFASARLALPGPSKKTSKPEYPNDAESSHKKYTHSRPMGQLSWNTNHPGHVYEPSTPEPEPDIAEAREAEIEDFFSEDPDEIPIINLNVEEFAQNLKSYIHANNIEIEDADMSNALVAISPQAASVPTSKLKNVNRLRTEHQVYELPDSHPLLEGVKRHNQLMHPRHFATPRKLIPCRTAMRGSFPLNGTYFQVNEVFADHYSSKNPFDVPRSWIWNLPRRTVYFGTSVCQLKRYNIAFGEDLCASGALIGN >ORUFI02G18080.2 pep chromosome:OR_W1943:2:16293841:16308342:1 gene:ORUFI02G18080 transcript:ORUFI02G18080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQWLHEPHGINLCFSTSSTLPRMNPSIETGTRNVTHVPSKNVSTSSSQARKTTVQKPKRKRHRPKVIKEGKATQAHKSTTSEPPKEKDKPAGKRKYVRRKEQNTTPTEHHPPSKDAVAHTIVVPTLAKRCFNFDGRDHHEENVDLLSQTRVEETPTCYGDAQLLTSAVEGSNIQLVQPWCGIGSPIFASVDPMANMRQIWAESSRANRVTFDLNNSAVNHIPRRFSNPTNSYGQNFQFGSREQINQYQHFYDDDIPDEIPENLVVPAWHTGRTWMVGNFNHEASTRVVNPMPQGYRVPQSPSEPPTCSERNTTNINLSEFPAKNDQSKFATNPNDQIGASFGLCDSHFSDVHAIGKKRGYDTITDHQVSFDAYLEQSNSRRQFYSDPLSTSSETYLLTETCKRMRSENHSSWLDGFIGNVSSTSANLSGNWNTNNVLAINHGVCTTLADVQRSMALEESRSSRQYTDPTLPCTSNTHFIGSCAQHTNSPDSAMNSLGENIGHRNGDHQLESLEIRPTQHYTSECLGLPNEWSGHLSAGHTHLPNETMIPSINKNWSCSVAWAASAAQPTATVSQVASAAAATSTAQPSEQRDYIPSSGVHQPQPLENQMVKGQDLCQTHKTSTKYVADGNPSINTPVEHIQRTPIEVMSSFQSVNRPATTKNCHLEASRETTSANPTEKPKVWSRPRKEVEPVGKPKARGHPKKQAEPDEKPKARGRVRKTTEANGKAEDRDPTMKENDEAIIEKLKLLSINMTSDNTVEETPKDLGALVPLEGKVKKRGSRAEVKIDPVTNLMWNLLMALDKCEGVEGIDEDKERLLEEERRMFRGRIDSFIAHMHLVQGDRRFSPWKGSIVDSVVDVFLTQNVSDHLSSSAFMALAARFPVKSEGPEKPAAVEKSTPTPPKQKDSCSGVLGESAKLQGNFFVEEIGDLGSFNTVDDGSLEGVLSSQNSVVSPRNFSKYLLNGTYTMGSSSSLVKFTQEVGSSGCHQVSVLPTSDLNKAAPFDLDTTYQICTGLDHGVNISDVAQSEVSLYQQHPIDASINKNKAKVTDYSSGSFLYDNRDGSLSQHMYSSFPFQPSQEAECSATVKQSFFQQFISSEEVPISTGHSFYDNSFTSNRTEDPYVEQQDCFNNLQEAYTTRTIQINSERSQPECSQQQDNDIRVQAKTCEKHSSSNLCGNMNSHSDVPLGIASGSIGKSKHTEKRPKARNVRGRTKMKHYDWDNLRKEVLHNHGNRQRSDKAKDTIDWEADFLNRLVRDHGSIDLEWLRDIEPDKAKGFLLSIRGLGLKSTECVRLLTLHQMAFPVDTNVARICVRLGWVPLQPLPESLQLHLLELYELHYQMITFGKVFCSKSKPNCNSCPMRAECKHFASAFASARLALPGPSKKTSKPEYPNDAESSHKKYTHSRPMGQLSWNTNHPGHVYEAREAEIEDFFSEDPDEIPIINLNVEEFAQNLKSYIHANNIEIEDADMSNALVAISPQAASVPTSKLKNVNRLRTEHQVYELPDSHPLLEGVKRHNQLMHPRHFATPRKLIPCRTAMRGSFPLNGTYFQVNEVFADHYSSKNPFDVPRSWIWNLPRRTVYFGTSVCQLKRYNIAFGEDLCASGALIGN >ORUFI02G18080.3 pep chromosome:OR_W1943:2:16294743:16308342:1 gene:ORUFI02G18080 transcript:ORUFI02G18080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGYIVPENLVVPAWHTGRTWMVGNFNHEASTRVVNPMPQGYRVPQSPSEPPTCSERNTTNINLSEFPAKNDQSKFATNPNDQIGASFGLCDSHFSDVHAIGKKRGYDTITDHQVSFDAYLEQSNSRRQFYSDPLSTSSETYLLTETCKRMRSENHSSWLDGFIGNVSSTSANLSGNWNTNNVLAINHGVCTTLADVQRSMALEESRSSRQYTDPTLPCTSNTHFIGSCAQHTNSPDSAMNSLGENIGHRNGDHQLESLEIRPTQHYTSECLGLPNEWSGHLSAGHTHLPNETMIPSINKNWSCSVAWAASAAQPTATVSQVASAAAATSTAQPSEQRDYIPSSGVHQPQPLENQMVKGQDLCQTHKTSTKYVADGNPSINTPVEHIQRTPIEVMSSFQSVNRPATTKNCHLEASRETTSANPTEKPKVWSRPRKEVEPVGKPKARGHPKKQAEPDEKPKARGRVRKTTEANGKAEDRDPTMKENDEAIIEKLKLLSINMTSDNTVEETPKDLGALVPLEGKVKKRGSRAEVKIDPVTNLMWNLLMALDKCEGVEGIDEDKERLLEEERRMFRGRIDSFIAHMHLVQGDRRFSPWKGSIVDSVVDVFLTQNVSDHLSSSAFMALAARFPVKSEGPEKPAAVEKSTPTPPKQKDSCSGVLGESAKLQGNFFVEEIGDLGSFNTVDDGSLEGVLSSQNSVVSPRNFSKYLLNGTYTMGSSSSLVKFTQEVGSSGCHQVSVLPTSDLNKAAPFDLDTTYQICTGLDHGVNISDVAQSEVSLYQQHPIDASINKNKAKVTDYSSGSFLYDNRDGSLSQHMYSSFPFQPSQEAECSATVKQSFFQQFISSEEVPISTGHSFYDNSFTSNRTEDPYVEQQDCFNNLQEAYTTRTIQINSERSQPECSQQQDNDIRVQAKTCEKHSSSNLCGNMNSHSDVPLGIASGSIGKSKHTEKRPKARNVRGRTKMKHYDWDNLRKEVLHNHGNRQRSDKAKDTIDWEAVCQANDFLNRLVRDHGSIDLEWLRDIEPDKAKGFLLSIRGLGLKSTECVRLLTLHQMAFPVDTNVARICVRLGWVPLQPLPESLQLHLLELYELHYQMITFGKVFCSKSKPNCNSCPMRAECKHFASAFASARLALPGPSKKTSKPEYPNDAESSHKKYTHSRPMGQLSWNTNHPGHVYEAREAEIEDFFSEDPDEIPIINLNVEEFAQNLKSYIHANNIEIEDADMSNALVAISPQAASVPTSKLKNVNRLRTEHQVYELPDSHPLLEGVKRHNQLMHPRHFATPRKLIPCRTAMRGSFPLNGTYFQVNEVFADHYSSKNPFDVPRSWIWNLPRRTVYFGTSVCQLKRYNIAFGEDLCASGALIGN >ORUFI02G18080.4 pep chromosome:OR_W1943:2:16293841:16308342:1 gene:ORUFI02G18080 transcript:ORUFI02G18080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQWLHEPHGINLCFSTSSTLPRMNPSIETGTRNVTHVPSKNVSTSSSQARKTTVQKPKRKRHRPKVIKEGKATQAHKSTTSEPPKEKDKPAGKRKYVRRKEQNTTPTEHHPPSKDAVAHTIVVPTLAKRCFNFDGRDHHEENVDLLSQTRVEETPTCYGDAQLLTSAVEGSNIQLVQPWCGIGSPIFASVDPMANMRQIWAESSRANRVTFDLNNSAVNHIPRRFSNPTNSYGQNFQFGSREQINQYQHFYDDDIPDEIPENLVVPAWHTGRTWMVGNFNHEASTRVVNPMPQGYRVPQSPSEPPTCSERNTTNINLSEFPAKNDQSKFATNPNDQIGASFGLCDSHFSDVHAIGKKRGYDTITDHQVSFDAYLEQSNSRRQFYSDPLSTSSETYLLTETCKRMRSENHSSWLDGFIGNVSSTSANLSGNWNTNNVLAINHGVCTTLADVQRSMALEESRSSRQYTDPTLPCTSNTHFIGSCAQHTNSPDSAMNSLGENIGHRNGDHQLESLEIRPTQHYTSECLGLPNEWSGHLSAGHTHLPNETMIPSINKNWSCSVAWAASAAQPTATVSQVASAAAATSTAQPSEQRDYIPSSGVHQPQPLENQMVKGQDLCQTHKTSTKYVADGNPSINTPVEHIQRTPIEVMSSFQSVNRPATTKNCHLEASRETTSANPTEKPKVWSRPRKEVEPVGKPKARGHPKKQAEPDEKPKARGRVRKTTEANGKAEDRDPTMKENDEAIIEKLKLLSINMTSDNTVEETPKDLGALVPLEGKVKKRGSRAEVKIDPVTNLMWNLLMALDKCEGVEGIDEDKERLLEEERRMFRGRIDSFIAHMHLVQGDRRFSPWKGSIVDSVVDVFLTQNVSDHLSSSAFMALAARFPVKSEGPEKPAAVEKSTPTPPKQKDSCSGVLGESAKLQGNFFVEEIGDLGSFNTVDDGSLEGVLSSQNSVVSPRNFSKYLLNGTYTMGSSSSLVKFTQEVGSSGCHQVSVLPTSDLNKAAPFDLDTTYQICTGLDHGVNISDVAQSEVSLYQQHPIDASINKNKAKVTDYSSGSFLYDNRDGSLSQHMYSSFPFQPSQEAECSATVKQSFFQQFISSEEVPISTGHSFYDNSFTSNRTEDPYVEQQDCFNNLQEAYTTRTIQINSERSQPECSQQQDNDIRVQAKTCEKHSSSNLCGNMNSHSDVPLGIASGSIGKSKHTEKRPKARNVRGRTKMKHYDWDNLRKEVLHNHGNRQRSDKAKDTIDWEADFLNRLVRDHGSIDLEWLRDIEPDKAKYELHYQMITFGKVFCSKSKPNCNSCPMRAECKHFASAFASARLALPGPSKKTSKPEYPNDAESSHKKYTHSRPMGQLSWNTNHPGHVYEAREAEIEDFFSEDPDEIPIINLNVEEFAQNLKSYIHANNIEIEDADMSNALVAISPQAASVPTSKLKNVNRLRTEHQVYELPDSHPLLEGVKRHNQLMHPRHFATPRKLIPCRTAMRGSFPLNGTYFQVNEVFADHYSSKNPFDVPRSWIWNLPRRTVYFGTSVCQLKRYNIAFGEDLCASGALIGN >ORUFI02G18080.5 pep chromosome:OR_W1943:2:16294743:16308342:1 gene:ORUFI02G18080 transcript:ORUFI02G18080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGYIVPENLVVPAWHTGRTWMVGNFNHEASTRVVNPMPQGYRVPQSPSEPPTCSERNTTNINLSEFPAKNDQSKFATNPNDQIGASFGLCDSHFSDVHAIGKKRGYDTITDHQVSFDAYLEQSNSRRQFYSDPLSTSSETYLLTETCKRMRSENHSSWLDGFIGNVSSTSANLSGNWNTNNVLAINHGVCTTLADVQRSMALEESRSSRQYTDPTLPCTSNTHFIGSCAQHTNSPDSAMNSLGENIGHRNGDHQLESLEIRPTQHYTSECLGLPNEWSGHLSAGHTHLPNETMIPSINKNWSCSVAWAASAAQPTATVSQVASAAAATSTAQPSEQRDYIPSSGVHQPQPLENQMVKGQDLCQTHKTSTKYVADGNPSINTPVEHIQRTPIEVMSSFQSVNRPATTKNCHLEASRETTSANPTEKPKVWSRPRKEVEPVGKPKARGHPKKQAEPDEKPKARGRVRKTTEANGKAEDRDPTMKENDEAIIEKLKLLSINMTSDNTVEETPKDLGALVPLEGKVKKRGSRAEVKIDPVTNLMWNLLMALDKCEGVEGIDEDKERLLEEERRMFRGRIDSFIAHMHLVQGDRRFSPWKGSIVDSVVDVFLTQNVSDHLSSSAFMALAARFPVKSEGPEKPAAVEKSTPTPPKQKDSCSGVLGESAKLQGNFFVEEIGDLGSFNTVDDGSLEGVLSSQNSVVSPRNFSKYLLNGTYTMGSSSSLVKFTQEVGSSGCHQVSVLPTSDLNKAAPFDLDTTYQICTGLDHGVNISDVAQSEVSLYQQHPIDASINKNKAKVTDYSSGSFLYDNRDGSLSQHMYSSFPFQPSQEAECSATVKQSFFQQFISSEEVPISTGHSFYDNSFTSNRTEDPYVEQQDCFNNLQEAYTTRTIQINSERSQPECSQQQDNDIRVQAKTCEKHSSSNLCGNMNSHSDVPLGIASGSIGKSKHTEKRPKARNVRGRTKMKHYDWDNLRKEVLHNHGNRQRSDKAKDTIDWEAVCQANDFLNRLVRDHGSIDLEWLRDIEPDKAKYELHYQMITFGKVFCSKSKPNCNSCPMRAECKHFASAFASARLALPGPSKKTSKPEYPNDAESSHKKYTHSRPMGQLSWNTNHPGHVYEPSTPEPEPDIAEAREAEIEDFFSEDPDEIPIINLNVEEFAQNLKSYIHANNIEIEDADMSNALVAISPQAASVPTSKLKNVNRLRTEHQVYELPDSHPLLEGVKRHNQLMHPRHFATPRKLIPCRTAMRGSFPLNGTYFQVNEVFADHYSSKNPFDVPRSWIWNLPRRTVYFGTSVCQLKRYNIAFGEDLCASGALIGN >ORUFI02G18090.1 pep chromosome:OR_W1943:2:16317627:16318301:-1 gene:ORUFI02G18090 transcript:ORUFI02G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPAAIVLPSEGDDADGMVLLRDGSDGLPPLKVDRETHRQVLLRFYMACCISDFKHDIRELVEAYLKPCHELELEWTRQLSADRAAAMDHLLFVGLDATEPPEPQQQLQEEEEEDPPVSAAGFVDRVHMRRMIQAFFHPDMADVEDEGKDTRIDRSLMANFMAATGFVNRLWDARRIIAVCRDGGMDDAAREAAAAGMLRRLEELLNGRLVEPRRSPLGDSS >ORUFI02G18100.1 pep chromosome:OR_W1943:2:16345735:16350306:-1 gene:ORUFI02G18100 transcript:ORUFI02G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTAAAAAASSMSPQAGSATRAAHGTGERSALPRYVRAREASKHGTGAPSPGGGKGRGGTGMRSAQELASACTGAAVEEVELLRVVEANARDGMGGSRGESSSAPPPPPRSRVLRQGGLEEEYAKNSPSPLGFAPRLIRHDRQTSYELASTSRPPAPEMGEPLRIITKKAMSEKNRAYTGGNQGRSSSALLPQSRFWQGMCEEAKKVLGIAKYSSSPSRLAARILCDKQVLPESAGTSRPVLEFVDESYQQWLCSQKSTSLVADVIAAVYHDQSVLSPSASTGTLSSLLVPSEEKIQAILGNLGKSVLCTEALHQIRILCDSSKGAQSFLNKCPDMIQVLIDLTTEWKSIWTWALEEERLSIVLSLSIHRPNRERIAAQKKLPCFLKQTVEVAIESGASAAASLVKVASIVSILSEFDMFRKSVLDIGVVTLLCNLLNFENDAVRKEAAIAVLALCGYIGNNMVTDDVLLLLDYLPKGPCVLEVICNQTVVEQLVNIVMAEHESGLVTSQGIYSALSLILVITQNDVSKMKVEHMENFMKWLRELSSNELPMQTMFQLIEIISLLSQRLYSHKPKEFVVKWRDDDDQIWYPLYAEVTIGRPISTSKVARRREWTDIRIVRRLRPLRAQEKMLTKLKFCDRYQNYIQGKNTYKQLYRVAMDCNGSKIAPLSFVRKNHAVPGDNCNRPDF >ORUFI02G18110.1 pep chromosome:OR_W1943:2:16354375:16354903:1 gene:ORUFI02G18110 transcript:ORUFI02G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFLPFLLHSPVCSVYRSVAARPLGCCSTQGIIELIMSDQSFTVLVFSSALITAIHCASSSQAELHASSPASFPRSACSTSSVVARAEQIPEPALLLRLPSPLPPPPDFLRRDVERRDTGTVIDGGEGREVDPAH >ORUFI02G18120.1 pep chromosome:OR_W1943:2:16355731:16358735:1 gene:ORUFI02G18120 transcript:ORUFI02G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPLGKRRVEKKQQLRRGEARRGGNRGAREREREREREMSILWEKSAGWRWLVRRTRDSKPFFFTFAALCGVVPGVVGYGVMQLTSSRNDQLEAHLRSTARPETTMMGQVNRERLAEFLGELQRKEDTNDRYVAALKGETLTRKRYERIQPVNKEGTPESPPVKEQATTESVKAK >ORUFI02G18130.1 pep chromosome:OR_W1943:2:16360766:16364303:1 gene:ORUFI02G18130 transcript:ORUFI02G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAGARGGGAGGGGGGPAPFLLKTYEMVDDPSTDAVVSWSDASDASFVVWNHPEFAARLLPAYFKHSNFSSFIRQLNTYGFRKIDPERWEFANEYFIKGQKHLLKNIHRRKPIHSHSHPPGALPDNERAIFEDEIERLSREKSNLQADLWKSKQQQSGTMNQIEDLERRVLGMEQRQTKMIAFLQQASKNPQFVNKLVKMAEASSIFTDAFNKKRRLPGLDYSIENTETTSFYDDHSSTSKQETGNLLNQHFSDKLRLGLCPAMTESNIITLSTQSSNEDNGSPHGKHPECDMMGRECLPLVPQMMELSDTGTSICPSKSSCFAPPISDEGLLTCHLSLTLASCSMDVDKSQGLNANGTTIDNPTEAATATMEKDDTIDRSFDDNQKKSADSRTADATTPRADVRVASEAPAAPAAVVNDKFWEQFLTERPGCSETEEASSGLRTDTSREQMENRQAYDHSRNDREDVEQLKL >ORUFI02G18140.1 pep chromosome:OR_W1943:2:16365022:16365572:-1 gene:ORUFI02G18140 transcript:ORUFI02G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQATKFAELNQETLNQNTRSTQKWRNPGVEMLKINVDGAYTPQTGNGGWGYVIRDDAGSLIESGAGRITHLMDAFHYEVLALRAGIEAAARKRHDESNWKLTIDALDEPQVGCSRSCNKVAHPLAAYGCNCSQLTEISWDGIPPKLEDLVASDSVVPVV >ORUFI02G18160.1 pep chromosome:OR_W1943:2:16373745:16374652:1 gene:ORUFI02G18160 transcript:ORUFI02G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVWTHHIHVVEGDEEAGGMVVVWSAPTASTSPPSWSNKMVVTSPMWSNIPTAAPLPTPSYKWVVEGTVWSELQGGSDVPDFEEKVGAGVPNGEQQLNNGLFADLDDQQMDDVEEPIDVEEMAILGDDDDTVAGDEGIDEFAEIREELSHFITLLCLTLTLEEYC >ORUFI02G18170.1 pep chromosome:OR_W1943:2:16379149:16388014:1 gene:ORUFI02G18170 transcript:ORUFI02G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEESLDHPGSLSGMSPATPDVAWKPAERRRRRSEADAEGSSCCSLSAATAAWVGAGNVESDDPSVRSVAAGEQCRSVSRPEEEEEECASCTQDSTVSPPVSECGDRTAQQEPSTQEYIVSPPPVSECGDKVVQQESSNQESTVSPPPVSECGDKIAQQGSSTRESTVLLPVSECCNKVAQQESSTQESTVSLPVIECGDKVAQQESSTQESTVSLPSSECGDKVAQQESSTQESTVSPPVNECGDKVAQQESSTQESTVSLPSSECGDKVAQQESSTQESTVSPPVSECGDKIARQEGAASAIPTPEKVEATPRRPRKRSTKGLTRFKIMKDHKAAQRTATPVEVKIKRKAKDNGRRPLGDKSVRRKLNFEGDAVDFEGNREFSRAKLMEDLRCLAKVHGLRDDLGAGKRSKKGKKRKKMTGEHQDNGESALVPYQKAPAATSSSALVPIQNSTELAIVHHRNHLKNLRTKVLGLDEKTLQVYNVLRKWDETDSESFEGVDIGSGPEWNETRRHFEHYVDVFIATVHGLLGPRRFSEWGGSVTDSVVGTFLTQNVADNLSSNAFLNLVAKFPPTKRHINAEACSNLSLLIDDMRRKLNLNEQSNGTDSGSSDFTKPVDFEKENGYNEEVKGNYGRDYSTIIENFISIIEKHHKDMSTWDNARLENMVKDKSGTPVCSHRTLRKFMDTFEEKDTSHWDKLREEAYSKGYKIKGTGISDSADWEAVLHAPAVEIANSIAVRGQHYVIALRIQAFLKRVKKDHGNFDLDWLRYVPRESAKNYLISILGLGDKSVDCIRLLSLKHKGFPVDVNVARIVTRLGWVKLQPLPFSAEFHLVGLYPIMRDVQKYLWPRLCTISKEKLHINVYIVNIDCVLFPLRRQSAQKLSLPPAEGHGHEYGEEQASTATPGRLLLSNDSHIAGFQQVCQPQIKINMPAGRESIYKCEPIIEIPPSPEHEYEESPYEQELYEDDLCDIEDTIPELQYDFEIDLCSLKHTVNNGSWTPNSGKDLALINSQHASVQNKKLKNIGRLRTEHNAYVLPDDHAILEEFEDRVPEDPCPYLLVVISCSDEHTVKGTILVFADHSSSRSPITIPRECIWNLDRCIVYFGSSIQSIMKGQTRQDIEDCYKKGYICVRGFDRNTRYPKPICAKLHATNERNGTGENSRKKKKTSQEGKKIDDKSSFGKLEIN >ORUFI02G18180.1 pep chromosome:OR_W1943:2:16389143:16390030:-1 gene:ORUFI02G18180 transcript:ORUFI02G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPLPSTPLGSPPLPSLPLQIQRRGGMGAAAAAAGAGGGDDGGPWLLPSLSPPRWAANREHGHHRLTAASMHSESEVARMSLDLPLLKILKWDTLWNLM >ORUFI02G18190.1 pep chromosome:OR_W1943:2:16399016:16404731:1 gene:ORUFI02G18190 transcript:ORUFI02G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPLALEEYYEAEHRAPRIAAGEVEWEPYRSQEANELLKTVNDAGVALGVAPESERESSTLRSALHTLRVRCRKLAARLGCRALDVAEPQVRRTVRTTGQSSRTARGPHAADEDEEHEEEDEEVGGEEEEEEDEEEQDEIGPSQLHDAPQPSQGSRPRRVVKQPDRWTPGQVRKKSKN >ORUFI02G18200.1 pep chromosome:OR_W1943:2:16415552:16415833:1 gene:ORUFI02G18200 transcript:ORUFI02G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSKRGGGGGEGEGILASFSRSSVAAHGREAATMAKKLLRSTGKAAWIAGTTFLVLVVPLIIEMDREQQLNDLELQQQALLGGPPPPAPLK >ORUFI02G18210.1 pep chromosome:OR_W1943:2:16416842:16422896:-1 gene:ORUFI02G18210 transcript:ORUFI02G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTDAHLPCFQLSVLPQACLPPFLLVAPPFFWIGNIDSCGCHSLLGGVSLWTLSHLWVKTQSNFWAGVSNGFGRCSRPWRLRLKGHALPSVSLVSTWSEAKTLGTRGVGSRSSAAAALSVGSPRCGPHGVATAAMRGCVTSVLGGGGGSRRRQPSARAARRDDSGYVRPHDVGPLRRLSASAAGTGSAAGSRRRRRRVGAGELPVRPRPAPRRAGENPRHDTTPQVILGRAWAGTARRGPTCLWAVLGPCLLHLGLAWPGTISRPCWASTAHSSTKHDGSGRAVLARHGPSPSSISRSANGVVKRSREFCSKHEDEDSHPVYNKYNPTIHGPKKKTKNKAPMETQELVRSNHTPYIIYRFPLVVDALQDPPSPPWLGNDIDTLPQVGNPEDQPDKARERPSTDREEATQSHIINTGVKSEEMGSSHIRRLQTLYKQQGARDGQLELAKHSEGLGHEPGSLSLRSTQKASAMSPRNMLTATAVHHRSQGQS >ORUFI02G18220.1 pep chromosome:OR_W1943:2:16437352:16437813:1 gene:ORUFI02G18220 transcript:ORUFI02G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLVVVKLALWLLASCAEAVATALLRGAALLLLAAARLLTLPGKAADAALGAARGAVTAAVELTAGVVRDAAASVASALLEALWGAVAGAAELAASAAAGLAEAARDGGVEAARAVAAAVEGAAEVAAGAVAKVWESYVDALGALVLENRS >ORUFI02G18230.1 pep chromosome:OR_W1943:2:16439636:16456882:-1 gene:ORUFI02G18230 transcript:ORUFI02G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEDFLVVNTVPMVAYKDVHYFPCTLYCPCQKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFRLKLENLQTLKDQAYRLRDNIAQDQEKSDALKIQMEELRTNVQGVEDKIRRTEKSLADLRRLQQEINSSTSARTTYFTLQQQQYAALSEENEDTDDELKEWQTKFEERMALLQNKISKLERDVDDENTTSSFLSKAINDLMRETGRLQAEADAHMSVKHERDSAIRKIFTKHNLGPIPDAPLTDAAAMHLTNITKAKLSNLNDDLQDKKKSNEAQKQFLWGRYLEVNTRYSEVVGQIESKVASKKGISRRMKDKESERDAAEMDLSKYNLPRIDEKERHLQIEVERKALALGERNYDSIVNQKRTEIFSLDQKIKTLQFDEHKDKIRIVFKGRTPSEKEVKKELSQAFGSVDREYNDLNSKSQEAAQELKLVQMKILDARSHLSKLQKELDGQGGGVGNYDDDALSATRDGAAFSAIVPVRGCGGGTGDDDALFTAIGPIGGGAAAPGCSAIFSVVVRIRGCGSAVTPHNSPVGARWGTINSAIAMEDHRVASSPFPSATTAAARDLPAASSSGGCDYAERCSTTGSITCGANGVGSRRATRAGKGGASAAAQREQHACQPPGGGAVYMSSRCATRVGAGGSWFLATPRRQPFSHAAGAHSRYGASSCGDRGMHGARDATSGGGVRDGNGSSFVPAVRNLSYAKGMRQMYEPFENLARELHMCPCCQRAFTPDEEDEFVKKQRTTCESTAERMNKISLECSNAEDFFQQLNKLNATYEEFVKLGKEAIPLAEKNLKQLLADESEKAQTFDDFVSVLAQVKMDKDAVQVLLQPVETIDRHVQEIQQLGPQVENLEYKLDVRGQGVKSLEQIQLELNSVQRTRDTLNNEVDDLRDQQRTLTDGLTNAQMRWHDIREEKLKASEESLGPLSKERESLLQEHEALKEKLDQEYHQLAERKREFQQEIDALETHNERIKGYLNSKKGEKLNELQEKHTQLQSDLQKSKERKEEKSAELSKNKELLKSQDQLKRNIDDNLNYRRTKDEVERLTHEIELLEDKILSIGSLSTIEADLKQHSQEKDRLLSEYNRCQGTQSVYQSNISKHKLELKQTQYKDIEKRYFNQLLQLKVDGMNVQTTEMANKDLDRYYAALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDYISINSDSEGAGTRSYSYRVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAGALLRIMESRKGQENFQLIVITHDERFAQLIGQRQLAEKYYRVSKDEHQHSKIEAQEIFD >ORUFI02G18240.1 pep chromosome:OR_W1943:2:16460087:16464827:-1 gene:ORUFI02G18240 transcript:ORUFI02G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQASPSPSAQVVGNAFVQQYYQILHQSPDLVYRFYQDASRLGRPPADRYGDMVSVTTMEAINEKIMAMDMSRAEIKTVDSQESLGGGVTVLVTGHLTVRDGVCREFSQSFFLAPQEKGYFVLNDMFRYVGDGPTPAAAAAAEVQPEADAVAPPLANGTATAPLQPAAPDYDAMPHEEPDVVENVAVPPEEEEEVYNPPLEEVEGGAVEEEQSVPEVINEVPNNVVPVVAPADAPVSHEEAPKKSYASIVKVMKEAPVPAPIPATRPAPAARPAPPKPEKQSPAPPAPAPVADATPFSSNAESSNTHEPEVDAHAIYVRSLPLNATTTQLEDEFKKFGTIKPDGIQVRSHKIQGFCYGFVEFEEATAVQSAIEASPVMIGGRQCFVEEKRTPGSRGSSRGGRFAPGRGNNNFRADGMRGRGNYSGGRSYGRGDFSYRSDYGGRGGGRGGSARGPDVGYQRVDGGRGGRTSAGPGAPAK >ORUFI02G18250.1 pep chromosome:OR_W1943:2:16476598:16486630:1 gene:ORUFI02G18250 transcript:ORUFI02G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSIDNVRGLTLAISSSAFIGSSFIIKKIGLKKAGDSGVLEVSHTCMSHYVILGEVANFAAYAFAPAVLVTPLGALSIIFSAVLAHFVLKEKLHMFGVVGCILCVVGSVGLVLHAPKEREIDSIDEIWHLATEPGFIVYSCVAVVSVLFLIFWVAERSGHRKMLVYIAICSTMGSLTVISVKAVAIALKLSFGGSNQFIYIQTWFFILNYLNKALDSFNTAVVSPVYYVMFTILTIFANMIMYKDSFSRNATQIATQLCGFVTIVAGTFLLHKTRDMGNEPPLPDDEICLDGGSVRPDRLSQSSS >ORUFI02G18260.1 pep chromosome:OR_W1943:2:16488799:16489206:-1 gene:ORUFI02G18260 transcript:ORUFI02G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCDDDGGCSWCWCPRDGGDLARSGAGSDHDQIRTTTTKVLDDCIIGKVATKQASTQRSGYGYVSIAVERLRQVLDCRGSACHARRLHDALEATVISMVYRRWWWRSKF >ORUFI02G18270.1 pep chromosome:OR_W1943:2:16506370:16509510:-1 gene:ORUFI02G18270 transcript:ORUFI02G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGALPVVAGVVLVLLVVAARGASAAGGGGGGGAAAAAVNGDRLRAEQIRKQAKDAAASAAALAAASRRLHLDRARHLRLLSSLHRNLTATLRDLSAAASASASASASEAGYASAGDEVRRLDLQAKDLIRAARAAIADAKPLFDPQLKIQRLKDAIFAQNELLARAKKRGAFASLIAAKSIPKPLHCLAVRLTAERIARPENYADPVPPPHALEDPAMFHYAIFSDNVLAASVVVRSAVANSLDPSKHVFHVVTDRMNLGAMQVIIRLMDLKGAHYEVKAFEDYKFLNSSYVPVLRQLESANLQKFYFENKLENATKDASNMKFRNPKYLSMLNHLRFYLPEMYPKLHRILFLDDDVVVQQDLTGLWKIDMDGKVNGAVETCFGSFHRYWQYMNFSHPLIKEKFNPNACGWAYGMNFFDLDSWRREKSTEQYHYWQSQNENRTLWKLGTLPPGLITFYSTTKPLEKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIGMNQFRHLWTKYVDYDDSFIRQCNFAPP >ORUFI02G18280.1 pep chromosome:OR_W1943:2:16510008:16511734:-1 gene:ORUFI02G18280 transcript:ORUFI02G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLDNGHVLEGAGNINIYIGEHRAGALLPLYMRHPRAHLLPHKVASLSPSSPSSSASTHMLLLLLFLAVLAGLTFLLHLSVLVARVTELCLDHVRVNPSPFPAIDLKVTTEEGSSSGDRRGRRSSAVDERREEREMAMHHSQQSMWPKGQGLAPAQKIRQ >ORUFI02G18290.1 pep chromosome:OR_W1943:2:16521634:16528020:1 gene:ORUFI02G18290 transcript:ORUFI02G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKDSLPVDFPKPSDNENSVEVAHPNIKPFSVHPLPLTKNSDVLLESSNGSDSLKEEKNHYYPGKEIKRRKRHRRKQYVDQEPCIMRGVYFKNMKWQAAIKVDKKQIHLGTVGTQEEAARLYDRAAFMCGREPNFELSEEEKKELQNYTWKDFLDMTRDTITSKKQRKVGLIRRNKTDSLVGQSDGDTEMINGGGSSHSEDGDAETSAS >ORUFI02G18300.1 pep chromosome:OR_W1943:2:16557948:16559903:-1 gene:ORUFI02G18300 transcript:ORUFI02G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGEQTPGQATEAAREMDGDGGRDGGEWRREVYHLKRENKAINDLIGKAIHEADRRGAKVFSLGLLNQARNLNGNGEHYLHQQQPKFED >ORUFI02G18310.1 pep chromosome:OR_W1943:2:16559992:16561042:1 gene:ORUFI02G18310 transcript:ORUFI02G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLRRVASPRHRRHVFLPMDRRPLLHSTAVTIDLAAVAALPSPSSPAPFSVDIDLAAVAVHPSSSTAAPFSTMPVPLVSTCIRRSRSVCKMVACAKGIRMPAKGLSVFRCDVEVDARR >ORUFI02G18320.1 pep chromosome:OR_W1943:2:16560754:16561862:-1 gene:ORUFI02G18320 transcript:ORUFI02G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHIEEVEVKRIRMNVLTQPEFLNDDVMDAYIQCLRYNEKGIRGDGKAFLEMAIKTGLLNVEGAHVEASKPRDKRWIRDMARDYLAFDMIFLLINIKDTHWYLAVLNAKRREVQILYSLAKPISKDRPDLRRVKDVKTFRQDLAGILINSELSKIKDRPLLPTTT >ORUFI02G18330.1 pep chromosome:OR_W1943:2:16563301:16564983:1 gene:ORUFI02G18330 transcript:ORUFI02G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSVYPEADSAVQVYPEAELDDLTCGDENMLAMSNVLKNKKSARLKNLVLARNSFSITVYNINTLSNLVYVGRVQITVDGDGRHIVYPRNAPTAEDIASGKVKNIHFIMRTDFRDWQMMKDVVVDGEELMHNPQLTTHGTNASNTSQDEEAAATTTCEKMEMREAHHKLNRSLFQDDDK >ORUFI02G18340.1 pep chromosome:OR_W1943:2:16607774:16608761:-1 gene:ORUFI02G18340 transcript:ORUFI02G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVARAYGIIDELLARRKGGREAGEPRKDDMLDVSLDNEDEWKNNNPVIDRNNIKGLIADLFVAGTDSSSTAIEWAIVELLQNPQSMQKVKDEFRRVLGTRTEESDISQLPYLQAVLKETLRLHPSVPMTYYKAEATVEVQGYIIPKGTNIILNIWAIHRKPDVWADPDRFMPERFMETDTNFFGKHPEFIPFGGGRRICLGLPLAYRMVHMVLASLLFHFDWKLPEGAEKDGVDMREKYGMVLHKETPLKALAIETYNRK >ORUFI02G18350.1 pep chromosome:OR_W1943:2:16608936:16609634:-1 gene:ORUFI02G18350 transcript:ORUFI02G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAWLLVAIVLASLYLAMHHRVAAARRRRLPPGPTPLPLVGNLLSVSRSGPHRSLARLAERYCPLMRVRLGVVDYVVASSPAVAGDIHHHSHNAHLASRPLFDVWRGAEHHRNSVIVLPLHGVWRAQRRLATEEVMSPRRLDALAPTRREKVRELVRCVAGRAARGEPVEVGLEAFEAFLGILSCTAFSADLVDPDLRDAVQEATKLAATPNASDFFPAMAAADLQAEF >ORUFI02G18360.1 pep chromosome:OR_W1943:2:16641946:16646581:1 gene:ORUFI02G18360 transcript:ORUFI02G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRGQSPDRGSDASGPKQSSVSSHGRQRNSSSSICKDFLRKFVDNELLTSSLEDWFSGHSEDCGFKKPAFDVPFDLSELQNFDYAIEGVTFQQLVRMPNALHASTSDIFEATAHLALEDFLHASIKGLWETFWGPDESMPFSVACIHSTSSKFYPAEKAISSGRLDGVCATAVLLKSLKHSQGRWDHIVVLALLRPDIGMFSGQNDQQPSPAVLGEALFFALRVLLSRSLSRSSTVLQNSDCVYVLLVDSQFGGVVNVQGDLNKLDFDLNNVYDSAAEWIKKHAQITVSSIDRVWNKLGNANWGDIGTLQVLLAIFHSMIQFCGAPKYSLDELATEHSSRLQSRRSERHLVGRQANTNGLFRFQQQSHSPEIVEVQEEGAVNVKPKEILKLEVGSVVLMEDADWQKGFQINDILTDSEPPIYSATPVEEPTKTHLLYVGSSPSHLEPAWEDMNSWYQVQRQTKVLTLMKQRGISSRYVPQMVASGRVVHPGPCNKPNSSGSCGHPWCSTPILVTSPVGETISNLIRNGLFGVEDALRCCHDCLSALAAASSAGIRHGDIRPENVIRVSNGSRHPYFVLIGWGHAILEDRDRPLMNLFFSSTFALQEGKLCAASDAESLIYLLFFCCGGVCPELDSVEGALQWRETSWSRRVIQQKLGDVSAVLKAFADYVDSICGTPYPMDYEIWLRRLRRTINEDHGKEIDTSS >ORUFI02G18370.1 pep chromosome:OR_W1943:2:16650116:16650472:-1 gene:ORUFI02G18370 transcript:ORUFI02G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLEKAALMPSSMYPRSLAVVEEEGQHRAPAIRKKGRRRQHQGGREVPPADASRGGSSGGWGERRQHSLQEEVAAFASYRGDGGVWDSFYEVGSWMNFLRIWGYSARKLTFPDLAK >ORUFI02G18380.1 pep chromosome:OR_W1943:2:16654950:16655184:-1 gene:ORUFI02G18380 transcript:ORUFI02G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIAGNESLADDALKHAPERRSKPLHREVCLDFLFKTPQESLSETLGARRTRPFFLGADLNLP >ORUFI02G18390.1 pep chromosome:OR_W1943:2:16673064:16676370:1 gene:ORUFI02G18390 transcript:ORUFI02G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQELVPSPWPSSSSFLVLVLATLLFVAAFLWRRQGARRKYNIPPGPRPWPVIGNLNLIGALPHRSIRDLSRRYGPLMSLRFGSFPVVVGSSVDMARYFLRANDLAFLDRPRTAAGRYTVYNYAGVLWSHYGEYWRQARRLWVTELLSARRLASTEHVRAEEVRAMLRGLSRRAGAGTAVVLKEHMLMVTLNVISRMGYIGRMKRLGGMFDRFLEHILDEHVERRRREGDGFAARDMVDLLLQFADDPSLKVPIQRDGVKAFILELITGSTDTTSVSVEWAMSEVLRNPSVLARATDELDRVVGRRRLVAEGDIPNLPYLDAVVKESMRLHPVVPLLVPRVSREDAFSVSVAGAAASYDIPAGTRVLVNVWAIGRDPAVWGDDAEEFRPERFAAGGERGGVDVKGQDFELLPFGSGRRMCPGFGLGLKMVQLTLANLLHGFAWRLPGGAAAEELSMEEKFGISVSRLVQLKAIPEPKLPAHLYDE >ORUFI02G18400.1 pep chromosome:OR_W1943:2:16676956:16677533:1 gene:ORUFI02G18400 transcript:ORUFI02G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILEDQTRLSPKTPSKGKHPTQNSALRQVHTDTGHLKHRRDPKALTTDTLDPKHGQTLLLNNRKAPRPGLETAKRREKHQGELRAEVRGNKDHCVFHDSGKELRLSRDNPYDCSNHHQLHRQRRERYTRASRRATKKKRW >ORUFI02G18410.1 pep chromosome:OR_W1943:2:16677585:16678019:-1 gene:ORUFI02G18410 transcript:ORUFI02G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEFSPAVGSGGGEGSQLDAVAGSRRCVGGGVVGRREAEVARLAAAAAASGDLRGGRIVAQVCSRGGGHARSCGRRSVGGGLPVPAWQRGISRLRVGESGVRPPPLSLATAEAVPATTRFFFLAVFLLSLFLSVPPAIAGSC >ORUFI02G18420.1 pep chromosome:OR_W1943:2:16683090:16688563:1 gene:ORUFI02G18420 transcript:ORUFI02G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRALWWWRRWVAVAGAVAWLLAAAVRPAGAAWCIARSGAAERTVQAALDYACGPAGGADCAPIQASGLCYLPNTLAAHASYAFNSVFQRARAAPGACDFAGTATITLTDPSYGSCTYPASPSTAGQSGSTGGIPSPPAADGGGLSPPDLGSGDDSGAAARSRLAAAPFLPRLALSCLLVYLLHTQRW >ORUFI02G18430.1 pep chromosome:OR_W1943:2:16702379:16704188:1 gene:ORUFI02G18430 transcript:ORUFI02G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVDELRGKNKAELQAQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQRAALREAYKKKSLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAIKA >ORUFI02G18440.1 pep chromosome:OR_W1943:2:16704737:16708669:-1 gene:ORUFI02G18440 transcript:ORUFI02G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIAAAKAAAAAAVFQSPCSPAPAASFPARSVRPDRRRAVSLSVSGVRTHVAAVEQAVVQDAIAQSEAPVVVVTGASRGIGKAIALAFGKAGCKVLVNYARSSTDAEEVCKEIEGFGGQAITFRGDVSNEADVDSMIKAAVDTWGTIDVLVNNAGITRDTLLLRMKKSQWQDVVDLNLTGVFLCTQAATKVMMKKKKGRVINIASVVGLTGNLGQVNYAAAKAAVIGLTKTTAREFASRNITVNAVAPGFISSDMTSQLGEEIEKKNLITIPLGRYGEPEEVADLVEFLALSPGGSYITGQVVRT >ORUFI02G18450.1 pep chromosome:OR_W1943:2:16718319:16729921:1 gene:ORUFI02G18450 transcript:ORUFI02G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTLLIALTLVLLLLLLTARRRRSGRLRLRLPPEPPGLPLVGHLHLFRKPLHRTLARLAARHGAVFRLRLGSRRVAVVVSSAPAAEECLGAHDVAFAGRPRLPSAGILSYGWATLSTMGTAAYGPYWRHVRRVAVAEILSAHRVRQFAGAHAREARATARRLCRAASRQRHGAGAAAGRVRVELKSRLFELLMNTMMAMICDKTYYGADDDGEVSEEARWFREMVEETMALSGASTVWDFLPAALRWVDVGGVGRRLWRLRESRTRFLQGLIDDQRKEMEHDGDGRELPAAAARPRSMIGVLLSVQRQDPEECPDQLISSLCISSLEAGTGTSTDTIEWAMSLLLNNPDVMRKARDEIDAFIGQPVRLLEADDLPKLQYLRCIIMETLRLYPPAPLLVPHESSSDCTVAGFHIPRGTMLLVNTFDIHRDPHIWDEPTSFIPERFEDGRSEGKMAIPFGMGRRKCPAENLGMQMVGLGLGTMIQCFEWERVGEELVDMTEGSGLTMPKKVPLEAFYQPLHLAAMDALLIALFLLLLIALMETARVRRSGTQRRAGNVPPPPPEPAGLPLVGHLHLFRKPLHRTLARLAARHGGAVFGLRLGSRRVAVVSSAPAAEECLGAHDVAFADRPRLPSGRILSYDWSTMGTASYGPYWRHVRRVAVTEILSARRVQHFADVHVREARAMARHLHRAAVRHGVGGAARVRVELKSRLFELLMNTMMAMICDKTYYGDDDDGKVSKEARWFREMVEETMALSGASTVWDFLPAALRWVDVGGVGRRLWRLRESRTRFLQGLINDERKEMEQEQGGDRAQPAARRRTMIGVLLSVQRQDPDACPDQLIRSLCISSLEAGTDTSADTIEWAMSLLLNNPNVMRKARDEIDAFIGQPCIIMETLRLYPPAPLLVPHEASTDCSIAGFHITRGTMLLVNTFAIHRDPQVWNEPTSFIPERFENGRSEGKMAIPFGMGRCKCPAENLGMQMVGLALGTMIQCFEWERVGEELVDMTEGSGLTMPKEYKLMHPPCEQTKHTPQCMHSNHIDPARPIS >ORUFI02G18450.2 pep chromosome:OR_W1943:2:16732386:16742344:1 gene:ORUFI02G18450 transcript:ORUFI02G18450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRLEFTIILRGDSAPAVMVDAMSGGVLVALMVLLLVAAPALLSRLERRRRPPPGPVALPVVGHLHLLRRPLHRTLARLAARHGAAAVMGLRFGSRRVAVVSSAPAAEECLGPHDLAFADKPRLPSGEILSYEWSTMGTASYGPYWRHIRRITVTELLSAHRVQHFAGVNARELKSRLFELFMNIMMAMICDRTFYGDGDDEVSEEARWFRSVVKETMELSGASTAWDFLPAAARWLFARRLTRRMRELSDSRTRFYQRLITDHRTKEKTDDDNAAAGDHSPAPRRRTMIGVLLSLQSKDPDACPDQLIRALCIGSLQAGTETSAAVVEWAMSLLLNNPGAMARARGEIDACVGQPAARLLEAADLPKLHYLRCVVMETLRLYPPVPLLAHESSADCDVAGFHVRKGTMLLVNTFAIHRDPQRNAGRARGEAASPEVAARGSRSASLFPSPGLPLSRAWAHVARHGKRRRRACSSLFASWRASSTFTFGMNARLVSGYMAQFVEDSDDDDVVDHDRIKPDALDDESSSLVMFADGQNEAKMVIPFGMGRRGCPGENLAMQMVGLTLGTLIQCFDWERVGEELEDMGESSGITMPKKLPLEAFYQPRACMVHLLSS >ORUFI02G18450.3 pep chromosome:OR_W1943:2:16729753:16732471:1 gene:ORUFI02G18450 transcript:ORUFI02G18450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAMFGSVAVALLAVVVAAAALRRWRRRRRRLRWGRGAGVGGGGGVSGRGLHRTLARLAARHGAAVMGLRFGSRRVAVVSSAPAAEECLGPHDLAFANRPRLPSGEILAYEWSTMGTASYGPYWRHIRRIAVTELLSAHRVQHFADVNVREVRALARRLYRRGAAAAAAGARTRVELKSRLFELLMNTMMSMICERTFYGADDDEVSEEARWFRSVVKETMELSGASTVWDFLPAPARWLDAGRMTRRMRELSDSRTRFLQRLIDDQRKDMDADSDDHAPAKRRTMIGVLLSLQRKDPDSCPDQLIRSLCIGSLQAGTDTSAATVEWAMSLLLNNPGAMARARGEIDACVGQPAARLLEAADLPKLHYLRCVVMETLRLYPPVPLLAPHESSADCVVAGFHVPQGTMLLVNTFAIHRDPQVWDEPEAFIPDRFADGKNEGKMVIPFGMGRRRCPGENLGMQMVGLALGTLIQCFDWERVGEELVDMRECSGLTMPKELPLEALYQPRASMVDLLTKI >ORUFI02G18460.1 pep chromosome:OR_W1943:2:16742346:16745980:-1 gene:ORUFI02G18460 transcript:ORUFI02G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEVSSRLLRTSLLSPFSPLRVSFTVRLRIAFPSELLASTVWSAACARSGGPRASTLSAKSSTTNYVWKTAD >ORUFI02G18460.2 pep chromosome:OR_W1943:2:16745673:16745980:-1 gene:ORUFI02G18460 transcript:ORUFI02G18460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEVSSRLLRTSLLSPFSPLRVSFTVRLRIAFPSELLASTVWSAACARSGGPRASTLSAKVIQ >ORUFI02G18470.1 pep chromosome:OR_W1943:2:16751812:16756035:1 gene:ORUFI02G18470 transcript:ORUFI02G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLPPHKRHSNASTPAPTPAPPPPSLSSSLRSLSLSSSPRGRHHRGATTRPSSKIVHAAGCVSRWSTLPPFPDDDGDDDGSLRLEPFPCDPIERRTGAKPLALVSSSAAEASSPCSAAAAASTVTERFLPDLLAAAWRAKAGDVPEEEEEVKLSVVARVGKVLFESSGGGSPVSMNSLREAVKAGEEGSRSNLHKSFYTNVPSECLDDMERSAAEKMGLEFDSSKEHYHVKVFDKRQSDSTITCKCTVQEDGKLAIHKVQLNQVRHLVEDISCLFKDLDLRLMLSTKRILKNLDAEVKNAINCLVSSAVIDPDVKGGLRWPLGKESIDERFSIVGVWHTNYKAFRNEKLRLKLRHADRFDHRSSTGEVSNEVTFKLIGISASLEAVDQEANSLQEMLESVVGMIWESGLSYKKAP >ORUFI02G18480.1 pep chromosome:OR_W1943:2:16757366:16761235:1 gene:ORUFI02G18480 transcript:ORUFI02G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAAVFAGKAIATSVITYVINKAFDYLKDNKEAGGLKPTRERLEKLLPQIKVVLDAVDMEHIGDQSDALDAWLWQLRDAVELAEDALDELEYYKLEREAKKIQAGSKVSGSLHQYKGKIVQRFNHTFNTGSLKRLKNAVKALADVASGVERFIQVLNQFGNKVNFKQEVEFKNLRETSSLPHSLVLGREEESNIVVQWLTKRENSASEQIVGNIPIFCIVGLGGIGKTTLAQVICNDNKVKDYFDLFVWVCVSHIFDVETLTRKILQGVTRTEIGMIGLDALHKALQEKLSSRTFLLVLDDVWNDESLRGWETLVSPLRYGKTGSKILLTTRMESVANLAARAMQGECQSLSLSGLKETELLLLLERHAFFGVNPDDYRNLQHISKKMVSKLSGSPLAAKVLGGLLNNKRDSNTWNRILASSVHNIQQGKEGIMTVLKLSYQHLPTHLQSCFRYCSLFHKDYEFTKKELVYLWMGSGLIQQSVDGMTPEDVGMGYLDALTRKSFFEIKSRPRSSRDIKFCLFEEYYEERFVVHDLLHELARSASVNECARVSISSEKIPNTIRHLCLDVISLTVVEQISQSKKLRTLIMHFQEQDQAEQEHMLKKVLAVTKSLRVLSLTANYPFKLPDAVGDLVHLRYLSLSLMWGEGNTTHSCWFPQVVYNLYHLQTMKFNNPRPAVPMEGQMEGMCKLVNLRHLHLTLVIRPMIPFIGKLTSLHELYGFSIQQKVGYTIVELKNLRDIRHLHVSGLENVCNIEEAAEIMLDQKEHLSAVTLVWAPGSSDSCDPSKADAILDKLQPHSNTSKLQLEGYPGSRPPFWLQDLILINLTYIYLRDCQSMQCLPYLGHLPSLQYLYIVNMKSVECVDSSFYGSGEKPSGLQSLKVLEIENMPVCTEWVGLEGENLFPRLETLAVRDCQELRRLPTLPTSIRQIEIDHAGLQAMPTFFVSSDGSSSSMFNLSLSKLMISNCPYITTLWHGCSLYALEELSIQQCASLSCLPEDSFSSCSSLKTLEIVKCPNLIARQIMLPHTMRTITFGLCANAELALLDSLTGLKYLKRIFLDGCAMSKLPLQLFAGLIGLTHMVLNACSIAHLPTVEAFARLINLEYLFIWDCKELVSLIGIQGLASLMSLTIASCDKLVEDSSILSPEDADSSGLSLNLSELDIDHPSILLREPLRSVTTIKRLQISGGPNLALLPEEYLLHNCHALEELVLTNASHLQCLPQAVTTLTSLQSMHINNAVKIQTLPDMPASLTSLHIYGCSSELKKRCQKHVGHDWVKIAHISDADIR >ORUFI02G18490.1 pep chromosome:OR_W1943:2:16773689:16774731:1 gene:ORUFI02G18490 transcript:ORUFI02G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGGALLGLASVMPLASATHACAAQPTVRGRRQWRSATMRGWRDSRRGAHASSSGGASSESGRWASNLGLAAGPSDGAVAVGALNGVREDDGYGTLFESSGVCLELLKKRGVMSWALGRES >ORUFI02G18500.1 pep chromosome:OR_W1943:2:16776135:16781837:1 gene:ORUFI02G18500 transcript:ORUFI02G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLRCGDCGVLLRSVEEAQAHAEATNHANFSESTEAVLNLVCAACGKPCRSQTEVDLHTKRTGHTEFTDKTMEAAKPIDLEAAPPKPAGEAMDVDASASAEPQEMVAPEVNKEMLADLEAMGFTTARATRALHFSGNSTIEGAINWLSEHQEDPDIDEPLLVPANTITEANKPSLSPEEMKIKAQELRERARKKKEEEERRMEREREKERIRIGKELLEAKRIEEDNERKRMIELRRLEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEDPAAPKPSAPPPVEEKKRALPVRPATKAERMRDCLRNLKQQNKDDDAKVKRAFQTLLTYIGNVAKNPDEEKFRKIRLTNATFQERVGNLHGGIEFLQLCGFEKLEGNEFLFLARDKVDKAVLNTAGAELNSAITNPFFGVL >ORUFI02G18510.1 pep chromosome:OR_W1943:2:16793745:16797632:1 gene:ORUFI02G18510 transcript:ORUFI02G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSHCQRQDLLLPPLLLLMSVFVYIPLAGAAEWSRNVPSLIDFKHQQFSPTGSVFGSNLKWMTSQGGVPTFGNWSAAGDTPYTQKFENLRRSKKTATGVYSNPNEVITETPDQPPPPLRSPLHPSSHDALNQRQRYERKPETGHPRPAGSPLHRETVARRHANPLQQHHLDHGGYGGSPRSPYREVAAAAAASPRSRYRSAGMQTPDRKASSSDGRVPVTPGRSRLKQGGRGFEPALDEVTVPPFGDWDDANAASGEKYTGIFNRVRRDKLTPNSSVKQQPPSSPSGGRRQEHKVQQQLVLSDFLSV >ORUFI02G18520.1 pep chromosome:OR_W1943:2:16797677:16802640:-1 gene:ORUFI02G18520 transcript:ORUFI02G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGVGGIGNPTPRGKKPFAPWREVPPQVTHTLPPEKKEVFDSLEGWAADTILPYLKPVEESWQPQDHLPDPRSPSFGDEVAALRERAAGLPDDHLVCLVGDMVTEEALPTYQTMLNTMDGGVRDETGAGGSAWAVWTRAWAAEENRHGDLMNKYLYLTGRDPRTENDPYMGFIYTTFQERATSISHGNTARHAGRHGDAALARVCGTVAADEKRHEAAYAAIVAKLFEVDPDYTVRAFARMMRRKVAMPARLMYDGADDRLFARFAAVAQRLGVYTAADYAGIIEFLVARWGVPGLAAGLSGEGRRAQDFVCSLGPRFRRMEERAQEAAKRAPPAAAAPFSWIHGRQVQL >ORUFI02G18530.1 pep chromosome:OR_W1943:2:16804372:16807212:-1 gene:ORUFI02G18530 transcript:ORUFI02G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDNCAMCVGGKGFYLNSKETSDPSRKNHSKVSQYRMAFDTPRITKTETSKLKNLISASFKPLSLTIPIGDGEAKIELRSQECTMLTLVGTRDSFAQSQAQLCAGMQLKAPTRAKYSQGFMPIGESDAYCALIPGLPEDLAKICLALVPRSQFPVMGSVSKRWMSFLESKEFIAVRKEVGKLEEWVYVLTADAGSKGSHWEVLGCSGQKHSPLPPMPGPTKAGFGVVVLDGKLFVIAGYAADHGKECVSDEVYRYDSCLNRWVELSKMNVARCDFACAEVNGMIYVAGGFGPNGDSLSSVEVYDAEQNKWTLIESLRRPRWGCFACSFEGKLYVMGGRSRFTIGNTRFVDVYNPNDNAWGEVKNGCVMVTAHAVLDKKLFCIEWKNQRSLAVFNPADNSWQKVPVPLTGSSSTRFCFGIHDGKLLLFSLDEEPCYKTLMYDPAAPTGSEWCTSELKPPGLCLCSVTIRA >ORUFI02G18540.1 pep chromosome:OR_W1943:2:16820629:16822695:1 gene:ORUFI02G18540 transcript:ORUFI02G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPPPPPPESPVAAAAGGGDKVLAAAQHIVKSLATSKNAADDMIRILSGFDNRLSQITSDLFPSPDLAADSDERGNPLWGQISAAAFDAAEQLIQVWDGTPEALVFEATEDEVAEYLSAVDVAIEHLARGSGGGAGGAGSSSSSTAGRAGVAVQLAMARLEEELRHLMVRHAVPLDPTGLFFSLRRLSLGSMDDLDTSSEFDAATPHSIDVAPETARGGPLVNPFEDQVFDPVRPEAVDDLRAIADRMARAGYSRELADAYCGIRRDLLDEYLSALGVERLSIDEVQRIEWKHLNDKMKKWVQAVKTVVRVLLAGERRLCDQVLSVSDELREECFIESTKGCIMQILSFGDAVAVCPRSPEKLSRILDMYEALAEVIPEMKDLCLGSSGDGVISDVQANLDRLGDAIRGTLFEFGKVLQLESSRRAMTAGEIHPMTRYVMNYLRLLVVYSDTLDALLDDNADDQIDLARAEDQDQEHLESMTPLGKRLLKLISYLEANLEEKSKLYEDSALECIFSMNNLLYIVQKVRDSELGKILGDHWVKRRNGKIRQYSKSYLRISWMKVLSFLKDDGHGSGSGSSGSGSGHSSSRMSIKEKFKNFNLAFEEIYRNQTTWKVPDPQLREELKISISENVIPAYRAFLGRYGSQVDGGRNSGKYIKYTPEDLESQLSDLFEGAPGPANHSRRRT >ORUFI02G18550.1 pep chromosome:OR_W1943:2:16826943:16827596:-1 gene:ORUFI02G18550 transcript:ORUFI02G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASRFLKGLLSAIVAAVKARSAAVRAKTSAVRTRLIVLGILRNKKLLLSAINRKIHAIVSSGGGGGSSHGEYGGGGESYGGEQQQHLSGIHLVGGGGYRKAAVLHSLPSFVVEQERSAVVLLSSLPSFAMDRDVYGGGGEAEAEEEQGVGGKQQQQSVIELARGAAAAEAGGGAEFRLEDEIDHVADVFIRRFHEQMKLQKLESFKRLCEMLDRS >ORUFI02G18560.1 pep chromosome:OR_W1943:2:16829941:16831285:1 gene:ORUFI02G18560 transcript:ORUFI02G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKDKNSLSTSLTEENITPFPAERTQLYDLGTMKFCSDSSGGDEPRAPIAGDGGSDEPAKRQWTDPSSNSSQGEASSSLLRVKTGQVRSDLTLPIY >ORUFI02G18570.1 pep chromosome:OR_W1943:2:16843148:16851943:1 gene:ORUFI02G18570 transcript:ORUFI02G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGAVVVDRDGEVVVATKIRKRCALSSSGASDPLRKLRLKKRGVVVLGRRGVGGGGGVVLSPWSSRKMSESSWNGRRCHGGAAAAAADDGTRSAASARKLVGALRQLSSPDDEDAARRSSAHRRCVSVEFSKRSRTRSKASEADGQRSWHNGHGHWFPDMLSNGSTMEVHSWRSQDCASPCRGGETMAPHLKEVCSSLAASKELVKALAGIWGPGDGALNPSTASSLLSALRAELDLARAHARRLAKEDRRRGDEAARARARLAEDAREWGRRQREKAAAAVRVAAAELDGERRSRRRAERVNAKLGRALADAERELAASRRELERERRSRERLEKVCDELVRGGLVAAGGGGRGGEEEVEEMRREAERAQEELEKEREMLRLADELREERVQMKLLEARLQFEEKNAVVEQLRDELEAFLGSKKDRQQQEEPPPPDADDHRRRRPDGHQFQSILVAVNKNGDHEDDNDGDEEDDGGGRGECVAEDSDGSEMHSIELNVDGNSKDYSWSYTTASKDMTTTARSKNAAAIDRRSQEGAGEEDRWDDGGCSERSKDLDEEDAERYEAIKNLREQMLAGHGFVLVSQEWGQC >ORUFI02G18580.1 pep chromosome:OR_W1943:2:16863606:16863871:-1 gene:ORUFI02G18580 transcript:ORUFI02G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNRHLGNATRVRKWSILREPRTHVGAVSSLEVSSCWLSLPSGENRVPFRMGVDSIFDVVPLLKASLRRFLHH >ORUFI02G18590.1 pep chromosome:OR_W1943:2:16864079:16864632:-1 gene:ORUFI02G18590 transcript:ORUFI02G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSSPSAPSRPGAGVAMTAAGWRDCGDGAVVGLSAATAVGLSTAPATGDGVAISGRPASRSGRGDRRQARLTPAPLGRRRVAGDGHLRCGFVGDDGIGSVVAPPASGALGGARSSTGCRVDPGRGQQACCGTALPSPSLLFLQIRFSPSSVVVLLVLTGGVAPAPLFLGAPPFLG >ORUFI02G18600.1 pep chromosome:OR_W1943:2:16870930:16872367:-1 gene:ORUFI02G18600 transcript:ORUFI02G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKRGAGACALSWDEEGEVSRGEKRRRADGDGSSDVGGGGGMGAFDALHDELVVSILADVAASAGSPADLAAAMLTCRRFRELGKHGLVLSRASPSAVAVRAAAWCDDAHRFLVRCAEAGNVEASYLLGMVRCAALLAHIPFLVVFLAYIMFYCFENRKLGAELLGAAARRGHGEALYSMAIIQFNGSGLPKDGRNLQAGAQLCARAASRGHNDALRELGHCVSDGYGVRRSLSGGRRLLIQANFRELCAAVANGGARFAAALGRSGECKPPGPHMCLLSDYGCHVAGAAGRRAHAANAFLAGWYASRPLASGAGAAALRMCSQPTCGRPETRKHEFRRCSVCSGVIYCSRACQALHWKVAHKSACVPMAHWLVAANAGAGNAVGAAAAAAAQMAMP >ORUFI02G18610.1 pep chromosome:OR_W1943:2:16884518:16895101:1 gene:ORUFI02G18610 transcript:ORUFI02G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEQLRALLRDLDALKQRPDPAAIDRMRERVAGMVTPAAAARSKIKDMSSEVVDSNPYSRLMALQRMGIVDNYERIRDYSIAIVNSVEGWWLSSSPAIIASCRRPPSPLVVASGRRRNPFAASRGPPEPVAARRGPPAAVAPRCRPPAVVDPRRGRLRLGCRAPSPGSLGVCFPDPDLDREGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPDQVGMTKTDAAVQTLSGINPDVTLESYSLNITTVKGFETFLGSLKARSSDGRNTGVDLVLSCVDNYEARMVVNQACNELGQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLKFGQVSPYLGYNSLKDYFPTMEMKPNPQCSNPACVQRQKEYMQSKPARDAAAKAKMEAEASAADECPVHLDNDWNISVVDDSDTVTPSILSTGADSLPEGLVRELPTADSYQEPVAPVTSGAIDDDLEELQRQLDALNSS >ORUFI02G18620.1 pep chromosome:OR_W1943:2:16886034:16886445:-1 gene:ORUFI02G18620 transcript:ORUFI02G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTPSKRTGQRGTDTVPDKDDIIKKEEATAREQTEQAAANPDRGNRHRATQATAPYNPGGGARDEGRRRPEAYNEGRRRPEARDEQRRVPEARD >ORUFI02G18630.1 pep chromosome:OR_W1943:2:16904380:16904742:1 gene:ORUFI02G18630 transcript:ORUFI02G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPIVIASAGLGMLAGVAMANRTMGGGGDGRQLPAASRWDARPRCATCGGSGRVDCLCNRWSDGDSGCRTCAGSGRMPCRSCGGSGTGRPLPARLIARGHHHHHNPPPSSAPGRGGDYS >ORUFI02G18640.1 pep chromosome:OR_W1943:2:16914964:16915266:1 gene:ORUFI02G18640 transcript:ORUFI02G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASSSSSMQHLFLLCRLLGFCFVFTASQQQQSDSCSSAGVAVAHLAFRCLTVWKQEDFVLRVCMLLNFAVLPVIGILLRTNFISVNFFILDVIANARL >ORUFI02G18650.1 pep chromosome:OR_W1943:2:16938864:16939421:1 gene:ORUFI02G18650 transcript:ORUFI02G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHHHVRPDGELRLLLLPATAPTTPRDDDGAAATLFPPAQPPRDHPQLDLSLSIRIGPPPPPPSHTRTATATAQAQAGQQKKASAAAGFDDGDGDDVRALKQQAAEQARLASAERAYAERVMELARRELEVAEREFARARAIWERARGEVHKVERMKEVAAARRIGAAALEITCHACMQRFHS >ORUFI02G18660.1 pep chromosome:OR_W1943:2:16956578:16960232:1 gene:ORUFI02G18660 transcript:ORUFI02G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACRALALLPLPAPSRLPRPRAASLARLDAARRRASASLLVRCCANPAGPGGQEDPPQDAVLKAISQVANSKGRVAQTTNVVMGGTVTDDATDEWLVLDKQVNTYPTVRGFTAIGTGGDDFVQAMVVAVESVLEEQIPKAQISHKVSSKGKYVSVKIGPIPVVSSEQVQAVYNAMKKDERMKFFL >ORUFI02G18660.2 pep chromosome:OR_W1943:2:16956578:16960232:1 gene:ORUFI02G18660 transcript:ORUFI02G18660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMACRALALLPLPAPSRLPRPRAASLARLDAARRRASASLLVRCCANPAGPGGQEDPPQDAVLKAISQVANSKGRVAQTTNVVMGGTVTDDATDEWLVLDKQVNTYPTVRGFTAIGTGGDDFVQAMVVAVESVLEEQIPKAQISHKVSSKGKYVSVKIGPIPVVSSEQVQAVYNAMKKDERMKFFLLGMFGPYFQEDLSITQLHGVFCEDSCLARSI >ORUFI02G18660.3 pep chromosome:OR_W1943:2:16956578:16960460:1 gene:ORUFI02G18660 transcript:ORUFI02G18660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMACRALALLPLPAPSRLPRPRAASLARLDAARRRASASLLVRCCANPAGPGGQEDPPQDAVLKAISQVANSKGRVAQTTNVVMGGTVTDDATDEWLVLDKQVNTYPTVRGFTAIGTGGDDFVQAMVVAVESVLEEQIPKAQISHKVSSKGKYVSVKIGPIPVVSSEQVQAVYNAMKKDERMKFFL >ORUFI02G18670.1 pep chromosome:OR_W1943:2:16966449:16967258:1 gene:ORUFI02G18670 transcript:ORUFI02G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLCPKPGKGGKIHPSPLGGGDGDPVRAALRLLPAAILALATALRPEDQQVLAYLVTRCLQGAGAAHHPEREHPPARGGGGGRRRRGAHPPAIGCGCFDCYTSFWSRWDCSPSRELIHDAIEAFEDHLAAAESSAPPSSSSSKRRDKGKRRPPPPPSPMSPKVTPAQQQPPQPAEKVHDASPPPSSLFPPLPPPPPPAPEATTTFESDDDDDEKVPEDPSAAAAENASEGEEEEEEEEEERKRGWADVMGMLNLRLWGIWSPAVESAT >ORUFI02G18680.1 pep chromosome:OR_W1943:2:16976675:16977199:1 gene:ORUFI02G18680 transcript:ORUFI02G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGESSRSSAATRSLPLPILSPSAGGARRGCGEEREATREPVMAAGRDARWRPKERRRQPWRKPYVQIQYDDDDWGGSYFDPCRLCQLKKERRWRPGRKLPRSAAWNPVAAVGSPSPRISLADGDPVCRKREGRGGTAGEGRGPALALKEIPSPTVVLGSVGYSMGRGMGEIG >ORUFI02G18690.1 pep chromosome:OR_W1943:2:16983343:16984095:-1 gene:ORUFI02G18690 transcript:ORUFI02G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHDHVTFQDDEIDLEAGHTPPPPPPPADHETKLPKRQQQQRKKKKKRGCCCRLACAALVLVAAVAAAAGALYLALDPRLPRYAIESLTVQAFDMDYDGHGGEYGYGDPQLTARASFDAAVRFENPNRAIDISYEEGSSLAVFYGGHRLSEGALPAFYQGHGDAGVVHVAMGDATLEGAGAVAEAMQQVVGGGGELPLVFRGEVPVRVKVGPITTGKLTPTIRCDLVIDRLSTEGEIRVKNMSCKIKLW >ORUFI02G18700.1 pep chromosome:OR_W1943:2:16985367:16988691:-1 gene:ORUFI02G18700 transcript:ORUFI02G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLSRLSHRAAAPSLRRLLSATASAPSPAAASPPPPPPSAAAAAAAGADRVRWDYRGQRQLVPLGQWMPKVAVDAYVAPEAVLAGQVTVYDGASVWSGAVLRGDLNKITLGFCANVQERCVLHAAWSAPTGLPADTLVDRYVTVGAYCLLRSCTIEPECIIGQHSILMEGSLVETNSILEAGSVLPPGRRIPTGELWAGNPARFVRKLTNEEIMEIPKLAVAINDLMQSHFSEFLPYSTAYLEVEKLKKSFSISL >ORUFI02G18710.1 pep chromosome:OR_W1943:2:16991802:16992011:-1 gene:ORUFI02G18710 transcript:ORUFI02G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVIATVLFVLLTPGLLFQLPAHGRIVGFGTMHTSGVAVLVHAVIYFALITIFLIAIGVHIYAG >ORUFI02G18720.1 pep chromosome:OR_W1943:2:16993250:17009502:1 gene:ORUFI02G18720 transcript:ORUFI02G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRSEGSLTSSRRTSAAPIPYRAGPFEYQPAVLCNCGAKAARWISWSRDNPERRYFKCSDARNGGCEFYKWFEGPTSSFIRDLLNDLRDAVWSLRMEKEQLEVAAADGLAKAAEANACRQEMVVLRKTVAEQETKMAVLEDMNRRLENERFFLDLLSGSSAVTET >ORUFI02G18730.1 pep chromosome:OR_W1943:2:17019719:17023120:1 gene:ORUFI02G18730 transcript:ORUFI02G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVAVYVLTALAAATAAAPSPSSGDDSDATALLAFKAGLSDPLGVLRLNWTSGTPSCHWAGVSCGKRGHGRVTALALPNVPLHGGLSPSLGNLSFLSILNLTNASLTGEIPPELGRLSRLQYLNLNRNSLSGTIPGAMGNLTSLQQLDLYHNHLSGQIPRELQNLGTLRYIRLDTNYLSGPIPDSVFNNTPLLSVLNLGNNSLSGKIPDSIASLSGLTLLVLQDNSLSGPLPPGIFNMSELQVIALAKTQNLTGTIPDNTSFHLPMLQVFSLSRNEFQGRIPSGLAACRFLRVLSLSYNLFEDVIPAWLTRLPQLTLISLGGNSIAGTIPPALSNLTQLSQLDLVDSQLTGEIPVELGQLAQLTWLNLAANQLTGSIPPSLGNLSLVLQLDLAQNRLNGTIPITFGNLGMLRYLNVEANNLEGDLHFLASLSNCRRLEYVDIAMNSYTGRIPDSVGNLSSKLDSFVAHSNQITGGLPPTMANLSNLIAIYLYANQLTETIPTHMMQMKNLQMLNLHDNLMTGSIPTEVGMLSSLVELYLGGNKFVASIPGGIGNLSNLRSLSLPRNNLSSSIPISLWHLSNLVQLDLSHNSISGALATDIGSMQAIVQIDLSTNQISGSIPTSLGQLEMLTSLNLSHNLLQDKIPYTIGKLTSLVTLDLSDNSLVGTIPESLANVTYLTSLNLSFNKLEGQIPERGVFSNITLESLVGNRALCGLPRLGFSACASNSRSGKLQILKYVLPSIVTFIIVASVFLYLMLKGKFKTRKELPAPSSVIGGINNHILVSYHEIVRATHNFSEGNLLGIGNFGKVFKGQLSNGRSFLGFRERLNIMLDVSMALEYLHHRHVDVVLHCDLKPSNVLLDEELTAHLADFGIAKLLLGDDTSVISASMPGTIGYMAPEYGLIGKASRMSDVFSYGILLLEVLTAKRPTDPMFDGELSLRQWVFDAFPARLVDVVDHKLLQDEKTNGIGDIGTALDVSSNMLDRCIVSIVELGLLCSSDLPEKRVSIIEVVKKLHKVKTDYESNLTVQGTQQT >ORUFI02G18740.1 pep chromosome:OR_W1943:2:17028240:17028452:1 gene:ORUFI02G18740 transcript:ORUFI02G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDWGPVVVAVILFILLSPGLLFQVPARTRVVEFGNMCTSGVSVLVHAVFFFVLFTVLVVAIGIHVRAG >ORUFI02G18750.1 pep chromosome:OR_W1943:2:17032712:17032999:-1 gene:ORUFI02G18750 transcript:ORUFI02G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGGAKEPEPGIGGREGGPGGGFGGSPAEQQHVVPGSRETGRRRRRRRRSRGRLGHAEAARGGAPVGDSGGALPRRRKEEAGWSGEARPGMVG >ORUFI02G18760.1 pep chromosome:OR_W1943:2:17033838:17034725:1 gene:ORUFI02G18760 transcript:ORUFI02G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLDITAEIDPMLHKSTPNGGRIPRHIIPTHCDGLVAFATYGRAMFVCNPATQELVVLPPGSGSGPCPQSTESTAAVGFNLWWSRYIVVRCFYRKSHNDLPVYDIGHEIFTLGAGAGDGWQRMQDPSRAISLGGRRPAACTRGGSIYRFINESQPCALLWFSLRDEAFDAVPSSPGCTACDNDDRLADLAGELCYVHRIRTSVATHEVWMAAAVDDDDQEWWLRYRVDLWHNPWGVRRRCGGGGNGQRWFHSFGATAAGDVGGHVVQGAVVSQGAEQTGGERRERVGEPVQL >ORUFI02G18770.1 pep chromosome:OR_W1943:2:17048319:17048528:1 gene:ORUFI02G18770 transcript:ORUFI02G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAPVVVGVVLFVLLSPGLLVELPGTHRHVDFGSFRTNGKAIFVHTLIFFAAFAILTLALHLHIYTG >ORUFI02G18780.1 pep chromosome:OR_W1943:2:17056158:17057698:1 gene:ORUFI02G18780 transcript:ORUFI02G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATLNQSMDPETAAFVGRDIDAATNGGEVAEERRRKVELVQEAIRELLEEKRTGERRRRQGGDGGGEEARRDHEEEEDDLLSSLLSKVDALQNDAALEQVKPNCSHPNPEISKEVKLGDVAKDLNKIKRQNMITHILLGTVIVMTAVWQFNEVSFLLAVKRKLSNPFKSLGDLIKSSLKGGGKPMIEAPPLPPVGVPDVTRNDLPLLLISNGNGNNDD >ORUFI02G18780.2 pep chromosome:OR_W1943:2:17055968:17057698:1 gene:ORUFI02G18780 transcript:ORUFI02G18780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPETAAFVGRDIDAATNGGEVAEERRRKVELVQEAIRELLEEKRTGERRRRQGGDGGGEEARRDHEEEEDDLLSSLLSKVDALQNDAALEQVKPNCSHPNPEISKEVKLGDVAKDLNKIKRQNMITHILLGTVIVMTAVWQFNEVSFLLAVKRKLSNPFKSLGDLIKSSLKGGGKPMIEAPPLPPVGVPDVTRNDLPLLLISNGNGNNDD >ORUFI02G18790.1 pep chromosome:OR_W1943:2:17059952:17060708:1 gene:ORUFI02G18790 transcript:ORUFI02G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGGKEGGRRRRRTESIAARATSGLDRRRGLGGGRRRRVSAPVAAAAMREKGRASPHGRDGDPGSGEVSDLHGIAPAVEDPRLPVDDPRKHALVFGDPGLDKPRHGGLTAHDLRAIADRASGLDATGHGASATSLSSSSTTQRPPRTPAHDRCTPPHRRSRLAATSLGLSLAVAELRQAAASPGPSLAVAELCQAAAVLSRCCGGHLPCRRWGLRPRARPRDDALPFSRIATVVDINALLLLLPPKPL >ORUFI02G18800.1 pep chromosome:OR_W1943:2:17063764:17068942:1 gene:ORUFI02G18800 transcript:ORUFI02G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVELNSRALMDEALKARDAAERKFHARDVKGARRSAIKAQNLCPSLDGISQMVSTLEVLLASESKVDGENDWYRILSLSASADEEEVKKQYRKLALQLHPDKNKSVGAEGAFKLISEAWAVLSDKSRKMQYDQKRKDHPVTNGANGLYTYDKKAHKRARKNAAASAAAAAAAAAAAAEATTRPVGLDTFWTSCNRCRMQYEYLRIYLNHNLLCPNCHHAFMAVETGYPCNGTSSSFSWSTKQQQQNHKHSYSSASRTSGVPGTGHGVYQQENTYETYNNQSFQWNQYSKTNNSAGTNAYSSTASEKPKRKHEESYIYNYSSSGNEFGQERPTSGRGRFSKRRQNINNGYASVDCNGDNKETVAATAGTTVLADVGRVNGTSVEKFRSAVSGRRANVMREIFQLDTRGLLIEKAKAAIREKLQDLNISATRHIAAKGKAERKNHVDHDVKGNGILPHNPSHKFKICNSKGADVENPATDENNLEQKRVPVSIDVPDPDFYDFDKDRTERTFDNDQVWATYDSEDGMPRLYAMVQKVISRKPFRIRMSFLNSKSNIELSPINWVASGFSKTCGDFRVGRYQIFETVNIFSHRVSWSKGPRGIIKIVPKKGDTWALYRNWSSDWNELTPDDVIYKYEIVEVIDDFTDEQGVTVIPLLKVAGFKAVFHRRTDSDVVRRIPKEELFRFSHRVPSRLLTGEEGNNAPKGCHELDPAATPVDLLKVITEVKEVATTEISE >ORUFI02G18800.2 pep chromosome:OR_W1943:2:17063764:17067082:1 gene:ORUFI02G18800 transcript:ORUFI02G18800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVELNSRALMDEALKARDAAERKFHARDVKGARRSAIKAQNLCPSLDGISQMVSTLEVLLASESKVDGENDWYRILSLSASADEEEVKKQYRKLALQLHPDKNKSVGAEGAFKLISEAWAVLSDKSRKMQYDQKRKDHPVTNGANGLYTYDKKAHKRARKNAAASAAAAAAAAAAAAEATTRPVGLDTFWTSCNRCRMQYEYLRIYLNHNLLCPNCHHAFMAVETGYPCNGTSSSFSWSTKQQQQNHKHSYSSASRTSGVPGTGHGVYQQENTYETYNNQSFQWNQYSKTNNSAGTNAYSSTASEKPKRKHEESYIYNYSSSGNEFGQERPTSGRGRFSKRRQNINNGYASVDCNGDNKETVAATAGTTVLADVGRVNGTSVEKFRSAVSGRRANVMREIFQLDTRGLLIEKAKAAIREKLQDLNISATRHIAAKGKAERKNHVDHDVKGNGILPHNPSHKFKICNSKGADVENPATDENNLEQKRVPVSIDVPDPDFYDFDKDRTERTFDNDQVWATYDSEDGMPRLYAMVQKVISRKPFRIRMSFLNSKSNIELSPINWVASGFSKTCGDFRVGRYQIFETVNIFSHRVSWSKGPRGIIKIVPKKGDTWALYRNWSSDWNELTPDDVIYKYEIVEVIDDFTDEQGVTVIPLLKVAGFKAVFHRRTDSDVVRRIPKEELFRFSHRVPSRLLTGEEGNNAPKGCHELDPAATPVDLLKVITEVKEVATTEISE >ORUFI02G18810.1 pep chromosome:OR_W1943:2:17076500:17079902:1 gene:ORUFI02G18810 transcript:ORUFI02G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPASLAAAAAATAAPEPRGIRPPRAPVHRLRLRHHATRVGCSTVSVSTRPATTTTTTTTRSQPPPPQPPQAAEPLQRRWGPTERRKGADILVEALGRCGVRDVFGYPGGASMEIHQALTRSPAIRNHLLLHEQGEAFAASGYARSSGRPGVCVATSGPGATNLVSALADAHLDSVPLVAITGQVPRRMIGTDAFQETPIVELTRSITKHNYLVLDVDDIPRVINEAFFLATTGRPGPVLVDIPKDIQQQMAKPSPPPPPPPPTMATTAAAAAAALSAAATAKTGRKNHQRHHVLPARGRVGAAAVRCSAVSPVTPPSPAPPATPLRPWGPAEPRKGADILVEALERCGVSDVFAYPGGASMEIHQALTRSPVITNHLFRHEQGEAFAASGYARASGRVGVCVATSGPGATNLVSALADALLDSVPMVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVEDIPRVIQEAFFLASSGRPGPVLVDIPKDIQQQMAVPVWDTSMNLPGYIARLPKPPATELLEQVLRLVGESRRPILYVGGGCSASGDELRWFVELTGIPVTTTLMGLGNFPSDDPLSLRMLGMHGTVYANYAVDKADLLLAFGVRFDDRVTGKIEAFASRAKIVHIDIDPAEIGKNKQPHVSICADVKLALQGLNALLQQSTTKTSSDFSAWHNELDQQKREFPLGYKTFGEEIPPQYAIQVLDELTKGEAIIATGVGQHQMWAAQYYTYKRPRQWLSSAGLGAMGFGLPAAAGASVANPGVTVVDIDGDGSFLMNIQELALIRIENLPVKVMVLNNQHLGMVVQWEDRFYKANRAHTYLGNPECESEIYPDFVTIAKGFNIPAVRVTKKSEVRAAIKKMLETPGPYLLDIIVPHQEHVLPMIPSGGAFKDMILDGDGRTVY >ORUFI02G18820.1 pep chromosome:OR_W1943:2:17080770:17082991:-1 gene:ORUFI02G18820 transcript:ORUFI02G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEQEESSAQRRERLLALRSSAAAAPSPSAAPHPPPPAADAWDLPVRDLMDASATTTAAAPRPPPRFDYYTNPAAAFASSSAASHKRKVAEPPPPGSGNYGSGYPPPHQHHMAPPPIHTPSRLSHDSPGGSPWRSPMQFQAPMSGYRGPPPGAPPPWSPHSGVPPPWNPHSAPPSQGLYPHPPSYGPRNYNPGQGGGRMNYGPRGRPDSPYGRGRGQNNYNNPGSRGRGGRDGSGTQNYSGWQDGRVRYHKSMTDDPWRDLQPIVGNIMIPRDGSKSWLPESLRAKKDTSDRGQVKPPSGLSLAEYLDLSFNEASNDT >ORUFI02G18830.1 pep chromosome:OR_W1943:2:17083898:17086567:1 gene:ORUFI02G18830 transcript:ORUFI02G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding PIGSPPLPRQNHRVLARTSRTASHRGSPACCRRNRRSFRGRRIPFEIDLPVVPFGASWGARAGKEFFPAAAVASVIDIGRRLGQAGVEIGASVNKGTRECLGGSATDWQDEQSCFSFIPTSDEHTVTGFKISKTSIPQIGVVK >ORUFI02G18840.1 pep chromosome:OR_W1943:2:17093841:17097053:1 gene:ORUFI02G18840 transcript:ORUFI02G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKVKEEAAAEKGKEAAVAEEKEAAAAGEEKKEDAPPPPPPPEEVVMRVFMHCEGCARKVKKILRGFDGVEDVVADSKAHKVIVKGKKAAADPMKVVHRVQKKTGRKVELLSPMPPPVEEKKEEEKKEEPEPPKPEEKKEPTVIAVVLKVHMHCEACAQVIRKKILKMKEPDMKASQVTVKGVFEESKLTDYVHKRIGKNAAVVKSEPAPPPENAGDANAKDDKKAAEGGEEKDESKEEKKEGDDEKEKEKEKDDSNAAEVEEKDKEKDPSALAAANLYMHYPRFSNPGGYGVPGYAYPYAPQLFSDENPNACVVM >ORUFI02G18850.1 pep chromosome:OR_W1943:2:17115283:17115507:1 gene:ORUFI02G18850 transcript:ORUFI02G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSPDRATVGSSAVPGWSSGNGVGNMAKQHKMASLGGGVLMPAGTAPLPTCYACSTNLWGNQQRRGEEKYSGR >ORUFI02G18860.1 pep chromosome:OR_W1943:2:17120450:17148446:1 gene:ORUFI02G18860 transcript:ORUFI02G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVYLPIHSVLMHVVAALVVLVYIPLSVPVRLFLWAFVKPLRREQLRGKVVLITGASSGIGEELAYQYASKGASLALVARRKQALKSVAAAARERGAPDVLVLDADVSDAGQSRRAVEETIAHYGKLNHLVANAGIWSTCPFDEITNITAFTTIMISCTGYFEACNNKSKGIVYMNFKDVNFWGAVYPTYYALPHLKASRGKLVVCSSAAGTVATSRMSFYNASKAAVLRFYETLRAELGSEVGVTILTHGYVESEMTMGKAVQKDGVLVVDQEARDVQIGVFPVGGVGAMCRVAMDGIRRGDRYVTWPSVYRPLQLVACLAPEIVSWLSYAMYNTKAGGGAPLSKRALDATGARRFFPEGLRRNPVVIKTDEECDGDEGVAAYDATATGNGDGAAPRPAAAEPAAPLSSVWTRRDEKLLEMLLWRWQLDPHWDRLAAELGGKTATQVFDRYVCLADELRLVMAAPAVDTPPAWDVQDEREAAVAPLPGLEADAAAGAGESAEVTAIGIAAAASPNAAATSAPTIGGGVVLKSRELKNPRKTRMAGGGPRKKAEMWTREEHSQFLHGISTYGKGNWKALASEFVKTKSSTQIASHYQKFCIREEKRRLSKCKRASIHDIVSPTTTTSAPESAGAGPSAPPCALIESEQKGEMDLYLMFHSVLMHVAAALVVLVYIPLSMPVKLFLWAFVKPLRKESLRGKVVLITGASSGIGERVKERQTKQREAMGWAGIENLRGVTRIIFSLSCVTILTIHVVVLNELAYQYAAQGACLALVARRKKALEGVAAAALERGSPDVLVLPADVSDADQSRRAVEETVAHFGKLNHLVANAGIWSSCSFDEVTNITAFTKMMDVNFWGSVYPTYYALPHLKASKGKLVVSCSAAGTVGTSRMSFYNATKAAQLRFYETLRAELGSEVGITVLTPGYVESEITKGKGIQSGGDVAVNEEARDEQIGVFPVGRVAELGEVAMDGIRAGDWYVTWPSLFRPLQLVACLAPGVLDWACRALYGTRKGARPPLGKRIMEATGMKRLFPEALRRNPAIKTEDEEYCDGEEGYGAADDAAAAYLLQCRKGL >ORUFI02G18860.2 pep chromosome:OR_W1943:2:17120450:17148446:1 gene:ORUFI02G18860 transcript:ORUFI02G18860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVYLPIHSVLMHVVAALVVLVYIPLSVPVRLFLWAFVKPLRREQLRGKVVLITGASSGIGEELAYQYASKGASLALVARRKQALKSVAAAARERGAPDVLVLDADVSDAGQSRRAVEETIAHYGKLNHLVANAGIWSTCPFDEITNITAFTTIMISCTGYFEACNNKSKGIVYMNFKDVNFWGAVYPTYYALPHLKASRGKLVVCSSAAGTVATSRMSFYNASKAAVLRFYETLRAELGSEVGVTILTHGYVESEMTMGKAVQKDGVLVVDQEARDVQIGVFPVGGVGAMCRVAMDGIRRGDRYVTWPSVYRPLQLVACLAPEIVSWLSYAMYNTKAGGGAPLSKRALDATGARRFFPEGLRRNPVVIKTDEECDGDEGVAAYDATATGNGDGAAPRPAAAEPAAPLSSVWTRRDEKLLEMLLWRWQLDPHWDRLAAELGGKTATQVFDRYVCLADELRLVMAAPAVDTPPAWDVQDEREAAVAPLPGLEADAAAGAGESAEVTAIGIAAAASPNAAATSAPTIGGGVVLKSRELKNPRKTRMAGGGPRKKAEMWTREEHSQFLHGISTYGKGNWKALASEFVKTKSSTQIASHYQKFCIREEKRRLSKCKRASIHDIVSPTTTTSAPESAGAGPSAPPCALIESEQKGEMDLYLMFHSVLMHVAAALVVLVYIPLSMPVKLFLWAFVKPLRKESLRGKVVLITGASSGIGEELAYQYAAQGACLALVARRKKALEGVAAAALERGSPDVLVLPADVSDADQSRRAVEETVAHFGKLNHLVANAGIWSSCSFDEVTNITAFTKMMDVNFWGSVYPTYYALPHLKASKGKLVVSCSAAGTVGTSRMSFYNATKAAQLRFYETLRAELGSEVGITVLTPGYVESEITKGKGIQSGGDVAVNEEARDEQIGVFPVGRVAELGEVAMDGIRAGDWYVTWPSLFRPLQLVACLAPGVLDWACRALYGTRKGARPPLGKRIMEATGMKRLFPEALRRNPAIKTEDEEYCDGEEGYGAADDAAAAYLLQCRKGL >ORUFI02G18870.1 pep chromosome:OR_W1943:2:17150478:17155482:-1 gene:ORUFI02G18870 transcript:ORUFI02G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRSASDRHRDKEKEKERRETHRREEKDHHGSGRRDRDRDRESYREKDKDDRREKEKEKERDSERGRGRDRDRGKDRDRGEAERDKERERKDRDKEKSRGRDKSKEEREDKDYRDKSRGKDRGDDGGDLSKGEEGDQKKRVEAAEEAEKPSTTELRERIARSKEERLNDTKQGGILDDNGGDGEILSWVGKSRKLDEKRQAEKEKALHRARALEEQDNILAENDEDDDEEQQEDNRVGEHLSGVKVLHGLDKVLEGGAVVMTLKDQSILADGDINQEADMLENIEIGEQKQRNEAYKAAKKKGTYDDKFNDDPLSKKSILSQYDDPMEDEGVTLDEGGRFSGEAEKKLEELRKRIEGGFVQKKTEDLTSAAKMSSDYYTPDEMLQFKKPKKKKSLRKKEKLDLDALESEAIAAGLGTGDLGSRKDARRQAAREEEQKADAEKRNYAYQAAIAKAEEASKALRPEKNISGKHAESEELVFGEDYEDLQKSLEQARKLALRKQEETAASGPLAVAELATARIGQKDADAAEGDAQQNKVVITEMEEFVWGLQLNEETRKPEAEDVFMDEDDDMIMSDTVAKDDTSGLAVVKEETSVEVPVKNEEEEELKPDEIVHEAAVGKGLAGALKFLKERGTLNEGTDWGGRTTDKKKSKLAGIEDGPKDIRIERIDEFGRVMTPKEAFRDLSHKFHGKAPGKMKQERRQKKYRDELKTKRMISSDTPLMSAEKMREAQALSKTPYLVLSGNAKSGQTSDASGFATVEKEHPGSLTPMLGAKKVEHFLGIKRSAQGGGLPPPPPKKPKN >ORUFI02G18880.1 pep chromosome:OR_W1943:2:17168542:17169313:1 gene:ORUFI02G18880 transcript:ORUFI02G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAAAAFVFVSLALLCDASQGEGAAAAAACRAADLVVRQRATGRVVEGKPEYAVEVANRCRCAQSRVVLRCYGLSSVESVDPRAIRPVDDERCVLRGGRAIRRGAPPVRFTYAWMTPFDFPLVSSQVHC >ORUFI02G18890.1 pep chromosome:OR_W1943:2:17177444:17180614:-1 gene:ORUFI02G18890 transcript:ORUFI02G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPAGTDDPPPFAASGHYSLRPRDRRAPPSTNPRKRPRISIENDDIWQNFAMEYRAKFVVWRLAFQVFTDKSLAINCDTGVDDQLNLMITKYHRKGGKIAVGKPEYKTIIEANLGISCLYDEFVMEVMWGLTNLMHSLVPEENSQLSKEDRLQMSQGLKMLLNRYGFDVKPGMVNKRILEAASDLYDCDDCEKKNNWSLRRAGRNLMDISSINSEDWGLLKLSTALMIVCYPEEKIIACLLKHSTDLLIASYPRREVIEYSQKMFSPDVLSKLVTDAPKYGIWIKKRTSKRIHEEMVFLYQQRIEKRKLLATLIGEATKVYEAEQAELLTN >ORUFI02G18900.1 pep chromosome:OR_W1943:2:17182729:17204317:1 gene:ORUFI02G18900 transcript:ORUFI02G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGDGRRRRPSAGGGGGGGGRGGGWRSGSSAAKDQRLRLGAEELLESRLGFAPYTDSERRLGWLLTFSPSSWEDEDTGKIYSCVDLYFVSQDGSTFKVKYKFPPYFYAATKDKMELEVEAYLRRRYEGDIADIEIIEKEDLDLKNHLSGLKRKYLKIQFDTVQQLMRVRSDLLHVVEKNEEELNAAEAFESIYGVKSLCSDDLVHYDRVERPQDYINCIIDLREYDVPYHVRFAIDNDVRCGQWYDVSVSSSDVLLHRREDLLQRAEVHVCAFDIETTKLPLKFPDAEYDTVMMISYMIDGQGYLIINRECVGEDIEDLEYTPKPEFEGHFKVKNVANELDLLKAWFSHMQEVKPGIYVTYNGDFFDWPFLEKRAAHHGIKMNEEIGFQCDNNQGECRAKFSCHLDCFAWVKRDSYLPQGSHGLKAVTKAKLGYDPLEVNPEDMVRFAMEQPQTMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAFKANIICPNKHQADLEKFYNNRLLESETYIGGHVECLETGVFRSDLPTKFQLEPSACEQLIANLDRDLQYAISVEGKLDIDSVTNYDEVKDAIKQKLVSLRDHPIREECPLIYHLDVAAMYPNIILTNRLQPPSIVTDVDCTACDFNRPGKNCLRTLEWVWRGETYMAKKSDYHHIKRQIESEMIQAGGVTPSKPFLDLSKPEHLLKLKDRLKKYCQKAYKRVVDKPITEVRNAGICMRENSFYVDTVRSFRDRRYEYKGLNKSWKGKLAEAKANGNSIKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVDKIGKPLELDTDGIWCVLPGSFPENFTFKTNAGKKLTISYPCVMLNVDVARNNTNDQYQTLNDPVNKLYTTHSECSIEFEVDGPYKAMILPASKEEGVLLKKRYAVFNEDGTLAELKGFEIKRRGELKLIKVFQAEVFDRFLHGSTLEECYTAVASVANRWLDLLDNQGIDISDNELLDFISESSTMSKSLVDYGEQKSCAVTTAKRLAEFLGDSMVKDKGLHCQYIVAREPQISNPVPRVLHPDWLHKKVREKDDRFRQRKLRDMFNPLEKDRGVQNLDGTGDMEDLLTLDGGMRKSHVPNGFGKENKPNDAPSTEAGSKHSKNKQKSITRLNEPLAVHIQNDAADEQVDRSTDYQGWLDAKKRKWKYVREQKKRQRLGAAVTSDGPTNDLFSARNVAHILPHQIIQLASSTLPGHFFAWVVAEGIMFKIPINVPRVFYLNSKAPVTEEFPGRRVKKILPHGRPCFNLIEVVTSEEQFRVEGKKLAAHLAEPDVEGIYETKILPELNAILQIGCVCKVDKSAKRRSIQDGWDLAELQMKTTAECSYLEQTVSFFYLYHSLSEGRGVYVMYFPTSFRIHAVVVNPFRNKELSPSFLEKQYRDACQALGSLHENITFLVDYHTSIDAGSKHVQRMLLEYRQQHPGPVIGVIECPKLQALKSAVRALDDFPCVTIPCNARDNNYQALGWQATAGRTSMQRCAASTQWFSERISLARYAHVPLGNFELDWLLFTADVFFSRALRDQQQVLWISDDGIPDLGGTYEGDTCFADEVIQPALTYPGAYRRVAVELKIHHLAVNSLLKSNQVDEMEGGPIGSFANDMPAGPNGTETEFDDATLCLPAFQVLKQLIQRCISDAVSSGNVFADAILQHLYRWLCSPRSKLHDPALHRLLHNVMKKVFALMLAEFRKLGANVIFANFSKIIIDTGKVDLSSARAYCDSLLKTLQTRDIFEWVELEPLHYWHSLLFMDQYNYGGIQAKMQNATSEGSSDGDNDIDIVSSWNIAEYLPKATQDHFVLIVSEFLYVPWKYMKEQVALRSTTRDETSCTPSITIMAAENLEVQVIGYLRDQISNYFADKLLRIVSDILHHFKGKGKSESVELTNREPDPHTHKGDAALEFIKHICAVLALDQNVQHDILRMRKNLLKLVRVKEFAPEAQFQDPCASFTLPNVICSYCNDCRDLDLCRDSTLQGQEWRCAVPQCGQPYHREQMENALLQVVRQRERLYHLQDLVCLRCRQVKAAHLSEQCSCGGAFRCKEESSQFLSKMRVFLNVAVSQKFELLQDVVQWILEVR >ORUFI02G18910.1 pep chromosome:OR_W1943:2:17205677:17206177:-1 gene:ORUFI02G18910 transcript:ORUFI02G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGGGGGERRNILAKTIDRCRSSLGHRTTRRPASAAGGGGYGGAAVPAGFFAVLVGPEKERFAVRARCANHPLFRALLDQAETEYGFAGCEGPLELPCDVDAFMDVMWEMEQADPAASPRCGARFGGPAAAGSGRGYGGHGHHHQHQGYQMMSTPARLLVASRS >ORUFI02G18920.1 pep chromosome:OR_W1943:2:17211770:17212471:-1 gene:ORUFI02G18920 transcript:ORUFI02G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVAQVAAADAAGGERRRATAPRGSGRWCTGADGAEARGETASPETAARGSRSARGPWLVSAVEYTQSLEEEDAEETDDDEEAAAELEPTLAVGTAASVKKRYNRGQSFSSSSSMESDVLVIGARDRQAPHRGAIAEEPLASPAAGHPSASAPRPLAARRCLLARPPLATIPTAILSSSPATRTRLCPAQLSSSVPHPPPPCHLQLPPRPPAARARLRTHPQRRPQLITPRQ >ORUFI02G18930.1 pep chromosome:OR_W1943:2:17218975:17224472:1 gene:ORUFI02G18930 transcript:ORUFI02G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPAGIVWQTPANPPERQDYIFRDGRRYVRPYYFEFISHVKNRWAGKTIVDLFTDEFKGRPREYYVHAVKCGRLQVDDQMVHADYVVQSSQKISHFLHRHEPPVLGGDITILQNEADVVTVCKPASVPVHPCGQYRKNTVVAVHRLDRLVSGLLIFAKNADKAESFRQQIEASLLQKEYVAKVVGVFPDGEQTVNANVHFNAREGRSTAEVCDGDGKAPIGKQACTKFQRICTDGIHSIVLCKPVTGRTHQIRVHLKHIGYPIANDEVYLSENFSPRSSKGTRINRATTLACSLPSSDPDSCADLGNNDTNKDTEADEEFSIDPMCTNCPNLAPVGYDADEEALWLHCVRYTGPDWSYECPYPDWAFLDNVSRKKLKS >ORUFI02G18930.2 pep chromosome:OR_W1943:2:17219091:17224472:1 gene:ORUFI02G18930 transcript:ORUFI02G18930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPAGIVWQTPANPPERQDYIFRDGSSNPQPGLRRRYVRPYYFEFISHVKNRWAGKTIVDLFTDEFKGRPREYYVHAVKCGRLQVDDQMVHADYVVQSSQKISHFLHRHEPPVLGGDITILQNEADVVTVCKPASVPVHPCGQYRKNTVVGILQAEHGLVPLFRILCLNCAIIAAVHRLDRLVSGLLIFAKNADKAESFRQQIEASLLQKEYVAKVVGVFPDGEQTVNANVHFNAREGRSTAEVCDGDGKAPIGKQACTKFQRICTDGIHSIVLCKPVTGRTHQIRVHLKHIGYPIANDEVYLSENFSPRSSKGTRINRATTLACSLPSSDPDSCADLGNNDTNKDTEADEEFSIDPMCTNCPNLAPVGYDADEEALWLHCVRYTGPDWSYECPYPDWAFLDNVSRKKLKS >ORUFI02G18930.3 pep chromosome:OR_W1943:2:17219091:17224472:1 gene:ORUFI02G18930 transcript:ORUFI02G18930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPAGIVWQTPANPPERQDYIFRDGSSNPQPGLRRRYVRPYYFEFISHVKNRWAGKTIVDLFTDEFKGRPREYYVHAVKCGRLQVDDQMVHADYVVQSSQKISHFLHRHEPPVLGGDITILQNEADVVTVCKPASVPVHPCGQYRKNTVVAVHRLDRLVSGLLIFAKNADKAESFRQQIEASLLQKEYVAKVVGVFPDGEQTVNANVHFNAREGRSTAEVCDGDGKAPIGKQACTKFQRICTDGIHSIVLCKPVTGRTHQIRVHLKHIGYPIANDEVYLSENFSPRSSKGTRINRATTLACSLPSSDPDSCADLGNNDTNKDTEADEEFSIDPMCTNCPNLAPVGYDADEEALWLHCVRYTGPDWSYECPYPDWAFLDNVSRKKLKS >ORUFI02G18940.1 pep chromosome:OR_W1943:2:17253116:17253523:1 gene:ORUFI02G18940 transcript:ORUFI02G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGAAAEQAWYMPAAAPAPMVESAVARVERLASESAVVVFSVSSCCMCHAVKRLFCGMGVHPTVHELDLDPRGRELERALARLVGYGGPAAASPPVVPVVFIGGKLVGAMDRVMAAHINGSLVPLLKEAGALWL >ORUFI02G18950.1 pep chromosome:OR_W1943:2:17254333:17254850:-1 gene:ORUFI02G18950 transcript:ORUFI02G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVGARSTNMIAKRWWYYCKVRVSSKYNLFGRIGDQERPSVQAKGRYASRHRRRKTVQSCYLERRDEEKTKTERGKKAQLASEDIKK >ORUFI02G18960.1 pep chromosome:OR_W1943:2:17258239:17258448:1 gene:ORUFI02G18960 transcript:ORUFI02G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFASITKVSSLYVLQCRLSTTSFTSEPRLVDLHRHGQQLEAHPCDLPDTGCGHACDKQDLSDTRGHG >ORUFI02G18970.1 pep chromosome:OR_W1943:2:17272008:17272208:-1 gene:ORUFI02G18970 transcript:ORUFI02G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGSITFLRRNLEVDNWERGQVDVEEVGVKLGHARDRRGGVKEEDEANKECEEEEKQERRGGDR >ORUFI02G18980.1 pep chromosome:OR_W1943:2:17278898:17279264:1 gene:ORUFI02G18980 transcript:ORUFI02G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXAEATEDGGAVPDLDREAEDRQLVEAYDTPADGSVGACGRGPGQRRRIATEDHDGEGEEEAEAEGERAAQGGGGQWPPIQSPSSYRCGFSHKEDPFLLPIG >ORUFI02G18990.1 pep chromosome:OR_W1943:2:17283381:17288173:1 gene:ORUFI02G18990 transcript:ORUFI02G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFDSPAEEQLNPKVGGPYGGGSSSSAAAAARAAPHRPRLRSRRCGGSSAGRHGERGGGYPDLHHHHQQQQLPMAAPRVEKLSAGAEKTRVKSNAILREPSAPKDANGNVISAQTFTFRELATATRNFRPECFLGEGGFGRVYKGRLESTGQVVAIKQLNRDGLQGNREFLVEVLMLSLLHHQNLVNLIGYCADGDQRLLVYEYMHFGSLEDHLHDLPPDKEALDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDESFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDSTRPHGEQNLVSWARPLFNDRRKLPKMADPRLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQSYDPNAAHASRKPGGDQRSKVGENGRVVSRNDEASSSGHKSPNKDREDSPKEPPGILNKDFDRERMVAEAKMWGDRERMVAEAKMWGDRERMVAEAKMWGENWRDKRRAIENGQGSLDSPTENG >ORUFI02G19000.1 pep chromosome:OR_W1943:2:17292412:17294540:-1 gene:ORUFI02G19000 transcript:ORUFI02G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMSMERSTWAFTFGILGINMLMNAAFISCIRPTFYRVYRKKSTEGFQSTPYVVTLFSCMLWMYYAFVKSGAELLVTINGVGCVIETVYLAMYLAYAPKSARMLTAKMLLGLNIGLFGVIALVTLLLSRGELRVHVLGWICVAVSLSVFAAPLSIIRLVIRTKSVEFMPFSLSFFLVLSAVIWFLYGLLKKDVFVALPNVLGFVFGVAQMALYMAYRSKKPLVASSSSAVVAAGLEIKLPEHVKEVQAVAKGAVAAAPEGRISCGAEVHPIDDVMPSEVVEVKVDDEETNRTDEMAGDGDHAMVRTEQIIKPDMAIVVEV >ORUFI02G19000.2 pep chromosome:OR_W1943:2:17292412:17294540:-1 gene:ORUFI02G19000 transcript:ORUFI02G19000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMSMERSTWAFTFGILGNLISLMVFLSPLPTFYRVYRKKSTEGFQSTPYVVTLFSCMLWMYYAFVKSGAELLVTINGVGCVIETVYLAMYLAYAPKSARMLTAKMLLGLNIGLFGVIALVTLLLSRGELRVHVLGWICVAVSLSVFAAPLSIIRLVIRTKSVEFMPFSLSFFLVLSAVIWFLYGLLKKDVFVALPNVLGFVFGVAQMALYMAYRSKKPLVASSSSAVVAAGLEIKLPEHVKEVQAVAKGAVAAAPEGRISCGAEVHPIDDVMPSEVVEVKVDDEETNRTDEMAGDGDHAMVRTEQIIKPDMAIVVEV >ORUFI02G19010.1 pep chromosome:OR_W1943:2:17316105:17317295:-1 gene:ORUFI02G19010 transcript:ORUFI02G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSKRALQDQHSSNTAMSSKRSRRRDDDKHIYVVLDWDMGYVIHKLDVDEFTDSGTGAAMFHHLPEHAAVRIEAPVDLSFPAVAAVGSKIVIATHALLEDAPVFMYDTGTSSLAAGPRPTAPLMPGIMVPVHGQRLYALDPRSASKHYLQVMSPAPRDDDYPARDSFRFSGRAERWSWESVPSPSPPPFAGAGRDPMFVTAYAVHPDGRTVFVSAHNRRAGDDERRRQGTYALDIARRRPAAAAWTPLGDWLLPFQGQGHYVDDLDAWVGLDDDGRLCSCDVASRGAAASAAALGSKITEETLLREDPKRHVGHPSGATLAYMGDGVFCLVECALRRGLDMADALCAEDGCVLHVTVFGLSYDKAGELRISPRRRGRTYLVSRYNHVVAPKVFWM >ORUFI02G19020.1 pep chromosome:OR_W1943:2:17330984:17332476:1 gene:ORUFI02G19020 transcript:ORUFI02G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSGGNEEAKPPLAEEQRDLRVSDDGEVVVAASPVGSGDDESDAYVASAVSQWMPTAVSAAVARDLLPRFLCSTWWAHLDDGESASSKATARPVAPAAFRVHVTRMRSSFPECSEAPYTAVVAACSLRSSAPPHPESPAAAAAAAAAMPTELSPKHDSSCVASGFGFSSGEISCCLLLLLQ >ORUFI02G19030.1 pep chromosome:OR_W1943:2:17333796:17342057:-1 gene:ORUFI02G19030 transcript:ORUFI02G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASNPPKDKEPIHGRGGLGFGDILRDILTQGGAVVSGPARPPRSPPRSPTERFTQGRAREQPGGGGRGIDGLSAAAALVDRKGKRKIGEGSFTVSDDREQARRKEERTSDSPKVKLLRSSIRAYHGDAEEKLSDRKGEPVNYPFHNCGASTSKLPAHVYHKKIPMGEAANHYFRNIDNSFQQPEVGLRLKYLSHHYSEFRPVHRDEECFYRSFIFSYLEQVVDSIGTREEDRLLAAVRALATKAENLQWASEFSQKHKAFERLIEKIKGWKRMQEHPISIIRLVAATWMCTCIWNYEWCATNCGENQNLEDWCSKHVIAPRVYATSAAVKACAEALRVTVQVENVHDGTCESTHYIVRGAPRVTLLRIESHYEIIYPLPPSSINNSNPHEEKLLPIPSSILAYDRRKIFDRKQKHLDRSNQNTRASTSKSPPHEDQKRSGRKRKRPGCSNQNPRASMSKSSLRKDHKSSALATLVDTRRRRTRLTDTIIPPGCGRTRKYEITRMSTRLVLRRSVRSLYKLHSQSNSLAGRFSLRNFLISDEMTIEMDEFQADDLDPYTKANAEVDFYQYVKTIEELFESLPVPEDIHRWLSMIMRDPTAYQYLICYHYCLMEEHQMMHVFTSLYNKLLVLPTTDPAGYNFVLERLKIFSGWSPMDLHNVYFIETFYWKDPITGVPIIYGDDVLSLLRLVRNTYQHFMSKVVEGRKLLFSEKDFGNMVNEQFSGLLDEFFEAMFIATYYADLQLEHTMV >ORUFI02G19040.1 pep chromosome:OR_W1943:2:17367659:17371683:1 gene:ORUFI02G19040 transcript:ORUFI02G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMAGHGERIPTPNIPHSRFLPFSNDPHPTLDPFPLPPPCYKLGSAPASSRRVASRLVVALHCAHDPPIRAQLGHPPNIMAQLKAARVADVPMALDVLAAGAPATSAILAEVDAAGARSAAAGGGGGGGGQRFAVIGHRGKGMNALASPDRRMQEVKENSLRSFNEAARFPVDYVEFDVQVTKDGCPVIFHDNFIFTKEDGKILDKRVTDLQLEDFLLYGPQNEQGKGGKPLLRKLKDGRIVNWNVQSDDPLCTLQEAFEKVNPRLGFNIELKFDDNLEYQEEELTCILQAILKVVFEYAKDRPIIFSSFQPDAAQVMRKLQSTYPVYFLTNGGTEIYADVRRNSLEEAIKLCLASGMQGIVSEARGIFRHPAAVPKIKEANLSLLTYGTLNNVPEAVYMQHLMGVNGVIIDLVQEITEAVSELITVPEPDLNADNLSNGAAKDAATPHFSQCEISFLLRLIPELVQ >ORUFI02G19050.1 pep chromosome:OR_W1943:2:17377447:17383446:1 gene:ORUFI02G19050 transcript:ORUFI02G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTWNARPHSGSRRRSSPSRNSPRQTGQSVAPSMPAPYVAVPREGNGFDRGLIELLLLVLVPTVDEAVDFLNDDEAVDGRVRGRSSSSSSSSTAAADLGPSSSPSGHVPGPGLELLVAGVPDICSGPAVLTGWATTARARLRRDRTLCFLRSYKHVRHFSTKLLEGDLVDAVVPFMGESITDGTLATFLKKPGDRVEADEPIAQIETDKVTMDVASPEAGIIEKFVASEGGIVTPGVKVAIISKSAAQSKTHTQSSEDTSQKHSTKPPSTKENKVEAKPPKVESSTTHESKLTSSSEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLITFNEVDMTNLMKLLSDYKDQFVEKHGVKLGLMSCFVKAAVSALQNQPIVNAVIDGDDIIYREYIDISVAVGTSKGLVVLVIHDIDAMNFADIEKGINNLAKKATEGAQSINNMAGGTFTISNGGVYGSLISTPIINSPQSSILGMHSIVQRLVVVNGSVLARPMMYLALMYDHRLIDGREAVLFLRRIKDVVEDPRRLLLDI >ORUFI02G19060.1 pep chromosome:OR_W1943:2:17384567:17385979:-1 gene:ORUFI02G19060 transcript:ORUFI02G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYKCLDKIGRKSRVWCIGCRTRGLGKPAVKCLHRGINYGEVECFREPRCLEACQGHPYLVEHPTTHREMKRGGDGRACPCCYVVTEYINRSSLVRVVQEERWLLGSIMANILTGGPLFLIPTLHRHVRAQLVHVVGVTPGFHRRHEEEEQHDRRNRCVSAGMKCEFVQEVVPINTREKHFCSLGKIKKHLIGTTDIDNLLDK >ORUFI02G19070.1 pep chromosome:OR_W1943:2:17387695:17387934:1 gene:ORUFI02G19070 transcript:ORUFI02G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWCLCLITMVTVSYWNNSVTTNSAIAARYSSNVPTSKSASAHAALLTLSHLNPSANPPSHAAGPPAAGARVHVSFA >ORUFI02G19080.1 pep chromosome:OR_W1943:2:17395317:17403478:1 gene:ORUFI02G19080 transcript:ORUFI02G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSLATLRGQHRGRWIQSHSKLPTRIMSINLPKSNHSHKIQLPCQSNLGRKQKTGAFAPLGFHGSIVFAYLAGVVPSGHAFPHARNHSQNQHLGAPGPSDSGRDMKWLPEGNTRFYPSDTWSKKPCNQMVEMPLRMAAIVRNVPESSELVDGIKWLQISTTLIDGLIEPTFMKWIQEEKAWENSKINEELMKTVTSKIKEDDRILKRFNRLGKSELYLDLLYFLRFGSARSYSYFDAKFLAKHGARILEDLVIFLADAVASIYIELISVDGDMPTDVVGSSLALCSLSTRELQRMRNEVAINGWLHQYFESVVSMYEDRFELYVLSRKLCEKPADNQAEVTNWWRLGFGKPSTVTLLEYVHISSFSLPVRRTKELRALAGWRYYFSLLLEMSDIAMPFIRAVVTKVSAAVSYFWVSMIGRIPAYIGKPCSVACQFLTEILTKKPNHILHANKASSFRMNFFHLALVLLPLSFICPPVGSCIEQERLTLLRFLAELSPPHDNGLAASWRNRTDCCTWEGIICDVDGAVTEILLASRGLEGRISSSLSELTSLSRLNLSYNSLSGGLPPELIFSGSIVVLDVSFNRLGVELQEVDSSSSDWPLQVLNISSNLFTGVFPSTTWEKMSNLVAINASNNSFTGHIPSSFCISSLSFAALDLCYNQFSGEIPAGIGKCSALRMLKAGHNNISGALPDDLFHATSLEYLSFPNNGLQGTIKLVIKLSNLVFLDLGGNSFSGKVPESIGELKKLEELCMDHNYISGELPSTLANCTNLAAIVLVSNKFTGDLAKVNFSNLPNLKTLDLCTNYFTGTIPASIYSCSNLTWLRLSFNKLHGQLPEETEKLKSLTFVSLSYNYFTNITGALHILKSLRNLTTLLIGGNFMHETIPQDETIHGLENLQVLGINDCALTGKIPSWLSKLKKLELLLLYNNQLSGPIPTWIKSLNYLKYVDLSNNSLIGEIPTSLTEMPMLRSDKIADHSNPRLFRMPVFVAPSLQYHTANAFPKMLNLGNNKFSGVIPMEIGQLKALLSLNLSFNNLHGEIPQSASNLKNLMVLDLSSNHLTGEIPSSLANLHFLSNFNISYNDLEGPVPIIGQFSTFPTSSFAGNPKLCSPMLLHRCNSAGAAPVSTIPTKQYIDKVVFAIAFGMFFGVGVLYDQIVVSRFFG >ORUFI02G19080.2 pep chromosome:OR_W1943:2:17395317:17403478:1 gene:ORUFI02G19080 transcript:ORUFI02G19080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSLATLRGQHRGRWIQSHSKLPTRIMSINLPKSNHSHKIQLPCQSNLGRKQKTGAFAPLGFHGRCCRIETSVKCYFLQSLVDSESMISPNLLLLSDEALLTISIVFAYLAGVVPSGHAFPHARNHSQNQHLGAPGPSDSGRDMKWLPEGNTRFYPSDTWSKKPCNQMVEMPLRMAAIVRNVPESSELVDGIKWLQISTTLIDGLIEPTFMKWIQEEKAWENSKINEELMKTVTSKIKEDDRILKRFNRLGKSELYLDLLYFLRFGSARSYSYFDAKFLAKHGARILEDLVIFLADAVASIYIELISVDGDMPTDVVGSSLALCSLSTRELQRMRNEVAINGWLHQYFESVVSMYEDRFELYVLSRKLCEKPADNQAEVTNWWRLGFGKPSTVTLLEYVHISSFSLPVRRTKELRALAGWRYYFSLLLEMSDIAMPFIRAVVTKVSAAVSYFWVSMIGRIPAYIGKPCSVACQFLTEILTKKPNHILHANKASSFRMNFFHLALVLLPLSFICPPVGSCIEQERLTLLRFLAELSPPHDNGLAASWRNRTDCCTWEGIICDVDGAVTEILLASRGLEGRISSSLSELTSLSRLNLSYNSLSGGLPPELIFSGSIVVLDVSFNRLGVELQEVDSSSSDWPLQVLNISSNLFTGVFPSTTWEKMSNLVAINASNNSFTGHIPSSFCISSLSFAALDLCYNQFSGEIPAGIGKCSALRMLKAGHNNISGALPDDLFHATSLEYLSFPNNGLQGTIKLVIKLSNLVFLDLGGNSFSGKVPESIGELKKLEELCMDHNYISGELPSTLANCTNLAAIVLVSNKFTGDLAKVNFSNLPNLKTLDLCTNYFTGTIPASIYSCSNLTWLRLSFNKLHGQLPEETEKLKSLTFVSLSYNYFTNITGALHILKSLRNLTTLLIGGNFMHETIPQDETIHGLENLQVLGINDCALTGKIPSWLSKLKKLELLLLYNNQLSGPIPTWIKSLNYLKYVDLSNNSLIGEIPTSLTEMPMLRSDKIADHSNPRLFRMPVFVAPSLQYHTANAFPKMLNLGNNKFSGVIPMEIGQLKALLSLNLSFNNLHGEIPQSASNLKNLMVLDLSSNHLTGEIPSSLANLHFLSNFNISYNDLEGPVPIIGQFSTFPTSSFAGNPKLCSPMLLHRCNSAGAAPVSTIPTKQYIDKVVFAIAFGMFFGVGVLYDQIVVSRFFG >ORUFI02G19090.1 pep chromosome:OR_W1943:2:17406758:17412165:-1 gene:ORUFI02G19090 transcript:ORUFI02G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLLRLKGFGHHQQHRERKSRQPQPQPTPAKLDELADAAQDVEEMRNCYDGFISAAAATTNGVYEFAEALEELGSCLLAKPVLNDDDDDSGRVLMMLGKAQYELQKSADRYRTNIIHTITTPSESLLKELQTLEEMKQQCDMKRDAYETMRASYSDKGGSRHSKTESFSTEQLDASFLEYQEDSALFTFRLKSLKQGQFQSLLTQAARHHAAQLSFFRKGLKCLEALEPRVKAISEKHHIDYNFSGLEDDGSDNDGYSTYDSCSDDGELSFDYEINDRDQDFLTSRGSMDFDKSDQTTSPKPIKENKQEQAKQAEAEIVFPQLKPEFATHSAPLFAGNLLDETDRLRQMRPSSTKHSYRLPTPVGADNPVPSGSHRLHHSAQFFETKPHAPTNLWHSSPLTKDYNGAMHNAATKPSSSSSTDDLKKLKRESWSGPIPIKAGSGGKPFSQADHRPSPTMAYPGAMPAAKPHVRHASSSSVSPKVSPKMSPVPPASSLKISELHLLPLPPANVDPVRPSGLVGYSGPLVSKRAPTPARASPKASRTASPLPRPPAALARSYSIPSNSQRTPIITVNKLLEAKHSREGSDASSPPLTPLSLSDLCHQEKAGKAAAGNTRRKETL >ORUFI02G19100.1 pep chromosome:OR_W1943:2:17420938:17421375:1 gene:ORUFI02G19100 transcript:ORUFI02G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGNVAAVGGGAVGGRRVAELEQEADAEEDECAAAGDANDGGGVERLRRRLAAEGRWRKATLEELEKDRCAAADEAELEQEADAEEDECATASDANGGDMEEGGSVERLRRRFVTEERRREVALEPGGAREGEARGGVRGRRG >ORUFI02G19110.1 pep chromosome:OR_W1943:2:17423280:17426779:-1 gene:ORUFI02G19110 transcript:ORUFI02G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAAFPAPAGDEPAIGIPYHPAAAGAQGGYYYAPDPYAAGMPPPNAIYAGAPKGVPLQQTMFRDTPAPFHCQACGAAAVSSLRSKPSLASVVACMMPFMMGVCFLCPSMDCLWHKYHYCPSCGEKVAEFKKSDPCLVVDPTRWSEPSFAVPA >ORUFI02G19120.1 pep chromosome:OR_W1943:2:17469503:17472085:-1 gene:ORUFI02G19120 transcript:ORUFI02G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHASYAAYATPGGPRAPPPPPRHRQPLRQQQQKQIPFSSSFSSSSFFFFFFFFFLAVRLVLVGEGEGWGGGVVVVVVDLMTAPNIEMIASSLRNCSLNGGGGGGGGRRRGRRAAAAEGSDDSEGVTVELNSEVALPYHWEQCLDIRTGQVYYINWEDGTRTTIDPRSSSAYSPAPPPPRRCSRARGRGGGGGAAAAASTTTSSGYTSVSSVGAVTAAAAAWRSHDSSGHGYGYGYGYGSYGYGYGYDGRDGDDEESSSSSSSSSSSSSASSSRXAAAVPAPPLAAAEFRNSLIVGGPSRNCACSVRENFQREKKY >ORUFI02G19130.1 pep chromosome:OR_W1943:2:17479792:17485330:-1 gene:ORUFI02G19130 transcript:ORUFI02G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPSESTRGSQPLAPRPSNPSPGPRHGNSSAPPRRRGRRSPTAPVSPPSAAGGSGGPSSDSVVPAIREYVDTSQKVVGFRISREENDDSYTQEVGNFSECHSSEHGNSGFSANNGTSGQATPQRPELMESLKIDQSTTNSSGNGTQVTARKNQSVNANYLLNFHYDPISRPQPRGPRTYPTRRQRKIRPYNKDLFLQANFKFVVLDTGSYEIELMDPDKMLQWEDIVCVRYYSPCEVQCPICLESPLCPQITSCGHIYCFPCILRYLLMGKEDYKGESWKKCPLCFMMISTKELYTIYITQVQHFHVGDNVTFTLLSRSKNSLTPSIKNLTDESTIDEDPCSAFSKFILTSDVELSVREAKTDLVNWLHMADLGLVDDLEKLPYVSTALEQLEERMKYWSEYRNFSVSPPLKDSFSPVTSSKSRNPNNAQSSRQNSEHKLSPLSDEDMIAGVSELCISPESNKIFNKGMPSKTEERCMAPIDSNENDTYNFYQVSDGQHLILHPLNMKCLINHYGSSDMLPPRIHGKILELETVTQSEATRKRYRYLSHFSLTTTFQFCEIDLGDMLPPSSLAPFMDEIKKREKQRKRTAKKEESDRVKAEVAAAAQASAMLFEHTSFSPSSGPHGDFMFSLDDFEALGNNAGPSTSPPASERKLFSDVARLGFASAQDSPPLRVESGDLTGKSESTGEQGPAATPALSFASIISSTRASDNSLDTHKPNVVGKKGKKPTKVLLSTGGGRRY >ORUFI02G19140.1 pep chromosome:OR_W1943:2:17488176:17491459:-1 gene:ORUFI02G19140 transcript:ORUFI02G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGKRSPRARRRRWRWSGRPAAEESLFSDDEEEEDDDEAPPATAAAVAATAQAPPRAVIALPISGTSKDKQPEQQAASRGTPPPPPPTTQQQQGGQKRRRADDDEEEEDDGRRGGELQSKLVEILDRSSRMVAAQLEAQNANSRLDREQRRDQAASLAVVLGRLADALGRIADKL >ORUFI02G19140.2 pep chromosome:OR_W1943:2:17488176:17491459:-1 gene:ORUFI02G19140 transcript:ORUFI02G19140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGKRSPRARRRRWRWRWRRRRRRGRREPEPRRRGRPAAEESLFSDDEEEEDDDEAPPATAAAVAATAQAPPRAVIALPISGTSKDKQPEQQAASRGTPPPPPPTTQQQQGGQKRRRADDDEEEEDDGRRGGELQSKLVEILDRSSRMVAAQLEAQNANSRLDREQRRDQAASLAVVLGRLADALGRIADKL >ORUFI02G19150.1 pep chromosome:OR_W1943:2:17518797:17520054:1 gene:ORUFI02G19150 transcript:ORUFI02G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQPHRENIEVLHLRTRRWNTVVAVYVRHLDAATTLLYSHGNAADLGHLYQLFLHLSFNLRVNVLSFYSELA >ORUFI02G19160.1 pep chromosome:OR_W1943:2:17520838:17521695:-1 gene:ORUFI02G19160 transcript:ORUFI02G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLRRDREEGRRGRRLAGEERCGEEGRCDGRRHRCLLSRGWPRRSSPSTVGLDAAQQSAPVHTPLHAPPRRGQAARRYSTDPVLVRPDDHARWEQGAEVTAVAVVVVLVEFDHAKTYYIGAPSESVEQDVMHSYSMAFGGGGFAISYPAA >ORUFI02G19170.1 pep chromosome:OR_W1943:2:17532337:17539209:1 gene:ORUFI02G19170 transcript:ORUFI02G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVESDGGGGAPFSSSSSAAAPDNFDAAQYSFFGKEPLEGLELSCLEDGGGGGGDANGGGFSGGAEEGLYRLSSVGEEIDNLSNLSDIDDLASTFAKLNRTISGTRNPGVIGDRRSISRGSSLTVDWAEDVEFPNWVDQDILEDEEFQESKRWWSQSHTLVQQGDAKPLSRTSSYPQQPLQHRASEPIIPPKSPSFTSFPPPGGRSPYTSQGLTRHGSIPSLGAGLQMGSPSMSLPSSPYHIAGLSHGLPYGGSMSFGTSNLPVNNPMQNDWPSQANLYAGEQFNLLPNMLQKQISLPNSPMSSLLFSQQQQRLAQVQVQPSHQNYLNLPPHIFYQHHSPELTGRFDSVSSVPSSRDKRSRSGRGKHNIRFSQPLPDTGNQNGDSGGIKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACIAKRGANSRPKANFAPTSMKDLPSKSRSSGDHHAYLQVDAVGRVSFSSIRRPRSLLEVDLPSSSDGSHDQKSSLRPLEKEPMLAARVTVEDALCLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLAASLQLVDPLGPSTSSHSSGLAAKDDLVFLRIVSLPKGRKFLSRYLRLLTPGSELTRIVCMAIFRHLRYLFGGLPSDSSAAETTVTLAKTLSSCVRHMELGALSACLAAVVCSPEQPPLRPLASSAGDGASLIIKSVLDRATELLTDQHAAASYTVSNRTLWQASFDAFFGLLTKYCLSKYESIRQMFTMQSPGSVIGSEVSKATSREMPVELLRASLPHTNDQQRQLLLDFAQRTMPVTGFNASGANGGHITSESVPG >ORUFI02G19170.2 pep chromosome:OR_W1943:2:17532337:17539385:1 gene:ORUFI02G19170 transcript:ORUFI02G19170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVESDGGGGAPFSSSSSAAAPDNFDAAQYSFFGKEPLEGLELSCLEDGGGGGGDANGGGFSGGAEEGLYRLSSVGEEIDNLSNLSDIDDLASTFAKLNRTISGTRNPGVIGDRRSISRGSSLTVDWAEDVEFPNWVDQDILEDEEFQESKRWWSQSHTLVQQGDAKPLSRTSSYPQQPLQHRASEPIIPPKSPSFTSFPPPGGRSPYTSQGLTRHGSIPSLGAGLQMGSPSMSLPSSPYHIAGLSHGLPYGGSMSFGTSNLPVNNPMQNDWPSQANLYAGEQFNLLPNMLQKQISLPNSPMSSLLFSQQQQRLAQVQVQPSHQNYLNLPPHIFYQHHSPELTGRFDSVSSVPSSRDKRSRSGRGKHNIRFSQPLPDTGNQNGDSGGIKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACIAKRGANSRPKANFAPTSMKDLPSKSRSSGDHHAYLQVDAVGRVSFSSIRRPRSLLEVDLPSSSDGSHDQKSSLRPLEKEPMLAARVTVEDALCLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLAASLQLVDPLGPSTSSHSSGLAAKDDLVFLRIVSLPKGRKFLSRYLRLLTPGSELTRIVCMAIFRHLRYLFGGLPSDSSAAETTVTLAKTLSSCVRHMELGALSACLAAVVCSPEQPPLRPLASSAGDGASLIIKSVLDRATELLTDQHAAASYTVSNRTLWQASFDAFFGLLTKYCLSKYESIRQMFTMQSPGSVIGSEVSKATSREMPVELLRASLPHTNDQQRQLLLDFAQRTMPVTGFNASGANGGHITSESVPG >ORUFI02G19180.1 pep chromosome:OR_W1943:2:17541678:17544204:-1 gene:ORUFI02G19180 transcript:ORUFI02G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGQHGQRCKWMMGGTSGEMRAAGMAMRVWVAGTTGVVGREASDSAGSGMARAAGCSSDLSTRSSASLSSLWQISTTKSGMQLLSTVTPLMSGWWREFDWELDTWASAPLAGAAAAPSVVVRLRSSRFSFQTSPSSYTSFFQMGTDALTPARLHRVGAVHRGRRHEHARLPRGDRPEPVRHGEPPQQQGAAGGAGLADDGG >ORUFI02G19180.2 pep chromosome:OR_W1943:2:17541678:17544204:-1 gene:ORUFI02G19180 transcript:ORUFI02G19180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGQHGQRCKWMMGGTSGEMRAAGMAMRVWVAGTTGVVGREASDSAGSGMARAAGCSSDLSTRSSASLSSLWQISTTKSGMQLLSTVTPLMVCSPDGGVSLIGSSTLGVWREVSARITPKLFLVARMEYLLETDFHFQYAIFRMMGWTSAPLAGAAAAPSVVVRLRSSRFSFQTSPSSYTSFFQMGTDALTPARLHRVGAVHRGRRHEHARLPRGDRPEPVRHGEPPQQQGAAGGAGLADDGG >ORUFI02G19190.1 pep chromosome:OR_W1943:2:17553927:17555083:1 gene:ORUFI02G19190 transcript:ORUFI02G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRWPEEVEKQTQARRFRRCKRQGTQFGTGRRRLARWSAGCSRCRLVVHEAPVAQPLVDEFGWSRIYLTRWSPDVIVCWCVGMESELFARDGKLGNDNPLPLSSWQCCNGLGFVVGRERESGLRLEDPALRFLGRFGHLVRGLFLRSQSCSFELCRRMHPVLFLVWAFSRFSPINVYL >ORUFI02G19200.1 pep chromosome:OR_W1943:2:17569598:17569960:1 gene:ORUFI02G19200 transcript:ORUFI02G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLHLEKVPPCGKGGRGWSGPSSSYVVAGRVVLVDAVLRVVGELAELLHPLQDEVVHGAVVGLGLHAVEGVHGGQLGDLATDAVELPVFLGQQLTNVAYEVLSHILHALISLAWLAGS >ORUFI02G19210.1 pep chromosome:OR_W1943:2:17591666:17599898:1 gene:ORUFI02G19210 transcript:ORUFI02G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQVMDQRHHMSQYSHPTLAASSFSEELRLPTERQVGFWKQESLPHHMGSKSVASSPIEKPQPIGTRMAGRLELLQPYKLRDQGAAFSLEHKLFGQERHANLPPSPWRPDQETGRQTDSSLKSAALFSDGRINPNGAYNENGLFSSSVSDIFDKKLRLTSKNGLVGQSIEKVDLNHVDDEPFELTEEIEAQIIGNLLPDDDDLLSGVVDEVGYPTNANNRDDADDDIFYTGGGMELETDENKKLQEFNGSANDGIGLLNGVLNGEHLYREQPSRTLFVRNINSNVEDSELKLLFEHFGDIRALYTACKHRGFVMISYYDIRSALNAKMELQNKALRRRKLDIHYSIPKDNPSEKDINQGTIVLFNVDLSLTNDDLHKIFGDYGEIKEIRDTPQKGHHKIIEFYDVRAAEAALRALNRNDIAGKKIKLETSRLGAARRICLQNCVRKSLVYANWGVQAQVALQLLRLVHFLTRMVILQGSTNLATITSTGHENGSIQGMHSGLQTSISQFRETSFPGLSSTIPQSLSTPIGISSGATHSNQAALGEISQSLGRMNGHMNYSFQGMSALHPHSLPEVHNGVNNGVPYNLNSMAQVVNGTNSRTAEAVDNRHLHKVGSGNLNGHSFDRAEGALGFSRSGSSSVRGHQLMWNNSSNFHHHPNSPVLWPSPGSFVNNVPSRSPAQMHGVPRAPSSHMIDNVLPMHHLHVGSAPAINPSLWDRRHGYAGELTEAPNFHPGSVGSMGFPGSPQLHSMELNNIYPQTGGNCMDPTVSPAQIGGPSPQQRGSMFHGRNPMVPLPSFDSPGERMRSRRNDSNGNQSDNKKQYELDVDRIVRGDDSRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFIYLPIDFKNKCNVGYAFINMTNPQHIIPFYQTFNGKKWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGTNIRARSGRSRASSGEESHQDISITSVNCDTSTNGVDTTGPAKD >ORUFI02G19220.1 pep chromosome:OR_W1943:2:17600323:17601024:-1 gene:ORUFI02G19220 transcript:ORUFI02G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDMDDLVARFMDITMLDSRDAAANHIRSCRGSLDDALALYFAAADDEPPIRPPIPTRTERLYGDDDDDDHGHLTATPPPPPPPPPPVPVVRPPMPVPARTESFFQDAGYLRAVLGNSNVVEEEDGDAASDYGEATEGEEACSVRVRFPDGRVVQKEFGAARPVEALFRYCHRHSVSAAGGGRRAFRLVRFAGAASEEIRRGDATFQQLGLHCWTLHLLFGLGPRAPSDGH >ORUFI02G19230.1 pep chromosome:OR_W1943:2:17621168:17627112:-1 gene:ORUFI02G19230 transcript:ORUFI02G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGPAKDQEGPVHGPQESTSSAAAAAAADASIPAPPEGAGDVSPPSPPPPPPPSSVQSRAHERAREQPGDGGRGADRSSSAAAAVDRKGKKKIGEDSSSPAPPDDREQAPRKEGRKKSDSPMKFLRSSILAIHGYAKKKLSDRKGKQPNYPNQTSKEIISKSPPHVGHKKVPIDKAFIHYFGNIHNALQHGYVPLHLAHLDTRYSELRPVRRDGEGFYRSFMFSYLEQVADRVDTREEDRLLDAVRKLATRAEHLQWASEFSRRCEEMHHCFTIVARHRSQHLAFETLIEKIKKLKCMSEQPTSAIRGELLLELFSSYDTTDDIFAFLRLAAAIWICTHKGLYGQRVTGLGEGVSLEDWCSTQVIPPRVHADHVTMSALSRALGVAVRVEDTLDGRKKDLMAAELQSITRASNPRLSDNNKEEEEEGASILLLLQFRLQVEGLAREMQIVPRVQVKGQRVRAGSTAADVVVPRRSSLELMELVVVIAAAAARFDVKNM >ORUFI02G19230.2 pep chromosome:OR_W1943:2:17621168:17627112:-1 gene:ORUFI02G19230 transcript:ORUFI02G19230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGPAKDQEGPVHGPQESTSSAAAAAAADASIPAPPEGAGDVSPPSPPPPPPPSSVQSRAHERAREQPGDGGRGADRSSSAAAAVDRKGKKKIGEDSSSPAPPDDREQAPRKEGRKKSDSPMKFLRSSILAIHGYAKKKLSDRKGKQPNYPNQTSKEIISKSPPHVGHKKVPIDKAFIHYFGNIHNALQHGYVPLHLAHLDTRYSELRPVRRDGEGFYRSFMFSYLEQVADRVDTREEDRLLDAVRKLATRAEHLQWASEFSRRCEAFETLIEKIKKLKCMSEQPTSAIRGELLLELFSSYDTTDDSERNTLSLRSFSLILIHDSDMHSCCISFCFPQWCSTQVIPPRVHADHVTMSALSRALGVAVRVEDTLDGRKKDLMAAELQSITRASNPRLSDNNKEEEEEGASILLLLQFRLQVEGLAREMQIVPRVQVKGQRVRAGSTAADVVVPRRSSLELMELVVVIAAAAARFDVKNM >ORUFI02G19230.3 pep chromosome:OR_W1943:2:17621168:17627112:-1 gene:ORUFI02G19230 transcript:ORUFI02G19230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGPAKDQEGPVHGPQESTSSAAAAAAADASIPAPPEGAGDVSPPSPPPPPPPSSVQSRAHERAREQPGDGGRGADRSSSAAAAVDRKGKKKIGEDSSSPAPPDDREQAPRKEGRKKSDSPMKFLRSSILAIHGYAKKKLSDRKGKQPNYPNQTSKEIISKSPPHVGHKKVPIDKAFIHYFGNIHNALQHGYVPLHLAHLDTRYSELRPVRRDGEGFYRSFMFSYLEQVADRVDTREEDRLLDAVRKLATRAEHLQWASEFSRRCEAFETLIEKIKKLKCMSEQPTSAISFCFPQWCSTQVIPPRVHADHVTMSALSRALGVAVRVEDTLDGRKKDLMAAELQSITRASNPRLSDNNKEEEEEGASILLLLQFRLQVEGLAREMQIVPRVQVKGQRVRAGSTAADVVVPRRSSLELMELVVVIAAAAARFDVKNM >ORUFI02G19240.1 pep chromosome:OR_W1943:2:17630017:17630580:-1 gene:ORUFI02G19240 transcript:ORUFI02G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRCRRRTASAAAAAARRRLCSLLALAGDYLKYLLTKRGRFLGRVARRSLAALLLSSGGGGKPCLATAPWPPCALAEREFSCSNSPSPAFLAARRLRSRLKRRAGAASCFGALRSPCGCGPSATEAADQEEEEEDEEVDQYGAWECGGGELIDVDYRAEEFINMFYEQLRAQSFHPPTVLQCRSP >ORUFI02G19250.1 pep chromosome:OR_W1943:2:17636644:17640435:1 gene:ORUFI02G19250 transcript:ORUFI02G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNNTLSAPILRDTHLCSPAAGANVSGGSGSSSVLTRALHAARARKMYQQKQLAGIAVSNSKKTSGIKGKKVVQEKIYTRCTPAILSDLFSDIGDQQKELVRQMGFDGLLSMRLTKLNKQFGAWILCKLDPSSGNLFAGSKHEICLTCEDVSLLLGIPCGRKQILPAIKNEVKDVKAYMCEIFEKDSFDGITIATIQRILEKKFTRTMTVHEQIVFKTAFIIFVVTKFLAPQSVNNHISIRYMKALKIFTSTTGQNLFCMRLKMQQQHCKTKYAIEKALVTSMAALYYLRIGVYNDSMIAEFIDRDVILKNRKPFPAYGKMKLRNRHVEKCNLGHPTGATEAAHVNRSFDT >ORUFI02G19250.10 pep chromosome:OR_W1943:2:17638238:17640435:1 gene:ORUFI02G19250 transcript:ORUFI02G19250.10 gene_biotype:protein_coding transcript_biotype:protein_coding MVACTPGHDISKVVEDSEKAQELKAHTPDQAISKLVQASDEAGEDNAKTPFSQSGYRQTKLSSFSPHSLLKETSGARIYMREEYACTKFPPKSKRRIIGGPSDILFDRPKRSIKPSRSVKSPFLSKQHSFVRHDLKALDDLYIYVTSITDEEAVKKIWVHISQPVPMSLSLHDIQQAIRLDTQMQEETFNVAVQVLASYEIHRFGGTYFVGWRHFLNQDFAMFATASDDLWNPEDHLPSFKDDSLIPYDLPKKQLCILDPLRDASKRSEDTAERHSKTKIHISNALKECMNLAFPDWDEDIPNWDQGNLRKEFLSNLLSFKKNEAILPDFVTHGLKLSKKI >ORUFI02G19250.2 pep chromosome:OR_W1943:2:17636644:17640435:1 gene:ORUFI02G19250 transcript:ORUFI02G19250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNNTLSAPILRDTHLCSPAAGANVSGGSGSSSVLTRALHAARARKMYQQKQLAGIAVSNSKKTSGIKGKKVVQEKIYTRCTPAILSDLFSDIGDQQKELVRQMGFDGLLSMRLTKLNKQFGAWILCKLDPSSGNLFAGSKHEICLTCEDVSLLLGIPCGRKQILPAIKNEVKDVKAYMCEIFEKDSFDGITIATIQRILEKKFTRTMTVHEQIVFKTAFIIFVVTKFLAPQSVNNHISIRYMKALKIFTSTTGQNLFCMRLKMQQQHCKTKYAIEKALVTSMAALYYLRIGVYNDSMIAEFIDRDVILKNRKPFPAYGKMKLRNRHVEKCNLGHPTGATEAAHVNRSFDT >ORUFI02G19250.3 pep chromosome:OR_W1943:2:17636644:17640435:1 gene:ORUFI02G19250 transcript:ORUFI02G19250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNNTLSAPILRDTHLCSPAAGANVSGGSGSSSVLTRALHAARARKMYQQKQLADLFSDIGDQQKELVRQMGFDGLLSMRLTKLNKQFGAWILCKLDPSSGNLFAGSKHEICLTCEDVSLLLGIPCGRKQILPAIKNEVKDVKAYMCEIFEKDSFDGITIATIQRILEKKFTRTMTVHEQIVFKTAFIIFVVTKFLAPQSVNNHISIRYMKALVDVENIHKYNWAEFVLHEIKDAAAALQDKIRHRKSIGYINGCIILPELFYLHHLDFGTDTPGHENISRIGVYNDSMIAEFIDRDVILKNRKPFPAYGKMKLRNRHVEKCNLGHPTGATEAAHVNRSFDT >ORUFI02G19250.4 pep chromosome:OR_W1943:2:17636644:17640435:1 gene:ORUFI02G19250 transcript:ORUFI02G19250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNNTLSAPILRDTHLCSPAAGANVSGGSGSSSVLTRALHAARARKMYQQKQLAGIAVSNSKKTSGIKGKKVVQEKIYTRCTPAILSDLFSDIGDQQKELVRQMGFDGLLSMRLTKLNKQFGAWILCKLDPSSGNLFAGSKHEICLTCEDVSLLLGIPCGRKQILPAIKNEVKDVKAYMCEIFEKDSFDGITIATIQRILEKKFTRTMTVHEQIVFKTAFIIFVVTKFLAPQSVNNHISIRYMKALKIFTSTTGQNLFCMRLKMQQQHCKTKYAIEKALVTSMAALYYLRIGVYNDSMIAEFIDRDVILKNRKPFPAYGKMKLRNRHVEKCNLGHPTGATEAAHVNRSFDT >ORUFI02G19250.5 pep chromosome:OR_W1943:2:17636644:17640435:1 gene:ORUFI02G19250 transcript:ORUFI02G19250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNNTLSAPILRDTHLCSPAAGANVSGGSGSSSVLTRALHAARARKMYQQKQLAGIAVSNSKKTSGIKGKKVVQEKIYTRCTPAILSDLFSDIGDQQKELVRQMGFDGLLSMRLTKLNKQFGAWILCKLDPSSGNLFAGSKHEICLTCEDVSLLLGIPCGRKQILPAIKNEVKDVKAYMCEIFEKDSFDGITIATIQRILEKKFTRTMTVHEQIVFKTAFIIFVVTKFLAPQSVNNHISIRYMKALVDVENIHKYNWAEFVLHEIKDAAAALQDKIRHRKSIGYINGCIILPELFYLHHLDFGTDTPGHENISRIGVYNDSMIAEFIDRDVILKNRKPFPAYGKMKLRNRHVEKCNLGHPTGATEAAHVNRSFDT >ORUFI02G19250.6 pep chromosome:OR_W1943:2:17636644:17640435:1 gene:ORUFI02G19250 transcript:ORUFI02G19250.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNNTLSAPILRDTHLCSPAAGANVSGGSGSSSVLTRALHAARARKMYQQKQLAGIAVSNSKKTSGIKGKKVVQEKIYTRCTPAILSDLFSDIGDQQKELVRQMGFDGLLSMRLTKLNKQFGAWILCKLDPSSGNLFAGSKHEICLTCEDVSLLLGIPCGRKQILPAIKNEVKDVKAYMCEIFEKDSFDGITIATIQRILEKKFTRTMTVHEQIVFKTAFIIFVVTKFLAPQSVNNHISIRYMKALVDVENIHKYNWAEFVLHEIKDAAAALQDKIRHRKSIGYINGCIILPELFYLHHLDFGTDTPGHENISRIGVYNDSMIAEFIDRDVILKNRKPFPAYGKMKLRNRHVEKCNLGHPTGYRQTKLSSFSPHSLLKETSGARIYMREEYACTKFPPKSKRRIIGGPSDILFDRPKRSIKPSRSVKSPFLSKQHSFVRHDLKALDDLYIYVTSITDEEAVKKIWVHISQPVPMSLSLHDIQQAIRLDTQMQEETFNVAVQVLASYEIHRFGGTYFVGWRHFLNQDFAMFATASDDLWNPEDHLPSFKDDSLIPYDLPKKQLCILDPLRDASKRSEDTAERHSKTKIHISNALKECMNLAFPDWDEDIPNWVSEFPSTIPALNNRLDCAFHVLYYMRNWDGTRLVNPSLTDQGNLRKEFLSNLLSFKKNEAILPDFVTHGLKLSKKI >ORUFI02G19250.7 pep chromosome:OR_W1943:2:17636644:17640435:1 gene:ORUFI02G19250 transcript:ORUFI02G19250.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNNTLSAPILRDTHLCSPAAGANVSGGSGSSSVLTRALHAARARKMYQQKQLAGIAVSNSKKTSGIKGKKVVQEKIYTRCTPAILSDLFSDIGDQQKELVRQMGFDGLLSMRLTKLNKQFGAWILCKLDPSSGNLFAGSKHEICLTCEDVSLLLGIPCGRKQILPAIKNEVKDVKAYMCEIFEKDSFDGITIATIQRILEKKFTRTMTVHEQIVFKTAFIIFVVTKFLAPQSVNNHISIRYMKALVDVENIHKYNWAEFVLHEIKDAAAALQDKIRHRKSIGYINGCIILPELFYLHHLDFGTDTPGHENISRIGVYNDSMIAEFIDRDVILKNRKPFPAYGKMKLRNRHVEKCNLGHPTGATEAAHVNRSFDT >ORUFI02G19250.8 pep chromosome:OR_W1943:2:17636644:17640435:1 gene:ORUFI02G19250 transcript:ORUFI02G19250.8 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNNTLSAPILRDTHLCSPAAGANVSGGSGSSSVLTRALHAARARKMYQQKQLAGIAVSNSKKTSGIKGKKVVQEKIYTRCTPAILSDLFSDIGDQQKELVRQMGFDGLLSMRLTKLNKQFGAWILCKLDPSSGNLFAGSKHEICLTCEDVSLLLGIPCGRKQILPAIKNEVKDVKAYMCEIFEKDSFDGITIATIQRILEKKFTRTMTVHEQIVFKTAFIIFVVTKFLAPQSVNNHISIRYMKALVDVENIHKYNWAEFVLHEIKDAAAALQDKIRHRKSIGYINGCIILPELFYLHHLDFGTDTPGHENISRIGVYNDSMIAEFIDRDVILKNRKPFPAYGKMKLRNRHVEKCNLGHPTGYRQTKLSSFSPHSLLKETSGARIYMREEYACTKFPPKSKRRIIGGPSDILFDRPKRSIKPSRSVKSPFLSKQHSFVRHDLKALDDLYIYVTSITDEEAVKKIWVHISQPVPMSLSLHDIQQAIRLDTQMQEETFNVAVQVLASYEIHRFGGTYFVGWRHFLNQDFAMFATASDDLWNPEDHLPSFKDDSLIPYDLPSCHLDQGNLRKEFLSNLLSFKKNEAILPDFVTHGLKLSKKI >ORUFI02G19250.9 pep chromosome:OR_W1943:2:17638238:17640435:1 gene:ORUFI02G19250 transcript:ORUFI02G19250.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVACTPGHDISKVVEDSEKAQELKAHTPDQAISKLVQASDEAGEDNAKTPFSQSGYRQTKLSSFSPHSLLKETSGARIYMREEYACTKFPPKSKRRIIGGPSDILFDRPKRSIKPSRSVKSPFLSKQHSFVRHDLKALDDLYIYVTSITDEEAVKKIWVHISQPVPMSLSLHDIQQAIRLDTQMQEETFNVAVQVLASYEIHRFGGTYFVGWRHFLNQDFAMFATASDDLWNPEDHLPSFKDDSLIPYDLPKKQLCILDPLRDASKRSEDTAERHSKTKIHISNALKECMNLAFPDWDEDIPNWVSEFPSTIPALNNRLDCAFHVLYYMRNWDGTRLVNPSLTDQGNLRKEFLSNLLSFKKNEAILPDFVTHGLKLSKKI >ORUFI02G19260.1 pep chromosome:OR_W1943:2:17645300:17645962:-1 gene:ORUFI02G19260 transcript:ORUFI02G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNPLVVDGRTSSPSSVASPSTEAEGETSSMCDVAEQKPVLAVGVSSPVSSGEHHKPTVEEDRTVSASGDVQQIPAVVAGGSLPASSFAQQKREVLEDGKLTKGCETGFVCCMATADRSEAMNRSLQKYNIHLERSHPLSTTNRTKRCAWCSLRDIRAACNMNFRSGGEPRCDRSCSENVSKENM >ORUFI02G19270.1 pep chromosome:OR_W1943:2:17649018:17650049:-1 gene:ORUFI02G19270 transcript:ORUFI02G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRWWPRRRPEPAAIDITWVSCRGVRSSVPFHTPCLYASIYLHHPSPSPSSCGRRRPHRVKTATDRAGGGNPEWDAPLRLYLPSSSSSSPATSSDNKDEVLLRFELKSEVAVLGDVLSATAAVPVSELVADGATRRVSYQLAGPDGKHPNGVISFSYAVHAAAAADTSSSSPSSDADDDRRSTTTTTTSGSECDEYSITPPRSAASRAITLPPPPPSSTMYPAIDWPPTEQLIPMLLYPPAKPHTTAIVKGSTCYPPPPPPSSTPPVEPVAVFPPPPSPACGVYYPPPTVREPVINRSGMYPKVDLDIPVSCYPPPPTAATMYGGGCGYAAAPEWDGRWLHG >ORUFI02G19280.1 pep chromosome:OR_W1943:2:17652097:17655859:-1 gene:ORUFI02G19280 transcript:ORUFI02G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLPSPPVLPPPPLSLSLVFPLPLPPPPPPPPRFRKFPISSSSSSSSLARSRRRIQPEQAGLLRINQDISSTRFIEHLCQTIGVNIQSSLQRALINIVGSVAINFGTNLLKLGHDQREKLSTTNNNQGNDKFVPKSVMHFQTWRIGILFFAAGNCLNFMSFAYAAQSLLAALGSIQFVSNIAFAYFVLNKTISVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEQLVAKYSNLVFVLYCMSLVFVVAFNQYLYRSGETIISDSAKHTGSHWRTLLPFSYAIVSGAIGSCSVLFAKSLSNMLRLTMSSRYQFHSWFTYSMLLLFLFTAGFWVIKLIAGTNLKRCSLDFCSCHCDNNFFSNLTFSVSQMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVSFCL >ORUFI02G19290.1 pep chromosome:OR_W1943:2:17663219:17666444:-1 gene:ORUFI02G19290 transcript:ORUFI02G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSEEESLNNLQQQPKLEACAAGSSKGDTVMPVVKKRRGHPGNPDPDVEVVALSPKTLLATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRSSTEAKKKVYVCPEITCPHHDATRALGDLTGIKKHYSRKHGEKKWKCDRCSKKYAVQSDWKAHTKICGTKEYRCDCGTIFSRKDSFITHRAFCDALAEDTSRVNHSLATMVGSLHGQQQDMFSHGVPSFSSSPTDMIANLASNDHNSDSHLRSLSPYALVTRNTTLFSNQISPKESGFPLDGSVTSYPYMSMNSPYMSATALLQKAAEMGAKTSQDPISPLLLKSFPNNLTSSRDHMNISSRSQGDSLGNSTVNSDCMKTTEDENSYMIGRGNILINAPWSSGIMRPGTVPLIGLMNHPFSMREEKDNPSIFPENQTQHNRQDNISGVVDADAGLTQDFLGLGGSGTLDMSSETYNADVTALSYSDEQEKPQEHIYSYHQSSLDPTALEKPIWDS >ORUFI02G19300.1 pep chromosome:OR_W1943:2:17670054:17673120:-1 gene:ORUFI02G19300 transcript:ORUFI02G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEDGAARPEPDVLRRHDSLYGDAEKVSNNKRHGAGGSWARTLQLAFQSIGVVYGDVGTSPLYVYSSTFPNGIKHPDDLVGVLSLILYTLILIPMVKYVFIVLYANDNGDGGTFALYSLISRHAKIRMIPNDQTEDANVSNYSIEAPSSQLRRAEWVKQKLESSNAAKIALFTITILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLTQSQVVWISVAILFVLFSMQRFGTDKVGYTFAPVISVWFLLIAGIGMYNLTVHEITILRAFNPKYIVDYFRRNGKEAWVSLGGVVLCITGTEAMFADLGHFNIRAIQLSFTCVLFPSVALCYMGQAAYLRKFPENVGDTFYRSIPAPLFWPVFVVAIMGAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSNKYEGQVYIPEVNFLIGAASVAVTLAFQTTANIGNAYGICVVTVFSITTHLMTVVMLLIWKVRLPFIAAFYAAFGLAEFLYLSSILSKFAEGGYLPFCFSLRYWYELDRVVPAAETTALLARRDVRRVPGVGLLYSELVQGIPPVFPRLVDKIPSVHAVFVFMSIKHLPVPRVAPAERFIFRRVVGADAGAGHRLFRCVARYGYTDQLEGAKEFAAFLLDRLKVFVHEESVFACSRGDNDDDDAMRRAQAMAEEEKRVIDAEAERGVVYLMGEANVTAAAGSSVMKRIVVNYVYTFLRKNLREGHKALSVPKDQLLKVGITYEI >ORUFI02G19300.2 pep chromosome:OR_W1943:2:17670054:17673120:-1 gene:ORUFI02G19300 transcript:ORUFI02G19300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEDGAARPEPDVLRRHDSLYGDAEKVSNNKRHGAGGSWARTLQLAFQSIGVVYGDVGTSPLYVYSSTFPNGIKHPDDLVGVLSLILYTLILIPMVKYVFIVLYANDNGDGGTFALYSLISRHAKIRMIPNDQTEDANVSNYSIEAPSSQLRRAEWVKQKLESSNAAKIALFTITILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLTQSQVVWISVAILFVLFSMQRFGTDKVGYTFAPVISVWFLLIAGIGMYNLTVHEITILRAFNPKYIVDYFRRNGKEAWVSLGGVVLCITGTEAMFADLGHFNIRAIQLSFTCVLFPSVALCYMGQAAYLRKFPENVGDTFYRSIPAPLFWPVFVVAIMGAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSNKYEGQVYIPEVNFLIGAASVAVTLAFQTTANIGNAYGICVVTVFSITTHLMTVVMLLIWKVRLPFIAAFYAAFGLAEFLYLSSILSKFAEGGYLPFCFSLVLMALMATWHYVHGGYLPFCFSLVLMALMATWHYVHVKRYWYELDRVVPAAETTALLARRDVRRVPGVGLLYSELVQGIPPVFPRLVDKIPSVHAVFVFMSIKHLPVPRVAPAERFIFRRVVGADAGAGHRLFRCVARYGYTDQLEGAKEFAAFLLDRLKVFVHEESVFACSRGDNDDDDAMRRAQAMAEEEKRVIDAEAERGVVYLMGEANVTAAAGSSVMKRIVVNYVYTFLRKNLREGHKALSVPKDQLLKVGITYEI >ORUFI02G19310.1 pep chromosome:OR_W1943:2:17684045:17686933:1 gene:ORUFI02G19310 transcript:ORUFI02G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRGTSRMELGHIVTSATSPSQRSLFPRSRTQWPHVVMGDDLDVRTGKPSLDIFLAEMRRAAPSTAAATTITAVHSTAGEPMSSPFAADEAEVVPSRRHCRRRTPPLPQGHFIENVVEEI >ORUFI02G19310.2 pep chromosome:OR_W1943:2:17684045:17686933:1 gene:ORUFI02G19310 transcript:ORUFI02G19310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRGTSRMELGHIVTSATSPSQRSLFPRSRTQWPHVVMGDDLDVRTGKPSLDIFLAEMRRFEGHFIENVVEEI >ORUFI02G19310.3 pep chromosome:OR_W1943:2:17684482:17686933:1 gene:ORUFI02G19310 transcript:ORUFI02G19310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDLDVRTGKPSLDIFLAEMRRAAPSTAAATTITAVHSTAGEPMSSPFAADEAEVVPSRRHCRRRTPPLPQGHFIENVVEEI >ORUFI02G19310.4 pep chromosome:OR_W1943:2:17683699:17684237:1 gene:ORUFI02G19310 transcript:ORUFI02G19310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPRLLAPGRHRHRAVPTPSASPHPASSTASSSRGPGRLSTPPSQIQPSLIPDSPIPTTLSQRRVIVVAREMTGRGDIKEEDGNPPSATLALQSPFSVEPRIVTFPRRCHHIEAPRAWSSVTSSPPPHHHRSVHSFPEAGRNGRSLAKAPSRSGKRIWTLLPTRPLSEPPS >ORUFI02G19320.1 pep chromosome:OR_W1943:2:17696936:17702150:-1 gene:ORUFI02G19320 transcript:ORUFI02G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEDDDAAGPEVDRLRRHDSFYGDAEKVSNDKSHGTGENWARTLQLAFQSIGVVYGDVGTSPLYVYSSTFPDGVKHPDDLVGVLSLMLYTLILIPMVKYVFIVLYANDNGDGGTFALYSLISRHAKIRMIPNDQTEDANVSNYSIEAPSSQLRRAEWVKQKLESSNAAKIALFTITILGTSMVMGDGTLTPAISVLSAVSGIREKAPSLTQLQVVWISVPILIVLFSVQRFGTDKVGYSFAPVISVWFVLIAGIGAYNLAVHEITILRAFNPMYIIDYFRRNGKEAWVSLGGAVLCITGTEAMFADLGHFNIRAIQLSFTCVLFPSVALCYMGQAAYLRKFPEDVGDTFYKSLPAPLFWPVFVVAIMAAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSNKYEGQVYIPEVNFLIGVASVAITVAFQTTANIGNAYGICVVMVFSITTHLMTVVMLLIWKVRLPFIAAFYVVFTFTEFLYLSSILSKFAEGGYLPFCFSLVLMALMATWHYVHVKXFRCVARYGYTDPLEGAKEFAAFLLDRLKVFVYEEAVFACQCAEDGGGGGGGDDDGVLRRAEEMAAEEKRLIDAEAERGLVYLMGEANVEAAPGSSLMKQIVVNYVYTRLRKNLREEHKALSIPKDQLLKVGITYEI >ORUFI02G19330.1 pep chromosome:OR_W1943:2:17706517:17706792:1 gene:ORUFI02G19330 transcript:ORUFI02G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEAASPSKAAVGATQVVIASLKSVGGGVPPLLIGPETTGEDANDGTVKLGRSGLGLIKFGLERWRMIIRALHEDGSSSTPAPLYPSLRR >ORUFI02G19340.1 pep chromosome:OR_W1943:2:17714255:17720490:-1 gene:ORUFI02G19340 transcript:ORUFI02G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRGRKRAAAAAEEEDAATRGRGKRVKASPKPETEAEYFPEKRNLEDLWLSAFPVGTEWENIDKIKEFNWNFENLEKALEEGGELYEKTVYLFGSTEPQLLGVNGESKIVLIPIVVAVDCPFPPSDKIGINSVQRENEEILPMKAMKMAWVPYFPLEDRLSRIDSLKTKIFTLGCTQRRSALRHLKTERVKLFDYCMPYYMPLNPPENEDDTVINILYPFEPPIFCEFNWEMDDYEDFADEKVKEEGLPEDEREKMKEFLKEKVRERKRELKQAKEARKKAIDDMDPKIKEAFENIQFYKFYPVKTLDTPDVSNVKARYINRYYRNAHHLM >ORUFI02G19350.1 pep chromosome:OR_W1943:2:17726473:17726772:-1 gene:ORUFI02G19350 transcript:ORUFI02G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACLATVVAALVEARRLRVARDTGLMDQPDVTVPMDVWWLVPQHVLVGVAEVLAVIELKEFFYDQLAGELHIIELAVSLGSLCPKAISSHQATFQRK >ORUFI02G19360.1 pep chromosome:OR_W1943:2:17727086:17727636:-1 gene:ORUFI02G19360 transcript:ORUFI02G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCHHLAELRIKRAYSAVAFSARCAAPSGDASSCPPVSFSTPNNSELVAVVRMKRSSSWLTGLWKTPSTLVAAATLGWNARWCATKLGSVRMWCRSLQTTEGFHTPCECDMAFGADQFKRDGVVVINDANGGGVRRASRSSCFNWYHFSIYWGYVISTTLLSYVNENGTS >ORUFI02G19370.1 pep chromosome:OR_W1943:2:17727679:17728098:-1 gene:ORUFI02G19370 transcript:ORUFI02G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSAATAAATKRRERTNMASAVAAHVLVFPAPGQGHINCMMHFATGLVGAGLYVTFLYTDHSLRRRGALVVPRPLSPPTWLRFMSIPDSLPDDHARAMGEWRHRGALGVAGDQWQPGERGFMRLGQGRCDDPRRWQG >ORUFI02G19380.1 pep chromosome:OR_W1943:2:17729318:17729551:1 gene:ORUFI02G19380 transcript:ORUFI02G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYQELPQRLSAEEVEADTAVLTLAEDKNTTCLSRVKATVLVEDGVPREPHDMLLEMRENVEGHDVIGEQRPKRAP >ORUFI02G19390.1 pep chromosome:OR_W1943:2:17734746:17736828:1 gene:ORUFI02G19390 transcript:ORUFI02G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSWRGRTPGHPRPGLAALDLDSPWISMPSLDLEMRGRILPLSLADSPSCQTITTLLASAAAVCTLAVDALLKTKLRKNMRRLCQWWWCHWNGILVIILDMSAVNARRQRPNTKSRGDAEMSTQDEHTTIDDRLRWQAMPKIFTTDALWTDNF >ORUFI02G19390.2 pep chromosome:OR_W1943:2:17734591:17736828:1 gene:ORUFI02G19390 transcript:ORUFI02G19390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSLSSTCLPSTHVASGQTQRAGVTQRCRPRTSTPQLMTGFGGRRCQKSSRLMPSGLIIFDNECICVFVTMMARSIEEKNHYTCILV >ORUFI02G19400.1 pep chromosome:OR_W1943:2:17740736:17745937:1 gene:ORUFI02G19400 transcript:ORUFI02G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMSDESLKLYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDTLLGDVQVYPEKGTVAFSAGLHGWAFTLSSFAKMYASKFGVDEFKMMERLWGENFFDPATKKWTNKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVVMKADEKELMGKALMKRVMQTWLPASNALLEMMIYHLPSPSKAQRYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKESDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMTSDPLEAGSQASTLVQDIRKRKGLKEQMTPLSDFEDKL >ORUFI02G19410.1 pep chromosome:OR_W1943:2:17747364:17748149:-1 gene:ORUFI02G19410 transcript:ORUFI02G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPHAAAVAAASSPTTTTTSSPRSLEEYGFLLLLLVDEHPEQQQQQQREQEGGGGGNEARYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAVAYDRAARTIRGAAARTNFAYPDLPPGSSLTPYLSPDLSTNDLHRHYYGAGAGADTQTAAALPAPAQPAHGGDAQEMAYGGGGGQNVGGVFDVVGGGGGAAWCDASELEFGGYDDAGASAAAAAVYFEEGYVHSPMFSPMPAADEVAADGFQLGGSSSSSYYY >ORUFI02G19420.1 pep chromosome:OR_W1943:2:17760520:17762857:-1 gene:ORUFI02G19420 transcript:ORUFI02G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDKVVARTGRLRQRYDNEYRLVAGCVPYRVKKDEANPRILGDVPGQVEVLMVSTPNRADMVFPKGGWEDDEEVYEAASREAMEEAGVKGIVNRTTLGHWVFKSKSSQNSSSPRGACKGYIFAMEVTEELESWPEQATHGRRWVSPGEAYQLCRYEWMREALTALLERLSMIEPVASAQELSDQTSMYMMLQASSDSAVALC >ORUFI02G19430.1 pep chromosome:OR_W1943:2:17780407:17780823:1 gene:ORUFI02G19430 transcript:ORUFI02G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRASCVLILLLAIAGFADVLAAGGATPSSSSSQVSTTTAAELKEVNGMLACMIGCFTQMFGCAFGCMAKGPDTTLCVVSCNQNSIVCMVRCALTPPPPKPKPTPPPPAPTPKPPAPSPSPPPPKAAGHGVAGDPLA >ORUFI02G19440.1 pep chromosome:OR_W1943:2:17798272:17801431:-1 gene:ORUFI02G19440 transcript:ORUFI02G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAEIFMHRFLLSSAVRTLNPEQADWFYAPVYTTCDLTHAGLPLPFKSPRMMRSAIQFLSRKWPFWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKNHVCLKEGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDNGNDPEGGYYARGARASLWENFKNNPLFDISTEHPATYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVDEEDVPRLDSILTSIPIDDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPDSVYLKPGEKHLNWTAGPVADLKPWK >ORUFI02G19450.1 pep chromosome:OR_W1943:2:17806286:17809194:-1 gene:ORUFI02G19450 transcript:ORUFI02G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRLTTALAWRPAAAAGARGPLAGGSLPGDDEFSREPQRPRFAVDSPFFAASRGFSSETLVPRNQDVSLTELPATVSAVKNPSAKIVYDEYNHERYQPGDPSKRAFAYFVLSGGRFIYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEEDINLANSVDIGSLRDPQQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLEENKLLIG >ORUFI02G19450.2 pep chromosome:OR_W1943:2:17806288:17809194:-1 gene:ORUFI02G19450 transcript:ORUFI02G19450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRLTTALAWRPAAAAGARGPLAGGSLPGDDEFSREPQRPRFAVDSPFFAASRGFSSETLVPRNQDVSLTELPATVSAVKNPSAKIVYDEYNHERYQPGDPSKRAFAYFVLSGGRFIYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEEDINLANSVDIGSLRDPQQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLEENKLLIG >ORUFI02G19460.1 pep chromosome:OR_W1943:2:17810080:17810283:1 gene:ORUFI02G19460 transcript:ORUFI02G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENATVTAADLAPWLQQCRPVGEEEEGGVAAAAKKEEGRQIQKEEKGGRRRGSGGCLGGGSRSGSNG >ORUFI02G19470.1 pep chromosome:OR_W1943:2:17812190:17813549:-1 gene:ORUFI02G19470 transcript:ORUFI02G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQPIGSGGGGAPPDWHDVEPQWQPVDDVVLARNPPAGRGRPVGPSAAAAAAALQAVEAQGAAAAERHYRGVRRRPWGKWAAEIRDPNKAARVWLGTFDTAEAAAAAYDDAALRFKGAKAKLNFPERVRGRTGQGGFLVSPAVPRPPPHGVPAPAPAVAPAPFPDLIQYARLLRSGEDAAAAAAVAGIAATAAPAAQILDFAAQRLVGVSPAMAPRPPSTLPTTTTAASSPSAWPHGGGHGS >ORUFI02G19480.1 pep chromosome:OR_W1943:2:17819681:17825867:-1 gene:ORUFI02G19480 transcript:ORUFI02G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDEMGGGGGHAGRHSVGPAAAAAAAAAEAASAAADRFLRSRGAGASTQVELSLSASNLGDQEFFTKSNPMVIVYSKSKEGALEELGRTEVILNSLNPSWNARINVHYQFEVYDIDPQFHDVNEKMLKLEEQQFLGEAVCLLSEVITKQNRLLTLKLGVSEHNLPNPSKFGELNVQAEESAGSKAIMEMVFRCSDLEIKDLLSKSDPFLLISRISESGVPVPICKTEVRKNDLNPKWKPENPLIIECFNFSSNGKHDLIGKIVKSVAELEKMYHSQDGENFFVPASTAHDSHSKEVLKSQVYVEKYLENNRQTFLDYISAGCQLNFMVAVDFTASNGNPRLPDSLHYIDPTGRPNAYQRAILEVGDVLQYYDPAKRFPSWGFGARPIDGPVSHCFNLNGSTYQPEVEGIQGIMSAYISALRNVSLAGPTLFGPVVSTATAIANQSLANNQQKYFVLLIVTDGVVTDFQETIDAIIKASDFPLSILVVGVGGADFKEMEFLDPNKGERLESSTGRVASRDMIQFAPMKDAHGSGISTVQSLLAEIPGQFMTYMRTREIQAIS >ORUFI02G19490.1 pep chromosome:OR_W1943:2:17829434:17834030:1 gene:ORUFI02G19490 transcript:ORUFI02G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTAKAQPVHGRATQRRESTSTSTAAAEGGAVASQPPRPPQPRPGTTRPPLWRPPRWPWGSSSSAATAAAPGGASTAAASQGGGSAASRPPRPPRPPLPPRPPRPPLPPLREPGEGGGRGDGRGATGTGSSSAAAAVDRKGKKKVDEGDRDPEQALRKSGPLEGKLLQSSIRAYQGPPAAEKPSGAPPAATPPARNTGRLGLSDREADDALNDIDLAMARQLPVVDTTVQKEEEEKEKEKEKEEEEEDDDDEEGELLSHVSRRKNPLRSRIDGYISMKFSMEFAPDHYCLPGLRGIISSNFLILVSKYSEFRAVHGDGECFYRSFIISYLEQVLDREDTDEEQRLLAALEIEVKPMAMQIDYPEWATAFSWGHEVFKKLIENIIGWKNPASTYSRKQELLGFFSSKRMSNGIFVFLRSLAATWICSHKDEYEQYVDDLGDDYPLEFWCATNLLPPRLYTDHVPMRALAAAFRVPLQVENLHNGPAQDIYTADGVDVPRVTLLYTGAHYDILYPRPPGERSRRRAAGWLCRFW >ORUFI02G19490.2 pep chromosome:OR_W1943:2:17829434:17834030:1 gene:ORUFI02G19490 transcript:ORUFI02G19490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTAKAQPVHGRATQRRESTSTSTAAAEGGAVASQPPRPPQPRPGTTRPPLWRPPRWPWGSSSSAATAAAPGGASTAAASQGGGSAASRPPRPPRPPLPPRPPRPPLPPLREPGEGGGRGDGRGATGTGSSSAAAAVDRKGKKKVDEGDRDPEQALRKSGPLEGKLLQSSIRAYQGPPAAEKPSGAPPAATPPARNTGRLGLSDREADDALNDIDLAMARQLPVVDTTVQKEEEEKEKEKEKEEEEEDDDDEEGELLSHVSRRKNPLRSRIDGYISMDMNGKRRAILEIPVLFPCYIGVYKFHAFPVSLEQVLDREDTDEEQRLLAALEIEVKPMAMQIDYPEWATAFSWGHEVFKKLIENIIGWKNPASTYSRKQELLGFFSSKRMSNGIFVFLRSLAATWICSHKDEYEQYVDDLGDDYPLEFWCATNLLPPRLYTDHVPMRALAAAFRVPLQVENLHNGPAQDIYTADGVDVPRVTLLYTGAHYDILYPRPPGERSRRRAAGWLCRFW >ORUFI02G19490.3 pep chromosome:OR_W1943:2:17829434:17834030:1 gene:ORUFI02G19490 transcript:ORUFI02G19490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTAKAQPVHGRATQRRESTSTSTAAAEGGAVASQPPRPPQPRPGTTRPPLWRPPRWPWGSSSSAATAAAPGGASTAAASQGGGSAASRPPRPPRPPLPPRPPRPPLPPLREPGEGGGRGDGRGATGTGSSSAAAAVDRKGKKKVDEGDRDPEQALRKSGPLEGKLLQSSIRAYQGPPAAEKPSGAPPAATPPARNTGRLGLSDREADDALNDIDLAMARQLPVVDTTVQKEEEEKEKEKEKEEEEEDDDDEEGELLSHVSRRKNPLRSRIDGYISMKFSMEFAPDHYCLPGLRGIISSNFLILVSKYSEFRAVHGDGECFYRSFIISYLEQVLDREDTDEEQRLLAALEIEVKPMAMQIDYPEWATAFSWGHEVFKKLIENIIGWKNPASTYRSLAATWICSHKDEYEQYVDDLGDDYPLEFWCATNLLPPRLYTDHVPMRALAAAFRVPLQVENLHNGPAQDIYTADGVDVPRVTLLYTGAHYDILYPRPPGERSRRRAAGWLCRFW >ORUFI02G19500.1 pep chromosome:OR_W1943:2:17837993:17841513:1 gene:ORUFI02G19500 transcript:ORUFI02G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASDPAEVELHGQREPHASSSSTDASIPAPTSAAAAAADVPPPPSSSSSPPPPPPSSVEGRTKQPGGGGRGADAASSTSAAAAVEQKGKKKISASDDREQAEGDEEERKSDSQKKKLAGRRRRRRLNLAAYQGDAKKPSDDVNLARRPGKLPWDDPRVWKPHVTPVSTVKKSLGISILVYISNKFLRRKGSPAGFSNLKYKQQPLVQHQKIPLASAPEHYGLRSGLRRIMSANLLILLNEYSDFRPEQVLDMVGTDEENRLLAAVGAIDHRQWASGFSQSHKVFEKLIQNVMRWKRRQKGVASADSRRQKLLEFFSSYSKSDGILAFLKYAAANWICSHREEYEPNIAGLGGGYTLEAWCEIYLLQPREQTDHIQMTAVAAALGVPLRVENLHNGPAQDIYTADGVNIPRVTLLYTGVHYDILYPRHPSGGSGSKSSTQRAGCFRPFW >ORUFI02G19510.1 pep chromosome:OR_W1943:2:17842778:17844277:1 gene:ORUFI02G19510 transcript:ORUFI02G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDDYSKARQALAVSAHECSLVDAVSSAATPPTADDGEGHAAGFFEGFVLGGIRVDSVRPGLVDCSFTVPSRLTDRSGCLAAGAVVSLVDEVGSAASIADGRPVKVSTDMSVSFVSLAQARPGDRLRITARALGHKGAYSATHVLISNAATGEVVAEGRHSLFGRMKVVSTSTATATSKL >ORUFI02G19520.1 pep chromosome:OR_W1943:2:17847725:17851337:1 gene:ORUFI02G19520 transcript:ORUFI02G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPALPPESARGGGGGEARQARARRWDRRGRRGCGGRRDRQGHGEGTGVAGEVAAHMRGRGEGTGTAAAGDSRRASLTHRRRFTESESEDETIVLDHQAEVGDAVGISCLRIMEMDYISGFCHKTHNHKFNSNKKVR >ORUFI02G19530.1 pep chromosome:OR_W1943:2:17874904:17875437:1 gene:ORUFI02G19530 transcript:ORUFI02G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRVQDCRFSAAPVVELSDQSTAAAAAAASMEMEQLTARFNDAVAVRGSNQLATGMEMAAAPGRGADDDMEELVARLVDVTVCDDGPAARGEAACAVRVRLPDGRVFDRVFDAARPVAALFRYCGAAVAACGMAGRPFRLVRLAGGASEEIPPRGDASLQDLRLDRCIVYVVFSP >ORUFI02G19540.1 pep chromosome:OR_W1943:2:17879665:17892334:1 gene:ORUFI02G19540 transcript:ORUFI02G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPPGHHDERHESTSSSADDDEITAAAEASPEQGGGGGGGRGTGGSGSAATEGDQKGKGKVVEGSQEAAKKDKVDSQLKKFIRRSFLAVSEGFKKKLSDRQGKQLDEASTSNSPPHVDHKKIPMEEAVYHYFGDIHNVLRLSKRERESVIIMRLVPLHSHYSALRPVYRDGESFYRSFIFSYLEQIVDRVDMGEEDRLLAAVRELARRAEHFQWASEFPRRREAFEKLIEKIKGWKRMLQYPTLRVSYNRGEFLLEFFSSYDTTDDSEKNTLSSCCFNVILIHGSDICILHSVLSVFAFLRLAAAIWMCSPDHITMYAPGVIGPGEGRSLEDWCSTQVIPPRVYADEVAVRALAAALQVFIRVEAPEYGGRQDSYYIARDRPRVTLLRVDSQYDIVYPLSPELIHQRAKRGGASRFYCCIGGDSNLQRLSQQQEERGGGVHGQRPREHQGSRAAASSTDASSAAAAAQGGAVAPPPPPSSADRRTPQPGDGGRTDGSSSAAAAADRKGKKPMSASDDRKQARRKEKRKSGYPKEKLLESSMRSYQGPAKEEKLPMGDAVYYYFKDIYDALRIAQVGVRLIFLDHDYSEFRPVVPDEECFYRSFIFSYLEQVVDRIDTLWEDRLLAALRELDRRAERDAHRCYTIVFVKLIAKIKGWKRMRDYPPSRVSYSSVEFLLEFFSSYDSTNDIFAFLRLVAATWICTHKGRYGQHRKHYNDGTSLDTHYNVARGTPRVSLLLIESRYGIIYALPPSAATPTSRPNQPRPDPVARPGFWWFDCCFVVPKESLLQGSTA >ORUFI02G19540.2 pep chromosome:OR_W1943:2:17879665:17892336:1 gene:ORUFI02G19540 transcript:ORUFI02G19540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPPGHHDERHESTSSSADDDEITAAAEASPEQGGGGGGGRGTGGSGSAATEGDQKGKGKVVEGSQEAAKKDKVDSQLKKFIRRSFLAVSEGFKKKLSDRQGKQLDEASTSNSPPHVDHKKIPMEEAVYHYFGDIHNVLRLSKRERESVIIMRLVPLHSHYSALRPVYRDGESFYRSFIFSYLEQIVDRVDMGEEDRLLAAVRELARRAEHFQWASEFPRRREAFEKLIEKIKGWKRMLQYPTLRVSYNRGEFLLEFFSSYDTTDDIFAFLRLAAAIWMCSPDHITMYAPGVIGPGEGRSLEDWCSTQVIPPRVYADEVAVRALAAALQVFIRVEAPEYGGRQDSYYIARDRPRVTLLRVDSQYDIVYPLSPELIHQRAKRGGASRFYCCIGGDSNLQRLSQQQEERGGGVHGQRPREHQGSRAAASSTDASSAAAAAQGGAVAPPPPPSSADRRTPQPGDGGRTDGSSSAAAAADRKGKKPMSASDDRKQARRKEKRKSGYPKEKLLESSMRSYQGPAKEEKLPMGDAVYYYFKDIYDALRIAQVGVQFRPVVPDEECFYRSFIFSYLEQVVDRIDTLWEDRLLAALRELDRRAERFQRASEFSRRRKVFVKLIAKIKGWKRMRDYPPSRVRLVAATWICTHKGRYGQHRKHYNDGTSLDTHYNVARGTPRVSLLLIESRYGIIYALPPSAATPTSRPNQPRPDPVARPGFWWFDCCFVVPKESLLQGSTA >ORUFI02G19540.3 pep chromosome:OR_W1943:2:17879665:17892336:1 gene:ORUFI02G19540 transcript:ORUFI02G19540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPPGHHDERHESTSSSADDDEITAAAEASPEQGGGGGGGRGTGGSGSAATEGDQKGKGKVVEGSQEAAKKDKVDSQLKKFIRRSFLAVSEGFKKKLSDRQGKQLDEASTSNSPPHVDHKKIPMEEAVYHYFGDIHNVLRLSKRERESVIIMRLVPLHSHYSALRPVYRDGESFYRSFIFSYLEQIVDRVDMGEEDRLLAAVRELARRAEHFQWASEFPRRREAFEKLIEKIKGWKRMLQYPTLRVSYNRGEFLLEFFSSYDTTDDSEKNTLSSCCFNVILIHGSDICILHSVLSVFAFLRLAAAIWMCSPDHITMYAPGVIGPGEGRSLEDWCSTQVIPPRVYADEVAVRALAAALQVFIRVEAPEYGGRQDSYYIARDRPRVTLLRVDSQYDIVYPLSPELIHQRAKRGGASRFYCCIGGDSNLQRLSQQQEERGGGVHGQRPREHQGSRAAASSTDASSAAAAAQGGAVAPPPPPSSADRRTPQPGDGGRTDGSSSAAAAADRKGKKPMSASDDRKQARRKEKRKSGYPKEKLLESSMRSYQGPAKEEKLPMGDAVYYYFKDIYDALRIAQVGVQFRPVVPDEECFYRSFIFSYLEQVVDRIDTLWEDRLLAALRELDRRAERFQRASEFSRRRKVFVKLIAKIKGWKRMRDYPPSRVRLVAATWICTHKGRYGQHRKHYNDGTSLDTHYNVARGTPRVSLLLIESRYGIIYALPPSAATPTSRPNQPRPDPVARPGFWWFDCCFVVPKESLLQGSTA >ORUFI02G19540.4 pep chromosome:OR_W1943:2:17879665:17892336:1 gene:ORUFI02G19540 transcript:ORUFI02G19540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPPGHHDERHESTSSSADDDEITAAAEASPEQGGGGGGGRGTGGSGSAATEGDQKGKGKVVEGSQEAAKKDKVDSQLKKFIRRSFLAVSEGFKKKLSDRQGKQLDEASTSNSPPHVDHKKIPMEEAVYHYFGDIHNVLRLSKRERESVIIMRLVPLHSHYSALRPVYRDGESFYRSFIFSYLEQIVDRVDMGEEDRLLAAVRELARRAEHFQWASEFPRRREAFEKLIEKIKGWKRMLQYPTLRVRFLKRYNRGEFLLEFFSSYDTTDDIFAFLRLAAAIWMCSPDHITMYAPGVIGPGEGRSLEDWCSTQVIPPRVYADEVAVRALAAALQVFIRVEAPEYGGRQDSYYIARDRPRVTLLRVDSQYDIVYPLSPELIHQRAKRGGASRFYCCIGGDSNLQRLSQQQEERGGGVHGQRPREHQGSRAAASSTDASSAAAAAQGGAVAPPPPPSSADRRTPQPGDGGRTDGSSSAAAAADRKGKKPMSASDDRKQARRKEKRKSGYPKEKLLESSMRSYQGPAKEEKLPMGDAVYYYFKDIYDALRIAQVGVRLIFLDHDYSEFRPVVPDEECFYRSFIFSYLEQVVDRIDTLWEDRLLAALRELDRRAERFQRASEFSRRRKVFVKLIAKIKGWKRMRDYPPSRVRLVAATWICTHKGRYGQHRKHYNDGTSLDTHYNVARGTPRVSLLLIESRYGIIYALPPSAATPTSRPNQPRPDPVARPGFWWFDCCFVVPKESLLQGSTA >ORUFI02G19540.5 pep chromosome:OR_W1943:2:17879665:17892336:1 gene:ORUFI02G19540 transcript:ORUFI02G19540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPPGHHDERHESTSSSADDDEITAAAEASPEQGGGGGGGRGTGGSGSAATEGDQKGKGKVVEGSQEAAKKDKVDSQLKKFIRRSFLAVSEGFKKKLSDRQGKQLDEASTSNSPPHVDHKKIPMEEAVYHYFGDIHNVLRLSKRERESVIIMRLVPLHSHYSALRPVYRDGESFYRSFIFSYLEQIVDRVDMGEEDRLLAAVRELARRAEHFQWASEFPRRREGWKRMRDYPPSRVRLVAATWICTHKGRYGQHRKHYNDGTSLDTHYNVARGTPRVSLLLIESRYGIIYALPPSAATPTSRPNQPRPDPVARPGFWWFDCCFVVPKESLLQGSTA >ORUFI02G19550.1 pep chromosome:OR_W1943:2:17899265:17899678:1 gene:ORUFI02G19550 transcript:ORUFI02G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPARTESFFQDAGYLRAVLGNSNVVEEEDSDAASDYGEAAEGEEACSVRVRFSDRRVVQKDFGAARPVEGLFRYCHRHSVSAAGGGRRAFRLVRFAGAASEEIRRGDATFQQLGLHCWTLHLLFGFGPRAHGVGH >ORUFI02G19560.1 pep chromosome:OR_W1943:2:17905932:17906474:1 gene:ORUFI02G19560 transcript:ORUFI02G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRDQEQAIAKLTSSGGGGGGGGRDDLLIIFMWTKKAMLAAVVVVVAKCRELWEGVLTAGSGCGCVSRSAAAADDDDGYYFGRSYEFSCSATPVAFAPAKGRRRRRRCLLLPPCVGAKQAREMLREAAMMSPAPPVGAGGGRRSPPGRYARRRSGRRSGGGSRRSTASRRSSSAGSTSS >ORUFI02G19570.1 pep chromosome:OR_W1943:2:17911319:17917106:-1 gene:ORUFI02G19570 transcript:ORUFI02G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAPREFFLPPSRRPLPARVADLHIASKAKQEMRYTSKRGDPPMVLPQLNAGLMEADGSASFIRKLQLSVSDGLPHAAPVPELSTQEHELVKSVFEVLQGFDTVLLYWDKTAPGYCEKAGIYVSHLSQTSLRAVLKPFLFAATCLKQVELFVGRVRSCGHGTPTLSAFASSVDSWLMRLRKAALKEEEQLFLSVERTITLLGLTDSMSSLCSGAEHLYQVVQGAVPDAFWNSGAQMASSEVAVHAVNHLFKKLNEVCLVEDGEGEPYHMLLVIFAGTLLPYLQCLDSWLYDGILDDPNEEMFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGCADILCPVFLKDIARAILSAGKSFQLVQHVQETHRIQTREVVHEFNVDQHGNYISQQKFRPDTSSIRIQDKREDIIEESTGQFGNNACKMDFLTLSESFLICLSGLLENGDHVDDYLRKLCADHAPVNKSIVHSKSNVQETEEVCGENSSEKTWLKLLRDATSGRDYDGMEKTLAKNAVMRDPTFVPGDHQDVSSTEVESYFNLSCYENPGITACQEMLERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTSPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIRQEDDRRTLEALYTFPTLLPCVNENVPLSEILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDHIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLVTIFDKLDKGNPWDDDFELNTLLQESIRNSADKMLLTAPDSLVVSLAKHDTRNDEETTSISRKGRAQGFGIEALDVLNFTYKVSWPLDLIVNTEALKKYNQVMAFLLKVKRAKFILDETRKWMWKTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGSRPR >ORUFI02G19570.2 pep chromosome:OR_W1943:2:17911319:17917106:-1 gene:ORUFI02G19570 transcript:ORUFI02G19570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAPREFFLPPSRRPLPARVADLHIASKAKQEMRYTSKRGDPPMVLPQLNAGLMEADGSASFIRKLQLSVSDGLPHAAPVPELSTQEHELVKSVFEVLQGFDTVLLYWDKTAPGYCEKAGIYVSHLSQTSLRAVLKPFLFAATCLKQVELFVGRRLRKAALKEEEQLFLSVERTITLLGLTDSMSSLCSGAEHLYQVVQGAVPDAFWNSGAQMASSEVAVHAVNHLFKKLNEVCLVEDGEGEPYHMLLVIFAGTLLPYLQCLDSWLYDGILDDPNEEMFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGCADILCPVFLKDIARAILSAGKSFQLVQHVQETHRIQTREVVHEFNVDQHGNYISQQKFRPDTSSIRIQDKREDIIEESTGQFGNNACKMDFLTLSESFLICLSGLLENGDHVDDYLRKLCADHAPVNKSIVHSKSNVQETEEVCGENSSEKTWLKLLRDATSGRDYDGMEKTLAKNAVMRDPTFVPGDHQDVSSTEVESYFNLSCYENPGITACQEMLERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTSPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIRQEDDRRTLEALYTFPTLLPCVNENVPLSEILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDHIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLVTIFDKLDKGNPWDDDFELNTLLQESIRNSADKMLLTAPDSLVVSLAKHDTRNDEETTSISRKGRAQGFGIEALDVLNFTYKVSWPLDLIVNTEALKKYNQVMAFLLKVKRAKFILDETRKWMWKTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGSRPR >ORUFI02G19570.3 pep chromosome:OR_W1943:2:17911319:17917106:-1 gene:ORUFI02G19570 transcript:ORUFI02G19570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAPREFFLPPSRRPLPARVADLHIASKAKQEMRYTSKRGDPPMVLPQLNAGLMEADGSASFIRKLQLSVSDGLPHAAPVPELSTQEHELVKSVFEVLQGFDTVLLYWDKTAPGYCEKAGIYVSHLSQTSLRAVLKPFLFAATCLKQVELFVGRRLRKAALKEEEQLFLSVERTITLLGLTDSMSSLCSGAEHLYQVVQGAVPDAFWNSGAQMASSEVAVHAVNHLFKKLNEVCLVEDGEGEPYHMLLVIFAGTLLPYLQCLDSWLYDGILDDPNEEMFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGCADILCPVFLKDIARAILSAGKSFQLVQHVQETHRIQTREVVHEFNVDQHGNYISQQKFRPDTSSIRIQDKREDIIEESTGQFGNNACKMDFLTLSESFLICLSGLLENGDHVDDYLRKLCADHAPVNKSIVHSKSNVQETEEVCGENSSEKTWLKLLRDATSGRDYDGMEKTLAKNAVMRDPTFVPGDHQDVSSTEVESYFNLSCYENPGITACQEMLERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTSPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIRQEDDRRTLEALYTFPTLLPCVNENVPLSEILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDHIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLVTIFDKLDKGNPWDDDFELNTLLQHDTRNDEETTSISRKGRAQGFGIEALDVLNFTYKVSWPLDLIVNTEALKKYNQVMAFLLKVKRAKFILDETRKWMWKTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGSRPR >ORUFI02G19570.4 pep chromosome:OR_W1943:2:17911319:17917106:-1 gene:ORUFI02G19570 transcript:ORUFI02G19570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAPREFFLPPSRRPLPARVADLHIASKAKQEMRYTSKRGDPPMVLPQLNAGLMEADGSASFIRKLQLSVSDGLPHAAPVPELSTQEHELVKSVFEVLQGFDTVLLYWDKTAPGYCEKAGIYVSHLSQTSLRAVLKPFLFAATCLKQVELFVGRVRSCGHGTPTLSAFASSVDSWLMRLRKAALKEEEQLFLSVERTITLLGLTDSMSSLCSGAEHLYQVVQGAVPDAFWNSGAQMASSEVAVHAVNHLFKKLNEVCLVEDGEGEPYHMLLVIFAGTLLPYLQCLDSWLYDGILDDPNEEMFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGCADILCPVFLKDIARAILSAGKSFQLVQHVQETHRIQTREVVHEFNVDQHGNYISQQKFRPDTSSIRIQDKREDIIEESTGQFGNNACKMDFLTLSESFLICLSGLLENGDHVDDYLRKLCADHAPVNKSIVHSKSNVQETEEVCGENSSEKTWLKLLRDATSGRDYDGMEKTLAKNAVMRDPTFVPGDHQDVSSTEVESYFNLSCYENPGITACQEMLERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTSPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIRQEDDRRTLEALYTFPTLLPCVNENVPLSEILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDHIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLVTIFDKLDKGNPWDDDFELNTLLQESIRNSADKMLLTAPDSLVVSLAKHDTRNDEETTSISRKGRAQGFGIEALDVLNFTYKVSWPLDLIVNTEALKKYNQVMAFLLKVKRAKFILDETRKWMWKLSRSSFILLMHFINMSWIXLGLALDFHNIEQTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGSRPR >ORUFI02G19570.5 pep chromosome:OR_W1943:2:17911319:17917106:-1 gene:ORUFI02G19570 transcript:ORUFI02G19570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAPREFFLPPSRRPLPARVADLHIASKAKQEMRYTSKRGDPPMVLPQLNAGLMEADGSASFIRKLQLSVSDGLPHAAPVPELSTQEHELVKSVFEVLQGFDTVLLYWDKTAPGYCEKAGIYVSHLSQTSLRAVLKPFLFAATCLKQVELFVGRRLRKAALKEEEQLFLSVERTITLLGLTDSMSSLCSGAEHLYQVVQGAVPDAFWNSGAQMASSEVAVHAVNHLFKKLNEVCLVEDGEGEPYHMLLVIFAGTLLPYLQCLDSWLYDGILDDPNEEMFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGCADILCPVFLKDIARAILSAGKSFQLVQHVQETHRIQTREVVHEFNVDQHGNYISQQKFRPDTSSIRIQDKREDIIEESTGQFGNNACKMDFLTLSESFLICLSGLLENGDHVDDYLRKLCADHAPVNKSIVHSKSNVQETEEVCGENSSEKTWLKLLRDATSGRDYDGMEKTLAKNAVMRDPTFVPGDHQDVSSTEVESYFNLSCYENPGITACQEMLERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTSPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIRQEDDRRTLEALYTFPTLLPCVNENVPLSEILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDHIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLVTIFDKLDKGNPWDDDFELNTLLQESIRNSADKMLLTAPDSLVVSLAKHDTRNDEETTSISRKGRAQGFGIEALDVLNFTYKVSWPLDLIVNTEALKKYNQVMAFLLKVKRAKFILDETRKWMWKLSRSSFILLMHFINMSWIXLGLALDFHNIEQTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGSRPR >ORUFI02G19580.1 pep chromosome:OR_W1943:2:17918943:17924212:-1 gene:ORUFI02G19580 transcript:ORUFI02G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATGASGWLRGKVKGVTSGDCLLIMGSTKADVPPPEKSITLSYLMAPRLARRGGVDEPFAWESREFLRKLCIGKEVTFRVDYTAPNVGREFGTVYLGDKNVAYSIIAAGWARVKEQGPKGGEPSPYLTELLRLEEVAKQQGLGRWSKEPGAAEESIRDLPPSAIGEASGFDAKGFAVANKGKSLEAIVEQVRDGSTVRVYLLPSFQFVQIYVAGVQSPSMGRRPPNPTVVAAAESTADGATNGGDSEEAPAPLTTAQRLAAAAVSTEIPPDRFGIEAKHFTETRVLNRDVRIVVEGTDSFSNIIGSVYYSDGDTLKDLALELVENGLAKYVEWSANMMDVDAKIKLKNAELQAKKDQLRIWTGFKPPVTNSKPIHDQKFTGKVVEVVSGDCIIVADDAAPYGSPSAERRVNLSSIRAPKMGNPRRDEKPDNFAREAKEFLRTRLIGKQVTVEMEYSRRISTVDGQPTTNTADARVLDYGSVFLGSPSQADGDDVSSIPSSGNQPGINIAETLLSRGFARTSKHRDYEERSHYFDLLLAAESRAEKAKKGVHSAKESPVMHITDLTTVSAKKARDFLPFLQRNRRHSAIVEYVFSGHRFKLTIPKETCSIAFSFSGVRCPGKDEPYSNEAIALMRRRILQRDVEIEVEAVDRTGTFLGSLWESKTNMASVLLEAGLAKLSSFGLDRIPDANVLMRAEQSAKQQKLKIWENYVEGEEVSNGSASESKQKEILKVVVTEVLGGGKFYVQTVGDQRVASIQQQLASLKLKDAPVIGAFNPVKGEIVLAQFSADNSWNRAMIVNGPRGAVSSQDDKFEVFYIDYGNQEVVPYSRIRPADPSISSSPALAQLCSLAFIKVPNLEDDFGHEAAVYLNDCLLNSQKQYRAMIEERDTSGGKSKGQGTGTILIVTLVDAETETSINATMLEEGLARLERSKRWDTRERKAALQNLEQFQEKAKKERLQIWQYGDVESDEEEQAPAARRTGGRR >ORUFI02G19590.1 pep chromosome:OR_W1943:2:17959427:17962598:1 gene:ORUFI02G19590 transcript:ORUFI02G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLRRVHLAGSHGSAAKLLSSAASEIAQSGAKNELEPTVGYTIKLALKRPDKTAMHARASIIESCNCKSRLTIYELELVVGYTIKLALRWLNAEVDERGEERDEKQAILNMEAADKVLGEREIPDVQPGCILQMRLQVPENKRRESTLKGIVIARRNAGINTTFRLRRLVAGVGVDYSPNIKEIKVLDRKKVRRAKLYYLRDRMNALKK >ORUFI02G19600.1 pep chromosome:OR_W1943:2:17964516:17965118:1 gene:ORUFI02G19600 transcript:ORUFI02G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWETRATARLAGGTQVAAADDTGRLGAAGVLGRRRAKSQGGRATPASLVVAALVEKLGRPCGVDAVPAGTLCAVICGGGCSLVAAVGEVVPMGSSGVLGRRRSEHREPYAKALPCLLALATPSAPFPFSEALLRRVPSSSIIVLQVKVLPRLMGKRQRRFTSRPYWGCRFGEPFHAHV >ORUFI02G19610.1 pep chromosome:OR_W1943:2:17968184:17971109:1 gene:ORUFI02G19610 transcript:ORUFI02G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVQAQYVAHASRSSSSAAYAIRPALENAAPSSGASALFLDEAVSAALLQQQLVVAAAAVGGGGNNNNTAVFSDLRSELTCSQRRFDDFGGGFVPRKRARVGGEGEAAAGLLMSSSVMEGGGHRALLPPPPPQVTPQAFGDVHKSSSRVVGSGAASTSGRPVCGGGLLLSHLYRQSVEVDALVRFEVRATDRAFIYRHGRWSSVSFCLLSSLEWAFDSICTYLQNERLRAGLEEARRRHLRAVVSAVDRAAARRLHAAEAELERALGRNAELDERLRQMGAEGQAWLGIAKSHEAAAAGLRATLDQLLPAPLPPRARAMPRMHTWGEAERGEGGSRAELRAHTIGRAQCANFRDRIYNDTDIDASFAASLRAGCPQSGDGSGLAPLDESSPDAFDNGYFGGLLSQRGLLHSDQALFAGGGGSTDGLVRSYASSNDQFASDFSTAMVKMGNISPLTGSAGEIRVNCRAVN >ORUFI02G19620.1 pep chromosome:OR_W1943:2:17973798:17975744:-1 gene:ORUFI02G19620 transcript:ORUFI02G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERAITYAVVDAFTDEPFKGNTAAVCLLEESWEEQLDEQWMQSVAAEFNTSITAFLVRADADAANPQFHIRWFTPVRESELCGHGTLAAAHYLISSGLVKCNAIDFLAKSGFLTAKKVVGLKQSSTLISPLQEACTKFLIELDFPLIPVVKCSPLEMPSIPETLNGASVSNVLKTVSDSATDLIVISLTYILSVEMQPVTLKFSCVSFIVELNSSEEVVNVRPNISELVQSAGRGVAVTGPAPVGSSYDFFSRFFCPKYGLNEDPVCGSVHCALAPYWGKKLGKQCMTASMASPRSGTLYLQWDEAAQRVRIRGEAVTVMVGNILV >ORUFI02G19630.1 pep chromosome:OR_W1943:2:17977911:17978446:1 gene:ORUFI02G19630 transcript:ORUFI02G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLTTLPCALLRLLRDIGGGERRGEEGRNEGSGGSMPGVNLKNLVSKEYYGHRKKVHFVAWNCLGTELASGSTDRTNSRVWRIYPHLS >ORUFI02G19640.1 pep chromosome:OR_W1943:2:17999367:17999602:1 gene:ORUFI02G19640 transcript:ORUFI02G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRSRAASCRRPTEAQPRACGEADACVLLLPCRHLCLCRGCEAAADACPVCAATKNASLHVLLP >ORUFI02G19650.1 pep chromosome:OR_W1943:2:18010233:18012698:-1 gene:ORUFI02G19650 transcript:ORUFI02G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLPDAVAVGFFAATGEAAELQQYWEFTTSIDPKDVWVVLGLYSLLLVLVAIGVLWFVSQWRKAGELADGDIFDEMVYDELADEEFFVESGPRRFRYSDARPHPTRAPAAARSPPHLPPPPRAGHRHTFLLPSVSPPPETASAGAPGAHASPPSTVAGGGAVLALVLLLVLLAAAEWWRRRSPSPSGGGGGSGRRPRRWRRGYGKSEAAAPCSRSSSSSFSSPSRHGAGEGHRLPPRAQQEYDVTSATATGPCDAYLKPPPXAIASLLEQHNNHVQVVQLDESSGDIAPVLTFDHPYPPTKTMFVPDPHSVRPDLLATSADHLRIWRIPSPDEASAASSNSGSVRCNGTASPDVELRCELNGNRNSDYCGPLTSFDWNDADPRRIGTSSIDTTCTIWDVEREAVDTQLIAHDKEVYDIAWGGAGVFASVSADGSVRVFDLRDKEHSTIIYESGSGGSSNSAGADGGAASPTPLVRLGWNKQDPRYMATIIMDSPKVVVLDIRYPTLPVVELHRHHSPVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGTGSNNGGNGNGNAAAAAAAEGGLDPILAYTAGAEIEQLQWSATQPDWVAIAFSTKLQILRV >ORUFI02G19660.1 pep chromosome:OR_W1943:2:18018051:18018377:-1 gene:ORUFI02G19660 transcript:ORUFI02G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFIHEEYVHKRREQRRRRGRRPAAVALQLLQVQSSSTTTKPEKKAAAAAAAGGRRPLAPLATELQDSPWDLPAGSGGGASPSDAAGSPAAAAAATVSFADHLLGYL >ORUFI02G19670.1 pep chromosome:OR_W1943:2:18023835:18028593:1 gene:ORUFI02G19670 transcript:ORUFI02G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAGELERLRAEREELDSRIRLLESQLGASPTPAGEGDAAGTGAGGGVGGGGATACPIRRRGNGFAAADGLPADMIYRYSRHLLLPDFGVEGQRKLSQSSILVVGAGGLGSPVALYLAACGVGCLGIVDGDDVELNNLHRQIIHKEAFVGKSKVKSAADACREINSSINVMEYHHTLKPSNALEIVRKYDIVVDATDNLPTRYMISDCCVLLNKPLISGAALGLEGQLTVYHHNGSPCYRCLFPNPPPVAACQRCSDSGVLGVVPGVIGCLQALEAIKVATDVGEPLSGRMLLFDALSARIRIVKIRGSSTVCTVCGENSAFTQDDFQKFDYENFTQSPMSDKSAPSLDILPGSARVTCKEYKRLADNGERHLLLDVRPAHHFQIASVSQSLNIPLSELEEKLQMLETSLKDTTDASSSDKPPSLYVVCRRGNDSQIAVQLLREKGFLSAKDIIGGLQSWAQDVDPDFPVY >ORUFI02G19670.2 pep chromosome:OR_W1943:2:18023835:18028515:1 gene:ORUFI02G19670 transcript:ORUFI02G19670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAGELERLRAEREELDSRIRLLESQLGASPTPAGEGDAAGTGAGGGVGGGGATACPIRRRGNGFAAADGLPADMIYRYSRHLLLPDFGVEGQRKLSQSSILVVGAGGLGSPVALYLAACGVGCLGIVDGDDVELNNLHRQIIHKEAFVGKSKVKSAADACREINSSINVMEYHHTLKPSNALEIVRKYDIVVDATDNLPTRYMISDCCVLLNKPLISGAALGLEGQSMLSMPFPKSTTSGSLPEMLRQWSSWVPGVIGCLQALEAIKVATDVGEPLSGRMLLFDALSARIRIVKIRGSSTVCTVCGENSAFTQDDFQKFDYENFTQSPMSDKSAPSLDILPGSARVTCKEYKRLADNGERHLLLDVRPAHHFQIASVSQSLNIPLSELEEKLQMLETSLKDTTDASSSDKPPSLYVVCRRGNDSQIAVQLLREKGFLSAKDIIGGLQSWAQDVDPDFPVY >ORUFI02G19680.1 pep chromosome:OR_W1943:2:18034359:18034781:1 gene:ORUFI02G19680 transcript:ORUFI02G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFTLFSPTLILLLFLVGWFLGAAGEVRGHWASTVREEAEDGRGAAEAPPAAGEVGGEGCGWPWPWPWELVRWRRHLNDPACVAGAHTHLAPPPPPWLPEEALEKLRRIVLGDDAAAPVGVVLVLWWPWNLPSSPVVST >ORUFI02G19690.1 pep chromosome:OR_W1943:2:18034448:18034792:-1 gene:ORUFI02G19690 transcript:ORUFI02G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYVDTTGDEGRFHGHHSTNTTPTGAAASSPRTMRRSFSNASSGSHGGGGGAKCVCAPATHAGSFKCRLHRTNSQGHGHGHPHPSPPTSPAAGGASAAPRPSSASSRTVEAQ >ORUFI02G19700.1 pep chromosome:OR_W1943:2:18042374:18042694:1 gene:ORUFI02G19700 transcript:ORUFI02G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDRSTTPPMYWDGVASTSSSSHPVAPYSSSSVIGENLLFHELWVCSFRDSCLWRAMSLDTFLMITPFGPTGECLLMTTLMTRKGVRVHPTPGLPELRFSTGATA >ORUFI02G19710.1 pep chromosome:OR_W1943:2:18049327:18056255:1 gene:ORUFI02G19710 transcript:ORUFI02G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASGDQPAGASSDKLRHVESMSELPSGAGRISGINAVVLGESLAAEEHDLVYPSAEFSADALVPSPKKYQKMYERSINDPAGFWSEIADAFYWKEKWNPSEVCSENLDVTKGPVQISWFKGGKTNICYNAVDRNVEAGNGDKIAMYWEGNELGQDGKLTYSELLDRVCQLANYLKSVGVGKGDAVIIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRIVDCKPKLVITCNAVKRGVKPILLKDIVDAGLAESEKQGVAVGLCLTYENQSAMKREDTKWQAGRDVWWQDVVTSFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVLVFEGTPNYPDSGRCWDIVDKYKVTIFYTAPTLVRSLMRDGTEYVTRHSRKSLRVLGSVGEPINPSAWRWFYNIVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGKEIEGECSGYLCIKKSWPGAFRTLYGDHDRYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVAVEHEVKGQGIYAFVTLVDGVPYSEELRKSLILTVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASKQLDELGDTSTLADPGVVDQLIALKDC >ORUFI02G19720.1 pep chromosome:OR_W1943:2:18056226:18066065:-1 gene:ORUFI02G19720 transcript:ORUFI02G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYELVRSDDAAAAGPPDLELGGSGSCNGGGVSAKSRPPSSPPSQGGARQRLVSLDVFRGITVLLMIIVDDAGAFLPALNHSPWDGVTIADFVMPFFLFMVGISLTLAYKRVPDKLEATKKAVLRALKLFCLGLVLQGGFFHGVRSLTFGVDITKIRLMGILQRIAIAYLLAAICEIWLKGDDDVDCGLDVIRRYRYQLVVALLLSTMYTVILNGVYVPDWEYQISGPGSTEKSFSVRCGVRGDTGPACNAVGMLDRTILGIDHLYRRPQCSINYPQNGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHIIIHFEKHKGRIINWLIPSFSMLALAFSMDFIGIRMNKPLYTISYALATSGAAGLLFAGIYTLVDVYGFRKLTIPMEWMGKHALMIYVLVACNILPIFIHGFYWREPKNNLLKFIGVGA >ORUFI02G19730.1 pep chromosome:OR_W1943:2:18081706:18086589:1 gene:ORUFI02G19730 transcript:ORUFI02G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCCCSTSSAVPGRRFAAAGAAAAAVAARWGAVGVGRAVVLAHPLRPAPRGGHAHAQQAGARRARRAVVRAVFERFTERAVKAVVLSQREAKGLGEGAVAPRHLLLGLIAEDRSAGGFLSSGINIERAREECRGIGARDLTPGAPSPSGSGLEMDIPFSGSCKRVFEVAVEFSRNMGCSFISPEHLALALFTLDDPTTNSLLRSLGADPSQLASVALTRLQAELAKDGREPAGASSFKVPKKSPAGAGRSAFSKSLNSKKEKGALDQFCLDLTTQASGGFIDPIIGREEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALRIANGDVPIYLVAKRIMSLDVGLLIAGAKERGELESRVTSLIREVREAGDVILFIDEVHNLIGSGTVGKGKGAGLDIGNLLKPPLARGELQCIAATTLDEHRMHFEKDKALARRFQPVLVEEPSQDDAVKILLGLREKYETYHKCKFTLEAINAAVYLSARYIPDRQLPDKAIDLIDEAGSRARMESFNRKKEGQSSILLKSPDEYWQEIRAAQNMHEVVSSNQMKYSPRQENGSAAIKAPSEDMNELTSELQVEEPIVVGTEEIARVASLWSGIPVQQLTADDRKLLVGLDGELRKRVIGQDDAVMAISRAVKRSRVGLNDPDRPIATLLFCGPTGVGKTELTKALAASYFGSESAMLRLDMSEYMERHTVSKLIGSPPGYIGYGETGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLSDSQGRRVSFKNTLIVMTSNIGSTSISKGRRSMGFMTEDTESSSYVAMKSLVMEELKAFFRPELLNRIDEMVVFRPLEKTQMLAILDIILQEVKGRLLALGIGLEVSDAMKDLICEEGYDKSYGARPLRRAVTHLIEDVISEAILFGEYKPGDTILMDIDAGGKLCMSHLNEKVVQLSDPTRTF >ORUFI02G19740.1 pep chromosome:OR_W1943:2:18087368:18090247:-1 gene:ORUFI02G19740 transcript:ORUFI02G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSSASAAAARGGSLVEEWSGRVKALEAGFRKWMAEQPTHIEAAVTTAVGAVQGAALGGLMGTLTADGGSPFPTPPPPPPNANPQAMASFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGGEDIQGSMAAAFGSGALFSIVSGMGTPNPVANAITTGAAFAIFQGGFFMIGQKFSKPQSEDLYYSRARSMLQKLGLEKYEKNFKKGILSLEKHGEASQPLAHGSLDCVGLYYLKLCHLFFFWGGGGGGG >ORUFI02G19750.1 pep chromosome:OR_W1943:2:18090444:18091637:1 gene:ORUFI02G19750 transcript:ORUFI02G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWLRAARGMGGRRRRGWSEAPAFAAEQRATLVNVKLKWVKDRALDGAVSRERDLRAAHHLLDVVSARPGHRVSRPELLADSSVRRAFGGVDGVDAFLARYHTLFALRRGGGVSLTDAALNLRRREVDCLVESEPDLVSRLRRLLMLTLPRSLPLHTVDLLRWDLGLPRDYRASILRRYPDHFALDQPEGDERVWLRLLWWDDGLAVSELEKSTAGGGGGDTTCLPFPVSFTKGFGLRSKCINWLKEWQALPYTSPYADPSGLDRRTDVSEKRNVGVFHELLHLTVAKRTERRNVSNMRKLLGMPQKFTKVFERHPGIFYLSRVLGTQTVVLREAYGGGSLLLAKHAHPLATIREEYSAVMRAALPPRRRRSRESDSCSEQDEECVGGEEFELTE >ORUFI02G19760.1 pep chromosome:OR_W1943:2:18101853:18103190:-1 gene:ORUFI02G19760 transcript:ORUFI02G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKVSEAAAGVEDQDGGVAAAGMDGIQYCSEHPYRPGAAAAAVAGGGICAFCLQEKLGRLVSSSKSSPFFPLGGHPPPSASPSSPPSFRRAAAVVAEPPPPLRSSSGASRKLIPFGRRKASSSSSSSSSSASVALAGGGLKRSKSVAPRPEEHYSSSASSVTAESPRKKSFWSFLYLSSSPYTHQAVTSTSYGANGGAAAAARRKSVSVASAAWASRGGSAAGAHEQQQPRAAATSSVSGRRLEAIGEPESPSQVSSSSSFGRKVARSRSVGCGSRSFSGDFLERISNGFGDCTLRRVESQREPKPNKMRALGHLGGGGGGGADDDDDDDDVYQHQHRIKCAGFFGGMGPTPSYWLSAAEGAATAGGVGGARKLGGRSHRSWAWTALASPMRALRPTSSTTTTTTKTITAVHSSHVVAHSNGSTPAAALSISSPVPASSTAATD >ORUFI02G19770.1 pep chromosome:OR_W1943:2:18123581:18134276:1 gene:ORUFI02G19770 transcript:ORUFI02G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKERGREEQVATVRAVLGDGTPEMDIIRALHMAGDDPTRAINILLDFPHGPPPPPPPSPSPSPSPPAGKPTKPHPESTPPTKTPARSKPAAAAAEKPRPSVAPESTNGGGGGEHWWLVGSVEMAGLSTCKGRRVASGDAVTFSFPNSPVAAAAGGKSRSGRPALVSCSSEIMRFSTPRHGEVGRIPNEWARCLLPLLKEGKIKIDGVCKSAPEVLSIMDTVLLSVSVYINSSMFHGQKQSTPKAARAATEDSTFHPLPALFKLTGLSPFKKAAFTPEDLYSRKRPLETKSSAPATKLTTEKLRLSSDGNEDDHAERIVSDSELDDIIGISDSSALEERDPPDALQCDLRPYQKQAFYWMMQLEKGSSSQDAATTLHPCWEAYKLEDKRELVLYLNVFSGDATTEFPSTLQLARGGILADAMGLGKTIMTIALLLADSSKGCITTQHSTHICEEASGLGELPVQPHDDVKKLAIPFSFSKLRKPKTPLIAGGNLIVCPMTLLGQWKAEIEAHATPGSVSIYVHYGQNRPKEANLIGQSDIVLTTYGVLSSEFSNENSTESGGLYSIHWFRVVLDEAHMIKSPKSLISLAAAALTADRRWCLTGTPIQNNLEDIYSLFRFLRVEPWRNWSLPILILPPANIEVKYCDLSETEKDFYDALFRRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVLSRGDTQEFADLNKLAKRFLHGGNGAVNGDSSLPSRAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECLLSSWRSASAGLCPVCRKSMSKQDLITAPTDNRFQIDVEKNWVESSKISFLLQELEVLRTSGAKSIIFSQWTAFLDLLQIPLSRHNFSFARLDGTLNLQQREKVIKEFSEDKSILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAIMRIHRIGQTKSVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRSARIEELKMLFS >ORUFI02G19770.2 pep chromosome:OR_W1943:2:18123581:18134276:1 gene:ORUFI02G19770 transcript:ORUFI02G19770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKERGREEQVATVRAVLGDGTPEMDIIRALHMAGDDPTRAINILLDFPHGPPPPPPPSPSPSPSPPAGKPTKPHPESTPPTKTPARSKPAAAAAEKPRPSVAPESTNGGGGGEHWWLVGSVEMAGLSTCKGRRVASGDAVTFSFPNSPVAAAAGGKSRSGRPALVSCSSEIMRFSTPRHGEVGRIPNEWARCLLPLLKEGKIKIDGVCKSAPEVLSIMDTVLLSVSVYINSSMFHGQKQSTPKAARAATEDSTFHPLPALFKLTGLSPFKKAAFTPEDLYSRKRPLETKSSAPATKLTTEKLRLSSDGNEDDHAERIVSDSELDDIIGISDSSALEERDPPDALQCDLRPYQKQAFYWMMQLEKGSSSQDAATTLHPCWEAYKLEDKRELVLYLNVFSGDATTEFPNAMGLGKTIMTIALLLADSSKGCITTQHSTHICEEASGLGELPVQPHDDVKKLAIPFSFSKLRKPKTPLIAGGNLIVCPMTLLGQWKAEIEAHATPGSVSIYVHYGQNRPKEANLIGQSDIVLTTYGVLSSEFSNENSTESGGLYSIHWFRVVLDEAHMIKSPKSLISLAAAALTADRRWCLTGTPIQNNLEDIYSLFRFLRVEPWRNWSLPILILPPANIEVKYCDLSETEKDFYDALFRRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVLSRGDTQEFADLNKLAKRFLHGGNGAVNGDSSLPSRAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECLLSSWRSASAGLCPVCRKSMSKQDLITAPTDNRFQIDVEKNWVESSKISFLLQELEVLRTSGAKSIIFSQWTAFLDLLQIPLSRHNFSFARLDGTLNLQQREKVIKEFSEDKSILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAIMRIHRIGQTKSVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRSARIEELKMLFS >ORUFI02G19770.3 pep chromosome:OR_W1943:2:18133351:18133662:1 gene:ORUFI02G19770 transcript:ORUFI02G19770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTSTITYSSGQKNPSHGANTLGFLAELASSSPDKPPVSSPDETAATAAAAALGQRKKLLLPSSMSFSSGFKPTLKKTRQPFPIRGGALAVAGAGNEGD >ORUFI02G19780.1 pep chromosome:OR_W1943:2:18133377:18134104:-1 gene:ORUFI02G19780 transcript:ORUFI02G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKDRQRHAAAAVAKPRQRSASFHGRGEAEQRHSLLKQRPRTQPDLLAGLRGQSFRRGGGEGRAPAGPSRVLLTVAVRQSMWPLHVMARAEWSVADLVAAAVELYIREGRRPLLPSADPAAFGLHFSQFSLQSLNPEEKLMELGSRSFFLCPKAAAAAVAAVSSGEDTGGLSGEDEANSAKKPSVLAPWLGFLHFWPLL >ORUFI02G19790.1 pep chromosome:OR_W1943:2:18139508:18142304:-1 gene:ORUFI02G19790 transcript:ORUFI02G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNTDPPPTTMVDAAAALLLEPKLEGYDDDGGGEPLQPAPFVSPLDQLMQPPRPLEALLQGPQLPPFLSKTYDLVCEPELDGVISWGHAGNSFVVWDPSAFARDVLPHHFKHNNFSSFVRQLNTYGFRKVHADRWEFAHEDFLRHSKHLLKKIVRRRSSPTQQSGLQPGSSGESGLDPELNTLRREKSALLQEVTRLKQEHLQTIEQMSTLNQRLESAEDRQKQMVSFLAKLLQNPTFLRQLKMHRQQKEIDSTRVKRKFLKHVPHGNIDSGESSSQHTGESNLDFSPTSLDLPATHSDILDLQNFLLEDGDLNLAMLPENIGLDGIEAPDDIGALVQGFDTQEELELGSGVELLEIPPASGPRGQDPTIGRSKGKNVLSPGLDATSSEADCLGSFSDNMGMLSDSMLQTAGKLMDADDDERIWGVDASSALQSSCSGTSQQAYGSLVSDPYLMEMANKPEKFWELDFQALDDGDLQLDKCVIDDPALQQQRGNMNS >ORUFI02G19800.1 pep chromosome:OR_W1943:2:18151499:18152434:1 gene:ORUFI02G19800 transcript:ORUFI02G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVPEGSYAVRLGNEASIRQRLAGAARGARYALTFSAARTCAQAERLNVSASGQWAVLPMQTMYSSNGWDSFQLGMY >ORUFI02G19810.1 pep chromosome:OR_W1943:2:18153373:18160915:-1 gene:ORUFI02G19810 transcript:ORUFI02G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADAKWPAMVLGGGGGGGRRASPGSAPPPAAPAAVAYSLLATSPPASIGNGGGSPHCDDGDASRGLGVADWLRLQRHSSGSSAGDDGDGFSSVSTLATADKGGDPADRPAGSSGGGGSKSWAQQAEEAYQLQLALALRLCSEASTAPDPNFLDSAVAAADDHHRDAPSPQSLSHRFWVNGSLSYSDKVLDGFYLIHGMDPFVWTLCNDLRDGARVPSIESLKAMNPTESSVEVVLIDRVVDYDLRQLISTAIDVSRSRADSREITTRLAGIVSSKMGGSVASTEEHELCPRWRDSAGFLKISSGSVVLPIGKLSIGLCRHRSLLFKTLADTISLPCRVVRGCRYCKSAGAASCLVHFGNDREYLIDLIGNPGFLSEPDSLLNGLSSISVSSPLRPPKYNSADIVNNFKSLAKQYFLDCQSLNMMFNDPAAGTVVDLDEAMGSNIGPNLSPATNSDFQANFSHRSRGAQSSGQDGNFLIQKSSPEDTQSAQSDPFSDISLDIEDLIIPWSELVLKEKIGAGSFGTVHRADWNGSDVAVKILMEQDFHPERLKEFLREVAIMKSLRHPNIVLFMGAVTQPPKLSIVTEYLSRGSLYRILHKHGARENLDEKRRLSMAFDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVIRDEPSNEKSDVYSFGVILWELMTLQQPWSTLNPAQVVAAVGFNGRRLEIPSSVDPKRTLATTVFCQYHGIPETSNQNSPSTSRGYQLTIVLDVVHAVEVSTGGGDQIGVRLWLTAEVEINHHLGCQ >ORUFI02G19810.2 pep chromosome:OR_W1943:2:18154854:18160915:-1 gene:ORUFI02G19810 transcript:ORUFI02G19810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKADAKWPAMVLGGGGGGGRRASPGSAPPPAAPAAVAYSLLATSPPASIGNGGGSPHCDDGDASRGLGVADWLRLQRHSSGSSAGDDGDGFSSVSTLATADKGGDPADRPAGSSGGGGSKSWAQQAEEAYQLQLALALRLCSEASTAPDPNFLDSAVAAADDHHRDAPSPQSLSHRFWVNGSLSYSDKVLDGFYLIHGMDPFVWTLCNDLRDGARVPSIESLKAMNPTESSVEVVLIDRVVDYDLRQLISTAIDVSRSRADSREITTRLAGIVSSKMGGSVASTEEHELCPRWRDSAGFLKISSGSVVLPIGKLSIGLCRHRSLLFKTLADTISLPCRVVRGCRYCKSAGAASCLVHFGNDREYLIDLIGNPGFLSEPDSLLNGLSSISVSSPLRPPKYNSADIVNNFKSLAKQYFLDCQSLNMMFNDPAAGTVVDLDEAMGSNIGPNLSPATNSDFQANFSHRSRGAQSSGQDGNFLIQKSSPEDTQSAQSDPFSDISLDIEDLIIPWSELVLKEKIGAGSFGTVHRADWNGSDVAVKILMEQDFHPERLKEFLREVAIMKSLRHPNIVLFMGAVTQPPKLSIVTEYLSRGSLYRILHKHGARENLDEKRRLSMAFDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVIRDEPSNEKSDVYSFGVILWELMTLQQPWSTLNPAQVVAAVGFNGRRLEIPSSVDPKRTLATTVFCQYHGIPETSNQNSPSTSRGYQLTVHGYLQNPEDTSP >ORUFI02G19820.1 pep chromosome:OR_W1943:2:18161517:18161980:-1 gene:ORUFI02G19820 transcript:ORUFI02G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGHLARSKRELGDGELNVVDELSKVGREVVAGSALCAASSSMPGRRRKAAESSARRRRSSGRGGGGVGQVGGQRCWSSGGDDGSARLAGGLGARRGREEEKTRLWERTNGRQGPYVSEVETGAFWAIRNYNGLHVGPRIYRV >ORUFI02G19830.1 pep chromosome:OR_W1943:2:18162097:18163321:-1 gene:ORUFI02G19830 transcript:ORUFI02G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAHFVDDEWNVKRPKCPFLQLHLHPPASIVVAGLILKLHHCYHHPSQPQSPPLPSCATSSASVAVVGLIHSIRRRCPPQLPQPSHTPASACATATALASATIGPTERVAGGGDGEDAEVTEEDGSGIGDGD >ORUFI02G19840.1 pep chromosome:OR_W1943:2:18171066:18172634:1 gene:ORUFI02G19840 transcript:ORUFI02G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRCFVVLCVAVAAALASLGGGAVDAAAAAAAAQEMRRGFSAAHDRSYSQFEQVLSDPTGVFALGFLRVNSTMLDLAVVHLPSSFPLWSSIPDRPAQWSAPASLSFDGDLVLTDPAANKVLWSAGAAAGAGGDRVVLLNTSNLQIQSGGGGGDGGSSPGIVWQSFDAPSETIVQGQNLTSAAALYTSDRRFSMRMGTSYFGLYIEPPASSSGGGGVAAAMYWKHTALQAKAAIVDGGGPTYARVEPDGYLAMYQKEGPPAEVLSFDTFNHGVRALRRMTLEADGNLRAYYWDSTGSRWVLDYTAITDPCGLPSTCGAYAVCVPPSGRCACLANATDGSGCAAANVGGGGGLCGRTGGEVGGLYWEVRRQRVEPANKEFLPFEHSPSAADCEVRCARNCSCWGAVYSNGTGYCYLMDYPAQMMVAADERKVGYFKVRSLEEAAAASGGGRAAGVKAALLAVGVTVLVAAAAFGAYRVWKRRCRTAVDARRQVVADDEGLSPGPYKNLGSFSSVELSSSFRR >ORUFI02G19850.1 pep chromosome:OR_W1943:2:18175952:18180133:-1 gene:ORUFI02G19850 transcript:ORUFI02G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAEKSTSGGGGMSMNTVTTVMAFSVSAFFVLFIFVRLLCARIHLRAGQSAAAAAAAHGDAFPAFSVERGIRGLEPAVVTSFPTAKFGDGGSRPRAAAALEESQENEDDEEGALLVQWLNKKKNIEVVLKMCPVCLEEYEAKDVVRVLPSCGHAFHVACIDAWLRQHSTCPVCRASLRAAAANAKHPPPSPAAMLPPPLYCPPAAARPSTPAPARATFRQQQQQLSATASSSSDADALQPAAAADDRLEIVVSDEQPAPSAAAGGQAEEHPHPPPPAAAAGHR >ORUFI02G19850.2 pep chromosome:OR_W1943:2:18175952:18180133:-1 gene:ORUFI02G19850 transcript:ORUFI02G19850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAEKSTSGGGGMSMNTVTTVMAFSVSAFFVLFIFVRLLCARIHLRAGQSAAAAAAAHGDAFPAFSVERGIRGLEPAVVTSFPTAKFGDGGSRPRAAAALEESQCPVCLEEYEAKDVVRVLPSCGHAFHVACIDAWLRQHSTCPVCRASLRAAAANAKHPPPSPAAMLPPPLYCPPAAARPSTPAPARATFRQQQQQLSATASSSSDADALQPAAAADDRLEIVVSDEQPAPSAAAGGQAEEHPHPPPPAAAAGHR >ORUFI02G19860.1 pep chromosome:OR_W1943:2:18181729:18183665:-1 gene:ORUFI02G19860 transcript:ORUFI02G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGGGREQQMQIVCVRGGGGGGGGGGGEEDGSSEWDQSSSRSALSLFKEKEEEIERKKLEVREKVFSMLGRVEEETKRLAFIRQELEVMSDPTRREVETIRKRIDKVNRQLKPLGKNCLKKEKEYKACLEAYNEKSNEKATLVNRLMELVGESEQLRMKKLEELNKTVESLY >ORUFI02G19870.1 pep chromosome:OR_W1943:2:18185004:18195898:-1 gene:ORUFI02G19870 transcript:ORUFI02G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASAVPGSAAGLRAGAVRFPVPAGARSWRAAAELPTSRSLLSGRRFPGAVRVGGSGGRVAVRAAGASGEVMIPEGESDGMPVSAGSDDLQLPALDDELSTEVGAEVEIESSGASDVEGVKRVVEELAAEQKPRVVPPTGDGQKIFQMDSMLNGYKYHLEYRYSLYRRLRSDIDQYEGGLETFSRGYEKFGFNHSAEGVTYREWAPGAHSAALVGDFNNWNPNADRMSKNEFGVWEIFLPNNADGSSPIPHGSRVKVRMETPSGIKDSIPAWIKYSVQAAGEIPYNGIYYDPPEEEKYIFKHPQPKRPKSLRIYETHVGMSSTEPKINTYANFRDEVLPRIKKLGYNAVQIMAIQEHAYYGSFGYHVTNFFAPSSRFGTPEDLNHASNNTLDGLNGFDGTDTHYFHSGSRGHHWMWDSRLFNYGNWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYSEYFGFATDADAVVYLMLVNDLIHGLYPEAITIGEDVSGMPTFALPVQDGGVGFDYRLHMAVPDKWIELLKQSDESWKMGDIVHTLTNRRWSEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPATPSIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRAPQVLPNGKFIPGNNNSYDKCRRRFDLGDADYLRYRGMLEFDRAMQSLEEKYGFMTSDHQYISRKHEEDKMIIFEKGDLVFVFNFHWSNSYFDYRVGCLKPGKYKVVLDSDAGLFGGFGRIHHTAEHFTADCSHDNRPYSFSVYSPSRTCVVYAPAE >ORUFI02G19880.1 pep chromosome:OR_W1943:2:18205406:18214770:1 gene:ORUFI02G19880 transcript:ORUFI02G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIHYWLMHLAQRASLGREVLLLKHHQQLRNYSRKVTAMRKKPLLACQLTLDPVYMHAHSSILATKL >ORUFI02G19890.1 pep chromosome:OR_W1943:2:18217920:18218405:1 gene:ORUFI02G19890 transcript:ORUFI02G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLELPKPRTPLLSAAVNLSAVIEDEAYVGFSSSTGVVASRAPLRACLELQDGRASTIAKRIKPAGVACHDRQGSVQRSEDTIADSLDRLFSSFTGDDVGMRSLKRIGRLRLGHWFSYKDMFRATNGFSYERLLGFGGFGRVYKVSASGIPSGDCREGVP >ORUFI02G19900.1 pep chromosome:OR_W1943:2:18218438:18220238:1 gene:ORUFI02G19900 transcript:ORUFI02G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPSTSPAPAAYPLPTTSTSPPSASLTNPTSNPMTPAISMPPPALTTTPPTAPGLSGQQLWLRKLCFGIVNVVTIKVKIISTLKRNCDGRVFGRNSDDYWCWVCRWDCDWHRWISCLFIVGSLFACSFN >ORUFI02G19910.1 pep chromosome:OR_W1943:2:18232611:18247897:-1 gene:ORUFI02G19910 transcript:ORUFI02G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLEVVEMEDKKQQQQQQREEAEAEEEAPVVPSSLRAAATCRSLSSLSSSLRWDHRGDDDEEEAELRWAAIERLPTLDRMRTSVLSSEAAVDVRRLGAAQRRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNVRVEADCQVVSGKPLPTLLNTVLATARGLSRRPHARIPILNDVTGILKPSRLTLLLGPPGCGKTTLLLALAGKLDKNLKVTGEVEYNGANLNTFVPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRAEIMKEVIRREKEAGITPDPDIDTYMKAISVEGLERSMQTDYIMKIMGLDICADIIVGDIMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQVAHISESTILVSLLQPAPETYDLFDDIILMAEGKIVYHGSKSCIMNFFESCGFKCPERKGAADFLQEVLSKKDQQQYWSRTEETYNFVTIDHFCEKFKASQVGQNLVEELANPFDKSEVYNNALSLNIYSLTKWDLLKACFAREILLMRRNAFIYITKVVQLGLLAVITGTVFLRTHMGVDRAHADYYMGSLFYALILLLVNGFPELAIAVSRLPVFYKQRDYYFYPAWAYAIPSFILKIPLSLVESITWTSISYYLIGYTPEASRFFCQLLILFLVHTGALSLFRCVASYCQTMVASSVGGTMSFLVILLFGGFIIPRLSMPNWLKWGFWISPLSYAEIGLTGNEFLAPRWLKTTTSGVTLGRRVLMDRGLDFSSYFYWISASALIGFILLLNVGYAIGLTIKKRTSRAIISRDKFSTFDRRGKDMSKDMDNRMPKLQVGNALAPNKTGTMVLPFSPLTISFQDVNYYVDTPVEMREQGYKERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVEESVAYSAWLRLPTEVDSKTRREFVDEVIQTIELDDIRDALVGLPGVSGLSTEQRKRLTIAVELVSNPSVIFMDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGLHSCNVIHYFETIPGVPKIKDNYNPSTWMLEVTCASMEAQLGVDFAQIYRESTMCKDKDALVKSLSKPALGTSDLHFPTRFPQKFREQLKACIWKQCLSYWRSPSYNLVRILFITISCIVFGVLFWQQGDINHINDQQGLFTILGCMYGTTLFTGINNCQSVIPFISIERSVVYRERFAGMYSPWAYSLAQVAMEIPYVLVQILLIMFIAYPMIGYAWTAAKFFWFMYTIACTLLYFLYFGMMIVSLTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYTSPLSWTLNVFFTTQFGDEHQKEISVFGETKSVAAFIKDYFGFRHDLLPLAAIILAMFPILFAILFGLSISKLNFQRR >ORUFI02G19910.2 pep chromosome:OR_W1943:2:18232611:18247897:-1 gene:ORUFI02G19910 transcript:ORUFI02G19910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLEVVEMEDKKQQQQQQREEAEAEEEAPVVPSSLRAAATCRSLSSLSSSLRWDHRGDDDEEEAELRWAAIERLPTLDRMRTSVLSSEAAVDVRRLGAAQRRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNVRVEADCQVVSGKPLPTLLNTVLATARGLSRRPHARIPILNDVTGILKPSRLTLLLGPPGCGKTTLLLALAGKLDKNLKVTGEVEYNGANLNTFVPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRAEIMKEVIRREKEAGITPDPDIDTYMKAISVEGLERSMQTDYIMKIMGLDICADIIVGDIMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQVAHISESTILVSLLQPAPETYDLFDDIILMAEGKIVYHGSKSCIMNFFESCGFKCPERKGAADFLQEVLSKKDQQQYWSRTEETYNFVTIDHFCEKFKASQVGQNLVEELANPFDKSEVYNNALSLNIYSLTKWDLLKACFAREILLMRRNAFIYITKVVQLGLLAVITGTVFLRTHMGVDRAHADYYMGSLFYALILLLVNGFPELAIAVSRLPVFYKQRDYYFYPAWAYAIPSFILKIPLSLVESITWTSISYYLIGYTPEASRFFCQLLILFLVHTGALSLFRCVASYCQTMVASSVGGTMSFLVILLFGGFIIPRLSMPNWLKWGFWISPLSYAEIGLTGNEFLAPRWLKTTTSGVTLGRRVLMDRGLDFSSYFYWISASALIGFILLLNVGYAIGLTIKKRTMVLPFSPLTISFQDVNYYVDTPVEMREQGYKERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVEESVAYSAWLRLPTEVDSKTRREFVDEVIQTIELDDIRDALVGLPGVSGLSTEQRKRLTIAVELVSNPSVIFMDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGLHSCNVIHYFETIPGVPKIKDNYNPSTWMLEVTCASMEAQLGVDFAQIYRESTMCKDKDALVKSLSKPALGTSDLHFPTRFPQKFREQLKACIWKQCLSYWRSPSYNLVRILFITISCIVFGVLFWQQGDINHINDQQGLFTILGCMYGTTLFTGINNCQSVIPFISIERSVVYRERFAGMYSPWAYSLAQVAMEIPYVLVQILLIMFIAYPMIGYAWTAAKFFWFMYTIACTLLYFLYFGMMIVSLTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYTSPLSWTLNVFFTTQFGDEHQKEISVFGETKSVAAFIKDYFGFRHDLLPLAAIILAMFPILFAILFGLSISKLNFQRR >ORUFI02G19910.3 pep chromosome:OR_W1943:2:18232611:18247897:-1 gene:ORUFI02G19910 transcript:ORUFI02G19910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLEVVEMEDKKQQQQQQREEAEAEEEAPVVPSSLRAAATCRSLSSLSSSLRWDHRGDDDEEEAELRWAAIERLPTLDRMRTSVLSSEAAVDVRRLGAAQRRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNVRVEADCQVVSGKPLPTLLNTVLATARGLSRRPHARIPILNDVTGILKPSRLTLLLGPPGCGKTTLLLALAGKLDKNLKVTGEVEYNGANLNTFVPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRAEIMKEVIRREKEAGITPDPDIDTYMKAISVEGLERSMQTDYIMKIMGLDICADIIVGDIMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQVAHISESTILVSLLQPAPETYDLFDDIILMAEGKIVYHGSKSCIMNFFESCGFKCPERKGAADFLQEVLSKKDQQQYWSRTEETYNFVTIDHFCEKFKASQVGQNLVEELANPFDKSEVYNNALSLNIYSLTKWDLLKACFAREILLMRRNAFIYITKVVQLGLLAVITGTVFLRTHMGVDRAHADYYMGSLFYALILLLVNGFPELAIAVSRLPVFYKQRDYYFYPAWAYAIPSFILKIPLSLVESITWTSISYYLIGYTPEASRFFCQLLILFLVHTGALSLFRCVASYCQTMVASSVGGTMSFLVILLFGGFIIPRLSMPNWLKWGFWISPLSYAEIGLTGNEFLAPRWLKFHSLKRYSDTIWTSATGTSRAIISRDKFSTFDRRGKDMSKDMDNRMPKLQVGNALAPNKTGTMVLPFSPLTISFQDVNYYVDTPVEMREQGYKERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVEESVAYSAWLRLPTEVDSKTRREFVDEVIQTIELDDIRDALVGLPGVSGLSTEQRKRLTIAVELVSNPSVIFMDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGLHSCNVIHYFETIPGVPKIKDNYNPSTWMLEVTCASMEAQLGVDFAQIYRESTMCKDKDALVKSLSKPALGTSDLHFPTRFPQKFREQLKACIWKQCLSYWRSPSYNLVRILFITISCIVFGVLFWQQGDINHINDQQGLFTILGCMYGTTLFTGINNCQSVIPFISIERSVVYRERFAGMYSPWAYSLAQVAMEIPYVLVQILLIMFIAYPMIGYAWTAAKFFWFMYTIACTLLYFLYFGMMIVSLTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYTSPLSWTLNVFFTTQFGDEHQKEISVFGETKSVAAFIKDYFGFRHDLLPLAAIILAMFPILFAILFGLSISKLNFQRR >ORUFI02G19910.4 pep chromosome:OR_W1943:2:18232611:18247897:-1 gene:ORUFI02G19910 transcript:ORUFI02G19910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLEVVEMEDKKQQQQQQREEAEAEEEAPVVPSSLRAAATCRSLSSLSSSLRWDHRGDDDEEEAELRWAAIERLPTLDRMRTSVLSSEAAVDVRRLGAAQRRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNVRVEADCQVVSGKPLPTLLNTVLATARGLSRRPHARIPILNDVTGILKPSRLTLLLGPPGCGKTTLLLALAGKLDKNLKVTGEVEYNGANLNTFVPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRAEIMKEVIRREKEAGITPDPDIDTYMKMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQVAHISESTILVSLLQPAPETYDLFDDIILMAEGKIVYHGSKSCIMNFFESCGFKCPERKGAADFLQEVLSKKDQQQYWSRTEETYNFVTIDHFCEKFKASQVGQNLVEELANPFDKSEVYNNALSLNIYSLTKWDLLKACFAREILLMRRNAFIYITKVVQLGLLAVITGTVFLRTHMGVDRAHADYYMGSLFYALILLLVNGFPELAIAVSRLPVFYKQRDYYFYPAWAYAIPSFILKIPLSLVESITWTSISYYLIGYTPEASRFFCQLLILFLVHTGALSLFRCVASYCQTMVASSVGGTMSFLVILLFGGFIIPRLSMPNWLKWGFWISPLSYAEIGLTGNEFLAPRWLKTTTSGVTLGRRVLMDRGLDFSSYFYWISASALIGFILLLNVGYAIGLTIKKRTSRAIISRDKFSTFDRRGKDMSKDMDNRMPKLQVGNALAPNKTGTMVLPFSPLTISFQDVNYYVDTPVEMREQGYKERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVEESVAYSAWLRLPTEVDSKTRREFVDEVIQTIELDDIRDALVGLPGVSGLSTEQRKRLTIAVELVSNPSVIFMDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGLHSCNVIHYFETIPGVPKIKDNYNPSTWMLEVTCASMEAQLGVDFAQIYRESTMCKDKDALVKSLSKPALGTSDLHFPTRFPQKFREQLKACIWKQCLSYWRSPSYNLVRILFITISCIVFGVLFWQQGDINHINDQQGLFTILGCMYGTTLFTGINNCQSVIPFISIERSVVYRERFAGMYSPWAYSLAQVAMEIPYVLVQILLIMFIAYPMIGYAWTAAKFFWFMYTIACTLLYFLYFGMMIVSLTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYTSPLSWTLNVFFTTQFGDEHQKEISVFGETKSVAAFIKDYFGFRHDLLPLAAIILAMFPILFAILFGLSISKLNFQRR >ORUFI02G19920.1 pep chromosome:OR_W1943:2:18250428:18251129:-1 gene:ORUFI02G19920 transcript:ORUFI02G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRKEKGKEGRRGKATGHSVDKFSRSNLPEMEKRKLHLPPGTALFVFVNNTLPQTAQLMGSVYESYKDEGDGFLYLCYSSEKTFG >ORUFI02G19930.1 pep chromosome:OR_W1943:2:18253702:18254229:-1 gene:ORUFI02G19930 transcript:ORUFI02G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPSDFASKPACYSLDELVIALGVAWHRLATARLAVCPDEHWAEDHQACFFHGKVALQRWVHSVSDRPLPPMLQHHVHQQEVTAVFVSCETGLRPQVTSSMNTPKAKMSDAFDGLPVCPSSEARYPIVLTTCVWCVGRCHDHITLQGQNYLVDSSFRHPTTRCLS >ORUFI02G19940.1 pep chromosome:OR_W1943:2:18259021:18259842:-1 gene:ORUFI02G19940 transcript:ORUFI02G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIGSGSSVREKVNRLGTWRWRRLEVVATTKVCASRGEGIVGSSFPRPNMVKETRSGNVDHLYASGVAAMGAKVAQVWLCRRDIAGRELERQHEEVAWRDKRGAWRRHRRRVTRMRTIAGASCWAKGQVVAQAMRTRSCGSELTHLVEMRCTRLPWLNHALVC >ORUFI02G19950.1 pep chromosome:OR_W1943:2:18264668:18268908:1 gene:ORUFI02G19950 transcript:ORUFI02G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAEVALHSMPGAFAAHSPASNLPLAADAARGRRRRSANSLHSSRALQGPVRFPGLRAAVECQCQRIDDLARVTEGNGAWVKDAVDKASHALGDVRVPGQAVGGNGSVNGSAAKPPPQRRKASSVEDEAWELLRESVVYYCGSPVGTIAANDPNDANPMNYDQVFIRDFIPSGIAFLLKGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPLDGDEDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLTVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNNRLIALSFHIREYYWVDMQKLNEIYRYKTEEYSYDAVNKFNIYPDQVSPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATTHQSHAILDLIESKWSDLVAEMPLKICYPALENQEWKIITGSDPKNTPWSYHNGGSWPTLLWQLTVASIKMNRPEIAAKAVEVAERRIAIDKWPEYYDTKRARFIGKQSRLYQTWSIAGYLVAKQLLDKPDAARILSNDEDAEILNALSTNRKRGKKVLKKTFIV >ORUFI02G19960.1 pep chromosome:OR_W1943:2:18270744:18273188:1 gene:ORUFI02G19960 transcript:ORUFI02G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRGGKAASSKADPFDSDSDSDLVPKKKPGAYTAPSGAAKARYKDDFRDSGGLEQQSVQELENYAAYKAEETTDALGGCLRIAENIREDAANTLVTLNKQGQQISRTHEKAVEIDQDLSKGESLLGSLGGFFSKPWKPKKTRQIKGPAHVSDDSFKKKASHIEQREKLGLSPSGKSANRSYAEPTTAMEKVQVEKQKQDDALDDLSGVLGQLKGMACDMGSELDRQNKALDDLQGDVDELNSRVKGANQRARKLIEK >ORUFI02G19970.1 pep chromosome:OR_W1943:2:18278582:18279991:-1 gene:ORUFI02G19970 transcript:ORUFI02G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEGMGYNNGKGGGGGGGGLPMTEPTHHHRSRKIHRTFNNVKITVLCGLVTILVLRGTIGLNLSLPNQPTDADALAGAKAVEDIDRILREIRSDGGADDDAAAAGDLAGSFNATALNATEAAAAYASAVERYALGPKISDWDGQRRRWLRQNPGFPSTVAGGKPRILLVTGSQPGPCDNPLGDHYLLKTTKNKIDYCRLHGIEIVHNLAHLDTELAGYWAKLPLLRRLMLSHPEVEWIWWMDSDALFTDMAFELPLSRYQDRNLIIHGYQDLLFEKHSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGFIRDEAGKILTANLKGRPAFEADDQSALIYLLLSQKEKWMNKVFIENSYYLHGFWAGLVDKYEEMMENHHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLRSMERAFNFADNQVLRLYGFAHKGLESPKIKRVRNQTTKPIDDKENLDVKAKISTTS >ORUFI02G19980.1 pep chromosome:OR_W1943:2:18282081:18285013:-1 gene:ORUFI02G19980 transcript:ORUFI02G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFISAYLLATLAGNPNPSAEDLTTILESVGAEVDHGKMELLLSQLAGKDITEIIASGREKFASVPCGGGGVAVAAAAPAAGGGAAPQSEAKKEEKVEEKEESDDDMGFSLFD >ORUFI02G19980.2 pep chromosome:OR_W1943:2:18281889:18283744:-1 gene:ORUFI02G19980 transcript:ORUFI02G19980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFISAYLLATLAGNPNPSAEDLTTILESVGAEVDHGKMELLLSQLAGKDITEIIASGREKFASVPCGGGGVAVAAAAPAAGGGAAPQSEAKKEEKVEEKEESDDDMGFSLFD >ORUFI02G19990.1 pep chromosome:OR_W1943:2:18285913:18290050:-1 gene:ORUFI02G19990 transcript:ORUFI02G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTILLSLALSMLLAILLSKLVSISKKPRLNLPPGPWTLPVIGSIHHLASNPNTHRALRALSQKHGPLMQLWLGEVPAVVASTPEAAREILRNQDLRFADRHVTSTVATVSFDASDIFFSPYGERWRQLRKLCTQELLTATRVRSFSRVREDEVARLVRELAGGGGAAVDLTERLGRLVNDVVMRCSVGGRCRYRDEFLGALHEAKNQLTWLTVADLFPSSRLARMLGAAPRRGLASRKRIERIIADIVREHEGYMGSGGDGGDEAAAAAAGKDCFLSVLLGLQKEGGTPIPITNEIIVVLLFDMFSGGSETSATVMIWIMAELIRWPRVMTKVQAEVRQALQGKVTVTEDDIVRLNYLKMVIKETLRLHCPGPLLVPHRCRETCKVMGYDVLKGTCVFVNVWALGRDPKYWEDPEEFMPERFENSDMDYKGNTFEYLPFGSGRRICPGINLGIANIELPLASLLYHFDWKLPDEMASKDLDMQEAPGMVAAKLTSLCVCPITRVAPLISA >ORUFI02G20000.1 pep chromosome:OR_W1943:2:18293655:18296018:-1 gene:ORUFI02G20000 transcript:ORUFI02G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPPPFSLSRSSRVCVGVAHAVPHRSAETVPDAAPQLLLMRAHARAGRMQPARQAFDAMLPRDRSLVAWTVLMSGYATHGPASEALDLLLRMVEWPLRPDAFVFSVALRACAAAGSLGVGRQVHAAAAKMGYVGADLFVANGLVTMYASCRSLGCAEKVFSGIAAPDSVSWTSMLSAYTENGCDTQALMLFLEMIHGGVSCDAYTLSVALRAASSLGHVRLGYQLHCYMIKSGFVPSEFLENCLIEFYGRCRELQLMQKVFDEMNAKDLVSWNIVIQCYADNLCDEEALVHFRDLMYKCAECDEYTLGSILHVITRRCAFDYGREIHGYLIRAGLDSDKYVMSALMDMYVNWATLRKSRSMLPLRMLKYYLSVQGKLDQFIVASSLKSCASDLDLAAGRMLHACVLKFDVNPDPFVISSLVDMYAKCGSLEEAHILFSRTKDPCTVAWSAIISGSCLNGQFERAIHLFRTMQLEHVQPNEFTYTSVLTACMALGDVVSGMEIHSNSIRNGYGTSDSVLRSLISFYLREGQFNQALRLCLSLSNSEISWGTLFQEFAELGDHLGILNLFHVIQRSGGVLDYPTACLILSSCGKKAHLPEGLQAHAYLMKRGLSSTGCMCDYLIDMYSGCGSLTHAFEAFRNTSGRNSSSWTSIIMASVENGCPETAIRLFVQMLRKEKSPNSLAFLSVLKACAEIGLVNEAFQFFVSMTEVYKIQPSEEHYSHMIEVLGRAGMFKEAEHFIDSVVPSESGASAWSLLCSAAKQNGNAKTMRLAADRLSKLTPDGC >ORUFI02G20010.1 pep chromosome:OR_W1943:2:18296701:18297201:1 gene:ORUFI02G20010 transcript:ORUFI02G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLWILLGFNVGLFSVIVLVMLLLSWGELLIHVGSTSPSRSASSPPPKHHLASDPDDERGVQAILVVLFPAAQRGYLDRGK >ORUFI02G20020.1 pep chromosome:OR_W1943:2:18299139:18300147:-1 gene:ORUFI02G20020 transcript:ORUFI02G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETVVLKVGMSCQGCAGAVRRVLTKMEGVETFDIDMEQQKVTVKGNVKPEDVFQTVSKTGKKTSFWEAAEAASDSAAAAAPAPAPATAEAEAEAEAAPPTTTAAEAPAIAAAAAPPAPAAPEAAPAKADA >ORUFI02G20030.1 pep chromosome:OR_W1943:2:18305165:18305716:-1 gene:ORUFI02G20030 transcript:ORUFI02G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTGKLLRREVTEIVFTVSNIRAVLQHGAGHLELQRLGAEVRRGRMGRSLPPSPPLRSHAASTGRILPRGHLARGGRGDPVDDTATGKADASAARAPPASAASMAATSPAGRRPCSCCPSASAHPRAAPLPAPAGCRPWRREREREG >ORUFI02G20040.1 pep chromosome:OR_W1943:2:18305759:18311139:-1 gene:ORUFI02G20040 transcript:ORUFI02G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDRRYDSFKTWSGKLERQLAHLAGAGPEFPEEEEDGCDAISSHHTKSMPQVDRFFAALECPELDKLRSSEELVLPLDKTWPFLLRFPVSAFGICLGVSTQAILWKTVATSAPTRFLHVTTKVNLCVSVALMCVIAAIYACKVVFFFEAVLERQGSWAASGGGGGCAHREERGDVVAVAGIPASRADEGERRATQTRGRRRGRSGARAEAEYIAGLHAMAMAAAAAAAVANRRSHVGPTCQMSTSASSPPFPLFSPFVIFVNVTANPRLGEAALRRVGTSAAAVDWLVEMLTWNGAAEAAARASAALVPAIKKRNALRVAGVPGDIKSASSLLYVADEECNLQGLLIIKKLTRNHDTCSKIGNAIRDHADVR >ORUFI02G20050.1 pep chromosome:OR_W1943:2:18313386:18313850:1 gene:ORUFI02G20050 transcript:ORUFI02G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQRWQEGCHRLCANNCGFFGSPATLDLCSKCYRDRQGRESTAPVVVAAAASACPATHPSSPSSSSCPAFLPSSTAAEAGVVVAAVAKASRCASCRKRVGLTGFACRCGGTFCGAHRYPERHACGFDFKAAGRDAIARANPLIKGDKLKDKI >ORUFI02G20060.1 pep chromosome:OR_W1943:2:18328632:18329650:1 gene:ORUFI02G20060 transcript:ORUFI02G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLNTKLYLQNCYMLKENERLRKAAVLLNQENQALLSELKHRLARSPSPAAAAPGVANDSKNAAAAAGRHAGPPPVQDKSASKSK >ORUFI02G20070.1 pep chromosome:OR_W1943:2:18335220:18339679:-1 gene:ORUFI02G20070 transcript:ORUFI02G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHETRSRTLAASQEEGKAAPKKQKTESKEQEGGQQAPSKNKKTADNEEHDGEQEPSKNKKLKAEESDLNGKATAVKEFSEFCKAIREHLTIEDMRKILQGNEQDASGSEDAVVPRCEDVMFYGPLDKCPVCGGQLECKGLKYNCTGTHSEWACCSFSTNNPSRRGGPIKVPDDVKNDFVRKWLKQQEGNKYPKRNLDDEGIFSGMMIALSGRMSRSHGYFKEQIMKHGGKVNNSVIGVTCVVASPAERHQGGSGGFAEALERGTPVVSENWIIDSVQKKEKQPLAAYDIASDVVPEGRGLPLGNLDPTEEAIETLAAELKLAGKRAVHKDSKLEKDGGHIYEKDGIIYNCAFSVCDLGGDINQLCIMQLIMVPENHLHLYYKKGPIGHDQMAEERVEDFGSRFNDAIKEFVRLFEEVTGNEFEPWEREKKFKKKCMKMYPLDMDDGVDVRHGGVALRQLGAAAAHCKLDPSVTFIMKQLCSQEIYRVHQLFHLAGEETLLEWKQDVESAPESGPAADAFWMEISNKWFTLFPTTRPYTMKGYEQIADNVASGLETVRDINVASRLIGDVFGSTLDDPLSQCYKKLGCSINRVVEDSEDYKMILKYLEKTYEPVKVGDVVYSATVERIYAVESSALPSYDEIKKLPNKVLLWCGTRSSNLLRHLRDGFVPAVCHIPVPGYMFGKAIVCSDAAAEAALYGFTAVDRPEGYLVLAVASLGKEIQEITGTPGSEDVKRMEEKKMGVKGVGRKTTDPSEHFTWRDGVTVPCGKLVPSTNKDGPLEYNEYAVYDPKQVSIAFLVGVKYEEQNMEVVPDE >ORUFI02G20080.1 pep chromosome:OR_W1943:2:18361839:18363051:-1 gene:ORUFI02G20080 transcript:ORUFI02G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSFNTNIAASGIVGELQNRSTHLLAIKADVQAKAGLINHLIAKLQQITFADVDQVLTFVDWLDQQLSTLLAREKSRCTPGHLNSLLTQISKYPPNDDPTLTSCEAILTKTSALQHKLEKSMSRLVNLRSLAMPSYKELRIPTDWMLDSGIASKMRLASLKLAKVYVKRALKELDRETGGEALLAQTVHFAYRVHQFAGGLDCEAMRLFEDLTKRPHKASSPLSFLKMN >ORUFI02G20090.1 pep chromosome:OR_W1943:2:18367990:18369741:-1 gene:ORUFI02G20090 transcript:ORUFI02G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWGLFFFLPLLLLLLLSCSCIISHALPCCSGKQTAHDDLNPEQATNPTMPITVPSTNPAPIIITVPSTNPTITIPSLNPLPTPITAPSMVNPSTSPAPAAYPLPTPSTSPPSASLTNPTSNPMTPAISMPPPALTTTPPTAPGLSGQQLWCVAKADSADIALQNALDYACGIGGADCLAIQPSGTCYYPNTLEEPCNLQLRFWGDGNSCQCQSQLRKLCFGIVNVITIKLRVWVQSCIDNSYGIIHYYSYYAIWFRSISAEPGWLRLRQ >ORUFI02G20090.2 pep chromosome:OR_W1943:2:18367990:18369741:-1 gene:ORUFI02G20090 transcript:ORUFI02G20090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWGLFFFLPLLLLLLLSCSCIISHALPCCSGKQTAHDDLNPEQATNPTMPITVPSTNPAPIIITVPSTNPTITIPSLNPLPTPITAPSMVNPSTSPAPAAYPLPTPSTSPPSASLTNPTSNPMTPAISMPPPALTTTPPTAPGLSGQQLWCVAKADSADIALQNALDYACGIGGADCLAIQPSGTCYYPNTLVRIDLLSFQCHYVGVVKIMLRKLCFGIVNVITIKLRVWVQSCIDNSYGIIHYYSYYAIWFRSISAEPGWLRLRQ >ORUFI02G20100.1 pep chromosome:OR_W1943:2:18377640:18388726:-1 gene:ORUFI02G20100 transcript:ORUFI02G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALEQAKRRPAAGKTLLNVERQQHPEIKMSQLEVVEMEDKKKQQQQREEAEAEASVVPSSLRAAATRSLSSLSSSLRWDHRGDGEEEAELTWAAIERLPTLDRMRTSVLSSEAVDVRRLGAAERRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNVRVEADCQVVSGKPLPTLLNTVLSTARGLSRRHARIPILNDVTGILKPSRLTLLLGPPGCRKTTLLLALAGKLDKNLKVTGEVEYNGTNLNTFVPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRAEIMKEVIRREKEAGITPDPDIDTYMKAISVEGLERSMQTDYIMKIMGLDICADIIVGDIMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQVAHISESTILVSLLQPAPETYDFFNDIILMAEGKIAYHGSKSCIMNFFESCGFKCPERKRAADFLQEVLSKKDQQQYWSHTEETYNFVTVDHFCEKFKASQVEGHNNALSLNIYSLTKWDLLKACFAREILLMRRNAFIYITKTVQLGLLAVITRTVFLRTHMGVDRAHADYYMGSLFYALLLLLVNGFPELAMAVTRLPVFYKQRDYYFYPAWAYAIPAFILKIIVSLVESITWTSISYYLIGYTPEASRFFRQLLILFLVYTGSLSLFRCVASYCQTMMAGSVGGTMSFLVILLFGGFIIPRPSMPNWLKWGFWISPLSYAEIGLTVNEFLAPRWLKTTTSGVTLGRRVIMDRGLDFSSYFCWISVGALVGFILLLNVGYAIGLTIMKPPGTSRAIISRDKFATFDRRSKDMSKDMDNRMPKLQVGNALAPNKTGTMVLPFTPLTISFQDVNYYVDTPVLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVEEPVAYSAWLRLPIEVDSKTRREFVNEVIQTIELDDIRDALVGLPGSKGNVSLFAVELVSNPSVIFMDEPTSGLDARAAAIVMHAVKNVADTGRTVVCTIHQPSIEIFEAFDELLLMKRGGELIYAGPLGFHSCNVIHYFETIPGVPKIKDNYNPSTWMLEVTCASMEAQLGVEFAQIYRESTMCKDKDALVKSLSKPALGTSDLHFPNTTQRFGEQLKACIWKQCLSYWRSPSYNLVRIVFITISCIVFGALFWQQGDINHINDQQGLFTILGCLYGTTLFTGINNCQSVMPFVSIERSIVYRERFAGMYSPWAYSLAQGMHGLQLNDPSLKPGSVVVFLYLGMMIVALTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYTSPLSWTLNVFFTTQFGDEHEKEISVFGETKSVAAFIKDYFGFHRDLLPLAAIILAMFPTLFAILFGLSISKLNFQRR >ORUFI02G20100.2 pep chromosome:OR_W1943:2:18377640:18388726:-1 gene:ORUFI02G20100 transcript:ORUFI02G20100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALEQAKRRPAAGKTLLNVERQQHPEIKMSQLEVVEMEDKKKQQQQREEAEAEASVVPSSLRAAATRSLSSLSSSLRWDHRGDGEEEAELTWAAIERLPTLDRMRTSVLSSEAVDVRRLGAAERRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNVRVEADCQVVSGKPLPTLLNTVLSTARGLSRRHARIPILNDVTGILKPSRLTLLLGPPGCRKTTLLLALAGKLDKNLKVTGEVEYNGTNLNTFVPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRAEIMKEVIRREKEAGITPDPDIDTYMKAISVEGLERSMQTDYIMKIMGLDICADIIVGDIMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQVAHISESTILVSLLQPAPETYDFFNDIILMAEGKIAYHGSKSCIMNFFESCGFKCPERKRAADFLQEVLSKKDQQQYWSHTEETYNFVTVDHFCEKFKASQVEGHNNALSLNIYSLTKWDLLKACFAREILLMRRNAFIYITKTVQLGLLAVITRTVFLRTHMGVDRAHADYYMGSLFYALLLLLVNGFPELAMAVTRLPVFYKQRDYYFYPAWAYAIPAFILKIIVSLVESITWTSISYYLIGYTPEASRFFRQLLILFLVYTGSLSLFRCVASYCQTMMAGSVGGTMSFLVILLFGGFIIPRPSMPNWLKWGFWISPLSYAEIGLTVNEFLAPRWLKTTTSGVTLGRRVIMDRGLDFSSYFCWISVGALVGFILLLNVGYAIGLTIMKPPGTSRAIISRDKFATFDRRSKDMSKDMDNRMPKLQVGNALAPNKTGTMVLPFTPLTISFQDVNYYVDTPVLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVEEPVAYSAWLRLPIEVDSKTRREFVNEVIQTIELDDIRDALVGLPGSKGNVSLFAVELVSNPSVIFMDEPTSGLDARAAAIVMHAVKNVADTGRTVVCTIHQPSIEIFEAFDELLLMKRGGELIYAGPLGFHSCNVIHYFETIPGVPKIKDNYNPSTWMLEVTCASMEAQLGVEFAQIYRESTMCKDKDALVKSLSKPALGTSDLHFPNTTQRFGEQLKACIWKQCLSYWRSPSYNLVRIVFITISCIVFGALFWQQGDINHINDQQGLFTILGCLYGTTLFTGINNCQSVMPFVSIERSIVYRERNVLSLGLLLGTDDPSLKPGSVVVFLYLGMMIVALTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYTSPLSWTLNVFFTTQFGDEHEKEISVFGETKSVAAFIKDYFGFHRDLLPLAAIILAMFPTLFAILFGLSISKLNFQRR >ORUFI02G20100.3 pep chromosome:OR_W1943:2:18377640:18388726:-1 gene:ORUFI02G20100 transcript:ORUFI02G20100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALEQAKRRPAAGKTLLNVERQQHPEIKMSQLEVVEMEDKKKQQQQREEAEAEASVVPSSLRAAATRSLSSLSSSLRWDHRGDGEEEAELTWAAIERLPTLDRMRTSVLSSEAVDVRRLGAAERRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNVRVEADCQVVSGKPLPTLLNTVLSTARGLSRRHARIPILNDVTGILKPSRLTLLLGPPGCRKTTLLLALAGKLDKNLKVTGEVEYNGTNLNTFVPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRAEIMKEVIRREKEAGITPDPDIDTYMKAISVEGLERSMQTDYIMKIMGLDICADIIVGDIMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQVAHISESTILVSLLQPAPETYDFFNDIILMAEGKIAYHGSKSCIMNFFESCGFKCPERKRAADFLQEVLSKKDQQQYWSHTEETYNFVTVDHFCEKFKASQVEGHNNALSLNIYSLTKWDLLKACFAREILLMRRNAFIYITKTVQLGLLAVITRTVFLRTHMGVDRAHADYYMGSLFYALLLLLVNGFPELAMAVTRLPVFYKQRDYYFYPAWAYAIPAFILKIIVSLVESITWTSISYYLIGYTPEASRFFRQLLILFLVYTGSLSLFRCVASYCQTMMAGSVGGTMSFLVILLFGGFIIPRPSMPNWLKWGFWISPLSYAEIGLTVNEFLAPRWLKTTTSGVTLGRRVIMDRGLDFSSYFCWISVGALVGFILLLNVGYAIGLTIMKPPGTSRAIISRDKFATFDRRSKDMSKDMDNRMPKLQVGNALAPNKTGTMVLPFTPLTISFQDVNYYVDTPVLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVEEPVAYSAWLRLPIEVDSKTRREFVNEVIQTIELDDIRDALVGLPGSKGNVSLFAVELVSNPSVIFMDEPTSGLDARAAAIVMHAVKNVADTGRTVVCTIHQPSIEIFEAFDELLLMKRGGELIYAGPLGFHSCNVIHYFETIPGVPKIKDNYNPSTWMLEVTCASMEAQLGVEFAQIYRESTMCKDKDALVKSLSKPALGTSDLHFPNTTQRFGEQLKACIWKQCLSYWRSPSYNLVRIVFITISCIVFGALFWQQGDINHINDQQGLFTILGCLYGTTLFTGINNCQSVMPFVSIERSIVYRERFAGMYSPWAYSLAQNLMSGFIVPAPQIPRWWIWLYYTSPLSWTLNVFFTTQFGDEHEKEISVFGETKSVAAFIKDYFGFHRDLLPLAAIILAMFPTLFAILFGLSISKLNFQRR >ORUFI02G20100.4 pep chromosome:OR_W1943:2:18377640:18388726:-1 gene:ORUFI02G20100 transcript:ORUFI02G20100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALEQAKRRPAAGKTLLNVERQQHPEIKMSQLEVVEMEDKKKQQQQREEAEAEASVVPSSLRAAATRSLSSLSSSLRWDHRGDGEEEAELTWAAIERLPTLDRMRTSVLSSEAVDVRRLGAAERRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNVRVEADCQVVSGKPLPTLLNTVLSTARGLSRRHARIPILNDVTGILKPSRLTLLLGPPGCRKTTLLLALAGKLDKNLKVTGEVEYNGTNLNTFVPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRAEIMKEVIRREKEAGITPDPDIDTYMKAISVEGLERSMQTDYIMKIMGLDICADIIVGDIMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQVAHISESTILVSLLQPAPETYDFFNDIILMAEGKIAYHGSKSCIMNFFESCGFKCPERKRAADFLQEVLSKKDQQQYWSHTEETYNFVTVDHFCEKFKASQVEGHNNALSLNIYSLTKWDLLKACFAREILLMRRNAFIYITKTVQLGLLAVITRTVFLRTHMGVDRAHADYYMGSLFYALLLLLVNGFPELAMAVTRLPVFYKQRDYYFYPAWAYAIPAFILKIIVSLVESITWTSISYYLIGYTPEASRFFRQLLILFLVYTGSLSLFRCVASYCQTMMAGSVGGTMSFLVILLFGGFIIPRPSMPNWLKWGFWISPLSYAEIGLTVNEFLAPRWLKFHSLKHYSDTIWTSAPGTSRAIISRDKFATFDRRSKDMSKDMDNRMPKLQVGNALAPNKTGTMVLPFTPLTISFQDVNYYVDTPVLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVEEPVAYSAWLRLPIEVDSKTRREFVNEVIQTIELDDIRDALVGLPGSKGNVSLFAVELVSNPSVIFMDEPTSGLDARAAAIVMHAVKNVADTGRTVVCTIHQPSIEIFEAFDELLLMKRGGELIYAGPLGFHSCNVIHYFETIPGVPKIKDNYNPSTWMLEVTCASMEAQLGVEFAQIYRESTMCKDKDALVKSLSKPALGTSDLHFPNTTQRFGEQLKACIWKQCLSYWRSPSYNLVRIVFITISCIVFGALFWQQGDINHINDQQGLFTILGCLYGTTLFTGINNCQSVMPFVSIERSIVYRERFAGMYSPWAYSLAQGMHGLQLNDPSLKPGSVVVFLYLGMMIVALTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYTSPLSWTLNVFFTTQFGDEHEKEISVFGETKSVAAFIKDYFGFHRDLLPLAAIILAMFPTLFAILFGLSISKLNFQRR >ORUFI02G20100.5 pep chromosome:OR_W1943:2:18377640:18388726:-1 gene:ORUFI02G20100 transcript:ORUFI02G20100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALEQAKRRPAAGKTLLNVERQQHPEIKMSQLEVVEMEDKKKQQQQREEAEAEASVVPSSLRAAATRSLSSLSSSLRWDHRGDGEEEAELTWAAIERLPTLDRMRTSVLSSEAVDVRRLGAAERRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNVRVEADCQVVSGKPLPTLLNTVLSTARGLSRRHARIPILNDVTGILKPSRLTLLLGPPGCRKTTLLLALAGKLDKNLKVTGEVEYNGTNLNTFVPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRAEIMKEVIRREKEAGITPDPDIDTYMKAISVEGLERSMQTDYIMKIMGLDICADIIVGDIMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQVAHISESTILVSLLQPAPETYDFFNDIILMAEGKIAYHGSKSCIMNFFESCGFKCPERKRAADFLQEVLSKKDQQQYWSHTEETYNFVTVDHFCEKFKASQVEGHNNALSLNIYSLTKWDLLKACFAREILLMRRNAFIYITKTVQLGLLAVITRTVFLRTHMGVDRAHADYYMGSLFYALLLLLVNGFPELAMAVTRLPVFYKQRDYYFYPAWAYAIPAFILKIIVSLVESITWTSISYYLIGYTPEASRFFRQLLILFLVYTGSLSLFRCVASYCQTMMAGSVGGTMSFLVILLFGGFIIPRPSMPNWLKWGFWISPLSYAEIGLTVNEFLAPRWLKTTTSGVTLGRRVIMDRGLDFSSYFCWISVGALVGFILLLNVGYAIGLTIMKRTMVLPFTPLTISFQDVNYYVDTPVLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVEEPVAYSAWLRLPIEVDSKTRREFVNEVIQTIELDDIRDALVGLPGSKGNVSLFAVELVSNPSVIFMDEPTSGLDARAAAIVMHAVKNVADTGRTVVCTIHQPSIEIFEAFDELLLMKRGGELIYAGPLGFHSCNVIHYFETIPGVPKIKDNYNPSTWMLEVTCASMEAQLGVEFAQIYRESTMCKDKDALVKSLSKPALGTSDLHFPNTTQRFGEQLKACIWKQCLSYWRSPSYNLVRIVFITISCIVFGALFWQQGDINHINDQQGLFTILGCLYGTTLFTGINNCQSVMPFVSIERSIVYRERFAGMYSPWAYSLAQNLMSGFIVPAPQIPRWWIWLYYTSPLSWTLNVFFTTQFGDEHEKEISVFGETKSVAAFIKDYFGFHRDLLPLAAIILAMFPTLFAILFGLSISKLNFQRR >ORUFI02G20100.6 pep chromosome:OR_W1943:2:18377640:18388726:-1 gene:ORUFI02G20100 transcript:ORUFI02G20100.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALEQAKRRPAAGKTLLNVERQQHPEIKMSQLEVVEMEDKKKQQQQREEAEAEASVVPSSLRAAATRSLSSLSSSLRWDHRGDGEEEAELTWAAIERLPTLDRMRTSVLSSEAVDVRRLGAAERRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNVRVEADCQVVSGKPLPTLLNTVLSTARGLSRRHARIPILNDVTGILKPSRLTLLLGPPGCRKTTLLLALAGKLDKNLKVTGEVEYNGTNLNTFVPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRAEIMKEVIRREKEAGITPDPDIDTYMKAISVEGLERSMQTDYIMKIMGLDICADIIVGDIMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQVAHISESTILVSLLQPAPETYDFFNDIILMAEGKIAYHGSKSCIMNFFESCGFKCPERKRAADFLQEVLSKKDQQQYWSHTEETYNFVTVDHFCEKFKASQVEGHNNALSLNIYSLTKWDLLKACFAREILLMRRNAFIYITKTVQLGLLAVITRTVFLRTHMGVDRAHADYYMGSLFYALLLLLVNGFPELAMAVTRLPVFYKQRDYYFYPAWAYAIPAFILKIIVSLVESITWTSISYYLIGYTPEASRFFRQLLILFLVYTGSLSLFRCVASYCQTMMAGSVGGTMSFLVILLFGGFIIPRPSMPNWLKWGFWISPLSYAEIGLTVNEFLAPRWLKFHSLKHYSDTIWTSAPGTSRAIISRDKFATFDRRSKDMSKDMDNRMPKLQVGNALAPNKTGTMVLPFTPLTISFQDVNYYVDTPVLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVEEPVAYSAWLRLPIEVDSKTRREFVNEVIQTIELDDIRDALVGLPGSKGNVSLFAVELVSNPSVIFMDEPTSGLDARAAAIVMHAVKNVADTGRTVVCTIHQPSIEIFEAFDELLLMKRGGELIYAGPLGFHSCNVIHYFETIPGVPKIKDNYNPSTWMLEVTCASMEAQLGVEFAQIYRESTMCKDKDALVKSLSKPALGTSDLHFPNTTQRFGEQLKACIWKQCLSYWRSPSYNLVRIVFITISCIVFGALFWQQGDINHINDQQGLFTILGCLYGTTLFTGINNCQSVMPFVSIERSIVYRERFAGMYSPWAYSLAQNLMSGFIVPAPQIPRWWIWLYYTSPLSWTLNVFFTTQFGDEHEKEISVFGETKSVAAFIKDYFGFHRDLLPLAAIILAMFPTLFAILFGLSISKLNFQRR >ORUFI02G20110.1 pep chromosome:OR_W1943:2:18389567:18392657:-1 gene:ORUFI02G20110 transcript:ORUFI02G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRDMSSESAAIFLVLRRRRRKAELLSLPCLGWVRTGEDDTTWAGLFFLFVLGFILLFFSSSSLYTSIHRIVQSKAKPARKQPANPSYREIRIKEEDERDSFELEIEMKPRPFKEEFTLEERAKESAAMIASYPDRIPKWRSARTALFVFVNNTLPQTAQLMGSVYESYKDEGDGFLYLCYSSEKTFG >ORUFI02G20110.2 pep chromosome:OR_W1943:2:18389567:18392657:-1 gene:ORUFI02G20110 transcript:ORUFI02G20110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRDMSSESAAIFLVLRRRRRKAELLSLPCLGWVRTGEDDTTWAGLFFLFVLGFILLFFSSSSLYTSIHRIVQSKAKPARKQPANPSYREIRIKEEDERDSFELEIEMKPRPFKEEFTLEERAKESAAMIASYPDRIPATFVSRDSVVCVCQQHFAPDCSVFWVPLDPLLKLQTYNFVVAAAQLMGSVYESYKDEGDGFLYLCYSSEKTFG >ORUFI02G20110.3 pep chromosome:OR_W1943:2:18391962:18392657:-1 gene:ORUFI02G20110 transcript:ORUFI02G20110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRDMSSESAAIFLVLRRRRRKAELLSLPCLGWVRTGEDDTTWAGLFFLFVLGFILLFFSSSSLYTSIHRIVQSKAKPARKQPANPSYREIRIKEEDERDSFELEIEMKPRPFKEEFTLEERAKESAAMIASYPDRIPVLFLLLCFDASSLLSIFTANSSCLLLPQHTEKHTHNTRLFPSPVPADCYGGM >ORUFI02G20110.4 pep chromosome:OR_W1943:2:18389567:18389948:-1 gene:ORUFI02G20110 transcript:ORUFI02G20110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKYLVPCNMPVGQFIFILRSRLHLSPGTALFVFVNNTLPQTAQLMGSVYESYKDEGDGFLYLCYSSEKTFG >ORUFI02G20120.1 pep chromosome:OR_W1943:2:18390305:18390883:1 gene:ORUFI02G20120 transcript:ORUFI02G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMATAAAISSLSGAPSAGMSMSPSTSCHRWCPPARSSTLTTTLPWGPRSPPPTASSSNYPDNAAPPSPSPSLLLARWKWGRWRPTLDGDGDDGVLDPSASPHSEEPWAVATTMPKQQRTKERAAKSGHFSSPASSCTSLTTLAAARCAIIASSSPATASSTSSPMTTSTPDAARTAFVKNTGGPARCCLAS >ORUFI02G20130.1 pep chromosome:OR_W1943:2:18392530:18397087:1 gene:ORUFI02G20130 transcript:ORUFI02G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASRSSSSLLLLXAAADTTESEEEVGASLQGCGDEAVEALRCILVCCWYWSWMCLCVEVEQLRHPAKAPRHLVVAARRERRRPREMGLAGSLCHASLQQGHLLLHRLHLHFGRRLSSPTEAAFECGEAAVELCRGLGGRPGGGLEDEVGHDDAGEAYEGLHQACDGEGHGRLVVAAANDDDARHRSCYTPSASGAVGTTALEEAGSEDEPGEQGEEAQLHAIDDKAGQRAIYGSESGAVSTRNGESPGPLAAGGHGGGHDEPRPEAVVAAEEQAEQDGEAQREAALGRGHQPPPHGASCRLPRALVFLLPRLLRLLFIVVFCCCCWDHLHLGGSSV >ORUFI02G20140.1 pep chromosome:OR_W1943:2:18395410:18398380:-1 gene:ORUFI02G20140 transcript:ORUFI02G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSAATTGGAVHKPIIMPPESERQINNLPDVLQPRRRWRSSLATGFRSALACTIVGVASIYAPLVIRRHLTFPAFSYVVTVIVVTDATLGSSLRGALSAVHATAMGAVPSVLPLWLAHRTGAGESVLATTAVVALSTFAVAVAGSAGTVAKRIALGQIIIIYVARFREERMRSEAVLLHPANVVACTALGVVAALLGVLLPCPRLATRDATDKRLAYLEVAAERVRLLADAFQLHFSSDEAAGDDEERASSCRCRRRRRQCVAACIMSQADRAASAGALLLRRISSAQGDLQWERMPALLKRWCSSRWDDDDEQACARLHELIEMPLRGMEMACTHMLQQPCWPNTNTISSICTTPTWLQHATDHVRLALLTKRIPSCSNTGTGSMEMAKLAPVSVGALEQQQQLAPFLFFLCLDLLLQGSHPAPQRPPKLLLSVSAHSDAAASQVKVIPAATTKDDDEEQPEQTRKKKHQCPRQTTRSTMRRRLVAAAKCSFSLGLAVLLGLLFSSDHGFWSGLVVATTMATGREWTWALAIARAHGTALGSVYGALACLVIDRMELRFLALLPWLILTAGFLKRSRAYGPAGAGGVAAAVSGIIIVGRRYDEPPMAFTVARLVETFIGLACIIVADLVFQPAARPSTKATAQLDRCLAALKGCFSRGRQTTTKVKVKAVQEQVALLERCVAEAAGEPHFPWSPPFPASCYHKVAGSLGRMAQLLYLYTQAHPTPIPAADEDATQRFHCLVSASLERSADLLLRLSXSSSRDEEDLEAGIRVSSGSDTCCCDDEDAPEMLVRSFLSQQQQQQDQGVALALASIGFCMGEMAKEALQLEAYMLDLILLAH >ORUFI02G20150.1 pep chromosome:OR_W1943:2:18414801:18415755:-1 gene:ORUFI02G20150 transcript:ORUFI02G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRWDRMIPVRSQAPKASSPVIPPFTSHVGACHRRRHHPRSTPEIVTGTATSSAIHVPRQSSSPAAVSSSATPHAGLIASGRVLHCPCPTPELIAEAASSTVHTPTSSSVRIGAVTGGSDEQATTTTMKPSSCRLAADSPCTYSLSCRLAPPGVVNAPHHLAGAKQQQQQESCISNSSHRSRVTTI >ORUFI02G20160.1 pep chromosome:OR_W1943:2:18416336:18422805:1 gene:ORUFI02G20160 transcript:ORUFI02G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTHHLCLLRSTVLSVPVRLRAPRAPPHPRLPTASASASSYHGPTHLRRLRPLRAAAAAAGGASPDGADGAKRPAPAAASSSLGAALVGFARSNFLPLALIAGIALALMDPTLGCLAHKYSLSKYSTFGIFLISGLTLRTKELGAALEAWPAGLFGLASILLFTPFLAQFIMQIKFFPHEFITGLAMFCCMPTTLSSGVTLTQLVGGNTALALAMTAISNLLGIMIVPLSLAKYIGVGAGVSLPTEKLFKSLVTTLLIPIILGKVARETSKGIAGFVDGNKQGFSVTSAILLSLVPWIQVSRSRSLLLSVQPKAFAVAVTVGVLLHFALLAFNAAALHILSRLEQRGVSVFARNEYARAVILVASQKTLPVLVAVVEQLGGALGESGLLVIPCVAAHINQIIIDSIIVNWWRQRDQQFANAK >ORUFI02G20170.1 pep chromosome:OR_W1943:2:18427431:18431389:-1 gene:ORUFI02G20170 transcript:ORUFI02G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGDESEDVAPLKIAVDVVPAGDEPEDAAPLLQIRGGRRGNCRGYRRQPSRRRRRVGRCVAISRLPRRLLRRGDKDEEEFHGTEKGSGRAGQAPAGCDEDMAMAATYTAVQAHVSIRDRGTAGSGEPFFFSSYRVTGNRAPTRPCRGTRAPTGHGPEDDKYYLLNFTIPVLAANAFHHSWTVKAMLHIISLICSSEIHVLFVYLCPVRRWKDITKPKTMLCKRIPPYTPHGLCELPSDSIMFVGSKVRALLLLCFIR >ORUFI02G20180.1 pep chromosome:OR_W1943:2:18434172:18435337:-1 gene:ORUFI02G20180 transcript:ORUFI02G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLIVGKVIGEVLDNFNPTVKMTATYGANKQVFNGHEFFPSAVAGKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVVSYESPRPNIGIHRFILVLFRQKRRQAVSPPPSRDRFSTRQFAEDNDLGLPVAAVYFNAQRETAARRR >ORUFI02G20190.1 pep chromosome:OR_W1943:2:18457695:18462111:1 gene:ORUFI02G20190 transcript:ORUFI02G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGKKRDGSANGAEKKASPTPKPPSSSTSGFSKNVPLLVFVLLLGLLYRQLQPPVPKICGTPGGPPVTGPRLQLKDGRHLAYHEYGVPKDQAKHKIIFVHGFDSCRYDALQVSPELAEELGVYMVSFDRPGYGESDPHPGRTEDSIAFDIEGLADGLQLGPKFYLIGYSMGGEIMWSCLKNIPHRLAGVSILGPVGNYWWSGYPSNVSTEAWYVQLPQDQWAVRVAHHAPWLAYWWNTQKLFPASSVISFNPAILSREDLTVIPKFAYRTYAGQVRQQGEHESLHRDMLVGFGKWGWSPLEMENPFPAGEAAVHLWHGAEDLIVPVQLSRHIAQRLPWVRYHELPTAGHLFPITEGMPDLIVRSMLLTDE >ORUFI02G20200.1 pep chromosome:OR_W1943:2:18463971:18464660:-1 gene:ORUFI02G20200 transcript:ORUFI02G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRRCLLLLLAVLLPAMAARGDPDAVQDFCVPDAGRGRPVELAMLPAYPCRSPANLTAGDFAFSGVRAAGNFSPETGFAGVSVTPAQFPGLHTLGMSFARADLSAAGGVNPPHYHPRATETALVLAGRVYAGFVDSGGRLFAKVLEQGEVMVFPRAMVHFQLNVGDTPATVYGAFNSENPGIVRIPATVFGSGIREAVLERAFGLTPAELRRLEKRFGPPKKAEMED >ORUFI02G20210.1 pep chromosome:OR_W1943:2:18480448:18481035:1 gene:ORUFI02G20210 transcript:ORUFI02G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRSCMAPSESCAARPADERPAVCSCGGAGGGQAAAGTSSDRHHQLVLLQAEAVEKKKGGRGAAAPDEAAMADGGGGGGGAGDHHQQAALLAPLPVSRRPAPSSVAAGEERESARERLKRHRTEMAGRVRIPEMWGQERLLKDWVDCAVFDRPLAATRGLLTARDALVAECAAPARRPPHGPTARPLRVQNGCS >ORUFI02G20220.1 pep chromosome:OR_W1943:2:18485260:18490012:1 gene:ORUFI02G20220 transcript:ORUFI02G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARAASCTPAACAVLVIPILVLIMAGQVRVAEALSIGVNYGQIANNLPSPSRVSWLLRSLKISKVKLFDADPHVLRAFLGTGVEFVVGIGNEAVPAMASPAAAESWLQLHVVPHLRAGARITCITVGNEVFKGNDTALQASLLPAMRSVHQALGALGLQGRVNVTTAHSLDIMGVSYPPSAGAFHPSAAPHLQPFLAFLSAARAPFLINCYPYFAYKDDPARVPLEYVLFQPNAGVVDPRTRLVYDNMLYAQVDAVYAAIQAMGHTDIDVKVSETGWPSRGDPDEAGATPENAGTYIGNLLRRIEMKQGTPLRPQAPIDVYVFALFNENLKPGPASERNYGLFYPDGTPVYNVGLRGYLPPMASHEAATQVIHWFLLIATASVVFALS >ORUFI02G20230.1 pep chromosome:OR_W1943:2:18489976:18494858:-1 gene:ORUFI02G20230 transcript:ORUFI02G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASLSLHLRLAPPPPPHRRGRPPRHAPFLPLSPYHHHLHLHLRVAHHHHGRHPHPPSPRWRHDVRARAGTIQAPGLARPGGAVETDRLPSGVRDRAMEAVDHFGGRVTIGDVASRAGLQLAQAERALQALAADTGGFLEVSEEGEVLYVFPKDYRAKLAGKSFRMKVEPLIDKTKEVGAYLVRVSFGTALIASIVLVYTTIIAIISSSSDEDNRGRRRRSYDSTIIIPTDLFWYLDADYYRRRRRVEKEDGMNFIESIFSFVFGDGDPNDGLEDKRWKMIGQYISSNGGVVTAEELAPYLDVPPISEQSKDDESFILPVLLRFQGHPEVDEQGNILYRFPSLQRTASSKGSGVREYVGNKWSAMFSSVEKYLEEKPWKFSKANASERAMVAGLGGLNLFGVIILGNLLKQMTVPPGGLISFVAQLFPLLQVYAGSFFAIPLFRWFLLRKTNNDIERRNKAREQRAQELALPESSLRRKLLSARDMAQRKVITPEEIVYTTEKDLLDQDYEVKEWEKRFKELESD >ORUFI02G20240.1 pep chromosome:OR_W1943:2:18498789:18505250:1 gene:ORUFI02G20240 transcript:ORUFI02G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAKKSAIFVALLCTHILVNHACDSDVVFGYSGSTGPEHWGSLSPNFTTCSKGTYQSPINILKDDAVYNPKLEPLEMDYTAANTTIVDNVFNIALRYNDTAGTVKVDGKKYKLRQLHWHSPSEHTINGQRFAVELHMVHHSDDGNITVIAVLYRHGKPDPFLFQIKDKLAALYLEGCKAEKGEPLPVGLVDMRELKKGADRYFRYVGSLTTPPCTENVIWNIFGEIREMTKEQAAALRAPLHGSYRHNRRPTQPLNGRTVQLNYM >ORUFI02G20240.2 pep chromosome:OR_W1943:2:18498789:18505250:1 gene:ORUFI02G20240 transcript:ORUFI02G20240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAKKSAIFVALLCTHILVNHACDSDVVFGYSGSTGPEHWGSLSPNFTTCSKGTYQSPINILKDDAVYNPKLEPLEMDYTAANTTIVDNVFNIALRYNDTAGTVKVDGKKYKLRQLHWHSPSEHTINGQRFAVELHMVHHSDDGNITVIAVLYRHGKPDPFLFQIKDKLAALYLEGCKAEKGEPLPVGLVDMRELKKGADRYFRYVGSLTTPPCTENVIWNIFGEIREMTKEQAAALRAPLHGSYRHNRRPTQPLNGRTVQLNYM >ORUFI02G20240.3 pep chromosome:OR_W1943:2:18497793:18505250:1 gene:ORUFI02G20240 transcript:ORUFI02G20240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLVPDLETVPFRVLKREAEYEIREVESYYVAETTMPGRSGFDFNGSSQSFNVLASYLFGKNTTSEQMEMTTPVFTRKGEPDGEKMDMTTPVITKKSANENKWKMSFVMPSKYGPDLPLPKDPSVTIKEVPAKIVAVAAFSGLVTDDDISQRESRLRETLQKDSQFRVKDDSVVEIAQYNPPFTLPFTRRNEIALEVKRLDANF >ORUFI02G20240.4 pep chromosome:OR_W1943:2:18497793:18505250:1 gene:ORUFI02G20240 transcript:ORUFI02G20240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAKKSAIFVALLCTHILVNHACDSDVVFGYSGSTGPEHWGSLSPNFTTCSKGTYQSPINILKDDAVYNPKLEPLEMDYTAANTTIVDNVFNIALRYNDTAGTVKVDGKKYKLRQLHWHSPSEHTINGQRFAVELHMVHHSDDGNITVIAVLYRHGKPDPFLFQIKDKLAALYLEGCKAEKGEPLPVGLVDMRELKKGADRYFRYVGSLTTPPCTENVIWNIFGEIREMTKEQAAALRAPLHGSYRHNRRPTQPLNGRTVQLNYM >ORUFI02G20240.5 pep chromosome:OR_W1943:2:18502443:18505250:1 gene:ORUFI02G20240 transcript:ORUFI02G20240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAKKSAIFVALLCTHILVNHACDSDVVFGYSGSTGPEHWGSLSPNFTTCSKGTYQSPINILKDDAVYNPKLEPLEMDYTAANTTIVDNVFNIALRYNDTAGTVKVDGKKYKLRQLHWHSPSEHTINGQRFAVELHMVHHSDDGNITVIAVLYRHGKPDPFLFQIKDKLAALYLEGCKAEKGEPLPVGLVDMRELKKGADRYFRYVGSLTTPPCTENVIWNIFGEIREMTKEQAAALRAPLHGSYRHNRRPTQPLNGRTVQLNYM >ORUFI02G20250.1 pep chromosome:OR_W1943:2:18508446:18509108:1 gene:ORUFI02G20250 transcript:ORUFI02G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKAQSNAQIWRGETRKRHNGALARSLGAVDRVTKVEQWRVGAAEIERDGRHGDHQGVTVAGGGRKKWEVAPVGSHGETTVGLNDEEVMGDVTSTQDGSSISRHNRHNWWIRW >ORUFI02G20260.1 pep chromosome:OR_W1943:2:18510835:18511479:1 gene:ORUFI02G20260 transcript:ORUFI02G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTLDSLLHGGGGEPEDECEDEFSGSDDDGEDGGGGSEEWGGDVDGEYDPYSPAESLWLRIGEDIDWSEVGAVLEREDSTKGASNPKSAAACSCAGAPAARMPTCAGGGGTAKAVVIAGLPAAARKASREHERRRRLGRARARARVFAGDAVEVAEPGSPKVSCLGGVRSRARAQQPCCPAAAAAGRRRWWCAPWLVSAACRRCWSAPPPRV >ORUFI02G20270.1 pep chromosome:OR_W1943:2:18514130:18519810:1 gene:ORUFI02G20270 transcript:ORUFI02G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAGSKVSVAAVQFACTDVESENVDTAERLIREAHKKGANIVLVQELFEGQYFCQAQRLDFFQRAKPYKGNPTIIRFQKLAKELEVVIPVSFFEEANNAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKAFKTKYATIGVGICWDQWFPECARAMVLQGAEILFYPTAIGSEPQDNNLDSREHWKRVMQGHAGANLVPLVASNRIGRETVETEHGESTITFFGNSFIAGPTGEIVKLANDKDEDVLVAEFDLDEIKSTRHGWGIFRDRRPDLYKVLLTLDGEKS >ORUFI02G20280.1 pep chromosome:OR_W1943:2:18514625:18515656:-1 gene:ORUFI02G20280 transcript:ORUFI02G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAWVGEAVVAVDSAAERRAPPSDPPRGWWNPLHESLRLACFRWIGWAPRPHVEVGKLPRGIAIRESSSTRRRRTLLLGSKKRKKKTFLGSKKKMATRTAVARVVAPHQPAWAAAVLARRMEGGRRVARYFSDGTGRVLSEEERAAESVYIQKMEREKLEKERRKADKDKADAAKRAAAAKGDKKGGEARPT >ORUFI02G20290.1 pep chromosome:OR_W1943:2:18519681:18520250:-1 gene:ORUFI02G20290 transcript:ORUFI02G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTTCRGGHHAAVMPRPPPPLFGQAGQDQRRRYLGLCTEGLGSESSESSGGDVDLGTGGGDDTGNDGVGRALPCKRQHRPIDDEEEEEEKTVVPAALAPPLPAWTRAAFPPPISVIGAGGKPWLYLRAHRGDGRLVLREVRIPSRELLHGRREDGRFKLHFAHPDEQLQQQQLLLLADDQDPAEKNE >ORUFI02G20300.1 pep chromosome:OR_W1943:2:18548380:18553178:1 gene:ORUFI02G20300 transcript:ORUFI02G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRLLALAPWLLLLLLQLAGASHVVHRSLEAEQAPSSVPASIVSPLLRTGYHFQPPMNWINGPLYYKGWYHLFYQYNPKGAVWGNIVWAHSVSQDLINWIALEPAIKPNIPSDQYGCWSGSATILPDGTPVILYTGIDRPNINYQVQNIAFPKNASDPLLREWVKPAYNPVATPEPGMNATQFRDPTTAWYADGHWRMLVGGLKGARRGLAYLYRSRDFKTWVRAKHPLHSALTGMWECPDFFPLQAPGLQAGLDTSVPSSKYVLKNSLDLTRYDYYTVGIYNKVTERYVPDNPAGDYHRLRYDYGNFYASKTFFDPVKHRRILLGWANESDSVTYDKAKGWAGIHAIPRKVWLDPSGKQLLQWPIEELETLRGKSVSVSDKVVKPGEHFQVTGLGTYQADVEVSLEVSGLEKAEALDPAFGDDAERLCGAKGADVRGGVVFGLWVLASAGLEEKTAVFFRVFKPAGHGAKPVVLMCTDPTKSSLSPDLYKPTFAGFVDTDISSGKISLRSLIDRSVVESFGAGGKTCILSRVYPSMAIGDKAHLYVFNNGEADIKISHLKAWEMKKPLMNGA >ORUFI02G20310.1 pep chromosome:OR_W1943:2:18569223:18569633:-1 gene:ORUFI02G20310 transcript:ORUFI02G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGMEDSHIAEFHRLEDGNEVGLFVVFNGLSDADVATYLGEHLSTLLREQGFGTDTMDAIRRAYHRTDWKVLRTTTEEGDSEERSGRHSGSTVARGPRAAPGARRERRCGFVTEMHGDMPRVDAQLAMSRAFGDR >ORUFI02G20320.1 pep chromosome:OR_W1943:2:18574584:18575120:-1 gene:ORUFI02G20320 transcript:ORUFI02G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSLALVVIVATAILTLSGAAHADVQGTCKAAAGIDSRISYKFCVSKLSNHHLSPDADTRGLALIAASLGISNAEDTVFDIKGLVAKPGTGAKAKPLLARCQELYNEMSFVFAEGYDCINAQSYAAGKEKVGEAIPLARQCDDAFAKAAVPSPLVQRSWCSVQMSIICTAITNLIK >ORUFI02G20330.1 pep chromosome:OR_W1943:2:18576643:18579356:-1 gene:ORUFI02G20330 transcript:ORUFI02G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >ORUFI02G20330.2 pep chromosome:OR_W1943:2:18576643:18579186:-1 gene:ORUFI02G20330 transcript:ORUFI02G20330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >ORUFI02G20340.1 pep chromosome:OR_W1943:2:18581101:18583505:-1 gene:ORUFI02G20340 transcript:ORUFI02G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYCLAAPPAAAAPAAPAARRASSFLFVVSVPPPTLWGRVTGGAVSAQRLVRRRCSSAGEPRAAGDGGLSSFCIIEGPETIQDFVQMQSQEIQDNIRSRRNKIFLLMEEVRRLRVQQRIRTSESRGASSEESEMPEIPSSIPFLPNTSPKTMKQLYLTSFSFITGIIFFGGLIAPVLELKLGLGGTSYEDFIRTMHLPLQLSQVDPIVASFSGGAVGVISALMLVEIRNVRQQEKKRCTYCHGTGYLPCARCSASGMLLNSKSFSLSCDNGHNMWSTTERCPNCSGAGKVMCPTCLCTGTAMASEHDPRIDPFD >ORUFI02G20350.1 pep chromosome:OR_W1943:2:18589193:18590403:1 gene:ORUFI02G20350 transcript:ORUFI02G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLAVVVALALAAAAAVAHGEAGVRGAGTLRGYVACLDCAPGHDLSGVVVAVRCGGGDGGVGQLRAAQTDERGGFDVAVPAAGGDDVDSWRSHPRCAARVLGGAEQLCAPGGLAVAPVVAAGGREKHGSYALASSLAVFTRCGGGALASSTAAATGNGQSPAPPRARRATPRAGRATPPPYAGPGLPLIYFFPFLPIIGIP >ORUFI02G20360.1 pep chromosome:OR_W1943:2:18593117:18595461:-1 gene:ORUFI02G20360 transcript:ORUFI02G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEAETTSAAAPAPAPAPASAPARCQRIGCDATFTDDNNPDGSCQYHPSGPMFHDGMKQWSCCKQKSHDFSLFLAIPGCKTGKHTTEKPITKAVPTKPSKAVPVQTSKQSVGADTCSRCRQGFFCSDHGSQPKAQIPTATSDTNMVPVEKPAVPPPKKKIDLNEPRVCKNKGCGKTYKEKDNHDEACDYHPGPAVFRDRIRGWKCCDIHVKEFDEFMEIPPCTKGWHNADAA >ORUFI02G20370.1 pep chromosome:OR_W1943:2:18614596:18615018:-1 gene:ORUFI02G20370 transcript:ORUFI02G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTTTPSTILPLSPIHPPLHQQAASRGEPRASLMSGPQSATAVIVIAAAPRSRMSRCRRREPSPPPLPPTWHAREDVAAAATWEPSPPRGGRGWIDGPMREKRRRKRKRKRKKKKKKKKKKKKKKKKKKGMEADKWVPL >ORUFI02G20380.1 pep chromosome:OR_W1943:2:18615677:18621144:1 gene:ORUFI02G20380 transcript:ORUFI02G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRLPEPPGVGGMETPEIFTGAAAATVVVRRAVVIGNGSPGAENQCLGLVRALGLADHLTLYRVTRPQGGINEWLHFLPVSLHKLIDQVLRQFFRNTRLAPVVEGRKHYRVPNGGSVGVGLSSVLEADAKKIVAVARDTFEKEGPTLIVACGWDTISYSSSIRHLASGNVFVIQIQHPRSRLDRFDLVVTPRHDYYALIAGGQEEIPRLFRRWITPQEPPGRNVVLTVGALHQADSAALRLAAIAWHDELAPLPKPLLIVNIGGPTRNCKYGVDLARQLIASTYNVLDSCGSVRVSFSRRTPRKVSDIISKEFAGHPKIYIWDGEEPNPHMGHLAWADAFVVTADSISMLSEACSTGKPVYVIGTEYCKWKFSAFHKTLRERGVVRPFTGLEDISNSWSYPPLNDAIEVATRVREAIAERGWSVG >ORUFI02G20390.1 pep chromosome:OR_W1943:2:18624001:18624951:-1 gene:ORUFI02G20390 transcript:ORUFI02G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTVEDLPGDVLACALRRLDGPSLAAAGCATSGLRALADDPDTWRALCLSRWPSLAAAEQRCVLSAAGAVSPRRLFADAFPFPCVDDAAAAAPLDGDDQRLPGELVSAVDVYHGGAAVVSRVVETSTSSSWFLASPFRVDAVEGKSPAPAPASVASSWSPAELELSWILLDPSTGRAVNVSSRRPVAVERHWYTGDTLVRYAVVLAGCKFEATVSCSEEAGQITEVSLAADDADGAAISGEGCLRLLAAAMAGPRKGGRGQEGEAKRRYDEFVRRKRGRKESKARREVLVDLCCSAVSAVAVISFLAAVVLR >ORUFI02G20400.1 pep chromosome:OR_W1943:2:18646075:18647909:1 gene:ORUFI02G20400 transcript:ORUFI02G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSALLIFLLFVLIVTSQLEWKQQLVNELESTSHKQKHISSRGELVKEKIILSQEKMIRLLNEHIQNLQQQLLQCHVNNRTANSSGSSLTSYIGEIQRQQMMDD >ORUFI02G20400.2 pep chromosome:OR_W1943:2:18646352:18647906:1 gene:ORUFI02G20400 transcript:ORUFI02G20400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDLGLRHLTSQLEWKQQLVNELESTSHKQKHISSRGELVKEKIILSQEKMIRLLNEHIQNLQQQLLQCHVNNRTANSSGSSLTSYIGEIQRQQMMDD >ORUFI02G20410.1 pep chromosome:OR_W1943:2:18651003:18655491:1 gene:ORUFI02G20410 transcript:ORUFI02G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLSRKKPSSITIEFSCGNYYKIPSCLFSISDLEYLRIERCIISLPRQFEGFKQLTVLNLKYFSSTDSDINNLISSCPRLNTLRLKYFKGINRLRIQAQAVQVLEVKGSFEDFHLHAPNLSNVYVTLNKTKVADRSKNYMMQAFVSLTGIEALVMKRCMVALPQTFEGFKRLSVLNLKYIYSTDADIANLISSCPWLKTLHLKYFEGISCLRIQAPALQHLEVQGNFEDLHLHAPNLLYLTLDKTETEQCDAVAGDKKNYPKEAFVSLTSIELSINGPSLTYLSEGCLLTKPPGVLDRLRKVLGACSIFRNAPMLRELEIQSFSRPDDSWSQPIWDHDQTEIEEPTLHHLLTATITGFVGLEYEVDLVRLLLRWSPALEELKVVRDDEDVLNDACMCESLTKLLALPRASTKAKIIAI >ORUFI02G20410.2 pep chromosome:OR_W1943:2:18651003:18655491:1 gene:ORUFI02G20410 transcript:ORUFI02G20410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCAKKAKVESQSAESLDRLSSLPPELKVAILSKLNVVDAIRASILSSAWRNVATYSVRYVSHLRHITVQIYYTDSDINNLISSCPRLNTLRLKYFKGINRLRIQAQAVQVLEVKGSFEDFHLHAPNLSNVYVTLNKTKVADRSKNYMMQAFVSLTGIEALVMKRCMVALPQTFEGFKRLSVLNLKYIYSTDADIANLISSCPWLKTLHLKYFEGISCLRIQAPALQHLEVQGNFEDLHLHAPNLLYLTLDKTETEQCDAVAGDKKNYPKEAFVSLTSIELSINGPSLTYLSEGCLLTKPPGVLDRLRKVLGACSIFRNAPMLRELEIQSFSRPDDSWSQPIWDHDQTEIEEPTLHHLLTATITGFVGLEYEVDLVRLLLRWSPALEELKVVRDDEDVLNDACMCESLTKLLALPRASTKAKIIAI >ORUFI02G20410.3 pep chromosome:OR_W1943:2:18651003:18655491:1 gene:ORUFI02G20410 transcript:ORUFI02G20410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLSRKKPSSITIEFSCGNYYKIPSCLFSISDLEYLRIERCIISLPRQFEGFKQLTVLNLKYFSSTDSDINNLISSCPRLNTLRLKYFKGINRLRIQAQAVQVLEVKGSFEDFHLHAPNLSNVYVTLNKTKVADRSKNYMMQAFVSLTGIEALVMKRCMVALPQTFEGFKRLSVLNLKYIYSTDADIANLISSCPWLKTLHLKYFEGISCLRIQAPALQHLEVQGNFEDLHLHAPNLLYLTLDKTETEQCDAVAGDKKNYPKEAFVSLTSIELSINGPSLTYLSEGCLLTKPPGVLDRLRKVLGACSIFRNAPMLRELEIQSFSRPDDSWSQPIWDHDQTEIEEPTLHHLLTATITGFVGLEYEVDLVRLLLRWSPALEELKVVRDDEDVLNDACMCESLTKLLALPRASTKAKIIAI >ORUFI02G20410.4 pep chromosome:OR_W1943:2:18655316:18660408:1 gene:ORUFI02G20410 transcript:ORUFI02G20410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVAEVVFANQTTPPRWWRLAVRFGFRRLRMSLHIGRLSSHVRQRYLEHLFQRFGNCTVRLKDGYGFAVFDSNDDAARAMRALQGKFVCGERITVNWSKQQPRFSKDFRSSRNVESSHQRAPRVRDGNIRFRDSLAQKNHPASHDQGHSPDVAPEQKSSDGALEKKSDEKKSDGDVEDLKDVRETVGQDPVEMKRNEDVTSDANVIEHDRWEETGKGNPGRDDDDFDRYEPYHGYARQEERAEVVKASSQETYHHRFSSQKSKEYPAGHTAHDCPQKTDGGFEAWRDALSRQERLRRFGSPSRRQPDTRDCSKKTDDRFEAWRDALSRQEKGMVRLKRFGSPSRKRTEEFRVDKVVETHRMVQDGRKQFSDRTSHAHRLSNVSREDKRHTRCSESIPQTPKESRKRSRSKRSRGSSLSSDRSTSHSTSKCSRSRAHSPSHSAHSSSKSFQPTQPEGLRSMAVSNVSPLLVSGSPQHNLPSTAENKNSDFLVNSPLEGNLDSKTTTGLKHTRGYQQDIKDSRLSGESPVIPLRLKIQGNGELPVSGKDAYLDGYTETNLNNNLVYDDNVADGVQVQKTNSEDASSVKSSKDILVKSERSNSLKLTTNEVVSALKHNGMEARGTDLLNQPVEKYFGAARLWPWEVIYYRKLKKGPISTENYAKRLEQNKEYSIVDRYVRSSSGWWECH >ORUFI02G20410.5 pep chromosome:OR_W1943:2:18655316:18660410:1 gene:ORUFI02G20410 transcript:ORUFI02G20410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVAEVVFANQTTPPRWWRLAVRFGFRRLRMSLHIGRLSSHVRQRYLEHLFQRFGNCTVRLKDGYGFAVFDSNDDAARAMRALQGKFVCGERITVNWSKQQPRFSKDFRSSRNVESSHQRAPRVRDGNIRFRDSLAQKNHPASHDQGHSPDVAPEQKSSDGALEKKSDEKKSDGDVEDLKDVRETVGQDPVEMKRNEDVTSDANVIEHDRWEETGKGNPGRDDDDFDRYEPYHGYARQEERAEVVKASSQETYHHRFSSQKSKEYPAGHTAHDCPQKTDGGFEAWRDALSRQERLRRFGSPSRRQPDTRDCSKKTDDRFEAWRDALSRQEKGMVRLKRFGSPSRKRTEEFRVDKVVETHRMVQDGRKQFSDRTSHAHRLSNVSREDKRHTRCSESIPQTPKESRKRSRSKRSRGSSLSSDRSTSHSTSKCSRSRAHSPSHSAHSSSKSFQPTQPEGLRSMAVSNVSPLLVSGSPQHNLPSTAENKNSDFLVNSPLEGNLDSKTTTGLKHTRGYQQDIKDSRLSGESPVIPLRLKIQGNGELPVSGKDAYLDGYTETNLNNNLVYDDNVADGVQVQKTNSEDASSVKSSKDILVKSERSNSLKLTTNEVVSALKHNGMEARGTDLLNQPVEKYFGAARLWPWEVIYYRKLKKGPISTENYAKRLEQNKEYSIVDRYVRSSSGWWECH >ORUFI02G20420.1 pep chromosome:OR_W1943:2:18662391:18662732:-1 gene:ORUFI02G20420 transcript:ORUFI02G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLDLKLNLSLPAVARAVSPAADDESSPSSCLSSESELRQQHGGGGGQLQWSDSPEATSMVLAACPRCFLYVMLAEADPRCPKCRSPVILDFLHAGGGGGINADGRRHRRG >ORUFI02G20430.1 pep chromosome:OR_W1943:2:18665814:18666182:-1 gene:ORUFI02G20430 transcript:ORUFI02G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRGSSPGRCGGYPEVAAATSEAVDPARRGRISPSPVVAASLSLSLSRRSFPWKVVAATPEAAAVTPDAAAATPDGGVRGPTASSKRGTAVPSPPRRSPLSLSLSHFLPPVAHRHPPRRR >ORUFI02G20440.1 pep chromosome:OR_W1943:2:18682642:18683944:-1 gene:ORUFI02G20440 transcript:ORUFI02G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLKYLRTLQLLEVQGDFEDLHLHAPNLLYLTLGKTEAEQSDAVVGDKKNYLKQAFVSLTSIEELTISGSFLTYLSEGCLLAELPGVFDRLRKICIEKCSWVWTEVLGACSIFRNASKFRELEIRAEIEEPTLHHLVTVTINFVGLEHEIALVGLLLRWSPTLEELKIVREDADVPNDDCMFRVLTKLLALPRASSKAKIIVI >ORUFI02G20450.1 pep chromosome:OR_W1943:2:18698178:18702993:1 gene:ORUFI02G20450 transcript:ORUFI02G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRASRRPAAAAAAVVVAVVLAVSGGVGATPETACRAAAEEDRRVDYEFCVSRLSHHHDSPDADTWGLAKVAADVGVCIAGDAAYDAKAKLQAAKAGGEREALERCAELYDRMGSAFAAAYDDINRREYAAGKEKAGEAASLARRCDGAFADAGVAPSPLERQTAESVKIAIVCTAITNLPYTAALLAVAVAVLGVVAVDATVVTTCRAAADADARVDYGFCVAELGKHHDSPGADAWGLAKVAALTGVVDADNAAYDARDLLAKGGGGAAAALARCGELYRAAGFAFAEAHDDINARDYAAGKGKAADAASLARQCDAAFAGKDDAAAAVPPVIAQHGSYAARIAIVCTAITNLIE >ORUFI02G20460.1 pep chromosome:OR_W1943:2:18706441:18726630:-1 gene:ORUFI02G20460 transcript:ORUFI02G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGPRVVCPFIFPCSAASVNQKLPQFCRTRSSAEALRSNDTVSAEGHFNRVSKAMYPDKKKGKGGINIRCESRQIEPPTSGDKGLKYNKIAMPITRDTKTRVVGTIPPGNIGNQAARLGSWAMVRGSIEAHPAKVAKYLSMGCLSTQLLGLRCLFNISECPYIQRTHPTKSFPRPEAFRRKRTWDQDQTEIEEPTLHHLVTVIIKRFRWARLRGCSCWTATELSVEGGSNLSLAHQVFDVGLAQPNAMPAQGTRTSTWTSSRPCRPAPPQPQVDFVQLINPTSSSSGAQTSEALKIDTVTAAEGGGASWRPAAPRARPLQLSRNPRNTVTRQQPPIAISLPMFDNCEVTIIVSGAMHRAKKAKVEPSSALRSDKFSSLPQEIKVTILSKLNSLDAIRASILSSAWRNVWTTLPEIYLCDLYLSWGSSETTQRSNFITLVDLALLLHNGPLASFSILGFKRYHDVFDRWMYMLSRKKPRSITIKFYSGHNYKIPSNLFSLSDLEYLQIKRCIIGLPQEFEGFKRLTVLNLKYFSSTDSDINNLISSCPLLSTLCLKYFEGITCLSIQAPALQDLEVKGNFQDFHLHAPNLSNLDVSLDKTEAQQSVVVEGNRKNYLKQAMVSLTSIVRLVMKRCIIALPQEFEGFKQLFVLNLKYFSSTDHDINTLVSSCPWLNTLRLKHFDGISCLRIEGQVLQIVEVEGKFEDFHLNAPNLSHVYITLDKTEAQQSVAVVGDMKNYMKQAFVSLTSIEELTISGSFLTYLPKGCLSMQLPGVFDHLKKICIEKCFWNWTEVLGACSIFQNAPTFRELEIWSFPRPEAYRRKTIWDQDQTEIEEPTLHHLVTVAINDFVGLNCEVALVGLLLSWSPALEDLKIFRAKNVNDEYMCICKGLTKLLALPRASSKAKITVI >ORUFI02G20460.2 pep chromosome:OR_W1943:2:18706441:18726630:-1 gene:ORUFI02G20460 transcript:ORUFI02G20460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGPRVVCPFIFPCSAASVNQKLPQFCRTRSSAEALRSNDTVSAEGHFNRVSKAMYPDKKKGKGGINIRCESRQIEPPTSGDKGLKYNKIAMPITRDTKTRVVGTIPPGNIGNQAARLGSWAMVRGSIEAHPAKVAKYLSMGCLSTQLLECPYIQRTHPTKSFPRPEAFRRKRTWDQDQTEIEEPTLHHLVTVIIKRFRWARLRAECHASPRHPHEHLDVFAPVPAGATPAATALVKRRHCRTSEALKIDTVTAAEGGGASWRPAAPRARPLQLSRNPRNTVTRQQPPIAISLPMFDNCEVTIIVSGAMHRAKKAKVEPSSALRSDKFSSLPQEIKVTILSKLNSLDAIRASILSSAWRNVWTTLPEIYLCDLYLSWGSSETTQRSNFITLVDLALLLHNGPLASFSILGFKRYHDVFDRWMYMLSRKKPRSITIKFYSGHNYKIPSNLFSLSDLEYLQIKRCIIGLPQEFEGFKRLTVLNLKYFSSTDSDINNLISSCPLLSTLCLKYFEGITCLSIQAPALQDLEVKGNFQDFHLHAPNLSNLDVSLDKTEAQQSVVVEGNRKNYLKQAMVSLTSIVRLVMKRCIIALPQEFEGFKQLFVLNLKYFSSTDHDINTLVSSCPWLNTLRLKHFDGISCLRIEGQVLQIVEVEGKFEDFHLNAPNLSHVYITLDKTEAQQSVAVVGDMKNYMKQAFVSLTSIEELTISGSFLTYLPKGCLSMQLPGVFDHLKKICIEKCFWNWTEVLGACSIFQNAPTFRELEIWSFPRPEAYRRKTIWDQDQTEIEEPTLHHLVTVAINDFVGLNCEVALVGLLLSWSPALEDLKIFRAKNVNDEYMCICKGLTKLLALPRASSKAKITVI >ORUFI02G20460.3 pep chromosome:OR_W1943:2:18706441:18726630:-1 gene:ORUFI02G20460 transcript:ORUFI02G20460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGPRVVCPFIFPCSAASVNQKLPQFCRTRSSAEALRSNDTVSAEGLKYNKIAMPITRDTKTRVVGTIPPGNIGNQAARLGSWAMVRGSIEAHPAKVAKYLSMGCLSTQLLECPYIQRTHPTKSFPRPEAFRRKRTWDQDQTEIEEPTLHHLVTVIIKRFRWARLRAECHASPRHPHEHLDVFAPVPAGATPAATALVKRRHCRTSEALKIDTVTAAEGGGASWRPAAPRARPLQLSRNPRNTVTRQQPPIAISLPMFDNCEVTIIVSGAMHRAKKAKVEPSSALRSDKFSSLPQEIKVTILSKLNSLDAIRASILSSAWRNVWTTLPEIYLCDLYLSWGSSETTQRSNFITLVDLALLLHNGPLASFSILGFKRYHDVFDRWMYMLSRKKPRSITIKFYSGHNYKIPSNLFSLSDLEYLQIKRCIIGLPQEFEGFKRLTVLNLKYFSSTDSDINNLISSCPLLSTLCLKYFEGITCLSIQAPALQDLEVKGNFQDFHLHAPNLSNLDVSLDKTEAQQSVVVEGNRKNYLKQAMVSLTSIVRLVMKRCIIALPQEFEGFKQLFVLNLKYFSSTDHDINTLVSSCPWLNTLRLKHFDGISCLRIEGQVLQIVEVEGKFEDFHLNAPNLSHVYITLDKTEAQQSVAVVGDMKNYMKQAFVSLTSIEELTISGSFLTYLPKGCLSMQLPGVFDHLKKICIEKCFWNWTEVLGACSIFQNAPTFRELEIWSFPRPEAYRRKTIWDQDQTEIEEPTLHHLVTVAINDFVGLNCEVALVGLLLSWSPALEDLKIFRAKNVNDEYMCICKGLTKLLALPRASSKAKITVI >ORUFI02G20460.4 pep chromosome:OR_W1943:2:18706441:18726630:-1 gene:ORUFI02G20460 transcript:ORUFI02G20460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGPRVVCPFIFPCSAASVNQKLPQFCRTRSSAEALRSNDTVSAEGHFNRVSKAMYPDKKKGKGGINIRCESRQIEPPTSGDKGLKYNKIAMPITRDTKTRVVGTIPPGNIGNQAARLGSWAMVRGSIEAHPAKVAKYLSMGCLSTQLLGLRCLFNISECPYIQRTHPTKSFPRPEAFRRKRTWDQDQTEIEEPTLHHLVTVIIKRFRWARLRGCSCWTATELSVEGGSNLSLAHQVFDVGLAQPNAMPAQGTRTSTWTSSRPCRPAPPQPQMFDNCEVTIIVSGAMHRAKKAKVEPSSALRSDKFSSLPQEIKVTILSKLNSLDAIRASILSSAWRNVWTTLPEIYLCDLYLSWGSSETTQRSNFITLVDLALLLHNGPLASFSILGFKRYHDVFDRWMYMLSRKKPRSITIKFYSGHNYKIPSNLFSLSDLEYLQIKRCIIGLPQEFEGFKRLTVLNLKYFSSTDSDINNLISSCPLLSTLCLKYFEGITCLSIQAPALQDLEVKGNFQDFHLHAPNLSNLDVSLDKTEAQQSVVVEGNRKNYLKQAMVSLTSIVRLVMKRCIIALPQEFEGFKQLFVLNLKYFSSTDHDINTLVSSCPWLNTLRLKHFDGISCLRIEGQVLQIVEVEGKFEDFHLNAPNLSHVYITLDKTEAQQSVAVVGDMKNYMKQAFVSLTSIEELTISGSFLTYLPKGCLSMQLPGVFDHLKKICIEKCFWNWTEVLGACSIFQNAPTFRELEIWSFPRPEAYRRKTIWDQDQTEIEEPTLHHLVTVAINDFVGLNCEVALVGLLLSWSPALEDLKIFRAKNVNDEYMCICKGLTKLLALPRASSKAKITVI >ORUFI02G20460.5 pep chromosome:OR_W1943:2:18706441:18726630:-1 gene:ORUFI02G20460 transcript:ORUFI02G20460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGPRVVCPFIFPCSAASVNQKLPQFCRTRSSAEALRSNDTVSAEGHFNRVSKAMYPDKKKGKGGINIRCESRQIEPPTSGDKGLKYNKIAMPITRDTKTRVVGTIPPGNIYLSMGCLSTQLLGLRCLFNISECPYIQRTHPTKSFPRPEAFRRKRTWDQDQTEIEEPTLHHLVTVIIKRFRWARLRGCSCWTATELSVEGGSNLSLAHQVFDVGLAQPNAMPAQGTRTSTWTSSRPCRPAPPQPQVDFVQLINPTSSSSGAQTSEALKIDTVTAAEGGGASWRPAAPRARPLQLSRNPRNTVTRQQPPIAISLPMFDNCEVTIIVSGAMHRAKKAKVEPSSALRSDKFSSLPQEIKVTILSKLNSLDAIRASILSSAWRNVWTTLPEIYLCDLYLSWGSSETTQRSNFITLVDLALLLHNGPLASFSILGFKRYHDVFDRWMYMLSRKKPRSITIKFYSGHNYKIPSNLFSLSDLEYLQIKRCIIGLPQEFEGFKRLTVLNLKYFSSTDSDINNLISSCPLLSTLCLKYFEGITCLSIQAPALQDLEVKGNFQDFHLHAPNLSNLDVSLDKTEAQQSVVVEGNRKNYLKQAMVSLTSIVRLVMKRCIIALPQEFEGFKQLFVLNLKYFSSTDHDINTLVSSCPWLNTLRLKHFDGISCLRIEGQVLQIVEVEGKFEDFHLNAPNLSHVYITLDKTEAQQSVAVVGDMKNYMKQAFVSLTSIEELTISGSFLTYLPKGCLSMQLPGVFDHLKKICIEKCFWNWTEVLGACSIFQNAPTFRELEIWSFPRPEAYRRKTIWDQDQTEIEEPTLHHLVTVAINDFVGLNCEVALVGLLLSWSPALEDLKIFRAKNVNDEYMCICKGLTKLLALPRASSKAKITVI >ORUFI02G20460.6 pep chromosome:OR_W1943:2:18706441:18726630:-1 gene:ORUFI02G20460 transcript:ORUFI02G20460.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGPRVVCPFIFPCSAASVNQKLPQFCRTRSSAEALRSNDTVSAEGGGIDRSIAAARAFLLQNHRSIEAHPAKVAKYLSMGCLSTQLLECPYIQRTHPTKSFPRPEAFRRKRTWDQDQTEIEEPTLHHLVTVIIKRFRWARLRAECHASPRHPHEHLDVFAPVPAGATPAATALVKRRHCRTSEALKIDTVTAAEGGGASWRPAAPRARPLQLSRNPRNTVTRQQPPIAISLPMFDNCEVTIIVSGAMHRAKKAKVEPSSALRSDKFSSLPQEIKVTILSKLNSLDAIRASILSSAWRNVWTTLPEIYLCDLYLSWGSSETTQRSNFITLVDLALLLHNGPLASFSILGFKRYHDVFDRWMYMLSRKKPRSITIKFYSGHNYKIPSNLFSLSDLEYLQIKRCIIGLPQEFEGFKRLTVLNLKYFSSTDSDINNLISSCPLLSTLCLKYFEGITCLSIQAPALQDLEVKGNFQDFHLHAPNLSNLDVSLDKTEAQQSVVVEGNRKNYLKQAMVSLTSIVRLVMKRCIIALPQEFEGFKQLFVLNLKYFSSTDHDINTLVSSCPWLNTLRLKHFDGISCLRIEGQVLQIVEVEGKFEDFHLNAPNLSHVYITLDKTEAQQSVAVVGDMKNYMKQAFVSLTSIEELTISGSFLTYLPKGCLSMQLPGVFDHLKKICIEKCFWNWTEVLGACSIFQNAPTFRELEIWSFPRPEAYRRKTIWDQDQTEIEEPTLHHLVTVAINDFVGLNCEVALVGLLLSWSPALEDLKIFRAKNVNDEYMCICKGLTKLLALPRASSKAKITVI >ORUFI02G20460.7 pep chromosome:OR_W1943:2:18706441:18726630:-1 gene:ORUFI02G20460 transcript:ORUFI02G20460.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGPRVVCPFIFPCSAASVNQKLPQFCRTRSSAEALRSNDTVSAEGHFNRVSKAMYPDKKKGKGGINIRCESRQIEPPTSGDKAECHASPRHPHEHLDVFAPVPAGATPAATALVKRRHCRTSEALKIDTVTAAEGGGASWRPAAPRARPLQLSRNPRNTVTRQQPPIAISLPMFDNCEVTIIVSGAMHRAKKAKVEPSSALRSDKFSSLPQEIKVTILSKLNSLDAIRASILSSAWRNVWTTLPEIYLCDLYLSWGSSETTQRSNFITLVDLALLLHNGPLASFSILGFKRYHDVFDRWMYMLSRKKPRSITIKFYSGHNYKIPSNLFSLSDLEYLQIKRCIIGLPQEFEGFKRLTVLNLKYFSSTDSDINNLISSCPLLSTLCLKYFEGITCLSIQAPALQDLEVKGNFQDFHLHAPNLSNLDVSLDKTEAQQSVVVEGNRKNYLKQAMVSLTSIVRLVMKRCIIALPQEFEGFKQLFVLNLKYFSSTDHDINTLVSSCPWLNTLRLKHFDGISCLRIEGQVLQIVEVEGKFEDFHLNAPNLSHVYITLDKTEAQQSVAVVGDMKNYMKQAFVSLTSIEELTISGSFLTYLPKGCLSMQLPGVFDHLKKICIEKCFWNWTEVLGACSIFQNAPTFRELEIWSFPRPEAYRRKTIWDQDQTEIEEPTLHHLVTVAINDFVGLNCEVALVGLLLSWSPALEDLKIFRAKNVNDEYMCICKGLTKLLALPRASSKAKITVI >ORUFI02G20470.1 pep chromosome:OR_W1943:2:18728466:18733109:1 gene:ORUFI02G20470 transcript:ORUFI02G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHRKKYRYGILDADAADRPPVHAKWSSGMVKVKYECDDDDDGDGGGDAWRRKALLYGDEVGSGPSSAAAAPLVHRSHGRASSRPRSGGKHLRAGHLSSSQWSVWGPASSTNAVIKGDTSSSAVVGSTGRVLLAGEDVIGRRSTKPKPKPSGGVPAAAAAAAAAYVTKAKPVAPPKETALRTYGRDMTGSAAAADPVIGRDDEVDRVVCILCRRTKNSAVLVGAPGVGKTAIAEGLARRVAAGDVPAALAGARVVELDVGSLVAGTQYRGMFEERVKKVIQEAEDAAGKVILFIDEMHMLLGAGACKGGSMDGANLLKPALARGRIRCVGATTFDEYRKHIERDAAFERRFQKVIVEEPTTQATIAILQGLKQRYEEHHGLKIQDAAIVAAAQLADRYITGRQFPDKAIDLIDEACSTVRLKIDSQKGVNTTGMQNNNGNTSVNGVNEAIVGPDHVAQVVSRWTGIPVTTLDQEEKEKLIHLADRLHERVVGQDEAVKLVAQAVLRSRAGLEQPGQPIGSFLFLGSTGVGKTELAKALAEQLFDSEKMLIRFDMSEFVGSGSVLRLIGAPPSYHGHQDGGQLTEKVRTRPYSVILFDEVEKADPSVFNVFLQLLDDGMLTDGKGRTVDFKNTIIIMTSNLGAEHLTEGVTGERTMEAARDLVMKQVQKYFRPELLNRLSEIVIFEPLSHDNLKEVVKIQMKSVVTSVAHKGVSLLASDDALDVILSESYNPMYGARPVRRWVQKNVMTKLSEMLITGDAGQGSTISIDATDDKKGLNFQVLKEEVVVPRGKRPVEELQSDSDSDDDVFEIAPIPKRKKGDY >ORUFI02G20480.1 pep chromosome:OR_W1943:2:18735703:18737234:-1 gene:ORUFI02G20480 transcript:ORUFI02G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGIPGAVFVVKSESMTANAHCQIVKSRVVFIRISASSRDTKSVREIRMTPFRIHICCGGWWRQLTYQPAVSAPCFSRTGVNLAAAFAVKPAAIATFQKASCY >ORUFI02G20490.1 pep chromosome:OR_W1943:2:18737262:18741449:-1 gene:ORUFI02G20490 transcript:ORUFI02G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGRPPAAQKKILQSLRPPLPFAASSRSPFAAPNDYHRFPAGGAAAAAASGSGGIGAGGAGGGGDIEEGLVIRTPQKRKAPEESDVAESSDCMITSPGFTVSPMLTPVSGKAVKTSKSKTKNNKAGPQTPTSNVGSPLNPPTPVGTCRYDSSLGLLTKKFINLLKQAPDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVELDNGLSALQAEVENLSLKEQALDERISDMREKLRGLTEDENNQRWLYVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGPIDVYLVSQFDEKFEDLGGGATPSGHANVPKHQPTEVFNTTNAGVGQCSNSVAVDNNIQHSQTIPQDPSASHDFGGMTRIIPSDIDTDADYWLISEGDVSITDMWKTALLNMETADVQWDESLDTDVFLSEDVRTPSSHNQQPSAVGGPQMQVSDMHKP >ORUFI02G20500.1 pep chromosome:OR_W1943:2:18750278:18754728:1 gene:ORUFI02G20500 transcript:ORUFI02G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEIGGPESVEIVCRVRCGVQRRCHPLAGIPPAAPHSLSLPRAPAARPLRLSASSSRSARASSFVARAGGVDDAPLVGNKAPDFDAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYDEFEKLNTEILGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQDNPDEVCPAGWKPGDKSMKPDPKGSKEYFAAI >ORUFI02G20500.2 pep chromosome:OR_W1943:2:18750311:18754728:1 gene:ORUFI02G20500 transcript:ORUFI02G20500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACCSSLATAVSSSSAKPLAGIPPAAPHSLSLPRAPAARPLRLSASSSRSARASSFVARAGGVDDAPLVGNKAPDFDAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYDEFEKLNTEILGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQDNPDEVCPAGWKPGDKSMKPDPKGSKEYFAAI >ORUFI02G20510.1 pep chromosome:OR_W1943:2:18763676:18767359:1 gene:ORUFI02G20510 transcript:ORUFI02G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQALTAEAAAVVKQAVSLARRRGNAQVTPLHVASAMLQAAGAAPAPGLLRAACLRSHSHPLQCKALELCFNTNIRCRTGHPSLETLWGLHTLAVPAGSLALSLTCADADAAADDDDSGAMAAAAVNHQSAKGANGSTSPSPCLSLLDAAAAGGACSSGQLAVMAAAVSGAYCGGDCAAATKALLPQSVVFMPPSATTTTTTIPPWLHHCRDQEPAAHMKKWMSAHGGSPSRRTALNISSTAVSPCSSVSSYEQYTRLHQPYQPWLVADDDDEAEETKHPYIAGDGGAGRLVPAAAKVVIKSDDSSASNGSVEVEWRRPRFKEVSAENLKVLCGALEKEVPWQKVIVPEIASTVLRCRSGMAAPAMARRSSSCSSSKEHTWMLFLGGDADGKLRVARELASLVFGSSKSFVSIGGAANASPPPSSSSSSPARSSGSTEQPHRSKRPWAETTTTTTSGRDQDHLEALYDAVRDNPRRVILMERVDRADARCHDGIRDAIERGVVRSRGGGGEEAFLGDAIVVLSCESLNPSSTTPAKKAKTEYSVEKLDQDGDDHHGKEAVAAAASPSCFDLNMSMDDDDEAAEERCTGEEEEAGHHHHQLLLKAVDRVLFFRSIGE >ORUFI02G20520.1 pep chromosome:OR_W1943:2:18776049:18784580:-1 gene:ORUFI02G20520 transcript:ORUFI02G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEADMENGRLYPERPRTFSTVRTKSSLPPIFRVLMRINPRAFIVLLLLVFSGVLYVGASTSPIVLFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILALVILGIYLFRSTTPQQEASGVGRTTSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVGMAVFGVAILYATFYVWLEVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIINPSGFILFPLVVHSFDLVISSVGILSIRGTRDSGLISPIEDPMAIMQKGYSITILLAVVTFGVSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGLESTALPVLVISVAIISAFWLGHTSGLVDESGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDILDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVAAFAQLPFKEVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVNEVRRQFIERPGIMDYNEKPDYGRCVAIVASASLREMIRPGALAIISPMAVGIIFRMLGHATGRPLLGAKVVAAMLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPIFL >ORUFI02G20530.1 pep chromosome:OR_W1943:2:18787949:18791625:-1 gene:ORUFI02G20530 transcript:ORUFI02G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSAPLLRFHHHHRCLLRHSSSPRRYPAGFSRGLSSVSFGLPRSTTTAARSTASPSAPSAAAAEATDAASAQAGSDGKGDGIGEEERVVLPTNESSDRLLRIRHTVLAFCCLPFLTSLPVINLCAHVMAMAVQKLFPNSKVTIGPWIDNGFYYDFDMEPLTDKDLKRIKKEMDRIIRKNLPLVREEVSREEAQKRIEALNEPYKLEILEGIKEDPITIYHIGEEWWDLCAGPHVESTGKIDRRAVELESVAGAYWRGDESNQMLQRIYGTAWETEDQLKAYIHFKEEAKRRDHRRLGLDLDLFSIQEDAGGGLVFWHPKGAIIRHVLEDSWKQIHLQRGYDLLYTPHVAKAELWKVSGHIDFYKENMYNQMDVEDELYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEQILGQFGFRYYEINLSTRPEKSVGSDDIWEKATLALKDALEDKGWEYKVDEGGGAFYGPKIDLKIEDALGRKWQCSTVQVDFNLPERFDITYVNSNSEKKRPIMIHRAILGSLERFFGVLIEHYAGDFPLWLAPIQARILPVTDNELQYCNEVASELKSKGIRAEVCHGERLPKLIRNAETKKVPLMAVVGPKEVQARTLTIRSRHSGEIGTMPVDEFFRRIQLAIANKSSSL >ORUFI02G20540.1 pep chromosome:OR_W1943:2:18803763:18810080:1 gene:ORUFI02G20540 transcript:ORUFI02G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEGEAAHGVFAYAAAADSASADDPMTVKMLRDAAADVVSSSTATRIHLFREILPPLLSRGSDSALFVAQLIRFIFRTLPVYDDQASNNAVDDLVQLALRKPTFLGHFAFMLVETMEQNMKFSRPPLLSDVHKMYVEKVRNSMFHVRESPQFFKLILDFAMTSSSLSSEYKHSDEQMRADALVIVGTLIIKSTDPETLTTMLDAITTSLGGSKEELSNTYKRIGMIKALAELSTSPAVHQINTVAASISGFLMTCYKDDGKVKVFLSMLDALIQMDLSIVLPAIDRYKAGLKDEVCLRKGYLELLRAVCKNSAALRKITSLLDQLVQLLIISFTSTTQRLDGIYTLFAVSRIVAVDTDASLPTICSAIYDACGQVDLFTLICQNELSSNSALSLSELSDEDCLVTVDLVQSLIVENLSWVKEKISIQSLLQLLIHPACHPHREVRKLAYVATEKILASTAVLGQDLLLLFNNWLSLIGNRTLTLEQSPRSYSQLILCSHHPCISNSRPAAVWKRLQRVLKHHQIVFIDLIATNMSAIFMELLRQDDSLTCDEYALEARLHSLRTVAAILPNNGLPEFEARSAEPSEAANAPPTVSVPAGSNATHPVTPVPQPPAPAADQLQDMIPMQKEVSKFVCSYFFID >ORUFI02G20550.1 pep chromosome:OR_W1943:2:18813600:18814579:-1 gene:ORUFI02G20550 transcript:ORUFI02G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQWCSRANDGTRAPLGRLFLEESRGSFDRWSSSTYVVVVFRGGVRRGRPGRRAAGELLSLDSRRRPRLGLGLPTPAQEERLNGFHGARARRRPQPQRRQGEVHVPPHPAASSKENDVDHFKPKPKPTPASGRKTVQVAAAAEIDTVAAMHRIAYSAKCPSFEVLLADGALRAVRQVRSGGLHRRERVDGRRRHGRWPRPVVLG >ORUFI02G20560.1 pep chromosome:OR_W1943:2:18816210:18816884:-1 gene:ORUFI02G20560 transcript:ORUFI02G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKHHKREHHLRRCCGGMAACILALVLVVGFIALVVYLALRPSKPSFYLQDLQLRSVDLGDPSLSATAQVTLASRNPNDHVGVHYRRLDVFVTYRDEPVTVPVSLPPTYQGHRDVTIWSPVLSGESVPVAGFVADALRQDVAAGYVALQVKVDGRVKWKVGSWVSGSYHLFVSCPAMLASAGPGGVGPMPLGGASAAVVNGTGAGAVASLRFTQPTGCSVEV >ORUFI02G20570.1 pep chromosome:OR_W1943:2:18824330:18828473:-1 gene:ORUFI02G20570 transcript:ORUFI02G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPHLPPRCPPLGPQITRRDDSLFTQSCRFPSEDPFVGEPPCWLDDLLADSGKSHTLPPLRRACSDSDAILDVLTSFQSPIYPIDEGDPQPVGEAGESFNAAAEGGGSGAGIEGSCVYGPNSPRQKTRLTSSESSMVNAVLENVPSNPLQYLMIDATSGVNCNVGAANGTGDTGDAVCHADQEKSLKRRSGQRSRVRKLQYIADLERTVDSLQLRNALSMENKQLRRQITSLQQAKLIKDGQTQMLKKETERLKQLSVRHRRSRSVTSCFEANSFGGGDPSAINWQMLDMSKLSLNGGAVVPPRGGYGM >ORUFI02G20580.1 pep chromosome:OR_W1943:2:18828522:18829404:-1 gene:ORUFI02G20580 transcript:ORUFI02G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIKEMMLEGL >ORUFI02G20590.1 pep chromosome:OR_W1943:2:18832895:18833374:1 gene:ORUFI02G20590 transcript:ORUFI02G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMVDEPGNNGFNDNGGGGGHGFDDGRRQRRVRLRLVSSARKRVATTALMTVNEPGGSGFDSGGDGGGNSAGKRAMAAVASMMVGKPDDNNFDGGSDSGGSSKRRWEMGGGDNFDDGQKARRRLASRAGKQLRQWGIDRAKGDFVFLIFLSPLFDKK >ORUFI02G20600.1 pep chromosome:OR_W1943:2:18834580:18838959:1 gene:ORUFI02G20600 transcript:ORUFI02G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARASPSPSPQRPASMGRGGGGGGGGGDASAALSFIYKGWREVRDSASADLRLMRARADSLRTLADRELEHLLVSASTTVAAPAPPVAAGAPIAEVEFVRNRIQPKISELRRQYAASGDWELGLGRRVLEGWVAPPPPRGATTARVDLSGITAIRNALVPEVAGGGGASTAWWSGDEMEEEEEKEWEVVRMIRGGLKELERRSQSSGEILGGIPGPSELVEKFKSRLKSFNMEPLGSKEVPPLDLTEIMANLVRQSGPFLDQLGLRRELRDKLVETLYSRQNHSLSADSSLLGDDNSTDELDLRIASVLQSTGYHTDDGLWNEPSKYEVSDNKRHVAIVTTASLPWMTGTAVNPLFRAAYLARNSKQDVTLVVPWLCKSDQELVYPNSMTFSSPEEQETYIKKWLEERLGFESNFKISFYPGKFSKERRSIIPAGDTSQFISSREADIAILEEPEHLNWYHHGNRWTDKFNHVVGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCDKVLRLSAATQDLPKSIICNVHGVNPKFLKIGDKIMADRENGQQSFSKGAYFLGKMVWAKGYRELLDLLDKRKSDLQGFKLDVYGSGEDSQEVQSTAKKLNLNLNFFKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVICAEHPSNEFFMSFPNCLTYRTPEEFVARVNEAMAREPQPLTPEQRYNLSWEAATERFMEYSDLDKVLSQPVTEGVHRSKTRRTIQSNLSDAMDGGLAFAHHCLTGSEVLRLATGAIPGTRDYDKQHCVDMGLLPPQVQHPVYGW >ORUFI02G20610.1 pep chromosome:OR_W1943:2:18843138:18844466:-1 gene:ORUFI02G20610 transcript:ORUFI02G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAEVPSYFLCPISLEIMRDPVTLATGITYDRSSIERWMFGGGGGDGGKGTCPVTRRQLAPAEREATPNHTLRRLIQAWCAAHAVERFPTPRPPVDSCRVAALVDEGTTTMLGSGGRQRQLAALREIKAIAAESDRNKRCVEATPGAVEFLVSVVVQSHAAASTSASSDDDDLFDSVIDSPMSTSSPEEEALGVLYSLKPSEPTLRRVLGKDNGVGFLDTLASVLRRPSYRSRAYAILLLKAVTSAMPPERLMAVSPELVEEVVRVVSDGVSSKAVKAALHVLCRLCPWGRNRVKAVEAGAVAALVELLLDEEGGGGRRRAAELAVVAIDHLCGCAEGRSELVAHPAGLAVVSKRAMRVSPAATESAVRALHAVARNAATPAVLQEMLAVGVVAKLLLVLQADGGERARARAREMLRANARVWKDSPCLQAHLKASYPS >ORUFI02G20620.1 pep chromosome:OR_W1943:2:18862629:18863456:1 gene:ORUFI02G20620 transcript:ORUFI02G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASDGRPSPRSRQLQGPRPPRLAVSKDSHKVRKPPVVPQPRGVGGVVAGPSRPQQQQQPRAPVIIYDASPKVIHTRPSEFMALVQRLTGPGSAAVAAVAAPVAAGFHTVASSSSSASASALPPQFQLPQEFMLSPTAALSPAARYAAIERSVRPLPPTTAHYYTADADDPILLDVDGDAAAFAAALGPARPSILSPVPSALPPAASSGLFSPLDQASLSWLSDLSPFLHSAGAAAAPPPPFAPSPRSLLLSTPTMPSPATFSVMEFFSSNFPDL >ORUFI02G20630.1 pep chromosome:OR_W1943:2:18869309:18877569:1 gene:ORUFI02G20630 transcript:ORUFI02G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALASLSSLSPCGLARRRSASSAASISCCAAPPPPSAKGSQESRTPRRRVRKTEGATKSLEDSVKRKMEQFYEGLDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDFDEFGVQKIIPDTTFIKKWSHKIEAVIPALDSSTPIFASSFTMELIKRRLKEFGIFLSSRLKVFRVRKRFQAGPFEVEPLRVTHSIPDCCGLVLRCADGIIFHTGDWKIDESPVDGKIFDRQALEELSKEGVTLMMSDSTNVLSPGRSISESVVAGSLLRHISEAKGRVITTQFASNIHRIGSIKAAADLTGRKLVFVGMSLRTYLDAAFRDGKSPIDPSTLVKVEDMDAYAPNDLLVVTTGSQAEPRAALNLASFGGSHALKLSKEDVLLYSAKVIPGNESRVMKMLNRLTELGPKIVMGKDAGLHTSGHAYHDELEEVLQIVKPQHFLPVHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFVALGKEDLRLMYSDGDKAFGTSTDLCIDERLRIASDGVIFVSMEIFRPQKELASSQSGLKGKFKITTRCLWLDNGRLLDALYKAAYAALSSCPVNCPLSHMERMVSEILRKMVRKYSGKRPDVIAVATENTTVSFVEDSETKSSGKFGSFSAPRHSSRSSGRSLEESDKSRPENTEGEAKENLPDVLRTTRDDATTSSNGEAFFSSDLHKPKTLEHFWDSFKSPTAVKIARIVNGSAQGSKSKIGKISIMRGEMNEQFQTVKGRMVLWEEISSSMLSQGISRTPAQCKSLWTSLVQKYEESKKDEESVKTWPYFLDMDRVLSSQGEMATQ >ORUFI02G20630.2 pep chromosome:OR_W1943:2:18869309:18877569:1 gene:ORUFI02G20630 transcript:ORUFI02G20630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALASLSSLSPCGLARRRSASSAASISCCAAPPPPSAKGSQESRTPRRRVRKTEGATKSLEDSVKRKMEQFYEGLDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDFDEFGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWVIPALDSSTPIFASSFTMELIKRRLKEFGIFLSSRLKVFRVRKRFQAGPFEVEPLRVTHSIPDCCGLVLRCADGIIFHTGDWKIDESPVDGKIFDRQALEELSKEGVTLMMSDSTNVLSPGRSISESVVAGSLLRHISEAKGRVITTQFASNIHRIGSIKAAADLTGRKLVFVGMSLRTYLDAAFRDGKSPIDPSTLVKVEDMDAYAPNDLLVVTTGSQAEPRAALNLASFGGSHALKLSKEDVLLYSAKVIPGNESRVMKMLNRLTELGPKIVMGKDAGLHTSGHAYHDELEEVLQIVKPQHFLPVHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFVALGKEDLRLMYSDGDKAFGTSTDLCIDERLRIASDGVIFVSMEIFRPQKELASSQSGLKGKFKITTRCLWLDNGRLLDALYKAAYAALSSCPVNCPLSHMERMVSEILRKMVRKYSGKRPDVIAVATENTTVSFVEDSETKSSGKFGSFSAPRHSSRSSGRSLEESDKSRPENTEGEAKENLPDVLRTTRDDATTSSNGEAFFSSDLHKPKTLEHFWDSFKSPTAVKIARIVNGSAQGSKSKIGKISIVGKDSSDPSSAPVKSSKKNKWKPEEIKSLIQMRGEMNEQFQTVKGRMVLWEEISSSMLSQGISRTPAQCKSLWTSLVQKYEESKKDEESVKTWPYFLDMDRVLSSQGEMATQ >ORUFI02G20640.1 pep chromosome:OR_W1943:2:18891409:18894828:-1 gene:ORUFI02G20640 transcript:ORUFI02G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSDYSDDGEDDVFFDAFDDDDDDDDDKNRSSTEISTSEAGYELWAGEPMSVRERRHRFLKGMGFLEPGPTGTAFPQWLAEIATTDCCSFHDFEERISSICSSFRSCFSDSILAATDNTNDSADNCTRDVDYNSSGRRSTTSHDQGQHDVLSEIVEEAGTSSDEMVTPNAPEIVPGFSKLMRKLLRIRFGHGPKRNEFKSLWEIFMRKKVSDRVLSMDDVHVQPRGLNSGTLYRTKVHQQNKKWMDFTAVYMCQEIQAHEGLIRVMKFSSSGWHLASGGEDCVVRVWQITEVESSPDLYGRDVPEDMNKKKDVKIKPLAIIPKKVFSITETPLHEFQGHTSDVLDLAWSKSDFLLSSSKDTTIRMWKVGCYDCLAVFRHGDYVTCVQFNPVDERYFISGSIDGKVRVWDVSDKRVVDWDDTKYIITAISHRPDGKGFVVGSVKGRCRFYDQSGLFPLGRNIERNKLMRIKRRRCAANKITNIQFSQGHPSRMIITSKDHKIRVSEGHKITQKFQGQWRSKVLVPPSLTPDGRYLISAGRDSKIRIWNFDGGGRRRRVVSSRELFFSEGVTAVAPWARAMGGGGGADAPTLCYDRERCSFGTWFVVPDGAAAAAATTWPEERLLPSLRYVNCAGMDDCRSQVPAAWNMVVVTGSRSGAIRAFHNYGLPVRL >ORUFI02G20650.1 pep chromosome:OR_W1943:2:18898982:18901115:1 gene:ORUFI02G20650 transcript:ORUFI02G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTSSPSSSPPRTQERSERGGDSRTGGIDVVFGCDSAAVAGEEGADGGRRRRWRTWDEMVASVSIHYFICHMCRHAAARGSSAFSAASSASSSAAPVLPVVVRPALVEHAADMERLISQLPLFTLASSLAALPKSSRACCRHPLLLHAVSGEEGDCRRRTEG >ORUFI02G20650.2 pep chromosome:OR_W1943:2:18898905:18901117:1 gene:ORUFI02G20650 transcript:ORUFI02G20650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPVLAGTVAATPLCRSRRRSLPTPLLIIGHPRPETQQNLWTKFYNTCTSSPSSSPPRTQERSERGGDSRTGGIDVVFGCDSAAVAGEEGADGGRRRRWRTWDEMVASVSIHYFICHMCRHAAARGSSAFSAASSASSSAAPVLPVVVRPALVEHAADMERLISQLPLFTLASSLAALPKSSRACCRHPLLLHAVSGEEGDCRRRTEG >ORUFI02G20660.1 pep chromosome:OR_W1943:2:18904000:18904269:-1 gene:ORUFI02G20660 transcript:ORUFI02G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSPNRHYRHPPKPFVAPWCRYPLLCNQPPFSTTTVMKQLSSKVPQHRRSLLPPAALLLPSNQLAKMPLLLAPSSTDYAALAAPPLAC >ORUFI02G20670.1 pep chromosome:OR_W1943:2:18906382:18906837:1 gene:ORUFI02G20670 transcript:ORUFI02G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDRFAQDEGHYIDNSHRHIPSSGQEEGSCSHDVVVPNNHDGEEDYHDDLDLDILFDCVVVPVPGGHLNSNAAVFIPITGGSQDLYFANTTAYRHLHHLVCARSSRSRWKRWRWRRPWGGQIQLPRPRERQRLRGRVLPVCGFSDPRSWE >ORUFI02G20680.1 pep chromosome:OR_W1943:2:18945442:18947321:1 gene:ORUFI02G20680 transcript:ORUFI02G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSSSSSSSPFSTPFHSISSTSTLRPQLAIAIVATPSVCGRNEIEQQPSMVRKEMGGGGGRLAAEYQGLEVKVPTFFRCPISLDVMRSPVSLCTGVTYDRASIQRWIDSGNTTCPATMLPLPSTDLVPNLTLRRLIALWASTAAPSSPAAPSAVGPTPAAAAAELLRRVAAPGVDPCPALRKLAAFLSDDDVDEFDKNALARAGGAAETVASVLRRRGKGDDDDGGVEAVEAAVRVLAVLATSDCIEEENRRRAAVRVLAVLATSDCIEEENRRRVVAALAAGGAAPSVAASLARVMRSGSGLEARVDAARLVESLLRDGARGATAPGVRAAVAESEELVAELIRLVGPTDEKGSLDAQAVDAGLSCLAAIVAATRRARAEMVRLGAVPAAVRVLATDHHGGSHAQALRVLEAAVGCAEGRAAVCEVAEAAIPAVVSRMMRCGGMGGAEAAVSVLWAVCHRYRDRRAVEAAAASEGGLTKLLLLMQSGGCSPAARQMASELLKMFKVNAKSCLAGYDSKTTHIMPF >ORUFI02G20690.1 pep chromosome:OR_W1943:2:18953862:18954236:-1 gene:ORUFI02G20690 transcript:ORUFI02G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAAWTLRAGSNAASRAERRQACSEQGAAKQARRLERRWAKQCGCRQPQSEWCVANQCRRPPAPQRRRGPTDHGSGGSGDGGGQETGGVAGAASLHHVDLELRVSLFILFDTIVLLSTDLLL >ORUFI02G20700.1 pep chromosome:OR_W1943:2:18965784:18967904:1 gene:ORUFI02G20700 transcript:ORUFI02G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAARRRRVRGSRRRRPLGVDLAKAAAGRRARSSSAFLAAAQERAAGSMAPPRLAVGRSRGGGARHRPGQGGGREEGMLVLGVLGGGSRKGARSTAFLAAFLLETPGIEGARGHHCHAHAGSVLWQSKDCGYPRPSTSSRQKYDLVMSLLESMPTNDNWGRKPQRRLGH >ORUFI02G20710.1 pep chromosome:OR_W1943:2:18972482:18976023:1 gene:ORUFI02G20710 transcript:ORUFI02G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVGNGLVDLGGAAVAVNGVGKGMRPEAVAVAMEVESPPRPAEEEGEGSPTRREIVLGRNVHTASFAVKEPDADDEETGEREAAMASVLALYRRNLVERTKHHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARIWELEKNEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMDCVKVDTLISGEIDCEDFQRKLLLNRDKPAIINVNIGTTVKGAVDDLDLVIKTLEEGGFKDRFYIHCDGALFGLMIPFVKKAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINRLSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKDRLKEAGIGAMLNELSSTVVFERPKDEEFVRRWQLACEGNIAHVVVMPSVTIDKLDYFLNELTEKRATWYQDGSCQPPCLAKDVGEENCLCSIHKK >ORUFI02G20720.1 pep chromosome:OR_W1943:2:18980575:18981216:1 gene:ORUFI02G20720 transcript:ORUFI02G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGYVYSRRAMLLAPAYGHGDSSAAAAAAGASQVIAGQEAPAGGDVVAGPAGTSSFDANVVMILAVLLCALICALGLNSIVRCALRCSSGGRMMMSSSSSAAAGDDGELGPSAAAQAAGVRRKALRAMPTMVYSAAGGPSPACAICLADLEPGERVRVLPKCNHGFHVRCVDRWLLARSTCPTCRQPLFATPPVRPFLAPLRPEGFVTPYDF >ORUFI02G20730.1 pep chromosome:OR_W1943:2:18987646:18991491:-1 gene:ORUFI02G20730 transcript:ORUFI02G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGDCRTSFPKDMTFWERSDEEVSDPKALLEDRSKAKCVYQWYEYQKCVKRIEDDETGQKHCTGQYFDYWKCVDKNVAEKLFDSLK >ORUFI02G20740.1 pep chromosome:OR_W1943:2:18993047:18995281:1 gene:ORUFI02G20740 transcript:ORUFI02G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVLNAKGMGSSVGATIFYCVALSMVIVMTQLPPTEADSVAAAEFASSDLKADKLTSRKLMGAANAPAPAPLGMCPVRFDEMKGPFTELGKKCKAASVTECCDAFKEIACPHNTLLNDLNNGCGDDMFYFIHTYGRLPPGTIFKKCVEGPYGMKC >ORUFI02G20740.2 pep chromosome:OR_W1943:2:18993047:18995281:1 gene:ORUFI02G20740 transcript:ORUFI02G20740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVLNAKGMCPVRFDEMKGPFTELGKKCKAASVTECCDAFKEIACPHNTLLNDLNNGCGDDMFYFIHTYGRLPPGTIFKKCVEGPYGMKC >ORUFI02G20760.1 pep chromosome:OR_W1943:2:19010180:19012457:1 gene:ORUFI02G20760 transcript:ORUFI02G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLPPRGALVHGHGGGVGAFDLEATMQPPPPFHFAQDPHLHHHQGMVPVRGNPMLDLGNVVKTSPSDEEDVDDGHHHGGGGGSGKEASQWHRVKWISGMVKLLVSAVAYIDEDVDMDYGTGSAARRKHAMLKRKGKWRLVSAAMTERGFPVSPQQCEDKFNDLNKRYKRMTEILGRGTACQVVEHPELLEGMRLSGKLKEEARKHLNSKHLHYEEMCSYHNRNKMCLFDDPALQKSLRLALRSGEEHAKKNPFGYDDEDFSDDDDEDEEFDDLEVSAEDHHHGIHGAKRLKHDQEETHFGSNLSEVAVIDMNKMLSEGSGGPTAEKSPSTPGMRDIRLEKRRLKIKAQMLKIEQKHFKWLRFSKEKDRELEKMRLENEKMKLENERLELELKLKEIEMGIKPKKIFSD >ORUFI02G20770.1 pep chromosome:OR_W1943:2:19014779:19016534:1 gene:ORUFI02G20770 transcript:ORUFI02G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPGDLKVKGGEAPLVKEDAAVAPSPPAAEEKEKNVDEAPAAAAAAVVVAAVEGEPAEAGRRRSLSDLLKEDAESEGSEAAPAPSAEATKAVETESPAAAAESGIAAAAAEEEKAEVVEPSPATSEQVAAGEEEEEKETAAAAAEEEQVMAVETSPAAPEQGAAVGEEEEEEEEEETAAAAEAEEEQVTVVEPSPVSPEQHAAGEEAEETAAAVEQITAVDPSPVASEQETTGEEAEAAAAEPEAAQEGGGGGAQEVAEEEKRVDPDSVQVAAAASSTPSPPSSADDDEEEKSKEEAAADVSAPAAPDEN >ORUFI02G20780.1 pep chromosome:OR_W1943:2:19020176:19021202:-1 gene:ORUFI02G20780 transcript:ORUFI02G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALCGVALLMLLLAIEVRGGGYGEEEKVPLSAVIVPDPSPELREPTSPSPSLAPAPTPVSGAGGGDDDMRPRLPTERWRRGGGRGEERHTGGGGGGSHHAHYAHGHGHAHAAAPSPSSSWAPARAPSPDASASAPDSAAPGQSGGTAFIRSSPAVPVPRGVTDTATILPMPTPGDKHQEVGAAAASARAVMAPVVVGLITMMASFWALH >ORUFI02G20790.1 pep chromosome:OR_W1943:2:19023736:19024080:-1 gene:ORUFI02G20790 transcript:ORUFI02G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPPELVPYLTGDGTEKRGWVRMAVDALAAVLSADEVNEDTKEGLINALIAGDLGHIMNTLIAAVPRSGMGPQGRQQSAAWRQSCRCEAAQLARRWSGQAPSRCSREFTVGDD >ORUFI02G20800.1 pep chromosome:OR_W1943:2:19026467:19027032:1 gene:ORUFI02G20800 transcript:ORUFI02G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELHPHSMSACRRCLPSAQAHSSTQKPRMCHRRQPTIAEKEAKGEVAIPDITSPSNNGGGGGEGDQHRGWLVSMAGVVDEAVEEEGVVELVEAVEEGDAEEGPADKKGADEVGAGGEQEENLPREVVGQRGDDDG >ORUFI02G20810.1 pep chromosome:OR_W1943:2:19028292:19028827:-1 gene:ORUFI02G20810 transcript:ORUFI02G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKHHHLFHHKKDGEEESSGVVDYDKEKKHHKHLEQLGGLGAIAAGAYALHEKHQAKKDTENAHGHKVKEEVAAVAALGAAGFAFHEHHEKKDAKKHAADQY >ORUFI02G20820.1 pep chromosome:OR_W1943:2:19031574:19034851:-1 gene:ORUFI02G20820 transcript:ORUFI02G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPKAKRPTPPRAHRRSTAAGAGAGGLGLAAAAYVGVDYARRHLPPAWWRWHGRLQPALWGALALAAAARAPFYRRWDAELRAAPRFLAAMALMLAAFLCEAVSVRFVSTVLGLHWHRSTAPLPDTGQWLLLALNEKLPQIVVDLLRAPIISLHHYLMLFIMLGFSALFDCIKGPGLGIAARYMFTMAVGRSLRTVTFLATILPSARPWCAEARYQIPDHPHPWAQKYYAPYASDPDAIRRVIQEDMPYEYPREYRPKWGHMSFLVDILRPTVEEGSSWYHFLKKASGGCSDLMYSGHMLVAVLTAMAWTEAYGGWISVVIWFLVLHSAQREIRERHHYSVDCIVAIYVGILLWRMTGFIWSAIDNSHARRLAKLDKVQNRLFQAAKDSDMDEIRGLLNEVELAGQERKGFSQRVILSFSSAMIVFTLSFQRNGSSHGSLSWNMEMDQ >ORUFI02G20830.1 pep chromosome:OR_W1943:2:19037277:19039002:1 gene:ORUFI02G20830 transcript:ORUFI02G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGGHEDAPPNGDHHPPAIVANGDDQEPAANGDDQAEAAANGGDQAAAANDQAAAENDQAAAENDQAAAENDQAAAANGGEHEEANRDLPNVAGIRAPRELRRVEVDALHQVLLRMPAAEVARCSGVCRRLRDLIATDSFRRGHQRHRSRHPMPLFFYRLDHWAFPDRVRVHLRAVDVAARETHPVICFSHVDADLRSADPRVFTIEGSCDGILLLSYHTRLYACNPSTRRWRRLPPLHDDHVIVGFYGHGAIDEREYRVLYHTARPGCRYWVFSLSFFPDQPPRDIGRPADLEAVRAVLAEGISPSYEMPPVAIAHRLHWRAQAASLNVLVFDTVAESFGWIPPPNQQEGNQMIPVEGDQLLEINGRLAMTLVSQTTVDVWVLQEGEAWEHHYQISLPVDLLNVFGGYDDEGFVSAAVFAVSQERNVLAQCPAMMLQCDTEGNVLMFYSLAGHLTVLSRYMLQESLLAHAFLPMRQEDAIDGDPPFFQVGLKY >ORUFI02G20840.1 pep chromosome:OR_W1943:2:19041439:19044450:-1 gene:ORUFI02G20840 transcript:ORUFI02G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEGRGPRRVRNTCILAHVDHGKTSLADHLIAAYGSERRVSERMAGSARVMDHLEEEQRRAITMKSASIALRRGGEDGGGHRVHLIDSPGHIDFCSEVSAAARLADSALVLVDAAEGVRVQTHAALRQAFVERLRPCLVLNKVDRLVAELRLTPAEAHARLRRIVSEVNSIYSALRSRSYFSTLDAACALSQELPDNGDAADEEEDAFQPQNGNVVFACAREGWGFRLVTLAKLLAPKLRADPAELLKGLWGQKYFDERSRTVVGKEAMAAATANPNPKPMFVKYVLEPLWGQYHKMKRKLRLAEAVFDMVVECTPNPIAAQATRVARLMPAAKTEQLTAAAPCPAAVAAEVEKVRRCVATCNASTSAPVVVFVSKMFAVPFRFLPSRGVNGEPLNHRGSSSSAESGECFLAFARVFSGVLRAGHKVFVLSPMYDPLRGGDDAMQQKHLQEVELQHLYQMMGPDLEIVSAVRAGDVLAIEGLGHHVLKNATLSSTKNCQPFSGMMFQVSPMLKVAIEPSNPSDLGALVKGLKLLNQADPFIEYTVSERGEHVLAAAGEIHLEHCIKNLQERFARVQLEVSKPLVSFKDTIQGEGAGIMESLKASHEFVERTTPNGRFTVRVKVFRLPNAVTKVIEDSKELLAQVIEGDSGNSNGVLDSRFSQDGGDSASTLRQLLINAIDSDLEALSAQLDDEKTESYGKMLIGYLQRIWALGPLQVGPNLLLSPDATSSDGVVTSQDGREGILVRGTCHVSERLGLVNSSDAKTTIGIDGSQSAVDGLDPETVKNSIASGFQLATNAGPLCGEPTWGLAFLVKPYILPDSADASNNQSDHYSTFSGQIITAVREACQAAILESKPRLVEPMYFCELTTPTEQLGSMYAVLGNCRARVLKEEMQEGTSLFTVHAYLSVAESSEFSKKLRNATAGAASALLAFSHWETVPQDPFFVPKTREEIEEFGDGSNIGPNLATKLMNSVRRRKGLHVEEKIVEYGTKQRTLAKKV >ORUFI02G20850.1 pep chromosome:OR_W1943:2:19044498:19048535:1 gene:ORUFI02G20850 transcript:ORUFI02G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADGSSDDSMEGLIASSANRDGNYCLSCGKDRIIRLWNPHTGALVKPYKSHGREVRDVNSSSDNAKLVSCGGDRQVFYWDVASARVIRKFRGHNSEINSVKFNEFNTVVVSAGYDRTVRAFDCRSQSSDPIQTIDTFQDSVMSVNLTNTEIIAGSVDGTIRTFDIRMGRETVDNLGHPVNCISLSNDRNCLLANCLDSTVRLLDKSTGELLQEYKGHICKSFKMDCCLTNDDAFVVGGSEDGYIFFWELVDAPVVSSFRAHSSVVTSVSYHPTRACMLTSSVDGTIRVWT >ORUFI02G20860.1 pep chromosome:OR_W1943:2:19059450:19061957:-1 gene:ORUFI02G20860 transcript:ORUFI02G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYSRGGGGGEGRWVVMRDIVINNKTVCTTPGPMLVATFAVSPDLRTTEKFMVTTTVLMTFLGAALFAVGVLGRFSGRHRGHSSATRIFFRASFALFLPFMSFMFSQAKGRDLPFRAYLILLWMLLVELLRKKVFAMVAPAGDAFSRGVGRYSLFDAVEDAARMIWIGYLVYSYVHGFAVKSLFVILWIFSVAKLCKRAACIHLAKGSFDLAKNATLVSGYMAQLVGEHRQLDLQVVDDGGDVRGNRTMRACNYTVMGESELKINRTPHGFEIDGLEDILAAGGTSGDGDGNGKTEEQLVRVSTIWKLAESDPLFKYNVRRRQKLEDICLGIALYKLLRRRIERCHMAERGTPEARAFVLRGLLALGGGDRGGEAADAERAFDVVEMELRFLVEYYQAIIPLALPKPGIFIANFAFSVVFILLYCIAVLLVTGNGNMFRVLGSLFRGFIGISIDTVVQFRCFRHQVSALVGMVCSSSDLFVTFLLTLTLFSVETYELAQYLLSDWFAASMLCNYARMRINRDHQRRQAAQQRHAQRAIRSGLWVRHRSRPVIKAHQVTMLKLHQLHPRRVWMLASRILSRRLAGLRPAVVTAEAKVAIVAALKAFLESDGGDGGDLQFTSCVAVLRRHGFFHHGPEWACDSSKGAATVILAWHLATALLEAHCDGEPLPRKGEAAVTLSRYCAYLVSYEPGLLPDDPEWTEKAYNDVKSGLGSFFRSCSCATAGRREKLVRFGDDWEAEAPSAMARGVKLGKLLEDRASESTEGFEEVWTMLLEFWAALLVVVAQRPSAGPEGHALALANGGEFITHIWAMITHAGVRVHRHHDYQSFPVTHVV >ORUFI02G20870.1 pep chromosome:OR_W1943:2:19066681:19067269:-1 gene:ORUFI02G20870 transcript:ORUFI02G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHGEVRDVCSPSTWYLRYRLVSNLGTKVSSLILVEYRRVLSKRGGDVGEGGLQRWRMASRRHGEVRVEARAREREKSRGGAEEEVDGYTCCRLTQAAGVHPGGAKWQAAAGTTVTPRPRARMRGAPASALPGATTPRRTPDRRGSQVTHAYESDGYQSSIKIL >ORUFI02G20880.1 pep chromosome:OR_W1943:2:19067315:19070749:-1 gene:ORUFI02G20880 transcript:ORUFI02G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRKRGRLEGKELGFKGGGNVGLGTDLGRSRRELALGGIDRYLMPSTQDTGWYLDIRSWYKVSSMILVGYQRVP >ORUFI02G20890.1 pep chromosome:OR_W1943:2:19074876:19078162:-1 gene:ORUFI02G20890 transcript:ORUFI02G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKRLNVVKISAKYKSGDGNISEHNPLHESQALEDDEIELEQQDDDSLNMSGESAKEDKRGRTTLTHIWNLPEGNHIVVKCNKLGQPIGAEGGLLGKFLGTVARNGSYCPFDLKDWRLVKKNGGAETILQLVEALCEKNKSSRAMMKTTHTAGTKSYAGWAKDMAELESLMDEQPELAQDDQGRVAWKGEALHQVLGEEKPGQVHGMGLLPVPNHVYGQTSHRLRNINITTVEGTPHEVAIHIIDDVEKLKEHAQKQDQLIQQLLKEKTDRKNKQEKVNRLKGYHSNSQLQAVHSKRKRVQCDAADREDLLSQQRYPFKDIYEDDDLSFSTEKYHNDANQEEPHYQEAPPSPWSAHSFRQEEMAKEGQKMFVKSTDNAHDQVIQSRVRSKKTRPSPMEVGSTVLLMTAKYPNKEGMAYANLLSCNPYSHVGGVEIGNHFWKVRINHPIRKNEELVRPLDHCKTINDAHAKGVVIAWPSICVC >ORUFI02G20900.1 pep chromosome:OR_W1943:2:19083302:19083950:-1 gene:ORUFI02G20900 transcript:ORUFI02G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQPELAQDDQGRVAWKGDALHQVLGEEKPGQVHGMGLLPVPNHQQKDYNNLINDLYDNSSIIMMQTRKNPIIKKHHHHHGPLIPFDKKRLSI >ORUFI02G20910.1 pep chromosome:OR_W1943:2:19114493:19114888:1 gene:ORUFI02G20910 transcript:ORUFI02G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSDMPERAKAAAGGRLVARRGRGILHAGAVLGRLRWPQRWQWQGRWDVERGGGRDGGRDGGGILLPHHRSGAELGHSGVEEIGHASAAAATPFPFPFLRPPRNAASIILLGVSHRLLPPTPSPSSRW >ORUFI02G20920.1 pep chromosome:OR_W1943:2:19198873:19200197:1 gene:ORUFI02G20920 transcript:ORUFI02G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSLVGSRRMTQKNHHGNKCAEKDDQSFGMREEKAEDGRESADYKQKRVMDINRVTDKNCKSRKKDLESEYRFDCEDEEVTFNVYNIDMEILELIITHSDLVELKALPLIKVKNEDMALQRCFLALALGDLGGAKQTAQEQATITI >ORUFI02G20930.1 pep chromosome:OR_W1943:2:19211327:19211879:1 gene:ORUFI02G20930 transcript:ORUFI02G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTATLGGEKRRVRRRLRGEAEEEVAHGKDAVKKGGSEEEMGGITCGRVKKLESRGGASPTLDIILAPPLLGREMGKGKVHPSLSPTVLVGGGDGGTQGGGEGGAHVDVVVVIVDDEVGEADKEKGKGGGSGKSSGQCERVWRGRK >ORUFI02G20940.1 pep chromosome:OR_W1943:2:19214263:19236959:1 gene:ORUFI02G20940 transcript:ORUFI02G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVRFTVGSNVWVEDADVAWIDGLVEQVTGDELIIRCTSGKKVTANVSSVYPKDAEAKRCGVEDMTRLAYLHEPGVLHNLKSRYGMNEIYTYTGNILIAVNPFQRLPHLYNNHMMEIYKGAGFGELSPHPFAIADRAYRYMMNYGVSQAILVSGESGAGKTESTKMLMQYLAFMGGKVQSGGRSVQQQVLESNPVLEAFGNAKTVRNNNSSYMSSHISFVSQCSRFGKFVEIQFDQSGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCSAPAEERERYKLGDPASFHYLNQSNCIKLDGMDDSSEYIATRRAMDIVGISSDEQDAIFRVVAAILHLGNVEFVEGSEADSSVPKDDKSKFHLRTASELFMCDEEALEESLCKRVIATRGESIVKNLDARAAALSRDALARIVYSRLFDWLVNKINTSIGQDPSSKLLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQQFKGNQHFSRPKFSRSDFTIHHYAGHVTYQTDLFLDKNIDYAVNEHQVLLHASRCSFVSSLFPPSEESTKSTKFTSIGSSFKQQLQALLETLSSVEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFDEFVDRFGVLLPEVLDESYDEVTATEMLLEKVNLTGYQIGKTKVFLRAGQMAELDARRTEVLSSSASKIQRKVRSYLAHKHFIQLRLSATQLQAVCRGQIARHYYEDLRRKAATLTIQTYYRMHFARKNYRDLCSASTTVQSGLRGMAARKELQYRQQTKAAVIIQSYCRSYLAHSQYMGLKKAAITTQCAWRGRLARRELRKLKMAAKETGALQAAKNKLEKQVEELTWRLQLEKRMRVDMEEAKSQENKKLQQKLQELELQSNETKDLLKREQETAKAAWEKAALVPEVQVDTTLVNELTAENEKLKTLVASLETKIDETEQRFDEVKKAREELLKKATDAESKINGLTNTMLSLQEKLTNMELENQVLRQQALFRSPVRTIPENTSPKATPHGTPPASKEYGKFAQPRPSFFERQHESVDALINCVTENIGFSEGKPIAAITIYKCLVHWKIFETEKTSVFDRLIQIFGSAMQKHDSNEDLAYWLSTSSTLLIMLQKSLKAAGSSGGTPRKKPQTQSSFLGRMVFRSSNITVDMDLVRQIEAKYPAFLFKQQLTAFVEGLYGMIRDNVKKELSSLLSHAIQVPRIMKASMVPAIFMRKIFTQIFSFINAQLFNSLLVRHECCSFSNGEYVKQGLAQMEVWCGEVKPEYVGSALDELKHIRQAVGFLVIFKKFRISYDEIVNDLCPVLSVQQLYKICTQYWDDKYNTESVSEEVLDEMRTLITKESGQDSSENTFLLDDEISMPISLEEIGDSMDSKEFQHIAPPPELVAIPAFQFLKS >ORUFI02G20950.1 pep chromosome:OR_W1943:2:19238187:19238466:-1 gene:ORUFI02G20950 transcript:ORUFI02G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIEEGRIWLRVRERSGIQFDPIDSCCDEAEGVGRGHSQPNLEQWFLLPSPLARSGRRRPEDNKYGRGMVAGDE >ORUFI02G20960.1 pep chromosome:OR_W1943:2:19242872:19243214:-1 gene:ORUFI02G20960 transcript:ORUFI02G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVSTLWLQAQLTVYASPCSSYYCNKSRAPGLSMTLLLLRMHNASGTHLFYINYSSSNFNAQLGRKM >ORUFI02G20980.1 pep chromosome:OR_W1943:2:19261651:19262016:1 gene:ORUFI02G20980 transcript:ORUFI02G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRRRAWCRMQPLSSSPLDMVIYGASASTVDARGWGGHRRISRVGRALLLQLAGGKGESGSAERAQRRKEGGSGNKAGKGASMVLDGRAAGHGAARWGSGASGPCELRGKGGDKERIRC >ORUFI02G20990.1 pep chromosome:OR_W1943:2:19266764:19267276:-1 gene:ORUFI02G20990 transcript:ORUFI02G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPLIAGSKLSLSIAGANVEPEPTTDRFVDIMLQVDKAESCKYKVSLASQQFVACHQQQGRARQK >ORUFI02G21000.1 pep chromosome:OR_W1943:2:19281409:19283212:1 gene:ORUFI02G21000 transcript:ORUFI02G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNSEILTIQILSFTCCHLGPKIVLSVIEECQVRRYKCITLSYAFEITMLFMSIIHQKIN >ORUFI02G21010.1 pep chromosome:OR_W1943:2:19300306:19300710:-1 gene:ORUFI02G21010 transcript:ORUFI02G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGTAAGEDSEKPLVKEPLPQAEVDFILAWKREPSPCPDDVHWALLSPEQRQLHEEMAAMGKEFEDSFEEFQDEAQLKEGWAAIDWSDVVCADWDDFNDPNCYRSL >ORUFI02G21020.1 pep chromosome:OR_W1943:2:19318479:19319195:-1 gene:ORUFI02G21020 transcript:ORUFI02G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGPAAIVAALTRAIADDGRGGGATLGGVRGSHARRSKRGISGGAGCGGGGGARGQVTAVGASGAAAEDARGYDAAALSFPEEASRPRAALGRVTYSRVVLAVDRSRTGMARKISVRRRRREHGVLVGSWTSTSAAVAVRDAPGRARLTPLLRGSHGCNGSTEQRMGGILGWE >ORUFI02G21030.1 pep chromosome:OR_W1943:2:19320455:19321210:-1 gene:ORUFI02G21030 transcript:ORUFI02G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFEAAETAAIVAALTRVIADGGRGGGGGVCVPPPAPSLVVPPRAGTGGGRRVDVAREEEMVGVVSAGDHAGGANVAEAAAAVVVAAPATARRYRGVRRRPWGKWAAEIRDPRKAARVWLGTFRTAEDAARAYDAAALRFRGRRAKLNFPEEVSRPWQGHDVDHMSCSPPSISNARFLGSWTFGPPQPPSRSVAAAATTLLGGSHGGNGAENGRE >ORUFI02G21040.1 pep chromosome:OR_W1943:2:19328469:19329034:-1 gene:ORUFI02G21040 transcript:ORUFI02G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVVSAGDHTGEASVAAAGVVVSAPATARRYRGVRRRPWGKWAAEIRDPRKAARVWLGTFRTAEDAARAYDAAVLRFRRRRAKLNFPEEASRPRRPWKGHDVDHMSCSPPSIANARFLGSWIFGPPPPSRSVAAATTTLLGGSHGSNGADNGRE >ORUFI02G21050.1 pep chromosome:OR_W1943:2:19332663:19333445:-1 gene:ORUFI02G21050 transcript:ORUFI02G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVVSAGDHAGGANVAEAAAAVVVAAPATARRYRGVRRRPWGKWAAEIRDPRKAARYLKAV >ORUFI02G21060.1 pep chromosome:OR_W1943:2:19347517:19348386:1 gene:ORUFI02G21060 transcript:ORUFI02G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGHDYYI >ORUFI02G21070.1 pep chromosome:OR_W1943:2:19352777:19356088:1 gene:ORUFI02G21070 transcript:ORUFI02G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGTSSNAPPAAASMGSGDGDNKEGTGESGNNQLLLPAIAASADKGKGVVAGTGNVDAKGKTTAMAPAASSTNAPNNQGGGGGGGGRSRERMHIFAERERRRKIKNMFTDLRDLVPSLTNKADKATIVGEAISFIRSLEETVADLERRKRERDSLAARCARLGLGGSSSSSAPPPPPPPAAADDTAAVMPPAPAVPPPDAAAVTAGPEPAPAPAPGTLMVWSGPSVVLNLCGGDQAFINVSVARRSGVLTMIVDVLERHSIDVVTAQIASDQSRSLFTIHTSVDRERGMFMDTATAEEIYQLAVSEIMVWLHSE >ORUFI02G21080.1 pep chromosome:OR_W1943:2:19375133:19383560:1 gene:ORUFI02G21080 transcript:ORUFI02G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEEQRHGRQLPLATSGVITFNGVPLSPLTAGVESDGDDDVVIVDACNNGKRKVVCNASGYICIDPQEWEHWILAMVVHEEQRHGRQLPLATSGVITFNGVPLSPLTAGAESDGDDDDVVIVDACNNGKRKVGDGEEEGRGSQGGDDDDDVVAVHGGGGGGGNRACMFAVRERERRRRMNDMFAGIRRLVPNLPEKGEEVRMEAQKQELQRERDRLAMEVAAAAGGAGASSSSSSAAAAAVTVAAYGPAGAVGSSSSSAAAAARANTVVPVPQRAARGATAPTPRPVAPPQAGTWPLPAPAAMPPPPPPPTGAAAVAPPPSLKTWSWGDNVVVSVLGNIGNMTVRAPLRRTGVLAMASAALKRYNITAVTSLSGTDASRTQNMFMFYTIIDMPDRQPQFVHPKVFEAMYRAAAAEIAAWINCY >ORUFI02G21090.1 pep chromosome:OR_W1943:2:19386507:19395633:-1 gene:ORUFI02G21090 transcript:ORUFI02G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVPHDGPLPHWAALDGPTRFDPMHLEAGLLPSLPGGLGPSWPLGGGSLPCRQWGRLACPRLPTEAVVAVEVVTASPPSSPSLPEAVAAVAFFDELDALAAGEEVPAVFWPPRSPPKLPSLASIPTANTERSSSAAQRPSMVDGPVMGEGAPTSPSPTSVSASAAGDEAPAMAAAIGHESGPTVGEGAAWLAAVAVPPSPVADGAEILFDMPVGGEEILFDMPILALDLDGQPMACSLPPSVVQNAALRAILASCCKPLCPAPHTPPAPMAPTVAAVGVLVSDSEGSRLQPPAVQPERKQQGRMQPGEAGLARGQRPGKVRRAPANTPQAATAHGSAWTAANQETP >ORUFI02G21100.1 pep chromosome:OR_W1943:2:19403448:19404230:-1 gene:ORUFI02G21100 transcript:ORUFI02G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDGDGSIPIPMVFDGGTVGVRRGGTWWTGVGSSTSGNNSSTFCRNRSTVDLQNTRTNSSFTISPARTTTVADKEEKGAVLLALVKGQEEAAALWEVLAPPEPTHTGLTFISQREFSSDHHKEEAKRF >ORUFI02G21110.1 pep chromosome:OR_W1943:2:19406175:19407428:-1 gene:ORUFI02G21110 transcript:ORUFI02G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVPLALGLDTAGVQVPWYFRCPISLELMRDPVTVSTGQTYDRASIESWVATGNTTCPVTRSPLDRAFTLIPNHTLRRLIQDWCVAHRSLGVERIPTPKQPADPDLIRSLLAQCPALPPLRKLRALARDSDKNRLVMATHETRAALVDMAFGTNAGGEEVEAEAMAVLAMVGLGEAEAVEVVGRRERVARLGELLVSGEGAATTTATLECRVNAGAVVEAVAAVSGADARAVLGAAEGVMEGLVALVEEKAHARAVRVGIRGLFALCLAKENRPRAVAAGAAAALARRVAEGGGGAGEPERALAAVERLCRTEGGRDAVVAGAGGGAAAVCALVRAMSGRSAEHAAGALVAVVGGSEPLQVEAVRAGAMSQLLLMVQGGCSERAKRKAQHLLKLLRSAWPAADSIANSDDFLQPY >ORUFI02G21120.1 pep chromosome:OR_W1943:2:19429938:19430911:-1 gene:ORUFI02G21120 transcript:ORUFI02G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRERSVAGPEEPASASASTVVVTEDKPDPPPAEEKSEEVAAVSNGGGSAPVSSEAAEEDGGLDDILSKLDIQVTPTLVLYGSGALVVLWVLSSVVSAIDSIPLLCRYDIFHQTSWAAVSSSALIWFSVINDKSNYMRLTFL >ORUFI02G21130.1 pep chromosome:OR_W1943:2:19431276:19431551:1 gene:ORUFI02G21130 transcript:ORUFI02G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSGDRRRREVPAATRACALTGVRAYAVTANPARGEEETRGHGATHDADARVVPGRTGAGNPNVRHPPPPRLNLTPTTAGARREERRPA >ORUFI02G21140.1 pep chromosome:OR_W1943:2:19438547:19441763:1 gene:ORUFI02G21140 transcript:ORUFI02G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSQSTVVFGLRMWVLVGVAVGAAFVLFLVLLSVLCLLASRRRRRRRGPNTSPVQQLPVSAPPKNPQKVKAPKDIQEVPAQATAAAAAKTPLAQVLQMPAPPPPPPPMAAAAPPPETVQIATGKEHRITYPEPPHRSGSSSHGSGEAPSVPEVSHLGWGHWYTLKELEAATEMFADENVIGEGGYGIVYHGVLENGTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVDNGNLEQWLHGEVGPVSPLSWDSRVKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVDWLKTMVSTRNSEGVVDPKMPQKPTSRALKKALLVALRCVDPDARKRPKIGHVIHMLEVDDFPYRDERRGARAPVQARVADKPVAIEAGDRESDSSGNNSARQTEPFRWRNPES >ORUFI02G21150.1 pep chromosome:OR_W1943:2:19467492:19470049:1 gene:ORUFI02G21150 transcript:ORUFI02G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPKKNRNEICKYLFQEGVLYAKKDYNLAKHPQIDVPNLQVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRNYLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRSSGGRPGFGRGGGGGFGAGPTSSSME >ORUFI02G21160.1 pep chromosome:OR_W1943:2:19481416:19488171:1 gene:ORUFI02G21160 transcript:ORUFI02G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPSGATDTANAAGGDATLAVPPPPPLLTGTAVPPPPPAAAQPQPQQATVAEGDPPPPATGSAEEVAGNGEPPQPPATDPASEPTAPPEPQRATEEKKGDEPPPPASTGVKEEVAGHGQPLPPPTSTPASEPPAPPEPKQQQQQQQAGDAAKQAAPSADDEDGGKKRHSRWNFLRNLFRRHKGSLRDAVKTAALTKPRKEEEEKSKKTAGPDEASKLPPPPAPPPAPDDDAASRSRSTRRRLVKVLRAVQFITRLKNWRNRPPEDGKAKEEQKPSPETKDKKPPETTGKDKKPADPELGQAKEKTPAPQQEEEKKEKEEETPEAKAQRLEEEKSRRRWKERGEALLQEILEAAFEALLAGEFNKLKDQWRQCLLTFSFFPVNHKVKKQAVTYWWAAQFGLPHRRAPSAAEPRGSEEIFAEFCASGFLEPITSRCSGASHGCRVNPLVHWMVKRTARGEFAGLDQHGHPTVDPGESRVLCLTASHRELLQRLGRADESPSAPPSPTRKLSKVKTPSQQDRQQKEAKEDRQQKEPNPTGTPSKIAVSKLEGETTQKKPNPTGTPSEMAVSRLEGETKQKKPNPIGMPSKMAVSKFEGEMKEQQNENDKINLKLELQKFQNIHVILNINAHVYRLPYCLLSFLGDRLVVLQLGRWWNSDNSTYLEVEGLEKLNAIGNLKKLRYLGIRGLSKLTELPKNVNKLQQLEVLDVRGCQNLTHVMSSTVRNLRQLTHLDLTECYMLEHIGWEITSLSELQVFKGFVFGIDAPRRYVFQCRDRHACHLQDLKAMKNLQKLSINVTTDANVDKNDMGQLKHLESLQSLTITWGELPSILTSAERENEKKQLLERWTSLVLPSSLVKLDVRCYPSEEIPFEWFEPKGAIKPKNLKKLYVRGGAVKKLNLPKDNNIKTLRLRYLKEFKMKWEEILGMMNNLHYVEVVYKDPKVMKSEKIKHQTDNVELQPHIIKEKEKKAKEEEEKHMAEIKKNMGIPDSTLDEHRVSENDQKEVDQNKKGKGCEGDGDGSKESSNSQSKVHDGHDSKATINNNVTKVSSESDLTGKEQKEKTSRRS >ORUFI02G21160.2 pep chromosome:OR_W1943:2:19481416:19486772:1 gene:ORUFI02G21160 transcript:ORUFI02G21160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPSGATDTANAAGGDATLAVPPPPPLLTGTAVPPPPPAAAQPQPQQATVAEGDPPPPATGSAEEVAGNGEPPQPPATDPASEPTAPPEPQRATEEKKGDEPPPPASTGVKEEVAGHGQPLPPPTSTPASEPPAPPEPKQQQQQQQAGDAAKQAAPSADDEDGGKKRHSRWNFLRNLFRRHKGSLRDAVKTAALTKPRKEEEEKSKKTAGPDEASKLPPPPAPPPAPDDDAASRSRSTRRRLVKVLRAVQFITRLKNWRNRPPEDGKAKEEQKPSPETKDKKPPETTGKDKKPADPELGQAKEKTPAPQQEEEKKEKEEETPEAKAQRLEEEKSRRRWKERGEALLQEILEAAFEALLAGEFNKLKDQWRQCLLTFSFFPVNHKVKKQAVTYWWAAQFGLPHRRAPSAAEPRGSEEIFAEFCASGFLEPITSRCSGASHGCRVNPLVHWMVKRTARGEFAGLDQHGHPTVDPGESRVLCLTASHRELLQRLGRADESPSAPPSPTRKLSKVKTPSQQDRQQKEAKEDRQQKEPNPTGTPSKIAVSKLEGETTQKKPNPTGTPSEMAVSRLEGETKQKKPNPIGMPSKMAVSKFEGEMKEQQNENDKINLKLELQKFQNIHVILNINAHVYRLPYCLLSFLGDRLVVLQLGRWWNSDNSTYLEVEGLEKLNAIGNLKKLRYLGIRGLSKLTELPKNVNKLQQLEVLDVRGCQNLTHVMSSTVRNLRQLTHLDLTECYMLEHIGWEITSLSELQVFKGFVFGIDAPRRYVFQCRDRHACHLQDLKAMKNLQKLSINVTTDANVDKNDMGQLKHLESLQSLTITWGELPSILTSAERENEKKQLLERWTSLVLPSSLVKLDVRCYPSEEIPFEWFEPKGAIKPKNLKKLYVRGGAVKKLNLPKDNNIKTLRLRYLKEFKMKWEEILGMMNNLHYVEVVYKDPKVMKSEKIKHQTDNVELQPHIIKEKEKKVKEEEEKCMAEIKKNMGIPDSTLDEHGVWKKDQKEADQKKAKEEEEKHMAEIKKNMGIPDSTLDEHRVSENDQKEVDQNKKGKGCEGDGDGSKGTHFTYYLAFNKF >ORUFI02G21170.1 pep chromosome:OR_W1943:2:19494277:19500251:1 gene:ORUFI02G21170 transcript:ORUFI02G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYHQHRSRLPPPPPPPPFGRGGGGAGYPRGHKQLYAPPPPPPPQHQQQRRYEVLMEAGRLAAEYLVAKGVLPPSSLQRGVGAWGAPSPPPPPAAAGAAPPPPQQQREDPAFYGRRRYDDEYSNNSSARPRRNSSNSSSSSSSRDDYSGGSYNGRGKRKYGDYRRGYSDWGRDREKERGRPGSNGRRYEENDDEDGAPGFRRERRGSGGNDDARSSVADVYREATPLMRKELGDLEMNGTESRAANPSGEVKEADAPQMVQSEENEEGEMEEDGMVLNSEPEVVELRMDTNDDVNNASVGVDMETELQRSPNGNVPGEKAEDDDKVLVESALDSIALDDEVANTENNLHGDERNLLKYCEYAKAPTKRRSSRPQRNAASVQIEPAVSETTDQISIGEASQIVPGEVANEISVTNLKSENREDQIYRENTDFSTSCNGTLEPILLEENNESAATGNIIEEKNDVQLHVVKEPEEEVNVSAFAPSHKDSLMQETDLSPSTASHKDSLLEGNLPLLTDSHNSLIEETGPPLTHSHEDSMVEETNLSSLTTSHKGNLKQETDLSQTISSHENNLKLQFKESCGIDMLPQDVDLIELSGQRKSVGGELFSNVGAEAASKMEDENLEQPNPFKICDQNLIGSSEVSVIHNNPGLAQCSTEGSCTESQKNQHQDFVTTSGDIAGSTNNMCQLPLDNKGVQVIDIEDDTPIEVGGFDSSKAKSDMICSNMDNMMGPVVHSGDLPGIQDGYNLAISDYLGGDIPCYPSMQSDLHGGIGANDSEGITVMDDPIYGSLTDIGFMDVWGQPTQDDYEKFF >ORUFI02G21180.1 pep chromosome:OR_W1943:2:19503828:19505576:-1 gene:ORUFI02G21180 transcript:ORUFI02G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYFLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >ORUFI02G21190.1 pep chromosome:OR_W1943:2:19506349:19507801:1 gene:ORUFI02G21190 transcript:ORUFI02G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSRRSAAASADIIGFQSYKVFQESEMSMARRLEFDDVHSDAESVFRIGEPSTSNLKSLQASHFVMLGAHKPSPPPPPRHRVSSRNHTIDQQFFSLAVLLPSPRSSSLLRFGIGHDGHPLSVLDLAMELYHCEKGEK >ORUFI02G21190.2 pep chromosome:OR_W1943:2:19506349:19507801:1 gene:ORUFI02G21190 transcript:ORUFI02G21190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSRRSAAASADIIGFQSYKVFQESEMSMARRLEFDDVHSDAESVTTGVPHRRAQYIKPQKSTSIPFCDNTRKLMEWFFTNVSFVQTSMLGAHKPSPPPPPRHRVSSRNHTIDQQFFSLAVLLPSPRSSSLLRFGIGHDGHPLSVLDLAMELYHCEKGEK >ORUFI02G21190.3 pep chromosome:OR_W1943:2:19506423:19507801:1 gene:ORUFI02G21190 transcript:ORUFI02G21190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAHKPSPPPPPRHRVSSRNHTIDQQFFSLAVLLPSPRSSSLLRFGIGHDGHPLSVLDLAMELYHCEKGEK >ORUFI02G21200.1 pep chromosome:OR_W1943:2:19515602:19520402:1 gene:ORUFI02G21200 transcript:ORUFI02G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTLPPVVVVVVLLLLVVVLPATTCGADAGGGGEAEEFQIPRDGRVLELDDGNFDAAVRAAGLLFVDFYAPWCGHCKRLAPQLDEAAPVLAGLSTPIVVAKVNADKYKKLGSKYGVDGFPTLMLFDHGTPTEYTGSRKADLLVENLKKLVAPDVSVLESDSAIKSFVEDAGMGFPLFLGFGVDESLIVEYGAKYKNRAWFSVAKDFSEDMMVFYDFDKVPALVSVNPKYREQSIFYGPFDDGAFLEDFIRNSLLPLVVPMNRETVKMLNDDGRKVVLMILQDDESDENSPRLIKVLRSAASANRDLVFGYVGVNQWEEFTETFDVKSSELPTMIVWDKKEEYEIVEGSERLEEGDYGSQISRFLEGYRAGRTIKKKVGDRSPTLLGVNAVYILVFLVAVLVLLMYFSGQGEEDQRPRQRAHED >ORUFI02G21210.1 pep chromosome:OR_W1943:2:19519192:19520184:-1 gene:ORUFI02G21210 transcript:ORUFI02G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAAASNSTPASPPPPPAAAAALGLPDLSVPYDLATRGQWKSLLSHLDDASHPRHRLLLSALSALSLAKLRRYADAAALIASLRPDPGCPPPPFLLRLLHALLPLFLPADRPLALDRLYTLLSSVRARPDASHPEWRRRESLVTSLLAADHLAHREFDVALALLASVAALDPGNPAVLSRLGYAHLQIGNLAAAAAAFRHVESVAGGDPAHASLLARNRALECVVAKDYAAAVREYERCIEADAADAVAVNNKALCLMYSRDLGDAIKVLEAALEGHPTAALNETVVVNLCSMYELAFVNHADVKRSLTDWIARVAPDDFDPSCTRM >ORUFI02G21220.1 pep chromosome:OR_W1943:2:19528296:19530507:1 gene:ORUFI02G21220 transcript:ORUFI02G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYQQVPIPVGLHLPPDVHMQKRQADSKYHRSMHSRMTPWIEAWSQALNDVVQETRAYDHNNYELYMAWYSSQTRIRLLAPKDPDKRGPPTIDQIYDMQLAPSTHLTILLPFKYFVASTDIAGELKRDAKTLWKKLRDGMAGTNQEVMAAVDSLRRKGKRIMRLASCRHSSDVYTPATSRRTFETMPERPSTSSRPSTSARPSASARRSSDGCAGVRGNVNGLSRASYASCKATNDSSNGSRCANLTLARRICTFCRAYSVGSTASTYIWHQLMARTIHGLRCTSFDGGVQGYMDLLQQGDWLFGQYSSHPNEIPYMQAPSTGSFRPEPMSGFRPYTASYGDMSSFGGGSSPATQPEVGEGNDNDPRRSNRERHEPNRMSLSGPRHAAGQRKKTTKKRGETSRTTTDHDDDDE >ORUFI02G21230.1 pep chromosome:OR_W1943:2:19533777:19536850:-1 gene:ORUFI02G21230 transcript:ORUFI02G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGAGGMRRSASHTSLSESDDFDLSRLLNKPRINVERQRSFDDRSLSDVSYSGGGHGGTRGGFDGMYSPGGGLRSLVGTPASSALHSFEPHPIVGDAWEALRRSLVFFRGQPLGTIAAFDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVRHFLLKTLLLQGWEKKVDRFKLGEGAMPASFKVLHDSKKGVDTLHADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALQLLKHDNEGKEFVERIATRLHALSYHMRSYYWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWLFDFMPCQGGFFIGNVSPARMDFRWFALGNMIAILSSLATPEQSTAIMDLIEERWEELIGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAERRLLKDGWPEYYDGKLGRYVGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMKPVLKRSASWTN >ORUFI02G21240.1 pep chromosome:OR_W1943:2:19543771:19546850:-1 gene:ORUFI02G21240 transcript:ORUFI02G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQRWHPTVNEREFIERALQSDLRVDGRRPFDFRRLKILFGREDGSAEVQLGDTRVMGYATAQLVQPYKDRPNEGTLAIFTEFSPMADPAFEPGRPGESAIELGRVVDRGLRESRAVDMESLCVVAGKHVWSVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGEDGQQVTVHDPEVRDPLPLTIHHLPIAVTFAYFGEGNIMVIDPTYKEEAVMGGRMTATINSNGDVCSIQKAGGEGVMSSVIMQCLRIASVKAADITSKIKNEVNSYTSAKALQKVKRLPASALKKDNAPDVAMKESSDGALETQSTKPSSDGQQINKGDDEDHRNIKRNSSLTVDRTAKQKQTSKFIGGPSNWDPYSKGVSLSSLRISQLPEPPSVVEDNKQEDVEPMLSECNPEPQSESRLTAGGSDETQEAISPKSLKDAVKPKHKIKKKQHSKINV >ORUFI02G21240.2 pep chromosome:OR_W1943:2:19543771:19546850:-1 gene:ORUFI02G21240 transcript:ORUFI02G21240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQRWHPTVNEREFIERALQSDLRVDGRRPFDFRRLKILFGREDGSAEVQLGDTRVMGYATAQLVQPYKDRPNEGTLAIFTEFSPMADPAFEPGRPGESAIELGRVVDRGLRESRAVDMESLCVVAGKHVWSVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGEDGQQVTVHDPEVRDPLPLTIHHLPIAVTFAYFGEGNIMVIDPTYKEEAVMGGRMTATINSNGDVCSIQKAGGEGVMSSVIMQCLRIASVKAADITSKIKNEVNSYTSAKALQKVKRLPASALKKDNAPDVAMKESSDGALETQSTKPSSDGQQINKEPPSVVEDNKQEDVEPMLSECNPEPQSESRLTAGGSDETQEAISPKSLKDAVKPKHKIKKKQHSKINV >ORUFI02G21250.1 pep chromosome:OR_W1943:2:19553914:19557154:1 gene:ORUFI02G21250 transcript:ORUFI02G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAIPMAYQTTPSSPDWLNKGDNAWQMTSATLVGLQSMPGLVILYGSIVKKKWAINSAFMALYAFAAVWICWVVWAYNMSFGDRLLPFWGKARPALGQSFLVAQSELTATAIRYHNGSAEAPMLKPLYPVATMVYFQCMFASITIIILAGSLLGRMNIKAWMAFVPLWITFSYTVCAFSLWGGGFLFQWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRSASDRERFPPNNILLVLAGAGLLWLGWTGFNGGDPYSANIDSSMAVLNTHICASTSLLVWTILDVFFFGKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWYTMMVLHKKWSFMQRIDDTLGVFHTHAVAGFLGGATTGLFAEPILCSLFLSIPDSKGAFYGGPGGSQFGKQIAGALFVTAWNIVITSIICVIISLILPLRIADQELLIGDDAVHGEEAYAIWAEGELNDMTHHNESTHSGVSVGVTQNV >ORUFI02G21270.1 pep chromosome:OR_W1943:2:19564677:19569167:-1 gene:ORUFI02G21270 transcript:ORUFI02G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYELLKDIGSGNFGVARLMRNRETKELVAMKYIPRGLKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFDRICSAGRFSEDESRYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIVSIQYKIPEYVHISQDCRQLLSRIFVANPAKRITIREIRNHPWFMKNLPRELTEAAQAKYYKKDNSARTFSDQTVDEIMKIVQEAKTPPPSSTPVAGFGWTEEEEQEDGKNPDDDEGDRDEEEGEEGDSEDEYTKQVKQAHASCDLQKS >ORUFI02G21280.1 pep chromosome:OR_W1943:2:19570885:19571397:-1 gene:ORUFI02G21280 transcript:ORUFI02G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQQYVLFFLPLSLSPFFLLFSSFPLSFLPIDHADANGVGRQEVAQGVRKRQGHQLGRGGDEAQGGDGDIGLGGARDGDGDGDWSVDLLLAGLPCSPSPPCLPSLAIEPSLPCRRRRPGGGGGRQGGGGWRGVGGRIVGGWRRNSTSMGERRMRLQLLAIDAVFGQPN >ORUFI02G21290.1 pep chromosome:OR_W1943:2:19582042:19585738:-1 gene:ORUFI02G21290 transcript:ORUFI02G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEFPGQAPTSRLHSEMDAAAAGGGGAAKRKRSFSEDDAYLILHRALVRRTSTGITSAREYQMLWRHLAYGHEFVESVEPASLPLDDGSDLECEIEIVPAPGNEALAEATSFAKTIITGSSREQTSGQRVNSEAAALNTPNEKIVRVPSDKQLVPGHRLTNGTGPVSSSKQPSHTGSSPDPLDPNGHPKKKKKPKPWSREEDMELGAGVQKHGEGNWMEILHKYKFDSSRTHLQLQQRWALICKRQGSTKPATPKIPNVMSEELKAAQKAFSLAIGTTRSSTLRPGGVPHHNPMVTTTNPEVKSVTAPSPLPVPVHVVPVPVPVQPVQVQLPPPQLHQAPAQSAPPPPKVSNASNKSRNNSKKQVAQSIPVNGPLSIQEAALAAGGRIAEPSVATNFLKAAQSTKAVHIRSRGTGSSKSSTGSKTPTVAGEPGTQLGSDQHLELQSTGGPSQVLTAHATEQVHNVSEVAGVNPLGQSAGVHLSETKKALNTTPVSGSSDKMEIDDNSNYFAVTMEDLFPEDVKQEDVQDPKIEEAIDPKDADMLEFDRFVAQGCLNKDNVDKSKAAKIAPEAQSVIGSQKKQLKTLPTVGKSNPVFAGPPATAKKTKAPVPQGGMSSGIVGVLNRSLGGKAPAPATTGTQSTVQKQQSMSSKGNLLVPKNVAPGTVTPANNRANTAVNGASKVNPPASQKPA >ORUFI02G21290.2 pep chromosome:OR_W1943:2:19582042:19585477:-1 gene:ORUFI02G21290 transcript:ORUFI02G21290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEMGKQVGAGKGIDWRALVRRTSTGITSAREYQMLWRHLAYGHEFVESVEPASLPLDDGSDLECEIEIVPAPGNEALAEATSFAKTIITGSSREQTSGQRVNSEAAALNTPNEKIVRVPSDKQLVPGHRLTNGTGPVSSSKQPSHTGSSPDPLDPNGHPKKKKKPKPWSREEDMELGAGVQKHGEGNWMEILHKYKFDSSRTHLQLQQRWALICKRQGSTKPATPKIPNVMSEELKAAQKAFSLAIGTTRSSTLRPGGVPHHNPMVTTTNPEVKSVTAPSPLPVPVHVVPVPVPVQPVQVQLPPPQLHQAPAQSAPPPPKVSNASNKSRNNSKKQVAQSIPVNGPLSIQEAALAAGGRIAEPSVATNFLKAAQSTKAVHIRSRGTGSSKSSTGSKTPTVAGEPGTQLGSDQHLELQSTGGPSQVLTAHATEQVHNVSEVAGVNPLGQSAGVHLSETKKALNTTPVSGSSDKMEIDDNSNYFAVTMEDLFPEDVKQEDVQDPKIEEAIDPKDADMLEFDRFVAQGCLNKDNVDKSKAAKIAPEAQSVIGSQKKQLKTLPTVGKSNPVFAGPPATAKKTKAPVPQGGMSSGIVGVLNRSLGGKAPAPATTGTQSTVQKQQSMSSKGNLLVPKNVAPGTVTPANNRANTAVNGASKVNPPASQKPA >ORUFI02G21300.1 pep chromosome:OR_W1943:2:19594529:19595179:1 gene:ORUFI02G21300 transcript:ORUFI02G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSPPPPPPPATTATPRRRRRRRLLPSSAGGGGSSSSFSSTNSSSSSPFVSFLPPPTSPSPFHRFLPSPLRASSVPFSWEHRPGIPKTPARSSRSSSKQPPPGVVGGGKHYPSAPPLPLPPSLLSRAASDPYASAVVPAEYAASGAMPPHPPPGYYYPAGAKAARRLRLRRRRPRLADALAEWLSVLSLYRSCKRVAACFAAKAKPPPPAPAP >ORUFI02G21310.1 pep chromosome:OR_W1943:2:19600843:19605525:-1 gene:ORUFI02G21310 transcript:ORUFI02G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSAGIPGDRSPAELSFRAMGLVVEQELKAFPAVAGKVQGKHKTAPVEVAPEPDPPRRLSPEKAPRLSTGGGGGKARRSVSKEPQLVRSSSEKLKAGKASTSGIGKAVEVLDTLSSSMTNLSPVGGFVAGAKMKGSPQKILAFEVANTIVKGMSLMQSLSKESVRYLKGTVLRSEGVKRLVSSDMSELMRIAAADKRQELALFSREVIRFGNRCKDTQWHNLDRYFSKLESEITPQPNLKEIAEAEMQQLLTLVRHTADLYHELHALDRFEQDYRRKLEEEKKSVAFERGDTVQIIRQELKSQRRHVKSLKKKSLWNKMLEDVMDKLVDIVHFLHVEIQESFGTYDGALQLNQPSESRQTLGSAGLSLHYANIISQIDNIVSRSTVPPQSTRDALYQGLPPTIKSALRKKLHNCPQPQEVPITEIRSSMERTLQWIIPIANNTARAHHGFGWVGEWANTGNDAMRRAAGQPDVIKIETFYHADKAKTEACILDLVLWLHHLISYSRPSNGGRSRSPSRSPVRSPPLTPPHQVPTTTSSSSPPPPAVARPSGGTGGGLTREDRAMLQDVYAGRRRRAPCHSRSQELSSARGGGGAGGDSSSAVAAAAQLSKNDRLSKSSNDAPARSGGGGGGGKLFPLSRRPSSAVVSPAVDFDIDGIKALADAQKRQ >ORUFI02G21320.1 pep chromosome:OR_W1943:2:19618941:19622610:1 gene:ORUFI02G21320 transcript:ORUFI02G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTAGRRRHRWVKEWVPQDLVVAGGPCALYKWVREDRLSALKSRDKEQEAELAKPEPTTEVLFLCSYEGCGKTFVDAGALRKHAHVHGERQYVCHYAGCDKKFLDSSKLKRHFLIHTGEKNFVCPHEGCGKAFSLDFNLKAHMKTHSVDNYHVCKYPECARRFTQESKLRAHIKQQHEKGGLQNPGGSATNRSGLADHSHNSHTPKPSAAPPTPSADRPYVCPYDGCAKAYIHEYKLNLHLKKEHPNHYQDAGAQAASSKMSKRRSKPSLTAKMPLPKVPKRRGYTEPYQPPVSVVEEHQWPRNVLYEDDSEETEEEGDNVGDGARYRAASSDDDEETEDEE >ORUFI02G21330.1 pep chromosome:OR_W1943:2:19629310:19633651:1 gene:ORUFI02G21330 transcript:ORUFI02G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAHAATATAAAVLLVVFLAPLAAASDSDHKYQSEEKVMLWVNKVGPYNNPQETYNYYSLPFCHPSNNPVHKWGGLGEVLGGNELIDSQIDIKFGRDVDKGTICSIELDPDKAKQLSDAIESSYWFEFFIGFVGEADRNSDNKYFLFTHKNIVIRYNGNQIIHVNLTQESPKLIDAGKALDMTYSVKWEPTNVTFAHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYARDDDDLETLERDVSEESGWKLVHGDVFRPPRSLALLSALVGVGTQLSALILLVILLAIIGMLYIGRGAIVTTFIVCYALTSFISGYVSGALYSRHGGKNWIKAMIMTASLFPFMCFGIGLVLNTIAIFYRSLAAIPFGTMVVVFILWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVIALMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSVYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGTLCGAVGYLGSTLFVRRIYRNIKCD >ORUFI02G21340.1 pep chromosome:OR_W1943:2:19634122:19641770:1 gene:ORUFI02G21340 transcript:ORUFI02G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALPRRLLPWLRTPPSSSSAAAFSSAPSRGCPLHAALARRGAPAAASLALYARIREEASPPTPFTFSLLLAALASSSSSSPSPSPSAGCARLAAACLAHAQAFKCGALAHPVVTNSLLKLYCSLGLLDRARRVLYSGGAALDVVSWNTMVSGYGKGGDLGAAREVFARMPERNLVSWSAMVDACVRAGEFGEALWVFDRMMREEFRPDVVVLVSVLKACAHLGAVERGRWVHRYLETGSFGGRVDEGKRVFKSMQDYGIKPQREHYGCLADLLGRAGNVEEAEALLLDMPMEPHASQWGALMSSCQMHNDINVGERVGKRLIELEPYDGGRYVVLFNLYAVNGRWEEARTIRQMMEDRGAKKETERRLQLIGYVKDTSQVIMDMDDEEDKGIALSYHSERLALAFGILNIPQGVPIRIVKNLRVCRDCHVHSKLVSKLYEREIITMELARFRCQGNGGKADDGIQEMEEELPEPLASTLKLSPLLCFPHSICDSFLFHLHPKTFHPLHLFITPTLSFFLMDYSGGGPAPAPATGRARVRGRWCYCRCFRRWGRCFCCCYCCNSFPPIQDDLRGPATAAT >ORUFI02G21350.1 pep chromosome:OR_W1943:2:19641518:19642537:-1 gene:ORUFI02G21350 transcript:ORUFI02G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPSTVAAFYGTLARGLDELERSLAASSAAAEFVSAASLRAALALIRAAHAGLARLVGSLHLPGGAAWLDEYMDETSRLCDACRALRLGAASLEGYSDSASRLASALRSSHLSFEVSHSHAAAAASAAVVVTIDLWVQVTRAMSACRRDAMAIREENRVLVETRAEALALRLAETIPPDAKFTGFNGFRGVLCATRMLTSFLLTLLSWGVLHYWPDAGGAGGATDCGAYFGAAFASALARAQQRAAAAAAAVSPSVVDAGGGAMMHEFRRARAAVEQARDAVDRAGDVAAAAAEVALRADALRSGCEDVIALIDDLFDEVAEGRKKLLDLCSGGGGN >ORUFI02G21360.1 pep chromosome:OR_W1943:2:19657308:19673912:-1 gene:ORUFI02G21360 transcript:ORUFI02G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAILLSSLLAPPAIAMPSIEEQAGALIAWKATLQSWDRKAWPCHSWRGIGCGARQGKFVITKISLRGMRLRGSLEVLNFSALTMLTSVDLSHNKLTGRIPWSEVGNLAILEDLRLGINKLSSSISNSIGNLAKLSVLILWGNQLSGHIPNNLGNLTKLSLLDLCHNQLSEHIPQELGYLVNLKGLRLCDNMLSSSIPNNLENLTKLTVLSLYKNQLSGHIPQELGYLVNLKNLSLYSNNFTGSIPNCLGNLTKLTDLALFENQFSGHISQELGSIPNNLGNLTKLIDLSLFENQLYGHIPQELGYLNLNLSKNMLIGSIPNSLGNLTKLSNLLLWSNKLSRHIPKELGNLVNLNNLSLADNNLTGSIPNSLGNLNKLYSINLVSNQLFGFIPQELGNLVNLEFLEISLNNLSGELPSGLCAASRLQNFTADHNSLVGPLPTSLLNCKTLVRVRLERNQLEGDISELGLHPNLVYIDMSSNKLFGQLSPRWGHIPPEIGSMVSLFNLSLANNLLHGNIPEELGSLQNLEYLDLSLNNLSGPIQGSIENCLKLQSLRLGHNHLGGSIPIKLGMLTYLQELLDLSDNSFAGIIPSQLSGLNMLEALNLSHNTLNGSIPPSFKGMISLSSMDVSYNNLEGPVPHIKFLEEAPVEWFVHNKHLCGTVKALPPCNLIQKGGKGKKFRPILLGVAAAAGISVLFITALVTWQRRKMKSVEQSENGAGNTKVFSVWNFDGGDVCKQSFEATENFNGTHCIGMGGNGSVYRAQLPTGEIFAVKKIHMTEDDELIFKREVDALMSIRHRNIVKLFGYCSAVHVKFLVYEYMDRGSLSRYLENHNTAIELDWMRRINIVKDVDNALSYIHHDCFAPIVHRDITSNNILLDLEFRACISDFGIAKILDVEASNCTKLAGTKGYLAPAVHGVSSSPSDRCRRRRRRDATSPPPPSPALLSSTTATPPPTPPVGTPKSEAADRRPPPARRRLPLVSAAAVEEEDGEWHPLPLSAADLSLPLTLPTGQTFLWRRTSLSPLRFTGAVGPHLVSLSHLPSSDGRLAFLLHNNGGSSSSSVPAAARAALSDYLNAAVPLADLWRRFAAADARFAEVSARLGGGGARVLRQDPVECVFQFLCSSNNNIARIEKMVWALAGYGERLGEVGGYQFHQFPTIERLARVSEQELRDAGFGYRAKYIVGTAKILQAKPGGGEKWLASLRTRELPEVIEALCTLPGVGPKVATQYLMPELAGKSLTPKLSVAVADAFVAKFGNYAGWAQNVLFIGQLSAQKLMVAETTNTSTKPTKRKRSCDFVFYPVPATYHGFEKCPNLILADRLSGVK >ORUFI02G21360.2 pep chromosome:OR_W1943:2:19657308:19673912:-1 gene:ORUFI02G21360 transcript:ORUFI02G21360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAILLSSLLAPPAIAMPSIEEQAGALIAWKATLQSWDRKAWPCHSWRGIGCGARQGKFVITKISLRGMRLRGSLEVLNFSALTMLTSVDLSHNKLTGRIPWSEVGNLAILEDLRLGINKLSSSISNSIGNLAKLSVLILWGNQLSGHIPNNLGNLTKLSLLDLCHNQLSEHIPQELGYLVNLKGLRLCDNMLSSSIPNNLENLTKLTVLSLYKNQLSGHIPQELGYLVNLKNLSLYSNNFTGSIPNNLGNLTKLIDLSLFENQLYGHIPQELGYLNLNLSKNMLIGSIPNSLGNLTKLSNLLLWSNKLSRHIPKELGNLVNLNNLSLADNNLTGSIPNSLGNLNKLYSINLVSNQLFGFIPQELGNLVNLEFLEISLNNLSGELPSGLCAASRLQNFTADHNSLVGPLPTSLLNCKTLVRVRLERNQLEGDISELGLHPNLVYIDMSSNKLFGQLSPRWGHIPPEIGSMVSLFNLSLANNLLHGNIPEELGSLQNLEYLDLSLNNLSGPIQGSIENCLKLQSLRLGHNHLGGSIPIKLGMLTYLQELLDLSDNSFAGIIPSQLSGLNMLEALNLSHNTLNGSIPPSFKGMISLSSMDVSYNNLEGPVPHIKFLEEAPVEWFVHNKHLCGTVKALPPCNLIQKGGKGKKFRPILLGVAAAAGISVLFITALVTWQRRKMKSVEQSENGAGNTKVFSVWNFDGGDVCKQSFEATENFNGTHCIGMGGNGSVYRAQLPTGEIFAVKKIHMTEDDELIFKREVDALMSIRHRNIVKLFGYCSAVHVKFLVYEYMDRGSLSRYLENHNTAIELDWMRRINIVKDVDNALSYIHHDCFAPIVHRDITSNNILLDLEFRACISDFGIAKILDVEASNCTKLAGTKGYLAPAVHGVSSSPSDRCRRRRRRDATSPPPPSPALLSSTTATPPPTPPVGTPKSEAADRRPPPARRRLPLVSAAAVEEEDGEWHPLPLSAADLSLPLTLPTGQTFLWRRTSLSPLRFTGAVGPHLVSLSHLPSSDGRLAFLLHNNGGSSSSSVPAAARAALSDYLNAAVPLADLWRRFAAADARFAEVSARLGGGGARVLRQDPVECVFQFLCSSNNNIARIEKMVWALAGYGERLGEVGGYQFHQFPTIERLARVSEQELRDAGFGYRAKYIVGTAKILQAKPGGGEKWLASLRTRELPEVIEALCTLPGVGPKVATQYLMPELAGKSLTPKLSVAVADAFVAKFGNYAGWAQNVLFIGQLSAQKLMVAETTNTSTKPTKRKRSCDFVFYPVPATYHGFEKCPNLILADRLSGVK >ORUFI02G21370.1 pep chromosome:OR_W1943:2:19674226:19680333:-1 gene:ORUFI02G21370 transcript:ORUFI02G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSPQKLILPLGILLSSLVLLHAIAVPSIDEQAAALIAWKTTLQTQQPLQSWDSKAWPCNNWRGIRCGTLQGQRVITKITLHGVRLRGSLEALNFSALTTLTSINLSHNRLTGMIPQSIMSLKELRALILHKNQIRGSLFQLGLTSLTKLRFLVLSNNFLSSSIPKEICSLKRLVSLNLSSNQLGGHIPSEIGFLSKLIRLDLSNNNIIGRLDSLFMTNKPGSIPNSLGNLTKLSFLDLSRNQLSGHIPQELGYLVDLKNLSLYDNVLTGFIPNNIGNFTKLSILSLSGNMLTGSIPNSLGNLPRLSTLSVWRNQLSGCISQELGNLVNLENLYLSENMFTGSIPNSLGNLTKLTALHIRSNQLSGSIPKEISSLMNLNILHIDQNNLSGELPLGLCAGGQLQNFTAQDNNLVGPLPTSLLNCKTLVRVRLERNQLEGDISEMGLHPNLVYIDMSSNKLYGQLSHRWGECAKLTTLRASNNRITGVIPSSMGKLSQLGRLDVSSNEIEGHIPPEIGNVVSLFNLSLANNMLQGTIPKEVGSLQNLEYLDLSSNNLSGQIHGSIENCLKLRLLRLGHNRLDGSIPVKLGMLVSLQELLDLSDNSFSGIIPSQLGALSMLEALNLSHNTLNGSIPSSFQGMISLSSLDVSYNNLEGPVPHINFLEEAPIEWFMHNKKLCGTVKALPPCDLNQKGGQGKKFKSILLGIVGAAGMSIVFIMSLVAWQCKRKKYGEQSENGVGDAKVFSVWNFEGGEACRQIFETTKYFNETHCIGTGGNGSVYRAQLPTGEIFAVKKIHMMEYDELIFKREIDALTRIRHRNIVKLFGYCSAVHGKFLVYEYMDRGSLSRYLENHNIAIELDWMRRISIVKDVANALSYIHHDCFAPIVHRDITSNNILLDQEFRACISDFGIAKVLDVEASNCTKLAGTKGYLAPELAYTTRVTEKCDVYSFGVLVFELFMGHHPGDFLLSFSMAKESTTLKDLLDARIPLPKAETASEIFRVIMAAVQCLDPNPSRRPTMQHVTRMFSTAEGPSNPDHLHVDIIIPAYYQ >ORUFI02G21380.1 pep chromosome:OR_W1943:2:19683611:19687043:-1 gene:ORUFI02G21380 transcript:ORUFI02G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERIAASLLPAASPSPAPSPPPPRPRVSAAAAASFPCCSTSAGGLRLRSRPSRFPQKAATTRSGRAGAGARAVVRCMAAAAVAASDAAQLKSAREDIREILKTTYCHPIMVRLGWHDSGTYDKNIEEWPQRGGADGSLRFDAELSHGANAGLINALKLIQPIKDKYPGITYADLFQLASATAIEEAGGPKIPMKYGRVDVTAAEQCPPEGRLPDAGPRVPADHLREVFYRMGLDDKEIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGEPGGQSWTVEWLKFDNSYFKDIKEQRDQDLLVLPTDAALFEDPSFKVYAEKYAEDQEAFFKDYAEAHAKLSDLGAKFDPPEGFSLDDEPAVEEKDPEPAPAPAAAPPPPPVEEKKEAEPTPVPVTVGAAVASSPADDNNGAAPQPEPFVAAKYSYGKKELSDSMKQKIRAEYEGFGGSPDKPLQSNYFLNIMLLIGGLAFLTSLLGS >ORUFI02G21390.1 pep chromosome:OR_W1943:2:19688639:19688968:-1 gene:ORUFI02G21390 transcript:ORUFI02G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHGARAEGRLMAEETGEALGGATGMEAGEACLARGRDARRRREARVPVAEAGMSHGDVAGTAGGEAGRGGGRRGACWCDTMRHGRWRHREAGRRGRRCSGAHTPTEV >ORUFI02G21400.1 pep chromosome:OR_W1943:2:19691123:19693134:1 gene:ORUFI02G21400 transcript:ORUFI02G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSKWAKPNIPLMHHVSKPEHILLHSFNLWECARDGERARLNAEEGGAGSGGMVRRGGWGAAMWGRREVGCGGRGRNNRGLGGAVIFSLTAGRDPEEERDGIAHSSPTD >ORUFI02G21410.1 pep chromosome:OR_W1943:2:19693167:19701763:1 gene:ORUFI02G21410 transcript:ORUFI02G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHSKKNRKKPSHSAGISSTSPGAAETTPPPSSSLCSPLASSSSSPEAPALLLLQQQRAALPGLIDDDDELILAAALIAQHQYDIDNAPRRRGGSVRSSKMKITWGKNAKTNRQPSVAPSKPGLPFGVDSDNDETEKEETRVVTTDCPGTKPSDNAESLKHQGNRLAEEGKYHEALGKWEAALTLMPNNAILHEQKAQILLELGDAWRALTAATRATELDPLWPEVSRHPQGRNTTPGGWVTLGRAQLNFGEPDSAILSFDKALAIEPDNNEAKSDRETAARLVKKRGQLHSSGLSANKRRFTVGEDSEKEKDDSQMEDVEKCKEDEEKERDDSQLENVDSIRTFLLQDSTSPEGESVRRMWRKGNKRFGGGGEPAAKRRAAGDDGPSESADDDIVVAQISKNRRVAVRTWNGKVVVDIREFYEKDGKTLPGRKGIQLPMDQWKILRDNIKAIDEAIKENA >ORUFI02G21410.2 pep chromosome:OR_W1943:2:19693167:19701763:1 gene:ORUFI02G21410 transcript:ORUFI02G21410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHSKKNRKKPSHSAGISSTSPGAAETTPPPSSSLCSPLASSSSSPEAPALLLLQQQRAALPGLIDDDDELILAAALIAQHQYDIDNAPRRRGGSVRSSKMKITWGKNAKTNRQPSVAPSKPGLPFGVDSDNDETEKEETRVVTTDCPGTKPSDNAESLKHQGNRLAEEGKYHEALGKWEAALTLMPNNAILHEQKAQILLELGDAWRALTAATRATELDPLWPEGWVTLGRAQLNFGEPDSAILSFDKALAIEPDNNEAKSDRETAARLVKKRGQLHSSGLSANKRRFTVGEDSEKEKDDSQMEDVEKCKEDEEKERDDSQLENVDSIRTFLLQDSTSPEGESVRRMWRKGNKRFGGGGEPAAKRRAAGDDGPSESADDDIVVAQISKNRRVAVRTWNGKVVVDIREFYEKDGKTLPGRKGIQLPMDQWKILRDNIKAIDEAIKENA >ORUFI02G21410.3 pep chromosome:OR_W1943:2:19693167:19701763:1 gene:ORUFI02G21410 transcript:ORUFI02G21410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHSKKNRKKPLRRNLLHFSRSSGNNPAAVVVSLLAACLLLLLAGSSSPPPPAAAASSASRLDRSKMKITWGKNAKTNRQPSVAPSKPGLPFGVDSDNDETEKEETRVVTTDCPGTKPSDNAESLKHQGNRLAEEGKYHEALGKWEAALTLMPNNAILHEQKAQILLELGDAWRALTAATRATELDPLWPEVSRHPQGRNTTPGGWVTLGRAQLNFGEPDSAILSFDKALAIEPDNNEAKSDRETAARLVKKRGQLHSSGLSANKRRFTVGEDSEKEKDDSQMEDVEKCKEDEEKERDDSQLENVDSIRTFLLQDSTSPEGESVRRMWRKGNKRFGGGGEPAAKRRAAGDDGPSESADDDIVVAQISKNRRVAVRTWNGKVVVDIREFYEKDGKTLPGRKGIQLPMDQWKILRDNIKAIDEAIKENA >ORUFI02G21410.4 pep chromosome:OR_W1943:2:19693167:19701763:1 gene:ORUFI02G21410 transcript:ORUFI02G21410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHSKKNRKKPLRRNLLHFSRSSGNNPAAVVVSLLAACLLLLLAGSSSPPPPAAAASSASRLDRSKMKITWGKNAKTNRQPSVAPSKPGLPFGVDSDNDETEKEETRVVTTDCPGTKPSDNAESLKHQGNRLAEEGKYHEALGKWEAALTLMPNNAILHEQKAQILLELGDAWRALTAATRATELDPLWPEGWVTLGRAQLNFGEPDSAILSFDKALAIEPDNNEAKSDRETAARLVKKRGQLHSSGLSANKRRFTVGEDSEKEKDDSQMEDVEKCKEDEEKERDDSQLENVDSIRTFLLQDSTSPEGESVRRMWRKGNKRFGGGGEPAAKRRAAGDDGPSESADDDIVVAQISKNRRVAVRTWNGKVVVDIREFYEKDGKTLPGRKGIQLPMDQWKILRDNIKAIDEAIKENA >ORUFI02G21420.1 pep chromosome:OR_W1943:2:19711217:19725163:1 gene:ORUFI02G21420 transcript:ORUFI02G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPDGEARGREDHAAVGRLGGEEGAVGGGGLALLAVPEIGGELGDGGKVCGGQERRLPTEEDGVRDNGGGSAAELVESAVNVSTPFEGRGQIGGEKESSMQEGSMNMAGEKHGSYHVESAEPSNLQTCHAPNGGVSNKTLFAPFSEVFSSDNSHMRYLLDKATEGSICEHGDLADSKDDLGGATDVKTNTEDLQMVCTKPHCDSEGLSDLHNDSKRWPQVVDGVGFTIKGNNELKQVDLIPKIEAEVSRSVEDDSIPSFSGGIDDSLRKAGCACETLNDMGMSHMANGDLWCNVLYAPLSEGCQSKDARHIAVMGNKVTQGSQCGQGDLACDGIVLRGGVDVEKSLDDLQMCSKEPQCDNKGFPYLTEFGVQQPSYGMNVICSKTDPNHQLEKDELLTNTRGEFSSSIHEDSVPSISVSSVDFTFDGNAGQIGKTSEHRAIMEKVSHGSQRGGVLSCESRSLKESHADENQSSTLEVKTCEEGLQTGQVEPCHSIVALSDSGKYGTDILPRGGDGLRSMTGANHELVKDDFHPKSDVVVSCPVDEASIPSNYNSPIDVLLYKEDGLVGEISENRIGVEKLAHDLLGEVMLSFDSRPQTEASGDENQHFWMDVPKGSTASVCEVENTGTRRSCDPCAEIEFPLQQSREKHVISESPPERDLTSSSHNLPCENEPCYSGRETPAFCLGHQDSAGIGLESSDCLVQELNTCTSTDDKACSVDFVENGNGSHNQKEVPVIFFRRRNPVRAASSRNSNFEKCDQINKSGNSTRKSKKVDSVSSLLKSTMIKFPNKTTKGRSGINRPLNSSAWGSLQKLMDGFNQNCGPSTSRSHQTCLGKEISNRGSSEKKQLSIRKIRTSRCSKYKNTSLSDIGYLAGELNGQPTCSVRIDTNVSSDALFNSPNGAHKAAQCVEGNHTLKLTSSLTDTQQFGLENVTQETCPGYIHGECGTSTSERSLNNIVGFSPDSVLDIASVTCESNTSATLDVIVHENPSCPGGLIGGGLRASALSTSHCENHHASSLMDLEQQVKTVRENDMGEEDVIPSHAMMYNDIGEGKQTLAKSNTMRKGRNVGKQECRKKDGKKGKNINKNRSSTKISSSEASKLVSFSNDSPSLDPSELLLHTRPPKFGSCSKVVTSAIHDVGMHGYDNMRPFGIDNDDEGSAFDNVKSLRRKKKDSHGGKKGKVRDPHGKGRSKKKNIADNTYGLPAQLTDLSEPRMNKQSDLIPAAELVFKNSSAVSVELPAVVACKTDGASVPPAPAWVCCDDCEKWRCIPTELADKISKENLRWTCKENEDKTFANCSIPQEKTDDEINAELGLSDASADEANGDGSNSKASGEPNFALLRSNLFLHRNRRTQSIDESMVCNCKPPHDDRMGCRDGCLNRILNIECTKRTCPCGEHCSNQQFQRRTYAKLGKFHTGKKGYGLQLKEDVSEGRFLIEYVGEVLDITAYESRQRYYASKGQKHFYFMALNGGEVIDACTKGNLGRFINHSCSPNCRTEKWMVNGEVCIGIFAMRNIKKGEELTFDYNYVRVSGAAPQKCFCGTAKCRGYIGGDISGADMITQDDAEAGTFEPMAVQEDAEEVLGANGLSSHGTHLDIVDHEASTKTEDSNDCPSVNPPELESEQQTSGTLFDTSEPENSLEALSPQDDEDVVRTPVHVSRTVESTSRQFPEYGTRSSEILQRAPCTLDGPKVPSTTNGIPPSSDLGSHWVPGFHANKKTNVKHHLILNPSSAPIDSEHILGGRNKWILEASPCDCSGSVRDLSLILDALLKTRSNSVLLDIINKNGLQMLHNILKQNKSDFHRIPIIRKLVKVLEFLASKGILTSEHINGGPRCAGTESFRESMLGLLRHNDMQVQQIARNFRDRWIQWAPRNISRNEPTEYSRASISAHDIHVISTAGGSFPTSANTMDWKSIRRKRKSRWDYQPDDHYKMGGLKIQKVCPVQSEFRTGSVGNKLHGNWGTNSSHNDVPVVGSSADGADDEAPPGFESQQESRPGQACLESGKLKEGHVAKTGKSHLVCLSSPFHHCRPIQEGVLVLPLRCLSMNTTVWDIVAGLQIETGEYIGIGEMGREQNFHTIIKDGDFLTIIKDFESCLLPPTSQEQGDPGPRGRE >ORUFI02G21430.1 pep chromosome:OR_W1943:2:19728772:19734038:1 gene:ORUFI02G21430 transcript:ORUFI02G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTGMEMEVEVAGDDEAVPEAPERSVVLISAGASHSVALLSGGVVCSWGRGEDGQLGHGDAEDRPVPTVLTAAFDDAPGGVASVVICGADHTTAYSDEELQLYSWGWGDFGRLGHGNSSDVFNPQPIQALQGVRITQIACGDSHCLAVTVAGHVHSWGRNQNGQLGLGNTEDSLLPQKIQAFEGVRVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRDDRLIPEKVSSVNGQKMVLVACGWRHTITVSSSGSIYTYGWSKYGQLGHGDFEDHLVPHKLEALKDTTISQISGGWRHTMALAADGKLYGWGWNKFGQVGVGDNEDHCSPVQVNFPNEQKVVQVACGWRHTLALTEAKNVFSWGRGTSGQLGHGEIVDRNIPKMIDALSSDGSACKQLESSKAVPMSAKVWVSPSERYAIVPDEKAGKGIPAGNGTETHVPQGDVKRMRV >ORUFI02G21440.1 pep chromosome:OR_W1943:2:19734548:19735192:-1 gene:ORUFI02G21440 transcript:ORUFI02G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAAASRAVVDTSRPFQSVREAVEVFGERCLSSTSRASSESAGGGGGRPSPPAVLGCLRKLEAELAEAKGELERLRQRQSHMETAVSSVAAQLSTGLAILSAGAARGKGKELAVVDIDVDLGGGGGRVRSDRWDESRAEEWMAASLEYLPSLSEALAIKMVDDDRHLGERRQGNARKKNTKNAMNSKKKQQQKKNGVSFVGRIFSSRKDKSR >ORUFI02G21450.1 pep chromosome:OR_W1943:2:19736734:19747782:-1 gene:ORUFI02G21450 transcript:ORUFI02G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFKWAEPIRPIQLPPSIPLGWLAYTATHGNGRRTKRTTTSRLVVLRTRPIDERSPPHRTRRRRRRLLLLLLLLLPPFHRRASSPPRSAAAAAAARRLVSDSQGHSSMGGANDSSPSSKLHTRLRLWEFPDRYVFEPIDGLADLYLSANHSDGSMNLVEELPPRDSSTKPKCQTVYGVIGVLKLSVGSYFLVITGRDCVGSYLGHAIFKVTGLKVLPCSNSRSTSGNQSKMETEFSELLHAAEKTIGLYFSYDINLTLTGYIILVMSSNHFRFGDRQNQDFYGTNIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMESKGFTASYVQVRGSIPFLWVQIVDLTYKPSFDIVRQEEAPRILERHFHDLQKKYGAVLAVDLVNTHGGEGRLHDRYAKSIEPILSEDIRYVHFDFHRICGHIHFERLSQLYDQIEDYLKKHRYFLLNGKGEKIEEQTGTIRTNCVDCLDRTNVTQSMIGGKILENQLQRIGVLGVNDTISNHPAFDAKYKVLWANHGDSISTQYSGTPALKGDFVRYGKRSTQGILNDLWNSLARYYLNNFADGTKQDAMDLLQGHYIISVSRDMAGPSKAGLLENYASFRLAFALVMGALMFMMMSLRQARNDVRHLVLSLLWAGLCIGITHFVRANGRVFTNRPRFYQSRH >ORUFI02G21450.2 pep chromosome:OR_W1943:2:19736736:19747782:-1 gene:ORUFI02G21450 transcript:ORUFI02G21450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFKWAEPIRPIQLPPSIPLGWLAYTATHGNGRRTKRTTTSRLVVLRTRPIDERSPPHRTRRRRRRLLLLLLLLLPPFHRRASSPPRSAAAAAAARRLVSDSQGHSSMGGANDSSPSSKLHTRLRLWEFPDRYVFEPIDGLADLYLSANHSDGSMNLVEELPPRDSSTKPKCQTVYGVIGVLKLSVGSYFLVITGRDCVGSYLGHAIFKVTGLKVLPCSNSRSTSGNQSKMETEFSELLHAAEKTIGLYFSYDINLTLTGYIILVMSSNHFRFGDSWTSTCCQSFKANIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMESKGFTASYVQVRGSIPFLWVQIVDLTYKPSFDIVRQEEAPRILERHFHDLQKKYGAVLAVDLVNTHGGEGRLHDRYAKSIEPILSEDIRYVHFDFHRICGHIHFERLSQLYDQIEDYLKKHRYFLLNGKGEKIEEQTGTIRTNCVDCLDRTNVTQSMIGGKILENQLQRIGVLGVNDTISNHPAFDAKYKVLWANHGDSISTQYSGTPALKGDFVRYGKRSTQGILNDLWNSLARYYLNNFADGTKQDAMDLLQGHYIISVSRDMAGPSKAGLLENYASFRLAFALVMGALMFMMMSLRQARNDVRHLVLSLLWAGLCIGITHFVRANGRVFTNRPRFYQSRH >ORUFI02G21450.3 pep chromosome:OR_W1943:2:19736736:19747782:-1 gene:ORUFI02G21450 transcript:ORUFI02G21450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFKWAEPIRPIQLPPSIPLGWLAYTATHGNGRRTKRTTTSRLVVLRTRPIDERSPPHRTRRRRRRLLLLLLLLLPPFHRRASSPPRSAAAAAAARRLVSDSQGHSSMGGANDSSPSSKLHTRLRLWEFPDRYVFEPIDGLADLYLSANHSDGSMNLVEELPPRDSSTKPKCQTVYGVIGVLKLSVGSYFLVITGRDCVGSYLGHAIFKVTGLKVLPCSNSRSTSGNQSKMETEFSELLHAAEKTIGLYFSYDINLTLTGYIILVMSSNHFRFGDRQNQDFYGTNIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMESKGFTASYVQVRGSIPFLWVQIVDLTYKPSFDIVRQEEAPRILERHFHDLQKKYGAVLAVDLVNTHGGEGRLHDRYAKSIEPILSEDIRYVHFDFHRICGHIHFERLSQLYDQIEDYLKKHRYFLLNGKGEKIEEQTGTIRTNCVDCLDRTNVTQSMIGGKILENQLQRIGVLGVNDTISNHPAFDAKYKVCELFMFTNPGSSCLYSSCLFVSPMLLLDAKYSCSVWANHGDSISTQYSGTPALKGDFVRYGKRSTQGILNDLWNSLARYYLNNFADGTKQDAMDLLQGHYIISVSRDMAGPSKAGLLENYASFRLAFALVMGALMFMMMSLRQARNDVRHLVLSLLWAGLCIGITHFVRANGRVFTNRPRFYQSRH >ORUFI02G21450.4 pep chromosome:OR_W1943:2:19736736:19747782:-1 gene:ORUFI02G21450 transcript:ORUFI02G21450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFKWAEPIRPIQLPPSIPLGWLAYTATHGNGRRTKRTTTSRLVVLRTRPIDERSPPHRTRRRRRRLLLLLLLLLPPFHRRASSPPRSAAAAAAARRLVSDSQGHSSMGGANDSSPSSKLHTRLRLWEFPDRYVFEPIDGLADLYLSANHSDGSMNLVEELPPRDSSTKPKCQTVYGVIGVLKLSVGSYFLVITGRDCVGSYLGHAIFKVTGLKVLPCSNSRSTSGNQSKMETEFSELLHAAEKTIGLYFSYDINLTLTGYIILVMSSNHFRFGDSWTSTCCQSFKANIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMESKGFTASYVQVRGSIPFLWVQIVDLTYKPSFDIVRQEEAPRILERHFHDLQKKYGAVLAVDLVNTHGGEGRLHDRYAKSIEPILSEDIRYVHFDFHRICGHIHFERLSQLYDQIEDYLKKHRYFLLNGKGEKIEEQTGTIRTNCVDCLDRTNVTQSMIGGKILENQLQRIGVLGVNDTISNHPAFDAKYKVCELFMFTNPGSSCLYSSCLFVSPMLLLDAKYSCSVWANHGDSISTQYSGTPALKGDFVRYGKRSTQGILNDLWNSLARYYLNNFADGTKQDAMDLLQGHYIISVSRDMAGPSKAGLLENYASFRLAFALVMGALMFMMMSLRQARNDVRHLVLSLLWAGLCIGITHFVRANGRVFTNRPRFYQSRH >ORUFI02G21460.1 pep chromosome:OR_W1943:2:19759589:19759870:-1 gene:ORUFI02G21460 transcript:ORUFI02G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVVTMMRLSIGVRPWPLYVCPVAVKTMKRCSLLPISVLPRSGEDDETGAARSSKQQVGREEKDGAGEDEEDTINSKSTRQRLVAPMIPLIS >ORUFI02G21470.1 pep chromosome:OR_W1943:2:19766450:19767163:1 gene:ORUFI02G21470 transcript:ORUFI02G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDDDFTFPAPAATATATAVAAAATSSLAPSSQGGLLWPFSSTMATADDDDDDDAASGGQAVAATAPVAAARRVDEEEEEERMDQLWERDRDARAGDEERMDLLWEDFNDELLLQLRRRQQQRAAAGTPPSPSPAAAADDDDDEETPSSSPPGGGGGLYGCAPTMLRASSRAGAVGQFYGGRPGGGGGGGGSRATTGWELLLRLFRKLFAVDKSSPSPAPPCRHHHRRHGSIYVP >ORUFI02G21480.1 pep chromosome:OR_W1943:2:19768541:19773042:-1 gene:ORUFI02G21480 transcript:ORUFI02G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRAPATPPPPPWPSKPSAASRSALRRLDLDDGRRQGTEGEENHAPLLCSPAMASSTAFAAAFVLLLLASSAAAEGEAVLTLDAGNFTEVVGAHDFIVVEFYAPWCGHCNQLAPEYEAAAAALRSHDPPVVLAKVDASADLNRGLAGEHGVQGYPTIRILRDRGARSHDYAGPRDAAGIVAYLKRQAGPASVEIAASASPPAADSIANDGVVVVGVFPELSGGEFESFMAVAEKMRADYDFRHTTDAGVLPRGDRTVRGPLVRLFKPFDELFVDSQDFDRDALEKFIESSGFPTVVTFDTSPANQKYLLKYFDNAGTKAMLFLSFSDDRAEEFRTQFHEAANQYSANNISFLIGDVTASQGAFQYFGLKESEVPLVFILASKSKYIKPTVEPDQILPYLKEFTPVKTVVADNLREVVFNSGKNVLLEFYAPWCGHCQKLAPILEEVAVSLKDDEDVVIAKMDGTANDVPSDFAVEGYPSMYFYSSGGNLLPYDGRTAEEIIDFITKNKGSRPGEATTTESVKDELGSGELQVRRCSAADSLRT >ORUFI02G21490.1 pep chromosome:OR_W1943:2:19774487:19777703:-1 gene:ORUFI02G21490 transcript:ORUFI02G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVIGPPGSGKTTYCNGMSQFLSLVGRKVAVINLDPANDALPYECAINIEDLIKLSDVMSGHSLGPNGGLVYCMDYLEKNIDWLEEKLKPLIEDHYLLFDFPGQVELFFLHSNARSIIYKLIKKLNLRLTAVHLIDAHLCCDPGKYVSALLLSLSTMLHMELPHINVLSKIDLIENYGNLAFNLNFYTDVEDLSYLQRHLDQDPRSAKYRKLTKELCDVIDDFGLVNFTTLDIQDKESVGNLVKLIDKSNGYIFSSIDSSVVEFTEVQEKYMKDDEFVQKTSKTR >ORUFI02G21500.1 pep chromosome:OR_W1943:2:19777986:19779776:1 gene:ORUFI02G21500 transcript:ORUFI02G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLTPPWPAAAAAAPASAAAASAVTVEAVLALLERAISAGDVRRLGAAVHALLVKTALTHHTLLSNRLVALYALLPTPAASLAAFDDLPHKNAHSYNSLLAALARGRGTLPDALRLLDGMPPASRNVVSYNTVISSLARHGRESEALRVFAQLARDRGLGQQQVAIDRFTVVSAASACAGLRDARHLRELHGAVVVSGMEVTVIMANAMVDAYSKAGRVEDARGVFDQMTIRDSVSWTSMIAGYCRASMLDDAVQVFDMMPAQDAIAWTALISGHEQNGEEEIALELFERMTGEGVVPTPFALVSCLGACAKVGLVARGKEVHGFILRRSIGSDPFNVFIHNALIDMYSKCGDMVAAMAVFDRMLERDIISWNSMVTGFSHNGQGKQSLAVFERMLKDEVQPTYVTFLAVLTACSHAGLVSDGRRILESMQDHGVEPRAEHYAAFIDALGRNRQLEEASEFIKGLSSKIGLGTTGSWGALLGACHVHGNIEIAEEVAEALFQLEPENSGRYVMLSNIYSAAGQWDDARQVRALMKGKGLRKDQAYSWIEVQRAKHMFVADDTSHHEANEIYEMLDKLFHHMFIIGGNVEELIVG >ORUFI02G21510.1 pep chromosome:OR_W1943:2:19783927:19785242:1 gene:ORUFI02G21510 transcript:ORUFI02G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATNLPPGFHFFPSDEELVVHFLRRKVSLLPCHPDIIPTLLPHRYNPWELNGKALQAGNQWYFFCHLTQSRTSSNGHWSPIGVDETVRSGGRNVGLKKTLLFSIGEPSEGIRTNWIMHEYHLLDGDCVAGGSSNLTSSSSNRRSHRKRGHSSMESNNWVLCRVFESSCGSQVSFHGEGTELSCLDEVFLSLDDYDEVSLPNK >ORUFI02G21520.1 pep chromosome:OR_W1943:2:19797146:19805880:1 gene:ORUFI02G21520 transcript:ORUFI02G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYFDPEYENFSQRINPPRVCIDNSTCSDCTLVKVDSMNKNGILLEVVQVLSDLDLAISKAYITSDGGWFMDVFHVVDKQGQKVTDEKTIKHIEKALGPDSNLLGGAKGGSSPVRSVGMHSIGDHTAIELKGPDRTGLLSEVFAVLAELGCNVLAAEVWTHRARVACVVYVNDVASGQAVGDPCRLSRIEHRLRLVLRGHAGGDDGDGDDGPAHANFFSSGGAGSNTHVDRRLHQLMHADVDADDDDGLDSRAIVSGEAGNAAAAEERPVVTVEHCEEKDYSVVNVKCRDRSKLLFDIVCTLTDMHYVVSHASVSSDGIYGIQELYIRRKDGRTLQKDEAGRVIKCLEAAISRRVSEGFTLELCGRDRVGLLSDVTRVLREHGLTVTRADVTTVGGQAINVFYVRDASGEPVDMKTIEGLRVQVGHTVMLNVKKVPSSPSSSSSSSAAAAAANGKSPGQPASGALSRTSFFSFGNLFAKLRA >ORUFI02G21530.1 pep chromosome:OR_W1943:2:19805977:19807969:-1 gene:ORUFI02G21530 transcript:ORUFI02G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETEFYDVLGVCPAASDDEIRKAYYIKARQVHPDKNPNDPQAAEKFQALGEAYQVLSDPLQRKAYDGYGKTSISKENMLDGTVVFTLLFGSELFEDYIGHLAMATMASSEMASDNDNPEKLQDRLKGVQREREEKLARFLKEFLSQYVRGDQEGFASRAESEAKRLSSTSSGLDILRTIGYVYSRQAAKELGKKAMYLGVPFLAEWVRNKGHLWRSQITAAKEDKKNNAGALQLLQLQEEACRQSGKDGLATERDVDLQMRMNKDLMMSSLWKLNIVDIEVTLLHVCEMVLHENNVKKEDLKARAMALKILGKIFQRDKEALPGPSKPTILDDDSSSDESSDDDVARTVPYRTPAVTQAITKLSSFYVA >ORUFI02G21540.1 pep chromosome:OR_W1943:2:19813379:19819357:-1 gene:ORUFI02G21540 transcript:ORUFI02G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGWLRRKWRRRRDKPAGDAAAASTTATSPRDSVDLGGTSAYPSACASPSSTTPTPTRWGAGASAVPPRCPGPQDQHHGLPLPRPVSKSAPMPLASPAAAPPSPSPPACASAAESVSGGSSSDDEADHRNYRYTDPVVHTSGRTVLPDGHNGMVEEKRFVSCGILQEHQKFFEVPIANVNEVHHMQIFEPSTSESSYSRGRMLPEDTFAVRPRSHSPGPRGHAYSACCARDFGFTPRSPVKRMDDPRSPSQPLPLPPVPVASSSIPSSSITSSQFQSQWKRGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVFLDDSHSKERLRQLNQEIDMLKQLSHQNIVQYYGSELADEALSIYLEYVSGGSIHKLLREYGPFKEPVIRNYTRQILSGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHVTSFAEIRSFRGSPYWMAPEVVMNNKGYNLAVDIWSLGCTIIEMATAKHPWYPYEDVAAIFKIANSKDIPEIPDCFSKEGKDFLSLCLKRDPVQRPSAASLLGHPFVHDHQAVRAPTCNGTQLRNGISSPAASHRKRILVKEKHCSSAWYRRTKRKGIRWIFHSLSFSSQYLQQPNCSESKHVFTGVAMLKPAAAVQAIKLELPPFTNASGALPWIISSSLPKQSLAEPVEAKRRSSRSLAGVEPAETSKPIWLSKEILGLLTCRD >ORUFI02G21550.1 pep chromosome:OR_W1943:2:19825937:19829100:-1 gene:ORUFI02G21550 transcript:ORUFI02G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTNRFINSLSKRGYIGSYHYEKDAKYRPFSALLPEGSNPKMLYVKLVLIILMCGSFVSLLNSPSIHHNDDHHTESSAGVPRVSYEPDDTRYVSDVTVDWPKISKAMQLVAGAEHGGGARVALLNFDDGEVQQWRTALPQTAAAVARLERAGSNVTWEHLYPEWIDEEELYHAPTCPDLPEPAVDADGDGEEGAVFDVVAVKLPCRRGGGWSKDVARLHLQLAAARLAATRGRGGAAAHVLVVSASRCFPIPNLFRCRDEVAPRDGDVWLYRPDADALRRDLALPVGSCRLAMPFSALAAPHVAAASAAPPRREAYATILHSEELYACGALVAAQSIRMASASGAPSEPERDMVALVDETISARHRGALEAAGWKVRAIRRVRNPRAAADAYNEWNYSKFWLWSLTEYDRVVFLDADLLVQRPMSPLFAMPEVSATANHGTLFNSGVMVVEPCGCTLRLLMDHIADIDSYNGGDQGYLNEVFSWWHRLPSHANFMKHFWEGDSGERLAAARRAVLAAEPAVALAVHFVGMKPWFCFRDYDCNWNSPQLRQFASDEAHARWWRAHDAMPAALQGFCLLDERQKALLRWDAAEARAANFSDGHWRVPIADPRRNICATAAGDGEAAAACVEREIENRRVEGNRVTTSYAKLIDNF >ORUFI02G21560.1 pep chromosome:OR_W1943:2:19838817:19845858:1 gene:ORUFI02G21560 transcript:ORUFI02G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAMTRLIRSSSPVVSPSRLSAANLLKNNNGKAFLSEDASKRVEEPFKVEEAETVKVPPPSPDKLLVLGGNGFVGSHVCKEALDKGFTVASLNRSGKPSISESWADKVIWNKGNLLEPDSLKDIMEGVSAVVSCVGGFGSNSYMYKINGTANINAISVAAEKGIKRFVYVSAADFGFVNYLLQGYYEGKRATEAELLSKFTYGGVILRPGFIHGTRRVGSVKIPLGLVGSPMQMVLQSAKPLTRLPLVGPLLTPPVSVASVAKVAVRAATDPVFPPGIVDVYGIMRYSDQK >ORUFI02G21570.1 pep chromosome:OR_W1943:2:19847064:19849766:-1 gene:ORUFI02G21570 transcript:ORUFI02G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLCVFYHRLLDYRRPEVQSLAELFGGPGAGDAVEWRMPENHHVDSPFHLVRLPGDERLAAQIANRSLLVKGIYELWGQGTTYEELERVVMAYPEERKLPYLTPESTFKIVVDSFGKGRVNLKKPDHKFFVMETDDYGCNNGLPPVAQRTVFFGREVGAADRHLLPTYQLKSRKYIGPTAMDAEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNIWSNFEQYKLPEPLCILRADNNVPPWRPGLKEVFDAIICDPPYGVRAGGRKSGGRKLIKGTVAPYTVPDEKRDSHIPSTAPYSLAECVHDLLHLAARMLVMGGRLVFFFPVVREDGVANPAKYPEHPCFRLLASCEQILSMRYSRVLLTMVKVGPYTGEVEKAAEERRREFRENHHKWMEEGNLHSAVFSPADQAAAAADGKPAIDRDSKPKYRGKYV >ORUFI02G21580.1 pep chromosome:OR_W1943:2:19851361:19857546:-1 gene:ORUFI02G21580 transcript:ORUFI02G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANEVVAAAAVVEEPAPASAGAVDPMRLASRWRSPAEWGAAAAEMEAEPAPSELNTTNSSGLFAVVSTDRMSVRYLGVNQHGHDVGVVQANRPAPTRRAVYYFEMGVKNAGQKGQTSIGFTTENFKMRRQPGWESNSCGYHGDDGYLYRGPGKSESFGPKFTSGDTIGAGINYFSQEFFFTVTVNFGKEPFCFDIEGYIFEEKMKQQSVSDKLDLQPDISHWIVRSYLLHYGYQDTLNSFDMASETDPPSNHQNGYGEPPEMYGLSHRKLLRQLIMSGDIDSAFKKLGEWYPQDETSVICFLLHSQRFIEFIGAGQLEDAVKYARSNLANFLTHKAFDGLLKESVALLAYEKPAESCIGYLLDSPQREFVADAVNAAVLSTNPNMKDPESCLYSCLEKLLRQLTVCSFERCAFSGDQGDAFLLHKEVQSCDRSRCS >ORUFI02G21590.1 pep chromosome:OR_W1943:2:19861583:19867303:1 gene:ORUFI02G21590 transcript:ORUFI02G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPEAVALEIPAAAEAEEEVEEEEGSPSPPVVARVPPRIRRRLLLRHRGGAPATAEEIEAKLRDADLRRQQFHEALSCKARCTVRYPSCPSQEEDPKKRLEAKLVAAEQKRLSLLAKEQSRLAKLDELRQAAKNDAELRFKKEREELGMKVESRVRQAEEKRTQLMHARSQRRAALEERTTKYLVQRVAWENKYRERVHSAIMQKRTAAEKRRTGLLEGEKRRAQGRFSQVQLAARTLSCQREADRSKLKEQLEDKLQRAKRQRAEYLKQRGSTHSFTYTASVKHGDFLSRKLARCWRRFITSRKTTVVLARAFDMLRINEESVKPMPFEKLALCIESPTVLQTTRAFLDRLESRFTLSQSSSPSSPENIDHLLKHLGSPKRTLSKSGGRTRVTPTKAARNSDVSKLPRYSPRIVLCAYMILGHPSVVFNERGEREKLLVESAENFVKEFELLIKTILDGSSGACILKQPILDDLSPGSSNYQESSAVVADRKKFRSQLASFDKAWCAYLYHFVVWKAKDAKSLEEDLVRAACKLELSMMQTCKFTAEGQPENLNDNLKAIQKEVMVDQTLLREKVRHLGGEAGIERMEVALSEARTKFFEAKGNRSPLATTIKNVAATCSSGESPISDMKENSNINDKRPSQAVQSMFRVPSSPSESNTAGITMSNPMTVSSTLSEKRPTENEQMVNEILHGFLADSSSNIGTVEGGFKEKVRETMEKAFWDVVVDSLRGDMPDYSYLVQLVKEVRDTLYEMVPKGWKEEIINNIDLEILLQVLESGTQDMQYLGQILQYSLGMLRKLSSPAKEDEMKRSHDKLLGELTEHSECNNSGSNSFVIAVIKGLRFTMEELKALKTEVSRARIQLLEPIIKGSGGVEYLQKAFADRYGFPSNASVALRSTAQWISTSKDTVEVEWNEHAQPFVATLRSGHGVPDQRQSTIPVSDDTGLPECTGQRLDQLIRIGLLQLISGIEGVQMQSVTETFKLNWLRLSMLVQRQVLATDDPNITPTELESATSQLFNTLAELLDNFPDVSTAKIMEVMLRSSSSSSSGSTTGSPSDERTESRKQILARVFLKSLQTDDPVFKKVSRSVYCAFRAITLGGSGARGRKLADAALRRIGATKLTDRVVRSAEILIRAASISQQVHGPWYNHLV >ORUFI02G21600.1 pep chromosome:OR_W1943:2:19867410:19868551:-1 gene:ORUFI02G21600 transcript:ORUFI02G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPAQSMLSASTTSCCAFLRSSAAAKPQAAASAAASLARGGRLFLLSCNASSSSSSPSPSSPPPPAPAAEDCNEEECAPEKEVGSLSAEWLAEERTKVVGTFPPKKKGWTGYVEKDTAGQTNIYSVEPTVYVAESAISSGTAGAAADGSENTAAIAGGLALVFVAGVSSILIQVGKNQPPPQATVYSGPPLSYYVAKFQPSLAAVALQQQPAVDAPATEDASSPAPASPAAAAAEDQLSS >ORUFI02G21610.1 pep chromosome:OR_W1943:2:19871654:19875800:-1 gene:ORUFI02G21610 transcript:ORUFI02G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRAREVSPWWAAARGLLSGFGGGGWIGGPMPLFKRKPFSLLEPPKDLDSKEKISGKSNLTFEEALVSEHHAVSKAQKLPTELMAPVLRMIQYSTLGLYELVEKIYASLQEAVFEGLELYAKQDGLEAACRILKILGSDGTKMYEVGWLLRDKTIISTSVIKGEDLIHRRPPVSRNTLKIFIRDATSQNAPWVIHENLAKRYGIPIEPPNDMMFGEGLQKKGRKRREDGPMGDPKKKMKNDEEHINVPIKYPIDDLLVQPSADDHALLKRPPLATDFRVPKYSVGDLLMVWDFCLSFGRVLNLSPFSLVDLENAICHKESNALLVEIHTAIFHLLIKDEGDYFTILRTKKRKLKVTLVTWAEYLCDFLEMTKTEELTRNIATVRKGYYSLIDTDIKLKILRELVEEAITTSPVREKLSERVDQRQALAATKRESTRKAKDEQNSSIDGLQDDNESVDEQGKGKEEKDKNNISRSKTEGKRHGVQHLETEIEKLSIRSSPLGKDRHYNRYWFFRREGRLFVESADSKEWGYYSTKEELDVLMSSLNVKGLRERALKRQLDKLYSKISNALEKRSKEITHKLLLEEAVLRRSTRVRAQPRDNPSMSFLKYVNKWKDN >ORUFI02G21620.1 pep chromosome:OR_W1943:2:19881096:19882121:1 gene:ORUFI02G21620 transcript:ORUFI02G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEPDHTKPSTSAAAAGHRKHLAMLERLSKRAAAPAPSQDSPVAAFLSRFAAAKLAAESALSACRSSPDDAQPSLSAAAAAIDDLDRLVAEASHSLPPYELRSALAAASDLRAAHRLAASDLRPKKSFSFRNKSKAPKNPPQDPPPTLPPPPDQPNPSVEAILPGLGFRGRRDATLVKDLRVSDEKDGDFTLADLVSCQVYLKGKCRALHVHKLKDCRVFVGAVFGSVLIEDVERCAFVMAAHQIRIHEATATDFYLRVRSRPIIEDCCGVRFAPHALKYEGIGEDLRDAGLEEETGNWANVDDFKWLRAVQSPNWCLVPEEERLQTIDISDVQEREYDN >ORUFI02G21630.1 pep chromosome:OR_W1943:2:19889733:19895873:1 gene:ORUFI02G21630 transcript:ORUFI02G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEYDDDWELPSADITVVLCGKLGCGKSATGNSIVGREAFVSEYSHASVTSTCQLASTALKDGRTLNVIDTPGLFEMTITSEDAGKEIVKCMSMAKDGIHAVLMVFSATSRFTREDSSTIETIKEFFGEKIVDHMILVFTYGDLVGENKLKSMLNNAPEYLQKTVELCKNRVVLFDNMTKDRWLQEKQLENLLDVVDSVNTNNGGKPFSDQMLACIKEAHAREQEVHDAIGYTEEQISELKKEIQRTRDEQLANITNMVEEKLNITVDKLQQQLMEEQNARLEAERLAAEARLRSDEEIRKLKKRLEKAQQENEEFRKMASQHKCSIL >ORUFI02G21640.1 pep chromosome:OR_W1943:2:19905165:19911339:1 gene:ORUFI02G21640 transcript:ORUFI02G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVVAAAAAAGGGTGSSCDALYRELWHACAGPLVTVPRQGELVYYFPQGHMEQLEASTDQQLDQHLPLFNLPSKILCKVVNVELRAETDSDEVYAQIMLQPEADQNELTSPKPEPHEPEKCNVHSFCKTLTASDTSTHGGFSVLRRHAEECLPPLDMTQNPPWQELVARDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRLMRQLNNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSQSEFVVSANKYLEAKNSKISVGMRFKMRFEGDEAPERRFSGTIIGVGSMSTSPWANSDWRSLKVQWDEPSVVPRPDRVSPWELEPLAVSNSQPSPQPPARNKRARPPASNSIAPELPPVFGLWKSSAESTQGFSFSGLQRTQELYPSSPNPIFSTSLNVGFSTKNEPSALSNKHFYWPMRETRANSYSASISKVPSEKKQEPSSAGCRLFGIEISSAVEATSPLAAVSGVGQDQPAASVDAESDQLSQPSHANKSDAPAASSEPSPHETQSRQVRSCTKVIMQGMAVGRAVDLTRLHGYDDLRCKLEEMFDIQGELSASLKKWKVVYTDDEDDMMLVGDDPWPEFCSMVKRIYIYTYEEAKQLTPKSKLPIIGDAIKPNPNKQSPESDMPHSDLDSTAPVTDKDC >ORUFI02G21650.1 pep chromosome:OR_W1943:2:19911956:19914193:-1 gene:ORUFI02G21650 transcript:ORUFI02G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTSPDPPDYCSAASPELKLYQAFIFSVPVFFTFVLLLFFYLFYLRRRRANWQSLRMRTNNLIRGDNPRLECGIKKEMREMLPVVVFKESFLIRETQCSVCLADYQPDERLQRIPPCGHTFHIDCIDHWLSTNTTCPLCRVSLLPSPKTASIDPVDLEAQTVEENSSLDVQYQEGRIDENTRQEDQTLQQGSEGPTHQAEENEETSVRVTTEPQVEAEGSPSTTCRPCKTKK >ORUFI02G21660.1 pep chromosome:OR_W1943:2:19917800:19923024:1 gene:ORUFI02G21660 transcript:ORUFI02G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAADPAAAADAAVTRKERRRERKKERRRRARREAAEAARKAAEALAADPEEERRLRELEEAEADASERARRAFEDAERRWLEAAAARAAEKAAAAREESTAPEDSSREYKDDHGNGTEEDDEWEYVEDGPAEIIWEGNEITVKKKMVKVPKKAKENQPIQQEDRPTSNPLPPQSVAFASQRMEPSLSAQEVLEKDKAHCPFHLKTGACRFGVRCSRVHFYPDKSCTLLMRNMYSGPGLALEQDEGLECTDEEIEQSYEEFYEDVHTEFLKFGELVNFKVCRNGSLHLRGNVYVHYKSLDSALIAYSSMNGRYFAGKQITCEFVAVTRWKVAICGEYMRSRFKTCSRGIACNFIHCFRNPGGDYEWADWDNPPPRYWIRKMAALFGPSDDSIYGKPSDTPHLERSQSSDRRRPRSSDPRYTPSRTRDEDAHKQHSSRDYSHSKHERSSHTEHRRDRKESSASDKHRHREIKDKTSKYSSNMESERESHKYMREEKHRIDHGNGGKGDHGKVRSRKNRSERQESLEPGSSGRSSDFIDQDTTESPSGSKSTGRHHKKTRRQSLEEHSTRRSSRHRDMEDDGRGQSVAVKRKDHHDTSDDRWVATNSDVDSDLETQYQRSSSEGSKLGMKYHARSDSETGYGRSRSGTTKSRRERKRQSGNGERSDTLEVTSDSDTRDMSSDAWRSRSRSSDENLSTHRSRRKRSRSSHDS >ORUFI02G21660.2 pep chromosome:OR_W1943:2:19917800:19923024:1 gene:ORUFI02G21660 transcript:ORUFI02G21660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAADPAAAADAAVTRKERRRERKKERRRRARREAAEAARKAAEALAADPEEERRLRELEEAEADASERARRAFEDAERRWLEAAAARAAEKAAAAREESTAPEDSSREYKDDHGNGTEEDDEWEYVEDGPAEIIWEGNEITVKKKMVKVPKKAKENQPIQQDKAHCPFHLKTGACRFGVRCSRVHFYPDKSCTLLMRNMYSGPGLALEQDEGLECTDEEIEQSYEEFYEDVHTEFLKFGELVNFKVCRNGSLHLRGNVYVHYKSLDSALIAYSSMNGRYFAGKQITCEFVAVTRWKVAICGEYMRSRFKTCSRGIACNFIHCFRNPGGDYEWADWDNPPPRYWIRKMAALFGPSDDSIYGKPSDTPHLERSQSSDRRRPRSSDPRYTPSRTRDEDAHKQHSSRDYSHSKHERSSHTEHRRDRKESSASDKHRHREIKDKTSKYSSNMESERESHKYMREEKHRIDHGNGGKGDHGKVRSRKNRSERQESLEPGSSGRSSDFIDQDTTESPSGSKSTGRHHKKTRRQSLEEHSTRRSSRHRDMEDDGRGQSVAVKRKDHHDTSDDRWVATNSDVDSDLETQYQRSSSEGSKLGMKYHARSDSETGYGRSRSGTTKSRRERKRQSGNGERSDTLEVTSDSDTRDMSSDAWRSRSRSSDENLSTHRSRRKRSRSSHDS >ORUFI02G21670.1 pep chromosome:OR_W1943:2:19923419:19929286:-1 gene:ORUFI02G21670 transcript:ORUFI02G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKHVDVKALQRLSGADKKKLRRTAKERFPQASDADLDAILPPKVVEVTVAKYPNRVLVYGIEGEFPMLFDIDGRGHELFPTVYALWKVPDLLPAFTLKGGEVSRFILGGADLMFPGISIPPEGLPSFQPGQPWSVKVPGNPAPIAVGATTMSSNEALKAGDSADGRYVPNEGFYEDIVVEDRNYASPSQPADSSEDHVEGMHDSTIEGEEAAVDVSESHTTDHDIHVEAIEDLTAGVSEVKLPEDNATEEPTEEREHQNLSTEEIDSLLDKCLLQALHTSVKDKDLPIPGSTLWSNHILPCRPTGVTLDIKKSSHKKLSKWLQSKSSAGLISSKEDKHKKEVMLLRINRGHPDYMAFKPEKRVQEPVVQHDNVVAEGSSTKQLEVAETYKPSSHVNPIFMAVGADTSKYYSASEASDIVFRYVEKENLVKQTDKAKVVLDVALCDALYKGAIKKGSAYPTEIHKRDLGSTFLNRMQIHHRVARGNEVVIRKGAIRTIQIMTERRQGNKKMTRLSGLECFLMDPDSLASELQKKFACSTTTAELPGKKGQHEVLVQGGVIDDLAKHLVDHYGVPKRFIEVLDKTKR >ORUFI02G21680.1 pep chromosome:OR_W1943:2:19929323:19932206:1 gene:ORUFI02G21680 transcript:ORUFI02G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGSKGGGGGVAAARAAAAGPRTVLITGVSRGLGRALALELARRGHAVVGCGRSADHVRSLEAEIATPARHFLTVADVRSDSNMAELAKAVVEKKQVPDIIVNNAGTINKNNKTWSVPAEEFDTVVDTNIKGTANVLRHFIPLMIEKKHGIIVNLSSGWGRSAAAEVAPYCASKWAIEGLTRSLAKELPPGLAAIALSPGVVNTDMLNSCFGSSASLYQSTEQW >ORUFI02G21690.1 pep chromosome:OR_W1943:2:19936465:19938279:-1 gene:ORUFI02G21690 transcript:ORUFI02G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAVRVLVVDDSPVDRRVVELLLRAHCGGGGGAAAGEAAPFHVTAVDSGKKAMELLGRRRGDRDHLTPSSPAAAAAANDQAIDIVLTDYCMPEMTGYDLLKAIKALGSPNPIPVVVMSSENEPQRISRCLTAGAEDFILKPLKMNDVQRLRKCSGATRPKSAVAGDDDRCCNTAKKAAAAAAATPEQQQQQQRSFEVSHYFQLIFKLILLAYAVLCLSQLLHRWSNGSSLLSLWCA >ORUFI02G21690.2 pep chromosome:OR_W1943:2:19936465:19938279:-1 gene:ORUFI02G21690 transcript:ORUFI02G21690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAVRVLVVDDSPVDRRVVELLLRAHCGGGGGAAAGEAAPFHVTAVDSGKKAMELLGRRRGDRDHLTPSSPAAAAAANSETREMKMRMDKSLQDQAIDIVLTDYCMPEMTGYDLLKAIKALGSPNPIPVVVMSSENEPQRISRCLTAGAEDFILKPLKMNDVQRLRKCSGATRPKSAVAGDDDRCCNTAKKAAAAAAATPEQQQQQQRSFEVSHYFQLIFKLILLAYAVLCLSQLLHRWSNGSSLLSLWCA >ORUFI02G21690.3 pep chromosome:OR_W1943:2:19936847:19938279:-1 gene:ORUFI02G21690 transcript:ORUFI02G21690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAVRVLVVDDSPVDRRVVELLLRAHCGGGGGAAAGEAAPFHVTAVDSGKKAMELLGRRRGDRDHLTPSSPAAAAAANDQAIDIVLTDYCMPEMTGYDLLKAIKALGSPNPIPVVVMSSENEPQRISRCLTAGAEDFILKPLKMNDVQRLRKCSGATRPKSAVAGDDDRCCNTAKKAAAAAAATPEQQQQQQRSSHLAGLAMA >ORUFI02G21700.1 pep chromosome:OR_W1943:2:19958793:19964586:-1 gene:ORUFI02G21700 transcript:ORUFI02G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQSQHRAPEREGSHNYDIESTDGSGGLWRRNGSSSGALLRYNDSGGGRSGSAGEPLLRKRTMNTTSQIAIVGANVCPIESLDYEVVENDLFKQDWRSRKKKQIFQYIVLKWTLVLLIGLLTGLVGFFNNLAVENIAGFKLLLTGNLMLKERYLTAFFAYGGCNLVLAAAAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYRLTCNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRAFFTTAVVAVVLRSLIEFCRSGKCGLFGQGGLIMFDLSSTVATYSTPDLIAIIILGIIGGIFGGLFNFLLDKVLRVYSIINERGAPFKILLTITISIITSMCSYGLPWLAACTPCPVDAVEQCPTIGRSASFLGGTMRMTVSVCVILLELTNDLAMLPLVMLVLLISKTIADNFNKGVYDQIVVMKGLPYMEAHAEPYMRHLVAGDVVSGPLITFSGVEKVGNIVHALRFTGHNGFPVVDEPPLTEAPELVGLVTRSHLLVLLNGKMFMKDQLKTSGSFVLQRFGAFDFAKPGSGKGLKIQDLDFTDEEMEMYVDLHPVTNTSPYTVVETMSLAKAAILFRALGLRHLLVVPKTPDRPPIVGILTRHDFVEEHIHGLFPNLNPHKFHSTSMGG >ORUFI02G21700.2 pep chromosome:OR_W1943:2:19958793:19964586:-1 gene:ORUFI02G21700 transcript:ORUFI02G21700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQSQHRAPEREGSHNYDIESTDGSGGLWRRNGSSSGALLRYNDSGGGRSGSAGEPLLRKRTMNTTSQIAIVGANVCPIESLDYEVVENDLFKQDWRSRKKKQIFQYIVLKWTLVLLIGLLTGLVGFFNNLAVENIAGFKLLLTGNLMLKERYLTAFFAYGGCNLVLAAAAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYRLTCNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRAFFTTAVVAVVLRSLIEFCRSGKCGLFGQGGLIMFDLSSTVATYSTPDLIAIIILGIIGGIFGGLFNFLLDKVLRVYSIINERGAPFKILLTITISIITSMCSYGLPWLAACTPCPVDAVEQCPTIGRSGNFKNFQCPPGHYNDLASLFFNTNDDAIRNLFSNGTESEFHMSTLFIFFTAVYCLGILTYGVAVPSGLFIPVILAGATYGRIVGTLLGSISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLAMLPLVMLVLLISKTIADNFNKGVYDQIVVMKGLPYMEAHAEPYMRHLVAGDVVSGPLITFSGVEKVGNIVHALRFTGHNGFPVVDEPPLTEAPELVGLVTRSHLLVLLNGKMFMKDQLKTSGSFVLQRFGAFDFAKPGSGKGLKIQDLDFTDEEMEMYVDLHPVTNTSPYTVVETMSLAKAAILFRALGLRHLLVVPKTPDRPPIVGILTRHDFVEEHIHGLFPNLNPHKFHSTSMGG >ORUFI02G21710.1 pep chromosome:OR_W1943:2:19972722:19981600:1 gene:ORUFI02G21710 transcript:ORUFI02G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATFGAMDSVLSKLTDLLTFEYKLLEEVKRDIVFVKSELESMHAFLKKMSEVEDELDEQVKCWRKEVRELSYDIEDHIDEFAVHLKDEPGCELHGIPSFISQIVKSIASIRNHYQIAKEMRGIRAFVGEASRRHKRYKVDDTISKPSKVTVDPRLPALYKDASDLVGIDGPKIELIRWLTEGVSGPEQQLKVVPIVGSGGLGKTTLANQVYHNLEGIFESRAFVTVSQKPDMMKILREILSGIGYNGLEAAWDEGKLIHEVRKYLRFVRYCVVLDDIWSISVWEILRCALPENNRGSRIVVTTRITDIARACCAPRHCDIYHLKPLDNTSSRRLFFKRICGSEDSLPSHVKGVAEKILKKCGGMPLAIISIASLLATKAQTKEQWESVNISLESGLDKHIGFEGMNWILSLSYNHLPQHLKTCMLYLCLFPEDYIISKDILVQQWIAEGFVFPEHGRNLEEAGYYYFNELINRSMAQPVDIEYNGEAMSCRVHDMIRSLIISKSNQENFVTIFSTSEAASVMTPGKIRRLSVQYIDEECGMVPMLPTLSHARSFSIFGHCNKMPSLTEFKVLRVLEMDDCWKLENHHLKHIGRLSQLKYLGLRRTPISELPEQIGELKYLETLDLRLSHLTELPAAVVRLRRLVHLFFDSNIKLPDGIGEMQSLQQLSSFDVCRSSITSLQELSRLSNLRVLVMSWRSFGMIGDVRSYNNNLVSSLGRLGTCSLRSIYIQGYNSSLQDFSLDLWCPPPSLLQKFVANKCLSVIPNWLGSLINLSYINVDVLRAAQRDLDILGELPNLLFLRLGSETAPQERLIIRDQCFEHLKEFKFICLLTEGLEFQVGAMPRLERLCFQFVALEIIYATGGFDFGIQHLLSLKEAFVKIDCFAAWAGVGNAAEAAIRNSARALPNNPLLNIERFSANDDDMEEDFGFVVLGRRMQQRMPQPET >ORUFI02G21720.1 pep chromosome:OR_W1943:2:19979205:19981663:-1 gene:ORUFI02G21720 transcript:ORUFI02G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAASEISDWEVLSAASAHGGGGEDDGEDFVVLVPGEGGGGGDVLHDHFALVAPSDVDGFPGEEGSWSGLLSDPGDKGKGEGFDSVPEGRLDPLVEEDWSEERLRFGGGVEEASGEPSVLAAASPCGEVRREEAAQREIEQGKEADGTGEDLDPDVIVVAELSRLESPENSDVQLEVEDGGSSLPEASEIGDALGFVQEALVQWKSGDVTSGCGEPEGEAKDGSLPLAQSPVAGEISLAEAAAVGDAMGAVDSGNAASGCGEQDGEAKDGSSLPLAQAPGADGGEKQVVVWWRLPFRLLQCCAWKVKPIWSFSIAAALLGLFVLGRRMYRMRRKARGLPHIKIAFDDKRASQFADRTARLNEAFFVARHIPMLRTSSGAVFPWSMVQ >ORUFI02G21730.1 pep chromosome:OR_W1943:2:19982981:19986890:-1 gene:ORUFI02G21730 transcript:ORUFI02G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVGQRFCSGWSYSDVPYNDHHAQTDASVQQMVLDHGSVSFGRFAAESLSWEKRSVFDHNRRQEELSNLTMPGLVAQKKAFFEEYYKRARLLKAQEEVNQTEATSEEGTDHYDANGHNIHEHKLPAVSSEDPVASAPSSSFEPSTGVSSSGEKKCQDPHGLGYLTFNPLFSQITGSQNIQLEGPVSDQMHHAEGDFPCATHTNTRDVLNHEPLERKVLAPKHIVSNDNGENVAVSRIVLPIASLQREHLKIDLERQEPRKNALISSMPTKSSKEPSTSVIHIPRIDSIRNSENRNSLELKDPFHKRVEMKLRALSDRMNADKATASSRSVFHQHAERAVTSSRSSMTSCRSSTYQNGDRVATSSRSALGQNADRVHASSKSAQQASRRSLREPHGAVSLPRAAVNKGSHVCHVALSNSTTQKFVTSHPKHSVMPNSSQSASTLHTTQVSLKRSAGVSSVNNRPQNKRKQLSTPSTWDENKLNRGYARTSAPSSARSSSVGILPYKTAKAPKISNGNNVVVKQTEMMQKSRNGSHPAGGRNVQPKNVVSCNEQKRKTGEAKMAMTKTKTILSEQSARCRSADADDFLDQLRSCTSWISFTVSDS >ORUFI02G21740.1 pep chromosome:OR_W1943:2:19991883:19992437:-1 gene:ORUFI02G21740 transcript:ORUFI02G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPQQQQAAAPAMAAGGGGGPQYRGVRRRKWGKWVSEIRQPGTKVRIWLGSFDSAEMAAVAHDVAALRLRGRDGAQLNFPGSVGWLPQPATTDPVDIRAAAAEAAERVRREPALVSAAASAAPRRLELGGGDGEFDEMESPRLWAEMAEAMLLDPPKWGPDGSDGADGSSQSWAHGSLWDGC >ORUFI02G21750.1 pep chromosome:OR_W1943:2:20024958:20029031:1 gene:ORUFI02G21750 transcript:ORUFI02G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCSKDSVAEELTPQPPPAAAAAAAPVGRLQKTESQSLKQLITLTAKEDAAVVAPVVHAVITRAESNAKAKSAAAVPPVVVITSLNKSYSTAGAPAHHHRLSTVDVPQVVPGGVPQGFSGEHVIAGWPSWLTSVAGEVVQGWLPRRADTFERLDKIGQGTYSNVYKARDLETGKVVALKRVRFVNMDPESVRFMAREIHVLRRLDGHPNVVRLEGIVTSRLSHSLYLVFEYMDHDLAGLAATPGLRFTEPQVKCLMAQILAGLRHCHDRGVLHRDIKGANLLIGGDGALKIADFGLATFFDAARPQPLTSRVVTLWYRPPELLLGATEYGVAVDLWSTGCILAELLAGKPILPGQTEIEQLHKIFKLCGSPSEEYWAKAKLPDVTLFKPQRPYRRKIAETFRDFSPPALDLLDTLLAIEPSDRGTAAAALDSDFFRSKPLACDPASLPKLPPSKEYDAKLRGKEAAMRQNATAAIGGKGSMSVKPGRNEQSKAAAPAQDAVGGDHQRRQAAAAARVVNPRSASHHYSSLEDSVPGFRMEPPAAAGPPAAMQSGGFGSTWYRKDHAATGDPRATTSRAASASVRVSNSVAGGAQLTSQRSYAHSRGTDLHPSSSAARHANSRYNRLDVAEPANALDRPGSSSTHQKDLSAAAPATGFGGRNKRIHYSGPLVPPGGNMEDMLREHERQIQQAVRKARVDKERTNHHGNSSSSNNNRQYY >ORUFI02G21750.2 pep chromosome:OR_W1943:2:20024958:20029031:1 gene:ORUFI02G21750 transcript:ORUFI02G21750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCSKDSVAEELTPQPPPAAAAAAAPVGRLQKTESQSLKQLITLTAKEDAAVVAPVVHAVITRAESNAKAKSAAAVPPVVVITSLNKSYSTAGAPAHHHRLSTVDVPQVVPGGVPQGFSGEHVIAGWPSWLTSVAGEVVQGWLPRRADTFERLDKIGQGTYSNVYKARDLETGKVVALKRVRFVNMDPESVRFMAREIHVLRRLDGHPNVVRLEGIVTSRLSHSLYLVFEYMDHDLAGLAATPGLRFTEPQVKCLMAQILAGLRHCHDRGVLHRDIKGANLLIGGDGALKIADFGLATFFDAARPQPLTSRVVTLWYRPPELLLGATEYGVAVDLWSTGCILAELLAGKPILPGQTEIEQLHKIFKLCGSPSEEYWAKAKLPDVTLFKPQRPYRRKIAETFRDFSPPALDLLDTLLAIEPSDRGTAAAALDSDFFRSKPLACDPASLPKLPPSKEYDAKLRGKEAAMRQNATAAIGGKGSMSVKPGRNEQSKAAAPAQDAVGGDHQRRQAAAAARVVNPRSASHHYSSLEDSVPGFRMEPPAAAGPPAAMQSGGFGSTWYRKDHAATGDPRATTSRAASASVRVSNSVAGGAQLTSQRSYAHSRGTDLHPSSSAARHANSRYNRLDVAEPANALDRPGSSSTHQKDLSAAAPATGFGGRNKRIHYSGPLVPPGGNMEDMLREHERQIQQAVRKARVDKERTNHHGNSSSSNNNRQYY >ORUFI02G21760.1 pep chromosome:OR_W1943:2:20028306:20031336:-1 gene:ORUFI02G21760 transcript:ORUFI02G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLALTSRFFSTRAEDRNQLPYPVCYPWKRKFPSLLLTPWGDSTSPTEHVQLYYSQRATNGGLLIAEATGVSETAQGYPNTPGIWTKEQVEAWRTVVDAVHQKGGVFFCQIWHVPLTATFADYQPNGQTPISCTDKKITPTVLKDGTVEEFSAPRRLREDEIPQIVDDFRIAARNCIEAGFDGVEIHCAFGYLIEQFMKDGVNDRTDKYGGSIANRCRFALEVIQAAIDEIGSDRVGVRLSPYSNCLDCWDSDPDALGLYMIQAMSKLGVLYCSMVEPEVVKVDGKVQIPYKLWHFRKVFAGTFIVAGGYNREEGNRAVSQGYTDLVAYGKWFLANPDLPRRFELNAPLNKYDRSTFYTSDPVIGYLYPEFRAAVAVAVCLPAPSIRDLHLIRQSGPWSEMAMEDDLHLPWPWAPARSIVFFL >ORUFI02G21760.2 pep chromosome:OR_W1943:2:20029087:20031336:-1 gene:ORUFI02G21760 transcript:ORUFI02G21760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLALTSRFFSTRAEDRNQLPYPVCYPWKRKFPSLLLTPWGDSTSPTEHVQLYYSQRATNGGLLIAEATGVSETAQGYPNTPGIWTKEQVEAWRTVVDAVHQKGGVFFCQIWHVPLTATFADYQPNGQTPISCTDKKITPTVLKDGTVEEFSAPRRLREDEIPQIVDDFRIAARNCIEAGFDGVEIHCAFGYLIEQFMKDGVNDRTDKYGGSIANRCRFALEVIQAAIDEIGSDRVGVRLSPYSNCLDCWDSDPDALGLYMIQAMSKLGVLYCSMVEPEVVKVDGKVQIPYKLWHFRKVFAGTFIVAGGYNREEGNRAVSQGYTDLVAYGKWFLANPDLPRRFELNAPLNKYDRSTFYTSDPVIGYTDYPFLSPL >ORUFI02G21760.3 pep chromosome:OR_W1943:2:20029087:20031336:-1 gene:ORUFI02G21760 transcript:ORUFI02G21760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLALTSRFFSTRAEDRNQLPYPVCYPWKRKFPSLLLTPWGDSTSPTEHVQLYYSQRATNGGLLIAEATGVSETAQGYPNTPGIWTKEQVEAWRTVVDAVHQKGGVFFCQIWHVGRASTNDYQPNGQTPISCTDKKITPTVLKDGTVEEFSAPRRLREDEIPQIVDDFRIAARNCIEAGFDGVEIHCAFGYLIEQFMKDGVNDRTDKYGGSIANRCRFALEVIQAAIDEIGSDRVGVRLSPYSNCLDCWDSDPDALGLYMIQAMSKLGVLYCSMVEPEVVKVDGKVQIPYKLWHFRKVFAGTFIVAGGYNREEGNRAVSQGYTDLVAYGKWFLANPDLPRRFELNAPLNKYDRSTFYTSDPVIGYTDYPFLSPL >ORUFI02G21770.1 pep chromosome:OR_W1943:2:20043189:20046699:1 gene:ORUFI02G21770 transcript:ORUFI02G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKKAAAAAEEEEVHGVVIVGGGLCGLATALALHRKGMGSLVVERSEALRVGGVALNVHANGWRALEELGLADGLRKTANLITSVRMVRQIQGKNQTTVSSPSYSYARCRKEIRCLRRKDVMEALAKSVPAHTIRYGCRIVAVDEDPGTDCTVVIGCDGWNSVVARYVGLGAPSQLPRFIVLGFASYPEGHPFGTEFSQIIADDFAVGRVPINENLLHFFVSRSPSPGRTDVDEDAARKYVLEKVDELPGEVADMVRRCDAASSWTLTKVWYRPPWQVALAGFRRGAVTVAGDAMHAMGPFIGQGGSAGLEDAVVLARSLSSAAAGDGRAPPRQQLRDDAVGAAIDEYVAERRRRATTLCLHSFAIGTLLTTRWLAVKLACVAVLALLGGDSRRDADYDCGRL >ORUFI02G21780.1 pep chromosome:OR_W1943:2:20049543:20050082:1 gene:ORUFI02G21780 transcript:ORUFI02G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSYGAISSVFLCWSSGDRSRLAAAGPVLAFSRICVLALSRLMLGVQKKKTLKVEEAIGVRVSSVIPGVAQIAGPSVSL >ORUFI02G21790.1 pep chromosome:OR_W1943:2:20050426:20051370:-1 gene:ORUFI02G21790 transcript:ORUFI02G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSSSSSPSPVSAPAGQAAMTAGGIATVAAVLIVFAALTLAFVLLQCYCDERRRAVTTTSTSGRGRRPRPRRRSGSGGDGGTGGGVDPEVLRSLPVTVYSRSTAAAAAKEEEEEDDDGVECAVCLAELEDGEEARFLPRCGHGFHAECVDMWLGSHSTCPLCRLTVVVPPPPLPPVPPEPPASYTVSLPASVLLGLSDHGAGAVTMTAEGRSTLVIEIPESAASTTPRDAAARSSPSLARLRSLRRLWSFGRQGAAGSTSSCSCATGGDNDDGDVEHGVSVTVAIRAVEAATPARPPEAEAGARTAAAHVRN >ORUFI02G21800.1 pep chromosome:OR_W1943:2:20058766:20059278:-1 gene:ORUFI02G21800 transcript:ORUFI02G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGVEQGGAAMSSSSSSSLSPSPVSAPAGQTAITFRGIAAVAGVLITFAALTLIVLLHCYCDEWRRGALTTSASGRGRRRRSGSGGDGGRGGGVDPEVLPVTVYSRAAAAAAAAEGSSSLVIEIPESAASTPRDAAARSWPSLARLRSLRRLWSLDIVMLLRRRRRRRR >ORUFI02G21810.1 pep chromosome:OR_W1943:2:20065975:20070210:1 gene:ORUFI02G21810 transcript:ORUFI02G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAPARHPSAAASSSSSTNSQQEPRPENPLLLLPSSRAGKLSLGCPVLDRLLSGGLPPASVTEIAGESASGKTQLCLQLALLAPLSPLSASCLFLHSDLPFPLRRLRGLAPKSRPDLLDHVLVAAAHSPSDLISLLSRAQRLLAHPGRLPPVRLILVDSIASLFRADFDASPADLKRRSALFFRISAKLKELAHRHRCVVVVTNQVVDVVEGEAGNTVAWSSGRRVSPALGIAWANCVNTRLFLTRDADGRGCARRRMKVAFAPHLPERACEFVIRRDGVFGVEPSGRCT >ORUFI02G21820.1 pep chromosome:OR_W1943:2:20073805:20079503:1 gene:ORUFI02G21820 transcript:ORUFI02G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRSLQRSGSKRVLDPTGGGGGDDDDHAAKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIQGRSSPKRIEGPDGRNLQLKFTTRLSLPLFTGGKVEGEQGAAIHVVLLDANTGVAVTSGPESCAKLDVLVLEGDFNNEEDEDWTEEEFESHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVAPGSFEGIRVREAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNASGIYTVEDFLQLLVKDQQRLRSILGSGMSNKMWESLVEHAKTCVLSGKHYVYYAIDSRNVGAIFNNIYEFTGLIADDQFISAENLTDNQKIYADGLVKKAYEDWMHVVEYDGKALLSFKQKKKSVTTRSDTAAAATNSPVSYGSSNTHKQLSQPAKAGQTSTGTTSEDGSTSAYNGNQAGRYAVNSQSIPANVTTQYERSSLTPESQFNGSALQNQVSRGSNILALGPPQQQHQQNFEFSALGGQSMQPTGLNPFDDWSQPQENRSGVDDYLMEEIRMRSHEILENEEMQQMLRILSMGGASTNLTEDGFAFPNYMPSTPPNFNFGDDRARPPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >ORUFI02G21820.2 pep chromosome:OR_W1943:2:20073842:20079503:1 gene:ORUFI02G21820 transcript:ORUFI02G21820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRSLQRSGSKRVLDPTGGGGGDDDDHAAKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIQGRSSPKRIEGPDGRNLQLKFTTRLSLPLFTGGKVEGEQGAAIHVVLLDANTGVAVTSGPESCAKLDVLVLEGDFNNEEDEDWTEEEFESHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVAPGSFEGIRVREAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNASGIYTVEDFLQLLVKDQQRLRSILGSGMSNKMWESLVEHAKTCVLSGKHYVYYAIDSRNVGAIFNNIYEFTGLIADDQFISAENLTDNQKIYADGLVKKAYEDWMHVVEYDGKALLSFKQKKKSVTTRSDTAAAATNSPVSYGSSNTHKQLSQPAKAGQTSTGTTSEADGSTSAYNGNQAGRYAVNSQSIPANVTTQYERSSLTPESQFNGSALQNQVSRGSNILALGPPQQQHQQNFEFSALGGQSMQPTGLNPFDDWSQPQENRSGVDDYLMEEIRMRSHEILENEEMQQMLRILSMGGASTNLTEDGFAFPNYMPSTPPNFNFGDDRARPPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >ORUFI02G21820.3 pep chromosome:OR_W1943:2:20073805:20083565:1 gene:ORUFI02G21820 transcript:ORUFI02G21820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKMWESLVEHAKTCVLSGKHYVYYAIDSRNVGAIFNNIYEFTGLIADDQFISAENLTDNQKIYADGLVKKAYEDWMHVVEYDGKALLSFKQKKKSVTTRSDTAAAATNSPVSYGSSNTHKQLSQPAKAGQTSTGTTSEADGSTSAYNGNQAGRYAVNSQSIPANVTTQYERSSLTPESQFNGSALQNQVSRGSNILALGPPQQQHQQNFEFSALGGQSMQPTGLNPFDDWSQPQENRSGVDDYLMEEIRMRSHEILENEEMQQMLRILSMGGASTNLTEDGFAFPNYMPSTPPNFNFGDDRARPPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >ORUFI02G21820.4 pep chromosome:OR_W1943:2:20073842:20083565:1 gene:ORUFI02G21820 transcript:ORUFI02G21820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRSLQRSGSKRVLDPTGGGGGDDDDHAAKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIQGRSSPKRIEGPDGRNLQLKFTTRLSLPLFTGGKVEGEQGAAIHVVLLDANTGVAVTSGPESCAKLDVLVLEGDFNNEEDEDWTEEEFESHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVAPGSFEGIRVREAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNASGIYTVEDFLQLLVKDQQRLRSILGSGMSNKMWESLVEHAKTCVLSGKHYVYYAIDSRNVGAIFNNIYEFTGLIADDQFISAENLTDNQKIYADGLVKKAYEDWMHVVEYDGKALLSFKQKKKSVTTRSDTAAAATNSPVSYGSSNTHKQLSQPAKAGQTSTGTTSEADGSTSAYNGNQAGRYAVNSQSIPANVTTQYERSSLTPESQFNGSALQNQVSRGSNILALGPPQQQHQQNFEFSALGGQSMQPTGLNPFDDWSQPQENRSGVDDYLMEEIRMRSHEILENEEMQQMLRILSMGGASTNLTEDGFAFPNYMPSTPPNFNFGDDRARPPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >ORUFI02G21830.1 pep chromosome:OR_W1943:2:20079678:20082739:-1 gene:ORUFI02G21830 transcript:ORUFI02G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHGKQLAIPAKVMPLDNAVFTEVEADELSCHGTPAHCAEHGQASLVLKDDQECSASHVHGNGPVEESNGCMPNQRIDEHGQIDFGHSLQLVLFSRQWGLAESLVALADHQLMLDYGLSVALDAIWFLRTEQDLQGLNHLIGKIVESGAKDFARAILRTSLLASCVVACQSKALTLGDSKEIIAQRLHDRLQDCPGGERLQIEAGAKVQKFIEWALQCIHLHRCSEDTECYKANCSTHQEVQFHLSAFKAFLDIAGDNLSGKIFTEAFDAACFPLTLFSTLFEPGWSSGSSAVAIQGLLSLLVEGGAENVNQCFLEASRFGSTELVRILLKIAHQNSLAVDVDLALVYASHYCKFETMACLVDEGHATSFLCPLVKASERGCLQVVQWFVNRHVSDIEMCLAVTTAASCGHFAVATYLLAHIPRHVLEALSPQILKAARGQGSGSFEGVSFLLRSNFLNDAAATYAVADSIATTSTMDIPQDLVDFLKEQWSQAAFAEGVEAGEDHFVNITRVLRRGASPIRLHDLPEPMALAIAYLPLYRACASARGQLLPQRLRGELVEAVGRLGVPVNMENNRRDFLAVLEHYFPSFITGA >ORUFI02G21840.1 pep chromosome:OR_W1943:2:20084638:20089086:-1 gene:ORUFI02G21840 transcript:ORUFI02G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKAAAGGGEAPAITLEHTPTWIVSAVCFVIVIISLLFERLLHRLGKRLKKSRKKPLYEGLLKVKEELMLLGFISLLLNVFQGLTQKICVKASVMDHLQPCKLDFSGAKTAKTTAHLAAAGVRRLLAGGGAKSDYCEKKGLVPILSVEAIHQLHIFIFVLAVSHVVLSAVTVLLGIAQTRKWQHWENKIQASDENGMMKEHSPLGKWIIGMKLSGPQMIKHVQEFPFIKNHFKGHGKRWKTFGWLRSFLKQFYGSVTEEDYVTMRLGFIMKHCRGNPKFNFYKYMIRALEDDFKKVVGISWYLWAMLIIFLLLNVQGWYVYIWISAVPFVMLLVVGTKLEHIITELAHQVAEKHTAIEGELVVSPSDELFWFHRPKIVLLLIHIVLFQNAFEIAFFFWLLVTYGFKSCIMGNKGYVIARLVISVISQLLCGYSTLPLYAIVSHMGTSFKKVIFDENVVEGLANWAQNARRRNARAARTQNVGDSPVDESNVGEVQMTSPPTKSVQQGTARLI >ORUFI02G21850.1 pep chromosome:OR_W1943:2:20097786:20102283:1 gene:ORUFI02G21850 transcript:ORUFI02G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGFLGLGIMGKAMAANLLRHGFRVTVWNRTLSKCQELVALGAAVGETPAAVVAKCRYTIAMLSDPSAALSVVFDKDGVLEQIGEGKGYVDMSTVDAATSCKISEAIKQKGGAFVEAPVSGSKKPAEDGQLVILAAGDKVLYDDMVPAFDVLGKKSFFLGEIGNGAKMKLVVNMIMGSMMNALSEGLSLADNSGLSPQTLLDVLDLGAIANPMFKLKGPSMLQGSYNPAFPLKHQQKDMRLALALGDENAVSMPVAAASNEAFKKARSLGLGDLDFSAVYEVLKGAGGSGKA >ORUFI02G21860.1 pep chromosome:OR_W1943:2:20112901:20114076:1 gene:ORUFI02G21860 transcript:ORUFI02G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAIERDGALLGAALVEPEAAELIPGLPDDVAMECLARVPSRSHRAVRRVCRGWRRAAASEAFRRRRRAAGAAEDVVFLVQATPARGGDDGKGPSAATECALAAANLTTGEWRRVEGAGEGEEEAWGGGVPFFARCAAAGDGRHVAVVGGWEPAALCLTRDVRVLDVPAGVWRRGAAMPDSRGFFGCTGSGGVVYVAGGHDESKNALRSAYAYDVASDAWRALPDMSEERDEPQLVANPGRVLAASGYPTDAQGAFKKTAERYTTTTTGDATAWSSEGDMAPNTAETCLAAVGGKVWAVGAGKGGVREWDGGAWRDVADGPPGMKACVKAVGAGDGDGAAMFVFVFGKVEDGKQYAAWVMEDAGGAARWRGVAVPPGFGGFVYSGAAVRV >ORUFI02G21870.1 pep chromosome:OR_W1943:2:20123850:20125168:-1 gene:ORUFI02G21870 transcript:ORUFI02G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPHHPTLWMFIDSVMQRRGSPSPPPAAQLSGGVRRGGSSPPSATASGCKGSGSRSGARPSRLSREEVAVLSSPRPRRSRPTAGDDADGSFVGSKHRDVRRAYPAKRKQRAATSSSSSSSSPPTATERLRNRGRLVPSNRVDKKMRRFVGTVNKFDALRHDTDSHDGDDGDDDVALGAGPLEAGDIAAARTTPSPPPSHAFSKAPVRAAAVAEQAQAQGAAHKNQAAAAHAVSCIRGTPLCHRHSHWRGALSAALRILCGTCGCPCDPPAVVVLPPPEFFGSVYLLVIHQPVSGFPSPPMIFTAAWSQVDVWTHWITYAFCPASRLVDGSHLVPAKFYSMRIQNRWISSMHVFDGYLPRSMIRG >ORUFI02G21880.1 pep chromosome:OR_W1943:2:20145492:20148143:-1 gene:ORUFI02G21880 transcript:ORUFI02G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQDSKRKRSVLPETATLAPGSKRRRRKSVPSRHAAAMLPDELLTEVCLRLPVKSILRFRAACRSWDAMLSSEEFGQLYAARAEEMSSAPKLLFVSPTANFNSTAVYKCSPSKPTDDLLLTLDDVRGNYVEVTPAPCHGLSLLYDGIAPAYYVMNATTRAVTRLPPFRDVAFATAGLGCDARTKKYKVVRLFEGNLLEKEFLKCEIYTLGGDEGDIWRPAAGGVPFRFYSFARSAISNAVMNKLQPLFFNGYLHWLINPLHHVKLPRASILSFSLTDETFRWIRSPPFVASGVHLVELDGNLCMVRDLRDRSTAVCKLEIWKLKDYNSGDWSLDHRIDLTGQLPRDLLEPQIVKVIGSAGSCRSGTKIIIATSKHKVCSYDPVSRTLETITSISETCTSYQNEKSDIRFSLFKECLTPVHKTREEIAFATPLSKATKEILLRLPAESVLKFKPVCKQWLGLIKSERFIRAYFAHKNMDKRPKIMLVGKGSGKSLFNFVPLSKWLQEASNQGTLFLDTKVVCSKPCRGLNLMSFVEEDYLFNPCTGYHRVYWNREWHQHQPWKMPTGCREQEDNPFAVGNKNVGLGFSQVIQDHVVVGIFYDRKDYNSREYSLTCSLWSCGSGYFEQLPQPPLPVNDMPPVSVDGVLYWMSEPRLGQSYERAIVSFDIAAKIFEVIPCPSSIAMWDPRSRCHAFVVELLGKLCAVLSNSVADELDIWKWDHGLWTRAYTINLKFWPDYSLATNVVVPMAVDLTDGRILLNTGRKLGLYNPFDQTIENLLALDQVSLATPKVQQQRTGGHLKCHITRCEDVPRKFSPWKLSMAPCENFATPPSASSGKNLLSSRHQYVKALNSVSPKVMPVVPMLYEESLTYYPFAARARVLFS >ORUFI02G21890.1 pep chromosome:OR_W1943:2:20158941:20161693:-1 gene:ORUFI02G21890 transcript:ORUFI02G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRVLSRGPRRRVRDHSSSSFRSLARSLTSCSHRVRIALNLKGLEYEYKAVNLLKGEHSDPEFMKVNPMKFVPALVDGDAVIGDSYAIALYLEDKYPEHPLLPQDLKMKALNLQIASIVCSGIQPLHNLTVLRFIEKKVGTGESIPWTQQQIDRGFAAAENLVKGCAGKYATGDEVRLLNYPTLARLHEEYMKHPAFQAALPDRQPDAPSST >ORUFI02G21890.2 pep chromosome:OR_W1943:2:20158941:20161562:-1 gene:ORUFI02G21890 transcript:ORUFI02G21890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGAAVAPAKLGLYSYWRSSCSHRVRIALNLKGLEYEYKAVNLLKGEHSDPEFMKVNPMKFVPALVDGDAVIGDSYAIALYLEDKYPEHPLLPQDLKMKALNLQIASIVCSGIQPLHNLTVLRFIEKKVGTGESIPWTQQQIDRGFAAAENLVKGCAGKYATGDEVRLLNYPTLARLHEEYMKHPAFQAALPDRQPDAPSST >ORUFI02G21890.3 pep chromosome:OR_W1943:2:20158941:20161562:-1 gene:ORUFI02G21890 transcript:ORUFI02G21890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGAAVAPAKLGLYSYWRSSCSHRVRIALNLKGLEYEYKAVNLLKGEHSDPEFMKVNPMKFVPALVDGDAVIGDSYAIALYLEDKYPEHPLLPQDLKMKALNLQIASIVCSGIQPLHNLTVLRFIEKKVGTGESIPWTQQQIDRGFADLLLCHTMLTSFPNGVAAENLVKGCAGKYATGDEVRLLNYPTLARLHEEYMKHPAFQAALPDRQPDAPSST >ORUFI02G21890.4 pep chromosome:OR_W1943:2:20158941:20161562:-1 gene:ORUFI02G21890 transcript:ORUFI02G21890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGAAVAPAKLGLYSYWRSSCSHRVRIALNLKGLEYEYKAYLEDKYPEHPLLPQDLKMKALNLQIASIVCSGIQPLHNLTVLRFIEKKVGTGESIPWTQQQIDRGFAAAENLVKGCAGKYATGDEVRLLNYPTLARLHEEYMKHPAFQAALPDRQPDAPSST >ORUFI02G21900.1 pep chromosome:OR_W1943:2:20161849:20165193:1 gene:ORUFI02G21900 transcript:ORUFI02G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGGAGAAAAAAGNAAGAAVHHNARSAEDVFRDFRARRAGIVKALTTDVEKFYRQCDPEKENLCLYGLPNETWDVTLPAEEVPPELPEPALGINFARDGMIEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKEARRRLFTMINGLPTVYEVVTGIAKKQTKVSNGSSKSNKSNPKPSKQSNSNSKPAKPPQPKDEEDSGPEGAEDEDQAYMCGACGETYANGEFWICCDVCEKWFHGKCVRITPAKAEHIKQYKCPGCSSKRSRE >ORUFI02G21910.1 pep chromosome:OR_W1943:2:20166062:20166262:1 gene:ORUFI02G21910 transcript:ORUFI02G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGLNATGEFFRRRDDWRRHPMVGNQLRHATPGLGIAIVAFGIYLVNVATYNRLYRPSGDHHHH >ORUFI02G21920.1 pep chromosome:OR_W1943:2:20168417:20170537:-1 gene:ORUFI02G21920 transcript:ORUFI02G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAAAAAAAPPLSSFHSLSLSSRGLRVPSPCEARPRAPLRRGDLAIRMGGGPRTFPGGVSKWQWKRMQARKAKQLLKARLARERQLYEMRKRAELRDAAAHLERPWDPDASDSAAAAAAPNLLSVAADDQMKALADRFHRPGGVDLWNDRDGPRVFAAPDTGRASARFFPKGSVHSIQPYGLVNGGPESTLAARGNPADAMDRSHRHRLQGVRENAAKKEMRGVGGYREPAVEYIERGGVWEPVSNLDRGGDNNSSDSGWNDDNVISDLEDIADVDFRPEQRAMDGRDRREGGVARWEATTSMAVGSDNIRDQRGNGFSLEPEGTSEYHLGQSWQDRNSGSRGKRPAGRRKAMNTDGSSAIGKDRMVDGSSFSDSEVTRDGFEPKWRSTTRGRTTNDVRRWNPPNEGGRNVPRKGWTDDEFGSNSDSGMDAKLMPKWKAQNRLNRSENGRDRPEPKYMANTNNGERTGRYMRGSDGDGRRDRFVNRFASDLEEPKWKPRRKRGAGNDGDGRRDRFVNRFASDLEEPKWKPRRKSGAGNDGDGRRDRFVNRFASDLEEPKWKPRRKRGAGNDGDGRRDRFVNRFASDLEEPKWKPGRKSGARMNIGNREYIDDMNGRFKRGSDGDGMNGRFRRGSDGDGMNGRFRRGSNEAARLLDAMDSNREVGSEEGSYRMSRNGGQRRGDGYSLRPTSELHNSRRPRESNEM >ORUFI02G21930.1 pep chromosome:OR_W1943:2:20173136:20179179:1 gene:ORUFI02G21930 transcript:ORUFI02G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLRWRRLAAVATRAASTLTAPECCSPAVVAPPHRRVQERRKWEGPTSSSSSSSDEHEPRRIRAEAHCPRCSKHMDILFSHRAPPSSSAAAAGGGGYQALNLCPNCRSAYFFRPHLLAPLQGTFVEIGRVRADLLPHDAVRARGASFWEAIRGGGGGGGGVAGGGGGGEEGAGKDGWGGSNLGKDLPTPKEICQGLDKYVIGQDRAKKVLSVAVYNHYKRIYHKSLQKGSGADLGGFDGETDDDDGVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFAGYVGEDVESILYKLLAVADFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRAGGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLMEPKNALGKQFKKLFSMNNVKLHFTDAALRIIAKKAMSKNTGARGLRTILENILMDAMYEIPDAKSGEKRIDAVVVDEDAVGAVDQPGCGAKILYGDGAFERYLSQIKVAGDAAGSEADGEAELSSSRAMGM >ORUFI02G21930.2 pep chromosome:OR_W1943:2:20173089:20179179:1 gene:ORUFI02G21930 transcript:ORUFI02G21930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLRWRRLAAVATRAASTLTAPECCSPAVVAPPHRRVQERRKWEGPTSSSSSSSDEHEPRRIRAEAHCPRCSKHMDILFSHRAPPSSSAAAAGGGGYQALNLCPNCRSAYFFRPHLLAPLQGTFVEIGRVRADLLPHDAVRARGASFWEAIRGSSSSRDDGDGGGVAVHVPPGPPFHPNLNVVRVAGGGGGGGGGGVAGGGGGGEEGAGKDGWGGSNLGKDLPTPKEICQGLDKYVIGQDRAKKVLSVAVYNHYKRIYHKSLQKGSGADLGGFDGETDDDDGVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQARYVGEDVESILYKLLAVADFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRAGGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLMEPKNALGKQFKKLFSMNNVKLHFTDAALRIIAKKAMSKNTGARGLRTILENILMDAMYEIPDAKSGEKRIDAVVVDEDAVGAVDQPGCGAKILYGDGAFERYLSQIKVAGDAAGSEADGEAELSSSRAMGM >ORUFI02G21930.3 pep chromosome:OR_W1943:2:20173089:20179179:1 gene:ORUFI02G21930 transcript:ORUFI02G21930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLRWRRLAAVATRAASTLTAPECCSPAVVAPPHRRVQERRKWEGPTSSSSSSSDEHEPRRIRAEAHCPRCSKHMDILFSHRAPPSSSAAAAGGGGYQALNLCPNCRSAYFFRPHLLAPLQGTFVEIGRVRADLLPHDAVRARGASFWEAIRGSSSSRDDGDGGGVAVHVPPGPPFHPNLNVVRVAGGGGGGGGGGVAGGGGGGEEGAGKDGWGGSNLGKDLPTPKEICQGLDKYVIGQDRAKKVLSVAVYNHYKRIYHKSLQKGSGADLGGFDGETDDDDGVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFAGYVGEDVESILYKLLAVADFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRAGGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLMEPKNALGKQFKKLFSMNNVKLHFTDAALRIIAKKAMSKNTGARGLRTILENILMDAMYEIPDAKSGEKRIDAVVVDEDAVGAVDQPGCGAKILYGDGAFERYLSQIKVAGDAAGSEADGEAELSSSRAMGM >ORUFI02G21940.1 pep chromosome:OR_W1943:2:20179929:20182169:-1 gene:ORUFI02G21940 transcript:ORUFI02G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMRGALERARMLVGMEVDEESAPEEQSFFDDRLYGFAICLAAGLTCTFLSMLVFFNPVKFGVTFTLGNLMALGSTAFLIGPKRQFDMMLDSVRIYATAVYIASIIIALFCALFVHSKLLTLLAIILEFGALVWYSLSYIPFARSVVSKVMTSCFDTEF >ORUFI02G21950.1 pep chromosome:OR_W1943:2:20182511:20184581:1 gene:ORUFI02G21950 transcript:ORUFI02G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPILPKFEREHRLKRITLFF >ORUFI02G21960.1 pep chromosome:OR_W1943:2:20183905:20186706:-1 gene:ORUFI02G21960 transcript:ORUFI02G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFAYPFTPSPAWSRDAVFAGSPWAAGGVSSLADALVSYGAVDNEEAAFLGKTAASSPSTARLHEQQQLLLEAELLRHGDGLGFAAMDDDGGAAMLGALEPCAMPLTDSGGPPVICSSSSNDSSGSEHSAAMPAGGGFLVGEQQQHVPPAAYAAGGVLPSMAAGEETPQSFGFGSLFNGDLLQEANVSKYHHHQQQQQLGVVPSSQPHHLNEFSSGLHHLNLSSLISGPLASFNATQSHRQPAEACGGKNGGAAPFVNLSEVLPKGNGSGSAGNGAPKPRVRARRGQATDPHSIAERLRREKISDRMKDLQELVPNSNKTNKASMLDEIIDYVKFLQLQVKVLSMSRLGAAEAVVPLLTETQTESPGLLLSPRSSSGERQAGAVTGGLPGDQPELLDGGAMFEQEVVKLMEDNMTAAMQYLQSKGLCLMPVALASAISAQKGTSSAAVRPEKKNGGDEEDVKGEFDAPRRPPVGRPKEMRSRV >ORUFI02G21970.1 pep chromosome:OR_W1943:2:20197271:20198527:-1 gene:ORUFI02G21970 transcript:ORUFI02G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGASPSSSLSPSRSPSPLPVADPVTVAAAPPGHLALAIPIPKPGSSSGGGGGGGGREDAWSDGATSTLIDAWGERFVALGRGSLRHPQWQEVAEVVSSRDGYSKQPKSDVQCKNRIDTLKKKYKVEKAKPDSSWPYFHRLDTLLAPVHKPAGAYPAAAAGAAGAGNSGSNSAAAATAARSTAPMAPRVNFPQRTRTQFLPSSGVKRRMPSPPQVSASSESSDGFPPEPPMAAANGKRRREVEEEVNGADSGHRTQGLRELAQAIRRFGEVYERVELAKREQELRMERDRLEAARELEDQRVQFFLKMQMELSKANNAGASAAAAAVGAVATAIAAADGNGTRRTAMATDVGTSSNHHVRYRFKDSRHCHAAPQQPQHQYNENNAAEAARGTGNGSDTDNKEDEDEAEDEEDESQ >ORUFI02G21980.1 pep chromosome:OR_W1943:2:20200644:20203609:1 gene:ORUFI02G21980 transcript:ORUFI02G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATSPAAPCLSVCLLPLLFLFLHGCWSCVAIERERTLAMIKPDGLSGNYTERIKEVILESGFDIVKEAVVQLDAERASLFYAEHSGRSFFDSLVKYMTSGPVLVMILERPDAISHWRVLIGPTNARKAKISNPNRYCGT >ORUFI02G21990.1 pep chromosome:OR_W1943:2:20205737:20206581:-1 gene:ORUFI02G21990 transcript:ORUFI02G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQAQGVSDLFSWARGEEERRMGGGAPCYGDVDVVGEQRRGGHRLVWRGLGRRRKLPVVRLGGGGGGGGRRRRGFLRRLRLRWLAARWLRRAVRRLAAIYVAALAGPPPHAPPSSSPSCRRPWIGAEPLFAVPFMPNVRPFL >ORUFI02G22000.1 pep chromosome:OR_W1943:2:20211585:20213526:-1 gene:ORUFI02G22000 transcript:ORUFI02G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGGGATPSPAAKKANLLDAYSIKHLLDETVSEVAKSKGYPEDARLSNRRLAVGAAVIAVALLAQFYPRKFPENRDVLLACIALYAALNVALQIVTYTKEKNAILFTYPPAGSFNSTGLVISSKLPRLSDMYTLTIASADPQSKSSSEPVHFTKSVTKWFTKDGVLVEGLFWKDVEKLIDDYNSDHRSK >ORUFI02G22010.1 pep chromosome:OR_W1943:2:20215094:20215561:-1 gene:ORUFI02G22010 transcript:ORUFI02G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRAAVALLVIIPVLLLLVLLPRPPTTSPSSVVGFAATRRLSGGANSGPPAHAPSAAPSPSPGRGRLHPTTTTSTAPRPPPPRGYGGERKRRLKLNLGERVGIALAAVAAALQVALVGACLALLARQLRRGKPRDSEDAAAAASSSSAPPPA >ORUFI02G22020.1 pep chromosome:OR_W1943:2:20217242:20217961:-1 gene:ORUFI02G22020 transcript:ORUFI02G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLCDGDKDGVDLQLERRLSPQLPQRAREEGGGGEASSGPFLVVVVLRGRRPPDLFLSPPPSCARWRKPRRRPPPLPPRPVDARAFRPPVDARAFRPPVDARTHPRLPIDDGGDGGAALPVAKKSPSSSSSRALDRDAAEAEVCRRLTSSRREALGLYRDILRGRGSLSAAATPCNRRSTDSPRHPTAWSRPRRPSADASHRGGRAAAGWWRTWGAAITTASRREAQRAGVGAQRA >ORUFI02G22030.1 pep chromosome:OR_W1943:2:20218581:20221453:1 gene:ORUFI02G22030 transcript:ORUFI02G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPTASPPPPPAMSGLLSFASSRPYPPLPAPRPAAAAPRPRLRIAGSAAAAPNAVSHRASSSFSSGDRLRSLVRRGELDEALRLVGSARRPDAGTCAALIKKLSASGRTAEARRVLAACGPDVMAYNAMVAGYCGAGQLDAARRLVAEMPVEPDAYTYNTLIRGLCGRGRTANALAVLDEMLRRRCVPDVVTYTILLEATCKRSGYKQAMKLLDEMRDKGCTPDIVTYNVVVNGICQEGRVDDAIEFLKNLPSYGCEPNTVSYNIVLKGLCTAERWEDAEELMGEMGQKGCPPNVVTFNMLISFLCRKGLVEPALEVLEQIPKYGCTPNSLSYNPLLHAFCKQKKMDKAMAFLDLMVSRGCYPDIVSYNTLLTALCRSGEVDVAVELLHQLKDKGCAPVLISYNTVIDGLTKAGKTKEALELLNEMVSKGLQPDIITYSTIAAGLCREDRIEDAIRAFGKVQDMGIRPNTVLYNAIILGLCKRRETHSAIDLFAYMIGNGCMPNESTYTILIEGLAYEGLIKEARDLLDELCSRGEEILLDVHLIDVAFLTTFLPCSNANGGPFLRLPPEGSPVYDALL >ORUFI02G22030.2 pep chromosome:OR_W1943:2:20218581:20222645:1 gene:ORUFI02G22030 transcript:ORUFI02G22030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPTASPPPPPAMSGLLSFASSRPYPPLPAPRPAAAAPRPRLRIAGSAAAAPNAVSHRASSSFSSGDRLRSLVRRGELDEALRLVGSARRPDAGTCAALIKKLSASGRTAEARRVLAACGPDVMAYNAMVAGYCGAGQLDAARRLVAEMPVEPDAYTYNTLIRGLCGRGRTANALAVLDEMLRRRCVPDVVTYTILLEATCKRSGYKQAMKLLDEMRDKGCTPDIVTYNVVVNGICQEGRVDDAIEFLKNLPSYGCEPNTVSYNIVLKGLCTAERWEDAEELMGEMGQKGCPPNVVTFNMLISFLCRKGLVEPALEVLEQIPKYGCTPNSLSYNPLLHAFCKQKKMDKAMAFLDLMVSRGCYPDIVSYNTLLTALCRSGEVDVAVELLHQLKDKGCAPVLISYNTVIDGLTKAGKTKEALELLNEMVSKGLQPDIITYSTIAAGLCREDRIEDAIRAFGKVQDMGIRPNTVLYNAIILGLCKRRETHSAIDLFAYMIGNGCMPNESTYTILIEGLAYEGLIKEARDLLDELCSRGEEILLDVHLIDVAFLTTFLPCSNANGGPFLRLPPEDLLQYSYLCDSLRRVVIVELWIDALLNFIFAQSWSSLPHKDHGICKIIGNGKIEIGYIVISYLLLKDKTSHYPSDKTLLPFWHGVINWFPSG >ORUFI02G22040.1 pep chromosome:OR_W1943:2:20222047:20223741:-1 gene:ORUFI02G22040 transcript:ORUFI02G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGNRGGPRGPGAARPGGPPKPVNIDVPAIPFEELKKITSNFSDRALIGEGSYGRVYNGTLSDGRAAVIKKLDPGASQETDSEFSAQIAMVSKLKNEYFLELLGYCLEDGNRMLAYQFATMGSLHNILHGKKGVQGAEPGPVLNWAQRVKIAYGAARGLEYLHEKVQPSIVHRDVRSSNVLIFDEFSSKIADFNLTNQGTDTAARLHSTRVLGTFGYHAPEYAMTGQINQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWVMRSFILQQKITYYNISYFNLSISNDLTYTMIFVRQATPRLSEDKVKQCVDPKLNNDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKAIQPLLNTKPAGPAEPPPA >ORUFI02G22050.1 pep chromosome:OR_W1943:2:20234699:20236675:-1 gene:ORUFI02G22050 transcript:ORUFI02G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELSLGDSPAPVKATIAPTPVLIPTCMGDEEDLELVLGVRATRRDEQDDQTTCTQSSEEAMEGEEDETRPHGEAPVESLSFPLFVSSAETGSANSEMCTRGFDVNTRPADGGAEAGRPSSPSSMQEASTRQQVADQEAADDEDNGGGGARKKLRLSKEQSSFLEDSFKEHSTLTPKQKSDLANRLNLRPRQVEVWFQNRRARTKLKQTEVDCEHLKRCCERLTRENRRLQREVAELRGTLRTTTSSYPPLYGLHHLPAAAGTVFRVCPSCEHSKVVAAAASESFSPRVFAGGGAPAAITAAAAVPSPGAGSPPSSSAALFGARRPHFGPFAAAVIPPVLRRQPSATS >ORUFI02G22060.1 pep chromosome:OR_W1943:2:20264434:20264922:1 gene:ORUFI02G22060 transcript:ORUFI02G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEDCVKINVDAAISRWGNKGAVGAVCHTVSGEFVAASAMVWEGLSDSATLEALGCNEGLAIAMGCNMSEEGVLSLVFKSSL >ORUFI02G22070.1 pep chromosome:OR_W1943:2:20267319:20270523:1 gene:ORUFI02G22070 transcript:ORUFI02G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAPPSSLPLLLPRKSLSSSSAQRKQQYARCVSHAGDGLHSFRSCLAWMCVDHSTRARGAASWAAFLLLAVAAPSAATLALPSPVGGGGSPFDGQVQVSLTLAAALAYLTLTALLQGRGLRRLLYLDRLRDDSEEVRSGYIEELAGSFRVLACFLLPCTLAEAAYKAYWYLAAPPFRSPWWSAAACAVEVASWAYRTAVFFMVCVLFRTICYLQILRMKGFAREFCRFADVAAVLESHRRIRKQLHRISHRYRRFILCCLVLVTASQFAALLATTRPHAQINLATAGELALCSLSLVAGLLMCLQSAAKITHKTQAITSVAAGWHADATINAFDNDQEDPNPDLPRIVGYLVPVNAYWMASGESSSDSSSSSSSDDDDSCHPKSKYIPFQNNHCFQQRQALVTYLENNRAGITVYGFVVDRMWLHALFMIEFSLVMWLLGKTVGIS >ORUFI02G22080.1 pep chromosome:OR_W1943:2:20271576:20274334:-1 gene:ORUFI02G22080 transcript:ORUFI02G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALPHRCRLRLRLRRSPSIHPASERARRVAVARPAASAASVPYLYYFEEMGRSPRGRNLPARRRGSSSSSSDLPSCCWKMKGTCEQNDIALVSEKKEWKGASCPVCLEHPHDAVLLLCTSHHKGCRPYMCGTNHQHSNCLEHFKEAYAKEKLAHSVLIESSPGLSLSLNSQPASKQQCAMELACPLCRGDVKGWTVVEPARQYLNRKKRACMHDGCSFIGSYKELCKHVNSKHPSAKPREVDPAHADEWKKFECERERQDAISTIRSMTPGAVIMGDYVVEFNGGSNNNLLSDGDDLEERLNFFTSLDRTLNERLDFYESSDGSLDDSIDFLASLFGHGRRIASGDSYTRAYRRYRERPRRNVTASSVAASDIQHDSANTRRGRVGGIRAIGRTSRRHHPVVTHVRSAHGI >ORUFI02G22090.1 pep chromosome:OR_W1943:2:20285691:20291120:1 gene:ORUFI02G22090 transcript:ORUFI02G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDLPALKPQWLVQGQVTTTGAANLWTVASPRPGPDNQGRGGSSRNHSSSGHHRDQNSRTSSSRISSNGPRKHDRDRDGMGKSRGYASFGRNREREREKEFDSRDRESRSITADGFGSFSTCRPERDRLNRSRSRTDSWNKGVVSPNNCNTSRNNTGTGGSFEREFPQLPFDDKRQDINRVPSPASPIQRTVAPDRWNSLLADVPGSSEPKKNLGVSSVLRPAPSKQPEAAPNSGISLSMAETVMQVPLSVGPQLSMEAQKMEEISLRQNTLRPMTSPAIKSSVTNSSKTKGVRNGDPSGPIKAHQSLIPSTNGSARAPVKTDLSKVSQAGNLKILTREQNCTIHTAKDCPDNPMSPPPAPVASVEPLKKPCVSQKLKVATHDIPLSILQSAYVDKKLNARDKHRFFESLRIKSSNGSSSTAESGCPSPSSVADVKQDSCLNVGKDVSLYHSGKDISLYHSGTKCMGNGKCSCEEANSSDGSQRHLSDNENNSSLDHTADGVSQNLLVESRSISSSEPSDRRDEFRVFLSNNTEGSSSSAPADSDDGYKRSQSGSEEASSSSETTEPGDEEHPAEDSLPADFVAFMISLGWEKDKKVEPLGLEEIAVTVRANEELEQKLLSMEDNANIKIVLLYIYSGRGLDKELMKPNAGDKNNA >ORUFI02G22100.1 pep chromosome:OR_W1943:2:20292441:20296697:-1 gene:ORUFI02G22100 transcript:ORUFI02G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLCAFCFGLGMLFTDRFWTAPDTSNHIMSQRRRQDRELQLISEDCNTKRKHGEDKDIMGEVTKTHEAIQLLDKSISTLQMELAAKRSTLELLRASGSPVTSETSQPRKKAFVVIGVNTAFSSRKRRDSVRETWMPQGAKLQQLEDQKGIVIRFTIGHSATSNSILDKAIDSEDAQHRDFLRLDHVEGYHELSAKTKIFFSTAVGIWDADFYVKVDDDVHVNLGMLATTLARHKSKPRTYIGCMKSGPVLADKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKFANEDVSLGSWFIGLEVNHIDERNMCCGTPPDCEWKGQAGNVCVASFDWSCSGICKSVERIKEVHARCGEGDSAVWSALI >ORUFI02G22110.1 pep chromosome:OR_W1943:2:20299938:20302690:-1 gene:ORUFI02G22110 transcript:ORUFI02G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSFPAVWAVVGPGVAGAVFGVGWWFWVDAVVCSAAAVPFLHYLPGLFASFAALMFNCVKREDANYNYYSPYDDSEWRLKLWLFVSYVVSFVSLAGAVGFLVQDALTDTGPSAWTGVAGVLQSVFVLIRGLF >ORUFI02G22120.1 pep chromosome:OR_W1943:2:20304153:20305260:-1 gene:ORUFI02G22120 transcript:ORUFI02G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLTIGDLLSDLAVDGGADLAGGEVSVPSSPSSAGQQAEEADPSELNRLFGEHYDNLMKALQENDPSWPSLMLKLCTALKTADKLVSCASIDAEQLLQKVELLERLLVRGDRAVTAIVEELQRSRPSEDSHSSKSKPSGK >ORUFI02G22130.1 pep chromosome:OR_W1943:2:20305743:20308567:-1 gene:ORUFI02G22130 transcript:ORUFI02G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITASLLPLPATSSSSGRRSLPPPTTTFPRPPPPLRRHRHLSSSSSSASSTESDGGGGSTNGSLPGLPPVVVEEEEEEFCPVECVTEFKTEEELARVLERAKATGALVVVDFFRPSCGSCKYIEQGFMKLCKGSGDHGSSVVFLKHNVIDEYDEQSEVADRLRIKVVPLFHFYKNGVLLEAFATRDKERIIAAIQKYTAPSSPPAESEEPSQEG >ORUFI02G22140.1 pep chromosome:OR_W1943:2:20311831:20316212:-1 gene:ORUFI02G22140 transcript:ORUFI02G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSKSVPVPAGGGAATVPLAVLLRREVVSEKTAAERPELQVGLFSQAKKGEDYTFLKPDCERLPGVPSSSFSAFGLFDGHNGNGAAIYTKENLLSNILTAIPADLNREDWLAALPRAMVAAFVKTDKDFQTKARSSGTTVTFVIIDGLFITVASVGDSRCVLEAEGSIYHLSADHRFDASKEEVDRVTESGGDVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGQFIVPVPYVKQVKLSTAGGRLIISSDGVWDVLTAEVAFNCSRTLPPEAAAEQIVKEAVQQKGLRDDTTCIVVDILPDKANLTMPHTKKQPGMGVFKNMFRKKTPSDSSSHTDREYMDPDIVEEIFEDGCAFLSKRLDSEYPVRNMFKLFICAICQVELKPSQGISVHEDSSQPGNLRRWDGPFLCQGCQEKKEAMEGKRRSRGLICSTILRLETVGQHTQSPTRAEDFAPLAIVFGGTSSSIIWTFSEF >ORUFI02G22140.2 pep chromosome:OR_W1943:2:20311972:20316212:-1 gene:ORUFI02G22140 transcript:ORUFI02G22140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSKSVPVPAGGGAATVPLAVLLRREVVSEKTAAERPELQVGLFSQAKKGEDYTFLKPDCERLPGVPSSSFSAFGLFDGHNGNGAAIYTKENLLSNILTAIPADLNREDWLAALPRAMVAAFVKTDKDFQTKARSSGTTVTFVIIDGLFITVASVGDSRCVLEAEGSIYHLSADHRFDASKEEVDRVTESGGDVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGQFIVPVPYVKQVKLSTAGGRLIISSDGVWDVLTAEVAFNCSRTLPPEAAAEQIVKEAVQQKGLRDDTTCIVVDILPDKANLTMPHTKKQPGMGVFKNMFRKKTPSDSSSHTDREYMDPDIVEEIFEDGCAFLSKRLDSEYPVRNMFKLFICAICQVELKPSQGISVHEDSSQPGNLRRWDGPFLCQGCQEKKEAMEGKRRSRDSSSRNSGSSE >ORUFI02G22140.3 pep chromosome:OR_W1943:2:20311972:20316212:-1 gene:ORUFI02G22140 transcript:ORUFI02G22140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSKSVPVPAGGGAATVPLAVLLRREVVSEKTAAERPELQVGLFSQAKKGEDYTFLKPDCERLPGVPSSSFSAFGLFDGHNGNGAAIYTKENLLSNILTAIPADLNREDWLAALPRAMVAAFVKTDKDFQTKARSSGTTVTFVIIDGLFITVASVGDSRCVLEAEGSIYHLSADHRFDASKEEVDRVTESGGDVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGQFIVPVPYVKQVKLSTAGGRLIISSDGVWDVLTAEVAFNCSRTLPPEAAAEQIVKEAVQQKGLRDDTTCIVVDILPDKANLTMPHTKKQPGMVRNMFKLFICAICQVELKPSQGISVHEDSSQPGNLRRWDGPFLCQGCQEKKEAMEGKRRSRDSSSRNSGSSE >ORUFI02G22150.1 pep chromosome:OR_W1943:2:20322657:20323088:1 gene:ORUFI02G22150 transcript:ORUFI02G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEARASRLAARPAASAPGMEVEARAVPTGSMTGSDRGGDDDTIKDEDPAAETTMCGTERYPPRSSPTPASHAPASARRCRRCRRAPERREPHWPDLVVNEELASTAAPSLLGKGASRAGLDRALTNRVEPCMCCRVSRCRR >ORUFI02G22160.1 pep chromosome:OR_W1943:2:20330689:20331651:1 gene:ORUFI02G22160 transcript:ORUFI02G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAADGDDEVVREFGPILRVYKSGRLERPLVAPPVGPGHDAATGVHSRDVHLGDYSARLYLPPPAAAAERLPVVVYVHGGGFVAESAASPSYHLFLNRLAAACPALCVSVDYRLAPEHPLPAGYDDCLAALRWVLSAADPWVAARGDLDRVFLAGDSAGGNICHHLAMHHHHDAPPRRRLRGAVLIHPWFWGSEAVGEEAPDPEGRARGAGLWVYACPGTTGMDDPRMNPMAPGAPPLGRMACDRVMVCAAEGDFLRWRAHAYAAAVAAAKGGAAVEVLETAGAGHVFHLFDPDGDKAKELLDRMVTFVNGAGADAA >ORUFI02G22170.1 pep chromosome:OR_W1943:2:20338860:20345294:1 gene:ORUFI02G22170 transcript:ORUFI02G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAAAAAAASTEAPAVAAAAPHHHHPHPHAHGMHPHAHHHIQAQPRWVVIPYPPPHGMVAAAPPPPPPQFVKHFAPPASVTPPPPQQAQQAQAAAAAAAGGGSGGGNGDENRTIWVGDLQYWMDEGYLHNCFGPSGEVVTIKVIRNRHSGVSEGYGFVEFFSHASAEKALQNFSGHVMPNTDRAFKLNWASYSMGEKRAELASDHSIFVGDLAVDVTDEMLMDLFAKKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKTHAMTEMNGAYCSTRPIRIGPATPRRSSGDSGSSPPRQSDSDSTNRTIYVGGLDPNATEDELRKAFAKYGDLASVKIPVGKQCGFVQFVNRPDAEEALQGLNGSTIGKQAVRLSWGRSPASKQSRGDSGHRRNGMYYGTPFYGGYGYASPLPHPNMYAAAYGAYPYYGNQQLVS >ORUFI02G22180.1 pep chromosome:OR_W1943:2:20347975:20349649:1 gene:ORUFI02G22180 transcript:ORUFI02G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLPWTQRMEVFVFFSPPLSYSQCSNATNGELCRRTGRLGRPEVAAAPVEELAKSLHGLEVFDLRGKAKDRKFLSCFGRLIVFETIDAGCLQVVLCRKRADLLAAKQVSLVADSSLRISRNKENTSNAQSDAFFYSLYFFQSTRFHLQKHKMFSF >ORUFI02G22190.1 pep chromosome:OR_W1943:2:20350744:20355519:-1 gene:ORUFI02G22190 transcript:ORUFI02G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESESHGGGGGGGGGSGRHEAALKNDGFVRRDRSWYVNSDIPSDLVVKVGDVNFYLHKSSAADEAEADAAAAAVAVVEMGDLPGGAASFELAARFSYGMAVDLTAANISGLRCAAEYLEMTEEMEEGNLIFKTEAFLSYVVLSSWRDSIAVLKSCEALSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGAGTGSGGARGGPAAIRGGGGSGGTASPRWNVGGGGGGESKESSPSRQAVPPADWWFEDVSVLRIDHFVRVVTAIKVKGMRFDLIGAAITHYASKWLPGLTKDAPLGATHDEPWAQASAAGVGGGGLHMMIISGAGGGKDDVLAACSAPSREQRMVVESIISITPPQRDSVSCGFLLRLLRLAIMLRAAPALVTELEKRVGMQLEQAALADLLIPSYGGRAADTAYDVDLVQRLVEHFLVQEQTEMAVASSPGRGDPPPPPQPEYYSGRMPPSSAAAASASASTGGLNAKARVARLLDSYLSEVSRDRNLSLTKFQVLAESLPESARACDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSFDACMHAAQNERLPLRVVVQVLFSEQVKISNALASSSAALRSSSSAPGADAAPAMPTTRRQLLDGTPQSFQEGWAAAKKDINTLKFELESMKAKYLELQHEMDALQKQVDGRGGGAPSPAAAKIGKQQQQGTSASAWSSGWKKLGRLAKMSGADAAAGGGVAPPGGGEAAARKGPRRWRNSIS >ORUFI02G22200.1 pep chromosome:OR_W1943:2:20365764:20366387:1 gene:ORUFI02G22200 transcript:ORUFI02G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVSGAAVCCMCGDHGLPRELFRCGHCHHRLQHRYCSELYPRVAAYRRCNWCLREGRRRGGGGGTPVTAAAKRRMSAALETSTDDSNKVDKSSRRSCGGGGCSRSAFCAEPGKPVKKPKAAGDDDDGRVVVMPVEETATATTAATATALERKPQARKARFRVKVRRYKLLTEVLSC >ORUFI02G22210.1 pep chromosome:OR_W1943:2:20379803:20380271:-1 gene:ORUFI02G22210 transcript:ORUFI02G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWLGGKRGGDGGPTAQEVAAAPSPLLHLVGGDTTVVWRLRRHRLLRQIWREGRGCGGDPAMRGAAINDVLDECDVDVFLINDVLDMLGSA >ORUFI02G22220.1 pep chromosome:OR_W1943:2:20388073:20388454:1 gene:ORUFI02G22220 transcript:ORUFI02G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATTTAATATATTATTTATTATTVTTTTAVPSPPAPADDLDDSRRSSRPPRATAAVEKPPHQAPQGAPPLHRSCRFLTAAALLLLGGAGASYGQGAVDAATGGERLAQYERRGAALGGIDGTR >ORUFI02G22230.1 pep chromosome:OR_W1943:2:20389624:20390052:1 gene:ORUFI02G22230 transcript:ORUFI02G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHPVGPILLPHRAVEDGVEIGGYAVPRGAMVIFNAWAIMRDAAARERPDEFVPERFMETTIDFRGKEYEYLPFGSGRRLCPGLPLAERVVPFVLASLLRAFEWRLPDGVSADELDVSERFNTANVLAVPLKVVPLPVNVN >ORUFI02G22240.1 pep chromosome:OR_W1943:2:20392281:20393661:1 gene:ORUFI02G22240 transcript:ORUFI02G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLRHPRATADDAAADHPLVSSLEALRRLVFSPAATAAAPAAVLPAAVLQPFLDAVRSEDASAAVTSASLDALHEVMALMGLSLTGAALREVMDAVASCRFEAWAEAAAEEVLIWFAGGGMAAEHGDSVGRRILVGLHMDGVGKELLQWALNQAARSGDRVVAVHNLPQIRLLLGAGLQEPARADSVAHRAGGAQGEVWPRG >ORUFI02G22250.1 pep chromosome:OR_W1943:2:20392325:20393085:-1 gene:ORUFI02G22250 transcript:ORUFI02G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAKVEEKRREDEGERQASSACSIRISTTSSAAASAQASNRQLATASMTSRSAAPVRDRPMSAITSWSASSDAEVTAALASSERTASRKGCNTAAGEKTRRRSASREETSGWSAAASSAVARGWRRLRRITASTALASAEIVQARPASLTAAAAAGEGGGEE >ORUFI02G22260.1 pep chromosome:OR_W1943:2:20404783:20406568:1 gene:ORUFI02G22260 transcript:ORUFI02G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQMWLLWGALSVAIFFYLSTLRRRYAGGKPLPPGPTPLPLIGNLHLVGGGTFHHKLRDLARVHGPVMTLKLGLATNVIISSREAAVEAYTKYDRHLAARATPDTFRACGFADRSMVFIPSSDPQWKALRGIHASHVFTPRVLAAVRPIRERKVGDLIAYLRAHAGEEVLVGHAMYTGILNMVSFSYFSIDIVGMGSRMARELREVVDDIIVVVGKPNVSDFYPFLRPLDLQGLRRWTTKRFNRVFSIMGDIIDRRLAHIRDNKPRHDDFLDSILELMAAGKIDRVNVLNMLFEAFVAGADTMALTLEWVMAELLKNPGVMAKARAELRDVLGDKEIVEEADAARLPYLQAVLKEAMRLHPVGALLLPHFAMEDGVEVGGYAVPKGSTVLFNAWAIMRDPAAWERPDEFVPERFVERTPQLDFRGKDVEFMPFGSGRRLCPGLPLAERVVPFILASMLHTFEWELPGGMTAEELDVSEKFKTANVLAVPLKAVPVLIK >ORUFI02G22270.1 pep chromosome:OR_W1943:2:20417779:20420205:-1 gene:ORUFI02G22270 transcript:ORUFI02G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSWDRLGQAASVMQVTGVDAFGLVAMIVQAAHTARRNRDLCQQLAQHVQIVGGLLRKLQITELRRQPETRRPLEQLDDALLRAYKLVRSCSQQQESRSQLYGIISGPAVACKLREVQEEIDRYIQLIPMITLVAAVAVARGTEEVHEDVTNSSALITPPVDDSAQAGRPVSSLREVTEFLALEDEDLPPTGLSGYHEPAKNRWHQVIEDAVNSLFNCGGAYISLTESNLFFAIP >ORUFI02G22280.1 pep chromosome:OR_W1943:2:20424568:20425068:1 gene:ORUFI02G22280 transcript:ORUFI02G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNEKDCSNKTSGKAMVRRSNSKSPAASPTRLGDLPDKLLEHILLRLASPVWLAHAAATCKRWRRIVTNNEFPFHHDCPLPDPVAGHYHSRRRPDGRRGRLTFAPSSSAAALGVNARRHFSLDFLPGGCSSWELVRLIVKGGAKVLVLIFVSVAMVNVRLKLRNR >ORUFI02G22290.1 pep chromosome:OR_W1943:2:20432618:20437903:-1 gene:ORUFI02G22290 transcript:ORUFI02G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLGQAASVMQVTGVDAFGLVSMIVQAAHTARRNRDLCRQLAQHVQIVGGLLRKLQIPELRRQPETRRPLEQLDDALFRAYKLVRSCSQQQESRSQLYQMLKGADVACKLRAALEEIDRYIQLIPMITLVAAIAARGSKEVDEDVSSDAALIPPVDESALTRRPRLSPQGVTECTLSIKAVRPAVNGTVEESQAAGPRRFRYSELSRATRGFSNSEKLGVDSNGSVYRGFLRDQGLHVAIRRVLNTSRYGMTSIGEVTAIHRLRHPKLVRLLGWCHEEKELLLVYEFMVNRSLHDHLHKVQNTALPWPIRYKIILDLGAALHHCHKGGEPQLVHGDINPRNVMLDSSFSAKLGDFCLTRLIEHCRSPSESRMCCGTNATEYADLHRLITGQVMPWSDVYSFGVVLLEVASGRRPFHPYGEESLVGWVWQMYRRNDLLDAANQWLGGDFDSREMERMLLVGLWCAHPDYGLRPSIGQAMSVLLADEPLPEPLPPLMLPCDSLDNNRCIVSSTKKN >ORUFI02G22300.1 pep chromosome:OR_W1943:2:20445027:20445522:-1 gene:ORUFI02G22300 transcript:ORUFI02G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRGRLGLPRRHHPLPSSLAGFREEISGRRGGGDPTQHEGVWRRVEGAGGGVKWQSCQWQDWKSAGEGAPVRCDGGHMLPMLGWWYQSWRATDHNNGVESPRRKPSPVFHWTGSGYAFGRGNPLGGVVEVPSSLDEDLQVKPCPDFWTDNGGIF >ORUFI02G22310.1 pep chromosome:OR_W1943:2:20447432:20448934:1 gene:ORUFI02G22310 transcript:ORUFI02G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKEKDCSNKTSSGKATVRTKSKSPAVSPTTLGDLPDKLLEHILVRVASPVWLARAAATCKRWRRIVANDNFPFHMDHRLPNPVAGHYHSRRRPDGRDRSSRLITFVPSSSAAALGVDARRHFSLDFLPGGRSSWELVDSHGSLLLLAATSSTRRRGHRRRLFPDLVVCEPVTRLYKLIPRMEEMKHQRCLGVFLQGYLTSSSSNRSSIMSSLRVICVVYIEYSGVSDGMGTVRACVFDPNGSNSWKPRPRSACWYMFKPSWNMAKRGIHLRGSEHARLLGHAAGAVFWAIGGDDTLLVLDKRRTEFEVLRLPGSVRASELRAIVDGGNGDNDGKLRVVCLDEENVVRVFATWRGQHSNGEWVLQKSLRLEESTMGLAGYKAGRGGAAMVVAAATAGSVVLAPVEEMTWMFSVDLETMEIAECKEV >ORUFI02G22320.1 pep chromosome:OR_W1943:2:20450958:20452086:-1 gene:ORUFI02G22320 transcript:ORUFI02G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLLATMKGKGVPPVCLQQCTASVDTLSNALAAFFSASADVNKKYRDLDGFLVGFLKQPPICMSACPIRSCDMEEVTIADKFHQAWKMLGVFEPSIHLCSHN >ORUFI02G22330.1 pep chromosome:OR_W1943:2:20470830:20472329:1 gene:ORUFI02G22330 transcript:ORUFI02G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQVWLLWGALSVAVLFYLSTLRRRYAGGKPLPPGPTPLPLIGNLHLAGGTFHHKLRDLARVHGPVMTLKLGLATNVVISSREAAIEAYTKYDRHLAARATPDTFRACGFADRSMVFIPSSDPQWKALRGIQGSHVFTPRGLAAVRPIRERKVGDLIAYLRAHAGEEVLLGQAMYTGLLNLVSFSYFSIDIVDMGSQMARDLREVVDDIISVVGKPNISDFYPFLRPLDLQGLRRWTTKRFNRVFSIMGDIIDRRLAHIRDGKPRHDDFLDSLLELMTTGKMERVNVVNMLFEAFVAGVDTMALTLEWVMAELLHNPAIMARVRAELSDVLGGKEAVEEADAARLPYLQAVLKEAMRLHPVGALLLPHFAAEDGVEIGGYAVPRGSTVLFNAWAIMRDPAAWERPDEFVPERFLGRSPPLDFRGKDVEFMPFGSGRRLCPGLPLAERVVPFILASMLHTFEWKLPGGMTAEDVDVSEKFKSANVLAVPLKAVPVLIK >ORUFI02G22340.1 pep chromosome:OR_W1943:2:20482420:20489619:1 gene:ORUFI02G22340 transcript:ORUFI02G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEKEKYCNKGSTEKKLHTTKQMLDLFARSLELHRNEGHLLQQNQQKYNMLVNEINMICAQSAFLRISAPLSPLRPPPPPSHTVSPLHSLPGNCESIPAGGDLVGD >ORUFI02G22340.2 pep chromosome:OR_W1943:2:20482420:20492644:1 gene:ORUFI02G22340 transcript:ORUFI02G22340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEKEKYCNKGSTEKKLHTTKQMLDLFARSLELHRNEGHLLQQNQQKYNMLVNEINMICAQSIRV >ORUFI02G22350.1 pep chromosome:OR_W1943:2:20497794:20505990:1 gene:ORUFI02G22350 transcript:ORUFI02G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLGSPSSGGYGGKFAGASPAGGTTTMAPSAKQPSSRAPPPGITGGRNDLRILSPAAAAAAVGGLEMKKPEAEGIAESLQATHRKELEASIRKQLQGVELSPSPYDTAWVAMVPLRGSSHNPSFPQCVDWILENQWDDGSWSIDGSISTANKDVLSSTLACVLALNKWNVGREHIRRGLSFIGRNFSIAMDDQAVAPIGFGITFPAMLTLANGSGLEVPVRQNDIDSLNHLREMKIQREAGNHSRGRKAYMAYLAEGFGNLLEWDEIMMFQRKNGSLFNCPSSTAGALANYHDDKALQYLQSLVNKFDGVVPTLYPLNIYCQLSMVDALENMGISQYFASEIKSILDMTYSSWLGRDEEIMLDVTTCAMAFRLLRMNGYDVSSDELSHVAGASGFRDSLQGYLNDRKSVLEVYKTSKHSISENDLILDSIGSWSGSLLKEMLCSNGIQGTPGREEIEFALKYPFYSTLERLVHRKNIVLFDAKGSQMLKTECMPVHDSQDFLALAVDDFCISQSNYQNELNYLESWVKDNRLDQLHFARQKITYCYLSGAATTFRPEMGYARTSWARTAWLTAVIDDLFDVGGLEQEQENLLALMEKWEEPGEDEYYSEDVKIVFQALYNTVNEIGAKASALQGHDVTKYLVDVWLHVVRCMKVEAEWQRSQHLPTFEEYMESGMVSLGQGCTVMSALFLIGEKLPEGIVELEEYDELFRLMGTCGRLLNDIRGIEREESDGKMTNGVSLLVHASGGSMSVDEAKTEVMKRIDASRRKLLSLVVSEQEGPIPRPCKQLFWKMCKILHLFYYQTDGFSSPKEMVSAVDAVINEPLQLRLL >ORUFI02G22350.2 pep chromosome:OR_W1943:2:20497794:20506224:1 gene:ORUFI02G22350 transcript:ORUFI02G22350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLGSPSSGGYGGKFAGASPAGGTTTMAPSAKQPSSRAPPPGITGGRNDLRILSPAAAAAAVGGLEMKKPEAEGIAESLQATHRKELEASIRKQLQGVELSPSPYDTAWVAMVPLRGSSHNPSFPQCVDWILENQWDDGSWSIDGSISTANKDVLSSTLACVLALNKWNVGREHIRRGLSFIGRNFSIAMDDQAVAPIGFGITFPAMLTLANGSGLEVPVRQNDIDSLNHLREMKIQREAGNHSRGRKAYMAYLAEGFGNLLEWDEIMMFQRKNGSLFNCPSSTAGALANYHDDKALQYLQSLVNKFDGVVPTLYPLNIYCQLSMVDALENMGISQYFASEIKSILDMTYSSWLGRDEEIMLDVTTCAMAFRLLRMNGYDVSSDELSHVAGASGFRDSLQGYLNDRKSVLEVYKTSKHSISENDLILDSIGSWSGSLLKEMLCSNGIQGTPGREEIEFALKYPFYSTLERLVHRKNIVLFDAKGSQMLKTECMPVHDSQDFLALAVDDFCISQSNYQNELNYLESWVKDNRLDQLHFARQKITYCYLSGAATTFRPEMGYARTSWARTAWLTAVIDDLFDVGGLEQEQENLLALMEKWEEPGEDEYYSEDVKIVFQALYNTVNEIGAKASALQGHDVTKYLVDVWLHVVRCMKVEAEWQRSQHLPTFEEYMESGMVSLGQGCTVMSALFLIGEKLPEGIVELEEYDELFRLMGTCGRLLNDIRGIEREESDGKMTNGVSLLVHASGGSMSVDEAKTEVMKRIDASRRKLLSLVVSEQEGPIPRPCKQLFWKMCKILHLFYYQTDGFSSPKEMVSAVDAVINEPLQLRLL >ORUFI02G22350.3 pep chromosome:OR_W1943:2:20497804:20505990:1 gene:ORUFI02G22350 transcript:ORUFI02G22350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNIDDQVYSSWLGRDEEIMLDVTTCAMAFRLLRMNGYDVSSDELSHVAGASGFRDSLQGYLNDRKSVLEVYKTSKHSISENDLILDSIGSWSGSLLKEMLCSNGIQGTPGREEIEFALKYPFYSTLERLVHRKNIVLFDAKGSQMLKTECMPVHDSQDFLALAVDDFCISQSNYQNELNYLESWVKDNRLDQLHFARQKITYCYLSGAATTFRPEMGYARTSWARTAWLTAVIDDLFDVGGLEQEQENLLALMEKWEEPGEDEYYSEDVKIVFQALYNTVNEIGAKASALQGHDVTKYLVDVWLHVVRCMKVEAEWQRSQHLPTFEEYMESGMVSLGQGCTVMSALFLIGEKLPEGIVELEEYDELFRLMGTCGRLLNDIRGIEREESDGKMTNGVSLLVHASGGSMSVDEAKTEVMKRIDASRRKLLSLVVSEQEGPIPRPCKQLFWKMCKILHLFYYQTDGFSSPKEMVSAVDAVINEPLQLRLL >ORUFI02G22350.4 pep chromosome:OR_W1943:2:20497794:20501907:1 gene:ORUFI02G22350 transcript:ORUFI02G22350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLGSPSSGGYGGKFAGASPAGGTTTMAPSAKQPSSRAPPPGITGGRNDLRILSPAAAAAAVGGLEMKKPEAEGIAESLQATHRKELEASIRKQLQGVELSPSPYDTAWVAMVPLRGSSHNPSFPQCVDWILENQWDDGSWSIDGSISTANKDVLSSTLACVLALNKWNVGREHIRRGLSFIGRNFSIAMDDQAVAPIGFGITFPAMLTLANGSGLEVPVRQNDIDSLNHLREMKIQRFNCQYQSLS >ORUFI02G22360.1 pep chromosome:OR_W1943:2:20513150:20514562:-1 gene:ORUFI02G22360 transcript:ORUFI02G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSTRQTRAPNRFGVEEELVQSEIPDQPANQTDEQEAESDHMAKASQHSNGSGSTSCWTMSEPGQQATMINSNSHEGSNSVPCPTPSKRRGYKAGVECFICHEMGHYSWDCPQKAKIKCLHKVKTKPVQPTTILPNIPGSKGSKSPNSGSVLLTSPPVGQGRLNHVQVETNEKVVNLEQVEGAGEEQVPQARAKPQ >ORUFI02G22370.1 pep chromosome:OR_W1943:2:20516922:20517371:-1 gene:ORUFI02G22370 transcript:ORUFI02G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTGGRPSGGGGPALQRLRRHAAGKVASRSLARCREGARRRGRQAA >ORUFI02G22380.1 pep chromosome:OR_W1943:2:20523907:20526485:1 gene:ORUFI02G22380 transcript:ORUFI02G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLILALCLSALFVVVLSKLVSSAVKPRLNLPPGPWTLPLIGSLHHLAMTKSPQTHRSLRALSEKHGPIMQLWMGEVPAVVVSSPAVAEEVLKNQDLRFADRHLTATTEEIFFGGRDVIFGPYGERWRHLRKICMQELLTAARVRSFRGVREGEVARLVRASETSPTVLIWTLAELMRNPRIMAKAQAEVRQAVAGKTTITEDDIVGLSYLKMVIKETLRLHPPAPLLNPRKCRETSQVMGYDIPKGTSVFVNMWAICRDSRYWEDPEEYKPERFENNSVDYKGNNFEFLPFGSGRRICPGINLGVANLELPLASLLYHFDWKLPNGMAPKDLDMHETSGMVAAKLITLNICPITHIAPSSA >ORUFI02G22390.1 pep chromosome:OR_W1943:2:20532008:20534883:-1 gene:ORUFI02G22390 transcript:ORUFI02G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNKLILALGLSVLFVLLSKLVSSAMKPRLNLPPGPWTLPLIGSLHHLVMKSPQIHRSLRALSEKHGPIMQLWMGEVPAVIVSSPAVAEEVLKHQDLRFADRHLTATIEEVSFGGRDVTFAPYSERWRHLRKICMQELLTAARVRSFQGVREREVARLVRELAADAGAGGDAGVNLNERISKLANDIVMVSSVGGRCSHRDEFLDALEVAKKQITWLSVADLFPSSKLARMVAVAPRKGLASRKRMELVIRRIIQERKDQLMDDSAAGAGEAAAGKDCFLDVLLRLQKEGGTPVPVTDEIIVVLLFDMFTGASETSPTVLIWILAELMRCPRVMAKAQAEVRQAAVGKTRITENDIVGLSYLKMVIKEALRLHSPAPLLNPRKCRETTQVMGYDIPKGTSVFVNMWAICRDPNYWEDPEEFKPERFENNCVDFKGNNFEFLPFGSGRRICPGINLGLANLELALASLLYHFDWKLPNGMLPKDLDMQETPGIVAAKLTTLNMCPVTQIAPSSAEDAS >ORUFI02G22400.1 pep chromosome:OR_W1943:2:20561013:20567742:1 gene:ORUFI02G22400 transcript:ORUFI02G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQVLTAASSLPRATLLRPAAAEPWRQSFLQLQARPIQRPGIMLHCKAQLQGQETRERRQLDDDEHARPPQGGDDDVAASTSELPYMIESIKSKLRAARNSLGETTVSAYDTAWIALVNRLDGGGERSPQFPEAIDWIARNQLPDGSWGDAGMFIVQDRLINTLGCVVALATWGVHEEQRARGLAYIQDNLWRLGEDDEEWMMVGFEITFPVLLEKAKNLGLDINYDDPALQDIYAKRQLKLAKIPREALHARPTTLLHSLEGMEDLDWERLLQFKCPAGSLHSSPAASAYALSETGDKELLEYLETAINNFDGGAPCTYPVDNFDRLWSVDRLRRLGISRYFTSEIEEYLEYAYRHLSPDGMSYGGLCPVKDIDDTAMAFRLLRLHGYNVSSSVFKHFEKDGEYFCFAGQSSQSLTAMYNSYRASQIAFPGDDDGLEQLRAYCRAFLEERRATGNLRDKWVIANGLPSEVEYALDFPWKASLPRVETRVYLEQYGASEDAWIGKGLYRMTLVNNDLYLEAAKADFTNFQKLSRLEWLSLKRWYIRNNLQAHGVTEQSVLRAYFLAAANIFEPNRAAERLGWARTAILAEAIASHLRQYSANGAADGMTERLISGLSSHDWDWRESKDSAERSLLYALDELIDLHAFGNASDSLREAWKQWLMSWTNESQGSTGGDTALLLVRTIEICSGRHGSAQQSLKNSADYARLEQIASSMCSKLATKILAQNGGSMDNVEGIDQEVDVEMKELIQRVYGSSSNDVSSVTRQTFLDVVKSFCYVAHCSPETIDGHISKVLFEDVN >ORUFI02G22410.1 pep chromosome:OR_W1943:2:20575129:20581726:-1 gene:ORUFI02G22410 transcript:ORUFI02G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKNQLQDKIRKQLREVQLSPSSYDTAWVAMVPVQGSHQTPRFPQCIEWILQNQHDDGSWGTNLPGLNFIGKNFWVAMDEQTIAPVGFNITFSGLLNLATGTGLEFPVMQTDIDGIFHMRKIELERDAYGTASSRRAFMAYVSEGLGSLQDWDQLCMVDTLEKMGISNNFSCEISDILDMIYRLWIHNEEELMLDMGTCAMAFRLLRMHGYDISSDGMAQFVEQSSFDDSIHGYLNDTKALLELYRSSQIRCLEDDLILQDIGSCHAKEKANISHNAIIILSQVEYALKFPVYATLERLEQKRNIEQFKTKEQLKIEGFKLLKSGYRWDGHGEIGFSSECVEILFYAIYNTSKQIAEKAVPLQKRNVVDHIAESWWFTVRGMLTEAEWRMDKYVPTTVEEYMSAAVDSFALGPTITSAALFVGPELSEEVFRSKEYIHLMNLANTIGRLLNDMQTYEKEIKMGKVNSIMLHALSHSGGGRGSPEASMEEAKREMRRVLQGSRCDLLRLVTRDGGVVPPPCRKLFWFMSKVLHLVYMEKDGYFTADGMMASANAVILDPLQVTLLPSGLGTL >ORUFI02G22420.1 pep chromosome:OR_W1943:2:20601515:20601808:-1 gene:ORUFI02G22420 transcript:ORUFI02G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGADAGRGGEHAAEVEVERAAEERGRHGRRGERRGEGNHDAPWPLTPAIALSSANKRKDEAGVSLNQAAVREEEERRWFGERRGTRSRLRFGIG >ORUFI02G22430.1 pep chromosome:OR_W1943:2:20601921:20602187:-1 gene:ORUFI02G22430 transcript:ORUFI02G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKPFRPNPVLGSSNHLARHVVRKWRGGDESTSKLIVQQLREIRQSKRPGAATASCRCIMQPHAIVEPPRDCRHVIWALGPGAPGAP >ORUFI02G22440.1 pep chromosome:OR_W1943:2:20602955:20612437:-1 gene:ORUFI02G22440 transcript:ORUFI02G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTIPRSFTSWDNTKAVQQSASSSCQYKSLLFRWDMQHKNELHDKIRKQLRDVQLPPSSYDTAWVAMVPVQGSHQTPRFPQSIEWILQNQYDDGSWGTNLPSLVVNKDILLCTLACVVALKRWNTGRHHISRGLNFIGRNFLVAMDEQIVAPVGFNITFSGLLSLATGTGLGNLQDWNQVMAYQRKNGSILNSPSATAATIIHGHNYSGLAYLDFVTSKFGGPVPVMYPQNAYSQLCMVDTLERMGISKSFSCEISDILDMTYRLWMHNEEELMLDMRTCAMAFRLLRMHGYDITSDGMAQFVEQSSFDDSIHGYLNDTKALLELYKSSQLRCLEDDLILEEIGSWSARVEYALKCPVYAILERLEQKRNIEQFKTKEQLKIEGFKLLKSGYRGVIPNDEILALAVDEFHSSQSVYQQELQDLNSWVAQTRLDELKFARLMPSITYFSAAAILLPSESARIAWTQNCILTTTVDDFFDGEGSKEEMENLVKLIEKWDGYGEIGFSSECVEILFYAVYNTSKQIAGKAVPLQKRNVVDHIAESWWFTVRGMLTEAEWRMDKYVPTTVEEYMSAAVDSFAVGPIITSAALFVGPELSEEVFRSEEYIHLMNLANTIGRLLNDMQTYEKEIKMGKVNSVMLHALSHSSGGSGGSPEASMEEAKREMRRILQGCRFELLRLVTRDAGVVPPLCRKLFWLMSKVLHFVYMEKDRYFTAEGMMASANAVILDPLQVTLPPSDSGTL >ORUFI02G22450.1 pep chromosome:OR_W1943:2:20619344:20620882:-1 gene:ORUFI02G22450 transcript:ORUFI02G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKSELWMTAVATCMSLLLYLTILRRRHASGGRSLALPPGPTPLPLIGNLLCLGGIFHQTLAKLARVHGPVMTLKLGLTTAVVVSSAEAAREAYTKHDQRLAARPVPDAFRANGFSERSIVFSPSSDPQWKNLRGIHATHIFSPRALAALRGIRERKVRDIVGYIRTVAGEEMCVREVVHNGVLNLISTSFFSMDMADVRSESARGLRGLIEDIIATVAGPNVSDFFPFLRQLDLQGLRRQTGSHLGIVFGLLDDIIDRRMAETRDHPDKQRHGDFLDALISLASAGKIPRYHITYLLFDVFAAGADTMTTTVEWAMAELLRNPRVMAKVRAEVTDALGGRESFDEGDAASLTYLQCVFKEAMRLHPVGSILVPHLAVQDGVEIGGYAVPKGTTVIFNAWAIMRDPAAWESPDQFLPERFLHKEESSSPPLELRGKDYEYIPFGSGRRLCPGLPLAERAVPFILASLLHAFEWRLPDGMSPDDMDMTEKFATANVLATPLKAVPVASHTS >ORUFI02G22460.1 pep chromosome:OR_W1943:2:20625716:20627959:1 gene:ORUFI02G22460 transcript:ORUFI02G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDARISWSKTALLTSVVDDFFDVGGSKEEQENLLALMEKYALYTTVNEIGAKASALQGHDITKYLLETTEAEWQRSQYVPKFEEYMECGVTSLTHGATVISGMFFIGVKLTDDIIKHQEYNEIFRLVGTCGRLLNDIRGIEREAMDGKLTNGVSLLARESGGCMSIQEAKMEAQKRGGRYSKAMQAVILEDVQESSLALLFPYLTVRNQDIIPPFFNR >ORUFI02G22470.1 pep chromosome:OR_W1943:2:20631102:20632151:1 gene:ORUFI02G22470 transcript:ORUFI02G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRARCLCLLLLLLLVVGGGFHVANAQASPAPPQTGTRAVNRAVSTVITVVIGVFFVLVFVCVIVNQCCDCDSSAGAGAGQGQSSAARRRRGLDPAAVAAIPVVPYAEVRKHRSGGGGALECAVCLTVFDDGDDLRLLPQCSHAFHPDCIDPWLEGHVTCPLCRANLEKQPAPSPPAVEFSSSPAAAAAAAEESRTPEAAAVRVEEVAEASDEEEMRRREEEAVELESLRAVRRAARMPRSNSTGHSLCALPAPRAPGPGDGGDHERFTVRLPPHVREEVLKSRRLRHATSLVLGIRGSSREGSSRGGGGSWHGARRWPSFLARTVSWARGGVGADTSAKETPCRDAV >ORUFI02G22480.1 pep chromosome:OR_W1943:2:20635086:20635627:-1 gene:ORUFI02G22480 transcript:ORUFI02G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSEPHMSARLAVAAVDVATENASTGPAAREVPRVLGVRRCWVKEHRDATDENENSADSSSSMNHDPPWQVVLPWRATEDGDTVNYSMIRARTVLVLLSRGEPVNAQSQRRSRRRIRSCHRSTWALEVGCKWDGKGTLKLMPFHGLASTASTVVLRIAG >ORUFI02G22490.1 pep chromosome:OR_W1943:2:20636085:20640979:-1 gene:ORUFI02G22490 transcript:ORUFI02G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSPPAPSEDAPEDEQTTTQSSKEKQGSGGDSACCGKAPSGSGRLHHMRRSFSGGGGRRSLPSRHGRTSSSMLPFFSDVISISFFMAVFFPVFIVLLAFACLRLFRPLDDDDGEPALADTSSSEWSRRGGGGGNRAGLDAAEIAALPLVSYRDARRHRIGDARGDPLECAVCLLEFEDDDALRLLPACPHAFHPECIGLWLEKHVTCPLCRANVLDAPPPPPPPPPSLEQDTASPPPAHETVVVIGDAGASEEAEAEERIRIQCLAGVRRAAGRQALPRSNSTGHERGGGGMERFALRLPEHVRLEILMSHRLRHVTSAVASVRVREGSAHDAGAVRNAVARLLSLFVPGAGWKGDDEGKSSKAAEGSSRRRRDESARGGVGEEKRSE >ORUFI02G22490.2 pep chromosome:OR_W1943:2:20640985:20641838:-1 gene:ORUFI02G22490 transcript:ORUFI02G22490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPFPDPPKPPVPEPPKPGSDGACYDCPSPPPPPPPHSRDHRRVVIALATTASLLFAILLAFSVYCFIRRRRQQRARREALLAPPPSDAAGGGGAPGAPVAAGDGAVGGAGEGEGEGEVLHHAWHIRTVGLDEAAIESIALARYRAGAGMLGATDCPVCLGEFRDGELLRLLPKCGHAFHVPCIDAWLRAHVNCPLCRAHVLHPADADADAGDGERVPPRRRRSHRPSLEPHRSNRGPRKPWGSSTSSGYKSIGATSPARRSRRAGVPTRAARRVSGE >ORUFI02G22510.1 pep chromosome:OR_W1943:2:20644000:20648481:-1 gene:ORUFI02G22510 transcript:ORUFI02G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPTSSSVAALRGHPVQFVKGGAVSKEAKGSISFSPVANSNNANVKFTGLRVAASLKRDGAFPGDGYSGNDNTVLPKSTSVRGQDYPTADSVLPTESIIVPEISNAGLKCVADMFSDEDKDTEQDLDSPTEGFSSISEAIKDIQQGKLVIVVDDESRENEGDLIMAASLVTPEAMAFVVRYGTGIVCVSMKEEDLERLNLPLMVATKENEEKLCTAFTVTVDAKEGTTTGVSAKDRAKTVMTLASPDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPAAVLCEIVDEDGSMARLPKLRVFAERENLKIVSIADLIRYRRKRDRLVERSSVARLPLRWGNVRAYCYRSVIDGIEHIAMVKGEIGDGQGVLVRVHSECLTGDIFGSARCDCGDQLAMAMEMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEDLGLPILRDLGVRSMKLMTNNPAKYGGLKGYGLSIVGRVPLVTPITSENRRYLETKRTKMGHVYGLANGQASHQTGSNGAKGEH >ORUFI02G22510.2 pep chromosome:OR_W1943:2:20644002:20648142:-1 gene:ORUFI02G22510 transcript:ORUFI02G22510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPTSSSVAALRGHPVQFVKGGAVSKEAKGSISFSPVANSNNANVKFTGLRVAASLKRDGAFPGDGYSGNDNTVLPKSTSVRGQDYPTADSVLPTESIIVPEISNAGLKCVADMFSDEDKDTEQDLDSPTEGFSSISEAIKDIQQGKLVIVVDDESRENEGDLIMAASLVTPEAMAFVVRYGTGIVCVSMKEEDLERLNLPLMVATKENEEKLCTAFTVTVDAKEGTTTGVSAKDRAKTVMTLASPDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPAAVLCEIVDEDGSMARLPKLRVFAERENLKIVSIADLIRYRRKRDRLVERSSVARLPLRWGNVRAYCYRSVIDGIEHIAMVKGEIGDGQGVLVRVHSECLTGDIFGSARCDCGDQLAMAMEMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEDLGLPILRDLGVRSMKLMTNNPAKYGGLKGYGLSIVGRVPLVTPITSENRRYLETKRTKMGHVYGLANGQASHQTGSNGAKGEH >ORUFI02G22510.3 pep chromosome:OR_W1943:2:20644000:20648602:-1 gene:ORUFI02G22510 transcript:ORUFI02G22510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDEDKDTEQDLDSPTEGFSSISEAIKDIQQGKLVIVVDDESRENEGDLIMAASLVTPEAMAFVVRYGTGIVCVSMKEEDLERLNLPLMVATKENEEKLCTAFTVTVDAKEGTTTGVSAKDRAKTVMTLASPDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPAAVLCEIVDEDGSMARLPKLRVFAERENLKIVSIADLIRYRRKRDRLVERSSVARLPLRWGNVRAYCYRSVIDGIEHIAMVKGEIGDGQGVLVRVHSECLTGDIFGSARCDCGDQLAMAMEMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEDLGLPILRDLGVRSMKLMTNNPAKYGGLKGYGLSIVGRVPLVTPITSENRRYLETKRTKMGHVYGLANGQASHQTGSNGAKGEH >ORUFI02G22520.1 pep chromosome:OR_W1943:2:20662995:20664673:-1 gene:ORUFI02G22520 transcript:ORUFI02G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRPRSGSWGGGTRSSPVSTPRSRHERSKSVATIFSPSSPESYGGVVMEMEEKKTSCTLQEVDGLRQSDGGVDKEVVGGGAACSDDRVRLLEREVATAKATEMNMLESLIQQTKEMEQAKIALEEAKLEVATLRQQQGRAPAAEPAAAAQWSVMDLMFGGVDEEINGLRAKLRAAVQAEEKSRKAADDLTAALSAVTMEAKQVKAWLSDAQAELEDANAEVDRLRESLHAAEAELWSTTEQLDGLTSDWKEAAVSWRAREKVLLARVRAAEDEAHAAGQENVELAELHRVVDDENGSLRRALERAVEEVNAANESLELATGENSKLQDAVAEKESAMEALRQENESLKASEAEARGRAKELDGQLAAARKAADHGHGAGELAAAADPLSSLHKWRGDMHGKLSATFLDSNRVMAGRKDRMFASLSNIAELKSAAAAAAMDDFDYEFDHFDGGQYGDLDHAMKQKKRRSILRKFGDFFRRRSLYKRNLAPVIHY >ORUFI02G22520.2 pep chromosome:OR_W1943:2:20662995:20664673:-1 gene:ORUFI02G22520 transcript:ORUFI02G22520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRPRSGSWGGGTRSSPVSTPRSRHERSKSVATIFSPSSPEVTHWLVQSYGGVVMEMEEKKTSCTLQEVDGLRQSDGGVDKEVVGGGAACSDDRVRLLEREVATAKATEMNMLESLIQQTKEMEQAKIALEEAKLEVATLRQQQGRAPAAEPAAAAQWSVMDLMFGGVDEEINGLRAKLRAAVQAEEKSRKAADDLTAALSAVTMEAKQVKAWLSDAQAELEDANAEVDRLRESLHAAEAELWSTTEQLDGLTSDWKEAAVSWRAREKVLLARVRAAEDEAHAAGQENVELAELHRVVDDENGSLRRALERAVEEVNAANESLELATGENSKLQDAVAEKESAMEALRQENESLKASEAEARGRAKELDGQLAAARKAADHGHGAGELAAAADPLSSLHKWRGDMHGKLSATFLDSNRVMAGRKDRMFASLSNIAELKSAAAAAAMDDFDYEFDHFDGGQYGDLDHAMKQKKRRSILRKFGDFFRRRSLYKRNLAPVIHY >ORUFI02G22530.1 pep chromosome:OR_W1943:2:20670068:20673046:-1 gene:ORUFI02G22530 transcript:ORUFI02G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTHLLAGGGGGGSVAPPTAATAPTLAMEVGDPSGALVGGAGGAGAGGGDGDARDALLRLVALGDRMAAVRRRIAASISGESGPLSSSDIHSVSSEISSAAHLVVLNAASLLSSTVASLPAPPPPPATTVQELPPVVVSVPQEPPQEAAKGDGDYDVVELDASELLAEHVHFCDICGKGFRRDANLRMHMRAHGDRFKTLDALSRPGQPKPPAGREVRFSCPYAGCNRNRAHRRFRPLKSAVCARNHFRRSHCPKLYACERCGGKKRFAVLADLRSHLRHCGEEAQWRCSCGTTFSRKDKLFGHLALFEGHTPAIAEPNKGVATAAAEASISMMEEGGVEANCDREEDEEGGFDPKFFQEWMEELRGGAVAPNWPMVGRDDWEDE >ORUFI02G22540.1 pep chromosome:OR_W1943:2:20689497:20693559:1 gene:ORUFI02G22540 transcript:ORUFI02G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPSSMSGAGAGEAGVRTVVWFRRDLRVEDNPALAAAARAAGEVVPVYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGASRLVTRRSADAVVALIELVRSIGATHLFFNHLYGSIDPEFQIDPLSLVRDHRVKALLTAEGIAVQSFNADLLYEPWEVVDDDGCPFTMFAPFWDRCLCMPDPAAPLLPPKRIAPGELPARRCPSDELVFEDESERGSNALLARAWSPGWQNADKALAAFLNGPLMDYSVNRKKADSASTSLLSPYLHFGELSVRKVFHQVRMKQLMWSNEGNHAGDESCVLFLRSIGLREYSRYLTFNHPCSLEKPLLAHLRFFPWVVDEVYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQLEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPESVLQAAGIELGSNYPLPIVELDAAKTRLQDALSEMWELEAASRAAMENGMEEGLGDSSDVPPIAFPPELQMEVDRAPAQPTVHGPTTAGRRREDQMVPSMTSSLVRAETELSADFDNSMDSRPEVPSQVLFQPRMEREETVDGGGGGGMVGRSNGGGHQGQHQQQQHNFQTTIHRARGVAPSTSEASSNWTGREGGVVPVWSPPAASGPSDHYAADEADITSRSYLDRHPQSHTLMNWSQLSQSL >ORUFI02G22540.2 pep chromosome:OR_W1943:2:20689497:20693559:1 gene:ORUFI02G22540 transcript:ORUFI02G22540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPSSMSGAGAGEAGVRTVVWFRRDLRVEDNPALAAAARAAGEVVPVYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGASRLVTRRSADAVVALIELVRSIGATHLFFNHLYGSIDPEFQIDPLSLVRDHRVKALLTAEGIAVQSFNADLLYEPWEVVDDDGCPFTMFAPFWDRCLCMPDPAAPLLPPKRIAPGELPARRCPSDELVFEDESERGSNALLARAWSPGWQNADKALAAFLNGPLMDYSVNRKKADSASTSLLSPYLHFGELSVRKVFHQVRMKQLMWSNEGNHAGDESCVLFLRSIGLREYSRYLTFNHPCSLEKPLLAHLRFFPWVVDEVYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQLEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPESVLQAAGIELGSNYPLPIVELDAAKTRLQDALSEMWELEAASRAAMENGMEEGLGDSSDVPPIAFPPELQMEVDRAPAQPTVHGPTTAGRRREDQMVPSMTSSLVRAETELSADFDNSMDSRPEVPSQVLFQPRMEREETVDGGGGGGMVGRSNGGGHQGQHQQQQHNFQTTIHRARGVAPSTSEASSNWTGREGGVVPVWSPPAASGPSDHYAADEADITSRSYLDRHPQSHTLMNWSQLSQSL >ORUFI02G22550.1 pep chromosome:OR_W1943:2:20694445:20699349:-1 gene:ORUFI02G22550 transcript:ORUFI02G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSATPSTAASAPGRRKVALYLALLTLQYGAQPLISKRFVRQEVIVTTLVLSIEVAKVICAVILLVAEGSLKKQFNNWSITRSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLFTAFFTYLILGQKQSPKQIFALTLLIAAAVLLSIGESSSKGSGGGNSDYILLYGIIPVTVASVLSGLASSLCQWASQVKKHTSYMMTIEMSFIGSMCLLASTSQSPDGEAIRKHGFFHEWTLLTVVPVLMNAVGGILVGLVTTYAGGGFVIVSALLVTALLQFIFDGKPPSLYCLIALPLVMTSIFIYQKYPYVDRKKKD >ORUFI02G22550.2 pep chromosome:OR_W1943:2:20694445:20699349:-1 gene:ORUFI02G22550 transcript:ORUFI02G22550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSATPSTAASAPGRRKVALYLALLTLQYGAQPLISKRFVRQEVIVTTLVLSIEVAKVICAVILLVAEGSLKKQFNNWSITRSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLFTAFFTYLILGYVLVIPPIYILQCIDDAHDLMKQKQSPKQIFALTLLIAAAVLLSIGESSSKGSGGGNSDYILLYGIIPVTVASVLSGLASSLCQWASQVKKHTSYMMTIEMSFIGSMCLLASTSQSPDGEAIRKHGFFHEWTLLTVVPVLMNAVGGILVGLVTTYAGGGFVIVSALLVTALLQFIFDGKPPSLYCLIALPLVMTSIFIYQKYPYVDRKKKD >ORUFI02G22550.3 pep chromosome:OR_W1943:2:20694445:20699349:-1 gene:ORUFI02G22550 transcript:ORUFI02G22550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSATPSTAASAPGRRKVALYLALLTLQYGAQPLISKRFVRQEVIVTTLVLSIEVAKVICAVILLVAEGSLKKQFNNWSITRSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLFTAFFTYLILGYVLVIPPIYILQCIDDAHDLMKQKQSPKQIFALTLLIAAAVLLSIGESSSKGSGGGNSDYILLYGIIPVTVASVLSGLASSLCQWASQVVLVIVLSMKHPIAIVDPFNKTQVKKHTSYMMTIEMSFIGSMCLLASTSQSPDGEAIRKHGFFHEWTLLTVVPVLMNAVGGILVGLVTTYAGGGFVIVSALLVTALLQFIFDGKPPSLYCLIALPLVMTSIFIYQKYPYVDRKKKD >ORUFI02G22560.1 pep chromosome:OR_W1943:2:20700179:20704368:-1 gene:ORUFI02G22560 transcript:ORUFI02G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTARLLAAEDPPPPPAAAAERPRPAAAGSGLRSLSSAASGLWDRLSVLGAGVSKLEKALGDHFPEGERYFGLENFGNTCYCNSVLQALYHCIPFREQLLEYYATYKNTEDSEDNLLTCLADLFAQITLAKKRTGVLAPKRFVQRVRKQNELFRSYMHQDAHEFWNFLVNDIIDILEEDCRTANSSPETTPEEVSNGAANALANGARERPLVTLVHRTFQGILTNETKCLMCDTITAKDETFFDLSIDVEQNSSLTSCLKSFFSTEILNGEDKFFCDKCSSLQEAHKRMKIKKAPHVLVIHLKRFKYVEQLSRHKKLSYRVVYPLELKLGSMSEDADCEYSLFAVVVHVGSSPNHGHYVSQIKSHGNWLSFDDDTVQISEESTLQTFYGSSREHCGGNTDHGYILFYERLGGKS >ORUFI02G22570.1 pep chromosome:OR_W1943:2:20715000:20734408:1 gene:ORUFI02G22570 transcript:ORUFI02G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAVVWSVDSISIGIAVVGLDMSGGGSIANDGEEAAGGGGGGRGEVTFTVVMSCLTAGAGGLLLGYDIGVTGGVTQMESFLQAFFPEVLRKMSSAKQDAYCIFDSQVLNAFVSSFYLSTMVASLVAGHLTKTLGRRNSLLIAGVLFFAGTLLNLAAVNISMLIIGRILLGVAVGFSSLAAPVYLAEIAPARWRGAFTASIGLFGNLGFLMADMINYRATTMARWGWRLSLGAGIVPAVIVIVGAAFIPDTPNSLALRGRLDEARDSLRRIRGAADVDAELKDIVRAAEEDRRYKSGALRRLLRREYRPHLVMAVLIMVFFEMTGAIVVAIFTPLLFYTVGFTSQKAILGSIITDVVSIVSVAAAAAVVDRHGRRRLFMVGGAVLILCQIFPLEVRSAALGLGGTISSALTFMQSQSFLEMLCSFKYGAFAYYAGWLVMMTAFVAAFLPETKGVPIESMGALGMAGGGSIANDGEAAAGGNGGGDEVTFTVVMSCLTAGAVGLLLGYDIGVTGGLTQMESFLQAFFPEAFFPEVLRKMSSAKQDAYCIFDSQVLNAFVSSFYLSTMVASLVAGHLTKTLGRRNSLLIAGVLFFAGTLLNLAAVNISMLIIGRILLGVAVGFSSLAAPVYLAEISPARWRGAFTSSIGLFANFGFLMADMINYRATTMARWGWRLSLGAGIVPALIVIVGAASIPDTPNSLALRGRLDEARDSLRRIRGAGVAMAWIFGAELGTDGGRAMPRGYAVAMVAVVCMYAAGLCVSWVPLSSVVTSEIFPLEVRSAALGLGGAISSALTFMQSQSFLEMLCSFKYGAFAYYAGWLVMMTAFVAAFLPETKGRRWPSNLHHDGHAFVSTLSFDLSVDAKPTINPRSPHPPPDDRLIPLNYYL >ORUFI02G22570.2 pep chromosome:OR_W1943:2:20715000:20734408:1 gene:ORUFI02G22570 transcript:ORUFI02G22570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAVVWSVDSISIGIAVVGLDMSGGGSIANDGEEAAGGGGGGRGEVTFTVVMSCLTAGAGGLLLGYDIGVTGGVTQMESFLQAFFPEVLRKMSSAKQDAYCIFDSQVLNAFVSSFYLSTMVASLVAGHLTKTLGRRNSLLIAGVLFFAGTLLNLAAVNISMLIIGRILLGVAVGFSSLAAPVYLAEIAPARWRGAFTASIGLFGNLGFLMADMINYRATTMARWGWRLSLGAGIVPAVIVIVGAAFIPDTPNSLALRGRLDEARDSLRRIRGAADVDAELKDIVRAAEEDRRYKSGALRRLLRREYRPHLVMAVLIMVFFEMTGAIVVAIFTPLLFYTVGFTSQKAILGSIITDVVSIVSVAAAAAVVDRHGRRRLFMVGGAVLILCQIFPLEVRSAALGLGGTISSALTFMQSQSFLEMLCSFKYGAFAYYAGWLVMMTAFVAAFLPETKGVPIESMGALGMAGGGSIANDGEAAAGGNGGGDEVTFTVVMSCLTAGAVGLLLGYDIGVTGGLTQMESFLQAFFPEVLRKMSSAKQDAYCIFDSQVLNAFVSSFYLSTMVASLVAGHLTKTLGRRNSLLIAGVLFFAGTLLNLAAVNISMLIIGRILLGVAVGFSSLAAPVYLAEISPARWRGAFTSSIGLFANFGFLMADMINYRATTMARWGWRLSLGAGIVPALIVIVGAASIPDTPNSLALRGRLDEARDSLRRIRGAGVAMAWIFGAELGTDGGRAMPRGYAVAMVAVVCMYAAGLCVSWVPLSSVVTSEIFPLEVRSAALGLGGAISSALTFMQSQSFLEMLCSFKYGAFAYYAGWLVMMTAFVAAFLPETKGRRWPSNLHHDGHAFVSTLSFDLSVDAKPTINPRSPHPPPDDRLIPLNYYL >ORUFI02G22570.3 pep chromosome:OR_W1943:2:20715000:20734408:1 gene:ORUFI02G22570 transcript:ORUFI02G22570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAVVWSVDSISIGIAVVGLDMSGGGSIANDGEEAAGGGGGGRGEVTFTVVMSCLTAGAGGLLLGYDIGVTGGVTQMESFLQAFFPEVLRKMSSAKQDAYCIFDSQVLNAFVSSFYLSTMVASLVAGHLTKTLGRRNSLLIAGVLFFAGTLLNLAAVNISMLIIGRILLGVAVGFSSLAAPVYLAEIAPARWRGAFTASIGLFGNLGFLMADMINYRATTMARWGWRLSLGAGIVPAVIVIVGAAFIPDTPNSLALRGRLDEARDSLRRIRGAADVDAELKDIVRAAEEDRRYKSGALRRLLRREYRPHLVMAVLIMVFFEMTGAIVVAIFTPLLFYTVGFTSQKAILGSIITDVVSIVSVAAAAAVVDRHGRRRLFMVGGAVLILCQVAMAWIFGAELGTDGGRAMPRGYAVAMVAVVCMYAAGLCVSWVPLSSVVTSEIFPLEVRSAALGLGGAISSALTFMQSQSFLEMLCSFKYGAFAYYAGWLVMMTAFVAAFLPETKGRRWPSNLHHDGHAFVSTLSFDLSVDAKPTINPRSPHPPPDDRLIPLNYYL >ORUFI02G22570.4 pep chromosome:OR_W1943:2:20708472:20715424:1 gene:ORUFI02G22570 transcript:ORUFI02G22570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGAMVAAADAGAGAGVAPGYSSEITFTVVMSCLMAASGGLIFGYDISITGGLTQMQSFLEAFFPDIWAKMNNAEQDAYCIFDSQVLTTFVSSLYLAGVFACLIAGHVTRRVGRRNSMLIGASLFFVGAILNCAAVNIAMLVIGRILLGFAVGFTNQSAPVYLAEIAPARWRGAFTSIFHFFLNVGMFVADLVNYRANTIPVWGWRLSLGVAVVPAAVILVGAAFIPDTPNSLVLRGKLDEARASLRRIRGAAANIDAELKDIARAAEEDRQHHTGAFRRIVRREYRPHLVMAIAIPVFFELTGMIVVTLFTPLLFYTVGFSSQKAILGSIITDVVSLASIAAAALTVDRYGRRTLFMVGGGVLLVCLTGMAWTYGARLGSDGGKAMPRGYAVAVVALVCLYDAGFGISWGPLKWIIPSEIFPLEVRSAGQSMSEAISLALTFAQTQSFLRMLCSFKFGAFAYNAAWVVVMTAFVALLLPETKGVPIESLGAVWAQHWYWKRFVKPPPPPPSTAAETKQADGAPA >ORUFI02G22580.1 pep chromosome:OR_W1943:2:20718354:20718602:-1 gene:ORUFI02G22580 transcript:ORUFI02G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWLPERLGGAAASPHGAARQPGCHCGALSARQRGRRSRSGSSVTGIDASPDGNAKGAGDGGSSSSLSVSTLALPEYSWLD >ORUFI02G22590.1 pep chromosome:OR_W1943:2:20734582:20734934:1 gene:ORUFI02G22590 transcript:ORUFI02G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLAPCPPPLAPFASTGTRDREDVDFFFFPFLVLYKCGRLVQFISTIPGPGHQHAIQGLLVEPRMPSKDYLLNLANLTAGKRSGNKLPIVVFYHSGAFTIELVSSPMYQ >ORUFI02G22600.1 pep chromosome:OR_W1943:2:20751547:20754590:-1 gene:ORUFI02G22600 transcript:ORUFI02G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFPNIPEAKQEIQSLYRNYAHLFDMSSDNAGVDSRIMRRQPAMLIDRSVFLTGSAIWTIALHYKAHIYRWLLQKFSAIQPGYITTLRFTIIFIPHNSALAMTLTFGGICSFKTLNCAISKPSIQVYTTPKQIGFDGKCKQKNTERGRFWRILSTNEQYLTYIGALVTLQLFLQLSRANITTLLLPMLYQTTSSQRNAAVVGNIVIVLVNSFGILGSDFTTKHHGREVTFTVSAILMVFCQITIPLLVEAQIGLGGGTRILTGYTTATFLLTCVVSYGLSWSWGSLFCTIPGMKIQSAGQVIGMGLCFGLCFVQMQYFLLMLCRLKNAILAYYAMWIWS >ORUFI02G22610.1 pep chromosome:OR_W1943:2:20756739:20759507:-1 gene:ORUFI02G22610 transcript:ORUFI02G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVPVRKTHASTAGLLSWSESPGPDNAAAAAGAAAPPSSRPSLKPAGGITPAMFGAPVTDLEAEDLTKRKMCSGSKMKEMTGSGIFSAQGANGDSETGSGDSNPPSKTSLRMYQQTVTGISQISFSAEGSVSPKKPSSLPEVAKQRELSGTLESEADAKLKKQNSEAKSKELSGSDIFGPPPEIPSRPLAARNLELQGNLDFALPQPRSIHTSVKVSNPAGGPSNIMFGEEPAVKTAKKIHNQKFHELTGNNIFKEEAPPGSAEKSLSTAKLKEMSGSNIFADGKVASRDYFGGVRKPPGGGSSIALV >ORUFI02G22620.1 pep chromosome:OR_W1943:2:20760896:20767425:-1 gene:ORUFI02G22620 transcript:ORUFI02G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSDGGDARGRGRGPWRWRGGRGEKEAVGVGAGERLAREDVLPRRPRGGAVRAVISCSSYARLASGGGKLEGRHLLLSGLVSSFALVLLVSSELGDKGWKSGSGGRARKMDITDAGVDGVERDDGVVGWGRKWRGREAEAAAQRDKVLVEQVEA >ORUFI02G22630.1 pep chromosome:OR_W1943:2:20769482:20770996:1 gene:ORUFI02G22630 transcript:ORUFI02G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSPTNKQISSEDRVRGARGKRHHHSSDEWSMEHAEEHEHGRGGGERDDAAEPEAEQPELEQEELSDSESGAESIEISDLKKRMWKDQMLLMKLEGGRPGGGGGRGGGGLAAAGAGTSSEGQLEEETPEARCRRKAMLRAQDGVLRHMLKMMEACNARGFVYGVIDEAGQPMSGSSDSLRGWWKDNVSFDRAGPMALIGPAAAGDSPQAGGGGLHRLQDIQDSTLGSVLSALIQHCEPPQRSFPLERGLAPPWWPTGEEPWWGTQGETQAHQGAPPYRKPHDLKKAWKVSLLSAVIKHMSPRFDQMRKLVWQSKRLQQKMSAKESDTWSKVIRQEEALDRRLKTSLHITLLDADGGEEDDSDGLEDVVRGAAAQDKRKREYTRSGSGSSSGNSGGGKFPRGGSGGADHHQLAVMLPELAAAADQEGRSPINELMKLYYSCLQQEEGAADGGEAGGEGCDVAAAALAVPPEVLAGVDEVAQDVLFDLIGSYPEVDDVLHFMDE >ORUFI02G22640.1 pep chromosome:OR_W1943:2:20773284:20775557:1 gene:ORUFI02G22640 transcript:ORUFI02G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVSSANINARSMDRHEKSGFGSNKRVKISTYECDSFQRIIPTLPDELSFQILARLPRLYYLKLKLVSQAWKAAITSSELSQLRRELGLTEEWLYVLTKLEPNKLDCYALDPLFRKWQRLPPMPSFVSEEESTGRTQSSWFQTWNVVGSSIRIADFIKGWFRRRYGLDQMPFCGCSVGVADGCLYVFGGFSRAVALNCVFRYNPCLNVWQEVSPMISGRAFSKAALLQSKLYVVGGVSRGRNGLLPLRSGEVFDPKTGIWSELPEMPFMKAQVLPTAFLADVLKPIATGMASYKGKLQAGTKLGIVVNEELYTLEPSSSLDSGQIKRYDSEQDTWKTIVPQVPVHDFTDAEAPFLLAGLHGKVHVITKEANNNLQVMQAVLQNNIENSPSEENIIWNILASKNFGSAELVSCQVLDV >ORUFI02G22640.2 pep chromosome:OR_W1943:2:20773284:20775557:1 gene:ORUFI02G22640 transcript:ORUFI02G22640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVSSANINARSMDRHEKSGFGSNKRVKISTYECDSFQRIIPTLPDELSFQILARLPRLYYLKLKLVSQAWKAAITSSELSQLRRELGLTEEWLYVLTKLEPNKLDCYALDPLFRKWQRLPPMPSFVSEEESTGRTQSSWFQTWNVVGSSIRIADFIKGWFRRRYGLDQMPFCGCSVGVADGCLYVFGGFSRAVALNCVFRYNPCLNVWQEVSPMISGRAFSKAALLQSKLYVVGGVSRGRNGLLPLRSGEVFDPKTGIWSELPEMPFMKAQVLPTAFLADVLKPIATGMASYKGKLQAGTKLGIVVNEELYTLEPSSSLDSGQIKRYDSEQDTWKTIVPQVPVHDFTDAEAPFLLAGLHGKVHVITKEANNNLQVMQAVLQNNIENSPSEENIIWNILASKNFGSAELVSCQVLDV >ORUFI02G22640.3 pep chromosome:OR_W1943:2:20773284:20775557:1 gene:ORUFI02G22640 transcript:ORUFI02G22640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVSSANINARSMDRHEKSGFGSNKRVKISTYECDSFQRIIPTLPDELSFQILARLPRLYYLKLKLVSQAWKAAITSSELSQLRRELGLTEEWLYVLTKLEPNKLDCYALDPLFRKWQRLPPMPSFVSEEESTGRTQSSWFQTWNVVGSSIRIADFIKGWFRRRYGLDQMPFCGCSVGVADGCLYVFGGFSRAVALNCVFRYNPCLNVWQEVSPMISGRAFSKAALLQSKLYVVGGVSRGRNGLLPLRSGEVFDPKTGIWSELPEMPFMKAQVLPTAFLADVLKPIATGMASYKGKLYVPQSLYSWPFFFDIGGEIYDPELNSWETMADGLGDGWPARQAGTKLGIVVNEELYTLEPSSSLDSGQIKRYDSEQDTWKTIVPQVPVHDFTDAEAPFLLAGLHGKVHVITKEANNNLQVMQAVLQNNIENSPSEENIIWNILASKNFGSAELVSCQVLDV >ORUFI02G22650.1 pep chromosome:OR_W1943:2:20777742:20778008:-1 gene:ORUFI02G22650 transcript:ORUFI02G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMGGDFVEAYVLKNAYKEKLRRMEAAEAAAAAAVAGKKDVAAAADGSAGQKKAAAGGGMFGFMKKKVHPRAAETAPAPAMETSSA >ORUFI02G22660.1 pep chromosome:OR_W1943:2:20780801:20782893:-1 gene:ORUFI02G22660 transcript:ORUFI02G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEENPESFFAAAPPLRDADAVAARLGEFIARNSSAAGAGGGGRRIVCVTSGGTTVPLEQRCVRYIDNFSSGHRGAASTEGSCQPYCRFLPDDSFLKFFDVDAESKVQVAECHAPVVKKAIGDYCKAIEGGYLLKLPFTTIFEYLQLLKMVATSISSAGPLGMFYLAAAVSDFYVPWDSMAKHKIQSGGGPLDMRLSQVPKMLSVLRNQWAPLAFCISFKLETDSDILIQKADMALNKYKMNIVVANLLATYKEEVIIVTDKERSTIRKMNKDEDLEMQIIKILSQNHSKYICGSTNGCVQSPY >ORUFI02G22670.1 pep chromosome:OR_W1943:2:20782961:20783140:-1 gene:ORUFI02G22670 transcript:ORUFI02G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKTRRGGGTAVVSDKRQPRLMATRTCAVMAAVTDRRGSRFLAVEAGGVEASRVIHPL >ORUFI02G22680.1 pep chromosome:OR_W1943:2:20786485:20787166:1 gene:ORUFI02G22680 transcript:ORUFI02G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICGMTYSRGTGCQANDAGCASSHERRQRAGRSCARDVDGMGYDTGSIPRFASSHARRWRRTAVGAWLPLRRGSLPSSPFAGVPLCRDPPPQLVAPAAEMACRSGPAEVAKAAVSLTRMNRSAEFETASTADDAKPTFACVLLPLPPPVLPRLPMWLYV >ORUFI02G22690.1 pep chromosome:OR_W1943:2:20789829:20797750:1 gene:ORUFI02G22690 transcript:ORUFI02G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSHVGSPHPPCPSHVSPLPPPLSPSLWPLPASSPRRLRLSSPPLPPSSASSSRSLASRRRRRAHAARPNPSPSLAAALAMSAAAGAATLVASSASLSVPDHLRLRRFRLHLHPRPPPPHPQLRSRSLRQRRRFVLAVLQEDRSPSAPDEEARRYGLNGSAPSSGVGYDDAAVEAYLGTNGNGRGNGAAAVVKPAAESRSSAALVSAGPGPGDDERRRKERVEEIGREDAWFKQSGGDSKPEVSVAPGGRWNRFKTYSTIQRTLEIWGFVFKFIFRSWLNNQKFTYRGGMTEEKRVMRRKVLAKWLKESILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVSIIEEELGASVNKIFDRFDFEPIAAASLGQVHRACLNGKEVVIKVQRPGLKELFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAFNAEKFSENFKNMDYVKVPEILWEYTTPQVLTMEYVPGIKINRIKQLDKLGVDRKRLGRYAVESYLEQILSHGFFHADPISLQHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLEAFYGVYEKDPDKVLQSMIQMGVLVPTGDMTAVRRTAQFFLDSFEERLAAQRKEREMATEELGFKKQLTKEEKFEKKKQRLAAIGEDLLSIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYAMELLRFNEAGVEVIVKANLRVLSALGFILPQDARKRWERQSRAFYNLFRQPDRVEKLAQIIERLEQGDLKLRVRTLESERAFQRVAAVQKTIGYGVAAGSLVNLATVLYLNSIRLPATIAYSLCAFFGLQVLVGLLKVKKLDQQERLITGTA >ORUFI02G22700.1 pep chromosome:OR_W1943:2:20801713:20803903:-1 gene:ORUFI02G22700 transcript:ORUFI02G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSTAVERGVGLVVEVTLAVAGLVVVVAGGRWDGRRRGRRRSLSGALSLPRRKKCNVKSGKPSANEIKIRTKAQCQTLNQGCYSD >ORUFI02G22700.2 pep chromosome:OR_W1943:2:20801713:20803903:-1 gene:ORUFI02G22700 transcript:ORUFI02G22700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSTAVERGVGLVVEVTLAVAGLVVVVAGGRWDGRRRGRRRSLSGALSLPRRKKCNTKAQCQTLNQGCYSD >ORUFI02G22710.1 pep chromosome:OR_W1943:2:20812811:20814778:1 gene:ORUFI02G22710 transcript:ORUFI02G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGFPEIIRRGNPHLMDNSAVVIMGHSVASYTMDSHGRSSSCLDHLGSSMENSSIHGKRGNNRRSGHGVSAQDDGCGLVLGLGPSPEMGSSAARRSKAPAPATLFSQRSFSFTEPGVLSLGLHRGDHGGATIQHLEEAPAGNIISFAAAVDEGSTSARRSSGGYMPSLLFAPRPNASAPEEARHDVVADHTDNTVSGGGARHGHARRRVVRQLSPEPEPEPSATMTETSFGVSSDVVTTVTNPVTTQPAAAAAQSQRRHPKKCRFKGCSKGARGASGLCIAHGGGQRCQKPGCHKGAESRTAYCKAHGGGRRCMQLGCTKSAEGKTDHCIAHGGGRRCGHQGCPKAARGKSGRCIKHGGGKRCSVEGCIRSAEGRVGLCISHGGGRRCQYPDCRKGAQGSTLYCKAHGGGKRCVFDGCLKGAEGSTPLCKAHGGGKRCMFEGGGLCPKSVHGGTSFCVAHGGGKRCTVPGCGKSARGRTDCCVKHGGGKRCRVDGCAKSAQGSTEFCKAHGGGKRCTWATGCEKFARGRSGLCAAHGTLMASQQRRAGGGGSMIGPGLFHGLVGAMNNGYSSSGVSTASEYSDACDGAARRQELIPPQVLVPNSMKSSCSSAPPPSMGRGREGGGFVVPEGRVHGGGLLSLLGGSFRNVDVDEL >ORUFI02G22720.1 pep chromosome:OR_W1943:2:20817417:20819963:1 gene:ORUFI02G22720 transcript:ORUFI02G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVLTLTVLAFLCLVALGLSGRANANATAGRKMVGVYELKKGDFSIRVTNWGATLMSVILPDSKGNLADVVLGYDTVAEYVNGTAYFGGLIGRVANRIANARFTLDGKTYRLFRNDGNNSLHGGHRGFSKVIWTVKEHVAGGDSPRITLYYHSFDGEQGFPGDLDVFVTYELPRPYVLAVRMNATARGKATPVNLAHHAYWNLAGEGSGAASVLAETVRIHASRYTPVDAATLIPTGRVAPVAGTPYDFLAGAPVGARIVGAAVPGGAVSGYDTNYAVDGVAADGERRRLRPVAEVRDGATGRAMEVWADQPGVQFYTSNGLAGVRGKGGRVYGRYGALCLETQGFPDAVNHPSFPSQIVRPGQVYEHNMVFKFTF >ORUFI02G22730.1 pep chromosome:OR_W1943:2:20823371:20830238:1 gene:ORUFI02G22730 transcript:ORUFI02G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSVEILVNAWVRAMRITLNVLTEMRRQLHKQNFGAFNSFKHDYFMVIATQSIKKLVACGSSMCSWQQNSQDDPSTQSCAARESTKHTTQMILNLVMMYRALNYAMPELLALFSGRTEQIVLAEFRGLIDRSSSTVLQLFMELNNLIKSQRLVMVDIGVHHITRHITEYMRVLFEKKSTIYQMLDSKPNAFGELVMGLVSSLESMLEMNSRSLVLQGQKQVFLLNNLHFMIEQVKRCIDSGLILGESCLVQREDQLDQLITAYIEASWDPVISSFEKRTQVAIILWPHQLFDKFNSSFERIYSVQKTWKVTNPNVRLKLREAIIQKLIPVYQMQMGNQSEKKQMSARYSVEQLESQLLEMFEG >ORUFI02G22740.1 pep chromosome:OR_W1943:2:20848104:20849534:-1 gene:ORUFI02G22740 transcript:ORUFI02G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGGGRQQGDGPSDGDDGVPRSLIGGRPRPILEQIKLNATQMHNLRGTNSPAVGTPGMSLAAAAEVAVAAVLAAAAARAASAAADNANEAEGSGGNKQEAAVTTAPTSPEN >ORUFI02G22750.1 pep chromosome:OR_W1943:2:20853637:20854494:-1 gene:ORUFI02G22750 transcript:ORUFI02G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGGGGGGQGPPNGSGVPTNRPVFTIEGRVVEPPTLTAAQLDMIRSMYLSSLGVPPVNGFYPGAVQYPRGVFVPSNEYEAAGAARAAKRAKQTDISAENELAAKTTGTTPPPAAPKK >ORUFI02G22760.1 pep chromosome:OR_W1943:2:20856859:20857599:-1 gene:ORUFI02G22760 transcript:ORUFI02G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMTSKIAGALTLVLLLVLTAVTEGQVLPTPCCRIDCCDGKPECCDPGFAATVVAMAVTTPAAAVTTSKARPAATTAGTTMARKLVLLLMCCIVEATIRSLRA >ORUFI02G22770.1 pep chromosome:OR_W1943:2:20859356:20862647:-1 gene:ORUFI02G22770 transcript:ORUFI02G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRRGDREGKSAAGWTALSTTKTTLEEKGRLQANGSVGGDAGTSGFRRIVRLFFACMVAGGIQYGWALQLSLLSPYSQTLGISHSYVSLTWICGPIAGFVVQPIVGYYSDRCTMKMGRRRPFILVGCLIICISVMIIGFSADIGRHLGDTKEHCSTYTGPRWSAAMVYIVGFWFLDFANNTVQGPARAMMADLSAGHHGPNVGQSIFSLWMAIGSVLGYLSGANGKWHDICAVLANYGVRSIAPFVRWFPWLKTAACCDACANLKGAFFTAVLLIVVSMTVTMYLADEMPLDKQDVDTSGGGGCAVFVDLFKSLRNLPPAMFKVLAVTAVTWLSWFPFIQYNTDWMGREIYHGEPQGTAAKADVYDAGVREGAMGLLFCSVALGVTSFVIPKLCRRLTSKVVWSISNFLVFALMAVMVAVGMVSMRGYRPSLAAGLTGPDPTLKAVALVVFALIGIPQAVLFSVPWAVASEVTAEEGGGQGLAIGVLNIAIVVPQLVIALTAGPIDGAFNKGNTPAFGIGGAFAFICGVLALIWLPKTRGVSNAAVVAGGH >ORUFI02G22780.1 pep chromosome:OR_W1943:2:20864591:20868481:-1 gene:ORUFI02G22780 transcript:ORUFI02G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPADKGKKAKTDADGGAAEENEQIDGALVLSIEKLQEIQDELEKVNEEASDKVLEVEQKYSEIRRPVYLRRSDVIQTIPDFWLTAFLSHPLLSELLTEEDQKMFKYLESVDVDDSKDVKSGYSITLTFSENPYFEDKELTKTYAFADDGTTTINATSIKWKEGMEIANGNAKKKGSKRPLVEESFFTWFTDTEHKSLADGVQDEVAEIIKEDLWPNPLKYFNNEAEELGEDDDEEGSDADEGEEDEEEEN >ORUFI02G22790.1 pep chromosome:OR_W1943:2:20869998:20871021:-1 gene:ORUFI02G22790 transcript:ORUFI02G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTGVLATAAKESLIYLLWPNCGPGPHSRQGGNYTRPRPYGGNCHPWTQESKLSAKSALGNLVWPPKLDTLEVFSNLQRVQVKNLAEIRMSSTDPSLQNIGKSRDGPGKKNPSPIHIYTYVKRSF >ORUFI02G22800.1 pep chromosome:OR_W1943:2:20874166:20874498:1 gene:ORUFI02G22800 transcript:ORUFI02G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHCHQLAILVVLVLLASTPEVLAVRSLGVLAQTSSANASSAEQPRKLAEGNAAVAVTAAAAAAAARFDTSTEKNTAATGSSSPSTVFDPDRMSKRRVRRGSDPIHNKC >ORUFI02G22810.1 pep chromosome:OR_W1943:2:20876687:20879869:-1 gene:ORUFI02G22810 transcript:ORUFI02G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVAVDVGGLMASRGAGEEETGALIGMVECRICQEEDLAKNLESPCACSGSLKYAHRECVQRWCNEKGDIICEICHVSYKPGYTAPPQVHHDETTIEISSGDWSISGNRLDLHDPRILAMAAAQHRLLEDEYDEYTATNNNAAAFCRSIFLILMALLLLRHTLTITSSDDEDDASAIFSLFLLRAAGFLLPCYIMAWAISIMQRQRQRQEEAMLLPTEVAIILHRNGRTMQFAVAPESPTTPHPEQGQ >ORUFI02G22820.1 pep chromosome:OR_W1943:2:20885569:20885814:-1 gene:ORUFI02G22820 transcript:ORUFI02G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSSGGILPCRSSARSHGTTRRQGVGGRRWVPCRSGGDAASIRPSSDNNVAEGWQRWGDEALGEWLLLASMEIKGSAVS >ORUFI02G22830.1 pep chromosome:OR_W1943:2:20887213:20893609:1 gene:ORUFI02G22830 transcript:ORUFI02G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAADTAIMLVFVFRLLAFTLTILLSPLMWVTKRLGITVLIVLFPLLIVHHLIVNSPVSGPSRYQVIHSNLLGWLSDSLGNSVAQNPDNTPVEVIPADASASNSSDSGNSSLEGFQWLNTWNHMKQLTNISDGLPHANEAIDNARTAWENLTISVHNSTSKQIKKERQCPYSIHRMNASKPDTGDFTIDIPCGLIVGSSVTIIGTPGSLSGNFRIDLVGTELPGGSGKPIVLHYDVRLTSDELTGGPVIVQNAFTASNGWGYEDRCPCSNCNNATQVDDLERCNSMVGREEKRAINSKQHLNAKKDEHPSTYFPFKQGHLAISTLRIGLEGIHMTVDGKHVTSFPYKAGLEAWFVTEVGVSGDFKLVSAIASGLPTSEDLENSFDLAMLKSSPIPEGKDVDLLIGIFSTANNFKRRMAIRRTWMQYDAVREGAVVVRFFVGLHTNLIVNKELWNEARTYGDIQVLPFVDYYSLITWKTLAICIYGTGAVSAKYLMKTDDDAFVRVDEIHSSVKQLNVSHGLLYGRINSDSGPHRNPESKWYISPEEWPEEKYPPWAHGPGYVVSQDIAKEINSWYETSHLKMFKLEDVAMGIWIAEMKKGGLPVQYKTDERINSDGCNDGCIVAHYQEPRHMLCMWEKLLRTNQATCCN >ORUFI02G22840.1 pep chromosome:OR_W1943:2:20893071:20893919:-1 gene:ORUFI02G22840 transcript:ORUFI02G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATTRLPPPPAAAAAAAATPTPPARGGGGGGMEFRRKLYFLSSELHLDPFPLLALHPPLRAAPLPQLRASLALLLSHGLSAGDAARVFSAFPSLLTSPPGEHLRFLSADAPLPPALLRAAVVRSPRLLAASVPGTLRPALRFLRRRVALRRRPLPLAAALLLAFSVDRTLLPKLLFLRDATGMPDPAVCAILRRAPAILSYGIQTNLTPKLRFLADRMGRDPAVELAEFPHYFAFSLEGRIRPRHEALKERRVQMSLKDMLTISDDEFRERLVDAALSAPR >ORUFI02G22850.1 pep chromosome:OR_W1943:2:20910092:20911696:1 gene:ORUFI02G22850 transcript:ORUFI02G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASTPAASDGEIKLPPPHAVCMPFPAQGHVTPMMKLAKILHGRGFHVTFVHTEYNHRRLRCVHGADALAVAGLPGFRFATIPDGLPPCDADATQDAAAICQSTMTTCLPHFKSLLAGLNRSPGVPPVTCVVTDAGLTFGVDAAEDLGVPCALLWTASACGSLGYRHYRLFIDKGLVPLKGILTNGFLDTPVDWAFGMSKHARIGDFPSFLRTTDRDDAMLTYVLHETDHMADADAIIYNTFDELEQPALDALRATLQPAAVYTVGPLNLLAESLAPSSGGGDPLDALGSNLWREDDACLGWLDGRAPRSVVYVNYGSIAVMSNQQLVEFAWGLAGSGYAFLWVIRPDLVTGNDDAAAAAAAALPPEFMEATRGRGLLASWCPQEAVLRHEAVALFLTHSGWNSTLESLSGGVPMLSWPFFAEQPTNSLYKRAEWGVAMDVGGGGDVRREAVEARIREAMGGEKGRAMRKRAAEWSESAARATRLGGSSFGNLDSLIKDVLLSGRRS >ORUFI02G22860.1 pep chromosome:OR_W1943:2:20918348:20919155:1 gene:ORUFI02G22860 transcript:ORUFI02G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASSAPTVSAAVSSAGEPPMVKEWLRLLGHLVTGCRKLPAPALLGQTTWSRCQGHVLVDLLAAAAGDACDRGRRIDEQRAAHDVGDRRRSDGSGAARPCACAWLLAAIDLVGCLVDQSEKEVKVAGVSLSFLFFSSRPYTGSTATPSAAGDVTDGDHELCRIGVVRHHVAELKPNDEAAGHECGDLDEQHELTLLLPLRHRPLLESPLHTGSVIIVVLPPPPPIRSMLAPYLYPPLP >ORUFI02G22870.1 pep chromosome:OR_W1943:2:20922836:20924430:1 gene:ORUFI02G22870 transcript:ORUFI02G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAADEIKPHAVCLPFPAQGHVTPMMKLAKVLHCRGFHVTFVNTEYNHRRLIRSRGAAAVAGVPGFRFATIPDGLPPSDADATQDPASICYSTMTTCLPHFTKLLVDLDGSRAAGIPPVTCVVADGVMSFAVDAAKELGVPCALFWTASACGYMGYRHHRFFLDEGLSPLKVKHRNEERSGSHACMTRVLWCGVVFDVREDEEQLTNGFLDTVARPARGMSKHMRYRDYPSFIWTTDRGDILLNFLLHEVERADRADAVILNTFDELEQQALDAMRAILPPVYTIGPLGSLADRVVAPDAPAAAIRPSLWKEDTACLAWLDGREPRSVVFVNYGSITTMSNDELVEFAWGLANCGHGFLWIVRPDLVRGDAAVLPREFLEAVAGRGLLASWCEQEAVLRHGAVGAFLTHCGWNSTMESLSAGVPMLCWPFFAEQQTNARYSCAEWGVGMEVGGGVRREAVEATIREAMGGEKGKEMRRRAAEWKELGARATQPGGRSLVNLDNLIKEVLLPSKKCG >ORUFI02G22880.1 pep chromosome:OR_W1943:2:20937418:20939735:1 gene:ORUFI02G22880 transcript:ORUFI02G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMQPHAVCLPFPAQGHITPMMKLAKVLHSRGFHVTFVSTEYNHRRLVRSRGAAAAAGIPGFRFATIPDGLPPSDADATQDPPSLSYSTMTTCLPHFRKLLADLNNRLAPDDDDAAPPVTCVVADHLMGFSLDAAAELGVPCALFWTASACGYMGYRNFRLLIDMGIIPLKGEEQLTNGFMDMAVDWAPGMSKHMRLKDFPTFLRTTDRNDILMTFQLRQVERAEEADAVVLNTFDELERPALDAMRAITPAIYTVGPLAFLTEQIPPGGPLDDISPSLWREDDACLRWLDGRNPRSVVYVNYGSVTVMSGHELEEFAWGLAGSGHDFLWIVRPDVVTRTAAATAAEAALPREFTEATKGRGLVASWCDQEAVLRHPAVGLFLTHSGWNSTVEALSGGVPMLCWPFFAEQQTNCRYKCVEWGVAMEVGDSVRREAVEGRIREAMGGGEKGKEMRRRAAEWKEAAARARGRSLANLERLIGDVLLSGKKDRVI >ORUFI02G22890.1 pep chromosome:OR_W1943:2:20941357:20943097:1 gene:ORUFI02G22890 transcript:ORUFI02G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEEIEDGEPSTPTYKAHHPPPHLPPPMRSSGVSLVLSVADLVLRFVAIGGTAGSAIAMATTSETLPFAAPFVRFRAEYSDLPTLMFFVVASSVVCAYLVLSLPASVVHVVRPGARSSRAILAFLDTVMLALLTASASAAAAIVYLAHRGSARANWLGICQQFTSFCQRITASLVGSFAAAVVLVALVFLSALSLARRA >ORUFI02G22900.1 pep chromosome:OR_W1943:2:20942530:20943353:-1 gene:ORUFI02G22900 transcript:ORUFI02G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAKHLILCSTATSSSSSASSPTSARRRGTASQADAAAAAGERHQRGGPQSATRRLAVAASTALAATAALSARRPAAPPPAMAAEAAAVPPPPPGPGTVPRWGTRSYVRERFFEPGLTAEEAAARIRQTAEGMRTLRPMLETMSWKYVLFYVRLKSKYLDLDLTTAMFGVPEARRADYVRVANELVDNMTEFDRFVRTPKVYESYLYYEKTLKSLDDVTEFLA >ORUFI02G22910.1 pep chromosome:OR_W1943:2:20945040:20945642:-1 gene:ORUFI02G22910 transcript:ORUFI02G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMCQPAVVKIVARIYYYSPTWRAAVARPRVVRVRHRPCQRAPWRCRAEQIERHGGIKSWSILSAVSGLTVMVAVYWGRHIGADPVPGSGWVDDVGLLGAQGGQGRDDPVTGGEERGIEGGAEGVVLVDPLVACNGGGEGRANGVDTLDMVEVRGVDGGDQHPHAHIAVADLCRRQPSHPEDFVGAGRGDRRGRLWWAGT >ORUFI02G22920.1 pep chromosome:OR_W1943:2:20953042:20955496:1 gene:ORUFI02G22920 transcript:ORUFI02G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSGGGGGGGLLDLEHHFAFYGAYHSNAVNVFIHTLFVWPIFLTALLLLHLAAPSAHAAAAGAAVYGAFYLLLDRRAGALAALLCFLCWAASAALAARLGFSAGWKVVLVAQLFCWTMQFIGHGKRAPALLDNLVQAFLMAPFFVLLEALHKFVGYEPYPGFHAKVQKLIDEARKEWEDKKAKKMT >ORUFI02G22930.1 pep chromosome:OR_W1943:2:20967177:20969209:1 gene:ORUFI02G22930 transcript:ORUFI02G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARQQQQQQEMDLPPGFRFHPTDEELITHYLLRKAVDPAGFAARAVGEADLNKCEPWDLPSRATMGEKEWYFFCVKDRKYPTGLRTNRATESGYWKATGKDREIFRGKALVGMKKTLVFYTGRAPRGGKTGWVMHEYRIHGKHAAANSKDQEWVLCRVFKKSLELAAPAAAGRRGAGAGTDVGPSSMPMADDVVGLAPCALPPLMDVSGGGAGTASLSATAGAAAAPPPAHVTCFSNAMEGQFLDTPYLLPAADPADHLAMSSASPFLEALQMQYVQDAAAAAGGAGMVHELLMGGGWYCNKGERERLSGASQDTGLTSSEVNPGEISSSSRQQRMDHHDASLWAY >ORUFI02G22930.2 pep chromosome:OR_W1943:2:20967259:20969209:1 gene:ORUFI02G22930 transcript:ORUFI02G22930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSIVYVSGLVDAISQAAAAAAGDGPAAGLPVPPHRRGAHHALPPPQGRRPRRVRRARRRRGRPQQVRAMGPAIEGDDGGEGVVLLLREGPEVPDGAEDEQGDGVRVLEGDGEGPGDLQGEGPRRHEEDARLLHREGPPRRQDRMGHARVPHPRQARRRQFQGSGVGAVQGVQEEPRAGGAGGGRQERRGRGDGRWAVVDAHGGRRRRPRPVRAPAADGRVWRWRRHSLAVSDRGRGGGAAASARDLLLQRDGGPVPGHTVPPPRRRSRGPPRHVLRLAVLGGPADAVRPGRRRRRRRSGHGARAAHGRRLVLQQGREGEAERRLAGHRPHVVGGEPRRDLVVVAAATHGSSRRVPLGLLKYSFIIIENCISHYY >ORUFI02G22940.1 pep chromosome:OR_W1943:2:20976357:20978537:1 gene:ORUFI02G22940 transcript:ORUFI02G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEAGLKKGPWTPEEDQKLLAYIEQHGHGCWRSLPTKAGLRRCGKSCRLRWTNYLRPDIKRGKFTLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLARMGIDPVTHKPRVDADADVAAGGGAAGGGARSRAAAHLSHTAQWESARLEAEARLAREAKLRALASPPPATAALSGVDSPTSTLSFSESALFGAGSAAPDIHGAARAAAVQAVQSSYGEACQEHHFGGATAETSFAGAGTLAGVLLDCSVTGADQRFAERTEACSGELQGEDDDDKGYWNSILNMVNSSMSSSSSSLTSEVVTDTEMFLPATAAAAASATPVEF >ORUFI02G22950.1 pep chromosome:OR_W1943:2:20999631:21007009:1 gene:ORUFI02G22950 transcript:ORUFI02G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLMIFSSTGRLYEYSSTSMKSVIDRYGKSKDEQQAVANPNSELKFWQREAASLRQQLHNLQENHRQLMGEDLSGLNVKELQSLENQLEISLRSVRTKKDHVLIDEIHELNRKGSLVHQENMELYKKISLIRQENAELYKKIYETEGPSEVNRDSPTPYNFAVIEKTNVPVQLGLSTLPQHSDAEQSTAPKLG >ORUFI02G22950.2 pep chromosome:OR_W1943:2:20999631:21007009:1 gene:ORUFI02G22950 transcript:ORUFI02G22950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLMIFSSTGRLYEYSSTSMKSVIDRYGKSKDEQQAVANPNSELKFWQREAASLRQQLHNLQENHRYGLKNPAKFPSILFIRNLLEREALACTI >ORUFI02G22950.3 pep chromosome:OR_W1943:2:21004369:21007009:1 gene:ORUFI02G22950 transcript:ORUFI02G22950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLSGLNVKELQSLENQLEISLRSVRTKKDHVLIDEIHELNRKGSLVHQENMELYKKISLIRQENAELYKKIYETEGPSEVNRDSPTPYNFAVIEKTNVPVQLGLSTLPQHSDAEQSTAPKLG >ORUFI02G22950.4 pep chromosome:OR_W1943:2:21003794:21007009:1 gene:ORUFI02G22950 transcript:ORUFI02G22950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLSGLNVKELQSLENQLEISLRSVRTKKDHVLIDEIHELNRKGSLVHQENMELYKKISLIRQENAELYKKIYETEGPSEVNRDSPTPYNFAVIEKTNVPVQLGLSTLPQHSDAEQSTAPKLG >ORUFI02G22950.5 pep chromosome:OR_W1943:2:20999631:21002059:1 gene:ORUFI02G22950 transcript:ORUFI02G22950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLMIFSSTGRLYEYSSTSMKSVIDRYGKSKDEQQAVANPNSELKI >ORUFI02G22960.1 pep chromosome:OR_W1943:2:21017555:21018430:-1 gene:ORUFI02G22960 transcript:ORUFI02G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYQYTSALPVNFVDAAAFAEEQVFEAGGRWTTSPRFGRLPSDREREPHTRRPESERSTKAPRRGSMYSKPEDVGGGVTTAFAMQGKVPLAAWSTGLFNCFDDCGNCCVTCLCPCITFGQIAEIIDRGSSSCGTSGALYALVMLLTGCNCVYSCFYRAKMRSQYGLQEKPCADCPVHFFCEPCALSQEYRELKKRGFDMNLGWHANMERQGHKPAMTMPPHMFPGMTR >ORUFI02G22970.1 pep chromosome:OR_W1943:2:21025562:21026408:-1 gene:ORUFI02G22970 transcript:ORUFI02G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQAAPVPWSTDLFDCFDDSSNCFMTWLCPCITFGQIAEIVDRGSSSCGTSGSLYALVFLVTGCSCIYSCIYRSKLRSQYGLQETPCPDCLVHLWMARKHGEARAKPGGDHGAGDVPWDDTLIDRLISG >ORUFI02G22980.1 pep chromosome:OR_W1943:2:21031645:21035606:-1 gene:ORUFI02G22980 transcript:ORUFI02G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSEGESANPSPCPAGPAAAAAVAGAAGVGVGAAAWPRRRCRDVFWLVVFLLHLLVFGGALALTGLNRFGQADRFNIDRFTNLTAAPRFAGSPEPAREAPPPPSLEAEEVTPKSELTESYWPYYGAAGAVGTALAVLCFWGKHFFWGVAFAVGGALHFLYVMSVLDRFPFTMLVLQKAVRMVWELPDVMRIAYAFVLVMLCWMALWSFGVSGILAFHIPNGGQWWALLIFSVSLFWTGAVLSNTVHVIVSGMVFLVLIHGGQAAASMPPKPLLKSLQYAVTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGNNECLLCCVDFLFHIVETLVRFFNKYAYVQIAINGQSFNRSARDAWELFQSTGVEALVAYDCSGAVLLMSTILGGLITGTCTGVWTYFKQSDKAIMVGSTSMLMGMILVGVTVVVVESAVTSIYICYAEDPRLIQRWDPDFFDQMSEALHQRLQYRSARAHQILNSRLDQLPNTSSI >ORUFI02G22990.1 pep chromosome:OR_W1943:2:21037550:21041464:1 gene:ORUFI02G22990 transcript:ORUFI02G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRCTLIKEYRGKIETELSKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKEAPKGESGDGQ >ORUFI02G22990.2 pep chromosome:OR_W1943:2:21037227:21041464:1 gene:ORUFI02G22990 transcript:ORUFI02G22990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRCTLIKEYRGKIETELSKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKEAPKGESGDGQ >ORUFI02G22990.3 pep chromosome:OR_W1943:2:21037227:21041464:1 gene:ORUFI02G22990 transcript:ORUFI02G22990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRCTLIKEYRGKIETELSKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKEAPKGESGDGQ >ORUFI02G22990.4 pep chromosome:OR_W1943:2:21037550:21041833:1 gene:ORUFI02G22990 transcript:ORUFI02G22990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRCTLIKEYRGKIETELSKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKEAPKGESGDGQ >ORUFI02G22990.5 pep chromosome:OR_W1943:2:21038123:21041464:1 gene:ORUFI02G22990 transcript:ORUFI02G22990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRCTLIKEYRGKIETELSKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKEAPKGESGDGQ >ORUFI02G22990.6 pep chromosome:OR_W1943:2:21037227:21041833:1 gene:ORUFI02G22990 transcript:ORUFI02G22990.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRCTLIKEYRGKIETELSKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKEAPKGESGDGQ >ORUFI02G23000.1 pep chromosome:OR_W1943:2:21045058:21047724:-1 gene:ORUFI02G23000 transcript:ORUFI02G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASEELEQRSRYLSSLIRRTKLHAAPALAPPPPTPPPEPETKLQLEMEPQPERVEEAAKKPAAVAAVVEKREVKGGGGGGGQAGKGKGKGKGKEKEMEKGKEERKVSVRVRAADMPLAMQRRAVRLAFDAVAAMPRLDSKRLALALKKEFDATYGPAWHCIVGTGFGSYVTHSVGGFLYFSVDKVYVLLFRTAVEPLGHPQ >ORUFI02G23010.1 pep chromosome:OR_W1943:2:21051423:21052271:-1 gene:ORUFI02G23010 transcript:ORUFI02G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGQAAASLLTKLAQAAAGLGIAASAASTALYTVDGGQRAVIFDRFRGVLPETSSEGTHFIVPWLQKPFIFDIRTRPHSFSSTSGTKDLQMVSLTLRVLARPDVDRLPDIFTSLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRDSLIRRAAEFNIVLDDVAITHLAYGPEFSQAVEKKQVAQQEAERSRFLVARAEQERRAAIVRAEGESEAARLISEATAAAGTGLIELRRIEAAKEIAGELARSPNVSYIPAGDSSQMLLGLSGAR >ORUFI02G23020.1 pep chromosome:OR_W1943:2:21054210:21056314:1 gene:ORUFI02G23020 transcript:ORUFI02G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVDDVGKPRASRKVCVIGAGMAGLAAARELRREGHAVTVLEQAGDVGGQWLYDDPRADDDDEDPLAAAAAAAKPVRVHSSMYASLRLLGPREVMGFSDFQFVPARKSRCGGGDNPGGRDPRRFPGHREVYLYLRDFYRAAGLTDSVRFNTRVVRVAVAPPPCRGGPGDALRWVVRSMDAGLWKRCTDDQMAEAHCVEEVFDAVVVATGHYSQPKLPSIQGMGDWKRRQMHSHWYRVPDSFRDEVVVLVGCGDSGMDIALDLLAVAREVHLSAKSVEAAATPAMSKMLARHANLHLHPQIERLCDDGRVVFADGGGGVVAADTVMYCTGYRYSFPFLDTEGKVAVDDDDNRIGPLFEHTFPPSLAPSLSFVGIPRKVMVPWFFEAQGRWIAGVLSGRRALPSEEEMTRSVEEFYRARELAGVPKAHTHDVEPHKMYELGEKYCDFPRTEEWKRELMAIISRNTSDDMETFRDRDDDSDNVRRCLQEWYALAEHQAQDEEDPAAAAAQAPVHSSL >ORUFI02G23030.1 pep chromosome:OR_W1943:2:21059150:21066353:1 gene:ORUFI02G23030 transcript:ORUFI02G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESQVEGMRKAYAEIMLNMAQESAARVLAAERRAAALAGGLAAAREDGVAALVRLKAIMEARFSQARKNNQTDKCSGCLSRQNLSSYQIKEAESQSLANINKIKELQEQLHGAQDTVASLQIELQRSNTELEQARSTLAEERRNNLRSCNKINSNKNSSSSSRKHLQGRVSSKSKNTAKESGAVENLETLYRCDSDLGSFMARTKNPELYRNGCTQRIRAIKQRSPNSDTSLVENSKQTSALNSRSKTGKTDTNRNPQSTRSIMEQILQTKFLANCKRKRGRRSRPSYMHDNSGEHGQTEYKSSDTSDGNGCLLLLQALEQDLSPLKASSGSVGEGLADQKDELLKDEKDADLNLHPASPGPNDVLSVNNMQMKRRKRSKTMRVFESDFEAKAAPEFGNTLPKSSNNNSMLNSEQSSDPPAGNNGPVLQCTAENLMHVTDAANADQLKSENSSPLVPQSTESEIGDEGNSRVDHKECRTPDNNAIVLEEVNVDKSCIILASDGADSSIVSSLDKEENAKEATSGVAVQAEGARYIKYTFNRRKRKAAPLDSTPQGAVPEKSSSVVCPSENHEPHAKPETQDLVIESPPGDNQLIHVAQQLILLSAQK >ORUFI02G23040.1 pep chromosome:OR_W1943:2:21069738:21071294:-1 gene:ORUFI02G23040 transcript:ORUFI02G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDASSPSTARDAKKKRIRGNRSAKLKQSKLDVRREQWLSQESDPSSVWIGLVKDGKEVKAVVSPGAAAGANSGSPILASPHPPLPRRRAEIRTREGDPEDFKEDSVGASQDVGSSDHESPLHSPVSYNPPIGCLQQKHCSGNGGGRSFSSGSSAWSSSRSVTDSDDDTGGSPENDDDGVLDDWEAVADALSVDDNHNHQDPVPADPPVVPASCPVPANAATRQEPIKSSTRAWSPDDAFRPQSLPSLSKQVSFPASMGNCWVAMGIGSAQKGVPSKPTSCPICYEDLDPTDSSFLPCPCGFHLCLFCHKRILEADGRCPACRKQYISASSGGETVGSEREMGNLRLSRSCSMGPRY >ORUFI02G23050.1 pep chromosome:OR_W1943:2:21074339:21079195:-1 gene:ORUFI02G23050 transcript:ORUFI02G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADAAAVPNDDTTTTAWWSCKSCGKDNTTVAAGGGHGGGADDGMVVDYRGNPVDKSKTGGWLGAGLILGTELAERVCVMGISMNLVTYLVGDLHLSNAKSANIVTNFMGTLNLLALVGGFLADAKLGRYLTIAISATIAATGVSLLTVDTMVPGMRPPPCADARGAGAHLRCESARGGQLAMLYAALYTVAAGAGGLKANVSGFGSDQFDGGDPREERAMVFFFNRFYFCISLGSLFAVTVLVYVQDNVGRGWGYGVSAVAMVLAVAVLVAGTPKYRYRRPEGSPLTVIGRVLATAWRKRRLPLPADAGELHGYHTSKVTVKAEMDTSYREEAEDDNSVRPLANTARKSKSCMILGSVRTLLTGFLPCRCLDRAAIMEADLAASPAKTNQTSAAPAATVTEVEEVKMVVKLLPIWSTCILFWTVYSQMTTFSVEQATRMDRHLRPGAAPGGFAIPAGSLSVFLFLSILLFTSLNERVLVPAARRLTRRPQGLTSLQRVGAGLVLATVAMATSALVEKKRRDAANDGGGGGMISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLVTLSMGFFLSSFLVFAVDAATRGAWIRNDLDAGRLDLFYWMLAVLGVANFAVFLVFARRHEYKQPAGTAAVVVAPAAAKDGGAGEEKEMDDFVVVKEAVEGMDV >ORUFI02G23050.2 pep chromosome:OR_W1943:2:21074339:21079195:-1 gene:ORUFI02G23050 transcript:ORUFI02G23050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKADAAAVPNDDTTTTAWWSCKSCGKDNTTVAAGGGHGGGADDGMVVDYRGNPVDKSKTGGWLGAGLILGTELAERVCVMGISMNLVTYLVGDLHLSNAKSANIVTNFMGTLNLLALVGGFLADAKLGRYLTIAISATIAATGVSLLTVDTMVPGMRPPPCADARGAGAHLRCESARGGQLAMLYAALYTVAAGAGGLKANVSGFGSDQFDGGDPREERAMVFFFNRFYFCISLGSLFAVTVLVYVQDNVGRGWGYGVSAVAMVLAVAVLVAGTPKYRYRRPEGSPLTVIGRVLATAWRKRRLPLPADAGELHGYHTSKADLAASPAKTNQTSAAPAATVTEVEEVKMVVKLLPIWSTCILFWTVYSQMTTFSVEQATRMDRHLRPGAAPGGFAIPAGSLSVFLFLSILLFTSLNERVLVPAARRLTRRPQGLTSLQRVGAGLVLATVAMATSALVEKKRRDAANDGGGGGMISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLVTLSMGFFLSSFLVFAVDAATRGAWIRNDLDAGRLDLFYWMLAVLGVANFAVFLVFARRHEYKQPAGTAAVVVAPAAAKDGGAGEEKEMDDFVVVKEAVEGMDV >ORUFI02G23050.3 pep chromosome:OR_W1943:2:21074339:21079195:-1 gene:ORUFI02G23050 transcript:ORUFI02G23050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKADAAAVPNDDTTTTAWWSCKSCGKDNTTVAAGGGHGGGADDGMVVDYRGNPVDKSKTGGWLGAGLILGTELAERVCVMGISMNLVTYLVGDLHLSNAKSANIVTNFMGTLNLLALVGGFLADAKLGRYLTIAISATIAATVRSRSRTHRTTHLRSSSRGAGISLRSAAMQGVSLLTVDTMVPGMRPPPCADARGAGAHLRCESARGGQLAMLYAALYTVAAGAGGLKANVSGFGSDQFDGGDPREERAMVFFFNRFYFCISLGSLFAVTVLVYVQDNVGRGWGYGVSAVAMVLAVAVLVAGTPKYRYRRPEGSPLTVIGRVLATAWRKRRLPLPADAGELHGYHTSKVTVKAEMDTSYREEAEDDNSVRPLANTARKSKSCMILGSVRTLLTGFLPCRCLDRAAIMEADLAASPAKTNQTSAAPAATVTEVEEVKMVVKLLPIWSTCILFWTVYSQMTTFSVEQATRMDRHLRPGAAPGGFAIPAGSLSVFLFLSILLFTSLNERVLVPAARRLTRRPQGLTSLQRVGAGLVLATVAMATSALVEKKRRDAANDGGGGGMISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLVTLSMGFFLSSFLVFAVDAATRGAWIRNDLDAGRLDLFYWMLAVLGVANFAVFLVFARRHEYKQPAGTAAVVVAPAAAKDGGAGEEKEMDDFVVVKEAVEGMDV >ORUFI02G23050.4 pep chromosome:OR_W1943:2:21074339:21079195:-1 gene:ORUFI02G23050 transcript:ORUFI02G23050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKADAAAVPNDDTTTTAWWSCKSCGKDNTTVAAGGGHGGGADDGMVVDYRGNPVDKSKTGGWLGAGLILGTELAERVCVMGISMNLVTYLVGDLHLSNAKSANIVTNFMGTLNLLALVGGFLADAKLGRYLTIAISATIAATVRSRSRTHRTTHLRSSSRGAGISLRSAAMQGVSLLTVDTMVPGMRPPPCADARGAGAHLRCESARGGQLAMLYAALYTVAAGAGGLKANVSGFGSDQFDGGDPREERAMVFFFNRFYFCISLGSLFAVTVLVYVQDNVGRGWGYGVSAVAMVLAVAVLVAGTPKYRYRRPEGSPLTVIGRVLATAWRKRRLPLPADAGELHGYHTSKADLAASPAKTNQTSAAPAATVTEVEEVKMVVKLLPIWSTCILFWTVYSQMTTFSVEQATRMDRHLRPGAAPGGFAIPAGSLSVFLFLSILLFTSLNERVLVPAARRLTRRPQGLTSLQRVGAGLVLATVAMATSALVEKKRRDAANDGGGGGMISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLVTLSMGFFLSSFLVFAVDAATRGAWIRNDLDAGRLDLFYWMLAVLGVANFAVFLVFARRHEYKQPAGTAAVVVAPAAAKDGGAGEEKEMDDFVVVKEAVEGMDV >ORUFI02G23060.1 pep chromosome:OR_W1943:2:21085269:21093944:1 gene:ORUFI02G23060 transcript:ORUFI02G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRARPQPQPQPHSPSASTRRRERWLVVLGVALHAVYMLSIFDIYFKSPIVHGMAPEPPRFSAPPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIEEKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKETVSIVLPIDILGYLVLAVACGLLYLRFIKDLFCVENLGYTDGRPILLSLILYSIKVDTLSRLAVQILFPYSAAAYLTVPGVLIPMNMKTLRQFQGLLNRSFEDAKLRQLLLQDKLVIFLHLLGCDTNGHAHRPYSNIYLNNVKVVDQIAESMYNLMESYFNDNQTAYVFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGIRSPKFLAYTDKPDDGFRFVDDHKHDMPTPQDWALEGFERVDVNQADISPLMATLVGLPCPLNSVGSLPTHYLKLSKADEVEAVLANTKQILNQFLRKSQMKQSSSLYFKPFKPLANYSLVLDQIEDLISAKDYENAMKHSEELRSMALAGLHYFQTYDWFMLMTTITLGYIGWMVNLILHVLQSYTSFPANLQKRTQVYAKNTSVKVYIVGCFIMGFSSIILLLEKSPLLYHAYLFMTIFLWTRIVQNFEFIKAVWRELSNMPFKYTMNLLNISVIALFILEFLVMSFFDRKLYTWCFLILGILASSCVAIFIQASSALAVYVWLSCWFLSLFTLMPAEIPENNNLVIFSGALIILTAMASRWMATNNNNFWLYLTRANKQDPQFSKLFFVQVVFVAISSIMVWISTSHRSQNKQLHPLHQMINWLIAGFAMVLPLFSPSSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSAFAMVLMGWIFVESANLYCSEQNGSARRSSIVEGSIFGYDERCLQLSDLRIPLLFTILFNVAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLFIPFMLVICTFSAITKVVRIPRLGCYFLVILLSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIFTKDIEVSSRQLSSRKVMFVFMGSRLP >ORUFI02G23060.2 pep chromosome:OR_W1943:2:21085269:21093944:1 gene:ORUFI02G23060 transcript:ORUFI02G23060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRARPQPQPQPHSPSASTRRRERWLVVLGVALHAVYMLSIFDIYFKSPIVHGMAPEPPRFSAPPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIEEKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNQSRHIISFGSPDIVPIFCSSLPHSTWGTYPHEYEDFATDASFLDHWSFDQFQGLLNRSFEDAKLRQLLLQDKLVIFLHLLGCDTNGHAHRPYSNIYLNNVKVVDQIAESMYNLMESYFNDNQTAYVFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGIRSPKFLAYTDKPDDGFRFVDDHKHDMPTPQDWALEGFERVDVNQADISPLMATLVGLPCPLNSVGSLPTHYLKLSKADEVEAVLANTKQILNQFLRKSQMKQSSSLYFKPFKPLANYSLVLDQIEDLISAKDYENAMKHSEELRSMALAGLHYFQTYDWFMLMTTITLGYIGWMVNLILHVLQSYTSFPANLQKRTQVYAKNTSVKVYIVGCFIMGFSSIILLLEKSPLLYHAYLFMTIFLWTRIVQNFEFIKAVWRELSNMPFKYTMNLLNISVIALFILEFLVMSFFDRKLYTWCFLILGILASSCVAIFIQASSALAVYVWLSCWFLSLFTLMPAEIPENNNLVIFSGALIILTAMASRWMATNNNNFWLYLTRANKQDPQFSKLFFVQVVFVAISSIMVWISTSHRSQNKQLHPLHQMINWLIAGFAMVLPLFSPSSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSAFAMVLMGWIFVESANLYCSEQNGSARRSSIVEGSIFGYDERCLQLSDLRIPLLFTILFNVAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLFIPFMLVICTFSAITKVVRIPRLGCYFLVILLSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIFTKDIEVSSRQLSSRKVMFVFMGSRLP >ORUFI02G23060.3 pep chromosome:OR_W1943:2:21085269:21093944:1 gene:ORUFI02G23060 transcript:ORUFI02G23060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRARPQPQPQPHSPSASTRRRERWLVVLGVALHAVYMLSIFDIYFKSPIVHGMAPEPPRFSAPPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIEEKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKETVSIVLPIDILGYLVLAVACGLLYLRFIKDLFCVENLGYTDGRPILLSLILYSIKVDTLSRLAVQILFPYSAAAYLTVPGVLIPMNMKTLRQFQGLLNRSFEDAKLRQLLLQDKLVIFLHLLGCDTNGHAHRPYSNIYLNNVKVVDQIAESMYNLMESYFNDNQTAYVFTADHGMSDKGYTCGSAMPAEFCWKLTYALFEIKQEMKQSSSLYFKPFKPLANYSLVLDQIEDLISAKDYENAMKHSEELRSMALAGLHYFQTYDWFMLMTTITLGYIGWMVNLILHVLQSYTSFPANLQKRTQVYAKNTSVKVYIVGCFIMGFSSIILLLEKSPLLYHAYLFMTIFLWTRIVQNFEFIKAVWRELSNMPFKYTMNLLNISVIALFILEFLVMSFFDRKLYTWCFLILGILASSCVAIFIQASSALAVYVWLSCWFLSLFTLMPAEIPENNNLVIFSGALIILTAMASRWMATNNNNFWLYLTRANKQDPQFSKLFFVQVVFVAISSIMVWISTSHRSQNKQLHPLHQMINWLIAGFAMVLPLFSPSSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSAFAMVLMGWIFVESANLYCSEQNGSARRSSIVEGSIFGYDERCLQLSDLRIPLLFTILFNVAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLFIPFMLVICTFSAITKVVRIPRLGCYFLVILLSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIFTKDIEVSSRQLSSRKVMFVFMGSRLP >ORUFI02G23060.4 pep chromosome:OR_W1943:2:21085269:21093944:1 gene:ORUFI02G23060 transcript:ORUFI02G23060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRARPQPQPQPHSPSASTRRRERWLVVLGVALHAVYMLSIFDIYFKSPIVHGMAPEPPRFSAPPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIEEKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNQSRHIISFGSPDIVPIFCSSLPHSTWGTYPHEYEDFATGLLNRSFEDAKLRQLLLQDKLVIFLHLLGCDTNGHAHRPYSNIYLNNVKVVDQIAESMYNLMESYFNDNQTAYVFTADHGMSDKGYTCGSAMPAEFCWKLTYALFEIKQEMKQSSSLYFKPFKPLANYSLVLDQIEDLISAKDYENAMKHSEELRSMALAGLHYFQTYDWFMLMTTITLGYIGWMVNLILHVLQSYTSFPANLQKRTQVYAKNTSVKVYIVGCFIMGFSSIILLLEKSPLLYHAYLFMTIFLWTRIVQNFEFIKAVWRELSNMPFKYTMNLLNISVIALFILEFLVMSFFDRKLYTWCFLILGILASSCVAIFIQASSALAVYVWLSCWFLSLFTLMPAEIPENNNLVIFSGALIILTAMASRWMATNNNNFWLYLTRANKQDPQFSKLFFVQVVFVAISSIMVWISTSHRSQNKQLHPLHQMINWLIAGFAMVLPLFSPSSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSAFAMVLMGWIFVESANLYCSEQNGSARRSSIVEGSIFGYDERCLQLSDLRIPLLFTILFNVAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLFIPFMLVICTFSAITKVVRIPRLGCYFLVILLSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIFTKDIEVSSRQLSSRKVMFVFMGSRLP >ORUFI02G23070.1 pep chromosome:OR_W1943:2:21094586:21094945:1 gene:ORUFI02G23070 transcript:ORUFI02G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTMMASLSAVAPGRAAAAAPRRGSLAVARAAAGRAECQHEAHHCGEGCGCRGGAGAEGRRAVMFAAAAVALSAIGAGASGASAAFAESDVKRGTPEAKKKYAQICVTMPTAKVCHN >ORUFI02G23080.1 pep chromosome:OR_W1943:2:21105666:21105961:1 gene:ORUFI02G23080 transcript:ORUFI02G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSFLCPIFSFLSCKSRRYEDDDDDGELSGEWPARYGSKVRSSDEDYGAWWVGERDVDRKASDYINSFHQRKQVAAA >ORUFI02G23090.1 pep chromosome:OR_W1943:2:21109481:21109693:1 gene:ORUFI02G23090 transcript:ORUFI02G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQAQQRAIAPWLLAFPCDGGRQAGSQRRRRASDCFLLGWEPPFGCLGILAGIGAAGTNVYGVVHLRAC >ORUFI02G23100.1 pep chromosome:OR_W1943:2:21112350:21116330:-1 gene:ORUFI02G23100 transcript:ORUFI02G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAAAAGRLLAAVDWEREAYPAYRDFFALPLFAVFFLVVRYLLDRFVFEWIGRKLIFGKEKVDYEKEETRKKIRKFKESAWKCVYFLSGEILSLSVTYNEPWFTNTKYFWVGPGDQVWPDQKIKWKLKAVYMYAAGFYTYSIFALMFWETRRSDFGVSMSHHVATVALIVLSYVFRFARVGSVVLAIHDASDVFLEVGKMAKYSHCDLLANVAFLLFVVSWVLLRLTYFPFWILRSTSYEVLLTLDKKKHNFDGPIYYYVFNSLLFSLLVLHIYWWVLIYRMLVRQIKTRNVGDDVRSDSEGEDEHED >ORUFI02G23110.1 pep chromosome:OR_W1943:2:21119508:21133328:1 gene:ORUFI02G23110 transcript:ORUFI02G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVFSSGHRLLPLPVLPGTFTTPPPPPPPPLLSPRRPLLAPRRRRCLCGGGGGGLLLLRAVAARRAGIVIDVDEVGEVGDRDLPVDVSFTRRLPPVLTLGDGLAALRRAGEEVKACPPAAAASGVIRFEVLVPPSTKALKWLCTQFKRSSLFPQFYLSRKQTTDSSIQLEISGAGSAICFHGSSRVDNGFDLISRYLSFNSHLIRAYGSVGVKYDKELLSLEERIGSFYFFIPQVELSEFDGYSMLSSTIVWDDSVSHTFEDSVCLFESCFSQIWSSYDSSATICYENMVTSYIGESRMSESRNTQLVYLDAEFLAVIDGKAVTEKDLCLQSNKIESFIRRCSNINLAWASFIVEEFVRLGFTYFCIAPGSRSSPLALSASVHPLTTCISCYDERSLGFHALGYGRGSRKPAIVITSSGTAVSNLLPSVVEASQDFVPLILLTADRPPELQDVGANQAINQVNHFGSFVRHFFSLPPPDDHIYARMVLTTVDSAAYYAMQAPQGPVHINCAFREPLDYGYQDWSVDCLKGLDKWFINREPYTRYLGMKMVSALVREVLEIVKNANQGLLLVGAIHTEDDIWAVTLLARHLSWPIAADVLSGLRMRKVQKSIPGLDKSICFIDHIDQILLSESVKSWKTPDVIVQIGSRITSKRVGTYLESCSPSSYILIDAHPCRHDPSHVVTHRIQATITEFAASLCQCNFQTKTSRWSDILMVLNSAVSQEIMFQVHSECSLTEPYVAHVIGEALYGDATMFIGNSMVIRDLDMFGKGWIDHSTNANNAMMHHFPGFLGAPVAGNRGASGIDGLLSTSIGFAIGSNKHVFCVIGDISFLHDTNGLSLLNQRTQRKPMTVIVINNHGGAIFSLLPVAKTASLQILEKFFYTLHDISISKLCAAHRIKHILVQTKAELHDALVKSHEGHVDCVVEVENRIVDNANFHRIISMFTDHTATMHLAYLLGGPYCKDGVNGFSVGRIHAAEYMFYRIQLAAPRTSGISESSFFHEGFILKLCVGDSIVGFGEVAPIEIHEEDLLDVEEQLRFLFHRMKDAELDVVPLLRGSFSNWIWTTLGIPPSSVFPSVKCGLEMAILNLLESQRIDRSYGIFTGSNVVEYNQSSTANIQICALVDSCGTPMDVTLAVVKLVAEGFTTVKLKVGRRENPAEDAAVIQKVREIVGYKINIRADANRKWTYEQAIDFGSREPVDSVNDIIKFCENSGLPVALDETIDNLTGDVIPKLHQFSHPGIVALVIKPSVVGGFETAAYIAKWAHMHDKMAVISSTYESSVGLATYIQFAHYVDRQNDITSRIKNRGSCGNVAHGLGTYQWLREDVSDQKLKIHAPPLGDGIRASAEDAHGYLQHLVINDKKIERTYSEEKLRSYFIQVDGDNFSYQVKLQEGGDCTHEKVILFLHGFLGTSEDWVPMMKALSPSARVIAVDLPGHGESEILQHDVENSNQISFSVQSVADLLLKLIRNITDGAVVVVGYSMGARIALHMALNQNHKISGAVIISGSPGLRDEASKRRRSAIDRSRAHFLSSCGLENFLETWYSAKMWASLREHPKFDSLVRTRMKHNNIKALSKVLADSSIGTQKSLWEDLKHLKSPLLIVAGEKDPKFKEISQQMCREIRKHKDRESDGLCEMIIIPDSGHAVHVENPLPLVRAIRKFLVRDIPDVISK >ORUFI02G23120.1 pep chromosome:OR_W1943:2:21133730:21133921:1 gene:ORUFI02G23120 transcript:ORUFI02G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVRAIAGPGPALLPPVKDEDADGDGNPEASGEGGGVPPVTIRWARITCAASHPPTPAAARC >ORUFI02G23130.1 pep chromosome:OR_W1943:2:21136162:21137097:1 gene:ORUFI02G23130 transcript:ORUFI02G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIMSCRRTSSLLVIALVISLLLLDRPIAHARHLKNTSMSSRGSSTERGLEDSKKKLDEEKTKKVEAVQVGSNSVHGGSPDVHQFAKIVVVERRGPTPHPKKHNL >ORUFI02G23140.1 pep chromosome:OR_W1943:2:21141582:21143445:-1 gene:ORUFI02G23140 transcript:ORUFI02G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASNPTQDMIDITGHVVHDDVSYDKDVLEIKLPDTVVTSDYGGNFVKDVCIDEGVLPHRKISAEKKLDEKSPPNFDFLMIDTNSDLTYGGKGDAKKYAHGQKPKTVLLPVGFAADDNTEKQCDLESRDTASDISEKKISLHELLKLESAEESKERLKLESAEESQTQHQSTTSAINEKNMPPVHEEAIAQVSTNDCNDVATASKTDELITSNVSSINNTNGSSATISDRHDATAALDKPMSTAETTDGLIGSKEFNEVGTAEAMPDALTSSSSSEVQPSEKSNDHPESFTSEPIADPQDENAVATSSSPHVVESSDANRQMNNKNSDNDGATDVHDFNQTDSESCADATNDGRISTSSTDAQKDSTHVDELDVPDNNAKGKSLIGNGYPLEPCSLGPSIMCNPVSTSGHIGNISIRSDSSTTSTRSFAFPVLQWDWNSSPVRMARAERRRNKRRRGWNKGFLCWKF >ORUFI02G23140.2 pep chromosome:OR_W1943:2:21141582:21143322:-1 gene:ORUFI02G23140 transcript:ORUFI02G23140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASNPTQDMIDITGHVVHDDVSYDKDVLEIKLPDTVVTSDYGGNFVKDVCIDEGVLPHRKISAEKKLDEKSPPNFDFLMIDTNSDLTYGGKGDAKKYAHGQKPKTVLLPVGFAADDNTEKQCDLESRDTASDISEKKISLHELLKLESAEESKERLKLESAEESQTQHQSTTSAINEKNMPPVHEEAIAQVSTNDCNDVATASKTDELITSNVSSINNTNGSSATISDRHDATAALDKPMSTAETTDGLIGSKEFNEVGTAEAMPDALTSSSSSEVQPSEKSNDHPESFTSEPIADPQDENAVATSSSPHVVESSDANRQMNNKNSDNDGATDVHDFNQTDSESCADATNDGRISTSSTDAQKDSTHVDELDVPDNNAKGKSLIGNGYPLEPCSLGPSIMCNPVSTSGHIGNISIRSDSSTTSTRSFAFPVLQWDWNSSPVRMARAERRRNKRRRGWNKGFLCWKF >ORUFI02G23150.1 pep chromosome:OR_W1943:2:21150582:21153989:-1 gene:ORUFI02G23150 transcript:ORUFI02G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRAGSRAAAVDDDSGPTSSLFVQAGMKSKTNRSIQKARKTDNVQGGPNWVLVAGGVLLSTLSVKLGCKLKQLFDAKQRNTQRRPGTCELHSNLYRFSDHLSCYHCNSGFSGNGVGVKQAPASPASKQMEPSLPLVKIPGPESSKENNGVMWISSPDRLEDPRKPFQYSNSSGSPCVSESGSDIYSKKEVIQKLRQHLKKRDEMIMEMQAQIADLKNSLNIQETHSTNLQSQLDSANRDLFESEREIQHLRKIIADHCVAEALSHDKPLLAGHWQSGATNGHANGYADSSSVDDPELHFIGLDKRKGEAERVEMLKREVGELKEVIEGKDFLLQSYKEQKVELSSKIRELQEKFSSQVPNIL >ORUFI02G23150.2 pep chromosome:OR_W1943:2:21150582:21153989:-1 gene:ORUFI02G23150 transcript:ORUFI02G23150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRAGSRAAAVDDDSGPTSSLFVQAGMKSKTNRSIQKARKTDNVQGGPNWVLVAGGVLLSTLSVKLGCKLKQLFDAKQRNSNTSKAQRRPGTCELHSNLYRFSDHLSCYHCNSGFSGNGVGVKQAPASPASKQMEPSLPLVKIPGPESSKENNGVMWISSPDRLEDPRKPFQYSNSSGSPCVSESGSDIYSKKEVIQKLRQHLKKRDEMIMEMQAQIADLKNSLNIQETHSTNLQSQLDSANRDLFESEREIQHLRKIIADHCVAEALSHDKPLLAGHWQSGATNGHANGYADSSSVDDPELHFIGLDKRKGEAERVEMLKREVGELKEVIEGKDFLLQSYKEQKVELSSKIRELQEKFSSQVPNIL >ORUFI02G23160.1 pep chromosome:OR_W1943:2:21160251:21162725:-1 gene:ORUFI02G23160 transcript:ORUFI02G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPALPRSTNPAALLAHLAAVLTSPDWFLNPSLPRLPALLTPSLPESLPVPLPVRLAAAAARAAAPSRHLLAVALPVVLRLHSLSPPPLRPLFDRPFASLLAHLSRFALAPLALRLFAHMHRHAPPAPTAPTYNAVIRSLCRRADLARALRYLSLMVRSGWRPDAYTFNSLIVGYCRTNQVDVARDLFDKMPLRGFAQDVVSYATLIEGLCEAGRIDEAVELFGEMDQPDMHMYAALVKGLCNAERGEEGLLMLRRMKELGWRPSTRAYAAVVDFRCRERKAKEAEEMLQEMFEKGLAPCVVTCTAVINAYCKEGRMSDALRVLELMKLRGCKPNVWTYNALVQGFCNEGKVHKAMTLLNKMRACGVNPDAVTYNLLIRGQCIDGHIESAFRLLRLMEGDGLIADQYTYNALINALCKDGRTDQACSLFDSLETRGIKPNAVTFNSLINGLCKSGKADIAWKFLEKMVSAGCTPDTYTYSSFIEHLCKMKGSQEGLSFIGEMLQKDVKPSTVNYTIVIHKLLKERNYGLVARTWGEMVSSGCNPDVVTYTTSMRAYCIEGRLNEAENVLMEMSKNGVTVDTMAYNTLMDGHASIGQTDHAVSILKQMTSVASVPNQFTYFILLRHLVRMRLVEDVLPLTPAGVWKAIELTDVFGLFDVMKKNEFLPNSGTYSSILEGFSEDGRTEEATSLVSLMKEDSISLNEDIYTALVTCFCKSKRYLDAWVLVCSMIQHGFIPQLMSYQHLLSGLICEGQTDKAKEIFMNSRWKDYSPDEIVWKVIIDGLIKKGHSDISREMIIMLERMNCRPSHQTYAMLTEELPDRE >ORUFI02G23170.1 pep chromosome:OR_W1943:2:21164901:21167807:-1 gene:ORUFI02G23170 transcript:ORUFI02G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAPKVLVLVRDAAGYGAALADALRPTPGLTRESTPLELPLAKYGLDGEKASGELVNFSDSSGDPQVSFFVLPDYKPPVAACAMNEILALVSSEAPSTQPTLIVPFMTRSPNYYHGAKTGQLATLHGAEIGATTEFTQMLVDGTTKLPQSLQVRSEPILCLLEMVRVLNIPTVILFASGGQHQGKSSTDVDLEVLQCVGDHLAKHINLEFSKETVLQRGIEKSPVFQEPWRELYQ >ORUFI02G23180.1 pep chromosome:OR_W1943:2:21172665:21173776:-1 gene:ORUFI02G23180 transcript:ORUFI02G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEIIIRISVKTDKCQKKAMKEAATVSGVQSVTLAGGDRNLLLVIGEGVDTNKLTKKLKRKVGSGEIVELRTVDTFEAAAAMFPGGMIMPPAAPGSKDAAAAAARAMATTRASPYHYQPSPYGPYHQQQQWQPPYAMAPSPYPYPYQYHPSPMAMAGGGGGYGYGGSSYSRAVALSHPAIYSPLVEKHDYHPMNHSTTTKKKTTTTTGAGTAAAAAAAGGGKTSKAVSRSRRHHESDSNACCIL >ORUFI02G23190.1 pep chromosome:OR_W1943:2:21179525:21180179:-1 gene:ORUFI02G23190 transcript:ORUFI02G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEMLIRMQASSEKNGNAKAMKVAAAMDGVESVTLAGEGRNLLRVVGSGVDSNHLTSRLRRKVGHADIVELRTLHDTYPRGAAAGSYAATSTSGRLGSSNGGYYYSSQLSAGRGGAYSSGGHQLYGGGYDSPYYHQAPQHPYDGGYYPSPYGAAAVQHEYYTTSSNDDPNGCSIM >ORUFI02G23200.1 pep chromosome:OR_W1943:2:21182714:21183442:-1 gene:ORUFI02G23200 transcript:ORUFI02G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKLALLVFTLLLAAAAFIHVASAEETHDDHDGGDHDPSPSPPDHEDPSPSPPDHEDEPPPPSSPGKEDVCKGKGCCDWSGGDCKHYCDGYDDKSCCDDWSGDCHKCCSK >ORUFI02G23210.1 pep chromosome:OR_W1943:2:21186250:21186991:-1 gene:ORUFI02G23210 transcript:ORUFI02G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSKALLVFALLLAAAFVASAEQTHDDGDNPPESPDHEDPPPSPEYYDPPPSPDYYDPPHSPDYYDPPPSPDYYDPPPSPYYGGGGGYGKPPPPPPCCPCKGV >ORUFI02G23220.1 pep chromosome:OR_W1943:2:21190734:21190964:1 gene:ORUFI02G23220 transcript:ORUFI02G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKKYTTESGQRADVLADDRAGLCRRKVTQTTRTGFLYAPDSVATPERSQIQRCTIYVHELPPRLTPSEQTTSPV >ORUFI02G23230.1 pep chromosome:OR_W1943:2:21198608:21199827:1 gene:ORUFI02G23230 transcript:ORUFI02G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLQRLNRACRGKLQVVITEGNIRPVVPLVAAKFATECNIIVRNHVPILPHWKLYKEKPASAYVNLFLGKLKTRMENKLSTTTEGEELKSAAQVVADVLAENTKKNRFLKNVGFHNAQPRFITI >ORUFI02G23240.1 pep chromosome:OR_W1943:2:21211441:21222873:-1 gene:ORUFI02G23240 transcript:ORUFI02G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKAFVVFALLMLVAASAVVDVASADHGDHDGKKCGPCKCKGCCHYDECYEYCSGDSGPCCKWGKCHSLHKLMASNKAFVVFTLLMLVAASAVVDVASADGKCGPCNCKGCCDYDGKCMSYCSGHSGPCCKWKGKCHGCCS >ORUFI02G23240.2 pep chromosome:OR_W1943:2:21211441:21222873:-1 gene:ORUFI02G23240 transcript:ORUFI02G23240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKAFVVFALLMLVAASAVVDVASADGKCGPCNCKGCCDYDGKCMSYCSGHSGPCCKWKGKCHGCCS >ORUFI02G23250.1 pep chromosome:OR_W1943:2:21229379:21229955:-1 gene:ORUFI02G23250 transcript:ORUFI02G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVIKVNMASDKCRSKAMALVASTRGVDSVALAGDSKDQVVVVGDGVDSIKLTTALRKKVGHATLMTVGEVKKEEKKPEPAAVVEYPWSYHPYTFAPPAQHVVYQYPASSWSIF >ORUFI02G23260.1 pep chromosome:OR_W1943:2:21232725:21233166:-1 gene:ORUFI02G23260 transcript:ORUFI02G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVIKVNMASDKCRSKAMALVASTSGVDSVALAGDGKDQVVVVGDGVDSIKLTTALRKKVGHATLMTVGEVKKEEKKPEPAVVEYPWSYHPYTFAPPAQHVVYQYPASSWSIF >ORUFI02G23270.1 pep chromosome:OR_W1943:2:21236050:21236584:-1 gene:ORUFI02G23270 transcript:ORUFI02G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVIKVEMSCDKCRSKAMALVAATGGVDSVALAGDGKDQVVVVGDGVDSIKLTAALRKKVGHATLVTVGEVKKEEKKPEPAAAAVEYPWSYHPAYTYAPPAQHVVYQQYPASSPWWC >ORUFI02G23280.1 pep chromosome:OR_W1943:2:21240042:21240299:-1 gene:ORUFI02G23280 transcript:ORUFI02G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVFVAVAVPVSSLKMDGSGAFGVLSMATRACGGGSGAAGLCSLLAQSDGGGCGVSGPAVSGSLTRTDGGGCKSCCCSCSLVG >ORUFI02G23290.1 pep chromosome:OR_W1943:2:21241497:21241909:-1 gene:ORUFI02G23290 transcript:ORUFI02G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKIVIKVHMGSDKCRRKAMSLVAATGGVVSVELAGDDRSKVVVVGEVDSVKLTSLLRRKVGPAELVEVGDAKPAKKEEKKEEKKPETVPPYYYYYPYHHYSPYGYIM >ORUFI02G23300.1 pep chromosome:OR_W1943:2:21244649:21245206:-1 gene:ORUFI02G23300 transcript:ORUFI02G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKIVIKVHMSSDKCRRKAMALAASTGGVVSVELAGDDRSKVVVVGDVDSIGLTNALRRKVDGSAELVEVSDASKKKEEEAKKKKEEEKKKEELVYYHHGYGYYHPGGVYHHHPGYGPHGCPCGCNPSPDSTCSIM >ORUFI02G23310.1 pep chromosome:OR_W1943:2:21247623:21247844:-1 gene:ORUFI02G23310 transcript:ORUFI02G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGDNRALLSLCYPSPFRTTGAGDEVADREGMRGGIKMRSSQATPSIMVSSILRVQGRLETGLSVKTSLER >ORUFI02G23320.1 pep chromosome:OR_W1943:2:21253183:21253869:-1 gene:ORUFI02G23320 transcript:ORUFI02G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKAIIVFALLLVAALCFVASAQDPQANKQGGGGGGGGGGPPGYGHYPPWNGGYPGRDPWRHDDPWRHGCRWGCCHRGHHGECFRCC >ORUFI02G23330.1 pep chromosome:OR_W1943:2:21256582:21257232:-1 gene:ORUFI02G23330 transcript:ORUFI02G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTGREAAVAVAAAAEEEEAVAVAVAAAAEEEMVVAAAATNHHGTEVTTHQAQATTTTRTGTTTAAVGGAATVATGEIATAAAKVAPGLAIASLAPPIHRDHEAELIYQ >ORUFI02G23340.1 pep chromosome:OR_W1943:2:21260169:21261233:-1 gene:ORUFI02G23340 transcript:ORUFI02G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKAIIVFALVVVAALCLVASAQDPQANKQGGGGPPGYGHYPPWNGGYPGRPDRPWDRPDRPWDHRPHPGPGGHCRWGCCGHRNHWGECLRCC >ORUFI02G23350.1 pep chromosome:OR_W1943:2:21266588:21267176:-1 gene:ORUFI02G23350 transcript:ORUFI02G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKALIVFTLLLVAAFCFVASAQDPLSFHSLHKPTSKVAAEAEAAAAEEEEEEEAAAATTLLGTAATTPQAQATTRTGPTTAVVGGAATAATGEIATAAAKAAWLAPSTNPQRS >ORUFI02G23360.1 pep chromosome:OR_W1943:2:21269690:21270221:-1 gene:ORUFI02G23360 transcript:ORUFI02G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKALIVFTLLLVAAFCFVASAQDPQANKQGCGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGYYPPWNGGYYPPGPGHHHNPHWHGCRWGCCHRGYRGDCHRCC >ORUFI02G23370.1 pep chromosome:OR_W1943:2:21276284:21277694:1 gene:ORUFI02G23370 transcript:ORUFI02G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHLSSTSLRWQRSRLRQVLVLPPPLAMASGSTVRFVSNGDGGGTNATFGSGGEQQLYPLPLMNSVSGDGQWPWPLIGGDGPGLWLCSMECVEEVARVCVVFKDYVSAAFNVTSLVNNHIDIWYNDPNIKVSN >ORUFI02G23380.1 pep chromosome:OR_W1943:2:21279769:21284254:1 gene:ORUFI02G23380 transcript:ORUFI02G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKEHGLVFMEASAKTAQNVEEAFIKTAGTIYKKIQDGVFDVSNESYGIKVGYAVPNASGGGAGSSSQGGGCCG >ORUFI02G23390.1 pep chromosome:OR_W1943:2:21283674:21286579:-1 gene:ORUFI02G23390 transcript:ORUFI02G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTTVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRVKAKKPTAGLIIVLSL >ORUFI02G23400.1 pep chromosome:OR_W1943:2:21288249:21290141:1 gene:ORUFI02G23400 transcript:ORUFI02G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQAEAVGVGVGVEPSSALGRKRSASASSRPTTARGRGAFGVRSVVFSVGGMSSSARRRLAARLRSELAGVRALLAKAAGFLAPEGAAPSSSPPAAAPCGGGRKDGRFLATAGIRSGGAPAVDAGGENSGRKRKVSFLLERTDDAPMEMAPDGRTRLAARSASLSPSPPPPPPRQHQAADGKIGVEEEEDVDICGGASPLATAPMSPLFPDVDLSELVGATGAKLLSPLQRKYIALADAERADARGGASTVAPPALSPLLPAGYSELVGATGVDLLSPLPREHVALADRADALGLGLVVSATATTTSPSLPPGFAELAIADAGGTKMLSPLPRKYVALAERGGVASTATTLPSLDELADATGVKMLSPLPRKYVALAEEEDDEYVDICGDASPVVLHKNHGEIIISNSSSSSPSSDSDSDSNSSSSSSSSSSSSSSSSDSDSDESAAAATHAPAIPTNACVSSAQPSSAAPAAPEVAQSAKKQEEKLPDQRTAAAAAAAVVATAPPKPLTDLITRAQGAAARRRQDEKAQAREKARQELREMERTTAPAMARNFIHPLDMKLLGLAAVEHMVSADEEARCRALRSAAPSLLEKLGLFLKADDGGDKVQRQQQPSFVAGDDDDVEEGEIR >ORUFI02G23410.1 pep chromosome:OR_W1943:2:21291592:21291992:-1 gene:ORUFI02G23410 transcript:ORUFI02G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTVVSGGGTSRLPAPAATTTTTEHEICLQHTGEKETMMHWLELTAPSRHHHQLPAVPPQPSAGPIAEVAVIVEAVRIAALRRLLGCSGATPPPAPVQANCDVEMGLPGGESSASRPAMKPQPGS >ORUFI02G23420.1 pep chromosome:OR_W1943:2:21296983:21299844:1 gene:ORUFI02G23420 transcript:ORUFI02G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARAAALSCRGVSPHPRAHSLLPGRRRRPVLPFADEAAAAPLRVSSVPHSRAYGCGGGGYFVHLEDRDDGEASRLLRALRRVLPDHQKWAQPDLLKAAVISTMSILAVPLEASASAETCQPANSMANMPIFIAVALIGAAVGGLLARQRKEELKRLNTQLRQINTALRRQAQIESFAPGLTYAPVGRATETEVIVDPRKQQLTVNLRNGKTFMRNQDLDMAVKEFRAALELAKSVGDRFEEKKAARGLGASLQRLGKYREAMNCYYKVLELSKETGEDSGCTEAYGAIADCYTELGDLERAAKLYDKYISRLQPGGGE >ORUFI02G23430.1 pep chromosome:OR_W1943:2:21302180:21303007:1 gene:ORUFI02G23430 transcript:ORUFI02G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKALLLLALLLASAVLLAAAADQPHGKEQKKTESGGGAGVQDWHDDHGGGGHHGGGGGGYPGGQCHHGCCGGYGYHGGCRRCCATADEKPDPMYRPEAHN >ORUFI02G23440.1 pep chromosome:OR_W1943:2:21305920:21306980:1 gene:ORUFI02G23440 transcript:ORUFI02G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKQALIAFAVVLLAAAFLVAASAADETQANKKEDTKVDVQDYWRGGGGYPPRGGGYGYPGRGYGYPGRGGGGGYPGGGGGWHGCRCCGYGYRGGCRCCASPDEIPEPMYRPEVDPHN >ORUFI02G23450.1 pep chromosome:OR_W1943:2:21315694:21316620:1 gene:ORUFI02G23450 transcript:ORUFI02G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGLVVFALLLLAAAFLATSAAGGNGKSAGYVAPYYPGPWWWPNGGGFYPPPPFNGGPGWYDPQFGGCPFGCCGYGAFNECLQCCARPWFPFWWWP >ORUFI02G23460.1 pep chromosome:OR_W1943:2:21321395:21322540:1 gene:ORUFI02G23460 transcript:ORUFI02G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALLVFALVLAGAFLVNCAQQPQPYADPSNDDPNAGYGSSGYGYPSQQGQNPAQQGPNPAQQGPNPAQQGQNPAQQGPNPAQQGPYQAQQGQNGGDGGGGYGGAGGNGGGGGGGYGDPWWNHPRRCRYGCCECGYYRCNRCC >ORUFI02G23470.1 pep chromosome:OR_W1943:2:21327284:21328367:1 gene:ORUFI02G23470 transcript:ORUFI02G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRALLVFALLLAAAFLVNCARQEPQPNEGPAADPNAGGGGGGGGNGSSGYDDGKVSKSGHRPQAKYYNGHRPQGKYGGHRPQGKYYGYSECGGGGDDGDCGGPCEHRRCEYGCCEGGYGGDRGHRCCDHGEFGGRH >ORUFI02G23480.1 pep chromosome:OR_W1943:2:21331913:21332529:1 gene:ORUFI02G23480 transcript:ORUFI02G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGLLIVSVLLLASAFLATSEADDPEPANTSPGGGGGSSGYGQAGGSTGYNAVSAYYPPPTPAGWPNGGGYSYGSVGQDGSYSYSYGVQYINGDPAGWSGWNNVWWFDRRCPSGACCARGFNGDCFRCCHPWP >ORUFI02G23490.1 pep chromosome:OR_W1943:2:21335490:21336663:1 gene:ORUFI02G23490 transcript:ORUFI02G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGLLVFTLLLAAAFLVNCARQEPQPNEGPTADPDAGAGGDHGTSSGNGKASGHRPQAKFGGGCCCGAGCSEQCAECPRPPHPPPSPSPCVHPPCCESAAGCCCNGCSGGGCGGGQCPPSPSCENHHPPCKPGCCCCGCSGGECPPPPSPPCQHECPPTQPCEHPPCSESGCCDKGCNSCCNRCGEYGQSRP >ORUFI02G23500.1 pep chromosome:OR_W1943:2:21339566:21344089:-1 gene:ORUFI02G23500 transcript:ORUFI02G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVGVLVSDPWLQSQFTQVQLRTLKTKFVSVKKSDADHVAVKDLPPVMEKLRGIHEVLSEEEISTFLGESYPDMNQTIEFESFLREYLNLQAKGSSKTGGKKKLKGSVSFLKASTTTLLHVINESEKTSYVNHINNFLGEDSFLKNFLPLDPSTNDIFNLVRDGVLLCKLINVAVPGTIDERAINTKKDPNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEARPHLVLGLLSQIIKIQLLADLNLKKTPQLAELVADDNSKEAEELVTLAPDKMLLKWMNFHLKKAGYKKTVTNFSTDVKDGEAYAYLLNTLAPELSSTTMIETSDPNERAKKVLETAEKLECTRYVTSKDIVEGSANLNLAFVAQIFHHRNGLSDNNVAPVVQDTPDDVEATREERAFRLWINSLGIATYVNNLFEDVRTGWVLLEVLDKISPGIVNWKQASKPPIIMPFRKVENGNQVIEIGKELKFSVVNISGNDIVQGNKKLILAFLWQLMRTSILQLLKNLRTHSKDKEITDADILIWANNKDKSIANGVFFLELLHAVNHRHVDLSMVKKGEDDEEKKSNATYTISVARKLGCTLFMLPEDIMEVNPKMILVLTASIMYWSLQKHGPYQVPERVPQETLAEEEEEDEEGDFEEDEEEESIEDGVSNLTT >ORUFI02G23510.1 pep chromosome:OR_W1943:2:21361780:21367638:1 gene:ORUFI02G23510 transcript:ORUFI02G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKNSFFQSQEGSHFFDDHLLPWCHLLLLASSPQLSSIIAFAFASLPSPRLHFFCLSQSLSVFKSSCCRRTRIASFLLLLRSSSCLPVRPSSGLEVSLDELPAVLGGAAGSATRGGLPMGRGSHGCSVLGSSSLLLVLLLCVGSAAAQKASTWKTLSGDSPLVIAKGGFSGLFPDSSDFAYGNAPGAALWCDVQLTKDGAGICLPTINIDNCTSISNFDPKGMKTYNVNGVPLKGWFPVDYNSTELLQQVFLKQPILSRSDRFDGLSIVPVEDILSKYKTPAVWLNVQHDSFYSQFKLSMRSYILSVSKQFVVDYISSPEVNFLNSLLGRVNKKTKLVFRFLDEQTIEPSTNQTYGSILKNLTFIKTFASGILVPKQYILPVTKDNYLQPQTSVVDDAHKAGLEIYAAGFANDFLISYNYSYDPLAEYLSFIDNGAFSVDGVLTDFPITPSEAIGCFSNLNDSKTDHAKPLVISHNGASGDYPDCTDLAYQKAVADGADVIDCPVQVTKDGIPICMSSIDLGDVTTVATSQFASQTTVVNDIKATPGFYSFNLTWEDISKNLKPKISNPMSKYQVYRNPRNRNAGNFMRLSDFLDFTKGKDLSGVMISIERAAFMAQKLGFGVVDAVIKALDDSGYSKQTTQKVMIQSTNSSVLVKFKQQTKYNLVYMIEEDVRDAAPSSIADIKKFADAVSVNTMSVYPVTSNFLTNQTTSLVQSLQSAGFPVYVYVLMNEFVSQPYDFFSDATQQINAYVKRPDGGVDGVITDFPATAHRYKLNSCMNMGNNTPGFMIPAQPGGLIQSMLGAAQPPSTAPLPPLTESDVTESPLPDVNNNAKAPASSHAAIRVRTDVSAVLVTLMLCASLMI >ORUFI02G23520.1 pep chromosome:OR_W1943:2:21368304:21370012:1 gene:ORUFI02G23520 transcript:ORUFI02G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSPATTPAAAAVYVAAVPLRGPKGPAQALMSAGYSLGLWDLQHFMVLLRPDPARAQALVFDFQPQDPEDALAAFAVLSRREIPGVVRRRTLRRVPDTRCWLVGHHRSGGGGGAAAAVLAADAFTARWPTDLVVGRHDCRDFTNGLVEELTGEKRVLDALRSSAANGDW >ORUFI02G23530.1 pep chromosome:OR_W1943:2:21372533:21372889:-1 gene:ORUFI02G23530 transcript:ORUFI02G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVASIVRQGLRWRRRRRRRTARVVDESALAAADGGDAAAPAGGGGDAAAVAVVPMASVGAALARALLALACTIRFDGEDGGAGATEEAWAASGWRPRADEVSHLMVRESMRYAIYA >ORUFI02G23540.1 pep chromosome:OR_W1943:2:21421433:21446075:-1 gene:ORUFI02G23540 transcript:ORUFI02G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVPYDFRYAAPIPGQASQVYSRHFKEFMELVEAASRKHRKKAIILGHSLGGMVVLEFVRSTPLAWRNRYIEHLFLVAPTLAPGFMGPVKNLASGPNDILCVPDATDLSLRPMWRSFEASIANFPSPGVFGHEPIVITNQRNYSAYDLEDLLAAVGFGDGIEPFRRRMVARMSYFEAPMVPLTCINGVGNRTPRQLVYWDGNFDEPAQLVYGDRDGAVNLISMLAFNEEMRRQPGQRGQFKSIKVENASHRGILTDEWALKRVMQEILEANRDSSYRDGDTLFGAPYDFRYAPPVPGQTSEVYSRYFDELMAPVEAATKKKRKKAVIVGHSYGGMVAVEFIQSTPRAWQDEHIERLILVAPTLPYGFLGSVGSSSILLLTATSTARSVRPMWRSFESAMANFPSPAVFGREPLVITKKRNYSAYVMEDFLAAAALGFGERVEPFRRRAVTRMYSFEAPMVPMTCINAVGNKTPLQLVLWDDDDDLDAPPEVAAYGDGDGEINLYQHGDGSAARTGEAIQIRQDIANANHSTIAIYDFALKRIIQEIIEVNQLWYGGKLASWGCRRRLIWSLAAEHKRRASRSATSPASSSTTQCIRRHNINLKCGPPIRLPCFRTLSTHTPMASIPLLRLLLLLLPLPLQEHLWPSNHRPNDAGVGAGAGELHPIFVVPGASCSNLEARLTDAYQPSGKGWFGLWENSSDLSAHHYNECFKEQMSLVYDPVANDYRNFPGIETRVANFGIVRGEWCLAKLIGALEEMGYRDGDNMYGHHNKKAIILGHSLGGMVALEYIEHLFLVTPTLSQGFVTTVRNLVSGPRNLVYVADATDLSLRPMWRSFETSIVNVPSPGVFGHEPPIVVTERRNYSAYDVEDLLAAVGFSDGVEPFRRRTVARMNYHEAPMVPLTCINGVGNRTPQQLVYWDGNFDEPPQIVYGEGDDIINLLLLLLLPLPLREHLWSGQHRRNDVDAGELHPIVVLPGVACSDLEARLTEAYRPSAARCGAMKGKGWFPLWKNSSDLSTHRYNECFLEQMSLVYDPVANDYRNFPGVETRVPYFGLVKGYHQKWPFDKPWCLTPLIRALEEMGYRDGDNMHGAPYDFRHVPPVPGQESQVYSRYYEEFMELVEATSKRHRKKKVIILGHSHGGCVALEFVRNTPLAWRKEYIKHLFLVTPTLSAGLLDPVENLATGPHNLFYVPDATELSLRPMWRSFETSIANLPSPAVFGREPIVVTERRNYSAYDMEDLLAAVGFGDGIEPFRRRMVVRMNYLKAPMVPLTYINGVGKRTPRQLVYWDGNFDKAPEKVYGDGDGIVNLVTMLAFDEEMRRQPGQRGQFKSIKVENASHMGILMDEWALKRVMQEILEVNQDSS >ORUFI02G23540.2 pep chromosome:OR_W1943:2:21385953:21446075:-1 gene:ORUFI02G23540 transcript:ORUFI02G23540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVPYDFRYAAPIPGQASQVYSRHFKEFMELVEAASRKHRKKAIILGHSLGGMVVLEFVRSTPLAWRNRYIEHLFLVAPTLAPGFMGPVKNLASGPNDILCVPDATDLSLRPMWRSFEASIANFPSPGVFGHEPIVITNQRNYSAYDLEDLLAAVGFGDGIEPFRRRMVARMSYFEAPMVPLTCINGVGNRTPRQLVYWDGNFDEPAQLVYGDRDGAVNLISMLAFNEEMRRQPGQRGQFKSIKVENASHRGILTDEWALKRVMQEILEANRDSSYRDGDTLFGAPYDFRYAPPVPGQTSEVYSRYFDELMAPVEAATKKKRKKAVIVGHSYGGMVAVEFIQSTPRAWQDEHIERLILVAPTLPYGFLGSVGSSSILLLTATSTARSVRPMWRSFESAMANFPSPAVFGREPLVITKKRNYSAYVMEDFLAAAALGFGERVEPFRRRAVTRMYSFEAPMVPMTCINAVGNKTPLQLVLWDDDDDLDAPPEVAAYGDGDGEINLYQHGDGSAARTGEAIQIRQDIANANHSTIAIYDFALKRIIQEIIEVNQLWYGGKLASWGCRRRLIWSLAAEHKRRASRSATSPASSSTTQCIRRHNINLKCGPPIRLPCFRTLSTHTPMASIPLLRLLLLLLPLPLQEHLWPSNHRPNDAGVGAGAGELHPIFVVPGASCSNLEARLTDAYQPSGKGWFGLWENSSDLSAHHYNECFKEQMSLVYDPVANDYRNFPGIETRVANFGIVRGEWCLAKLIGALEEMGYRDGDNMYGHHNKKAIILGHSLGGMVALEYIEHLFLVTPTLSQGFVTTVRNLVSGPRNLVYVADATDLSLRPMWRSFETSIVNVPSPGVFGHEPPIVVTERRNYSAYDVEDLLAAVGFSDGVEPFRRRTVARMNYHEAPMVPLTCINGVGNRTPQQLVYWDGNFDEPPQIVYGEGDDIINLKGRFKSIKLNNASHGSILTDEWALRRVMQEIFLKKYSKRIFIRVAGLPTCILIFRRRMVARMNYLEAPIVPLTYINRVGKRTPRQLAPERVYGDGDGIVNLVTMLAFDEEMCRQPGQKGQFKSIKIENATHMRILMDE >ORUFI02G23540.3 pep chromosome:OR_W1943:2:21385953:21398008:-1 gene:ORUFI02G23540 transcript:ORUFI02G23540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATIIPVLLRLLLLLLPLPLIRDHLWAPSHLRPTPQDDAGELHPIFLVPGATCSNVEARLTEAYRPSAAHCGAMKGKGWFGLWENNTELQAHDYAECFQEQMALVYDPAANEYRNLPGVDTRVPNFGSVREWCLASLIGALEEMGYRDGDNMYGVPYDIRYAPPIPGQASQVYSRHFREFMELVETASEKQHHNKKAIILGHSLGGMVALEFVRNTPSAWRDKYIEHLFLVAPTLSTGFVPTVRNLVSGPKDLLHVANTTALSLRPMWRSFETSIVNVPSPGVFGHEPIVVTKRRNYSAYDLEDLLAAIGFSDGIEPFRRRTIARMNYIEAPMVPLTCINAVGKRTPRQFVYWDGNFDDEPTEIVYGDGDDSINLVSMLAFDEEMRRQPGQKGRFKSIKLNNASHGSILTDEWALRRVMQEIFLKKYSKRIFIRVAGLPTCILIFRRRMVARMNYLEAPIVPLTYINRVGKRTPRQLAPERVYGDGDGIVNLVTMLAFDEEMCRQPGQKGQFKSIKIENATHMRILMDE >ORUFI02G23550.1 pep chromosome:OR_W1943:2:21408761:21408994:1 gene:ORUFI02G23550 transcript:ORUFI02G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPGRERILDGGSGGGTHVSGSTEALADGVGRARARGSAAALANGVGGTHGRFRRQISGGGGGACARTPVADLRWR >ORUFI02G23560.1 pep chromosome:OR_W1943:2:21449109:21450309:-1 gene:ORUFI02G23560 transcript:ORUFI02G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSGGARPTVLAAGGTLDNDSEWVARLLAGDWRLNSGEAAGHSKTDPDASVPLVFVQV >ORUFI02G23570.1 pep chromosome:OR_W1943:2:21460257:21462858:-1 gene:ORUFI02G23570 transcript:ORUFI02G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVPVLLRLLLLLLPLPLIRDHLWPSHRRPTPQDDAGELHPIFLVPGASCSDLEARLTEAYRPSTAHCGAMKGKGWFGLWENNTELLVHDYADCSLEQMTLVYDPAANEYRNLPGVETRVPNFGSAWSFGYKNPVNRLQRAQCLGKLRDWLEELGYRDGDTMFGAPYDFRYAPPVPGQTSEVYSRYFSEFMALVEAATKKKQKKAVILGHSYGGMVALEFVRSTPRAWRDAHIERLVLVAPTLQDGFVGSVMKFITGTGIIFVPTATSTRPMWRSFESAMASFPSPAVFGREPLVVTKRRNYSACDMEDLLAALGFGEGVEPFRRRAVPRMYSLEAPMVPMTCINAVGNKTPLQLVLWDDDDDDLLDASPEVAAYGDGDGEINLISMLAFDTEMGRQPGQEKRFKSVKIANANHSTIAIYDFALKRIIQEIIEVNQLCVYRWVGW >ORUFI02G23580.1 pep chromosome:OR_W1943:2:21474575:21476839:1 gene:ORUFI02G23580 transcript:ORUFI02G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPLLPQCNGYYAPTSARVCRRDCFGFLFLTTAAAEPYRRLSGRHSTFPKRSENASKLLKPLLLQQLGAKLE >ORUFI02G23590.1 pep chromosome:OR_W1943:2:21476672:21480241:-1 gene:ORUFI02G23590 transcript:ORUFI02G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVVEQIGRGAYGSAYLVVHKGERKRYVMKKIRLSKQNDKFQRTAYQEMSLMASLSNPYIVEYKDGWVDEGTSACIVTSYCEGGDMAERIKKARGVLFSEERVCRWFTQLLLALDYLHCNRVLHRDLKCSNILLTKDNNIRLADFGLAKLLMEDLASTIVGTPNYMCPEILADIPYGYKSDIWSLGCCMFEILAHRPAFKAADMASLINKINRSSISPMPPIYSSSLKQIVKSMLRKNPEHRPTAGELLRHPYLQPYLAESCSCSPIYLPVKPTKSNLGDKQQSRKPGSGRKRIIKTNGSSEALETAAEQAVDTRDNSTYISDVSTVGTQDACISQVSVDPQARNKAYQNIDDLTLFQQIEENLMTITDRQIDEAIFLKAVRTSSTVDVVPVSGAIQKPNEAPIPKEELTIGVVQEQRKEVKAHTHQGSKPGTGDVPIVTEESSPKSAVKLAHSDSTPAEWDHLNIVQQRADALESLLELCAKLLKQERLEELAGVLRPFGEGAVSSRETAIWLTKSLMTPPKLEGSPKLT >ORUFI02G23600.1 pep chromosome:OR_W1943:2:21489854:21492883:1 gene:ORUFI02G23600 transcript:ORUFI02G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLSISTVVLCHGVQWRWGWLLLCHSVRRQRVGCCSLLHYAENPMRTKGGCALGLVSLPHRILFWGEGGGHLCLPQLLLTELRGQPLPRRGFAARRAIHIAPSTSPHPLVVLVLSASASLPNIFVLHCSCTYSELEWHHILPIPSSASASHMASQRRLLLRHQVNSVAHC >ORUFI02G23600.2 pep chromosome:OR_W1943:2:21489855:21492883:1 gene:ORUFI02G23600 transcript:ORUFI02G23600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLSISTVVLCHGVQWRWGWLLLCHSVRRQRVGCCSLLHYAENPMRTKGGCALGLVSLPHRILFWGEGGGHLCLPQLLLTELRGQPLPRRGFAARRAIHIAPSTSPHPLVVLVLSASASLPNIFVLHCSCTYSELEWHHILPIPSSASASHMASQRRLLLRHQVNSVAHC >ORUFI02G23600.3 pep chromosome:OR_W1943:2:21489854:21499157:1 gene:ORUFI02G23600 transcript:ORUFI02G23600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLSISTVVLCHGVQWRWGWLLLCHSVRRQRVGCCSLLHYAENPMRTKGGCALGLVSLPHRILFWGEGGGHLCLPQLLLTEGREPEIPALLCKREPE >ORUFI02G23610.1 pep chromosome:OR_W1943:2:21519758:21523839:1 gene:ORUFI02G23610 transcript:ORUFI02G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVRNSGGRAALADPSGGGFFIRRTTSPPGAVAVKPLARRALPPTSNKENVPPSWAVTVRATPKRRSPLPEWYPRSPLRDITSVVKAVERKSRLGNAAVRQQIQLSEDSSRSVDPATPVQKEEGVPQSTPTPPTQKALDAAAPCPGSTQAGASTSTAYLAEGKPKASSSSPSDCSFQTPSRPNDPALADLMEKELSSSIEQIEKMVRKNLKRAPKAAQPSKVTIQKRTLLSMR >ORUFI02G23620.1 pep chromosome:OR_W1943:2:21526329:21535038:-1 gene:ORUFI02G23620 transcript:ORUFI02G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWHGEVILVVYGKDGESEAVVVHFRPRLQTALGFPHCGAASAAAGGVGSGGSSSGSAGGGRLPFGLARLSPYQMEKFLVAAPPPSGDAPAAPVPPRRHRWSRVAAELDGRIDARFRHRESVRLRDSFSEIRTFSHNYYMEGQERCTTYMNRVVNDSVLGFQAVREGISAMEFDKKGIYLASVTASGCLTVHDFETLYCSTYGPSRGLPDESSNYLLHISNSMPLCAVRWNPANQDEIVCVSRQTDMVLLFDIGCVSSTPTEILRKGRSRYPVLSEFRKGLTDVAFSSDDKSWLFASGLDGAVFMWDMRLSKKHCLELIGHPESQFSSVKLNIDNRTVFAATKEGTVHAWDLRGGRASAAFQSHNEVQQLSSVKISTLLGKIPSLKDQTNIVSSEILSIDFNPSCSYQLAFHLDNGWSGALNINTLSVSHLHCPPPDWLEHMNFMWQKLHRKPTWLPTSSIYAVGSASNTVGMHLLDFHPDTSSACHVDYNEEIRGSDEKKPAANKFIPSSQRVVSCAAHPFCHTILAGTQFSSLLVLSQKQESIKNSE >ORUFI02G23620.2 pep chromosome:OR_W1943:2:21526329:21532726:-1 gene:ORUFI02G23620 transcript:ORUFI02G23620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVQIGAYGSMLAAGDIRTFSHNYYMEGQERCTTYMNRVVNDSVLGFQAVREGISAMEFDKKGIYLASVTASGCLTVHDFETLYCSTYGPSRGLPDESSNYLLHISNSMPLCAVRWNPANQDEIVCVSRQTDMVLLFDIGCVSSTPTEILRKGRSRYPVLSEFRKGLTDVAFSSDDKSWLFASGLDGAVFMWDMRLSKKHCLELIGHPESQFSSVKLNIDNRTVFAATKEGTVHAWDLRGGRASAAFQSHNEVQQLSSVKISTLLGKIPSLKDQTNIVSSEILSIDFNPSCSYQLAFHLDNGWSGALNINTLSVSHLHCPPPDWLEHMNFMWQKLHRKPTWLPTSSIYAVGSASNTVGMHLLDFHPDTSSACHVDYNEEIRGSDEKKPAANKFIPSSQRVVSCAAHPFCHTILAGTQFSSLLVLSQKQESIKNSE >ORUFI02G23620.3 pep chromosome:OR_W1943:2:21532991:21535038:-1 gene:ORUFI02G23620 transcript:ORUFI02G23620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWHGEVILVVYGKDGESEAVVVHFRPRLQTALGFPHCGAASAAAGGVGSGGSSSGSAGGGRLPFGLARLSPYQMEKFLVAAPPPSGDAPAAPVPPRRHRWSRVAAELDGRIDARFRHRESVRLRDSFSEDVIGRPDLFPVAQCSFLSWWSGIRKGVPNSLGAGSIPSSCSLPSPSGRKGTTGCSISSSTRGRT >ORUFI02G23630.1 pep chromosome:OR_W1943:2:21536132:21537817:-1 gene:ORUFI02G23630 transcript:ORUFI02G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSKLSQGIKRASRSHTYHRRGLWAIKAKNGGTFPKAGKPAAAAEPKFYPADDVKPRAPSTRKANPTKLRSTITPGTVLILLAGRYMGKRVVFLKQLKSGLLLITGPFKINGVPIRRVNQAYVIATSTKVDISGVKVDKFDDKYFARDKKAKAKKTEGELFETEKEATKNLPDFKKDDQKAVDAELIKAIEVVPDLKSYLGARFSLRDGDKPHEMTF >ORUFI02G23640.1 pep chromosome:OR_W1943:2:21539143:21541794:-1 gene:ORUFI02G23640 transcript:ORUFI02G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKRSSDAAAAVEKPDEFAPQRPERTLFGFKEKPADDEEAEAEAASKGEEDAAAAAAAAPFRNKEKVLVTCSRRINYRYRHLMQNVVSLLPHAKKDSKVESKQSKGNALNELLELRNCSSCLFFECRKQKDLYLWMVKSPGGPSVKFLVNAVHTMEELKLTGNHLKGSRPLITFSTNFDEQPHWQLVKEMLTQIFATPKDHRKAKPFHDHVFVFSIVDDHVWFRNYQISVPHNEIDKVDKGGLDKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRALEKRKKAGKYAKKVKAKVRRKMHEMENTLEPDEFAELWKGED >ORUFI02G23650.1 pep chromosome:OR_W1943:2:21546291:21551553:1 gene:ORUFI02G23650 transcript:ORUFI02G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFNPFGGKVQNGLEGRTIDVGNIKITVRNAIAQGGFSCVYLASDAMHPSKQYAMKHIICNDSELLDLVMEEIQVMNLLKGHPNVVTLVAHDVFDMGRTKEALLVMEFCEKSLVSAMESRGTGYYEEKKALLILRDVCNAVFAMHGQSPPIAHRDLKAENVLLGLDGAWKLCDFGSTSTNHKCFDRPEEMGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPEQPKYSAAVTKLIKDMLEASPNDRPDVTQARALIDWPFISMILGAYKRTHVMPRRNPPPPPIEQSNSSLSHGSSKAGDAPLGAFWATQHAQGSQVADNRNSLFDEEPIKPSPSSKHNQSRGDISISAPGDRHGRSGQAVRGTPSNSVSNNGLASGANTNLFMESQSSLKTKESQPKSEKDPFNSFVADFEANNLHSGTNVASKESELEAEVSNLKEQLKKTSSEKAEMTAKFEKLSAICRSQRQEIQELKRTLAETTPPSSKVSSRLPDSGPQRKEKIEGTVWELEQGMLANSLPSSEAKTWQAFPDPKSQPAQVRPKVDHSTNGAQNLARNANSRQSPDGWGFGPDSFRTSPGSTAAQINRPTAQGSSSQRFSSGAAKKVEQPSGWAGF >ORUFI02G23650.2 pep chromosome:OR_W1943:2:21546717:21551553:1 gene:ORUFI02G23650 transcript:ORUFI02G23650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFNPFGGKVQNGLEGRTIDVGNIKITVRNAIAQGGFSCVYLASDAMHPSKQYAMKHIICNDSELLDLVMEEIQVMNLLKGHPNVVTLVAHDVFDMGRTKEALLVMEFCEKSLVSAMESRGTGYYEEKKALLILRDVCNAVFAMHGQSPPIAHRDLKAENVLLGLDGAWKLCDFGSTSTNHKCFDRPEEMGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPEQPKYSAAVTKLIKDMLEASPNDRPDVTQARALIDWPFISMILGAYKRTHVMPRRNPPPPPIEQSNSSLSHGSSKAGDAPLGAFWATQHAQGSQVADNRNSLFDEEPIKPSPSSKHNQSRGDISISAPGDRHGRSGQAVRGTPSNSVSNNGLASGANTNLFMESQSSLKTKESQPKSEKDPFNSFVADFEANNLHSGTNVASKESELEAEVSNLKEQLKKTSSEKAEMTAKFEKLSAICRSQRQEIQELKRTLAETTPPSSKVSSRLPDSGPQRKEKIEGTVWELEQGMLANSLPSSEAKTWQAFPDPKSQPAQVRPKVDHSTNGAQNLARNANSRQSPDGWGFGPDSFRTSPGSTAAQINRPTAQGSSSQRFSSGAAKKVEQPSGWAGF >ORUFI02G23660.1 pep chromosome:OR_W1943:2:21554796:21555989:-1 gene:ORUFI02G23660 transcript:ORUFI02G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIPVIIPVIALRLAGEAPGEAARLRDAARRLGCFRVSGHGVPRELQAGMRAAVRALFDLPGDAKRRNADVIHGSGYMAPSAANPLYEAFGLYDAASPADVDAFCSCLDAPPHAREAIRSYAEKAHELVVDVAAKLATSLGLDCSFGDWPCQFRINRYNYTPDTVGKTGVQVHTDSGFLTVLQEDDRVGGLEVADPDTGEFAPVDPLPGTFLVNLGDVATAWSNGELHNVRHRVRCVAGVQRVSIALFLLAPKDDVVRAPEAFVSAERPRRFRDFGYDDYRRLRQSTGEHAGEALARLAA >ORUFI02G23670.1 pep chromosome:OR_W1943:2:21556842:21561098:-1 gene:ORUFI02G23670 transcript:ORUFI02G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTRALLLLPLLLLFLLSRSLSLRADPGAAVSRIAFGSCANQSAPQPVWEAVVGFDPQVFIWLGDNVYGDNKRPFRVFGRERTVGPWRNVPRFYPSTEAELRRRYEMAKAKPGYAKLRERAQVIGTWDDHDYGLNDAGKEFGGKVTSQRLLLDFLDEAEDSSRRQQAGVYASYMFGPEGKRVKVILLDTRYHRDPLSSDGAVLGDPQWQWLERELHGPRSEITIIGSSIQVISNLSATTGPLFYVESWARFPRERERLFRLIDSKISRYDCGAQYPLYDITSSGLTQSVENSVPSVFQPLMRLVALLTPTTLRVFSPNCRYKSCTYGQPNFGAIEIDWNAVPPQIKLELRDVEGNSVGGVEFPISELDPSKAHAITKQGHSYQRHCALETELPWLVRHRLALLLFGTIAVLVIAVVLLGITCLSAANIFTKKSKME >ORUFI02G23680.1 pep chromosome:OR_W1943:2:21563938:21569891:-1 gene:ORUFI02G23680 transcript:ORUFI02G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSPAIRPIGKSAVHRICSGQVIFDLSSAVKELVENSLDAGATTVEVTLRSYGEDSFTALALKHHTSKISDFGDLASVATFGFRGEALSSLCALGKLTVETRTKDEPVGTRLEFAHSGVVTGERKMARPVGTAVTVEKLFSTLPVRSKEFSRNIRKEYGKVISLLNAYALIAKGVRLVCTNTVGKNSKSAVLRTQGSSSLKDNIITVFGLNTFKCLQPFNVTISEGCQVDGFLSKPGPGSGRNSGDRQFFYVNGRPVDMPKVSKLVNELYRSSNAKQYPVAVLNFCIPTTSYDVNVAPDKRKIFFSSEHAILLSLREGIENLYCPQQCSFSINSVEDPGKEMDPAIDGSDEDMCLTEKENVSAPENDDDMDETDSDDEVTPENQKVPSSVTTRVATGSTPKDVSPLSRGPPAQLDRSTLLSAYRYEQREKTPTRVKSYPAQANHVRTGLAAKSSPSSAVQPSIMKFLSQNKRKHEDSCNLISEAPVLRRGTCLEQVRRTDLGVNSPTALTSRVSNIPEVNAPQGTNPLRHHSLQSFVPEMPEDSPQHSEPPNIVSHRDEVPQLRPCDVHATESEVDNQHDPCHSKFGAPSRCSEVEPQNKLTNISLPDAHYDGHDTAAHSGQSSYPVMQFTLADLRRRRRHSFMISHAKKGSFPEKSTRCYKAATLDNYVPDNEEGKSNSLAAATSELDKLFIHLQVVGQFNLGFIIGKLDQDLFIVDQHAADEKYNFENLSQSTTLNIQPLLQPLRLDLSPEEEVIELISMLADSQGDCSIISSYKLDRTDSICPSRVRAMLASRACRMSTMIGDPLTKTEMKKILKNLTGLRSPWNCPHGRPTMRHLADLHAIKTEGSKATFS >ORUFI02G23680.2 pep chromosome:OR_W1943:2:21563938:21569891:-1 gene:ORUFI02G23680 transcript:ORUFI02G23680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSPAIRPIGKSAVHRICSGQVIFDLSSAVKELVENSLDAGATTVEVTLRSYGEDSFTALALKHHTSKISDFGDLASVATFGFRGEALSSLCALGKLTVETRTKDEPVGTRLEFAHSGVVTGERKMARPVGTAVTVEKLFSTLPVRSKEFSRNIRKEYGKVISLLNAYALIAKGVRLVCTNTVGKNSKSAVLRTQGSSSLKDNIITVFGLNTFKCLQPFNVTISEGCQVDGFLSKPGPGSGRNSGDRQFFYVNGRPVDMPKVSKLVNELYRSSNAKQYPVAVLNFCIPTTSYDVNVAPDKRKIFFSSEHAILLSLREGIENLYCPQQCSFSINSVEDPGKEMDPAIDGSDEDMCLTEKENVSAPENDDDMDETDSDDEVTPENQKVPSSVTTRVATGSTPKDVSPLSRGPPAQLDRSTLLSAYRYEQREKTPTRVKSYPAQANHVRTGLAAKSSPSSAVQPSIMKFLSQNKRKHEDSCNLISEAPVLRRGTCLEQVRRTDLGVNSPTALTSRVSNIPEVNAPQGTNPLRHHSLQSFVPEMPEDSPQHSEPPNIVSHRDEVPQLRPCDVHATESEVDNQHDPCHSKFGAPSRCSEVEPQNKLTNISLPDAHYDGHDTAAHSGQSSYPVMQFTLADLRRRRRHSFMISHAKKGSFPEKSTRCYKAATLDNYVPDNEEGKSNSLAAATSELDKLFSKDDFGEMEVVGQFNLGFIIGKLDQDLFIVDQHAADEKYNFENLSQSTTLNIQPLLQPLRLDLSPEEEVIELISMLADSQGDCSIISSYKLDRTDSICPSRVRAMLASRACRMSTMIGDPLTKTEMKKILKNLTGLRSPWNCPHGRPTMRHLADLHAIKTEGSKATFS >ORUFI02G23690.1 pep chromosome:OR_W1943:2:21572888:21575947:1 gene:ORUFI02G23690 transcript:ORUFI02G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAAKQMAEAPGKIESMRKWVIDHKLRAVGCLWLTGISSSIAYNWSRPNMKTSVKIIHARLHAQALTLAALVGSAMVEYYDAKYGTSGPKVDKYTSQYLAHSHKD >ORUFI02G23700.1 pep chromosome:OR_W1943:2:21576081:21578891:-1 gene:ORUFI02G23700 transcript:ORUFI02G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTAPSRTGRALALLPVLVLLLLVCSPAASAGEQKGVCVSPGGRFPAFSSEGKRPGRAAKGRRDLALCRVFRQNTCCDVSQTFSALLSVRKLASTGEGSQECLHLWELLECSICDPRVGVRPGPPVICASFCDMVFKACSEAYFAIDVKTQALSPCGLGDILCGKAHKWVSNGTELCRSAGFSVQALETTSGGVDDTFCYGGKASFDAISSSWTSSKERPVLSDVASWNLEDFRRWAREMPASERVSWAIGGMVLTAGLIFISKRKSYIPGQKQAAIARNVRKLESRKSSLNKLRTGTAAAFPVGQPREL >ORUFI02G23700.2 pep chromosome:OR_W1943:2:21576727:21578891:-1 gene:ORUFI02G23700 transcript:ORUFI02G23700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTAPSRTGRALALLPVLVLLLLVCSPAASAGEQKGVCVSPGGRFPAFSSEGKRPGRAAKGRRDLALCRVFRQNTCCDVSQTFSALLSVRKLASTGEGSQECLHLWELLECSICDPRVGVRPGPPVICASFCDMVFKACSEAYFAIDVKTQALSPCGLGDILCGKAHKWVSNGTELCRSAGFSVQALETTSGGVDDTFCYGGKASFDAISSSWTSSKERPVLSDVASWNLEDFRRWAREMPASERVSWAIGGMVLTAGLIFISKRKSYIPGQKQAAIARNVRKLESRVNPQQLRRN >ORUFI02G23720.1 pep chromosome:OR_W1943:2:21587037:21587363:1 gene:ORUFI02G23720 transcript:ORUFI02G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVDGLTMASSRADGREARSSAAAVTWSWRAPVATPPAARAPPVGAPPLHSWRHPITLPSTLSGTGANTVSRAEKPRRPAVEELPRRRGDEHRAEQRAGLLDRGI >ORUFI02G23730.1 pep chromosome:OR_W1943:2:21588831:21589448:-1 gene:ORUFI02G23730 transcript:ORUFI02G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSVLLETHKNDHHPNMRPPQIISKATLHSHPETMSSSSPATATTATMSSFLQRCFLCRRELADGKDIYMYRGDRAFCSVDCRCKQIFMDEDAAAGGGNCAAVRAGRRRAAVPREQTGAGGFAY >ORUFI02G23740.1 pep chromosome:OR_W1943:2:21598863:21599741:-1 gene:ORUFI02G23740 transcript:ORUFI02G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVATTVRGGAASGCGAVFGTRRLAGRGCRCSGPTCRQRLSDGGASIRGLASDEQPVKTQPGFGRTDNDGSFPLPRALSCHLIPQGWLPGESPWVGNLTGHFPQLPSRQTIWLHVPEYVILKK >ORUFI02G23750.1 pep chromosome:OR_W1943:2:21599755:21600081:-1 gene:ORUFI02G23750 transcript:ORUFI02G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWLAVTAVSSGCGDGDRDCDWRRHGGLRRLAEGVADGRTWLHGSDWRGRRPAWRREAQPMAVVAGKAREAWSVARRPAWRERRNRWREAGLAREARPVVEEAGVA >ORUFI02G23760.1 pep chromosome:OR_W1943:2:21600206:21612174:-1 gene:ORUFI02G23760 transcript:ORUFI02G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEGCCGGAAIAAAAGVIEEAETVVPPPATAIASSKGIRIMTRTQKSHPLDPLSAAEISVAVATVRASGRTPEVRDSMRFVEVVLLEPEKTVVALADAYFFPPFQPSLLPRTKNTPIIPTRLPARRARLVVYNKMTNETSIWIVELSEDAMEYAECEATVKSHPPFIEAMKKRGVDDMDLVMVDPWCAGYYSDADAPNRRIAKPLIFCRTESDSPMENGYARPVEGIHIVIDVQNNTVIEFEDRKFVPLPPPDHLRNYTPGETRGGVDRSDLKTLIINQPDGPSFRVNGYFVEWQKWNFRIGFTPKEGLVIHSVAYVDGNRGRRPIAHRLSFVEMGCDCLGLIKYFDAHFTNFTGGVETIENVVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTASFVCTIANYEYGFYWHFYQDGKIEAEVKLTGILSLGALMPGEQRKYGTTIAPSLYAPVHQHFFVARMDMAVDCKPNEAYNQVVEVNVKVESAGPNNDLCEKHCIVVQTQTFCVTDTAGRMFVQVRNTRTVNRTGQPTGYKLVPGSSCLPLALPEAKFLRRAGFLKHNLWVTSFKNDEMFPGGEFPNQNPRINEGLATWVKKDRSLEETNLVLWYVFGITHIPRLEDWPVMPVERISFMLMPDGLFNCSPAIDVPPGPDTSALSSSRGQPGRTLAPGRRHTSLPFPTLPPPKRVAGNRAACRDGGGEAPIPGGTAVSTRRGGADRREGCAAARRCGGGSGAATDGSGTPAAGSGVATAGSGPWRHGRGVGAMPTPAKVARRGVGSKGAGDGDSGGGAVGATAAEERAAVVAAEARATSIGAEARRL >ORUFI02G23760.2 pep chromosome:OR_W1943:2:21600206:21612174:-1 gene:ORUFI02G23760 transcript:ORUFI02G23760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEGCCGGAAIAAAAGVIEEAETVVPPPATAIASSKGIRIMTRTQKSHPLDPLSAAEISVAVATVRASGRTPEDAMEYAECEATVKSHPPFIEAMKKRGVDDMDLVMVDPWCAGYYSDADAPNRRIAKPLIFCRTESDSPMENGYARPVEGIHIVIDVQNNTVIEFEDRKFVPLPPPDHLRNYTPGETRGGVDRSDLKTLIINQPDGPSFRVNGYFVEWQKWNFRIGFTPKEGLVIHSVAYVDGNRGRRPIAHRLSFVEMGCDCLGLIKYFDAHFTNFTGGVETIENVVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTASFVCTIANYEYGFYWHFYQDGKIEAEVKLTGILSLGALMPGEQRKYGTTIAPSLYAPVHQHFFVARMDMAVDCKPNEAYNQVVEVNVKVESAGPNNDLCEKHCIVVQTQTFCVTDTAGRMFVQVRNTRTVNRTGQPTGYKLVPGSSCLPLALPEAKFLRRAGFLKHNLWVTSFKNDEMFPGGEFPNQNPRINEGLATWVKKDRSLEETNLVLWYVFGITHIPRLEDWPVMPVERISFMLMPDGLFNCSPAIDVPPGPDTSALSSSRGQPGRTLAPGRRHTSLPFPTLPPPKRVAGNRAACRDGGGEAPIPGGTAVSTRRGGADRREGCAAARRCGGGSGAATDGSGTPAAGSGVATAGSGPWRHGRGVGAMPTPAKVARRGVGSKGAGDGDSGGGAVGATAAEERAAVVAAEARATSIGAEARRL >ORUFI02G23770.1 pep chromosome:OR_W1943:2:21616927:21619764:-1 gene:ORUFI02G23770 transcript:ORUFI02G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDEDTLAKELQNTAFTPPNTIPKPTMAPTIQSAARDDNNPAKSRESFPWKTDATRMPFCMVLVTWVPIRNAPENSSTAANPESSVRYASAFILFVPWKTTDCIASGTVSAANAITTEIDIAIRGDIWNALAISDSSDSPFCSSSDSVASACPLEPLSALAKEDIVARGRPRCEFSVLAEEVNTGLASHGYQVAIGALLAKDHRTENQLVCSRPDAEEKNNQRLCPCIRYGILDLVFYDH >ORUFI02G23770.2 pep chromosome:OR_W1943:2:21615680:21619764:-1 gene:ORUFI02G23770 transcript:ORUFI02G23770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDEDTLAKELQNTAFTPPNTIPKPTMAPTIQSAARDDNNPAKSRESFPWKTDATRMPFCMVLVTWVPIRNAPENSSTAANPESSVRYASAFILFVPWKTTDCIASGTVSAANAITTEIDIAIRGDIWNALAISDSSDSPFCSSSDSVASACPLEPLSALAKEDIVARGRPRCEFSRKSTLVWLLMGIRTLILPMAG >ORUFI02G23770.3 pep chromosome:OR_W1943:2:21616985:21619764:-1 gene:ORUFI02G23770 transcript:ORUFI02G23770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDEDTLAKELQNTAFTPPNTIPKPTMAPTIQSAARDDNNPAKSRESFPWKTDATRMPFCMVLVTWVPIRNAPENSSTAANPESSVRYASAFILFVPWKTTDCIASGTVSAANAITTEIDIAIRGDIWNALAISDSSDSPFCSSSDSVASACPLEPLSALAKEDIVARGRPRCEFSVLAEEVNTGLASHGYQVAIGALLAKDHRTENQLVCSRPDAEEKNNQRLCPCIRYGILDLVFYDH >ORUFI02G23770.4 pep chromosome:OR_W1943:2:21616985:21618580:-1 gene:ORUFI02G23770 transcript:ORUFI02G23770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCMVLVTWVPIRNAPENSSTAANPESSVRYASAFILFVPWKTTDCIASGTVSAANAITTEIDIAIRGDIWNALAISDSSDSPFCSSSDSVASACPLEPLSALAKEDIVARGRPRVVPQAMNPRWQLSNRVTEQESGSRSSPSCSSMCSGNGEERGRLRHGVAEAKYRFEQRSTEKKKMRLRGRRGGRWTGGSRLAVAIGALLAKDHRTENQLVCSRPDAEEKNNQRLCPCIRYGILDLVFYDH >ORUFI02G23780.1 pep chromosome:OR_W1943:2:21617688:21622220:1 gene:ORUFI02G23780 transcript:ORUFI02G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSPFFSVARAHAGAGGRAAAAALLLRHPVAQLPPRIHGLRYYPSAIVSPAKTLNSHLGLPRATISSFANADNGSSGQADATESEEEQNGESELSEMAKAFHISPRMAMSISVVIAFAALTVPLAMQSVVFHGTNKMKALAYLTLLSGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLTAAVLEFSGAFLMGTHVTSTMQKGILVASVFQGKDSLLFAGLLSSLAAAGTWLQVASSYGWPVSTTHCIVGAMVGFGIVFGGVNAVFWSSLARVSSSWVISPLMGAAVSFIVYKGIRRFVYSAPNPGQAAAAAAPIAVFTGVTAISFAAFPLSKTFSIAILQALACGAIGAVIVNRVIQKQLGDLLSSEAEKIASADKANAQQVGFLSDIAGPTGAQLQIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSILQGVASSAEIVIPTEVLAWGGFGIVAGLTMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNRVRAETVREIVASWLVTIPVGAVLSIFYTLLFTKILAYFM >ORUFI02G23790.1 pep chromosome:OR_W1943:2:21622070:21622528:-1 gene:ORUFI02G23790 transcript:ORUFI02G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPALMRQWPSPPLIPASTLLPVPATTQEDELLLAMAESDLEDKLNEIRKTNSNLVIIGKPTGNVKEEYDAEAEDDDADNVEESDGDDFDQETG >ORUFI02G23800.1 pep chromosome:OR_W1943:2:21624840:21627569:1 gene:ORUFI02G23800 transcript:ORUFI02G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPQSHPILAYVLSRLPSLLPVSPSLSTPRARDIEQPSPRAPSGAAEFDLVSRMPGLRHPSVLSAMTRAVADVSSARDALRLLGPRPDHELVDSARAFLRSHAAEEAEEEEEDEKVAKSREVVRLDEAHESYGGLLREAEERLDRVYRTAMRGRDMQVVAAAHGGGGEEEAGVVDDEVVRVLRDAEEGKAVERLLLADRQLRHLPEQLGRIRGLLVLDVSRNQLKNVPDAIGGLEHLEELRLASNALVSLPDSIGLLTSLKILDVSGNKLRSLPDSISKCRSLVELDVSYNVLSYLPTGIGQEMARLEKLWVHLNKLRSLPSSVCEMRSLRLLDAHFNQLRGLPAGIGRLAALESLNLSSNFSDMRDLPASFGDLLGLRELDLSNNQIHALPDCFGRLQRLERLRLDQNPLAVPPKEVVAGGVGAVKEYMARRWRDARAEEERRGSAVAESPRVSTPKEWLVRSVSSLGSWVSDVTRYGAGQDKAAAEEEEDAYLQQNL >ORUFI02G23810.1 pep chromosome:OR_W1943:2:21628196:21633309:-1 gene:ORUFI02G23810 transcript:ORUFI02G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSPMYEGLASRPDEWDVVLKVKYGETLKRFGGYVQGPQFSLNLSALRSKIASAFKFGSDVDFILTYTDEDGDIVMLDDDDDLHDAAIHQKLNPLRINVQLNNSHTAAPQAKQQDSDNIPLRSTTTEDPLAHIKSVIDEVLKPISMKSIQEPVPETLAKLSHEVLEAASPQLAELIKPFVKLVTPSNNNPSNGHADGSCSSSTGLPQTQVDPKTNDEPKIDTSLGSQPLDTQNSKSSGARGLKTLSVEAPATSGVKSSQGQQASLYPSIEELLFSPFLPNSGDDKSASKGISDAQSKGKSVMTSATPPTPPAAPAFRPAPPIPSLNDWSQPPARGSTFYPSIWQSEADPKANSDSRWRVPLCRAGHPFQPHAPLSRPPPPMPAPMSYGPSPHFPYPGRLLSSGHLHGDLGNNIENSPARTFHRWIQCDGCGVQPIVGPRYKSKTKEDYDLCDACFHRMGNEVEYTRIDKPLLPQRLLRDPTLIWRMHNNGSIMWPLGTQLIWVGGDQFALQTYVPLEIPVDGFPVDQEIDVAVDFVAPARPGRYISYWRLASPSGQKFGQRVWVHIQVEDPSFVSNNRTAAINLNLPPESNITNTSNLIDVNIEPVDQVFNQHVNSTNKELLEHLIHHQIDEPKNPEPAPLPVPIVSSTTSLHPIIDVDVPSSSTAAAFVPVFDEPAPEPAVTPVPPTVNVPAGNAPASVGASSSDHHGIDNLTEEKLLKELEEMGFRQVDLNKEILRQNKYNLEQSVDDLCGVSEWDPLLAELQEMGFEDTEINKEMLEKNGGSIKRAVMDLIAREKKDQ >ORUFI02G23820.1 pep chromosome:OR_W1943:2:21636687:21641412:1 gene:ORUFI02G23820 transcript:ORUFI02G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLQEAAAAAAGGRKRRRRGGGRNRRKHQASSSSGAAASASPPSPPAKRQRGDDAAPKGRGSKPKPASLLDKMRARLSGGHFRMLNEKLYTCSGQDAFDYFTNEPDLFDVYHAGYREQMSHWPEQPVNVIINWLKSHSASWTVADFGCGNAAVSKNVKNKVFSIDLVSEDPSVIACDMAHTPLESSSVDVAIFCLSLMGTNYPSYIEEANRVLKPSGWLLIAEVRSRLDPNTAGADPDKFCEAISKLGFSLVSKDAKNKMFILFHFRKKEKSKVVKNIDWPQLKPCLYKRR >ORUFI02G23830.1 pep chromosome:OR_W1943:2:21646062:21651562:1 gene:ORUFI02G23830 transcript:ORUFI02G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSEFYAGEGLQIDPKWLIDPKLLFVGPRIGEGAHAKVYEGKYKNQNVAIKIVHKGDTPEEMVKREGRFLREVTMLSRVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVGLRPRSLEPRVAVGFALDIARAMECLHAHAIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPEVSVTAVHICQYNSFLSIFANPEIYPQLYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNRLPFEGMSNLQAAYAAAFKNIRPSADNLPEELSEILTTCWKEEPNERPNFTQIVQMLLHYLSTLSPPEPLAPPRTFSSENAILPPESPGTSSLMASRGDLGDTPKGKMEDKPRGFFFCFSQCY >ORUFI02G23840.1 pep chromosome:OR_W1943:2:21655375:21655866:-1 gene:ORUFI02G23840 transcript:ORUFI02G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPSSRGGVSGLGWTCGRGFGQVDMIHNGGTRESSDRLALLRPSKCPTARTDQYPTGQ >ORUFI02G23850.1 pep chromosome:OR_W1943:2:21656122:21657074:-1 gene:ORUFI02G23850 transcript:ORUFI02G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKAGCAAVSPSSTFFRRTIAPAGPPLTDRVEDPTGLAGTEVDLEGYVEAPEGHISRGKP >ORUFI02G23860.1 pep chromosome:OR_W1943:2:21667665:21668702:1 gene:ORUFI02G23860 transcript:ORUFI02G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQHAMDEPANAQLYGHAHAHSHHHRSKRPSPGGGGGGAASLGADGGGGGGSLSGTRYRGVRRRPWGRFAAEIRDPASKERRWLGTFDTAEQAACAYDVAARAMRGTRARTNFPVPAAAGFPGGGGGGCWPWVNIPPQGAAAAASHQQPLNTFLLHNLLMSSSPHGCLLLHHAGHGHGHAHSHSHSHSRAHNPSTRPPTSAPPPPPPAAASSATTAPATTTGAAATSAPGADDDAWGFLLRREPPEAGLLQDVLHGFYPTRRPHDDAGPAPKLERPYEATSSYRVSSPWGAVEDCDDGDGDGDDDYRGFPMMPQGLLEDVIQCPPYMEVLAAPSAAVGRVSRRG >ORUFI02G23870.1 pep chromosome:OR_W1943:2:21675866:21676371:1 gene:ORUFI02G23870 transcript:ORUFI02G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIREDDTCENKDCRVGAGRKAMAIGEDDTCENKDCRVNAGRKATTVGENGACENKDCRVGAGRKASAVEEDNACENKEKRRKMMVAKATSPSSLDCPKLALLHPSLFFLLDLVELGVVVIATVDGEDVLDLDARWLVGASTLADYHSWYGSTPSACSIE >ORUFI02G23880.1 pep chromosome:OR_W1943:2:21688393:21691589:1 gene:ORUFI02G23880 transcript:ORUFI02G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFYSNESVRSVCTEVATDLQILVGDCLYQLHKFPLLSKCLLLQALCAESGCGGNGGDVIELPGFPGGVEAFDACAKFCYGITVTVSARNLVPLRCAAAHLGMSEAADRGNLAAKLDAFLASCLLRRWKDALAVLNSTRHCAPLCEDIGLTSRCVDAVAALIASPAALPAHSSSASPWWAHDVAELGVDLFWRIMVAVKATGAVHEKTVGDALKAYARRWLPNVAKDGIVVGADQPFDGAGNGGDGGNASVKQIATRHRLLLEKIVSLIPAERDAVSCSFLLKLLKAANILSASATSRAELVRRVAWQLEEATVGDLLIPSLSCVSETLYDVDAVAAILDEFALRHAAAPPPPVALAVSPDDDDDSPARSGGHRRSRSAESVGFDGAARRSSSAAPVSPDALVRVGRLVDGFLIEVARDPNMPLDKLLAIAEAVPDTARPEHDGLYKVVDTYLKVHSEMSKSARKRLCRVINCRKLSDKACAHAAQNELLPLRVVVQVLFFEHARAAAMAGGAHAAAELPGSIRALLQSKSSGSDQEDDAADRVDEQRLRALAAGASPGDDWSVEGLRRAASKIATLRMKLEEDDDHDGGGGDDEEFARRQQAGLARSASLRFRAFCAIPAARPKRMLSKLWPLARGVTTERH >ORUFI02G23890.1 pep chromosome:OR_W1943:2:21707144:21710164:-1 gene:ORUFI02G23890 transcript:ORUFI02G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWLITGRGVAKKIRNAPHCSSRPISELGAEAQMECPNCKHVIDNSDVAIQWPGLPAGVKFDPSDLELLEHLEQKIGLGGSKPHTFIDEFIPTIDNDEGICYSHPENLPGMKKDGTSGHFFHRVSNAYGCGQRKRRKISNCDHVVSVEHVRWHKTGKSKAIVEKGVTKGWKKIMVLYKSSQRGAKPDKANWVMHQYHLGAEEDEKDGELVVSKISYQLHGKQIDKSETGNADEESDAFAARVGPKTPKSNTPQPCRLKNSPCETENYDPILEDQDEEESNIPIVSLKDDAGNPAWCAGETQAAREAVQACPNLDESLRCHEVLDSFYHETLLPSDRPILSQGGNEILDRNLNAVYGLPDLYNVDLGTPPDFQLADLQFGSQESIGNWLDSI >ORUFI02G23900.1 pep chromosome:OR_W1943:2:21714074:21716204:-1 gene:ORUFI02G23900 transcript:ORUFI02G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGYYNCKKNDGGICGGVCGGSEHGSKAILSMSRLKCALRGFDLRALLILLIGVPALIFIIYVHGQKVTYFLRPIWEKPPKPFNVLPHYYHENVSMANLCKLHGWKVRETPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGLKKDLHFKENRQRFEFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAGITDDDLLIMSDVDEIPSGHTINLLRWCDDTPEVLHLQLRNYLYSFQFLLDDKSWRASIHRYRAGKTRYAHFRQTDDLLADSGWHCSFCFRHINDFVFKMQAYSHVDRIRFKYFLNPKRIQHVICQGADLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPAYLLEKMDQYRYLLPGNCMRESG >ORUFI02G23910.1 pep chromosome:OR_W1943:2:21714274:21731285:1 gene:ORUFI02G23910 transcript:ORUFI02G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFRSVITPPQEVDCMATGDLINIRHDQKAGQPILPAREILPAPDASKPRTPPPNPNLENQGRYPPIPRKQSSKLRD >ORUFI02G23920.1 pep chromosome:OR_W1943:2:21729163:21731600:-1 gene:ORUFI02G23920 transcript:ORUFI02G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGYYNCKKTDGICEDVCDSEHGSKAVFSMSRLKCALRGFDLRALLILLIGLPILIFVIYLHGQKVTYFLRPIWEKPPKPFKVLPHYFNENVSMSNLCKLHGWKVRETPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGLKKDLHFKENRQRFEFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAGITDDDLLIMSDVDEIPSGHTINLLRWCDDIPEVLHLQLRNYLYSFEFFLDDKSWRASIHRYRAGKTRYAHFRQTDDLLADSGWHCSFCFRYISDFVFKMQAYSHVDRIRFKYFLNPKRIQHVICQGADLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPAYLLEKVDQYSYLLPGRCMRESG >ORUFI02G23930.1 pep chromosome:OR_W1943:2:21744395:21744922:1 gene:ORUFI02G23930 transcript:ORUFI02G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSAAAGGEDDVELLKAVAQAWHAQSGNPRAVASAAAQGADDDGGGGGVSSSGTRRAGVGGPGRRRPSRFKLEAAAIRDRDTRGGGGGERAWDFAQSLWDTYELVAVARRLESGLVLADHHPGAAAAQERAATTREGGGGGGGVKRARESSRSLRSIFLRSSWSSSRRFDEPRS >ORUFI02G23940.1 pep chromosome:OR_W1943:2:21745293:21749093:-1 gene:ORUFI02G23940 transcript:ORUFI02G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGDEDWEICNCDGFVYKRRRVLHPPDLEDAAATAATSSAPGPPPEAVLRRRRRQALLRLRARYLDELSRWESLSSDVLAPLPAAPAADLPPRPPSDPVAASPPPGSSSSSSDLTVIDGLLAQAEVTEQLLKRLTEVCDEIDEFCHAHEAALVDAVTDLPVWGDPRELMNSLCSPAELPVCGDPREVMSSLCSPGEKPVSDIKLISELVTSSATLHWLFCRCNMANSGTSTNRSIWDASGIMPQAQAAPACITPFAASCNNHSDELKLCLIFLQLHPISKELMLGHIVLHLMHMPTLCLTSRLMGMLANHLQNAIYKNPAYPDDHDQNAISNNPAYHHAGYDQKMPSTTIIPTLLGMFRMSTPTIMATRQLMVAAAPRLMR >ORUFI02G23940.2 pep chromosome:OR_W1943:2:21745293:21749093:-1 gene:ORUFI02G23940 transcript:ORUFI02G23940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGDEDWEICNCDGFVYKRRRVLHPPDLEDAAATAATSSAPGPPPEAVLRRRRRQALLRLRARYLDELSRWESLSSDVLAPLPAAPAADLPPRPPSDPVAASPPPGSSSSSSDLTVIDGLLAQAEVTEQLLKRLTEVCDEIDEFCHAHEAALVDAVTDLPVWGDPRELMNSLCSPAELPVCGDPREVMSSLCSPGEKPVSDAIWLTAAQAPIGQSGMPVASCHKLKLHQHLHPISKELMLGHIVLHLMHMPTLCLTSRLMGMLANHLQNAIYKNPAYPDDHDQNAISNNPAYHHAGYDQKMPSTTIIPTLLGMFRMSTPTIMATRQLMVAAAPRLMR >ORUFI02G23950.1 pep chromosome:OR_W1943:2:21752217:21752825:-1 gene:ORUFI02G23950 transcript:ORUFI02G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLDFRYLDEGLGGERGKRKRREAEEAAAADSMDLDADADAPRPSKLRAVPSLSDPSKPASFGQPTYDGVIAGRVSGRRWKEARTRRASALAASRKPTPLEQRARDKSLKRAYQARVAELKEEIRQSKAAKRKQREEREKRKKENVLRSGTKLQRVTNPKTIQKIAKSKKRKQLKVVPDEFLGGKKSDANRRMQVPGLDN >ORUFI02G23960.1 pep chromosome:OR_W1943:2:21753668:21756630:-1 gene:ORUFI02G23960 transcript:ORUFI02G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAPLLRRLLSPTPSPSPSPPHPLAAAAVSRRTVTYMPRPGDGAPRAVTLIPGDGIGPLVTGAVRQVMEAMHAPVYFESYEVRGDMPTVPPEVIDSIRRNKVCLKGGLATPVGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHDNVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIQYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNVKVVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKYRTKDLGGSSTTQEVTDAVIAHLD >ORUFI02G23970.1 pep chromosome:OR_W1943:2:21758629:21759759:1 gene:ORUFI02G23970 transcript:ORUFI02G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASVGGSAPKKYDEIDAAPEERARGITINTATVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPKIVVFLNKKDQVDDEELLQLVELEVRELLSSYEYDGDEVPIVAGSALKALENLMANPAIKRGDDEWVDGIFSLIDSVDNYIPVPQRQTDLPFLLAVEDVFSITGRGTVATGRIERGTVKVGDTVDIVGIRETRNCTVTGVEMFQKTMDDAMAGDNVGLLLRGMQKDDIERGMVLAKPASITPHTKFDAVVYVLKKDEGGRHSPFFPGYRPQFYMRTTDVTGNVTKIMNDKDEEAKMCMPGDRVKMVVELIQPVACEQGMRFAIREGGKTVGAGVINTILK >ORUFI02G23980.1 pep chromosome:OR_W1943:2:21762220:21767832:1 gene:ORUFI02G23980 transcript:ORUFI02G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAWGGLGGAGAWALDAERAEEEERESAAAPAPAAGFPSLREAAAGAAAGKSKKKKGTTLSLSEFTTYGAAAGRRPAAAAAEPKGLTPQEMMMLPTGPRERSTEELDRSRLGGGFRSYGSGERRGGFDDDGRRGGPGRDADLDMPSRADESGNWSLNKKSFTPSPADSGARSRYGSLGGGGGGAPAASSFGRADDDSDWSRGKKPMPMPSRYPSLGSGGGGGGFRDSPTSTDSDRWSRAAPLPPHNGERERPRLVLDPPKRDASATPTPPPAEAARSRPSPFGAARPREDILAEKGLDWRKMETEIDHKTSRPTSSQSSRPGSAHSSLPGSPGSQTSAVGSEGAPRARPKVNPFGDAKPREVVLQEKGKDWRKIDLELEHRRIDRPETNEEKDLKEQINLLRVDLKETEANISDEDKKGLSEKLSQMERELERLTVELDNKVRFGQRPGSGSGKVTAHLSNSPDESQITESMEQPRSRSSIDQNPKPAEERWGFQGNRDRGSFGGNRNTDRSLTGQRW >ORUFI02G23980.2 pep chromosome:OR_W1943:2:21762220:21766915:1 gene:ORUFI02G23980 transcript:ORUFI02G23980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAWGGLGGAGAWALDAERAEEEERESAAAPAPAAGFPSLREAAAGAAAGKSKKKKGTTLSLSEFTTYGAAAGRRPAAAAAEPKGLTPQEMMMLPTGPRERSTEELDRSRLGGGFRSYGSGERRGGFDDDGRRGGPGRDADLDMPSRADESGNWSLNKKSFTPSPADSGARSRYGSLGGGGGGAPAASSFGRADDDSDWSRGKKPMPMPSRYPSLGSGGGGGGFRDSPTSTDSDRWSRAAPLPPHNGERERPRLVLDPPKRDASATPTPPPAEAARSRPSPFGAARPREDILAEKGLDWRKMETEIDHKTSRPTSSQSSRPGSAHSSLPGSPGSQTSAVGSEGAPRARPKVNPFGDAKPREVVLQEKGKDWRKIDLELEHRRIDRPETNEEKDLKEQINLLRVDLKETEANISDEDKKGLSEKLSQMERELERLTVELDNKVRFGQRPGSGSGKVTAHLSNSPDESQITESMEQPRSRSSIDQNPKPAEERWGFQGNRDRGSFGGNRNTDRSLTGQRW >ORUFI02G23990.1 pep chromosome:OR_W1943:2:21774391:21777033:-1 gene:ORUFI02G23990 transcript:ORUFI02G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSPLGLARRVSGAGAATPAAAWSSSCRSRRLRPRLLPSKRWSGVVRMGAAVGGEQEGEDEEVKLAKEMAAARRRWETLIREQKIKTLTPREAGYTFKLTDKVLLDVRPSNERQKAWVKGSTWIPVFDVDTSFDLGGAGKKFTNYVMACEFIFQLLHHPSYNSGHPNDRTPMTSLGGWWSGSSTMTVNKNFVQQVEEKFSKDTDIIVVCQKGLSWTDQQRAQAVKEGLGYRLIFTGRLVGALVLVDALFLGAQRIGPLLQELQSR >ORUFI02G23990.2 pep chromosome:OR_W1943:2:21774391:21777033:-1 gene:ORUFI02G23990 transcript:ORUFI02G23990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSPLGLARRVSGAGAATPAAAWSSSCRSRRLRPRLLPSKRWSGVVRMGAAVGGEQEGEDEEVKLAKEMAAARRRWETLIREQKIKTLTPREAGYTFKLTDKVLLDVRPSNERQKAWVKGSTWIPVFDVDTSFDLGGAGKKFTNYVMVEEKFSKDTDIIVVCQKGLSWTDQQRAQAVKEGLGYRLIFTGRLVGALVLVDALFLGAQRIGPLLQELQSR >ORUFI02G24000.1 pep chromosome:OR_W1943:2:21779664:21788279:-1 gene:ORUFI02G24000 transcript:ORUFI02G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTCKWGFARVELRCPRALDPRPSWTLGDVLTELDALEATRRTAQPTPLKQPPEWASSGSVREKAFVMRVEEEDDTDEDDYDSDGESRALVAKATGARFSCNDLESSDAEESEDEMDGRIAPYHLMEKRSLEKSILLELEREHHLKVQEEVRSKLSALEVCHQSEIQRTVSAFARLQKYAESRKEIDRRLDVHFQRKIAEVLDKHLSMVQRDHEQKSQIVERRIRDDAALEEAKRKEQAMKDEKIRQERAKQEAEARQKAAAKLAVEAQKAAAEAAAKEAAEAQKAAAEVSKSSQNSQNNVAGTMRANKSEIKSELPGIKVFADHSALEAELRRRALLDQVPANIHSSKEFSRYDRQIAKSIGKLMPTTDSVKARAGELIKALDGQDCPRPIACRIFANKIISIVKSRNTKDKTFGNLAFACGYVMLLVTSQVPDAMDYLLAEFHRVCMYTVPKHLHALNAQVRNRDYYRLIGYQEENGQLESTESYLTYVAAYVKLYAAMIQTEIRGVRHPHGLAEGWKWLAMFLNTLPATTATACALHAFLKVAGFALHKKYGSQFMKLLDVILRCFLPALKEQGSRIQAEAASNLQNYLTDKVYLEEPEGQYLVQQLLSKELFT >ORUFI02G24010.1 pep chromosome:OR_W1943:2:21789176:21791208:1 gene:ORUFI02G24010 transcript:ORUFI02G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNFSRRARGELQSTRDPNSPPNLLVVLLLPRPDTEQEHARTYGARSPHCISIPAIGPAVTMRRRVALCLVLFAFAGLHAAAVEAVTLSTSSRWIVDDEAGGRRVKLACVNWPSHLEPVVTEGLGMQPVDAISKKVASLGFNCVRLTYPIALATNASLSSLTVRRSLLAHGLAGAVAGVEANNPGLLDLTLIESFRAVVDSLGESGVMVILDNHVSRPGWCCADDDGNGFFGDRHFDPDAWVRGLGAMAALFAGVPNVVGMSLRNELRGPRQNADDWYRYMQMGAEAVHAANPAALVIMGGLGYDTDLSFLAARPVDVSFAAAERGKLVFELHWYSFADARAWESEDANEVCGRVARGVARRGGFLLDAGFPLFLSEFGADTRGGSRKDDRYLPCAAAVAAELDLDWALWALQGSYALRQGVAGADEVYGVLDWSWSKPRNATALSRIQSLQRPLRGPGYDEARPYTVLFHPLTGRCVVRRAADDAAAAAATLELGRCEDTDAWAYTQPASTLAMRGAGRGSPPLCLRAEGSGRPARLATSDAGGCRGDALSTWRLVSGSTMHVAVNATTTTTPSRDGGGGLLCLDVGDDGRSVVTNPCRCLDDAAAGECDPETQWFKLVTSTRSPATGAAAAATVARGLIAA >ORUFI02G24020.1 pep chromosome:OR_W1943:2:21793803:21795449:-1 gene:ORUFI02G24020 transcript:ORUFI02G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASMAAATAAAAAIVGAVREHVRASDLAVAGAVLFAFSAAVSAVRARRRGAPVLWPLVGIVPTLFVHRDDIYEWGSAALLRAGGVFPYRGTWGGGSSGVITSAPANVEHVLRANFGNYPKGPYYRERFVELLGGGIFNADGEAWRAQRRAATAEMHSSRFVEFSVRSIEQLVYGRLVPLAERLSGGGAAVDLQEVLLRFTFDNICAVAFGVDAGCLADGLPDVPFARAFELATELSLLRFVTPPFIWKAKRLLRAGSERRLVEATRAVREFAERAVADRRNEMRKVGSLRGRCDLLSRLMSSAPGADYSNEFLRDFCVSFILAGRDTSSVGLAWFFWLLAGHPDVESRVVGDVLAAGGDIKRMDYLHAALTEAMRLYPPVPVDFKEALADDVLPDGTPVRARQRVIYYTYAIGRDPASWGDDAAAFRPERWMRGGAFAGGESPFKYAVFNAGPRLCIGKRFAYTQMKTAAAAVLSRFAVEVVPGQEVKPKLTTTLYMKNGLMVRFRRRSPPPPSPPPRHVVADDDDDDDVAAGRHVAVGSCNSNHL >ORUFI02G24030.1 pep chromosome:OR_W1943:2:21799505:21802671:-1 gene:ORUFI02G24030 transcript:ORUFI02G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFVKKPKVTDVDRAILTLKTQRRKLAQFQQQLEKVIEAEKEAARQLVQQKKKDRALIALKKKKAQEELLKQVDTWQMNVEQQLSDIELASKQKAVFDSLKAGNAALKSIQNEINIDDVQKLMDDTAEAKAYQDEINAALGEQLSAEDEEAVMAEFENLEAQLAVESLPDAPVTEVRPEEKSETPAVTEAAEDIDEVIELPDVPTKAPERPEAAEKTKVLEEPLPA >ORUFI02G24040.1 pep chromosome:OR_W1943:2:21804011:21805266:-1 gene:ORUFI02G24040 transcript:ORUFI02G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHHKRKTAPSGVVVANPKAELSPIIFNPPKNKLLHGPLPTPTKAFNTRPLRRRHIASQSSLRSSTPARSSSIHAIGLDEERATRPSLPSPCHPLRHADDTLRHSACGTGTSAEARRSHLKSGGGDLILLRERPIVHHTCQPPHPKPAGKATPHRGRWVATLSLPPSLPAQPGMRVAHRWSPAKARAPPPPPSLRYPSRSSWDGADLDGPTAASTTTSRRRRRRHHRLVPPPAASTLSNRRRRCCRAAIAGASESATGRPDPGTAVPDPRPPPPPPKARRHPCRRRPPSPMAYSTLPTVRLRPSRRPSTPCRGCHDVRRRAFARSGRLHCGRRCALAGSSRLYHGRRVPQPTLFW >ORUFI02G24050.1 pep chromosome:OR_W1943:2:21810842:21813652:1 gene:ORUFI02G24050 transcript:ORUFI02G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTAFSALPTSPADVRVVTADGSGIRAHSSVLASASPVLERMIEQAPRGGVVPIAGASTGAVVVFLRFLYAASVRGAAAAAAEWEEAALAEHGAALMALAHAYRVAGPLKRRAEEAVAARVAAEGAVDAMKLAALCDAPRLYLRCARLAGRELAAVRESEGWRFAARHDAALRADLLQLIRDADQRKERWGRERGSQGVYLQLSDAMAALERVFARAAHGSPPPLPPPRTGQCCRMASPCAHRRGLLQLARHFFAGCGRRVAGGCTPCRRFFLLLRLHSSVCDKSDDDSCGVPLCSNFKTNMEKGKVDKTWKLLVKKVMRARVMSAWAKRPVPAPEIVQKSWAKYNSSSRSRAARFR >ORUFI02G24060.1 pep chromosome:OR_W1943:2:21814812:21815190:-1 gene:ORUFI02G24060 transcript:ORUFI02G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGLLSLVALIFLLSFRSLIHQQVLVGEGAAASGFLHGSGDDGRRQHAREWEEERKKMRWFMVRDYAHARRHEPRNNRLDP >ORUFI02G24070.1 pep chromosome:OR_W1943:2:21816292:21821035:1 gene:ORUFI02G24070 transcript:ORUFI02G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRPAVVIDNGTGYTKMGFAGNVEPCFITPTVVAVNDTFAGQTRANTTKGNWMAQHSAGVMADLDFFIGEDALARSRSSNTYNLSYPIHNGQVENWDTMERFWQQCIFNYLRCDPEDHYFLLTESPLTPPETREYTGEIMFETFNVPGLYIACQPVLALAAGYTTTKCEMTGVVVDVGDGATHIVPVADGYVIGSSIRSIPITGKDVTQFIQQLLKERGEHIPPEESFDVARRVKEMYCYTCSDIVKEFNKHDREPNKYIKHWSGIKPKTGAKYTCDIGYERFLGPEIFFHPEIYNNDFTTPLHVVIDKCIQSSPIDTRRALYKVCVIKMPSYDSKYHSGSEFHFIGQNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASNARLGGDAKAQPIEVNVVSHPIQRYAVWFGGSVLASTAEFYEACHTKAEYEEYGASICRTNPVFKGMY >ORUFI02G24080.1 pep chromosome:OR_W1943:2:21821390:21821721:-1 gene:ORUFI02G24080 transcript:ORUFI02G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTDDDPSCADWWWGFGQGIAGESLAEPFGWLTTATPFGVVPLLGGVVLAYPFPFPTIFSGGGGDPRRILLGGIALEKPLRARILSLVYALASNFSPRP >ORUFI02G24090.1 pep chromosome:OR_W1943:2:21822222:21824205:-1 gene:ORUFI02G24090 transcript:ORUFI02G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPMDAAASGTSPVMQFHGIVDEPPSHSSPLHTALERSQRHCYGHETPGEFPLAATCTFFSKPANFEPNFALSLPEVAAFDMCHKRPMVKLMAQQEREQLKQRCGGSWKLVFKYIVARERNYSRIVAGPGHSIVVTTKGDAYSFGANCWGQLGLGDTEDRFKPCLIRSLQSIKITQAAVGSRQTMLVTDTGSVYAFGKGSFVWEELSDAADHITTPKIVESLKGVFVVQAAIGGYFSAFLSREGQVYTISWGRTERLGHSSDPSDVEPRLLSGPLEGVLVAQISAGNCYLLMLAYQPTGMSVYSVGCGLGGKLGHGCKNNKGTPKLIEHFLTLSFNPVSVAAGTWHAAALGDDGRVCTWGWGHTGCLGHGDEEYRVLPTVVQGLSNVKAVHVSTGEYTTFVVSDNGDTYSFGSAESLNIGFQEDEEAADDADFSTPSLVESLKVLNDKAVQISTTNSSYWLNSEMGYPHTFALMESGKLYAFGGGIKGQLGVKLSEGQERAQNPERVPIDLC >ORUFI02G24100.1 pep chromosome:OR_W1943:2:21835584:21847193:1 gene:ORUFI02G24100 transcript:ORUFI02G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINNADSRVTRIPDYSSVVYFIQRWKPAPTHTHTHYRSPQFSQTAVAATARMAGGVGEALVSAVLKEVLGKLGSAVGEQIVMRWNLKQDLESIKSTLGMLQAVLRDAERRSVSDEGASLWLKRLKNAAYDISDMLDEFEAKLSETTFSSSVAKLFMGKRLKNMRVRLTEIAAERTHYGFTLDTYPRDLEREEISKRETTSKINKSAVVGRNKEKEEILALLESDNIENLLVIPIFGFGGIGKTTLAKLVFNDDRTQTFDLRVWIYVSPNFDLKTIGRSIISQIKGQSDCLDDLQSISNCLEEILDGKSCLIILDDLWENSCFQLGELTLMLSSFKAESRLRIVVTTRNEEVARKICTVAPYKLKPLSDDHCWTLFRQSAILSSCTFQGGDKNVLEEIGWEISKKCKGVPLAAQSLGFILRTKDVEEWKNVRDSDVWDGSSPEDVVLPSLKLSYYQMPPYLKICFSYCSTFPKGCEIYSDDLIQQWISLGFIQERPNKHISLEKIGEQYVSELLGMSFLQYSSLVPDYTGLREDAKCSMVLSMHDLMHDLARCVMGDELLLMDNGKEYNSGEGNCRYALLINCVGQTKFSYSSTKLRAMRFFNCDGIQLPLFTKSLRVLDISKCSCGKLPSSIGKLKQLKFLSATGMQHKTIPKHVMKLSKLIYLNINGSLNISTLPTSVNKLRCLLHLDLSGCSNLCSLPNSFGDLTNLLHLNLANCYDLHSLPKSFHRLGELQYLNLSRCLSLNLMVDINAVCCLTKLQYLNLSRCSSLIHLPETIRGLKDLHTLDISGCQWIEIFPKSICEITSLKFLLIQGCSPWLEKRVRESQFKNDMLALPKFIVQRAAFGMCSNISRLQSVHPAELEIECLENVTSIGEVDVVNLTYKSALSKLALAWTPAAERFVEDEDLLRKLQPPDTLKVLQIQGYMATSFASWMMNLASRLPYLVRIEMVDLPRCEYLPPFGQLQHLELLILRRILSLRKLGGEICGGNGAFRKLREFTLVKMDNLNEWITKVSANGEFMFPSLHKLEISQCPILRSCRLLPNDWKLLQFLPDLQVLELTHCWFYELPKSIGYLTTLRSLRIDGCDSMTKLSKCDSWISENGIKNKIYFDGKLMSTKKQEDTADIDRTDVRDYRNPCDEDEYVDCFAMLELGESSRMGR >ORUFI02G24100.2 pep chromosome:OR_W1943:2:21835584:21847193:1 gene:ORUFI02G24100 transcript:ORUFI02G24100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINNADSRVTRIPDYSSVVYFIQRWKPAPTHTHTHYRSPQFSQTAVAATARMAGGVGEALVSAVLKEVLGKLGSAVGEQIVMRWNLKQDLESIKSTLGMLQAVLRDAERRSVSDEGASLWLKRLKNAAYDISDMLDEFEAKLSETTFSSSVAKLFMGKRLKNMRVRLTEIAAERTHYGFTLDTYPRDLEREEISKRETTSKINKSAVVGRNKEKEEILALLESDNIENLLVIPIFGFGGIGKTTLAKLVFNDDRTQTFDLRVWIYVSPNFDLKTIGRSIISQIKGQSDCLDDLQSISNCLEEILDGKSCLIILDDLWENSCFQLGELTLMLSSFKAESRLRIVVTTRNEEVARKICTVAPYKLKPLSDDHCWTLFRQSAILSSCTFQGGDKNVLEEIGWEISKKCKGVPLAAQSLGFILRTKDVEEWKNVRDSDVWDGSSPEDVVLPSLKLSYYQMPPYLKICFSYCSTFPKGCEIYSDDLIQQWISLGFIQERPNKHISLEKIGEQYVSELLGMSFLQYSSLVPDYTGLREDAKCSMVLSMHDLMHDLARCVMGDELLLMDNGKEYNSGEGNCRYALLINCVGQTKFSYSSTKLRAMRFFNCDGIQLPLFTKSLRVLDISKCSCGKLPSSIGKLKQLKFLSATGMQHKTIPKHVMKLSKLIYLNINGSLNISTLPTSVNKLRCLLHLDLSGCSNLCSLPNSFGDLTNLLHLNLANCYDLHSLPKSFHRLGELQYLNLSRCLSLNLMVDINAVCCLTKLQYLNLSRCSSLIHLPETIRGLKDLHTLDISGCQWIEIFPKSICEITSLKFLLIQGCSPWLEKRVRESQFKNDMLALPKFIVQRAAFGMCSNISRLQSVHPAELEIECLENVTSIGEVDVVNLTYKSALSKLALAWTPAAERFVEDEDLLRKLQPPDTLKVLQIQGYMATSFASWMMNLASRLPYLVRIEMVDLPRCEYLPPFGQLQHLELLILRRILSLRKLGGEICGGNGAFRKLREFTLVKMDNLNEWITKVSANGEFMFPSLHKLEISQCPILRLNPCLPRALEWRIEASDQIIADFYHTGSSSSLVLSKMHIRSCRLLPNDWKLLQFLPDLQVLELTHCWFYELPKSIGYLTTLRSLRIDGCDSMTKLSKCDSWISENGIKNKIYFDGKLMSTKKQEDTADIDRTDVRDYRNPCDEDEYVDCFAMLELGESSRMGR >ORUFI02G24100.3 pep chromosome:OR_W1943:2:21835584:21847193:1 gene:ORUFI02G24100 transcript:ORUFI02G24100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSINNADSRVTRIPDYSSVVYFIQRWKPAPTHTHTHYRSPQFSQTAVAATARMAGGVGEALVSAVLKEVLGKLGSAVGEQIVMRWNLKQDLESIKSTLGMLQAVLRDAERRSVSDEGASLWLKRLKNAAYDISDMLDEFEAKLSETTFSSSVAKLFMGKRLKNMRVRLTEIAAERTHYGFTLDTYPRDLEREEISKRETTSKINKSAVVGRNKEKEEILALLESDNIENLLVIPIFGFGGIGKTTLAKLVFNDDRTQTFDLRVWIYVSPNFDLKTIGRSIISQIKGQSDCLDDLQSISNCLEEILDGKSCLIILDDLWENSCFQLGELTLMLSSFKAESRLRIVVTTRNEEVARKICTVAPYKLKPLSDDHCWTLFRQSAILSSCTFQGGDKNVLEEIGWEISKKCKGVPLAAQSLGFILRTKDVEEWKNVRDSDVWDGSSPEDVVLPSLKLSYYQMPPYLKICFSYCSTFPKGCEIYSDDLIQQWISLGFIQERPNKHISLEKIGEQYVSELLGMSFLQYSSLVPDYTGLREDAKCSMVLSMHDLMHDLARCVMGDELLLMDNGKEYNSGEGNCRYALLINCVGQTKFSYSSTKLRAMRFFNCDGIQLPLFTKSLRVLDISKCSCGKLPSSIGKLKQLKFLSATGMQHKTIPKHVMKLSKLIYLNINGSLNISTLPTSVNKLRCLLHLDLSGCSNLCSLPNSFGDLTNLLHLNLANCYDLHSLPKSFHRLGELQYLNLSRCLSLNLMVDINAVCCLTKLQYLNLSRCSSLIHLPETIRGLKDLHTLDISGCQWIEIFPKSICEITSLKFLLIQGCSPWLEKRVRESQFKNDMLALPKFIVQRAAFGMCSNISRLQSVHPAELEIECLENVTSIGEVDVVNLTYKSALSKLALAWTPAAERFVEDEDLLRKLQPPDTLKVLQIQGYMATSFASWMMNLASRLPYLVRIEMVDLPRCEYLPPFGQLQHLELLILRRILSLRKLGGEICGGNGAFRKLREFTLVKMDNLNEWITKVSANGEFMFPSLHKLEISQCPILRLNPCLPRALEWRIEASDQIIADFYHTGSSSSLVLSKMHIRSCRLLPNDWKLLQFLPDLQVLELTHCWFYELPKSIGYLTTLRSLRIDGCDSMTKLSKWLVSLSLLHELIITGCLNLVYLPAFVQKLSALEKLEINDNDALQRWCRNSDSWISENGIKNKIYFDGKLMSTKKQEDTADIDRTDVRDYRNPCDEDEYVDCFAMLELGESSRMGR >ORUFI02G24110.1 pep chromosome:OR_W1943:2:21849814:21850566:1 gene:ORUFI02G24110 transcript:ORUFI02G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSHHNIVRAGLSRPLSTFHCRHSRTTVCLQHRTPTTPSPWGTPPSATVPAYHRHQPGSSHLSRRLHRPPCDGASPSCPSTSKRPSPPHHHQLKGWLPALGRPDPGRGGLIWVFPRRLYAVVPCRLATATVGSRREGVGSGRRRHLAVVASPQIWLESWASSATRFPMAHLGGERREAGVVERQLNNFSST >ORUFI02G24120.1 pep chromosome:OR_W1943:2:21853873:21857983:1 gene:ORUFI02G24120 transcript:ORUFI02G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYSKRLFKRSCSSSKATACVGGGGGGHGNAVAAGGGGGGAGEIGWEVRPGGMLVQKREGRGGEEVILVRVSTGFAWHDVSIAATSTFGELKVRLSMVTGLEPREQRLLFRGKEREDTDHLHMVGVRDKDKVLLLEDPALKDMKVRAALAAARVMQSPCQPFIQV >ORUFI02G24130.1 pep chromosome:OR_W1943:2:21862795:21871224:1 gene:ORUFI02G24130 transcript:ORUFI02G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSGIRASAWSFFKFLPFFLGLLLLGIIKGALLFPWAWLIMMIGISALVLGLWPMHVIWTYYCIIRSKLVGPVVKLLLLVAASVILVLWLIVGIVGSVLIGVVYGFLAPVMATFDAVGEGKERPLYHCFVDGTWSTITGSCTVVRDLKDLLLHSYFSIMDDLRFHAPPGGEPYEIRVLDIPGALFAAACGFLVDGIMFTLIAFYKFPVMLFKGWKRLIEDLVGREGPFLETACVPFAGLAILLWPFAVFGAFLASIISSIPLGAFAAVVVYQESSLIMGLNYVISSVAIFDEYTNDVLDMAPGSCFPRFKYRKNEASTEGGSLSRPASFKDKQDGKKAPSRVTSFKGSFDEFNPFKLLDHLFEECKHRGEVLVAEGVITPKDIEETKSGKIGIGVLNVGLPAYVILHALIRSAKANSDGLILSDGSEITSDNRPKNTIFDWFFDPLMVIKEQIKAQNFTEEEEAYLKKRVLLTSDPKRLKEVVPHLPSSLNERKQAEIDALSRRLQGITRSISRYPTAKRRFDDLVRSLSEELERTMGGSQSGSVSQMQKLRSGISRMLSQRSMGKRTSNRGDDREAQLTIDP >ORUFI02G24140.1 pep chromosome:OR_W1943:2:21868328:21871009:-1 gene:ORUFI02G24140 transcript:ORUFI02G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTCAHAEFFRAQPAWALALAGVGLLAAARAALRLALWLYAAFLRPGKPLRRRYGAWAVVTGATDGIGRAMAFRLAASGLGLVLVGRSPDKLASVSEEIRGRYPRVEVRTFVLDFAADGLAAGVEGLREAIRGLEVGVLVNNAGVSYPYARYLHEVDEELMRTLIRVNVEGLTRVTHAVLPAMVERKRGAIVNIGSGSSSVMPSDPLYSVYAATKAYVDQFSRCLYVEYKSKGIDVQCQVPLYVATKMASIRKSSFFVPSADTYARAAIRHIGYEPRCTPYWPHSVMWFLISILPESLIDSIRLGMCIKIRKKGQAKDAKKKAQ >ORUFI02G24150.1 pep chromosome:OR_W1943:2:21871519:21871777:1 gene:ORUFI02G24150 transcript:ORUFI02G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAETGLAGWRVERGGSCHHRRRHCCWWRRGRSRGEDREAVRGVPKHMMEAELLTTFQEVAIVDEVTVIKDKRPLCDLYTQI >ORUFI02G24160.1 pep chromosome:OR_W1943:2:21872965:21873869:1 gene:ORUFI02G24160 transcript:ORUFI02G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGAATCSPPSTASPAATPLLSPFITLSAAASLLPPLFGHRSPPSAWPWPLRTALQIAGGALGDETKQAEASPMPSASAATTEWSSGSKMENGLALRMIGAVGCATHGDPALNVPLT >ORUFI02G24170.1 pep chromosome:OR_W1943:2:21875936:21881724:-1 gene:ORUFI02G24170 transcript:ORUFI02G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVRGQGCVGRLRSFNKTKKKNWNCSDDMRNGDDEEKKYSHHDMKKNLRNDANEEKRKSSDDMRDGDFEEKKKCSRHDMKKNLRNDANEEKRKSSDDMRDGDDEEKKKCSRQDMKKNLRNDADEEKRKSSDDMRDGDDEEKKKRSRHDMKKNSRNDADEEKRKRKRKCTDDLKKSVVNDASKEATSHSDWRKNRKAGSGAEQRGKKLLNGDKKAKSRKVTTPFFEKMRKIKMQRTSNQNGEKNMKSDGDSYKKTVPLSVNKGKMEKDGTNKRTLSNTLVAKERKMRPSDSMEMKMKKKKRDASFVQPDERTAQTFSTKNKEKKRKAPSTPLKREQKERVASSDNKKETKKACIVAIGNEKKNCRDGKKKKRKAAFAFFKFVRDEFEELLFIPPAVAPSLKDLIDRHVYLEDSEGKCSKIRLSVVDGSLAFYEGWNSFVSEHCIKWGEFLLFEYTPESTFSVRVFGIDSCERLHFSVKSGGKGAVKKRKERHTLSDDLISHYNGQYQDSEDIHDGPNVSGESPRSKEPKITVDAEIGTRNLVAKSINAASETQDSERVESGIGYGSLGALGNKVRNLSNGECDTRSDSVFCIQEKTRRSEVIIISDEAYSTQVDEDTMKQTAPSEASEIHHVTINTQKDLERVVDGVCCESSVALNNKMGNLILGEPKNKNISPACSTEKTNGSEITPTTGAIPLTQENIDTVKLNTLSCFEEDRSTTRESELAAAIPTTSETHDSDKDLGQKHQRNSVQVNSIIAVDKYPNDSEMNISGNIFRIYEAPAGTRCLEKWKRGIVNGRAALDDIGQVRPEKTQKAGEKLVGNCGAMGESPVDLRIESDVTDTCLKPILNIPIEELSILDSVSISKCGRSRTEVNHLFNQKGATVQLQTKKEPLKPTGSSGNRKGDKIAVSVNRVFAHQSELQIPQQENGNFTSCVTPVALLPAKAELLDLDDHSLQFCIPSTIQKWLVFSYSVVQWMQFVEFMLIAVRAELPKSLPITCRQKGRYDRNVVILKDPMRRLWPVFYHDKPVFVGFTAGWKPFAAANNLQAGDVCKFVKEMDEDELAFQVYITRK >ORUFI02G24180.1 pep chromosome:OR_W1943:2:21885241:21892321:1 gene:ORUFI02G24180 transcript:ORUFI02G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHSDSDSSPKSSSSSSASSSALRRSPPRVRVQSDEGGSSDGVLVELPSQEARSPGADPDGGVLVNMPADDATSGETFEDAPDDLGGSRSARSLDESIAVIDFPDESSLAAECRKYKEEREVFAREAVALRGMLRELVGEDASGSLPAEDSDERASGSLTPLHSMLDDCSRLVLELNSVVRAREQEIESLRGRSAEVEVSREGSEQAIGRIVASVDAVVGQYDVSSEGADEEGISLVERKTSLLAERHRQILLDIEQLEQVLAEVQPDFGATGQCDHATILGIVSEELVNSKRNKADFLQKVNTFGEENKNLAEELQSVKAALDVVNAEAKKAKAEFEQVEHKLSTTKEKLSMAVTKGKSLVQHRDSLKQALAEKTAQLDGCMTELQQKSDAMQAAESRVEELKILLDEKSNEHEQCLDELRETYNAWEAAKAAVEQLTEENTALTSVQTSLSVKDVILQRIEGVMSEASFPEDLLSLEMADRLEWLVEQKKIADMIFSEHRKVKDILASTDLPHAVLTGELDSQIHWLLNSLYQAKEDAARMQDESSAMLHKLASHESKLNSMHEEVDRLTIALLEEKQEKDILANEHAELMSLYHAASDQLSVVSSRYTELVKAFAEVSDVQLEDHEILDGGKLVEQCLANIQGRAKSSPVECESFEKLQTQVYTLDQELTLCKIILEEDKADRSEMMRLSGELQRMVQETDALKNEKDSLQKELERVEEKSSLLREKLSMAVKKGKGLVQEREGLKQVLDEKKSDIEKLKHALDEKNAELENLKQTLDGNNSVLEKLKQAWDELNSESENIKQALDVKNSEVDKLKHALDENNSEIENLKHTLNEKNSETDKLKQDIDATYMEMENLKYEIASRESAITDLREQVEHLSSQVTHSQKLQLDIISLIDEKGKVESMLAEAKVSSGALVELISSISLPFDSPCEDPIDKIGQIAQYIKESQVTKSSVENELHKVNEQVTSQASQLADALSSLKVLEDELSNSKEYISSISEEKRQMQLHTAAVEEELEKTNEELAIYASKFEDANVTINSLQDALSQARVNISVLDAEKKEADAKHETETSALNAKLAKCLEELDRSHGNLQSHSTEHDVYLEKLSTLVMDNSLLSLMTEEFGKKVSTLREMALIVRSMREQLAAKGFQIDPTMEDSESGMLLSFPDYDNFVTERMASSKIRKRNVDGALSFSTVVEQLSNQAEYLSEIFKDLSGYMDENITLVHHSLQLASSKVAHTLEEHDTLRNELQNKDTHNRAQESKLLSLQKELRAMSSNCIYCYQQIETISDDLLELGYAIELATGNSSIVSKVEGSSSVLKDVDASDYTKVSDALVSTVNRLKLESEKLSNMKEAVFTMLDELKMRLKQTESAAETSLQEHELYVKRVCVLEKDLETLKDERKGMEIKIQEYQERGNMLKAKEIELLSLEHAQNTTERGMTEVISKDQLEALVEKINKLNTSSAESHLQRELAMSSSPIEKLFSLIDEVYALRHEVDTLRYENEDLHLNLESHAREMEQLKEASRNSDSNRRELESKSSELLEITVSMERMIQRLGYLGGKEALEDNKPTSTQALLSKLEKLIIASNVESGNAKSVIQELGAKLQVREKAIDELSTKVKMFDDLHHARLVQPEANMDRAFEASSSAVGSEISDAEDLGPAGKASISSVPTAAHSRLMRKGSSDHLVLNIGRESERLITAQDSDDKGRVFKSLHTSGMIPAQGKQIADRGLREPNSDEPASCKARAHGVLALLAPMVDRQHLVTIALVA >ORUFI02G24180.2 pep chromosome:OR_W1943:2:21885241:21892321:1 gene:ORUFI02G24180 transcript:ORUFI02G24180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHSDSDSSPKSSSSSSASSSALRRSPPRVRVQSDEGGSSDGVLVELPSQEARSPGADPDGGVLVNMPADDATSGETFEDAPDDLGGSRSARSLDESIAVIDFPDESSLAAECRKYKEEREVFAREAVALRGMLRELVGEDASGSLPAEDSDERASGSLTPLHSMLDDCSRLVLELNSVVRAREQEIESLRGRSAEVEVSREGSEQAIGRIVASVDAVVGQYDVSSEGADEEGISLVERKTSLLAERHRQILLDIEQLEQVLAEVQPDFGATGQCDHATILGIVSEELVNSKRNKADFLQKVNTFGEENKNLAEELQSVKAALDVVNAEAKKAKAEFEQVEHKLSTTKEKLSMAVTKGKSLVQHRDSLKQALAEKTAQLDGCMTELQQKSDAMQAAESRVEELKILLDEKSNEHEQCLDELRETYNAWEAAKAAVEQLTEENTALTSVQTSLSVKDVILQRIEGVMSEASFPEDLLSLEMADRLEWLVEQKKIADMIFSEHRKVKDILASTDLPHAVLTGELDSQIHWLLNSLYQAKEDAARMQDESSAMLHKLASHESKLNSMHEEVDRLTIALLEEKQEKDILANEHAELMSLYHAASDQLSVVSSRYTELVKAFAEVSDVQLEDHEILDGGKLVEQCLANIQGRAKSSPVECESFEKLQTQVYTLDQELTLCKIILEEDKADRSEMMRLSGELQRMVQETDALKNEKDSLQKELERVEEKSSLLREKLSMAVKKGKGLVQEREGLKQGPAGKASISSVPTAAHSRLMRKGSSDHLVLNIGRESERLITAQDSDDKGRVFKSLHTSGMIPAQGKQIADRGLREPNSDEPASCKARAHGVLALLAPMVDRQHLVTIALVA >ORUFI02G24190.1 pep chromosome:OR_W1943:2:21892887:21896323:1 gene:ORUFI02G24190 transcript:ORUFI02G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAADEDANGLDPSNSVFLFVGEVISRASRRGSTVFTFGNHGRLGSAMLEMSPGIAVPHVMADHPSTLF >ORUFI02G24190.2 pep chromosome:OR_W1943:2:21892887:21896323:1 gene:ORUFI02G24190 transcript:ORUFI02G24190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAADEDANGLDPSNSVFLFVGEVISRASRRGSTVFTFGNHGRLGSAMLEMSPGIAVPHVMADHPSTLF >ORUFI02G24200.1 pep chromosome:OR_W1943:2:21897832:21899577:1 gene:ORUFI02G24200 transcript:ORUFI02G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPSSSAARAAGSQGGGHGGARLEDLALDKVAEAADAVAAASSAGEVVRAIHAVAALVFPVDSAAVAGQNFKFQHFDYMGLY >ORUFI02G24210.1 pep chromosome:OR_W1943:2:21900176:21902452:1 gene:ORUFI02G24210 transcript:ORUFI02G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAGEDANGLDASNSVFLFVGEVISRLVDVLGKEGAELRVICEEVVEFGLASGENTTVILVQFKPGAFQYQLVDPAGFGTAVSNIASTSAAPAGASDTSDEGVMADSSATADTSGSARAESGELVPTPSANNTVTDEVDPTGTVAADDKVDPNSSANADADDGAPKPSLGAVIESDEVALDATATGHQVAVRQQEEFDPKKCWICGKGYKKILLEPSSARARNPLLAHAKTCESEDKKAKKKITKYMMKANVTNQYH >ORUFI02G24220.1 pep chromosome:OR_W1943:2:21903238:21904371:-1 gene:ORUFI02G24220 transcript:ORUFI02G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATATAAQGLRDWSSLPLDMLVLVLDRLGWSTHPSFALTCRHWRSAVSPFYPAWITPLLLSAADIGVTNVRYYSPYYHRCFEIADTLLKVPKIAARICCSSGQHLTLCLPRLILQADLVAGTMYELPEMPFYSFEFIVYDEHARRMYCVNTTYALQLARATQQDDGEWGPWELTDFDVEGPQLLAAPISNPVLHGGLLYVLGEDGKMAVYDPCNHDNNFTVPDKPKGFGIKHQVDSHLFESDQGALMAVLVGYSGAPIHVVKLNEETMEWEKMRSLEGHALFTGTYTTMLRKTKLRLMQNKVFLPRLYDWPETIHVDIVTRDGETAFVPKSSSSSNTKEITSDINIWFYEFGQQDAREFWGSERVDYSIWVDFSTN >ORUFI02G24230.1 pep chromosome:OR_W1943:2:21904865:21905881:1 gene:ORUFI02G24230 transcript:ORUFI02G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGDASAAVLDPKLAPLLLFGHGDATSLYSVPARALLPRRVGDGGVDDMMRGHRWWTTAQGWLLMARRGSPCTFLWDPFTGRRVGLPPDHDGTVLAAEGSSHRRRCLLSCCGPMDPASCVVLVIDHADTVLWYCRPGDNHWVKRHQHQYLQPGPPHHEHRGIVILALRQLTAMDGEFYTDLIDHVAVLEFSLEPAFTVTAVDDDDRRPAVYMKRTSIFVESNGELHSILFSHPIGCDRIVAGVGVYRLSMATTQEQRSAWVKVDSLGGRVFFVQIGCFGASLDARTTGLRGNCIYYSGFNGKALCVYDMERGTTAVINPGEHLPYHQSPKILMPTR >ORUFI02G24240.1 pep chromosome:OR_W1943:2:21907491:21909998:1 gene:ORUFI02G24240 transcript:ORUFI02G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIALTFSKVVDPNNPLYLDDNCCENVEWDFGVLSPKEITAPSKDVELISKLKPSLPENKKHAGERRAKAIKHDTSENRAKIIEIKSLDSCETSGSAVNGHFGEEECDEEIMNIDASSDSSLEPYDLSDDDSDLQKKFTQLKDLAAALRKPDDPDGVENALSSAEKLVRASPDELRHNSGDLVRALVHVRCSDVAMEGEEDSAEEKRQKALVALLVTCTFEPLDVLTKLLYSSSVDVSQRILIIDVMTEAAQELAETKIVRRELRHGNLISDTSPSWLVPSDQGPAGAGPWREVSESGTLLNWSHRYEREVPSRSGQVKSGKSRKWGLGKAKDLQTEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKCMAMHPEASAVAPALLDMIRSRAVSQHPEAYVRRSVLFAASCILIALHPSYVASSLIEGNQDVSTGLEWIRTWALHVAETDPDTECTSMAMTCLRLHSEMALQTSRALESADHSKASSSSSRSLPSKLDNIIIPFANMM >ORUFI02G24240.2 pep chromosome:OR_W1943:2:21907491:21909998:1 gene:ORUFI02G24240 transcript:ORUFI02G24240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIALTFSKVVDPNNPLYLDDNCCENVEWDFGVLSPKEITAPSKDVELISKLKPSLPENKKHAGERRAKAIKHDTSENRAKIIEIKSLDSCETSGSAVNGHFGEEECDEEIMNIDASSDSSLEPYDLSDDDSDLQKKFTQLKDLAAALRKPDDPDGVENALSSAEKLVRASPDELRHNSGDLVRALVHVRCSDVAMEGEEDSAEEKRQKALVALLVTCTFEPLDVLTKLLYSSSVDVSQRILIIDVMTEAAQELAETKIVRRELRHGNLISDTSPSWLVPSDQGPAGAGPWREVSESGTLLNWSHRYEREVPSRSGQVKSGKSRKWGLGKAKDLQTEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKCMAMHPEASAMAMTCLRLHSEMALQTSRALESADHSKASSSSSRSLPSKLDNIIIPFANMM >ORUFI02G24250.1 pep chromosome:OR_W1943:2:21932386:21940020:1 gene:ORUFI02G24250 transcript:ORUFI02G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATYTGPAYEGSTACVVVIRGNQMIVGHVGDSRCVLSRQGGLAIDLSFDHKPCTRTESERERVQNAGGRSLGLRCEQVMGNYVVKEQWVLGDFGGGDFAFKKNKDLDREKQMLVCDPDILADDITDDMEFLVIASQGLCDTSDEGVDDAATARPTVMGYDADSSTGSADATVDSDEVDPNASATADSYNPRGHAEIVASHTDDEVYTSGSARVESGELAVPTPSANNTVADEVKVDAAVVAGGSTTAMAADEATVATIPENPRLPNSDENDMDAGGEEAKQERHLVLAHELFLLSRPDLDDLANVALRSDALDAVKSDGMAPLFESLATAGVLLKPDDAAARRDARADRRGGPQARRELMEMDDVIVGFMACETVSQHPEASEALIEGNRDISTGLELIHIWALHVAEADPDTE >ORUFI02G24260.1 pep chromosome:OR_W1943:2:21942118:21948461:1 gene:ORUFI02G24260 transcript:ORUFI02G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLERWISREGRSDGGDASGPVLFWCVLIIFAVPDAIRSSSLRLGQVAPRLVLFSNFKAFRSPKFAKILPGSDLFSPRVLGFRTRVVLLLDVFEVGVKASSTMGNSLPVESKITVEEENDRIKYIVSSMQGLGHKMEDAHAAILSLDDTTSTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYHNDLTNALDNVFFSMDENLQQSDAWRELVIPRDNGWMYFLKAGVCANFWPFPQAYTGPAYEGSTACVVVIRGDQMIVGHAGDSRCVLSRQGGLAIDLSSDHKPRTSESERERVQNAGGISLGVDCEKVMENYVIKEQWILGYFGESVTISRSIGDFAFKQNKDLNREEQMLICDPDIHTHDITGDMEFLVIASQGLWSCMESADVVAYIHVRLLEGVELRVICEELVQSGLPSGENTTVILVQFKPGAFQFQYELVDPAAFDTAASNVASTSAGPAGGSDSDTSATSDEGVDDTATAGTTTTGYEAGSSTGPGSGGGSANAAFDSGGDLAANLDIATNFGSDDLAANLDIATDIDTEDVFTFINSDDTFGINSDEVELDPNFRPKPQVRRAHDGPSPTPSEIEADLNASPTRYNMRDIFEAFDKVEAELGGFPLQGHDVSSTSTNPNTATDTGSGSRTGDDDVDGAIARAMAVASSVMTGAGYEVDSTTTNPSAAADTGSYTGDEIKVDDSTSGSARGDSGELVNNDTTVADNNASGVADSTTVGDEVDPTATVAADDSNTGDKVDPPAITKATADSNTSGEVDVDATATATASASAAVADDEGTAPDDSEGSP >ORUFI02G24270.1 pep chromosome:OR_W1943:2:21949095:21950243:-1 gene:ORUFI02G24270 transcript:ORUFI02G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATAAQGRRDWSSLPLDMLVLVLEYRLGWSTHPSFASTCRHWRSAVSPFYPAWITPLLLSAADVGVTSARYYSPYYHKCFQIADTLVKVPNARICCSTGRRLTLCSPKSILQADLVLLAGSTIHELPKPTPPFDSSPDFIVYDDRARRMYCVNTTSALRLARAIQQDDGQWGPWELTGFGPQILAAPTSNPVLHGGLLYVLGEDGELAVYDPCNHGDSFKVLDKPGSFGIEHQVDSHLFESDQAGALMAVLVGYSGAPVHVVKLNEETMEWEKMRSLEGHALFTGTYTTMLRKTKLRLMQNKVFLPRLYDWPETIHVDLVIRDSEIAFVPKSYSSSATREITSDINIWSCEFGQHEAREFWGSERVDYSIWVDFSTN >ORUFI02G24280.1 pep chromosome:OR_W1943:2:21958402:21959130:-1 gene:ORUFI02G24280 transcript:ORUFI02G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYSKTGGKENKTSCLLAVDASVREDHELADAARKALGVALALGGAAVHVMLGVYGALNSVLIVAQSGPASPSSSSRTLRLEKKPARVLNTDDSPLCRCRRSQSEGCEGSVVDEAVRRVAVVDVAEVGKFDVEVAEPQGAHGAP >ORUFI02G24290.1 pep chromosome:OR_W1943:2:21963462:21964741:-1 gene:ORUFI02G24290 transcript:ORUFI02G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFRAYRAEKASYLYNNDGEKSHAPSLKNLPPIIPHETFFSNPQNDYSQTRLIPLEGCCASEAAQLLNDLRLPSFSMTVCFSETKLPF >ORUFI02G24310.1 pep chromosome:OR_W1943:2:21967460:21969067:-1 gene:ORUFI02G24310 transcript:ORUFI02G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREDALETKTVIQSRRSLRKMTPKSQCSRTVKDETLHRACQTPGQLGTNARAEWRQWQLGDAAAMLEVEEGDPERLTMTSVDDEVVDARTAMKTTKVAKLCTAAAPCRCSGEKSTGGEATLRRAPLSVATANGGVDHRQRHCGPRRRHSGNPELDGVDACSMTIGYRSRCSRTLERGLTGGNDEGAESGGVEAASGDNGADPGGEVSGRAPVPETPDQQRLAGDEAEGRRRGGEDVGPSPRRSLMKTAVEAETRSSVGRGARRRSISRRWPSEVGAVEAADRRWRTKCRLMMTGDSATAA >ORUFI02G24320.1 pep chromosome:OR_W1943:2:21970903:21984249:1 gene:ORUFI02G24320 transcript:ORUFI02G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVNASAALDPKLAPLLLFGHGDATFLYSVPKRALLPMPTPTRVGDGGVDDMMRGHRWWTTAQGWLLMARRGSPCTFLWDPFTGRRAGLPPDHDGTVLAAEGSHRRRCLLSCCGPMDPTSCTVLVIDLAYPELWYCRPGDNQWVKLHQHPYQYRNPAHRDAIIWGLRQLTAIDGKFYTEELSGIVGVLEFSPEVAFTKIAVHDVDRRPAVYKKRTTSFVESNGELHSVVFSHPIGCDRIVARVGVYRLSINPTTTQEQRSAAWVKVDSLGGRAFFVEIGSFGASFDAEGTCLRGNCVYYSGFNGKVLIADAEENLGEVEVREAHIAKSLYFIRVGEKVLGGKSHNQDTSELVTLSEWSKNKFPLYAYAAAFMFLVMQEYDKRSHGIDFLNRDFVVRDLGMPSLNKHFPVKEFYCFLHIDSAAPVVCLISSYRRKSGHSTYTYGFFMLQKLILIQNAHIRREEEEEGACSMVTTSHRTGHSRSVGLAARDDPTAEMRLVQGEGSVGTTSHRMGALLERWISREGRTDGGDTSVQGERSVGTTSHRMGALLDVGSAVDDPAILNLDDTMTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYDSNLSNAMRSAFYRELVIPRNNGWMYFLKAAACTSICKATYTEPAYEGSTACVVVIRGNQLIVGHAGDSRCVLSRNGQASALSVDHKPDRDFACKKNERLPPEDQMLTCNPDILTPGAYPAVPPVDTDTDTDSHTGDDVDNNDPANEVDPTANAGSDDSNTSDEVKVDATATAVGSSSTTAVAADEATVVSLSTATITIVDNYFFINTSEEVDPTANAGSDDSNTGDEVKVDATASSGLSDWELIYSEF >ORUFI02G24330.1 pep chromosome:OR_W1943:2:21987042:21990628:-1 gene:ORUFI02G24330 transcript:ORUFI02G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSIKAAAAAQREQASDKLRQEGEKNSSTRKKKWRYQVSYSNTRQSSRENDAKDQVTLTGTSEAPSGRSAQEDNSEQTGLFASLQSADANPHDIYSSLVREGLFDTGDEILSMDTGESADTPISGLASGLANLGTADPAERGYSINETTNEEGYLIEGDDPSPSNKDEQDDQTDAHGESTPEAQSHKMAAPSPPAAAHLRHRAPPPRFSPSSPSADSILAAFVTCCRRRRRHAQQWDGGEEASQGAILPRSGRRPAGAPRRRRLGPVVVVLILVVGALFLNLGPTGSSSFTMPWIRMEFNEPVHVAVAAPPPPLTQMQAGVNTSGEDLRPTTSASALTARSSCSTSSRRRASPPGSTPDPSPSAARVSNLSHSSSRKLGRTLGPVAVSCGSCEFFRSSWVGLMASWMCRAADQARSLASLRCRPRRRAALPPPLSSQRRPRLRRRRRRSVVAAVFVAQRCRHRRRRSNAAAAAVAALPPSSSSSSQRPVAVAVPPSSPLRSLAAAAASEGREESARREHIGPKGN >ORUFI02G24340.1 pep chromosome:OR_W1943:2:21991530:22001814:1 gene:ORUFI02G24340 transcript:ORUFI02G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVDASAALDPKLAPLLLFGGHGDATFLYSVPKRALLAPTPTPTRVGDGGVDDMMRGHRWWATAQGWLLMAHRGSPCTFLWDPFTGRRVGLPPDHDGTVLAAEGSHLRRCLLSCCGPMDPTSCVVVVIDLADTELWYCRPGDNHWVRLHQHPYQHSNTEHRDVIIRFLRQFTAIDGKFYSELLIGDDGLVGVLEFSPELTLTKIAVHGVDDDRRPTVYKKRTTCFVESNGELHSVVFSHPIGCDRIVARVGVYRLSINLTTTQGQRSAAWVKVDSLGGRAFFVKIGSFGASLDTEGTGLRGNCVYYSGFNGKVLCVYDMERGTTVVIDPGTNLPYHQSPQVLMPTFSGYHGGTTRSVENIYQVGPTIMENAYEVKDTKVSKVKRVVRFFEGGYTCLPIPIQNTRVTKQKDKLINQKKSKRSTAGRPPYRGEGASSPETKNSYHGCGFPLEPLKRLLEWGRIADAEENLGEIEVREAHLAESLYFISVRQKKLILIHNAYQSSEITSTGIGGSQFIPRPGQQQQQQVSALNETTPASALCEVGGVGGGAAVRKRQLAAVARRERPMRREEETEARLWAPHVSCGMCITDTTAIVANAMWVMQGERRRARAPWGPPDTGGAPLERWISRERRSDGRDASGSAKQRSAMGNSLPVESKFTDEKENDRIKYVVSSMQGWGEKMEDAATYTAPSYEGSTACVVVIRGDQLIVGHAGDSRCVLSRNGQASALSVDHKPDRDFACKKNERLPPEDQMLTCNPDILTPGAFPAVPPVDTDTDTDSHIDDDVDPTGSNNATASDNNDPANEVDPTANAGSDDSNTGDEVKVDATATAVGSSSTTAVAADEGTGNPPHGALVDTDDEDGLTYSQDMDLPPASTSPPTFPDEDDLPRSNPDKSPPHDDTYHRW >ORUFI02G24350.1 pep chromosome:OR_W1943:2:22002762:22005501:1 gene:ORUFI02G24350 transcript:ORUFI02G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGEEAKQERHLVLAHKLFLLSHPDLDDLAKVALRSDALDAVKSDGMAPLFESLAAAGVLEPDDALLAEMRARIDEEVRKLDEKIADAEENLGESEVREAHLAKSLYFMRVGEKEKALEQLKVTEGKTVAVGQKMDLVFYTLQIGLFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYFMATRNFKKAASLFLDSISTFTTYELFPYDTFVFYTVITSIITLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFVAFSGMTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMAAAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >ORUFI02G24360.1 pep chromosome:OR_W1943:2:22006040:22007525:-1 gene:ORUFI02G24360 transcript:ORUFI02G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGGVSSLSASSPAPARARLRQLSPGEASGGGSFLLMRTAPRSRLQAAARPARRAALVVEARGRGWSDRRSQQQRMPQLPKIEDDGNPRFVIFIRTANVYFWYPLNIVTGGTTAKIMLAAKDNFLGKYIYKDTLARNLAAVIYKDEDDIIDTAKEQYRVLKTDNEFRYGYKVVENGNLRSALTTSNVIELPKKEELKTVVDKVKDFFGDVTSGAKESFAQITGSVSAEAEAPVEEEKPWVKRRNERKRKQKEKQNQKQGISK >ORUFI02G24370.1 pep chromosome:OR_W1943:2:22008667:22009668:-1 gene:ORUFI02G24370 transcript:ORUFI02G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLYLDDADADVEAMLREIAAAVAANGPGGAAAGDETDGSYCAGERCACAAWTYDADLYASLRAMEMAAGERSSPDYMTAVQRGRVDPWTRASLVAWMEGITRGHAGLAAGTLHRAVAYVDRYQSVRPLEAVSHRLLALLGATAVFVAAKYEGDLPEERLSAGDAAAGAGAGGLAIARSEVLDRELDLLDALGYRLGRPTAHTFADHFLARYGYSGGDAVHAVAHHLADLTLLDRRSLRIPPSVVAASAVYLARYAATTLADAGLPPPLPWEDDGLEAVTGYSVVYLARCMEEMYDVHEMASLWPGYDEMKSRFAIDYLLLPCRLVVPLVLM >ORUFI02G24380.1 pep chromosome:OR_W1943:2:22012101:22018705:1 gene:ORUFI02G24380 transcript:ORUFI02G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSGDSSPSSGRASFSSLSGLKDLELSSESGCLSIVVLGASGDLAKKKTFPALFHLFQQGFLQSGEVHIFGYARSNISDDGLRERIRGYLKGASDEHISQFLQLIKYVSGSYNSGEGFASLNNAISENETSKNNKPGSSRRLFYLALPPSVYPSVCKMIRSYCMNPSSHSGWTRVIVEKPFGKDLESAEELSAQLGELFNEQQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIANVQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVTPIKHDEVVLGQYDGYKDDSTVPDDSNTPTFASLVLRVNNERWEGVPFILKAGKALNNRKAEIRVQFKDAPGDIFKFLSAIVLLLPMVSKTLFLFHPDKGKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGLRYQDVKIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHDIDDGKVKALPYKPGTRGPPEADELSKRMGYVQTHGYVWIPPTLSKF >ORUFI02G24390.1 pep chromosome:OR_W1943:2:22032317:22032604:1 gene:ORUFI02G24390 transcript:ORUFI02G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDNITGSGSGNGSSSNDDHAPPPPQQLAVARGRRRAEPPMRLSALYLMLFGATVIVGAAGVGAPVTPLPRLFAALVAWLVGCLSLLVPLPPP >ORUFI02G24400.1 pep chromosome:OR_W1943:2:22032934:22033381:-1 gene:ORUFI02G24400 transcript:ORUFI02G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELKLQDFGFTFSEDDVDAELVSIVSGLRRQRSDEDDARKAPAFAVASAEEEAAGRRIGFAPTGASSSSSTLRRPHLSEVWDEEEEEMFLSTVDVAFDFFGCFTYCVFVVAGSVL >ORUFI02G24410.1 pep chromosome:OR_W1943:2:22044236:22044649:1 gene:ORUFI02G24410 transcript:ORUFI02G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHRGEGNDGGIHMISDDRLKHPIDKLKTTQILPPIQLRIQDGGRAHQDGVDHDEAEEEEEGPKLEHDKHERHCMAGSAATSNLGSEGRGGKRPKILVVCSPQAPSPPHPVGGGGSAGGGWQRICRRREETRRWTR >ORUFI02G24420.1 pep chromosome:OR_W1943:2:22050068:22050334:1 gene:ORUFI02G24420 transcript:ORUFI02G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGNSSKEAEAQAPAPANQAPATAAAAARGAIHRGPMLRLAAMQLMLFAAYEIVSSYAAPPVALPRLFAAFVAWLVGCLSLFVAPP >ORUFI02G24430.1 pep chromosome:OR_W1943:2:22051796:22054365:-1 gene:ORUFI02G24430 transcript:ORUFI02G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGGGGDGGKSDVPADANEHCPGTQSEEAGKADACAGCPNQQICATAPKGPDPDLVGIVERMATVKHKILVLSGKGGVGKSTFSAQLSFALAEMDCQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQATGIDGAIIVTTPQQVSLIDVRKEINFCKKVGVPILGVVENMSGLRQVLSDFRFVKQGEGGEMDATEWALNYIKEKAPELLTMVACSEVFDSSKGGAEKMCNEMGVPFLGKVPMDPQLCKAAEEGRSCFVDQKCSASAPALKSIVKKLIENQD >ORUFI02G24440.1 pep chromosome:OR_W1943:2:22056504:22056743:-1 gene:ORUFI02G24440 transcript:ORUFI02G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEEIAFGEEPEPPHADGRGGGAATTRAAFLLMVSGATMIIAAVGASAGAGDRVPWPRLLAELLIWLVGCITLFAPSL >ORUFI02G24450.1 pep chromosome:OR_W1943:2:22065060:22068554:1 gene:ORUFI02G24450 transcript:ORUFI02G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTEYMTYMFKYDTVHGQWKHHEVKVKDSKTLIFGTKEVAVFGCRNPEEIPWAAAGAEYVVESTGVFTDKDKAAAHLKGQAVDLFSIIFHMQGGAKKVVISAPSKDAPMFVVGVNEKEYKSDVNIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHAITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKPASYDQIKAAIKEEAEGKLKGILGYVEEDLVSTDFQGDSRSSIFDAKAGIALSDTFVKLVSWYDNEWGYSTRVIDLIRHMHSTN >ORUFI02G24460.1 pep chromosome:OR_W1943:2:22069345:22074612:-1 gene:ORUFI02G24460 transcript:ORUFI02G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVAYWFICACAFLALVLLVRLGAARRDVVRLPPGPWRLPVVGNLHQLMLRGPLVHRTMADLARGLDDAPLMRLQLGGVPVVVASSADAAREVTRTHDLDFASRPWPPTVRRLRPHREGVVFAPYGAMWRQLRKVCVVEMLSARRVRSFRRVREEEAARLVASIASSSSSSPTGHDGGAAPAVNVSAPIAAAVADATMRAVIGDRFERREEFLESITEAVRSFTGFSLDDLFPSSRLAAAVGGMTRRAEASIRKGHQLMDSAFRQHQQLRDAMAAQPHLDDCAMEEDLLDTLLRIQKEDNLDVPLTTGNIKAVLLDIFGAGSDTSSHMVQWVLSELMRNPEAMHKAQTELRSTLQGKQMVSEDDFASLTYLKLVIKETLRLHPVVPLLLPRECRQSCKVMGYDVPQGTTVFVNVWAINRDPRHWDEPEVFKPERFHSGKIDFKGANFEYIPFGAGRRICPGMTFGHATVELMLAMLLYHFDWELPKGVAPNELDMTEEMGITVGRKNALYLHPIVACWSICAFLALLLLVRIGGKRGRGGDGARLRQPPPGPWRLPVIGNLHQLMLRGPLVHRTMADLARGLDDAPLMRLQLGGVPVVVASSPDAAREVTCTHDAAFASRPWPPTVRRLRPHREGVVFAPYGAMWRQLRKVCIVEMLSARRVRSFRRVREEEAANLAAAVAASLSSPPARRDAVNVSALVAAAVADATMRVVIGDRLERREEFLESMTEAVRSFTGFSLDDLFPSSRIAAAVGGMTRRAEASHRKGNELIESAIRQHEQVRDAMAAQGGGGAMEEDLLDTLLRIQKEGALDMPLTMDNIKAVIQETLRLHPVVPLLLPRECLHACKVMGYDVPKGTTVFVNIWAINRDPKHWDDPEVFKPERFDDGKIDFKGANFEYIPFGAGRRSCPGVTFGHATVELMLATLLYHFKWELLEGVAPNELDMTEEIGINVGRKNPLWLCPIVRVPLQ >ORUFI02G24470.1 pep chromosome:OR_W1943:2:22076376:22077878:1 gene:ORUFI02G24470 transcript:ORUFI02G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMSVGCRHGAARALQPLPLCRLSISSCTQSSRDVSNVNLTVEEEEAARLIKNSLSKARKWSVQDLIQCLGADCSGIRLTGNIVDTLLFKFGDDWKSALGFFQWAQSRDDYRHTAYACNRMVDLLGKMRQIDQMWELLSDMHGRGLVTVETVAKSIRRLAGARRWKDVVLLFDKLEDMGLERNTETMNVLLDVLCKERKIEVAREVFAVLSPHIPPDAYTFNIFVHGWCSIRRIDEAMWTIEEMKRRGFPPSVITYTTVLEAYCKQRNFRRVYEVLDSMGSQGCHPNVITYTMIMTSLAKCERFEEALSVSHRMKSSGCKPDTLFYNSLINLLGKSGHLFEASQVFRVEMPMNGVSHNLATYNTMISIFCYYGRDDDALNVLKEMEAQSCKPDIQSYRPLLRLFLSRRGQADTVRHLLSELTSKHNLGLDLDTYTLLIHGLCRVGDTVWAYQLFDEMVSSEIAPRSKTCVMLLDEAQRTNMETYVERIGNYMSSFGISV >ORUFI02G24480.1 pep chromosome:OR_W1943:2:22078989:22079447:-1 gene:ORUFI02G24480 transcript:ORUFI02G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRQSNAVLSRSGSVYVKTTGTQTEGPAESQRSGQVKGAIIQMHMTSELDKLGQTKLILLLLYDNNLQLHQYKSARLCLLLDGCISVPPSRQRCKRWIQRWCRNSLPGESNSGFSRHHEFKHQDITSTSFIEQFTPKLEVWLKLKRCDRKV >ORUFI02G24490.1 pep chromosome:OR_W1943:2:22082462:22086911:1 gene:ORUFI02G24490 transcript:ORUFI02G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPASCCCYFPLRWESTGDQWWYASPIDWAAADGHYDIVRQLLHLDPNLLIKLTSLRRIRRLEALWDDDARFAGAAGHRAGVARSLLLECECSKNGGAAAAAENTLLRAGYGGWLLYSAASAGDMAFVQELMERDPLLVFGEGEYGVTDMFYAAARGGNAEVFRLLLDHAMSPRCSTNCPNGGEGARGGGGGRSSVFRLEMMSRAVHAAARGGSVEMLRELIERHSDVSEYLDFRGSTVLHAAAGRGQLEVVKYLMATFDIVDSTDNQGNTALHVAAYRGHLPVVEALVAASPSTISAVNRAGDTFLHSAIAGFRTPGFRRLDRQMELMRHLIRGRTSDIQKIINLKNDAGLTVLHMAVVGCVHPDLVELLMTTPSIDLNAEDANGMTPLALLKQQLRSSTSDKLIRQIVSAGGVLNSTVLRTRSAIVSQIKMQGGIASSPGTTFKISDAEIFLYSGIGTAESRRPSSCSSNGKCDHAHHGDAKCGNAENHGSSEKRLSSASRAKDRLKLMLKWPRQKMSRGHKKSDDGDAMDSIKKLSEQAVETPAPLRQTFTKTTALNNKRTLAVKTSTPSSATKKKLNSKLIHGIMEAMPHLASSSPASAFPRSSTPPPPQSGKMKGVCLELDDENSMTTPVFGKLKDIVLNNDDDDDAMGEPSSSGSSVNDDASAEMPARRHGCGNGRLINICFGAQGLTVEDSASGQQTSKMFKQQCLRVS >ORUFI02G24500.1 pep chromosome:OR_W1943:2:22087481:22091304:-1 gene:ORUFI02G24500 transcript:ORUFI02G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTVLMEFGQQRQIKRGYDEMAFRGMASAAPRGYAETVGESEGAAGSPVRVDSEDSSAPKRKCISLNSDGFDVKREIFVPAKMSSSERRHLRKRFRTELDSVRNLLKKPEFAVPVPVNRAPALSSSAAPRGKKGQRGNHVVRGAKGRFLPTKPRPEASTVLTEDAIFKQCDAILKKLMTQKCSNIFDSPVDAVKLNIPDYFQIIKKPMDLGTIRNKLDSGSYTSPSEFAADVRLTFSNAMTYNPRGHVVHDYAIQLNKMFESRWRTIEKKLASIATEAHVEVDRADSKRRKTPPVDCSEVSTECVRPTESVRPTESVKPKMTFEEKESFGNCLASLSEDPEVPSHIIDLLQQCIDNNTDQLGDGEIEIDIHAVSDDLLFELKKHVDKYLQEREQSQQAKSEPSENEAANVSGLSHSSTNPCKGGDPVEEDVDICGNASPILIEKDAHNNPNKCGSPSSSSSDSGSSSSDSESGSDSESEQEKGGSPGKPKGSKRSEQLVEQEKSDVISPVDAIRPADDVELREQDNESKPAPEGENSKPDRQVSPDKLLRAALLRSRYADVIVKAQGILSQGGDKQEELEKLQKEEKARLLAEGNAAMEARRAEAEAEAKRKRDLEREKARQALQEASEKNCIYWWCRAFFFPLKFEWFNLMQMERTVEINDNLHLKDLEMLGTATTEHIVSSVDETSPEHSQDGMPSFLPGSGNPLEQLGLFMKADEEEEEEDPSSVPSTKDAEEGEIN >ORUFI02G24510.1 pep chromosome:OR_W1943:2:22092809:22093758:-1 gene:ORUFI02G24510 transcript:ORUFI02G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEWRRRARGSRQRSRPRGDAMSASPPTSAAAVAARLRGHGGVRCTVAVTRFVVGSTKPCLGSSLVHVAVFSDGCDESGPTELGRPPWPILRAVGGGRVAEPSRRGGEANPSVDVGAPAGATFLTQTCAVDVVYTHARLGENRGEGDATAAARRPVAEQMAVSARWLSRRSRPTMRSGRKKRHPRRCQRGGHRTPRREVWPRRRYRCQSASASVAVRQRGKKRGIKKERREIKL >ORUFI02G24520.1 pep chromosome:OR_W1943:2:22097495:22099225:1 gene:ORUFI02G24520 transcript:ORUFI02G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSQGKSSSGGGVGGVRRYDVHGGGNLLACYAKAARPRPSKWDDAQKWLSRAGDDDCGGEATRRRSSCASADDGLLLPPPPAARKGAGGWRSWSNVEWEGAPAAMAPALKAARGDEGVDTKVVDAVQAYVPQRCVVSPRDVGTEMTPGGSKEPSRANTPRVVAPAATARVVARGTASPGQCDGGSCDSAVAGGVVDLRAARKRADQGHDEATGTITAVSPATAWGDAERAKYMARYRREEMRIQAWENRERRKAELQMRTAEEKAERMRLRAQARTAGKVATAQAEAKARRARAEAELALGRPGGGAKGWLLTRSASWSSGSGRSPSSLSLRLPLLCR >ORUFI02G24530.1 pep chromosome:OR_W1943:2:22100276:22105940:1 gene:ORUFI02G24530 transcript:ORUFI02G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSHGGYRGYEVAREREHDVGVSRRSKEHYHHRHPSRHRDSERRRDGGRSGGRELSNGYSHRRDSPRPPPRRRPSEGRTEDREPGEVSGGSGSERSGERPMKTREPRENGVTRVSKEEAKMSPSKKRKQSPVIWDRNGSKRQARDPVRGIREEYEKNRIVDEEEEGYPTMRNVLTSRWADAGDEEENVFVPKKKKSVSPVDSIERGSTKKVTSPESGEVLVYNSVRSSSRSSDSGVLQGSANRDLEVEKGDNIDVEEAADDDYPAGHLLDSDFEGEDCRSVDEFERLNTINEGTYGVVFRVRDKRTGEIVALKKIFRTLGTPDENIWPGYSKLPGATVKFGKQTHNRLRDKFRAVSFTGGPMLSEAGFDLLNRLLTYDPEKRISAEDALNHEWFRELPLPRSKDFMPTFPALNEQDRLTKNNVEAQDLSWLSSIFEGWK >ORUFI02G24540.1 pep chromosome:OR_W1943:2:22106707:22114960:1 gene:ORUFI02G24540 transcript:ORUFI02G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREALSSSMVLTPTSSNKHKAIARVSAPTSGGALPELVLYLLDLGMDHEEIKNVVRKFPAFAYYNVDRKIKPLVALLLELGVPRSNIPGIIKKRPQLCGISLSDNLKPMMTYLENVGINKDKWSKVLSRFPALLTYSRQKVETTVSFLTELGVPKENIGKILTRCPHIMSYSVNDNLRPTAEYFQSIGADAASLIQKSPQAFGLNIEAKLKPITEFFLERDFTMEEIGTMANRFGIIHTLSMEDNLLPKYEYFLTMGYPRNELVKFPQYFGYSLEQRIKPRYARMIDCGVRLILNQLLSVSDSRFEDILRKRMDGI >ORUFI02G24540.2 pep chromosome:OR_W1943:2:22106839:22114960:1 gene:ORUFI02G24540 transcript:ORUFI02G24540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREALSSSMVLTPTSSNKHKAIARVSAPTSGGALPELVLYLLDLGMDHEEIKNVVRKFPAFAYYNVDRKIKPLVALLLELGVPRSNIPGIIKKRPQLCGISLSDNLKPMMTYLENVGINKDKWSKVLSRFPALLTYSRQKVETTVSFLTELGVPKENIGKILTRCPHIMSYSVNDNLRPTAEYFQSIGADAASLIQKSPQAFGLNIEAKLKPITEFFLERDFTMEEIGTMANRFGIIHTLSMEDNLLPKYEYFLTMGYPRNELVKFPQYFGYSLEQRIKPRYARMIDCGVRLILNQLLSVSDSRFEDILRKRMDGI >ORUFI02G24540.3 pep chromosome:OR_W1943:2:22106707:22114960:1 gene:ORUFI02G24540 transcript:ORUFI02G24540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPFPLPFLPQCTSILCDADTDNLWLASGNAQSSAAVRSRLLAAEREEAKAVLSLFLRQKGLRSILAARIANKADGFIEHLVSKLHITYRSRYAEGRELSTPEIRDALIPYLEALSKEHGDGLVEVVENFPDPFAMEREALSSSMVLTPTSSNKHKAIARVSAPTSGGALPELVLYLLDLGMDHEEIKNVVRKFPAFAYYNVDRKIKPLVALLLELGVPRSNIPGIIKKRPQLCGISLSDNLKPMMTYLENVGINKDKWSKVLSRFPALLTYSRQKVETTVSFLTELGVPKENIGKILTRCPHIMSYSVNDNLRPTAEYFQSIGADAASLIQKSPQAFGLNIEAKLKPITEFFLERDFTMEEIGTMANRFGIIHTLSMEDNLLPKYEYFLTMGYPRNELVKFPQYFGYSLEQRIKPRYARMIDCGVRLILNQLLSVSDSRFEDILRKRMDGI >ORUFI02G24540.4 pep chromosome:OR_W1943:2:22106910:22114960:1 gene:ORUFI02G24540 transcript:ORUFI02G24540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEREALSSSMVLTPTSSNKHKAIARVSAPTSGGALPELVLYLLDLGMDHEEIKNVVRKFPAFAYYNVDRKIKPLVALLLELGVPRSNIPGIIKKRPQLCGISLSDNLKPMMTYLENVGINKDKWSKVLSRFPALLTYSRQKVETTVSFLTELGVPKENIGKILTRCPHIMSYSVNDNLRPTAEYFQSIGADAASLIQKSPQAFGLNIEAKLKPITEFFLERDFTMEEIGTMANRFGIIHTLSMEDNLLPKYEYFLTMGYPRNELVKFPQYFGYSLEQRIKPRYARMIDCGVRLILNQLLSVSDSRFEDILRKRMDGI >ORUFI02G24540.5 pep chromosome:OR_W1943:2:22106839:22114960:1 gene:ORUFI02G24540 transcript:ORUFI02G24540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPFPLPFLPQCTSILCDADTDNLWLASGNAQSSAAVRSRLLAAEREEAKAVLSLFLRQKGLRSILAARIANKADGFIEHLVSKLHITYRSRYAEGRELSTPEIRDALIPYLEALSKEHGDGLVEVVENFPDPFAMEREALSSSMVLTPTSSNKHKAIARVSAPTSGGALPELVLYLLDLGMDHEEIKNVVRKFPAFAYYNVDRKIKPLVALLLELGVPRSNIPGIIKKRPQLCGISLSDNLKPMMTYLENVGINKDKWSKVLSRFPALLTYSRQKVETTVSFLTELGVPKENIGKILTRCPHIMSYSVNDNLRPTAEYFQSIGADAASLIQKSPQAFGLNIEAKLKPITEFFLERDFTMEEIGTMANRFGIIHTLSMEDNLLPKYEYFLTMGYPRNELVKFPQYFGYSLEQRIKPRYARMIDCGVRLILNQLLSVSDSRFEDILRKRMDGI >ORUFI02G24550.1 pep chromosome:OR_W1943:2:22113624:22114559:-1 gene:ORUFI02G24550 transcript:ORUFI02G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNEEKAQSMLNRFITMKQEEKRKPRERRPYLASECRDLADAERWRSEILREIGAKVAEIQNEGLGEHRLRDLNDEINKLLRERGHWERRIVELGGRDHSRSSNAPLMTDLDGNIVAIPNPSGRGPGYRYFGAAKKLPGVRELFDKPPEVRKRRTRYEIHKRINAGYYGYYDDEDGMLERLEAVAEKRMRNEVITEWHRVERVRREAMKGVVSGEVASAGGRGGEAAREVLFEEVEEEVEEERRLEEEKREREKGEEAGKEFIAHVPLPDEKEIERMVLERKKKELLSKYTSDALQVEQEEAKEMLNVRR >ORUFI02G24560.1 pep chromosome:OR_W1943:2:22115826:22116986:1 gene:ORUFI02G24560 transcript:ORUFI02G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKRGRTRRATQAEAEVEQSEREMVGDEAVEEEEDDDVTKMNPPPATAGEREEEEEEEGIEGLLEPFTRNELLDLLVEACLRNPALRSRLAATAESDAAHRRLFVHGLSPGVTTAAMAAAFAPFGALDECHAVADRATGRCRGYGFVTFRRRSAARRALAADASSRLAVGGRPVACQLASLGPTSPDRKLFVDNVPARAAHDELRRLFSRFGEIEAGPLGADRATGQFRGYAIFFYKYPEGLTKALEERKVVFDGCELHCRRAHRVNKEKHLMTMPADAGAQSNGFENAASPIIHVQPKELALASTTQTPLGSNRPVEMMAKGPRSGTVPFRQNAGAGLLGACPVATVTSSTPDQSTPVSHSGASISTPRTAQRLAKSGLIQS >ORUFI02G24570.1 pep chromosome:OR_W1943:2:22119158:22121560:-1 gene:ORUFI02G24570 transcript:ORUFI02G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGNVVGDILDPFIKSASLRVLYSNRELTNGSELKPSQVANEPRIEIAGRDMRTLYTLFMLLTKSENKLTNRLVTDIPETTNASFGNEIVSYESPKPTAGIHRFVFVLFRQSVQQTIYAPGWRQNFNTRDFSALYNLGPPVAAVFFNCQRENGCGGRRYIR >ORUFI02G24580.1 pep chromosome:OR_W1943:2:22131682:22135594:1 gene:ORUFI02G24580 transcript:ORUFI02G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLFTKKANIKGSVPFFLGLFLLFVRWPVAGIIMELYGSFVLFSGYGPPIQAFLYQIPVIGWILQYPFQLFGQFRRKRA >ORUFI02G24590.1 pep chromosome:OR_W1943:2:22139590:22141106:1 gene:ORUFI02G24590 transcript:ORUFI02G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGGGGGLVVMPGRSGLSIPSLPCGADPGAANFFERVQRQRGNRSINLCIQFSPFCVQGLEAVVLAIASCFLAAHGRLRPMLVHRQVPRFSVLLGEVKWICRLVAGNIDLNLIHINYMLGAC >ORUFI02G24600.1 pep chromosome:OR_W1943:2:22143113:22144456:-1 gene:ORUFI02G24600 transcript:ORUFI02G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMPPSLPPLPLPPQLSLADLKALSVLGRGARGVVFHVVPAGGAAAAVSATADEDPMALKAISRAAARHKCAEVAGGPGGDGHRRIWFERDVLLALRHPLLPSLRGVVATDSVVGFAIDRCAGGDLNALRRRQAGRVFSVAAIRFYAAELVLALEHLHGLGVVYRDLKPENVLIQDCGHIMLVDFDLSTTLPPPPPPPPPDTAPPPQTARSRGGRRDSTKAAAAVFGCFSSRRAAASRPSPSSSSSSRSPPSTSRTASSSSSSTRCSSAAAKSNSFVGTEDYVAPEIVAGSGHDHAVDWWGLGVVLYEMLYGRTPFRGRSRRETFHRVLAARPDMPGEPTPLRDLIGLLLEKDPGRRLGAHGVKRHAFFRGVDWDRVLHVARPPFIPTPDDDDAGAAAEALDVEKVLHEAFAASTAAAAGETAAVETAAPEAGSDRGRDEDFSVFF >ORUFI02G24610.1 pep chromosome:OR_W1943:2:22146371:22148230:-1 gene:ORUFI02G24610 transcript:ORUFI02G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNASPLHHLASLLLLALALAPRPAAPAATDRAALLAFRASLPPPSRAALASWRGPLSESWRGVSLHPPAAAGAPAPAPPPSVSGLALRGLNLSGQLPAAPLALLRRVRALDLSANALSGELPCSLPRSLLDLDLSRNALSGAVPTCFPASLPALRALNLSANALRFPLSPRLSFPASLAALDLSRNALTGAVPPRVVADPDASGLLLLDLSHNRFSGEIPVGITAIRSLQGLFLADNQLSGEIPTGIGNLTYLQALDLSRNRLSGVVPAGLAGCFQLLYLRLGGNHLSGALRPELDALDSLKVLDLSNNRISGEIPLPLAGCRSLEVVNLSGNKITGELSGAVAKWQSLRFLSLAGNQLSGQLPDWMFSFPTLQWIDLSGNRFVGFIPDGGFNVSAVLNGGGSGQGSPSEAVLPPQLFVSVSTDMAGRQLELGYDLQAATGIDLSRNELRGEIPDGLVAMKGLEYLNLSCNYLDGQIPSGIGGMGKLRTLDFSHNELSGVVPPEIAAMTELEVLNLSYNSLSGPLPTTDGLQKFPGALAGNPGICSGEGCSAHSRMPEGKMAGSNRHGWLGGWHGENGWVSLGAFCISTMTSFYVSLATLLCSRKARNFVFRPGRMEY >ORUFI02G24620.1 pep chromosome:OR_W1943:2:22148778:22149497:-1 gene:ORUFI02G24620 transcript:ORUFI02G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDDSDEIRPPRALLGLLRRRLARHRRRHARHRILPDLAAGGPDPPTTAPDLAPLTGDGKEGERDGGGCRRWERRPSSSSSRPPATREASGGGGERERPVRR >ORUFI02G24640.1 pep chromosome:OR_W1943:2:22163882:22172529:1 gene:ORUFI02G24640 transcript:ORUFI02G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRFLPVGGGGGGGVEPSSSSTTPQRGEAEAAGLRFGGGDISLGPHGGGGGGGGGGGGHGHQLQDGSVDLLARHSSSPAGFFSNLMASNGFPGSKGGGGSGAEAHHHPSMAGSGSGSSSGGRKMKSQLSFTAGPPHLSHIAEDGAFPDRAGAEASVPRTFSAGGSSGGGGFSIVGPWEESRDIISTLGGYESQFGGMASTSALEMAGMDRYLQLQHDQVPFKVRAKRGCATHPRSIAERERRTRISEKLRKLQELVPNMDKQTSTADMLDLAVEHIKGLQSQLQALKHEQEKCTCCSRP >ORUFI02G24650.1 pep chromosome:OR_W1943:2:22172738:22173926:1 gene:ORUFI02G24650 transcript:ORUFI02G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPVHPILVAFLALLLVGTCQARPAPGKAASSSLSSAAKGAVVDGITDIYNFGDSISDTGNFLALMEHTVAPPYMHNVLLQQGIRELRRSYPEATIAYADYSGAYVRMLEGARDTGFDGVALTKACCGGKYNFEMEQISWDGVAST >ORUFI02G24660.1 pep chromosome:OR_W1943:2:22172755:22173022:-1 gene:ORUFI02G24660 transcript:ORUFI02G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYPSSEHCPVALPMAAPYVGRRHGVLHQGEEVAGVRDGVPEMSVMPSTTAPFAADDNDDDAAFPGAGLAWQVPTRSSAKNATRIG >ORUFI02G24670.1 pep chromosome:OR_W1943:2:22174350:22178965:-1 gene:ORUFI02G24670 transcript:ORUFI02G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVAPAPLPHVRVRDGGIGFTRSVDFAKILSVPATLRVGSSRGRVLVAKSSSTGSDTMELEPSSEGSPLLVPRQKYCESIYETRRRKTRTVMVGNVPLGSDHPIRIQTMTTSDTKDVAKTVEEVMRIADKGADFVRITVQGRKEADACFEIKNTLVQKNYNIPLVADIHFAPTVALRVAECFDKIRVNPGNFADRRAQFEQLEYTEDDYQKELEHIEKVFSPLVEKCKQYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESALEFARICRKLDFHNFVFSMKASNPVIMVQAYRLLVAEMYNLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLMDGLGDTIRVSLTEPPEEEIDPCRRLANLGTHAADLQIGVAPFEEKHRRYFDFQRRSGQLPLQKEGEEVDYRGVLHRDGSVLMSVSLDQLKAPELLYRSLAAKLVVGMPFKDLATVDSILLRELPPVEDAQARLALKRLVDISMGVLTPLSEQLTKPLPHAIALVNVDELSSGAHKLLPEGTRLAVTLRGDESYEQLDLLKGVDDITMLLHSVPYGEEKTGRVHAARRLFEYLETNGLNFPVIHHIEFPKSVNRDDLVIGAGANVGALLVDGLGDGVLLEAADQEFEFLRDTSFNLLQGCRMRNTKTIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEGATDALIQLIKDHGRWVDPPVEE >ORUFI02G24680.1 pep chromosome:OR_W1943:2:22183375:22185227:-1 gene:ORUFI02G24680 transcript:ORUFI02G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPLVTVLLFAGSCLGSAPPTTSPAASAASTATRTVVVDGITAIYKPRRLAVGHRNLARQGATGGLLRYTTRLPYGVTVGRATGRCSDGYLIIDFLARDLGLPLLNPYLDEGADFAHGVNFAVAGATALNTTALAARRITVPHTNSPLDGQLRFFLWFKEFMNSTTSSPQEIREKLSKSLVMLGEIGGNDYNYAFLQTWPMDGGYSLGNVTRMIESVATAVDLVPEVVQSIASAAKEVLDMGATRVVIPGNLPLGCVPSYMSAVNATDRAAYDARGCLVALNLFAALHNAWLRRAVGELRRAYRGAAVVAYADYSAAYAATLDGAAALGFDERRVFRACCGKGGGGAYGFDVRAMCGAPGTAACADPGRYVSWDGVHLTQRAYGVMAELLFRRGLVHPPPINFTNSARA >ORUFI02G24690.1 pep chromosome:OR_W1943:2:22185393:22188001:1 gene:ORUFI02G24690 transcript:ORUFI02G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNVVQKNRREWKQDRKRQAHGDPKTGKLKQRTAPVSVSGKRQRKLLRRLSREQKEAVMAKALENNMGDVEMVSAEGSSEAAKDKSQLKFNVKKNSRVQIKRLKGKGRKKAKNAKPPTKDKVDAMVE >ORUFI02G24700.1 pep chromosome:OR_W1943:2:22194606:22197301:-1 gene:ORUFI02G24700 transcript:ORUFI02G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMVDFKYFRWAGMMLRNNVALCQVTVTSTANVCVYSDENKVDGKLFIIDEGIFEMLREPPPPRDNGPAVGVKVKFDWSWCTLPSPPFHNVISHGVHPDQRTMVFSMTKYSMKKRTGLLATFSFDLESSRWTQHGAWALPFKADNDDGQPPACKLSKERLFCVDTAEKHIGATLVHVGGDRSMVCLVQYLSIDNHQGDIWKEFLPQRIRYLLRITTFSPKYDKHEDLRIAKCHHIGSYQLPEIATVYDDHLKSPMAFWILSLTNKFHEQLRRQKSKGMFGLPPKVGTTEPEVTTVILVRACQRAPIPISADDKAEQMTGYVSIGLLSTHNVV >ORUFI02G24710.1 pep chromosome:OR_W1943:2:22198127:22203579:1 gene:ORUFI02G24710 transcript:ORUFI02G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVVECSVCRSRLVVPSPRSVSRAYDKHRSKISSKFRALNVLLVVGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVTKVVFAIVMLIIQSRKQKVGEKPLLARSTFIQAARNNALLAVPALLYAINNYLKFIMQLYFNPSTVKMLSNLKVLVIAVLLKFIMKRRFSVIQWEALALLLIGISINQLRTVPAGNTAFGLPVTAIAYIYTLIFVTVPSLASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILGTALFQGPESFNILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTINFLLGISVVFISMHQFFSPLAKAKDDKPAELLELEDTQNHRSSESSFVNMTAGAAEDVV >ORUFI02G24720.1 pep chromosome:OR_W1943:2:22204099:22205172:1 gene:ORUFI02G24720 transcript:ORUFI02G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIIGRSSPRGHGGSGSLLRKRCRSEMEAVRGLLKKAEALVRKAAAGAAARRPLPRRVKDKEAMTMAQKEQLVGLLSSLPAGILPSHVADFMRRRCSWRAVPGVDGDDDELEIDLGSTEDAALFELRKMLDDEAAVRRTSPRGLEDGEVADEYMDICGGVSPLPAAARKPPPLALSSPPAAAEQEDDLIDIFGGDSPLPAHEDLLDASPLVKPEADEFVDIDGDTIDKSPGNPSSTTTGSDSDSDGGGVGDTASSNPNTANHLPVVVVEAVATKPLEPQPPQVAEQAYKMGEKLTRSRREAAPAPAPAGRMSELIARAQAERLRRDAERKRAREEQPR >ORUFI02G24730.1 pep chromosome:OR_W1943:2:22209229:22236666:1 gene:ORUFI02G24730 transcript:ORUFI02G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPRATPPARAYVQTFFQPPPLALPLTSVETSPDFLLLLITRTQRSQVPAMFGPYSGGGGVPLPQMDADTYVRTIAAMPPHPLAPPPDSPRTPHTYVGFLPVFGDLPPLTGAVLQEPVPVPPEQRADQPVAVATENSAPTRPQLCAPYDDEVEATLRAMETNPAERPSPYFLETTQGGRMSALVRASMIAFMGEFSRKNKLADGTLQRAAYFLDRYLSVTPESDDALQLRLVGATAVFLAAKYEDQYTLRKIDASMVAARCGYTSETRHKMVSIMETEMLAALGFNLGGPTAYTFVEHFTRYYGDGEEEKQLKEAAHRVADGTLLTYGFHRYLPSMVAASSIFLARLHELGHEPWSNDLAELTGYKAIDLMGCVCDIYSQIACPRFALLQDRGEEELRVQRLERDIADQSLMNYGCPRATCRPWRRRPAASIFIARCSLNRPDRSGLWSTELQELTGTAPRTSLTASILAIKVEEDTMGDLILDPYMEDAILDHSCLAELLADQTALPLFHPYSGGATPQMVDTDTFLRAIGALPPLAPPPAAPLAPAPPDSPRTPHTYGSLLPVYGDLPPLSGAVLQEPLPLPEGSDHPVSPKKTIEVASLLQERADQPVVTSNSATTTRPQLCAPYYDDIEATLRAMETNPVERPSPYFLETTQGGRMTALLRLVAATTVFLAAKYEDQYTLRKIDASMVAARCGYTSETRHKMVSCMETEILAALDYNLGGPTAYTFVEHFTRYYGKGKEEKLMREAAHWFADGSLLTYGFHRYLPSMVAASAIFLARLHVRGHEPWRKDLAELTGFWYSDVSTYGPTADTFVEHFTRYKCTTAGERKSYGCMQRLERDVADQSLMNYVRLPGATIPAVHGGGGRRASISVARCSLNRHDALVWSTELQELTGYSFEDLVSCIFAMYHKKQPYKTVMAKDAIMDHPCLAELLADQTSLPMFHPFSGGGTPQMVDTDTFLRAIGALPPLAPPPAAPLAPAPPPDSPRTPHTYGSFLPIYGDLPPLSVAVVQETLPLPEGGDHPVPPKKTIDVAPLLPEHADQPVVTNNSATTRPQLCAPYDDDIEATLRAMETNPAERPSPYFLETTQGGRMTALVRASMIAFMDEFSRFHELADGTLQRAAYFLDRYLSVTPESDDVLQLRLVGATAVFLAAKYEDQYTLRKIEASMVAARCGYTSETRHKMVSCMETEILAALDYNLSGPTAYTFVQHFTRYYGDGKEEELLKEAAHRFTDGSLLTYGFHRYLPSVVAASAIFLARLHVLGHEPWSRDLAELTGYEAIDLMGCVCDMYSQIACPRFALFQEIXRIQRRPAPTWPPPPRWSPWHRMMTPTAYSTTGTRRWMSRCPVPFFRRRRASLRFPFQGWPTKPVYAAPARRLPPPPGFPPLPVPAKAEPVYAAPVDEGDAIRAFMQQLEWSEQYNGDNDAPAPDNSTASRPQLCAPYDDDIDANLRDMEKDAAQRPSPDYLDTVQGGQISAAARASLVAWMGRLTHRYELAAGTLHRAVSYFDRFLSVRALPSYTAHQLSLVAATAVYTAAKYEDQGTVFKLDAREIASYGEFASAQEMMAALGYRLGGPNVETFVEHFTRYSKGKEELRVQRLACHVADRSLESYGCLGYLPSMVAAAAISIARWTLNPPGALPWSSELQELTGYSSQDISSCILTVLNTQ >ORUFI02G24740.1 pep chromosome:OR_W1943:2:22238945:22240270:-1 gene:ORUFI02G24740 transcript:ORUFI02G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNGDAAAKKQPWYRRALGGLIPSARRAAIAAPPPPAAAVAGAVERRPRWWWGSSLKTTSAAFAREVCICAPLCSYDGIMGIQVDAVAPTMMARSSAAISPSPPRRASPARSPTAGAAGGGGRRSPASPRTASSPRSSRARAHPAPVSVAAPAPAAAAVEGPRKRVSFSGGESLWNDELVRRFVRAQEGMPRRGEIDMANRHRRRRWRAPGKSRLRRMSLAHVADDDEDGETNALA >ORUFI02G24750.1 pep chromosome:OR_W1943:2:22244771:22250735:1 gene:ORUFI02G24750 transcript:ORUFI02G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGLSSWMRGFLLPSLWEAEVAVSAAALLVAALLLLFLDQAVQSSTKSPASSSSPPPSPTTTAAASCRRDGGCGGCGCRRRRAKGKPAAAELGGTSKVALPDGSPHSRGRTSYVIKLELLSAKYLIGANLNGSSDPYAVISCGEQRRFSSMVPSSRNPLWGEEFNFLVRELPVEFCTAPVNDSKVTITMYDWDTVCKCKVIGSVTVAVLGEDETGATWFDLDSKSGQICLRFSSAKVFPTSERLFTKVILVLWRGLSCTMGIKRSQHSLINPAITIFLRTGSGGHGTPPSCSQNGRIRYKFTSFWNRNRTFRALENALQSYRATLEAEKQVRMHSLQQRRSSDVICSKTDDLKTAERSIEQAKAFQPFINEHVLVDATSLSKWYPSDEYGGKIREVMFRSLCHSPLCPPDTAVTEWQRASFSKNKTNLIYETKHQAHDVPFGSYFEILMLMKRRPSGPSLTISFCIKPQNIRSSQWHLRTTSSSTCQVDIKIGVNMKKWCILQSKIKSGATDEYRREVCKILEAACDYVLKEESNNQASHEIEAISLT >ORUFI02G24760.1 pep chromosome:OR_W1943:2:22246205:22252542:-1 gene:ORUFI02G24760 transcript:ORUFI02G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLECTVGKDGLNFQCNLCDSDVVHSMAEILLRGLATASVDSTTGDIFKSPSSVAVGMKSELAEYLIQRSMTLVREAVDGGEDHSEQLIKASTMPTEFLSDLIDGFVASKRNLLSHVSGFLSSETRLNKIKDFIQKLEMENFWAPDVREATAGTILKSIDMKCIIHCPERFDTQDKLAEHRNLCRFRIVNCKNDGCLASFSANHIEKHDSVCPFKVLPCEQLCEQHVMRCEMDRHCASVCPMKLINCPFYQVGCESAFPQCVLDKHCSERLQIHLMYILELTTRHDAFVNDMNQRLHLLEKAQSLNELSGALDNRTLTLTAKEQEAKIKKLEQDLKVQETKLKKLESEFKSGKEQCKTANVTLEKLADAARAR >ORUFI02G24770.1 pep chromosome:OR_W1943:2:22252570:22253544:-1 gene:ORUFI02G24770 transcript:ORUFI02G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWMGYRRTATRPMSPLMTCSFLPPLLVGLLLSPPPRGADATRSPPARSLTLQRCAAPYRRPRTRGWAGRAYRSCSRRRLL >ORUFI02G24780.1 pep chromosome:OR_W1943:2:22253226:22258879:1 gene:ORUFI02G24780 transcript:ORUFI02G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWAAWPSFCSPSSPSGPCIVDPTARGAKMDTARRLLLLPPAPPPTKPTNQPAPPRAKQNPSKGEGQRRRGRRCAMDAIRKQLDQLMGANRNGDVREVSRKYYDRDVCRLFLAGLCPHDLFQLTKMDMGPCPKLHSLQLRKEYEEAKAKGMDNYDRELEETIERLISECERKIQRALKRLEEDDAKAAIAISVTEVTQSKEVIEFSKQIKEKMKEIDAFDLEGNTEGKIRATEEVDKLKEQRAEEQAKHLLEAFNKDRVSLMTSLQTANQSIPPPPVAAAPDARTQEMIDEKLKKAEELGENGMIDEAQKLLDEAEALKKLGARPQPVPDSAKMSTHVQITDQKLRLCDICGAFLSVYDSDRRLADHFGGKLHMGYMLIREKLSELQEEKNKRRKIDRAEHERRSRDRSLERDRASSKDRHRGDRGSSRDRDRDYDRRRSHDRYHDRESRSDKDRESGRSHSYDSRGYRRSRSPRDRVTTVGIDARHMVEGFSMNRIVWCFNSEVHVGKMLIS >ORUFI02G24790.1 pep chromosome:OR_W1943:2:22262115:22262384:-1 gene:ORUFI02G24790 transcript:ORUFI02G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVVDLAGDLSRSVAYAAASWLWTNAGAARRPPSSAAGRSCLAASTVAAHCSAATANSKQRKTKTDADVVVVRAAADSIALHAPKQCN >ORUFI02G24800.1 pep chromosome:OR_W1943:2:22266965:22267864:-1 gene:ORUFI02G24800 transcript:ORUFI02G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLSLLAVVLAMVAAVSASTAAAQSCGCASDQCCSKWGFCGTGSDYCGTGCQAGPCDVPATNDVSVASIVTPEFFAALVAQADDGCAAKGFYTRDAFLTAAGGYPSFGRTGSVDDSKREIAAFFAHANHETIKFCYIEEIDGPSKNYCDETSTQWPCMAGKGYYGRGPLQISWNFNYGPAGQSIGFDGLGDPDAVARSPVLAFQTALWYWTNNVHDAFVSGQGFGATIRAINGALECDGKNPTAVSNRVAYYQQFCQQFGVDPGSNLTC >ORUFI02G24810.1 pep chromosome:OR_W1943:2:22270179:22276930:1 gene:ORUFI02G24810 transcript:ORUFI02G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDSYPFLPPSSSPPPRFDAHIEPRRPPPARPPPPPPPTPSSSPKSMSYFTCKPNSGLIVDRPVAGLGRTGRLLSHPQYSLTTHSVRFPKLQKQVHPRLVLVAASQKKLPPLCASSGKVNPEAENDPFMESLKKAMDDAKKPRPIQDLLKEQIAKLREQGSGGGGGNRNRRGGSGDSGGPEDESFKESLDELVQVILATVAFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMQKWRNFSESFMQSEGSQEDQYERAATSKPTWWQQPQKFVHLMEELCRGNWRPHAQES >ORUFI02G24820.1 pep chromosome:OR_W1943:2:22274359:22278014:-1 gene:ORUFI02G24820 transcript:ORUFI02G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPPALDILVREPDGFSVWSGPPYPTGSAPPVRLLKTACSATYFSSDGSRLLATVASASATVYDCRTLSVVRSFELPGLLAAALSPAGTFLQTFQKSSSPQEKNVTVWHVDTATALYQHYQKNMSKATWPMVQFSADESVSCRMMTNEIQFFDPKDFTKGFVYKLRMPGIAAMQLASAPGSHVAGFVPEAKGVPASVQIFSCDKDAQGQAVARRSFFRCSTVQFHWNKGSTGLLVVAQADVDKTNQSYYGETKLNYLTTDRAFEGIVPLIMPARATIFNKKCNPLLELGEGPYNTIRWNPKGRWFGNLPGDMAFWDYSEKKLVGKTKAECSVTSEWSPDGRHFMTATTAPRLQIDNGIKIFDHNGSLQFKKMFEKLYQADWKPEAPEKFGDIADLTISLGSIKIEETKKQAQGSKSAQPSSKAPANIAAKPTAYRPPHSKNSADVQDKLFGGLASTGGEMSKNALRNKKRREKQKEKKAAEGSGASADDN >ORUFI02G24830.1 pep chromosome:OR_W1943:2:22284353:22285272:1 gene:ORUFI02G24830 transcript:ORUFI02G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDACESAAAAVVCCADEAALCAACDVEVHAANKLAGKHQRLPLEALSARLPRCDVCQEKAAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGFASASPCDGGSDAHDSDHHAPPMGSSEHHHHHQQPAPTVAVDTPSPQFLPQGWALQKESSPPLGFQELEWFADIDLFHNQAPKGGAAAGRTTAEVPELFASQAANDVAYYRPPTRTAAAAFTAATGFRQSKKARVELPDDEEDYLIVPDLG >ORUFI02G24840.1 pep chromosome:OR_W1943:2:22286115:22290868:-1 gene:ORUFI02G24840 transcript:ORUFI02G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDESKFEQRLQLWALRIPRELASAVTRLLRSGYLLDKPRVKPVVEDPESDKNRLVVLSEKIQNPDLSDIEEKVYDSLKQICNIDVVPYSLTLGYSYWSAGHVAHLNIPDDLLPYKDVIAKVIYDVRISSRVTCSIPDSSFLSTLNMIKAHVHEHEAYLQFVSECKTKNYPRIQTVVNKVGTITNEFRVPQFEILAGKNDMVTEVKQYGATFKLDYGLVYWNSRLEHEHIRLVSLFKKGDVICDMFAGIGPFSIPAAQKGCIVYANDLNPDSVRYLRTNAQINKVDDYIFTYNMDARVFMQNLLEVPCSENKSDSQVAANCSSEDIDPANESSTSNGNHSDVQESCHKDINDSSMISTATKRRQESSNGGDGYCQEDASHTKKRNNKRVKGSGPPPVKPWEHFDHVVMNLPASALQFLDCFSGLVQKKYWTGPLPWIHCYCFIRSSESEELILSEAQNKLNAKIAEPIFHRVRDVAPNKAMFCLSFQLPSECLKDETEDHIQSVDC >ORUFI02G24850.1 pep chromosome:OR_W1943:2:22291694:22292182:-1 gene:ORUFI02G24850 transcript:ORUFI02G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDQQAELRRVFELFDRDGDGRITREELTESLERLGMPVHREELAATIARIDANGDGCVDMDEFTQLYETVMRVDGGGGGGACDVDEASMREAFDVFDRNGDGFITVDELGAVLASLGIKQGRTAEDCGRMIGQVDRDGDGRVDFLEFKQMMRGGAFATLR >ORUFI02G24860.1 pep chromosome:OR_W1943:2:22293320:22293629:-1 gene:ORUFI02G24860 transcript:ORUFI02G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVFKIFEICLFVLAKQTHSVPRLVSVLWQFLRLREGCSENLVCTKFDLNVLVKREIKFGIT >ORUFI02G24870.1 pep chromosome:OR_W1943:2:22294914:22300505:1 gene:ORUFI02G24870 transcript:ORUFI02G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDASSAADAMGVLRAAVPLQPDADLVLATGGGGERGQVVGLVLVDVSNGFCTVGAGNLAPVTPNKQIEKMVDEAARLAKVFCERNWPVFAFLDTHYPDKPEPPFPPHCIIGSGEENFVPALEWLEKDPNVTIRRKDCIDGYLGAFEKDGSNVFSDWVAKFQIKTVLVLGICTDFCVLDFASSALAARNIGRVPPLEDVVIYSEGCATYNLPVEVARSMQGTLAHPQDLMHHMGLYMAKSRGAKVVDRIII >ORUFI02G24870.2 pep chromosome:OR_W1943:2:22294986:22300505:1 gene:ORUFI02G24870 transcript:ORUFI02G24870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDASSAADAMGVLRAAVPLQPDADLVLATGGGGERGQVVGLVLVDVSNGFCTVGAGNLAPVTPNKQIEKMVDEAARLAKVFCERNWPVFAFLDTHYPDKPEPPFPPHCIIGSGEENFVPALEWLEKDPNVTIRRKDCIDGYLGAFEKDGSNVFSDWVAKFQIKTVLVLGICTDFCVLDFASSALAARNIGRVPPLEDVVIYSEGCATYNLPVEVARSMQGTLAHPQDLMHHMGLYMAKSRGAKVVDRIII >ORUFI02G24880.1 pep chromosome:OR_W1943:2:22301379:22306999:-1 gene:ORUFI02G24880 transcript:ORUFI02G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPAPAPRAVAHRVLPCNRRRRRSTSRIGHRRPRRHGRPGFLLPPLPRAPMLSWRGAQLGRRAREREEALAWTPEGRGEAVVVRRPEQKTKGVELSGRRRRARRRVGLNAAPRGSSACTPERLGGADQYPGYGGGGGGGGGIPPRRPQGSARVGPGARAARGAHLGRRIRRSSHVGPIQRTRCARSGRRRKLWVGFTTFCAPPPLACKALAPLTHFCFATTTIFTSHKRSGIAERKEASAMGASPSRPLEQSPSSSEGENHRVKYASYTTQGFRPHMEDALAVELDLDATTSFFGVYDGHGGAEVAMYCAKRFHTMLLEDVDYINNLPNAITSVCFRLDDDLQRSNEWRESLNPCANRNCLTNICANLHHFTEDYVPPSYEGSTACVVIIRGNQIIVGNVGDSRCVLSKNGQAISLSFDHKPHHEAERERIQRAGGHVFLQRILGMLATSRAIGDFAYKQNRNMPPSQQMVTCVPDIRVENITDDTEFLVIASDGVWDGMRNNNVVQFVRQELRPGEENLRETCEKLVGHCLHSNDNATAILVKFKPIEEDPDEVASARDEHQHNPEGGDEKLDINNDND >ORUFI02G24880.2 pep chromosome:OR_W1943:2:22301379:22305778:-1 gene:ORUFI02G24880 transcript:ORUFI02G24880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFLEEKEVTSTNLWKEASAMGASPSRPLEQSPSSSEGENHRVKYASYTTQGFRPHMEDALAVELDLDATTSFFGVYDGHGGAEVAMYCAKRFHTMLLEDVDYINNLPNAITSVCFRLDDDLQRSNEWRESLNPCANRNCLTNICANLHHFTEDYVPPSYEGSTACVVIIRGNQIIVGNVGDSRCVLSKNGQAISLSFDHKPHHEAERERIQRAGGHVFLQRILGMLATSRAIGDFAYKQNRNMPPSQQMVTCVPDIRVENITDDTEFLVIASDGVWDGMRNNNVVQFVRQELRPGEENLRETCEKLVGHCLHSNDNATAILVKFKPIEEDPDEVASARDEHQHNPEGGDEKLDINNDND >ORUFI02G24890.1 pep chromosome:OR_W1943:2:22309574:22315749:-1 gene:ORUFI02G24890 transcript:ORUFI02G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLILDPYMEDAILDHSCLAELLADQTALPLFHPYSGGATPQMVDTDTFLRAIGALPPLAPPPAAPLAPAPPDSPRTPHTYGSLLPVYGDLPPLTGAVVQEPLPLPEGGDHPEPPKKKIKVAPLLPERADQPVVTSNSATTTRPQLCAPYDDEIEATLRAMETNPAERPSPYFLETTQGGRMTALVRASMIAFMDEFSQFHELADGTLQRAAYFLDRYLSLADGTLQRAAYFLDRYLSVTPESDDALQLRLVGATAVFLAAKYEDQYTLRKIDASMVAARYYGDGEEEELLKEAAHRFADGSLLTYGFHRYLPSIVAASSIFLARLDVLGHEPWSQDLAELTGQDXAHPAAPGADLAAAAEVESMASNDDTNGNWDTKVDAKVPSAFLPPPPGFPPLPVPALADEPVYAAPVDEGDAIRAFMQQLEWSEQYNGDDDAPAPDDSMASRPQLCAPYDDDIDANLRAMEKDAAERPSPDYLDTVHNGQISAASRASLVAWMGRLTHRYELAAGTLHRAVSYFDRFLSARALPSYTEHQLSLVGATAVYTAAKYEDQGTVFKLDAREIASYGEFASAQEVLAMEREMMAALGYRLGGPNAETFVEHFTRYSKGKEELRVQRLARHIADRSLESYGCLGYLPSVVAAAVISIARWTLNPPGALPWSSELHELTGYSSQDISSCVLTVLNTQ >ORUFI02G24900.1 pep chromosome:OR_W1943:2:22327383:22348153:-1 gene:ORUFI02G24900 transcript:ORUFI02G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVPLFSSVLLALVVAVPADFDVGGRLLGVGLCSVRGDPNEHYNPHGDLYLRPFLQLDSVHQFESKFCHGCIDQYQTSINIEVELDLDALKSTSFFGVYDGHGGAEVAMYCAKQFHVMLREEESFLNNLPYAITSVCSRLDDELEAPNVWRASLYPHRSSESSSESSDCFQFLSTGSCANVWRSSEAVSYKLPSYEGSTACVVIIRGNQITVGNVGDSRCVLSKNGQAIDLSTDHKPNVPLERQRILRVGGQVWREKFPAKDSGGEIREQWGPYCIEGKLSTSRALAGIFLTTISGDFAYKNIVYRPQYQMVTHFPDIRVAKITGDTEFLVIASDGICSIQILIVDLNTFFPFRDHMSSQDVVDFVHEKLNSRRQELCQSLINQGKKRECFTEDSQLATNKNIAPNTTTLGEETLHTTCEKLVENCLESRNNATAILVQFKPGADQPIPALPNIQEGSDEVAGGADQPIPVLPNIQQVSDEVAGGTGQPIPVLPDIQEGSDEVAGGAAVAEQHQHNPEGGGEQQLDLDDALDGEALALLSASIRRPAARSLSGMAVARCSGGKPGEEGGCAVAEDEGVELADDDDGERGVGGGDGGSESFRTPARHPPVFFRKASLAMGASASSSVTSKLTNDGENQRVKYASSTMQGYCPTMQDALAVELDLDALRNTSFFGVYDGDGGAEVAMYCAKRFHAMLCEDENYLNNLPNAITSVCSRLDDDLQRSNEWKESLYPRGNGECFQFLKTGVCANLWHSEELGFMLPQQAYRAPLYEGSTACVVIIRGNQITVGNVGDSRCVVSHNGQAIDLSIDHKPTVGSERERILRAGERVLVKRIPVMGSDGRLMRGWGYFELKKNQNIPASQQMVTCDPEFTIVDITADTEFLVIATDGIWGHMSSQDVVDFIRKELHSGEENLRAICEKLLDHCLTSRDNVTVILVRFKPGAAVIPILSDIDEEPVLSDVEEEPHEPQQNPGDGGGGGGGQQDIGGESEELPLAHFPQEYSNSSAPLPMFDSFSGGGTPPIDTDTFLRGSAPSARCLRLHRHRKLRSHRRHRTPPVPLTPTAASSPSTEPLPLPEGGDHPVPPKKKIKVAPLLPERADQPVVTSNSATTTRPQLCAPYDDEIEATLRAMETNPAERPSPYFLETTQGGRMTALVRASMIAFMDEFSRFHELADGTLQRAAYFLDRYLSDLAELTGYKAIDLMGCVCDMYISNSPSPCANPGHYIALVTLRVAEEMRPPPPPPARAEQHGTGTVRVTRVKLLKPRDTLLLGQAYRLITVDEVTRVLQAKKEEKSRRAAAQHHLESKPAGAAGVGINSSGDDHTRPIRPAHFLSVSNSPSPCANPGNYIALVTLRVAEEMRPPLPPPARAERHGTGTVWVTRVKLLKPRDTLLLGQAYRLITVAHAARRW >ORUFI02G24900.2 pep chromosome:OR_W1943:2:22338648:22348153:-1 gene:ORUFI02G24900 transcript:ORUFI02G24900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVPLFSSVLLALVVAVPADFDVGGRLLGVGLCSVRGDPNEHYNPHGDLYLRPFLQLDSVHQFESKFCHGCIDQYQTSINIEVELDLDALKSTSFFGVYDGHGGAEVAMYCAKQFHVMLREEESFLNNLPYAITSVCSRLDDELEAPNVWRASLYPHRSSESSSESSDCFQFLSTGSCANVWRSSEAVSYKLPSYEGSTACVVIIRGNQITVGNVGDSRCVLSKNGQAIDLSTDHKPNVPLERQRILRVGGQVWREKFPAKDSGGEIREQWGPYCIEGKLSTSRALAGIFLTTISGDFAYKNIVYRPQYQMVTHFPDIRVAKITGDTEFLVIASDGICSIQILIVDLNTFFPFRDHMSSQDVVDFVHEKLNSRRQELCQSLINQGKKRECFTEDSQLATNKNIAPNTTTLGEETLHTTCEKLVENCLESRNNATAILVQFKPGADQPIPALPNIQEGSDEVAGGADQPIPVLPNIQQVSDEVAGGTGQPIPVLPDIQEGSDEVAGGAAVAEQHQHNPEGGGEQQLDLDDALDGEALALLSASIRRPAARSLSGMAVARCSGGKPGEEGGCAVAEDEGVELADDDDGERGVGGGDGGSESFRTPARHPPAVAFAIGVGSHGIMVICWIGGGANEHPQPHGDLRFVSLSESKSCDGCICQQFSNVEAY >ORUFI02G24900.3 pep chromosome:OR_W1943:2:22327383:22338645:-1 gene:ORUFI02G24900 transcript:ORUFI02G24900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERIRELIELDLDALRNTSFFGVYDGDGGAEVAMYCAKRFHAMLCEDENYLNNLPNAITSVCSRLDDDLQRSNEWKESLYPRGNGECFQFLKTGVCANLWHSEEAYRAPLYEGSTACVVIIRGNQITVGNVGDSRCVVSHNGQAIDLSIDHKPTVGSERERILRAGERVLVKRIPVMGSDGRLMRGWGVSRVQGLLHVSRAIGYFELKKNQNIPASQQMVTCDPEFTIVDITADTEFLVIATDGIWGHMSSQDVVDFIRKELHSGEENLRAICEKLLDHCLTSRDNVTVILVRFKPGAAVIPILSDIDEEPVLSDVEEEPHEPQQNPGDGGGGGGGQQDIGGESEELPLAHFPQEYSNSSAPLPMFDSFSGGGTPPIDTDTFLRGSAPSARCLRLHRHRKLRSHRRHRTPPVPLTPTAASSPSTEPLPLPEGGDHPVPPKKKIKVAPLLPERADQPVVTSNSATTTRPQLCAPYDDEIEATLRAMETNPAERPSPYFLETTQGGRMTALVRASMIAFMDEFSRFHELADGTLQRAAYFLDRYLSDLAELTGYKAIDLMGCVCDMYISNSPSPCANPGHYIALVTLRVAEEMRPPPPPPARAEQHGTGTVRVTRVKLLKPRDTLLLGQAYRLITVDEVTRVLQAKKEEKSRRAAAQHHLESKPAGAAGVGINSSGDDHTRPIRPAHFLSVSNSPSPCANPGNYIALVTLRVAEEMRPPLPPPARAERHGTGTVWVTRVKLLKPRDTLLLGQAYRLITVAHAARRW >ORUFI02G24900.4 pep chromosome:OR_W1943:2:22327383:22338645:-1 gene:ORUFI02G24900 transcript:ORUFI02G24900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERIRELIELDLDALRNTSFFGVYDGDGGAEVAMYCAKRFHAMLCEDENYLNNLPNAITSVCSRLDDDLQRSNEWKESLYPRGNGECFQFLKTGVCANLWHSEELGFMLPQQAYRAPLYEGSTACVVIIRGNQITVGNVGDSRCVVSHNGQAIDLSIDHKPTVGSERERILRAGERVLVKRIPVMGSDGRLMRGWGYFELKKNQNIPASQQMVTCDPEFTIVDITADTEFLVIATDGIWGHMSSQDVVDFIRKELHSGEENLRAICEKLLDHCLTSRDNVTVILVRFKPGAAVIPILSDIDEEPVLSDVEEEPHEPQQNPGDGGGGGGGQQDIGGESEELPLAHFPQEYSNSSAPLPMFDSFSGGGTPPIDTDTFLRGSAPSARCLRLHRHRKLRSHRRHRTPPVPLTPTAASSPSTEPLPLPEGGDHPVPPKKKIKVAPLLPERADQPVVTSNSATTTRPQLCAPYDDEIEATLRAMETNPAERPSPYFLETTQGGRMTALVRASMIAFMDEFSRFHELADGTLQRAAYFLDRYLSDLAELTGYKAIDLMGCVCDMYISNSPSPCANPGHYIALVTLRVAEEMRPPPPPPARAEQHGTGTVRVTRVKLLKPRDTLLLGQAYRLITVDEVTRVLQAKKEEKSRRAAAQHHLESKPAGAAGVGINSSGDDHTRPIRPAHFLSVSNSPSPCANPGNYIALVTLRVAEEMRPPLPPPARAERHGTGTVWVTRVKLLKPRDTLLLGQAYRLITVAHAARRW >ORUFI02G24910.1 pep chromosome:OR_W1943:2:22352266:22357580:-1 gene:ORUFI02G24910 transcript:ORUFI02G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSSKEATPPPEAWWTGETVAVVTGANRGIGHALAARLAEQGLAVVLTARDGARGEAAAAALRARGLRSVRFRRLDVSDPASVAAFASWLRDELGGLDILVNNAAVSFNKIDTNSVEHAETVLRTNFYGAKMLIEALLPLFRRSAANSRILNISSQLGLLNKVRDPSLRSMLLDEASLTEGKIERMASRFLAEVKDGTWSAPGRGWPAVWTDYAVSKLALNAYSRVLAARLARGGDRVAVNCFCPGFTRTDMTRGWGTRTAEEAGRVAAGLALLPPGDLPTGKFFKWCTPQLYSKL >ORUFI02G24920.1 pep chromosome:OR_W1943:2:22358683:22358880:-1 gene:ORUFI02G24920 transcript:ORUFI02G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITIAAFPERGKVFTRSTVVKEEEGTHRNDASKEGNGAEGVAIVKPAIRHGKAFAYGSLSSPTH >ORUFI02G24930.1 pep chromosome:OR_W1943:2:22362965:22363345:1 gene:ORUFI02G24930 transcript:ORUFI02G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGGTRRRRRGGQGGGDHDASDEATATSILASRPDEPSPLDLASLAKHSRRRHDSPPHPDEPPPPDLASLATPSSRLAAAARPRVVPRRDTATGSGDPCHTIVATRCHRAMPQLAAIVAHSQIR >ORUFI02G24940.1 pep chromosome:OR_W1943:2:22363126:22363491:-1 gene:ORUFI02G24940 transcript:ORUFI02G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMELGGDGLGTRRWQWTWPLLFSHATTALPASSSRRSPLTGALQVGAHRIWLWATIAASWGMARWQRVATMVWQGSPDPVAVSRRGTTRGRAAAASRDDGVAKLAKSGGGGSSGCGGES >ORUFI02G24950.1 pep chromosome:OR_W1943:2:22368801:22369596:1 gene:ORUFI02G24950 transcript:ORUFI02G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQSFVRFDGRYCVYRATPALGCGIAAAMFVLSGQAAVTAASGCFGRCRARRGEESSAPTPGEQRRRSVAAWLSVISCIRTRARGGDDHCRRRCRVLVATAVAMFLYGASRNAAVRRGLAAAALGRRGRRGRRRGGGHGRNVYGCAVLGSGLFSAASVASLAASACGIAAYVYVEADGESLTLTPTTPPPRPGGFAGAPGAATGGQPYFQPQVAYPATGYVANPAAAPPPPPYGGGGGGDYAGYVAKSREGTA >ORUFI02G24960.1 pep chromosome:OR_W1943:2:22372714:22376409:1 gene:ORUFI02G24960 transcript:ORUFI02G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGLPAELPIVDVAARLDGKSSELPENGALLKGAEESQDLGGNPVAELTLHEGKEVILVDDNDSEQEDGGSGKVDENAPRVGLRFKTYDDALKYYKQYAADSGFSAIILKSSYLKSGDELKATMYCDGMPTKVDGQFVTFVVKECSYMEDGKEKEGRNYEVYFCKQELVNCECECGFFQFTGILCRHILSVFKLQEMFEIPIRFVLDRWKRDYKKLHADALCKNDEMLPDVLPDGIIERHDILFTQFRQVLNLGFISEGRYLVALKLLRQAEKTLLDDGDRGRQAGLLSFEAEAPENDEGIFSPEFSEGVKNSQSTNAKRRGRPTKKLIESDSDTVLRPNKEQDFLRSSFVTDESNMIQGAPSASHLESPHLGVDLMAQADSQGYGNQWAFPTLQVFLQMYIYKEMTYMAIFQDNSMLRTAARRGV >ORUFI02G24970.1 pep chromosome:OR_W1943:2:22379567:22380972:-1 gene:ORUFI02G24970 transcript:ORUFI02G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRFPLCFVLLHTKNNSIREKVSCVLPLCHAILPRDPSSFVLTVKHGSDAWFMPGFMDSSLKNTNNMQFQRGDSSCRTPFIFFTMVRSTKKVDKVMEEMKPIIILSLLGGVSDDSAASYSTNWTLKQVVM >ORUFI02G24970.2 pep chromosome:OR_W1943:2:22379567:22380972:-1 gene:ORUFI02G24970 transcript:ORUFI02G24970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILRFPLCFVLLHTKNNSIREKVSCVLPLCHAILPRDPSSFVLTVKHGSDAWFMPGFMDSSLKNTNNMQVDKVMEEMKPIIILSLLGGVSDDSAASYSTNWTLKQVVM >ORUFI02G24980.1 pep chromosome:OR_W1943:2:22381038:22381336:1 gene:ORUFI02G24980 transcript:ORUFI02G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAQPGTRNACGQRPRERRCGFMIHHKLDSLDEHIYPLTGATGKELYPPHRRGVGSKIPEKPEWLRLVAQGRTGEIT >ORUFI02G25000.1 pep chromosome:OR_W1943:2:22389885:22396794:-1 gene:ORUFI02G25000 transcript:ORUFI02G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVIRRRKYLLDHVNAPTLSLSPFSTFQHGRSGSEDESRIGQRFLEQSSGDSKWEQGQYGVKLIKGDLLALGNGLLRRPAHGISLPAYGIGRKEFGLPMGARHLLQSVRTASTATAGQPKLDIEDEQSEDQKQNKRKKEASPEECDQAVEGLSSAKAKAKAKQVQESVKAGQSIVRKFWARLLGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKNLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVQTSRSGEIKQTAEDLDEFLNKVRRGEHVSNDEILNFAKLFNDELTLDNMSRPRLVNMCKYMGIRPFGTDHYLRFMLRKKLQDIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMRQQLRDWLDLSLNHAVPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKKKEKEEKAKQEKEEKAKLKEPKAAEEDLALKEMTGPTAREEEELREAKQHDKEKLCNFSRALAVLASASSVSKERQEFLSLVNKEIELYNSMLEKEGTEGEEEAKKAYMAAREESDKAAEVDEEEKVSSALIEKVDAMLQKLEKEIDDVDAQIGNRWQILDRDLDGKVTPEEVASAAAYLKDTIGKEGVQELVSNLSKDKDGKIRVEDIVKLASQTDENNEDEEEGRQ >ORUFI02G25010.1 pep chromosome:OR_W1943:2:22399638:22404467:-1 gene:ORUFI02G25010 transcript:ORUFI02G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAKLKRSISRQLSSGAARVWRQLSLEPVYTPRRAGAVGGGGGGGGGGGGGGGGGGARPTRFGLARQSSLDPTPREGGGAAEDGSGAAAMLAVPENLDATMRLLFAACQGDVAGVEELLRDGVDVDSIDLDGRTAMHIAACEGQGEVVRLLLSWKANMNARDRWGSTPAADAKHYGHFEVYNLLRARGAKTPKQKKTPMTVSNPKEVPEYELNPLELEFRRGEEGHYVARWYGSKVFVKILDKDSFSDANSINEFKHELTLLEKARHPNLVQFVGAVTQNVPMMIVSEYHQKGDLASYLETKGRLQPYKAIRFSLDIARGLNYLHECKPEPIIHGNLSTKSIVRDDEGKLKVAGFGSRSLIKVSEDNPQMDQTTSKFNSVYTAPEMYRNGTFDRSVDVFAFGLILYEMIEGTHAFHPKPPEEAAKMICLEGMRPPFKNKPKYYPDDLRELIQECWDPTPSVRPTFEEIIVRLNKISTSFTKQTRWRDTFKLPWKQASER >ORUFI02G25020.1 pep chromosome:OR_W1943:2:22408977:22413813:1 gene:ORUFI02G25020 transcript:ORUFI02G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASPHHLRPSPPAPACRAGGVPARAAAALRPWCPRVRRAVAAASSGGGGGEAVTAVSAAAVGAPASASRDTECRVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKAMFTIVVSGTDRVLNQVIEQLNKLVNVLNVEDLSKEPQVERELMLIKINVEPDQRPEVMVLVDIFRAKVVDISENTLTIEVTGDPGKIVAVQRNLSKFGIKEICRTGKIALRREKIGATARFWGFSAASYPDLIEALPKNSLLTSVNKTVNGSFDQPSNAGGDVYPVEPYEGSSMNQVLDAHWGVLDDEDSSGLRSHTLSILVNDCPGVLNIVTGVFARRGYNIQSLAVGPAEKSGLSRITTVAPGTDESIEKLVQQLYKLVDVHEVQDITHLPFAERELMLIKVSVNTAARRDILDIAEIFRAKSVDVSDHTVTLQLTGDLDKMVALQRLLEPYGICEIICANTVTVLTHIVSKTHSPHVARTGRVALVRESGVDSKYLRGYSFSL >ORUFI02G25030.1 pep chromosome:OR_W1943:2:22413891:22416250:1 gene:ORUFI02G25030 transcript:ORUFI02G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEAGNREKGRRIRILLRWLLEVWSSRVGIDRLIGEGGEELRRGRRRRSTDDAGEAEDRCAAAERGEEPEVEGVPARGPRRRPQGHLPHLQGSTGQ >ORUFI02G25040.1 pep chromosome:OR_W1943:2:22416359:22417570:-1 gene:ORUFI02G25040 transcript:ORUFI02G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVHPLLVAFLVVLLVGTCQARPAPGKAASSSSSGVVDGITAIYNFGDSISDTGNYLREGAAAGAMMEHTVAPPYGAAIGGATGRCSDGYLMIDYLAKDLGLPLLNPYLDKGADFTHGVNFAVTGATALDAAALARIGVAAPHTNSSLSVQLQWFRDFMSATTKSPAEVRDKLASSLVMVGEIGGNDYNYAFAANRPRPGGRSAADVGRMVTGVVESVVLVPEVVRSVVGAAREVLEMGATRVVIPGNFPLGCAPSYLAAVDETERAAYDGNGCLVGLNLFAQMHNVLLQQGIRELRRSYPEATVAYADYFGAYVRMLERAREMGFDGTALTNACCGAGGGKYNFEMERMCGAGGTAVCARPEERISWDGVHLTQRAYSVMAELLYHKGFASPAPVKFPHQ >ORUFI02G25050.1 pep chromosome:OR_W1943:2:22418038:22421348:-1 gene:ORUFI02G25050 transcript:ORUFI02G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTTSSSSNSQNPNPFNLPPWLRSLRCPFTFLCPPPPPPPPPPPPPPPPPPLEVVSPSPRWRRPGLRVTTEYDSEEAMFAHKVSCKVAGGLAKLRLSFQSDPSGQGLGEEGDPRRQLFVAPVVGLIAKNFSVLYDVEARNALLSGQGSLPGGAIQLRGSHDVKERQGEVSVITRLGDPSYKLELSSLVPYNGLPRATLHFPIGQVSVEERRNEADEKMLSLYGIAKSDFLDGILTAQYSENDINLRYCYKDDELTLIPSVSLPSNAVSLGFKRRFGPSDKLSYRYDFTTDDWNAVYKRTVGKDFKVKAGYDSEVRVGWASVWVGQEDGKAKTAPMKTKLQLMLQVPQDNFRNPTFLFRVKKRWDL >ORUFI02G25060.1 pep chromosome:OR_W1943:2:22426425:22427979:1 gene:ORUFI02G25060 transcript:ORUFI02G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKYIIGSVAASFAFAYVCEIYIAEGKLLGGTTTRTMATDEWGKETDKKFQAWPRTAGPPVVMNPVRRQNFIVKSSE >ORUFI02G25070.1 pep chromosome:OR_W1943:2:22434018:22434396:1 gene:ORUFI02G25070 transcript:ORUFI02G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRMGNPLTAVFLAVMVMSSTLPSCYAADEGTCYDVMFCRGDVCKLRCRYLGYPDNAPCYCKSKPDGSAQCCCQRSSL >ORUFI02G25080.1 pep chromosome:OR_W1943:2:22435365:22445136:1 gene:ORUFI02G25080 transcript:ORUFI02G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDHRPPVPHGLLHSHSHATGLRRLTTRGSVITTLRVSPATTSPSYPAVAPLPSAVLTHLAAAGVSVLPGLSNPELFRVASSFFLTSATSSRSTCHLDGFPNYCSPAGLRLLRFTKEEVPAAVATVLLVLPGSLVGRCSPPSPPLVPLYG >ORUFI02G25080.2 pep chromosome:OR_W1943:2:22440578:22442361:1 gene:ORUFI02G25080 transcript:ORUFI02G25080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVSGLAMLMLTRHHGHRQLSSCSCTHSDVEAAQIDRARWVDGSDTNRGAKKNLHPCRKLLLIDPTQRFPPPRVSGPSWDCSPPQRAALAQHQETAGGVSLFTAIAFIFIPMMQTLKHINLFDQARTGQLIAIGSSAHVLSI >ORUFI02G25090.1 pep chromosome:OR_W1943:2:22470500:22478062:-1 gene:ORUFI02G25090 transcript:ORUFI02G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIVVPYQHHSSPSQTTALLNQFPRMSTYNAHVEWPRRSVRRTSLILSEFDVYAVVGGNRPLHMRDIRVTVESDGAFVINFKGVRGSPMVCAICIRKTVAIAEQALDGQADQLRSVSQKYENANKLWAAAISNLENKIKVMKQEQTLLSLEARWPCKRFVQCEDLKLKYNEEMAKRKKLHNIVEETKGLQGAVVPSNSTNMGCLHGPPVLARASAGTAGLTAARGAGTVGRAQLFLLPRDDHDCCSHSGGHALQGSECELTTWSATKQVCLGIARLARSVSLVRHLVHFVIGSSSSTPSCADPAHGVPPFLRSSTGFLDVVLESDPVQWRDETVY >ORUFI02G25090.2 pep chromosome:OR_W1943:2:22470500:22478062:-1 gene:ORUFI02G25090 transcript:ORUFI02G25090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIVVPYQHHSSPSQTTALLNQFPRMSTYNAHVEWPRRSVRRTSLSDGAFVINFKGVRGSPMVCAICIRKTVAIAEQALDGQADQLRSVSQKYENANKLWAAAISNLENKIKVMKQEQTLLSLEARWPCKRFVQCEDLKLKYNEEMAKRKKLHNIVEETKGLQGAVVPSNSTNMGCLHGPPVLARASAGTAGLTAARGAGTVGRAQLFLLPRDDHDCCSHSGGHALQGSECELTTWSATKQVCLGIARLARSVSLVRHLVHFVIGSSSSTPSCADPAHGVPPFLRSSTGFLDVVLESDPVQWRDETVY >ORUFI02G25100.1 pep chromosome:OR_W1943:2:22471573:22473747:1 gene:ORUFI02G25100 transcript:ORUFI02G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCTRWNRSVRPPQVENYSQYRLWSEEGLQEVTNETDLANLAIPKQTCFVADHVVNSHSLPCKAWPPLWLQQSWSSLGSRNSWALPTVPAPLAAVKPAVPADALASTGGPCKQPIFVELDGTTAPCSPAPRDEHGVEQAD >ORUFI02G25110.1 pep chromosome:OR_W1943:2:22483075:22484504:1 gene:ORUFI02G25110 transcript:ORUFI02G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLYLMGVATPSYASLQQLRSLRANGHGGRPCLCQGQPSSASASASQQEGYTCVVFAAAVLRSWQRPLLHGVQIVGGTHPCMLVPSHFGMLSNLSKLDLGDNNPEASNDESVRAFGNCTNLRMLRLNHDQLEADISLEQLWSDGNHLAGVVPPSIGNFRGLADVQLSINNLTGRIEELIGKLNYLEFLDLQQNNFAGPIPSSIGYLSCHCYLWQRAALKVIY >ORUFI02G25120.1 pep chromosome:OR_W1943:2:22485515:22490037:-1 gene:ORUFI02G25120 transcript:ORUFI02G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAPSIDEAKAKSVLRQVEFYFSDSNLPRDKFLRETVEQREDGLVSLALICSFARMKSHLGLDAAVKPETVPEETVLAVAEVLRRSQMLRISEDGKMVGRASELLKADEIIEQVDSRTVAASPLPYNVKLEDVQSFFAQYAKVNSVRLPRHIANKKHFCGTALVEFSEEEKAKSVLENNLFFTGANLEIKPKKEFDAETESKKEAYEKAHPKKDEQNEGYPKGLIVAFKLKRITVDGGVQQNSADNDTATEEETPKSMKKTSTGESEERTTANSDTEEQKSSDDMTEAKEVNAGEATESGDKCTVDALLESEKKGDNETSSKDDRGLSGKANSPISREDLKEAFKKFGTVRYVDFSIGDDSGYLRFEDSKAAEKARMSAVLADEGGLIVKDHIVTLEPVTGETEKDYWNTIRGIQGKYKDNRSYKGRTGKSYRGGKQFNGKRGRHSDSSEKGANKTQKVEAAA >ORUFI02G25130.1 pep chromosome:OR_W1943:2:22494848:22496660:1 gene:ORUFI02G25130 transcript:ORUFI02G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEDKAMVGVGGAVAAGYSSSSWGLGTRACDSCGGEAARLYCRADGAFLCARCDARAHGAGSRHARVWLCEVCEHAPAAVTCRADAAALCAACDADIHSANPLARRHERLPVAPFFGPLADAPQPFPFSQAAADAAAAREEDADDDRSNEAEAASWLLPEPDDNSHEDSAAAADAFFADTGAYLGVDLDFARSMDGIKAIGVPVAPPELDLTAGSLFYPEHSMAHSLSSSEVAIVPDALSAGSAAPPMVVVVASKGKEREARLMRYREKRKNRRFDKTIRYASRKAYAETRPRIKGRFAKRTADADDDDEAPCSPAFSALAASDGVVPSF >ORUFI02G25140.1 pep chromosome:OR_W1943:2:22497399:22498042:-1 gene:ORUFI02G25140 transcript:ORUFI02G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLGAALFACCGCRNIRGLHMLLDVSTASGCAVVTVLRHFPGSQLFWSSSHILLQHSLYHASRHHCHDHMRYMCTTPTPGTGTPIRRFTWLCAKAVYASTEVTWLHYAAAAIKLPWHLQQKRLQ >ORUFI02G25150.1 pep chromosome:OR_W1943:2:22498068:22505978:-1 gene:ORUFI02G25150 transcript:ORUFI02G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSPRVFLSASATPPPPSAAASSSSPFLSTGHVSSAPWTVQYKQPGHTFYRRTHVQSFLAFASTDASEGKRSSGDNVVMVDPLEAKRLAAQQMQQIQAREKLKRRRRAEAINGALAMIGLTVGLVLEGQTGKGILAQLAGYLTALSRSSALMARVYVGNLDPRVTAREIEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAEDAIRDLDGKNGWRVELSTKAGSGRGRDRSGGSDMKCYECGEPGHFARECRLRIGSGGLGSGRRRSRSRSRSPRYRGRSRSRSPRYRRSPSYGRRSYSPRDRSPKRRSYSRSPPPARARSYSRSPPPPRERSYSRSPAQPANREESPYANNA >ORUFI02G25150.2 pep chromosome:OR_W1943:2:22498068:22506075:-1 gene:ORUFI02G25150 transcript:ORUFI02G25150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEERKTRGGRRRLLESIERGEEREAVVGSKNGVLSACVPLGVGYSSSSICCCFLFVSVPLHGACVLGSASEGKRSSGDNVVMVDPLEAKRLAAQQMQQIQAREKLKRRRRAEAINGALAMIGLTVGLVLEGQTGKGILAQLAGYLTALSRSSALMARVYVGNLDPRVTAREIEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAEDAIRDLDGKNGWRVELSTKAGSGRGRDRSGGSDMKCYECGEPGHFARECRLRIGSGGLGSGRRRSRSRSRSPRYRGRSRSRSPRYRRSPSYGRRSYSPRDRSPKRRSYSRSPPPARARSYSRSPPPPRERSYSRSPAQPANREESPYANNA >ORUFI02G25160.1 pep chromosome:OR_W1943:2:22506470:22508817:1 gene:ORUFI02G25160 transcript:ORUFI02G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATAIVAGHGLALRRSLHLSKPSCATFSARALPPAAHCCRTVVAAAAPSSRTCRCRSVSSESSTAAAADTADDEEEETKSDSEEEEMDPLAEVCYLDPEADAEGIREWELDFCSRPILDARGKKVWELVVCDATLSLQFTRFFPNTSINSVTLRDALASVATSLGVPLPDRARFFRSQMQTIISRACNELGVKAVPSRRCVSLLLWLEERYETVYSRHPGFQSGTKPLLTLDNPFPTSLPENLFGDKWAFVQLPFSAVREEVESLERRYAFGAGLDLDLLGFELDENTLIPGVAVESSRAKPLAAWMNGLEICSMEVDTGRANLILSAGVSTRYVYAGYQKSAATTQEAEAWEAAKKACGGLHFLAIQENLNSDGCVGFWLLLDLPPPPV >ORUFI02G25170.1 pep chromosome:OR_W1943:2:22511018:22512314:-1 gene:ORUFI02G25170 transcript:ORUFI02G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSGILFDAIVALAVSAGFPHAYPVSSYSDNHAASLIPQADYVWRIIVMFGTVPAALTYYWRMKMPETAWYTALIARNTKQAVADMSKVLHTQIEESVGHAETVRRWSSAARPEASSRASSCAATASTSSPPPAHPAAAVQPDGQWYLRAGEAKSLQVRRSVRDGGKRGGVRVAAPLAHVKLPLCRRTAAVAALDSDLPAEAVRHFSKVLDACRGGLPYPFATACLVGRAEVFRSFGKAADAIADCNRALALDPPCAPDGERERKRKRGRGKGDGRE >ORUFI02G25180.1 pep chromosome:OR_W1943:2:22530983:22538118:-1 gene:ORUFI02G25180 transcript:ORUFI02G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRSFWAYWAIPNGLFWSFVGFKCFECQRGRYTGASEKFVKYYRSLYHGEWQQGTAVAPTAEEAITHATYGRCYYSSRVVFRMQARLMAFASEAFRKVLIPGYRFLPTKNRMPWGMDREIKRGLVRLIGRRSGGDSGEEDETTTELKDKQDNGFNTRAIVLLAVHPDWQDRTRGSLQGGAVGGFRPLGRHSRCRCGVPARVPPRRGLRSGNAAAIPARVTPRRLRSEGGALARV >ORUFI02G25180.2 pep chromosome:OR_W1943:2:22530983:22537375:-1 gene:ORUFI02G25180 transcript:ORUFI02G25180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSWKFVGFKCFECQRGRYTGASEKFVKYYRSLYHGEWQQGTAVAPTAEEAITHATYGRCYYSSRVVFRMQARLMAFASEAFRKVLIPGYRFLPTKNRMPWGMDREIKRGLVRLIGRRSGGDSGEEDETTTELKDKQDNGFNTRAIVLLAVHPDWQDRTRGSLQGGAVGGFRPLGRHSRCRCGVPARVPPRRGLRSGNAAAIPARVTPRRLRSEGGALARV >ORUFI02G25190.1 pep chromosome:OR_W1943:2:22549480:22553089:1 gene:ORUFI02G25190 transcript:ORUFI02G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKIPLCSIQQIDALMESKGGKKKSSSSRSSLMYEAPLGYSIEDLRPAGGIKKFRSAAYSNLLPSDREGHQSCFLSSSSLASSFETMAILSVADSPPVSAIGFEGYEKRLEITFSEAPVFADPNGRGLHALSRAQIDSVLDLARCTIVSELSNEVFDSYVLSESSLFVYPYKIVIKTCGTTKLLLAILRILELAEELSLPLEAVKYSRGTFIFPEAQPSPHKNFSEEVAVLNRYFGGLKSGGNAYVIGDPAKPGQKWHVYYATQHPEQPVVTLEMCMTGLDKKKASVFFKTSADGHTTCAKEMTKLSGISDIIPEMEVCDFDFEPCGYSMNAIHGPAFSTIHVTPEDGFSYASYEVMGFNPASLAYGDLVKRVLRCFGPSEFSVAVTIFGGRNHAGTWAKGLDVGAYSCSNMVEQELPSGGLLIYQSFTATAEIATGSPRSVLHCFADENTEKAGKMEALYWEDDAVEEIDGTEGNELSTLYADLILCTNTTAIGKYDFCSAPITVLHLSVTQVVLQQGLRQGVLVVVGRSNAKESSFKNQFHMRSDFSYCHFMAKRAGMQQQQQQLQ >ORUFI02G25190.2 pep chromosome:OR_W1943:2:22549298:22553089:1 gene:ORUFI02G25190 transcript:ORUFI02G25190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSRSSLMYEAPLGYSIEDLRPAGGIKKFRSAAYSNLLPSDREGHQSCFLSSSSLASSFETMAILSVADSPPVSAIGFEGYEKRLEITFSEAPVFADPNGRGLHALSRAQIDSVLDLARCTIVSELSNEVFDSYVLSESSLFVYPYKIVIKTCGTTKLLLAILRILELAEELSLPLEAVKYSRGTFIFPEAQPSPHKNFSEEVAVLNRYFGGLKSGGNAYVIGDPAKPGQKWHVYYATQHPEQPVVTLEMCMTGLDKKKASVFFKTSADGHTTCAKEMTKLSGISDIIPEMEVCDFDFEPCGYSMNAIHGPAFSTIHVTPEDGFSYASYEVMGFNPASLAYGDLVKRVLRCFGPSEFSVAVTIFGGRNHAGTWAKGLDVGAYSCSNMVEQELPSGGLLIYQSFTATAEIATGSPRSVLHCFADENTEKAGKMEALYWEDDAVEEIDGTEGNELSTLYADLILCTNTTAIGKYDFCSAPITVLHLSVTQVVLQQGLRQGVLVVVGRSNAKESSFKNQFHMRSDFSYCHFMAKRAGMQQQQQQLQ >ORUFI02G25200.1 pep chromosome:OR_W1943:2:22553040:22558689:-1 gene:ORUFI02G25200 transcript:ORUFI02G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEVLSVGGIPLIGSFKMRKYFADYLFHMLMKTSRLIQPSGISLQLSANHHTLHVYLIKKKRPDSRAEAGCTNCSADSTCKDDCECRGLYMSCSKNCHCSDMCTNKPFRKDKKIKAVKTKRCGWGAISLEPLEKGDFIIEYVGEVINDATCEQRLWDMKRRGDKNFYMCEISKDFTIDATFKGNTQVDGETRVGVFASRSIQVGEHLTYDYRFVHFGEKVKCYCGAQNCQGYLGNQIKNPTQRALAIAALENEWLESLKIQQDTSASRHKPMTHLLPWTNCIEVPFNLRSKRKINRICWGCKRRRSAVAVTSPTSIQASVTEAAAAAAASLPALP >ORUFI02G25200.2 pep chromosome:OR_W1943:2:22553040:22558689:-1 gene:ORUFI02G25200 transcript:ORUFI02G25200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEVLSVGGIPLIGSFKMRKYFADYLFHMLMKTSRLIQPSGISLQLSANHHTLRLSDAKKRPDSRAEAGCTNCSADSTCKDDCECRGLYMSCSKNCHCSDMCTNKPFRKDKKIKAVKTKRCGWGAISLEPLEKGDFIIEYVGEVINDATCEQRLWDMKRRGDKNFYMCEISKDFTIDATFKGNTQVDGETRVGVFASRSIQVGEHLTYDYRFVHFGEKVKCYCGAQNCQGYLGNQIKNPTQRALAIAALENEWLESLKIQQDTSASRHKPMTHLLPWTNCIEVPFNLRSKRKINRICWGCKRRRSAVAVTSPTSIQASVTEAAAAAAASLPALP >ORUFI02G25210.1 pep chromosome:OR_W1943:2:22558702:22562337:-1 gene:ORUFI02G25210 transcript:ORUFI02G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSTVCLPLSPQPPSTAAAAGGELAGEQPAAAAVTTAAVAVSASAEAEAAGEAESASGGDGAPVLPVECRWSGRVRSFAGAGEGAGAAAVPACPAPRRGGGKKPSSAPSPSSTVATAPAHPSGRPFEEYVKEWKAKKAALGQKIPQISLLKASNALNMAVWSVSKRCFFGVVDGVRLQHIQNVHHGQ >ORUFI02G25220.1 pep chromosome:OR_W1943:2:22563588:22567031:1 gene:ORUFI02G25220 transcript:ORUFI02G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALISLSKLTRRLLPSLAVAHAPLPPLRRCLHAEPPPPPHHAPPPVASRILQSELTPGAGADADAEQEQQPAPDPLLDEFLARFVAALRPTLAAAFPTHARHVLDEMLRLVAEAVLCRLTGVEPEEGGAVELSDDLWAAVWEVSAAVRDGMQRDRVRAELRGYLHCEEVKEMTRFASDVGIRGEMLRELRFKWAREKLEEVEFYRGLDDMRAQAEAAANPVALPPPRLAALPQRKGEIKFKIHGLDLSDPTWGEVVERAAEAEAHFVPQEAKPIEGKAKKAEEKLMAVDPRKGDPAPAMEEWKEELLPKRADWMALLERLKARNVELYLKVAEILLADESFGATIRDYSKLIDLHSKANHVEDAERILGKMKENGIAPDVVTSITLVHMYCKVGNLEQANQAFQFLKGEGFPPDMKLFTSMIRAHLKSGEPKQAENLLREMERSIKPTKELFMDVILAFAQRGMIDGAERVKTSMLLAGFQLTPELYTSLIEAYGRGGHVGQAYTLFEQMRSSGHEPDDRCVAGMMVAYMMKNQLDHALSFLLKLEKDGLKPGVKTNLVLLDWLSRLQLVQEAEQVVQKIRKAGEEPLEMHVFLADMYAKSQQEERTRKSLKILEEKKKLLKAYHFERIIAGLLEGGFTEEANKYFKMMKSHGFVPSPTIEIGVKASFGVRGGVHPTGRHRG >ORUFI02G25230.1 pep chromosome:OR_W1943:2:22567763:22570478:-1 gene:ORUFI02G25230 transcript:ORUFI02G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHTIILMQPSQNRASRTFMDYNSINHALDGLCGLYERKIRDINPMVPNITYDITDLYNFIDGLADISALVYDHSIQAFLPYDRQWIKQKLFQHLKKLAQR >ORUFI02G25240.1 pep chromosome:OR_W1943:2:22573253:22578981:-1 gene:ORUFI02G25240 transcript:ORUFI02G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQADQAVISLRPGGGGGIGGPRAGRLFPFGASTGSLDFLRPRGGASSGFAAKLGDLRFEPLERVRYTRDQLVELHEIIDIPENILKLKQDIDIELHGEDEPWINNDSSVQTQSYNRYAETDNRDWRSRIEQPVQTPAIGGEEKSWDKFREAKESYISSGKQDQFNNQDKLSSQFSAKAQVGPGPALVKAKVPWSIQRGNLSNKERVLKTVKGILNKLTPEKFDLLKGQLIEAGITTADILKDVISLIFEKAVLEPTFCPMYAQLCFDLNEKLPSFPSEEPGGKEITFKRVLLNNCQEAFEGADNLRSEVNKLTGLDQEMERRDKERLVKLRTLGNIRLVGELLKQKMVPEKIVHHIVQELLGSESNTCPAEENVEAICQFFNTIGKQLDENPKSRRFNDVYFNRLKDLTTNSQLASRLRFMARDVLDLRSNQWVLRREEMKAKKISEIHREAENNLGLRPGSTASIRTGRTGTGGGGPLSPGAFSMNQPGIVGMLPGMPGARKMPGMPGLGSDDWEVPRSRSKPRADPVRNLTPSLANKPSPNNSRLLPQGSAALISGKTSALVGSGGPLSHGLVVTPSQTTGPPKSLIPAPSVDPIVEQPAAAPKPSSTELQKKTISLLKEYFHILLLDEAQQCIEELKSPDYYPEVVKEAINLALDKGTNSIDPLLRLLEHLYNKNVFKATDLETGCLLYSSLLDELAIDLPKAPVHFGEVIGRLVLSHCLSIEVVEDTLKKIEDSFFRAAVFEAMMKIMKANPSGQAILGSHVAKIDACSKLLSSE >ORUFI02G25250.1 pep chromosome:OR_W1943:2:22584921:22589367:1 gene:ORUFI02G25250 transcript:ORUFI02G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINVRGSTMVRPAEETPRVRLWNSSLDLVVPRFHTPSVYFFRRGEAAAAEGGSYFDGERMRRALAEALVPFYPMAGRLAHDEDGRVEIDCNGEGVLFVEADAPGATVDDFGDFAPTMDLKRLIPTVDYTDGISSFPILVLQVTHFKCGGVALGVGMQHHVADGFSGLHFINSWADLCRGVPIAVMPFIDRTLVRARDPPAPSHPHVEYQPAPAMLAPEPPQALTAKPAPPPTAVDIFKLSRSDLGRLRSQLPRGEGAPRYSTYAVLAAHVWRCASLARGLPAEQPTKLYCATDGRQRLQPSLPDGYFGNVIFTATPLAEAGRVTGSLADGAATIQSALDRMDSGYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSASGDGSLSVAISLQAEHMEKFRKMIFDF >ORUFI02G25260.1 pep chromosome:OR_W1943:2:22596491:22599901:1 gene:ORUFI02G25260 transcript:ORUFI02G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRTAAALAPPPSPARDPRRPSSAASSLAFARRAAARPLRAQPLLPPAARRVPGFVAGPLLRRRPRVGATEADEAAQTATEEDSETGETGADDADAATEETPSVIVTALQSYKEALIDDDETKVAEIEDFLFSIEEEKNSLLSKISTLGAELTTERDRILRISADFDNYRKRVEREKLSLMTNVQGEVIESLLPVLDNFERAKTQIKVETEQETKINDSYQSIYKQFIDILNSLGVEDVETVGKPFDPMLHEAIMREESVEYEEGVILQEFRKGFKLGERLLRPAMVKVSAGPGPEKPVYDDPAMVEDSVAPQKVKEAEDDGFDDDNAE >ORUFI02G25270.1 pep chromosome:OR_W1943:2:22601281:22606231:1 gene:ORUFI02G25270 transcript:ORUFI02G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAAAVGVLLPFPFYWALWNHPQRWVDLCGGGGGGVDPCRRMAQVSHVLKALQLLALASVASFSWPPPLYSVALLAVGQYLNFKVYQLLGEPGTYYGVRFGKKIPWVTEFPFGYIKDPQYVGSMLSLVALLCWVPFQYVLLWCLGYVFMMWVESKEDPATRAKLLS >ORUFI02G25280.1 pep chromosome:OR_W1943:2:22604008:22608981:-1 gene:ORUFI02G25280 transcript:ORUFI02G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKDPTKLSAYRDRRFTGTQEEYEAALQASVTVYVGNMSFYTTEEQAYELFSRAGEIRKIIMGLDKNSKTPCGFCFILYYSREDAEDAVKYISGTMLDDRPIRVDFDWGFEEGRQWGRGRSGGQMTEVTGREDYQRKRYRNDERSSQRAPDSEFKRDAIDSEKNPRFREKGRPAVIEIMKIVEELLLPALAADLTSRLVSLLIRSYRSRATTGVVEDDDLEKLQLLLLELHAAVDEGQGRHVTSQRLLLWLRELTQSMYRGYYVLDTFRYRSVSIQAQQQDDARGAAAKRLRTSAGAAVRLVLGSSSRDDDDSSRALARALAHLQAVLQNVTPFLQMLGTYRRVPRRVSVDTERCVPIGRHVEKQRIVAFLLKEDEAAAHVVGVLPIVGPRGTGKSTLIHDASHDARVRAHFAVIERFGLDEVLLPLHAAGAGAAAATDDTSSRSLEDHRIYLDAVHNIVRQERFARNRSLLILEDAPAVIEFAETAGVLPMAHGSKVVVTSEYDQTKAGLGATEEAIRTKKMSKEEYWYHFKALAFRGAANDPAGPAHPALAATAKEIASVLNGSFLGMRILIALMRSDPRECFWRAVLQSLVDLCRSSNSKRIKTDMGYVQEFALIGRIALKLVLPMRLTLRSCSITKQGGDPQLGPELNRVAGGIAYSCRRDDSGCVDVILCRSRIPPYEIYKLSCVMEEEVHLERAS >ORUFI02G25290.1 pep chromosome:OR_W1943:2:22636282:22637658:-1 gene:ORUFI02G25290 transcript:ORUFI02G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKRGRVRLNVGGRVFETTASTLASAGRDTMLGAMIDASWNHGGGGDGDGEGGADEYFIDRDPECFAVLLDLLRTGGLHVPPHVADGVLCREALYYGLLDRVRAARWGPFDGDRLRLAASVAGSAAGDGTAVRAAPDGGCCVAHGGAVRVYNWVMEERRAVHLDHAPVNDAAYLDEATLLVAARERPGTGRRDGGVAAFSALTGDLRHRFRVAHDRHVRSYTPGALAFDSRCKVFASCKGRFNEYGIGVWDCTTGEQADFFYEPPGCALGDADKLQWLDGTSTLMAATMFPRTDSSFISLLDFRDKKNVAWSWSDVGTPASLEDKHVLHAIAMEDGRSLCVINQYDDLGFLDVRSSGGAGGVRWRSRSKLAARKKKAAPRGEETCYPKLAAHGGQLFASTNDTISVFSGPDHVLTSTLRGSDAGAICDFSIGGDRLFALHNEENVVDVWETSPPPII >ORUFI02G25300.1 pep chromosome:OR_W1943:2:22641574:22647902:-1 gene:ORUFI02G25300 transcript:ORUFI02G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGELEGKLRDVGEKLQSPPDDVDALLKLIHEAEIYILKVEQAPSESMISAITPAMKALIKKELLDNSSYEVKLSVVSCISEITRITAPDTPYDDDVMKDVFSIMVGSFEKLDDMENPLFRRIVAILETVAKVRLCVVMLDLECEDLILQMFHNFFTTVKPNHPENVTNCMTTIMILVIEEDDEVEIPIAECLLKHAKSELKETSAASFELAEKVIGACSEKLKPVFLQLLKGTSLNEYDNIIATICEDSSDVKEDMDADPSGKDVVDDGKLSERTISDELPQEPAKLEQDVTQTTAIGSGATPVDNGTESSAANPKELSNPDSEKKDGVKQSAKVANGASAETSERVDGSPAMVKSKRGRPPGLKSLEKKAAGKKVLGLKKVEETTDSTGKLSKQSSKDDSKSSTRKASGAGSSKKQQKISLKQKDETDSKEDTAKDLSLKEMVSPKSVSKGSAKTKGSQGQDNNGSKRKRSQEDEQETPRSRKNKGLDASLVGARIQVWWPDDKKFYKGIVDSFDTASKRHKIAYDDGDVEVLLLRDEKWEFVSELLYQEQDKTPDVASEISPKPRGRGRKGRGSSVQLKEGNAETPKSGGGDLPKKRGRPKGSSNGTPKSNISATSSKSKGKAARKDDNETPKVGSDLKKEAEEGSEDKATKSTEKTKDDLPEDGSNKSASKPKEASSGGKDLKGESKPSEGRAKPGRKPKVAGAAVAGEESKANVSAEKEKQKEAEGEAAAEVEQGGSAGGASTGGKKRRRKA >ORUFI02G25310.1 pep chromosome:OR_W1943:2:22652628:22653215:-1 gene:ORUFI02G25310 transcript:ORUFI02G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVEKKSGSEMTVVRGLDVARYMGRWYEIASLPNFFQPRDGRDTRATYALRPDGATVDVLNETWTSSGKRDYIKGTAYKADPASDEAKLKVKFYLPPFLPVIPVVGDYWVLYVDDDYQYALVGEPRRKDLWILCRQTSMDDEVYGRLLEKAKEEGYDVEKLRKTPQDDPPPESDAAPTDTKGTWWFKSLFGK >ORUFI02G25320.1 pep chromosome:OR_W1943:2:22656182:22656651:-1 gene:ORUFI02G25320 transcript:ORUFI02G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDTLISMRTLISPCFRVRERDHVIIGQCRLLNVGYDCIANVPMQATVQDCEAQCFEGHPKLLVGSKKGAGKKAFTVARRKYQ >ORUFI02G25330.1 pep chromosome:OR_W1943:2:22659000:22663797:1 gene:ORUFI02G25330 transcript:ORUFI02G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALTRSSSVRSAIRRLGSSRAFSASAAAAPRRDARGAAAAAVAVAAGSGLGIWLLPPSPRPLADSGQAGNEVAAFGDVAEEEEREEKRRFLFGDSYRRRVFFNYEKRIRTRSPPEKIFEYFASIRNPEGEVYMLPADLMRAVVPVFPPSESKIVREGSLRGERNPGELHCAPSEFFMLFDTNGDGLISFAEYIFFVTLLSIPESSFNIAFKMFDLDHSGEIDKEEFKKVMALMRSYHRQGAAHRDGLRFGLKVGQSVENGGLVEYFFGKDGNEQLRYDKFSNFLKQLHDEIVRLEFSHYDVKSSKTISVKDFALSMIASADMNHINKLLDRVDDFDDYPDLKDLRITFEEFKAFADLRRKLEPFAMAIFSYGKVNGLLTKQDLKRAATHVCEVDLTDKVVDVIFLVFDANRDGSLSADEFLRALQRRESDIRQPASSDCDLVLGSENDHPLEFCKHDFAVNSLAYQSCRNM >ORUFI02G25340.1 pep chromosome:OR_W1943:2:22664053:22666213:-1 gene:ORUFI02G25340 transcript:ORUFI02G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPIQTSGAAANLAIANIAMEQSGRVAVPLTALALLAVVAAGVALPPAPSSGTGIQDGRGSEHSLRHVPDEPQKAPPTSVHARPINKKVTRGKIEAGLARSRAAIRRAARATPPSDESIRRRGSASTVVAAAGDDDYVPRGAIYRNARAFHRSYVEMERRFKIWTYREGEPPVAHIGPGTDIYSIEGQFMYEMDDPRSRFAARRPDDAHAFLLPISVCNLVHYVYRLNATGDLAPLRGLVADYVRVVAERYPYWNRSRGADHVIVSCHDWAPMVTSAHRQLYGNAIRVLCNANTSEGFRPRKDATLPEVNLADGVLRRPTAGLPPENRTTLAFFAGGRHGHIRESLLRHWLIGNKGGAAADGDGDGDMRVHEYLPAGEDYHAQMAAARFCLCPSGFEVASPRVVESVFAGCVPVIISEGYPPPFGDVLDWGKMSVAVPAARIPELRAILRRVSERRYRVLRARVLQAQRHFVLHRPARRFDMIHMVLHSIWLRRLNVRLPY >ORUFI02G25350.1 pep chromosome:OR_W1943:2:22667669:22673465:-1 gene:ORUFI02G25350 transcript:ORUFI02G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCSRKRSQLVHEDDSFQTSSRFSKTSSLKWLLLTLPRSNSDVSRKGQGKGPGRCPSLMELCVAKACEDINKYSSFSVLPRDLSQQIFNELVASNRLTETLLETFRDCALQDIDLGEYPGVNDAWMEVVASQRHSLLSVDISCSEITDSGLYLLRDCPNMQSLACNYCDMISEHGLGILSGLSNLTSLSFKSSDGITAEAMEAFANLVNLVNLDLERCLKIHGGLVHLKGLRNLESLNMRYCNNIADSDIKYLSDLTNLKELQLACCRITDLGVSYLRGLSKLTQLNLEGCPVTAACLEAISGLASLVVLNLSRCGIYGEGCENFQGLKKLKVLNLGFNNITDDCLAHLKELINLESLNLDSCKVGDEGLLHLRGLMLLKSLELSDTEVGSSGLQHLSGLRNLESINLSFTLVTDTGMKKISALNSLKSVNLDNRQITDVGLAALTSLTGLTHLDLFGARITDYGTSCFRFFKNLESLEVCGGLITDAGVKNIKDLKALKQLNLSQNVNLTDKTLELISGLTALVSLNVSNTRVSNAGLRHLKDLQNLRSLSLDSCRVTTSEVKKLQATVLPNLISVRPE >ORUFI02G25360.1 pep chromosome:OR_W1943:2:22679119:22688369:-1 gene:ORUFI02G25360 transcript:ORUFI02G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTPSPPAAAAAAAADDPSPSASDSPSATFSVERRGDASASCRWTLPDFPRTRARTFYSRYFEVGGFDCRLLLYPRGDSQALPGYLSLYLQVLDPKTPTSSSSATTTSSSSKWECFLSYRLSVAHPSPDPSKSLARDSWHRFSSKKRSHGWCDFAPSAAAAYLFPPHDSLVIAADISVLAEAASFAEADSRFTWKVLNFGTFREMVRTQKIMSPAFFPAANAGGSDCGLRISVYQSNVSGADHLSVCLESKEPLVQATSGSSASALPSSAGGSGVPDGDRGCWCLFRVSILNQKPGGSHIHKDSYGRFGADNASLGWGDYIKMDDFLAADGGYLLDGAVVFSASVHVIKESNSFTRSLPMIAGMSGAGSGRAGARKSDGHFGKFVWRIENFTRLKELLKKRKITGLCIKSRKFQVGNRDCRLIVYPRGQSQPPCNLSVFLEVTDPRNSSEWSCFVSHRLSVINQKLEERTIVKESQNRYSKSAKDWGWREFVTLTVLFDQDAGFLVQDTVVFAAEVLILKETATIQELSDEDSEACSSGSGCQIDSLPKRPSFTWKVENFLSFKDIMETRKIFSKYFQAGGCELRIGVYESFDTICIYLESDQPSGFDPDKNFWVHYKMAIINQKNSAKTVCKESSICTKTWNNSVLQFMKVSDLLDTDAGFLVRDTVVFVCEIIDCCPWFDFSDLEVLASDDDQDDLSTDPDDFIESEDSEDMSGDEEDMFRNLLSRAGFSLTYGENYTQPQVTLREKILTDASAIAGFLTGLRVYLDNPAKVKRMLLPTKVSTRAGGKKDVSKCDSSSTSLISLLMGVSVLKQAIIDLLLDIMVECCQPSEERPAYVSSSASSKTSPDSNGASSPPELNVEGELTECAFSNKYERLKPGNDDIHHRLSVQNTDKCTNDIPARVLEQSCSPPEVSGADLLEDEGSDQASRTKWPEQSEELLGLIVSSLRALDSAVPHGCPEPRRRPQSVQKIALVLEKSPKKLQPDLVALVPKLVDSSEHSLAACALLDHLQKPDTEPSLRLPVFNALSELEFDCDIWKQASFHALELLADSNDEPLVEAITYVLKAASQCQHIAQAARAVRWRLKDLGTEVPLCVLDFLSKTVHSWSDVADALLKDIDSDCEPDSSCLSMSCSTSSTDEFSAEGMHSLQGQAVHGKDHLSDVFILIEMLSIPRLFVEVSQRALLRGAFGLQLVAMVLERRHSHRLSLKSGTGTMVNDSQNKQVLLDGQFEHLPVQEDDFTSVLALGEVLSLSTETRVQDFVRMLYAIIFKIYTEDHYRYRILKGLVERATNTSDSCRAVDIDMDVLIFLVKEEFGIARPVLNMLREVAEVAQADRANLWHQICATEDENMHLREDMDMEQTKFTKEKDVLMQQLTESEAANAHLRSELKAEKDRFVREKKELSEQMLEMENQLEWVRSEKDEQIVKLTADKKNLHDRLHEAETQLSQFKAWKREELKKITKEKNALAERLKGVEASRKRVDDEFKRFVAEAQTREEIRKSLEGEVRRLTQTVGQTEGEKKEKEDQITRCEAYIDGMESKLQVCQQYIHTLETSIQEEMARHAPVYGVGVEALSLDELETLTNIHERGLRQIHAIRQRKGSSHRLSAPSLPHVPGLYSSPPSMAVGLPSSLIPTSSVAPNGAGIHGNGHMNGSMGSWFNPT >ORUFI02G25370.1 pep chromosome:OR_W1943:2:22695527:22701383:1 gene:ORUFI02G25370 transcript:ORUFI02G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFVIVSKNDIPIYEAEVGSAPKREDQAYQHQFILHAALDVVQDLAWATNTIFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKVRALARKYL >ORUFI02G25380.1 pep chromosome:OR_W1943:2:22703895:22704458:-1 gene:ORUFI02G25380 transcript:ORUFI02G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLIYFIHWQEGSARTCRVEIVIPRSSATIRTTPRFNRESTPCGFVAPLFYYYHLPSNRNQTHHTADLLFLAPLSTTVIKSKGQSQQQSCISRILVWLEEGWVFVVASEVATDVLIQSRKNDARWGQAVTQCRALPDLERDVRASANLHPPGFAPDLIR >ORUFI02G25390.1 pep chromosome:OR_W1943:2:22709198:22709621:-1 gene:ORUFI02G25390 transcript:ORUFI02G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFEKMGRRWLVQHGMAVADPRSLARPWDGITPPPPLGVIPLLGGIVLALTPPSTKNLSHAIVVIGGLMQWNRSSTGLGCLTRPSPRWFRFLLFGG >ORUFI02G25400.1 pep chromosome:OR_W1943:2:22725628:22731334:1 gene:ORUFI02G25400 transcript:ORUFI02G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAKAAAAACAEIAVTDAAAVPKPTPPPAAVAVDPRLQGISDAIRVVPHFPKQGIMFNDITPLLLRPGVFKDAVDIFVERYRGMAIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYVLEYGTDCLQMHVGAIEPGERVLIVDDLVATGGTLCAAIRLLERAGADVVECACLIGLPKFKECTWLHSLQIASERWTDIDKSAVHY >ORUFI02G25400.2 pep chromosome:OR_W1943:2:22725613:22731334:1 gene:ORUFI02G25400 transcript:ORUFI02G25400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEANCNVAMESAAPKENGHTTGGAAAEAKAAAAACAEIAVTDAAAVPKPTPPPAAVAVDPRLQGISDAIRVVPHFPKQGIMFNDITPLLLRPGVFKDAVDIFVERYRGMAIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYVLEYGTDCLQMHVGAIEPGERVLIVDDLVATGGTLCAAIRLLERAGADVVECACLIGLPKFKECTWLHSLQIASERWTDIDKSAVHY >ORUFI02G25400.3 pep chromosome:OR_W1943:2:22725613:22728454:1 gene:ORUFI02G25400 transcript:ORUFI02G25400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEANCNVAMESAAPKENGHTTGGAAAEAKAAAAACAEIAVTDAAAVPKPTPPPAAVAVDPRLQGISDAIRVVPHFPKQGIMFNDITPLLLRPGVFKDAVDIFVERYRGMAIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYVLEYGTDCLQMHVGAIEPGERVLIVDDLVATGGTLCAAIRLLGTHYFLI >ORUFI02G25410.1 pep chromosome:OR_W1943:2:22738001:22744653:1 gene:ORUFI02G25410 transcript:ORUFI02G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGALLPMSAEAGKGNGGGGGGGDDAALFKGSAMTRRGAVAALSYMACSGIILLTLATFQSAVLLVMFNKAALSSYNFPCANVITLLQMVCSTGLLYVLRRLKIISFTNSEPSVPSDALFFVPFRILLRTTPLSLAYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYFLAKQKHTPPIIGSVALIVFGAFIAGARDLSFDARGYAIVFVANITTAVYLATINRIGKSSGLNSFGLMWCNGLVCGPSVLFLTYIQGDLKKAIEFPYLYSPGFQAVLLFSCMLAFLLNYTIFWNTILNSALTQSMCGNLKDFFTVGIGWLNVIGQGLGFLGSGLYAYCKIKGK >ORUFI02G25410.2 pep chromosome:OR_W1943:2:22738001:22744653:1 gene:ORUFI02G25410 transcript:ORUFI02G25410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGALLPMSAEAGKGNGGGGGGGDDAALFKGSAMTRRGAVAALSYMACSVLLVMFNKAALSSYNFPCANVITLLQMVCSTGLLYVLRRLKIISFTNSEPSVPSDALFFVPFRILLRTTPLSLAYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYFLAKQKHTPPIIGSVALIVFGAFIAGARDLSFDARGYAIVFVANITTAVYLATINRIGKSSGLNSFGLMWCNGLVCGPSVLFLTYIQGDLKKAIEFPYLYSPGFQAVLLFSCMLAFLLNYTIFWNTILNSALTQSMCGNLKDFFTVGIGWLNVIGQGLGFLGSGLYAYCKIKGK >ORUFI02G25420.1 pep chromosome:OR_W1943:2:22742705:22744680:-1 gene:ORUFI02G25420 transcript:ORUFI02G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWCLSASASAAAPVAAAAAAPGALGASVASVSLARAAVPSRRRRRWDALVVCAAPDEEKITRRSPLDFPIEWEKPKPGRRPDIFPKFSPMKTPLPHPLPADDPLDDDEEEEEEEPQPQEEPQEDDPDKEEPEEDDPDKPTE >ORUFI02G25430.1 pep chromosome:OR_W1943:2:22760078:22764839:1 gene:ORUFI02G25430 transcript:ORUFI02G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANNWLGFSLSGQENPQPHQDSSPPAAIDISGAGDFYGLPTSQPTAADAHLGVAGHHHNASYGIMEAFNRGAQEAQDWNMRGLDYNGGASELSMLVGSSGGKRAAAVEETEPKLEDFLGGNSFVSEQDHHAAGGFLFSGVPMASSTNSNSGSNTMELSMIKTWLRNNGQVPAGHQPQQQQPAAAAAAAQQQAHEAAEMSTDASASSFGCSSDAMGRSNNGSAVSAAAGGTSSQSLALSMSTGSHSHLPIVVAGGGNASGGAAESTSSENKRASGAMDSPGGGAIEAVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEDKAARAYDLAALKYWGTTTTTNFPISNYEKELDEMKHMTRQEYIAYLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESSTLPVGGAARRLKEAADHAEAAGATIWRAADMDGAGVISGLADVGMGAYAASYHHHHHHGWPTIAFQQPPPLAVHYPYGQAPAAPSRGWCKPEQDAAVAAAAHSLQDLQQLHLGSAAAHNFFQASSSSTVYNGGGGGYQGLGGNAFLMPASTVVADQGHSSTDTNHGNTCSYGNEEQGKLIGYDAMAMASGAAGGGDQLAQGSAVPAVAGLGVDGEHREGERLLGQLELAFQWRHGMIDEEEQQELV >ORUFI02G25440.1 pep chromosome:OR_W1943:2:22766584:22768360:1 gene:ORUFI02G25440 transcript:ORUFI02G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPYSVHSQSPRLLVLDSPCPTESARRPSLSPSYEHRRRLRLRRRRPPAASAASSLSPLNSMAQVTATANARVFGFPASSRHGGPVGSRAGPAFLNLRAPALRHDSKKHPLRVGASFFSPSFTKYDPIKGIKPLLSVDKLRRRTQVGCRASLSSFSFPELETKPRWWWRTLACVPYLLPLHNMWSFADAVYQLHPYLQQFGLFYAFIDTMALVPGWLFLMIFMTVYFFVVRRKWLPHFLRYHVILAILLDTGSQALATMCNWNPSIVYQGKPMVFFWMTIAFIQISTVLECMRCALAGMYPSVPFVSQTAFIHSDMSMFR >ORUFI02G25450.1 pep chromosome:OR_W1943:2:22769094:22772212:-1 gene:ORUFI02G25450 transcript:ORUFI02G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRGEGGARPFHRPTRPASAEKRSMAPASKAERKAVLDAGAWMFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTLVMKWLGHIQPSYLPLPELVKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCILEILFDKVRYSRNTKLSIVLVLVGVAVCTVTDVSVNSKGLLAAVIAVWSTALQQHYVHHLQKKYSLGSFNLLGHTAPAQAASLLILGPFVDFWLTNRRVDTFNYTTIVTFFIVLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFLFFGKEGLNFHVVLGMILAVIGMIWYGNASSKPGGKERQVYSVPSEKTQKSSQSELDQKV >ORUFI02G25450.2 pep chromosome:OR_W1943:2:22769094:22771475:-1 gene:ORUFI02G25450 transcript:ORUFI02G25450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASKAERKAVLDAGAWMFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTLVMKWLGHIQPSYLPLPELVKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCILEILFDKVRYSRNTKLSIVLVLVGVAVCTVTDVSVNSKGLLAAVIAVWSTALQQHYVHHLQKKYSLGSFNLLGHTAPAQAASLLILGPFVDFWLTNRRVDTFNYTTIVTFFIVLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFLFFGKEGLNFHVVLGMILAVIGMIWYGNASSKPGGKERQVYSVPSEKTQKSSQSELDQKV >ORUFI02G25460.1 pep chromosome:OR_W1943:2:22774419:22779284:1 gene:ORUFI02G25460 transcript:ORUFI02G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMEGALRSCMEQLLIAREEREQIIVEAASEISSEKKKARELQRKLDAATKKAAKLAAENSSLAKALDAKDAAIGELRESKSASDGELAGARARLDAAQKQAASLQYEVRMLQKELEIRGQEREYDLQSVDASRRQQAESQKKIALLEGECQRLRAMVRKRLPGPAAIAKMRDEVDQPATPRRSRSVAPMSPRSVAPAAPMTPMSTSARPMTPTMSARPMTPMSAARPMTPRRAAAAEHETPAAAAKLRAVEDENKALKQTLAKRDGELQFVQMKYADEACKLSVLQRQLSELTEENKQLSDAHGQTQSWASALISELEQFRAAKLQGAAASEMSLLDDFAEIERLEMASGGQGLRSPKNAHSEAISSEKNGKDTVLENGVSNGQPEWVQDMCKLVMQKHETNGANIDTIIEEITRALDQSANNQKGDDLNGSYDWSIVKEMVSSLTEKITSVIGISEEGNVASSEKLLLDRSEFCARLEHLVHVCHDLLHGKTDLEKFVHEVCLVLEYIINQYKNISFQEQSDTVNNDTENLDGEGSFSNMNGGCDINSPKSASALDIQTEALKESIQSVEGRKTDHILVNQEESQLDEELTRVILDQDEKISQENSASCEIESPHDHPSAETLAEKEEKHLASSSEISAAAEKLAECQETITNLSRQLRALKSPAVSGNLDSPMSNSRPSSSDYKPQSLACILAEGEDSSTEDAISPATKEVHSKKEPDAASRKSVAQDGSVNAALKAVEDELTQTVVHPIFPEPSQETISADLKKKRRSPSLLGRIMFRKKVEGS >ORUFI02G25470.1 pep chromosome:OR_W1943:2:22781186:22782799:-1 gene:ORUFI02G25470 transcript:ORUFI02G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWLKLFLSVFLPIAALVAVAVLVYRRRSSSSRNAQPELPESVAGGGGGGDPAASPGLGKLNIRYNATSGRAGLRFQQLHHHHHGHVDVRHHHRGGGGGGGAQQGPFQWANHPRLVTEAAENGWAQFVFAVAPPRTRSASSSPLWGLCPACDSGTSRDMADAAWEVPAGSSERMQAVRLNPVVAAAAAAVSASTKKWLPGSIPSPLRGGDHDAAGNSNALCLARMSLPLPGPPLAGAPFPQDAYFEITIIYLNTRRPEWSASRASRRGRDGSSESDRAKLISFAPDAKNAVQETRAATKADDHHDKQRHTVMSLGLATAAPPRPSLAGTYASSIGFHSNGAVYLDGMKLVYESEKSSWAGVDKVVGCGFEPARRKVFFTVDGQLVHAVSCNADAFSAPMYPVLASSFDVMALVNLGQGKFWYTPANARRTANPCFLRAASAGDDGRRGGSLGLDFDDSGDLFSMGRVDSGWLETASRMSKSRKENGGGGGGASAGDPDADSDLFEISLRD >ORUFI02G25480.1 pep chromosome:OR_W1943:2:22785785:22788214:-1 gene:ORUFI02G25480 transcript:ORUFI02G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQAREEYADPAPPTTRQPFLFNLSRGELVQRQRLIDEAVERLRRCGCDIRRCRGGGVPSPERRQAELSLIVDHVTRALHHYNANNPGAEFDPVKALGYARALWVHVSFLARRRSSPVKPADEAAAAAADDDSDVKRGRKRRSRNKRNRAPPESPDKQFFAELRYDDYDSATVVTCTIIDKGKPHGFKTKCEFCPTSYGILHPGDGKYVCGKRNQRDEFFLLRNRLLSSDAILMAQERSREGATVSRAGPKQPGLGPPLH >ORUFI02G25490.1 pep chromosome:OR_W1943:2:22795678:22796055:1 gene:ORUFI02G25490 transcript:ORUFI02G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREDDRRRRNGGEGGGGGGMREWADGEEDTAAVAGREARRRLMARGEPRRRLGRWRRDCGLDSATRRSELMARRTWRRWRVEVRRRRGVGAVADESRADGFAPADGVEGSRGLDGGGYGWAGFL >ORUFI02G25500.1 pep chromosome:OR_W1943:2:22809243:22811070:-1 gene:ORUFI02G25500 transcript:ORUFI02G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVELDRDLAATREEAECEILNTWIWDKEIDLLQREIEEQDELSRLRREQEREDDLYVWRMIEERHGEPCHLEDHELEKIYGPPAYETDNDSDEGGVSFYSNGRNIFMKYGDGFRYEDGNPYYAADREETWEKQILRQMKFPIPDLSESWEGSLVVEGPFNLDPHLTFTDHLMPALPKWERRWLDRDGKEPCRRAIQVLGLNLSSPSNVPLEIYGIFAFRDTRNSQLRNYVFDYSRENPCKLKPGAHSLQPLMTPPRGIYAVGIVLIEGQKEEEIIKGQKEEDDQVLIDGYSIYAPSFYADFQKLIWHINAGHFGTIDLTIFAIPKAVLVDLEFEVCQIEDNHEHDSLAIVATYFNINTSFIIFNGKLGVGKLPPLTLSARHDTHFSITVYKYYNHSGCHPDGVVRNCRFGTEYDFEDFLCESLSFTPQKYGKSSKILARNLDGIQMAMKSTWSIMCEPCL >ORUFI02G25510.1 pep chromosome:OR_W1943:2:22820158:22886609:-1 gene:ORUFI02G25510 transcript:ORUFI02G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGPITSCSLRLRNNNILSDCSRRECGASATAIPEQQMEKANSTMYFNQAIIFSTPNGIYDMPDEPLFTLNLRLSHSNDLDRVHKSFTSKIDKARTYLTGRFRRIVKVKGSKRKEFILTPEGPDVFTIAFTDGTNTVTAIIEEKNMWLRGLQTADGHIFEFNDEHGNEGKDANLDDKTDEMNNQFNGNDKTRDEEVKDEEEAIKDQKLKVIKDPKMKAIKDRGMNKHCKQKEKSKAACGSKKKQVNRKDQLHPKKYIRGSVLLRHDSNYGSLLGPNRLPLFQMEEQQQEENSPVLLKQAPMASCYPMCSSTSRSSPPLLPKHPRSHVAVDDDEMVCGEVPAYKERVDSLAARPGYGSAGKKLSIFTNYFGVSIHPEPKLGVTKKAVLSEIVKLHGERVFRNKIPVFDARKSLYTAHALPIESETFVIKLDDDEDKTRIDLQDLQSYHTRRNASQGAIQAIDAVVRALLSSCLSAPGTIFSTKFGPIIDTQEGLEFWRGCYKGVRLSQIGPGINIDIPAAPFYKPLPVVEFVAELLNRTDVNQLFSTEEYDKVEKALQGVFVETTHRTDKTIRYKIKGLSVVPLEDLMFAEGAKENFTTTVVDYFQKRYKYKLKYIYWPCLQCGSSRDIFLPMEVCKILPGQRYCRKLTTRQAAKLLKATCERPHIRKIAIMKVRNNCNVERCVEFGIKVNGLPAIVRGRILPTPEVCKILPGQRYCRKLTTRQAAKLLKATCERPHIRKIAIMKVRNNCNVERCVEEFGIKVNGLPAIVRGRILPTPELKYHVSGNERTCVPTGGRWNMINKKLVNGGKVERWACLNFSKVPASIVKIFCSKLIKTCNFLGMHCEITTPAINCHSSGRKRQLWISKNGHWYHYGKIKRVCETKLGLVSQCCLPKNVKTDTNIKYLENIALKINVKVGGRNTVLQQAFVHNGIPFVSDIPTIIFGADVSHPPPGMYSSSIAGVVGSIDWPEVTTYRAVISAQLERQEIIGGLFHSTRDPKGCLKPDGMIRELMMNFYQRNRRKPERIIFYRDGISESQFSQVIIHEVDAIRKACLSLQEDYLPPITLVIVQKRHHTRIFPHTLCSNYTEQVAQIPSGMHDAPGNSRPTHYTVIFDENHFTADGLQLLTHNLXSPVYYAHLAAARGRSYLGKFGDGSSIGNEVSSELPEFLKVPKIADRILNTWIWDKEIDLLQREIEEQDELSRLRHEQEREDDLYVWRMIEERHGEPCHLEDHELEKIYGPPAYETDNDSDEGGVSFYSNGRNIFMKYGDGFSNVPLEIYGIFAFRDTRNSQLRNYVFDYSRENPCKLKPGAHCLQPLMTPPRGIYAVGIVLIEYRLIIKGQKEEEDQVLIDGYSIYAPSFYADFEKLTWHINTGHFGTIDLTIFAIPKAVLVDLEFEVCQIEDNHEHDSLAIVATYFNINTSFIIFNGKLGFINTTTIQVAIPMVLSGTVDSVHNMILRIFYVKACLLHLKNMERVQKYCPPYFSGKQ >ORUFI02G25510.2 pep chromosome:OR_W1943:2:22820158:22886609:-1 gene:ORUFI02G25510 transcript:ORUFI02G25510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGPITSCSLRLRNNNILSDCSRRECGASATAIPEQQMEKANSTMYFNQAIIFSTPNGIYDMPDEPLFTLNLRLSHSNDLDRVHKSFTSKIDKARTYLTGRFRRIVKVKGSKRKEFILTPEGPDVFTIAFTDGTNTVTAIIEEKNMWLRGLQTADGHIFEFNDEHGNEGKDANLDDKTDEMNNQFNGNDKTRDEEVKDEEEAIKDQKLKVIKDPKMKAIKDRGMNKHCKQKEKSKAACGSKKKQVNRKDQLHPKKYIRGSVLLRHDSNYGSLLGPNRLPLFQMEEQQQEENSPVLLKQAPMASCYPMCSSTSRSSPPLLPKHPRSHVAVDDDEMVSIHPEPKLGVTKKAVLSEIVKLHGERVFRNKIPVFDARKSLYTAHALPIESETFVIKLDDDEDKTRIDLQDLQSYHTRRNASQGAIQAIDAVVRALLSSCLSAPGTIFSTKFGPIIDTQEGLEFWRGCYKGVRLSQIGPGINIDIPAAPFYKPLPVVEFVAELLNRTDVNQLFSTEEYDKVEKALQGVFVETTHRTDKTIRYKIKGLSVVPLEDLMFAEGAKENFTTTVVDYFQKRYKYKLKYIYWPCLQCGSSRDIFLPMEVCKILPGQRYCRKLTTRQAAKLLKATCERPHIRKIAIMKVRNNCNVERCVEFGIKVNGLPAIVRGRILPTPEVCKILPGQRYCRKLTTRQAAKLLKATCERPHIRKIAIMKVRNNCNVERCVEEFGIKVNGLPAIVRGRILPTPELKYHVSGNERTCVPTGGRWNMINKKLVNGGKVERWACLNFSKVPASIVKIFCSKLIKTCNFLGMHCEITTPAINCHSSGRKRQLWISKNGHWYHYGKIKRVCETKLGLVSQCCLPKNVKTDTNIKYLENIALKINVKVGGRNTVLQQAFVHNGIPFVSDIPTIIFGADVSHPPPGMYSSSIAGVVGSIDWPEVTTYRAVISAQLERQEIIGGLFHSTRDPKGCLKPDGMIRELMMNFYQRNRRKPERIIFYRDGISESQFSQVIIHEVDAIRKACLSLQEDYLPPITLVIVQKRHHTRIFPHTLCSNYTEQVAQIPSGMHDAPGNSRPTHYTVIFDENHFTADGLQLLTHNLXSPVYYAHLAAARGRSYLGKFGDGSSIGNEVSSELPEFLKVPKIADRILNTWIWDKEIDLLQREIEEQDELSRLRHEQEREDDLYVWRMIEERHGEPCHLEDHELEKIYGPPAYETDNDSDEGGVSFYSNGRNIFMKYGDGFSNVPLEIYGIFAFRDTRNSQLRNYVFDYSRENPCKLKPGAHCLQPLMTPPRGIYAVGIVLIEYRLIIKGQKEEEDQVLIDGYSIYAPSFYADFEKLTWHINTGHFGTIDLTIFAIPKAVLVDLEFEVCQIEDNHEHDSLAIVATYFNINTSFIIFNGKLGFINTTTIQVAIPMVLSGTVDSVHNMILRIFYVKACLLHLKNMERVQKYCPPYFSGKQ >ORUFI02G25510.3 pep chromosome:OR_W1943:2:22820158:22886609:-1 gene:ORUFI02G25510 transcript:ORUFI02G25510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERGPITSCSLRLRNNNILSDCSRRECGASATAIPEQQMEKANSTMYFNQAIIFSTPNGIYDMPDEPLFTLNLRLSHSNDLDRVHKSFTSKIDKARTYLTGRFRRIVKVKGSKRKEFILTPEGPDVFTIAFTDGTNTVTAIIEEKNMWLRGLQTADGHIFEFNDEHGNEGKDANLDDKTDEMNNQFNGNDKTRDEEVKDEEEAIKDQKLKVIKDPKMKAIKDRGMNKHCKQKEKSKAACGSKKKQVNRKDQLHPKKYIRGSVLLRHDSNYGSLLGPNRLPLFQMEEQQQEENSPVLLKQAPMASCYPMCSSTSRSSPPLLPKHPRSHVAVDDDEMVCGEVPAYKERVDSLAARPGYGSAGKKLSIFTNYFGVSIHPEPKLGVTKKAVLSEIVKLHGERVFRNKIPVFDARKSLYTAHALPIESETFVIKLDDDEDKTRIDLQDLQSYHTRRNASQGAIQAIDAVVRALLSSCLSAPGTIFSTKFGPIIDTQEGLEFWRGCYKGVRLSQIGPGINIDIPAAPFYKPLPVVEFVAELLNRTDVNQLFSTEEYDKVEKALQGVFVETTHRTDKTIRYKIKGLSVVPLEDLMFAEGAKENFTTTVVDYFQKRYKYKLKYIYWPCLQCGSSRDIFLPMEVCKILPGQRYCRKLTTRQAAKLLKATCERPHIRKIAIMKVRNNCNVERCVEFGIKVNGLPAIVRGRILPTPEVCKILPGQRYCRKLTTRQAAKLLKATCERPHIRKIAIMKVRNNCNVERCVEEFGIKVNGLPAIVRGRILPTPELKYHVSGNERTCVPTGGRWNMINKKLVNGGKVERWACLNFSKVPASIVKIFCSKLIKTCNFLGMLLIVILPEERGNYGKIKRVCETKLGLVSQCCLPKNVKTDTNIKYLENIALKINVKVGGRNTVLQQAFVHNGIPFVSDIPTIIFGADVSHPPPGMYSSSIAGVVGSIDWPEVTTYRAVISAQLERQEIIGGLFHSTRDPKGCLKPDGMIRELMMNFYQRNRRKPERIIFYRDGISESQFSQVIIHEVDAIRKACLSLQEDYLPPITLVIVQKRHHTRIFPHTLCSNYTEQVAQIPSGMHDAPGNSRPTHYTVIFDENHFTADGLQLLTHNLXSPVYYAHLAAARGRSYLGKFGDGSSIGNEVSSELPEFLKVPKIADRILNTWIWDKEIDLLQREIEEQDELSRLRHEQEREDDLYVWRMIEERHGEPCHLEDHELEKIYGPPAYETDNDSDEGGVSFYSNGRNIFMKYGDGFSNVPLEIYGIFAFRDTRNSQLRNYVFDYSRENPCKLKPGAHCLQPLMTPPRGIYAVGIVLIEYRLIIKGQKEEEDQVLIDGYSIYAPSFYADFEKLTWHINTGHFGTIDLTIFAIPKAVLVDLEFEVCQIEDNHEHDSLAIVATYFNINTSFIIFNGKLGFINTTTIQVAIPMVLSGTVDSVHNMILRIFYVKACLLHLKNMERVQKYCPPYFSGKQ >ORUFI02G25510.4 pep chromosome:OR_W1943:2:22820158:22886609:-1 gene:ORUFI02G25510 transcript:ORUFI02G25510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERGPITSCSLRLRNNNILSDCSRRECGASATAIPEQQMEKANSTMYFNQAIIFSTPNGIYDMPDEPLFTLNLRLSHSNDLDRVHKSFTSKIDKARTYLTGRFRRIVKVKGSKRKEFILTPEGPDVFTIAFTDGTNTVTAIIEEKNMWLRGLQTADGHIFEFNDEHGNEGKDANLDDKTDEMNNQFNGNDKTRDEEVKDEEEAIKDQKLKVIKDPKMKAIKDRGMNKHCKQKEKSKAACGSKKKQVNRKDQLHPKKYIRGSVLLRHDSNYGSLLGPNRLPLFQMEEQQQEENSPVLLKQAPMASCYPMCSSTSRSSPPLLPKHPRSHVAVDDDEMVCGEVPAYKERVDSLAARPGYGSAGKKLSIFTNYFGVSIHPEPKLGVTKKAVLSEIVKLHGERVFRNKIPVFDARKSLYTAHALPIESETFVIKLDDDEDKTRIDLQDLQSYHTRRNASQGAIQAIDAVVRALLSSCLSAPGTIFSTKFGPIIDTQEGLEFWRGCYKGVRLSQIGPGINIDIPAAPFYKPLPVVEFVAELLNRTDVNQLFSTEEYDKVEKALQGVFVETTHRTDKTIRYKIKGLSVVPLEDLMFAEGAKENFTTTVVDYFQKRYKYKLKYIYWPCLQCGSSRDIFLPMEVCKILPGQRYCRKLTTRQAAKLLKATCERPHIRKIAIMKVRNNCNVERCVEFGIKVNGLPAIVRGRILPTPEVCKILPGQRYCRKLTTRQAAKLLKATCERPHIRKIAIMKVRNNCNVERCVEEFGIKVNGLPAIVRGRILPTPELKYHVSGNERTCVPTGGRWNMINKKLVNGGKVERWACLNFSKVPASIVKIFCSKLIKTCNFLGMLLIVILPEERGNYGKIKRVCETKLGLVSQCCLPKNVKTDTNIKYLENIALKINVKVGGRNTVLQQAFVHNGIPFVSDIPTIIFGADVSHPPPGMYSSSIAGVVGSIDWPEVTTYRAVISAQLERQEIIGGLFHSTRDPKGCLKPDGMIRELMMNFYQRNRRKPERIIFYRDGISESQFSQVIIHEVDAIRKACLSLQEDYLPPITLVIVQKRHHTRIFPHTLCSNYTEQVAQIPSGMHDAPGNSRPTHYTVIFDENHFTADGLQLLTHNLXSPVYYAHLAAARGRSYLGKFGDGSSIGNEVSSELPEFLKVPKIADRILNTWIWDKEIDLLQREIEEQDELSRLRHEQEREDDLYVWRMIEERHGEPCHLEDHELEKIYGPPAYETDNDSDEGGVSFYSNGRNIFMKYGDGFSNVPLEIYGIFAFRDTRNSQLRNYVFDYSRENPCKLKPGAHCLQPLMTPPRGIYAVGIVLIEYRLIIKGQKEEEDQVLIDGYSIYAPSFYADFEKLTWHINTGHFGTIDLTIFAIPKAVLVDLEFEVCQIEDNHEHDSLAIVATYFNINTSFIIFNGKLGFINTTTIQVAIPMVLSGTVDSVHNMILRIFYVKACLLHLKNMERVQKYCPPYFSGKQ >ORUFI02G25510.5 pep chromosome:OR_W1943:2:22820158:22886609:-1 gene:ORUFI02G25510 transcript:ORUFI02G25510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERGPITSCSLRLRNNNILSDCSRRECGASATAIPEQQMEKANSTMYFNQAIIFSTPNGIYDMPDEPLFTLNLRLSHSNDLDRVHKSFTSKIDKARTYLTGRFRRIVKVKGSKRKEFILTPEGPDVFTIAFTDGTNTVTAIIEEKNMWLRGLQTADGHIFEFNDEHGNEGKDANLDDKTDEMNNQFNGNDKTRDEEVKDEEEAIKDQKLKVIKDPKMKAIKDRGMNKHCKQKEKSKAACGSKKKQVNRKDQLHPKKYIRGSVLLRHDSNYGSLLGPNRLPLFQMEEQQQEENSPVLLKQAPMASCYPMCSSTSRSSPPLLPKHPRSHVAVDDDEMVCGEVPAYKERVDSLAARPGYGSAGKKLSIFTNYFGVSIHPEPKLGVTKKAVLSEIVKLHGERVFRNKIPVFDARKSLYTAHALPIESETFVIKLDDDEDKTRIDLQDLQSYHTRRNASQGAIQAIDAVVRALLSSCLSAPGTIFSTKFGPIIDTQEGLEFWRGCYKGVRLSQIGPGINIDIPAAPFYKPLPVVEFVAELLNRTDVNQLFSTEEYDKVEKALQGVFVETTHRTDKTIRYKIKGLSVVPLEDLMFAEGAKENFTTTVVDYFQKRYKYKLKYIYWPCLQCGSSRDIFLPMEVCKILPGQRYCRKLTTRQAAKLLKATCERPHIRKIAIMKVRNNCNVERCVEFGIKVNGLPAIVRGRILPTPEVCKILPGQRYCRKLTTRQAAKLLKATCERPHIRKIAIMKVRNNCNVERCVEEFGIKVNGLPAIVRGRILPTPELKYHVSGNERTCVPTGGRWNMINKKLVNGGKVERWACLNFSKVPASIVKIFCSKLIKTCNFLGMHCEITTPAINCHSSGRKRQLWISKNGHWYHYGKIKRVCETKLGLVSQCCLPKNVKTDTNIKYLENIALKINVKVGGRNTVLQQAFVHNGIPFVSDIPTIIFGADVSHPPPGMYSSSIAGVVGSIDWPEVTTYRAVISAQLERQEIIGGLFHSTRDPKGCLKPDGMIRELMMNFYQRNRRKPERIIFYRDGISESQFSQVIIHEVDAIRKACLSLQEDYLPPITLVIVQKRHHTRIFPHTLCSNYTEQVAQIPSGTVIDQDTCHPSVYYAHLAAARGRSYLGKFGDGSSIGNEVSSELPEFLKILNTWIWDKEIDLLQREIEEQDELSRLRHEQEREDDLYVWRMIEERHGEPCHLEDHELEKIYGPPAYETDNDSDEGGVSFYSNGRNIFMKYGDGFSNVPLEIYGIFAFRDTRNSQLRNYVFDYSRENPCKLKPGAHCLQPLMTPPRGIYAVGIVLIEYRLIIKGQKEEEDQVLIDGYSIYAPSFYADFEKLTWHINTGHFGTIDLTIFAIPKAVLVDLEFEVCQIEDNHEHDSLAIVATYFNINTSFIIFNGKLGFINTTTIQVAIPMVLSGTVDSVHNMILRIFYVKACLLHLKNMERVQKYCPPYFSGKQ >ORUFI02G25510.6 pep chromosome:OR_W1943:2:22820158:22886609:-1 gene:ORUFI02G25510 transcript:ORUFI02G25510.6 gene_biotype:protein_coding transcript_biotype:protein_coding MERGPITSCSLRLRNNNILSDCSRRECGASATAIPEQQMEKANSTMYFNQAIIFSTPNGIYDMPDEPLFTLNLRLSHSNDLDRVHKSFTSKIDKARTYLTGRFRRIVKVKGSKRKEFILTPEGPDVFTIAFTDGTNTVTAIIEEKNMWLRGLQTADGHIFEFNDEHGNEGKDANLDDKTDEMNNQFNGNDKTRDEEVKDEEEAIKDQKLKVIKDPKMKAIKDRGMNKHCKQKEKSKAACGSKKKQVNRKDQLHPKKYIRGSVLLRHDSNYGSLLGPNRLPLFQMEEQQQEENSPVLLKQAPMASCYPMCSSTSRSSPPLLPKHPRSHVAVDDDEMVCGEVPAYKERVDSLAARPGYGSAGKKLSIFTNYFGVSIHPEPKLGVTKKAVLSEIVKLHGERVFRNKIPVFDARKSLIDLQDLQSYHTRRNASQGAIQAIDAVVRALLSSCLSAPGTIFSTKFGPIIDTQEGLEFWRGCYKGVRLSQIGPGINIDIPAAPFYKPLPVVEFVAELLNRTDVNQLFSTEEYDKVEKALQGVFVETTHRTDKTIRYKIKGLSVVPLEDLMFAEGAKENFTTTVVDYFQKRYKYKLKYIYWPCLQCGSSRDIFLPMEVCKILPGQRYCRKLTTRQAAKLLKATCERPHIRKIAIMKVRNNCNVERCVEEFGIKVNGLPAIVRGRILPTPELKYHVSGNERTCVPTGGRWNMINKKLVNGGKVERWACLNFSKVPASIVKIFCSKLIKTCNFLGMHCEITTPAINCHSSGRKRQLWISKNGHWYHYGKIKRVCETKLGLVSQCCLPKNVKTDTNIKYLENIALKINVKVGGRNTVLQQAFVHNGIPFVSDIPTIIFGADVSHPPPGMYSSSIAGVVGSIDWPEVTTYRAVISAQLERQEIIGGLFHSTRDPKGCLKPDGMIRELMMNFYQRNRRKPERIIFYRDGISESQFSQVIIHEVDAIRKACLSLQEDYLPPITLVIVQKRHHTRIFPHTLCSNYTEQVAQIPSGTVIDQDTCHPSVYYAHLAAARGRSYLGKFGDGSSIGNEVSSELPEFLKILNTWIWDKEIDLLQREIEEQDELSRLRHEQEREDDLYVWRMIEERHGEPCHLEDHELEKIYGPPAYETDNDSDEGGVSFYSNGRNIFMKYGDGFSNVPLEIYGIFAFRDTRNSQLRNYVFDYSRENPCKLKPGAHCLQPLMTPPRGIYAVGIVLIEYRLIIKGQKEEEDQVLIDGYSIYAPSFYADFEKLTWHINTGHFGTIDLTIFAIPKAVLVDLEFEVCQIEDNHEHDSLAIVATYFNINTSFIIFNGKLGFINTTTIQVAIPMVLSGTVDSVHNMILRIFYVKACLLHLKNMERVQKYCPPYFSGKQ >ORUFI02G25510.7 pep chromosome:OR_W1943:2:22820158:22886609:-1 gene:ORUFI02G25510 transcript:ORUFI02G25510.7 gene_biotype:protein_coding transcript_biotype:protein_coding MERGPITSCSLRLRNNNILSDCSRRECGASATAIPEQQMEKANSTMYFNQAIIFSTPNGIYDMPDEPLFTLNLRLSHSNDLDRVHKSFTSKIDKARTYLTGRFRRIVKVKGSKRKEFILTPEGPDVFTIAFTDGTNTVTAIIEEKNMWLRGLQTADGHIFEFNDEHGNEGKDANLDDKTDEMNNQFNGNDKTRDEEVKDEEEAIKDQKLKVIKDPKMKAIKDRGMNKHCKQKEKSKAACGSKKKQVNRKDQLHPKKYIRGSVLLRHDSNYGSLLGPNRLPLFQMEEQQQEENSPVLLKQAPMASCYPMCSSTSRSSPPLLPKHPRSHVAVDDDEMVCGEVPAYKERVDSLAARPGYGSAGKKLSIFTNYFGVSIHPEPKLGVTKKAVLSEIVKLHGERVFRNKIPVFDARKSLYTAHALPIESETFVIKLDDDEDKTRIDLQDLQSYHTRRNASQGAIQAIDAVVRALLSSCLSAPGTIFSTKFGPIIDTQEGLEFWRGCYKGVRLSQIGPGINIDIPAAPFYKPLPVVEFVAELLNRTDVNQLFSTEEYDKVEKALQGVFVETTHRTDKTIRYKIKGLSVVPLEDLMFAEGAKENFTTTVVDYFQKRYKYKLKYIYWPCLQCGSSRDIFLPMEVCKILPGQRYCRKLTTRQAAKLLKATCERPHIRKIAIMKVRNNCNVERCVEEFGIKVNGLPAIVRGRILPTPELKYHVSGNERTCVPTGGRWNMINKKLVNGGKVERWACLNFSKVPASIVKIFCSKLIKTCNFLGMLLIVILPEERGNYGKIKRVCETKLGLVSQCCLPKNVKTDTNIKYLENIALKINVKVGGRNTVLQQAFVHNGIPFVSDIPTIIFGADVSHPPPGMYSSSIAGVVGSIDWPEVTTYRAVISAQLERQEIIGGLFHSTRDPKGCLKPDGMIRELMMNFYQRNRRKPERIIFYRDGISESQFSQVIIHEVDAIRKACLSLQEDYLPPITLVIVQKRHHTRIFPHTLCSNYTEQVAQIPSGTVIDQDTCHPSVYYAHLAAARGRSYLGKFGDGSSIGNEVSSELPEFLKILNTWIWDKEIDLLQREIEEQDELSRLRHEQEREDDLYVWRMIEERHGEPCHLEDHELEKIYGPPAYETDNDSDEGGVSFYSNGRNIFMKYGDGFSNVPLEIYGIFAFRDTRNSQLRNYVFDYSRENPCKLKPGAHCLQPLMTPPRGIYAVGIVLIEYRLIIKGQKEEEDQVLIDGYSIYAPSFYADFEKLTWHINTGHFGTIDLTIFAIPKAVLVDLEFEVCQIEDNHEHDSLAIVATYFNINTSFIIFNGKLGFINTTTIQVAIPMVLSGTVDSVHNMILRIFYVKACLLHLKNMERVQKYCPPYFSGKQ >ORUFI02G25510.8 pep chromosome:OR_W1943:2:22820158:22886609:-1 gene:ORUFI02G25510 transcript:ORUFI02G25510.8 gene_biotype:protein_coding transcript_biotype:protein_coding MERGPITSCSLRLRNNNILSDCSRRECGASATAIPEQQMEKANSTMYFNQAIIFSTPNGIYDMPDEPLFTLNLRLSHSNDLDRVHKSFTSKIDKARTYLTGRFRRIVKVKGSKRKEFILTPEGPDVFTIAFTDGTNTVTAIIEEKNMWLRGLQTADGHIFEFNDEHGNEGKDANLDDKTDEMNNQFNGNDKTRDEEVKDEEEAIKDQKLKVIKDPKMKAIKDRGMNKHCKQKEKSKAACGSKKKQVNRKDQLHPKKYIRGSVLLRHDSNYGSLLGPNRLPLFQMEEQQQEENSPVLLKQAPMASCYPMCSSTSRSSPPLLPKHPRSHVAVDDDEMVCGEVPAYKERVDSLAARPGYGSAGKKLSIFTNYFGVSIHPEPKLGVTKKAVLSEIVKLHGERVFRNKIPVFDARKSLYTAHALPIESETFVIKLDDDEDKTRIDLQDLQSYHTRRNASQGAIQAIDAVVRALLSSCLSAPGTIFSTKFGPIIDTQEGLEFWRGCYKGVRLSQIGPGINIDIPAAPFYKPLPVVEFVAELLNRTDVNQLFSTEEYDKVEKALQGVFVETTHRTDKTIRYKIKGLSVVPLEDLMFAEGAKENFTTTVVDYFQKRYKYKLKYIYWPCLQCGSSRDIFLPMEVCKILPGQRYCRKLTTRQAAKLLKATCERPHIRKIAIMKVRNNCNVERCVEFGIKVNGLPAIVRGRILPTPELKYHVSGNERTCVPTGGRWNMINKKLVNGGKVERWACLNFSKVPASIVKIFCSKLIKTCNFLGMLLIVILPEERGNYGKIKRVCETKLGLVSQCCLPKNVKTDTNIKYLENIALKINVKVGGRNTVLQQAFVHNGIPFVSDIPTIIFGADVSHPPPGMYSSSIAGVVGSIDWPEVTTYRAVISAQLERQEIIGGLFHSTRDPKGCLKPDGMIRELMMNFYQRNRRKPERIIFYRDGISESQFSQVIIHEVDAIRKACLSLQEDYLPPITLVIVQKRHHTRIFPHTLCSNYTEQVAQIPSGTVIDQDTCHPSVYYAHLAAARGRSYLGKFGDGSSIGNEVSSELPEFLKILNTWIWDKEIDLLQREIEEQDELSRLRHEQEREDDLYVWRMIEERHGEPCHLEDHELEKIYGPPAYETDNDSDEGGVSFYSNGRNIFMKYGDGFSNVPLEIYGIFAFRDTRNSQLRNYVFDYSRENPCKLKPGAHCLQPLMTPPRGIYAVGIVLIEYRLIIKGQKEEEDQVLIDGYSIYAPSFYADFEKLTWHINTGHFGTIDLTIFAIPKAVLVDLEFEVCQIEDNHEHDSLAIVATYFNINTSFIIFNGKLGFINTTTIQVAIPMVLSGTVDSVHNMILRIFYVKACLLHLKNMERVQKYCPPYFSGKQ >ORUFI02G25520.1 pep chromosome:OR_W1943:2:22829673:22833024:1 gene:ORUFI02G25520 transcript:ORUFI02G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQPKSSSCLIPCLLLLSCIAAMEAKPNSPELDQKSNQQNHVSYKPQPQDFPNERLYRSYLVIQRFKHTITCDPKNITATWTGYDICGKTSYRGFYCTTTSYGQDKKQLTITAVVFDGFGLCAPKLQGFVDKLPDVALFQADSNCFGGDIPFLGGLAYKYKFNIVGRKDGEAHFSYDEAKYPIVTAMINIGGIKINLMVSRSGSQKRVGMANAMTGKAMLINDNKMIGLLPANLSFSTLSYLAVANNRLTGSIPPSIAQAKDSLLELLLLNNQLSGCLPHELGMLTKAAVIDAGMNQLTGPIPSSFSCLTSVEQLNLGGNRLYGEIPDALCKLAAGPAGRLANLTLSSNYFTSVGPACLSLIKDSVLNVKNNCIPGFANQRRPAECASFLSQPKTCPAASATHVGCPAGAANAAAAAADRVAKDYSSYVTYATLHNGGRSRLAAAGPVLAFS >ORUFI02G25530.1 pep chromosome:OR_W1943:2:22848695:22851669:1 gene:ORUFI02G25530 transcript:ORUFI02G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSDLLNRCSHINPSVAPPFPSRDLAADAPSCCATSVPPGAPAPPSLLPSRCAASAAPPPLHAVLPSFLLCTSSFPSFRVSESWWNQYDLMVFVLHPRPCLGMDLGLAAAARPCAALVYCSFVIIVQSTISDQGVIFGEYVSEFSCSNGTFLQPPLCASVWRTKH >ORUFI02G25530.2 pep chromosome:OR_W1943:2:22848695:22851194:1 gene:ORUFI02G25530 transcript:ORUFI02G25530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSDLLNRCSHINPSVAPPFPSRDLAADAPSCCATSVPPGAPAPPSLLPSRCAASAAPPPLHAVLPSFLLCTSSFPSFRVSESWWNQYDLMVFVLHPRPCLGMDLGLAAAARPCAALVYCSFVIIVQSTISDQGVIFGEYVSEFSCSNGTFLQPPLCASVWRKINISTWFL >ORUFI02G25540.1 pep chromosome:OR_W1943:2:22889429:22895430:-1 gene:ORUFI02G25540 transcript:ORUFI02G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGINRVLEHHSNIPCAAQISLQASSLSTTVQIVEGELPILTKACDVLHTSHLKLDPREGKKEVKVLLSVDGLLSLSTQSSQIQNEAIQRNEKGKACQKNDKIYHKHEELKAKHKKLFENLVAEKKRKAMSIFPSTDPLLVLCNKAEEGVSLKESPDIDSETPRKGVEFDMDLASLRDKVDREVLNTWLWDNELDLLLRESEEQDEELNLQDSDSDELSDICGASDLYEYDSDVEYADADEGHSFFGYGHEFYYEDGNPYYAADREEKWENEILGKMEFPVPKANATCDFSFVWEGSLQVEGQFQVDPNRVSVEHLCASACYKLIQLTPTIYIFLVTLAFYGFSSTAEVGKPLGEWDGKEPCCRAIQVFSLDLSSPSNDSMEIYGTFAFRDVRNSQLRNCIFEYSRENPCKLNPGAHKLQPLITPSRGIYAVGLVLIEYFLIIKGQTEEEDEILIDGYSIYAPSFYGEFERLHWHIDTGHFSTLDLRMVSIPRAVLVRLEFEVYHIEENHQYNSLTIAATYNMFQGSFITFNGKLSVGKLPPFTLPVNHDGYMHIYVYAYQDQLDDARHTPDGVISDYFPGCVRFDLEEFLCESTSLTPQKDGTSTQMADNLDGIEMAIKATWSTLLDSC >ORUFI02G25550.1 pep chromosome:OR_W1943:2:22898650:22904169:-1 gene:ORUFI02G25550 transcript:ORUFI02G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCRITPGCPVQQIYSDLEKATDSDKFHADRKPAPCDSSSRRKSNATSLKVLLAKEMIKEVELKRKPPSVVARLMGLEEDLPADGPVLHQAKSGFRKSQSCNQLKALNKDLKQQEQHRLIKSTTQDIHPFCQEAVQYNDVYEVSEAQTRMSYFQDKISQKGGSSGNTSNRVDILRGSVMEKKCLGMAEKPFHSKDLQEALRVVSSNKDLFLKFLEEPNSIFSRQLVGSHTNLAPPQKKRITVLRPLGSFESDGTRQTGTEQIQEQNGAAMREFHQSSNFQEENPSLPSRIVLLRPTPGKPSLTNAKLTPRTTPFLSINPSDFRVALDGNGATLRSTKVEPGIIHNQQDGCHQGDESFLSSSYSNGYGGDESSLGDSEIDQNSDSDIDYIEDKGGSFSDSGGCSPVSKRTWHYTKRHGNPSPGSSFSKISHFAESSVTKEAKQRLSERWATVTCDQISQEQVELPRSTCTLGEMLSLQDVKNDDFINELPSVSTSRCYDRENELPTQAKYATACRKDEKNGERPMGLPRSTSVPLIPSTLNNMVANVKTSNHQGHERTKHVVASNKEKSFFRGRVSDFFFPRSKRTTRQISANHTSDLSSGNTEDCGGDSQQDANHDLDGNEKSTICKDILDICAVQSTNTSEGTTALTDVPASLDCRSGNLNKLGLNEVLNSTRDQPSPTSVLDAPSEDSSCNEPESSASTTSKNAKAVSRSSAIEAVACSLSWDDTTSESTLPGTRGQSSFLPDVDDDESECHVLVQNIMSSAGLDDAQSSMLFTGWHLPDCPLDPILFNKVLELREQSSYQRLLFDCVNVALVEIGENTLLSTFPWSKAHSKTWMDASSPALGIEVWSILKDWIYGERMFVVSRRDNAGIMIERVVKQEVEGTGWVKTMRTQLVDITEQIEGGVWEELLGEAVLDFVPACQR >ORUFI02G25560.1 pep chromosome:OR_W1943:2:22910331:22914774:-1 gene:ORUFI02G25560 transcript:ORUFI02G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRRRNPKSVPSGSSSMKPPRPPRGLSFQAPAVPRPLPEPSSPGGRPRKRVRFASEASSHQICVRQDANTGKRPQESHNVMPGRKLPAQKSTLSSEETPATPCKNEEIYHEQVNAKASHSEHGNNDTPQLNPHDYLTVHVHTPITQVSFEAVGISRNTEFFADILQRLGANSMIKKHRKESMRMMKVCGHTPTGTGCHFENMLDYRISDFDLRTKLRTEKESSSYATQESRQFMALPWGYNQGPSGSLDWKIDLPHRGNEARESMALPWVHTVGLPNSGWKRDTAHNQVSNLLLEDVQPHSEGKLASATELNCNVETRPCTYHGWVPMLSPGFSGSIPNRFFTPCQIEETHVVPYEISNTYRRPDPCNPLEQCFPPVGLDRQGQKEARFSHNYGAELLEQFTSSSVGLERQDQHGSELVNFDTGLLSSFDQLYAKCSASSFLDTRNGILNHSDFSYISNLAASESNDIVSNASMSCLNSIFSTSEHPFQLGSKSYLRNSLIQAFQTTYLELWIINIISDICLQRTAVVLCSWMQTIILVSKMEKACVTLPQNCGHQCIISNHGDDFGAVLGFMSEENTYNDLEDHCSFMLAEGNPNDLCTSDLPLFGLCSAMDGIREASVRLDGLRFILLADGTSMNVEGTEVYVDDVMRYSQMFT >ORUFI02G25570.1 pep chromosome:OR_W1943:2:22916443:22929167:-1 gene:ORUFI02G25570 transcript:ORUFI02G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKQRLAGVERLHEALEAAARRGLTSAEVTSLVDACMDLTKDGNFRVAQGGLQALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVRDAARQLLVTLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASIELPLQRVLLSPVLQLLNDLNQSVRDAAISCIEEMYRNMGSQFHEELQRHNLPSYMLKDINSRLDKIEPKARSSDGARMQYKVIERSVSANAKRGSPRKKSDSDITEKPVEPIRVHSEKELLREMEKIASALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLTLLKQLVPPLSAQLSDRRSSIVKQACHLLNMLSKELLGDFEACAEIFIPVLFKLVVITVLVIAESADNCIKTILRNCKVSRILPLIADTAKNDRSAILRARCSEYAILILEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPERSRRLFMSFDPAVQRIINDEDGGLQKRYPSPSLREKGVQLSRASSHASGTHLAGYSTSAIVAMDKSAAISSESSLSSRSLLSQSKKIGRTAERSIESVLSSSKQKVSAIESLLKGVSGRQNFSAMRSTSLDLGVDPPSSRDPPIPLAATASDHLSLQNSILLDSSLPSINNTRNGGSRLVDTVNPHVANKERSRIVTALCEKLFWEKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKVDSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSTAVLNFILNLSIEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYDQVDFGNSSEDGYALTPKSSYAFGRFSASSLDNASGKKMNMVHGSIFLDISTGRTSSDVSIDNVKQCFKPEAEVLATSRESKNIARTVVEAARSWTDYPGKSDATIDDENSTGTPRLEFGRLAVSDGRGAVISTSVEDAQEGNPLVELSSVKITPHTSNGPSIPQLIHQISNVSEVTSLDKREALQQLVTASTNNDNSIWTKYFNQILTTILEVLDDSDSSIRELSLSLVAEMLHNQKDPMEESIEIVLEKLLHVTKDVVAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVVCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANH >ORUFI02G25570.2 pep chromosome:OR_W1943:2:22916445:22929167:-1 gene:ORUFI02G25570 transcript:ORUFI02G25570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKQRLAGVERLHEALEAAARRGLTSAEVTSLVDACMDLTKDGNFRVAQGGLQALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVRDAARQLLVTLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASIELPLQRVLLSPVLQLLNDLNQSVRDAAISCIEEMYRNMGSQFHEELQRHNLPSYMLKDINSRLDKIEPKARSSDGARMQYKVIERSVSANAKRGSPRKKSDSDITEKPVEPIRVHSEKELLREMEKIASALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLTLLKQLVPPLSAQLSDRRSSIVKQACHLLNMLSKELLGDFEACAEIFIPVLFKLVVITVLVIAESADNCIKTILRNCKVSRILPLIADTAKNDRSAILRARCSEYAILILEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPERSRRLFMSFDPAVQRIINDEDGGLQKRYPSPSLREKGVQLSRASSHASGTHLAGYSTSAIVAMDKSAAISSESSLSSRSLLSQSKKIGRTAERSIESVLSSSKQKVSAIESLLKGVSGRQNFSAMRSTSLDLGVDPPSSRDPPIPLAATASDHLSLQNSILLDSSLPSINNTRNGGSRLVDTVNPHVANKERSRSPYLSSLSSESISGSSLPYARSSSGRSPYGSTMEESNDTWSTRRMPQMQMDRHYLDMTYRDASHRNLHNHQVPHFQRPLRKQVASRTSASSRHSFDDGHISSNDMSRYTDGPTSISDALSGGLSASSDWVARVTAFNFIQTLLQQGQKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKVDSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSTAVLNFILNLSIEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYDQVDFGNSSEDGYALTPKSSYAFGRFSASSLDNASGKKMNMVHGSIFLDISTGRTSSDVSIDNVKQCFKPEAEVLATSRESKNIARTVVEAARSWTDYPGKSDATIDDENSTGTPRLEFGRLAVSDGRGAVISTSVEDAQEGNPLVELSSVKITPHTSNGPSIPQLIHQISNVSEVTSLDKREALQQLVTASTNNDNSIWTKYFNQILTTILEVLDDSDSSIRELSLSLVAEMLHNQKDPMEESIEIVLEKLLHVTKDVVAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVVCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANH >ORUFI02G25570.3 pep chromosome:OR_W1943:2:22916445:22929167:-1 gene:ORUFI02G25570 transcript:ORUFI02G25570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKQRLAGVERLHEALEAAARRGLTSAEVTSLVDACMDLTKDGNFRVAQGGLQALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVRDAARQLLVTLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASIELPLQRVLLSPVLQLLNDLNQSVRDAAISCIEEMYRNMGSQFHEELQRHNLPSYMLKDINSRLDKIEPKARSSDGARMQYKVIERSVSANAKRGSPRKKSDSDITEKPVEPIRVHSEKELLREMEKIASALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLTLLKQLVPPLSAQLSDRRSSIVKQVLFKLVVITVLVIAESADNCIKTILRNCKVSRILPLIADTAKNDRSAILRARCSEYAILILEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPERSRRLFMSFDPAVQRIINDEDGGLQKRYPSPSLREKGVQLSRASSHASGTHLAGYSTSAIVAMDKSAAISSESSLSSRSLLSQSKKIGRTAERSIESVLSSSKQKVSAIESLLKGVSGRQNFSAMRSTSLDLGVDPPSSRDPPIPLAATASDHLSLQNSILLDSSLPSINNTRNGGSRLVDTVNPHVANKERSRSPYLSSLSSESISGSSLPYARSSSGRSPYGSTMEESNDTWSTRRMPQMQMDRHYLDMTYRDASHRNLHNHQVPHFQRPLRKQVASRTSASSRHSFDDGHISSNDMSRYTDGPTSISDALSGGLSASSDWVARVTAFNFIQTLLQQGQKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKVDSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSTAVLNFILNLSIEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYDQVDFGNSSEDGYALTPKSSYAFGRFSASSLDNASGKKMNMVHGSIFLDISTGRTSSDVSIDNVKQCFKPEAEVLATSRESKNIARTVVEAARSWTDYPGKSDATIDDENSTGTPRLEFGRLAVSDGRGAVISTSVEDAQEGNPLVELSSVKITPHTSNGPSIPQLIHQISNVSEVTSLDKREALQQLVTASTNNDNSIWTKYFNQILTTILEVLDDSDSSIRELSLSLVAEMLHNQKDPMEESIEIVLEKLLHVTKDVVAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVVCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANH >ORUFI02G25570.4 pep chromosome:OR_W1943:2:22916445:22924399:-1 gene:ORUFI02G25570 transcript:ORUFI02G25570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIASALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLTLLKQLVPPLSAQLSDRRSSIVKQVLFKLVVITVLVIAESADNCIKTILRNCKVSRILPLIADTAKNDRSAILRARCSEYAILILEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPERSRRLFMSFDPAVQRIINDEDGGLQKRYPSPSLREKGVQLSRASSHASGTHLAGYSTSAIVAMDKSAAISSESSLSSRSLLSQSKKIGRTAERSIESVLSSSKQKVSAIESLLKGVSGRQNFSAMRSTSLDLGVDPPSSRDPPIPLAATASDHLSLQNSILLDSSLPSINNTRNGGSRLVDTVNPHVANKERSRSPYLSSLSSESISGSSLPYARSSSGRSPYGSTMEESNDTWSTRRMPQMQMDRHYLDMTYRDASHRNLHNHQVPHFQRPLRKQVASRTSASSRHSFDDGHISSNDMSRYTDGPTSISDALSGGLSASSDWVARVTAFNFIQTLLQQGQKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKVDSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSTAVLNFILNLSIEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYDQVDFGNSSEDGYALTPKSSYAFGRFSASSLDNASGKKMNMVHGSIFLDISTGRTSSDVSIDNVKQCFKPEAEVLATSRESKNIARTVVEAARSWTDYPGKSDATIDDENSTGTPRLEFGRLAVSDGRGAVISTSVEDAQEGNPLVELSSVKITPHTSNGPSIPQLIHQISNVSEVTSLDKREALQQLVTASTNNDNSIWTKYFNQILTTILEVLDDSDSSIRELSLSLVAEMLHNQKDPMEESIEIVLEKLLHVTKDVVAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVVCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANH >ORUFI02G25570.5 pep chromosome:OR_W1943:2:22916443:22924399:-1 gene:ORUFI02G25570 transcript:ORUFI02G25570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIASALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLTLLKQLVPPLSAQLSDRRSSIVKQVLFKLVVITVLVIAESADNCIKTILRNCKVSRILPLIADTAKNDRSAILRARCSEYAILILEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPERSRRLFMSFDPAVQRIINDEDGGLQKRYPSPSLREKGVQLSRASSHASGTHLAGYSTSAIVAMDKSAAISSESSLSSRSLLSQSKKIGRTAERSIESVLSSSKQKVSAIESLLKGVSGRQNFSAMRSTSLDLGVDPPSSRDPPIPLAATASDHLSLQNSILLDSSLPSINNTRNGGSRLVDTVNPHVANKERSRSPYLSSLSSESISGSSLPYARSSSGRSPYGSTMEESNDTWSTRRMPQMQMDRHYLDMTYRDASHRNLHNHQVPHFQRPLRKQVASRTSASSRHSFDDGHISSNDMSRYTDGPTSISDALSGGLSASSDWVARVTAFNFIQTLLQQGQKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKVDSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSTAVLNFILNLSIEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYDQVDFGNSSEDGYALTPKSSYAFGRFSASSLDNASGKKMNMVHGSIFLDISTGRTSSDVSIDNVKQCFKPEAEVLATSRESKNIARTVVEAARSWTDYPGKSDATIDDENSTGTPRLEFGRLAVSDGRGAVISTSVEDAQEGNPLVELSSVKITPHTSNGPSIPQLIHQISNVSEVTSLDKREALQQLVTASTNNDNSIWTKYFNQILTTILEVLDDSDSSIRELSLSLVAEMLHNQKDPMEESIEIVLEKLLHVTKDVVAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVVCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANH >ORUFI02G25570.6 pep chromosome:OR_W1943:2:22924432:22929167:-1 gene:ORUFI02G25570 transcript:ORUFI02G25570.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKQRLAGVERLHEALEAAARRGLTSAEVTSLVDACMDLTKDGNFRVAQGGLQALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVRDAARQLLVTLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASIELPLQRVLLSPVLQLLNDLNQSVRDAAISCIEEMYRNMGSQFHEELQRHNLPSYMLKDINSRLDKIEPKARSSDGARMQYKVTQILPKNQWNP >ORUFI02G25580.1 pep chromosome:OR_W1943:2:22945425:22956007:1 gene:ORUFI02G25580 transcript:ORUFI02G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIIHSPRGPHAMAAMGHLGDPYALRSVADLPPPFRSVFGFRYFNSLQSECFPACFLSDVNMVISAPTGSGKTVLFELCILRLLSRFLSSEWRFNLIKGTLKTIYIAPMKALVQEKLRDWNMKLGSLGISCLEMTGDNEFYNTKSIHDADLILTTPEKFDSVSRHGIRDGGLGFFSDIALVLIDEVHLLNDPRGAALEAIVSRIKMLSRLGTMKIAPLANVRFIAVSATIPNIEDIAEWLAVPSEGIKRFGEEMRPVKLTTKVFGYAPARNDFLFERRLQSFIFNILMQHSRGKSALVFCSTRKGAQEAAQCLSQTASSLGYSNPFMKSMQQYEHLKEAALTCSDKQLQACLVHGVGYHNGGLCLKDRSVVEGLFLKGDIQILCTTNTLAHGINLPAHTVVINNKEKGLYVEYERSMVLQMCGRAGRPPFDDTGTIIIMTRRETVHLYENLLNGCEMVESQLLPCAVEHLNAEIVQLTNPQHYGIKKEIPRELLEKQMKDICVEKIHELGEYGLIWTDEDGFLLKPLEPGRLMTKFYLKFDTMKLIVKASACCTLEDLLHIICHSAEITWIQLRRNEKKLLNEINADKEGRLWFHVVGANGKRKKRIQTREEKIFILANDCLTGDPLVHDLSLNQEMNSICSNGCRVAKCMREYFIYKKNYKSAISSMLLAKCLHQKLWESSPFLLKQLPGIGIVTAKALKTAGIDSFESLATADARKIESVTGRNYPFGDSIKSYLPSLGPKIDINIEDAGNRQGKSIIIVTLTRLSQAVGSSKQNYADMVVGSEEDNAILFHEKINPYSVKLYVPCPPNARATLKVDVIFEEYVGLDIHKKHVVSREDFHVTKVFGIKKAEPLYNLPAESCLVSSKTTRTNQSKYHNGQNPLSKEVCVIEDDFRAKAPDKADNDLEILGTREYNNLASLEAPSFTLLHEEDYEDVPDVLASEPVEAECKSATNNTIFDHIRKKSRDFPNLMLSKSMDSSYEPLILKKMKTSGDQFGLDQSSLHAYEVTPMVFDRTEARVSPTNTDERCRNILTRTAETRSFQFTGKMDSISQKSEILNRTQGKNSTQLAGKKAFEKSKTPDENSLHFVGKRDSSSEKSKALSKTPDENSLQFLGKMDSSSEKSKFCFSSPLADFQPMQCTKQVAASVQPLTIQDYCKDILASAKSSGTGASFLDVKSVFSFL >ORUFI02G25580.2 pep chromosome:OR_W1943:2:22943705:22945624:1 gene:ORUFI02G25580 transcript:ORUFI02G25580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALVVPLLVGLLAVAAAPAPASAARAFFVFGDSLVDNGNNNYLITTARADAPPYGIDYPTHQATGRFSNGLNIPDIISEHLGAEPALPYLSPELRGDKLLVGANFASAGVGILNDTGVQFVNIIRIGDQLQYFREYQRKLRALVGEEQAKRIVNGALVLITLGGNDFVNNYYLVPMSVRSRQYAIQDYVPFIISEYRKILSRLYELGARRVIVTGTGPLGCVPAELALHSRRGECAAELTRAVDLYNPQLVNMVRGLNRAIGAEVFVTANTNRMNFDYISNPQNYGFTNVQVACCGQGPYNGIGLCTAASNVCDDREAFAFWDAFHPTEKANRIVVGQFMHGSTEYMHPMNLSTILAVDDEERRL >ORUFI02G25590.1 pep chromosome:OR_W1943:2:22955764:22967753:-1 gene:ORUFI02G25590 transcript:ORUFI02G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAVASLRLLARGGRRRARFPAPLSVPGGRAAFLSGAAEEVAQADAPPPPPPGRKVLESFREEFEIGGRVISFETGKMARFANGSVVISMDDTHVLSTVAAAKSSEPVRDFLPLTVDYQEKQYAQGVIPTTYMRREGAPKERELLCGRIIDRPIRPLFPPGFYHEVQIMVNVISSDGKQDPDVMAANASSAALMLSDIPWNGPIGVIRVGRIDGNFVLNPTVDELGLSDLNLVYACSRDKTLMIDVQAREITERDLQAGMKLAHAEAVKCINPQLRLAKRAGKKKKEYKISLISDKSYEKIRTLSEAPIEEVFTDSTYGKFERGEALENITQSVKAKLEEECDEDSLKFLHKAVDTVRKQVIRKRIIEKGLRVDGRQLDEVRPLYCESSTYPILHGSALFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPFSINEVAKRGGLNRREVGHDGLHGPIRLGDELRVWIEHKALDPTGFLLTNRLVFWERQWLSAGTLAEKALLAVLPPEGEFPYTVRVNSEVMASDGSTSMASVCGGSMALMDAGIPVREHVAGVSVGLVSEVDQTTGDISSYRILTDILGLEDHLGDMDFKIAGTRRGITAIQLDIKPAGIPLDIICESLEPARKARNQILDRMDQEISSARAFNDGSSPRLATLSFSSDSLRKLLFHRKKIEQETGARVSVSDGTVTIVAKTQPIMDKAIEKVEFLVGREIEVGRTYKGVVSSIKEYGAFVEFNGGQQGLLHISELSHDKVSKVSDVVSVGQVLSLTCIGQDLRGNIKLSLKATLPHAHEKKDLASNHTDPLPSQEVVGWTAVENMPSKDANAEPSISKDEDNMIEETPGCSTPAVIIRSAAECDAQDVTNDPKKKRPKVAKSSPKLSKPASERQEVKRTSAKKTSGASTTAKKNKKEKADSSNDVLDAIPEQNKSNIMNYSSPSNFRSGSMKLGDVVTAKVYQIRAYGLVLELSDGVRGMHKFAENGHKDFEVGEELLVKCSSFNAKGIPKYRTGRKIVAFSATRSHNDEACGSEAASDNRQEMNQKNEPKMRSSSRVAAMSNAGRRRRSLTSIAPSPVSSSSFPSGAREAQAPPSQLPSWKFSQVLGELPLAAAGEGHDNGTLQDDGDTISAIEFDGRGEHLAAGDHAGRVILFRRIDDESHPPPPPSRADLERTDYAAAAPPAYAYMAEFQSHEQELGDRREGEEAEMVRAAEQLVAVHARHQRPHRQALEASRQVSEHRSRKGNGQPRRRRSTPTSASLSEIALLGEGDSSVRNGYYYEWASKKARNYLSPDSAEHSEKAGEVGEGYTAKCRRVFARAHVYNINSISNNCDGETFVSADDLRINLWHLEVITSAEFHPSSCSLLAFGSSRGFVRLVDLRQSALCDRNVRIFQDRGNSVQPRTLFTEIISCISDVKFTGDGKYLLTRDYMNLKLWDLRVESSPVATYKVHEFLRPKLSELYNNDCIFDRFSCCSSKGGDYFATGSYRFLLLQHINLISGV >ORUFI02G25600.1 pep chromosome:OR_W1943:2:22981882:22984543:1 gene:ORUFI02G25600 transcript:ORUFI02G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRRLIVLDHQSYIDRPFSDVLILAVHRYEPSPPRTHTRNAPPPPPPPPYSSSAPPFSSKPPDLPRLRIRSTPRCSGIIRKEEEDMPLGLILSSLGRSMRRKRLSSLGILSSKRAPRDYYKGKNCKPTGFHTRKGGYVMVDEKLPRFVVPDLTDFKLKPYVSQCARDITASSASSTSAETSENKK >ORUFI02G25610.1 pep chromosome:OR_W1943:2:22987106:22989322:1 gene:ORUFI02G25610 transcript:ORUFI02G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAQSSSSSSRPSDSEQLEEPSKPVMALDKAKEIVASSPVVVFSKTYCPFCARVKRLLAELAASYKAVELDVESDGSELQSALADWTGQRTVPCVFIKGKHIGGCDDTMAMHKGGNLVPLLTEAGAIATPSL >ORUFI02G25620.1 pep chromosome:OR_W1943:2:22990418:22994268:1 gene:ORUFI02G25620 transcript:ORUFI02G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGEGDRVGGGAAVGGGQQFVDRSKVRILLCDSDPSSSREVLRLLCNCSYQVTCAKSPRQVINVLNCEAGEIDIILAEVDLPVSKCFKMLKYIARNKELRHIPIIMMSNRDEVSVVVKCLRLGAAEYLVKPLRMNELLNLWTHVWRRRRMLGLSEKNFFNDNFELALSEPSDANTNSTTLLSDDTDDKPKENINQETSTSNQHEYESNPSDAEPKQKGTPEGLPVSTEGGDQASSPGVMFSRPIKTNLRVAESSAFLAYVKSSTPTTSSFDSELQKGGNRLDSSDHRGNFSSTTDRSDTGTDVNIRDKEAFEMPVQYPVVCFSSSNLHLERSNEGQNDASGTPPVYHFPFYYPGMMDHGMTHPPVQNFQGNINNAQVHTPQTLLPQYNVYPQCHGVSMMPPFQYNPAGMSIQSNQLPTQNMWPQASSTPMPEETCSRSERRAAALAKFRLKRKERCFDKKVRYVNRKKLAETRPRVRGQFVRQANYTDITSTGDDISEDEDDDPSSREVEMSVTVCSSSGINSPLLRQLDPSPAIASATIITEAKSDAATTGITD >ORUFI02G25620.2 pep chromosome:OR_W1943:2:22990321:22994844:1 gene:ORUFI02G25620 transcript:ORUFI02G25620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGEGDRVGGGAAVGGGQQFVDRSKVRILLCDSDPSSSREVLRLLCNCSYQVTCAKSPRQVINVLNCEAGEIDIILAEVDLPVSKCFKMLKYIARNKELRHIPIIMMSNRDEVSVVVKCLRLGAAEYLVKPLRMNELLNLWTHVWRRRRMLGLSEKNFFNDNFELALSEPSDANTNSTTLLSDDTDDKPKENINQETSTSNQHEYESNPSDAEPKQKGTPEGLPVSTEGGDQASSPGVMFSRPIKTNLRVAESSAFLAYVKSSTPTTSSFDSELQKGGNRLDSSDHRGNFSSTTDRSDTGTDVNIRDKEAFEMPVQYPVG >ORUFI02G25620.3 pep chromosome:OR_W1943:2:22990418:22994268:1 gene:ORUFI02G25620 transcript:ORUFI02G25620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGEGDRVGGGAAVGGGQQFVDRSKVRILLCDSDPSSSREVLRLLCNCSYQVTCAKSPRQVINVLNCEAGEIDIILAEVDLPVSKCFKMLKYIARNKELRHIPIISKLSSYSSDAHARRVVVVTILIFDANYAVMSNRDEVSVVVKCLRLGAAEYLVKPLRMNELLNLWTHVWRRRRMLGLSEKNFFNDNFELALSEPSDANTNSTTLLSDDTDDKPKENINQETSTSNQHEYESNPSDAEPKQKGTPEGLPVSTEGGDQASSPGVMFSRPIKTNLRVAESSAFLAYVKSSTPTTSSFDSELQKGGNRLDSSDHRGNFSSTTDRSDTGTDVNIRDKEAFEMPVQYPVVCFSSSNLHLERSNEGQNDASGTPPVYHFPFYYPGMMDHGMTHPPVQNFQGNINNAQVHTPQTLLPQYNVYPQCHGVSMMPPFQYNPAGMSIQSNQLPTQNMWPQASSTPMPEETCSRSERRAAALAKFRLKRKERCFDKKVRYVNRKKLAETRPRVRGQFVRQANYTDITSTGDDISEDEDDDPSSREVEMSVTVCSSSGINSPLLRQLDPSPAIASATIITEAKSDAATTGITD >ORUFI02G25630.1 pep chromosome:OR_W1943:2:22994720:22996455:-1 gene:ORUFI02G25630 transcript:ORUFI02G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQYYINEKGDKVYTTKKESPLGVPTQSAHPARFSPDDKYSRQRYLLKKRFGLLPTQKPAPKY >ORUFI02G25630.2 pep chromosome:OR_W1943:2:22994722:22996071:-1 gene:ORUFI02G25630 transcript:ORUFI02G25630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQYYINEKGDKVYTTKKESPLGVPTQSAHPARFSPDDKYSRQRYLLKKRFGLLPTQKPAPKY >ORUFI02G25640.1 pep chromosome:OR_W1943:2:22998972:22999403:1 gene:ORUFI02G25640 transcript:ORUFI02G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRRPPVGLGGCRLGAAVLAAGSVGRRARAGREPGAGRRDDGSRTVACGRPPGDGRKARRDGERTWPCGRRRGAAATAGRMRLAGPQEPASREEWWGRERRSRAGGRPAGSRRRSAVAVTPSSGRGESARRARGETASLKRS >ORUFI02G25650.1 pep chromosome:OR_W1943:2:23001851:23003623:-1 gene:ORUFI02G25650 transcript:ORUFI02G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAVVMSDDPENERGDSHHCERRQLRREEAKEERERDQEIDEEICLCKSMEGQQFAWGREEGGWRKGPWTAQEDKLLVEYVMQHGEGRWNSVAKITGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESVILELHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRREMQQQSQLMQTGQQQQLGQDDDATSAVVDDNLAEVAPPAATSLTHDGELQIMQEMAPDMDDLLYYHPGDMSPYSYDDLLGSGGGECGAVAASAGAAASTSEGSSEELDGGAATWGSLWNLDDVVHDMMIDCAAGAGCCWGSFPPLQDQGLAFY >ORUFI02G25660.1 pep chromosome:OR_W1943:2:23013364:23014384:-1 gene:ORUFI02G25660 transcript:ORUFI02G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWQRGCRRQREQRRRGSGGGGAWRSVEARPVVWRPARPVEMQPAAWRGGRLGSVESGASRGVWRPARQRGVGCVTWSVEAGSAAWRRGWQREAGAACRGAAGGVEAGDGAWLCGRRWQRPSVQQGNVGDDRLRRGLNACEMSWTIVWPSMWGACVDAGESLAVPLAGSMTTTPLAPFPFLKALSWRSAICPTNLQVKTLLRPRTSGDDVTRRVLLGGIASGKFLTSMTIDGPFGSKAFFPWHSARPKPLGSASFYGRRHTLRLLLRMKLELLAVGVRRRLATMTCCSLFQRVGAGHVEEVALWWLG >ORUFI02G25670.1 pep chromosome:OR_W1943:2:23014415:23014756:-1 gene:ORUFI02G25670 transcript:ORUFI02G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGLVAAATLDPARANLRVEAGRSGAVVEAGGVQGARATAAGGRGGDRRRRGAGLAAVGGGRDWRWDVGHGGEGGGRRRRRGVGRGGEGSGGGVCDLGHHRIRVNHCRIWS >ORUFI02G25680.1 pep chromosome:OR_W1943:2:23016248:23018039:1 gene:ORUFI02G25680 transcript:ORUFI02G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRSPARSMDTSLSIAVAAAAVVLLLLVRGADAEIRTTLIVSDARPLILFEQFGFERGGKATISIRRSFWNLRRGSRRTAVDPSLMGFVLISGTQFPKINNASAYAAADPGDNGDDGGGSYCVLTSEYALPVLRLGDVPPGGVTTTVSIDDPDQYAVVFSNCQDGVEVTVDVYTEMYNVRDGISDGPRDYLPVGLRPLPTIYTVVSEVYFAFLALWACVCVRHRATVERIHAVMGALLLFKALKMACAAEDSWYVERTGTPHGWDVAFYVFGFFKGVLLFTVIILIGTGWSILKPYLQEREKNVLMIVIPLQVVENLLLVVIGETGPTGQDWVVWNQVFLLVDVICCCAVFFPIIWSIRSMREASKIDGKAALNLQKLTLFKRFYLVVVGYLYFTRIIASAFLALLSYKYQWGVNVAIEAASLAFYLFVFYNFQPVAKNPYLYIGDMVEDAAVEREMDDEGRF >ORUFI02G25690.1 pep chromosome:OR_W1943:2:23024805:23031005:-1 gene:ORUFI02G25690 transcript:ORUFI02G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTNWFIPMSAVIAPPAEHELPVHWAVAEPDNTQRRGVLGGVRLRIDTVCLVMVCMILIAVAFLAMMIVAIVKDWTQPASYSVAIDSVAGLDPETDLPRDTLNPEFNLTLRLASQRADMGVCFEAGTTVAVYYGGVLLAGAAVPALCAGPRPSAEEESVVAWGRGVPVPRLARDRLAGDLRGGGAAEFDVTLTVQRYTYAESWDVVLCSGKVGDAAALITPCSLYDENVQEPSLEPGYGGPRSDSTSPPLFQWHRNSGVGRSGRARLVRALEASKAPRLSVAVAIVSGLDPATDLARPAVDPQFNLTLRVASRSLLSRACVGVSSTAVAVSYHGVRLASAPVAPRVCAARRKSADAGPFVAWGSSVRLPGFARDSLAADMRNGAAAFDVALMDGHLVVCRGRRVGDADALQAPCVLTHVETGAAVPHTGTLQHIPFLRLQKLPICQINVYKNPGRRTPALSIKNARTKRIEYKQRLKINVQ >ORUFI02G25690.2 pep chromosome:OR_W1943:2:23018243:23024805:-1 gene:ORUFI02G25690 transcript:ORUFI02G25690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAPIQVQPAEPGPPPRRCSACVAVFITFVILSGLLFVLVLSAALFGGQKYYVAIDTASGLDPATDLPWPVLTPEFNLTLRVTSWSVVGAACLDPAMDVAVAYRGLALARASPPPRVCARGWGRTEQVPVVASSGNGVRLPGFALHGLEADAWGGAVAFDVALTMPPKGSDNRHQLWCRAVPVGDDGGLGIPCNANSDMPAATTIQMEASPADHWSPRHRRRCGCHGVNWCRVVSTAIVLLFVGLAVGGLFYLIAVSTRDLVYSASIDAVSGLDLDHPTLDPVFNLTVRLSSQNQVTNYPNCIWPGTTVEVTYRGVQLAIGSVEQLCVGARETKEQHVVAWGAGVRLPGSALDALAADARRGAEAFDVAVKIPTVIHSGYHSYDPRHVHLGTLVSCMSRRVGDDPVAALRTPCHASSTDIAASYPNKGRTQPGGAS >ORUFI02G25700.1 pep chromosome:OR_W1943:2:23031131:23041728:-1 gene:ORUFI02G25700 transcript:ORUFI02G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHEYVLRRSPNLERRPWVRSRSKNSRLSLVDAAPFDRRKKKKTMSTPMHSVYASACFWSSSRSCWCLCIRRPGTEGMAASPPDYFVAIDAVSGLDPSTDLGRPALDPAFSLTVRVASRSSAHGACVRAGTSVLVSYRGVPLAGGRAPADPELCAGPMGAAEDGSVVARGSGVRVPGPQLDALAEDMRRGEALFEVTLAMPYYGQRKVASCWDRVGDAAALRVPCDVSLVDPRRLAGITGFSDLPTMPQYSVEIASVSGLDPATDLHAGAALDPVFNLTVGIASKGEYRGVCIEPRTAVKVSYSYLGLPLAGGRVPEVCAGPKEPAEKRTVVARGVGVSVPGYMLDSLAEDMRSGKAVFEVKLIKGDGDRYSRTVATCWARVGRGLDDRCI >ORUFI02G25710.1 pep chromosome:OR_W1943:2:23041952:23042676:1 gene:ORUFI02G25710 transcript:ORUFI02G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSREYLGERLVDSYDGGRGGEDCCEMMKGFCWNILPGILGALTALVLMSALLYYPYKWSIDDGKSPEFSVAVVGVSGLGPDRDLGRPTLDPTFDLTVRIKEPRRYSVACVERGTTAAVSYRGVQLASGPAPELCGRNENTTEARSCMQTVIECKPRVGSGEFSPRCGVTTDFPTFPDSPDMPYPQYQVLQPGRRLLR >ORUFI02G25720.1 pep chromosome:OR_W1943:2:23044183:23049058:-1 gene:ORUFI02G25720 transcript:ORUFI02G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMWRKAKKALGAGLCVRLPAVAGDWEDGVSERRASDALSQDASSAAATAAHVSAPNTPAAALPGAPLRRSKSGTKSAKGMCAICFDPMKSGHGQALFTAECSHMFHFHCISSSVKHGNYVCPVCRAKWKEIPFNRSLSSIVPCGRSGLNVNQARLPQQGTYMALLRQVPSHHREASGSHTSEPVDFNDDEPLQLIESGDSRDARCSRAVEIKTYPEFSAIPQSSSEDDFAVLIHLKAPCANPEQVTGRPFNATSIGYPTSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQHLGPSDRLSVIAFSSTARRLFHLRRMSHSGRQKALQAVNLLGAGGGTNIADALKKAAKVIEDRNYKNPVCSIILLSDGQDTYNISSNVRGTRPDYRSLVPSSILNHTICTVPVHGFGFGADHDSDALHSIAESSGGTFSFIEDESVIQDAFAQCIGGLLSVVVQDMRLTVECVHPSVQLHTIKSGSYLSKVAGDGRNGSIEVGHLYADEERDFLLSLSFPQSRDQTMLLKVACAYRDSVTNEAIKIQADEVKILRPKSPTSEPVCMEVDRERNRVRAAEAIEAARAAAERGALSDAVAILEHCRRILSESFSRKSGDRLCISLDAELKEMQDRMASRQRYEASGRAYLLSGLSSHSWQRATTRGDSTDSTTLVYSYQTPSMVQMLQHSQNQCPSPPGPRPQLRQTRSLLEKPHPR >ORUFI02G25730.1 pep chromosome:OR_W1943:2:23061859:23068090:1 gene:ORUFI02G25730 transcript:ORUFI02G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDETAVEAALGLSASFFVNSVLNAVDDVRYGAFEYCLQEGAPEAVGAAMATQKAEELERGVISIHNLVKDLLDKRMSNWEKYCLRHCFAIPEGFLTREDDIPAKKSLNDGNSDSDLDAELVSLRKKLEDANNESEELQKELSSLERQAECQRNLDSSMAELLKVFESKAFQDNFQDLVNVIPLFQRKLEGMKRKIVENIDDQIDWNVNGHHKRLESGFTARTEDSQDVVNVLKKY >ORUFI02G25730.2 pep chromosome:OR_W1943:2:23065976:23068090:1 gene:ORUFI02G25730 transcript:ORUFI02G25730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTTRRPHPRHRSGRAPPPGPPPPLRPRHPAPPLPSPQWSRKNPSHPAASAADDDMDDDAITALMDIDDSPRSSGAGAVFLDDEEDAEVA >ORUFI02G25740.1 pep chromosome:OR_W1943:2:23071017:23072234:1 gene:ORUFI02G25740 transcript:ORUFI02G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNPDTMDTDPPGGGGTLSIAVERNPPESRLLQLGVKSWPKWGCPTGKFPVKFDARETCYLVKGKVRAHIKGSSECVEFGAGDLVVFPKGLSCTWDVLAAVDKYYKFDSS >ORUFI02G25750.1 pep chromosome:OR_W1943:2:23078046:23079549:1 gene:ORUFI02G25750 transcript:ORUFI02G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCLDSLGPLLGGAANSTDAANYICNRFTDTSSAVDATYLLFSAYLVFAMQLGFAMLCAGSVRAKNSMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSKGFIGKQFFGLKHMPQTGYDYDFFLFQWAFAIAAAGITSGSIAERTRFSAYLIYSAFLTGFVYPVVSHWFWSTDGWASAGRLTGPLLFKSGVIDFAGSGVVHLVGGIAGLWGAFIEGPRIGRFDAAGRTVAMKGHSASLVVLGTFLLWFGWFGFNPGSFTTISKIYGESGTIDGQWSAVGRTAVTTSLAGSVAALTTLYGKRWLTGHWNVTDVCNGLLGGFAAITAGCSVVDPWASVICGFVSAWVLIGCNKLSLILKFDDPLEATQLHAGCGAWGIIFTALFARREYVELIYGVPGRPYGLFMGGGGRLLAAHIVQILVIVGWVSATMGTLFYVLHRFGLLRVSPATEMEGMDPTCHGGFGYVDEDEGERRVRAKSAAETARVEPRKSPEQAAAGQFV >ORUFI02G25760.1 pep chromosome:OR_W1943:2:23081274:23081936:-1 gene:ORUFI02G25760 transcript:ORUFI02G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARARYVNRRAVEFTHFPRLRTSYLNQEHGNVAVVIGGPRNRNAREVGVPASICGGSTTERSGEGGRGSSTASREPHGKRGTCRCRQWRTAARPEALNRAENDALRLSESLPCEKPLPHGARGDAAMQDERGARGMAAGSGGVQAAVRSPQGKRS >ORUFI02G25770.1 pep chromosome:OR_W1943:2:23085342:23086832:1 gene:ORUFI02G25770 transcript:ORUFI02G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCADTLGPLLGTAAANATDYLCNQFADTTSAVDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGAPSNGFIGKHFFGLKQVPQVGFDYSFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWIWSADGWASASRTSGSLLFGSGVIDFAGSGVVHMVGGVAGLWGALIEGPRIGRFDHAGRSVALRGHSASLVVLGSFLLWFGWYGFNPGSFLTILKSYGPPGSIHGQWSAVGRTAVTTTLAGSTAALTTLFGKRLQTGHWNVIDVCNGLLGGFAAITAGCSVVDPWAAIICGFVSAWVLIGLNALAARLKFDDPLEAAQLHGGCGAWGVIFTALFARKEYVDQIFGQPGRPYGLFMGGGGRLLGAHIVVILVIAAWVSFTMAPLFLVLNKLGLLRISAEDEMAGMDQTRHGGFAYAYHDDDASGKPDRSVGGFMLKSAHGTQVAAEMGGHV >ORUFI02G25780.1 pep chromosome:OR_W1943:2:23091208:23092800:-1 gene:ORUFI02G25780 transcript:ORUFI02G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPPFSSHGDFVAALHRCATLAHLKQLHAHAVVTGRAAAQTTTFHLLRFASLRLSCLPYARRLFDATPSPNVFLYSAMLSAYAAASSHSQEHARDSLALFLRMLRRGRPAPNQFVYPLVLRAACAIGVQLVRSIHCHACKDGFYGHDFIRTSLLDGYSRYGMMGDARKLFDGLTDRNVVSWTALVSGYARAGKVGDAIVLFERMPQRDVPAWNAIIAGCTQNGLFVEAVGIFRRMVDEGFRPNGTTVSCLLSACGHLGMLKIGKVIHGYAWRSCVGFGSSVVNGLIDMYGKCGNLMEAKWIFDAFSDRGLTTWNSLINCLALHGCSESAIAVFNSMRNEGVQPDEVTFVGLLNACTHGGFVDEGLRYFELMCDEHGIEPEIEHYGCVVDLLCRAGRFQDAMNFINDMKVQPDEVIWGSLLNACRIHRHLELAEHAIRNLLDLNPSNANYVVMLANLYSEGGFWEEVRKVRKLMKEDVTGKKLPGCSWIEVDRKTRRFYSGDDGHPESEDIYDTLDKLATTMEMQATFA >ORUFI02G25790.1 pep chromosome:OR_W1943:2:23095323:23096027:-1 gene:ORUFI02G25790 transcript:ORUFI02G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEEEEEEPNMYNSEAGEENHVGGFYECTGLPRDADGFVAAVHEVNPVQFLAGVFRYLGEDMAGELLRSDDDDVLHCPSIAASSSPEELLACAARAYTGRDRERCGGSVVEHLYMICSCFCPHAATTAAHVSAPVVAGADHVPAPCDDNGVDLAFTQDELTAAAVTVGEVDEDEVAVAAAAATVDAAVDEIVLNALGFNEFARDLKETIEAKDREDALRAEGSSVSPGVRPPV >ORUFI02G25800.1 pep chromosome:OR_W1943:2:23101931:23111393:1 gene:ORUFI02G25800 transcript:ORUFI02G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEAVTNPKHRVCFSRWQWIHTHTYRLQGDRPRSEGTRSAYRPNIAAAGGASNSSSSRPSPLAFPSRLLARRPPPATIPIPRAAAVPAVLCRTLAAVSSRSAVSTSQLRTGMAKPNGKEKTGDTGLSMAPPKISKDRFDAAIRAMADIGILKETAAPVLNNLLNLFDYNWVHIEADNYLALADAIFCDSDPKEGQKRQANETNLDADQSNKKLKTKKRSQNPTSKMHGNDNREFVEAPPQQGRGTLSARTVNGKKVTRAHLELPSSQLLIKEPHTCPSIAKNTTIVENNSAVLCHGQDLQTFEVPVATTCPQVVAPSTRKDARRTSGARHDQKHEGVSGAHERNRAVACSNQEIVSSKDSPSNIEVVLSNYGAGKLSFTYNSSLANRSDFHLPDIKLICKKMEARCLRKYKSLEPNFSFKNLIKDTCQCIVESSGPRHEGIIQTVPALDILSKPSVPQILQSNQANSAFMPPNNVMSLGGTSSSCTVAGVSQNSSNMPVVPHQLHIGANRPPHDVNDITKGEERLRIPIINEYGNGILPPPFHYIPHNITLQEAYVNISLARIGDDNCCSDCFRDCLAQSLPCACAAETGGEFAYTTDGLLKGAFLDSCISMIREPLKHPHFYCKICPNERMKIEVNSDSSNTEMNPGPCKGHLTRKFIKECWRKCGCTRNCGNRVVQRGITRHLQVFLTPEKKGWGLRSTEKLPRGAFVCEYVGEILTNIELYDRTIQKTGKAKHTYPLLLDADWGTEGVLKDEEALCLDATFYGNVARFINHRCFDANIIGIPVEIETPDHHYYHLAFFTTRIIEPFEELTWDYGIDFDDVDHPVKAFKCHCGSEFCRDKTRRSKSRARV >ORUFI02G25800.2 pep chromosome:OR_W1943:2:23101931:23111393:1 gene:ORUFI02G25800 transcript:ORUFI02G25800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEAVTNPKHRVCFSRWQWIHTHTYRLQGDRPRSEGTRSAYRPNIAAAGGASNSSSSRPSPLAFPSRLLARRPPPATIPIPRAAAVPAVLCRTLAAVSSRSAVSTSQLRTGMAKPNGKEKTGDTGLSMAPPKISKDRFDAAIRAMADIGILKETAAPVLNNLLNLFDYNWVHIEADNYLALADAIFCDSDPKEGQKRQANETNLDADQSNKKLKTKKRSQNPTSKMHGNDNREFVEAPPQQGRGTLSARTVNGKKVTRAHLELPSSQLLIKEPHTCPSIAKNTTIVENNSAVLCHGQDLQTFEVPVATTCPQVVAPSTRKDARRTSGARHDQKHEGVSGAHERNRAVACSNQEIVSSKDSPSNIEVVLSNYGAGKLSFTYNSSLANRSDFHLPDIKLICKKMEARCLRKYKSLEPNFSFKNLIKDTCQCIVESSGPRHEGIIQTVPALDILSKPSVPQILQSNQANSAFMPPNNVMSLGGTSSSCTVAGVSQNSSNMPVVPHQLHIGANRPPHDVNDITKGEERLRIPIINEYGNGILPPPFHYIPHNITLQEAYVNISLARIGDDNCCSDCFRDCLAQSLPCACAAETGGEFAYTTDGLLKGAFLDSCISMIREPLKHPHFYCKICPNERMKIEVNSDSSNTEMNPGPCKGHLTRKFIKECWRKCGCTRNCGNRVVQRGITRHLQVFLTPEKKGWGLRSTEKLPRGAFVCEYVGEILTNIELYDRTIQKTGKAKHTYPLLLDADWGTEGVLKDEEALCLDATCFDANIIGIPVEIETPDHHYYHLAFFTTRIIEPFEELTWDYGIDFDDVDHPVKAFKCHCGSEFCRDKTRRSKSRARV >ORUFI02G25800.3 pep chromosome:OR_W1943:2:23101931:23111393:1 gene:ORUFI02G25800 transcript:ORUFI02G25800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYEAVTNPKHRVCFSRWQWIHTHTYRLQGDRPRSEGTRSAYRPNIAAAGGASNSSSSRPSPLAFPSRLLARRPPPATIPIPRAAAVPAVLCRTLAAVSSRSAVSTSQLRTGMAKPNGKEKTGDTGLSMAPPKISKDRFDAAIRAMADIGILKETAAPVLNNLLNLFDYNWVHIEADNYLALADAIFCDSDPKEGQKRQANETNLDADQSNKKLKTKKRSQNPTSKMHGNDNREFVEAPPQQGRGTLSARTVNGKKVTRAHLELPSSQLLIKEPHTCPSIAKNTTIVENNSAVLCHGQDLQTFEVPVATTCPQVVAPSTRKDARRTSGARHDQKHEGVSGAHERNRAVACSNQEIVSSKDSPSNIEVVLSNYGAGKLSFTYNSSLANRSDFHLPDIKLICKKMEARCLRKYKSLEPNFSFKNLIKDTCQCIVESSGPRHEGIIQTVPALDILSKPSVPQILQSNQANSAFMPPNNVMSLGGTSSSCTVAGVSQNSSNMPVVPHQLHIGANRPPHDVNDITKGEERLRIPIINEYGNGILPPPFHYIPHNITLQEAYVNISLARIGDDNCCSDCFRDCLAQSLPCACAAETGGEFAYTTDGLLKGAFLDSCISMIREPLKHPHFYCKICPNERMKIEVNSDSSNTEMNPGPCKGHLTRKFIKECWRKCGCTRNCGNRVVQRGITRHLQVFLTPEKKGWGLRSTEKLPRGAFVCEYVGEILTNIELYDRTIQKTGKAKHTYPLLLDADWGTEGVLKDEEALCLDATFYGNDYGIDFDDVDHPVKAFKCHCGSEFCRDKTRRSKSRARV >ORUFI02G25810.1 pep chromosome:OR_W1943:2:23112576:23118026:-1 gene:ORUFI02G25810 transcript:ORUFI02G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWHRLGNFKYVVMAPVVAHGARRVMRNGWGDLDIAFSLILPSLLLRMIHNQIWISLSRYQTARSKHRIVDRGIEFDQVDRERGCHLNTHTHNNNNNNNKQLPPLDDQILFNGLVFYAGYLAMPSVRRMPVWRTDGAVVTALVHTGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHVVYFILFAIPILSTIYLGNVSAMGIVGYIAYIDFMNNMGHCNFELVPEWIFQIFPPLKYLIYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDELYESSLKGTEETPDLVHLTHMTNLQSAYHLRIGIASIASKPYSDSAWYMWTLWPLAWLSMVLAWIYGSSAFVVERIKLNKMKMQTWALPRYNFQYGLTWEREPINDLIEKAILDADMKGVKVISLGLLNQAKQLNGNGELFRQKYPKLGVRIVDGSGLATAVVLKSIPSDAKKVFLRTGTSKIARAIAIALCDRGVQVIMNEKEVYHMLKSQIPENRASYLKLSSDNVPQLWIVHNIDDNEQKMAPKGTIFIPISQFPLKKLRKDCTYMSTPAMRIPEEMKNIHSCENWLPRRVMSAWHIAGILHALEGWNMHECGDEMMDIEKSWSAAIRHGFLPLTKA >ORUFI02G25810.2 pep chromosome:OR_W1943:2:23112576:23118026:-1 gene:ORUFI02G25810 transcript:ORUFI02G25810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWHRLGNFKYVVMAPVVAHGARRVMRNGWGDLDIAFSLILPSLLLRMIHNQIWISLSRYQTARSKHRIVDRGIEFDQVDRERGWDDQILFNGLVFYAGYLAMPSVRRMPVWRTDGAVVTALVHTGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHVVYFILFAIPILSTIYLGNVSAMGIVGYIAYIDFMNNMGHCNFELVPEWIFQIFPPLKYLIYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDELYESSLKGTEETPDLVHLTHMTNLQSAYHLRIGIASIASKPYSDSAWYMWTLWPLAWLSMVLAWIYGSSAFVVERIKLNKMKMQTWALPRYNFQYGLTWEREPINDLIEKAILDADMKGVKVISLGLLNQAKQLNGNGELFRQKYPKLGVRIVDGSGLATAVVLKSIPSDAKKVFLRTGTSKIARAIAIALCDRGVQVIMNEKEVYHMLKSQIPENRASYLKLSSDNVPQLWIVHNIDDNEQKMAPKGTIFIPISQFPLKKLRKDCTYMSTPAMRIPEEMKNIHSCENWLPRRVMSAWHIAGILHALEGWNMHECGDEMMDIEKSWSAAIRHGFLPLTKA >ORUFI02G25820.1 pep chromosome:OR_W1943:2:23122542:23127247:-1 gene:ORUFI02G25820 transcript:ORUFI02G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFWCVHNAMHIIRESHCAVCRQPYKHFPSICQLLHHLLIKLEPVEYKRREKEVLEDEKRVDTYSPQIIEFLNSKSNNCEIDGENRPEESNSRPPQEVTSDGNTINGHPKKVKLEDVSCALCKELLYQPAVLNCGHVYCMSCLSSLDDGALKCQVCGGLHPGDFPNVCLDLDHFIEDYFPAEYDLRREKIKLLKGECNQGSSSGTSCIKEGRGRPTNKENRAHQDDDLSDVHIGVGCDSCGHHTPDHRMELDHSALFNRLMRLQGIHEEGPGEIIIEGAFVAPDAVVHIIADDHEEIEDNGEDDHLL >ORUFI02G25820.2 pep chromosome:OR_W1943:2:23122542:23127247:-1 gene:ORUFI02G25820 transcript:ORUFI02G25820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFWCVHNAMHIIRESHCAVCRQPYKHFPSICQLLHHLLIKLEPVEYKRREKEVLEDEKRVDTYSPQIIEFLNSKSNNCEIDGENRPEESNSRPPQEVTSDGNTINGHPKKVKLEDVSCALCKELLYQPAVLNCGHVYCMSCLSSLDDGALKCQVCGGLHPGDFPNVCLDLDHFIEDYFPAEYDLRREKIKLLKGECNQGSSSGTSCIKEGRGRPTNKENRAHQDDDLSDVHIGVGCDSCGMYPIRGKRYKCKDCTELIGFDLCQECYNTKSKLPGRFNQHHTPDHRMELDHSALFNRLMRLQGIHEEGPGEIIIEGAFVAPDAVVHIIADDHEEIEDNGEDDHLL >ORUFI02G25830.1 pep chromosome:OR_W1943:2:23132487:23132945:-1 gene:ORUFI02G25830 transcript:ORUFI02G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICARAEPPRGGGTLGKRKERDRPSSEEQRAPPPPPPPLFPAMSARPQPPRPAHPARFVKPMPPFPKGGGGSSFKLMAGYLAHEFLRSGTLLGERPESNSKAPAPAASAGPAAPDPRTRYAEASLLLMAGGARVPGVVNPTQLGHWLRIKE >ORUFI02G25840.1 pep chromosome:OR_W1943:2:23135686:23141857:1 gene:ORUFI02G25840 transcript:ORUFI02G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSLGKLASRALSVAGKWQHQQLRRLNIHEYQGAELMGKYGINVPRGAAAGSVEEVKNTLKNVFPSEKEIVVKSQILAGGRGLGTFKSGLQGGVHIVKAEEAESLAAKMLGQILVTKQTGPQGKIVSKVYLCEKLSLVNEMYFAITLDRNTAGPLIIACSKGGTSIEDLAEKYPDMIIKVPIDVFKGITDDDAAKVVDGLAPKTADRQSSIEQIKKLYELFCKSDCTLLEINPLAETADNKLVAADAKLNFDDNAAFRQKEIFAMRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGSASEGQVVEAFKILTSDDRVKAILVNIFGGIMKCDVIASGIVNAAKQVDLKVPVVVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKASVK >ORUFI02G25850.1 pep chromosome:OR_W1943:2:23143580:23148563:-1 gene:ORUFI02G25850 transcript:ORUFI02G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKSQQRRRQGHPLLRGGGAGKQAGRRYTHGFSASQMVALAALCGALAPSLPPDTRDDDDDDAGGGRYGGAGASDAKAVRDFLLASAADPPVPDEVAELMTRMCLREALALVRAVLWLLGTRLGTLALCGGRCVSWGRWPFVLTFAEMPVERREEALRRWSRVTVLPPLRAFFLVVKVFCLYVFYSWIDESSENPHWRAIGYSPPTDEPPAEEHTEATKRPLDDGVVETINLTDASLPSSLAEKGLAVTDDAARNVCRVECDVAIVGSGCGGGVAAAVLAGAGHKVVVIEKGNYFTSRDYTSFEGPSINQLYESGGFVTTMNGGGLLLAGSTVGGGSAVNWSACLKTPEFVRREWAAAHGLPLFASPDYAAAMDKVFERLGVTSGCTEEGLQNKVLRKGCEKLGYKVDAVARNSSEGHYCGSCGFGCRTGDKRGTDTTWLVDAVGRGAVILTGCKAEKLVLERGGARGRRCVGVVARSTNPAITKTLEVRAKVTVSAAGSLLTPVLLQRSGLTNPHIGKNLHLHPTALAWGYFPDTMPDLKGKAYEGGIITSMHKVETSGAGAPHRAILETPMMAVAATGTQMPWLSGRDSKERMLRFARTVHIFSLVRDRGSGTVHGERRVAYRLDAADREDIRDGLRRALRVLVAAGAAEVGTHRSDGQRLRCEGLTEEALEEFLDGVTVVRGPQSRSETWGLFCSAHHMGSCRMGATAGDGAVDARGESWEAERLYVCDGSVLPTAVGVNPMITIQSVAYCLANGIADSLSAKTT >ORUFI02G25860.1 pep chromosome:OR_W1943:2:23154197:23162545:1 gene:ORUFI02G25860 transcript:ORUFI02G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNIQSSEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQMINRVEYMHTRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPTEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQLDYIFDWTKQGSESNRLRSSGRTSGLVGPSAERTERAAARQDVPDRFSGTVDPFARRTGSGSGHYGEHTKHRNILDSLLAPKTAVDLDKRRPTSSSRNGSTSRKALLSSSRPSSGDPIDPNRSNLIPTSSGSSRPSTMQRLHQSTGLETRSSLTKTARNVHDDPTLRTFERLSISADRRK >ORUFI02G25870.1 pep chromosome:OR_W1943:2:23161274:23162164:-1 gene:ORUFI02G25870 transcript:ORUFI02G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNESRPKWRKVAYGGRQPGYDDNHTDESFLEEMVMNANVVKRDLLKVMIDSVSISQYLCIVALVVSTWTYTLNLVIDEVTLLKLDTSLLLAGFSMLLLTASPFSLKLLSKYVLNTSFFISGLYVLAPIYQTLTRSISSDSIWALAVCLLLVHLFLHDYSGSTIRPPGALNNPKLTSNISLNASIVASVLVASRLPSRLHVFAIMLFSLQIFLFVPLVAFCVKKFSLRLHLLFSFALMIMTLGVTYQLHHMFFILLLALLVFISIVCPYWLIRIQEYKFEINGPWDEAKLCFDITE >ORUFI02G25880.1 pep chromosome:OR_W1943:2:23165525:23166926:-1 gene:ORUFI02G25880 transcript:ORUFI02G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCQINFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKNKWENSSWGKKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKTAAA >ORUFI02G25890.1 pep chromosome:OR_W1943:2:23167230:23172670:1 gene:ORUFI02G25890 transcript:ORUFI02G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPISELLRSGLVLRPRHKDRCTVRFRGNNNKSVRSRWPRLASASSTARHRTPPRVKAGAFWITPLLRSTGSLLRSPRRRQVARAREMAETVFTPSLEGMKHVKSESSVILTKPFLDVCKQILPVLDKFGAAMALVKSDIGGNITRLENKYSSDPSKYEQLYSMVQEEVQNKTAKVIYALLVNTYKSTSVHNWVAMKLAPNREKFMEVISGTGDIKADIEKFCTTFYPFLKENHDFLASVGLDDLKAS >ORUFI02G25900.1 pep chromosome:OR_W1943:2:23170896:23183797:-1 gene:ORUFI02G25900 transcript:ORUFI02G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSISPAAAAASAQYSYPAAAAAAVPSYFPVPFHLQNVQQPATWPAAPAAYNAVYPMPQVQQVQQLFQKDSQIITPEALATVKAAIANSEKDKKIEANKKAVPRKAAGQSWEDPTLADWPENDFRLFCGDLGNEVNDDVLTKAFSKYPSFNMARVIRDKWTGKTRGYGFVSFANASDLAAALKEMNGKYVGNRPIKLRKSTWKNRIDYEALQKPKTQPQKKFKAQKKSILHK >ORUFI02G25900.2 pep chromosome:OR_W1943:2:23170896:23174885:-1 gene:ORUFI02G25900 transcript:ORUFI02G25900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSPVCIIVSALLLITLSPAVAAAAASPPGRSKSNGSDSDLAALLAFKGELSDPYNILATNWTAGTPFCRWMGITCSRRQRQRVTGVELPGVPLQGKLTLTSVTYLSSPSLTSPSQTSRVQSQTISEGCIASSSLILAIMLSQVAEDVICSPTGFRVDACLVELDDFRLFCGDLGNEVNDDVLTKAFSKYPSFNMARVIRDKWTGKTRGYGFVSFANASDLAAALKEMNGKYVGNRPIKLRKSTWKNRIDYEALQKPKTQPQKKFKAQKKSILHK >ORUFI02G25900.3 pep chromosome:OR_W1943:2:23174968:23183797:-1 gene:ORUFI02G25900 transcript:ORUFI02G25900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSISPAAAAASAQYSYPAAAAAAVPSYFPVPFHLQNVQQPATWPAAPAAYNAVYPMPQVQQVQQLFQKDSQIITPEALATVKAAIANSEKDKKIEANKKAVPRKAAGQSWEDPTLADWPETNQE >ORUFI02G25910.1 pep chromosome:OR_W1943:2:23187047:23187583:-1 gene:ORUFI02G25910 transcript:ORUFI02G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGTMRGKLKLATGAGGYGEIAEIYIPNVLVIHQVGRSWDTKEAIVFTGRDLPGYKNWTPSAPLDQGNWPDAKVAIPLKKMGKRV >ORUFI02G25920.1 pep chromosome:OR_W1943:2:23203783:23204093:1 gene:ORUFI02G25920 transcript:ORUFI02G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPLPPAMDPLSTACVPEAEVIDLESAECRSGATMAKSMGHSSGFHPKQQGHRKISLSPQSKVCHLKLNTCMPVERD >ORUFI02G25930.1 pep chromosome:OR_W1943:2:23211596:23215276:1 gene:ORUFI02G25930 transcript:ORUFI02G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALREIESTLPPGFRFFPSDEELVCHYLHKKVANERIAQGSTLVEVDLHAREPWELPEVAKLTASEWYFFSFRDRKYATGSRTNRATKMGYWKATGKDREVRGSSSSSSSSSSSSSRAVVGMRKTLVFYQGRAPNGVKTGWVMHEFRLDSPHSQPREDWVLCRVFQKRKGDGDGPQDSGGAASPTFTGSMSTTTFYSRRTTAAMQPQRRGGAHDSAAGFANPTQPAVPHYQYGGAVIGFPEEFGGGGGVADEYGFGTYLDLGFELDDTASVLGGIRSFPQGWN >ORUFI02G25940.1 pep chromosome:OR_W1943:2:23226059:23226638:-1 gene:ORUFI02G25940 transcript:ORUFI02G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEEEPAVAAAAYTTASKAGFLFFSAALTRLPTREEEISRRCSRRHRKIIDGAVAAEPREQSTDGGRRRRRRR >ORUFI02G25950.1 pep chromosome:OR_W1943:2:23242540:23254983:-1 gene:ORUFI02G25950 transcript:ORUFI02G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRAWRASSNLLGFAASRAAKAAPAARPLRPHVRCCSPAAASTTKPPPPPPQDRRRRSASSSSSSTSDRESIRAIRLKKVEELRGKGYEPYAYKWDRTHTTKELQDGYTHLENGEVCADVAVSIAGRIVARRAFGKLVFMTVRDDSGTIQLYCEKDSLTEEQFEQLKTIIDIGDIIGANGSIKKTEKGELSVYVKNFEILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRTRAKVVSEIRKTMESFGFIEVETPVLQGAAGGAEARPFITHHNSLQRDLYLRIATELHLKRMLVGGLEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNLAEEIVTRCAMATHGKLRVDYQGTEISLERPWRRETMHSLVKEATGIDFNSFGEDLESAKNAARGIKTESNENISLQACSSVGHVLNEVFETVVESSLVQPTFVLDYPVEISPLAKPHRRYAGLTERFELFICGREIGNAFSELTDPIDQRGRFENQIKQHNAKRAAMTKEVKSTEDSSTITLFLKFEWIQARLFFPRNDERALPRHHQPQYCATVLY >ORUFI02G25960.1 pep chromosome:OR_W1943:2:23257263:23269127:-1 gene:ORUFI02G25960 transcript:ORUFI02G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLIASAWPAAASTTTAAAQPAAACQRRCGDVDIPYPFGIGRGCYLYTGEGDVTFGLTCNRTADGSYRPFCWEYEVLDVSLRRGQARVRNDINRWCYNATTRSMDAESTWWWDVSDSWFHVSDEGNRLVVVGCNSLAYVTSVNETEYMTGCMATCPSVGRLENGSCSGMGCCEAAIPRGINSYVVGFEEKFNTTSGAVGRCSYAVVVEAASFEFRTTYVTTGDFVESTGGKVPLVLDWVVGKKTCREARRNATGYMCVSRDSECVDSRNGPGYLCNCSAGFEGNPYLLDGCQDINECEDSRFKYPCSVPSTCINTPGGFRCSCPDKTTGNAYFGTCEAKKSQLGVHIAIGVSIGIALLVIIMSSAYMIQQKRRLATVKRRYFNQHGGLLLFEEMKSNQGLSFTVFTKDELEEATNKFDERNVLGKGGNGTVYRGTLKDGRVVAIKRCKLINERQKKEFGKEMLILSQINHRNIVKLHGCCLEVEVPMLVYEFIPNGTLYQLIHGGRHGSRISFAARLKIAHEAAEALAYLHSWASPPIIHGDVKSPNMLIDENYTVKVSDFGASTLAPTDEAQFVTLVQGTCGYLDPEYMQTCKLTDKSDVYSFGVVLLELLTCRKALNLQALEEEKNLSSHFLLALSENRLEGILDSQIQSEQSIELIEQMADLAKQCLDMSSEKRPSMRQVAEELDRLRKLAEHPWGRHESEELEKLLVRGSPSTFSEIELSNGYFTHDPKKMLLPLIILALSASQAAAAMQPSETCLRRCGDVEIPYPFGVGSGCHLETGDWTFVLSCNRSADGRLRVYNYEIEVVDVSVRRGQLRIYSAINPWCYNGSTSAMNGQSNWWYDMSITNFRINDALNRFTVVGCNSLAYILSPNGTAGADRYMTGCMAMCPGAGRLEKENGSCAGVGCCQTAIPAGLNGYQVSFEEKFNTSAIAGFSRCSYAVLVEASAFDFRASYVTTDEFMASNGGQLPLVLDWAIGNKTCEEAKRNASAYACVSANSECVDSRYGRGRGYLCNCSAGYDGNPYLLDGCQDINECDESRFRYPCSVPGTCVNTPGGFTCTCPDKTIGNAYNGTCGDNKSQLGWKIAIGISSGVVILIITASCVYMIHAKRRLAKIKREHFRQHGGLLLFEEMKSRQGLSFALFTQEELEQATNRFDERNVIGKGGNGTVYRGTIAKDNGAVVAIKRCRLATERQKKEFGKEMLILSQINHRNIVKLYGCCLEVEVPMLVYKYIPNGTLYRLIHGGEGGASARRIPFAARVRIAHQAAEALAYLHSWASPPIIHGDVKTSNILLDEDYAAKVSDFGASTLAPADAAQFVTFVQGTCGYLDPEYMRTCRLTDKSDVYSFGVVLLELLTCRKALNLEELEEEKYLSSQFLLAVGEGRLGEILDPQIKGEQSMEVLEQVAELAKQCLEISGEKRPSMREVAEELDRLGKLSLHPWGQPNSGELAALLGGSPSMAADSDQIELSTSTRNISFSDTAYIGIRSPR >ORUFI02G25970.1 pep chromosome:OR_W1943:2:23274703:23275597:-1 gene:ORUFI02G25970 transcript:ORUFI02G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKRGPWTAEEDRILVAHIERHGHSNWRALPRQAGLLRCGKSCRLRWINYLRPDIKRGNFTREEEDAIIHLHDLLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLEPKPSSGREAAAPKRKATKKAAAVAVAIDVPTTVPVSPEQSLSTTTTSAATTEEYSYSMASSADHNTTDSFTSEEEFQIDDSFWSETLAMTVDSTDSGMEMSGGDPLGAGGASPSSSNDDDMDDFWLKLFIQAGGMQNLPQI >ORUFI02G25980.1 pep chromosome:OR_W1943:2:23295584:23301143:1 gene:ORUFI02G25980 transcript:ORUFI02G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYKKVAYLDADTIVVKSIEDIFNCGKFCANLKHSERMNSGVMVVEPSETLFNDMMDKVNSLPSYTGGDQGFLNSYYADFANSRVYEPNKPTMPEPETQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTAWLVKPVAVWQDIRKNLEESLPGTGGGRNPHDQSVTNKELLCIRSLCAFARRARYKYKSEEALPSYSTIGAASSSFGISHQKSHNGAHLKLPSYFGAITVLVCFISALISLAFAFIIIPRQVMPWTGLLLMYEWTSVTFFLLFGSYLRVVYNWGSSSANHVGHNNLDSSENHAGAGLQRNTSDCDTDAAFYWSGMAILSSIALLSPTVLGITALFAKLGLMVAGGVVLASFMTYASEHLAISAFVKGQRDRNASRGSICFMC >ORUFI02G25990.1 pep chromosome:OR_W1943:2:23306850:23307356:1 gene:ORUFI02G25990 transcript:ORUFI02G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGGRGGPEEGDGERVRREGDRRWRTAGCGIGEARRGYSRSGAKRRWRELHDAEKERECQGEGGAEELTVERGTASPDFGDGKGRKADGGAWTSSGARRQTRHRGGMVPWRARRGRGRRRAETASFVAAVAFLAVTTKEAAVLRGWPRDDDRGRGRRRQYSVPRSTG >ORUFI02G26000.1 pep chromosome:OR_W1943:2:23310626:23317383:1 gene:ORUFI02G26000 transcript:ORUFI02G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSERTVVWFRRDLRIDDNPALASAARDGAVLPVFIWCPADEGQFYPGRCSRWWLKQSLPHLSQSLESLGCPLVLIRAESTLEALLRCIDSVGATRLVYNHLYDPVSLVRDDKIKKELSALGISIQSFNGDLLYEPWEIYDDSGLAFTTFNMYWEKCMELPIDASPSLAPWKLVPVPGLESVRSCSVDDLGLESSKDEESSNALLMRAWSPGWRNAEKMLEEFVSHGLLEYSKHGMKVEGATTSLLSPYLHFGEVSVRKVYQLVRMQQIKWENEGTSEAEESIHFFMRSIDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGVYVRTWIPELARMPTEWIHHPWDAPSCILEVAGVELGFNYPKPIVDLHIARECLDDSISTMWQLDTAEKLAELDGEVVEDNLSNIKTFDIPKVVLRETSPCALPIDQRVPHASSKDHNLKSKVLKASNRSSICVDMIRSSKMEATSSVANSPVSRKRSFCETAFHVPSYSSSAEVHSHIQDHGGSLVGPSRYLLQEAGRNYVDEVEDSSTADSGSSISRQRKAA >ORUFI02G26000.2 pep chromosome:OR_W1943:2:23310626:23317479:1 gene:ORUFI02G26000 transcript:ORUFI02G26000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSERTVVWFRRDLRIDDNPALASAARDGAVLPVFIWCPADEGQFYPGRCSRWWLKQSLPHLSQSLESLGCPLVLIRAESTLEALLRCIDSVGATRLVYNHLYDPVSLVRDDKIKKELSALGISIQSFNGDLLYEPWEIYDDSGLAFTTFNMYWEKCMELPIDASPSLAPWKLVPVPGLESVRSCSVDDLGLESSKDEESSNALLMRAWSPGWRNAEKMLEEFVSHGLLEYSKHGMKVEGATTSLLSPYLHFGEVSVRKVYQLVRMQQIKWENEGTSEAEESIHFFMRSIDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGVYVRTWIPELARMPTEWIHHPWDAPSCILEVAGVELGFNYPKPIVDLHIARECLDDSISTMWQLDTAEKLAELDGEVVEDNLSNIKTFDIPKVVLRETSPCALPIDQRVPHASSKDHNLKSKVLKASNRSSICVDMIRSSKMEATSSVANSPVSRKRSFCETAFHVPSYSSSAEVHSHIQDHGGSLVGPSRYLLQEAGRNYVDEVEDSSTADSGSSISRQRKAA >ORUFI02G26000.3 pep chromosome:OR_W1943:2:23310626:23317383:1 gene:ORUFI02G26000 transcript:ORUFI02G26000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSERTVVWFRRDLRIDDNPALASAARDGAVLPVFIWCPADEGQFYPGRCSRWWLKQSLPHLSQSLESLGCPLVLIRAESTLEALLRCIDSVGATRLVYNHLYDPVSLVRDDKIKKELSALGISIQSFNGDLLYEPWEIYDDSGLAFTTFNMYWEKCMELPIDASPSLAPWKLVPVPGLESVRSCSVDDLGLESSKDEESSNALLMRAWSPGWRNAEKMLEEFVSHGLLEYSKHGMKVEGATTSLLSPYLHFGEVSVRKVYQLVRMQQIKWENEGTSEAEESIHFFMRSIGLREYSRYLCFNFPFTHEKSLLGNLKHYPWKVDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGVYVRTWIPELARMPTEWIHHPWDAPSCILEVAGVELGFNYPKPIVDLHIARECLDDSISTMWQLDTAEKLAELDGEVVEDNLSNIKTFDIPKVVLRETSPCALPIDQRVPHASSKDHNLKSKVLKASNRSSICVDMIRSSKMEATSSVANSPVSRKRSFCETAFHVPSYSSSAEVHSHIQDHGGSLVGPSRYLLQEAGRNYVDEVEDSSTADSGSSISRQRKAA >ORUFI02G26000.4 pep chromosome:OR_W1943:2:23311197:23317479:1 gene:ORUFI02G26000 transcript:ORUFI02G26000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MREHLKLRRAFTSSCGQLVFENIHDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGVYVRTWIPELARMPTEWIHHPWDAPSCILEVAGVELGFNYPKPIVDLHIARECLDDSISTMWQLDTAEKLAELDGEVVEDNLSNIKTFDIPKVVLRETSPCALPIDQRVPHASSKDHNLKSKVLKASNRSSICVDMIRSSKMEATSSVANSPVSRKRSFCETAFHVPSYSSSAEVHSHIQDHGGSLVGPSRYLLQEAGRNYVDEVEDSSTADSGSSISRQRKAA >ORUFI02G26000.5 pep chromosome:OR_W1943:2:23310492:23317383:1 gene:ORUFI02G26000 transcript:ORUFI02G26000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSERTVVWFRRDLRIDDNPALASAARDGAVLPVFIWCPADEGQFYPGRCSRWWLKQSLPHLSQSLESLGCPLVLIRAESTLEALLRCIDSVGATRLVYNHLYDPVSLVRDDKIKKELSALGISIQSFNGDLLYEPWEIYDDSGLAFTTFNMYWEKCMELPIDASPSLAPWKLVPVPGLESVRSCSVDDLGLESSKDEESSNALLMRAWSPGWRNAEKMLEEFVSHGLLEYSKHGMKVEGATTSLLSPYLHFGEVSVRKVYQLVRMQQIKWENEGTSEAEESIHFFMRSIGLREYSRYLCFNFPFTHEKSLLGNLKHYPWKVDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGVYVRTWIPELARMPTEWIHHPWDAPSCILEVAGVELGFNYPKPIVDLHIARECLDDSISTMWQLDTAEKLAELDGEVVEDNLSNIKTFDIPKVVLRETSPCALPIDQRVPHASSKDHNLKSKVLKASNRSSICVDMIRSSKMEATSSVANSPVSRKRSFCETAFHVPSYSSSAEVHSHIQDHGGSLVGPSRYLLQEAGRNYVDEVEDSSTADSGSSISRQRKAA >ORUFI02G26000.6 pep chromosome:OR_W1943:2:23311197:23316796:1 gene:ORUFI02G26000 transcript:ORUFI02G26000.6 gene_biotype:protein_coding transcript_biotype:protein_coding MREHLKLRRAFTSSCGQLVFENIHDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGVYVRTWIPELARMPTEWIHHPWDAPSCILEVAGVELGFNYPKPIVDLHIARECLDDSISTMWQLDTAEKLAELDGEVVEDNLSNIKTFDIPKVVLRETSPCALPIDQRVPHASSKDHNLKSKVLKASNRSSICVDMIRSSKMEATSSVANSPVSRKRSFCETAFHVPSYSSSAEVHSHIQDHGGSLVGPSRYLLQEAGRNYVDEPT >ORUFI02G26000.7 pep chromosome:OR_W1943:2:23310626:23317479:1 gene:ORUFI02G26000 transcript:ORUFI02G26000.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSERTVVWFRRDLRIDDNPALASAARDGAVLPVFIWCPADEGQFYPGRCSRWWLKQSLPHLSQSLESLGCPLVLIRAESTLEALLRCIDSVGATRLVYNHLYDPVSLVRDDKIKKELSALGISIQSFNGDLLYEPWEIYDDSGLAFTTFNMYWEKCMELPIDASPSLAPWKLVPVPGLESVRSCSVDDLGLESSKDEESSNALLMRAWSPGWRNAEKMLEEFVSHGLLEYSKHGMKVEGATTSLLSPYLHFGEVSVRKVYQLVRMQQIKWENEGTSEAEESIHFFMRSIGLREYSRYLCFNFPFTHEKSLLGNLKHYPWKVDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGVYVRTWIPELARMPTEWIHHPWDAPSCILEVAGVELGFNYPKPIVDLHIARECLDDSISTMWQLDTAEKLAELDGEVVEDNLSNIKTFDIPKVVLRETSPCALPIDQRVPHASSKDHNLKSKVLKASNRSSICVDMIRSSKMEATSSVANSPVSRKRSFCETAFHVPSYSSSAEVHSHIQDHGGSLVGPSRYLLQEAGRNYVDEVEDSSTADSGSSISRQRKAA >ORUFI02G26000.8 pep chromosome:OR_W1943:2:23311197:23317479:1 gene:ORUFI02G26000 transcript:ORUFI02G26000.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSERTVVWFRRDLRIDDNPALASAARDGAVLPVFIWCPADEGQFYPGRCSRWWLKQSLPHLSQSLESLGCPLVLIRAESTLEALLRCIDSVGATRLVYNHLYDPVSLVRDDKIKKELSALGISIQSFNGDLLYEPWEIYDDSGLAFTTFNMYWEKCMELPIDASPSLAPWKLVPVPGLESVRSCSVDDLGLESSKDEESSNALLMRAWSPGWRNAEKMLEEFVSHGLLEYSKHGMKVEGATTSLLSPYLHFGEVSVRKVYQLVRMQQIKWENEGTSEAEESIHFFMRSIGLREYSRYLCFNFPFTHEKSLLGNLKHYPWKVDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGVYVRTWIPELARMPTEWIHHPWDAPSCILEVAGVELGFNYPKPIVDLHIARECLDDSISTMWQLDTAEKLAELDGEVVEDNLSNIKTFDIPKVVLRETSPCALPIDQRVPHASSKDHNLKSKVLKASNRSSICVDMIRSSKMEATSSVANSPVSRKRSFCETAFHVPSYSSSAEVHSHIQDHGGSLVGPSRYLLQEAGRNYVDEVEDSSTADSGSSISRQRKAA >ORUFI02G26000.9 pep chromosome:OR_W1943:2:23310757:23317392:1 gene:ORUFI02G26000 transcript:ORUFI02G26000.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSERTVVWFRRDLRIDDNPALASAARDGAVLPVFIWCPADEGQFYPGRCSRWWLKQSLPHLSQSLESLGCPLVLIRAESTLEALLRCIDSVGATRLVYNHLYDPVSLVRDDKIKKELSALGISIQSFNGDLLYEPWEIYDDSGLAFTTFNMYWEKCMELPIDASPSLAPWKLVPVPGLESVRSCSVDDLGLESSKDEESSNALLMRAWSPGWRNAEKMLEEFVSHGLLEYSKHGMKVEGATTSLLSPYLHFGEVSVRKVYQLVRMQQIKWENEGTSEAEESIHFFMRSIGLREYSRYLCFNFPFTHEKSLLGNLKHYPWKVDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGVYVRTWIPELARMPTEWIHHPWDAPSCILEVAGVELGFNYPKPIVDLHIARECLDDSISTMWQLDTAEKLAELDGEVVEDNLSNIKTFDIPKVVLRETSPCALPIDQRVPHASSKDHNLKSKVLKASNRSSICVDMIRSSKMEATSSVANSPVSRKRSFCETAFHVPSYSSSAEVHSHIQDHGGSLVGPSRYLLQEAGRNYVDEWSI >ORUFI02G26010.1 pep chromosome:OR_W1943:2:23319088:23320569:1 gene:ORUFI02G26010 transcript:ORUFI02G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRTERLASCLAAVATKPADFRRAHAILLTSGHLSSRYSVNCLIRAASAPSASALLLRFLLNHRLLPDHLSLSFSLHPCSRLPSHPVASVVHSLAVRLGHARDVYVVNAAASAYFAAADVASAERLFSEASRDVADVVTWTTMVTGHANAGDVERARWFFDAMPEKNVVSWNTMLGAYARAGMLPKARKLFDRMPSRNAATWGSMITGLLQSDHCEEALRVFSDMVASGVVPNEPALVSTVSACTQLRWMEHGTWVHGYAERELNGAMSAVLATAIVDMYGKCGSIRDAVRVFAAMPVRNIYSWNSMIAGLAMNGSERQALSLFWKMQLAGVRPNDITFIGLLSACSHSGLVDEGRWLFYKMVNHFGIQPVPEHYGLMVDLLGRSGHVREAVDFVKSMPVEPHPGLWGALAGACKIHGEVELGEEVAKKLIELEPQHGSRYILLSNIYATSNRWDDMANVRRILKDRKVPKGTGNAIVGNDSQSSGYEIDS >ORUFI02G26020.1 pep chromosome:OR_W1943:2:23325242:23325466:-1 gene:ORUFI02G26020 transcript:ORUFI02G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGCAASGGGMPTMARGCVANGGGRQVRHVEVSGGVEAGEVQPVSMGGWPAGGVGAVESMRWQRLAGGGGLEH >ORUFI02G26030.1 pep chromosome:OR_W1943:2:23330094:23331764:1 gene:ORUFI02G26030 transcript:ORUFI02G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTPKITGKTTSMSGELKRDYEIGEEIGRGRFGVVHRCTSRATGEAFAVKSVDRSQLADDLDRELAELEPKLAQLAAAGNPGVVQVHAVYEDDAWTHMVMDLCSGPDLLDWIRLRRGAPVPEPVAAAVVAQLAEALAHCHRRGVAHRDVKPDNILLDVVDDGIDGGGTPRARLADFGSAAWVGESGGSAEGLVGTPHYVAPEVVAGGEYGEKADVWSAGVVLYVLLSGGALPFGGETAAEVLASVLRGSVRFPPRLFAGVSPAAKDLMRRMMCRDTWRRFSAEQVLGHPWIVSGGGARAMEQPT >ORUFI02G26040.1 pep chromosome:OR_W1943:2:23336158:23341651:-1 gene:ORUFI02G26040 transcript:ORUFI02G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGVLLGMGNPLLDISAVVDDAFLTKYDVKLNNAILAEEKHLPMYDELASKGNVEYIAGGATQNSIRVAQWMLQTPGATSYMGCIGKDKFGEEMKKNAQAAGVTAHYYEDEAAPTGTCAVCVVGGERSLVANLSAANCYKSEHLKKPENWALVEKAKYIYIAGFFLTVSPDSIQLVAEHAAANNKVFLMNLSAPFICEFFRDAQEKVLPFVDYIFGNETEARIFAKVRGWETENVEEIALKISQLPLASGKQKRIAVITQGADPVVVAEDGQVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQQKSIEDSVKAGCYAANVIIQRSGCTYPEKPDFN >ORUFI02G26050.1 pep chromosome:OR_W1943:2:23343125:23343487:-1 gene:ORUFI02G26050 transcript:ORUFI02G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALRRGFKTLAVARAVVLSTAGPHFCAASSSAPQEPRHGPLLVGQQWPSARARGAPPYHCGDAGSARKPMLTALHVACVGGGVEVRAACAIVEKSRRRWGEESVWGPRLANDFRLAKFG >ORUFI02G26060.1 pep chromosome:OR_W1943:2:23348532:23355651:1 gene:ORUFI02G26060 transcript:ORUFI02G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSEEYISFYDSELEEGEFRECRAFEDQTVKVRQLALHGTCSGEYSRSYSSHVNSRSNKRHKQKEHDYYKYSDYLQVLKKIEKVSSRRFDKLLVWHNEDREEFNVVRKSQEFEFFQEHLRSYEVQYTRVIPTIKRCRMKLPKLLFSVLHKTFHKHFQSQLIEFVKRQIKDRDKDKRVRNRWIFEAEAGYLKTDFDMIPLSYSGLKIEKLKCSSTDYLNGDAQLNYFNMECLSTEIEAIASSSTKSEETCAGKRSDTSEPILDNSEVLLEINVSTKDGASVGAAEEVFTCERSSQSTCGPTTMVFGQNNGRQIDFPVAAQSNVGDAELSYASQSHISAASAHANVVAADSENANLLSRAKGRCPSSTYNVSLGSCSGSQRKLPFESASSPCETALLHKEAPCADHQISLNTVSPQEAPCANHQISLDTVSPQEAPSASPPSTNVIQMEQSEDISNVIRVEQSEDIRSEEAPNGQASSFAQVTEQPNMQANTSTCQAVTHQPPDGSIHSVRTEFINPRASNIESYSVNQILTKSIFEQRPNEAGFQSDPVAVELSRLQMLRCLMTKRHEEKRQQIILAREIEMAETKRKYDELIHKLEMETSQRKKGIQILADKVYKRQTLAEGFQTMFVSHGSRARRSMQPNRSSGQQALQIPASVSAPASAVMCQPSQQDAQSSMGSSPRHPFVTINHHSMDYLGRSATPLAHSRGAGMGSGIAYHAPESHLHSVVNPLPASGLQLGIASLEQ >ORUFI02G26060.2 pep chromosome:OR_W1943:2:23351382:23355651:1 gene:ORUFI02G26060 transcript:ORUFI02G26060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSEEYISFYDSELEEGEFRECRAFEDQTVKVRQLALHGTCSGEYSRSYSSHVNSRSNKRHKQKEHDYYKYSDYLQVLKKIEKVSSRRFDKLLVWHNEDREEFNVVRKSQEFEFFQEHLRSYEVQYTRVIPTIKRCRMKLPKLLFSVLHKTFHKHFQSQLIEFVKRQIKDRDKDKRVRNRWIFEAEAGYLKTDFDMIPLSYSGLKIEKLKCSSTDYLNGDAQLNYFNMECLSTEIEAIASSSTKSEETCAGKRSDTSEPILDNSEVLLEINVSTKDGASVGAAEEVFTCERSSQSTCGPTTMVFGQNNGRQIDFPVAAQSNVGDAELSYASQSHISAASAHANVVAADSENANLLSRAKGRCPSSTYNVSLGSCSGSQRKLPFESASSPCETALLHKEAPCADHQISLNTVSPQEAPCANHQISLDTVSPQEAPSASPPSTNVIQMEQSEDISNVIRVEQSEDIRSEEAPNGQASSFAQVTEQPNMQANTSTCQAVTHQPPDGSIHSVRTEFINPRASNIESYSVNQILTKSIFEQRPNEAGFQSDPVAVELSRLQMLRCLMTKRHEEKRQQIILAREIEMAETKRKYDELIHKLEMETSQRKKGIQILADKVYKRQTLAEGFQTMFVSHGSRARRSMQPNRSSGQQALQIPASVSAPASAVMCQPSQQDAQSSMGSSPRHPFVTINHHSMDYLGRSATPLAHSRGAGMGSGIAYHAPESHLHSVVNPLPASGLQLGIASLEQ >ORUFI02G26070.1 pep chromosome:OR_W1943:2:23357107:23357670:1 gene:ORUFI02G26070 transcript:ORUFI02G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVANSSITSLFPGVAWEATIRPPLSPTSLLLLTVARAAAGKAAWPQGWQRQGSPRLLALGRSREPPGAATAVTAKPAPFRPDLAGWLATGKEVGTGDGGGRGELHRWSCGYGGGDGDDDRAAVVEARIRRRRDGRWWAAHTGIPRLPLAFSDASWRRPTLVVRRAAGSSFLPKSGASLCGDGVD >ORUFI02G26080.1 pep chromosome:OR_W1943:2:23368608:23372050:-1 gene:ORUFI02G26080 transcript:ORUFI02G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGPINKEDPLNWGAAAAEMAGSHLDEVKRMVAQFREPLVKIQGATLRVGQVAAVAQAKDAAGVAVELDEEARPRVKASSEWILNCIAHGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGTGSDGHTLPSETVRAAMLVRINTLLQGYSGIRFEILEAITKLLNTGVTPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAISPDGRKVDAAEAFKLAGIEGGFFTLNPKEGLAIVNGTSVGSALAATVMFDANILAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILAGSSFMSHAKKVNEMDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLANPITNHVQSAEQHNQDVNSLGLVSARKTLEAVDILKLMTSTYIVALCQAVDLRHLEENIKSSVKNCVTQVAKKVLTMNPTGDLSSARFSEKNLLTAIDREAVFSYADDPCSANYPLMQKLRAVLVEHALTSGDAEPEASVFSKITKFEEELRSALPREIEAARVAVANGTAPVANRIVESRSFPLYRFVREELGCVFLTGEKLKSPGEECNKVFLGISQGKLIDPMLDCLKEWNGEPLPIN >ORUFI02G26090.1 pep chromosome:OR_W1943:2:23380684:23384342:-1 gene:ORUFI02G26090 transcript:ORUFI02G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECETGLVRSLNGDGLCMSSVSAPPRADPLNWGKAADELAGSHLDEVKRMVEDFRQPLVKIEGASLTIAQVAAVAAGAGDARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAITKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNSVAVAPDGRKVTAAEAFKIAGIEHGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAILAEVLSAVFCEVMTGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLIALCQAIDLRHLEENMKTAVKNCVMQVAKKSLSMNHMGGLHIARFCEKDLLTAIDREAVFAYADDPCSANYPLMQKLRAVLIEHALANGDAERVLETSIFAKVAEFEQHVRAALPKEVEAARAAVENGTPLVPNRIKECRSYPLYRFVREEVGTEYLTGEKTRSPGEELNKVLVAINERKHIDPLLECLKEWNGAPLPLC >ORUFI02G26100.1 pep chromosome:OR_W1943:2:23387767:23389910:-1 gene:ORUFI02G26100 transcript:ORUFI02G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACENGQVAADGINGLCMAAPRADPLNWGKAAEEMSGSHLDEVKRMVAEYRQPLVKIEGASLRIAQVAAVAAAGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLSAEATRAAMLVRINTLLQGYSGIRFEILEAITKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLVTGRENSVAVAPDGSKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRDKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVEILKLMSSTFLVALCQAIDLRQIEENVKSAVKSCVMTVARKTLSTSATGGLHAARFCEKDLLQEIDREAVFAYADDPCSANYPLMKKLRNVLVERALANGAAEFNAETSVFAKVAQFEEELRAALPAAVLAARAAVENGTAATPNRITECRSYPLYRFVREELGTAYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGAPLPIC >ORUFI02G26110.1 pep chromosome:OR_W1943:2:23396307:23398567:-1 gene:ORUFI02G26110 transcript:ORUFI02G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENGRVSANGMSGLCVAAPRADPLNWGKATEEMTGSHLDEVKRMVAEYRQPLVKIEGASLRIAQVAAVAAAGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRENAVAVAPDGSKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKHAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTDEAIDILKLMSSTFLIALCQAVDLRHIEENVKSAVKSCVMTVAKKTLSTNSTGDLHVARFCEKDLLKEIDREAVFAYADDPCSHNYPLMKKLRNVLVERALANGAAEFNADTSVFAKVAQFEEELRATLPGAIEAARAAVENGTAAIPSRITECRSYPLYRFVREELGTKYLTGEKTRSPGEELNKVLVAINEGKHIDPLLECLKEWNGEPLPIC >ORUFI02G26120.1 pep chromosome:OR_W1943:2:23437189:23440479:1 gene:ORUFI02G26120 transcript:ORUFI02G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNGSRAVRFQNDMELPHWKTSSVPECTSSSRSTKHGKAQHQQQQHHDPRKWRRGGGGGGSLKDRVLSRAFSEELESLMSSGANHLFFDPRGQLIHLWSKIFLAACLASLFVDPLFLYLTGTRQNMCIELKYSLAFTLSMIRSLLDLFYAAHIFFRFRTAFIAPSSRVFGRGELVIQPCKIARRYLAGTFWFDLVTALPLPQFVIWIVIPKLKESATANRKNILRFSIIFQYLPRLFQIFPLSRQIVMATGVMTETAWAGAAYNLILYMLASHVLGALWYLFSVQRQEACWREACHVEGPSCQTLFFDCKTVSSNRTMWYELSNITSLCTPSNGFYQFGIYGEALDNGLTSSSFTQKYFYCFWWGLKNLSCLGQNLSTSLFIGEITFATVIGVLGLVLFALLIGNMQATMVRLEEWRTKRTDMERWMNHRQIPQPLKQCVRRYHQYKWLATRGVDEEALLEDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERMLEAICERLRPALYTRGTRLVRELYPVDSMLFIIRGYLDSYTTQGGRSGFFNSCRIGAGEFCGEELLPWALDPRPAASLPLSTRTVRAVSEVEAFALVADDLRFVASQFRRLHSARIRHRFRFYSHQWRTWAACFIQAAWRRNKRRRASMELRMREGGEARPGGSVRCRRHSCDGKALIKKPMEPDFTVEEED >ORUFI02G26130.1 pep chromosome:OR_W1943:2:23459721:23461873:-1 gene:ORUFI02G26130 transcript:ORUFI02G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCGFFYGFLSDRRFIVKLYGFDTDAAKIVRRPKITTVVAQQPPGIRRALADVSNLVNGRAALPVVNRQKAPAAADKCRKPIKQRNENNKAKPEVIVISSDSEKQKKSPAQRAASRRAPIQTLTSILTKCSRASGGVISPKKELIYDIDASDAHNELAVIDYVEDIYRFYRSTENTYRPLCTYMVSQTEINERMRAILTDWLIEVHYRLMLMPETLYLTVYIIDQYLSLENVARNELQLVGFKDFLVISDNSFSRQQLEHMTSVIAAAAVYAARFT >ORUFI02G26140.1 pep chromosome:OR_W1943:2:23479500:23479715:1 gene:ORUFI02G26140 transcript:ORUFI02G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCRRSLIATLRRLACCGVAAVVDDKFHGKKEFGLPLAGKLQGVLVTGLEDDDSRIVAVTVLFSGDGEDR >ORUFI02G26150.1 pep chromosome:OR_W1943:2:23480729:23489837:-1 gene:ORUFI02G26150 transcript:ORUFI02G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIDDEASSMVRSSLNGLDWLPDADGGIGVGDADSEGSWVAADSGDWWLEDQRRQRLHPGDIYSPGNAIKASDCLAISAFLWCISDTAFTSPTVSPDICIYCQQQQGVEENLQQLQRMLLRIQTVVEEANGRRITNQAMLLQLKTMRNVMYRGYYFLDNFRYRIALGHAPDEVDDHSLASFPFNPLKRFRFSTMARKIVSEDQEKKELLKMLGRLESIISEEFVMSLRSYPRMVRQPYCSYLLLENCMFGRQAEQERIISFLLEPHRAGAEGVAVLPIIGPARVGKSTLVENVCHDERVRKYFSTIVFYYTGSTEGAVADTGVIKHQNPASTKQSLVVIELVDDMDDETWRRILSSLRGDNIAAPVSKIIITSQSNKIATFGTTETLQLDMLPKEAFWYFLKTIAFGSTNPEEEPKLTSICMEIAAQANGAFLHANIIGGILRSNFSVQF >ORUFI02G26150.2 pep chromosome:OR_W1943:2:23480729:23481929:-1 gene:ORUFI02G26150 transcript:ORUFI02G26150.2 gene_biotype:protein_coding transcript_biotype:protein_coding METFLSAVLADLLSRSISFVIDRYCQQQQGVEENLQQLQRMLLRIQTVVEEANGRRITNQAMLLQLKTMRNVMYRGYYFLDNFRYRIALGHAPDEVDDHSLASFPFNPLKRFRFSTMARKIVSEDQEKKELLKMLGRLESIISEEFVMSLRSYPRMVRQPYCSYLLLENCMFGRQAEQERIISFLLEPHRAGAEGVAVLPIIGPARVGKSTLVENVCHDERVRKYFSTIVFYYTGSTEGAVADTGVIKHQNPASTKQSLVVIELVDDMDDETWRRILSSLRGDNIAAPVSKIIITSQSNKIATFGTTETLQLDMLPKEAFWYFLKTIAFGSTNPEEEPKLTSICMEIAAQANGAFLHANIIGGILRSNFSVQF >ORUFI02G26150.3 pep chromosome:OR_W1943:2:23482019:23489837:-1 gene:ORUFI02G26150 transcript:ORUFI02G26150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIDDEASSMVRSSLNGLDWLPDADGGIGVGDADSEGSWVAADSGDWWLEDQRRQRLHPVHNRNQSLQAQAVGDPLCIAPRRRLCIAIEQQVSNTTS >ORUFI02G26160.1 pep chromosome:OR_W1943:2:23485913:23489310:1 gene:ORUFI02G26160 transcript:ORUFI02G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKDEETTAEVAMVVQSRFRRVCVFCGSSHGKKKIYQDAAIELGKELVARNIDLVYGGGSVGLMGLVSQAVHNGGRHVIGVIPKTLMPREISGETVGEVKAVSDMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNPLLSFIDKAVEEGFIRPSARHIIVLAPTPKELIEKLEEYSPQHEKVVSKMKWEMEQMSYPQNYDIPRPKEGKMIIEAQRGSRLWM >ORUFI02G26170.1 pep chromosome:OR_W1943:2:23495126:23499304:1 gene:ORUFI02G26170 transcript:ORUFI02G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVRTGGSQHEGEHGNSGVVAVQEEEEEEAISVHFEGFLKLKETQVAKMTAGFQLGVIGSLTLSVASSVSIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRMRFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVMLETIFLKKRFSESIKFSLLILLLGVGIASVTDLKLNLLGSVLSGLAIATTCVGQILTNTIQKRLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTSRSGFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTMRNILGILVAIFGMALYSYFSVRESKKKSAGDPLPVSQMPEKEVEPLLATKDVNGDTKKANGVTHDC >ORUFI02G26180.1 pep chromosome:OR_W1943:2:23509217:23512654:1 gene:ORUFI02G26180 transcript:ORUFI02G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFADLAEPAPGAERCVDRQLWLACAGGMCTVPPVGAAVYYFPQGHAEHALGLAAPELSAARVPALVPCRVASVRYMADPDTDEVFARIRLVPLRAAEDGDVEEDGAAAGEEHEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAADPPVQTVVAKDVHGVAWNFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRGDGGDLHVGIRRAKRGFCGGGGGAEEASLPGWDQYGGLMRGNASPCAAAKGRGKVRAEDLVEAARLASGGQPFEVVYYPRASTPEFCVRAAAVRAAMRVQWCPGMRFKMAFETEDSSRISWFMGTVASVQVADPIRWPQSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSSMPAINLSSFSPPRKKPRILAYPEFPFEGQLLNPAFPPNPLAHGHHHYHHNHPSFFPFPDVSAPAGIQGARHAQFGPSLSDLHLTHLQSSLMYPGLRRPDHVGPTSIPPPRISTDLTMGSSPPARALSMGAKKPDDAKPPGLMLFGQRILTERQMSLSGTTSPAATGNSSLNWNTEKGASEGSGSGVIQNSPTDNTSSERLQWFRENSTVSELGLEPGQCKVFIESDTVGRNLDLSSLASFEQLYGRLSEMFCIDSAELRSRVLYRGATGEVRHAGDEPFR >ORUFI02G26190.1 pep chromosome:OR_W1943:2:23521981:23522250:1 gene:ORUFI02G26190 transcript:ORUFI02G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMGGSWPESGGRGGRLEMREGEAVDQREGKVTGRPWREGEAAKPGGRGGGGWRVEAVGRPGMEGEAADKSKPAGKGIIPCDWRGPGG >ORUFI02G26200.1 pep chromosome:OR_W1943:2:23525340:23527605:1 gene:ORUFI02G26200 transcript:ORUFI02G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEVEMRQAKCRQDYMAIVKQSRQLIEKLDAKNEPKKRQKRSKNSEKEKAVAPSSSKKMDREELEKTIRGFLKELDSTEAAPMRTTGCTSAMRPSTIDQDFNTQ >ORUFI02G26210.1 pep chromosome:OR_W1943:2:23530110:23530622:-1 gene:ORUFI02G26210 transcript:ORUFI02G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTRSAATEQAYSRLAPTAASSRGRGVGGGNGFDEFDESDIWGSFEPAAEVAESPRAARHQVPAARPPGRKAAAAASKPAAHGSLPVNIPDWSKILGDEYRGHHAGDWEADDVDDDDIDAASAVAVLPPHELAWRRRAASLSVHEDGMGIGRTLKVRDAVWKKTGFQA >ORUFI02G26220.1 pep chromosome:OR_W1943:2:23534400:23537296:1 gene:ORUFI02G26220 transcript:ORUFI02G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTVEEDLLVVRAFTRQATSPSYKAAQPWPEPTQRFIVVGERMIEALVESGGHALGRDMMFKFIAISHIPFGEMMGLKTSLAQALPLERRRESYESTCGIPLARRPFRTPPLMLTQSHSRSLTVQSISTTTPSQNSQRRRILWIAYSMRETLKILARNFWRFPLWEKTSYDS >ORUFI02G26230.1 pep chromosome:OR_W1943:2:23539053:23543305:1 gene:ORUFI02G26230 transcript:ORUFI02G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEASAPEGGEFSAKDYTDPPPAPLIDVEELTKWSLYRAVIAEFIATLLFLYITVATVIGYKHQSDATVNTTDAACSGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVLYIIAQCLGAICGVGLVKGFQSSYYARYGGGANELSDGYSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNKDKAWDDQWIFWVGPLIGAAIAAAYHQYVLRASAAKLGSYRSNA >ORUFI02G26240.1 pep chromosome:OR_W1943:2:23543599:23560280:1 gene:ORUFI02G26240 transcript:ORUFI02G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRMVASAFLLLAILVATEMGTTKVAEARHCLSQSHRFKGMCVSSNNCANVCRTESFPDGECKSHGLERKCFCKKSCSAVVSGDVSDNTEIFEDGF >ORUFI02G26240.2 pep chromosome:OR_W1943:2:23543599:23560164:1 gene:ORUFI02G26240 transcript:ORUFI02G26240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRMVASAFLLLAILVATEMGTTKVAEARHCLSQSHRFKGMCVSSNNCANVCRTESFPDGECKSHGLERKCFCKKVC >ORUFI02G26240.3 pep chromosome:OR_W1943:2:23543599:23560164:1 gene:ORUFI02G26240 transcript:ORUFI02G26240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSAVRVAGTDGSVSSHGRVRLPPPGHPRRHRDGDDQGGGGEALPVAEPQVQGHVREQQQLRQRVQDGELPRRRVQVARPRAQVLLQEGLLVHASPAVSAVALLVGCVSAEIVVACSPLSVVHDELLFLAYRLVELLSIILA >ORUFI02G26240.4 pep chromosome:OR_W1943:2:23543599:23559283:1 gene:ORUFI02G26240 transcript:ORUFI02G26240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALGCAVGRGLARSPPGSAAGTVVAGSDSRVASRACHLFDRMPDPRGYHQSTASGKRHVCSRFRPCQASDPTASARENTHGMHGSKNSKLGALTSAVEVCRLSSYKNKNMDLSKVKTGQIRCNFTDGVHFAYMATQAGVASYRNATPPLTLQLCIQGAVSYQLSNM >ORUFI02G26240.5 pep chromosome:OR_W1943:2:23559186:23560280:1 gene:ORUFI02G26240 transcript:ORUFI02G26240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRMVASAFLLLAILVATEMGTTKVAEARHCLSQSHRFKGMCVSSNNCANVCRTESFPDGECKSHGLERKCFCKKSCSAVVSGDVSDNTEIFEDGF >ORUFI02G26240.6 pep chromosome:OR_W1943:2:23543599:23550472:1 gene:ORUFI02G26240 transcript:ORUFI02G26240.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKVKTGQIRCNFTDGVHFAYMATQAGVASYRNATPPLTLQLCIQGNFHAFPLPPFLHERITSIAHETEGWTSTLEFCTSFH >ORUFI02G26250.1 pep chromosome:OR_W1943:2:23544827:23547970:-1 gene:ORUFI02G26250 transcript:ORUFI02G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLTTTWPWRFFFCLFFHLLFLFPTNSLNQSYCDPGDASALLGFMQGLSGSGSGWTVPNATSETANCCAWLGVKCNDGGRVIGLDLQGMKLRGELAVSLGQLDQLQWLNLSSNNLHGAVPATLVQLQRLQRLDLSDNELSGEFPTNVSLPVIEVFNISLNSFKEQHPTLHGSTLLAMFDAGYNMFTGHIDTSICDPNGVIRVLRFTSNLLSGEFPAGFGNCTKLEELYVDLNSITGSLPDDLFRLSSLRDLSLQENQLSGRMTPRFGNMSSLSKLDISFNSFSGYLPNVFGSLGKLEYFSAQSNLFRGPLPSSLSHSPSLKMLYLRNNSFHGQIDLNCSAMSQLSSLDLGTNKFIGTIDALSDCHHLRSLNLATNNLTGEIPNGFRNLQFLTYISLSNNSFTNVSSALSVLQGCPSLTSLVLTKNFNDGKALPMTGIDGFHNIQVFVIANSHLSGSVPSWVANFAQLKVLDLSWNKLSGNIPAWIGNLEHLFYLDLSNNTLSGGIPNSLTSMKGLLTCNSSQQSTETDYFPFFIKKNRTGKGLRYNQVSSFPPSLILSHNMLIGPILPGFGNLKNLHVLDLSNNHISGMIPDELSGMSSLESLDLSHNNLTGSIPSSLTKLNFLSSFSVAFNNLTGAIPLGGQFSTFTGSAYEGNPKLCGIRSGLALCQSSHAPTMSVKKNGKNKGVILGIAIGIALGAAFVLSVAVVLVLKSSFRRQDYIVKAVADTTEALELAPASLVLLFQNKDDGKAMTIGDILKSTNNFDQANIIGCGGFGLVYKATLPDGATIAIKRLSGDFGQMEREFKAEVETLSKAQHPNLVLLQGYCRIGNDRLLIYSYMENGSLDHWLHEKPDGPSRLSWQTRLQIAKGAARGLAYLHLSCQPHILHRDIKSSNILLDEDFEAHLADFGLARLICPYDTHVTTDLVGTLGYIPPEYGQSSVANFKGDVYSFGIVLLELLTGKRPVDMCKPKGARELVSWVLHMKEKNCEAEVLDRAMYDKKFEMQMVQMIDIACLCISESPKLRPLTHELVLWLDNIGGSTEATK >ORUFI02G26260.1 pep chromosome:OR_W1943:2:23563383:23563829:-1 gene:ORUFI02G26260 transcript:ORUFI02G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSTKAPSTPSAALSPPQVLHQLCGCALASDHFRAGEAAASQLPREKVAEPVGLFAFLLSSTMEALPIFMQEQEILAKEISLGIYSMTSSTVVFLPFQLALTVEFTVVVYWMAGLRSKTFGYFVVLVWLMLYKVNSEGIAVKRYVG >ORUFI02G26270.1 pep chromosome:OR_W1943:2:23566055:23567692:-1 gene:ORUFI02G26270 transcript:ORUFI02G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEQLLPAGTAQFLPTAGAAQLFRTHRTRHPLAGGGGGGQRRNIQADSSSSARTWRKPEAGWIKLNFDGSSKHATKIASIGGVYRDHEGAFVLGYAERIGRATSSVAELAALRRGLELVVRNGWRRVWAEGDSKTVVDVVCDRANVRSEEDLRQCREIAALLPLIDDMAVSHVYRSGNKVAHGFARLGHKAVRPRVWRAAPPEEVLRFLQQDADQR >ORUFI02G26280.1 pep chromosome:OR_W1943:2:23568572:23572604:-1 gene:ORUFI02G26280 transcript:ORUFI02G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIADCAADPPLADSYYALLRLGGDDDDDACTKVTTTPQPVSECELPMIDVGCLTAPTGAAAAAAVGQQHQAEERAACAAAIAAAAAEWGFFQVVNHGVAQELLEAMRREQARLFRLPFEAKSSAGFLNDSYRWGTPTATSLRQLSWSEAFHLPLAGISGKSCNYGDLTSLRDVTREVADAMSRLARALARVLAESLLGHAAGERFPEGCDDATCFLRLNRYPPCPFPPDDAFGLVPHTDSDFLTVLCQDHVGGLQLMKGSRWVAVKPIPGALIVNIGDLFQAWSNNRYKSVEHRVMTNATTERYSVAYFLCPSYDSPIGTCREPSPYKAFTFGEYRRRVQEDVKKTGKKTGLSNFLLSLTVYKYW >ORUFI02G26290.1 pep chromosome:OR_W1943:2:23580605:23585036:1 gene:ORUFI02G26290 transcript:ORUFI02G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLPFSPVRQCNNSMVATSEAAQLERMVPEQAGKGASVTSPQRRLPDGSMGHRRNESRRPSILSCVVEMKFTISNVTEE >ORUFI02G26300.1 pep chromosome:OR_W1943:2:23598194:23598664:1 gene:ORUFI02G26300 transcript:ORUFI02G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVLRELRLSPNDDSNRREPLARHAGASNGVDDVAAATGHIGMARPDLRPDRLLPCWWFPAEFAYEAIHGEGSPDFSCLVSVFGNLNELLPSHIVLEVLKRKYGPEGGIWSIRVMLYTFLASVPPFWAELENTIFTAILHRPPPSAGDVERVGG >ORUFI02G26310.1 pep chromosome:OR_W1943:2:23600516:23605563:1 gene:ORUFI02G26310 transcript:ORUFI02G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEADRSRRIPELRNRAPSFPVVARVLVRPPTLFISPTWTPHHPSRRLHRPNQTPPPFSSRRRVHPPERERESCPRRRGATVARRCSCGSRGGAQIRTGRGMASSPEAAAVGEEEKGKGKKEEGRRGGGVLGRMWRALFGGREDYEKRLQYLSKEEAAVHARMRRRTQFSRTAVRNLIVLSVLAEVVAIVYAIMTTRNEHITWEMRAIRVLPMFVLPAVSSVIYSTVVKFTRMLERKDQKTLEKLRAERKAKIDELKERTNYYLTQQLIQKYDLDPAAKAAAASVLASKLGEETGLKVHVGEEPKLDSAVARSNDVEISPSEGLRNRKQSNARGSRTGGTTAAQNPAQGAESSLTSSSGLEQPPMVVEHFQGSGASDGGWIAKIAALLVGEDPSQSYALICGNCHMHNGLARKEDYPHITYYCPHCHALNTSKQSLGQHSGSNSGRSTPVAPADGISASSSVVESEVSNMTTIQELKNEENTEKQEVQAS >ORUFI02G26320.1 pep chromosome:OR_W1943:2:23606281:23610533:1 gene:ORUFI02G26320 transcript:ORUFI02G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGVELMGCVCRIKNCAVELLEMEEDLVIGMDDDDRDLFWSELQLKTTFLYIDLSRVISSSESDERREALTLLTNKLFYFLEELTDAVTSGSVSFTKLCYGDAAQALREVVAFLAPPQ >ORUFI02G26320.2 pep chromosome:OR_W1943:2:23608702:23610533:1 gene:ORUFI02G26320 transcript:ORUFI02G26320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGVELMGCVCRIKNCAVELLEMEEDLVIGMDDDDRDLFWSELQLKTTFLYIDLSRVISSSESDERREALTLLTNKLFYFLEELTDAVTSGSVSFTKLCYGDAAQALREVVAFLAPPQ >ORUFI02G26330.1 pep chromosome:OR_W1943:2:23612125:23618859:-1 gene:ORUFI02G26330 transcript:ORUFI02G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAAAAAAAANSLFLVVVVVSPPSVWWCKLRWNRHTALAFLGGEERRGAGESLALLLSSFVVRLLSRLWEAGPPLFWASPLERAKVDAHQFKGGRDRAKRGNRAERHHLPPHALPSLPQCTRAPVYSPPPPLPLQGHAKNLRARLLRFHTARVSGAFREQSLDGTLGYFGGFIELWMPNSPMLHQNGSVTGATKVLSLKKKGEHRISSGMQMSTNPNHYPVFPHSFCNQHVVSFQTSTIANGSGAIPVCPASSGGMNSDMTLLNTTPSTIVSTSSPNMLADSNQSLKYAAPMAVDWSYPELQLLNDGLLKYANEPGIMKYIKIAAMLPEKTVRDVAMRCQWMAADKMVESSWATNRQVQTTDIRSPSTLACNTVQNNIDLFHHARRNISDLLQSMSQMPGIMSKMPPLPVSIDDRLASYILPGVNLAQVFGSSHLRS >ORUFI02G26330.2 pep chromosome:OR_W1943:2:23612112:23618859:-1 gene:ORUFI02G26330 transcript:ORUFI02G26330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAAAAAAAANSLFLVVVVVSPPSVWWCKLRWNRHTALAFLGGEERRGAGESLALLLSSFVVRLLSRLWEAGPPLFWASPLERAKVDAHQFKGGRDRAKRGNRAERHHLPPHALPSLPQCTRAPVYSPPPPLPLQGHAKNLRARLLRFHTARVSGAFREQSLDGTLGYFGGFIELWMPNSPMLHQNGSVTGATKVLSLKKKGEHRISSGMQMSTNPNHYPVFPHSFCNQHVVSFQTSTIANGSGAIPVCPASSGGMNSDMTLLNTTPSTIVSTSSPNMLADSNQSLKYAAPMAVDWSYPELQLLNDGLLKYANEPGIMKYIKIAAMLPEKTVRDVAMRCQWMAADKMVESSWATNRQVQTTDIRSPSTLACNTVRDNQFQSGGWFRTILIYSIMQEGTSVIFYKGTSFRQQPFEELREW >ORUFI02G26330.3 pep chromosome:OR_W1943:2:23612574:23618859:-1 gene:ORUFI02G26330 transcript:ORUFI02G26330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAAAAAAAANSLFLVVVVVSPPSVWWCKLRWNRHTALAFLGGEERRGAGESLALLLSSFVVRLLSRLWEAGPPLFWASPLERAKVDAHQFKGGRDRAKRGNRAERHHLPPHALPSLPQCTRAPVYSPPPPLPLQGHAKNLRARLLRFHTARGEHRISSGMQMSTNPNHYPVFPHSFCNQHVVSFQTSTIANGSGAIPVCPASSGGMNSDMTLLNTTPSTIVSTSSPNMLADSNQSLKYAAPMAVDWSYPELQLLNDGLLKYANEPGIMKYIKIAAMLPEKTVRDVAMRCQWMAADKMVESSWATNRQVQTTDIRSPSTLACNTVQNNIDLFHHARRNISDLLQSMSQMPGIMSKMPPLPVSIDDRLASYILPGVNLVSFLLL >ORUFI02G26340.1 pep chromosome:OR_W1943:2:23633425:23643024:-1 gene:ORUFI02G26340 transcript:ORUFI02G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTQNENSKEAKQDDETRQNKQDDEEARLEEYKKLVDQKTALRRSNLNSERPDANYLRTLDSSIKRNTTVIKKLKTINDEQKDGLMDELKSVNLSKFVSEAVSYICEAKLRSADIQAAVQVCSLLHQRYKDFSPCLTQGLLKVFFPGKSGEDLDADKNSRAMKKRSTLKLLIELYFVGIVEDASIFVNIIKDLTSLEHLKDRETTQANLSLLSAFARQGRFFIGLQSHGQEAYDELFKDLNVTADQKKFFKKALNTYYDAVAELLQSEHASLRLMEAENAKVLTAKGELSDENTASYEKLRKSFDHLQRGVSSLAEALDMQPPVMPDDGNTTRVTTGSDVAPSTAKEPSALEPIWDDEDTKAFYESLPDLRAFVPAVLLGEAEPKLNEKGREQSEPVAEQDTDVHDNPQTSSITEYHLEGKADDGVKDSEEKDKDKGKGVDKEKSKEKDFDRKTEREKEKIRAVDGASLDNLLQRLPGCVSRDLIDQLTVEFCYLNSKASRKKLVRTLFNVPRTSLELLPYYSRLVATLSTCMKDVPSMLLSMLEEEFNFLINKKDQINIETKIKNIRFIGELCKFKIAPAALVFSCLKSCLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKSSVEHVLRQLRKLPWVECQQYLIKCFLKVHKGKYSHVHLIALLTAGLSRHHDDFAVSVVDEVLEEIRIGLELNDYGMQQRRLAHMRFLGELYSYKHIDSSVVFETLYLIIVFGHGTPEQDVLDPPEDCFRIRLIITLLQTCGHYFNRGSSKRKLDKFLLHFQRYIISKGPLPLDIEFDVQDLFAELRPNMARYSSVEELDAALLELEESERAASVEKPENEKLSDSESQKVQPHDTAFSANGRSSANGAEENGKDHEGADSESYSDSGSIDGHEDEEDLMFEDKSNDASENEGDDEDDGIPAGSDEDEGVEVRHKVVQVDPKELEDFDRELKALLQESLESRKSEVRPRATLNMMVPMNVLEGSKDPRAVESESGEETVDEEGGSAGGGSKVRVKVLMKKGHKQQTKQMFVPGDCSLVQSTKQQEAAELEEKQSIKRRILEYNEREEEEMNGGSSQMGNWGQGGSNTGSSIRSGGRGIWDGSIRGGRQRHHIAGGFYHSYGRRR >ORUFI02G26350.1 pep chromosome:OR_W1943:2:23646560:23647771:-1 gene:ORUFI02G26350 transcript:ORUFI02G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGAGAGGAVVGAAVAAVAVGGGAPPHVLAVDDSSVDRAVIAGILRSSRFRVTAVDSGKRALELLGSEPNVSMIITDYWMPEMTGYELLKKVKESSKLKKIPVVIMSSENVPTRISRCLEEGAEDFLVKPVRPSDVSRLFSRFLEVNLKAVTMEFLT >ORUFI02G26360.1 pep chromosome:OR_W1943:2:23650811:23654762:-1 gene:ORUFI02G26360 transcript:ORUFI02G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVARAAVVGKGAAVALQGRGAQDNRRQRTWEAGIRRRWRAWAANMVKATRGEEDSATATHTHDRLQKSTIFTSCYRQSIWPHAKMTLKDYGLCCETLEDRVFARGHCKNMTQSPLIGNGGNEPAVRGEDEVEDTLAVIVVARGWDDRCWSSGGEEQGIADGRDGGGAMKRIWDAEMTRPQSRGEEARQGEAGVVVDGEETISIQRTVSGGCGRGEHVMWEMLSRTSTARAARRAAGAATGQEAQPVPAAATVDREYLALRGLIEGLCHGLVVLALGMWKQSWRGRPSSRVQTRLAAPPTSRSSATARSNIPFRLLPPRPKGKRKERDI >ORUFI02G26370.1 pep chromosome:OR_W1943:2:23652280:23652519:1 gene:ORUFI02G26370 transcript:ORUFI02G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPDAAWRPGCSGRGLPCDDDDGEGVFDLVFSPDSRLIASVSNERGLGLVQPAFTSKTARGHFGTFNVLSLSSSAKK >ORUFI02G26380.1 pep chromosome:OR_W1943:2:23663537:23669134:1 gene:ORUFI02G26380 transcript:ORUFI02G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGINTYIRSWEAPAKGFTIPNGSVLYVVGCGVGVHLLALDSNDTMGSCTTLCFKDPVDMMQANGTCDGIGCCKIDTMREVQGFRLRIVRQDGIVAVPSVKAFLTYYGSYEFRTEDLSSPWINGSNLLNTTIYGAIMDQPNCRSASKNKATYACSRNSQCVNADRGGYYCYCPDGNPNGIPYTQEGCTGYNPFPKGICNRFCGNTNVPFPFGLEEGCYALEKFRLNCRAGKLFLDRGDVDYLVRNISVDNGYMSVTNEQNNSTSNGTEIMVVARTMHGSSEDDPQLDLFDLTQENDMKMWWAVVSFTCQEATQDINNNSYARRSVHSECIDVNVTHGTQLGYRCKCSPGFGGNPYIEDGCTDVNECLLPNYCNGRCQNFIGGYNCTSCPRGKEFDPTRRECVTSAKLISMALGISCGLGFVMLALGATILITKWKRDENTKDKTRIFSLEELEKATDNFDVTRVLGHGGHGTVYKGILSDQRVVAVKMSKIVEQAEIDQFVNEVAILSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLCELLHNDVSAKCLLSWDDRIRIAIEAAGALAYLHSAAAIPIFHRDVKSSNILLDDNFTANVSDFGASRSIPLDQTHVVTMVQGTFGYLDPEYYNTSQLTGKSDVYSFGVILVELLTRKKPILINDVGTKQNLSQCFLEGLQQGVLMEILDSQVLEEAGQEEIDDIASIAQACLTAKGGERPTMKEVEMRLKFLRTTRLKKCQPISVMDEEIEPFICPKTISSDAQSSFIHTAGFTSEYSTRSYRLEQELSSSVGLPRQKFAQQHGRLLEAC >ORUFI02G26390.1 pep chromosome:OR_W1943:2:23676735:23680150:1 gene:ORUFI02G26390 transcript:ORUFI02G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTKLEDSPMFRKQVNSMEQLSDELKQRCSNLHKGCKRFIIADNLSFRGSLDEGYAGDLTFADALEAFGAGQDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQCWEIHGRLPLRGGTRAAFHLTSLPASDLPLANLLCTASIRHRCSRHIQVAASGWTHKVVGRSERGARDSGAVGMGMEMEMEIVGAPH >ORUFI02G26400.1 pep chromosome:OR_W1943:2:23680848:23689390:1 gene:ORUFI02G26400 transcript:ORUFI02G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVKVWRTCGLRIMPDMEHQSEGRFFAWHIQIEVDHMLSERLMQFIDVDLHGVKAREKFVSVRKGTRAEVVTGLEEDLHNAKSAFERCRFNLGYELLSQMEPFIHQVLTYAQQSKEMAINEQDKLAKRIQEYRTQEEIANLRMASNVDTSTSGDGIHVVGLQSYKKIEALMQSTANGEVQIIKQGYLFKRSENSREWKRRFFVLDSHGTLFYYGNKGQSQGAASQQTAGEGTGVFSRFRFLNQKAPTQGDNALSCHKIDLRTSTIKIDAEENDLRFCFRVISPMKAYTLQAESGADQKDWIEKVTGVIASLLNLPFPRQVSYGNLEAEHHGSANSVDSLSLEENKSPEGHDDIFNHLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIMDLFHELGNDYTNSIWEAMLPKEDQGINEFNDAILFIEKPKPTDAFSIKERYIQTKYVDKLLIAKDTNQITIDILEAVRTNDVRAAYRILVLADVSPNMIYDELNNDVHHDPSVTDGKLFDPASCDVKDDSGKPEGCLQGCSLLHIACQYGHSIMAELLLLFGADINKQDFHGRTPLHHCVRRKNDALTKHLLKRGARTTIKDGGGLTALERRMELGAITDEDLFILFVR >ORUFI02G26410.1 pep chromosome:OR_W1943:2:23691461:23692629:1 gene:ORUFI02G26410 transcript:ORUFI02G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYARRPPRHRRRCCPRPRPCRGGSCNIVHITDARRPPRHHRRCNRRRCCPHPHPRPCRGA >ORUFI02G26410.2 pep chromosome:OR_W1943:2:23691461:23705104:1 gene:ORUFI02G26410 transcript:ORUFI02G26410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAGAHWRRCEEEITCAAILDVWVSFKTGRTLATISHERFPMGDVQAVAVATAACVCSVLLHSYFTS >ORUFI02G26420.1 pep chromosome:OR_W1943:2:23697864:23705914:-1 gene:ORUFI02G26420 transcript:ORUFI02G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLVAACAVSFVLLCSATTSPASAAVYGVGGGLLSIPSNDSLAHCPSRCGNVGIDYPFGIAPGCFREGFELICRNTAKTPKLFLRDGTTEITDLSYRYGYIWAHIYFNITARPGTDTYNISWVAPIEGITIDHYTAFYVIGCNFDATLFEYGTGDLIGSCMSRCDGEKAPIGGPCNGMGCCSIGLPRDLRGFQSTIILRSDGIPVAQTDPVHSGIMAFMSSDYYISNTSDLFLGWTNTSKVGALLTFATIDQPSCERARMNNTSYACSPGSNCQDVSSGGYYCYCSGYEQGNPYLLYGCTDYNPKYKEHCSTSCGDMKIPFPFGVEEGCFANERFRLNCTEGNLTVCELGEAQYHVTAVSLDDGTLTVGNMMNDTNYEKEEIIVQTTDTGPVEDRFDLSMEYAIVIRWAVTNLTCEVAVQKNTTYACRSSHSYCLNVTHRKAFMGYRCKCSPGFEGNPYIEDGCTGIAIGIGCGLGSIVIVLGAMILANKWRKGIQKRIRRAYFKKNQGLLLEQLISNESATNKTKIFSLEELEEATNNFDGTRVLGRGGHGTVYKGILSDQRVVAIKKSKIVEQTEIDQFINEVVILSQIIHRNVVSDFGASRSVSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLIRKKPIFINEAGAKQSLSHYFVEGLQEGSLMEIIDPQVVEEANKEEINEIASLTMACLKVKGVDRPTMKEVEMRLQFLKTKRLRKFQLLPGNDGEIEHLSSPNTSNSYAQNIYTNAGDLTSEGIPDYDPYPRRGNCERSCGKLSIPYPFGLEEGCFANKKFRLTCALGNFTILDRGRTKYHVALISVNEGYLNVSNMLNDTSPEGDELIVVHTVDASQRSTTYACISAHSECANATHGKMSLGYRCKCSAGFDGNPYVSDGCTDIDECSQPNLCNGICLNYPGGYNCTKCPRGKEFDQTKRKCVTSAKKRNLLLGMAVGISCGLVSIALAFCATMLANKWKKGIQKRIRRAYFKKNQGLLLEQLISNESATNKTKIFSLEELEEATNNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKIVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLYDLLHINVTAKCLLSWDDRIRIAVEAAGALAYLHSVAAIPIFHRDVKSFNILLDDSFTTKVSDFGASRSVSLDETHVVTIVQGTFGYLDPYYYHTVQLTEKSDVYSFGVILVELLTRKKPIFIDDVGAKQSLSHYFVEGLQEGSLIEIMDPQVVEEANKEEINDIASLTEVCLKPRGGDRPTMKEVEMRLQFLRTKRLKKSQVTAGIDGEIKDLICPNASKSHAQNSSVGASDLTSEGISNCYSLEQEFSSSINIPR >ORUFI02G26430.1 pep chromosome:OR_W1943:2:23711980:23713632:1 gene:ORUFI02G26430 transcript:ORUFI02G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLMAAFGVSFVLVCSAATPAASAAVYGVSGGLLSIPSNDSLAHCRSRCGNVGIHYPFGIAPGCFREGFELICRNITNSTPKLFLGDGTTEIAYLDNDRGRYNLVFAHIYFNITVKPGTDTYNISWVAPTEGITIFYYSTFYVTGCNLKATLFKYGTKDLIGSCMSRCDGEKTPIGGPCNGMGCCFIQLTRDLRGFQSTIILRSDGIPVAQTDPVHPSDDYRSNTSDLYLGWTNTSNVVGTLLSFSTIDQPSCERARMNNTSYACSPGSNCQDVSSGGYYCYCSGYEQGNPYLLYGCTEGNLTVCELGKAQYHVTAVSLDDGTLTVGNMMNDTNYEKEEIIVQTTDTGDDDSFSGPVEDRFDLSMEYAIVKRNVSAAISICPLHRLQPSNQKKRLHFCRSTAADS >ORUFI02G26440.1 pep chromosome:OR_W1943:2:23717893:23738430:-1 gene:ORUFI02G26440 transcript:ORUFI02G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPFPFGLEEGCFASERFRLNCTTGNITLFNPRDARYNVTDVSIEEGTMVVSNLLNDTEYGGEDIISQVYGGAEIKWAVANLTCDAAVKKDATYACRSIHSNCLNVTHGNIFMGYRCKCLPGFRGNPYIQDGCEDIDECSLPNYCNGTCQNLPGNFTCTSCPRRKEFNPITRQCVASAKQHNLIIVEEKHQKRIRRAYFKKNQGLLLEQLISDESATNKTRIFSLEELEEATNNFDATRVLGRGGHGTVYKGILSDQSVVAIKKSKIVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFIPNGTLHDRLHTDVSVKSSLSWDDRIRIASEAAGALAYLHSAAAIPIFHQDVKSSNILLDGNFTTKVSDFGASRSVSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLTRKKPIFINDVGTKQSLSHYFVDRLREGSLIEIIDSHVLEEAHREDIDDIASLTEACLKLRGGDRPTMKEVEMRLQFLRTKRLRKFQLLPVPGSVGEIQHLLSPDAGKSQAQNNYTSAVCASSFVLVWLAATSPASGAVYGVGGGLLSIPSNDSLAHCPSRCGNVNISYPFGIGPGCFRQGFHVTCDNTTHHPKLFLGNSTTEITYLIYKVSIGFNVTMIPGRSAYTMSWESPAKGFNISYDNYLFVVGCGVEVYLFDIDTNRTIGVCISTCIGDRKIMEGELANADDCNGLGCCSIDMLEGSVRGFRLKLIHHDNIKSQSEVVPSTVKVFVAEEYVFDPNDLYSSWINTSNIYSNASLDFAVVDQPSCEIASVDKGSYACGANSFCRNASFGYKCNCNNRFSGNLGANPYVLDGCIEGYNPNPTRRNCKRSCGNLHIPYPFGLEEGCFASKKFQLSCTSDNFTILDRGRTKFHVSMVSINEGYLTVSNMLNEDEKLVVVHTIDGQLMYPADITQDLFEFSEEFDMNMKWAITNLTCKTALQRSMTYACISNNSECLNATGGKMPLGYRCKCSAGFEGNPYVKGEDGCTGISLYLPLGAILHILTSAFSQIHVMEYAKIYLVAIVVPLAHMSAKQGNLPTGIAVGISCGLGSIVLAFCATMLANKWKKGIQKRIRKAYFKKNQGLLLEQLISDESATNKTKIFSLEELEEATNNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKIVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLYDLLHTDASAKCLLSWDDRIRIAVEAAGALAYLHSAAAIPIFHRDVKSSNILLDDSFTTKVSDFGASRSVSLDETHVVTIVQGTFGYLDPDYYHTGQLTEKSDVYSFGVILVELLTRKKPIFINDVGTKQSLSHYFVEGLQEGSLTEIMDPQVVEEANKEEINDIASLTEVCLKPRGGDRPTMKEVEMRLQFLRTKRLKKSQLTAGSDGEIKDLICPNASKSHAQNSSVGASDLTSEGISSCYSLEQEFSSSINIPPTQAMTQAAGMSVLLMCLLGAALPRPAATGVGDGGITYIPSAAYLQAHCPSRCGDAEFFYPFGTSPGCFRQGFGLTCDNTTVPPRLFWGDTTTQILSTDPTDRNFIYASIAFNITMVPGVSVYRMSWESPANGFYIDSDTAMYVVGCGVEVYLFDKDSNVSIGSCKTMCMGNKTSMEKALAAVVGGCNGLGCCRIDLPAYIRGFEVTASRVDEKTARSESWPPTVYVFLSEDYNFNTTDLYSPWTSKRVFTSLEAFVMDQPSCESALANKASYACSTNSLCQNMSGGGYMCYCHPVSSSGANPYVLDGCIGEYNPSPRGNCTKWCGNMSIPFPFGLEEGCSALRKFRLNCTSDNLTILDRIEVTYLVTNISVNDGYFVVRNLRNSSRYNDEDMKSTNGNRREMEPDSLLRDLFDLSQEYDMMMWWAVTNMTCQEAIQRNDTYACRSVQSACQDVAHEGIPLGYRCKCTPGYEGNPYVHDGCTDVNECQLLNSCNGPCQNFPGGYNCTSCPHGKEFDAAKKECVASVKLLGSIILALSATALAAKWKKSIQKRIREGHFKKNKSLLLEQLILDKSAADRTKIFSLEELEKATNNFDATRILGGGGHGTVYKGILTDQRVVAIKKSNIAKQAEIEQFINEVAVLSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLYNVLHNDESVKGQLRWDDRIRIAMEAAGALAYLHAAAIMPIFHRDVKSSNVLLDDNLNTKVSDFGASRSVSLDQTHVVTAVQGTFGYLDPEYYHTGKLTGKSDVYSFGVILVELLTRKKPIFDNDQGVKQSLSHYFIERFQEGTLMEIVDSTIVEEANKEEIDGIASLILACLKLKGEERPTMKEVDMRLQFLRTKRLLKCQHFPISTGEIRSFCPQVNRNSHPENNLSNAVNFPNEGISGCYRLQQEYVDSASFPR >ORUFI02G26450.1 pep chromosome:OR_W1943:2:23733901:23736288:1 gene:ORUFI02G26450 transcript:ORUFI02G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTDTITTQRHKCKAEQRPQWSCRVFADRGPVSERCRSVGAKGRPGPPRRSGSSSSPARSEGQCLPQARRPAGRRSRLSRLFEPKLGFWTSDEIKNFNRAIYTLCKGIRIVL >ORUFI02G26460.1 pep chromosome:OR_W1943:2:23741598:23755457:-1 gene:ORUFI02G26460 transcript:ORUFI02G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRRFAKSELSAVQKGALERVGASRTSGVTQNDLCKTFRMKGNNFHFIVKSLQSQKLIVRQSTIIKVKDHGADAEDASQNKQIINTNSLYLSRYAKNLNMNSQQRIEIIKPELLGSNEESNGDVLQEDGAFGVNDKNDISIHDYLPAMKAICDKLEEASGKTLVVSDIKVDLNYRMTYGHRAWRNVLHRLRDAQLIEEFDAKVDDKFIRCLRLLKKFDPNEFQPKSTTSNYKLGKKGQATDQVMELPLENCIYDMINAQGPKGITLVELGKRLGHNNSKRLHKRVSSMLKKYNLTWEAEVQDKTSQYRVWTSKNFSHYKAGAALHNLEALPDDHEKCSDLWSLVPSKGSESPISRVDLFVDNNKLLLEEECHNKPAGHPLQSNHEACVGVSQIVEQEKLALGQRKRRRCPPLTSDDRRHRRILHMLKKKKFVLKVELHKWLEGLEKENGKIMDRKTLTRTLNKLQEEGSCKCIKVSVPLVTDYTRSRLIDVILHSSVGDLSPELVDQIRNRQRNFDTETRSGAAAKLKQNQHMTAILGLRIPRRVKDNKPLILEAMHANGFIGAKMIRAKLFHKFLWVYVSGLPNWCDPFDNDKEGHHDKNLSQSSVLFSMVGATKEMPLELFLQVVGSAKKIDHMITKCRLGKTLSEIPTEEYNQLMDTHAKGRLSRLVNILDKLKLVQLAKELVDDSGAPSDALPTHSIELRPYIEEPTPRILPSSHVNVNHRPKIRHDFVLSRQEFVDAYWETLEYCYLTAGLAEPSSAFPGCSVPEVSHPRSWSSLRVMTTEQRLELQQRIMNASENGKLPFRDCRIIARELNLSVQQNRQLHGQPTVPAARKRRKVNSGSTSKKRKRSADEITLKFIKRNVETIESTEPRPAQSIPHEEVSERISPSTFHTDSLPQVDEDTISSPRISRSTILRGSCMREKRFVWTYDSDRKLLMIYTRSRATLGAGSHRVDWNSLSDLPAPPAACRRRIAYLRKKTNIRPAVSRVCDLLGIRYARYLEKEKRWKLRGLPSEISNSSHDNCVDPDSEQFFWDNFEDPEIKSALDEVLEFIRVEKMEQTKRVVSKNERNNDDNDATKEVPNGQKQPVLGARATCASTAIQESGLREHAKSYRLSNAIHASKNMDIPFRSHEKAINHNKDDIAKRDVCRSLAVANALELLKLAFLSTSSGPEVQASLAATLQLYSETEIFTAFSFLREKNFMVTGDGTKPYTLSGKFFFNASNSPFPFGSGKKASEFSQWVGQQKNTMDDGVCLYPDLQCGEIVQLFSLVLSGESFISPSLPSEGVGEADEPNSSSLFVDDNSELDESSHKRKADMVKLKSSKTKKHKPLPKIESDFCYRREKGFPGLQVALNQERIKTSNLTQELHDKECLIFTSTWEMGSKDVDSQVESHNMSSLYLNNSSSCRRLLSESHLENSYSGWPWDAIKTYAEQSPSLCCNKNEPDILSSDLFRNAFCVVHKAGEQGVNLREMSQALDPLGIRFVDLIVHTLKRFQLVIKVNAYDGVQIVDSIHKSKYHITTLADSSHCSCLRASAFEMAETGDTENLLREKHAMSSDVQGSVKMLGDGHTVTVLNVQSKSSSPQIRYQSPVGQKRSFTPAQDNRGSDCCHACERHIYHPILPWINGDGSMNNTVYEGLSRRIIGYTMQYPGVVEEDIIHRMDVLNPQTCRTLLEKLMFDKHLYARVFDERAPSAPTLLQSILKQDRCKEPSKCNKRYFANPMSTFLL >ORUFI02G26470.1 pep chromosome:OR_W1943:2:23754893:23756260:1 gene:ORUFI02G26470 transcript:ORUFI02G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASLLLLRRRGGSHAADALLRALTTAAGEQPLTASAAKTRLRREHDPDRVVSLFEAIDDASLSASSTRHALSLAARRLSRSRRFADAEALLSSHIPASPTEPQLAAVLCSYAAASLPEKALAAFRSAAPSLPSPISPLPFNAVLSVFLRCRRHRRVPVLFDELSKEFSITPDASSYGILVKAYCMLSKDAKAHEVLDQMRGQGFTPTNSIYTTMIDSMYKQKKMEQAERLWKQMLESGRKPDQAVYNTKIMHHSLHGKTEDVLEVIAEMEEAGVKPDTITYNFLMTSYCKHGKMETAKELYRSLGEKGCSANAATYKHMMAQLCAHGDLDGALVIFKESYRSNKVPDFRTMSGLVEGLTKAGRVAEAKNIVAKMKKKFPEQLLSGWMKLEKELGLNSDSGDAGTRAECISEETPSEAEAAMPKTLELEDPSSDETECMAEASTSEEMPRDSA >ORUFI02G26480.1 pep chromosome:OR_W1943:2:23761773:23767583:1 gene:ORUFI02G26480 transcript:ORUFI02G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHTAAAAGGDEEVAEAEAAAAAAAGSTLRHRHAGKGAEEHEAAGGGGGGRNGGADDPDATSVERVFADKAVPSWREQLTLRAFVVSALLAVMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTSAVERIGLLKQPFTRQENTVIQTCVVSAYGIAFSGGFGSYLFGMSETIAKQATEAKDAQNIKDPHLGWMIGFLFLWFYTAGDDCGFKNFPTLGLEAYNNRFFFDFSPTYVGVGMICPYIVNVSVLLGGILSWGVMWPLIAKKKGSWYPADISDNSLHGLQAYRVFISIALILGDGLYNFLKVLIRTIAGFISMVQNNSKGMLPVSDNGMSMSTAEEVSFDDERRTEIFLKDQIPKSVAYGGYVVVAALSIGTLPEIFPQLKWYYILVAYIVAPVLAFCNAYGSGLTDWSLASTYGKLAIFVFGAWAGLSHGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTGMGCVIAPCVFWLFYKAFSNIGTSGTEYPAPYAIVYRNMAILGVDGFNSLPENCLTLCYIFFAAAIAINLIRDLAPHKVSRFIPLPMAMAIPFYIGSYFAIDMFLGSVILFVWEKLNKAKADAFGPAVASGLICGDGIWTLPQSILALAKVKPPICMKFLSRAANAKVDSFLAG >ORUFI02G26490.1 pep chromosome:OR_W1943:2:23766653:23770134:-1 gene:ORUFI02G26490 transcript:ORUFI02G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVGVGGGGGGNYDGGGGNASSLFGGGGFMPSQATNAAEGTSGGGGGFPKSRNAQALLPLTVKQIMDASQTNDDKSNFAVNGMEVSTVRLVGRMLNKLDRVTDVSFTLDDGTGRVPVNRWENDSTDTKEMADIQNGDYVIVNGGLKGFQGKRQVVAYSVRRITNFNDVTHHFLHCVHVHLELTRPKSQVNANTATGTPNQTMPRDSMAYNQSPLTNQASTFSAPQNTGTGTNMIDLVLNVFHDPAVMNDDHGVGVDYVSRRLNLPEETVGKIIIDQVDLGHLYATIDDHHYKSTMNG >ORUFI02G26500.1 pep chromosome:OR_W1943:2:23773398:23777554:-1 gene:ORUFI02G26500 transcript:ORUFI02G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPQIRQTLSEIDRRIPDALRVAMGLRLRPTAGAALEEVTRFAASCLPRPCPEGGDDPMECDEAAPARALRMEAASCFLPDHDEDTHFVRPEAGVVALADGVGGYRAPGVDAAAFARALMYNAFEMVVATTPGGAGGICPYALLGWAYEQAVSARTQGASTAVILSLAGATLKYAYIGDSAFAVFRDGKLFFRSEAQVHSFNYPFQLSVKNGNSVTSAARGGVEVKEGDVVVAGTDGLFDNVTSEELQRIVAMGRALGLSPKQTADVVAGFAYEASTTMGRDTPFSLESRKKQGTIFRRGKRDDITVVVAYIMEKRMETLEQIKETLRETSKLVPDIVRAAVGLEHHYQTVELPHDDGCVKSFAAAFLRPQAQEQAHGDGEVQQAVRMESASCYVPDHDEDAHFVHDAAGVGGYRRRVGVDAGAFSRGLMTSAFAQLVTAEPGTPVCPYTLLERAYEETLESGAQGGSTAVILSLADGNVLRWAYIGDSAFAVLRDGRVVVRSVQQQRYFNAPYYLGGWRGDEGMTVGMVGEMKVRRGDVVVAGTDGLFDNMSDAELEKVVQIGTALGFSPKNMADIIGGTAYEMSRCLLKDSPFAVEWRKQHENEEEHFYGGKVDDITVVVACIVSSDS >ORUFI02G26510.1 pep chromosome:OR_W1943:2:23783000:23785728:-1 gene:ORUFI02G26510 transcript:ORUFI02G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRKPVDGDGDGAASAVVFLVPFPAQGHVTPMLHLARALAARGDVAPTVVLPDFIHRRVVRAGGNGGGGGVALASFPSGIPGGDDGDGDPGFASIVHAMENRMPAHLERVLMLMRDDDDDRLAAAGRRAACLTVVVDVLASWAVPVATRCGVAAVGFWPAMLASYRVVAAIPELIDRGLVSEYGIPILANGFNKNQGQVKANLQAEIISLFTEELELSTTDLPWLVGDAATQKSRFAFWLRTMERVKTLRCILVNSFPGEAIAAGADQQQPLPQDQQILQVGPLLATIVTDRAKGNSNLRCSPMKTTKNDTSTCQADDRTSCMEWLDQQRPGSVAYVSFGTWVAPIAPGEITELAVGLEATGRPFLWVLKDDPSWRAGLPAGYTDQYSGRGKIVAWAPQEDVLAHGAVGCYLTHCGWNSTLEAIRHGVRMLCYPVAGDQFINCAYIVRAWGIGIRLRSADRGEVVDCVGRIMEGEDGRRLREKLDELRERVMAGEALCVAKRNIEEFIRGISGQRLQ >ORUFI02G26520.1 pep chromosome:OR_W1943:2:23790463:23791359:1 gene:ORUFI02G26520 transcript:ORUFI02G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSALAHLASASPLPAFSPKPRARPGSAAGPALRRLAVAAPAPRAYFSSSPMPYQPQPQQPAGYSSHQAFGLVPMVIETTSRGERAYDIFSRLLKERIVLIHGPIADETASLVVAQLLFLESENPLKPVHLYINSPGGVVTAGLAIYDTMQYIRCPVTTLCIGQAASMGSLLLAAGARGERRALPNARVMIHQPSGGAQGQATDIAIQAKEILKLRDRLNKIYQKHTGQEIDKIEQCMERDLFMDPEEARDWGLIDEVIENRPASLIPEGATGVDLPHHSAAGVGGRGRDVEEPSAV >ORUFI02G26530.1 pep chromosome:OR_W1943:2:23793926:23797232:1 gene:ORUFI02G26530 transcript:ORUFI02G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFIRFQEAVKTLAKNPMFANNRLFARDPRHLQFEADVNRLFLYTSYYRLGANAEEKDAEEIIDMASKASVSEQQKQVQENVHYQLTNMCQAMDSILLPDTKNGASEANNYPRRSGLSFAVGTGVASANKPDVPSTRPLNRAELSNKFRDHFQYTLDIRPSQIPHKDAGQGLFLSGETNAGAVLAIYPGVVYSPAYYRYIPGYPKIDACNNYLITRYDGTIIDAKPWQLGGDSREIWDGSDLVDYNAVPSKSQESNSDRAWRMLSKPLKKGHTENFGEVLERRNPLAFGHFANHPPKGSTPNVMICPYDFPLTEKDMRVYIPNITFGGEEEPVTMKRFGSFWFKSGRSGNQEGESPVLKTLVLVSTRSICDEELFLNYRYSNSKKRPEWYIPVDEEEDKRRWS >ORUFI02G26540.1 pep chromosome:OR_W1943:2:23801855:23809168:1 gene:ORUFI02G26540 transcript:ORUFI02G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATAAAVSSSFLALALLSLCAAAAGGSPQLDAEAARQQEADRVTRLPGQPAVRFAQYAGYVTVNETHGRALFYWFFEATAAADKKPLVLWLNGGPGCSSVGYGEAEELEANLMFLESPVGVGFSYTNTSSDLQQLGDKITADDAYIFLLNWFKRFPQYKSHDFYIAGESYAGHYVPQLSEKIFDGNKQGPKENYINFKGFMIGNALMDDETDQTGMIDYAWDHAVISDRVYADVKKYCNFSMENVTDACDSALTEYFAVYRLIDMYSLYTPVCTEVSSSAAFGQRQVAVHGAAPKIFSKYHGWYMRPAGYDPCTSDHAEVYFNRADVQEALHANVTNIGYNWTHCSDVIGKWRDAPFSTLPIIRKLVAGGIRVWVFSGDTDGRIPVTSTRLTLNKLGLKTVQEWTPWYDHQQVGGWTILYEGLTFVTIRGAGHEVPLHAPRQALSLFSHFLADKKMPPTAFP >ORUFI02G26550.1 pep chromosome:OR_W1943:2:23809536:23813192:-1 gene:ORUFI02G26550 transcript:ORUFI02G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSARRWWVLGRKSGPSVIGSAHDSPTSPSRRLPPHNFFPTGQRLRVFPFVLLSGPSPSDDATFPTPGSSSGEGSCELASRARLFKEYKEVQREKSADPDIQLICDDSNIFKWTALIKGPSETPFEGGVFQLAFSIPEQYPLLPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDIRGYQSMARMYTRLAAMPKKG >ORUFI02G26560.1 pep chromosome:OR_W1943:2:23812430:23817790:1 gene:ORUFI02G26560 transcript:ORUFI02G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVIIATEKKLPSILVDETSVQKIQSLTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKETIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISANNIEIGVIRSDREFKVLTPAEIKDFLEEVE >ORUFI02G26570.1 pep chromosome:OR_W1943:2:23818433:23821847:1 gene:ORUFI02G26570 transcript:ORUFI02G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPASSGSGPVVTEVEMNAGADQGATGTVRATVVQACTVFYDTPATLDKAEKLVAEAAGYGSQIVLFPEVFVGGYPHGSTFGLTIGNRSAKGKEDFHKYHAAAIDVPGPEVTRLAALAGKYKIFLVMGVVERVGYTLYNTVLFFDPLGKYLGKHRKVMPTGLERVFWGFGDGSTIPVYDTPIGKIGAVICWENRMPLLRTAMYAKGVQIYCAPTADFSPSWQASMTHIAVEGGCFVLSANQFCRRKNYPPAPEYTFGGLDEEPSPESVIWPGGSSIVSPSGTVLAGPNYEGEGLLTADLDLGEIARAKFDFDVVGHYARPEVLSLTVKTESKLPVSFTSAAEKNSAAKSDGISRT >ORUFI02G26580.1 pep chromosome:OR_W1943:2:23824607:23828593:1 gene:ORUFI02G26580 transcript:ORUFI02G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPSGSGGGPPVIAEVEMNGGADSGAATVRATVVQASTVFYDTPATLDKAERLIEEAAGYGSQLVVFPEAFVGGYPRGSTFGFGANISIGNPKDKGKEEFRKYHAAAIEVPGPEVTRLAAMAGKYKVFLVMGVIEREGYTLYCSVLFFDPLGRYLGKHRKLMPTALERIIWGFGDGSTIPVYDTPLGKIGALICWENKMPLLRTALYGKGIEIYCAPTADSRQVWQASMTHIALEGGCFVLSANQFCRRKDYPPPPEYVFSGLGEEPSPDTVVCPGGSVIISPSGEVLAGPNYEGEALITADLDLGEIVRAKFDFDVVGHYARPEVLSLVVNDQPHLPVSFTSAAEKTTAAKSDSTAKPY >ORUFI02G26590.1 pep chromosome:OR_W1943:2:23847126:23853103:1 gene:ORUFI02G26590 transcript:ORUFI02G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVILLCSTLLLYSPAAVCTVGSSSSSTNATDKQAAALLSFRSMVSDPSGALTWWNASNHPCRWRGVACGRGRHAGSVVALSLGSSSLSGLISPFLGNLSFLRVLDLGANQLVGQIPPELGRLGRLRELNLSGNSLEGGIPPALAIGCSKLESLSLDSNHLRGEIPGEIAALRNLAYLNLRANNLSGEIPPSLGNLSSLYFLNLGFNMLFGEIPASLGNLSQLNALGIQHNQLSGGIPSSLGHLNNLTSLLLQANGLIGSIPPNICNISFLKHFSVENNELSGMLPPNVFNTLPMLETFDAGENMFDGHIPSSLVNASKLSRFQIAENHFSGVIPPELGGLQGLKWFILTENDLEAKESNDWKFMKALTNCSQLEVLELEANKFSGTLPSVISNLSASLTILTLASNKIVGNMPREIGKLINLGALVAHNNFLTGSPPSSLGMLQNLRILWLDNNYFSGPFPRVICNLTHMDSLDLGRNNFSGSIPITVGNMVSLSSLRFSFNNFIGTIPTSLFNITTLSIYLDISYNHLDGSIPPEVGNLPNLVYLDARYNQLSGEIPITFEKCQLLQILYLQNNSFIGNIPSSFSEMKGLEILDLSSNNFSGQIPKFFGHFLTLYDLNLSYNNFDGEVPVFGVFANATGISVQGNNKLCGGIPDLHLPTCSLKISKRRHRVPGLAIVVPLVATTICILSLLLFFHAWYKNRLTKSPSTMSMRAHQLVSYQQLVHATDGFSTTNLLGTGSYGSVYRGKLFDETGENENLIAVKVLKLQTPGALKSFTAECEAMKNLRHRNLVKIVTACSSMDFNGNDFKAIVFDFMPNGCLEEWLHPQIDNQLEERHLNLVHRVGILFDVACALDYLHFHGTTPVVHCDLKPSNVLLDADMVAHVGDFGLAKILSSQPSTSSMGFRGTIGYAPPEYGAGNMVSTHGDIYSYGILVLEMITGRRPTDNTCEQGFSLRKCVEMALNNRAMDILDVELVTELENAPPATSMDVSSDDEIDYSVEPEFYDPDLDDVDERHETYVNQYRAMFVRNCKVKTDQILREGKGKRKNRKGKAADSSTTSEGEKKGAVYHPVCCEVCSTEVGVFDEDEVYHFFNVIPSNS >ORUFI02G26600.1 pep chromosome:OR_W1943:2:23856237:23857032:1 gene:ORUFI02G26600 transcript:ORUFI02G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDAAATFHVYQPVQIPTATVAPAAAVSAAPAEAVAQLVPAPSKKAAGAAGGKDRHSKVNGRGRRVRMPIVCAARAEPSILAATGTGTTPAAFVSSSAPSTSSSHQHTLLGKRQRQESAAADAVSVAGAASAFWAALPAPGRPDAWGFSPLDAQPTYVPMAQAHHHHLNLLAALSGAARRAEEESR >ORUFI02G26610.1 pep chromosome:OR_W1943:2:23863615:23864880:-1 gene:ORUFI02G26610 transcript:ORUFI02G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAPANREELTRAALAFLRKVRSRFWLKPCVYKGLETILTEYGKYANASTSLVVDGAAVLLGDHPDLIAEFNTFVRPEYKIELPADHLPAAATAKPQGKGDVSPLEAKRFLERVKAEDEKLYDRVVVKLSDLHKKRWMDAHQVYAQLEKVFGPARRDLLRCSAEFLPKGPPPEFAEDPGAGPGPSSWKRKRAAAAAANTFAADAVKPIRTVKPRAADLLQISQPAHDVDKDKGVKPSRPKRPRNAGIQIGQAAVAAAAAAAADDDDGEAGGDPCWLVTERNPHAAAVTFRKILEFHARYSNLVATIKRAEELARTRHPRGALEDLFPGRECHEILGELYGGGWRTMRAAVVEDGDGHVDVTLAAILLRLRAEEDVAVQLARSRRDRTRYGARQGERSPAGDRAANRSTRGVRAKWREA >ORUFI02G26620.1 pep chromosome:OR_W1943:2:23866866:23869580:1 gene:ORUFI02G26620 transcript:ORUFI02G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPLDYIDDGSRATGAVLSRAARMRQKLQSSLEASAIDIEDVPYKHAGHTAVKDNANETHFNIRVISPKFEGQSLVKRHRMDRTTDLQFTRLTLYH >ORUFI02G26630.1 pep chromosome:OR_W1943:2:23870184:23876308:-1 gene:ORUFI02G26630 transcript:ORUFI02G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASSSGAGGALINDVLTDDVLRAVLARLVPEAERDAFGLVCRRWLRIQSSDRRRLRARAGPAMLRRLAARFPGILELDLSQSPSRSFYPGVIDDDLDVVAGGFRNLRVLALQNCKGVTDVGMAKIGDRLPSLQSIDVSHCRKLSDKGLKAVLLGCQNLRQLVIAGCRLITDNLLIALSKSCIHLEDLVAAGCNNITDAGISGLADGCHKMKSLDMSKCNKVGDPGVCKFAEVSSSSLVSLKLLDCNKVGDKSIHALAKFCHNLETLVIGGCRDVTDASIEALAFACYSRLKCLRMDWCLKITDSSLRSLLSNCKLLVAIDVGCCDQITDAAFQDMDANGFQSALRLLKISSCVRITVAGVRNVIESCMALEHLDVRSCPQVTRQSCEQAGLQFPGSCKVCTVQFSCAVPVVLYYVESSTLQILGICWKFGAKSIPSGICDISWKEGYLAVVKLVLINRAQMRLTVGQVHRHVLALASSRSCFVLGDHLPFRMLSLPRVVRFHQTAWHDIQTVEDKSGPLTLASLEVQNKVEYVKKERATRTGGIKPSSRASALNMKPKVSSFNAKPVKSALPKSAVLKKTLKIDESLFSAKSFEELGLPPLLIDRLNKEGLTAPTEVQSAAIPIISQKHDAVIQSYTGSGKTLAYLLPILSEIGPLKRPTEQDSSDKRSGVEAVIVAPSRELGMQIVREVEKILGPNDKRLVQQLVGGANRSRQEEALKKNKPIIVVGTPGRISEISAAGKLHTHSCRFLVLDEVDQLLSFNYREDMHRILEHVGRKSGTSSRDILGPLARRSERQTILVSATIPFSVIRAARSWGHDPVLVRAMSVVPLESITVPRPVLSQPDANSNSPSNSVNQAAVDSLPPSLEHYYCTSKAQHKVDTLRRCIHALEAQTVIAFMNNTKPLKDVVFKLEARGMKATELHGDLGKLARSTVLKKFKDGEFRVLVTNELSARGLDVPECDLVINLDLPTDSTHYAHRAGRTGRLGRKGTVVTICEETETFVVRKMRKQLAVPIKPCEFTEGKLLVHKEEDVE >ORUFI02G26640.1 pep chromosome:OR_W1943:2:23882223:23883137:-1 gene:ORUFI02G26640 transcript:ORUFI02G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSSCAHVVGVPVTSKAYAIEEATTARDGGKKVDGDRLAVSLTHPSPYTSFGYKHSSKLQVIHWVNKLGRRAQGFRDHVTLGPKLSETVRGKLSLGARILQAGGVERVFRQAFSAEKGERLVKALQCYLYTTGGPIAGMLFVSNRKIAFRSDRSLAVTSPAGDVVARVPYKVVVPLRRIKRVRPSENADKPEQKYIHVATVDGFEFWFMGFVSYQRCCKYMQQVISEL >ORUFI02G26650.1 pep chromosome:OR_W1943:2:23886808:23887704:-1 gene:ORUFI02G26650 transcript:ORUFI02G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSQDHVIGIPVSNTAYGIEEPDFAAEETTTPDHAGFVVGSFQFNNDANSPTTTTTTTDRASKYGRKGDKIAQGIKEHVTLGPKLSETVKGKLTLGARILQAGGVEKVFRQWFSVDKNEKLLRASQCYLSTTAGPIAGMLFVSTERVAFRSDRPLAVSAPGGDKVRVPYKVTIPLRKVKAAKPSENKHKPEQKYIEVVTNDGFEFWFMGFVSYHRSLHHLEQAVAQARR >ORUFI02G26660.1 pep chromosome:OR_W1943:2:23898221:23898799:1 gene:ORUFI02G26660 transcript:ORUFI02G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNCLLALAIAGLVLVSLPGLSRGDVDARRGRELAGGCNPSGTLRPSRSHSCQDCCKAGRSYPTYACSPATTGSTKAVMTLNDFEAGGDGGDPSECDGKFHKNTERVVALSTGWYANGRRCNKNIRINANGRSVLAKVVDECDSLHGCDKEHAYQPPCRPNVVDASQAVWDALRITGEDVGEYDITWSDA >ORUFI02G26670.1 pep chromosome:OR_W1943:2:23899086:23901706:-1 gene:ORUFI02G26670 transcript:ORUFI02G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRREATCGGGGAAARGSGLQAAACGPCGGGVRRGCARWRPAGCRRTGRAAAAAACGLVVAAANGGWRRAGLWWRRRAGVWWRRTACGGGLRSCGSGRGARGITPTSLALLGWTMTPMTLAS >ORUFI02G26680.1 pep chromosome:OR_W1943:2:23909294:23909539:-1 gene:ORUFI02G26680 transcript:ORUFI02G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAAVEAGVVAVARGRGSGRLLRGIYWRLRAGIRRMQSERGRWRGGRRDHARFSFHYDALSYALNFDDGRAAADFVLV >ORUFI02G26690.1 pep chromosome:OR_W1943:2:23916123:23916967:-1 gene:ORUFI02G26690 transcript:ORUFI02G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPSAFSITPEGPDPPLFSPLILDLSPVSPVRTGKGLHGPSFEEFTLRQNRRKRREYEGWAPSTSIHNMPFYTIHSLVGVGPLESIGHGRGGLDGGVIGMSGVGARVVGWKGALDLGVDLGGGAEVHPKRLVD >ORUFI02G26700.1 pep chromosome:OR_W1943:2:23920110:23928974:-1 gene:ORUFI02G26700 transcript:ORUFI02G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVETWMREKPIRTFLAQLSTRRAAAGAAALLASSSAAAASADGEPGDRSIPQLSSIANSVVSRCSRVLALATETLQQNFEVDYPDSCKESNTYAKEFLEYCCHKALHEVTTRPDHLADKNLRRLMFDMMLAWEHPGAVVEDELPENHSALRTTVDIEDDDEGSIFYANSTRLAVQVNDKKTVGLGAFARIAPSCPIIADLVTVHNLFDALTCSSGGRLHFFVFDKYIKSLDKVFRSVKGILQSPLASSFHLDAGECILAMDGDRPIHPVFQHIGISAWPGRLILTTHALYFQSIKVGYGDKIVIKRDFTGPLGVRLFDKAVMYKSSTLTEPIYFDFPELGGPSRRDYWLAIAREVNKFIRKFNLENVQRAEALSKAILGILRYSAVKEAFHISPSHFKTTLTFSLAEKLPKGDMVLKALYDNYFQLLDTSLSHLVTESPVDNRLQNHSLPFSLYALSRMGFILLKRKDEAQSEISFCAVCFGVTKSLEAALEESICYSERIDAARATIDQVKVEGVDANLALMQELLFPFIQVVKLTYSLTKWEDPLKSFLFLAFTLYVIQRGFIAYMLPSFFLAFAVVMLWHKYNGREQLLGVLEVRTPPSKNPVEQILTLQDAISKLEETLQSVNIVLLKFRAVLFAAVPKTTEMVAVAFLAASAFLIFVPWRHLLLIAVLEVYTREMPLRKQNTEKFRRRIREWWARIPAAPWYEAPREPAGMAAASSPAAAITCRAAVAWAPGQALVMEEVEVAPPEAMEIRVKVVSTSICRSDVTQWQSTAQTDLFPRIFGHEASGVVESVGEGVTEFEVGDHVLTVFIGECMSCKHCVSGKSNMCQKLGLERRGVMHSDQKTRFSLRGKPVYHYCAVSSFSEYTVVHSGCAVKVGPTVPMDRICLLSCGVSAGLGAAWKVADISKGSSVVIFGLGTVGLSVAQGAKLRGASIIIGVDTNPEKQEKGKAFGVTDFINPEELNEPVQQVVKRLTNGGADYSFECVGDTGVVSTALQSCSDGWGLTVTLGVPKAKPEVSAHYALLLSGRTLKGSLFGGWRPKSDLPLLVDKYANKEIQVDDLVTHDLSFDDINKALELMLENKPRSIFFQSFLLQMNHVKLKDSVKEMKLHSLIFIRQVIFFS >ORUFI02G26700.2 pep chromosome:OR_W1943:2:23923799:23928974:-1 gene:ORUFI02G26700 transcript:ORUFI02G26700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVETWMREKPIRTFLAQLSTRRAAAGAAALLASSSAAAASADGEPGDRSIPQLSSIANSVVSRCSRVLALATETLQQNFEVDYPDSCKESNTYAKEFLEYCCHKALHEVTTRPDHLADKNLRRLMFDMMLAWEHPGAVVEDELPENHSALRTTVDIEDDDEGSIFYANSTRLAVQVNDKKTVGLGAFARIAPSCPIIADLVTVHNLFDALTCSSGGRLHFFVFDKYIKSLDKVFRSVKGILQSPLASSFHLDAGECILAMDGDRPIHPVFQHIGISAWPGRLILTTHALYFQSIKVGYGDKIVIKRDFTGPLGVRLFDKAVMYKSSTLTEPIYFDFPELGGPSRRDYWLAIAREVNKFIRKFNLENVQRAEALSKAILGILRYSAVKEAFHISPSHFKTTLTFSLAEKLPKGDMVLKALYDNYFQLLDTSLSHLVTESPVDNRLQNHSLPFSLYALSRMGFILLKRKDEAQSEISFCAVCFGVTKSLEAALEESICYSERIDAARATIDQVKVEGVDANLALMQELLFPFIQVVKLTYSLTKWEDPLKSFLFLAFTLYVIQRGFIAYMLPSFFLAFAVVMLWHKYNGREQLLGVLEVRTPPSKNPVEQILTLQDAISKLEETLQSVNIVLLKFRAVLFAAVPKTTEMVAVAFLAASAFLIFVPWRHLLLIAVLEVYTREMPLRKQNTEKFRRRIREWWARIPAAPVQMIKPNESKKKR >ORUFI02G26700.3 pep chromosome:OR_W1943:2:23920110:23923066:-1 gene:ORUFI02G26700 transcript:ORUFI02G26700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSPAAAITCRAAVAWAPGQALVMEEVEVAPPEAMEIRVKVVSTSICRSDVTQWQSTAQTDLFPRIFGHEASGVVESVGEGVTEFEVGDHVLTVFIGECMSCKHCVSGKSNMCQKLGLERRGVMHSDQKTRFSLRGKPVYHYCAVSSFSEYTVVHSGCAVKVGPTVPMDRICLLSCGVSAGLGAAWKVADISKGSSVVIFGLGTVGLSVAQGAKLRGASIIIGVDTNPEKQEKGKAFGVTDFINPEELNEPVQQVVKRLTNGGADYSFECVGDTGVVSTALQSCSDGWGLTVTLGVPKAKPEVSAHYALLLSGRTLKGSLFGGWRPKSDLPLLVDKYANKEIQVDDLVTHDLSFDDINKALELMLENKPRSIFFQSFLLQMNHVKLKDSVKEMKLHSLIFIRQVIFFS >ORUFI02G26710.1 pep chromosome:OR_W1943:2:23930987:23934055:1 gene:ORUFI02G26710 transcript:ORUFI02G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREVREYTNLSDPKDRKWGKGKDKIDDEDITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKPILADSTPAVPAALRVEPKPKSDIRQQDLLKNIVGIKPKRTKVSSPSQPAENDKPKQSPEDSVNKVSSPQSQSGSRKESSQRDGAVSFEKPLLKPVEPRESKPQNATGSLLGLAYESSDEE >ORUFI02G26720.1 pep chromosome:OR_W1943:2:23933963:23936249:-1 gene:ORUFI02G26720 transcript:ORUFI02G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASARLYTAAASKRVIAGVSSTISRSCHRTCRWKSHAAPLSAQEAPKGPKRMTKQERRVKIEKFVEEYKASNDGKFPTMTTVRQHVGGGHYTVREIVQELEYNQRMLQLDQSKAAELPETAEHSEHLKPKDVHGNAQFNSESFSGNQDTDDLHLSQKVAATSTEIIDKTETWRLEESQMTSGTSHYTGETEAVKQDLHTADSLQGANESIKSCQTESDSIKNEDSISLGLDTKSDPTDLELGESKSDKIELNSTARFKNVSEPPVSDPIEGDKTVKANVLDREENPEVEPKTGLFGSLKSFASGIRNFWRKL >ORUFI02G26730.1 pep chromosome:OR_W1943:2:23937863:23939784:-1 gene:ORUFI02G26730 transcript:ORUFI02G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCCSKTQKETTPPHGGAEATTSPQVKRKSGKIAADDKGKKTRAGRAVEAAGDKKAVFVVKTKSGAVNVEERRPVVVVPTMPVRTSSCTKEEVDAILIQCGRLSRSSSGRAASSETGAGHRRSKRSYDFDQERRPGCGGGGDEERGWERHGGAVSRPSPHRGSPQRKRSGSRERSSGGGSRRASRSPGRRAEGVSPAPAPAGSGGGGGGGERVVRQQPGKMVSVPAREKARAPSPAAASGKRCASPRSSSPARMVAAGNENAGGGQMTAAQTPSLSRSSSPYRRSPMAEIDENSLRNNNGANRHKKISENALAIAAAPQKATERSKEKPKVVEETVLVAAAPPASKTTATRTASATAESLNTKARSRRASRDFDQNTNSYATQLLEDIQSYHQQQNTTSVAATAATLPSFSLPACVSKACSILDAVADLNSSSSDSHSCEPDRSANDRGSVNAPLGGGMDDLAEPGVHKRHATAPRGDIRGGGGETEPQESAGSNSVSGNPWTPSWEPNSVESTDRTWSASRSTNNGDEVVEQGSSSHAGARSPLNRSRQSSKQRAAQPERSVRSRAGSSGGNSNNVVHRGRGAHRSGGGGGGSVASGRSGVRAVSAMS >ORUFI02G26740.1 pep chromosome:OR_W1943:2:23944284:23944733:-1 gene:ORUFI02G26740 transcript:ORUFI02G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAALAPPSTSTSSVLLPSAAAAAAARCLGPLPRRARLRTARHVALTPRDLSAEDVAAEEAAVAPKIGKRVRVTAPVRVYHVMKAPDLDIKGMEGVVKQYVAVWKGKRITANFPFKVEFHLSVEGQDKPVRFFVHLREDEFEFIDE >ORUFI02G26750.1 pep chromosome:OR_W1943:2:23945981:23949163:-1 gene:ORUFI02G26750 transcript:ORUFI02G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPSPMLSVPEKKTAATELFRDRHFFNSAFFTDLREARASLSAPSSQATTQDAASRRALLLRYHRLLASARDDPCDFDDDLAFTWHDAFRPHLRRTAASLRFEKAAVVFNVGAASSRIAAAVDRAAVGGVKAACGEFQRAAGAFRAAGEMMEGEEEDTEDTVDMGPEASAMLERLMLAQAQECCFERALAAGTSSAACSKVAKQAALYYEEAYASLVIPPLQNHFERSWVAHILLKAALFNAEASYHYAIELHEKTEIGEEIARLQFGINAIVDAKKAVRGAPGSLYDAASRLEQDMNQNLERALNENNRIYLLRVPAAKLLAPLPAASLVRSASLSEILDVKTETEGNVLNDRAGECTDAISTTVNWDLC >ORUFI02G26760.1 pep chromosome:OR_W1943:2:23950044:23951465:-1 gene:ORUFI02G26760 transcript:ORUFI02G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDRGGGGGGGGARGHGRRWKGKGVSAAISSSAAETQQPVPVLEDAPAAAALLRPQKKIRSPDRRLQRSISSLSSAPASPDSSSVSNPMSPPAMSLPNQPPSSRHIFPFAYDPSPGAAAPRLLPLLQYSSLYPQPLLPQQQSPLQNQQMISFGSSQQQQQQQPQFGAASPLFPPQFLPPEEQQRLLLRYWSEALNLSPRGVRGGALPPSLYQHLLRAPGPPKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPDLFLGKGRTGGSGRTSASAAASCSSSSSSAPPTPDESHTQQAQPQPQQPTEESSNTEPKPLLFVAEQDGIPEPELNPQLQTAEQHGSDGNTAMFQPSVTSGGIWGPADEAWFSAWGPGSSVWDYDMDSAHGLLLQSRLAGEQTGMDYAYTAPEVLVAPVPAAGTAMATAASSSLPPRPPPPCHSPTFAWKD >ORUFI02G26770.1 pep chromosome:OR_W1943:2:23970980:23976926:1 gene:ORUFI02G26770 transcript:ORUFI02G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEEEVVGEEEEEELFYESLDRILSSSGSSTSASDDDGDHPRRRRGYDAGAAAAAAALDLWTSEPAPIQERRRRLLQLMGLSGDPSLARFERGRSAPCDAVGPLPSSPVARSRSSGATPASAAKPPLGGGRLRGASSDVSDATLEAVEEDPRCLIRNLDDGSEFLVREEFGLREVGTGRQLTMEEFELFIGRSPIVQELMRRQSVVNSNSNSNSQSGASTPIERSSSGSSNGGARYKRRNSWLRSLRSAAGSMVTYTRDRRSSDEKDTSSDKGGHRSSSATDDSQDGVANHGPDRVKVRQYGKSYKELSGLFMNQDIKAHSGSIWSIKFSPDGHFLASAGEDCVIHVWEVLEWKMIEEKGLEENGVFDPESMLVSTASEGSHREKKLRAKAVHNQRSVSSDRLMVPEHVFALSEKPVITFAGHSEDVLDLSWSKSQGPHQTFMKALRVYPSTPYLHFSISVQYLLSSSMDKTVRLWHVSSTYCLKTFSHSDYVTCIQFNPVNDKYFISGSLDKKVRIWSIQERKIVDWIDLHEMITAACYTPDGQGALVGSHKGKCHVYDISDNMLKHKKQIDLHIKKRKSSQKKITGIQFVPGSSSKVIITSADSRIRVIDSFELVCKFKGFRNTNSQISACSAVKGRYLISASEDSHVYMWRCNDDSEPNTKKGIVSITNTHEHFHCEGVTVAVSWPCASSTMTSREWPENGLSGSELDKDQEMPDAQHQSNIIRNTNPNHNGDTSATWSEELMTPTKQSPRSSASHPMEGDQAPSHSAWGLVIVTAGHEGHIRTFQNFGFPS >ORUFI02G26770.2 pep chromosome:OR_W1943:2:23970980:23977036:1 gene:ORUFI02G26770 transcript:ORUFI02G26770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEEEVVGEEEEEELFYESLDRILSSSGSSTSASDDDGDHPRRRRGYDAGAAAAAAALDLWTSEPAPIQERRRRLLQLMGLSGDPSLARFERGRSAPCDAVGPLPSSPVARSRSSGATPASAAKPPLGGGRLRGASSDVSDATLEAVEEDPRCLIRNLDDGSEFLVREEFGLREVGTGRQLTMEEFELFIGRSPIVQELMRRQSVVNSNSNSNSQSGASTPIERSSSGSSNGGARYKRRNSWLRSLRSAAGSMVTYTRDRRSSDEKDTSSDKGGHRSSSATDDSQDGVANHGPDRVKVRQYGKSYKELSGLFMNQDIKAHSGSIWSIKFSPDGHFLASAGEDCVIHVWEVLEWKMIEEKGLEENGVFDPESMLVSTASEGSHREKKLRAKAVHNQRSVSSDRLMVPEHVFALSEKPVITFAGHSEDVLDLSWSKSQYLLSSSMDKTVRLWHVSSTYCLKTFSHSDYVTCIQFNPVNDKYFISGSLDKKVRIWSIQERKIVDWIDLHEMITAACYTPDGQGALVGSHKGKCHVYDISDNMLKHKKQIDLHIKKRKSSQKKITGIQFVPGSSSKVIITSADSRIRVIDSFELVCKFKGFRNTNSQISACSAVKGRYLISASEDSHVYMWRCNDDSEPNTKKGIVSITNTHEHFHCEGVTVAVSWPCASSTMTSREWPENGLSGSELDKDQEMPDAQHQSNIIRNTNPNHNGDTSATWSEELMTPTKQSPRSSASHPMEGDQAPSHSAWGLVIVTAGHEGHIRTFQNFGFPVRV >ORUFI02G26770.3 pep chromosome:OR_W1943:2:23971086:23977036:1 gene:ORUFI02G26770 transcript:ORUFI02G26770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTRAAAAALDLWTSEPAPIQERRRRLLQLMGLSGDPSLARFERGRSAPCDAVGPLPSSPVARSRSSGATPASAAKPPLGGGRLRGASSDVSDATLEAVEEDPRCLIRNLDDGSEFLVREEFGLREVGTGRQLTMEEFELFIGRSPIVQELMRRQSVVNSNSNSNSQSGASTPIERSSSGSSNGGARYKRRNSWLRSLRSAAGSMVTYTRDRRSSDEKDTSSDKGGHRSSSATDDSQDGVANHGPDRVKVRQYGKSYKELSGLFMNQDIKAHSGSIWSIKFSPDGHFLASAGEDCVIHVWEVLEWKMIEEKGLEENGVFDPESMLVSTASEGSHREKKLRAKAVHNQRSVSSDRLMVPEHVFALSEKPVITFAGHSEDVLDLSWSKSQYLLSSSMDKTVRLWHVSSTYCLKTFSHSDYVTCIQFNPVNDKYFISGSLDKKVRIWSIQERKIVDWIDLHEMITAACYTPDGQGALVGSHKGKCHVYDISGSSSKVIITSADSRIRVIDSFELVCKFKGFRNTNSQISACSAVKGRYLISASEDSHVYMWRCNDDSEPNTKKGIVSITNTHEHFHCEGVTVAVSWPCASSTMTSREWPENGLSGSELDKDQEMPDAQHQSNIIRNTNPNHNGDTSATWSEELMTPTKQSPRSSASHPMEGDQAPSHSAWGLVIVTAGHEGHIRTFQNFGFPVRV >ORUFI02G26780.1 pep chromosome:OR_W1943:2:23978138:23987648:1 gene:ORUFI02G26780 transcript:ORUFI02G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSMVYFGNTSIGEVEVWPSGDASLAAAAWAREIRVDRLSPPSERCQPLAVMHTVAVGARCLVMESRLPKAADEPPQPLVAMHAACLKENKTAVVPLGEEELHLVAMTSRRNLTNHACFWGYKVPFGLYNSCLTMLNLRCLGIVFDLDETLIVANTTRSFEDRIDALQRKLSNETDPQRINGMIAEIKRYQDDKSILKQYIEGDQVYDDGKMYKVQPELVPPLSDNHQPMTRPVIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLNDRMVCVKSGLRKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQSRVHVVPAFTPYYAPQAEANCSIPVLCVARNVACNVRGGFFKDFDEGLLPRISNVFYEDEINEIPSAPDVGNYLISEDENVAAVNGNRDPLAFDGMADAEVERRMKEASGNAQAFTTTAANFVMPVLPGQNFVSSSVAPVAPSLGMVPLSNNQGPPPFTQPVAQLSLSDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPTPPLPAVPPVQVPVPPVQPHGNWFPVEDGMNPNNLNRGSAGFPLESETMHYDKKQLPHPFFHGGENPISSDRFSYQNQRYPSQLPHSEDHRVLQNHAPSRYRSFPGEELATRHVSSSQRNNQIVPGQHFARHAGSSAGILEEIAMKCGSKVEYRSALCDTADLQFSIEVWIVGEKVGEGIGRTRKEAQCQAAEISLRNLANKYLSSDPNKMTDMKENGFGSNTNIFGYPGNSRDDVLPIASTSEETRFVKMGENNSRKAGGSIAALKELCTAEGYNLVFQARTSPDSSVGKEAYAEVEVCGQILGNGVGITWEDAKLQAADEALGMLRSMLGPLAQKRSSSPRSLAPSFDKRFKPDFPRAVQRVPYGRYSRIEGHVP >ORUFI02G26790.1 pep chromosome:OR_W1943:2:23989719:23990145:-1 gene:ORUFI02G26790 transcript:ORUFI02G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKYMRYVNRCCRYLWRLDLAAPKLAAAGSGRSTPEHMGRQGQGKGDVISIFFRWEWGRAGFGYPQARGTRARGEAGPREGRRRETTLPAKGRACRHAGERFLSSKEGEKNEKVMAEDGLWLS >ORUFI02G26800.1 pep chromosome:OR_W1943:2:23990739:23992492:-1 gene:ORUFI02G26800 transcript:ORUFI02G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMKRCFCGSQEDIKELLNLKNVQLFSYREIRAATNNFDDGNKIGRGGFGTVYKGTFEDGTAFAAKVLSAESEQGINEFLTEIESITEAKHANLVRLLGCCVQRQNRILIYEYVENNSLDNALQGSAAGVTDLSWSTRSDICMGVAKGLSYLHEEHEPSIVHRDIKASNVLLDRNYIPKIGDFGIAKLFPDNVSHVSTRVIGTTGYMAPEYVVHGQLTKKADVYSFGVLILEIISGRRMSQTIRSGMFLVRQAWMLHEQGSLLDMVDPSMKGGYPEEEALKFIKVALACTQAKPCSRPTMRQVVKLLSRPVCLEELEMLCPGFVGDGHTHDAAAADTVGSPGVMVVSPALSPKVRWPTGTATTTTTEH >ORUFI02G26810.1 pep chromosome:OR_W1943:2:23996161:23996599:-1 gene:ORUFI02G26810 transcript:ORUFI02G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSISGGSEYDNCSGVSDVEEGRCGATSCVSGGGEDGRAAATAHAFVVSVFAGVLAVKAAYLQQAQHPYDAKEIQSADAAMVAELTKLSDHNWRFAKDPVDTAKSVVVGSAVLAEHADEQHNCRPGKK >ORUFI02G26820.1 pep chromosome:OR_W1943:2:23998145:24000148:1 gene:ORUFI02G26820 transcript:ORUFI02G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLSHVVSDLCIGKPPARVLPPSTPVAAALAALRTGDDPFVFVVDADEALRHSRGKKIAAGCVVVKVSVADVLCYVCGDADNLSDPAAALGRPVSALAAAVHAGGGDHHGAALRVDSLTSLLDAIDALLSNDAQTLLVPLHAHAARSRKHHHVHVSGCSPANPAAATDYCVLTREDIVRHLFSYSISLFAPVAARTVASLGLVRRDVHAVHADDDALDAIPLLRRSIADGTAVAVVADDDALVGEICPGVLGSCDIESASAAFAALSAGDVMTYIDCSLSPPEFLLRSIRAQLKGRGMDAMADLMDAADDAASSLPLSPSSSSSASSDEDSPFGRARRARRSSSGSFRWRSTKDVAACHAGSSLVAVMAQALAHRVGYVWVVDEVSGALTGVVSFADVLAVLREHLRAGDTQMN >ORUFI02G26830.1 pep chromosome:OR_W1943:2:24003894:24011077:1 gene:ORUFI02G26830 transcript:ORUFI02G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSSSAPMLIRSVLFVSLLSAAFVFDSGEAGAAHRVVDPEWHPATATWYGSADGDGSDGGACGYGTLVDVVPMKTRVGAVSPVLFKGGEGCGACYKVRCLDASICSRRAVTVIVTDECPGGVCAFGRTHFDLSGAAFARLAVAGHGGQLQNRGEISVVYRRTACKYGGKNIAFHVNEGSTTFWLSLLVEFEDGDGDIGSMQLKQANSAQWQDMKHIWGATWSLTPGPLVGPFSVRLTTLTTRQTLSAQDVIPKNWTPKATYTSRLNFA >ORUFI02G26840.1 pep chromosome:OR_W1943:2:24011879:24015962:1 gene:ORUFI02G26840 transcript:ORUFI02G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAGDGREEEGVMATDFFWSYTDEPHASRRREILAKHPQIKELFGPDPLAFLKIAAVVSLQLWTATLLRDASWVKILTVAYFFGSFLNHNLFLAIHELSHNLAFTTPSYNRWLGIFANLPIGVPMSITFQKYHLEHHRFQGVDGIDMDIPSQAEAHAVKNTLSKSVWVVFQLFFYALRPLFLKPKPPGLWEFTNLIIQIALDASMVYFFGWKSLAYLILSTFVGGGMHPMAGHFISEHYVFNPDQETYSYYGPLNLMTWHVGYHNEHHDFPRIPGTRLYKVREIAPEYYNNLKSYKSWSQVIYMYIMDQTVGPFSRMKRKAPKKDS >ORUFI02G26850.1 pep chromosome:OR_W1943:2:24016596:24025094:1 gene:ORUFI02G26850 transcript:ORUFI02G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRHSQTPSSDDETRALNALLDAFSSAFSLDDIATAYCRANGDVNRAGDLLTELEHPMAKSNEVDSSVGTIHPPSGKAIEENSTESSGQAKSREKMQKSSASFGTVSSMLGKGSTRATVPLMNRASGKEKPPMVELPEYMRDDFNGKVDKSDSAPKRETLNNRDIEEFLFSMLGEGFKLSMDMIREVLGSCGYDIKKSMEELMSVSTKDTSKKAEDKHYIAQDAAVECSSTKGSCLESQSTFRNGSAYSLRGKRHSSSQISPGELLVSIFTVPERSEEEPIGKRYELGANRNRVPDQKPVVEPLEDISSETNCYPVKVILSKELVAHNEEDYQNYRRAAKQHWDMMKQYYEKAVDAFREGNQKEVEYLLGEGKHYYMMARLADEKSSAEIVKSKKVESKNELCLDLRGQDPANVANLVRLHLRQLSNIPSFEYLKVITGAEDGSFKSAQRRRKVMKYLEKKSIVWTEEESNPGTILIPINQKQDQQD >ORUFI02G26850.2 pep chromosome:OR_W1943:2:24016596:24025094:1 gene:ORUFI02G26850 transcript:ORUFI02G26850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRHSQTPSSDDETRALNALLDAFSSAFSLDDIATAYCRANGDVNRAGDLLTELEHPMAKSNEVDSSVGTIHPPSGKAIEENSTESSGQAKSREKMQKSSASFGTVSSMLGKGSTRATVPLMNRASGKEKPPMVELPEYMRDDFNGKVDKSDSAPKRETLNNRDIEEFLFSMLGEGFKLSMDMIREVLGSCGYDIKKSMEELMSVSTKDTSKKAEDKHYIAQDAAVECSSTKGSCLESQSTFRNGSAYSLRGKRHSSSQISPGELLVSIFTVPERSEEEPIGKRYELGANRNRVPDQKPVVEPLEDISSETNCTNCFSSETNCYPVKVILSKELVAHNEEDYQNYRRAAKQHWDMMKQYYEKAVDAFREGNQKEVEYLLGEGKHYYMMARLADEKSSAEIVKSKKVESKNELCLDLRGQDPANVANLVRLHLRQLSNIPSFEYLKVITGAEDGSFKSAQRRRKVMKYLEKKSIVWTEEESNPGTILIPINQKQDQQD >ORUFI02G26850.3 pep chromosome:OR_W1943:2:24016596:24025094:1 gene:ORUFI02G26850 transcript:ORUFI02G26850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRHSQTPSSDDETRALNALLDAFSSAFSLDDIATAYCRANGDVNRAGDLLTELEHPMAKSNEVDSSVGTIHPPSGKAIEENSTESSGQAKSREKMQKSSASFGTVSSMLGKGSTRATVPLMNRASGKEKPPMVELPEYMRDDFNGKVDKSDSAPKRETLNNRDIEEFLFSMLGEGFKLSMDMIREVLGSCGYDIKKDAAVECSSTKGSCLESQSTFRNGSAYSLRGKRHSSSQISPGELLVSIFTVPERSEEEPIGKRYELGANRNRVPDQKPVVEPLEDISSETNCTNCFSSETNCYPVKVILSKELVAHNEEDYQNYRRAAKQHWDMMKQYYEKAVDAFREGNQKEVEYLLGEGKHYYMMARLADEKSSAEIVKSKKVESKNELCLDLRGQDPANVANLVRLHLRQLSNIPSFEYLKVITGAEDGSFKSAQRRRKVMKYLEKKSIVWTEEESNPGTILIPINQKQDQQD >ORUFI02G26850.4 pep chromosome:OR_W1943:2:24016596:24025094:1 gene:ORUFI02G26850 transcript:ORUFI02G26850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRHSQTPSSDDETRALNALLDAFSSAFSLDDIATAYCRANGDVNRAGDLLTELEHPMAKSNEVDSSVGTIHPPSGKAIEENSTESSGQAKSREKMQKSSASFGTVSSMLGKGSTRATVPLMNRASGKEKPPMVELPEYMRDDFNGKVDKSDSAPKRETLNNRDIEEFLFSMLGEGFKLSMDMIREVLGSCGYDIKKDAAVECSSTKGSCLESQSTFRNGSAYSLRGKRHSSSQISPGELLVSIFTVPERSEEEPIGKRYELELVAHNEEDYQNYRRAAKQHWDMMKQYYEKAVDAFREGNQKEVEYLLGEGKHYYMMARLADEKSSAEIVKSKKVESKNELCLDLRGQDPANVANLVRLHLRQLSNIPSFEYLKVITGAEDGSFKSAQRRRKVMKYLEKKSIVWTEEESNPGTILIPINQKQDQQD >ORUFI02G26860.1 pep chromosome:OR_W1943:2:24023400:24028392:-1 gene:ORUFI02G26860 transcript:ORUFI02G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPANHRYKERFCLIEVGMDQLCTSGGSDSDKSLAAGDEPAAAAAAAAEAQGGGCFDCNICLDFATEPVVTLCGHLYCWPCIYEWLHPGGDDDGSNGDASSTRRRPCPVCKAAVSPDTLVPLYGRGRGGSSKRARSGSAIPRRPIVHREPVERQSDRLGDDGGGHRHGSTGSSPPVRSPWHANHHAAAASTPPPAPARLFDVVYPPPPAAMGGVGMFHSTTTTTGGMLGGMALAVLPWVSRGQSPATAAASAYYTSPYHMSPRLRRQHMEVERSLHQIWFFLVVFAVLCLLLF >ORUFI02G26860.2 pep chromosome:OR_W1943:2:24023400:24028542:-1 gene:ORUFI02G26860 transcript:ORUFI02G26860.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGERETGGRRTSGVHPANHRYKERFCLIEVGMDQLCTSGGSDSDKSLAAGDEPAVRVRGEVEAEAAAAAAAEAQGGGCFDCNICLDFATEPVVTLCGHLYCWPCIYEWLHPGGDDDGSNGDASSTRRRPCPVCKAAVSPDTLVPLYGRGRGGSSKRARSGSAIPRRPIVHREPVERQSDRLGDDGGGHRHGSTGSSPPVRSPWHANHHAAAASTPPPAPARLFDVVYPPPPAAMGGVGMFHSTTTTTGGMLGGMALAVLPWVSRGQSPATAAASAYYTSPYHMSPRLRRQHMEVERSLHQIWFFLVVFAVLCLLLF >ORUFI02G26860.3 pep chromosome:OR_W1943:2:24023400:24028392:-1 gene:ORUFI02G26860 transcript:ORUFI02G26860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPANHRYKERFCLIEVGMDQLCTSGGSDSDKSLAAGDEPAVRVRGEVEAEAAAAAAAEAQGGGCFDCNICLDFATEPVVTLCGHLYCWPCIYEWLHPGGDDDGSNGDASSTRRRPCPVCKAAVSPDTLVPLYGRGRGGSSKRARSGSAIPRRPIVHREPVERQSDRLGDDGGGHRHGSTGSSPPVRSPWHANHHAAAASTPPPAPARLFDVVYPPPPAAMGGVGMFHSTTTTTGGMLGGMALAVLPWVSRGQSPATAAASAYYTSPYHMSPRLRRQHMEVERSLHQIWFFLVVFAVLCLLLF >ORUFI02G26870.1 pep chromosome:OR_W1943:2:24032249:24035794:-1 gene:ORUFI02G26870 transcript:ORUFI02G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIIPRPSGGPAAPAYLSTSLSLIPTRLVAFLTEPATATATATARPHPPAPMASSALAVSVAKPAASPPVAVAAVTPQRRLLPQCRGVRAAPVVRLRSGRARGVSVVCAAQGQETSFQVPDVTKSTWQSLVVESELPVLVEFWASWCGPCKMIDPVIGKLSKEYEGKLNCYKLNTDENPDIATQFGIRSIPTMMIFKNGEKKDAVIGAVPESTLVSSIDKYIGR >ORUFI02G26880.1 pep chromosome:OR_W1943:2:24035811:24039202:1 gene:ORUFI02G26880 transcript:ORUFI02G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGAREAAREKADGHPCHAPPPSSSCGGETERRGGAPRTPASEASSQPRISLRAASHEPPSEIRISAPAYHRSGNEAACSGAARQGGRREEEEMVHGTLEVLLVGAKGLENTDYLCNMDPYAILKCRSQEQRSSIASGKGSNPEWNENFVFTVSDKATELLIKLLDSDTGSADDFVGEATIPLEAVYTEGSIPPTLYNVVKDEHYCGEIKVGLTFTPEDVRQRGLPEDFGGWKQSR >ORUFI02G26890.1 pep chromosome:OR_W1943:2:24041417:24042381:-1 gene:ORUFI02G26890 transcript:ORUFI02G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGDGAPLPVVMFLVVVLLLANEVVTLGYGCRALKQADCAMAATPKHGGTVLMRAAAPPGPGESRAAMYGRQTKECWPKFVKEHEKNRFCSIDQGCQPSDNCHCLEES >ORUFI02G26900.1 pep chromosome:OR_W1943:2:24046012:24049466:1 gene:ORUFI02G26900 transcript:ORUFI02G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGRAVTLHHRSDSGERLVGNVVPDEAESGEEAEASSKVLYRASFQELMPNYLQYDTIIWAVISLLLVLSWGVGLLMLLYLPYKRYVLKKDILSRKLYVTENKIVYKASRPSYIPFMGIVKKEIEVPLQLVVDVIIEQGCLQSVYSLYTFKVESIASGKPAPLDELQFHGVHNPDLLKTVIIREASKRIQEHQSWKYRIYSGEGPSDVTPIDRLDSPNAKVTASSRHNFQESKGRIPESDSILLHKLEEVCQSVKNLESLLLGSHSRA >ORUFI02G26900.2 pep chromosome:OR_W1943:2:24046012:24049466:1 gene:ORUFI02G26900 transcript:ORUFI02G26900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGRAVTLHHRSDSGERLVGNVVPDEAESGEEAEASSKVLYRASFQELMPNYLQYDTIIWAVISLLLVLSWGVGLLMLLYLPYKRYVLKKDILSRKLYVTENKIVYKASRPSYIPFMGIVKKEIEVPLQLVVDVIIEQGCLQSVYSLYTFKVESIASGKPAPLDELQFHGVHNPDLLKTVIIREASKRIQEHQSWKYRIYSGEGPSDVTPIDRLDSPNAKVTASSRHNFQESKGRIPESDSILLHKLEEVCQSVKNLESLLLGSHSRA >ORUFI02G26900.3 pep chromosome:OR_W1943:2:24046012:24048772:1 gene:ORUFI02G26900 transcript:ORUFI02G26900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGRAVTLHHRSDSGERLVGNVVPDEAESGEEAEASSKVLYRASFQELMPNYLQYDTIIWAVISLLLVLSWGVGLLMLLYLPYKRYVLKKDILSRKLYVTENKIVYKASRPSYIPFMGIVKKEIEVPLQLVVDVIIEQGCLQSVYSLYTFKVESIASGKPAPLDELQFHGVHNPDLLKTVIIREASKRIQEHQSWKYRIYSGEGPSDVTPIDRLDSPNAKVTASSRHNFQESKGRIPESDSILLHKLEEVCQSVKNLESLLLGSHSRA >ORUFI02G26900.4 pep chromosome:OR_W1943:2:24046012:24048772:1 gene:ORUFI02G26900 transcript:ORUFI02G26900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGRAVTLHHRSDSGERLVGNVVPDEAESGEEAEASSKVLYRASFQELMPNYLQYDTIIWAVISLLLVLSWGVGLLMLLYLPYKRYVLKKDILSRKLYVTENKIVYKASRPSYIPFMGIVKKEIEVPLQLVVDVIIEQGCLQSVYSLYTFKVESIASGKPAPLDELQFHGVHNPDLLKTVIIREASKRIQEHQSWKYRIYSGEGPSDVTPIDRLDSPNAKVTASSRHNFQESKGRIPESDSILLHKLEEVCQSVKNLESLLLGSHSRA >ORUFI02G26910.1 pep chromosome:OR_W1943:2:24049210:24050964:-1 gene:ORUFI02G26910 transcript:ORUFI02G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGDRQERCLPSIHLRCRPCHRHLTTFSQGVHSAFAIAMGVHSALSVQCISFPTCRLCRRLNHTDQRHIQQAKSVRVGVADTTTQAQAQALHRLVSRGSPRPAVPCRGEPSISAAPSKHHPKAVQFARPVSHLLCLPLTPYYECPVATTAHKHTRTRRGCLLSLPAFPLLCSPLPARALGSCIAPPSTEMVAAAEWWEATIAAYTGLSPAAFFTAVAVAAALYVAVSGLLTRRPPPLPRRQEEARASQPLPPPVQLGEVTEEELRVYDGSDPNKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGRDASRALAKMSFELDDLTGDVSGLGPIELEALHEWEGKFMSKYVKVGTIKKIISVSEGDAATHGGTSDRGIDVGTIESNRVPEPEENGATSHADAVEKSDADVSTHSHEDVVEKSDELLESGVDTRSTHEDAVGKPKEETEDADVQKTISTEVAGEGKGAPDEDERNTCSLEDAIEKPKETAYIDVKDTSGHEVAGEPKEAPDVDGNNTSSNQDAVDEPKEASHEAKEA >ORUFI02G26920.1 pep chromosome:OR_W1943:2:24054913:24056937:-1 gene:ORUFI02G26920 transcript:ORUFI02G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPELIMRSLPLILFLSLGSFHLAAAAVDDQFTFDGFAGVNLTLDGTAVVTPGGLLMLTNGTTLLKGHAFYPSPLRFFHEATSGGGSSTVRSFSTAFVFGIVSEYADLSSPGLAFVVAKSRDFSSALQSQYMGLANARNNGNASNHFLAVELDTIVNAEFGDMSDNHVGIDVDGLASAAADDAGYHDDRTGAFVNMSLLSRAAARVWVDFDARTSLVNVTMAPLELPKPTTPLLSAAVNLSAVIEDEAYVGFSSSTGVVASRHYVLAWSFKMDGPAPSLNVSKLPALPVTIARAPSNVLKILLPIASAALVSALAIAVLVIHRRRRRYAELKEEWEVAFGPHRFSYKDLFRATNGFSDERLLGFGGFGRVYKGVLLVSRVEIAVKKVSHESRQGMKEFIAEVVSIGQLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYAENSKILSWAQRFRIIKGIASSILYLHEDWEQVVLHRDIKASNVLLDAEMNCRLGDFGLARLYDRGTDPHTTHVVGTIGYLAPELGHTGRPSKASDIFAFGVFMLEVTCGRRPVLQDTNGGQLLLVDMVLEHWRQGTVTDAVDPRLQGDFAVEEASLVLKLCLLCSHPLPSARPGIRQVVQLLDGAMPLPELSQAHLSCNMLALMQNQMGNSCSVASSVAGNISDIPRAR >ORUFI02G26930.1 pep chromosome:OR_W1943:2:24058375:24060305:1 gene:ORUFI02G26930 transcript:ORUFI02G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIVRQTFIRVHGSLHVSPVAMETRVAVVTGGNRGVGLEICRQLASNGILVVLTARDEKKGSQAVKALEQSGLSGVIFHQLDVTDRSSIMLLVEFIRTKFGKFNILVNNAAIGGTTIDPERLRELLEQDPKASFQEDLMGFLNSYMGSLQQNYEMAKECLEINFYGTKDVTDCLMPLLLSNSGKVINLTSKISQLQFISNEGVIKVLSDIDNLSDEKLKDVASIFLKDFKDGNLEAHGWQPVVSAYAVSKTLVNAYSRLLAKRHPSLEVCCVNPGFVKTDMNYGIGLISVEEGANAPVRLALQEACSDSCLYFEQCEISEF >ORUFI02G26940.1 pep chromosome:OR_W1943:2:24061470:24063038:1 gene:ORUFI02G26940 transcript:ORUFI02G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAAVATFMEITSCGSQEAAVQHLASCRWDLDAALNRYFIFGGVLAAAPAPAPVADVAPPAALDDGVRAPIPARSDTLYGDMYGGARRRDRDSRPAPSVWEDEPPAVIPSVAPVYIQMPSANPAVAPVQIQMPSETAASVPAAPVPVHAPSQPPVVAIAVKKEQNEKDEEEDNGRDDDDDGYSDSDYGMDTDDDDDRYDECIEKTPSPPPKSTQQQATTLAEMYRRPRELMHDADFHSTKVHAARQDRWLLLNLQSAGEFASQMHNRDLWADQVIARVVRESFVFSLLENSYGDDDDEASKVCCFYKLHDQLPAVLVIDPITGQMLAKWSGVIQPETFLVDIEEYSKSKPSMRSKPYIFQRKPMPVRSAPAASEQHHQEPAMADTAAPMDIHNVQEPDTAAPAPAPVVEHGVQQPATAGAGAQQPADEQDDDDQPMEGEKMYRMRVRFPDGSVVTKEFGCKRRVAVLFNYCRSVLHDKPQAFKIKRLLGVGGAFHELPQGDHSFEDLGLNCATVSVVLDT >ORUFI02G26950.1 pep chromosome:OR_W1943:2:24063887:24067725:1 gene:ORUFI02G26950 transcript:ORUFI02G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGSNNSFPAEKRVAVVTGGNKGLGLEICKQLAANGVTVVLTARSEERGAGAAAALRQLGLSEVLFHQFDVSEPSSAAGLADFIKHKFGKLDILVNNAGILGVTFDFGNLDLNKAIEGKSANETLEWLMQHTVETAENAEECLKINYHGNKKTIQALLPLLQSSPDGRIVTVSSVFGQLSFFSGEKLKEELNDFSKLSEERIDELAELFVRDFKDGELESRGWPARADAFAAYKTSKALQHAYTRVLARKHASSSSPLRVNCVHPGYVKTDMTLGTGELTVEEGAAGPVALALSPPGGATGVFFIQTEPASFV >ORUFI02G26950.2 pep chromosome:OR_W1943:2:24063887:24067725:1 gene:ORUFI02G26950 transcript:ORUFI02G26950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGSNNSFPAEKRVAVVTGGNKGLGLEICKQLAANGVTVVLTARSEERGAGAAAALRQLGLSEVLFHQFDVSEPSSAAGLADFIKHKFGKLDILVNNAGILGVTFDFGNLDLNKAIEGKSANETLEWLMQHTVETAENAEECLKINYHGNKKTIQALLPLLQSSPDGRIVTVSSVFGQLSFFSGEKLKEELNDFSKLSEERIDELAELFVRDFKDGELESRGWPARADAFAAYKTSKALQHAYTRVLARKHASSSSPLRVNCVHPGYVKTDMTLGTGELTVEEGAAGPVALALSPPGGATGVFFIQTEPASFV >ORUFI02G26950.3 pep chromosome:OR_W1943:2:24063872:24067725:1 gene:ORUFI02G26950 transcript:ORUFI02G26950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGSNNSFPAEKRVAVVTGGNKGLGLEICKQLAANGVTVVLTARSEERGAGAAAALRQLGLSEVLFHQFDVSEPSSAAGLADFIKHKFGKLDILVNNAGILGVTFDFGNLDLNKAIEGKSANETLEWLMQHTVETAENAEECLKINYHGNKKTIQALLPLLQSSPDGRIVTVSSVFGQLSFFSGEKLKEELNDFSKLSEERIDELAELFVRDFKDGELESRGWPARADAFAAYKTSKALQHAYTRVLARKHASSSSPLRVNCVHPGYVKTDMTLGTGELTVEEGAAGPVALALSPPGGATGVFFIQTEPASFV >ORUFI02G26960.1 pep chromosome:OR_W1943:2:24070522:24071854:-1 gene:ORUFI02G26960 transcript:ORUFI02G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCKACDKTVHFIDLLTADGVSYHKTCFKCSHCKGTLSMCNYSSMDGVLYCKTHFEQLFKETGSFSKKFSQGGKSSEKSDQGRAPSKLSSAFSGTQDKCAACQKTVYPLEKGESYHKSCFKCSHGGCILTTSSYAALNGILYCKIHFSQLFKEKGSYNHLIQTAQSKQKESEEAAPVAATEASEKEQEVPPQDAT >ORUFI02G26970.1 pep chromosome:OR_W1943:2:24073613:24073792:1 gene:ORUFI02G26970 transcript:ORUFI02G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGYVAAAMPGLELGLSQDGHIGVLSARSLSQFYHQVGDASAAGQLSHPHHHHQHHQQ >ORUFI02G26980.1 pep chromosome:OR_W1943:2:24092963:24096396:1 gene:ORUFI02G26980 transcript:ORUFI02G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKSCEKPRPNYRKGLWSPEEDQKLRDYILRHGHGCWSALPANAGLQRNGKSCRLRWINYLRPGLKHGVFSPEEEETVMSLHAALGNKWSRIARHLPGRTDNEVKNYWNSYLKKRVESGGGKTSQGPPTTPASAASSPADSDDSHSLQQKPHEPANSDSSEPAHESSSASADSSCLTVTTDHPPVSRPHAAVTPKVMFADWLDMEYICGQVAAAPGLDAAGFAVVGGAAGDQQQQQQQVMSQDGSVHQADGPSCGVDDSSLQQQQQEGFGGNGGCWDFQEQFDSIDQMQASGGGGGFCDLLSMSDFDLWAELERTA >ORUFI02G26990.1 pep chromosome:OR_W1943:2:24099569:24106796:1 gene:ORUFI02G26990 transcript:ORUFI02G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRARYPPGIGNGRGGNPNYYNRGPPLQQQHNHHQQQQTSAPHHQQYVQRQPQQHHHHNHHQQHQQQQQQWLRRNQIAGEAAGTDRNSEPKAVAQSPAVDGIDSSSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQEKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIIRLYQPVHLLVGTPGRILDLTKKGICILKDCSMLIMDEADKLLSPEFQPSVEQLIRYLPASRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDQAIYCQ >ORUFI02G27000.1 pep chromosome:OR_W1943:2:24110377:24113131:1 gene:ORUFI02G27000 transcript:ORUFI02G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKKGLKKGPWTPEEDKLLVDYIQANGHGSWRLLPKLAGLNRCGKSCRLRWTNYLRPDIKRGPFTAEEQKSIVQLHGIVGNKWSMIAAQLPGRTDNEIKNYWNTHLKKQLRRMGLDDPPPGPAAGCPAARHMAQWETARLEAEARLSLLSSSGAAATTTITAATTTTSASSSSTTAGPVAAAATSPADVFLRLWNSSIGDSFRKLAVVAAGSSSPSRADVTKDAVKQEEEAAPAGDDSSAASNEVEAATMAVDEYQMFLDFAGEELGLFHGRYGGFSLFPPVDLLEASLETAFK >ORUFI02G27010.1 pep chromosome:OR_W1943:2:24121828:24123391:-1 gene:ORUFI02G27010 transcript:ORUFI02G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEALKEAGATPAAANAGEEKAVIPAASTSPVISKTDDDTEPPADDSKALVVFVEKVADKPHAEKATATATPTRTSNDRDIALAKVETDKRESLIKAWEENEKAKAENRASKKLLDIISWENTKKAVIKTQLKKKEEELERKKAEYAEKAKNKEAIVHKEAEEKRAMVMARRGEEVIKAEEIAAKYRATGVTPKKHIGCFGA >ORUFI02G27020.1 pep chromosome:OR_W1943:2:24129502:24131903:-1 gene:ORUFI02G27020 transcript:ORUFI02G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVRAAPFTYVAHALAVAAATMVLVWCIHFRGGLAFEATNKNLIFNVHPVLMLIGYIILGSEAIMVYKVLPTWKHDTTKLIHLILHAIALVFGAVGIYCAFKFHNESGIANLYSLHSWLGIGTICLYGIQWIFGFVAFFFPRASPSVRKGVLPWHILFGLFVYILALATAELGFLEKLTFLQSSGLDKYGAEAFLVNFTALIVVLFGASVVVAAVSPARVEEPHEYAPIPES >ORUFI02G27030.1 pep chromosome:OR_W1943:2:24135066:24135809:-1 gene:ORUFI02G27030 transcript:ORUFI02G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGGQNPRGNNAAPFGRYSNNHGAGFRRGSTGPNAPRNHHHPAAAAPPHVVMTPQQRDEVLLRAGRLAAEYLVNIGELPPDALLQGRHPPPPPHAPFQGYQQRQWPPPRGHPWHEGPHPQHGFQASRSSAVGPIRNIAKRAVVRGGGGGGTFRGRGGRFPSRRPGASGAAAPETAGEPGHGQGVAPGADVGGVVGVRGDGSDATAPAGPSSGRQPSAAAHPGGAAHGQPEKGQPGGHSNSDGSVGL >ORUFI02G27040.1 pep chromosome:OR_W1943:2:24137291:24145101:-1 gene:ORUFI02G27040 transcript:ORUFI02G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLATDYFAPSSSSAAGKALALEFFSFPSLPVPALPPDPHFLPFTSADELPAATVADDGLGPLPIASALSDFLAAVIPQALPVPTVPAADEGYGVINREKDEKGEGSRSDGLEISSVMKRWEQLKELRFEVVEVDLLMALQEDIASFGEEESGGGVTLLLRVPDMKIHLDFIDIETDIKIRYQSDLAESVYQVEKVPVKDNDGNGHSSLREDCCLEIAALDHGAVIPRLEVSRNSWELDDCLTETDRYGVFDNVVRHLDEAQIQHSVFKSTEFLRSTDMDMLTFVCEDAPCHDIQVDKPAEIKAAVEMDVVRINGNILLEKNSALYPLKPDGTCSDLPCSILLEEVQIIDFPSDNVFKMLVQSETNKMNISDEIFKDDFDPARRLYESMVSCELALVDDTFRSLPTPILNDDIAVRSRVPPIQEILCSLKPHPLSASDGIYLDWHLLLEGPCNREICCSYASMVEEAKTCHLSSELQRSCQSTSVFVSDFLEDFQRSPKLQDEDKHSDIYVPAPLSHDPQKLEATQKCEQEGGTRNHSSMKRPSPEKSSSFPELISHSGDLNFYLNVRSATKSGTNNENTSTLDVPHSEEQALSLSTRAKVDKLIEIHPVSPSNLIQGLIEQIHASYTSALQESTYWRHSFSDEQGLGISKQKLLELITGEGSEGSYNHCEHKDKMELIVLYALKQVAYYLCFFGLHAAHLYISNLTRSLENTPERLKHILWSISEAQRKSERQLFESHPSLSCIETILRSNKQIDQKILIVADRAFWLPLGQKLASMRMTFVEFGQNPATTFVDLVNKTNSTAWVLEELLKSDCILLDNKNIPASFPFDKFGIILEYGGPNKSSTLLSLAPKLDGLPPLHFLYVKVDGKDFPAALVEDNHKDQDLKSTLDKVLLTLQKDLQERMNKMRIVDSLNFIPATNQLQGLQEKRSKHFAADATKELLPDDQPHRLQNLNKKNTFDSHNVVLADEQLHIQQTLSNKPVVNSQCVPTVEKSSSTSSVSANVLKDPQENQSTTDLPSCVKNDCIMPGRLSVPDVVIVVNTGNHGKTMLVSRRSSYQHILALEKGGMQVVERDIDLPVDLILSAAVCLVWYETALFEANELTTSAETSGIKENVENIATNILMSVSFSFTGCIMVFEGEADFLSAVMDSSDSLYTAAASLDMNLQLFFSHTPRSTDEIILNCITNVTSCYKAPLPDIPESESLAESFLTSFPSINPVSAYMLLSSGGSLVEFLSWPHERRIQAVGKYLLSPKIISLFNALCKFGELGESRSVMTECSSVDSDISSAFLQSPRKRKQRSLQACAVPTNKLLFSDSLNQIPGDYAEHAEVFSPSKLRKFSDMDNTIPELPDVFTFDESLNMRSEGFSYQQKKHDVDAIPGNQVINDDFSNGLTPNNQAYNRRTGNMVDTFDLPWQPEFGGTHPSKSTFHTSRPSCSRTHSNPVFSTAFEINDDPGEWNISGGTKQTWKGLAHGGTVDDSYRYDMDNRYHEPRDEIMQHPASSLAFQKLDFGSHATSQGSCWEIDYLRQMSAKRKARQERSRCSNSPGMSIPRMRDSNSKILNPPPKESFRYRGDRDTPSRDQSPSIGTQHYGKGKEGAKAQNRRARKDFNVQPTSHKKRIEPSIDPTWTPIDKRARQKLSFVTYGKEKQSKLVWRNQNSPGVGCGFRKRFREEGHPRQP >ORUFI02G27050.1 pep chromosome:OR_W1943:2:24146318:24151751:1 gene:ORUFI02G27050 transcript:ORUFI02G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKSSKPLFSNGRAAGAHSQKTQNASSYRGFVVQGLPFLSVRPRPPGGLQNPYQGIDRRGRSDHPVRSSSDRSSGVAGQPGVAGERRQQRTFSEQKANSTSQPEADLAEEAAANNARTASTIEKAEEGEGGAGGRRVGGAAMASAAAVFPDGRRWCKGGSSSSSPVTTAIFLFFFVVVVGVLVSARWITTTSHLSITNLDEWRTKTAILTSTQATSIPGTPTAPPPPRRAYSISCSSPPLRRDPAVPKNISQTLYLALSSRPACARVPEPQPLPPTTTNSSCPAYFRFIHEDLHPWRAAGGITRRMLERARDTANFRLVVLRGRAYVERIAPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVQADRYQGENATAMPPLFRYCGDDETLDVVFPDWSFWGWPEINIKPWDALQKDLDIGNKRVKWVDREPYAYWKGNPDVATKRKELDWIKESKAGYKQSDLASQCTHRYKIYIEGSAWSVSEKYILACNSMTLVVTPKYYDFFSRVLMPTQHYWPVRDDNKCSSIKHAVDWGNSNKKKAQKIGKQASNFIQQELSMDYIYDYMFHLLTEYAKLLRFKPTKPPEAIEICPELLACQAIGRERKFMEDSMVKSANDAGPCDLPPPFSPEEFKELQQRKEKSMKQVETWQQKASQT >ORUFI02G27060.1 pep chromosome:OR_W1943:2:24159454:24162021:-1 gene:ORUFI02G27060 transcript:ORUFI02G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAKKDSIFGGLASGAPVGADRFPFDPREYSPAMSSDSRELLGIDPPELIFPFELKKQISCSLHLTNKTDEYVTFKVKTTSPKKYCVRPNNGIVAPQSTSDVLVTMQAQREAPPDMQCKDKFLVQSAIVTQELTPKDITGDMFTKESGNVVDEVKLKVVYTQPHPTSLNGGSEEGLGSLSYQEATKGSRESETVTSEPLALISKLKEEKSSAIQQNMKLREELDLLRRQMGSQHGGFSLVFVLVIAILGILLGFLIKR >ORUFI02G27070.1 pep chromosome:OR_W1943:2:24162749:24163069:-1 gene:ORUFI02G27070 transcript:ORUFI02G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDPSRGFDTIATLESRTWPEIARAMTGEADLWWMARAAIPAMVVPSLIDGSPNSLED >ORUFI02G27080.1 pep chromosome:OR_W1943:2:24170627:24172845:-1 gene:ORUFI02G27080 transcript:ORUFI02G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLSSAVFPLDHLAAPSPTEQLCYVHCNCCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPAPAPAPANQLHFGPSLLSPTSPHGLLDEVAFQTPSLLMEQAASASLSSITGRSSSSCASNAPAMQMPPAKPVQQEPELPKNAPASANRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQGFKKTFKPQDGSEDILLKDSLYAAAAAAAAAAANMGVTPF >ORUFI02G27090.1 pep chromosome:OR_W1943:2:24176493:24176976:1 gene:ORUFI02G27090 transcript:ORUFI02G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMATMAGAAGVESVDPAATRQDLAAARQTACPTAGVAGGGGEEDGRIWLQIWRVLSRVGVAWNPVTFGAFRCGCYGLRGESLAPLPVLATATPSGVVHLLEGIAIGAHIQHHFKEFLPVKTLDSLGSDDVVILSAYPS >ORUFI02G27100.1 pep chromosome:OR_W1943:2:24186248:24190404:1 gene:ORUFI02G27100 transcript:ORUFI02G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTTSPLAAAAARPCAFPTPWRCRSPPLRRLPHVSCQANRGGSRDGNSLSTSAAAAAAAASPPPRWRAAVSAALAAAIVSAAPAYADLNKFEAEQRGEFGIGSAAQFGSADLKKAVHVNENFRRANFTAADMRESNFSGSTFNGAYLEKAVAYRANFTGADLSDTLMDRMVLNEANLTNAVLVRSVLTRSDLGGAIIEGADFSDAVIDLTQKQALCKYANGTNPLTGVSTRKSLGCGNSRRNAYGSPSSPLLSAPPPKLLDRDGFCDEATGMCDAK >ORUFI02G27110.1 pep chromosome:OR_W1943:2:24190182:24191691:-1 gene:ORUFI02G27110 transcript:ORUFI02G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFSHVPPGFRFHPTDEELVDYYLRKKVASKKIDLDVIKDVDLYKIEPWDLQEKCKIGMEEQNDWYFFSHKDKKYPTGTRTNRATGAGFWKATGRDKPIYARSCLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTTPEEAWVVCRVFKKRVATVRRMADGSPCWFDDHGAVGAFMPDLSSPRQLLPHHHHHHPGSSAALYHGHHHQQLQQMYGHCKPELEYHHLLPQEAFLQHLPQLESPKPPPPPPAAAAYIGGHLGSSSSTALTTHDDEASGSAAQQQPPSLEAVYMAGAGVGIGVDASVTDWRLLDKFVASQLLSKESMSSYGSHPAQVFQAADGGKHEEALDYASTSAGSGGGEADLWK >ORUFI02G27120.1 pep chromosome:OR_W1943:2:24212873:24213445:1 gene:ORUFI02G27120 transcript:ORUFI02G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARRHRGFRLGRKLLGLWRWALCHRRRRRGRGYLRLQPCPGAAGGRSPLLAAGSVKKQPPPQQQQIVVHQRGGEKAVLKWGRSLARRMRLLRRRGSERLLEESPGEATTPKGQVAVYVGGGEPGESMRYVVPVVYFNHPLFGELLREAEEEFGFAHPGGITIPCAAARFERAAAVAAAGGGGRKVPTWW >ORUFI02G27130.1 pep chromosome:OR_W1943:2:24219223:24221043:1 gene:ORUFI02G27130 transcript:ORUFI02G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSGCVVATAVVLVLISLGAAPPAAVDAATGTFIYAGCSPSKYEPNTAFQSNLNSLLSSIASTASSGAAYNSFTAGGGAGPDPAAGTAAYGLYQCRGDLSPGDCVACVRQTVARLGAVCANAYAASLQVDGCYVRYDAADFIGRADTTTAYRKCSSSTSRDGAFLSSRDGVLGELQAAAGYKLSTSGTVQGVAQCLGDVPANDCTACLAEAVGQLKGACGTALAADVYLAQCYVRYWANGYYFRPNSDNSGDDVGRTVAIIIGILAGLALLVVFISFLRKAC >ORUFI02G27140.1 pep chromosome:OR_W1943:2:24225589:24229955:-1 gene:ORUFI02G27140 transcript:ORUFI02G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWCFALLLALSAAAAGAGAKRTWEPVIRMPGEVVEEEVATVPRGSEGTEEEEKDGVGTRWAVLVAGSSGYGNYRHQADVCHAYQILRKGGLKEENIVVFMYDDIANNILNPRPGVIVNHPQGEDVYAGVPKDYTGDEVTAKNFYAVLLGNKTAVTGGSRKVIDSKPNDHIFIFYSDHGGPGVLGMPNLPYLYAADFMKVLQEKHASNTYAKMVIYVEACESGSIFEGLMPEDLNIYVTTASNAEESSWGTYCPGMEPSPPSEYITCLGDLYSVSWMEDSETHNLKEESIKKQYEVVKKRTSDMNSYGAGSHVMEYGDRTFKDDKLYLYQGFDPANAEVKNKLSWEGPKAAVNQRDADLLFLWRRYELLHDKSEEKLKALREISDTVMHRKLLDSSVDLVGKLLFGFGNGPSVLQAVRPSGQPLVDDWDCLKRMVRIFESHCGPLTQYGMKHMRAFANICNNGISGASMKEASIATCSSHNSGRWSSLVQGYSA >ORUFI02G27150.1 pep chromosome:OR_W1943:2:24230398:24235323:1 gene:ORUFI02G27150 transcript:ORUFI02G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSAGRYEEAARHFTDAIALAPGNHVLYSNRSAALASVHRYSEALADAEKTVELKPDWAKGYSRLGAAHLGLGDAASAVAAYEKGLALDPTNEGLKAGLADAKKAAAAPPRRPPPSGVDGIGQMFQGPELWTKIASDPTTRAYLEQPDFMQMLRDVQRNPSSLNMYLSDPRMMQVLGLMLNIKIQRPEASESSQPSSSPPSQPQEQPEAKAREVEPEPEPEPMEVTDEEKERKERKSSAQKEKEAGNAAYKKKDFETAIQHYTKAMELDDEDISYLTNRAAVYIEMGKYDECIKDCDKAVERGRELRADFKMISRALTRKGTALAKLAKTSKDYDIAIETFQKALTEHRNPDTLKKLNEAERAKKELEQQEYYDPKLADEEREKGNQLFKEQKYPDAVKHYTEAIRRNPKDPKVYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKAMETYQAGLKHDPNNPELLDGVKRCIEQINKANRGDLTQEEIQERQNKAMQDPEIQNILTDPIMRQVLVDLQENPRASQEHLKNPGVMQKIQKLVSAGIVQMR >ORUFI02G27160.1 pep chromosome:OR_W1943:2:24245225:24245938:-1 gene:ORUFI02G27160 transcript:ORUFI02G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLGCHVGCASPETKQEETADPTAAPVVVDDVEAAAGGRRPGDGGGVNYVARAQWLRAAVLGANDGLVSVASLMVGVGAANGTRRAMLVSGLAGLVAGACSMAIGEFVSVYAQCDIQAAQIERARGGKDADGGEEEEELPSPTMAAVASALSFAAGGGGAFGRGRPGSRPCARRAASASPASAWRARTSAARASRAPASGCSWEGGSPWRSRTGCLSCSGCMVFNGQVFIFSPRK >ORUFI02G27170.1 pep chromosome:OR_W1943:2:24248359:24251768:-1 gene:ORUFI02G27170 transcript:ORUFI02G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSDSAGGGGGGRAGAELMVPQFHLKALHAILAVRAPRPLAAAPAPAASFRRRDRWFHLPLHAPPPPASAEHLPEPSPGEPLVVDVYLTPSGGGGGAEAVVERWTVSCEPWSAGARGGGGAAASGEGLAVNRAYKRCITLLRSVYTALRLLPAYRVFRLLCASGQAYNYEMGFRVGSFAAPFTRAEEAAMSTRRFAPVETQLGRLVVSVQYLPSLAAFNLEICSLAPAMLITDYVGSPAADPMRAFPASLTEAASSAPAFPPRRPNSWAPSPAPWPYTPGQQAKFSPPPALYASPTPSPPTFAGGYLQSRLSGETAPMIIPGGGRGPVHNRNMSDPVRGFMLPPPSPKNIRGDSGGHETPMETGRTGIRMADLYTNLPSVPKIKIKDSRDESGRFSGVFSSSGSPRLGFSRSSSRLSMQDDTDDLDFPFAVDDVDTPDSRPGSSGGKDVGDQASSSSHKSQDAAVGYLVHMLKSARPLRDSSNSPLTSRVESVEGGNVSSFMSRRTSDALEELESFKEIKENLLARSRSRMQESLDKSLRHS >ORUFI02G27180.1 pep chromosome:OR_W1943:2:24258952:24260200:-1 gene:ORUFI02G27180 transcript:ORUFI02G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKALVKHLQKELARLESELRHPVQSSSLETLLKEKDNQIRKMEKGIKELKSQRDLAQSRLQDLLQSVGDHDLNRQVQGKHSVRSPPSVGMPPSVSRDDSSQVSHDDSDLYKEVRCIESNRTGGNDQLDLSAGESSSPQDSNMNSGLHGNDSNASVNSRHSRPSGEAPITLEEHLENIRRPFVSLAKDLGSSTRNSSNLRVIGRSRSCRSLTGSTMFDDMEMDDCTPLNRSLVEFPGRPVESHRRGSALHYDAETDTLSRAGSMSSEISTFKDAKTNGSVACDTEFTGIGEFVAELKEMAQVHYQKQLGDQV >ORUFI02G27190.1 pep chromosome:OR_W1943:2:24272853:24279059:1 gene:ORUFI02G27190 transcript:ORUFI02G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQILSHSRQGKMLVSLFSELVSSSNASGPEILPLVDHHRRTCATPCSLSFMVDCLIKACITCYDVQATICLFSGICRLGVVPSVWTWNLLLKFIAETGEYEMVLAAYNEMKCFQLTPDVYTFAIVTRSLFQAKKVDEALQVWAEMTEMGVKPDARGYSSFLIGLCDCRKYDLAYVILQEINREKVPVEAMAYNMVMDGLCKEMRLDEAEKLLENKARQGSNPDVFEEMLKANIEPDIVTYNILASGFCKSGLVMEVFDLLDRMADQGLEPNSLTYGIAIVGFCRGGNLSEAEVLFNVVEEKGIDHIEVMYSSMVCGYLLSGWTDHAYMLFVRVARQGNLVDHFSCSKLINDLCRVGNVQGASNVCKIMKLGMTSEVIAYFLKFKDSGLHLDKNGNMNEAVKLLNEMKCGGLTPDKIHYTCLINGYCLKGEMQNAQQAGRLQEACQLFVQMTNLGIKPDVIAYTVLLDGHLKETLQQGWEGIAKERRSFLLRANHNKLLSSMKDMQIEPDVPCYTVLIDGKCKAEYLVEARELFDEMLQKGLTPDAYAYTALINGYCSQGEISKAEDLLQEMIDKGIEPDELTFSVLNQSSLSTGSDFTSLDIQNGNSLQFQILFLKNTGTN >ORUFI02G27200.1 pep chromosome:OR_W1943:2:24282236:24286105:-1 gene:ORUFI02G27200 transcript:ORUFI02G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAITTIFLAAEKQWTLLDWKPKKPDMLVDTFGFGRIIQDGMNFMIRSYEIGADRTASIETLMNHLQETALNHVRTAGLLGDGFGATPEMSKRNLIWVIWFKLTHGSLLLAKMACVETGILDGVTSMSISM >ORUFI02G27200.2 pep chromosome:OR_W1943:2:24282965:24286105:-1 gene:ORUFI02G27200 transcript:ORUFI02G27200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAITTIFLAAEKQWTLLDWKPKKPDMLVDTFGFGRIIQDGMNFMIRSYEIGADRTASIETLMNHLQETALNHVRTAGLLGDGFGATPEMSKRNLIWVIWFKLTHGSLLLAKMACVETGMFVTTTLAEQS >ORUFI02G27210.1 pep chromosome:OR_W1943:2:24286366:24291369:-1 gene:ORUFI02G27210 transcript:ORUFI02G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFNPGAALTTISYHYPKKIPQEKRHVDNQRARLRIQRRREGTMVAQKERASVAVVRGATLKKKRENLPSSPSLRQERRAAAQHRRGGAPISIAKESRAGEGILVSAFGV >ORUFI02G27210.2 pep chromosome:OR_W1943:2:24286366:24291369:-1 gene:ORUFI02G27210 transcript:ORUFI02G27210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFNPGAALTTISYHYPKKIPQEKRHVDNQRARLRIQRRREGTMVAQKERASVAVKRENLPSSPSLRQERRAAAQHRRGGAPISIAKESRAGEGILVSAFGV >ORUFI02G27210.3 pep chromosome:OR_W1943:2:24286366:24291369:-1 gene:ORUFI02G27210 transcript:ORUFI02G27210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFNPGAALTTISYHYPKKIPQKRENLPSSPSLRQERRAAAQHRRGGAPISIAKESRAGEGILVSAFGV >ORUFI02G27220.1 pep chromosome:OR_W1943:2:24294100:24296310:-1 gene:ORUFI02G27220 transcript:ORUFI02G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLFAENRARGDRPEDWVPTEDASVDYDDNYDGIGDILPGQNEDIVDIFSAHSYSNEGYHHVSEKDVEESPTGLTLKNKWEDTHWFSIWWQQFVDAATITDQISCVTGWNMLLYKFNLSSVKGVAACLLIQNLLSCVGVSPYVIAFTALAAGTSWPDLVASKIAAERQITADSAIANITCSNSVNIYVGIGVPWLVDTMYNYFVYKKPLYIDNAAGLSFSLLVFFATSFGCITVLVLRRVILGAELGGPR >ORUFI02G27230.1 pep chromosome:OR_W1943:2:24309660:24310253:-1 gene:ORUFI02G27230 transcript:ORUFI02G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRANHTASPSVNATTAAAAAAMITATPSPPPRLPLPNPGNADAGAGAWGPYASSRAFFSNVATILIILACVSLLAFCLHAAARCLIRCLARRRDSGAPALARAQAQAQPPKPSSDDGANTGSSGAASAASGVAMVGGWAEAECAICLSELADGGGERVRVLPACGHGFHGACVDGWLAARASCPTCRAPSRAGEP >ORUFI02G27240.1 pep chromosome:OR_W1943:2:24313196:24314583:-1 gene:ORUFI02G27240 transcript:ORUFI02G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDELVQWDKMGAAEAVNGGCGGAGKMDRIQVLVRLRPLSEKEVARREPAEWECINDSTVMFRSTFPDRPTAPTAYTFDRVFHSDCSTKEVYEEGVKEVALSVVSGINSSIFAYGQTSSGKTYTMTGVTEYTVADIYDYINKHEERAFVLKFSAIEIYNEVIRDLLSAENTPLRLWDDAEVKKGLLIILDNYYPAMYLLLTLLCYLFNRRAPM >ORUFI02G27250.1 pep chromosome:OR_W1943:2:24321542:24322281:-1 gene:ORUFI02G27250 transcript:ORUFI02G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVPAAHTECVHWHGKCTLSLDDRCPQHPNVKTHVPVILDMKSLNYTKWRIFFIVAFLGKFGMLGHDIVEDGVVEKAMRMGKSSCTLCRLHLLEEEVRAHEAKLVEERKGDFPSLGVVWTLHVEDNRQFPLDVGELRVLAI >ORUFI02G27260.1 pep chromosome:OR_W1943:2:24331807:24334270:1 gene:ORUFI02G27260 transcript:ORUFI02G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPPVMDLPAHDVEELEWVSRIMDDSLAELPLPQLPAAAAALAACGKPQHRRPHEGAASALLDPMRTPTICALSTEALVPVKSRRSKRSRASVWSLSGAPLSDSTSSSSTATTSSCSSSASFSPFLQYVDFPALVASDLLDEQPRSKKSKHGKNGKQKPKKRGRKPKHQQPPHLAAAAAAGGGALPATGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSSLHSNSHRKVLEMRRKKETPVIVAAAAPAVASF >ORUFI02G27270.1 pep chromosome:OR_W1943:2:24344482:24344706:1 gene:ORUFI02G27270 transcript:ORUFI02G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARGVEAVAAAVRRAEAARLSATAATGVEAAAAAPGPSLSDLAEGERGANVRQRRGPDVRRRTTAGRRPWF >ORUFI02G27280.1 pep chromosome:OR_W1943:2:24359734:24360702:1 gene:ORUFI02G27280 transcript:ORUFI02G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKPRVVVVGAGISGLAAAHRLCGAGGDRFEVAVVEAGDRVGGRILTSEFAGHRVEMGATWVQGVVGSPVYALARDAGALGEEEGRGLPYERMDGFPDRVLTVAEGGEVVDADTVAGPIEELYRGMIEAARDGEAGGGGGVEEYLRRGLRAYQAARPGYLNRD >ORUFI02G27290.1 pep chromosome:OR_W1943:2:24361352:24363715:1 gene:ORUFI02G27290 transcript:ORUFI02G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDHRVHRANKLAGKHRRFSVLNPSASGRSPTSTTAPLCDICQEKRGFLFCKEDRAILCRECDVPVHTASELTMRHSRYLLTGVRLSSEPAASPAPPSEEENSSSFCCSADDAVPAPAAPATSHGGSSGSSSISEYLTTLPGWHVEDFLVDDATAEAAAAAAATSSGISANGPCQGVTRIGGLQESAGYPAWMAQQQLCCDGLVAGDASPASRERWVPQMYADQLAAGSKRSRTSTASSYSYW >ORUFI02G27300.1 pep chromosome:OR_W1943:2:24365436:24367955:1 gene:ORUFI02G27300 transcript:ORUFI02G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAAVPIAVFLLLVLAEADPAAATRSPSAFVQNAIYSNRITIFSKTYCPYSMRAKRIFRDLKENPYIVELDLREDGREIQSVLLDLVGRHTVPQVFVNGQHVGGSDDTANAHSNGQLQKLLGNSQSQR >ORUFI02G27310.1 pep chromosome:OR_W1943:2:24368530:24375239:-1 gene:ORUFI02G27310 transcript:ORUFI02G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPQHGSLGGLVAGVREEYESGRTKELEWRKAQLGGLIRMITEEEDAIFDALHDDLGKHRVESFRDEVDVPLISFPCNARVVPEPIGVVLIFSCWNLPIGLALEPLSGAIAAGNAVVLKPSEFAPSTAAFLAANIPKYLDANAVKVVQGGAEVGEELMEHRWDKVLFTGNARVGRIIMTKAAKHLTPVALELGSKCPCIVDCLDSKRECQVAVNRIIGAKWSTCAGQACVAIDYILVEEQFAPFLIELLKSTLKRFFTEPEYMARILNEKHFHRLTNLLEDDQVKSSIVHGGNADPKTLWIEPTIVLNPPLDSDIMMEEIFGPLLPIITVKKTEDCIAFLKSKPKPLAIYAFTNNEKLKQRIVAETSSGSVLFNDAIVQYGLDSVPFGGIGESGFGQYHGKYTFELFSHRKAVVRRSLLVEFMFRYPPWDEYKMGMLRRVFRFDYVSLVLALLAFWLLGIRR >ORUFI02G27320.1 pep chromosome:OR_W1943:2:24376504:24377093:1 gene:ORUFI02G27320 transcript:ORUFI02G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDKRTTAARLARSVAARGHGYRELARTMLRVTSGGMRRRARGDSDVGGRLEAGVPWVIWMRWRRWWYREVGGETLSWLPKTGSGGTFKCYSLLESVV >ORUFI02G27330.1 pep chromosome:OR_W1943:2:24379964:24381244:1 gene:ORUFI02G27330 transcript:ORUFI02G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKPRVVVVGAGISGXGGGVEEYLRRGLRAYQAARSAGGGGKELEEVEEALLAMHINLERTDTSADDLDLTTEGEYRDFPNPEQAFVVSLTNGAISHGRAGALAGGEDEQEKEG >ORUFI02G27340.1 pep chromosome:OR_W1943:2:24381634:24381975:1 gene:ORUFI02G27340 transcript:ORUFI02G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRPTERSWTRKAGAAGMGGSRRERSWAVALRQRHHRARPAVPIPHPRRWCMGRRAGWSVRAAMGGVINELDRPPDREELEADGRCGGHGRRSRCWQGDSPGSTSAADSPAS >ORUFI02G27350.1 pep chromosome:OR_W1943:2:24386987:24388276:-1 gene:ORUFI02G27350 transcript:ORUFI02G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAPILLLELAAAVLLVLQRVAAADAVVTTFPGDAAALASLKSAVDAATVPAYSCLASWDFARDPCAAFPCGVRCYAPPNSSYHRVTGVSLDPAGYSGTLPATVLASLPFLAFLSLASNRFHGALPAGSPLPPSLRVLDLSGNAFSGEIPASLFTPASSLEELYLSRNALTGAIPPQVASLGSLKRMELQHNGLTGTLPRMDAMRSLAYLDLSGNALSGSLLDAPGRLPGSLVSVVARGNGFAGPLQAAALAALPAMRVLDLTGNAVSGAVPGAAFAHPSLQQLRLGSNKLGAVEEAPDGGASSQLVELDLGGNRLTGRLPGCVAAMPRLAVVGLDRNMFAGGIPDQYAARAAADGPTDKWVPFVRLMLQGNFLCGALPSQLRQLKEDGAVVSLADNCLPKCPHKFSFCRGAPQKSNATCPKCFP >ORUFI02G27360.1 pep chromosome:OR_W1943:2:24413421:24419301:1 gene:ORUFI02G27360 transcript:ORUFI02G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMVAAMGEKPKPAVVLGGMVSGLREVYESGRTKDLEWRQSQLKALIRLLTDKEEEIFAVLHDDLGKHRGESFRDELGILVKSIKYTLQNLKKWAASERAESPLVAFPATAMVVPEPLGVVLVFSCWNLPLGLALEPLSGAIAAGNAVVLKPSELAPSTAAFLAANIPRYLDSRAVKVVLGGPNVGEELMEHRWDKVLFTGSARIGRIIMAKAVKHLTPVALELGSKCPCIVDWLDSKRDRQIAVNRIIGAKWSTCAGQACIAIDHVIVEERFAPILIELLKSTLKRFMAKPGGMARILNAKHFERLSGYLEDNRVAASVVHGGYMDPKKLNIEPTLLLNPPADSDVMTEEVFGPILPIITVKKIEDCIAYLKSKPKPIAMYAFTNNERLKRRIVEETSSGSVTFNDAVVQYALESVPFGGVGHSGFGQYHGKYSFELFSHKKAVFKRSFLIEFMFRYPPWDESKIGTLRHVFSYNYFLLFFNLLGFRR >ORUFI02G27370.1 pep chromosome:OR_W1943:2:24424356:24425547:-1 gene:ORUFI02G27370 transcript:ORUFI02G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGCGLLAFARCCCVGGGEMESDARVSDEPGCGGAGSVVEKGGGVVARRFGWAEIESVTGGFSSRVIGQGGFSTVYLASLTSSRLGAVKVQRSSERLHRAFCQERDVLLSLRHPHVVRLLGYCDERDEGVLVFEYAPNGDLHERLHHRSGGKSRTVLPWARRMAVAFQVAMALEYLHESRDPAVIHGDIKSSNVLLDANHDAKLCDFGFAHVGFSAAVRPPSGATRAWGRPVMGSPGYADPHFLRSGVATKKSDVYSFGVLLLELVTGREAICAQTGHRLTAAVGPSIGEGKLADVVDRRLGGDYDVEEAATVAALALRCVSDGTGLRPSMAEVVRELQEKTTALISAVGSKPSDKMVS >ORUFI02G27380.1 pep chromosome:OR_W1943:2:24425327:24429749:1 gene:ORUFI02G27380 transcript:ORUFI02G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRWCKRNGDLDQPEPSGAVAIYREGGPDWDQIRDGGAIAQSEAFTFLVRSSSATHLPTSGSESSPYSLSPNPAESLVVHEQLPRPRRRNVILSLFRSIKVVSDYGSNGQRQNQEYK >ORUFI02G27390.1 pep chromosome:OR_W1943:2:24436945:24440115:-1 gene:ORUFI02G27390 transcript:ORUFI02G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHPQGAGDPPYGVVPAPPTMAAAFDLPPVTTPAPAAPSDVLLPTQPQVSGPEEFPAAAVNSNDDDMMMVDDVVVAGGVGGSGSTGNRWPREETLALIRIRSEMDAAFRNATLKAPVWEELSRRLAELGYQRSGKKCKEKFENVDKYYKRTKEGRTGRQDGKSYRFFSQLEALHAAAPPPPPQQRQGMPVEDPQPLAMARMMLPGAADLGFLSMSSESESDDESDEEEEEEEEAVAPGGGGREGLGDDGDGDGEGGSSTRKLMAMFEGMMRQVTEKQDAMQRVFLETLEKWEAERTEREEAWRRKEVARINREREQLSKERAAAASRDAALIAFLQRVGGAGGEPVRLSPSSAGATRHDAAAAGLQLVPVPAPRAKAEDAWAAAGGDGSGTTAPSRWPKEEVQALIDLRMEKEEQYNDMGPKGPLWEEIAAGMQRIGYNRSAKRCKEKWENINKYFKKVKESNKRRPEDSKTCPYFHQLDAIYRKKHFAGRGGGGGGVTIAASHSSLAIVTVSEQDNPSQRELEGKSSNDVGNVQLAVPLLVHNAPDKKVEGSEGEPNVTAAAEETDSDEMGGEYTDDGDDDDKMQYKIEFQKPTAGGGGDGNDAPVPATTAAATSSAPTSNTSFLAVQ >ORUFI02G27400.1 pep chromosome:OR_W1943:2:24450244:24450426:1 gene:ORUFI02G27400 transcript:ORUFI02G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPCSPLCLTRSNSSARPNPPSPSTLSGLKFLVAALSSANMKIQRLGTSRILPSGSG >ORUFI02G27410.1 pep chromosome:OR_W1943:2:24450681:24472583:1 gene:ORUFI02G27410 transcript:ORUFI02G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAFEYLASVHGGLMLVPSRSQQLQPPRSRNSPPWDQLGAKLDQIDSQLSIPPMRAAAAGLGAVEGAAPRGTRGRALESPPVKQSGERGEGEAVASPSPVAPPPRPSPPPLLSPPVVSRAPQSFHAAGCQEERGRELGSPSTAPNSPLTATIHDPSREPAAAAPLIGHGSPRELGRAGSSHDVPWAAGLDTMSRAGASPPSAGFHKRCRHRGSRLRRRCVSAIVVAAVVTRLLSLPIGGVVIAASPRRRRLPDPPLPETGRRRGGEPAVDSAPEEVRRGAAVVVACRRRRCSSPPACRSRHRRSKVTRSRAAAAAALSCRSYSAPTPAAAHPRVRERRGRERRWENEWWGGVEEKIRMVREERKGSLVVIGITCNAWKRLREGLKCMVVIGLLEARSRKARLIGIGKWMPSGALGESRKDKCYKALQLFVASDSSRVDVAELHACLWAEPSYGRMITLMSCTMNEFVSTSLEQPEPTTTSSGEP >ORUFI02G27420.1 pep chromosome:OR_W1943:2:24479798:24481210:1 gene:ORUFI02G27420 transcript:ORUFI02G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDCQFLVAPPQPHMYYDTAAAAVDEAQFLRQMVAAADHHAAAAGRGGGDGDGGGGGGGGGERKRRFTEEQVRSLETTFHARRAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQIEHDYAALRAQYDALHARVESLRQEKLALAAQVDELRGKLNERQDQSGSCDGGGAEGDDDDKRNSVMNASSSGLVEEDYVSCLAVPVVDVSEDGSAACGGSSYEYDHHLDYLGGGQLPDPFCGMPDLWETWPMVEWNAVA >ORUFI02G27430.1 pep chromosome:OR_W1943:2:24483255:24487816:-1 gene:ORUFI02G27430 transcript:ORUFI02G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPTPSSPLVASTRRFVAPRLRSLLPAAAVSMSSTSSSSISAPSNGRPVSGGAGEQRPPPSPLLPHESLEVAGARCGLLAGFDSLRRPYRAFPIVASNRHVETIFAAFARSLPAVALRRECLRTPDDGAVALDWVSGDDRALPRDAPVLILLPGLTGGTDDTYVRHMLLRARNKGWRVVVFNSRGCAGSPVTTAKFYSASFTGDLRQVVDHVLGRFPQSNVYAVGWSLGANILVRYLGEETDKCVLSGAVSLCNPFDLVIADEDFHKGFNNVYDRALAKALRNIFKKHALLFEGLEGEYNIPKAANARSVRDFDEGLTRVSFGFKSVDDYYSNSSSSDSIKNVSIPLLCIQADNDPIAPSRGIPREDIKANPNCLLIVTPQGGHLGWVAGEDAPFGCPWTDPIIMEFLEYVHNEKNSSTKGSISYEQQSVTQTSAPDVSVHVLRY >ORUFI02G27440.1 pep chromosome:OR_W1943:2:24492618:24498925:1 gene:ORUFI02G27440 transcript:ORUFI02G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAAAETLAAASLPVASPSRSLLRPLPRRASAGGGCSASVRISAVPPRGLGFAVVQRRVLRRPPAARANVEREGDGAEASGPGEASSSSSGDGDRDGAAAAAEAGGDGASTSTTSAAATPPQPPSSKRGENKWRRKLIKGGGVGRWLWEPIVQGREMGFLLLQLGFAIFALRMLRPEIALPGSEPRPQTTYVSVPYSDFLASIDKNQVKKVEVDGVHIMFRLRPEVEARAMEQPQVQRGTDSVADNAGVPRRIVFTTTRPVDIKTPYEKMVENSVEFGSPDKRSGGLLNSALVALIYVVLIAVVLQRLPISFSQHSAGQLRNRKNSNSGGAKVSESTDIVTFADVAGVDEAKEELEEIVEFLRNPERYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGAARVRDLFARAKKESPSIIFIDEIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDTNSAVIVLGATNRADVLDPALRRPGRFDRVVMVEAPDRFGRESILKVHVSRKELPLGKDVDLSDIAAMTTGFTGADLANLVNEAALLAGRSNKEIVEKIDFICAVERSIAGIEKKHAKLKGNEKAVVARHEVGHAVVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEVVLSGRVSTGALDDIRRATDMAYKAVAEYGLNQRIGPISVATLSNGGLDESGGSPWGRDQGHLVDLVQREVKALLQSALDVALSVVRANPTVLEGLGAYLEENEKVEGEELQEWLKSVVAPKELTSFIRGKQEQVLQLEAGS >ORUFI02G27450.1 pep chromosome:OR_W1943:2:24499742:24500404:-1 gene:ORUFI02G27450 transcript:ORUFI02G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKAVYSFQEVSKHNDRKDCWLIIAGKVYDVSPFMEEHPGGDEVLLACTGKDATADFNDIGHTATAKELMPQYCIGEVDASTVPAKPAYRVVSEDASAKPDAASQGAWLTALQLAVPVVLLGLAYALQDFAKTKTA >ORUFI02G27460.1 pep chromosome:OR_W1943:2:24506651:24511449:-1 gene:ORUFI02G27460 transcript:ORUFI02G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPEIERCDAGDVESDHDGAAAAAERVPPWREQVTARGMVAALLIGFVYTVIIMKLALTTGIIPTLNVSAALLAFLALRGWTRAPALLLPGGGAASSSSRRRPFTRQENTVVQTCAVACYTMGFGGGFGSSLLALNRKTYELAGVSTPGNSPGSYKEPGVGWMTGFLFAISFVGLLNLLPLRKALIIDYKLTYPSGTATAVLINGFHTPQGENSAKKQVRGFLNCFGISLLWSFFQWFYTGGESCGFLQFPTFGLKAWKQTFYFDFSLTYVGAGMICSHLVNLSALFGAILSWGIMWPLISIQKGKWYPGNVPESSMTSLFGYKSFMCVALIMGDGLYHFIKVTGITAKSLHERSNRRHAKKATDEDTFVIADMQRDEFFNKDYIPNWLAYAGYALLSIVAVIAIPIMFQQVKWYYVVVAFVLAPVLGFSNAYGTGLTDMNMSYNYGKIALFIFAAWGGRDNGVIAGLVGCGIVKQLVQVSADLMHDFKTGHLTLTSPRSMLVGQAIGTAMGCIIAPLTFLLFYKAFDIGNPDGYWKAPYALIFRNMAILGVEGFSALPKHCLELSAGFFAFSVLINLMRDFLPRKYRDYVPLPTAMAVPFLVGANFAIDMCVGSLIVFAWHKINSKESALLVPAVASGFICGDGIWMFPSSLLSLAKIVATPKNYKPFRVLSGTSGEISVFLSCRIPGRGQIGWDMKLH >ORUFI02G27460.2 pep chromosome:OR_W1943:2:24508148:24511449:-1 gene:ORUFI02G27460 transcript:ORUFI02G27460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPEIERCDAGDVESDHDGAAAAAERVPPWREQVTARGMVAALLIGFVYTVIIMKLALTTGIIPTLNVSAALLAFLALRGWTRAPALLLPGGGAASSSSRRRPFTRQENTVVQTCAVACYTMGFGGGFGSSLLALNRKTYELAGVSTPGNSPGSYKEPGVGWMTGFLFAISFVGLLNLLPLRKALIIDYKLTYPSGTATAVLINGFHTPQGENSAKKQVRGFLNCFGISLLWSFFQWFYTGGESCGFLQFPTFGLKAWKQTFYFDFSLTYVGAGMICSHLVNLSALFGAILSWGIMWPLISIQKGKWYPGNVPESSMTSLFGYKSFMCVALIMGDGLYHFIKVTGITAKSLHERSNRRHAKKATDEDTFVIADMQRDEFFNKDYIPNWLAYAGYALLSIVAVIAIPIMFQQVKWYYVVVAFVLAPVLGFSNAYGTGLTDMNMSYNYGKIALFIFAAWGGRDNGVIAGLVGCGIVKQLVQVSADLMHDFKTGHLTLTSPRSMLVGQAIGTAMGCIIAPLTFLLFYKAFDIGNPDGYWKAPYALIFRNMAILGVEGFSALPKHCLELSAGFFAFSVLINLMRDFLPRKYRDYVPLPTAMAVPFLVGANFAIDMCVGSLIVFAWHKINSKESALLVPAVASGFICGDGIWMFPSSLLSLAKVKPPICMKFTPGS >ORUFI02G27470.1 pep chromosome:OR_W1943:2:24513283:24513624:-1 gene:ORUFI02G27470 transcript:ORUFI02G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLWSPRCRDSVPVVGGGICAGGEAGGGGGQKQEAVAAMAAVVPAISGEGGGLGEHKRREAEPKVAAAEARAAWSSGAPCGCRRPNRAVAAGGGAGGVPATDWRVKGVLRM >ORUFI02G27480.1 pep chromosome:OR_W1943:2:24517016:24521072:-1 gene:ORUFI02G27480 transcript:ORUFI02G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERADADRTRVAPEIGSLHDEDAEADPARAREMERLQPWREQVTARGVVAAALIGFVFSVIVMKIALTTGLVPTLNISAALLAFLALRGWTRALERLGFSPRPFTRQENTVVQTCAVACYTIAFGGGFGSTLLGLNKRTYELAGNSPGNVPGSYKEPGIGWMVGLLLAISFAGNLSLIPLRKALVVDYKLTYPSGTATAVLINGFHTAQGDKNAKLQLHGFLKYFGLSLFWSFFQWFYTGGNACGFVQFPTFGLKAWKQSFFFDFSLTYVGAGMICSHLVNLSTLLGAVISWGIMWPLISKHKGDWYPANIPESSMTSLYGYKSFLCIALIMGDGLYHFVKVTGVTAKSLHNRFNRKSVSNTASEEGDMVSLDDLQRDEVFKRGTVPSWMAYSGYFLLSIIAVITIPIMFRQVKWYYVIIAYALGPVLGFANSYGAGLTDINMGYNYGKIALFVFAAWAGKDNGVIAGLVVGTLVKQLVLVSADLMHDLKTGHLTLTSPRSMLVGELIGTGIGCFIAPLTFMLFYRAFDIGNPDGYWKAPYALIYRNMAILGIEGISALPKHCLSLSVGFFAFAVLTNVARDALPARYKKLVPLPTAMAVPFLVGASFAIDMCVGSLVVFAWNKMNKKEAAFMVPAVASGLMCGDGIWTFPSSILALAKIKPPICMKFTPGS >ORUFI02G27490.1 pep chromosome:OR_W1943:2:24523756:24523992:1 gene:ORUFI02G27490 transcript:ORUFI02G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSLLTKGQPVDVALDAAVGGEPRRSHRACNAAPVVARDREEGKPRATWAEALASCSMQCPSLPLVHVPVGGIEGVE >ORUFI02G27500.1 pep chromosome:OR_W1943:2:24525906:24529203:-1 gene:ORUFI02G27500 transcript:ORUFI02G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGRVRDMIKKRKGKKRPPPHEGPAPPPPPPSALAGSSHSTSTVSSSAVATSQSTEDSSAAAARPAAGWSRSSGSVSSARSIPELYEERGASSLQEFGLRELQAATRDFSRLLKIGEGGFGSVYKGVVRLPGGPAGGTEVAIKKLNPNSRQGHKQWLTEVQFLGVVEHPNLVKLIGYCAAQSERGPQRLLVYEFMSNKTLDDHLFNKAYPVLPWDIRLKIALGAAEGLLYLHEGLEVQVIYRDFKASNVLLDEEFRPKLSDFGLAREGPTADNTHVSTAVMGTYGYAAPDYVETGHLTNKSDVWSFGVVLYEILTGRRSMERNRPKNEQKLLEWVRQYPVETKRFSRIIDIRLRHNYSKQGTREIAKLANSCLAKHAKDRPTMREVVESIKQVMQHNELDGDVEASGESSPPHEVPGKPTADDVAVAAARRRMLHLAALGENANNIARRRFMLMRAAAAPTPT >ORUFI02G27500.2 pep chromosome:OR_W1943:2:24525904:24529203:-1 gene:ORUFI02G27500 transcript:ORUFI02G27500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGRVRDMIKKRKGKKRPPPHEGPAPPPPPPSALAGSSHSTSTVSSSAVATSQSTEDSSAAAARPAAGWSRSSGSVSSARSIPELYEERGASSLQEFGLRELQAATRDFSRLLKIGEGGFGSVYKGVVRLPGGPAGGTEVAIKKLNPNSRQGHKQWLTEVQFLGVVEHPNLVKLIGYCAAQSERGPQRLLVYEFMSNKTLDDHLFNKAYPVLPWDIRLKIALGAAEGLLYLHEGLEVQVIYRDFKASNVLLDEEFRPKLSDFGLAREGPTADNTHVSTAVMGTYGYAAPDYVETGHLTNKSDVWSFGVVLYEILTGRRSMERNRPKNEQKLLEWVRQYPVETKRFSRIIDIRLRHNYSKQGTREIAKLANSCLAKHAKDRPTMREVVESIKQVMQHNELDGDVEASGESSPPHEVPGKPTADDVAVAAARRRMLHLAALGENANNIARRRFMLMRAAAAPTPT >ORUFI02G27510.1 pep chromosome:OR_W1943:2:24530863:24531862:-1 gene:ORUFI02G27510 transcript:ORUFI02G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDDMQRMRWSLAGNHCVPPPCSPTRLNPDYSHYVSNSRALCAIVCFMIECNGGAEDNNMISFVQFPHDSTTSTPMITITITTSNTTVTTISVQTAMVNTSVVTCFWPLAQTHHRFGVGVERDKRDGKGWRRAGPSEGGSRCSQVRGKIEEIVKKAVVLRSQGRRKASNEGGCAAMKDEERGRQAAERRTIDAGGGRRPVEWEEEN >ORUFI02G27520.1 pep chromosome:OR_W1943:2:24536573:24542883:1 gene:ORUFI02G27520 transcript:ORUFI02G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKGKGKGVEDEVEVYEPASPPERVLIILDSSEDDLDLQEVRRSLMITGRGRARAAERVGEEAPRGSGRRAAPVVASRRRRRRSRSRSRSRSPRAARPRAESSRRPTARRARARARSPSLEIIDVDSGSDRGVVRVKEEPRSGSDSDYNGARGRARARARAPVAATAAKKKKRKRGKEAPSRAQESREVVRVKEEPNSDGNGAGGRARARSPVAAAAKQRKRGGREAPSRAQESRVPVQIKEEPYSGSDSDGNVAGGRAVVPAADAKQGKRGKKTPSRGKGRRVVVRETSTPAAPSNGAPSVGRGKGRGPGRGRQRSKGAVRGRATPVNRVSTGVGSRTRSRLAEQGRAFAQEEEEQVEEREEEEEEEEQGRAFAQVKEEQVEEQEEDEEEGEEEMEMEMEVEVRSDDNDHGNGGIRGEGGGTDDVAEIEEEELGTDEDETSDDSDENFSDEEGDEEELEEEEEEEEEEEEEDDDDDDEEEEEPGDAPDQPGEAGEESPPRSRIMAMPLMGKRMFEGFSFLQQVDTSTGRDIRARTRSNFKRKKLLDKKLLKRGTFAKPYCIDVSSSGSEEDVPQPEQSAYGGDCADDDGGSDGNEEHRAVKRRKLNRRQSAHSDSEEDTTFVCDVKEGSGSRRVQEGAPRRQVKKEGSNKKKDGSTPQCVRNNGPKVGRQTNGLNGQGGVSFKRNVKIAQRRKRRRATADQEKYGHLLDPMFDEIESNQYEPVPEEQIDRRLPLVFAFGDDDKLEEKSKHDKLQDEDELWKEFDFALESINVCSHNCEEGEKEDEQEIPADKAASCIQGKHELIIDEQIGLRCKHCNFVDLEIRFVLPSMVKSCTERDMRKDHELDLFFDDILTSAGYEGPRDFGGKKTGLVWDLVPGVREDMFPHQQEGFEFMWRKLAGGTSIEQLRNNANTIEGGCVISHAPGTGKTRLAITFVQSYFAFFPECCPVIIAPRGMLATWEQEFRKWKVKVPFHVLNSKEINWKEDRTIKQLAIMDENLAQSLARNKLDHKFRRKLKLASWRKGSSIIGVSYTLFRKLANQSSMDGNMVRNLLLEKPDLLVLDEGHTPRNKKSLIWKVLEEVRTKKRIILSGTPFQNSFLELSNVLYLIRPKFARHFASKSFKKIGLEDYWTSLTLNNITEKKIDEIRQILDPIVHIHNGDILQKSLPGLRESVVILNPLPHQKEIITAMENTVTMGTLDAEYKISLASIHPFLVTCAKLSEKETSSVDVSLLKSLRPNPCVGVKTKFVLEIVRLCEAMKERVLVFSQYLEPLSLIMDQLSKMFNWTEGEEILLMSGNVLVQNREALMEAFNDMKSNAKVMLASTKACCEGITLIGASRVVLLDVVWNPSVGRQAIGRAYRIGQEKIVYTYNLITEGTKEKDKYDRQAKKDHMSKLLFSKEPHAAGFNLSQEVIFNDKILEAMTSHRELKDMFVKILHSH >ORUFI02G27520.2 pep chromosome:OR_W1943:2:24536573:24542649:1 gene:ORUFI02G27520 transcript:ORUFI02G27520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKGKGKGVEDEVEVYEPASPPERVLIILDSSEDDLDLQEVRRSLMITGRGRARAAERVGEEAPRGSGRRAAPVVASRRRRRRSRSRSRSRSPRAARPRAESSRRPTARRARARARSPSLEIIDVDSGSDRGVVRVKEEPRSGSDSDYNGARGRARARARAPVAATAAKKKKRKRGKEAPSRAQESREVVRVKEEPNSDGNGAGGRARARSPVAAAAKQRKRGGREAPSRAQESRVPVQIKEEPYSGSDSDGNVAGGRAVVPAADAKQGKRGKKTPSRGKGRRVVVRETSTPAAPSNGAPSVGRGKGRGPGRGRQRSKGAVRGRATPVNRVSTGVGSRTRSRLAEQGRAFAQEEEEQVEEREEEEEEEEQGRAFAQVKEEQVEEQEEDEEEGEEEMEMEMEVEVRSDDNDHGNGGIRGEGGGTDDVAEIEEEELGTDEDETSDDSDENFSDEEGDEEELEEEEEEEEEEEEEDDDDDDEEEEEPGDAPDQPGEAGEESPPRSRIMAMPLMGKRMFEGFSFLQQVDTSTGRDIRARTRSNFKRKKLLDKKLLKRGTFAKPYCIDVSSSGSEEDVPQPEQSAYGGDCADDDGGSDGNEEHRAVKRRKLNRRQSAHSDSEEDTTFVCDVKEGSGSRRVQEGAPRRQVKKEGSNKKKDGSTPQCVRNNGPKVGRQTNGLNGQGGVSFKRNVKIAQRRKRRRATADQEKYGHLLDPMFDEIESNQYEPVPEEQIDRRLPLVFAFGDDDKLEEKSKHDKLQDEDELWKEFDFALESINVCSHNCEEGEKEDEQEIPADKAASCIQGKHELIIDEQIGLRCKHCNFVDLEIRFVLPSMVKSCTERDMRKDHELDLFFDDILTSAGYEGPRDFGGKKTGLVWDLVPGVREDMFPHQQEGFEFMWRKLAGGTSIEQLRNNANTIEGGCVISHAPGTGKTRLAITFVQSYFAFFPECCPVIIAPRGMLATWEQEFRKWKVKVPFHVLNSKEINWKEDRTIKQLAIMDENLAQSLARNKLDHKFRRKLKLASWRKGSSIIGVSYTLFRKLANQSSMDGNMVRNLLLEKPDLLVLDEGHTPRNKKSLIWKVLEEVRTKKRIILSGTPFQNSFLELSNVLYLIRPKFARHFASKSFKKIGLEDYWTSLTLNNITEKKIDEIRQILDPIVHIHNGDILQKSLPGLRESVVILNPLPHQKEIITAMENTVTMGTLDAEYKISLASIHPFLVTCAKLSEKETSSVDVSLLKSLRPNPCVGVKTKFVLEIVRLCEAMKERVLVFSQYLEPLSLIMDQLSKMFNWTEGEEILLMSGNVLVQNREALMEAFNDMKSNAKVMLASTKACCEGITLIGASRVVLLDVVWNPSVGRQAIGRAYRIGQEKIVYTYNLITEGTKEKDKYDRQAKKDHMSKLLFSKEPHAAGFNLSQEVIFNDKILEAMTSHRELKDMFVKILHSH >ORUFI02G27530.1 pep chromosome:OR_W1943:2:24543275:24547384:1 gene:ORUFI02G27530 transcript:ORUFI02G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFRQAARLLGLDSKLQKSLLIPLREIKVECTIPKDDGTLATFVGFRVQHDNSRGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAVPYGGAKGGIGCTPGELSRSELERLTRVFTQKIHDLIGINTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLTEYSESISGSTFVIQGLGNVGSWAAKLIHQKGGKIVAVGDVTGAIRNKSGIDIPALLKHRSEGGSLEDFYGAEVMDAAELLVHECDVLVPCALGGVLNRENAAEVKARFIIEGANHPTDTEADEILAKKGVIVLPDIYANSGGVVVSYFEWVQNIQGFMWDEEKVNRELQKYMKNTFQNIKDMCKSQNCNLRMGAFTLGVNRVAKATLLRGWEA >ORUFI02G27540.1 pep chromosome:OR_W1943:2:24547904:24550087:-1 gene:ORUFI02G27540 transcript:ORUFI02G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVANYASDSDSDGDAPAATAGEAAPVPPEPSALLPPPPLDLLQPPNFGSRVRSFPHVEGNYALHVYIPVVIPSDAKKHLVLVMRRAASFVPDLYAIDADYALSELCKDEQKLEKVLLSREFHVSLGRTVAIQVHQIESLVAMLRQKFRSQQRYWMDFNKWEHFVNDDCTRSFLSLEVTSTGLPEISKQITMVDDVYRLHGLPEFYKNPRPHISLAWALGDVSCKLKQAIKEIEKSQSSLGTSQKSNLRCKFSHVVCKIGKKVYDICKLAD >ORUFI02G27550.1 pep chromosome:OR_W1943:2:24550202:24550724:1 gene:ORUFI02G27550 transcript:ORUFI02G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGGRRRRVAGGGEEARCAGAKGCGSLEEEDEWAWILGVGGLGIGMPALGRVGIVVVVEEAEEVVGRGEEEGAGTAAGAGVAAAVR >ORUFI02G27560.1 pep chromosome:OR_W1943:2:24550851:24551807:1 gene:ORUFI02G27560 transcript:ORUFI02G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEKAMGSPAAKELEKTPPPPATDGPSGDIMQRQYKKDADATHGTLVGDDADEARRLFLADVVERLDAARSIASNEPWAAQFMGVVGELACGIDTIKVESVKRLEARIREVCAAAQPRSPPGRLLPTRRPSLRERESREGRKGERRGERGS >ORUFI02G27570.1 pep chromosome:OR_W1943:2:24552966:24555817:-1 gene:ORUFI02G27570 transcript:ORUFI02G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSARMLACSGLGGPGGRLRPSPRPGAYADRLRPPLPARRWRVASSAAASGGSPDLPSSSSSSSPPPTPAAASFGSGDEQAAGSPGFCIIEGPETVQDFEKLDLQEIQDNIRSRRNKIFLHMEEIRRLRIQQRIKNVELGISVDVPEGELPDFPSFIPFLPPLSAANLKIYYATCFTLIAGIMVFGGFLAPILELKLGVGGTSYADFIRSVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLALCSLFKVPELWCLLNRFQHSAMVISLYLRQEQRGDVSNMSLHGDGNGK >ORUFI02G27570.2 pep chromosome:OR_W1943:2:24552935:24555817:-1 gene:ORUFI02G27570 transcript:ORUFI02G27570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSARMLACSGLGGPGGRLRPSPRPGAYADRLRPPLPARRWRVASSAAASGGSPDLPSSSSSSSPPPTPAAASFGSGDEQAAGSPGFCIIEGPETVQDFEKLDLQEIQDNIRSRRNKIFLHMEEIRRLRIQQRIKNVELGISVDVPEGELPDFPSFIPFLPPLSAANLKIYYATCFTLIAGIMVFGGFLAPILELKLGVGGTSYADFIRSVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTVVACRIPGLVLAVQSTGTLVLTEPVSTFSDGDQPLSTPRTERCPNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >ORUFI02G27570.3 pep chromosome:OR_W1943:2:24553126:24555817:-1 gene:ORUFI02G27570 transcript:ORUFI02G27570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSARMLACSGLGGPGGRLRPSPRPGAYADRLRPPLPARRWRVASSAAASGGSPDLPSSSSSSSPPPTPAAASFGSGDEQAAGSPGFCIIEGPETVQDFEKLDLQEIQDNIRSRRNKIFLHMEEIRRLRIQQRIKNVELGISVDVPEGELPDFPSFIPFLPPLSAANLKIYYATCFTLIAGIMVFGGFLAPILELKLGVGGTSYADFIRSVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLALCSLFKVPELWCLLNRFQHSAMVISLYLRQEQRGAQIVRAQER >ORUFI02G27580.1 pep chromosome:OR_W1943:2:24565826:24569013:1 gene:ORUFI02G27580 transcript:ORUFI02G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHPHSRRRSQPRAHRKHIASPIGEARRRQGASEAATCLQAARRRRRLEAPCAAVVAIETVKRAVIPQDPAIETVKRAVIPQDQN >ORUFI02G27590.1 pep chromosome:OR_W1943:2:24571292:24572337:1 gene:ORUFI02G27590 transcript:ORUFI02G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGAGLLAPPLPGINSWKDEMGARMEGVADAALLDSEDFKWFTKGDKGISTDMVKG >ORUFI02G27600.1 pep chromosome:OR_W1943:2:24575241:24575885:1 gene:ORUFI02G27600 transcript:ORUFI02G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGERRRERVVAAALAVLLLVFASAFVRCRGDAEGEGDGAGAAEPGKTGPPLPPGWKGGSGSGQGSSPDGAWRYGWGWAASPGGKGSGFGFGYGGSRGEGGGGGGGGGGGSGRAYGFGGGYGGHPGGFGGGGGGGGGGGGRNYGGGSGGIGGYGNYGGGYNGEPGGGGGGAGEGGGYGGDYGGGDVGANWSKRGSFRGGGGKTQQKDGGGNN >ORUFI02G27610.1 pep chromosome:OR_W1943:2:24579166:24581097:-1 gene:ORUFI02G27610 transcript:ORUFI02G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAELVGESAHQDMPRVVAALAGILERVAGRNDAAATPAELAAAPASPFRATAKPGISVRAYAARIARFAGCSPACYVVAYIYLDRLLRRGRRCLALALAVDSYSVHRLLITAVLSAVKFMDDICYNNAYFAKVGGISLAEMNYLEVDFLFGVGFDLNVTPETFADYCAVLQSEMLCAAPPTRLHYCCLSEDDAGSSSSSSLREAAMEAS >ORUFI02G27620.1 pep chromosome:OR_W1943:2:24584428:24587766:-1 gene:ORUFI02G27620 transcript:ORUFI02G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGQLGTCLPNFYLLPDHHGMPLPPPLQLPCHPKLLQMPFDQEDQPGIHGVMLSSDHCGLYPLPALPLSNSAAAAAATVALGKHSAAAGSMPNIGGAEEVATTVTKAGNESTTCNGSTTWWRGSTMAAAGEKGKMKIRRKMREPRFCFQTRSEVDVLDDGYKWRKYGQKVVKNSLHPRSYFRCTHSNCRVKKRVERLSTDCRMVITTYEGRHTHSPCDDNSSGEHTNCFSSF >ORUFI02G27630.1 pep chromosome:OR_W1943:2:24594048:24594507:1 gene:ORUFI02G27630 transcript:ORUFI02G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGASKTSSWTTGDLLFSLVTRAADLAAWRLGVTGSSCLEFWMCRPSCASKGFSLMLELARFIKSSTTLFFWLTEV >ORUFI02G27640.1 pep chromosome:OR_W1943:2:24597089:24597331:-1 gene:ORUFI02G27640 transcript:ORUFI02G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLRACSVLKLCFVLGEPLCSGPALRMRPEHGAQLAKPWGPGPWLHSRLILLSDLGGPWCPEQLEVGTRVSTFSSLVLL >ORUFI02G27650.1 pep chromosome:OR_W1943:2:24601332:24604663:-1 gene:ORUFI02G27650 transcript:ORUFI02G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANAGSFVAVRRLAGSERAAGAAAFHHSSSAEVVTGSTAWIGKGLSCVCAQRRDSDARLSFDLTPVQEECLQRLQNRLEVQYDSSNSDHQEALKDLWRASFPGAELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLVYFSKNFPELLRKQNGDRAIWEYPFAVAGVNITFMLIQMLDLQSVKPRSFIGAVFLKLLSENDQAFDILYCITFKLMDQQWLDMHATYMDFNTVMKSTRRQLERELLLEDIQRIEDMPSYKLLAR >ORUFI02G27660.1 pep chromosome:OR_W1943:2:24612792:24620029:-1 gene:ORUFI02G27660 transcript:ORUFI02G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKATATGPRIQFSSWKERPDFTLNEDNEGKGVAMGGGGTLISIYPEDLTFLFELDKPCYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNANIVQPWDSCTITITLQAQKEYPQDMQCKDKFLIQSTRVAASTDMDEIPPDTFNKEVDKVIEEIKLKVVYTVPSGSSDDSGITSLGSRSFKSLSDDFTMLKNASIEEIQTIQRLKDERDNMLQQNQQMQRELDVIRRRRSRKSDTGFSLTFAAFVGLIGLLVGLLMSLIFSSPQPAA >ORUFI02G27670.1 pep chromosome:OR_W1943:2:24621656:24624273:-1 gene:ORUFI02G27670 transcript:ORUFI02G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAASATAAISGALLPHAVACHRLPPRPQLLAVSSSFRRLSLTASPRRASRLVPRADASAEAGEPEPAAEAEAEAVAASTDAEVEEGEAEAAVAVAEEEQEEEDEPPPPSKPPVKFGEIIGILNKQFIEEAEKVKTLPDLRPGDIIELRMQRPNKRRLSLFKGIIIAKHKSGVHTTIRVRRIIAGVGVEITFPIYSPRIKEIKVIRHKKVRRAKLYYLKHKLPRFSTFK >ORUFI02G27680.1 pep chromosome:OR_W1943:2:24625195:24626171:-1 gene:ORUFI02G27680 transcript:ORUFI02G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTGSGAVVAESGPPATGGGVLAARRRGLAVVLGGGDLARCGGGGAARAGGDAGDAGGGNDASSQIWLAVVVAADGGSDGRRLWRHRLWRLAGEAAAVVVEASWRRSRGLVGGEACRAGVVEAGDVWLSWRPAFGRSRLAAADSVLAFSRIVC >ORUFI02G27690.1 pep chromosome:OR_W1943:2:24626870:24629690:1 gene:ORUFI02G27690 transcript:ORUFI02G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPPAPHRANRAPPGLCALPAISYNSHRGLVLGLTFVAYALYHASRKPPSIVKRALSEAWPPFHDPALLGETDVAFLAFYSLGMFVAGHLGDRLDLRLFLAVGMIGSGTAVALFGVGYFLSLHSLAFYLAAQVIAGLLQSTGWPSVVAIVGNWFRGRRRGLIMGIWNAHTSIGNISGSLIAAAVLRYGWGWSFIVPGLLIAFGGVLVFFFLAPYPEDLGFSPTTLPKAVSEASTDEEDSSSSASAAGGAGKEERRDAVGMWKAFAIPGVITFALCLFFAKLVAYTFLYWLPFYLSQTAIGGKEMSVTSAGYLSALFDVGGIIGGILAGFISDHLNARATTAAIFMYLAIPSLYAFHAYGSTSEVANIGLMMISGLFVNGPYALITTAVSADLGTHKSLKGDSRALATVTSIIDGTGSLGAALGPFITGFISKRGWDSVFTMLIFCALAAGVLLSRIVKSEIVHIIQNRRNHTLDMHNRTADSGAQPLLEGDT >ORUFI02G27690.2 pep chromosome:OR_W1943:2:24626870:24629690:1 gene:ORUFI02G27690 transcript:ORUFI02G27690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPPAPHRANRAPPGLCALPAISYNSHRGLVLGLTFVAYALYHASRKPPSIVKRALSEAWPPFHDPALLGETDVAFLAFYSLGMFVAGHLGDRLDLRLFLAVGMIGSGTAVALFGVGYFLSLHSLAFYLAAQVIAGLLQSTGWPSVVAIVGNWFRGRRRGLIMGIWNAHTSIGNISGSLIAAAVLRYGWGWSFIVPGLLIAFGGVLVFFFLAPYPEDLGFSPTTLPKAVSEASTDEEDSSSSASAAGGAGKEERRDAVGMWKAFAIPGVITFALCLFFAKLVAYTFLYWLPFYLSQTAIGGKEMSVTSAGYLSALFDVGGIIGGILAGFISDHLNARATTAAIFMYLAIPSLYAFHAYGSTSEVANIGLMMISGLFVNGPYALITTAVSADLGTHKSLKGDSRALATVTSIIDGTGSLGAALGPFITDSGAQPLLEGDT >ORUFI02G27700.1 pep chromosome:OR_W1943:2:24632570:24632953:-1 gene:ORUFI02G27700 transcript:ORUFI02G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVARETTMVGLHQTTQEERSPQQQRGGSDARVFEVDATTGCAGLDATAATAGSMRWQRLWWARCDGCRCDLDATGCPGLDATRGRGGLDATSAAAASMRHRPWRTSCDATAAAANSMWHGGAIG >ORUFI02G27710.1 pep chromosome:OR_W1943:2:24647253:24648892:-1 gene:ORUFI02G27710 transcript:ORUFI02G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHGLAAAGLVVLLLAAVAPAFAVDYTVGDTSGWSSGVDYDTWAKSKTFSVGDSLVFQYSMMHTVAEVSSADYSACSASNSIQSYSDQNTKIALTKPGTRYFICGTSGHCSGGMKLAVTVSAAAATTPTPTASSSPPSTATPATPSSDPGMDTPSSTPDATTTPTTTTTKSTGSTGGASGSEARSVMGLLVGAVGLAMMG >ORUFI02G27720.1 pep chromosome:OR_W1943:2:24653572:24656863:-1 gene:ORUFI02G27720 transcript:ORUFI02G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPAAAAAAAHVVHIEAVQTAVPTRVVEPGRTRLVAVAAPPLPAPALQRRVRAVLYYRGAGGAAPGAWEDGVWVKESLSEALADHPEMAGRLRRRADGSWEVKLNDTGVRLLQATVDATLDEFLAGKGALARREAALAPWTDVNADDPDMCPPFFMQLTRFQGDGGYAVGVSCALVLADPLTLARFLLSWARTHARIRAQGKATPLPMAQYLAYFQRPETTRKRVRSVPIDSFAGDGDGDAETVLFRAGTAAAAAADGGHGDHRALAAACVDKASEALGKKDKVSRLSVVVVDAAGGDDSLAGKTTIETCTASPGGGAAAGGASLEAVQWSELGLEELVLRGSKPVHVSCSIVTGGGGDEGLVVVMPDDGAGSLLVMATLPK >ORUFI02G27730.1 pep chromosome:OR_W1943:2:24663703:24664200:-1 gene:ORUFI02G27730 transcript:ORUFI02G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQALSSAPPPRGRAAAGGELGALTGDGCIFERCRCHRHGAWSSSTMITPPPRGAAVSKLGILSDNGAFCSAPTHLSSQSHRCCQRRVRVPARSRLSSAMAYSSGPEDLARFVSLLGERERGNGEEKKTGKRAYDASKAFSQEWRIDKFDRDSDSFDDSSDLKT >ORUFI02G27740.1 pep chromosome:OR_W1943:2:24665405:24670406:1 gene:ORUFI02G27740 transcript:ORUFI02G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAAANARPPTVQIRGQPVNQKTSCCSS >ORUFI02G27750.1 pep chromosome:OR_W1943:2:24679395:24681029:1 gene:ORUFI02G27750 transcript:ORUFI02G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAAAAVTSPPAAAQVHGVVPRHASPTPQQRAAPRREQSPLNPSSQSIRSASSGTELAGSAATEASRAHIANLDRVLGKPPQVPRPASHAAASKQERQQQDGELEPLNVRHGLLNALNLSFFVPMPGMRARTAADEHMSPRSLMHMQQLLSADSPRASPRSTIAPRWRSLHGEDGWAGLLDPLDSDLRRELLRYGDFVQAAYQAFHSLPTASARHRGLMLPDRSYRPTRSLFATSALSMPPWAKRPNTPEWLTQQSNWIGYVAVCESEREVARMGRRDIAIVLRGTATCLEWAENLRASLVPLDGESGEGGGQEEPKVARGFRSLYKTAGEKVKSLSEEVMGEVRRLMEKYKGEELSITVVGHSLGGALALLVADEIATTVPDAPPVAVVSFGGPKVGNAAFVDKLQKNGRVNVLRIVNAGDMVTKVPGVAPRLPLTKEQYQHVGAELRIDSKNSPCLRPDAGPACRHDLEAYLHLIDGFTGTGRPFRHDARRSVIRLLQLQRGNVKKEYVNRARELGVDPSAPVDVGRSMAYGNCAVASPSS >ORUFI02G27760.1 pep chromosome:OR_W1943:2:24681568:24685480:1 gene:ORUFI02G27760 transcript:ORUFI02G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLAASGRCAPATRTHHGLFARAIQILAPPDPVSLHKLSAPDCEIVELRLERPEVKNAINWEAMRLLRGVVEKVEADDTVKVVLVTSSVPGVFCAGADLKALSIPTIAVIEGAALGGGLELALSCDLRICGENATLGLPETGLAIIPGAGGTQRLPRIVGRSRAKEMIFTGRRCNATEAVMMGLANYCVPAGEAHEKALELAREIAQKGPLGIRMAKKAIDQGMQAADMPSALAVEGECYEQLLHTEDRLEGLAAFAERRKPVYSGK >ORUFI02G27770.1 pep chromosome:OR_W1943:2:24686021:24689355:1 gene:ORUFI02G27770 transcript:ORUFI02G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILRGILAVSGHLAGRRAPANNAHLALFSRALQILAQQEPVRLQKLSAPDSGIVEMRLERPEARNAIGREMLQGLRSAIEKVKADATAKVVLLASSVPKVFCAGADLKERRLMSPCEVREFVNSLRSTFLSFEALSIPTIAIVEGAAFGGGLELALSCDLRICGENATFSLPETGLAIIPGYVFKMALYFCKAGGTQRLPRIVGKSRAKELIFTGRRFDAVEAVTMGKKNHYDMYVFIAGVVNYCVPAGEAYKRALELAQEINQKGPLAIRMAKKAINQGMEVDLSAGLAVEEECYEQVLHTQDRLEGLAAFAEKRKPVYTGK >ORUFI02G27770.2 pep chromosome:OR_W1943:2:24686021:24689355:1 gene:ORUFI02G27770 transcript:ORUFI02G27770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRILRGILAVSGHLAGRRAPANNAHLALFSRALQILAQQEPVRLQKLSAPDSGIVEMRLERPEARNAIGREMLQGLRSAIEKVKADATAKVVLLASSVPKVFCAGADLKERRLMSPCEVREFVNSLRSTFLSFEALSIPTIAIVEGAAFGGGLELALSCDLRICGENATFSLPETGLAIIPGAGGTQRLPRIVGKSRAKELIFTGRRFDAVEAVTMGKKNHYDMYVFIAGVVNYCVPAGEAYKRALELAQEINQKGPLAIRMAKKAINQGMEVDLSAGLAVEEECYEQVLHTQDRLEGLAAFAEKRKPVYTGK >ORUFI02G27770.3 pep chromosome:OR_W1943:2:24686021:24689355:1 gene:ORUFI02G27770 transcript:ORUFI02G27770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRILRGILAVSGHLAGRRAPANNAHLALFSRALQILAQQEPVRLQKLSAPDSGIVEMRLERPEARNAIGREMLQGLRSAIEKVKADATAKVVLLASSVPKVFCAGADLKERRLMSPCEVREFVNSLRSTFLSFEALSIPTIAIVEGAAFGGGLELALSCDLRICGENATFSLPETGLAIIPGAGGTQRLPRIVGKSRAKELIFTGRRFDAVEAVTMGVVNYCVPAGEAYKRALELAQEINQKGPLAIRMAKKAINQGMEVDLSAGLAVEEECYEQVLHTQDRLEGLAAFAEKRKPVYTGK >ORUFI02G27770.4 pep chromosome:OR_W1943:2:24686021:24689355:1 gene:ORUFI02G27770 transcript:ORUFI02G27770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRILRGILAVSGHLAGRRAPANNAHLALFSRALQILAQQEPVRLQKLSAPDSGIVEMRLERPEARNAIGREMLQGLRSAIEKVKADATAKVVLLASSVPKVFCAGADLKERRLMSPCEVREFVNSLRSTFLSFERLPRIVGKSRAKELIFTGRRFDAVEAVTMGVVNYCVPAGEAYKRALELAQEINQKGPLAIRMAKKAINQGMEVDLSAGLAVEEECYEQVLHTQDRLEGLAAFAEKRKPVYTGK >ORUFI02G27780.1 pep chromosome:OR_W1943:2:24692651:24697342:1 gene:ORUFI02G27780 transcript:ORUFI02G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVADKIVEPKGPLVATAQLPNNVELLKSVVLNPSREGGSSQEQYEKDLLAEGEESFRSEESSDEGGRSSFSGVSHPPEPIDVDLMSTVYVAIDEEKPEPPECLMRGLSVKGASMEDLSVHAMDAKPDVIVCTVNVNGLVEERKVHCAVVAPDAVEAVSSTQASEEKDCVWDASFPPSGNVSPHSSSDSTGAVAATSIRGRSTSTCRSGVLTSESILTVEKTCESAKDSTRGNSMESTKTSMSRASDSSGVSDDSNWSNITGSANKPHKGNDPRWRAILAVRGRGNVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPRKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRASASDSDPRRAGGSFCVQPACMEPSSVCIQPACFMPKLFGQRSKKQGRRPRSELGQGGGAALPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPSTSYAGRDLIRGLLVKEPQQRLGVKRGAAEIKQHPFFEGVNWALIRCSTPPEVPRHVEAELPAKYGVAEPVASGGGGGKRVVGAEVRSGGKYLDFEFF >ORUFI02G27790.1 pep chromosome:OR_W1943:2:24696428:24701430:-1 gene:ORUFI02G27790 transcript:ORUFI02G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLTEHDHQPEQEPPHSENHLKQAEPNSFQFAEKETGYAGLQNFTGPKVDVGQTSGEQQHVKQMVGQQAPPGAQDARKRGYQPSIPFNMLIPILQAHLDRDKDMQLQTVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFAQMQAQAQRSGQANANQQANANQYSLQSQVSSSGSAQLHDQQVHVSTTPNQGQKNQALSSSQTFVQSGTQVQSSMTAHDNSIQRPDAKGMHVTPNRPPVMNSAISAQTMNKQQQPTQVQQASQQIYGTTNRPDQPYTRPIGGSTPLSSLSSESEIRPSSHPAKMEILPSHPMTQQNAAAQQMQQNKDVKTNASNPRSNAKQDSGTGKGRAVGTGGSSTKSQGKQGPPNFSTPPAAKSNKKTAGQKKSLETSGSTPPPPSKKQKTSGTFQEQSFDQLNDVTAVSGVNLREEEEQLLSAPKEESWASEEARKIAQEEDGKLFLQKGPLLKKLAAIVPKCNLKSIGGDVEHCLSMCVEERLRRFISTLIRVSKQRIDTEKSGHQLVITSDVGRQILRMNQKAKEEWDKKQAEETDKNKKQNEVDGGGTVELDKEKEETRSKNAKPNKEEDDKMRTTAANVAARQAVGGSDMLSKWQLMAEQARQKREGLDLAASSQRGTASRSHMAGKGPTDHHEASKRTHSAAFGTGGMNRQGRGPFAASHPKGPQRTISMKDVICVLEREPQMTKSRLIYRLYERLPGDSTRD >ORUFI02G27800.1 pep chromosome:OR_W1943:2:24701483:24702863:-1 gene:ORUFI02G27800 transcript:ORUFI02G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQTNKQCSNI >ORUFI02G27810.1 pep chromosome:OR_W1943:2:24704450:24709924:1 gene:ORUFI02G27810 transcript:ORUFI02G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRWIPLEANPDVMNQFMWGLGVAEGEAQFCDVYGLDDELLAMVPQPVLAVLFLYPLTSLDDDEEESGAAATSTAGDKDLSKRVYFTKQTVGNACGTVGVIHAIGNAASKLKLVEGSYFDRFYKQTVDMDPVQRAAFLEEDDEMEDAHSVAASAGDTDANVEVNEHFDAAKVIKARIASNPDSMNFNVMALSKGF >ORUFI02G27820.1 pep chromosome:OR_W1943:2:24709439:24711442:-1 gene:ORUFI02G27820 transcript:ORUFI02G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCRERRRLMREAVRLRRHLAASHAAYLRSLTHAASALSRFAVGEPLPVSDHAPPAVIVHRPVVAPSTPPPLLRSIEQQQQLRRRAQELEEEVGAAVDDHLVGGGAGVPSVTREEGGGDEELRMVVRHRSLAEVAAGLEEYFLKASVAGDAVSSHLEASNDEFKRKPLATLLNSHIHIYCTCGHCLTGQYKGGPHSILGALCCLSAPSVAHDRVDSINGEQRHSSNLQQLLAWEKKLYREVKARERLQVQHDKKKAELSDQEYSRKIDVDVLKLKAAWEKARAQLTTASQAVAATSASIAELRDTHLARQLLGLCHATLDMWRAMRQHHEAQGLIAQQLRGLSSRTSMDPTTEIHYETTRALEVAMSSWCAALGHLAKHQRDYVHALHGWLKLTLQEAPAVVDGAEAVASPVAAELAAFVERWGQALDRVHCADVLKSIKSFAGAVRSLYGLQSDELRVARRVSQYSRELDRKSRMLRQVEKSYYDSYVPPMGLSLWHRGMRHWGDDGMHARDASNEVAQRRDEIAACRRTVEDEMRKHAKAIDATRSAAVTCVQGKLPAVFQSMAVFSASLAHALEAVCRHGTHVQ >ORUFI02G27830.1 pep chromosome:OR_W1943:2:24718740:24719651:-1 gene:ORUFI02G27830 transcript:ORUFI02G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNPASREVAALDSIRHHLLEEEEETPATAPAPTRRPVYCRSSSFGSLVADQWSESLPFRPNDAEDMVVYGALRDAFSSGWLPDGSFAAVKPESQDSYDGSSIGSFLASSSSEAGTPGEVTSTEATVTPGIREGEGEAVAVASRGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFDSAEEAAVAYDRAAYRMRGSRALLNFPLRIGSEIAAAAAAAAAGNKRPYPDPASSGSSSPSSSSSSSSSSSSGSPKRRKRGEAAAASMAMALVPPPPPPAQAPVQLALPAQPWFAAGPIQQLVS >ORUFI02G27840.1 pep chromosome:OR_W1943:2:24721216:24731499:1 gene:ORUFI02G27840 transcript:ORUFI02G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRSLSSSRPIDRPIADQSPSGLRRQPRADSDGRTKVVVLVEPEPEERPKVGGAAVAHGEAAEEEVVFPMAWTDEDESCLEGTMPMRQTTKRDSRWAQPLETEGSSNFGRPK >ORUFI02G27850.1 pep chromosome:OR_W1943:2:24731536:24734102:1 gene:ORUFI02G27850 transcript:ORUFI02G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKVFSSRSPAPPRTNSGERGLAAGSGGGGGGMDRWTGVLNVPLSRGGPPFRVAASLVLTPAKTLAVPCANAILFTGDRVRGSGDPAIERLSDAAYLARLLAGKLTGEANAWVVDAACFAGSFAVYRDLVPSVDAVGDPERNFALTFFFVNIQSMVTKATMKGSSGNQSPTTSLLKYCPPKTVILGFSKGGVVVNQLVTELACLASESAKISDDVSGSSPPLLTRNLLVPISATEFLSSASEFHYVDVGLNHAGAYITDHAVIKEIANYLMHTSKNLCFVLHGTPRQWSDPNRSWIQMEKEVMLRLLQDEAQRCEGRLVLSQKRYFDGKPRSLLMHFEILEVMDIG >ORUFI02G27860.1 pep chromosome:OR_W1943:2:24749181:24750215:1 gene:ORUFI02G27860 transcript:ORUFI02G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGDIDDLISLQLLHDQLLGVEADACLPVAVHHDGVAAFAEHQQGFHPAAFLPQQPMTMTPAGYVDMANDQYLGAHAAAGEAEAVYRAAAAEPVMIRFGGEVSPVSDPRRPPLTISLPPTSHAWAAAEAVHPAALLQAQAAAAAADPNDFRKYRGVRQRPWGKFAAEIRDPKKRGSRVWLGTYDTAIEAARAYDRAAFRMRGAKAILNFPNEVGSRGADFLAPPPPPPPPTTSTHGKRKRHETAAADPDVEVIGESSKSVKTETYTSPASSSLASTTTSTVTSSSTSPSPSSEAAACGGGGGELFVPPMPSSWSWDQLEGFFGILSPLSPHPQMGFPEVAVN >ORUFI02G27870.1 pep chromosome:OR_W1943:2:24758217:24761833:-1 gene:ORUFI02G27870 transcript:ORUFI02G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPALSLAEAAPVTAVLAPCPTPSRTFRRRSWVAAICRPALKCHHSRPLTAVVAAAAAAAAAGDSTSAGVFHGECFVVGDNIDTDQIIPAEHLTLVPSKPDEYRKLGSFAFVGLPTAAYPTPFVAPGEETTRYAVIIGGANFGCGSSREHAPVALGAAGARAVVAEGYARIFFRNSVATGEVYPLELADTGAWKECKTGDVVTVELDNCVMINHTSGKQYKLKPIGDAGPVIEAGGIFAYARKTGMIASKSA >ORUFI02G27880.1 pep chromosome:OR_W1943:2:24764095:24766351:1 gene:ORUFI02G27880 transcript:ORUFI02G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGNKGTATPVLLNVYDLTPANDYLYWLGFGVFHSGIEVHGMEYGFGAHDFPSSGVFEVESKSCPGFIYRKTVWLGTTDMSHGEYRSFIEKLAGKYHGNSYHLVSKNCNHFTDDVCSFFDCLLPESVQVSPVGRVPTLRPVADDDLDSISTVSDNNEEDKHLLPAVSDNNEEDKHLLPAPSNDLHSVDVPLKLAKDVL >ORUFI02G27890.1 pep chromosome:OR_W1943:2:24769644:24773658:-1 gene:ORUFI02G27890 transcript:ORUFI02G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRRRRRRRRDSVGPEPDHLVVMVHGIVGSAADWKFGAEQFEKLLSDKVIVHRSNRNMYKLTLDGVDVMGERLAQEIVEETNKRPQIRKISFVAHSVGGLVARYAIGRLYRPPKQTSQSSQNLNNTNKGTIHGLEAVNFITVASPHLGSRGNKQVPFLFGFTAIETFASYIIHLIFGKTGKHLFLTDNDDGKPPLLLRMVDDWGGVQFMSALKVFKRRVAYSNVGHDHIVGWRTSSIRRNSELPKWTDSGSKIYPHIVYEELSKAETMNQCTDVADVDSCMLEERLLRGLKLVSWEKVDVSFHNSKVRSAAHSVIQVKDPVMHSEGADVINHMIDHFVL >ORUFI02G27890.2 pep chromosome:OR_W1943:2:24769780:24773658:-1 gene:ORUFI02G27890 transcript:ORUFI02G27890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRRRRRRRRDSVGPEPDHLVVMVHGIVGSAADWKFGAEQFEKLLSDKVIVHRSNRNMYKLTLDGVDVMGERLAQEIVEETNKRPQIRKISFVAHSVGGLVARYAIGRLYRPPKQTSQSSQNLNNTNKGTIHGLEAVNFITVASPHLGSRGNKQVPFLFGFTAIETFASYIIHLIFGKTGKHLFLTDNDDGKPPLLLRMVDDWGGVQFMSALKVFKRRVAYSNVGHDHIVGWRTSSIRRNSELPKWTDSGSKIYPHIVYEELSKAETMNQCTDVADVDSCMLEERLLRGLKLVSWEKVDVSFHNSKVRSAAHSVIQVSLCPSKPCSFICCVQTESILFTRSFLLT >ORUFI02G27900.1 pep chromosome:OR_W1943:2:24786048:24790163:1 gene:ORUFI02G27900 transcript:ORUFI02G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIMTCTSPKGTLRNPVPTEPHRMTQEPTSLLPVWARAPTRRPKTTRRHPLHLHEAAMEEEGADHRPPSSSSGGRGFLSGLCAAALRRKPISAHAAHAASGEGLVRQLGVFELVLLGIGASIGAGIFVVTGTVARDAGPGVTISFVLAGAACVLNALCYAELASRFPAVVGGAYLYTYAAFNELTAFLVFTQLMLDYHIGAASIARSLASYFVQFLELIPFLKGHIPTWIGHGEEFFGGVVSVNILAPILLIILTTILCYGVKESSAVNTFMTTLKIVIVIVVVFAGVFEVDVSNWSPFMPNGFKSVVTGATVVFFAYVGFDAVANSAEEAKKPQRDLPIGILGSLLACVLLYVAVCLVITGMVPYTLLGEDAPLAEAFAAKGLKFVTVLISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSIFAKVHPTRHTPLHSQIWVGCVAAVLAGLFNVHELSHILSVGTLTGYSVVSACVITLRWNDKTTSCRSLGNMSIWQEGVLCLVIIALCGFVAGMCYRFSYAIAFMIIALLIAVAAGFALQFRQVYVDPPGFSCPGVPIVPMVSVFFNMLLFAQLHEEAWYRFVILSLLAVGVYAGYGQYHAVPSSSDHPHPAVAYHGIPSEAP >ORUFI02G27910.1 pep chromosome:OR_W1943:2:24792284:24792724:-1 gene:ORUFI02G27910 transcript:ORUFI02G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAVQAYRLQYNFRINIGVLLYYDLLPSTSAPTTDSIDIFFTDEHSLYFVSESAPASHSRRARGDETPSWRKSGSAREGDLSRCKTEATQPPNSTTRAILASNPWADAPAARPLRRL >ORUFI02G27920.1 pep chromosome:OR_W1943:2:24793826:24796372:-1 gene:ORUFI02G27920 transcript:ORUFI02G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTAATAPPGDEPEAKGRSNSILLPILGILLVYLLYRYLRPRLRGLRLDRLTSRLPACLRRSRTANTMLPYFAPIADRLGALQPYLAPIADRLGVGAQGGVGAGAYGRADALVKFPGGEALSVAAILEAPGEVVAKSSHSTLYRAAMRSGEAAVLLRFVRPACALTSDEASAAARRIGAVSHPNLVPLRAFYVGPRGEKLLVHPFYAAGSLRRFLQEGIVDSQRWNIICKLSISIVKGLDYLHTGLEKPIIHGNIKTNNILLDANYECKISDFGLYLLLNPAGAQEMLETSAAQGYKAPELIKMRDATRETDIYSLGVVLLEMLAQKESAKDNTPNPRDILLPVSFKNLVLERKISDAFSSDLVRQSKKSGKEKNLNAFFELATACCSPSPSLRPNTKFILKKLEEIAK >ORUFI02G27930.1 pep chromosome:OR_W1943:2:24797731:24798213:-1 gene:ORUFI02G27930 transcript:ORUFI02G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTPSLFLLLFPSRLPFTPCPPSVIVVILPVPLTQHRRHHPLRSPAQHTAFPVLPPLELRVKKGQAAAPLSPPRTSSPLVAGFTPLVELITVAVSVVVAITIAIPYRCTDQPLSSSPLSPPPSSPHERMVLPSLSPREELEDGHGRRRRGERGGRRMRK >ORUFI02G27940.1 pep chromosome:OR_W1943:2:24798933:24799288:1 gene:ORUFI02G27940 transcript:ORUFI02G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKATVTLHGCDSTGIALKVKCAMGRDRDHAPDEAIVSDVIIIPDVTDRYILVMSSRFFRRSDQTEVWVKMKVCVLPLRSGCILHGCQDGCVGPNQA >ORUFI02G27950.1 pep chromosome:OR_W1943:2:24800234:24801166:-1 gene:ORUFI02G27950 transcript:ORUFI02G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVVEAAASSSPSTQGGGGGGIHVVAKNAMNSSKQFSSALVPVAVLLAVAVGAVSLLPSLAQAVWEVPHLFLLGLVVSYGVFAQKISTGGSGGGGGGGGGDDGARAWNSRYLSDDPLVVVADNAASDDGGGGGASGRPLSLPVRRLKPPPPAPTMPGIESEAGDASDDGIGAETDSSASTAGFWGAAPSPPSVLDAVCRSRKPAATATAAAPSAMSKGFPSYISPAPRCDQSFSDDGEVTDWDDDDDEKADVQDEMAASPQRSYVDHDDYNGDGDELSELAAEVEDEVDRKADEFIAKFREQIRLQRL >ORUFI02G27960.1 pep chromosome:OR_W1943:2:24803093:24808873:-1 gene:ORUFI02G27960 transcript:ORUFI02G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEALFLDGVGEVTVAVGDDGLSFQPLHQDGVRKFVASGLRVLESKKRKEVSSSCWSSIIMQPKLESKLKFSDVYAVELLEVGPVCEPWNARATVQGKINTEMNRFVIHTVTRPRKRPSPWVPCEYIFGHKDQQTCKTWVEHIKTCINKEQDRPKSLMVFVHPLCGKGRGCKNWETVAPLFERAKVKTKVIVTQRAGHAYDTLASLSDKDLKKFDGVIAVGGDGLFNEILNGLLSTRHTNSYPPTPEGFGYFRNNMKCQEHRNNDLSNSELTGDDANAISGSSNTPDDHEPLLSTTRSTGLDISSSDSSDEPCNGDQVPLVSFPNNWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRRISLDIAQVVRWKGSPSAEVSPTVRYAASFAGESEKYRWMGPARYDFSGTMVFLKHRSYEAKVAFLENGNTHSLTASAENNANGVQTLQYHQNRHRKTICRTNCLICKGTSTSEQNSEDENPDSSRTACETPKWVWSKGRFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPFYLWHLTQFTKKGSDPLSFKFVEHHKTQAFTFISSHDESVWNLDGELLQACEVSVQAFRGLVNLFASGPEGRLQKAPACCTCMLYRKNGKHSAQITECRSLGRFNFATGKSRHFPNTP >ORUFI02G27960.2 pep chromosome:OR_W1943:2:24803093:24808873:-1 gene:ORUFI02G27960 transcript:ORUFI02G27960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEALFLDGVGEVTVAVGDDGLSFQPLHQEVSSSCWSSIIMQPKLESKLKFSDVYAVELLEVGPVCEPWNARATVQGKINTEMNRFVIHTVTRPRKRPSPWVPCEYIFGHKDQQTCKTWVEHIKTCINKEQDRPKSLMVFVHPLCGKGRGCKNWETVAPLFERAKVKTKVIVTQRAGHAYDTLASLSDKDLKKFDGVIAVGGDGLFNEILNGLLSTRHTNSYPPTPEGFGYFRNNMKCQEHRNNDLSNSELTGDDANAISGSSNTPDDHEPLLSTTRSTGLDISSSDSSDEPCNGDQVPLVSFPNNWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRRISLDIAQVVRWKGSPSAEVSPTVRYAASFAGESEKYRWMGPARYDFSGTMVFLKHRSYEAKVAFLENGNTHSLTASAENNANGVQTLQYHQNRHRKTICRTNCLICKGTSTSEQNSEDENPDSSRTACETPKWVWSKGRFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPFYLWHLTQFTKKGSDPLSFKFVEHHKTQAFTFISSHDESVWNLDGELLQACEVSVQAFRGLVNLFASGPEGRLQKAPACCTCMLYRKNGKHSAQITECRSLGRFNFATGKSRHFPNTP >ORUFI02G27960.3 pep chromosome:OR_W1943:2:24803236:24808873:-1 gene:ORUFI02G27960 transcript:ORUFI02G27960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEALFLDGVGEVTVAVGDDGLSFQPLHQDGVRKFVASGLRVLESKKRKEVSSSCWSSIIMQPKLESKLKFSDVYAVELLEVGPVCEPWNARATVQGKINTEMNRFVIHTVTRPRKRPSPWVPCEYIFGHKDQQTCKTWVEHIKTCINKEQDRPKSLMVFVHPLCGKGRGCKNWETVAPLFERAKVKTKVIVTQRAGHAYDTLASLSDKDLKKFDGVIAVGGDGLFNEILNGLLSTRHTNSYPPTPEGFGYFRNNMKCQEHRNNDLSNSELTGDDANAISGSSNTPDDHEPLLSTTRSTGLDISSSDSSDEPCNGDQVPLVSFPNNWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRRISLDIAQVVRWKGSPSAEVSPTVRYAASFAGESEKYRWMGPARYDFSGTMVFLKHRSYEAKVAFLENGNTHSLTASAENNANGVQTLQYHQNRHRKTICRTNCLICKGTSTSEQNSEDENPDSSRTACETPKWVWSKGRFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPFYLWHLTQFTKKGSDPLSFKFVEHHKTQAFTFISSHDESVWNLDGELLQACEVSVQAFRGLVNLFASGPEKMENTAPRLRNAEAWVASILPPERAGM >ORUFI02G27960.4 pep chromosome:OR_W1943:2:24803236:24808873:-1 gene:ORUFI02G27960 transcript:ORUFI02G27960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEALFLDGVGEVTVAVGDDGLSFQPLHQEVSSSCWSSIIMQPKLESKLKFSDVYAVELLEVGPVCEPWNARATVQGKINTEMNRFVIHTVTRPRKRPSPWVPCEYIFGHKDQQTCKTWVEHIKTCINKEQDRPKSLMVFVHPLCGKGRGCKNWETVAPLFERAKVKTKVIVTQRAGHAYDTLASLSDKDLKKFDGVIAVGGDGLFNEILNGLLSTRHTNSYPPTPEGFGYFRNNMKCQEHRNNDLSNSELTGDDANAISGSSNTPDDHEPLLSTTRSTGLDISSSDSSDEPCNGDQVPLVSFPNNWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRRISLDIAQVVRWKGSPSAEVSPTVRYAASFAGESEKYRWMGPARYDFSGTMVFLKHRSYEAKVAFLENGNTHSLTASAENNANGVQTLQYHQNRHRKTICRTNCLICKGTSTSEQNSEDENPDSSRTACETPKWVWSKGRFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPFYLWHLTQFTKKGSDPLSFKFVEHHKTQAFTFISSHDESVWNLDGELLQACEVSVQAFRGLVNLFASGPEKMENTAPRLRNAEAWVASILPPERAGM >ORUFI02G27970.1 pep chromosome:OR_W1943:2:24809984:24814865:1 gene:ORUFI02G27970 transcript:ORUFI02G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKEAYRVELRAAARQLGERGLYSAAKWAAELLVGIEPDATPAPSSVMDTPSSSGSAASGGRLLHLHRSGGSSFRRRLRPGAAEAGTPLGGVSYVSTPIPDDDAFDVGGDRYLLAKTYFDCREYRRAAHVLRGQTGRKAVFLRCYALYTAGEKRKEEETVELEGSLGKSNAVNQELVALERELATHRRTGAIDSFCLYLYGIVLRDKGSEALARTVLVESVNSYPWNWSAWLELQSLCTSSDILNNLNIKNHWMKDFFLASAHLELKMHEEALKRYERLMGVFRCSDYIQAQIATVQYSMRDLDEADMIFEELLRTDPFRVDSMDVYSNLLYAKESSTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVLYFQRALKLNRKYLSAWTLMGHEFVELKNTPAAIDAYRRAVDINPRDYRAWYGLGQIYEMMGMPFYAVYYFRKSSYLQPNDARLWNAMAQCYESDQLQMIEEAIKCYERSANNNDTEGIALHQLAKLHGMLGQSEEAAFYYKKDLERMEVEERQGQNFVEALLFLAKHCKSIGRFEEAEHYCTRLLDYTGPERETAKSILQGLKRAQSVLPLMDIDHFAIKLHIAGDVDLFCIVLPKPPNDTDN >ORUFI02G27970.2 pep chromosome:OR_W1943:2:24809984:24814653:1 gene:ORUFI02G27970 transcript:ORUFI02G27970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKEAYRVELRAAARQLGERGLYSAAKWAAELLVGIEPDATPAPSSVMDTPSSSGSAASGGRLLHLHRSGGSSFRRRLRPGAAEAGTPLGGVSYVSTPIPDDDAFDVGGDRYLLAKTYFDCREYRRAAHVLRGQTGRKAVFLRCYALYTAGEKRKEEETVELEGSLGKSNAVNQELVALERELATHRRTGAIDSFCLYLYGIVLRDKGSEALARTVLVESVNSYPWNWSAWLELQSLCTSSDILNNLNIKNHWMKDFFLASAHLELKMHEEALKRYERLMGVFRCSDYIQAQIATVQYSMRDLDEADMIFEELLRTDPFRVDSMDVYSNLLYAKESSTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVLYFQRALKLNRKYLSAWTLMGHEFVELKNTPAAIDAYRRAVDINPRDYRAWYGLGQIYEMMGMPFYAVYYFRKSSYLQPNDARLWNAMAQCYESDQLQMIEEAIKCYERSANNNDTEGIALHQLAKLHGMLGQSEEAAFYYKKDLERMEVEERQGQNFVEALLFLAKHCKSIGRFEEAEHYCTRLLDYTGPERETAKSILQGLKRAQSVLPLMDIDHFAM >ORUFI02G27980.1 pep chromosome:OR_W1943:2:24818057:24822295:1 gene:ORUFI02G27980 transcript:ORUFI02G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMIRPTGPEPRTMPVKSPSNDPPTYAAWSYADRLKEKDEASPPPPPPPLPTRLRLLAPNRLGASARERAPLLLHGGCAASSRSTGPSSGSDLGAVASSSSVMYGRMPKKSNNTKYYEVLGVSKTATQDELKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLNDPEKREIYDQYGEDALKEGMGGGSSSDFHSPFDLFEQIFQNRGGFGGRGHRQKRGEDVVHTMKVSLEDLYNGTTKKLSLSRNALCTKCKGKGSKSGAAATCHGCHGAGMRTITRQIGLGMIQQMNTVCPECRGSGEMISDKDKCPSCKGNKVVQQKKVLEVHVEKGMQHGQKIVFQGEADEAPDTVTGDIVFVLQLKDHPKFKRKFDDLFTEHTISLTEALCGFQFVLTHLDGRQLLIKSNPGEVIKPGQHKAINDEGMPQHGRPFMKGRLFVEFNVEFPEPGALTPGQCRSLEKILPPRPRNQLSDMELDQCEETTMHDVNIEEEMRRRQQHRRQEAYDEDDDEDAGAGPRVQCAQQ >ORUFI02G27990.1 pep chromosome:OR_W1943:2:24841402:24842686:1 gene:ORUFI02G27990 transcript:ORUFI02G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQNCEEXPCTYLQGKREKRDMEDGEQGSLGLTCGGEECDCGSTAASTTGGLCRRLGHSQGSLDGGGGIGIGIGGEGSDGGGIESSGGGGDGERERAGRGEPMLKV >ORUFI02G28000.1 pep chromosome:OR_W1943:2:24845532:24845831:-1 gene:ORUFI02G28000 transcript:ORUFI02G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGAALALAAAVCFLLMAPAPARRPADLPPQAVLLLPEPVDYREAAAELLLPPKPVADANADVADADLTTAAALAIPDEEERGTARARASLLCFVFR >ORUFI02G28010.1 pep chromosome:OR_W1943:2:24850197:24850874:1 gene:ORUFI02G28010 transcript:ORUFI02G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSFGSEPTTSSSGGEAPASPPSTASSSSDGAGGKKKRPRKDGHHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGRAAHLNFPDLAHELPRPATAAPKDVQAAAALAAAADFPASSANAGASNNPDGSDDASAGSASPPPPPDAADDALFDLPDLLLDLRYGPPSSGLSCASSWEDEVGLISGAGAAAAGVFRLEEPLLWEY >ORUFI02G28020.1 pep chromosome:OR_W1943:2:24866595:24870840:-1 gene:ORUFI02G28020 transcript:ORUFI02G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTDDPDPERQRSSSVAPAPPRRLRWQPPIGPCLPRAPTKRRSLWKELQQANSMVDLYNETRSHSETARRGALPVDQAFGLVMQPTPI >ORUFI02G28020.2 pep chromosome:OR_W1943:2:24866618:24871182:-1 gene:ORUFI02G28020 transcript:ORUFI02G28020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGGVGDDRAPELVRCARAAAAQPKPPQIVKCPQILPPPRLATSNRNPGIRLGVGAFPLRLAGGGIGTVVWAHWEGWLGFVSWRALMILTRSGSAPALLHRRRLAGCGGSHQSVRASPALRRKEEVIQKQQEGVPFLWIKLLG >ORUFI02G28020.3 pep chromosome:OR_W1943:2:24868144:24870840:-1 gene:ORUFI02G28020 transcript:ORUFI02G28020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTDDPDPERQRSSSVAPAPPRRLRWQPPIGPCLPRAPTKRRSLWKELQQANSMVDLYNETR >ORUFI02G28030.1 pep chromosome:OR_W1943:2:24871298:24872338:1 gene:ORUFI02G28030 transcript:ORUFI02G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTKPHPSSNLLLPTTTALPNPSPNPSRVTPLASGRGRRGHHRVCASSVAPAQPASVAQPTAPALSRVDVLSEALPFIQRFRGKTVVVKYGGAAMKSPELQSSVIRDLVLLSCVGLRPVLVHGGGPEINSWLGRVGVEPQFRNGLRVTDALTMEVVEMVLVGKVNKQLVSLISVAGATAVGLCGKDARLLTARPSPDAAALGFVGEVTRVNPSVLHPIIESGHIPVIATVAADETGQAYNINADTAAGEIAAALGAEKLLLLTDVSGILADRNDPGSLVKEIDIAGVRQMVADGKVGGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >ORUFI02G28040.1 pep chromosome:OR_W1943:2:24873002:24875874:-1 gene:ORUFI02G28040 transcript:ORUFI02G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPGRHLLRVATRAVRSSSALGSGGGGGGASTSATSPVAASSSSGGRPRTRSGGRLLRATSPPPPSAIAAAACWESRTMRREGEEEWEEVVAGAEEAVHGAPVPVAEEEEEYRVVFWSPPTGDEVRAAFTSIEELPFAFRVFGDPFRAHSYETEEQSALSTSVHSSSGNSSGSDDWIEPAAYALNSTALLTREHRNVLDAFRLLQKDPNVQKMVMSLSCDRAVWDAVMNNEAVQEFRRSFQDDKEAAGRKGNPGGPAGVLKWILGNTQAKIMEFMNNIMKIVNMLFHSDDDEAKPDLYTDAVKVSFMLSVFIFIVVAIARINFEPWDFKVW >ORUFI02G28040.2 pep chromosome:OR_W1943:2:24873002:24875874:-1 gene:ORUFI02G28040 transcript:ORUFI02G28040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPGRHLLRVATRAVRSSSALGSGGGGGGASTSATSPVAASSSSGGRPRTRSGGRLLRATSPPPPSAIAAAACWESRTMRREGEEEWEEVVAGAEEAVHGAPVPVAEEEEEYRVVFWSPPTGDEVRAAFTSIEEVFGDPFRAHSYETEEQSALSTSVHSSSGNSSGSDDWIEPAAYALNSTALLTREHRNVLDAFRLLQKDPNVQKMVMSLSCDRAVWDAVMNNEAVQEFRRSFQDDKEAAGRKGNPGGPAGVLKWILGNTQAKIMEFMNNIMKIVNMLFHSDDDEAKPDLYTDAVKVSFMLSVFIFIVVAIARINFEPWDFKVW >ORUFI02G28040.3 pep chromosome:OR_W1943:2:24873260:24875874:-1 gene:ORUFI02G28040 transcript:ORUFI02G28040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPGRHLLRVATRAVRSSSALGSGGGGGGASTSATSPVAASSSSGGRPRTRSGGRLLRATSPPPPSAIAAAACWESRTMRREGEEEWEEVVAGAEEAVHGAPVPVAEEEEEYRVVFWSPPTGDEVRAAFTSIEEVFGDPFRAHSYETEEQSALSTSVHSSSGNSSGSDDWIEPAAYALNSTALLTREHRNVLDAFRLLQKDPNVQKMVMSLSCDRAVWDAVMNNEAVQEFRRSFQDDKEAAGRKGNPGGPAGVLKWILGNTQAKIMEFMNNIMKIVNMLFHSDDDEAKPDLYTDAVKVSFMLSVFIFIVVAIARIK >ORUFI02G28040.4 pep chromosome:OR_W1943:2:24873260:24875874:-1 gene:ORUFI02G28040 transcript:ORUFI02G28040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPGRHLLRVATRAVRSSSALGSGGGGGGASTSATSPVAASSSSGGRPRTRSGGRLLRATSPPPPSAIAAAACWESRTMRREGEEEWEEVVAGAEEAVHGAPVPVAEEEEEYRVVFWSPPTGDEVRAAFTSIEELPFAFRVFGDPFRAHSYETEEQSALSTSVHSSSGNSSGSDDWIEPAAYALNSTALLTREHRNVLDAFRLLQKDPNVQKMVMSLSCDRAVWDAVMNNEAVQEFRRSFQDDKEAAGRKGNPGGPAGVLKWILGNTQAKIMEFMNNIMKIVNMLFHSDDDEAKPDLYTDAVKVSFMLSVFIFIVVAIARIK >ORUFI02G28050.1 pep chromosome:OR_W1943:2:24882263:24883228:1 gene:ORUFI02G28050 transcript:ORUFI02G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTAPLRVAREASISGRPSPPSSSSASPPPERPPAKPRGHKDGGGGVPRFLVDLISSGRPGEQPRAVATVTARSAPPRPDLVGWRLAAGMETAAGRNCGDGVGRDAAGSTAAGMATAVEALDPATLKADLATRRPDRASSWRGRRSSRQRGGGSRASLWQGHPSRRQRRRHPSAGASGGGAADGGGC >ORUFI02G28060.1 pep chromosome:OR_W1943:2:24883253:24883791:1 gene:ORUFI02G28060 transcript:ORUFI02G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSRIWVRPVVVGWRRLLATAAAVWSRQLAAAVAGYLVVEGDGATTVCGGGAATAAVTVEAVATLAAGKDRVRGEDGDGEVAECSTAAAAVVVTVAVIRGMAASDGLPRAWETVASGRRGIVGWR >ORUFI02G28070.1 pep chromosome:OR_W1943:2:24884595:24886103:-1 gene:ORUFI02G28070 transcript:ORUFI02G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHMLGRMRRRDHLLLMDAAPPPAAVAAAVQTLMEPMEFLSRSWSVSASDISRVLTGGVGARRSTNFVVDRLSGMLMPETLALAAASSTNLSPRKRYSRCRSAISAHQHTIGRWFHHRDGSSSSSSSSRVDKARAERARVHAAVTVASVAAAVAAVASGAANDPDDLDDAKMDAALASATQLLASHCIEIAELAGADHDQVASAVEAAVDVRSPGDLMTLTASAATALRGATALRLRAQREARSKAAAVAPYEKTGSCRGDIWCKEGTLLKRSRKGKAQKKPLLDTDARHNVVTSPRAQTELDLGMVIFSIFSSGALHRKRVAVYINKKSQVIVKLKSKHIGGAFSKKKKSVVYGVDDDVQAWPAPHACGGGAVPPAPETASSEKCQFGLRTAQGVVEFQCESRAQKQDWVESVKNLLRQAAGGTAQLEHSFESLRLSAS >ORUFI02G28080.1 pep chromosome:OR_W1943:2:24893767:24894711:-1 gene:ORUFI02G28080 transcript:ORUFI02G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSLGDSFSATSVKAYVAEFIATLLFVFAGVGSAIAYGELNAFSGQLTNGGALDPAGLVAIAIAHALALFVGVSVAANISGGHLNPAVTFGLAVGGHITILTGLFYWIAQLLGASIACLLLKFVTHGKAIPTHGVAGISELEGVVMEIVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLVYGDVFIGSYQPVADQDYA >ORUFI02G28090.1 pep chromosome:OR_W1943:2:24901869:24902361:-1 gene:ORUFI02G28090 transcript:ORUFI02G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGTVCSMCGDVGFPDKLFRCARCRRRFQHSYCTNYYGDGAPVEAGAGVCDWCLSDAAVVAGKKGPSSEGNEEPFSREYRGRSKQAASTGGGEQEGGGGGGRRVSKAGAVRRYKLLKDVLC >ORUFI02G28100.1 pep chromosome:OR_W1943:2:24905374:24907596:1 gene:ORUFI02G28100 transcript:ORUFI02G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCFRAAARMASSACRSAASRSIPSAARRGAPRISRLPVELGCSAGLSLLPLHSAVAAARLTSRLSTASRSCCALSQGTLCRTYPGL >ORUFI02G28110.1 pep chromosome:OR_W1943:2:24911393:24917805:-1 gene:ORUFI02G28110 transcript:ORUFI02G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPEVGVLGGRGLHRDGLKGWPDLRSLSGKKMTTPPPPPVEKQQQQARPAAVAPPDQTLEFSDGLLLRVLACLPEPHLTGAASLVCRRWMRLAGRLRRRLVVRDWAFVTHRLHHRFPELADLDLFPASIVAPAVPSPTSPLLTCAEVSLTLDTGADPPLGACRFLADDVLDRGLVAVAASFPNLRRLSATAASESGGLMDIAGGCATLQELELHRCTDLALRPVSAFAHLQILRLVAASSALYGTSEDGGVTDIGLTILAHGCKRLVKLELVGCEGSYDGIAAVGRCCAMLEELTIANHKMDNGWLAALAFCGNLKTLRLQGCCRIDDDPGPAEHLGACLTLESLQLQQCQLRDRRALHALLLVCEGARELLVQNCWGLEDDMFAMAGLCRRVKFLSLEGCSQLTTRGLESVITSWSDLQSLKVVSCDKIKDEEISPALSELFSTFKELKWRPDNKSRLAASLAGTGMGKKGRVLCKRLREREMKGKGQRTDAGAQLSTNRARMSVKGRAPPPEEGKKNGEKMAAAAAIPIPIPCVAEPTAASRVSPGSSPARSDASEGAAFYAADTEAEPEASVGRSTQMLLAMAAMGGRGGPYGRRPASSYGSCAAWSAGSLTDHRPASPSPICSPVSSNGGEGCRDGDDASSFVTPRLEEDQERLPNRGDFINPSTTPRHIRLQTPRQPSLLDRRFERTNPVPPRFIHKATPARLMRRARSSHNYRRRLGAMDAINEWRLPKVSEEEDEAVDQTDWQADTLSSRISSARDWNFEAGGAYEGSDHNGGAFNHSDGENSPVAVQRMGRWPQGSAVKHKENFVHAKLVAWKNAEIEKLIDKLRRKEADIDEWQMNQVTQAKEKMKRIEIKLEKKRARAAEKMQKAIKDAQKKADKKKIKEHAATDNQIASVERAMVKMSRTGKLPWSLAFL >ORUFI02G28120.1 pep chromosome:OR_W1943:2:24921140:24933129:1 gene:ORUFI02G28120 transcript:ORUFI02G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAKLLPSIVAFVALACCVLRSSVASVDHHRKLSGWSIGGATWYGPANGSGTDGGACGYQGDVGQPPFNSMIAAGSPSIYESGKGCGSCYQVKCSGNPSCSGKPVTVVLTDLCPGGACLEEPVHFDLSGTAFGAMAKPGQDDQLRNAGKLPVQYARVPCKWQGVDIAFRVDAGSNQYYLAVLVEDEDGDGDLSAVDLMQSGGSGGGGSWAAMQQSWGAVWKYNSGPAPLQAPMSIRLTSGSGRTLVASNVIPAGWQPGGTYRSILEEAAAMAKSCTLVLLLVALVGLSLLVSPIACSRKLSKPKPKPKPSMKKPVVRAHNNYTGSPSVTVTTGWAAAGATYYGAPNGDGSDGGACGYQTAVGQRPFSSMIAAGSPSLYKGGKGCGACYEVKCTTNAACSGQPATVVITDECPGGICLAGAAHFDMSGTSMGAMAKPGMADKLRAAGILQVQYRRVPCKYSGVNIAFRVDQGANPFYFEVLIEFEDGDGDLNAVDLMEAGCGWTPMVQNWGALWRYNSNTGKALKAPFSLRLTSDSGKVLVANNVIPASWKPGVTYRSLVNYS >ORUFI02G28130.1 pep chromosome:OR_W1943:2:24946056:24950375:-1 gene:ORUFI02G28130 transcript:ORUFI02G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTEEASSLPRLNGSAQDALQLLNSLQCRLDPLAEQLPTFEEVQSGQATLQSWKEQYQKLRMRLRNANLQANANIKKAAQEERELLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRSRQLMVQEVERSANTLATFDESTSVLRKAEGEYQGHRSLLMRTRGLLSTMQRQDVLDRIILTIGFLIFSLAVLYVVSRRIGLLTLQRKLADAIRSGSISAEDVVAKVKNVPVPAPAAPAPAPPIYDEL >ORUFI02G28130.2 pep chromosome:OR_W1943:2:24946056:24950375:-1 gene:ORUFI02G28130 transcript:ORUFI02G28130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVTQAVENLKEEWNQAVAQLEGCIAAIESCGKMGKGTEEASSLPRLNGSAQDALQLLNSLQCRLDPLAEQLPTFEEVQSGQATLQSWKEQYQKLRMRLRNANLQANANIKKAAQEERELLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRSRQLMVQEVERSANTLATFDESTSVLRKAEGEYQGHRSLLMRTRGLLSTMQRQDVLDRIILTIGFLIFSLAVLYVVSRRIGLLTLQRKLADAIRSGSISAEDVVAKVKNVPVPAPAAPAPAPPIYDEL >ORUFI02G28140.1 pep chromosome:OR_W1943:2:24951463:24953322:1 gene:ORUFI02G28140 transcript:ORUFI02G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVAGSHRPPPPPQSLRLVPPPPPQPPPPPLTYRHHCKVCKKGFMCGRALGGHMRAHGIGDDNDTMDDDDGRDDDHSLSPCDGGGEPSEAAGSPTTTTTKRMYALRTNPGRPRNCRTCENCGKEFTSWKTLLDHGRCGLDEEDGRLDVSLRSPPLHDGGDENDGEDEEEGDDLTLAAGGWSKGKRSRRAKVMAVGTGSVSELQLPAPSTEEEDLANFLVMLSSSSSSSSRVAQPAIVVDDADQESCASGSKDEERNRFLVPQPISMAAPMMAQMTVIAPQVVPQHISTVPRGMFECKACKKVFTSHQALGGHRASHKKVKGCFAAKLESSRNETSQTQTQQQHVSAAPHDNTRATTSHVITSDISMDANTIGASADADGKAAASGVGAGEIVLAGASSTDMAMMMSVEDFAPTPLAPSAVSPFKKKGKVHECSICHRVFTSGQALGGHKRCHWLTSGATDPLTKLQPVAQDHAMMAAMCHQLTLGRPIFDPTDQRILDLNVPTNPLAEAVAARQQQQQQVAALNDGALCLNAAASVYLQSWTGHSNGSHVNKTTATSSRINDAAGGVTTEDDEADSTSAKRAKIGDLKDMKVAGESLPWLQVGIGISSESKEKNTQE >ORUFI02G28150.1 pep chromosome:OR_W1943:2:24970059:24971036:1 gene:ORUFI02G28150 transcript:ORUFI02G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNACKLCYRRFASPRALAGHMRSHSVAAANAAAAAAAAATAADEEEEEEEEEEDVGFKKPLSIYALRENPKRSLRVSEYAFSDRESEAESTPTPAAKGLRAGAGGGGGDGEPMSSLSYAGTPEEEVALALMMLSRDTWPSVERGGGGGEYSDDGSDDGYALPPPSPAPAPAPVPEKRTRFQCPACKKVFRSYQALGGHRASHVRGGRGGCCAPPVAPPPQPHPQPPLPEHDAGEEDMDGKAPPHECPYCYRVFASGQALGGHKKSHVCSAAAAAAHAQTPGGGAPPPQPKILGMIDLNFAPPVDEVELSAVSDPHFPSNPPGP >ORUFI02G28160.1 pep chromosome:OR_W1943:2:24979142:24982269:1 gene:ORUFI02G28160 transcript:ORUFI02G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTNARRRRLVERGADRLAFITGQAQTLPSDPLPDSPLNSVDAATPQISERNASEGGISGDKFSNITRLHKSQPSDVVPESQLSAKARQEIHDGDLLREFKTSSTVPEIQPVNETPMQRHGEETLGKRINHDRTATVTRKEMETRPKSVPPNQSNQAENAAWSVETLKEHLNFTPHEITQAISATEYNRFLASVAVAFLAVLSNWGLDIGGTITRVLVGTRPLLFLIITNVTIVFTLLMENKDPNVRGRSAGSNLGSADSLGQMLEIGLLLQKSLGALLMDCSICAVILICFL >ORUFI02G28170.1 pep chromosome:OR_W1943:2:24990451:24993227:-1 gene:ORUFI02G28170 transcript:ORUFI02G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQPSVSLAPNTSCQPQHALARAAAGSARSRRISCLHLAVLHVGRHHARALCSHESAFSGANNNTNHDVKLRPRKFFEMEMSVRDCELDQYGVVNNVVYGSYVERAREELAEFLGVSASTAACTGNAMAVSEQNFKYFTPLKRGDNFVVKVTIQIKGVRIYADQFIETLPDRKLVLEAKATIVCLDGEYRPTRVFPELSSKVLDFFSHREASD >ORUFI02G28180.1 pep chromosome:OR_W1943:2:25020686:25022905:-1 gene:ORUFI02G28180 transcript:ORUFI02G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPSVSLAPNTSCHPQHAGSAAGSSRRSHGHLGVLLHVGHSDGRRAGALYAATNLRSLEAIPATGPTLRSLEEAIAAPNLLSHEAVISANNTYQDAKPRARKFFELEMTVQDCDLDQYGVVNNTVYPSYIERVVAPMNVPMNELTITLIIKSLTCVCSTRGVDIRPWNEQNLDSRGEKFVVRLSLGRIKGARIYAEQYIERMPDRKLVVESTATIICLNRKHRPTRVWPELSSKLLDYFSSQED >ORUFI02G28190.1 pep chromosome:OR_W1943:2:25025681:25031886:1 gene:ORUFI02G28190 transcript:ORUFI02G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAHCFSLHVVLAGLPPSMSLAPAAARHDSSLPSAVVVARVSPLSSVVLASCCCGLRLPSASRYATARTSHRPQRPLNLPPSHRRHSRGRLHLQSNPAADTRSSGGDKYDDGGSAASRYTVTQPSQHVVPPPHAEGGLQLGPAMAPVAVSSPRTASRVSRVSFSSASDAYAPLGGSGGGAAVGCFAARRLGALGSGSPSPSPSPFTGFASPDPDDDDDAAEASXGGDRRGHHDRKEAKRRSLLCFARLLLLAVAGL >ORUFI02G28200.1 pep chromosome:OR_W1943:2:25027279:25035336:-1 gene:ORUFI02G28200 transcript:ORUFI02G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNSSPVITDPVAISQQLLGGLPSNLMQFSVMPGGYSSSGMNVGVSRLKIEEVLVNGLLDAMKSSSPRRRLNVAFGEDNSSEEEDPAYSAWMAKCPSALASFKQIVASAQGKKIAVFLDYDGTLSPIVDDPDKAVMSPVMRAAVRNVAKYFPTAIVSGRSRNKVFEFVKLKELYYAGSHGMDIMAPSANHEHSAEKSKQANLFQPAHDFLPMIDEVTKSLLQVVSGIEGATVENNKFCVSVHYRNVAEKDWKLVARLVNEVLEAFPRLKVTNGRMVLEVRPVIDWDKGKAVEFLLQSLGLNDSENVIPIYIGDDRTDEDAFKVLRQRNCGYGILVSQVPKETEAFYSLRDPSEVMEFLNFLVRWKKHSVLRAPSRFGSNSDSDSKVPTQKLHMCNKRFVDSLVLLVCWMVWKERNAHVFQNQRCSASFLFGSIKKEVAIWKEAGASAASSSSSGSGDAKPVKGLGLGLGLPDPRAPRRRAAKQPTAAPPPLPPRGAYASEALEKLTRETREAVRGLETATGAMAGLDDDAMMEEFVKQFEEFAGAQDMDSIVETMMQQLLSKEILHEPMKDIVEKYPKWLEENKSKISKEEYERYNNQLELMMKLNEVYEKEPENMAKIFEIMQNMQECGQPPSDLVQDIAPDLDLSKLGQLGLRDLERKKLEDFPAQGVAGVKSSIQDKRGRTTWSLAGTRSLVAWLPL >ORUFI02G28200.2 pep chromosome:OR_W1943:2:25027273:25035336:-1 gene:ORUFI02G28200 transcript:ORUFI02G28200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNSSPVITDPVAISQQLLGGLPSNLMQFSVMPGGYSSSGMNVGVSRLKIEEVLVNGLLDAMKSSSPRRRLNVAFGEDNSSEEEDPAYSAWMAKCPSALASFKQIVASAQGKKIAVFLDYDGTLSPIVDDPDKAVMSPVMRAAVRNVAKYFPTAIVSGRSRNKVFEFVKLKELYYAGSHGMDIMAPSANHEHSAEKSKQANLFQPAHDFLPMIDEVTKSLLQVVSGIEGATVENNKFCVSVHYRNVAEKDWKLVARLVNEVLEAFPRLKVTNGRMVLEVRPVIDWDKGKAVEFLLQSLGLNDSENVIPIYIGDDRTDEDAFKVLRQRNCGYGILVSQVPKETEAFYSLRDPSEVMEFLNFLVRWKKHSVLRAPSRFGSNSDSDSKVPTQKLHMCNKRFVDSLVLLVCWMVWKERNAHVFQNQRCSASFLFGSIKKEVAIWKEAGASAASSSSSGSGDAKPVKGLGLGLGLPDPRAPRRRAAKQPTAAPPPLPPRGAYASEALEKLTRETREAVRGLETATGAMAGLDDDAMMEEFVKQFEEFAGAQDMDSIVETMMQQLLSKEILHEPMKDIVEKYPKWLEENKSKISKEEYERYNNQLELMMKLNEVYEKEPENMAKIFEIMQNMQECGQPPSDLVQDIAPDLDLSKLGQLTFQPKELPASNLLSKIKEEEQRGALRVREASLPGCRCN >ORUFI02G28200.3 pep chromosome:OR_W1943:2:25027273:25035336:-1 gene:ORUFI02G28200 transcript:ORUFI02G28200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNSSPVITDPVAISQQLLGGLPSNLMQFSVMPGGYSSSGMNVGVSRLKIEEVLVNGLLDAMKSSSPRRRLNVAFGEDNSSEEEDPAYSAWMAKCPSALASFKQIVASAQGKKIAVFLDYDGTLSPIVDDPDKAVMSPVMRAAVRNVAKYFPTAIVSGRSRNKVFEFVKLKELYYAGSHGMDIMAPSANHEHSAEKVTKSLLQVVSGIEGATVENNKFCVSVHYRNVAEKDWKLVARLVNEVLEAFPRLKVTNGRMVLEVRPVIDWDKGKAVEFLLQSLGLNDSENVIPIYIGDDRTDEDAFKVLRQRNCGYGILVSQVPKETEAFYSLRDPSEVMEFLNFLVRWKKHSVLRAPSRFGSNSDSDSKVPTQKLHMCNKRFVDSLVLLVCWMVWKERNAHVFQNQRCSASFLFGSIKKEVAIWKEAGASAASSSSSGSGDAKPVKGLGLGLGLPDPRAPRRRAAKQPTAAPPPLPPRGAYASEALEKLTRETREAVRGLETATGAMAGLDDDAMMEEFVKQFEEFAGAQDMDSIVETMMQQLLSKEILHEPMKDIVEKYPKWLEENKSKISKEEYERYNNQLELMMKLNEVYEKEPENMAKIFEIMQNMQECGQPPSDLVQDIAPDLDLSKLGQLTFQPKELPASNLLSKIKEEEQRGALRVREASLPGCRCN >ORUFI02G28210.1 pep chromosome:OR_W1943:2:25038575:25038919:-1 gene:ORUFI02G28210 transcript:ORUFI02G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDGDGLDALSTHSASNAQRRWALGTRLPAGHRLGAGRDADADGASGGWAEYVAVREKSGWGVGEVSNRGRGRRRGGRRAVS >ORUFI02G28220.1 pep chromosome:OR_W1943:2:25044711:25045349:-1 gene:ORUFI02G28220 transcript:ORUFI02G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFFAAWLSTLRSPLLPLLRRALSSSSSSSSGGWDDPLSSAAAAVEAHFQAHWSALDAAARQDPAQAVSAGDWRSPLELPFLWVGDLHPSLVTSLLRSLSPSPRLLAATDRVDRRIRAAVPSISDRLRRVQEAFISAEVSGAADVEAFLEELKDVALDANRLRRGVLSELVAAAGGYQAALFLEALSRFVLSMHDPEVLRRFDQCRASPGS >ORUFI02G28230.1 pep chromosome:OR_W1943:2:25046824:25047837:-1 gene:ORUFI02G28230 transcript:ORUFI02G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPAVRGAPQWLRGLLSEEFFDSCGAHPGERKNDKNHFCVDCAAALCRHCLPHDASHGVLQIWKYASCFVVRVDDLKLFDCNGIQSHTLSDHEVVFLNERTARKRSASVENPCAACARPLPSGHDYCSLFCKVKHLGESDQGLRRALRVNRRSAAAAGGGGGGEDPAVAEASQSGKRRASSSESGRSCGGTLRKRSRKQPAPARSPSC >ORUFI02G28240.1 pep chromosome:OR_W1943:2:25049565:25053511:1 gene:ORUFI02G28240 transcript:ORUFI02G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSTNKQGPRAPSSQASPARFRFVIGADAVYRSTRLRRYGIRSEPHKMNGRLEMSTGPVASPSAIAARVAADDTRSSACPPRAGGGEVGRRSLWREVRRRLGDKVWEFGCEATMFIVRSAFGRFLHQDNSGGGGGPLEQEFRTDAWPLLLDDKVSTNYT >ORUFI02G28240.2 pep chromosome:OR_W1943:2:25049730:25053511:1 gene:ORUFI02G28240 transcript:ORUFI02G28240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGLELGSGSGRAGGLPVGDRRSRRRRRHQELRLPAARRRRRGWKAVTLACHIYMGADKVWEFGCEATMFIVRSAFGRFLHQDNSGGGGGPLEQEFRTDAWPLLLDDKVSTNYT >ORUFI02G28240.3 pep chromosome:OR_W1943:2:25049565:25051898:1 gene:ORUFI02G28240 transcript:ORUFI02G28240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSTNKQGPRAPSSQASPARFRFVIGADAVYRSTRLRRYGIRSEPHKMNGRLEMSTGPVASPSAIAARVAADDTRSSACPPRAGGGEVGRRSLWRDKVWEFGCEATMFIVRSAFGRS >ORUFI02G28240.4 pep chromosome:OR_W1943:2:25049730:25053511:1 gene:ORUFI02G28240 transcript:ORUFI02G28240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGLELGSGSGRAGGLPVGDRRSRRRRRHQELRLPAARRRRRGWKAVTLAWPYVFSEAYIAYRYVLKTFLCLHSQSHLHGCRQSVGIWMRSNHVYCAISVRQECMLDDKVSTNYT >ORUFI02G28250.1 pep chromosome:OR_W1943:2:25054588:25054818:-1 gene:ORUFI02G28250 transcript:ORUFI02G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKATAWPWGIRSSERATRGTATPRSLGTACRGAQAEAVLPGCWASEQERARGAALMEAFGFGTLAQQLGWARH >ORUFI02G28260.1 pep chromosome:OR_W1943:2:25055206:25056090:-1 gene:ORUFI02G28260 transcript:ORUFI02G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSWVRSFHCKSTAIGDVAAAITALPKKPHLHLPRSSCASSGDAHNHKNVSSSSSSSSKAKPAASSKVSPAKPRKPKAKAASVPPSSPPPVPLGPVPALTELPAGHSSRQVVEIIFLSSWSPLPATQAALPAAVASTAAAGASTAEVEMLFRVHNPARAVARFEDYRAAVRARAGGASRSAADGNEMMRFSPAPPDDGCSSAAGEDALRIRTFDGSGGAHANGRGPASGRRAMFLCRVIAGRVADGPATSGAGADAEPVPSKEYDSVRAGKGELVVFDRRAVLPCFLIIYKL >ORUFI02G28270.1 pep chromosome:OR_W1943:2:25062269:25067800:1 gene:ORUFI02G28270 transcript:ORUFI02G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLLRIYPSELKIPFELKRQNSGILELTNKTDHYVAFKVKTTNPRKYSVRPTTGIVLPRGSCGITISMQPPKEIPTDYHCKDKFLIQSVVVEDGTTQKDIHSDMFSKEAGKVVEEFKLRVVYIPANPPSPVPEEEEDEIDSLDSDVDHEVQMPSTFDAASRKGYTSGSQASHDEGVSLTKAVLSKYVDENQKLQQELDLLKKKRSSSDGGFTALFVPFVFAFFVFIGYLMAGSNV >ORUFI02G28270.2 pep chromosome:OR_W1943:2:25062269:25067800:1 gene:ORUFI02G28270 transcript:ORUFI02G28270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLLRIYPSELKIPFELKRQNSGILELTNKTDHYVAFKVKTTNPRKYSVRPTTGIVLPRGSCGITISMQPPKEIPTDYHCKDKFLIQSVVVEDGTTQKDIHSDMFSKEAGKVVEEFKLRVVYIPANPPSPVPEEEEDEIDSLDSDVDHEVQMPSTFDAASRKGYTSGSQASHDEDLLKKKRSSSDGGFTALFVPFVFAFFVFIGYLMAGSNV >ORUFI02G28270.3 pep chromosome:OR_W1943:2:25062600:25064464:1 gene:ORUFI02G28270 transcript:ORUFI02G28270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASTSPPAKAQVTTAPAKSPLVGGLADLDAAVSLRLHALFLPVPRLLLKALEVAGDGRIWLPVPISLLLISTTTSSKVSPLLVGLVAGLVLDILFVGAAKLIVRRPRPAYNATDMYVAVAADHWSFPSGHSSRAFLVAAFLAAGGFPREALFLWAASTSASRVLLGRHYVLDVVAGACLGVFEAWLSSLLLRAMCARISFLIANQTMWETSW >ORUFI02G28280.1 pep chromosome:OR_W1943:2:25070290:25070691:1 gene:ORUFI02G28280 transcript:ORUFI02G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFALFLAVNLVVLGVASACGGSPSCPTPTPSTPTPSTPTPTPSAFGRCPRDALKLGVCANVLGLIKAKVGVPPAEPCCPLLEGLVDLEAAVCLCTAIRGNILGINLNLPIDLSLILNYCGKTVPTGFKC >ORUFI02G28290.1 pep chromosome:OR_W1943:2:25081459:25081845:1 gene:ORUFI02G28290 transcript:ORUFI02G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAFLLVALNLVLFFTVASACGKYCPTPSTPSTTPSTPSYNTRCPKNALKFAACADVLGLVSAEVGQPPYEPCCGVLGGLADLEAAVCLCTAIKANVLGITLDIPVKLSLLVNYCGKNVPSGFICA >ORUFI02G28300.1 pep chromosome:OR_W1943:2:25087429:25090528:1 gene:ORUFI02G28300 transcript:ORUFI02G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAADLEARQLRILRRVADLELAAQQHRLGALSISAAPSGGEAETGATEARLSSILTARGVLDFTFRRVPADYYDRPLEERRDLLRADSVNQLCKSIVMVNTQAAADVVDCSNPKNSKYYVVVVQYMARLNADSIKNFIYSLNEKQIPKKRFNMRLAPEEESLKLTGFVHNAVTCIGMETDIPVIIDEAITKLDKDFFWLGGGEVDLKLGVRTSQFLNAFKPFVVKCS >ORUFI02G28310.1 pep chromosome:OR_W1943:2:25091529:25092734:-1 gene:ORUFI02G28310 transcript:ORUFI02G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQSSPQTLNGKLKLNTSTTILLFIVFLFTLCIISCEARHDHLRISDKYSSKKSSLVPKDVAGDDVVGSKQPIDQSVGKEVTLNAKMELAASSGSSSGSLNKRFEGTKVRSVARERSVLGAETNREQVGSKPATTAYTAETLAAMDYPVAHTAPAVHNR >ORUFI02G28320.1 pep chromosome:OR_W1943:2:25104750:25106870:1 gene:ORUFI02G28320 transcript:ORUFI02G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALFGAERSGVVDGDKEELFWPAGKGGLVVVEPRSVLDCTRSLSPPYSTSTLSSSLGGGSADSTGVAAVSESSTAAAGATKWGAPGEHGGGGKEEWGGGCELPPIPGALDVGLVGGEGWDATATLGNAAGPDQSFLNWIIGAAGDLEQPGPPLPVLQQPLIDNAGFGIPAVDTMGFSLDHPLSGVASDLSSSGAHTATGGGGKASLGFGLFSPEATSLEQPPPSMLFHEGIDTKPPLLGAQPQFLLNHYQPQPPNPAAALFMPLPPFPEHNHQSPHLQPSLKRHHAIPDDLYLARNQQQSSAVAPGLAYSPPLHGPAPFQLHPSPPPIRGAMKSTAAEAAQQQLLDELAAAAKATEAGNSVGAREILARLNQQLPQLGKPFLRSASYLKEALLLALADSHHGSSGVTSPLDVALKLAAYKSFSDLSPVLQFTNFTATQALLDEIGGMATSCIHVIDFDLGVGGQWASFLQELAHRRGAGGMALPLLKLTAFMSTASHHPLELHLTQDNLSQFAAELRIPFEFNAVSLDAFNPAELISSSGDEVVAVSLPVGCSARAPPLPAILRLVKQLCPKVVVAIDHGGDRADLPFSQHFLNCFQSCVFLLDSLDAAGIDADSACKIERFLIQPRVEDAVIGRHKAQKAIAWRSVFAATGFKPVQLSNLAEAQADCLLKRVQVRGFHVEKRGAALTLYWQRGELVSISSWRC >ORUFI02G28330.1 pep chromosome:OR_W1943:2:25114831:25116978:1 gene:ORUFI02G28330 transcript:ORUFI02G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALFGAERSGVVDLGGIGGGNRGLFWPAGKGGLVVVEPRSVLDCTRSPSPRNSTSTLSSSQGGGGADSTGVAAVSESSAAAAEATKWGAPGEHGGGGGGGGGGGKEDWSSGCELPPIPGTLDVGLVGGEGWDTMLGNAAAAAAGQDQSFLNWIIGAAGDLEQPGPPLLDNAGFGIPAVDPLGFSLDHSLSGVASDLSSSGAHTATGGAGGGKASLGFGLFSPEATSLEQPPPPMLFHEGIDTKPPLLGAQPPGLLNHYHHQPPNPAATFFMPHPSFPEHNHQSPLLQPPPKRHHSMPDDIYLARNQLPPAAAAAQGLPFSPLHASVPFQLQPSPPPIRGAMKTTAAEAAQQQLLDELAAAAKATEAGNSVGAREILARLNQQLPPLGKPFLRSASYLREALLLALADSHHGVSSVTTPLDVALKLAAYKSFSDLSPVLQFANFTATQALLDEIGGTATSCIHVIDFDLGVGGQWASFLQELAHRRAAGGVTLPLLKLTAFVSTASHHPLELHLTQDNLSQFAADLGIPFEFNAVSLDAFNPGELISSTGDEVVAVSLPVGCSARAPPLPAILRLVKQLSPKIVVAIDHGADRADLSFSQHFLNCFQSCVFLLDSLDAAGIDADSACKIERFLIQPRVHDMVLGRHKVHKAIAWRSVFAAAGFKPVPPSNLAEAQADCLLKRVQVRGFHVEKRGAALTLYWQRGELVSISSWRC >ORUFI02G28340.1 pep chromosome:OR_W1943:2:25128968:25148553:-1 gene:ORUFI02G28340 transcript:ORUFI02G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDAPEMPDASPRLPWEPGGVEHFDAALLGDAAYIVDEEEVSDVEMSEGSPVAPSESSALPSPPSLRKRLAPVVPSDVPEEVVRAVDAVIMGGGLERLREMVSGEDGELSHFVVDVLMLTMGGVDGLDEGAGDGAVTLPSIMSSSRAAAIAAELLPYIPCGVEPSPRTRMARALLATLSSCTRNRTMCTSSGLLAILLDAAEKLFVGMGQRSKWDGAPLVQCIQMLGGHSVSVKDLHSWLLLIKKTLGTCWATSLTLALEKAVGCKEAKGPAVTFELGGEGSGLLAPAESRWPFSNGFGFATWIYVESFSDSLNTGMATAAIAAAAASTSGKSSPSAAAAAASTLAGEGTKHMPRLFCFLTMDNHGVEAYFHGQFLVVESGAGKGKKASLHFTYEFRPQCWYFVGLEYTSKQALLGKVESELRLYVDGELHESCPFELPRILKPLAFCCIGTNPPPTIAGLQQRRRQCPLFAEMGPIYIFTESIGPERMSRIASRGGDALPSFSNGSGLPWKATNAHIRHIAEDNYTLDIEIGGSLHLLYHPSLLNGRFCPDASPSGSTGTHRRPAEVLGMVHLSYRVRPAESLWALAYGGPMALLPLTVSNVEMDNMEPILGDLSSSLATASLSVPIFRIISLATQHPGNKEELCRAHGPELLSQVLHYLLETLSRLESGEKEILSDEELVAAIASLCQSQKNDHGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESACMHDANALQMLLDGCRRCYWVIHEADSIDTFTFTGTERPLEKVNSLVDELLVVIELLLGAVSSTLASDDVRSLVGFVVDCPQPNQVARVLLLIYRLIVHPNTSRANVFAQSFISRGGVEALLVLLQREAKSGDNNISDSCIVPQNSLWNAGSDPKSISSDLDLKTTAGEANCNGHKTQPLEHHEPPCHEGSTEPGFSSKWCLLKNQFLKNLGGIDFLNIADNVQNDIYNIDNGDGVLVGIVHVLGALVASGHLKFNLPAAKPKLPSSFLTTSNGEGNSMFEDRVSLLLFAMQKAFQAAPRRLMTRNVYKALFSAVINVPSANGSLKLHDSGHRVKHTPLLSVLLRSLPFASRAFQAHAIQDLLYLASTNNENRIALTSIAEWPEWILEVLISNHEDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGNLLEFSAQELQVQTEGINAAAAGVAEEGMMPKETKIQADKATHLSLALAENAIVLLMLVEDHLRSRSQHYFLSCSIDSAASPASGASSAATRSNSLSRTGSEPLDAGGSRQSLSSDAGGLPVDVLASMADANGQISSEVMERVTAAAAAEPYGSVRHAFVSYGTCISDLSEGWKYRSRLWYGVCIPPKSNIFGGGGSGWESWKSVLEKDSNGSWIELPLVKKSIAMLQALLLDSQLGGGLGIGGGSGAGMDAMSALNQLLDSDQPFFCILRLTLASIREDDNGEDDIFMRNISMKNVISEGLGCQTGSMMIHDDNSCSPSRKPQSALLWRVLGPILNMPVSESKRQRVLVASSIIYSEVWHAVSSDRKPLRKKYVGLIMPPFVAVLKRYRSVLAGIHELTSSDGQNPLVVDDCALAADTLPIEAAVSMISPGWAAAFASPPVAMALAMIAAGTSGTETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPIDATPNLPTSAPKDKAAAKAAALAAARDLERSAKIGSRRGLSAVAMATSGQRRAAGDIERAQRWNTSEAMCAAWLECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEIFWKLDSTETSSRMRRFMKRNYKWSEHLSMANNYEENMLLCDGAESNYSHREDADSLFANALRTNSSIIVADAISVDGGHGNAKQTETETIYSSVDDSTSSDFSSVHNLVRSTVVAPCYSSSKNNERIIVELPSLMVRPFKVVRGTFQVTSKMINFIIDEHMSDSDSYMDDAASTSGQYDQQDKDRSWFISSLHKIYSRRYLLRRSALELFMVDRSNFFFDFEDTEACKHAYRAIVHTKPPYLNSIFLATQRPEKILKKSQLTERWARWEISNFEYLMELNTLAGRNYHSKTLDLEDPSAYRDLSEPIGALNPARLKKFQEHYSSFNDPTIPKFHYSSHYSCPGTVLYYLVRIEPFTALSIQQQGGRFGQDDHMFSCINKTWNSVLEDMNDVKELVPEMFYLPELFTNVNSVDLGSTQLTGKLSSVQLPPWAENPVDFICKHRKALESDYVSAHLHEWIDLIFGHKQRGKDAVMANNVFPYATYEGMVDIDQITNPVQRRSMQDQICNFGQTPSQLLTIPHTKRRPLADILKLQTIFWNPTEVRSYVLPNPENCNVPASAMLVSDDSIVVVGANVPAAHLALHHWQPNTSNGPGTPFLFHHGRNAINLSGGAIMRIFKGSAGSVDDYQFPRAIAFAASAIQNSSVVVVTCEREVITGGHADNSVKLISPDGARTIETAFGHLAPVTCVALSPDSNYLVTGSRDTTVILWRIRQIGSLRKKSTPEPPPSTPTTPNDSASGDSSTSNSSKVLETSRRRRIEGPMHVLRGHLGEVTCCSVSSALGLVASSSSTCGVLLHNLRTGRLIRKLDKQEAHLMCLSSEGIILIWNESEKRLSTFTVNGIPIGTSVLTPFSGRVSCIEISVDGKFALIGTCLSNSCNRDGFSAIDDYELDKPNGDDDLPELNETKPSVHVPSVCFIDLYKLENVTAIALQKDNTNLLVSTADKQLIVFTYPALSSKIGDRMLQEGNGVCETACR >ORUFI02G28340.2 pep chromosome:OR_W1943:2:25128968:25148553:-1 gene:ORUFI02G28340 transcript:ORUFI02G28340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDAPEMPDASPRLPWEPGGVEHFDAALLGDAAYIVDEEEVSDVEMSEGSPVAPSESSALPSPPSLRKRLAPVVPSDVPEEVVRAVDAVIMGGGLERLREMVSGEDGELSHFVVDVLMLTMGGVDGLDEGAGDGAVTLPSIMSSSRAAAIAAELLPYIPCGVEPSPRTRMARALLATLSSCTRNRTMCTSSGLLAILLDAAEKLFVGMGQRSKWDGAPLVQCIQMLGGHSVSVKDLHSWLLLIKKTLGTCWATSLTLALEKAVGCKEAKGPAVTFELGGEGSGLLAPAESRWPFSNGFGFATWIYVESFSDSLNTGMATAAIAAAAASTSGKSSPSAAAAAASTLAGEGTKHMPRLFCFLTMDNHGVEAYFHGQFLVVESGAGKGKKASLHFTYEFRPQCWYFVGLEYTSKQALLGKVESELRLYVDGELHESCPFELPRILKPLAFCCIGTNPPPTIAGLQQRRRQCPLFAEMGPIYIFTESIGPERMSRIASRGGDALPSFSNGSGLPWKATNAHIRHIAEDNYTLDIEIGGSLHLLYHPSLLNGRFCPDASPSGSTGTHRRPAEVLGMVHLSYRVRPAESLWALAYGGPMALLPLTVSNVEMDNMEPILGDLSSSLATASLSVPIFRIISLATQHPGNKEELCRAHGPELLSQVLHYLLETLSRLESGEKEILSDEELVAAIASLCQSQKNDHGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESACMHDANALQMLLDGCRRCYWVIHEADSIDTFTFTGTERPLEKVNSLVDELLVVIELLLGAVSSTLASDDVRSLVGFVVDCPQPNQVARVLLLIYRLIVHPNTSRANVFAQSFISRGGVEALLVLLQREAKSGDNNISDSCIVPQNSLWNAGSDPKSISSDLDLKTTAGEANCNGHKTQPLEHHEPPCHEGSTEPGFSSKWCLLKNQFLKNLGGIDFLNIADNVQNDIYNIDNGDGVLVGIVHVLGALVASGHLKFNLPAAKPKLPSSFLTTSNGEGNSMFEDRVSLLLFAMQKAFQAAPRRLMTRNVYKALFSAVINVPSANGSLKLHDSGHRVKHTPLLSVLLRSLPFASRAFQAHAIQDLLYLASTNNENRIALTSIAEWPEWILEVLISNHEDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGNLLEFSAQELQVQTEGINAAAAGVAEEGMMPKETKIQADKATHLSLALAENAIVLLMLVEDHLRSRSQHYFLSCSIDSAASPASGASSAATRSNSLSRTGSEPLDAGGSRQSLSSDAGGLPVDVLASMADANGQISSEVMERVTAAAAAEPYGSVRHAFVSYGTCISDLSEGWKYRSRLWYGVCIPPKSNIFGGGGSGWESWKSVLEKDSNGSWIELPLVKKSIAMLQALLLDSQLGGGLGIGGGSGAGMDAMSALNQLLDSDQPFFCILRLTLASIREDDNGEDDIFMRNISMKNVISEGLGCQTGSMMIHDDNSCSPSRKPQSALLWRVLGPILNMPVSESKRQRVLVASSIIYSEVWHAVSSDRKPLRKKYVGLIMPPFVAVLKRYRSVLAGIHELTSSDGQNPLVVDDCALAADTLPIEAAVSMISPGWAAAFASPPVAMALAMIAAGTSGTETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPIDATPNLPTSAPKDKAAAKAAALAAARDLERSAKIGSRRGLSAVAMATSGQRRAAGDIERAQRWNTSEAMCAAWLECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEIFWKLDSTETSSRMRRFMKRNYKWSEHLSMANNYEENMLLCDGAESNYSHREDADSLFANALRTNSSIIVADAISVDGGHGNAKQTETETIYSSVDDSTSSDFSSVHNLVRSTVVAPCYSSSKNNERIIVELPSLMVRPFKVVRGTFQVTSKMINFIIDEHMSDSDSYMDDAASTSGQYDQQDKDRSWFISSLHKIYSRRYLLRRSALELFMVDRSNFFFDFEDTEACKHAYRAIVHTKPPYLNSIFLATQRPEKILKKSQLTERWARWEYPVFPWVLADYHSKTLDLEDPSAYRDLSEPIGALNPARLKKFQEHYSSFNDPTIPKFHYSSHYSCPGTVLYYLVRIEPFTALSIQQQGGRFGQDDHMFSCINKTWNSVLEDMNDVKELVPEMFYLPELFTNVNSVDLGSTQLTGKLSSVQLPPWAENPVDFICKHRKALESDYVSAHLHEWIDLIFGHKQRGKDAVMANNVFPYATYEGMVDIDQITNPVQRRSMQDQICNFGQTPSQLLTIPHTKRRPLADILKLQTIFWNPTEVRSYVLPNPENCNVPASAMLVSDDSIVVVGANVPAAHLALHHWQPNTSNGPGTPFLFHHGRNAINLSGGAIMRIFKGSAGSVDDYQFPRAIAFAASAIQNSSVVVVTCEREVITGGHADNSVKLISPDGARTIETAFGHLAPVTCVALSPDSNYLVTGSRDTTVILWRIRQIGSLRKKSTPEPPPSTPTTPNDSASGDSSTSNSSKVLETSRRRRIEGPMHVLRGHLGEVTCCSVSSALGLVASSSSTCGVLLHNLRTGRLIRKLDKQEAHLMCLSSEGIILIWNESEKRLSTFTVNGIPIGTSVLTPFSGRVSCIEISVDGKFALIGTCLSNSCNRDGFSAIDDYELDKPNGDDDLPELNETKPSVHVPSVCFIDLYKLENVTAIALQKDNTNLLVSTADKQLIVFTYPALSSKIGDRMLQEGNGVCETACR >ORUFI02G28340.3 pep chromosome:OR_W1943:2:25128968:25148553:-1 gene:ORUFI02G28340 transcript:ORUFI02G28340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDAPEMPDASPRLPWEPGGVEHFDAALLGDAAYIVDEEEVSDVEMSEGSPVAPSESSALPSPPSLRKRLAPVVPSDVPEEVVRAVDAVIMGGGLERLREMVSGEDGELSHFVVDVLMLTMGGVDGLDEGAGDGAVTLPSIMSSSRAAAIAAELLPYIPCGVEPSPRTRMARALLATLSSCTRNRTMCTSSGLLAILLDAAEKLFVGMGQRSKWDGAPLVQCIQMLGGHSVSVKDLHSWLLLIKKTLGTCWATSLTLALEKAVGCKEAKGPAVTFELGGEGSGLLAPAESRWPFSNGFGFATWIYVESFSDSLNTGMATAAIAAAAASTSGKSSPSAAAAAASTLAGEGTKHMPRLFCFLTMDNHGVEAYFHGQFLVVESGAGKGKKASLHFTYEFRPQCWYFVGLEYTSKQALLGKVESELRLYVDGELHESCPFELPRILKPLAFCCIGTNPPPTIAGLQQRRRQCPLFAEMGPIYIFTESIGPERMSRIASRGGDALPSFSNGSGLPWKATNAHIRHIAEDNYTLDIEIGGSLHLLYHPSLLNGRFCPDASPSGSTGTHRRPAEVLGMVHLSYRVRPAESLWALAYGGPMALLPLTVSNVEMDNMEPILGDLSSSLATASLSVPIFRIISLATQHPGNKEELCRAHGPELLSQVLHYLLETLSRLESGEKEILSDEELVAAIASLCQSQKNDHGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESACMHDANALQMLLDGCRRCYWVIHEADSIDTFTFTGTERPLEKVNSLVDELLVVIELLLGAVSSTLASDDVRSLVGFVVDCPQPNQVARVLLLIYRLIVHPNTSRANVFAQSFISRGGVEALLVLLQREAKSGDNNISDSCIVPQNSLWNAGSDPKSISSDLDLKTTAGEANCNGHKTQPLEHHEPPCHEGSTEPGFSSKWCLLKNQFLKNLGGIDFLNIADNVQNDIYNIDNGDGVLVGIVHVLGALVASGHLKFNLPAAKPKLPSSFLTTSNGEGNSMFEDRVSLLLFAMQKAFQAAPRRLMTRNVYKALFSAVINVPSANGSLKLHDSGHRVKHTPLLSVLLRSLPFASRAFQAHAIQDLLYLASTNNENRIALTSIAEWPEWILEVLISNHEDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGNLLEFSAQELQVQTEGINAAAAGVAEEGMMPKETKIQADKATHLSLALAENAIVLLMLVEDHLRSRSQHYFLSCSIDSAASPASGASSAATRSNSLSRTGSEPLDAGGSRQSLSSDAGGLPVDVLASMADANGQISSEVMERVTAAAAAEPYGSVRHAFVSYGTCISDLSEGWKYRSRLWYGVCIPPKSNIFGGGGSGWESWKSVLEKDSNGSWIELPLVKKSIAMLQALLLDSQLGGGLGIGGGSGAGMDAMSALNQLLDSDQPFFCILRLTLASIREDDNGEDDIFMRNISMKNVISEGLGCQTGSMMIHDDNSCSPSRKPQSALLWRVLGPILNMPVSESKRQRVLVASSIIYSEVWHAVSSDRKPLRKKYVGLIMPPFVAVLKRYRSVLAGIHELTSSDGQNPLVVDDCALAADTLPIEAAVSMISPGWAAAFASPPVAMALAMIAAGTSGTETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPIDATPNLPTSAPKDKAAAKAAALAAARDLERSAKIGSRRGLSAVAMATSGQRRAAGDIERAQRWNTSEAMCAAWLECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEIFWKLDSTETSSRMRRFMKRNYKWSEHLSMANNYEENMLLCDGAESNYSHREDADSLFANALRTNSSIIVADAISVDGGHGNAKQTETETIYSSVDDSTSSDFSSVHNLVRSTVVAPCYSSSKNNERIIVELPSLMVRPFKVVRGTFQVTSKMINFIIDEHMSDSDSYMDDAASTSGQYDQQDKDRSWFISSLHKIYSRRYLLRRSALELFMVDRSNFFFDFEDTEACKHAYRAIVHTKPPYLNSIFLATQRPEKILKKSQLTERWARWEYPVFPWVLADYHSKTLDLEDPSAYRDLSEPIGALNPARLKKFQEHYSSFNDPTIPKFHYSSHYSCPGTVLYYLVRIEPFTALSIQQQGGRFGQDDHMFSCINKTWNSVLEDMNDVKELVPEMFYLPELFTNVNSVDLGSTQLTGKLSSVQLPPWAENPVDFICKHRKALESDYVSAHLHEWIDLIFGHKQRGKDAVMANNVFPYATYEGMVDIDQITNPVQRRSMQDQICNFGQTPSQLLTIPHTKRRPLADILKLQTIFWNPTEVRSYVLPNPENCNVPASAMLVSDDSIVVVGANVPAAHLALHHWQPNTSNGPGTPFLFHHGRNAINLSGGAIMRIFKGSAGSVDDYQFPRAIAFAASAIQNSSVVVVTCEREVITGGHADNSVKLISPDGARTIETAFGHLAPVTCVALSPDSNYLVTGSRDTTVILWRIRQIGSLRKKSTPEPPPSTPTTPNDSASGDSSTSNSSKVLETSRRRRIEGPMHVLRGHLGEVTCCSVSSALGLVASSSSTCGVLLHNLRTGRLIRKLDKQEAHLMCLSSEGIILIWNESEKRLSTFTVNGIPIGTSVLTPFSGRVSCIEISVDGKFALIGTCLSNSCNRDGFSAIDDYELDKPNGDDDLPELNETKPSVHVPSVCFIDLYKLELSSKIGDRMLQEGNGVCETACR >ORUFI02G28340.4 pep chromosome:OR_W1943:2:25128968:25148553:-1 gene:ORUFI02G28340 transcript:ORUFI02G28340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDAPEMPDASPRLPWEPGGVEHFDAALLGDAAYIVDEEEVSDVEMSEGSPVAPSESSALPSPPSLRKRLAPVVPSDVPEEVVRAVDAVIMGGGLERLREMVSGEDGELSHFVVDVLMLTMGGVDGLDEGAGDGAVTLPSIMSSSRAAAIAAELLPYIPCGVEPSPRTRMARALLATLSSCTRNRTMCTSSGLLAILLDAAEKLFVGMGQRSKWDGAPLVQCIQMLGGHSVSVKDLHSWLLLIKKTLGTCWATSLTLALEKAVGCKEAKGPAVTFELGGEGSGLLAPAESRWPFSNGFGFATWIYVESFSDSLNTGMATAAIAAAAASTSGKSSPSAAAAAASTLAGEGTKHMPRLFCFLTMDNHGVEAYFHGQFLVVESGAGKGKKASLHFTYEFRPQCWYFVGLEYTSKQALLGKVESELRLYVDGELHESCPFELPRILKPLAFCCIGTNPPPTIAGLQQRRRQCPLFAEMGPIYIFTESIGPERMSRIASRGGDALPSFSNGSGLPWKATNAHIRHIAEDNYTLDIEIGGSLHLLYHPSLLNGRFCPDASPSGSTGTHRRPAEVLGMVHLSYRVRPAESLWALAYGGPMALLPLTVSNVEMDNMEPILGDLSSSLATASLSVPIFRIISLATQHPGNKEELCRAHGPELLSQVLHYLLETLSRLESGEKEILSDEELVAAIASLCQSQKNDHGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESACMHDANALQMLLDGCRRCYWVIHEADSIDTFTFTGTERPLEKVNSLVDELLVVIELLLGAVSSTLASDDVRSLVGFVVDCPQPNQVARVLLLIYRLIVHPNTSRANVFAQSFISRGGVEALLVLLQREAKSGDNNISDSCIVPQNSLWNAGSDPKSISSDLDLKTTAGEANCNGHKTQPLEHHEPPCHEGSTEPGFSSKWCLLKNQFLKNLGGIDFLNIADNVQNDIYNIDNGDGVLVGIVHVLGALVASGHLKFNLPAAKPKLPSSFLTTSNGEGNSMFEDRVSLLLFAMQKAFQAAPRRLMTRNVYKALFSAVINVPSANGSLKLHDSGHRVKHTPLLSVLLRSLPFASRAFQAHAIQDLLYLASTNNENRIALTSIAEWPEWILEVLISNHEDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGNLLEFSAQELQVQTEGINAAAAGVAEEGMMPKETKIQADKATHLSLALAENAIVLLMLVEDHLRSRSQHYFLSCSIDSAASPASGASSAATRSNSLSRTGSEPLDAGGSRQSLSSDAGGLPVDVLASMADANGQISSEVMERVTAAAAAEPYGSVRHAFVSYGTCISDLSEGWKYRSRLWYGVCIPPKSNIFGGGGSGWESWKSVLEKDSNGSWIELPLVKKSIAMLQALLLDSQLGGGLGIGGGSGAGMDAMSALNQLLDSDQPFFCILRLTLASIREDDNGEDDIFMRNISMKNVISEGLGCQTGSMMIHDDNSCSPSRKPQSALLWRVLGPILNMPVSESKRQRVLVASSIIYSEVWHAVSSDRKPLRKKYVGLIMPPFVAVLKRYRSVLAGIHELTSSDGQNPLVVDDCALAADTLPIEAAVSMISPGWAAAFASPPVAMALAMIAAGTSGTETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPIDATPNLPTSAPKDKAAAKAAALAAARDLERSAKIGSRRGLSAVAMATSGQRRAAGDIERAQRWNTSEAMCAAWLECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEIFWKLDSTETSSRMRRFMKRNYKWSEHLSMANNYEENMLLCDGAESNYSHREDADSLFANALRTNSSIIVADAISVDGGHGNAKQTETETIYSSVDDSTSSDFSSVHNLVRSTVVAPCYSSSKNNERIIVELPSLMVRPFKVVRGTFQVTSKMINFIIDEHMSDSDSYMDDAASTSGQYDQQDKDRSWFISSLHKIYSRRYLLRRSALELFMVDRSNFFFDFEDTEACKHAYRAIVHTKPPYLNSIFLATQRPEKILKKSQLTERWARWEYPVFPWVLADYHSKTLDLEDPSAYRDLSEPIGALNPARLKKFQEHYSSFNDPTIPKFHYSSHYSCPGTVLYYLVRIEPFTALSIQQQGGRFGQDDHMFSCINKTWNSVLEDMNDVKEHKQRGKDAVMANNVFPYATYEGMVDIDQITNPVQRRSMQDQICNFGQTPSQLLTIPHTKRRPLADILKLQTIFWNPTEVRSYVLPNPENCNVPASAMLVSDDSIVVVGANVPAAHLALHHWQPNTSNGPGTPFLFHHGRNAINLSGGAIMRIFKGSAGSVDDYQFPRAIAFAASAIQNSSVVVVTCEREVITGGHADNSVKLISPDGARTIETAFGHLAPVTCVALSPDSNYLVTGSRDTTVILWRIRQIGSLRKKSTPEPPPSTPTTPNDSASGDSSTSNSSKVLETSRRRRIEGPMHVLRGHLGEVTCCSVSSALGLVASSSSTCGVLLHNLRTGRLIRKLDKQEAHLMCLSSEGIILIWNESEKRLSTFTVNGIPIGTSVLTPFSGRVSCIEISVDGKFALIGTCLSNSCNRDGFSAIDDYELDKPNGDDDLPELNETKPSVHVPSVCFIDLYKLELSSKIGDRMLQEGNGVCETACR >ORUFI02G28350.1 pep chromosome:OR_W1943:2:25149518:25152471:1 gene:ORUFI02G28350 transcript:ORUFI02G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGYKLADKKYVRRPDERGVQSQDAGVQTSCRNSSEQCLERAVMIFCRRA >ORUFI02G28360.1 pep chromosome:OR_W1943:2:25164818:25169540:-1 gene:ORUFI02G28360 transcript:ORUFI02G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPLVRQCLAVTIFYSKNTAPLAPAAAAQLHALLLTSGHLLRYHGLHPLFMVYCACGRPSSAHNLLAQMPQPPPVSFSNSLLRSYTGLGCHREVLAVYSAMRAFDHLTFPFAAKACAGLRLGRHGRAVHCRALAAGFGGDTYVQNALISMYMSCGDVGAAEAVFGAMRNRTVVSWNAVIAGCVKNGYAERALEVFGEMAADGVGIDRATVVSVLPACAQAKDLNTGRAVHRLVEDKGLGDYVAVKNALIDMYGKCRSLEDARRVFDHCKHDKDVVSWTAMIGAYVLNDRAFEAISLGCQILMSGAAWPNGVTMVYLLSACASMPSGKHAKCTHALCIRLGLKSDIAVETALIDAYARCGKMKLMRLTLERGSWRAETWNAALSGYTVSGREKKAIELFKRMIAESVRPDSATMASILPAYAESADLKEGKNIHCFLLTLGFLRSTEIATGLIDVYSKAGDLDAAWALFQWLPEKDVVAWTTIIAGYGIHGHARTAILLYDRMVESGGKPNTVTIATLLYACSHAGMIDEGIKVFKDMRNVHGLMPNGEHYSCLVDMLGRAGRIEEAHRLIQDMPFEPSTSVWGALLGACVLHKNVEFGEVAAKRLFQLDPENTGSYVLLGNIYAAADRWRDVQDVRRMMVERGLLKEPGSSLVEARSVQCRTAMP >ORUFI02G28370.1 pep chromosome:OR_W1943:2:25169742:25172198:-1 gene:ORUFI02G28370 transcript:ORUFI02G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLPRSYARPAGMLPPNNEFGIREITPGLACSGPGGAYELVERMEYLYVRVVKARGLKWSGEFDPFAELRLGGYSCITRHVEKTASPEWDDVFAFSRERIHAPFLDVLVRGRGFAKDDYVGSTRLDLGILPEAPASVQPDSSPAPQWYPVFDKKGEFRGEVMMAVWFGTQKDSYFDSAVHADAAFPVDDKLAAHIKHIRYDVPRLCYVRVKVTEVRDIVFADKARVGEVFVRSRILGQVHRTRTSMDHRWKDEENGHLFVAAAPFKDYLNMSVVGVKNGKEEVIGHVNVLLDSFERRCDARPISPRWFSLMQPEGAAKIDKYSAKISVVLCLECGYKVLSEPVHYLSDVRPAAREQERERKCIGLVELGIREAILTATRTRDGRGSCDAYCVAKYGVKWYRTRTVTDSISPRFHQQYHWEVHDHCTVLTVAVFHNSQIGDKGGLVAGDPVKDVLLGKVRIRLSTLETGRTYAYAYPLMSLHGGGVKKMGELRLAVRFSSTSTLGLFQTYAQPHLPPMHYHRPLTVVQQEMLRREAVTIIAHRLGRMDPPLRRECVEHLCESHALRWSMRRSKAHFFRLAEALEPLSAASAWFYHVCRWTNPVTTVAVHVIFTMLVCYPSLVLPTFFLYKFMLGMRNYLRRPKHPWHVDMRVSHADTAHPDELDEEFDEFPTARPPEVVRMRYDKLRSLNARIQEIVGDIATHAERARCVMTWRDPRATGLYLLGCLCLAVITFSVPFQAVALLTGFYLMRHPILRQRLPDVVANFFRRLPCKVDCLL >ORUFI02G28380.1 pep chromosome:OR_W1943:2:25174646:25177513:1 gene:ORUFI02G28380 transcript:ORUFI02G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRTAPFISRLRLLRTAAALSPFSSSAPPRHSPRILRASPVGAPHPPRVSAAVSPLVRPVAAGFALFSMATAASSAASVHDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGDNTAPIYKFLKSSKGGLFGDNIKWNFSKFLVDKEGRVVERYAPTTSPLSMEVCSQQYLNLGIFDKV >ORUFI02G28390.1 pep chromosome:OR_W1943:2:25177982:25196584:1 gene:ORUFI02G28390 transcript:ORUFI02G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARGARSRVPVAAVVAVVLVAGLAAGGSAAEIRRQKNVQVALRAKWAGTPLLLEASELLSKEWKDLFWDFIDHWKELDKASECLTAKCCVHKIVEDARSFLSEPLASIFEVSLTLRSASPRLVLYRQLAEESLSSIPVKDGTLEQTSGPSTGENFHEAVKGTCCWVDTGSALLFNSADLRKWLDGLGKLAVDSTQQPELFEFDHIYPQSNITAPIAIFYGAFGTKCFKELHVHLAEASKQGKVRYALRHVLPSGCQATSSFCGSVGAIDAVTLSGYGVELALKNMEYKAMDDTAIKKGVALEDPKTEDLSQEVRGFIFSKILERKPALNAEIMSFRDYLLSSTVSDTLEVWELKDLGHQTAQRILHASDPLQSMQEINQNFPSIVSSLSRMKLDDSIKDEIIANQRMVPPGKSLMALNGALINIEDLDLYLLMDMVHEELSLADQFVKLKIPGSAVHKILSAAPPTESNSFRVDFRSSHVHYLNNLEEDALYKRWRSNINELLMPVFPGQMRYIRKNLFHAVYVLDPASTIDMVLSLYQDSVPIRFGIILYSSRLISVIEENDGNLPVNNGSKTEEDISILIIRLFLYIKETYSTQLAYQFLSNIHKSQNSGDDYNEESVEAHHVEGAFVDSLLSSAKSHPQDVLLKLQKENMHKQEAEESSRFVHKLGLYKLQCCLLMNGLVHESSEDATMNAMNDELPRIQEQVYYGHIQSHTDVLEKFLSESSYKRYNPSITGKSTENKRFVSLVGPYHQGDSTLHDITYLHSHGTTDDAKPVTHLVAVDISSKIGIKLLHEAIRYLMAGSNRARVGLLIYVRNDNPSPILHMKDIFDRTISSFSYKEKVLDFLHELCKFYEGQHVPSSGVGDKISTMREKVYSIAAETGLPVDNYKAWFTSYSHDAVLRGMNKLSDFIFGKLGLEFGSNAVITNGRVFVVNEGDSFLTDDLGLLESMEYELRTKHIYEIIEEIEWTGVDPDDLTSKFYSDVAMLISSSMSIRERPSERAHFEILHAEHSAIKLNGMSSGVHIDAVIDPLSPAGQKLAPLLRILWRQIQPSMRIVLNPIDDFSSTDYSVHGPKAFFANMPLSKTLTMNIDVPEPWLVEPVIAIHDLDNILLENLGDVRTLQAVFELEALLLTGHCKEKDRDPPRGLQFILGTKRRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSADLYELPSKLIAIDSLRGKLMHIEVQKRGGKEHEDLLNADDDNHFQEKMDNKGWNNNLLKWASSFISGDSSSKKKDEKISDLKATRQGETINIFSVASGHLYERFLKIMILSVLKQTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELVTYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRADMGELYDMNLKGRPLAYTPFCDNNKEMDGYRFWKQGFWKDHLRGRPYHISALYVVDLAKFRQTASGDTLRVFYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGAKRIVPEWVDLDSEARQFTARILGDNPESPGTTSPPSDTPKSDDKGAKHDEL >ORUFI02G28400.1 pep chromosome:OR_W1943:2:25197841:25215382:1 gene:ORUFI02G28400 transcript:ORUFI02G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLRGRSSVTAAAAAALHKPVAHLRLLLAVSAWSVPAAASNVAAASTTTRGGPSPSAGVAPRAMPSSSSSPPSAAEGTTAAAGGFRLTEPSFLESLMPKKEIGVDRFLAAHPEYDGRGALIAIFDSGVDPAAAGLQTTSDGKPKILDVIDCTGSGDVDTSKVVKADDDGSIVGASGTHLTINPSWKNPSQEWHVGCKLVYELFTDTLTSRLKKERKKKWDEHNQEAISEALKQLNEFEKKHSKSDDAKQKMAREDLQSRLEYLRKQAEGYDDRGPVIDIVAWHDGDVWRVAVDTQGLEGNKNCGKLADFVPLTNYRLERKFGIFSKLDACSFVANIYDDGNLVSIVTDCSPHATHVAGIAAAFHPDEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEPTLLPDYGRFIDLASEVVDKHRIIFISSAGNNGPALNTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVQAPAEGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMSSPSACGGVALLVSAMKAEGIPLSPYTVRKAIENTAASISDVPEEKLTTGHGLLQVDRAFEYAQQAKELPLVSYRISINQVGKPTSKLRGIYLRGSNTCRQTSEWTVQLDPKFHEDASNMEQLVPFEECLQLHSTDSSVIKIPEYIMVTNNGRTFNIVVNPVNISSGLHYYEVYGIDCKAPWRGPIFRVPITVIKPIALSGEPPALTLSNLSFKSGHIERRFINVPIGASWVEVTMRTSAFDTPRRFFLDTVQICPLKRPIKWEAVVTFSSPSLKNFSFPVEGGLTLELSIAQFWSSGIASHEPTCVDFEIVFHGISVDQKIIGLDGSEAPVRVVARSLLASERLVPVATLNKVKTPYRPVESNLCSLPPSRDRLPSGKQIIALTLTYKFKLEDGAEIKPRVPLLNNRIYDNKFESQYYRISDSNKCVYSSGDVYPNYVKLSKGEYTLQLYIRHDNVQLLEKLKQLVLFIERKLEKKDFIQLSFYSEPDGPTVGNGTFKSSILVPGEPEAFYVGPPSREKLPKNVLPGSVLVGSITYGAVSSFSKKDDQNQHAPASYSISYLIPPSKVDNDKEKGVSSGRKSISERLDDEVRDTKIKFLSGFNQETEDDKSSWTALVASLKPEYPKYTPLLAKILECIVQKATSDDKFSHQKEIIAAADEVVDSIDKEDLAKSLSLKPDPEDEEAQKNKKKMEETRDQLADALYQKGLALAEIESLKTDESTEASAKDVFEENYKELIKWVDAKTTKYGSLTVLRERRCGRLGTALKVLNDMIQDDSEQPKKRLYDLKIQLIEEIGWVHVSAYEKQWMHVRFPPSLPPF >ORUFI02G28400.2 pep chromosome:OR_W1943:2:25197811:25215382:1 gene:ORUFI02G28400 transcript:ORUFI02G28400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGEPTLLPDYGRFIDLASEVVDKHRIIFISSAGNNGPALNTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVQAPAEGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMSSPSACGGVALLVSAMKAEGIPLSPYTVRKAIENTAASISDVPEEKLTTGHGLLQVDRAFEYAQQAKELPLVSYRISINQVGKPTSKLRGIYLRGSNTCRQTSEWTVQLDPKFHEDASNMEQLVPFEECLQLHSTDSSVIKIPEYIMVTNNGRTFNIVVNPVNISSGLHYYEVYGIDCKAPWRGPIFRVPITVIKPIALSGEPPALTLSNLSFKSGHIERRFINVPIGASWVEVTMRTSAFDTPRRFFLDTVQICPLKRPIKWEAVVTFSSPSLKNFSFPVEGGLTLELSIAQFWSSGIASHEPTCVDFEIVFHGISVDQKIIGLDGSEAPVRVVARSLLASERLVPVATLNKVKTPYRPVESNLCSLPPSRDRLPSGKQIIALTLTYKFKLEDGAEIKPRVPLLNNRIYDNKFESQYYRISDSNKCVYSSGDVYPNYVKLSKGEYTLQLYIRHDNVQLLEKLKQLVLFIERKLEKKDFIQLSFYSEPDGPTVGNGTFKSSILVPGEPEAFYVGPPSREKLPKNVLPGSVLVGSITYGAVSSFSKKDDQNQHAPASYSISYLIPPSKVDNDKEKGVSSGRKSISERLDDEVRDTKIKFLSGFNQETEDDKSSWTALVASLKPEYPKYTPLLAKILECIVQKATSDDKFSHQKEIIAAADEVVDSIDKEDLAKSLSLKPDPEDEEAQKNKKKMEETRDQLADALYQKGLALAEIESLKTDESTEASAKDVFEENYKELIKWVDAKTTKYGSLTVLRERRCGRLGTALKVLNDMIQDDSEQPKKRLYDLKIQLIEEIGWVHVSAYEKQWMHVRFPPSLPPF >ORUFI02G28410.1 pep chromosome:OR_W1943:2:25216232:25222277:1 gene:ORUFI02G28410 transcript:ORUFI02G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCAGWRAWKALGPLSFAGAGEGNATRSCSRCLRNVWRGTALAPTRTAISSVPPPPCDSAAPRLFPSVYPPLHAGGAAPLLASIFSIPCRIVAAQQHKKTKSR >ORUFI02G28420.1 pep chromosome:OR_W1943:2:25220907:25223647:-1 gene:ORUFI02G28420 transcript:ORUFI02G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTDGARVRAGRGEATAISIIRPLAPAHAHAHGQPPLLFSLKPYEAEAEPLGAIESTPRAVPALPQMASYDDGTNLVDDEYDDLDDFIVDNDDDVVLGEEQQDEFEEEEEEQEEEEEEEEPPVGQVEILTLREQLKADIRRKNQAQQGATAGRASCSSSVQPLAKDRFGSFFGPSRPSLARRVIEEGCSSIIKEKQNVPSNKSSVSSASKKQPIPSGQQQKPKFVKEEKRKVDALRQNRDYSCLFSDDADTPQATKEQPDNMAVLPMKYDVGDIASTSKLISQTDKVSKDSGLKGPSIQSRVGLVGKEPHPNTKRTIASSAKNGSSLPAMKKIQRVQPSSNGQKMQQTLQSKRPQAMLSQSHGQQSLQSRKPKPSLNGQNFRQKVSAPLAQKHLAPSSRPKPSSAVHNDHGKGKTRRLVKRKSKEDGCDEEEADYKSIIRGMFNYNPAKFVGRDEDDRDMEANYASIQMEERRSARLARQEDDEELRRIMDEERREKQERKRKKLAQKAVVDASQD >ORUFI02G28420.2 pep chromosome:OR_W1943:2:25220907:25223171:-1 gene:ORUFI02G28420 transcript:ORUFI02G28420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDDGTNLVDDEYDDLDDFIVDNDDDVVLGEEQQDEFEEEEEEQEEEEEEEEPPVGQVEILTLREQLKADIRRKNQAQQGATAGRASCSSSVQPLAKDRFGSFFGPSRPSLARRVIEEGCSSIIKEKQNVPSNKSSVSSASKKQPIPSGQQQKPKFVKEEKRKVDALRQNRDYSCLFSDDADTPQATKEQPDNMAVLPMKYDVGDIASTSKLISQTDKVSKDSGLKGPSIQSRVGLVGKEPHPNTKRTIASSAKNGSSLPAMKKIQRVQPSSNGQKMQQTLQSKRPQAMLSQSHGQQSLQSRKPKPSLNGQNFRQKVSAPLAQKHLAPSSRPKPSSAVHNDHGKGKTRRLVKRKSKEDGCDEEEADYKSIIRGMFNYNPAKFVGRDEDDRDMEANYASIQMEERRSARLARQEDDEELRRIMDEERREKQERKRKKLAQKAVVDASQD >ORUFI02G28420.3 pep chromosome:OR_W1943:2:25223223:25223647:-1 gene:ORUFI02G28420 transcript:ORUFI02G28420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTDGARVRAGRGEATAISIIRPLAPAHAHAHGQPPLLFSLKPYEAEAEPLGAIESTPRAVPALPQGKIVPPTAP >ORUFI02G28430.1 pep chromosome:OR_W1943:2:25225964:25232181:1 gene:ORUFI02G28430 transcript:ORUFI02G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPVVMHPTHGGEGVVARGTRGGMVTGDRRCGGSRRTPGATSARRMGIGASGGRGDEIRGRGRMGWIRMLTGERMRGDGSRGAAGKETGRIGEAEVVQRSAAASHVDSTSQPAIFFHLTPLYSHLNPNLQGICQWVGRRVSVGGEKLDGGGCR >ORUFI02G28440.1 pep chromosome:OR_W1943:2:25227416:25231886:-1 gene:ORUFI02G28440 transcript:ORUFI02G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFQPQGPVHMTIGRRHRGGRALHDLRLPNPPRLLARRPPTPVSSHSLTGEHPNPSHPASASNFVSSPAACADPHPASRGGARRPPASTASSIAGDHPSLPIHTSSAADVTAAPPPPPGPTPPPEPVVMATVVAEQKVSPTAPPLDVPAPAPPPPKKRKVEEAGFHNSAYYKIRATVADLRVRFVQVYEATDFRNSDAAREILKEIKVVMELAKKMRHDLGATFEPAKPPEKPLAGVVKDGPVEPPPSAENNHAPQTEKMGETPSSEIAQGSCVTGGSPIGWNFLVWPGGEVVYYGRTKEVFRAGQAEN >ORUFI02G28440.2 pep chromosome:OR_W1943:2:25227416:25231886:-1 gene:ORUFI02G28440 transcript:ORUFI02G28440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFQPQGPVHMTIGRRHRGGRALHDLRLPNPPRLLARRPPTPVSSHSLTGEHPNPSHPASASNFVSSPAACADPHPASRGGARRPPASTASSIAAADVTAAPPPPPGPTPPPEPVVMATVVAEQKVSPTAPPLDVPAPAPPPPKKRKVEEAGFHNSAYYKIRATVADLRVRFVQVYEATDFRNSDAAREILKEIKVVMELAKKMRHDLGATFEPAKPPEKPLAGVVKDGPVEPPPSAENNHAPQTEKMGETPSSEIAQGSCVTGGSPIGWNFLVWPGGEVVYYGRTKEVFRAGQAEN >ORUFI02G28440.3 pep chromosome:OR_W1943:2:25227416:25230623:-1 gene:ORUFI02G28440 transcript:ORUFI02G28440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTTAADVTAAPPPPPGPTPPPEPVVMATVVAEQKVSPTAPPLDVPAPAPPPPKKRKVEEAGFHNSAYYKIRATVADLRVRFVQVYEATDFRNSDAAREILKEIKVVMELAKKMRHDLGATFEPAKPPEKPLAGVVKDGPVEPPPSAENNHAPQTEKMGETPSSEIAQGSCVTGGSPIGWNFLVWPGGEVVYYGRTKEVFRAGQAEN >ORUFI02G28440.4 pep chromosome:OR_W1943:2:25230690:25231886:-1 gene:ORUFI02G28440 transcript:ORUFI02G28440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFQPQGPVHMTIGRRHRGGRALHDLRLPNPPRLLARRPPTPVSSHSLTGEHPNPSHPASASNFVSSPAACADPHPASRGGARRPPASTASSIAGDHPSLPIHTSSVN >ORUFI02G28450.1 pep chromosome:OR_W1943:2:25233813:25237416:-1 gene:ORUFI02G28450 transcript:ORUFI02G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTTSEFLSMSQQDEHCRCRITAGQVDNPKTSKQANIRPCQVAHTSLRHCQFGCLMRPVPLNDSHISKTQGGEV >ORUFI02G28450.2 pep chromosome:OR_W1943:2:25234519:25237416:-1 gene:ORUFI02G28450 transcript:ORUFI02G28450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTTSEFLSMSQQDEHCRCRITAGQVDNPKTSKQANIRPCQVAHTSLRHCQFGCLMRPVPLNDSHISKSSELIQDSELIH >ORUFI02G28460.1 pep chromosome:OR_W1943:2:25237496:25238444:-1 gene:ORUFI02G28460 transcript:ORUFI02G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGERSERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYRRPQGLYISLKDKGKILEVLKNWPERSIQVIVITDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRAPCLHLHPSATIIAAITSVLAPSLTHRFPLRFALSPLRVASHHPHRIAPLIIPSRVTSFHIAASSGFVCSRPLADHP >ORUFI02G28470.1 pep chromosome:OR_W1943:2:25247994:25248994:1 gene:ORUFI02G28470 transcript:ORUFI02G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKYSILELLLVSAEGLKHAHHLGLYSSPYLVSETLMLMTSDFAHWHGMLFAGTQRHYVNIQFGDQIFTSKITQGKGKKVWWNEKFRFPLSSDECKELAKVTLKIMERDKFSEDSLVGETKVHVGDIISEGIEREFLQMKPAPYNVVLEDGRYKGELKLGLKFLPNVSLESLEQCTVPPRRQTSVPYRPFLNITLPDIPWRRLFFFCTRSNAKGSRKTKNS >ORUFI02G28480.1 pep chromosome:OR_W1943:2:25249537:25255317:1 gene:ORUFI02G28480 transcript:ORUFI02G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKCGAPKVRKPTINYHRLPLDGHQFDLDAFLTKDRNANNQSKPSTQSGSKSIDRRLTTPQLVSALTGIWNLVGQPESSGTAQISESHEILHKDEPVCFSKEQKEHALMSCYAENSTGLSSQNFLSTPKSIFEDLSLVKKMLMLTSCSSMAGGSSTWRHVHVGSAYYLKYQNIYPMQTRMMHTYAVSGSTEFKKDQSFRRDDNHSSQTRNMPTELCTSSSEEAHIYESSLHGTKSNLEIIPEYCSSSSCSSQQMVTCEETRIMPADQISSNTCTLTENSVCISCPVGDAVVINSEHTDQNVDGLMSQEHSVDKYSPQLESSVQHRFYGAVNLNRHAVAGALAGTVVSVSLHPIDTVKTIIQVNSSRRSSFYHTLRRALVERGVLGLYGGLASKIACSAPISAIYTLTYEIVKGSLLPILPKEYHSIAHCTAGGCSSIATSFVFTPSECIKQQMQVGSQYQNCWDALLGCLRKGGITSLYAGWGAVLCRNIPHSVIKFYTYESLKQFMLKSAPANANLDSGKTLFCGGFAGSTAALCTTPFDVVKTRVQLQALSPISKYDGVLHALKEIFQHEGLQGLYRGLAPRLAMYISQGAIFFTSYEFLKTIMFSEQELHARNF >ORUFI02G28480.2 pep chromosome:OR_W1943:2:25249537:25255317:1 gene:ORUFI02G28480 transcript:ORUFI02G28480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKCGAPKVRKPTINYHRLPLDGHQFDLDAFLTKDRNANNQSKPSTQSGSKSIDRRLTTPQLVSALTGIWNLVGQPESSGTAQISESHEILHKDEPVCFSKEQKEHALMSCYAENSTGLSSQNFLSTPKSIFEDLSLVKKMLMLTSCSSMAGGSSTWRHVHVGSAYYLKYQNIYPMQTRMMHTYAVSGSTEFKKDQSFRRDDNHSSQTRNMPTELCTSSSEEAHIYESSLHGTKSNLEIIPEYCSSSSCSSQQMVTCEETRIMPADQISSNTCTLTENSVCISCPVGDAVVINSEHTDQNVDGLMSQEHSVDKYSPQLESSVQHRFYGAVNLNRHAVAGALAGTVVSVSLHPIDTVKTIIQVNSSRRSSFYHTLRRALVERGVLGLYGGLASKIACSAPISAIYTLTYEIVKGSLLPILPKEYHSIAHCTAGGCSSIATSFVFTPSECIKQQMQVGCLRKGGITSLYAGWGAVLCRNIPHSVIKFYTYESLKQFMLKSAPANANLDSGKTLFCGGFAGSTAALCTTPFDVVKTRVQLQALSPISKYDGVLHALKEIFQHEGLQGLYRGLAPRLAMYISQGAIFFTSYEFLKTIMFSEQELHARNF >ORUFI02G28490.1 pep chromosome:OR_W1943:2:25254549:25254857:-1 gene:ORUFI02G28490 transcript:ORUFI02G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGAAAATRASCSRRAAASCWGRFGLGLAALWRRLRWIGLPRRRLRTYVLSASGLNYDPLGYSQNFDNGGLGDGECEANFSVRFARHAGATRPHAPSVAS >ORUFI02G28500.1 pep chromosome:OR_W1943:2:25257747:25260122:1 gene:ORUFI02G28500 transcript:ORUFI02G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGAVLCIRVALVVVLLPSLLATVAVAHNDTGEHKNYLIIVRKPYEYDHNVYKTVSSWHASLLASVCDTAKEELATDPGAETRLIYSYRNVVNGFCARVTREEVYEMAKKDWFVKAIPEKTYKLMTTYTPKMVGLTGAPAAYHGGLWNRSNMGEGMIIGVLDDGIAAGHPSFDAAGMGPPPARWKGRCDFNSSVCNNKLIGARSFFESAKWKWRGVDDPVLPVYELAHGTHTSSTAGGNFVPGANVMGNGFGTAAGMAPRAHLALYQVCSEDRGCDRDDILAAMDDAVDEGVDVLSISLGDDEAGDFAGDPVALGAYTAIMRGVFVSSSAGNNGPNPLTVSNEAPWLLTVAASTTGRKFVATVKLGTGVEFDGEALYQPPNFPSTQWPLIADTGGDGTCSDEHLMKEHVAGKLVVCNQGGNLTGLRKGSYLHDAGAAGMVLIGPEFMGSMVQPKSHILPVAQIVYLSGEELKAYMKSTKSPTAALIYRGTVFGDRKTPEVAPFSSRGPSRQNQGILKPDITGPGVNIIAGVPVTSGLATPPNPLAAKFDIMSGTSMAAPHLSGIAALIKKAHPKWSPAAIKSAMMTTADTLDRRRRPITDQKGNNANMFGLGAGFINPTKAMNPGLVYDLTAQDYVPFLCGLGYSDHEVSSIIHPAPSVSCKQLPAVEQKDLNYPSITVFLDREPYVVSVSRAVTNVGPRGKAVYAAKVDMPATVLVTVTPDTLRFKKVNQVRKFTVTFRGANGGPMKGGVAEGQLRWVSPDHVVRSPIVVSAQKFLNGNTSSSDHAGH >ORUFI02G28510.1 pep chromosome:OR_W1943:2:25262437:25273771:1 gene:ORUFI02G28510 transcript:ORUFI02G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGLGVHRRWDTSGSGSQYSFRTSVSSVAEISTEVGVEVSPPPPPLAAAADKVFVAVAADVKYGKSALQWALQNLAKDGAKVVIAHVHCPAQMIPMMGAKVHYTKMNTKQVNDYRNKEREKAEEKLDEYLLICRKLKVSCEKLIIEEDDIAKGLTDLVALHGVTKLVMGAAADKHYSRKMNTPKSKTALKILEAADPSCKIWFTCKGHLICTREANTTVPAIPPSPAHTVASTLSSSSISSRMRSMSLHPSESEASSSSGSPRHNLNRSRTEVAKYPSQGSGTAPSQLFGHSDQDVNDQPTRTAMGSIDSWDEFERSQNSCYDSSRNSDTVRVSGSAMQQPMYEPDDDHFVSPRKLENSGDDADIYGRLQEALSETQDLKRETYEESTKQLENLYQKEVMHRKITEEALEKQIQETEETERHCNALYDKLHDVEEQKLMVEQHITEMEAVLKEREDRLHDVEEQKFTVEQRITEMQAVLKEHKDKLHDVEEQKLMVEHRITEIRSVLKEREEKLAESKYLLQVLQADKEKLQQERDAAVSESQDLRLKNKQRISMPGEDLNTEFSSYELEQATRGFDQELKIGEGGFGSVYKGTLRNTTVAIKLLHPHSMQGQPEFDQEIAVLSRVRHPNLVTLIGSCREAFGLVYEFLPKGSLEDRLACLNNTPPLTWQVRTRIIYEMCSALSFLHSNKPHPIVHGDLKPANILLDANFVSKLGDFGICRLLIQTNTGAAAAATTRLYRTTTPKGTFAYMDPEFLTTGELTPRSDVYSLGIIILRLLTGKPPQKIAEVVEDAIESGGLHSILDPSAGSWPFVQANQLAHLGLRCAEMSRRRRPDLATDVWKVVEPLMKAASLTAGRPSFVARPDDSPPPSYFVCPIFQEEMNDPHIAADGFTYEAEAIKGWLDSGHDTSPMTNLTLEHRELIPNRALRSAILEWQQQRQRHQPQEEDDDFTLQLHGLVLLISQRQEAAMDSDGEEYDAMWSARCSVSSSAGSLQDAGDQWDEQSLAAGEDKVFVAVDEDVEHGKSTFLWALQNLSTDGANIVLAHVHSPAQTLSKVHCTRMKPEEISEYLMLAKEEAEKNLDEYALIAKSTGKDMKTDCQKVLIDMDDVAKGLEELITLHGITRLVMGAAADQHYSEEMKEPNSKIALKLMETASPSCKIWFTCNGHLICTREPNENLLAIYVPPAQSNTHFKPNEFDRAEERSTKLRRIYFKIIGSIGNETGYGSLRTDDAISIPEATTLAAIVDDTNKQRSVMHSPQESDSVNFSSPACDPQQEEEEPNLDEDMHDILNEACTRAELLKKEVDGESSKRRKAEMDLLIALQRVQESEKSYLQEVNQRKETERTLARQRLEIDEMKRRHNTLYDELQDTKKQKLLLEQHISEIKSAAKDYVQEITEYFIQESCEEAKKFQKIKMDLLAMLQRVKDVENLNRNEKMQRKDMEEKIARQRMEIEETKRQRDELYHELKDVKEQKLCLERLDSSKETKRRRKAESEMLSALKKVHDLEHQYLNELKRREAVEETFARQKEEIQETKRELNKIRSRHMTEIKAHEEKLAESIRFIQKIQAKYDKTLHERDTAIAESEKLRQMNRDGASMIATTQIADFSFFELRQATQDFDTALKIGTGRFMNVYKGFIRNTAITVMLLHPQGLQGQLEFHQEVVVLSRLRHPNVMMLIGACPEAFGMVYEFLPNGSLEDQLSCKKNTPPLTWKMRTRIIGEICSALTFIHSQKPHPVVHGNLNPMNILLDANFVSKLHVCQLLRKYNTGNNTSGTSSYIDPEFLSTGELAPRCDVYSFGIIILHLLTGKSPQNITTIVEDAMEKRQLHSIMDTSAGSWPFVQANQLAHLGLRCANLSGRHRPDLTGEVWGVIKPLLKDASQNFGCKQAFEALSDDTQAPSYFICPILQEVMTDPHIAADGYTYEANAIRNWLDGGNARSPMTNLSLENRELTPNRVLRSAILEWRQHRR >ORUFI02G28510.2 pep chromosome:OR_W1943:2:25262437:25273771:1 gene:ORUFI02G28510 transcript:ORUFI02G28510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGLGVHRRWDTSGSGSQYSFRTSVSSVAEISTEVGVEVSPPPPPLAAAADKVFVAVAADVKYGKSALQWALQNLAKDGAKVVIAHVHCPAQMIPMMGAKVHYTKMNTKQVNDYRNKEREKAEEKLDEYLLICRKLKVSCEKLIIEEDDIAKGLTDLVALHGVTKLVMGAAADKHYSRKMNTPKSKTALKILEAADPSCKIWFTCKGHLICTREANTTVPAIPPSPAHTVASTLSSSSISSRMRSMSLHPSESEASSSSGSPRHNLNRSRTEVAKYPSQGSGTAPSQLFGHSDQDVNDQPTRTAMGSIDSWDEFERSQNSCYDSSRNSDTVRVSGSAMQQPMYEPDDDHFVSPRKLENSGDDADIYGRLQEALSETQDLKRETYEESTKQLENLYQKEVMHRKITEEALEKQIQETEETERHCNALYDKLHDVEEQKLMVEQHITEMEAVLKEREDRLHDVEEQKFTVEQRITEMQAVLKEHKDKLHDVEEQKLMVEHRITEIRSVLKEREEKLAESKYLLQVLQADKEKLQQERDAAVSESQDLRLKNKQRISMPGEDLNTEFSSYELEQATRGFDQELKIGEGGFGSVYKGTLRNTTVAIKLLHPHSMQGQPEFDQEIAVLSRVRHPNLVTLIGSCREAFGLVYEFLPKGSLEDRLACLNNTPPLTWQVRTRIIYEMCSALSFLHSNKPHPIVHGDLKPANILLDANFVSKLGDFGICRLLIQTNTGAAAAATTRLYRTTTPKGTFAYMDPEFLTTGELTPRSDVYSLGIIILRLLTGKPPQKIAEVVEDAIESGGLHSILDPSAGSWPFVQANQLAHLGLRCAEMSRRRRPDLATDVWKVVEPLMKAASLTAGRPSFVARPDDSPPPSYFVCPIFQEEMNDPHIAADGFTYEAEAIKGWLDSGHDTSPMTNLTLEHRELIPNRALRSAILEWQQQRQRHQPQEEDDDFTLQLHGLVLLISQRQEAAMDSDGEEYDAMWSARCSVSSSAGSLQDAGDQWDEQSLAAGEDKVFVAVDEDVEHGKSTFLWALQNLSTDGANIVLAHVHSPAQTLSKVHCTRMKPEEISEYLMLAKEEAEKNLDEYALIAKSTGKDMKTDCQKVLIDMDDVAKGLEELITLHGITRLVMGAAADQHYSEYGPHLPNGNERTKFQDSPQADGDCIPIMQDMVYLQWPSDMHKFNRSCPKRQIFMTRDWGRTGYGSLRTDDAISIPEATTLAAIVDDTNKQRSVMHSPQESDSVNFSSPACDPQQEEEEPNLDEDMHDILNEACTRAELLKKEVDGESSKRRKAEMDLLIALQRVQESEKSYLQEVNQRKETERTLARQRLEIDEMKRRHNTLYDELQDTKKQKLLLEQHISEIKSAAKDYVQEITEYFIQESCEEAKKFQKIKMDLLAMLQRVKDVENLNRNEKMQRKDMEEKIARQRMEIEETKRQRDELYHELKDVKEQKLCLERLDSSKETKRRRKAESEMLSALKKVHDLEHQYLNELKRREAVEETFARQKEEIQETKRELNKIRSRHMTEIKAHEEKLAESIRFIQKIQAKYDKTLHERDTAIAESEKLRQMNRDGASMIATTQIADFSFFELRQATQDFDTALKIGTGRFMNVYKGFIRNTAITVMLLHPQGLQGQLEFHQEVVVLSRLRHPNVMMLIGACPEAFGMVYEFLPNGSLEDQLSCKKNTPPLTWKMRTRIIGEICSALTFIHSQKPHPVVHGNLNPMNILLDANFVSKLHVCQLLRKYNTGNNTSGTSSYIDPEFLSTGELAPRCDVYSFGIIILHLLTGKSPQNITTIVEDAMEKRQLHSIMDTSAGSWPFVQANQLAHLGLRCANLSGRHRPDLTGEVWGVIKPLLKDASQNFGCKQAFEALSDDTQAPSYFICPILQEVMTDPHIAADGYTYEANAIRNWLDGGNARSPMTNLSLENRELTPNRVLRSAILEWRQHRR >ORUFI02G28520.1 pep chromosome:OR_W1943:2:25267961:25273763:-1 gene:ORUFI02G28520 transcript:ORUFI02G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEILEAHFCTGTRHVQMAIAGYNIIRAYSSRFFSASSFANIRAVDMSKYNIGPVRGQVLQRPKECALAVLDVLVDGHEHLVLAGGQALLVPLVAGVLQRAGRRADAAPSAPHRVVLFAVAIHCCFLTLRNEKHKTMQLQNQKPKSTL >ORUFI02G28520.2 pep chromosome:OR_W1943:2:25268105:25273763:-1 gene:ORUFI02G28520 transcript:ORUFI02G28520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEILEAHFCTGTRHVQMAIAGYNIIRAYSSRFFSASSFANIRAVDMSKYNIGPVRGQVLQRPKECALAVLDVLVDGHEHLVLAGGQALLVPLVAGVLQRAGRRADAAPSAPHRVVLFAVAIHCCFLTLRNEKHKTMQLQSNCPAVS >ORUFI02G28520.3 pep chromosome:OR_W1943:2:25267961:25274065:-1 gene:ORUFI02G28520 transcript:ORUFI02G28520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYNIGPVRGQVLQRPKECALAVLDVLVDGHEHLVLAGGQALLVPLVAGVLQRAGRRADAAPSAPHRVVLFAVAIHCCFLTLRNEKHKTMQLQNQKPKSTL >ORUFI02G28520.4 pep chromosome:OR_W1943:2:25268105:25274065:-1 gene:ORUFI02G28520 transcript:ORUFI02G28520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYNIGPVRGQVLQRPKECALAVLDVLVDGHEHLVLAGGQALLVPLVAGVLQRAGRRADAAPSAPHRVVLFAVAIHCCFLTLRNEKHKTMQLQSNCPAVS >ORUFI02G28520.5 pep chromosome:OR_W1943:2:25267912:25274065:-1 gene:ORUFI02G28520 transcript:ORUFI02G28520.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNKFLESFCDIVHVLLGLFLRQHQTRSQSRRYDFTQRWDEMPFALALA >ORUFI02G28520.6 pep chromosome:OR_W1943:2:25268797:25274065:-1 gene:ORUFI02G28520 transcript:ORUFI02G28520.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNKFLESFCDIVHVN >ORUFI02G28530.1 pep chromosome:OR_W1943:2:25277457:25279723:1 gene:ORUFI02G28530 transcript:ORUFI02G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSPCTKAATYTLANHARSQDTESPKAHANPNLFPNSKPMILHLPLFACYMWFGFAKLLDCFSSEILENDLFFGGKIEVVQSSGPKLTCMIVDIQSMRSGSWKNVSCKISSTSELMVLSLLFILGTLFFNSEVVQF >ORUFI02G28540.1 pep chromosome:OR_W1943:2:25283176:25286588:1 gene:ORUFI02G28540 transcript:ORUFI02G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYSERQPIGTAAQGAGDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSKSSSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIVMQCLGAICGAGVVKGFQQGLYMGNGGGANVVASGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHAWNDHWIFWVGPFVGAALAAIYHQVIIRAIPFKSRS >ORUFI02G28550.1 pep chromosome:OR_W1943:2:25288950:25299084:-1 gene:ORUFI02G28550 transcript:ORUFI02G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWWGKSSSKEVKKTAKENLIDTFHRLLSPNEQKGRTKSRGNRRHSKDPTAEKGCWSTAQSRSASPSKEVSRCQSFAAARAHAQPLPLPRSRAMVARTASDITESKVVLEKRGKGQQLPLPTTNWVKERPETTEPVAELSTASISSHGSIDSDDPGDLRLQGPVANDTDNVAKVATTGNSSVVHKECSSAITRKGTKEVTMPTNAFLSNQILSTSPRGTVVADSYQSNLQNSRKVVLGSAPNSVMSSPSRSPRILCPDQIPSSAFWAVKPHTDVTFVGSAQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRGSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRAGGMAPESPTRRLDEGKRKQTHRLPLPPLSICNNSTFLPNNSTPTSPISHSPGRVENPTSPGSRWKKGKLVGRGTFGHVYIGFNSDKGEMCAMKEVTLFSDDPKSKESAKQLCQEILLLNRLQHPNIVRYYGSEMVDDKLYIYLEYVSGGSIHKLLQEYGQFGEPAIRSYTKQILLGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHINGQQCAFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAVFKIGNSKELPPIPDHLSEEGRDFIRQCLQRNPSSRPTAVDLLQHSFIRNASPLEKSLSDPLLQLSTTSCKPDLKVVGHARNMSSLGLEGQSIYQRRAAKFSSVHSDIHVRSYISCPVSPCGSPHLRSRSPQHQNGIMSPSPISSPRTTSGASTPLTGGNGAIPFNHARHLAYNNEGFTITSRCLDEPLPNQPPDPVLGRFVRVKQPSLGFQERAVPEADILSPQFGRMGHVSVWNLHDKPLPSEHASQKGFEDRVKLKPPLDLRSGPPHLGCNHGH >ORUFI02G28560.1 pep chromosome:OR_W1943:2:25302134:25303732:-1 gene:ORUFI02G28560 transcript:ORUFI02G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGTWAVVVAVAAAYMAWFWRMSRGLSGPRVWPVVGSLPGLVQHAENMHEWIAANLRRAGGTYQTCIFAVPGVARRGGLVTVTCDPRNLEHVLKSRFDNYPKGPFWHAVFRDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHHRLLPILDDAAAGKAHVDLQDLLLRLTFDNICGLAFGKDPETLAKGLPENAFASAFDRATEATLNRFIFPEYLWRCKKWLGLGMETTLASSVAHVDQYLAAVIKARKLELAGNGKCDTVAMHDDLLSRFMRKGSYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPAVERKVVHELCAVLAASRGAHDPALWLAAPFTFEELDSLVYLKAALSETLRLYPSVPEDSKHVVADDYLPDGTFVPAGSSVTYSIYSAGRMKTVWGEDCLEFRPERWLSADGSKFEPHDSYKFVAFNAGPRICLGKDLAYLQMKNIAGSVLLRHRLAVAQGHRVEQKMSLTLFMKNGLRMEVRPRDLAPVADELRGADVRATAPCA >ORUFI02G28570.1 pep chromosome:OR_W1943:2:25312228:25312821:1 gene:ORUFI02G28570 transcript:ORUFI02G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGSTTRRPRPTTSKCIAAALLAIVVLLVIIVILWLTVRPAKPLLVYVDHAAVTGFNFTSGGSLNGTFDITLRAYNQNKRAAVWYKSVEVGVWYGGTYLAGAVAPGFDLPPLNVKRIDVAALAVRAALPRGVEATVKKDRSDGKLPVDVHIKGKVRFRYGLVRTRRYKLRASCSPVVVDLSSPSSFDRVNCHVHI >ORUFI02G28580.1 pep chromosome:OR_W1943:2:25316316:25317932:1 gene:ORUFI02G28580 transcript:ORUFI02G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSMAMSRALAARHRSHLGHRIEVKSHHASPPRLPLLPRSPGLTLASRPRMLPARPRMSSSESDLSPTPPSERTMTAWDLASLWVGLVVGVPSYYLAGSLVDLGMSALQGVATVAFANLVVLVSLVLTAAPAVTHGLPFPVLARAAFGVRGAHLPAVIRALVGCGWFGIESWIGGRAVFLLLPSRLKSYQPLLAPVPGLGAAPLEFACFLAFWAAQLGVIMHGMEGIRKLEKYSAPVLIVLTSALLAWAYVSAGGFGRILSLPPRLTRAEFWKVFFPSLTANISFWATVAINIPDFARYARSQADQVLGQAGLPVFMGMFTFAGLAVTSATEAIFGHVISDPIELLGRIGGPVTTVLAIVGISLATITTNIAANVVAPANALVSMSPRKFTFAKGALVTALLGIAFQPWRLLSSSESFVYTWLLGYSALMGPIGGIILADHYIVRRTALDVDALYSEDSHGPYYFQGGFNVAAMAAMAAGVAPIVPGFLHNVGVLPSVSKAFETAYNNAWFVSFFVAGAVYCLLCRRNRNELKHQHD >ORUFI02G28590.1 pep chromosome:OR_W1943:2:25318515:25321552:-1 gene:ORUFI02G28590 transcript:ORUFI02G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQGVKKTRDANKKRMDLILRLILAANVIYIVVRMAVMHSSFTWKHWIGLAVTSAAYFLPYKQLANMSEPEYSENGELINAGYDLNAGGMSEYLQDVIYITLFVQLMSIFSDKFWWTYLVIPAYGGYKISGLLRGAFFGGSSEGEEEDEKTRKKREKMEKKASRGKIIKTRTR >ORUFI02G28600.1 pep chromosome:OR_W1943:2:25321909:25322373:1 gene:ORUFI02G28600 transcript:ORUFI02G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQELWALYVGVASLAIGMLGVLGVWLCYLFQAVARGPPPDPPPPPTPPCTPETEEDDKNGLSEEELRMLGGICVAVAGDGEEEEEEQLCPICLDGMEAGRAVRVLPGCSRAFHQDCVDRWLTISPRCPVCNAWVTTQSPGTSPPPTKPALNS >ORUFI02G28610.1 pep chromosome:OR_W1943:2:25323725:25326518:-1 gene:ORUFI02G28610 transcript:ORUFI02G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMETEPPKSPNLVIGLLPPCVATQPPVPWSPLLQHPFRLLRPPPTPSRRSPSPRVAAPASCCFRLPPHILASDSLRASQSPRHISLDSRLLASSSAACVDLLYIARAAVPSPCCFRLRAFILRARVLKLYRQALRMTRRAPVHARDELRQTVRAEIEKNRRCDDKQKIKFLISEGLQRLKGLDEMLDMTGNS >ORUFI02G28610.2 pep chromosome:OR_W1943:2:25323725:25326518:-1 gene:ORUFI02G28610 transcript:ORUFI02G28610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMETEPPKSPNLVIGLLPPCVATQPPVPWSPLLQHPFRLLRPPPTPSRRSPSPRVAAPASCCFRLPPHILASDSLRASQSPRHISLDSRLLASSSAACVDLLYIARAAVPSPCCFRLRSRSLDELRQTVRAEIEKNRRCDDKQKIKFLISEGLQRLKGLDEMLDMTGNS >ORUFI02G28610.3 pep chromosome:OR_W1943:2:25324406:25326518:-1 gene:ORUFI02G28610 transcript:ORUFI02G28610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMETEPPKSPNLVIGLLPPCVATQPPVPWSPLLQHPFRLLRPPPTPSRRSPSPRVAAPASCCFRLPPHILASDSLRASQSPRHISLDSRLLASSSAACVDLLYIARAAVPSPCCFRLRVGLAALP >ORUFI02G28610.4 pep chromosome:OR_W1943:2:25323725:25324068:-1 gene:ORUFI02G28610 transcript:ORUFI02G28610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFMDLRAFILRARVLKLYRQALRMTRRAPVHARDELRQTVRAEIEKNRRCDDKQKIKFLISEGLQRLKGLDEMLDMTGNS >ORUFI02G28620.1 pep chromosome:OR_W1943:2:25331243:25331671:-1 gene:ORUFI02G28620 transcript:ORUFI02G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCFFPREAEAGGRHHHHQSKAAAEALEQLHHGGRVLSREDVGGAVRVKIVVSKRELKHMVAALGSGTGGGGAVAAAAAVTAAGERHRQRAAGGAGGPGAEQRLQSLRRRSMRRAAEAARRMQANGEWEPGLQSIPEEVY >ORUFI02G28630.1 pep chromosome:OR_W1943:2:25337896:25338288:-1 gene:ORUFI02G28630 transcript:ORUFI02G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAATPVPRSDGRKLARCPRLQMDAKTVTAIEQSTGAAIADAAAAGAEGAGGGMRVKIVLSKQQLKQVAAAVAGGGAFALPPALEQLVSVLKRQHAKKQVAAAADVVVGRRRCRWSPALQSIPEECFS >ORUFI02G28640.1 pep chromosome:OR_W1943:2:25340446:25342672:1 gene:ORUFI02G28640 transcript:ORUFI02G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQNKSMDWYLDRLLEKFEEIGKRERESMQWRLDIMKEITTSLKASTPDFRAASSPPSQAPPSPTPTTCSTKCPNNVNPQVMESSSRLDEETAPMIFLELGDVKDKVHDPYIVTEDFLEVTPTKCSMKCSSPNAEPYLTMVAVATCATTATTSMELVAAKDTTGVTYIDTPNYSKVTHAKCSTVSLDVDDGTSQAVVVFSIMKSVSKVVPISIEPMDIFLQRLMTDLKQYTSMPVRCLLKCPNDDKEPLMEHPKRNPWPPPTHNYALGNGQALQLTLFVLNCLGIILQWMPPWLPLIGLIQEHVCEQEQIMCKHWDPGKDKVHQHKILLDDWLPQYYFHLRFWDLGDDNATGHLIGLDCLLKSDSFQLGHNWQTSDHRIRFGQHAVYFQVHLLALYCATAHPNTILKSLVMSSISVEQSRVSCGKEMESLAELYSHCYSYARDNRKENYFLQLTKYLLASYNAHDDRGRSGAIGDARKFCTWEFYAKKNPYKLHTVVICTDHWRLHLCEGFDIPLVDEAACLRTADRTN >ORUFI02G28650.1 pep chromosome:OR_W1943:2:25343690:25349005:1 gene:ORUFI02G28650 transcript:ORUFI02G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLAVLGHLFVAAFMFHFASYMVIPAITDVTMDAVCPGRDECSVAIYLSGFQSAITGMGALVVTPIVGNLSDKYGRKALMTLPVTVAILPLFILACNRSKVYFYVYYVVKVLAGIFCEGSMHCLLLAYVADQVGARRRAAAFGLLSGVSAAGFVSGTLTARFLQTSTTFQVAAAVAAATAIYLRAVVPDSGGANSFVDEACDPFLQGSSCSAATSSSSSSDEEISPRLPPHKGGVPSLSDMVSLLTGSLTLSGAAIVTFFYSLGEHGLQTALLYYLKAQFGYSKDEFANLLLIAGAAGMLSQLTVMPVLARFVGEDILLIIGLLGGCTHVFLYGIAWSYWVPYLSAVFIILSAFVHPSIRTNVSKSVGSNEQGIAQGCISGISSFASILAPLIFTPLTAWVLSETAPFKFKGFSIMCAGFCTLIAFIISMRMRAGQSGASEMLAIVQHEQA >ORUFI02G28660.1 pep chromosome:OR_W1943:2:25348017:25352553:-1 gene:ORUFI02G28660 transcript:ORUFI02G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAKTDSDVTSLAPSSPPRSPRRSAYYVLSPAASHPDVVVASGGAGGGGGGVAAAEKMSFAGSTPAESPLHYHYHHSGAAVHHSRESSTGRLLFSDQLRSGAAAGVPWRRLAQGSGAGSVGDDDDDEGGLAGAASQWRCYALGAFAFVAVFAFFLLVLWGASKSYKPHVVVKSVVFETYHIQGGTDRTGVPTKMMSVNATVRLRFRNRGTFFSLHVTSTPFHLFYDDLTVATGHAGLGFSVLHTEPLSVYTHTDGELMAEFYQPRRSGRVVTVSVVGKQVPLYGAGAELHSKPNNGRLGPAVVPVRMAFVLRARAHILGLLVRSKFYRRVLCRLDVREASLGKPVHGVAADCEYHDGR >ORUFI02G28670.1 pep chromosome:OR_W1943:2:25354039:25354498:-1 gene:ORUFI02G28670 transcript:ORUFI02G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEGFWKGGSKARRKRQLAAVKAELPPDALGALHVEVLDNIVDRLHIYEVVRTSVLSCAWWGCWESLPFVDLTWSPDVAASDVDVILLRRSATDGRYAPFLSRQPQRSSAGSTHSGKPRASRTGRMS >ORUFI02G28680.1 pep chromosome:OR_W1943:2:25355584:25356500:1 gene:ORUFI02G28680 transcript:ORUFI02G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGVCARFAPVWGPNVSSYVRLRLGHPHRISRYRCPSHLTLAPPHGCSSKEEGERFCNRRRTGGDILAAPRPQESSPPPLQGPPPQWPAVQLQGPVPYASRFAAAVAGAAAARPAPSSAPSAAVARG >ORUFI02G28690.1 pep chromosome:OR_W1943:2:25355661:25357291:-1 gene:ORUFI02G28690 transcript:ORUFI02G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKIKSNKSLLATAADGAEDGAGLAAAAPATAAANLEAYGTGPCSCTAGHCGGGPCSGGGDDSCGRGAARMSPPVRRRLQKRSPSSLDEHPCGGASVRCDGQRYREMRWG >ORUFI02G28700.1 pep chromosome:OR_W1943:2:25375052:25375270:-1 gene:ORUFI02G28700 transcript:ORUFI02G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLTPGLVWAVNVFPRRHHLASTGCSLSGSGNTVFAQASSKSGDCGGRLNCTVPGTPPDGRLWSRAIRAMA >ORUFI02G28710.1 pep chromosome:OR_W1943:2:25377160:25379375:1 gene:ORUFI02G28710 transcript:ORUFI02G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPASPPHPKRRKMSSSSDPDQEPTSPSAAGADGAQPRAPRYKRRKVAILLGYCGAGYQGMQKNPGARTIEGDLEEALYRAGAVPEADRAAPRRYDWARAARTDKGVSAAAQVVSGRFYVDPPVFTDRLNAQLAPQIRAFGYVRVTNSFNAKKFCDRRRYVYLLPVFALDPSAHPDREAVMASVGSGSELTKCLECSERGRKVPGVMGREGKVPDPALQEEKSVEASREEAAVGSNEDANCDPASTGDRSVDLPIPDNETNTQITEMRSNGAEKCDVQLSGGTLCSEAVPASNSETVCPILNSDSNTNSDSVDVDPSFVAEKKGSIETVVTEDGKKQAMDVVTEDEKKQDVDYEGSSLEEMPLRSAFSYTHEVKERFNRILKKYVGTHNFHNFTTRTKAEDPAAKRFIISFAADRVVNLDGIDFIRCEVVGQSFMLHQIRKMIGLAVAVMRNCAPESIYDVAFRKDVNLNVPTAPEVGLYLDECMFTSYNKKWKDSHEAVSMEPYSEEAEEFKLKYIFPHIAAMEHKEGAVALWLHSLNSRNYPDFRYMDNAGTEASIAAAVESVDEGTAVSWQVMTPEKCFCNVCLLKLS >ORUFI02G28720.1 pep chromosome:OR_W1943:2:25380074:25380866:-1 gene:ORUFI02G28720 transcript:ORUFI02G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAELLLERSRAITLNGRDKRGRALVRIVGKYFPGKLSSASLVRSPQFRCFCRRKSDPVSFVAAARALGGRAEAALRGYVRRRVLPEIGEREFVVVYVHSLVDRGDNFPGVAAIRAAYEALPAAAKERLRAVYFVHPGFQARLFFATLGRFLFSSGLYEKLRYMSRLEYLWEHVSKGEMEVPECARRHDEELERRPLMDYGIEATDRRCMFDAASMDTSASLHSLRCIS >ORUFI02G28730.1 pep chromosome:OR_W1943:2:25398717:25400109:-1 gene:ORUFI02G28730 transcript:ORUFI02G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHSFSYVLVALCLLGVAAEATQLAPAVFVFGDSTVDVGNNNYLNITKQARANYPKHGVDFTGSTPTGRFSNGYNLADQLAQQLGFPMSPPAYLSLTAKTIVSQMYKGINFASGGSGLGDKTGQGAGDVIPMFQQVQYFSKVVAMMQKLSGSRTTNTLLSKSIFLISTGSNDMFEYSLSGGNGDDREFLLGFAAAYRSYVRALYRLGARKFSVVSITPLGCTPSQRARRLSEDGTRGCYGPINTLSLRSYPTLAASLRDLADELPGMAYSLSDSFAMVSFIFANPRTNAWSFTELESGCCGSGPFGALGCDETAPLCNNRDDHLFWDANHPTQAASAIAAQTLFTGNRTFVSPVNVRELALL >ORUFI02G28740.1 pep chromosome:OR_W1943:2:25400802:25404794:-1 gene:ORUFI02G28740 transcript:ORUFI02G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQMLPPIALVAVAICITAAAAAKVPAIYVFGDSTADVGNNNYLTGAAVPRANFPHNGIDFPTSRPTGRFSNGYNGVDFLALNMGFRRSPPPFLAVANKTSNPLFRGLQGTNFASAGSGILDSTGQSIIPMSKQVQQFAAVQRNISARISQQAADTVLSRSLFLISTGGNDIFAFFSANSTPSSAEMQRFVTNLVSLYTNHVKDLYVLGARKFAVIDVPPIGCCPYPRSLQPLGACIDVLNELARGLNKGVKDAMHGLSVSFSGFKYSIGSSHAVVQSIMKHPQRLGFKEVTTACCGSGKFNGESGCTPNATLCDNRHDYLFWDLLHPTHATSKIAAAAIYNGSVRFAAPINFRQLVDDQH >ORUFI02G28750.1 pep chromosome:OR_W1943:2:25407937:25408903:-1 gene:ORUFI02G28750 transcript:ORUFI02G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERNTESHQGGEAAEQVEVKDRGLFDNLLGRKKDDQPEEKKHEEELVTGMEKVSVEEPKKEEHHAEGEKKESLLSKLHRSSSSSSSSSDEEEEVIDDNGEVVKRKKKKGLKEKIKEKLPGHKDHAGEHAPPPAATGFPAPAPPASVVTAAPTPAPAPVVTHGDHHHDTAVPVEKIEGDHAKTEATLPHAPEEEKKGFLDKIKEKLPGGHKKPEDATAVPPPAASPAAPATTPAPAHPPPATEEVSSPDGKEKKGILGKIMEKLPGYHKGSGEEDKTAAAATGEHKSSA >ORUFI02G28760.1 pep chromosome:OR_W1943:2:25425154:25428787:1 gene:ORUFI02G28760 transcript:ORUFI02G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGFRRTISFPAPKAATAAKGEAYRVRSASLPCRFHPLVVQLDEDVATMRELVGRLASAASAGSVAGAAEQLGRVLVSLSELLHHPQAQEPLRRLGRSPFAERLLDDFLRLADAHGSFRAALVALSALQAEARAALRREDPARLASAARALRRSGRDLPRIASSARAVAAKPPPPPPAGLPADGTALAAAIADATAAVASASAAVFSGVSSLSIAAATARVEVAATPCWMPSPARFTTPSATPRHHIITTKPSSLRIWWVADLMRWMSRAKRRSASKQHADSDASSSSTSSAATARPQPNVAVDPDERERKAAFERLDNLGRCIADVESIGEKVFRALGMAFYEIKPRVQKGALRVHSSCGRSRVSTLCVCEPEVPGSPDVAMYGIEFDRLQSRSASTRFRAERGPRPCGFEISRPSGGPSPGVPVALILGGRKLPSCHAVVALEYDHASVQKERWLAGCPTGCVPPVPLAADYAACIFYLSVLAKAIFHICMDSGGMRSYFELGAWVVNSVI >ORUFI02G28770.1 pep chromosome:OR_W1943:2:25430071:25434082:-1 gene:ORUFI02G28770 transcript:ORUFI02G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWAFLLGPILSVSYSSHENPNDAAFPFSSLPGNPGRRRPATSPPSRRLGAIEEGGGGDTGGGKGDIGEEFASLLLPSYPTEDAKKGYADFEERVKRTIYIDHLSPQVTSSVIEAAISQCARVVNVDFIVNYTIPYDIPSAALVELDDEIQAKAAIDLMNNFPFIIGGKPRPVRAIYAKHEMFQDRPPRPGIKKEFQWVKQEDGIEYEGMNKLRLLARRQETENMALIKNLLEEDKDLAKQQQELLDGIYKKYCILDSDVMNDIKNLSRRYGVNLAVESSEMS >ORUFI02G28770.2 pep chromosome:OR_W1943:2:25430451:25434082:-1 gene:ORUFI02G28770 transcript:ORUFI02G28770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWAFLLGPILSVSYSSHENPNDAAFPFSSLPGNPGRRRPATSPPSRRLGAIEEGGGGDTGGGKGDIGEEFASLLLPSYPTEDAKKGYADFEERVKRTIYIDHLSPQVTSSVIEAAISQCARVVNVDFIVNYTIPYDIPSAALVELDDEIQAKAAIDLMNNFPFIIGGKPRPVRAIYAKHEMFQDRPPRPGIKKEFQWVKQEDGIEYEGMNKLRLLARRQETENMALIKNLLEEDKDLAKQQQELLDGIYKKYCILDSDVMNDIKNLSRRYGVNLAGD >ORUFI02G28770.3 pep chromosome:OR_W1943:2:25430451:25434082:-1 gene:ORUFI02G28770 transcript:ORUFI02G28770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWAFLLGPILSVSYSSHENPNDAAFPFSSLPGNPGRRRPATSPPSRRLGAIEEGGGGDTGGGKGDIGEEFASLLLPSYPTEGVKLYSHIFGLPMESDEDAKKGYADFEERVKRTIYIDHLSPQVTSSVIEAAISQCARVVNVDFIVNYTIPYDIPSAALVELDDEIQAKAAIDLMNNFPFIIGGKPRPVRAIYAKHEMFQDRPPRPGIKKEFQWVKQEDGIEYEGMNKLRLLARRQETENMALIKNLLEEDKDLAKQQQELLDGIYKKYCILDSDVMNDIKNLSRRYGVNLAGD >ORUFI02G28780.1 pep chromosome:OR_W1943:2:25445637:25449983:1 gene:ORUFI02G28780 transcript:ORUFI02G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLEQQEAVALCRGRADLLAAAVRHRYALAEAHAALADSLASMSASLHLVLAPAVAAGTAVALPSARKDVDAAAEAAASPPHSSSHINFAPSSGSESGSVSSSPSRRVAAGHEQLYQPSALPFPHYAYGYGYAPEPPFGYPPGSLQLYYARSRPPPPSVAVEQRAPASERVYFGSFEPAQYHPYGGETRRADRAAAPPPSPPRASSWDFFNVFDNYEVYDNYCYDAPGTGATTPAPYTPSRSSREVREEEGIPELEEDDAVVKEVSSEYSAHGSGGARSRRSSIGGVSSSIAEVDEEENPVVDKGVVGGGVARQQTPAHGNVAASVPTPRRAADGADVAGEIKAQFVRAADAVRALAPILEVGRRSYHPRSSVYHVSSRMVSVIALPHSGYGGSDLLDVGGGEKVVGARSLSLTLQKLYIWEKKLYDEVKAEEKMRLLLAKNSKRLKFLDQKGAEAPKIDATRNLVRKLSTKIRIAVRVIAKVSKKINRVRDEELWPQVNTLIQGFVKMWQDKLNCYHIQCQAISEAKNLDSIISGGTSRDLAMELELELIKWIVNFSSWVNEQRSFIKALNGWLALCLNYQQEETADGVPPYSPGRVGAPLVFVICNSWSQAMDRISEKEVITSMQALVSSVRSLWEKQNVEQTEQLIAIREREKWNKILERKTLEINKEADTLNRKLALVPGRQSLLPTAQTYQVHFLEANSVQVSLKRVLEALESYSSNSLRALDETLRHAEEERLSRERAKGVPHGYFSWSTSQEL >ORUFI02G28790.1 pep chromosome:OR_W1943:2:25449955:25454796:-1 gene:ORUFI02G28790 transcript:ORUFI02G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNPALFLPLMAEYAAPTWAILISGFFMLLSVSLSMYLIFQHLSAYNNPEEQKFVLGVILMVPCYAVESYVSLVNPDTSVYCGILRDAYEAFAMYCFGRYITACLGGEERTIAFLKREGGRDSGEPLLHGASEKGIIHHHFPVIIKTLTASLSLILQPFGAYCDGEFNLRCGYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIGIMIAIMYSLGLKSVGTELGVKVKHSRFHHLYRVLGDYAATDPVDPDEIKDISRPTKLRLPQLEPDEIIVTNVKESVRDFVIGSGEYVIKDLKFTMKQAVRPVGKRFEKLMKKGGKFGQSRDDNWVSTSTPQRAIHGIDDPLICGSSSDSGIGRGKRHRRDVSSAGVVDSWEGSDQTSDGYELRNTSWVADSLVRSEYCKCRIPQIVPCFKGPSVIEFLYRSARYCTRTQVHNSLCNFVKVADTNWMYAKLLGSTP >ORUFI02G28790.2 pep chromosome:OR_W1943:2:25449955:25454796:-1 gene:ORUFI02G28790 transcript:ORUFI02G28790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNPALFLPLMAEYAAPTWAILISGFFMLLSVSLSMYLIFQHLSAYNNPEEQKFVLGVILMVPCYAVESYVSLVNPDTSVYCGILRDAYEAFAMYCFGRYITACLGGEERTIAFLKREGGRDSGEPLLHGASEKGIIHHHFPVNYILKPWRMGVRFYQIIKFGIFQYVIIKTLTASLSLILQPFGAYCDGEFNLRCGYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIGIMIAIMYSLGLKSVGTELGVKVKHSRFHHLYRVLGDYAATDPVDPDEIKDISRPTKLRLPQLEPDEIIVTNVKESVRDFVIGSGEYVIKDLKFTMKQAVRPVGKRFEKLMKKGGKFGQSRDDNWVSTSTPQRAIHGIDDPLICGSSSDSGIGRGKRHRRDVSSAGVVDSWEGSDQTSDGYELRNTSWVADSLVRSEYCKCRIPQIVPCFKGPSVIEFLYRSARYCTRTQVHNSLCNFVKVADTNWMYAKLLGSTP >ORUFI02G28790.3 pep chromosome:OR_W1943:2:25449955:25454796:-1 gene:ORUFI02G28790 transcript:ORUFI02G28790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNPALFLPLMAEYAAPTWAILISGFFMLLSVSLSMYLIFQHLSAYNNPEEQKFVLGVILMVPCYAVESYVSLVNPDTSVYCGILRDAYEAFAMYCFGRYITACLGGEERTIAFLKREGGRDSGEPLLHGASEKGIIHHHFPVNYILKPWRMGVRFYQIIKFGIFQYVIIKTLTASLSLILQPFGAYCDGEFNLRCGYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIGIMIAIMYSLGLVRSPLAQSLELKSSIQDFIICIELEPDEIIVTNVKESVRDFVIGSGEYVIKDLKFTMKQAVRPVGKRFEKLMKKGGKFGQSRDDNWVSTSTPQRAIHGIDDPLICGSSSDSGIGRGKRHRRDVSSAGVVDSWEGSDQTSDGYELRNTSWVADSLVRSEYCKCRIPQIVPCFKGPSVIEFLYRSARYCTRTQVHNSLCNFVKVADTNWMYAKLLGSTP >ORUFI02G28790.4 pep chromosome:OR_W1943:2:25449955:25454796:-1 gene:ORUFI02G28790 transcript:ORUFI02G28790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNPALFLPLMAEYAAPTWAILISGFFMLLSVSLSMYLIFQHLSAYNNPEEQKFVLGVILMVPCYAVESYVSLVNPDTSVYCGILRDAYEAFAMYCFGRYITACLGGEERTIAFLKREGGRDSGEPLLHGASEKGIIHHHFPVNYILKPWRMGVRFYQIIKFGIFQYVIIKTLTASLSLILQPFGAYCDGEFNLRCGYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIGIMIAIMYSLGLVRSPLAQSLELKSSIQDFIICIEMGIASVVHLYVFPAKPYSLLGNHRSPENISVLGDYAATDPVDPDEIKDISRPTKLRLPQLEPDEIIVTNVKESVRDFVIGSGEYVIKDLKFTMKQAVRPVGKRFEKLMKKGGKFGQSRDDNWVSTSTPQRAIHGIDDPLICGSSSDSGIGRGKRHRRDVSSAGVVDSWEGSDQTSDGYELRNTSWVADSLVRSEYCKCRIPQIVPCFKGPSVIEFLYRSARYCTRTQVHNSLCNFVKVADTNWMYAKLLGSTP >ORUFI02G28800.1 pep chromosome:OR_W1943:2:25457346:25459824:-1 gene:ORUFI02G28800 transcript:ORUFI02G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSDDGEVEAVKVMARHALPQAAMARPVMVAIAQPNARVAMSPGRPPTGKLPSQATATSTGGGRSVAGGGGRTNAGGDASAEGRILEAPNLRIFTFAELRAATRNFKADTVLGEGGFGRVHKGWVDERTMSPARSGSGMAVAVKKLDPESLQGVQEWQSEVNFLGRLSHPNLVRLLGYCWEDKELLLVYEYMAQGSLENHLFRSEPRKGGSASPQQPLSWSLRLRIAIGAARGLAFLHSSEKHVIYRDFKASNILLDTQFHAKLSDFGLAKDGPAGGSSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLELLTGLRALDAGRPSGQHHLVDWAKPFLSDRRKLARLMDPRLEGQYSSRGAQRAAQLTLRCLAADHKNRPSMREVVAVLEEIESMSRGGSGGGAPGSASPRPAARGGGGGGAHGYGQSPRPGSDWAGPAAGHPSPRVR >ORUFI02G28810.1 pep chromosome:OR_W1943:2:25459926:25464069:-1 gene:ORUFI02G28810 transcript:ORUFI02G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHAPVSSRAGCHRREIAGAPPRLTPAVSEPPAAVPDRAGHAGCAEPRLCLARRDPLMTVDQSPSQEQPSSRPRLVLPHHVQVISASFFNRGRAEDMAKHVAGRT >ORUFI02G28810.2 pep chromosome:OR_W1943:2:25460335:25464069:-1 gene:ORUFI02G28810 transcript:ORUFI02G28810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHAPVSSRAGCHRREIAGAPPRLTPAVSEPPAAVPDRAGHAGCAEPRLCLARRDPLVSNSAKYPIL >ORUFI02G28820.1 pep chromosome:OR_W1943:2:25466831:25468967:1 gene:ORUFI02G28820 transcript:ORUFI02G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKADASKKGEGRLKAAGGAGKRKKAAASGKPKRPPSAFFVFMSEFRQEYQAAHPDNKSVAAVSKAAGEKWRAMSEQEKAPYVDKAGQKKQDYEKTKANFDKKESTSSKKAKTHDDGEGSDKSKSEVDDDQDGGSDEENEDDEE >ORUFI02G28830.1 pep chromosome:OR_W1943:2:25470636:25470995:1 gene:ORUFI02G28830 transcript:ORUFI02G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAATVAAASSALLLALLLALAWGAAAGAGEVPLGWELGVGVGGGSGDDDGFGFSGAAADGAAVVRRVLQGRGYISYGALRRDTTPCSVRGASYYNCRPGGQANPYSRGCSAITRCRG >ORUFI02G28840.1 pep chromosome:OR_W1943:2:25471286:25484477:1 gene:ORUFI02G28840 transcript:ORUFI02G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATDDNPMTLEVADYVTAIKLLKIKHLSEPGTEEEASGWPPASRPPEGRRQEQWRRGARVGEARVVRPCEGAALPLASGDIGVRSEERWRGETVGNRVGLCASRRNGRLLANERMDHLLYDSMILSPSLSGKLFSSADRIGTAHTPDTLPCGPMRRTDSNVPMDQVQDIFGGMARFPLFYKKGLLATAAIIRSSIPRRP >ORUFI02G28850.1 pep chromosome:OR_W1943:2:25484673:25485941:1 gene:ORUFI02G28850 transcript:ORUFI02G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNEASSSSSRLLDPAPLLPHHGGDGAGKLSSQPKTFANVFIAVVGAGVLGLPYTFSRTGWAAGSILLLSVAALTFYCMMLLVACRRRLADEHPKIASFGDLGDAVFRGPGRLAVDTMLVLSQASFCVGYLIFISNTMAHLYPVFAPSSNALLSPKALFIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGEDVSVWLAKPPPVFAFGGLSAILYGIGVSVYAFEGIGMVLPLEAEAANKKKFGTTLGLSMGFIAVMYGLFGAMGYIAFGDATRDIITTNLGTGWLSAAVQLGLCINLFFTMPVMMHPVYEVAERLLHGKRYCWWLRWLLVLAVGLSAMYVPNFTDFLALVGSSVCVLLGFVLPASFHLKVFGAEMSWSGVLSDVLLVLLGLSLAVFGTYTSLLQIFHSSSA >ORUFI02G28860.1 pep chromosome:OR_W1943:2:25488487:25493706:1 gene:ORUFI02G28860 transcript:ORUFI02G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPGKTGRRDAGGTAPAVEDRWTQLPPELLPLICKKLPDSADFVRFRTVCSAWRDAAPLSDVPPQLPWVVERRGSAFQARAHFRFYSPSSGRTYGVRGYGGRSWLVMGGACQEHLVTTVDLSTTALYNPLTGERLALPPAPYPQWRHGVVHVVADGRRRGGAPLVVNASTRTGHFGYCRQGDTKWTLVDGRQDMGHRAYHGGRFYVNTNAQETLVIDASTGAVESVLPPPPRSADAGAGVSCGDYLVESRGKLIRAVLFPRDGVVATSAEDYYLNVYQLQEDGKAAAAWAKVESVGDSVLFFDKHGHGFSLEPNGAAELKRDCVYFMHEKRTWLDAGEYRFLCRYNMETGEVDRVVSLPDTFGDTWVVPGLKATTVWAKVGSIEDRGHGFALEPNDASGPRWDCVYFMHEKRTWLDARKYRNRFLSQYINVYELEEHEEAATMWAKVENVRVRMLFFDKYMHGFSLEPNDTSELRCIK >ORUFI02G28870.1 pep chromosome:OR_W1943:2:25501538:25502173:1 gene:ORUFI02G28870 transcript:ORUFI02G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGEGLLFYASDPPAAAWAPIPAMADCNASSINYSGGEFFVFEEDVCRTTIVDALTLAIAAVIPAPAVELPSEARIAVAGDELFLLVKSKWMYLFGDDIDFSKVFRVDHRSVDSAWQELTGIGGRALFVDSLHGFAMETAGFENLEGNTIYSVTTKEVNDRRSTTVKYSVSVFSLEHRSSKKLACRLNKLEMALRGETPSWIIPSLNEG >ORUFI02G28880.1 pep chromosome:OR_W1943:2:25505285:25508170:-1 gene:ORUFI02G28880 transcript:ORUFI02G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHAGAVQLQYFMPQQGAAAAAAADHHQADSATACSASTSPAAAAATMWEYHHQLSTHAALQPSSSFPYSYWSPYSGSTALAGSAFAADSSSSSTDVMRLPAAGEHAHGHGWSHGELSNSTTGGGYRENFLDLLASKNVTPEMFEEVPASEHYNVAPAGTTLTTTARSFDHHARSDVSPIKYEIAGSPLYLGGTNTVLQVQDMTMMSSTPACYGEHHHHHHHQLTKEGSCNHQQQEQHELAISPMASFLQQISSGSASVGVHNSSLDYSGLGDQPDKICCQDGREMEASPFGMRSLPDLGSFAGYTPAIESTSVQPYMRCANSSDSNRQEQETVPARSSSSGSGAAATDRKKRKSEERQESTVKKSKQEASKASPPKQPVPKVKLGEKITALQQIVSPFGKLLSEPYTNSSRSNKQGNSVPWGDQAEASKGETMEHDLRNRGLCLVPVSWTPEVYRDGNAMDYWTPAYRGCLYR >ORUFI02G28890.1 pep chromosome:OR_W1943:2:25512623:25513062:-1 gene:ORUFI02G28890 transcript:ORUFI02G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIFTIWKTYYQQTKQHVHIISRIISSRLLSVRRVLQKHKAGTQRRHEMLEFPCLPLRKNTIRTE >ORUFI02G28900.1 pep chromosome:OR_W1943:2:25539980:25549981:1 gene:ORUFI02G28900 transcript:ORUFI02G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAVAALFGIRDGDHEDQIKPLFAQQQQHHHHQPPMAPSNAAAAASAAGSAAGQAAVAAPPAKKKRTLPDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPAAAGHLYGSAGAANMALSLSQVGSHLASTLQDHGHHHHHHGASPDLLRFGGSGGGAMAARLEHLLSSSSASAFRPLPPPQQQPPAPFLLGAAPQGFGDGGDGSGPHGFLQGKPFHGLMQLPDLQGNGTGGPSPSGPGLYNLGYIANSANSSGTSSHGHASQGQMTNTDQFSEGGGGGGGGGGSETSAAALFGAGGNFSGGDHHQVSPAGMYANDQAMMLPQMSATALLQKAAQMGSSTSSANGAGASVFGGGFAGSSAPSSIPHGRGTTMVDQGQMHLQSLMNSLAGGGNADHQGMFGSGSIIDPRLYDMDQHEVKFSLQRGGGGGGDGDVTRDFLGVGGGGFMRGMSMARGEHHGGGGSDMHGTLEAEMKSASSSFNGGRMQ >ORUFI02G28910.1 pep chromosome:OR_W1943:2:25554259:25561040:-1 gene:ORUFI02G28910 transcript:ORUFI02G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKKKTEPRNAGESSGTQQATGAPGRGPSQRPERAQQHGGGGWQPANPQYAQQAGRGGGQHQGRGGRYQGRGGPTSHQPGGGPVEYQAHEYYGRGVQRQGGMPQHRSGSGGHGVPASPSRTVPELHQASQDQYQATVVAPSPSRTGPSSLPVEASSEEVQHQFQELAIQGQSPTSQAIQPAPPSSKSVRFPMRPGKGTFGDRCIVKANHFFAELPDKDLHQYDVSITPEVPSRGVNRAVIGEIVTQYRQSHLGGRLPVYDGRKSLYTAGPLPFTSRTFDVILQDEEESLAVGQGAQRRERPFKVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTARYSPVARSFYSPNLGRRQQLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPIDNHGTVKTVVQYFQETYGFNIKHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILQTVHHNAYHQDPYAQEFGIRIDERLASVEARVLPPPWLKYHDSGREKDVLPRIGQWNMMNKKMVNGGRVNNWTCINFSRHVQDNAARSFCRELAIMCQISGMDFSIDPVVPLVTARPEHVERALKARYQEAMNILKPQGGELDLLIAILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWKDPQRGTVSGGMIRELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLFYELDAIRKKRHHTRLFANNHKDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASGAHTRGGGPPPGARSTKPAGNVADKLISATAVPFHLLDAHLDSQGLLAFCLGQNYCLVGSWYIESRFALSSSAHFCSNNVKVELVV >ORUFI02G28920.1 pep chromosome:OR_W1943:2:25561043:25565812:-1 gene:ORUFI02G28920 transcript:ORUFI02G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQLDNGYYSHQGSSAVECSIGGAGLIWTGSVDMLPFWRSLAHECTTDLALNRSPVTLVLTPL >ORUFI02G28930.1 pep chromosome:OR_W1943:2:25571575:25574279:1 gene:ORUFI02G28930 transcript:ORUFI02G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISGERKGAARQYNRSKVPRLRWTAELHRSFVRAIDCLGGQQKATPKLILQLMDVRGLTISHVKSHLQMYRGTRHGIGQNDMQPQLHLKQHSFGSDEQSPKEFMCPPIKRAKVGTEASGKYRCMEGSSDMRSSAPPAGTRYFIDDCMRLQEVSMDRRRSDQHDAAAAARARAPAAAASSLHQALGFWVQGRREEPFMVHQISKPKAHQLNHMVRNMKISCKENHESRFFMVRSATRDEQVKKRAPPLSLAVDQKAANAISSWPSEASCVISPSPRNFSTDCSGPPGCSFVGRRVNLELSLSICGS >ORUFI02G28940.1 pep chromosome:OR_W1943:2:25571832:25581121:-1 gene:ORUFI02G28940 transcript:ORUFI02G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEPEPKPEIETPPPAREPPTEPPAAAPAPAAAASDRPLQPWEQHAAVINLPRYDYRASGSLLLRSHSGFLITCPIKREKSATKEAISILENSIGHANSYSSEKSEPSDTEVSAKKRKICSETPDIENSGDAVTYEKGDASETTGSVEKDSVSPHSKTSKNVDDTSNLSLVKLSRSGLLFFSFPSGGLRVVQMLTQMFHSLHSGKLKSPQWCHRIFPIQETCVLSEAELHTTVSKLFLDFVKSKEDQDEPIKFAVGYNRRGIDETEMKGQKNGNEGSKQQTLMDRDQCFKVVAGAVKSVAENSIVDLRSPEVAVLVEMLPISGVSLGSSVAGVSVLPSELISTKPRLCVKALVPDAKAAKKKAREKKEKHMMQELSKSVANWLTLRPTKLHPGGPEQSVLKFLGDGEITQLASLGQEEIAFAAFWSTASDSGAGAGAPESFHDLVLSVRTAPSSSIMKLLRATARIATETRLEFVDLCWDLNNAIENMRPLEDRDRMNVRTRK >ORUFI02G28940.2 pep chromosome:OR_W1943:2:25576724:25581121:-1 gene:ORUFI02G28940 transcript:ORUFI02G28940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEPEPKPEIETPPPAREPPTEPPAAAPAPAAAASDRPLQPWEQHAAVINLPRYDYRASGSLLLRSHSGFLITCPIKREKSATKEAISILENSIGHANSYSSEKSEPSDTEVSAKKRKICSETPDIENSGDAVTYEKGDASETTGSVEKDSVSPHSKTSKNVDDTSNLSLVKLSRSGLLFFSFPSGGLRVVQMLTQMFHSLHSGKLKSPQWCHRIFPIQETCVLSEAELHTTVSKLFLDFVKSKEDQDEPIKFAVGYNRRGIDETEMKGQKNGNEGSKQQTLMDRDQCFKVVAGAVKSVAENSIVDLRSPEVAVLVEMLPISGVSLGSSVAGVSVLPSELISTKPRLCVKALVPDAKAAKKK >ORUFI02G28940.3 pep chromosome:OR_W1943:2:25571832:25575603:-1 gene:ORUFI02G28940 transcript:ORUFI02G28940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQELSKSVANWLTLRPTKLHPGGPEQSVLKFLGDGEITQLASLGQEEIAFAAFWSTASDSGAGAGAPESFHDLVLSVRTAPSSSIMKLLRATARIATETRLEFVDLCWDLNNAIENMRPLEDRDRMNVRTRK >ORUFI02G28950.1 pep chromosome:OR_W1943:2:25583156:25583755:-1 gene:ORUFI02G28950 transcript:ORUFI02G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAVDAQQEPTEGRRFYSPDRPEGLAFPTSYRALYDLPTSPECLFEEDKFRQTRTWGENLTFYTGVSYLAGATSGALVGLRRAAAEAERGESAKLRINRALNQSGSVGRAFGNRFGIVAMLFAGTESFVRDQRDGADDWVNTVAAGASAGALYRIASGPRSMIVAGILGGVLSGAAVAGKPMLQRFAPKLSARLDYLR >ORUFI02G28960.1 pep chromosome:OR_W1943:2:25584616:25590043:1 gene:ORUFI02G28960 transcript:ORUFI02G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQADAGGDDLAAMREQCRSLEEAIGFRRETQMGLVASLQRLVPDLVPSLDRSLRIIAAFNDRPFVPTPNPDGGHGKSPAALKPHHRRALPDPARSTRRKTSPGSSPASVAAAPGGLDAVRTMVAVCLLELVPFAEIDAAALARRLQAESSSASEAERTALADLAAELGGSAASAVVLALRRIAEDTGGVQIEEAMIGGKSMTMVWAIDRNKLLKELPESATLPLLQPPPAPQMPPSETDAGSAMIPRTPQQQQPQPDMWPHSMPPIFPRPRGMTMQGMQRVPGVPPGLMPLQRPFMGPAGVITMGGGVGPSPNQQKQKSEEDELKDLELLLNKKTYREKQNTKTGEELLDLIHRPTAKETAVAAKFKTKGGSQLKEYCTNLTKEDCRRQSGSFVACDKVHFRRIIAPHTDTNLGDCSFLDTCRHTKTCKYVHYELDQTPDIPPMMAGALAPPRQIRLQRAEYCSEVELGEAQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRTLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPLVNRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNAHAGWLSLGNQLNGVRLVDEGLRARYKAAYPDSEVQPPSPPRASAPIDGDQGTSQKPTVSDGERPA >ORUFI02G28970.1 pep chromosome:OR_W1943:2:25593310:25595781:1 gene:ORUFI02G28970 transcript:ORUFI02G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHRHGGGTLLSPRFSLLDLAALSPSLAVSPSSVALFPPLRPPVLSSLPFPSHLPTVARRTTHGRHAGRRTGGRGEGCGTAHRRDGWQGDRRGERATQVTGEVKHTERYFTKLRRIQSPPERGAADSKAAMEFCPGCGMLLQIQPATGGNRLRFYCPTCPYVCPIVKKARLVKKEVEPIFSDSDAMKNAPKTTTTCPRCQNGEAYYRQMQIRSADEPMSTFYKCCREECQFDWRED >ORUFI02G28980.1 pep chromosome:OR_W1943:2:25596465:25600375:-1 gene:ORUFI02G28980 transcript:ORUFI02G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGDLDKVDDAECVEVDPTRRYIRYNEVLGRGAMKTVYKAFDEVEGIEVAWSQVEIDEVMQSPDNLERLYSEVHLLKSLKHENVMKFYNYWVDDQKKTINVITELFTSGSLRQYRQKHPRVDLKAIKNWARQVLRGLDYLHTHQPPIIHRDLKCDNIFVNGNHGEVKIGDLGLATVMLTPRAKSVIGTPEFMAPELYDENYDELVDIYSFGMCMLEMFTLEYPYSECTNAAQIFKKVSKGVKPAALAKITNIQAKQFIEKCLVPASERLSAKELLQDPFLCSDNSSVLVGTKFPSSLPKSVDVSLEALHMDVDTNESMCTSTCKRNDLGGPHRSVLEFTRTNKNTELKLTGEKLDDNSVSLVLRIADLCGHARNIHFLFYLDSDTAMSVAAEMVEQLELADCDVTFIADFIDLLIVNLVPGQQLMNDAVMSTSSESKMGESEHVITSQQHPSELTHDYVLVEGMMHSKEANASPSDYIDSLLNATNLGGPNSSEGSDISVQLDGSSKSLSEYGVDEYRTLECGAYKGTDKLGCRHPLSNGSSNFAIFQMDQASHHSELVIGASVSITENRDVLNGELGLIEAQYEQWFRELTRMREEALEGARKKWLPDK >ORUFI02G28990.1 pep chromosome:OR_W1943:2:25609625:25612489:1 gene:ORUFI02G28990 transcript:ORUFI02G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTKAETRNAPKWQMTMPPAGGESSSSSSGRACAGTAGLLRGRAPSQGVWKIGTDDPRKATYGVKVGVALTLVSLFYYVRPLYDGVRGNAVWAIMTVVLVFEYTVGGSMHKGLKRFAGTMSAAALALGMHWVASKSGETLEPFVASGSFAATRRYFVSHACDDRPCRRRSSFPGLASSPCPRIGSAAPTSPLSFFVRRGTEPLPSLTSRLLNPWRGGLTEVSGAVTPLNRQAPLVAGAGSPRPLAATAATFSRFIPTVNARFDYGVSIFVMTYSFVAVSGYRVDDLAALVLDRIATIAIGVIICLAVCTLICPVWAGQELGLLTARNMEKLASAVEACVEDYFADPTAAAARSEGYKSVLGSKAPRDGRPPHGRFGFRHPYDQYAKVGAAMRQCACCVEALISCAGASSRQRAPPPRLLGDACTRVGAWCARVLKEASACVATMTTSRGLGFAVAEMDAAVRELQSDLRALPPILAEEASETSLAEVISTSPIEGVVHAVGTLATLAGFKPADDADGTDKPEAEVARSDESPPHRKIWQTRTLNRVNILASDKKGYKLLVGVKAEHFSRIPISKPWCRGAVLHQYVSTCSNVGLCFSGP >ORUFI02G29000.1 pep chromosome:OR_W1943:2:25615680:25617404:-1 gene:ORUFI02G29000 transcript:ORUFI02G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAREAQVQGSLEWRVTVPEGSSVTVEHEAGVAERAWAWVVRMLVAVRAAVAGFARKVWKIGADDPRRAVHSLKVGLALTLVSIVYYTRPVYDGVGGNAMWAVMTVVVVFEYTVGGCMYKGFNRAVATASAGLLALGVNWVADKSGDKLEPFILSGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTFSLVAVSGYRVDQLLDLAQQRMSTIGIGIVICLAVCVVIWPVWAGQELHLLTVRNMEKLAGAVEGCVEDYFAAKPAAAKSEGYKCVLNSKASEDSQANLARWEPPHGRFGFRHPYAQYTKVGAAMRHCAYCVEALNSCVRAEVQAPEHVKRLLGDVCTRLASQCARVLREASTSVAAMTSPKTLDFAVADMNTAVHELQGDLRALPPVLALEPAAEMSLMDAMPLFTVASLLIEISARIEGVVDAVETLASLASFKQVEDDDDKKGQTEMKVHPLNVPDDHDASTHESQTTTKHPEQV >ORUFI02G29010.1 pep chromosome:OR_W1943:2:25622507:25623594:1 gene:ORUFI02G29010 transcript:ORUFI02G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVASTLGQRITVADKDAASSVHARSLAVKYQCTGVSCCAFDFTQGAERVRVAVTITCTQVVAFSTEQSQSYGTHRTARSGRVPDEPAKYGEHARCRKTHLRIFSALDRDPRSSLCSESHHNQIVRTELTPRSQHNQQRVVSLFGIWFYTATATVSETRFSGFIDGWVPGTEAHSILSCSALL >ORUFI02G29020.1 pep chromosome:OR_W1943:2:25640564:25646805:1 gene:ORUFI02G29020 transcript:ORUFI02G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLGLGALCRGGGWCYAAIWRSDRRDPRLLTIGEFHSEDGTRNVVEKMLNQVHVVGEGIIGRALVSGECQWISDTSFSFAQTSDADNQDLFQGYTWWQHQFLCGIKTIAVIPIADLGVAQFGSMQKISECLEFLDQVKGIFCQREIVPWDLSAEEIQRNVLPYHQQFQLSSLSSADGLTNIKTDPENKKLLENSASVESLRSLASFSSKYSQSSSNGFTSYESCNSMNPHIVAMPVNSKSINTNDLMQEFNLTGFTSQADSAVHELPKQILGETATGALYSDRKSNNGSSDLLDGTIFDPFVQEWCDNNALLAGNTPHFGATTADSVTEHASSYPLSVEERSLFSESVFEELLGVSGNVNTDAPGDSAVVMAGDPLVGLVSGCQLPTYTLQDSLSVCKPQQEPSLDFPSGSDTSEHVPNGSSKMIPLSLGALSMDDCCSLNTAHSKVSQVKRPEEVKVVKKRARPGESTRPRPKDRQQIQDRVKELREIVPNSAKCSIDALLDRTIKHMLFLQSVTKYAEKIKQADEPKMISNKDSGAVLKENSSGVVLKDNSSAGSNNGGATWAYEVAGRTMVCPIIIEDLSPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSSDQISKVIRNGVPSFAEHQQSPISVPVGLADR >ORUFI02G29020.2 pep chromosome:OR_W1943:2:25640564:25646233:1 gene:ORUFI02G29020 transcript:ORUFI02G29020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLGLGALCRGGGWCYAAIWRSDRRDPRLLTIGEFHSEDGTRNVVEKMLNQVHVVGEGIIGRALVSGECQWISDTSFSFAQTSDADNQDLFQGYTWWQHQFLCGIKTIAVIPIADLGVAQFGSMQKISECLEFLDQVKGIFCQREIVPWDLSAEEIQRNVLPYHQQFQLSSLSSADGLTNIKTDPENKKLLENSASVESLRSLASFSSKYSQSSSNGFTSYESCNSMNPHIVAMPVNSKSINTVRAFNSTGKLLQHNIGSENPLQIKFCQHPDSNLASATDVFLSLNNLPRIENEISCPPNKLGYCIQSEKPYSFQSSFSSCFSVGDELKPILFDSATSFVQNDLMQEFNLTGFTSQADSAVHELPKQILGETATGALYSDRKSNNGSSDLLDGTIFDPFVQEWCDNNALLAGNTPHFGATTADSVTEHASSYPLSVEERSLFSESVFEELLGVSGNVNTDAPGDSAVVMAGDPLVGLVSGCQLPTYTLQDSLSVCKPQQEPSLDFPSGSDTSEHVPNGSSKMIPLSLGALSMDDCCSLNTAHSKVSQVKRPEEVKVVKKRARPGESTRPRPKDRQQIQDRVKELREIVPNSAKCSIDALLDRTIKHMLFLQSVTKYAEKIKQADEPKMISNKDSGAVLKENSSGVVLKDNSSAGSNNGGATWAYEVAGRTMVCPIIIEDLSPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSSDQISKVIRNGVPSFAEHQQSPISVPVGLADR >ORUFI02G29020.3 pep chromosome:OR_W1943:2:25640564:25646805:1 gene:ORUFI02G29020 transcript:ORUFI02G29020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLGLGALCRGGGWCYAAIWRSDRRDPRLLTIGEFHSEDGTRNVVEKMLNQVHVVGEGIIGRALVSGECQWISDTSFSFAQTSDADNQDLFQGYTWWQHQFLCGIKTIAVIPIADLGVAQFGSMQKISECLEFLDQVKGIFCQREIVPWDLSAEEIQRNVLPYHQQFQLSSLSSADGLTNIKTDPENKKLLENSASVESLRSLASFSSKYSQSSSNGFTSYESCNSMNPHIVAMPVNSKSINTVRAFNSTGKLLQHNIGSENPLQIKFCQHPDSNLASATDVFLSLNNLPRIENEISCPPNKLGYCIQSEKPYSFQSSFSSCFSVGDELKPILFDSATSFVQNDLMQEFNLTGFTSQADSAVHELPKQILGETATGALYSDRKSNNGSSDLLDGTIFDPFVQEWCDNNALLAGNTPHFGATTADSVTEHASSYPLSVEERSLFSESVFEELLGVSGNVNTDAPGDSAVVMAGDPLVGLVSGCQLPTYTLQDSLSVCKPQQEPSLDFPSGSDTSEHVPNGSSKMIPLSLGALSMDDCCSLNTAHSKVSQVKRPEEVKVVKKRARPGESTRPRPKDRQQIQDRVKELREIVPNSAKCSIDALLDRTIKHMLFLQSVTKYAEKIKQADEPKMISNKDSGAVLKENSSGVVLKDNSSAGSNNGGATWAYEVAGRTMVCPIIIEDLSPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSSDQISKVIRNGVPSFAEHQQSPISVPVGLADR >ORUFI02G29030.1 pep chromosome:OR_W1943:2:25646936:25651814:1 gene:ORUFI02G29030 transcript:ORUFI02G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAVPPPDLNRNTEWFMYPGVWTTYICILFFSWLLVLSVFGCTPGMAWTVVNLFHFAITYHFFHWKKGTPFADDQGMYNTLTWWEQMDNGKQLTRNRKFLVVVPVVLYLIASHTTDYQHPMLFLNTLAVAVLVVAKLPNMHKVRIFGINAGN >ORUFI02G29040.1 pep chromosome:OR_W1943:2:25659769:25661852:-1 gene:ORUFI02G29040 transcript:ORUFI02G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIKISHRGPGRGLGLVKPMEEMLMGANPNPNGSSNQPPPPPSSAASAQRPIAPPAAGAAAGAGAAGAGAGTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSVVPSAAASASTSAAVSGSVPVGLAAKNPKLMHEGAQDLNLAFPHHHGRALQPPEFTAFPSLESSSVCNPGGNLAAANGAGGRGSVGAFSAMELLRSTGCYVPLPQMAPLGMPAEYAAAGFHLGEFRMPPPPQQQQQQQAQTVLGFSLDTHGAGAGGGSGVFGACSAGLQESAAGRLLFPFEDLKPVVSAAAGDANSGGDHQYDHGKNQGGGGGVIGGHEAPGFWNSSMIGNGSSNGGGGGGSW >ORUFI02G29040.2 pep chromosome:OR_W1943:2:25659769:25661646:-1 gene:ORUFI02G29040 transcript:ORUFI02G29040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLFFVQIYARIGHILLALCGLGLVKPMEEMLMGANPNPNGSSNQPPPPPSSAASAQRPIAPPAAGAAAGAGAAGAGAGTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSVVPSAAASASTSAAVSGSVPVGLAAKNPKLMHEGAQDLNLAFPHHHGRALQPPEFTAFPSLESSSVCNPGGNLAAANGAGGRGSVGAFSAMELLRSTGCYVPLPQMAPLGMPAEYAAAGFHLGEFRMPPPPQQQQQQQAQTVLGFSLDTHGAGAGGGSGVFGACSAGLQESAAGRLLFPFEDLKPVVSAAAGDANSGGDHQYDHGKNQGGGGGVIGGHEAPGFWNSSMIGNGSSNGGGGGGSW >ORUFI02G29040.3 pep chromosome:OR_W1943:2:25659769:25661944:-1 gene:ORUFI02G29040 transcript:ORUFI02G29040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAHWHQGLGLVKPMEEMLMGANPNPNGSSNQPPPPPSSAASAQRPIAPPAAGAAAGAGAAGAGAGTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSVVPSAAASASTSAAVSGSVPVGLAAKNPKLMHEGAQDLNLAFPHHHGRALQPPEFTAFPSLESSSVCNPGGNLAAANGAGGRGSVGAFSAMELLRSTGCYVPLPQMAPLGMPAEYAAAGFHLGEFRMPPPPQQQQQQQAQTVLGFSLDTHGAGAGGGSGVFGACSAGLQESAAGRLLFPFEDLKPVVSAAAGDANSGGDHQYDHGKNQGGGGGVIGGHEAPGFWNSSMIGNGSSNGGGGGGSW >ORUFI02G29050.1 pep chromosome:OR_W1943:2:25698029:25698343:-1 gene:ORUFI02G29050 transcript:ORUFI02G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLAAALARGEGAWTEEQHAAFLDRMELSFVQQELAAVAVSDERRASRRLCRRPAPPPAPAAAGRCGQHQLSLPLDRPLPDSAVESNRAAPSSRPAARGRGTG >ORUFI02G29060.1 pep chromosome:OR_W1943:2:25700711:25701076:-1 gene:ORUFI02G29060 transcript:ORUFI02G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQADANDPNLARPYTELWMGTQLSAPSSLLAAANGLLRDWLARNPAVSACWGGDLPFLTMAMLSTSMPFTMVTLSVSVPFPTITLNLTQMPAGGAGVSIGAAGLLHALHRPPVIHPGAAA >ORUFI02G29070.1 pep chromosome:OR_W1943:2:25703125:25707159:-1 gene:ORUFI02G29070 transcript:ORUFI02G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSPSPRGDARLDSAPLLGGGGGGGGRRHGGALRRPSLRGAARFLRRSGRRAMREPSLLVREAAADQLEERQADWAYSRPVVALDLLWNLAFILVAAAVLALSREESPSMPLRLWIVGYAVQCVLHMACVAIEYRMRRGQSGESPMAADEETGTDGSSSSSDEDAGERAPRGRNGDYVRIAKHLESANTMFSFIWWIIGFYWVSAGGQVLTHDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRRMDEPEKQSVNMTGSSGGIMIECGTNQPIEKVLAAEDAECCICLSAYDDGAELRELPCGHHFHCVCIDKWLHINATCPLCKFNVRKNSSSSGSEEV >ORUFI02G29080.1 pep chromosome:OR_W1943:2:25711098:25717125:-1 gene:ORUFI02G29080 transcript:ORUFI02G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGLFDGGGGGGMQFPFASGFASSPALSLALDNAGGGIGGRMLGGGAGAGSSAGGAMTRDTEAENDSRSGSDHLDAISAAGEDDVEDAEPSNSRKRKKRYHRHTPQQIQELEALFKECPHPDEKQRAELSRRLSLDARQVKFWFQNRRTQMKTQLERHENALLKQENDKLRAENMTIREAMRSPMCGSCGSPAMLGEVSLEEQHLRIENARLKDELNRVCALATKFLGKPISLLSPPPLLQPHLSLPMPNSSLELAIGGIGGLGSLGTLPGCMNEFAGGVSSPMGTVITPARATGAAIPSLVGNIDRSVFLELAISAMDELVKMAQMDDPLWVPALPGSPSKEVLNFEEYLHSFLPCIGMKPAGYVSEASRESGLVIIDNSLALVETLMDERRWSDMFSCMIAKATVLEEVSTGIAGSRNGALLLMKAELQVLSPLVPIREVTFLRFCKQLAEGAWAVVDVSIDGLVRDHNSGTAPTGGNVKCRRVPSGCVMQDTPNGYCKVTWVEHTEYDEASVHQLYRPLLRSGLAFGARRWLATLQRQCECLAILMSSATVTANDSTAISQEGKRSMLKLARRMTENFCAGVSASSAREWSKLDGATGSIGEDVRVMARKSVSEPGEPPGVVLSAATSVWVPVAPEKLFNFLRDEQLRAEWDILSNGGPMQEMTQIAKGQRDGNSVSLLRASAVSANQSSMLILQETCTDASGSIVVYAPVDIPAMQLVMNGGDSTYVALLPSGFAILPDGPRIGATGYETGGSLLTVAFQILVNNQPTAKLTVESVETVNNLISCTIKKIKTALQCDA >ORUFI02G29090.1 pep chromosome:OR_W1943:2:25727267:25727968:1 gene:ORUFI02G29090 transcript:ORUFI02G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTEQRELRRPRSTADSPRRPHSALTPLRAHYASSPTARSDDAPRRCSPTARSDRAPTALPPRRGSSASPHQLRLTLAHRATARAPFQWVGLAKLGCSG >ORUFI02G29100.1 pep chromosome:OR_W1943:2:25731187:25735049:-1 gene:ORUFI02G29100 transcript:ORUFI02G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVCVAVWAVAMAMVVASVMWAYRWSHPRANGRLPPGSLGLPLLGETLQFFAPNTTCDISPFVKERLNRYGSIFKTSVVGRPVVVTADPEMNYYVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKSLVLRLYGQENLRAVLLDETDRACRTSLASWAAQPSVELKDSISAMIFDLTAKKLISYEPSKSSENLRKNFVAFIRGLISFPVDIPGTAYHECMKGRRNAMKVLKKMMRERMEEPGRQCEDFFDVLIEELGREKPVLTEGIALDLMFVLLFASFETTSLALTLGVRLLAENPTVLDALTEEHEAIVRGRKEGCDAAGLTWADYKSMTFTSQVTLEMVRLANIVPGIFRKALQDIEFKGYTIPAGWGVMVCPPAVHLNPEIYEDPLAFNPWRWQMQLTLVVLMLSGLSVGLIPKIYRVQQDKVEITGGSKHFMAFGGGLRFCVGTDLSKVLIATFIHHLVTKYRWKTVKGGNIVRTPGLSFPDGFHVQFFPKN >ORUFI02G29110.1 pep chromosome:OR_W1943:2:25752920:25756179:-1 gene:ORUFI02G29110 transcript:ORUFI02G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRPAATKLNISPAAARRPSSFLPIATVALLCSASYFLGAWQHGGFSSPSASPSSVSVATAVACTTTTTATTRSATRPRKRTPAGQGQALDFSAHHAAAADGAVLSSSGDSAATRRYQACPARYSEYTPCEDVKRSLRYPRERLVYRERHCPTGRERLRCLVPAPSGYRNPFPWPASRDVAWFANVPHKELTVEKAVQNWIRVDGDKFRFPGGGTMFPHGADAYIDDIGKLIPLHDGSVRTALDTGCGVASWGAYLLSRDILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARAFDMAHCSRCLIPWHLYGSVSHIARSPYTLMISSSEYTLIGHYRTCQCADGLYLIEVDRVLRPGGYWILSGPPINWKKYWKGWERTKEDLNAEQQAIEAVARSLCWKKIKEAGDIAVWQKPANHASCKASRKSPPFCSHKNPDAAWYDKMEACVTPLPEVSDASEVAGGALKKWPQRLTAVPPRISRGSIKGVTSKAFVQDTELWRKRIQHYKGVINQFEQKGRYRNVLDMNAGLGGFAAALASDPLWVMNMVPTVGNSSTLGVVYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYKNRCEMDIILLEMDRILRPEGTVIIRDDVDMLVKVKSAADGMRWDSQIVDHEDGPLVREKILLVVKTYWTAKEQDQ >ORUFI02G29120.1 pep chromosome:OR_W1943:2:25770036:25773707:1 gene:ORUFI02G29120 transcript:ORUFI02G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSILGADGEWGVTSLGDMPESCVAAVLLYLDPPEICQVARLNRAFRGAASADCVWAGKLPVNYRYLLAFAAAADDEGGDGGHGNGKRSSPSSKKDIFARLCRPTPFDFGNKEFWIDKNKGGICLSISSKAMVITGIDDRRYWSQLATEESRFHHIAYLQQIWWLEVDGELDFCFPAGSYSIFFRLHLGRPYRRMGRRICGTEQVHGWEAKPTRFQLSTSDEQHATSEYYLEQEGSWILYHVGDFVVLNSDELMKLKFSMLQIDCTHTKGGLCVDSVLIYPKGYRHEKANIVHM >ORUFI02G29120.2 pep chromosome:OR_W1943:2:25770036:25773347:1 gene:ORUFI02G29120 transcript:ORUFI02G29120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSILGADGEWGVTSLGDMPESCVAAVLLYLDPPEICQVARLNRAFRGAASADCVWAGKLPVNYRYLLAFAAAADDEGGDGGHGNGKRSSPSSKKDIFARLCRPTPFDFGNKEFWIDKNKGGICLSISSKAMVITGIDDRRYWSQLATEESRFHHIAYLQQIWWLEVDGELDFCFPAGSYSIFFRLHLGRPYRRMGRRICGTEQVHGWEAKPTRFQLSTSDEQHATSEYYLEQEGSWILYHVGDFVVLNSDELMKLKFSMLQIDCTHTKGGLCVDSVLIYPKGYRHEKANIVHM >ORUFI02G29130.1 pep chromosome:OR_W1943:2:25774165:25775889:1 gene:ORUFI02G29130 transcript:ORUFI02G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGRAHLSTLADNWLSSELPKSFGRLTELSIVTLYNNSLEGPLPKLLFKLKLEPDALTNNRFSDVIPVVLAWSRCMVRLQLSGKRLAGTIPAELGKQTELKILELNNFSGDIPLTDAVPPWLDDLWLLGDLDLSSNVLTGGIPVELSDCSGLLKLSISGFGIRSILPEIAKLASLNILNLQKNGFTGVIPSELQRCNRLYELRLLENSLEGPIPPELGWYWTSLGISVLSSARRPNDSGNSLERRLCNLQQCSLLLAGNSFQGLGLANTT >ORUFI02G29140.1 pep chromosome:OR_W1943:2:25774706:25777178:-1 gene:ORUFI02G29140 transcript:ORUFI02G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEEGEWDRGKEGVQWRSSLTSGGDVSEELWGDGWLGLEEKHMFIVKSTTREQRGNKGCEAYQDGQMSLNQSQVHDDDTLEDRVCMASLIPNTKNLDPSNLENHANCNSIPSLLQPVQMTKANIDHISLVLLTWHIAGPLLSVSSVLLLDKSIAKNSFQRAGGCTAGGCTTASLASCRSHSAASPSSTQRSRGRSSTTCSSGKRQLPQLWRDWPLQRVLQQPQLVQPVAALELRWYNAGEAILLQVQNVERCQLCDLRQDAPDPKAAYGQLEQARAIAKLHWNAAGEHVRGEVQVAEQPKVIEPRRDGVG >ORUFI02G29150.1 pep chromosome:OR_W1943:2:25780820:25788960:1 gene:ORUFI02G29150 transcript:ORUFI02G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEMEGMAAAIGVSVPVLRFLLCFAATIPTGLMWRAVPGAAGRHLYAGLTGAALSYLSFGATSNLLFVVPMAFGYLAMLLCRRLAGLVTFLGAFGFLIACHMYYMSGDAWKEGGIDATGALMVLTLKIISCAINYSDGMLKEEGLRDAQKKYRLAKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLEYTERKGLWASPTPSPLLPTLRALVQAGACMGLYLYLSPQFPLSRFSEPLYYEWGFWHRLFYQYMSGFTARWKYYFIWSLSEAAIIISGLGFSGWSDSSPPKAKWDRAKNVDVLGVELATSAVQLPLMWNIQVSTWLRYYVYERLVQKGKKPGFLQLLGTQTVSAVWHSYTDGNKQSAIQSSTLSWFL >ORUFI02G29160.1 pep chromosome:OR_W1943:2:25788931:25789923:-1 gene:ORUFI02G29160 transcript:ORUFI02G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERAPASVALHCALPACTVAAAAGGGKLFLQPGIWTPSVIGHDLINATLRRVTGVAFSLHSTVAHYAALSGRPGVTEQTTTAGIFRLPNPGTQTRRSHQPAPNLPPLRRGIVVGVLVWTRPPTGLHFRSTIYKTKMTSRGRRIQ >ORUFI02G29170.1 pep chromosome:OR_W1943:2:25803416:25805065:1 gene:ORUFI02G29170 transcript:ORUFI02G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRRDGRGAVDDLTASLLHKGDGGEAVFVVVVVPPVAEEEEPPPVLTCKPPGRFARAVKEAWSVPFPMMPSMSAGAAGAEARSILGLALPMILTGLLLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGHYDLLGVTMQRTVLLLVAASVPIAGLWVHMRPLLLLCGQDAAIAAVAETYILASLPDLLLQAFLHPVRIYLRTQSINLPLTVCAALAIALHLPINYVAVSVLGLGIKGVALASVLANLNLVLFLFGYIWFKGVHKRTGGFALSADCLRGWGELVSLALPSCISVCLEWWWYEIMILLCGLLANPQATVASMGILIQTTSLIYIFPSSLGFGVSTRVSNELGANRPERACRAATVGLMLGFAFGGVASAFACHVRGAWATMFTADPAIVALTASVLPILGACELGNCPQTTGCGVLRGSARPKDAASINLRSFYLVGTPVALILAFWYHYDFRGLWLGLLAAQATCVVRMLLVIGETDWTAEAKRAQQLTGAADIKDCGGKGDHVAVIEQPDEQC >ORUFI02G29180.1 pep chromosome:OR_W1943:2:25806465:25813753:-1 gene:ORUFI02G29180 transcript:ORUFI02G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRQASLRQPVASKGRFAFGQRRDNRVRRWWETAIGRMNRKQAPVNPAAKED >ORUFI02G29190.1 pep chromosome:OR_W1943:2:25814449:25819077:1 gene:ORUFI02G29190 transcript:ORUFI02G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVTTVIGFGMSATFIVFVCARLICGRAARADAAEGRVAAARAMAAGPAPFDFDVEFRATDLDRTIEHTRSGLEPFVVAAIPTMKYSYEAFQSKDDAQCSICLGEYNEKEILRIMPKCRHNFHLSCIDVWLQKQTTCPICRISLKDLPSGKPAESPVRSLPQLFSHPESSVSRSPHWILPIHRDRTGGRESSPASQETVEVVIEIQQEMH >ORUFI02G29200.1 pep chromosome:OR_W1943:2:25827720:25827992:1 gene:ORUFI02G29200 transcript:ORUFI02G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHHSFSLFPLLSLSPLSLSSLFSCKPAGGWGGDRRCDELAAAAATREQATAPGQILALAKAAAAGDVESRTARFEEMLHVPLEERDRV >ORUFI02G29210.1 pep chromosome:OR_W1943:2:25833452:25834237:1 gene:ORUFI02G29210 transcript:ORUFI02G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTEHPSPTAPPQVQTAGLPAAASPGPASPHSPSEQGDKTAPGAATASTMTTASSGEPSPRSSGKHAFYRGIRCRSGKWVSEIREPRKARRIWLGTYPTAEMAAAAYDVAARALRGADAVLNFPGATASRPVPASASPADIRAAAAAAAAAAAHLERPHGPTGTAYPATAAAEHHQQQQQQQYGSGSPAADDVSGYPPMEGGIGNDDFMDEEAIFELPQLLRNMAAGMMMSPPRLSPTTSDVSPEPSEAGESLWSYRDP >ORUFI02G29220.1 pep chromosome:OR_W1943:2:25859892:25860531:-1 gene:ORUFI02G29220 transcript:ORUFI02G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGVTMVVIGVLLGSSSAARSCRDMMASILHLLPRHIDAAGTTRSACRNLHGHLLTCRELRAGLADRPSSRPWSWFRFPLPLPLPPVAASAGLLAFLSDASGHKTLLLAHPITRLLAALPITPTPRLSTTVGLTAGPTSITAVVAGDDLVSPFAIKNISAVDACGHYAAAAAATEVEQAAAARGRKWRGCR >ORUFI02G29230.1 pep chromosome:OR_W1943:2:25864920:25865594:1 gene:ORUFI02G29230 transcript:ORUFI02G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSAALSSDYSSGTPSPVAADADDGSSAYMTVSSAPPKRRAGRTKFKETRHPVFKGVRRRNPGRWVCEVREPHGKQRIWLGTFETAEMAARAHDVAALALRGRAACLNFADSPRRLRVPPIGASHDDIRRAAAEAAEAFRPPPDESNAATEVAAAASGATNSNAEQFASHPYYEVMDDGLDLGMQGYLDMAQGMLIDPPPMAGDPAVGGGEDDNDGEVQLWSY >ORUFI02G29240.1 pep chromosome:OR_W1943:2:25871011:25872096:-1 gene:ORUFI02G29240 transcript:ORUFI02G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPGSISTTSSNLRAQQKPSIPKKPCAFGAPPRHLHRPCKKNAAVSVACTGQGEQRSSPAIAAPQEAQAGTFSVEFRTRDGCRLGISRYPDFAYNAQGGRGVGVVASSGEDSGTVLVEFDVSSLYIPAMSGATTKFLGLPLPPFLKIDILPEALRGNIDPTSGQVDLKFRSRFCFSVGSIYRAPPLFVDTTLTSEESSGAIRRGTGERMDGEGRCKLVGVAVVDPIDDVFMNTFLSLPTECIAYLNATISITEPS >ORUFI02G29250.1 pep chromosome:OR_W1943:2:25873370:25873603:-1 gene:ORUFI02G29250 transcript:ORUFI02G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSREGDSDGKESGSATAGPRSTNPSSSQPSSLSSQPSSSLPHALVAVAVAVAVGAVAGPREHYLKWISSLGCRQ >ORUFI02G29260.1 pep chromosome:OR_W1943:2:25874371:25880617:1 gene:ORUFI02G29260 transcript:ORUFI02G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIETDGRFGNKRVHHRLGPANGAASSSTSGKVCIHWRAGRCNRFPCPYLHSELPEATAKRPSQSGGGGNVWRNPHSGGGGGRGAGGAGGPNKWGRGPGGADGGPRHKVPDRPCRYFLAGDCSYGEKCRYPHSYSMSDSITMLTPLQGHEKVVTGIALPAGSDKLYSGSKDGTVRMWDCQTGQCAGVINMGREIGCMISEGPWLFVGIPDAVKVWNMQTQAEMNLTGPTGQVYALAVGNELLFAATQDGRILAWRFSAATNGFEPAASLVGHQLAVVSLVVGAMRLYSGSMDKTIRVWAATESGSLEVTYTHKEEHGALALSGMPDAQSKPVLLCSLNDNTVRLYDLPSFSDRGRIFSKQEIRAIQVGPSGLFFTGDGTGELKNTCEQQGTQHQADFEEYPFEAVIRISIINENRDPRQIIISARLC >ORUFI02G29260.2 pep chromosome:OR_W1943:2:25874371:25881207:1 gene:ORUFI02G29260 transcript:ORUFI02G29260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIETDGRFGNKRVHHRLGPANGAASSSTSGKVCIHWRAGRCNRFPCPYLHSELPEATAKRPSQSGGGGNVWRNPHSGGGGGRGAGGAGGPNKWGRGPGGADGGPRHKVPDRPCRYFLAGDCSYGEKCRYPHSYSMSDSITMLTPLQGHEKVVTGIALPAGSDKLYSGSKDGTVRMWDCQTGQCAGVINMGREIGCMISEGPWLFVGIPDAVKVWNMQTQAEMNLTGPTGQVYALAVGNELLFAATQDGRILAWRFSAATNGFEPAASLVGHQLAVVSLVVGAMRLYSGSMDKTIRVWAATESGSLEVTYTHKEEHGALALSGMPDAQSKPVLLCSLNDNTVRLYDLPSFSDRGRIFSKQEIRAIQVGPSGLFFTGDGTGELKVWQWVIDGSQTK >ORUFI02G29270.1 pep chromosome:OR_W1943:2:25880749:25882868:-1 gene:ORUFI02G29270 transcript:ORUFI02G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGKEWGAVVVMSPSPPRHGARHGGRVNAASFSGGIVAGVAPTPPRFLTPATGFQLRSPSGSRYCQEPVVTHDFLNTRCRRRPNYGEVEEMIMKAAKMGQMSSQIGVVLRHQHGIPLVKSITSSKILHILKAHGLAPKILEDLYFLIKKAVAIRKHLERNRKDKDSSFRLILVESRIHHLVRYYKRTKKLPPTLRSWIIFLEFSTVFSCSLYFYKA >ORUFI02G29280.1 pep chromosome:OR_W1943:2:25890551:25891634:1 gene:ORUFI02G29280 transcript:ORUFI02G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGVPRRRRRRARGAGRGWGVGRAVGEWGTARAPTIPGQMGRAKPGRPEHGSTTLRVVLHLEQNGVARNFILCHITRVLRFIGTCWIKFKTMRSLGNHIMDY >ORUFI02G29290.1 pep chromosome:OR_W1943:2:25893151:25895237:-1 gene:ORUFI02G29290 transcript:ORUFI02G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVLVYTGGENVYGATGAEYSIPPKITFPASEATTFEDVKNEIYGGLKYSETEYSLLIPLYETRSWQMIFDMTSARNSWRMVELYIEFTPTNSGFSQNHIVSEITRENLEPTMATVAENIVSASHQSPKHGISPKIHDVSNYAPIVGLSSDNIINEAQENSQDDGYVDEETEREGDMIEEDESDGEAEEDVNDNTYGQPVVKLIKLLPLVGRSDKHPLYGKPTKIGSSWKIQTCPYPHTCRAPADRLDHAQLTSAVIADQCKDDDVVKTFKWVVKKKKPRRFNEGMEAISKTCPDAIAYLG >ORUFI02G29300.1 pep chromosome:OR_W1943:2:25907174:25913166:1 gene:ORUFI02G29300 transcript:ORUFI02G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLMADQLEEWKEYYINYKMMKKKVKQYVQQTQNGGRNREQVLKEFSRMLDDQIEKIVLFLLQQQGHLASRIEKLGEERALLMEQADASQISELREAYREVGIDLMKLLRFVDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPCSQLQQIFKQVGIVAVVGALSRNLAFLQDHQGNFPSIYDHPSITLKDPIIEQINHSVQKLTHATNLLQFIGQHALIIPEDMHSGSEDLVDDQSYHFMSLLLNLANTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDVNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRLQASAGFVSASALGMACGPALAEPDHIVRENSVNTPSSDSGHRRNSNLEDGLAQPFLIDAKESLDENGEDNDENEEDPEDSHKPATSLTAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWSTSTVAMFLAVLGLTVLPVNVIVGSYVTNLFQDRQILVASEIMVLIGIAMSFRFTSHYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQNSLLNVTLLPSFVICVASIVATFCTYNSLY >ORUFI02G29300.2 pep chromosome:OR_W1943:2:25907174:25913166:1 gene:ORUFI02G29300 transcript:ORUFI02G29300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLMADQLEEWKEYYINYKMMKKKVKQYVQQTQNGGRNREQVLKEFSRMLDDQIEKIVLFLLQQQGHLASRIEKLGEERALLMEQADASQISELREAYREVGIDLMKLLRFVDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPCSQLQQIFKQVGIVAVVGALSRNLAFLQDHQGNFPSIYDHPSITLKDPIIEQINHSVQKLTHATNLLQFIGQHALIIPEDMHSGSEDLVDDQSYHFMSLLLNLANTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDVNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRLQASAGFVSASALGMACGPALAGLLQTNFKIYGFTFDQNTLPGWIMCLAWITYLFWLWISFKEPDHIVRENSVNTPSSDSGHRRNSNLEDGLAQPFLIDAKESLDENGEDNDENEEDPEDSHKPATSLTAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWSTSTVAMFLAVLGLTVLPVNVIVGSYVTNLFQDRQILVASEIMVLIGIAMSFRFTSHYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQNSLLNVTLLPSFVICVASIVATFCTYNSLY >ORUFI02G29300.3 pep chromosome:OR_W1943:2:25906920:25909219:1 gene:ORUFI02G29300 transcript:ORUFI02G29300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFWPRKRQNGTVPVEIPPSTSASARDTTDGQASTHAYLWLSRPQPRRGGPLLHAERSFRREEDEIRESPKNARFPQ >ORUFI02G29310.1 pep chromosome:OR_W1943:2:25913501:25915883:1 gene:ORUFI02G29310 transcript:ORUFI02G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVATTLFLFGQLLLCFSQQVRGVNYTFMREAVEAPVMAYYDYIIIGGGTAGCPLAATLSERYRVLLLERGGSPYDDARVLNMAHFADVLADTSGASPSQRFVSEDGVINARPRVLGGGSCINAGFFTRAGPGYVRALGWDPKEVVSAYQWVEDVVAFQPELGPWQAALRRGLLEIGVVPDNGFTYDHILGTKVGGSIFDAQGRRHTAADLLRYSRPDGIDVFLRARVARIVFSRKGTKPVARGVLYHDARGGSHMAYLNHGARNEIILSAGALGSPQLLMLSGVGPADHLEEFGISLVLDHPGVGQGMSDNPMNAIYVPSPSPVELSLIQVVGITRFGSYIEGASGSDWNSRTSGAAAAQVRSFGMFSPQTGQLATVPPKQRTPEAIARAVEAMSQVPDAALRGGFILEKVLGPQSTGRLALRNLDPDDNPTVSFNYFSHPDDLRRCAAGIATIERVIRSRAFSRFAYPNFAFPATINVTAEFPANLMRMRGGSDPRALEQFCRDTVMTIWHYHGGCQVGRVVDRDYRVLGIEALRVIDGSTFNASPGTNPQATVMMLGRYMGVKIQKERMIAEGSGIEP >ORUFI02G29320.1 pep chromosome:OR_W1943:2:25919344:25923441:1 gene:ORUFI02G29320 transcript:ORUFI02G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATNGSSSSTSESAETAQPQAYKFLIYGRTGWIGGLLGQLCAARGIPFAYGAGRLENRAQLETDIDEVAPTHVFNAAGVTGRPNVDWCETHRTETIRANVCGTLTLADVCRARGLVLINYATGCIFEYDAGHQLGTGIGFKEEDTPNFVGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLSNPRNFITKIARYDKVVDIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPNFSWKNFTLEEQAKVIVAPRSNNELDCTKLKAEFPELLSIKDSLVRYVFKPNQKTSKA >ORUFI02G29330.1 pep chromosome:OR_W1943:2:25925469:25925957:-1 gene:ORUFI02G29330 transcript:ORUFI02G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTARLIAVGGAVFGVALLQGLPHGPAVQLVSLPARAGLHAARRRRRRVLFGKDGDRVTENVASEGILSPAGDSYDHRSLIICPWRMLGAGAWRPLNLLQQRLLRGPGSRYPISIALQHANRSYSHIDQYINNLQPGGSISQDVSPPELSFAALCSVGRR >ORUFI02G29340.1 pep chromosome:OR_W1943:2:25930268:25931223:1 gene:ORUFI02G29340 transcript:ORUFI02G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKEADSPQPPSKLPRLSGADPNAGVVTMAAPPPPVGLGLGLGLGGDSRGERDVEASAAAAHKATALTFMQQQELEHQVLIYRYFAAGAPVPVHLVLPIWKSVASSSFGPHRFPSLMGLGNLCFDYRSSMEPDPGRCRRTDGKKWRCSRDVVPGHKYCERHVHRGRGRSRKPVEASAAATPANNGGGGGIVFSPTSVLLAHGAARAT >ORUFI02G29350.1 pep chromosome:OR_W1943:2:25932746:25939875:1 gene:ORUFI02G29350 transcript:ORUFI02G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVASKRIWKEQNARAFDQKEKAVESLVADIKEDVMVWRAAAPLTCQSPGPLMVGLCGTFMSGQTADSM >ORUFI02G29360.1 pep chromosome:OR_W1943:2:25939792:25942256:-1 gene:ORUFI02G29360 transcript:ORUFI02G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPRPPPIPTSAAPAPCPRQIAAVVLNHPSSALTPASTHSLSASLLAVAPSLPTPVADSVLKLLWHHAPRALLFFHSLLHLPPRAHAVGPSTLDLALDLSARLRRPRQLTNSILGLFPRHRLAFTPRTFPILFERLAVSQRRPDLAVRLFLSLHRSHRVAQDLPLFNSLLDALSKSRHAGKAASLVRALEQRFTPDVVTYNTLADGWCRVKDTSRALDVLRLMVESGIAPTKTTYNIILKGFFRAGQLRHAWDFFLQMKKRGSKDESCKPDVVSYTTMVHGLGVAGQLEKARKVFDEMAKEGCAPSVATYNALIQVICKKGNVEDAVTVFDGMLVKGYVPNVVTYTVLIRGLCHAGKIDRGLKLLERMKNGGCEPIVQTYNVLIRYLFEEGEMEKGLDLFEKMSKGEECLPNQDTYNIIISAMFMRKRAEDMVLAARMVEEMVDRGYLPRRFMFNRVLNGLMLTGNQELSRKLLRMQEKYRLFERAPTEIFSLSLLVQLQFGMIGSKAVYQMIYGDWKVLATVSRKSQSTATAPCLAISLKVGVFGVRVLQGHRAPVVIDLAKSILIFPGADHVDALLKKTDRASEHLYICTGRGTIKEIQQSAKFATANDQTG >ORUFI02G29380.1 pep chromosome:OR_W1943:2:25951302:25954882:1 gene:ORUFI02G29380 transcript:ORUFI02G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQIRFGHQIPFSRPDSDEEEEEDDEDEEVEEEEEEEEEEEYEGEEEEMEGEVPVSSPLMLPAARGGGGGGVSVVETPDVPRPAPSASVSVFGVSPTSMQCSYDNRGNSVPTILLTMQKKLYQLGGLQAEGIFRINADNSQELHVREQLNMGVVPDGVDMHCLTGLIKAWFRELPSGVLDSLTPEQVMHCNTEEECALLASTLPPVEAALLDWAINLMADVVEHENYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTVKGREETAMPSSAFPSSSGSPSDKDEPQALEHLDKPTICSTQQNNDFPMISGATLDHFLFRAEPLRHNDAQGSAGRPKKRDNKDHDNSSREFSPIDSDSSSQASNSASKFSNDNVEGLFDRFKFRKGVGRLCRHPVFQLSRSMKKSGEAGQACYGQNLVDFNSIRPEDSRADV >ORUFI02G29380.2 pep chromosome:OR_W1943:2:25951228:25954882:1 gene:ORUFI02G29380 transcript:ORUFI02G29380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQIRFGHQIPFSRPDSDEEEEEDDEDEEVEEEEEEEEEEEYEGEEEEMEGEVPVSSPLMLPAARGGGGGGVSVVETVAAALRRSLLLCSSVRAAEDEGAAAAAAAAAGMQIGRPTDVRHVSHVTFDRFVGFLGLPADLEPDVPRPAPSASVSVFGVSPTSMQCSYDNRGNSVPTILLTMQKKLYQLGGLQAEGIFRINADNSQELHVREQLNMGVVPDGVDMHCLTGLIKAWFRELPSGVLDSLTPEQVMHCNTEEECALLASTLPPVEAALLDWAINLMADVVEHENYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTVKGREETAMPSSAFPSSSGSPSDKDEPQALEHLDKPTICSTQQNNDFPMISGATLDHFLFRAEPLRHNDAQGSAGRPKKRDNKDHDNSSREFSPIDSDSSSQASNSASKFSNDNVEGLFDRFKFRKGVGRLCRHPVFQLSRSMKKSGEAGQACYGQNLVDFNSIRPEDSRADV >ORUFI02G29390.1 pep chromosome:OR_W1943:2:25958592:25959437:1 gene:ORUFI02G29390 transcript:ORUFI02G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPGVSAKKRHAGAGFTLGCGCKDAKSVSVSASAAGTPSTTATRRRSAGTNPSGSTTTDTLTMTSASSSFLWEHSVVEFDHDGGGGCGPESFSGLLRELSELEQSVASWGRKSHHQHHDKKHSPAPSSPLPPQEDRKEKNGGNGDATDKPGDCRDGGGGGDGVGVALDGSVAVVKQSDDPLGDFRQSMLQMIVENGIVAGEDLREMLRRFLTLNAPHHHDVILRAFAEIWDGVFAATASLVHHHHPPPSSRREPVAPAARPPAPRTPPRHRHPSPRAWRV >ORUFI02G29400.1 pep chromosome:OR_W1943:2:25961962:25963070:-1 gene:ORUFI02G29400 transcript:ORUFI02G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYTTTSTSVSGDRDDAREDAGDGSGDKRLRAKQAAEEATCIARALAVSSDGPGLLLFPNVACTTSLHASWSWTPKFSCSVPESAGI >ORUFI02G29410.1 pep chromosome:OR_W1943:2:25969277:25978708:1 gene:ORUFI02G29410 transcript:ORUFI02G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCEVSGAAADRVGRRSGAMVAERQRAKPAARSGVMADEDGDSDKFEEEEWDDDAQSQRGGVRQSRQAKWGNGFMILMYIFETYLDIRQHRALKLPTLPKPLVGVISGEKFERSRAYSLDKSKFHFIHEAVTILMDTTILYYRVLPWVWKKSGELATNAGLNAENEILHTLAFLAGVMIWSQITDLPFSLYSTFVIEAKHGFNKQTIWLFIRDMIKGILLSILLGPPIVAAIIIIVQNGGPYLAIYLWGFMFALSLVMMTIYPIVIAPLFNKFTPLPEGVLREKIEKLAASLSFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCSSEDEIVSVIAHELGHWKLNHTVYSFVAVQLLMFLQFGGYTLVRNSKDLFESFGFEDQPVIIGLIIFQADAFAKNLGYAPQLRAALVKLQIRGIQPTTTPIHPLLKGSLLLKTQTAKRKTNGKLIHLKHRSLYSSMGYLTVSWNRFNVRDVNGMCEYMRSTILTYTPSISQFSLQAPMLSLSTPSSPPPPLPPRRATTAPTPVSLLRGAADRRDAPLTSALHAALLKSGALDRTQPLTASNSLLHAYLQCGLLSDALRLLDEMPRRDAATCASLVSALCRLGAPLDAIRAYMDMLTQDADDEDGGLRPNEFTAAALLQACGLAKVARLGRMVHGHLVTSGFCCDPFVVGSLVNTYAKVGDVVSAEKLLLGMDSRDVVSWTALLSGCVLNGMLAEALKVFVMMLEDNVLPNNVTMLSVIQACSLMGESGLFSSLHALVVRLGLENDVSVVNSLIIMYAKNGFVEEATGLFEDLYLRRGDVCPNSDVLSALLFGCTVSGSLKYGKGIHAHLIKMNDLPSISIENSLMGMYARFEQVDAAYVVFKGMQIKDIVSWNTMISCLAKSDHVDEALELFSILHGGDGLVPDFVTVLSVVQACSNAGLLQQGQMLHGYIIKSGSLYDVSICNALISMYAKLGRIDFSEQIFEQMDIKDIVSWNSMINAYGIHGDGLSSLRIFNELQDDGTCSPNAITFVSLISACSHSGLVSEGYRCFQSMKNDYRIEPSMDHYASVVDLLGRSGRFAEAEQFIRNMPVHPNSSIWGPLLAACSLYGNIDLAEKAAIELSILEPESDIWRVSLSNVYAVVGRWKDSAKIRTEMKRVGLKKEAGWSFVDVGGVEGFKFVAADTRHGDSEQIYAVLRSMNKHMADVAGDVHQSSLVSVIS >ORUFI02G29420.1 pep chromosome:OR_W1943:2:25979248:25981114:-1 gene:ORUFI02G29420 transcript:ORUFI02G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSPSPYREYFGASGAHKKTAGFLSIPQPKKPPNKTAKLARSLRAISPRDDAMATASSLFLASPVATAPTARARSTPSASPARPSLRLRRPSTLAAAAVQAEHQPAVAAAPKPPALPFRVGHGFDLHRLEPGLSLIIGGIDIPHDRGCDAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPRWKGADSSVFMREAVKLMHEAGYELGNLDATLILQKPKISPFKETIRSNLCDLLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLMRK >ORUFI02G29430.1 pep chromosome:OR_W1943:2:25982800:25986880:-1 gene:ORUFI02G29430 transcript:ORUFI02G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANQPPPDAAAAAAGSAGEDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPGYTIKADSSSMLRNSGMNATVSSWTHNSIPPIVASSMVKEDLGAGAMAPNNFCSSSTEGPARAWQPGETNDQINQVPSLRHFAQVYSFLGSVFDPSTSGHLQKLKEMNPIDVETALLLMRNLSINLTSPDFEDQKKLLSSYSTPSDGLELGSTRSSVLADRPLSAPFMIKGE >ORUFI02G29440.1 pep chromosome:OR_W1943:2:26001521:26002110:1 gene:ORUFI02G29440 transcript:ORUFI02G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDGMAQGISSIPRVPGISRYQGTRYQVPTDTQGTKYQDGEEVEVLEHVAAGVVVTETPRPLASSASVAAEEEPPALTGKEATGAAGKDDNDFGEEREGGGERPRRRQRRER >ORUFI02G29450.1 pep chromosome:OR_W1943:2:26002458:26008384:1 gene:ORUFI02G29450 transcript:ORUFI02G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVCFFSSIFPQENERKRTVGRVNHLDVSVGARDKKMSRTAIILHRFRQAAASQSLVETSLQSCPYFGVPLRWLSCTEQTSKWETSTSYQIDDVDQYSPISSVAKICTHPLSSHVNHCYHHSRSLGFSSVSSSRRMYSSDARAKPEDYKNAMAKVSSTETSEVGATDHSGNTWIDILDSARHSTIDATAAALKKLKAMTDPIVPCIQELYTTYPDLQRMVIPLGGTLMGTAVAWFVMPIVLRKLHKYTSENPLITLEGESTKKYMSYQTSLWSALEDPAKCIITFMAFSQMAAIVVPSISVYLPQAWRGAFVVSLLWFLQKWKTNFIANIMTNQSAIGMDRDRLLTLDKVSSLALIALGGMALAEACGVPVQSILTVGGVGGVATAFAARDVLGNILSGLSLQFSKPFLVGDNIKAGSIEGKVIEIGLTSTSLINPENLPVVVPNSLFSSQIIVNKSRAVWRASVVKIPVIIEDLEKIPTISEEIKVKLRSNPNIDAPYCYLSRLESSHGELTIGCNIKSMRRDEWTTVEQDILLKAASIVKQYES >ORUFI02G29450.2 pep chromosome:OR_W1943:2:26002458:26008384:1 gene:ORUFI02G29450 transcript:ORUFI02G29450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVCFFSSIFPQENERKRTVGRVNHLDVSVGARDKKMSRTAIILHRFRQAAASQSLVETSLQSCPYFGVPLRWLSCTEQTSKWETSTSYQIDDVDQYSPISSVAKICTHPLSSHVNHCYHHSRSLGFSSVSSSRRMYSSDARAKPEDYKNAMAKVSSTETSEVGATDHSGNTWIDILDSARHSTIDATAAALKKLKAMTDPIVPCIQELYTTYPDLQRMVIPLGGTLMGTAVAWFVMPIVLRKLHKYTSENPLITLEGESTKKYMSYQTSLWSALEDPAKCIITFMAFSQMAAIVVPSISVYLPQAWRGAFVVSLLWFLQKWKTNFIANIMTNQSAIGMDRDRLLTLDKVSSLALIALGGMALAEACGVPVQSILTVGGVGGVATAFAARDVLGNILSGLSLQFSKPFLVGDNIKIIVNKSRAVWRASVVKIPVIIEDLEKIPTISEEIKVKLRSNPNIDAPYCYLSRLESSHGELTIGCNIKSMRRDEWTTVEQDILLKAASIVKQYES >ORUFI02G29460.1 pep chromosome:OR_W1943:2:26008199:26009860:-1 gene:ORUFI02G29460 transcript:ORUFI02G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAATGGGGKETLAATLLRYLIILIVPFTVLYILYTLHAILSSTPSCPPDRPIVTSSVSLSQLSTTRNHTPSSSSLSTPPPAPVSMAATTLQHVVFGIAASARLWEKRKDYIKIWWRPNAGMRGFVWMDQPVRESGVPDGLPPIKISSNTSGFPYKNRRGHRSAIRISRIVSETFRLGLSGVRWYVMGDDDTVFLPDNLVAVLQKLDHRQPYYIGYPSESHLQNIFFSYGMAFGGGGFAISQPLAARLERMQDACIHRYPSLYGSDDRIHACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLVSLHHLDVVRPLFPNARSRPAALRRLFEGPVALDSAGAVQQSICYDARNRWTVSVSWGFVVMASRGMISAREMELPARTFLNWYKRADYKAHAFNTRPLARRPCEKPSFYYLSSARRTVARDGETTVTTYQRWRHRNDMRPPCRWKIADPDALLDTVVVLKKPDPGLWDRSPMRNCCRVLSSPKGQEGNKTMTIDVGVCKDWEFSQV >ORUFI02G29470.1 pep chromosome:OR_W1943:2:26013391:26014029:-1 gene:ORUFI02G29470 transcript:ORUFI02G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYSELLLPRLLLQVLLLLGHLHRFLLWAFHAVGLGDLIDNPPGLAATEQDLMLQGRGGGMAEGWASSSALQHRRPEFRAIPPMAIEEALPVVRFDELVASAPAAVCGGGDCAVCLSGICGRDEVRRLSNCRHVFHRGCLDRWMAHEQRTCPLCRAPLIPDELLPAASGLPDPSDYDLSYYPSPLPLAPTPTLLRPHELLLNGLGGFQ >ORUFI02G29480.1 pep chromosome:OR_W1943:2:26015145:26015372:1 gene:ORUFI02G29480 transcript:ORUFI02G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLVAARIGSRRNNDDNKVRRQIRCLLFFRYRHLPFFPRDGGLTGDRRCMGCGGVARGHASPNVSSDPFLAPP >ORUFI02G29490.1 pep chromosome:OR_W1943:2:26026626:26028402:-1 gene:ORUFI02G29490 transcript:ORUFI02G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPRPRAAAAARFGRTPPPCTLTASASASRPAPPPRNAAETPPPPSCHRRRVATTPPSHHHRP >ORUFI02G29500.1 pep chromosome:OR_W1943:2:26030400:26031480:1 gene:ORUFI02G29500 transcript:ORUFI02G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSRPLHRHCLVAWGGRSPQVSTVSRYRRSNNPLFTTTGCEGNRGPAALASLCVGIETVVTEGQHHEGFLEGKEEEIQWISFLINLEGETTAWPDRVEEAASVSTVQKSSIRKRKTTTVERWGPSG >ORUFI02G29510.1 pep chromosome:OR_W1943:2:26032324:26036770:1 gene:ORUFI02G29510 transcript:ORUFI02G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRRRLALPALLLWCLCALPAPARSQSAATPAPASNEGFNCTANATYPCQAYALYRAGFGGVPLEFAAIGDLFAASRFMVAHANNLSTSAVLAARQPLLVPLQCGCPSRSPNAYAPMQYQINAGDTYWIVSTTKLQNLTQYQAVERVNPTLVPTNLDIGQIVTFPIFCQCPTAADNATALVTYVMQPGDTYASIATAFAVDAQSLVSLNGPEQGTRNLSSPEILVPLRRQVPEWLPPIVRVNNISTTPASPPPSNTPAPTVVSNNRDGVVTGLAIGLGVVGGLWLLQMLLLGCLWRRLKARGRRAEAVASGDGGEGGRFTKAASGGGGGGGGRFLVSDISEWLDKYKVFKVEELESGTGGFDDEHLIQGSVYKAYIDGEVFAVKKMKWDACEELKILQKVNHSNLVKLEGFCINSETGDCYLVYEYVENGSLDLWLMDRDRARRLDWRARLHIALDLAHGLQYIHEHTWPRVVHKDIKSSNVLLDDRMRAKIANFGLAKTGHNAVTTHIVGTQGYIAPEYLADGLVTTKMDVFAYGVVLLELVSGREAVSDDSGEPLWADADERLFRGREERLEARVAAWMDPALAEQTCPPGSVASVVSVAKACLHRDPAKRPSMVDVAYTLSKADESFGDYSGESVSVASSGGIAAR >ORUFI02G29520.1 pep chromosome:OR_W1943:2:26038770:26039279:1 gene:ORUFI02G29520 transcript:ORUFI02G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLQHARMVDMGGGATAPMPAPSTHYPARDRELLAGSSGADAGEEEPSTDATADEEVEREGAALAASLAWSTTSTYLASSSRRRRKRSPVTSSDVAWTVQDVTVGDELRRGTWMTKDTPVGDKLRRGMWMTKDAATGDELRGVDGGGCGRRRRAPAWGVDDGGRGRRR >ORUFI02G29530.1 pep chromosome:OR_W1943:2:26039322:26050636:1 gene:ORUFI02G29530 transcript:ORUFI02G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVGRGRWDHRRRRFSARLLISGQSVTLSVCHHPGGPVPIAMHACLAINPAAAVQYTYQVVRIIHPTNLTPVFGVSY >ORUFI02G29540.1 pep chromosome:OR_W1943:2:26051969:26053825:-1 gene:ORUFI02G29540 transcript:ORUFI02G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGAPWCDPRRGYGGYGVGSAALQAAARQQSQQPRSDGAGGAGVTGGVLKRSLGEMERWQQQRQVAAQQAMYLRSVRQRMDIGAVLGGAASSPAYGISGLSSGFGGISQQQPSSTMSSLTTASRTVMSGMQQQRRMMAVPTAQNQAVARAPAARPATATELVLLQELEKQLLGDDEEADAAGSGCGSGITSSDWGDTIQRLNSVTAASSPSLPLPTAVNSTALLARSPTNSSSSTASSSASSSPPISAASSRQLLSEAAAAVADGNHTAAASLLSALKLSANPRGDAEQRLVAMMVAALSSRVGTGPSQHLADLYSGEHRAACQLLQDVSPCFGLALHGANLAILDAVAGHRAIHLVDFDVSAAQHVALIKALADRRVPATSLKVTVVADPTSPFTPAMTQSLAATCERLKKLAQQAGIDFRFRAVSCRAPEIEASKLGCEPGEALAVNLAFTLSRVPDESVSPANPRDELLRRVRALGPRVVTLVEQELNTNTAPMAARFSDASAHYGAVLESLDATLGRDSADRTRAEAALASKVANAVGREGPDRVERCEVFGKWRARFGMAGFRAVAIGEDIGGRVRARLGPALPAFDVKLDNGRLGVGWMGRVVTVASAWR >ORUFI02G29550.1 pep chromosome:OR_W1943:2:26073588:26081848:1 gene:ORUFI02G29550 transcript:ORUFI02G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGITKTLERYQHCCYNAQDSNNALSETQSWYHEMSKLKAKFEALQRTQRHLLGEDLGPLSVKELQQLEKQLECALSQARQRKTQLMMEQVEELRRKERQLGEINRQLKHKLEVEGSTSNYRAMQQASWAQGAVVENGAAYVQPPPHSAAMDSEPTLQIGYPHQFVPAEANTIQRSTAPAGAENNFMLGWVL >ORUFI02G29560.1 pep chromosome:OR_W1943:2:26085381:26085875:-1 gene:ORUFI02G29560 transcript:ORUFI02G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLVCYCMAIPKPLIALAKLLAAIREALQLMLFVVGICHHPERSGRPAAVDAPLPDEVKDRLPPLEFAQLLAASEHGCHGCDDDEAVAGCIVCLERLEADDVVRRLGNCAHAFHRGCIDRWIDLGRLTCPLCRSTLLPRARPAAGPRGRLGRLATRLTGVVW >ORUFI02G29570.1 pep chromosome:OR_W1943:2:26105560:26106627:-1 gene:ORUFI02G29570 transcript:ORUFI02G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPKPPSVAASAAEAQNPNAFTCELPHSIYALAFSPSAPVLAAGSFLEDLHNRVSLLSFDPVHPTAASFRALPALSFDHPYPPTKLQFHPRAASAPHLLASSSDALRLWLAPLDDLAATATAAAPELRSVLDNRKTSASEFCAPLTSFDWNEAEPRRIGTASIDTTCTIWDIERGVVETQLIAHDKAVHDIAWGENGIFASVSADGSVRVFDLRDKEHSTIFYESPRPDTPLLRLAWNRYDFHYMATLLMDSSAVVVLDMRAPGVPVAELHRHRACANAVAWAPQATRHLCSAGDDGQALIWELPATPGAVPAEGIDPVMVYDAGAEINQLQWAAAYPEWISIAFENKVQLLRV >ORUFI02G29580.1 pep chromosome:OR_W1943:2:26107318:26109509:-1 gene:ORUFI02G29580 transcript:ORUFI02G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSSSGSPPNTEALMDQIKTQLAQAYAQEFLETVGNKCFAKCVTKPGSSLSGSESSCISRCVDRYIEATGIVSRALFSSTR >ORUFI02G29590.1 pep chromosome:OR_W1943:2:26110298:26113269:1 gene:ORUFI02G29590 transcript:ORUFI02G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKEEQEDLSGSRHRQPDHATLPVASAHTTRAHRALPVAPAMLEALLVNWFLPDTCLVRVATVGQPGAMRRITVHYVNLLPVAGAGEAHVDGAANYSGKQRSSVMELIHRWSMDINAWEAKERLDQKLRNQMEAVIKR >ORUFI02G29600.1 pep chromosome:OR_W1943:2:26119877:26120898:1 gene:ORUFI02G29600 transcript:ORUFI02G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWQCQVLLMLPSDMASAPSTGFDGDGDRIGTYARFSGPKLRGLRIWLQYHLIYRTKRGCHNHIASVASNNGEDLNCSPSSSNQAQGPITSSPGGALLCVFVLGFLDFCGKGNVWEFVF >ORUFI02G29610.1 pep chromosome:OR_W1943:2:26123800:26128209:1 gene:ORUFI02G29610 transcript:ORUFI02G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLIIALALTVTCMHISGNVDDVAAAVRKARSRAPVGTTAFPSIGCTNREVVKARFDRHGEKIIEQELDRNGDSSLVIGGVLLLSGRRRKIGGEGELELSSSDR >ORUFI02G29620.1 pep chromosome:OR_W1943:2:26130289:26131527:-1 gene:ORUFI02G29620 transcript:ORUFI02G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTSSRFSKEEEDEEQDEAGRREIPFMTATAEAAPAPTSSSSSPAHHAASASASASASGSSTPFRSDDGAGASGSGGGGGGGGEAEVVEKEHMFDKVVTPSDVGKLNRLVIPKQYAEKYFPLDAAANEKGLLLNFEDRAGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFSRGIGDEAARHRLFIDWKRRADTRDPLRLPRGLPLPMPLTSHYAPWGIGGGGGFFVQPSPPATLYEHRLRQGLDFRAFNPAAAMGRQVLLFGSARIPPQAPLLARAPSPLHHHYTLQPSGDGVRAAGSPVVLDSVPVIESPTTAAKRVRLFGVNLDNPHAGGGGAAAAGESSNHGNALSLQTPAWMRRDPTLRLLELPPHHHHGAESSAASSPSSSSSSKRDAHSALDLDL >ORUFI02G29630.1 pep chromosome:OR_W1943:2:26157611:26165124:1 gene:ORUFI02G29630 transcript:ORUFI02G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPMPVAGAGWWDLVNGSTAWQDGIFLSLAALYGLVAASSFIQVVRIQYRVPEYGWTTQKVFQLLNFIVNGARCSIFAVRRQVQQVNPEIFQHVILDLPGLAFFTTYAMLALFWAEISYQARGLETEGLRSGFYTINGVIYVIQVLLWALLWHNPNPSMIVLSKLFIAGLSFSAALGFLLYGGRLFFMLKRFPIESKGRQKKLREVGRVATICFLCFLARCIMMCFDAFDKKADLDVLDHPILNFIYYLIVEILPSSLVLFILRRIPSKLRLAQYHPLNSG >ORUFI02G29630.2 pep chromosome:OR_W1943:2:26157206:26165124:1 gene:ORUFI02G29630 transcript:ORUFI02G29630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPMPVAGAGWWDLVNGSTAWQDGIFLSLAALYGLVAASSFIQVVRIQYRVPEYGWTTQKVFQLLNFIVNGARCSIFAVRRQVQQVNPEIFQHVILDLPGLAFFTTYAMLALFWAEISYQARGLETEGLRSGFYTINGVIYVIQVLLWALLWHNPNPSMIVLSKLFIAGLSFSAALGFLLYGGRLFFMLKRFPIESKGRQKKLREVGRVATICFLCFLARCIMMCFDAFDKKADLDVLDHPILNFIYYLIVEILPSSLVLFILRRIPSKLRLAQYHPLNSG >ORUFI02G29640.1 pep chromosome:OR_W1943:2:26165578:26166654:-1 gene:ORUFI02G29640 transcript:ORUFI02G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGVTEHLLSPRAGAGDDMSAGDGEGWCNALAKYEALASSLPSCHGLGSAPYRRYGGFWYPAHLMPATLAARDTFVARPSDVILATMPKSGSTWLKALAFCVVHRGRHAPAAGQHPLLHSSPHDLVPFLHSIYEISRSCRVAPGHRLDAMPSPRILAVHEPLSSLPASVKASGCRVVYLCRDPKDAFVSLRHYLDEIKPEGSTMTPFDEAFELLCDGVSPYGPMWDHAAEYWKESLARPEEVVFLRYESLKEDGVGSVRRLAGFLGCPFTGEELAGGVPETIVELCSMERMRNVEANRDGEHGATWSSFKNSAFFRKGEVGDWKEHMSPEMARRLDDVVEEKLRGSRMSLIRHRQY >ORUFI02G29650.1 pep chromosome:OR_W1943:2:26168631:26177069:-1 gene:ORUFI02G29650 transcript:ORUFI02G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYYDSDDDDVVLVKKIPTIFSSLKEYMGSFTVPLIEETRADLCSALEGIKHAPAAEVTRIKLCSDEQLIYSFFANKADPKDIFQEVYAPKEADTLLLTDRKPRHISDLGRGEKPLVIASVLKAEDAEGNTVVRLSSKHVEQQFGLESSLFAVFLINMTTYNRIWSELDAVVASVRNTDIIRMIVNCNPKVGQECSYSSELPLHLPDRALGGLEDFKLNKSQKVAVLDCVSAMQQRSSSVRLIWGPPGTGKTKTISTLLWAMLVKNHRTLTCAPTNTAVVEVASRVLNLLEDPSAGSGKACFLSDVVLFGNEDRMNVDGNLTKIFLEKRARRLQKCLSPGSGWVHSLSSMIRILEQPLVQYDSYVEQIEREIEEDLAEKKRNKNKNKENDKKQTFCDYLPRSATTAENFSYMTQALHMLKFFGKLVEPKSEQSLKTLFKLSPDGSISSLFQNFVTYVQDSVSTELKDARAQCLQKLKHLSDHFELPNVFDKRSIEDFLVRNAKSILCTASSSSRLHYLPEASPFDLLVVDEAAQLKECESLIPLQLPGVRHAVLIGYEFQLPALVKSRVCEDAEFGRSLFERLSSLGHPKHLLDVQYRMHPGISKFPVSSFYENKISDGENVLHRDYERKPLAGPMYGSYSFINVDAGKESKGKHDKSLMNPIEVAAVTRIVQRLFKGTHCIMPLHSGMASLSSDSLVCAESVDTGRKLCVGVVSPYKGQVRAIQERLGKAYETHGGFTVKVRSVDGFQGAEEDIIIFSAVRSNTTGSVGFLSNVNRTNVALTRAK >ORUFI02G29660.1 pep chromosome:OR_W1943:2:26182025:26187976:1 gene:ORUFI02G29660 transcript:ORUFI02G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPPRGAPRDAVGQRWLAVFVFQAALSAAASALYLAASPRRRHARLGVPRGLLLALHPFLSLAAAGLLALAFLVSASPHPRAPPVPRRSLAASLLAAAGALCVGAAASLVPEDSGWAAVAGMEFRGAVLGAVFAAHYFGRRRWLLQFPVVQRPPFYGLKMGLLPSGKRALKVSLQAFFLSFILIFVLPQQFRIRGSIGSQIIAQIGIFIMSTAVAFCWEISHHFVQVVHTRRCSFAPPQSTAAAETNPTEYILETLELSDPRSLMQYLAYQDLCAVSECNLEPWRRAAFFEESGETYKRIVTACLKPLEEFTSKIAEALEGFSSEKPELLSQQFKLAAAFNDSQICTWCARTLSSLTARSRQEDRYGVAQLTGCNAAVMSTLLSALVAVEACLGKKTNPQPAHSLGPASIKWANFSTGRKGNVTAIASTQRGGLHTKAFSMADVLRTSIYQMVSAFEHDMRANAKASSLEKNWISEGRKPVFGSQAVLVQKLSLFIEYRAV >ORUFI02G29670.1 pep chromosome:OR_W1943:2:26187002:26187638:-1 gene:ORUFI02G29670 transcript:ORUFI02G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYAWCRRGGAADCEEQEEDIGSPSTSAGSSARSSGSSSELADDASSSSSSSSAERRFEMSDLMTQLPFKRGLSRFFDGKSQSFASLAAVASLEDLAKPPRKRLKPSQSCGGGLDAHRGRVLSPRRHCPKAVVAGAKKATARAALSMLAASPRRPPLAAPARPEGVAAKFLVVN >ORUFI02G29680.1 pep chromosome:OR_W1943:2:26191625:26191936:-1 gene:ORUFI02G29680 transcript:ORUFI02G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEPARRKTVTAMDVVYALKRQGRTLYGFGG >ORUFI02G29690.1 pep chromosome:OR_W1943:2:26192522:26195613:1 gene:ORUFI02G29690 transcript:ORUFI02G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAPSARRVSAVLYHYPCPDGAFAALAAHLYFSAAALPVCFFPNTVYDPIRSDALPFDEIKDVYLLDFVGPPGFVTDIAPKVESVTILDHHKTAFESLCGNPTLGENVNKVIDMQRSGATIAFDFFSNKLLTIGSSLWNHRSGNSFNGVKYLPDNKLETVHKLFKFIEDGDLWRWTIPNSKAFSSGLKDLDIEFDVNINRKLFDQASNLLLELDPEEVISRGQATLSHKQKLIDECLEKSYEIALGCGRFGNCLAVNADAISNLRSELGNQLADKSRNLNLRQRYVVLTRTNGTFSRSIGAVVYKVPELNNDNMLKISLRSLNEEDTTSISKEYGGGGHRNASSFLLSVTEFDRWKVGAEPCNTKM >ORUFI02G29700.1 pep chromosome:OR_W1943:2:26202454:26210171:-1 gene:ORUFI02G29700 transcript:ORUFI02G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRKKRRGRGVVMQHSYCDQLYCWCCFGRVHPQGFSAVSTDQVGVEFVTLFSQSVMALAVTRGLFL >ORUFI02G29700.2 pep chromosome:OR_W1943:2:26202454:26210171:-1 gene:ORUFI02G29700 transcript:ORUFI02G29700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVTRGLFL >ORUFI02G29700.3 pep chromosome:OR_W1943:2:26204652:26210171:-1 gene:ORUFI02G29700 transcript:ORUFI02G29700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMHFFPPGDVTTVARCAPFFRATSA >ORUFI02G29700.4 pep chromosome:OR_W1943:2:26204652:26210171:-1 gene:ORUFI02G29700 transcript:ORUFI02G29700.4 gene_biotype:protein_coding transcript_biotype:protein_coding LRSAAAVRWCGHATVGNDEASSRNGEEEEETEAEGGAQASAPIRSRRRSGPSGKANASTLHTNPRVIEISPKRRQFLIQFKRVERRCAPFFRATSA >ORUFI02G29700.5 pep chromosome:OR_W1943:2:26202456:26202952:-1 gene:ORUFI02G29700 transcript:ORUFI02G29700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGATCIYALTFAHDMSIHCAFILLQSYCDQLYCWCCFGRVHPQGFSAVSTDQVGVEFVTLFSQSVMALAVTRGLFL >ORUFI02G29710.1 pep chromosome:OR_W1943:2:26204175:26209905:1 gene:ORUFI02G29710 transcript:ORUFI02G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFEGRSPAARGVEQVCNMKRFSFASSFKALWYIHAYANDSSFALLQFQQCIFAKLDGFALLVCLQGANKHCILIEKALVALKKGAHLLKCGKRGKPKLCPFRLSSDEKMLMWYSKDREKRLNLSSVSSVVLGHKTVHSIYGSPRLMQKNVLQSNLDFSEPFFSPRQRTWSDLDYYMEKVTPDVVNRVKHSCRDIKVADKLNEQIITQLPKQKSSEGLHVAYGATSLKDIFVWGEVPGNVLDHGDVSKANVSLPRLLNTTHIIDVQSVACGEKHAAIVTKQGEVFSWGVDNGGRLGHKVSVSVSDPKIIESLASTRVKAIAFGAKHTCAVSISGELYEWGEGTHCLGLWGDQYQRSQWFPHKLFGPLDGISILKIACGHWHTAIISSAGQLFTYGDGTFGVLGHGDTLTVARPKQVESLKGVRAKAIACGPWHTAAIVERMGTVKSNAPSGKLFTWGDADRGKLGHADKKMKLVSCAKAQTIVLTITGVVFTIGSKEHGRLGNPLSEDTSICLIEGPLKTEFVREISSGSSHIAVLTMNGKVFTWGKGTEGQLGLGDYVDRSYPTLVEALEDKQVHSIACGFNFTMAICLHRPLSSKDQSVCSNCQLTFGFTRKKHNCYNCGSMFCNSCSNNKVSRAALAPDRSKRYRVCDACFSQMQKVEEHSKLDPQQKIQKDEVCPIEIRSYTPKLARIFKEANAIMEKMASAQSPHQRSQNLAVPDHVRTLRWGLVECPSQFRCVRESIPYCSTLNKQTVSGSIVRVMNETMAPKPASSLLKSANDSKAELDLMENILLEEVKQLQEQVTTLAKQCRQRSLKVQLYKRKVEETWLIAKDEAAKCKAAKDIIKVLIDQHDFLSKNLLAGEKLDNSRIMPSHIASAKSLKAELPDPPDKNVFTSEFQQSKSNRDHHNSRQVDRECTQPSIASMADYSVTHQNCRRTSNGNTRCTEGTDATTAPTDSNGVIEQIERGVYATVVTSPGGKKCIKRIRFSRKHFGEDQAQKWWEANESMVFGKYSSMEQTVG >ORUFI02G29720.1 pep chromosome:OR_W1943:2:26231247:26233941:-1 gene:ORUFI02G29720 transcript:ORUFI02G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMACLPGNAMATDENGADDRAGGESTVDHLRSHMNYGDMDLSGEEHVPKARKPYTITKQREKWTDEEHRLFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFSKVVRESSGSNTGSGGASAAAAAAAIQIPPPRPKRKPAHPYPRKVDGAAKKHVPALRQLEKPPLWMQSLSEQEEGSPTSVLTAAQIGTEALGGGFSNNSSGSGSLAPSAAGTDEHVDGGGSPASSVDREDGCLSPSIPTAELAMQAPNTKMSIATTDAKEASSEASVFRLFGKSVVVKDSDQLHLLNGKGSSSNPWPSSMQQFLYFLPRSDGFAAQPVMPWLSYNGSLPCALFYPAAAAAANQQCHRDSEGVEFRVSQREGSLTGSNTASSVVLGSSAAVPAAAAAAQNSDVAESRGQGNSREAAASPRLTKCESSASVTLLQRGFMPYKRCAAESELLRSEAAGGEEAVADGELTRLCL >ORUFI02G29720.2 pep chromosome:OR_W1943:2:26231247:26233941:-1 gene:ORUFI02G29720 transcript:ORUFI02G29720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMACLPGNAMATDENGADDRAGGESTVDHLRSHMNYGDMDLSGEEHVPKARKPYTITKQREKWTDEEHRLFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFSKVVRESSGSNTGSGGASAAAAAAAIQIPPPRPKRKPAHPYPRKVDGAAKKHVPALRQLEKPPLWMQSLSEQEEGSPTSVLTAAQIGTEALGGGFSNNSSGSGSLAPSAAGTDEHVDGGGSPASSVDREDGCLSPSIPTAELAMQAPNTKMSIATTDAKEASSEASVFRLFGKSVVVKDSDQLHLLNGSNIATSGSVERATRNILVPSFAAAPEGSSSNPWPSSMQQFLYFLPRSDGFAAQPVMPWLSYNGSLPCALFYPAAAAAANQQCHRDSEGVEFRVSQREGSLTGSNTASSVVLGSSAAVPAAAAAAQNSDVAESRGQGNSREAAASPRLTKCESSASVTLLQRGFMPYKRCAAESELLRSEAAGGEEAVADGELTRLCL >ORUFI02G29740.1 pep chromosome:OR_W1943:2:26245377:26245740:-1 gene:ORUFI02G29740 transcript:ORUFI02G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVFLDARSAQGLWDPIPYPLDNFDWTGYMPSGPPLSRLALRSIKQVAWGIKCAMSRGWRKMGKILICTCYNNVQDINMEAKLSSMLSES >ORUFI02G29750.1 pep chromosome:OR_W1943:2:26246846:26249145:-1 gene:ORUFI02G29750 transcript:ORUFI02G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWLLRIASACLGPARRYARTRKDEDGGDNGGGVADGLLWSRDLGRHAAGEFSFAVVQANEALEDHSQVETGSAATFVGVYDGHGGADAARFISDHLFAHLIRLARESETVSEEVVRGAFSATEEGFLTLVRRTQFLKPMIAAVGSCCLVGIIWRGVLYVANLGDSRAVVGYLGRTNKITAEQITRDHNACKEEVRQELISRHPDDSQIVVLKHGVWRIKGIIQVSRTIGDAYLKRREFALDPSITRFRLSEPLRRPVLTAEPSICTRVLSLQDQFVIFASDGLWEHLTNQQAVDIVYKNPRAGIAKRLVNTALKEAARKREMRFVDLKKVEKGVRRFFHDDITVVVVYIDHELLQEKNVSVPELSVRGFVDSVGPSRISGFDAIS >ORUFI02G29760.1 pep chromosome:OR_W1943:2:26253534:26259840:1 gene:ORUFI02G29760 transcript:ORUFI02G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCGVTLRSKYFASFRGASQRHDEAGYAPVATSAAAAAAADEPAGKKAPRGSAAAADAPHAASMKRGAPAPAELTANVLGHPTPSLSEHYALGRKLGQGQFGTTYLCTDLATGVDYACKSIAKRKLITKEDVEDVRREIQIMHHLAGHRNVVAIKGAYEDPQYVHIVMELCAGGELFDRIIERGQFSERKAAELTRIIVGVIEACHSLGVIHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLRKCYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIRRMLNPRPKERLTAHEVLCHPWICDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKAMDTDNSGAITYDELKEGMRKYGSTLKDTEIRDLMEAADVDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVIKEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMR >ORUFI02G29770.1 pep chromosome:OR_W1943:2:26265252:26265809:-1 gene:ORUFI02G29770 transcript:ORUFI02G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMLLEAAASGSMEDSLNSDLVVILAGLLCALICVLGLGLVARCVGAGPAGGGTAAGGGGGGAAAANKGVKKEVLRSLPTVTYVSDGGGGEAEECAICLVEFEDGQAVRVLPQCDHRFHAACIDTWLRAHSSCPSCRRVLVAAEMPPGERCGRCGARSGGRGIGALLLNYWKAPACDAEGPELA >ORUFI02G29780.1 pep chromosome:OR_W1943:2:26273738:26275043:1 gene:ORUFI02G29780 transcript:ORUFI02G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQWDSIEGIKPSPFTMLSVLVIAHTAAHVAARDDIEVVAPGPAHLVLHCHALLLPAARLLAIRAKDLNEMFPVANAEVAPMVNPRGFTNLLWKQLNHLGNTGFDLALFRVNAYSNMLYLHADSTSPLTWDIDHWFPCARKKHRN >ORUFI02G29790.1 pep chromosome:OR_W1943:2:26278857:26281383:-1 gene:ORUFI02G29790 transcript:ORUFI02G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHIVARTSATSLRHFPGHSKPSLPLSAPALRKAAAALLLAAAVALPCAVLYRAAVLDAVQPVQVGWDRGPWWERGQPPPAVVVPEEDGDVDPAAADDLDSDDLKLEQVLQEASMDNKTIILTTLNAAWASSGSVIDLFIDSFRRGVRTSSLLRHLVIITFDWKAYKRCMKIHAYCFALATENVDFSQEKRFLTAGYLDMMWKRLDFLRLVLEKGYSFIFSDADITWFRNPFPHFYPDGDFQIACDHYVGNATDLGNIANGGFNYVRSNNQNIGLKIKFLSTTYFGGICEPSRDLNKSHNGRLEELYVYATKLKKIWGIVMGGTTKLQGKRGYYIINFANFDAFS >ORUFI02G29790.2 pep chromosome:OR_W1943:2:26278857:26281383:-1 gene:ORUFI02G29790 transcript:ORUFI02G29790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHIVARTSATSLRHFPGHSKPSLPLSAPALRKAAAALLLAAAVALPCAVLYRAAVLDAVQPVQVGWDRGPWWERGQPPPAVVVPEEDGDVDPAAADDLDSDDLKLEQVLQEASMDNKTIILTTLNAAWASSGSVIDLFIDSFRRGVRTSSLLRHLVIITFDWKAYKRCMKIHAYCFALATENVDFSQEKRFLTAGYLDMMWKRLDFLRLVLEKGYSFIFSDADITWFRNPFPHFYPDGDFQIACDHYVGNATDLGNIANGGFNYVRSNNQSIEFYKFWYSSRLRYPGYHDQDVFNFIKHDPYITDIGLKIKFLSTTYFGGICEPSRDLNKVCTMHANCCIGLQSKLHDLRVIMEDWRNYMSMPPSLKRFGALSWGGKRGYYIINFANFDAFS >ORUFI02G29800.1 pep chromosome:OR_W1943:2:26281627:26287096:1 gene:ORUFI02G29800 transcript:ORUFI02G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRAHSWSLYLAPHVIHSCYAAVEQSVIKKKFHTQTLAPHRSLSLSRRHRLRLRLPSRRRRRQEPPLPLPPLSRPSPSQLPKPKPYSSSFASAAMSSEPPPDAAAAAASSAGDLAADLSSATISKKQLKKDARKAEKAEKASQRQQQQQPQADADDPFAANYGDVPVEEIQSKTISGRVWTEVGGLDEAAAGRSVLIRGAAQAIRPVSKKMAFVVLRESMSTVQCVLVASADAGVSTQMVRFATSLSKESIVDVEGVVSLPKEPLKATTQQVEIQVRKIYCINRAIPTLPINLEDASRSEAEIEKAEQAGEKLVRVGQDTRLNYRAIDLRTPANQAIFRIQCQVENKFREYFLSKNFVGIHSPKLIAGSSEGGAAVFKLQYNGQPACLAQSPQLYKQMAICGGFERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVAIFKHLNENCKKELETINRQYPFEPLKYLEKTLKLTYEEGIQMLKEAGTEIEPMGDLNTEAEKKLGRLVKEKYGTEFFILYRYPLAVRPFYTMPCYDNPAYSNSFDVFIRGEEIISGAQRIHLPELLTKRATECGIDASTISSYIESFSYGAPPHGGFGVGLERVVMLFCALNNIRKTSLFPRDPQRLVP >ORUFI02G29810.1 pep chromosome:OR_W1943:2:26290355:26293857:1 gene:ORUFI02G29810 transcript:ORUFI02G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPAELCIKIFHLLDHQSLASAPQVCRKWNTLTSDDELWRRLFKDRWGADAAAFYAPEGSRSWKDVFIVQDRCDRYGLGVRIIREGSDYYLIYQGEIQRYLGSRQHVSCDSKDAPAQSSEDEQRQISDRILFFLGDLEAACADAKRVKVRRP >ORUFI02G29820.1 pep chromosome:OR_W1943:2:26292067:26296218:-1 gene:ORUFI02G29820 transcript:ORUFI02G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAWRLSQRAVTFIPRRLRVYSSVSGDGRSANGAGSGKRVGTHNGSFHCDEALGCYLIRLTSQFAGADVVRTRDPQILDTLDAVLDVGSVYDPSRHRYDHHQKGFNEVFGHGFNTKLSSAGLVYKHFGKEIIAKELEVSEDHEDVHRLYLAIYKSFVEALDAVDNGINQYDTDQPPKYVNNTHLSSRVGRLNPDWTDPDQSPEKENAAFQQAMMLAGSEFMESVRFHVKSWLPARSIVLECLLSRGKVDPSEEIMVLDRFCPWKLHLFELEEELKIDPLTKYVLYQDERSKSWRVQAVGVAPDRFESRKPLPEKWRGLRDDELSNDIGIPGCVFVHMSGFIGGNKTYEGALEMARAAIKC >ORUFI02G29820.2 pep chromosome:OR_W1943:2:26292067:26296218:-1 gene:ORUFI02G29820 transcript:ORUFI02G29820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAWRLSQRAVTFIPRVRSQTLNPLPMAAAAAAASPKRLRVYSSVSGDGRSANGAGSGKRVGTHNGSFHCDEALGCYLIRLTSQFAGADVVRTRDPQILDTLDAVLDVGSVYDPSRHRYDHHQKGFNEVFGHGFNTKLSSAGLVYKHFGKEIIAKELEVSEDHEDVHRLYLAIYKSFVEALDAVDNGINQYDTDQPPKYVNNTHLSSRVGRLNPDWTDPDQSPEKENAAFQQAMMLAGSEFMESVRFHVKSWLPARSIVLECLLSRGKVDPSEEIMVLDRFCPWKLHLFELEEELKIDPLTKYVLYQDERSKSWRVQAVGVAPDRFESRKPLPEKWRGLRDDELSNDIGIPGCVFVHMSGFIGGNKTYEGALEMARAAIKC >ORUFI02G29830.1 pep chromosome:OR_W1943:2:26301121:26301951:-1 gene:ORUFI02G29830 transcript:ORUFI02G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERVGDRGAHLPCHNTSASVSTRNPARAHRQGNHGRFRRKRGESAPDLAGGVSRPHGLFYGTTGSRAGVVRSTRGGGGGEAGVVWLRAAIRSIEEMGRGGHTHFLDACFLCRKRLAGNRDIFMYRCRPLDLSPLLFTLSVSSSSSPNAMGSHLTRSGDTPFCSEECRREQMEADAAAERTEKARRAGKLTRGAPSSRREVEDPQERGNSVRAGSILAL >ORUFI02G29830.2 pep chromosome:OR_W1943:2:26301121:26301951:-1 gene:ORUFI02G29830 transcript:ORUFI02G29830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDERVGDRGAHLPCHNTSASVSTRNPARAHRQGNHGRFRRKRGESAPDLAGGVSRPHGLFYGTTGSRAGVVRSTRGGGGGEAGVVWLRAAIRSIEEMGRGGHTHFLDACFLCRKRLAGNRDIFMYRGDTPFCSEECRREQMEADAAAERTEKARRAGKLTRGAPSSRREVEDPQERGNSVRAGSILAL >ORUFI02G29840.1 pep chromosome:OR_W1943:2:26304901:26305421:-1 gene:ORUFI02G29840 transcript:ORUFI02G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSSYFHAFGNPDFAAVFSGGGSAQAIRPGTTTSSSGGAKAVNVGRGGAARQGAPSVFCVQDAEVEEAHHFLDECTLCRKGLAGDIFMYRGDTPFCSEECRREQIEMDRNRHRRKKKQYSPTAQAAADHHRSERAPQRQLQPQR >ORUFI02G29850.1 pep chromosome:OR_W1943:2:26312360:26312833:-1 gene:ORUFI02G29850 transcript:ORUFI02G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYFYFSATLELEPAGNLESVSPSPSPRRTTSRDVDVAGELRGRHHHYLDACFLCGRMLAGNKDIFMYRGDTPFCSEECRQRQIDADDASEMMKKRAKKQPAAARGEQQPQRRQSPHGIPVWAR >ORUFI02G29860.1 pep chromosome:OR_W1943:2:26321995:26322465:-1 gene:ORUFI02G29860 transcript:ORUFI02G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSSSFFDIEPLDGGEACLSGHAMDACSLCRKPLTRNCDIFMYRGNTPFCSEECRDHQMEMDEAAVRVSATNARERAARNEQRHRLDAGSVAVAANVPVLS >ORUFI02G29870.1 pep chromosome:OR_W1943:2:26328763:26330109:-1 gene:ORUFI02G29870 transcript:ORUFI02G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSSLLFHDRGEPERTKEMDLPRPQMFAGVSPEVYFPSGGASGINRRNKRSREAIAMAPPPAKEELVNLFTLQPQQSTSFVNMAQLHNRVSASPSRAPAALVSTGLRLALDEQQQQQQQQESKRLKALCYSSSPMPFVSFSDELAGQMKRQDEELDRFIKEQGEQLRRAMADRVRRHNRALLVAAERSAARRLREKALEAEREARRGAELEERLARLRSEAAAWQAKALSEQAAAVSLHAQLQQAAAAARASGDELRGGEAGPAESSSSAYVDPRRSGSDRACLTCRLRPATVVLLPCRHLSLCGDCFAAGDVDVAMACPVCHCVRTGGVEAILC >ORUFI02G29870.2 pep chromosome:OR_W1943:2:26328763:26329804:-1 gene:ORUFI02G29870 transcript:ORUFI02G29870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHYASGINRRNKRSREAIAMAPPPAKEELVNLFTLQPQQSTSFVNMAQLHNRVSASPSRAPAALVSTGLRLALDEQQQQQQQQESKRLKALCYSSSPMPFVSFSDELAGQMKRQDEELDRFIKEQGEQLRRAMADRVRRHNRALLVAAERSAARRLREKALEAEREARRGAELEERLARLRSEAAAWQAKALSEQAAAVSLHAQLQQAAAAARASGDELRGGEAGPAESSSSAYVDPRRSGSDRACLTCRLRPATVVLLPCRHLSLCGDCFAAGDVDVAMACPVCHCVRTGGVEAILC >ORUFI02G29880.1 pep chromosome:OR_W1943:2:26345321:26348967:-1 gene:ORUFI02G29880 transcript:ORUFI02G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERRGVDGGAVLPDLDGGAVLPDPGVPVSGRRVRLASPRRRDQHPSGCWAGRPRYPGSH >ORUFI02G29890.1 pep chromosome:OR_W1943:2:26363847:26368660:1 gene:ORUFI02G29890 transcript:ORUFI02G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLLWLHADGHCQCHLSLLLRLVACLALVLLLPPPPAQAATVVTHLPGFDGPLPFYLETGYVGVEEETGTELFYYFVESERSPRSDVVLLWLSGGPRCSVFSGFVYEIGPVMFVAERYSGGTVPRLEYNPYSWTKLASILFVDSPVGSGFSYAHDPKGYDVGDISSSMQIVKFLRKWLDDHPKYLSNPFYIGGDSYAGKVVPLITQYLSEGIEEMRHPIINLKVGYLVGNPSAGDKIDVNSRVPYSHSFGVISDQLYEAALANCKGDYENPTNKPCTDVMQTINNLMSEVLEPACPFDWPWPMPGRDASNRKSLTEEHYWLGDPPVEPPFSCFAAYRYYLSYFWANDNATRAALGIKEGTVTEWIRCATGLPYTRDLPSSIECHFNVTTRGYRALVYSGDHDPIVPFSGTQAWIRSLNFSIVDDWRAWHLDGQAAGFTIKYANNLTFATIKGGCHIPPENRPKESFIMAKRWLAGGPL >ORUFI02G29900.1 pep chromosome:OR_W1943:2:26371858:26372886:1 gene:ORUFI02G29900 transcript:ORUFI02G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSGARGGRRWSWAATGRQQQPPPRSPAATALARHHRARPPPLPASPAARSLDRAAMALWRRRNVREAPSETVFTDSVDGSSSSSDAASTDEWPELADQLDRSGRQAQLLTAGDAEPAPQLDGVHRPLECQRRKAALPPSPWGDLAHHDAAVVPEKVLFSLLPWFSARSVLSQRGRDLFGQVVELDERESIELRK >ORUFI02G29910.1 pep chromosome:OR_W1943:2:26372218:26372628:-1 gene:ORUFI02G29910 transcript:ORUFI02G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLSAADIPMDAELGVRYGLAGRRVPPAKRFNYGTVIANSLLSYPRKSGDAVNEMTSLSNLTEELTLYVPTVPEPFPRGWFGWPFLQLKIFSLGSIVGRASPASFLGTPVAEGRSG >ORUFI02G29920.1 pep chromosome:OR_W1943:2:26372838:26373158:-1 gene:ORUFI02G29920 transcript:ORUFI02G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGSPAELHDAGMQRPCAGVDREAQACAGVAGGWKARTTRASTGRGGRGGGRRRDGGRRWAVGTKVKLPALNRARAEGRWCSPTLVHGAVHFLSSILSRSSSSTT >ORUFI02G29930.1 pep chromosome:OR_W1943:2:26373900:26374226:-1 gene:ORUFI02G29930 transcript:ORUFI02G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLWNLPHARNTMRTTKEHQHRVGQRDARGDDDKWHALTPFLVAASCTAPSVRHGGVPPSVVDSTSDGVREPCVLVRWRQVGTSQRRRDTTSMAMPMLLPPIQATTS >ORUFI02G29940.1 pep chromosome:OR_W1943:2:26376381:26376878:1 gene:ORUFI02G29940 transcript:ORUFI02G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIIATLLLAAAIALGLTVGSPSLINETCTATSNYGYCLGVLSADPAGASATDKRGLAIAAANITMRNVTSTVRVLTELVEELKLCIKYYQDMDDLVASAIDDLRVGRPAVTSFYKLHRASDEPGNCDIMLFEGSAHKNPVSSENMYNEAISKLTSDIVYQLVH >ORUFI02G29950.1 pep chromosome:OR_W1943:2:26381069:26382151:1 gene:ORUFI02G29950 transcript:ORUFI02G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPGIIVPEGWSDWDDPQRDATMFYGEYMCTGVGANMTGRVSYAKPLTEQQAQIYLDASYVDADGWLKPFNDSLIVN >ORUFI02G29960.1 pep chromosome:OR_W1943:2:26383343:26387048:1 gene:ORUFI02G29960 transcript:ORUFI02G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATSPARAAAWAFAAATCVKLLLVPTYRSTDFDVHRYWLALTHALPARRWYTDASSQWTLDYPPFFAYFSRLLALPAPLVDASLVSLPVPDAPPSFAYLLYLRLTVAFSDLLLLLSSVLLLATDALTRRRRRPFLSLALVLWSPALLAVDHVHFQYNGFLMGLLLLSLHFLEQGRDLAGGVVFAALLCSKHLFLVAAPVYFVYLFRHYCCGRGVVRGLGRLVLMGAGVAAVFAAAFVPFLYYGQMQQLFNRLFPFGRGLCHAYWAPNFWVFYILLDKILAFLLRRLGFNIQIPEASFTGGLVGNSSPFAVLPKVTPITTFFLVILAMSPCLMKAFSKPQPRHIIRWVSYATTCGFMFGWHVHEKASLHFTIPLALIAMDSLEDAKHYFVLSIVSCYSLFPLLFENQEYPIKVMLLLTYATLMWVGFSSHFAAKSPQEGKKVNESGGVVRKNSFIGWISFSYLLGIVAIELWSQVFHHYVFGSRFPFLPLIMVSLYCGVGMMYSWMWQLAWIVRH >ORUFI02G29970.1 pep chromosome:OR_W1943:2:26396018:26397139:1 gene:ORUFI02G29970 transcript:ORUFI02G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPPPAPPAIGGDPLAATIPPSLPSPAPSSSSLNLSPSLLIITALLAFVFFASVSIHFLLRCLARPSHPAPSPLPRASAAAQRATTASAVEAGEATAASAVGRSHEGEAAAGGGEEVDDEKERLIASLPLFTMASALAALPKSSPDCAVCLSPFAPEAELRLLPACRHAFHASCVDAWLRTTPSCPLCRATVSLPHPPLPTAAAAAASNAAQQDPLDSRSSNNSRSFRVEIGSISNRRSSAAADDRRTYSLGSFDYRVDEEVEAVVSRIARPAAAKSTTGSVTPAPGEALAEAAGSRGWLREYVDRLASSASSLSGRWSGRWSARWSQSHHSNRQEDSWRWDPEAAVMSAPRGVDDDEPGFVTLYRWIVGV >ORUFI02G29980.1 pep chromosome:OR_W1943:2:26397249:26397891:1 gene:ORUFI02G29980 transcript:ORUFI02G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSLNSEPSIDLDNIVAILSSHSPPVLASILANFGGIQAQIVTTGDWSFVRFLERQFSGGGNRANRTRQDATPCVDSESLVLGGMDPWPWLSALVRRRLAVKNVSNPLRTEDTPLQHAPGLSLSWSRGSC >ORUFI02G29990.1 pep chromosome:OR_W1943:2:26401282:26405222:-1 gene:ORUFI02G29990 transcript:ORUFI02G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNFWSMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYSGSMYHGSPLLLLVLGPLTNKRSVGHHDHIYCSLIFVAVDFIAAMLIRATGRRLQIARNRNLKSLELTEAVSNSVNVSAGDIASLIYLWNPWAIVTCVGSCTSPIENLMVVIMIYGACSRLAPLAAFGYVMATHLSLYPAILIVPIILLLGYGPDAPPTKVFRIKSSSASKTDVSDNDKSSSSRDVQQFLWKPVFHFILWMLFWTFYVLLLSSIVLNKVGGLQEMFEKYFFAEVFDFFRSFFLIVFNMNIVFMVLPLAIRLKHRPCFLAFIYTAIVAILKSYPSVGDSALYLGLLGLFANELAEMQFTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATGLAYTCLQTVLVVESVSSMIKHDRKLRLLVTA >ORUFI02G30000.1 pep chromosome:OR_W1943:2:26406803:26407366:1 gene:ORUFI02G30000 transcript:ORUFI02G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLVPVLLLMLGLSTCESSVLQDTCKSVAAGHKYVTYNDCIKAFQADSASATAADARGLAAIAARIAEKAANATSARIAALRAAEKDARRKDRLGVCAEVYSDAVDQLGETAEDIARGGDEATQDAVTQLSAALDAPGTCEDAFGEADDASPLAPEDAEFAKLATIALAVAASLSPPPSTPATMD >ORUFI02G30010.1 pep chromosome:OR_W1943:2:26414471:26417647:1 gene:ORUFI02G30010 transcript:ORUFI02G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPCRVSLCITEEEDEVQPYSSKAATSSTHEVPIQNSPVKSWQWWLMVGVNMFFLIAGQTASTLLGRFYYNQGGNSKWMSTFVQTAGFPVLFIALFLFRSKTSSTQTVTSSPAPTISIPKITLIYVVLGLIIAADDLMYSYGLLYLPVSTYSLICASQLAFNAVFSYFLNAQKFTPLIFNSVVLLTFSASLLGVDEDSQGTTSISHGKYILGFLLTLGASATYSLILSLMQVTFEKVIKRETFSVVLNMQIYTALVATLASLVGLFASGEWMTLQGEMHAFQSGKLSYVMTLLWTAISWQVASVGVVGLIFVVSSLFSNVISTLALPIIPVFAVIFFHDKMDGVKIIAMLMAIWGFMSYGHQLYVDGKKGRKTTVNVEETS >ORUFI02G30010.2 pep chromosome:OR_W1943:2:26415064:26417647:1 gene:ORUFI02G30010 transcript:ORUFI02G30010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSGNSDGGSNRDEEVQIQIADSSKAATSSTHEVPIQNSPVKSWQWWLMVGVNMFFLIAGQTASTLLGRFYYNQGGNSKWMSTFVQTAGFPVLFIALFLFRSKTSSTQTVTSSPAPTISIPKITLIYVVLGLIIAADDLMYSYGLLYLPVSTYSLICASQLAFNAVFSYFLNAQKFTPLIFNSVVLLTFSASLLGVDEDSQGTTSISHGKYILGFLLTLGASATYSLILSLMQVTFEKVIKRETFSVVLNMQIYTALVATLASLVGLFASGEWMTLQGEMHAFQSGKLSYVMTLLWTAISWQVASVGVVGLIFVVSSLFSNVISTLALPIIPVFAVIFFHDKMDGVKIIAMLMAIWGFMSYGHQLYVDGKKGRKTTVNVEETS >ORUFI02G30020.1 pep chromosome:OR_W1943:2:26421816:26422520:1 gene:ORUFI02G30020 transcript:ORUFI02G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVFPDEFARPVKNRYRSQLTSFSGPSKKYCSLSVKNKKKKKKCCSTAEITKHSVRILSHRTVRPFCSTRLHSLQKRRNQLDRCHRKWRIYDINTLNSQFRRSCLGHRHALARQQEDAFILEVGGMATITVPVPGDEVLRTSNARRFFYSTEASIGLTQCWISSLAVHATRLAFTVP >ORUFI02G30030.1 pep chromosome:OR_W1943:2:26426010:26428085:-1 gene:ORUFI02G30030 transcript:ORUFI02G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRCKKHPYQAGGGVCATCLNDRLLALAAAQNGASSSPPPPAAPPAAAAPAFPRSVSPYVSRRKSDSSGGGALKHHPSLLFFRTPQVGPAYGSSGGLEEGDIGYEKRRAGKFSVIATLFGHHHHHHRSEEKDKGGDNRESRNRSWLAGFMPRRRKKQPPAPAAAAAASSPPPRRSCRVVSNRGLSPERDCDGSDEESSSPTDPPWQPSPSPMRRTPCRRRQTSTMPSGFAVCLSPLVRPSPGRRHRHAHPPDPGTFSCELRPSPLHNLSSAASITRCRSRKLADGGRFRMSGLVDVHGLHGLAGDLWAAHDVHM >ORUFI02G30040.1 pep chromosome:OR_W1943:2:26439524:26440069:-1 gene:ORUFI02G30040 transcript:ORUFI02G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAYPTAATLPPRRAPPPSLRPSPPLSWSASIAHLALSPPPVPSNRASLVVRAAWTRRSRKEAEERPNRKSWKQRTDMYMRPFLLNVFFSKRFVHAKVVHRGTSKVISVASTNAKDLRTTLPSLVDDNACRTIGRLIAERSMDADVFAMSYEPKKNERIEGKLGIVIDTIKEHGIIFV >ORUFI02G30050.1 pep chromosome:OR_W1943:2:26443071:26457126:-1 gene:ORUFI02G30050 transcript:ORUFI02G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPCVDFAGTTSTKTTLKTTERHFTPALEAPRGEPRRTKTHWDHLLGEMAWLAKEFDAERKWKLSMAKRIAQRANKGVVDQATKDERKQKEEEVRLRKVALNISKDVFYKNQLELEERKKKALDKQLDFLLGQTERYSTMLAENLVDVRLQNQENDSLQTNQRSQQELAQENINASSPTDVDNVEIDDDYNSSLGEEPKDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYTKNKVSRESSPDGRDVFSDSDSKDLIKDPLNQANGCNDESDHTSSDEGISSEEADDYQSYSEFVKKNTVKCNGNISSVDAKDDEDYVANDEGKDDEATLSEEEELAKKEDCDPLDEVKLLQKESEIPLEELLARYQKDGYADDDTTELENSPALSVEDVNANMPVDDESADTVEVNRDLSADTMKLTRDQSAETVKVNNDQSAEIVEGNNDTFEDHESAGMLGPEHVSGSVLQLETSEPIVQENTAKEGDVTDTKAMANGDNSDVIADAAAAARSAQPTGNTFSTTNVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNYFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIASSETQATLASGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVGMVLDKGPFSQVDLSDMNFVFTQNEYNMTSWEEDEVAAIFSPSITLRGSGISRSTNDGQRSNGTNIFEEIHNSLWEERIKEANERAASIAWWNRVRCQKRPVYGTNIREVLTIKHPVSDILEKKKNPLCHMEFSSSLANLVLSSVDRFKTMLDFIESFTFAIPAARAPAPLFWCNKGKSPVLIEPAYREKCMNEFSPVFSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDILEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHSSLHAENQQKDCSLSAGPSNGTNLALSNVDVEAAIRQAEDEADYMALKRLEQEEAVDNQEFSEEAAGRLEEDDLVNEDDTKPDEHTNEEHKYQCSDLVKDKHVALSINQLDEEKAITLAGGDGDIDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAINYQVNVEEEEWELERIEKLKEDLEAEIDEDQEPLSYESWDVDFATTAYRQHVEALAQKQLFEEQERQAREAAKELEEKNDNSTQRKKSKKNKKKAAKFKSLKKGRLSSESEVMVEETSVDTMSIDDNAPSPELMSDESAHHHSNKHKRIMSTNEEENSNSRSLKKLKKAPKSSFSSEALSPKHFLEGKQLKLKDELNDSDPKSGARIKSDGRISVPCMPVKRVMVIKPERLKKKSLWSRDCASDSWTTEEDAVLCATVNEYGPLWELASDSLHSVPGGAFYRGRYRHPVHCCERFRELVCKHILSATDNSNSEKVPSGTGKAILKVSEDQTQMLLNVISELPKNELLLQKHFMAVLSSVWRSKSARESHCVMSYSNTLQKPGRLSENWSMTNFRPNFNLVRTALADAQVQCPRMVVPTSNHESRRNFLELELDFLTDRDDYEADFPSVVNVSILEPEPLKHAVEPVEHSLLSTLSYRHAENRFRMVSETCFEGEGSHWASSAFHTYDAGRHKSGPKSIGKHKASSESGRPPKSKIQRTTEPQEVPVTNNFHRIPGQLLHNSAEFHITQSLSDLGISDSEFTYFDNLPQEAETEFVPYQYDSDVLSGIEELDPLTDFTDIG >ORUFI02G30060.1 pep chromosome:OR_W1943:2:26461359:26464253:-1 gene:ORUFI02G30060 transcript:ORUFI02G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRVIVVEGDETSNAPKDVCCEYTLDGSVDIKGSPAVKGKSGGWLAGGLILLNQGLATLAFFGVNVNLVLFLTRVLQQSNGDAANNVSKWTGTVYMFSLIGAFLSDSYWGRYKTCAIFQAIFVLGLALLSLSSRLYLIRPVGCGTEHVPCEPHSGAELGIFYIALYMIAFGNGGYQPNVATFGADQFDGEDPAESHSKVSFFSYFYLALNLGSLFSNTFLSFLEDEGNWALGFWVSTAAAATALLLFLGGTLRYRYIRPSGNPVGRIFQVAFAACRNWKAGESPGAVTLYESDEKADSGGRKLLHTEGFRFLDRAAVVGANPKLGTCTQPRDPWKLCTVTQVEEVKSILRLLPIWLCTILYSVVFTQMASLFVVQGAAMRRTTRFPGFSVPPSSMSAFDILTVATTIFLYRRAVCPLVSRLTGRHTGPTELQRMGLGLVLGAMAMATAGTVEHFRKAGATTAMSSDLHIMWQVPQYALIGVSEVMMYVGQLEFFNGEMPDALKSFGSALCMMSMSLGNYFSDVIVSAVTKATAVRGRPGWIPADLNEGHLDKFFFLLAVLAVADFAVYLVCASRYRSGTVDVDRSDGEEEDGVAGRQMAATV >ORUFI02G30070.1 pep chromosome:OR_W1943:2:26484879:26485133:1 gene:ORUFI02G30070 transcript:ORUFI02G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAPTATEFTQEAARQSLIEISQSLPEKPSAQNLEVKSPSPRAANEDHEDGAEKYRSKLISISYLSPDAQPTPCPPKNVLT >ORUFI02G30080.1 pep chromosome:OR_W1943:2:26485142:26494166:1 gene:ORUFI02G30080 transcript:ORUFI02G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVRVWHSISVLYLTFSWKDGNGILLGAYVSNPKKHSGGKKRTDSCTDDFVTKNYLPGTGLLNPCINTEQKGGEGSVNSKAQLIRSPTSPSNGGEVKSNLLAIQGPTDHAFTPLTVNRRPADRRRMAAAAGDSSEPKASIGAGSCWSPPSTSVSESNEHFVIVRMEAMV >ORUFI02G30090.1 pep chromosome:OR_W1943:2:26496000:26500997:1 gene:ORUFI02G30090 transcript:ORUFI02G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGASRLLTACTCSRPAPASVDAEPCLDDALGHSFCYAAAATATAHSSSFRHGISGAALSANSSVPVPLYNASAAAGGVAPGYSSAFHTSSSFSSAPLQLSNLSSGPLFLSGPIDRAGQLSGPLDPAVPFSGPLPAKPPKPASSSSRGFSRRFRKPSFGSLRRSVSEKNRPCAVPLRRDDGVQWAHGRAGEDRVHVVVSEDQRWLFVGIYDGFNGPEAPDFLVTNLYRFLLRELRGIFYKEADADNKKLWQFLVDGDDDDSELDFSGSGRFALSLDRLKESRFHMWAHAAADESGREWGSRRLAPAPAVRDHAAVLAALTRALASTEAAYLDMTDQSMGTHPELAVTGACLLVALVRDDNVYVMNLGDSRAIVAQRPDDGDDGCVFGTMRRMEDVGVGLEIETRPGGCAIIGLKPLQLSTDHSTSIEEEVHRIKREHPDDDQCIVNDRVKGRLKVTRAFGAGYLKQAKLNNGLLEMFRNDYIGDTPYISCTPSLCHHKLTARDQFLVLSSDGLYQYLSNEEVVLHVENFMERFPEGDPAQSLIEELLSRAAKKAGMDFYELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGTYV >ORUFI02G30100.1 pep chromosome:OR_W1943:2:26502102:26503379:1 gene:ORUFI02G30100 transcript:ORUFI02G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDEPPQLFLCPISMELMEDPVTVSTGVTYDRRSIEEWLFVYGRTTCPATMQPLSNFDLTPNHTLKRVISSWLDRGSSSSSSSSPSTSTLSSPIHELATPLSRALEQERLLAALAELEETPFKVTKLKSMRARMAGDVAMQGEFVASGGVRVVGRVMAQALAESGGDFSAFAACEEAAAVLAALPLSDEASVRVVLAPECIRPVMALLQRGGAEARLHAMDILTKISSSGSGGDWTAGVDIDDVIKSLLELLSDEASTRLSSRALDVLLDVVERARGARAKAVEVGAVHVLVELLADADDRRVTERVLLLLKRLCKCPEGRLAFAEHDLSVAAVARTMLRVSELSTQLAVKVLWLVSVVAPSEKVLEDMMLTGAVAKLLGLLHVESSPSTKQKTVRMVRIHGVVWRQYACFPTDFRDYLRLLD >ORUFI02G30110.1 pep chromosome:OR_W1943:2:26505500:26510781:1 gene:ORUFI02G30110 transcript:ORUFI02G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLMYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKEAQLKSRPAKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEINVKVKSVFGAKMFALGVVVKVPVPKQTAKTSFQTTSGKAKYNASIDSLVWKIRKFPGQTEATMSAEVELISTMGEKKSWNRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITRAGSYEIRC >ORUFI02G30120.1 pep chromosome:OR_W1943:2:26512200:26516320:1 gene:ORUFI02G30120 transcript:ORUFI02G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLRDAVGGLDRDPFVSLLGKLIGESRRLQNDPPALVPQEDLVAQHVVDALLPVSTETGGGPLVVRKVSYAEGRSNVIVEYPGTVPGRAISFVGMHMDVVPANPDEWDFDPFSLTFDSEDKDKLRGRGTTDCLGHVALVAQLMRRLGEVKPVLKHSVIAVFIANEENSLITGIGVDGLVKDGLLDKLKNGPLFWIDTADKQPCIGTGGVITWHLKAIGKLFHSGLAHKAINAMELNMEALKEIQTMFYNDFPPHEKEKLYKFATPSTIKPTKWSYPGGGLNQIPGECTISGDIRLTPFYSTTSVVKKLQEYVHDINENIEKLPTRGPVSKYVLPDENLRGRLIINSPLLTIDLARLEITIDEDIMNGVACNLESRGFQALCKATEEIVGHVEPYSITGSLPLIRELQDEGFDVQTAGYGLLKTYHAKNEYCLFSDMAQGFQVFLSIISQLEADV >ORUFI02G30130.1 pep chromosome:OR_W1943:2:26517976:26524922:1 gene:ORUFI02G30130 transcript:ORUFI02G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRWRWPHDGDGLTRSRAGDDLAMPTGGGRAVAARHSRSNLRVPLAVVEELLATLRTIGGPTKIRDSDDLTAADDGRERPMGERGHPALPSRSSTPRAARLSPPCTVRHLWRRREEERKRQGRQRRWADEPFSWAIEGIKEEVVKRRGRDGDGGHAETSRARTTT >ORUFI02G30140.1 pep chromosome:OR_W1943:2:26532129:26532503:-1 gene:ORUFI02G30140 transcript:ORUFI02G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGSAGLKAATVTRGDAMARQRRTGREALMRRRRRRDWCEARRDAGDGDGDMSGAAQPTATATARGGLEMARRRRRDMMRRRGSGGRARRRRRRRCGRGSRPARAAQQWGERELGWLETKSNT >ORUFI02G30150.1 pep chromosome:OR_W1943:2:26532531:26532797:-1 gene:ORUFI02G30150 transcript:ORUFI02G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRSSAALVERRRSCRHAHKVFEKNAYKGEEEAERGGRARGGSWGLVMAANMVCGEEGRWEGVFIGCGKGGLIVDATDGARGSRTT >ORUFI02G30160.1 pep chromosome:OR_W1943:2:26536623:26536946:1 gene:ORUFI02G30160 transcript:ORUFI02G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTSPSCKATICRTPGNHHHGTTSELRAASRRASSLWEEMVLPYNFPPLSPSYFPSSSSCQHHLAVMGVEVANVTKVVGGEGRKIKREEEDEEWLNGGSHAILDTT >ORUFI02G30170.1 pep chromosome:OR_W1943:2:26538739:26542128:1 gene:ORUFI02G30170 transcript:ORUFI02G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVDALCAPCSDTALIYDTFNASAAASFLFDNAAAFCDADILGATATGEKEATSSAAAAAADAAPPRKKRRRRAKSCKSREETETQRMTHIAVERNRRRQMNEYLAILRSLMPEPYVQRGDQASIVGGAIEFVKELEQQLQSLEAQKRTLLPHHKARCDDATPMHNASGSNVGAGGCMEPTTTTSNCSSSVTEDAPSADAPPFAQFFAYPQYVWCHSPRDSTTTTTAASASASASASSSSPATVAAALQSEHRSGLADIEVSLVETHASVRVMSPRRPGQLLKMIAGLQALRLTVLHLNVTTLDSLVLYTLSVKVEEGCSLTTVDDIAAAVHHVLCIVDAEAAASEHLLAAGQLATTATAVAKRELATYMY >ORUFI02G30180.1 pep chromosome:OR_W1943:2:26555680:26555892:-1 gene:ORUFI02G30180 transcript:ORUFI02G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAISWRQRYLLVVAALRVLHGEGRGTALGWGTGERKCAPWVCVAAAPKRTAKTVIWRRMIGRQHDCGGA >ORUFI02G30190.1 pep chromosome:OR_W1943:2:26560786:26563465:1 gene:ORUFI02G30190 transcript:ORUFI02G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATGTPRWSSSSSSFNAFASRTPPPSETCALVILSLLGLQPAHLSPPPRSSTERQSGQLEWDLSQVSMHGTWKAWLHLGSSRRLSPSRNSPRQTEQSVLSTKPSPRLYLHTRLVHPVRQRDAPRLLAPGIVSAVGAAAAAAVSAGAKESVPEGAEGAALTRRKALASTPMMAMTSGEKVGPEESSELEPVMSKAGGGGGKMK >ORUFI02G30200.1 pep chromosome:OR_W1943:2:26562421:26563581:-1 gene:ORUFI02G30200 transcript:ORUFI02G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPTTPNLGSQPTWVPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPAFDITGSSSDDSSGPTFSPLVIAIIGVLASAFLLVSYYTIISKYCGTFSSLRNRLLGSSAHRGSGGGADGGDNSRSQEPWSVALSDGMDETLINKITVCKYRRGDGFVDSTDCSVCLGEFREGESLRLLPKCSHAFHVPCIDTWLKSHSNCPLCRCNIAFVTVGMVSPEPEARVPREDRRDNHELVLTIDNPEHVREEPQNVVTGVAVGNGGRNHEAKDGPGRSEDANGTAEIREDGALMPPTRAPSSLSDTHREGRMSIADVLQASLEDELMVARESGLLAGSSGSSRRCHGEHSKDGGGRSGRALPDGANMKRLAPAGRSCFSSRSGRGKDSVLPM >ORUFI02G30210.1 pep chromosome:OR_W1943:2:26575267:26575905:-1 gene:ORUFI02G30210 transcript:ORUFI02G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPALPFPEAGRRNAGEGQEHSVTPSVPQHRRGMKRLLAVAAADTVRWLLGEMPVHEGVTRAPGRTGGRHVFGLLGRA >ORUFI02G30220.1 pep chromosome:OR_W1943:2:26575988:26577036:-1 gene:ORUFI02G30220 transcript:ORUFI02G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHASPFSLKNRGDMGGRGYEEEEVENQRWPPWLKPLLSTSFFVQCRIHADAHKSECNMYCLDCMNGALCSLCLSHHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSGGYRPRKKHGGCGGGGGGGDGGKKKKKRAALKDARYESEDSCTSTSGGSSDKSSVVQSFTPLTPPPTSASYRTGNKRRKGVPHRSPFGSLIVEF >ORUFI02G30230.1 pep chromosome:OR_W1943:2:26589025:26590902:1 gene:ORUFI02G30230 transcript:ORUFI02G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYCPLCLKCHRRPTSPPFSASGPLSPRFAPPMRRCLLHPPLPPRPSDSPATATDVIPPPHHRQAPPRRPDYSGQNNLPRSEN >ORUFI02G30240.1 pep chromosome:OR_W1943:2:26600269:26602433:-1 gene:ORUFI02G30240 transcript:ORUFI02G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASEKPPHKDYYKVLEVDYDASDDTIKLSYRRLALMWHPDKHKGDNDVTAKFQEINEAYTVLSDPAKRLEYDLSGCYELNRYTLREYLTRFKGMILTCNGLGIDHSSKWARHLRELEPH >ORUFI02G30250.1 pep chromosome:OR_W1943:2:26607571:26614460:1 gene:ORUFI02G30250 transcript:ORUFI02G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQNGAAAVSEAEAAPVQVETGAESGDAESEQYQPFFSMCQPIRSVSYSNSWDMSNLDSMDDKYMLSAPWPENEQPQSENDMDLLDGDTNMQSEPLSTEQPSSNACDPVICLMVHTISLELKYLIQLFYINCDNQDEIDIWDGKTKQDSSSLLDSKLCNSGSGAEPCNMEGQQFSLSFSRNTQSQLVMIKMVSVHSVLLKNILMNQSEDYPELSSDFRPGQQEDAHEFLRCLLDNLDKCTTDPKPKDKPSSFDEESIVKQVFGGRLKSKLTCCECGHCSETYEPFLDLSLEIDQADNLIDALESFTKLERIGDAEDKLNCEHCNAKVCKNKQLMLDRSPDVVAIHLKRFTSLDRSVEKIDKHVVYPLELDLKPFHCDPDINKELKYDLYGVVEHSGSPSYGHYVCSVRSSPSTWHLMNDSHVDLISEASALNQEGYILFYIRQGKFQWFSSLLEQKDDLHPENTSGASPVSVLENIDVDCPTSSGEATNSSGDKLEKDETSQCKTSFLEEPAKGCPIDGINKADLKDEIVPCISSHHDCVANRCPGSAADITNLDRPSTPSPKRKRFFSDDNEFNVFEFEDFGDEDETPLLGNLKFTSKAKKAKVESASKSTKGPCIDKNVTRLVRSMPSTRRKGIVDCLSQLNAEQDSGSCPRSHPLGKKKLGIPVPIKW >ORUFI02G30250.2 pep chromosome:OR_W1943:2:26607571:26614460:1 gene:ORUFI02G30250 transcript:ORUFI02G30250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQNGAAAVSEAEAAPVQVETGAESGDAESEQYQPFFSMCQPIRSVSYSNSWDMSNLDSMDDKYMLSAPWPENEQPQSENDMDLLDGDTNMQSEPLSTEQPSSNDEIDIWDGKTKQDSSSLLDSKLCNSGSGAEPCNMEGQQFSLSFSRNTQSQLVGSGLGNMGNTCFLNSILQYDKDGFCSFCALKEHIDESIRRPGQQEDAHEFLRCLLDNLDKCTTDPKPKDKPSSFDEESIVKQVFGGRLKSKLTCCECGHCSETYEPFLDLSLEIDQADNLIDALESFTKLERIGDAEDKLNCEHCNAKVCKNKQLMLDRSPDVVAIHLKRFTSLDRSVEKIDKHVVYPLELDLKPFHCDPDINKELKYDLYGVVEHSGSPSYGHYVCSVRSSPSTWHLMNDSHVDLISEASALNQEGYILFYIRQGKFQWFSSLLEQKDDLHPENTSGASPVSVLENIDVDCPTSSGEATNSSGDKLEKDETSQCKTSFLEEPAKGCPIDGINKADLKDEIVPCISSHHDCVANRCPGSAADITNLDRPSTPSPKRKRFFSDDNEFNVFEFEDFGDEDETPLLGNLKFTSKAKKAKVESASKSTKGPCIDKNVTRLVRSMPSTRRKGIVDCLSQLNAEQDSGSCPRSHPLGKKKLGIPVPIKW >ORUFI02G30250.3 pep chromosome:OR_W1943:2:26607571:26614460:1 gene:ORUFI02G30250 transcript:ORUFI02G30250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADQNGAAAVSEAEAAPVQVETGAESGDAESEQYQPFFSMCQPIRSVSYSNSWDMSNLDSMDDKYMLSAPWPENEQPQSENDMDLLDGDTNMQSEPLSTEQPSSNDEIDIWDGKTKQDSSSLLDSKLCNSGSGAEPCNMEGQQFSLSFSRNTQSQLVMIKMVSVHSVLLKNILMNQSEDYPELSSDFRPGQQEDAHEFLRCLLDNLDKCTTDPKPKDKPSSFDEESIVKQVFGGRLKSKLTCCECGHCSETYEPFLDLSLEIDQADNLIDALESFTKLERIGDAEDKLNCEHCNAKVCKNKQLMLDRSPDVVAIHLKRFTSLDRSVEKIDKHVVYPLELDLKPFHCDPDINKELKYDLYGVVEHSGSPSYGHYVCSVRSSPSTWHLMNDSHVDLISEASALNQEGYILFYIRQGKFQWFSSLLEQKDDLHPENTSGASPVSVLENIDVDCPTSSGEATNSSGDKLEKDETSQCKTSFLEEPAKGCPIDGINKADLKDEIVPCISSHHDCVANRCPGSAADITNLDRPSTPSPKRKRFFSDDNEFNVFEFEDFGDEDETPLLGNLKFTSKAKKAKVESASKSTKGPCIDKNVTRLVRSMPSTRRKGIVDCLSQLNAEQDSGSCPRSHPLGKKKLGIPVPIKW >ORUFI02G30260.1 pep chromosome:OR_W1943:2:26614037:26616326:-1 gene:ORUFI02G30260 transcript:ORUFI02G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREERFPVWEAALLAGVAAAFATGLVGVYLSMPHSDYSFLKLPKNLQELQILTDHLEDYTSDYTVQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLGGVALVVFAATAGASSCYFLSKLIGKPLVFSLWPDKLGFFQKQVAKRREKLLNYMLFLRVTPTLPNTFINLASPIVDVPYHIFFLATFIGLIPAAYVTVRAGIALGDLSSLSDLYDKQSIALLFLIGVVSVTPTLLGKNEKQQGKGPEMVASSS >ORUFI02G30260.2 pep chromosome:OR_W1943:2:26614039:26616250:-1 gene:ORUFI02G30260 transcript:ORUFI02G30260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREERFPVWEAALLAGVAAAFATGLVGVYLSMPHSDYSFLKLPKNLQELQILTDHLEDYTSDYTVQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLGGVALVVFAATAGASSCYFLSKLIGKPLVFSLWPDKLGFFQKQVAKRREKLLNYMLFLRVTPTLPNTFINLASPIVDVPYHIFFLATFIGLIPAAYVTVRAGIALGDLSSLSDLYDKQSIALLFLIGVVSVTPTLLGKNEKQQGKGPEMVASSS >ORUFI02G30270.1 pep chromosome:OR_W1943:2:26615195:26622779:1 gene:ORUFI02G30270 transcript:ORUFI02G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFKTHDSYSWAESKYREERISYSTGCENYAINYAFQWIKGTTGCNVYGPYLDMDNQDLELLEVLGQLEEAVVRMRHGEVDADETRGKGGRDAGEQCRLPHREPLLPPHLLLSFPCKICDAARRWPFGRKKKRRAAEGKKRKTEEAQPLIDALITITLYHSSSLSCAAARRFPYLLYATTSPVVLDGANESCSPGLLLLKLATESAFVVIFPVSSGSSLPMPSPSPPNHVPRIVPTAITSPSLVVKPMAPDLFHSMYCTP >ORUFI02G30280.1 pep chromosome:OR_W1943:2:26622840:26632234:-1 gene:ORUFI02G30280 transcript:ORUFI02G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVHGVQEHRQSGGGEKKAEQGEKEAAAKVEKVPFLKLFSFADRWDYVLMAVGSLGACAHGASVPVFFIFFGKLINIIGLAYLFPTTVSGRVAKYSLDFVYLGIVILFSSWTEVACWMHTGERQAAKMRQAYLRSMLDQDIAVFDTEASTGEVINAITSDILVVQDAISEKVGNFMHYISRFLAGFAIGFSQVWQISLVTLAIVPLIAIAGGIYAYVTIGLMARVRKSYVKAGEIAEEVIGNVRTVQAFVGEEKAVRTYREALLRTYKYGKRGGLAKGLGLGSMHSVLFLSWALLIWFTSVVVHKNISNGGESFTTMLNVVIAGLSLGQAAPNISTFLRARTAAYPIFQMIERNTVNKASSKAGRTLPSVDGHIQFRDVRFAYPSRPDVVILDRFSLDFPAGKIVALVGGSGSGKSTVVSLIERFYEPLTGAVLLDGHDIKDLDVKWLRQQIGLVNQEPALFATSIRENILYGKGDASMDEINHAAKLSEAITFINHLPDRYETQVGERGIQLSGGQKQRIAISRAILKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVIAHRLSTIRNADTIAVVDSGRIVETGTHEQLMANPRSAYASLIQLQEAAQLQNKQSFSDSASLSRPLSSKYSRELSRTSMGGSFRSEKDSVSRYGTVEAHDEGGHKSKPVSMKKLYSMIRPDWFFGVSGTVSAFVAGSQMPLFALGVTQALVSYYMGWETTKREVRKIAVLFCCGAVLTVVFHAIEHLSFGIMGERLTLRVRERMFAAILRNEIGWFDDTSHTSSMLSSRLETDATLVRTIVVDRSTILLQNIGMIVTSLIIAFIINWRITLVVLATYPLMVSGHISEKMFMKGYGGNLGKSYLKANMLAAEAVSNIRTVAAFCAEEKVIKLYADELKEPAKQSFRRGQGAGLFYGVSQFFLFSSYALALWYGSELMSKEMASFKSVMKSFMVLIVTALAMGETLAMAPDIIKGNQMVSSVFEILDRKTDVLIDAGNDVKRVEGVIELRGVEFRYPARPEVVVFKGLDLLMKAGKSMALVGMSGSGKSTVLSLILRFYDPIAGKVLIDGKDIRKVKLKSLRKHIGLVQQEPALFATTIYDNILYGKDGATEAEVVDAAKLANAHSFISALPEGYRTRVGERGVQLSGGQRQRIAIARAIVKDPAILLLDEATSALDVESERVVQQALDRVMRNRTTVMVAHRLSTIKNADVISVLQDGKIIEQGAHHQLIENRNGAYHKLVSLQQQQQQQDQMQRH >ORUFI02G30280.2 pep chromosome:OR_W1943:2:26622840:26631015:-1 gene:ORUFI02G30280 transcript:ORUFI02G30280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLQGRVILCLCQLCRSEARTPEWRRRGVIGNLLLHGIWQQEIYSHGWVLFQTCLVGNFMHYISRFLAGFAIGFSQVWQISLVTLAIVPLIAIAGGIYAYVTIGLMARVRKSYVKAGEIAEEVIGNVRTVQAFVGEEKAVRTYREALLRTYKYGKRGGLAKGLGLGSMHSVLFLSWALLIWFTSVVVHKNISNGGESFTTMLNVVIAGLSLGQAAPNISTFLRARTAAYPIFQMIERNTVNKASSKAGRTLPSVDGHIQFRDVRFAYPSRPDVVILDRFSLDFPAGKIVALVGGSGSGKSTVVSLIERFYEPLTGAVLLDGHDIKDLDVKWLRQQIGLVNQEPALFATSIRENILYGKGDASMDEINHAAKLSEAITFINHLPDRYETQVGERGIQLSGGQKQRIAISRAILKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVIAHRLSTIRNADTIAVVDSGRIVETGTHEQLMANPRSAYASLIQLQEAAQLQNKQSFSDSASLSRPLSSKYSRELSRTSMGGSFRSEKDSVSRYGTVEAHDEGGHKSKPVSMKKLYSMIRPDWFFGVSGTVSAFVAGSQMPLFALGVTQALVSYYMGWETTKREVRKIAVLFCCGAVLTVVFHAIEHLSFGIMGERLTLRVRERMFAAILRNEIGWFDDTSHTSSMLSSRLETDATLVRTIVVDRSTILLQNIGMIVTSLIIAFIINWRITLVVLATYPLMVSGHISEKMFMKGYGGNLGKSYLKANMLAAEAVSNIRTVAAFCAEEKVIKLYADELKEPAKQSFRRGQGAGLFYGVSQFFLFSSYALALWYGSELMSKEMASFKSVMKSFMVLIVTALAMGETLAMAPDIIKGNQMVSSVFEILDRKTDVLIDAGNDVKRVEGVIELRGVEFRYPARPEVVVFKGLDLLMKAGKSMALVGMSGSGKSTVLSLILRFYDPIAGKVLIDGKDIRKVKLKSLRKHIGLVQQEPALFATTIYDNILYGKDGATEAEVVDAAKLANAHSFISALPEGYRTRVGERGVQLSGGQRQRIAIARAIVKDPAILLLDEATSALDVESERVVQQALDRVMRNRTTVMVAHRLSTIKNADVISVLQDGKIIEQGAHHQLIENRNGAYHKLVSLQQQQQQQDQMQRH >ORUFI02G30280.3 pep chromosome:OR_W1943:2:26622840:26632234:-1 gene:ORUFI02G30280 transcript:ORUFI02G30280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVHGVQEHRQSGGGEKKAEQGEKEAAAKVEKVPFLKLFSFADRWDYVLMAVGSLGACAHGASVPVFFIFFGKLINIIGLAYLFPTTVSGRVAKMPLFALGVTQALVSYYMGWETTKREVRKIAVLFCCGAVLTVVFHAIEHLSFGIMGERLTLRVRERMFAAILRNEIGWFDDTSHTSSMLSSRLETDATLVRTIVVDRSTILLQNIGMIVTSLIIAFIINWRITLVVLATYPLMVSGHISEKMFMKGYGGNLGKSYLKANMLAAEAVSNIRTVAAFCAEEKVIKLYADELKEPAKQSFRRGQGAGLFYGVSQFFLFSSYALALWYGSELMSKEMASFKSVMKSFMVLIVTALAMGETLAMAPDIIKGNQMVSSVFEILDRKTDVLIDAGNDVKRVEGVIELRGVEFRYPARPEVVVFKGLDLLMKAGKSMALVGMSGSGKSTVLSLILRFYDPIAGKVLIDGKDIRKVKLKSLRKHIGLVQQEPALFATTIYDNILYGKDGATEAEVVDAAKLANAHSFISALPEGYRTRVGERGVQLSGGQRQRIAIARAIVKDPAILLLDEATSALDVESERVVQQALDRVMRNRTTVMVAHRLSTIKNADVISVLQDGKIIEQGAHHQLIENRNGAYHKLVSLQQQQQQQDQMQRH >ORUFI02G30280.4 pep chromosome:OR_W1943:2:26631494:26632234:-1 gene:ORUFI02G30280 transcript:ORUFI02G30280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVHGVQEHRQSGGGEKKAEQGEKEAAAKVEKVPFLKLFSFADRWDYVLMAVGSLGACAHGASVPVFFIFFGKLINIIGLAYLFPTTVSGRVAKYSLDFVYLGIVILFSSWTEVACWMHTGERQAAKMRQAYLRSMLDQDIAVFDTEASTGEVINAITSDILVVQDAISEKVHTLLLTPA >ORUFI02G30290.1 pep chromosome:OR_W1943:2:26642611:26644213:-1 gene:ORUFI02G30290 transcript:ORUFI02G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKHLIPHHAAGYTYARAYATTGGGGGDGGDGGGGGDPFEQFPEAVLGLIVSKLPFRSAVAASAISRRWRGVAAAAPALDLDFAAAFPAAPRRRAAFAAAATAALSRPHHPLRRLRLGLDGLFDQAFAASAADHLASWLAAAAARGVEQLELHLPRSRLALLPPSLIACTNLTSLTLRLDHYAHPLPSLCSLTRLSRLHLASIPLAGDDFFADLFSHCKQLRYLILEQCRIGALCLAGTTQLCSLAITDCSWTPQSSVAFSDMPALRTLHYSGAMATRHIIDNVDSLEEVVLAIKKPQVKLQEPNLRELLSLVGNVQSLMLSPWCIEQFARPEEWSKVRLNKVRQLSCIIERREEGASSIAPLLANCQNVEELSVSVVPSQCKRRWGSDDGANHWVMGGKGVVLRHLRAVRMVYIDESKSGLDLVKLLLKNTPMLEMMTIVPSMDGLEQAKFRRRVLKLRKASRDADIQFSATG >ORUFI02G30300.1 pep chromosome:OR_W1943:2:26647107:26650672:-1 gene:ORUFI02G30300 transcript:ORUFI02G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRSEIYPTIAYRPIQPSDLEVLENIHLALFPIRYEREFFLNVVNGNGIISWGAVDTSRSDDRRDELIGFVTTRIIAAQDSEIEDLFRYNSSRKDLTLLYILTLGVVESYRNLGIGVSICMSSHITNLLSAFTIRCYLSLRLPHFYYIRGQHYDSYLFVYYVNGGRSPCSPLEVITSFVVDFRAFLKMVVARFWNKEERSTPRWSRCKESTTLLVSQNNKRIIGGDDTRCHV >ORUFI02G30300.2 pep chromosome:OR_W1943:2:26647150:26650672:-1 gene:ORUFI02G30300 transcript:ORUFI02G30300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRSEIYPTIAYRPIQPSDLEVLENIHLALFPIRYEREFFLNVVNGNGIISWGAVDTSRSDDRRDELIGFVTTRIIAAQDSEIEDLFRYNSSRKDLTLLYILTLGVVESYRNLGIASSLVREGFPEDGGCQVLEQRGEKYTQMVQVQGIDHSLGISE >ORUFI02G30300.3 pep chromosome:OR_W1943:2:26647107:26650672:-1 gene:ORUFI02G30300 transcript:ORUFI02G30300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRSEIYPTIAYRPIQPSDLEVLENIHLALFPIRYEREFFLNVVNGNGIISWGAVDTSRSDDRRDELIGFVTTRIIAAQDSEIEDLFRYNSSRKDLTLLYILTLGVVESYRNLGIASSLVREVIKYAASISNCRGVYLHVISYNQPAISFYNKMLFKLVRRLPHFYYIRGQHYDSYLFVYYVNGGRSPCSPLEVITSFVVDFRAFLKMVVARFWNKEERSTPRWSRCKESTTLLVSQNNKRIIGGDDTRCHV >ORUFI02G30310.1 pep chromosome:OR_W1943:2:26652645:26659671:1 gene:ORUFI02G30310 transcript:ORUFI02G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAASIGPPQPPPPPTSPEEGGWLEKCLNLELWHACSSPLVCLPSVGTRVVYFPQGHSEQVSWLSAMGCSLLVSMVSLVCLVLLQGKPSKTMVDCLAIFIALPVDCLAIFVVMRQCTARWCFFSKTLAEREAFYYVVDNAAVMDFITVNTSGSILINMLKPEYRKFGEWGNRCIWFTTIQFSVISKDLASYISIQPVRYFFKHGFLSTRYFCFFSNIYPSLTKNNGVISGFFSNKSLPVLLSGFVYLLALPVYIYALGVSSVFSHML >ORUFI02G30310.2 pep chromosome:OR_W1943:2:26652436:26659671:1 gene:ORUFI02G30310 transcript:ORUFI02G30310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAASIGPPQPPPPPTSPEEEKCLNLELWHACSSPLVCLPSVGTRVVYFPQGHSEQVSWLSAMGCSLLVSMVSLVCLVLLQGKPSKTMVDCLAIFIALPVDCLAIFVVMRQCTARWCFFSKTLAEREAFYYVVDNAAVMDFITVNTSGSILINMLKPEYRKFGEWGNRCIWFTTIQFSVISKDLASYISIQPVSGQTICVGLLWEYIIGHFLSSTALVSFICCSKTHGYIASAFYNAPQEVLALCSLHNFFNFGLSCTLCTSLLCLDIYPAVSILLGTCHCGWQIHL >ORUFI02G30310.3 pep chromosome:OR_W1943:2:26652436:26659671:1 gene:ORUFI02G30310 transcript:ORUFI02G30310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAASIGPPQPPPPPTSPEEEKCLNLELWHACSSPLVCLPSVGTRVVYFPQGHSEQVSWLSAMGCSLLVSMVSLVCLVLLQGKPSKTMVMRQCTARWCFFSKTLAEREAFYYVVDNAAVMDFITVNTSGSILINMLKPEYRKFGEWGNRCIWFTTIQFSVISKDLASYISIQPVSGQTICVGLLWEYIIGHFLSSTALVSFICCSKTHGYIASAFYNAPQEVLALCSLHNFFNFGLSCTLCTSLLCLDIYPAVSILLGTCHCGWQIHL >ORUFI02G30310.4 pep chromosome:OR_W1943:2:26652645:26659671:1 gene:ORUFI02G30310 transcript:ORUFI02G30310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAASIGPPQPPPPPTSPEEGGWLEKCLNLELWHACSSPLVCLPSVGTRVVYFPQGHSEQVSWLSAMGCSLLVSMVSLVCLVLLQGKPSKTMVDCLAIFIALPVDCLAIFVVMRQCTARWCFFSKTLAEREAFYYVVDNAAVMDFITVNTSGSILINMLKPEYRKFGEWGNRCIWFTTIQFSVISKDLASYISIQPVRYFFKHGFLSTRYFCFFSNIYPSLTKNNGVISGFFSNKSLPVLLSGFVYLLALPVYIYALGVSSVFSHML >ORUFI02G30320.1 pep chromosome:OR_W1943:2:26662222:26680488:1 gene:ORUFI02G30320 transcript:ORUFI02G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAGGSSSNPMSSEASSSAAAAAAGVAVRDVGDDKPLPSAEVDITYWAAQEEAAALLESMAARARGEDDLPEEQLQANNQLQEDEVIALQAIFGDDMVILENKDNLRFIQIFVHYTLPDSIRVFLNLRRSGAMVGTDDSENHNGGELYHLAAKWLDEPKVSYLCAALDEVWTELPGQEVIYRWVDWLNSSPWSSIALNDEIVLDPDKTLKIGDERAIARRILVESTIPLMQSYSEKRSHKIFLESLLVCGICLSEDVGRNFIKLPCHHSFCLKCMESHCKIHVKEGNLTQLACPDTNCRNPLPPSILKSLLRDDGYAQWESFALQKLLDAMPDLVYCPRCSAACLEVDNDAQCPGCFFTFCTLCKRRRHVGDTCITPEEKIRILKERQKLYSIPEEQLLKEKREIDELINIQEALRDSKQCPRCKMAISKIEGCNKMTCGNCGRFFCYRCNKAIGGYDHFWNGNCDMFEREQDENPQQQDDENFGGDPDEDAELLEPEWVLLTYPCPNCGRRNEKANLTSTLVPPLFAVPSDYDFMDTSEDEGQVSSGSPSPISLNIKASEQSIPWEIPMSSEASSSSSAAVAVRDLGDDNPSASPEVDTTYWAAQEEATALLESMAARVRGEEELSEEQMQANDQLQEDEVIALEAIFGGDMVILENKDSLRFIQIFVHYSLPDGIRVFLNLRRSGALVGTGDNENHNGGEIAAFTTCCADMFVATRSGWMNQKFLTCVLRLMRFGQSSQGKKSYTDDRTSKFGDERAIARRILVESTIPLMQIYSEKRSHKSTAPGVMLLACKLTMMLNVRTVFLPSALCANNAAMWGTHERQKLHSMPAEQLLKERRELEELMNIQEALRSSKQCPHCKMAISKIEGCNKMICVNCGGYFCYRCNQAIKGYEHFWGGNCVLFGTHAHYQIRNPQQQRDENPGDHAELLEQRVQLTYPCPNCGSRNEKYPKLELSYSNEGQVSSGSLVAAVEITMMTPGSSSTSVPGDEADAGNWDAGVETAARLEAMVHAEDELSEEQIQANNQTQEDELLALQAIYGDDLVIFDNKDGLRFFQISLHYQLAGDIRVYLNVCPNGRTETGAENDDDDDSDRLLYACSLQHLPPVVLTCLLPRLYPSHRAPYFVVAAKWLDEPEVSSFCSVLDEIWAEQPAGQEVVYKWVDWLSTSSWSCIASDDQIVFGPDADSAGGDDRAIGRSCSLDSMIPLIQRYSKERSHEIFARRIHECGVCLSENTGRNFIQLPCSHSFCVKCMETQCRIHVKEGSVARLTCPDTSCRRPLPPALLRGLLGDGEYARWESLVLRGCWTRCPTCSAACVAAGDDAQCSRCFFTFCAVCRERRHVGDTCVSPNQMLDIMLERQKEKRPLAAPSPDSQAVSSKRKMEELLSLREVMRTSRQCPSCKMAVSKTAGCNKMVCSNCGRPFCYRCSRAITGYEHFAKLFESVGKGWFPGQAMWMNLEYDYDEIAEIGTPSWIRAIRYPCPTCGAKRTKSGNNDLLTCRGCRTHYCALCSKKVWSIAEHYGPAE >ORUFI02G30320.2 pep chromosome:OR_W1943:2:26662222:26680488:1 gene:ORUFI02G30320 transcript:ORUFI02G30320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAGGSSSNPMSSEASSSAAAAAAGVAVRDVGDDKPLPSAEVDITYWAAQEEAAALLESMAARARGEDDLPEEQLQANNQLQEDEVIALQAIFGDDMVILENKDNLRFIQIFVHYTLPDSIRVFLNLRRSGAMVGTDDSENHNGGELYHLAAKWLDEPKVSYLCAALDEVWTELPGQEVIYRWVDWLNSSPWSSIALNDEIVLDPDKTLKIGDERAIARRILVESTIPLMQSYSEKRSHKIFLESLLVCGICLSEDVGRNFIKLPCHHSFCLKCMESHCKIHVKEGNLTQLACPDTNCRNPLPPSILKSLLRDDGYAQWESFALQKLLDAMPDLVYCPRCSAACLEVDNDAQCPGCFFTFCTLCKRRRHVGDTCITPEEKIRILKERQKLYSIPEEQLLKEKREIDELINIQEALRDSKQCPRCKMAISKIEGCNKMTCGNCGRFFCYRCNKAIGGYDHFWNGNCDMFEREQDENPQQQDDENFGGDPDEDAELLEPEWVLLTYPCPNCGRRNEKANLTSTLVPPLFAVPSDYDFMDTSEDEGQVSSGSPSPISLNIKASEQSIPWEIPMSSEASSSSSAAVAVRDLGDDNPSASPEVDTTYWAAQEEATALLESMAARVRGEEELSEEQMQANDQLQEDEVIALEAIFGGDMVILENKDSLRFIQIFVHYSLPDGIRVFLNLRRSGALVGTGDNENHNGGEIAAFTTCCADMFVATRSGWMNQKFLTCVLRLMRFGQSSQGKKSYTDDRTSKFGDERAIARRILVESTIPLMQIYSEKRSHKERQKLHSMPAEQLLKERRELEELMNIQEALRSSKQCPHCKMAISKIEGCNKMICVNCGGYFCYRCNQAIKGYEHFWGGNCVLFGTHAHYQIRNPQQQRDENPGDHAELLEQRVQLTYPCPNCGSRNEKYPKLELSYSNEGQVSSGSLVAAVEITMMTPGSSSTSVPGDEADAGNWDAGVETAARLEAMVHAEDELSEEQIQANNQTQEDELLALQAIYGDDLVIFDNKDGLRFFQISLHYQLAGDIRVYLNVCPNGRTETGAENDDDDDSDRLLYACSLQHLPPVVLTCLLPRLYPSHRAPYFVVAAKWLDEPEVSSFCSVLDEIWAEQPAGQEVVYKWVDWLSTSSWSCIASDDQIVFGPDADSAGGDDRAIGRSCSLDSMIPLIQRYSKERSHEIFARRIHECGVCLSENTGRNFIQLPCSHSFCVKCMETQCRIHVKEGSVARLTCPDTSCRRPLPPALLRGLLGDGEYARWESLVLRGCWTRCPTCSAACVAAGDDAQCSRCFFTFCAVCRERRHVGDTCVSPNQMLDIMLERQKEKRPLAAPSPDSQAVSSKRKMEELLSLREVMRTSRQCPSCKMAVSKTAGCNKMVCSNCGRPFCYRCSRAITGYEHFAKLFESVGKGWFPGQAMWMNLEYDYDEIAEIGTPSWIRAIRYPCPTCGAKRTKSGNNDLLTCRGCRTHYCALCSKKVWSIAEHYGPAE >ORUFI02G30320.3 pep chromosome:OR_W1943:2:26669373:26682126:1 gene:ORUFI02G30320 transcript:ORUFI02G30320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWLNSSSWSFIALNDEIVLSPDRTSKFGDERAIARRILVESTIPLMQIYSEKRSHKVFLQSLSECGICLSEDAGINFINLPCHHFFCVKCMESHCKIHVKERNLTQLTCPDTNCRSPLPPSLLKSLLRDDGYAQWESFALKKLLDAMPDLVYCPRVALSLQSFCYTQLCYFFHKKKDENDIYLMERQKLHSMPAEQLLKERRELEELMNIQEALRSSKQCPHCKMAISKIEGCNKMICVNCGGYFCYRCNQAIKGYEHFWGGNCVLFGTHAHYQIRNPQQQRDENPGDHAELLEQRVQLTYPCPNCGSRNEKYPKLELSYSNEGQVSSGSLVAAVEITMMTPGSSSTSVPGDEADAGNWDAGVETAARLEAMVHAEDELSEEQIQANNQTQEDELLALQAIYGDDLVIFDNKDGLRFFQISLHYQLAGDIRVYLNVCPNGRTETGAENDDDDDSDRLLYACSLQHLPPVVLTCLLPRLYPSHRAPYFVVAAKWLDEPEVSSFCSVLDEIWAEQPAGQEVVYKWVDWLSTSSWSCIASDDQIVFGPDADSAGGDDRAIGRSCSLDSMIPLIQRYSKERSHEIFARRIHECGVCLSENTGRNFIQLPCSHSFCVKCMETQCRIHVKEGSVARLTCPDTSCRRPLPPALLRGLLGDGEYARWESLVLRGCWTRCPTCSAACVAAGDDAQCSRCFFTFCAVCRERRHVGDTCVSPNQMLDIMLERQKEKRPLAAPSPDSQAVSSKRKMEELLSLREVMRTSRQCPSCKMAVSKTAGCNKMVCSNCGRPFCYRCSRAITGYEHFAKLFESVGKGWFPGQAMWMNLEYDYDEIAEIGTPSWIRAIRYPCPTCGAKRTKSGNNDLLTCRGCRTHYCALCSKKTRRSWKLEH >ORUFI02G30320.4 pep chromosome:OR_W1943:2:26669373:26680488:1 gene:ORUFI02G30320 transcript:ORUFI02G30320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWLNSSSWSFIALNDEIVLSPDRTSKFGDERAIARRILVESTIPLMQIYSEKRSHKVFLQSLSECGICLSEDAGINFINLPCHHFFCVKCMESHCKIHVKERNLTQLTCPDTNCRSPLPPSLLKSLLRDDGYAQWESFALKKLLDAMPDLVYCPRVALSLQSFCYTQLCYFFHKKKDENDIYLMERQKLHSMPAEQLLKERRELEELMNIQEALRSSKQCPHCKMAISKIEGCNKMICVNCGGYFCYRCNQAIKGYEHFWGGNCVLFGTHAHYQIRNPQQQRDENPGDHAELLEQRVQLTYPCPNCGSRNEKYPKLELSYSNEGQVSSGSLVAAVEITMMTPGSSSTSVPGDEADAGNWDAGVETAARLEAMVHAEDELSEEQIQANNQTQEDELLALQAIYGDDLVIFDNKDGLRFFQISLHYQLAGDIRVYLNVCPNGRTETGAENDDDDDSDRLLYACSLQHLPPVVLTCLLPRLYPSHRAPYFVVAAKWLDEPEVSSFCSVLDEIWAEQPAGQEVVYKWVDWLSTSSWSCIASDDQIVFGPDADSAGGDDRAIGRSCSLDSMIPLIQRYSKERSHEIFARRIHECGVCLSENTGRNFIQLPCSHSFCVKCMETQCRIHVKEGSVARLTCPDTSCRRPLPPALLRGLLGDGEYARWESLVLRGCWTRCPTCSAACVAAGDDAQCSRCFFTFCAVCRERRHVGDTCVSPNQMLDIMLERQKEKRPLAAPSPDSQAVSSKRKMEELLSLREVMRTSRQCPSCKMAVSKTAGCNKMVCSNCGRPFCYRCSRAITGYEHFAKLFESVGKGWFPGQAMWMNLEYDYDEIAEIGTPSWIRAIRYPCPTCGAKRTKSGNNDLLTCRGCRTHYCALCSKKVWSIAEHYGPAE >ORUFI02G30320.5 pep chromosome:OR_W1943:2:26662222:26669719:1 gene:ORUFI02G30320 transcript:ORUFI02G30320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAGGSSSNPMSSEASSSAAAAAAGVAVRDVGDDKPLPSAEVDITYWAAQEEAAALLESMAARARGEDDLPEEQLQANNQLQEDEVIALQAIFGDDMVILENKDNLRFIQIFVHYTLPDSIRVFLNLRRSGAMVGTDDSENHNGGELYHLAAKWLDEPKVSYLCAALDEVWTELPGQEVIYRWVDWLNSSPWSSIALNDEIVLDPDKTLKIGDERAIARRILVESTIPLMQSYSEKRSHKIFLESLLVCGICLSEDVGRNFIKLPCHHSFCLKCMESHCKIHVKEGNLTQLACPDTNCRNPLPPSILKSLLRDDGYAQWESFALQKLLDAMPDLVYCPRCSAACLEVDNDAQCPGCFFTFCTLCKRRRHVGDTCITPEEKIRILKERQKLYSIPEEQLLKEKREIDELINIQEALRDSKQCPRCKMAISKIEGCNKMTCGNCGRFFCYRCNKAIGGYDHFWNGNCDMFEREQDENPQQQDDENFGGDPDEDAELLEPEWVLLTYPCPNCGRRNEKANLTSTLVPPLFAVPSDYDFMDTSEDEGQVSSGSPSPISLNIKASEQSIPWEIPMSSEASSSSSAAVAVRDLGDDNPSASPEVDTTYWAAQEEATALLESMAARVRGEEELSEEQMQANDQLQEDEVIALEAIFGGDMVILENKDSLRFIQIFVHYSLPDGIRVFLNLRRSGALVGTGDNENHNGGEIAAFTTCCADMFVATVISKYMCSIFYYLSEVVG >ORUFI02G30330.1 pep chromosome:OR_W1943:2:26678307:26681532:-1 gene:ORUFI02G30330 transcript:ORUFI02G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAPPTSFLSSLDPGGWRRRPFRRSPPPISAALMTNPAYFEVGRYLGGYGFMNITSYSSSQFGGLPDVAGIQNLGLGYSPEEIERLRAQDVGEGEVNIRLYEGRVVQGPLKGTEALFKVYPGSRSGASEADLMAVNELRTHAFLQNDASDICENIQFLLGAFETATGEQWLAFRDDGRYSAADYAKLTSERKLKEQSGSISFWNPYDRAYKLELKRYFVLKLLYGAMCGLVHMHNHDRLHQSLGPSSVVLKYSSVGSGALSDGLWRRASAAGASTPLEKRAFGIADDMQRLLENTFRLDIYAAREYFLADDRLSEAVDFLDLGDGAGWELLQAMLNPDYRKRPIAEAVLNHRFLTGAVLWN >ORUFI02G30340.1 pep chromosome:OR_W1943:2:26682369:26683391:1 gene:ORUFI02G30340 transcript:ORUFI02G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRERRQLRLSVPASPPPFPHLDHPFAALPSTPPGSPVLAELEMLSVVGRGAGGTVYRARHRRTGAALAVKEMRDDGAALREAGAHLRVAAAAPDHPSVVRLHGVCVGHPVAGNRFVYLVLEYLPEGSLSDVLVRGALPEPAIAGVTRCVLRGLSHLHRLGVAHGDVKPSNLLVGHRGEIKIADFGASRVVTGRDEAHHQSPGTWAYMSPEKLHPEGFGGGGGADFSGDVWSLGVVLLECHAGRFPLVAAGERPDWPALVLAVCFAAAPEVPVAASPEFGGFVRRCLEKDWRRRATVEELLGHPFVAGKPSRCERQNEWRTTFQDKTGQVNTSIYGEE >ORUFI02G30350.1 pep chromosome:OR_W1943:2:26688346:26689463:-1 gene:ORUFI02G30350 transcript:ORUFI02G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCAKVGLNRGSWTPQEDMRLIAYIQKHGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTADEEDTIIKLHGLLGNKWSKIASCLPGRTDNEIKNVWNTHLKKRVSQREKPGDTKKKGKAADASDDADAHSPSSSASSSTTTAANNNNSGDTAGEQCGTSKEPENVDVSFFEQDIDISDMLVDAPTEAPLVAAPMPPSPCSSSSLTTTTCVGAVSDELLDLPEIDIEPDIWSIIDGYGGDEPGDGDATVPCTASPGEEGAEWWVENLEKELGLWGPMDESLAHPDPPGQVCYPGPLTETEGDPVSTYFQSGPTASPLQEIASPAVLS >ORUFI02G30360.1 pep chromosome:OR_W1943:2:26695297:26698693:1 gene:ORUFI02G30360 transcript:ORUFI02G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEVVDGCLVRFNGVPCSAMSSGLGSRHPEPSNGLPYGACLRMATAGTSSMSHLIFDNSNTPMIPTLNVNVSYVSIHI >ORUFI02G30370.1 pep chromosome:OR_W1943:2:26700939:26701202:-1 gene:ORUFI02G30370 transcript:ORUFI02G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGRIVAAAGRGVAASGPGGRWAMALAPAAAPQSERRRRRGAGRSEGVAETRQKRRRDAIWGRIGAERRARLRGAPLRLSSATD >ORUFI02G30380.1 pep chromosome:OR_W1943:2:26702056:26702544:-1 gene:ORUFI02G30380 transcript:ORUFI02G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEFAANGGIHGSLTDTSSASVSAQRRRCGTACRHSVTSPSPTPSPTSSSRYKTRSRRRHRRRRR >ORUFI02G30390.1 pep chromosome:OR_W1943:2:26706272:26707486:1 gene:ORUFI02G30390 transcript:ORUFI02G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQLSLSPGPKQQQHDDGGNIGIGSDAAEVMAVPEESSAAKGRSVREERTIHLIPLLTFLCFLLLFLFSHDPSSADMSSFRDGGNGGNRRLRML >ORUFI02G30400.1 pep chromosome:OR_W1943:2:26709787:26710056:1 gene:ORUFI02G30400 transcript:ORUFI02G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGGGMIWATAEDLARSRPVVLSLYRQILRALNSPALPLGHAARLAKKAECRAIFIFAAEERSIHNIRDLLDAARHTLGLLHRGRVP >ORUFI02G30410.1 pep chromosome:OR_W1943:2:26710538:26715970:-1 gene:ORUFI02G30410 transcript:ORUFI02G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAADERGLAAAAAGEDEEETSPIEEVRLTVPASDDTALPVWTFRMWSIGLLSCALLSFLNQFFSYRTEPLIVTQITVQVASLPVGHFLARVLPRRAFRAPALLGGGEWSLNPGPFNMKEHVLISIFANAGCAFGNGNAYAVMIVDIIRAFYKRSISFVAAWLLIITTQVLGYGWAGLMRKFVVEPAHMWWPGTLVQVSLFRALHEKDELPHGSRQISRSKFFLVALICSFAWYAVPGYLFPTLTSISWVCWVFSKSVTAQQLGSGLKGLGVGAFSLDWTAISSFLFSPLISPFFATANIFVGFVLFLYVLVPIAYWGFDLYNAKTFPIFSSHLFMSNGTSYDITAIVNDKFELDIDAYNKLGRINLSVFFALAYGLSFATIASTVTHVGLFYGKEIYHRFRASQKEKPDIHTRLMKKYDDIPVWWFYSLMALSMTVALILCTVLKHETPGLNVITEYAIGLIIPGHPIANVCFKVYGYMSMSQAIAFLSDFKLGHYMKIPPKSMFLVQFIGTIVAGTVNLGVAWWLLGSIHDICQDSLPADSPWTCPNDRVFFDASVIWGLIGPIRIFGPHGNYSALNWFFLIGAAGPVIVYIFHKMFPNKKWITLINLPVLIGATASMPPATAVNYNSWLLFGTIFNFFVFRYRKKWWERYNYILSAALDAGVAFMAVLLYFSLSMENRSIDWWGTAGEHCPLATCPTAKGVDLGPTSVCPVF >ORUFI02G30420.1 pep chromosome:OR_W1943:2:26744875:26747554:1 gene:ORUFI02G30420 transcript:ORUFI02G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEARFFLAAVFAVAATCLCLSAVASAFAVPSVAFDEGYSPLFGDDNLVRSSDDKSVRLLLDRRSGSGFISSDYYLHGFFSASIKLPKAYTAGVVVAFYQVAYQPHALVAQGRQQGEPHGLFGLSSPKRLRRLHPRINLSNGDVYEKTHDELDFEFLGSRWGGQWRVQTNAYGNGSTARGREERYLLPFDPTLEAHRYSVLWAPTHIIFYIDDTPIREVIRHPGMGGDFPSKPMAVYATIWDGSTWATDGGKYKVNYKYAPFASEFSDLALLGCRADPVLRAPRDGGGAGCAEPDLLGLLTADYAVMTPRKRAAMRAFRARHMTYTVCYDAVRYAAGPFPECDVSDVEKESFSAWGESKNVVMKARGRGRRRGRKAGAGAMSRLDVSSS >ORUFI02G30420.2 pep chromosome:OR_W1943:2:26744875:26747554:1 gene:ORUFI02G30420 transcript:ORUFI02G30420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEARFFLAAVFAVAATCLCLSAVASAFAVPSVAFDEGYSPLFGDDNLVRSSDDKSVRLLLDRRSGSGFISSDYYLHGFFSASIKLPKAYTAGVVVAFYLSNGDVYEKTHDELDFEFLGSRWGGQWRVQTNAYGNGSTARGREERYLLPFDPTLEAHRYSVLWAPTHIIFYIDDTPIREVIRHPGMGGDFPSKPMAVYATIWDGSTWATDGGKYKVNYKYAPFASEFSDLALLGCRADPVLRAPRDGGGAGCAEPDLLGLLTADYAVMTPRKRAAMRAFRARHMTYTVCYDAVRYAAGPFPECDVSDVEKESFSAWGESKNVVMKARGRGRRRGRKAGAGAMSRLDVSSS >ORUFI02G30420.3 pep chromosome:OR_W1943:2:26744875:26747554:1 gene:ORUFI02G30420 transcript:ORUFI02G30420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFVPTNTLWLSIDPNGFVRVRRHLQLSNGDVYEKTHDELDFEFLGSRWGGQWRVQTNAYGNGSTARGREERYLLPFDPTLEAHRYSVLWAPTHIIFYIDDTPIREVIRHPGMGGDFPSKPMAVYATIWDGSTWATDGGKYKVNYKYAPFASEFSDLALLGCRADPVLRAPRDGGGAGCAEPDLLGLLTADYAVMTPRKRAAMRAFRARHMTYTVCYDAVRYAAGPFPECDVSDVEKESFSAWGESKNVVMKARGRGRRRGRKAGAGAMSRLDVSSS >ORUFI02G30420.4 pep chromosome:OR_W1943:2:26744875:26746127:1 gene:ORUFI02G30420 transcript:ORUFI02G30420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALEARFFLAAVFAVAATCLCLSAVASAFAVPSVAFDEGYSPLFGDDNLVRSSDDKSVRLLLDRRSGSGFISSDYYLHGFFSASIKLPKAYTAGVVVAFYQVAYQPHALVAQGRQQGEPHGLFGLSSPKRLRRLHPRINVPLSCHAHSTVSHQFNHH >ORUFI02G30440.1 pep chromosome:OR_W1943:2:26760650:26768248:1 gene:ORUFI02G30440 transcript:ORUFI02G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDEVALKPVSCGARLRRSCDASLRFGGSMRDPFLKHKVKKFDLSSLDWIDEIPECPVFSPSIEEFEDPLVYLNKIAPIAAKYGICKIVSPLCASVPIGPVLMKEQGGLKFTTRVQPLRLAEWSKDDKFAFFMSGRKYTFRDFEKMANKEFVRRYSSAACLPPRYMEEEFWHEIAFGKMQSVEYACDIDGSAFSSSPNDQLGTSKWNLKRLSRLPKSTLRLLRAAIPGITDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGKAAPDFEKVVCEGENAAFDVILGKTTMFPPNILLRHHVPVYRAIQKPGEFVITFPRAYHSGFSHGFNCGEAVNFAIGEWFPLGALASQRYALLKRTPLLPYEELLCKEAALLDHEFSTCDYKDTTTLAGETHSQRCMKVPFVQLMRVQHRIRWSLMKMGARTHYKADIDATVLCGICRRDCYVAHIMCNCRIDAICLCHEEEIRRCPCSCDRVVFVRKDIFELETLSKKFEEESGILDAVKKQMARRDGASQHSNFFDCTDHEAEYYPYCNIHIDPSPEIYSISETNFVGYDLNNPHPAASTVTFSFVPHEYSTQSDECTSSNRRALSSSCLENTITPENAISNACQLSTPDQTCLSDKLAAHDTDDSDCEIFRVKRRSGLTPEKRHMEDGTTNFTGNQVLKRLKKINAHDRQEHKLPELSCGARSEPVHTDDCIHCVDFISENGDDFIAPTKLKMIHQLDANIVEDEVASSQKYNSCNYQSPSIELGPKRLKIRGPSFPSRISELEEITSTDCHVAYATK >ORUFI02G30450.1 pep chromosome:OR_W1943:2:26775643:26780952:1 gene:ORUFI02G30450 transcript:ORUFI02G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAHPDLQLQISPPPATKSMDLGFWKRALETSTTTTTAAAAASTGPSVATSSSPPVASGGVGGGAGGFYQQAAVAPAANGHGHGHGHHHHHHQHHQLGGALQFLHRTQPIPPQDAAAGGGLQDLAFARPIRGIPVYNTSRPLPFLQSHHLQHHQHCYADTIGVAPGAGPRSPSKQAAALRLAAAPAKRGARAPRMRWTTSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKTTDHKQPAPPYGQTKIIIEIPDDNLFDINNTSGSESSVQQQSNLDGNEQGSSMCALRSNNSSSRGAWFHDKSRDATHGDIKSFEDVQSQSPEDDDASDLNSPPFQIPETTVSAMKPNLDFTLGRM >ORUFI02G30460.1 pep chromosome:OR_W1943:2:26787482:26787823:1 gene:ORUFI02G30460 transcript:ORUFI02G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGKGGKDPAIGAQIHSPGSRGHSDLEADARELQNGSTRQRSALVVEKPTSGLLGPTEVEAVAEAADSPRAKAEAEVATRPWAEAVPDALEPIEVEAIRSNRSEVRGGQIQ >ORUFI02G30470.1 pep chromosome:OR_W1943:2:26791630:26799474:1 gene:ORUFI02G30470 transcript:ORUFI02G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGELWDDSALVDAFDHAVATFKAMHSKNTQATTSENEEPGDPAVAAPAGEENISAEVADELIEKDGSQTEPCEASETPYQTHEERKSTEQAPLQEKDLDKEAHFSEPKIHASDVADAEQKDTSNQQTWDYNELVKKYYELEEQSRKVLEQLHQTNYWNYQVPGQSSVYQQPQVPAYSATAPDPHSSTIQSPCCCANVPLVSVSCCSTGQTSGVSSCMQPSGGCSISLTCDQCPGTSATDSTGATCVQQAEKVSTDSDQVAKAAMMTAEGAMNFMRSTISGDLGSFPRTDAASGKESMPMGMNPNFDTMGADSDLAVVLNAWYAAGFYTGSLPVKMSDNLMDKVSAFGERLKITGSEVSKKMTAGMSSMSFKMKEIFQGQTPADKIVEEATSENLDGPDWSANLEICDLINTEKVNSVELIRGIKKRIMLKDARVQYLSLVLLETIVKNCEKAFSEVAAERVLDEMVRLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPARSVAEAEVDANFSQQTFEDVQVHTYTAEETKEAFDVARNSIELLSTVLSSSPQQDALQDDLTSTLVQQCYQSQHTIQRMIETAGDNEAMLFEALSVNDEIQKVLSKYEQMKKPAVSENAEQRPVVIPIATEHEDSATVGNEDALVRKPAGSRARSGGDDDILDDLDEMIFGKKGGSSSQEGPKKQDPKKDDLISF >ORUFI02G30480.1 pep chromosome:OR_W1943:2:26803869:26806949:-1 gene:ORUFI02G30480 transcript:ORUFI02G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVAAPEAQPQVAAAVEEAPPEAVTVFRSKLPDIDIPSHLPLHEYCFARAAELPDAPCLIAAATGRTYTFAETRLLCRRAAAALHRLGVGHGDRVMVLLQNCVEFAVAFFAASFLGAVTTAANPFCTPQEIHKQFKASGVKLILTQSVYVDKLRQHEAFPRIDACTVGDDTLTVITIDDDEATPEGCLPFWDLIADADEGSVPEVAISPDDPVALPFSSGTTGLPKGVVLTHRSVVSGVAQQVDGENPNLHMGAGDVALCVLPLFHIFSLNSVLLCAVRAGAAVALMPRFEMGAMLGAIERWRVTVAAVVPPLVLALAKNPFVERHDLSSIRIVLSGAAPLGKELEDALRARLPQAIFGQGYGMTEAGPVLSMCPAFAKEPTPAKSGSCGTVVRNAELKATAATIDVEGWLHTGDIGYVDDDDEVFIVDRVKELIKFKGFQVPPAELESLLIAHPSIADAAVVPQKDDVAGEVPVAFVVRAADSDITEESIKEFISKQVVFYKRLHKVHFIHAIPKSASGKILRRELRAKLAAC >ORUFI02G30490.1 pep chromosome:OR_W1943:2:26808193:26810134:-1 gene:ORUFI02G30490 transcript:ORUFI02G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLVLSQAQHQVGIAATSPAQAQAAEQAAFRGRDHRAPCANLDEARKAHARHVKLGLDRSPRHARPLLAACALAADWPGSMAYAASIFAALDDPEAFDYNTLMRGYVAGGGGGGRDPAAALRLFVDMVDDGVEPDSYTFPFVFKACAQLGALQEGRQLQGHLVKLGFQRDEHSQNSLISFYGKCGEADLARRAFEQMEDDEQTTASWSALLAAYTRAGRWAECVESFGAMVRAGWRPDESSMVSALSACAHLGAHDVGRSIHCALLRNTARLNTFMSTSLVDMYAKCGCIENAAAVFDAMDDKNAWTYSAMVSGLALHGDGRKALEVFDAMVREGHRPDAAVYVGVLNACSRAGLLEEGLRCFDRMRLEHKLTPNAQHYGCMVDLMARAGRLDDARALIGSMPTGPTDTAWRSLLNACRIHGNLELAERALQELERLGATNAGDYIILSDMHARAHNRDAAAARRTEAVDRGLAQAPGYSAVEVHGATHRFVSQDRSHPRADDIYEMLHQMEWQLRFEGYTPDTSELALDAGEEEKRRVVAAHSQKLAMAFGLLSTPEGAPVRVVTNLRMSKECHAYSALISEIFGREIVVRDRNRFHRFKRGACSCRNYW >ORUFI02G30500.1 pep chromosome:OR_W1943:2:26815575:26820195:1 gene:ORUFI02G30500 transcript:ORUFI02G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKAAAAALSTAGVVAFSSERAYADGGAPAFRFPGFSAPPTPPPAAQPPPPTPPAPAPAAEEKRKVRNDHPRTSAAGFDPEALERGAAMLKQIENSPHGKKVFEILKQQEDVRRAENLTKKVEFQKELAAIELEKTRVDYDERKKLEQQRAQVKSQMSRYEDELARKRMQADHEAQRVRNQELVKMQEESAIRQEQMRRAIEEQIQEERRKTDRAKAIVEKEIEQEKILAEANARIKLKKQTEDVERRLLIEGAKAEKEKWVQLINTTFEHIGGGLQTILTDQNKLVVAVGGVTALAAGIYTTREGARVVWGYVDRILGQPSLIRESSRGKYPWSGVFSRAMSTMTSKLNKGSNLGNNGNGFGDVILNPSLQKRVKQLANATANTKLHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEDERSKLLKLYLDKYIMKAGEKHEKSWLRFFRGQPQKIEVKGVTDDLIREAAAKTEGFSGREIAKLMASVQAAVYGSKECVLTPDLFREVVDYKVAEHQQRRRLAGYEQKNA >ORUFI02G30510.1 pep chromosome:OR_W1943:2:26821007:26822382:-1 gene:ORUFI02G30510 transcript:ORUFI02G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRFSAGAHRRAKSAVEVVETLAPNMNSGEPDSQAVPADSPHGIEVGVEFKPVEHPVEPVNLDQPVKCPLPEPSILHDGRIWKERIATAGVRVMTDLPVVKEGSQLESDSSGARSRSAVPKRAILPSVSAPENNILALLDECDVPESLRPAE >ORUFI02G30520.1 pep chromosome:OR_W1943:2:26822700:26827295:1 gene:ORUFI02G30520 transcript:ORUFI02G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDPNPFDEGADENPFSNGGGGGARRGGGGGGGGGGGGGKSQFSFGFGGLGGGGGGDSKGGATVDIPLDNMSDSKGKGKELLQWEADLKRREADIRRREEALKSAGVPMEEKNWPPFFPIIHHDIANEIPANAQKLQYLAFASWLGIVLCLFWNFIAVIVCWIRGGDSKLFFLATIYGMLGMPLSYLMWYRPLYRAMRTDSAFSFGWFFLCYMLHIAFCVFAAIAPPVIFRGKSLTGILAAIDTFSDHAIVGIFYFVGFALFCLETLVSIWVLQKVYLYFRGHK >ORUFI02G30530.1 pep chromosome:OR_W1943:2:26828003:26830890:1 gene:ORUFI02G30530 transcript:ORUFI02G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSLHATTSLHSPCTTNTSFRQNQVIFFTTRSNRRGSTRYGGARTFQVSCSVDKPVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYEQVKAIKEGKAIEKPIYNHVTGLLDPPELIQPPKIFVIEGLHPMFDERVRDLLDFSIYLDISDEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAFIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVKLIMKEGVKNFNPVYLFDEGSSITWVPCGRKLTCSYPGIKFAYGPDTYFGHEVSVLEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQIIAERAGAPTEAAKV >ORUFI02G30540.1 pep chromosome:OR_W1943:2:26831342:26832454:-1 gene:ORUFI02G30540 transcript:ORUFI02G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPEFRDWANLPELPLSEVLRGLLPCLRSVYAFAAACQPWRRLLRDSAADLVRPRIPPLLLLCPTYRVVPFSQLVVAALLSSYPVPGDATLLSASRGHLVLLRRRDPFHGLHLVDALTGATRHALPLPSPHFAYHYAALAPSRRLLLFHSKHAFFSLPVGDAGHNPRLDWTKHSLPRAASFVRSILEFRGRVLGLTDRAQLLEFHLDANPPNKSAQMLHAAGLPEVSTFDRWHFGPHLVAAGDRLLLVLFMMGPKLGHMFETLVSVKKVGVYALDMVKMRWEEVDNIGAYSLFVDCAGRSTAACVDVENCGVEANRIYIAAPGCRDWYAWRPGREVPLGGQGLGPLSIQAMNHLPWPSQIWIYPRLLF >ORUFI02G30550.1 pep chromosome:OR_W1943:2:26833099:26834679:1 gene:ORUFI02G30550 transcript:ORUFI02G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSLLIARSTMCLQTANDTTAPAKPAGAGLQLKLLVDKHARRRARTRWTSSSASSAFVSFVEADADVSLEEFGDSLCGGFVFSTQICHNLVDAAGITQL >ORUFI02G30560.1 pep chromosome:OR_W1943:2:26845512:26850203:1 gene:ORUFI02G30560 transcript:ORUFI02G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYFLQRMEGEQAAGDLADIVLRAGGAAAAAVAGGGIPSTEWQLPPAEEEEEEPGLFPLPPSSSDGSGMSGADAFGDPFAGLPDPFGGDYPSSSGGAAAAADFFDAVVAKAGFVDVGVLGGGGGGGCDGGGVDGGGGGSSLLGMSKPILPRAAMQLPSVSPRAIRPYPVMAGDTVKLGAPMAGGPCAFDGAAAAGLHMSSSPRGAVGGIKRRKNQARKVVCIPAPAAAGGRTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSHHAKNSSSNSSSSGASSASKNNSSHSGYHHHHHQKPLVKAEPNDQSAAATTAATVPVKEEAAMVGTSSEALAKTTQKSMEDAAAAASATAAAVEHSDLMQQMFSQSYRPMIPEAAAGGHHDDFFADLAELESDPMSLIFSKEYMATNYKPAGDPAGKEMNAVDKGLDPAYMLDWSSTTVVTRAGGSSFMQGEGGL >ORUFI02G30570.1 pep chromosome:OR_W1943:2:26857679:26858197:-1 gene:ORUFI02G30570 transcript:ORUFI02G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHHHPVSAKGRRERRRDGGGAAGQRGGGVRGAAARAETSSGGDGGDLTARSLPLRLYSGDLHLQIRYAPPLSHGMQSRGRQLRMFGGPPKMACVMEGLLERCFSPPCAKKEIEDRIEELLGCSKESPVQIIMGSDDGRNTSGNVFAGMDRYVFL >ORUFI02G30580.1 pep chromosome:OR_W1943:2:26867923:26868222:-1 gene:ORUFI02G30580 transcript:ORUFI02G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCRICVVLLSSGQKRGWFTTLAVGIGKWTELGGWRLENGDIMPEFRTRGLGLAMSLSVWVGGSEEREMKMRRWAVDGLAGNFGVKLYSSIVIITTGM >ORUFI02G30590.1 pep chromosome:OR_W1943:2:26868704:26873935:1 gene:ORUFI02G30590 transcript:ORUFI02G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAMESGQRAALLPESHGPKTEDDSLQVPLLKDKKRSGSKAPAIVLGFECLESTAFNGISTNLVVYLETVLHGSNLASASNVTTWYGTSYLTPIFGAIVADTFLGNYNTILISLAVYLLGMMLVTFSAFLPATAALCAAGATCGTGAAAAQTVAFVGLYLVAVGSGGVRSSLLPFGAEQFDDDSAADRERKAAFFSWFYLCVDFGLIVSGVLLVWIQQNVSWGLGFGIATACIAVAFAAFVLATPMYKRRLPTGTPLKSLAQVVVAVFRKVGMKLPADAELLYEVSDKVDSQPKIAHTSEFTFLDKAAVVSESDLEERPEAASSWKLCTVTQVEELKILLRLLPIWATSIIVSAAYSQMSTTFIQQGSAMDMHIFSVPVPAASLSSFQVLCVLTWVILYSKVIVPALRGFSSSGAAGEPSQLQRMGAGRLLMALAMAVAALVETKRLNAAASGEAINIAWQMPQYFFLAGAEVFCYIAQLEFFFGEAPDTMKSTCTSLALLTIALGSYLSSLIYAVVEAITATAGGHGWISDDLNQGHLDYFFWMLAAMCTLNFVVYSGFAKNYKLKTVLS >ORUFI02G30600.1 pep chromosome:OR_W1943:2:26874138:26878538:1 gene:ORUFI02G30600 transcript:ORUFI02G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGTSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKAEFQAIVNDPLMLNSVILVFANKQDMKGAMTPLEVCEGLGLYDLKNRIWHIQGSCALKGDGLYEGLDWLASTLKGLEASGRLPSGGTSLF >ORUFI02G30600.2 pep chromosome:OR_W1943:2:26874138:26878603:1 gene:ORUFI02G30600 transcript:ORUFI02G30600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGTSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKAEFQAIVNDPLMLNSVILVFANKQDMKGAMTPLEVCEGLGLYDLKNRIWHIQGSCALKGDGLYEGLDWLASTLKGLEASGRLPSGGTSLF >ORUFI02G30610.1 pep chromosome:OR_W1943:2:26879465:26886971:1 gene:ORUFI02G30610 transcript:ORUFI02G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPAASTSASDAGMLGRRVVMLPSAAAALGLRRGRARMRLGCVLEHVAPRLAVASAALLGAGEVIAAAGKSGGAGHAAVASTLAQLTVTAVAIASGACLSTKVDFLWPRIEQLPDTLIFEGVEVTEYPIFEDPKVQKAIVFASTAHIGQFRKTGDPYVTHCIHTGKILAALVPSTGERAINTVVAGILHDVIDDTAENLKSIEEQFGDDVASLVSGVSKLSYINQLLRRHRQKNTGGSTLTSEEANNLRGMLLGMVDDPRVVLIKLADRLHNMRTIYALPIRKAEAVAQETLAVWCSLASRLGVWALKAELEDLCFAVLQPHVFKKMRSELTTMWNSTNKTKSTRRSSIRSGLPASTKDVHTTSVHDFFSLSNQEKPNMKDLLQAVLPFDLLLDRKRRSYFLNNLHGSSETSVPKPKIVDDAAVALASLAACEEELEQELLISTSYIPGMEVTLSSRLKSLYSMYCKMKRKHVGIKQIYDARALRVIIGDKNGALHGPAVKNCYSVLDIVNRLWTPIDGEFDDYIINPKGSGYQESKVDYRSGTSNKIGQSTSYPSSSSEDENYIQDVMPSKYSSMKMGHPVLRIEGSQLLAAVIVSIDKGGKELLVAVSFGLEASEAVAERRSCFQLKRWETYARVYKKVSEKWWCAPGHGDWSTNLEKYTLCQDGIFHKQDQFGRLLPTFIQLIDLTEEEEEEYWMVVSAIFEGKEASSLTPDSSNTERSTSEPPSSTPLSDPINNKVHLLRTMLQWEEQVRRGASLAEKSLSVGTCTEPILREVAIICWPYGKIMRMSLGSTAADAARRMGVEGKLLWVNGQLVLPQTELKDGDIVEVRM >ORUFI02G30620.1 pep chromosome:OR_W1943:2:26888248:26891602:1 gene:ORUFI02G30620 transcript:ORUFI02G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAGTAVAMDFHALSRRELQALCKRNGVRANMTNAAMADALQSLPTVDGVDEIGTAALCLPTPSRSTMKSALKAAAAIGEEQQHGSPLPRGRRVSVMSPEAIRLDVEEGEDEMKRDLVKEIVRTPGVALRSTSRRARATPAPIPTPATTRRTAAARKVEEAAPTPATLRRSQRTAARKAAAPVVEEVTATKTTTRRSARSKVMVDLEQEVEDMAVALQEVKVQGEDPKDVASDEKCDEEEEATKILGGNSKEEESEEGEEVVSSAAPTKLAVISVMSCDDPKEEEIVATGEEPAKTQEVMEDSPILGVLSKPEPVEPLSEKIEDASVGDGLGFGKLSALKEITGEMNDKEVDADEVPEEKLPADVTDDKTSEEDDLNEVEKLSAVEIPQADLTGDKTSEEEDLNEVKEGSAYENPQADRIDAESSEEDDLDGEYSEESDIDGEYSEGMLDEESAAEEYASSEETDDESDPSEVATDSDEVEVEKLQVAMEDGLTAEANQVDDEEDDFSGDLPSDFDNADNFSDDETESDATVVISSASKAAVVKTLDDSSVTEASSEEEVSQQEVEASVNSIVKSLDEFTFTVEGTQKDELTEEMKSTDDAEDVGAKELKKEKKKKKPTVQELNATSMRKLKTMLKEELIAKAAAGEGKRLALAELDDNAGGVDC >ORUFI02G30630.1 pep chromosome:OR_W1943:2:26892480:26892980:1 gene:ORUFI02G30630 transcript:ORUFI02G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPTQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIARVMRPRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQQEISDGEVEIPSA >ORUFI02G30640.1 pep chromosome:OR_W1943:2:26897049:26903913:-1 gene:ORUFI02G30640 transcript:ORUFI02G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARLPLQSSSGHNAAAGGGGGKTIEEMYQKKTQLEHILLRPDTYIGSVEKHTAQLWVYEDGAMVSRSVTYVPGLYKIFDEILVNAADNKQRDPSMDSLRVEIDADEGRISVYNNGDGIPVEIHQEEGVYVPEMIFGHLLTSSNYDDNVKKTTGGRNGYGAKLTNIFSTEFVIETADGRRQKKYKQVFSENMGKKSEPQITKCKQGENWTRVTFKPDLAKFNMTHLENDVVALMRKRVVDMAGTLGKTVKVELDHQKVPVHSFSDYVKLYIKSASKDRDDVNELPSISQKVNDRWEVCVSLSEGQFQQVSFVNRIATIKGGTHVDYVTNQIATHVMNIVNKRNKNAHMKAHNVKSHLWVFVNALIDNPAFDSQTKETLTTRQASFGSKCELSDDFLKKVGSSAIVLNLLSWAEFKLSKELQKTDGSKRSRLTGIPKLEDANGAGGKDSNNCTLILTEGDSAKALAMAGISVVGRDYYGVFPLRGKLLNVREASHKQIMENAEIQNIKQILGLQHGKQYDSTKGLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLIKIPSFLVEFITPIIKATNKRDKKIVLPFYSMPEYEQWKESLGGNASGWSIKYYKGLGTSTSSEGRQYFQDIAKHKKDFVWNNDQDDNDIELAFSKKRITDRKEWLTNFQSGTHLDTEGKYIKYSDFINKELIQFSMADLLRSIPSMVDGLKPGQRKILFCSFKRNLVKEIKVAQFSGYVSEHSAYHHGEQSLASTITGMAQDFVGSNNINLLQPNGQFGTRDQGGKDAASARYIFTLLSPITRSIFPKDDDILLNYLDEDGQSIEPTWYVPILPMVLVNGSEGIGTGWSTFIPNYNPRDIVANLRRLLNDEPVEPMDPWYRGFKGSIQKTGTKAGGVSYTVTGIIEVVDDTTLRITELPIRRWSQDYKEFLISIGGTDKSKDKDKDKGKGKGKVKEKEKKEKDIEPFIEAFDTYSDDKNVEFLITLSKENMAIALQEGLEKKFKLTTTIGTTNMHLFDSNGKIRKYDTPEDILKEFFGLRLEFYEKRKRVLLENIELELKKLSNKVRFILAVVEGDIIVNNRKRAELFVELKQKGFDPFPRKKQRAGPSAVGAIEEDEENEESPEAANVGSSDYEYLLSMAIGTLTLERVQQLIAEKGRMENEVAELKRTRPKSLWMRDLDAFEKELDALDEKDSMDAEERRATRNAGGGAAPKAAPKRRPRKTATNTQAAESSDGNAAAPAVPKPAAPRKKPAGKASLADSEDEDYVAAIPKPAAQKKQPAKKASTQLSDDEDDEVLALKDRLAAYNLDDHSEDTAMETETTEEQAKGKKGRKEPSKRGAAKKAISSLAVISDDEEDETVPIDEDDEDDFAMEEVPVKKGRGKKPAAEKPKAATRKRAPAQGKSMRQKVMEEMFKPTEDSSTSAPSPEKKVRKMRASPFHKKSGSVLQRASTASTSTEETESPSPSGSSAEPVAARPKRQTRGNKKSYQEVQELSDDDTEDEVQDISDDSDFAGSDFGEDDD >ORUFI02G30650.1 pep chromosome:OR_W1943:2:26907840:26914305:1 gene:ORUFI02G30650 transcript:ORUFI02G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLARGEGCLCNCRQGFEGILTWMSGAKYQLPPQNKDYPCTFTQYCNNTPGGFQCSCPSSMIDNGYRSGTGCTPLVPPCNDNPKGISGDGMTKGNGCQTGRKNFPNHYGAIIALPVTITTTASCIYWSFKKRERNRKRAELFKKNGGLLLQQRFAAFTSQGMMDLSARLFVKIEFLAKVAEVYKGILRNKNSTVFTVFNESQVEQFVNEISILSQIDHPNVVKLLGCCLETQVPLFAYSQPECSLLLPICNLCLLYQLFTENNILLDGNYVAKVSDFGASRSVPFDQTHMTIVQGTIGYLTASSQRRVIFGVVLAELLTTEKPVSFARPEDLRKLAMYYLVMLVNKGCILQAVKPIILAEAREEQLYDVAHLSIMCLSLKGEQSTMKEVASVLNGLRRSLAKDKAIKGKEVYPQNKNEEEEYLLPGSGDAVSRVSTAQILHSSGYTAAEPAALRALSDIAGRYVASLGRAASAIAEARGRTEPNLADLTLALEDHALGGFPGASDPARPVLRSGALSELAGFVRVVREVPFPKPVPRRGGAPRGKAWESFAAAGKEPPPKHVPRWLPRFPDKPEPEPEPKAAYDEATARWEARVRHEEEANAEEAVVLKPSVDGGGERRGVVPEKRGKVSFRVRAERKKRRVGLDQQ >ORUFI02G30660.1 pep chromosome:OR_W1943:2:26908214:26915291:-1 gene:ORUFI02G30660 transcript:ORUFI02G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGIAVSCKVLSMHGLPLPAPETSRSIYISEGAMQMSEKKALTLAPDIQEWLAMASELPWHAVAQPTAISVFLPEKANGVPARSMSPVPLASVRLPKGRGAFRQLTFGFSGRGRVSTAADAAAGVDTLLYR >ORUFI02G30660.2 pep chromosome:OR_W1943:2:26908214:26912501:-1 gene:ORUFI02G30660 transcript:ORUFI02G30660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTIMLSMDIPWFFPCMVFLFQLRKQEWLAMASELPWHAVAQPTAISVFLPEKANGVPARSMSPVPLASVRLPKGRGAFRQLTFGFSGRGRVSTAADAAAGVDTLLYR >ORUFI02G30660.3 pep chromosome:OR_W1943:2:26908214:26908469:-1 gene:ORUFI02G30660 transcript:ORUFI02G30660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASELPWHAVAQPTAISVFLPEKANGVPARSMSPVPLASVRLPKGRGAFRQLTFGFSGRGRVSTAADAAAGVDTLLYR >ORUFI02G30670.1 pep chromosome:OR_W1943:2:26915641:26919637:-1 gene:ORUFI02G30670 transcript:ORUFI02G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSEGGGGDGEVACCGVGDTSPGTIVWVRRRNGSWWPGRILGPDELPPSQIMSPRSGTPVKLLGREDASVDWYNIEKSKRVKAFRCGEFDACIEKAEATEGTSVKKREKYARREDAILHALELEKKLLASKHQTQGSRPANVSVCSKHNKDLGSTRYKSKKSKKRKGITASSDIKKEAEQYVLHAGSKRNFQDSPTRGISDNLFGNHLGDISHVRHIQAGENLDSKEKITTAEKIRSDGSDFDESIEKCDRRQPLVQILHSSPKLPHQSQHNDGYGDVLTQGEMDRSPANYRAKRSRYVFLPTDSGETHSHSDLPSVQVASTGGDFETESYLHHPAFSEEQTSSDLVEKHIYESSERECSESETEDDAELLQCSDLILHPASHAHDPYFLPASDKFRHANIDADADELTYSSYMCQVNESEEDGSSELGVSQWHMKGKRNSRNAPKRLDMADGNPWLDKSDGFMEGSPYKINGRNPREGSMQIPNQQLLGQNFYQNEEVNYDSEETDFFEDTGHSEVNLYHGRTYSSCLKATRDFSRSYSYFNDYGNDSSKVSPLNRDSDKIFHFDRNAYWSGPSFYQKYSSRLGGRGPMLFDVDLKVQASYQGEHVPLVSLMSRLNGKAIVGHPVQIEILEDGSTDHLVFCGDVSLEGRTGGQPAWCTGRRTAMQRIPRSNPSGALDCDDEGTLAYPDWEMKPDFRKYSNSDFRKYSNSNHQVKVDKKSISNVRRPSASKSQKKQSKKASLSSQKVRTLSSISTGKKHHGVGGQAKAHKQSGIFGGLIKPGGVPLVTCVPAKVAFTRILEAVGRPPLAVAHRVRMASPALRDPS >ORUFI02G30680.1 pep chromosome:OR_W1943:2:26919707:26920281:-1 gene:ORUFI02G30680 transcript:ORUFI02G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPRSRNVRRPRPLDSIPAHVLRHAVLLLLPGFGERGGKKNIPATASAAANQRRRRRGPASRRWRRRRRRRGKGGEEAAAAALLGILAEKLLEAAGGLSEREGQGDLASAEERASRCRRPPGFEWRVDLEREGSF >ORUFI02G30690.1 pep chromosome:OR_W1943:2:26922183:26925131:1 gene:ORUFI02G30690 transcript:ORUFI02G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSHSISSEKSHGLAPRPPLQEAGSRPYMPSLSTASRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPKKDKENAAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVSAADTASTHQAKPAKQRRYIPQSAERTLDAPDLVDDYYLNLLDWGSKNVLSIALGDTVYLWDASSGSTSELVTVDEDSGPITSVSWAPDGQHVAVGLNSFDIQLWDTSSNRLLRTLRGVHESRVGSLAWNNNILTTGGMDGNIVNNDVRIRNHVVQTYQGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSVPSAGRNQWLHRLEDHTAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGSPEAPKPAAKASHTGMFNSFNHLR >ORUFI02G30700.1 pep chromosome:OR_W1943:2:26928292:26931468:1 gene:ORUFI02G30700 transcript:ORUFI02G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGMERAGYGVGVGGAGAVGAGVVLSRDPKPRLRWTPDLHERFVEAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGKQNKKDTGLEASRGAFAAHGISFASAAPPTIPSAENNNAGETPLADALRYQIEVQRKLHEQLEVQKKLQMRIEAQGKYLQTILEKAQNNLSYDATGTANLEATRTQLTDFNLALSGFMNNVSQVCEQNNGELAKAISEDNLRTTNLGFQLYHGIQDSDDVKCSQDEGLLLLDLNIKGGGYDHLSSNAMRGGESGLKISQHRR >ORUFI02G30710.1 pep chromosome:OR_W1943:2:26932070:26938060:-1 gene:ORUFI02G30710 transcript:ORUFI02G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASTPHGRRRNNNQVELQHPGHGEEEGGIGGSMADTGGRPEVSLATVRSPGHPAASTTAAAAADLGHADTGQEKPTVESAQPANGAAPMGECGTEYRGLPDGDAGGPMPSSARTVSMIPLIFLIFYEVSGGPFGIEDSVGAAGPLLAIIGFLVLPVIWSIPEALITAELGAMFPENGGYVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGVPALGGGAPRAFAVVGLTAVLTLLNYRGLTVVGWVAICLGVFSLLPFFVMGLIALPKLRPARWLVIDLHNVDWNLYLNTLFWNLNYWDSISTLAGEVKNPGKTLPKALFYAVIFVVVAYLYPLLAGTGAVPLDRGQWTDGYFADIAKLLGGAWLMWWVQSAAALSNMGMFVAEMSSDSYQLLGMAERGMLPSFFAARSRYGTPLAGILFSASGVLLLSMMSFQEIVAAENFLYCFGMLLEFVAFILHRVRRPDAARPYRVPLGTAGCVAMLVPPTALIAVVLALSTLKVAVVSLGAVAMGLVLQPALRFVEKKRWLRFSVNPDLPEIGVIRPPAAPDEPLPRISEEAATNEIADTWICTLKLAPSRNRHVCSHEQSINTHTSAKSRAASPRSPAMGSRAAGSVLLRHLCPRVSSSTSAAAHAHAQRPPLAGAGGGGVALWARLLSTSAAAAKEETAASKENTGSTAAAKAEATKAAKEGPASATASPVASSYWGIEASKLASKDGVEWKWSCFRPWETYSPDTTIDLKKHHEPKVLLDKVAYWTVKALRVPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEHSGGWIRALLEEAENERMHLMTFMEVSKPRWYERALVLAVQGVFFNAYFLGYLLSPKLAHRVVGYLEEEAIHSYTEYLKDIEAGKIENVPAPPIAIDYWRLPAGATLKDVVVVVRADEAHHRDVNHFASVRACEFLSLLWALCTACDDWIVLLLVMCMQDVHFQGMDLKDIPAPLDYH >ORUFI02G30710.2 pep chromosome:OR_W1943:2:26932070:26938060:-1 gene:ORUFI02G30710 transcript:ORUFI02G30710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASTPHGRRRNNNQVELQHPGHGEEEGGIGGSMADTGGRPEVSLATVRSPGHPAASTTAAAAADLGHADTGQEPRISEEAATNEIADTWICTLKLAPSRNRHVCSHEQSINTHTSAKSRAASPRSPAMGSRAAGSVLLRHLCPRVSSSTSAAAHAHAQRPPLAGAGGGGVALWARLLSTSAAAAKEETAASKENTGSTAAAKAEATKAAKEGPASATASPVASSYWGIEASKLASKDGVEWKWSCFRPWETYSPDTTIDLKKHHEPKVLLDKVAYWTVKALRVPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEHSGGWIRALLEEAENERMHLMTFMEVSKPRWYERALVLAVQGVFFNAYFLGYLLSPKLAHRVVGYLEEEAIHSYTEYLKDIEAGKIENVPAPPIAIDYWRLPAGATLKDVVVVVRADEAHHRDVNHFASVRACEFLSLLWALCTACDDWIVLLLVMCMQDVHFQGMDLKDIPAPLDYH >ORUFI02G30720.1 pep chromosome:OR_W1943:2:26940453:26947252:1 gene:ORUFI02G30720 transcript:ORUFI02G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVARLEKASTRLTLTGIMDRFKMIKEVGDGTFGSVWRAINKQNGEVVAVKKMKRKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFSEAEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVTSVPPYTEYVSTRWYRAPEVLLQSSIYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAETMKFQFPQVSGNQLAEVMTSVSSEAVDLISSLCSWDPCKRPKAAEVLQHTFFQGCTFVPPTVRSKAGVLPKTPPCVGVKGVSEHGMPRRYSTGTLSTTKPHSNASLKSSGLSKTGVQRKLQMDRQAPQKIKKPTESHPVLRHSRSLPETGRATMHKVSTLTERLSHMSVTSRTRTTPKPAAPLLKAGLGKSDLLGKTDEIPPAKRLTRKLVS >ORUFI02G30720.2 pep chromosome:OR_W1943:2:26940054:26947252:1 gene:ORUFI02G30720 transcript:ORUFI02G30720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVARLVRFKMIKEVGDGTFGSVWRAINKQNGEVVAVKKMKRKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFSEAEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVTSVPPYTEYVSTRWYRAPEVLLQSSIYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAETMKFQFPQVSGNQLAEVMTSVSSEAVDLISSLCSWDPCKRPKAAEVLQHTFFQGCTFVPPTVRSKAGVLPKTPPCVGVKGVSEHGMPRRYSTGTLSTTKPHSNASLKSSGLSKTGVQRKLQMDRQAPQKIKKPTESHPVLRHSRSLPETGRATMHKVSTLTERLSHMSVTSRTRTTPKPAAPLLKAGLGKSDLLGKTDEIPPAKRLTRKLVS >ORUFI02G30720.3 pep chromosome:OR_W1943:2:26946657:26947031:1 gene:ORUFI02G30720 transcript:ORUFI02G30720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPGSSCSTHSVASRSLALLMDTDASSRDDVVPRDGSYGAAGPAAAELRRLKWCAHTWSDGSGTANGGRAAATDDDDDSCALLDAVRLIGCSLPAPDDGSGGGGGIIIAGLSLVEPTRE >ORUFI02G30730.1 pep chromosome:OR_W1943:2:26946394:26950319:-1 gene:ORUFI02G30730 transcript:ORUFI02G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSTKDGGEGGHRSATATPNSGKSLTSQLKDMVLKFSGSGRHQYKSGGSPSLRTSRFHRSSRLAAYPGIIDESGFTSDGAGEAYTYMRTTTASAGARAAPSTWDLPPKVNHRSFQPRVIRSPSASGVPSIGEEDYDDDDDDDDEETVLLEEDRVPREWTAQVEPGVQITFVSIPGGAGNDLKRIRFSREMFNKWEAQRWWGENYDRVVELYNVQTFSRQQGFSTPTSSVDEAMQVTSVSSLRDSFYSRVGSTRESPAMMMPPPPPLPSSGAGREHPISRTASSKAQLSSSSSVAAARPPFYPSTAVPDPSDHVWAHHFNLLNSAAAGPAAPYDPSRGTTSSRDEASVSISNASDLEATEWVEQDEPGVSITIREFGDGTRELRRVRRERFGEERAKVWWEQNRDRIHAQYL >ORUFI02G30730.2 pep chromosome:OR_W1943:2:26946394:26950319:-1 gene:ORUFI02G30730 transcript:ORUFI02G30730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSTKDGGEGGHRSATATPNSGKSLTSQLKDMVLKFSGSGRHQYKSGGSPSLRTSRFHRSSRLAAYPGIIDESGFTSDGAGEAYTYMRTTTASAGARAAPSTWDLPPKVNHRSFQPRVIRSPSASGVPSIGEEDYDDDDDDDDEETVLLEEDRVPREWTAQVEPGVQITFVSIPGGAGNDLKRIRFSREMFNKWEAQRWWGENYDRVVELYNVQTFSRQQGFSTPTSSVDEAMQRDSFYSRVGSTRESPAMMMPPPPPLPSSGAGREHPISRTASSKAQLSSSSSVAAARPPFYPSTAVPDPSDHVWAHHFNLLNSAAAGPAAPYDPSRGTTSSRDEASVSISNASDLEATEWVEQDEPGVSITIREFGDGTRELRRVRRERFGEERAKVWWEQNRDRIHAQYL >ORUFI02G30740.1 pep chromosome:OR_W1943:2:26975472:26978855:-1 gene:ORUFI02G30740 transcript:ORUFI02G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPYASLSPAVADHRSSPAAATASLLPFCRSTPLSAGGGGVAMGEDAPMTARWPPAAAARLPPFTAAQYEELEQQALIYKYLVAGVPVPPDLVLPIRRGLDSLAARFYNHPALGYGPYFGKKLDPEPGRCRRTDGKKWRCSKEAAPDSKYCERHMHRGRNRSRKPVETQLVAQSQPPSSVVGSAAAPLAAASNGSSFQNHSLYPAIAGSNGGGGGRNMPSSFGSALGSQLHMDNAAPYAAVGGGTGKDLRYTAYGTRSLADEQSQLITEAINTSIENPWRLLPSQNSPFPLSSYSQLGALSDLGQNTPSSLSKVQRQPLSFFGNDYAAVDSVKQENQTLRPFFDEWPKGRDSWSDLADENANLSSFSGTQLSISIPMASSDFSAASSRSTNGD >ORUFI02G30750.1 pep chromosome:OR_W1943:2:26988177:26988851:1 gene:ORUFI02G30750 transcript:ORUFI02G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVAWRGQEGRARPRFRQIQRHGGAAAVRWGGRGDVVVSLSLSVHGAAAVAADVGRHLASARSGGMEAAAVRRGRRGDGGVSFSLSLCSWWRGAAAVAADVMEVVGRTVWRQAAGPRLHQIRWLRPGGGGRGGGPDLASARSGGMEAAVVRQGWERPRHRGERKIPAFGCDFGN >ORUFI02G30760.1 pep chromosome:OR_W1943:2:26999350:26999990:1 gene:ORUFI02G30760 transcript:ORUFI02G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAQRHGPWGNGCSAKWPLVQFSCAWKLANSSIAMGAAGRIERERTRSCTSIRWGALGSTCFGVCHDDLATGYLLNEFTDDCHANVWIHR >ORUFI02G30770.1 pep chromosome:OR_W1943:2:27005714:27009713:1 gene:ORUFI02G30770 transcript:ORUFI02G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAAATGALAPLHPLLRCTSRHLCASASPRAGLCLHHHRRRRRSSRRTKLAVRAMAPTLSSSSTAAAAPPGLKEGIAGLYDESSGVWESIWGEHMHHGFYDAGEAASMSDHRRAQIRMIEESLAFAAVPDDAEKKPKSVVDVGCGIGGSSRYLANKYGAQCYGITLSPVQAERGNALAAEQGLSDKVSFQVGDALEQPFPDGQFDLVWSMESGEHMPDKRQFVSELARVAAPGARIIIVTWCHRNLEPSEESLKPDELNLLKRICDAYYLPDWCSPSDYVKIAESLSLEDIRTADWSENVAPFWPAVIKSALTWKGLTSLLRSGWKTIRGAMVMPLMIEGYKKGLIKFTIITCRKPETTQ >ORUFI02G30780.1 pep chromosome:OR_W1943:2:27010253:27013046:-1 gene:ORUFI02G30780 transcript:ORUFI02G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANRRQGGIQQLLAAEQEAQQIVNAARSAKSARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEQETDTKIAQLKEQAANVSPEVIQMLLRHGPVIFVPDGAVLLLGYFVNYGAGKWVILKDDESETATSMDADCRRLRDRSTTRRAADRRLPDCAGACPCRASFGSPCGAGGVVSAPRHRRRMAVRRRADIVTLLHC >ORUFI02G30790.1 pep chromosome:OR_W1943:2:27013770:27014132:1 gene:ORUFI02G30790 transcript:ORUFI02G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVATVTRPLPSLFMVRTADGVPHGLLHAGLAEDVDEEVPGVAGVIHRPPRPDEEADGGLGTGVADAGPEHLLRDLRFRIPRLDPARISRNASARGESSSPSSRPFSVAALVGDKRRD >ORUFI02G30800.1 pep chromosome:OR_W1943:2:27022762:27026036:-1 gene:ORUFI02G30800 transcript:ORUFI02G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRSIPTVLPLSGQPPTRTHPYRDLLPIPRRFSPSPDRAPRSHGLTSPPPPPPKSTPPNPATPASLISSAVAADLHYCPSPDLHAATNLRPRRPRRSHPRSTGAATRIADQLRASTSRTTSPPSSLTSPPDLLWIATDCQASTSVHRYPPAARHFDFRAPYHILRTWHPIVTAHDLTHLTAAAVRDKRQWRPRVPPSTTDNATPLPPQELPLLKARYGSKCFSQWGAYCLQRCN >ORUFI02G30800.2 pep chromosome:OR_W1943:2:27022894:27026036:-1 gene:ORUFI02G30800 transcript:ORUFI02G30800.2 gene_biotype:protein_coding transcript_biotype:protein_coding QRSIPTVLPLSGQPPTRTHPYRDLLPIPRRFSPSPDRAPRSHGLTSPPPPPPKSTPPNPATPASLISSAVAADLHYCPSPDLHAATNLRPRRPRRSHPRSTGAATRIADQLRASTSRTTSPPSSLTSPPDLLWIATDCQASTSVHRYPPAARHFDFRAPYHILRTWHPIVTAHDLTHLTAAAVRDKRQWRPRVPPSTTDNATPLPPQELPLLKVIHSIDV >ORUFI02G30810.1 pep chromosome:OR_W1943:2:27027429:27029878:1 gene:ORUFI02G30810 transcript:ORUFI02G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLSLLILVPPIGLLAALAFLARPRAARIPLKGRHVLITGGSSGIGLAMATAAAREGARVSILARNAARLEEARGAIRAATGRDVGVHAADVRDADAVARALAEAGAVDVLVCNHGVFVPQELEKQEMEEVKWMVDINLMGTFHLVKAALPAMKERTKETRLPASIAIMSSQAGQVGVYGYTAYSASKFALRGLGEALQHEVIADNIHVSLIFPPDTETPGFAEENKRRPELTNIIAGSSGGMKADDVARKALDGIKSGKFIVPCNFEGAMLAVATAGLSPQSSPLTAFLEIIGAGVMRFAAICFQFNWFMTIENWYAKNKKHA >ORUFI02G30820.1 pep chromosome:OR_W1943:2:27030583:27034768:1 gene:ORUFI02G30820 transcript:ORUFI02G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSPCAAPSPSLRCPLALSHPFASPPPPPALRLAGPKLLPGRLAVSPPPGIPAAASALESLILDLDDDEEDEDEETEFGLFQGEAWAAADEREAVRSPELVVPELEELPEQWRRSRIAWLCKELPAYKHSTFTRILNAQRKWITQDDATYVAVHCLRIRNNDAAFRVYSWMVRQHWFRFNFALATRVADCLGRDGKVEKCREVFEAMVKQGRVPAESTFHILIVAYLSVPKGRCLEEACTIYNQMIQMGGYKPRLSLHNSLFRALVSKTGGTAKYNLKQAEFVYHNVVTTNLDVHKDVYAGLIWLHSYQDVIDRERIIALRKEMKQAGFDEGIDVLVSVMRAFSKEGNVAETEATWHNILQSGSDLPVQAYVCRMEAYARTGEPMKSLDMFKEMKDKNIPPNVASYHKIIEIMTKAREVDIVEQLMNEFIESDMKHLMPAFLDLMYMYMDLDMHEKLELTFLKCIARCRPNRILYTIYLESLVKVGNIEKAEEVFGEMHNNGMIGTNTKSCNIMLRGYLSAEDYQKAEKVYDMMSKKKYDVQADSLEKLQSGLLLNKKVIKPKTVSMKLDQEQREILIGLLLGGTRMESYAQRGVHIVHFQFQEDSNAHSVLRVHIHERFFEWLSSASRSFDDGSKIPYQFSTIPHQHFSFFADQFFLKGQPVLPKLIHRWLTPRVLAYWFMFGGSKLPSGDIVLKLSGGNSEGVERIVNSLHTQSLTSKVKRKGRFFWIGFQGSNAESFWRIIEPHVLNNFASLVTQEGSSIGSDGTQDTDTDSDDDMQMSDTERDE >ORUFI02G30830.1 pep chromosome:OR_W1943:2:27034111:27034440:-1 gene:ORUFI02G30830 transcript:ORUFI02G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGKPTSGGSGTSRSSNIRGLLQDFLEQQHRLDVRRQEALERHAQERAAIEQQWRQSMQALERERLMLEQAWMEREEQRRVREEARAERRDELLTTLLNRLLQDDDL >ORUFI02G30840.1 pep chromosome:OR_W1943:2:27048135:27055407:1 gene:ORUFI02G30840 transcript:ORUFI02G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKGKKRGHTSSHKRRGPAWKPNGGRPLCVLHRQRRGGEKKRPSLDGKLQAFALFACLSPPSRPVPSPRQEQRACEIRSRRRIRMANGGVCLSCSALVCALVFLTVDGDFVDITYVASAVAKGAVCLDGSPPAYHLARGFGSGVNSWLVHFEGGGWCSNVTTCLQRKRTRLGSSKQMAKQIAFSGILSNTPDYNPDFYNWNKVKVRYCDGSSFTGDVEKVDPATKLHYRGARVWQAVMDDLLAKGMNSANNALISGCSAGGLTSILHCDRFRDLFPVDTKVKCLSDAGFFINEKDIAGVEYIVAFFNGVATTHGSAKNLPSACTSRLSPGMCFFPQNEVKQIQTPLFILNAAYDSWQVRNILVPGFADPHGFRDDFLKALKEQGTPSTRGLFINSCFVHCQSETQETWFASGSPMLETKTIADAVGDWFYDRNPFQKIDCPYPCDSTCHNRIYDDPSEA >ORUFI02G30840.2 pep chromosome:OR_W1943:2:27048135:27055407:1 gene:ORUFI02G30840 transcript:ORUFI02G30840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKGKKRGHTSSHKRRGPAWKPNGGRPLCVLHRQRRGGEKKRPSLDGKLQAFALFACLSPPSRPVPSPRQEQRACEIRSRRRIRMANGGVCLSCSALVCALVFLTVDGDFVDITYVASAVAKGAVCLDGSPPAYHLARGFGSGVNSWLVHFEGGGWCSNVTTCLQRKRTRLGSSKQMAKQIAFSGILSNTPDYNPDFYNWNKVKVRYCDGSSFTGDVEKVDPATKLHYRGARVWQAVMDDLLAKGMNSANNDIAGVEYIVAFFNGVATTHGSAKNLPSACTSRLSPGMCFFPQNEVKQIQTPLFILNAAYDSWQVRNILVPGFADPHGFRDDFLKALKEQGTPSTRGLFINSCFVHCQSETQETWFASGSPMLETKTIADAVGDWFYDRNPFQKIDCPYPCDSTCHNRIYDDPSEA >ORUFI02G30850.1 pep chromosome:OR_W1943:2:27052901:27060669:-1 gene:ORUFI02G30850 transcript:ORUFI02G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSWEVDAAGPPWRPSESTAFLPFAAAAAAGDRAGASLSGRRNGLAARSSNLSSVRKRPFVARLTTDIVQTFGKCNPEFKYSDSLNPKRFLTNPSVPAHNDGLDNANSDLILYVNLELVNKKSDRRYVIKEMLGQGTFGQVAKCWDGETNSYVAVKVIKNQPAFYQQAIMEVSLLSMLNEKYDPDDQHHIVRMLDFFLYQNHLCIAFEMLGHNLYELLKRNSLRGLQLKYVRTFSRQILDALVVMKDAGIIHCDLKPENILITPNVKTAAGVKVIDFGSACLEESVLQISGKYDVLCRMIEILGGQPPDDLLREAKNTGRFFKQVGSIYPGIEMQNGPISAYRILTEEEIETRESKKPKVGRWYFPRGRLDKLIYTYPWKNLNGENLPETEKTDRLALVDFLRGLVEFDPNKRWSPLQASYHPFITGEAFTGPYEPIQETPRIPVGRVAAVDHNPGGGHWLAAGLSPQVVGSINRGLPFNNAFAPKIPFSYGSSCGSFGSHGSFNDNVGLASSYGSYDVNSVNMYHSPLGPSGFNLHSQAGGTFLGSSPDIRRRSYLYHGGGIRLSPGCPGPMSLGASPSQFTPPNSQMQIPSTATGKYGSTSPARSSHGSLGKAAAVGQYNRRRNLGHPPISMPPHEYTSQLIQGHHGDGTISNHFDGYARGHSGYPQSALPNPGHFSWRPHTCAGSGLSTDTSNHGSFPPSRYGGFPPTHSSNVSADTLASTSSIPDPADWDPNYSEESLLQEDTSLSDALSDLHLKDASGQTNQSSRLAHIQSHAIANSNSLSMNQRGDRLFHASTLTESSASTGHVTYDGYHNANYSQLNFQSRHGQPFQRYNHMTASYLRPMGNHHNGQPVWPNYGMAEPPPATMADGMPWGGRPGHSFTAGGLPSSFAGKDFGRIF >ORUFI02G30850.2 pep chromosome:OR_W1943:2:27052901:27060669:-1 gene:ORUFI02G30850 transcript:ORUFI02G30850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSWEVDAAGPPWRPSESTAFLPFAAAAAAGDRAGASLSGRRNGLAARSSNLSSVRKRPFVARLTTDIVQTFGKCNPEFKYSDSLNPKRFLTNPSVPAHNDGLDNANSDLILYVNLELVNKKSDRRYVIKEMLGQGTFGQVAKCWDGETNSYVAVKVIKNQPAFYQQAIMEVSLLSMLNEKYDPDDQHHIVRMLDFFLYQNHLCIAFEMLGHNLYELLKRNSLRGLQLKYVRTFSRQILDALVVMKDAGIIHCDLKPENILITPNVKTAAGVKVIDFGSACLEGKTIYSVGITDLRNGQPPDDLLREAKNTGRFFKQVGSIYPGIEMQNGPISAYRILTEEEIETRESKKPKVGRWYFPRGRLDKLIYTYPWKNLNGENLPETEKTDRLALVDFLRGLVEFDPNKRWSPLQASYHPFITGEAFTGPYEPIQETPRIPVGRVAAVDHNPGGGHWLAAGLSPQVVGSINRGLPFNNAFAPKIPFSYGSSCGSFGSHGSFNDNVGLASSYGSYDVNSVNMYHSPLGPSGFNLHSQAGGTFLGSSPDIRRRSYLYHGGGIRLSPGCPGPMSLGASPSQFTPPNSQMQIPSTATGKYGSTSPARSSHGSLGKAAAVGQYNRRRNLGHPPISMPPHEYTSQLIQGHHGDGTISNHFDGYARGHSGYPQSALPNPGHFSWRPHTCAGSGLSTDTSNHGSFPPSRYGGFPPTHSSNVSADTLASTSSIPDPADWDPNYSEESLLQEDTSLSDALSDLHLKDASGQTNQSSRLAHIQSHAIANSNSLSMNQRGDRLFHASTLTESSASTGHVTYDGYHNANYSQLNFQSRHGQPFQRYNHMTASYLRPMGNHHNGQPVWPNYGMAEPPPATMADGMPWGGRPGHSFTAGGLPSSFAGKDFGRIF >ORUFI02G30850.3 pep chromosome:OR_W1943:2:27052901:27060669:-1 gene:ORUFI02G30850 transcript:ORUFI02G30850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSWEVDAAGPPWRPSESTAFLPFAAAAAAGDRAGASLSGRRNGLAARSSNLSSVRKRPFVARLTTDIVQTFGKCNPEFKYSDSLNPKRFLTNPSVPAHNDGLDNANSDLILYVNLELVNKKSDRRYVIKEMLGQGTFGQVAKCWDGETNSYVAVKVIKNQPAFYQQAIMEVSLLSMLNEKYDPDDQHHIVRMLDFFLYQNHLCIAFEMLGHNLYELLKRNSLRGLQLKYVRTFSRQILDALVVMKDAGIIHCDLKPENILITPNVKTAAGVKVIDFGSACLEESVLQISGKYDVLCRMIEILGGQPPDDLLREAKNTGRFFKQVGSIYPGIEMQNGPISAYRILTEEEIETASYHPFITGEAFTGPYEPIQETPRIPVGRVAAVDHNPGGGHWLAAGLSPQVVGSINRGLPFNNAFAPKIPFSYGSSCGSFGSHGSFNDNVGLASSYGSYDVNSVNMYHSPLGPSGFNLHSQAGGTFLGSSPDIRRRSYLYHGGGIRLSPGCPGPMSLGASPSQFTPPNSQMQIPSTATGKYGSTSPARSSHGSLGKAAAVGQYNRRRNLGHPPISMPPHEYTSQLIQGHHGDGTISNHFDGYARGHSGYPQSALPNPGHFSWRPHTCAGSGLSTDTSNHGSFPPSRYGGFPPTHSSNVSADTLASTSSIPDPADWDPNYSEESLLQEDTSLSDALSDLHLKDASGQTNQSSRLAHIQSHAIANSNSLSMNQRGDRLFHASTLTESSASTGHVTYDGYHNANYSQLNFQSRHGQPFQRYNHMTASYLRPMGNHHNGQPVWPNYGMAEPPPATMADGMPWGGRPGHSFTAGGLPSSFAGKDFGRIF >ORUFI02G30860.1 pep chromosome:OR_W1943:2:27066049:27072102:-1 gene:ORUFI02G30860 transcript:ORUFI02G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVAARPRETNAPKSDARNGIVMHVRGTDRCHHHEPLLQRHSILSESTCIPPLPLLFLTFLLTLLCHFACVLFFISSSSVPHLLLDRVRQFELPFHTHSTGSPFFCPLAFHQRLPAPYDLQCSAVPLSYCCYCRYRLPRRGMRMKTLACCRRRPQDFSIDMDQEPDRVMTYNGLESCIINSSSYDDDSGLSATTGADGCVTTDSVDDEVSSCSSSKDVSSSSFSSQCHPLRKQEEHSLYELDTLSAVHLLPLKEKKPITYTLSASDIETMKEKFGKLLLGDDASGGARGVCAALALSNAIINLSATIFGELWKLEPLCEEKKVRWRKEMDWLLSPTTYMVELVPTKQNGADGCTFEIMTPKARSDVNVNLPALQKLDSMLIEVLDSMVDTEYWYVESGSRANGRGKKNGLRQTKKWWLPSPRVPDIGLSQFQRNRLVFQAKLVHQILKAAMSINEEVLLQIPIPPASGRASLGEDLYHAITTEYIPIEEIFLSLSLKTEHTVLETMNRLEGAVFAWNQRISEEKSKKSPGRHSWNFMKDSSSELDKMSMCIERVETLMQLLKSRFPSLPPTFIEVVKIQYNVDVGHAIVEAYSRVLVGVAFSILSRVAEILLEDDLIKKPNTPLATLKFDLSSDVYLAGITETPPGHIRRSLMDQISLVDGSLDAVVRKKGVKQLRW >ORUFI02G30860.2 pep chromosome:OR_W1943:2:27066049:27071619:-1 gene:ORUFI02G30860 transcript:ORUFI02G30860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPYCCYCRYRLPRRGMRMKTLACCRRRPQDFSIDMDQEPDRVMTYNGLESCIINSSSYDDDSGLSATTGADGCVTTDSVDDEVSSCSSSKDVSSSSFSSQCHPLRKQEEHSLYELDTLSAVHLLPLKEKKPITYTLSASDIETMKEKFGKLLLGDDASGGARGVCAALALSNAIINLSATIFGELWKLEPLCEEKKVRWRKEMDWLLSPTTYMVELVPTKQNGADGCTFEIMTPKARSDVNVNLPALQKLDSMLIEVLDSMVDTEYWYVESGSRANGRGKKNGLRQTKKWWLPSPRVPDIGLSQFQRNRLVFQAKLVHQILKAAMSINEEVLLQIPIPPASGRASLGEDLYHAITTEYIPIEEIFLSLSLKTEHTVLETMNRLEGAVFAWNQRISEEKSKKSPGRHSWNFMKDSSSELDKMSMCIERVETLMQLLKSRFPSLPPTFIEVVKIQYNVDVGHAIVEAYSRVLVGVAFSILSRVAEILLEDDLIKKPNTPLATLKFDLSSDVYLAGITETPPGHIRRSLMDQISLVDGSLDAVVRKKGVKQLRW >ORUFI02G30870.1 pep chromosome:OR_W1943:2:27076951:27077355:1 gene:ORUFI02G30870 transcript:ORUFI02G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNTDSSDECLTLPPHRTNSILTFVSLTIAIASCLVPLISTGVSPPARRTLGSAAAADCVVATRRLWRDFPFSGLFSLVGALLALLVDLSALSHLKPMATSTRRRRRPHQPYVPIPTTEVPHLQALRRDEPQ >ORUFI02G30880.1 pep chromosome:OR_W1943:2:27077086:27077697:-1 gene:ORUFI02G30880 transcript:ORUFI02G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLVNLSFSVHLLPLFLCSSSSSSLFLIAPPPSSAVAEPSCVVAVDSEPRRCAAAASPWPSHPMVTPSWPSRPSCEPRCVAAAATPPRPSRRLSRPAATAIGEDEEAVEKCARLLGLISPESLKMGDFCGGDWNIGLVGAAAPPRAGGHGFEVGQGGEVNEKGKERTDEGEEAGEGEVPPEASRGNDAVGGGGTAKRAPRWR >ORUFI02G30890.1 pep chromosome:OR_W1943:2:27077393:27077665:1 gene:ORUFI02G30890 transcript:ORUFI02G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAGRLSRRLGRGGVAAAATHRGSQLGRLGHDGVTMGWLGHGEAAAAHRRGSLSTATTHDGSATAELGGGAMRKREDEEDEQRKRGRR >ORUFI02G30900.1 pep chromosome:OR_W1943:2:27079998:27083800:-1 gene:ORUFI02G30900 transcript:ORUFI02G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFADLEAGAVRAPRRARGPDATRALVFQITTAVASYRRLLNSLGTPKDTPALRDQLQKTSHNILQLAKDAKEKLRRAAEADKSADTSADKRVADMKLAKDFATTMEEYGKLQNLAIQREMAYKPVVPQTSQPNYTTGGIEARDSGKIPEQHALLAESKRQEVLQLDNEIVFNEAIIEEREQAIQDIQQQIGEVHEAFKDLATLEIDTNIENSAAATKEAKTELAKASKTQKSNSSLLCILLVIFGVVLLIVIIVLAT >ORUFI02G30910.1 pep chromosome:OR_W1943:2:27083862:27085088:-1 gene:ORUFI02G30910 transcript:ORUFI02G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTGEAMVAVVNVASKRRKDGCTVVMAEATQWRGHDSRGREVVHRLRWRRGVQIRLAKRGRGEGGDGGAVACCGESSISVGVASSTAAPTTAMAASPNEASAAAAWPQLRRGRARAATAAAAARSTSRADSSSAHNRRSRASAAALVLSSLASVVAHLHHPSQPPSSPSLTRSSSVSRYSYLAAVRRLAVNSCLQLCVAGGDGDSTLVYSPLCRLHQDHHHLRYPAPYPAQPRGTSAA >ORUFI02G30920.1 pep chromosome:OR_W1943:2:27091590:27093944:1 gene:ORUFI02G30920 transcript:ORUFI02G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWSRGLSCRAAICGIVVLLCATAFSCSLAAEFRKVKEKDMKLDGSLCSLPKSSAFELGVAAIAFLSVAQLVGTTAAATTMCAASKRSKSSTTRRRAASVAILVLSWVSFALAVVLLATAASMNHGQRYGRGWMDGDCYVARNGVFGGAAALVVVTALLILGLTSTTKSSSCATSAASATTTIRLDAAATDAEQASGRSKQ >ORUFI02G30930.1 pep chromosome:OR_W1943:2:27097501:27099575:1 gene:ORUFI02G30930 transcript:ORUFI02G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSALRNWLITSMAVTAALNGLGLALQIPTIYTFVADSFDGTSRGVVFGLLMVAGMVGTIEAEWRNAASLARAFFSGE >ORUFI02G30940.1 pep chromosome:OR_W1943:2:27108942:27113310:1 gene:ORUFI02G30940 transcript:ORUFI02G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFLLFVCVLRRGGWWEAAACALPLPPGSMGWPYVGETFQLYSSKNPNVFFNKKRNKYGPIFKTHILGCPCVMVSSPEAARFVLVTQAHLFKPTFPASKERMLGPQAIFFQQGDYHAHLRRIVSRAFSPESIRASVPAIEAIALRSLHSWDGQFVNTFQEMKTYALNVALLSIFGEEEMRYIEELKQCYLTLEKGYNSMPVNLPGTLFHKAMKARKRLGAIVAHIISARRERQRGNDLLGSFVDGREALTDAQIADNVIGVIFAARDTTASVLTWMVKFLGDHPAVLKAVTEEQLQIAKEKEASGEPLSWADTRRMKMTSRVIQETMRVASILSFTFREAVEDVEYQGYLIPKGWKVLPLFRNIHHNPDHFPCPEKFDPSRFEVSITNPLFRVAPKPNTFMPFGNGTHSCPGNELAKLEMLVLFHHLATKYRWSTSKSESGVQFGPFALPLNGLPMSFTRKNTEQE >ORUFI02G30950.1 pep chromosome:OR_W1943:2:27125080:27128227:1 gene:ORUFI02G30950 transcript:ORUFI02G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPRASSVPIAVGCLSRSPPAGRPGHRRPSVQGSAGRLSGGLPACQPSIQGQRRRPSVQGTTTSPSTVHPGCPDATCAVTHSLQGKPPDQRMGGKEMAIFGGNRTWSSD >ORUFI02G30960.1 pep chromosome:OR_W1943:2:27132148:27133127:-1 gene:ORUFI02G30960 transcript:ORUFI02G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTEDAPRRSWSELSTDLAGEIFGRILCHGDRVRFGARAAEPTAPAIPMLALPDRTFYSLPNSAFRRLPLHLHRHQQLPHAQSSCGEWLVFQRRDGAYTLVSPFSTANTMVLPRMPTDPPVTHDTPPPLQKLVVCSPTLVAAVVGKRPSQLLLCRPGSASWSCRHDRLQALEIQDMVSYQGKLHALVNSGDLLSISISEDDDGSHAGGEPTVSSVDCLVRISPGRRTEPPLYLVESDGALLMVRKENHSTREGSYSDDEQSNVILYPDDDDSYVPLYSAEQIELQTKFEVFAADMAGSRWRKVRSVGGDRVLFVGRW >ORUFI02G30970.1 pep chromosome:OR_W1943:2:27134086:27137824:1 gene:ORUFI02G30970 transcript:ORUFI02G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKERDGDGRRAHATMVGVQFINAGYHVIAKQALNVGVNRVVFCVFRDVLALAVLAPLAFLRHRGSPSQARTPLTWRLLASFFILGLTGIFANQLLFLFGLSYTNPTYAAAIQPSIPVFTFILAALMGTETVNLSTHEGRAKIGGTIVCVMGAVFMVLYRGPALFGSGELELDDAHSHGVIADMSQPEPVGPLSIVFGLQKWHIGVLCLTGNCLCMATYLAFQAPILMKYPCSLSLTAYSYFFGAVLMVISGVFATNCKEDWTLTRSEFGAVVYAGFISSALNTGLLTWANKILGPAMVSLYMPLQPVVSALLSKFFLGSSVYLASIIGGFLIISGLYLVTWARHREKLTIGVPYETCASELLESTSHVVKSRNMASSGNMAVPYISLSRLWNVPYES >ORUFI02G30970.2 pep chromosome:OR_W1943:2:27134086:27137824:1 gene:ORUFI02G30970 transcript:ORUFI02G30970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKERDGDGRRAHATMVGVQFINAGYHVIAKQALNVGVNRVVFCVFRDVLALAVLAPLAFLRHRGSPSQARTPLTWRLLASFFILGLTGIFANQLLFLFGLSYTNPTYAAAIQPSIPVFTFILAALMGTETVNLSTHEGRAKIGGTIVCVMGAVFMVLYRGPALFGSGELELDDAHSHGVIADMSQPEPVGPLSIVFGLQKWHIGVLCLTGNCLCMATYLAFQAPILMKYPCSLSLTAYSYFFGAVLMVISGVFATNCKEDWTLTRSEFGAVVYAGFISSALNTGLLTWANKILGPAMVSLYMPLQPVVSALLSKFFLGSSVYLASIIGGFLIISGLYLVTWARHREKLTIGSGNMAVPYISLSRLWNVPYES >ORUFI02G30980.1 pep chromosome:OR_W1943:2:27138672:27140540:-1 gene:ORUFI02G30980 transcript:ORUFI02G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMRLSYIPPAASAAPQSPSYGRKKNASAAPPSAAASTTVLTSPLVTTTRTPKQTEQEDEQLVAKTKTTRTVIATTNGRAAPSQSRPRRRPAPAAAASAASLPMTFCNALEEVINTFIDPPALRPAVDPRNVLTSNFVPVDELPPTPCPVVRGAIPRCLAGGAYIRNGPNPQHLPRGPHHLFDGDGMLHSLLLPSPASSGDDPVLCSRYVQTYKYLVERDAGAPVLPNVFSGFHGVAGMARGAVVAARVLTGQMNPLEGVGLANTSLAYFAGRLYALGESDLPYAVRVHPDTGEVTTHGRCDFGGRLVMGMTAHPKKDPVTGELFAFRYGPVPPFVTYFRFDPAGNKGADVPIFSVQQPSFLHDFAITERYAIFPEIQIVMKPMDMVVGGGSPVGSDPGKVPRLGVIPRYATDESEMRWFEVPGFNIMHSVNAWEEAGGEELVLVAPNVLSIEHALEHMELVHSCVEKVRINLRTGVVTRTPLAAGNFDFPVINPAFLGRRNRYGYFGVGDPAPKIGGVAKLDFDRAGEGDCTVAQRDFGPGCFAGEPFFVADDVEGNGNEDDGYLVCYVHDEATGENRFVVMDARSPDLEIVAEVQLPGRVPYGFHGLFVTQAELQSQHQ >ORUFI02G30990.1 pep chromosome:OR_W1943:2:27148948:27154229:-1 gene:ORUFI02G30990 transcript:ORUFI02G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLLPCRFLLSPSAAGRVPSRRAITLLSAGASPSTPSRSAGAPSVRQRRVRAAPSVPAKQAARPRPAGENGRTTTRRRRRRKQQGEEGEERGERGCVPSMEEASIRVGTLYQNGDPLGRKELGRCVVEWLRQGMQSMASKFASAELQGDMADLDAAALAQEWGSADGRLGFVIQAQPYMSAIPMPKGLEALCLKACTHYPTLFDHFQRELRDVLQSCQNQGLISDWRSTQSWKLLKEMANSVQHREAVRRTVPRPKAVHGSIGVSLNKVKLMQRRIEQYVTHMSDLLCIERDVELEFTQEELNASPMLDNDSEPPKPVEYLVSHGQSQQEQCDTICNLNVISSSTGLGGLHLVLFRIEGGHKLPPTTLSPGDMVCVRTCNSRGEGATSCMQGFVYNLGEDGCSITLALESRHGDPTFSRLFGKSVRIDRIQGLADALTYERNLEALIILQRNGLQKGNASIGVVATLFGDNKDVMKMEQNHLTDWGESSAPDPRISERYALDASQLKALSLGLNKKRPVLIIQGPPGTGKTVLLTELIVRAVQQGENVLVTAPSNAAVDNMVERLSNTGLNIVRVGNPARISPSVASKSLAEIVNRRLEQFRKELERKRSDLRKDLSYCIEDDSLAAGIRQLLKQLGRDLEKKEKEMIREVLSEAQVVLSTNTGAADPLIRRTCCFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDHCQLAPVILSRKALDGGLGKSLLERASSLHNGLLTTRLTVQHRMNDSIASWASKEMYHGLLKSSHSVASQTLADSPVVKATWITRCPLLLLDTRMPYGALDTGCGEQIDLAGTGSFYNDGEADIVTQHVLNLVHCGVSPTVIAVQSPYIAQVQLLRERLEKYPGLSGVEVSTIDSFQGREADAVVISMVRSNPLGAVGFLGDSRRMNVAITRACRHVTVGPPYIVISSNTVDKDKYCPQRKCIVNWHFTIDLKFFRKGAFHLEIPGFRCMTAELSDYI >ORUFI02G31000.1 pep chromosome:OR_W1943:2:27159166:27164257:1 gene:ORUFI02G31000 transcript:ORUFI02G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPPAPPPLKPPVRLNRLPQRQPGGGGRFLLPRRRPRTPRSFGVVVCMAEMARVGTGSSWEEELGVPEESDAILGGGGGDGQRRQATRWELVEARLNQTSKWLVAGCYTSAAIWKHDALIMWAMIGAVLNSMFSNLLKRIFNHERPVSALRSDPGMPSSHAQSFLYSAVFLILSLFYWLGRTYLSVILGVAILAMCCYLSWLRVSQRLHTLNQVLVGAIVGSAFGAMWFALFNLLVQEAFASSVPVQIAVTIGTAILCIGFVIHVVRHWFKDE >ORUFI02G31010.1 pep chromosome:OR_W1943:2:27162706:27165499:-1 gene:ORUFI02G31010 transcript:ORUFI02G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITHYVLDPLLETGSATQSQKAASKPPPAPPLPQEKAAEKPIPVPVAPVRRAQTASATLYATPESTSLPDSPSSFPGTWSPYLINHKRRGPCLVKTLSQGDVGSDGSQQPTLSVTLPPLPKRSQSFEAQEPEFEFQQASNGVLEGDSGVVETLDWQNGMLQKGKGTVSGEYGHDQAEFEFQHGNPDATVRPVNVGRPANGGTPRNINNDAFFELQDSLSMASNSEAEDAGGHERWWKPSSPLGTSVGTPGAEFYDAFEEISSDGATRSSQCMDDDLREMRLSLLMEIERRKQAEEALENWQKEWKKLSDHLSLIALTLPPPSLAEDTDDSSMDPGAELCQQITVSQLVAAAIARGFARAEVETDMETKIAAKNFEIARLSDRVQYYEAANREMSQRNQEAIEMSRQQRNIRKKRQKWFWGSVGLAVTLGTAAIAWSYLPAAQPQASQDSNTNSD >ORUFI02G31020.1 pep chromosome:OR_W1943:2:27165528:27166616:-1 gene:ORUFI02G31020 transcript:ORUFI02G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSRNLR >ORUFI02G31030.1 pep chromosome:OR_W1943:2:27167980:27171132:1 gene:ORUFI02G31030 transcript:ORUFI02G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAISGASLVLSSPPAPGARPQPRPARVSVAAAAPAARRGVVAAAAVSSPAVSSSAGKDAKQIPKDFLHIDDFDKDTIMKILNRAIEVKAMIKSGDRSFQPFKGKSMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSGYNDIIMARVFAHQDILDLAKYAAVPVINGLTDYNHPCQIMADALTMLEHIGRIENTKVVYVGDGNNIVHSWLRLAALFPLHFVCACPKGFEPDAKTVEIARSAGSKIEITDDPMEAVKGADVVYTDVWASMGQKEEAEYRKKVFQGFTVDEAMMEMAGPNAFLMHCLPAERGIEVTDGAIEAPNSIVFPQAENRMHAQNAIMLHVLGA >ORUFI02G31040.1 pep chromosome:OR_W1943:2:27171973:27176135:-1 gene:ORUFI02G31040 transcript:ORUFI02G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEADGKAPLGSRYPPAALNERILSSMSQKHVAAHPWHDLEIGPGAPAVFNCVVEIPRGSKVKYELDKATGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFRDIKEIPPHRLQEIRRFFEDYKKNENKEVAVNEFLPAEDAINAIKYSMDLYGAYIIESLRK >ORUFI02G31040.2 pep chromosome:OR_W1943:2:27171973:27174205:-1 gene:ORUFI02G31040 transcript:ORUFI02G31040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEADGKAPLGSRYPPAALNERILSSMSQKHVAAHPWHDLEIGPGAPAVFNCVVEIPRGSKVKYELDKATGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFRDIKEIPPHRLQEIRRFFEDYKKNENKEVAVNEFLPAEDAINAIKYSMDLYGAYIIESLRK >ORUFI02G31050.1 pep chromosome:OR_W1943:2:27176642:27189762:1 gene:ORUFI02G31050 transcript:ORUFI02G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGARRGEANQILSHDHERKRRAYTGNRDHEFTTVRKWVMQILNQINRQIKDESGKEPNNRLGQRYRSLNKEYT >ORUFI02G31060.1 pep chromosome:OR_W1943:2:27178630:27184058:-1 gene:ORUFI02G31060 transcript:ORUFI02G31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKDQYREAQDTIDILVMILPQSTRVAMNLRTQKLSGTKALGMMHRTPPRFTEQVHQNSSHSGGKNELRKKSNRTPPRFRPSMESFSRSSIKEKFSQNWSISTPKLRPHEKEHPPRAPAFLGTNLISKQGEMDSADNIKEDSHAENCSQEINELIANGKWPNSRYNEYACTSTESIPTGDIFFSRDCRAPIQRTPTKHNNDKSLTSETARAENYGTEANSNNLAQTPKSISAQTGLSRTIRNSNYGTSRHTQINSGATLSSQFNSGRYSGDSGKFSDFTGKLVGGVMKFTSNMQKAQNDSWLPCVTGKTCRKPKSPNSKTTDESESSFIQKALVVENIRLFWADKYRPRTLGGFTCHREQIEQLKQLVSTEFCPHIIFKGPPGSGKSSLCRAVVTEIFGDSSLNVSHYLKSCSGQGSTSMPVLVPLSSSDHHMELNLRYYSKNAGYVLMDLANEITNKKKTTDPSVRKKFKVIVLYDVDKVSESNQRLIKWMIDSSSDTHKILMTCQDESHILDSMKSRCKLICIGVPNTREIVDILTYISKKESFDLPSSFAATIASQSRQNMREAILALEACKANNYPFIDGQAIPLGWENVLQEIAAEILDDPSPKRLFLVRGKLQKLLVEFVPPKLILQKLAELFLKGIQSSIKREDKRLPVGASALLKLEEFVAKFMSIHRKTLPVRSTRPV >ORUFI02G31060.2 pep chromosome:OR_W1943:2:27178630:27182593:-1 gene:ORUFI02G31060 transcript:ORUFI02G31060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAERSSGHNRHSRNDSSTKHKSGYEPSDTETEWHESPWNDAVLPSGRNTQIGARRQNLSPNHTREYPNEKTSNLRNSRTPPRFTEQVHQNSSHSGGKNELRKKSNRTPPRFRPSMESFSRSSIKEKFSQNWSISTPKLRPHEKEHPPRAPAFLGTNLISKQGEMDSADNIKEDSHAENCSQEINELIANGKWPNSRYNEYACTSTESIPTGDIFFSRDCRAPIQRTPTKHNNDKSLTSETARAENYGTEANSNNLAQTPKSISAQTGLSRTIRNSNYGTSRHTQINSGATLSSQFNSGRYSGDSGKFSDFTGKLVGGVMKFTSNMQKAQNDSWLPCVTGKTCRKPKSPNSKTTDESESSFIQKALVVENIRLFWADKYRPRTLGGFTCHREQIEQLKQLVSTEFCPHIIFKGPPGSGKSSLCRAVVTEIFGDSSLNVSHYLKSCSGQGSTSMPVLVPLSSSDHHMELNLRYYSKNAGYVLMDLANEITNKKKTTDPSVRKKFKVIVLYDVDKVSESNQRLIKWMIDSSSDTHKILMTCQDESHILDSMKSRCKLICIGVPNTREIVDILTYISKKESFDLPSSFAATIASQSRQNMREAILALEACKANNYPFIDGQAIPLGWENVLQEIAAEILDDPSPKRLFLVRGKLQKLLVEFVPPKLILQKLAELFLKGIQSSIKREDKRLPVGASALLKLEEFVAKFMSIHRKTLPVRSTRPV >ORUFI02G31060.3 pep chromosome:OR_W1943:2:27178630:27182593:-1 gene:ORUFI02G31060 transcript:ORUFI02G31060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAERSSGHNRHSRNDSSTKHKSGYEPSDTETEWHESPWNDAVLPSGRNTQIGARRQNLSPNHTREYPNEKTSNLRNSRTPPRFTEQVHQNSSHSGGKNELRKKSNRTPPRFRPSMESFSRSSIKEKFSQNWSISTPKLRPHEKEHPPRAPAFLGTNLISKQGEMDSADNIKEDSHAENCSQEINELIANGKWPNSRYNEYACTSTESIPTGDIFFSRDCRAPIQRTPTKHNNDKSLTSETARAENYGTEANSNNLAQTPKSISAQTGLSRTIRNSNYGTSRHTQINSGATLSSQFNSGRYSGDSGKFSDFTGKLVGGVMKFTSNMQKAQNDSWLPCVTGKTCRKPKSPNSKTTDESESSFIQKALVVENIRLFWADKYRPRTLGGFTCHREQIEQLKQLVSTEFCPHIIFKGPPGSGKSSLCRAVVTEIFGDSSLNVSHYLKSCSGQGSTSMPVLVPLSSSDHHMELNLRYYSKNAGYVLMDLANEITNKKKTTDPSVRKKFKVIVLYDVDKVSESNQRLIKWMIDSSSDTHKILMTCQDESHILDSMKSRCKLICIGVPNTREIVDILTYISKKESFDLPSSFAATIASQSRQNMREAILALEACKANNYPFIDGQAIPLGWENVLQEIAAEILDDPSPKRLFLVRGKLQKLLVEFVPPKLILQKLAELFLKGIQSSIKREVYYWHAYYDKRLPVGASALLKLEEFVAKFMSIHRKTLPVRSTRPV >ORUFI02G31070.1 pep chromosome:OR_W1943:2:27185050:27187224:-1 gene:ORUFI02G31070 transcript:ORUFI02G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEEATRSRVLVVGATGRLGGCLVRASLAAGHPTFALVRPHHLAVPDSAPLTSLAGATVVKGSLEDYPSLLEAVRQVDVVICAVPTKQALEQKLLIRAIKDAGCVKRFIPAEYGLDPTKVQICGMDHGFYEKKIEIRHLIESECIPHTYICCNFLMRYLLPSLVQPGLDAPPRDEVKIFGDGNTRGLCILLLSFSPVCCLVPEKKKRGSFTFCIFNLNGELFFAGVFVEETDVAKFTICTIDDPRTLNNTLYLRPSGNVYSMNELVDLWEKKINKFLNKIYITEEQLLKNIEDAPLPLKMDLIFIYSTFIKGDQTYFEIDSRKEGTQLYPHVNYTTVDGYLDKLV >ORUFI02G31080.1 pep chromosome:OR_W1943:2:27189796:27191766:-1 gene:ORUFI02G31080 transcript:ORUFI02G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACAAALPTASARRRHRGSPQTALEGGGGKLVMMQRRDLVTKGVTLSVCCSMLSSSNSSAQALERLPFKADGYNFWTWRGRRIHYVEQGAGQPIVLIHGFGASAFHWRYNIPELAKKYKVYAIDLLGFGWSEKALVEYEATIWMEQVRDFLRDVVKDPAVVVGNSLGGFTTLFAATEVPELVRGVVLLNSAGQFGDPNRPPEAAEAAAGEESAVTRLVVRPLKEAFQRVVLGFLFWQAKQPARVEKVLKSVYKDATNVDEYLIGSITAPTADPNAGEVYYRLMSRFMANQSRYTLDRLLGKLSCPLLLLWGDLDPWVGPAKAAQIKKFYQDTTVVNLQAGHCPHDEAPEQFNGALLEWLASLDAGKPADQPEPALQSV >ORUFI02G31090.1 pep chromosome:OR_W1943:2:27196614:27197511:1 gene:ORUFI02G31090 transcript:ORUFI02G31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKCGVGVVSTYALPHEYKMRCNECLEWIARGGRCNAMQSCQCANGNGNQQAAVTLMKGVQNEFIEPPLAFGRVNSVNIAISNLKSSDEPPYCQAWVHGSAAMMWNVRNPESKEDRVDATNTRGHHAIHLNSQQHFVTMKYMHLFSLQ >ORUFI02G31100.1 pep chromosome:OR_W1943:2:27198496:27202668:1 gene:ORUFI02G31100 transcript:ORUFI02G31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSIVRELREMRDGIGSMSRRAADGRAGGGRGGSRHSWPVLWSEQQQPPQQQQLQRQEHQQQQGRWANLPPELLLDVIQRVEASEATWPARRQVVACAAVCRSWREVTKEVVKTLEECGRITFPISLKQPGPREHPVQCFVRRDRATSTYLLYLGLSPSLHGENDKLLLAARKIRRATRTSFVISLVSNDFSLSSSTYVGKLKPNFLGTKFTIFDSQPPCDAVVLPNNRPSKRHFKQVSPRLPLGNYNVATVSYELTVLRNRGPRRMQCTMHSIPALCIQEGGKAPTPTGIIHSLDEQVPALSTSKGKEPAIEFSSTSLSADLSGPVCTNEVPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASVDPSLGIPAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLTSFDTKPACE >ORUFI02G31110.1 pep chromosome:OR_W1943:2:27203786:27208133:1 gene:ORUFI02G31110 transcript:ORUFI02G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSMETVEEEVEEYSWREVLLPRLVPVVSDAAPELERETGERRRGRDLLVAVDFGPNSKHAFDWALVHFARMADTLHLVHAVSSVNNDLVYEKSQELMEDLAIEAFKTSLVQTKARIVEGDAGKVICQEAERLKPAAVILGTRGRGLIQREVSVNIASTTVKQPQLSLSQAKKLVNSLCFKGRKNEDRLPMMRKVTCKLDSQNQLLTLY >ORUFI02G31120.1 pep chromosome:OR_W1943:2:27207189:27209540:-1 gene:ORUFI02G31120 transcript:ORUFI02G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCGGGDVSRHRKGHLDTVESLCQGLLDDVMLDDDKCRAMFGYLQEWQDLGSMCYGSLGGEPPLAPEASNGSGSSGGGGSFRKRRPDDAKGESNSVCKRQRGKQQQQPYHPDQMAAAVGKGRPERARPGAKKKAEVASPKDSPATSASTVTAGQKTDYIHVRARRGQATDSHSLAERVRRERISERMRYLQELVPGCNKVTGKAGMLDEIINYVQSLQKQVEFLSMKIAASNPVVNFNIVEDLFGRQLSQATCNPAALPAMALPMAQVEPSCLQMSPLQQMQTSAGSSGYGLEMVVSNQYSPPGGPMSVPAGASVESCLNVNGAAGWDIGSHGLFSGFDAPFQSVQSDCLLDNLKMEMSRSKKAFDLRI >ORUFI02G31130.1 pep chromosome:OR_W1943:2:27228274:27234566:-1 gene:ORUFI02G31130 transcript:ORUFI02G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDASSGRQALTLSLLLTWAREKFGAGSSRGLGRREEERGFALSPVPALRLIPPTEFRGSSSIRKVLTLDEEFKVLGLKIGSHSSWMENRNKEDMGPSQCNSCPKVHSSMCSELTMMLDKVSSILPLIEAARPGCKAGIQELCNLYNIVEKGKLIIQHCVECSKLYLAITGEAIVSRCERIRDSFRRSLFLIENMVPPALANQIVDVHDDLGDIKFVIDPTEEEAGKTILEMLRQSDVTEELELETFLQAASNLNLTSPKSMLIERRAIKKLLDKISGTDPKKEGVLKFLLYLVKKYGKNIKPETGERNENMQSESQSSTPSSSFVSDTSTPGKWYTPTDIQRNEDQTSMSGAATPPAEFCCPISTKLMRDPVIITSGQTYERENIERWFREGYDTCPRTHIKLENFAMIPNTCMRDLIFNWCKEHGFIISDILPPSKNAYSYLPEQLHGYSMSSLHNVSVPLIAGKVRDFGIDHSTSSVALSEASYMSDLYHVRDMEEPKDSFSQFSWSADYQECLSFRNFNQDKFLRFFYELSKLPLELQDRSIGDLKIILNEENEVSCAMVSNGFVEAFFDFLMNEDGSYSMQAQKVGFQFFRVFLSNSRTNILHMNEEAFRLIASFIDSELKTEALLTLHELVQHPSCRQSHLMASIITPLLESEDAEGLELCLKIVCNLSSDSDVKPYLISLGIVSRLSPILSEGTFAECCLEILRNLCDVEEATVLITKTDRCLGSVAEYLDTGSPKEREHAVVILLAVCSHSSEDCLLVMKEGVIPALVDLSVNGIDEAKGCSMKLLHLLRDLRRTDQLNNSCSQEVVVTDVVEGPPDSSIHKQPIPKSSRFFQRKLNIFSKPRSLTLF >ORUFI02G31140.1 pep chromosome:OR_W1943:2:27271145:27276395:1 gene:ORUFI02G31140 transcript:ORUFI02G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWTTKQNKVFERALAIYDRDTPDRWQNVARAVGGGKSVDDVKRHYEKLIKDVDRIDSTGGHQGSHYNSSNASSSSSNSRGSANEDQRRRYHNFQ >ORUFI02G31150.1 pep chromosome:OR_W1943:2:27279923:27287963:1 gene:ORUFI02G31150 transcript:ORUFI02G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRSRTAAAAAVIAASAASLADVAYADSGYSFFRRSSPPPPPPAAAAARGGRRRLGGGRRGGFQRVRPREPGAGGQVAAEAQLVEIREAGKLFELMRMQEKTRLAELEAEKVQYIIQQHLRDIERQQKEGEKFRESLQQQAQAEAQKLRYDDELARKRMQTEREAQRRQDAELVKMQEAAALRKEEVRRTTEKKILEKMLEDEKEKALLKKQNIQANAEAKGEALTREAKALEDYNRKMLLERINGDKEKWIAAINTTFSHIEGGFRMLLTDRSKLLMGVGGVTALAAGIYTTREGAKVTWGYINRILGQPSLIRESSMPKFPLSRFKALKSTSASLSGGAGFENVILHPSLKRRIEHLARATANTKSHDAPFRNMLFYGPPGTGKTLVAREMARKSGLDYAMMTGGDVAPLGSEAVTKIHQIFDWAKKSRKGMLLFIDEADAFLCERNSTHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPSDLDAAITDRIDEVIEFPLPGEEERFQLLRLYLNHYMLKEDGKNSFWDSLLKKQRQKIQVKDISDDLLREAARKINGFSGREIAKLMASVQAAVYGRPDCVLDPQLLMEVVEYKVAEHHQRIKLASETST >ORUFI02G31160.1 pep chromosome:OR_W1943:2:27303595:27305617:-1 gene:ORUFI02G31160 transcript:ORUFI02G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFVEAQKPLLKRLMRMAGLRPVDVEIEPGTTMHIWVPKHHVSKKTGTIRPVVEHGGVDGDGEKAGAAKRKKSAAESRPNVVLVHGFAAEGIVTWQFNFGVLVSRYNLYIPDLLFFGKSATASADRSPELQARCVAAALARLGVARCDVVGFSYGGMVAFKLAETRPDLVRSLAVSGSVVAMTDAVNSATMTRLGATSSAELLMPETLKGLKQLLSISMYKKMWFPDRFYKDYLKAMFNNRKERMELLQGLITSNMDAKIPTFQQKIMLIWGEEDKIFDIELAKKMKEQLGDGCFLHGIPKAGHLLHVERPCAYNRQLQRFLSYVNSEEKEAAGGGAN >ORUFI02G31170.1 pep chromosome:OR_W1943:2:27306191:27308458:-1 gene:ORUFI02G31170 transcript:ORUFI02G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFEYVILGGGVAAGYAALEFVRRNGGASSQELCIISDEHFAPYERPALSKGYLLPQDAPRLPAFHTCVGSKDELLTEEWYNEHGIVLVLGTRVISADVRQKTLLTSSGETISYKTLIVATGARAVKLEEFGVSGSDARNVCYLRNVEDADKLVGVMRSCPGGNAVVVGGGYIGMECAAALVTNNIKVTMVFPKKHCMGRLFTPKIAEFYESYYASRGVTFVKEAAVTSMQISAGKVTAVNLGNGRRLPADMVVVGVGARANTGLFDGQLVMENGGIKVNGRMQASDASVYAVGDVAAFPVKLFGGDVRRLEHVDCARRTARHAVAAMLEGTGSVGHIDYLPFFYSRVFSLSWQFYGDNAGEAVHFGDLAPPGDGDGAAPKFGAYWVRDGRVAGAFLEGGSRQEYEAVAAAVRRGAAVADVAELERRGLAFATQATGGGGKPTCAWHATVGVAAAVSIAAFACWYGWQAPYVLKRDF >ORUFI02G31180.1 pep chromosome:OR_W1943:2:27308610:27310258:1 gene:ORUFI02G31180 transcript:ORUFI02G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGTMPCSPPLFTQRGPVVHGDAMLSSFPRHQEMTAHGWAGAFDSREKGILLDQGKDRHFGLDALANCNSLLNFVLAAADRSICLNSDLKEHLLELRPEGASA >ORUFI02G31190.1 pep chromosome:OR_W1943:2:27309667:27313506:-1 gene:ORUFI02G31190 transcript:ORUFI02G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVHVILGGGVAAGYAALEFARRGGYSRGELCIISEETVAPYERPALSKGYLLPEGAARLPGFHTCVGANDELLTAKWYKENGIELVLGTKVITADVRMKTLLTATGETISYKNLIIATGARALKLEEFGISGSDASNICYLRNLDDADKLVNVMKSCPGGNAVVIGGGYIGMECAAALVTNRIKVTMVFPESHCMARLFTPKIAEYYENYYTSKGVTFVKGTVLTSFEKDSTGKVTSVILKDGKHLPADMVVVGIGIRASTGLFEGQLLMEQGGIKVNGQMLTSDGSVYAVGDVAAFPIKLFDGVIRRLEHVDSARRTARHAVAAILEPSKTKDIDYLPFFYSRVFTLSWQFYGNNTGEVVHFGDFTNSSPRFGAYWVDKSRIRGAFLEGGSREEYEAISNVVRRKAKVINIAELEKQGLMFAIQESQKDLPDGGLALGEKPTYVWHATAGVIAAASIAAFGYWYGRKRRRW >ORUFI02G31200.1 pep chromosome:OR_W1943:2:27317884:27320697:-1 gene:ORUFI02G31200 transcript:ORUFI02G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPMHICMDSDWLKGIVPEEHGMGSSSPSAELIACPRAPMQAAAAAADRRLRPQHDQPLKCPRCESTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGSLRNVPVGGGCRKNKRAPPKKAAAHAQPAVAVAAALQGRHMETGLHLSFSGMQHHLAPPPPAAATAADPLCSLGLFDWKQYDPVFAGSGGGGSPVAALESAGGSEAQFMGAGMMGIGGGGVAEYHALSALRFAAGLGDHLALPFGAVRAEHDAVEVKPVAAERLLSLEWCGEASRTAAPESSISSLGGLGLWSGMIGGGHHHHGSSAAI >ORUFI02G31210.1 pep chromosome:OR_W1943:2:27329598:27333234:1 gene:ORUFI02G31210 transcript:ORUFI02G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNADVGPVIGVREISAPGGDDLYDYIEPENHEEEDACEDPVDPLEWDSYDFQVILRSGSSVVESSSLSVCRNKAL >ORUFI02G31220.1 pep chromosome:OR_W1943:2:27337954:27338520:1 gene:ORUFI02G31220 transcript:ORUFI02G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPQPMGYYPTSNSIMHAQPATTSRGSFGPVFTVLAVITFLAVAACVVGRLCGRRLSKKRAASAEDQFYGINAVGGDLEKGFEIKYPVMKPMASSRAMIHDIDDGFEIKFTPGKPAAWKNDSKGDGKGHQQQHQQHQHQHHPQQHGMPQHHPQHGMPMPPGFRYPANVVRQGQIRGGTFISAKPST >ORUFI02G31230.1 pep chromosome:OR_W1943:2:27338740:27343863:-1 gene:ORUFI02G31230 transcript:ORUFI02G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSEPTRVMVAVNESSIKGYPHPSISCRAAFDWMLSKLVRSNAAGFHLLFLHVQVPDEDGFDDMDSIYASPPDFQRMKQRDKIRGLHLLEHFVNQCHQLEIKCEAWIKQGDPKEVICSEVKRVQPDLLVVGSRGLGPFQRVFVGTVSEFCVKHADCPVITIKRKTDEAPQDPVDD >ORUFI02G31240.1 pep chromosome:OR_W1943:2:27348516:27353154:1 gene:ORUFI02G31240 transcript:ORUFI02G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPATASAPSLRPSAASPRAAAARSVAVPSGPRTVGPRRDGGRFLGVRAAKAVSGVQSGTVVDDGVQRPWKLSDARLVLEDGSVWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNPDDEESNRCFLAGLIIRNLSICTSNWRCTETLEEYLMKRNIMGIYDVDTRAVTRRLREDGSLIGVLSTDQSRTDDELLEMAKNWKIVGVDLISGVTCDAPYEWSDKTDSEWEFKKGQSTESFHVVAYDFGIKHNILRRLTSYGCKITVVPANWPASEVLNLKPDGVFFSNGPGDPAAVPYAVKTVQEIIGKVPVFGICMGHQLIGQALGGKTFKMKFGHHGGNHPVCDLRSGRVDISAQNHNYAVDPESLPEGVKVTHINLNDNSCAGLQYPKMKLLSLQYHPESSPGPHDSDLAFGEFIEMMKNNRL >ORUFI02G31250.1 pep chromosome:OR_W1943:2:27356346:27362658:1 gene:ORUFI02G31250 transcript:ORUFI02G31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSDSMADSPRRRNGLLRDQVQLVKRKDTNRYEIVRFPDPLSFEKGFFVMIRACQLLVQHNEGMIFVGVAGPSGAGKTVFTEKVLNFMPGVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLENIHGLKEGRSVQVPIYDFKMSCRTGYRTVDVPSSRIVIIEGIYALSEKLRSVLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQNPMYILKSPRTITPTDIKVALGEDHTESIEETYDIYLLPPGEDPESCQSYLRMRNREGKYNLMFEEWVTDNPFIISPRITFEVSVRLLGGLMALGYTIAAILKRKSRVFSDGKATVKIDWLEQLNRNYIQVQGRDRNHVKFVAEKLGLDGSYIPRTYIEQIQLEKLINDVMALPEDLKTKLSIDDELVSSPKEALSRVSADKRNKHLKSGLSHSYSTHGDKNIVKLSKLTETNRRFGSGRAPEPPAINQGAITQLSEQISTLNERMDEFTSRVEELNCKFAIKKSSTSQQNLALPNETCNGSAPTNLFVSHLGNGTLIPHSSSSNQLLKESPIVDEINAISRGQRQVIHQLDNLTSLLHEHLALTRQGNAVRRNGILEMDMSICPLIALTIGGFGYLVFKSLNRS >ORUFI02G31260.1 pep chromosome:OR_W1943:2:27363665:27370415:1 gene:ORUFI02G31260 transcript:ORUFI02G31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFEATGDLGADELSLFCEEFTSFCASSVTTPSTIIPGILRENCTKIFQLKPPISPGNKPLLLSAKHKRIERKKSRRNMAGQIGGKETNPRMDLQSTACPIKKWRGKGRDHGWNNAYLQARSEPEMPAALAREEEACGSMAPAFPLDATRSPLLSSSSSSRFAAARREREGGGEGRRTKRN >ORUFI02G31270.1 pep chromosome:OR_W1943:2:27366533:27369864:-1 gene:ORUFI02G31270 transcript:ORUFI02G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIIVDGVVTEEAQNEVNSSQNKDNSSAPRSPVASKSMHSEALEMHVEGSGAGEPSIEQLYNNVCEMESSSEGGSPSRESFGSDGEESRIDSELRHLVAGEMEAMKVIEEEEGEGSGNAANAVTAAENGTPVKAMSSNSSKKSSKKAAKSQLESESSVGPNGKASTEEGEAEVSKPGSRVGRRRKASPNPHNGTEDAGLNNPDLGPFLLKHARDLIASDNPRRALKYALRATKSFEKCAGGKPSLNLVMSLHVVAAIYCNLGKYDEAVPVLQRSLEIPVIEEGQEHALAKFSGCMQLGDTYGMLGQTALSLQWYAAGLEIQKQTLGEQDPRVGETCRYLAEAHVQALQLDEAQRLCQKALDIHRENGEPASLEETADRRLMGLICDTKGDHEAALEHLVMASMAMVANGQETEVASVDCSIGDIYLSLGRYDEAVFSYQKALTVFKTSKGENHATVASVFVRLADLYNKTGKLRESKSYCENALKIYQKPIPGTSLEEIATGLTDVSAIYETMNEHEQALKLLQKALKMYNNSAGQQSTIAGIEAQMGVLHYILGNYGEAYDSFKSAIAKLRTCGEKKTAFFGVALNQMGLACVQRYSINEAAELFEEARAVLEQEYGPYHPDTLGVYSNLAGTYDAMGRLDEAIEILEHVVGMREEKLGTANPDVDDEKRRLAELLKEAGRGRSRKAKSLENLLETNPYTVTKRVAKYDSLPLVPCSWLFTVLGK >ORUFI02G31280.1 pep chromosome:OR_W1943:2:27373187:27385944:1 gene:ORUFI02G31280 transcript:ORUFI02G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHPNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAQEASCCRDYTDLGKMLVKLQNMILPDYTSCHWLQNSFHLWSQKCNNAHDAETVEILTEELRQAILWDKVHELWNAPMQPELVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVRRGEAHFSQEDDANLNTLSEDPNKSNLPSISIIHEAVGPLESRDQNKTAAFPSTSGVQDTGEPNSALHNVRHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGFIFCKKHRFQTNPDAMSSDSLLSSSEGRKWEESQKSVEKMSSSNATCSVGSEQANNFQVAVHMKVTPTMAVETTSDKVNVSENADLCYPMSTSMENSNLDASICIGIRSHDNIAECQDYAVRHTLYCERHIPKFLKRARNGKSRLISKDVFINLLKCCTSRKEKLCLHQACEFLYWFLRNNLSHQRPGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLSHVWGFGTDSSNQMHSENQDGSVMVLREDGTHPSPGLKCKICSQEFSDDQGLGLHWTEVHKKEVRWLFRGYSCAVCMDSFTNRRVLERHVQEKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSRDFSLLDHVPRRPRGQSIKRTERASDELLYDNHNLGKDDGLQKFTCRLCGMMFDLLPDLGHHHQVAHTNSGTVSDIPSGREKYQFNRGRHYYSAFKKSLRPSGSLKKRTSSGVEKHFKAQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPHPSNLDILSIARSVCCKTSLRAALKAKYGILPDNIFVKAAKLCSDVGIQIDWHQEEFFCPKGCKSRSSSNSLLPLQPTQVDFVMSPPIGDEIWGMDEYHYVLDSEHFGWNLKNEMVIVCEDVSFGREKVPVVCAIDVDAKEFPYMKPGEILQSENSLPWQGFHYVTKRLMDSSLVDSENTMVGCACSHAHCSPEECDHVSLFDSIYENLVDLHGVPMRGRFAYDENSKVILQEGYPIYECNSSCTCDASCQNKVLQRGLLVKLEVFRTENKGWAVRAAEPIPQGTFVCEYIGEVLKMKDDGAIRHVERLEIYWNFSGAFHKLIRKLLDLREAKSGSSYLFEITSQIDRERVQTTGTTAYVIDATRYGNVSRFINHSCSPNLSTRLVSVESKDCQLAHIGLFANQDILMGEELAYDYGQKLLPGDGCPCHCGAKNCRGRVY >ORUFI02G31280.2 pep chromosome:OR_W1943:2:27373011:27385944:1 gene:ORUFI02G31280 transcript:ORUFI02G31280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHPNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAQEASCCRDYTDLGKMLVKLQNMILPDYTSCHWLQNSFHLWSQKCNNAHDAETVEILTEELRQAILWDKVHELWNAPMQPELVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVRRGEAHFSQEDDANLNTLSEDPNKSNLPSISIIHEAVGPLESRDQNKTAAFPSTSGVQDTGEPNSALHNVRHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGFIFCKKHRFQTNPDAMSSDSLLSSSEGRKWEESQKSVEKMSSSNATCSVGSEQANNFQVAVHMKVTPTMAVETTSDKVNVSENADLCYPMSTSMENSNLDASICIGIRSHDNIAECQDYAVRHTLYCERHIPKFLKRARNGKSRLISKDVFINLLKCCTSRKEKLCLHQACEFLYWFLRNNLSHQRPGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLSHVWGFGTDSSNQMHSENQDGSVMVLREDGTHPSPGLKCKICSQEFSDDQGLGLHWTEVHKKEVRWLFRGYSCAVCMDSFTNRRVLERHVQEKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSRDFSLLDHVPRRPRGQSIKRTERASDELLYDNHNLGKDDGLQKFTCRLCGMMFDLLPDLGHHHQVAHTNSGTVSDIPSGREKYQFNRGRHYYSAFKKSLRPSGSLKKRTSSGVEKHFKAQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPHPSNLDILSIARSVCCKTSLRAALKAKYGILPDNIFVKAAKLCSDVGIQIDWHQEEFFCPKGCKSRSSSNSLLPLQPTQVDFVMSPPIGDEIWGMDEYHYVLDSEHFGWNLKNEMVIVCEDVSFGREKVPVVCAIDVDAKEFPYMKPGEILQSENSLPWQGFHYVTKRLMDSSLVDSENTMVGCACSHAHCSPEECDHVSLFDSIYENLVDLHGVPMRGRFAYDENSKVILQEGYPIYECNSSCTCDASCQNKVLQRGLLVKLEVFRTENKGWAVRAAEPIPQGTFVCEYIGEVLKMKDDGAIRHVEREAKSGSSYLFEITSQIDRERVQTTGTTAYVIDATRYGNVSRFINHSCSPNLSTRLVSVESKDCQLAHIGLFANQDILMGEELAYDYGQKLLPGDGCPCHCGAKNCRGRVY >ORUFI02G31280.3 pep chromosome:OR_W1943:2:27373187:27385944:1 gene:ORUFI02G31280 transcript:ORUFI02G31280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMVSNKAGTDTLECHAVQKELQCTLQDLSEIACSIDPVRKISSPQEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHPNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAQEASCCRDYTDLGKMLVKLQNMILPDYTSCHWLQNSFHLWSQKCNNAHDAETVEILTEELRQAILWDKVHELWNAPMQPELVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVRRGEAHFSQEDDANLNTLSEDPNKSNLPSISIIHEAVGPLESRDQNKTAAFPSTSGVQDTGEPNSALHNVRHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGFIFCKKHRFQTNPDAMSSDSLLSSSEGRKWEESQKSVEKMSSSNATCSVGSEQANNFQVAVHMKVTPTMAVETTSDKVNVSENADLCYPMSTSMENSNLDASICIGIRSHDNIAECQDYAVRHTLYCERHIPKFLKRARNGKSRLISKDVFINLLKCCTSRKEKLCLHQACEFLYWFLRNNLSHQRPGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLSHVWGFGTDSSNQMHSENQDGSVMVLREDGTHPSPGLKCKICSQEFSDDQGLGLHWTEVHKKEVRWLFRGYSCAVCMDSFTNRRVLERHVQEKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSRDFSLLDHVPRRPRGQSIKRTERASDELLYDNHNLGKDDGLQKFTCRLCGMMFDLLPDLGHHHQVAHTNSGTVSDIPSGREKYQFNRGRHYYSAFKKSLRPSGSLKKRTSSGVEKHFKAQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPHPSNLDILSIARSVCCKTSLRAALKAKYGILPDNIFVKAAKLCSDVGIQIDWHQEEFFCPKGCKSRSSSNSLLPLQPTQVDFVMSPPIGDEIWGMDEYHYVLDSEHFGWNLKNEMVIVCEDVSFGREKVPVVCAIDVDAKEFPYMKPGEILQSENSLPWQGFHYVTKRLMDSSLVDSENTMVGCACSHAHCSPEECDHVSLFDSIYENLVDLHGVPMRGRFAYDENSKVILQEGYPIYECNSSCTCDASCQNKVLQRGLLVKLEVFRTENKGWAVRAAEPIPQGTFVCEYIGEVLKMKDDGAIRHVERLEIYWNFSGAFHKLIRKLLDLREAKSGSSYLFEITSQIDRERVQTTGTTAYVIDATRYGNVSRFINHSCSPNLSTRLVSVESKDCQLAHIGLFANQDILMGEELAYDYGQKLLPGDGCPCHCGAKNCRGRVY >ORUFI02G31280.4 pep chromosome:OR_W1943:2:27373011:27385944:1 gene:ORUFI02G31280 transcript:ORUFI02G31280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMVSNKAGTDTLECHAVQKELQCTLQDLSEIACSIDPVRKISSPQEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHPNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAQEASCCRDYTDLGKMLVKLQNMILPDYTSCHWLQNSFHLWSQKCNNAHDAETVEILTEELRQAILWDKVHELWNAPMQPELVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVRRGEAHFSQEDDANLNTLSEDPNKSNLPSISIIHEAVGPLESRDQNKTAAFPSTSGVQDTGEPNSALHNVRHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGFIFCKKHRFQTNPDAMSSDSLLSSSEGRKWEESQKSVEKMSSSNATCSVGSEQANNFQVAVHMKVTPTMAVETTSDKVNVSENADLCYPMSTSMENSNLDASICIGIRSHDNIAECQDYAVRHTLYCERHIPKFLKRARNGKSRLISKDVFINLLKCCTSRKEKLCLHQACEFLYWFLRNNLSHQRPGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLSHVWGFGTDSSNQMHSENQDGSVMVLREDGTHPSPGLKCKICSQEFSDDQGLGLHWTEVHKKEVRWLFRGYSCAVCMDSFTNRRVLERHVQEKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSRDFSLLDHVPRRPRGQSIKRTERASDELLYDNHNLGKDDGLQKFTCRLCGMMFDLLPDLGHHHQVAHTNSGTVSDIPSGREKYQFNRGRHYYSAFKKSLRPSGSLKKRTSSGVEKHFKAQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPHPSNLDILSIARSVCCKTSLRAALKAKYGILPDNIFVKAAKLCSDVGIQIDWHQEEFFCPKGCKSRSSSNSLLPLQPTQVDFVMSPPIGDEIWGMDEYHYVLDSEHFGWNLKNEMVIVCEDVSFGREKVPVVCAIDVDAKEFPYMKPGEILQSENSLPWQGFHYVTKRLMDSSLVDSENTMVGCACSHAHCSPEECDHVSLFDSIYENLVDLHGVPMRGRFAYDENSKVILQEGYPIYECNSSCTCDASCQNKVLQRGLLVKLEVFRTENKGWAVRAAEPIPQGTFVCEYIGEVLKMKDDGAIRHVEREAKSGSSYLFEITSQIDRERVQTTGTTAYVIDATRYGNVSRFINHSCSPNLSTRLVSVESKDCQLAHIGLFANQDILMGEELAYDYGQKLLPGDGCPCHCGAKNCRGRVY >ORUFI02G31280.5 pep chromosome:OR_W1943:2:27373187:27385944:1 gene:ORUFI02G31280 transcript:ORUFI02G31280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHPNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAQEASCCRDYTDLGKMLVKLQNMILPDYTSCHWLQNSFHLWSQKCNNAHDAETVEILTEELRQAILWDKVHELWNAPMQPELVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVRRGEAHFSQEDDANLNTLSEDPNKSNLPSISIIHEAVGPLESRDQNKTAAFPSTSGVQDTGEPNSALHNVRHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGFIFCKKHRFQTNPDAMSSDSLLSSSEGRKWEESQKSVEKMSSSNATCSVGSEQANNFQVAVHMKVTPTMAVETTSDKVNVSENADLCYPMSTSMENSNLDASICIGIRSHDNIAECQDYAVRHTLYCERHIPKFLKRARNGKSRLISKDVFINLLKCCTSRKEKLCLHQACEFLYWFLRNNLSHQRPGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLSHVWGFGTDSSNQMHSENQDGSVMVLREDGTHPSPGLKCKICSQEFSDDQGLGLHWTEVHKKEVRWLFRGYSCAVCMDSFTNRRVLERHVQEKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSRDFSLLDHVPRRPRGQSIKRTERASDELLYDNHNLGKDDGLQKFTCRLCGMMFDLLPDLGHHHQVAHTNSGTVSDIPSGREKYQFNRGRHYYSAFKKSLRPSGSLKKRTSSGVEKHFKAQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPHPSNLDILSIARSVCCKTSLRAALKAKYGILPDNIFVKAAKLCSDVGIQIDWHQEEFFCPKGCKSRSSSNSLLPLQPTQVDFVMSPPIGDEIWGMDEYHYVLDSEHFGWNLKNEMVIVCEDVSFGREKVPVVCAIDVDAKEFPYMKPGEILQSENSLPWQGFHYVTKRLMDSSLVDSENTMVGCACSHAHCSPEECDHVSLFDSIYENLVDLHGVPMRGRFAYDENSKVILQEGYPIYECNSSCTCDASCQNKVLQRGLLVKLEVFRTENKGWAVRAAEPIPQGTFVCEYIGEVLKMKDDGAIRHVEREAKSGSSYLFEITSQIDRERVQTTGTTAYVIDATRYGNVSRFINHSCSPNLSTRLVSVESKDCQLAHIGLFANQDILMGEELAYDYGQKLLPGDGCPCHCGAKNCRGRVY >ORUFI02G31280.6 pep chromosome:OR_W1943:2:27373011:27385944:1 gene:ORUFI02G31280 transcript:ORUFI02G31280.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMVSNKAGTDTLECHAVQKELQCTLQDLSEIACSIDPVRKISSPQEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHPNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAQEASCCRDYTDLGKMLVKLQNMILPDYTSCHWLQNSFHLWSQKCNNAHDAETVEILTEELRQAILWDKVHELWNAPMQPELVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVRRGEAHFSQEDDANLNTLSEDPNKSNLPSISIIHEAVGPLESRDQNKTAAFPSTSGVQDTGEPNSALHNVRHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGFIFCKKHRFQTNPDAMSSDSLLSSSEGRKWEESQKSVEKMSSSNATCSVGSEQANNFQVAVHMKVTPTMAVETTSDKVNVSENADLCYPMSTSMENSNLDASICIGIRSHDNIAECQDYAVRHTLYCERHIPKFLKRARNGKSRLISKDVFINLLKCCTSRKEKLCLHQACEFLYWFLRNNLSHQRPGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLSHVWGFGTDSSNQMHSENQDGSVMVLREDGTHPSPGLKCKICSQEFSDDQGLGLHWTEVHKKEVRWLFRGYSCAVCMDSFTNRRVLERHVQEKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSRDFSLLDHVPRRPRGQSIKRTERASDELLYDNHNLGKDDGLQKFTCRLCGMMFDLLPDLGHHHQVAHTNSGTVSDIPSGREKYQFNRGRHYYSAFKKSLRPSGSLKKRTSSGVEKHFKAQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPHPSNLDILSIARSVCCKTSLRAALKAKYGILPDNIFVKAAKLCSDVGIQIDWHQEEFFCPKGCKSRSSSNSLLPLQPTQVDFVMSPPIGDEIWGMDEYHYVLDSEHFGWNLKNEMVIVCEDVSFGREKVPVVCAIDVDAKEFPYMKPGEILQSENSLPWQGFHYVTKRLMDSSLVDSENTMVGCACSHAHCSPEECDHVSLFDSIYENLVDLHGVPMRGRFAYDENSKVILQEGYPIYECNSSCTCDASCQNKVLQRGLLVKLEVFRTENKGWAVRAAEPIPQGTFVCEYIGEVLKMKDDGAIRHVERFDDSLVISFI >ORUFI02G31280.7 pep chromosome:OR_W1943:2:27373187:27385944:1 gene:ORUFI02G31280 transcript:ORUFI02G31280.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMVSNKAGTDTLECHAVQKELQCTLQDLSEIACSIDPVRKISSPQEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHPNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAQEASCCRDYTDLGKMLVKLQNMILPDYTSCHWLQNSFHLWSQKCNNAHDAETVEILTEELRQAILWDKVHELWNAPMQPELVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVRRGEAHFSQEDDANLNTLSEDPNKSNLPSISIIHEAVGPLESRDQNKTAAFPSTSGVQDTGEPNSALHNVRHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGFIFCKKHRFQTNPDAMSSDSLLSSSEGRKWEESQKSVEKMSSSNATCSVGSEQANNFQVAVHMKVTPTMAVETTSDKVNVSENADLCYPMSTSMENSNLDASICIGIRSHDNIAECQDYAVRHTLYCERHIPKFLKRARNGKSRLISKDVFINLLKCCTSRKEKLCLHQACEFLYWFLRNNLSHQRPGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLSHVWGFGTDSSNQMHSENQDGSVMVLREDGTHPSPGLKCKICSQEFSDDQGLGLHWTEVHKKEVRWLFRGYSCAVCMDSFTNRRVLERHVQEKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSRDFSLLDHVPRRPRGQSIKRTERASDELLYDNHNLGKDDGLQKFTCRLCGMMFDLLPDLGHHHQVAHTNSGTVSDIPSGREKYQFNRGRHYYSAFKKSLRPSGSLKKRTSSGVEKHFKAQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPHPSNLDILSIARSVCCKTSLRAALKAKYGILPDNIFVKAAKLCSDVGIQIDWHQEEFFCPKGCKSRSSSNSLLPLQPTQVDFVMSPPIGDEIWGMDEYHYVLDSEHFGWNLKNEMVIVCEDVSFGREKVPVVCAIDVDAKEFPYMKPGEILQSENSLPWQGFHYVTKRLMDSSLVDSENTMVGCACSHAHCSPEECDHVSLFDSIYENLVDLHGVPMRGRFAYDENSKVILQEGYPIYECNSSCTCDASCQNKVLQRGLLVKLEVFRTENKGWAVRAAEPIPQGTFVCEYIGEVLKMKDDGAIRHVEREAKSGSSYLFEITSQIDRERVQTTGTTAYVIDATRYGNVSRFINHSCSPNLSTRLVSVESKDCQLAHIGLFANQDILMGEELAYDYGQKLLPGDGCPCHCGAKNCRGRVY >ORUFI02G31280.8 pep chromosome:OR_W1943:2:27373011:27383432:1 gene:ORUFI02G31280 transcript:ORUFI02G31280.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHPNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAQEASCCRDYTDLGKMLVKLQNMILPDYTSCHWLQNSFHLWSQKCNNAHDAETVEILTEELRQAILWDKVHELWNAPMQPELVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVRRGEAHFSQEDDANLNTLSEDPNKSNLPSISIIHEAVGPLESRDQNKTAAFPSTSGVQDTGEPNSALHNVRHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGFIFCKKHRFQTNPDAMSSDSLLSSSEGRKWEESQKSVEKMSSSNATCSVGSEQANNFQVAVHMKVTPTMAVETTSDKVNVSENADLCYPMSTSMENSNLDASICIGIRSHDNIAECQDYAVRHTLYCERHIPKFLKRARNGKSRLISKDVFINLLKCCTSRKEKLCLHQACEFLYWFLRNNLSHQRPGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLSHVWGFGTDSSNQMHSENQDGSVMVLREDGTHPSPGLKCKICSQEFSDDQGLGLHWTEVHKKEVRWLFRGYSCAVCMDSFTNRRVLERHVQEKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSRDFSLLDHVPRRPRGQSIKRTERASDELLYDNHNLGKDDGLQKFTCRLCGMMFDLLPDLGHHHQVAHTNSGTVSDIPSGREKYQFNRGRHYYSAFKKSLRPSGSLKKRTSSGVEKHFKAQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPHPSNLDILSIARSVCCKTSLRAALKAKYGILPDNIFVKAAKLCSDVGIQIDWHQEEFFCPKGCKSRSSSNSLLPLQPTQVDFVMSPPIGDEIWGMDEYHYVLDSEHFGWNLKNEMVIVCEDVSFGREKVPVVCAIDVDAKEFPYMKPGEILQSENSLPWQGFHYVTKRLMDSSLVDSENTMVGCACSHAHCSPEECDHVSLFDSIYENLVDLHGVPMRGRFAYDENSKVILQVIIVTGVYFYLHMFF >ORUFI02G31280.9 pep chromosome:OR_W1943:2:27373011:27378195:1 gene:ORUFI02G31280 transcript:ORUFI02G31280.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMVSNKAGTDTLECHAVQKELQCTLQDLSEIACSIDPVRKISSPQEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHPNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAQEASCCRDYTDLGKMLVKLQNVNLSTLFLKDISWNLLSIFL >ORUFI02G31290.1 pep chromosome:OR_W1943:2:27390301:27391118:1 gene:ORUFI02G31290 transcript:ORUFI02G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEDWACKSWRTHHYELRRASSKTGPANPGELTTMSIFEDWAGKSWRIDKSPQALRYRWLLCYNYRQGYPGGQNFWLRTKEGTENMMASRRNKAAVFDLLSDTH >ORUFI02G31300.1 pep chromosome:OR_W1943:2:27398325:27401764:1 gene:ORUFI02G31300 transcript:ORUFI02G31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSRRESSETLRNKCAACYRQYNRMEHLVEHMKVSFHSAHEPRCGVCAKHCRSLESLREHLIGPLPKVECARVFAARGCSICLNLFDSAAAVRYHRASTCQFTRAAPMPRGSYGGRAVAMACKMVGGGSDGSLDICARVCLIGEDENVIFQTYVKPTTTVTNYRYEMTGIRPEYLRDAMPLKLVQRRIQDILCNGEPLWKIRPRSSGRARILVGHGLEHELERLGLEYPAFMIRDTAKYPPLMKTSKLSNSLKYLTQAYLGYDIHTGIQDPYEDCVAAMRLYIRMRSQAHPRDYASGSGETQNNYPAWRQRELERMSPEELLALSGSDYYCCTASVLVY >ORUFI02G31310.1 pep chromosome:OR_W1943:2:27401031:27402874:-1 gene:ORUFI02G31310 transcript:ORUFI02G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPRLPLLLKIAAATAAGALALIVAAGLRRDDAVASLRREIRDAVAALVASNEDGVVEGEGEGEDAAEAPAPSVLITGFRAHGKSSLVNTACRALAAEEGPLLLRAEASPPGGGSDGTRKRRRVKAAVAGDSGDAGTDEAVVELLDAPPLPEATRATRADIDAAISGGNPECVVLALRCDAPAKERNAAVKRLPEISAAVRAKGLNLIVVLTFKKAMRSARQAEELIREVSFRARTDCVYFIENYTWNNNGPNLRHPPVIKNDFETHFTVLTIIRQCLEFIKLNRSQSKREENGEKGNSGDVKGILEKVLTANAKSSGELSVKPALEKVLTANAKSSGELSVKPADVKPVLTVQ >ORUFI02G31320.1 pep chromosome:OR_W1943:2:27404930:27408177:-1 gene:ORUFI02G31320 transcript:ORUFI02G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSVRARIQSPHRSAAHFVAGEGGRRRPATSRVSFRPMASAASVEEPAAAAAAAAETKRGPSGASFIREHLRSLAPYQPILPFEVLSARLGRKPEDIIKLDANENPYGPPPEVAKALGNLKFPYVYPDPESRQLRAALAEDSGLESEYILAGCGADELIDLIMRCVLEPGDKIVDCPPTFTMYEFDASVNGALVIKDVAQIVKVVEQEKPKSIFLTSPNNPDGSIINDEDLLKILDLPILVVLDEAYIEFSSLQTRMSWVKKHDNLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVSACAALQNPTYLEEVKNLLLQERDRLYDLLKEIPFLKPFPSHSNFILCEVTSGKDAKKIKEDLAKMGVMIRHYDKKELKGYIRISVGKPEHTDALMKGLKALQL >ORUFI02G31330.1 pep chromosome:OR_W1943:2:27410536:27411090:-1 gene:ORUFI02G31330 transcript:ORUFI02G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSCAAGAEATGLVAMDCLVVCCCCPCLVLQITVFLFVRLPKKVVVKSKRIILRRWHGRRSSSSAAAAAAKRGCSTVDPAAGVKKQLEELFDDDDLFQGAFGGGINGGGGDDEEGWWKERCFAVDDDDGGVWEALIEQEGLFWFGSFWGRTTEQVDRPDQFGDDEHAHPALRFPLVLERVCD >ORUFI02G31340.1 pep chromosome:OR_W1943:2:27415930:27416328:1 gene:ORUFI02G31340 transcript:ORUFI02G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAMPSSMPPPPPAIATFAAPLQPDYQGSVTAAAEGAAARGHGGGGSIGTFFAVLAAVLVLTLLSCVLGRVCARHADGPDERYDCATLACRWCCWGSPPPRRVARRREAKPPPPVVEEVAPAAELPPPEP >ORUFI02G31350.1 pep chromosome:OR_W1943:2:27420249:27437202:1 gene:ORUFI02G31350 transcript:ORUFI02G31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEHRGVVLVCSICGFLFAVLGPLSFWILWAVNWRPWRLYSWIYARKWPAYVQGPQLSTLCSFFTLFAWLVVVSPITVLLVWGGILIALLERNIIGLAVIMVGVALLLSFYSIMLWWRTQWQSSKAVAYLLLLAVGLLCAYEFCAVYVTTGASASELNSPSGFFFGVSAISLAINMLFISKILFNGSGFDVDEYVRRLYKFAYSDCVEVAPVSCSPDPPDPTAAVSCWLSISNPSVARIDALRSTVIKLREGFRRKGQTSSSNSSDGCGSSVKRSSGSVEAGPHGNATDSMYRSNSQSDCVNWNNVPFDRSNSCQEGQSSDKNIDSGRASLAHRSNSCLSAVAVQDPETAVVSADRHGDPTASLVVCSSSGLESQGCESSGSATASGNQQLLDLNLAAIFQDRLNDPRITSMLKRNGGLGDVELANLLQDKGLDPNFSYMMKDKVMDPRILALLQRSSLDADREHQDDVDVTGTDSDRLDTTIANQISLSEELRRSGLENWLNLSRLMFHQVAGSPIRAFVVFTLIFIIETVTVAVHRPKPIKVINATHEQYGFIAWLLSTCVGLLLSFLSKSSVILGLSLTVPLMVACLSFAIPIWMRNGYRFWIPGGELDSRENIRQAPGKKERALFAISITVFTASVIGLGAIVSAKPLDALGYKGWDADKKSFYSPYATSMYLGWALSSTIAVLATGVIPIVAWFATYRFSPSSAICVGLFATVLVSFCGVSYWGVVNSRQDGVPLKADFLAALLPLLCIPAVFSLFTGMYKWKDDDWKISRGVYLFVGMGVLLLLGAISAVIVTIRPWTVGVACLLVILFLVFAIGVIHYWTSNNFYLTRTQMLLVCSLAFLLALAAFLMGLFQEKPFVGASIGYFSFLFLLTGRALTVLLSPPIVVYSPRVLPVYVYDAHADSAKNVSYAFLILYGIALATEVWGVIASLILNPPFIGAAISAITLVIAFSFAVSRPCLTLKMLEDAVHFLSKDTVVQAMSRSANKIFNISLRNIVCFVCNQTRNAISGTYSAPQRSASSAALLVGDPAITLDRAGNFVLPRADVMKLRDRLRNEEITAGSFFCGVKNCLMIGSPVDVDYRRNMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAEQIQDEVRLRLFLDSIGLSDLSAKEIKKWMPEDRRHFELIQESYIREKEMEEEVLMQRREEEGKGRERRKALLEREERKWKELEISLLSSIPNAGSRDAAAMAAAVRAVGGDSALEDSFARDRVSSIARHIRKAQLARRAEQTGIPDTVCILDDEPRSTGRHCGEIDLCLCESKKVSFSIAVMVQPVSGPVCLFGTEFQKKVCWEILVAGSEQGMEAGQVGLRLVTKGERMTTVAKEWNIGASSIADGRWHLVTVTIDADLGEATSFIDGVYDGYQNALPLPRNNGIWEPGTDIWVGARPPTDLDAFGRSDSEGSDSKMQIMDAFLWGRCLTEDEVDDWESEEANFELYDQEDVEWDGQYSSGRKRHARDSVAIDIDSFARRPRKPRFETREEVNQRMLSVERAVREALIAKGERNFTDQEFPPDDRSLFVDPMNPSLKLQVVSEWMRPSDIAKEVSISSQPCLFSGSVNSSDVCQGRLGDCWFLSAVAVLTEMARISEVIITPEYNEEGIYTVRFCIQGEWVAVVVDDWIPCESPGKPAFATSRKQNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQLLHFKQEGFLLGAGSPSGSDAHISSSGIVQGHAYSILQVREVDGHKLVQIRNPWANEVEWNGPWSDSSQEWTERMKHKLKHVPQSKNGVFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYDSWHQNPQYRLRVTGRDALYPVHVFITLTQGVGFSRKTNGFRNYQSSHDSSMFYIGMRILKTRGCRAAYNIYMHESVGGTDYVNSREISCELVLEPYPKGYTIVPTTIHPGEEAPFVLSVFTKAPIKLEAV >ORUFI02G31350.2 pep chromosome:OR_W1943:2:27421915:27437202:1 gene:ORUFI02G31350 transcript:ORUFI02G31350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEHRGVVLVCSICGFLFAVLGPLSFWILWAVNWRPWRLYSWIYARKWPAYVQGPQLSTLCSFFTLFAWLVVVSPITVLLVWGGILIALLERNIIGLAVIMVGVALLLSFYSIMLWWRTQWQSSKAVAYLLLLAVGLLCAYEFCAVYVTTGASASELNSPSGFFFGVSAISLAINMLFISKILFNGSGFDVDEYVRRLYKFAYSDCVEVAPVSCSPDPPDPTAAVSCWLSISNPSVARIDALRSTVIKLREGFRRKGQTSSSNSSDGCGSSVKRSSGSVEAGPHGNATDSMYRSNSQSDCVNWNNVPFDRSNSCQEGQSSDKNIDSGRASLAHRSNSCLSAVAVQDPETAVVSADRHGDPTASLVVCSSSGLESQGCESSGSATASGNQQLLDLNLAAIFQDRLNDPRITSMLKRNGGLGDVELANLLQDKGLDPNFSYMMKDKVMDPRILALLQRSSLDADREHQDDVDVTGTDSDRLDTTIANQISLSEELRRSGLENWLNLSRLMFHQVAGSPIRAFVVFTLIFIIETVTVAVHRPKPIKVINATHEQYGFIAWLLSTCVGLLLSFLSKSSVILGLSLTVPLMVACLSFAIPIWMRNGYRFWIPGGELDSRENIRQAPGKKERALFAISITVFTASVIGLGAIVSAKPLDALGYKGWDADKKSFYSPYATSMYLGWALSSTIAVLATGVIPIVAWFATYRFSPSSAICVGLFATVLVSFCGVSYWGVVNSRQDGVPLKADFLAALLPLLCIPAVFSLFTGMYKWKDDDWKISRGVYLFVGMGVLLLLGAISAVIVTIRPWTVGVACLLVILFLVFAIGVIHYWTSNNFYLTRTQMLLVCSLAFLLALAAFLMGLFQEKPFVGASIGYFSFLFLLTGRALTVLLSPPIVVYSPRVLPVYVYDAHADSAKNVSYAFLILYGIALATEVWGVIASLILNPPFIGAAISAITLVIAFSFAVSRPCLTLKMLEDAVHFLSKDTVVQAMSRSANKIFNISLRNIVCFVCNQTRNAISGTYSAPQRSASSAALLVGDPAITLDRAGNFVLPRADVMKLRDRLRNEEITAGSFFCGVKNCLMIGSPVDVDYRRNMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAEQIQDEVRLRLFLDSIGLSDLSAKEIKKWMPEDRRHFELIQESYIREKEMEEEVLMQRREEEGKGRERRKALLEREERKWKELEISLLSSIPNAGSRDAAAMAAAVRAVGGDSALEDSFARDRVSSIARHIRKAQLARRAEQTGIPDTVCILDDEPRSTGRHCGEIDLCLCESKKVSFSIAVMVQPVSGPVCLFGTEFQKKVCWEILVAGSEQGMEAGQVGLRLVTKGERMTTVAKEWNIGASSIADGRWHLVTVTIDADLGEATSFIDGVYDGYQNALPLPRNNGIWEPGTDIWVGARPPTDLDAFGRSDSEGSDSKMQIMDAFLWGRCLTEDEVDDWESEEANFELYDQEDVEWDGQYSSGRKRHARDSVAIDIDSFARRPRKPRFETREEVNQRMLSVERAVREALIAKGERNFTDQEFPPDDRSLFVDPMNPSLKLQVVSEWMRPSDIAKEVSISSQPCLFSGSVNSSDVCQGRLGDCWFLSAVAVLTEMARISEVIITPEYNEEGIYTVRFCIQGEWVAVVVDDWIPCESPGKPAFATSRKQNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQLLHFKQEGFLLGAGSPSGSDAHISSSGIVQGHAYSILQVREVDGHKLVQIRNPWANEVEWNGPWSDSSQEWTERMKHKLKHVPQSKNGVFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYDSWHQNPQYRLRVTGRDALYPVHVFITLTQGVGFSRKTNGFRNYQSSHDSSMFYIGMRILKTRGCRAAYNIYMHESVGGTDYVNSREISCELVLEPYPKGYTIVPTTIHPGEEAPFVLSVFTKAPIKLEAV >ORUFI02G31360.1 pep chromosome:OR_W1943:2:27442955:27444442:1 gene:ORUFI02G31360 transcript:ORUFI02G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTRAARFSATSSAEPAEVQSSSSSRKRADALARLRAAFLAAITHRRRRRQLGSSLTGTIFGRRRGRVHVALQTDTRSPPVLLVEMAAYSTGALVREMSSGLVRLALECEKQPINPGEKRRALLEEPTWRAYCNGRKCGFAVRRECGADEWRVLGAVEPVSVGAGVLPDDAAAAAAAEEGDLMYMRARFERVVGSRDSEAFYMMNPDGSGGPELSIYLLRV >ORUFI02G31370.1 pep chromosome:OR_W1943:2:27452316:27459792:-1 gene:ORUFI02G31370 transcript:ORUFI02G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREEASAAAAAAEAGTPRSPDLHDLSDDSDYAAAAAASSSHSAMRSDLVDQGSGETAKVDVVYEKERVTIHPTQYGSGRISGKLRLYLQQGSLFLSWEPNEGVDSLSSNSATMEIEKYRNLYTIKALPLSDVRFIRKHTPTFGFEYIIIVLSSGLAFPPFYFYNGGLRELFATLKKHVFIIRSDDDPNVFLVNDFQDPLQKSLSSLELPGVATVANAMSRQNSLSFTSSVDEARHGSDAKHGASSSMTEYVSKQKHRSNDPGRDLSIQVLEKFSLVTKFARDTTSSLFRENHSSGGHTYGRQQQEYVLDNRANDKYKDQQITPDKDSLLSDSMESNKILAWGKPREQPLSVDEWRSFLDPEGRVMDSKALRKKVFYGGIDHVLRKEVWKFLLGYHEYDSTYAEREYLAVMKRTEYEAIKSQWKSISSTQAKRFTKFRERKGLIDKDVVRTDRSVPYYEGDDNQNVLVLRDILLTYSFYNFDLGYCQVSLFVCSCSERSFFQGMSDFLAPILYVMEDESESFWCFAILMERLGANFNRDQNGMHAQLLALSKLVELLDPQLHNYFRKNDCLNYFFCFRWVLIQFKREFSFDQIMLLWEVLWTHYWSEHFHLYLCVAILKRYRSRIIGEQMDFDTLLKFINELSGEINLDRAIQDAEALCDQAGPNGAACIPPGTPPSMPIETDGGLYVQEDEVM >ORUFI02G31380.1 pep chromosome:OR_W1943:2:27461580:27466890:-1 gene:ORUFI02G31380 transcript:ORUFI02G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQGKGWTGWSTPAPANQRSGGGAPAASAPLGKGKGTTLRVAELEQELHEYQYNMGLLLIEKKEWTAKLDEINQALTQKEEILKREQAAHLNAISEYERREESMRKALGVEKQCVTDLEKALREIRGEIAEVKFMSEKKITDAQSLEASLEEKRLEIEGKLHAADAKLAEANRKKSQADRDLEEVEARQRRLEKEKLYFENERKAGEDRIKRQEDSLRDWDKKLKESQNRILDLQRSLNDREERANENDKLFKIKQEELEEAKKALEHTKATLKIKEDDINKRLAELHLQEKEAESKNRKLEEREKKIAEREEKVSAREKVGLQKLLEDHNVKLESKRRDFDLQLENEKKSFDAMLVQKEADLVQREKDVRSSEEKLSKKEQVLNESKKKLEEWQNDLDTKSNALKKWEESLQNDEKQLSEQKLQIENERKQAEMYKLELESLKATVVAEKEKILQEQNNLKLTEEERQEHIMLTAQLKKEIDEYRMRSNSLSEETEDLRKQRQKFEEEWEQLDEKRTHLEEEAKKLNNEKKNLERWHDNEEKRLKDREDELDIKYKEQGENLALKEKSLIDNIDHQRLENEELLKRERADLQRNLQLHRHELEMEMEKKQASKERELEEKENELNRKMDFVENELKRAAELNESKIQKILLEKKQLQKEKEVLVEDRQKLETDKADIRRDIDSLNTLSKSLKERREAYNRDRNNLIDIFEKYKVCKNCGVIIFEGLDALALKDSTDIEYPSLAVEADDRSPNPDTLAQETGALVNSGGRLSLLQKCSRIFKFSPRKKAEQSSEQQAVKNTDFGARLEEASQSDDDYEPTPVYQVAYNSFDAEDLPSESGAFENEESERQDIADDVQMESSLGVADNCVDIHGTQSFDGNTDMVVDTTIVDVDQNGKDSAVLPVVDLEPETSKQGRRQQNRKGRAKGGVKRTRSVLAVVEDAKEILGENLEVKKDDGQGDSVTVGGTRKRRFAGATISEQDEDSEAHSESVSLGGQRRKRRQTAAAVTQAPGEKRYNLRRTTVANAATAAQTNKKKAAKKGSKQTVEATADDTEGTSKAEEPATGSKGASQSADDASQLPEYSQAEAGDTHGPVEVTSAEGVDIVDGIDAAPDAMPMTPSGSELGAEQDDEEDDDSERRNQSIGKKLWSFFTT >ORUFI02G31380.2 pep chromosome:OR_W1943:2:27466891:27467579:-1 gene:ORUFI02G31380 transcript:ORUFI02G31380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIITSSKSGRPGTRGGTVVICRTARSPREAPPLFSQPPHVKLPLYPPRSLSPPLPLSLSPPPLSRSLSQI >ORUFI02G31390.1 pep chromosome:OR_W1943:2:27474966:27475455:-1 gene:ORUFI02G31390 transcript:ORUFI02G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLILIALCDSLLLVILTGPTRKSLTLGLVDRPVSHAFWATVAFPRRQLTCPVARNVTLAALNSSVKASHLHARTQQEFEQAQLNKAQCNKNSCTRLVRAVKNAQNSRAARARLV >ORUFI02G31400.1 pep chromosome:OR_W1943:2:27477078:27480211:-1 gene:ORUFI02G31400 transcript:ORUFI02G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPYLRRVSPAASCFGCAGGEAPTLDDNDVAPLLDVETGGGDRRGRPSAEEMRTGKQPRREPTTTDAEMMHEWNRAGVMAIKCVVRPGI >ORUFI02G31410.1 pep chromosome:OR_W1943:2:27483813:27485585:-1 gene:ORUFI02G31410 transcript:ORUFI02G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVILSHYFSPLFLSLSTNPKVQAFTVQVFFPQGLMLLLWLYLFDCMRTYVTDYVGDGDSWFTKFVLFVVAVPMADLFSIFAWLCLRLPLPGCSPLERPRDF >ORUFI02G31420.1 pep chromosome:OR_W1943:2:27500193:27501781:1 gene:ORUFI02G31420 transcript:ORUFI02G31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMALVRERAMVYGGGFDAEAFGGGFESSQMGYGHDALLDIDAAALFGGYEAAASAGCALVQDGAAGWAGAGASSSVLAFDRAAQAEEAECDAWIEAMDQSYGAGGEAAPYRSTTAVAFDAATGCFSLTERATGGGGGAGGRQFGLLFPSTSGGGVSPERAAPAPAPRGSQKRAHAESSQAMSPSKKQCGAGRKAGKAKSAPTTPTKDPQSLAAKNRRERISERLRILQELVPNGTKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPAQGGKAPEISQVKEALDAILSSSSPLMGQLMN >ORUFI02G31430.1 pep chromosome:OR_W1943:2:27505798:27506082:-1 gene:ORUFI02G31430 transcript:ORUFI02G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKDDDDVDDAVGEVVDDGDGFARPPLGPQAECLLHLREPEMAYQNRHAECVGETAAGNGAVVDLRASVVVTAVPTPSGVAANKGTGAADEQI >ORUFI02G31440.1 pep chromosome:OR_W1943:2:27507904:27511316:1 gene:ORUFI02G31440 transcript:ORUFI02G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGEEGEAGSSLYRFRHRRLMDTTPETDSGGHSSHNGMPIMVSILVVVIICTLFYCVYCWRWRKRNAVRRAQIESLRPLSNSDLPLMDLSSMYDATNQFSKENKLGEGGFGPVYRGVLGGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGCCVEKEEKMLIYEYLPNRSLDAFLFDSRKRAQLDWKTRQSIILGIARGLLYLHEDSCLKVIHRDLKASNVLLDNKMNPKISDFGMAKIFEEESNEVNTGHVVGTYGYMAPEYAMEGVFSVKSDVFSLGVLVLEILSGQRNGAMYLQNNQQTLIQDAWKLWNEDKAAEFMDASLAGDYSKEEAWRCFHVGLLCVQESPELRPTMSNVVLMLISDQMQLPEPAQPPLFAAREMKKVSASEFSLAMKTETTKTQSVNDVSISMIEPR >ORUFI02G31450.1 pep chromosome:OR_W1943:2:27512789:27513373:-1 gene:ORUFI02G31450 transcript:ORUFI02G31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKDKGVVDHNDGGEAESESGIVVPPHKCSNFSLAVMLTARQVCPVVEGHDAAGSASLTELGPPELVRRGDDDEPSKFPWNQSSSKGWGGSKDNVNLPSSFLLHLLEPYRAPASPSSSSSPVAAPLQKNISCIQPSVRPELFAIAALSLTGRHRRRHHQLPTAVLHTCLTVGLTRTRLATHEEKEGRGGGGDR >ORUFI02G31460.1 pep chromosome:OR_W1943:2:27516952:27519612:1 gene:ORUFI02G31460 transcript:ORUFI02G31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGRGGEEAGEGLRGARRPRLLQVPPRPDHDKGRLWRRRRGGGSGGEEEAAAGRRRRDGRTRVPADGDHVAAAAERHAVRRGGHRRDPGADGRRRGVRRGRRGGGGWAGGVLAPRRRHAARGRVREVQRRRRPAGRVTPLRVAAACRVVRCCCLRPVALVIGFIFLSNGLSCSPVTWIYITVSSELLFCGTLQNQNRSTAQKSVRFTQCKL >ORUFI02G31470.1 pep chromosome:OR_W1943:2:27520688:27530458:1 gene:ORUFI02G31470 transcript:ORUFI02G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSSAQSWIKGHTNQLARVFSLDFRRGRSRRIPSRMRSSNALGSKLFRWCSRENHTSVRKLLEVDGTSERSKLLNKVSVLMGYSNTQELIEQERARRGSATELISVCKEIEFPEMCAKFPCIKIGDSSPIELYAAAASMSQKETVLSENLTNFMRESGGNFGAAYEFSDKCHPLDPTLTNVDHLSISEESSLTAQFVSLEPAVDTDACPESLAVTDATVSDSSILDRSIRCFPGTTSRQYRQLEDGGFHTVRKLLQHFPRTYADLQNPQGSIEEGQYIMLFGTVVSSRGARLKHTLGYLEVVVSCSIIESELSSSVKSCNSQAEQKKTIHLHLKKFFSGTRFSSPSFLKCISSKYKEGDLAYVSGKIKKALTKDHYDLREYTIDMLEEEEQQCTLLDRKPYPIYPSKAGLKPSLLSLSISRALKMLTPDIDPMPHEVLVEFNLPNLFDAYMGIHKPKNRDEADFARRRLIFDDFFYLQLGRLFQMLEAVGTRVEKEELLLKCKNHELNAVGADEWSPLARKLLKVLPYLLTPSQLNAVKEIIWDLRRPVPMNRLLQGDVGCGKTVVAFLACMEVISSGFQGLKTGEIAMVIGTHSLIGDKTEFSALRISVIDEQQRFGVVQRGRFNSKLYTPSTKSSDDDTISDENSASEIFMAPHITDLPPGRQPIETLALEGNDAGFESVFQMMSDELVDGGKVYLVYPIIEESEQLPQLHAAKAEFDSIKQKFEGYPCGLLHGRMRSDEKDGALSSFRSGETRILLSTQVIEIGVDVPDASMMVVMNAERFGMSQLHQLRGRVGRGERKSRCVFLCSTPSALPRLKVLEKSSDGFYLANADLLLRGPGDLLGKKQSGHLPEFPIARLEIDGSILQEAHLAALNVLGTSNDLAQFPGLKVELSMRQPLCILGD >ORUFI02G31480.1 pep chromosome:OR_W1943:2:27530683:27537310:1 gene:ORUFI02G31480 transcript:ORUFI02G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRTSGLLLLLAAVVAAAAVVLVPPAEAAVASIDLGSEWLKVAAVHLAPGRVPIAVAINEMSKRKSPALAALADGNRLAGEEASGITARHPSKVFARARDLLAKPFPYVRSVAEALFLPYDLVPDARGAAAVRADDGQVYTVEEIVAMVLHYAAGLADAHVGAPVRDAVVAVPPYFGQAERRALTQAAQLAGVNVLALINEHAGAALQYGIDKDFSNESRHVIFYDMGAGSTYAALVYYSAYKAKEFGKTVSVNQFQVKDVRWDSKLGGLEMEMRLVNYFADQFNKQLGNGVDIRQSPKAMAKLKKQVKRTKEILSANTAAPISVESLYNDLDFRSTITREKFEELCEELWEQALTPVKEVLAHSGMKIDDIYAVELIGGATRVPKLQAKLQEFLGRSDLDKHLDADEAIVLGASLHAANLSDGIKLNRKLGMIDGSTYGFVFEINGPDYVKDESTDQLLVPRMKKLGIKMFRSIRHTKDFDVSISYEKASELPPGVTSHKFVEYSVSGLTDASEKYSSRNLSAPIKANLHFSLSRSGIISLDRAEAVIEITEWVEVPKKNLTLESNSTSQTLSSEGGAANDTSDSKENVSSDGDANKSSAPIDESNAQDIVTEKVLKKRTFRVPLKVVEKMAGAGSILSKELYSEAKTRLEALDKKDAERRRTAELKNNLESYIYSMKEKLEENTEILTVSTEQERESFAEKLNEVQDWLYMDGEDAQANEFKERLDQLKAIGDPILFRLSELKARPTACENARLYLAELQKIVKNWDSNKPWLPKKRVDEVVSEAEKVKTWLEEKEAIQKSTPVYSPPAFTSEEVYEKVLDLQDKVSSVNRIPKPKPKIEKKPPKEEESANKEKTDSSESESKEAESTETSSESAAPEESQSEPQKTDDLEPEAHDELSCSDSGLGSANIHRTRSCIIVASSYS >ORUFI02G31480.2 pep chromosome:OR_W1943:2:27530683:27536820:1 gene:ORUFI02G31480 transcript:ORUFI02G31480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRTSGLLLLLAAVVAAAAVVLVPPAEAAVASIDLGSEWLKVAAVHLAPGRVPIAVAINEMSKRKSPALAALADGNRLAGEEASGITARHPSKVFARARDLLAKPFPYVRSVAEALFLPYDLVPDARGAAAVRADDGQVYTVEEIVAMVLHYAAGLADAHVGAPVRDAVVAVPPYFGQAERRALTQAAQLAGVNVLALINEHAGAALQYGIDKDFSNESRHVIFYDMGAGSTYAALVYYSAYKAKEFGKTVSVNQFQVKDVRWDSKLGGLEMEMRLVNYFADQFNKQLGNGVDIRQSPKAMAKLKKQVKRTKEILSANTAAPISVESLYNDLDFRSTITREKFEELCEELWEQALTPVKEVLAHSGMKIDDIYAVELIGGATRVPKLQAKLQEFLGRSDLDKHLDADEAIVLGASLHAANLSDGIKLNRKLGMIDGSTYGFVFEINGPDYVKDESTDQLLVPRMKKLGIKMFRSIRHTKDFDVSISYEKASELPPGVTSHKFVEYSVSGLTDASEKYSSRNLSAPIKANLHFSLSRSGIISLDRAEAVIEITEWVEVPKKNLTLESNSTSQTLSSEGGAANDTSDSKENVSSDGDANKSSAPIDESNAQDIVTEKVLKKRTFRVPLKVVEKMAGAGSILSKELYSEAKTRLEALDKKDAERRRTAELKNNLESYIYSMKEKLEENTEILTVSTEQERESFAEKLNEVQDWLYMDGEDAQANEFKERLDQLKAIGDPILFRLSELKARPTACENARLYLAELQKIVKNWDSNKPWLPKKRVDEVVSEAEKVKTWLEEKEAIQKSTPVYSPPAFTSEEVYEKVLDLQDKVSSVNRIPKPKPKIEKKPPKEEESANKEKTDSSESESKEAESTETSSESAAPEESQSEPQKTDDLEPEAHDEL >ORUFI02G31490.1 pep chromosome:OR_W1943:2:27537344:27539671:-1 gene:ORUFI02G31490 transcript:ORUFI02G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRDDQRAGPQSEQHSDHVSDSAEDAISDEDVLAPTRLSLACAASKEREKENKMVVPDESTIWDEVLEEADELAYVHKVPPSISFLSAGTGKRRKGEHRLKFSIRGSSSVSLNVKTENPYIGEQEVSSVMPTNRAPETMMAEQLENIKEETEDLPSEFACSTKKANVSISELLDSLQDRSASSAGTPFLLHQHTRPKEEKPKVPTSVKRTLALLGQRNLETENPLEHVIGETSSEEEDDAQNNLALINKDVKGQTMADIFQQVFNATSMDCFTLPVRSYGSGYYGRMQQILQMEKDRHAEFLRQYNREQDYLGDPKGVTVQILSRSLEGKLTICRCLFMEKSNLATTGEVSTDLDMDNSSVKRTIIFSPKICDNVDLLAGNIIHIFPPWKEVKVKEETVILCTYFSHRGV >ORUFI02G31500.1 pep chromosome:OR_W1943:2:27543048:27544517:-1 gene:ORUFI02G31500 transcript:ORUFI02G31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQRPKRPSDSVAAASPAAKRARDPSAPAFPTYRDAPDLPPKIRLLCEILASSAPDVDAALDDADVRVTSSDVEQVLRFSYAHPRAAVAFFRWAGHRHLRHQHSPYSWNLVVDLLGKNRLFEPMWDTVSSMRTQGLLSLATFASVFSSLAANPASSPLRAFVELPMYGMDRDTPALNSLLSALCRASRLDDARAAIPVARAEAGTRPDADSYAILLEGCEAAGDPHVAREVFDEMRHVIGFDPANVPAYDSFLTTLISSGSSTALMDAMDYLAILHRQRCSPGEKFFRAALAAHLKARELRGAVVLWNDFVVRRGLIPDKEMYSTMIMLQGTLGHPEVIVEYLDEMTFDGVFPDADTYNMVFQFLLKGRKLREASAIFSEMVKNEFWPNEANCSLALRMFLDTRDWDSGIKLWKCMVENGLPPLEESGNMLVSKLKDERLPEACKYAEDMIDRCIKLSSSTMSKLKQCLMKVYKGHIHDHLLRKWKAH >ORUFI02G31510.1 pep chromosome:OR_W1943:2:27544616:27547406:1 gene:ORUFI02G31510 transcript:ORUFI02G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLASAASQILAAPRRGGRGRRRLVIACSAGAGAPPKLVTFLGKGGSGKTTAAAVAAQYYASEGLKTCLVIQSQDPTAEQLMGCKIGNSLTECAANLSTMKLETSKMLLEPLDRLKKVDSQINLTQGVLEAVVGEELGVLPGMDSVCSVLALQKLLNFFSTQRNSSQEEFDVVVYDCNNTEEILRLIGATERARSYLRYVKDLAEKTDIGRLASPSAMRLIYDSARPNGKTSEGRLSSELWNDIEQLIQRISVWFADPSKFACFLIMDPRRSISVSSALRYWGCTTQAGGNICGAFGYTEQRFEIHQGVAEKFLPMTFSFLPFLPTDSSADWSRVLNSLSQNAKDLLRNTSNKVYPSVSFDSVQKSVTLFMPGFDKSEIKLYQYRGGSELLIEAGDQRRVVKLPPAMQGKVGGAKFVDRNLIVTIR >ORUFI02G31520.1 pep chromosome:OR_W1943:2:27547423:27547917:1 gene:ORUFI02G31520 transcript:ORUFI02G31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLSRMLLDRFFPGAGGVVAGEARPPMDWRETPVAHVFEMDLPGLAKDQVAVEVVDGHILRVRAGGEHEDANNAAKAGKASGEEEEENDGVRWHCRERAAGRRRAAVTQFRLPEDAAADEASARMADGVLTVTVPKRKGKKRHAGNGKAAGDDKPVCCRFWP >ORUFI02G31530.1 pep chromosome:OR_W1943:2:27548063:27551477:1 gene:ORUFI02G31530 transcript:ORUFI02G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHECTSAAAAGVGRELDGRRQRAAQRRARRRRLLQAPPLGVVITPEKSSGWHRSPISISFLHTPSSARKLSSAPAFLLLLDDEIAFFPPPPPPRNGTMARDENDAAIPSRGRRCSRRAARDSRLAHVPISAATACAMLIPIAAAAASSSSPPRALASSSRP >ORUFI02G31540.1 pep chromosome:OR_W1943:2:27550997:27551413:-1 gene:ORUFI02G31540 transcript:ORUFI02G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSIAQAVAALMGTCARRLSRAARRLHLRPREGIAASFSSRAIVPFLGGGGGGKKAISSSRRRRKAGAELSFRAEDGVWRKEILMGERCQPLDFSGVIYYDAEGRRLEQPPPPRSPLRSPLPSSIKLAANAGGGGGY >ORUFI02G31550.1 pep chromosome:OR_W1943:2:27554161:27555096:-1 gene:ORUFI02G31550 transcript:ORUFI02G31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPTPDGAPSPRVDGGPARGARLTTRRGDGGSDAAAAGSGLPAGGSGASTPELAGASRSQARRLAVAGGWRQLVEEAGVRDDGRWRRRLAATGRGGGRRRRPRWRRQRRTRWRRQRRPARGGRSGGRNRGWHAREARPRKACGQPGVAAACGCISGVGAGLDGAKGTGGGGSSSSLPIGTLTLSRAHPPLCEDGEAQAAEAMLPSPGFSFGQIWRGGRRVVERRGPGPALRDGGSRKSADGGASVRCGGSLVIGRTES >ORUFI02G31560.1 pep chromosome:OR_W1943:2:27562976:27582395:1 gene:ORUFI02G31560 transcript:ORUFI02G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTVIVVSAVVGSLGLLSAILGFAAESTKITISDVRVSGDECLYPQNPSLRLGLCAAVLLLLAQVTVSAIGGCGCCCGNGKPRGIPSSKTNRVVGIVFAVASWIAAVIAVVLFVEGAAWNANVARDTAPVCYFLKDGVFAAAAVLALAATALGVASYVMLRRQLPDDDDDAPAGAVASWRQPLLHSGIAMGHPQFPPHPQWHSQKAKAAAGSGAGTSAGREEPIVVAIKMDKTTIIVSSVVGSLGVLSAILGFAAEAAKFTDCASSLGLAIAATIFLMMAQVTVAAVGGCCGCCKSRAVPSETKRIVGVFAGAGVLVLVATGLGITSFIMLRMHPQAGEAAADRAAPRDYDDDEPTPIGTPIDIHGFRPPMPPNPQVPEPLPNYPPPPYSPAPAPAPAPAEGNGNQLAPDQQLAPHPQGHAQIEAPAAAGLEEPAAVLAIKMDKTTIIVSAVVGSLGLLSAILGFAAEGAKRTKAMIIYVFNFQRCELDLYDLYYSSNSSSAAVGLGVCGAILLVITQVTVAAIGGCCGCCKSRAIPSETKRIVGVVCAVFSWITAVIAFVLFLDGAIVESNCVLVRGGFFASAGVLTLITTALGMTSYFMLRAQPDEPAAPAARRPPGPAGGDEPTPIVGVPTAVPAGFPPPVSSPNPLLVPVPAAQAPPNQQFAHPATSQALPHARFADAAVPDPAPAAAQGYGSQASNQQHFPANPRGRSEVIGSSPALSDAAAGGRRVAAGGSGQWVAGCGTLNSVGCGGRRQRPTQLSRRAAAEAAGESVARAGEGAAGAGTTVVAIKMDKTTIIVSVVVGSLGLLSAILGFAAEGSKLTVTVTAVGGCCGCCKSRAIPSETKRIVGVVCAVISWIAAVIAFVMFLDAGIVASECFIVREGFFAGAGVLALIATALGLTSYIVLRPQPDAAAGRGEPTPIGIPMDAVPGYPPRPPHPPPQQV >ORUFI02G31570.1 pep chromosome:OR_W1943:2:27588760:27589894:-1 gene:ORUFI02G31570 transcript:ORUFI02G31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYHLGKFVSKALGKCNGRERGWREERLDYAMAYPPGPPTETYYMRPVARTVTFSAANSVYVIPPAEPPHQQSPEPQPQTPPPPAQQQQHGPEHEHHQAQPQPQQEPPPPAQDAPPAEPKPPKRGKNKKSGRVRFGPEPPPPEQQQQQQQQQQPPQQQEQTTQQAPNAGAEHAPARHATSAPPGYFRYTPSPLPRWEAATPRRHEYFSGEYRYSYPTPVREGIYRMATDANRLTTIFSEENPNACAIM >ORUFI02G31580.1 pep chromosome:OR_W1943:2:27591036:27602803:-1 gene:ORUFI02G31580 transcript:ORUFI02G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAKEEAGGELAMEIESTVTAEDWRRALALVVPSVVVLRTTAPRAFDTEVAGASYATGFVVDKSRGIILTNRHVVKPGPVVAEAMFVNREEIPVYPLYRDPVHDFGFFRYDPGAIKFLKYDEIPLAPEAASVGLEIRVVGNDSGEKVSILAGTLARLDREAPYYKKDGYNDFNTFYMQAASGTKGGSSGSPVVDCQGRAVALNAGSKSSSASAFFLPLERVVRALNLIRDSWEAFGSKPESDYIPRGTLQVTFQHKGFEETRRLGLRNETEQMVRLVSPSGETGMLVVDSVVPEGPAHKHLEPGDVLVRMNDEVVTQFLAMETLLDDSVGKEIDLQIERGGTPLTVKLEVEDLHSITPNHFLEVSGAVIHPLSYQQARNFRFKCGLVYVAEAGYMLSRASVPRHAIIKKLAGEDIENLGDLIACISKLSRGARVPLEYVKYTDRYRNKSVLVTIDRHEWYAPPQLYTRNDATGLWTAKSAIPPESPFIASAHHAGPIDANSNSVSSLPESSPMDLKCQHESENLTDGCIKTQTDDEINVDGSHSSEDSLVEKKRRRVDEEIAAEGTISSSGDLDEIKGGGLRHLSSVDGSDLARTISSNASLAEQVIEPALVMFEVHVPPVCMLDGVHSQHFFGTGVIIYHSDCLGLVAVDRNTVAVSISDIMLSFAAYPIEIPAEVVFLHPVHNFALVAYDPSALGAGASVVRAAKLLPEPALRRGDSVYLVGLSRSLQATSRKSIITNPCTAVNIGSADCPRYRAINMEVIELDTDFGSAFSGILTDEQGRVQALWASFSTQLKYGCSSSEDHQFVRGIPIYAISQVLEKVISGTPGPFRIINGVRRPIPFIRLLEVELYPTLLSKARSYGLSDSWVQALAKKDPVRRQVLRVKGCLAGSKAENLLEQGDMILAINKEPITCFLDIENACQKLDQSVDSDGVLNMTIFRQGKEIDLIVGTDVRDGNGTTRMVNWCGCIIQDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKPTPDLETFIQVVKGLENGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFEPETSTWKRGIIKALQSTVA >ORUFI02G31580.2 pep chromosome:OR_W1943:2:27591036:27602803:-1 gene:ORUFI02G31580 transcript:ORUFI02G31580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAKEEAGGELAMEIESTVTAEDWRRALALVVPSVVVLRTTAPRAFDTEVAGASYATGFVVDKSRGIILTNRHVVKPGPVVAEAMFVNREEIPVYPLYRDPFLKYDEIPLAPEAASVGLEIRVVGNDSGEKVSILAGTLARLDREAPYYKKDGYNDFNTFYMQAASGTKGGSSGSPVVDCQGRAVALNAGSKSSSASAFFLPLERVVRALNLIRDSWEAFGSKPESDYIPRGTLQVTFQHKGFEETRRLGLRNETEQMVRLVSPSGETGMLVVDSVVPEGPAHKHLEPGDVLVRMNDEVVTQFLAMETLLDDSVGKEIDLQIERGGTPLTVKLEVEDLHSITPNHFLEVSGAVIHPLSYQQARNFRFKCGLVYVAEAGYMLSRASVPRHAIIKKLAGEDIENLGDLIACISKLSRGARVPLEYVKYTDRYRNKSVLVTIDRHEWYAPPQLYTRNDATGLWTAKSAIPPESPFIASAHHAGPIDANSNSVSSLPESSPMDLKCQHESENLTDGCIKTQTDDEINVDGSHSSEDSLVEKKRRRVDEEIAAEGTISSSGDLDEIKGGGLRHLSSVDGSDLARTISSNASLAEQVIEPALVMFEVHVPPVCMLDGVHSQHFFGTGVIIYHSDCLGLVAVDRNTVAVSISDIMLSFAAYPIEIPAEVVFLHPVHNFALVAYDPSALGAGASVVRAAKLLPEPALRRGDSVYLVGLSRSLQATSRKSIITNPCTAVNIGSADCPRYRAINMEVIELDTDFGSAFSGILTDEQGRVQALWASFSTQLKYGCSSSEDHQFVRGIPIYAISQVLEKVISGTPGPFRIINGVRRPIPFIRLLEVELYPTLLSKARSYGLSDSWVQALAKKDPVRRQVLRVKGCLAGSKAENLLEQGDMILAINKEPITCFLDIENACQKLDQSVDSDGVLNMTIFRQGKEIDLIVGTDVRDGNGTTRMVNWCGCIIQDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKPTPDLETFIQVVKGLENGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFEPETSTWKRGIIKALQSTVA >ORUFI02G31590.1 pep chromosome:OR_W1943:2:27608503:27613151:-1 gene:ORUFI02G31590 transcript:ORUFI02G31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGARKDAGGGGGGVFVPCVDIKSFVLSLAFLTVFVALWQLQPYGSLLTAARSTASVSASPCSLIATPAAAAASAGVIRSENSTADTAKTAPAAVASAVPARLARAARPARVEDPNKRELRPYGSAAALFVQMGAYRGGPRTFAIVGLASKPTHVFSNPYFKCEWLPNPTAGNPSPRPVRTKAYKMLPDWGYGRVYTVVVVNCTFPSNPNADNAGGKLLVHAYYSTTSRRYERFVALEEAPGSYDESRFSPPFPYDYLYCGSSLYGNLSASRMREWVAYHAHFFGPRSHFVFHDAGGISPEVKAVLDPWVRAGRLTVQDIRAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPNGQTLDQVLGKLSGYSQFTIEQNPMSSKLCVQDPSKDYSREWGFEKLVFRNSITKVRRDRKYAIQARNAYSAGVHMSQNVYGRTTHKTESLIRYYHYHNSINVMGEPCREFVPVPVNGSKLMFEGIPYVYDDNMKRLAGQIKREELGGLEEEEGEPVVGEAALGGGAGGGRVEGGVDVGEEGVGDGDVDEEEEGEREDEGGGDAGEERAVGDDDDELRRRRLEGERGAGGGRPALTSPASRRRRCPRPDPASPGRIWPPCRHSDDDDPAVFYLRGRSARLNFPEEISLLASPSEGGGAGEPREPDGGTLSAASIRKKAIEVGSHVDALQTGMMVAPTHHREQQKHHHHPHLQPHGEEQHHHEDWISSKYEDIHT >ORUFI02G31600.1 pep chromosome:OR_W1943:2:27623188:27625662:-1 gene:ORUFI02G31600 transcript:ORUFI02G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLMLVWCVSVFLLLPSATAQATTFTSNVDGKEFTTFSFPKFDKPLLQLPDNLTFSGNATIAQDGLQLTPDSGNRPEIFLVNQAGHAFFTAPFVVWESKSSSSSSNSAAAAADGKYVASFSTVFKVNLFRSNLNKTVKGEGLAFVVASSNARGPRGPPVGSHGGFLGLTNASTDGNATNGFVAVELDTVKQRYDIDDNHVGLDVNGVRSTAAAPLAPLGIQLAPRNTTVDDGICFVWVDYNGTSRRMSVYIAKNESKPSAAVLNASLDLSTILLGKTAYFGFSASTGAATYQLNCVRMWNMTVERLHDGTTTTATKLAGTSGWKLAVGVLCGVAVVLGVVAALYIRKRRRRSGGDPSSAFNAAIDFRKIPGLPKEFDYMELRRGTNNFDEKMKLGQGGYGVVYRATVVGEDGRSTDVAVKQFSGANTKGKEDFLAELRIINCLRHRNLVKIVGWCRQNGRLLLVYDYMPNGSLDRHIFGEPGAAALDWKQRYNVVAGVASALNYLHHEYDQMVIHRDIKPSNIMLDSAFNARLGDFGLARALESDKTSYXSHPNPGERPRTQAILQILTGAAPPPHVPPSKPAFMWPAMPVALDGDDDDSETPTSRSSMLLTSSSTGWTKIFQVSKEHDVAEKDVAAE >ORUFI02G31610.1 pep chromosome:OR_W1943:2:27626850:27629875:-1 gene:ORUFI02G31610 transcript:ORUFI02G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRALVVLLWCVSASVFLPSARAQTTTFTSAIDGKKATTFSFPTFDKSLMQLGANLTFSSNATVSQSALQVTPDSSNNPLDYLVNQAGRVFFPTPFVLWSSNSSNSTADGKYVASFSTVFRANLYRSNTTMKGEGLAFVIASTNAINPPPGSYGEYLGLTNASTDGNATNGFAAVELDSVKQPYDIDDNHVGLDINGVRSNASASLTPFGIQLAPSNTTTDDGNYFVWVDYNGTSRHVWVYMAKNDTRKPSTPVLDAPLDLSTVLRGNKGYFGFSASTGETYELNCVLMWNMTVEMLPDEGATKKKAALPGWKLGVVVGVSSCAVAVVLGLFAALYIRKRRKRIGDDPSSVFNTTIDFRSIPGVPREFDYRELRRGTNNFDEKMKLGQGGYGVVYRATVVGENGQNMEVAVKQFSGANTKGQEDFLAELSIINRLRHRNLVKLVGWCHQNGVLLLVYDYMPNGSLDTHLFGGPESEVLNWQQRYNVVTGVASALNYLHHEYDQMVIHRDIKPSNVMLDSAFNARLGDFGLARALESDKTSYTDIIGVPGTLGYIAPECFHTGRATRESDVFGFGXSHPNPGERPRTQAILQILTGAAPPPHVPPSKPAFMWPAMPVALDGDDDDETSRSSTVMNSSSSYYVSSSGWTQNYQVSKEHEVADRDVATV >ORUFI02G31620.1 pep chromosome:OR_W1943:2:27630113:27637671:-1 gene:ORUFI02G31620 transcript:ORUFI02G31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTMYHAILTRYRMIPTRFLSCSLDVQQVKQGNFSSDALDINMSSCQLFLVERQYLTFNSRRREAICIRELQNLLNFWAMDLPARAVKGTSRSGLQMRATSKDNAYPAGFAEGSMRRNFPNPDTLVAG >ORUFI02G31630.1 pep chromosome:OR_W1943:2:27634788:27638555:1 gene:ORUFI02G31630 transcript:ORUFI02G31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGILLLHRCDSHPCDAAEGINARPSPAAAVPPLPPSLSPAATAPVRRRRRARPPPPPSSPPRPLPPSPLRPSIAAAAVVAVYKGRLQPAALLGRRPPGRGEKGGGGGCDAREGEGGGRSRMALCHHRRRSGPAALGDEGNGGAGEQRRSGLMARRQAKRGDGGRSGDAREASGGGRVAAGGVG >ORUFI02G31640.1 pep chromosome:OR_W1943:2:27638593:27646876:1 gene:ORUFI02G31640 transcript:ORUFI02G31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRPKPAGRRRRLAKASRAEQRRAKPKGRIGWRRVERRRSKPKGRSSAAAGGEVAIRRRSRSPQQRRVSFSHVVVAAAAATWPVTDRHYREDWDDVQWVAVRVVQAAAAAELLARQQGDAVSSLVYEANDRMRDPVYGCVGAISFMQNQVSQLQMQLAVAQGEILCIQMQHRDGNENKKNVREEEEREDGKKERRC >ORUFI02G31650.1 pep chromosome:OR_W1943:2:27649760:27650212:1 gene:ORUFI02G31650 transcript:ORUFI02G31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCASSPESYVRIGRRWRRRRPPARGFRLCPRNRFSVRRLRAKLLTFLGLVGRYVRQLLAVRRPRSSSSSSSSAGGGCSRSSSTRFLVGGGKHHVDGGRRTVPPSFMRSNSFYAQAIADCLEFIKRNSVPVEDYASSSGRRSSVDLTTI >ORUFI02G31660.1 pep chromosome:OR_W1943:2:27652335:27655675:1 gene:ORUFI02G31660 transcript:ORUFI02G31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAGAPLRTRVCIIGSGPSAHTAAIYAARAELKPVLFEGWLANDIAAGGQLTTTTDVENFPGFPEGILGGELMDRCRAQSLRFGTSIISETVTAVDFSARPFRVASDSTTVLADAVVVATGAVARRLHFAGSDAYWNRGISACAVCDGAAPIFRNKPIAVIGGGDSAMEESNFLTKYGSHVYIIHRRNTFRASKIMQARALSNPKIQVFWDSEVVEAYGGEGGGPLAGVKVKNLVTGKISDLQVSGLFFAIGHEPATKFLGGQLELDADGYVATKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEVGAQEGKAD >ORUFI02G31670.1 pep chromosome:OR_W1943:2:27657039:27659113:-1 gene:ORUFI02G31670 transcript:ORUFI02G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGGYLSVLAPIETTPTIKQDHITSNADLQDRISQLPDDILLAILDGLNVRDAARTSLLSKRWRPLPTMISHLTIDVSDFDPKSMSSFSDDELGRINATVVKATKSILACRKSNEHTISLLSMRFYLRDDDCISFGHTVGHVMATQKVEMIEFTILTEKDDNQCIDDDFIVYGRRFALFFSYCPNTFGGLTGLQLENLRFGEPEIIDVLNTCKRLNYLRLYNCSSGISTFLEVEHLQLSELSIINCRFGIIKLSSLPKLTRMIFGGWIAFQDPLSFGHVPLLESVTLTNVGLSWHNAVKLSEFLSNISIRDLTLDFNSEKVWDHLCEIETDDERRKAYSYSENKNADWNASASDFKNHSLSTLVMFGFQSNDENLIRYIRLVMDAAVNLEDIFLYKRMACEKCKGKNPRPLRYPSTKKQRQALRNRIALGTHSLATIHFPTVLRANHYAKLLY >ORUFI02G31680.1 pep chromosome:OR_W1943:2:27674312:27676092:-1 gene:ORUFI02G31680 transcript:ORUFI02G31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDPGGGGAGAGSSRYFHHLLRPQQPSPLSPLSPTSHVKMEHSKMSPDKSPVGEGDHAGGSGSGGVGGDHQPSSSAMVPVEGGSGSAGGSGSGGPTRRPRGRPPGSKNKPKPPIIVTRDSPNALHSHVLEVAGGADVVDCVAEYARRRGRGVCVLSGGGAVVNVALRQPGASPPGSMVATLRGRFEILSLTGTVLPPPAPPGASGLTVFLSGGQGQVIGGSVVGPLVAAGPVVLMAASFANAVYERLPLEGEEEEVAAPAAGGEAQDQVAQSAGPPGQQPAASQSSGVTGGDGTGGAGGMSLYNLAGNVGGYQLPGDNFGGWSGAGAGGVRPPF >ORUFI02G31690.1 pep chromosome:OR_W1943:2:27689199:27693726:-1 gene:ORUFI02G31690 transcript:ORUFI02G31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRGGGGGRIVGAARRALTWGALPLPMRITNGLAMVSLVLSSCDLLRLCSDRERPLGGREFATVVCQLASVVYLVSLFAHPDAPATTTGDDDDGQGGSRRARPAAAEPAPMHGHGGGMMEADDEEIVAAVASGALPSHRLESRLGDCRRAARLRREALRRVTGRGVEGLPFDGMDYQAILGQCCEMPVGYVQLPVGVAGPLLLDGREYHVPMATTEGCLVASVNRGCRAISASGGAFSVLLRDAMSRAPAVKLPSAMRAAELKAFAEAPANFELLAAVFNRSSRFGRLQDIRCALAGRNLYMRFSCITGDAMGMNMVSKGVENVLGYLQNVFPDMDVISVSGNYCSDKKPTAVNWIEGRGKSVVCEAIIKGDVVQKVLKTTVEKLVELNIIKNLAGSAVAGALGGFNAHASNIVTALFIATGQDPAQNVESSQCITMLEEVNDGDDLHISVTMPSIEVGTIGGGTCLASQAACLNLLGVKGSNHGSPGANAKRLATIVAGSVLAGELSLLAALASGHLVKSHMMYNRSSKDVAKAAS >ORUFI02G31700.1 pep chromosome:OR_W1943:2:27696393:27700861:1 gene:ORUFI02G31700 transcript:ORUFI02G31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQQQGSGSGSASASSSSSGLHLLASPFGDTTYTKVFVGGLAWETTSERLRRFYDRFGEILEAVVITDRHSGRSKGYGFVTFRDPESARKACEDPTPVIDGRRANCNLASLGRAQPAVPLGRPRSAGSYFGVPVPRGVYVGGYGQHRTLPLGYYQGFPVPQYSYTTYGTEYIYPQGTLNPYVGQQYVPIYGVSSAANTASQPFSQFSPSISGGGNGYVAIHGYNVPGNPFVQLTGSNFSSASPTPRPTIQAPFLVAAPVPTHPHLVLPAHSPQFTQASAGQKSSAAVFVVLVLTWQVALIMVIRCTWNHDVAPMDGTMIQEQRLLLSVLLGYILCLNGTATIAAA >ORUFI02G31700.2 pep chromosome:OR_W1943:2:27696393:27701459:1 gene:ORUFI02G31700 transcript:ORUFI02G31700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQQQGSGSGSASASSSSSGLHLLASPFGDTTYTKVFVGGLAWETTSERLRRFYDRFGEILEAVVITDRHSGRSKGYGFVTFRDPESARKACEDPTPVIDGRRANCNLASLGRAQPAVPLGRPRSAGSYFGVPVPRGVYVGGYGQHRTLPLGYYQGFPVPQYSYTTYGTEYIYPQGTLNPYVGQQYVPIYGVSSAANTASQPFSQFSPSISGGGNGYVAIHGYNVPGNPFVQLTGSNFSSASPTPRPTIQAPFLVAAPVPTHPHLVLPAHSPQFTQASAGQKSSAAVFVVLVLTWQVALIMVIRCTWNHDVAPMDGTMIQEQRLLLSVLLGYILCLNGTATIAAA >ORUFI02G31700.3 pep chromosome:OR_W1943:2:27696393:27700861:1 gene:ORUFI02G31700 transcript:ORUFI02G31700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQQQGSGSGSASASSSSSGLHLLASPFGDTTYTKVFVGGLAWETTSERLRRFYDRFGEILEAVVITDRHSGRSKGYGFVTFRDPESARKACEDPTPVIDGRRANCNLASLGRAQPAVPLGRPRSAGSYFGVPVPRGVYVGGYGQHRTLPLGYYQGFPGTLNPYVGQQYVPIYGVSSAANTASQPFSQFSPSISGGGNGYVAIHGYNVPGNPFVQLTGSNFSSASPTPRPTIQAPFLVAAPVPTHPHLVLPAHSPQFTQASAGQKSSAAVFVVLVLTWQVALIMVIRCTWNHDVAPMDGTMIQEQRLLLSVLLGYILCLNGTATIAAA >ORUFI02G31710.1 pep chromosome:OR_W1943:2:27701359:27703565:-1 gene:ORUFI02G31710 transcript:ORUFI02G31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGNGEVRYGGGGAGAGEAAVMADDGTTVFRGTAQPPVRTTVALALWLGAIHFNAFLLLASLFLFPRRVAAMVLATQLFFMFAPVNDMSRLGRKIARFISKCVIGYFPVTLHVEDYKAFDPNRAYVFGYEPHSVLPIALGVLLELVGFMPLPKIKVLASSAVFYTPFLRQIWTWLGLVPASRKNFYSYLKAGYSCVIVPGGVQEMLHMDHDSEVAFLKSRKGFVKIAMETGSPLVPVFAFGQSYVYKWWRPGGKLIVKIARAIKFTPIMFWGKFGTPIPFATPMHVVVGRPIEVKKNAQPTFDEINEVHEQFVVALQELFEKYKTKAGYPNLHLRVL >ORUFI02G31720.1 pep chromosome:OR_W1943:2:27706532:27712226:-1 gene:ORUFI02G31720 transcript:ORUFI02G31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYGVPRELSEVQKKRALYQPELPPCLQGTTVRVEYGDAAIAADPAGAHVISHAFPHTYGQPLAHFLRKTANVPDATVISEHPVVRVGVVFCGRQSPGGHNVIWGLHEAIKAHNPNSKLIGFLGGSDGLLAQKTLEITDEVLSSYKNQGGYDMLGRTKDQIRTTEQVNGAMASCQALKLDALVIIGGVTSNTDAAQLAETFAEAKCATKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFIRMMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKYHGVVLIPEGLVESIPELYALLQEIHGLHGKGVSMENISSQLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVEDEMNRRMVLGHVCYHILAAGLNGYMATVTNLKSPANKWRCGAAPISSMMTVKRWSRGPAATQIGKPAVHMATVDLKGKAFELLRNNSTSFLIDDIYRNPGPLQFEGAGADSKPISLCVEDQDYMGRIKKLQEYLEKVKSIVKPGCSQDVLKAALSAMSSVTETLAIMTSSSTGQGTPL >ORUFI02G31720.2 pep chromosome:OR_W1943:2:27706532:27712226:-1 gene:ORUFI02G31720 transcript:ORUFI02G31720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYGVPRELSEVQKKRALYQPELPPCLQGTTVRVEYGDAAIAADPAGAHVISHAFPHTYGQPLAHFLRKTANVPDATVISEHPVVRVGVVFCGRQSPGGHNVIWGLHEAIKAHNPNSKLIGFLGGSDGLLAQKTLEITDEVLSSYKNQGGYDMLGRTKDQIRTTEQVNGAMASCQALKLDALVIIGGVTSNTDAAQLAETFAEAKCATKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFIRMMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKYHGVVLIPEGLVESIPELYALLQLLLHPESDDSAQLSQIETEKLLAQLVEDEMNRRMVLGHVCYHILAAGLNGYMATVTNLKSPANKWRCGAAPISSMMTVKRWSRGPAATQIGKPAVHMATVDLKGKAFELLRNNSTSFLIDDIYRNPGPLQFEGAGADSKPISLCVEDQDYMGRIKKLQEYLEKVKSIVKPGCSQDVLKAALSAMSSVTETLAIMTSSSTGQGTPL >ORUFI02G31730.1 pep chromosome:OR_W1943:2:27713582:27717983:-1 gene:ORUFI02G31730 transcript:ORUFI02G31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGATAGSGSGSDHDDARAAEEARGEEAAGRAVEVEEEEEEEEAVPVAEERAAWGGGGTPPTPEPKSDADAEGAGSLDRVELNAGAGGGREREDDEGEDEAVGARGVEMNGEDAMSHDGHDGDEDGDDEEDGDDGEEEEEEDDDEEDDDDDSTPDASPRAEAKAEGESSTVMAGCANQPAEPDPFLDGDDSGTEEEQAAFMVELERFHREHGLEFKPPKFYGKGLNCLKLWRQVAHLGGHEQVTICKLWRQVGETFRPPKTCTTVSWSFRIFYEKALLEYEKYKVRTGQLQVPLSALPQPGGTGREIGMNQSSSARVRRDSAARAMQGWHAHRLLTNGIYGDQMLKEYSRGRKHLVQSVLSRDDSMVTDVGEPAEWVKINVRQTKDCFEIYALVPGLLREEVHVQSDPAGRLVITGDPEQPDNPWGITPFKKVVNLPLRIDPHQTSAVVTLHGQLFVRAPFGHADM >ORUFI02G31740.1 pep chromosome:OR_W1943:2:27720360:27726387:1 gene:ORUFI02G31740 transcript:ORUFI02G31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYPEELRTPPLSLVSIVGCPELHPAISAALSSRQPPMNLLALPDFSKASILARTAKARDPLAPPQAPAGILKKDWLLKHRTRVPAAVAAMFRADQVSGDPAQWLQACSDLENLKSVIQGRNTKLVVILVQSQAGDELSEDVTVALRKRAEIDSKHLVVLVERDEMEWTKSLNKLTTVFAELCTTYYKDEGRRVKARIERRNFSSVELSIRYCFKVAVYAEFRRDWPEALKFYEEGIRVLREMIGTSTRLPPTQRLVEVKAVAEQFHFKISTILLHGGKVVGAITWFRKHIRSYERVVGSPEVAFLHWEWFSRQFLVFGELIETTSTTVPDTLSPRFGTADNALTEWEFQPAYYYQLAANYLREKRYALEFSSSSVSLTKGVNGLPESVMPSVYVGQYVRLFEQGDTVAVLPLSDTEYTSYALSEAERFQDSYEIIALFRKAYESFRSLGATRMASACSGGMAIEYYAAGDFSNAKQLFDGVAGLYRQEGWATLLWENLGYLRECARKLKSLKDFISYSLEMAALPLFSGSGQGNSENKRKNGPAGSPTISSRELIQQEVINILEGKHASENTDDEFDLHLMEESTHLDIDQISPLRIVFLASVAFHDQSVKPDSPMLVSVSLQSHLPCPVMIDKLEVQFNQSDCNFVIVSAQEDCSASNSHVHDGAVQTPLTLFTDKWMRLTHEVKPGQSGKLECLAVKATISKRLVVCCQAESPVSMGEFSLWKFEDQVEALPMKDNVLAFSGQKLIQVEEPDAQVDLVLDCPGPALVGELFTVPVTILSKGHAVHSGELKINLVDAKGGGLLMSPREAEESESHHVELLGVSDVTTENGSKEEVDSIRKIQHSFGVVSVPTLYAGDSWSCKLEIKWHQAMSVMFYVSLGYSLDSTEEAALHRLNVHRSLQIEGKIPMIVSQQFLRPFRREPLLLSRIRSSSDDDKKSSLAFNESNMLILSARNCTEVPLRLHTMTIVSNDDGKQLCSVQQISGISNEYAVIAPSEEYKGIFSVNPHTISPSFFLGEICLSWSRDSSIGESQDSRVIMKERLPEVHIEEPPLVVTMECPPYAILGIPFTFHVKIYNSTSLLQEIKYSLVDSQNFVFSGAHNHAASILPKTEHIVSHKLVPLGSGSQQLPRITITSVRYSAALTPSASAATVFVYPSEPKFNLEKGYSSTDPCVS >ORUFI02G31750.1 pep chromosome:OR_W1943:2:27727320:27729800:1 gene:ORUFI02G31750 transcript:ORUFI02G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVARQPKAKKQINLFYCSECEELALKVAASSDAIHLQSINWRSFDDGFPNLFINNAHDIRGQHVAFLASFSSPSVIFEQISVIFALPKLFIASFTLVLPFFPTGSFERVEEEGDVATAFTLARILSMIPKSRGGPTSVVIYDIHALQERFYFGDDVLPCFETGIPLLLQRLRQLPDADNITIAFPDDGAWKRFHKLLLNFPMVVCAKVREGDKRIVRIKEGNPEGRHVVIVDDLVQSGGTLRECQKVLAAHGAAKVSAYVTHAVFPKQSYERFTHTNSAGSADKFAYFWITDSCPQTVKAINQQPPFEVLSLAGSIADALQI >ORUFI02G31750.2 pep chromosome:OR_W1943:2:27727320:27729800:1 gene:ORUFI02G31750 transcript:ORUFI02G31750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVARQPKAKKQINLFYCSECEELALKVAASSDAIHLQSINWRSFDDGFPNLFINNAHDIRGQHVAFLASFSSPSVIFEQISVIFALPKLFIASFTLVLPFFPTGSFERVEEEGDVATAFTLARILSMIPKSRGGPTSVVIYDIHALQERFYFGDDVLPCFETGIPLLLQRLRQLPDADNVRSKATGVLLISFKYPIRVATADLCDLCVAQITIAFPDDGAWKRFHKLLLNFPMVVCAKVREGDKRIVRIKEGNPEGRHVVIVDDLVQSGGTLRECQKVLAAHGAAKVSAYVTHAVFPKQSYERFTHTNSAGSADKFAYFWITDSCPQTVKAINQQPPFEVLSLAGSIADALQI >ORUFI02G31760.1 pep chromosome:OR_W1943:2:27736530:27740274:1 gene:ORUFI02G31760 transcript:ORUFI02G31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLIYTFSKWQTLPVGSGLAPILAQLLRPNKQKEKRALRLGLASLCLSSSPLSTGSRCRRRRPLVPSRVGRRTGAHTTGPRRGAASAQPQTTAERRRPSAAPPPVASSGSSGTPSDWLPLALSPDPHPLPVAVDRRSRLLYPPRRGSRLPSPAPTGTSTRWPGYLLHRFTLYLGIVSPICKMLPKDTFDNLQAKVQMCFVRIIAMSAGKPTLFAPGIVIAKKKLSCYVITDKGTFCYGSEGLYAAVFPGLNMESVAINFTDVSIADSCLFHAKQTKMH >ORUFI02G31770.1 pep chromosome:OR_W1943:2:27748974:27751524:1 gene:ORUFI02G31770 transcript:ORUFI02G31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAPTGDSPPSRTPEQRVYDEHRVSVVQVTCVLVTKEGRKLGVGTGFVVHNDGASCLVLTCAHVIDPKRRGTQPKELSVRFGADHIASARLVHVSEHVDLALIRVQGAPNCIPLGFSDEADLSGKEVVAIGFFGLDGTSMCIDPGTSRGHILGEAVVFPTDSDDLNEFSPTNLPTAKGMSGAPLGMIQDGVSPQRAISSLLRQQASFLKLRFSRLNTDCTSSWWNADRSPPWWSPSRWCCPRGSRIPRSPWQIRAGIDKQGAAADAGELLSGQVKAVRKPWRSRCRRRRELVACHRDARLGGELDGGHRDDGGDGEGEGVDDGGAAVADVVLEDKELATGVVDAARVAVGHGGDRGPGGGGRVGGVEEESLAAGRHDAMRRPVPGQVVRLGEEGRERREGADGSGEEVEERRGEVGGVDGERPPVRGDVEDAVAARSGGGGDGGGGEGGRR >ORUFI02G31780.1 pep chromosome:OR_W1943:2:27750676:27751296:-1 gene:ORUFI02G31780 transcript:ORUFI02G31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGEGLLFYASDPPAASWAPIAAMADCNASSINYSGGEFFVFEDDVCYCCTTIVDALTLPIAAVIPVPAVELPAEARIAVAGDELFSSSPKDVPLRRRHRLLQGFRTALTWPDRSSPASAAAPCLSIPARICHGDRGIREPRGQHHLLGDHQGGERSAFHHDEVQSVFSLENRSFKKLACCLNKLEMALCGETPSWIIPSWNEG >ORUFI02G31790.1 pep chromosome:OR_W1943:2:27755756:27756055:-1 gene:ORUFI02G31790 transcript:ORUFI02G31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPRVKEGQQHGGGERRGGQGGRVVVKKTSPRLEGGHEWVVRCGTRVAEDPVGGEACIGRRDGWIKGRALLPEKIKLRWSIAVNFRRARRHMADFRR >ORUFI02G31800.1 pep chromosome:OR_W1943:2:27759360:27761216:1 gene:ORUFI02G31800 transcript:ORUFI02G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNSLLAMDSKLSMLFLLLGFVACSATASHHDPSVVGYSQEDLALPNKLVGLFTSWSVKHSKIYASPKEKVKRYEIFKRNLRHIVETNRRNGSYWLGLNHFADIAHEEFKASYLGLKPGLARRDAQPHGSTTFRYANAVNLPWAVDWRKKGAVTPVKNQGECGSCWAFSTVAAVEGINQIVTGKLVSLSEQELMDCDNTFNHGCRGGLMDFAFAYIMGNQGIYTEEDYPYLMEEGYCREKQPHSKVITITGYEDVPANSETSLLKALAHQPVSVGIAAGSRDFQFYKGGIFDGECGIQPDHALTAVGYGSYYGQDYIIMKNSWGKNWGEQGYFRIRRGTGKPEGVCDIYKIASYPTKNVTGWGS >ORUFI02G31810.1 pep chromosome:OR_W1943:2:27762295:27766025:1 gene:ORUFI02G31810 transcript:ORUFI02G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSENEGIEGVRFAVTGGQGFVGSALCLELLRRGAREVRSLDLRASSPWSDQLLGAGVRFFQGDVRKKEDVGKALRGVDCVFHLASYGMSGKEMVQAGRADEVNINGTCNVLDACHEHGVRRLVYVSTYNVVFGGEPIVNGNEALPYFPVEDHVDAYARSKSIAEQLVLKSNGRQTKSDKSSRLYTCSIRPAAIYGPGEERHLPRILSLAKLGLAFFKIGDPNVKSDWVYVDNLVLALILASMGLLDDIPDRKGIPVAAGQAYFICDGSPVNTFEFLSPLFQSLDYTVPRVRMDTSVALAISRFFVFMYTLLYPWLDSKWIPQPLLLPAEVYKVGVTHYFSYLKAREEIGYVPMVSPREGLAATISYWQERKRKELDGPTIFPWLFVTIGMLALFSAAYLPPVGPLKWVLDLHLFVFRSKLVIRLVFVIATALHVGEAVYAWFLAKKYDPRNATAIYLPLNWKSQELQAYYSLMAE >ORUFI02G31820.1 pep chromosome:OR_W1943:2:27767758:27768309:1 gene:ORUFI02G31820 transcript:ORUFI02G31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILENIQKARFLPTRPLKDELPTFQGGGGGGGGGGVKESHLMGLRKRLSSFSDKIQPISSASAEWAFRRSKSAPSLGAFAGGPLKRWWDWGVGWLMSKKPGFATDLEMNEEEVAALGRGSRGSWGHILYKMRSGVRRLVTSHSLPTTHRAAAAASASAQCKPAATFNYTQSFHSGQTAMAY >ORUFI02G31830.1 pep chromosome:OR_W1943:2:27768378:27771609:1 gene:ORUFI02G31830 transcript:ORUFI02G31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLREIDIIYGDEDATTITSFDGERNGLWPIKTRPNWLQNFQSPGLPKWIVHESWGPTQPNPAQVGK >ORUFI02G31840.1 pep chromosome:OR_W1943:2:27772014:27772319:1 gene:ORUFI02G31840 transcript:ORUFI02G31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGQREGNALKTAVVVTGGLVLAWFTMESAFKPFLDRLRGALTRNTDPARDPDEENSAAPADRAVEEPAAAAAPVEEGEGKGVELEEKGEGAAMTE >ORUFI02G31850.1 pep chromosome:OR_W1943:2:27780063:27780293:-1 gene:ORUFI02G31850 transcript:ORUFI02G31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHRRGQIHLTPPSSRTDPPLLVTVEVGSAAPSSCGESGDGVGRPKLKDGQPKRPPVVAVLLHGASRRRTLAWIA >ORUFI02G31860.1 pep chromosome:OR_W1943:2:27783632:27784111:-1 gene:ORUFI02G31860 transcript:ORUFI02G31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGNGAPSFRPPRAPVPAGRLYRCPVCPGPVILYSCQDLRNHLCIIHPNEAVELVTPFMRYMEASRRGRVGLPPRPPTSAPVVPQARMPTPTVQNSFVPLPPNLAFWEEYRKGGSRPVEIDFFVPVIVVSALELVAPAMVSGSNLSDTESSELDILV >ORUFI02G31870.1 pep chromosome:OR_W1943:2:27791938:27792213:-1 gene:ORUFI02G31870 transcript:ORUFI02G31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGVVDPTTMVLGAHIDDNGTESYGSRWWWALGATDLGHVFQKQWIKDGRPRSTPLSLSPNLAFWAAHRLHGIHPMEIDFLDLGLQGMG >ORUFI02G31880.1 pep chromosome:OR_W1943:2:27800875:27801552:-1 gene:ORUFI02G31880 transcript:ORUFI02G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVDSVWSSNDHPPFWALAPPPPAPERRFCCPYCPEGPQRGRFRSHLRRHYRAAVRGMVRGGLAPRARLAVLRAAAFRDSREARRRIRRDRRRRRRRGMMVAALSPNHAFWAAHRLRGTHPAEIDFLGLGLGVQQGAGMLDLPGAPPAAAAAAAAGISSGDVAASANAPVPAPAGVRSRRLMTTGRSRWGIRRRKTSTAARPPGATSEPVAKQSAISVSRAKA >ORUFI02G31890.1 pep chromosome:OR_W1943:2:27811269:27811709:-1 gene:ORUFI02G31890 transcript:ORUFI02G31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVNKRESRSGTWRPPLAPGKALLGGSFSCRLRTLAAEEDDDGVASARVALKLPSLTALLWLPALVGLLIGCPTSTTIGFTAASSSTLRLADHRIRHRLLVLLHHAAAFSFLPLSLLLLLQATRYQVLGITVLDNMYQPAAGTRY >ORUFI02G31900.1 pep chromosome:OR_W1943:2:27818019:27819185:-1 gene:ORUFI02G31900 transcript:ORUFI02G31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMTEKEREEQQKLLGRAGNGAAVQRSPTDKPPFTLGQIKKAIPPHCFQRSVIKSFSYVVHDLVIVAALLYFALVMIPVLPSGMEFAAWPLYWIAQGCVLTGVWVIAHECGHHAFSDYSVLDDIVGLVLHSSLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKQKSAMAWYTPYVYHNPIGRLVHIFVQLTLGWPLYLAFNVSGRPYPRFACHFDPYGPIYNDRERVQIFISDVGVVSAGLALFKLSSAFGFWWVVRVYGVPLLIVNAWLVLITYLQHTHPALPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIRPILGEYYQFDPTPVAKATWREAKECIYVEPEDNKGVFWYNNKF >ORUFI02G31910.1 pep chromosome:OR_W1943:2:27828232:27833112:-1 gene:ORUFI02G31910 transcript:ORUFI02G31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAVDSKRISDITEDGSMDRRGNPAVKAKTGNWRSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLASWFLLVKPTGCGAAGEHCDAPSSAGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPREARSKVAFFSYFYLALNVGSLFSNTVLVYYEDEGRWVMGFWVSAAAAAMALVLFLLGTPNYRHFKPTGNPLTRIAQVFVAAFRKWRAEVPRSELLHEVDGDESQIAGIRKILHSDQIRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGTTMNTNIGSFHVPAASMSVFDILSVLAFIAIYRRVLVPVMSRLSGNPQGLTELQRMGVGLVVGMAAMVVAGVVEVERLKRVGAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVSVVTSLTAGDRRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYVACAVWYKGIKLDSNEEKANKITVHV >ORUFI02G31910.2 pep chromosome:OR_W1943:2:27828234:27833124:-1 gene:ORUFI02G31910 transcript:ORUFI02G31910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRLGSSCVVVVVEVVASFVTCLSSSPSPEKCELPPLRVRTGGFISEGRRYITTNRKSKAMAPTAVDSKRISDITEDGSMDRRGNPAVKAKTGNWRSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLASWFLLVKPTGCGAAGEHCDAPSSAGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPREARSKVAFFSYFYLALNVGSLFSNTVLVYYEDEGRWVMGFWVSAAAAAMALVLFLLGTPNYRHFKPTGNPLTRIAQVFVAAFRKWRAEVPRSELLHEVDGDESQIAGIRKILHSDQIRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGTTMNTNIGSFHVPAASMSVFDILSVLAFIAIYRRVLVPVMSRLSGNPQGLTELQRMGVGLVVGMAAMVVAGVVEVERLKRVGAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVSVVTSLTAGDRRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYVACAVWYKGIKLDSNEEKANKITVHV >ORUFI02G31910.3 pep chromosome:OR_W1943:2:27828234:27833124:-1 gene:ORUFI02G31910 transcript:ORUFI02G31910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRLGSSCVVVVVEVVASFVTCLSSSPSPEKCELPPLRVRTGGFISEARMGCVNTRLTYGPPEKDEGPFVGSKAMAPTAVDSKRISDITEDGSMDRRGNPAVKAKTGNWRSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLASWFLLVKPTGCGAAGEHCDAPSSAGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPREARSKVAFFSYFYLALNVGSLFSNTVLVYYEDEGRWVMGFWVSAAAAAMALVLFLLGTPNYRHFKPTGNPLTRIAQVFVAAFRKWRAEVPRSELLHEVDGDESQIAGIRKILHSDQIRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGTTMNTNIGSFHVPAASMSVFDILSVLAFIAIYRRVLVPVMSRLSGNPQGLTELQRMGVGLVVGMAAMVVAGVVEVERLKRVGAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVSVVTSLTAGDRRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYVACAVWYKGIKLDSNEEKANKITVHV >ORUFI02G31910.4 pep chromosome:OR_W1943:2:27828232:27833112:-1 gene:ORUFI02G31910 transcript:ORUFI02G31910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVNTRLTYGPPEKDEGPFVGSKAMAPTAVDSKRISDITEDGSMDRRGNPAVKAKTGNWRSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLASWFLLVKPTGCGAAGEHCDAPSSAGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPREARSKVAFFSYFYLALNVGSLFSNTVLVYYEDEGRWVMGFWVSAAAAAMALVLFLLGTPNYRHFKPTGNPLTRIAQVFVAAFRKWRAEVPRSELLHEVDGDESQIAGIRKILHSDQIRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGTTMNTNIGSFHVPAASMSVFDILSVLAFIAIYRRVLVPVMSRLSGNPQGLTELQRMGVGLVVGMAAMVVAGVVEVERLKRVGAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVSVVTSLTAGDRRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYVACAVWYKGIKLDSNEEKANKITVHV >ORUFI02G31910.5 pep chromosome:OR_W1943:2:27828234:27833124:-1 gene:ORUFI02G31910 transcript:ORUFI02G31910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRLGSSCVVVVVEVVASFVTCLSSSPSPEKCELPPLRVRTGGFISEGHPHVSMDFKSKAMAPTAVDSKRISDITEDGSMDRRGNPAVKAKTGNWRSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLASWFLLVKPTGCGAAGEHCDAPSSAGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPREARSKVAFFSYFYLALNVGSLFSNTVLVYYEDEGRWVMGFWVSAAAAAMALVLFLLGTPNYRHFKPTGNPLTRIAQVFVAAFRKWRAEVPRSELLHEVDGDESQIAGIRKILHSDQIRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGTTMNTNIGSFHVPAASMSVFDILSVLAFIAIYRRVLVPVMSRLSGNPQGLTELQRMGVGLVVGMAAMVVAGVVEVERLKRVGAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVSVVTSLTAGDRRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYVACAVWYKGIKLDSNEEKANKITVHV >ORUFI02G31920.1 pep chromosome:OR_W1943:2:27837133:27840018:1 gene:ORUFI02G31920 transcript:ORUFI02G31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTVEAANGAKLSVRLFKPAAGAEAAAAEDVAVVLVHPYTILGGVQGLLRGIAEGVARRGYRAVTFDMRGAGRSTGRASLTGSTEVGDVEAVCRWVADNLNPRGVLLVGSSAGAPIAGSAVDKVDQVIGYVSIGYPFGLMASVLFGRHHNAILKSEKPKLFVMGTKDGFTSVKQLQNKLKNAAGRVDTHLIEGAGHFQMEGPAFDARMVDLIVNFIKSLPK >ORUFI02G31930.1 pep chromosome:OR_W1943:2:27844933:27845361:1 gene:ORUFI02G31930 transcript:ORUFI02G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGKKLTIVRSHEDKGSGAEEAMPARKRRGRPQKRFADKIDQVDVENFVDNVIDGEEVEGDDVKLKASRLDKNTTASAAGNKRGRQLKESSNLVLEESNSSVRSSSDESTRTNGFRQIGSRRKNKPRRAAEAGLECK >ORUFI02G31940.1 pep chromosome:OR_W1943:2:27847681:27850429:1 gene:ORUFI02G31940 transcript:ORUFI02G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAPGRAPRRAPGAHPDAQDVRQLRGHVRRRRGPLHRRRAARAEPAQEERLRQRGRRRLRRRRHHIRLQRKEHPFCAHSWFLPGFYICCAGRWRQHYQSGQWQRVLSLHSRE >ORUFI02G31940.2 pep chromosome:OR_W1943:2:27847753:27850429:1 gene:ORUFI02G31940 transcript:ORUFI02G31940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEDTPVTKTVKAAATGLAAGTIWGTVVATWHDVPRVERHVALPGLIRTLKMCGSYGATFAAVGGLYIGVEQLVLSQRKKNDYVNGAVGAFVAGATIFGYRGRSIPSALIAGSCLAFTSAALDVGGNTTRVDNGKEYYPYTVENKPAH >ORUFI02G31950.1 pep chromosome:OR_W1943:2:27855637:27866838:-1 gene:ORUFI02G31950 transcript:ORUFI02G31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSKGARNKAKAQGGSQAAVAAEPEVPVTDGVEDAKPEIEEVSEPAVVEGSDTGAEKEQGDAAGETQAAKKPAEGEVHLYPVSVKTQSGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLLLHTKDGSTHELEDYNEIAEIADITAGGCSLEIVAAIYDERSIRSHLRRVRELLSLSSLHVSLSTSLALQQESAQEKSADTAGDSGKTANQELDGLNFMEDSTGAVINLLPSVPAEIKCVGSIVFSSFNPPPSYRRLHGDLIYIDVMTLEGNKYCITGNSKSFYVNSSNGSILDPRPSKQALEDSTLVGLLQKISAKFKKGFREILDRKASAHPFENVQALLPVTSWLGAYPVPEHRRDAARAEDSVVLSYGTELIGMQRDWNEELQSCQEFPHSNPQERILRGRALYKVTCDFVDAAVKGAVGVINRYVHNNIFFSFAVDSDYEQLPKDQKPNGQNGSGRSVIGSSDPGSKPNKNHADPSGTTNSKTEEPNGVLDNTSDASAEAQIADSEQATYASANNDLKGTKAYQESDIPGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNESFHSKVVEAAKRLHVKEHVVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYIGLQHRFCVLRPELVASFIEAESTNKSTTQKVADAPGESNGQLATTAEGPAKSDENSVPRPDKFDEDSGPRPGTSDDSSATKPAEHNESTAEILFNPNVFTEYKLAGSPEEIAADEALVKKVGSYLLDTVIPKFVQDLCSLDVSPMDGQTLTDVLHSNGINVRYLGKVADMIKHLPHLRGLLSSEIIVRSAKHVVKEILRQSADHDIGPAIAHFLNCFIGNVLAPSTKGSVDSTDTKTQKGHEKTQNQKSAEGQKSSLSASSKNIVPTFSHLTSDGIWSNIKEFAKHKYLFEVTDDAKTGAKRVAVLRNLCQKVGITIASRKYDLDAAAPFQPSDILNLQPVVKHSVPVCTDARNLMEAGKIRMAEGTLNEAYALFSEAFSLLQQITGPMHKDAANCCRYGNMALFYHGLNQTELALRHMSRTLLLLSLASGPNHPDVAATLINVAMMYQDAGNMSTALRYLQEALTKNERLLGPDHIQTAICYHALAIAFSCMGAFKLSIQHEKKTYDILVKQLGSDDSRTKDAESWLNTFKSREQQVNAQKQKGQQGTNPPANPIELLKANPDLVRALKAAAKQPGEGSANVNRSLNAAVVGEGVPRVRGVDERAARATAEVRKKAAARGLNVRSGQAPDYMSNLSQILNYLGSAKAPTASGSTPATAAATSATASTQNASEGQQSNGPPQNGTAGNTNGPSSKKSGGSTPVGLGTSLELKKQKSKQKS >ORUFI02G31960.1 pep chromosome:OR_W1943:2:27874494:27881327:1 gene:ORUFI02G31960 transcript:ORUFI02G31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLVDLAPYLDRAVAGGGAAGEEAVRALCATVSASLRDTGALLVKDPRCPAADNDRFLDVVEHYFARSADSKRLQERPNLHYQVGVTPEGVEVPRSLVDKEMQDKIKSMPEEFQPATPKGPDPKWRYMWRVGPRPANTRFKELNSEPVIPDGLPEWKETMDSWGSKMISAIEVVAEMAAVGFGLPKDAFTSLMKEGPHLLAPTGSDLERHGSEGTVFAGFHYDLNFLTIHGRSRFPGLNIWLRNGKKMEVKVPVGCLLIQSGKQLEWLTGGECLAGMHEVVVTKRTLEAIALAREQNRSLWRVSSTLFAHIASDATLKPLGHFAEASDAHSYPPICAGDYVEQELSVINLKGKNGF >ORUFI02G31970.1 pep chromosome:OR_W1943:2:27877892:27881107:-1 gene:ORUFI02G31970 transcript:ORUFI02G31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGRRQGVVAIECVAGGSRAEEWGPGSSETVQTGDVVEEILIGVGGRGGPAAHAAPFKGGRAAVQRLLHSAYKRGDTSVEVRVRRPAHAQQLVTTSGELLPSPAAGAATTTTARMQACIVPQEAAVGGGGAMMAVVGRSRQYVLRSIRDPNYAVGFVDRMESECIAIRGSRSSRVVCALSKAQLQDGYVAYPWEKKMREALPIPNSSSLLSMLVLPTALDRAASRYNSVEDTLARANAWILSSQSSGVPISFLNVQTEALLTKISGETASATVNSGSLADLPNLANASLYGFEDYHGVDIGVVKAVRVWYTAAAGEMPVEITLEAGDTKLGFAISRTEEGFIYISSVMEDDSGFLAPSTRSGLRDLYREAKRASKLLVISRVSGQKVLPWMVSTSGAIRCFDTVSLSQKLSLHRHALRPILLHLLMWEGKSADAPARPHEPRLPPQPAPYPEFAAGLVRQDSFGGGELPRQDSFAIGELRRQGSYGVELVRQDSFGVELVRQDSFACTEPPPVRRGDLQGRDTAGDTSFRFHNFSLPNNWV >ORUFI02G31980.1 pep chromosome:OR_W1943:2:27884587:27886772:1 gene:ORUFI02G31980 transcript:ORUFI02G31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPEPSTAAAAAAAAVAETGCSVQIRRLEATDHEKGFVALLSQLSACPDLTASEFAACFADLAALGDDHVILVAEDPAAPESRILATGCLFVERKFLRGGGKVGHVEDVVVDAAARGRGLGLRVVRRLVEIAKEAGCYKVILDCTPELRAYYAKCGFVEKGVSCC >ORUFI02G31990.1 pep chromosome:OR_W1943:2:27887400:27888852:-1 gene:ORUFI02G31990 transcript:ORUFI02G31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKRGAGTRKDEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRIDVKLNKAIWTNGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPAEGLKGLGTKVVEDEE >ORUFI02G32000.1 pep chromosome:OR_W1943:2:27891482:27892072:-1 gene:ORUFI02G32000 transcript:ORUFI02G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYTQEHVYRHPWDRVTAAAWRKFTDPASRTALSHVADVHTLHRRVDPEAGRLQAARSITVRSPPLPFILRRLLPAAASSPSGAALCHCVETSLVDAPRRAMDVVVRNVSLRGLIEVEERSTYRPHPERPEEWTQFRQETTIRCRPLSALAAVAEKVETRCAERFLQNSAKGREVVERICRYLEAEAAGAASSAI >ORUFI02G32010.1 pep chromosome:OR_W1943:2:27892528:27893133:1 gene:ORUFI02G32010 transcript:ORUFI02G32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHLSRRLLSPAAETARLLPKPHPLLFLHPHRHFSAPSQPDGGGGPAPVSPESMKHQEIEGPTVERDTSPLADETRRELDALRAAVQRISGSLALLGGAHLAAGAWIASGAAPVGVGSAAAVQGVVAFAFPFTAALVLRRAIKPIAFFQKMEANGRLQVLTLCLQATKNVNLMLLRTRVVAISCALGVSVGSVATILMR >ORUFI02G32020.1 pep chromosome:OR_W1943:2:27896933:27897115:-1 gene:ORUFI02G32020 transcript:ORUFI02G32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKIACAVLVVAASAATVAFAAEAPAPAPTSAAPAAVAPAIGAALGATVVSFFAYYLQ >ORUFI02G32030.1 pep chromosome:OR_W1943:2:27902468:27902857:1 gene:ORUFI02G32030 transcript:ORUFI02G32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGIRQSTHAPAGRAPQRLHEAGRGGDEDRHAPSRVSVEAGEERGVKEVQTLDNAVPEHLLLLVEDMDDVKRLDDAEQDLLLRIEDGVVTAATAGDEAWVDDLEERTAGLGEQGAIWSHQAIFQRNWN >ORUFI02G32040.1 pep chromosome:OR_W1943:2:27906120:27906329:1 gene:ORUFI02G32040 transcript:ORUFI02G32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAVSVAVAAAVAVMFASVASAQVDGGVPPAPAPVTGAAAGGAASAALAVACSAVLSILVAGGIMH >ORUFI02G32050.1 pep chromosome:OR_W1943:2:27914888:27918157:1 gene:ORUFI02G32050 transcript:ORUFI02G32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQANQPTVLQKFGGQFHLGSSFSEGVRARNICPSVSSYDRRFTTRSYMTQGLVNGGINVPMMSSSPIFANAPAEKGGKNFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFGRTIKDEGFASLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFGGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKGGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLTGSLQDNFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLSGYDQLQILFFGKKYGSGGA >ORUFI02G32050.2 pep chromosome:OR_W1943:2:27914784:27918157:1 gene:ORUFI02G32050 transcript:ORUFI02G32050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQANQPTVLQKFGGQFHLGSSFSEGVRARNICPSVSSYDRRFTTRSYMTQGLVNGGINVPMMSSSPIFANAPAEKGGKNFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFGRTIKDEGFASLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFGGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKGGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLTGSLQDNFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLSGYDQLQILFFGKKYGSGGA >ORUFI02G32060.1 pep chromosome:OR_W1943:2:27920401:27923306:1 gene:ORUFI02G32060 transcript:ORUFI02G32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADRAELRELKPPYPLSAPGLPLLDPTICPRHASSRASRLACVRARRAAPSFWSLAPRYFPLVPNPESASASVLALLLWYLCSRLLCLATLLPPPHCLLPWFGSFCSWVDAGCVRACVRAEAMSSAVDAISCSKGIAAPPTEEATKERVAVVGKNGGVEHGGDAATMNGKQCGEAPHCRKESNEEEEDDEEKAPKAIDLGPRVSIKDQLEKDKDDESLRRWKEQLLGSVDLNSVGETLEPDVKIMSLAILSPGRPDIFLPLPVEPNAKGVWFTLKEGSLYKLKFTFSVSNNIVSGLRYTNAVWKTGIKVDSHKEMLGTFSPQPEPYTYVTPEETTPSGMFARGSYSARTKFLDDDRKCYLEINYTFDIRREWPALS >ORUFI02G32070.1 pep chromosome:OR_W1943:2:27925994:27930894:1 gene:ORUFI02G32070 transcript:ORUFI02G32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGVVVSDPSLQGQFTQVELRSLKAKFLSLKRDSGGHATTKNLPGLMKKLRGLNEVISEEEIAAHLSQSYPDADQEIEFESFLREYLNLQSRVSAKEGGGGGGGGGKTASSFLKSSTTTLLHNLNQAEKSSYVAHINTYLREDPFLKKYLPIEPTDNQLFDLVRDGVLLCKLINLAVPGTIDERAINKKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLILGLISQIIKIQLLADLNLKKTPQLVELFDDSKDIDEVLSLSPEKMLLRWMNHHLKKAGYKKTVSNFSTDVKDGEAYAYLLKALAPEHSPETTLETKDPSERAKLVLEQAEKLDCKRYLTPKDITEGSANLNLAFVAQIFQHRNGLTSDTRQVTLNQTASRDDVLLSREERSFRMWINSLGVDSYVNNVFEDVRHGWVLLEVLDKVSPGSVNWKLASKPPIKLPFRKLENCNQVVKIGKELKFSLVNLAGNDIVQGNKKLIVALLWQLMRFNMLQLLNRLRSHSQGSQGKEITDADILKWANSKVKASGRTSQMESFKDKSLSNGRFFLELLSAVQPRVVNWKVVTKGEDDEEKKLNATYTISVARKIGCSVFLLPEDIIEVNQKMILTLTASIMYWSLQKQPQSQSETSEQSEPSSMASDAASDIASEDAASITAASEGEEVNSLSDSMSNLTTYDAISNAPPAENGNGVAG >ORUFI02G32080.1 pep chromosome:OR_W1943:2:27937230:27937796:-1 gene:ORUFI02G32080 transcript:ORUFI02G32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLHQDRFNGVAIKLLSSAMAVHGYGGGGGGGDDTAARVCTVCLLEFADGDELRTLPLCAHSFHMDCVDVWLRAHASCSLCRNAIALPSPVCAACACARASMTSSSSTPSRNHSTSMPTPRRLPAPDPPPPRCPFSSVADVAPPHRPSPAALSHITPPPGPYEEKTGKEREKEGREERKEMMMTWSP >ORUFI02G32090.1 pep chromosome:OR_W1943:2:27941239:27941451:1 gene:ORUFI02G32090 transcript:ORUFI02G32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGWPTRRMQSMAQRLVVELPRGSCRGTEEHDRRREASKARGECAAEAVRPTRRLERCGGEPVGVGAC >ORUFI02G32100.1 pep chromosome:OR_W1943:2:27943223:27945273:1 gene:ORUFI02G32100 transcript:ORUFI02G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEQDLHMSRGDGETSYAANSRLQEKAILKTRPLLHKAVEEAHASLSGLSRAPAGGKMVVADLGCSSGPNTLLVVSEVLSAVANRSSCDHKSSLVADVQFFLNDLPGNDFNLVFQSLELFKKLAEMEFGKALPPYYIAGLPGSFYTRLFPDRSVHLFHSSYCLMWRSKVPDKLASGEVLNAGNMYIWETTPPSVVKLYQRQFQEDFSQFLALRHDELVSGGQMVLTFLGRKNRDVLRGEVSYMYGLLAQALQSLVQEGRVEEEKLDSFNLPFYSPSVDEVKAVIRQSGLFDISHIQLFESNWDPQDDSDDDDVATLDSVRSGVNVARCIRAVLEPLIARHFGRCIVDDLFDMYARNVAQHLEQVKTKYPVIVLSLKARR >ORUFI02G32110.1 pep chromosome:OR_W1943:2:27946527:27947479:1 gene:ORUFI02G32110 transcript:ORUFI02G32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYHYTSTSHFFFAGGGEGEYSSYKGTGSTDTHRPTTVRIPVTTPGPERPPDDAAAARIQAAFRGHLVRRHAAAVRGADDEATRLERLLRRQETVDAVRGDERERARFSEALMAVLLRLDAVPGYYPAVREARRAVTRRVVGLQEVFDAVLAAPDAADTCGVPASLDQLWKKKGIS >ORUFI02G32120.1 pep chromosome:OR_W1943:2:27950707:27960380:1 gene:ORUFI02G32120 transcript:ORUFI02G32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMAGNNSPWIDPLPPPMNARNGLANASLFSTSLPVLPHEKINFLDSARGTPLMDDASAKLKELDDDPEGKDYKFDFDLRQIDDLLPNEDDLFAGITNEIEPAGQTNSMEELEEFDVFGSGGGMELDTDPVESITAGLGNTSIADGLRGNGVNHFGPSNSASTVAGEHPYGEHPSRTLFVRNINSNVDDTELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRAARGAMRGLQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGTYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLMQQLGHDIDQDEPRSYRIPHVGSPIASSPPGAWAQYSSPTDNNLLQAFNASPTGNGMSPIGMPPSLISNAVKIAPIGKDSNWSKYDKVFSNNNQPHGAAFQHSHSYQDHKSEHMSSSPGTLTGPEFLWGSPKPYSEHAQSPIWRPPAIGHAIPSNTRSQGQGLLYGGRQASLFGSQDQLHHHHVGSAPSGAPFESHFGFLPESPETSYMNQVRFGNIGNIGSGRNGTGLMLNMAARASVNPVSALSGNMSDNNSSSFRPILSPRLGQSFYGNPTYQGPGSFGLDNSIERGRNRRVDSSVFQADSKKQYQLDLEKIRKGDDTRTTLMIKNIPNKYTSKMLLAAIDEFHKGTYDFFYLPIDFKNKCNVGYAFINMISPVHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGRTALISHFQNSSLMNEDKRCRPILFHSNGPDAGNQEPFPINGICIHMPLEDGAIATGDPFGNEEDNNQNERTAGEEL >ORUFI02G32120.2 pep chromosome:OR_W1943:2:27950707:27960380:1 gene:ORUFI02G32120 transcript:ORUFI02G32120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRDNQREQGKQDSFTRKGKGKRPRTPAAIPIHPIEVPPTAVPGGPREPGPHVIEVLRIKGSELRRPPSPRYPRRSAAASPGYDRRRSDDHRRGPATNSGIPSANVVMDRPQDPFNSRGIAVPPAVKMRNMAGNNSPWIDPLPPPMNARNGLANASLFSTSLPVLPHEKINFLDSARGTPLMDDASAKLKELDDDPEGKDYKFDFDLRQIDDLLPNEDDLFAGITNEIEPAGQTNSMEELEEFDVFGSGGGMELDTDPVESITAGLGNTSIADGLRGNGVNHFGPSNSASTVAGEHPYGEHPSRTLFVRNINSNVDDTELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRAARGAMRGLQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGTYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLMQQLGHDIDQDEPRSYRIPHVGSPIASSPPGAWAQYSSPTDNNLLQAFNASPTGNGMSPIGMPPSLISNAVKIAPIGKDSNWSKYDKVFSNNNQPHGAAFQHSHSYQDHKSEHMSSSPGTLTGPEFLWGSPKPYSEHAQSPIWRPPAIGHAIPSNTRSQGQGLLYGGRQASLFGSQDQLHHHHVGSAPSGAPFESHFGFLPESPETSYMNQVRFGNIGNIGSGRNGTGLMLNMAARASVNPVSALSGNMSDNNSSSFRPILSPRLGQSFYGNPTYQGPGSFGLDNSIERGRNRRVDSSVFQADSKKQYQLDLEKIRKGDDTRTTLMIKNIPNKYTSKMLLAAIDEFHKGTYDFFYLPIDFKNKCNVGYAFINMISPVHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGRTALISHFQNSSLMNEDKRCRPILFHSNGPDAGNQEPFPINGICIHMPLEDGAIATGDPFGNEEDNNQNERTAGEEL >ORUFI02G32120.3 pep chromosome:OR_W1943:2:27952101:27960380:1 gene:ORUFI02G32120 transcript:ORUFI02G32120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQDPFNSRGIAVPPAVKMRNMAGNNSPWIDPLPPPMNARNGLANASLFSTSLPVLPHEKINFLDSARGTPLMDDASAKLKELDDDPEGKDYKFDFDLRQIDDLLPNEDDLFAGITNEIEPAGQTNSMEELEEFDVFGSGGGMELDTDPVESITAGLGNTSIADGLRGNGVNHFGPSNSASTVAGEHPYGEHPSRTLFVRNINSNVDDTELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRAARGAMRGLQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGTYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLMQQLGHDIDQDEPRSYRIPHVGSPIASSPPGAWAQYSSPTDNNLLQAFNASPTGNGMSPIGMPPSLISNAVKIAPIGKDSNWSKYDKVFSNNNQPHGAAFQHSHSYQDHKSEHMSSSPGTLTGPEFLWGSPKPYSEHAQSPIWRPPAIGHAIPSNTRSQGQGLLYGGRQASLFGSQDQLHHHHVGSAPSGAPFESHFGFLPESPETSYMNQVRFGNIGNIGSGRNGTGLMLNMAARASVNPVSALSGNMSDNNSSSFRPILSPRLGQSFYGNPTYQGPGSFGLDNSIERGRNRRVDSSVFQADSKKQYQLDLEKIRKGDDTRTTLMIKNIPNKYTSKMLLAAIDEFHKGTYDFFYLPIDFKNKCNVGYAFINMISPVHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGRTALISHFQNSSLMNEDKRCRPILFHSNGPDAGNQEPFPINGICIHMPLEDGAIATGDPFGNEEDNNQNERTAGEEL >ORUFI02G32130.1 pep chromosome:OR_W1943:2:27961111:27969492:1 gene:ORUFI02G32130 transcript:ORUFI02G32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAASPPASLGKRRRRGGAGGGDGEGLRRVAEIVMVLAAAGEVRGGREPTAAERALAAEARERLAAVVTEGAVRPKDLFPGEAVRALVEDLGLNRARDPAAMGFRPPKASIADRLMLTKRKKTAHVLMEEVKESPVQPTTSTAQTITSSGTADFQGFHGAPKFAVGVPRNLPAVAALPATAPVTSASLVTLKPPGSSPVKSVNNPSVVTMPHTAPSHLKSDKGVNGPPNLVRTGATYGNLNKSFHDTCARSNLNAVQSSNPVAKNQDTKTASIDATAGNPLTGHHATPSVAPVPPKPTFANHSEIAKSVQRVLHQPANHPSWIPPSTEYMRSRLDCQICKVAIMDMESLLVCDACEKGAHLKCLQHYGNKGVPKAEWHCPTCLTKSKGKPLPPKYGKVTRTAVEPKATPPPAGTQVSSQGAAENIAVKENHQKVAVNGNLLNQNSTQAGSVAQSSTVLALGVTAAVAQSQPLSISRLPEGNLNNDAALSSEKTGNVGPCSSIAHRNEKPPDELQSSGLPANSKTGTQSGKSPNEEVSSVLVSGSADSTNDTLHEQKSHEISGEKCSDNSSIVASEANIKSKADSELISGRDVEMVDNDIPPKDQTNNIATEDKPSTQETSEAHKMEVVEVSANTGIQISQGDSAGTEENLHTEVTSVPHMINDVAMATNAGTPICPSNNVAIEEKPKSTVISEVCTTKDTEMTTDATLDQNTNVATEEIPLPESISATEDADMTTDTGIPTNQRQEVNGLAENGRKEHPLGETDKHKSDHSTMPDMSTAPQITSNGVMHSKDEAACGHEDAIVMKPPVVRLPETSDLRRTGTRSLGSCDVNWLRVATRNVPEGVSDGEEPVRGPGEDVGGHRPPRVTSDDVVTTCSGGRCCSSGDNQNENASCGVVTETMAMSSNESYARGTTEWRRREERTRGMVDG >ORUFI02G32130.2 pep chromosome:OR_W1943:2:27961111:27969492:1 gene:ORUFI02G32130 transcript:ORUFI02G32130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAASPPASLGKRRRRGGAGGGDGEGLRRVAEIVMVLAAAGEVRGGREPTAAERALAAEARERLAAVVTEGAVRPKDLFPGEAVRALVEDLGLNRARDPAAMGFRPPKASIADRLMLTKRKMEEVKESPVQPTTSTAQTITSSGTADFQGFHGAPKFAVGVPRNLPAVAALPATAPVTSASLVTLKPPGSSPVKSVNNPSVVTMPHTAPSHLKSDKGVNGPPNLVRTGATYGNLNKSFHDTCARSNLNAVQSSNPVAKNQDTKTASIDATAGNPLTGHHATPSVAPVPPKPTFANHSEIAKSVQRVLHQPANHPSWIPPSTEYMRSRLDCQICKVAIMDMESLLVCDACEKGAHLKCLQHYGNKGVPKAEWHCPTCLTKSKGKPLPPKYGKVTRTAVEPKATPPPAGTQVSSQGAAENIAVKENHQKVAVNGNLLNQNSTQAGSVAQSSTVLALGVTAAVAQSQPLSISRLPEGNLNNDAALSSEKTGNVGPCSSIAHRNEKPPDELQSSGLPANSKTGTQSGKSPNEEVSSVLVSGSADSTNDTLHEQKSHEISGEKCSDNSSIVASEANIKSKADSELISGRDVEMVDNDIPPKDQTNNIATEDKPSTQETSEAHKMEVVEVSANTGIQISQGDSAGTEENLHTEVTSVPHMINDVAMATNAGTPICPSNNVAIEEKPKSTVISEVCTTKDTEMTTDATLDQNTNVATEEIPLPESISATEDADMTTDTGIPTNQRQEVNGLAENGRKEHPLGETDKHKSDHSTMPDMSTAPQITSNGVMHSKDEAACGHEDAIVMKPPVVRLPETSDLRRTGTRSLGSCDVNWLRVATRNVPEGVSDGEEPVRGPGEDVGGHRPPRVTSDDVVTTCSGGRCCSSGDNQNENASCGVVTETMAMSSNESYARGTTEWRRREERTRGMVDG >ORUFI02G32130.3 pep chromosome:OR_W1943:2:27961111:27968508:1 gene:ORUFI02G32130 transcript:ORUFI02G32130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAASPPASLGKRRRRGGAGGGDGEGLRRVAEIVMVLAAAGEVRGGREPTAAERALAAEARERLAAVVTEGAVRPKDLFPGEAVRALVEDLGLNRARDPAAMGFRPPKASIADRLMLTKRKKTAHVLMEEVKESPVQPTTSTAQTITSSGTADFQGFHGAPKFAVGVPRNLPAVAALPATAPVTSASLVTLKPPGSSPVKSVNNPSVVTMPHTAPSHLKSDKGVNGPPNLVRTGATYGNLNKSFHDTCARSNLNAVQSSNPVAKNQDTKTASIDATAGNPLTGHHATPSVAPVPPKPTFANHSEIAKSVQRVLHQPANHPSWIPPSTEYMRSRLDCQICKVAIMDMESLLVCDACEKGAHLKCLQHYGNKGVPKAEWHCPTCLTKSKGKPLPPKYGKVTRTAVEPKATPPPAGTQVSSQGAAENIAVKENHQKVAVNGNLLNQNSTQAGSVAQSSTVLALGVTAAVAQSQPLSISRLPEGNLNNDAALSSEKTGNVGPCSSIAHRNEKPPDELQSSGLPANSKTGTQSGKSPNEEVSSVLVSGSADSTNDTLHEQKSHEISGEKCSDNSSIVASEANIKSKADSELISGRDVEMVDNDIPPKDQTNNIATEDKPSTQETSEAHKMEVVEVSANTGIQISQGDSAGTEENLHTEVTSVPHMINDVAMATNAGTPICPSNNVAIEEKPKSTVISEVCTTKDTEMTTDATLDQNTNVATEEIPLPESISATEDADMTTDTGIPTNQRQEVNGLAENGRKEHPLGETDKHKSDHSTMPDMSTAPQITSNGVMHSKDEAACGHEGEIVDISAAATEENN >ORUFI02G32130.4 pep chromosome:OR_W1943:2:27967449:27969492:1 gene:ORUFI02G32130 transcript:ORUFI02G32130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTYLLPSGPVTYAIVMKPPVVRLPETSDLRRTGTRSLGSCDVNWLRVATRNVPEGVSDGEEPVRGPGEDVGGHRPPRVTSDDVVTTCSGGRCCSSGDNQNENASCGVVTETMAMSSNESYARGTTEWRRREERTRGMVDG >ORUFI02G32130.5 pep chromosome:OR_W1943:2:27961111:27967511:1 gene:ORUFI02G32130 transcript:ORUFI02G32130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAASPPASLGKRRRRGGAGGGDGEGLRRVAEIVMVLAAAGEVRGGREPTAAERALAAEARERLAAVVTEGAVRPKDLFPGEAVRALVEDLGLNRARDPAAMGFRPPKASIADRLMLTKRKMEEVKESPVQPTTSTAQTITSSGTADFQGFHGAPKFAVGVPRNLPAVAALPATAPVTSASLVTLKPPGSSPVKSVNNPSVVTMPHTAPSHLKSDKGVNGPPNLVRTGATYGNLNKSFHDTCARSNLNAVQSSNPVAKNQDTKTASIDATAGNPLTGHHATPSVAPVPPKPTFANHSEIAKSVQRVLHQPANHPSWIPPSTEYMRSRLDCQICKVAIMDMESLLVCDACEKGAHLKCLQHYGNKGVPKAEWHCPTCLTKSKGKPLPPKYGKVTRTAVEPKATPPPAGTQVSSQGAAENIAVKENHQKVAVNGNLLNQNSTQAGSVAQSSTVLALGVTAAVAQSQPLSISRLPEGNLNNDAALSSEKTGNVGPCSSIAHRNEKPPDELQSSGLPANSKTGTQSGKSPNEEVSSVLVSGSADSTNDTLHEQKSHEISGEKCSDNSSIVASEANIKSKADSELISGRDVEMVDNDIPPKDQTNNIATEDKPSTQETSEAHKMEVVEVSANTGIQISQGDSAGTEENLHTEVTSVPHMINDVAMATNAGTPICPSNNVAIEEKPKSTVISEVCTTKDTEMTTDATLDQNTNVATEEIPLPESISATEDADMTTDTGIPTNQRQEVNGLAENGRKEHPLGETDKHKSDHSTMPDMSTAPQITSNGVMHSKDEAACGHEGEIVDISAAATEENN >ORUFI02G32140.1 pep chromosome:OR_W1943:2:27968786:27969515:-1 gene:ORUFI02G32140 transcript:ORUFI02G32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTTVCHHPSTIPRVRSSLLRHSVVPRAYDSFDDMAIVSVTTATAAAGVLILVVAAGAAAAAGARRHHVVGGDPGWAVASDVLAWSADRLFTVGDTLWFAYSAEDGGVAEVGGEEEFESCDAGSPVRMYTEGLSRVDLGGEGSRYFVSADPDKCGGGLKLRVDVRAPVAGTTPPPGSSRKGDRAAAPAPAPLASSGGRGVATSRTCVMLCCLLFLAI >ORUFI02G32150.1 pep chromosome:OR_W1943:2:27970098:27974362:1 gene:ORUFI02G32150 transcript:ORUFI02G32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLRETSCGSLLQKLQSVWDEVGESEEDRDKVLFQLDQECLDVYKRKVDQATKSRDLLLQALDYSKTELARLASALGEKSIDISPEKTARTIKEQLTAIAPTLEQLGKKKKERIKELANIQSRIEQIRGEIAGTLEMGQQVALPQINEDDLTVRKLREFQLQLQELEKEKSRRLEKVLEHVGMVHDLCNVLGMDFFRTITQVHSSLDDSIGNEHKNISNETLSKLDRTIGTLNEDKRLRLEKLQELATQLYDLWDLMDTPVEERSLFDHVSCNRTATVEEVMVPGALAVDVIDQAQTEVERLDQLKYSKMKEIAFKKQAILEDIYASTHVVLDTAVAHEKIQALIESGNMEPSELIADMDSQILKAKEEALSRKEILDKVERWISSCEEESWLEDYSRDDNRYNSGRGAHLNLKRAEKARILVSKIPALVETLVAKTRAWEENHGLPFMYDGVSLLAMLDEYVILRQEREEEKKRMREQKRQTEQLLNIDREGPFGTRVNPYRVTSAKKVAGTKPNGGASNGTPSRRLSTGNQLNESKSTGRSAGKDDKKGASKNTATSLNEAAPADKEAADSSTENFDADPVPGST >ORUFI02G32160.1 pep chromosome:OR_W1943:2:27974448:27978183:1 gene:ORUFI02G32160 transcript:ORUFI02G32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCEICGHYHNSEEGERCGVCGHRSGPMAGEPPATLDPAFPTEVLKDFLFLGSYNNASRSEVLKTLSITHILNTVPDCQNLYRNSFTYHCIQDERSLDFDGANRFLEQCERETSRVLVHCMSGKNRCDSSVVSIHVVSIVVNDRLILLWYKISRSAAIVIGYLMKSRGWRLSQSYQWVKDRRPQVQLTDASQNQLVEYEQKLFGPNVGAPAQSSVPTESFRPLGFGFPKPAGDIQAPVFNQQPVPSIFERVNPSNIPSNFTFGAMEANTPMDDNGAPAPTSGDNPMDSS >ORUFI02G32170.1 pep chromosome:OR_W1943:2:27979093:27979956:1 gene:ORUFI02G32170 transcript:ORUFI02G32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKKATAVLDEAARARLRGPFASGAASLRRDQDDDDDDLLVDLVHEFYDDGERGADATARGGVSSSPEPEPTEWKDALREALADATSDAAAARIRAEAERAVRDAVRNGGDVIRKRVVERLRARGFDAGVCRSSWERTGSVPAGSHEYVDVTAAASATGRRARYIVEVNVAGEFEIARPSAEYQDLLLSLPPVLVATPEAFRGVAAAMCAAAAESIRGAGMHLPPWRRARYVQAKWSAPYERVAAAAPPEGARTAPSGGRKRCGMEIGRREMAIGKERLVPFFRGL >ORUFI02G32180.1 pep chromosome:OR_W1943:2:27981868:27987239:1 gene:ORUFI02G32180 transcript:ORUFI02G32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLTLILLSGLGFLPRHADAARGYVTVSTSSFAVSSTCADELPGRAASPRNGTSAVLRLTHRHGPCAPAGKASALGSPPSFLDTLRADQRRAEYIQRRVSGAAAAAPGMQLAGSKAATVPANLGFSIGTLQYVVTVSLGTPAVAQTLEVDTGSDVSWVQCKPCPSPPCYSQRDPLFDPTRSSSYSAVPCAAASCSQLALYSNGCSGGQCGYVVSYGDGSTTTGVYSSDTLTLTGSNALKGFLFGCGHAQQGLFAGVDGLLGLGRQGQSLVSQASSTYGGVFSYCLPPTQNSVGYISLGGPSSTAGFSTTPLLTASNDPTYYIVMLAGISVGGQPLSIDASVFASGAVVDTGTVVTRLPPTAYSALRSAFRAAMAPYGYPSAPATGILDTCYDFTRYGTVTLPTISIAFGGGAAMDLGTSGILTSGCLAFAPTGGDSQASILGNVQQRSFEVRFDGSTVGFMPASC >ORUFI02G32190.1 pep chromosome:OR_W1943:2:27985553:27987035:-1 gene:ORUFI02G32190 transcript:ORUFI02G32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSPLLLLLLCSYHSVVAHAGDGQSYKVLELNSEAVCSERNAISSSLSGTTVALNHRHGPCSPVPSSKKRPTEEELLKRDQLRAEHIQRKFAMNAAVDGAGDLQQSKVSSSVPTKLGSSLDTLEYVISVGLGTPAVTQTVTIDTGSDVSWVQCNPCPNPPCHAQTGALFDPAKSSTYRAVSCAAAECAQLEQQGNGCGATNYECQYGVQYGDGSTTNGTYSRDTLTLSGASDAVKGFQFGCSHVESGFSDQTDGLMGLGGGAQSLVSQTAAAYGNSFSYCLPPTSGSSGFLTLGGGGGVSGFVTTRMLRSRQIPTFYGARLQDIAVGGKQLGLSPSVFAAGSVVDSGTIITRLPPTAYSALSSAFKAGMKQYRSAPARSILDTCFDFAGQTQISIPTVALVFSGGAAIDLDPNGIMYGNCLAFAATGDDGTTGIIGNVQQRTFEVLYDVGSSTLGFRSGAC >ORUFI02G32200.1 pep chromosome:OR_W1943:2:27993414:27997643:-1 gene:ORUFI02G32200 transcript:ORUFI02G32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGGEDDDHASLLRSHPAAGAGAGSGSSSPCPSPRAVGGHHHNQHADVEAVGEATVTASPRRSGGVRGLLRHLDRRLSARGSGGRRSQQPPQQLDRPEPSPTSSPQQRERVGEELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVSISLVLLTDWKLDYPLQVESTGVHVIHEWAWAGTPNEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFDQLKLVKPPQKQGINFLAAIFPTVNAVQAAVTLGTGCSLGPEGPSVDIGKSCANGCSEMMENNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENSPPFTTAMIILASVISSTVSNVLLGERPAFIVPAYELKSAAELPLYLILGMLCGAVSVVFGRLVVWFSNFFGYLKERYDFPIVVYPALGGLGAGLIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAGYLINSAVPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQSKDGEPSRFRTPRRGYSSLSSEDRNSKSWRRGDSVNDLELTSLRTDNYDTYNEEMLLDDLKVCRPLILIVNGLADAMVSQAMSKSYVKIPTSAMVTEALKLLHDKQQNCGLVVDCEDFLEGIVTLGDIRRMGFELHGDSFTSGDQLKPAVKTKQFTQAGKECVLINGDVAGKFFNHIIVSYSRIPARGIKQLPVVKRGVGHRTEGKRKLIALLHYDSIGHCLREEIENWKAIYQIKEDFHLIANGH >ORUFI02G32210.1 pep chromosome:OR_W1943:2:28004429:28009948:1 gene:ORUFI02G32210 transcript:ORUFI02G32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLLHLLAFSLLFAVATPIRDITDVCSSQIKDFQYLNSTGLHLELHHPRSPCSPAPVPADLPFTAVLTHDDARISSLAARLAKTPSARATSLDADADAGLAGSLASVPLSPGASVGVGNYVTRMGLGTPATQYVMVVDTGSSLTWLQCSPCLVSCHRQSGPVFNPKSSSTYASVGCSAQQCSDLPSATLNPSACSSSNVCIYQASYGDSSFSVGYLSKDTVSFGSTSLPNFYYGCGQDNEGLFGRSAGLIGLARNKLSLLYQLAPSLGYSFTYCLPSSSSSGYLSLGSYNPGQYSYTPMVSSSLDDSLYFIKLSGMTVAGNPLSVSSSAYSSLPTIIDSGTVITRLPTSVYSALSKAVAAAMKGTSRASAYSILDTCFKGQASRVSAPAVTISFAGGAALKLSAQNLLVDVDDSTTCLAFAPARSAAIIGNTQQQTFSVVYDVKSSRIGFAAGGCS >ORUFI02G32220.1 pep chromosome:OR_W1943:2:28012719:28013536:-1 gene:ORUFI02G32220 transcript:ORUFI02G32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELPAAAPPQPKRSTAAAAVARRRIGRELWQFWPDPPPYCRPGPSPVKDHFHWEVVIDGPAATPYAGGVFPVDVWFPYDYPFRPPKLFFKTKVYHPNIDGKGRMALDIFQDNWSPALTINKLLLCFVSVLFDPLLDRPTNRCIAKQYKHEYEAYEEKARAWTQKHSSTPIVSHYPPYAVIGSTPPAVPHFPATAARRKAAASSASGSVSSSRIPLLMKDESIWRRTMKFFQG >ORUFI02G32230.1 pep chromosome:OR_W1943:2:28015667:28016416:-1 gene:ORUFI02G32230 transcript:ORUFI02G32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIIDEPPPAPAPPQPKQSTTARRWWMKADPRKAAQRRISRELEDLWLDPPAYCRPGPEPVTDLLHWEVIIDGPPGTPYAGGTFPVDVWYPNEYPFQPPKLTFKTKISQLMLQSRTYECNSIAAGVPSEHRRRGADGGGRASRLLGFVSILYDPLLDYPINDDIAEQYENEYELYEKEAREWTRRYSSTPIASHWLPKAMRTPPAVPHIPATAERREESRSLHVRLILLR >ORUFI02G32240.1 pep chromosome:OR_W1943:2:28019506:28021659:1 gene:ORUFI02G32240 transcript:ORUFI02G32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWESAGGQRNCQQLGGGVFGGDAHHLFDEISSCPRGDSAAVLRVTVSQIIYPVTYEVLHQVYDTYGAVAVQVLAVSTWQVKALVSFMSSHDAERARSATHGRDIYDGGCLLDVQHVQMFPGDGATATHTTCLTMVPSSATARPAAKSIAAALERVFPATTASSVPSITSAAMVTSRRHGGKALTTLAATYSNKKAYQHTHHSTYGWAYGDHELFLLLMLISHPSPDAWCDCLFSGANVDVLQQLLCTSELILQWNQHGQTHELLLQREQLKLGAVHLSLEASTFSKNSRGIELVKCSERCLICLVCEDNIVLHTWAYRVVKLVAARLVGDQGKTIQFLAIWEFANKEVALIQTKKHMHVSQSTDLNICMLHLGSHGVYADSSGEEGVKAWWLRQQKHGRECGCNAQVLCMLDKWIQQWAGSTSDGSKVIKQLFWDSAQQDISLQVPWDLGGINLASQLHRLGDKPILNGGRMSCTWAVVMSFQEARQVGWLWAHSAREKETRKEAKQPAARVIDESITRTPQATASSSLLLLPLSIPIL >ORUFI02G32250.1 pep chromosome:OR_W1943:2:28023693:28024926:-1 gene:ORUFI02G32250 transcript:ORUFI02G32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPVPEPEPQPELSTAAARRQDEERKTALRIIRNELRLLWRDPPPYLRPGPEPVTDPFHWEVVIDGPAGTPYAGGTFPVDIQLPAAGYPFVHPKVTFKTLEGNMVLDAESWSCATKLRGLLIGFVSVLYDPLLDYPINYDIAEQYAYDYERYEAEARAWTREFSSAPVVSHYPPNAVVGRTPPAVPHFPATAARRRAEAEARRRAAAAAASSGSGESLWRRVIGYIQSWSPYRLLHTD >ORUFI02G32260.1 pep chromosome:OR_W1943:2:28025863:28031826:-1 gene:ORUFI02G32260 transcript:ORUFI02G32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYHEDHIEEMEDDYDMDDTADDMGEENYERGMRDSDSEDEEHGQSNDKIPDTSSVDARKGKDIQGIPWEKLAITRDKYRQTRLDQYKNYENMPNSGEAAAKECKPTEKGGMYYEFRRNTRSVKSTILHFQLRNLVWATSKHDVYLMSHFSVLHWSALSGLDTELMNVQGHVAPREKHPGSLLEGFSGTQVSTLSVKDNLLVAGGFQGELICKHLDREGISFCCRTTYDENAITNAVEIFNTTSGAVHFMASNNDSGVRDYDMERFQLYKHFQFEWPVNHTALSPDRKLAVIVGDDPNGLLIDANSGKTLHSLKGHFDYSFASAWSPDGRTFATGNQDKTCRIWDARNLSKSLHVLRGNLGAIRSIRFTSDGQFMSMAEPADFVHVFDVGSDYTRRQELDFFGEISGMSFSPDTDMLFVGVWDRTYGSLLQFGRLYNHSYLDSLC >ORUFI02G32260.2 pep chromosome:OR_W1943:2:28028043:28031826:-1 gene:ORUFI02G32260 transcript:ORUFI02G32260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYHEDHIEEMEDDYDMDDTADDMGEENYERGMRDSDSEDEEHGQSNDKIPDTSSVDARKGKDIQGIPWEKLAITRDKYRQTRLDQYKNYENMPNSGEAAAKECKPTEKGGMYYEFRRNTRSVKSTILHFQLRNLVWATSKHDVYLMSHFSVLHWSALSGLDTELMNVQGHVAPREKHPGSLLEGFSGTQVSTLSVKDNLLVAGGFQGELICKGALLPQRL >ORUFI02G32260.3 pep chromosome:OR_W1943:2:28025863:28027119:-1 gene:ORUFI02G32260 transcript:ORUFI02G32260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLDREGISFCCRTTYDENAITNAVEIFNTTSGAVHFMASNNDSGVRDYDMERFQLYKHFQFEWPVNHTALSPDRKLAVIVGDDPNGLLIDANSGKTLHSLKGHFDYSFASAWSPDGRTFATGNQDKTCRIWDARNLSKSLHVLRGNLGAIRSIRFTSDGQFMSMAEPADFVHVFDVGSDYTRRQELDFFGEISGMSFSPDTDMLFVGVWDRTYGSLLQFGRLYNHSYLDSLC >ORUFI02G32270.1 pep chromosome:OR_W1943:2:28038013:28039820:-1 gene:ORUFI02G32270 transcript:ORUFI02G32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRGILLLVVSAAVLVGLASASPFISDSVFLGSVGSTGRSLLQAKKNCPVNFEFQNYTIITSKCKGPRFPAKQCCDAFKEFACPFNEYINDESNDCASTMFSYINLYGKYPPGLFANECREGKLGLSCEGVSQKDSVVSSAGQQAQSSLLAFIMLTFGLAALWFH >ORUFI02G32280.1 pep chromosome:OR_W1943:2:28041818:28047160:-1 gene:ORUFI02G32280 transcript:ORUFI02G32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNVDGIEISASNDERRDRGDAEISEDEPRQTRIRSLKKKALHASTRLTHSLKKRGKRKVGCRVPKITIEDVRDAEEEQAVSSFREVLFARDMLPERHDDYHTMLRFLKARKFDVEKAAHMWADMLHWRKDFGTDTILEDFEFHELEEVLQYYPHGYHGVDKEGRPVYIELLGKVEPSKLVQITTVERYIKYHVQEFERAFREKFPACSIAAKKHIDTTTTILDVHGVGWKNFSKIARDLVRCMQKIDGDYYPETLHQMFIVNAGPGFKLIWSTVKGLLDPKTSSKIHVLGTKYQHRLLEAIDSSQLPEFLGGSCTCSSQGGCLRSNKGPWSDPLIMKLVHCMESSALKDIGQVSDIEEAITGSLPERISYTSNAESGSDVDDLGSPIGQEDFEYHSLAPVHEEARESGSTCSGSDDKVVETNTRYNPPGNGSGQYSARQNPSINRVSPEPGHVPNDGEGNADHGILKYISKKVLGVILELENVPQHTTTVHSNQADLQIIKEDRVNPCLERLERLETMFNQLSRKPPEIPQDKDRAIQDSFDRIKCIEFDLEKTKKVLHATVIRQMQMAETLEAVKESDLRRRKFCT >ORUFI02G32290.1 pep chromosome:OR_W1943:2:28049295:28069742:-1 gene:ORUFI02G32290 transcript:ORUFI02G32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRWDNVVINSDEKFAVLRSIGDECIYEDELLGLLKNKPSPTCCVWFEPSTNMDIEQGIMKTIYVNRMVKAGCAVKIVTADWFLQRHYKIGNNLSKIRNIGYLNIEMWKAAGMDLDRVELVWLSDELNLHAVDYWPVAMDVSRRYTMTRIARIFWSNAEHGPQILPAAEIIYPCMQVASILCEKTNIWLFSMDQRDIIMLTRDYCENINWVNKPTILLHDALPNLLEDPEYVDLRDRGRTIFMHDEEHTLNSKIQRAFCPPKVVVHNPCLEYIKYIILPWFGNLEVVQNEWNGSTKTFVSMEELSVDYERGYLNSADVKMALEKAINNILEPVRDYFSGNTKAQALIMACQLQNEITGDVLKIQMQNKEMRHHRQYVLNF >ORUFI02G32290.2 pep chromosome:OR_W1943:2:28049295:28069386:-1 gene:ORUFI02G32290 transcript:ORUFI02G32290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLDDVAFDINEDNVVINSDEKFAVLRSIGDECIYEDELLGLLKNKPSPTCCVWFEPSTNMDIEQGIMKTIYVNRMVKAGCAVKIVTADWFLQRHYKIGNNLSKIRNIGYLNIEMWKAAGMDLDRVELVWLSDELNLHAVDYWPVAMDVSRRYTMTRIARIFWSNAEHGPQILPAAEIIYPCMQVASILCEKTNIWLFSMDQRDIIMLTRDYCENINWVNKPTILLHDALPNLLEDPEYVDLRDRGRTIFMHDEEHTLNSKIQRAFCPPKVVVHNPCLEYIKYIILPWFGNLEVVQNEWNGSTKTFVSMEELSVDYERGYLNSADVKMALEKAINNILEPVRDYFSGNTKAQALIMACQLQNEITGDVLKIQMQNKEMRHHRQYVLNF >ORUFI02G32300.1 pep chromosome:OR_W1943:2:28070562:28078570:1 gene:ORUFI02G32300 transcript:ORUFI02G32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTAPPPRITVSFQHGSFPLPSAWWRHPLQQPPGHRRPMKASPLDGKGLRWRWRSWHRPPMLMQEMVITMVSADDCVYSLLPMVPIALSDPGTALPDANSYFSISIHPVEGRCVLKHYQNRGSEKQWVSTSIYYLALNSLEDDLGEDPIELDSPEDALGKDPIELHGQISMEIHMPPLMMKFQKDYRCEKQIGKGSEGRVYKCTSRFSPHCFAIKEVESSELTMASTRCEPTDVSTLALLDHVNIVDLYSAWIEKKKSFGSVTNVIYICMKECARSLSEYLNKRQELGLQNEHNMFAQLIDSLIFMHRHGIVHRDVKPGNILLEENFTPKKKQPPYIIDFHGGWYGSLPYRAPELVNSYDRHNEKVDIFSIGMVYFELLMPAKRERKRKLNKLSETIRRKKQEYDQLDIEFDLDSALEGTDVLTDWKGDYNLLKKMTSPNAWERLSAVEIKILGQLSQDLLVMAKGRIFSALLMVFLVLAPHCEAFYLPGSYMHTYRQGEEIWAKVNSLTSIETELPFSYYSLPYCHPQGGIKKSAENLGELLMGDQIDNSPYRFRVNVNESLYLCTTNPLDEADVKLLKQRSRDLYQVNMILDNLPVRRFTEQNGVTIQWTGYPVGYTPEGSNEVYIINHLKFKVLVHRYEGGKVKVVGTGEGMEVISETETDAKSGYEIVGFEVVPCSVKRDLEAMSKLKMYEKVDPTSCPVEMEKSQLIREKEQITFTYEVEFVNSDIRWPSRWDAYLKMEGAKIHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTSSKLLCVMIGDGVQILGMAIVTIFFAAFGFMSPASRGMLLTGMIFLYMLLGIVAGYAAVRLWRTLKGTSEGWRSVSWSTACFFPGIVFVVLTVLNFMLWSRNSTGALPISLFFTLLSLWFCISVPLTLLGGFFGTRAEPIEFPVRTNQIPREIPAKNYSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVVVCAEVSVVLTYMHLCAEDWRWWWKAFFASGAVALYVFLYSINYLVFDLRSLSGPVSATLYIGYAFVVSLAIMLATGTVGFLTSFSFVHYLFSSVKID >ORUFI02G32310.1 pep chromosome:OR_W1943:2:28082527:28088653:1 gene:ORUFI02G32310 transcript:ORUFI02G32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGEGDGQTEPLLEKLSNSSSSEIDKRTGTAWTATAHIITAVIGSGVLSLAWSVAQLGWVGGPAAMVLFAGVTLVQSSLLADCYIFHDPDNGVVRNRSYVDAVRFYLGEKSQWFCGFFLNINFFGSGVVYTLTSATSMRQVHFSCETSILNTRLAIQKANCYHREGHDAPCSVGGDGYYMLMFGLAQVVLSQIPGFHDMAWLSVLSAAMSFTYSLIGFGLGVAKVITNGVIKGGIGGIAMVSATQKVWRVSQAIGDIAFAYPFASVLLEIEDTLRSPPPESETMRTASRASIAVTTFFYLCCGCFGYAAFGDATPEKTNNSHVRMQVYSQPVFAAVERRMGGAGAGVVEVAVPAAVAWPSRWRRGCRVNVYRLCFRTAYVAATTALAVWFPYFNQVVGLLGAFTFWPLSIHFPVEMYLVQKKVAPWTPRGVARPALAPPASPPAPSPPSAPPSVCSPPRPANN >ORUFI02G32310.2 pep chromosome:OR_W1943:2:28082527:28088653:1 gene:ORUFI02G32310 transcript:ORUFI02G32310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGEGDGQTEPLLEKLSNSSSSEIDKRTGTAWTATAHIITAVIGSGVLSLAWSVAQLGWVGGPAAMVLFAGVTLVQSSLLADCYIFHDPDNGVVRNRSYVDAVRAIQKANCYHREGHDAPCSVGGDGYYMLMFGLAQVVLSQIPGFHDMAWLSVLSAAMSFTYSLIGFGLGVAKVITNGVIKGGIGGIAMVSATQKVWRVSQAIGDIAFAYPFASVLLEIEDTLRSPPPESETMRTASRASIAVTTFFYLCCGCFGYAAFGDATPEKTNNSHVRMQVYSQPVFAAVERRMGGAGAGVVEVAVPAAVAWPSRWRRGCRVNVYRLCFRTAYVAATTALAVWFPYFNQVVGLLGAFTFWPLSIHFPVEMYLVQKKVAPWTPRGVARPALAPPASPPAPSPPSAPPSVCSPPRPANN >ORUFI02G32320.1 pep chromosome:OR_W1943:2:28089259:28091794:-1 gene:ORUFI02G32320 transcript:ORUFI02G32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSDNRICADCGAPDPKWASANIGVFLCLKCGDVHRALGPDVSKVLSVTLDDWSDSDIDSMLEIGGNSYANSIYESFLPKDHPKPKMDSTMEYRTKFIRAKYETQDFLKPSLRITSKGSFDATNAVKSVTSSISSASGKHVADDTREFVGELNITVVRGIQLAVRDMLTSDPYVVLTLGEQKAQTTVKPSDLNPVWNEVLKISIPRNYGPLKLEVYDHDTFSADDIMGEAEIDLQPMITAVMAFGDPSRVGDMQIGRWFMTKDNALVKDSTVNVVSGKVKQEVHLKLQNVESGEMELELEWVPIP >ORUFI02G32330.1 pep chromosome:OR_W1943:2:28101642:28106300:1 gene:ORUFI02G32330 transcript:ORUFI02G32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRYMAYSPSPSTTPHSPRIPGLRAASSAVADQEKYLAELLAERHKLSPFIPVLPNSVRLLNQEILRVSTLLENASLLNQSGLEHGSPLTTGGLYSNGAAADMNGWTSAFQSEGSPAYSWRGGSQGSSSGLIVKKTMKVDIPVDKYPTFNFVGRILGPRGNSLKRVEATTDCRVLIRGRGSIKDPAREDMMRGKPGYEHLNEPLHILVEAELPVEIIDTRLIQARDILEDLLKPVDESQDFFKKQQLRELAMLNGTLREEGMQRSGSASPFHNSLGMKRAKTRG >ORUFI02G32330.2 pep chromosome:OR_W1943:2:28101642:28106300:1 gene:ORUFI02G32330 transcript:ORUFI02G32330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRYMAYSPSPSTTPHSPRIPGLRAASSAVADQEKYLAELLAERHKLSPFIPVLPNSGSPAYSWRGGSQGSSSGLIVKKTMKVDIPVDKYPTFNFVGRILGPRGNSLKRVEATTDCRVLIRGRGSIKDPAREDMMRGKPGYEHLNEPLHILVEAELPVEIIDTRLIQARDILEDLLKPVDESQDFFKKQQLRELAMLNGTLREEGMQRSGSASPFHNSLGMKRAKTRG >ORUFI02G32340.1 pep chromosome:OR_W1943:2:28106370:28116417:-1 gene:ORUFI02G32340 transcript:ORUFI02G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTGNPNPNPNPSTPFEVSMLFKPPSNAPAAAPIFPGGPAAGPPPPSAAYSYPPATPPFHRAPFLHYPQDPMAAPHMPRPVISFPMPTPNPAAIPSAAAAAAAAAGPSQNHGARLMQLLGSSGPAHLDSSASMPPPASEFAAAQPPQPIPAMPSAPPARMLSSTSSKMPRGRLLGGGDRAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEDSKPQITGKIEIAIQIVGDAESYHPRICWHSHKQEILFVGIGNCVLRIDTTKVRRGRDVSAEEPIKCHLDKLIDGVRLVGKHDDDVTDLSLSQWMTTRLASGSKDGTVKIWDDRKPVPLSILKPHDGQAVYSVAFLTAPEHPDHINLVTAGPLNREVKIWASANEGGVLLPSDSETWNCTQTLELVSSLEPRVEEAFFNQVTVLPQASIILLANAKKNAIYAVHVEYGTDPASTRLDYIADFTVAMPILSLTGTHESQPGNDQVVQVYCVQTMAIQQYGLDLSLCSPPTSETTGLGRDPSISRVHETPLEVVGAESSMPTSFTDSYSVGSPSKSSTVDQQSELDPKPSAPPLTYTEGDGSVHLPSASLASNMDPSGSGSSLGNLEMDQPAFDYAMNRNVEPKILTRQDTPMPKDNFGKDDPRDGRNDVGGNTTHLVTPSEIISGALSSAESNHVPKSDGVKIQDGTSSGHQMAEVEPKHTNEHTFDQNLDLEVAQVVCENTKQAGSSEQTVKMISERSVTTDKYSVEESQTSCDRSISEHTGAADESVTKKPVEVPEKSDYSSASVEQSSSYTKKEKIMHPQASGQSSPSTSAFNSTESSHEPPSSAYPPINSFPEVTTQGMLQQLIAMHKDLQKQLGTIVVAPLAKEGKRIEASLGRTMEKSIKANLDALWVRIQEENAKREKAERERMQQMITLITNSISKDLPATLEKSLKKEISSLGPVIARAITPIIEKCSASAVADSIQKVVGDRVVNQLDKSVSAKLEATVARQIQMQFHTSVKQTLQDALRASLEAFLVPAFEQSCKTMFEQVDSAFQKGMSEHTVAIQQQVEATHTPLAQTLKDTISSASSITQNLTAELLDGHRKLLALLASGNAKAHSTNVLQPNNVPVTGPPEVEAPLDPMKELGRLISERKFDEAFTMALQRSDVSIVSWLCSQVDLRALCSMVPVPLNQGVLLALLQQLAVDIATDTPRKIQWMTDVAMAINPTDPVIAMHVKPIFEQVYNALLHLRSLPTTSPSDSTSIRLFMHKVTGSLHQMLRLEKASKYMHQMKHPDCDCTKGKVCIR >ORUFI02G32350.1 pep chromosome:OR_W1943:2:28139052:28140320:1 gene:ORUFI02G32350 transcript:ORUFI02G32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRASRGRLADAALFTAGAVLGSVLLLTLASPFSSSSSPSSGVGSGEVDRLGGGRTFYDDPGVAYTIDRPIVGWDEKRAEWLRAHPELAGGGGERVLMVSGSQPEPCGSPAGDSLLTRLLKNKLDYCRLNGVQLLYNTALLRPSMDRYWAKIPVVRAAMVAHPEAEWVWWVDSDAVLTDMDFRLPLSRYRDHNFVAHGWPHLVYESRSWTSLNAGVFLIRNCQWSLDFMDAWAAMGPDSPEYQHWGAVLTSTFKDKVFNESDDQSALVYMLLQSGSPWRDKVYLESDYYFEGYWLEIAGRLGNITERYEAMERGAAPLRRRHAEAEHASYAAARDAALAGAGLAESGVSGWRRPFVTHFTGCQPCSGHRNEHYTGKSCDEGIRRALSFADDQVLRAYGFRHAGPLSDAVSPLPFDHPTQTA >ORUFI02G32360.1 pep chromosome:OR_W1943:2:28140800:28145620:-1 gene:ORUFI02G32360 transcript:ORUFI02G32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGSRGFSAASKGKAIASKKGSLRQNSSANIPHVNIDSDSDSEGFVEELPRVNSKTNGKAASESLKTGGKASSKGQTGKGGNAGKGGKGSASARVSAKSDAELKLELDMPPNSCILMNCEVAELLQEIHEHMAILSEDPKIKIPESFDKAFQYVKEGNQFSTAQSVKQVLDPLRKYGVSDGEMCLIANVGPETIEEVYALVPSLKATRSLNESPIMEALTALADIKAANLYLSVYLRCKWPL >ORUFI02G32360.2 pep chromosome:OR_W1943:2:28141236:28145620:-1 gene:ORUFI02G32360 transcript:ORUFI02G32360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGSRGFSAASKGKAIASKKGSLRQNSSANIPHVNIDSDSDSEGFVEELPRVNSKTNGKAASESLKTGGKASSKGQTGKGGNAGKGGKGSASARVSAKSDAELKLELDMPPNSCILMNCEVAELLQEIHEHMAILSEDPKIKIPESFDKAFQYVKEGNQFSTAQSVKQVLDPLRKYGVSDGEMCLIANVGPETIEEVYALVPSLKATRSLNESPIMEALTALADIKAAK >ORUFI02G32370.1 pep chromosome:OR_W1943:2:28152168:28153062:1 gene:ORUFI02G32370 transcript:ORUFI02G32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRPVASSSLTTATVTADRGGGGGGGHGRRRSLFVKVYMEGVPIGRKLDLLPLDGYKGLVARLASMFRASITYHHCHRQFAVVGMKTNKVHHVLTYEDQEGDWMMAGDAVPDKREEIEDCKSG >ORUFI02G32380.1 pep chromosome:OR_W1943:2:28154969:28158846:-1 gene:ORUFI02G32380 transcript:ORUFI02G32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSSKDTDDDHEPKQQEKKLPEHLEVQRTRVVCKADAPVNTEGFQYAGAYSAMGIDNSVSAEKFCKNFKVEISRLTEDDMEFDMIGIDASIANAFRRILIAELPTMAIEKVLMVDNTSVIADEVLSHRLGLIPLDADPRHFEYMSENDVPNERNTIVYKLHVSCKKGSPRLTAQACIVFRRMLIDIFYRIKFSHSFLCTVKSGDLEWLPEGSRLPLASPAQSRYKQKTYTSFSQSQKDILEKPLGVKFKDITIARLGPGQVVFRKEIKGDNAEKLVKKCPVNVFDIEDLGNVTIESTGGLPPEALFTEAVRILEEKCERVISGLS >ORUFI02G32390.1 pep chromosome:OR_W1943:2:28158977:28162153:1 gene:ORUFI02G32390 transcript:ORUFI02G32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVSLGAARPSSVKFRMPTRDNLVPIRVDVEVDGQRYRDAFTWNPCDPDSEIISFAKRTAKDLKLPANFVPQMLHSIQGQLAEFRSYEGEEMQIREKIVPLKIDLRINNTVIRDQFLWDIGNLDSDPEEFARTLCDDLNITDPEVGPAIAVSIREQLYEIASQSVSAMREAARVSKKGRRAPEFASNSKAMNNSLDLFKYFGSKGSVVRKRKEWYLYEPVVDVITNEEVGVTDATEEINSRNA >ORUFI02G32390.2 pep chromosome:OR_W1943:2:28159300:28162153:1 gene:ORUFI02G32390 transcript:ORUFI02G32390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSIQGQLAEFRSYEGEEMQIREKIVPLKIDLRINNTVIRDQFLWDIGNLDSDPEEFARTLCDDLNITDPEVGPAIAVSIREQLYEIASQSVSAMREAARVSKKGRRAPEFASNSKAMNNSLDLFKYFGSKGSVVRKRKEWYLYEPVVDVITNEEVGVTDATEEINSRNA >ORUFI02G32400.1 pep chromosome:OR_W1943:2:28165481:28170154:-1 gene:ORUFI02G32400 transcript:ORUFI02G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINRLNSTKTNLPVIKSDGQTKKDMNLQNLENIKRRTKMAQSRLVSDSESLKNANLQNNETMKKEQSGTTVTQGADRTKSQVTGNRTEELRRKKTVEGSHYLQDFEKCKKSGTIGSEMCENKAQLLYDQYTFLNENERLGGQMSYTNLMQQIIQSPRVSLQANENSMILATSRFSPQESHQHDRLYEEQISTEHWSYEEFDRLYNNSNMVTWTEVLCYQFKNMRLNVQVFQMVIQGWPKSG >ORUFI02G32410.1 pep chromosome:OR_W1943:2:28178697:28184164:1 gene:ORUFI02G32410 transcript:ORUFI02G32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISHPMLSNSSGSFHGLYQYTYISPTLTKEWKQTHHYPGRIPLNSTVEQKARKVAVLVALSASGSAPVLYRTMDALCDFCREQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCVGQPAAVRCLEENTSLCQNCDWNGHGAASSAAGHKRQTINCYSGCPSSAELSRIWSFSMDIPTVAAEPNCEEGINMMSINDNDVNNHCGAPEDGRLLDIASTALMSDLPTGDKFKPLIGSSSGDGMNLLPLNSDQPAEPVSTTPKAPCVTDKDMFNDGSVYGDFCVDDADLTFENYEELFGTSHVQTEQLFDDAGIDSYFEMKDVPADESNEQPKPVQPECSNVASVDSGMSNPAARADSSHCIPGRQAISNISLSFSGLTGESSAGDFQDCGVSSMILMGEPPWHPPGPESSSAGGSRDNALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >ORUFI02G32410.2 pep chromosome:OR_W1943:2:28178552:28184164:1 gene:ORUFI02G32410 transcript:ORUFI02G32410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALCDFCREQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCVGQPAAVRCLEENTSLCQNCDWNGHGAASSAAGHKRQTINCYSGCPSSAELSRIWSFSMDIPTVAAEPNCEEGINMMSINDNDVNNHCGAPEDGRLLDIASTALMSDLPTGDKFKPLIGSSSGDGMNLLPLNSDQPAEPVSTTPKAPCVTDKDMFNDGSVYGDFCVDDADLTFENYEELFGTSHVQTEQLFDDAGIDSYFEMKDVPADESNEQPKPVQPECSNVASVDSGMSNPAARADSSHCIPGRQAISNISLSFSGLTGESSAGDFQDCGVSSMILMGEPPWHPPGPESSSAGGSRDNALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >ORUFI02G32410.3 pep chromosome:OR_W1943:2:28178552:28184164:1 gene:ORUFI02G32410 transcript:ORUFI02G32410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDALCDFCREQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCVGQPAAVRCLEENTSLCQNCDWNGHGAASSAAGHKRQTINCYSGCPSSAELSRIWSFSMDIPTVAAEPNCEEGINMMSINDNDVNNHCGAPEDGRLLDIASTALMSDLPTGDKFKPLIGSSSGDGMNLLPLNSDQPAEPVSTTPKAPCVTDKDMFNDGSVYGDFCVDDADLTFENYEELFGTSHVQTEQLFDDAGIDSYFEMKDVPADESNEQPKPVQPECSNVASVDSGMSNPAARADSSHCIPGRQAISNISLSFSGLTGESSAGDFQDCGVSSMILMGEPPWHPPGPESSSAGGSRDNALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >ORUFI02G32410.4 pep chromosome:OR_W1943:2:28180284:28184164:1 gene:ORUFI02G32410 transcript:ORUFI02G32410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNILIALVVIHLKCFCWLQSNSSGSFHGLYQYTYISPTLTKEWKQTHHYPGRIPLNSTVEQKARKVAVLVALSASGSAPVLYRTMDALCDFCREQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCVGQPAAVRCLEENTSLCQNCDWNGHGAASSAAGHKRQTINCYSGCPSSAELSRIWSFSMDIPTVAAEPNCEEGINMMSINDNDVNNHCGAPEDGRLLDIASTALMSDLPTGDKFKPLIGSSSGDGMNLLPLNSDQPAEPVSTTPKAPCVTDKDMFNDGSVYGDFCVDDADLTFENYEELFGTSHVQTEQLFDDAGIDSYFEMKDVPADESNEQPKPVQPECSNVASVDSGMSNPAARADSSHCIPGRQAISNISLSFSGLTGESSAGDFQDCGVSSMILMGEPPWHPPGPESSSAGGSRDNALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >ORUFI02G32420.1 pep chromosome:OR_W1943:2:28183775:28184488:-1 gene:ORUFI02G32420 transcript:ORUFI02G32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITAIDDPKEFDMLLGRWHPIEGSSGYDGSPFCEKRIQIVTGKTTTPPPPPATPLCAAARGRFAACPAVRHRTPKENARLRYVDSGRQRRAAEGCTPLGRSRDVRRHRRVYRITVFAAVDHDSFAARGAEGTMLLHRHVQRSVVDGRASRISVGCRIKHHRLGGLVPAAETGATCPPPAADSAAMEGGVAESESPPPPQHPLADALASSLDSCTLASDTADPGDGDLALDLPFMSV >ORUFI02G32430.1 pep chromosome:OR_W1943:2:28188205:28189038:-1 gene:ORUFI02G32430 transcript:ORUFI02G32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKEEMAIPAVPVDFFQLMIDGSSKQWRWGRRGESSNRSQWREERLLIEKMSMRKKMQLGRYPCSRCNQPRSRGGETSMATAAAAKCRCSRRRRLPEREWTAEEDERLRRLAKENLFRQWWKVAREMPGRSGDSCRARWRHHLARDVYHRPFTARDDEELVRLHRHTGGSWRKISRSVYGRTSAIMRDRWIQLRRSGLVPDAAKTAENAGCPPPAADDSEYMGSEAELKSPPPPPQQQHPLADVLASSLDSCTLASDATDPRDGILALDFAFMSV >ORUFI02G32440.1 pep chromosome:OR_W1943:2:28189815:28194478:1 gene:ORUFI02G32440 transcript:ORUFI02G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLRWWRVDASEVAAVTAMGVWEAVLAGGGRRFLKRKDSDAGETGRALEELRSSLYNEMHSSEGAKRQQQRFCGPSVALTFNFAVAVGIIMANKMVMGSVGFKFPIALSLIHYAVAFVLMAILKTMSMLPVAPPSKSTPFSSLFALGAVMSLSTGLANVSLKHNSVGFYQMAKIAVTPTIVVAEFMIFQKRVSSQKVITLAIVSFGVAVATVTDLEFNFFGAVVALAWIVPSAVNKILWSNLQQSGNWTALALMWKTTPVTIFFLLALMPLLDPPGLLLFDWNFRNSLAIIISALFGFLLQWSGALALGATSALSHVVLGQFKTIVIMLSGYLIFSSDPGITSICGAIVALGGMSVYTYLGLKESTTTGKKPPLAQKPKAAGDGEKPGLEHEDSV >ORUFI02G32440.2 pep chromosome:OR_W1943:2:28189815:28194478:1 gene:ORUFI02G32440 transcript:ORUFI02G32440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLRWWRVDASEVAAVTAMGVWEAVLAGGGRRFLKRKDSDAGETGRALEELRSSLYNEMHSSEGAKRQQQRFCGPSVALTFNFAVAVGIIMANKMVMGSVGFKFPIALSLIHYAVAFVLMAILKTMSMLPVAPPSKSTPFSSLFALGAVMSLSTGLANNFPLFSVGFYQMAKIAVTPTIVVAEFMIFQKRVSSQKVITLAIVSFGVAVATVTDLEFNFFGAVVALAWIVPSAVNKILWSNLQQSGNWTALALMWKTTPVTIFFLLALMPLLDPPGLLLFDWNFRNSLAIIISALFGFLLQWSGALALGATSALSHVVLGQFKTIVIMLSGYLIFSSDPGITSICGAIVALGGMSVYTYLGLKESTTTGKKPPLAQKPKAAGDGEKPGLEHEDSV >ORUFI02G32450.1 pep chromosome:OR_W1943:2:28194661:28200064:1 gene:ORUFI02G32450 transcript:ORUFI02G32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGAPRRQPPPPPPRQLAAGKKGKASPKAAKRAAPKKQRLLESSSDDSELEQQQGQLQEVESGSDLDVPSDSGAEELSDSDDASFEGGDSGDEEEEEDDEDGDDDPLADDFLAGSDDESDGGDDSGVDSDESDDLEAKSRAIDEEKEKAEEEAEEELKLNIRSESDEFRLPTKEELEEEALRPPNLPNLKRRISEIVRVLSNFSKLRQKDVPRKDYVNQLKTDIMSYYGYNDFLVEAFIEMFPAVELVELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISAGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKGIEDIRDCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSLMIPENEAVVDYALKKRNVKLVPCGLDFGRPGFIRFREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKLSNTIPVASESSNVPEEAIEKADPSSDDPQKQPIQSKKHKDVKTTNEETSILDGVTKDKRQTHETLKNHKKGKKRNGPESTKIKGDQKETHNEEEPTSEKKQPVSAKIKKSVPKRISGNKGKKLDTGKGEKRKRNWMVRRDWEAYKKSRSKQV >ORUFI02G32450.2 pep chromosome:OR_W1943:2:28194661:28200064:1 gene:ORUFI02G32450 transcript:ORUFI02G32450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGAPRRQPPPPPPRQLAAGKKGKASPKAAKRAAPKKQRLLESSSDDSELEQQQGQLQEVESGSDLDVPSDSGAEELSDSDDASFEGGDSGDEEEEEDDEDGDDDPLADDFLAGSDDESDGGDDSGVDSDESDDLEAKSRAIDEEKEKAEEEAEEELKLNIRSESDEFRLPTKELRQKDVPRKDYVNQLKTDIMSYYGYNDFLVEAFIEMFPAVELVELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISAGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKGIEDIRDCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSLMIPENEAVVDYALKKRNVKLVPCGLDFGRPGFIRFREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKLSNTIPVASESSNVPEEAIEKADPSSDDPQKQPIQSKKHKDVKTTNEETSILDGVTKDKRQTHETLKNHKKGKKRNGPESTKIKGDQKETHNEEEPTSEKKQPVSAKIKKSVPKRISGNKGKKLDTGKGEKRKRNWMVRRDWEAYKKSRSKQV >ORUFI02G32460.1 pep chromosome:OR_W1943:2:28201653:28202781:-1 gene:ORUFI02G32460 transcript:ORUFI02G32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKLAAALFFAFAVVAATLAPAAEARVQGFEPAIAVEKTSVSGGAQRPPTTLPGLLPGLPFPLFPFLMFPIPGSPAGAGGAPPSAGSGGFPFPLPFPLPLPAPGSPAAGAPPSSGSSGFPFPMPSPLPLPAHGSPAAGAPPSSGSGLPFPLPFPLPQPSSPAQPQPKECMTPLMSVMPCADYLTNTAVPTPPATCCDGFRSLVSTAPICLCHGMNGDLNSFLPTPVDPMKMMLLPITCGAMPPLQTLFMCSSPSVPPLVPPRSPAAPAPASPSVCRLGISDASPQPRSS >ORUFI02G32470.1 pep chromosome:OR_W1943:2:28206810:28208824:-1 gene:ORUFI02G32470 transcript:ORUFI02G32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEQSGLVSESESLKNANLQNKETMKKEQSGTTVTQGADRTKSQVQGGQMSYTNLMQQIIQSPRVSLQANENSMILTTSRFSPQESHQHDRLYEEQISTEHWSYEEFDRLYNNSNMVTWTEVIFSN >ORUFI02G32480.1 pep chromosome:OR_W1943:2:28212939:28216766:1 gene:ORUFI02G32480 transcript:ORUFI02G32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASKIIPQTLPEIPTTPEPQQYTKTAPDIPKSNNSRSSVPKLLQESDRGKLNHKLEPKEQKPNHHLKESVDVSSAKCPTEVSEEMVSEGIASQEKKVVEYESVNGSSTSFHTCEGSGPGKASGSARMTDRSETGERGSSSRCRPSTSSDISDESSCSSMSSTTKPHKSNDSRWEAIQTIRVRDGIIGLSHFRLLKKLGCGDIGSVYLSELSGAKSYFAMKIMDKASLASRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFPEQAVKFYVAEILLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSNPDAEALRKNSQGYCVQPACVEPSCVIQPSCAAPTTCFGPRFFSKSKKDRKPKPEIATQISPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPIVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKPVEIERPPKLPPSTSGTTDVSSGAPQKGSDGYLEFDFF >ORUFI02G32490.1 pep chromosome:OR_W1943:2:28217909:28221294:-1 gene:ORUFI02G32490 transcript:ORUFI02G32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFPKLPKRFPFQLLFLPSQHARRRSHMADPSRSSPTAAAAGDALLAAAAAPGDAPDATALAVAADADAEFGFQRAELGTEKLAGTVQFHERHVFLCYKGPEVWPSHVEAAESDRLPRLLAAAIKTHKSDLKKKTKLTICEGEDGTESSNGDVLIFPDMIRYRGLTHFDVDNFVQEVLVKDTEWLPGSPEAIKGSYVFVCCHASRDKRCGVCGPALIKRFKEEIGVQGLADQVSVSACSHVGGHKYAGNVIVFSADAKGEVTGHWYGYVSPDDVPVLLHKHIGQGEIVDHLWRGQMGLSEEEQRKALESKHVTNGVTEDGAHESPEETTNGSACNPVAAGGCCQGNGGFTCCQSDLPKEDKSITAEQNQKSSEKGADKECAAGSKKRHMKMCSMPTWFETWETADTYAALGIVAAAASVFVAFRIYKNLN >ORUFI02G32500.1 pep chromosome:OR_W1943:2:28222102:28231814:1 gene:ORUFI02G32500 transcript:ORUFI02G32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAALALHTQFRPPRSPRRLRQHLALPSGVLIRSPVRASAASASASAPAQREAAAAGVPWGCEIESLESAVSLERWLTDSGLPEQRLGIQRVDVGERGLVALKNIRKGEKLLFVPPSLVITADSEWGCPEVGNVLKRNSVPDWPLIATYLISEASLESSSRWSSYIAALPRQPYSLLYWTRPELDAYLVASPIRERAIQRITDVVGTYNDLRDRIFSKHSDLFPEEVYNLETFRWSFGILFSRLAFALLAVDVILLNKNVHWNDLQVRLPSMDGRVALVPWADMLNHSPEVETFLDYDKSSGGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVELLVSLNKSDKCYKEKLQALKRNGLSEFESFPLRVTGWPVELMAYAFLVVSPPEMSQRFEEMAVAASNKSPSKPGLNYPELEEQALQFILDCCESNIAKYTKFLEGSSGSLQLSTNSKQANRTLLLKQLARDLCISERRILYRTQYVVFVADEAQTVEEKEIDKSDYNLSRQATDARRLEVVGGSMAGSGGGVVSGGRQRGPPLFATEKPGRMAMAAYRVSAATVFAGVLLIWLYRATHLPPGGGDGVRRWAWLGMLAAELWFGFYWVLTLSVRWCPVYRRTFKDRLAQRILIANSYSEDELPSVDIFVCTADPTAEPPMLVISTVLSVMAYDYLPEKLNIYLSDDAGSVLTFYVLCEASEFAKHWIPFCKKYKVEPRSPAAYFAKVASPPDGCGPKEWFTMKELYKDMTDRVNSVVNSGRIPEVPRCHSRGFSQWNENFTSSDHPSIVQIRVSSVISNSPIIMNVDCDMYSNNSESIRDALCFFLDEEQGQDIGFVQYPQNFENVVHNDIYGHPINVVNELDHPCLDGWGGMCYYGTGCFHRREALCGRIYSQEYKEDWTRVAGRTEDANELEEMGRSLVTCTYEHNTIWGIEKGVRYGCPLEDVTTGLQIQCRGWRSVYYNPKRKGFLGMTPTSLGQILVLYKRWTEGFLQISLSRYSPFLLGHGKIKLGLQMGYSVCGFWAVNSFPTLYYVTIPSLCFLNGISLFPEKTSPWFIPFAYVMVAAYSCSLAESLQCGDSAVEWWNAQRMWLIRRITSYLLATIDTFRRILGISESGFNLTVKVTDLQALERYKKGMMEFGSFSAMFVILTTVALLNLACMVLGISRVLLQEGPGGLETLFLQAVLCVLIVAINSPVYEALFLRRDKGSLPASVARVSICFVLPLCILSICK >ORUFI02G32510.1 pep chromosome:OR_W1943:2:28233045:28234135:-1 gene:ORUFI02G32510 transcript:ORUFI02G32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDETGRTIPKFGAWDVNNPASADGFTVIFSKARDEKKGPVNVDASTRSNSDMKDSNNNKATEKINPYHRRTNSASKKWFCCVSPSPTQP >ORUFI02G32520.1 pep chromosome:OR_W1943:2:28235719:28236440:-1 gene:ORUFI02G32520 transcript:ORUFI02G32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAIFAAVAFVLLAASVSSSSAALYTVGDARGWAVPPTGSESYNHWGLKNRFRVGDVVEFKYVNESVVVVNHEGYRNCSSLSPVIRFTDGDTKYLLDRPGLVFFISGVQERCERGLRMRLRVRPAAPGPAQAPAPGPTRAALTLRRPPIGAPRPAAVTAAFTPTSPSASRPSARTSPSPSPGPAQAPSGASGRALTGFSMAAALLVVCVVSVFILV >ORUFI02G32530.1 pep chromosome:OR_W1943:2:28237057:28239583:1 gene:ORUFI02G32530 transcript:ORUFI02G32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAASEQATDVAAAGAADEGQPPAMSKSARKKLLKQERQAAQKAARKAAEKERRRADIERRRREWEEALAAAPSEEARAEMVEARRQTRRERVGRRAEERGARAERLRRAAEGAGQKVVLDLEFGDLMRPNEIHSLTQQIMYCYAVNGRSTNPAHLWLTGCNGEMATHLQRIPGYDKWMIEKEAKSYLEAFEDRKENLVYLTADAETVLDDLDMSKIYIIGGLVDRNRWKGITLKKAVDQGIQCAKLPIGNYLKMSSSQVLTVNQVFEIMLKFVETRDWKTSFFHVIPQRKRGEAEAGNDGVDISMNNVDAAKGAENQGDLTKVFDEDVDDDDVVDEELQEEDTDMAKKKQCIRHENGEAEDASTRPAEDHSPGAAAETTTPTGGALPQAEQSKESNGADD >ORUFI02G32540.1 pep chromosome:OR_W1943:2:28242469:28243233:-1 gene:ORUFI02G32540 transcript:ORUFI02G32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGYPGAAANGAANDGNGGAQQAAAAPAIREQDRLMPIANVIRIMRRVLPAHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSRLGFDDYVEPLGVYLHRYREFEGESRGVGVGVGAARGDHHHGHVGGMLKSRAQGSMVTHHDMQMHAAMYGGGAVPPPPHPPPHHHAFHQLMPPHHGQYAPPYDMYGGEHGMAAYYGGMYAPGSGGDGSGSSGSGGAGTPQTVNFEHQHPFGYK >ORUFI02G32550.1 pep chromosome:OR_W1943:2:28265528:28266228:-1 gene:ORUFI02G32550 transcript:ORUFI02G32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKKRGGRNMDQVKKAAVRSDGVGGSATNAELPMANLVRLMKKVLPGKAKIGGAAKGLTHDCAVEFVGFVGDEASEKAKAEHRRTVAPEDYLGSFGDLGFDRYVDPMDAYIHGYREFERAGGNRRVAPPPPAAATPLTPGGPTFTDAELQFLRSVIPSRSDDEYSGSSPAIGGYGYGYGYGKNM >ORUFI02G32560.1 pep chromosome:OR_W1943:2:28272772:28273572:-1 gene:ORUFI02G32560 transcript:ORUFI02G32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARVVHVMLLLFVALGACRATHNITAILADHRDLAEFGRQLTATGLADDIDGRNTITVLAVDDAHMAQLRARGLPREALRHVLSLHVLVDYYDDAKLHRLPGGSAVVSTLFQASGDAPGSEGMVKIAVRRGGRVAFVPQDVDDARANVFYVKSVHEAPYNISVLQVSAVITSPAAEAPSSATESKPNSTDASSKHGPPNAGAHAAPSPVGQGSSSDDGADEGKKSGDGGDGGKKNGASVGAAPRGLPFALAFLMAASAILVVNW >ORUFI02G32570.1 pep chromosome:OR_W1943:2:28275516:28282829:1 gene:ORUFI02G32570 transcript:ORUFI02G32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHVSCNSKSHPLRLTTSSATGADKDVNRDNAMRVRIYWFDQCVGRKRQRKWGGPWLNLRLSFPSTLLAFFRDGHTLMCEGSS >ORUFI02G32580.1 pep chromosome:OR_W1943:2:28281053:28281904:-1 gene:ORUFI02G32580 transcript:ORUFI02G32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFQSIPGLAGRLFGGAAAADIRRAQAQQGPASRCGGIPSPEAVKCPRCESTNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKTKRSGSSSAASSAPSTPTAATDNAKNQRRASASSPRSSSGGSGNTSPTAAAATTPTTPATPSSNTIAVINHATTTTTTTTNPFPTDVPPPAPIFADQAAALASLFAPPPPPPLPVFSFAAQAKTEDGIASVLLAGQTTAPTAATVADMTPFTSLDAGIFELGDVPPAAYWNAGSCWTDVPDPNVYLP >ORUFI02G32590.1 pep chromosome:OR_W1943:2:28294310:28298067:1 gene:ORUFI02G32590 transcript:ORUFI02G32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWERARAFAGEAAKRSQELSAEAAKRSSALVSETAKKSKEIFSETATKSREIAAEATKQADLLAGQIKRLSTDLPVPSIPAIPPIPTAVAPEPDAAELERYGITEDLREFVKGMTISTFRDFPLQDEPEMSDVPTVSNVRQDLNEWQARHATLVLSAVKEISKFRYELCPRYMKERKFWRVYFLLVNNYTSLYENKYFEELKVKAEEEKMDAKKEVTETSQATTAEHKDMKVQSKTSTSTNPEHDLDVFLLGDLGSDDEGPDGDDDGLDDDFDKIDGTSGLESDDDDDKEKAAGKAESAKE >ORUFI02G32600.1 pep chromosome:OR_W1943:2:28300312:28304341:1 gene:ORUFI02G32600 transcript:ORUFI02G32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKATMGGVKVAGGGGGVEKVRCPSVAAVARSRMRPWVLRATTTVLLWTCVMQLTAVGERWGPRVLKGWPSCRTAQEAASAALAATRLPMPVPVVEKAPLPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLIVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKRRVELGMFHSMPPISWSDISYYRNKILPLIRKHKVLHLNRTDARLANNGLPLDVQKLRCRVNFGSLKFTSDIEELGRRVIRLLRQNGPFLVLHLRYEMDMLAFSGCTEGCTREEADELTRMRYAYPWWKEKVINSYAKRKDGLCPLTPEEIALVLRALDIDRSMQIYIAAGEIYGGKRRMAALTSAYPNVVRKETLLQPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFMGFKKTILLDRKLIVELVDQHNSGSMLWDEFSSLIKSVHANRMGAASKRTVIHDKPKEEDYFYANPQECLRDPNLLRTS >ORUFI02G32610.1 pep chromosome:OR_W1943:2:28305678:28306361:1 gene:ORUFI02G32610 transcript:ORUFI02G32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSLLLLSSPRPLRRAAPVPALRSQARHPLLLGHAGETALGVWATRARLPAPPPRASNPNNDNDNSGAVEAPDRLVAAVAYLYPFLDGVHHGRFLLAQFPLFSTLLSPLAPAARLFRSSPLTPFLLFLTLYFAVVRNQQAFSRFVRFNAMQAVALDVLLIFPDLLVQSFAPSTGGGIGFELFQSMESTVFLFLLVCLVYGGGACLLGKTPRLPIVADAAERQVM >ORUFI02G32620.1 pep chromosome:OR_W1943:2:28306851:28309241:-1 gene:ORUFI02G32620 transcript:ORUFI02G32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEEPAHDFLSLRAGGGSSSPPFQHREEQHSSHSSRGYGMEIRRSLRPLDLAKQRGRSSGNGTAAGSAVDGASPAGSDSEEHVLPGGVGTFSIRHASGTPSREEAGSHGGVRRSAFAFAPALHGARMENAHETGGRSGSRAHRAPSTMWQDSAIDQRSIGQTPYEAFNSKTISYKYMVQEMCSKMQFFMLSFRLEILRELLPHTDQKRDKASFLSEVIEYIRFLQEKVQKYEEADPERNHEDSKSMPWAKVYYRSCWRNTKNTSQVQGEDLSPSTQDMNNEQYGPKHISAAQPALFNTQSVTSTTTSSSHMATGTPQNLEKNSTPSNQPPWLSMSTMRQESEPGNKMPNKHEKQTLHDENHSISSAYSQGLFNRLTEALKKSGLDPSQANIAVEINLAKRARDNTSDNSKIVCLIIILYLCPDFTSQINEDEEPIQITKRRCNKS >ORUFI02G32630.1 pep chromosome:OR_W1943:2:28316083:28319405:-1 gene:ORUFI02G32630 transcript:ORUFI02G32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPMPTEGSEPLLPRKQRDGGDDGAASSHDHFDGASFSGAVFNLSTTIVGAGIMALPATMKVLGLVPGLILVMLAAVLTDASIELLVRFSRAVGATSYGEAMGDAFGAFGRGLLQLCVVVNNVGVMVVYMIIIGDVLSGKSSSGGVHHHGVIEGWFGPNRWNGRFSILVIVTLGVFTPLTCFKRVDSLKYTSALSVALAVVFVVITAGITTIKLMRGQIPMPKLFPDVHDWSSTWRLFTAAPVLVTAYICHYNVHPIHNELKDHSQIRPIVRASLLLCLAVYTTTSFFGFLLFGEATLDDVLANFDSDLGIPYSLVLDDAVRVSYVLHLMLVFPIVFHALRFNMDGLLFPSARPLSSDNRRFGAITAALLTVIFLAANFVPNIWDAFQFTGATAAVAIAYIFPAGMALRDRHGIATKGDKYLAVFMIVLALVANGVAVYSDACLGTF >ORUFI02G32640.1 pep chromosome:OR_W1943:2:28322282:28329840:1 gene:ORUFI02G32640 transcript:ORUFI02G32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVSGDVRQQNFNESGAAIMERQTARRTPSSVTQMPEFPPACVNLAKELTARCRARALQLTDDEILSITQDLESVIENLCDYIGRVPTSALGSNAYTDGAVKALSVRGFLEDDMLTNAVNSMPNRKYFHDSNMPRLVEFLQGMYHESDEFGGHLFSSLPEVSEYIEPLYDALFCPLTNQVMTDPVLTESGVTYERRAIEEHFERFADSSENVICPVTKMPLQSKTLMNNASLKSVIAEWTMRNEAMRIRIARTALSLSSTEAMVLEAIHELKSLAKLRGKNREQMHKIGVTRLLARLLDNHNVQIRHSALELLCFLVEDEEGKDIIGKTKAIARTIKLLSTNGTDERHAAISFLLELSKSQLLLEDIGSTAGSILILTTMRINDSDDPIAAQKAGEVLKNLEKCSKNIKYMAESGYLEPLQSHLVEGSEEMQMEMVGYLAELIQEQELTIDINGSTSGVLIKMVHSCNTVARKAALDVLVQLSSHRPNSKTLVEAGVVPVMVEELFIRKVDDEPLSYKAMAAAVLANVVESGIDPDNTVVNKEGHVLTSKYSIYNFVHMLKCFMPDELNLSIIRVLLALTAHAKALAAVVSVVRENHRGHSIVELMSSWTEALGVASTRLLITLSAHMGHTIAERLCKTQGQPRRLVRSIFRAGRAAITERHAAAVTLLSRLPYRNVSLNLALVQEGAVPAILRGIEEMGSGAARMTTSRHAAPYMEGLVGTLVRLTATLYNPDVLKAAMDHDFASVLTALLAGAAGSGEVQRLAAVGLENLSYHSIKLSRPPPPPEDEPRPKKMTILKRLKDARVHNHSSSKNPPLNVCPVHRGVCTPATTFCLLEAGAVEGLLACLENDDARVVDAALGALCTLVDDRVDVEKAVVALAGQGAARRVLAALRQHRGNSLWHRCFSVVEKLLVHGDDVCVREVTGDRMLPTALVSAFHRGDANAKQAAESILRRLHKMPDYSATYMSVEF >ORUFI02G32650.1 pep chromosome:OR_W1943:2:28331873:28333461:-1 gene:ORUFI02G32650 transcript:ORUFI02G32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYQNVVGGRLKLKGKALDVKEGGVKKKKKKKQHREESSEAGHGELHQGGSSEVPADPNDELTEADKMGEEGNLQGDYDHLTPAERRYMEQKQKIDMHKLAKVANKSHRDRIQDFNQYLANLSEHYDIPKVGPG >ORUFI02G32660.1 pep chromosome:OR_W1943:2:28336278:28336641:-1 gene:ORUFI02G32660 transcript:ORUFI02G32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDRVMFLVAIIGALLLSSSAMATTAEGGGDVPPPGGEACRRVYDPPDENCDPDSCKAICSLRYNGVGVCDPVGCQCTYCHPPSPPPKFRTSGQ >ORUFI02G32670.1 pep chromosome:OR_W1943:2:28337460:28338395:1 gene:ORUFI02G32670 transcript:ORUFI02G32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSSSFPRSLLIPLAAGGCSDDDDGYDEGPSDTVSFPSFWPPFPAILSDSDSDVALFPPPPPHVDHCPAPQGAASAFFGLGFREEDDHDGGEWAPPGEVELPLCWDCLQLEEPDHQRWDIGVNGGGGDEWEQVGIRVEEEEEEAAAAVRSLEWEVLLATNSLGSLVVDGADYDGGIDTFFLDDADDVLFGQLAAEHEPPAAKGARAAAKAAVESLPTVVVDAARGDTQCAVCKDGMEAGERARRLPCAHLYHDGCILPWLAIRNTCPLCRHELPTDDPEYENWKARRAAAGGNGDGDRYGIIRQLSMID >ORUFI02G32680.1 pep chromosome:OR_W1943:2:28347959:28348318:-1 gene:ORUFI02G32680 transcript:ORUFI02G32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTRRITYRFSNYLQIACKTIHFNNIRVAQRKRGGPITHRSQDQNLALIIEGFQANSVILPAKLTPAHTRARCILLSRANPCMNKYYASLYLAKQLSLVNHHHAR >ORUFI02G32690.1 pep chromosome:OR_W1943:2:28353145:28363258:-1 gene:ORUFI02G32690 transcript:ORUFI02G32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVLRSAPYHRSHLSATATFLLSPSNSKHPHSASASASFPSTARRILLPSPLRVPARAIESSPGTTKQEPTPAAGEGEAQEPPPPAASAFEVEELGWGTQLAVKLRMLVAPPWKRVRKGSVLNMKLRGEISDQLKTRFSSGLSLPQICENFVKAAYDPRISGIYLHIEPLRCGWGKVDEIRRHIVDFKKSGKFVVGYMPVCGEKEYYLACACGELYAPPSAYVALFGLTVQQTFLRGVLEKVGIEPEIQRIGRYKSAGDQLARKSMSNEGRKKKKSRNSLIQESIRLPDLRKKVMAMLKERVAQKDKKSLRMVDYSKYSRVSKWTLGLQGGGEQIAVIRASGSITRTRSPLSVPSSGIIAEQLIEKIRTVRESEKYKAVILRIDSPGGDALASDLMWREIRLLADTKPVVASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFILQKLYERIDFNKEIISKGRYAELNAADQRPLRPDEAELFEKSAQNAYALFRDKAAMSRSMNVDQMETVAQGRVWSGQDAASRGLVDSLGGFSQALAIAKQKANIPHDRKVQLVEISKPSPTLPEILSGIGSSLLGVDRAVKGVLQDVTSLNGVQARMDGILFERLEDLSGENQLLLLVWISNVTNSETKTAWAINFVEKDSLVMLDTLSLDASIVRTHEGTLRVLYRGLLKLLLQFGLFISQLAVFVGNTIAIPDDMVQLIKELTISAAEVDNLCPELT >ORUFI02G32690.2 pep chromosome:OR_W1943:2:28353145:28363258:-1 gene:ORUFI02G32690 transcript:ORUFI02G32690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVLRSAPYHRSHLSATATFLLSPSNSKHPHSASASASFPSTARRILLPSPLRVPARAIESSPGTTKQEPTPAAGEGEAQEPPPPAASAFEVEELGWGTQLAVKLRMLVAPPWKRVRKGSVLNMKLRGEISDQLKTRFSSGLSLPQICENFVKAAYDPRISGIYLHIEPLRCGWGKVDEIRRHIVDFKKSGKFVVGYMPVCGEKEYYLACACGELYAPPSAYVALFGLTVQQTFLRGVLEKVGIEPEIQRIGRYKSAGDQLARKSMSNEVREMLATLLDNIYGNWLDTISSKHGKKKEEIEEFINSGVYQVARLKEEGWITDLLYDDEVMAMLKERVAQKDKKSLRMVDYSKYSRVSKWTLGLQGGGEQIAVIRASGSITRTRSPLSVPSSGIIAEQLIEKIRTVRESEKYKAVILRIDSPGGDALASDLMWREIRLLADTKPVVASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFILQKLYERIDFNKEIISKGRYAELNAADQRPLRPDEAELFEKSAQNAYALFRDKAAMSRSMNVDQMETVAQGRVWSGQDAASRGLVDSLGGFSQALAIAKQKANIPHDRKVQLVEISKPSPTLPEILSGIGSSLLGVDRAVKGVLQDVTSLNGVQARMDGILFERLEDLSGENQLLLLVWISNVTNSETKTAWAINFVEKDRGLLKLLLQFGLFISQLAVFVGNTIAIPDDMVQLIKELTISAAEVDNLCPELT >ORUFI02G32690.3 pep chromosome:OR_W1943:2:28349519:28363258:-1 gene:ORUFI02G32690 transcript:ORUFI02G32690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVLRSAPYHRSHLSATATFLLSPSNSKHPHSASASASFPSTARRILLPSPLRVPARAIESSPGTTKQEPTPAAGEGEAQEPPPPAASAFEVEELGWGTQLAVKLRMLVAPPWKRVRKGSVLNMKLRGEISDQLKTRFSSGLSLPQICENFVKAAYDPRISGIYLHIEPLRCGWGKVDEIRRHIVDFKKSGKFVVGYMPVCGEKEYYLACACGELYAPPSAYVALFGLTVQQTFLRGVLEKVGIEPEIQRIGRYKSAGDQLARKSMSNEVREMLATLLDNIYGNWLDTISSKHGKKKEEIEEFINSGVYQVARLKEEGWITDLLYDDEVMAMLKERVAQKDKKSLRMVDYSKYSRVSKWTLGLQGGGEQIAVIRASGSITRTRSPLSVPSSGIIAEQLIEKIRTVRESEKYKAVILRIDSPGGDALASDLMWREIRLLADTKPVVASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFILQKLYERIDFNKEIISKGRYAELNAADQRPLRPDEAELFEKSAQNAYALFRDKAAMSRSMNVDQMETVAQGRVWSGQDAASRGLVDSLGGFSQALAIAKQKANIPHDRKVQLVEISKPSPTLPEILSGIGSSLLGVDRAVKGVLQDVTSLNGVQARMDGILFERLEDLSGENQLLLLVWISNVTNSETKTAWAINFVEKDRFVGGSED >ORUFI02G32690.4 pep chromosome:OR_W1943:2:28353145:28363258:-1 gene:ORUFI02G32690 transcript:ORUFI02G32690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVLRSAPYHRSHLSATATFLLSPSNSKHPHSASASASFPSTARRILLPSPLRVPARAIESSPGTTKQEPTPAAGEGEAQEPPPPAASAFEVEELGWGTQLAVKLRMLVAPPWKRVRKGSVLNMKLRGEISDQLKTRFSSGLSLPQICENFVKAAYDPRISGIYLHIEPLRCGWGKVDEIRRHIVDFKKSGKFVVGYMPVCGEKEYYLACACGELYAPPSAYVALFGLTVQQTFLRGVLEKVGIEPEIQRIGRYKSAGDQLARKSMSNEVREMLATLLDNIYGNWLDTISSKHGKKKEEIEEFINSGVYQVARLKEEGWITDLLYDDEVMAMLKERVAQKDKKSLRMVDYSKYSRVSKWTLGLQGGGEQIAVIRASGSITRTRSPLSVPSSGIIAEQLIEKIRTVRESEKYKAVILRIDSPGGDALASDLMWREIRLLADTKPVVASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFILQKLYERIDFNKEIISKGRYAELNAADQRPLRPDEAELFEKSAQNAYALFRDKAAMSRSMNVDQMETVAQGRVWSGQDAASRGLVDSLGGFSQALAIAKQKANIPHDRKVQLVEISKPSPTLPEILSGIGSSLLGVDRAVKGVLQDVTSLNGVQARMDGILFERLEDLSGENQLLLLVWISNVTNSETKTAWAINFVEKDSLVMLDTLSLDASIVRTHEGTLRVLYRGLLKLLLQFGLFISQLAVFVGNTIAIPDDMVQLIKELTISAAEVDNLCPELT >ORUFI02G32690.5 pep chromosome:OR_W1943:2:28357264:28363258:-1 gene:ORUFI02G32690 transcript:ORUFI02G32690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVLRSAPYHRSHLSATATFLLSPSNSKHPHSASASASFPSTARRILLPSPLRVPARAIESSPGTTKQEPTPAAGEGEAQEPPPPAASAFEVEELGWGTQLAVKLRMLVAPPWKRVRKGSVLNMKLRGEISDQLKTRFSSGLSLPQICENFVKAAYDPRISGIYLHIEPLRCGWGKVDEIRRHIVDFKKSGKFVVGYMPVCGEKEYYLACACGELYAPPSAYVALFGLTVQQTFLRGVLEKVGIEPEIQRIGRYKSAGDQLARKSMSNEVREMLATLLDNIYGNWLDTISSKHGKKKEEIEEFINSGVYQVARLKEEGWITDLLYDDEVMAMLKERVAQKDKKSLRMVDYSKYSRVSKWTLGLQGGGEQIAVIRASGSITRTRSPLSVPSSGIIAEQLIEKIRTVRESEKYKAVILRIDSPGGCGGKFDS >ORUFI02G32690.6 pep chromosome:OR_W1943:2:28353145:28357237:-1 gene:ORUFI02G32690 transcript:ORUFI02G32690.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFILQKLYERIDFNKEIISKGRYAELNAADQRPLRPDEAELFEKSAQNAYALFRDKAAMSRSMNVDQMETVAQGRVWSGQDAASRGLVDSLGGFSQALAIAKQKANIPHDRKVQLVEISKPSPTLPEILSGIGSSLLGVDRAVKGVLQDVTSLNGVQARMDGILFERLEDLSGENQLLLLVWISNVTNSETKTAWAINFVEKDSLVMLDTLSLDASIVRTHEGTLRVLYRGLLKLLLQFGLFISQLAVFVGNTIAIPDDMVQLIKELTISAAEVDNLCPELT >ORUFI02G32700.1 pep chromosome:OR_W1943:2:28352839:28353348:1 gene:ORUFI02G32700 transcript:ORUFI02G32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAEIASFPYLSPASAVSFKTHYHVDTNDFLLQYNSLLVPQATSYQHVAHLPHETNLPVGNKSNSDESDDYQRSLAEERRRRRMISNRESARRSRMRKQKQLSELWAQVVHLRSTNRQLLDQLNHVIRDCDRVTHENCQLRDEQAKLQKQLEKTPVENTESTFMGPDN >ORUFI02G32710.1 pep chromosome:OR_W1943:2:28366396:28366959:-1 gene:ORUFI02G32710 transcript:ORUFI02G32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEEEEAASPSATAHGDCVLPTACRVFDSEPVELSAKVLLLQLRPAEATAGLHGGYWCTVIASGIDGSAAVEVLAQVEAACAEHGVHLASESVSLVVECDCDDSPWHVARRRAHTTVPLHIPADGRGSFFSPDHWPLFVQLVRAMECPEEAHEDDLPAAAGDGGRLAVPSGGRTAEDATAKHV >ORUFI02G32720.1 pep chromosome:OR_W1943:2:28368039:28371877:1 gene:ORUFI02G32720 transcript:ORUFI02G32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPPVDWEAESYPAYSDFAAIPLFAVFLFAVRYLLDRFVFEWLARRLIFEKDEKLDLATHAGRIKIRKFKESAWKCIYFLSAELLALSVTYKESWFTSTKNFWVGPGDQVWPDQRIKFKLKLVYMYAAGFYTYSIFALQFWEIKRSDFGISMVHHVVSVILIALSYIFRFARVGSIVLAIHDASDVFLELGKISKYSGYQLLADVSFLIFVCSWAVLRLIYYPFWILWSTSYEVVPMLDKKKHKFDGPLYYYVFNCLLFSLLVLNIYWWVLMYRMLVEQILSKGHVGDDVRSDSEGEEEHED >ORUFI02G32730.1 pep chromosome:OR_W1943:2:28374882:28378009:-1 gene:ORUFI02G32730 transcript:ORUFI02G32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALAVYSLVLLASFSFPCRLASALLSPKGVNYEVQALMMIKTSLKDPHGVLKNWDQDSVDPCSWTMVTCSPENLVTGLEAPSQNLSGLLSASIGNLTNLEIVLLQNNNINGPIPEEIGRLTKLKTLDLSSNHFSGGIPNSVGHLESLQYLRLNNNTLSGAYPSSSANLSQLVFLDLSYNNLSGPVPGSLARTFNIVGNPLICAAGTEHDCYGTLPMPMSYSLNNTQGTLMPAKSKSHKVAIAFGSTIGCISFLIPVMGLLFWWRHRRNQQILFDVDEQHTQNVNLGNVKRFQFRELQVATENFSNKNILGKGGFGNVYRGKLPDGTVVAVKRLKDGNAAGGQAQFQTEVEMISLALHRNLLRLYGFCMTATERLLVYPYMSNGSVALRLKGKPPLDWITRQRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKSSNQKGAMLDWVKKMHQEKKLDVLVDKGLRSNYDRVELEEMVQVALLCTQYLPGHRPRMSEVVRMLEGDGLAERWEASQRADSHKFKVPEFTFGRCYSDLTDDSSLLVQAVELSGPR >ORUFI02G32740.1 pep chromosome:OR_W1943:2:28381915:28383881:-1 gene:ORUFI02G32740 transcript:ORUFI02G32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTEVEKKKAPTALAPIAKPLAGKKLCKRTLKLVRRASEAKCLKRGVKEVVKSIRPNIPYIYVPSKEDLATAGTTKRPTCCVLVMTKPAKGEINEEVKEKLKSDYDHVVAEVAEVTSSMF >ORUFI02G32750.1 pep chromosome:OR_W1943:2:28384066:28387711:1 gene:ORUFI02G32750 transcript:ORUFI02G32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKDKKASREAKADKKLVLGVKRKDLKRKKDRTLNGPVENEVAAEHGTAEDKGLVRKKKVVAMKQKKQMKLKSSQTDSDDMLELLTSKKDETKLKNKKKSKKNLKEGSNPVEEHQSLSDRINAGTPKLKKDRRSSDEPNNADEVLHGNQDEETPTARVNQLTAESGDMDIGEPEEVKRGNKSKTKKTKKSGKSSKKDKHESSRENKLDRHGEVDTANVDEIQSVDEDCSRGMKKWVLEYKQKRPGLKVLQQRIDEFITAHEEQEEQERKEREARAAEDGWTVVVHHKGRKKTTDTETGTAVGSVSLAAMQEKMANKKPKEVDMNFYRFQKREAHISELAMLQSKFEQDKKRIQQLRAQRKFKPY >ORUFI02G32760.1 pep chromosome:OR_W1943:2:28387949:28390824:1 gene:ORUFI02G32760 transcript:ORUFI02G32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFSNGVLGALSFAALLASVPLIGAGAYLLDHPASECQRLVRVPAVALGSAALLLSLMAIAGVTCCRGAALLWAYASAMFLLIVGMFFVTAFVFVVTNRGVATAVSGTGYGDYRVRDYSEWLRARIEDYETWHRIESCMADAAVCGGPLAGINPGEFYRLHLPLIQPPVYCGYERVNETFWIAPARGLDAADVDCLEWSNDQAVLCFRCNACKASVLDTVRRNWRAVAVLNVAVLAILMLAYSLACCSVRDRSRVRLGKKEPILAVPAISIKTSKLKLWNNQAPTTTPSVCDRRVLLKRQWISSEEANKMVAVAKEAVAIENSQVVNEQESVVTNQVNKSVIYNKFILMHVL >ORUFI02G32770.1 pep chromosome:OR_W1943:2:28394234:28398592:1 gene:ORUFI02G32770 transcript:ORUFI02G32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQSQSSSLQRLNHVEQRIVQLMQLAGAVMEELGNSQGPRPEKVVAHCREYMLTIKEIQTTLREEIKSACEYRPFEKSDYSARIANEISCKKVEYVLEKLDAMQTNIEKCTS >ORUFI02G32770.2 pep chromosome:OR_W1943:2:28394234:28398592:1 gene:ORUFI02G32770 transcript:ORUFI02G32770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQSQSSSLQRLNHVEQRIVQLMQLAGAVMEELGNSQGPRPEKVVAHCREYMLTIKEIQTTLREEIKSACEYRPFEKSDYSARIANEISCKKVEYVLEKLDAMQTNIEKCTS >ORUFI02G32770.3 pep chromosome:OR_W1943:2:28395444:28398592:1 gene:ORUFI02G32770 transcript:ORUFI02G32770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEYFLDTRLSHISQKYRWHLIAFLTNSNLIATLSVSLLQPTTTKVPSPTWVEAESQKPLLLSGGSDASAKPEQLTATPQPCRAADSPADATGGGGHGGAWELAGSPPRESRCPLPRVHAHHQGNSNDIA >ORUFI02G32780.1 pep chromosome:OR_W1943:2:28398756:28403588:1 gene:ORUFI02G32780 transcript:ORUFI02G32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAAKGCKCGSFSGGQRLGGVHLPAVPAGGDDGRRAQEIKRRRRYDLREQDGRAARPAAADQKLCRFCSANGTIMSGCSLQGALVSTAIMRRNVLTRIVGTQKNNLPLPKRSAAIAAPIALLLVVGLISLYDFTFADRYPNIDAASSSSSSSSSPSPATVSKCNLTRGEWVPDGEAPYYTNLTCPFIDDHQNCMKFGKPSLEYVSWRWKPDGCELPRFDAARFLEAMRGKSMAFVGDSLARNHFKSLLCLLSKVAQPVELVGAAPEIDVTGRAVRRDFRYDSHGFTASLFWSPFLVKANLANATLGLWDLHLDTADARWAAHVAEFDYVVLSDTNWFLRPSVYYEGGRAVGRNGAAPVTNATEIAVPRAVRAAFRTALGALAAAPGTFRGKAILRSVTPAHFENGEWNTGGDCVRTRPFRRDERALGAVEAEYLAVQVDAVREAEAAVRRNGGELRLLDITEEMDLRPDGHPSRYGHPPGGSVEGSFVVDCLHWCLPGPIDLWSELLFHMLVDQ >ORUFI02G32790.1 pep chromosome:OR_W1943:2:28404993:28406323:-1 gene:ORUFI02G32790 transcript:ORUFI02G32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNNLLVRRAASTTGLLLILLLLVAFTVCNYSSLKLSTRQYIDGTSARSSSTRASYASGGGGGAACDVARGEWVPDPAAPYYTNETCPLIDSRQDCMKYGKPGLESILRWRWRPHGCDLPRFDAAAFLRLVRGKSMAFVGDSVARNHMQSLMCLLSKVEFPTEIEAKDCIHCTRKYHYRAHNFTVCVFWAPFLVRWNLTRAGALQFMDPHNVFLDEADPEWSRGVAGYDYVVLNGAKWFTRPTILYEGGRLVGCNNDCHGGDPNATAATAPPEYAVRASFRTALRALREHPVFRGTVIVRTVAPPHYENGKWYDGGNCLRTRPMRSDETGLPETEAAFHAAQVEEFRAAAAAAAGGRFLLMDVSGMMQMRGDGHPGQYGHWPHEKVGFGIDCVHWCLPGPVDAWNELLLHLLRG >ORUFI02G32800.1 pep chromosome:OR_W1943:2:28411850:28414759:-1 gene:ORUFI02G32800 transcript:ORUFI02G32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASLPPSWPALQPAHPTLLRHHKPVIPSQPLSGPPLSPRSSTPRRNPQFWLESPPPPPSRSAVPFSADDACKRAMIRPTHHHHRANRLEPTPRPPSARQHCSRHGGCVEDGDAAAADYSCANDGTR >ORUFI02G32810.1 pep chromosome:OR_W1943:2:28414973:28418826:-1 gene:ORUFI02G32810 transcript:ORUFI02G32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLALMSFLSGKRERQRQLCHRQIGRGRGSITSVAKTERKGLHYQLKENVYLPEDILRLIHAMMPLRDAARAACASHIFLQSWIGMKTSWRCRPNLIFTGETLGLIINGTGKDDAKRDFINIVQRILLNHSGIGVKTLKLELLHFSDLDLGCLEAWLQIAVAPGIEELTLMFPCVRYKFPCSLLFERGGNSVRYLHLMVCTFRPTIGLGCLIKLTQLHLSFVWITGDELELLSKCVALEWLKLSYCPEIICLKVPCMLRQLGSLEVAECRYLKVIEICAPNLSNFYLTGFLVTQRRIENPFIQLNP >ORUFI02G32820.1 pep chromosome:OR_W1943:2:28421760:28423391:-1 gene:ORUFI02G32820 transcript:ORUFI02G32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSVSAILAPSPPPAQAAARASPRRAPASAAPVAAAISTALLALTPAAHAAAFSKEDVAGSVTKVVDTVDQVIGVGGKVAEQSAGVLKALGEAAKPALPALKSAGEQALKLASPVVSGASKQATEALQGAGVDPAPVLSAAKTVADAAQQGTKVIDAAKPIASATVETIGSLGSADYVVVAGAAFLAYLLLPPAWSLLSFTLRGYKGDLTAAQALDMVTSQDYVLIDVRTEKDKAKTGVPQLPSNAKNKLISIPLEELPSKTKSMVRNAKQAEAEIAALKISYLKRIGKGSNVIIMDSYCDSSMIVAKTLNSVGFKNCWVMAGGFSGRKGWAQSRLGTDSYNLSVVEVVTSSRVIPAAADRLVTASSSASRTTTSRKLLPGSVDG >ORUFI02G32830.1 pep chromosome:OR_W1943:2:28424638:28426221:1 gene:ORUFI02G32830 transcript:ORUFI02G32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSSVHGRLPCHRPPRLQAHQRQRHHLHRAVSGDVERANAADGARGVDGAGADRGRPDGAHLGGVRRARRHGLWCCGGPRVDAPRPPRLPHLPPQRGNRHREDGTHRGRLGHLLLGDSISAGGGAVAAVPAPAVTHAERRLHVGQNHLRNHRGR >ORUFI02G32840.1 pep chromosome:OR_W1943:2:28441351:28447939:1 gene:ORUFI02G32840 transcript:ORUFI02G32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRLIFSTAGSGAGQMLFLDCGAGGGGVGGGAMFHRGARPVLGMEEGGRGVKRPFFTTPDELLEEEYYDEQLPEKKRRLTPEQVHLLERSFEEENKLEPERKTELARKLGLQPRQVAVWFQNRRARWKTKQLERDFDRLKASFDALRADHDALLQDNHRLHSQVMSLTEKLQEKETTTEGSAGAAVDVPGLPAAADVKVAVPDAEEPALEEAAAAFEEQQEQQVKAEDRLSTGSGGSAVVDTDAQLVVGCGRQHLAAVDSSVESYFPGGDEYHDCVMGPMDHAAGGIQSEEDDGAGSDEGCSYYADDAGVLFADHGHHHHHQHADDDEEDGQQISCWWMWN >ORUFI02G32840.2 pep chromosome:OR_W1943:2:28441351:28443880:1 gene:ORUFI02G32840 transcript:ORUFI02G32840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRLIFSTAGSGAGQMLFLDCGAGGGGVGGGAMFHRGARPVLGMEEGGRGVKRPFFTTPDELLEEEYYDEQLPEKKRRLTPEQVHLLERSFEEENKLEPERKTELARKLGLQPRQVAVWFQNRRARWKTKQLERDFDRLKASFDALRADHDALLQDNHRLHSQVMSLTEKLQEKETTTEGSAGAAVDVPGLPAAADVKVAVPDAEEPALEEAAAAFEEQQEQQVKAEDRLSTGSGGSAVVDTDAQLVVGCGRQHLAAVDSSVESYFPGGDEYHDCVMGPMDHAAGGIQSEEDDGAGSDEGCSYYADDAGVLFADHGHHHHHQHADDDEEDGQQISCWWMWN >ORUFI02G32850.1 pep chromosome:OR_W1943:2:28454978:28457411:1 gene:ORUFI02G32850 transcript:ORUFI02G32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGATLSVLLLVAGVVLMLVLHVVVVFWALRRGVFLRGAFRVEERRDQRAAGLTPDEIAVLPCHERKEDGGGGGGGECAVCLEAFQAGDRCRVLPRCEHGFHARCVDSWLRQSRVCPICRAEVEVSGYAGKPAAAVAEASQATTLEIVTERLGGTERCYTPRDNSNVFLVAGLSLVVVVHVLVLLWALWWGYGRSRLALARARVVGQHDVARGGLSAEQVGELPCHVVKEGAGECAVCLEAFRAGDRRRVLPRCEHGFHAQCVDSWLRVSRLCPICRAEVAASRGKEGDAPVAEAASLEIVAER >ORUFI02G32860.1 pep chromosome:OR_W1943:2:28457669:28460707:-1 gene:ORUFI02G32860 transcript:ORUFI02G32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAASSLLSRGLIARPSAASSTGDSAILGAAVTGSARGFLPGALHRFSAAPAAAATAAATEEPIQPPVDVKYTKLLINGNFVDAASGKTFATVDPRTGDVIARVAEGDAEDVNRAVAAARRAFDEGPWPRMTAYERCRVLLRFADLIEQHADEIAALETWDGGKTLEQTTGTEVPMVARYMRYYGGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNAVVLKTAEQTPLSALFVASLLHEAGLPDGVLNVVSGFGPTAGAALSSHMGVDKLAFTGSTGTGKIVLELAARSNLKPVTLELGGKSPFIVMDDADVDQAVELAHRALFFNQGQCCCAGSRTFVHERVYDEFVEKARARALQRVVGDPFRTGVEQGPQIDGEQFKKILQYVKSGVDSGATLVAGGDRAGSRGFYIQPTVFADVEDEMKIAQEEIFGPVQSILKFRFATLEFPRIPSDRDRLSTGIYTNTLRAMCSTVEEVVRRANATPYGLAAGVFTQRLDAANTLARALRVGTVWVNTYDVFDAAVPFGGYKMSGVGREKGVYSLRNYLQTKAVVTPIKDAAWL >ORUFI02G32870.1 pep chromosome:OR_W1943:2:28462709:28466855:-1 gene:ORUFI02G32870 transcript:ORUFI02G32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSKAIPLNLLEDWSTPQAVNKTKSNRPFELRNLFLAGAVRAYGVGEEGGFCGVESCAQASLPDSFLADLDELSDNEAYPEGEDEEARNMEEDGDGGMPCCEFLNHDDLNSASELHKTQRYNDIMQLLGAKKKNLSGFSTAASQFRVGYLEQTEVFQSTIPSLRTHACRIISAKSTLAARIDSIRGDPTGKAGHSLLEEICKKTEKLQELPPAKILKPLPVPDCMPKKKRGGCRLRKMKERYAQTDMMKLANRMQFGVPEESSLGDGLGKGYGLLGQAGSGKLRLLAGQSRLAAKVAKRFKARSCDRSESRSGLTSTLAFTPVQGMELSNPLVHNDHSVSGTQSTYFSDVGTFSSIRGKDAIPIQSSEIQNPGV >ORUFI02G32870.2 pep chromosome:OR_W1943:2:28462709:28464763:-1 gene:ORUFI02G32870 transcript:ORUFI02G32870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTCVDLKDILPSADIMWIMMTDSIASKEPLSEENLVKTIKACDRALTLDAAKRKSRMGCIAPNLSAIVGSAVASKLMGAAGGLEALAKMPSCNLQLLGAKKKNLSGFSTAASQFRVGYLEQTEVFQSTIPSLRTHACRIISAKSTLAARIDSIRGDPTGKAGHSLLEEICKKTEKLQELPPAKILKPLPVPDCMPKKKRGGCRLRKMKERYAQTDMMKLANRMQFGVPEESSLGDGLGKGYGLLGQAGSGKLRLLAGQSRLAAKVAKRFKARSCDRSESRSGLTSTLAFTPVQGMELSNPLVHNDHSVSGTQSTYFSDVGTFSSIRGKDAIPIQSSEIQNPGV >ORUFI02G32870.3 pep chromosome:OR_W1943:2:28464842:28466855:-1 gene:ORUFI02G32870 transcript:ORUFI02G32870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSKAIPLNLLEDWSTPQAVNKTKSNRPFELRNLFLAGAVRAYGVGEEGGFCGVESCAQASLPDSFLADLDELSDNEAYPMKRQEIWKKMVMVACLAVNFLITMILTVPQSFIRLNKIEDALHRGTSILPEDLEHQLIADSNALLVDIDNEITIIYNFIREKY >ORUFI02G32870.4 pep chromosome:OR_W1943:2:28464842:28466855:-1 gene:ORUFI02G32870 transcript:ORUFI02G32870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSKAIPLNLLEDWSTPQAVNKTKSNRPFELRNLFLAGAVRAYGVGGASLPDSFLADLDELSDNEAYPEGEDEEARNMEEDGDGGMPCCEFLNHDDLNSASELHKTQRYNDIMQKIEDALHRGTSILPEDLEHQLIADSNALLVDIDNEITIIYNFIREKY >ORUFI02G32880.1 pep chromosome:OR_W1943:2:28469237:28469713:1 gene:ORUFI02G32880 transcript:ORUFI02G32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWAPHISFFLFFLLSSLLLSGAALDGGEAAGGGVVERDRHRQVGGERGVGDAVAERRGLDSGCGGGGVAGGVAKRVVQARLHVHGCQRRARARVRGSAAMARRCPWHPPLPPPSPPPPAQLARTSHCAEGERGGDGRRERGGVGGDAVGRSRHGTWV >ORUFI02G32890.1 pep chromosome:OR_W1943:2:28479524:28484959:1 gene:ORUFI02G32890 transcript:ORUFI02G32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAAHGAAAAPGKQRRRRARESWGASLLLAYQSLGVVYGDVATSPLYVYKSAFAGDDIQHSAGNEEIYGVLSFVFWTLTLISLVKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGAGDELAVGGRRDARAMSRLRAMLERYRVLQRLLLLFALLGTCMVIGDGVLTPAVSVYSAVSGLELSMEHEHHKYVQLPVTCAILIGLFALQHYGTHRVGFIFAPIVCVWLLCISAIGVYNIVHWNHHVYRALSPYYMYQFLKKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIKIAFMSVVYPALVLAYMGQAAYISQHHSFENAYHIGFYVSVPEKLRWPVLVIAILAAVVGSQAVITGTFSIIKQCSSLSCFPGVKIVHTSSTVHGQIYIPEINWILMILCLAVTLGFRNTKHLANAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLALGFLIFFGTIEVLYFSASLVKFHEGAWVPITLSFIFMIVMCVWHYGTIKKYEFDFQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVQPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDIEFEKDLVSSIAEFIRSGDSHHNGVLEDTDKSCEKLSSISNGIPLWMEDGEVDASASPHKETDTQIISPNRKKARFVLPKNAQVDSEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIVINFFYEFLRRNSRGPSYAATIPHASTLEVGMVYQV >ORUFI02G32890.2 pep chromosome:OR_W1943:2:28479818:28484959:1 gene:ORUFI02G32890 transcript:ORUFI02G32890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAAHGAAAAPGKQRRRRARESWGASLLLAYQSLGVVYGDVATSPLYVYKSAFAGDDIQHSAGNEEIYGVLSFVFWTLTLISLVKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGAGDELAVGGRRDARAMSRLRAMLERYRVLQRLLLLFALLGTCMVIGDGVLTPAVSVYSAVSGLELSMEHEHHKYVQLPVTCAILIGLFALQHYGTHRVGFIFAPIVCVWLLCISAIGVYNIVHWNHHVYRALSPYYMYQFLKKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIKIAFMSVVYPALVLAYMGQAAYISQHHSFENAYHIGFYVSVPEKLRWPVLVIAILAAVVGSQAVITGTFSIIKQCSSLSCFPGVKIVHTSSTVHGQIYIPEINWILMILCLAVTLGFRNTKHLANAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLALGFLIFFGTIEVLYFSASLVKFHEGAWVPITLSFIFMIVMCVWHYGTIKKYEFDFQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVQPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDIEFEKDLVSSIAEFIRSGDSHHNGVLEDTDKSCEKLSSISNGIPLWMEDGEVDASASPHKETDTQIISPNRKKARFVLPKNAQVDSEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIVINFFYEFLRRNSRGPSYAATIPHASTLEVGMVYQV >ORUFI02G32890.3 pep chromosome:OR_W1943:2:28479524:28484959:1 gene:ORUFI02G32890 transcript:ORUFI02G32890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAAHGAAAAPGKQRRRRARESWGASLLLAYQSLGVVYGDVATSPLYVYKSAFAGDDIQHSAGNEEIYGVLSFVFWTLTLISLVKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGAGDELAVGGRRDARAMSRLRAMLERYRVLQRLLLLFALLGTCMVIGDGVLTPAVSVYSAVSGLELSMEHEHHKYVQLPVTCAILIGLFALQHYGTHRVGFIFAPIVCVWLLCISAIGVYNIVHWNHHVYRALSPYYMYQFLKKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIKIAFMSVVYPALVLAYMGQAAYISQHHSFENAYHIGFYVSVPEKLRWPVLVIAILAAVVGSQAVITGTFSIIKQCSSLSCFPGVKIVHTSSTVHGQIYIPEINWILMILCLAVTLGFRNTKHLANAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLALGFLIFFGTIEVLYFSASLVKFHEGAWVPITLSFIFMIVMCVWHYGTIKKYEFDFQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVQPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDIEFEKDLVSSIAEFIRSGDSHHNGVLEDTDKSCEKLSSISNGIPLWMEDGEVDASASPHKETDTQIISPNRKKARFVLPKNAQVDSEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIVINFFYEFLRRNSRGPSYAATIPHASTLEVGMVYQV >ORUFI02G32900.1 pep chromosome:OR_W1943:2:28488964:28493187:1 gene:ORUFI02G32900 transcript:ORUFI02G32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAPHLTTCCTAARDPPLHESFHASLSPKPPKFRIVARRRVEIDRSSSEHHRACLFLRASSPFDLPLSLPLILCSRKARILGGFPHHFPLLDLGLLPASVRLAFPPSVPTCCALLCCGRMEGGGRGGGPVVAALLLAALLLAAVAPASASSYPAKVVSGFLSNAASSVMKRLWSLKSTTKTGSGGKSMVKYEGGYTVETVFDGSKLGIEPYAVEVTQGGELLVMDSMNSNIYRIALPLSRYSRPKLVAGSPEGFPGHVDGRPREARMNHPKGFTVDGRGNIYVADAMNMAIRKISDTGVTTIAGGKSSRGGHVDGPSDDAKFSTDFEVRYIGSSCSLLVIDRGNQAIREIQLNFDDCVYQYEAGFPLGVAVLLAAAFFGYMLALLQRRVLGMVSTEDEPETQTPLKASIASIPPYQKPLKQSVRPPLIPNEDESEKQEVEEGFFTSIGKLIVGAKSSVAEIVGAAFSRKKRLNIHQQQARVRSWPVQESYAIPRDETPPPLDTRTPTPRKNYAFMSKEPEKIHHIRHGRSQFNGWNGDAPQQQQQQQQQQQIHHQQYLQHHRQYSSGPQTFYEPSCEATNEIVFGAVQEVDSKRRAVEIKPMNHGDPQYDQDGLRHRSSYTGYSNNW >ORUFI02G32910.1 pep chromosome:OR_W1943:2:28493936:28495326:-1 gene:ORUFI02G32910 transcript:ORUFI02G32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPIGLSWAPKLPSLPTTSGGKKDTGASSSRAQGSLWKPASELVDGLFVPPRDPRKANKLARKNVKDTSGKGWFDMPAPTITPELKKDLEILQLRHVMDPKRHFKRAGKSKALPKYFQVGTVIEPASEFFSSRLTKRERKTTLVDELLSDQHLKNYRMRKVREIQESRTPGGNQKWRNKGKKTLKRAKDRRK >ORUFI02G32920.1 pep chromosome:OR_W1943:2:28496439:28498926:1 gene:ORUFI02G32920 transcript:ORUFI02G32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPVTMPPPQSPELRSLRSTLDQRGELRAPLLSFDWGFPGGIARWEGEEGRLRRAAGAARAAATEMWAFARKDPRKPVFAAKVATALALITLLVFLREPTDLANHAVWAILTVVVVFEFSIGATLSKGLNRGLGTLTAGGFALAVSELSSSMGNFGNVILIICTFVVAFGATLTKLHPKMKPYEYGFRVFLLTFCYVTVSGYNTGKFIATAISRFLLIAIGAAVSLALNIGIHPIWAGEDLHNLVAKNFDGVAKSLEGCVDGYLKCMEYERVPSTILTYQASDDDHLYSGCRAAVESSAQEEALLGFAIWEPPHGPYKMMKYPWMNYTKVGGALRHCSFSVMALHGCILSEIQAPPESRQVFSAELHRVGQEGAKVLRELGHRVKTMTRLSSQNILSEVHFAAEQLQKKIDQKSYLLVNTEKWQALIRRHGGGAKDGGLVPGRRAIASPGAVHKSSSFASSTSHSSLNSAPRTDASYKPQPPWPIRQPSFHPSLPFEAAAAEARTYESASALSLATFASLLIEFVARLRSLVDAFEELSESANFKEDPVEEPSAISRENGGVLYRLRRFFGLEELRQRAGEP >ORUFI02G32930.1 pep chromosome:OR_W1943:2:28499632:28502808:-1 gene:ORUFI02G32930 transcript:ORUFI02G32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPPPAAPDPPQLHGVVIITLPPPDQPSKGKTITAFTYTDDDVTPPPPTPPPTHLPTRALVPAGAGAGAEARRSRRGFSPRRAAAMVLVLGALAVAAYYSFYSDVAVQFLGMQEEAQNERNETKSFLLPLYPKARQGRALREFGDIKLAARRFDNDGGGGVGRKSRNKLEVKKAAAAGTNSTALLPIKGNVFPDGQYYTSIFVGNPPRPYFLDVDTGSDLTWIQCDAPCTNCAKGPHPLYKPAKEKIVPPKDLLCQELQGNQNYCETCKQCDYEIEYADRSSSMGVLARDDMHIITTNGGREKLDFVFGCAYDQQGQLLASPAKTDGILGLSSAGISLPSQLANQGIISNVFGHCITRDPNGGGYMFLGDDYVPRWGMTSTPIRSAPDNLFHTEAQKVYYGDQQLSMRGASGNSVQVIFDSGSSYTYLPDEIYKNLIAAIKYAYPNFVQDSSDRTLPLCLATDFPVRYLEDVKQLFKPLNLHFGKRWFVMPRTFTILPDNYLIISDKGNVCLGFLNGKDIDHGSTVIVGDNALRGKLVVYDNQQRQIGWTNSDCTKPQTQKGFPFFL >ORUFI02G32940.1 pep chromosome:OR_W1943:2:28503882:28504250:1 gene:ORUFI02G32940 transcript:ORUFI02G32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEWQMCLELHRHHRRGQPEVRAPPPRTRTKVRGTRGPAPVSWWHARGFPWHLEGPVGDVPMVKEVLEWLEGHEPRADPAKGVVEHLVIAPLPLSRRCSSSAMAPMRDSP >ORUFI02G32950.1 pep chromosome:OR_W1943:2:28506316:28511048:1 gene:ORUFI02G32950 transcript:ORUFI02G32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARASLLLAGGLAVSTSTSAVATAAQTVSIPHLSPHTRRRRQRRFLRLASAAASSPPPLPAASAQPHCSRWVVVMERPPAPAGGGEVSRAEAVDHYVATLARVLGSQEEAQMRIYDASWDGSYEFSCEIDDEASRDLAKMPGVLAVKPDTDKVDMSEKDNHGSGLSAANLGNFSDAVSNHSSSSGENEFWLVRMEKPGVEVVTKAQMVDHYTQTLMKVLGNEKDAQVSIYHISWERDYGFCCHIDEECAKELADVSGVLSVQPDTNFGSDNKNYKGDDSFKSSEATQAEVKTKRLFVTGLSFYTSEKTLRAAFEPFGELVEVKIIMDKISKRSKGYAFIEYTTEEAGGAALKAMNGQIINGWMIVVDVAKHRSRDRQPPYSASGRSNQVLRSRYHTG >ORUFI02G32960.1 pep chromosome:OR_W1943:2:28509450:28510916:-1 gene:ORUFI02G32960 transcript:ORUFI02G32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGCSLLSPATSGLPPKSPFSKRASNGAALRCRAAFSGDAALRAFRRHHLDGRALHANPALVPALAACARLLPSAAAEAEQIHALLVKSGDPPSVSGVYASTSLVRVYARLGRLGDARKMFDGMPVKTVVSWNVLLDGIVRASDLDAAWEVFVEMPERNVVSWNTVIAGFVRHGWVQEAVDLFAEMTVVYGLAPDEATMVGFVSAVRDMGVLGIGRCAHGYVIRREFSLDGALGVALINMYTRCGSMGDAFRCFSSVASKNVEQWTSVIGGFAAHGHPEMALRLFTEMRQLGIEPNGVTFLAVLNACSHGGLVNEGFKYFNLMRSMGIKSTMQHYGCLIDLLGRAGFLEEAFELASSLPEDPGLVIWSSLLAACQSHGNVEMAEVAARKLAHAEPRHGSSYVLLSNTYARAGQWEDLKRTRRKMEEYGVMKQPGLSWIELDGRVHSFVSADKLHTESEDIYQMLEDLKVNLVSAGSEPETLALPEI >ORUFI02G32970.1 pep chromosome:OR_W1943:2:28511243:28517816:1 gene:ORUFI02G32970 transcript:ORUFI02G32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAAAGGGTSRAGRARQSRRGRREHGTTMVTSVRGSWMQHYRSYFDSITAKIRSHTIYFGQWFKIKRHHYFIYINNTGGSIGLCVVK >ORUFI02G32980.1 pep chromosome:OR_W1943:2:28518040:28524007:1 gene:ORUFI02G32980 transcript:ORUFI02G32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELSILCDAEVGLVVFSSTGRLYEFSSTNMKTVIDRYTNAKEELLGGNATSEIKHLRASGQAILKFANDGKSMHILTSNVQPKKRMKIIRCQFCKQNGCKATKPKQQGYIANQREAASLRQQLHNLQESHKQLMGEELSGLGVRDLQGLENRLEINLRNIRMRKGSLIHQENIELSRSLNVMSQQKLELYNKACEQRGATDANESSSTPYSFRIIQNANMPPSLELSQSQQREGECSKTAAPELG >ORUFI02G32980.2 pep chromosome:OR_W1943:2:28518040:28524007:1 gene:ORUFI02G32980 transcript:ORUFI02G32980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELSILCDAEVGLVVFSSTGRLYEFSSTNMKTVIDRYTNAKEELLGGNATSEIKHLRASGQAILKFANDGKSMHILTSNVQPKKRMKIIREVQCANFSARSLGPKIWQREAASLRQQLHNLQESHKQLMGEELSGLGVRDLQGLENRLEINLRNIRMRKGSLIHQENIELSRSLNVMSQQKLELYNKACEQRGATDANESSSTPYSFRIIQNANMPPSLELSQSQQREGECSKTAAPELG >ORUFI02G32980.3 pep chromosome:OR_W1943:2:28518040:28524007:1 gene:ORUFI02G32980 transcript:ORUFI02G32980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELSILCDAEVGLVVFSSTGRLYEFSSTNMKTVIDRYTNAKEELLGGNATSEIKREAASLRQQLHNLQESHKQLMGEELSGLGVRDLQGLENRLEINLRNIRMRKGSLIHQENIELSRSLNVMSQQKLELYNKACEQRGATDANESSSTPYSFRIIQNANMPPSLELSQSQQREGECSKTAAPELG >ORUFI02G32990.1 pep chromosome:OR_W1943:2:28532206:28533450:1 gene:ORUFI02G32990 transcript:ORUFI02G32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGRGSACNGAAVLGAAAAVVIVGFLVMSAAPLAEAARYTVGDSSGWRFYAEGWAKGKTFRAGDVLEFKYNAVVHDVAAVDLAAYRSCTVPKGVRKMRSGRDKVTLRKGTHYFICTEPGHCKAGMKLAVRAI >ORUFI02G33000.1 pep chromosome:OR_W1943:2:28534036:28534956:-1 gene:ORUFI02G33000 transcript:ORUFI02G33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTACRRYIGPLLCVNLVMHAAVLGLAGWSLNKFIDGETHHHLGGNTSSGYLLVFSLMAGVVGVCSVLPGLLHVRAWRGETLAAAASTGLVSWALTALSFGLACKHITLGNRGRRLRTLEAFIAILTLTQLLYLILLHTGSLGSSMTLGLSRRNCDDDEELRCDEIPREELYTNKKPAIVAGA >ORUFI02G33000.2 pep chromosome:OR_W1943:2:28534036:28534956:-1 gene:ORUFI02G33000 transcript:ORUFI02G33000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTACRRYIGPLLCVNLVMHAAVLGLAGWSLNKFIDGETHHHLGGNTSSGYLLVFSLMAGVVGVCSVLPGLLHVRAWRGETLAAAASTGLVSWALTALSFGLTALCALTPAAYSLTHYYNPTTLGWRFDPLACKHITLGNRGRRLRTLEAFIAILTLTQLLYLILLHTGSLGSSMTLGLSRRNCDDDEELRCDEIPREELYTNKKPAIVAGA >ORUFI02G33010.1 pep chromosome:OR_W1943:2:28536808:28538254:1 gene:ORUFI02G33010 transcript:ORUFI02G33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATACSTAPLLGGARLPAVGAALPPPSVLLLPQRNFPSPLRLHDAPRLSLLRARASSDDTSSSAATGDELIEDLKAKWDAVENKSTVLTYAGGAIVALWLSSVIVGAVNSVPLVRLHYIAPVNFVSVLVEQCSKFTCMWICYLLQLPKFMELVGLGYTGWFVYRYLLFKESRKELADDVDSLKKRIAGTE >ORUFI02G33020.1 pep chromosome:OR_W1943:2:28539197:28540651:-1 gene:ORUFI02G33020 transcript:ORUFI02G33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSEKAAGAVGGKAARACDSCLRRRARWYCAADDAFLCQGCDTSVHSANPLARRHERLRLRVSSPPPLTARASVEEEAAAAVGTTTTTTSKREGGVTPAWSKRKARTRRPQVKSVGQLLSRRLVVPEMAVESSDERKADEDGAHEELEGQFLYRVPVFDPSLAEFCSPPPIDDAAAASSSCFKEDAADGAVEDAKYPAAAASSPVQQLPDSFVNFEPTDAELREFAADMEALLGQGLDDSNELQDSFYMETLGLITPPVEESGRVKMELDGGVASNSRVSLPSCRAHPKPEDVESADVLDIDFNCTSPDEQKSSASNGAAADSQFFHRSLDLRLNYEAIIESWGNSPWTDGRPPHGQLDDFWPNDHHYSGLWAAGGGGHGAEVGMMTVRPRMDGPGREARVTRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRPSAAAAPCAVT >ORUFI02G33030.1 pep chromosome:OR_W1943:2:28556100:28558020:1 gene:ORUFI02G33030 transcript:ORUFI02G33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTFSGKQRTMASIAWQCCIVYGLRRLNDVPGNSDEMACDVAGAGDALRAPSSDAPAGILLEQPADEFEEDGNVDESERTSVIIGEAATGKATTSNVSATSHRQVTDDSAQLPVMFAGIIVKDIVKVAMLVVAQPQSCINILMKLSINVLQSNTERPVYYIFHFLVHNLKAVYYGLV >ORUFI02G33040.1 pep chromosome:OR_W1943:2:28561014:28562471:1 gene:ORUFI02G33040 transcript:ORUFI02G33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSGQLKRLKPLYQLAVNNILAVVAVPLAAAVVLKAAELGHEEILARARALPPAHLFLAAFVPAAAAVVYLMLRPRAVYMVDYACFRTSPNCRVPFATFLEHSRVWPGFEERSVRFMTRLLERSGLGEETCLPYAQHYIPPSRDLESSRAEAELIIFSAIDDLLAKTGISPQDIDILVVNCSLFAPTPSFTDMIINRYKLRKDVRNVHLSGMGCSAGLISVGLARNLLQVAPKGAHALVVSTETITPNYYMGQERAMLLPNCLFRMGGAAVLLSTNGAKARFRLARVVRTLTGAQDGAYHCVYQEEDGRGNVGINLSKDLMSIAGDALKANITAMGPLVLPASEQLLFALSFMARKVLSGRIKPYIPDFRTAFEHFCIHAGGRAVIDELQRSLTLSDEQVEASRMTLHRFGNTSSSSLWYELAYVEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIRPAANADGPWATCIHRYPVDIPDVLKH >ORUFI02G33050.1 pep chromosome:OR_W1943:2:28577871:28582857:1 gene:ORUFI02G33050 transcript:ORUFI02G33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAEIVREIAAVGAADLAAAAEPLRADCLRLARKVSLLSHLVAEVAEAGAGGGDADAADSWLGDLVRALQAARRFVELGRAPARPSRASDQDAVCNNVAVQFKFVTWQLQTVLARLPQSCFQISDEVQEEVDLVRAQLRREMEKKGDIDVNIFSKFHDILALHVSTVGSQSEQSHGQPDTPQMENLCNGHLELQNIIMLVSEISGVPKSDAERITSQLIEGLENMRVTDSKKPVSVSQSSDETKASPETHKKSDAVAIPEDFRCPISLELMRDPVIVSTGQTYERAFIQRWIDCGNRTCPKTQLKLQNITLTPNYVLRSLILQWCEEKGIEPPTRSKNDGAYLEVGGERVAIETLVRNLSSSSLDERKSAAAEIRSLAKKSTDNRILLAESGAISALVKLLSSKDLKTQEHAVTALLNLSIYDQNKELIVVAGAIVPIIQVLRKGGMEARENAAAAIFSLSLIDDNKITIGSTPGAIEALVELLQSGSPRGRKDAATALFNLCIYQANKVRAVRAGILAPLIQMLQDSSRNGAIDEALTILSVLVSHHECKIAIAKAHAIPFLIDLLRSSQARNKENAAAILLALCKKDAENLACIGRLGAQIPLTELSKTGTDRAKRKATSLLEHLSKLQVL >ORUFI02G33060.1 pep chromosome:OR_W1943:2:28584660:28586996:1 gene:ORUFI02G33060 transcript:ORUFI02G33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGKRKGAKVMQLDGTSFRVKPPAVAADVLRDHPGFQLLESEEVKLLGARARPLAPDAPLRRGRLYFLVALPRRPAAGPPRRAWSGNLRVGARERLESLMLARRSTSDLSSFPTAQASASAPTSPLPGSACSGAATPVRLKMRLPRAQVEKLMGESKDASEAAAKIMELCAAAGAKSASVTPERPPGILRSPRFAATPEWGAGFMVPPPAPGAAKTPQRWPTLPRTKEKKARFVALPDELIA >ORUFI02G33070.1 pep chromosome:OR_W1943:2:28588663:28590653:1 gene:ORUFI02G33070 transcript:ORUFI02G33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAHGTHPRRERRGGNQAMPSARDTNMDLMEVLSPPAAARDHQKSQVHAAARALPHQSASVVVDGRMPPPAAVASLTLQVPGGAHDVTSLATSPRTMAVPGTTEQLTIFYSGSMVKFDNVPREKSSFDYDLAIQHWGRGDSLCVQAEEVIFFAAKKSPDAGHQHVPQQQQPAYPNKKKRIFCYQAPERDADGLFIHENKADACSQRQHRSPEDGYATIKETNPCSRQIQIVPRADVSLLVKNASLVSFLESRKQRLASAAYTRREKSPDEKDIFPTAFPRNKTPLGNTERHSAFTNLKNINGNHDEEALDTELKI >ORUFI02G33070.2 pep chromosome:OR_W1943:2:28588650:28590653:1 gene:ORUFI02G33070 transcript:ORUFI02G33070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAVASLTLQVPGGAHDVTSLATSPRTMAVPGTTEQLTIFYSGSMVKFDNVPREKSSFDYDLAIQHWGRGDSLCVQAEEVIFFAAKKSPDAGHQHVPQQQQPAYPNKKKRIFCYQAPERDADGLFIHENKADACSQRQHRSPEDGYATIKETNPCSRQIQIVPRADVSLLVKNASLVSFLESRKQRLASAAYTRREKSPDEKDIFPTAFPRNKTPLGNTERHSAFTNLKNINGNHDEEALDTELKI >ORUFI02G33080.1 pep chromosome:OR_W1943:2:28589478:28596693:-1 gene:ORUFI02G33080 transcript:ORUFI02G33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNAVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSIPIAKSELHDLLTKQSLAGIPLLVLGNKIDKSEAVSKQALVDQLGLELIKDREVCCYMISCKDSVNIDVVIDWLIKHSRTAKLLLLGNMLVSCVWRLLCSEEYNLLSLHA >ORUFI02G33090.1 pep chromosome:OR_W1943:2:28599761:28600755:-1 gene:ORUFI02G33090 transcript:ORUFI02G33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCEKVGLKKGPWTADEDQKLVTFLLSNGHCCWRLVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEEKLVIDLHEQLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLKKMGLDPVTHRPVMSLAQPDPLKQQQQQQEPSVSGGTGADDKEEEEETPTSAQPQGVACAASSASAVSSSCSSSASASAATPGADVDWPGLFEVDAILDIDWAGLLSACGDDGGCSAIGVDMLFDQCSDVGFDQDVWM >ORUFI02G33100.1 pep chromosome:OR_W1943:2:28602349:28613367:-1 gene:ORUFI02G33100 transcript:ORUFI02G33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRLHIPPSVDLFPFPQTARAHQVRSATLLNPRSEQAHRTTPVAGDGSGASAMDGAHGQRQPMSPAISASAVLPQQRQMQLHHHHHHPARSSIADLFTLYLGMNSKQRVEDPTRETSNKLQKRVTAMNRDLPPRDEQFISDFEQLHMQFPDQEQLQAVTESVLISFVLQCSSHAPQSEFLLFATRCLCARGHLRWDSLLPSLLNVVSSVEVPMGQGVSVTTGGPATSSSSAIAVPNAPSFHPSNPTSPLSAMNTIGSPTQSGIDQPIGANVSPIKGAEFSSPGQLGLTARGDQSRRGAEISYLHHLSCRIILAGLESDLKPATHAVIFQHMVNWLVNWDQRPHGVDQADALQLQTLRLERPLHEWMHLCLDVIWILVNEDKCRVPFYELVRSNLQFLENIPDDEALVSIIMEIHRRRDMVCMHMQMLDQHLHCPTFATHRFLSQSYPSIAGESVANLRYSPITYPSVLGEPLHGEDLANSIPKGGLDWERALRCLRHALRTTPSPDWWRRVLLVAPCYRQHPQQSSTPGAVFSPDMIGEAVADRTIELLRLTNSETQCWQDWLLFADIFFFLMKSGCIDFLDFVDKLASRVTNSDQQILRSNHVTWLLAQIIRIEIVMNTLSSDPRKVETTRKIISFHKEDKSLDPNNISPQSILLDFISSSQTLRIWSFNTSIREHLNSDQLQKGKQIDEWWRQMTKASGERMIDFTSLDERAMGMFWVLSFTMAQPACEAVMNWFTSVGVADLIQGPNLQPNERMTMMRETYPLSMSLLSGLSINLCLKLAFQLEETIFLGQNVPSIAIVETYVRLLLITPHSLFRPHFTTLTQRSPSILSKSGVSLLLLEILNYRLLPLYRYHGKSKALMYDVTKIISMIKVKRGEHRLFRLAENLCMNLILSLRDFFLVKKELKGPTEFTETLNRITIISLAITMKTRGIAEVEHIIHLQPLLEQIMATSQHTWSEKTLRYFPPLIRDFLMGRMDKRGQAIQAWQQAETTVINQCNQLLSPSAEPTYVMTYLSHSFPQHRQYLCAGAWMLMNGHLEINSANLARVLREFSPEEVTANIYTMVDVLLHHIQLELQRGHQIQDLLSKAITNLAFFIWTHELLPLDILLLALIDRDDDPYALRLVINLLERPELQQRIKAFCTSRSPEHWLKNQPPKRVELQKALGNHLSGKERYPPFFDDIAARLLLVIPLIIYRLIENDATDIADRVLAVYSTFLAFHPLRFTFVRDILAYFYGHLPSKLIVRILNVLGVSTKTPFSESFAQYLASSNSSICPPPEYFANLLFGLVNNVIPPLSCKSKSNPSDAAGSTARTTYNKPYTSSAGGISNSDGQRAFYQNQDPGSYTQLVLETAAIEILSLCVPASQIVSSLVQIIAHVQAMLIQSNSGHGMSGGLGQNSGVPTSSGGGVEPVGANRPNTTASGINASNFVSRSGYSCQQLSVLMIQACGLLLAQLPPEFHTLLYAEAARIIKDCWWLADSSRPVKELDSAVGYALLDPTWASQDNTSTAIGNIVALLHSFFSNLPHEWLESTHTVIKHLRPVNSVAMLRIAFRILGPLLPRLAFARPLFMKTLALLFNVLGDVFGKNSQASPPVEASEIADIIDFLHHAVMYEGQGGPVQSTSKPKLEILTLCGKVMEILRPDVQHLLSHLKTDPNSSVYAATHPKLVQNPS >ORUFI02G33110.1 pep chromosome:OR_W1943:2:28614358:28615980:-1 gene:ORUFI02G33110 transcript:ORUFI02G33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALAAVVAIAVLAQSAAAAAAPPPAKGPVTYVFGDSMSDVGNNNYFPLSLAKSNYPWYGIDYPNGVATGRFTNGRTIGDYMADKFGVPSPPPFLSLSMVDDDVLGGVNFASGGAGILNETGVYFVQYFSFDQQISCFEMVKKAMIAKIGKEAAEVAVNAALFQIGLGSNDYINNFLQPFMADGQTYTHDTLYGLGARKVVFNSLPPLGCIPSQRVHSGNGKCLDHVNGYAVEFNAAAKKLLDGMNAKLPGARMALADCYSVVMELIVHPEKHGFTTAHTSCCNVDTTVGGLCLPNSRPCSDRKAFVFWDAYHTSDAANRVIADLLWDAMPSAGSGGAATTPLAASPAPSPSRAP >ORUFI02G33110.2 pep chromosome:OR_W1943:2:28614358:28615980:-1 gene:ORUFI02G33110 transcript:ORUFI02G33110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALAAVVAIAVLAQSAAAAAAPPPAKGPVTYVFGDSMSDVGNNNYFPLSLAKSNYPWYGIDYPNGVATGRFTNGRTIGDYMADKFGVPSPPPFLSLSMVDDDVLGGVNFASGGAGILNETGVYFVQYFSFDQQISCFEMVKKAMIAKIGKEAAEVARLYGLGARKVVFNSLPPLGCIPSQRVHSGNGKCLDHVNGYAVEFNAAAKKLLDGMNAKLPGARMALADCYSVVMELIVHPEKHGFTTAHTSCCNVDTTVGGLCLPNSRPCSDRKAFVFWDAYHTSDAANRVIADLLWDAMPSAGSGGAATTPLAASPAPSPSRAP >ORUFI02G33120.1 pep chromosome:OR_W1943:2:28617286:28621766:1 gene:ORUFI02G33120 transcript:ORUFI02G33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGALAMMPSTSSPYPLLAPPTCSSRLPPLRCFVGLRWSAPRIQVREYPDAVAGIAKGAGGRGGRFRAPASSISQPCSRTIIITNEHVQNADFPPNYSKREKKPFPIPVLELRRRAKERAKKAEGKPKRSLPPPKNGMLIKRLIPVAYKVYNARILLINNLKRLMKVIPVKGCKHCSEIHVGSVGHPFRTCKGMSSDKRRGQHDWGGTLVEAVFVPVEAYHLEDRLGKRIPHDQRFAVPRIPALVELCIQAGVNLPEYPTKRRRKPIIKIGKNEFVDANEDDLPDPEPYKLEHPILEELNDNEIIAPASPEEIVALAEETLEAWEVVRDGALKLMKGYAVRVCGYCPEVHIGASGHKARNCGAFKHQQRNGQHGWQAAVLDDLIPPRYVWHLPESGEDLQRDLKSFYGQAPAIVEICVQAGAKVPEKYKATMRLDIGIPTSLREAEMVV >ORUFI02G33130.1 pep chromosome:OR_W1943:2:28618399:28623141:-1 gene:ORUFI02G33130 transcript:ORUFI02G33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQEEGGLQAAGRRGGVVRALLGLGAIAEGAAAAEGGVPRKAGAGDGGGEERKAVVRVVAADMPPALQRHAFRCARDELAGMPRSPRRLEPKRLALALKKEFDTAYGPAWHCIVGTSFGSYVTHARGGFLYFSVDKVYILLFRTAVEPQPHCEDQTSKVGRYLKQVL >ORUFI02G33140.1 pep chromosome:OR_W1943:2:28625567:28626460:1 gene:ORUFI02G33140 transcript:ORUFI02G33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITCSRRRSLLQSSSRIFSSSRRSQLANALDAGADRCTSPSAAAAALALRRPCFLALVVGPSSLDPQEVGKRRSSQQQTAVLCTRDGAAATTGQSREEREMESR >ORUFI02G33150.1 pep chromosome:OR_W1943:2:28626695:28630054:1 gene:ORUFI02G33150 transcript:ORUFI02G33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGKEALRVFPRVYASTPPPRLGTILRICSCEFLRVSAIAAPLCSPFPSPPCHLDLAPRRPLPRHCLKRWRRHAATNTRRPWVHAAPSRTRDAVPRIASAPRGEPATVANSLRSLAGRPAAVRLSATPPVRHSDEAATPPLLACRCASPVAAVSAPTLPLLARINLCSNSRYPSRRYRLASHRPPIPGAREVVACSTAGSSSAPVPPSAFALRFRPSPAVQITNVVTSITRSNARGH >ORUFI02G33160.1 pep chromosome:OR_W1943:2:28632840:28636654:1 gene:ORUFI02G33160 transcript:ORUFI02G33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASLRVEAVAVVAAAVLVLLLSPAAVVVVAGQHDYGDALHKSILFFEGQRSGRLPPDQRLRWRRDSGLHDGAAAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGPHKEEARKAVRWATDYLMKATAKPNTVYVQVGDAFRDHSCWERPEDMDTPRTVYKVDPSHPGSDVAAETAAALAAGSIVFRDADPAYSKRLLDRAIAVFEFADKYRGPYSSSLHDAVCPCYCDFSGYKDELLWGAAWLHKASRRREYREYIKKNEVVLGASESINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRVNADNFMCSLLPGISNHPQIQYSPGGLLFKVGGSNMQHVTSLSFLLLAYSNYLSHAGARVSCGAGGSASPTQLRRVAKRQVDYILGDNPLRMSYMVGYGARFPRRIHHRGSSLPSVAAHPARIGCKGGAAYYASAAPNPNLLVGAVVGGPSDATDAFPDARAVFQQSEPTTYINAPLMGLLAYFSAHPNPAEWADD >ORUFI02G33170.1 pep chromosome:OR_W1943:2:28637027:28637678:-1 gene:ORUFI02G33170 transcript:ORUFI02G33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDASMIPTSSSAETSPSSSDVDTESTGSSFFRDRSTTLGTLMGVSFDDDEEQQQQQGEAARDGGEESERPRAAAAEEEEDGRRWRRRWRRRRWRGAGGSWWRLCRDDAGGTTSLGHFLHMERQLAGTGLLSGDGVEERKSSTPLFDNGRALPAREERAKWQLRRSAQATSSSLVRLPVLLTAICSGGA >ORUFI02G33180.1 pep chromosome:OR_W1943:2:28639734:28640258:-1 gene:ORUFI02G33180 transcript:ORUFI02G33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVVSAAASCGRLRRSRSRSPPPAVLDPSQSPLSLEREAEPELIRVFRCFDTDGDGLISAAEMREFYGCSVDEAEEMVAAADRDGDGFVSIEELRAVMEGGGLDALRAAFDEYDEDGNGVITAEELRRALCRLNLDGMDLTAEQCAEIVAAVDSDGDGVISFDEFKAMMSKQA >ORUFI02G33190.1 pep chromosome:OR_W1943:2:28644323:28644574:-1 gene:ORUFI02G33190 transcript:ORUFI02G33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAEGVASCPSTAITASSAAAPTLPPPPAAAIDARNLPRRRPPHPQMQPPPPLVNHRGAAGCLPARSRRGFAGLFLHRQRG >ORUFI02G33200.1 pep chromosome:OR_W1943:2:28644474:28645162:1 gene:ORUFI02G33200 transcript:ORUFI02G33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGSVGAAALEAVMAVEGQEATPSAEGGMRGAGVVEARLAARPRARLCHCLRGMRSSYESMTVDGLSRFKSFHTLVFGEAFVFLGALSSCGGQHALRLFLLMKSELLADGVRRCSTTMTCCSLFYGVGASRVKEVAPWWLG >ORUFI02G33210.1 pep chromosome:OR_W1943:2:28645481:28647307:-1 gene:ORUFI02G33210 transcript:ORUFI02G33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLSCGCLCRSRSRSRSLSPPPPSDRLDVHPSFWKWETEPERGRVFRCFDTDGDGRHLSAAEIREFYGCGKAKETVAAADRQNGDGFLSIEELSAVMEDGDSEALHAVFDEYDEDSIEELRAVMEDGDSEALQAYDEDGDGVVTAEELRRAMHRLGGVDLTAEECAEIVAAADSDGDGVISFDEFKAMMAKYAETASSPSTSSKEN >ORUFI02G33220.1 pep chromosome:OR_W1943:2:28649084:28652933:1 gene:ORUFI02G33220 transcript:ORUFI02G33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGATPATARKALLTTTATLLSSSLARSRRSLSCSAAAASAAPRIAPQPPDLLRWVQREGGFVHPALRVVDHPEHGLGVSAAAAEGDIPPGDVLIALPGRLPLRLRRPAGAADAVLVQLADQVPEELWAMRLGLRLLQERAKSDSFWWPYIANLPETFTVPIFFPGEDIKNLQYAPLLHQVNKRCRFLLEFEKEVKHKLGTVPLEDHPFCGQDVNSSSLGWAMSAASTRAFRLHGEIPMLLPLIDMCNHSFNPNARIVQEGNVDSPDMSVVAETKIDQNAAVTLNYGCYPNDFFLLDYGFVITSNSYDQVELSYDGTLLDAASMAAGVSSPNFSAPAKWQQDILSQLNLYGEGAILKVSIGGPEIVDGRLLAALRVIIAADPDAVSGHDLKTLMSLKEKAPLGPAVEASALRTVLALCTFALQHFHTKIMEDEAILKGEPPLTTELAVQFRLQKKLLLLDVIQNLSRRIKMLALDKSTV >ORUFI02G33220.2 pep chromosome:OR_W1943:2:28649184:28652933:1 gene:ORUFI02G33220 transcript:ORUFI02G33220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGATPATARKALLTTTATLLSSSLARSRRSLSCSAAAASAAPRIAPQPPDLLRWVQREGGFVHPALRVVDHPEHGLGVSAAAAEGDIPPGDVLIALPGRLPLRLRRPAGAADAVLVQLADQVNKRCRFLLEFEKEVKHKLGTVPLEDHPFCGQDVNSSSLGWAMSAASTRAFRLHGEIPMLLPLIDMCNHSFNPNARIVQEGNVDSPDMSVVAETKIDQNAAVTLNYGCYPNDFFLLDYGFVITSNSYDQVELSYDGTLLDAASMAAGVSSPNFSAPAKWQQDILSQLNLYGEGAILKVSIGGPEIVDGRLLAALRVIIAADPDAVSGHDLKTLMSLKEKAPLGPAVEASALRTVLALCTFALQHFHTKIMEDEAILKGEPPLTTELAVQFRLQKKLLLLDVIQNLSRRIKMLALDKSTV >ORUFI02G33230.1 pep chromosome:OR_W1943:2:28652251:28652493:-1 gene:ORUFI02G33230 transcript:ORUFI02G33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGRDVDAGQQQRRLVVVHSQVRRIKQEEGEKVKVDETYQHQVSEMRRMVVLRDMEARQRSRSPLGRAARPAISIGGDS >ORUFI02G33240.1 pep chromosome:OR_W1943:2:28676096:28678379:1 gene:ORUFI02G33240 transcript:ORUFI02G33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKHHPLASPILTLTTTLLSLSNNQRFDGRAGGEAGAGAAAVHERRGPHRGGLHPVPGAQRRRDGGAGDHVAEGARHDVPQGRVGARRVHGRGRPPRGARGGRRPRRHRDVPRLLVLQEPPLRHHLRGLHVPSPRHRRAPPVARDVLPQAHLGHGAAGDGWMPARLDA >ORUFI02G33250.1 pep chromosome:OR_W1943:2:28682308:28684157:1 gene:ORUFI02G33250 transcript:ORUFI02G33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRANREPKDYKGWVGASTEWETTFKLGKDKDGFLRKDTVRTVYDGSFFSKVASKKKGPSANQA >ORUFI02G33260.1 pep chromosome:OR_W1943:2:28688507:28690221:1 gene:ORUFI02G33260 transcript:ORUFI02G33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGLRTLGFGIFGATVSATFINGDIGPKTRPNIHKGIHGSDTGAFDSEGRHAKTVPDGLTSDELDEMLHAYADHTEHKDSSGWLQAATEWRATFEAAKDKDDILRKDTVRAVYDGSFFSKLAKENDD >ORUFI02G33270.1 pep chromosome:OR_W1943:2:28691589:28704738:1 gene:ORUFI02G33270 transcript:ORUFI02G33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFESRNSRRSVTMDARRRRLSPVVVVAAFLFFPLFFGSQPAAAYGEASGAGGMTALQKHAAFFDKDNDGFISPTETYDGLRALGLGAGFSSLSAALINGVLSLKTRPRGYLDTEATRRRDSRDSGTETAERVRSSQRARQGREETAGDARAMDARRRRLSPAVAVAAALLFFPMFFGSHTPAAAAAYGEASGDAGVTALQKHAAFFDKDGDGIVSLSETYDGLRALGLGSGLSSLSAAFINGVLSPKTRPDNGTAPRLSIYIENIYKGIHGSDSSAYDSEGRFVAEKFEEIFAKHAKTVPDALTSDEIDELLQANRKPGDYTGWVAASSEWKILYKIGKDKDGLLRKEAVREVYDGSLFTKLAAARINDENQA >ORUFI02G33270.2 pep chromosome:OR_W1943:2:28691589:28692408:1 gene:ORUFI02G33270 transcript:ORUFI02G33270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFESRNSRRSVTMDARRRRLSPVVVVAAFLFFPLFFGSQPAAAYGEASGAGGMTALQKHAAFFDKDNDGFISPTETYDGLRALGLGAGFSSLSAALINGVLSLKTRPVPH >ORUFI02G33280.1 pep chromosome:OR_W1943:2:28707385:28707783:1 gene:ORUFI02G33280 transcript:ORUFI02G33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAGAEAIMLLLLTLPGLDGLRRGMISVVRSALKPMMSVVPFCLFLLMDIYWKYETRPTCDDEHHCTPSEHLRHQKSIMKSQRNALLIAAALLLYWILFSVTSLVVRLDQLQQRVDKLKKRDD >ORUFI02G33290.1 pep chromosome:OR_W1943:2:28710344:28713315:1 gene:ORUFI02G33290 transcript:ORUFI02G33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPLEIDAFSPSTPSPHPALERSTTPPHAARWYKRPLPCAAAAASVVASAAVWLFGHQTNASPRPNPSLTYCSEAFLARSALPESHPDTVRVRRVAANIVSTTLEDAVFGQRRLSERIGHRFVPGINWRVHVINNDKSLAGCLESGEILVFTGFLNALLNKPNAYCVLPCDHRRTELEADRIGLMLQAAAGYDPRANPNFWEALMKFGNGEGTTHPPLQRRAAEVRQEKVMGEALEVFREAVQRHVHA >ORUFI02G33300.1 pep chromosome:OR_W1943:2:28718184:28722389:1 gene:ORUFI02G33300 transcript:ORUFI02G33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLKNSRSVLSRLLRHKPTGCPQLPPSPPLPQAPTPGYYFTSPSRPEAVRFGRVLLRSPPPPPRPAQAPPSRYFYTSPQRQKVVHFNRRRGSRWYHDPRKLTTVVVVSGGAAAAVYFGNLETVPYTNRTHLILLSPPLERQLGESQFNNLKKELGPKILPPLHPDSIRVRLIASEVVRAVHRGLAGRHHDAFAADDASYGDISTDVVIKNHEAGAEDVMLGRSRGNKNASVAAAAQRDEEVLDDRWVTESRDRGKARGAQPETRHLDGLNWEVIVVRDDLINAMCLPGGKIVVFTGLLNHFKTDAEIATVLGHEVGHAIARHAAEMITKNLWFWILQIVIMQFIYMPDMINAMSTLLLKLPFSRRMEIEADHIGLLVLGAAGYDPRVAPSVYEKLGKIAGDSTLSNYLSTHPSSKKRAQLLRQAKVMDEALRLYREVSSGQGTEGFL >ORUFI02G33300.2 pep chromosome:OR_W1943:2:28718491:28722389:1 gene:ORUFI02G33300 transcript:ORUFI02G33300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLKNSRSVLSRLLRHKPTGCPQLPPSPPLPQAPTPGYYFTSPSRPEAVRFGRVLLRSPPPPPRPAQAPPSRYFYTSPQRQKVVHFNRRRGSRWYHDPRKLTTVVVVSGGAAAAVYFGNLETVPYTNRTHLILLSPPLERQLGESQFNNLKKELGPKILPPLHPDSIRVRLIASEVVRAVHRGLAGRHHDAFAADDASYGDISTDVVIKNHEAGAEDVMLGRSRGNKNASVAAAAQRDEEVLDDRWVTESRDRGKARGAQPETRHLDGLNWEVIVVRDDLINAMCLPGGKIVVFTGLLNHFKTDAEIATVLGHEVDLGFPGSCVILVGHAIARHAAEMITKNLWFWILQIVIMQFIYMPDMINAMSTLLLKLPFSRRMEIEADHIGLLVLGAAGYDPRVAPSVYEKLGKIAGDSTLSNYLSTHPSSKKRAQLLRQAKVMDEALRLYREVSSGQGTEGFL >ORUFI02G33310.1 pep chromosome:OR_W1943:2:28724461:28727814:-1 gene:ORUFI02G33310 transcript:ORUFI02G33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTDLVNLNLSDTTEKIIAEYIWIGGSGMDLRSKARTLSGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRKGNNILVMCDCYTPAGEPIPTNKRHNAAKIFSSPEVASEEPWYGIEQEYTLLQKDINWPLGWPVGGFPGPQGPYYCGIGADKSFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDQVWVARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRNDGGYEIIKSAIEKLKLRHKEHISAYGEGNERRLTGRHETADINTFSWGVANRGASVRVGRETEQNGKGYFEDRRPASNMDPYVVTSMIAETTIIWKP >ORUFI02G33320.1 pep chromosome:OR_W1943:2:28734581:28735432:1 gene:ORUFI02G33320 transcript:ORUFI02G33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAVVDDDLRELIDELMNTGPEDEADDRDFEESMATVLSMVIDYLDDPDPPSPELADWAAAAESGAQKVADGLASRVENLRRGLSVFAGTGRPEEAVLRKHAAWTDARRAEAAGIASAARRLREKDLRSLAARGGLVNPRMAELIASVSDAMESDVRHGHVPSPAQLAEVERLEGRVAVASVRAILLKVKLTRGAAAFAGRPGEEALAQALRGHAAAAEAQLAAARAFAGALRRFRALSADANSNPTATRVHKRPSPETEDDLAAPPPRQRRRTLDSGVQD >ORUFI02G33330.1 pep chromosome:OR_W1943:2:28741349:28744679:1 gene:ORUFI02G33330 transcript:ORUFI02G33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPLSHQALFAAVRSADAAAVAGLLADAGASGPTTQALAAAQTDAGETALYVAAEAGSEEIVRLLIPLYDLEAATVRSRLDLDAFHVAAKQGHTGAVKEFLGRWPELCSICDSSNTSPLYSAAVKDHLDVVNAILDTDDSCIRIVRKNGKTSLHTAARIGYHRIVKALIERDPGIVPIRDRKGQTALHMAVKGKNTDVVEELLMADVSILNVRDKKANTALHIATRKWRPQMVQLLLSYEALEVNAINNQNETAMDLAEKVPYGESKMEIIEWLTEAGAKNARNVGKIDEASELRRTVSDIKHNVQAQLNENAKTNKRVTGIAKELRKLHREAVQNTINSVTMVATLIASIAFVAIFNLPGQYYVDRDSGGDIGEAHIANLTGFRVFCLLNATALFISLAVVVVQITLVAWETGAQKRVIKIVNKLMWSACLSTCAAFISLAYVVVGPQNAWMAFTISAIGGPIMIGTLLFLAYLLLRPRFKFGEDRQRRIKRASGSKSFSWSIHEGISDLEAFSDHEKRIYAL >ORUFI02G33340.1 pep chromosome:OR_W1943:2:28745361:28747425:1 gene:ORUFI02G33340 transcript:ORUFI02G33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCRLLHPYPQPLPLPPPPPTSTPRPTHLQWGALRRRRRRHHFLRCVAASAATLQKELTVPRTPTAAQSPGPVNPPTLFDRMPERSVATVSAADNLLDEMSRTCGAGQRGRPLEAPPRDGGGKSASAAIVALAHAGRHAEVVELFCRMRRGGVPVSRFVLPSVLAACAGLRDIGMLRAVHALVIKCGLCQHVIVGTALVDGYTDFGLVDDARKAFDEITDANIVSWSVLIGGYARSSRWEETLDAFSAMRRAGVLPNDSVLVMAIQACGALGRLVHGKQLHGLAVVLGFDRNATVWNCLMDMYGKCGDIDSCKMVFETMIGRDQVSWNTLISSYARVGLCEEALDMIVQMQESGYIVDRFTLGSGVTACARLADIDSGRAFHGYLVRRLLDTDVIQGSALVDMYGKCHNMELAHIVFDRMDERNYVSWDALLSGYVENEQVDLALEIFRQMGCANIKYNQHNFANLLKLCGSQRYKEYGRQIHGHAIKTINKMNVVLETELIDMYAKCGCIEVARLLFLRMNERNLISWNALLSGYAADGQPVATINIYRQMELACIRPDKYTLAGLLSLCRYQGLLHYGRQIHAHLIKMGSEMNVVMQTILVHMYIKCMRQQDAENVCIMIEERNSYVLDAFSKVYGDDYLI >ORUFI02G33350.1 pep chromosome:OR_W1943:2:28748646:28750710:-1 gene:ORUFI02G33350 transcript:ORUFI02G33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAASSPSPEQPLLRPSAARAGSGSGNLSSSPPPPAARPSRLAALIGRAAGRRGPSMLVRETAAMQLERRRADWAHSRPVVALDIAWNVAFAAAAAAVLVASTEESPVTPLRLWLVGYALQCLVHVGLVCSDSRRRPAHARSSDVESADGDAAGAGTDSSDSDDDDDEGREQRSSFAKRCESINTMVSFLWWIIGFYWVVSGGDVLEQDAPRLYWYCGPKPVPITDYFMIVQSNVHMLSVVFLAFDVFFAVFCVAMACFIGIALCCCLPCVIAILYALAGQEGASDADIGFLPRYRYSDPSEDGQKGTDEGVMIPVLNNSGTSTSERILLHEDAECCICLSSYEDGAELSALPCNHHFHWTCITKWLRMHATCPLCKYNILKGSESA >ORUFI02G33360.1 pep chromosome:OR_W1943:2:28751325:28751735:-1 gene:ORUFI02G33360 transcript:ORUFI02G33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAKAAVVAEEEEEATVGAQPPLPARSPGKGTTLLDVYEVEWITRELERLLVRESGCRGGGGGGRAGGDGRRRRKGTTTKAAAGSYTRPATDKGGFLTELLGRHAVSVCGDTAAVVSGGRARRGRGSFREVEKV >ORUFI02G33370.1 pep chromosome:OR_W1943:2:28760286:28769970:1 gene:ORUFI02G33370 transcript:ORUFI02G33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGEEGSASALRGSARRRGAVQPAGLDADELLTLMHGSDPVKVELNRLENEVRDKDRELGDAHAEIKALRLSERAREKAVEELTAEYEKLDEKLKLTESLLESKNLELKKTNDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKQKEAALLEAERTVEIALAKAAMVDDMQNKNQELMKQIEICQEENKILDRLHRQKVAEVEKLSQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKILDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSSRPTEGKSIGNGPSRRLSLGGADNMSKISPNGMLARRSPSFNSRSSLSTSSSLVIKHAKGTSRSFDGGTRSLDRGKVLGNGPHLLNRSTDAVRDCETTDDWKAANTEEKGSEATNSSSTDTVSGVLYDMLQKEVISLRKACHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRLDKDQENKAKRPGNFKGPGTTSQAPHGRNAPRGGLTRNLQ >ORUFI02G33380.1 pep chromosome:OR_W1943:2:28772748:28780884:1 gene:ORUFI02G33380 transcript:ORUFI02G33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKTLQVSGFALDDSADYVKDLLERIVGCGNVYAVKLRHPKNVTATSRAYAIVQFQTEEHASLVKNAAQRKILRRGHYYLKVHPSDRDIVPRPRVSMFKLEDVTLHFGCLLKETILSALWSRKGVSVEFGFNLKKIYFYLQLPNSSIEYKLELSHESIWEIQLQRPPKSQTKFLLIQVQAAPKIYEQTPRRSGVMYEDPLFNYFRDHTDDQWTRTTDFTSSSSIGQSYILCLEVPRRCDLPNIRDYFFYYHEYNHDFECRSGGYPYSSDTRFVPIVKSRGYVPYEILFKINHLVQNGTLSGPTVDDSFFRLVSPAFVPIDHIKRALEMMSYLKKTCLNPTSWLSEQYSKFRRSRYVQPSPNISLDDGLVYVYRVQVTPAKVYFYGPEINVSNRVVRNFSSDIENFLRISFVDEDCEKLRATDLSPRSASGHDANRTALYKRVLSVLSDGITIGGKNFEFLAFSSSQLRDNSAWMFASRQGLAASDIRTWMGDFRNIRNVAKYAARLGQSFSSSTETLKVQKYEVEEISDIKNGTQHVFSDGIGKISSAFANEVAMKCNLKRFAPSAFQIRYGGYKGVVAVDPTSRWKLSLRKSMLKFQSDNITVDVLAYSKYQPGFLNRQLITLLSTLGVRDSVFEQKQEEAVNQLNKMVTDPQAAIEAIELMPMGEITNAVKELLLCGYQPDDEPYLSMLLQTFRASKLLELKTKSRILIPKGRAMMGCLDETRTLKYGQVFIRATSGVNDNDRFTVTGKVVIAKNPCLHPGDIRILHAVDVLVLHHMPHPNECSGSDLDGDIFFVSWDPSLIPPRMVTPMDYTPAPTETLDHDVTIEEVEEYFTNYIVNESLGMIANAHVVFADKEDLKAESSPCIELAKLFSIAVDFPKTGVPALIPPELHVKEYPDFMEKLDKVTYESKGVIGKLYREIKKHTPHIKHFTREVARRSYDTDMIVDGYEDYITEAMALKDEYDFKLGNLMDHYGIKSEAEIISGCILKMAKNFTKKSDADAIRLAVRSLRKEARSRFSEMSLDDNGHGHDASEAKASAWYHVTYHPEFWGCYNEGYERPHFISFPWCIYEKLLRIKQRRKFVRKMQPELFSLHNLRI >ORUFI02G33390.1 pep chromosome:OR_W1943:2:28780452:28787097:-1 gene:ORUFI02G33390 transcript:ORUFI02G33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAEKAVRCLGRGFDMAGDLRLKYCKGGGAGCLVERRGETTPLTVPGVGVIADVPADVRCDKGDRVRFKSDVLEFNKMSELFNQRSSVEGKIPSGQFNASFDLDSGSWAHDAPHTRCLAMDGYFISLFDLRLDHRHLALDAGVLADVPPAWDPSAIARFIEKYGTHVIVGLSMGGQDVVYVKQDKSSSLSPSEIKEHLDRLGDQLFTGTCAMPPLHCRSKDKFKIPEAFNVFDAQVAQQRLHGITTLVSSKEGVTVIYSKRGGNTTVSSHSEWLLTVPAMPDVINVKLVPITSLIRGVPGTGFLSHAINLYLRYKPPVADLRYFLDFQHHCVWAPVLGELPLGPCSHRQGSSPALHFSLLGSKLYVSSTEVVVPKLPVTGMRLHLEGKKNNRLGIHLQHLSTTPTFVAAARADKPPVWRGTEAVTDDRYYEPVQWRMLARVCTAPVKYDPRWCAGDRRRRPAACVVAGAQLHVVAHDAGNNVLHLRLLYSQLPGYAVVQSKWARGAARPPSGRSSSFLSIPFSGSPSTSGGAAEKGGRPEQGASPVGVANVNSGVFAGGPPVPVGAQKLLKFVDTSQVTMGPQDSPGYWLVTGARLDVDKGKIMLHVKFSLLAQVS >ORUFI02G33400.1 pep chromosome:OR_W1943:2:28788873:28792273:-1 gene:ORUFI02G33400 transcript:ORUFI02G33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTLRASPSTAAPLRRVPGRRHAAVSVRASAGAGEPDLSVRVNGLKMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLDAEKVINVTPRYARLRADPNGSTKSPIIGWQNIELISDRPLETMLNEFKQLKKEYPDRILIGSIMEEYNKAAWHELIERVEESGVDALEINFSCPHGMPERKMGAAVGQDCDLLEEVCGWINEKATVPVWAKMTPNITDITKPARISLKSGCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSARAVHPIALAKVMQIARMMKEEFADGQSLSAIGGVETGNDAAEFILLGADTVQVCTGVMMHGYGLVKKLCAELQDFMRQHNFSSIEDFRGASLPYFTTHTDLVHRQREAINQRKAIRKGLESDKDWTGDGFVKETESMVSN >ORUFI02G33410.1 pep chromosome:OR_W1943:2:28794145:28799412:-1 gene:ORUFI02G33410 transcript:ORUFI02G33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSTASLLAAVACVVLAVFPPVASGDAATLESVPDLVKAMYINVESFPCVRLLNHSGQVDPGHDKVIAPIVRFGNRNDQLVQPSAVLLPLNQMTDFFLRVSNDPELYHKIAGVLVEANGIDNMLEFSPDRKFPQQAFAPYSNLSHHWNPTGSGIMWNKYDFPVFLLSEESTQTLQNLADKNEKSANGYLANVAEFDLVMQTTKAGTHDSESCLREQSCLPLGGQSVWTSLPPISNSSTKHQKPIIMVTASQDSASFFRDRSLGADSPISGLIALLTAVDALSHLHDISNLKKQLVFAVFNGEAWGYLGSRKFLQELDQGADSVNGISSLLIDQVLEIGSIGKAISQGYPLFYAHAAGNSSISMKMVDALQSASESLGSDNVKVKPAASSNPGVPPSSLMSFLGKNSSTPGLVLEDFDSQFSNRFYHSTLDGPANINSSSIAAAAALIARSLYILASADLPIDLITLNTIKVNVSLVEELIGCLLKCDPGLSCGIVKSFISPSNSCPSHYVGVFQDLPAGTQFPSYADDISRFIWNFLADRTSSLAGNSSSCTGQCHDEGEICVGAEVEGGGRCVVSTTRYVPAYSTRLKFEDNVWHVLPVNSSDPFSAADPVWTESFWNTIGLRVYAVQATSYDWLVLLIGIIITAASYFAVIVGRSYISKIIKRD >ORUFI02G33420.1 pep chromosome:OR_W1943:2:28799533:28805862:1 gene:ORUFI02G33420 transcript:ORUFI02G33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGFYGPFSFGPLGVTNKPGPNWIPSTLRLGPSPPPPPLPSLPPPRAAGSATAAAAYRLLSAGATARSSELALEGGRSPISPRGPAPPACPLADAADVGEHQAYKDSNALILPCKRKNKGQGKDKDGKKIKEDPKMSKSKLKKLQKLEEEKQKKLLQAQSIEILRKHKISDDAYSLLHASGTIGQAETLKEKRRRAVQFSKAGLNVPEELSLFKKDGDQKASENSEAAEEVCLDKIVDSAKNEDPQRKCNNHINNVAMKPVECKLVIDVGLSDQEPKTEGSDGVPNISANQAIQSCVPSCSGEEILQDKEPGQEERTVQECFNPPIVVPVSRPHEVEKTRRDLPIIMMEQEIMEAIYENSVVILCGETGCGKTTQVPQFLYEAGFGTSNRSDRKGIIGITQPRRVAVLATARRVSYELGLKLGKEVGFQVRHDKMVGSKCSIKFMTDGILLREVQSDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKIRKSLYIEQQEKIRCGLSINPEDKISQLKVVLMSATLQLKDFISNRRLFDVIPPAIKVPVRQFPVTVHFSKSTHDDYLGQAYKKVMSIHKKLPQGGILVFVTGQREVDYLCKKLQRASKQQTDKKTEKVEGDENGSSQEVVEREISEAYDIDRDESEHQDDMFSQYDEDESNAGPSVDSSDIEMEPEMDTDSEDDDSVVYETTEEDGPVLAFLKGAEGSSGLKASFKAISRVSGEPESTDVPSNATILEESSHVPCTSKCTEPRSVSHGKLRVLPLYAMLPASQQLRVFQDIPDGERLVVVATNVAETSLTIPGIKYVVDTGKQKVKNYNHATGTSSYEIQWISKASASQRSGRAGRTGPGHCYRLYSAAAYGKDELFPEFSEPEIKNIPVDGVVLMLKFMNINKVENFPFPTPPDKESLVEAERCLKVLEALDSKGEPTSMGKAMAQYPMSPRHSRLLLTINEFCGESNQDNPDSEDKDRQERKRQKKLKAMIREAHTKFSNPSSDALSISRALQLFELSENPVEFCRVNSLHLKTMEEMSKLRKQLLRLIFHHSKFCEEFSWKFGVSEDVEEAWRHESDKKPMQLNEEELLGQGICAGWADRVAKRIRAFPGPSKDDKKVRAVHYQSCALNDTIYLHRSSSVARIAPEFVVYSELLHTKRSYMHGVTSVKPGWILKYASSLCTFSAPLEDPKPYYEPQKDQVYCYVFACALLKGDVLPCLKVIQKFLALSPSVLLGPVSQRRVGDLLDRMKIGSKLIDSRAALRDVWNVNPDFLYPEIKAWIQDKFHSQFGAIWEQMHKEVVLEGDELFPKRYKKVKETNTTE >ORUFI02G33420.2 pep chromosome:OR_W1943:2:28799533:28806120:1 gene:ORUFI02G33420 transcript:ORUFI02G33420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGFYGPFSFGPLGVTNKPGPNWIPSTLRLGPSPPPPPLPSLPPPRAAGSATAAAAYRLLSAGATARSSELALEGGRSPISPRGPAPPACPLADAADVGEHQAYKDSNALILPCKRKNKGQGKDKDGKKIKEDPKMSKSKLKKLQKLEEEKQKKLLQAQSIEILRKHKISDDAYSLLHASGTIGQAETLKEKRRRAVQFSKAGLNVPEELSLFKKDGDQKASENSEAAEEVCLDKIVDSAKNEDPQRKCNNHINNVAMKPVECKLVIDVGLSDQEPKTEGSDGVPNISANQAIQSCVPSCSGEEILQDKEPGQEERTVQECFNPPIVVPVSRPHEVEKTRRDLPIIMMEQEIMEAIYENSVVILCGETGCGKTTQVPQFLYEAGFGTSNRSDRKGIIGITQPRRVAVLATARRVSYELGLKLGKEVGFQVRHDKMVGSKCSIKFMTDGILLREVQSDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKIRKSLYIEQQEKIRCGLSINPEDKISQLKVVLMSATLQLKDFISNRRLFDVIPPAIKVPVRQFPVTVHFSKSTHDDYLGQAYKKVMSIHKKLPQGGILVFVTGQREVDYLCKKLQRASKQQTDKKTEKVEGDENGSSQEVVEREISEAYDIDRDESEHQDDMFSQYDEDESNAGPSVDSSDIEMEPEMDTDSEDDDSVVYETTEEDGPVLAFLKGAEGSSGLKASFKAISRVSGEPESTDVPSNATILEESSHVPCTSKCTEPRSVSHGKLRVLPLYAMLPASQQLRVFQDIPDGERLVVVATNVAETSLTIPGIKYVVDTGKQKVKNYNHATGTSSYEIQWISKASASQRSGRAGRTGPGHCYRLYSAAAYGKDELFPEFSEPEIKNIPVDGVVLMLKFMNINKVENFPFPTPPDKESLVEAERCLKVLEALDSKGEPTSMGKAMAQYPMSPRHSRLLLTIVKILNSQRCFSRPNFILGYAAAAASALSFTNPFLTQNEFCGESNQDNPDSEDKDRQERKRQKKLKAMIREAHTKFSNPSSDALSISRALQLFELSENPVEFCRVNSLHLKTMEEMSKLRKQLLRLIFHHSKFCEEFSWKFGVSEDVEEAWRHESDKKPMQLNEEELLGQGICAGWADRVAKRIRAFPGPSKDDKKVRAVHYQSCALNDTIYLHRSSSVARIAPEFVVYSELLHTKRSYMHGVTSVKPGWILKYASSLCTFSAPLEDPKPYYEPQKDQVYCYVSPIFSRHNWQLPLHSLPIQDGTNQLQVFACALLKGDVLPCLKVIQKFLALSPSVLLGPVSQRRVGDLLDRMKIGSKLIDSRAALRDVWNVNPDFLYPEIKAWIQDKFHSQFGAIWEQMHKEVVLEGDELFPKRYKKVKGNQF >ORUFI02G33420.3 pep chromosome:OR_W1943:2:28799533:28805862:1 gene:ORUFI02G33420 transcript:ORUFI02G33420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGFYGPFSFGPLGVTNKPGPNWIPSTLRLGPSPPPPPLPSLPPPRAAGSATAAAAYRLLSAGATARSSELALEGGRSPISPRGPAPPACPLADAADVGEHQAYKDSNALILPCKRKNKGQGKDKDGKKIKEDPKMSKSKLKKLQKLEEEKQKKLLQAQSIEILRKHKISDDAYSLLHASGTIGQAETLKEKRRRAVQFSKAGLNVPEELSLFKKDGDQKASENSEAAEEVCLDKIVDSAKNEDPQRKCNNHINNVAMKPVECKLVIDVGLSDQEPKTEGSDGVPNISANQAIQSCVPSCSGEEILQDKEPGQEERTVQECFNPPIVVPVSRPHEVEKTRRDLPIIMMEQEIMEAIYENSVVILCGETGCGKTTQVPQFLYEAGFGTSNRSDRKGIIGITQPRRVAVLATARRVSYELGLKLGKEVGFQVRHDKMVGSKCSIKFMTDGILLREVQSDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKIRKSLYIEQQEKIRCGLSINPEDKISQLKVVLMSATLQLKDFISNRRLFDVIPPAIKVPVRQFPVTVHFSKSTHDDYLGQAYKKVMSIHKKLPQGGILVFVTGQREVDYLCKKLQRASKQQTDKKTEKVEGDENGSSQEVVEREISEAYDIDRDESEHQDDMFSQYDEDESNAGPSVDSSDIEMEPEMDTDSEDDDSVVYETTEEDGPVLAFLKGAEGSSGLKASFKAISRVSGEPESTDVPSNATILEESSHVPCTSKCTEPRSVSHGKLRVLPLYAMLPASQQLRVFQDIPDGERLVVVATNVAETSLTIPGIKYVVDTGKQKVKNYNHATGTSSYEIQWISKASASQRSGRAGRTGPGHCYRLYSAAAYGKDELFPEFSEPEIKNIPVDGVVLMLKFMNINKVENFPFPTPPDKESLVEAERCLKVLEALDSKGEPTSMGKAMAQYPMSPRHSRLLLTIVKILNSQRCFSRPNFILGYAAAAASALSFTNPFLTQNEFCGESNQDNPDSEDKDRQERKRQKKLKAMIREAHTKFSNPSSDALSISRALQLFELSENPVEFCRVNSLHLKTMEEMSKLRKQLLRLIFHHSKFCEEFSWKFGVSEDVEEAWRHESDKKPMQLNEEELLGQGICAGWADRVAKRIRAFPGPSKDDKKVRAVHYQSCALNDTIYLHRSSSVARIAPEFVVYSELLHTKRSYMHGVTSVKPGWILKYASSLCTFSAPLEDPKPYYEPQKDQVYCYVFACALLKGDVLPCLKVIQKFLALSPSVLLGPVSQRRVGDLLDRMKIGSKLIDSRAALRDVWNVNPDFLYPEIKAWIQDKFHSQFGAIWEQMHKEVVLEGDELFPKRYKKVKETNTTE >ORUFI02G33420.4 pep chromosome:OR_W1943:2:28799533:28806977:1 gene:ORUFI02G33420 transcript:ORUFI02G33420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGFYGPFSFGPLGVTNKPGPNWIPSTLRLGPSPPPPPLPSLPPPRAAGSATAAAAYRLLSAGATARSSELALEGGRSPISPRGPAPPACPLADAADVGEHQAYKDSNALILPCKRKNKGQGKDKDGKKIKEDPKMSKSKLKKLQKLEEEKQKKLLQAQSIEILRKHKISDDAYSLLHASGTIGQAETLKEKRRRAVQFSKAGLNVPEELSLFKKDGDQKASENSEAAEEVCLDKIVDSAKNEDPQRKCNNHINNVAMKPVECKLVIDVGLSDQEPKTEGSDGVPNISANQAIQSCVPSCSGEEILQDKEPGQEERTVQECFNPPIVVPVSRPHEVEKTRRDLPIIMMEQEIMEAIYENSVVILCGETGCGKTTQVPQFLYEAGFGTSNRSDRKGIIGITQPRRVAVLATARRVSYELGLKLGKEVGFQVRHDKMVGSKCSIKFMTDGILLREVQSDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKIRKSLYIEQQEKIRCGLSINPEDKISQLKVVLMSATLQLKDFISNRRLFDVIPPAIKVPVRQFPVTVHFSKSTHDDYLGQAYKKVMSIHKKLPQGGILVFVTGQREVDYLCKKLQRASKQQTDKKTEKVEGDENGSSQEVVEREISEAYDIDRDESEHQDDMFSQYDEDESNAGPSVDSSDIEMEPEMDTDSEDDDSVVYETTEEDGPVLAFLKGAEGSSGLKASFKAISRVSGEPESTDVPSNATILEESSHVPCTSKCTEPRSVSHGKLRVLPLYAMLPASQQLRVFQDIPDGERLVVVATNVAETSLTIPGIKYVVDTGKQKVKNYNHATGTSSYEIQWISKASASQRSGRAGRTGPGHCYRLYSAAAYGKDELFPEFSEPEIKNIPVDGVVLMLKFMNINKVENFPFPTPPDKESLVEAERCLKVLEALDSKGEPTSMGKAMAQYPMSPRHSRLLLTIVKILNSQRCFSRPNFILGYAAAAASALSFTNPFLTQNEFCGESNQDNPDSEDKDRQERKRQKKLKAMIREAHTKFSNPSSDALSISRALQLFELSENPVEFCRVNSLHLKTMEEMSKLRKQLLRLIFHHSKFCEEFSWKFGVSEDVEEAWRHESDKKPMQLNEEELLGQGICAGWADRVAKRIRAFPGPSKDDKKVRAVHYQSCALNDTIYLHRSSSVARIAPEFVVYSELLHTKRSYMHGVTSVKPGWILKYASSLCTFSAPLEDPKPYYEPQKDQVYCYVFACALLKGDVLPCLKVIQKFLALSPSVLLGPVSQRRVGDLLDRMKIGSKLIDSRAALRDVWNVNPDFLYPEIKAWIQDKFHSQFGAIWEQMHKEVVLEGDELFPKRYKKRSSEGKVGEDGGNWSGSGARWRQRDSVSEMMSARLRLNFFWGWFPQVEGNQWPTAMWRHGRGKSSTGPSDLRLNRSGRRLSAALAIKSIIFSSSSCTVGKEASAGAVCVGGLVGENRIQ >ORUFI02G33430.1 pep chromosome:OR_W1943:2:28804793:28806535:-1 gene:ORUFI02G33430 transcript:ORUFI02G33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLFVFLLPQQGGGGAANGGGRVRPAAPPVDDGGEQGKSADDFHRNTQFFIFSQCQYVMAALIPVGELGEAIIYFSGFQDLGTAVLSLSGCCSGEGKIRNNFPHRLHYIGSDQEYYSDAETNEIPVYINIYTSQSFLISLYYYPIDKENLD >ORUFI02G33430.2 pep chromosome:OR_W1943:2:28804051:28806535:-1 gene:ORUFI02G33430 transcript:ORUFI02G33430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLFVFLLPQQGGGGAANGGGRVRPAAPPVDDGGEQGKSADDFHRNTQFFIFRLMPPGLFYIFRNPKFPRKFFTKFAMVKY >ORUFI02G33440.1 pep chromosome:OR_W1943:2:28819647:28819877:1 gene:ORUFI02G33440 transcript:ORUFI02G33440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLIRKLVLSLIVMVMVFLVVSGTAAARPLVGQEWTGEDTAGDDSVVVRFLRQLYLHKLAGPGHSCKTYSPNGGC >ORUFI02G33450.1 pep chromosome:OR_W1943:2:28823675:28824481:1 gene:ORUFI02G33450 transcript:ORUFI02G33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRFVLPLMMAVLMLLVVSGSARPLGGDKWVGAATSGDHPLIQFLKQLYLQQLPSRPSCTSNDPNIPPPPDTLPQENVNGIG >ORUFI02G33460.1 pep chromosome:OR_W1943:2:28827434:28827664:1 gene:ORUFI02G33460 transcript:ORUFI02G33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLIRKLVLSLIVMVMVFLVVSGTAAARPLAGQEWAGEDTAGDDSVVVRFLRQLYLHKLAGPGHSCKTYSPNGGC >ORUFI02G33470.1 pep chromosome:OR_W1943:2:28831122:28833490:1 gene:ORUFI02G33470 transcript:ORUFI02G33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAAQAPMWHGVWMVEDAVAGDEFPAWHGYGRRRMQPSGQAPAWCRAWIAKDVVWGVAAEATTASNKSSVGRGSEGRGCRYHLIPHKYHPMRGRNHLIPDRYHMIPYEYHQIRSMNRLILDRYHLIPVMFQDMIPQSATYANDEEGCSGHVLRGDGHVGLMVAGHGEAVCRATLRRWLRQHRVAATDALERLQATAVGAKAMAPTVPLYQRS >ORUFI02G33480.1 pep chromosome:OR_W1943:2:28834075:28834285:1 gene:ORUFI02G33480 transcript:ORUFI02G33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGRKSATTISRNMRFSNFILKLMAKERRGSRAGCRRRCXSTSAAGDDDDDPFLLAGFISMLLDFS >ORUFI02G33490.1 pep chromosome:OR_W1943:2:28835334:28836730:1 gene:ORUFI02G33490 transcript:ORUFI02G33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKRFVLPLMMVVLLLSAVSGSARPMGGDKWVGMATSGDHPLIQFLQNLYLQQLAQPEMYGLFALTNLASTGIDGLGSDGKAAMHVHRNVYINCIDGQKYLTYGTPESQADCIITLFFPSLFCELRRLSLRMCIKRTGRLTST >ORUFI02G33500.1 pep chromosome:OR_W1943:2:28844232:28847727:1 gene:ORUFI02G33500 transcript:ORUFI02G33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVAVAMFFVPMVMSASWSPAMARPYADQASGAGGSGNIALPPPPSPHWTGGHRLPPLEQNIAVSKFYMKNAIVFIEIEDKKSKKLITASPLARTRSALLRSPDLLALAATSGSPALLTFGHLSCWASLGPSGIFRAGLTQARPNGRAVPCRPACLNLRPRHGPTTGRAGPAHSRAVLGVLSLVLGHRALGRPKKARPKSQL >ORUFI02G33510.1 pep chromosome:OR_W1943:2:28851432:28852802:-1 gene:ORUFI02G33510 transcript:ORUFI02G33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPMTRARARARAVRLAVSEIPLAVRRSARQQQQPPEPAADVPDHFLCPISLDMMRDPVTAPTGITYDRDGVEVWLERGRPTCPVTGRPLRPEELVPNHATRRMIQEWCVANRALGVERVPTPRVPVSAADAREILEGVAAAARRGDAAACGRMVARARALGKESERNRRCLASAGAERALALAFSRLAAASTDQQAEARACALEEILAALVVFFPLDEESRRCIASPPSLDALVSILSHGEQVTRVSAVVVLREIASSCDNQCLEAMSKANAMYDALVNLVAKPVSPQATKAALVTAYYLVKNDIEHAASRLVDLGTVELLVELLADADKGTTEKALAVLDTVLVAAKARDRAYAHALAVPVLAKKTMHVSDMATEFAVSALWRLCKNSPADGGCKAEALQVGAFQKLLLLLQLGCDGVTKERASELLRLLNASRDSTECIETADFKGLKRPFI >ORUFI02G33520.1 pep chromosome:OR_W1943:2:28868977:28875521:1 gene:ORUFI02G33520 transcript:ORUFI02G33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPEARSGWRNAAAAAWVLVAVACAAYMHWHLRRETMDRAEERLVSMCEERARMLQEQFGVTVNHVHALAILISTFHFEKFPSAIDQDTFAKYTARTSFERPLLNGVAYAQRIFHHEREMFENQQGWIMKTMKRQAAPPQDEYAPVIFSQDTVSYLARIDMMSGEEDRENILRARATGKAVLTNPFRLLGSNHLGVVLTFAVYRPGLAADASVEERVEATAGYLGGAFDVESLVENLLSKLAGNQDIVVNVYDVTNASEPMAMYGPQSPDGKVSLFHVSTLDFGDPFRAHEMRCRYRQKPPLPWSAITNPLGTFVIWMLVGYIICAAWSRYDKVSEDCRKMEELKTQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLGTDLSMTQKDYAQTAQMCGRALITLINDVLDRAKIEAGKLELEAVPFDLRSLMDDVISLFSSKSREKCIELAVFVCDDVPKVVIGDPWRYRQILTNLVGNAVKFTERGHVFVRVCLAENSKVEANQVLNGTMNGKDGKVETTANGAFNTLSGFQAADERNNWDYFKLLLSDKEPHMDELECDRSYQNDCDCVTLMISIEDTGVGIPLHAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLAELMGGQISFTSRPFVGSTFTFSAVLKRSCKDTSSDSKRSLSEALPTAFKGMKAILVDGRPVRGAVTRYHLNRLGIVVKVVNNLSMGLQTLAGQNGVKESREKLSMLFIESDIWRPETDILLLNRLHELKNNGQVHELPKLVLLVTSEADKDRYGSAFDIVMYKPIRASTIASCLQQLLKVVMPERKDNQNRPSFLRSLLIGKNILIVDDNKVNLRVAAAALKKYGAKVHCVESGKDAVSLLQQPHCFDACFMDVQMPEMDGFEATRQIRQMEVKANEERKALDLMEGSTFVESHLPVLAMTADVIQATYEECIKSGMDGYVSKPFDEEQLYQAVSRLVVGTKESAV >ORUFI02G33520.2 pep chromosome:OR_W1943:2:28868977:28875521:1 gene:ORUFI02G33520 transcript:ORUFI02G33520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPEARSGWRNAAAAAWVLVAVACAAYMHWHLRRETMDRAEERLVSMCEERARMLQEQFGVTVNHVHALAILISTFHFEKFPSAIDQDTFAKYTARTSFERPLLNGVAYAQRIFHHEREMFENQQGWIMKTMKRQAAPPQDEYAPVIFSQDTVSYLARIDMMSGEEDRENILRARATGKAVLTNPFRLLGSNHLGVVLTFAVYRPGLAADASVEERVEATAGCLVVVVSSNQIPTNRYLGGAFDVESLVENLLSKLAGNQDIVVNVYDVTNASEPMAMYGPQSPDGKVSLFHVSTLDFGDPFRAHEMRCRLVASFSWHKFVGCFLLGSCTNSGNLSRYRQKPPLPWSAITNPLGTFVIWMLVGYIICAAWSRYDKVSEDCRKMEELKTQAEAADVAKSQFLATVSHEIRTPMNGVLVVQRSKILPFDVGMLDMLLGTDLSMTQKDYAQTAQMCGRALITLINDVLDRAKIEAGKLELEAVPFDLRSLMDDVISLFSSKSREKCIELAVFVCDDVPKVVIGDPWRYRQILTNLVGNAVKFTERGHVFVRVCLAENSKVEANQVLNGTMNGKDGKVETTANGAFNTLSGFQAADERNNWDYFKLLLSDKEPHMDELECDRSYQNDCDCVTLMISIEDTGVGIPLHAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLAELMGGQISFTSRPFVGSTFTFSAVLKRSCKDTSSDSKRSLSEALPTAFKGMKAILVDGRPVRGAVTRYHLNRLGIVVKVVNNLSMGLQTLAGQNGVKESREKLSMLFIESDIWRPETDILLLNRLHELKNNGQVHELPKLVLLVTSEADKDRYGSAFDIVMYKPIRASTIASCLQQLLKVVMPERKDNQNRPSFLRSLLIGKNILIVDDNKVNLRVAAAALKKYGAKVHCVESGKDAVSLLQQPHCFDACFMDVQMPEMDGFEATRQIRQMEVKANEERKALDLMEGSTFVESHLPVLAMTADVIQATYEECIKSGMDGYVSKPFDEEQLYQAVSRLVVGTKESAV >ORUFI02G33530.1 pep chromosome:OR_W1943:2:28884629:28888411:1 gene:ORUFI02G33530 transcript:ORUFI02G33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVQGRAHAWTTTTTTPPGAMRGQALVLVAALLLQLLLLAAAGGAGAAATERKAHNYEDALRKSLLYFEAQRSGRLPHNQRVAWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSMIEYGDDVEAAGELGHALEAIKWGTDYFIKAHTKPNELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRERPGSDVAGETAAAMAAASIVFRKSNPHYASLLLHHAQQLFEFADKYRGKYDSSIAEVKSYYASVSGYKDELLWAALWLHRATGKAHYLDYVVDNADCFGGTGWAITEFSWDVKYAGVQILAARLLLRGEHEERHRSTLEQYRAKAEHYVCGCLGRNADGGADANVERSPGGMLYVRQWNNMQYVTNAAFLLAAYADYLGDDADGAVSCAGGETAGAGEVAALARAQVDYVLGTNPRGISYLVGYGAKYPNRVHHRAASIVPYKHSKEFIGCTQGFDHWFGRRSSNPNVLVGAIVGGPDRRDRFRDNRENYMQTEACTYNTAPMVGMFAKLNRMARQEREQEEVAAPARSTAADV >ORUFI02G33540.1 pep chromosome:OR_W1943:2:28899153:28899665:1 gene:ORUFI02G33540 transcript:ORUFI02G33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLPPGRRPLSGAERRRTAAVGGATAVAHPGKEAADPTPPSPDLAIPWLDPAGGCVVGGGRGRGAAARQGRQHRRNEAVATVRRWQRRRRRRRASDDACTAAGGGCRGEEMRAGGRARAAGPGGSGASDGGSTTAMAARGSTVAVPVAAAAVARGSRGNTCGGSGGG >ORUFI02G33550.1 pep chromosome:OR_W1943:2:28901462:28910517:-1 gene:ORUFI02G33550 transcript:ORUFI02G33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAMEAMDELVQLAESMRQAASLLADDDPSDEASPRRPSTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQRDPGLSSKSIVLQIDSKSQQVSASALRHSLQDRLSKGASGGSGKGRNDEIYLKLRTSTAPPIKLVDLPGIDQRVMDDSTISEYAGHNDAILIVVIPAMQAADVASSRALRLAKDIDPDGTRTIGVLSKIDQASADAKTVSCVQAILSNKGAPRAAAEIEWVALIGQSVSIASAQAGSVGSENSLETAWQAEAETLKSILTGAPRSKLGRIALVDTIAKQIRKRMKIRLPNLLSGLQGKSQIVQNELARLGEQMVSSAEGTRAVALELCREFEDKFLAHVTSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLKSLIKGVLEMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVIEIATNALDVFKSDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDEVKNRSSKKAQDAEQSNKGSGTGSEQSGGALKSLKEKFSQQDKDKDAKEGPNLQVAGPGGEITAGYLLKKSAKNNEWSKRWFVLNEKSGKLGYTKKQEERHFRGVIVLEECNLEEIEEEELSKSLKDSKKANGAEKGPSLVFKITNRVAYKTVLKSHSAVILKAETIADKVEWMKKIRGVIQSKGGSVKGLNTPEGGSMRQSHSDGSLDTMARKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSKISAQTNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRASVASYTNDSSGAESSPRTSGQSGEDWRSAFDSASNGSVDRSSSHNETRSRSADSRGKRYENGDVNGGNSGSRRTPNRLPPAPPGQKY >ORUFI02G33560.1 pep chromosome:OR_W1943:2:28912199:28921546:1 gene:ORUFI02G33560 transcript:ORUFI02G33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLAPHFLLFLPLSSASRHALPHTPPAPLLLPSHPAQAQAQARAGPLRLPSARSPSRAAAPVSDDDEDEEDDEEIDIRDDADVDEEYDDDDDDELDEESGGEEEEEEEGDDGVEELEEEEGGREGTAARRRRSEEYKSQRVGKLVAEVREFGEDIIDYNELAGIYDFPIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFRDFRNTFGDHNVGLLTGDSAINKDAQILIMTTEILRNMLYQSVGMAASEGRLFQVDVIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSHKRPVPLTWHFSKKFALVPLLDGKGKKMNRKLRMSHFQNLSSPKSEFYYVKGKRKLRTTKNEQGNRSPLDISKQVQLSKHELTNMRRSQVPLIRDTLSQLWENDMLPAIWFIFSRRGCDAAVEYLEDCRLLHDCEASEVELELKRFRMQYPDAIRESAVKGLLRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTSVISSLSKRIDAGRQLLTPNELFQMAGRAGRRGIDTVGHSVLVQTTYEGPEECCDVIFAGLEPLVSQFTASYGMVLNLLAGSKVTHNQKESDDIKVKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEELERIQSEIQYLSSEITDESIDRKCREELSEEDYAEISLLQKKLKEEKQMRNELKKRMELERMVAWKTRLEEFESGHLPFMCLQYKDKDSVQHTIPAVFIGSLSSFVDQKIVSLVENDSPVAGKQKVDNEGQQYYPSYYVALSSDNSWYLFTEKWIKAVYKTGLPAVPSAEGGPLPRETLKQLLLREDMMWDKIAKSEYGSLLCMDGSLDTWSWSLNVPVLNSLSEDDEVERFSQEHQDAVECHKQQRKKVSQLKKTIRSTKGFKEFQKIIDMRNFTKEKIERLEARSRRLTRRIRQIEPTGWKEFLQISKVIQEARALDINTQVIYPLGETAAAIRGENELWLAMVLRNKVLLDLKPSQLAAICGSLVSEGIKLRPWKNSSYVYEPSSVVTGVINYLEEQRNSLVDLQEKHSVKIPCEIDAQFAGMVEAWASGLTWREIMMDSAMDDGDLARLLRRTIDLLAQIPKLPDIDPVLQKNAQIACNIMDRVPISELAG >ORUFI02G33570.1 pep chromosome:OR_W1943:2:28921649:28925645:-1 gene:ORUFI02G33570 transcript:ORUFI02G33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPSPTPLPLFLLLLLLVGVAPLAAAQGQNIQTRFPSTRTPAFATPPPITSPSPSPGTPTATPSSSPPSSSGKRSDIAVAVVSTALSSFAVSGLAFFLFLRHGKKRELTEAGGAGQHYGGAQGGALTGKRPEREPKRPARGNMVDENGLDAIYWREFEKEGDGGRGRKPPASRRPPQPPPPRPYRAERRQDAHESSAPSPPRSRKNRIDQEPLIPRGSLDSASAEFDESLYAPSAGSTSSFSVAAAEAYARPPSTPAITAVSSVPRSSPSPAPAPAARPASPSPSLPLPPGRESPSRPQSIAAAAVASPAPPPPPPPKPAAAAPPPPPPPKAAPPPPPPKGPPPPPPAKGPPPPPPPKGPSPPPPPPPGGKKGGPPPPPPKGGASRPPAAPGVPTGSADQQAKLKPLHWDKVNVAATDHSMVWDNITGGSFNLDEGIIEALFGTAAVNRKTKPADSKDASGGSTSAGLGRSNSPEQIFLLEPRKSHNISIILRSLTVGREEIIDALLNGHTELSTEVLEKLSRLNISKEEENTLLKFSGNPDRLAPAEFFLLRLLLDVPSPFARVNALLFKANYAAEVAQLKQSLRTLEMASQELRTKGLFFKLLEAVLKAGNRMNAGTARGNAQAFNLTALRKLSDVKSTDGSTTLLHFVIEEVVRSEGKRLAINRNYSLRRSGSLAKSTDGGNPAASSTSQGPSREERQNEYLNLGLPIVGGLSTEFANVKKAALVDYDTVVNECAILGNRLAGTKKLLETYGDDGFARGLRGFVKAAEQELNELKGNQEKVLELVQRTTEYYHTGATKDKNAHPLQLFIIVRDFLGMVDQACVDIKRKLQQQKKPTPPPSSSQPAAPAATTKGAADDAPAPAQKPPEEVDSKRKRVMPRFPNLPAHFMKDNADSDSSSDEE >ORUFI02G33580.1 pep chromosome:OR_W1943:2:28931019:28931625:-1 gene:ORUFI02G33580 transcript:ORUFI02G33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASCRRRGAAARRHARVAYGNNYFWTGRGFEMSNTPEDYVAICKSLRNPCASEDGMRRQPSRRSPPRCKLETASTELDLAIANMGTTLHLSLRHIAQRVSVGLLH >ORUFI02G33590.1 pep chromosome:OR_W1943:2:28934612:28953525:1 gene:ORUFI02G33590 transcript:ORUFI02G33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPVNRSPKDQSCNRNKNFQNTGNKLEIQTEAQSIQPGENIPAYQQVGVPFFLVALVCAGVGGERGGGEARARAEAIESAGCNLPLQKQCRESLETKGIASFSQNQ >ORUFI02G33600.1 pep chromosome:OR_W1943:2:28939412:28940533:-1 gene:ORUFI02G33600 transcript:ORUFI02G33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNPAMRAGLALVVVVVAVVVGDVGAALPRFAEAPEYRNGEGCPAAVAGAGVCDPGLVHIAMTLDAHYLRGSMAAIYSLLKHASCPESIFFHFLAAADGGEGGGGGVGELRTAVAASFPSLRFEIYPFRADAVTGLISASVRAALEAPLNYARNYLADLLPKCVPRAIYLDSDVLAVDDVRRLWETRLPAAAVVAAPEYCHANFSRYFTETFWSDPQLGDRVFAGRRRAPCYFNTGVMVIDLRRWRVGNYRRRIEVWMELQKEKRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNVLGSCRPLHKGPVSLMHWSGKGKPWDRLDAGKPCPLDHTWKSYDLYIAEGDSSSASAPFALSSSALPAAAFSW >ORUFI02G33610.1 pep chromosome:OR_W1943:2:28958179:28967349:-1 gene:ORUFI02G33610 transcript:ORUFI02G33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVMAAVPSSSPADAAEAVVMTEAVPSLPQRQQPVFVEGRGGKLRDHAYSRKQKSLGLLCSNFVALYNRDDVESIGLDDAARRLGVERRRIYDIVNVLESVGILVRKAKNRYSWIGFGGVPMALRELKERALREKSGLAPLPVEEPSAAIMSDDEDEDKMGDADGDTESEKLSQPVDNPSDNKPGAPRCRLRSDHRKEKSLGLLTQNFVKLFLTMEVDTISLDEAAKLLLGEGHAENSMRTKVRRLYDIANVLSSLNFIDKIQQADSRKPAFRWLGSAGKPKAENGVTIAVPPPGKTISNKRAFGTELTNIDINRSRLDSTIPKKAKLTQSGGEILKNCKLSVQKQLGQGSKGGFVYGPFHPAGARKQELDNGNKGHTDNVQNWESLAASFRPQYQNQALGDLFAHYVEAWKSWYSEFAQGSSMMQQHFGMPATALIASRSISDSTAPLTIETSVPFTSHIVDPPSRDVTTTPAELLTFFRDMSVMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRSDSIITAYRDHCTYLARGGDLVSAFAELMGRQAGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQKYRKEETATFALYGDGAANQGQLFEALNISALWKLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKEHAIANGPIVLEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLILAHDLATAAELKDMEKEIRKEVDDAIAKAKESPMPDTSELFTNVYVKGFGVEVSSEV >ORUFI02G33610.2 pep chromosome:OR_W1943:2:28962697:28967349:-1 gene:ORUFI02G33610 transcript:ORUFI02G33610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVMAAVPSSSPADAAEAVVMTEAVPSLPQRQQPVFVEGRGGKLRDHAYSRKQKSLGLLCSNFVALYNRDDVESIGLDDAARRLGVERRRIYDIVNVLESVGILVRKAKNRYSWIGFGGVPMALRELKERALREKSGLAPLPVEEPSAAIMSDDEDEDKMGDADGDTESEKLSQPVDNPSDNKPGAPRCRLRSDHRKEKSLGLLTQNFVKLFLTMEVDTISLDEAAKLLLGEGHAENSMRTKVRRLYDIANVLSSLNFIDKIQQADSRKPAFRWLGSAGKPKAENGVTIAVPPPGKTISNKRAFGTELTNIDINRSRLDSTIPKKAKLTQSGGEILKNCKLSVQKQLGQGSKGGFVYGPFHPAGARKQELDNGNKGHTDNVQNWESLAASFRPQYQNQGKISLPISFLPTHPQIHLAVAAAKP >ORUFI02G33610.3 pep chromosome:OR_W1943:2:28958179:28962684:-1 gene:ORUFI02G33610 transcript:ORUFI02G33610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILLRRTSVPFTSHIVDPPSRDVTTTPAELLTFFRDMSVMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRSDSIITAYRDHCTYLARGGDLVSAFAELMGRQAGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQKYRKEETATFALYGDGAANQGQLFEALNISALWKLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKEHAIANGPIVLEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLILAHDLATAAELKDMEKEIRKEVDDAIAKAKESPMPDTSELFTNVYVKGFGVEVSSEV >ORUFI02G33620.1 pep chromosome:OR_W1943:2:28970659:28978551:-1 gene:ORUFI02G33620 transcript:ORUFI02G33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAELEAIARALAADELGAFVSAVSDARPTDKTPLRRHALRALALVAAAHPRDAVAPLVPRILAAALRRVRDQDSSVRAALVDTARAAAAASASASPALRPLTDALLHEQDQCAQTAAALATAAAVEASAPTADLASYIHKLQPRLLKLLRSNAFKAKPALISLIGVSASAAGAAEVKIVRDSMNRMIEAWKEIPDVEEDECSSGSASDGRYPVASSTRRNSLPASRSPPPDASPSVNKRHSSSSARNKKQSPPSYRKAGQAKNRDYKVDIAVTPDATPIKVVTEEKLLKGGNVRSRLEARRMLFQDGDDRATKVAGLKAGSRVVPYEEGGNMEEISEIGGGSERFQTGYKDEGLSEIRSQLLQIENQQSSLLDLIQKFMGKSENGMNSLETRVHGLEMALDEISRDLAASSGRTSNSEAHVNSCCILNPKFWRRHDASRYSSSFSVSDGRNSSEGSRTSYKWGRQKFGVQGGFVTNPLAEPNISSAARTATAQEGRRKDLTLPKSRMG >ORUFI02G33630.1 pep chromosome:OR_W1943:2:28985152:28985763:-1 gene:ORUFI02G33630 transcript:ORUFI02G33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTVVADGR >ORUFI02G33640.1 pep chromosome:OR_W1943:2:29005661:29012093:-1 gene:ORUFI02G33640 transcript:ORUFI02G33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRRRLPLARLLRPLQAEAAASTTSSPPPLQNRPAAASPSHALGSRLGFLNGVPGAPGVREASAFTTAGFLAAGAAAALASLPVAYADANEVGVVDSAVSSDAAVKPVNPDAAVSSDVAVGEDLAHKERKRIIELIQSRGMPHGSYPQFDVAVKGQKVVVKFNVPSTCSLSDLIVDLVTHIGLEAEQGGGGSEMLLRAWNSVAARQITLNPHKKTTSNGDDNEDDLCVLIFEPLVGSQYSEVEFIKRGGFSLRELEALTSVLKLVGQKDVKQSSGKGNKSYTTRKGNGQRSKHVPSMEKTISDLEGMGVRVYGFDETSSIPMDGSGTVMWENIAGYEPQKREIEDTILLALQSPEVYDEIARATRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANDLPDGGIIFLDEVDSFASARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFDLPDQQTRAEISAQYAKHLTKSELFQFSLATEEKRYQGYLPASRKTLGIKGDKYQKMIRGSRVFLQSKSMLHVLNNAEDLCRIEQHDKTYNSRDEVTVGNAFCNVCWPPWVAVLEDR >ORUFI02G33640.2 pep chromosome:OR_W1943:2:29005661:29012093:-1 gene:ORUFI02G33640 transcript:ORUFI02G33640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRRRLPLARLLRPLQAEAAASTTSSPPPLQNRPAAASPSHALGSRLGFLNGVPGAPGVREASAFTTAGFLAAGAAAALASLPVAYADANEVGVVDSAVSSDAAVKPVNPDAAVSSDVAVGEDLAHKERKRIIELIQSRGMPHGSYPQFDVAVKGQKVVVKFNVPSTCSLSDLIVDLVTHIGLEAEQGGGGSEMLLRAWNSVAARQITLNPHKKTTSNGDDNEDDLCVLIFEPLVGSQYSVSSYEVEFIKRGGFSLRELEALTSVLKLVGQKDVKQSSGKGNKSYTTRKGNGQRSKHVPSMEKTISDLEGMGVRVYGFDETSSIPMDGSGTVMWENIAGYEPQKREIEDTILLALQSPEVYDEIARATRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANDLPDGGIIFLDEVDSFASARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFDLPDQQTRAEISAQYAKHLTKSELFQFSLATEEKRYQGYLPASRKTLGIKGDKYQKMIRGSRVFLQSKSMLHVLNNAEDLCRIEQHDKTYNSRDEVTVGNAFCNVCWPPWVAVLEDR >ORUFI02G33650.1 pep chromosome:OR_W1943:2:29012953:29014398:-1 gene:ORUFI02G33650 transcript:ORUFI02G33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLKVTILVVAFVLSAGVHISAAAAAAGQREEVHLVPAVYVFGDSTVDVGNNQYLPGNSPLQLPYGIDFPHSRPTGRFSNGYNVADFIAKLVGFKRSPPAYLSLTPQTSRQLMRGYRGANYASGGSGILDTTGTTVVTLTKQIVYFAATKSKMMSNGGGDGNSSSASASAIDDLLSKSLFLISDGGNDLFAFLRQSNRTASQVPSFYADLLSNYTRHVQALYSLGARRFGIIDVPPIGCVPSVRVTSQAGATRCVDAANDLARGFNSGLRSAMARLAGSGALPGMRYSVGSSYNVVSYLTANPAAAGFKVVNSACCGGGRLNAQVGCGAPNSTYCGNRNGYLFWDGVHGTQATSRKGAAAIYSAPPQMGFASPINFKQLVSS >ORUFI02G33660.1 pep chromosome:OR_W1943:2:29018578:29019890:1 gene:ORUFI02G33660 transcript:ORUFI02G33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVCLACSHGYVPRFSSATLQKETRPVFPLQSSVPNIFYGTDTSRQQLQFLTKTPSPSSLPSSLPGLLGKSPVRPALCNDALLSRFLSTEKDENTVTEIGEKARSTAEQFLKVAKEKTDEVSEKTKETMHETKEAVVGESDDEKEKFKQRVEEGSLQEFNWPSSNQKGIGKKRKGSDGDDLWL >ORUFI02G33670.1 pep chromosome:OR_W1943:2:29021407:29021685:-1 gene:ORUFI02G33670 transcript:ORUFI02G33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSFGTSWADQWDYGGDPSPRAAARRDGHGGGKKQGGVEKTKAAAATGLRKVKEGTAHGFQWIKDKCQKKNAGGGKKQQADEESGIAGY >ORUFI02G33680.1 pep chromosome:OR_W1943:2:29029840:29030958:-1 gene:ORUFI02G33680 transcript:ORUFI02G33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTSPRLALLVVVAAAAFVFVSPAMAFPMGLPATANPFPNPWSAFQNLSGCHAGEEREGLGRLKDYLSHFGYLPPPPSSSPYSDAFDDSLEAAIAAYQRNFGLNATGELDRDTVDQMVAPRCGVADVINGTSTMDRNSSAAALRGRHLYSYFPGGPMWPPFRRNLRYAITATSATSIDRATLSAVFARAFSRWAAATRLQFTEVSSASNADITIGFYSGDHGDGEAFDGPLGTLAHAFSPTDGRFHLDAAEAWVASGDVSTSSSFGTAVDLESVAVHEIGHLLGLGHSSVPDSIMYPTIRTGTRKVDLESDDVLGIQSLYGTNPNFKGVTPTSPSTSSREMDGSAAAAGIRPWSGFVGLVVPAVVLLLAP >ORUFI02G33690.1 pep chromosome:OR_W1943:2:29036951:29038159:1 gene:ORUFI02G33690 transcript:ORUFI02G33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASQPSLRSLPSLDVHDLNTSPSLHQFIATIKGHSSASAYVSALAVDGDSLYIASSDGSIRLWALDGARRSQEEQQQDDGCSSSSSSTTVADTDSSVKSLLATGNGGLLLSSHQDGKIRAWRAGSRRRDGETRPQLVLRAVLPTAVDRLRTCLLPWSYVEIRRHRRCTWVHHVDAVTALAVSPDGALLYSASWDRSIKVWSLPGFRCVESIAAAHDDAINALAVSPDGRVYTGSADKKIKAWTRGPGQRKHALVGTMERHRSAVNALALGANGKVLYSGACDRSVVVWESAGGGDGGMEATGTLRGHARAILCLAAAGELVCSGSADRTVRVWRRGGAENNGYTCLAVMESHGAAVKSLALVRGGRDDDGSCSSEGSSALVCSGALDGDVKIWSVFIPCL >ORUFI02G33700.1 pep chromosome:OR_W1943:2:29043825:29045188:-1 gene:ORUFI02G33700 transcript:ORUFI02G33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPEGKNDYGPQKAEGRAKTLRRSLQGGGESPQGNTSKEETAPAGVDIADPGRLSRAFAPGLTQKCRTSKKRGESTRQHLQGGNSTDRRRYCRSRTTKQGFCPWTHSKVQDLQEPAPTRPKSPNYRQTRPTPRTNKLLKHRKSTARSVSK >ORUFI02G33710.1 pep chromosome:OR_W1943:2:29046036:29050783:1 gene:ORUFI02G33710 transcript:ORUFI02G33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKFGSFKSEKGSSAGAAAGAGAAAQRRDPYEVLGVGRNATEQEIKSAFRRMALKYHPDKNADDPVASDKFQEATFSYNILSDPDKRRQYDSSGFEAIEADSHELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVGISQLELGQSVFRKVEKQSAHFYSVDITDKEAKMGLVCRVQSTAKSKFKLLYFEPEENGGLSLALQEDSVKTGKVTSAGMFFLGFPVYRFEQNNSVAAAKDPDSAFFKRLDGFQPCEVNELKAGTHFFAVYGDNFFKSATYNIEVVCAEPFSTEKEKLRCVEAKILAKRSELSKFESEYREVLAKFTEMTSRYAQEMQTIDELLKERNAIHASYTNNTTLQRSSSSNKGKTSSKESKSDDDQTVKKEKKSKSKSMEGSRSDDDGPRKEKKPKERLRRKKWFNIHLKVDKRRPC >ORUFI02G33730.1 pep chromosome:OR_W1943:2:29055410:29060145:1 gene:ORUFI02G33730 transcript:ORUFI02G33730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPRPRRPVPARLVAAAVLLSALAGGAAAGAAIAGDGYGRGRRLYMRNKVLEMFYHAYDNYMTYAFPHDELKPLTKSFTDSLSELGNLNLEHLPNDYNGSALTLVESLSSLVVLGNLTEFERGVLWLSENLTFDVDARINLFECNIRLLGGLISGHILAKEHSSHLKDGPYQDQLLHLAENLGSRFLPAFETPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGALSRLTGDSRYEAAALRALRKLWSMRSSLNLVGTTLDVLTGKWIEYSSGIGAGVDSFYEYLIKAYVLFGSEEYWDMFHSAYLAVQKYFRHGPWYHEADMRTGEATHWQLTSLQAFWPGLQTLLGDVAAANISHREFYNVWQRFGVLPERYLLDFGMLHPTEKYYPLRPEFAESTFYLYQATKEVGEAIIGSLNYYTKVDGGFASVRDVSTMKLEDHQHSFFLSETCKYLFLLYDDSFLRNQNYIFTTEGHPLPIRSTWHEIIPTTHVPSNWTFVKDDSQPFRVSALSSQVCPETIFRQSVGSPWESACHVPDVLPTHRCRTDDDCGVEAVSCRRRTCSMAGYCGLWLGVY >ORUFI02G33740.1 pep chromosome:OR_W1943:2:29060851:29084838:-1 gene:ORUFI02G33740 transcript:ORUFI02G33740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFMSEEELRLLGGDVAAVAERADAAIRELRQQVDTVRAEADAAAIAAEQTCALLEQRYDTLSAEADRFRAELAELAAASERRAADLASSQSEIHQLRIQAIAKDGEIERLKVEISEVHKSKSQSLELIEQRDAEIREKDGIIQNYLDKIVNLADSSASKGARIQEVEAKFTHLQATCNRITQEKDLLEKHNLWLDEELKEKVKNLAELRKSNMDEEARMSAKVAELERETSESSSSLRRSKERVSELEQRVSYMEKELCSTKDAAAANEQRLAAELSTVMKLAELHKESSEEWSKKAGELEGVIKALETHLTQVEDEYKEKLEKETSAKRDLEMEATYLKQKLEKCESDLENTRKSSELSFTPLVAADPCDLAGSPMKEMAFSDPANQNDLMIVPKVPTGVSGTALAASLLRDGWSLAKIYEKYQEATDALRHERFGRRHAEAVLERVLHEIEEKAELILDERAEHRRMVEAYALMDQKLQQALLEHDNFENTIRNLKSELKRRERDHSIAQKEIDDLQKQIAVLLKECQDIQLRCGSSLPNVGDGALSTSTSTGAPEVENNIHEHMTFNDINGLVQQNVQLRNQVHLLSADLDKRDMELRESFQIELKKITDDAASRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKSRSNVEHIPKNLEDDGRKDLMVLFEGSQEVSRKAYEQVSERAKSLDEELTKLRTELLSLRSERDRAVLEAEFARERLNGFTAELEHQRKEANSISLRNAELMHLVVDYEKRLRENSESMKAVEENSRKLLMEMSILKNEKEILAKSEKKALEEVHDLTTRVHRLQATIDTIHATEEVQENARSIERRNQEEYIKRLERDWAEVKKELQEQRDHVRVLTLDKKNAFDGCLKQVEDMRKELQNSWKAATDAESRAAVAEAKCSDLETKLKSRKTIFRDGGRDILSATEENDELFQLKEELEKYKEEAQANKSYMLQYKEIANSNESALKLMESALQDFKTESETIKKSLEDEITKLRTKISELEKCYIMKCEEAASAIEAKEKDTTSLMKEISVLRNEVSEKVIQIEKLETELASSKRALDEQYKRWRSAQDNYERQVILQSETIQELTSASKELSSLQQEIIVLRQTVETQKAENDGLRTLGEQEKIELVKGKDEALQKYNELNDQNKILHNQLEALHIRLAEKERNIAGLSSQRIDSHGEDDLHSVIGYLRRSKEIAETEISLLKQEKSRLQIELESALKSTKEAQDLLRSQTDSARTSMLKDEEFKSLQFQVRELNLLRESNIQLREENKHNFEECQVDAEICKKEIEMQKTEIANLNQKISELVENSRGVDLSTYETMKDELQNIKATLRENSAELERTKKLLSEKDSVIRNLEEKLAGCQSELDAREKKLNDVEASLKSEIDRHRKININIKRKLDASAKEKEELTKEKQSLSKQLEDLKSSQKTTTENSNEQAIKEKDFRIQTLEKVLEKERDDNKKEKAFRRRNEKVFTTAIQNMNQERKQVEESIEKHRQAVKEVIEHYTGISSQIPSGSAIDEQLRSYFLAIKAVEESPSPFQDGAASQTPSVESADVATPPRPAQVKVVEERAVSTLPKPSTEVRRPGGRRPLVRPSLERVEEPQADIDTTVVEGSTEKGGLLMERETPGGVSALQPSSRKRLIPSPQTRDDASQGETTDANPPLKKPKEGSSQGTSELKTEQSPHEDVMAPVPVLPSTELDEQQPGEEMDTDQSSLPVEEVEETREDDLGDKDDMETHIDASMDIQGQDAETGIDNDATTVEDVPVKSEAVMESLEEDLKTEDGKEEGQFTATTDVEDEREEGELPDEPEQPDSTPPVLDVGEQAGDSFRAASPAGPTEKSDVDMPEETGEGDGTMESDQSPVPQSGGADASPSQMADASPSPAREPSPNPNPATAAEGVETRSTRTINLTERARQNRQARILRSATQQNARGRGNQSLTYRKDGGRGTRGRGGRGQS >ORUFI02G33740.2 pep chromosome:OR_W1943:2:29060851:29084838:-1 gene:ORUFI02G33740 transcript:ORUFI02G33740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFMSEEELRLLGGDVAAVAERADAAIRELRQQVDTVRAEADAAAIAAEQTCALLEQRYDTLSAEADRFRAELAELAAASERRAADLASSQSEIHQLRIQAIAKDGEIERLKVEISEVHKSKSQSLELIEQRDAEIREKDGIIQNYLDKIVNLADSSASKGARIQEVEAKFTHLQATCNRITQEKDLLEKHNLWLDEELKEKVKNLAELRKSNMDEEARMSAKVAELERETSESSSSLRRSKERVSELEQRVSYMEKELCSTKDAAAANEQRLAAELSTVMKLAELHKESSEEWSKKAGELEGVIKALETHLTQVEDEYKEKLEKETSAKRDLEMEATYLKQKLEKCESDLENTRKSSELSFTPLVAADPCDLAGSPMKEMAFSDPANQNDLMIVPKVPTGVSGTALAASLLRDGWSLAKIYEKYQEATDALRHERFGRRHAEAVLERVLHEIEEKAELILDERAEHRRMVEAYALMDQKLQQALLEHDNFENTIRNLKSELKRRERDHSIAQKEIDDLQKQIAVLLKECQDIQLRCGSSLPNVGDGALSTSTSTGAPEVENNIHEHMTFNDINGLVQQNVQLRNQVHLLSADLDKRDMELRESFQIELKKITDDAASRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKSRSNVEHIPKNLEDDGRKDLMVLFEGSQEVSRKAYEQVSERAKSLDEELTKLRTELLSLRSERDRAVLEAEFARERLNGFTAELEHQRKEANSISLRNAELMHLVVDYEKRLRENSESMKAVEENSRKLLMEMSILKNEKEILAKSEKKALEEVHDLTTRVHRLQATIDTIHATEEVQENARSIERRNQEEYIKRLERDWAEVKKELQEQRDHVRVLTLDKKNAFDGCLKQVEDMRKELQNSWKAATDAESRAAVAEAKCSDLETKLKSRKTIFRDGGRDILSATEENDELFQLKEELEKYKEEAQANKSYMLQYKEIANSNESALKLMESALQDFKTESETIKKSLEDEITKLRTKISELEKCYIMKCEEAASAIEAKEKDTTSLMKEISVLRNEVSEKVIQIEKLETELASSKRALDEQYKRWRSAQDNYERQVILQSETIQELTSASKELSSLQQEIIVLRQTVETQKAENDGLRTLGEQEKIELVKGKDEALQKYNELNDQNKILHNQLEALHIRLAEKERNIAGLSSQRIDSHGEDDLHSVIGYLRRSKEIAETEISLLKQEKSRLQIELESALKSTKEAQDLLRSQTDSARTSMLKDEEFKSLQFQVRELNLLRESNIQLREENKHNFEECQVDAEICKKEIEMQKTEIANLNQKISELVENSRGVDLSTYETMKDELQNIKATLRENSAELERTKKLLSEKDSVIRNLEEKLAGCQSELDAREKKLNDVEASLKSEIDRHRKININIKRKLDASAKEKEELTKEKQSLSKQLEDLKSSQKTTTENSNEQAIKEKDFRIQTLEKVLEKERDDNKKEKAFRRRNEKVFTTAIQNMNQERKQVEESIEKHRQAVKEVIEHYTGISSQIPSGSAIDEQLRSYFLAIKAVEESPSPFQDGAASQTPSVESADVATPPRPAQVKVVEERAVSTLPKPSTEVRRPGGRRPLVRPSLERVEEPQADIDTTVVEGSTEKGGLLMERETPGGVSALQPSSRKRLIPSPQTRDDASQGETTDANPPLKKPKEGSSQGTSELKTEQSPHEDVMAPVPVLPSTELDEQQPGEEMDTDQSSLPVEEVEETREDDLGDKDDMETHIDASMDIQGQDAETGIDNDATTVEDVPVKSEAVMESLEEDLKTEDGKEEGQFTATTDVEDEREEGELPDEPEQPDSTPPVLDVGEQAGDSFRAASPAGPTEKSDVDMPEETGEGDGTMESDQSPVPQSGGADASPSQMADASPSPAREPSPNPVQAGAPPEQQNPTPPNPVQAGASSEQQNPATAAEGVETRSTRTINLTERARQNRQARILRSATQQNARGRGNQSLTYRKDGGRGTRGRGGRGQS >ORUFI02G33740.3 pep chromosome:OR_W1943:2:29060849:29084838:-1 gene:ORUFI02G33740 transcript:ORUFI02G33740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFMSEEELRLLGGDVAAVAERADAAIRELRQQVDTVRAEADAAAIAAEQTCALLEQRYDTLSAEADRFRAELAELAAASERRAADLASSQSEIHQLRIQAIAKDGEIERLKVEISEVHKSKSQSLELIEQRDAEIREKDGIIQNYLDKIVNLADSSASKGARIQEVEAKFTHLQATCNRITQEKDLLEKHNLWLDEELKEKVKNLAELRKSNMDEEARMSAKVAELERETSESSSSLRRSKERVSELEQRVSYMEKELCSTKDAAAANEQRLAAELSTVMKLAELHKESSEEWSKKAGELEGVIKALETHLTQVEDEYKEKLEKETSAKRDLEMEATYLKQKLEKCESDLENTRKSSELSFTPLVAADPCDLAGSPMKEMAFSDPANQNDLMIVPKVPTGVSGTALAASLLRDGWSLAKIYEKYQEATDALRHERFGRRHAEAVLERVLHEIEEKAELILDERAEHRRMVEAYALMDQKLQQALLEHDNFENTIRNLKSELKRRERDHSIAQKEIDDLQKQIAVLLKECQDIQLRCGSSLPNVGDGALSTSTSTGAPEVENNIHEHMTFNDINGLVQQNVQLRNQVHLLSADLDKRDMELRESFQIELKKITDDAASRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKSRSNVEHIPKNLEDDGRKDLMVLFEGSQEVSRKAYEQVSERAKSLDEELTKLRTELLSLRSERDRAVLEAEFARERLNGFTAELEHQRKEANSISLRNAELMHLVVDYEKRLRENSESMKAVEENSRKLLMEMSILKNEKEILAKSEKKALEEVHDLTTRVHRLQATIDTIHATEEVQENARSIERRNQEEYIKRLERDWAEVKKELQEQRDHVRVLTLDKKNAFDGCLKQVEDMRKELQNSWKAATDAESRAAVAEAKCSDLETKLKSRKTIFRDGGRDILSATEENDELFQLKEELEKYKEEAQANKSYMLQYKEIANSNESALKLMESALQDFKTESETIKKSLEDEITKLRTKISELEKCYIMKCEEAASAIEAKEKDTTSLMKEISVLRNEVSEKVIQIEKLETELASSKRALDEQYKRWRSAQDNYERQVILQSETIQELTSASKELSSLQQEIIVLRQTVETQKAENDGLRTLGEQEKIELVKGKDEALQKYNELNDQNKILHNQLEALHIRLAEKERNIAGLSSQRIDSHGEDDLHSVIGYLRRSKEIAETEISLLKQEKSRLQIELESALKSTKEAQDLLRSQTDSARTSMLKDEEFKSLQFQVRELNLLRESNIQLREENKHNFEECQVDAEICKKEIEMQKTEIANLNQKISELVENSRGVDLSTYETMKDELQNIKATLRENSAELERTKKLLSEKDSVIRNLEEKLAGCQSELDAREKKLNDVEASLKSEIDRHRKININIKRKLDASAKEKEELTKEKQSLSKQLEDLKSSQKTTTENSNEQAIKEKDFRIQTLEKVLEKERDDNKKEKAFRRRNEKVFTTAIQNMNQERKQVEESIEKHRQAVKEHYTGISSQIPSGSAIDEQLRSYFLAIKAVEESPSPFQDGAASQTPSVESADVATPPRPAQVKVVEERAVSTLPKPSTEVRRPGGRRPLVRPSLERVEEPQADIDTTVVEGSTEKGGLLMERETPGGVSALQPSSRKRLIPSPQTRDDASQGETTDANPPLKKPKEGSSQGTSELKTEQSPHEDVMAPVPVLPSTELDEQQPGEEMDTDQSSLPVEEVEETREDDLGDKDDMETHIDASMDIQGQDAETGIDNDATTVEDVPVKSEAVMESLEEDLKTEDGKEEGQFTATTDVEDEREEGELPDEPEQPDSTPPVLDVGEQAGDSFRAASPAGPTEKSDVDMPEETGEGDGTMESDQSPVPQSGGADASPSQMADASPSPAREPSPNPVQAGAPPEQQNPTPPNPVQAGASSEQQNPATAAEGVETRSTRTINLTERARQNRQARILRSATQQNARGRGNQSLTYRKDGGRGTRGRGGRGQS >ORUFI02G33750.1 pep chromosome:OR_W1943:2:29088470:29090685:-1 gene:ORUFI02G33750 transcript:ORUFI02G33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVVIQHPGGRVERLCWSTSAAEVMRANPGHYIALVTLRVAEERQDGDGGARRTVRLTRVKLLKPKETLLLGHAYRLITTHEVTKAVQARKEEKVRKAQQQLEESRQKLQSKARAAASAAAEVDEAAEENDNDSDNFDDEAALDASLDQLARQDSNRSSSARHRQWRPSLHSIDETTGS >ORUFI02G33760.1 pep chromosome:OR_W1943:2:29099693:29104705:-1 gene:ORUFI02G33760 transcript:ORUFI02G33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGAAPAPKHDELTPHPVKDQLPGVSYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGNNEDKAVVIQTLLFVAGINTLLQSFFGTRLPAVIGGSYTFVVPTISIILAGRYANEPNPHTKFLRIMRGTQGALIVASALQIIFGFSGLWRNVARYLSPLSAAPLVMLVGFGLYELGFPSVAKCVEIGLPELILLVIFAMYLPHAIHMMKSIFDRFAVLFTIPIVWLYAYLLTVGGAYRNAPPKTQFHCRTDRSGIIGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPLPPSVLSRGIGWQGIGILLDGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPPIFAALYCIFFAYVGSAGVGFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTHSRWFNDIVNVIFSSKAFVAGFVAYLLDNTIHRHDSSVRKDRGHHWWDKFRSYRTDTRSEEFYSLPFNLNKFFPSV >ORUFI02G33770.1 pep chromosome:OR_W1943:2:29109362:29112373:-1 gene:ORUFI02G33770 transcript:ORUFI02G33770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEEFAIGVLISVKTTLGEEFEGQIVSFDRPTNLLEGVGRAERGERRNVRVLKANYIREFSVVGKADDPLDPAGCVLDLAAIHAREEAALRQAEIEAERIGVGVTPEAQSIFDALSKTLPVQWDKTDIVVMKEVRVCNPYLPENVSGGTSAANERVKKVVMHRCL >ORUFI02G33780.1 pep chromosome:OR_W1943:2:29115366:29135469:1 gene:ORUFI02G33780 transcript:ORUFI02G33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSCMWYLAGRRESARRDCWRGRCGAMHGRRQGGGEGCGNRRLLVRGMWPATRVEAATPPAQGPASPPPRLPVPPPLTTPCLPAPTTPPAAAHNQDWVDSPRPVSPDSFVKDGREFRVGDCALFRAVDVPPFIGLIRWIEKQEEGYPKLRVSWLYRPADIKLNKGLQLDAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGAELPAGTSSFVCWRVYDIDNKCLWWLTDRDYINERQEEVNRLLHRTRQEMHTAVQQGGRSPKRLTGPSASQQLKAGSDGAQNCGSSKGKKRERGEQGIDQIKRDRDRTLKTDDTEPGNLKGDNMKSEIAKITEKGGLPHAEAVEKLVHLMQLDRTERKLDFAGRVMLADVITATENPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEGGSPKETDKPMEELLLALLRALAKLPINLNALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDAKPVVSGQAVSWPGKPGFAEISSAGNRRSGSSEPNLKSPVSQLSSSKALTVKPGASDTTVKSSPLISGSSKLQHIQPGNAVTNLKEQPCKSSGGTCSPELHTVKEEKSCSSSQSLNNSQSCSSEHAKTIGSSKEDARSSTAASGIAGRTSGSSSRVHRRTNNGILGSGGQKEATVARSTSLDRSLLPEKASQSVTACEKGTDTPSDQGNGHRLIVRFPNPVRSPARSASGGSFEDPSFTGSRASSPVLADKHEQSDRRVKMKTENSNPHLGNDTNAESWHSNDVKGASVSEEGDKSPYAMLTDDRSRTTEEAGKDACASRVVCSDANEKAACSSENGGRNSFNPMNALIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELISSSASPRNSPGNEEGCEGDNIGKLKVQSDMGLSRHAGPTNDAEKIISDKGEKIGAGLVAKEEQHLGDAKDNKVTSSGLPSPPGIDVKAVESPAKTENHEVRTTDKGGDGDKSVTKQPVDIKIDAKLNIYPSTTSELRGGDKQSHGLLKSTDQKNRQCLPDNSEAIDRSGDSAAVKLDVEPSFSSSTVEVNKADGLLVGNAVLREDEKKEQPSSTSADATKLAVPAGVPFGPENGISSKELKDNSSESSSHARPGTTVSQDTERSARRSSKKSSDDASGNEDLVSSDDGSSFAAKIRPSATAKLDFDLNEGIPGDDVHQSEAETSPAVCSSAINLPCLSPFISPMSSGLPAPIKVAATAKGPFVPPENLLRFQPETGWKGSAATSAFRPAEPRKIFEMTLSAPGIPVSDAADKHRPALDIDLNVADEQFLEEDISQSSVQTTGSESGNTRRSNGPVRSVGIELDLNRADEVAENHFVSNTPHRVEVPLLSSRPLPAIFSSADTNGSRDFDLNNGPTLDETGTEHAARSLSSKNTSSIPFIPQVAGGRMNSAEMSNISPWFASAHPYAPVAAQPFLPSRGEQPHPVETAAGSGTQRIITSLADGVQRGSDPCRAPVISTSPTMVFHPPAYQYAGFPFTPGVHLQAPGFSIGSTSYANSAPAGVPYFPSIAPTLLGPAGALPAQHTRQYAINLPEGSSTVGHDSNRKWGRQGLDLNSGPGSVDAEIKDERVSLPVRQNLITPPHAFGEEHTRMYQMPSVGIKRKEPEGSWDAERSSYKQLSWQ >ORUFI02G33780.2 pep chromosome:OR_W1943:2:29122296:29135469:1 gene:ORUFI02G33780 transcript:ORUFI02G33780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDGNGIGPGPQETSEAAGYGRRLRSRLWRRSGDGAAGRRESARRDCWRGRCGAMHGRRQGGGEGCGNRRLLVRGMWPATRVEAATPPAQGPASPPPRLPVPPPLTTPCLPAPTTPPAAAHNQDWVDSPRPVSPDSFVKDGREFRVGDCALFRAVDVPPFIGLIRWIEKQEEGYPKLRVSWLYRPADIKLNKGLQLDAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGAELPAGTSSFVCWRVYDIDNKCLWWLTDRDYINERQEEVNRLLHRTRQEMHTAVQQGGRSPKRLTGPSASQQLKAGSDGAQNCGSSKGKKRERGEQGIDQIKRDRDRTLKTDDTEPGNLKGDNMKSEIAKITEKGGLPHAEAVEKLVHLMQLDRTERKLDFAGRVMLADVITATENPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEGGSPKETDKPMEELLLALLRALAKLPINLNALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDAKPVVSGQAVSWPGKPGFAEISSAGNRRSGSSEPNLKSPVSQLSSSKALTVKPGASDTTVKSSPLISGSSKLQHIQPGNAVTNLKEQPCKSSGGTCSPELHTVKEEKSCSSSQSLNNSQSCSSEHAKTIGSSKEDARSSTAASGIAGRTSGSSSRVHRRTNNGILGSGGQKEATVARSTSLDRSLLPEKASQSVTACEKGTDTPSDQGNGHRLIVRFPNPVRSPARSASGGSFEDPSFTGSRASSPVLADKHEQSDRRVKMKTENSNPHLGNDTNAESWHSNDVKGASVSEEGDKSPYAMLTDDRSRTTEEAGKDACASRVVCSDANEKAACSSENGGRNSFNPMNALIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELISSSASPRNSPGNEEGCEGDNIGKLKVQSDMGLSRHAGPTNDAEKIISDKGEKIGAGLVAKEEQHLGDAKDNKVTSSGLPSPPGIDVKAVESPAKTENHEVRTTDKGGDGDKSVTKQPVDIKIDAKLNIYPSTTSELRGGDKQSHGLLKSTDQKNRQCLPDNSEAIDRSGDSAAVKLDVEPSFSSSTVEVNKADGLLVGNAVLREDEKKEQPSSTSADATKLAVPAGVPFGPENGISSKELKDNSSESSSHARPGTTVSQDTERSARRSSKKSSDDASGNEDLVSSDDGSSFAAKIRPSATAKLDFDLNEGIPGDDVHQSEAETSPAVCSSAINLPCLSPFISPMSSGLPAPIKVAATAKGPFVPPENLLRFQPETGWKGSAATSAFRPAEPRKIFEMTLSAPGIPVSDAADKHRPALDIDLNVADEQFLEEDISQSSVQTTGSESGNTRRSNGPVRSVGIELDLNRADEVAENHFVSNTPHRVEVPLLSSRPLPAIFSSADTNGSRDFDLNNGPTLDETGTEHAARSLSSKNTSSIPFIPQVAGGRMNSAEMSNISPWFASAHPYAPVAAQPFLPSRGEQPHPVETAAGSGTQRIITSLADGVQRGSDPCRAPVISTSPTMVFHPPAYQYAGFPFTPGVHLQAPGFSIGSTSYANSAPAGVPYFPSIAPTLLGPAGALPAQHTRQYAINLPEGSSTVGHDSNRKWGRQGLDLNSGPGSVDAEIKDERVSLPVRQNLITPPHAFGEEHTRMYQMPSVGIKRKEPEGSWDAERSSYKQLSWQ >ORUFI02G33780.3 pep chromosome:OR_W1943:2:29122296:29135469:1 gene:ORUFI02G33780 transcript:ORUFI02G33780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAVQQGGRSPKRLTGPSASQQLKAGSDGAQNCGSSKGKKRERGEQGIDQIKRDRDRTLKTDDTEPGNLKGDNMKSEIAKITEKGGLPHAEAVEKLVHLMQLDRTERKLDFAGRVMLADVITATENPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEGGSPKETDKPMEELLLALLRALAKLPINLNALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDAKPVVSGQAVSWPGKPGFAEISSAGNRRSGSSEPNLKSPVSQLSSSKALTVKPGASDTTVKSSPLISGSSKLQHIQPGNAVTNLKEQPCKSSGGTCSPELHTVKEEKSCSSSQSLNNSQSCSSEHAKTIGSSKEDARSSTAASGIAGRTSGSSSRVHRRTNNGILGSGGQKEATVARSTSLDRSLLPEKASQSVTACEKGTDTPSDQGNGHRLIVRFPNPVRSPARSASGGSFEDPSFTGSRASSPVLADKHEQSDRRVKMKTENSNPHLGNDTNAESWHSNDVKGASVSEEGDKSPYAMLTDDRSRTTEEAGKDACASRVVCSDANEKAACSSENGGRNSFNPMNALIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELISSSASPRNSPGNEEGCEGDNIGKLKVQSDMGLSRHAGPTNDAEKIISDKGEKIGAGLVAKEEQHLGDAKDNKVTSSGLPSPPGIDVKAVESPAKTENHEVRTTDKGGDGDKSVTKQPVDIKIDAKLNIYPSTTSELRGGDKQSHGLLKSTDQKNRQCLPDNSEAIDRSGDSAAVKLDVEPSFSSSTVEVNKADGLLVGNAVLREDEKKEQPSSTSADATKLAVPAGVPFGPENGISSKELKDNSSESSSHARPGTTVSQDTERSARRSSKKSSDDASGNEDLVSSDDGSSFAAKIRPSATAKLDFDLNEGIPGDDVHQSEAETSPAVCSSAINLPCLSPFISPMSSGLPAPIKVAATAKGPFVPPENLLRFQPETGWKGSAATSAFRPAEPRKIFEMTLSAPGIPVSDAADKHRPALDIDLNVADEQFLEEDISQSSVQTTGSESGNTRRSNGPVRSVGIELDLNRADEVAENHFVSNTPHRVEVPLLSSRPLPAIFSSADTNGSRDFDLNNGPTLDETGTEHAARSLSSKNTSSIPFIPQVAGGRMNSAEMSNISPWFASAHPYAPVAAQPFLPSRGEQPHPVETAAGSGTQRIITSLADGVQRGSDPCRAPVISTSPTMVFHPPAYQYAGFPFTPGVHLQAPGFSIGSTSYANSAPAGVPYFPSIAPTLLGPAGALPAQHTRQYAINLPEGSSTVGHDSNRKWGRQGLDLNSGPGSVDAEIKDERVSLPVRQNLITPPHAFGEEHTRMYQMPSVGIKRKEPEGSWDAERSSYKQLSWQ >ORUFI02G33780.4 pep chromosome:OR_W1943:2:29122296:29135469:1 gene:ORUFI02G33780 transcript:ORUFI02G33780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTYAQERQEEVNRLLHRTRQEMHTAVQQGGRSPKRLTGPSASQQLKAGSDGAQNCGSSKGKKRERGEQGIDQIKRDRDRTLKTDDTEPGNLKGDNMKSEIAKITEKGGLPHAEAVEKLVHLMQLDRTERKLDFAGRVMLADVITATENPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEGGSPKETDKPMEELLLALLRALAKLPINLNALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDAKPVVSGQAVSWPGKPGFAEISSAGNRRSGSSEPNLKSPVSQLSSSKALTVKPGASDTTVKSSPLISGSSKLQHIQPGNAVTNLKEQPCKSSGGTCSPELHTVKEEKSCSSSQSLNNSQSCSSEHAKTIGSSKEDARSSTAASGIAGRTSGSSSRVHRRTNNGILGSGGQKEATVARSTSLDRSLLPEKASQSVTACEKGTDTPSDQGNGHRLIVRFPNPVRSPARSASGGSFEDPSFTGSRASSPVLADKHEQSDRRVKMKTENSNPHLGNDTNAESWHSNDVKGASVSEEGDKSPYAMLTDDRSRTTEEAGKDACASRVVCSDANEKAACSSENGGRNSFNPMNALIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELISSSASPRNSPGNEEGCEGDNIGKLKVQSDMGLSRHAGPTNDAEKIISDKGEKIGAGLVAKEEQHLGDAKDNKVTSSGLPSPPGIDVKAVESPAKTENHEVRTTDKGGDGDKSVTKQPVDIKIDAKLNIYPSTTSELRGGDKQSHGLLKSTDQKNRQCLPDNSEAIDRSGDSAAVKLDVEPSFSSSTVEVNKADGLLVGNAVLREDEKKEQPSSTSADATKLAVPAGVPFGPENGISSKELKDNSSESSSHARPGTTVSQDTERSARRSSKKSSDDASGNEDLVSSDDGSSFAAKIRPSATAKLDFDLNEGIPGDDVHQSEAETSPAVCSSAINLPCLSPFISPMSSGLPAPIKVAATAKGPFVPPENLLRFQPETGWKGSAATSAFRPAEPRKIFEMTLSAPGIPVSDAADKHRPALDIDLNVADEQFLEEDISQSSVQTTGSESGNTRRSNGPVRSVGIELDLNRADEVAENHFVSNTPHRVEVPLLSSRPLPAIFSSADTNGSRDFDLNNGPTLDETGTEHAARSLSSKNTSSIPFIPQVAGGRMNSAEMSNISPWFASAHPYAPVAAQPFLPSRGEQPHPVETAAGSGTQRIITSLADGVQRGSDPCRAPVISTSPTMVFHPPAYQYAGFPFTPGVHLQAPGFSIGSTSYANSAPAGVPYFPSIAPTLLGPAGALPAQHTRQYAINLPEGSSTVGHDSNRKWGRQGLDLNSGPGSVDAEIKDERVSLPVRQNLITPPHAFGEEHTRMYQMPSVGIKRKEPEGSWDAERSSYKQLSWQ >ORUFI02G33780.5 pep chromosome:OR_W1943:2:29115366:29122758:1 gene:ORUFI02G33780 transcript:ORUFI02G33780.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWREGGGEGCGKSRRLVRHMWPVTRVEAAAPPPAQGQASPPPRSSVPPPLTTSYPPAPTTPPAAAHKKERVDSPRPASSDSFLKDGREFRVGDCALFQAVEVPPFIGLIRWIEKKEEGFPKLRVSWLYRPADIKLNKGTQLCAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGVELPAGISSFVCRRVYDIDNKCLWWLTDQDYINERQEEVNRLLHRTKLEMHAAVQSGGRSPKRLNGPSSAQQKSGSDGAQNCGLSKGKKRDRGEQGTDPAKRDRERPLKAEDGESGNFKVENLKSEITKITEKGGLPHAEAVEKLVHLMQLDRTERKIDLPGRVILADIIAATESPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEAGSPKETDKPMEDLVLALLRALAKLPINLTALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDVKPVVSGQAVSWPGKPGFPEISSAGNRRSGSSESSLKSPVSQLSSSKALTSKPVAADAAAKSSPVISGSSKLQHMQPGNAVTNLKEQPSKSTGGTCGSELPAVKEEKSSSSSQSLNNSQSCSSEHAKTIGSSKEDARSSTAASGVAYKTSGSSSRVHRRTNNGLLGSGIQKEAAVARSSSLDHSSVQEKVSQSGTACEKGADIQSDQGNSHRLIVRFPNPGRSPARSASGGSFEDPSFTGSRASSPVADKHEQSDRRVKMKTENSNPHLGNDTNAESWHSNDVKGASVSEEGDKSPRAMLTDDSKTTEGAGRDVPVSRVACSSYANEKGICSSETGLTKLFNPMNALIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELISSSPSPRNSSANEQGCEGDNIGKLKVECDVSLPQHAGPSNEVEKVISEKSEKSGVGLVGKELHREDVNMLSVNNLLSQGDKGTTSAGSSPLPEIDSKAKTENREVEDTDKCSHPPSRGDDGVKSNAKQPIGFKIDTNSNIKSSTTSEYRSAFTVRGKVEDGCTTSSDVGSTLGDQCKLAVSNRKMLPAEEPLCGGDKQAHGLLKPTDQKHLLGLPYYSEHIDKSGDSTANKLKLKPSFSSSTVEVNKADGLLVGSNTVLKEDEKKEHPADVTKLAVATGVKHGPENGISSKESKDNSSESSSHTRPGGTVSQETEHSAQRSSKKSSDDAGGKDDLVSSDDGSSFSAKTRSNATAKLDFDLNEGIPGDEGHLSEPATSPAVCSSAIHLPRPSPFVSPISSGLPAPIAAPAKGPFVPPENLIRLKSETGWKGSAATSAFRPAEPRKIFEMTLSAPGILVSDAAGKNRPALDIDLNVADEQFLEEDVSQSSVQTTGSESGNTRRSNGPVRSVGFELDLNRADEVAENGQIVSNTNHRVEVPLLSRPLPGVFSSSDANSSRDFDLNNGPTLDEAGTEHAPRSLSSKNTSSIPFLPQVASMRMNSAEMSNISPWFASANACAPVAIKSFLPSRGEQPHPVETAAGSGTQRIITSMADGVQHGSDPSRTPVISTSPTMMFHPPAYQYAGFPFTPSVHLQAPGFSIGSTSYANSAPGGIPYFPTIAPTLVGPAGALPAQHTRQYAINLPEGSSTVGHDNNRKWGRQGLDLNSGPGSVDVEVKDDRVTLPVRQNFIAPPHAFVDEHTRMYQMPPGVGIKRKEPEGSWDAERSSYKQLSWQ >ORUFI02G33780.6 pep chromosome:OR_W1943:2:29130392:29135469:1 gene:ORUFI02G33780 transcript:ORUFI02G33780.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAVQQGGRSPKRLTGPSASQQLKAGSDGAQNCGSSKGKKRERGEQGIDQIKRDRDRTLKTDDTEPGNLKGDNMKSEIAKITEKGGLPHAEAVEKLVHLMQLDRTERKLDFAGRVMLADVITATENPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEGGSPKETDKPMEELLLALLRALAKLPINLNALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDAKPVVSGQAVSWPGKPGFAEISSAGNRRSGSSEPNLKSPVSQLSSSKALTVKPGASDTTVKSSPLISGSSKLQHIQPGNAVTNLKEQPCKSSGGTCSPELHTVKEEKSCSSSQSLNNSQSCSSEHAKTIGSSKEDARSSTAASGIAGRTSGSSSRVHRRTNNGILGSGGQKEATVARSTSLDRSLLPEKASQSVTACEKGTDTPSDQGNGHRLIVRFPNPVRSPARSASGGSFEDPSFTGSRASSPVLADKHEQSDRRVKMKTENSNPHLGNDTNAESWHSNDVKGASVSEEGDKSPYAMLTDDRSRTTEEAGKDACASRVVCSDANEKAACSSENGGRNSFNPMNALIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELISSSASPRNSPGNEEGCEGDNIGKLKVQSDMGLSRHAGPTNDAEKIISDKGEKIGAGLVAKEEQHLGDAKDNKVTSSGLPSPPGIDVKAVESPAKTENHEVRTTDKGGDGDKSVTKQPVDIKIDAKLNIYPSTTSELRGGDKQSHGLLKSTDQKNRQCLPDNSEAIDRSGDSAAVKLDVEPSFSSSTVEVNKADGLLVGNAVLREDEKKEQPSSTSADATKLAVPAGVPFGPENGISSKELKDNSSESSSHARPGTTVSQDTERSARRSSKKSSDDASGNEDLVSSDDGSSFAAKIRPSATAKLDFDLNEGIPGDDVHQSEAETSPAVCSSAINLPCLSPFISPMSSGLPAPIKVAATAKGPFVPPENLLRFQPETGWKGSAATSAFRPAEPRKIFEMTLSAPGIPVSDAADKHRPALDIDLNVADEQFLEEDISQSSVQTTGSESGNTRRSNGPVRSVGIELDLNRADEVAENHFVSNTPHRVEVPLLSSRPLPAIFSSADTNGSRDFDLNNGPTLDETGTEHAARSLSSKNTSSIPFIPQVAGGRMNSAEMSNISPWFASAHPYAPVAAQPFLPSRGEQPHPVETAAGSGTQRIITSLADGVQRGSDPCRAPVISTSPTMVFHPPAYQYAGFPFTPGVHLQAPGFSIGSTSYANSAPAGVPYFPSIAPTLLGPAGALPAQHTRQYAINLPEGSSTVGHDSNRKWGRQGLDLNSGPGSVDAEIKDERVSLPVRQNLITPPHAFGEEHTRMYQMPSVGIKRKEPEGSWDAEQATACGTWALVCCTLAGIYSSYMTL >ORUFI02G33790.1 pep chromosome:OR_W1943:2:29133312:29133956:-1 gene:ORUFI02G33790 transcript:ORUFI02G33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQLQEHKGVQKQTMERYYSSRHCSFYRQQLEDEMHLWLLNLSSQSQAEILVGSQEEQRDL >ORUFI02G33800.1 pep chromosome:OR_W1943:2:29136113:29139299:-1 gene:ORUFI02G33800 transcript:ORUFI02G33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDSTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENIMKKWIPELQHYAPGVPIVLVGTKLDLREDKHYLLDHPGMIPVTTAQGEELRKQIGAAYYIECSSKTQQNVKGVFDAAIKEHVPWKENVMLQILIDRDVPYMMQCSGCNLKLATFGV >ORUFI02G33810.1 pep chromosome:OR_W1943:2:29148134:29152663:-1 gene:ORUFI02G33810 transcript:ORUFI02G33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSSTSKGKRKRGRKHKAAAENHAPASPVAATAADNPAPAAAGRRGRKSRRHEAPADADGSRPPSPPRRGEAKPVANGGGDAVVEAGGPVGWDEVARVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIALLTVDDDEFWEGVLPVEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDRGKCVGIAFQSLKHEDAENIGYVIPTPVIMHFIQDYEKSGEYTGFPILGIEWQKMENPDLRKAMGMKPDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGEKALVKVLRNSKVHEFKIKLATHKRLVAAHVKGRPPSYYIVAGFVFAAVSVPYLRSEYGKDYEYDAPVKLLVKHLHAMAQSPDEQLVVVSQVLVADINIGYEEIVNTQVLAFNGQPVKNLKNLVSMVENCKDEFLKFDLEYDQDILTTHCIPSAMSDDLKT >ORUFI02G33820.1 pep chromosome:OR_W1943:2:29157784:29158913:-1 gene:ORUFI02G33820 transcript:ORUFI02G33820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEFQDMGFNADAVGGNEGMGVRDGKGYAFVHIATGFSHCPHPLYLKQMQQCRRGHHQKQKQKALECKKLQKPKDETL >ORUFI02G33830.1 pep chromosome:OR_W1943:2:29165872:29173426:1 gene:ORUFI02G33830 transcript:ORUFI02G33830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLNPLAVTQLLQHTLRGLCTQGDSQWVYAVFWRILPRNYPPPKWDLQGGVYDRSRGNRRNWILAWEDGFCNFAASACDQEDTPAAAGYTDYAAAGHEVKGLQPELFFKMSHDIYNYGEGLVGKVAADHGHKWVSQEANEHEINLVTSWNNPADSHPRTWEAQFQSGIKTIALIAVREGVVQLGSMKKVAEDLSYVVALRRKFGYLESIPGVLLPHPSSAAFPGAGGLQDAAWAPSPTMDLYDPYYGAHAAAAQMHHIVPSMSSLEALLSKLPSVGPTAAPGAIRGAIGGGSVAKEELDDAMDAAGNGGGESTSAATTPLVPYYVDVAKPDEGF >ORUFI02G33840.1 pep chromosome:OR_W1943:2:29180564:29188790:-1 gene:ORUFI02G33840 transcript:ORUFI02G33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEAAQQKDSVKVAVNIRPLITPELLLGCTDCVTVTPGEPQVQIGPHVFTYDHVFGSTGSPSSLIFEQCVHPLIDSLFRGYNATVLAYGQTGSGKTYTMGTNYTGEANCGGIIPQVMETIFKKADALKDGTEFLIRVSFIEIFKEEVFDLLDASHAALRLDSGSVAKATAPARVPIQIRETGNGGITLAGVTEAEVKTKEEMASFLARGSSSRATGSTNMNSQSSRSHAIFTISMDQKKTSSASDKLSNDDYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINRGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTAMIACISPADSNAEETINTLKYANRARNIQNKAVINRDPVTAEMQKLRSQLEQLQTELLFSRSGSAALEELQLLQQKVSLLELKNSELNHELKERELSYEQLAQSALAAQLEKDQLMLKIESARNGKSWDDIENTDTDQDVEVMKRYILKIQQLESELTRQKFSSTCKNDLHDRFAMDKDLLLDDLGSGCEVGTPDASSEANEEKERDHSSMQDKLDKELQELDKRLQQKEAEMKEFAKSDTSVLKQHYEKKLNEMEQEKKALQKEIEELRHALTNITSSTDESAQKLKENYLQKLNTLESQVSELKKKQEAQQQLIRQKQRSDEAAKRLQEDIHRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAMATKRLKESLEAKKSTRDTYGSASGSGIQALMRAIDDELEVTVRAYELRSHYERQMQERAAISKEIAKLKECPQAMSPSARSSRISALENMLSSSSSAMVSMASQLSEAEERERAFNGKGRWNHVRSLPDAKNTMNYLFQLASSSRCQQLDKEVMCKEKEHLICDLKEKVVALNGRIRQLETQVKDLNNQNMLLFTAISEAKNPVGTSRKGTVGSEDGQHYAMRKSIRASHSLHYSKNSFLWSDDMDISDSEKSEGSDADWEASDADYGASDADWECSKKVRRRRQTVSSHLNPNPGSGTTQSAKSEMASQEKSTSLDLAPQCCSCSKYSSCKTQKCECRASGSHCGGGCGCITSRCSNRVDMKEEKEGGGVVEVSSSDDVDDAKVQEIVKEGVMLLENSMSEKEAQETKSRKPLADIGNGVVKQTGAKPKQRKNWRKSTVQLVPSAPPLPPTAPQNTEPVPRNRDIPLRLPRAMSSPAVDSIPLTDRNAAKPDESMSSNKENVTAVRARSPARPRKNANEKENHLR >ORUFI02G33840.2 pep chromosome:OR_W1943:2:29180564:29188790:-1 gene:ORUFI02G33840 transcript:ORUFI02G33840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEAAQQKDSVKVAVNIRPLITPELLLGCTDCVTVTPGEPQVQIGPHVFTYDHVFGSTGSPSSLIFEQCVHPLIDSLFRGYNATVLAYGQTGSGKTYTMGTNYTGEANCGGIIPQVMETIFKKADALKDGTEFLIRVSFIEIFKEEVFDLLDASHAALRLDSGSVAKATAPARVPIQIRETGNGGITLAGVTEAEVKTKEEMASFLARGSSSRATGSTNMNSQSSRSHAIFTISMDQKKTSSASDKLSNDDYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINRGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTAMIACISPADSNAEETINTLKYANRARNIQNKAVVWSFSLKINRDPVTAEMQKLRSQLEQLQTELLFSRSGSAALEELQLLQQKVSLLELKNSELNHELKERELSYEQLAQSALAAQLEKDQLMLKIESARNGKSWDDIENTDTDQDVEVMKRYILKIQQLESELTRQKFSSTCKNDLHDRFAMDKDLLLDDLGSGCEVGTPDASSAVNFRITPVPAGEANEEKERDHSSMQDKLDKELQELDKRLQQKEAEMKEFAKSDTSVLKQHYEKKLNEMEQEKKALQKEIEELRHALTNITSSTDESAQKLKENYLQKLNTLESQVSELKKKQEAQQQLIRQKQRSDEAAKRLQEDIHRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAMATKRLKESLEAKKSTRDTYGSASGSGIQALMRAIDDELEVTVRAYELRSHYERQMQERAAISKEIAKLKECPQAMSPSARSSRISALENMLSSSSSAMVSMASQLSEAEERERAFNGKGRWNHVRSLPDAKNTMNYLFQLASSSRCQQLDKEVMCKEKEHLICDLKEKVVALNGRIRQLETQVKDLNNQNMLLFTAISEAKNPVGTSRKGTVGSEDGQHYAMRKSIRASHSLHYSKNSFLWSDDMDISDSEKSEGSDADWEASDADYGASDADWECSKKVRRRRQTVSSHLNPNPGSGTTQSAKSEMASQEKSTSLDLAPQCCSCSKYSSCKTQKCECRASGSHCGGGCGCITSRCSNRVDMKEEKEGGGVVEVSSSDDVDDAKVQEIVKEGVMLLENSMSEKEAQETKSRKPLADIGNGVVKQTGAKPKQRKNWRKSTVQLVPSAPPLPPTAPQNTEPVPRNRDIPLRLPRAMSSPAVDSIPLTDRNAAKPDESMSSNKENVTAVRARSPARPRKNANEKENHLR >ORUFI02G33840.3 pep chromosome:OR_W1943:2:29180564:29188790:-1 gene:ORUFI02G33840 transcript:ORUFI02G33840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEAAQQKDSVKVAVNIRPLITPELLLGCTDCVTVTPGEPQVQIGPHVFTYDHVFGSTGSPSSLIFEQCVHPLIDSLFRGYNATVLAYGQTGSGKTYTMGTNYTGEANCGGIIPQVMETIFKKADALKDGTEFLIRVSFIEIFKEEVFDLLDASHAALRLDSGSVAKATAPARVPIQIRETGNGGITLAGVTEAEVKTKEEMASFLARGSSSRATGSTNMNSQSSRSHAIFTISMDQKKTSSASDKLSNDDYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINRGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTAMIACISPADSNAEETINTLKYANRARNIQNKAVVWSFSLKINRDPVTAEMQKLRSQLEQLQTELLFSRSGSAALEELQLLQQKVSLLELKNSELNHELKERELSYEQLAQSALAAQLEKDQLMLKIESARNGKSWDDIENTDTDQDVEVMKRYILKIQQLESELTRQKFSSTCKNDLHDRFAMDKDLLLDDLGSGCEVGTPDASSEANEEKERDHSSMQDKLDKELQELDKRLQQKEAEMKEFAKSDTSVLKQHYEKKLNEMEQEKKALQKEIEELRHALTNITSSTDESAQKLKENYLQKLNTLESQVSELKKKQEAQQQLIRQKQRSDEAAKRLQEDIHRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAMATKRLKESLEAKKSTRDTYGSASGSGIQALMRAIDDELEVTVRAYELRSHYERQMQERAAISKEIAKLKECPQAMSPSARSSRISALENMLSSSSSAMVSMASQLSEAEERERAFNGKGRWNHVRSLPDAKNTMNYLFQLASSSRCQQLDKEVMCKEKEHLICDLKEKVVALNGRIRQLETQVKDLNNQNMLLFTAISEAKNPVGTSRKGTVGSEDGQHYAMRKSIRASHSLHYSKNSFLWSDDMDISDSEKSEGSDADWEASDADYGASDADWECSKKVRRRRQTVSSHLNPNPGSGTTQSAKSEMASQEKSTSLDLAPQCCSCSKYSSCKTQKCECRASGSHCGGGCGCITSRCSNRVDMKEEKEGGGVVEVSSSDDVDDAKVQEIVKEGVMLLENSMSEKEAQETKSRKPLADIGNGVVKQTGAKPKQRKNWRKSTVQLVPSAPPLPPTAPQNTEPVPRNRDIPLRLPRAMSSPAVDSIPLTDRNAAKPDESMSSNKENVTAVRARSPARPRKNANEKENHLR >ORUFI02G33850.1 pep chromosome:OR_W1943:2:29200884:29202074:1 gene:ORUFI02G33850 transcript:ORUFI02G33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVAASLRSLAPLSAYRSPSHGIHAVVRDSSAYTTRPPPPPPTADGGGNGGRISPAVLFIIVILAVIFFISGLLHLLVRLLMKKQHRRGGAENAAPSPHSRHVGRDAAMDRQLQQLFHLHDSGLDQAFIDALPVFAYRDIVGGDKEPFDCAVCLCEFDGEDRLRLLPVCGHAFHLHCIDTWLLSNSTCPLCRGTLYVPGLTIESLMFDFDERLEEGRLSEECEDGFQSSRQKKPMDEEQTVTEKRVFPVRLGKFKNVGNTGVGGVDNGNAAGIVSREPGESSSSSLDTRRCFSMGTYQYVLGASELRVALQPGRNKNGVGSRLKGRATGISSVNAEIMEGKRICAKSKGESFSMSKIWQWSNVKGKLPAGSDNCSETASFPWMKRDATGDKSNM >ORUFI02G33860.1 pep chromosome:OR_W1943:2:29205388:29205888:-1 gene:ORUFI02G33860 transcript:ORUFI02G33860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDLGGGGGASGMDEAEAAFFARRGRRCCCFPWPSSASSHQRVGGAEEESWWQRAVDAVLKVREWSELVAGPRWKTFIRRFGRGGGGGPRPHNYGRKLNYDALSYALNFDEGHGASPEGDYTGYRDFSARFAAPPASAKSSMDLGGRDAPPLFNPPPPHDGAGRA >ORUFI02G33870.1 pep chromosome:OR_W1943:2:29210109:29211629:-1 gene:ORUFI02G33870 transcript:ORUFI02G33870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIRERRSVDVDDSVISDGKRLQNLHCESSHFQMHQLPEKGSRAICFQPLKIPASPTNIHYQLRWKGKLSTSGSCKHSETEKLLYRGLSKSERYTSTFWMVCMDNQSIKKLDNNYMHAAQQYCP >ORUFI02G33880.1 pep chromosome:OR_W1943:2:29219140:29221602:-1 gene:ORUFI02G33880 transcript:ORUFI02G33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAADFYHVMTAMVPLYVAMILAYGSVKWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLMVLAMLTAWSHLSRRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARMLITEQFPDTAANIASIVVDPDVVSLDGRRDAIETETEVKEDGRIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFGAADAFGVRTGATPRPSNYEDDASKPKYPLPASNAAPMAGHYPAPNPAVSSAPKGAKKAATNGQAKGEDLHMFVWSSSASPVSDVFGGAPDYNDAAAVKSPRKSSNLLSSPCTICLIWGAFLGLICTDSYISFTSVDGAKDREDYVERDDFSFGNRGVMDRDAEAGDEKAAAAAGADPSKAMAAPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIVLKSISILSDAGLGMAMFSLGLFMALQPHIIACGNKVATYAMAVRFLAGPAVMAAASFAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYSVHPSILSTAVIFGMLIALPITLVYYILLGL >ORUFI02G33890.1 pep chromosome:OR_W1943:2:29231449:29239891:1 gene:ORUFI02G33890 transcript:ORUFI02G33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFFRKLHIGEGSGDGASSSPPPPPSSRKGSGGVGGNHHPHAEQRQPSASAVSSWLDSVPGRPQPPTPSTPSEAEGSPFSSSVGSGAGAEERRQSVAAERRRSQEEEWERRRSQEEEAVREMRREKEDDDLEEFQLQLVLEMSARDNPEEMEIEVAKQISLGFCPPQSSTAEALAARYWNFNALGYDDRISDGFYDLYVTGNGPASITMPSLKDLRAQSLSHRVNWEAVLVHRGEDPELMKLDQTALIMSLELRESKPSEFVGNDLVQKLAGLVARHMGGTFFDSEGMLVKYQKMMRYLRTSIGSVVVPLGQLKIGLARHRALLFKVLADNIGIPCRLLKGRQYTGSDDGALNIVKFDDGREFIVDLVADPGTLIPSDGAVLSTEFEESSFSNNHHFNKDNDIRQLGSSNSLSNSACSSFECELLDRRSTWINVGPSDSDGATTSQTSKNNQQNTLSDSFGILSVSTFTSENRPITNESRSTDDIAAAKNKERSSVTINSSSTSPSPSSPEVGSTPAVRRMKVKDISEYMINAAKENPQLAQKIHEVLLENGVVAPPDLFSEDSMEEPKDLIVYDTTLFQSKDEMKKRMNELGSREYADRGHGPLLPHHPGHELPSKVPHRAPLDSLKPVEGLGIDHPPDIQDNTSFISQYEPSAPPQEASSQLTKQLPVTAAAVATAAVVASSMVVAAAKSNNDVNFDVPVAAAATVTAAAVVATTAAVSKQYEHLEPGNQLHSLPSPSEGNESIEKSADEFWDKQNFEIDHGQDNTLDQEKDSAEVRQDAERTSDKSSGTESAKSEITLDDVAEFEIQWEEITIGERIGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRTEVRIIKRLRHPNVVLFMGAITRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERKRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSKMKNKTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNAMQVVGAVGFQNRRLDIPDNTDPAIAEIIAKCWQTDPKLRPSFADIMASLKPLLKNMTAQAPRQRVQQTDE >ORUFI02G33890.2 pep chromosome:OR_W1943:2:29231534:29239891:1 gene:ORUFI02G33890 transcript:ORUFI02G33890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFFRKLHIGEGSGDGASSSPPPPPSSRKGSGGVGGNHHPHAEQRQPSASAVSSWLDSVPGRPQPPTPSTPSEAEGSPFSSSVGSGAGAEERRQSVAAERRRSQEEEWERRRSQEEEAVREMRRSQEEDEVEERVIRESSEAEERKRVREKEDDDLEEFQLQLVLEMSARDNPEEMEIEVAKQISLGFCPPQSSTAEALAARYWNFNALGYDDRISDGFYDLYVTGNGPASITMPSLKDLRAQSLSHRVNWEAVLVHRGEDPELMKLDQTALIMSLELRESKPSEFVGNDLVQKLAGLVARHMGGTFFDSEGMLVKYQKMMRYLRTSIGSVVVPLGQLKIGLARHRALLFKVLADNIGIPCRLLKGRQYTGSDDGALNIVKFDDGREFIVDLVADPGTLIPSDGAVLSTEFEESSFSNNHHFNKDNDIRQLGSSNSLSNSACSSFECELLDRRSTWINVGPSDSDGATTSQTSKNNQQNTLSDSFGILSVSTFTSENRPITNESRSTDDIAAAKNKERSSVTINSSSTSPSPSSPEVGSTPAVRRMKVKDISEYMINAAKENPQLAQKIHEVLLENGVVAPPDLFSEDSMEEPKDLIVYDTTLFQSKDEMKKRMNELGSREYADRGHGPLLPHHPGHELPSKVPHRAPLDSLKPVEGLGIDHPPDIQDNTSFISQYEPSAPPQEASSQLTKQLPVTAAAVATAAVVASSMVVAAAKSNNDVNFDVPVAAAATVTAAAVVATTAAVSKQYEHLEPGNQLHSLPSPSEGNESIEKSADEFWDKQNFEIDHGQDNTLDQEKDSAEVRQDAERTSDKSSGTESAKSEITLDDVAEFEIQWEEITIGERIGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRTEVRIIKRLRHPNVVLFMGAITRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERKRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSKMKNKTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNAMQVVGAVGFQNRRLDIPDNTDPAIAEIIAKCWQTDPKLRPSFADIMASLKPLLKNMTAQAPRQRVQQTDE >ORUFI02G33900.1 pep chromosome:OR_W1943:2:29244363:29245424:1 gene:ORUFI02G33900 transcript:ORUFI02G33900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFWGSGHPADEVADFDEYDPTPYGGGYDIALTFGRALPPSDEICHPISTASSSSSSYDRPQQGRRPPAEETHLSAGHGRRPDDDEATHGGGYRKPKPAYGDDEQQRRHTSGGGRKKHGGDDDDGSGDERKPRYKKHDDDDDGERKPRYKKRDDDDDDDDYERKPRYKKRDDDDDDSDGERKQRYEKNNRRRHDYDD >ORUFI02G33910.1 pep chromosome:OR_W1943:2:29250310:29254898:1 gene:ORUFI02G33910 transcript:ORUFI02G33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAEDALEESGVAVYLPRLLAGVISGALTGLFALAGALTGAVTGALAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWCSDRLGSHGTSSMADFIEQLLQARFVQEQIVPSGYATHRWQVSISDFGHDDLYDIFGDCSSKGLSRESLNKLPHYVVTDQTRNSFGEDLSCTICLQDIVTGETARRLPNCSHTFHQPCVDKWLVGHGSCPVCRQCV >ORUFI02G33910.2 pep chromosome:OR_W1943:2:29250310:29254898:1 gene:ORUFI02G33910 transcript:ORUFI02G33910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAEDALEESGVAVYLPRLLAGVISGALTGLFALAGALTGAVTGALAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWCSDRLGSHGTSSMADFIEQLLQARFVQEQIVPSGYATHRWQVSISDFGHDDLYDIFGDCSSKGLSRESLNKLPHYVVTDQTRNSFGEDLSCTICLQDIVTGETARRLPNCSHTFHQPCVDKWLVGHGSCPESICEYCKK >ORUFI02G33920.1 pep chromosome:OR_W1943:2:29254557:29257406:-1 gene:ORUFI02G33920 transcript:ORUFI02G33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTIDLREAAAAAAAAMKAIAGRSDEEVLAAVLARKGGPVPFLQAAIDAAAAAATKAIAGRSDEEVLAAVLARKGGPVPFLQAAIDVAQRRSDLFLDPSAPGVVAEMAVEAQAKAEAEERRKRAKGEPRKAEEMLKEEEPMKAEEMLKEEEPMKADEMLKEEEPMKAEEMLKEEPRTPMREAGRDKVERAAVVERVRDPKPNAGNGLDLEKYSWTQERPEVTITIPVPQGTKSSLVTYEIMKNHLKVGLKGCSFIIDGELFEPVKVNDCLWTIEDGNTLSILLTKENQKEWWTSVIKGDPELDPRDMKVPELRDCDVEAKETIVRILSHGLPKAMNFCPFMHNRFSYH >ORUFI02G33930.1 pep chromosome:OR_W1943:2:29258893:29259480:-1 gene:ORUFI02G33930 transcript:ORUFI02G33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTNQTLPFFTQFLRFKAQYSDLPTLTCDHSCLLATIVNLHYFRLQARLFLPVVTRLFVVANSAVTAYLVLSIPLSVVDIIRSRASYSRLVLIFLDSVMLALVAAVASASAAIVYLAHKGNVRANWFAVCQQFDSFCERISGPLIGSFAAMAVLLLLVLLSAAALARR >ORUFI02G33940.1 pep chromosome:OR_W1943:2:29263711:29265353:1 gene:ORUFI02G33940 transcript:ORUFI02G33940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLLKSSPHFSALHSANRAQLHGRCRATLGGFHDPRSNSSRCKKSAGRGSVKANASPLDVVTLMVTMVEHVDLQRDYVVHKSIWHLSDAALKSVYTFYAFFTDPFYDSEAYRGQGGDGTVHWYYDRQEDLEASAREELLREELLEEIEQRVGGLRELEEAAKEEQLTN >ORUFI02G33950.1 pep chromosome:OR_W1943:2:29271693:29274435:1 gene:ORUFI02G33950 transcript:ORUFI02G33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQGAAALTPATGLGSRPSLTVKTSRVCTTAALPPLCRCGRRHLIGSTSATALLPLLALPSPAASPVDPEVMLERVHPARPEWYEKFYATAMDKFMKPYEAEIAQYKSKLFSQLMTAGKNILELGVGTGPNLKYYANADGVNIVGVDPNKHMEEYARAAAVSAGLPPSNFTFRRGVGEALPAEDNSMDAVVGTLVMCSVSDVEMALREIKRVLKPGGLYIFIEHVAAPDGSFLRFVQGALNPLQQFVSDGCHLTRETGEIIRDAGFSSLDLNTTRLSTAFILSPHVYGVACK >ORUFI02G33960.1 pep chromosome:OR_W1943:2:29282115:29283740:1 gene:ORUFI02G33960 transcript:ORUFI02G33960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRDVLAVVLVAALLPPALSRGLWLGHHGLGHGHGRWRAPHVGGHGQGQGPQQHAPLGGGGWSSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACFEVRCDAGGGGSHSCLPGSVVVTATNFCPPNNALPSDDGGWCNPPRAHFDMSQPVFQRIALFKAGIVPVSYRRVACQKKGGIRFTINGHSYFNLVLVTNVGGAGDVHAVAVKSERSAAWQALSRNWGQNWQSAALLDGQALSFRVTTGDGRSVVSNNAVPRGWSFGQTFSGAQFN >ORUFI02G33970.1 pep chromosome:OR_W1943:2:29289333:29291941:1 gene:ORUFI02G33970 transcript:ORUFI02G33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYKYSLAAAAAAVAITGDSIVSAACSTRFLSPRVLFTQPEAPGGPRVAIRNPQALSFPVTCKAQEFPIDCFNMDPASGADLAIALP >ORUFI02G33980.1 pep chromosome:OR_W1943:2:29290288:29293795:-1 gene:ORUFI02G33980 transcript:ORUFI02G33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDLALRAGGDRLLVADTVAAVVESLVQAWRQVRMELLVPLLRGAVVACMVMSVIVLAEKVFLGVVSAVVKLLRRRPARLYRCDPVVVEDDDEAGRASFPMVLVQIPMYNEKEVYQLSIGAACRLTWPADRLIVQVLDDSTDAIVKELVRKECERWGKKGINVKYETRKDRAGYKAGNLREGMWRGYVQGCEFVAMFDADFQPPPDFLLKTVPFLVHNPRLALVQTRWEFVNANDCLLTRMQEMSMDYHFKVEQEAGSSLCNFFGYNGTAGVWRRQVIDESGGWEDRTTAEDMDLALRAGLLGWEFVYVGSIKVKSELPSTLKAYRSQQHRWSCGPALLFKKMFWEILAAKKVSFWKKLYMTYDFFIARRIISTFFTFFFFSVLLPMKVFFPEVQIPLWELILIPTAIILLHSVGTPRSIHLIILWFLFENVMALHRLKATLIGFFEAGRANEWIVTQKLGNIQKLKSIVRVTKNCRFKDRFHCLELFIGGFLLTSACYDYLYRDDIFYIFLLSQSIIYFAIGFEFMGVSVSS >ORUFI02G33980.2 pep chromosome:OR_W1943:2:29290288:29293896:-1 gene:ORUFI02G33980 transcript:ORUFI02G33980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHVLTTTAPPLALLPPQSSDLALRAGGDRLLVADTVAAVVESLVQAWRQVRMELLVPLLRGAVVACMVMSVIVLAEKVFLGVVSAVVKLLRRRPARLYRCDPVVVEDDDEAGRASFPMVLVQIPMYNEKEVYQLSIGAACRLTWPADRLIVQVLDDSTDAIVKELVRKECERWGKKGINVKYETRKDRAGYKAGNLREGMWRGYVQGCEFVAMFDADFQPPPDFLLKTVPFLVHNPRLALVQTRWEFVNANDCLLTRMQEMSMDYHFKVEQEAGSSLCNFFGYNGTAGVWRRQVIDESGGWEDRTTAEDMDLALRAGLLGWEFVYVGSIKVKSELPSTLKAYRSQQHRWSCGPALLFKKMFWEILAAKKVSFWKKLYMTYDFFIARRIISTFFTFFFFSVLLPMKVFFPEVQIPLWELILIPTAIILLHSVGTPRSIHLIILWFLFENVMALHRLKATLIGFFEAGRANEWIVTQKLGNIQKLKSIVRVTKNCRFKDRFHCLELFIGGFLLTSACYDYLYRDDIFYIFLLSQSIIYFAIGFEFMGVSVSS >ORUFI02G33990.1 pep chromosome:OR_W1943:2:29295244:29299474:-1 gene:ORUFI02G33990 transcript:ORUFI02G33990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAIASSPAATLFLAGSSSSSPRRRRSRVSGVWWHLYGGTGLRLHWERRGLVRGGAVGGLRDGAVVCSASAAGGEDGVAKAKAKSAGSSKAVAVQGSTAKADHVEDSVSSPKSVKPAVAKQNGEVVSRATKSDAPVPKPKVDPSVPASKAEADGNAQAVESKAALDKKEDVGVAEPLEAKADAGGDAGAVSSADDSEKKESGPLAGPNVMNVIVVASECSPFCKTGGLGDVVGALPKALARRGHRVMVVIPRYGEYAEAKDLGVRKRYRVAGQDSEVSYFHAFIDGVDFVFLEAPPFRHRHNDIYGGERFDVLKRMILFCKAAVEVPWFAPCGGSIYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMQYTRSVLVIHNIAHQGRGPVDDFATMDLPEHYIDHFRLYDPVGGEHSNVFAAGLKMADRAVTVSHGYLWEIKTMDGGWGLHEIINHNDWKLQGIVNGIDMAEWNPEVDEHLQSDGYANYTFETLDTGKKQCKEALQRQLGLQVRDDVPLIGFIGRLDHQKGVDIIGDAMPWIAGQDVQVVMLGTGRPDLEEMLRRFESEHNDKVRGWVGFSVQLAHRITAGADVLLMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFADTGLGWTFDRAEANRMIDALGHCLNTYRNYKESWRGLQARGMAQDLSWDHAAELYEDVLVKAKYQW >ORUFI02G33990.2 pep chromosome:OR_W1943:2:29295246:29299474:-1 gene:ORUFI02G33990 transcript:ORUFI02G33990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAIASSPAATLFLAGSSSSSPRRRRSRVSGVWWHLYGGTGLRLHWERRGLVRGGAVGGLRDGAVVCSASAAGGEDGVAKAKAKSAGSSKAVAVQGSTAKADHVEDSVSSPKSVKPAVAKQNGEVVSRATKSDAPVPKPKVDPSVPASKAEADGNAQAVESKAALDKKEDVGVAEPLEAKADAGGDAGAVSSADDSEKKESGPLAGPNVMNVIVVASECSPFCKTGGLGDVVGALPKALARRGHRVMVVIPRYGEYAEAKDLGVRKRYRVAGQDSEVSYFHAFIDGVDFVFLEAPPFRHRHNDIYGGERFDVLKRMILFCKAAVEVPWFAPCGGSIYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMQYTRSVLVIHNIAHQGRGPVDDFATMDLPEHYIDHFRLYDPVGGEHSNVFAAGLKMADRAVTVSHGYLWEIKTMDGGWGLHEIINHNDWKLQGIVNGIDMAEWNPEVDEHLQSDGYANYTFETLDTGKKQCKEALQRQLGLQVRDDVPLIGFIGRLDHQKGVDIIGDAMPWIAGQDVQVVMLGTGRPDLEEMLRRFESEHNDKVRGWVGFSVQLAHRITAGADVLLMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFADTGLGWTFDRAEANRMIDALGHCLNTYRNYKESWRGLQARGMAQDLSWDHAAELYEDVLVKAKYQW >ORUFI02G34000.1 pep chromosome:OR_W1943:2:29303800:29306713:1 gene:ORUFI02G34000 transcript:ORUFI02G34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSSSAAAARATFVMPSSVRGGMSRGRRMARLVTRAAASSPKLPSGRRLRVAVVGGGPAGGAAAEALAKGGVETVLIERKLDNCKPCGGAIPLCMVSEFDLPLDLVDRKVRKMKMISPSNVAVDIGGTLAPHEYIGMVRREVLDAYLRSRAEGAGAEVVNGLFLRYEAPKEPNGSYVVHYNHYDSSNGKAGGEKRTFEVDAIVGADGANSRVAKDMGAGDYEYAIAFQERVKIPDDKMKYYEERAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKPDIKKFQAATRLRAKDRIEGGKIIRVEAHPIPEHPRPKRVAGRVTLVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVAGSANGTRMVEESDLRRYLAEFDRLYWPTYKVLDVLQKVFYRSNAAREAFVEMCADDYVQRMTFDSYLYKRVVPGNPLDDIKLAVNTIGSLVRATALRREMEKVTL >ORUFI02G34010.1 pep chromosome:OR_W1943:2:29306934:29308383:-1 gene:ORUFI02G34010 transcript:ORUFI02G34010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWRFYGGHQFQSYSFHEEENLFQDWSLDYLLLGEDEPFFTHHFSTSVHSNFVQDELYTLFDGDILSIWGDMKEDAYHRSDKDGGEKEEKLDHEKAMELQLQRLPSGRQSGEKTLTFELVSQYFCLPIKQAAQELNVGLTLLKRRCRVLGIPRWPHRKVKSLETLIKNVQELGMETGQDEDNTRNAVEMLQQTKKLIEQSPDAKLDDWTKMLRQACFKENYKRRRLLAIEG >ORUFI02G34020.1 pep chromosome:OR_W1943:2:29310972:29313859:-1 gene:ORUFI02G34020 transcript:ORUFI02G34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAAPRLRAAAWGSLSPPAPVRAQFVSLPLSLPGRYASLRLCASAAAAAKEGAEEMGFEEMAAGTRRRYYMLGGKGGVGKTSCAASLAVRFANNGHPTLVVSTDPAHSLSDSFAQDLSGGALVPVEGPEAPLFALEINPEKAREEFRAASQKNGGTGVKDFMDGMGLGVLAEQLGELKLGELLDTPPPGLDEAIAISKVMQFLEAQEYSMFRRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRSKIASATSAIKSVFGQEVQQQDAANKLEQLRERMVQVRELFRDTESTEFIIVTIPTVMAISESARLHSSLRNESVPVKRLIVNQILPPSSSDCKFCAIKRKDQTRALDMIRNDPELMGLNLIQAPLVDMEIRGVPALKFLGDIVWK >ORUFI02G34030.1 pep chromosome:OR_W1943:2:29325542:29329772:1 gene:ORUFI02G34030 transcript:ORUFI02G34030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNSRTNSRANYSNEIHDLSTVQNGTMPTMYYGEKAIADFFPPHLLKKVVSEVVATFLLVFMTCGAAGISGSDLSRISQLGQSIAGGLIVTVMIYAVGHISGAHMNPAVTLAFAVFRHFPWIQVPFYWAAQFTGAICASFVLKAVIHPVDVIGTTTPVGPHWHSLVVEVIVTFNMMFVTLAVATDTRAVGELAGLAVGSAVCITSIFAGAISGGSMNPARTLGPALASNKFDGLWIYFLGPVMGTLSGAWTYTFIRFEDTPKEGSSQKLSSFKLRRLRSQQSIAADDVDEMENIQV >ORUFI02G34040.1 pep chromosome:OR_W1943:2:29333919:29343714:-1 gene:ORUFI02G34040 transcript:ORUFI02G34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIGTELRLHMRRTTTTLALHKEVEVDGPWTAVDIVSNIRHVDHTSVGHAAAAVALLWLAKLYRTEKRMKMEVTNLTWEIPWIILVDVQLPDELNGKRLLLDFPSKLFPWVVHSELCGTIPKTSETSKFQHGVLEWSFELVTDLVSQAYLPLSSTAAA >ORUFI02G34040.2 pep chromosome:OR_W1943:2:29333919:29343714:-1 gene:ORUFI02G34040 transcript:ORUFI02G34040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIGTELRLHMRRTTTTLALHKERTEKRMKMEVTNLTWEIPWIILVDVQLPDELNGKRLLLDFPSKLFPWVVHSELCGTIPKTSETSKFQHGVLEWSFELVTDLVSQAYLPLSSTAAA >ORUFI02G34050.1 pep chromosome:OR_W1943:2:29340644:29343504:1 gene:ORUFI02G34050 transcript:ORUFI02G34050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMRSSDIEKQDEVMLPGFRFHPTDEELVRFYLRRKIQQKSLPIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSTRPNRVTGAGFWKATGTDRPIYSSDGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDPSLPQKKPLEKIIPPNDSWAICRIFKKTNSTAQRALSHSWVSPPLSSTNEAYTAPVSQTTQRSQHISDNTSSVMTDAISSTIQFTGSSYLPSTVPSCHNPLSMIDSNSRPDASVPLPSPVAEHQTMGILSAIPLDITAGIDIASMVFNASSFTLPNMDRMTANIEFGQPQKCNSSSSMTNRCVVDMPDVANNINSGPRSINFNLQGTLSDDWRMTLPWESLPCTTEVSTNFQSTKCYT >ORUFI02G34060.1 pep chromosome:OR_W1943:2:29344750:29346697:1 gene:ORUFI02G34060 transcript:ORUFI02G34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGRASAQRRAALAALITLLLLASLAFLLSATGTASAPNSAPFRLAAIRRHAEDHAAVLAAYAAQARKLSAASASQTESFLSISGHLSSLSSRISLSTVALLEKETRGKIKRARALAGAAKEAFDTQSKIQKLSDTVFAVDQQLLRARRAGLLNSRIAAGSTPKSLHCLVMRLLEARLANASAIPDDPPVPPPQFTDPALYHYAIFSDNVLAVSVVVASAARAAAEPARHVFHVVTAPMYLPAFRVWFARRPPPLGTHVQLLAVSDFPFLNASASPVIRQIEDGNRDVPLLDYLRFYLPEMFPALRRVVLLEDDVVVQRDLAGLWRVDLGGKVNAALETCFGGFRRYGKHINFSDPAVQERFNPRACAWSYGLNVFDLQAWRRDQCTQRFHQLMEMNENGTLWDPASVLPAGLMTFYGNTRPLDKSWHVMGLGYNPHIRPEDIKGAAVIHFNGNMKPWLDVAFNQYKHLWTKYVDTEMEFLTLCNFGL >ORUFI02G34070.1 pep chromosome:OR_W1943:2:29349548:29358041:1 gene:ORUFI02G34070 transcript:ORUFI02G34070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSANPNAKGKAAASSSGLPSPKTTPRGVRPKAFKKKAKADPEMQKVAAAEAAATAETASAPPLKPAEVSPAAAVAKENGGQRMSRKEKTKMKEGDQMKEDKKGRIKGKEKKDDKARERKGEAGFIFMCSAKTKPECFQNGVFGLPKGKIDVVEKIRPGAKLFLYDFDLKLLYGIYKAKTKGGLDLVRGAFHGKFPAQSSFKHAIKENYNSKGKFTQELSLKQVHRLLELFKPISLPQSSIQYVKERHRRLDVSEGRLPHYVEGRRLPRHVEEMHHLRHVEERRLPYDHEERRLPSAPRAIVEATDREAFLARDYRVPEEIVARSDHVDELYRSYRLATRAMDLHQGPSYVTAAYENPGPAYSESIHQMPVSSTRPNVPGAPVSSLYSFAGAPAYR >ORUFI02G34080.1 pep chromosome:OR_W1943:2:29358519:29361442:1 gene:ORUFI02G34080 transcript:ORUFI02G34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGASDELLGTFVPIAVYWLYSGLYLALDGVERLDVYRLHPREEEAAKNVVSRGTVVRGVLVQQAFQVAVSLTLFAVIGDESDIEQKQPSALVILLQFAIAMFVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLVSGMTPWTSIFFFSFATIKTVDDHCGLWLPGNILHALFNNNSAYHDIHHQLYGNKYNFSQPFFVIWDKILGTYMPYSIEHRKGGGFESRPVKLNIAEQTKTD >ORUFI02G34090.1 pep chromosome:OR_W1943:2:29362624:29367113:1 gene:ORUFI02G34090 transcript:ORUFI02G34090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERRLPISTPAAAGPRRHPRRQRRHCRLLVLPAFALALVSLAYLSFSSHPNLPFHDVPCQIEFLPSVDDLVEPGIYNNFTGFSLNYISKENVSSSNGIFEPLFGGHQSLQEREETYYARNQTLHCGFVQGPEDYPNTGFDLDENDKIYMASCRVVVSSCIFGSSDYLRRPTKSKIGPYSKKNVCFVMFLDELTLGTLSSEGTGPDETGFIGLWRIVVVEKLPYKDMRRAGKVPKFLAHRLFPFAMYSIWLDSKLRLNADPMLIVEYFLWRNKAEYAISVHYDRTCVSEEVLQNKRLNKYNHTAIDEQFYFYQSDGLVKFNESGREPVLPSCSYHYLMI >ORUFI02G34090.2 pep chromosome:OR_W1943:2:29362624:29367113:1 gene:ORUFI02G34090 transcript:ORUFI02G34090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPERRLPISTPAAAGPRRHPRRQRRHCRLLVLPAFALALVSLAYLSFSSHPNLPFHDVPCQIEFLPSVDDLVEPGIYNNFTGFSLNYISKENVSSSNGIFEPLFGGHQSLQEREETYYARNQTLHCGFVQGPEDYPNTGFDLDENDKIYMASCRVVVSSCIFGSSDYLRRPTKSKIGPYSKKNVCFVMFLDELTLGTLSSEGTGPDETGFIGLWRIVVVEKLPYKDMRRAGKVPKFLAHRLFPFAMYSIWLDSKLRLNADPMLIVEYFLWRNKAEYAISVHYDRTCVSEEVLQNKRLNKYNHTAIDEQFYFYQSDGLVKFNESGREPVLPSCSYHYLMI >ORUFI02G34100.1 pep chromosome:OR_W1943:2:29367685:29371970:-1 gene:ORUFI02G34100 transcript:ORUFI02G34100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGPPKKRNFKIELFKHRVELDPKYAERTWKVLEHAIHEIYNHNASGLSFEELYRSAYNMVLHKYGEKLYDGLERTMTWRLKEISKSIEAAQGGLFLEELNAKWMDHNKALQMIRDILMYMDRTYVPQSRRTPVHELGLNLWRDHIIHSPMIHSRLLDTLLDLIHRERMGEMINRGLMRSITKMLMDLGAAVYQDDFEKPFLDVTASFYSGESQEFIECCDCGNYLKKSERRLNEEMERVSHYLDSGTEAKITSVVEKEMIANHMHRLVHMENSGLVNMLVDDKYDDLARMYNLFRRVFDGLSTIRDVMTSYLRETGKQLVTDPERLKDPVEFVQRLLNEKDKHDKIINVAFGNDKTFQNALNSSFEYFINLNNRSPEFISLYVDDKLRKGLKGATEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMIDFYAKKSEELGDGPTLDVHILTTGSWPTQPCPPCNLPTEILAICDKFRTYYLGTHSGRRLTWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNSTDGLTYKDIEQDTAIPASDLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYFNDKFTSKLVKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVAEVTKQLQARFMPNPVVIKKRIESLIEREFLERDKADRKERGMRLIRHHICPDRFESGNRDMEPPTQAQLEAEVEGNYCRSGVPEGRRAFAMKRHLYTYAHASNMIVQNRFGVWSHRDQGYIRRTRYFKFVKIGWGLSRLRRVCQQHGVFAWYQCTPRREELEAAEAGVRRLFSRHTPAWMKKQKRLQRRERERDERRLNKQKRPLALKQQQLKAQAPMTRAVLLFAYMLFYLDKMKTMCVSFFEDKMEVIYIFVIQP >ORUFI02G34110.1 pep chromosome:OR_W1943:2:29398280:29401552:-1 gene:ORUFI02G34110 transcript:ORUFI02G34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLREVVAEGGNKDGSGSHLAPLPPTPSPIQWRSRHRRTRRASSMPVCITAASNPRLATSVAAKIAVRASDPADAAAQDPAKEMRSGHPTQQTHCQADFKADDGAGGSSRYGGGRRRPADTIYDSSKQLSSTDAFALHGSALQAEVGEFHLLHALHNSPFSLQEPQLPSSVDQLQRLSFIANKALVGMPPLPEHALPADPRNEAWFSMQPGSRSRWRASSRASSRTCHRRLRRPHANNAVAARAGGDGSDRLPSGGRVAFAGRLTATSCFADSASSRKPSQPHARSEGGGGGRGQQGWQRQPSGAAPTYSVPHPVFSGGGPGIAELNGHPRCLFASPPRAILASPPALPPRSPCVHPIQLTRRLKIQPRKCDQATRRSKRIAKQTSRPTMERCQRVLFKRLGILNDEGGTSIEQVLAQYIAMFNGPLPPHDAMDAALISLVGEGVAEVADEVEENAT >ORUFI02G34120.1 pep chromosome:OR_W1943:2:29446083:29451990:1 gene:ORUFI02G34120 transcript:ORUFI02G34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAIGRGMTAAATTSRRLFHTRRGSRHLSPTLTCGSVINDKGRDRSGGLSSSVQILPPLRPRCTRIRRWQRQERRILRPLLLPCRSRHLSTPTHSNLPPAVTGEVDPTTSQYNDNIGRPRGEGGMMMWRPLVWCLHKTVDMEYLLEMKGWARDHVGCDEEQKKKTKENIRRRKSSGANSGQCSLWGLNE >ORUFI02G34130.1 pep chromosome:OR_W1943:2:29453335:29454570:1 gene:ORUFI02G34130 transcript:ORUFI02G34130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDVAGDAGGGRRPNFPLQLLEKKEEQPCSSSAAGGGTGPSSAGGNGNNGSGPGGAGGEMQLRKAAPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSLSAPAHLRALPSPAAAARFGSRADAWDRVVSLGFPSEGPASSSSSPSPLLLNFHSGSVGLDVQPSPSAAAAAADLSRKRRWEQEMQQQQQQQQQQQQQQQQQQYQQQMAGYTQSQMPGTVWMVPSNSTQGGGPSGGGGGGGGGSGESIWTFPQMSSAAAAAAVYRGSVPSGLHFMNFPAPMALLPGQQLGLGPVGGGGGGGGGGEGHMGILAALNAYRTQAATDAAGQQGGGGGGGGSSQQQHGGGGGGGERHQSISTSDS >ORUFI02G34140.1 pep chromosome:OR_W1943:2:29463129:29465813:1 gene:ORUFI02G34140 transcript:ORUFI02G34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSGSFLKVVVKNLDVLAGPIVSLAYPLYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFSPVLEWLPLWSYAKLFFNCWLVLPYFNGAAHVYEHFVRPMVVNQQIVNIWYIPRKDESDRPDDVISAAQRYIEQNGSRAFESLVNKFKASNTRRSILEEVEAERRAKAELEAEARDENPFFNQNYRY >ORUFI02G34150.1 pep chromosome:OR_W1943:2:29466308:29469945:-1 gene:ORUFI02G34150 transcript:ORUFI02G34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLGFFSSSSFGSSEEASGSFVPIDPFRPREMESSLKEEKAAGESGDDEKAQRSSPINLNSLPATAACAATAPDEDGLHSAVESGAKDSNTTKGVESLGTGHKKIPKREVVDEVDVQTCAEGKNDSVVPSSSKNPINDKNAKANVAENGQSADGIPEDQRVTILSVVKKDEPADDVRDSVNPVTVVGYRDEKGGTSGTAGTTAVRPAGTRSSSFHGVTRHRWSGKYEAHLWDSSCRMEGRRRKGRQGSYDTEEKAARSYDVAALKYWGQNTKLNFSVSEYERELEDIRDMSREECVTYLRRRSSCFSRGASIYRGVTRRQKDGRWQARIGLVAGTRDIYLGTFKTEEEAAEAYDIAAIEIRGKNAVTNFDRSNYMEKGMHCIEGAGLKLLASKPE >ORUFI02G34150.2 pep chromosome:OR_W1943:2:29467847:29469945:-1 gene:ORUFI02G34150 transcript:ORUFI02G34150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLGFFSSSSFGSSEEASGSFVPIDPFRPREMESSLKEEKAAGESGDDEKAQRSSPINLNSLPATAACAATAPDEDGLHSAVESGAKDSNTTKGVESLGTGHKKIPKREVVDEVDVQTCAEGKNDSVVPSSSKNPINDKNAKANVAENGQSADGIPEDQRVTILSVVKKDEPADDVRDSVNPVTVVGYRDEKGGTSGTAGTTAVRPAGTRSSSFHGIDGVENMKLICGTVRAEWKGGEEREGKLRAAHLSYSTIIAYCWGSGFDLAQRGMKGLLLLPLILPSMGSEAEAALPGWGGSECSVRLRRMGTLEPCVYPGGNGRHKITKSLNGALKAANYL >ORUFI02G34150.3 pep chromosome:OR_W1943:2:29466308:29467195:-1 gene:ORUFI02G34150 transcript:ORUFI02G34150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVMIPRKKLPEYERELEDIRDMSREECVTYLRRRSSCFSRGASIYRGVTRRQKDGRWQARIGLVAGTRDIYLGTFKTEEEAAEAYDIAAIEIRGKNAVTNFDRSNYMEKGMHCIEGAGLKLLASKPE >ORUFI02G34150.4 pep chromosome:OR_W1943:2:29466308:29467205:-1 gene:ORUFI02G34150 transcript:ORUFI02G34150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFPHGGASVYLGSYDTEEKAARSYDVAALKYWGQNTKLNFSVSEYERELEDIRDMSREECVTYLRRRSSCFSRGASIYRGVTRRQKDGRWQARIGLVAGTRDIYLGTFKTEEEAAEAYDIAAIEIRGKNAVTNFDRSNYMEKGMHCIEGAGLKLLASKPE >ORUFI02G34160.1 pep chromosome:OR_W1943:2:29472951:29474990:1 gene:ORUFI02G34160 transcript:ORUFI02G34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVGKERKRPRCALVGVGGHDSDVADEPGGDKHSKVVTSRGLRDRRIRLSVPTSIAFYDIQDRLGVNRPSKSIEWLICAALVARLLLRPPQRRPAPHPRRPSSGQPSEEQARTRKATVAVSPGKSGEKRRKTRSGCAARKAERITKFRGVRRGAHREVEGAEGGVDAEARGEHLGDVGRPELDQEAVDARERRLVLVLRCEAGEAAVGGEGRGGGGAGSRWRGAGWWRTTTMTMMAARARSSSPAAANAAQIGVGD >ORUFI02G34170.1 pep chromosome:OR_W1943:2:29480475:29480967:-1 gene:ORUFI02G34170 transcript:ORUFI02G34170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSRGSISEEEINELISKLQSLLPNSRRRGSSQASTTKLLKETCNYIKSLHREVDDLSDRLSDLMATMDHNSPGAEIIRSILRS >ORUFI02G34180.1 pep chromosome:OR_W1943:2:29488790:29493874:-1 gene:ORUFI02G34180 transcript:ORUFI02G34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVLPRPADITPELRRFLDSRFRSQADLAAAADVEAEIRGRYAELEASVSDLSVRLAAAAAAYSSSCSAAGTALSNVRGCLAALNASTSEPGVTEAVEVGSEEMLFEQLSSLAKEVARVELVRDYAETALKLDSFVGDVEDAVSSSVTGKLKSRAESSLKTYHVPIGYLKTIEDILSSVTKTRPQWTRLVSAVDHRVDRSLALLRPQAIVDHRALLASLGWPPSLSGTNFSSINSGKPSEIVNPLFSMKGDLKSKYSESFLSLCSLQELQKRRKARQLEGHIVNNQLRQPLWVIEELVNPIAAAAQRHFSKWVEKPEFVFALAYKITRDFVDSMDEILQPLVDKANLVGYSCREEWISGMVITLSTYLVKEIFPKHIELLQEISSADASSKQSQARVSWLNLIDLMISFDKQTQALISSSGLLLSVKDDDNWQRISVLSVFCDRPDWLEIWAEIERQDTHDKLRLSMENEKNWNTRFQGTVLEYGSDDYKSPAITGVIQKGLSLLIDRARPIPNIALRAEFIKISTSPLLSEFLGWMLQRCQEAEGLTALADDNALLKVSQSINAARYLESTLTEWCNDVFFLEMENIDGRSECIFQVEINQLKDFRVQWTEKISTVILRDFDARSRDYLKNKRQWQEKSEGLTLSRAFVECLDYMQGRIAKLEDGLNVLDFVTVWRTVASGVDQLLFSGIFSGSTKFSNGGVERLHGDLSILFAAFSAWCMRPEGFFPRLSEGLKLLDIDEKQLRDGACTDNNRLREYGIRRLTAAEVEKIIKNRIYES >ORUFI02G34190.1 pep chromosome:OR_W1943:2:29504709:29507447:-1 gene:ORUFI02G34190 transcript:ORUFI02G34190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPKMPHNECFMCSDKESLAVGTELLVFGKEILSHIVLSYSILTNSWSPGVDMNAPRCLFGSASFGEKAIVAGGMDAQGRVLRSAELYNSETKRWITLPCMNKARRMCSGVFMNGKFYVIGGMASNTEVLTCGEEYDLEKGTWRVIENMSEGLNGASGAPPLVAVVENELYAAQYAGKLVRKYNIKDNTWTTLGELPERPEAVNGWGIAFRGCGERLLVIGGPRVMGGGMIELHSWIPREGPLRWNMIGSKPSGNFVYNCAVMGC >ORUFI02G34190.2 pep chromosome:OR_W1943:2:29504709:29507447:-1 gene:ORUFI02G34190 transcript:ORUFI02G34190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRSLPSSCDQESRLAYMTYHLLEITRSKRISSTPRIEPDSVAAVAVLTKRPKSARNHECEQLDCQGSNDQGFSDSSTLISSIGRDNSISCLARCSRSDYGSIASVNRNFHSLVRSGELYKERRQLGIAEHWVYFSCNVQEWEAYDPYRSRWMTLPKMPHNECFMCSDKESLAVGTELLVFGKEILSHIVLSYSILTNSWSPGVDMNAPRCLFGSASFGEKAIVAGGMDAQGRVLRSAELYNSETKRWITLPCMNKARRMCSGVFMNGKFYVIGGMASNTEVLTCGEEYDLEKGTWRVIENMSEGLNGASGAPPLVAVVENELYAAQYAGKLVRKYNIKDNTWTTLGELPERPEAVNGWGIAFRGCGERLLVIGGPRVMGGGMIELHSWIPREGPLRWNMIGSKPSGNFVYNCAVMGC >ORUFI02G34200.1 pep chromosome:OR_W1943:2:29521118:29522227:-1 gene:ORUFI02G34200 transcript:ORUFI02G34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGSRHAFRGGVRGGKTAYARSRSGPAAVHHTVSLKSSTLGSLSLERDRDEEMMKWRDDGGAAKTTPPPQQMARRQRQLVLATTAPAKTPAREPEVINVWELMEGLDDKDEEGDVRGEERRGQSTPGSPEFDPDIIAAFRKALDEVPAAGECPGDEVCVKKREIQRFPGIVRERVSAFQKRIDAKLAKMAPPPPSPSPPPEPEPQLPPPPPDSDRKVVLYLTSLRGIRKTYEDCWATKSILQGYGVLVDERDLSMHAGFKEELHAALGAPGSLPQVFADGRHLGGAEEVRRMHESGELSKALGDCEMAPPAAAGKGIALDACSGCGGVRFVPCEECSGSCKVFLEELDTFRRCPDCNENGLVRCPLC >ORUFI02G34210.1 pep chromosome:OR_W1943:2:29525081:29525849:-1 gene:ORUFI02G34210 transcript:ORUFI02G34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRSRNTLLRRFSNFTGLSPAAVSYSWVRARGDCGSGWNGDADEIDLGRHNEQELTHLDPLSLTLNPLCLTDLKNDQGHIGHNTRDFVC >ORUFI02G34220.1 pep chromosome:OR_W1943:2:29527351:29529609:1 gene:ORUFI02G34220 transcript:ORUFI02G34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGNMLADLFSINGYHEGLPMVLAHIATYAALALPPTVDARHHSCRGQEDLDHLVIFGAMNPATSANGAAAIIDPPSRTVQWASSHAYASCPSLLAGILGSHHGPGSIKLNRAVAMTAAQLNNGTGRALGGQSAPQFGTPCGKGNEEKEMTDQQVVKDTNDLHHANKQVHDEILAAITETEEGPLHLKCSRLHKKLT >ORUFI02G34230.1 pep chromosome:OR_W1943:2:29532342:29543341:1 gene:ORUFI02G34230 transcript:ORUFI02G34230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAMRLRCLLTRPPVSLAISASAGGGEGCFARRFGAAVAPRPWGAGRRLCRFYGSSKGGVGSAEARSAAAAEGSSGRCSEQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFMRRVVPWEKGNLTWQNFPYYVNENARRLLRECTASHLRHNGVTSEYGSRLQSSGGRILLQSLPGTELYRERLVRALAHELQVPLLVLDSSVLAPYDYGDDYSESDEEDEHDESEDEESDIEDEGDEDWTSNGEAKTDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVAGAEEGTTSESSESPESSEEDKRPYQRGDRVKYVGSSEAFEADQRTLSKGQRGEVYEINGDQVAVIFDPLAEKLHDGDNDATSKEENTEASIYWDIVHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQKVEEMFDKLTGPVVMICGQNILEAEPKDKDKEPPALMFHNLSRLSSLPSSLKRLVGGRPKYSRSSGISKLFTNSLIVPLPEEDEQRRIFNNQIEEDRKIIISRHNLVELHKVLQEHELSCVELLHVKSDGVVLTRQKAEKVVGWARSHYLSSAVLPNIKGDRLIIPRESLDVAIERLKEQGIKTKRPSQNIKNLAKDEYERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRNGDVTLTQVVLRWPVAISGENEIVKKCLPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIASAYRPVHELLEEEKKGGPCSQNTGLRPLRLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTRSPFGFGN >ORUFI02G34230.2 pep chromosome:OR_W1943:2:29532342:29543341:1 gene:ORUFI02G34230 transcript:ORUFI02G34230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAMRLRCLLTRPPVSLAISASAGGGEGCFARRFGAAVAPRPWGAGRRLCRFYGSSKGGVGSAEARSAAAAEGSSGRCSEQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFMRRVVPWEKGNLTWQNFPYYVNENARRLLRECTASHLRHNGVTSEYGSRLQSSGGRILLQSLPGTELYRERLVRALAHELQVPLLVLDSSVLAPYDYGDDYSESDEEDEHDESEDEESDIEDEGDEDWTSNGEAKTDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVAGAEEGTTSESSESPESSEEDKRPYQRGDRVKYVGSSEAFEADQRTLSKGQRGEVYEINGDQVAVIFDPLAEKLHDGDNDATSKEENTEASIYWDIVHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQKVEEMFDKLTGPVVMICGQNILEAEPKDKDKEPPSSLKRLVGGRPKYSRSSGISKLFTNSLIVPLPEEDEQRRIFNNQIEEDRKIIISRHNLVELHKVLQEHELSCVELLHVKSDGVVLTRQKAEKVVGWARSHYLSSAVLPNIKGDRLIIPRESLDVAIERLKEQGIKTKRPSQNIKNLAKDEYERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRNGDVTLTQVVLRWPVAISGENEIVKKCLPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIASAYRPVHELLEEEKKGGPCSQNTGLRPLRLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTRSPFGFGN >ORUFI02G34230.3 pep chromosome:OR_W1943:2:29532342:29543341:1 gene:ORUFI02G34230 transcript:ORUFI02G34230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAMRLRCLLTRPPVSLAISASAGGGEGCFARRFGAAVAPRPWGAGRRLCRFYGSSKGGVGSAEARSAAAAEGSSGRCSEQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFMRRVVPWEKGNLTWQNFPYYVNENARRLLRECTASHLRHNGVTSEYGSRLQSSGGRILLQSLPGTELYRERLVRALAHELQVPLLVLDSSVLAPYDYGDDYSESDEEDEHDESEDEESDIEDEGDEDWTSNGEAKTDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVAGAEEGTTSESSESPESSEEDKRPYQRGDRVKYVGSSEAFEADQRTLSKGQRGEVYEINGDQVAVIFDPLAEKLHDGDNDATSKEENTEASIYWDIVHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQKVEEMFDKLTGPVVMICGQNILEAEPKDKDKEPPALMFHNLSRLSSLPSSLKRLVGGRPKYSRSSGISKLFTNSLIVPLPEEDEQRRIFNNQIEEDRKIIISRHNLVELHKVLQEHELSCVELLHVKSDGVVLTRQKAEKVVGWARSHYLSSAVLPNIKGDRLIIPRESLDVAIERLKEQGIKTKRPSQNIKNLAKDEYERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIASAYRPVHELLEEEKKGGPCSQNTGLRPLRLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTRSPFGFGN >ORUFI02G34230.4 pep chromosome:OR_W1943:2:29532342:29543341:1 gene:ORUFI02G34230 transcript:ORUFI02G34230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAMRLRCLLTRPPVSLAISASAGGGEGCFARRFGAAVAPRPWGAGRRLCRFYGSSKGGVGSAEARSAAAAEGSSGRCSEQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFMRRVVPWEKGNLTWQNFPYYVKERLVRALAHELQVPLLVLDSSVLAPYDYGDDYSESDEEDEHDESEDEESDIEDEGDEDWTSNGEAKTDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVAGAEEGTTSESSESPESSEEDKRPYQRGDRVKYVGSSEAFEADQRTLSKGQRGEVYEINGDQVAVIFDPLAEKLHDGDNDATSKEENTEASIYWDIVHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQKVEEMFDKLTGPVVMICGQNILEAEPKDKDKEPPALMFHNLSRLSSLPSSLKRLVGGRPKYSRSSGISKLFTNSLIVPLPEEDEQRRIFNNQIEEDRKIIISRHNLVELHKVLQEHELSCVELLHVKSDGVVLTRQKAEKVVGWARSHYLSSAVLPNIKGDRLIIPRESLDVAIERLKEQGIKTKRPSQNIKNLAKDEYERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRNGDVTLTQVVLRWPVAISGENEIVKKCLPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIASAYRPVHELLEEEKKGGPCSQNTGLRPLRLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTRSPFGFGN >ORUFI02G34230.5 pep chromosome:OR_W1943:2:29532342:29543341:1 gene:ORUFI02G34230 transcript:ORUFI02G34230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAMRLRCLLTRPPVSLAISASAGGGEGCFARRFGAAVAPRPWGAGRRLCRFYGSSKGGVGSAEARSAAAAEGSSGRCSEQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFMRRVVPWEKGNLTWQNFPYYVNENARRLLRECTASHLRHNGVTSEYGSRLQSSGGRILLQSLPVRALAHELQVPLLVLDSSVLAPYDYGDDYSESDEEDEHDESEDEESDIEDEGDEDWTSNGEAKTDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVAGAEEGTTSESSESPESSEEDKRPYQRGDRVKYVGSSEAFEADQRTLSKGQRGEVYEINGDQVAVIFDPLAEKLHDGDNDATSKEENTEASIYWDIVHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQKVEEMFDKLTGPVVMICGQNILEAEPKDKDKEPPSSLKRLVGGRPKYSRSSGISKLFTNSLIVPLPEEDEQRRIFNNQIEEDRKIIISRHNLVELHKVLQEHELSCVELLHVKSDGVVLTRQKAEKVVGWARSHYLSSAVLPNIKGDRLIIPRESLDVAIERLKEQGIKTKRPSQNIKNLAKDEYERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIASAYRPVHELLEEEKKGGPCSQNTGLRPLRLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTRSPFGFGN >ORUFI02G34230.6 pep chromosome:OR_W1943:2:29532342:29543341:1 gene:ORUFI02G34230 transcript:ORUFI02G34230.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAMRLRCLLTRPPVSLAISASAGGGEGCFARRFGAAVAPRPWGAGRRLCRFYGSSKGGVGSAEARSAAAAEGSSGRCSEQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFMRRVVPWEKGNLTWQNFPYYVKERLVRALAHELQVPLLVLDSSVLAPYDYGDDYSESDEEDEHDESEDEESDIEDEGDEDWTSNGEAKTDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVAGAEEGTTSESSESPESSEEDKRPYQRGDRVKYVGSSEAFEADQRTLSKGQRGEVYEINGDQVAVIFDPLAEKLHDGDNDATSKEENTEASIYWDIVHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQKVEEMFDKLTGPVVMICGQNILEAEPKDKDKEPPALMFHNLSRLSSLPSSLKRLVGGRPKYSRSSGISKLFTNSLIVPLPEEDEQRRIFNNQIEEDRKIIISRHNLVELHKVLQEHELSCVELLHVKSDGVVLTRQKAEKVVGWARSHYLSSAVLPNIKGDRLIIPRESLDVAIERLKEQGIKTKRPSQNIKNLAKDEYERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIASAYRPVHELLEEEKKGGPCSQNTGLRPLRLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTRSPFGFGN >ORUFI02G34230.7 pep chromosome:OR_W1943:2:29532342:29543341:1 gene:ORUFI02G34230 transcript:ORUFI02G34230.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAMRLRCLLTRPPVSLAISASAGGGEGCFARRFGAAVAPRPWGAGRRLCRFYGSSKGGVGSAEARSAAAAEGSSGRCSEQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFMRRVVPWEKGNLTWQNFPYYVKERLVRALAHELQVPLLVLDSSVLAPYDYGDDYSESDEEDEHDESEDEESDIEDEGDEDWTSNGEAKTDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVAGAEEGTTSESSESPESSEEDKRPYQRGDRVKYVGSSEAFEADQRTLSKGQRGEVYEINGDQVAVIFDPLAEKLHDGDNDATSKEENTEASIYWDIVHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQKVEEMFDKLTGPVVMICGQNILEAEPKDKDKEPPSSLKRLVGGRPKYSRSSGISKLFTNSLIVPLPEEDEQRRIFNNQIEEDRKIIISRHNLVELHKVLQEHELSCVELLHVKSDGVVLTRQKAEKVVGWARSHYLSSAVLPNIKGDRLIIPRESLDVAIERLKEQGIKTKRPSQNIKNLAKDEYERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIASAYRPVHELLEEEKKGGPCSQNTGLRPLRLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTRSPFGFGN >ORUFI02G34240.1 pep chromosome:OR_W1943:2:29559527:29562419:1 gene:ORUFI02G34240 transcript:ORUFI02G34240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKCVISSPKLRNYSAASSRSGIALRTILRPHISNHSPPPPVLASLSLSPLQFSLYLRCGARRVAMEAGVGLALQSRAAGFGGSDRRRSALYSGEGRARIGSLRVAEPAVAKAAVWARGSKPVAPLRAKKSSGGHETLHNSVDEALLLKRKSEEVLFYLNGRCIYLVGMMGSGKSTVGKIMSEVLGYSFFDSDKLVEQAVGMPSVAQIFKVHSEAFFRDNESSVLRDLSSMKRLVVATGGGAVIRPVNWKYMKKGLSVWLDVPLDALARRIAKVGTASRPLLDQPSGDPYTMAFSKLSMLAEQRGDAYANADVRVSLEEIASKQGHDDVSKLTPTDIAIESFHKIENFVIEHTVDNPVGDSQADSRAQRIQTL >ORUFI02G34240.2 pep chromosome:OR_W1943:2:29559771:29562419:1 gene:ORUFI02G34240 transcript:ORUFI02G34240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGVGLALQSRAAGFGGSDRRRSALYSGEGRARIGSLRVAEPAVAKAAVWARGSKPVAPLRAKKSSGGHETLHNSVDEALLLKRKSEEVLFYLNGRCIYLVGMMGSGKSTVGKIMSEVLGYSFFDSDKLVEQAVGMPSVAQIFKVHSEAFFRDNESSVLRDLSSMKRLVVATGGGAVIRPVNWKYMKKGLSVWLDVPLDALARRIAKVGTASRPLLDQPSGDPYTMAFSKLSMLAEQRGDAYANADVRVSLEEIASKQGHDDVSKLTPTDIAIESFHKIENFVIEHTVDNPVGDSQADSRAQRIQTL >ORUFI02G34250.1 pep chromosome:OR_W1943:2:29562917:29563893:-1 gene:ORUFI02G34250 transcript:ORUFI02G34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKAVLVGRGPRRREREERGCVRRRREGGRRAPDPARGRVVGRRIGVGVGRRLLDPASRPPEGAAVRGGARRRPPRAVAHTCRCPLLPSPSHMPATARAGRASRGFTMPKQEQAAGRWRIPLRCGQAQRILGPYVSERPYVSEQYCGGSGLKRNAKHNE >ORUFI02G34260.1 pep chromosome:OR_W1943:2:29564085:29564715:1 gene:ORUFI02G34260 transcript:ORUFI02G34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWKHFRICTILPFIGFFAVTIFFFNSTIPIILKICGLNASDMWGNNAEAQPLAFDLGHVDWICFVAFAGMAAGLVIYSYKPSFFICHCF >ORUFI02G34270.1 pep chromosome:OR_W1943:2:29564671:29566392:-1 gene:ORUFI02G34270 transcript:ORUFI02G34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIFKIIAMLVAVSAIWIALLETATVPRSYTWLLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAVLLQQDILEAKEFLSKKGVDKQWQMKKEGLYE >ORUFI02G34270.2 pep chromosome:OR_W1943:2:29564673:29566373:-1 gene:ORUFI02G34270 transcript:ORUFI02G34270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIFKIIAMLVAVSAIWIALLETATVPRSYTWLLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAVLLQQDILEAKEFLSKKGVDKQWQMKKEGLYE >ORUFI02G34280.1 pep chromosome:OR_W1943:2:29570077:29581026:-1 gene:ORUFI02G34280 transcript:ORUFI02G34280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGIEAKEAAVREVAKLLPLPELLSSIASIKSDYLARQQTNDAQLSTMVAEQVEQAHAGINALALSQETINKLRENFIDIDKLCQECQTLIENHDKIKLLSNARNNLNTTLKDVGGMMSISVEAAAARDSLSDDKELIRTYERLTALDGKRRFALAAAASHKEEVGRLKLKTYPGDPGEYFEDVDRSWETFEKALWGHVTNFFRLSKDSPQTLVRAIRVVEMQEILDQQVAEEAAEAEGAGAMATIANQRRTANRKGGGATSTPRSTQDKSKVQGKGYKDKCYEYIRKAVEARFDKLLTELVFSEDLMEALEEAKAIGDELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANDIPNINILKVTGWVVKYQENLIGLGVDESLAQVCSESGALDPLMNMYVERMQATTKKWYSNILEADKTQPPKSTEDGKLYTPAAVDLFRILTEQVQIVTENSTDVMLYRIALAVIQVMLDFQAAERQRLEEPASDVGLESLCALINNNLRCYELSSELSSSTLEALPQNYAEQEAVLQTVSVIFEDPGVQDLLVKVYQKDWMEGMVTEYLVATFADYFGDVKQYIEERSFRRFVEACLEQTIVVYVDHLLTQKGHIKEETIERMRLDEEVLMDFFREHINVTKVETRVRILADLRELASAESLDSFTLIYTNILEHQPDCPPEVVEKLVGMREGIPRKEAKEVVQECKEIYENSLVDGNPQKSGFVFGKLKCLTARKGIWSKLGQ >ORUFI02G34290.1 pep chromosome:OR_W1943:2:29584316:29586434:-1 gene:ORUFI02G34290 transcript:ORUFI02G34290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAKLPALLWLLAGVVLALAVNPAHGAKTRHYDFFITETNYTRLCHEKSILTVNGQFPGPTIYARKGDLVIVNVHNNGNKNITIHWHGVDQPRNPWSDGPEFITQCPIRPGGNFTYQVILSEEEGTLWWHAHSDFDRATVHGAIVIHPKRGTTFPFKKPDKEIPVILGEWWNDDIEHVLDKAQLLGGDVDPSNANTINAQPGDMFPCSRDDTFKVAVQQGNTYLLRIINAGLTNDMFFAIAGHRLTVVGIDARYTKPLTVDYIMIAPGQTMFAKNCFVFCAERTSSHPPEFPVQLPAIKNENAAMAFVTQLRSLGNQEHPVHVPTHVDEHMLIDIDINVLPCDPTNMAEKCKEGPQGNRFAASLNNVSFQSPAIDVLDAYYYSSGHGVYEEDFPNKPTAFVDPPVNNSSGPLMTKRGTKVKVLEYGTVVEVVFHDLSSENHPMHLHGFAFYVVGRGNGTFDESRDPATYNLVDPPFQNTVSVPRSGWAAIRFRADNPGVWFMHCHFDRHVVWGMDTVFIVKDGKTPQAQMLPRPPNMPQC >ORUFI02G34300.1 pep chromosome:OR_W1943:2:29591370:29595952:1 gene:ORUFI02G34300 transcript:ORUFI02G34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYTLAGFWHDQRSRCPDAQDGTRSRALFLLPVKTEAQISLTTGHDTAVRSRRDPSPPRRRAGAMQRLQKQLLPALRAAFPLPASHFSSRLLSASTATLSPTRFVDEDALVAACGLTGAEALKASKRLQKVPSNLDAALTFLAFLADFRLSKDDIAAASSRYPRFLHLKVDETLTSQVARLRDIGLSTPEIGRLITIAPCILSNPRTISRLEFYLSFLGSYPRVHSALRNNSSLLRRNNIESEVKPNIAFLEQCGLTTCDIAKILMSGSRILIMQPEHVKEIVACADKFGMPRESAGFRYALMAVTGISPVRVSAKLDFLRMVIGCSDAQLHIAVSRFPLILTYSEVKLSHSLEFLKAEVGLEPQYIVLRPALLGYSIQKRLMPRYHVMKVLNEKGLLKKDTDFYSMVKIVEESFFKKFLLPYHRSVPGLEKAYLAAREGKMFPEI >ORUFI02G34310.1 pep chromosome:OR_W1943:2:29598579:29600232:1 gene:ORUFI02G34310 transcript:ORUFI02G34310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRLTSVTLRPAASPSSAAAPRSANFARAAARGFPSLRLAPPRRRGDLARRRAAADAAAEGYATALSEVASENGTLEATVSDLEKLEKIFAEEAIAEFFDNPTVPRDEKAQLIDEIAKSSELQAHVVNFLNVVVDNGRAGLMTQIVREFENAFNSLTGTEVATVTSVVQLESQDLAQIAQQVQNLTGAKNVRVKTRIDPELIAGFTIQYGRDGSSLIDMSVRKQIEEITSEFEMPAVTLDLHCHRESRRLVKNAGPHPLQN >ORUFI02G34320.1 pep chromosome:OR_W1943:2:29601382:29604226:1 gene:ORUFI02G34320 transcript:ORUFI02G34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARGKRRGAGAAAAEGADEAAEAADLVRFFLRRTSGGKERLVAVLDRHVKVVRTEHCFLLFEELGRRDGWLQCLEGVDVDQQEMNFEVPVFRWMQKQRWYVADNGIYSKLISVMGRKGQIRMAMWLFSQMRNSGCRPDTSVYNSLIGAHLHSRDKSKALAKALGYFEKMKTIDRCQPNIVTYNILLRAFAQAGDTKQLDILFKDLDESPVSPDIYTYNGVMDAYGKNGMITEMESVLARMKSNQCRPDVITFNILIDSYGRKQAFDKMEQVFKSLLRSKEKPTHPTFNSMITNYGKARLREKAECVLDKMTEMGFKPNYVTQECLIMMYAYCDCVSRARQIFDELVSSQNNVHLSSVNAMLDAYCMNGLPMEADQLLDSVIKKGAVPSASTYKLLYKAYTKANDKKLIQKLLKRMNSQGIVPNKKFFLDALEAFGNTDKKPRTVPSKNSASKPDVESANNSGTDTSSKPNLSVWQVAA >ORUFI02G34330.1 pep chromosome:OR_W1943:2:29603373:29610131:-1 gene:ORUFI02G34330 transcript:ORUFI02G34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVKFRLFDGTDIGPSKYDPSTTVSALKEFILARWPQDKEITPKTVNDLKLINAGRILENNRTLAESRVPVGEVPGGVITMHVVVRPPQPDKNSEKQLANSPKQNRCGCTILPSDSGRSPISTVGFRRKARAAPGLRLRAASPLARTQPRRPRRRKTLPPSALSLSGSASRAPWKRPPVAGARPPVGDPTALYKRDRLERPDPSLARGFLRLSVRVPVRYGILGPPPLSPPREEADRPVADSLHRFLVWWSSALKTAYARFDLFQATRIPQRVICASNSYSSNYRSTSTSSPMNSNEMLGAQETVSTEGNNSCSSVQSTPDIEKKYVHRVYDAIAPHFSSTRFAKWPKVAGFLNSLRPGSVILDAGCGNGKYLGFNPDCLFIGCDISPPLIDICAGRGHEVLVADAVNLPYRDNFGDAAISIAVLHHLSTDARRRKAIEELIRVVRKGGLVLITVWAVEQEDKSLLNKWTPLCEKYNEEWVDPSSPPVRNQSNTVLESISETDEDTGAVKQRNDDLKISNDGLDDNADVTCSNSNIDEYHKTQQEYFVPWHLPFHRAEIGGASAAALENGFAKRDDKKGTVVYNRYYHVFVEGELQRLAAIRKRS >ORUFI02G34330.2 pep chromosome:OR_W1943:2:29604046:29610131:-1 gene:ORUFI02G34330 transcript:ORUFI02G34330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVKFRLFDGTDIGPSKYDPSTTVSALKEFILARWPQDKEITPKTVNDLKLINAGRILENNRTLAESRVPVGEVPGGVITMHVVVRPPQPDKNSEKQLANSPKQNRCGCTILPSDSGRSPISTVGFRRKARAAPGLRLRAASPLARTQPRRPRRRKTLPPSALSLSGSASRAPWKRPPVAGARPPVGDPTALYKRDRLERPDPSLARGFLRLSVRVPVRYGILGPPPLSPPREEADRPVADSLHRFLVWWSSALKTAYARFDLFQATRIPQRVICASNSYSSNYRSTSTSSPMNSNEMLGAQETVSTEGNNSCSSVQSTPDIEKKYVHRVYDAIAPHFSSTRFAKWPKVAGFLNSLRPGSVILDAGCGNGKYLGFNPDCLFIGCDISPPLIDICAGRGHEVLVADAVNLPYRDNFGDAAISIAVLHHLSTDARRRKAIEELIRVVRKGGLVLITVWAVEQEDKSLLNKWTPLCEKYNEEWVDPSSPPVRNQSNTVLESISETDEDTGAVKQRNDDLKISNDGLDDNADVTCSNSNIDEYHKTQQEYFVPWHLPFHRAEIGGASAAALENGFAKRDDKKGTVVYNRYYHVFVEGELQRLVAGINNAVVVDQFYDKSNWCIVLEKL >ORUFI02G34340.1 pep chromosome:OR_W1943:2:29617149:29617832:1 gene:ORUFI02G34340 transcript:ORUFI02G34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQRLPCRARDRDSLGLRSLLVADAAGYGRGRVVTRTIVAVHQETTTSCKGDQCNGCSDDDEEEDVHDGEDGCWVAYGRRGMMRRLPPPLPSLRGAMRRTCTKDGRLVVTEAPAGARRRHEYIRARRRGGRVTMQLVESKDFYPCPSPVEEDDDDDDIVNVTQAVSDTSTAAATVGECDPGHMQKAPATAPPPPSPPSIGCFEDVVKYHSIGSTSLHQIVRLRMVH >ORUFI02G34350.1 pep chromosome:OR_W1943:2:29624775:29630702:1 gene:ORUFI02G34350 transcript:ORUFI02G34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPVLLPLLLLLLAAAAAVAAAEAATLSARMVHRLSDEARLAAGARGGRRWPRRGSGDYFRALVRSDLQRQKRRVGGKYQLLSLSQGGSIFPSGNDLGWLYYTWVDVGTPNTSFLVALDTGSDLFWVPCDCIQCAPLSSYHGSLNGLFWQQAEHAWNIHHRCSVICGASIMQDRDLGIYKPSESTTSRHLPCSHELCSPASGCTNPKQPCPYNIDYFSENTTSSGLLIEDMLHLDSREGHAPVNASVIIGCGKKQSGSYLEGIAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFKKDDSGRIFFGDQGVPTQQSTPFVPMNGKLQTYAVNVDKYCIGHKCTEGAGFQALVDTGTSFTSLPLDAYKSITMEFDKQINASRASSDDYSFEYCYSTGPLEMPDVPTITLTFAENKSFQAVNPILPFNDRQGEFAVFCLAVLPSPEPVGIIGQNFMVGYHVVFDRENMKLGWYRSECHDLDNSTTVSLGPSQHNSPEDPLPSNEQQTSPAVTPAVAGRAPSSGGSTTLQNLLANSNMLLLLTMSVFFIS >ORUFI02G34350.2 pep chromosome:OR_W1943:2:29624775:29630601:1 gene:ORUFI02G34350 transcript:ORUFI02G34350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPVLLPLLLLLLAAAAAVAAAEAATLSARMVHRLSDEARLAAGARGGRRWPRRGSGDYFRALVRSDLQRQKRRVGGKYQLLSLSQGGSIFPSGNDLGWLYYTWVDVGTPNTSFLVALDTGSDLFWVPCDCIQCAPLSSYHGSLNGLFWQQAEHAWNIHHRCSVICGASIMQDRDLGIYKPSESTTSRHLPCSHELCSPASGCTNPKQPCPYNIDYFSENTTSSGLLIEDMLHLDSREGHAPVNASVIIGCGKKQSGSYLEGIAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFKKDDSGRIFFGDQGVPTQQSTPFVPMNGKLQTYAVNVDKYCIGHKCTEGAGFQALVDTGTSFTSLPLDAYKSITMEFDKQINASRASSDDYSFEYCYSTGPLEMPDVPTITLTFAENKSFQAVNPILPFNDRQGEFAVFCLAVLPSPEPVGIIGQNFMVGYHVVFDRENMKLGWYRSECHDLDNSTTVSLGPSQHNSPEDPLPSNEQQTSPAVTPAVAGRAPSSGGSTTLQNLLANSNMLLLLTMSVFFIS >ORUFI02G34360.1 pep chromosome:OR_W1943:2:29631029:29631888:1 gene:ORUFI02G34360 transcript:ORUFI02G34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSIKRLLSMLLSAVSGGQRDKGKRMQRRRRQQQQLQITVELRVRMDCERCERQVRRALAGMRGVQHVEVSRRQQKVTVTGSVDPHEVLRRVQSTGKKAELWPQYPTYGSAAAAAAAAMVHCGLGPPHDRWAPACHPRNMDAAMGAEHIANLFSDDNPNACSLM >ORUFI02G34370.1 pep chromosome:OR_W1943:2:29636133:29637927:1 gene:ORUFI02G34370 transcript:ORUFI02G34370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKMLGKRQRSQGTMHRTTSMASVPAAAKQGRRHVVEGPPRAPPASLLAGGGPATAAAADHGGVETAAFLKNCALCGRDLGPGKDTYIYRGEVAFCSKECRECVIEYYERKERNCSLTSIKDTPAVSGASGSDQSGASGSETVAAA >ORUFI02G34380.1 pep chromosome:OR_W1943:2:29646301:29649854:1 gene:ORUFI02G34380 transcript:ORUFI02G34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPISSSLSLMASNPIPSPPVAKPGRPLTVAACSNSSSSSSSSSPSSTSCSAAWSLPVATSAGRRGLLALGAGFLASPGLLCPAGDAGATRIEYYATVGDKLCDMNVVKSGLGYCDVEVGTGAQPPRGQLINVHYTARFTDGIVFDSTYKRGRPLTMRLGAGKILRGLEQGISGGGGVPPMLVGDCNIPGNTTLLYDIFLIFE >ORUFI02G34390.1 pep chromosome:OR_W1943:2:29651540:29652196:-1 gene:ORUFI02G34390 transcript:ORUFI02G34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKSIPNGGRITRHIIPTHCDGLLPSPRTTWPCSCATRPPRSSLCCRPAVAAAHAHGLQNQRRRLASTAWRNRYVVVRCFYCKSHNDPPVYNIGHEIFTLDTGAGDGWQRMQDQSRAISPGGRRPAACTRGGFFYWFINDSQPCALPWFSLRDETFDAVPSSLGCTACDNNDRLADLTGELCYVHRVRTSVATHEVWMAAAVDDDDQEWWLRYRVDL >ORUFI02G34400.1 pep chromosome:OR_W1943:2:29655896:29658819:1 gene:ORUFI02G34400 transcript:ORUFI02G34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSQHALVSVKSLCTGANFGFEKRTSKVRFVLVGRCCSGTRKLGLVCASNSHSSVMEPAQLPLSPESGNTPKKSSESALILIRHGESLWNEKNLFTGCVDVPLTPKGVDEAIEAGKRICNIPVDVIYTSSLIRAQMTAMLAMMQHRRKKVPIVVHSESEQAHRWSKIYSEETKKQSIPVITAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIVPQLVAGKHVMIAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIVKEGKFIRRGSPAGPSEAGVYAYTRSLAQYRQKLDNMFQ >ORUFI02G34410.1 pep chromosome:OR_W1943:2:29659475:29663784:1 gene:ORUFI02G34410 transcript:ORUFI02G34410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHNSPCDIPKPASVDEFVKNGKKKKSFMSSIFRKKGRSGTGSSDKKLLSRRDIVFDRHCATKIESLTLSCLDSPHRQFDTREYRVFVGTWNVAGKPPNSSLNLEDFLQIEGLPDIYVLGFQEIVPLNAGNVLVIEDNEPAAKWLGLIYQALNKPQDQSSGDELSPPETSDSRQGGGSGSRDSIPKSSSGGMLFFQKPSLKMLSKNYRVDSALVKTCTCLTDPSTMQRRAREMREFLYRIEASPPPSLASAAAAADEDGGPDAGGELARSSVNYCLIASKQMVGIFLSVWVRRELVQYIGHLRVDSVGRGIMGRLGNKVLDAVLLCLCSLFGSLDSWRRHGWLSCLQGCIAMSMTLHQTSVCFVCSHLASGEKEGDEVRRNSDVAEIIKSTQFPRICKVPGQRIPDKILDHDRVIWLGDLNYRVALSYDETKTLMGENDWDTLLEKDQLMIERQAGRVFKGWKEGKIYFAPTYKYKQNSDSYAGETAKSKKKRRTPAWCDRILWHGQGIEQLQYIRGESRFSDHRPVCSVFVIEADVDNGSMIRKGYSTLDSRIHFESPIPQRHSFYDDF >ORUFI02G34420.1 pep chromosome:OR_W1943:2:29663050:29666346:-1 gene:ORUFI02G34420 transcript:ORUFI02G34420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASGVNNGDGKEAALFEQRLSKIGEVRAALGQLSGKAALYCSDASIARYLVARNWEVKKATKMLKKTLKWRSEYKPDEIRWDEIANEAATGKIYRTDYFDKSGRSILVMRPGVQNTKKAKGQIRYLVYCMENAILNLPHDQSQMVWLIDFAGFSLSNISLHVTKLTADVLQGHYPERLGVAILYNAPKFFESFWKIASPILEPKTFNKVKFVYPDRPETNKIMEDLFNMEELESAFGGKNQATFNINDYAARMREDDIKMPLFWSPENSALASEPYVMVNKDMAQEGSSGLKSEETASEKREETDTESENREETESESERAEIDSVSGKREETVAVSDKREEKETESENGKAAVTSSNGVELTSLPGEGKGITPAD >ORUFI02G34430.1 pep chromosome:OR_W1943:2:29668310:29672516:-1 gene:ORUFI02G34430 transcript:ORUFI02G34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRARALLHAPAPLLLLLALAAAAAAVAVASGPPFSCGAPSSAAFCNPRLPIEQRADDLVSRLTLEEKISQLGDQSPAVDRLGVPAYKWWSEALHGVSNAGRGIHLDGPLRAATSFPQVILTAASFNPHLWYRIGQVIGTEARAVYNNGQAEGLTFWAPNINVFRDPRWGRGQETPGEDPTVTGKYAAVFVRGVQGYALAGAINSTDLEASACCKHFTAYDLENWKGVTRYAFDAKVVTAQDLADTYNPPFRSCVEDGGASGIMCSYNRVNGVPTCADYNLLSKTARGDWRFYGYITSDCDAVSIIHDVQGYAKTAEDAVADVLKAGMDVNCGSYVQEHGLSAIQQGKITEQDINRALHNLFAVRMRLGLFNGNPKYNRYGNIGPDQVCTQEHQNLALEAAQHGVVLLKNDANALPLSKSQVSSIAVIGHNANDATRLLGNYFGPPCISVTPLQVLQGYVKDTRFLAGCNSAACNVSSIGEAAQLASSVDYVVLFMGLDQDQEREEVDRLELSLPGMQENLINTVANAAKKPVILVLLCGGPVDVTFAKYNPKIGAILWAGYPGEAGGIAIAQVLFGEHNPGGRLPVTWYPKEFTSVPMTDMRMRADPSTGYPGRTYRFYRGNTVYKFGYGLSYSKYSHHFVANGTKLPSLSSIDGLKAMATAAAGTVSYDVEEIGTETCDKLKFPALVRVQNHGPMDGRHPVLLFLRWPNGAADGGRPASQLIGFQSLHLKSMQTVHVEFEVSPCKHFSRATEDGKKVIDHGSHFMMVGDDEFEMSFTP >ORUFI02G34440.1 pep chromosome:OR_W1943:2:29681203:29684583:-1 gene:ORUFI02G34440 transcript:ORUFI02G34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENAQGSGRRPFGDLTNVLGKRPAPSNLEKSAGGIKIVRVEKAVEPRKEFDETAKASGGATRNTLSLFDSIAKENLMRPSIFRETKMQHMAAEAAVLLSKESDDMRSCAMSLGSSGLHDKEQESSLESEGGCEEDDDDDMDSEYLAYTRDSTKTATNDGECLTQEEMAGSSGNQKPLSSLDFTTGCDDMPCSDVHHHSLGNSELENDDTTKSCACSFCLKAAFMWTDLHYQDTRGRLAALKKSIKFARLLGKRSQGDEYSVNAGRYNLKRAAEMEFELYQQQRSLFLHTENVLIRESAQLHSSLVKLKEFRENCKTDLETASSSLLGK >ORUFI02G34450.1 pep chromosome:OR_W1943:2:29691221:29692987:1 gene:ORUFI02G34450 transcript:ORUFI02G34450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPAATSSEEEIKKEVAAVDVVVAAPDDDVAADREEEEEQKKRKRRKEKKKRRRRAPSEEEEAALRSVLRWARRGEAGDEEADGQRPAATGRRRPRVAVELHAHSTCSDGSLSPAALVQRAHRNGVKVLALTDHDTMAGVPEAIESAKQCSIRIIPGVEISAMYSPSDGIGAEEPVHILAYYGSLGPAKPQELDRFLGSIRDGRYTRAKGMLLKLRSLDMPMELEDVCTIAGDGVAPGRLHVARAMVEAGYVENIRQAFSRYLYDGGPAYATGNEPAGESVVQLVCRNGGVAVLAHPWALKNPVAVIKDLKAAGLHGIEVYRSDGKLSGLSDLADTYGLLKIGGSDYHGRDDKDEPDVGSVDLPVLAVSGFLDAAQPIWHNATKEILANITERAPNGSKGLQRTNSAKDLCNLRLLSSDLEVTDSTEVEVLQTELSDVVLSN >ORUFI02G34460.1 pep chromosome:OR_W1943:2:29704440:29706534:1 gene:ORUFI02G34460 transcript:ORUFI02G34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDMYKYNTSTHQIASSDQELMKALEPFIRSASSSSASSPCHHYYSSSPSMSQDSYMPTPSYPTSSITTAAATTTSSFSQLPPLYSSQYHAASPAASATNGPMGLTHLGPAQIQQIQAQFLAQQQQQRALAGAFLRPRGQPMKQSGSPPRAGPFAAVAGAAQSKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEDAALAYDKAAFRLRGDLARLNFPTLRRGGAHLAGPLHASVDAKLTAICQSLATSSSKNTPAESAASAAEPESPKCSASTEGEDSVSAGSPPPPTPLSPPVPEMEKLDFTEAPWDESETFHLRKYPSWEIDWDSILS >ORUFI02G34470.1 pep chromosome:OR_W1943:2:29722444:29726379:1 gene:ORUFI02G34470 transcript:ORUFI02G34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTWRPPTCPIAGSTPRSYKYPVLSHSASTHLSNSRRSEHTHSLPVSSSSSSLEAVRLDECLALCLWCVIMTNQDVVVSEMGIAAGAALPGGPAGPAGGLFACRSAAASMRQTYLDLAAAAVAARSASCTSWADAMRASSPTRSSRSASDVDEFTAWVRKHPSALSKFEEIAAKSRGKKIVMFMDYDGTLSPIVADPDTAYMSDAMRAAVREVAKTFPTAIVSGRCRDKVRNFVGLSDLYYAGSHGMDIKGPSSNPESALCQPASEFLPMIDEVYKTLVEKTKSTPGAKVENNKFCLSVHFRCVDEKEKPTPNIGVLVNGRGNQVRTSHWLPSRPTRPAFDEPAHVLEIRPSIKWDKGKALEFLLESLGIVVTVAFVSVLRKRGQGLGILVSKCPKDTNASYSLQDPTEVMEFLLRLVEWKRKSSSSSLMIRPRV >ORUFI02G34480.1 pep chromosome:OR_W1943:2:29733893:29736157:1 gene:ORUFI02G34480 transcript:ORUFI02G34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLETNTEMSLSLLQGYSSAEEDDPAAAGAGAGELSDSGDSSAEDAGSDGEEASAAPRPAAKPRPRPNPSRGDAGGGGGDGSLLPSALDAFAEISGPPEFLNNRVAEPEEAVEALGVLDRRGKGGRGSDSKQPPPGAVVVAKPQLVAIRERVSSGTNGANPPVSTEGKRIIGAANPGPEDAADLLRMCLQCGIPKTYSHARGMVCPVCNDRPEQAREPEKKKGSTVKDKEKIKRMRGQSSHASWKSETEMALRQQFD >ORUFI02G34490.1 pep chromosome:OR_W1943:2:29737468:29738854:1 gene:ORUFI02G34490 transcript:ORUFI02G34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAASLLFLLAVASSATVPAHGRRDLLPTRIKLVRGADAGAGAVAGGDKMECVYTVYIRTGSIWKAGTDANITLELAGADGNGVGITDLPSWGGLMGEGHSYFERGNLDIFSGRGPCMAAPPCWMRVASDGTGPHHGWYCNYVEVTVTGAHKGCAQQLFTVEQWLATDAAPYKLEAIRDKCSAAGAGAAAA >ORUFI02G34500.1 pep chromosome:OR_W1943:2:29752539:29758077:-1 gene:ORUFI02G34500 transcript:ORUFI02G34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESRRPPSGCAMFGIYSGMFRRRRSNSMSSIARINGVPPATAEHEHEAEAKAASAPANQAHRKGGGVHDDSSLAHRPAKPLPGTNNGAQRAHAPASDRAVHATKAANGGARNAASAAPAAEYTGMAAELDKMILDHQRVKGTTQLVRATSGNMMLHRNLGNLNAGVPGASARSSLERNPANKPANERKATNGYAFSGLGNIVKEPRAPPASSELCRALSHRTDPEKLKEMGNEEYREGHYAEAVALYDQAIMVDPTRPAYWSNKAAALAALGRLIEAVGDCREAVRIDPSYGRAHHRLGGLYLRLGEPDKAIHHFKQSANDSTGADVSRAQSVKSRVAKCGDARKLRNWITVLQESQAAVADGADCAPQVMALQAEALVKLSRHDEADAVLGGAPRFGVDESTKFFGTVAHAYVLMIRAQVDMAAGRFEDAVATAQTACQLDPSNREIANVHRRAKVVASARLRGNDLFKASRFAEACAAYGEGLDRETGNAVLLCNRAACHARLARYEKAVEDCNGALAMRPAYSKARLRRADCNVKLERWEASLRDYQVLIQELPENEDMKKALSEVEAKLRSQRNGGIASRSQQLL >ORUFI02G34510.1 pep chromosome:OR_W1943:2:29765386:29771230:1 gene:ORUFI02G34510 transcript:ORUFI02G34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILFRFVFILVPHKRHVDVTWGEGQVNPLLGNPLAAWSHERAASANRKSYELPVIEFISRKKWPMELYQVQQKAIPLANLHTLLSLCHEKPMYSCDHECTAPTHTAFGAKYQPCIGVSGRPDHHAVGRLQQPAGKAAAAAVVSAAAQPQRAAKKRHAAAPPPRPIPVHAVNPSPAVRGYGGGALQGHGSDTATAAGSSSSSNPGVPTVQLMQCSPPGVFDGLPDLGSPELDSWPDLSDE >ORUFI02G34520.1 pep chromosome:OR_W1943:2:29772474:29775744:-1 gene:ORUFI02G34520 transcript:ORUFI02G34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVPAAVPPVAEDCEQLRKAFKGWGTNEKLIISILAHRDAAQRRAIRRAYAEAYGEELLRALNDEIHGKFERAVIQWTLDPAERDAVLANEEARKWHPGGRALVEIACTRTPSQLFAAKQAYHERFKRSLEEDVAAHITGDYRKLLVPLVTVYRYDGPEVNTSLAHSEAKILHEKIHDKAYSDDEIIRILTTRSKAQLLATFNSYNDQFGHPITKDLKADPKDEFLGTLRAIIRCFTCPDRYFEKVIRLALGGMGTDENSLTRIITTRAEVDLKLIKEAYQKRNSVPLERAVAKDTTRDYEDILLALLGAE >ORUFI02G34530.1 pep chromosome:OR_W1943:2:29783698:29790853:1 gene:ORUFI02G34530 transcript:ORUFI02G34530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASVGRRWGFDPCDEVTHVVMNDFALMNDHTVLLQGHDKSRISPAGYLTRSGPTQGGGIRNNIGYCDGRSINESCGKRSTYPPTYKKDVAVPKSTKPSIFDADEYVSVSNVSDVPSSEGNTMQDEHRNKGKDLLYCDWSELLNLDDLEADLRSFESTFEIGSNHFEDPLWSSVCLPDAQLVPSSCLLDNTNLSTVSNESTTKSILSSVSVSDTTSAEPLFLDQNNMANPINIQQPPSKGRSSATLNHEALACSSGEIERFSQHSDVDVFYPFDNVTSSERISGCEGLEAIFCTNQEMLAPTTSSIMCDDEIVSSSTFSAPDLVATYVPRSMKRSHDPLNGTPDMILDEMAGNPLEMYFPPSLTAYEHPEHLNNVTLTQTHQFPEGFAGDDVLKSADLQFLSKGKTSADLCVNPCSPLILEAVPVKDLGFHKLQEGMNQLDVASKARIRDALYRLANCVEHRHRIASTTETVNQLGVMESSASKRWREIQMMNPMDRSVAQLLLQKPLHHKSPPDSALGIGP >ORUFI02G34530.2 pep chromosome:OR_W1943:2:29783698:29790853:1 gene:ORUFI02G34530 transcript:ORUFI02G34530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHASVGRRWGFDPCDEVTHVVMNDFALMNDHTVLLQGHDKSRISPAGYLTRSGPTQGGGIRNNIGYCDGRSINESCGKRSTYPPTYKKDVAVPKSTKPSIFDADEYVSVSNVSDVPSSEGNTMQDEHRNKGKDLLYCDWSELLNLDDLEADLRSFESTFEIGSNHFEDPLWSSVCLPDAQLVPSSCLLDNTNLSTVSNESTTKSILSSVSVSDTTSAEPLFLDQNNMANPINIQQPPSKGRSSATLNHEALACSSGEIERFSQHSDVDVFYPFDNVTSSERISGCEGLEAIFCTNQEMLAPTTSSIMCDDEIVSSSTFSAPDLVATYVPRSMKRSHDPLNGTPDMILDEMAGNPLEMYFPPSLTAYEHPEHLNNVTLTQTHQFPEGFAGDDVLKSADLQFLSKGKTSADLCVNPCSPLILEAVPVKDLGFHKLQEGMNQLDVASKARIRDALYRLANCVEHRHRIASTTETVNQLGVMESSASKRWREIQMMNPMDRSVAQLLLQKPLHHKSPPDSALGIGP >ORUFI02G34530.3 pep chromosome:OR_W1943:2:29783698:29790853:1 gene:ORUFI02G34530 transcript:ORUFI02G34530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHASVGRRWGFDPCDEVTHVVMNDFALMNDHTVLLQGHDKSRISPAGYLTRSGPTQGGGIRNNIGYCDGRSINESCGKRSTYPPTYKKDVAVPKSTKPSIFDADEYVSVSNVSDVPSSEGNTMQDEHRNKGKDLLYCDWSELLNLDDLEADLRLDDAEGFFPRNNMANPINIQQPPSKGRSSATLNHEALACSSGEIERFSQHSDVDVFYPFDNVTSSERISGCEGLEAIFCTNQEMLAPTTSSIMCDDEIVSSSTFSAPDLVATYVPRSMKRSHDPLNGTPDMILDEMAGNPLEMYFPPSLTAYEHPEHLNNVTLTQTHQFPEGFAGDDVLKSADLQFLSKGKTSADLCVNPCSPLILEAVPVKDLGFHKLQEGMNQLDVASKARIRDALYRLANCVEHRHRIASTTETVNQLGVMESSASKRWREIQMMNPMDRSVAQLLLQKPLHHKSPPDSALGIGP >ORUFI02G34530.4 pep chromosome:OR_W1943:2:29783978:29790853:1 gene:ORUFI02G34530 transcript:ORUFI02G34530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASYPSCSLPNCRAQCFFFFFFFVFSLAISALRSLVELLSCLFGWINNACVRGEEMGVTHVVMNDFALMNDHTVLLQGHDKSRISPAGYLTRSGPTQGGGIRNNIGYCDGRSINESCGKRSTYPPTYKKDVAVPKSTKPSIFDADEYVSVSNVSDVPSSEGNTMQDEHRNKGKDLLYCDWSELLNLDDLEADLRSFESTFEIGSNHFEDPLWSSVCLPDAQLVPSSCLLDNTNLSTVSNESTTKSILSSVSVSDTTSAEPLFLDQNNMANPINIQQPPSKGRSSATLNHEALACSSGEIERFSQHSDVDVFYPFDNVTSSERISGCEGLEAIFCTNQEMLAPTTSSIMCDDEIVSSSTFSAPDLVATYVPRSMKRSHDPLNGTPDMILDEMAGNPLEMYFPPSLTAYEHPEHLNNVTLTQTHQFPEGFAGDDVLKSADLQFLSKGKTSADLCVNPCSPLILEAVPVKDLGFHKLQEGMNQLDVASKARIRDALYRLANCVEHRHRIASTTETVNQLGVMESSASKRWREIQMMNPMDRSVAQLLLQKPLHHKSPPDSALGIGP >ORUFI02G34540.1 pep chromosome:OR_W1943:2:29791646:29795991:-1 gene:ORUFI02G34540 transcript:ORUFI02G34540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATGEHTAAVPIPAPPQLPAPGAVTEDAAEKERRRRRRAPRRTKQAAAQQEEPAAAAGPRSCRSMPPMHVSAPLDAEAVEEEEAAGTSRSCPLLPTPSPVEALPVAGMGRGAAGRRFFQSHWPEQAVEEAIKGGNAFVGKFRAYCTIEGIPVDVLITGVAQNRAIEGDLVAMTLEPVAYWTRMKGPNITCNPAIGEGSAVREVGETNGNHDGKKGQTDANCRFGNCSNGQPVSDRTHYRHKNSGFSKAVTCENGHATVPENCGKDSGEGKSEASRALHRICSMVYSNPSRRPTGKVLAIIKKSPRRDTVVGFLAPFAVCPDPEQQKNQMSMLSKRMNHRASSYFSGLILLLPTDSKFPHMVVNVSTLPDSVRNHLEEGDAAKELVAARISDWNEESLYPCAHVIQLLGKGGQVKTHMDAILFENAISDAEFSPESMECLPDICWKIPQEELEVRKDLRNVLTFTIDPPTATDLDDAISIEMLSGGIVRIGVHIADVSYFVHPETALDAEAQIRSTSVYTLRRKISMLPLRLSEDLVSLNPGVDRLTFSIIWDIDPHGNIINRWIGRTVISSCCKLSYDLVQDLICSDGTKFKSASSLQVHGIFEQDDVIKSLRCLYEISKNLKEIRFKGGALSLETAKLMIQFDEGGAPCDSFRYERNDACFIVEELMLLANMSAAEVISNAFPNCALLRRHPEPNLRKSREFEAFCARNGFELDGSSSGQLHLSLSRMKEKLKDDPVLFDILMFYASKQMQSAEYFCTADLISKRDDWAHYALSVPLYTHFTSPLRRYPDIIVHRTLNAVIEAEKMYLKHRRSLTGQNGFNTASCEMMHGCFTGLQFSKDAAESKEGSEALSAAAKKFKVPSPDNLGEVAEHCNERKWASRRAEDAGQKLYMWALIKRKEVLVANARVLGLGPKFMSVYVPKLAMERRIHYDEVEGLSIEWLEATGTLVLDSCRNRPPQRRGNQVKYRAFEEVAMMVNPSESIFSEEDEESGATEAGCDTAKSVLLSDEAVKAQVAPAVLPLVIQYLSEIPVVLHAIGGEDSALDIGVRLYMSSYFK >ORUFI02G34540.2 pep chromosome:OR_W1943:2:29791646:29795991:-1 gene:ORUFI02G34540 transcript:ORUFI02G34540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRATGEHTAAVPIPAPPQLPAPGAVTEDAAEKERRRRRRAPRRTKQAAAQQEEPAAAAGPRSCRSMPPMHVSAPLDAEAVEEEEAAGTSRSCPLLPTPSPVEALPVAGMGRGAAGRRFFQSHWPEQAVEEAIKAYCTIEGIPVDVLITGVAQNRAIEGDLVAMTLEPVAYWTRMKGPNITCNPAIGEGSAVREVGETNGNHDGKKGQTDANCRFGNCSNGQPVSDRTHYRHKNSGFSKAVTCENGHATVPENCGKDSGEGKSEASRALHRICSMVYSNPSRRPTGKVLAIIKKSPRRDTVVGFLAPFAVCPDPEQQKNQMSMLSKRMNHRASSYFSGLILLLPTDSKFPHMVVNVSTLPDSVRNHLEEGDAAKELVAARISDWNEESLYPCAHVIQLLGKGGQVKTHMDAILFENAISDAEFSPESMECLPDICWKIPQEELEVRKDLRNVLTFTIDPPTATDLDDAISIEMLSGGIVRIGVHIADVSYFVHPETALDAEAQIRSTSVYTLRRKISMLPLRLSEDLVSLNPGVDRLTFSIIWDIDPHGNIINRWIGRTVISSCCKLSYDLVQDLICSDGTKFKSASSLQVHGIFEQDDVIKSLRCLYEISKNLKEIRFKGGALSLETAKLMIQFDEGGAPCDSFRYERNDACFIVEELMLLANMSAAEVISNAFPNCALLRRHPEPNLRKSREFEAFCARNGFELDGSSSGQLHLSLSRMKEKLKDDPVLFDILMFYASKQMQSAEYFCTADLISKRDDWAHYALSVPLYTHFTSPLRRYPDIIVHRTLNAVIEAEKMYLKHRRSLTGQNGFNTASCEMMHGCFTGLQFSKDAAESKEGSEALSAAAKKFKVPSPDNLGEVAEHCNERKWASRRAEDAGQKLYMWALIKRKEVLVANARVLGLGPKFMSVYVPKLAMERRIHYDEVEGLSIEWLEATGTLVLDSCRNRPPQRRGNQVKYRAFEEVAMMVNPSESIFSEEDEESGATEAGCDTAKSVLLSDEAVKAQVAPAVLPLVIQYLSEIPVVLHAIGGEDSALDIGVRLYMSSYFK >ORUFI02G34550.1 pep chromosome:OR_W1943:2:29799798:29802761:1 gene:ORUFI02G34550 transcript:ORUFI02G34550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSLAAASPLASTPRGLAVSTPRTAFLGLLTGGASLAPFPGLAAAAAPPRLPAGRGDAAVARMAKREQELEEIRAMPTEKIEEEVVDLKGELFMLRLKRSARQEFKSSEFGRMRKRIARMLTVKREREIEQGINKRLSRKLDRKWKQSIVVRPPPSLRENKEE >ORUFI02G34560.1 pep chromosome:OR_W1943:2:29801985:29806450:-1 gene:ORUFI02G34560 transcript:ORUFI02G34560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTTEEDEKLMEYIQKNGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFTSAEKDTILQLHAVLGNKWSAIAKHLPGRTDNEIKNYWNTHLKKDLIQKGIDPTTHRPRTDFFAALPQLIALANLRQLVGQLPWDDPAAAAAGLQQAEAAQAAKFGQYLHLQALLQPPPPSAATSPRSGCIGGAAAAMPGGDMEQMGAGLLSPPAMSSAALSPMSTSSLSPLPSPMSSTALSPLPPSSFFGNGGQGSFLAGQLPNIQMHGSSLFDQSAAIINDANHNQDYAASTGEREIINGATKTTTTTLLPEGSLPPLADYPTISNLGDVYSTPSCDGNITEFPLLPDALFDELMRDYISNCFLEDWKVTLLTNMAKPPGLAYETDDGSRMAP >ORUFI02G34570.1 pep chromosome:OR_W1943:2:29819782:29827815:1 gene:ORUFI02G34570 transcript:ORUFI02G34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSARSGGGGTGGYTSTRVWMVAGVAIAGAIVFVEAARRRRRWLRDRSEVPPDFGAFCYRFEIAPAPQPPPPAARQLLSGLTFAASDNFEIEGYVAGFGNPDWKRTHKAATRTAVPVTMLQKQGGTYVGSTVMDELGFGVSGGNLHNGTPINPASPSLFPGGSCSGSAVAVSAQLVDFALGTDTTGDVRIPACFCGVLCFKSSHGVVSTLGTIANSQSLDTIGWFARDPSVLHRVGDVLLPAATGGLTQTRQLFFADDCFQLLKVPNEKTVNVIENAIQTLPGYQPPKHINIGEYISSHVPSLKDFCEPTVEMLEGMSALKALSTVMLLLQRYEFKTNHEDWVNTVKPKLGLDTSTRVLQAVNSKSDNIKSLYIVRNELRAALKNLLKDTGILVLPTTAGYPLKRNARQRLSPGFEDRMSAFVGIATLSGCCQAVIPLGSHNDHPISLSLLAAHGSDKFLLRNVLYMFSSIKEQVVLASKLVTAPVINRDADFGAAELLKEKGNSAFKGRKWSKAVEFYSDAIKLNGTNATYYSNRAAAYLELGRYKQAEADCEQALLLDKKNVKAYLRRGIAREAVLNHQEALQDIRHALALEPQNKAGLLAERRLQKKLR >ORUFI02G34570.2 pep chromosome:OR_W1943:2:29819782:29827824:1 gene:ORUFI02G34570 transcript:ORUFI02G34570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSARSGGGGTGGYTSTRVWMVAGVAIAGAIVFVEAARRRRRWLRDRSEVPPDFGAFCYRFEIAPAPQPPPPAARQLLSGLTFAASDNFEIEGYVAGFGNPDWKRTHKAATRTAVPVTMLQKQGGTYVGSTVMDELGFGVSGGNLHNGTPINPASPSLFPGGSCSGSAVAVSAQLVDFALGTDTTGDVRIPACFCGVLCFKSSHGVVSTLGTIANSQSLDTIGWFARDPSVLHRVGDVLLPAATGGLTQTRQLFFADDCFQLLKVPNEKTVNVIENAIQTLPGYQPPKHINIGEYISSHVPSLKDFCEPTVEMLEGMSALKALSTVMLLLQRYEFKTNHEDWVNTVKPKLGLDTSTRVLQAVNSKSDNIKSLYIVRNELRAALKNLLKDTGILVLPTTAGYPLKRNARQRLSPGFEDRMSAFVGIATLSGCCQAVIPLGSHNDHPISLSLLAAHGSDKFLLRNVLYMFSSIKEQVVLASKLVTAPVINRDADFGAAELLKEKGNSAFKGRKWSKAVEFYSDAIKLNGTNATYYSNRAAAYLELGRYKQAEADCEQALLLDKKNVKAYLRRGIAREAVLNHQEALQDIRHALALEPQNKAGLLAERRLQKKLSRAFSQVTGGVEPSSKQPV >ORUFI02G34570.3 pep chromosome:OR_W1943:2:29819782:29828391:1 gene:ORUFI02G34570 transcript:ORUFI02G34570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSARSGGGGTGGYTSTRVWMVAGVAIAGAIVFVEAARRRRRWLRDRSEVPPDFGAFCYRFEIAPAPQPPPPAARQLLSGLTFAASDNFEIEGYVAGFGNPDWKRTHKAATRTAVPVTMLQKQGGTYVGSTVMDELGFGVSGGNLHNGTPINPASPSLFPGGSCSGSAVAVSAQLVDFALGTDTTGDVRIPACFCGVLCFKSSHGVVSTLGTIANSQSLDTIGWFARDPSVLHRVGDVLLPAATGGLTQTRQLFFADDCFQLLKVPNEKTVNVIENAIQTLPGYQPPKHINIGEYISSHVPSLKDFCEPTVEMLEGMSALKALSTVMLLLQRYEFKTNHEDWVNTVKPKLGLDTSTRVLQAVNSKSDNIKSLYIVRNELRAALKNLLKDTGILVLPTTAGYPLKRNARQRLSPGFEDRMSAFVGIATLSGCCQAVIPLGSHNDHPISLSLLAAHGSDKFLLRNVLYMFSSIKEQVVLASKLVTAPVINRDADFGAAELLKEKGNSAFKGRKWSKAVEFYSDAIKLNGTNATYYSNRAAAYLELGRYKQAEADCEQALLLDKKNVKAYLRRGIAREAVLNHQEALQDIRHALALEPQNKAGLLAERRLQKKLR >ORUFI02G34570.4 pep chromosome:OR_W1943:2:29819782:29827824:1 gene:ORUFI02G34570 transcript:ORUFI02G34570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSARSGGGGTGGYTSTRVWMVAGVAIAGAIVFVEAARRRRRWLRDRSEVPPDFGAFCYRFEIAPAPQPPPPAARQLLSGLTFAASDNFEIEGYVAGFGNPDWKRTHKAATRTAVPVTMLQKQGGTYVGSTVMDELGFGVSGGNLHNGTPINPASPSLFPGGSCSGSAVAVSAQLVDFALGTDTTGDVRIPACFCGVLCFKSSHGVVSTLGTIANSQSLDTIGWFARDPSVLHRVGDVLLPAATGGLTQTRQLFFADDCFQLLKVPNEKTVNVIENAIQTLPGYQPPKHINIGEYISSHVPSLKDFCEPTVEMLEGMSALKALSTVMLLLQRYEFKTNHEDWVNTVKPKLGLDTSTRVLQAVNSKSDNIKSLYIVRNELRAALKNLLKDTGILVLPTTAGYPLKRNARQRLSPGFEDRMSAFVGIATLSGCCQAVIPLGSHNDHPISLSLLAAHGSDKFLLRNVLYMFSSIKEQVVLASKLVTAPVINRDADFGAAELLKEKGNSAFKGRKWSKAVEFYSDAIKLNGTNATYYSNRAAAYLELGRYKQAEADCEQALLLDKKNVKAYLRRGIAREAVLNHQEALQVTGGVEPSSKQPV >ORUFI02G34570.5 pep chromosome:OR_W1943:2:29828077:29830858:1 gene:ORUFI02G34570 transcript:ORUFI02G34570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLQQRRNQLRIPMEPLASFAASFFAALSPPSPSAAAADSSTVLLLPLPVAAARALTVLRRLLLLATQSFISLFFMFLSVLSPPPPPPPPALAPALPRADPGCPAGASCVGRALAHVLSVASRLPVASRKYELVRGLAERLLDDNARGGGARIGAVNRAALSGAFARTLRQLDAAAGGEWPGMELAVRAVRTGMRWWRLTAAALDDGFGGPAAEKLAAELLWLGQKMAECGAAREAAAQFGAASRLGSRALVAEPTLQVALLRLAVFLFKHANSREFEPSPGGNEDKGAIAEQRVSLLRSWLPLLCRGSNGTDAPVLSSKERTEMVAVLDELIGKLGWEQQEEILALWLHHFAACPDTDWPNLESCYTRWYAESRRLLE >ORUFI02G34580.1 pep chromosome:OR_W1943:2:29830003:29834772:-1 gene:ORUFI02G34580 transcript:ORUFI02G34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASASLRHHLLLRRRPRFPLGPSPTFRTSSLPRRHQRLFASAPSSSTVENGEPSSPVEPSDGASVVDVNPPRGTRDFPPEDMRLRTWLFDQFREVSRVMAFEEVDFPVLESEALFIRKAGEEITQQLYNFEDKGGRRVVLRPEITPSLARLVIKQGKSVSLPLKWFTIGQCWRYERMTRGRRREHYQWNMDIFGMPKVRAESELLQAIILLFERLGITSSDVGIRVSSRKVLQAVLNMYSIPEHLFTEVCVIVDKLGKLTREEIEKKLVTIGLSSEAVLGIIEVLSLKSLPKLEEVLGSDVEAVADLKKLFSFAEQYGYADWICFDASVVRGLAYYTGIVFEAFDRDGKLRAICGGGRYDRLLSTFGSEDIPACGFGFGDAVIVELLKEKGLLPDLSRQIDDIVFPLDEELEGPACSVASSLRRQGRSVDLVEDKRLKWVFKHAERINAGRLILVGKSEWERGMVRVKILSTREEFEVKAGELQ >ORUFI02G34590.1 pep chromosome:OR_W1943:2:29835018:29841822:1 gene:ORUFI02G34590 transcript:ORUFI02G34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGARKLAGTLRLLAAGRGSGCIPRARASPCETSFLPHRSYSAAKTGENGGATGGGKRSRIRRIVSIGVISIAGGVALSALNDLAIFHGCSSKAIKKATENQEVVEAIGVPIVRGPWYDASLAVGHRRRSVSCTFPVSGPQGSGIFQFKAIRNGDDGVLSFLRHHDWDILVMEAHLHVPSDDDKQKTLKINLIDCPDHPSRVSDCESIPWEREEQES >ORUFI02G34590.2 pep chromosome:OR_W1943:2:29835047:29841822:1 gene:ORUFI02G34590 transcript:ORUFI02G34590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGARKLAGTLRLLAAGRGSGCIPRARASPCETTPTLRRKPEKMEVPLVAGRGHGSGGSCRLGLSASLAASRLAPSTTLLYSMDAADDGVLSFLRHHDWDILVMEAHLHVPSDDDKQKTLKINLIDCPDHPSRVSDCESIPWEREEQES >ORUFI02G34600.1 pep chromosome:OR_W1943:2:29839648:29841763:-1 gene:ORUFI02G34600 transcript:ORUFI02G34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLLCWTALLLPIIAATAAASPLPEACPVPTAAEEILGPGGTCTTLDRRGDPVGVIEGDEVTLAKAITLLHMNKDDYIAVLFYASWCPFSQECKPNFEILASLFPSIRHFAFEESSIRPSIISRYGIHGFPTLFLLNSTMRVRYHGPRTVKSLAAFYRDVSGFDVSMTSEAVLHSVDGIELKKDAEQENCPFWWARSPEKILQQDTYLALATAFVILRLLYLLFPKIGSFAKRAWRRHTLFPNLVGVHEYFFTYLEQARHKFFRLYPSKRGNLQEGARNATAWASKSLASVSIGEPSTIGRTNSTNELR >ORUFI02G34610.1 pep chromosome:OR_W1943:2:29844073:29848770:-1 gene:ORUFI02G34610 transcript:ORUFI02G34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALYRGASGIGGKPVAGYDAADEARYDPKEPSENGIGGAGGRGRKRHLAVAAVKIGVLVLAAAALVGSVAWAGSLYAGRGAAAAAAAAAASRGYRRLQEQLVTDLLDIGELAGGGVRAREAEVCVPEYENYVPCYYNVSDAVDVADLGGGVVISYERQCSREGKIACLVAPPRSYRIPVRWPSGKGFIWKDNVRISGQEFSSGSLFKRMMVEEDQISFPSDAHMADGVEDYAHQIAEMIGLRNEFNFNEAGVRTVLDIECGFGTLGAHLFQRDLLTMCIANYEASGSQVQITLERGIPAMIGSFASKQLPYPYLSFDMVHCAKCNIEWDKNDGGFLVEVDRLLRPSGYFVWTSSLNTHRALRDKENQKKWRTIRDFADSLCWEMLSQQDETIVWKKTNKLDCYSSRKSGPVLCTHDPESPYYQPLNPCIAGTRSQRWISIEHRTTWPSQSRLNSTELDIHGVHSEDFAENTANWDSMVRNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKAGKSVWVMNVVPTNAPNYLPLIFDRGFIGVQHDWCEAFPTYPRTYDMVHADGFLSLEKHQKHRCSTLDIFLEVDRILRPEGWVIIRDTAPLIEAARSVVTQLRWDARILDLDIASDEKLLVCQKPFIRKQ >ORUFI02G34620.1 pep chromosome:OR_W1943:2:29851405:29851974:1 gene:ORUFI02G34620 transcript:ORUFI02G34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETAALQLSGEKARALTSIRRYSNAPILAAQRLDTDKIHLPHLTIQVHPLDRDADAVGEEKRGKRRRVVTDLKMIDGSLDPSPWRQNRTAKDF >ORUFI02G34630.1 pep chromosome:OR_W1943:2:29854450:29856999:-1 gene:ORUFI02G34630 transcript:ORUFI02G34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSEAQPPLQPEAVSAEASEPPPPVPMDQDEGQAAAAEAMEGEAEGAAAAAGTIEGEAGYAAADADPMEDEAADEAGAAEPMEDDPPTSSAPSATAAVDDSTIARKRRRRKKQFPGMIPTAGVRVLRAAASAPSAAHLNGVPRRRGRPPTSSSLRLARELDAEALIALAAGFPADSLSEDEVAAAVLPRIGGVDQTNYLVVRNHVLALWRSNPLSPVASNAALASIRAEHAHLVAAAHSFLSDHAYINFGLAPSVISLPPCPPPSLPPPSVLIVGAGFAGLAAARHLMSLGFKVAIVEGRLRPGGRVFTKSMRSTAAEYPDIAAAADLGGSVLTGINGNPLGVIARQLGFPLHKVRDKCPLYLPDGRPVDPDMDARVEAAFNQLLDKVCQLRQVVADSIPHGVDVSLGMALEAFRAAHGVAAEREERMLLDWHLANLEYANAAPLADLSMAFWDQDDPYEMGGDHCFIPGGNSRFVRALADGIPIFYGQNVRRIQYGCDGAMVYTDKQTFRGDMVLCTVPLGVLKKGNIQFVPELPAQKREAIERLGFGLLNKVVLLFPYDFWDGRIDTFGHLTEDSGQRGEFFLFYSYSSVSGGPLLIALVAGESAIEFEKTSPAENVEKVLETLRKIFSPKGIEVPKPLQAICTRWGTDKFTYGSYSYVAIGSSGDDYDILAESVCDRVFFAGEATNRRYPATMHGALLSGYREAANIVRAARRRAKKVDSPKKMDVNNEVKYEVKVDNIDLDDLFRTPDAAFGGFSVLHDPSTSEPDSISLLRVGIGARKLGSGSLFLYGLIMRKNVANLAAMEGDEQRLSTLYRDFGTKLVGLDGLGDSGSSLISRIKAAARK >ORUFI02G34640.1 pep chromosome:OR_W1943:2:29861966:29868435:1 gene:ORUFI02G34640 transcript:ORUFI02G34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSTSSAGSAAPAHAPAAGGAGGGGSSGVPNHRTRFGDTTLTKVFVGGLAWETPSKGLQDHFQQYGEILEAVVITDRETSRSKGYGFVTFREPESAREAVRNPNPTIGGRRANCNIASMGPPRPSPSRGRAPRGSLFPDQPHMGPQPYMGGRLPPQHMTAPPQQMYYHPQFGWHAVYNSQALQHYYPQLYGPTSPSTPSYQFMGYMPGALGPRAGFSPMQQQAPRPPFIQQPAPQFDGGSFPPGPSLPPDFRLQLPPHALSRQPDETTGAQSAPPVSASAAATPTTDSKEASKTVESNSDLNTSN >ORUFI02G34650.1 pep chromosome:OR_W1943:2:29868173:29872811:-1 gene:ORUFI02G34650 transcript:ORUFI02G34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYGVNCIGVYKIVGHVTPLLHLAKVLHSRGFHVTFVNSEYNHHRLLRSRGTGALAGLDDFRFETIPDGLPPPSESDNDDVTQDIPTVCTSFLTHGPAAFGALLARLNSEPGTPPVSCVIPDGVMSFAQRVASDMGILAPAFWTTSACGFMGYLHYAELIDRGYVPLKDESYLTNGYLDTVLDWVPGMPGIRLRDMPSFIRTTDRDEFMLNFDSGEAQNARHAQGLILNTFDAVEHDVVDALRRIFPRVYTVGPLLTFAGAAAARRPEVGAIGGNLWKEDASCLRWLDAQQPGSVVYVNFGSITVMSPAHLAEFAWGLARCGRPFLWVIRPDLVASEKAMLPEEFVSETKERGIFLSWCPQEQVLEHPATGLFLTHSGWNSTLESISAGVPMICWPFFAEQMTNCRYACTKWDIGLEIDTDVKREEVARLVQEAMDGEKSKDMRAKAMAWKEKAVAATEEGGTSSAGIDRLVEFLLARGDHAS >ORUFI02G34650.2 pep chromosome:OR_W1943:2:29868173:29874749:-1 gene:ORUFI02G34650 transcript:ORUFI02G34650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPDSDTFGLHAPVRHCSVYTALSQDQICTNPPDSNTFGLTAAPSVRPSARRRRHTAMSSCEARRAHAVLIPQPAQGHVTPMLHLAKALHARGFFVTYVNSEYNHRRLLRSSGPGALAGAAGFRFEAVPDGMPESGNDDVTQDIAALCVSTTRHSAEPFRELLVRLNSTPGTPPVSCVIADGVMSFAQRVAEEMGILALVFWTTSACGFMGYLHFAELIRRGYVNCIGVYKIVGHVTPLLHLAKVLHSRGFHVTFVNSEYNHHRLLRSRGTGALAGLDDFRFETIPDGLPPPSESDNDDVTQDIPTVCTSFLTHGPAAFGALLARLNSEPGTPPVSCVIPDGVMSFAQRVASDMGILAPAFWTTSACGFMGYLHYAELIDRGYVPLKDESYLTNGYLDTVLDWVPGMPGIRLRDMPSFIRTTDRDEFMLNFDSGEAQNARHAQGLILNTFDAVEHDVVDALRRIFPRVYTVGPLLTFAGAAAARRPEVGAIGGNLWKEDASCLRWLDAQQPGSVVYVNFGSITVMSPAHLAEFAWGLARCGRPFLWVIRPDLVASEKAMLPEEFVSETKERGIFLSWCPQEQVLEHPATGLFLTHSGWNSTLESISAGVPMICWPFFAEQMTNCRYACTKWDIGLEIDTDVKREEVARLVQEAMDGEKSKDMRAKAMAWKEKAVAATEEGGTSSAGIDRLVEFLLARGDHAS >ORUFI02G34650.3 pep chromosome:OR_W1943:2:29872929:29874749:-1 gene:ORUFI02G34650 transcript:ORUFI02G34650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPDSDTFGLHAPVRHCSVYTALSQDQICTNPPDSNTFGLTAAPSVRPSARRRRHTAMSSCEARRAHAVLIPQPAQGHVTPMLHLAKALHARGFFVTYVNSEYNHRRLLRSSGPGALAGAAGFRFEAVPDGMPESGNDDVTQDIAALCVSTTRHSAEPFRELLVRLNSTPGTPPVSCVIADGVMSFAQRVAEEMGILALVFWTTSACGFMGYLHFAELIRRGYVPLKDESDLTNGYLDTPIDWIPGMRGIRLKDVPSFIRTTDPDDIMLNFDGGEAQNARKARGLILNTYDALEQDVVDALRREFPRVYTVGPLPAFAKAAAGEVGAIGGNLWKEDTGCLRWLDAQQPGSVVYVNFGSITVMSPAHLAEFAWGLACCGRPFLWVIRPDLVSGEKAMLPEEFVGETKERGVLASWCPQELVLSHPSVGLFLTHCGWNSTLESICAGVPMICWPFFAEQPTNCRYVCDKWGVGMEIDSNVSRTEVARLVREAMEGERGKAMRVNAMVWKEKAKEATEEGGSSSRNLDRLIEFLHSSGSDAR >ORUFI02G34660.1 pep chromosome:OR_W1943:2:29886618:29888562:1 gene:ORUFI02G34660 transcript:ORUFI02G34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGAAGKPHAVCMPYPAQGHITPMLNVAKLLHARGFDVTFVNTEYNHARLVRTRGEAAVAGAPGFRFATIPDGLPPSDDDDVTQDIPSLCRSTKETCLAPFRRLLAQLNDPATGHPPVTCVVSDVVMGFSMAAAKELGLPYVQLWTASSISYLGYRHYRLLMERGLAPLKDVDQLTNGYLDTPVEDVPGLRNMRIKDFPSFIHTTNPEEYMVGYVIEETERCKDASAIIVNSFGDLEGEAVAAMEALGLPKVYTLGPLPLVARKDPPSPRRSSIRLSLWKEQEECLQWLDGKEAGSVVYVNFGSITVMTNEQLVEFAWGLANSGREFLWIVRRDLVKGDTAVLPPEFLAETAERGLMASWCPQQDVLNHPAVGAFLTHSGWNSTLESLAAGVPVISWPFFADQQTNCRYQCNEWGVGMEIDSNVKRGAVACLIAELMEGQKGKEMRRKAEEWREKAIRAAKPGGSSHRNFEELVRHVLLAKES >ORUFI02G34670.1 pep chromosome:OR_W1943:2:29895539:29895972:-1 gene:ORUFI02G34670 transcript:ORUFI02G34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSPHRAFLVAMILVSFLLGAAAGIRSTTLSSSQSLAEDKSRLGATPPSCHNRCNACNPCTPVQVAALPGVSRPASAADRVDVAGFAQYSNYKPLGWKCRCAGRLFDP >ORUFI02G34680.1 pep chromosome:OR_W1943:2:29905558:29911273:-1 gene:ORUFI02G34680 transcript:ORUFI02G34680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAVMLALLVLVSTAQVSMGARRRMELYKPDPADMLSYHSGAVLQGNIPVSIYWYGKFTPAQKSILFDFLLSLSVAPYAAAPSVAQWWSSIDELYLSKAVQTNSNGQSKKTQVLVASQVSDINCSMGKSLTLAQVAALAAQAKPKKGGIALVFTAQDVTVEGFGMSRCGLHGSDAKSGTAYIWVGNPATQCPGECAWPFHQPMYGPQGAPLVAPNGDIGADGMVMNLASMLAGTVTNPFGDGYYQGSRDAPLEAATACPGVFGSGAYPGFAGELKVDRATGASYNANGANGRKYLLPALYNPSTAAVSLSLVQLSMGSRRLMELYIPPASDQLTYHHGSVLSGDIPVSILWYGKFTPTQMSIIADFVVSLTGAPNAATPSVGQWWGTIEQLYLSNAATNSQTSTRVLLDEQVSDEQCSLGKSLTLAQIDQLAARVGTKRGGVALVFTDEDVTVEGFCSSRCGKHGSDASAGTTHIWVGNSAKQCPGQCAWPFAQPVYGPQGTPLVAPNNDVGADGMVMILASMVAGTVTNPYGDGFYQGPQDAPLEACSACPGVYGSGAYPGNAGKLLVDATTGASYNANGANRRKYLLPALYNPATSSCDTLV >ORUFI02G34690.1 pep chromosome:OR_W1943:2:29915756:29916742:-1 gene:ORUFI02G34690 transcript:ORUFI02G34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRRVCTVHHMSHAAIVLVSVLLLCSAHPSAGARRLMELYKPPPSEQLTYHNGTVLRGDIPVSVVWYGRFTPAQKAVVSDFLLLLTVASPAPTPSVSQWWNTINQLYLSKAAAQGKNGGGGGKITTQVRLAGQLTDDQCSLGKSLKLSQLPALAARAKPKKGGIALVLTAQDVSVEGFCMSRCGTHASNAKARTAYVWVGNSATQCPGQCAWPFHQPVYGPQTPALVPPSGDVGMDGMVMNIASMVAGVVTNPFGDGFYQGPKEAPLEAATACPGVYGSGAYPGYAGNLAVDPATGASYNANGAHGRKYLLPALFDPATSTCSTLV >ORUFI02G34700.1 pep chromosome:OR_W1943:2:29926159:29926999:1 gene:ORUFI02G34700 transcript:ORUFI02G34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSRGGLYFSWALAVVTGELCANSTTNLLVRVTTAVRYIKAVVIHPKSPTFKTGMALAHATCHPNVRVPLLSTLHSASCFKPPREANLLLPTPAVPTLPIPPAIPKLPMPLRRIHHAIEPLIYSIRGASLRGRMVRCPLSNSWEQAGHAKPAGQ >ORUFI02G34710.1 pep chromosome:OR_W1943:2:29927233:29928320:1 gene:ORUFI02G34710 transcript:ORUFI02G34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAFAACLLACALLFQMCVASRKLTALVQDQPITMTYHKGALLSGRIAVNLIWYGNFSAPQRAVITDFVSSLSTPPSPQPQPEPSVASWFKTAQKYYANSKARFPALSLGQHVLDQSYSLGKRLGEKDLARRPRLAEPRHQRGSPSRAINVVLTADDVAVDGFCMSRCGTHGASPRSRAGRFAYVWVGNPATQCPGQCAWPYHQPVYGPQAAPLTPPNGDVGVDGMVISLASMIVGTVTNPFGNGFFQGDADAPLEAATACAGVYGKGAYPGYAGSLLVDPASGASYNANGAHGRKYLVPALVDPDTSACSTVG >ORUFI02G34720.1 pep chromosome:OR_W1943:2:29940438:29941419:-1 gene:ORUFI02G34720 transcript:ORUFI02G34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFATGLVGAGLHPPPPWCLGGVVATLAADSPQLWFMSIPDGLPDDHAHAMGDIVELLESLGTNGSRVKGEGDKEFSVTLELHARLWKMPSTLVAVAALAWSARWCATKLGGVHSIRQSPCRVAQLMERRVGGGGLVMPSAGLQSFTYIALLPVYDRMVVPLARRLAGGGRDGITMLQHVGAGMATACLTTVVAVLVEARRLRVARDTGLVDRPDATVPMDVWWLVPQHVLVGVTEVLAVIELDQLAGELHIVRLAVSHGNFISSSHIPAKIKSKMEKMEKMAK >ORUFI02G34730.1 pep chromosome:OR_W1943:2:29945000:29945240:1 gene:ORUFI02G34730 transcript:ORUFI02G34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTLASPPVREEELVVSGPNQLDEDKNGLEQRSRRHSSYWSMGVDGRRRLRKPAGRRRIQGVACPFFSQRMCLAR >ORUFI02G34740.1 pep chromosome:OR_W1943:2:29945243:29945485:1 gene:ORUFI02G34740 transcript:ORUFI02G34740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSGTLISLAAGLKGGLHIVTNIADGMAFMHDALREDGGTNTTKLDAKTPTEAKSMCMRREDGGTNTPSWVTADESPQA >ORUFI02G34750.1 pep chromosome:OR_W1943:2:29954851:29958543:1 gene:ORUFI02G34750 transcript:ORUFI02G34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECGGGEYRCWEELLPDALGLVFRNLPLREVLTVVPRVCKSWSRVVAGPYCWQEIDIEEWRQQQGKPEQLVRMVEMLVARSCGSCRRISVSGLPGDPLFSFIGDHARALRTLEIPRSEISDAAVESVAPRLPNVTFLDISSCTKIGARALEAFGKNCKSLVGLRRVMHPTDVAGKACQRDEARAIACTMPRLRHLEMGYMVIATDAVLDILARCRDLRFLDLRGCWAVDDKFLQERHPGLRVLGPGVDDCFENSYLEECSDYSDDSSIYSWELMEDDDDDYYAVGSDDEAIWDDGQGLENLEVRFYGGGFSESYAGFDWPPSP >ORUFI02G34750.2 pep chromosome:OR_W1943:2:29954851:29958543:1 gene:ORUFI02G34750 transcript:ORUFI02G34750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGECGGGEYRCWEELLPDALGLVFRNLPLREVLTVVPRVCKSWSRVVAGPYCWQEIDIEEWRQQQGKPEQLVRMVEMLVARSCGSCRRISVSGLPGDPLFSFIGDHARALRTLEIPRSEISDAAVESVAPRLPNVTFLDISSCTKIGARALEAFGKNCKSLVGLRRVMHPTDVAGKACQRDEARAIACTMPRLRHLEMGYMVIATDAVLDILARCRDLRFLDLRGCWAVDDKFLQERHPGLRVLGPGVDDCFENSYLEECSDYSDDSSIYSWELMEDDDDDYYAVGSDDEAIWDDGQGLENLEVRFYGGGFSESYAGFDWPPSP >ORUFI02G34750.3 pep chromosome:OR_W1943:2:29954939:29958543:1 gene:ORUFI02G34750 transcript:ORUFI02G34750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGECGGGEYRCWEELLPDALGLVFRNLPLREVLTVVPRVCKSWSRVVAGPYCWQEIDIEEWRQQQGKPEQLVRMVEMLVARSCGSCRRISVSGLPGDPLFSFIGDHARALRTLEIPRSEISDAAVESVAPRLPNVTFLDISSCTKIGARALEAFGKNCKSLVGLRRVMHPTDVAGKACQRDEARAIACTMPRLRHLEMGYMVIATDAVLDILARCRDLRFLDLRGCWAVDDKFLQERHPGLRVLGPGVDDCFENSYLEECSDYSDDSSIYSWELMEDDDDDYYAVGSDDEAIWDDGQGLENLEVRFYGGGFSESYAGFDWPPSP >ORUFI02G34760.1 pep chromosome:OR_W1943:2:29963352:29967403:-1 gene:ORUFI02G34760 transcript:ORUFI02G34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGQEIPEDGDMDGADVDMASGGDDAAKLQELDQMKRRLKEMEEEAAALRDMQAKVAKEMQGGPPGGDPSASTAEAKEQVDARSVYVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEALNLNESELHGRQIKVAPKRTNVPGMKQRPPRGYNPYHGYPYRSYGAPYFPPYGYGRVPRFRRPMRYRPYF >ORUFI02G34770.1 pep chromosome:OR_W1943:2:29967616:29969288:1 gene:ORUFI02G34770 transcript:ORUFI02G34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVASKRIPLFRLVEQLLAASPAQGAASALRPVAVAGGSRAYNTGAQLRRHERDESDDDSGRGYDTRRPTRDATMPAFFSDVFRDPFSAPQSLGRLLSLMDDLATPAGRAGAATLRRGWNAKESEEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGEKEAGEDEGAAPARYSGRIELAPEVYRMDQIKAEMKNGVLKVVVPKVKEEQRRDVFQVNVE >ORUFI02G34780.1 pep chromosome:OR_W1943:2:29970702:29977864:1 gene:ORUFI02G34780 transcript:ORUFI02G34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVTVTLRYFAGPGVPRYVIATVGYAWFCSLSFIILVPADIWTTLTGREKGGIGFFWSWSYWSTFILTWAVVPTIQGYEDAGDFTVKERLKTSIHMNLLFYSIVGAIGLFGLILLLVMHRAWDGGIVGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWKNADWTHRQKVLSHRVAKMAVKLDNAHQEYSNAIVVAQATSNQMSKRDLLRPYMDIIDKMLAQMLREDPSFKPSGGRLGENDMDYDTDDKTMATLRRQLRRAHEEYYRCKSEYMTYVMEALELEDTIKNYERRDANGWKFVSSFRESRPGTLGSLLDTMEFIWRCVLRKQLQKGFAIVLGCMSAAILLAEATLLPSGVDLSLFSILVKSVGKQEVLVQVAAFVPLMYMCICTYYSLFQIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLIRLGGDAKTTFEKRMGNIDDAVPFFGRGFNRIYPLFMVVYTLLVASNFFGRLINFFGSWKRFKFQCEEENMDGFDPSGMIILQKERSWIEQGCKVGEQVIPLARNFNNVNTDVESGKVPLVENTLEMKSGATSSRADGRVGQSKYANNRETIATKYSAIREQSRQAVKPAKREISSTSVSLLEEGSSEQRSNTGAPVGSSAGISQTWATMKIGFQNFKANMGSKKFIPLRQDPGFAPHSNVSSPESLDEIFQKLKRRPADMPVDYLDDDDDNTGDMDPTFPGSTR >ORUFI02G34780.2 pep chromosome:OR_W1943:2:29970702:29977865:1 gene:ORUFI02G34780 transcript:ORUFI02G34780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVTVTLRYFAGPGVPRYVIATVGYAWFCSLSFIILVPADIWTTLTGREKGGIGFFWSWSYWSTFILTWAVVPTIQGYEDAGDFTVKERLKTSIHMNLLFYSIVGAIGLFGLILLLVMHRAWDGGIVGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWKNADWTHRQKVLSHRVAKMAVKLDNAHQEYSNAIVVAQATSNQMSKRDLLRPYMDIIDKMLAQMLREDPSFKPSGGRLGENDMDYDTDDKTMATLRRQLRRAHEEYYRCKSEYMTYVMEALELEDTIKNYERRDANGWKFVSSFRESRPGTLGSLLDTMEFIWRCVLRKQLQKGFAIVLGCMSAAILLAEATLLPSGVDLSLFSILVKSVGKQEVLVQVAAFVPLMYMCICTYYSLFQIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLIRLGGDAKTTFEKRMGNIDDAVPFFGRGFNRIYPLFMVVYTLLVASNFFGRLINFFGSWKRFKFQCEEENMDGFDPSGMIILQKERSWIEQGCKVGEQVIPLARNFNNVNTDVESGKVPLVENTLEMKSGATSSRADGRVGQSKYANNRETIATKYSAIREQSRQAVKPAKREISSTSVSLLEEGSSEQRSNTGAPVGSSAGISQTWATMKIGFQNFKANMGSKKFIPLRQDPGFAPHSNVSSPESLDEIFQKLKRRPADMPVDYLDDDDDNTGDMDPTFPGSTR >ORUFI02G34790.1 pep chromosome:OR_W1943:2:29979554:29980244:-1 gene:ORUFI02G34790 transcript:ORUFI02G34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRKNKAAEGARCRRHRQRGGGGGGGAGRLSHLTSASASASASLPSVVRGEEEEASSCCSSSSEASSDASSSAASGSSSPWAARFHREMVAAGGRGGGRLSLLMRNERVLLDSDEVASVVRRMRERRRQARSFWAKLLHATVGGGGGKKEGCSMAHSKTLDQERSTTAAAKWILF >ORUFI02G34800.1 pep chromosome:OR_W1943:2:29997867:29998827:-1 gene:ORUFI02G34800 transcript:ORUFI02G34800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGASCLTIAALLLAACASSAAATSYTVGDASGWTIGYASGAHTVVEVSAAGYLACAAANALGSDSSGSTTVALKTPGKHYFICTIAGHCAGGMKMEVDVSGSSSSSSGGGGGGGGGGSTPSSPSSPTPTTPNPSTPTPTTPYPSTPMPTTPYPSTPMTTPTTPYTTPTSPACSGGAGATPVTPVTPGTVPFMSYNGAGGLGPVALATIGMVCFVVFVQLGLL >ORUFI02G34810.1 pep chromosome:OR_W1943:2:30007018:30008650:-1 gene:ORUFI02G34810 transcript:ORUFI02G34810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQEHLSCAAAMYAAPPSSLGCGVDMDEFEENGGVVLQEEAGVAVHGGGGGGAGGMMSLWVNAATCARSIAASGAVEEFWDERQYPVSSPPAAPTPAASGGGGGHAKAAARRRRRRPKVVKNKEEAESQRRNHIAVERNRRRQMNEYLAVLRSLMPPSYAQRGDQASIVAGAINFVKELEQLLQSLEAQKRRAEHAPPAPPFAGFFTFPQYSTTVGDNNAAGSGAADGEGGCGARPGAADIEVAMAESHANVRVLAPRRPRQLLRMVVALQCLGLTVLHLNVTTTADHLALYSFSLKMEDECRLSSVDEIAGAVNQMVTKIAGECIS >ORUFI02G34820.1 pep chromosome:OR_W1943:2:30010189:30010964:1 gene:ORUFI02G34820 transcript:ORUFI02G34820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGKSLMLPIELAAVGWHCWLVAAGGLVPVLALSETCRVMQERKGGKKLESPERLANKDGNGSLTYEAVAVTCTEMERKRNVQSSVSISPIGPIQSGINTFH >ORUFI02G34830.1 pep chromosome:OR_W1943:2:30015971:30017263:1 gene:ORUFI02G34830 transcript:ORUFI02G34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHQHQHALLAALLACALAASSSTAGAQPAGQQGYAYGDVSGQQVHVSTTMIVLLAAVVGVFLFIAISTIYLRHCTGYDPATEGGGVGGSRSMILPANSFVSRRQRRPRGLDSSVVRMFPTMKYAEAKALRVGKVAGAALECAVCLSEFEDDEMLRFLPKCSHAFHPDCIGQWLASHVTCPVCRRNLDPNKDTTEEVIIPAAAAADPNSTSSEIVVIRQEDGAHPAAVVIDVVTEEDDEERRKEELELQAIGTQLRAMRSRSGLRPKTSAAKLPRSHSTGHSLAVRLDGDLERYTLRLPEHVHREMVAAGEQSVRRGRRLGEGVGMGARCSPRFSRSGRWSSFLSNSLSGKLSFLSPSSRRTPDSTQVEVSSSSSSSVTKVKGKRVAAVDVADDGSAHGTAQYPGCTVASSAAAAAVDVEKAATRQVRT >ORUFI02G34840.1 pep chromosome:OR_W1943:2:30022409:30024076:1 gene:ORUFI02G34840 transcript:ORUFI02G34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRHLALRLRHLRRLLAVAPLSSLAAAHSANRSSPRLNPTSVPPPPRQLHLPTLQARRLCSTTHVVLPTNLQDERFAALSDRIYDAVIETAAGSSEGTEAALDALGAELTTPLVADVLHRLRYDEKLAFRFFVWASHQDGYEHEAATYNDVIDILSGTRYKARQFGVLCDVLDHMKRRRTRSVPVDDLLGILRAYTEKHLTHLRKLAKKRRVRMRTPPETDALNVLLDAFCKCGMVREAEVVFSRVKRKLLGNAETYSILFFGWCRARDPKRAMKVLEEMIQMKHTPENFTYNAAIDSFCSAGLVSEARDLFEFMRTEGSTISSPTAKTYSIMIAALAKAGQMEECFELISEMRKCGCMPDVSTYKDLIEGMLLVDKLDAAYCVLDEMAKAGFPPDIVTYNCFLKVLCGLQKADDALELCERMIEAHCEPSVHTYNMLMVMFFEMREPDRAINIWIEMDKRGCHRAVDTYETMIDGLFDSGRTEDATALLDEVINRGMKLSYKKFDAIMLRLSAVGNLGAIHRLSEHMRRFYNVAMSRRFAITQKKKSIGLRRK >ORUFI02G34850.1 pep chromosome:OR_W1943:2:30036023:30040103:1 gene:ORUFI02G34850 transcript:ORUFI02G34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHELLLLLLHVIFSHHSSVNCDGQCAFVLFLFFLPPGTIHPFLLPTSPKLKRRKGEKKLGGSERERENKKAFLFAQSQSSSLLRSNHYLILFPQCHMSTSPSCSSSPIPQSLTLASTSSSSSSSGMRDAGEGSDSPPSEMSEDGSGGSGDGDGGGGGGDRWMPDLRGGNGGGGGGGGGGGRWAPPDQVLENVLESVLEFLTAARDRNAASLVCRSWYRAEAQTRRELFIGNCYAVSPRRAVERFGGVRAVVLKGKPRFADFSLVPYGWGAYVSPWVAALGPAYPHLERICLKRMTVSNDDLALIAKSFPLFKELSLVCCDGFSTLGLAAIAERCRHLRVLDLIEDYIDEEEDELVDWISKFPESNTSLESLVFDCVSVPFNFEALEALVARSPAMRRLRMNHHVTVEQLRRLMARAPQLTHLGTGAFRSEPGPGGALSVTELATSFAASRSLICLSGFRDVNPEYLPAIHPVCANLTSLNFSFANLTAEELTPIIRNCVRLRTFWVLDTVGDEGLRAVAETCSDLRELRVFPFDATEDSEGSVSDVGLQAISEGCRKLESILYFCQRMTNAAVIAMSKNCSDLVTFRLCIMGRHRPDRITGEPMDDGFGAIVMNCKKLTRLSVSGLLTDKAFAYIGKYGKLIKTLSVAFAGNSDMSLQSVFEGCTRLQKLEVRDSPFSDKGLLSGLSYFYNMRFLWMNSCRLTMRGCRDVAQQMPDLVVEVMKDHLDDEGEMETVDKLYLYRSLAGARNDAPSFVNIL >ORUFI02G34860.1 pep chromosome:OR_W1943:2:30046217:30048040:-1 gene:ORUFI02G34860 transcript:ORUFI02G34860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKELLPTPKAAASTFYDHSSDPWFKERYGGESAQSDAAAAAAKPSGPAKPVPPYGKRGGFVPRRPEDFGDGGAFPEIHVAQYPLGMGRRDEKGGSKILALTVDAKGSVAFDAVVKQGENASKIVYSKHSDLVPKIATADSEATADDEEYQKQIEETTERTKAALEKVVNVRLSAAQPKNVPTHDSESKFIKYKPSQQSAAFNSGAKERIIRMSEMAQDPLEPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVQMRSKVQRELQLKEKERKEQELRALAQKARMERTGAPPAPTGVPAGGGRGAVDDREEDMDLEQPREQRRESREEREARIERDRIREERRRERERERRLEARDAAMGKKSKLTRDRDRDVSEKIALGMASTGGAKGGEVMYDQRLFNQDKGMDSGFATDDQYNIYSKGLFTAQPTLSTLYRPKKDGDSDVYGDADEQLEKVMKTDRFKPDKGFSGASERSGKRDRPVEFDKQEENDPFGLDQFLTEVKKGKKAVEKIGSGGAMRASGGSSMRDDYEGGGSGRSRINFERGR >ORUFI02G34870.1 pep chromosome:OR_W1943:2:30049654:30053174:1 gene:ORUFI02G34870 transcript:ORUFI02G34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFVSTVASARATAPLSFASSFHHRRAAPPAVAAAATLRRSNRHLPTRGWRCASAAAPDPVPSEEPASASASTVVVTEDKPDPPPAEEKSEEVAAVSNGGSLETVAAAPVSSGAAEEDGGLDDILSKLDIQVTPTLVLYGSGALVVLWVLSSVVSAIDSIPLVPKVLELIGTGYSIWFTSRYLLFKESRDKLFAKFEDLKERII >ORUFI02G34880.1 pep chromosome:OR_W1943:2:30051761:30055587:-1 gene:ORUFI02G34880 transcript:ORUFI02G34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWEWEEDGEEAARPGEEVPVDFDFISLLCKPKDYYKILEVGYDASEEAIRSSYIRLALKWHPDKKQGEENATSRFQEINEAYQVLSNPAKRREYDKKGILYVQDHNVVHGHADLPLLGGNDDAEFILCFDKWKVVGRELGKISADLLVTLLLPLNFQCVKTQ >ORUFI02G34890.1 pep chromosome:OR_W1943:2:30060890:30071212:1 gene:ORUFI02G34890 transcript:ORUFI02G34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDRDALKWVREGHGGAVHSHDRIDALRAVRGAGGGLGMPPPEKFRSGHLPRAAAPPLRTDDGSVASGSDMEESSDTEEVEVCSGRYSVDSSPRREDILRRTAVPQYRYATVPGMPSYYSSDYSDLSSSRDTALPRTKQQQVRRPQAQVGRYVEEEEYSDSAGSSEFSSQVETRSKGVASRGGYASEYSHNGPARREANNAVPKTRMAAAENYSRTAPLNSRTYQQDKYSAHVPAQDNVKSSQMRNPLLGLSVINLEHLLPLEKSMCAEQDGLSDVPSAPPIHAYDQEISQVSQNVDANVCDGSTVKKEEYNDDGLEPNLPEKSERSTLNPGHSSKPSSSIPLRVPTFHASLQNVLLQSEEELMAKRTSELVSDGVASKPKKTIGKMKVQVRKVRMSVDVPSGCNFSSLPMVKLNSVRYRLSNVQSTLSSGWESVRRIQTLPQLPANSSFSKHSLAYMQASAQYIKQVSGVLKVGVTTLRNSSSYETPQETYSCQLRLKSTPEDDVVPMQPGSGETHVFFPDSLGDDLIIDVSDSKGKPCGRVVAQVATMAEESTDKLRWWSIYREPEHELVGRIQLYIHYTTAADENNTKYGSVAETVAYDIVLEVAMKAQHIQQRNLILHGSWKWYLSYIMDVATPTADWLNLVHDLLLPVLMKTQGTAALSHQENRILGEVEEQIEQTLAMVFENYKSLNESLPSGLVEDFRPPTGLAACALEPAIKLYSLLHDVLSPEAQLRLCGYFQAAARKRSRRYMLETDEYVAGNSEGIRVDLVTFTTAYQKMKSLCCNLRNEIFTDIEIHNQHILPSFVDLPNLAASIYSVELSNRLRAFLVACPPTGPSSPVADLVIATADFQKDLASWNICPIKAGVDAKELFHLYIVLWIEDKRRTLLENCRMDKVKWSGVRTQHMTTPFVDEMYDLLKNTLTEYEVIICRWPEYIFVLENAIADIEKAVIESLEKQYGDVLAPLKDCIAPKKFGLKYVQKLTKRNSVGPYTVPEDLGILLNTMKRLLDVLRPRIESHLKSWSSCMPNGGNSAAIGERLSEVTVTLRAKFRNYMQAVVEKLSENTRMQNTTKLKKIIQDSKELVMESDIRSRMQALKDQLVEAINHVHKVTEVHVFVAICRGFWDRMGQDVLSFLENRKENRAWYKGARVAVSVLDDTFASQMQQLLGNSIQQKELEPPRSIMEVRSILCKDAPRQKNSSFYY >ORUFI02G34900.1 pep chromosome:OR_W1943:2:30072079:30073865:-1 gene:ORUFI02G34900 transcript:ORUFI02G34900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKTILKAGTGPKPVKGQKVTVHCTGFGKDNDLAKKFWSTKDAGQEPFSFNIGQGSVIKGWDEGVMTMQVGEVARIQCTPDYAYGASGFPAWGIRPNSVLVFEIEVLSAQ >ORUFI02G34910.1 pep chromosome:OR_W1943:2:30076649:30077723:1 gene:ORUFI02G34910 transcript:ORUFI02G34910.1 gene_biotype:protein_coding transcript_biotype:protein_coding METERIRHLLLLTLRAPHARLALMPDGCVVIRLPDPRVLRVIARSVLLAVALLSLAWLRDAEAPAGDALQVGLLLRDLRREGLLAPGARAVFLGAADGDCHHHPPALDGDDMRRITPRELLTTGDLSVDFVLDFGYFDKDADRVGLVDRVLKDGGIFAAPIGSASAFRLPPNYRVVYIRRFTETFVGIKKIARVGGDNGIAGARTGMAATTPALKEGVLAFSAQTADTALAELKNFRRKLLLPHITGASAAHAHQAWLKLRHRPVIAVDFPAMWNVNKLQPAHPLVLQDKAVHGAQQQQLNRSVRLNPSTGY >ORUFI02G34920.1 pep chromosome:OR_W1943:2:30078585:30083325:-1 gene:ORUFI02G34920 transcript:ORUFI02G34920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNLASVVVAVDGSEESMNALRWALDNLRLRPDGALVVLHVEVPAFTQAIEAHQRRITQAILDHALKICSEKNVEVKTDVVVGDPKEKICEVTANLKADLLVMGCRAFGPLKRMFLGSVSNYCINNVVCPVVVIKGT >ORUFI02G34930.1 pep chromosome:OR_W1943:2:30079290:30080673:1 gene:ORUFI02G34930 transcript:ORUFI02G34930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAEFPSYVSVPPSDLHRHLGKLLTSGDGTDVTAKSAQLLSSMVAAAEFPSYVSVPLSDLHRHLGKLLTSGDGTDVTLEAGGKTYKAHRSVLAARSSVLKAELLGPMAQLLTSGDGTDVTLEAGGKTYKAHRSVLAARSSVLKAELLGPMAQPRSTAAATPTRINDIEAPVFRAMLHFIYTDHLSSTMATDGFEHLTTSCPAILKELMSKLVVH >ORUFI02G34940.1 pep chromosome:OR_W1943:2:30101077:30107306:1 gene:ORUFI02G34940 transcript:ORUFI02G34940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERREIKRIESAAARQVTFSKRRRGLFKKAEELSVLCDADVALIVFSSTGKLSHFASSSMNEIIDKYNTHSNNLGKAEQPSLDLNLEHSKYAHLNEQLAEASLRLRQMRGEELEGLSIDELQQLEKNLEAGLHRVMLTKDQQFMEQISELQRKSSQLAEENMQLRNQVSQISPAEKQVVDTENFVTEGQSSESVMTALHSGSSQSQDNDDGSDVSLKLELPCGAWK >ORUFI02G34950.1 pep chromosome:OR_W1943:2:30108361:30109363:-1 gene:ORUFI02G34950 transcript:ORUFI02G34950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQRLTTTAEARGDDDDLSNGEGMFAAFWESWEVGHVCQLMRIENRVKKNCSRSTITPPQFLSMRQIGSRCLVWGYVRWLTRRIFL >ORUFI02G34960.1 pep chromosome:OR_W1943:2:30109879:30117189:1 gene:ORUFI02G34960 transcript:ORUFI02G34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEGTTTAAAAAAVAAGKEEEAEVVVVRNPRCYLDVSIGGDMEGRIVVELYASVAPRTAENFRALCTGEKGVSAATGVPLHYKISLKAASVCTRWTKGSCIHRIVKGFMVQGGDITAGDGTGGESIYGLNFEDENFVLKHERKGMLSMANAGPDTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSMEHVSVGESDRPITDIVIVDCGELPEGASDGVVNFFSDGDMYPDWPNDLEEKPAEISWWMTAVDSAKSFGNEYFKKKDYKTALKKYRKAMRYLDLCWEKEEIDEEKSSALRKTKSIILTNSSACKLKLGDLKGALLDADFALREGEGNPKAFFRQGQARIALNDIDAAVESFKHALQLEPNDGGIKRELAAAKKKIADRRDQERKAFSRMFQPSGGSEKIDEENN >ORUFI02G34970.1 pep chromosome:OR_W1943:2:30115251:30118311:-1 gene:ORUFI02G34970 transcript:ORUFI02G34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAISQEAFDAMVRENMEDLGMDADEALADAVDALTLQGADLSGIIKRVPGEAAAAEVSPVMRVLDEVKASSASDSDSGGRSEEDAERLASLLDKLRELCSGDGLENAAVAARNGGVEALVALCASAGVKQERLLASGLKALSSLLRDVGSTEKFRQSEGPQVVMGILKGGSESSDILEGGFRVVASASAGNEVVKECFMVLKVDELIFQVMGEKSNSNVQSLYDAIRVLLTPDDNRVVASQVYGYSRRFAETGIAAVLVNALREKVAPSSLPSACAALKAIAVNDEICRSISENGGIDVLLRCIDEASEQKNKVIAKSCCSLLSKLAGSDANKTTIIERGGFDKFLKLTSRFSEDPPIIQEVMSMVTILTLRSPENAARAMGAGYGTLAIQAMQKFPSSAQTQKQACLMIRNLVVRNPENRTILLNDGVEKLIRKTKMMHGSCKDAATSALRDLGVDNYNA >ORUFI02G34980.1 pep chromosome:OR_W1943:2:30121466:30122755:-1 gene:ORUFI02G34980 transcript:ORUFI02G34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTALPASPPPPPQEDFRFDGPAFSAFPEGVASAGTNPFFSADAMDSNPFLATAVTAPPSPNPFELNHQSASPGAADPFDLFQHFTSAPASPARAAAIYAQFDGGVGDGNGADHDMAVVGDDDDDFQPRASYSSGTATSTVPFDWEEKPGKPKPKSELATCAAAATSANVGEVDDADFDFGVLLDKSVQVPELTTADELFDEGKIRPLKPPPGLLDGGSVASSPRSPISKSPMWSPRLRGKVGSGVDFDPFSTALAKAAKGPSPLGAGAKDTADAGTASSPKKPDPVSVTSPRCIPPATMINGGRKKWRLSDMLLFRRSAAKARAAGANISKEPVFKYSPVQQLGTPVKKATAGQSAAANGDISAGKHKKQSKKATAAEDGMASPHRQSVMGCVRLNPGLHRLAKGFNGSSLHFGHRRAAARSVMNR >ORUFI02G34990.1 pep chromosome:OR_W1943:2:30124571:30132875:1 gene:ORUFI02G34990 transcript:ORUFI02G34990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVALLRNSGRRLLHRCRRPRPVVQAAGPSAPYRPSSSSHSRAGLPGGARLLAAAAPLHCAGRYWPHAAPRFVRRLSAPAVSTSPSSVPSDTDDVHEYAAKLGFEKVSEQSIDECKSTAVLYKHKKTGAEVMSVSNDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNAKDFYNLVDVYLDAVFFPRCVEDFQTFQQEGWHYELDNPEEEISYKGVVFNEMKGVYSQPDNLMGRVSQQALFPENTYGVDSGGDPNEIPKLTFEEFKEFHSKYYHPSNARIWFYGDDDPKERLRVLSEYLDQFEASPAPNESKIWPQRLFKEPVRIVEKYPVGQEGDLKKKFMVCINWLLSEQPLDVETELTLGFLDHLLLGTPASPLRRILLESGLGDAIVGGGVEDELLQPQFSIGLKGVSEDNIKEVEELVMQTLKNLAEEGFAPEAVEASMNTIEFALRENNTGSFPRGLSLMLRSIGKWIYDMDPFEPLKYERPLQQLKARIAAEGSKAVFSPLLEKFLLNNAHRATIEMQPDPEKASRDEAAEKEILKQVKASMTREDLAELARATKELKDKQETPDPPEALKAVPSLSLQDIPKEPIHVPIEVGEINGVKVLQHDLFTNDVVYSEIVFDMSSLKKDHLQLLPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSSIRGKDDPLTRIVVRGKSMATRVEDLFNLIYCILQDVQFTEQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNAAGWIAEQMGGISYLEYLRDLETKIDQDWDKISSSLEEMRQSLFRKDGCLVNITSDWKNLEKSNKHIAKFLDLLPSTTSLGSDPWLSRLPSVNEAIVVPTQVNYVGKAGNLYQSGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLEVYDETAKFLRELEMDDDCLTKAIIGTIGDVDSYQLPDAKGYSSLMRYLLGITEEERQQRREEILSTSLKDFKEFADAVETINDNGVVVAVASPEDVEAANKENPLFSDVKKCL >ORUFI02G35000.1 pep chromosome:OR_W1943:2:30132286:30132753:-1 gene:ORUFI02G35000 transcript:ORUFI02G35000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGFGRGGQGWSPFDAIRGFPSTPEALMSQIDAAIAATEYARSCAQLDPATASSEPQQAAPPPGGEARVEGEASAAAACYDAKVADEAYRAACAALGAGRADAAVRSLRVALASCPPEKAAAVAKVRSMLAIASAQLHKQQHQAQQQLQRGVRK >ORUFI02G35010.1 pep chromosome:OR_W1943:2:30134236:30139055:-1 gene:ORUFI02G35010 transcript:ORUFI02G35010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVARAASFGGRAATARWCSYRRITVAVCLGNLVAALLVLRSLTSLAPTPPKREEVVEYTEEQIRKAEESIRIRREAEPVELVEAVKNLRKIFRREEKRRKELPLELKQKVSYEIVQLLLDLGDNSSFAQQREAVESWRFEKLKDIKSASIQNSTKLDLSNEEARTLKRALQFNWHVLLEDIGLWIPSEVSHTEHDDKPENEPEEEEIIAGPPLPSQCNAELHTDYDGAAVRWGLTHPKESAADCCQACLDQAKNARPGELRCNIWVYCPSEFGCFSPDKYEHKHQECWLKQADHPKLNFKDKYSESYRDSHPTAPVVVPWMSGVISA >ORUFI02G35020.1 pep chromosome:OR_W1943:2:30140335:30144372:-1 gene:ORUFI02G35020 transcript:ORUFI02G35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSPRLLSSFLGDRLLSASARPLLRGAAPGSRRAAYQATRTLCNLVDILFNRGQSDKPEDNPRRLRPGKVSPRLSVPKHIQRPPYVNARQRPGLHNGPEIHDERGIECMRASGKLAAQVLKFAGTLVEPGITTDEIDKAVHQMIVDNGAYPSPLGYCGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNRVFLCISSLYLHKCQSMTMVAGQLGYHGDTSATFLCGNVDDKAKKLVQVTRECLDKAISICAPGVEIKRIGRTIQDHADKFKFGVVRQFVGHGVGQVFHAEPVVLHFQPMLTVGSVNPVIWSDDWTAVTEDGSLSAQFEHTILITEDGAEILTQC >ORUFI02G35020.2 pep chromosome:OR_W1943:2:30140335:30144372:-1 gene:ORUFI02G35020 transcript:ORUFI02G35020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSPRLLSSFLGDRLLSASARPLLRGAAPGSRRAAYQATRTLCNLVDILFNRGQSDKPEDNPRRLRPGKVSPRLSVPKHIQRPPYVNARQRPGLHNGPEIHDERGIECMRASGKLAAQVLKFAGTLVEPGITTDEIDKAVHQMIVDNGAYPSPLGYCGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFLCGNVDDKAKKLVQVTRECLDKAISICAPGVEIKRIGRTIQDHADKFKFGVVRQFVGHGVGQVFHAEPVVLHFQPMLTVGSVNPVIWSDDWTAVTEDGSLSAQFEHTILITEDGAEILTQC >ORUFI02G35030.1 pep chromosome:OR_W1943:2:30147119:30150918:-1 gene:ORUFI02G35030 transcript:ORUFI02G35030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGSCEIRGKCRGLCAKSSEDSSPTFARRRGHEETLTLTLLPGITDPDRANHHPQSDPSPDWPGQIAADPTPRNPLPRPRASRTESNMAALPLATAEVCDANAHLIMNGELRALQPVFQVYGRRQVFAGPIVTLKVYEDNVLVREFLEEKGQGRVLVVDGGGSLRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKANKKGIGEKHVPVTIAGTRICDGEWLYADTDGILISRTELTV >ORUFI02G35040.1 pep chromosome:OR_W1943:2:30153407:30154200:1 gene:ORUFI02G35040 transcript:ORUFI02G35040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAARCCDDGGLSTVVEATSSGDGKLISNAASPSMAMATARCCDDGGLSTVVEAMSSGDGKLISNDLPFLRPDLVTALTRVPWMAIVGLGT >ORUFI02G35050.1 pep chromosome:OR_W1943:2:30155002:30156572:-1 gene:ORUFI02G35050 transcript:ORUFI02G35050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLATADVWDANSQAIMNGELRALPPIFRIYGRRKIFAGPVTTVKVFEENVLVRELLQEKGHGRVLVIDGGGSVHCALLGGNLAQLAHINGWVGIVVNGCIRDVDEINGCDIGVRALNSHPKKPGKKSAGEKRGL >ORUFI02G35060.1 pep chromosome:OR_W1943:2:30160323:30160829:-1 gene:ORUFI02G35060 transcript:ORUFI02G35060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLATAEVCDANSNPIIAGELRALPPIFKIYGRRQVFAGPVATVKVFEDNVLVRELLQEKGHGRVLVVDGGGSVRCALLGGNLAQLAQINGWVGIVVNGCIRDVDEINGCDVGVRALNSHPMKSGKKGAGEKRVPVTIEGTRICDGEWLYADADGILISRTELTV >ORUFI02G35070.1 pep chromosome:OR_W1943:2:30162938:30165931:-1 gene:ORUFI02G35070 transcript:ORUFI02G35070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTGCYKCGRPGHWSRDCPSEPAGAGAASTDNPNPNPNPKPSASRFAPYPRPRFGKSAAAAAAAEGEDGSGGQAQGKKKKKERATRPKLTPDLLLSDDGLGFVLRYFPKAFKPRARPGHEVEDLGNLIKLYTDWHSRLIPYYSFDQFVRKVEKVGASNCVRRCVSELRDRVARGGDPTLLHEPPVEVIPEGEPDGATAEDPIFGTEVPVTENHGVDQVQEDIDIPVESNDVDPMQEDLLNEIYNKEADEPQIPAVGGRAEETTPAMASKEAKPQDDPPREAQNQPGKIQLTEEQRARMEANRLRALERAAAARARASQPA >ORUFI02G35080.1 pep chromosome:OR_W1943:2:30166622:30170294:-1 gene:ORUFI02G35080 transcript:ORUFI02G35080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACNPRFFWAPLLHRSNRPTEKPPSVPRTASPRRRPDARSIDAERPKRRPLDTLSPVAPRGLAAEGWEAEAGAPSTELRVPLKPIPSTDHAASRKIFCPSQLPMDSAVDGPRQPPARAGSRLCTRCGERKAALKRPKTLEQICRECFYVVFEDEIHQTIVDNNLFKPGDRVAIGASGGKDSTVLAYVLSELNRRHKYCLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKVDKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEILDYFSTECIYSPNAYRGFAREFIKDLERMRPRAILDIIKSGENFRISTTTRMPEQGTCERCGYISSQKLCKACVLLDGLNRGLPKLGIGRTKGIAGGDGDCEQQATRSERNRSSLQGKHGNFDF >ORUFI02G35090.1 pep chromosome:OR_W1943:2:30185203:30186993:1 gene:ORUFI02G35090 transcript:ORUFI02G35090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKFRGATGEELAAMEVTQVVGVRTRSRSAAAAGATTTKVQAASAASTRRRKALLPTAVVGTTRRDGGSCYLQLRSRMLFMAPPRPAPAARAPVVAEAAGSGNGAAAHAADGLSRCSSTASSVDAAAQDRSLACRSDVAEAGSEHVPEGSASDSASGRDRERRETTPSSFLPGEVSDLESDLAGGQKRSRPLPSAATASAQQATRPKIPPAAEIEAFFAAAEEAEAKRFAAKYNFDVVRGVPLDAGRFEWTPVVSSRS >ORUFI02G35100.1 pep chromosome:OR_W1943:2:30194491:30194958:-1 gene:ORUFI02G35100 transcript:ORUFI02G35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINMYNPDGGAAFGGGQPPALGPRISFSSDFVVEPPPPVQNRAMNLRCQEEDINFEFSVGSHPMMAADQLFSKGRILPLKDGGFSSGRPPTTLRDELRCDDRASAKGSSRWKEMLGLRKPLCVGGVNGTAKKSTTVDTEMVTDVADSKQVSEP >ORUFI02G35110.1 pep chromosome:OR_W1943:2:30197186:30198434:1 gene:ORUFI02G35110 transcript:ORUFI02G35110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKTVQSGRLAGCRPVVAFLPWIRDQQCLQRLDVEDLKNTVDDPRKRPSLQIDKYAVQGESDSMLPLETLDSLTMSRSGIRWAPTGEEVSIMHENKLYVKGGVETDNNYTSNYATKT >ORUFI02G35120.1 pep chromosome:OR_W1943:2:30200404:30209257:-1 gene:ORUFI02G35120 transcript:ORUFI02G35120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSKCNRISRVADEEEEEEIVAVSSDADESESESEVGSGAEEEDDDYVGESSDSAGGSGSGSGDGDGDEEGGRGDIGDGEGEGGGRRVRSACRGVRANDRERKSQNVDALVRGNLVVRRQPLIPRILSVSDAAAIARKPFKPPCQNGYSENNEQLARRLSARKRFVPWGSVQPFAVTNILPQSPAVSSDDSVEKEESLPPGIEPLILWQPEGRDKENSNFSAIKVDHLLVRYLRPHQREGVQFMFDCVSGLLNDDGISGCILADDMGLGKTLQSITLLYTLLCQGFDAKPMVKRAVVVTPTSLVSNWESEIIKWLKGRVQLLALCESTRADVLSGIESFLKPLSRLQVLIVSYETFRMHSSKFERPGSCDLLICDEAHRLKNDQTLTNKALAALPCKRRILLSGTPMQNDLEEFFSMVNFTNPGVLGDATYFRRYYEAPIICGREPTASAEEKNLGSERSAELSAKVNLFILRRTNALLSNHLPPKIVEVVCCKLTALQTALYNHFIHSKNVKRLISEGTKQSKVLAYITALKKLCNHPKLIYDTIKSNNSGGSGFDDCLRFFPPELFSGRSGSWTGGGGMWVELSGKMHVLARLLGHLRLKTDDRIVLVSNYTQTLDLFAQLCRERRYPYIRLDGATSINKRQKLVNQFNDPSRDEFVFLLSSKAGGCGLNLVGGNRLILFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQADGKMQGSSLSTEDLRDLFTFHEQIRSEIHENLKCNRCNKDGCMVLDGSKFDSAATEHEASNSGENSYIDIGGFGAISGCVQKMNSSNQQVSFVFTNQIDGKLVPVESMARAATHRTHEVTVNAEKEVGKINSSNVPGTERQSLLGKNLKMMGFNLKNSSMKFPTKSRRMLPNCLQGMNKTSTSSDHQQTKKLHVISDASDDDFV >ORUFI02G35120.2 pep chromosome:OR_W1943:2:30200404:30209257:-1 gene:ORUFI02G35120 transcript:ORUFI02G35120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSKCNRISRVADEEEEEEIVAVSSDADESESESEVGSGAEEEDDDYVGESSDSAGGSGSGSGDGDGDEEGGRGDIGDGEGEGGGRRVRSACRGVRANDRERKSQNVDALVRGNLVVRRQPLIPRILSVSDAAAIARKPFKPPCQNGYSENNEQLARRLSARKRFVPWGSVQPFAVTNILPQSPAVSSDDSVEKEESLPPGIEPLILWQPEGRDKENSNFSAIKVDHLLVRYLRPHQREGVQFMFDCVSGLLNDDGISGCILADDMGYYAGLGKTLQSITLLYTLLCQGFDAKPMVKRAVVVTPTSLVSNWESEIIKWLKGRVQLLALCESTRADVLSGIESFLKPLSRLQVLIVSYETFRMHSSKFERPGSCDLLICDEAHRLKNDQTLTNKALAALPCKRRILLSGTPMQNDLEEFFSMVNFTNPGVLGDATYFRRYYEAPIICGREPTASAEEKNLGSERSAELSAKVNLFILRRTNALLSNHLPPKIVEVVCCKLTALQTALYNHFIHSKNVKRLISEGTKQSKVLAYITALKKLCNHPKLIYDTIKSNNSGGSGFDDCLRFFPPELFSGRSGSWTGGGGMWVELSGKMHVLARLLGHLRLKTDDRIVLVSNYTQTLDLFAQLCRERRYPYIRLDGATSINKRQKLVNQFNDPSRDEFVFLLSSKAGGCGLNLVGGNRLILFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQADGKMQGSSLSTEDLRDLFTFHEQIRSEIHENLKCNRCNKDGCMVLDGSKFDSAATEHEASNSGENSYIDIGGFGAISGCVQKMNSSNQQVSFVFTNQIDGKLVPVESMARAATHRTHEVTVNAEKEVGKINSSNVPGTERQSLLGKNLKMMGFNLKNSSMKFPTKSRRMLPNCLQGMNKTSTSSDHQQTKKLHVISDASDDDFV >ORUFI02G35130.1 pep chromosome:OR_W1943:2:30211789:30212091:-1 gene:ORUFI02G35130 transcript:ORUFI02G35130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYHRALPQGETTVEEFRAWLSQFDANGDGRIGREELERALRSLNLWFAWWKAREAMREADANRNGVVDRDEMVRLYAFAQRHLHLKMNDLDDVASY >ORUFI02G35140.1 pep chromosome:OR_W1943:2:30221694:30224621:1 gene:ORUFI02G35140 transcript:ORUFI02G35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSAPPDAYNKYSAGAPPTAPPPATYQLPTMNTPRTGGGLTRWSTGLFHCMDDPGNCLITCVCPCITFGQVADIVDKGTCPCLASGTAYALLCASGMGCLYSCFYRSKMRAQFDLDEGDCPDFLVHFCCEYCALCQEYRELKNRGFDLGIGWAANVDRQRRGVTGASVMGAPGVPVGMMR >ORUFI02G35140.2 pep chromosome:OR_W1943:2:30221694:30222831:1 gene:ORUFI02G35140 transcript:ORUFI02G35140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSAPPDAYNKYSAGAPPTAPPPATYQLPTMNTPRTGGGLTRWSTGLFHCMDDPGNCLITCVCPCITFGQVADIVDKGTCPCLASGTAYALLCASGMGCLYSCFYRSKMRAQFDLDEGDCPDFLVHFCCEYCALCQEYRELKNRGFDLGIGWAANVDRQRRGVTGASVMGAPGVPVGMMR >ORUFI02G35150.1 pep chromosome:OR_W1943:2:30237436:30240776:1 gene:ORUFI02G35150 transcript:ORUFI02G35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKRARSPRAPGVDADDDKKRAAKWRGAVRPHMVLVGFLITLPVLVFVFGGRWGSFQTTSAPNVGGRHVVPGGVTTTQKNEAPKNVSVPATATKSLPQPQDKLLGGLLSAAFEESSCQSRYKSSLYRKKSPFPLSPYLVQKLRKYEAYHKKCGPGTKRYRKAIEQLKAGRNADNAECKYVVWFPCNGLGNRMLTIASTFLYALISNRVLLMHVAAEQEGLFCEPFPGSSWVLPGDFPHNNPQGLHIGAPESYVNMLKNNVVRNDDPGSVSASSLPPYVYLHVEQFRLKLSDNIFCDEDQLILNKFNWMASVSASSLPPYVYLHVEQFRLKLSDNIFCDEDQLILNKFNWMILKSDSYFAPALFMTPMYEKELEKMFPQKESVFHHLGRYLFHPTNKVWGIVSRYYEAYLARVDEKIGFQIRIFPEKPIKFENMYDQLTRCIREQRLLPELGTAEPANTTAEAGKVKAVLIASLYSGYYEKIRGMYYENPTKTGEIVAVYQPSHEEQQQYTSNEHNQKALAEIYLLSYCDKIAMSAWSTFGYVAYSFAGVKPWILLRPDWDKERSEVACVRSTSVEPCLHSPPILSCRAKKEVDAATVKPYVRHCEDVGFGLKLFDS >ORUFI02G35160.1 pep chromosome:OR_W1943:2:30265514:30275516:1 gene:ORUFI02G35160 transcript:ORUFI02G35160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKQTLQRFHLHVFFFLAGMAEEKTTGMLLRLREKLKENTIRILRSERIRRSPTPPTQGEELLAASPAGPRGGRKGRAVVLPLSAAALVACAVVLLLLAGGSAARRGQFVGADPTVLPSRGGGVGDLHLSQSKSNDGENVTIASSEVVNDKLLGGLLTTGFDEQSCLSRYQSVLYRKASSHFPSAYLLERLREHEALQKKCGPHTESYKKAIEQLKAGQGAKVMECNYLVWVAYSGLGNRILTMASAFLYAILTRRVLLVDSDKGTADLFCEPFPETSWLLPPKFPIKQFKNFSNGSPESYGNMLKNKAIRSNPAFLYLHMAHDYSDYDKLFFCEDNQQYLRNIPWLILKSDNYFVPSLFLIPAYQEELTRLFPQRDSVFHHLGRYLFHPSNVVWGMVTRYYDSYLARADERLGIQIRVFDPEPGPFQHVLDQVLACTLKENLLPAINSKQPIVSTRHSRLKSVLITSLNSGYYEKIRSMYWEHPTTNGEMISFHQPSHEEHQNSDKKMHNMKAWAEIYLLSLSDVMVTSAWSTFGYVAQGLSGLRPWLLFKPENRIAPDPPCRQVLSMEPCFHAPPFYDCKAKRGADTGKFVPYTTMDLLRANNCKEITLDEEWTEDDASNPCHRKPAVHKFGLNIPFQVCRFLPETQGIKSEIAR >ORUFI02G35160.2 pep chromosome:OR_W1943:2:30252482:30265722:1 gene:ORUFI02G35160 transcript:ORUFI02G35160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRFLVHNSVQLHRAAAAASPSHGAPEDTPETSTRHDDDRLLGGLLSPAFDEHSCRSRYTSSLYRRRSPFRPSTYLVERLRRYEARHKRCGPGSALFQEAVEHLRSGRNAARSECQYVVWTPFNGLGNRMLALASTFLYALLTDRVLLVHAPPEFDGLFCEPFPGSSWTLPADFLITDFDGVFTMWSPTSYKNMRQAGTISNATAEQSLPAYVFLDLIQSFTDAAFCDDDQQVLAKFNWMVIKSDVYFAAMLFLMPAYERELTQLFPEKEAVFHHLARYLFHPSNDVWGIVHRFYEAYLARADELVGLQVRVFPEMPIPFDNMYEQIIRCSEQEGLLPKLGQTVVVTAANGSSVVAPSTKLTSILVTSLFPDYYDRIRGVYHARPTETGEYVAVHQPSHEREQRTEARGHNQRALAEIYLLSFCDRVVTSAVSTFGYIAHGLAGVRPWVLLRPPSPVARAEPACVRSETVEPCLQALPRRMCGAAEGSDIGALVPHIRHCEDVQKGVKLGWPPAGRAAENEARRWGRLRRASARAALVLCSLTMIPVVVVLHRCAVSSSWPDRVFEAKHIAGAGEQGYNLEGRNQKKGKKINHHPHGDNAITQAQEKANTGPAAAKRDRPPLGQQRDTDEAGSSPEWLFEIEPPADGDRDMQDDLPDDLTASQYIGYDKFLGGLLQEGFDEVSCRSRYQFARYHKNFTRIPSSYLLERLRRQEALQKKCGPGTKSYKQAVKLLRSSQGVNMTTDCNYLFLTVHAGLGNRMLEIASAFLYALLTNRILLLDRYQEIGDLFCEPFPGTSWLMPSDFPLNYGEFTQSSPESYGNMLQNKVVGDNTDRSLAGSRPPYVFLYLDGNYEFHDKLFFCEDDQQFLQDVPWLIMRTDMYFIPSLFLIPSYQDELSRLFPEKDAVFHHLARYLFHPTNSIWYSVKGYYRSYLAKANKTVGIQIRIFEKEGILQKNGRFPYVLEQILSCAQNEKLLPEISMKDEAEAPTATKNNQTIAVLTTSLSSWYSDQIQKKYSEHPTVDGTRVEVYQPSHEEYQRSKNKKHNMKALAEIYLLSMTDVLITSGFSTFGYAAQGLSGLTPWIMFRSENHAMPDPPCRRAMSIEPCFHQAPFYDCKAKRNADLGKMVPFVRHCEDVSWGLKVPAGTSDTNTSAFVSSLSTCDDGDWRSTVTDRRASHRHYGQCYSASDTHSAPHYTVRSAKAI >ORUFI02G35160.3 pep chromosome:OR_W1943:2:30252482:30265722:1 gene:ORUFI02G35160 transcript:ORUFI02G35160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRFLVHNSVQLHRAAAAASPSHGAPEDTPETSTRHDDDRLLGGLLSPAFDEHSCRSRYTSSLYRRRSPFRPSTYLVERLRRYEARHKRCGPGSALFQEAVEHLRSGRNAARSECQYVVWTPFNGLGNRMLALASTFLYALLTDRVLLVHAPPEFDGLFCEPFPGSSWTLPADFLITDFDGVFTMWSPTSYKNMRQAGTISNATAEQSLPAYVFLDLIQSFTDAAFCDDDQQVLAKFNWMVIKSDVYFAAMLFLMPAYERELTQLFPEKEAVFHHLARYLFHPSNDVWGIVHRFYEAYLARADELVGLQVRVFPEMPIPFDNMYEQIIRCSEQEGLLPKLGQTVVVTAANGSSVVAPSTKLTSILVTSLFPDYYDRIRGVYHARPTETGEYVAVHQPSHEREQRTEARGHNQRALAEIYLLSFCDRVVTSAVSTFGYIAHGLAGVRPWVLLRPPSPVARAEPACVRSETVEPCLQALPRRMCGAAEGSDIGALVPHIRHCEDVQKGVKLGWPPAGRAAENEARRWGRLRRASARAALVLCSLTMIPVVVVLHRCAVSSSWPDRVFEAKHIAGAGEQACIYPHYAGSSPEWLFEIEPPADGDRDMQDDLPDDLTASQYIGYDKFLGGLLQEGFDEVSCRSRYQFARYHKNFTRIPSSYLLERLRRQEALQKKCGPGTKSYKQAVKLLRSSQGVNMTTDCNYLFLTVHAGLGNRMLEIASAFLYALLTNRILLLDRYQEIGDLFCEPFPGTSWLMPSDFPLNYGEFTQSSPESYGNMLQNKVVGDNTDRSLAGSRPPYVFLYLDGNYEFHDKLFFCEDDQQFLQDVPWLIMRTDMYFIPSLFLIPSYQDELSRLFPEKDAVFHHLARYLFHPTNSIWYSVKGYYRSYLAKANKTVGIQIRIFEKEGILQKNGRFPYVLEQILSCAQNEKLLPEISMKDEAEAPTATKNNQTIAVLTTSLSSWYSDQIQKKYSEHPTVDGTRVEVYQPSHEEYQRSKNKKHNMKALAEIYLLSMTDVLITSGFSTFGYAAQGLSGLTPWIMFRSENHAMPDPPCRRAMSIEPCFHQAPFYDCKAKRNADLGKMVPFVRHCEDVSWGLKVPAGTSDTNTSAFVSSLSTCDDGDWRSTVTDRRASHRHYGQCYSASDTHSAPHYTVRSAKAI >ORUFI02G35160.4 pep chromosome:OR_W1943:2:30252482:30265722:1 gene:ORUFI02G35160 transcript:ORUFI02G35160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRFLVHNSVQLHRAAAAASPSHGAPEDTPETSTRHDDDRLLGGLLSPAFDEHSCRSRYTSSLYRRRSPFRPSTYLVERLRRYEARHKRCGPGSALFQEAVEHLRSGRNAARSECQYVVWTPFNGLGNRMLALASTFLYALLTDRVLLVHAPPEFDGLFCEPFPGSSWTLPADFLITDFDGVFTMWSPTSYKNMRQAGTISNATAEQSLPAYVFLDLIQSFTDAAFCDDDQQVLAKFNWMVIKSDVYFAAMLFLMPAYERELTQLFPEKEAVFHHLARYLFHPSNDVWGIVHRFYEAYLARADELVGLQVRVFPEMPIPFDNMYEQIIRCSEQEGLLPKLGQTVVVTAANGSSVVAPSTKLTSILVTSLFPDYYDRIRGVYHARPTETGEYVAVHQPSHEREQRTEARGHNQRALAEIYLLSFCDRVVTSAVSTFGYIAHGLAGVRPWVLLRPPSPVARAEPACVRSETVEPCLQALPRRMCGAAEGSDIGALVPHIRHCEDVQKGVKLGWPPAGRAAENEARRWGRLRRASARAALVLCSLTMIPVVVVLHRCAVSSSWPDRVFEAKHIAGAGEQDMQDDLPDDLTASQYIGYDKFLGGLLQEGFDEVSCRSRYQFARYHKNFTRIPSSYLLERLRRQEALQKKCGPGTKSYKQAVKLLRSSQGVNMTTDCNYLFLTVHAGLGNRMLEIASAFLYALLTNRILLLDRYQEIGDLFCEPFPGTSWLMPSDFPLNYGEFTQSSPESYGNMLQNKVVGDNTDRSLAGSRPPYVFLYLDGNYEFHDKLFFCEDDQQFLQDVPWLIMRTDMYFIPSLFLIPSYQDELSRLFPEKDAVFHHLARYLFHPTNSIWYSVKGYYRSYLAKANKTVGIQIRIFEKEGILQKNGRFPYVLEQILSCAQNEKLLPEISMKDEAEAPTATKNNQTIAVLTTSLSSWYSDQIQKKYSEHPTVDGTRVEVYQPSHEEYQRSKNKKHNMKALAEIYLLSMTDVLITSGFSTFGYAAQGLSGLTPWIMFRSENHAMPDPPCRRAMSIEPCFHQAPFYDCKAKRNADLGKMVPFVRHCEDVSWGLKVPAGTSDTNTSAFVSSLSTCDDGDWRSTVTDRRASHRHYGQCYSASDTHSAPHYTVRSAKAI >ORUFI02G35160.5 pep chromosome:OR_W1943:2:30265514:30268298:1 gene:ORUFI02G35160 transcript:ORUFI02G35160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQQRKPKACAESAAAARGADQQQCDCRPLEAGEESLERSVPRKRKPAAAVAAAEKRWSSVAYVVLAAFVMATVFAVLGGRRPAVWIAATKALRRGSDDKSIPLARSAADKLLGGLLPEGFDEKSCRSRYESYLYRRNPGRRPSPHLVARLRMHEELQRRCGPNTESYNRAVQRLRDGGAAEADAHSPDDEQCKYVVSISYRGLGNRILAAASAFLYAVLTGRVLLVDPSNEMDELFCEPFPGTTWLLPRDFPLASSYANFSADTAESYGNMLKNKVLGTDGSTDGDMPAAQMPAFAYLHLDHDYGDGDKMFFCDDDQRLLSNIQWLVMRTDTYIVPSLFLVTTFQDELDALFPERDAVFHHLGRYLFHPANHVWGLVARYYRAYLATARQLVGVQVRVFDHRQAKSPHVVLEQITSCAWKEKLLPEVLDAVEDAAMPTPPTTPHGGSNNSKAVLITSLRPWFYERIKAMYWERATATGEDVSVHQPSHEEYQHFGEKSHDGKAWAEMYLLSLCDVLVTSGWSTFGYVAQGLGGLRPWVMYKPVNITAVPDPPCGRDVSMEPCFHSPPFYDCKTKRGVDTGTILPHVRHCDDVSWGLKLVDPNGSPN >ORUFI02G35170.1 pep chromosome:OR_W1943:2:30273996:30275824:-1 gene:ORUFI02G35170 transcript:ORUFI02G35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGANSHGRVLHTCTLSPKPVTALSRSMAAIPGHHVFQSPRARIAVRASTERATWLPGLDPPPHLDGTLPGDFGFDPLGLGEEPANLKWYVQAELVHCRFAMAGVAGILATDLIRVSGINNLPVWFEAGATKFDFANTTALFFVQLLLMGFAETKRYMDFINPGSQAEEGTFLGIEAALAGSQPGYPGGPLFNPLGLAKDIENADEAKLKEIKNGRLAMVAMLGFIVQASVTHVGPIDNLLTHLSDPFNKNIIHTLSSS >ORUFI02G35180.1 pep chromosome:OR_W1943:2:30278238:30279194:-1 gene:ORUFI02G35180 transcript:ORUFI02G35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRVERGGGGFHLPNSEREDSLFIRALISVVSGDTTVPTLLPEPTMATVVAGAATCARCGVDGCIGVDCEVVVLAAAAGSSSSDEEDEGECTTGAVASGGVTGGVGKRRPRRRSGGEGSRYRGVRRRPWGKWAAEIRDPRRAVRKWLGTFDTAEDAARAYDVAALEFRGQRGKLNFPASHVHSSITTSDAARAYDVAALEFRGQRAKLNFPASTAAQQPRPLLHHNLRENCGSNASSPVHAPEHARTAAAAKDQEIWDGLREIMMLDDGSFWSMP >ORUFI02G35190.1 pep chromosome:OR_W1943:2:30295676:30298455:1 gene:ORUFI02G35190 transcript:ORUFI02G35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRFLSLSLLILLLGFSSSLAAGQVLFQGFNWESWKENGGWYNMLMGKVDDIAAAGITHVWLPPPSQSVAEQGYMPGRLYDLDASKYGNEAQLKSLIEAFHGKGVQVIADIVINHRTAEHKDGRGIYCLFEGGTPDSRLDWGPHMICRGDPYGDGTGNPDTGADFGAAPDIDHLNKRVQRELIGWLDWLKMDIGFDAWRLDFAKGYSADVAKIYIDATEPSFAVAEIWTSLAYGGDGKPDYDQNAHRQELVNWVDRVGGANSNATTFDFTTKGILNVAVEGELWRLRGEDGKAPGMIGWWPAKATTFIDNHDTGSTQHMWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWDHKEEIERLVSIRNRQGIHPASELRIMEADSDLYLAEIDGKVITKVGPRYDVEHLIPEGFQVVAHGDGYAIWEKI >ORUFI02G35200.1 pep chromosome:OR_W1943:2:30301390:30302930:-1 gene:ORUFI02G35200 transcript:ORUFI02G35200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLLDEDCAAWLAATAVACSKPTNDTVVFAFLFDIGVSEDSVGDSAHRDELCAVGPGRRTRAAAIIRGWPIFG >ORUFI02G35210.1 pep chromosome:OR_W1943:2:30308020:30309614:-1 gene:ORUFI02G35210 transcript:ORUFI02G35210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLNTMVNKHFLSLSVLIVLLGLSSNLTAGQVLFQGFNWESWKENGGWYNFLMGKVDDIAAAGITHVWLPPPSHSVGEQGYMPGRLYDLDASKYGNEAQLKSLIEAFHGKGVQVIADIVINHRTAEHKDGRGIYCLFEGGTPDSRLDWGPHMICRDDPYGDGTGNPDTGADFAAAPDIDHLNKRVQRELIGWLDWLKMDIGFDAWRLDFAKGYSADMAKIYIDATEPSFAVAEIWTSMANGGDGKPNYDQNAHRQELVNWVNRVGGANSNATAFDFTTKGILNVALWRLRGEDGKAPGMIGWWPAKATTFVDNHDTGSTQHLWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGLKEEIERLVSIRNRQGIHPASELRIMEADSDLYLAEIDGKVITKIGPRYDVEHLIPEGFQVVAHGDGYAIWEKI >ORUFI02G35220.1 pep chromosome:OR_W1943:2:30314945:30318944:-1 gene:ORUFI02G35220 transcript:ORUFI02G35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGIKELAKMPMEEIEADKLSKEDIDVRLKWLGLFHCHKHQYGRFMMRLKLPNGVTTSEQTRYLASVIEAYGKDGCADVTTRQNWQIRGVTLPDVPAILDGLNAVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRSYTNLLSYITSNFQGNPTITNLPRKWNVCVIGSHDLYEHPHINDLAYMPAVKDGKFGFNLLVGGFISPKRWEEALPLDAWVPGDDIIPVCKAVLEAYCDLGTRGNRQKTRMMWLIDELGMEVFWSEVEKRMPNGVLERAAPEDLIDKKWQRRDYLGVHPQKQEGMSYVGLHVPVGRVQAADMFELARLADEYGSGELRLTVEQNIVIPNVKDEKVEALLAEPLLQKFSPQPSLLLKGLKVEALLAEPLLQKFSPQPSLLLKGLVACTGNQFCGQAIIETKQRALLVTSQVEKLVSVPRAVRMHWTGCPNSCGQVQVADIGFMGCLTKDSAGKIVEAADIFVGGRVGSDSHLAGAYKKSVPCDELAPIVADILASGTESCVPKSARWMEFIHKKPSRSKPKEHNSVSHLQSNFQNLNQESRDAMEGSNLKSAALLEQLRVHLASGAGKELVEKIGFVYQLNISPKKLAFDEEVFVVDLKKGVVSKGPYEGKPDATFSFTDDDFLAISSGKLNPQMAFIMGKLKIKGSISAAQKFTPDIFPKPSKL >ORUFI02G35230.1 pep chromosome:OR_W1943:2:30326548:30331965:-1 gene:ORUFI02G35230 transcript:ORUFI02G35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHSGKYENGDRLSPEHEKAILERLLPYHPQYEKKIGCGIDYLTVGLHPEFENSRCLFIVRKDGEQVDFSFWKCIKGLIRQKYPMYADSFILRHFRRRQDYRISDS >ORUFI02G35240.1 pep chromosome:OR_W1943:2:30333829:30334337:-1 gene:ORUFI02G35240 transcript:ORUFI02G35240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGEGKRWLVTRELEESTGSTTRRALRNLQIYDKVEVASMVGDDPVRSNARESEANLAMDTLTWSEMWWVEIEASTKRRRSKSEVWR >ORUFI02G35250.1 pep chromosome:OR_W1943:2:30339871:30341750:1 gene:ORUFI02G35250 transcript:ORUFI02G35250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSHLMNGGCGGVGKRRGLPAAGVGLGLQKQNSWSPDMERDEAWERRRGMNKGSSSSSALRRVRSVTDDDLDELRGCMDLGFGFEAAGCPLCGAGRSRLVETLPALDLYYAVHGNAGGGRAAPPRRMCPRSRRSGAPCPYSLQETRRRR >ORUFI02G35260.1 pep chromosome:OR_W1943:2:30343336:30347510:-1 gene:ORUFI02G35260 transcript:ORUFI02G35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPGGSGRQQQQQQLPPMTPLPLARQGSVYSLTFDEFQSTLGGVGKDFGSMNMDELLRSIWTAEESHAVGAATTTTATTASVAAAEHAAVGAPPVQRQGSLTLPRTLSQKTVDEVWRDMMCFGGGGASTAPAAAEPPPPAHRQQTLGEITLEEFLVRAGVVREDMSVPPVPPAPTPTAAAVPPPPPPQQQTPMLFGQSNVFPPMVPPLSLGNGLVSGAVGHGGGGAASLVSPVRPVSSNGFGKMEGGDLSSLSPSPVPYVFKGGLRGRKAPGIEKVVERRQRRMIKNRESAARSRQRKQAYMMELEAEVAKLKELNDELQKKQDEMLEQQKNEVLERMSRQVGPTAKRICLRRTLTGPW >ORUFI02G35270.1 pep chromosome:OR_W1943:2:30349199:30349510:1 gene:ORUFI02G35270 transcript:ORUFI02G35270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTEVAVVAEDLDVLVSVTWDEDLTHMLDEYDCSKEKRSPSASPRFRVYIFSSHGSACTTTAAPVPSPRRRRTWGAIATTSSLLRSPSCVVEKDTSSAWFLG >ORUFI02G35280.1 pep chromosome:OR_W1943:2:30350225:30351855:-1 gene:ORUFI02G35280 transcript:ORUFI02G35280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRRVILLPTLGVVVLAIAAAVLLHAGEAADVANGQFARASGTRFTVGGRPFYSNGFNAYWLMYMASDPGDRSKAAGVLQQAASLRATLVRTWAFSDGGYRPLQKSPGVYNEDMFMGLDFVIAEAKKRGLYLILSLVNNWDGFGGKKQYVQWARDQGHNLGSDDDFFRSDVTKQFYKNHAVLTRVNKITGVAYKDDPTIFAWELINEPRCQSDLSGKTLQAWVTEMAGYVKSVDPNHMVEIGLEGFYGESMHKNFNPGYTVGTDFIANNLVPAVDFATIHSYPDQWVSGASSDEQVAFMRKWMADHIRDSAAVLRKPLLVTEFGWSARSNGYTVAARDAYFRTVYDAVYASAREGGACAGGLFWQVMAPGMESWTDGYEVVLERSKSTADVVAHQCARIAGLSPA >ORUFI02G35290.1 pep chromosome:OR_W1943:2:30353309:30357664:-1 gene:ORUFI02G35290 transcript:ORUFI02G35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSTASSRSRSGTFPSPGTPNYHRHCASTMQYPKGWSSERVPLGGGTNRRYGGSGVVLPFNNGRKLPSKWEDAEKWILSPVSCDGIGRMSAPAPHHRRPKSKSGPLGHPGGIPGAYAAASPFVPCFDGVLAAANFAAHSPFSAGVLMPEHVRNGDFSSGRGRSGDDGSSRSYSAEKDPYILRSASIHAWTETLMEASAFANISEETAQDDKLQGLRGETPAISSPIIKKDVATQMSPDDSISSSPKARHSCSSLPSGHPIKEPNSNALKPEVRDVQVDDQVTVTRWSKRHVTRGSDRRSTNIVEWRKKTIETRAPSFDEKERESCVSKCKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDRILGKLRTAQKKAQDMRSAVSDVAAHVSQQIRINQEVRFFLAVAARGDGVI >ORUFI02G35300.1 pep chromosome:OR_W1943:2:30363056:30368651:1 gene:ORUFI02G35300 transcript:ORUFI02G35300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPAAAEAMDVEAPARPTTTTSTVPTSKGKSPHDLLAETRASVEEVAARILAIKKDDAPRTELRELVAQMSLLLITLRQANREILMEEDRVKAETEAAKAPVDSTTLQLHNLLYEKNHYVKAIRACLDFQTKYPGIELVPTEEFHRAAPADIRDKTLAADASHDLMLKRLNFELVQRKELCKLHEKLEQRKSSLLDTIANQKKFLSSLPTHLKSLKKASLPVQQQLGMQHTKKLKQHHAAELLPTPLYIVYTQFLGQKEAFGENIEVEITGSTKDAQMFAQQQAKQENAGTLSNGDNRVDDDAIDDEEDAQRRRSRSRKSVVKEASNSVVAYQLHPLKVILHVYDTEESGAKRRKLMVLRFDYLAKLNVVCVGIEDSKGLDHDILCNLFPDDTGLELPHQMAKLYAGEVPNFSEKDSRPYKWAQHLAGIDFLPEVPLSVGDDSNRASRSADLSSGLALYRQQNRAQTILQRIRSRKVAQMALTWQLDYLTKLKWPQIEHENAPWASRTPLCSLHSWSFTGIFPEPAPRSPLMVVGAAGSVDSDMERRSVTHWEETESTREDGELLAVVPAENTPSVSKISHSEVSPEVRNHSRGLSLISKSATPSKLSISHSFGRNEDDLDLLMYSDSELEEQTFVDQEVEKVNLATDKSWEDYASREFTLVLSKTLKNGPKVMLEAKIAISMEYPVRPPFFTLQLLSGKTEALKWHNDLRAMEAEVNLHILQVVPSSYEDYILTHQILCLAMLFDMHFDEDHGKRKVTSVIDVGLCKPVSGTMLTRSVRGRDRRQTIYWRSADCSSSCL >ORUFI02G35310.1 pep chromosome:OR_W1943:2:30368662:30373515:-1 gene:ORUFI02G35310 transcript:ORUFI02G35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGEYGGGGGFGGDFMVLRPDKGGIGDLFHLLWSCKVAENAAVDCPIGTEIAERRRRWALFVSLVAQMLLLWTKKPMALLGGGTEYWMNLLNENGGSVFMLITNALQGKVKMPDKSSINYRSCIGLLDTRIDLDEKIKPEDRNYHAALSIMAAKLAYENELVVRTVVQNHWQMNFVGFYNCWNEFQEDYTTQAFMVSDKAEDASLAVVAFCGTKPFDTEQWCADVDFSWYEIPGVGKVHGGFMKALGLQRNGGWPEQPTGAGDDGGSDKKPFAYYVIRERLRAFLEENPRARFVVAGHSLGGALAILFPTVLALHGEEDMLARLHGVYTFGQPRVGDEGLCRFMDGHLATPVSRYFRFVYCNDIVPRVPYDDTALLFKHFGTCLYFDSFYKGHVTEEEPNKNYFSLLTVVPKYANAAWELARSFVIGYVDGPEYGEGWLMRIARAAGLLLPGLPPHAPQDYVNATRLGAASLEPLR >ORUFI02G35320.1 pep chromosome:OR_W1943:2:30378235:30379173:1 gene:ORUFI02G35320 transcript:ORUFI02G35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRGSNGAATDLLVCFPSRAHLALMPPKAICSPSRPSASEPVKRRHSTSRSGPPPPSAALFKAAASGRNPSRRAADVPVDNEPSSPKVTCAGQIKARRPAAKPKISGAGGGAGGAKAAKKATWLQALGIKKDALPFLNAVHGAFRLNVAGCFGSFPGAVVEYTSGEDDDDEELAAGKDTEHGAALAKWFMVLEEGKKVSSRKREQEPQKQQEEEEQDKKEADVAPPANALMLMRCRSAPAKGLPRRLGGDAEEEVIKNSKKEEEEEDEKEERLVLMSYAPDFFKVSVDIAKETWIVGGDDAVLRCRSWKR >ORUFI02G35330.1 pep chromosome:OR_W1943:2:30387391:30388832:-1 gene:ORUFI02G35330 transcript:ORUFI02G35330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVQSVQALASSLAALPPEFVRSEHERPGATTFRGGDAPEIPVIDMAAPESAARVAEAAAEWGLFQVVNHGVPAAAVAELQRVGREFFALPQEEKARYAMDASSGKMEGYGSKLQKDLEGKKAWADFFFHNVAPPAMVNHDIWPSHPAGYREANEEYCKHMQRLARKLFEHLSTALGLDGGAMWEAFGGDELVFLHKINFYPPCPEPELTLGVAPHTDMSTFTVLVPNDVQGLQVFKDGHWYDVKYVPDALIIHIGDQIEILSNGRYKAVLHRTTVDKDRTRMSWPVFVEPPPEHVVGPHPQLVTDGSPAKYKAKKFKDYRHCKINKLPITPES >ORUFI02G35340.1 pep chromosome:OR_W1943:2:30390642:30393535:-1 gene:ORUFI02G35340 transcript:ORUFI02G35340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPPSMRRHPLLPLLAAVLVGAAVRAGKIATEVVVPDFVASYLLFIDTYGVFLQSGGGGAFQAVVYNPAGQQDRYYLAVVHAPSKTCVWVANRDAPITDRAAPLRLTARGISAEDPNGTVVWSTPAFASPVAALRLDESGNLALLDGRNRTLWQSFDRPTDVLVSPQRLPVGGFLASAVSDSDYTVGGYRLDVTAADAALTWNGSLYWLLSIDVKSTRDRDGAVASMAVNGTGLYLLAADDTVLIQLPLPDAKLRIVKLGVEGKLVITSYASANATSPSPTDAGFVAPNSGCDLPLSCGALGFCAPNGNASSCTCPPLFASSHDGGCTPADGSKAMSVASCGGAGGDAAPTSYISLGNGVAYYANRFSRPDMVGSNGSSCQALCSGNCSCLGYFYDESSLSCFLVQHQIGSLVNANSTRRGDMVGFIKVQSSQSPGSPSGSSSNNSTLIAILLPTIVAFVLVVVVGAVIVVSWRKQERRAGRRASRSRDVQLRRHRSPASDSAHLVYGNDDDGNDIVIPGLPTRFTHEEIEDMTNSFRIKIGAGGFGAVYKGELPDSSAVAVKKIEGVGMQGKREFCTEIAVIGNIRHVNLVRLRGFCVEGQRRLLVYEYMNRGSLDRTLFRPAAGQPLEWKERMEVAIGAARGLAYLHFGCDQRIIHCDVKPENILLADGGQVKIADFGLAKLLTPEQSGLFTTMRGTRGYLAPEWLTNTAITDRTDVYSFGMVLLELVRGRKNRSEHHVSDGAGAATGDDSNSSNGTTGSSSRGARSDYFPLMALEGHEAGQYAALADPRLEGKVVAGEVERVVKVGLCCLHEDPQLRPSMAMVAGMLEGTMELWEPRVQSLGFLRLYGRGFAGPADGSGGSGGGIKQAMAGSNGDHTRSGTTTVTTMSGWPSYMSSSQLSGPR >ORUFI02G35350.1 pep chromosome:OR_W1943:2:30401961:30405402:-1 gene:ORUFI02G35350 transcript:ORUFI02G35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPSFLYTSSAARSFATGATRFPSPVSPASPAPGAVGGGAPISIQAPREKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSITSGFGVLLKEQGPRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVEMIYKHAVPVPKSECSKSFQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVTPAPGAAEKALQASA >ORUFI02G35360.1 pep chromosome:OR_W1943:2:30406719:30407432:1 gene:ORUFI02G35360 transcript:ORUFI02G35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTMPAQGGVRHHRTCRMYWCYQCGRAIRIISYPSTDVFCPRCFGREQTIVRWGPFSWMWPPRGLEDPDDGWEYGRRGRPEAGDAGGNDMTALQSFVLVATCVFFFSFLT >ORUFI02G35370.1 pep chromosome:OR_W1943:2:30408262:30412486:-1 gene:ORUFI02G35370 transcript:ORUFI02G35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELNIEFSCYDHPVVLTVEEQDKKHRLYVVSALAGTKVDMKILSQRLGLGKGGLRMAPEENLLEVLQVPLGCVTPFALLNESASAVSLLLDQGFKSKQSCYFHPLTNDVTIALSSSNLDKFLMSIGRQPAYVDLEASPVVGKDNPPDLADFVPSGVPNSAEPIEKVTPTNVPRQNDVPKEKTCLPEVKAKPKVQNKGAEKTQSKIPTNGANVEKFVNDVFDIMSPLFLSEVSKKLNVKQEELSSIFDGFKEQATIDLESVTTSLKNAAYTAGFEAGFETMLNSGLKGQASRK >ORUFI02G35380.1 pep chromosome:OR_W1943:2:30413571:30414402:-1 gene:ORUFI02G35380 transcript:ORUFI02G35380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSGQEAAPGSGGNAAAAAARQGGGGGGRGRQYKGVRMRSWGSWAAARAYDAALLCLKGSAADLNFPVHLPFHIPAAAMSPKSIQRVAAAAAANATSPLQHHSGAPSFATATGGYNPAAAPTTPPCSYGDMSSCSAVSSPETANYYGADHDMVAREDDVDYAALADIDAFFQSPKCMDYSMMDPCSTFFSPAPESLAAEWEDEGEISLWSFSSLN >ORUFI02G35390.1 pep chromosome:OR_W1943:2:30428900:30432873:-1 gene:ORUFI02G35390 transcript:ORUFI02G35390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAIRQSQISPPTLPLLRRTRHPHAGGAGGADRRNLVAFGFRIDASTNLSAVKHYQMY >ORUFI02G35400.1 pep chromosome:OR_W1943:2:30433196:30437880:-1 gene:ORUFI02G35400 transcript:ORUFI02G35400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGDAEQAVHSTAPAAGHGSAVRRYAATIGGAVDAKCVLVLFLSIGVFVLVLFMLLPLHDHASETIPDDDPGVLPGEIQASFFLLKKRQQLIPHVRRLQKDIYREIGIPNTTVSVSMHTSKYKDSTYVKFGILPNPRNSSISAQSIIALRKNLIQLTLEQSNLSLTSSVFGDPHCLEILGFPGGITVPPPPDGNICPTALFNVTLNMTIQQLRVHLKELETPAPAPSLSPSNPEHPQPTTTKPYGSFSCPALERRKTVSVHRRLSTISPMMVPPEIPTRFDSWSVHTDRKNRSPLAKPMSLVPASPSK >ORUFI02G35410.1 pep chromosome:OR_W1943:2:30433197:30437826:1 gene:ORUFI02G35410 transcript:ORUFI02G35410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALTVLPRAAGVLRLSQHGRSASRLLCAAAGDGEASPAPRAGRLVLYTKPGCCLCDGLKEKLQAAFLLAGTPYSLASLELQASRLDHERDITTNPDWEQMYQYEIPVLAKVLPDGSEEKLPRLSPRLSVELVQKKSVGGGGTVIPPGNPKISKQCGSPKTDDVKDKFDCSSKESVLTRKYPRVIIRDGF >ORUFI02G35420.1 pep chromosome:OR_W1943:2:30439022:30441748:-1 gene:ORUFI02G35420 transcript:ORUFI02G35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAASPALTLAVAAAVITAACLLLCAEAVWLDLPQSGTKCVSEEIQSNVVVLADYALMYESHPSSHPTIAVKVTSPYGNTLHHNENATVGQFAFTTSEAGNYLACFWIDSAEKGSGISINLDWKIGIAAKDWDVIAKKEKIEGVELELRKLEVAVQSIHQNMIYLKAREAEMRTVSEKTNARVAWFSILSLSVCIVVSILQLWHLQGYFQKKKLI >ORUFI02G35430.1 pep chromosome:OR_W1943:2:30445435:30447898:-1 gene:ORUFI02G35430 transcript:ORUFI02G35430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGRVMNDAKPYLAMILLQVGFAGMYVVAVASLKRGMSHFVLVVYRNLFATAVMAPFALWFERRVRPRLTLIIFLKIMGLAILEPVLDQNLYYMGANLTSAGFASALINVLPAVTFVMALVLRMEKVKLKSVHSQAKIAGTLFTVAGAVLMVLYHGPVVQFPWTKGQHHDGGSGAGGAAGGGFLQGTIFIVVACVCWSGFFVLQSNTLQSYPAELSLTTLICLMGSVLSGAVALVAERHNTQPWLIGFDTRLFTCVYAGIVCSGVAYYVQGIVSRQRGPVFVTAFNPLCMIITAIMGSIILKEEINLGSVIGAVIIVIGLYALIWGKGADKVEQTDAGAAAAGSNKGGGELPLTAVPNGHGSKHGNGGHVYDVETPPAANGHY >ORUFI02G35440.1 pep chromosome:OR_W1943:2:30454282:30457282:-1 gene:ORUFI02G35440 transcript:ORUFI02G35440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMQAPPPPPILLLAPQYGFHYQPTLEEFWQSALVSVPVDSEVRKLFFGAISEENLEYSSEVQRRSLWNV >ORUFI02G35440.2 pep chromosome:OR_W1943:2:30454510:30457282:-1 gene:ORUFI02G35440 transcript:ORUFI02G35440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMQAPPPPPILLLAPQYGFHYQPTLEEFWQSALVSVPVDSEVRKLFFGAISEENLEYCIKKREDTVVLQGASHNEGNLAISVAD >ORUFI02G35450.1 pep chromosome:OR_W1943:2:30458549:30462028:1 gene:ORUFI02G35450 transcript:ORUFI02G35450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATDESFTPIKQDTKKGNLRYYPYNINWNYGLFPQTWEDPTLANTDVEGAFGDNDPVDVVEIGERRANIGDVLKVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKPTSKEYALKVIEETNESWEKLVKRNIPAGELSLA >ORUFI02G35450.2 pep chromosome:OR_W1943:2:30458636:30462028:1 gene:ORUFI02G35450 transcript:ORUFI02G35450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATASATAATRFTRLAGVGLRRTARLPTAVRFQRRVLATTALLRTAELRPKEQGLPETLDYRVFLVDGGGRKVSPWHDVPLRAGDGVFHFVVEIPKESSAKMEVATDESFTPIKQDTKKGNLRYYPYNINWNYGLFPQTWEDPTLANTDVEGAFGDNDPVDVVEIGERRANIGDVLKVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKPTSKEYALKVIEETNESWEKLVKRNIPAGELSLA >ORUFI02G35460.1 pep chromosome:OR_W1943:2:30464271:30465149:-1 gene:ORUFI02G35460 transcript:ORUFI02G35460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRPSQSAMDAGAAPASPSPPRSSKKRSSRPKPRAVDAARHPAPNPSPPPAAAAPASSRSRERERKRRQRGAFADPAAVTAPAAGGQHGGAVQKLWGDADEVALLAGAAAFRARAGHVPRLPDMGALFDSIRGSLSPHIDQAKVYYKLKRLKGKYLHAAPGASAGPHERRVRDLCASVWGADLEPLAEGDDERAAAAAAAADQPRTVPDAAAMLPVLTEMLDEYWKTDGRALSSVSLAKGLSLLGTEEARFIEGKWRRQLDSEIQTQMRRHDLAKEVYALLMDAIKALGP >ORUFI02G35470.1 pep chromosome:OR_W1943:2:30468273:30480164:1 gene:ORUFI02G35470 transcript:ORUFI02G35470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRRGEMARAEGLPEGAAPGVGVDLYAQARKALSVRTPFEGEGTAPRVPTLPARLVNWSGQSDARKKHKKIQPQDVADVELPPQPATEPSAKTGVWEQFEAYFRPVNLDDIDMLMPKFPFGYGRLDSCILIPFVGSGKELMDTAETFDVAVAETSSYLGLGGEERVSNKEHSERSERSEQSVEQGIHEVVVRQFVGNKERGEQSVEQGIHEVVVQQENWPLEVEQATSSAGIVSSKCEEEGESSLNWLLGSKERFVLTSERPNKKRKLLGVDAGLEQLVLLPRSGAEASSVCDVCCLGESSTVSNSMLNCNRCKVTVHQKCYGLHVVPDGQWLCTWCKDLESLQSLKKDADNTLSMPCVLCPKEKGALKPVKGEPGQTADGGNLKFVHLFCSLWTPGALVEDMESMEPVTNVGSVQENQWKLVCSICKVKHGVCVRCSHGTCRTPFHPICARESKHQMEIWGKFGYPNVELRAFCSKHSTIGYANSLERSNCASHQSPTEARLKDANLITGKVPKLRFTRKNKDKFMNYEATSFNSSNLIKVETIEQASLPHTVRSSDSLAIQGMEVDTDNLSVGGNLMRNSADVALVLRKLIDQGKVSVGDVASEVGISSESLEAALVGETTTFSHGLKLKIIKWLQNSAHIPAAQAKTLKGGPMVVHNSKPGRSEDTNSVNMKNSLVPDDEKGTTAYLSDSAVMKSSSTRSKDNNKIMRDNTAVCATGVTTLLQNGIKKMSEAGAERECSSPAEDCAKGTPKEEHGGLISNNISGNTQFGTSMAIPNENKGTSPGKKRYNLTEAEPGSELEGVSSLNQYFPPGDNVKHELNSVENGVGNNHDCNADHVPGQPFSNFNDSHYYIHPLIKEKMTQLWDNTFKQDKLAPCHPEDPLCYPDERRRVGSSIKLTETTDVMDQVSRAKSLGILEHSPDDEVEGEMLYLQARLLDTAAFLKHRYEDLIAKVVQNLSRELDAFSRRKWDFIFVNQFLRDVREAKKRGRKEKRHKEAQAILAAAAAAVAASSRNSTVRKDASDDVVPAKQENSPKFGTGPPNVGQRTSSLLRLKDLSKPPNNKISQDNNRSTFHMPNYSKENALYCDVCMRSETVLNRIFVCSRCKAAVHIDCYRNIENISGPWKCELCEDISPEDTCVGDQSDCNGTNLSLVQCDLCHGTSGAFRKTADGQWIHAFCAEWLLETEYVRGQDSPVKGMESLVKDKDTCCVCLHTVGACLKCNNGDCQTTFHPYCARHAGFYMNTKGSGGILQHKAYCSKHSIEQKEADMQQYGLEEFNNMKRMRVELEKLRLLCERIIKREKVKRERVLCDHDILAKTKDTLVFSYLTHGASSESATTSVNNKSYSGTMQRSDDVTVDSTISGKKAIRFSLNNRDAEINTADSSRTLISFKRKFSERGSLAGKQLPRRPVTSQKLEAGEKKTKDKKNRETFQKELFMTSDQASTQNQRLPKGYAYVPRDSLSKDKLRNRNTQAHEPQEPGG >ORUFI02G35480.1 pep chromosome:OR_W1943:2:30503065:30503448:1 gene:ORUFI02G35480 transcript:ORUFI02G35480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGEEKKAGKVKKGWLAVRVGVEGADGGDGGGFRRFVIPIAYLYHPLFRRLLEAARDAYGYDSAGPLRLPCSVDEFLRLRSLVERETHAAGGGGGSSSPHRVHAGGGSHHHHHYSFSPCTRAKVSS >ORUFI02G35490.1 pep chromosome:OR_W1943:2:30503474:30512288:1 gene:ORUFI02G35490 transcript:ORUFI02G35490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESICRRGRPAHELEVEAIASAACRRGPPLTNAGTARSRGSGGGHKLTATVITWTSGLKTCAQPAKEVRTNMRKTKIEQDGEARH >ORUFI02G35500.1 pep chromosome:OR_W1943:2:30510501:30513633:-1 gene:ORUFI02G35500 transcript:ORUFI02G35500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLMIPSPPPLAWHRFPHRCQKRTPPASSRHYSPGNRPPPPPPRPPPLSLHTSVPQESRTAQLKLASSAWWSVEWSGMAAAAVAAAMVVVVMVSSLPGGVEAKTTIEPCTGSDSCSALLGYTLYADMKVSEVAALFGTDSAALLAANALDFGAPGAAHRILPMGLFVRVPTRCSCTDGVRKSVSVRYAARPADTLATVADGVFAGLASADQIRNANALASADPDAPLDPGQKLVVPLPCVCFNSSDNNLPAVYLSYVVQVGDTVPAIAASYETTVTDVMNVNAMGSPIAAPGDILAIPLPACTSAFPKSASDHGLIVANGTYALTAGNCVQCSCGPGNLNLYCTPASLTGSCPSMQCSNGNVLLGNVSARSTSAGCNVSSCSYGGFVNGTITTLLSTGLQSRCPGPHQFPELTEPPTTVNHDSTFLPPLSAPGPAEAGGAIPPPNSGSPSVQGGSFTLPKVSTANGPAGSVSEAPWMNKPHQILSSFILCLLLLYSQM >ORUFI02G35510.1 pep chromosome:OR_W1943:2:30526751:30527302:1 gene:ORUFI02G35510 transcript:ORUFI02G35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGQVKQAAGVQPVHRCSPVPKGRRAFAMKQHMYAGLGTHAHACDIVVRNRFGVWSRRNQKYIRKTRYFKFVALGSAMSKVRRKLQEWIKQHGLLTWAQYLARREELEAAEAGLQKLFHRRMPTRFRKLKRRVVKEHERERRLLLKKQAHAAQGAPITRALFVYMSSCLVQLFYYLRRLMLG >ORUFI02G35520.1 pep chromosome:OR_W1943:2:30541618:30543883:1 gene:ORUFI02G35520 transcript:ORUFI02G35520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSQRSRRPPRLSRRNATIRQSAYVARPASQLSPPSDQPAFWYSAEESVLEERVVEDEVGDHAEAGGAEAAALVVEEASTSSSSAVSVHAAMVIRRSILNWRKLDLIGAGSSGRVYKAVAEDGFVFAVKEASLTGPESYTKQTACQFEQEILLLSRLEHKNIVQYFGAKKGETVLCIFLEFVSEGSLVSVYEKQQLEESTNIILYKTDPQWIGLSASDIKCANILVDKNGAVKVGDFGLAKEIKVWKQKRSCTGSVYWMAPEVVRGNPYGYSADIWSLGCTVLEILTQRIPYPDDNWVSKSYSFRLLTVSSNSLLIQFYQVSVFYQIGRGQLPPVPGSISPVSRGFIHKCLQVNPDDRPSADELLNHPFVAVPEPD >ORUFI02G35530.1 pep chromosome:OR_W1943:2:30544434:30548864:1 gene:ORUFI02G35530 transcript:ORUFI02G35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQRPRAQLARINAMRHSYTAAGDDGSGDDVCGELDDGGGEYASQTSFRIRGGRGAAEVTAIFRKLGLSGPEDFTIPPAVYAAAMSHLSSSARRRASLEVASPSELLEASPAEAAVPMNREAVEKGEEAGPAPKLVQSEVTEVSTRAYANATPAPESSIRVVAPSATKFVQAEAIEVSTRSYARPAASVRSVASKRALLKQDSADEDKEKGGLGEPSRPGLRESISGVDRSGHDGFFFAVKEVSLIDQGINAKQRIVQLEHEISLLSRLEHENIVQYFGTDKEDGKLYIFLELVTQGSLAALYQKYRLQDSQVSAYTRQILIGLNYLHQRNVLHRDIKCANILVDSNGLVKLADFGLAKEMSILSQARSSKGTVYWMAPEVAKAKPHGPPADIWSLGCTVLEMLTGKVPYPDMEWTHALLKIGRGIPPEIPATLSEDARDFIMKCVKVNPNDRPSAAQLKAVDAEDDPKSLAMIQR >ORUFI02G35530.2 pep chromosome:OR_W1943:2:30544434:30548864:1 gene:ORUFI02G35530 transcript:ORUFI02G35530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQRPRAQLARINAMRHSYTAAGDDGSGDDVCGELDDGGGEYASQTSFRIRGGRGAAEVTAIFRKLGLSGPEDFTIPPAVYAAAMSHLSSSARRRASLEVASPSELLEASPAEAAVPMNREAVEKGEEAGPAPKLVQSEVTEVSTRAYANATPAPESSIRVVAPSATKFVQAEAIEVSTRSYARPAASVRSVASKRALLKQDSADEDKEKGKLVRLDKSREEIRGEVVVEATRETTGASALVVEATRESTSRDIEHLISPSPHRRFRRTITSWLKGEHLGSGSFGSVYEAISDDGFFFAVKEVSLIDQGINAKQRIVQLEHEISLLSRLEHENIVQYFGTDKEDGKLYIFLELVTQGSLAALYQKYRLQDSQVSAYTRQILIGLNYLHQRNVLHRDIKCANILVDSNGLVKLADFGLAKEMSILSQARSSKGTVYWMAPEVAKAKPHGPPADIWSLGCTVLEMLTGKVPYPDMEWTHALLKIGRGIPPEIPATLSEDARDFIMKCVKVNPNDRPSAAQLLDHPFAQRSLQHKGA >ORUFI02G35530.3 pep chromosome:OR_W1943:2:30544434:30548864:1 gene:ORUFI02G35530 transcript:ORUFI02G35530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQRPRAQLARINAMRHSYTAAGDDGSGDDVCGELDDGGGEYASQTSFRIRGGRGAAEVTAIFRKLGLSGPEDFTIPPAVYAAAMSHLSSSARRRASLEVASPSELLEASPAEAAVPMNREAVEKGEEAGPAPKLVQSEVTEVSTRAYANATPAPESSIRVVAPSATKFVQAEAIEVSTRSYARPAASVRSVASKRALLKQDSADEDKEKGKLVRLDKSREEIRGEVVVEATRETTGASALVVEATRESTSRDIEHLISPSPHRRFRRTITSWLKGEHLGSGSFGSVYEAISDDGFFFAVKEVSLIDQGINAKQRIVQLEHEISLLSRLEHENIVQYFGTDKEDGKLYIFLELVTQGSLAALYQKYRLQDSQVSAYTRQILIGLNYLHQRNVLHRDIKCANILVDSNGLVKLADFGLAKEMSILSQARSSKGTVYWMAPEVAKAKPHGPPADIWSLGCTVLEMLTGKVPYPDMEWTHALLKIGRGIPPEIPATLSEDARDFIMKCVKVNPNDRPSAAQLKAVDAEDDPKSLAMIQR >ORUFI02G35530.4 pep chromosome:OR_W1943:2:30544434:30548104:1 gene:ORUFI02G35530 transcript:ORUFI02G35530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQRPRAQLARINAMRHSYTAAGDDGSGDDVCGELDDGGGEYASQTSFRIRGGRGAAEVTAIFRKLGLSGPEDFTIPPAVYAAAMSHLSSSARRRASLEVASPSELLEASPAEAAVPMNREAVEKGEEAGPAPKLVQSEVTEVSTRAYANATPAPESSIRVVAPSATKFVQAEAIEVSTRSYARPAASVRSVASKRALLKQDSADEDKEKGKLVRLDKSREEIRGEVVVEATRETTGASALVVEATRESTSRDIEHLISPSPHRRFRRTITSWLKGEHLGSGSFGSVYEAISDDGFFFAVKEVSLIDQGINAKQRIVQLEHEISLLSRLEHENIVQYFGTDKEDGKLYIFLELVTQGSLAALYQKYRLQDSQVSAYTRQILIGLNYLHQRNVLHRDIKCANILVDSNGLVKLADFGLAKEMSILSQARSSKGTVYWMAPEVAKAKPHGPPADIWSLGCTVLEMLTGKVPYPDMEWTHALLKIGRGIPPEIPATLSEDARDFIMKCVKVNPNDRPSAAQLLDHPFAQRSLQHKGA >ORUFI02G35540.1 pep chromosome:OR_W1943:2:30548874:30550457:-1 gene:ORUFI02G35540 transcript:ORUFI02G35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEENLKKPGESKGSVPTCPRGNASALSHRPYLMAVVAPPSLALPQPPQNPTPKPRRRPPRDVASWTSAIARPAKQGDLPAAAAALSAMLSSPAAPVPNDVTLLTVLSACADSPSSPLARRLALSVHARVLKLFPSHLLLSTCLVRFYFASRLPHLALQLFDAMPVRSAVTYNTVISGLMRNGLVAAAFEVFDGMPAPDKVSWTALIDGCVKNGRHDEAINCFRAMLLDGVEPDYVTLIAVISACAEVGALGLGMWVHRLVVRQGLERNVRIANSLIDMYARCGQVELARQVFSGMRKRTVVSWNSMIVGFAANGRCADAVEHFEAMRREGFKPDAVTFTGVLTACSHGGLTDEGLRYYDLMRAEYGIAARMEHYGCVVDLLGRSGRLEEAMRVVTTMPMRPNEVVLGALLAGCRMHGDVGMAEQLMQHLLELDPGGDANYVLLSNIYAAVGKWDGAGKVRSLMKARGLRKRPGYSAVEIDGDVHEFVSGDRSHPQAEEISQMLGLLMHEMAGHDYDHVIDCLDGG >ORUFI02G35550.1 pep chromosome:OR_W1943:2:30551106:30554309:-1 gene:ORUFI02G35550 transcript:ORUFI02G35550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLMGAPSAAAGDNPTSGEHRMGTTIVGVCYDGGVVLAADSRTSTGMYVANRASDKITQLTDNVYICRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIVGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYGLLDHEWKEGMSQEEAEKFVVKVVSLAIARDGASGGVVRTVTINADGVSRKFHPGDKLQLWHEELEPQNSLLDILAAGNPDPMVQ >ORUFI02G35560.1 pep chromosome:OR_W1943:2:30556119:30558521:-1 gene:ORUFI02G35560 transcript:ORUFI02G35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPESGSRTGRGEEIAARQLNPTASASPPRSLIMDGACCDGGGSPESGGASSSASSYGSASRLQKGVRLRRRRQRLRRPLLATGGDGRGAADGAQDLALPLGMSFAAVLAQVLNRSSCSEGRLQPDFLSKIYGDRFDNFTKNFEKSFGSTLRTLHLINETPVYEQDNSRFSHEDGTSAAEIKLSGADSQRPVHDIQESTSLSSMDNQIILHAGTDQQLVKPPHNKASPEFDRHILNVFERSLNEQTRSNELKELEIGLNMRKLQLKQSQIALSSYSHMLEKIKISMGFQKASFREEKFRTQMEDTRHAELLRRLIDLLLTAVVFMSVCFGYGTYIYSYKRITAVTAACAAASRRSTMTGSNMPITFNVMLLGVLCGSVGRFCVDTLGGDGNVWLFFWEILCFIHLFGNSRPSLLYRMLYGPISVTDRTKASDLPYRVRRYTFYTVLSVILPCLAGLLPFASLSDWNELVVEYMKSKFIRINTEV >ORUFI02G35570.1 pep chromosome:OR_W1943:2:30559725:30561600:-1 gene:ORUFI02G35570 transcript:ORUFI02G35570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTRFPSDVHALRRLLATDNQLIFPNADGLLPEGPEEGEARLISDIVLKRMCLILKYITVHA >ORUFI02G35580.1 pep chromosome:OR_W1943:2:30573819:30585274:1 gene:ORUFI02G35580 transcript:ORUFI02G35580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWDNRIKEESSLLIALLIRLRHPDIDLNASGEVALGFKTVPHFSCTKRDKGTQDNQKEARSMSSKKKRAAIDLSLEAERRRPEEGGGGSDREASDGAAAAAEEDGDVKQREGPKEETGGEEEKVVEVVVDQGEDGSNEEIKYRTQQAEMIEEDKQPAAAANVDDDGGDSDGVGASAEEKHMVTEATGGEGDDGGDSRTPMAQDELSEMQEEMERMKEENRMLRRVVDKTVRDYYELQMKLAAYQQQPAAADEPKETEVFLSLGATAAASAGCGGGFPEAKSKEQAAWRRRSVGSDDSDGGKEDLGLSLSLGASSSYDDDQKAVEARPHDVDGAAAAAMIGGDGSRPAPRGYALLESSKVQGGAAPAAGELAAAGGITSQSVNPANRKTRVSVRVRCQGPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILVTTYEGTHNHPLPVGATAMASTTSAAATFMLLSSTTSSSSVSDASAAPSSSYLSPYLLNSASPLLMPGATGGGGGMQHLNLFGNSPSSSSLLAPQAPGSSKYPWSPNHPPLAGAGGNKRPFWSAGGDGDKPAPAALAENVGAVMSDPNKFSAAIAAAINNFMGKDGESSSGKSSTFAWTRSMDCTATPASVPAPARPRREPVHGPGPHRAEQAAKLAPKNGCPCLSPFSLSLGLLLSSSSSSSPTPSRPRAHRRRNPADLLRSRIGRSPPGSRFPKKWQEVQGQGGMWLVSLDPHRIPSLRTAGKQ >ORUFI02G35580.2 pep chromosome:OR_W1943:2:30573819:30579999:1 gene:ORUFI02G35580 transcript:ORUFI02G35580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWDNRIKEESSLLIALLIRLRHPDIDLNASGEVALGFKTVPHFSCTKRDKGTQDNQKEARSMSSKKKRAAIDLSLEAERRRPEEGGGGSDREASDGAAAAAEEDGDVKQREGPKEETGGEEEKVVEVVVDQGEDGSNEEIKYRTQQAEMIEEDKQPAAAANVDDDGGDSDGVGASAEEKHMVTEATGGEGDDGGDSRTPMAQDELSEMQEEMERMKEENRMLRRVVDKTVRDYYELQMKLAAYQQQPAAADEPKETEVFLSLGATAAASAGCGGGFPEAKSKEQAAWRRRSVGSDDSDGGKEDLGLSLSLGASSSYDDDQKAVEARPHDVDGAAAAAMIGGDGSRPAPRGYALLESSKVQGGAAPAAGELAAAGGITSQSVNPANRKTRVSVRVRCQGPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILVTTYEGTHNHPLPVGATAMASTTSAAATFMLLSSTTSSSSVSDASAAPSSSYLSPYLLNSASPLLMPGATGGGGGMQHLNLFGNSPSSSSLLAPQAPGSSKYPWSPNHPPLAGAGGNKRPFWSAGGDGDKPAPAALAENVGAVMSDPNKFSAAIAAAINNFMGKDGESSSGKSSSKWGVVESLPPHDFCVDAVHGLHRDPGFRPGSRPPEEGAGAWTGSTQG >ORUFI02G35580.3 pep chromosome:OR_W1943:2:30580357:30585274:1 gene:ORUFI02G35580 transcript:ORUFI02G35580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLVGWVSWWWVGSPGAEAQEMIYCEKVSEEMARSARARRHVARQLRSAPYPIPSYRWKAMKESNRKKTLPAAQKMDWEDANCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSHRHSNCLDQFKKAYTKGALLEELPANTVGTNLDSTPLIAGEKNESVDLACPLCRGKVKGWTIVEPARSYLNGKRRTCMQDGCSFVGTYKELRKHVKSEHPLAKPREVDPILEQKWRLLEIERERQDALSTITATMGRAIVFGDYVLDLEDEDDLDDVESDEDDNANGHGTDNTRRMLMFLMRQVARHHQNQRLQNAIGTTGGAEDNYAVSSGANATTPYHYPLEGDDEDDLVMAGGGSTGMVRPERRRRRRRRNRERLFLGAN >ORUFI02G35580.4 pep chromosome:OR_W1943:2:30582826:30586646:1 gene:ORUFI02G35580 transcript:ORUFI02G35580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEDANCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSHRHSNCLDQFKKAYTKGALLEELPANTVGTNLDSTPLIAGEKNESVDLACPLCRGKVKGWTIVEPARSYLNGKRRTCMQDGCSFVGTYKELRKHVKSEHPLAKPREVDPILEQKWRLLEIERERQDALSTITATMGRAIVFGDYVLDLEDEDDLDDVESDEDDNANGHGTDNTRRMLMFLMRQVARHHQNQRLQNAIGTTGGAEDNYAVSSGANATTPYHYPLEGDDEDDLVMAGGGSTGMVRPERRRRRRRRNRERLFLGLH >ORUFI02G35590.1 pep chromosome:OR_W1943:2:30584313:30593098:-1 gene:ORUFI02G35590 transcript:ORUFI02G35590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQRLWPIDSFISTTCEPSFRREFGFGGSVHEVDSVASSLVSDATVNDQSTFLAGYLYFDLSERLLSRGELFQAFSYGKEALHLRKKLLRKKFKFNFGKFTSGEAQCSGGQNSVSLEAWGSTITEIWPDSTRSTGTRDSFLTPWTVLQCYLDSILQVALLHELIGNGAEAEVLLRTGKDISQFQGLPVFGVLFASALGQIYRKRQQWDTAEGELKYARDLLAQNATFISCKLCKLTLDISLDVQAGDLFWSLYEKDFQKQSAGNLSNALGMYQSALDKLNGTKLESPVDSYDKLKTTCIICSKDGKEPLAANDGVLPSCTVCANFSQASGDHSNEFTALKFLKHKDSECCPPLDVKVKRTTRNSSRLAKEQNVEAHVKTRTRSSKRTAHMKGEKASTELHCKNGLSCSDNLSTDTLVRGKANCILDGVDQSIDYTCSIFGCWNCLFVNTLNSGSIQNILQFRWDCVWHHNHVSILLKIERAEILYSMSLFLLKGFLSEQSRDICCRFCSVQMSDVVPWLLKAFVLSRENPSLFQEVCRLLACIFLLATIDSTAQLPLYSSGSLSLNHWAAYFHQNSVGTYLDCQYFAGLKSLLRKNDSKAALEDFSNASDESLSKFFSMLEGDFVNVLGEILLLPSYFPAWMMLSRFDSTNKPITMLLPVDAISEETQHEDSCTKELDNLMRATDKNWQCPWGYTIIDYVAPTFRKILEENFISLSSATLTLNDGQANHVKWWSHRMKLNNHLDKMLKDMEESWLGPWKCLLLGYDLTDQHIEEALTNLIAGLESEFKFEVNPVLIKVILGGAMSVDEVQDCVSQLISYKGYFGRGGCCGKDRLRALSSCCIESEALETVECLIKSTVNELIEPVDRDPVIFVLDTNVQIFLRVLEIVLWMFSLTVRSNGRNRYCGFDIICLKPVLVQMLPWENLPALRNQEIYRMPSIGSVFLALTRSNNYWKDARVIAPPFPVIDPFNAFYLLNPSGDLSSTQEEFDQMFKNYEWKGKAGYAPTAEELVLALRNHDLFLYFGHGSGTQYVSGKEIEKLDNCAAALLMGCSSGTLRCKGCYAPQGAPLSYLSAGSPAVIANLWDVSDKDIDRFSKALLGSWLQENFVAAKNCSKCCQLTREFESMTIAVEGNGRPRRRGTRGKKSERMNNCKVSILGTALHELACACDVCDIHSPSGNVDQARWCELADAFDRRFTRRSAVEMLIDRREEIQIFLLASIYK >ORUFI02G35600.1 pep chromosome:OR_W1943:2:30593615:30596106:-1 gene:ORUFI02G35600 transcript:ORUFI02G35600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVLTALHQFINSSIAAYSCTEMPEGDKDKQHEQHGTLLRALVSAMKVSFITNEVQKSVSFIKCAISSTWIKLDEIKFLMSSLGNIGVTLYNIGHLDEAPKALELCCQTVWVYARLSYHRLSASQDEQRIIEDIPKDTLKDISMDAFAKITKMVDILHRCGVKIIPDIIVKSLSELLANDSTSEFLNSSLVLIKLWVKELLAYALVESRGTMFCVEMQKRITNILLNKIYCSKEYYLERSRVLVRKARVLRTCGVQSISSCLESLSEAISLLRDIPLDSSQGNAPAIHQLAIAYCLHAHCAQEANLGAEVITTDPRCFSKYINKINTSAFCFF >ORUFI02G35610.1 pep chromosome:OR_W1943:2:30598941:30601970:-1 gene:ORUFI02G35610 transcript:ORUFI02G35610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEYKLAPHPWASNAPSSNLDLFPSGGGKRRSGSETDSDDEDSIPPDWRSLYHPRLEVAEPAVKDPRDEATSDAWVRRHPALVRLTGKHPFNSEPPLPRLMSHGFITPAPLHYVRNHGAVPKADWSTWAVEVTGLVKRPARLTMEQLVTGFEAVELPVTLVCAGNRRKEQNMVRQTVGFNWGPGAISTSVWRGVRLRDVLRWCGVMGASAGAANVCFEGAEDLPGGGGCKYGTSLRREVAMDPARDVILAYMQNGEPLTPDHGFPVRVIVPGFIGGRMVKWLKRIIVASSESESYYHYRDNRVLPSHVDAELANAEAWWYKPEYMINELNINSVITTPGHDEVLPINALTTQRPYTMKGYAYSGGGRKVTRVEVTLDGGETWQVCNLDHPERPTKYGKYWCWCFWSVDVEVLELLAAKEIAVRAWDESLNTQPEKLIWNLMGMMNNCWFRVKTKTCRPHKGEIGLVFEHPTQPGNQAGGWMARQKHLETSESAVSTLKRSTSTPFLNTATTQYTMSEVRRHTTPESAWIIVHGHVYDCTGFLKDHPGGADSIMINAGTDCTEEFDAIHSDKARGLLEMYRIGELIVTGSDYSPQSSSADLTSIVESPTAAAGPPAVPVSTVALSNPREKVKCRLMDKKSLSYNVRLFRFALPSPDQKLGLPVGKHVYVCASIGGKLCMRAYTPTSSVDEVGYIELLIKIYFKGEDPKFPDGGLMSQYLDYLPLGATIDIKGPIGHIEYAGRGAFTVNGERRFARRLAMVAGGTGITPVYQVIQAVLRDQPDDGTEMHVVYANRTEDDMLLREEIDRWAAAHPARLKVWYVVSKVARPEDGWEYGVGRVDERTLREHLPPGDGETLALVCGPPAMVECTVRPGLEKMGYDLDKSCLVF >ORUFI02G35620.1 pep chromosome:OR_W1943:2:30611544:30616441:-1 gene:ORUFI02G35620 transcript:ORUFI02G35620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTVAGALVPSVPKQEQAPSGDASTAALAVAGEGEEDAGARASAGGNGEAAADRDLLCPICMAVIKDAFLTACGHSFCYMCIVTHLSHKSDCPCCGNYLTKAQLYPNFLLDKVLKKMSARQIAKTASPIDQFRYALQQGNDMAVKELDSLMTLIAEKKRHMEQQESETNMQILLVFLHCLRKQKLEELNEIQTDLQYIKEDISAVERHRLELYRTKERYSMKLRMLLDEPAASKMWPSPMDKPSGLFPPNSRGPLSTSNPGGLQNKKLDLKGQISHQGFQRRDVLTCSDPPSAPIQSGNVIARKRRVQAQFNELQEYYLQRRRTGAQSRRLEERDIVTINKEGYHAGLEDFQSVLTTFTRYSRLRVIAELRHGDLFHSANIVSSIEFDRDDELFATAGVSKRIKVFEFSTVVNEPSDVHCPVVEMATRSKLSCLSWNKYSKNVIASSDYEGIVTVWDVQTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASAINIDMKANICSVKYNPGSSHYVAVGSADHHIHYFDLRNPSAPVHVFGGHKKAVSYVKFLSTNELASASTDSTLRLWDVKENCPVRTFRGHKNEKNFVGLSVNNEYIACGSETNEVFVYHKAISKPAANHRFVSSDLDDADDDPGSYFISAVCWKSDSPTMLTANSQGTIKVLVLAP >ORUFI02G35630.1 pep chromosome:OR_W1943:2:30618985:30619938:-1 gene:ORUFI02G35630 transcript:ORUFI02G35630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPTPPPPPPPVASSEETASGSDSDESEEEEEEESPLAQPAPVVSNKGAESDSSGEEESEEEEEEDLVRSSATKSRDPPQENREEDDSSDEEEDESSESEKAEEPPPPPLNPAPKQGAEGNGPKVSSPKRQAFHRIWSTEDEVRILEALAAHRREHGSLPQTDALIATLAGSLDNTGYGRKELQGKVSTLKRRYESTAKKKGDLPSKGHDRRLYDLSKSVWGSEAAAAAANGTTTAPREFGEMCELYPHLAEEVKLLEAAHPGLFKRDFGKLDDDKAHALDMKIKKQRIAEISVVLRRGDLTKEVTKVLTELVE >ORUFI02G35640.1 pep chromosome:OR_W1943:2:30621494:30625147:-1 gene:ORUFI02G35640 transcript:ORUFI02G35640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEISPRQRSQQQKEEEGEHQQRAGEEAVGAVFSIEPWVDAAAVLVPPLNFAEVNDGIFRSGFPAADNFAFLLSLKLRSIVYLCPEPYPEENTRFLEQNGIKLHQFGIDGSKELLVNIPEEKIREALKVILDVRNQPVLIHCKRGKHRTGCVVGCLRKLQKWCLTSVFDEYQHFAAAKARSTDQRFMELFDTSSLMHLTASQC >ORUFI02G35650.1 pep chromosome:OR_W1943:2:30629315:30630157:1 gene:ORUFI02G35650 transcript:ORUFI02G35650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCVKHWAKARGVYSNVAGFLGGIGWAILVARVCQLYPNTSPACCSRASSASLRGRSGPARTTTASSASDATLRVTTEQLAVGDDVCQEIVKAGAMWVGWVESRLRQLSARVEADTSGVLLCHLHPQAYATEHHKEPRRQREREAVSAAAASTPRISGRHAGAARMALRRAASSLRWP >ORUFI02G35660.1 pep chromosome:OR_W1943:2:30642423:30646457:1 gene:ORUFI02G35660 transcript:ORUFI02G35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVASFPVINMENLETEERGAAMEVIRDACENWGFFEMLNHGIAHELMDEVERVSKAHYANCREEKFKEFARRMLEAGEKGADVKGIDWESTFFVRHRPVSNLADLPDVDDHYRQVMKQFASEIEKLSERVLDLLCENLGLEKGYLKKAFAGSNGPTFGTKVSSYPPCPRPDLVDGLRAHTDAGGIILLFQDDQVSGLQLLKDGEWVDVPPMRHAIVANIGDQLEVITNGRYKSVMHRVLTRPDGNRMSIASFYNPGADAVIFPAPALAAADAAAAAYPRFVFEDYMNLYVRHKFEAKEPRFEAMKSAAEVVHAAPIATA >ORUFI02G35670.1 pep chromosome:OR_W1943:2:30650984:30653517:-1 gene:ORUFI02G35670 transcript:ORUFI02G35670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGRLRALILAVALPLLFLSASEAGTVGINYGRVANDLPNPAAVVQLMKQQGIAQVKLYDTEPTVLRALANTGIKVVVALPNEQLLAAASRPSYALSWVRRNVAAYYPATQIQGIAVGNEVFASAKNLTAQLVPAMTNVHAALARLSLDKPVKVSSPIALTALAGSYPPSAGVFREDLAQAVMKPMLDFLAQTGSYLMVNAYPFFAYSGNADVISLDYALFRPNAGVLDSGSGLKYYSLLDAQLDAVFTAVSKLGNYNAVRVVVSETGWPSKGDAKETGAAAANAAAYNGNLVRRVLSGNAGTPRRPDADMDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVEFVLGGNSAGGGGSSGKDNGGLGWQDNGGVNAGNAPAGAGGGVKATSTGEAWCVANAMAGEERLQKALDYACGPGGADCKAIQPGAACFEPNTMVAHASYAFNDYYQRKGRTIGTCDFAGAAYVVNQAPKMGKCELPSTV >ORUFI02G35670.2 pep chromosome:OR_W1943:2:30651980:30653517:-1 gene:ORUFI02G35670 transcript:ORUFI02G35670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGRLRALILAVALPLLFLSASEAGTVGINYGRVANDLPNPAAVVQLMKQQGIAQVKLYDTEPTVLRALANTGIKVVVALPNEQLLAAASRPSYALSWVRRNVAAYYPATQIQGIAVGNEVFASAKNLTAQLVPAMTNVHAALARLSLDKPVKVSSPIALTALAGSYPPSAGVFREDLAQAVMKPMLDFLAQTGSYLMVNAYPFFAYSGNADVISLDYALFRPNAGVLDSGSGLKYYSLLDAQLDAVFTAVSKLGNYNAVRVVVSETGWPSKGDAKETGAAAANAAAYNGNLVRRVLSGNAGTPRRPDADMDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVEFVLGGNSAGGGGSSGKDNGGLGWQDNGGVNAGNAPAGAGGGVKATSTGEAWCVANAMAGEERLQKALDYACGPGGADCKAIQPGAACFEPNTMVAHASYAFNDYYQRKGRTIGTCDFAGAAYVVNQAPSE >ORUFI02G35680.1 pep chromosome:OR_W1943:2:30659307:30664026:-1 gene:ORUFI02G35680 transcript:ORUFI02G35680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTSAQGGGGVGVMESGGKRHFFPLTSLQIGDLQSYLAELTIFLCPHTKKFLILLDNRPWLQDQDTKPAHLWQLMVTKSRLSPFANTRTRRKGDETGKKLVFSKDPRHGSHLWNPSSRWYTLIDDAMRNKKLHVNRLKDSRLLNKELHRTLYGFIIFEVDWADVRGMNYFNELQTDTSMAVEAKTMKRWEFESVNQASSLITSWFSGNYSECQLLQDYLNSISPKGNVFYDAQNYFSTPEGDSENVQSDDDDSGPSQCMRESSSFTSSSYTPPPCSGPYKRRKIIRSDAGNNMSEESYSEVVTSPTHSSSPSSSCCSDDDCGKTLLEPSTYKDVLILFRFDDHDLPFRLKEVILSDVRLLTLLEYGLPSWVIFLQSYPVFCKTYRPWMCPLARALYVLMSIVTVLIGFYDLYKNVPMLKATASRLFGPFFDWIETWEMISRLKYLGTMLFLHNFQQAFTWSLKIVTATKSALSVLTKPIMGPILEVLEFTLPLWNLCAETVGHLSSVIMLAMETSCSVVISTMQMIIWPFWLVFSVVLNVANSVLYPFVWLLGEILAAPFRLVVAIASFVADSFVDIVGVLRETWSTLSSLYQVGSASGSTGLASETTIWGSLWKDLLYQIFRAIRSILYGFVAFFSTCNRHRLSIYNHIQVFLRRLSRVLTGAQHTTSCEGTRSKGKLTRDNSGPGNLTCQVTTSFREPMRGINRDSQS >ORUFI02G35690.1 pep chromosome:OR_W1943:2:30666315:30667230:-1 gene:ORUFI02G35690 transcript:ORUFI02G35690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKQTHRLVVADVEMEDAVASGTPDMPFEQTTEAGAQLVSYLVHNTSIHPFHLIGSPYNDGGDVSYGWDGEVGIEALDSVNEKVDILDA >ORUFI02G35700.1 pep chromosome:OR_W1943:2:30668038:30675268:1 gene:ORUFI02G35700 transcript:ORUFI02G35700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSREEEDEEEVYDSEEEEEEEGEVEERGGKRSRGGGGGGKWSGVESFIDDAASEDEDEEEEEDDDEDYVGGGGGRARKRKRASILIDDMAQVDDEEEEEEEDGEFEDGFIDDTRADDPDQDVGRSSRRHPSSMLDEEEDVDALVKLIHDRYIIPSSHFVDDDDGVTEVEQQALLPSVKDPKLWMVKCAIGHERETAICLMQKSIDTPDLQIKSVLALDHLKNYIYVEAYKEAHVKEACKGVRNIFASRKVTLVPIREVVDVLSVQSKSTDISINTWVRMKLGAYKGDLAKVVDVDDVHQKVTVKLIPRIDLQALANKFDGLKVVKEKKSFVPPPKLFSANEASAHNIQPSFDELEKFREPDNDINEDAASLSTLFTNRKKGHFMKGDSVIVIKGDLKNLEGYVEKAEDATVHIRSKLPGLLNTLVFNGGDLCKCFNPGDHVKVVSGVQEGATGLVVKVEGHVLIILSDTTKEHIRVFADHVVESSEILKGEPDKPELVLVKLREIKSKIYWRTSAKDRSSNIVSTKDVVRVIEGACKGKQGSVEHIHRGVLFIYDRHHLEHSGFICASAQSCLLVGGSTGSRRGNGMGTVDPRFGAFRSSARILQSPGRLPPKAPHTNYGRRFGGRDHGGKGHDTLVNRCIKIKSGPYKGYRGRVKEMTGALVRVELDSLMKVVAVKREDIADTATVATPFGESHNSWGNETPVHPSRTPLRPFQTPLRDPGATPVPNGMRTPMPSRAWAPMSPPRNSWEDAVTWESSPTYQPGTPVPQPHEAPTPGSGWAVTPGVSFGDASGKNPSSYATPTPSGQPMTPNPASYLPSTPGGQPMTLGYIEMDIMSPAIGEEGGRNWLLPDVLVNVLREGYDTTCGVVKEVLPDGSCRVALGSSGSGDEITAFPNEFEVVKPKKNDKLKIMSGSWRGLTGKLLGVDGSDGIVKVDGLETTDQTKILDTAILGKLAA >ORUFI02G35710.1 pep chromosome:OR_W1943:2:30675304:30675780:1 gene:ORUFI02G35710 transcript:ORUFI02G35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLNSDQTKAEKAEKKPARRKGSSSSSSAAEEDDDGTASVRSGGVGGDLAALPRRRPPPAAAPPPRARSPSLPAPGLLPSSLALLAKVSIACFS >ORUFI02G35720.1 pep chromosome:OR_W1943:2:30678889:30679128:-1 gene:ORUFI02G35720 transcript:ORUFI02G35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNDAGGVAKEAPGPRTERARRRPPMQRVYAFQFMLLGATAIIGAYAEPVSSLPRLFLALVIWLVGYLALFMGIMARD >ORUFI02G35730.1 pep chromosome:OR_W1943:2:30680831:30683763:1 gene:ORUFI02G35730 transcript:ORUFI02G35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKKRPRSRSGGGGGGGVEKRPSRKQILGRKKAVEELIRKAVAVKDHLAQFPDFHKYQRNGLLVYLESGHGNQLPLSTRKYIQNLLKVNMEGQYGPEWPSEEKVKRREMVAPEARYIFVRQSSNAITTQNIMKQDSGLEFTHEACNEDRLIGFVHYRFVLEEDVPVVYVYELQMVPSAQGKGLGKFLMELIELIACKHEGSADFDGVYMQSQMGAVMLTVQKANNLAMAFYKKLRYVISSTSPSRVDPLCLQIGLEKNYEILCKACESEAKSKLEEGN >ORUFI02G35730.2 pep chromosome:OR_W1943:2:30680831:30684454:1 gene:ORUFI02G35730 transcript:ORUFI02G35730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKKRPRSRSGGGGGGGVEKRPSRKQILGRKKAVEELIRKAVAVKDHLAQFPDFHKYQRNGLLVYLESGHGNQLPLSTRKYIQNLLKVNMEGQYGPEWPSEEKVKRREMVAPEARYIFVRQSSNAITTQNIMKQDSGLEFTHEACNEDRLIGFVHYRFVLEEDVPVVYVYELQMVPSAQGKGLGKFLMELIELIACKHEGSADFDGVYMQSQMGAVMLTVQKANNLAMAFYKKLRYVISSTSPSRVDPLCLQIGLEKNYEILCKACESEAKSKLEEGN >ORUFI02G35730.3 pep chromosome:OR_W1943:2:30680831:30683803:1 gene:ORUFI02G35730 transcript:ORUFI02G35730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKKRPRSRSGGGGGGGVEKRPSRKQILGRKKAVEELIRKAVAVKDHLAQFPDFHKYQRNGLLVYLESGHGNQLPLSTRKYIQNLLKVNMEGQYGPEWPSEEKVKRREMVAPEARYIFVRQSSNAITTQNIMKQDSGLEFTHEACNEDRLIGFVHYRFVLEEDVPVVYVYELQMVPSAQGKGLGKFLMELIELIACKHEGSADFDGVYMQSQMGAVMLTVQKANNLAMAFYKKLRYVISSTSPSRVDPLCLQIGLEKNYEILCKACESEAKSKLEEGN >ORUFI02G35740.1 pep chromosome:OR_W1943:2:30685558:30695614:1 gene:ORUFI02G35740 transcript:ORUFI02G35740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPEIPPPPPAFAAGGEGDPHAPTTAAARSSSSSSLFPLFPLSAPEESSAPAAGSQWLSNPSFSFDASSLNIPATASSSVPPPLSASSDEEEAPRPAPAKYDLLPYSPSPPASDEERRDRRKDRKRRKRRREKERYNSAEASRKPGVRAWAGSETKLAKDYYFDAKGDQDNLAFGSIYRMDIARYKSQNMPEARGLKRLLFHNLGVSVHMGHESDLDGLNSKARAGGRYYSAKYAVVERNKGFKHLKVLKKDNSAILPEDFIPLGIPSLPENNTTGEQELEESWEDEILRRTREFNKMSREFPHNEKIWLDFARFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLYLLKAYGERDSTQNLLDKWEQILMKHPDSWEFSRFKVSDLRKSYAYAVQALSAACTKLCRQDTQYDRLEPKYSSLIHLELGLVDIFVNLCRFEWHTGHRELATALFQAQIEFSLFSPPLHLTTSSKQRLFEHFWNNGGARIGEDGALGWSRWLAKDEESRQNIDIQENTQETERGGWSGWFDPSLRTNSETSKVEPSTSDGNDAENPDDEDPSAQEDVESLLKKLGIDGDADYNSEVKDPKTWNRWSFMELSRDNEQWMPLHEKLGSLYSDDAPTGEDNDQLSRVILFEDITEFLFSLSSEEARFSLICQFIDFYGGKISRWTSTNSSSWIDRILSLEMITDDIQEDLSTVSNLIDKNQTSVHNKMVSLLGTMHEFSQRPGTAKFLKNAILLFLDVFPRNHILEEAVLVTPQIHTAQEKYLATPATASRALAKNLLKKDRQDLLLCGIYGRIEAMNGDFVKARHIFDLALSTSQGASEDLRKKVPILYFWYAEMELAIYASRNNSDSVDRAIHVLSCLGDKAKYASFDGSISRPQVLKARQGFKEQIRSLRSSFASDGMKEESVALICSASLFESMTSGFASGLEVIEETFYMTPSENNHSLEFEELWMHYIKQLQKNLNQLSLSRVWPKISQGIQTYPYNPKSYAAMLTLGCLYSVSNNLRLTLDKFNQRSKRLWLDGFEKLSSILTLKELSDLQEVMRDKELHIRTDIYEILLQDETDT >ORUFI02G35740.2 pep chromosome:OR_W1943:2:30685558:30694249:1 gene:ORUFI02G35740 transcript:ORUFI02G35740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPEIPPPPPAFAAGGEGDPHAPTTAAARSSSSSSLFPLFPLSAPEESSAPAAGSQWLSNPSFSFDASSLNIPATASSSVPPPLSASSDEEEAPRPAPAKYDLLPYSPSPPASDEERRDRRKDRKRRKRRREKERYNSAEASRKPGVRAWAGSETKLAKDYYFDAKGDQDNLAFGSIYRMDIARYKSQNMPEARGLKRLLFHNLGVSVHMGHESDLDGLNSKARAGGRYYSAKYAVVERNKGFKHLKVLKKDNSAILPEDFIPLGIPSLPENNTTGEQELEESWEDEILRRTREFNKMSREFPHNEKIWLDFARFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLYLLKAYGERDSTQNLLDKWEQILMKHPDSWEFSRFKVSDLRKSYAYAVQALSAACTKLCRQDTQYDRLEPKYSSLIHLELGLVDIFVNLCRFEWHTGHRELATALFQAQIEFSLFSPPLHLTTSSKQRLFEHFWNNGGARIGEDGALGWSRWLAKDEESRQNIDIQENTQETERGGWSGWFDPSLRTNSETSKVEPSTSDGNDAENPDDEDPSAQEDVESLLKKLGIDGDADYNSEVKDPKTWNRWSFMELSRDNEQWMPLHEKLGSLYSDDAPTGEDNDQLSRVILFEDITEFLFSLSSEEARFSLICQFIDFYGGKISRWTSTNSSSWIDRILSLEMITDDIQEDLSTVSNLIDKNQTSVHNKMVSLLGTMHEFSQRPGTAKFLKNAILLFLDVFPRNHILEEAVLVTPQIHTAQEKYLATPATASRALAKNLLKKDRQDLLLCGIYGRIEAMNGDFVKARHIFDLALSTSQGASEDLRKKVPILYFWYAEMELAIYASRNNSDSVDRAIHVLSCLGDKAKYASFDGSISRPQVLKARQGFKEQIRSLRSSFASDGMKEESVALICSASLFESMTSGFASGLEVIEETFYMTPSENNHSLEFEELWMHYIKQLQKNLNQLSLSRVWPKISQGIQTYPYNPKSYAAMLTLGCLYSVSNNLRLTLDKFNQRSKRLWLDGFEKLSSILTLKELSDLQEVMRDKELHIRTDIYEILLQDETDT >ORUFI02G35750.1 pep chromosome:OR_W1943:2:30695116:30697756:-1 gene:ORUFI02G35750 transcript:ORUFI02G35750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDNYHSYYVDDHFSPESSSSEASSGYLQDAVAHWSDRCNKRQRMAEAAAPPRRPAAAAANEDLHCLLQSFWDSSSSGGEGGLMHELNIMIPESGSFVSGDEDDASGWEQEQRGPISAAAAAATSAVQVPAAQGGGGGEAADPILHNHNSSPATSRTTTGQGAAQQLQLQKATSAAGAGHAAAAAGRPGRRGNYSCEEHVIVGKQQQQPSPSSRAASASSPRRSSSLTGKEKRDTGVLYPFAVVKPLGLEGGGAATLNDVNQRILKRPARPVRHPVGQFACSPAVYAHGLGLSGKAVVSLTRIRTAGKGTITIIRTRG >ORUFI02G35760.1 pep chromosome:OR_W1943:2:30705297:30705875:1 gene:ORUFI02G35760 transcript:ORUFI02G35760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWPVAEAGEASLRIEVAEAARGGVRDRDNSWWQRHATRGGTREGGSSAGDGDVWRLGSTPSLRRLEWRRWLVAEAVFRMRAAAGSRLGMGAATSGGGEGGEVVDPVAAVSFARLSCRCHYHPPLLLRVLRCGGGGGGKLCDGWLRVCCGGGEVNSELHNLSTAATTNPVLSRRALLGASRGERGHPPLAM >ORUFI02G35770.1 pep chromosome:OR_W1943:2:30725435:30729095:-1 gene:ORUFI02G35770 transcript:ORUFI02G35770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAGGRVLPHLDELAKYVLVVLRADGDGEGNTFALYFLICCRVRVVLLLSCAGADAAGEELTITGQCVDSAGVGAAAYVSHARALERHRVLQRLMLLFRTCMARYRYYLNKQGDKRDPVRTEFRCVAFPFSSTPF >ORUFI02G35780.1 pep chromosome:OR_W1943:2:30732740:30736556:1 gene:ORUFI02G35780 transcript:ORUFI02G35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKRTIGLGMDYSPSSKAAAKWAVDNLVKAGDRIILVHVLPKGADASHKELWKSTGSPLIPLLEFMEMNVQARYGINPDKEVLEILQAESKSKQVEVLAKVYWGDAREKLCEAVDDLKVNTFVLGCRGLGPLKRALLGSVSNYVVNNATCPVTVVRAPTVSNA >ORUFI02G35790.1 pep chromosome:OR_W1943:2:30733471:30738031:-1 gene:ORUFI02G35790 transcript:ORUFI02G35790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAHQAPGGFWTVAAAPTRCSLPHSLPIPLHAAAAAAAWMAGVSAASAAGKIGSFLSKRPYAPPSWASHLSPAPSQTFSLGHFPTPIHKWNLPNLPNGTEVWIKRDDISGMQLSGNKVRKLEFLMADAVAQGADCVITVGGIQSNHCRATAVAAKYINLDCYLILRTSKLLVDKDPGLVGNLLVERLVGAHIDLVSKEEYGKIGSVALADLLKKKLLEEGRKPYVIPVGGSNSLGTWGYIEAIREIEHQIQISGDVQFDDIVVACGSGGTIAGLALGSKLSSLKAKVHAFSVCDDPGYFHSYVQDLIDGLHSDLRSHDLVNIENAKGLGYAMNTAEELKFVKDIATATSIVLDPVYSGKAAYGMLKDMGANPAKWEGRKILFVHTGGLLGLYDKVDELSSLSGSWRRMDLEESVPRKDGTVPSQVIHEEFREGCRIITCLDLDSACRISSTSLSGLIPYLACTFISINSSRGIKATQSQKPTNLLNKRRN >ORUFI02G35790.2 pep chromosome:OR_W1943:2:30733471:30738031:-1 gene:ORUFI02G35790 transcript:ORUFI02G35790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAHQAPGGFWTVAAAPTRCSLPHSLPIPLHAAAAAAAWMAGVSAASAAGKIGSFLSKRPYAPPSWASHLSPAPSQTFSLGHRDDISGMQLSGNKVRKLEFLMADAVAQGADCVITVGGIQSNHCRATAVAAKYINLDCYLILRTSKLLVDKDPGLVGNLLVERLVGAHIDLVSKEEYGKIGSVALADLLKKKLLEEGRKPYVIPVGGSNSLGTWGYIEAIREIEHQIQISGDVQFDDIVVACGSGGTIAGLALGSKLSSLKAKVHAFSVCDDPGYFHSYVQDLIDGLHSDLRSHDLVNIENAKGLGYAMNTAEELKFVKDIATATSIVLDPVYSGKAAYGMLKDMGANPAKWEGRKILFVHTGGLLGLYDKVDELSSLSGSWRRMDLEESVPRKDGTVPSQVIHEEFREGCRIITCLDLDSACRISSTSLSGLIPYLACTFISINSSRGIKATQSQKPTNLLNKRRN >ORUFI02G35800.1 pep chromosome:OR_W1943:2:30738011:30748960:1 gene:ORUFI02G35800 transcript:ORUFI02G35800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQERDDIPMLLRNVELPTFPPRSTSMCIPVRDDEYEEDTFVPHTGPLFVQPPTQTAAAGIPFTNTPDMPPRPPQGKQVNKPHAIMPEEIGGNRWSYSGNVPKNEHLMMSGPLGQCDDPDCVNCPPACKNKRHFHRGSSTLDSKFHNFLCEHGGGWKKEIERFLSRIPVMNPHAKVVQQWNQFFVISCLVAIFIDPLFFFLLSVQKDNKCIVLNWHFATALAVVRSVTDAIYFLHMLLQFRLAYVAPESRVVGAGDLVDEPKKIAVRYLRGYFLLDFFVVLPLPQVMILLVIPKYVGLSTANYAKNLLRITVLLQYVPRIIRFVPLLGGQSDSSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRNACSASKIPSCDGFIDCGRGINIGKQNQLSRQQWFNDSASTACFDTGDNGFHYGIYEQAVLLTTEDNAVKRYIYSLFWGFQQISTLAGNLVPSYFAWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMQLRRRDVEQWMSHRRLPEDLRRRVRRAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDWPILDAICDKLRQNLYISGSDILYQGGPVEKMVFIVRGKLESISADGSKAPLHEGDVCGEELLTWYLEHSSANRDGGRMRFHGMRLVAIRTVRCLTNVEAFVLRASDLEEVTSQFSRFLRNPRVQGAIRYESPYWRTIAATRIQVAWRYRNRRLKRAGMSKLNDQSYNSALERGARECDDRQHGRV >ORUFI02G35810.1 pep chromosome:OR_W1943:2:30752688:30753281:-1 gene:ORUFI02G35810 transcript:ORUFI02G35810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLPSRGAATRPLHQPSRRRVAGVFTARPAAAASSSNVEVIDTTAAAAARGDVAKNRQEWRAAGGLGLGLNLSEDMRRGMMWRMLAPPAAAVAAEAAFLRVLDGAASDAAPAWAGSAGSAVLFAVGLLGFHYGFLSSRWNAAERGSLVGWELAASHWSELSMAKHSSIDDEVDDDEDEEEEDEDEEEVEDEDTD >ORUFI02G35820.1 pep chromosome:OR_W1943:2:30758593:30761023:-1 gene:ORUFI02G35820 transcript:ORUFI02G35820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPQSLQALSSLHPSSDPSTAPQPPPRNHGRYWLSVDKVDEEEKKSERLHLHPNADLADDDSSAAMRAAAALPLFPQDNAVVECSKIRPRGGAQQGAADGHRSKPDPAIRSTTGERLVNGVVRAMPVAANAKEETKNDGGGGAKKRRGPAVLMEGSRCSRVNGRGWRCSQPTLVGYSLCEHHLGKGRMRSVTGGRGGAGQLGRTEPRKNTAAAAVAAAPKAAAAVAEPPVVRPC >ORUFI02G35830.1 pep chromosome:OR_W1943:2:30761340:30762382:1 gene:ORUFI02G35830 transcript:ORUFI02G35830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHIKTPEVDGWMDGWREWWDGFVFACNTPCALELLYRRWDGEAVGMSSFEVPNGGGRRFIA >ORUFI02G35840.1 pep chromosome:OR_W1943:2:30762940:30766916:-1 gene:ORUFI02G35840 transcript:ORUFI02G35840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGAWKQSGVADHVGYLGGGGGALVGRARRARLCLYGLALAFGAFAAFLAFAPSLPAPSPSSPGAAWFDGLLASASPYRAQVSGYSSSLFPANSTSPEPPGGAATNRRGSSGGGGFSATGGQAGTNGSSTVVAGEQGRGVEVSSSNAGGVPSGNSPSGNATAAMQSNPPPNDQAGGGAAANNSTTGSAGEAAVPSRSSARNGTMTKDGAPDRINGTDVIASSSGDFTAVKANARNAAGSTHQLGGASAIVDSSNGTAAPSINKTGNAAVATDGNGAAPQRGGAPGKNQTVPNPPAALDQNKSGSRAAASGGSNSTMDATPQGIASNTTEAAVDAGGKKKKTHWIEAMASCDMFYGNWVRDDSYPLYPEGSCRHIDESFNCPLNGRPDNAYQRLRWQPSGCSIPRLNPSDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSVKDKRKVFEVSGRQQFRAEGSYSFLFQDYNCSVEFFRSPFLVQEWEFPVRKGLTKETLRLDMISNSFPRYKDADIIIFNTGHWWTHEKTSLGKDYYQEGNRVYSELNVDDAFQKALITWAKWVDSSVNPKKTTVFFRGYSSSHFSGGQWNSGGSCDKETEPITNEKFLTPYPRKMSILEDVLSGMKTPVVYLNITRMTDYRKEAHPSVYRKQKLTEEEKKSPQIYQDCSHWCLPGVPDSWNELLYAQIMSAVGESI >ORUFI02G35850.1 pep chromosome:OR_W1943:2:30775699:30778094:1 gene:ORUFI02G35850 transcript:ORUFI02G35850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPRRRHXAVALTHVEGDTSAHTAGSPPRTTERGRGVRARRPPLAPHFTPLSLPRLLFFPLFSCSRRLFSSVVVGPSMVVRPQSSAIVATSIQSIISTVCELELEMRCFPISCESFGPCESQLPKCPGFVVQVNIHDFDKRSATFISSATGAITISYIMRICTMCFVQRDRDLGDY >ORUFI02G35860.1 pep chromosome:OR_W1943:2:30781039:30783594:1 gene:ORUFI02G35860 transcript:ORUFI02G35860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIAPSPRVLRAREAPAAGALQPPAAACSTVAGGGGAAGRPLGMWSGGGGGGGGKGRRRERGDGMLRAEAYFWDVSKPVEMEEIDSMEKLDDALRWSVENKQPIIIDWMASWCRKCIYLKPRLEKIAGEFPGVRFYFVDVNKVPQTVVKRGNITLWKDGEWAAEVIGGHKAWLVMDEVREMIQKHK >ORUFI02G35870.1 pep chromosome:OR_W1943:2:30783214:30783519:-1 gene:ORUFI02G35870 transcript:ORUFI02G35870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEATSLMISPEIRDAVTKVAVFVLVQALVYLILRSSSSVFSKDGKLRSSMSFRSMRSMSVRRFLAPLSDVPVGTDEPSPSPSSSPSPTLSRRWASRRED >ORUFI02G35880.1 pep chromosome:OR_W1943:2:30785442:30789375:-1 gene:ORUFI02G35880 transcript:ORUFI02G35880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLRAARRRDLASPLGTLTANAQSAYSANICSRWGSFARAFSVKPTGNEVIGIDLGTTNSCVSVMEGKNPKVIENSEGTRTTPSVVAFNQKGERLVGTPAKRQAVTNPQNTFFGTKRLIGRRFEDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQYSPSQIGAFVLTKMKETAESYLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGTNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSEFKRSEAIDLAKDRLALQRLREAAEKAKIELSSTAQTEINLPFITADASGAKHLNITLTRSKFESLVNSLIERTREPCKNCLKDAGITTKEVDEVLLVGGMTRVPKVQEIVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMATDNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKSTGKEQQITIRSSGGLSEAEIQKMVQEAELHSQKDQERKALIDIRNNADTTIYSVEKSLGEYRDKIPAEVATEIETAIADLRSVMTSDDIEKIKANIEAANKAVSKIGQHMSGGGGGGGAGGSETGGSQGGGEQAPEAEYEEVKK >ORUFI02G35890.1 pep chromosome:OR_W1943:2:30792082:30793972:-1 gene:ORUFI02G35890 transcript:ORUFI02G35890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLPSICTVHPHAHTPTPPPPPVAGGSRPSPMTTTTTSGAPTPPRFKRSPHRKKRQPRTRRVAAGETIAAGKPPHPACTAVSLQSPFGPALPRDFFEVDALDLAPRLLGKLLRRDEVVLRITEVEAYRPNDSACHGRFGITARTAPVFGSGGHAYVYLCYGLHMMLNVVADKEGVGAAVLIRSCAPGWQLFSSVEASRLISHFYSLDQERLVKLWGFPLTGPTILCTHLVLDGPEPENILVGPRVGIEYASPEHVAAPWRFAVAGTPWISAPKNTLRPR >ORUFI02G35900.1 pep chromosome:OR_W1943:2:30795299:30796444:-1 gene:ORUFI02G35900 transcript:ORUFI02G35900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGNLRDLHGDIVERATLARDLLVPITSQLISEGGDMHSLHRRCVLAQGLDFIFQKLDDLQADMVAFGAIEAITLCVILDNTVIVFRDEDSVAIAVHRQEEAKHGLYFAVPPLHLALPICFIKPEIIEVALYPSPPSSEGTIGPTYDAEASAARAMVELNIGLCTISMPLWMRPRHVIVSNENLNLLSPPTTTTTRHGDGGQGPSPKTIIDTEFVLSTKSTLYGPRLGTDGHLWMDGGTITRYTGYTEIDGASVRVVQLSPPNYWMAISEPMKMVANTNSDPI >ORUFI02G35910.1 pep chromosome:OR_W1943:2:30796809:30801023:1 gene:ORUFI02G35910 transcript:ORUFI02G35910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPTASSAPRRIESAVSEESHDDRTENEHIIHDHEHRHHHHHHEFMTMNTNMIIMHTSIPMILGVHIIFRGRIMWEPNEPCINKIVFIVNNLKWGRTGETASKIAYSTEGISEKRDSNRWCILQSNQSTT >ORUFI02G35910.2 pep chromosome:OR_W1943:2:30796826:30801055:1 gene:ORUFI02G35910 transcript:ORUFI02G35910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWITTASTWCLSSTRCSSQSAIWAASHCHRSVPSPLSRITRLLYSSCCAAAACVITYGGSRRRSKDDVPPSSGSSSEEESSIRRPVLTSNRGALLATNSVASASSYTSTPASPPLHATTAHAYSAPARWSLAARQRP >ORUFI02G35910.3 pep chromosome:OR_W1943:2:30799482:30800895:1 gene:ORUFI02G35910 transcript:ORUFI02G35910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSAEVKEMVRSSTTTIGRASDADDEDAPQVEIRVLVVQQVPRRHERPEEPRLGLSSGPPPLRLIVQCVQDVSARVAASARLHKSTFTLSRSTS >ORUFI02G35920.1 pep chromosome:OR_W1943:2:30807316:30808569:1 gene:ORUFI02G35920 transcript:ORUFI02G35920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLENLRDMCGDIIEKATLARDLMVPIASQLISEDRDRYNDLHRHCVLAQDFTNFLQKLNKVSALDQVRTDMVTFGAIEAIALCERPSNALIVFRDEDSVAITVHRQEEVENGLYFAVPPLHLALPSCFIESKIIKNINTVVDAAEACDCAQPEPELPESYDNGDDHSSWSWRQKVTMEHHFSHQFYAVYRVRTLRTKARSGRSPVDGWSQFH >ORUFI02G35930.1 pep chromosome:OR_W1943:2:30811110:30814474:1 gene:ORUFI02G35930 transcript:ORUFI02G35930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEFESDAEVLELEDKEQKQSESAMKISNYANIILLVFKVYATIKTGSMAIAASTLDSLLDFLAGGILYFTHLTMKSVNIYKYPIGKLRVQPVGIIVFAAIMATLGFQVLIQAIEQLVENKAGEKMTPEQLIWLYSIMLSATVVKLALYIYCRSSGNSIVQAYAKDHYFDVVTNVVGLVAAVLGDKFFWWIDPVGAVLLAVYTIVNWSGTVYENAVTLVGQCAPSDMLQKLTYLAMKHDPRVRRVDTVRAYSFGALYFVEVDIELSEDMRLGEAHSIGESLQDKIEKLPEVERAFVHVDFESTHKPEHRVRSRLPSTEP >ORUFI02G35930.2 pep chromosome:OR_W1943:2:30811110:30813977:1 gene:ORUFI02G35930 transcript:ORUFI02G35930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEFESDAEVLELEDKEQKQSESAMKISNYANIILLVFKVYATIKTGSMAIAASTLDSLLDFLAGGILYFTHLTMKSVNIYKYPIGKLRVQPVGIIVFAAIMATLGFQVLIQAIEQLVENKAGEKMTPEQLIWLYSIMLSATVVKLALYIYCRSSGNSIVQAYAKDHYFDVVTNVVGLVAAVLGDKFFWWIDPVGAVLLAVYTIVNWSGTVYENAVTLVGQCAPSDMLQKLTYLAMKHDPRVRRVDTVRAYSFGALYFVEVDIELSEDMRLGEAHSIGESLQDKIEKLPEVERAFVHVDFESTHKPEHRVRSRLPSTEP >ORUFI02G35940.1 pep chromosome:OR_W1943:2:30813148:30818559:-1 gene:ORUFI02G35940 transcript:ORUFI02G35940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATAATPMDIDAAAPPPGAAAKGKAPLSSTPGGRAAPWVEKYRPQSLGDVAAHRDIVDTIDRLTNENRLPHLLLYGPPGTGKTSTILAVARKLYGSQYGNMILELNASDERGIDVVRQQIQDFASARSLSFGAKQSVKMVLLDEADAMTKDAQFALRRVIEKHTRSTRFALICNHVNKIIPALQSRCTRFRFAPLDGTHVRERLKHIIQSEGLDVDDGGLTALVRLSNGDMRKALNILQSTHMASKQITEEAVYLCTGNPMPKDIEQIAYWLLNESFSTSFKCISDMKMRKGLALVDIIREVTMFVFKIQMPSDVRIKLINDLADIEFLYPKASYRPTVTVYNL >ORUFI02G35940.2 pep chromosome:OR_W1943:2:30813730:30818559:-1 gene:ORUFI02G35940 transcript:ORUFI02G35940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATAATPMDIDAAAPPPGAAAKGKAPLSSTPGGRAAPWVEKYRPQSLGDVAAHRDIVDTIDRLTNENRLPHLLLYGPPGTGKTSTILAVARKLYGSQYGNMILELNASDERGIDVVRQQIQDFASARSLSFGAKQSVKMVLLDEADAMTKDAQFALRRVIEKHTRSTRFALICNHVNKIIPALQSRCTRFRFAPLDGTHVRERLKHIIQSEGLDVDDGGLTALVRLSNGDMRKALNILQSTHMASKQITEEAVYLCTGNPMPKDIEQIAYWLLNESFSTSFKCISDMKMRKGLALVDIIREVTMFVFKIQMPSDVRIKLINDLADIEFLYPKASYRPTVTVYV >ORUFI02G35940.3 pep chromosome:OR_W1943:2:30814369:30818559:-1 gene:ORUFI02G35940 transcript:ORUFI02G35940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATAATPMDIDAAAPPPGAAAKGKAPLSSTPGGRAAPWVEKYRPQSLGDVAAHRDIVDTIDRLTNENRLPHLLLYGPPGTGKTSTILAVARKLYGSQYGNMILELNASDERGIDVVRQQIQDFASARSLSFGAKQSVKMVLLDEADAMTKDAQFALRRVIEKHTRSTRFALICNHVNKIIPALQSRCTRFRFAPLDGTHVRERLKHIIQSEGLDVDDGGLTALVRLSNGDMRKALNILQSTHMASKQITEEAVYLCTGNPMPKDIEQIAYWLLNESFSTSFKCISDMKMRKGLALVDIIRELGALISTFTGARTAMVAAAH >ORUFI02G35950.1 pep chromosome:OR_W1943:2:30820276:30820743:-1 gene:ORUFI02G35950 transcript:ORUFI02G35950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGYYDDRGAPSSYRYDARQAADGAGTSSHLFIFLATASLLGAASLYSRCESAVESLFDQVRVVVVLSPLLLLLAMQYWAAAAGGGGRRSGLSSLLMAPLVGEHSGGGGGWYDQRRDGSSPWGVALALALVLLLISYQSCFQDWWFPLVNRRR >ORUFI02G35960.1 pep chromosome:OR_W1943:2:30822985:30832261:-1 gene:ORUFI02G35960 transcript:ORUFI02G35960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTHQRPPHLSASSSPPTHPVLLPPPQQQQQQQLPIPQSGSPTSTTTTTTSSSRLTPELSLDGPASPLFAGLDEDPAPKENVTVTVRFRPLSPREIRQGEEVAWYADGDTVVRSEQNPSVAYAYDRVFAPTTTTRQVYDVAAQHVVSGAMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDPQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTVESSPCGESNEGEAVTFSQLNLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHIPFRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKRIEVQASQNKIIDEKSLIKKYQNEIRRLKEELEQLKMGIITGTPVKDAGEDNIILWKQKLEDGNVKLQSRLEQEEEAKAALLARIQRLTKLILVSTKATQTSRFSPHPGPRRRHSFGEEELAYLPYKRRDIVLDNESNELLSPVEGLGMTLEDSKEEKKNRKGILNWFKLRKREGGASILTSSEGDKSSLTKSTAPSTPIGESVNFPSEPRISNSLVGESASVDLFSIGHGEFATDSLHGEETPLASRKTIDHVDLLREQLKILSGEVALHTSVLKRLTEEAGRSPNNEKIQMEMKKVNDEIKGKKHQIASLERQIPHSISNNQGMADKLELTPSYAELLEQLNEKSFDLEVKAADNRVIQDQLNEKTTECMELQEEVAHLKEQLYQTLQAKDSLSNSIMMQKNAGINHETDNHADQELSVPREVPGETSPKEPQSVEIDELKQKVCELIEVKAQLETRNQKLLEESTYAKGLASAAGVELKALSEEVTKLMNQNEKLASELASVRSPTPRRANSGLRGTRRDSISRRHEPAPRRDNNAGYEREKALEAVLMEKEQKEAELQRRIEESKQKEAFLESELANMWVLVAKLKKSQGHDLEDFDTKYIGS >ORUFI02G35970.1 pep chromosome:OR_W1943:2:30842304:30843143:-1 gene:ORUFI02G35970 transcript:ORUFI02G35970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQDMRSVDSFSQLPFIRPAPPPQQQARDTIRLFGCEFSNDLQLRPTEAGAGSPDAANGSTVTSEGSNGGDGGTKNGGAATAAAERKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQASLAMHRYMPGHMYGLFNYHHHIGGRFDHHPPPPPPPPPPAHYPMWTSAAPGAFAGPGSMAQPINGSPVQAGLWSVPPPTENFGSTAGRQGADKLATTVAGTPAAGEVACKDEMVPMSLLSSSPSLSSCSSTSPEMLGRCELGQKEGVSLDLHL >ORUFI02G35980.1 pep chromosome:OR_W1943:2:30859297:30859649:-1 gene:ORUFI02G35980 transcript:ORUFI02G35980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVQLLLVAIALMSLSSVMVARSADISALTPNCSSVILNPGKACSAAKCNADCSRMYKGTGTCFGPVGCDCEYCPSASAPTATGSKN >ORUFI02G35990.1 pep chromosome:OR_W1943:2:30861552:30861992:-1 gene:ORUFI02G35990 transcript:ORUFI02G35990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMTPDPWISLLEEEEEACVSVNKRPKGEDTVTSDGEGEAACANKRLKVEATVTSDGAVVRQRHEAAVAARGYGDRMPFVPQPFLRVRQITEMPDRYRFLDRFTDEQLATMPESLHATLVRIEGGFKKSWVESELRRMEMYKNVI >ORUFI02G36000.1 pep chromosome:OR_W1943:2:30864019:30865113:-1 gene:ORUFI02G36000 transcript:ORUFI02G36000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESEMKAFHAALLLLLAMVLVASSSSGVMAAKIAGPKYFQDTCSAVLNPGAPSCDSGECATNCPRQYKGGVGQCIGTQCKCVYTCASPAPASN >ORUFI02G36010.1 pep chromosome:OR_W1943:2:30868102:30868473:-1 gene:ORUFI02G36010 transcript:ORUFI02G36010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIHAALLILAIVLVASSSSPPGGVTAQLETCTEVINREYPTCDSGLCVANCQRQYRGGIGQCVGNKCKCDYSCAFLPPPPPPATARN >ORUFI02G36020.1 pep chromosome:OR_W1943:2:30885058:30915709:-1 gene:ORUFI02G36020 transcript:ORUFI02G36020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHEEASLGTRRRIKEHPPVGMMQSRGAAAEATAGRSRGGCRRSEQQQLLRAAEAPREEARGSHASPLIVFLFLRLQRRTVDGPMRSTLTFDNNHSVVPSQNIDYGQPMACISYPYNDSGSGVWASYSSRSVFHPQIVGGGTSPRVPLPSLEIADDGPIYVNPKQYHGILRRRQLRAKLEAQNKLVKTRKTTTDSQNSSGSVHLRLGGGAIGDQTPFPFKAMDSQANIKRAAASASTFTVTSAAQKDDAFFDRHGHHLSSFSGHFGQASAQGGVGSMHNGSQQRVPAMR >ORUFI02G36030.1 pep chromosome:OR_W1943:2:30918121:30919032:-1 gene:ORUFI02G36030 transcript:ORUFI02G36030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHHSGRLAPPRRNRENPSFSAALLDAIYHSLDADGSLPASPADAEGSPVPGRRRRPSQCNNLSPSASSVRSPRLQKTPRPCRVRPDPQPSLLLPPPQPPPPMPESTGDVAEKKRGRRKNKNGAKSAPFACLLNALLCNRRSARSAEPTTPRALAVAPAAVAVTAAEPASARSILSSRASRRQSAATGGILTPARRAVRFSPVAVVVDDGEHGCRDAGVARLRGAEREVAAAQESAAEAERRVEELLRALGVAEESERAKESSESSSDLFELESLPAFDDAELPRPRAAAGLVLARPRPRVC >ORUFI02G36040.1 pep chromosome:OR_W1943:2:30923674:30932117:1 gene:ORUFI02G36040 transcript:ORUFI02G36040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIPRLVSSAPCAISRLNRGGAPISVWRAEQGEGILVGGPQDALDNRSDDNDFDKNDNSDPVVYQLVRVEGDGTLIPATEDEVLQFETFLHDEKVDDDLPSIDDVTHVEEYFTNDCIVKKPEFEEGPSKLDTADVQTQKLDAGLEEDRLCTLNDSIVLPSNCSAVHDQQLDKLNTEQGANIIAQQDNASTETTKSTVLNDLSSDKEKADACSKPVNEASAGQSVSGVTSSVPDFSILKGEVCLDDLTMRELQEAFRATFGRQTTVKDKLWLKRRIAMGLINSCDVPSSGCVVRDYKVIAMGAKQEIPVVEAIPKMELEANLVRDQVMNPGHERDLPSSLSYHSEEQQRSSKRLKRVPTDNDEPQVTIFAEQGTTKRIRKPTKRYIEELSDIDTHESTGRLSSPGKRHVYDEVLLRPRIAPLHEVDSLSTAYPTREDTLGGCSVHVPYVSRMRRGRPRSNFIPFLDPEPSVECTEAPAADVVNLEKEGERKNHKNTGKKGVHVETSCEKDVQGLQDKDFCDSDDNPKTKRGGKRKHHRAWTLCEVVKLVDGVARYGAGKWSEIRRLAFSSYSYRTSVDLKDKWRNLIRASQTQLSTENDGVCPRKSNPSAIPIPVSILLRVKKLAEMQSQAGDVRVPIKFSGQSTTVVQGKVSGFL >ORUFI02G36050.1 pep chromosome:OR_W1943:2:30930034:30933063:-1 gene:ORUFI02G36050 transcript:ORUFI02G36050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARLTPNGVAAALAGDTNLKPVLQIVELRGVQVNGAGVTRGERFRAVVSDGTAASSALFAAQLSDHARSGALRRGSIVQLSEYVINEVGPRRIIVILNLEVLVSECEIIGNPTALSETGSPIPNPTRVEQFNGAPQYGLMAGNSSNTTTKPSDNVPLFQNSMAGNSSNFATRPSDKVPVFQPTVQPSYRPAPNYKNHGAIMKNEAPARIIPISALNPYQGRWAIKARVTAKGDIRRYHNAKGDGKVFSFDLLDSDGGEIRVTCFNALLDRFYEVVEVGKVYVVSRGNLRPAQKNYNHLNNEWEILLENGSTVDLCPDENSSIPTQRFDFRPINEIEDAQNNAILDIIGVVTSVNPCTTIQRKNGMETQKRTMNLKDMSGRSVEVTMWGDFCNREGSQLQGMVERGIFPVLAVKAGKVSDFSGKSVGTISSTQLFINPDSAEAHSLRQWFDSGGRDASTQSISRDITPGASRNEIRKTVAQIKDEGLGMGDKPDWITVKATVIFFKNESFFYTACPNMIGDRQCNKKVTKSTNGNWTCDKCDREFEECDYRYLLQFQIQDHSGTAWVTAFQEAGQELLGCSATELNALKEREDPRFADTMLNCLFQEYLLRLKVKEESYGDERKVKNTAVKVEKVDPSGESKFLLDLISKSSALH >ORUFI02G36060.1 pep chromosome:OR_W1943:2:30940039:30941477:-1 gene:ORUFI02G36060 transcript:ORUFI02G36060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMSGRPSGGAGGGRYPFTASQWQELEHQALIYKYMASGTPIPSDLILPLRRSFLLDSALATSPSLAFPPQPSLGWGCFGMGFGRKAEDPEPGRCRRTDGKKWRCSKEAYPDSKYCEKHMHRGKNRSRKPVEMSLATPPPPSSSATSAASNTSAGVAPTTTTTSSPAPSYSRPAPHDAAPYQALYGGPYAAATARTPAAAAYHAQVSPFHLQLDTTHPHPPPSYYSMDHKEYAYGHATKEVHGEHAFFSDGTEREHHHAAAGHGHSSSSAWSPSRAPRRSSRAPQQQQQHCFLLGADLRLEKPAGHDHAAAAQKPLRHFFDEWPHEKNSKGSWMGLEGETQLSMSIPMAANDLPITTTSRYHNDD >ORUFI02G36070.1 pep chromosome:OR_W1943:2:30970672:30979662:-1 gene:ORUFI02G36070 transcript:ORUFI02G36070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKNDGSEGLKFNTSHLMQTTEEVARAFIAAASAATVQSTRPSVVYSSREESGSPMQKLQQQFSKILKGFSTSPEVSGSYNPEVLTTQKRQWSRFQLKSLGNRCIREPSHLFESIVIVGLPPQADIHELENIALGSNEEDVKKPRNIFGNNHHQVHALSNLEPQVLFAYPPERPLPLKYKDILSFCLPGGVQVHAVERTPSFSELNEILLGQEQLKESNQSFVFRLQSFALPPFRSALSRSGSLILVYHFLNTKCFKISGWGYLYAILIFSLMPLLLHMTFTNSILMEERLEWLTDGVSLLTSLSPEETCEDDVVCEGTELATEKQYFDGHAVDLEKSSESSVGVCSKELSDTDSSSECRDNQLDLNCKEVQQECVVQDDLVTGTAPQCDTLERPDNCLSEDTTADQSGIELHELDSVPVILKESDTTENCGYSLQDDVNDEQLDIFVNDTILPLMRSRLCEGSELSPGSQDSPSEGINFRSDSHESDLEEPSSIGHGDLVGHNNISQWAKAKKYGSLQVVSQYYQLQCPARGSSLTFHPLDHLHPLRFHRPGETVLHIAGSTIDLRSCDTSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIIIVCSNLGMLSASVLSIIPLIRPYQWQSLLIPVLPNDMMDFLDAPVPYINKTSDVHSRLVNAVVIDANKNQIKSTSVPQLPQQKELLSALRPYHSRLVGESFLARKRPVYECTDAQVEAAKGFLAVLRSYLDSLCSNLRSHTITNVQSNNDKVSLLLRESFIGSFPTRERPFMKLFVDTQLFSVHTDLVLSFYQKD >ORUFI02G36080.1 pep chromosome:OR_W1943:2:30984614:30985113:-1 gene:ORUFI02G36080 transcript:ORUFI02G36080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWADAIDISTNAFRDFSPNNFQTKMQQMDFRNDPISRLYESSELNAALETMVKAERGGMAWVQVRLVHAKIVSLIKIETM >ORUFI02G36090.1 pep chromosome:OR_W1943:2:31002731:31010312:1 gene:ORUFI02G36090 transcript:ORUFI02G36090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTRLLLAAILLAVAAADDDGQTLLEIKKSFRNVDNVLYDWAGDGAPRRYCSWRGVLCDNVTFAVAALNLSGLNLGGEISPAIGNLKSVESIDLKSNELSGQIPDEIGDCTSLKTLDLSSNNLGGDIPFSISKLKHLENLILKNNQLVGMIPSTLSQLPNLKILDLAQNKLNGEIPRLIYWNEVLQYLGLRSNNLEGSLSPEMCQLTGLWDLSYNRLTGEIPFNIGFLQVATLSLQGNNFSGPIPSVIGLMQALAVLDLSFNQLSGPIPSILGNLTYTEKLYLQGNRLTGSIPPELGNMSTLHYLELNDNQLTGFIPPELGKLTGLFDLNLANNNLEGPIPDNISSCMNLISLNLSSNYLSGAIPIELAKMKNLDTLNFSNNNLVGYIPAEFGNLRSIMEIFLFLCFSDLSSNHLGGLIPQEVGMLQNLILLKLESNNITGDVSSLINCFSLNVLNVSYNNLAGIVPTDNNFSRFSPDSFLGNPGLCGYWLGSSCYSTSHVQRSSVSRSAILGIAVAGLVILLMILAAACWPHWPQVPKDVSLSKPDIHALPSSNVPPKLVILHMNMAFLVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPAGNLLFYDYLENGSLWDVLHGSSKKQKLDWEARLRIALGAAQGLAYLHHDCNPRIIHRDVKSKNILLDKDYEAHLADFGIAKSLCTSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKAADNTVMEMVDPDIADTCKDLGEVKKVFQLALLCSKRQPSDRPTMHEVVRVLDCLVYPDPPSKPALPPALPQSSTVPSYVNEYVSLRGGSTLSCENSSSASDAELFLKFGEVISQNTE >ORUFI02G36090.2 pep chromosome:OR_W1943:2:31002731:31010312:1 gene:ORUFI02G36090 transcript:ORUFI02G36090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTRLLLAAILLAVAAADDDGQTLLEIKKSFRNVDNVLYDWAGDGAPRRYCSWRGVLCDNVTFAVAALNLSGLNLGGEISPAIGNLKSVESIDLKSNELSGQIPDEIGDCTSLKTLDLSSNNLGGDIPFSISKLKHLENLILKNNQLVGMIPSTLSQLPNLKILDLAQNKLNGEIPRLIYWNEVLQYLGLRSNNLEGSLSPEMCQLTGLWDLSYNRLTGEIPFNIGFLQVATLSLQGNNFSGPIPSVIGLMQALAVLDLSFNQLSGPIPSILGNLTYTEKLYLQGNRLTGSIPPELGNMSTLHYLELNDNQLTGFIPPELGKLTGLFDLNLANNNLEGPIPDNISSCMNLISLNLSSNYLSGAIPIELAKMKNLDTLFLFLCFSDLSSNHLGGLIPQEVGMLQNLILLKLESNNITGDVSSLINCFSLNVLNVSYNNLAGIVPTDNNFSRFSPDSFLGNPGLCGYWLGSSCYSTSHVQRSSVSRSAILGIAVAGLVILLMILAAACWPHWPQVPKDVSLSKPDIHALPSSNVPPKLVILHMNMAFLVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPAGNLLFYDYLENGSLWDVLHGSSKKQKLDWEARLRIALGAAQGLAYLHHDCNPRIIHRDVKSKNILLDKDYEAHLADFGIAKSLCTSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKAADNTVMEMVDPDIADTCKDLGEVKKVFQLALLCSKRQPSDRPTMHEVVRVLDCLVYPDPPSKPALPPALPQSSTVPSYVNEYVSLRGGSTLSCENSSSASDAELFLKFGEVISQNTE >ORUFI02G36090.3 pep chromosome:OR_W1943:2:31002731:31010312:1 gene:ORUFI02G36090 transcript:ORUFI02G36090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTRLLLAAILLAVAAADDDGQTLLEIKKSFRNVDNVLYDWAGDGAPRRYCSWRGVLCDNVTFAVAALNLSGLNLGGEISPAIGNLKSVESIDLKSNELSGQIPDEIGDCTSLKTLDLSSNNLGGDIPFSISKLKHLENLILKNNQLVGMIPSTLSQLPNLKILDLAQNKLNGEIPRLIYWNEVLQYLGLRSNNLEGSLSPEMCQLTGLWDLSYNRLTGEIPFNIGFLQVATLSLQGNNFSGPIPSVIGLMQALAVLDLSFNQLSGPIPSILGNLTYTEKLYLQGNRLTGSIPPELGNMSTLHYLELNDNQLTGFIPPELGKLTGLFDLNLANNNLEGPIPDNISSCMNLISLNLSSNYLSGAIPIELAKMKNLDTFNHLGGLIPQEVGMLQNLILLKLESNNITGDVSSLINCFSLNVLNVSYNNLAGIVPTDNNFSRFSPDSFLGNPGLCGYWLGSSCYSTSHVQRSSVSRSAILGIAVAGLVILLMILAAACWPHWPQVPKDVSLSKPDIHALPSSNVPPKLVILHMNMAFLVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPAGNLLFYDYLENGSLWDVLHGSSKKQKLDWEARLRIALGAAQGLAYLHHDCNPRIIHRDVKSKNILLDKDYEAHLADFGIAKSLCTSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKAADNTVMEMVDPDIADTCKDLGEVKKVFQLALLCSKRQPSDRPTMHEVVRVLDCLVYPDPPSKPALPPALPQSSTVPSYVNEYVSLRGGSTLSCENSSSASDAELFLKFGEVISQNTE >ORUFI02G36090.4 pep chromosome:OR_W1943:2:31002731:31010312:1 gene:ORUFI02G36090 transcript:ORUFI02G36090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTRLLLAAILLAVAAADDDGQTLLEIKKSFRNVDNVLYDWAGDGAPRRYCSWRGVLCDNVTFAVAALNLSGLNLGGEISPAIGNLKSVESIDLKSNELSGQIPDEIGDCTSLKTLDLSSNNLGGDIPFSISKLKHLENLILKNNQLVGMIPSTLSQLPNLKILDLAQNKLNGEIPRLIYWNEVLQYLGLRSNNLEGSLSPEMCQLTGLWDLSYNRLTGEIPFNIGFLQVATLSLQGNNFSGPIPSVIGLMQALAVLDLSFNQLSGPIPSILGNLTYTEKLYLQGNRLTGSIPPELGNMSTLHYLNLANNNLEGPIPDNISSCMNLISLNLSSNYLSGAIPIELAKMKNLDTLFLFLCFSDLSSNHLGGLIPQEVGMLQNLILLKLESNNITGDVSSLINCFSLNVLNVSYNNLAGIVPTDNNFSRFSPDSFLGNPGLCGYWLGSSCYSTSHVQRSSVSRSAILGIAVAGLVILLMILAAACWPHWPQVPKDVSLSKPDIHALPSSNVPPKLVILHMNMAFLVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPAGNLLFYDYLENGSLWDVLHGSSKKQKLDWEARLRIALGAAQGLAYLHHDCNPRIIHRDVKSKNILLDKDYEAHLADFGIAKSLCTSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKAADNTVMEMVDPDIADTCKDLGEVKKVFQLALLCSKRQPSDRPTMHEVVRVLDCLVYPDPPSKPALPPALPQSSTVPSYVNEYVSLRGGSTLSCENSSSASDAELFLKFGEVISQNTE >ORUFI02G36090.5 pep chromosome:OR_W1943:2:31002731:31010312:1 gene:ORUFI02G36090 transcript:ORUFI02G36090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTRLLLAAILLAVAAADDDGQTLLEIKKSFRNVDNVLYDWAGDGAPRRYCSWRGVLCDNVTFAVAALNLSGLNLGGEISPAIGNLKSVESIDLKSNELSGQIPDEIGDCTSLKTLDLSSNNLGGDIPFSISKLKHLENLILKNNQLVGMIPSTLSQLPNLKILDLAQNKLNGEIPRLIYWNEVLQYLGLRSNNLEGSLSPEMCQLTGLWDLSYNRLTGEIPFNIGFLQVATLSLQGNNFSGPIPSVIGLMQALAVLDLSFNQLSGPIPSILGNLTYTEKLYLQGNRLTGSIPPELGNMSTLHYLNLANNNLEGPIPDNISSCMNLISLNLSSNYLSGAIPIELAKMKNLDTFNHLGGLIPQEVGMLQNLILLKLESNNITGDVSSLINCFSLNVLNVSYNNLAGIVPTDNNFSRFSPDSFLGNPGLCGYWLGSSCYSTSHVQRSSVSRSAILGIAVAGLVILLMILAAACWPHWPQVPKDVSLSKPDIHALPSSNVPPKLVILHMNMAFLVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPAGNLLFYDYLENGSLWDVLHGSSKKQKLDWEARLRIALGAAQGLAYLHHDCNPRIIHRDVKSKNILLDKDYEAHLADFGIAKSLCTSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKAADNTVMEMVDPDIADTCKDLGEVKKVFQLALLCSKRQPSDRPTMHEVVRVLDCLVYPDPPSKPALPPALPQSSTVPSYVNEYVSLRGGSTLSCENSSSASDAELFLKFGEVISQNTE >ORUFI02G36100.1 pep chromosome:OR_W1943:2:31011208:31016303:1 gene:ORUFI02G36100 transcript:ORUFI02G36100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRARRERKWDALSVPVRFSTCCRGRDRDATRPAVRGRRRDALGWDAAFDRARGPSSSSVPFSWAGRRPITGHQCASAGWADAELLLGQDRRTPAIIRLTVRRRRRQYVEAGKGSGGGEGGVRLRARR >ORUFI02G36110.1 pep chromosome:OR_W1943:2:31020603:31038455:1 gene:ORUFI02G36110 transcript:ORUFI02G36110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRKGLKVWVEEKGEGWVEAEVVEVKDRAVFVLTSQRKKITVLAEKLLPRDTDEDLGGGHVDDMTKLTYLNEPGVLYNLKRRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPHVFAVADASYRAMVNDSRSQSILVSGESGAGKTETTKLIMQYLTYVGGRAAIDDRTVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEIQFDANGRISGAAIRTYLLERSRVVQINDPERNFHCFYQLCASGKDAELYKLGHPRSFHYLNKSKTYELEGTNNEDEYWKTKRAMDIVGISRNDQDAIFRILAAILHLGNIEFSPGKEIDSSKIKDPTSNFHLRMAAKLFMCDPDLLISTLCTRSINTLEGAIIKALDCSAAAANRDALAKTVYARLFDWLVENINKSIGQDVDSKVQIGVLDIYGFESFKNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKSEKIDWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFATKMFRNFSSHHRLEKTKFSETDFVISHYAGKVTYQTESFLEKNRDYIVAEHCNLLSSSRCPLVSGLFGSLPEESLRSSYKFSSVASRFKQQLQALMETLNSTEPHYVRCVKPNSVNRPQMFENQSVLHQLRCGGVLEAVRISLAGYPTRRTYAEFVDRFGVLVPELMLGSYDERALTKGILEKMKLENFQLGSTKVFLRAGQIAILDMRRAEVLENAARHIQGRFRTFITRKEFVKTREASISIQAYCRGCLARKMYMVKRETAAAIIVQKYVRRWRLHRTYQQAHSAALLIQSCIRGFIARRYFSVIREQKAALVIQSLWRKRKVIILFQQYRQATVKIQCAWRQKLARRELRRLKMAAGEEAKSVEILKRDKMIESLSAECAAAKSDAQSEHDKNRLLQRQLDDSLREITMLQGSKIMTAEAEKENSNLKNLVESLSKRNSSLEYELTSARKGSDDTMKKLKDVEGKCNHLQQNLDKLQEKLTNLENENHVLRQKALNMSPLNNMSMATKAFPQKFATPIGLPNGEQKHGYETPPAAKYLASLPQSLTGSRRTRMPVERQEENHEILLRCIKENLGFKDGKPVAACIIYSCLLHWRAFESERTAIFDHVIEAINNVLKKNLRSNGLFATPSRRSGGPLGIGDKIVQTLRSPSKLMGRIDTLGQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLSVCIQAPKSSRAQPGKASKPPGVGVQPPSNSHWDNIVNFLDLLMSTLHANYVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKAGLSLLEKWISDATDEVIHQKRKKTLEEIRQDLCPNLSVRQIYRICSMYWDDKYNTQGISNEVVSAMREEVNKYTQNLVSNSFLLDDDLSIPFSTEDLSMAIPAIDYADVEFPESLHHYPSVQLLVKHHDPQPV >ORUFI02G36120.1 pep chromosome:OR_W1943:2:31039986:31042842:-1 gene:ORUFI02G36120 transcript:ORUFI02G36120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLRCFFGGGGGGDETGGDEEKKASAAAVVKNKKAVRRMRSATGRLRSLSLEDLSRTLAQSGLQAFTLAELKAATRSFSGSNFIGEGGFGPVYKGFIDAKLRPGLLQPQHVAVKYLDGEGDQGHREWLAEVVYLGMLSHPHLVKLIGYCCQDDHRMLVYEYMARGSLEHHLFKNLLSSLPWATRLKIAVGAAKGLAFLHDADTPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPQGDATHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLTGRRSVDKRRRGREQNLVDWARPYLRRPERLHRVMDPSLEGGYSDKAAGKAAMVAYHCLHSVPKSRPHMRDVVAALEPLLQTSCGDVLAGPFVYTVPSAAAVVVAAKDDEKKAAAAAGEDGEEEVAAAAKAKRRYVASAVHAEGAMRKGEHRYASSVSGSPRQSRDRGG >ORUFI02G36130.1 pep chromosome:OR_W1943:2:31057366:31058155:-1 gene:ORUFI02G36130 transcript:ORUFI02G36130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVTLAMKGGGRPGARWGKAEEEEGGAVTMAGDGGGGGGRSGAPRRPKMGPGRAPPLRFSPVSGQTRRLVAVQPDRLRLLPPGAMRLAAMRAGALGPPPHRVPPRSPVFMQRNYHGLEDKSPGCSNFLALVPWTPPQIATASNWSSSDSEKREFFEVPMESDETEVDSMDVEESLEANAAAIDGESLHQWAVATTLHDPIVVTKPFSTCYVFKVTE >ORUFI02G36140.1 pep chromosome:OR_W1943:2:31058113:31069920:1 gene:ORUFI02G36140 transcript:ORUFI02G36140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFYPDDKLRDLRCTFSAQQWGPLPRTGPSWPKCTSPATSQSVSLNSGPQGERRVLGPTLQRLRLARARQQLNPPLLASPVVLFSSLIPRRRRCGATPSSPWTSGWERGGLHSRSSARPPRRMDAASCCRVFSTQRCRFPLRRLAPPISRRPFGTESTSELFAASTSKRRSRGPVMAAKKAAEGAKQEEGKYKHTVDLPKTTFGLRANSVVREPELQKLWEENQVLKRVSERNTGATFVLHDGPPYANGDLHMGHALNKILKDIINRYKSLDKETLNALTPIKLRQKAAKFAKATVTTQMNSFKRFGVWADWDNPYLTLSPEYEAAQLEVFGQMVMKGYIYRGRKPVHWSPSSRTALAEAELEYSENHISKSMYAAFKITNLSKPGLLEEFLPNLCLAIWTTTPWTIPANAAVAVNPELTYVVVELQSVLESESTSGGNQRKLGSILSPGSQKPFIIVAADLVSALESKWGTKLIIQKSFPGSALEHCRYIHPVNGNECSVVLGGDYITTESGTGLVHTAPGHGQEDYITGLKYGLPIVSPVDDEGNFTAEAGQFSGLSVLGAGNAAVVNYLDEQCSLILEEPYKHKYPYDWRSKEPTIFRATEQWFASVDGFRNAAMDAIRRVSWIPSQAENRIVAMTSSRSDWCISRQRTWGVPIPVFYHVDSNEPLITEETIEHIKGIVSKKGSDAWWYMTIEELLPEKYRDKASEYRKGTDTMDVWFDSGSSWAAVLAKRDGLNFPADIYLEGSDQHRGWFQSSLLTSIATTGKAPYSSVITHGFVLDEKGFKMSKSLGNVVDPEKVIVGGKNSKQEPGYGADVLRLWVSSVDYTGDVLVGPQILRQMSDMYRKLRGTMRFLLSNLHDWKPENSVRYSDLPKIDKYALFQLENVVTSMKDSYENYQFYKIYQILQRFAIVDLSNFYLDVAKDRLYVGGRVSFTRKSCQTVLSAHLLYLVRAIAPIMPHLAEDVWQNLPFQHTLDDGSVAEFVFNLKWPVKNEEWLSVPKDDVDFLSVILELRSEVNKILESARTGKLIGSSLEAKVYLHAENANTVSKLKELVSATNDADALHRLFITSEVEILPSVSSETTSGVSYTGTFSSERTGNIWIGVTRADGEKCERCWNYTRDVGSFLDHPTLCARCHGVIDLPPVPAAAAVS >ORUFI02G36150.1 pep chromosome:OR_W1943:2:31070084:31075854:1 gene:ORUFI02G36150 transcript:ORUFI02G36150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHERGGAASSAAAPATEEDALFIDLLHEAPLSGHREPRSIVGGTLYCILLAGYAAVAVSAPWIFYLVPDMIPPLLCSSNVILLILTGIFQQYWVHQVRKVRLQGYYDFSEKLKRLAQLPFATVASGVASMLLIIVWQPLVHILSVSLLLRIAIVVEAICAGCFMSLYLWHIHKYNSLNGHPDILRSLYSALQLQPSSSLEERRYYDGRFSDQQMALLQYQRENIHYLSEEVLRLQECLSKYQRTDVGSTPQADLAHLLASRDQELRALSAEMNQVHSELQLARSLIDEKDSEIQRIRVSNNQYIEENDRLRAILGEWSARAAKLERALEAERISSIELRKNIAKLRGQTYKDQNT >ORUFI02G36160.1 pep chromosome:OR_W1943:2:31075907:31080580:1 gene:ORUFI02G36160 transcript:ORUFI02G36160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVDAPTVVAGQEEVTDNMLGDKKVTVVFVLGGPGSGKGTQCANIVEHFGFIHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQEAMIKSGNDKFLIDGFPRNEENRAAFENVGRVDDNIETIRKRFKVFVESSLPVIEYYNAKDKVKKIDAAKPIPEVFEDVKAIFAPYAPNALLSGVTTNL >ORUFI02G36170.1 pep chromosome:OR_W1943:2:31081729:31085831:1 gene:ORUFI02G36170 transcript:ORUFI02G36170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLAGLRFGSQVREEHAIQILLAKLANATFGRLWNEVEERHACNILMLAAATVPPFENISPKMLADSMTLGRDNGRTREPVDQHSLEENHSGCTCVAVPRIILPEDATEPKTGIKFPTLLEDNSNPTSEVLVGMGFRSMRIMRVKNLNLYAFGLYIQPDSICKRLSPKYASVPVSELKDHPDFYEDLLRENIHMTVRLIVSYNGLSIGTVRDAFEKSLCFRLQKMNPNTDYHCLKTFGSYFSEDICIPAGTKIDFRQTSDGQLITERAFFDMYIGDPPVSVETKQDIAQNVGGLIRRCY >ORUFI02G36180.1 pep chromosome:OR_W1943:2:31086346:31088900:1 gene:ORUFI02G36180 transcript:ORUFI02G36180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSSRDGHNAAAAAALLLAALVLSGDVLPAVVAGGAPSFNYKDALTKSIMFLEAQRSGKLPPTNRIKWRGDSGMEDGKLANVDLTGGYYDAGDNVKYGLPLAFTVTTLAWTAMAFEKELKAARELENVHAAIRWGTDYFLKAATKKDHLWVQVGDPNADHQCWVRPENMPTPRTLYQINDKTPGSEIAAETAAAMTASSMVFRKDKPYSRRLLNKAKLLFQFAKTHQGTYDGECPFYCSYSGYNDELLWAATWLYLATKRQVYADFIGHEAISSSVAEFSWDLKFPGAQVLLAELNMTSSGGLQSFKSQADNFVCAVLPDTPFHQVSITPGGMIHLRDGANSQYVTSTAFLFVAYSDILRRINQPVMCGAQAVQPARLLQFAKQQIDYLLGANPRGRSYVVGFGVNPPTQPHHRGASTPVLPPGYQVNCGMSFSEWFTPDRPNPNELTGAIMGGPDGGDNFSDKRGNSSCTEPCTYINSLSIGPLAALAIRGPNLIATQ >ORUFI02G36190.1 pep chromosome:OR_W1943:2:31090087:31093292:1 gene:ORUFI02G36190 transcript:ORUFI02G36190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALESGPGLGGGGGGGGGPRFGRVARCAYAASPPPASVGARSSSSVGRDSDSPAAAAKWEWDGEEVEGGDGEVQSSYKGPFDTMDALQEALPFRKGVCKFYNGKSGSFAKLQDSVIPSPPEKSLPKPENPSPRKRKGLLPFSFKWGKPQNKEVFPEDDVIISPTNCRRMTLSPAATSSSGSNSGSDDEHYRSPKLHTRQPLRRPSNAAMGVFASPPAPRPPQVLSAHMRSHSMLDLQDVTESTAMVSPRDKRRRN >ORUFI02G36200.1 pep chromosome:OR_W1943:2:31103743:31111747:1 gene:ORUFI02G36200 transcript:ORUFI02G36200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLVVILLLCSSLLAVAAAAASPPSSTAAGHAGEPDDDVVSTYIVHVMPAHAPRRLPTHRASRRLTRGYASLVRGLLPRHIADPAPRLLYSYAHAATGFAARLTARQAAHLEAQPSIAAVVRDTAYQLHTTWSSDFLNLSPSFGLQAESNGAVDAVIGVIDTGIYPKDRASFAPDPSLPPTPPPTFRGSCVSSFRDSNASAYCNNKLVGAKTFYRGYEAQNGPIDERVQTNHAVPNANLFGLANGIAKGTALGVRIAMYKVCWEYYIPECITTDILAALDETIADGVDVISISLATTTLVLCCLLAVAAAAAATWIGHDDQQDDDVSTYIVHVMPAHAPRLATHRIARDHYAPFLRELLLPPHVARPPPRLLYSYAHAATGFAARLTARQAAHLEAHPCVAAVVRDEAYELHTTLSSSFLRLSPSSGLQAESNSATDAVIAVINSTMRPSYQTRLCPQHRLLPFVANFDASIYCNNKLVGAKMFYEGYERASGKPINETEDSKSPLDTTGHGTHSAAIAAGSPVSDANLFGLANGVAKGTAPGARIAVYKVCWKMGCFGSDVVAGMDEAIADGVDVISLSLAVNRKRTFAQDPTAISGFNAVRKGIVVVASAGSGGPKESTVTNTAPWLLTVGASSMNRQFQTIVVLGDGQTFSGTSLYLGDTDGSMKSLVFGGFAGSAACEIGKLDATKVAGKIVLCEAGQVLDAEKGVAVAQAGGFGVIVSSRSSYGDYGEYAKATAHLIPGTTVPNAAALEILRYMATWPGHHIQLILFFGTVLSSSPRIAYGEYAKATAHLNPGTTVPNAAALEILRYMARTPYPVGKILFFGTVLSSSPRIASSPRIASFSARGPSLAAPEILKPDLVAPGVSILAAWSGLVSPTELDVDTRRVKFNILSGTSAACPHVSGVAALLKMARPSWTPAMIMSALTTTAGLDPGLVYDAGVDDYLDVLCALGYSDEDIVGIFLRDGTITNCSTRASTTVADLNRASISVAVKAYGDDITVRRTVRNVGGSVDAVYTVGGVPPPGTQLRIRPSKLVFDAEHQTRTYDVVIRTVSSGSFDEYTHGSIVWSDGAHKVRSPIAVTWPPSQSAAVAAI >ORUFI02G36210.1 pep chromosome:OR_W1943:2:31116029:31118612:-1 gene:ORUFI02G36210 transcript:ORUFI02G36210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRYLAAVLLILFAAASPAAAAAREQSTYILHLAPEHPALRATRVGGGGGAVFLGRLLRLPRHLRAPRPRLLYSYAHAATGVAARLTPEQAAHVEAQPGVLAVHPDQARQLHTTHTPAFLHLTQASGLLPAAAAASGGASSPIVGVLDTGIYPIGRGSFAPTDGLGPPPASFSGGCVSTASFNASAYCNNKLIGAKFFYKGYEAALGHAIDETEESKSPLDTEGHGTHTASTAAGSPVTGAGFFDYARGQAVGMSPAAHIAAYKICWKSGCYDSDILAAMDEAVADGVDVISLSVGAGGYAPSFFRDSIAIGSLHAVSKGIVVSAFFRDSIAIGSFHAVSKGIVVSASAGNSGPGEYTATNIAPWILTVGASTIDREFPADVVLGNGQVYGGVSLYSGEPLNSTLLPVVYAGDCGSRLCIIGELDPAKVSGKIVLCERGSNARVAKGGAVKVAGGAGMILVNTAESGEELVADSHLVPATMVGQKFGDKIKYYVQSDPSPTATIVFRGTVIGKSPSAPRVAAFSSRGPNYRAPEILKPDVIAPGVNILAAWTGESAPTDLDIDPRRVEFNIISGTSMSCPHVSGLAALLRQAQPDWSPAAIKSALMTTAYNVDNSGAVIKDLATGTESTPFVRGAGHVDPNRALDPGLVYDAGTEDYVSFLCTLGYSPSIISLFTTDGSVANCSTKFPRTGDLNYPAFAVVLSSYKDSVTYHRVVRNVGSNANAVYEAKIDSPSGVDVTVSPSKLVFDESHQSLSYDITIAASGNPVIVDTEYTFGSVTWSDGVHDVTSPIAVTWPSNGRAASM >ORUFI02G36220.1 pep chromosome:OR_W1943:2:31124897:31128103:1 gene:ORUFI02G36220 transcript:ORUFI02G36220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVKHTAGHAGARRVKLFRVPRRPARAAEEAGAPLVPAGERRKRKMAVARLGGGAGGRRRLFGAFRRLRVRWLAALYRRSLRRLRADVLEGAALVGAARADAGV >ORUFI02G36230.1 pep chromosome:OR_W1943:2:31131072:31131452:1 gene:ORUFI02G36230 transcript:ORUFI02G36230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKWMDGFQEKLNSGANFLEEMNSVVSLVKKQRINDPSMMNWSLQLKDAIDEVEFTRTARTTRIVKESPCALLRLFFSEGATAVAQWARAMGGGGGRVDAPTLCCDRERCSTGWRRRQEREKRRKP >ORUFI02G36240.1 pep chromosome:OR_W1943:2:31133759:31143823:1 gene:ORUFI02G36240 transcript:ORUFI02G36240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGAVGKNNTESIDTKFLSQLSSSSSSLRPAGGDAASEQLLAAAAYDACSTPIHCQWTATATRHQFPSWRLIKQAKISRYL >ORUFI02G36250.1 pep chromosome:OR_W1943:2:31149420:31158056:-1 gene:ORUFI02G36250 transcript:ORUFI02G36250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNFLIVLSLLNAALVATSVGAEQSDEVSTYIVHVAAHIADHPGVLAIYPDEHLQLHTTQSPSFLRLSPSVGLVQASNGGGTGAVIAILDTGIYPKGRRSFTADSSFPPPPRTFRGHCVSTRSFNATAYCNNKLVGAKFFYKGHEAKMGHLINETQESKSPLDTEGHGTHTASTAAGSAVPGANFVGYANGTAQGMAIRAHIASYKVCWRDDGNASCATSDILAGMNEAIADGVDVISLSLGGLKPQLYNEPTSLGAFNAIRRGIVVSTSAGNDGPGTYTANNLAPWVITVGASSIDRRFPAHVVLGHNRGTYIGTSLYFGQNTAGSFLPLVYGGDAGSALCEYGMLSSNMVTGKIVLCYGTKNTTNPIVQEAAVQQAGGSFADILPTSTITFKDTETIHSYTQSVADPVARIDFLGTVINQSPSAPRVAAFSSRGPNRFAPEILKPDMIAPGVDILAAWTGEMSPTMANVIDNRRVEFNIISGTSMACLHMSGIAAMLKVAQPSWSPAAIKSAMMTTAYNVDNDGNAIKDMATGQAARPFELGSGHVDPNRALDPGLVNNTTADDYITFLCSLGYNSSQIALFTNDGSTTDCSTRPRRSVGDLNYPAFSVVFVRSGEQVTQRRAVTNVGANTNVVYNVTITAPPGTTLTVTPTRLAFDAQRRTLDYSITVSAGATSSSEH >ORUFI02G36260.1 pep chromosome:OR_W1943:2:31169289:31170854:1 gene:ORUFI02G36260 transcript:ORUFI02G36260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPEQMAGHAVAPRRDAAFRATTRRTVTILHGSCRVRRRKMAVVRLGDGSRRPRRFMGALRRLRLRWVVAAMYRRALRRLRACYAKAIRDVLEGAALVGAVRADAGETMSHTRTSIYCQMTATGLLTAVVIRADLIAAGLQLGRATLSMAATPRHGRAIDDAKLDAPVVDSDGAWRVFSRPCREDVDTGCYHIRLEDLSRDAVWPTAREGSDPRSRWRLADHGFMVPRNSILATGLVVDRR >ORUFI02G36270.1 pep chromosome:OR_W1943:2:31180814:31183135:-1 gene:ORUFI02G36270 transcript:ORUFI02G36270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGKTLLVVFSLLHALVLATSVGVEHATDDVSTYIIHVAHVHATPPTHASQCMDQHAIAHYTSFLQGILPSHLSEPTPRLVYAYSHAATGFAAKLAKHQATHIVHHPSILAIFPDKRNELQTTLSPSFLGLSPSNGLVQASNDGGTGAVIAVVDTGVYPKNRRSFTADPSLPPPPSTFRGHCISTPSFNATAYCNNKLVGAKYFCRGYEAALGHPIDETQESKSPLDTEGHGTHTASTAAGSAVPGANLFGYANGTAQGMAVRAHIAIYKVCWAKGCYDSDILAGMDEAIADRVNVISLSLGGRSEQLYNEPTSVGAFNAIRRGIFVSAAAGNDGPDMSTANNLAPWMVTVGASSINRRFPANIILGNGETYVGTSLYSGRNTAASLIPLVYSGDAGSRLCEPGKLSRNIVIGKIVLCEIGYAPAQEAAVQQAGGVGAIVPSRNVYGQFFLSSPDLIPASTVTFADANAIYSYTQSAANPVARIEFRGTMISQSPYAPRVAAFSSRGPNRFVAEILKPDIIAPGIDILAAWTGENSPSSLSIDTRRVEFNIISGTSMACPHVSGIAAMLKVARPDWSPTAIKSAMMTTAYEVDNGGNAIMSSVNGRAAGPFELGSGHVDPNNALDPGLVYNATTDDYIAFLCGLGYTPNQIAIFTRDSTTTYCSRRPPIGDLNYPAFSMVFARSGGQVTQRRTVTNVGANTNAVYDVTITAPPGTRLTVAPMRLTFNAQRKTLDYAITLSAGSSNSPYNAWGDIVWSDGQHMVRSPVVATWK >ORUFI02G36280.1 pep chromosome:OR_W1943:2:31189426:31201639:1 gene:ORUFI02G36280 transcript:ORUFI02G36280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGVVSREVLPACERLCFLCPSLRTRSRHPVKRYKKLLSEIFPKSQDEEPNDRKIGKLCEYISRNPLRVPKITVYLEQKFYKELRVEHFGSVKVVMAIYRKVICSCQEQLCGGGREDDNDDEEGGAYGGNGKGEQAFVVLMVSSAAASASTSATVSSSVPVGLAAKNLKSMHKGAQDLNLAFPHHHGRALQSPEFTAFPSLESSNMPLFANSLLNIVEALLEQNRQDDLRTIACRTLFYFVNNQVDSTYMFNLESQIPKLCQLAQEMGEKEKISIVHAAGLQALSSMVWFMGEHSHISAELDNVVSAVLENYESPYANSDNDAAIEDRRTQWVSEVLKAEDHEPSGITILTRVPSWKAIRAPRVKNQKVQIFGQGFVCTTLLEYPGKQQQSDEFWKLYFHLEHKNVLKQTDKILDIIEVTTRLAEHSKAQSSTALMAAISDMVRHLSKNMQLLVSDVGPGDGMVMNDRYGKATDECLVQLSRKVGDAGPILDALAVVLENISSTTPVARSTIAATYRTAQIIASLPNLLYQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGSSQTSKIGIKRTLSRTTSVFSSSAALFGKLKRDVFSFRENSRLDGTNLIPISENSDQINGNDPKLFKSQTIQRMYSAKDSFVTSSSEISNLSGTTQETDPVTLMLSGRQAILLLSSLWTQALSPENVPRNYEAISHTYCLMLLFSEDKLSACFFIAKHFITSRFFATITQAFTLYYGNFYACVDPFLRLVEDCKLQVVESCLTVYGSKDDDDLALKSLSNININDQSKQASVSLILDSLKDLSEAELSTIRKQLLEEFSADDACPLGSHSNESTSQSPAYNAKLHQKSLEVIPVGFIFEDDTLVEPANSLAEPQLQQPLDNGLIDVNQLLESVVETSRHVGRLSVSTNLDLPFKEVANRCEALLIGKQQKLSVCMSVHQKQDGESPMDKLGSPQQISPTAGFVSTNDEQCHSDFCKLPVLSPYDKFLAGSGC >ORUFI02G36280.2 pep chromosome:OR_W1943:2:31189426:31201639:1 gene:ORUFI02G36280 transcript:ORUFI02G36280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGVVSREVLPACERLCFLCPSLRTRSRHPVKRYKKLLSEIFPKSQDEEPNDRKIGKLCEYISRNPLRVPKITVYLEQKFYKELRVEHFGSVKVVMAIYRKVICSCQEQLCGGGREDDNDDEEGGAYGGNGKGEQAFVVLMVSSAAASASTSATVSSSVPVGLAAKNLKSMHKGAQDLNLAFPHHHGRALQSPEFTAFPSLESSNMPLFANSLLNIVEALLEQNRQDDLRTIACRTLFYFVNNQVDSTYMFNLESQIPKLCQLAQEMGEKEKISIVHAAGLQALSSMVWFMGEHSHISAELDNVVSAVLENYESPYANSDNDAAIEDRRTQWVSEVLKAEDHEPSGITILTRVPSWKAIRAPRVKNQKVQIFGQGFVCTTLLEYPGKQQQSDEFWKLYFHLEHKNVLKQTDKILDIIEVTTRLAEHSKAQSSTALMAAISDMVRHLSKNMQLLVSDVGPGDGMVMNDRYGKATDECLVQLSRKVGDAGPILDALAVVLENISSTTPVARSTIAATYRTAQIIASLPNLLYQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGSSQTSKIGIKRTLSRTTSVFSSSAALFGKLKRDVFSFRENSRLDGTNLIPISENSDQINGNDPKLFKSQTIQRMYSAKDSFVTSSSEIITLMLSGRQAILLLSSLWTQALSPENVPRNYEAISHTYCLMLLFSEDKLSACFFIAKHFITSRFFATITQAFTLYYGNFYACVDPFLRLVEDCKLQVVESCLTVYGSKDDDDLALKSLSNININDQSKQASVSLILDSLKDLSEAELSTIRKQLLEEFSADDACPLGSHSNESTSQSPAYNAKLHQKSLEVIPVGFIFEDDTLVEPANSLAEPQLQQPLDNGLIDVNQLLESVVETSRHVGRLSVSTNLDLPFKEVANRCEALLIGKQQKLSVCMSVHQKQDGESPMDKLGSPQQISPTAGFVSTNDEQCHSDFCKLPVLSPYDKFLAGSGC >ORUFI02G36280.3 pep chromosome:OR_W1943:2:31189426:31201639:1 gene:ORUFI02G36280 transcript:ORUFI02G36280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGVVSREVLPACERLCFLCPSLRTRSRHPVKRYKKLLSEIFPKSQDEEPNDRKIGKLCEYISRNPLRVPKITVYLEQKFYKELRVEHFGSVKVVMAIYRKVICSCQEQLPLFANSLLNIVEALLEQNRQDDLRTIACRTLFYFVNNQVDSTYMFNLESQIPKLCQLAQEMGEKEKISIVHAAGLQALSSMVWFMGEHSHISAELDNVVSAVLENYESPYANSDNDAAIEDRRTQWVSEVLKAEDHEPSGITILTRVPSWKAIRAPRVKNQKVQIFGQGFVCTTLLEYPGKQQQSDEFWKLYFHLEHKNVLKQTDKILDIIEVTTRLAEHSKAQSSTALMAAISDMVRHLSKNMQLLVSDVGPGDGMVMNDRYGKATDECLVQLSRKVGDAGPILDALAVVLENISSTTPVARSTIAATYRTAQIIASLPNLLYQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGSSQTSKIGIKRTLSRTTSVFSSSAALFGKLKRDVFSFRENSRLDGTNLIPISENSDQINGNDPKLFKSQTIQRMYSAKDSFVTSSSEISNLSGTTQETDPVTLMLSGRQAILLLSSLWTQALSPENVPRNYEAISHTYCLMLLFSEDKLSACFFIAKHFITSRFFATITQAFTLYYGNFYACVDPFLRLVEDCKLQVVESCLTVYGSKDDDDLALKSLSNININDQSKQASVSLILDSLKDLSEAELSTIRKQLLEEFSADDACPLGSHSNESTSQSPAYNAKLHQKSLEVIPVGFIFEDDTLVEPANSLAEPQLQQPLDNGLIDVNQLLESVVETSRHVGRLSVSTNLDLPFKEVANRCEALLIGKQQKLSVCMSVHQKQDGESPMDKLGSPQQISPTAGFVSTNDEQCHSDFCKLPVLSPYDKFLAGSGC >ORUFI02G36280.4 pep chromosome:OR_W1943:2:31189426:31201639:1 gene:ORUFI02G36280 transcript:ORUFI02G36280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGVVSREVLPACERLCFLCPSLRTRSRHPVKRYKKLLSEIFPKSQDEEPNDRKIGKLCEYISRNPLRVPKITVYLEQKFYKELRVEHFGSVKVVMAIYRKVICSCQEQLPLFANSLLNIVEALLEQNRQDDLRTIACRTLFYFVNNQVDSTYMFNLESQIPKLCQLAQEMGEKEKISIVHAAGLQALSSMVWFMGEHSHISAELDNNQKVQIFGQGFVCTTLLEYPGKQQQSDEFWKLYFHLEHKNVLKQTDKILDIIEVTTRLAEHSKAQSSTALMAAISDMVRHLSKNMQLLVSDVGPGDGMVMNDRYGKATDECLVQLSRKVGDAGPILDALAVVLENISSTTPVARSTIAATYRTAQIIASLPNLLYQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGSSQTSKIGIKRTLSRTTSVFSSSAALFGKLKRDVFSFRENSRLDGTNLIPISENSDQINGNDPKLFKSQTIQRMYSAKDSFVTSSSEISNLSGTTQETDPVTLMLSGRQAILLLSSLWTQALSPENVPRNYEAISHTYCLMLLFSEDKLSACFFIAKHFITSRFFATITQAFTLYYGNFYACVDPFLRLVEDCKLQVVESCLTVYGSKDDDDLALKSLSNININDQSKQASVSLILDSLKDLSEAELSTIRKQLLEEFSADDACPLGSHSNESTSQSPAYNAKLHQKSLEVIPVGFIFEDDTLVEPANSLAEPQLQQPLDNGLIDVNQLLESVVETSRHVGRLSVSTNLDLPFKEVANRCEALLIGKQQKLSVCMSVHQKQDGESPMDKLGSPQQISPTAGFVSTNDEQCHSDFCKLPVLSPYDKFLAGSGC >ORUFI02G36290.1 pep chromosome:OR_W1943:2:31202641:31207147:1 gene:ORUFI02G36290 transcript:ORUFI02G36290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGFSTKGGDAGSKLPSTVTTLNPNAAEFVPSTFRSPFGSRTVADVSKPDFRGLSGKTNLGRSESSKSNNSDDETHQFWHRQLPDDIIPDFSDMEKVEQQHGELSFSGLSLNAPPFFGTAASNLSREHHGLLSQAGKNLDLGHNDLYYDENSGSNSGKQNHADNLCYTNGKLDLLYDHDPLEYLASQFPGFSVESLAELYCANGCDFDLTVEILTQLEMQVDASSCQNLNLAPNTPNIGTGNFPVLPGTEDLNCLFEGNVGAHGITNRHNSSTMSRTGDFVSAIQRLALQDAGHMKFKNESPKYANGLLSIVARKQYGCDTRSSLGNKFLKASSNVHSAPVSLKTGDAMASMCSESRGEAGDFARIRNTCFEQATQAYMMGNKALAKELSMKGQLYNLQMKAAHETAREAIYQQRNPFSSEQGQDRLIDLHGLQVSEAIQVVKAELALLMGATRSAGEGTDHDLHWNRTPHQGLSRGKVAGRP >ORUFI02G36290.2 pep chromosome:OR_W1943:2:31202483:31207147:1 gene:ORUFI02G36290 transcript:ORUFI02G36290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGFSTKGGDAGSKLPSTVTTLNPNAAEFVPSTFRSPFGSRTVADVSKPDFRGLSGKTNLGRSESSKSNNSDDETHQFWHRQLPDDIIPDFSDMEKVEQQHGELSFSGLSLNAPPFFGTAASNLSREHHGLLSQAGKNLDLGHNDLYYDENSGSNSGKQNHADNLCYTNGKLDLLYDHDPLEYLASQFPGFSVESLAELYCANGCDFDLTVEILTQLEMQVDASSCQNLNLAPNTPNIGTGNFPVLPGTEDLNCLFEGNVGAHGITNRHNSSTMSRTGDFVSAIQRLALQDAGHMKFKNESPKYANGLLSIVARKQYGCDTRSSLGNKFLKASSNVHSAPVSLKTGDAMASMCSESRGEAGDFARIRNTCFEQATQAYMMGNKALAKELSMKGQLYNLQMKAAHETAREAIYQQRNPFSSEQGQDRLIDLHGLQVSEAIQVVKAELALLMGATRSAGEGTDHDLHWNRTPHQGLSRGKVAGRP >ORUFI02G36290.3 pep chromosome:OR_W1943:2:31202671:31207147:1 gene:ORUFI02G36290 transcript:ORUFI02G36290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGFSTKGGDAGSKLPSTVTTLNPNAAEFVPSTFRSPFGSRTVADVSKPDFRGLSGKTNLGRSESSKSNNSDDETHQFWHRQLPDDIIPDFSDMEKVEQQHGELSFSGLSLNAPPFFGTAASNLSREHHGLLSQAGKNLDLGHNDLYYDENSGSNSGKQNHADNLCYTNGKLDLLYDHDPLEYLASQFPGFSVESLAELYCANGCDFDLTVEILTQLEMQVDASSCQNLNLAPNTPNIGTGNFPVLPGTEDLNCLFEGNVGAHGITNRHNSSTMSRTGDFVSAIQRLALQDAGHMKFKNESPKYANGLLSIVARKQYGCDTRSSLGNKFLKASSNVHSAPVSLKTGDAMASMCSESRGEAGDFARIRNTCFEQATQAYMMGNKALAKELSMKGQLYNLQMKAAHETAREAIYQQRNPFSSEQGQDRLIDLHGLQVSEAIQVVKAELALLMGATRSAGEGTDHDLHWNRTPHQGLSRGKVAGRP >ORUFI02G36290.4 pep chromosome:OR_W1943:2:31202483:31207009:1 gene:ORUFI02G36290 transcript:ORUFI02G36290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGFSTKGGDAGSKLPSTVTTLNPNAAEFVPSTFRSPFGSRTVADVSKPDFRGLSGKTNLGRSESSKSNNSDDETHQFWHRQLPDDIIPDFSDMEKVEQQHGELSFSGLSLNAPPFFGTAASNLSREHHGLLSQAGKNLDLGHNDLYYDENSGSNSGKQNHADNLCYTNGKLDLLYDHDPLEYLASQFPGFSVESLAELYCANGCDFDLTVEILTQLEMQVDASSCQNLNLAPNTPNIGTGNFPVLPGTEDLNCLFEGNVGAHGITNRHNSSTMSRTGDFVSAIQRLALQDAGHMKFKNESPKYANGLLSIVARKQYGCDTRSSLGNKFLKASSNVHSAPVSLKTGDAMASMCSESRGEAGDFARIRNTCFEQATQAYMMGNKALAKELSMKGQLYNLQMKAAHETAREAIYQQRNPFSSEQGQDRLIDLHGLQVSEAIQVVKAELALLMGATRSAGEGTDHDLHWNRTPHQGLSRGKVAGRP >ORUFI02G36300.1 pep chromosome:OR_W1943:2:31208247:31212936:1 gene:ORUFI02G36300 transcript:ORUFI02G36300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPHHRLYEFAKTALIKIFAFPYATVCDLYCDGGVDTDKWGDAQIGHYIGIDASASGVNDARELWESRKKLFTSEFIELDPSADDFEAQMQEKGIQADILCFESEEHAQKLLNNVSSLLKPGGYFVGIIPDSSTIWTKYQKNVEASHNKGLKTVPNSIRSENYVITFEVEEEKFPFFGKKYQLKFANESMFENHCLVHFPSFMRLAREAGLEYVEIQNLTEFYDDNRTQFAPLLGGYGSSLVDPRGKLVARLYSTFVFQKPDPDAMPPIVTPELHDPENDQEEEWLWTQQASMDDGRVSRTDILPPADNEKGILGPGPADMRL >ORUFI02G36310.1 pep chromosome:OR_W1943:2:31214806:31221010:1 gene:ORUFI02G36310 transcript:ORUFI02G36310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNRRLQVEKLNQEGDCGNERDAATRGALSTTSRSRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNVPVASIYAGDDSVELNGAPVIADLKHHLNLLTLCWHFSKKPFPLFLEATGYSSEDVLMQEPKAGILKPAFTIILDRDKQCILLLIRGTHSIRDTLTAATGAVVPFHHTIVQEGGVSDLVLGYAHFGMVAAARWIAKLAAPCLAQALHTHPDYKIKIVGHSLGGGTAALLTYVLREQQEFASTTCVSFAPAACMTWDLAESGVHFITTVINGADLVPTFSAASVDDLRSEVTASAWLNDLRHQIEQTRILSTFYRSASALGSRLPSIANAKARVAGAGAILRPVSTGTQVVMRRARSVAQAAWTRPALQLSSWTCIGPRRRTNTVSTSTVTSEEIRATTNDGSESTSLLTETTEIVKTETMQFASSEEVQSSSEVSDAVGMMDEKVDSDGEDIIDHHVDEDRMTDVELWQQLENELYRRSEDDEIVEDMTESAITEEVGGTAEDVLSETNDKEVHRFYPPGKIMHILTSTIEETVSAEESSVPHEDDTTGDSDTRIGIFLTPRSLYGKLRLSKMMINDHYMPIYRRNIEQLIAELEKDSSFPVSDCLDSEVP >ORUFI02G36310.2 pep chromosome:OR_W1943:2:31215400:31221010:1 gene:ORUFI02G36310 transcript:ORUFI02G36310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNRRLQVEKLNQEGDCGNERDAATRGALSTTSRSRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNVPVASIYAGDDSVELNGAPVIADLKHHLNLLTLCWHFSKKPFPLFLEATGYSSEDVLMQEPKAGILKPAFTIILDRDKQCILLLIRGTHSIRDTLTAATGAVVPFHHTIVQEGGVSDLVLGYAHFGMVAAARWIAKLAAPCLAQALHTHPDYKIKIVGHSLGGGTAALLTYVLREQQEFASTTCVSFAPAACMTWDLAESGVHFITTVINGADLVPTFSAASVDDLRSEVTASAWLNDLRHQIEQTRILSTFYRSASALGSRLPSIANAKARVAGAGAILRPVSTGTQVVMRRARSVAQAAWTRPALQLSSWTCIGPRRRTNTVSTSTVTSEEIRATTNDGSESTSLLTETTEIVKTETMQFASSEEVQSSSEVSDAVGMMDEKVDSDGEDIIDHHVDEDRMTDVELWQQLENELYRRSEDDEIVEDMTESAITEEVGGTAEDVLSETNDKEVHRFYPPGKIMHILTSTIEETVSAEESSVPHEDDTTGDSDTRIGIFLTPRSLYGKLRLSKMMINDHYMPIYRRNIEQLIAELEKDSSFPVSDCLDSEVP >ORUFI02G36310.3 pep chromosome:OR_W1943:2:31215789:31221010:1 gene:ORUFI02G36310 transcript:ORUFI02G36310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNRRLQVEKLNQEGDCGNERDAATRGALSTTSRSRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNVPVASIYAGDDSVELNGAPVIADLKHHLNLLTLCWHFSKKPFPLFLEATGYSSEDVLMQEPKAGILKPAFTIILDRDKQCILLLIRGTHSIRDTLTAATGAVVPFHHTIVQEGGVSDLVLGYAHFGMVAAARWIAKLAAPCLAQALHTHPDYKIKIVGHSLGGGTAALLTYVLREQQEFASTTCVSFAPAACMTWDLAESGVHFITTVINGADLVPTFSAASVDDLRSEVTASAWLNDLRHQIEQTRILSTFYRSASALGSRLPSIANAKARVAGAGAILRPVSTGTQVVMRRARSVAQAAWTRPALQLSSWTCIGPRRRTNTVSTSTVTSEEIRATTNDGSESTSLLTETTEIVKTETMQFASSEEVQSSSEVSDAVGMMDEKVDSDGEDIIDHHVDEDRMTDVELWQQLENELYRRSEDDEIVEDMTESAITEEVGGTAEDVLSETNDKEVHRFYPPGKIMHILTSTIEETVSAEESSVPHEDDTTGDSDTRIGIFLTPRSLYGKLRLSKMMINDHYMPIYRRNIEQLIAELEKDSSFPVSDCLDSEVP >ORUFI02G36320.1 pep chromosome:OR_W1943:2:31221625:31235694:-1 gene:ORUFI02G36320 transcript:ORUFI02G36320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQLPIKGASGASGSGHGKKAPQELENVLKQHFGYSGFRGKQLEAIEAVLSGRDCFCLMPTGGGKSMCYQIPALVKSGIVLVISPLIELQENQVASLKSKGIPAEFLSSTQTSHNKQKIHEDLDSGNPSLKLLYVTPELVATSGFKAKLTKLYNRGLLGLVAIDEAHCISTWGHDFRPSYRKLSSLRNQFPDIPILALTATAVPKVQKDVISSLCLRNPLILRASFNRPNIFYEVRYKDLLDDVYSDISNLLKSSGNVCSIVYCLERAVCDDLTMHLSQQGISSAAYHAGLNSKVRSSVLDDWLSSRTQVVVATVAFGYRY >ORUFI02G36330.1 pep chromosome:OR_W1943:2:31238922:31245028:1 gene:ORUFI02G36330 transcript:ORUFI02G36330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSGGGGRLHPHGQRRSVAAFLAANKTLLAAAWVIGFTLVFLWQSAKVSVGGGGGGGGFLRLRSAPPPPPSRPAPLLRPKAYELTDFGGVGDGRAVNTEAFERAVKAIAALAERGGGQLNVPPGRWLTAPFNLTSHMTLFLAEGSEILGIPDERYWPLMPALPSYGYGRERKGPRFGSLIHGQNLKDVVITGHNGSINGQGEVWWMKHRRRILNNTRPPLLQLMWSKDIIVANITLKNSPFWHFHPYDCTNITVSNVTILAPISSAPNTDGIDPDSCQDVLIENCYISVGDDAIAVKSGWDQYGIAYGRPSRNIVIRNVMARSLVSAGISIGSEMSGGIANVTVEDVRIWESRRGLRIKTAIGRGGYIRDISYRNITFDNVRAGIVIKVDYNEHADDGYDRDAFPDITNISFKEIHGRGVRVPVRAHGSSDIPIKDISFQDMSIGISYKKKHIFQCSFIEGRVIGSVFPKPCENLDLYNEQGQLVKRAAMTWTLGGARRLESKWKLHGYMTRSSGVKAVNKRSIMKQGWTELEEVSLAYCICVATDH >ORUFI02G36340.1 pep chromosome:OR_W1943:2:31254616:31255412:-1 gene:ORUFI02G36340 transcript:ORUFI02G36340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPVVASPPEMEKKYKGVRRRKWGKWVSEIRLPNSRDRIWLGSYDSPEKAARAFDAAFVTLRGHGAAGADLNFPDSPPSCDARSSDPRQVQAAALSHANRAHVTPQQAAAALMSPPSLSPPPGFATGSEVVAPAVVAPAVRADGSIDWRPVMAHPPPLYSPPGWGGGHAYDFLQPPPPSPPLPSCDDDMVDVVDESSASLWSFDTRDSYFRY >ORUFI02G36350.1 pep chromosome:OR_W1943:2:31267005:31269301:-1 gene:ORUFI02G36350 transcript:ORUFI02G36350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVQFSAAGVGAVAFATKGMASRDALRLPPPAAVRVLRQAPRPSRGLVVRAAAASVAPKYTTLKPLADRVLVKIKSAEQKTTGGILLPSAAQSKPQGGEVVAIGEGRTVGDNKVEVSIQVGSQVVYSKYAGTEVELNDSNHLILKEDDIIGILETDDAKDMKPLSDRVLIKVAEAEDKTPGGLLLTETTKEKPSIGTVVAVGPGPLDEEGKRIPLSVSAGSTVLYSKYAGSEFKGSDGTSYIVLRVSDLMAVLS >ORUFI02G36360.1 pep chromosome:OR_W1943:2:31270013:31276735:1 gene:ORUFI02G36360 transcript:ORUFI02G36360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGASPSCAAVAGWTVTAVLLQPTLPGFSGAESYRMPSCGLAGGGEQPALPPDQLRSLYRELSEVPPVYDRLVLMVIDGLPAEFVLGRVGKPPSKEMVESMPYTQSLLAGCKATGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLDDNLLDQLHMIGYKLVMLGDETWIKLFPKLFWRQDGVSSFYVKDTVEVDFNVSRHLESEFAAKDWNMLILHYLGLDHVGHIGGRQSVLMPQKLKEMDDVIRRVHNAVSGLEDNLDRTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVQSSYCSPYDQNEALQVDLAPTLSLLFGTPIPKNNIGVVLPELIAMSISILSQKMLVVVVGLPPPPPNYHQKLRTLELNSLQFLRLLQAQLPAFCFEDCINSKYGLGIDKIPQSVEKKLCHLLSKAFDSHHPSHLHQTSNVKSIEAGCNRTAVNAYYEFLRYASEWLSHRATNKPFYLLVSAISLMIVSCLSLMGIVSCLLKGKSLSQFEHHSEWNSDYHWHLDEVFIIMGIFLYVSSLGSSSFVEEEQYTWHYLTSTLYLIFLIKTTQSMLRESNSAVARAEGKIFHGNDCSYFTSCKLIPSMRDGYKLCTIIIILVSGRILRAWHQGGVNWVHFPDISKSLAQADSFVVKALQIISVLAVVVFFTPVTETKEAEYSAQPTSSGSSPKTAIHLQGINHSMFLIGITYAAFWCLLQLLLQQPINAIPLLLIFLQIISSIMHFSLDKSLHKKWVQIVAMQLLGLSGHFGLGNTNNLASIDVAGAFIGISSYSTVLSGILMFMITYGSPLLLYLGMVFYISVINSDDISTPHQSKWSCILDKMITLPCLLPLLINSIALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVCTCAGVFIIAVTAVYTCTVFSFRMRNYKDKSV >ORUFI02G36360.2 pep chromosome:OR_W1943:2:31270013:31276735:1 gene:ORUFI02G36360 transcript:ORUFI02G36360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGASPSCAAVAGWTVTAVLLQPTLPGFSGAESYRMPSCGLAGGGEQPALPPDQLRSLYRELSEVPPVYDRLVLMVIDGLPAEFVLGRVGKPPSKEMVESMPYTQSLLAGCKATGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLDDNLLDQLHMIGYKLVMLGDETWIKLFPKLFWRQDGVSSFYVKDTVEVDFNVSRHLESEFAAKDWNMLILHYLGLDHVGHIGGRQSVLMPQKLKEMDDVIRRVHNAVSGLEDNLDRTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVQSSYCSPYDQNEALQVDLAPTLSLLFGTPIPKNNIGVVLPEVFNSLTDQQKLRTLELNSLQFLRLLQAQLPAFCFEDCINSKYGLGIDKIPQSVEKKLCHLLSKAFDSHHPSHLHQTSNVKSIEAGCNRTAVNAYYEFLRYASEWLSHRATNKPFYLLVSAISLMIVSCLSLMGIVSCLLKGKSLSQFEHHSEWNSDYHWHLDEVFIIMGIFLYVSSLGSSSFVEEEQYTWHYLTSTLYLIFLIKTTQSMLRESNSAVARAEGKIFHGNDCSYFTSCKLIPSMRDGYKLCTIIIILVSGRILRAWHQGGVNWVHFPDISKSLAQADSFVVKALQIISVLAVVVFFTPVTETKEAEYSAQPTSSGSSPKTAIHLQGINHSMFLIGITYAAFWCLLQLLLQQPINAIPLLLIFLQIISSIMHFSLDKSLHKKWVQIVAMQLLGLSGHFGLGNTNNLASIDVAGAFIGISSYSTVLSGILMFMITYGSPLLLYLGMVFYISVINSDDISTPHQSKWSCILDKMITLPCLLPLLINSIALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVCTCAGVFIIAVTAVYTCTVFSFRMRNYKDKSV >ORUFI02G36360.3 pep chromosome:OR_W1943:2:31270013:31276735:1 gene:ORUFI02G36360 transcript:ORUFI02G36360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGASPSCAAVAGWTVTAVLLQPTLPGFSGAESYRMPSCGLAGGGEQPALPPDQLRSLYRELSEVPPVYDRLVLMVIDGLPAEFVLGRVGKPPSKEMVESMPYTQSLLAGCKATGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLDDNLLDQLHMIGYKLVMLGDETWIKLFPKLFWRQDGVSSFYVKDTVEVDFNVSRHLESEFAAKDWNMLILHYLGLDHVGHIGGRQSVLMPQKLKEMDDVIRRVHNAVSGLEDNLDRTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVQSSYCSPYDQNEALQVDLAPTLSLLFGTPIPKNNIGVVLPEVFNSLTDQQKLRTLELNSLQFLRLLQAQLPAFCFEDCINSKYGLGIDKIPQSVEKKLCHLLSKAFDSHHPSHLHQTSNVKSIEAGCNRTAVNAYYEFLRYANYHWHLDEVFIIMGIFLYVSSLGSSSFVEEEQYTWHYLTSTLYLIFLIKTTQSMLRESNSAVARAEGKIFHGNDCSYFTSCKLIPSMRDGYKLCTIIIILVSGRILRAWHQGGVNWVHFPDISKSLAQADSFVVKALQIISVLAVVVFFTPVTETKEAEYSAQPTSSGSSPKTAIHLQGINHSMFLIGITYAAFWCLLQLLLQQPINAIPLLLIFLQIISSIMHFSLDKSLHKKWVQIVAMQLLGLSGHFGLGNTNNLASIDVAGAFIGISSYSTVLSGILMFMITYGSPLLLYLGMVFYISVINSDDISTPHQSKWSCILDKMITLPCLLPLLINSIALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVCTCAGVFIIAVTAVYTCTVFSFRMRNYKDKSV >ORUFI02G36370.1 pep chromosome:OR_W1943:2:31277540:31280105:-1 gene:ORUFI02G36370 transcript:ORUFI02G36370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASSSSPAPAPEAAAAGRRVPEAAELKLRRRTLETVLEQCQRALEMMREADLGIAVSEEEEEEEGADAVAANPEVGGSDGCDEEGAPPPSPPSEADYETDELCDLLKSRVASPEFLEKLDNIQKSVYQNGAVDETISWDIISAADIWDDIDKGMNISDDSEDGYVLIKQEDIVDGIASFMAAYLLSLKQTKDLTPNQLQQEKLNSHLPFLPALSKTFSAKKRKSKLQKAWDGTKVIYNIASWSATAIGIYQNPAILKAATAAFWTSCRVASKFL >ORUFI02G36380.1 pep chromosome:OR_W1943:2:31282742:31286870:-1 gene:ORUFI02G36380 transcript:ORUFI02G36380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHHKPGLRVRLRITAARRRAWLSAGLQPACRKPPRRDPSDSVHKVARREIGGGHRRPPRPAAPSSSAFSCPEKFRNFQLQEEYDTYDDEVHFLVQLPFLWSRTKIIEIVAAKDVIFALAQSGLCGAFNRGKSHSSMLTGFAFVRFMFLTKLLLMLAATNKRICYLNISPDEVIRSLFYNKNNESLITVSVYESDRFSSLKCRTTPIEYIRRGQLNDGFPLFETESLKYPGFVEFDDVNGKVLTFSAQDSTYKVFDLKNYNFLYSICDKNIQEIKISPGIMLVIYQKSANHVPLKILSIEDGTPLKTFTQLLHRSRKVDFIEQFNEKLLVKQDKENLQIIDVRNSNLIEVNKTEFMTPSAFIFLYENNLFLTFCNRTVAAWNFRGELVTSFDDHELWHSNCNTNNIYITADQDLIISYCKASKEVRDSGGCEGIAPPTGSINMSNIFTGKCVAKISPLDPTLTIAPRKRGDSSRSTIRSTVSDALEDITALFYDEDRNEIYTGNSKGLVHVWSN >ORUFI02G36390.1 pep chromosome:OR_W1943:2:31290713:31296822:1 gene:ORUFI02G36390 transcript:ORUFI02G36390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDGGEVDLWAMAAELERQFAGYKQRRAERSGAPRGDDDGADARGGGGEEEEEEEEEEGDGDGGDVRGRRYEAYTRRRDERLREREGWRARMERKEAEVRALWAQLERRAAGCATSTFTATDDDGGGAAGVREKAGKDGEKRRRSDVAAPASRISGKKHARTRSFSSTATKSSLPDAGARRALSQEPPPPPTSERPTTAGAGSHRVARVTGGGATTTAPKPRVFSGHRSSTAKEHGSSSAKGGTTKPKPPRSLPRRSSSGGLENLKEAVLSNTCAAVAPAQSCSTEQATVHGETGNASPPSPFAGAAAANARAASPDSDCGEAVDGGSYDREAEAKRVGEHDAEEVTVSPQKLANGEITSDSDTEPSYVYVKKDDVEGEEDAMARRSEALAVSDAKPAELEVEKNNSDAAARGEETTAPPSDAVAAESATTIVAEEAPARESSDESSSSSSSSFSGIRSGRGSPPSSAPASYISRAPSIERLLEEDAALLRKKRQQSADKLALMAMTTTTMSTPPARVSGAARSRGFKSFLSFGKKNRRGKDVTVIDCTSPSVPSVADDDSGSGGWPSGETIKPRMASSDAASDDMDHGYAIAASPQGCSLQSLVVASPAKSELHEIDPQEKSPKAHRSFFSFRSFNCGRS >ORUFI02G36400.1 pep chromosome:OR_W1943:2:31299838:31307310:1 gene:ORUFI02G36400 transcript:ORUFI02G36400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDMGELPQTPRSATGDDELSLMDGEPDLAAAVLARLGGSPREDDQHLCATAAAMAQAVRDQGVAATPVAYFAAAAAALAPLARAGAGAADRHVSGALLAFLSAAVPALPPAVARARGREVADDVVRVLEFPSTPDSGVRAGVRCLAHLISAGEKANWEAVEPLYGVVLRLAVDPRPKVRKQSHSCLRDILLSFQRQAVLVPASEGITRCFERFLLLAGGSNAVNTDVAEGGPKGAKEVLYILNALKCCLPLMSSKPSNTIIKYFKALLDLHQPILTRSILEILHAVGDSPTVQLKSDVLLDIVCSLGLSVSVERKSGDEMASIARLLNVATRKIYNQNKNICVVKLPLVFTSLGDILASEFEEARFSAVEAFKSLIEDCIDESMILQGITQIKSRHPGIRSDPTTIEKICAILEGLLNVRYGDVWDKSFHVISMAFDKLGESSADLLPEALKNLADMQNLSDDDFSFRKQLDSCLGSAVAAMGPKNVLKILQIHSISDENEWIFPILEKHIVGASLQFFLTDIRDIIRAVEKNIPKLLKEDKLFSAKRAEGYVYSLWSLLPSCCNYARDTSIHFRALQNVLCDTLKNQLDLRGIICSSIQVLIKQNKEALSVPVEEAILAEDEISKSERRAKERYTKEFAEENLKAIRAFSSKFLEVLCSIFLASSNDAIGLLQPAISDIASISEKDTVGRFFLDAIRKLLDATKAVNAEQKNDSSMQIEANSNTNNMARALLLDFAASLMPGLAAKSINVLFSYVKPAIKDTDSLIQKRAYKVLSMLLKDTEFIERNLDTLLGLMISSLPCQFPSKRYRLECLHHLIVYILKDSSKLGKREIIGSFLTEILLALKEANKKTRNRAYDLLIEIGRACEDAENDGRKENLHQFFGMVAGGLAGQTPYAISASVTGLARLTYEFSELIGVAYKLLPSTFLLMQRNNREIVKANLGFIKALVAKSKADVLHEHLKGVVEGLLSWQTDTKNSFKAKIKSLMEILVKKCGLDAVKAVMPEEHIKLLTNIRKINERKMRKSKSSEDGDNMSMTSRATRQSRWNHTQMFSDFGSDEDDSNGPFSAQHTVASRTGTKASTRSTRKRQADKSLLEKFIDQSTGEPLDLLDQKTVRLALKSTKKRAMPDEDDDEVEMDPEGRIIVREERERRKKKQPISRDDEADDRSMVRSQSVKRRKTTSSGWAYTGHDYTSKKASGDLKKKDKMDPYAYWPLDRKLLNRRSDRKAAARKGMSSVMKVTKKLEGKSAASALAAKRTQTKNRKQKKSK >ORUFI02G36410.1 pep chromosome:OR_W1943:2:31314668:31319751:-1 gene:ORUFI02G36410 transcript:ORUFI02G36410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSLYPTSGGSLSQNQIQGGNNSLGSMGMLHDASDGAPFDMSDFPQLTGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGYKGNTTDYAMELHHKEQLHDNVPVMQAQQYPMSRSVGFNLGSNYPPNRQQHQQGANSVQNAGPPNIGLRPLNSPNQTSSLGSYEQLIQQYQQPQAQNPFRLQQVSSATQSYRDQSLKSIQGGQTPSDPYGLMGLLGVIRMNDVDLSSLALGIDLTTLGLNLNSPDNLYKTFGSPWSNEPAKGEPEFHTPACYSAEQPPLLQPIHFQKFQTPTLFYIFYSMPRDEAQLCAASELYTRGWFYHKEVRVWLTRIPNVEPLVKTPHYERGSYGCFDPNNWETIRKDNFVLHYDQIEKKPAIPSSQNVR >ORUFI02G36420.1 pep chromosome:OR_W1943:2:31328621:31329751:1 gene:ORUFI02G36420 transcript:ORUFI02G36420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELFDTAVTSLLHLPEVLDRLGAAAGDRRSAGDHAHHAAHGHGQHRISGIGGGAPVDIMETPGEYAFVLDVPGLSKSDIQVTLEEDRVLVMKSSNGAGNGKRKREEEEGECKYIRLERRASPRAFARKFRLPEDADTSGISARCENGVLTVTVKKRPPPEKKTKSVQVTIA >ORUFI02G36430.1 pep chromosome:OR_W1943:2:31336490:31337216:1 gene:ORUFI02G36430 transcript:ORUFI02G36430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLARVLKENPSSQKSLFLKELIDAYNNMGMLELELDNYEEAEKLLVQGLKICDEEEVHQYDDARSRLHHNLGNVYIELRNWNRAKGHIEKDIEICRKIRHTQGEAKGFINLGEVHSRVQKYEDAKLCYNKALQITKCLEDEDALMDQIHQNIETVTKAAKGQALAKVNIGNVLDSCGDWAGALQAYEEAYRQCLYAMLL >ORUFI02G36440.1 pep chromosome:OR_W1943:2:31342722:31354829:1 gene:ORUFI02G36440 transcript:ORUFI02G36440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVAVEQEAACLQSFELYESESRFYIFGTNTGKTHWRLLKINRSEPSDLDLHECCTVYTQSEYHELLKNLDEDHRLTGGVKFVTKFYGIIGFIKFVGPFYMLIITEQRKIGEIFDHPVYQVTKTSMVELANSKTRSRFLNSKDENRYKKILNTLDLRKDFFFSYSYHIMRSLQKNLSDPQEGWNIYESTFVWNEFLTQGIRNFLGSTLWTVALVYGFFKQDKISISGKDIMFKLIARRSRHFAGTRYLKRGVNEKGRVANDVETEQIVYGAGPRPTEETSKMNIKPDIILHQKGENYEATRLHFENLRRRYGDPIIILNLIKKRERRESILRHEFDRAIRIINKSIPEENHLRFLHWDLHENSRGKPTNVLDVLLKVAFRALRLTEFFYCQLAPSTGSDTAHHWPSLLSGLDPFLCEENSNSDNTDCTEIVGDISQEDISGSSDSSCNGTTEDKAENNESPPLKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHVLGSVESPELGLDDPLAHHLMHFYERMGDTLAVQYSGSAAHNKIFSAKRGHLKLFIRSQEFFRTLQRHYSNTCIDANKQAAINLFLGYFQPQEGKPALWELESSSVDHIAVHARTIKRVRSDGSILYGSNTSISGCSGCHNEDKELLNAAPLDVKSGSQFPVLESDSVHGNEISLTCESEVSNLRYTPMIPQTHHVPGGVETESSIHSGDSNFLDLEWLSTSGNSSDERSIAISTPDVNLSAENVISGINSETMENQDADIYTQNLPEHFVQWVNHGDTFWY >ORUFI02G36450.1 pep chromosome:OR_W1943:2:31352474:31354669:-1 gene:ORUFI02G36450 transcript:ORUFI02G36450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIHHLKGHPEGSRRATEGLLWPEKKKPRWGGGGRRHFGGFVEEDDEDFEADFEEFEVDSGDSDLELGEEDDDDVVEIKPAAFKRALSRDNLSTITTAGFDGPAAKSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNSAEEAARAYDAEARRIRGKKAKVNFPEAPTTAQKRRAGSTTAKAPKSSVEQKPTVKPAFNNLANANAFVYPSANFTSNKPFVQPDNMPFVPAMNSAAPIEDPIINSDQGSNSFGCSDFGWENDTKTPDITSIAPISTIAEVDESAFIKSSTNPMVPPVMENSAVDLPDLEPYMRFLLDDGAGDSIDSLLNLDGSQDVVSNMDLWSFDDMPVSDFY >ORUFI02G36460.1 pep chromosome:OR_W1943:2:31361025:31371555:1 gene:ORUFI02G36460 transcript:ORUFI02G36460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGGRWKKEEEEELKAAKRGYREAVAEGNREEEARWANVIGDIHKRRGEYVEALRWLRIDYEVSVKYLPQRHLLPSCQSLGEVHLRLGNFSEALTYQKKHLQLAKEADDLVEQQRASTQLGRTYYEILLRSENDHSAIRNAKKYFKSSMKLARVLKENPXEDALMDQIHQNIETVTKAAKVLEEMKTDEQKLKKLVRDTSNARGTSKERKLLLEQYAWLDNLMEKARMITAWPKLKEFSKGQKRVANELHDKEKQSNSLLVIGESYQKLRNFSKARKWCMKSWNMYRSIGNLEGQALAKVNIGNVLDSCGDWAGALQAYEEAYRQCLYAMLLISVEGGLSNVQLDALENMHYSHMVRFDNIEEAKKLQQEIDSLKRMSDQHEARDTVSDYCSETESEDGNVSDNILNTEDNDGNIANNISEEFDDDVVLASLVHKSKSSKTKASKIHSSPKNVDESCDMDGSPEEVVSKSFSNHSGRKRVRVVISDDEAEEAPEIDQSKRTLTGRADSLSTSERIANAANRNRNQHTSHPIETKEVDSVCTPCPAEESICSFKSGSPVCHGNDGPDLGASSIGKLSVSKPAASGSKVGTHASNSRPQCQNAVGLQSSDADHKFWVFKIGELLVYLDANACTCEGAFSIECLKVEVACVYYLQIPDEKRSKGLLPIIGELKCCGKVLDDTDSRDYIDQLASEQKCIDVVIDDWVPKRLMKLYVDFCTKLSEAPNKKLLKKLYNLEVSEDEVIVSDCGLQDLSITPFLDALRLHKTIAVLDLSHNMLGNQTIERLQQIFSSSSQTYGGLTLDLHCNRFGPTALFQICECAVMTNRLEVLNLSGNRLTDACGSYLFTILQKCKALYSLNVEQCSITSRTVQKMADALHEGSALSHLSLGNNNPISGNTMLSLLSKLASLKRFSELSLTGIKLSKLMVDKLCVLAQSSCLSGFLLGGTYIGSGGATKLTEALSCASQELLRLDLSNCGLTTPDFSQLCTNLSQINIVDLNLGGNSFTLEECDAIRALLSNPQCSLRSLTLDRCNLGLAGTVGIIQALAGNDQLEELRVAENTNLALQRTLQYDEDAQDVSPGTDQNQRTNAEANDHIDPDKMEVPDSEDEEAVHEDTRAATGPDGSCASSCQRNSSSGCHAIQELADAIISAKQLKVLDLSRNGLSEEDIQSLYSAWASGPRGDGMARKHVAKEVVHFAVDGMNCCGLKPCCRRDLQM >ORUFI02G36460.2 pep chromosome:OR_W1943:2:31361025:31371555:1 gene:ORUFI02G36460 transcript:ORUFI02G36460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGGRWKKEEEEELKAAKRGYREAVAEGNREEEARWANVIGDIHKRRGEYVEALRWLRIDYEVSVKYLPQRHLLPSCQSLGEVHLRLGNFSEALTYQKKHLQLAKEADDLVEQQRASTQLGRTYYEILLRSENDHSAIRNAKKYFKSSMKLARVLKENPXEDALMDQIHQNIETVTKAAKVLEEMKTDEQKLKKLVRDTSNARGTSKERKLLLEQYAWLDNLMEKARMITAWPKLKEFSKGQKRVANELHDKEKQSNSLLVIGESYQKLRNFSKARKWCMKSWNMYRSIGNLEGQALAKVNIGNVLDSCGDWAGGLSNVQLDALENMHYSHMVRFDNIEEAKKLQQEIDSLKRMSDQHEARDTVSDYCSETESEDGNVSDNILNTEDNDGNIANNISEEFDDDVVLASLVHKSKSSKTKASKIHSSPKNVDESCDMDGSPEEVVSKSFSNHSGRKRVRVVISDDEAEEAPEIDQSKRTLTGRADSLSTSERIANAANRNRNQHTSHPIETKEVDSVCTPCPAEESICSFKSGSPVCHGNDGPDLGASSIGKLSVSKPAASGSKVGTHASNSRPQCQNAVGLQSSDADHKFWVFKIGELLVYLDANACTCEGAFSIECLKVEVACVYYLQIPDEKRSKGLLPIIGELKCCGKVLDDTDSRDYIDQLASEQKCIDVVIDDWVPKRLMKLYVDFCTKLSEAPNKKLLKKLYNLEVSEDEVIVSDCGLQDLSITPFLDALRLHKTIAVLDLSHNMLGNQTIERLQQIFSSSSQTYGGLTLDLHCNRFGPTALFQICECAVMTNRLEVLNLSGNRLTDACGSYLFTILQKCKALYSLNVEQCSITSRTVQKMADALHEGSALSHLSLGNNNPISGNTMLSLLSKLASLKRFSELSLTGIKLSKLMVDKLCVLAQSSCLSGFLLGGTYIGSGGATKLTEALSCASQELLRLDLSNCGLTTPDFSQLCTNLSQINIVDLNLGGNSFTLEECDAIRALLSNPQCSLRSLTLDRCNLGLAGTVGIIQALAGNDQLEELRVAENTNLALQRTLQYDEDAQDVSPGTDQNQRTNAEANDHIDPDKMEVPDSEDEEAVHEDTRAATGPDGSCASSCQRNSSSGCHAIQELADAIISAKQLKVLDLSRNGLSEEDIQSLYSAWASGPRGDGMARKHVAKEVVHFAVDGMNCCGLKPCCRRDLQM >ORUFI02G36460.3 pep chromosome:OR_W1943:2:31361025:31371555:1 gene:ORUFI02G36460 transcript:ORUFI02G36460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGGRWKKEEEEELKAAKRGYREAVAEGNREEEARWANVIGDIHKRRGEYVEALRWLRIDYEVSVKYLPQRHLLPSCQSLGEVHLRLGNFSEALTYQKKHLQLAKEADDLVEQQRASTQLGRTYYEILLRSENDHSAIRNAKKYFKSSMKLARVLKENPXEDALMDQIHQNIETVTKAAKVLEEMKTDEQKLKKLVRDTSNARGTSKERKLLLEQYAWLDNLMEKARMITAWPKLKEFSKGQKRVANELHDKEKQSNSLLVIGESYQKLRNFSKARKWCMKSWNMYRSIGNLEGQALAKVNIGNVLDSCGDWAGALQAYEEAYRQCLYAMLLISVEGGLSNVQLDALENMHYSHMVRFDNIEEAKKLQQEIDSLKRMSDQHEARDTVSDYCSETESEDGNVSDNILNTEDNDGNIANNISEEFDDDVVLASLVHKSKSSKTKASKIHSSPKNVDESCDMDGSPEEVVSKSFSNHSGRKRVRVVISDDEAEEAPEIDQSKRTLTGRADSLSTSERIANAANRNRNQHTSHPIETKEVDSVCTPCPAEESICSFKSGSPVCHGNDGPDLGASSIGKLSVSKPAASGSKVGTHASNSRPQCQNAVGLQSSDADHKFWVFKIGELLVYLDANACTCEGAFSIECLKVEVACVYYLQIPDEKRSKGLLPIIGELKCCGKVLDDTDSRDYIDQLASEQKCIDVVIDDWVPKRLMKLYVDFCTKLSEAPNKKLLKKLYNLEVSEDEVIVSDCGLQDLSITPFLDALRLHKTIAVLDLSHNMLGNQTIERLQQIFSSSSQTYGGLTLDLHCNRFGPTALFQICECAVMTNRLEVLNLSGNRLTDACGSYLFTILQKCKECVLCLHIVTALYSLNVEQCSITSRTVQKMADALHEGSALSHLSLGNNNPISGNTMLSLLSKLASLKRFSELSLTGIKLSKLMVDKLCVLAQSSCLSGFLLGGTYIGSECDAIRALLSNPQCSLRSLTLDRCNLGLAGTVGIIQALAGNDQLEELRVAENTNLALQRTLQYDEDAQDVSPGTDQNQRTNAEANDHIDPDKMEVPDSEDEEAVHEDTRAATGPDGSCASSCQRNSSSGCHAIQELADAIISAKQLKVLDLSRNGLSEEDIQSLYSAWASGPRGDGMARKHVAKEVVHFAVDGMNCCGLKPCCRRDLQM >ORUFI02G36460.4 pep chromosome:OR_W1943:2:31361025:31371555:1 gene:ORUFI02G36460 transcript:ORUFI02G36460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGGRWKKEEEEELKAAKRGYREAVAEGNREEEARWANVIGDIHKRRGEYVEALRWLRIDYEVSVKYLPQRHLLPSCQSLGEVHLRLGNFSEALTYQKKHLQLAKEADDLVEQQRASTQLGRTYYEILLRSENDHSAIRNAKKYFKSSMKLARVLKENPXEDALMDQIHQNIETVTKAAKVLEEMKTDEQKLKKLVRDTSNARGTSKERKLLLEQYAWLDNLMEKARMITAWPKLKEFSKGQKRVANELHDKEKQSNSLLVIGESYQKLRNFSKARKWCMKSWNMYRSIGNLEGQALAKVNIGNVLDSCGDWAGALQAYEEAYRQCLYAMLLISVEGGLSNVQLDALENMHYSHMVRFDNIEEAKKLQQEIDSLKRMSDQHEARDTVSDYCSETESEDGNVSDNILNTEDNDGNIANNISEEFDDDVVLASLVHKSKSSKTKASKIHSSPKNVDESCDMDGSPEEVVSKSFSNHSGRKRVRVVISDDEAEEAPEIDQSKRTLTGRADSLSTSERIANAANRNRNQHTSHPIETKEVDSVCTPCPAEESICSFKSGSPVCHGNDGPDLGASSIGKLSVSKPAASGSKVGTHASNSRPQCQNAVGLQSSDADHKFWVFKIGELLVYLDANACTCEGAFSIECLKVEVACVYYLQIPDEKRSKGLLPIIGELKCCGKVLDDTDSRDYIDQLASEQKCIDVVIDDWVPKRLMKLYVDFCTKLSEAPNKKLLKKLYNLEVSEDEVIVSDCGLQDLSITPFLDALRLHKTIAVLDLSHNMLGNQTIERLQQIFSSSSQTYGGLTLDLHCNRFGPTALFQICECAVMTNRLEVLNLSGNRLTDACGSYLFTILQKCKALYSLNVEQCSITSRTVQKMADALHEGSALSHLSLGNNNPISGNTMLSLLSKLASLKRFSELSLTGIKLSKLMVDKLCVLAQSSCLSGFLLGGTYIGSECDAIRALLSNPQCSLRSLTLDRCNLGLAGTVGIIQALAGNDQLEELRVAENTNLALQRTLQYDEDAQDVSPGTDQNQRTNAEANDHIDPDKMEVPDSEDEEAVHEDTRAATGPDGSCASSCQRNSSSGCHAIQELADAIISAKQLKVLDLSRNGLSEEDIQSLYSAWASGPRGDGMARKHVAKEVVHFAVDGMNCCGLKPCCRRDLQM >ORUFI02G36470.1 pep chromosome:OR_W1943:2:31371831:31373089:1 gene:ORUFI02G36470 transcript:ORUFI02G36470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLKSLPSMQLVHHPSPSQTSKAMNQMAAMSSCHHLSSSISTPRFGTRKATMAKFVRAPVHSCSCSNAHLSQTAAPAKMVFEDQVRGVVCYRDDKGDMICEGYDEGPRLGMRLPEKACFPWPMGVQVTDFIELSTLRVFEDVDSLQPRKDQKGKL >ORUFI02G36480.1 pep chromosome:OR_W1943:2:31373275:31375372:-1 gene:ORUFI02G36480 transcript:ORUFI02G36480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALATPVAAVAANHGDTAAAKDNVAVVPRIAASAAAAASSVNVTAICMATPYPSACETALSSAAARGAANDPFAASVQFAMTRAESARALARNLSASSSRPRVAPSGMDDCAELLDISLDQLHDALAARAADAAGVTTWLSAALTNQGTCGDSLAAVPDPAARSAVRARVAALEQFIGTALALHAKLNNGGSGSSSPAPPSRAAFPSWVTKHDRHLLSSPASTIAPDAVVALDGSGTHTSISDAIAAVTAPPPPAHHPTASGGGGGGGSRKVIYVKAGRYEESVSITSKQKDVMLLGDGKGKTVISGHRSVAGGYTTYASATVAAMGSGFIAKGLTIVNSAGPGKGQAVALRVGGDLSVVYNCGIEAYQDTLYVHSNRQFYAADDISGTVDFIFGNAAAVIQGCEIRARRPSPGQEDTVTAQGRSDPNQNTGISIHRCRITGAPDLGGTPVYLGRPWRRYSRTVVMGTFLDRSIAPAGWLEWSGQFGLSTLYYGEYGNTGPGAGTRRRVTWSGVHTSMSTSDATRFTVANFIVGDAWLPATGVTYTSGL >ORUFI02G36490.1 pep chromosome:OR_W1943:2:31376325:31379601:-1 gene:ORUFI02G36490 transcript:ORUFI02G36490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFVRSLRRLGPAAADGRLLLPLAPLSSKAAAPPPEYEMPSVTWGVIQGRKERLVSRVLALDFLRSAGVSDPAGELEAVELPSSLEVLQERLDFLLRLGLSTDDLSAYPLLLACSLRKNAIPVLSYLEKLGVTRARLAAFVRAYPACLHASVAVDLTPVVKSLRGLDVDRQDLPRVLERYPDILGLKPDGTISTSVAYLVGIVGVAPRDIGPMVTHFPFFLGMRVGTTIKPLCEYITSLGLPMRILARILEKRPYILGYDLEETVKPNVEALLSFGIRKEMLPLVIAQYPPILGLPLKTKLAAQQYFFNLKLQIDPDAFACAIEKLPQLVSLHQNIILKLVEFLRGRGISNEDVARMVVRCPQILLLRMELMKNSLYFFKSEMKRPISELLDYPEYFTYSLESRIKPRYMRVSTKGIRCSLDWFLNCSDQRFEERMRGDFIEGDAPGPSFTMGGKLQMPGSQLVSDDDNAESDDEIWWRIIPKIFRDVLLTASCTQAIPPLSVNSCLVICICKLKFANHAGILNCKMKKALALMEHSHTNRGHWSAGLSNSSKGLTKVLYRTLLKKNSNNSPS >ORUFI02G36500.1 pep chromosome:OR_W1943:2:31401928:31403229:1 gene:ORUFI02G36500 transcript:ORUFI02G36500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTRSAKRMKRFSGACPCPLPDDIVDEILSHLPVKSLLRFRCVSRRFHATITSSHLFQEAHFLQRKQRNKHPPRLFIRPPFGPRQPFFAWQWQWQHLIPRPPVEEIMTARHLPHGTIFPLASKSCHGLVLLKITGHHTHYLWNPSTRHILRLPGTDNTPPPATYGLGYCSATRRHKVVRVVGCRCCSPATVVWEVLALDGDEPSPSSSWRPPAAASTTPPPRHWHYPRENWRRGAALCNGGDLHFLRGDGDIVTFNVTDESFGVSSLKPPPELQSRDDFELTELDGCLCVYTFTDVKLQLVDEEPESPTCEIWVTREAAAGSWEKLCSIEWVSVLPPDHSCLRWYWIAPIHMFSDGNNEEKKKKKIMFATGTGHVLVFDPSVGTLEIIFSPEDEAVGEYHDYSNNEVSTLGLFEESLALVGNTSENIVFSQP >ORUFI02G36510.1 pep chromosome:OR_W1943:2:31405513:31418723:-1 gene:ORUFI02G36510 transcript:ORUFI02G36510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKGGEDKFANCCHAPIHGHAAASYCRPRPRRRRLHSAQNQLLSRSRSSDAAFLSRGVVGPASPSHGQWRRRSRRVQIAQFFFFRLPILLVPPWKLEAGAHPFLESLRLRLHSAACYDSVVVSVPRQSENSDTLLGNGVVGILAETANMWERRAPLTPSHCARLLLGGGKRGTGVNRIIVQPSTKRIHHDAQYEDVGCEISKDLSECGLIIGIKQPKLEMILPHRAYGFFSHTHKAQKENMPLLDEILEKRVSLFDYELIAGDDGKRLLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAIGEEIATFGLPSGICPIVFVFTGTGNVSQGAQEIFKLLPHSFVDAGKLPELSAARSLSQHPQSSKRVFQLYGCVVSSRDMVTPKDPTRCFNKADYYAHPEHYKPVFHERIAPYASAIVNCMYWERRFPRLLSIDQLQQLMKNGCPLVGISDITCDIGGSIEFVNKSTSIERPFFRYDPCTNSCHDDMEGNGVICLAVDILPTEFSKEASQHFGDILSKFVARLASAKELLELPSHLRKACIAHAGRLTSLYEYIPRMRKTIIELPPAPTNLLPDKKYNSLVSLSGHLFDKFLINEALDIIETAGGSFHLIRCDVGQSIDDMSYSELEVGADDTATLDKIIDSLTSLANAHGDPNARREIELSLKIGKVNECGTDDSMAKEGSKVLILGAGRVCRPAAEFLASYSNIFSSSAYDHDIDQIHVIVASLYQKDAEETIDGIRNATAAQLDVADIKNLSNLVSQMKKHLVTASYVDESMSKLEQSAEGAGVTILCEMGLDPGIDHMMSMKMIDEAHSRKGKIKSFTSFCGGLPSPASANNPLAYKFSWSPAGAIRAGRNPAVYKFHGEIIHVDGDKLYESAKRLRLPELPAFALEHLPNRNSLMYGDLYGISKEASTVYRATLRFSEIMATFAKIGFFDAASHPLLQQTTRPTYRDFLVELFNACNISTTARKEYSEVSGGQDGELISRLLSFGHCKDKEIAAKTVKTIKFLGLYEETQIPENCSSAFDVICQRMEQRMAYIHNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGRPTTAMALTVGIPAAIGALLLLQNKIQKKGVIRPLEPEIYIPALEILESSGIKLAERVET >ORUFI02G36510.2 pep chromosome:OR_W1943:2:31405577:31418723:-1 gene:ORUFI02G36510 transcript:ORUFI02G36510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKGGEDKFANCCHAPIHGHAAASYCRPRPRRRRLHSAQNQLLSRSRSSDAAFLSRGVVGPASPSHGQWRRRSRRVQIAQFFFFRLPILLVPPWKLEAGAHPFLESLRLRLHSAACYDSVVVSVPRQSENSDTLLGNGVVGILAETANMWERRAPLTPSHCARLLLGGGKRGTGVNRIIVQPSTKRIHHDAQYEDVGCEISKDLSECGLIIGIKQPKLEMILPHRAYGFFSHTHKAQKENMPLLDEILEKRVSLFDYELIAGDDGKRLLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAIGEEIATFGLPSGICPIVFVFTGTGNVSQGAQEIFKLLPHSFVDAGKLPELSAARSLSQHPQSSKRVFQLYGCVVSSRDMVTPKDPTRCFNKADYYAHPEHYKPVFHERIAPYASAIVNCMYWERRFPRLLSIDQLQQLMKNGCPLVGISDITCDIGGSIEFVNKSTSIERPFFRYDPCTNSCHDDMEGNGVICLAVDILPTEFSKEASQHFGDILSKFVARLASAKELLELPSHLRKACIAHAGRLTSLYEYIPRMRKTIIELPPAPTNLLPDKKYNSLVSLSGHLFDKFLINEALDIIETAGGSFHLIRCDVGQSIDDMSYSELEVGADDTATLDKIIDSLTSLANAHGDPNARREIELSLKIGKVNECGTDDSMAKEGSKVLILGAGRVCRPAAEFLASYSNIFSSSAYDHDIDQIHVIVASLYQKDAEETIDGIRNATAAQLDVADIKNLSNLVSQMKKHLVTASYVDESMSKLEQSAEGAGVTILCEMGLDPGIDHMMSMKMIDEAHSRKGKIKSFTSFCGGLPSPASANNPLAYKFSWSPAGAIRAGRNPAVYKFHGEIIHVDGDKLYESAKRLRLPELPAFALEHLPNRNSLMYGDLYGISKEASTVYRATLRFSEIMATFAKIGFFDAASHPLLQQTTRPTYRDFLVELFNACNISTTARKEYSEVSGGQDGELISRLLSFGHCKDKEIAAKTVKTIKFLGLYEETQIPENCSSAFDVICQRMEQRMAYIHNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGRPTTAMALTVGIPAAIGALLLLQNKIQKKGVIRPLEPEIYIPGKCKSNQTPLVAYGYRTQQRRWVADTCV >ORUFI02G36510.3 pep chromosome:OR_W1943:2:31405513:31411374:-1 gene:ORUFI02G36510 transcript:ORUFI02G36510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIARKGFLVLGGVNAKTTPPRRGTAPKDVVVVMPAHGLARLSPAIPEQSPTQPSRTTTIAGPPRYLFNAQAQPTRPRSSSCQTSVTACTGLLLAQHRRPQLHATGHGRAPAKASPTATSHTTGRRLALARGLVLLLPAHPARVANSQPTGDDGRREHGQSKPAPSGSQQISAATTPVLPPVPGCLAVLSRTASHLLRLYRRVAHRAGRIRGKKMEVGADDTATLDKIIDSLTSLANAHGDPNARREIELSLKIGKVNECGTDDSMAKEGSKVLILGAGRVCRPAAEFLASYSNIFSSSAYDHDIDQIHVIVASLYQKDAEETIDGIRNATAAQLDVADIKNLSNLVSQMKKHLVTASYVDESMSKLEQSAEGAGVTILCEMGLDPGIDHMMSMKMIDEAHSRKGKIKSFTSFCGGLPSPASANNPLAYKFSWSPAGAIRAGRNPAVYKFHGEIIHVDGDKLYESAKRLRLPELPAFALEHLPNRNSLMYGDLYGISKEASTVYRATLRFSEIMATFAKIGFFDAASHPLLQQTTRPTYRDFLVELFNACNISTTARKEYSEVSGGQDGELISRLLSFGHCKDKEIAAKTVKTIKFLGLYEETQIPENCSSAFDVICQRMEQRMAYIHNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGRPTTAMALTVGIPAAIGALLLLQNKIQKKGVIRPLEPEIYIPALEILESSGIKLAERVET >ORUFI02G36510.4 pep chromosome:OR_W1943:2:31411803:31418723:-1 gene:ORUFI02G36510 transcript:ORUFI02G36510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKGGEDKFANCCHAPIHGHAAASYCRPRPRRRRLHSAQNQLLSRSRSSDAAFLSRGVVGPASPSHGQWRRRSRRVQIAQFFFFRLPILLVPPWKLEAGAHPFLESLRLRLHSAACYDSVVVSVPRQSENSDTLLGNGVVGILAETANMWERRAPLTPSHCARLLLGGGKRGTGVNRIIVQPSTKRIHHDAQYEDVGCEISKDLSECGLIIGIKQPKLEMILPHRAYGFFSHTHKAQKENMPLLDEILEKRVSLFDYELIAGDDGKRLLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAIGEEIATFGLPSGICPIVFVFTGTGNVSQGAQEIFKLLPHSFVDAGKLPELSAARSLSQHPQSSKRVFQLYGCVVSSRDMVTPKDPTRCFNKADYYAHPEHYKPVFHERIAPYASAIVNCMYWERRFPRLLSIDQLQQLMKNGCPLVGISDITCDIGGSIEFVNKSTSIERPFFRYDPCTNSCHDDMEGNGVICLAVDILPTEFSKEASQHFGDILSKFVARLASAKELLELPSHLRKACIAHAGRLTSLYEYIPRMRKTIIELPPAPTNLLPDKKYNSLVSLSGHLFDKFLINEALDIIETAGGSFHLIRCDVGQSIDDMSYSELEGKLGENHNYNTTPTANLT >ORUFI02G36520.1 pep chromosome:OR_W1943:2:31411193:31412119:1 gene:ORUFI02G36520 transcript:ORUFI02G36520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRDGCVGLCSGIAGESLARPWAGMTTTTSLGAVPLLGGVVLALTPPSTKNPLRAMVVIGGFLQRLRSSTSLGITMKSKLLCHLGDKLGNDNIRDRDGWDHGNGTGAQHVIPTSVICSFCSLYQLLNS >ORUFI02G36530.1 pep chromosome:OR_W1943:2:31418528:31451551:1 gene:ORUFI02G36530 transcript:ORUFI02G36530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGRRRHGRGRRRRWTWTWTGVGSGRSGGDGDEDGDGNMKLQRGRRAGLRYSAREARVGGAEEGEARIYFVSKKEEEEEEEKHSIKKRAGSADTVYFSGLNGMRVYVLSGLLRFFNVIKSDHPTDDMKQEIST >ORUFI02G36540.1 pep chromosome:OR_W1943:2:31435100:31443758:-1 gene:ORUFI02G36540 transcript:ORUFI02G36540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIHQNIETVTKAAKVLEEMKIYEQKLKKLARDTSNARGTSKERKLLLEQYAWLANLMEKARMITAWPKLKEFSKGQKRVANELHDKEKQSDSLLVIGESYQKLRNFSKARKWCMKSWNMYRSIGNLEGQALAKVNIGNVLDSCGDWAGGLSNVQLDALENMHYSHMVRFDNIEEAKKLQQEIDNLKRMSDQHEARDTVSDYCSETESEDGNVSDNILNTEDNDGNIANNSSEEFDDDVVLASLVHKSRSSKTKASKMHSSTKNVDGSCGMDESPEEDVSKSFSNHYGRKRVRVVISDDEAEEAPEIDQSKRTLTGRADSLSTSERIANTANRNRNQHTSHPIETREVDSVCTPCPAEESICSFKSGSPVCHGNDGPDLGASSIGKLSVSKPAASGSKVGTLASNSRPQGQNAVGLQSSDADHKFWVFKIGEHLVYLDANACTREGAFSIESLKVEVACVYYLQIPDDKRSKGLLPIIGELKCCGKVLDDTDSRDYIDQLASEQKSIDVVIDDWVPKRLMKLYVDFCTKLSEAPNKKLLKKLYNLEVSEDEVIVSDCGLQDLSITPFLDALKLHKTIAVLDLSHNMLGNQTIERLQQIFSSSSQTYGGLTLDLHCNRFGPTALFQVFLLSLNLFIKVKLQICECAVMTNRLEVLNLSGNRLTDACGSYLFTVLQKCKECVFCLHIVTALYSLNVEQCSITSRTVQKMADALHEGSVLSHLSLGNNNPISGNTMLSLLSKLASLKRFSELSLTGIKLNKLMADKLCLLAQSSCLSGFLLGGTNIGSGCEAIGVLLSNPQCSLRSLTLDRCNLGLAGIVGIIQALAGNDRLEELRIAENTNLALQRTLQYDEDAQDVSPGTDQNQRTNAEANDRIDPDKMEVPDSEDEEAVYEDTRAATGSDGSCASSCQRNSSSGCHAIQELADAIISAKQLKVLDLSRNGLSEEDIQSLYSAWASGPRGVGMARRHVAKEVVHFAVDGMNCCGLKPCCRRDLQM >ORUFI02G36550.1 pep chromosome:OR_W1943:2:31448044:31453274:-1 gene:ORUFI02G36550 transcript:ORUFI02G36550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGGRWKKEEEEELKAAKRGAKKKHLQLAKEADDLVEQQRGSTQLGRTYYEILLRSENDHSAIRNAKKYFKSSMKLARGQWKTLTREFL >ORUFI02G36560.1 pep chromosome:OR_W1943:2:31456771:31457694:1 gene:ORUFI02G36560 transcript:ORUFI02G36560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNRKRKTASTGTPGDSSFSQFGGVADGRSVTWTAPSTTAVPMESIGRMDRSATPSRLDGNRSAGASGPLRI >ORUFI02G36570.1 pep chromosome:OR_W1943:2:31456809:31457225:-1 gene:ORUFI02G36570 transcript:ORUFI02G36570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTLRTKAASQEYEEERKNRGALDLGRKNKARWIFLCYLPQMRRGPDAPAERLPSRRLGVAERSIRPIDSIGTAVVLGAVQVTLRPSATEIQEKVESPTPNEKSSTDVLGHSPPPNWEKEESPGVPVEAFLTGGPC >ORUFI02G36580.1 pep chromosome:OR_W1943:2:31458432:31460403:1 gene:ORUFI02G36580 transcript:ORUFI02G36580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGNSKRSVGASRPLRIQVVLLGSGWLAESSSEEGRVGLGTPAPSQHPLPGGALTRRVDTSNLQEPLPTAVSKLPSQDKNASVIVIVPGWSSDDNNFSEAVKKLLFGRIGADHSVDQKVPPPAVRTVIVHIFGRYGPYLARHMEGLTAQAHLRRQEMTFEPNMCHRGIIKERVDELLEPARPSSPKCCSCDRPADWITSCCSLMLCSYCMPDKFHQKHKRGHSRELCRAQGAIGLLNPRSPLEEETRYLMKNDMLCKREIILQVEDEESGRTRECDIFVLRSMLPLADLWNRKRLNRLFTCGYHMRWNGVLDELFRTENKLSFMK >ORUFI02G36590.1 pep chromosome:OR_W1943:2:31468007:31469254:-1 gene:ORUFI02G36590 transcript:ORUFI02G36590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEPSPAPTMADLPADLLREIFRHLRCVADRDAAADVCRTWRGALAEPTPPPSPPRPLPWLLLPSAGDDFIHVYCFYCGIDRCSLHHRLSPAHGARCFGSHEGGWLFVAFEHNRLHAMINLRSREDSKSSLIPFPDLLRSYQDEDDYQRAQNMVILAAALSSSPGGTSCIGAGIVMRWDLIAGSCRLAFWRMGDRVAVEGTMAPDSTVRLRDEIQDVIYQDGAFRFVTRRGFLVTCIPMFYADGGLQGTTESVQRIRHRERLREHVHARYLVESRAKLLMIVRFAARPRSPTSLFKVFEMVQEMVQDYTGVEKIEDTWTELESLDGRLFFVGRGCTRSYESSAYPELGLGLKEGVYFLDDYVYADEGMPFRDEGHRRYPCSDNGRWCDGHVHRCFSEQRASSAHSSPTWXWLLP >ORUFI02G36600.1 pep chromosome:OR_W1943:2:31471371:31474770:-1 gene:ORUFI02G36600 transcript:ORUFI02G36600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEDDIMNEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >ORUFI02G36610.1 pep chromosome:OR_W1943:2:31475446:31476116:-1 gene:ORUFI02G36610 transcript:ORUFI02G36610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEMHIKPMQNAWSREKLQNYRKALKRWWSVGMLVLFTRMLQSEFINTSQIQYSIYQLTHLAETAWLILLRCLAFAFFSDAVVRQPDYGSHGMPRTCRSISSVHRLQSDGPDNNLTKSRRLLLFVAV >ORUFI02G36620.1 pep chromosome:OR_W1943:2:31477642:31478112:-1 gene:ORUFI02G36620 transcript:ORUFI02G36620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATAATAGCHHLLLPTASRPCGAPRLARGAAAAAAAAGHVSVVGRRPLEEVYKVRVERGAAARERAEALRAMETWSTWRTGGRCRMPWDWHVDQLVYIVSGEVRVLPAEATTGEEYMHFVAGDLVRYPKWFEADLYFDGPYEERYRFLAYGDDN >ORUFI02G36630.1 pep chromosome:OR_W1943:2:31480393:31503239:-1 gene:ORUFI02G36630 transcript:ORUFI02G36630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFSACLVPVAVLALFYLVIFPNDLSQLKSALAPCDAASKSVAAAAAADDDVDFRMFFGILTRPDFYERRALLRMAYALQPPPRRAAIDVRFVMCSLDKEEDAVLVALEIITHGDILVLNCTENMNDGKTYDYFSALPRLFPAGAEPRYDFAGKIDDDTYYRLGALADTLRRKPRRDMYHGFLNPCHIDPAWQYMSGMGYIVSWDVAEWIAASPELRGREIGYEDDVFGRWLRGAGKGKNRFGEEPRMYDYLDREMYGADVNCFRHELIADTVAVHKLKDRLKWARTLRFFNATDGLKPSKMYHNLIDSFARRVMEKIKTKLRIGKIRRKSATRKTIKRDHTAAHHRLIADYFSEDPLYLESMFCTRFLMGRPIFLRIKSFSLLFFLPFLLLAIIYFVIFPNEFRLQSSLAACGDSAPATAADAVAKAAPDIRVLLGVLTRADKYERRALVRLAYALQPAPARAVVHVRFVVCNLTAEEDAALVGLEIAAYGDIIVLDCTENMDNGKTYTYFSAVPRLFAGEPYDYVGKTDDDTYYRLGALADALRDKPRRDAYYGFLTPCHADPRTQYMSGMGYVVSWDVAAWVAATPELQNDLKGPEDKLFGRWLRWGGRGRNVFGAEPRMYDYLDGGMRHGPTCFRHLLQADTVAVHKLKDNLKWARTLNFFNATEGHKASPLFHRLSFSLFLLPFLLLAFVYSLFFPGDFSILPSLAARCSNSVAATPANATGPAVDLRVLLGVVTRAEMYERRALLRLAYALQPAPARAVVDVRFFVCSLAREEDAVLVSLEIIAHGDVVVLNCTENMDDGKTHSYFSSLPALFADAPYDYVGKIDDDSYYRLASLADTLRDKPRRDLYHGFPAPCHADPRSQFMSGMGYIVSWDVAAWVAATEALRGDVKGPEDEVFGRWLRRGGKGRNRYGEETRMYDYLDGGMREGVNCFRHALVADTVVVHKLKDRLKWARTLKFFNATQGLKPSKLYHLHLKPAKAPAIRLRLSIISLAPPNCTHLQFNSQQSLDHKESFLNRSSNDDEDDDDCVELELLPAMPPAKSSKHGFPKLSASSKALVLLPLLLLGFIYLFVYPKEFELQALMMSSCGPTTAAGAYTAAPRRLAGEPPASRKPDFRLLIGVLTRADNYERRHLLRMVYGLQLAAGDLTAHVDVRFVFCRLYKDDQRVLVPLEILRHGDIIVLDECEENLNGGKTYAFFSAAARLYADDPYDYVMKADDDIFLRLPRLLASLGAMPREDAYYGATIPCGSMDPFREYMSGMAYALSWDVVEWVATSDVPRNRTVGPEDRMTGQWLRLGGRGKNRFNAKPAMYDYPLPAPVDKCSHEFIPDTIAVHRLKDNPRWAETLKYFNFTKGLEPSKFYKIN >ORUFI02G36640.1 pep chromosome:OR_W1943:2:31507440:31509702:1 gene:ORUFI02G36640 transcript:ORUFI02G36640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGYKRSKSNQYENTSLVQIEGVNTKEEVAWYCGKRMAYVYKAKTKSGGTNYRCIWGKVTRPHGNSGVVRAKFKSNLPPASMGRKVRVFMYPSSI >ORUFI02G36650.1 pep chromosome:OR_W1943:2:31510048:31520418:1 gene:ORUFI02G36650 transcript:ORUFI02G36650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQMEEASTAGAAATPFQLQFDKPIPFQIKMAEWNPEKDLLAMVTDDSKVVLHRFNWQRLWTISPGKCITSICWSPDGKIVALGTEDGLVLLHDVENGKMLRRIKSHDVAIVCLNWAEDELLSRTDKDGLLSYEDRTARFFPPAPVIPRIGGLSSGDTGLSDENEESIQEFSSASCQRFNILCSGDKGGCICFSIFGIFPVGKININEVPIHFQSSGNKTSYRLQDASISKVCLSGNLHQLVLLCPGKLIDIDNLSHSNHISTGLHCLHLDTSIFFNRKNELHQISQQASSIQDLVEVVRSSLSMMAKQWSSAMNLFNEKFSALPSLIAAHGMESSSEDEFMSLLFGTRTSPALHQFLVSSLGEAALKRIAKAVDSAGRELRVVVSEHLQPAVEIISFRLAELRGLARWRSRFQNVGLDEKLIYGVTEKIGMLVVQVERFSRVAATVLYLVPFFSACFLAMFSILNLCFNLGLLPEIPLPVSEYDILVHVVSAEFQNFFSWVLKCVKILLSEPTDQVPAANSELVVLFLKFLLDKDPIKQLLDANQRFECDFLEEAFSMPFTTISSQIHCQELLPLYPITSSVDLSSTCILTSVSFYKDEDSQNSGSSYSLTDYICFKIPDGSLNLKNCIGVIKDFSNSSASGPSSSGFLLHIPDEYECVDVSLYKDSQIVVLLSERSYSDGPGSSYIVMLQMENFSFVPLSRMFPSNIYSVQELSAQELQLDTDYGKKVRSIPHAVSTPLAPQEEWLVSFHLGGMLWFISLMKMRMRMRMNLLIWNDHFYLIHNSLQLMRRVKAIMLAA >ORUFI02G36660.1 pep chromosome:OR_W1943:2:31520499:31529147:1 gene:ORUFI02G36660 transcript:ORUFI02G36660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAYGWPQSIPLDPGDSDRVVLLRVLGRYLLAVCPASLHLWSAAHHKVRLARLDRSPESLAAHGDNAHSVWSPDAKTVAVLTSSFYLYIYKLQFSGKPLIIGGKPQPGLCLASISQIIVEKVPLANDAFITSNFVCDSKSMLLGLSNGHLQVISWNAEFSDSFKLGCSACSSNRTPTVGDALVFDPPSLRENSDASPAPCCTGNSAIIHVELSVKLRLLVALYSGCQIGLCAVGKKGLKQTSSIRVERWLNTDDAMCTSVASDQQILAVGCSRGVVDLYDLAENARHIRTISLYDWGYELLFFPRYHLDYSSLLYRKSLLGRPIVMDVFQDYILVTYSPFDVHIFHVVISGELSPASSPVLQQLSTVRELSIMSPKSPPVSMRFIPEPTDEGKPKHDTNGSSDLSQQPSRCLILRMNGELSVLDMDDGHEQSLTNSVELFWVTCSQYEEKGNLIKEVSWLDYGHKGMQVWYPSHGENPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGISQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKHEEALRLANLSAEKPHFSRCLEWLLFTVFDAEISSQSASKNQLSQKNEPAKKSLLDKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFHAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENASTDSEKLSPRFLSYFQLRSPFKRQSSDLRSNSMKELSPHIASVMNILENHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRHDLRLWKAYNITLQSHDVFREYLDLLNTLEEELSSVSDLTLQNRPVS >ORUFI02G36670.1 pep chromosome:OR_W1943:2:31534771:31541710:1 gene:ORUFI02G36670 transcript:ORUFI02G36670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAPASVSAGSAEADGAPRMAKFLCSFGGSILPRPLDGRLRYVGGETRIVMLPRDVSYTDLAARMRELYEDADIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLIAAGEGFTRLRIFLFSQHLDDEAAAAAVHYNGDERETERRYVDALNSLGDVRTPSSPVSVEQLFGIGGNESGIPDIAGLRHLNVPRPSHSQRYGEMDSPWSPAYISPGHYGVHDPRDFPISPRFQVGAEDFDERIPDDFVRHSPKYRHYEVHSPQHVDNLVWLPPGAVIQQNAGFPGNLGRPGNFLDGSSMYDHCRSPFHKGQGDPRYVDPRWRPIQHFDQTSMTNEYSGHPTNSCPDCNRPGERFVLNQDVRLENGVYVKEQTGGHPPPMFYNESHSHDRAWHAHANQSHQRYEDPRLHMPGSARAMEPYIVDNSSVTSLSRSSHESPHYFHGSSEHVSDTYHNQQVGVGGPYVQTPGFEESTGQHYSHSSTYGADPFYQMQQNLPPLQSLRRRANSPVHTGSPYESPHLPIPNGNFVRNTGDVSPRIPGMPAYDRIPNPWPSPNGSIPYRVVGHDIPAVVENRSNPNSGQYVQPLFAPESVQNQPGAPLMEIHPERACGGSVLSSQVDGRVAALPLTDQLSRMDINPLKKLEGPEHEKFTRNANETTSLHAMNDPSALTHHIGVVPEVDPKQRKPVEYETVTSKVHEGGATALQECGDISEDRLNFLPELAASVKKAALEDSEEKEKAQQDAGPTLLPTCDEEGNGKKLEETPAGNTGTDQDSDVHGSGEQQKSSGIEATTAEAEALSKGLQTIKNDDLEEIRELGSGTYGAVYHGKWRGCDVAIKRIKASCFAGRPSERERLIADFWKEAQILSSLHHPNVVSFYGVVRDALASNGCYLTVIPPPFSAQIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGEEPYSDMRAAAIIGGIVNNSLRPQIPSWCDPEWKSLMENCWASEPADRPSFTEISQRLRKMAAAMNVK >ORUFI02G36680.1 pep chromosome:OR_W1943:2:31547941:31552193:1 gene:ORUFI02G36680 transcript:ORUFI02G36680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARDDDLGNDDLDDDRSNPRRQSGGRTAGPIRQGLHGDIGLQMTAAMAGDDANDGGHGWRRWLSTAAVAAVLLSLGDGGDDDKATEGIRGSSAGMYTDKRSVSEDTHLYPSTKPTIKPARPQPQMHPLTAAAKHAITIPPPPPPAAAASYTSSPSSGTSDPSVLDLSSAEIDDDGDGDDDRAEQQEIKNSKELVMGRAPCCDKASVKKGPWSPEEDAKLKAYIEENGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGDFTEEEEHIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGKRAPSRRARANQDHCGLAGSAAAAMCGGVGTAAAAAAPHQALSSSALERIQLHMRLQGLYNSAFGCTTTSSNGGGVGVAPPQWPKLEALLPSRPLPAVQPTDAVVATVQHPHHLVVGGHTLATAAAAAATTSEAFQAAEHLDPAAATGSNYMPGVAGVEMTSSSSMAGGGGFVAGYGLHDELYDFLFKCESIGGAQGGIIPSSLPELQCPDGSAIIGADEKFSTWTSSSCDYGSGGAGDYVLGYDQ >ORUFI02G36690.1 pep chromosome:OR_W1943:2:31554138:31557418:1 gene:ORUFI02G36690 transcript:ORUFI02G36690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLPFAAVTAVILLLHGAADAKSSSSSGKTKSLASGFLDAHNAARRQVGVPPLRWDERLASYAARYAAARSGAGGGCALVHSHGPYGENLFHGSGVGWAPADVVAAWVSRERALYDAASNSCRGGDAAACGHYTQVVWRRTTAVGCALATCAGGRGTSANPQLSILPPMASSTAIALALLGIVLLLPGNAFVVVAYPRGGGGGDYRMQFLGQQNAARAAMGLPALVWDERVAGYARWYAESRRGDCALVHSSGPYGENLFWGSGTGWSPAQAVGAWLAEQPRYNYWSNSCYGGMCGHYTQIMWRATRRVGCAMVACYNGRGTFITCNYDPPGNYVGMRPY >ORUFI02G36700.1 pep chromosome:OR_W1943:2:31557462:31561048:1 gene:ORUFI02G36700 transcript:ORUFI02G36700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVTDSFLGPLCRPAGSTAAHGRATQRSNQPILLSHSLPYDDDDDVTRVLQLSGLVQPILANS >ORUFI02G36710.1 pep chromosome:OR_W1943:2:31561343:31563356:1 gene:ORUFI02G36710 transcript:ORUFI02G36710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLSELPDDVLLSILKRVDLRDAVRTAILAKRWRHLPAALPDIVLDVLSFRKKQDDDHQDGFTFTSRLSREAQAKLAVAHAAKAILARRSGEHAIDRLLVRFYLRAESIGIVRSVDDAIASGRARFREAAFDVRGEKRALECTGRDTLANGRRLASLVGGCPRAFAGLTRLRVESVTLRGSNDVANVLAACENLEILSLHSCDASAGSNVAALEMEHPRLVRLDVDACDFETVDLKWLPRLVQVSNNIWFPSRTLPPLVFGHVPQLRTVLSTVGTVNYRTLKLSELLVNATGIRTLQMIFESEKIWFQPESPKHLAPLLRNLRIACLDKIHKECDLIWTMFVLEAAPLLKELRISVTEHSCGSLAAADVMRKLLYCKKNNIEWHIDSDFRHYNLLLVTVVGFEIKDKFVKLIKRLAHAAVNLEDIHLEDEVKCENCQYYPTTWYPSTDKERES >ORUFI02G36720.1 pep chromosome:OR_W1943:2:31564248:31569066:-1 gene:ORUFI02G36720 transcript:ORUFI02G36720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFLWVKWERPLIAGKWRNMPVQNHEKIMEDALKREFQHCMFSHAPPRVHIQQSQDPPELEINLGMAVDDGAPAAKFRSVC >ORUFI02G36730.1 pep chromosome:OR_W1943:2:31574631:31575230:1 gene:ORUFI02G36730 transcript:ORUFI02G36730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVALLVSTLLVHSLLADGSRRGKEAAAAALGYSNATVYDMSVAKCAGCGALGAWAESLEFLYYHNLVRLARWELPLAWSPRLESYARWWAAQRRGDCALRHSFPEGQFALGENIFWGGAGGAWRPGDAVKDWAAEGVDYSYAANACAAGRECGHYTQIVWRGTTSVGCARVACDDGGVFMTCNYYPPGNVVGERPY >ORUFI02G36740.1 pep chromosome:OR_W1943:2:31576121:31576657:-1 gene:ORUFI02G36740 transcript:ORUFI02G36740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSRRVSCCVALAAVLLLSSRTLGGAAGGAPRRLLQISEAQQFVVPQTHLRAIYGLHPLKWSSDLADLATRWADQYKGDCAAASAASAAGGVNVFRGYGGEAWQPSDAVAAWAEEAQHYDYGANACAAGKECGHYKQMMWRDSTQVGCATVTCSSGETLMACHYEPQGNIMGQKPF >ORUFI02G36750.1 pep chromosome:OR_W1943:2:31578507:31582298:1 gene:ORUFI02G36750 transcript:ORUFI02G36750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSRLNPSSATCSLAAASASTSASPRRRGRGRRPASAMGQAPSSPPGPGRSRSRGISRWPPPPPPLQGLRLDLGLLLGRKARTRSGGGKLDLANWLRCFVAPPLPQPSEAEASAEGRDAGNREEEEAGNEEADHLVVMVNGLYGSSADWKFAAEQFVKRLPGKVFVHRSQCNHSKLTYDGVDLMGERLAEEVRQVVQRRSNLQKISFVAHSLGGLVTRYAIGKLYDPSINEEASLDKENFSNELRTSDGGKIAGLEPINFIAVATPHLGSRWNKQLPFLFGVPLLERTAAVTAHFIVGRTGKHLFLTDSDDGKPPLLLRMAEDCDDGKFMSALRSFKRRVAYANLTPSDKKYPHIIHVDKGNSEHHQQEESVEASLTDSMEEVMIHGLTQVPWERVDVCFHKSWLRYNAHHNIQVRIHPVNSDGEDVIYHLIDNFLV >ORUFI02G36760.1 pep chromosome:OR_W1943:2:31582824:31589208:1 gene:ORUFI02G36760 transcript:ORUFI02G36760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDGGDPGGGGGGGYPLVAVCIDKDKNSQNALKYATESLAHKGQTIVLVHDAAGYKQPSDPQMKELFLPFRCFCTRKDIHCKDVVLDDHDVSKAIVEFAAHAAIEKLVVGATARGGFVRFKAEISSSISKTAPDFSTVYVVSKGGKVTSVRQAVRQAPAVSPLRTMIQGPKPDNVSTQKWTPPPPPSTTRPDIAGTPKIQDNFIMSPFARGANTSVRKAFPDYSMPESSDISFISSGPRRSLDRYPPRLSSGSDAHDHHSFEATRPPSMWGGDSFGSDSQSSNSSFASSLPMEDMEAEMKRLRLELKQTMDMYSTACKEALTAKQKAMELQRWKTEEEQRSHETRLTEESAMALIEQEKAKARAAIEAAEASQRLAEMEAQKRISAEMKALKETEERLKSMGGGGSRGAVRYRKYTIEEIELATEHFADGRKIGEGGYGPVYKGHLDHTPVAIKVLRPDAAQGRSQFNQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGGGPVIPWQHRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADSVTQCHMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIVTAKPPMGLTHHVARALDHGTIVDMLDPSVHDWPVDEARCFAEISIRCCELRRKDRPDLATVVLPELNRLRALGEDNMQLCNTMSGGGRSSMHSSPYNSNSSMHQPHRQTDMAIEHSVGRSSYDADTSQQAMQGRRLNYN >ORUFI02G36770.1 pep chromosome:OR_W1943:2:31594957:31596066:-1 gene:ORUFI02G36770 transcript:ORUFI02G36770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGPPSLRAGLLQQQQQQPGTPGRSRRRPDLTLPLPQRDLTSLAVPLPLPLPPSSAPSSTSSSGSSSLGGVPTPPNSVGSAPPAPPPLSELERVRRIGSGAGGTVWMVRHRPTGRPYALKVLYGNHDDAVRRQITREIAILRTAEHPAVVRCHGMYEQAGELQILLEYMDGGSLEGRRIASEAFLADVARQVLSGIAYLHRRHIVHRDIKPSNLLIDSGRRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYMGRFPLGENLGKQGDWAALMCAICYSDSPAPPPNASPEFKSFISCCLQKNPARRPSAAQLLQHRFVAGPQQQQQPQPQPLAPPPS >ORUFI02G36780.1 pep chromosome:OR_W1943:2:31609619:31614348:1 gene:ORUFI02G36780 transcript:ORUFI02G36780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPSSPLPPARRVLCHGRGYPPIRRSWESCLGGISAVGPPIYPPRCCSKSVPSTLLEIQGHTDMHASRMEQTTGVSGHEHIIDIPRDSGPSTSTSHSVARENHGEPNPVDRSATRALVPALQAPSAVGAPSAGHTSGARRSDNYVRRHRSPLNSGLWISIEVLVNVSQIVAAIVVLSLSRKEHPQAPLFEWVIGYTVGCFATLPHLYWRYIHRNIVNGENEPAHTLQGSSQNNSTEPSASASERRRNAARNAVLANPRINALFDHFKMALDCFFAVWFVVGNVWIFGGRSSAADAPNLYSCIGYAMPFILCAMICCCLPCIISVMGFREDTNNTRGATSESINSLPTYKFKTKKRRHSSGNEAEGQDGGIVAAGTDKERSLSAEDAVCCICLAKYAHNDELRELPCTHCFHKECVDKWLKINALCPLCKSEIASSSGTSDTRRSDHTDIPVQEIEMH >ORUFI02G36790.1 pep chromosome:OR_W1943:2:31629940:31637277:1 gene:ORUFI02G36790 transcript:ORUFI02G36790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSCFFFLLPLLVAALAGSPVVTAQRNALPAAAAAAASVRVGVILNLTSAVGVRRRVGIQMAVEDYYAANPGSATRVELHFRDSAGDVLPAASAAVDLIKNVQVQAMIGPPSSAATEFVAHIGSHSRVPVLSYSATSPSLSPAQTPFFVRAAVNDSFQAAPVAAVLDAFRWRAAAVVYEDSPYGSGILPALADALQGAGAKIMDRTAVPVDATDDRLDALLYRLRAMPTRVFVVHMLHNVAGRLFRRAKMLGMMSDGYIWVATDGVATFMDRFSPEEVDAMQGVVSLRPYVQETDAVKNFSARFKARLRRDHPTVDDVREPTVLRFWAYDTAWAIAAAAESAGVAGPAFQTPQTSAPLTDLDRLGVSATGTALLNAVLSTTFDGLAGKFRLVDGQLQPPAYEVVNIIGKGARTVGFWTPEFGITQDLNAGSAKTLRQILWPGEPRDTPRGWTVSPSGLPLRVSVPTKRGFTQFVDVGNVTATGRRNITGYCIDVFDEVMKIMPYPVSYVYDPYPDSPESYEKLVDQVSSQKADAVVGDVTITASRMEEVDFTMPFTESGWSMVVAVQKETSTSMWIFLQPLTTSLWLASLAFFCFTGFVVWVIEHRINEEFRGTPWQQFGLIFYFSFSTLEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQKLQPTVTDVRELLRRGDYIGFQEGTFIVPVLEKMGFEGRMRSYSTVDQYADALSKGSANGGVAAIFDEIPYLKLFLSQYCNGYTMVGPIYKTDGFGFVFPRGSPMVADVSRAILTLAEGEKMAQIEKKWFGEPGACQSQGSAVGSSNLSFRSFGGLFLITGVVTSAMLLIYLAVFFYRERDELRAAEAAAAASGSGSGSGSRSLRRLRAWARHYDQKDLKSPTFKRRWSDESVRNGSEYAASRTPRWGDESPCNVAGAADADAGRIPEEVVGGMSPFSISTSSEERNGAVSPAAAEFDNSSDRAAVVAGTSQPR >ORUFI02G36800.1 pep chromosome:OR_W1943:2:31637793:31645023:-1 gene:ORUFI02G36800 transcript:ORUFI02G36800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEETPRAPSTAPSARVSIWESVRACGVWGKEVDKAELRRQVVMPLYARRAVAAAVKAKDEAVGVAAAAERGEEGEVEVEVEAAVTPVVVFVNSRSGGRHGPELKVRLHELISEEQVFDLSVVKPSDFINYGLGCLEKLAEQGDNCAETIRKKLRIMVAGGDGTVGWVLGCLTDLYRLKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKAATAPTCRLDSWQAVVMMPDGEIKELPYALKKTEPADCLELCQENGTELPEKASCYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKLIYAGYSCTQGWFCTPCTASPQLRGLKNILRLYIKKVNCSEWEQVTMPSRQSSSSLPCNARIFRKNPNQPTLVRSLVVLNLYNYGSGRHPWGDLKPDYLEKKGFVEAHSDDGLLEIFGLKEGWHASFVMAELIKAKHIAQAAAIKFEMRGGQWNRAYVQMDGEPWKQPLLQEQSTIIEINKFGSSCRD >ORUFI02G36800.2 pep chromosome:OR_W1943:2:31637967:31645023:-1 gene:ORUFI02G36800 transcript:ORUFI02G36800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEETPRAPSTAPSARVSIWESVRACGVWGKEVDKAELRRQVVMPLYARRAVAAAVKAKDEAVGVAAAAERGEEGEVEVEVEAAVTPVVVFVNSRSGGRHGPELKVRLHELISEEQVFDLSVVKPSDFINYGLGCLEKLAEQGDNCAETIRKKLRIMVAGGDGTVGWVLGCLTDLYRLKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKAATAPTCRLDSWQAVVMMPDGEIKELPYALKKTEPADCLELCQENGTELPEKASCYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKLIYAGYSCTQGWFCTPCTASPQLRGLKNILRLYIKKVNCSEWEQVTMPSRQSSSSLPCNARIFRKNPNQPTLVRSLVVLNLYNYGSGRHPWGDLKPDYLEKKGFVEAHSDDGLLEIFGLKEGWHASFVMAELIKAKHIAQAAAIKFEMRGGQWNRAYVQMDGEPWKQPLLQEQSTIIEINKVPYPSLMINGEQ >ORUFI02G36800.3 pep chromosome:OR_W1943:2:31637793:31645023:-1 gene:ORUFI02G36800 transcript:ORUFI02G36800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEETPRAPSTAPSARVSIWESVRACGVWGKEVDKAELRRQVVMPLYARRAVAAAVKAKDEAVGVAAAAERGEEGEVEVEVEAAVTPVVVFVNSRSGGRHGPELKVRLHELISEEQVFDLSVVKPSDFINYGLGCLEKLAEQGDNCAETIRKKLRIMVAGGDGTVGWVLGCLTDLYRLKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKAATAPTCRLDSWQAVVMMPDGEIKELPYALKKTEPADCLELCQENGTELPEKASCYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKLIYAGYSCTQGWFCTPCTASPQLSVRSLVVLNLYNYGSGRHPWGDLKPDYLEKKGFVEAHSDDGLLEIFGLKEGWHASFVMAELIKAKHIAQAAAIKFEMRGGQWNRAYVQMDGEPWKQPLLQEQSTIIEINKFGSSCRD >ORUFI02G36800.4 pep chromosome:OR_W1943:2:31637967:31645023:-1 gene:ORUFI02G36800 transcript:ORUFI02G36800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEETPRAPSTAPSARVSIWESVRACGVWGKEVDKAELRRQVVMPLYARRAVAAAVKAKDEAVGVAAAAERGEEGEVEVEVEAAVTPVVVFVNSRSGGRHGPELKVRLHELISEEQVFDLSVVKPSDFINYGLGCLEKLAEQGDNCAETIRKKLRIMVAGGDGTVGWVLGCLTDLYRLKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKAATAPTCRLDSWQAVVMMPDGEIKELPYALKKTEPADCLELCQENGTELPEKASCYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKLIYAGYSCTQGWFCTPCTASPQLSVRSLVVLNLYNYGSGRHPWGDLKPDYLEKKGFVEAHSDDGLLEIFGLKEGWHASFVMAELIKAKHIAQAAAIKFEMRGGQWNRAYVQMDGEPWKQPLLQEQSTIIEINKVPYPSLMINGEQ >ORUFI02G36810.1 pep chromosome:OR_W1943:2:31648170:31649770:1 gene:ORUFI02G36810 transcript:ORUFI02G36810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLPANHLPHHVGTPTNFHVSPRLRQGPPAMAQPVHWLAREAADDDRMGIGETAAARASRGGVGHHYIPSFYMPISDHSRKADQTAYYRRPCILVKQPNNWAGAGLATGDIVRAPAIDHASATLATQFMVLSVGRHGSGRSGGERGVKDDGAAELPSLFPVTCSGSSPTYGMFDKLAAAASCACDTIGAGCAAAGQQQNNQSNIFSICLATYGMFDKLAAAASCACDTIGAGCAAAGQQQNNQSNRPLKQTSAR >ORUFI02G36820.1 pep chromosome:OR_W1943:2:31650619:31650909:1 gene:ORUFI02G36820 transcript:ORUFI02G36820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLTVKELNVAQLGAGARQATCDVATWEKGPHDVGGLGGRGGRLGGHGAPAFSRAASSLFSCTRYRPHRLRPVDAYPPHLRLLLHRRFESPMGH >ORUFI02G36830.1 pep chromosome:OR_W1943:2:31654195:31655496:1 gene:ORUFI02G36830 transcript:ORUFI02G36830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAKYQTMRWSDLDEDDDYGGGGLSALQLPPRVVIGPDENGIKKTVEYRLNEEGKAVRVTTTTRVREVARTRVTKRAAERRGWAKFGDAAHNDDAGARLTVVSPEEIVLERPSAPGSKSEDPLIPALDKGAALMVCRICNAKGKHWTSKCPNKDFAPLQLDALVDNNIPPNSGGDNGGGSRPGKYVPPKPRESEMRRRNDENSVRVTNLSEDTREEDLRELFGSFGPLTRAYVALDHRTGESRGFGFLSFVYREDAERAIAKLNGYGYDSLILNVEWAAPRPN >ORUFI02G36840.1 pep chromosome:OR_W1943:2:31655611:31659586:1 gene:ORUFI02G36840 transcript:ORUFI02G36840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVATQKIRWGELEEDDEGDLDFLLPPRVVSGPDENGFKKVVEYRFDDKGNKVKVTRTFRVRKIARARLSKSAIERRSWPKFGDAVQEDVGARLTMVSTEEIVLERPRAPGSKAEEPSASGDPLASKSGAVLMVCRTCGKKGDHWTSKCPYKDLAPQTEAFVDRPPTADGSGPPGGAVKGAYVPPSMRSGAVDRVGHDMRRRNDENSVRVTNLSEDTREPDLLELFRTFGPVSRVYVAVDQKTGASRGFGFVNFVHREDAEKAISKLNGYGYDNLILRVEWATPRPN >ORUFI02G36850.1 pep chromosome:OR_W1943:2:31658730:31660537:-1 gene:ORUFI02G36850 transcript:ORUFI02G36850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASTPARAWWRAAAPASASTAAVSCFRVGAKSLTGLQMTSTRANKVRTVHVKSGEAEGSPSTESITRDEETLQRDLQTAIQEENYAQAAKLRDELRVLQEDSRSAVLAANARFYNAFKNGDLVAMHSAWAKGDHVYVVHPSAGRISGYDMVMQSWEMVCDADYEFPLQIDLQDVEVHVRGDLGYVTCLELVKTKGSSSWGRQVATNVFEKVDGKWFICIHHASHFDE >ORUFI02G36860.1 pep chromosome:OR_W1943:2:31665050:31667951:-1 gene:ORUFI02G36860 transcript:ORUFI02G36860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREASFSSTAVKAAMLRSLSDPAAPDSGVYVNARVLHRQVSHREEEVNKVVEVLKRGKKRNPVLVGDTVDVDAVVQEVVTMIQRQRLGNARVISFQREFGDLVDLDRAELAAKIKELGEAIRSELLSPASRSAGVVVNLGNLQWLVEERCVAPGEQEKRRDVVLDTARAAVAEMARILRQSGEREHRVWVIGTATCATYLKCQVYHPSLESEWDLQAVPITPRPPPPPPSSLGLSPSVNGVNRGILSSSVEVLSSAMTTSAMQSRSPSLCSACLDGYERERADMASSPGCGALHATEQPMSQWLQIGTPSSARPPFDRAQDKAREADELRRRWLDRCAQLHSHGGGGCGGSRPSSMVTCLFLQDAPRPGAAAAAPAAAVDTDLALGPAASTASRPPAYCDTDEKLLVKRLTEAVRWQPEAAAAVAAAITKARSGERKRRGMGPTRADTWVLFSGHDVAGKTKMAEALSMSVFGTNAVALRLAGNGGEPIASCRGRTALDCVADAIRANPLRVIVLDGFDHHDDDRVVQASILRAVESGRLVDSRGRDVALGEAIFVVMSLDDTRRCQEDHQFTDSPWNLELRVRNNARKRRPEPQPLDGAGDRRLKPRKDSPPLHLDLNLSMCEDHTDDDDSGGEESRNSSSDLTVEHEQEYGQPAAAAAKFSAPSSFSELTKAVDATVVFKPVDFGPLKRSVSDVVSAKLGDAAGAGAGLSVHVDDGVLDRLAGAAWTAGESATSLEAWADEVLCPTIRQLKRSLSANDVDGATTVSLSAVEGSGGRRRKDGEVFPTSVTVAVDGN >ORUFI02G36870.1 pep chromosome:OR_W1943:2:31674650:31680041:-1 gene:ORUFI02G36870 transcript:ORUFI02G36870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAEAKHRKEEEEDEEEMTLEDGDIEESPRRSFDDDYEDGGSGDDDRDDDGEGLGSPRSFQSRQWPQSYRETTDTYTIAASPSFGYLGPSTSKYSILDGGRSSLGSDLKLPLLSDKLDGKQDSVKSLRKTLGSAIDRKSSLLTQHTGEVYIAQGCSVTQTVFNGVNVLAGVGLLSTPFTIHEAGWVGLAVLAMFAIVCCYTGVLMKHCFESKDGISTYPDIGEAAFGRIGRLLISIILYTELYSYCVEFIILEGDNMTSIFSHIGFDWLGVHIDGKHFFGVLTALIVLPTVWLRDLRVLSYLSAGGVIATLLVFLSVVLVGATDGVGFHLTGKAVNLGGIPFAIGIYGFCYSGHSVFPNIYQSMSDRTKFTKALFICFAICTAIYGSFAIIGYLMFGDKTLSQITLNLPKHSFASKVALWTTVINPFTKYALLLNPLARSLEELRPEGFLNETICSIILRTALVASTVCIAFLMPFFGLVMALIGSLLSILVAVIMPALCFLKIRQNKATTAQVVASIGIIILGTISAALGTYSSVLRIVENY >ORUFI02G36880.1 pep chromosome:OR_W1943:2:31690327:31694956:-1 gene:ORUFI02G36880 transcript:ORUFI02G36880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAGKVDDEMALKRQRTVRFYDEKAKPTIPTHQKQAGFAASKLGVASSGKNKIFVPGEELWYKRILDPSSDFILTWNHIFLFSCFVALFIDPLYFYVPKISYGTPNSCIGTDRHLAITVTFFRSISDLLYFTHIIIKFRTAYINPSSTMRVFGRGDLITDPKEIAWQYLRSDFVVDAVAALPLPQILIWFVIPAIKYSTDEHNNNILVLIVLAQYFPRLYLIFPLTYEIVKTTGVVAKTAWQGAAYNMLLYMIASHVLGALWYLLSVDRQTACWKSNCKNETGCDIKFLDCDVIPNQNWASKTAIFNTCDATNTSISFDYGMFQPALFNQAPGQRFLMKYFYSLWWGLQNLSCYGQTITVSTYIGETLYCIFLAVLGLVLFAHLIGNVQTYLQSITVRVEEWRLKQRDTEEWMRHRQLPHELRERVRRFIQYKWLATRGVNEESILQALPADLRRDIKRHLCLGLVRRVPFFSQMDNQLLDAICERLVSSLCTQGTYIVREGDPVTEMLFIIRGKLESSTTNGGRTGFFNSTTLKSGDFCGEELLGWALVPKPTVNLPSSTRTVKALIEVEAFALQAEDLKFVANQFRRLHSKRLQHTFRYYSHHWRTWASCFIQAAWRRYKRRKMARDLSMRESFCSMRSDDSNGEDDSPPKQNLAMKIMSGSRKGPQNMKELPKLRKPDEPDFSAEPCE >ORUFI02G36890.1 pep chromosome:OR_W1943:2:31703354:31709027:-1 gene:ORUFI02G36890 transcript:ORUFI02G36890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLYVGNLDPRVTSGELEDEFRVFGVLRSVWVARKPPGFAFIDFDDKRDAEDALRDLDEATVLVIALQGAAVFHQFVGAATAGHHVAENLDQKLEKL >ORUFI02G36900.1 pep chromosome:OR_W1943:2:31709719:31713043:1 gene:ORUFI02G36900 transcript:ORUFI02G36900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHSREDLDLTSSDDEDDDEEYDAQTATSAASREDILRTSTPSSLEFLDAKLKALDLKYQAPNAAKLYLHVGGASASARWVPAERRATYAFVDKATAAGDSDCGGPRWVLEVGPGPRVSAPVGPALQLKALPAQRRADFAAGGSVWALRLPTDAAFRRFRQEYDRCLFENTYGVEATDEGRKEVFGADFAAWARPGESDDAVWADAEDSFTPPVATPARDLLEEFEEEAGDGSIQSLALGALDNSFLVGGSGIQVVKNFRHGVHGKGVSVRISDGRGGGNAYMTPQKALLMRGETNMLLMSPGETGTRHSNGVHHVDIETGKVVAQWRFEKDGTDITMRDIANDSKGAQLEPSGSTFLGLDDNRLCRWDMRDSRGRVQTIGSSSESPVLQWSQGHQFSRGTNFQCFASTGDGSIVVGSVDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFKDKDGKEKTGFSGRMGNRIAAPRLLKLSPLDSILAGSENKFHGGQFSWVTENGKQEKHLVATVGKFSVIWNFQQVKDSNHECYRDQEGLKSCYCYKVVLKDESIVDSRFMHEKFATTDSPEAPLVVATPMKVSSFSLANRRLH >ORUFI02G36910.1 pep chromosome:OR_W1943:2:31718857:31721798:1 gene:ORUFI02G36910 transcript:ORUFI02G36910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCPRGDSAAVLRVTVSQIIYPVTSEVLHQVYNTYGAVAVQVLAVSTWQVKALVSFMSSHDAERARSATHGRDIYDGGCLMDVQHVQMFPGDGATTTHTTCLTMVPSSATARPAAKSTAATPERVFPATTASSIPSITSAAMVTSAFRHTKVDLSLSKDSTRDVAALSANTDPTSIALEVSTEAGSTNHVDTAKLGMGTTIECSMKLLYGCFDRSLEYTASPPPVPPWRAAIPWNKDEMTSGSRPLPWPDPQLCQGSGGVVTHELLLQREQLKLGAVHLSLEASTFSKNSRGIELVKCSERCLICLVCEDSIVLHTWAYRVVKLVDARLVGDQGKTIQFLAIWEFANKEVALIQTKKHMHVSQPTDLNICMLHLGSHGVYADSSGEEGVKAWWLRQQKHGRRRLEKRQSSQQPAARVIDESITRTPQTIASSSSLLLLPLSIPTL >ORUFI02G36910.2 pep chromosome:OR_W1943:2:31716944:31718955:1 gene:ORUFI02G36910 transcript:ORUFI02G36910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTGQGAMGGGAAVQVAGWRGDTDGVAMGNASEEAAAPVDLQWGRHGRRPDGWRGRRGQGAMGGGTAVQVAGWRGDTDGVAMGNASEEAATPVDLQWGRHGRRPDAEGRRLDKWAGFGPIRLGRRRLEKRQSSQQPGSSMNQLPERLRR >ORUFI02G36920.1 pep chromosome:OR_W1943:2:31729679:31730167:1 gene:ORUFI02G36920 transcript:ORUFI02G36920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRIRWCRGTRTTDLALAVSEGGVAVADFDGEAAVLWEGREHWRRRTVRQATVAGGGPEGGVVATPSRDARWEVGSGAITVACVGRSAAVHRFGGGGVLREVGAGAIARRRRTASGAAACRWRSAPPCGACRDVRACCRAVEWWRRAKGGPQRHPASTSEL >ORUFI02G36930.1 pep chromosome:OR_W1943:2:31734267:31734790:1 gene:ORUFI02G36930 transcript:ORUFI02G36930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCGWYGYTFERCWGLNSKPPVGDGGEARGALSFGKVGKAPPPGPCSAGCPRELPRYVADFGWGLSLDATAPGQSIGLSCRGWPPRAGPTVCAGLTAVELSRQRGHSSSHVSVLFLTGSTAGQDYCPQRKENEGRGVGVFGSKLLSLGS >ORUFI02G36940.1 pep chromosome:OR_W1943:2:31735061:31736004:-1 gene:ORUFI02G36940 transcript:ORUFI02G36940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVLRGSSDGRRRRQIWSPRLAGVIGDGLGHLVSPLGRGDRRRARSSPAPLRGPGREVASSGDGGGCGGDEVGDDGGGLRRVPRRRPSSRTSPPRGRCGALVNVPAVNAVPVTPSSMMPATRASCYTFDPRHPGRIWTAGVWRRWLATHTPFLSLPYSPGENLASVPNERWRRSTSHPPWGTALEKPLRARILSLVYALTSNFSPRP >ORUFI02G36950.1 pep chromosome:OR_W1943:2:31742529:31747042:1 gene:ORUFI02G36950 transcript:ORUFI02G36950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSCHNLLDLVAAADDAAPSPASLRLPRVMSAASPASPTSPSTPAPARRVVVSHRLPLRAAADAASPFGFSFTVDSDAVAYQLRSGLPPGAPVLHIGTLPPPATEAASDELCNYLLANFSCLPVYLPADLHRRFYHGFCKHYLWPLLHYLLPLTPSSLGGLPFDRALYHSFLSANRAFADRLTEVLSPDDDLVWIHDYHLLALPTFLRKRFPRAKVGFFLHSPFPSSEIFRTIPVREDLLRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRTVTVKILPVGIDMGQLRSVVSAPETGDLVRRLTESYKGRRLMVGVDDVDLFKGIGLKFLAMEQLLVEHPELRGRAVLVQIANPARSEGRDIQEVQGEARAISARVNARFGTPGYTPIVLIDRGVSVHEKAAYYAAAECCVVSAVRDGLNRIPYIYTVCRQESTGLDDAAKRSVIVLSEFVGCSPSLSGAIRVNPWSVESMAEAMNAALRMPEPEQRLRHEKHYKYVSTHDVAYWAKSFDQDLQRACKDHFSRRHWGIGFGMSFKVVALGPNFRRLSVDHIVPSYRKSDNRLILLDYDGTVMPEGSIDKAPSNEVISVLNRLCEDPKNRVFIVSGRGKDELGRWFAPCEKLGIAAEHGYFTRWSRDSAWETCGLAVDFDWKKTAEPVMRLYKEATDGSTIEDKESALVWHHDEADPDFGSCQAKELLDHLENVLANEPVVVKRGQHIVEVNPQGISKGVVVDNLLSSMVSRGKAPDFVLCIGDDRSDEDMFESIVCPSNSSVKLPASSEVFACTVGKKPSMAKYYLDDTVDVIKMLQGLANAPSQRPRQVQLRVSFEGSL >ORUFI02G36960.1 pep chromosome:OR_W1943:2:31752968:31755014:-1 gene:ORUFI02G36960 transcript:ORUFI02G36960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTWQPSPPQTPAAAASSASGIDGVENKISPSIVFIVAVLAIVFFVCGLLHLLVRHLLRLHRQRRAREDAESATAFEGQLQQLFHLHDAGVDQAFIDALPVFLYRNVVGVGGEDGKDPFDCAVCLCEFAADDQLRLLPKCSHAFHLDHAFHLECIDTWLLSHSTCPLCRRSLLAELSPTCTPVVMVLESESSRDMVHAADDEPADVGGEDAPGAEEVVEVKLGKFMCVEGNVSFNVNAIAGEGDGAGTSSNRNGDANAKAGGLGQRRCHSMGSYEYVMDAHASLRVSIKPPRKKPAAAASKSRRRGAMSECEFGASKRGESSLRLPPFRATPRKNPDDDAAAAAGAKLAKDSFSVSKIWMVPSKKEPGAAAERRAVSFRWPAAKDWDVEAGSCGGNSAVSSVAAEERPSFARRTLLWVVGGSRQLSRVGSCS >ORUFI02G36970.1 pep chromosome:OR_W1943:2:31765151:31765477:-1 gene:ORUFI02G36970 transcript:ORUFI02G36970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAPPTMAASPSSSAVCYKRLKTGGLDAMADLMDAADNTASYMSLSPSSSSSASSDEDSPYGRARRARRSSSGSLWWRSTEDVATCHAGSSLVAIMA >ORUFI02G36980.1 pep chromosome:OR_W1943:2:31775340:31779371:1 gene:ORUFI02G36980 transcript:ORUFI02G36980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGRPRGLGNFRVKSSIRGLPVHAKRGDPQLRASMGFLSLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLARYSTFGGRNSPLHYAAAQGHHEIVSLLLESGVEINLRNYRGQTALMQACQYGHWEVVQTLMLFNANVHRTDYLNGGSALHFAALHGHARCLRLVLADYVPSMPNFWNSMKDSLSEEGPSADLDEDGLFKMVNQKADGGLTPLHMAALNGHVECVQLLLDLGASVSEATIEDGTTIDLIGAGSTPLHYAACGGNAVCCQLLIARGASLSAQNASGWTPLMVARSWHRNSLEEILSKEPESRIRTVPSPYLCLPLMSIMSIAREFGWRYLNQSPVCIDPCAVCLEGSCSVAAEGCKHEFCTRCALYLCSTSYTSVSPAGAIPCPLCRHPIIAFTALPGTSPIRELPRNSLSLSFCTTCPAVNSDSTPSIASHLYRTEFQCARMPPMGSSSFRSLSCQRLPAMKLNPSFCMGAMDTNPCLIRCSRFGPSFRRSASQGESSRRAWPLTFDPIAATGS >ORUFI02G36980.2 pep chromosome:OR_W1943:2:31775581:31779371:1 gene:ORUFI02G36980 transcript:ORUFI02G36980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGRPRGLGNFRVKSSIRGLPVHAKRGDPQLRASMGFLSLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLARYSTFGGRNSPLHYAAAQGHHEIVSLLLESGVEINLRNYRGQTALMQACQYGHWEVVQTLMLFNANVHRTDYLNGGSALHFAALHGHARCLRLVLADYVPSMPNFWNSMKDSLSEEGPSADLDEDGLFKMVNQKADGGLTPLHMAALNGHVECVQLLLDLGASVSEATIEDGTTIDLIGAGSTPLHYAACGGNAVCCQLLIARGASLSAQNASGWTPLMVARSWHRNSLEEILSKEPESRIRTVPSPYLCLPLMSIMSIAREFGWRYLNQSPVCIDPCAVCLEGSCSVAAEGCKHEFCTRCALYLCSTSYTSVSPAGAIPCPLCRHPIIAFTALPGTSPIRELPRNSLSLSFCTTCPAVNSDSTPSIASHLYRTEFQCARMPPMGSSSFRSLSCQRLPAMKLNPSFCMGAMDTNPCLIRCSRFGPSFRRSASQGESSRRAWPLTFDPIAATGS >ORUFI02G36990.1 pep chromosome:OR_W1943:2:31784261:31785909:1 gene:ORUFI02G36990 transcript:ORUFI02G36990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSARVQAGGGGNGGAGGGGSGRFKRALLRNLILGLRKGGVASGEMGFHERKSAIKRAADAALAAARGAAPCWSRSLAAELAQAPQQHRSPPSPSPRKMICRKIVRRSLIRRRRRPIRPANNTTAATTKAYGGGGGGGGVARAMVRKRASVLKEIVPGGKALDMCALLGETLDYAVSLKAQVDVMQLLVRTLQEQKLKNSGN >ORUFI02G37000.1 pep chromosome:OR_W1943:2:31787940:31789520:-1 gene:ORUFI02G37000 transcript:ORUFI02G37000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPTIKVETTPGDWRFPSANQTKRCFTCYIECIEAKGEGSDECTKYAKCYRSLCPGEWIERWKEQRANGTFAGPL >ORUFI02G37010.1 pep chromosome:OR_W1943:2:31800300:31800564:-1 gene:ORUFI02G37010 transcript:ORUFI02G37010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLGMVTIANCSCVVGPSLTAATAEETALASWLASRCSCAYVDVTVAENGAGVMSSAVTTTPQLHACNICSIQLRRRR >ORUFI02G37020.1 pep chromosome:OR_W1943:2:31803288:31805253:1 gene:ORUFI02G37020 transcript:ORUFI02G37020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLEDDMFPSTPGKVKIERATAMNRQLHRCFASTSTMFLWALFLVAMTASYLSFQSFVDTSSKYFAASWGGLHWERQIRASAAPRRPPGSAAGAGMSVLVTGAAGFVGTHCSLALRKRGDGVVGIDNFNSYYDPSLKKARRSLLASHGVFVIEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVTLLEACKDADPQPAIVWASSSSVYGLNDKVPFTESDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNRVDLARDFTYIDDIVKGCLGSLDTAGKSTGTGGKKRGPAPYRIFNLGNTSPVTVPNLVSILEKHLRVKAKKNVVEMPGNGDVPFTHANISLARQQLGYKPTTNLDVGLKKFVKWYLSYYGYTRGSKNFSPTTATPGDPRTSEL >ORUFI02G37020.2 pep chromosome:OR_W1943:2:31803288:31805196:1 gene:ORUFI02G37020 transcript:ORUFI02G37020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLEDDMFPSTPGKVKIERATAMNRQLHRCFASTSTMFLWALFLVAMTASYLSFQSFVDTSSKYFAASWGGLHWERQIRASAAPRRPPGSAAGAGMSVLVTGAAGFVGTHCSLALRKRGDGVVGIDNFNSYYDPSLKKARRSLLASHGVFVIEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVTLLEACKDADPQPAIVWASSSSVYGLNDKVPFTESDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNRVDLARDFTYIDDIVKGCLGSLDTAGKSTGTGGKKRGPAPYRIFNLGNTSPVTVPNLVSILEKHLRVKAKKNVVEMPGNGDVPFTHANISLARQQLGYKPTTNLDVGLKKFFSYYGYTRGSKNLMFFGILGGILFLGEGREEEESNGEQHFSLPFPFIFSMLFCKFRSP >ORUFI02G37030.1 pep chromosome:OR_W1943:2:31808945:31815032:1 gene:ORUFI02G37030 transcript:ORUFI02G37030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAWEKVATAAGLGGSGERRKYPIRVEDYELYEEIGQGVSAIVYRSLCKPLDEIVAVKVLDFERTNSDLNNIMREAQTMILIDQPNVMKAHCSFTNNHSLWVVMPYMAGGSCLHIMKSVYPDGFEEAVIATVLREVLKGLEYLHHHGHIHRDVKAGNILVDSRGVVKLGDFGVSACLFDSGDRQRARNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKFPPMKVLLMTLQNAPPGLDYERDKKFSRHFKQMVAMCLVKDPSKRPTAKKLLKQPFFKQARSSDFISRKLLEGLPGLGARYLALKEKDEVLLSQKKMPDGQKEEISQDEYKRGISSWNFDMDDLKSQASLITECDDSISCKDSDASCFYDLDTILPERATGPHMSRVFSIKYDTDTENDVMSNDKSAVSSPEHPICLARNTSMLRTTNGVHANGQVRKHSSTESSELDLQEKDSDAIPTSSFSSFHERKFSFSSCSSDGFLSSKESSKHQINIHNRDKCNGGPLQVADEPSPEAVPKVPKSSAANVEDHDDRSKPPLIQQRGRFKVTPGHVELDKAHSPGLQKSHSMQAISHLPSLSIPSSIEAASTIIGGSLYMQLYNVLQTNMLQREQILHAMKQLSGCDMAMTSPACIAPASRASSPSSALSIDRSLLEAAHEKEKELVNEITELQWRLVCSQDEIQRLKAKAAQLLSIIYIHKKDESSLTIGGHIYDTLVQLELESQPKPQTSPTSTLSYKLYVHTAEASVIGEPTSLLVSPCVVPPAGECYNRYPVD >ORUFI02G37030.2 pep chromosome:OR_W1943:2:31808945:31815032:1 gene:ORUFI02G37030 transcript:ORUFI02G37030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAWEKVATAAGLGGSGERRKYPIRVEDYELYEEIGQGVSAIVYRSLCKPLDEIVAVKVLDFERTNSDLNNIMREAQTMILIDQPNVMKAHCSFTNNHSLWVVMPYMAGGSCLHIMKSVYPDGFEEAVIATVLREVLKGLEYLHHHGHIHRDVKAGNILVDSRGVVKLGDFGVSACLFDSGDRQRARNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKFPPMKVLLMTLQNAPPGLDYERDKKFSRHFKQMVAMCLVKDPSKRPTAKKLLKQPFFKQARSSDFISRKLLEGLPGLGARYLALKEKDEVLLSQKKMPDGQKEEISQDEYKRGISSWNFDMDDLKSQASLITECDDSISCKDSDASCFYDLDTILPERATGPHMSRVFSIKYDTDTEYINAQDYKRGTCKWPGQETQLHRNSKHQINIHNRDKCNGGPLQVADEPSPEAVPKVPKSSAANVEDHDDRSKPPLIQQRGRFKVTPGHVELDKAHSPGLQKSHSMQAISHLPSLSIPSSIEAASTIIGGSLYMQLYNVLQTNMLQREQILHAMKQLSGCDMAMTSPACIAPASRASSPSSALSIDRSLLEAAHEKEKELVNEITELQWRLVCSQDEIQRLKAKAAQLLSIIYIHKKDESSLTIGGHIYDTLVQLELESQPKPQTSPTSTLSYKLYVHTAEASVIGEPTSLLVSPCVVPPAGECYNRYPVD >ORUFI02G37040.1 pep chromosome:OR_W1943:2:31814972:31817836:-1 gene:ORUFI02G37040 transcript:ORUFI02G37040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPPPPPQIRCASFNQDNSLFYVGTKDGFRIFDAHTGKLHYQKNIGGIGNMEMYFRTNILAIVGTGEQPVLSPRCLRLIDTVAAVTKKDLNFKTSVLAVRLSRTRLVVVLQDRTFIYDVNSTTILEEIETVPNTKGLCAFAPNSEACYLAIPASTSKGSALVYKASEPELICQIDAHESPLAAMAFSSNGTYLATASGKGTIIRVFLVAQATKSHSFRRGTYPSTIYSLSFGPSDDLPDVLVATSSSGSLHMFFLDAARNRRNQTSKLLDSMIPGAITRALDPANHHIIHNVIPAGIKSCVAVHKVENSQNSSKLPALRTVVYIITHDGYFREYSINTTKSNESSWVLQRELNLLDTGCSTPQREEQHKD >ORUFI02G37050.1 pep chromosome:OR_W1943:2:31820072:31821421:1 gene:ORUFI02G37050 transcript:ORUFI02G37050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRLTPSAAIAAIAAFSGDMKSKPTQSVVSGHSSLNDPSSADGGSARCLRLFRLAGWLVTFSSSHALPLTPTVTARGCSVARAGGNGAASAAVSSTGCTLRAFLTAANHRVVVLQHSSC >ORUFI02G37060.1 pep chromosome:OR_W1943:2:31835303:31835954:1 gene:ORUFI02G37060 transcript:ORUFI02G37060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRDFATIKLCWRKDRRGHVSEGCDRSRPKEQTGNLMAFLPKQEKPGKFVDPHHLHLCSPIRGGEEGPPQSAAEVDTVPQSAKVELGGTVELLIQYGDKERMATKRAKPAGGSGRITVVAPPAATGADEGS >ORUFI02G37070.1 pep chromosome:OR_W1943:2:31847486:31857910:1 gene:ORUFI02G37070 transcript:ORUFI02G37070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNNIFRVALLSYIILTIGGKELKSTNSGENTGLTFTNQQVNKTVQTEDGDIYDCVDVNQQPTFKHPLFKHHEIQMEPSSSPIRLDIKSPLVAAVSHAQLSTIDCPIGTIPILRNNKLDTTMVQGISTLASNDLQQLVAGIKYWDEIYGSQASINVYEPKVKQDSNDLSASWIQIGSVPKVGKGVGIGAGSCVYPSFSGDSFARFHISWDNEELKKNCIDHNCPGFVQVSRSVGLGGRVHPISVYNGPQYVIDVLIFKDPKTKNWWLAYGSNNTPIGYWPSSQFSSMKDKYENNKLVTPSIRSAHPRADNPKLYTYDDYGLNDDSPTASSDPPQIGSGHFASEGFGKAAFVRNIQAIEDENNKLVTPSIRSPTASSDPPQIGSGHFASEGFGKAAFVRNIQAIEDENNKLST >ORUFI02G37080.1 pep chromosome:OR_W1943:2:31877397:31879018:1 gene:ORUFI02G37080 transcript:ORUFI02G37080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFAIALTPQGQHRHFPPSPPPPLRALARRRSSYTSRPISGLQRHCRVWIGRFKSLFSHLVASHKYYIFISKESEIIKNLVRIDQRAVPAAAAAEDRRRKGEKRRSGSGETKSSSSSCSSSSMEERVKIGGDGEEEEEEAEEEEAPLEVVRAAKRRFGVVVVVGPPPS >ORUFI02G37090.1 pep chromosome:OR_W1943:2:31885374:31886993:1 gene:ORUFI02G37090 transcript:ORUFI02G37090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRATTTTSPFSSLLLLPISPSAATPPRRARTPPPAVAHGGVRWTAGGRRRRRGCRAAAAVVEDGVLLPKEQEDDASAARYDWREEWYPLYLAKEVPDDAALPLTVFDRQLVLYRDAAGVLRCHEDRCPHRLAKLSEGQLVDGKLECLYHGWQFDGEGKCVKIPQLPDGAKIPRNACARSYEVRDSQGVVWAWMSGTNPPDERKLPWFEPYARPGFTDLSTVHELPYDHSILLENLMDPAHVPISHDRTDWTAKREDAQPLFFEVTERTPRGFAGYWGKQRTPHLRNLLRFEAPCVLTNTLEFVDKDGKEQCFSAHFLCRPAGQGKSMLLVRFGSTQTSPLVKVLPRWYFHQNACKVFEQDMGFLSSQNEVLLREKVPTKELYLNLRSSDTWVAEYRRWMDRAGHGMPYYFGHSTLSPPPVPAVVEQAPAGAVAGMSASFPAKGGVGATHAPNPTNRYFRHVVHCKGCRDSVKRYASLKNAFAALAAAAVAAAILAATRQLKAVLLASAAALAAASYACNWVLSLITTNFIRTHRRL >ORUFI02G37100.1 pep chromosome:OR_W1943:2:31887766:31890614:-1 gene:ORUFI02G37100 transcript:ORUFI02G37100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCAACACEACRTAVGSIGRRSARIAYCGLFALSLFASWALREVAAPLLQSIPCERLRNPFRVIRFGSFFFLSKSIGISHGAPLAAICAGINHFHKTPDREWFETDAVLRVSLGNFVFFTILAIIMAGIKDQKDPRDKIHHGGWMAKIFCWVVIVFLMFFVPNGVVSFYESISKFGSGLFLLVQVVLLLDFVHGWNENWVAKDEQFWYMALLVVSVVCYIVTFSFSGLLFHWFTPSGHDCGINLFFIVFTLILVFVFAIVALHPKINGSLLPASVIALYCTYLCYSGLSSEPRDYECNGLHNHSKAVSTGSLSLGLLTTILSVVYSAVRAGSSATVLSAPDSPRAGADKPLLPFSKADEEAEKKDVPRPVTYSYSFFHLIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIATQWATAGLYIWSLVAPLLFPDREF >ORUFI02G37110.1 pep chromosome:OR_W1943:2:31891742:31895098:-1 gene:ORUFI02G37110 transcript:ORUFI02G37110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEGEDEAASIELQLEHHLQEQRASLTAVDEALAADPSNADLLEVHEELLAAIKDAEEGLLHLKRSRLVKQIDEIFPNQEPTSEAPEVAVDPPDDVEPEPLEPQEFSVGSKCRFRHKDGRWYNGCVIGLEGSSDARISFLTPTSENMLMCKFFLQQRCRFGSNCRLSHGIVIPILSLKQFTPTRWQQSLVGSSILAASGHHSGLWRRAELESWDDDLKVGQVVFQDDGSSARLPSYSLSISEYADESDEDGEGSSSDEGSDFSEDGDQEDESVHQGLGLLESKNLSGVQTETAIFAKWEHHTRGVASKMMAKMGYREGMGLGVSGQGMLDPIPVKVLPPKQSLDHAVAASEVNDSVGPGKKRSRGGKRKREKKFAEQARAAKAEEEERSVFSFINSQLVGQDVAEGSAVKSKKDSSGEANGHAKKEDRRSLLAYDDEVKELRSRVEKLEEMMKRNRKDKAFYEAASKKLKQTRKALADAEATHASATNAVARKEKEKKWLKF >ORUFI02G37120.1 pep chromosome:OR_W1943:2:31897110:31903148:1 gene:ORUFI02G37120 transcript:ORUFI02G37120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIAQINTDLRSSDALRQSSALLQALQQCAAGRDVSALARTVATEILSAPSSAVCKRLALDLLRALPLPPDLLDPLLLSSLRSDLSFPDPDVAASSIASFPSLPSHLLPSLLSSAHADIAAALSSSAESLRLAAVTSLSSLLPRDDLALMCSTNPSLMGHATTWWGRLAELALDPADAVAATAFEALARLFQELDARRMSRLAGDKLVDGEGALAVRAQWAAEAINFIWSRRNMLIARSMVMPVERFRVTVYPLVHAAKMVASGMVNTLRQIAKPGDTTIDDSVESSAEKLVGVSDIISHLLPFLSSLDPPLVFEVGINMLALADVPGGKPEWASAATTAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDANVKGDLSSITSKSLFREELVASLVESCFQLSLPLPEQKNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCGGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIKDLREVHTPRISGRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFKIDSSTTTSSRIQDVQSVLICAQRLGSRNARAGQLLTKELEEFRASTSADSVTKHQSRYVLQIIKYLTNHPDNRWVGVGDATGDYPFSHHKLTVQFLEASAAQDRKLEGLVHKAIEELWRPNPTQLSLLQMKGIGALHKELPKTLSLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTELELHRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFYGSGGSADYEGDYAEEDSQTVRQKRSLRPELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDDTATMICKFVVRASDESITREIQSDLQGWLDDITDGAVEYMPEEEVKSAAAERLKVSMERIALLKAAKPKVPPAKTKEEEEEEKKEQEDLDEFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKALKAQ >ORUFI02G37130.1 pep chromosome:OR_W1943:2:31903369:31916121:1 gene:ORUFI02G37130 transcript:ORUFI02G37130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPEERLDVLTAGGEKTGASKARSEVHRDGDYHRAVHVWIYSESTGELLLQRRADCKDSWPGQWDISSAGHISAGDSSLSSAQRELDEELGIKLPSDAFELLFVFLQECVINNGTYTNNEYNDVYLVTTLTPIPLEAFTLQESEVSAVRYMHLDEYKSCLAKESGEYVPYDVNGTYGQLFSIIEERYKDNIESRSLTLQKQINRYAPIHLEPELTSLSEGDREALGYILKASIVIDDIFYEQVWNSNCTLRDWLKARANYSSFDKLKWLYYSINKSPWSCLDENKAFLSTADSAVKLLTDATKSVSGWKGIEYRAAFPRDNPPGANFYPPDMDKMEFELWKNGLPEKEQKYATGFFTVIKRHDALLPSILAQSDGSNQTKTSDDLFVVPYSEEYKSSLEKAAELLHKASECSDSPSLKNLLKTKANAFLSNDYYESDIAWMELDSNLDVTIGPYETYEDGLFSYKATFEAFVGVRDDIATSQVKLFGDQLQDLEKHLPLDNIYKSDNVSAAPIRVINLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIANACIREEQEDYVDFEPYYTHIVCHECCHGIGPHSITLPTGKRSTVRMELQEFHSALEEAKADIVGLWALNFLIKKGLLPKSLSESMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYEKGAFVLHSDGKFSVDFTKVEDAVESLSREILTIQAKGDKPAAQSLLQSHASLTQPLRVALDKIEHMQVPVDITPIFGTANKLLANDQ >ORUFI02G37130.2 pep chromosome:OR_W1943:2:31903369:31916121:1 gene:ORUFI02G37130 transcript:ORUFI02G37130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPEERLDVLTAGGEKTGASKARSEVHRDGDYHRAVHVWIYSESTGELLLQRRADCKDSWPGQWDISSAGHISAGDSSLSSAQRELDEELGIKLPSDAFELLFVFLQECVINNGTYTNNEYNDVYLVTTLTPIPLEAFTLQESEVSAVRYMHLDEYKSCLAKESGEYVPYDVNGTYGQLFSIIEERYKDNIESRSLTLQKQINRYAPIHLEPELTSLSEGDREALGYILKASIVIDDIFYEQVWNSNCTLRDWLKARANYSSFDKLKWLYYSINKSPWSCLDENKAFLSTADSAVKLLTDATKSVSGWKGIEYRAAFPRDNPPGANFYPPDMDKMEFELWKNGLPEKEQKYATGFFTVIKRHDALLPSILAQSDGSNQTKTSDDLFVVPYSEEYKSSLEKAAELLHKASECSDSPSLKNLLKTKANAFLSNDYYESDIAWMELDSNLDVTIGPYETYEDGLFSYKATFEAFVGVRDDIATSQVKLFGDQLQDLEKHLPLDNIYKSDNVSAAPIRVINLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIANACIREEQEDYVDFEPYYTHIVCHECCHGIGPHSITLPTGKRSTVRMELQEFHSALEEAKADIVGLWALNFLIKKGLLPKSLSESMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYEKGAFVLHSDGKFSVDFTKVEDAVESLSREILTIQAKGDKPAAQSLLQSHASLTQPLRVALDKIEHMQVPVDITPIFGTANKLLANDQ >ORUFI02G37130.3 pep chromosome:OR_W1943:2:31906824:31916124:1 gene:ORUFI02G37130 transcript:ORUFI02G37130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPEERLDVHRDGDYHRAVHVWIYSESTGELLLQRRADCKDSWPGQWDISSAGHISAGDSSLSSAQRELDEELGIKLPSDAFELLFVFLQECVINNGTYTNNEYNDVYLVTTLTPIPLEAFTLQESEVSAVRYMHLDEYKSCLAKESGEYVPYDVNGTYGQLFSIIEERYKDNIESRSLTLQKQINRYAPIHLEPELTSLSEGDREALGYILKASIVIDDIFYEQVWNSNCTLRDWLKARANYSSFDKLKWLYYSINKSPWSCLDENKAFLSTADSAVKLLTDATKSVSGWKGIEYRAAFPRDNPPGANFYPPDMDKMEFELWKNGLPEKEQKYATGFFTVIKRHDALLPSILAQSDGSNQTKTSDDLFVDSNLDVTIGPYETYEDGLFSYKATFEAFVGVRDDIATSQVKLFGDQLQDLEKHLPLDNIYKSDNVSAAPIRVINLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIANACIREEQEDYVDFEPYYTHIVCHECCHGIGPHSITLPTGKRSTVRMELQEFHSALEEAKADIVGLWALNFLIKKGLLPKSLSESMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYEKGAFVLHSDGKFSVDFTKVEDAVESLSREILTIQAKGDKPAAQSLLQSHASLTQPLRVALDKIEHMQVPVDITPIFGTANKLLANDQ >ORUFI02G37130.4 pep chromosome:OR_W1943:2:31903369:31906463:1 gene:ORUFI02G37130 transcript:ORUFI02G37130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGRWLLPTRLLNVCLAALCRGGSLAAAESVLVDAIRLGLPPDVVTYNTLLAAHCRAAGLEAGLAVMGRMREAGVEPDAVTYNSLIAGAARRGLPIHALDLFDEMLRSGIAPDSWSYNPLMHCLFRSGHPEDAYRVFADMAEKGIAPCDTTYNTLLDGMFRAGYAMNAYRMFRYLQRAGLPVSIVTYNTMINGLCSSGKVGYARMVLRELGRTDHAPNIITYTAVMKCCFKYGRFEQGLDTFLSLLDRGYISDVYPYCTVISALVKKGRLGEANNYCDLMLQNGSRLDSVCYNTLIHMRCQEGKLDDAFELVSMMEDGGLESDEYTFAILVNGLCKMGHIEAAEKQLFYMEIKGMQSNVVAYNCLVDALCKFQEVDAAIRLLQCMKLKDDFTYTSLVHGLCRVGRYHMASKFLRICLREGNNVLASAKRAVIAGLRSSGFKNDLRKVRIDWV >ORUFI02G37140.1 pep chromosome:OR_W1943:2:31918953:31920774:-1 gene:ORUFI02G37140 transcript:ORUFI02G37140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKGSDRLSKLPDDILLNILDRLHVRDAARTSVLSRRWRHLPSMLSQLVIDFVHFMPNGASMLSDDVLVWTNAAVVEATKSILERRNPDEYTIHLLRMLFYLNEGDCISIGQTVGHAMTTQKVEMAEFTIIVEKLPTRCTDDDLIDYGRRFMSFFDACPTAFGGLTRLIIGNLRFGESDIHNVLKTCQNLQYLRLFNCDSGNLTVLQLEHPQLNVLNIASCRFESIKLNCLPKLAQLMVEGWLSFQDPLTFGYVPSLEAVRLAGVGLKRHKLVKLSKILGKISVRDLRLNFKSEKIWVQPELPQKLASVFYKLRLVNLFRVPEGCDLTWTMFILEAAPFLKELRMTVWDHWCNMEKDEEMRASLYSSNKSVEWESSAEDFKHHNLSVLTIFCFQSEDFLVAFIKRIMEVAVNLEDVFLYNMLSCDTCKDIRRPCKFPRTKRQMCSLKKRINEGNSFAKFHFLTSVTADHVPISEYP >ORUFI02G37150.1 pep chromosome:OR_W1943:2:31923823:31924131:1 gene:ORUFI02G37150 transcript:ORUFI02G37150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELTAAQLRAYDGSDPSKPIYVSVRGKVYDVTSGRGFYGPGGAYAVFAGREASRALGKMSKDDADVSGDLSGLSDKELGVLADWETKFQAKYPVVARLTE >ORUFI02G37160.1 pep chromosome:OR_W1943:2:31926446:31930780:1 gene:ORUFI02G37160 transcript:ORUFI02G37160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHQYVPRKRRAERSLAPAAPAAIFNVEAEEGRAGDVPLHKRVKEELQLQPPPPSLQDMHILDGSSPLGLRLRKSPSLLELIQMKLAMENTKKEDIKSRSLIASERVKASNFAADFLKIGTWECTSQYEGDLVAKCYFAKHKLVWEVLDAGLKRKIEIQWSDIIALKATCPENGIGTLDLVLARPPTFFKETDPQPRKHTLWQVASDFTGGQASIKRRHILQCQSSLLSKNFEKLIQCDQRLNYLSLQPYMIDSPVFRPKTEGSIFENPNKSKSYHGFSYLEGEHESHLSKYIDHVSPCDFPLMSKKDGMKDDIANQQQSFSRPINWGASDVDLQVDVSQELKSPHPNSLSQARSLSIDDLLSHLDDCIVEQKPAGNNPSLPISEASSNELLEKITQQLLSDSHVAPASDEKRVMARVGSLLSLLQKDAVPANLPKFEPNDSGKIGVVEVGISSALDMGIANGTNPPGISRKDSYEELLSNLFNISEDFDD >ORUFI02G37170.1 pep chromosome:OR_W1943:2:31931330:31933570:-1 gene:ORUFI02G37170 transcript:ORUFI02G37170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPASADPPRIFWKSRRRSASANGRSLQQELNKEAADEQLNNQAHEEAMKIDDANAVSTDDDVHPDPKANLSEKRKALFEPLEPINGKRSSAEMLLPPPDFEPASYPKGWLVGKKRKLVNVDVVESMRRIAIQEMNRKDREINGLNEQLEEDSRVLELLQKQLADERKKRTEIEKENSMLHEQVSMLMNMLDENEAFDEEGEAPPPDTL >ORUFI02G37170.2 pep chromosome:OR_W1943:2:31931332:31934129:-1 gene:ORUFI02G37170 transcript:ORUFI02G37170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEQVSMLMNMLDENEAFDEEGEAPPPDTL >ORUFI02G37180.1 pep chromosome:OR_W1943:2:31935604:31938729:-1 gene:ORUFI02G37180 transcript:ORUFI02G37180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASRAPNPPPPAAAAAENVSSDAGHAEPSCSTPAHHQVFRPVTRSMTRKPTAAAASSDVKGGESASTSKRRDSTDPCFNTQSAAARPSVTRVRTPHKVASSAWKPLTQPIVMSEDLKRASVPSTNPSAKRSRVASSQAAEDSPTVHRGKKRNEESASKGDQLDGAVIPSPSKKLQTGKSPSDVLPKRKPTIRNKDGKLAAPLSMVKLETESGESSVIASSKIGPATTNDNCQSAELAQQLQLDTKNNSNDIITEAIAYGTNQADLLVAPVTTDAIASGSSQVNLSAFPVTAEAIPNRTHQVNHSAGPLNIKAMINRTSLVNKPVAPVNAKAIANRAQQVAAHNKLPSPVIAAPRQNLQDDLQRKLAKLLIARKQPSGQAGATAPLVTPKLEIGKAKGSSSNVLSDPAYANVKALLIKQQEQLLQQFKSANSQPQVHIKGPALTDKDEAPPVEPLGTRCQLCKLDIAFRPQGDDARDNAPPVAAVLGCHHAFHSSCIEAIYGLAEPSQCIGCLDSAKA >ORUFI02G37190.1 pep chromosome:OR_W1943:2:31941653:31945157:1 gene:ORUFI02G37190 transcript:ORUFI02G37190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGESPGFQEASPRRASSSSDAMPSPTTTRLSVGFIRTTVKWSSEISLYVRLSMMITGVSLKDDGKDRWSVMYRPLQTFRNKMGEMVYEMTKPSCNDYLSSADSEQDFDMKQIKFRGEYGNV >ORUFI02G37190.2 pep chromosome:OR_W1943:2:31941653:31945157:1 gene:ORUFI02G37190 transcript:ORUFI02G37190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGESPGFQEASPRRASSSSDAMPSPTTTRLSMMITGVSLKDDGKDRWSVMYRPLQTFRNKMGEMVYEMTKPSCNDYLSSADSEQDFDMKQIKFRGEYGNV >ORUFI02G37190.3 pep chromosome:OR_W1943:2:31941653:31944241:1 gene:ORUFI02G37190 transcript:ORUFI02G37190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGESPGFQEASPRRASSSSDAMPSPTTTRLSMMITGVSLKDDGKDRWSVMYRPLQTFRNKMGEMVYEMTKPSCNDYLSSADSEQDFDMKQIKFRGEYGNV >ORUFI02G37190.4 pep chromosome:OR_W1943:2:31941653:31945207:1 gene:ORUFI02G37190 transcript:ORUFI02G37190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGESPGFQEASPRRASSSSDAMPSPTTTRLSMMITGVSLKDDGKDRWSVMYRPLQTFRNKMGEMVYEMTKPSCNDYLSSADSEQDFDMKQIKFRGEYGNAVVHLFTDHEHHLWAGC >ORUFI02G37200.1 pep chromosome:OR_W1943:2:31947021:31956378:1 gene:ORUFI02G37200 transcript:ORUFI02G37200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACGIRAPGDVLLRKSELSSAAAAAKNYGNGHDDAAVRRKAAAGSPATPRRHPSPNAGRSSAAAAEAAGSQARRSQSTERRPATPSRLSPGGSRAAAPSSRISAPTSPSSAPSSPSSSSSSSSTPVRDAVAAESQSAPRRLAGGRAPPDGLWPSMRSLSSSLQLEAKGKRSNGGSADQAKARDAGDRKRSPSRGRSAAEQQPENPHAKVIDHHRWPAMMGGRVSVSAMSRSVDLTDKISRPALSSIPSRGVSPKKATMASATNALTRSIDLADKIDRLVSLSVSSPRTPTASNGAADESKSMSVSKGTKPAAVAIPSRVSAIITATSGGIRALSKSMDLTEKDIGTLSSAASSPGISPSVSVSSMSNATSQTTAKSTRGLSPRRTSTSIGSGALSRNIDLPENDKRPASSSASLRGNSPRRRLASDSVNAVVKNIDFAEKDSRAAISSTSSRGFSPRRRLASDGLDAISRSTDFSDKDSRPSTSSSSAQRGISPLRRLTISKGTDFTDKSYRPSTSSAASRGVSPRTRLASDSAGNILKSMDLADRDNKPSTSSASLRGMSPRRRLASDGISKNITFTEKDDRTMPSSVASQEISTIRRLPSDGADSISKNIDLPEKVTRPATSSAASRGLSPRRRLASDGVNAISKSIDLADKDTGPARSTAALRGVSPRRQLASDRVDSISKNTDFTEKDKDTRPSTSSGASRGISPRRRLASDGVDDLSKGINFSQKSIRPSTSSMASRGTSPRRRLASDGVNALLKSTDFTDKDHRPSTSSAALRGMSPRNRVTSKSIDAKSLDFSDKDSRPFTPSGASQGTLQEVALASDGINALSEAVDTAVIGSLQSTSSVESGETSDARLNNGSGTVVNRIDFAQEVNIATPDGCNGHISESMDSHDIGTSAPSMSITSQEQSPSRTVSNGPKTLSEDINATKKNNRAMTVKIPSRGASPRRRLASEGFGTIYKSMDFSEKDRTSINMATPSRGMSPRRTARSGIVDMSKSMDFSEKCNGPISSIAPSHVVSARRILGPDGANAMSRSMDLTDKIRQPISSTVRKMSLADSRAKAPDLLSGDIESPGSANGNESQEENAGSSLDAPSNDSEKSAPPKRLARTLSSPSPTKASSISSFTPRRMPSPSRNRPSTPVSPCSSTRSDSASSILSYMGDVTRGKRSPSHMEDAHQLRLLYNRSLQWRFTNAYVDEMQSVQKMSAETMLYSVWDANSSLCDSMVMKRSYVQRLRQEVKLGVVLKEQMDYLTHWAALETEHSTSLSSAIEALRASTLRLPVTGGAKADVFTVKNAVSSAVDIMQAMGSSVCYLLSKLQATHSLVTELSAVAANESSMLNEYRELLGTAAALQVLESSLRTQLIQETE >ORUFI02G37210.1 pep chromosome:OR_W1943:2:31955504:31959297:-1 gene:ORUFI02G37210 transcript:ORUFI02G37210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPISPVALAAGGHAMACSSSSARFMARRPRLVVVARYNASSSSSYESDEEEEEGFGGGGGWGRRDRGPDPDYDPALDIERIEASTVRLLDEEKRMVGVVSVSEAVQIADENDLILAILSLDGDPPVLRLFQEKDYKKHKYEQQKKKRTQQKRSVAKRMGLKELKMGYNIDIHDYSVRLKAARKFLKAGDKVKIMVNLKGRENLYKKQAIELLRRFQNDVGEMATEESKNFQERNIYLVLVPNKIAIQKEQDELNKKDTAIEDSDQSDDQPEESEPVPAQPEESKETETEVSANFQLDIL >ORUFI02G37210.2 pep chromosome:OR_W1943:2:31955976:31959140:-1 gene:ORUFI02G37210 transcript:ORUFI02G37210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLAFAPGVPRVVPRRLAAGGHAMACSSSSARFMARRPRLVVVARYNASSSSSYESDEEEEEGFGGGGGWGRRDRGPDPDYDPALDIERIEASTVRLLDEEKRMVGVVSVSEAVQIADENDLILAILSLDGDPPVLRLFQEKDYKKHKYEQQKKKRTQQKRSVAKRMGLKELKMGYNIDIHDYSVRLKAARKFLKAGDKVKIMVNLKGRENLYKKQAIELLRRFQNDVGEMATEESKNFQERNIYLVLVPNKIAIQKEQDELNKKDTAIEDSDQSDDQPEESEPVPAQPEESKETETEVSANVLLNARLLLLYLTPRM >ORUFI02G37210.3 pep chromosome:OR_W1943:2:31955504:31959297:-1 gene:ORUFI02G37210 transcript:ORUFI02G37210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPISPVASPKPEVGVVSVSEAVQIADENDLILAILSLDGDPPVLRLFQEKDYKKHKYEQQKKKRTQQKRSVAKRMGLKELKMGYNIDIHDYSVRLKAARKFLKAGDKVKIMVNLKGRENLYKKQAIELLRRFQNDVGEMATEESKNFQERNIYLVLVPNKIAIQKEQDELNKKDTAIEDSDQSDDQPEESEPVPAQPEESKETETEVSANFQLDIL >ORUFI02G37210.4 pep chromosome:OR_W1943:2:31956154:31959140:-1 gene:ORUFI02G37210 transcript:ORUFI02G37210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLAFAPGVPRVVPRRLAAGGHAMACSSSSARFMARRPRLVVVARYNASSSSSYESDEEEEEGFGGGGGWGRRDRGPDPDYDPALDIERIEASTVRLLDEEKRMVGVVSVSEAVQIADENDLILAILSLDGDPPVLRLFQEKDYKKHKYEQQKKKRTQQKRSVAKRMGLKELKMGYNIDIHDYSVRLKAARKFLKAGDKVKIMVNLKGRENLYKKQAIELLRRFQNDVGEMATEESKNFQERNIYLVLVPNKIAIQKEQDELNKKDTAIEDSDQSDDQPEESEPVPAQPEESKETETEVSANV >ORUFI02G37220.1 pep chromosome:OR_W1943:2:31966153:31972922:1 gene:ORUFI02G37220 transcript:ORUFI02G37220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGRIVAAVASVVVAWLAVAVGVNGGGCEAERDRVEALPGQPPVAFAQYAGYVAVSEASGRALFYWLTEAAAAAAATKPLVLWLNGGPGCSSIAYGASEEIGPFRIKTNGTGLYLNKYSWNREANLLFLESPAGVGFSYSNTTSDLKTSGDERTAQDALQFLISWMSRFPQYRHRDFYIAGESYAGHYVPQLARKIVEFNKASPYPFINLKGILVGNGVTDNYYDNIGTVTYWWTHAMISDTTYKAIMSSCNFTSANVSRLCNRAMSYAMNHEFGDIDQYSIYTPSCAAAAAANATGRRRGKAAVLRFKDTFLRRRSFGYDPCTETYAEKYYNRPDVQKAMHANITGIPYRWTACSDVLIKTWRDSEFSMLPTYKLLMKAGLRIWVFSGDTDSVVPVTATRFALSHLGLKTKIRWYPWYSAGQVGGWSEVYEGLTFASVRGAGHEVPLFQPRRAFRMFQSFLAGEPLPKS >ORUFI02G37230.1 pep chromosome:OR_W1943:2:31976479:31979499:-1 gene:ORUFI02G37230 transcript:ORUFI02G37230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASTSAAAVAAASRLLVRRAPPRLLRRLPRAALAASRPSPPSSSSYGAAAVALGRQPLGHRARMGHTTAAAAAAGPALGLTKPNAVEPPQVSFAAKDVEFSEWKGDILAIAVTENDLVKGSDSKFENAVLKKLDGQLGGLLSEASAEEDFTGKAGQSVVLRLPGQGFKRVGLIGLGQNAPSTTTACKGIGESVASVAKSAQASSAAIVFASVGGIQEDFKLTAAAAIASGTVLGLHEDSRYKSESKKVHLKQVDLIGFGSGPEVDQKLKYANDLSSGVIFGKELVNSPANVLTPAVLAEEASNIASTYSDVFTATILDVEKCKELKMGSYLGVAAASANPPHFIHLCYKPPGGNAKRKLAIVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVFGAAKALGQIKPPGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKIIDLATLTGACVVALGPSIAGIFTPSDELAKEVAAASEISGEKFWRMPLEESYWESMKSGVADMVNTGGRQGGSITAALFLKQFVDEKVQWMHIDMAGPVWNDKKRAATGFGVSTLVEWVLKNSS >ORUFI02G37240.1 pep chromosome:OR_W1943:2:31983030:31988011:-1 gene:ORUFI02G37240 transcript:ORUFI02G37240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITWPPPPPPGPPPKNSSNSLPSKGNVVSSSPPPPPTFSFGAKDRSTARSRSPRSLRPNQSSKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRTPEIDISELESLFSVAMPNMEEKRARQRPSVAAKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMNSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGFTGNKENLGKCEQFFLEMMKVPRVESKLRILSFKIKFLTQVADLKNSLNTINSVAEEVRNSVKLKRVMQTILSLGNALNQGTARGSAVGFRLDSLLKLIDIRARNNRMTLMHYLCKVLSDKLPEVLDFNKDLTYLEPASKIQLKELAEEMQAITKGLEKVEQELTTSEKDGPGSEIFYKKLKEFLADAQAEGRSLAFLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLSFVKTFERAHAENLRQMELEKKRAQMEAEKEKVKAAAHKEDLLEP >ORUFI02G37240.2 pep chromosome:OR_W1943:2:31983030:31988011:-1 gene:ORUFI02G37240 transcript:ORUFI02G37240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITWPPPPPPGPPPKNSSNSLPSKGNVVSSSPPPPPTFSFGAKDRSTARSRSPRSLRPNQSSKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRTPEIDISELESLFSVAMPNMEEKRARQRPSVAAKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMNSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGFTGNKENLGKCEQFFLEMMKVPRVESKLRILSFKIKFLTQVADLKNSLNTINSVAEEVRNSVKLKRVMQTILSLGNALNQGTARGSAVGFRLDSLLKLIDIRARNNRMTLMHYLCKVLSDKLPEVLDFNKDLTYLEPASKCGGESQIQLKELAEEMQAITKGLEKVEQELTTSEKDGPGSEIFYKKLKEFLADAQAEGRSLAFLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLSFVKTFERAHAENLRQMELEKKRAQMEAEKEKVKAAAHKEDLLEP >ORUFI02G37250.1 pep chromosome:OR_W1943:2:31988295:31989435:1 gene:ORUFI02G37250 transcript:ORUFI02G37250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVCIPGTIGGGGGGGGGGTAGACCPGAAGGGGGGVGGVFIPGIIGGGGGGGGGGTGDACSPGTAEGGCGGGCGGENDDTGNGGGGGGGGGGGGAGDWPLFSGAETTDEVDEYIEFEINVGCIGGGGGNITVDGFRGGGSGGGRGGDAAVEIEINEVRVSEVRGIAGKASGTYVLVLLDSFSMDNRESDDNAVTLEALENSGGNFSRFSQEASGEADDSGGCEGEGMIDLRETLIILVLVFMGKMGETGANETVGGGGGRGGGVHKAIKDAVDAELFTNLSVPVAELVRTVLGVLPCLKVVPLMKHWSLAS >ORUFI02G37260.1 pep chromosome:OR_W1943:2:31989576:31991611:-1 gene:ORUFI02G37260 transcript:ORUFI02G37260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFFKKPPDGLLLITDNIYVFDHCFSMKEMEEDHFEAHIRGVAAHLLDNFGDHSFMISNFGIRDEESPIYHILSEYGMTVLDYPGHYEGCPLLTMEMVHCILKSSESWLSLGQRNFLIMHCEQGCWPILAFMLAALLIYLGQYSDEQKTLDMLYKQSPVELLEMFSPLNPMPSQLRYLRYVSMRNVVPEWPPADRALTLDSVILRMVPDFHGQGGFRPIFRIYGPDPLMPTDQTPKVLFSTPKRSNVVRFYSQADELVKINLQCHVQGDVVLECINLYEDLDREDMVIFSDMDATTSHITTEPVSHQEKQGLGIEEFAKVLDIFNHLDWLDGKKDTSLHIPQRKASSTSQGNIDESPADGSETFFDTKEELDFDSLSVNR >ORUFI02G37270.1 pep chromosome:OR_W1943:2:31994173:32000617:1 gene:ORUFI02G37270 transcript:ORUFI02G37270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEAEEGLMHRRIEFHAARRPPRAVEGAGGRFWVEILSPDADKAAVVAAARSEGLVRGLEKGEGSGGGIDPELRVARMYLRRIGAGLQNFGNTCYLNSVLQCLTYTEPFVAYLQSGEHMSSCRTIGFCALCALQRHVNSALQSTGKILRPVHIVGISRSFRISRQEDAHELMVSLLESMHKCCLPSGVPSGSPSAYEKSLVHRIFGGLLRSQVRCTTCSHCSNKFDPFLDLSLEIANAATLVKALQHFTAEELLDGGEKQYNCEHCRQKVVAKKRFMIEKAPSVLTIHLKRFSPFNPRHKIDKKVQFQPTLNLKPYVSNPEGMEFKYSLYGVLVHAGWNTQSGHYYCFVRTSSGIWHNLDDNEVYQVREADVLRQKAYMLFYVRDRTRSSVMYSDNCTVNLSVNKMISEKITCMNGSIKKDTVETKTLRVPSFVKEDVNLKKQNSENGQSSNISNAPQDQCSKSHSNTEVLEAAASPNNDPASTQKASCIRPDTAAVNLPMKTEQTAPDNRREITSPAQADVSVLHNASFNQKLYEKQLQEHQLETDDALTDSRKDAPAALCTYGVGDGLLGRNGQSSEPHIGPCPAALPIHDGGQGLLGPNGQASEPHTDSCPAAFPIHSGGEGLLGANGQASELHTDPCPAAFPIHSGGEGLLGANGQASEPHTDTCPAAFPIHSAGEGLLGANGQDSEHRTGPIPAAFPVWNGTGVILEKYGQVSGPADPFCKPTPTISDTVSIAQIIPTEHAAVSNGTVSSSDDLTGNTEANESSEFVKNYGEQVMVRDLSAETSGDRANADEQTSMQNNTLEVGKDVAKDTDNVANAEEQVLNHPLAEQVKSEKQIYPGISTTLICSEDTTQLIDKDTGSGKLNKKMNCKSKRQVKYPAVRMFFGPKQLLLASVKLHKKRKHKRSKKHHALSVHIESIITDQQTSTSETVFSKIISHKSRGQKRSCASASSEDGTQLFNKKQHIEGTTNSVPMDNNDTKLASADSNDAKLASAELPSSCTNSLVNQTDSRNNVNANERGPWHFNLLTRGLREITVPRWDDTEIKNTKETEILHPRTRSIGYVLDEWDEEYDRGKRKKIRKPKHGFSGPNPFQETANIRSRQRMRLQSDQTKSGNQPLRI >ORUFI02G37270.2 pep chromosome:OR_W1943:2:31994173:32000617:1 gene:ORUFI02G37270 transcript:ORUFI02G37270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEAEEGLMHRRIEFHAARRPPRAVEGAGGRFWVEILSPDADKAAVVAAARSEGLVRGLEKGEGSGGGIDPELRVARMYLRRIGAGLQNFGNTCYLNSVLQCLTYTEPFVAYLQSGEHMSSCRTIGFCALCALQRHVNSALQSTGKILRPVHIVGISRSFRISRQEDAHELMVSLLESMHKCCLPSGVPSGSPSAYEKSLVHRIFGGLLRSQVRCTTCSHCSNKFDPFLDLSLEIANAATLVKALQHFTAEELLDGGEKQYNCEHCRQKVVAKKRFMIEKAPSVLTIHLKRFSPFNPRHKIDKKVQFQPTLNLKPYVSNPEGMEFKYSLYGVLVHAGWNTQSGHYYCFVRTSSGIWHNLDDNEVYQVREADVLRQKAYMLFYVRDRTRSSVMYSDNCTVNLSVNKMISEKITCMNGSIKKDTVETKTLRVPSFVKEDVNLKKQNSENGQSSNISNAPQDQCSKSHSNTEVLEAAASPNNDPASTQKASCIRPDTAAVNLPMKTEQTAPDNRREITSPAQADVSVLHNASFNQKLYEKQLQEHQLETDDALTDSRKDAPAALCTYGVGDGLLGRNGQSSEPHIGPCPAALPIHNGGEGLLGANGQASEAHSGPCSSAFPIHNGGEGLLGASGQSSEPHTGPCPAALPIHDGGQGLLGPNGQASEPHTDSCPAAFPIHSGGEGLLGANGQASELHTDPCPAAFPIHSGGEGLLGANGQASEPHTDTCPAAFPIHSAGEGLLGANGQDSEHRTGPIPAAFPVWNGTGVILEKYGQVSGPADPFCKPTPTISDTVSIAQIIPTEHAAVSNGTVSSSDDLTGNTEANESSEFVKNYGEQVMVRDLSAETSGDRANADEQTSMQNNTLEVGKDVAKDTDNVANAEEQVLNHPLAEQVKSEKQIYPGISTTLICSEDTTQLIDKDTGSGKLNKKMNCKSKRQVKYPAVRMFFGPKQLLLASVKLHKKRKHKRSKKHHALSVHIESIITDQQTSTSETVFSKIISHKSRGQKRSCASASSEDGTQLFNKKQHIEGTTNSVPMDNNDTKLASADSNDAKLASAELPSSCTNSLVNQTDSRNNVNANERGPWHFNLLTRGLREITVPRWDDTEIKNTKETEILHPRTRSIGYVLDEWDEEYDRGKRKKIRKPKHGFSGPNPFQETANIRSRQRMRLQSDQTKSGNQPLRI >ORUFI02G37280.1 pep chromosome:OR_W1943:2:32001830:32003299:1 gene:ORUFI02G37280 transcript:ORUFI02G37280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERNAAPRVSASSSAAASPSTVGALLSKASVAAAPAREIPSPRSLLSRILHRSGGGGGFGCRLRLPRYCSSGAAAKEDAAAEYVEVEVEAEAAAPKVVGRQAVDRESPRSSLGKKAAEEVSPASLGLGASLVLLLSKSAAELNRMAELRAQMERLVLDTKGEEEARSSNHPNASDDHADITKEEPTAFSGGALSRCSRTAAAPGNAGHHAAVPMDQMEAELEAELTLLQCATPRRDRQLEIGDDEEESTDTHAATFADADDDTDGADDEEEEEEEESGAAAQGGVSARELERRLHELLQWRHEERIAELETALERARKRLQEKEREVCWWRNTAKLVTRHKDDSRLR >ORUFI02G37290.1 pep chromosome:OR_W1943:2:32004269:32014765:-1 gene:ORUFI02G37290 transcript:ORUFI02G37290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLAISIVTLCLTYLVLVGGVKIHIDRDGIIGSTIPSKDLNMTIQELIVQKTGDGDVYDCVDIYKQPAMNHPLLKNHIIQMEPSSYPLDLDIQSILSSNISESNFPDIKCPTGTIPILRHNSSEAHMPNGGSQEEYAGIKYWDDNSFYGTHATLSVNQPFLTRNNGDHIASFVQLNNGPEEIAAGSIVWPSFSGDNFVRFHIRWVDSSNKPCYDFNCPGFVQVSQLAGIGGKITPVSIYNGPQYIITVMLFQDRKTKDWWLARLDKSSAIGYRPLGYWPSKLFDTLQEKATYAFWGGWVRGPTVSLDPPPMGSGHFAKEGYRKAALVKGIRIANKDNNFVNPNVGKATTVTTRGLCYTVDGFGVLKMANNHTDDDDNEKTGNANTDPRVVPAKCPFGYDSNNTFKLGPLSCVDCPLCGADIQGIEPDDELQGLVDRFIDGHARIKRSHAAGDGEAASDKTKVIYEHVSMERGAFLVQQAMRAFRAQNIESAKSRLSMCAEDIREELKSKEDNQELCSQLGAVLGMLGDCCRTLGDAPSAITYYEESAEFLSKLPKKDLELVHTLSVSLNKIGDLCYYDGDLHSARSYYARSLDVRRSAVKEHSAVASQVIDVATSLAKVADVDRNLGNESMAVEGFEEAIKCLENLKLESGEASLEQRRLSVLDFLQKQLDDK >ORUFI02G37300.1 pep chromosome:OR_W1943:2:32018012:32020147:-1 gene:ORUFI02G37300 transcript:ORUFI02G37300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNNVSQLALLLSYLVLTTRGEELKPINNGENTSRILTTLQVNKTIQMEPSSFPIGMDIKSPLVGAISQAQLSTIDCPIGTIPIVRNNNLANMMVQRIGTLANDDLPMLGAGIEYWDEIYGMQASINVYEPSVKKDSKDVSASWIQISVVPKGTNGIGIGAGSCVYPRSGDSFARFHIRWDNEELNKSCSDHNCPGFMQVSHSVGLGGRINPISVYNGPQYVINVLIFKVPCLSM >ORUFI02G37310.1 pep chromosome:OR_W1943:2:32020866:32026089:-1 gene:ORUFI02G37310 transcript:ORUFI02G37310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTILQRIGELASNESRMLGAGIEYWDEVYGIRGSIYVYDPKVKKDSQDLTASWIQISNLPKAAVGVGIGVGSCVSPSLSGDNFARFHIFWVRHTMGQKESMMDHDPKTENWWLAYGSNNTPIGYWPSSQFSYLKAKGDYAFWGGYVQGPIAASDPPQMGSGHFASEGFGKTTFIRNIQVIEDKNNKLVTPNIRDSDPFSSDPKLYSYDGYGLNDNGMHVYYGGPGKYS >ORUFI02G37320.1 pep chromosome:OR_W1943:2:32030130:32034329:1 gene:ORUFI02G37320 transcript:ORUFI02G37320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNARQMRGGGGGGGGGQDDFFDQMLSTLPAVWSELGSGKPAWDLTAGAVGGGGGASDDHSAAAFDDSALLASRLRQHQIDGGGDKPIMLQLSDLHRHHGLAAGDDSGGAAGFLPLSLFADRSQDDIDAAFKSPNGARGDHALYNGFGAAGMHGAAAMQPPPFGQGGSMPAQSFGGGAAASGGGGGGSASAAAAAGASSGGGAAAPPRQRQRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGASAVAPLVANMSSESNGNANATSSSGNGEAANGSSNGDNNGGGTLRVTEQQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISSATSSSLLPRTGGGAGGSLHEGGNGTSPPLVNGTATGCDDAGVFFSVKFVVELSFLLLNEDCRGKEESKLLVQKGP >ORUFI02G37320.2 pep chromosome:OR_W1943:2:32031092:32034329:1 gene:ORUFI02G37320 transcript:ORUFI02G37320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYLDYVTAAKHKNRRHGHLSNVPASSVLHELDREHYKSHSYMPWLALLELPASRLISCFAQTVICFVVSEAIMGADLMGFGIKTDIHLHILADRVAPAQSRVTRITVTEMTMWNFVLQTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGASAVAPLVANMSSESNGNANATSSSGNGEAANGSSNGDNNGGGTLRVTEQQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISSATSSSLLPRTGGGAGGSLHEGGNGTSPPLVNGTATGCDDAGVFFSVKFVVELSFLLLNEDCRGKEESKLLVQKGP >ORUFI02G37320.3 pep chromosome:OR_W1943:2:32030130:32032167:1 gene:ORUFI02G37320 transcript:ORUFI02G37320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNARQMRGGGGGGGGGQDDFFDQMLSTLPAVWSELGSGKPAWDLTAGAVGGGGGASDDHSAAAFDDSALLASRLRQHQIDGGGDKPIMLQLSDLHRHHGLAAGDDSGGAAGFLPLSLFADRSQDDIDAAFKSPNGARGDHALYNGFGAAGMHGAAAMQPPPFGQGGSMPAQSFGGGAAASGGGGGGSASAAAAAGASSGGGAAAPPRQRQRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKVPRELNIKKGMD >ORUFI02G37330.1 pep chromosome:OR_W1943:2:32036003:32039763:-1 gene:ORUFI02G37330 transcript:ORUFI02G37330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKEEEGGPSSRVPHLPWMRNPVDIDSFSGCPVAHLPRLDPRLVKPLQRMGIESFFPVQVAAWLETIGPGAFERDICINSPTGSGKTLAYALPIVQMLATRKVRCLRALVVLPTRDLALQVKEVFDAIAPVVGLSVGSAVGQSSIADEVSNLIEKSKQGLFPSLDEEYIQMEPQTKVDILVATPGRLMDHISMTKGFSLEHLQYLVVDETDRMLREAYQSWLPTVIQLTRSSDQNHSWGVERGFKGKSFPRLAKIVLSATLTQDPSKLSQLELQHPLLLNSGKKRYRIPTKLQSYKLVCKSNLKPLSLIVLLQELRGEKCLVFTSSVESSHRLSTLLEFFEDLPFKFSEYSRLQRESTRRKTLDAFKEGKIDVLIGTDRMARGIHIDGLRYVINYDMPPYVKTYIHRAGRTARAGESGSCFTFLRKHEVKAFDKMLKKADNSSCSLHSLPEESVETLRPVFSSALKKLEESLESEATKKSKSGDKAPNASKRKRTINT >ORUFI02G37340.1 pep chromosome:OR_W1943:2:32040168:32041430:1 gene:ORUFI02G37340 transcript:ORUFI02G37340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAAAAAADDDDDYHATTGGMEPRGEEDNGASTRGVTGRLLAWRGEEEDDDDAEDSGGGSGAGANADEDDAASAVSLDTISNDSILLPPEPTSANLASLLRARKLILVVDLDHTLINSTRFAHLSDDEKANGFTERTGDDRSRGLFRMGLFRMITKLRPFVHEFLREASAMFEMHVYTLGNRNYATAVAKLLDPDGAYFGERIISSGESSQPDRKSLGDVFGWAPEMERAAVVILDDTAEVWKGYRDNLIEMERYLYFASSRGKFGIAARSLAERNRDESEREGALAVALRVLRRVHGEFFSGSVCSGSFADVREVIRQARREVLRGCTVAFTGVIPSGDGGRASDHPVWRRAEQLGATCADDVGEGVTHVVAGKPVTRKALWAQTHGKFLVDTEWINAAHFRWSKPEERMYPVKGEH >ORUFI02G37350.1 pep chromosome:OR_W1943:2:32042926:32044094:1 gene:ORUFI02G37350 transcript:ORUFI02G37350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGEVEDYASAGAAPNPGGIAGEKEGDLDAEDSGGGSGADDAAAAVSHGATSTDSIVLPPEPTSGHLASLLRARKLILVVDLDHTLVNSTADYDISGTEDYAAAVAKLLDPDGVYFGERIISRDESPQPDRKSLDVVFGSAPASAAERAAVVILDDTAEVWEGNSDNLIEMERYHYFASSCRDFGSPWECTHSLSERGVDESERAAALRVLRRVHAGFFAGGGGSFVADVREVIRRTRREVLRGCTVAFTRAIASDDHHSVWRRTEQLGATCADDVGPAVTHVVATNPTTFKAVWAQVFGKLLVNPEWINAAHFRWSKPKEEHFPVRW >ORUFI02G37360.1 pep chromosome:OR_W1943:2:32047502:32049278:-1 gene:ORUFI02G37360 transcript:ORUFI02G37360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLILKLFGGLVSVEDEHERQESGSSFCHTIVIAMEHASSSLWCSSSPSSAATARLLAPCSHFDRARAAAQSAVGHVAEVAAYRLIFLDSHHSFYDGLYVGGVADARIRPALRTLKQNLSLLLSMLVDRAQPVAVREVMKASFQPAKLNDYLKPYAWKFQGEENLDDIYSDALKKIPDLALHSSGAQGLILANTLDCLLPTNANVIQGEECMYSQQNTDNYGIKYGNQLPFLLNQVAETHLVDQIIERHTYSLQYTKLIATVAWQVLTELSINPQFLSSGLRLVHASEEIHEVILVVEWNTFSAVLSRFSMAGLLEFNPRRDNHMVVMTGIYAWLIMLCNAWLSDAPTQIGMVAVLEHQVLQEELQTSFDPGGFLHRLGDKPNFKKKRLSGSRLGYTWAARQPVAKKPKQAQAETIQGQQQLTGEEGK >ORUFI02G37370.1 pep chromosome:OR_W1943:2:32050559:32051440:1 gene:ORUFI02G37370 transcript:ORUFI02G37370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPTAAVIPKPGGIGVGGGGGDDEEPVEIREVWADNLEEEFALIRDVVDEFPFVAMDTEFPGIVCRPVGAFRSPADYNYATLKANVDMLHLIQLGLTFSSPRGELPALGPGRRRCVWQFNFREFDDARDIFASDSIELLRRSGIDFRRNSERGVDARRFAELLMSSGVVLNDSVYWVTFHAGYDFGYLLKILTCSSLPDTQAGFFKLMKIYFPTVYDIKHLMKFCNSLHGGLNKLAELLDVERVGESHQAGSDSLVTSCAFWKLKDSFFAGSTEKYAGVLYGLNAENVVSAH >ORUFI02G37380.1 pep chromosome:OR_W1943:2:32053775:32055612:-1 gene:ORUFI02G37380 transcript:ORUFI02G37380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVRRSRLLALLVGASRPNPRPFCCSSSSSSAPPATAREDGDGGDLLSRCLLRIPRKSGRAAAAAAVERWARERGRVSPPELRRDVVRLRRARRYEQALEILSWMDSHNDFRLSPSDHMVRLDLIAKVHGTSQAEEYYRKLSTAASKKAASFPLLHCYVTERNVQKAETFMAELQRYGLPVDPHSFNEIMKLYVATCQYEKVLSVIYLMKRNNIPRNVLSYNIWMNACAEVSGLASVQSAFKEMLNDDMVEVGWSTYCTLANIFKKYGQSSKALACLRTAETKLSSTGRLGYSFIMTCYAALNDRDGVIRLWEASKIVPGRIPAANYMSAMVCLIKVGDIGRAEWTFGSWEAESKKHDVRVSNVLLGAYVRNGWIEKAERLHLHMLEKGAHPNYKTWEILMEGFVQSKQMDKAVNAMKKGLSLLKTCHWRPPLELLEAIAKYFEEQGSVEDADRFIKVLQKFNLTSLPLYKSLLGAYINADIVPQNIPQMIAGDQIDMDEEMDQLIIRASKIDIT >ORUFI02G37390.1 pep chromosome:OR_W1943:2:32056391:32060183:-1 gene:ORUFI02G37390 transcript:ORUFI02G37390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAEERKGVVPAARRRDQFPVGMRVLAVDDDPVCLKVLETLLLRCQYHVTTTNQAAIALKMLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVLKGITHGACDYLLKPVRIEELRNIWQHVIRRKFSTRDRANLDFYEECNKPPNADSDHVHGHVTCGSPDQSGRPSKKRKEYCSEEEDEGEVNTQDIDDPSAPKKPRVVWSVELHRKFVAAVNQLGIDTCTGNDKYRLYLKRLSAVASQQVSIVAALGGRDPFLHMGGFEGLQGYQAFTSSAALSSFTPHGLLNSPRNNPAALGTQGVPASKSIQTMSGSHTLSHSINDANKYHLSLPGNQKGNLGQGLATSLGQTQMQQKWIHEETDDLSTILSGNGLSNGMSGTLQSVTSSPLLPQELAECTQAKIVSQPSIRTSSVSSEHIEGAVGVSSGLLESRVSQQSTIPLSGFSANGLLIHGSFNNTCANKLGGTSSSCAPARSSNDLMVARDTKGGASSFGGAMLLPPDTEQKYLNFGGGNGLKQKFDDRTADSLFDLKFVWSSVPSSQLASNIGAHHAMSQRWNNSSSNSSNIGARMIGQATSSGSTVIPQMKTDFLVSGDMAMPKNASDLSIPKLQSELSSSSCSFDGLLNSIVKVEKDDVTFSDDLGCGDFYSLGACI >ORUFI02G37390.2 pep chromosome:OR_W1943:2:32056391:32060183:-1 gene:ORUFI02G37390 transcript:ORUFI02G37390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAEERKGVVPAARRRDQFPVGMRVLAVDDDPVCLKVLETLLLRCQYHVTTTNQAAIALKMLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVLKGITHGACDYLLKPVRIEELRNIWQHVIRRKFSTRDRANLDFYEECNKPPNADSDHVHGHVTCGSPDQSGRPSKKRKEYCSEEEDEGEVNTQDIDDPSAPKKPRKYRLYLKRLSAVASQQVSIVAALGGRDPFLHMGGFEGLQGYQAFTSSAALSSFTPHGLLNSPRNNPAALGTQGVPASKSIQTMSGSHTLSHSINDANKYHLSLPGNQKGNLGQGLATSLGQTQMQQKWIHEETDDLSTILSGNGLSNGMSGTLQSVTSSPLLPQELAECTQAKIVSQPSIRTSSVSSEHIEGAVGVSSGLLESRVSQQSTIPLSGFSANGLLIHGSFNNTCANKLGGTSSSCAPARSSNDLMVARDTKGGASSFGGAMLLPPDTEQKYLNFGGGNGLKQKFDDRTADSLFDLKFVWSSVPSSQLASNIGAHHAMSQRWNNSSSNSSNIGARMIGQATSSGSTVIPQMKTDFLVSGDMAMPKNASDLSIPKLQSELSSSSCSFDGLLNSIVKVEKDDVTFSDDLGCGDFYSLGACI >ORUFI02G37400.1 pep chromosome:OR_W1943:2:32073249:32076554:-1 gene:ORUFI02G37400 transcript:ORUFI02G37400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSSVAARLAFFPPEPPTYELVAEEGGGGGGVVRMTGVSADAGVEVHALPTKGGTRVVAAFWRHPSARLTLLYSHGNAADLGQMLGLFLELRAHLRVNIMSYDYSGYGASTGKPSEYNTYCDIEAVYDCLTKVYGIEPEDLILYGQSVGSGPTLHLASRLEKLRGVVLHSAILSGIRVLYPVKVTLWFDIFKNIDKIKQVDCPVLVIHGTADDIVDFSHGKRLWELAKEKYEPLWVKGGGHCNLETYPEYIRHLRKFINAMEKLSKDKTAKAPQLAPSSSNNNMTEVKHNKCLRFGKR >ORUFI02G37410.1 pep chromosome:OR_W1943:2:32082624:32090186:-1 gene:ORUFI02G37410 transcript:ORUFI02G37410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIAKTTQASATEYYLHDLPSTYNLVLVEVVSRGRFLKSVRCKHDEGLLLVKVYFKRAGEPLDLKEHERRLERIRNAFEGLEGSHVWPFQVWLQTDKAAYLLRQYFYSNLHDRLSTRPFLSKIEKKWLAFQLIHAVEQSHSKGICHGDIKCENVLVTSWNWLYLADFASFKPTYIPDDDPSDFSFFFDTGGRRRCYLAPERFYEHGAETQVAADAPLQRSMDIFSLGCVLAELFLEGQPLFELSQLLAYRRGQYDPIHTLEKVSGIVPCSFCVAQIILVILVIGPAISYALSFIPSVFLPNSQEIQDTGIRDMVLHMIQLDPKERLSCKNYLQKYESVVFPVYFSKFLHKFFSDIVPLHSDARVEKTQEYFEKMHDIMISGSTLEKIQGSATVPVHNELSGKMGIGGQTVNSPKEYAKSVPVVKINSPAQHKHIVGDLNFLLKELEKDDRTSTKITQDRDRSVTPNVSHANGIQSLQQVCQAGRTESGLMGQNNCVSHLQKISKSNLLVLVSSYDAQSDTYNFDIFQQTEYKVSCEGMVLLASLLCSCIRSVKKPELRRASLILLKICSTYIDDDSRLQLVIPYVIAMLSDPAAIVRCAALETLSDVLCLIQDFPISDAKIFPEYILPMLSLLPDDTEESVRVCYASNIYKLALTAYRFLLRSRSIEDARPLDESMVAPRSQSVESPVKRQQDSINGQLARLRKSIYEIVQELVMGQKQTPNVRRALLQDIGYLCYFFGHRQTNDFLLPILPAFLNDRDEQLRAVYFGQIVVVCYFIGSRSVEEYLLPYLEQALSDEMEVVLVKVLDCLTMMCKSGYLRKRAIVGLFGKALPLLCHPVQWVKRSAVRFVAACSESIGPVDTFVYLFPHLKHFLHREPPSLSSETALLSCIKPPVSKLVLYQALEDVHDAGDILLKGDGKKELTVHGGRYPAMVQSGSSIMIEDDSRLRGPKMSNDVSLNIKDFSSASSAYISSAVHGSSSFYDGVPKGIPLYSMQGTALHANLGSDRHGSLSSSVPGIRTRENHFSNMHRDGSISDSMNSSSSIPGDIISNSDNSGSFTRPALSLETSWKPRGVLVAHLQEHRLSVNDIAVSTDNTFFVTASDDSSVKIWDTRKLEKDIAFRSRLTYSLGSSRALCTTMLHGTPQVIVGASDGTLHLFSVDCARGVGSVIERYSGIVDVKRKDLKEGAILSVVNCSTESFSPTILFSTEHCGIHKWDTRTNAESWSFRSSPEEGYVSALVVGQCGNWFISGSSRGVLTLWDNRFLLPVNSWKYLPATPIEKLCLFIPPPNSISSSGRPVVFVAAGCNEVTLWDAENGSRHQIFRTASTENEAVVPKAPSIHPNTRDETRPANYKYRIEELCDPPPRLPGIRSLLPLPGGDLLTGGTDLKIRYWDQARPEQSFCIAGPSVKGAGNDETYDIRSSSCVQVVQEICRQPTTASRLTHKTQLAMAAADSAGCHRDAILALASVNLSSHRLISGSRDGAVKVWK >ORUFI02G37420.1 pep chromosome:OR_W1943:2:32092912:32093181:-1 gene:ORUFI02G37420 transcript:ORUFI02G37420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGPAACPPPPPAAAGLLPAASSLFAVASPPPARLMEEGVEGRRRKGRLMGERRGEEEEKRKERRKRIGSEKRKRGDVLILSKYLSGT >ORUFI02G37430.1 pep chromosome:OR_W1943:2:32106970:32107209:1 gene:ORUFI02G37430 transcript:ORUFI02G37430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQARRPRFPRGPAAALLRYQFKTVRPPTKDIVELLPEGGSRTVPRSVLHGSATGGETIFRRSADFHSSPGCNKNRG >ORUFI02G37440.1 pep chromosome:OR_W1943:2:32111407:32111909:-1 gene:ORUFI02G37440 transcript:ORUFI02G37440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWTVDRRGGGGGSVVRGSKVCGRPGRGGGCERGARRLRGVACPAALPPHGRPLRPSDESGYPCSAPSTSYDAPHYSADKPSVSAPSTSSRPTPPPTSNGEAWCRREMAWPGLSGRAAGKLSRCLLRLGGRRGAARWGKEAGQRGRRR >ORUFI02G37450.1 pep chromosome:OR_W1943:2:32112197:32113036:1 gene:ORUFI02G37450 transcript:ORUFI02G37450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >ORUFI02G37460.1 pep chromosome:OR_W1943:2:32117623:32118227:-1 gene:ORUFI02G37460 transcript:ORUFI02G37460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLGSLMVVHRGVILQEDADMAGHVRDGGGCGAGVRRGGEDHVRAPRAHQLPPPAASSSFLSAALVAKLHRFNLASVQATQRQREAAATAAAASSASATTPPLGNAAAADDDARTTTTYGAEWSGRFLEEQHVEQMIDELLDSNFSMEICY >ORUFI02G37470.1 pep chromosome:OR_W1943:2:32127293:32128076:1 gene:ORUFI02G37470 transcript:ORUFI02G37470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >ORUFI02G37480.1 pep chromosome:OR_W1943:2:32130626:32135203:1 gene:ORUFI02G37480 transcript:ORUFI02G37480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLEDVRNETVDLETIPVEEVFQHLKCSKQGLSAAEGQNRLNIFGPNKLEEKTESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGRPPDWQDFVGIVVLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWQEQDASILVPGDIISIKLGDIIPADARLLEGDPLKVDQAALTGESMPVNKHAGQGVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNIGHFQLVLTAIGNFCIISIGVGMIIEIIVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVYGRGLDKDSVLLYAARASRVENQDAIDTCIVGMLADPKEARAGIKEVHFLPFNPVEKRTAITYIDGNGEWHRISKGAPEQIIELCKMSKDAEKKVHTLIDQYADRGLRSLGVSYQKVPEKSKESEGEPWQFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETARRLGMGTNMYPSTTLLGDKSSEMSGLPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALLWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLKEIFATGIVLGTYMALITALFFYLAHDTDFFTETFGVRSIKTNEKEMMAALYLQVSIISQALIFVTRSRSWSFVERPGALLVIAFLAAQLVATCIAVYAEWEFCKMQGIGWGWGGAIWAFSVVTYFPLDVLKFIIRYALSGRAWNNINNKTAFVNKNDYGKGEREAQWATAQRTLHGLNQSLRELHTLKGHVESVVKLKGLDIDTIQQSYTV >ORUFI02G37490.1 pep chromosome:OR_W1943:2:32135501:32139373:-1 gene:ORUFI02G37490 transcript:ORUFI02G37490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWDEGAVFYSDQAQFPRGGPGGDPSADLTRHSALRKFKEFLRGFTGPTGDFPYRESLVHNRDHVTVAIEDLDAFDAELSDKIRKSPADYLPLFETAASEVLASLRSKVAGETGEMEEPATGDVQIFLSSKENCLSMRSIGADYMSKLVKIAGITIAASRVKAKATHVTLLCKNCRSVKTVPCRPGLGGAIVPRSCDHVPQPGEEPCPLDPWIAVPDKSKYVDLQTLKLQENPEDVPTGELPRNMLLSVDRHLVQTIVPGTRLTVIGIYSVYQASANQKGAVGVKQPYIRVVGLEQSRDANSNGPSNFTLDEEMEFKEFAQRPDAYVKICSMIGPSIYGHSDVKKAIACLLFGGSKKFLKFVEKTAPIAVYTSGKGSSAAGLTASVIRDGSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPIAGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDVRMYDQDKRIASHIIKVHASGAAASSKNTDASEGENWLKRYIEYCRVTCKPRLSEKAAEMLQNKYKMRQQAHETGRAAAIPITVRQLEAIIRLSESLAKMRLTSVATPEHVEEAFRLFNVSTVDAARSGINEHLNLSPDIANEIKQAEAQIKRRMGIGSHISERRLIDELNRMGMNESIVRRALLIMHQRDEVEYKRERHVIVRKA >ORUFI02G37500.1 pep chromosome:OR_W1943:2:32140227:32141141:1 gene:ORUFI02G37500 transcript:ORUFI02G37500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGKSKFAVADITSTAWRGRRQGGQRAPPSLNMPSTTPPPPQSSLPPSTGEKQDGNSDVEGNLEAVSPLAQTAHATGFGAKINDNDGHPAASREGEGRRGRRPPVASAREAAILKTKWNLSGRKASRETRPTQLHSILCSRSCDGDSKAITIHPLSSSSSSA >ORUFI02G37510.1 pep chromosome:OR_W1943:2:32141922:32145371:1 gene:ORUFI02G37510 transcript:ORUFI02G37510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIGKAWCFDPNFGRVMMALAVDVSRFEGVPMAPPDPILGVSEAFKADQNDLKLNLGVGAYRTEELQPYVLNVVKKAETLMLEKGENKEYLPIEGLAAFNKATAELLFGADNPVLKQGRVATLQSLSGTGSLRLAAAFIQRYFPEAKVLISSPTWGNHKNIFNDAKVPWSEYRYYDPKTVGLDFEGMIADIQAAPDGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKKHMPFFDVAYQGFASGSLDEDASSVRLFVQRGLEVFVAQSYSKNLGLYAERIGAINVVCSTPEVANRVKSQLKRLARPMYSNPPIHGARIVANVVGDPTMFGEWKQEMEEMAGRIKNVRQKLYDSLSAKDDSGKDWSFILRQIGMFSYTGLNKTQSDNMTDKWHIYMTKDGRISLAGLSLAKCEYLADAIIDSFHNVS >ORUFI02G37520.1 pep chromosome:OR_W1943:2:32147218:32147874:1 gene:ORUFI02G37520 transcript:ORUFI02G37520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVLGSHDALDNRMHLGAFAVSPASKPRRRRHSKAASPPPKVAAVSSPPVVKSAARVASPPPKAAAAAAVPQGRRSPVARKQGSPTKELPKQRLVMGEIRILKRGEEPPVSSPSPAPVAAQAAAVDQRAPRVPAVAQAAPTDQKAPRPARSKQQQHTAAVPTKIKSVADAPVYAGPAFSSASPEPSSLPFPAFIRRAEAEATRGLRCLLRIGELP >ORUFI02G37530.1 pep chromosome:OR_W1943:2:32151307:32153304:-1 gene:ORUFI02G37530 transcript:ORUFI02G37530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLPFLVAAAAALVAVSLSAPGADGFYLPGSYPHKYNPGEPLSVKVNSLTSIDTEIPYSYYSLPFCTPPDGVKDSAENLGELLMGDRIENSPYRFRMHANDSDLFLCRSPPLAADAFNLLKKRIDEMYQVNLILDNLPAIRYTKKDDYFLRWTGYPVGIRVGVDYYVFNHLQFTVLVHKYEEANVARVMGTGDATDGFPSTGKDGSGGAAGSSGWMVVGFEVVPCSIKHNPDDVKSLKMYGKYPRSIKCDPTTVSMSIKENEPIVYTYEVSFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVFVILLRTVRRDLTRYEELDSEAQAQMNEELSGWKLVVSDVFRAPSNPMLLCVMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYLVLGILAGYAGVRVWKTIKCGDHTGWMAVSWRVACFFPGIAFLILTTLNFLLWGSQSTGAIPFSLFVILILLWFCISVPLTLVGGLLGAKAPHIEYPVRTNQIPREIPPQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVLLLLVIVCAEVSLVLTYMHLCVEDWKWWWKSFFSSGSVAIYIFLYSINYLVFDLKSLSGPVSATLYLGYSLFMVIAIMLATGTVGFISSFCFVHYLFSSVKAD >ORUFI02G37540.1 pep chromosome:OR_W1943:2:32163647:32167705:1 gene:ORUFI02G37540 transcript:ORUFI02G37540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIIEGEGPSKTASSEELEGGFSDYYRGAAWLLPLSASSFHRVHQLLLLQSLAVGSGYQPVNNRSSLYEMGNVKIIAATYGWKGTMIATGITVIEAKEDSIVILNILMIAIGLGTMILKVLRRLENNSIQSSKLDVAPLCNNGKIEKAAACKRGIERRNTPKEKEKSEGKHQGDIFFLFCTLWSIYQRYLYFETE >ORUFI02G37540.2 pep chromosome:OR_W1943:2:32163647:32167705:1 gene:ORUFI02G37540 transcript:ORUFI02G37540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIIEGEGPSKFLPSKVRFCTASFGLRFECRGAGIGWLGFRTASSEELEGGFSDYYRGAAWLLPLSASSFHRVHQLLLLQSLAVGSGYQPVNNRSSLYEMGNVKIIAATYGWKGTMIATGITVIEAKEDSIVILNILMIAIGLGTMILKVLRRLENNSIQSSKLDVAPLCNNGKIEKAAACKRGIERRNTPKEKEKSEGKHQGDIFFLFCTLWSIYQRYLYFETE >ORUFI02G37540.3 pep chromosome:OR_W1943:2:32163414:32167705:1 gene:ORUFI02G37540 transcript:ORUFI02G37540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIIEERRAVKSSREGSVITTAVLPGCYLFLPLHSTASTSFYFFSPWLSDRATNQSTTGEILRIIPPQKRSSLYEMGNVKIIAATYGWKGTMIATGITVIEAKEDSIVILNILMIAIGLGTMILKVLRRLENNSIQSSKLDVAPLCNNGKIEKAAACKRGIERRNTPKEKEKSEGKHQGDIFFLFCTLWSIYQRYLYFETE >ORUFI02G37550.1 pep chromosome:OR_W1943:2:32168837:32175222:1 gene:ORUFI02G37550 transcript:ORUFI02G37550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIVQTITFCQVKQIFAPYSPHLFPEAFPGVSSGDCQGKDKAAEKHCQGDPDQYGNHRSPRIVSLKHHWWWMMNTVWDGLDETRDFDGHILFIEEDHYIFPNAYRNAQLLVDLKPSKCPQCYAINLAPSDVKAKGEGWESLVAEKMGNIGYAFNRTVWRKIHAKAKQFCTFDEYNWDITMWATVYPSFGSPVYSLRGPRRSAAHFGKCGLHQGQGLSNVCVDNGDATVQLDAIDKVPNIKANWPVHIIKRQEGYQAGFKGWGGWGDKRDQELCLSFAYMHHFKDPSSP >ORUFI02G37550.2 pep chromosome:OR_W1943:2:32168837:32175222:1 gene:ORUFI02G37550 transcript:ORUFI02G37550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIVQTITFCQVKQIFAPYSPHLFPEAFPGVSSGDCQGKDKAAEKHCQGDPDQYGNHRSPRIVSLKHHWWWMMNTVWDGLDETRDFDGHILFIEEDHYIFPNAYRNAQLLVDLKPSKCPQCYAINLAPSDVKAKGEGWESLVAEKMGNIGYAFNRTVWRKIHAKAKQFCTFDEYNWDITMWATVYPSFGSPVYSLRGPRRSAAHFGKCGLHQGQGLSNVCVDNGDATVQLDAIDKVPNIKANWPVHIIKRQEGYQAGFKGWGGWGDKRDQELCLSFAYMHHFKDPSSP >ORUFI02G37550.3 pep chromosome:OR_W1943:2:32168639:32175583:1 gene:ORUFI02G37550 transcript:ORUFI02G37550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSHHRARLRSRAAPLLAVVVLAVLALTAILRASRHDFVPGAARAAAGVGGGGNNHTIAQRKILLDPDFTPRLPRQSALSLSLSQRNALPPRNADRFPRLPDGHLNIVLYVHNRPRYLRLVVDSLSRAHGIGEALLIVSHDGYFPEMDKIVQTITFCQVKQIFAPYSPHLFPEAFPGVSSGDCQGKDKAAEKHCQGDPDQYGNHRSPRIVSLKHHWWWMMNTVWDGLDETRDFDGHILFIEEDHYIFPNAYRNAQLLVDLKPSKCPQCYAINLAPSDVKAKGEGWESLVAEKMGNIGYAFNRTVWRKIHAKAKQFCTFDEYNWDITMWATVYPSFGSPVYSLRGPRRSAAHFGKCGLHQGQGLSNVCVDNGDATVQLDAIDKVPNIKANWPVHIIKRQEGYQAGFKGWGGWGDKRDQELCLRPVYNTVVIICLVNEWCWDYAANTARQPVGVGGCHK >ORUFI02G37550.4 pep chromosome:OR_W1943:2:32168639:32175583:1 gene:ORUFI02G37550 transcript:ORUFI02G37550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSHHRARLRSRAAPLLAVVVLAVLALTAILRASRHDFVPGAARAAAGVGGGGNNHTIAQRKILLDPDFTPRLPRQSALSLSLSQRNALPPRNADRFPRLPDGHLNIVLYVHNRPRYLRLVVDSLSRAHGIGEALLIVSHDGYFPEMDKIVQTITFCQVKQIFAPYSPHLFPEAFPGVSSGDCQGKDKAAEKHCQGDPDQYGNHRSPRIVSLKHHWWWMMNTVWDGLDETRDFDGHILFIEEDHYIFPNAYRNAQLLVDLKPSKCPQCYAINLAPSDVKAKGEGWESLVAEKMGNIGYAFNRTVWRKIHAKAKQFCTFDEYNWDITMWATVYPSFGSPVYSLRGPRRSAAHFGKCGLHQGQGLSNVCVDNGDATVQLDAIDKVPNIKANWPVHIIKRQEGYQAGFKGWGGWGDKRDQELCLRPVYNTVVIICLVNEWCWDYAANTARQPVGVGGCHK >ORUFI02G37560.1 pep chromosome:OR_W1943:2:32177266:32179236:1 gene:ORUFI02G37560 transcript:ORUFI02G37560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAQQVDPPPHGCIASQLQSPCDVAPSRPQQPPPSLFASSAFDPRVPSIHLQFFETVVELLWGNFFDGEITIGSLSSLITYCSPGFLPPRDRRSRAVNSGISH >ORUFI02G37560.2 pep chromosome:OR_W1943:2:32177266:32179441:1 gene:ORUFI02G37560 transcript:ORUFI02G37560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAQQVDPPPHGCIASQLQSPCDVAPSRPQQPPPSLFASSAFDPRVPSIHLQFFETGWPLGENH >ORUFI02G37570.1 pep chromosome:OR_W1943:2:32187298:32192642:-1 gene:ORUFI02G37570 transcript:ORUFI02G37570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSSRADAPPRRRAAARGLGLGLAGCFGGGSSGAATGGGGGGGATAASSSRAHEVESWQAARAVAEMDFRASVAAKDIRISSESDPRVHPSSSTISHHLRFNHLNSHENKEDALGTEIAETSVRQSSSGKEVMPRGNFSNEVAYAEITSREGISHIGRDILEPAANNAETDTVCIPEVGGPVSESGFSSSQRASERIMADLEAGEIAHGTSSTTIMSSERSDTSQSSLTSVLPATSTAPSTIGESLPDTVPSREDVPIFSGTQGEIGGNTLHDDMMSIFSNDGPARVRDSSSNEMRRSHRRVLWDTFSRRSSRGYLDSDTDDLGFYSRWLDLGDELFADEIEEARFFHRRRHGSIRVSQYSRSRIREHRRAVFDSGTDQSTVACPLGIHQIGRCTCDSFLIAEESSARASISRIVMLTEALFEVLDEIHRQPASLSLSMVSAQAPESVVNSLPCKSYKKQTAQCSDDMEQCHICLTEYEDGDQIRSLPCKHEFHLLCVDKWLKEVHRVCPLCRGDVCEGAA >ORUFI02G37580.1 pep chromosome:OR_W1943:2:32196457:32203382:1 gene:ORUFI02G37580 transcript:ORUFI02G37580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPAPAAAAAETPTTAPAFEDCLRLLRGERDEQKLAGLLVAANLCRAGDAASVVEVYRAVGPRFLRRLLNTGLGKVEGGKEEDREAYLRLSVTVLSGLARFPEVAADEGVVSTVPLIAEIVSKSSDLAITEECFELLSLITIASDGGAHTFCEPGVMDMLFLQISNFPDGSRCLELAIHLMQLLVHKIRIDNMTVEKLQGMTSMVTSLARLFAVLHTTVKFEALHMLTALLSQKESPLHDALRSVPSMIWKCQIRVGITAVLQNRVVSSEKLQALLLAECMMSILGENWLSEEYKVPDDQNMMPVDKFVLLVLESARIEVSVLLNELAYLKYESSKNSQRDDAISQKERNLAILFSLIERIIKMISNASSGEGAVCQTIRESTIMKAITGLNETVGLVLDFLQDAKDHGQRKGDDLLAAVRIVGSYLAEAPYACKEKIRHLLEFIFSVEGQDESSSFHSICFMLPMLSQITMEADGCRILASFGGYKMVIDCLIKMIEQNGMMIDTGNMFLACDTIINFLSNMKNAHIQMGSCFVGLLKALVSWTGTADDSSVIITASCLCTLVLDLTTEEFLLSSSDFDSKTLEKLSKLIARSLHQGIPDDDIEQSNQKQIILSGKYYTLFNINWKKEKKSSHLICYRLQEVV >ORUFI02G37580.2 pep chromosome:OR_W1943:2:32196457:32203382:1 gene:ORUFI02G37580 transcript:ORUFI02G37580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPAPAAAAAETPTTAPAFEDCLRLLRGERDEQKLAGLLVAANLCRAGDAASVVEVYRAVGPRFLRRLLNTGLGKVEGGKEEDREAYLRLSVTVLSGLARFPEVAADEGVVSTVPLIAEIVSKSSDLAITEECFELLSLITIASDGGAHTFCEPGVMDMLFLQISNFPDGSRCLELAIHLMQLLVHKIRIDNMTVEKLQGMTSMVTSLARLFAVLHTTVKFEALHMLTALLSQKESPLHDALRSVPSMIWKCQIRVGITAVLQNRVVSSEKLQALLLAECMMSILGENWLSEEYKVPDDQNMMPVDKFVLLVLESARIEVSVLLNELAYLKYESSKNSQRDDAISQKERNLAILFSLIERIIKMISNASSGEGAVCQTIRESTIMKAITGLNETVGLVLDFLQDAKDHGQRKGDDLLAAVRIVGSYLAEAPYACKEKIRHLLEFIFSVEGQDESSSFHSICFMLPMLSQITMEADGCRILASFGGYKMVIDCLIKMIEQNGMMIDTGNMFLACDTIINFLSNMKNAHIQMGSCFVGLLKALVSWTGTADDSSVIITASCLCTLVLDLTTEEFLLSSSDFDSKTLEKLSKLIARSLHQGIPDDDIEQSNQKQIILSGKYYTLFNINWKKEKKSSHLICYRLQEVV >ORUFI02G37590.1 pep chromosome:OR_W1943:2:32203600:32206586:-1 gene:ORUFI02G37590 transcript:ORUFI02G37590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSEPLLAEIIKRIAKTSDRNSLSLVSKQLYTIDAEQRDAIHVGRGLHPATEALASLCSRFPNLWKVVIDYSGWISIHGKQLDNQGLLVLSSHCSSLTDLTLNFCSYIDDSGIGYLAYCKKLRSFRLNFAPAISSRGLLSVAVGCKSLCTFHLVDCMKVGSVEWLEYLGRAGSLEELVVKDCKGISQYDLLKFGRGFMKLQRFEYEINGNYWSSAARDPAYVAHYPYRYDICCENMKELRLAHIVTKPEIGLRFLLGKCRALEKLWLEYVIGLTDNDVIALFQNCGNLRSLSLRLIPLLCHAIYFRTALTDDSLKALALYCPMLQVLELTFTFCSEDYPSEVGFSQEGIVNLVQSCPIRVLMLNGASNFDDEGMKGLSSSRSLETLELVDCMFIGDLGMRSIARTPNLRNLTLRKCVCVTDNGVSELVHAQNLESLTIIGCHRISLKAVQGAARSVYYSAESEKHESLKGMKMTSLSNCDWIQLAATLFQLMKPLAMRIRAF >ORUFI02G37600.1 pep chromosome:OR_W1943:2:32216414:32221613:1 gene:ORUFI02G37600 transcript:ORUFI02G37600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEELEGAERLDFGGVAELETTPADFEMEKVCENTVSLDFKQARSSSFVPVIRSGDWSDIGGRDYMEDAHVCISDLANNFGHNSVDDEIISFYGVFDGHGGKDAAHYVRDNLPRVIVEDADFPLELEKVVRRSFVQTDSQFAERCSHQNALSSGTTALTAMIFGRSLLVANAGDCRAVLSRRGTAIEMSKDHRTCCLNERKRIESLGGYVDDGYLNGQLAVTRALGDWHLEGLKEVGEPGGPLSAEPELKMITLTKEDEFLIIGSDGIWDFFSNQNAVDFTRKRLQEHNDLRLCCKQIVEEAIRRGASDNLTAVMVSFHQEAPPQLRVNRTGRVERSISAEGLHSLRVLLEGQ >ORUFI02G37610.1 pep chromosome:OR_W1943:2:32221355:32224494:-1 gene:ORUFI02G37610 transcript:ORUFI02G37610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAAARGGGVIPAGKGGSLRSPGKPVVLADITNTGRPNPTGSVHAIADVLKENAKLRHLLAERNKVIEVSRVELQKIRLALQAMQQKNLQLVQANSQMFAEINQGKDRIKLLQHELACTIAVLKVKGSELEKMSKTSNNQQNRAKILEKKTRSSKCAPTKAHQMAAGSIREHLVEIQSAVPSYTSCHEPPQDKTNKRCTNRRKSESCEVTMDTNTVQHSCRPHVEYNGSSHDDDPRKTRRRRSARLNPGSFEVAEICDKLHEDATVPSAPSSNVPKLQEPNAGKDMICGGKMKSLQKELPCDAIAQVVEAPELKEIQEADSSVAGGEAHKFDIEDPEPPRKSMRIDANKRKLESCESRLASNKEDCINAICDSTSSVPIQHEQKRKLSRRKSSRLDPGPWEVTNGTFEIVQEDTVAPSAPSSSNALIEQTKNDMQNDRSCSTKPSDEQVIGRRSSVGRPSRRAAEKIVSYKEVPLNIKMRRP >ORUFI02G37620.1 pep chromosome:OR_W1943:2:32226787:32227450:1 gene:ORUFI02G37620 transcript:ORUFI02G37620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPKLSMATAVILLLVVMAMEVEGIRLDAETRAATSNQMVNKSTENVPKDSGDSLGEAKRSIAGNEVRAVAHKLPEFHEDYYGPSDHTPRHH >ORUFI02G37630.1 pep chromosome:OR_W1943:2:32231650:32236543:1 gene:ORUFI02G37630 transcript:ORUFI02G37630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVSLLRIAAVLLAILPFCATHPSPGFHAPREFHKALVPDRYGFVARRSIAEAPVDVNVTTNSSFVLAQERTYRKDPLNGFRKYTGGWNISEVHYMASVGYTAFPLFIIALVWFVLFFLVMLGICCKHCCCPHRSYTYSRVAYALSLILLILFTCAAIVGCVMLYDGQGKFHKSTTTTLNFVVSQANFTVENLNNLSDSLSAAKKVDIGRSFLPNDVQNQINEIQGKLNSSATELATRTTDNSEKIQKLLNQVRIALIIIAAVMLLLAFIGFLLSIFGLEFIVSILVIIGWILVTGTFILCGVFLLLHNVVADTCVSMEEWVAHPTEHTALDDIIPCVEPATANESLYRSRQVTYQLVNLVNQVITNVSNGNFPPQTPFFYFNQSGPLMPTLCNPFTADLNNRTCTRGEVTLDNATRVWKNFECQTTTVSGTEICTTVGRVTPTILGQMAAGVNVSQGLYQYGPFLIQLEDCTFVRDTFTNINQNHCPGLERYSKWVYVGLVMVSSAVMLSLVFWVIYARERRHRAYSKQHNYADKPHPAGPDA >ORUFI02G37640.1 pep chromosome:OR_W1943:2:32250046:32250567:-1 gene:ORUFI02G37640 transcript:ORUFI02G37640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATRRPSGPVLSAAHYRSASPTRVKLAGGGARASVSVSSVSRRSCMCSPTNHPGSFRCSLHKEQKRSVHHKAAAAPSSPPSPTSPPASGGAVRLGGARRMGGSALVRIGAVESGQWARRALAATIRPSPAAQQAQHRRRVAGLRPRPSRLSAVSMAGDRAGDNHHHHR >ORUFI02G37650.1 pep chromosome:OR_W1943:2:32263055:32269775:1 gene:ORUFI02G37650 transcript:ORUFI02G37650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALCSASPAISAAAAAALGAAARRLRVRVRAASRPYCAAPAPPRAAAAVGAQSWRARRRFAASAASTTTEEEEEGAGAEVMIPPDNRIPATIITGFLGSGKTTLLNHILTAHHGKRIAVIENEYGEVDIDGSLVAAQTAGAEDIMMLNNGCLCCTVRGDLVRMIGELVDKKKGKFDHIIIETTGLANPAPIIQTFYAEDTVFNDVKLDGVVTLVDAKHARLHLDEVKPKGIVNEAVQQIAYADRIIVNKIDLVSEPEVSSLVERIRSMNRMAHLKRAEYGKVDLDYVLGIGGFDLERIESASHMTTTQGMNINMTMNTIITTIITMTMNTNMTIMHTITPMILADMWLGNLLLERSDDIYRMKGLLSVSGMPQRFVFQGVHDIFQGSPERMWEPNEPRINKIVFIGKNLNGEELEKGFKDCLLKK >ORUFI02G37660.1 pep chromosome:OR_W1943:2:32267218:32270169:-1 gene:ORUFI02G37660 transcript:ORUFI02G37660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGVVKKEIGGNHDVLRFGVNDSVKGDLAPPHPLQASVHKANMKDVLIDDLSVARFQRPPGALPSSMLGYEALTGSLDDLGFEDYLNLPQDSESFRAPDMHHGMEVRLGLSKGPYLYRSLDRDRDRDIFKDKFYSRKAQNHEAGDSHPVCRKNVQTRFSLISSVGNP >ORUFI02G37660.2 pep chromosome:OR_W1943:2:32268151:32270169:-1 gene:ORUFI02G37660 transcript:ORUFI02G37660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGVVKKEIGGNHDVLRFGVNDSVKGDLAPPHPLQASVHKANMKDVLIDDLSVARFQRPPGALPSSMLGYEALTGSLDDLGFEDYLNLPQDSESFRAPDMHHGMEVRLGLSKGPVCPSFN >ORUFI02G37670.1 pep chromosome:OR_W1943:2:32273989:32274372:1 gene:ORUFI02G37670 transcript:ORUFI02G37670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNKTMALLLATLVAVVAVVRATEEKDIEEAVCSEHCNDEEKEGTIDHKHCVDICILTNRELFGALERGIKPSMEQFSALCNEGCSKEFKEDPATNKKCVDSCIVDAKELNGRLAKGGASSVPARA >ORUFI02G37680.1 pep chromosome:OR_W1943:2:32275701:32283887:-1 gene:ORUFI02G37680 transcript:ORUFI02G37680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWGVELWNCCSAAPPTHKRQQVQRRELQLVAVSAMLIDCKYEEIWAPEVNDFIFISDSAYTREQILAMEKGILNKLQWNLTIPTPYVFIMMLSASADNKSDKEHMAFFFAELALMQYGLVAYASAVYAARPNPLPFIVEQLEIISFTNAEALKFKRLSQSRQQLIDWSVKIKISKEHGGFMRFIQVSCLGASASSSRMLRAKAAGEESVLKEFPEPLRLLISHRQSMGTCILNFHSRIQPVYVVDVAAAIVNSLKDDGTSMGKSYGLGGPEIYTVHDLAELMYETICEWPRYIDVPLPIARLTVSSRCGILDSGGEQRGIHDNRDRQWLGSVLEPLEFEKDDFFQKKKDWGPVQI >ORUFI02G37680.10 pep chromosome:OR_W1943:2:32275701:32283887:-1 gene:ORUFI02G37680 transcript:ORUFI02G37680.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWGVELWNCCSAAPPTHKRQQVQRRELQLVAVSAMLIDCKYEEIWAPEVNDFIFISDSAYTREQILAMEKGILNKLQWNLTIPTPYVFIMMLSASADNKSDKEISKEHGGFMRFIQVSCLGASASSSRMLRAKAAGEESVLKEFPEPVYVVDVAAAIVNSLKDDGTSMGKSYGLGGPEIYTVHDLAELMYETICEWPRYIDVPLPIARLTVSSRCGILDSGGEQRGIHDNRDRQWLGSVLEPLEFEKDDFFQKKKDWGPVQI >ORUFI02G37680.11 pep chromosome:OR_W1943:2:32275503:32283349:-1 gene:ORUFI02G37680 transcript:ORUFI02G37680.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEHMAFFFAELALMQYGLVAYASAVYAARPNPHCQEKVSSSAENAEALKFKRLSQSRQQLIDWSVKIKISKEHGGFMRFIQVSCLGASASSSRMLRAKAAGEESVLKEFPEPVYVVDVAAAIVNSLKDDGTSMGKSYGLGGPEIYTVHDLAELMYETICEWPRTVVVNSVAFMTIEIGNGWGHGQQIKMFS >ORUFI02G37680.12 pep chromosome:OR_W1943:2:32275701:32283349:-1 gene:ORUFI02G37680 transcript:ORUFI02G37680.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEHMAFFFAELALMQYGLVAYASAVYAARPNPHCQEKVSSSAENAEALKFKRLSQSRQQLIDWSVKIKISKEHGGFMRFIQVSCLGASASSSRMLRAKAAGEESVLKEFPEPVYVVDVAAAIVNSLKDDGTSMGKSYGLGGPEIYTVHDLAELMYETICEWPRYIDVPLPIARDSGGEQRGIHDNRDRQWLGSVLEPLEFEKDDFFQKKKDWGPVQI >ORUFI02G37680.13 pep chromosome:OR_W1943:2:32275503:32279725:-1 gene:ORUFI02G37680 transcript:ORUFI02G37680.13 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAQISKEHGGFMRFIQVSCLGASASSSRMLRAKAAGEESVLKEFPEPVYVVDVAAAIVNSLKDDGTSMGKSYGLGGPEIYTVHDLAELMYETICEWPRYIDVPLPIARLTVSSRCGILDSGGEQRGIHDNRDRQWLGGQQIKMFS >ORUFI02G37680.14 pep chromosome:OR_W1943:2:32280338:32283887:-1 gene:ORUFI02G37680 transcript:ORUFI02G37680.14 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWGVELWNCCSAAPPTHKRQQVQRRELQLVAVSAMLIDCKYEEIWAPEVNDFIFISDSAYTREQILAMEKGILNKLQWNLTIPTPYVFIMMLSASADNKSDKEYGLVAYASAVYAARPNPRPNRMLRPSSSKDYHRAGNNSLIGQ >ORUFI02G37680.15 pep chromosome:OR_W1943:2:32283349:32283887:-1 gene:ORUFI02G37680 transcript:ORUFI02G37680.15 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWGVELWNCCSAAPPTHKRQQVQRRELQLVAVSAMLIDCKYEEIWAPEVNDFIFISDSAYTREQILAMEKGILNKLQWNLTIPTPYVFIMMLSASADNKSDKEVKSHGFYYISLLSDKFKRVLKISFGEC >ORUFI02G37680.2 pep chromosome:OR_W1943:2:32275701:32283887:-1 gene:ORUFI02G37680 transcript:ORUFI02G37680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWGVELWNCCSAAPPTHKRQQVQRRELQLVAVSAMLIDCKYEEIWAPEVNDFIFISDSAYTREQILAMEKGILNKLQWNLTIPTPYVFIMMLSASADNKSDKEYGLVAYASAVYAARPNPHCQEKVSSSAENAEALKFKRLSQSRQQLIDWSVKIKISKEHGGFMRFIQVSCLGASASSSRMLRAKAAGEESVLKEFPEPLRLLISHRQSMGTCILNFHSRIQPVYVVDVAAAIVNSLKDDGTSMGKSYGLGGPEIYTVHDLAELMYETICEWPRYIDVPLPIARLTVSSRCGILDSGGEQRGIHDNRDRQWLGSVLEPLEFEKDDFFQKKKDWGPVQI >ORUFI02G37680.3 pep chromosome:OR_W1943:2:32275503:32283887:-1 gene:ORUFI02G37680 transcript:ORUFI02G37680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWGVELWNCCSAAPPTHKRQQVQRRELQLVAVSAMLIDCKYEEIWAPEVNDFIFISDSAYTREQILAMEKGILNKLQWNLTIPTPYVFIMMLSASADNKSDKEHMAFFFAELALMQYGLVAYASAVYAARPNPHCQEKVSSSAENAEALKFKRLSQSRQQLIDWSVKIKISKEHGGFMRFIQVSCLGASASSSRMLRAKAAGEESVLKEFPEPVYVVDVAAAIVNSLKDDGTSMGKSYGLGGPEIYTVHDLAELMYETICEWPRYIDVPLPIARLTVSSRCGILDSGGEQRGIHDNRDRQWLGGQQIKMFS >ORUFI02G37680.4 pep chromosome:OR_W1943:2:32275701:32283887:-1 gene:ORUFI02G37680 transcript:ORUFI02G37680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWGVELWNCCSAAPPTHKRQQVQRRELQLVAVSAMLIDCKYEEIWAPEVNDFIFISDSAYTREQILAMEKGILNKLQWNLTIPTPYVFIMMLSASADNKSDKEHMAFFFAELALMQYGLVAYASAVYAARPNPHCQEKVSSSAENAEALKFKRLSQSRQQLIDWSVKIKISKEHGGFMRFIQVSCLGASASSSRMLRAKAAGEESVLKEFPEPVYVVDVAAAIVNSLKDDGTSMGKSYGLGGPEIYTVHDLAELMYETICEWPRYIDVPLPIARLTVSSRCGILDSGGEQRGIHDNRDRQWLGSVLEPLEFEKDDFFQKKKDWGPVQI >ORUFI02G37680.5 pep chromosome:OR_W1943:2:32275701:32283887:-1 gene:ORUFI02G37680 transcript:ORUFI02G37680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWGVELWNCCSAAPPTHKRQQVQRRELQLVAVSAMLIDCKYEEIWAPEVNDFIFISDSAYTREQILAMEKGILNKLQWNLTIPTPYVFIMMLSASADNKSDKENAEALKFKRLSQSRQQLIDWSVKIKISKEHGGFMRFIQVSCLGASASSSRMLRAKAAGEESVLKEFPEPLRLLISHRQSMGTCILNFHSRIQPVYVVDVAAAIVNSLKDDGTSMGKSYGLGGPEIYTVHDLAELMYETICEWPRYIDVPLPIARLTVSSRCGILDSGGEQRGIHDNRDRQWLGSVLEPLEFEKDDFFQKKKDWGPVQI >ORUFI02G37680.6 pep chromosome:OR_W1943:2:32275503:32283887:-1 gene:ORUFI02G37680 transcript:ORUFI02G37680.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWGVELWNCCSAAPPTHKRQQVQRRELQLVAVSAMLIDCKYEEIWAPEVNDFIFISDSAYTREQILAMEKGILNKLQWNLTIPTPYVFIMMLSASADNKSDKENAEALKFKRLSQSRQQLIDWSVKIKISKEHGGFMRFIQVSCLGASASSSRMLRAKAAGEESVLKEFPEPVYVVDVAAAIVNSLKDDGTSMGKSYGLGGPEIYTVHDLAELMYETICEWPRYIDVPLPIARLTVSSRCGILDSGGEQRGIHDNRDRQWLGGQQIKMFS >ORUFI02G37680.7 pep chromosome:OR_W1943:2:32275701:32283887:-1 gene:ORUFI02G37680 transcript:ORUFI02G37680.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWGVELWNCCSAAPPTHKRQQVQRRELQLVAVSAMLIDCKYEEIWAPEVNDFIFISDSAYTREQILAMEKGILNKLQWNLTIPTPYVFIMMLSASADNKSDKENAEALKFKRLSQSRQQLIDWSVKIKISKEHGGFMRFIQVSCLGASASSSRMLRAKAAGEESVLKEFPEPLRLLISHRQSMGTCILNFHSRIQPVYVVDVAAAIVNSLKDDGTSMGKSYGLGGPEIYTVHDLAELMYETICEWPRYIDVPLPIARDSGGEQRGIHDNRDRQWLGSVLEPLEFEKDDFFQKKKDWGPVQI >ORUFI02G37680.8 pep chromosome:OR_W1943:2:32275701:32283349:-1 gene:ORUFI02G37680 transcript:ORUFI02G37680.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEHMAFFFAELALMQYGLVAYASAVYAARPNPHCQEKVSSSAENAEALKFKRLSQSRQQLIDWSVKIKISKEHGGFMRFIQVSCLGASASSSRMLRAKAAGEESVLKEFPEPLRLLISHRQSMGTCILNFHSRIQPVYVVDVAAAIVNSLKDDGTSMGKSYGLGGPEIYTVHDLAELMYETICEWPRYIDVPLPIARLTVSSRCGILDSGGEQRGIHDNRDRQWLGSVLEPLEFEKDDFFQKKKDWGPVQI >ORUFI02G37680.9 pep chromosome:OR_W1943:2:32275701:32283887:-1 gene:ORUFI02G37680 transcript:ORUFI02G37680.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWGVELWNCCSAAPPTHKRQQVQRRELQLVAVSAMLIDCKYEEIWAPEVNDFIFISDSAYTREQILAMEKGILNKLQWNLTIPTPYVFIMMLSASADNKSDKENAEALKFKRLSQSRQQLIDWSVKIKISKEHGGFMRFIQVSCLGASASSSRMLRAKAAGEESVLKEFPEPVYVVDVAAAIVNSLKDDGTSMGKSYGLGGPEIYTVHDLAELMYETICEWPRYIDVPLPIARDSGGEQRGIHDNRDRQWLGSVLEPLEFEKDDFFQKKKDWGPVQI >ORUFI02G37690.1 pep chromosome:OR_W1943:2:32278893:32289697:1 gene:ORUFI02G37690 transcript:ORUFI02G37690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSINLWSTKSVALSHAGAIILEGVDNSSSNIHNINRLNPAKTKRCHVGRHVQQAAKMEARHMRSKQQQHNQGLEIWKSMCKKVGVNKDEIDPLKNKLSGTTNLSKNVQRIDWKITGGAAEQQFQSSTPQDPAILGGRSEGLATCDTDIDDIQRCAMPSAPPQDQINIRGLK >ORUFI02G37700.1 pep chromosome:OR_W1943:2:32289715:32291052:-1 gene:ORUFI02G37700 transcript:ORUFI02G37700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDWAELLEDVLLTIMERLDIPDLIRSSAVCASWCAASSAVRRARFPLPSSAKQLPCLFYACEAYSPNNAVVHCPFTGESIQVPFLLGVVTEHSVVGVGHGWIVTADEVSNLRLINPITGAQACLPPITGIHHVEKSFTGAGNNGALMYNVFVSSTPGLDPEPLLLTANEARECMYHRVVLSCSPSTGEGACVALLAHMECGELSFAQPGDERWTWVSLDKHPCFGGFEDFFHNDDDGLFYALCIDGSIYTLDLNGDSPIVRQITGKVPQRWYPSTMYLLREPWGDILQVRRWRSYVDLMATSSSEHPNNLEVDDDDDDLDPIVGINDDIYPYLELKTTDIEVFKVDFDRKKLVKMKSLDDHALFLGYNSTMCISTKDYPMLKPNCAYITDDSSEYVYMYKNSWREIGIWDMKSKSLQSFACTENSPPWLNWPFPVWIKPSLF >ORUFI02G37710.1 pep chromosome:OR_W1943:2:32298389:32301299:1 gene:ORUFI02G37710 transcript:ORUFI02G37710.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRATTALPSVTGGGSDKGSLPYSGHESVTAPVGSCEEEVVVVDGIRERRGSGGWTTTAYYGLVKPSPARIRRWPSLAAASGDASGEHRACTSWNSERLPGESGSTGD >ORUFI02G37720.1 pep chromosome:OR_W1943:2:32301577:32308211:1 gene:ORUFI02G37720 transcript:ORUFI02G37720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKTRTPVKLLGREDASAMTTCHNEKTDAAYTIGSYTATITECTSGPPLFSLLHFASSVGAGDDGCSGAGDDSDGDGSARTPEVEGRWAVGRGGGAASNEARTPAVEVWLAENGEGGGAAGDSANTPTVKAWWAKDGEGAGAAGDGEGGGAADDGEGGSTVGDDARTPTMEAW >ORUFI02G37730.1 pep chromosome:OR_W1943:2:32311140:32312751:-1 gene:ORUFI02G37730 transcript:ORUFI02G37730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWQSGAIVGRGIAPKDEESGGDGGRQCRPSSRSRRDGVHVRARPQDIPKLNSISEENVVNKIVEIQIIQQETKDKLYLKHNSSGICNTKSAYKEVVKRENQNTNQMWTVGI >ORUFI02G37740.1 pep chromosome:OR_W1943:2:32312513:32325115:1 gene:ORUFI02G37740 transcript:ORUFI02G37740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLCHTIHQIQPTRRLERHIWPKIGFLELARRLAATTTKVAGSHGDHGSPSISQACSEDAIGFWKPFLHQFQSADAGTGGESPGRELVTHEVAGRRPGVAARAQPQPAKPLRVVSIAAAAVVMAPADTPRRGRDSVSVRASAMAVALAPRRKTDPVGDEKAAVGAVGSCWRASLMPVAVGAGGAPIGSGGRTTAAYKGSSGHPPPGSGGGRRLPPGSGDGSGGRLGSKILAPAVATLLPPSPPPPRRRSASLGEPGGEPGWRWRGHLLCLPAPVDEEQEGMRIQRRLGDEEIWAVDPVMSRCVGAGSGSVGVVLRSASASSTTRPAVATSWRMSSPARGRPPVNLRRDGWGWISASTAPWPTTAAALTTSLALGSSIMHGRPRGSSIE >ORUFI02G37740.2 pep chromosome:OR_W1943:2:32312513:32325115:1 gene:ORUFI02G37740 transcript:ORUFI02G37740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLCHTIHQIQPTRRLERHIWPKIGFLELARRLAATTTKVAGSHGGVGRWQCGSRADAGTGGESPGRELVTHEVAGRRPGVAARAQPQPAKPLRVVSIAAAAVVMAPADTPRRGRDSVSVRASAMAVALAPRRKTDPVGDEKAAVGAVGSCWRASLMPVAVGAGGAPIGSGGRTTAAYKGSSGHPPPGSGGGRRLPPGSGDGSGGRLGSKILAPAVATLLPPSPPPPRRRSASLGEPGGEPGWRWRGHLLCLPAPVDEEQEGMRIQRRLGDEEIWAVDPVMSRCVGAGSGSVGVVLRSASASSTTRPAVATSWRMSSPARGRPPVNLRRDGWGWISASTAPWPTTAAALTTSLALGSSIMHGRPRGSSIE >ORUFI02G37740.3 pep chromosome:OR_W1943:2:32312513:32321557:1 gene:ORUFI02G37740 transcript:ORUFI02G37740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLCHTIHQIQPTRRLERHIWPKIGFLELARRLAATTTKVAGSHGDHGSPSISQACSEDAIGFWKPFLHQFQSELS >ORUFI02G37740.4 pep chromosome:OR_W1943:2:32322486:32325037:1 gene:ORUFI02G37740 transcript:ORUFI02G37740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQRRLGDEEIWAVDPVMSRCVGAGSGSVGVVLRSASASSTTRPAVATSWRMSSPARGRPPVNLRRDGWGWISASTAPWPTTAAALTTSLALVGGRRSASITHFCHLRPRAAARRRVVTYVPGLLMCLYRRLHSAPGSQSRAINYVYA >ORUFI02G37740.5 pep chromosome:OR_W1943:2:32322486:32325037:1 gene:ORUFI02G37740 transcript:ORUFI02G37740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQRRLGDEEIWAVDPVMSRCVGAGSGSVGVVLRSASASSTTRPAVATSWRMSSPARGRPPVNLRRDGWGWISASTAPWPTTAAALTTSLALVGGRRSASITHFCHLRPRAAARRRVVTYVPGLLISRAINYVYA >ORUFI02G37740.6 pep chromosome:OR_W1943:2:32322486:32325015:1 gene:ORUFI02G37740 transcript:ORUFI02G37740.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQRRLGDEEIWAVDPVMSRCVGAGSGSVGVVLRSASASSTTRPAVATSWRMSSPARGRPPVNLRRDGWGWISASTAPWPTTAAALTTSLALVGGRRSASITHFCHLRPRAAARRRGWDKAHLRT >ORUFI02G37750.1 pep chromosome:OR_W1943:2:32327805:32328188:1 gene:ORUFI02G37750 transcript:ORUFI02G37750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHSSSFFLSFLLSCLSYPDAGDDDNLGKRQSDLNDDPGRGGWRRSRTARRTPVADGDGSEDGGCGRGRRRGPRSRPAGTAQRAACAEDGSGRGQHGGRRSQPGTARRAAVAAGDDTEDGGGRGQ >ORUFI02G37760.1 pep chromosome:OR_W1943:2:32336147:32344220:1 gene:ORUFI02G37760 transcript:ORUFI02G37760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLLKLVGFCFWAVFWLGGAATVSTNAGGEAAAAAVVVDARSAIAVTDEDFVCATLDWWPPDKCDYGTCSWGLATLLNMDLSNKILLNAVKAFSPLKLRLGGSLQDVLIYDTGDPRQPCTPFTKNSSAMFGFTQGCLPLHRWDELNAFFQKSGAKIIFGLNALNGRVPMSDDSLGGPWNYTNAASFIRYTVSKGYDIHGWELGNELSGSGVGARVDADQYAQDVLALKQIIDNSYQGHASKPLVIAPGGFFDAAWFTELISRTKPNQMDVMTHHIYNLGPGVDTHLIDKILDPSYLDGEAGTFSSLQGILKSAGTSTVAWVGEAGGAYNSGHHLVTDAFVFSFWYLDQLGMSSKYDTKTYCRQTLIGGNYGLLNTTTFEPNPDYYSALLWNRLMGTKVLSATFNGTNKIRAYAHCARDSRGITLLLINLSGNNTNHIYMTSKGVQPYSTKKEGRRRFGRIPGLGEEAQLIREEYHLTPKDGSLQSQHVLLNGNVLAPDANGEIPKLEPVQVEGTQPITVAPYSIVFAHIPRFYAPACSLRPLFGWAVPSRDRGPIPVDHPGEETIPAHAKLCVRLSPVWVLPQPIPAFPSHPGPGKNQLLVWRWKNPRPDGSRVATRSGSTTRRRFEVALRRGRRDAEATATARRSPPAAIFSLDSAVLQEDGKEEEPNCLYGCFDRLLEIDW >ORUFI02G37770.1 pep chromosome:OR_W1943:2:32343892:32346271:-1 gene:ORUFI02G37770 transcript:ORUFI02G37770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSMLTQYDIEEVQEHCNYLFSQQEIVSLYERFCQLDRSAKGFISEDEFLSIPEFSLNPLSKRLLRMVDGLNFKDFVSFLSTFSAKASVQQKIELIFKVYDIDGKGKVTFKDLVEVLRDQTGSFMTEEQRERVITNVLEEAGYTRDCTLSLEDFTRIIDHPGLKMEVEVPID >ORUFI02G37780.1 pep chromosome:OR_W1943:2:32347361:32351397:-1 gene:ORUFI02G37780 transcript:ORUFI02G37780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSDVATEVLIPIAAIIGIGFSIAQWVLVARVKLAPSQPGASRSKDGYGDSLIEEEEGLNDHNVVAKCAEIQNAIAEGATSFLFTEYQYVGVFMSIFAVVIFLFLGSVEGFSTKTHPCTYSKDKECKPALFNALFSTVSFLLGAITSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASNGLLVLYIAINLFKMYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTGMCYPLLVSSMGIIVCLITTLFATDFFEIKAVKEIEPSLKKQLIISTALMTVGIALVSWLALPYKFTIFNFGEQKEVTNWGLFLCVSIGLWAGLIIGYVTEYYTSNAYSPVQDVADACRTGAATNVIFGLALGYKSVIIPIFAIALGIYVSFTIAAMYGIAVAALGMLSTIATGLSIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVIIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTGKPDYANCVKISTDASIKQMIPPGALVMLTPLIVGTLFGVQTLSGVLAGALVSGVQVAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKLF >ORUFI02G37790.1 pep chromosome:OR_W1943:2:32353407:32356939:1 gene:ORUFI02G37790 transcript:ORUFI02G37790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRTCVMLLPCWCREACCIWLVSERHTADTSELVMNNHIMCGIGVKKSVSRQHLKAPVWNRLANCSVREGAGAIDLSSKTRTATTTGFAWMATHTTRACNAAYSYLISSFYSKLSAQRGTLYKDATLQVCNRDANANANAEAHGLPIGLTKTGPQYFVATPNSELQLPIIVRRKSRVIIALSHLRAVSTIANLFGVCLSTTNFLVLGKLFHNPLSNECIIDLVSRYELGLTDWWRREYGGLDFAYGTQQKTQASNDSVLL >ORUFI02G37800.1 pep chromosome:OR_W1943:2:32360232:32364749:1 gene:ORUFI02G37800 transcript:ORUFI02G37800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSSSMASPRGRSIRETVLETVAAYHQQQRMRRKFRKSLSYAGELSSAGRARGEGGASSSASTTSLCGPDEDDEPFWEEEEGTVELVQLGANRAKNVLILMSDTGGGHRASAEAIKDAFRIEFGDDYRVFVKDLCKDHAGWPLNNMESSYKFMVKHVQLWKVAFHTTSPRWVHCFYLAALASFYAKKVEAGLKKYKPDIIISVHPLMQHIPLWVLKWQGLQNRVVFVTVITDLNTCHPTWFHADVNRCYCPSEEVAKRAALDDLQPSQIRVFGLPIRPSFCRAVLVKDDLRKELELDPELPAVLLMGGGEGMGPVKKTAKALGESLFDKELGKPIGQLIVICGRNKTLSSSLQALEWKIPIKVRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKSSRETAKLVARWFGPDSDELKRMSEKALKLAQPEAVFDIVRDIHELSREQGVISQISSSLTSSFFIPSPETTPIQLM >ORUFI02G37800.2 pep chromosome:OR_W1943:2:32360232:32364645:1 gene:ORUFI02G37800 transcript:ORUFI02G37800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSSSMASPRGRSIRETVLETVAAYHQQQRMRRKFRKSLSYAGELSSAGRARGEGGASSSASTTSLCGPDEDDEPFWEEEEGTVELVQLGANRAKNVLILMSDTGGGHRASAEAIKDAFRIEFGDDYRVFVKDLCKDHAGWPLNNMESSYKFMVKHVQLWKVAFHTTSPRWVHCFYLAALASFYAKKVEAGLKKYKPDIIISVHPLMQHIPLWVLKWQGLQNRVVFVTVITDLNTCHPTWFHADVNRCYCPSEEVAKRAALDDLQPSQIRVFGLPIRPSFCRAVLVKDDLRKELELDPELPAVLLMGGGEGMGPVKKTAKALGESLFDKELGKPIGQLIVICGRNKTLSSSLQALEWKIPIKVRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKSSRETAKLVARWFGPDSDELKRMSEKALKLAQPEAVFDIVRDIHELSREQGVISQISSSLTSSFFIPSPETTPIQLM >ORUFI02G37800.3 pep chromosome:OR_W1943:2:32360232:32364587:1 gene:ORUFI02G37800 transcript:ORUFI02G37800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSSSMASPRGRSIRETVLETVAAYHQQQRMRRKFRKSLSYAGELSSAGRARGEGGASSSASTTSLCGPDEDDEPFWEEEEGTVELVQLGANRAKNVLILMSDTGGGHRASAEAIKDAFRIEFGDDYRVFVKDLCKDHAGWPLNNMESSYKFMVKHVQLWKVAFHTTSPRWVHCFYLAALASFYAKKVEAGLKKYKPDIIISVHPLMQHIPLWVLKWQGLQNRVVFVTVITDLNTCHPTWFHADVNRCYCPSEEVAKRAALDDLQPSQIRVFGLPIRPSFCRAVLVKDDLRKELELDPELPAVLLMGGGEGMGPVKKTAKALGESLFDKELGKPIGQLIVICGRNKTLSSSLQALEWKIPIKVRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKSSRETAKLVARWFGPDSDELKRMSEKALKLAQPEAVFDIVRDIHELSREQGVISQISSSLTSSFFIPSPETTPIQLM >ORUFI02G37810.1 pep chromosome:OR_W1943:2:32367407:32368624:-1 gene:ORUFI02G37810 transcript:ORUFI02G37810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDFLRVLRALRDAARRVEAAAGGDDGPALHALLALDASADDLLAGDPDLGTLRRLLARIGALSWSIRFAGDGGGGGGVVGCLRGRWRRCEARRGIARAAGGVAGEIQAWIDRENVARLVAALRSSGGDDAARARLAELEARLGRFDPRLQRALLRHGVFAAVEAKLGDPGVGDGCAAAVLALVRFNKDVFVGPVLMGRAVGALVASASASPEPLRALNGLVAAIRSPLVDELHARGELPRLVSLLCSADPRIRALALEFALRVGYYARKEIVDALLAEGLVKRLLCLQRSDSETFDSYFSPQEKPDHGIIRGVIFFAGILGCQREDDDADDGGGGGAATSSARPFVSAVARFAVQVEVGEGLSQREKRAAKLEILRRVREAAVSPAEEATVLADVLWGATP >ORUFI02G37820.1 pep chromosome:OR_W1943:2:32370197:32374101:1 gene:ORUFI02G37820 transcript:ORUFI02G37820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRINLWKNPLLLPRPFSSSSSPIPTAPPASSIDDIRRQIESLAARGAPPGSSAASRSPPEPGEGEGRNPETSLFQEMHDQIPIAPSFHHHQLDQLVVVVAPVQCVGHLSPWGGHHLVASAPTVIEEVALLFMRHDVNDKYDDDMVEGVGRDVAHCNEGLELQMASLIWHGKEQQTNISHRSSSCAFLAFTELVGKPRSVSSWSSPSSRTFVA >ORUFI02G37830.1 pep chromosome:OR_W1943:2:32375067:32375411:-1 gene:ORUFI02G37830 transcript:ORUFI02G37830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALQTDCFTSDLSQLIADVMSGSVPLDASTSIPGRVYSPLPTHPILQWIVNDLLTINFISALVEGDATGDELVVMMGLARELTATQRARAMT >ORUFI02G37840.1 pep chromosome:OR_W1943:2:32378825:32388150:1 gene:ORUFI02G37840 transcript:ORUFI02G37840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVDDRKYRRSARNGPKAREHEEEEEDSSLAFPDARTHSTPPSSTAAAASAPSKTPTSSAAAATAAAGEWREGAAGCPCPYPSPTSSRSSCLCIRGSISMEASSSSTSSPPPPPPPSIPASSAWADASPLLDAACRDLQDGELIHGENFSLFGAMSALEIMDPKMDCGIEKSGYYSIDEAIEDGIAPVPLSLDRTLDIQRTLDVMDHLFSCEATWHKGHTLAQTVFTCIYLMRMERTSSHAILNSFCRILRATCYAVVSVVSTARTHEEEDLFTMSFGLPLRDEGDEKCLSILNSVEETIARQLRACKAQALSRKKTLEGLESLQDNPDLEEDYCRALLCRLRFRKHFYHVVTCLRKPHGRGLELAQKHVASCLTELSLMLNSRDFLRSQSNNTQQQGDEICTTASGVRPVGFDASLNSRLLSPAPPRAVKLLSWSDAIRYFEKLLRDLDIICSSPLDPVLENVLHFVVQFQKSVPDLVPRAFLQTLLVQDGKLYGRDLSCDVISRALSLPDIIGDKEFQMNEFVVQLGQLVINLLKILCTNTAWQRRKLGKSLQDWSTISIQLEFALKREFGETRNVLPHENMCMRVSKQLLVWTQEHTYWVAYRFLILGFELDLYSPSEYCMVYWYMYVVLMKLIEQMQLRILASNENSRRKGKKKKDHSKDSSRDTAFPSSCLLLQCYVLLSEGLSMMLAALRNESNSFQLPSIFNSEQERFIQHFDLLQKARLPEHITYYSFRESASHASIADLTKYNFFKEIHKITPSLRGSFASEPEKLAEIRQIEQVAEHNRIALNIISQVGAGDPSLRVSFEFTHHPHFAVAVVRRS >ORUFI02G37840.2 pep chromosome:OR_W1943:2:32378825:32388150:1 gene:ORUFI02G37840 transcript:ORUFI02G37840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVDDRKYRRSARNGPKAREHEEEEEDSSLAFPDARTHSTPPSSTAAAASAPSKTPTSSAAAATAAAGEWREGAAGCPCPYPSPTSSRSSCLCIRGSISMEASSSSTSSPPPPPPPSIPASSAWADASPLLDAACRDLQDGELIHGENFSLFGAMSALEIMDPKMDCGIEKSGYYSIDEAIEDGIAPVPLSLDRTLDIQRTLDVMDHLFSCEATWHKGHTLAQTVFTCIYLMRMERTSSHAILNSFCRILRATCYAVVSVVSTARTHEEEDLFTMSFGLPLRDEGDEKCLSILNSVEETIARQLRACKAQALSRKKTLEGLESLQDNPDLEEDYCRALLCRLRFRKHFYHVVTCLRKPHGRGLELAQKHVASCLTELSLMLNSRDFLRSQSNNTQQQGDEICTTASGVRPVGFDASLNSRLLSPAPPRAVKLLSWSDAIRYFEKLLRDLDIICSSPLDPVLENVLHFVVQFQKSVPDLVPRAFLQTLLVQDGKLYGRDLSCDVISRALSLPDIIGDKEFQMNEFVVQLGQLEFALKREFGETRNVLPHENMCMRVSKQLLVWTQEHTYWVAYRFLILGFELDLYSPSEYCMVYWYMYVVLMKLIEQMQLRILASNENSRRKGKKKKDHSKDSSRDTAFPSSCLLLQCYVLLSEGLSMMLAALRNESNSFQLPSIFNSEQERFIQHFDLLQKARLPEHITYYSFRESASHASIADLTKYNFFKEIHKITPSLRGSFASEPEKLAEIRQIEQVAEHNRIALNIISQVGAGDPSLRVSFEFTHHPHFAVAVVRRS >ORUFI02G37850.1 pep chromosome:OR_W1943:2:32393155:32397688:1 gene:ORUFI02G37850 transcript:ORUFI02G37850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGTSIRKYVGALKDTTTVSIAKVNSDYKDLDIAIVKATNHVENLPKEKYIRGDIFYHLSAGRARADVAYCIRALGRRLSKTRNWAVALKTLIVIHRALREVDPTFRDELISYGRSSTHMLHLSYFKDDSSAEAWDYSAWVRNYALYLEERLESFRVLKYDVEKDPPRTRDLDTVGLLEQLPALQQLLFRLLGCQPQGSSSYNNIIQHALSMVALESVRIHTAINDGILNLVDKFFEMQRDDALRALDLFKRAINQAGQLSEFYEMCKTIHIGRGERFLKIELPPTSFLQAMEEYVRDAPLASINQRNQAVLAIEYKRKPEDEESSSSAPLPPPPVSTSESEPEPEPEPVKEVSPVHEPTDLLGMNEPTPDVSKIDQKNSLALAIVQPDNTPKAAAPTTENVATSWELALVAAPSSNGNAATSNKLAGGLDLLTLDSLYNEAHRQAQQNASYNPWEAAAPASSGPMMQQPMQNPFYASNAIAPPLNVQMAAMAQQQQHMFMLQQQQQQYDQQQQQMMMMMGRQPYDQQQGSSSNPFASPYMSAGVHPYGPGMQLHAGNSYTYSGTGMM >ORUFI02G37850.2 pep chromosome:OR_W1943:2:32391720:32397688:1 gene:ORUFI02G37850 transcript:ORUFI02G37850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGTSIRKYVGALKDTTTVSIAKVNSDYKDLDIAIVKATNHVENLPKEKYIRDIFYHLSAGRARADVAYCIRALGRRLSKTRNWAVALKTLIVIHRALREVDPTFRDELISYGRSSTHMLHLSYFKDDSSAEAWDYSAWVRNYALYLEERLESFRVLKYDVEKDPPRTRDLDTVGLLEQLPALQQLLFRLLGCQPQGSSSYNNIIQHALSMVALESVRIHTAINDGILNLVDKFFEMQRDDALRALDLFKRAINQAGQLSEFYEMCKTIHIGRGERFLKIELPPTSFLQAMEEYVRDAPLASINQRNQAVLAIEYKRKPEDEESSSSAPLPPPPVSTSESEPEPEPEPVKEVSPVHEPTDLLGMNEPTPDVSKIDQKNSLALAIVQPDNTPKAAAPTTENVATSWELALVAAPSSNGNAATSNKLAGGLDLLTLDSLYNEAHRQAQQNASYNPWEAAAPASSGPMMQQPMQNPFYASNAIAPPLNVQMAAMAQQQQHMFMLQQQQQQYDQQQQQMMMMMGRQPYDQQQGSSSNPFASPYMSAGVHPYGPGMQLHAGNSYTYSGTGMM >ORUFI02G37850.3 pep chromosome:OR_W1943:2:32392803:32397688:1 gene:ORUFI02G37850 transcript:ORUFI02G37850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGTSIRKYVGALKDTTTVSIAKVNSDYKDLDIAIVKATNHVENLPKEKYIRGDIFYHLSAGRARADVAYCIRALGRRLSKTRNWAVALKTLIVIHRALREVDPTFRDELISYGRSSTHMLHLSYFKDDSSAEAWDYSAWVRNYALYLEERLESFRVLKYDVEKDPPRTRDLDTVGLLEQLPALQQLLFRLLGCQPQGSSSYNNIIQHALSMVALESVRIHTAINDGILNLVDKFFEMQRDDALRALDLFKRAINQAGQLSEFYEMCKTIHIGRGERFLKIELPPTSFLQAMEEYVRDAPLASINQRNQAVLAIEYKRKPEDEESSSSAPLPPPPVSTSESEPEPEPEPVKEVSPVHEPTDLLGMNEPTPDVSKIDQKNSLALAIVQPDNTPKAAAPTTENVATSWELALVAAPSSNGNAATSNKLAGGLDLLTLDSLYNEAHRQAQQNASYNPWEAAAPASSGPMMQQPMQNPFYASNAIAPPLNVQMAAMAQQQQHMFMLQQQQQQYDQQQQQMMMMMGRQPYDQQQGSSSNPFASPYMSAGVHPYGPGMQLHAGNSYTYSGTGMM >ORUFI02G37860.1 pep chromosome:OR_W1943:2:32398173:32400161:-1 gene:ORUFI02G37860 transcript:ORUFI02G37860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLMYLILPMPLIFFLGSNSPSMMSNDGDTWVSFTKFLTGASIVGSIAIPSILKHAGVIGWGALTMELSSFLVFGVAILWLIQMNSEDEYSSAF >ORUFI02G37860.2 pep chromosome:OR_W1943:2:32398175:32399066:-1 gene:ORUFI02G37860 transcript:ORUFI02G37860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMRTCLHSGRLALLAILVSGGIVLQILACALYNNWWPMLTVLMYLILPMPLIFFLGSNSPSMMSNDGDTWVSFTKFLTGASIVGSIAIPSILKHAGVIGWGALTMELSSFLVFGVAILWLIQMNSEDEYSSAF >ORUFI02G37870.1 pep chromosome:OR_W1943:2:32401083:32403426:1 gene:ORUFI02G37870 transcript:ORUFI02G37870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIQYAAVARGAVVMAEHGDAAFPNAGAVARQILDRLSAGDGGGGGDCNVSYTQDLHVFHVKRTDGVTALCMADDAAGRRIPFAFLEDIHGRFVKTYGRAALTALAYAMNDEFSRVLGQQMDYYSNDPNADRISRMRGEMDQVRNVMIDNIDKVLERGDRLELLVDKTATMQGNTMRFKRQARRFRNTVWWRNVKLTAASVFILLVIIYIVLVYMCHGFTLPSCI >ORUFI02G37880.1 pep chromosome:OR_W1943:2:32402700:32406958:-1 gene:ORUFI02G37880 transcript:ORUFI02G37880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPAAAAAMAVDDADDDQLASMSTEDIVRATRLLDNETRVLKDELQRTNLEVESYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVIGLVDPEKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSRTWDNFRIGMKLRIEVY >ORUFI02G37880.2 pep chromosome:OR_W1943:2:32403343:32406958:-1 gene:ORUFI02G37880 transcript:ORUFI02G37880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPAAAAAMAVDDADDDQLASMSTEDIVRATRLLDNETRVLKDELQRTNLEVESYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVIGLVDPEKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >ORUFI02G37890.1 pep chromosome:OR_W1943:2:32412682:32414075:1 gene:ORUFI02G37890 transcript:ORUFI02G37890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGGGGLDVVMFPWLAFGHMIPFLQLSKRLAARGHAVSFLSTPRNLARLPPGLPEGAESTADVTPDKDGLVKKACDGLAAPFAAFLAGRAKRPDWIVVDFCHHWLPPIADEHCVPCAMFHIIPAAMNAMFGPRWANARYPRTAPEDFTVPPKWIPFPSTIAFRRREFGWIAGAFKPNASGLPDVERFWRTEERCRLIINSSCHELEPPQLFDFLTGLFRKPTVPAGILPPTTNLVTDDDDDDDRSEVLQWLDGQPPKSVIYVALGSEAPLSANDLHELALGLELAGVRFLWAIRSPTAGGVLPDGFEQRTRGRGVVWGRWVAQVRVLAHGAVGAFLTHCGWGSTIEGVALGQPLVMLPLVVDQGIIARAMAERGVGVEIARDESDGSFDRDAVAAAVRRVAVGGEREAFASNANRIKDVVGDQEREERYIDELVGYLRRYS >ORUFI02G37900.1 pep chromosome:OR_W1943:2:32433433:32433732:-1 gene:ORUFI02G37900 transcript:ORUFI02G37900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >ORUFI02G37910.1 pep chromosome:OR_W1943:2:32433721:32438420:1 gene:ORUFI02G37910 transcript:ORUFI02G37910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHGGGGGGGARTIWDDEAAAAAGVMRRSPRCVGEFIGDPLLGRDIVLGLWDSRPISRVSLHRRPMKSPVERRSVVGTLGESRREHTTDLCGGGEERRGEKPRRRQRGERMPRPEVQAPPEIFYNESEARKYTTSSRIIEIQSRITERALELLALPNDGVPKLLLDIGCGSGLSGETLTEQGHHWIGYDISKSMLDVALEREAEGDLLLADMGQGLGLRPGVIDGAISISAVQWLCNADKSCHNPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQSEMIVTAAMRAGFAGGVVVDWPHSSKAKKSYLVLTCGPPSLNSSLPKGKGQDGAMCSDDDESDDGSGDEDGAQTVGIYERNRPKKRQKTKKNGKGKAWLLNKKEQLRRRGREVPADTKYTGRKRKSYF >ORUFI02G37910.2 pep chromosome:OR_W1943:2:32433964:32438420:1 gene:ORUFI02G37910 transcript:ORUFI02G37910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPEVQAPPEIFYNESEARKYTTSSRIIEIQSRITERALELLALPNDGVPKLLLDIGCGSGLSGETLTEQGHHWIGYDISKSMLDVALEREAEGDLLLADMGQGLGLRPGVIDGAISISAVQWLCNADKSCHNPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQSEMIVTAAMRAGFAGGVVVDWPHSSKAKKSYLVLTCGPPSLNSSLPKGKGQDGAMCSDDDESDDGSGDEDGAQTVGIYERNRPKKRQKTKKNGKGKAWLLNKKEQLRRRGREVPADTKYTGRKRKSYF >ORUFI02G37920.1 pep chromosome:OR_W1943:2:32438371:32444871:-1 gene:ORUFI02G37920 transcript:ORUFI02G37920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATSTLPFAPSNPSPSSRSAAAAFSPRGGVHFAAARSGLPLACAAPRHRGRPPPRRRRGGCLVVWASADYYATLGVPRSASNKDIKAAYRKLARQYHPDVNKEPGATDKFKEISAAYEVLSDEKKRALYDQYGEAGVRSAVGGSAGAYTSNPFDLFETFFGASMGGFSGMDQGTFRTRRRSTAVQGEDIRYDVNLGFSEAIFGTEKDIILSHLETCDACAGSGSKIGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSICPTCAGEGEVISEYCKKCAGEGRVRVRKEIKVKIPPGVSKGSTLRVRGEGDAGPKGGPPGDLFVCLDIEEPADIKRDGINLYSTVSVSYIEAILGTVKKVRTVDGNSELRIPPGTQPGDVVVLAKQGVPSLNKPSVRGDHLFTIKVTIPKRISGREKELLEELASLSNGGFAGAPVKPKSIRQENETTVAQENSDQPDEGEGDWLKKLQDFAGSIANGAAKWLKDNL >ORUFI02G37930.1 pep chromosome:OR_W1943:2:32446975:32451847:-1 gene:ORUFI02G37930 transcript:ORUFI02G37930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDDDGGRSRAEAIMRELERLRAEREELDGRIRLLESQLRLGAAPLPPSAAAEVEPTGSPSSSSSAAADMISRYRRHLLLPQFGLEGQRKLSQSSILVVGAGGLGSPVAMYLAACGVGIVDGDRVELDNLHRQIIHIEAYVGQPKVKSAAASCRAINSSIKVFEYHITLNASNALDIMRQYDIVVDATNNLPSRYMISDCCVLMNKPLISGSAVGLEGQLTVYHHNGSPCYRCLYPNPPSSPTSQSCSDNGILGIRVIGCLQALEAIKVATAVGKPLCGRMLHFDALSSHTRIVKISRSSPTCKVCGENPVFTKEDFVNFDYESFTQSPMSKNSTTRSLNLLPENARVSCRDYKKVLDSGRPHLLVDVRPSHHFQIASMAHSINVPLSLLEEKLPLLRDSAREVSSRRDGRQHCPVYVICRRGNDSQVAVQILRENGFLYASDVAGGFESWAKEVDPSFLLY >ORUFI02G37930.2 pep chromosome:OR_W1943:2:32446975:32451847:-1 gene:ORUFI02G37930 transcript:ORUFI02G37930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDDDGGRSRAEAIMRELERLRAEREELDGRIRLLESQLRLGAAPLPPSAAAEVEPTGSPSSSSSAAADMISRYRRHLLLPQFGLEGQRKLSQSSILVVGAGGLGSPVAMYLAACGVGIVDGDRVELDNLHRQIIHIEAYVGQPKVKSAAASCRAYDIVVDATNNLPSRYMISDCCVLMNKPLISGSAVGLEGQLTVYHHNGSPCYRCLYPNPPSSPTSQSCSDNGILGIRVIGCLQALEAIKVATAVGKPLCGRMLHFDALSSHTRIVKISRSSPTCKVCGENPVFTKEDFVNFDYESFTQSPMSKNSTTRSLNLLPENARVSCRDYKKVLDSGRPHLLVDVRPSHHFQIASMAHSINVPLSLLEEKLPLLRDSAREVSSRRDGRQHCPVYVICRRGNDSQVAVQILRENGFLYASDVAGGFESWAKEVDPSFLLY >ORUFI02G37940.1 pep chromosome:OR_W1943:2:32452909:32460852:1 gene:ORUFI02G37940 transcript:ORUFI02G37940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQEAVHFDKITARLKRLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLGELAAETAAAMTASHPDYASLAARIAISNLHKTTMKSFSETIKVMYMHYDERSGLLAPLIADDVYEIIMKNTTRLDSEIIYDRDFDYDYFGFKTLERSYLLKVVGKVVERPQHMLMRVSIGIHKDDIESAIKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLICMNDDSIEGIYDTLSECATISKCAGGIGLSIHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAIYLEPWHADIFEFLDLRKNHGKEENRARDLFYALWIPDLFMERVQNNENWSLFCPNEAPGLADCWGDEFQNLYKKYEREGKAKKVVSAQALWFDILKAQIETGTPYMLYKDSCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIGLPRFVREKGVPMESHPAKLVGSNGSKNRYFDFDKLAEITSIVTRNLNKIIDTNYYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSTEAQQLNKDIFETIYYHALKASAEIAAKEGPYETYSGSPVSKGILQPDMWNVVPSDRWNWSDLREMISKVGVRNSLLIAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWSPVLKNKIVYEDGSVQKISEIPDDLKAIYRTVWEIKQKTIVDMAIDRGCYIDQSQSLNIHMDQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTLLKDKQHNAEEEDVQSKMAEVVCSLNNRDECLACGS >ORUFI02G37940.2 pep chromosome:OR_W1943:2:32455735:32460852:1 gene:ORUFI02G37940 transcript:ORUFI02G37940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQEAVHFDKITARLKRLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLGELAAETAAAMTASHPDYASLAARIAISNLHKTTMKSFSETIKVMYMHYDERSGLLAPLIADDVYEIIMKNTTRLDSEIIYDRDFDYDYFGFKTLERSYLLKVVGKVVERPQHMLMRVSIGIHKDDIESAIKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLICMNDDSIEGIYDTLSECATISKCAGGIGLSIHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAIYLEPWHADIFEFLDLRKNHGKEENRARDLFYALWIPDLFMERVQNNENWSLFCPNEAPGLADCWGDEFQNLYKKYEREGKAKKVVSAQALWFDILKAQIETGTPYMLYKDSCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIGLPRFVREKGVPMESHPAKLVGSNGSKNRYFDFDKLAEITSIVTRNLNKIIDTNYYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSTEAQQLNKDIFETIYYHALKASAEIAAKEGPYETYSGSPVSKGILQPDMWNVVPSDRWNWSDLREMISKVGVRNSLLIAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWSPVLKNKIVYEDGSVQKISEIPDDLKAIYRTVWEIKQKTIVDMAIDRGCYIDQSQSLNIHMDQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTLLKDKQHNAEEEDVQSKMAEVVCSLNNRDECLACGS >ORUFI02G37950.1 pep chromosome:OR_W1943:2:32461401:32463972:-1 gene:ORUFI02G37950 transcript:ORUFI02G37950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDDGEQRACAAAAGDGDGGGDDHLSGLPDDVLLDILEKVVMDGDAHAAARTCILSRWWRHLPWHDITTVSLDVDDFIPDKENACRPVLQVHHRRATDNLAAALASFLAAPPSKRAIDKLHLKLVLTTDDDRVHRVGALVGDAFDTGRVKAGAVELEILAESACAFVDDDDEEQKRLMLGNGRRFTRLRRACPGAFRSLARLTVHNLWFDGGDTAATITHLLHGCPALEYLDMFYCGFVPFSVMTIDAPPESRLATLVFDQCHAAGVELVNAPKLLRVARRRAGGLVRRNTVAQSKLIPENPGQLERLCIKSFGTKTDNHVCSAEWRKRWPSPPARQPSPGFKHHHLAELRIRHAFGVAVDLPFARMAMEVAVNLELLAMGVESLECDG >ORUFI02G37960.1 pep chromosome:OR_W1943:2:32464432:32471786:-1 gene:ORUFI02G37960 transcript:ORUFI02G37960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDIAMGPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAEIREAIGENQQEIRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGYPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLMRSRDVNTKKKAALCAIRIVRKVPDLAENFMGLASSLLKEKHHGILISAVQLCTELCKASKDALEYLRKNCVEGLVRILRDVSNSSYAPEYDVAGISDPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKNESNKNAANAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDTQAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELVDYLDSADPDFKEDLTAKICSIVEKFSQEKLWYLDQMFKVLSLAGNHVKDDVWHALVVVISNASELQGYSVRLLYMALQAFVDQGSLVRVAVWCIGEYGEMLVNNVGMLQGEEPITVTESDAVDAVQLALNRYSADVTTQAMCLVALLKLSSRFPSTSERIKQIVSQNKKNIVLELQQRSIEFSSIIQRHQSIRPSLLERMPALDEASYLLRRASATQATLAADKPTPAVTPGGLKLPNGVAKPATSPLVDLLDLSSDDVPAITTASTTTAPNDFLQDLLGIGGISSSPAAAPSSASTDILIDLLSIGSSPSQNGPPAADSTPAQGTKSAPAVPQDMDLLDGLPSNTSVSGPVNHSSITAFQSATLKINFNFKKQPEKPHETTVHATFTNLTSSSYTDFVFQAAVPKFIQLRLDPASGNIVPASGNGSVTQGFSVTNNQHGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPAGL >ORUFI02G37960.2 pep chromosome:OR_W1943:2:32464432:32471786:-1 gene:ORUFI02G37960 transcript:ORUFI02G37960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDIAMGPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAEIREAIGENQQEIRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGYPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLMRSRDVNTKKKAALCAIRIVRKVPDLAENFMGLASSLLKEKHHGILISAVQLCTELCKASKDALEYLRKNCVEGLVRILRDVSNSSYAPEYDVAGISDPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKNESNKNAANAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDTQAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELVDYLDSADPDFKEDLTAKICSIVEKFSQEKLWYLDQMFKVLSLAGNHVKDDVWHALVVVISNASELQGYSVSLVRVAVWCIGEYGEMLVNNVGMLQGEEPITVTESDAVDAVQLALNRYSADVTTQAMCLVALLKLSSRFPSTSERIKQIVSQNKKNIVLELQQRSIEFSSIIQRHQSIRPSLLERMPALDEASYLLRRASATQATLAADKPTPAVTPGGLKLPNGVAKPATSPLVDLLDLSSDDVPAITTASTTTAPNDFLQDLLGIGGISSSPAAAPSSASTDILIDLLSIGSSPSQNGPPAADSTPAQGTKSAPAVPQDMDLLDGLPSNTSVSGPVNHSSITAFQSATLKINFNFKKQPEKPHETTVHATFTNLTSSSYTDFVFQAAVPKFIQLRLDPASGNIVPASGNGSVTQGFSVTNNQHGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPAGL >ORUFI02G37960.3 pep chromosome:OR_W1943:2:32464432:32471786:-1 gene:ORUFI02G37960 transcript:ORUFI02G37960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDIAMGPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAEIREAIGENQQEIRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGYPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLMRSRDVNTKKKAALCAIRIVRKVPDLAENFMGLASSLLKEKHHGILISAVQLCTELCKASKDALEYLRKNCVEGLVRILRDVSNSSYAPEYDVAGISDPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKNESNKNAANAILYVALNMLMRAITVDTQAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELVDYLDSADPDFKEDLTAKICSIVEKFSQEKLWYLDQMFKVLSLAGNHVKDDVWHALVVVISNASELQGYSVRLLYMALQAFVDQGSLVRVAVWCIGEYGEMLVNNVGMLQGEEPITVTESDAVDAVQLALNRYSADVTTQAMCLVALLKLSSRFPSTSERIKQIVSQNKKNIVLELQQRSIEFSSIIQRHQSIRPSLLERMPALDEASYLLRRASATQATLAADKPTPAVTPGGLKLPNGVAKPATSPLVDLLDLSSDDVPAITTASTTTAPNDFLQDLLGIGGISSSPAAAPSSASTDILIDLLSIGSSPSQNGPPAADSTPAQGTKSAPAVPQDMDLLDGLPSNTSVSGPVNHSSITAFQSATLKINFNFKKQPEKPHETTVHATFTNLTSSSYTDFVFQAAVPKFIQLRLDPASGNIVPASGNGSVTQGFSVTNNQHGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPAGL >ORUFI02G37960.4 pep chromosome:OR_W1943:2:32464432:32471786:-1 gene:ORUFI02G37960 transcript:ORUFI02G37960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDIAMGPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAEIREAIGENQQEIRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGYPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLMRSRDVNTKKKAALCAIRIVRKVPDLAENFMGLASSLLKEKHHGILISAVQLCTELCKASKDALEYLRKNCVEGLVRILRDVSNSSYAPEYDVAGISDPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKNESNKNAANAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDTQAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELVDYLDSADPDFKEDLTAKICSIVEKFSQEKLWYLDQMFKVLSLAGNHVKDDVWHALVVVISNASELQGYSVRLLYMALQAFVDQGSLVRVAVWCIGEYGEMLVNNVGMLQGEEPITIIIAIFFSAIIYLAVLGSWFCTYFGHLVEDNRRIKQIVSQNKKNIVLELQQRSIEFSSIIQRHQSIRPSLLERMPALDEASYLLRRASATQATLAADKPTPAVTPGGLKLPNGVAKPATSPLVDLLDLSSDDVPAITTASTTTAPNDFLQDLLGIGGISSSPAAAPSSASTDILIDLLSIGSSPSQNGPPAADSTPAQGTKSAPAVPQDMDLLDGLPSNTSVSGPVNHSSITAFQSATLKINFNFKKQPEKPHETTVHATFTNLTSSSYTDFVFQAAVPKFIQLRLDPASGNIVPASGNGSVTQGFSVTNNQHGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPAGL >ORUFI02G37960.5 pep chromosome:OR_W1943:2:32464432:32471786:-1 gene:ORUFI02G37960 transcript:ORUFI02G37960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDIAMGPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAEIREAIGENQQEIRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGYPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLMRSRDVNTKKKAALCAIRIVRKVPDLAENFMGLASSLLKEKHHGILISAVQLCTELCKASKDALEYLRKNCVEGLVRILRDVSNSSYAPEYDVAGISDPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKNESNKNAANAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDTQAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELVDYLDSADPDFKEDLTAKICSIVEKFSQEKLWYLDQMFKVLSLAGNHVKDDVWHALVVVISNASELQGYSVRLLYMALQAFVDQGSLVRVAVWCIGEYGEMLVNNVGMLQGEEPITQRSIEFSSIIQRHQSIRPSLLERMPALDEASYLLRRASATQATLAADKPTPAVTPGGLKLPNGVAKPATSPLVDLLDLSSDDVPAITTASTTTAPNDFLQDLLGIGGISSSPAAAPSSASTDILIDLLSIGSSPSQNGPPAADSTPAQGTKSAPAVPQDMDLLDGLPSNTSVSGPVNHSSITAFQSATLKINFNFKKQPEKPHETTVHATFTNLTSSSYTDFVFQAAVPKFIQLRLDPASGNIVPASGNGSVTQGFSVTNNQHGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPAGL >ORUFI02G37970.1 pep chromosome:OR_W1943:2:32476259:32477570:1 gene:ORUFI02G37970 transcript:ORUFI02G37970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLAPPNSGHLVVDELSSSSSSGGGSGSAPVSASSAGKRGFREAFQETLLLFDDGSCCNTSDDDCRRRKKTVVGWPPVSSARRACGGANYVKVKKEGDAIGRKVDLALHSSYDELAATLARMFPTNDHQGEKKMANDDHGDAAGPVVTYEDGDGDWMLVGDVPWDDFARSVKRLKILG >ORUFI02G37980.1 pep chromosome:OR_W1943:2:32478987:32483489:1 gene:ORUFI02G37980 transcript:ORUFI02G37980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVLEAIRELVTDANFDCSGTGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNLSMGMNLNNMAKMLRCAGNDDIITIKADDGSDTVTFMFESPNQDKIADFEMKLMDIDSEHLGIPDSEYQAIVRMPSSEFSRICKDLSSIGDTVIISVTKEGVKFSTAGDIGTANIVCRQNKTVDKPEDATIIEMQEPVSLTFALRYMNSFTKASPLSEQVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEEMKS >ORUFI02G37990.1 pep chromosome:OR_W1943:2:32481765:32484515:-1 gene:ORUFI02G37990 transcript:ORUFI02G37990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGRGSQEEEHLDLIMRHHASMGLDRCESEEALGSSESEQPTRPARPRGKRSRAAEVHNLSEKRRRSRINEKMKALQSLIPNSSKTDKASMLDDAIEYLKQLQLQVQMLSMRNGLYLPPVNLSGAPEHLPIPQMSAALDQNSAKASDPSVVLQPVNQTSGALLPFELASQHKPLFLPGVPNATALEPRFLVESSRSNLQSLRFTEPAEMIYPDEMMLKHRLTSASESTIVPGTDEKSVRQNTYMMNADRFDRYALSKDQLQHIMPKNTENYKLTIQLPILDRLQTSDTKVRVEGRIKVNP >ORUFI02G38000.1 pep chromosome:OR_W1943:2:32486126:32488711:-1 gene:ORUFI02G38000 transcript:ORUFI02G38000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEVFIGNSGCAMDLSACLPFSLSSSRMNQELVLHLCFCTHPSQARSRLFLDSVLHKRRSFDNQFLSVLDGIAVHYSDFRTHGMNNLRQKCVCVTISTSWEKALEASGVFNRHEGLALLTTIVEGGGNCNPASDPLPNQSLLSASIINKILHLIDALDLLCRRSYIALDQLLLDTQEALKIAYPKCLSGLSAYHNTSSRWGACTGICVTDLVEGGASHLHGGKSSRDKKARASPAAGAKGGGAGHGMADASAEMTKRSAYFRQIEEDVGTHPAAILELKDAVGAFQSMDMGELARFEGFPSKKLEALRMAAALYSKLDGVVATPSILNMQKKILTF >ORUFI02G38000.2 pep chromosome:OR_W1943:2:32486126:32488711:-1 gene:ORUFI02G38000 transcript:ORUFI02G38000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEVFIGNSGCAMDLSACLPFSLSSSRMNQELVLHLCFCTHPSQARSRLFLDSVLHKRRSFDNQFLSVLDGIAVHYSDFRTHGMNNLRQKCVCVTISTSWEKALEASGVFNRHEGLALLTTIVEGGGNCNPASDPLPNQSLLSASIINKILHLIDALDLLCRRSYIALDQLLLDTQEALKIAYPKCLSGLSAYHNTSSRWGACTGICVTDLVEGGASHLHGGKSSRDKKARASPAAGAKGGGAGHGMADASAEMTKRSAYFRQIEEDVGTHPAAILELKDAVGAFQSMDMGELARFHCSSRVRPGAHYKPAHSPRARLLCHGAAHARRVPAGRPDWLPLLVLARFEGFPSKKLEALRMAAALYSKLDGVVATPSILNMQKKILTF >ORUFI02G38000.3 pep chromosome:OR_W1943:2:32486126:32488711:-1 gene:ORUFI02G38000 transcript:ORUFI02G38000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEVFIGNSGCAMDLSACLPFSLSSSRMNQELVLHLCFCTHPSQARSRLFLDSVLHKRRSFDNQFLSVLDGIAVHYSDFRTHGMNNLRQKCVCVTISTSWEKALEASGVFNRHEGLALLTTIVEGGGNCNPASDPLPNQSLLSASIINKILHLIDALDLLCRRSYIALDQLLLDTQEALKIAYPKCLSGLSAYHNTSSVCTAGQLLQRWGACTGICVTDLVEGGASHLHGGKSSRDKKARASPAAGAKGGGAGHGMADASAEMTKRSAYFRQIEEDVGTHPAAILELKDAVGAFQSMDMGELARFHCSSRVRPGAHYKPAHSPRARLLCHGAAHARRVPAGRPDWLPLLVLARFEGFPSKKLEALRMAAALYSKLDGVVATPSILNMQKKILTF >ORUFI02G38000.4 pep chromosome:OR_W1943:2:32486126:32487986:-1 gene:ORUFI02G38000 transcript:ORUFI02G38000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADASAEMTKRSAYFRQIEEDVGTHPAAILELKDAVGAFQSMDMGELARFHCSSRVRPGAHYKPAHSPRARLLCHGAAHARRVPAGRPDWLPLLVLARFEGFPSKKLEALRMAAALYSKLDGVVATPSILNMQKKILTF >ORUFI02G38000.5 pep chromosome:OR_W1943:2:32486399:32487986:-1 gene:ORUFI02G38000 transcript:ORUFI02G38000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMYRHLRDRSSRRRRFSPAWRQELARQESPGVAGGRRQGRWCRSWHGRRIGRDDQEVRARTANTIDIDHDLEILVVIFACYDNIGTERSAYFRQIEEDVGTHPAAILELKDAVGAFQSMDMGELARFHCSSRA >ORUFI02G38000.6 pep chromosome:OR_W1943:2:32486399:32487986:-1 gene:ORUFI02G38000 transcript:ORUFI02G38000.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMYRHLRDRSSRRRRFSPAWRQELARQESPGVAGGRRQGRWCRSWHGRRIGRDDQEVRARTANTIDIDHDLEILVVIFACYDNIGTERSAYFRQIEEDVGTHPAAILELKDAVGAFQSMDMGELARFHCSSRA >ORUFI02G38010.1 pep chromosome:OR_W1943:2:32488912:32490117:1 gene:ORUFI02G38010 transcript:ORUFI02G38010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDGDAATSPSFSSTGSSGDGNGGGGGGGRVGIYACFALGGSNSLECYEPGANTWRRVGELPGVPDGHVLKGFAVVALGDFVYVIGGRLCRRERGGGGEYRDTDVDVRGDVVRYDARRGEWGRCAPLLVPRFDFACAPCGGKICVAGGQRSLSGARGTAAAEVFDADKGGWSRLPDMSTRRYKCVGVTWHGRFHVVGGFAESTSSSSPAAAADDEAAAAPPGRATALLLLLPQSSALERSSAEVFDCARGVWEIIPGMWQLDVPPNQIVAVAGRLLSSGDCLNSWKGHVEVYDGELNIWSIMDHSAMPDMPLLAALPPSAQRRYHTMAVVGNQLYFLAGYQVAAGGDGGFRTVSLVHSFDTSANPGLMPPWRSFQPTMDQDGVEDGSKELFSQCCSVQL >ORUFI02G38020.1 pep chromosome:OR_W1943:2:32490790:32493096:-1 gene:ORUFI02G38020 transcript:ORUFI02G38020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSGLRLPTWAAAAPPSPSDWDGRRPRRGAPVVRRRCSAPSSTPAPSAAAAPSHLAAGGSPRVGTAEHDWLWDCRGIGGGGRDYAREMEVAVRVVQAACTLCQRVQSSLLLPASASASGSVHSKIDRSSVTVADWGVQAIVSWLLSDCFQDENISIVAEEDDETLSSSDGAALLESVVAAVNGCLIEAPKYGLRSPEKELKAHDILQAIRRCSSIGGPKGRFWVLDPVDGTLGFVRGDQYAVALALIEDGEVVLGVLGCPNYPMKKEWLNYHQRYYRLMSKVSPPTSGSWHKGCVMYAHRGCGQAWMQPLVHDFGKLDWRNSREVRVSTVSDPISATFCEPVEKANTSHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDVEIFMKFARAGYKEKIWDHAAGVVIIREAGGVITDAGGRPLDFSRGVFLEGLDRGIIACSGPLLHHRIVGAVDASWNSSTL >ORUFI02G38030.1 pep chromosome:OR_W1943:2:32495173:32497577:1 gene:ORUFI02G38030 transcript:ORUFI02G38030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVIARAGGPEVLEERDVGEGLPPPGEGEVLVGVSAAGVNRADTVQRQGRYPAPPGASPYPGLECSGTILALGPNVPSRWAVGDQIHGGSSGIGTFAIQIAKHLGIKVFVTAGSEEKLAACKGLGADVCINYKTEDFVARVKEETNGKVTLDMEVYLPGVDVILDNIGGLYLQRNLNSLAVDGRLFIIGFQGGAVTEVNLQPMLARRLTIQAAGLRNRSLDNKALIVSEVEKNVWPAVVQGKVKPVIYKTFPLSEAAEAHKLMEESSHIGKILLIP >ORUFI02G38040.1 pep chromosome:OR_W1943:2:32498051:32499672:-1 gene:ORUFI02G38040 transcript:ORUFI02G38040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGGGGGGWGGAGRKQERRALMVAFAVALLMGTAVYFRIWARQSTDPSFTVDDREELRRQFERANLEAMDESAEWRMKYDTEFAKNKQMQDELLKAKASLSASTKRFSLLKKDNEVLKRQIQIMKQQCNCTVTSNLTQE >ORUFI02G38050.1 pep chromosome:OR_W1943:2:32500335:32509690:-1 gene:ORUFI02G38050 transcript:ORUFI02G38050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGLNRSSSRGQLPPQELLDDLCSRFVLNVPKEDLESFERILFLLEQAHWFYEDNSVEHNPSLKSLSFKDFTTLMFNSCAALRPYRAHLDDIYKDFTHYKFRVPVCGAIILDDTYEKCLLVKGWKSSASWSFPRGKRSKDEEDHTCAVREVLEETGCDVSKLLKLEDYIEVSMWQQRVRLYIITGVKGDTVFAPQTKKEISEISWHNIDELLPAGDDAISRGVNGMKLYMVAPFLQGLKAWIATHRHPQYQKSDTSARGTVWKAKNSSTGAAPVENPVITRTGSDPQTLDSRPGKSFRNFRFDTASILQSMEASFLPSGIWSRADAMAALVRPAVLPVCAMTGGGGNDKRSPPQQLSWWGGNKQRLPHQPRRQPGGNGGRGGGGGALDQVLGVLRRDGEFLQAAAGAPLRDIFWLRFLEKKKQQRRRKQPKPKPKPPEQQQQQEEAAAAIQAPSFPPPSYQPGLSCLDLMTADFEALKLYIGYSKHAIEQQFLKGKRQPQHQQTPKPKLQQQQQQQKKKQQQQEQQNQTLQPPAFPPPSYPPGLSCMELMMADIEALKLYINYYVAILTTPLPQHYDPEILSRYFTSRPHILAFRTIQIIFAFVSAAVKMQISKRTNLITDATYSCNSTGSKGFNASQYMVGQLLKETFLDLGPTFVKVGQSLSTRPDITGSEISEALAELHEKVPPFPRKDAMKIIEGEFELPVSHIFSYISDEPVAAASFGQVYQGRTVDGALVAIKVQRPNLLPSVLRDIYILRLGLSFVRKVAKRKSNIALYADELGKGFVGELDYNIEAANATKFLEYHSRYSFMLVPKVLKQLTRRRVLTMEWVSGENPTDLLSLSKGISSEIAESSEKQKIEATARLLDLVNKGVEASLVQLLETGLLHADPHPGNLRYTPEGRVGFLDFGLLCMMEKKHQHAMLASIVHIVNGDWASLVYDLTAMDVVPPRTNLRRVTMDLEDALGEVTFEDGIPDIKFSRVLGKIWSVAFKYHFRMPPYYTLVLRSLASLEGLAIAADGTFKTFQAAYPYVVRKLLSDNSVATRRLLHQAIFNKRKEFQWNKITVFLKLASARYNTGVLPERKGLDVAKLVEISDASSSLHLNRATPERALHTANLCLRLLLSKESIVIRRLVMTANAKSLARDLISKDASIYRVLLSRVLADVIFQWMVKATGFKRAGQLEPHPRVTAGKNDEDLGLSKEAPALVTFQTAVRDRRLKVIFSKFVRDIREDPILMVRVSWNMFAISVVSAAIGVHRFIVVLSEEFLSTLPPPVPPPRLVQIQSI >ORUFI02G38060.1 pep chromosome:OR_W1943:2:32509911:32516814:1 gene:ORUFI02G38060 transcript:ORUFI02G38060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPAISLARSPTSSASASPLYPVRRSAAVAVRHHRCPSFATKPAAGVCCASPAVELLPSLSPDILVRDARLEDCWEVADTHCSSFFPDYTFPLDLVLRIDRYIALLSGFSVPPGCMRTCLVAVNSNSLSRSISIECGDLRDADFQEKHGLSKASIAGILTVDTVADYLPRRGPLKQRRTGIAYIANVAVRKEERRKGIAKMLVAEAEERAKSWGCRSMALHCDVNNIAALRLYKNLGYKCIRVPEDAKWPEPKIAKGVRYNFMMKLVPKIRKKMAGLTGDRRCRTPAAAGGVAVSVADGRIGARNIGITNT >ORUFI02G38070.1 pep chromosome:OR_W1943:2:32531507:32537288:1 gene:ORUFI02G38070 transcript:ORUFI02G38070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGFVEDMLREQSLLEATCGDLFDHIDDLLDFPKEESAADVLLLDAPAPGSPLSSRIIGGHATMAAAPPPPPQMMALPPPPAPAKDDASALFDAAGALGAEVFDRKDAHIGPCDELDMDMAQLEWLSGLFDDGTIPHEPSFPGVNCAAPIKASALTANAGVVLPDKAEEALFRSSSPISVLEHSGFNVATNGGSSSSSSSSASSSSESFSGSGRAWSAPVSPRPEPPVLVIPARARSKRSRPSAFPAVRGAPAATETTILVPTPMYSSTSSHSDPESIAESNPHPPPMKKKKKAKKPAAPAAASDAEADADAADADYEEGGALALPPGTVRRCTHCQIEKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFMPSIHSNSHKKVVEMRQKATRTADPSCDLLQYIRRRD >ORUFI02G38070.2 pep chromosome:OR_W1943:2:32532967:32537288:1 gene:ORUFI02G38070 transcript:ORUFI02G38070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGFVEDMLREQSLLEATCGDLFDHIDDLLDFPKEESAADVLLLDAPAPGSPLSSRIIGGHATMAAAPPPPPQMMALPPPPAPAKDDASALFDAAGALGAEVFDRKDAHIGPCDELDMDMAQLEWLSGLFDDGTIPHEPSFPGVNCAAPIKASALTANAGVVLPDKAEEALFRSSSPISVLEHSGFNVATNGGSSSSSSSSASSSSESFSGSGRAWSAPVSPRPEPPVLVIPARARSKRSRPSAFPAVRGAPAATETTILVPTPMYSSTSSHSDPESIAESNPHPPPMKKKKKAKKPAAPAAASDAEADADAADADYEEGGALALPPGTVRRCTHCQIEKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFMPSIHSNSHKKVVEMRQKATRTADPSCDLLQYIRRRD >ORUFI02G38080.1 pep chromosome:OR_W1943:2:32545998:32549247:-1 gene:ORUFI02G38080 transcript:ORUFI02G38080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTRAHIVKNDALEGMLGEFVGGGKGGGKAGRAAARHSSRLVAALTCLQLAFAIYATFLLYYMSPAVDLRAKPDFAWATRIAQHWKQLMAQPDGGGGGMAVSPEEVCEHESIDFEQKKSTDAVMIRLKRELYDEVRDFQRRSFGAETLPELLRMRSRWSAAAAAAGNQPRVTVILNHFKRRTLCAQLDTLRRQTVPFHRAWVLAFGSPNEAALRRIVGSYNDSRISFVSSGHDFKYYGRFQMALQSESDFVYVLDDDMIPGARMLEILTHVAGTDKYRNAVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDIAVDRIVQVDFLSSSWFLAADLVKALFIEVPFTFMTGEDLHLSYQLQKYMGAGSFVLPVDAGDKETWGDSEHRLAYVAETTVIFKDIVQVRDEQWWRALTSGYVTQWAAMHPQKVDALFYAHSLGEVRALAPLLERFRTTAGRKAYLVVSGGGHCPCEEAAAVLKWPKVVCKERRFKIFDLAVGALSGPSHSDVPVLHAVYSSMRGIVRMHNPSVIVAVADVDSKIKDALRMAADAAVNRTALVLLPRNSISKNRAKSLRRLLASLRDAYYVGDEVPISFNMDSRVDAATLNVVNAFDWPHGGKTLRRRIIQGGLIRAVSESWYPATDDDYGLLLEDDIEVSPYYYLWIKYALLAYRYDPQVSLPELSSISLYTPRLVEVVKERPRWNATAFFGRSKNHHPNTPYLHQLPCSWGAVFFPKHWREFYAYMAARFTEDAKQNPVQIPRSRTNGWQASWKKFLIDMMYLRGYVALYPNFPDQSSFSTNHMEPGAHISAKGNVVKHDKRDFEVPLVADDFSPLLPAGRMPPASKLPVLNLFNQPVSFKALKAAGAKLRQDVIGCAATQLVAVDHVTGLPKNCTAF >ORUFI02G38090.1 pep chromosome:OR_W1943:2:32552872:32557379:-1 gene:ORUFI02G38090 transcript:ORUFI02G38090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFDQTVRDLKREVNKKVLKVPGIEQKILDATSNEPWGPHGSLLAEIAQATQNYHEYQMVMNVVWKRINDTGKNWRHVYKGLIVLDYLVAHGTERVIDDIREHSYQISTLADFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERIQEVRQKALATRDKYRSAFATSGTHRSPGGYDNDRYEGSYGSRYDNRNGYGGEREYGYRDDDRYGVAGTTPNREGDRYSRDSNEQRYSRDREDEYKGSHSNHEYAEGSGRRSYGRDRDSYGDDEAYSSRGRQSNADGPTQDERPMERKPSNQQIASPPPNYEDVTRDTQDNNHDGRNGGTVPVPVAAAKVSSPPRTSVPPGQVNGVHDNTVEDVPAPPPTHPEVNGFDEFDPRGSVPDTSPPVNPSQAVNSLEMDLFGPDPINSLALVSVPQPTASPNVEPSANPGFESNSFMGMPLASTGFNEAFDATNPFGDPTPFKAVHEETPAVSQTNAAPAGSFHATEPAADANPFQPASAASFGFGDTLGDLSFGSNAAPGQQDIFVPTSSHSEVPPANPSVHPEQAVPSYVSSQAPQPAAAGPQTHAAPASFASQAPPTSFASQAPQAGAPYPQAASTFPHSQASHPAATNPSTIPQNVATPFAPLQMPQPVPSGQSNYFMQPVPGTGINGMSGAPSQNGAPSYIPSQASQFAAPTNLQPSQPTFPPQTAMAASQATSISRGASQPLAVPNSMPSGVNFPLQSSSSAPPETILSALQVSQSEPVKKFESKSTVWADTLSRGLVNLDISGPKANPHADIGVDFDSINRKEKRQEKKVSQAPVVSTITMGKAMGTGSGIGRAGASAMAPPANPMGASRGIGMGMGAAGSGYGGGMGMNRPMGMGMGMNQQMGMGMGMNQQAMGMGMNQQAMGMGMNQQPMGMNMGMGMNQGMGMNMRPPMGMGPGSGYNPMGTGYGGQQPYGGYR >ORUFI02G38100.1 pep chromosome:OR_W1943:2:32565617:32569823:1 gene:ORUFI02G38100 transcript:ORUFI02G38100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDAADQKNEHGEVVALYNGSESAPAPLTLCSAVGVVLALVAADDEDATGDTSAAVDDPVDGESPSSSVLGTC >ORUFI02G38100.2 pep chromosome:OR_W1943:2:32567306:32569823:1 gene:ORUFI02G38100 transcript:ORUFI02G38100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGKKEHPSQRAGALDPLLRRGRGVGAGRRRRRGRHRRHQRRRGRPGGRRVAVVQRPGHVLNECLIHPVQGSRMTPL >ORUFI02G38100.3 pep chromosome:OR_W1943:2:32562900:32563423:1 gene:ORUFI02G38100 transcript:ORUFI02G38100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLETGTFPGGAAQAVADGDRPPPRGFGGARIGRSSWRNAAPWPAVEGGCDLSTIGQAFQADDCPNS >ORUFI02G38100.4 pep chromosome:OR_W1943:2:32562900:32563271:1 gene:ORUFI02G38100 transcript:ORUFI02G38100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLETGTFPGGAAQAVADGDRPPPRGFGGARIGRSSWRNAAPWPAVEGGCDLSTIGQAFQVLRI >ORUFI02G38100.5 pep chromosome:OR_W1943:2:32562900:32569823:1 gene:ORUFI02G38100 transcript:ORUFI02G38100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLETGTFPGGAAQAVADGDRPPPRGFGGARIGRSSWRNAAPWPAVEGGCDLSTIGQAFQGVKRMFDTSSPGKQNDTFIELNLKTCPKIVSQVRKSQTRSKKARAREREEMKGRKKKNK >ORUFI02G38100.6 pep chromosome:OR_W1943:2:32562900:32567452:1 gene:ORUFI02G38100 transcript:ORUFI02G38100.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLETGTFPGGAAQAVADGDRPPPRGFGGARIGRSSWRNAAPWPAVEGGCDLSTIGQAFQGFLLQFWALKARRVVGSAVLSWNSKRTQKGSENSVEQCPLQAVLKRGCYGYGTDLFFMPPPRKGVK >ORUFI02G38110.1 pep chromosome:OR_W1943:2:32564025:32567864:-1 gene:ORUFI02G38110 transcript:ORUFI02G38110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRWRKAKMSLGLNLCVYVPRTLDDGDSPSTGSSTAALVSPVASSSSAATSANTTPTAEQRVKGAGALMPTTPTPTSAGLRLSKSGSKSFKKTCAICLTTMKPGQGHALFTAECSHTFHFHCIAANVKHGSNNCPVCRTKWKELPFRGPLPGEFPQGSARINPVNGHQNGGQMTILRPLPRARSSGRLHHMTSLLPDTDRSIFNDDEPLDSLSEANEGSQQGCLRTVEIKTYPEFTEVPESTSERNFTVLVHLKAPLAQTLQTSSKLEDGNSLGTTRAPVDLITVLDVSGSMAGTKLALLKRAMGFVIQNLGSSDRLSVIAFSSSARRLFPLRRMTETGRQQSLQAVYSLTSNGGTNIAEGLRKGSKVIEDRQAKNPVCSIILLSDGQDTYTVSPTAGVHKAAPEYCSLLPYTSNGCQQVPVHVFGFGADHDSVSLHSISQTSGGTFSFIETEAAIQDAFAQCIGGLLSVVAQDLHVKVESLHPDVHFGSIRSGSYSSRLADDKRNGSIDVGDMYAEEERDFLMSVNVPPGYGETALLKVGCVYKDPLMKETINMADVQVKISRPAFVSVQSVSIEVDRQKNRLHAAEVMAEARLSAERGDLTHAVSLLEDCRRMIMGSTSGQSGDRLCQALDAELKEMQERMANRQRYEASGRAYVLSGLSSHSWQRATARGDSTDSESLIQAYQTSSMVDMLLRSQTMSRSSTPPQMRHVKSFPARPQPR >ORUFI02G38120.1 pep chromosome:OR_W1943:2:32578973:32579467:1 gene:ORUFI02G38120 transcript:ORUFI02G38120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPISWAAAAAAGGSRRRQREGGRARGRIPYWEDEGNGSEWEPAVDLTPGEDAAPVTPP >ORUFI02G38130.1 pep chromosome:OR_W1943:2:32579472:32581100:1 gene:ORUFI02G38130 transcript:ORUFI02G38130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSKRASGEEDGGRDGKLELTDSNPLSLASVFSPAVSSPYSPSPCSRLQEEGVLRTEINPSLDKVTVVGDVDSRVLVKKLSKVGKITEVMAPPPPPSPAAPSEEGKKSNSNGGEKPTSPADEKSARKDEGKDGKGNKSPATAAACKQECSKCTARKEAATRADEAGRAAGKTASSKDATTKRSGDGDKSEPAAVVVEYQYHHHYNRLEPAMVVLVHLPYFAANATPYHAGGYYPMPPPMSVLRHPSQLRPQPSRFDEDYFNKDNTAAGSRPSLAPVRRWPSSHGCRSPKGGG >ORUFI02G38140.1 pep chromosome:OR_W1943:2:32581819:32586305:1 gene:ORUFI02G38140 transcript:ORUFI02G38140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLPVANATAAALARVSAMFNAPLARAVVFGIHIDGHLVIEGLLIAAILFQLSRKSYKPPKKPLTEREVDELCDEWQPEPLCPPIKEGARIEAPTLESAAGPHTTVDGKEVVNFASANYLGLIGNEKILDSCIGSVEKYGVGSCGPRGFYGTIDVHLDCETKIAKFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMASLASTLEKLTHGNKRTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPIEKIDIITAGMGNALATDGGFCTGSIRVVDHQRLSSSGYVFSASLPPYLASAAISAVDHLEENPSVLANLRSNITLLHKELSDVQGLEIASNILSPIVFLKLKTSTGSSVADLELLEVISEKVLKEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDILKVSESLKRVAASVL >ORUFI02G38140.2 pep chromosome:OR_W1943:2:32582681:32586305:1 gene:ORUFI02G38140 transcript:ORUFI02G38140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASTLEKLTHGNKRTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPIEKIDIITAGMGNALATDGGFCTGSIRVVDHQRLSSSGYVFSASLPPYLASAAISAVDHLEENPSVLANLRSNITLLHKELSDVQGLEIASNILSPIVFLKLKTSTGSSVADLELLEVISEKVLKEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDILKVSESLKRVAASVL >ORUFI02G38150.1 pep chromosome:OR_W1943:2:32588156:32589349:-1 gene:ORUFI02G38150 transcript:ORUFI02G38150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGELALRQEYVIGDEIGRGRFGTVRRCYAVATGEAFAVKSTPKAPLREAEAADALDLALAEQEPKVHLVASAPGPGASPHVVALHAAFEDDAAVHLVVDLCAGGDLLSLVSSRGRLPEHEAADLVAQLASALASCHRRGVAHRDVKPDNLLFDGGGVLKLGDFGSAGWFGDGRPMTGLVGTPYYVAPEVVAGREYGEKVDVWSAGVVLYMMLSGTLPFYGATAAEVFQCVLRGNLRFPPRAFASVSPEAKDLMRRMLCKDVSRRFSADQVLRHPWIVSRGGAAVMG >ORUFI02G38160.1 pep chromosome:OR_W1943:2:32594782:32603960:1 gene:ORUFI02G38160 transcript:ORUFI02G38160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGLVVGSALRAAQPPPPPPLPRSPRGAADRLLIFSREPRPRLAPLTRCYCSREGKGNARSKPHREGSNSVREWLIFVSLSRLNVKANNDQRIMNLELMSQETYLADERHEGVDIWELFSEAQRNILHLNKQRLVAMEELKKLQDENKSLLQDIEVLETERQGFSIEIAESSRFSELLLRIDTMTISGMVGMTEASDLRKKVIDNRYMIHSVFSDIHHKQDTELLSELRLFLRKPAEKPLHVVHICSEMDPITSRGSLATYVTGLSSALQRKGNLVEVILPKYAGINEDVIHFLRKAEADYESYYGGCWHKNRIWTGISSGVSLTLIEPVQLSYFDRDMLRGYPDDFERFSYFSRASLDYIVKSGKQPDVLHVHNWETAIVGPLFWDIFAHQGLGNTRILLTCQDLTSQCLEVPNMLELCGLDPHKLHRPDRLQDNSETNLVNVLKGGIVYSNKVLLMSSTLPRDMAIQGLGHGLEATLTTHKEKVLVASHGLDGELWDPSKDIYLPQRYSPNDIEGKSFCRKTLKRRVGLHSGSSVVVGCICNGDSNTDGLREAVRVALHGGAQVIFMENKGPVMNSTVQALKEELKGDRVMFVEIYDEALEHLIFAGSDIFLCSSFYDPSLQIAIRAIKYGSAPVQINFPSNGSRQTEWHDYPSTALSQYIISTYGDMSLSQALDGIKNNPSQWDWRIKDAMSKVLSWDAECYDLHWEAYSVIRKL >ORUFI02G38160.2 pep chromosome:OR_W1943:2:32594782:32603960:1 gene:ORUFI02G38160 transcript:ORUFI02G38160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGLVVGSALRAAQPPPPPPLPRSPRGAADRLLIFSREPRPRLAPLTRCYCSREGKGNARSKPHREGSNSVRLNVKANNDQETYLADERHEGVDIWELFSEAQRNILHLNKQRLVAMEELKKLQDENKSLLQDIEVLETERQGFSIEIAESSRFSELLLRIDTMTISGMVGMTEASDLRKKVIDNRYMIHSVFSDIHHKQDTELLSELRLFLRKPAEKPLHVVHICSEMDPITSRGSLATYVTGLSSALQRKGNLVEVILPKYAGINEDVIHFLRKAEADYESYYGGCWHKNRIWTGISSGVSLTLIEPVQLSYFDRDMLRGYPDDFERFSYFSRASLDYIVKSGKQPDVLHVHNWETAIVGPLFWDIFAHQGLGNTRILLTCQDLTSQCLEVPNMLELCGLDPHKLHRPDRLQDNSETNLVNVLKGGIVYSNKVLLMSSTLPRDMAIQGLGHGLEATLTTHKEKVLVASHGLDGELWDPSKDIYLPQRYSPNDIEGKSFCRKTLKRRVGLHSGSSVVVGCICNGDSNTDGLREAVRVALHGGAQVIFMENKGPVMNSTVQALKEELKGDRVMFVEIYDEALEHLIFAGSDIFLCSSFYDPSLQIAIRAIKYGSAPVQINFPSNGSRQTEWHDYPSTALSQYIISTYGDMSLSQALDGIKNNPSQWDWRIKDAMSKVLSWDAECYDLHWEAYSVIRKL >ORUFI02G38160.3 pep chromosome:OR_W1943:2:32594782:32603960:1 gene:ORUFI02G38160 transcript:ORUFI02G38160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGLVVGSALRAAQPPPPPPLPRSPRGAADRLLIFSREPRPRLAPLTRCYCSREGKGNARSKPHREGSNSVRLNVKANNDQETYLADERHEGVDIWELFSEAQRNILHLNKQRLVAMEELKKLQDENKSLLQDIEVLETERQGFSIEIAESSRFSELLLRIDTMTISGMVGMTEASDLRKKVIDNRYMIHSVFSDIHHKQDTELLSELRLFLRKPAEKPLHVVHICSEMDPITSRGSLATYVTGLSSALQRKGNLVEVILPKYAGINEDVIHFLRKAEADYESYYGGCWHKNRIWTGISSGVSLTLIEPVQLSYFDRDMLRGYPDDFERFSYFSRASLDYIVKSGKQPDVLHVHNWETAIVGPLFWDIFAHQGLGNTRILLTCQDLTSQCLEVPNMLELCGLDPHKLHRPDRLQDNSETNLVNVLKGGIVYSNKVLLMSSTLPRDMAIQGLGHGLEATLTTHKEKVLVASHGLDGELWDPSKDIYLPQRYSPNDIEGKSFCRKTLKRRVGLHSGSSVVVGCICNGDSNTDGLREAVRVALHGGAQVIFMENKGPVMNSTVQALKEELKGDRVMFVEIYDEALEHLIFAGSDIFLCSSFYDPSLQIAIRAIKYGSAPVQINFPSNGSRQTEWHDYPSTALSQYIISTYGDMSLSQALDGIKNNPSQWDWRIKDAMSKVLSWDAECYDLHWEAYSVIRKL >ORUFI02G38160.4 pep chromosome:OR_W1943:2:32594782:32603410:1 gene:ORUFI02G38160 transcript:ORUFI02G38160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGLVVGSALRAAQPPPPPPLPRSPRGAADRLLIFSREPRPRLAPLTRCYCSREGKGNARSKPHREGSNSVREWLIFVSLSRLNVKANNDQRIMNLELMSQETYLADERHEGVDIWELFSEAQRNILHLNKQRLVAMEELKKLQDENKSLLQDIEVLETERQGFSIEIAESSRFSELLLRIDTMTISGMVGMTEASDLRKKVIDNRYMIHSVFSDIHHKQDTELLSELRLFLRKPAEKPLHVVHICSEMDPITSRGSLATYVTGLSSALQRKGNLVEVILPKYAGINEDVIHFLRKAEADYESYYGGCWHKNRIWTGISSGVSLTLIEPVQLSYFDRDMLRGYPDDFERFSYFSRASLDYIVKSGKQPDVLHVHNWETAIVGPLFWDIFAHQGLGNTRILLTCQDLTSQCLEVPNMLELCGLDPHKLHRPDRLQDNSETNLVNVLKGGIVYSNKVLLMSSTLPRDMAIQGLGHGLEATLTTHKEKVLVASHGLDGELWDPSKDIYLPQRYSPNDIEGKSFCRKTLKRRVGLHSGSSVVVGCICNGDSNTDGLREAVRVALHGGAQVIFMENKGPVMNSTVQALKEELKGDRVMFVEIYDEALEHLIFAGSDIFLCSSFYDPSLQIAIRAIKYGSAPVQINFPSNGSRQTEWHDYPSTALSQYIISTYGDMSLSQALDGIKNNPSQWDWRIKDAMSKVLSWDAECYDLHWEAYSDAFVDDAVATAAKNTVGGKAVGGLLELFLGENLEPTRSAAGATIGTHLLLEKHATVLLKESLLRSDELPLLKPQVEPEQHEQ >ORUFI02G38160.5 pep chromosome:OR_W1943:2:32594782:32603410:1 gene:ORUFI02G38160 transcript:ORUFI02G38160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGLVVGSALRAAQPPPPPPLPRSPRGAADRLLIFSREPRPRLAPLTRCYCSREGKGNARSKPHREGSNSVRLNVKANNDQETYLADERHEGVDIWELFSEAQRNILHLNKQRLVAMEELKKLQDENKSLLQDIEVLETERQGFSIEIAESSRFSELLLRIDTMTISGMVGMTEASDLRKKVIDNRYMIHSVFSDIHHKQDTELLSELRLFLRKPAEKPLHVVHICSEMDPITSRGSLATYVTGLSSALQRKGNLVEVILPKYAGINEDVIHFLRKAEADYESYYGGCWHKNRIWTGISSGVSLTLIEPVQLSYFDRDMLRGYPDDFERFSYFSRASLDYIVKSGKQPDVLHVHNWETAIVGPLFWDIFAHQGLGNTRILLTCQDLTSQCLEVPNMLELCGLDPHKLHRPDRLQDNSETNLVNVLKGGIVYSNKVLLMSSTLPRDMAIQGLGHGLEATLTTHKEKVLVASHGLDGELWDPSKDIYLPQRYSPNDIEGKSFCRKTLKRRVGLHSGSSVVVGCICNGDSNTDGLREAVRVALHGGAQVIFMENKGPVMNSTVQALKEELKGDRVMFVEIYDEALEHLIFAGSDIFLCSSFYDPSLQIAIRAIKYGSAPVQINFPSNGSRQTEWHDYPSTALSQYIISTYGDMSLSQALDGIKNNPSQWDWRIKDAMSKVLSWDAECYDLHWEAYSDAFVDDAVATAAKNTVGGKAVGGLLELFLGENLEPTRSAAGATIGTHLLLEKHATVLLKESLLRSDELPLLKPQVEPEQHEQ >ORUFI02G38160.6 pep chromosome:OR_W1943:2:32594782:32603960:1 gene:ORUFI02G38160 transcript:ORUFI02G38160.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGLVVGSALRAAQPPPPPPLPRSPRGAADRLLIFSREPRPRLAPLTRCYCSREGKGNARSKPHREGSNSVREWLIFVSLSRLNVKANNDQRIMNLELMSQETYLADERHEGVDIWELFSEAQRNILHLNKQRLVAMEELKKLQDENKSLLQDIEVLETERQGFSIEIAESSRFSELLLRIDTMTISGMVGMTEASDLRKKVIDNRYMIHSVFSDIHHKQDTELLSELRLFLRKPAEKPLHVVHICSEMDPITSRGSLATYVTGLSSALQRKGNLVEVILPKYAGINEDVIHFLRKAEADYESYYGGCWHKNRIWTGISSGVSLTLIEPVQLSYFDRDMLRGYPDDFERFSYFSRASLDYIVKSGKQPDVLHVHNWETAIVGPLFWDIFAHQGLGNTRILLTCQDLTSQCLEVPNMLELCGLDPHKLHRPDRLQDNSETNLVNVLKGGIVYSNKVLLMSSTLPRDMAIQGLGHGLEATLTTHKEKVLVASHGLDGELWDPSKDIYLPQRYSPNDIEGKSFCRKTLKRRVGLHSGSSVVVGCICNGDSNTDGLREAVRVALHGGAQVIFMENKGPVMNSTVQALKEELKGDRVMFVEIYDEALEHLIFAGSDIFLCSSFYDPSLQIAIRAIKYGSAPVQINFPSNGSRQTEWHDYPSTALSQYIISTYGDMSLSQALDGIKNNPSQWDWRIKDAMSKVLSWDAECYDLHWEAYSVIRKL >ORUFI02G38160.7 pep chromosome:OR_W1943:2:32594782:32603960:1 gene:ORUFI02G38160 transcript:ORUFI02G38160.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGLVVGSALRAAQPPPPPPLPRSPRGAADRLLIFSREPRPRLAPLTRCYCSREGKGNARSKPHREGSNSVRLNVKANNDQETYLADERHEGVDIWELFSEAQRNILHLNKQRLVAMEELKKLQDENKSLLQDIEVLETERQGFSIEIAESSRFSELLLRIDTMTISGMVGMTEASDLRKKVIDNRYMIHSVFSDIHHKQDTELLSELRLFLRKPAEKPLHVVHICSEMDPITSRGSLATYVTGLSSALQRKGNLVEVILPKYAGINEDVIHFLRKAEADYESYYGGCWHKNRIWTGISSGVSLTLIEPVQLSYFDRDMLRGYPDDFERFSYFSRASLDYIVKSGKQPDVLHVHNWETAIVGPLFWDIFAHQGLGNTRILLTCQDLTSQCLEVPNMLELCGLDPHKLHRPDRLQDNSETNLVNVLKGGIVYSNKVLLMSSTLPRDMAIQGLGHGLEATLTTHKEKVLVASHGLDGELWDPSKDIYLPQRYSPNDIEGKSFCRKTLKRRVGLHSGSSVVVGCICNGDSNTDGLREAVRVALHGGAQVIFMENKGPVMNSTVQALKEELKIRAIKYGSAPVQINFPSNGSRQTEWHDYPSTALSQYIISTYGDMSLSQALDGIKNNPSQWDWRIKDAMSKVLSWDAECYDLHWEAYSVIRKL >ORUFI02G38170.1 pep chromosome:OR_W1943:2:32602248:32616924:-1 gene:ORUFI02G38170 transcript:ORUFI02G38170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTLCLQGAALVVLIVCLAPVAPAWAQQPAGCPDKCGNTSIPYPFGIGSRCARDFNFRLVCNHAYSPPRLFVSEVELVSLSLDGEARALINARNYCSDGTTYISYNALRRDSQGQLPLSDVSFGRSTAYRFSAARNRFVVLGCPVLGYLVDAEEYYVSGCISMCRKSQAGDDHLSSRCTGERGCCQNTIPRPLNFYKPYILSLNKSAEENRVEPIYHRLNSTACNYVFLVEDKWIDTTYSYRAYFNRTDDFDVLVVLDWAIRNVRNCRVAKRNATKYACRSEWSECFDASDGVGYRCRCSNGYQGNPYLDGGCTDIDECQHLDKYPCHGVCTNLLGGYKTKQRFFEQNGGVILQQQMHSGGGTGGFKIFSTEELEKATNNSAADRVLGHGGHGVVYKGVLEDNMVVAIKKSKMMEEAQTKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKEPTTDIALDNRLRIAAKSAEALAYMHSSASPPILHGDVKTANILLDDKLNAKVSDFGASKLAPTDEAAIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVVLELLTRKKALYLDGPEEDRSLVSRFTTAVKAGRHRELMDSQVRKEMNDEMATEIADLLMRCLSMNGEERPTMKEVAERLEMLRRYQQHPWAEAKGNAEENQSLLGIEHQNPNYQFRQHDVIDLEEGSMALAPATPASAQPLPGCPDKCGNISIPYPFGIGAVCARDFNFRLECNHAYSPPRLFVSEVELVSLSLDGEARALINARNDCSGRTTYINFDALRKDTLSDVSFGRSTAYRFSAARNRFVVLGCPVLGYLVDAEEYYVSGCISMCRKSQAGDDHLSSRCTGERGCCQNTIPRPLNFYKPYILSLNKSAEENRVEPIYHRLNSTACNYVFLVEDKWIDTTYSYRAYFNRTDDFDVPVVLDWAIRNAGNCDIAVRNRTDYACRSAHSECFNASDGQGYRCRCSKGYEGNPYLDGGCKDIDECQRTKEYPCFGKCTNTIGSYTCECRPGTSGNATQENGCHPTDKFTLALKVVTGVSVGVFLLLFMLFWLYLGLQKRKLIRTKQRFFEQNGGVLLQQQMRSYGGTSGGAGGFKIFSKEELEKATNSFAADRVLGRGGYGIVYKGVLEDNMVVAIKKSKMIEEAQTKEFAKEMCILSQINHKNVVKLLGCCLEVEVPMLVYEFVSNGTLDHYIHGGTLNTVISLDSRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDDKLTAKVSDFGTSKLVPNDEFEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTRKKALYFGGSEEDRSLVSCFMTAVRDGRHEELIDSQVRNEMTEEVLQEITHLVMRCVSMSGEERPMMKEVAEKLEMLRRYQLHPWDKGDANPEEKQSLLDMEQRNVDQKFRHHHDYDPENPACRS >ORUFI02G38170.2 pep chromosome:OR_W1943:2:32602250:32614174:-1 gene:ORUFI02G38170 transcript:ORUFI02G38170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVSIFDIDECQHLDKYPCHGVCTNLLGGYKTKQRFFEQNGGVILQQQMHSGGGTGGFKIFSTEELEKATNNSAADRVLGHGGHGVVYKGVLEDNMVVAIKKSKMMEEAQTKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKEPTTDIALDNRLRIAAKSAEALAYMHSSASPPILHGDVKTANILLDDKLNAKVSDFGASKLAPTDEAAIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVVLELLTRKKALYLDGPEEDRSLVSRFTTAVKAGRHRELMDSQVRKEMNDEMATEIADLLMRCLSMNGEERPTMKEVAERLEMLRRYQQHPWAEAKGNAEENQSLLGIEHQNPNYQFRQHDVIDLEEGSMALAPATPASAQPLPGCPDKCGNISIPYPFGIGAVCARDFNFRLECNHAYSPPRLFVSEVELVSLSLDGEARALINARNDCSGRTTYINFDALRKDTLSDVSFGRSTAYRFSAARNRFVVLGCPVLGYLVDAEEYYVSGCISMCRKSQAGDDHLSSRCTGERGCCQNTIPRPLNFYKPYILSLNKSAEENRVEPIYHRLNSTACNYVFLVEDKWIDTTYSYRAYFNRTDDFDVPVVLDWAIRNAGNCDIAVRNRTDYACRSAHSECFNASDGQGYRCRCSKGYEGNPYLDGGCKDIDECQRTKEYPCFGKCTNTIGSYTCECRPGTSGNATQENGCHPTDKFTLALKVVTGVSVGVFLLLFMLFWLYLGLQKRKLIRTKQRFFEQNGGVLLQQQMRSYGGTSGGAGGFKIFSKEELEKATNSFAADRVLGRGGYGIVYKGVLEDNMVVAIKKSKMIEEAQTKEFAKEMCILSQINHKNVVKLLGCCLEVEVPMLVYEFVSNGTLDHYIHGGTLNTVISLDSRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDDKLTAKVSDFGTSKLVPNDEFEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTRKKALYFGGSEEDRSLVSCFMTAVRDGRHEELIDSQVRNEMTEEVLQEITHLVMRCVSMSGEERPMMKEVAEKLEMLRRYQLHPWDKGDANPEEKQSLLDMEQRNVDQKFRHHHDYDPENPACRS >ORUFI02G38170.3 pep chromosome:OR_W1943:2:32602248:32616924:-1 gene:ORUFI02G38170 transcript:ORUFI02G38170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTLCLQGAALVVLIVCLAPVAPAWAQQPAGCPDKCGNTSIPYPFGIGSRCARDFNFRLVCNHAYSPPRLFVSEVELVSLSLDGEARALINARNYCSDGTTYISYNALRRDSQGQLPLSDVSFGRSTAYRFSAARNRFVVLGCPVLGYLVDAEEYYVSGCISMCRKSQAGDDHLSSRCTGERGCCQNTIPRPLNFYKPYILSLNKSAEENRVEPIYHRLNSTACNYVFLVEDKWIDTTYSYRAYFNRTDDFDVLVVLDWAIRNVRNCRVAKRNATKYACRSEWSECFDASDGVGYRCRCSNGYQGNPYLDGGCTDIDECQHLDKYPCHGVCTNLLGGYKTKQRFFEQNGGVILQQQMHSGGGTGGFKIFSTEELEKATNNSAADRVLGHGGHGVVYKGVLEDNMVVAIKKSKMMEEAQTKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKEPTTDIALDNRLRIAAKSAEALAYMHSSASPPILHGDVKTANILLDDKLNAKFEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTRKKALYFGGSEEDRSLVSCFMTAVRDGRHEELIDSQVRNEMTEEVLQEITHLVMRCVSMSGEERPMMKEVAEKLEMLRRYQLHPWDKGDANPEEKQSLLDMEQRNVDQKFRHHHDYDPENPACRS >ORUFI02G38170.4 pep chromosome:OR_W1943:2:32614178:32616924:-1 gene:ORUFI02G38170 transcript:ORUFI02G38170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTLCLQGAALVVLIVCLAPVAPAWAQQPAGCPDKCGNTSIPYPFGIGSRCARDFNFRLVCNHAYSPPRLFVSEVELVSLSLDGEARALINARNYCSDGTTYISYNALRRDSQGQLPLSDVSFGRSTAYRFSAARNRFVVLGCPVLGYLVDAEEYYVSGCISMCRKSQAGDDHLSSRCTGERGCCQNTIPRPLNFYKPYILSLNKSAEENRVEPIYHRLNSTACNYVFLVEDKWIDTTYSYRAYFNRTDDFDVLVVLDWAIRNVRNCRVAKRNATKYACRSEWSECFDASDGVGYRCRCSNGYQGNPYLDGGCTDIDECQDKEKYGCYGDCTNTIGGYTCICPRGTIGNVHEKNGCRPKDKFTFALKAVTGVGLGVFMSVFMAFWLHLGLQKRKLIRTRQKFFEQNGGIFLQQQMRSYGGAGGGVGGFKIFSTEELKNATNNFAVDRILGHGGHGIVYKGVLEDNTVVAIKKSKMMEEAQTKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKDPEVDIALDTRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDDKFDAKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVVLELLTRKKALYLDGPEEDRSLVSCFTTAVKVGRHQELLDSQVRNELSDEMLQEITHLLMRCLSMIGEERPAMKEVAERLESLRRYQQHPWAKAEGNEEEIQSLLGMEQNNANYQLRQQDVLGLEEGNAYTFSL >ORUFI02G38180.1 pep chromosome:OR_W1943:2:32612532:32615169:1 gene:ORUFI02G38180 transcript:ORUFI02G38180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSWEACRKEMVVDSIIDMCKEFDEAQIT >ORUFI02G38190.1 pep chromosome:OR_W1943:2:32621324:32626307:1 gene:ORUFI02G38190 transcript:ORUFI02G38190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFVDTVGYYVSGCTSICRPSQGSGASTGGCTGEGCCQSRIPTNTDYFEPYVFLAEDKWIDTTYRGSPDFNRTDDFAVPVVLDWAIRDVYNCSAAMRNMTNYACRSAHSGCFNTSDGQGYQCKCSQGYEGNPYLNDGCQDIDECKHLDKYPCHGDCTNVPGNYTWDQWRRHHEEWLPSKDKFTLALKMHSSGGVGGFKVFSTEELEKATNNFAADRVLGRGGHGVVYKGVLEDDMVVAIKKSKMMEEAQTMEFAREMFILSQINHKNIVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKEPIDQISLDTSESAEALSYMHSSASPPILHGDVKTANILLDDKLNTKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVVLELLTRKKALYLDGPEEDRSLVSCFTIAMKAGRHQELLDNQVRNEMNEEMLTEIAHLLMRCLSMNGEERLTMKEVAERLEMLRRYQQHPWVEAKGNAEENQSLLGMEQQNSNYQLRQHDVLDLEEGNTYTFSL >ORUFI02G38190.2 pep chromosome:OR_W1943:2:32621324:32626443:1 gene:ORUFI02G38190 transcript:ORUFI02G38190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFVDTVGYYVSGCTSICRPSQGSGASTGGCTGEGCCQSRIPTNTDYFEPYVFLAEDKWIDTTYRGSPDFNRTDDFAVPVVLDWAIRDVYNCSAAMRNMTNYACRSAHSGCFNTSDGQGYQCKCSQGYEGNPYLNDGCQDIDECKHLDKYPCHGDCTNVPGNYTCECPQGTSGDATMKNGCRQRTSSRVSVGVFMSVFMCFWLYLGLQKRKLIRTKQRFFEQNGGVILQ >ORUFI02G38190.3 pep chromosome:OR_W1943:2:32625258:32626307:1 gene:ORUFI02G38190 transcript:ORUFI02G38190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSGGVGGFKVFSTEELEKATNNFAADRVLGRGGHGVVYKGVLEDDMVVAIKKSKMMEEAQTMEFAREMFILSQINHKNIVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKEPIDQISLDTSESAEALSYMHSSASPPILHGDVKTANILLDDKLNTKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVVLELLTRKKALYLDGPEEDRSLVSCFTIAMKAGRHQELLDNQVRNEMNEEMLTEIAHLLMRCLSMNGEERLTMKEVAERLEMLRRYQQHPWVEAKGNAEENQSLLGMEQQNSNYQLRQHDVLDLEEGNTYTFSL >ORUFI02G38200.1 pep chromosome:OR_W1943:2:32631112:32635800:-1 gene:ORUFI02G38200 transcript:ORUFI02G38200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVPGPGPPDGTAYRFSAARNRFVVLGCPVLGYLVDAEEYYVSGCISMCRKSQAGDDHLSSRCTGERGCCQNTIPRPLNFYKPYILSLNKSAEENRVEPIYHRLNSTACNYVFLVEDKWIDTTYSYRAYFNRTDDFDARAAVQRLGDA >ORUFI02G38210.1 pep chromosome:OR_W1943:2:32646324:32647878:-1 gene:ORUFI02G38210 transcript:ORUFI02G38210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNFEANNNNGERQLVCVTGAGGFIGSWVVKELLIRGYHVRGTARDPGKILTTPSVSQSDSKNAHLLELEGADQRLSLCRADVLDAASLRAAFSGCHGVFHVASPVSNDPDLVPVAVEGTRNVINAAADMGVRRVVFTSSYGAVHMNPSRSPDAVLDETCWSDYEFCKQTDNLYCCAKMMAEMTATEEAARRGLELAVVVPSMTMGPMLQQTLNFSSNHVARYLMGTKKSYPNAVAAYVDVRDVARAHVLVYERPDARGRYLCIGTVLHRAELLRMLRDLFPQYPATAKCEDDGKPMAKPYKFSNQRLKDLGLEFTPLRKSLHEAVLCMQQKGHLPLIYPVPKRAYL >ORUFI02G38220.1 pep chromosome:OR_W1943:2:32651651:32653413:1 gene:ORUFI02G38220 transcript:ORUFI02G38220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQSGVGTKAVDYEVEQRDGGRVVKRKEAGLTRGSHGGVPVATSSVGRDMLAVSDIQAETPYAQLNAASVPGEAGRQGRWGSLPTARTLCRPCLLAADLSDELALTPIHQLRRLTSCT >ORUFI02G38230.1 pep chromosome:OR_W1943:2:32655113:32656636:-1 gene:ORUFI02G38230 transcript:ORUFI02G38230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGDSSPHSAAATDDAHHEGSEAAAAQAAPPPPAPPAKVRLMVSYGGRIQPRPHDHQLSYVNGETKILSLERPLRFADFAARLAGLAGSPGDFCVKYQLPGEDLDALVSVTNDEDLEHLVLEYDRLHLLRPAPGSGGGSSRGGSTPRLRVFLFPVQSPTPPPPPQPSGLLEPKQEQRQWFVDALNSVHQPPPPSPPQPKQESVSVQSPPPAVVPMPQPPPVLPAPTGPDYLFGLDNGFVPPPAVKVKDPAGDPPTVKDNVPVEIPAKNDDRHTTNPVSDHVVVSPVVSPGEFQRQIQGLEKLQFADTAAQQPPPPPAPATAAPPPAALPRNGSDDSLTRAYPPATVTPTPPTATPEYYLPKYQEKPAAPPPSSAPPPTAFLPVPGRYTSVAPGSGADHAPVFFIPAPPHGYFTTAAGPGATSFPAVYAVAQHNGNANASGNGPSPAAASNAQAYAPQVAYDSNGRAIYYTSVLPQYASAVSGVPAAATVLGTDPAKPVAVKPTVS >ORUFI02G38240.1 pep chromosome:OR_W1943:2:32665039:32667649:1 gene:ORUFI02G38240 transcript:ORUFI02G38240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEFHLRGRNLLVLAAGSRRRRPPAGTDVAAAGNDDDLTFASSSSSPRGGASDTTSITAQRNAQRPRSGSAAAGEMGDDHVYAKARSRGGCFLSTAREEKQLRELCE >ORUFI02G38250.1 pep chromosome:OR_W1943:2:32673934:32683796:1 gene:ORUFI02G38250 transcript:ORUFI02G38250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESRPIKEKLFECKGQVALELRMMSNGHSNSSKSLERFISRRALQMGSSPCKTWALGFFCGVCIVYLFGVALPPIQILMIRSVHPPVRRAILLNSTSTEHGGATETDDLSVLQEKIEIATNSKDINEADKMHLYNAWSTLLDTTSDEVMKSSDVPRPPHLENCRLKWERNKKFDSYSDNGVFPPWTLWKGSLGLELFNQNYSDSEEWRQMFFRSNAKSDRAPYPPWIAGSDEENYPLTRQVQRDVWIHQHPPNCSDPSLRFLYADWERLPGFGIGAQLAGMSGLLAIAIKERRILVAGYYNRADHNGCKGLARSSWSCYFLPETSADCQKRALDLMQSKDSRENGIIKVKENYTSKQIWAGHIPRIWGRPWKYMQPTTEIDGRLVKNHRKMDRRWWIAQALRYLMRFQSEYMCRLLNVARHSAFGMQAAKMVLENVPDPPKPSISRTESDIERLVWSEHKPYIPGPLISMHEVIDETKLYPKWNFYFTNVTRQDVNVSMAMYEASLGRETSTNYPLVNFIMATEADFFIGALGSTWCYLIDGMRNTAGKVMSGYLSVNKDRFWSHLL >ORUFI02G38250.2 pep chromosome:OR_W1943:2:32673934:32678084:1 gene:ORUFI02G38250 transcript:ORUFI02G38250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESRPIKEKLFECKGQVALELRMMSNGHSNSSKSLERFISRRALQMGSSPCKTWALGFFCGVCIVYLFGVALPPIQILMIRSVHPPVRRAILLNSTSTEHGGATETDDLSVLQEKIEIATNSKDINEADKMHLYNAWSTLLDTTSDEVMKSSDVPRPPHLENCRLKWERNKKFDSYSDNGVFPPWTLWKGSLGLELFNQNYSDSEEWRQMFFRSNAKSDRAPYPPWIAGSDEENYPLTRQVQRDVWIHQHPPNCSDPSLRFLYADWERLPGFGIGAQLAGMSGLLAIAIKERRILVAGYYNRADHNGCKGLARSSWSCYFLPETSADCQKRALDLMQSKDSRENGIIKVKENYTSKQIWAGHIPRIWGRPWKYMQPTTEIDGRLVKNHRKMDRRWWIAQALRYLMRFQSEYMCRLLNVARHSAFGMQAAKMVLENVPDPPKPSISRTESDIERLVWSEHKPYIPGPLISMHEVIDETKLYPKWNFYFTNVTRQDVNVSMAMYEASLGRETSTNYPLVNFIMATEADFFIGALGSTWCYLIDGMRNTAGKVMSGYLSVNKDRFW >ORUFI02G38260.1 pep chromosome:OR_W1943:2:32686501:32693725:1 gene:ORUFI02G38260 transcript:ORUFI02G38260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSREYEASIIPEWKAAFVDYKRLKKLIKRIKVTRRDDSFAAANAAAAADHLLPPPPAEKEAGGYGFSILDPVRAIAARFSAGQQPSASEDEECPDRGELVRSTDKHEREFMERADEELEKVNAFYTGQEAELLARGDALLEQLRILADVKRILADPPPPPPPPAAPAASPEAALCRRRRRPPRRRRRCTAPAAATSSPASPRRSPCQQAQVSEGAAVADEVMAALERNGVSFVGLAGKKDGKTKDGSGKGRGGGGGGGGGVLQLPATVRIDIPATSPGRAALKVWEELVNVLRKDGADPAAASLNVKAFTKILKKFVKVSEQQRATDLFSEKVKRSPFSSSDKVLQLADEVECIFMKHFTGNDRKVAMKYLKPQQPRNTHMITFLVCSRALLFHSHVSGIFTSTGNSAYMEIVYHVFSMFALISLHIFLYGCNLFMWKNTRINHNFIFDFSSNTALTHRDAFLMSASIMCTVVAALVINLFLKNAGVAYANALPGALLLLSTGVLFCPFDIFYRSTRYCFMRVMRNIIFSPFYKVLMADFFMADQLTSQIPLLRHMEFTACYFMAGSFRTHPYETCTSGQQYKHLAYVISFLPYFWRALQCLRRYLEEGHDINQLANAGKYVSAMVAAAVRFKYAATPTPFWVWMVIISSSGATIYQLYWDFVKDWGFLNPKSKNRWLRNELILKNKSIYYVSMMLNLALRLAWTESVMKIHIGKVESRLLDFSLASLEIIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRELETD >ORUFI02G38260.2 pep chromosome:OR_W1943:2:32688654:32693725:1 gene:ORUFI02G38260 transcript:ORUFI02G38260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSPSSSSMACFADGSLELQQAQVSEGAAVADEVMAALERNGVSFVGLAGKKDGKTKDGSGKGRGGGGGGGGGVLQLPATVRIDIPATSPGRAALKVWEELVNVLRKDGADPAAASLNVKAFTKILKKFVKVSEQQRATDLFSEKVKRSPFSSSDKVLQLADEVECIFMKHFTGNDRKVAMKYLKPQQPRNTHMITFLVCSRALLFHSHVSGIFTSTGNSAYMEIVYHVFSMFALISLHIFLYGCNLFMWKNTRINHNFIFDFSSNTALTHRDAFLMSASIMCTVVAALVINLFLKNAGVAYANALPGALLLLSTGVLFCPFDIFYRSTRYCFMRVMRNIIFSPFYKVLMADFFMADQLTSQIPLLRHMEFTACYFMAGSFRTHPYETCTSGQQYKHLAYVISFLPYFWRALQCLRRYLEEGHDINQLANAGKYVSAMVAAAVRFKYAATPTPFWVWMVIISSSGATIYQLYWDFVKDWGFLNPKSKNRWLRNELILKNKSIYYVSMMLNLALRLAWTESVMKIHIGKVESRLLDFSLASLEIIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRELETD >ORUFI02G38260.3 pep chromosome:OR_W1943:2:32689434:32693725:1 gene:ORUFI02G38260 transcript:ORUFI02G38260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCVSEQQRATDLFSEKVKRSPFSSSDKVLQLADEVECIFMKHFTGNDRKVAMKYLKPQQPRNTHMITFLVCSRALLFHSHVSGIFTSTGNSAYMEIVYHVFSMFALISLHIFLYGCNLFMWKNTRINHNFIFDFSSNTALTHRDAFLMSASIMCTVVAALVINLFLKNAGVAYANALPGALLLLSTGVLFCPFDIFYRSTRYCFMRVMRNIIFSPFYKVLMADFFMADQLTSQIPLLRHMEFTACYFMAGSFRTHPYETCTSGQQYKHLAYVISFLPYFWRALQCLRRYLEEGHDINQLANAGKYVSAMVAAAVRFKYAATPTPFWVWMVIISSSGATIYQLYWDFVKDWGFLNPKSKNRWLRNELILKNKSIYYVSMMLNLALRLAWTESVMKIHIGKVESRLLDFSLASLEIIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRELETD >ORUFI02G38260.4 pep chromosome:OR_W1943:2:32686501:32689145:1 gene:ORUFI02G38260 transcript:ORUFI02G38260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSREYEASIIPEWKAAFVDYKRLKKLIKRIKVTRRDDSFAAANAAAAADHLLPPPPAEKEAGGYGFSILDPVRAIAARFSAGQQPSASEDEECPDRGELVRSTDKHEREFMERADEELEKVNAFYTGQEAELLARGDALLEQLRILADVKRILADPPPPPPPPAAPAASPEAALCRRRRRPPRRRRRCTAPAAATSSPASPRRSPCQQAQVSEGAAVADEVMAALERNGVSFVGLAGKKDGKTKDGSGKGRGGGGGGGGGVLQLPATVRIDIPATSPGRAALKVWEELVNVLRKDGADPAAAFVHRKKIQHAEKNIRDAFMALYRGLELLKKFRHIFPLHLSFLPYLTS >ORUFI02G38260.5 pep chromosome:OR_W1943:2:32686501:32688608:1 gene:ORUFI02G38260 transcript:ORUFI02G38260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSREYEASIIPEWKAAFVDYKRLKKLIKRIKVTRRDDSFAAANAAAAADHLLPPPPAEKEAGGYGFSILDPVRAIAARFSAGQQPSASEDEECPDRGELVRSTDKHEREFMERADEELEKVNAFYTGQEAELLARGDALLEQLRILADVKRILADPPPPPPPPAAPAASPEAALCRRRRRPPRRRRRCTAPAAATSSPASPRRSPCQVGDTNHPHT >ORUFI02G38270.1 pep chromosome:OR_W1943:2:32692879:32696597:-1 gene:ORUFI02G38270 transcript:ORUFI02G38270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIKDRGKAAPAAAGSRPLTPKAFSASSSARRTPAAAAAGKENSASKPSKPTAAVRWSTSSIPRASRIPSSVESSKLVSTLRASSVMPGRASVGKEMEAEAGLRRSVSGGIRAAAPERARRSVGGVGGRVPDARRASSVPRADEIGRRRDGFDARAKASDVTSGRREGFDGKAKQMNAVDRKRESFDVKAKQISGKRGSFDVNVTKQCDEIKGKREGFGTNAKKQCDGIDGDNEGFDVKARLGDEINKKKDGFDTKLVKEIHPKKVISSVTGSAEASSKPAPFPATEKDGDGGNNAVIPVFTVHVVDVDDVPCRAREEQKNTDEPKKQEEKSKLADKIRVFEKASASGGSVKSVSSLNKYPSKLHEKLAALEGRVQKIATDIKKTKEMLDENNPDEPKQILSNIQKEITAIEKAISHVKDDNKIQLGTADNSECEISYAKKAAECAVTKPSDPKHAAKGMNTDELEARFFPHHKLLRDCKSSSATQQESSMAVKKDCNTDMELSSLEPQEDENSIAMEFLASLDGEESGFFKNRRAKNLEKTIICEAADVSSKTSGQGSSNNPVGPNHDKEIELLATEKLEEFDEQENKSFLILQEETEEFSSDQLLGIGNKSSTGGWFVSEGEAVLLAHGDGTCSYYDIANREFKSEYKPPSVISNNMWGDCWLIRAPGVDGCSGRYVVAASAGNALDPGFCSWDYYSREAKAFHIEEISHASSVPSSRTVLGPLPNVGSSRSSSAISTVERQQWWYRPCGPLLLSAASKQKMVTAYDIRDGDVVMKWEVSNPVQGMEYSSPLQWRSRGKVVIAGTESIGLWDVNSLNPQPLLSVASAGKKLYCLHVNNTDAELGGGVRQRVSSCEVEGNDGVFSTQESVNVFDFRVPAGIGLKMARHGGTASSIFSRGDSVFIGSTEGRLQIKGGLKSRVQQYSLRKGKLVATYELPDFNAHFHHSSITQVWGNSNLVLAACGMGLFAFDAFKEDGQQTYSFDRGATLGVREAIGFDDLYCPTFDYSSSRVLLVSRDRPAQWSLMQWCI >ORUFI02G38280.1 pep chromosome:OR_W1943:2:32698090:32701538:-1 gene:ORUFI02G38280 transcript:ORUFI02G38280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASKYAHSPAHLAVVRRDHASLRRLVAGLPRLPRAGEVATEEESIAGEAVADAVSAAIDRRDVPRRETPLHLAVRLRDPVAADILMSAGADWSLQNADGWSALQEAVCTREDAIATIIARHYQPLAWAKWCRRLPRVLASINRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGAALRADMTLAGFDGFRIQRSDQTFLFLGDGARPEDAGGKELHPGSLIVLAHKDKEITDALEGAGVQPTEAEVAHEVALMSKTNMYRPGIDVTQAELVPHLNWRRQERTEAVGHWKAKVYDMLNVLVTVKSRRVPGAMTDEELFAMEGEEKNGRGTELDAELDEVLTAEERKQLDSALRMGNQEEEFEERCEEGDGGADHLDANGVAKDKKGWFGWGGKKGTKNDEKPSKANQGSKDESGDLGKGKEKNSSKKKKGASSGDSTKHESEYKKGLRPVLWLTPDFPLKTDELIPLLDVLANKVKAVRRLRELLTTKLPPGTFPVKIAIPIVPTIRVIVTFTKFEELQPLDEFATPPSSPTQFQDAKGKESEGSGSWYSWVRGGRGAQSSDSGDSRNWKDEVDPFQIPSDYTWVDANEKKRRMKAKKAKNRRGSTRKQSSKSTSSEGGHHPMMDGFEE >ORUFI02G38290.1 pep chromosome:OR_W1943:2:32710147:32714517:1 gene:ORUFI02G38290 transcript:ORUFI02G38290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTPSPRPGPPPTPQAAMTTPLKTPASKHRLHFPAMTPRNGGGGGAAAGGTEHPVEVIGRIRNLAAGAGGASALEIAGGGTAVRVRGDAGGCRDFTLDGVSVSEEEDLEGFYRRFVRSRIEGVRVGAKCTVMVYGPTGSGKSHTMFGCAKQPGIVYRALRDILEGGGGGGGGVSGGGGEGDGRGEDDAGFGMGLFVQVAVLEIYNEEIYDLLVGSGANAKGNAPKARLEVMGKKAKNATYISGNEAGKISREVAKVEKRRIVKSTLCNERSSRSHCMIILDVPSVGGRLMLVDMAGSENIEAAGQTGFEAKMQTAKINQGNTALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKELHKTVSTLEYGAKAKCIIRAAHAATPRDKMSSEESSTMLNSRIVAMNQFIYNLQKENKLREKERNEAQSVLRKKEEELAQLRAKLKLIEGQGAAAKEEEINSKVMEKTQSLRTELMKMEEKMLRQQQELLALQQRLKEVEREKPVQQDIIGGRLLARLSEMSARADQSMSMDMSIDFDMGDQPAAQDVKVIKEDTRKQGQIWSQANTAGSCTSAVEQEDDVVRLSGYPEKVVLSTVFEEGDEEEDKDSGVEEEVCKEVVEESYVMQQPLAEPEDPATRNNRIQNIFRLCGNHRELAKKVQSPAKKAFGDENNEPAKQTFGDENKQQPAKRVFGDENKDPSAWGAIEPPMCDVRVTDSPVSSQLSPIVCQVVDDAKLPVSEQLKSCNALEAADENKENNASGQDGLLEVYIKWESGHLIKGLKLLSNSCLSDLRKLLEAHFEEAGSKQQQQFTFLLLGDPSGAPVSREKEAGVPISKLPSCNNQPNSYLACLRAVKKQPATEQMPFSPLESKLNSALNDVHLAALSPKVNPMSPNYIRELRA >ORUFI02G38300.1 pep chromosome:OR_W1943:2:32715765:32719732:1 gene:ORUFI02G38300 transcript:ORUFI02G38300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDEGWRRSGIEVSALQFDYDGQPPLFARFNLRIAPGSRCLLIGANGSGKTTLLKILAGKHMVGGRDVVRVLNGSAFHDTQLVCNGDLSYLGGSWSRAIGSAGDVPLQGDFSAEHMIFGVDGVDPVRREKLVDLLDIDLQWRMHKVSDGQRRRVQICMGLLHPYKVLLLDEITVDLDVVTRMDLLDFFKEECEQREATIVYATHIFDGLESWATDIAYIQEGELRKSAKYSDVEELKSAKNLLSVVESWLRSETKLPKKEHPRPETQPRRSSPFDASPFRSSRHMAYYLLHYITCALLEGSRMISINLWRMTGCGISGCDPLLGLLPLARFSDLFQVQTSIPWSSDQVTHV >ORUFI02G38310.1 pep chromosome:OR_W1943:2:32722724:32731764:1 gene:ORUFI02G38310 transcript:ORUFI02G38310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVGGKFKLGKKIGSGSFGELFLAVNVQTGEEVAVKLENVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHQKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSKPLMRPSERTSGAAGPSMEKMEKAPGEASGRRNPTGSLNQSDNYAQRPSMSLKEIMHSTDRSGERTVERPRTSSRTGSASRRAVASSSRPGSSVEPMEQQYSRTSRLFSSSGSRPSSTQRVNPSVGETRATSLSRAAVARGSRDEPLHRSLELLSLGGVNEEIFLAWAPRTHLRGPIVALANLIPEDDAVDELDSYMYQTMKFDAISLIGLACREQGLKYNVTTGDEVEDMFALLSEVKRQIPSITAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTLLLDEMIRRGIVAIIVKVAAMGLKPSAHLGKELAELKCHLLQLNESYGINVCGEGGEYETLTLDCPLFRNARIVLDDFEVILHSPDSIAPVGILHPLKFHLEHKPNSFGTVGDSAIAQENSSYLYEVDGAIAHSDVEKKQETLSPVTTVDACTNIDLCISKTGKKLFSIGCWIQDPCGTSEGLKTDLVAVLSRIENQLKEEGLGWMNVLYVHLFISSMKEFGLANEVYVSFITEQKCPLGVPSRSTVELPLVQVGLGHAYVEVLVTKEQVKRVLHVQSISCWAPSCIGPYSQATLHGEILYMAGQLGLDPPTMKLCPGGPTAELEFALRNSEAVANAFGCSIFSSAIHFLVYCSAHLTSSEKEQVEHTLRSSYITSLDCSNTGSYPTILYVFASDLPKGAYVEIKPILYVPSPTNDDGVPTREQEAGGSLPASSEAFSAWSAQYSDLDDSCCQVHTIGGKICSAVVSVTNDIALKICSTTEQLYHSEEHLKALARFCAFQLAKILIDNGFSWDNLTMLRFYYSVEHPVTADVMSRVFSEAFAELEEGGVGSCTPDGVPIFNIVPVSASGCFTSLSDIISCELLASKV >ORUFI02G38310.2 pep chromosome:OR_W1943:2:32722668:32731764:1 gene:ORUFI02G38310 transcript:ORUFI02G38310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVGGKFKLGKKIGSGSFGELFLAVNVQTGEEVAVKLENVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHQKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSKPLMRPSERTSGAAGPSMEKMEKAPGEASGRRNPTGSLNQSDNYAQRPSMSLKEIMHSTDRSGERTVERPRTSSRTGSASRRAVASSSRPGSSVEPMEQQYSRTSRLFSSSGSRPSSTQRVNPSVGETRATSLSRAAVARGSRDEPLHRSLELLSLGGVNEEIFLAWAPRTHLRGPIVALANLIPEDDAVDELDSYMYQTMKFDAISLIGLACREQGLKYNVTTGDEVEDMFALLSEVKRQIPSITAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTLLLDEMIRRGIVAIIVKVAAMGLKPSAHLGKELAELKCHLLQLNESYGINVCGEGGEYETLTLDCPLFRNARIVLDDFEVILHSPDSIAPVGILHPLKFHLEHKPNSFGTVGDSAIAQENSSYLYEVDGAIAHSDVEKKQETLSPVTTVDACTNIDLCISKTGKKLFSIGCWIQDPCGTSEGLKTDLVAVLSRIENQLKEEGLGWMNVLYVHLFISSMKEFGLANEVYVSFITEQKCPLGVPSRSTVELPLVQVGLGHAYVEVLVTKEQVKRVLHVQSISCWAPSCIGPYSQATLHGEILYMAGQLGLDPPTMKLCPGGPTAELEFALRNSEAVANAFGCSIFSSAIHFLVYCSAHLTSSEKEQVEHTLRSSYITSLDCSNTGSYPTILYVFASDLPKGAYVEIKPILYVPSPTNDDGVPTREQEAGGSLPASSEAFSAWSAQYSDLDDSCCQVHTIGGKICSAVVSVTNDIALKICSTTEQLYHSEEHLKALARFCAFQLAKILIDNGFSWDNLTMLRFYYSVEHPVTADVMSRVFSEAFAELEEGGVGSCTPDGVPIFNIVPVSASGCFTSLSDIISCELLASKV >ORUFI02G38320.1 pep chromosome:OR_W1943:2:32731873:32738366:1 gene:ORUFI02G38320 transcript:ORUFI02G38320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMMFMACCYNDPDMLIDPDTVYPIRPECREDAAKTRFKPRPGLTLSPRRWKLLHNEEGVLDIAGMIKRVQRGGTHPNIKGEVWEFLLGCYDPKSNTEQKSQLRQQRRLEYEKLKTKCREMDTAVGSGRVITMPVITEDGQPIQDPNSVDAEQQASDTPLPKEVIQWKLTLHQIGLDVNRTDRQLVYYESQENLARLWDILAVYSWVDKDIGYCQGMSDLCSPMSILLEHEADAFWCFERLMRRVIIFAENLDGGEYLFAFRMLMVLFRREFSFVDTMYLWELMWSMEYNPGLFSMLESDNSTSQANTKDENALKQCGKFEQKNLQAAKKEEQIPLSVFIVASVIEARNKQILTDAKGLDDVVKILNDITGSLDAKKACRGALKIHERYLTTVKA >ORUFI02G38330.1 pep chromosome:OR_W1943:2:32745208:32747615:-1 gene:ORUFI02G38330 transcript:ORUFI02G38330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDRFDRCRTTEPEIVGILLQKGSGKEKRTRPQLDIVAQVQQSRKSSKKACYQGFSEKLLSKCLVVELFLYRNREKTDDVVIVSALNRKPMEEIGIPN >ORUFI02G38340.1 pep chromosome:OR_W1943:2:32750676:32754116:1 gene:ORUFI02G38340 transcript:ORUFI02G38340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIELTLPPGFRFYPSDEELVCHYLHNKVVNQHRFAGVGGAAAAGGGTMVEVDLHTHEPWELPDVAKLSTNEWYFFSFRDRKYATGLRTNRATKSGYWKATGKDRVIHNPKLHAAAHRRASIVGMRKTLVFYRGRAPNGVKTNWVMHEFRMENPHTPPKEDWVLCRVFYKKKAETETESSYSMENEQEAVIAMARSAAAIKAGGCYSNSSSSHDPAAAGHHSPPPFPASLAACSSSHHYSSHPPPPPDHHHHHHMPVTGGGGGSLNEFIPTTSMALYSSIFDFSQHLDGGAVAASASAAGSRVDGGEQCGLMELGLEEHYNYNGLMPM >ORUFI02G38350.1 pep chromosome:OR_W1943:2:32766337:32767083:-1 gene:ORUFI02G38350 transcript:ORUFI02G38350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHHHHHHHHHHHMMSGGGQDPAAGDGGAGGATQDSFFLGPAAAAMFSGAGSSSSGAGTSAGGGGGGPSPSSSSPSLSRYESQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLKYMDQFGKTKVHTPVCPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGTPEMNPFGARAVRLYLREVRETQARARGISYEKKKRKKPSSAGAGAGPSSEGSPPPPGGSASGGGDTSASPQFIIP >ORUFI02G38360.1 pep chromosome:OR_W1943:2:32781792:32785245:-1 gene:ORUFI02G38360 transcript:ORUFI02G38360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRKSERPTAYKANSKLQAKVSCSWSEVPACCYQWRYAVADAKSITDSMVDNDKSSDINKLFQGSGAKRTCEILRNQGLLKNEQIKVYLYSSVIDCGCSFAREAKNESGPSKSFACVLHPAANQSLVIHARGVSWPWPGPSPLDFWEPSDLSDWTEKKLEDGEGVYLGAEVAVKIPLSVDVEIHRYVRCTYRSLSQARTKA >ORUFI02G38360.2 pep chromosome:OR_W1943:2:32781792:32785245:-1 gene:ORUFI02G38360 transcript:ORUFI02G38360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRKSERPTAYKANSKLQAKVSCSWSEVPACCYQWRYAVADAKSITDSMVDNDKQIKVYLYSSVIDCGCSFAREAKNESGPSKSFACVLHPAANQSLVIHARGVSWPWPGPSPLDFWEPSDLSDWTEKKLEDGEGVYLGAEVAVKIPLSVDVEIHRYVRCTYRSLSQARTKA >ORUFI02G38370.1 pep chromosome:OR_W1943:2:32785315:32787509:-1 gene:ORUFI02G38370 transcript:ORUFI02G38370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARTRAIPAGPQMRELGPTTRCSPKVVQPSLSSGASNSRPLATSTPGIRHNCERGQHPEHKRPEPTEDQWERGSVDPILNLLRKHSWNSKGRSTMGKN >ORUFI02G38380.1 pep chromosome:OR_W1943:2:32791290:32806388:1 gene:ORUFI02G38380 transcript:ORUFI02G38380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSNPIVLSLLLICRLSPVTPAASAQQLTGCRDKCGNINVPYPFGIGARCARDEGFQLNCDDSASPPRLLTLQFEQHPQLVSLSLADGEARVLLKPESKCYPPPEERSSDVPTSSYTSINGSTTYRYSPEKNRLVALGCPNLGYIVDGSGNYVSGCMSACRRPSLGNDTVPRLPGRCTGERCCQSIIPPTLNFYVPRMFNFENGTAAVDNELRGGTTPCRATRATPTSTVDAQYGCYGDCTNMLGSHTCVCPPGTSGNWTDRNGCRPKDNFPLALKVVTEELKRATHNFASDRVLGRGGHGVVYKGVLEDKTVVAIKKSKMMEEAETKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKEPKADIPLDTRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDDKFNAKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVMLELLTRKKALYLDGPEENRSLVSCFTTAMKVGRHQELLDSQVRNEMSAEMLEEITYLLMRCISMNGEERPTMKEVAERLEMLRRYQQHPWAEAEDNAEEIESLLGREQQNANYQLEQQNVLYLEEGHMATGTLSLQGVALAVVLLCRLAPVTPAASAQQLPGCPDKCGNISIPYPFGIGAGCARDEGFRLNCSNSESPPRLLTLQFEQPQQLVSLSLADGEARVLLKPKSACYLPSPRRASPGEGYGATYTSIDGSTTYRYSPEKNRLVALGCPNLGYIVDGSGNYVSGCMSACRRPSSSLSNAVPRLPGRCTGERCCQSIIPPTLNFYELRMFNFENGTAEEDAEFCGSTTPCRYVFLVEHTWIDTVYDDMKDFNRSDSEFEAVPVVLDWAIRNVANCDIAKRNRTDYACRSAHSECFNTSDRQGYRCNCSKGYEGNPYLDDGCIDINECLRQKEYGCYGDCTNMLGAYTCVCPPGTSGNPTERNGCRPKDKFTLALKVVTGVSVGVFLSVFMCFWLYLGLQKRKLIRTKQKFFEQNGGVILQQQMHSGGGAHGFRIFSTEELKRATNNFAADRVLGRGGHGVVYKGLLEDKTVVAIKKSKMMEKAETKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLIYEFVSNGTLYHYIHAKEPKADIPLNIRLQIAAESAEALSYMHSSASPPILHGDVKTANILLDDKFNAKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVMLELLTRKKALYLDGPEEDRSLVSCFTTAMKVGRHQELLDNQVRNEMSDEMLQEIAHLLMRCISMNGEERPTMKEVAERLEMLRRYQQHPWAEAEDNADEIQSLLGSEQQNANYQLGQQDILYLEEGNIYI >ORUFI02G38380.2 pep chromosome:OR_W1943:2:32791290:32806388:1 gene:ORUFI02G38380 transcript:ORUFI02G38380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSNPIVLSLLLICRLSPVTPAASAQQLTGCRDKCGNINVPYPFGIGARCARDEGFQLNCDDSASPPRLLTLQFEQHPQLVSLSLADGEARVLLKPESKCYPPPEERSSDVPTSSYTSINGSTTYRYSPEKNRLVALGCPNLGYIVDGSGNYVSGCMSACRRPSLGNDTVPRLPGRCTGERCCQSIIPPTLNFYVPRMFNFENGTAAVDNELRGGTTPCRYVFLVEHTWIDTVYDDMKDFNRSDSEFEAVPVVLDWAIRNVANCDIAKRNRTDYACRSAHSECFNTSDRQGYRCNCSKGYEGNPYLDDGCIDINECLRQKEYGCYGDCTNMLGAYTCVCPPGTSGNPTERNGCRPKDKFTLALKVVTGVSVGVFLSVFMCFWLYLGLQKRKLIRTKQKFFEQNGGVILQQQMHSGGGAHGFRIFSTEELKRATNNFAADRVLGRGGHGVVYKGLLEDKTVVAIKKSKMMEKAETKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLIYEFVSNGTLYHYIHAKEPKADIPLNIRLQIAAESAEALSYMHSSASPPILHGDVKTANILLDDKFNAKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVMLELLTRKKALYLDGPEEDRSLVSCFTTAMKVGRHQELLDNQVRNEMSDEMLQEIAHLLMRCISMNGEERPTMKEVAERLEMLRRYQQHPWAEAEDNADEIQSLLGSEQQNANYQLGQQDILYLEEGNIYI >ORUFI02G38390.1 pep chromosome:OR_W1943:2:32808376:32808752:1 gene:ORUFI02G38390 transcript:ORUFI02G38390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKKSYPNAVAAYVDVRDVARAHVLVYERPDARGRYLCIGTVLHRAELLRMLRDLFPQYPATAKCEDDGKPMAKPYKFSNQRLKDLG >ORUFI02G38400.1 pep chromosome:OR_W1943:2:32810452:32812649:1 gene:ORUFI02G38400 transcript:ORUFI02G38400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDLFPQYPATAKCEDDGKPMAKPYKCEDDGKPMAKPYKFSNQRLKDLGHRGLVEPDERQRGGSEKVKVDEESTTYHGNGRGP >ORUFI02G38410.1 pep chromosome:OR_W1943:2:32815387:32837612:1 gene:ORUFI02G38410 transcript:ORUFI02G38410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNFEANNNNGEKQLVCVTGAGGFIGSWVVKELLIRGYHVRGTARDPADSKNAHLLELEGADQRLSLCRADVLDAASLRAAFSGCHGVFHVASPVSNDPDLVPVAVEGTRNVINAAADMGVRRVVFTSSYGAVHMNPSRSPDAVLDETCWSDYEFCKQTDNLYCCAKMMAEMTATEEAAKRGLELAVVVPSMTMGPMLQQTLNFSSNHPMAKPYKFSNQRLKDLGLEFTPLRKSLHEAVLCMQQKSHLPLIYPVPKLSSTVPRCRSISDTTIKLFNMSCNSAEVAANDGSSNGGEKQQQQEEEVVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPSKNAHLLALDGAGERLTLCRADVLDCESLRAAFAGCHGVFHVASPVSNDPNLVPIAVDGTRNVMNAGADMGVRRVVFTSSYGAVHMNPNRSPDTVLDETCWSDPKFCRQTDVYCYAKTMAEKAAEEEAAKRGVQLAVVLPCVTVGPILHPAINTSINHVVRYLTGAAPTYPNAVAAYVDVRDVARAHALVYERHDARGRYLCIGAVLHRAHLLQMLKELFPQYPVTSKCKDDGNPMVEPYKFSNQRLKDLGFEFTPMRKCLYDAVVCMQQKGHLPLVGTAVPDQNFRASTMSSNNSMEANNGDDEKKQEQVVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPRKNAHLLDLEGAKERLTLCRADVLDFASLRAAFAGCHGVFHIASPVSKDPNLVPVAIEGTRNVMKAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDESCWSDPEFCQREDIYCYAKMMAEKTATEEASRRRLQLAVVVPCVTVGPILQPSVNFSCHHVVRYLTGAAATYPNAVAAYADVRDVARAHVLVYEHHGARGRYLCIGTVIHRAELLRMLKELFPQYPVTSKCEDEGNQMVKPYKFSNQRLRDLGLEFTPLRKSLHEAIECLQRKGHLPVVTLGITITITMSSISNDNNGDQKRQQQQQLVCVTGAGGFIGSWVVRELLLRGYRVRTTVRDPADRKNAHLLALEGAHERLSLRRADVLDFAGLLAAFAGCHGVFHVACPLSNRDPELMAVAVDGTRNVMNAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDESCWSDPEFCRQKDMYCYAKTMAEMAATEEAEKRGLELAVVVPSMTMGPMLQRALNLSSTHVANYLTGAKKSYPNAVAAYVDVRDVARAHALVYERHDARGRYLCIGAVLHRAQLLQMLMDLFPQYTIASKCDDKGKPMVKPYEFSNQRLKDLGLEFTPLRKSLYDAVMCMQRNGHLPVVLP >ORUFI02G38410.2 pep chromosome:OR_W1943:2:32815387:32837612:1 gene:ORUFI02G38410 transcript:ORUFI02G38410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNFEANNNNGEKQLVCVTGAGGFIGSWVVKELLIRGYHVRGTARDPADSKNAHLLELEGADQRLSLCRADVLDAASLRAAFSGCHGVFHVASPVSNDPDLVPVAVEGTRNVINAAADMGVRRVVFTSSYGAVHMNPSRSPDAVLDETCWSDYEFCKQTDNLYCCAKMMAEMTATEEAAKRGLELAVVVPSMTMGPMLQQTLNFSSNHPMAKPYKFSNQRLKDLGLEFTPLRKSLHEAVLCMQQKSHLPLIYPVPKLSSTVPRCRSISDTTIKLFNMSCNSAEVAANDGSSNGGEKQQQQEEEVVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPSKNAHLLALDGAGERLTLCRADVLDCESLRAAFAGCHGVFHVASPVSNDPNLVPIAVDGTRNVMNAGADMGVRRVVFTSSYGAVHMNPNRSPDTVLDETCWSDPKFCRQTDVYCYAKTMAEKAAEEEAAKRGVQLAVVLPCVTVGPILHPAINTSINHVVRYLTGAAPTYPNAVAAYVDVRDVARAHALVYERHDARGRYLCIGAVLHRAHLLQMLKELFPQYPVTSKCKDDGNPMVEPYKFSNQRLKDLGFEFTPMRKCLYDAVVCMQQKGHLPLVGTAVPDQNFRASTMSSNNSMEANNGDDEKKQEQVVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPRKNAHLLDLEGAKERLTLCRADVLDFASLRAAFAGCHGVFHIASPVSKDPNLVPVAIEGTRNVMKAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDESCWSDPEFCQREDIYCYAKMMAEKTATEEASRRRLQLAVVVPCVTVGPILQPSVNFSCHHVVRYLTGAAATYPNAVAAYADVRDVARAHVLVYEHHGARGRYLCIGTVIHRAELLRMLKELFPQYPVTSKYMVKPYKFSNQRLRDLGLEFTPLRKSLHEAIECLQRKGHLPVVTLGITITITMSSISNDNNGDQKRQQQQQLVCVTGAGGFIGSWVVRELLLRGYRVRTTVRDPADRKNAHLLALEGAHERLSLRRADVLDFAGLLAAFAGCHGVFHVACPLSNRDPELMAVAVDGTRNVMNAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDESCWSDPEFCRQKDMYCYAKTMAEMAATEEAEKRGLELAVVVPSMTMGPMLQRALNLSSTHVANYLTGAKKSYPNAVAAYVDVRDVARAHALVYERHDARGRYLCIGAVLHRAQLLQMLMDLFPQYTIASKCDDKGKPMVKPYEFSNQRLKDLGLEFTPLRKSLYDAVMCMQRNGHLPVVLP >ORUFI02G38410.3 pep chromosome:OR_W1943:2:32815215:32837612:1 gene:ORUFI02G38410 transcript:ORUFI02G38410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKATAFRFAWSQLRLPHPSPDDHPTLAIFLLSSSSSCSVAWRRSNWSVSLTMSSNFEANNNNGEKQLEEEVVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPSKNAHLLALDGAGERLTLCRADVLDCESLRAAFAGCHGVFHVASPVSNDPNLVPIAVDGTRNVMNAGADMGVRRVVFTSSYGAVHMNPNRSPDTVLDETCWSDPKFCRQTDVYCYAKTMAEKAAEEEAAKRGVQLAVVLPCVTVGPILHPAINTSINHVVRYLTGAAPTYPNAVAAYVDVRDVARAHALVYERHDARGRYLCIGAVLHRAHLLQMLKELFPQYPVTSKCKDDGNPMVEPYKFSNQRLKDLGFEFTPMRKCLYDAVVCMQQKGHLPLVGTAVPDQNFRASTMSSNNSMEANNGDDEKKQEQVVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPRKNAHLLDLEGAKERLTLCRADVLDFASLRAAFAGCHGVFHIASPVSKDPNLVPVAIEGTRNVMKAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDESCWSDPEFCQREDIYCYAKMMAEKTATEEASRRRLQLAVVVPCVTVGPILQPSVNFSCHHVVRYLTGAAATYPNAVAAYADVRDVARAHVLVYEHHGARGRYLCIGTVIHRAELLRMLKELFPQYPVTSKYMVKPYKFSNQRLRDLGLEFTPLRKSLHEAIECLQRKGHLPVVTLGITITITMSSISNDNNGDQKRQQQQQLVCVTGAGGFIGSWVVRELLLRGYRVRTTVRDPADRKNAHLLALEGAHERLSLRRADVLDFAGLLAAFAGCHGVFHVACPLSNRDPELMAVAVDGTRNVMNAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDESCWSDPEFCRQKDMYCYAKTMAEMAATEEAEKRGLELAVVVPSMTMGPMLQRALNLSSTHVANYLTGAKKSYPNAVAAYVDVRDVARAHALVYERHDARGRYLCIGAVLHRAQLLQMLMDLFPQYTIASKCDDKGKPMVKPYEFSNQRLKDLGLEFTPLRKSLYDAVMCMQRNGHLPVVLP >ORUFI02G38410.4 pep chromosome:OR_W1943:2:32815387:32837612:1 gene:ORUFI02G38410 transcript:ORUFI02G38410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNFEANNNNGEKQLVCVTGAGGFIGSWVVKELLIRGYHVRGTARDPADSKNAHLLELEGADQRLSLCRADVLDAASLRAAFSGCHGVFHVASPVSNDPDLVPVAVEGTRNVINAAADMGVRRVVFTSSYGAVHMNPSRSPDAVLDETCWSDYEFCKQTDNLYCCAKMMAEMTATEEAAKRGLELAVVVPSMTMGPMLQQTLNFSSNHPMAKPYKFSNQRLKDLGLEFTPLRKSLHEAVLCMQQKSHLPLIYPVPKLSSTVPRCRSISDTTIKLFNMSCNSAEVAANDGSSNGGEKQQQQEEEVVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPSKNAHLLALDGAGERLTLCRADVLDCESLRAAFAGCHGVFHVASPVSNDPNLVPIAVDGTRNVMNAGADMGVRRVVFTPDAVLDESCWSDPEFCQREDIYCYAKMMAEKTATEEASRRRLQLAVVVPCVTVGPILQPSVNFSCHHVVRYLTGAAATYPNAVAAYADVRDVARAHVLVYEHHGARGRYLCIGTVIHRAELLRMLKELFPQYPVTSKCEDEGNQMVKPYKFSNQRLRDLGLEFTPLRKSLHEAIECLQRKGHLPVVTLGITITITMSSISNDNNGDQKRQQQQQLVCVTGAGGFIGSWVVRELLLRGYRVRTTVRDPADRKNAHLLALEGAHERLSLRRADVLDFAGLLAAFAGCHGVFHVACPLSNRDPELMAVAVDGTRNVMNAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDESCWSDPEFCRQKDMYCYAKTMAEMAATEEAEKRGLELAVVVPSMTMGPMLQRALNLSSTHVANYLTGAKKSYPNAVAAYVDVRDVARAHALVYERHDARGRYLCIGAVLHRAQLLQMLMDLFPQYTIASKCDDKGKPMVKPYEFSNQRLKDLGLEFTPLRKSLYDAVMCMQRNGHLPVVLP >ORUFI02G38410.5 pep chromosome:OR_W1943:2:32815387:32837612:1 gene:ORUFI02G38410 transcript:ORUFI02G38410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNFEANNNNGEKQLVCVTGAGGFIGSWVVKELLIRGYHVRGTARDPADSKNAHLLELEGADQRLSLCRADVLDAASLRAAFSGCHGVFHVASPVSNDPDLVPVAVEGTRNVINAAADMGVRRVVFTSSYGAVHMNPSRSPDAVLDETCWSDYEFCKQTDMMAEKTATEEASRRRLQLAVVVPCVTVGPILQPSVNFSCHHVVRYLTGAAATYPNAVAAYADVRDVARAHVLVYEHHGARGRYLCIGTVIHRAELLRMLKELFPQYPVTSKYMVKPYKFSNQRLRDLGLEFTPLRKSLHEAIECLQRKGHLPVVTLGITITITMSSISNDNNGDQKRQQQQQLVCVTGAGGFIGSWVVRELLLRGYRVRTTVRDPADRKNAHLLALEGAHERLSLRRADVLDFAGLLAAFAGCHGVFHVACPLSNRDPELMAVAVDGTRNVMNAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDESCWSDPEFCRQKDMYCYAKTMAEMAATEEAEKRGLELAVVVPSMTMGPMLQRALNLSSTHVANYLTGAKKSYPNAVAAYVDVRDVARAHALVYERHDARGRYLCIGAVLHRAQLLQMLMDLFPQYTIASKCDDKGKPMVKPYEFSNQRLKDLGLEFTPLRKSLYDAVMCMQRNGHLPVVLP >ORUFI02G38420.1 pep chromosome:OR_W1943:2:32839693:32841210:1 gene:ORUFI02G38420 transcript:ORUFI02G38420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRAMGCSRRIHVVVIDTGDRLSSLPDDILHTIMSFLPAWQAVQTCVLSRRWECLWCSMPCLNIDQQEFEDCGRDREGGGFEEFVNNMLMFHSAPSLDMFKFHVTHNYDYKVVDRWIRRGIKCCPAVVDICNSSNAHMYELPNLGSGARRLKKLHLVVIALVKGFTQHLPSACPVLEDLELDKCCLDHPEITSLSLKNLILIDCTTYCGKVLTITTPALSVLHGVLDIFPTFYNLRTLLFNGCDLSDDFQILGCFLNNAPRLEKLTLQYCKLPEGSRKRNRTENPKRITIKCQDTLTLRCPNLKLTEIMYGEDDVHQLFGLLSGIWRNLQKTTIVLTKA >ORUFI02G38430.1 pep chromosome:OR_W1943:2:32842169:32854758:1 gene:ORUFI02G38430 transcript:ORUFI02G38430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSRGGGGGAAAGEDPEDLSRSPLQAVLLADSFTLKFRPITLERPKVLLPLVNVPMIEYTLSWLESAGVEECFVFCCAHAQQVKEHLGKAGWTGKPAAREMTVTAVESHDAISAGDALRVMYGRGVIHGDFVLISGDTISNMNLKDALQEHKDRRKKDPLAVMTMVIKHSKPSILTNQTRLGNDEIVMAIDPETKELLYYEDRADVSNLYVTIDKDILASNPTLQLRNNMEDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHEIRSSYAARIDNFRSYDTVSKDIIQRWTYPMVPDVLSFGDRQEIKLHRQGIYKASDVTLSHSAQIGANSVVGNGTSVGENCKVSNSVIGQGCNIGKNVLIHGSYIWDNVTIEDGCKVSNSLVCDGVHLGAGAIVEPGCILSFKVEVGKNVVVPAYSKVALLPQPSNEDSDEELEYADTNSGVTDSPPFSSMRSADHPTVSDDDDLEASETGTCGVVGYVWENVDAGIQEEWRQSIAPIPKDKLEELQHAASFDDDDDGSEDDFKNRPTVLDQDDDSDVSAVEDDDYSKFEKEVEETFQRALDGIHQDNLILEINALRLSYSLQHADCAGALFHSVMRSALVAAQSTNGNLLKTTAEALGKWKDLLRNYTKSVDEEMEILLKFEEMCQENTKEFSPLFSKILPYLYDKEVVSEDAILRWAEEKENADESDKVFVKQSEAFIQWLKEAEEEDEEEE >ORUFI02G38440.1 pep chromosome:OR_W1943:2:32856275:32859600:1 gene:ORUFI02G38440 transcript:ORUFI02G38440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAAAAACEIARLPEELLVAALSLTSPRDACSAAAVCRDFRAAADSDAVWSRFLPRDLPRLADGELSPPPPSTKGLFLRLSAAPLLLPHELTEASVSIGGSTTTRQVGSVERRLKRRCSHALVLAEDIEHPQKRSDGWMELKLGELYNEEGDDGEVCISFRETEGHWKRGLVVQGIEIRPKKTLTSNCLACSHEKPSYSLLTTSRSSKEEIFLTDGLTSMWLDMETGFKCYMLSARALQLANSTDTWRLISLTGASRLFNYAPTLFAELSFIKFTKLLYSKSLMLLKGIPWFSEVIELPACYELVICGKIPCKMLSGNTNYAAYIVFVVVEDSFGLATILDASVSVGGSLCTTRQVCFDSTSSLSADEHFVEDNIEVPQDGSVILPQERDDGWMELEVGEFFHNEECNGDVCFSVLEAEDGRWLSKRGLVVQGIEIRPKKSSC >ORUFI02G38450.1 pep chromosome:OR_W1943:2:32860505:32878532:1 gene:ORUFI02G38450 transcript:ORUFI02G38450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERGRRTMEEAWIDRLPQDLLQRVIPLETPRDACRAAAVSQAFRAVADSDVVWGKFQPDDSSLQLADGELFPPPRSKKERFLRLSAGLLLLPDRRRLSLSIHSCVVAQGMWLDRGTGARCYMLSARALVIIWGDTPRYWRWIPLADSSRFEEGAELIDVCWMEIRCNIDSRILSPNSTYAAFMVFKIAEGFYGLDTPLKEGTVSLGGRESRREVAFTSIDPRPPQGSAAYPQKRADGWMEVELGEFFNENGEDGEVGISLMSKGPNWKRGLIKPDSSKGAEASPSMEEGEACDDCECEIARLPEELLSAAISLTAPRDAFRAAAVSRAFRAAADSDAVWASFLPRDLPDLADGELSPAPPSKKDLFLRLSAGHYHLLPDRLKSAGHYLDLYTKILALDPSHRLQIAEEFYQLDTVDATVNLGGSKSSREVALTRSRRRPEEEISAVLFPRTRADGWMEVELGEFFNEEGEDGNVNIRIFGKGPNWKKGLIVEESSSMAMEEEEAAACEIARLPEELLVEVLSLTGPRDASRAAADSDAVWSRFLPRGLPRLARRELPRSPPPPPSRKAHFLRLSAGPLLLPRKLMSMWLDREKGAKCYMLSARALQISWGDSPQYWSWIPLADSRFKEGAELLSVCWLEIRGKLPGKKLSQNTNYAAYLVYKIADRSYGLDFPFQEASVSIGGSITARQRIEPRAVVLAEDIENPQKRADGWMELKLGELYNEGGDDGEVCISFMETKGGHWKSGLVSMWLDRETGFKCYMLSARALQIVNLTHSWRWISLTGSSRFSEVVEFLKGYRVEVCGKIPCKMLSGNSNYAAYIVFVVAEDSCGLASVWVATVGVGGRQSTRQVCLDSSNRNDYYYEGEIEVPQDGSVILPQERADGWMELELGEFYNQEGNNQGEIARLPVELLSAVISRAAPRPRDACRASAVSPAFRAAADSDDVWSRFLPRDVPDLADGELSPPPPSNKALFLRLSGSDGNVPLLLPDRLRGIWFDRETGAKCYVLSARTLVIKCSETSDYRRWIPLADSRFAEAVEFMDAPPRMEIRSKIDSMVLTPNSTYAAFMVFKIADGLYELDTSPHDATVSIGENESRREVAFTGRYPERRADGWMEVELGEFFNEDGEDGAVYMRLMSEGPNRMRGLIFYFQPPRTHTHSTTIFSFSVFFFSFAIKPDSRTEGSMEEEGEGLCEIARLPEELLSAAISRASPRDACHAAAVSPAFRAAADSDAVWASFLPRDLPDLADGELSPAPASKKELFLRLSDGPYLLSDRLMSMWLDRETGAKCYMLSARSLVIIWGDTPHYWRWIPLTDSRFAEGAELIDVCWLEIRGRIHSKMLSPNSTYAAYMVFKIADEFYGLDAPFQEASVSLGGRGSTKIVCVQSYDSEDEEVPENYWPMSIGPLLRQRARRRDRRLVLDEGVTVPQKRTDEWMELEMGEFINEEGEDGEVCFSLMETKGGNWKRGLIVQGIEIRLKKSG >ORUFI02G38450.2 pep chromosome:OR_W1943:2:32860505:32878532:1 gene:ORUFI02G38450 transcript:ORUFI02G38450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERERGRRTMEEAWIDRLPQDLLQRVIPLETPRDACRAAAVSQAFRAVADSDVVWGKFQPDDSSLQLADGELFPPPRSKKERFLRLSAGLLLLPDRRRLSLSIHSCVVAQGMWLDRGTGARCYMLSARALVIIWGDTPRYWRWIPLADSSRFEEGAELIDVCWMEIRCNIDSRILSPNSTYAAFMVFKIAEGFYGLDTPLKEGTVSLGGRESRREVAFTSIDPRPPQGSAAYPQKRADGWMEVELGEFFNENGEDGEVGISLMSKGPNWKRGLIKPDSSKGAEASPSMEEGEACDDCECEIARLPEELLSAAISLTAPRDAFRAAAVSRAFRAAADSDAVWASFLPRDLPDLADGELSPAPPSKKDLFLRLSAGHYHLLPDRLKIAEEFYQLDTVDATVNLGGSKSSREVALTRSRRRPEEEISAVLFPRTRADGWMEVELGEFFNEEGEDGNVNIRIFGKGPNWKKGLIVEESSSMAMEEEEAAACEIARLPEELLVEVLSLTGPRDASRAAADSDAVWSRFLPRGLPRLARRELPRSPPPPPSRKAHFLRLSAGPLLLPRKLMSMWLDREKGAKCYMLSARALQISWGDSPQYWSWIPLADSRFKEGAELLSVCWLEIRGKLPGKKLSQNTNYAAYLVYKIADRSYGLDFPFQEASVSIGGSITARQRIEPRAVVLAEDIENPQKRADGWMELKLGELYNEGGDDGEVCISFMETKGGHWKSGLVSMWLDRETGFKCYMLSARALQIVNLTHSWRWISLTGSSRFSEVVEFLKGYRVEVCGKIPCKMLSGNSNYAAYIVFVVAEDSCGLASVWVATVGVGGRQSTRQVCLDSSNRNDYYYEGEIEVPQDGSVILPQERADGWMELELGEFYNQEGNNQGEIARLPVELLSAVISRAAPRPRDACRASAVSPAFRAAADSDDVWSRFLPRDVPDLADGELSPPPPSNKALFLRLSGSDGNVPLLLPDRLRGIWFDRETGAKCYVLSARTLVIKCSETSDYRRWIPLADSRFAEAVEFMDAPPRMEIRSKIDSMVLTPNSTYAAFMVFKIADGLYELDTSPHDATVSIGENESRREVAFTGRYPERRADGWMEVELGEFFNEDGEDGAVYMRLMSEGPNRMRGLIFYFQPPRTHTHSTTIFSFSVFFFSFAIKPDSRTEGSMEEEGEGLCEIARLPEELLSAAISRASPRDACHAAAVSPAFRAAADSDAVWASFLPRDLPDLADGELSPAPASKKELFLRLSDGPYLLSDRLMSMWLDRETGAKCYMLSARSLVIIWGDTPHYWRWIPLTDSRFAEGAELIDVCWLEIRGRIHSKMLSPNSTYAAYMVFKIADEFYGLDAPFQEASVSLGGRGSTKIVCVQSYDSEDEEVPENYWPMSIGPLLRQRARRRDRRLVLDEGVTVPQKRTDEWMELEMGEFINEEGEDGEVCFSLMETKGGNWKRGLIVQGIEIRLKKSG >ORUFI02G38450.3 pep chromosome:OR_W1943:2:32860505:32878532:1 gene:ORUFI02G38450 transcript:ORUFI02G38450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERERGRRTMEEAWIDRLPQDLLQRVIPLETPRDACRAAAVSQAFRAVADSDVVWGKFQPDDSSLQLADGELFPPPRSKKERFLRLSAGLLLLPDRRRGMWLDRGTGARCYMLSARALVIIWGDTPRYWRWIPLADSSRFEEGAELIDVCWMEIRCNIDSRILSPNSTYAAFMVFKIAEGFYGLDTPLKEGTVSLGGRESRREVAFTSIDPRPPQGSAAYPQKRADGWMEVELGEFFNENGEDGEVGISLMSKGPNWKRGLIKPDSSKGAEASPSMEEGEACDDCECEIARLPEELLSAAISLTAPRDAFRAAAVSRAFRAAADSDAVWASFLPRDLPDLADGELSPAPPSKKDLFLRLSAGHYHLLPDRLKIAEEFYQLDTVDATVNLGGSKSSREVALTRSRRRPEEEISAVLFPRTRADGWMEVELGEFFNEEGEDGNVNIRIFGKGPNWKKGLIVEESSSMAMEEEEAAACEIARLPEELLVEVLSLTGPRDASRAAADSDAVWSRFLPRGLPRLARRELPRSPPPPPSRKAHFLRLSAGPLLLPRKLMSMWLDREKGAKCYMLSARALQISWGDSPQYWSWIPLADSRFKEGAELLSVCWLEIRGKLPGKKLSQNTNYAAYLVYKIADRSYGLDFPFQEASVSIGGSITARQRIEPRAVVLAEDIENPQKRADGWMELKLGELYNEGGDDGEVCISFMETKGGHWKSGLVSMWLDRETGFKCYMLSARALQIVNLTHSWRWISLTGSSRFSEVVEFLKGYRVEVCGKIPCKMLSGNSNYAAYIVFVVAEDSCGLASVWVATVGVGGRQSTRQVCLDSSNRNDYYYEGEIEVPQDGSVILPQERADGWMELELGEFYNQEGNNQGEIARLPVELLSAVISRAAPRPRDACRASAVSPAFRAAADSDDVWSRFLPRDVPDLADGELSPPPPSNKALFLRLSGSDGNVPLLLPDRLRGIWFDRETGAKCYVLSARTLVIKCSETSDYRRWIPLADSRFAEAVEFMDAPPRMEIRSKIDSMVLTPNSTYAAFMVFKIADGLYELDTSPHDATVSIGENESRREVAFTGRYPERRADGWMEVELGEFFNEDGEDGAVYMRLMSEGPNRMRGLIFYFQPPRTHTHSTTIFSFSVFFFSFAIKPDSRTEGSMEEEGEGLCEIARLPEELLSAAISRASPRDACHAAAVSPAFRAAADSDAVWASFLPRDLPDLADGELSPAPASKKELFLRLSDGPYLLSDRLMSMWLDRETGAKCYMLSARSLVIIWGDTPHYWRWIPLTDSRFAEGAELIDVCWLEIRGRIHSKMLSPNSTYAAYMVFKIADEFYGLDAPFQEASVSLGGRGSTKIVCVQSYDSEDEEVPENYWPMSIGPLLRQRARRRDRRLVLDEGVTVPQKRTDEWMELEMGEFINEEGEDGEVCFSLMETKGGNWKRGLIVQGIEIRLKKSG >ORUFI02G38460.1 pep chromosome:OR_W1943:2:32880060:32886508:1 gene:ORUFI02G38460 transcript:ORUFI02G38460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLKDEEVEVAVTDGGSYDYDLFVIGAGSGGVRGSRTSASFGAKVAICELPFHPISSDWQGGHGGTCVIRGCVPKKILVYGSSFRGEFEDAKNFGWEINGDINFNWKKLLENKTQEIVRLNGVYQRILGNSGVTMIEGAGSLVDAHTVEVTKPDGSKQRYTAKHILIATGSRAQRVNIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWKGMGAHVDLFYRKELPLRGFDDEMRTVVASNLEGRGIRLHPGTNLSELSKTADGIKVVTDKGEEIIADVVLFATGRTPNSQRLNLEAAGVEVDNIGAIKVDDYSRTSVPNIWAVGDVTNRINLTPVALMEATCFSKTVFGGQPTKPDYRDVPCAVFSIPPLSVVGLSEQQALEEAKSDVLVYTSSFNPMKNSISKRQEKTVMKLVVDSETDKVLGASMCGPDAPEIIQGMAVALKCGATKATFDSTVGIHPSAAEEFVTMRTLTRRVSPSSKPKTNL >ORUFI02G38460.2 pep chromosome:OR_W1943:2:32880054:32886508:1 gene:ORUFI02G38460 transcript:ORUFI02G38460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLKDEEVEVAVTDGGSYDYDLFVIGAGSGGVRGSRTSASFGAKVAICELPFHPISSDWQGGHGGTCVIRGCVPKKILVYGSSFRGEFEDAKNFGWEINGDINFNWKKLLENKTQEIVRLNGVYQRILGNSGVTMIEGAGSLVDAHTVEVTKPDGSKQRYTAKHILIATGSRAQRVNIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWKGMGAHVDLFYRKELPLRGFDDEMRTVVASNLEGRGIRLHPGTNLSELSKTADGIKVVTDKGEEIIADVVLFATGRTPNSQRLNLEAAGVEVDNIGAIKVDDYSRTSVPNIWAVGDVTNRINLTPVALMEATCFSKTVFGGQPTKPDYRDVPCAVFSIPPLSVVGLSEQQALEEAKSDVLVYTSSFNPMKNSISKRQEKTVMKLVVDSETDKVLGASMCGPDAPEIIQGMAVALKCGATKATFDSTVGIHPSAAEEFVTMRTLTRRVSPSSKPKTNL >ORUFI02G38460.3 pep chromosome:OR_W1943:2:32880060:32886508:1 gene:ORUFI02G38460 transcript:ORUFI02G38460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGAGSLVDAHTVEVTKPDGSKQRYTAKHILIATGSRAQRVNIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWKGMGAHVDLFYRKELPLRGFDDEMRTVVASNLEGRGIRLHPGTNLSELSKTADGIKVVTDKGEEIIADVVLFATGRTPNSQRLNLEAAGVEVDNIGAIKVDDYSRTSVPNIWAVGDVTNRINLTPVALMEATCFSALEEAKSDVLVYTSSFNPMKNSISKRQEKTVMKLVVDSETDKVLGASMCGPDAPEIIQGMAVALKCGATKATFDSTVGIHPSAAEEFVTMRTLTRRVSPSSKPKTNL >ORUFI02G38470.1 pep chromosome:OR_W1943:2:32896601:32897992:1 gene:ORUFI02G38470 transcript:ORUFI02G38470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPLVTLLLLAHAAAWVAWQAAARRRRATCYLLDYACHKPSDDRKVTTELAGAIIERNKRLGLPEYRFLLKVIVNSGIGEHTYSPRNVLDAREDCPTLRDALDEMDDFFDDAVAAVLARAAVSPRDVDLLVINVGSFSPSPSLADRVVRRFGLRDDVMAYNLSGMGCSAGLVSVDLARNVMLTRPRTMALVLTSESCAPNWYTGTDKSMMLGNCLFRCGGAAALLTNDPAFRSRAKMELRCLVRAHIGAHDDAHAAAVHREDADGRLGVSLSKALPKAAVRAFTENLQRLAPRILPAGELARFAARLLLRKLLRRKAAAGAAAKINFKTGVDHFCLHPGGTAVIEAVRKSLGLDSYDVEPARMALHRWGNTSASSLWYVLSYMEAKRRLNAGDRVLMVTFGSGFKCNSSYWVVTKDLADAGAWEDCIHDYPPANLVNPYMEKFGWVNDLPSQGQGGAFPFF >ORUFI02G38480.1 pep chromosome:OR_W1943:2:32903263:32903627:-1 gene:ORUFI02G38480 transcript:ORUFI02G38480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRAPFGLALVAVPAALLLLTVVILDDPKCEVMEKCDPKGCTDYCIGIGLGQNNGFCTFHDLQFYCCCPID >ORUFI02G38490.1 pep chromosome:OR_W1943:2:32904444:32913926:-1 gene:ORUFI02G38490 transcript:ORUFI02G38490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQSTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYLEAQQIKAREHQQQMQMQQLQLIQHRHAQLQRTNASHPSLNGPINTLNSDGILGHSTASVLAAKMYEERLKHPQSLDSEGSQLLDASRMALLKSAATNHAGQLVPGTPGNVSTTLQQIQSRNQQTMDIKSEGNMGVAQRSLPMDPSSLYGQGIIQPKPGLGGAGLNQGVSGLPLKGWPLTGIDQLRPNLGAQMQKPFLSTQSQFQLMSPQQQQQFLAQAQAQGNLSNSSNYGDLDPRRYTALTRGGLNGKDGQPAGTDGCISSPMQSSSPKVRSDQEYLIKVQQTSSQQPQEQQQQQSQQQQQQQSQQQQMQQSNRKRKQPTSSGAANSTGTGNTVGPSTNSPPSTPSTHTPGDGLGMPGNMRHVPKNLVMYGADGTGLASSSNQMDDLEPFGDVGSLEDNVESFLANDDGDARDIFAALKRSPAEPNPAASKDGKILASAGHEKKAVLWNMDTFQSQYTSEEHSLIITDVRFRPNSSQLATSSFDRTIKLWNAADPGFCLHTFVGHNVQVTSLDFHPKKTDLLCSCDGNGEIRYWNLTQLSCMRAMKGGTAQVRFQPNTGQFLAAAAETMVAIFDVETHSKKYTLQGHNTDVQSVCWDSSGEYLASVSQDLVKVWSISSGECIHEVSSNGNKFHSCVFHPSYANLLVIGGYQSLELWNMVKNQSMTIQAHEGLIAALAQSPVNGTVASASHDNSVKLWK >ORUFI02G38500.1 pep chromosome:OR_W1943:2:32919087:32919332:-1 gene:ORUFI02G38500 transcript:ORUFI02G38500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFSVVCNEGRSKEFKEDPATNNKHCVDICIILNKQLLGPLREKKNPTMEKFSVVCNEGRSKEFKEDPATNKKCVDSCIG >ORUFI02G38510.1 pep chromosome:OR_W1943:2:32921377:32922226:-1 gene:ORUFI02G38510 transcript:ORUFI02G38510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWQEGYKVVLNVYDLSNGLARQLSTSFLGKPIEAIWHTGVVVYGNEYFFGGGIQSLAAGRTPYGRPVRVVEMGETHIPREVFEDYLRDISPRYTAETYRLLSHNCNNFSNEVAQFLVGAGIPDYILNLPAEVMSSPMGPLIMPMIQNLESTLRTNAAPQATQFVPSSVPPPPPPQNKPGEGSSSSKQEDKAAKAKQGSAADPLGGARGKVQEEVMREFAAIMASGTLRASEAAALAMRRVMERHGNATMQQS >ORUFI02G38520.1 pep chromosome:OR_W1943:2:32923117:32929893:-1 gene:ORUFI02G38520 transcript:ORUFI02G38520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRLRRGDLACSVAVAVAVAAVAVAAVGAVGGGDGGADFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDTAKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWCVEFGIEANAIIAVPYDWRLPPSMLEERDLYFHKLKLTFETALKLRGGPSLVFAHSMGNNVFRYFLEWLKLEIAPKHYIRWLDEHIHAYFAVGAPLLGSTEAVKAALSGATFGLPVSEGTARLMFNAFGSSLWLMPFSEYCKADNIYWKHFFEGKGGCHHRQQCDEMEYKSEYSGWPTNLVSIEVPTVRDTEAYPSIMDTTENITSSMECGKPTLLSFSAREVSDGTLFKTIKDWDPQSIGLIHQLEKYYQGDPVLNPLTPWERPPIKNVFCIYGLDSKTEGLYYQGRSGNSVTGKPNNSSGDGTVSYNSLSWCKNWLGPKVNITRAPQAEHDGSDLQTKMNVDHHVGQGILPNMTRTPHVKYITYFEDAESIPGWRTAVWELDKANHRNIVRMPVLMRELWLEMWHDMHPDAKSKFVTKDH >ORUFI02G38520.2 pep chromosome:OR_W1943:2:32923117:32929893:-1 gene:ORUFI02G38520 transcript:ORUFI02G38520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRLRRGDLACSVAVAVAVAAVAVAAVGAVGGGDGGADFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDTAKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWCVEFGIEANAIIAVPYDWRLPPSMLEERDLYFHKLKLTFETALKLRGGPSLVFAHSMGNNVFRYFLEWLKLEIAPKHYIRWLDEHIHAYFAVGAPLLGSTEAVKAALSGATFGLPVSEGTARLMFNAFGSSLWLMPFSEYCKADNIYWKHFFEGKGGCHHRQQCDEMEYKSEYSGWPTNLVSIEVPTVRDTEAYPSIMDTTENITSSMECGKPTLLSFSAREVSDGTLFKTIKDWDPQSIGLIHQLEKYYQGDPVLNPLTPWERPPIKNVFCIYGLDSKTEVGYYFAPSGKPYPDNWIITDIIYEFEGSGNSVTGKPNNSSGDGTVSYNSLSWCKNWLGPKVNITRAPQAEHDGSDLQTKMNVDHHVGQGILPNMTRTPHVKYITYFEDAESIPGWRTAVWELDKANHRNIVRMPVLMRELWLEMWHDMHPDAKSKFVTKDH >ORUFI02G38520.3 pep chromosome:OR_W1943:2:32923117:32929893:-1 gene:ORUFI02G38520 transcript:ORUFI02G38520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRLRRGDLACSVAVAVAVAAVAVAAVGAVGGGDGGADFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDTAKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWLTFETALKLRGGPSLVFAHSMGAPLLGSTEAVKAALSGATFGLPVSEGTARLMFNAFGSSLWLMPFSEYCKADNIYWKHFFEGKGGCHHRQQCDEMEYKSEYSGWPTNLVSIEVPTVRDTEAYPSIMDTTENITSSMECGKPTLLSFSAREVSDGTLFKTIKDWDPQSIGLIHQLEKYYQGDPVLNPLTPWERPPIKNVFCIYGLDSKTEVGYYFAPSGKPYPDNWIITDIIYEFEGSGNSVTGKPNNSSGDGTVSYNSLSWCKNWLGPKVNITRAPQAEHDGSDLQTKMNVDHHVGQGILPNMTRTPHVKYITYFEDAESIPGWRTAVWELDKANHRNIVRMPVLMRELWLEMWHDMHPDAKSKFVTKDH >ORUFI02G38520.4 pep chromosome:OR_W1943:2:32923117:32929893:-1 gene:ORUFI02G38520 transcript:ORUFI02G38520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRLRRGDLACSVAVAVAVAAVAVAAVGAVGGGDGGADFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDTAKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWCVEFGIEANAIIAVPYDWRLPPSMLEERDLYFHKLKLTFETALKLRGGPSLVFAHSMGNNVFRYFLEWLKLEIAPKHYIRWLDEHIHAYFAVGAPLLGSTEAVKAALSGATFGLPVSEGTARLMFNAFGSSLWLMPFSEYCKADNIYWKHFFEGKGGCHHRQQCDEMEYKSEYSGWPTNLVSIEVPTVRDTEAYPSIMDTTENITSSMECGKPTLLSFSAREVSDGTLFKTIKDWDPQSIGLIHQLEKYYQGDPVLNPLTPWERPPIKNVFCIYGLDSKTEVGYYFAPSNSVTGKPNNSSGDGTVSYNSLSWCKNWLGPKVNITRAPQAEHDGSDLQTKMNVDHHVGQGILPNMTRTPHVKYITYFEDAESIPGWRTAVWELDKANHRNIVRMPVLMRELWLEMWHDMHPDAKSKFVTKDH >ORUFI02G38520.5 pep chromosome:OR_W1943:2:32923117:32929893:-1 gene:ORUFI02G38520 transcript:ORUFI02G38520.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRLRRGDLACSVAVAVAVAAVAVAAVGAVGGGDGGADFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDTAKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWLTFETALKLRGGPSLVFAHSMGAPLLGSTEAVKAALSGATFGLPVSEGTARLMFNAFGSSLWLMPFSEYCKADNIYWKHFFEGKGGCHHRQQCDEMEYKSEYSGWPTNLVSIEVPTVRDTEAYPSIMDTTENITSSMECGKPTLLSFSAREVSDGTLFKTIKDWDPQSIGLIHQLEKYYQGDPVLNPLTPWERPPIKNVFCIYGLDSKTEVGYYFAPSNSVTGKPNNSSGDGTVSYNSLSWCKNWLGPKVNITRAPQAEHDGSDLQTKMNVDHHVGQGILPNMTRTPHVKYITYFEDAESIPGWRTAVWELDKANHRNIVRMPVLMRELWLEMWHDMHPDAKSKFVTKDH >ORUFI02G38530.1 pep chromosome:OR_W1943:2:32931360:32935227:-1 gene:ORUFI02G38530 transcript:ORUFI02G38530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGPLTQWPWHNLGNYKYALVAPSAAYSTYRFVTASSAAERDLLNFMVFPMLLLRLLYGQLWITVSRHQTARSKHKIVNKSLDFEQIDRERNWDDQIILTALVFYLVSATMPQAQVAPWWSTKGMVVTAVLHAGPVEFLYYWLHRALHHHWLYARYHSHHHASIVTEPITSVIHPFAEEVVYFVLLAIPILSTVATGTVSVVTANGYLVYIDFMNYLGHCNFELVPKCLFHVFPPLKYLLYTPSFHSLHHTQFRTNYSLFMPVYDYIYGTTDKSSDELYERTLQGRDEAAWRPDVVHLTHLTTPESVFHNRLGFAAVASNPLGAAASGHLLRAASAVASPLLSLFASTFRSEANRLDKLNIETWVIPRFTSHYTSKSDGYKVSRLIEKAVSDAEASGARVLTLGLLNQGYDLNRNGELYVVRKPSLKTKIVDGTSLAVAAVLNMIPQGTKDVLLLGNANKISLVLTLSLCKREIQVWLVGDGVTDEEQMKAQKGSHFVPYSQFPPNKARNDCVYHCTPALLVPESFENLHVCENWLPRRVMSAWRAAGIVHALEKWDGHECGGRVTGVQKAWSAALARGFRPYDDHHHPGITHDGRGGL >ORUFI02G38540.1 pep chromosome:OR_W1943:2:32941898:32942876:1 gene:ORUFI02G38540 transcript:ORUFI02G38540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGDAAAAACPAYPWPSDGAQRGRKVFMQSDCTACHGMFSSNAGLISDDDAAWEPKVAEIVVVEEAHQPVAAAATLRGGAYYPAPDLTFIAKGLRGNNLYSGGGASEAARMLADAAAACQELKKRALASPVWL >ORUFI02G38550.1 pep chromosome:OR_W1943:2:32942965:32944922:1 gene:ORUFI02G38550 transcript:ORUFI02G38550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSSTRVSSARAPNSISGGSNTLCKGENGFATIPCRIRIAGRGPWIRYHPRQHDAIHNRSSSLSAERGVGPLRCFTVDHTRPLDQVASLLAAALSSESMAATSGDGGAAACPAYPWPNDGVQRGRKVFMQSDCAACHTSLPYAGLSDDGARARAAAVEPKAAEIVVVEEARQPAAETVNGGANSPDLTLITKGLRGNLYATAAPRMLAGAAAACQELKKRAMASPVWL >ORUFI02G38560.1 pep chromosome:OR_W1943:2:32945106:32952321:-1 gene:ORUFI02G38560 transcript:ORUFI02G38560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAHETVAMEELLGLVRGFVDVLVLAGGRTSSGAAATWRSGDVKKALQWALFFEEVFKNLRDDGQYDESASELDAALVQLASSPNFPKGIEDIRSKTLAMARELVVKHFLKTTNAENLGAVLQAVVEMDMDGISASGECNVCQEYVQSILDMNLPSLMRTKNARDVGHSTSSDEFCEESLFTGNSQILLKELQKKLDSGSCISLAERELNTLLKSMKKDSFDSAGSTLCTSATAQKTQIIDKFILWKQWKAKCLSYLLDERTIRIMSGTNMIFNAPKEQWMGLFEPLKVSADSSQSGIIEVMELCLLGLVARQWNSLIESIMSHTFSSFPISKQYADLHQLLQGTTQDECQDKLLGFKEMDICEYARQSLESEPYILWLLPPVLTAAAMPPRSSLFKIYLVEIDKQLGEAASKDRKCNCGGDGIDQHQNCEISERIQCLYTFHSIDHPISKGSSVVVLSTHLMLLLQCNSWSQEPLPGPFVCPTSVDFFDQQDMTIFDQQIQDNIAASPSTNLAKQNEWFSSGTSLQYLESSVSAGSVLKAVDATSTTPSNYLHCHAQRNTSNPPNFNEICSGNIASSNIAPTKPRMRWTPELHERFVDAVNKLGGSEKATPKAVQKVMKVDGLTIYHVKSHLQKYRTVHHRPQLSDGESAKSGQTDEVSSQPLKGMETTCEGLRVQIGLQKQLHEQLEIQRKLQLQVEEHSKYLAMIIEKQSESLRQLGALPRSLDAPTQVLDNRETCEGQTGDADSAEQKPEK >ORUFI02G38560.2 pep chromosome:OR_W1943:2:32945106:32952321:-1 gene:ORUFI02G38560 transcript:ORUFI02G38560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAHETVAMEELLGLVRGFVDVLVLAGGRTSSGAAATWRSGDVKKALQWALFFEEVFKNLRDDGQYDESASELDAALVQLASSPNFPKGIEDIRSKTLAMARELVVKHFLKTTNAENLGAVLQAVVEMDMDGISASGECNVCQEYVQSILDMNLPSLMRTKNARDVGHSTSSDEFCEESLFTGNSQILLKELQKKLDSGSCISLAERELNTLLKSMKKDSFDSAGSTLCTSATAQKTQIIDKFILWKQWKAKCLSYLLDERTIRIMSGTNMIFNAPKEQWMGLFEPLKVSADSSQSGIIEVMELCLLGLVARQWNSLIESIMSHTFSSFPISKQYADLHQLLQGTTQDECQDKLLGFKEMDICEYARQSLESEPYILWLLPPVLTAAAMPPRSSLFKIYLVEIDKQLGEAASKDRKCNCGGDGIDQHQNCEISERIQCLYTFHSIDHPISKGSSVVVLSTHLMLLLQCNSWSQEPLPGPFVCPTSVDFFDQQDMTIFDQQIQDNIAASPSTNLAKQNEWFSSGTSLQYLESSVSAGSVLKAVDATSTTPSNYLHCHAQRNTSNPPNFNEICSGNIASSNIAPTKPRMRWTPELHERFVDAVNKLGGSEKATPKAVQKVMKVDGLTIYHVKSHLQKYRTVHHRPQLSDGRGMETTCEGLRVQIGLQKQLHEQLEIQRKLQLQVEEHSKYLAMIIEKQSESLRQLGALPRSLDAPTQVLDNRETCEGQTGDADSAEQKPEK >ORUFI02G38560.3 pep chromosome:OR_W1943:2:32945106:32952321:-1 gene:ORUFI02G38560 transcript:ORUFI02G38560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAHETVAMEELLGLVRGFVDVLVLAGGRTSSGAAATWRSGDVKKALQWALFFEEVFKNLRDDGQYDESASELDAALVQLASSPNFPKGIEDIRSKTLAMARELVVKHFLKTTNAENLGAVLQAVVEMDMDGISASGECNVCQEYVQSILDMNLPSLMRTKNARDVGHSTSSDEFCEESLFTGNSQILLKELQKKLDSGSCISLAERELNTLLKSMKKDSFDSAGSTLCTSATAQKTQIIDKFILWKQWKAKCLSYLLDERTIRIMSGTNMIFNAPKEQWMGLFEPLKVSADSSQSGIIEVMELCLLGLVARQWNSLIESIMSHTFSSFPISKQYADLHQLLQGTTQDECQDKLLGFKEMDICEYARQSLESEPYILWLLPPVLTAAAMPPRSSLFKIYLVEIDKQLGEAASKDRPTSTMNQLRNGKVPQYLSPAITVVPELSEVTFPSFSEHRPSYIEREQCGSSINSFDASTPMCMLASNFTSDLYINKSESPNGKLSSESYAIDTSGCDSSLPPTQSLYKGNPSSLRMVYPKVSEQNSWSQEPLPGPFVCPTSVDFFDQQDMTIFDQQIQDNIAASPSTNLAKQNEWFSSGTSLQYLESSVSAGSVLKAVDATSTTPSNYLHCHAQRNTSNPPNFNEICSGNIASSNIAPTKPRMRWTPELHERFVDAVNKLGGSESWSLILCFSYHIFILNFYGTVLFSQEETKATPKAVQKVMKVDGLTIYHVKSHLQKYRTVHHRPQLSDGESAKSGQTDEVSSQPLKGMETTCEGLRVQIGLQKQLHEQLEIQRKLQLQVEEHSKYLAMIIEKQSESLRQLGALPRSLDAPTQVLDNRETCEGQTGDADSAEQKPEK >ORUFI02G38560.4 pep chromosome:OR_W1943:2:32945106:32952321:-1 gene:ORUFI02G38560 transcript:ORUFI02G38560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAHETVAMEELLGLVRGFVDVLVLAGGRTSSGAAATWRSGDVKKALQWALFFEEVFKNLRDDGQYDESASELDAALVQLASSPNFPKGIEDIRSKTLAMARELVVKHFLKTTNAENLGAVLQAVVEMDMDGISASGECNVCQEYVQSILDMNLPSLMRTKNARDVGHSTSSDEFCEESLFTGNSQILLKELQKKLDSGSCISLAERELNTLLKSMKKDSFDSAGSTLCTSATAQKTQIIDKFILWKQWKAKCLSYLLDERTIRIMSGTNMIFNAPKEQWMGLFEPLKVSADSSQSGIIEVMELCLLGLVARQWNSLIESIMSHTFSSFPISKQYADLHQLLQGTTQDECQDKLLGFKEMDICEYARQSLESEPYILWLLPPVLTAAAMPPRSSLFKIYLVEIDKQLGEAASKDRPTSTMNQLRNGKVPQYLSPAITVVPELSEVTFPSFSEHRPSYIEREQCGSSINSFDASTPMCMLASNFTSDLYINKSESPNGKLSSESYAIDTSGCDSSLPPTQSLYKGNPSSLRMVYPKVSEQNSWSQEPLPGPFVCPTSVDFFDQQDMTIFDQQIQDNIAASPSTNLAKQNEWFSSGTSLQYLESSVSAGSVLKAVDATSTTPSNYLHCHAQRNTSNPPNFNEICSGNIASSNIAPTKPRMRWTPELHERFVDAVNKLGGSEKATPKAVQKVMKVDGLTIYHVKSHLQKYRTVHHRPQLSDGESAKSGQTDEVSSQPLKGMETTCEGLRVQIGLQKQLHEQLEIQRKLQLQVEEHSKYLAMIIEKQSESLRQLGALPRSLDAPTQVLDNRETCEGQTGDADSAEQKPEK >ORUFI02G38560.5 pep chromosome:OR_W1943:2:32945106:32952321:-1 gene:ORUFI02G38560 transcript:ORUFI02G38560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAHETVAMEELLGLVRGFVDVLVLAGGRTSSGAAATWRSGDVKKALQWALFFEEVFKNLRDDGQYDESASELDAALVQLASSPNFPKGIEDIRSKTLAMARELVVKHFLKTTNAENLGAVLQAVVEMDMDGISASGECNVCQEYVQSILDMNLPSLMRTKNARDVGHSTSSDEFCEESLFTGNSQILLKELQKKLDSGSCISLAERELNTLLKSMKKDSFDSAGSTLCTSATAQKTQIIDKFILWKQWKAKCLSYLLDERTIRIMSGTNMIFNAPKEQWMGLFEPLKVSADSSQSGIIEVMELCLLGLVARQWNSLIESIMSHTFSSFPISKQYADLHQLLQGTTQDECQDKLLGFKEMDICEYARQSLESEPYILWLLPPVLTAAAMPPRSSLFKIYLVEIDKQLGEAASKDRPTSTMNQLRNGKVPQYLSPAITVVPELSEVTFPSFSEHRPSYIEREQCGSSINSFDASTPMCMLASNFTSDLYINKSESPNGKLSSESYAIDTSGCDSSLPPTQSLYKGNPSSLRMVYPKVSEQNSWSQEPLPGPFVCPTSVDFFDQQDMTIFDQQIQDNIAASPSTNLAKQNEWFSSGTSLQYLESSVSAGSVLKAVDATSTTPSNYLHCHAQRNTSNPPNFNEICSGNIASSNIAPTKPRMRWTPELHERFVDAVNKLGGSESWSLILCFSYHIFILNFYGTVLFSQEETKATPKAVQKVMKVDGLTIYHVKSHLQKYRTVHHRPQLSDGRGMETTCEGLRVQIGLQKQLHEQLEIQRKLQLQVEEHSKYLAMIIEKQSESLRQLGALPRSLDAPTQVLDNRETCEGQTGDADSAEQKPEK >ORUFI02G38560.6 pep chromosome:OR_W1943:2:32945106:32952321:-1 gene:ORUFI02G38560 transcript:ORUFI02G38560.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAHETVAMEELLGLVRGFVDVLVLAGGRTSSGAAATWRSGDVKKALQWALFFEEVFKNLRDDGQYDESASELDAALVQLASSPNFPKGIEDIRSKTLAMARELVVKHFLKTTNAENLGAVLQAVVEMDMDGISASGECNVCQEYVQSILDMNLPSLMRTKNARDVGHSTSSDEFCEESLFTGNSQILLKELQKKLDSGSCISLAERELNTLLKSMKKDSFDSAGSTLCTSATAQKTQIIDKFILWKQWKAKCLSYLLDERTIRIMSGTNMIFNAPKEQWMGLFEPLKVSADSSQSGIIEVMELCLLGLVARQWNSLIESIMSHTFSSFPISKQYADLHQLLQGTTQDECQDKLLGFKEMDICEYARQSLESEPYILWLLPPVLTAAAMPPRSSLFKIYLVEIDKQLGEAASKDRPTSTMNQLRNGKVPQYLSPAITVVPELSEVTFPSFSEHRPSYIEREQCGSSINSFDASTPMCMLASNFTSDLYINKSESPNGKLSSESYAIDTSGCDSSLPPTQSLYKGNPSSLRMVYPKVSEQNSWSQEPLPGPFVCPTSVDFFDQQDMTIFDQQIQDNIAASPSTNLAKQNEWFSSGTSLQYLESSVSAGSVLKAVDATSTTPSNYLHCHAQRNTSNPPNFNEICSGNIASSNIAPTKPRMRWTPELHERFVDAVNKLGGSESWSLILCFSYHIFILNFYGTVLFSQEETKATPKAVQKVMKVDGLTIYHVKSHLQVNLRKVAKRMKGMETTCEGLRVQIGLQKQLHEQLEIQRKLQLQVEEHSKYLAMIIEKQSESLRQLGALPRSLDAPTQVLDNRETCEGQTGDADSAEQKPEK >ORUFI02G38560.7 pep chromosome:OR_W1943:2:32945106:32952321:-1 gene:ORUFI02G38560 transcript:ORUFI02G38560.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAHETVAMEELLGLVRGFVDVLVLAGGRTSSGAAATWRSGDVKKALQWALFFEEVFKNLRDDGQYDESASELDAALVQLASSPNFPKGIEDIRSKTLAMARELVVKHFLKTTNAENLGAVLQAVVEMDMDGISASGECNVCQEYVQSILDMNLPSLMRTKNARDVGHSTSSDEFCEESLFTGNSQILLKELQKKLDSGSCISLAERELNTLLKSMKKDSFDSAGSTLCTSATAQKTQIIDKFILWKQWKAKCLSYLLDERTIRIMSGTNMIFNAPKEQWMGLFEPLKVSADSSQSGIIEVMELCLLGLVARQWNSLIESIMSHTFSSFPISKQYADLHQLLQGTTQDECQDKLLGFKEMDICEYARQSLESEPYILWLLPPVLTAAAMPPRSSLFKIYLVEIDKQLGEAASKDRPTSTMNQLRNGKVPQYLSPAITVVPELSEVTFPSFSEHRPSYIEREQCGSSINSFDASTPMCMLASNFTSDLYINKSESPNGKLSSESYAIDTSGCDSSLPPTQSLYKGNPSSLRMVYPKVSEQNSWSQEPLPGPFVCPTSVDFFDQQDMTIFDQQIQDNIAASPSTNLAKQNEWFSSGTSLQYLESSVSAGSVLKAVDATSTTPSNYLHCHAQRNTSNPPNFNEICSGNIASSNIAPTKPRMRWTPELHERFVDAVNKLGGSEKATPKAVQKVMKVDGLTIYHVKSHLQKYRTVHHRPQLSDGRGMETTCEGLRVQIGLQKQLHEQLEIQRKLQLQVEEHSKYLAMIIEKQSESLRQLGALPRSLDAPTQVLDNRETCEGQTGDADSAEQKPEK >ORUFI02G38570.1 pep chromosome:OR_W1943:2:32952554:32955059:1 gene:ORUFI02G38570 transcript:ORUFI02G38570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRDVLDLTYLAAIALSLTAGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >ORUFI02G38580.1 pep chromosome:OR_W1943:2:32955315:32959453:1 gene:ORUFI02G38580 transcript:ORUFI02G38580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMWSQPPPPPSSLQLRRPPPPLPHRPRRLRSRLSPIAASQDPLTALSRLLWGRALPPSQLVLAVRHGWTAAWGLLMRQLAPSDPATGAFTRTPSRFPAVVGTPSARLHLYVGLPCPWAHRALLVRALLGLERRLPLSVAVPGDDGAWSFTPDSPDALYGKRKLREVYASARRGGFEGRASVPLLWDAERREVVCNESIEITKFLCDLAAADGSAGGLDLWPPELRQDIDRWCGFAQSQEAYDAAAGELFAALDRLEDHLSGSRYLCGDTLTLADVCLFTTLVRFDLVYHSLFRCTRRKLVEYASLHAYTRDIYQMPGVAGTCDMAAIADGYFGALFPLNPGGILPLVPASCSPEALLEPHGREALSSSAAADAGGGGNGRQLEATSASN >ORUFI02G38590.1 pep chromosome:OR_W1943:2:32957642:32959656:-1 gene:ORUFI02G38590 transcript:ORUFI02G38590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKERAQLLEAHEATSSLFPLPPYRRRRGSSKLGGVLRRPRRPRNTERISGSEGRTSLGRSRNFVAVENLRRYWRAAMEELELPLPTEKLAVDPGREGGKRGVAVLVATGSFNPPTYMHLRMFELAKDELQQRGYSVLGGYMSPVNDAYKKKAMQKGFQRTLTVLSRIRNALSKDGLADGGSPNVMLLCGSDLLESFSTPGVWIPDQVRTICKDFGVICIRREGKDVEKIISSSEILNECRDNIISVDEIVPNQISSSRVRECIKKCLSIKYLVCDEVIQYIGEHKLYKEADGSDTRK >ORUFI02G38590.2 pep chromosome:OR_W1943:2:32957642:32959656:-1 gene:ORUFI02G38590 transcript:ORUFI02G38590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKERAQLLEAHEATSSLFPLPPYRRRRGSSKLGGVLRRPRRPRNTERISGSEGRTSLGRSRNFVAVENLRRYWRAAMEELELPLPTEKLAVDPGREGGKRGVAVLVATGSFNPPTYMHLRMFELAKDELQQRGYSVLGGYMSPVNDAYKKKVRTICKDFGVICIRREGKDVEKIISSSEILNECRDNIISVDEIVPNQISSSRVRECIKKCLSIKYLVCDEVIQYIGEHKLYKEADGSDTRK >ORUFI02G38600.1 pep chromosome:OR_W1943:2:32959554:32961696:1 gene:ORUFI02G38600 transcript:ORUFI02G38600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHSKAYMLVGLDLLTKPNLEQLRSLLLPHLPRRRRRRQAKEKAKMGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYLRQVPKRFKSNFREGTEAAPRKKGAAASN >ORUFI02G38610.1 pep chromosome:OR_W1943:2:32962068:32962925:1 gene:ORUFI02G38610 transcript:ORUFI02G38610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGRHRDDCSASPPPSPSPPPSTLLQLLEVTVISAQDLHRRLGRRVRAAYAVAWADAAHKLRTGVDLAGGADPTWNDRFLFRVEEAFLRSDTAAVTVEVRAPRRFGGDAVLGVTRIVVSTFVGSASSSARGTTGRQVAALQLRRPRSLRPQGIVNVAVAVLDATDARAVPLCSSPDSPDAFSVKDLLVRRPGSLARIVEVSETEEADDEPPAAAAAVVEHSGAMDTRGSAVEQRKLELLLEKWKADLSPDQQKEKANSRRSSGPRRHRRRSSCFGGGSAEWER >ORUFI02G38620.1 pep chromosome:OR_W1943:2:32963967:32966369:1 gene:ORUFI02G38620 transcript:ORUFI02G38620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSCPSTSPAALRLARPKLPDSAMIMQLQLQLQHAPLFPRPPAARAHHHHHHQRRLLLAVSDHVAAKPVTVPVGRMRMRAATAMVSQEEAAATAVEEQQEEEVEEEQLQEEDGAAVQEEEQGGVLEGSSGGGEAEAEAAGITTKLYFGNLPYNCDSAQLAGIVQDYATPEMVEVLYDRATGRSRGFAFVTMSTIEDCEQVIKNLDGSLYSGRTMRVNFADKPKPKLPLYPETEHKLFVGNLSWTVTSEMLTEMFQKCGNVVGARVLYDGETGRSRGYGFVCYSTKEEMDEALSSLNGTELEGREIRVNLALGKK >ORUFI02G38630.1 pep chromosome:OR_W1943:2:32966655:32971059:1 gene:ORUFI02G38630 transcript:ORUFI02G38630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLILDKFHWKQRDSVGPSTTPSPDVSVSKTKISTCKIRTKIEGKKRGEKKAERFRMATAVLRRPLLAAAAAAAAVSSTSSSFRPSRFHLRRCRYPPPVFAVSSDSPKPVTSSSTGGDNPDEEPVLPLLQELADCLVLPPKFLSQLPRDLRLDLNDAAFDLSNGPVLDECGQEVGDLLLNLAKAWEMADTSTSNNLVKQLPSMEPYLTGTFGKRLMSAGRRFTSMGQYGKGELKKIAETMSKTGKLLSKRPVVQSEVEAMKVKRKLKFLELEFELTAEKANIGAAVGLVFGFLSWQLARGIQNIPDGSMQYANDNALQMAKSLKVSLLVLGYTSTALSVFTSIGLLLLAQQINSDDKTE >ORUFI02G38640.1 pep chromosome:OR_W1943:2:32971616:32975711:1 gene:ORUFI02G38640 transcript:ORUFI02G38640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNFRVGCLGTKRASHADLPSWLALIIWKCNIPISKVDPAQLTQPKPTPTSSPLLGCSSPPPYPLLSPRNHILFPGGGGGVKMASSTQGQVITCKAAVAWEANRPMTIEDVQVAPPQAGEVRVKILFTALCHTDHYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRAATGVGVMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKINPQAPLDKVCLLGCGVSTGLGAVWNTAKVEAGSIVAIFGLGTVGLAVAEGAKSAGASRIIGIDIDSKKFDVAKNFGVTEFVNPKDHDKPIQQVIVDLTDGGVDYSFECIGNVSVMRSALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVEKYLNKEIKVDEYVTHSMNLTDINKAFDLLHEGGCLRCVLATDK >ORUFI02G38650.1 pep chromosome:OR_W1943:2:32976232:32978379:-1 gene:ORUFI02G38650 transcript:ORUFI02G38650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGETSTSDSLVLATGAAQGPKRKPPPKRFVHTPIPPSILSDPTLAAAATGLLPAAYNFELPKTAHRILSSGARRAALQLPEGLLLFSLPLSHLLAPFLEPDPSNDVLILADPTYGACCLADRPAKALAADVLVHYGHSCLVPVTSSLLPVLYVFVEIRVDAQRLADAVRAAFPDPADAPRLAIAGTVQFISAVHAAREILSHDGYQGIVVPQAKPLSAGEVLGCTAPALKRSEGVGAVVFVADGRFHLEAFMIANPGVKAYRFDPFLGVLVLEEYDHVGMKQARKEAVLAARKAKSWGVILGTLGRQGSVKVLDRVVEHLEEKGLEHTVVLMSELSPARMELFGDSVDAWVQIACPRLSIDWGEGFKKPMLTTFEFDVALGYVPGWWEKGSRECGSGDATGCCSGSGTSTDCGCSNGGCADKDFGGEYPMDYYSQDGGDWNSCYMKKKPSTGERKLRVRIGSKVQVEDKQQS >ORUFI02G38660.1 pep chromosome:OR_W1943:2:32978777:32983800:1 gene:ORUFI02G38660 transcript:ORUFI02G38660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSRPLFVPASAAAAASPSPAASRRGAPLVRLHAVAIVSIWEPRRHLRAHMSLCCKATRRSSGGLTTGHPISALPRAIKRIMSSYPSLLTSLGGNLARGYKAAKPFLSIGFGRVVLGMVLVMSVSAATYTAPSSSALTEENLLFLEAWRAVDRAYYDKSFNGQSWFRYRENALRNEPMNTREETYAAIKKMLSTLDDPFTRFLEPEKFKSLRSGSQGTLTGVGLSISYPMALNGSPSGLSVMSATPGGPAEYSGILPGDVILAIDNRSTEDMDIYDAAQRLQGPEGSSVDLDIRSGSNTRHVVLKRQTVTLNPVRSRMCEIPGAKDNSKVGYIKLTTFNQNAAGSVKEALQKLRENNVKSFVLDLRNNSGGLFPEGIEIAKIWMDKGVIVYICDSQGVRDIYEADGISTVAASEPLVVLVNRGTASASEILAGALKDNKRAVIYGEPTYGKGKIQSVFALSDGSGLAVTVARYETPAHTDIDKVGVIPDRPLPASFPTDEDGFCSCLRDSNSACNLNAAQLFTR >ORUFI02G38660.2 pep chromosome:OR_W1943:2:32978777:32983800:1 gene:ORUFI02G38660 transcript:ORUFI02G38660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSRPLFVPASAAAAASPSPAASRRGAPLVRLHAVAIVSIWEPRRHLRAHMSLCCKATRRSSGGLTTGHPISALPRAIKRIMSSYPSLLTSLGGNLARGYKAAKPFLSIGFGRVVLGMVLVMSVSAATYTAPSSSALTEENLLFLEAWRAVDRAYYDKSFNGQSWFRYRENALRNEPMNTREETFSLLEHNCISDAAIKKMLSTLDDPFTRFLEPEKFKSLRCYLSKLFPYIFARGPEGSSVDLDIRSGSNTRHVVLKRQTVTLNPVRSRMCEIPGAKDNSKVGYIKLTTFNQNAAGSVKEALQKLRENNVKSFVLDLRNNRFGLAETGLCYTSFYGNLNIVIGGLFPEGIEIAKIWMDKGVIVYICDSQGVRDIYEADGISTVAASEPLVVLVNRGTASASEILAGALKDNKRAVIYGEPTYGKGKIQSVFALSDGSGLAVTVARYETPAHTDIDKVGVIPDRPLPASFPTDEDGFCSCLRDSNSACNLNAAQLFTR >ORUFI02G38660.3 pep chromosome:OR_W1943:2:32978777:32983800:1 gene:ORUFI02G38660 transcript:ORUFI02G38660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSRPLFVPASAAAAASPSPAASRRGAPLVRLHAVAIVSIWEPRRHLRAHMSLCCKATRRSSGGLTTGHPISALPRAIKRIMSSYPSLLTSLGGNLARGYKAAKPFLSIGFGRVVLGMVLVMSVSAATYTAPSSSALTEENLLFLEAWRAVDRAYYDKSFNGQSWFRYRENALRNEPMNTREETFSLLEHNCISDAAIKKMLSTLDDPFTRFLEPEKFKSLREAQWIWIFVVGPIPGMRQTVTLNPVRSRMCEIPGAKDNSKVGYIKLTTFNQNAAGSVKEALQKLRENNVKSFVLDLRNNRFGLAETGLCYTSFYGNLNIVIGGLFPEGIEIAKIWMDKGVIVYICDSQGVRDIYEADGISTVAASEPLVVLVNRGTASASEILAGALKDNKRAVIYGEPTYGKGKIQSVFALSDGSGLAVTVARYETPAHTDIDKVGVIPDRPLPASFPTDEDGFCSCLRDSNSACNLNAAQLFTR >ORUFI02G38660.4 pep chromosome:OR_W1943:2:32978777:32983800:1 gene:ORUFI02G38660 transcript:ORUFI02G38660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSRPLFVPASAAAAASPSPAASRRGAPLVRLHAVAIVSIWEPRRHLRAHMSLCCKATRRSSGGLTTGHPISALPRAIKRIMSSYPSLLTSLGGNLARGYKAAKPFLSIGFGRVVLGMVLVMSVSAATYTAPSSSALTEENLLFLEAWRAVDRAYYDKSFNGQSWFRYRENALRNEPMNTREETFSLLEHNCISDAAIKKMLSTLDDPFTRFLEPEKFKSLRCYLSKLFPYIFARGPEGSSVDLDIRSGSNTRHVVLKRQTVTLNPVRSRMCEIPGAKDNSKVGYIKLTTFNQNAAGSVKEALQKLRENNVKSFVLDLRNNSGGLFPEGIEIAKIWMDKGVIVYICDSQGVRDIYEADGISTVAASEPLVVLVNRGTASASEILAGALKDNKRAVIYGEPTYGKGKIQSVFALSDGSGLAVTVARYETPAHTDIDKVGVIPDRPLPASFPTDEDGFCSCLRDSNSACNLNAAQLFTR >ORUFI02G38670.1 pep chromosome:OR_W1943:2:32984172:32986169:-1 gene:ORUFI02G38670 transcript:ORUFI02G38670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAMDHDGGEVVTPGELLGNSSLLAGLGAYADGRCVRASITGHRRLVPPPPGSTDQRSTVEVVGHKAHGAVPQPGSVVIARVTKVMARMASADIMCVDSKAVKEKFTGMIRQQDVRATEIDKVDMFQSYRPGDIVRALLSLGDARAYYLSTAQNELGVVSAQSITGGTLVPISWTEMQCELTGQIEQRKVAKVE >ORUFI02G38680.1 pep chromosome:OR_W1943:2:32987638:32993652:-1 gene:ORUFI02G38680 transcript:ORUFI02G38680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGFFVLLMLGAVYTLHATALPSPAPHPSGSPDTRILGPRISPAFSPRAMSPESTGGPVHPPSHKHHRRPYHPHSPSPSPLPQGCTDNCSDPMTTTPIGSPCGCVLPICVIVDLDVAPYLLFMRIAELEVEVAAGTFLKQSQVKIMAAIPSIQDDQKTRVTIYLVPLREQFDGYTASLISDRFWNNKIQINSSIFGDYEVINVTYPGLRSPPPSLPETSHGSDPTGTGEDPITADVHHGKNKKLDSWIIVVVAGSSITLIAACIGLGVLLLKWYKLRQLQEAVSPATTPAVNRRYGGRSTLSVSRVSSASASMLSTVATCTTSVKTFSLSQLEKATDGFDSKRVLGQGGFGRVYHGTMDGGDEIAVKLLTREDRSGDREFIAEVEMLSRLHHRNLVKLIGICIEHNKRCLVYELIRNGSVESHLHGADKAKGMLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKGSNILLEEDFTPKVTDFGLAREATNGIQPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVCMSDTNGPQNLVTWARPLLCHKEGLERLIDPSLNGNFNFDDVAKVASIASMCVHNDPSQRPFMGEVVQALKLIYNDAEAACDDSYSHRDSSCDQYDDYHGALALDSGSGSWWNRSSNPSGFFDNRNPLPVITMEYSSGRIEGARDPRFALSTGGHAQSPALQNRSGPIRMKKKLASFYRSRGSFSEHGQLPRH >ORUFI02G38690.1 pep chromosome:OR_W1943:2:33000451:33003450:1 gene:ORUFI02G38690 transcript:ORUFI02G38690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAEKLVVEVVAAHNLMPKDGQGSSSAYVEVEFEHQRRRTRARPKELNPVWNERLVFAVADPDDLPYRAIDVGVYNDRAASGGVAGGGGAAPHGRNFLGKVRVPAAGVPAPGEEVVPQLFTLEKRSLFSHIRGEITLKIYRTNSGEVVVKSKPEKPVKAVVSGPEVVAAPPVTGPKKQQQQQPVVAVQPPPPQPEAPMDILPPPAPVLMKPVMLADPYPASAVFSGPGDFSLKETRPRLGGGTTADKASATYDLVEQMQYLYVRVVRARGVAAVGETVAEVKLGNYRGVTPATAAHHWDQVFAFSKETIQSSFVEVFVRARGSDDHVGRVWFDLSEVPRRAPPDSTLAPQWHIMEDRKGERGAAEVMIAVWFGTQADEAFAEAWHSKAAGVHGYGPLGSIKSKVYVAPKLWYLRVSVIEAQDLIPMDKGPMAIGRYPELFVRAQVGSQMLRTRPAPVAANRGPSSPFWNEDLMFVVAEPFEEFLVLSLEDHVSPGRDDVLGRLVVPVSSIERRWDEKLVVSRWFGLDRGTGGGNVASGNTNRFGSRVHLRLSLDGGYHVLDEATAYSSDLRPTGKQLWQPHVGVLELGVLGATGLIPMKARDGRGATSDAYCVAKYGQKWIRTRTVVDSVCPRWNEQYTWEVFDPCTVITVGVFDNCHVDKPASGNTTLAVRDNCIGKVRIRLSTLETDRVYTHAYPLLMLHPSGVKKMGELHLAVRFCCGNAGNMFHAYVRPLLPKMHYIEPLLVRQVESLRFQATNVVAARLGRAEPPLGREVVEYMLDHRSHLWSMRRSKANFFRLVTVLSGPITIGRWFELVRSWNRPVHSCLAVFTFLVFVTMPELILPTAFLAMAFTGLWRYRVRSRHPPHMEMRLSHADAATVDELDEEFDTFPSSRGDVVRFRYDRLRSVAGRVQTVVGDIATQGERMQALLSWRDPRATVLFSIACVLAAVIAYTIPMKVLVGLWGLYAMRPPRFRSRMPSPLMNFFRRLPSKADSLL >ORUFI02G38700.1 pep chromosome:OR_W1943:2:33004050:33004926:-1 gene:ORUFI02G38700 transcript:ORUFI02G38700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCGDELRVGKHTSEQRKQIGSLLFHGMQHAYPLQVRQKPSSLNRADSRLPTMVAGRLLLWSSSSVRRARSSIFQWYKVKPNQTCKHVAHPRQEETLNKKVEATAHERAHSCIMQQLTAQRRLNQSDK >ORUFI02G38710.1 pep chromosome:OR_W1943:2:33006138:33008657:-1 gene:ORUFI02G38710 transcript:ORUFI02G38710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRLLLAHRLLAGAAAPLPRRRTAAAMSAAAPGTRLLRGVVFDMDGTLTVPVIDFPAMYREVLGGDEAYAAARAAGGGSVDILHCIEGWAPDEQRRAYEVIARFEQDGLDRLQIMPGASELCGFLDAKQIRRGLITRNVKTAVDLFHQRFGMMFSPALSREFRPYKPDPAPLLHICSTWNIPPNEVVMVGDSLKDDVVCGKRAGAFTCLLDETGRYGPHDSLPEGVRPDFKVSSLSEVFSVLEEHFDLAPVVSESRI >ORUFI02G38720.1 pep chromosome:OR_W1943:2:33008936:33014721:1 gene:ORUFI02G38720 transcript:ORUFI02G38720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDPATTFFACSSPTTARSRSWYCSKYLICFSMWMMFANCTPVSFRMPMPADAKFAPTSSLSPSSSGLRHVLAIGEPPGGAVVGGVNAVRRRVGAGRRDESPKTKKARAACDAGSAPMQSAAESSTSHDDDDDAMNATTAITIHYLATIDKENKQTRLGET >ORUFI02G38730.1 pep chromosome:OR_W1943:2:33010092:33011308:-1 gene:ORUFI02G38730 transcript:ORUFI02G38730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSWLVLLSAALCIGALPASHAARAFFVFGDSLVDNGNNNYLITSARADSPPYGIDTPDHRATGRFSNGKNMPDIISEHLGAEPVLPYLSPELDGDRLLVGANFASAGIGILNDTGVQFANIIHIEKQIRYFEQYQDRLRAVVGDEQAKKVVAGSLVLITLGGNDFVNNYYLIPYSPRSREFSLPDYIRYILSEYKQVLRHIHALGARRVLVTGVGPIGCVPAELALHSLDGGCDAELQRAADAYNPQLVAMLAELNAEVGGDVFVGVNTKRAHDDFIEDPRAHGFETSTEACCGQGRFNGMGLCTLVSNLCADRDSYVFWDAFHPTERANRLIVQQFMHGSLDYITPMNLSTILKLDQQAQLRN >ORUFI02G38740.1 pep chromosome:OR_W1943:2:33022709:33031660:1 gene:ORUFI02G38740 transcript:ORUFI02G38740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSASAAAVARLRELTPAPGAELSETGAAALAECCARLLISAAGGDAGAARPALDALCAASGEAMRRHSDELAPLVVGRLGDGDPAVRDAARRFLVRLMEMKEMNARMGNGEKTPCISDVQDNRCMTIQIEPTDTNQAKKSPKVKIVTRDASLLAGDGDITRKSLGPIKVFSEKDLSREIERVASTLHPDNEWAIRITAMQRVEGLVLGGAADYSAFPMLLKQLVTPLITQILDRRSSVVKQACHLLNFLSKELLRDFEPCAELLIPVLLKNVVITIHVIAESSDNCIKEMLRNCKVARILPKIIEFAKNDKSAVLRARCCEYAILMLELWVDTPEIQRSVDLYEEFIKCCIEDATSEMINDEDAETQQRHLSPVERVKLMQPQSSSCNSTEIDKVVKVDSGTSFSSVDLQSVQVKASVQHDDMTSKIQPEGKTDGTTTTGSSFKDTSTLEKETSPDKGPDPDKCDSGVNLSSCDPSSATQFILEPTSELLPSDATVVTIVQDKAECRPNTEQLTQQVQVLGHPSDLLNRSPPVSLKESGNSLKHNPIQVNFDGSSGGKLGAQKVKHHTSAPNKSVVRKEPRNNYIPNFRRPLLSKQMTNWFYASNRSDLDDKQLILGEMVSNMDVPSSLTEALSLGLKPKSDWMMRGPRGIQEVAQNFDKVMRFVSRYLADPHHKIAQAALSSLSEIMPVFKKPFEHYLDKTLPHVFSQLNDPKESIKQQCSAILKLAGEIYSIDSLLPALLRTLEEQKSPKSKLAIIEFANSSFVKCTVSSDNYSSSSFLKPWLEKLALLFKDKNSKLKEVSVAGFSSIYSHYDPASLLSFLVSLSMEEQKRLRRAIKQLIPTIESDLEEFLQQRKHKPKVPLFDSFVGAYQPYAKGLVIKQNKHHLHATYQSDCLQADDVFDSALHCLPRISLEVRERRAGKIESESYDESNGHDAEMMDKKSSDTRSSDPPRTFDYNVISDNTVESPRKEGTDIKRFEESNESELNITVRNRNVILNSCQDHGPSISRTYHQDEMSQMEEVPEINGPSVSIKNLHQMSSSLLEMLDDPEESTRELALTLLVEILEKQRKAMENCIDTLIVKLLHATKEAALKVVNQAHICLTTVVTQFDPLTCLRAIASQLANQDEKVLIVSINSLSKLVIRLSQDNLMAHLSIFLPALLDAFENHSPYVRKAVVLCLVDTYLKLGPAFLPYLERLDSAQLQLVTTYASRLSQTSFIAVDA >ORUFI02G38750.1 pep chromosome:OR_W1943:2:33032823:33039683:1 gene:ORUFI02G38750 transcript:ORUFI02G38750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVIRPIRRGLIPRCISPVTAGGGDGGGGGCGLAGGEASGAEMRGVQDRKQKKKQDLQVLGPFPGCLGRMINMFDLSNGVVATKMLTEKAHRDGKDRGNAFKMAIGPFSSQIEDKKRDSQPRKQSPTKRLSSPTKRSGEAPVKLLMEQDMWKEGMSGEEPLNVVARLMGLNDAAGHQSDLKSGKRSDKEYRSGGFDENSRNLRPKKDSKGHPNQKAGTHSEPCSGFSDQTLRMNSSRNKHQGKEPSCEKRMTLVREKFAEAKRLATDEKLLHTKEFQEALQFLSSNKDLFLKFLDEPSPLLSHNNYEFQPDTPPSETKQITILKPSDSIKRNGNTLVGRQLYSDGDESEGNRCRRHQSLSVSPTNSTLSEPTRIVVLKPGLVKSQEPKILRSPSSSSTAADSEDDSMSAVDETVPSSRRLAKEITWQMRMRLKDKQDEENLLSYEFHDIYIGDDSFSKSEVENAKEVSGEISEDLEFGTPTSGRSWDFLSRSGSPYSASCSSQTSHRREPSVVREAKKRIFERLSIVSSTVGGEEEREARRSMGTLGEMLTIPEVKKDQEVFGGVTLENPSPEMDSEEPFLCLPRSRSVPISLSFGGTELNGVATGCQEAEKEKNRKSLSFREKVSSLFSKNRKVARGKLDPSGIPSTDDRLKHGNSATVNDFSENADHSALDNPLNCTIQNVDEISMPRLMASSWHMNDMENMPAKDISSIPVIGAPGIFGESQDQPSPVSVLDGPFLSDNSRSLLCSSESFITASPQALSRSPLIGSFSRSLSWEDPPLEVMSPNSLRLSRLFSKADEDQDSLTFIQKLVCSAGIDREGCMLSGPLELYLLEKFSDYQEEGTKLRERRSKEKFLFDAVNEALTELTWTAELMAYPLGRSSSLERKDCENAFSNSAADEIWRVIRNWSILDKYPPGETIERNLLVEMILKREVLEAASSDTTRLETFELTSMVCTMVLEDLIADAVVDLSA >ORUFI02G38750.2 pep chromosome:OR_W1943:2:33033507:33039683:1 gene:ORUFI02G38750 transcript:ORUFI02G38750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSEMRGVQDRKQKKKQDLQVLGPFPGCLGRMINMFDLSNGVVATKMLTEKAHRDGKDRGNAFKMAIGPFSSQIEDKKRDSQPRKQSPTKRLSSPTKRSGEAPVKLLMEQDMWKEGMSGEEPLNVVARLMGLNDAAGHQSDLKSGKRSDKEYRSGGFDENSRNLRPKKDSKGHPNQKAGTHSEPCSGFSDQTLRMNSSRNKHQGKEPSCEKRMTLVREKFAEAKRLATDEKLLHTKEFQEALQFLSSNKDLFLKFLDEPSPLLSHNNYEFQPDTPPSETKQITILKPSDSIKRNGNTLVGRQLYSDGDESEGNRCRRHQSLSVSPTNSTLSEPTRIVVLKPGLVKSQEPKILRSPSSSSTAADSEDDSMSAVDETVPSSRRLAKEITWQMRMRLKDKQDEENLLSYEFHDIYIGDDSFSKSEVENAKEVSGEISEDLEFGTPTSGRSWDFLSRSGSPYSASCSSQTSHRREPSVVREAKKRIFERLSIVSSTVGGEEEREARRSMGTLGEMLTIPEVKKDQEVFGGVTLENPSPEMDSEEPFLCLPRSRSVPISLSFGGTELNGVATGCQEAEKEKNRKSLSFREKVSSLFSKNRKVARGKLDPSGIPSTDDRLKHGNSATVNDFSENADHSALDNPLNCTIQNVDEISMPRLMASSWHMNDMENMPAKDISSIPVIGAPGIFGESQDQPSPVSVLDGPFLSDNSRSLLCSSESFITASPQALSRSPLIGSFSRSLSWEDPPLEVMSPNSLRLSRLFSKADEDQDSLTFIQKLVCSAGIDREGCMLSGPLELYLLEKFSDYQEEGTKLRERRSKEKFLFDAVNEALTELTWTAELMAYPLGRSSSLERKDCENAFSNSAADEIWRVIRNWSILDKYPPGETIERNLLVEMILKREVLEAASSDTTRLETFELTSMVCTMVLEDLIADAVVDLSA >ORUFI02G38750.3 pep chromosome:OR_W1943:2:33032823:33033649:1 gene:ORUFI02G38750 transcript:ORUFI02G38750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVIRPIRRGLIPRCISPVTAGGGDGGGGGCGLAGGEASGAGVGRGRRALSSPLLVFNGFYWYYFFYY >ORUFI02G38760.1 pep chromosome:OR_W1943:2:33046794:33049531:1 gene:ORUFI02G38760 transcript:ORUFI02G38760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRNLKIKTSTCKRIVKELRSYEKEVEKEAAKTADMKEKGADPYDLKQQENVLAESRMMVPDCHKRLETALADLKATLAELKESNEQGAEIGEAESTITEVEAVVKPTED >ORUFI02G38770.1 pep chromosome:OR_W1943:2:33049393:33053447:-1 gene:ORUFI02G38770 transcript:ORUFI02G38770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAASAAPHLLHCGGFGRLPGLAASLPGRRRRRPSRRVLAVATEPKPSASAPAPRSRSRTRTPNDISSTRFGEVSKEIQRVRKQMEEDEQLATLMRGLRGQNLRDSQFADDNVRLRLVEVSSMNNNEALPLVYSPEIISAYWGKRPRAVATRIVQLLSVAGGFISHLISDLINNKLKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFSDDLAMTLLEEELGRPWHEIYSELSPSPIAAASLGQVYKGRLKETGELVAVKVQRPFVLETVTIDLFIIRNLGLVLRRFPQVSIDVVGLVDEWAARFFEELDYVNEGENGNRFAEMMKKDLPQVVVPKTYQKYTSRKVLTTQWIDGEKLSQSTEDDVGSLVSVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKTGVFDAERFIDVMQAFENFIRAAKSGGGENLKGSMAELAEIGTLPSTSLVPAFPMAISQPEQPVKARAALSFLLSERGDFFREFILDEIVKAIDAVSREQLIQIAASFGLGNATPVFSMVPVRARALLPTITEEDRVILNNVEKVVKFLTAGNNPTTIDGDVNVVYLVQELLPVLPSISSKILPEVMSRLSSRVFARLIREAFL >ORUFI02G38780.1 pep chromosome:OR_W1943:2:33055455:33057170:-1 gene:ORUFI02G38780 transcript:ORUFI02G38780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQGAWRGGASASSRISYKNATVAVCAINLLACALLFRNYYSSWPRIAGDHQFDSAQLRFIWESEELRRAMEPVDLIRRVKEIEQEAYGEHGMMTQEDAKQTAAVDASKRLQDLRAGNDGSSQKALEEWRKRKMERARQRAIEKNGTSSAAKTR >ORUFI02G38790.1 pep chromosome:OR_W1943:2:33057423:33061546:1 gene:ORUFI02G38790 transcript:ORUFI02G38790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAWRRHLLDGNISPTTAAAISAFRSASQPALAPQGLGGADGARYMSARAPAVKGTGHLVRKGTGGRSSVSKKFRDSGIIATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDCHRHLKLMGDLGQIVPMKYNPRDVDSIKAVMAKSNVVINLIGREYETRNYGFDEVNHHMAEQLAMISKEHGGIMRFIQVSSLGASASSPSRMLRAKAAGEESVLKEFPEATIMRPATMIGTEDRILNRWAQFAKNWGFLPLVDSGSTKIQPVYVVDVAAAIVNSLKDDGTSMGKTYELGGPEIYTVHELAELMYETIREWPRYIDVPLPIARAIASPREMLLNKVPFPLPTPSIFNKDQINAFSVDTLVSDNALTFSDLGIVPHKLKGYPVEFLVCYRKGGPAFGSTVSEKIRSSEL >ORUFI02G38800.1 pep chromosome:OR_W1943:2:33070873:33084235:1 gene:ORUFI02G38800 transcript:ORUFI02G38800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAFDGINLFLQAAQATIVVGSQVWVEDPGVAWIDGEVIKVHGDTVIVKCSNEKTVTVKGSNVHAKDPEESPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGNILIAVNPFRRLPHLYDTQMMEQYKGADFGELSPHPFAVADVAYRLMLNEGISQSILVSGESGAGKTESTKMIMRYLAYMGGKAAAEGRTVEKQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGRISGAAVRTYLLERSRVCQISDPERNYHCFYMLCAAPPEELKRYKLGDPRTFHYLNQSNCYKLEGLDESKEYLETRKAMDIIGISSEEQEAIFRVVAAILHLGNVEFAEGDDGESSKPKDEKSLFHLKTAAELFMCDEKALEDSLCKRIIVTRDENIVKTLDPEAAKGSRDALAKTVYSRLFDWLVDKINKSIGQDPNSKCLIGVLDIYGFESFKTNRCFTALSLKHFLKKCKMLDPEYCFFMFSVILLFQKHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPGGIIALLDEACMLPRSTHETFAQKLYQTFKNNKRFTKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASQCSFVSGLFPLLSEDSSKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNNILKPSIFENQNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFIDRFGILAPDVLSGSSDEIAAVRRLLEKVDLQGYQQCLASQKRWSIVVEIGKTKVFLRAGQMAELDARRNEVLGRSANMIQRKVRSFLAQKNFIKLQRSAVQLQTICRGELARKIYQNLRREAASLRIQTCYRMHAARKAYNELSASAVTIQSALRGMVARKELHFRQQTKAAIVIQSRCRQFLARLYYSRTKKAAITTQCAWRGKVARKELRKLKMLQMFCYTAVYISQYMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKSQENAKLQATLQEVQQQYKETQEMLVKEREAAKKAAEVAPVVKEVPVIDTELMNKLRDENDKLKTLVSSLEKKIDDTEKKYEETNKISEERLQKAMDAETKIVDLNMAMLRLQEKLSNMESEEKVQRQALLSSPVKSMSEHLSIPIVPKNLENGFHEVEDPKEPQSAPPAIKDYGNGDPKLRKSCVDRQLENVDALIDCVSKNLGYCGGKPVAAFTIYKCLLHWKSFEAEKTSVFDRLIQLIGSAIELASFFGPQSAYVVKPLMQNEEDNDNLAYWLSNTSSLLFLLQRSLKAAGAPGSVSRKKPPQPTSLFGRMAQGLRSASFVNMHVEATDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNIKKELSSLISLCIQAPRTMKASMLRMSGRLSGQAQSNHWQRIIESLDILLKKLQDNHVPPVLAQKVFTQIFSYINVQLFNSLLLRRECCSFSNGEYVKAGLAELELWCAKATTEYAAASWDELKHIRQAVGFLVIFQKFRISYDEIVNDLCPILSVQQLYRICTQYWDDKYNTQSVSSDVLSNMRVLMTEDSNNAESSSFLLDDNSSIPFSVDDITNSIQEKDFTDVKPAEELLENPAFQFLQD >ORUFI02G38810.1 pep chromosome:OR_W1943:2:33084598:33090420:1 gene:ORUFI02G38810 transcript:ORUFI02G38810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKFMITYKRKRVTSHVYTADGTNLKSSGASSSVPVSSLSPKYGVGADNNMLEEDNFSTSTKQQDVFDSREQVIKEESPKQSANAPGKERAELKSRESLSQKEQPEICSTHTAIGDACENKLECIDGTHNQSLVSSCVHADRTTNQAEDSSASVSVGVNSHQQPNNSTRPSQSKSRFSPMLTFHRRVKNKIGLEEPAAGSCSRDNDKHCSKLSCNPPSSPLDAIPLCRQTAGSSLDVEDKVTIAGTSTGQSVIVDHLLEQKSSHIPKSSVHHMVPSQPAKDANQSSIPEEGTPVSEFTRVLETSESDARVEDSNRTPVDAIEVPKVIEVKRDEHGNGQTNCLQSPRKNINVNLLKPTNRSEAADLLESQDSTKNIPIIVLDDDSDERGKEQEKSEVLDQLIQEKNKGRFSLGQINLNLNCAELPQERLLSLDETSVYKLKDQDQCVHERKQMSHPVERLFFTKEKDAMHGKKHHEETSTMHSSYSNLFDPAPSSSWITGNFKEPSVMPSELKFRILDKAPEFNLDLKLDSFPDSSVLALRHDKLFRGGTSSGSHFLTERLGTYSYKRHSSPWSEEELDFLWIGVRRYGTNNWNAMLRDRRLRFSNSRNAEDLAKQWDKEQRNLLGVDFLQSLRSSARGPPPPSHIPEDYVGSSSWTGCSKSPFLSAPTDLSLGDMYLRSARTSERGQHHLSNLGMLNLHATDNVPRNLSLGGFPVASSPYGRSSSKRRRASKLPKSYYDNKAVWCQDPSERVAQFLPINQEPINNLPEWLTKDAEMAGVRRLDADLWPSMQAPGHSAADRLNEMKPHVLPDGSLKRAPKRKAEWRAFSKKLFKSGDGALDLNQRAAAIAGPLGAIGTSDTGASSEETVSDS >ORUFI02G38820.1 pep chromosome:OR_W1943:2:33096328:33097757:1 gene:ORUFI02G38820 transcript:ORUFI02G38820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKPHHHGSSSLVAEELNLLHGGGDGGRGGGGLGQWKCRLLGSLAGLGRPRRARCVVCLQVQHVTGLPPAAEGRGVVVGWRSRGGEGEHTSPVRASRGAAAFDEVFLNYFVAGGATLRSFAVWAALVDDLASTARGGGDLGSFPVDLTEIATAESSNPRFGGKALSFPLGGAAAGAVLTVSVYCRVMEREENHGGANGHARAERKNKGKGSYASCLPDLSCLRNRPSPAAAAASGSARRAASLRSDRGGFITIENSVAEMEGGGAFGRVEDVDEEGAGFITMEKGTISSSRSRSRRPAGEDDEAGDMEDEKPCLLMELAPEEAAAAFEVEKVEEEFLAMLEDKYWARSKEIEKGLGVSLDMGLDLGLDLDSLIKDAEMELAKAEQAWRSKVGAAIVEEEEYMDLVRRWSARDAAAACWPAAAFAFGSPI >ORUFI02G38830.1 pep chromosome:OR_W1943:2:33100742:33106034:-1 gene:ORUFI02G38830 transcript:ORUFI02G38830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAVVGVPNGPVRSADEWCTSSSVFRFASKFQPFPPPSPPSTSASATAAPRRSPPPPPAMAAAAIHQFAECITCHAWSPDHSMIAFCPNNNEVHIYKFFTDKWEKLHVLSKHDQIVSGIDWSRSSNKIVTVSHDRNSYVWTQEGHDWVPTLVILKLNRAALCVQWSPKENKFAVGSGAKSVCICYYEQDNNWWISKVVRKKHESSVTSVAWHPNNILLATTSTDGKCRVLSTFIKGVDTRGSHASTSTDWKFGEQIAQLDLSSMWAFGVRWSPSGKTLAYAGHNSMIYFIDDVETSPAAQNLALRDLPLRDILFLSERTVIGVGFDCNPMIFSADETGLWSFVRYLDERKVTTSTSRASQLSEAFGKLYGQSKQVASSDTVEPSKPRGGVHENCITCIVPLRKGNDSIVKRFSTSGLDGKIVVWDAENHIDIKK >ORUFI02G38840.1 pep chromosome:OR_W1943:2:33105817:33108491:1 gene:ORUFI02G38840 transcript:ORUFI02G38840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSANWWIAAAAIAGGGGGERRGAAVAEAEVEGGDGGGNGWNLEAKRNTLLLVHHSSALRTGPLGTPTTAAHIFATTTNS >ORUFI02G38850.1 pep chromosome:OR_W1943:2:33109011:33113766:1 gene:ORUFI02G38850 transcript:ORUFI02G38850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKNLGRSGLRVSQLSYGAWVTFGNQLDVKEAKALLQACRDAGVNFFDNAEVYANGRAEEIMGQAMRDLGWRRSDVVVSTKLFWGGQGPNDKGLSRKHIVEGLRGSLKRLDMDYVDVVYCHRPDATTPVEETVRAMNWVIDHGMAFYWGTSEWSAQQITEAWSVANRLDLVGPIVEQPEYNLFSRHKVESEFLPLYSTYGLGLTTWSPLASGVLTGKYAKGNIPADSRFALENYKNLANRSLVDDTLRKVNGLKPIASELGVSLAQLAIAWCASNPNVSSVITGATKENQIVENMKALDVIPLLTPEVVDKIEAVVQSKPKRTESYR >ORUFI02G38860.1 pep chromosome:OR_W1943:2:33114747:33116783:-1 gene:ORUFI02G38860 transcript:ORUFI02G38860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGVAGPTAGEPPGTEAEAEEVEESSAGDDEELELGLSLGSKKQQQQQHAPCRILTARDLQPAAALSPDSSVSSSSPAAAAAAGGKRAEGPTATTSPGTVASGHPHSSFGVVGWPPIRQFRMNSLFNQAKENTSETDTKKTATNESDVQKDKEEGEKKGRVAGWVKVNMDGEVIGRKVDLNAHRSYKTLALALELMFTKPSIGLCASHNTNSLKLLDNSAEYQLTYEDRDGDWMLVGDNVCQLCEEVEDHEDIRCEWSWSAIPGNSQNHCFNKRQILRACDKEKSYTAGEAWSVLDG >ORUFI02G38870.1 pep chromosome:OR_W1943:2:33122301:33125922:-1 gene:ORUFI02G38870 transcript:ORUFI02G38870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIDRQRVLLAHLLPSSSSDQSLLSASACAAGDSAAYQRTSAYGDDVVVVAAYRTPICKAKRGGFKDTYPEDLLTVVLKAVLDNTKINPGEIGDIVVGTVLGPGSQRAIECRAAAFYAGVPENVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMSVNAMGWEGQVNPKVNEVQKAQDCLLPMGITSENVAHRYGVTRQEQDQAAAESHRRAAAATAAGKFKDEIVPVPTKIVDPKTGEEKKVVISVDDGIRPGTTASGLAKLKPVFRKDGTTTAGNSSQVSDGAGAVLLMRRDVAMKKGLPILGVFRSFAAVGVDPAVMGVGPAVAIPAAVKSAGLQIEDIDLFELNEAFASQFVYCCNKLGLDRSKVNVNGGAIALGHPLGATGARCVATLLNEMKRRGRDCRFGVVTMCIGSGMGAAAVFERGDSVDQFSNVRHH >ORUFI02G38880.1 pep chromosome:OR_W1943:2:33127190:33131632:-1 gene:ORUFI02G38880 transcript:ORUFI02G38880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPTSNQPLCPKTISSHHQKKKKKKKRKNSKKHKENPRFFSSTGFFSSNPFPSPSPPPPPPCAFHLQRFLLPARSSRTFWNLPPSPTRSSRWASSSSSSTNPRRRALRRRAPSAPLRRSPVLPDLRLPLRLVGCRNPQLQNPSRAAAISTLAARPSSSSASTGQDMVLQKRLDYGSHGHRAPIKPRVATLAPVKRSTRIRKKQMYALDLLATAAEKLLADQDNLSSGPNINETPEGYVTSMKPVKAEQFDEAFPLRSVAVKKDDCKGCTVGCAGICGFLRQANMCLAENSSTQNLADSVLESLTAKPDVLAKDSFVSSKKSCRLGFGLGTIPEYGSVGVCQPWSTRSAEVKQVHRARPTAIRSQEDSDAAALCALVETMDLDTKPLAEASSGSNSGVHICGPDRGHNSHPSCLAKVQHAADRDDDENSSGCVHPSTSGNNRGYIPHYIGDRRIRRLFASRLRKAARNRICGEMSCKGNKLSLCEKKMPTTRRRVQQTTLKRKRLAQLYSEKSSDEVKLTIKSFNIPELLIEIPENATVGSLKKTVSDAVTTIIERGLRVGILLQGKNIQNDNKTLRQAGICRGKKLDDIGFTLECEAGQDSHPGVIVPEEMDFVGASVVDKSATVKCEEPAENQQLMQDFPGCSLSDPGSVDYPVEWSTQETSASSQAIVPFADPNSLALANVPLSRSKRPDFGQRRIRRPFTVAEVELLVEAVEHLGTGRWRDVKFRAFENVHHRTYVDLKDKWKTLVHTASIAPQQRRGAPVPQELLDRVLAAQAYWSEQQAKLHGDPPVPEICPT >ORUFI02G38880.2 pep chromosome:OR_W1943:2:33127190:33131632:-1 gene:ORUFI02G38880 transcript:ORUFI02G38880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPTSNQPLCPKTISSHHQKKKKKKKRKNSKKHKENPRFFSSTGFFSSNPFPSPSPPPPPPCAFHLQRFLLPARSSRTFWNLPPSPTRSSRWASSSSSSTNPRRRALRRRAPSAPLRRSPVLPDLRLPLRLVGCRNPQLQNPSRAAAISTLAARPSSSSASTGQDMVLQKRLDYGSHGHRAPIKPRVATLAPVKRSTRIRKKQMYALDLLATAAEKLLADQDNLSSGPNINETPEGYVTSMKPVKAEQFDEAFPLRSVAVKKDDCKGCTVGCAGICGFLRQANMCLAENSSTQNLADSVLESLTAKPDVLAKDSFVSSKKSCRLGFGLGTIPEYGSVGVCQPWSTRSAEVKQVHRARPTAIRSQEDSDAAALCALVETMDLDTKPLAEASSGSNSGVHICGPDRGHNSHPSCLAKVQHAADRDDDENSSGCVHPSTSGNNRGYIPHYIGDRRIRRLFASRLRKAARNRICGEMSCKGNKLSLCEKKMPTTRRRVQQTTLKRKRLAQLYSEKSSDEDAVTTIIERGLRVGILLQGKNIQNDNKTLRQAGICRGKKLDDIGFTLECEAGQDSHPGVIVPEEMDFVGASVVDKSATVKCEEPAENQQLMQDFPGCSLSDPGSVDYPVEWSTQETSASSQAIVPFADPNSLALANVPLSRSKRPDFGQRRIRRPFTVAEVELLVEAVEHLGTGRWRDVKFRAFENVHHRTYVDLKDKWKTLVHTASIAPQQRRGAPVPQELLDRVLAAQAYWSEQQAKLHGDPPVPEICPT >ORUFI02G38890.1 pep chromosome:OR_W1943:2:33131471:33135478:1 gene:ORUFI02G38890 transcript:ORUFI02G38890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINTFQSCSVLKGAKINGTKIGGGRGSPTFRCRASTFMDGSLRLEIDENPEAIISGEWPENFSLLSYDDLRAYLQSQEAAAQADNQRVALLSEAMSAPVLVATAEQTLEEVECHFETVSGLPVIDASLRCVGVIVKSDRARASHGSKTKIAEVMTSPAITLPSDKTVMDAAALMLKKKIHRLPIVNQDRQVIGIVTRADVLRELEALLEV >ORUFI02G38900.1 pep chromosome:OR_W1943:2:33132477:33140056:-1 gene:ORUFI02G38900 transcript:ORUFI02G38900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSAAAAAPPPCRLLGSGQAHLRLPPSAAAAAASARRRLLLRCAASGGNGKGGGGDGSGSDPVLEERRRRRQAELAARIASGEFTAQGPAWIAPLAVGLAKLGPPGELAAALLTKVAGGGGPEIPQAVGSMSAVTGQAFFIPLYDLFLTYGGIFRLNFGPKSFLIVSDPAIAKHILRDNSKAYSKGILAEILEFVMGTGLIPADGEIWRVRRRAIVPAMHQKYVTAMISLFGYASDRLCQKLDKAATDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALALINKTLDELIDICKRLVEEEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPNVMAKLQDEADTVLGDRLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSIEEDMLGGYPIGRGEDIFISVWNLHHCPKHWDGADVFNPERWPLDGPNPNETNQNFSYLPFGGGPRKCVGDMFATFETVVATAMLVRRFDFQMAPGAPPVEMTTGATIHTTEGLKMTVTRRTKPPVIPNLEMKVISDSPENMSTTTSMPVSAASIASGEDQQGQAYNTGGLIVCLLYKEKLSGRSIWTTARLNLQQGFQLAKNVSTDGRVMAGEVITSAIFLSREKFSGHSPEMMASGFSSISSRRLPSMNVDARHRKGQSGRRGARRLAGGILLGPGHVAVDKPDHPDLWFGTEYRPAGR >ORUFI02G38900.2 pep chromosome:OR_W1943:2:33132477:33140056:-1 gene:ORUFI02G38900 transcript:ORUFI02G38900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSAAAAAPPPCRLLGSGQAHLRLPPSAAAAAASARRRLLLRCAASGGNGKGGGGDGSGSDPVLEERRRRRQAELAARIASGEFTAQGPAWIAPLAVGLAKLGPPGELAAALLTKVAGGGGPEIPQAVGSMSAVTGQAFFIPLYDLFLTYGGIFRLNFGPKSFLIVSDPAIAKHILRDNSKAYSKGILAEILEFVMGTGLIPADGEIWRVRRRAIVPAMHQKYVTAMISLFGYASDRLCQKLDKAATDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALALINKTLDELIDICKRLVEEEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPNVMAKLQDEADTVLGDRLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSIEEDMLGGYPIGRGEDIFISVWNLHHCPKHWDGADVFNPERWPLDGPNPNETNQNFSYLPFGGGPRKCVGDMFATFETVVATAMLVRRFDFQMAPGAPPVEMTTGATIHTTEGLKMTVTRRTKPPVIPNLEMKVISDSPENMSTTTSMPVSAASIASGEDQQGQAYNTGGLIVCLLYKEKLSGRSIWTTARLNLQQGFQLAKNVSTDGRVMAGEVITSAIFGQSGRRGARRLAGGILLGPGHVAVDKPDHPDLWFGTEYRPAGR >ORUFI02G38900.3 pep chromosome:OR_W1943:2:33133896:33140056:-1 gene:ORUFI02G38900 transcript:ORUFI02G38900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSAAAAAPPPCRLLGSGQAHLRLPPSAAAAAASARRRLLLRCAASGGNGKGGGGDGSGSDPVLEERRRRRQAELAARIASGEFTAQGPAWIAPLAVGLAKLGPPGELAAALLTKVAGGGGPEIPQAVGSMSAVTGQAFFIPLYDLFLTYGGIFRLNFGPKSFLIVSDPAIAKHILRDNSKAYSKGILAEILEFVMGTGLIPADGEIWRVRRRAIVPAMHQKYVTAMISLFGYASDRLCQKLDKAATDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALALINKTLDELIDICKRLVEEEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPNVMAKLQDEADTVLGDRLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSIEEDMLGGYPIGRGEDIFISVWNLHHCPKHWDGADVFNPERWPLDGPNPNETNQNFSYLPFGGGPRKCVGDMFATFETVVATAMLVRRFDFQMAPGAPPVEMTTGATIHTTEGLKMTVTRRTKPPVIPNLEMKVISDSPENMSTTTSMPVSAASIASGEDQQGQAYNTGGLIVCLLYKEKLSGRSIWTTARLNLQQGFQLAKNVSTDGRVMAGEVITSAIFVFDCYKKQ >ORUFI02G38900.4 pep chromosome:OR_W1943:2:33132477:33133091:-1 gene:ORUFI02G38900 transcript:ORUFI02G38900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTATAQILLVDFDLIHAQIFFNTPLVLGQSGRRGARRLAGGILLGPGHVAVDKPDHPDLWFGTEYRPAGR >ORUFI02G38910.1 pep chromosome:OR_W1943:2:33141105:33142669:-1 gene:ORUFI02G38910 transcript:ORUFI02G38910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSPSFQGRMQSWSLVKTTFLLAAFDDLDDGNGRVNVFLRKLAKSCIGIISSESPHALVQFVLEEAALGFISSECSIGAAADRIWRLFPVLYLPACSNFILWQQHPTPGQMLFALEGIKMPIPSNSRYLEAPTAATRKPALSVGISNLP >ORUFI02G38920.1 pep chromosome:OR_W1943:2:33145757:33147013:-1 gene:ORUFI02G38920 transcript:ORUFI02G38920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKNKNKCSLHLPPMEKREEGHGGWGAREFRANLWRGRVGLRIDGGKYHRWLVPTPGTQSKAVWTEVVTSGSTSEERAATAVE >ORUFI02G38930.1 pep chromosome:OR_W1943:2:33148814:33155141:-1 gene:ORUFI02G38930 transcript:ORUFI02G38930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTLSVGKSVLNGALGYAKSAFAEEVALQLGIQKDHTFVADELEMMRSFMMEAHEEQDNSKVMKELRNKVEDVSQRNARYHLIKGSGSKATITSAEQSSVIAAAIFGIDDARRAAKQDNQRVDLVQLINKEDHDLKVIAVWGTSGDMGQTTIIRTAYENPAVQIRFPCHAWGSQNGEDSMKPVSISDVATTSTNDHTVAHGDIIDDQSMDADEKKVVRKSLTRIRTSVGASEESQLIGREKEMSEIIDLILKDNSQQVQVISVWGMGGLGKTTLVGGVYQSPKLSDKFDKYVFVTIMRPFNLVELLRSLAGRLHEGSSKKEELVENRVSSKKSLASMELDQLTKQLERLLEKKRSLIVLDDFSDTSEWDQIKPMLFPLLEKTSLIIVTTRKENIANHCSGKNGTVHNLLVLKPNDALCLLSEKVFEKATYLDEQKNPELVKEAKQILKKCDGLPLAIVVIGGFLANRPKTPEEWRKLNENISAELEMNPELGMIRTVLEKSYDGLPYHLKSCFLYLSIFPEDQIISRRRLVHRKMRFIRVLDFEGTRGLNYHHLDQIWKLIHLKFLSLRGCAGIDLLPDFLGNLRQLQMLDIRGTYVEALPKTIIKLQKLQYIHVGLKRDYVTGIKDDLMERRCKVGYLCATCCLPLLRDIDGPLHKALTRRDACTFACCVKFPSLMRGVYEENGTMVPKGIRNLKDLYTLRDVNIGRGNAILRDIGMLTGLHKLGVAGINKKNGRAFRLAISNLGKLESLSVSSAGMSGLCGCLDDISSPPENLQSLKLYGNLKTLPEWIKELQHLVKLKLVGARLLEHDVAMEFLGKLPKLEILVISPFQGEEFHFKPPQTGTAFGSLKVLKLADLWGTKSVKFEEGTMLKLERLQVEGLIKNEIGFSGLEFLLNINEVQLRFEFHFDEKRIRAARAAGADYETARAEEEQEARRKEGELKKKIREQLARNPNQPILRAIAMRHLKCRT >ORUFI02G38940.1 pep chromosome:OR_W1943:2:33157161:33159232:-1 gene:ORUFI02G38940 transcript:ORUFI02G38940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAYDRRRLARGVSRRRAITGELIDSSSVDCRTIFLCKFCSFATNGSDHSLNLEGLAIPSAPLPSSPSSPSSPTPRSRISSNTVTAPNSHCPHISSSSPVLSKPQTLSPVLSKPQTLSPPPRQAPPCTCKSIGCPVPEDISSEEAEEDEGKRILGLQPWIGIPHFKKQRGMGHGKATA >ORUFI02G38950.1 pep chromosome:OR_W1943:2:33159435:33160991:-1 gene:ORUFI02G38950 transcript:ORUFI02G38950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGCDVVTTDQVEVLPLLLRNVERNKSWISQSNSDSGSIGSVTVAELDWGNKDHIRAVDPPFDYIIGTDVVYSEHLLQPLMETIIALSGPKTKIMLGYEIRSTTVHEQMMQMWKSNFNVKTVSKSKMDVKYQHPSIHLYIMDPKATLIPEVSDNGNNEEEEVVSNPGDDEDPGAESGGPCTGSVDSAEAKTAGYLDDDWEIRRCGAMAARLLKDVKLA >ORUFI02G38960.1 pep chromosome:OR_W1943:2:33161834:33165468:1 gene:ORUFI02G38960 transcript:ORUFI02G38960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWGRRKRFQRGEAPSNGGGGGGGVGGGEEEWCVVSGGXAITAIPGGFLHLSLLASLRRRPSLQAHAQLLLLGLPLPAPTASRLLCPHLRSGNPSATLRLFLRVLRDRDRRPCPVDSQEDVPDSSSFSAALAACSHHASPSPGFSIHAFLLKSGFASDVFAANSLLHFYGSFGLHSLARNLFDEMPARDTVSFNTLISSYVHSCCSSDAFEVFRIMVDSGLRPDGWTVTALIGACAELQDLRAAKAVHGVARRMLEPRVFHSGEVATSLVDAYVKCRSMELARQVFDLAGDNARNARLWTTMVSGYARAQEFDIAQRLFHEMPEKDTVAWTALIGGFVRAGRYKEAVVLFEEMEEAGFEADEATIVTVLSACVGYGNIDLAKRLHCLVGRDGLINRNAKLATTFVDMYAKHGCIQTAQEVFSGVDDDFKTLELFNAMINGLARCKFGEKAIALFDEMGSLGLHPDKITHSGLVSQGFHIFDSMEEKYGVKPEIEHYTCMADLLARDGQLDDAYIFIQNMPFKANSVVWSSLVRACMLHGNIKIRKLAEEQLLWLDPNYKPENLPLSNLFSEGKRKERTARVRKFLNHKPNIAKTGISVSATHTSHPQTKLINLMLVGQLIFTGRGMSGDNTVHHIEMVAVAFGFIFNKDIWLNNRATSMKWKQEACPCMDNGDAFADLVNTGSAFSSCGLPFVYMVHAETKFVLSSVKRSRSPQVNLITEVMASEITAQQPRRKKKVAGEFSGLCSSTSVFSVDIHCKCNGCIKKINDGMKRISLSEEVEVVGTTDPEKLCCLLREVTKKQTEKRTESTVSEGGTATSQQTKGLLVGQVPRD >ORUFI02G38960.2 pep chromosome:OR_W1943:2:33161834:33165468:1 gene:ORUFI02G38960 transcript:ORUFI02G38960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWGRRKRFQRGEAPSNGGGGGGGVGGGEEEWCVVSGGXAITAIPGGFLHLSLLASLRRRPSLQAHAQLLLLGLPLPAPTASRLLCPHLRSGNPSATLRLFLRVLRDRDRRPCPVDSQEDVPDSSSFSAALAACSHHASPSPGFSIHAFLLKSGFASDVFAANSLLHFYGSFGLHSLARNLFDEMPARDTVSFNTLISSYVHSCCSSDAFEVFRIMVDSGLRPDGWTVTALIGACAELQDLRAAKAVHGVARRMLEPRVFHSGEVATSLVDAYVKCRSMELARQVFDLAGDNARNARLWTTMVSGYARAQEFDIAQRLFHEMPEKDTVAWTALIGGFVRAGRYKEAVVLFEEMEEAGFEADEATIVTVLSACVGYGNIDLAKRLHCLVGRDGLINRNAKLATTFVDMYAKHGCIQTAQEVFSGVDDDFKTLELFNAMINGLARCKFGEKAIALFDEMGSLGLHPDKITHSGLVSQGFHIFDSMEEKYGVKPEIEHYTCMADLLARDGQLDDAYIFIQNMPFKANSVVWSSLVRACMLHGNIKIRKLAEEQLLWLDPNYKPENLPLSNLFSEGKRKERTARVRKFLNHKPNIAKTGISVSATHTSHPQTKLINLMLVGQLIFTGRGMSGDNTVHHIEMVAVAFGFIFNKDIWLNNRATSMKWKQEACPCMDNGDAFADLVNTGSAFSSCGLPFVYMVHAETKFVLSSVKRSRSPQVNLITEVMASEITAQQPRRKKKVAGEFSGLCSSWQERCKANGLKTSVFSVDIHCKCNGCIKKINDGMKRISLSEEVEVVGTTDPEKLCCLLREVTKKQTEKRTESTVSEGGTATSQQTKGLLVGQVPRD >ORUFI02G38960.3 pep chromosome:OR_W1943:2:33161834:33165356:1 gene:ORUFI02G38960 transcript:ORUFI02G38960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWGRRKRFQRGEAPSNGGGGGGGVGGGEEEWCVVSGGXAITAIPGGFLHLSLLASLRRRPSLQAHAQLLLLGLPLPAPTASRLLCPHLRSGNPSATLRLFLRVLRDRDRRPCPVDSQEDVPDSSSFSAALAACSHHASPSPGFSIHAFLLKSGFASDVFAANSLLHFYGSFGLHSLARNLFDEMPARDTVSFNTLISSYVHSCCSSDAFEVFRIMVDSGLRPDGWTVTALIGACAELQDLRAAKAVHGVARRMLEPRVFHSGEVATSLVDAYVKCRSMELARQVFDLAGDNARNARLWTTMVSGYARAQEFDIAQRLFHEMPEKDTVAWTALIGGFVRAGRYKEAVVLFEEMEEAGFEADEATIVTVLSACVGYGNIDLAKRLHCLVGRDGLINRNAKLATTFVDMYAKHGCIQTAQEVFSGVDDDFKTLELFNAMINGLARCKFGEKAIALFDEMGSLGLHPDKITHSGLVSQGFHIFDSMEEKYGVKPEIEHYTCMADLLARDGQLDDAYIFIQNMPFKANSVVWSSLVRACMLHGNIKIRKLAEEQLLWLDPNYKPENLPLSNLFSEGKRKERTARVRKFLNHKPNIAKTGISVSATHTSHPQTKLINLMLVGQLIFTGRGMSGDNTVHHIEMVAVAFGFIFNKDIWLNNRATSMKWKQEACPCMDNGDAFADLVNTGSAFSSCGLPFVYMVHAETKFVLSSVKRSRSPQVNLITEVMASEITAQQPRRKKKVAGEFSGLCSSWQERCKANGLKTSVFSVDIHCKCNGCIKKINDGMKRISLSEVVHIFCLHFIDELSKFRPWYHLFTDEGVEWADLMMDKSRGGSGRDYGSREAMLSVA >ORUFI02G38970.1 pep chromosome:OR_W1943:2:33169726:33170929:1 gene:ORUFI02G38970 transcript:ORUFI02G38970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSKNGGGGGGEKKATTTEVVLTVAMHCKCNGCKDKIRNGVKELALVPGVEAVDKSAVESKGEVRLVVAAATAKPEKLKDRLHRVTGKKVDLLVIAPPKPAAAADDDDKAAAAEAVAALIRQAQAQAGVHVVPGAWAGGGAVAYPAWGMQQPEGGYYYSPSTYPAGGLVYPYAAAYPPPGQQLLGNGGGVVSPWYTHGY >ORUFI02G38980.1 pep chromosome:OR_W1943:2:33172189:33174545:1 gene:ORUFI02G38980 transcript:ORUFI02G38980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKKRTGGGEQPKPASDVAEDKPAEDTSPDVQQPHLNAATTTAAPGTDDKDASTKADDPKKDAKEKEKKPAALPVVTAVLNVDMHCDGCAKRIRASIRHYPGVEGVAMEVDKGTMTVVGRFDAKKLRDRVANKTKKKVDLLPNNKKAGDDNDNKNNKANECDGKPADKKQQQQEDDGDEAGKEDKKKKKEKEEQDDQKKKKAKDNKKPVVPVPGTVVLKIGAVGLHCDGCMNRIRTKLFHIQGVEQVAMEMAKNQVTVTGTMDIKALPEKLRKKLRRPVDVVPPGKQKDKDGGKDKDKEKQDGGKDGGGGGKDAAAKALTAEKEAWKAAFYDQQALLATEFMLSDENPNACSIA >ORUFI02G38990.1 pep chromosome:OR_W1943:2:33194737:33200703:1 gene:ORUFI02G38990 transcript:ORUFI02G38990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYTLSVLRLSGGWAPPAVSRVRHKEHKPDDLVSASIADGFLLRFFLPPPPPLAVAGGFYLSFALLVRPISCIAYDNGHLRLRSHRRRGSSGGGGVAVPSCPCRWPTSSALHLLPLQIAVLVRLDLSPSRAPPRRRRGRGEGGGGGADLGGAGADRQRAAAGACPRGGAAARPPHRPSLDGKLVQEVYIFEA >ORUFI02G38990.2 pep chromosome:OR_W1943:2:33194737:33200703:1 gene:ORUFI02G38990 transcript:ORUFI02G38990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGSAAAAAASPAVVGAVSVLALVYYSTVFVFLDHWLGLGNAAGAAHAAAFSLVVAACFFSFFCAAAADPGSVPASFAPDAEDPQRQGLKSRYCDKCCMYKPSRTHHCKVCKRCVLKMDHHCVWINNCVGYANYKSFIICVLNATVGSLYSFTEHEYNVPYVKVIHYREATRAKWLAQKSGQKYRHRFDLGTRKNIQMIMGPNILCWLCPTATGHLKDGTEFQITNN >ORUFI02G39000.1 pep chromosome:OR_W1943:2:33201479:33207468:1 gene:ORUFI02G39000 transcript:ORUFI02G39000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPAAAAGPTFEDLERDLQAVLMDQNHSATADELSMFRSGSAPPTVQGARTAVGSLFSAAPVHVDSFVDPSNGGVGDVLSDEEIRSHPAYLSYYYSNEHLNPRLPPPMVSKEDWRVAQRVQAVSGGVGDRRRRPSDVGGGSSLFSVQPGARHGNGEDLLVNDRMGGGERNGLTRQQSSEWLGSGADGLIGLSDSSGLGSRRKSFADALQENISRPASAASHLSRSNSRNAFDSPNPIRPDSSRAQLQSRSESMNGLRSGSTSPSLVRVQSLGSSISHNFASAVGSSISRSTTPDPQLIRRTPSPCLPPVGVRMGSTDKKVDGSAVASHNHDTADIAAALSSMNLSGSKMASLEAEVQNRAYQNFGDQTDVLFSVPKERRQLSQQKLAQNADEESINALEYAAFPNGSGNLNNSNMSKLSVDSRSKFPIQSPHGNANNKGSLVSPTGSVSLYQNLNGDNSNIDVSVRNNKIRSSSFGSSMLNNQLSADGEYVNLLSNQGGSGFQGQPMESMYAPYLQANSDSPLGAATNLNPFQGSSFSGSVPLDSPGYQKAYIASLLAQQKLQYGVPYLGKSGSLSPNIYGSDPAFGMGGMAYLSSPTSTPFISSPQGHVRQGDRLARISSMGKTTTGGPMGSWNSDNGLIDNGYGSSLLEEFKTNKTRSFELLDIVGHVVEFSSDQYGSRFIQQKLETASAEEKDTIFPEILPQARTLMTDVFGNYVIQKFFEYGTEPQKKQLASLLKGYVLQLSLQMYGCRVIQKALEVVEVEQQTQMALELDGNIMKCVRDQNGNHVIQKCIECIPQERIRFIISAFYGHVVELSTHPYGCRVIQRVLEHCNDENTQSTMMEEIMQSVVLLTLDQYGNYVIQHVLQHGKPDERSAIIKQLAGQIVKMSQQKFASNVVEKCLSFGSPEERQILINEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQNRELILSRIKVHLNALKRYTYGKHIVARVEKLIAAGERRSGVSSSSS >ORUFI02G39010.1 pep chromosome:OR_W1943:2:33209613:33213925:-1 gene:ORUFI02G39010 transcript:ORUFI02G39010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPPQCASSKPPSPPPPPPHPHEHEVGDDMAEEAPPPPPPPKLLYIAVSDAAARRAFRYTRPVLQGTLQLMGCKARHAFKISKRVFNVMRSEFLDASKSDTADNEENAPSLVKDVEMLKPKILEATLSSIPFELYKTQTTIVVSREKFLSVVCDALSSYKYVGPNQKADFLLACGIKERKESVTVLLCGTSGCGKSTLSSLLGSRLGITTVVSTDSIRHMMRGFTDEKQNPLLYASTYHAGECLDPVAVAQAKAKRKAQKLDIVSHPNTNEGRDDTSDDKAHHGSSELPPRTELIGSKQMAIEGFKAQSEMVIDSLDRLITSWEEQKQSVIVEGVHLSLNFVLIQRQGSSRNLMAILNTDGSVTKAWHVDKNNGNGSLDGTSSDKSTKNPMYDTFGKAEPVNLQFGSFGISAWMSDTGGTSHTGSVDDLRADGIETGGRYYSSCCSSPKVSDCPSKELMEDDYSVFGSEEDADDPPDAGTDEDLTDEERDMHEIEAGSVDEHSTKSDEEYDDLAMQDVMENGYWSDDEQAASSTKNSSNQEKNIHGAADGDVVDDEGSGNDRFHHNLAFFLKMSKKVAATELPCA >ORUFI02G39010.2 pep chromosome:OR_W1943:2:33209613:33213754:-1 gene:ORUFI02G39010 transcript:ORUFI02G39010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAPPPPPPPKLLYIAVSDAAARRAFRYTRPVLQGTLQLMGCKARHAFKISKRVFNVMRSEFLDASKSDTADNEENAPSLVKDVEMLKPKILEATLSSIPFELYKTQTTIVVSREKFLSVVCDALSSYKYVGPNQKADFLLACGIKERKESVTVLLCGTSGCGKSTLSSLLGSRLGITTVVSTDSIRHMMRGFTDEKQNPLLYASTYHAGECLDPVAVAQAKAKRKAQKLDIVSHPNTNEGRDDTSDDKAHHGSSELPPRTELIGSKQMAIEGFKAQSEMVIDSLDRLITSWEEQKQSVIVEGVHLSLNFVLIQRQGSSRNLMAILNTDGSVTKAWHVDKNNGNGSLDGTSSDKSTKNPMYDTFGKAEPVNLQFGSFGISAWMSDTGGTSHTGSVDDLRADGIETGGRYYSSCCSSPKVSDCPSKELMEDDYSVFGSEEDADDPPDAGTDEDLTDEERDMHEIEAGSVDEHSTKSDEEYDDLAMQDVMENGYWSDDEQAASSTKNSSNQEKNIHGAADGDVVDDEGSGNDRFHHNLAFFLKMSKKVAATELPCA >ORUFI02G39020.1 pep chromosome:OR_W1943:2:33217480:33221410:-1 gene:ORUFI02G39020 transcript:ORUFI02G39020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKDQNFHWGYDLFRDPFAPIGYYGPPHGYGDGNYCDLHYARDASHLDETHLHSSALTYDLYNPSVGIYHPGNAGEHDHDTVYIEPTSSNSCPDTDDSFPMDEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLRLYELVEHKVKGDGNCQFRALSDQLYQSPDHHEFVRQQIMSQLKSNRDAYDGYVPMAYDDYLEKVSQNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNDDEEEEMVAVLSQPPPSPPLMPGLQSFSSLIKMTQVDQDDPERKLGLLFCREVGKD >ORUFI02G39020.2 pep chromosome:OR_W1943:2:33218387:33221410:-1 gene:ORUFI02G39020 transcript:ORUFI02G39020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKDQNFHWGYDLFRDPFAPIGYYGPPHGYGDGNYCDLHYARDASHLDETHLHSSALTYDLYNPSVGIYHPGNAGEHDHDTVYIEPTSSNSCPDTDDSFPMDEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLRLYELVEHKVKGDGNCQFRALSDQLYQSPDHHEFVRQQIMSQLKSNRDAYDGYVPMAYDDYLEKVSQNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNGECAEVADDEEEEMVAVLSQPPPSPPLMPGLQSFSSLIKMTQVDQDDPERKLVLIVLLV >ORUFI02G39020.3 pep chromosome:OR_W1943:2:33218485:33221410:-1 gene:ORUFI02G39020 transcript:ORUFI02G39020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKDQNFHWGYDLFRDPFAPIGYYGPPHGYGDGNYCDLHYARDASHLDETHLHSSALTYDLYNPSVGIYHPGNAGEHDHDTVYIEPTSSNSCPDTDDSFPMDEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLRLYELVEHKVKGDGNCQFRALSDQLYQSPDHHEFVRQQIMSQLKSNRDAYDGYVPMAYDDYLEKVSQNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNDAPRSQTTRKRRWWPFSHNHHHHHH >ORUFI02G39030.1 pep chromosome:OR_W1943:2:33221426:33222911:-1 gene:ORUFI02G39030 transcript:ORUFI02G39030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGCPPPPERSLAAAAAAHRRCHPPPPPLLRRGRASPAASRANPLTDARNFVVGGAHAGSVFDRA >ORUFI02G39040.1 pep chromosome:OR_W1943:2:33228946:33231374:-1 gene:ORUFI02G39040 transcript:ORUFI02G39040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLPFLHGDSKEEDPVNKSASVRSLSTTSTERDVRSGSDFNSLNVSDMSAESIRRTQYPSFTDRPSNLRVFSFSELKNATRNFSRSLMVGEGGFGCVYRGVIKNSDEPTERTEIAVKQLNRKGLQASYFSSELYRLQAFNAHSNFLLLGQKEWLTELNVLGIVEHPNLVKLIGYCAEDDERGVQRLLVYEYMPNGSVDDHLSSRSNSTLSWPMRLKVALDAARGLMYLHEEMEFQVIFRDLKTSNILLDENWNAKLSDFGLARHGPSEGLTHVSTAIVGTLGYAAPEYMQTGRLTAKSDIWGYGVLLYELITGRRPIDRNRPKGEQKLLDWVKPYISDIKRFPIIIDPRLEGHYNLKSMTKLASVANRCLVRLPKSRPKMSEVYEMVQKIVASIETGTPQPPLHYHGSVSEPGSKRPKKGSLKRRFQEFKFGCRQIVWRGWKPEIIKTC >ORUFI02G39050.1 pep chromosome:OR_W1943:2:33237776:33238846:-1 gene:ORUFI02G39050 transcript:ORUFI02G39050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFLPLVRRGLAGVLNQSPAPASTRGFLFPAPVTAGIRSLQTIMEASNNASDDRNQDIEDSKTDTVPATVPSSDSGFKVRDTSNLKISPRHDLAMIFTCKVCETRSMKMASKESYEKGVVVARCGGCNNFHLIADRLGWFGEPGSIEDFLAEQGEEVKKGSTDTLNFTLEDLVGSQANDKGPSDKK >ORUFI02G39060.1 pep chromosome:OR_W1943:2:33243059:33244333:1 gene:ORUFI02G39060 transcript:ORUFI02G39060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLWKRKPKPNPEPEEKEKEEEKDHRMCKTKSSVATASMAASASTATTPRKHQHQHQRSPRATATQYSTTSSSSAPSTATATSSSTAASLQALRDSLPDLPLLLTFHELAAATANFSSSHRLAPNSTSFRCSLRGHSAAVFRRPLRRDQAHVAARLAALGHCHHAAIARLLGAAASPDGSLFLAYELLPDASPLSALLRNPNSPSFTPLASWQSRLKVAADVADALHYVHLQADTVHNRLSASTVLVSGDGPTLRAKIAHFGAADLAGELLGDRRGRRIEGTRGYMAPELIAGAAPSRRSDVYALGVVLLELVSGQEAVRYEQNKATGEYERTSVIESAEAAAEGGGGEAMRRWVDRRLRDSFPVEAAEVMTAVALRCVARDAAARPDMSWVAAKVSKLFLEAQDWSDKFRIPTDISISIAPR >ORUFI02G39070.1 pep chromosome:OR_W1943:2:33246082:33249518:-1 gene:ORUFI02G39070 transcript:ORUFI02G39070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVLLDDIIRRLIEVKNLKPGKNAQLSESEIKQLCATSKEIFLNQPNLLELEAPIKICGDVHGQYSDLLRLFDYGGYPPQSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVAALIDEKILCMHGGLSPELNKLDQILNLNRPTDVPDTGLLCDLLWSDPSNDAQGWAMNDRGVSYTFGPDKVSEFLEKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDDTLMCSFQILKPARKMLGGSTNSKSGFKRVVTMSKAVI >ORUFI02G39070.2 pep chromosome:OR_W1943:2:33246082:33249518:-1 gene:ORUFI02G39070 transcript:ORUFI02G39070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVLLDDIIRRLIEVKNLKPGKNAQLSESEIKQLCATSKEIFLNQPNLLELEAPIKICGDVHGQYSDLLRLFDYGGYPPQSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVAALIDEKILCMHGGLSPELNKLDQILNLNRPTDVPDTGLLCDLLWSDPSNDAQGWAMNDRGVSYTFGPDKVSEFLEKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDDTLMCSFQILKPARKMLGGSTNSKSGFKRVVTMSKAVI >ORUFI02G39080.1 pep chromosome:OR_W1943:2:33253338:33255689:1 gene:ORUFI02G39080 transcript:ORUFI02G39080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYSTDSKKVGFLSFLNFFHNSTAFSNLIGCCLFILPSYLVGHYIEHHHLVSPFIPENQYICCISSIIKPGLSSSVAHLLVCLSSCLQQTMDADRDPIFPVQQMPSLLFPPPPPRPLALDSTSSASSSFVPHHPSITSFPILVLTVLGILTTSVLLLTYYIFVIRCCLNWNSSSSSDTRTAGLISRRRRGAASSSLPAVAEPRGLEEAAIQSLPAFRYRKAIKDTTADSSECAVCISEFQEEERVRLLPSCLHVFHVDCIDTWLQGNANCPLCRAAIATNDSQLPLDQFVRPEEVVIQVITGAEEEGAQAPQQEANTAASDPAVDATSTNQQVSSKKTKNQNAWHVSISKGDECIAVRRDRNVLPLRRSFSMDSLGGAGEVHLQIQNILQRSTHFHRDISDSSSSSTGTL >ORUFI02G39090.1 pep chromosome:OR_W1943:2:33253402:33254805:-1 gene:ORUFI02G39090 transcript:ORUFI02G39090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVAGTAVMASVAPGRWGPCLHPWFAANTSLSTQAVANEVGGKNEKAAPDQVGKCSGVVKEIEEGKKPNLQSKSKSRTAAVGAIVACTTAQHKENELFAHTPCRDGDAVYDDHGTGGDGGLMFWMRLKCGRVTGTRLWRLRVPVHIAIADLQICRMRGREILVLIQLKLQEWEAGELEGTTSLQY >ORUFI02G39090.2 pep chromosome:OR_W1943:2:33253402:33259074:-1 gene:ORUFI02G39090 transcript:ORUFI02G39090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAASLLLRPRLRAPVPIPTPKTPNPLLLPPRRHFSQLPPPPVPASAAAAAAAVAEEAEEAFEAAKGTKDLLAAFSRLEAVMPPSDKRLALACIKLGQHLEASGAADPSRVLALALRSLGILEATPNSATSTTASHSDAVSLAMALHLAGSASFDLSRFHDALSFLTRSLRLVSPLLPSSSSAAAASGDDDAQGFDVRPVAHAVRLQLANVKTALGRREEALADMRACLDLKESILPPGSRELGAAYRDLAEAYSTVLDFKEALPLCEKALELHQSTLGKNSVEVAHDRRLLGVIYTGLEQHEQALQQNEMSQKVMKSWGVAGDELLHAEIDAANIKIALGKCDEAVTVLRNVSKQVEKDSEIRALVFISMAKALANREKAGDTKRCLEIACDILEKKELAAPDKVAEAYVEVSSLYEMVNEFDKAISLLKRSLGMLERIPQAQHMEGNVAARIGWLLLLTGKVSEAVPYLEDAVERMKDSFGPKHYGVGYVYNNLGAAYMEIGRPQSAAQMFALAKEVMDVSLGPHHSDTIEACQSLANAYNAMGSYALAMEFQKRVVDSWRNHGPSARDELKEAIRLYEQIKIKALSCLSPENSAIALPEPLEKELQEWEAGELEGTTSLQY >ORUFI02G39090.3 pep chromosome:OR_W1943:2:33255355:33259074:-1 gene:ORUFI02G39090 transcript:ORUFI02G39090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAASLLLRPRLRAPVPIPTPKTPNPLLLPPRRHFSQLPPPPVPASAAAAAAAVAEEAEEAFEAAKGTKDLLAAFSRLEAVMPPSDKRLALACIKLGQHLEASGAADPSRVLALALRSLGILEATPNSATSTTASHSDAVSLAMALHLAGSASFDLSRFHDALSFLTRSLRLVSPLLPSSSSAAAASGDDDAQGFDVRPVAHAVRLQLANVKTALGRREEALADMRACLDLKESILPPGSRELGAAYRDLAEAYSTVLDFKEALPLCEKALELHQSTLGKNSVEVAHDRRLLGVIYTGLEQHEQALQQNEMSQKVMKSWGVAGDELLHAEIDAANIKIALGKCDEAVTVLRNVSKQVEKDSEIRALVFISMAKALANREKAGDTKRCLEIACDILEKKELAAPDKVAEAYVEVSSLYEMVNEFDKAISLLKRSLGMLERIPQAQHMEGNVAARIGWLLLLTGKVSEAVPYLEDAVERMKDSFGPKHYGVGYVYNNLGAAYMEIGRPQSAAQMFALAKEVMDVSLGPHHSDTIEACQSLANAYNAMGSYALAMEFQKRVVDSWRNHGPSARDELKEAIRLYEQIKIKALSCLSPENSAIALPEPLEKEDQKLPYWPPAVVLVHLLLPRQ >ORUFI02G39100.1 pep chromosome:OR_W1943:2:33260406:33261764:-1 gene:ORUFI02G39100 transcript:ORUFI02G39100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVVDKLTVAASPPADGGVLPLTFFDVPWIFTGPVERVFLYTYPHAVEHLAAHLLPSLASSLSAALHRFYPLLGRVRPCSSGGGGGGYEFCSTGGDADRVELTVAESGDDFEELAGGGPMDVGRLYSLVPRLPRPEEGSSELAAVQVTVFPGKGLAVGVSIHHVACDDSSFMHFVKTWAANCRVASGGDVDAVPPPPPPFLDRGVVADPDGLAAKTLDQMRQLANSGPPPPPPSGPPPKLFMASFTLTRDSIDKLKQRVTASGGGGVHCSAFTVACAYAWTCLARVDATSAARERAHLLFSVECRRRLTPPVPQEYLGNCLRPCFVEVDTAGLLGSGADGVVTAAVAIGAAIRGLDDGVLDGADGWFQKIVSLMPHRPMSIGGAPPAGGYTDFGLGRPAKVELLSIDKTPGTVSMAEARDGHGGIEIGVALPEADMARFSSCFADGLKQL >ORUFI02G39110.1 pep chromosome:OR_W1943:2:33265814:33266570:-1 gene:ORUFI02G39110 transcript:ORUFI02G39110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATAAGAAAAAAGTGAGSPCGACKFLRRRCVPECVFAPYFSSEQGAARFAAIHKVFGASNASKLLSHLPVADRCEAVVTITYEAQARLRDPVYGCVAQIFALQQQVAILQAQLMQARAQLACGIQSSSHSPVSWPDSGSISALLRQDMARRPPGGALDDCFGGGGALLPELMAAGFKDDVAAVQMQQHCSKAVDAGELQYLAQAMMRSTSNYSQ >ORUFI02G39120.1 pep chromosome:OR_W1943:2:33269124:33272003:-1 gene:ORUFI02G39120 transcript:ORUFI02G39120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDLFEGLPPPAAAAAPAAGGERAPSPSPPPPPRLPALKSALKRDKLSSSDAAASSPAAAAATDAAAEGRAPEKRLRFRTTVDASETQVIDAMHKITSHIRNPSKFSKASKLALQLIEAGSVKPGTISHFFAILEAAMSSPGACNEPSVRADYQALFNAAQGVTECFNQQKKNQFDIWVLHAVVANDLFTDDSFVFSKAVGKIKDAISALPITTVDDDNDEAAALAAVESNNTDDNPQAAASNSLPDDSTHAAASNSSEESSDPFGLDGLLEHKSKKSEKAREKTVAALNRKADEDESKRFLKSQREALLKCLEIAARRYRIPWTQTAIDIFAKHAYDNMNRFTTQQRDAITKLWNSIKEQQIRRKQGKSVSGKLDVNAFEYLQEKYSHEKISIRHAVGGGGERRATQWLG >ORUFI02G39130.1 pep chromosome:OR_W1943:2:33272177:33276305:1 gene:ORUFI02G39130 transcript:ORUFI02G39130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNLQRPTLRALLDHSIASPNRSPLSPLHRRRSPPPPAPSPRRLPELRCCHQPTQRRLLLLLRHPPIAAAWFVGEPRALTEVDLSRRPKKEAMNSRRQVLHTNFSINYRSTRTSLFDGIEEGRIRATSYSSHEIDENENDQAIDGLQDRVSILKRLSGDIHEEVETHNRMLDRMGNGMDSSRGFLSGTVDKFKMVFETKSSRRMGTLVASFVALFLLVYYLTR >ORUFI02G39130.2 pep chromosome:OR_W1943:2:33272177:33276305:1 gene:ORUFI02G39130 transcript:ORUFI02G39130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNLQRPTLRALLDHSIASPNRSPLSPLHRRRSPPPPAPSPRRLPELRCCHQPTQRRLLLLLRHPPIAAAWFVGEPRALTNYRSTRTSLFDGIEEGRIRATSYSSHEIDENENDQAIDGLQDRVSILKRLSGDIHEEVETHNRMLDRMGNGMDSSRGFLSGTVDKFKMVFETKSSRRMGTLVASFVALFLLVYYLTR >ORUFI02G39140.1 pep chromosome:OR_W1943:2:33279944:33282111:1 gene:ORUFI02G39140 transcript:ORUFI02G39140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNKTANNPGEEHAGEDGEATLLLPPLLLELSKLATPTKPPLHIQAARVKLANRSWWSGPMGLPEQPSGSSGPKAELPVAKEPEASPTGGAAADHADENNESGGGEPREGAVVAAPNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVADAIAQFSRRRQRGVCVLSGAGTVANVALRQPSAPGAVVALHGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGSLIAAGPVMVIASTFANATYERLPLEEEEEGSGPPMPGGAEPLMAGGHGIADPSALPMFNLPPSNGLGGGGDGFPWAAHPRPPY >ORUFI02G39150.1 pep chromosome:OR_W1943:2:33288611:33293425:1 gene:ORUFI02G39150 transcript:ORUFI02G39150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLVHWMMPPRFRCQDYLLPLLLALSPAAAAAREVEYHHCHCDGGGGGGLWSMDSIFRWQKVSDLLIAAAYFSIPLEILYFVAGLRHLLPFRWVLVQFGAFIVLCGLTHLLTAFTYEPHPFMVVLLLTTAKFLTALVSFLTAITLLTLIPQLLRVKVRESLLWLKARELDREVVLMKRQEEASWHVRMLTHEIRKSLDRHTVLYTTLIELSRVLGLTNCAVWMPAAGEMCLTHELRRDGGGEDGVVGVDDADVVEVRGSDGVKLLGPDSVLAAASGGKEEGTGAVAAIRMPMLKVSDFKGGTPEVIQTSYAVLVLVPPAGKSWGRHEMEIVEVVAGQVAVALSHATLLEESRAMRDRLAEQNRELLQARRDALMANEARQAFQGVMSQGMRRPIHSILGLVSMVQEEALAPEQRLVVDTMARTATVVSTLVNDVMEMSADSRERFPLETRPFHLHAMIRDAACVARCLCDFRGFGFAVHVENALPDLVVGDERRIFHVLLHMVGNLIGRTEPGHVTLRVRAADDDVLDDRLGQRWDPWWPSYSTGYSSVKFVIGVKRQQNGDAGSPLSRRPSGKGIDLRLSFSMCRKLVQMMQGNIWAILDPQGLPESMTLVLRFQLQSPLTSSSLGGSFEQKHSSPSCQIAGLKVLLIDDDDDINLVVARKLLEKLGCVVSSPPSGSGFLSSVGSSAAAFQLVMVNLEMKRVKALDVATRISQYRSGRWPIVMAMASDQKAWEKCAQSGINGILKKPVILQELKDELARILQST >ORUFI02G39150.2 pep chromosome:OR_W1943:2:33289324:33293425:1 gene:ORUFI02G39150 transcript:ORUFI02G39150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTWTPGCFQGNKILLRSLITWYYLEFMPKLRPFYFLFYLTLPSCATDSPPISDKSSSIFLPLAQQQQLVHWMMPPRFRCQDYLLPLLLALSPAAAAAREVEYHHCHCDGGGGGGLWSMDSIFRWQKVSDLLIAAAYFSIPLEILYFVAGLRHLLPFRWVLVQFGAFIVLCGLTHLLTAFTYEPHPFMVVLLLTTAKFLTALVSFLTAITLLTLIPQLLRVKVRESLLWLKARELDREVVLMKRQEEASWHVRMLTHEIRKSLDRHTVLYTTLIELSRVLGLTNCAVWMPAAGEMCLTHELRRDGGGEDGVVGVDDADVVEVRGSDGVKLLGPDSVLAAASGGKEEGTGAVAAIRMPMLKVSDFKGGTPEVIQTSYAVLVLVPPAGKSWGRHEMEIVEVVAGQVAVALSHATLLEESRAMRDRLAEQNRELLQARRDALMANEARQAFQGVMSQGMRRPIHSILGLVSMVQEEALAPEQRLVVDTMARTATVVSTLVNDVMEMSADSRERFPLETRPFHLHAMIRDAACVARCLCDFRGFGFAVHVENALPDLVVGDERRIFHVLLHMVGNLIGRTEPGHVTLRVRAADDDVLDDRLGQRWDPWWPSYSTGYSSVKFVIGVKRQQNGDAGSPLSRRPSGKGIDLRLSFSMCRKLVQMMQGNIWAILDPQGLPESMTLVLRFQLQSPLTSSSLGGSFEQKHSSPSCQIAGLKVLLIDDDDDINLVVARKLLEKLGCVVSSPPSGSGFLSSVGSSAAAFQLVMVNLEMKRVKALDVATRISQYRSGRWPIVMAMASDQKAWEKCAQSGINGILKKPVILQELKDELARILQST >ORUFI02G39160.1 pep chromosome:OR_W1943:2:33294469:33296347:-1 gene:ORUFI02G39160 transcript:ORUFI02G39160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYLLGPIKPIKSGANLGYKSEPPRRRRTVPQAAATTTPPEHSDAPPRLHRLRYSEMATVPEPLIWEIVKKNNCFLVKQFGNSNAKVQFTKEPNNLYNVHSYKHSGLANKKTVTIQPSGGKDAAVVLSTTKTKKQNAPAKLYHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSSVYRSLQVAKSGVKKKNRQPAKL >ORUFI02G39170.1 pep chromosome:OR_W1943:2:33299225:33299812:-1 gene:ORUFI02G39170 transcript:ORUFI02G39170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKTSKDEVIGGRSPSGDGDKAEEELREARSGDDDDDDEAGTRQPYNCTFCRRGFPTAQALGGHMNVHRKDRVGRATPSSSSSTTAAAARRSVSYDTLVRLFRPPASGGSEDAAASTAAGGGGSLRSRTAEPAPQELRLFGRGAGRREEGGGRDRRDRYGCCSKDGDGNGGHDHGEEEELDLELRLGGSGSAGS >ORUFI02G39180.1 pep chromosome:OR_W1943:2:33301410:33303298:-1 gene:ORUFI02G39180 transcript:ORUFI02G39180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRERRRSMSSSSSGRRTPELERERWAPWSPAPTWSRPLSVGGGGSRAASLKSLFRTIGLWFSSLSTSSSSSSSAAATSASGSNAKRRSRREPNDLIKKPPLPGPGSDQGKASMRGLYNSSRGRGIATQFQSSVFSMEEILRATNNFSPALKVGQGGFGAVYRGVLPDGTLVAVKRAKLRDQNPHVDVEFRSEVKAMARIEHQSLVRFYGYLECGQERVIVVEFVPNGTLREHLDRCNGRFLDMGARLEIAIDVAHAVTYLHMYADHPIIHRDIKSSNVLLTPSLRAKVGDFGFARLGVGEAGAADGVTHVTTQVKGTAGYLDPEYLKTCQLTDRSDVYSFGVLLLEITSGRRPIEARREMRERLTARWAMRKLAEGAAADVLDPHLPRTPATARAAEMVMELAFRCLAPVRQERPSMGECCRALWAVRKTYRDMVVAAAGDETPLSSISDRASSSSAGTGGDRSGELWRN >ORUFI02G39190.1 pep chromosome:OR_W1943:2:33305537:33308330:1 gene:ORUFI02G39190 transcript:ORUFI02G39190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVQDDETGALVAAVGKGDEDDDDDDAVAGEEDEDEDDAPVVRTARGAWEVFAAESRRLWAIGAPIAFNVICLYGTNSTTQIFVGHIGNRELSAVAIGLSVVSNFSFGFLLGMGSALETLCGQAFGAGQVAMLGIYMQRSWIILAASAALLSPLYVFAGPILRLLGQEESIAAAAGEFTVRIIPQMFALAINFPTQKFLQAQSKVTVLAWIGFAALLAHVGLLALFVSALGWGIAGAAAAYDVSSWLTALAQVAYVVGWCRDGWTGLSRKAFNELWAFVKLSLASAVMLCLEICMNINGWEGMLFIGLNAAISVRVSNELGSGRPRATMHAVVVVLVQSLAFGLLAMVLILATRNHFAVIFTGDRHLQKAVANIAYMLAVTMGVVAYINLACYYGFGLPLGFIFGYLFRWGVKGIWAGMLCGTAMQTAILMYMVCKTDWEAESVQALERVRLWGGQPEHEKLPTSEPEETII >ORUFI02G39200.1 pep chromosome:OR_W1943:2:33309271:33312530:1 gene:ORUFI02G39200 transcript:ORUFI02G39200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGFGRGGRGDGGGRSGGGGRGFGRGGDSGGRGGRGRGGGRTPRGRGGGRGGGRGGMKGGSKVVVVPHKHNGVFIAKAKEDALCTKNMVPGESVYGEKRISVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGAASGTTVSHVSDIVGPTGLVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPARYRMLVGMVDVIFSDVAQPDQARILALNASYFLKNGGHFVISIKANCIDSTMPAEAVFASEVEKLKADQFKPSEQVTLEPFERDHACVVGGYRMPKKQKGTS >ORUFI02G39210.1 pep chromosome:OR_W1943:2:33312577:33316264:1 gene:ORUFI02G39210 transcript:ORUFI02G39210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTTENGARGTLLGRKERERLGGPLDQRENRSRTQWRGERKRRKMEPDQSGAEQTSPRGNDWEVVQLTASTYASAPGPRMSEPSDEAEVKGYDTKGDDSAAALLMSGHFSVSPSEVESLLRGTDGKEHQKELSGQDAVSAEGDDEKFQDTCEHKLKDDLHWIPSFDKGKNLSLVDMEFDKAFQGMGLVGEEPLGFSSSRYNPIDANNEKKTEEPTVQNVNRVIDSSKVVASSEQNKPDDSEFPHEASWKKQLLSLYKNVRKSNKFWPIVVATALVGVTCFWRRWQKGKLQHQPVKLYPSSNEKINQAVGPLNRIKDILVANNHPAPAIHGHARLS >ORUFI02G39210.2 pep chromosome:OR_W1943:2:33314000:33316264:1 gene:ORUFI02G39210 transcript:ORUFI02G39210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDFDYRKMEPDQSGAEQTSPRGNDWEVVQLTASTYASAPGPRMSEPSDEAEVKGYDTKGDDSAAALLMSGHFSVSPSEVESLLRGTDGKEHQKELSGQDAVSAEGDDEKFQDTCEHKLKDDLHWIPSFDKGKNLSLVDMEFDKAFQGMGLVGEEPLGFSSSRYNPIDANNEKKTEEPTVQNVNRVIDSSKVVASSEQNKPDDSEFPHEASWKKQLLSLYKNVRKSNKFWPIVVATALVGVTCFWRRWQKGKLQHQPVKLYPSSNEKINQAVGPLNRIKDILVANNHPAPAIHGHARLS >ORUFI02G39220.1 pep chromosome:OR_W1943:2:33318123:33322192:1 gene:ORUFI02G39220 transcript:ORUFI02G39220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGSEMRPVHNSVDTVNAAAVAIVTAESRTQPQAEPLRLLYLVGKIEELIGKRRKWADWLSVYFCFGSQKNGRRISHAVLVPEPLPPRTDAPMPEIPIHPPPPVFPFVAPPSSPASFLQSGGASIVQSPVGAPSFSPLSPNSPSPTGPPSIFAIGPYAHETQLVSPPVFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPYAKLLTSINNSKNAETGELQSYQIYPESPIGRLISPSSACSGTCSPFPDPEVQTSSRSTFPSFPVREPPKILDGEGIATQKLIPRHMRNGGSLLDGHISAAVPVVDFSARLQNNDHAMDHRVSFELTVEDVARCLEKKTNINGESAAASFRLVPTGNGDHIHPRESNDTRAGLCVDETYHDLPEKARRSLSLRKAKEFKFNNVDAPSVEPSVGSDWWANEKVAGITSEPRKSWSFFPVAQPGVS >ORUFI02G39230.1 pep chromosome:OR_W1943:2:33324351:33328431:1 gene:ORUFI02G39230 transcript:ORUFI02G39230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPTVKVALGTAAFGIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLFGVMSADEAYAAVDLPILGLLFGTMVVSVYLERADMFKHLGRLLSWRSQGGKDLLVRTCVVAALASALFTNDTCCVVLTEFILKIARQNNLPPKPFLLALASSANIGSAATPIGNPQNLVIAVQSGISFGQFVFGILPATLVGAVVNAAILLCLYWRHLSDEKCVEVVAPVPTDVVEEEDVTSHRFSPATMSHPRSSSHHHHHHQPGSSLSSPDCEVFEPVKPVTVTSNGDSNNKPDAADAAVVVGIHQRRGGVGGGVRMKEEHAFRWVEEKEEAMEQWKSTVWKTGVYVITLSMLVALLLGLNMSWSAITAALALIVLDFKDARPCLEKVSYPLLLFFCGMFITVDGFNKTGIPSAFWEFMEPYARIDTPTGIVILALVILLLSNVASNVPTVLLLGARVAASAAAISPAAETNAWLILAWVSTVAGNLSLLGSAANLIVCEQARRSEQYGYTLSFFSHLQFGFPATLIVTGIGLLLIRSN >ORUFI02G39230.2 pep chromosome:OR_W1943:2:33324485:33328431:1 gene:ORUFI02G39230 transcript:ORUFI02G39230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPTVKVALGTAAFGIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLFGVMSADEAYAAVDLPILGLLFGTMVVSVYLERADMFKHLGRLLSWRSQGGKDLLVRTCVVAALASALFTNDTCCVVLTEFILKIARQNNLPPKPFLLALASSANIGSAATPIGNPQNLVIAVQSGISFGQFVFGILPATLVGAVVNAAILLCLYWRHLSDEKCVEVVAPVPTDVVEEEDVTSHRFSPATMSHPRSSSHHHHHHQPGSSLSSPDCEVFEPVKPVTVTSNGDSNNKPDAADAAVVVGIHQRRGGVGGGVRMKEEHAFRWVEEKEEAMEQWKSTVWKTGVYVITLSMLVALLLGLNMSWSAITAALALIVLDFKDARPCLEKVSYPLLLFFCGMFITVDGFNKTGIPSAFWEFMEPYARIDTPTGIVILALVILLLSNVASNVPTVLLLGARVAASAAAISPAAETNAWLILAWVSTVAGNLSLLGSAANLIVCEQARRSEQYGYTLSFFSHLQFGFPATLIVTGIGLLLIRSN >ORUFI02G39240.1 pep chromosome:OR_W1943:2:33331107:33334515:1 gene:ORUFI02G39240 transcript:ORUFI02G39240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQEMQVKGVQVEELYSLDVDSISELRPVYGLIFLFKWMAGEKDERPVVKDPNPNLFFASQVIPNACATQAILSILMNRPEIDIGPELSNLKEFTGAFAPDMKGLAINNSDSIRTAHNSFARPEPFVSDEQRAAGKDDEVYHFISYLPFEGVLYELDGLKEGPISLGQCSGGPDDLDWLRMVQPVIQKRIERYSQSEIRFNLMAIIKNRKDVYTAELKELEKRRDQLLQEMNESSAAESLNSELAEVTSAIETVSEKIIMEEEKFKKWRTENIRRKHNYIPFLFNFLKMLAEKKQLKPLVEKAKQQKASSTSTSAR >ORUFI02G39240.2 pep chromosome:OR_W1943:2:33331262:33334515:1 gene:ORUFI02G39240 transcript:ORUFI02G39240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQEMQVKGVQVEELYSLDVDSISELRPVYGLIFLFKWMAGEKDERPVVKDPNPNLFFASQVIPNACATQAILSILMNRPEIDIGPELSNLKEFTGAFAPDMKGLAINNSDSIRTAHNSFARPEPFVSDEQRAAGKDDEVYHFISYLPFEGVLYELDGLKEGPISLGQCSGGPDDLDWLRMVQPVIQKRIERYSQSEIRFNLMAIIKNRKDVYTAELKELEKRRDQLLQEMNESSAAESLNSELAEVTSAIETVSEKIIMEEEKFKKWRTENIRRKHNYIPFLFNFLKMLAEKKQLKPLVEKAKQQKASSTSTSAR >ORUFI02G39250.1 pep chromosome:OR_W1943:2:33335244:33340139:1 gene:ORUFI02G39250 transcript:ORUFI02G39250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGSPYASSPESAPKRAPRSSPPPPQQQASSEVTSKYKKYKVLLIHLEWKDDKEKPTHLRFLVSNTAAGCIIGKGGSTINEFQSQSGARIQLSRSHEFFPGTNDRIIMVSGLFDEVIKAMELILEKLLAEGEESNEAEARPKVRLVVPNSSCGGIIGKGGSTIKSFIEDSHAGIKISPQDNNFVGLHDRLVTVTGPLDHQMRAIYLILSKLSEDVHYPPNLSSPFPYAGLGFPSYPGVPVGYMIPQVPYNNAVNYGPNGYGGRYQNNKPSTPMRSPANNDAQDSLTIGIADEHIGAVVGRAGRNITEIIQASGARIKISDRGDFIAGTSERKVTITGTSEAIQAAESMIMQRVTASSER >ORUFI02G39260.1 pep chromosome:OR_W1943:2:33340859:33349852:1 gene:ORUFI02G39260 transcript:ORUFI02G39260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSPPDSSSAAAVPLAPGFRFHPTDEELVSYYLRRRILGRRLRIDAIAEVDLYRLEPWDLPSLSRIRSRDAQWYFFARLDRKVTGAGAGGRGGPGNRTNRATPRGYWKTTGKDRDVHHRGKLVGMKKTLVFHSGRAPKGQRTNWVMHEYRLLDADGTQDLHVVCRIFQKNGSGPQNGAQYGAPYLEEDWEEEDDAIENMPASGAFAEMAATQEYQPEITPVKAQGSNEETNGGGYSCDVFSLDEILQEPENVCKNEEQNAIDDKFTIAELSGYPRQDDGYVGENGPVNWIDPSNGPSDNQNLYLQDDGLTSSHQVGDNMPFYDASSNHKWVDGKDDYLNLNDLLYPPAENQPLFDAGDDLMAYFDATEDDFKFDIMGTEDSNSQLPDMSNFVQKDDNNNKFTLDGISNTALYGASSSGSHGNMYPDTAVPDMPMDDTVDKSFGKRLASMLGSIPAPPAMASEFPPSTGKSVVPLSAVNPSSSIRVTAGIIQLGGITFTGSTEHLQKNGDFNLLLSFTVEGDVSTKSIGFEPDTQMSTTPMVLRSGMYLFFVSAMILMLSYKVGLCIYSR >ORUFI02G39260.2 pep chromosome:OR_W1943:2:33340859:33345557:1 gene:ORUFI02G39260 transcript:ORUFI02G39260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSPPDSSSAAAVPLAPGFRFHPTDEELVSYYLRRRILGRRLRIDAIAEVDLYRLEPWDLPSLSRIRSRDAQWYFFARLDRKVTGAGAGGRGGPGNRTNRATPRGYWKTTGKDRDVHHRGKLVGMKKTLVFHSGRAPKGQRTNWVMHEYRLLDADGTQDLHVVCRIFQKNGSGPQNGAQYGAPYLEEDWEEEDDAIENMPASGAFAEMAATQEYQPEITPVKAQGSNEETNGGGYSCDVFSLDEILQEPENVCKNEEQNAIDDKFTIAELSGYPRQDDGYVGENGPVNWIDPSNGDNTNWPLRAYSTQNHVNGTLSADGFFDTVNGTNSYSGPSDNQNLYLQDDGLTSSHQVGDNMPFYDASSNHKWVDGKDDYLNLNDLLYPPAENQPLFDAGDDLMAYFDATEDDFKFDIMGTEDSNSQLPDMSNFVQKDDNNNKFTLDGISNTALYGASSSGSHGNMYPDTAVPDMPMDDTVDKSFGKRLASMLGSIPAPPAMASEFPPSTGKSVVPLSAVNPSSSIRVTAGIIQLGGITFTGSTEHLQKNGDFNLLLSFTVEGDVSTKSIGFEPDTQMSTTPMVLRSGMYLFFVSAMILMLSYKVGLCIYSR >ORUFI02G39260.3 pep chromosome:OR_W1943:2:33340859:33349852:1 gene:ORUFI02G39260 transcript:ORUFI02G39260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSPPDSSSAAAVPLAPGFRFHPTDEELVSYYLRRRILGRRLRIDAIAEVDLYRLEPWDLPSLSRIRSRDAQWYFFARLDRKVTGAGAGGRGGPGNRTNRATPRGYWKTTGKDRDVHHRGKLVGMKKTLVFHSGRAPKGQRTNWVMHEYRLLDADGTQDLHVVCRIFQKNGSGPQNGAQYGAPYLEEDWEEEDDAIENMPASGAFAEMAATQEYQPEITPVKAQGSNEETNGGGYSCDVFSLDEILQEPENVCKNEEQNAIDDKFTIAELSGYPRQDDGYVGENGPVNWIDPSNGDNTNWPLRAYSTQNHVNGTLSADGFFDTVNGTNSYSGPSDNQNLYLQDDGLTSSHQVGDNMPFYDASSNHKWVDGKDDYLNLNDLLYPPAENQPLFDAGDDLMAYFDATEDDFKFDIMGTEDSNSQLPDMSNFVQKDDNNNKFTLDGISNTALYGASSSGSHGNMYPDTAVPDMPMDDTVDKSFGKRLASMLGSIPAPPAMASEFPPSTGKSVVPLSAVNPSSSIRVTAGIIQLGGITFTGSTEHLQKNGDFNLLLSFTVEGDVSTKSIGFEPDTQMSTTPMVLRSGMYLFFVSAMILMLSYKVGLCIYSR >ORUFI02G39270.1 pep chromosome:OR_W1943:2:33345678:33349795:-1 gene:ORUFI02G39270 transcript:ORUFI02G39270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPAVLPNELEGISRSQRVELFRDASCNIEKEVLSSLANGQDSHASGTNPGFRVGEIRLSNRDIYFGTLLGNTPEGSGRYVWSDGCTYDGEWRRGMRHGQGKTMWPSGATYEGEYSGGYIYGEGTYTGSDNIVYKGRWKLNRKHGLGCQTYPNGDMFDGSWIQGEIEGHGKYTWANGNTYVGNMKNGKMSGKGTLTWKNGDSYEGNWLDGMMHGYGIYTWNECGYYVGTWTKGLKDGKGTFYPKGCRVPVNDELYINNLRNRGVLPDIRRQNHGSRILHSSSVDMGNMKVGLTRESSGPSSRRNSSEQPHSKNVSLERRWSLEVAIEKFIGHDATGSSGLERSESINDSDLPMLEREYMQGVLISEVVLDRSFSDSSKKAKRRQKKIVRETKKPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQKREVRASDFGPRASFWMTFPKEGSRLTPSHPAEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNSALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPKYYHHVRTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEPSWREALLKQIETDSEFLRTQRIMDYSLLLGVHYRAPQHLRTRASYHRSMAADRLTVLSEEDAQEDDAFNYPEGLVLVQRGGDENSVVVGPHIRGSRLRSTAAGFAEVDLLLPGTARLQIQLGVNMPARAEQNPKEEESKSFREVYDVVLYLGIIDILQEYNMSKKIEHAVKSMQYDSISISAVDPQFYSERFLKFIQTVFPENS >ORUFI02G39280.1 pep chromosome:OR_W1943:2:33358420:33360577:-1 gene:ORUFI02G39280 transcript:ORUFI02G39280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLAWSSAASSSAPSSRLPPRRSPSLVVVAQGKVKKYRQVILTDDIAEVGKKGDTLKVRAGFYRNFLLPKGKATLLTPEVLKEMQVEQERIDAEKKRVKEEAQQLARVFETIGAFKVPRKGGKGKQIFGRQVFIDVTAQDLVDIIKSQLNRDVDKRLVTVPEIREIGEYIAEIKLHPEVTAQVSSSARHSIVPYLLLRS >ORUFI02G39290.1 pep chromosome:OR_W1943:2:33361914:33363642:1 gene:ORUFI02G39290 transcript:ORUFI02G39290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILESEYSAAAAAGDAASFVLSRLPHPDTTASAAAAFVVDVAGAGGGRTTTLSFVALRRAALSLASGLRFGLGLRRGDAVLVLSPNSLLLPPIVLGVLAAGGVVVAADPGSTAEEVATVARSSGAVVVVAAPEVAEKVAGAGVPLLLTSRSMDPRALSAEELMDDGDPTALASPEASAAAARPRPSDVAFVFYSSATTKTAATMTHADLIAAVSGASLPEEGRVCLASLPICSVHGLPLLALALPAAGVTTVLLAASPSSDPTAAMEAAAAHGATDVVATPDVAAALAAPLTMLSSLRRVTVVPALATTEARQAFRRWLPWVELTEMSGSLEKMMASASEQVQVAPDAASAAVIAHIFASLRYINNVFIPSPKPMNTFYFCNTDYRKVPLLKKIQKTVLGDIISKSTANKILREHPEIISKL >ORUFI02G39300.1 pep chromosome:OR_W1943:2:33364425:33369364:-1 gene:ORUFI02G39300 transcript:ORUFI02G39300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGINGDAGDLAAAPYDQWLPFSPAGGSPRPSARYKHAAEVVREKLYVVGGSRNGRYLSDIQVFDFRTLKWSALSAARDSSQLNIENNTTDPSFPALAGHSLLGTPEHQHQTRFQISRGGQSVSLVGSRLIMFGGEDNKRRLLNDLHILDLETMMWEEVKTGKGGPAPRYDHSAAVYADQYLLIFGGSSHSTCFSDIYLLDLQTMEWSQPDTQGAHINPRSGHAGTMIDENWYIVGGGDNASGSTDTIMMNASKFVWSVVTSVPARDPLACEIFVMKLKPRNLVQPRLLQSPAAAAAAASVTAAYAVITDEKTRDIVATDDLDVKRVQPSGSSKQITTELDALNGEKGKLESRLAEVRDENSKLKDRLDMELKSVQHQLAAEGSRCQKLESQIAAAHKRLESTDSLENELEVLRQQISQVEQTMTTAQRQKSGGVWKWVAGSAEISDDE >ORUFI02G39310.1 pep chromosome:OR_W1943:2:33373474:33379340:1 gene:ORUFI02G39310 transcript:ORUFI02G39310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGAGGAIGGSGREAAAEEEDGGEQWLEEEAEAEAVYCAVGKEAVKEWKANLMWVLAAFPWRRRRSRIVLIHVHRPPSRVNMMGAWVPVSQLAEEEVNAYRQLEEERISKVLDDLLDICKTWVANKVAEKNSQVNASKIIFSCDDIARGLLQLVDDHGITDLVMGAASDKAYSRKMRAPRSKKARKVQLKASPSCKIWFVCKGNLICTREVNEGLNRTGSSTTITTPRSSTSDYSRSRSSPRVHSLSSEQFGMQDPAEPTTSSVDQTPIREDNAMDRGTEGFNHEAVAVASSSTVPVSENVEAVQRSAAAVVQSLQEIEEDSPTPSGHGSEDAGDVSDAYDKFKDAVIEAENLRHEAYEETRRRQKVERDLADATRIANEAESSQQREARHRKEVEERLARERAAMEQDRRELDDILEQTREVDARAAELELQITSSERMMRDLEAKLSESYDLLHQLRRERRRDDVPAEAMAGSEAGDQRLTFLRLGLPELEEATNHFDESVRIGGGDGSRGSVYRGDLRSMAVAVKMIGRDVAVDEVGFCREVEAVGRARHPNLVTLVGACPEARAVVHEFVPGGSLEDRLAGAAPALPWHELCGVAHRACSALAFLHSTQPRATVHGDVRPANILLGEECCSSKLAGLGMCRLVRSSGGVALSRPAVGYVDPRHLATGEMTPERDVYALGVVLLRLVTGKPPLMAKQEAREAAGGSKAWHEVFDASSGGWPLEVAREVALVGLKCCDVEEEPAGARRPGELLEEACGVLEAAMSAAPGRSWSSVSSSSDGGEGGAPSYFVCPILKEVMRDPQIAGDGFSYEAEAIREWLRSGRDTSPMTNLKLPRRELVPNHPLRDAIHHWRLRRAMRTNFTTGLDSYYY >ORUFI02G39310.2 pep chromosome:OR_W1943:2:33373474:33379340:1 gene:ORUFI02G39310 transcript:ORUFI02G39310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGAGGAIGGSGREAAAEEEDGGEQWLEEEAEAEAVYCAVGKEAVKEWKANLMWVLAAFPWRRRRSRIVLIHVHRPPSRVNMMGAWVPVSQLAEEEVNAYRQLEEERISKVLDDLLDICKSQKVNASKIIFSCDDIARGLLQLVDDHGITDLVMGAASDKAYSRKMRAPRSKKARKVQLKASPSCKIWFVCKGNLICTREVNEGLNRTGSSTTITTPRSSTSDYSRSRSSPRVHSLSSEQFGMQDPAEPTTSSVDQTPIREDNAMDRGTEGFNHEAVAVASSSTVPVSENVEAVQRSAAAVVQSLQEIEEDSPTPSGHGSEDAGDVSDAYDKFKDAVIEAENLRHEAYEETRRRQKVERDLADATRIANEAESSQQREARHRKEVEERLARERAAMEQDRRELDDILEQTREVDARAAELELQITSSERMMRDLEAKLSESYDLLHQLRRERRRDDVPAEAMAGSEAGDQRLTFLRLGLPELEEATNHFDESVRIGGGDGSRGSVYRGDLRSMAVAVKMIGRDVAVDEVGFCREVEAVGRARHPNLVTLVGACPEARAVVHEFVPGGSLEDRLAGAAPALPWHELCGVAHRACSALAFLHSTQPRATVHGDVRPANILLGEECCSSKLAGLGMCRLVRSSGGVALSRPAVGYVDPRHLATGEMTPERDVYALGVVLLRLVTGKPPLMAKQEAREAAGGSKAWHEVFDASSGGWPLEVAREVALVGLKCCDVEEEPAGARRPGELLEEACGVLEAAMSAAPGRSWSSVSSSSDGGEGGAPSYFVCPILKEVMRDPQIAGDGFSYEAEAIREWLRSGRDTSPMTNLKLPRRELVPNHPLRDAIHHWRLRRAMRTNFTTGLDSYYY >ORUFI02G39320.1 pep chromosome:OR_W1943:2:33380211:33385963:1 gene:ORUFI02G39320 transcript:ORUFI02G39320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSPEMAAALLLVMAALAGVAAGGDIVHQDDEAPKIPGCSNDFVLVKVQTWVNNREDGEFVGVGARFGPTIESKEKHANRTGLLLADPIDCCDPPTQKVAGDVLLVQRGNCKFTKKAKNAEAAGASAIIIINHVHELYKMVCDRNETDLDINIPAVLLPKDAGNDLQKLLTRGKVSVQLYSPDRPLVDTAEVFLWLMAVGTILCASYWSAWSAREAVIEQEKLLKDGHESSLNLEAGGSSGMVDINMTSAILFVVIASCFLIMLYKLMSHWFVELLVVIFCIGGVEGLQTCLVALLSRWFKPAAESFVKVPFFGAVSYLTIAVCPFCIVFAVIWAVYRRMTYAWIGQDILGIALIVTVIQIVRIPNLKVGSVLLSCSFLYDIFWVFISKMWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGFSIIGFGDILLPGLLIAFALRYDWAAKKTLQSGYFLWSMVAYGSGLMITYVALNLMDGHGQPALLYIVPFTLGTFIALGRKRGELRNLWTRGQPERVCTHMHMQPSPKDTNCDAIL >ORUFI02G39330.1 pep chromosome:OR_W1943:2:33388300:33390124:1 gene:ORUFI02G39330 transcript:ORUFI02G39330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYTERQPIGTAAQGAEEKDYREPPAAPVFEVEELTSWSFYRAGIAEFVATFLFLYISILTVMGVNKSASKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMAMQCLGAICGAGVVKGFQRGLYMGSGGGANAVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIWIFWVGPFIGAALAAIYHVVVIRAIPFKSRD >ORUFI02G39340.1 pep chromosome:OR_W1943:2:33391765:33395493:1 gene:ORUFI02G39340 transcript:ORUFI02G39340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMETELCSSRVLSLPRYDSGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEPPTGNEDDDDIDGNNSFCSSSDMGDKDMDYSIIEYHKPTKPRVRHTRPWSSCTKSSNRGNFHPSSILQTRVNLTRLGTPTLWRYWKHFNLVSMNPDPSKEQLFHGVQQHFQSQVILGFIQAAKRLKTLYHS >ORUFI02G39350.1 pep chromosome:OR_W1943:2:33401255:33407305:1 gene:ORUFI02G39350 transcript:ORUFI02G39350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYHHRMGAAADFRRDLEDLVCDHLGGCFSPTSSSSSCSAAGGGVAAGHQPDEEPESSAARRRRRESRLLSRWVARQAEEVLSSMEREVERRNREAELLALARLHPVSTLDPSSFLLSSPTAPPPRPQAPSPTAPPSLLQMWRELEHRRSDADQPFDREPSPDTADRERVRQIARRLTANTDVPTAAAAAATTGEWLGETERQRVRLVREWVQMASQPRDSRVASRRDDTAAGERERRGEPPRLRGRQARLDVITRMARERQRELQGISGYHIVSEFPHRSRNRIQGLLRGRFLRNVVLPVEEEERPPSVAARELGQLRQSHRVSTLRSESAVSSEDVSRFDSSVAESVGVLGSDEPQQGAEVRALTGTENTTQIMLEDVGLQEADAENAAIESPSVALDNMVEMHETQVDNRLQDEAGRDARFWQPSLDDSLDRWPNETAEDAERNWEDNAEELHSETMEDDAREHDHLQDEHDEWHDDESHGTENWQDDFQDSPLDMGPIPRTENRFIPPDDDNVYSMELRELLSRRSVSNLLSNGFGESLERLIRSYVQRRGRGPLNWNLDAAIPSVNSPNENQEQERNAETRQFQAPVNRPALVIPPPPLPPRQPLWHRELRHNNWSTRHREWDAINDLKADMGRLQQGMSSIQRMLEACMDMQLELQRSVRQEVSAALNRFAGPEGYPTDLSDDGSKWDQVRKGTCCVCCDAQIDSLLYRCGHMCTCSKCANELIRSGGKCPLCRAPIAEVVRAYSVM >ORUFI02G39360.1 pep chromosome:OR_W1943:2:33406615:33414410:-1 gene:ORUFI02G39360 transcript:ORUFI02G39360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFIAIQFGTITNRPMTWLSQHPSGPFIVGPARFIDYLDGNTGGLKEPRLLARLSLRQRTMNVERVEVDGPEGGEAGGEAEVAELLLGSLPALSPVGGHDEDVVLQQRPRPRAAVRRGDAGVGAGEGLGAGGVEDGLVEEGPGADERILVVLQNDAPEPEGLPPPPGTRVRPTAVSEKRRGEGEDDPVCSEKTPREARRRRRRSRTEGSGWPAGRRSAAASGALAPPAQSLAGTPRRMTAVSAMGTEIMLASSIIASLASAGDSSPSPPPPIFQTLTHSDIHKKKTREDRQKWKKKKNEEAAAELLFPLFPEQSFVQQNFAFSISFIPFPTVFPQLYITEYARTTSAIGARHSGHLPPLRISSFAHFEQVHMCPHLSPSPNGFYKYIRQKRLEISNIKVSDMFSCEADLYNRESICASQHTQQVPFLT >ORUFI02G39370.1 pep chromosome:OR_W1943:2:33408922:33412552:1 gene:ORUFI02G39370 transcript:ORUFI02G39370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDGELSPAEARLAMMELANMISVPMALTAVIRLGVPAKLWAGGANAPLAAADLLPAGHPDPSVLERLLRLLASRGVFSEHTGSSSPSPRRFSLTAVGRTLVPGGGGSPSGSGASYADYVLQHHQDALVRAWPLLHEAVLDPSGPEPFARANAGVPAYAYYGKDREANEVMLRAMTGVSEPFMEALLEGYGDGGFEGVSTLVDVGGSSGACLEMIMRRVRTIRDGVNFDLPDVVAAAPPIPGVWVLTTWTNEECTAILSNCHKALPGGGKVIACEPVVPDTTDGSTRTRALLENDIFVMATYRTQGRERSEEEFRHLGLAAGFASFRAIYLDPFYAVLEYTK >ORUFI02G39370.2 pep chromosome:OR_W1943:2:33408922:33414318:1 gene:ORUFI02G39370 transcript:ORUFI02G39370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDGELSPAEARLAMMELANMISVPMALTAVIRLGVPAKLWAGGANAPLAAADLLPAGHPDPSVLERLLRLLASRGVFSEHTGSSSPSPRRFSLTAVGRTLVPGGGGSPSGSGASYADYVLQHHQDALVRAWPLLHEAVLDPSGPEPFARANAGVPAYAYYGKDREANEVMLRAMTGVSEPFMEALLEGYGDGGFEGVSTLVDVGGSSGACLEMIMRRVRTIRDGVNFDLPDVVAAAPPIPGVWVLTTWTNEECTAILSNCHKALPGGGKVIACEPVVPDTTDGSTRTRALLENDIFVMATYRTQGRERSEEEFRHLGLAAGFASFRAIYLDPFYAVLEYTK >ORUFI02G39380.1 pep chromosome:OR_W1943:2:33415121:33417143:-1 gene:ORUFI02G39380 transcript:ORUFI02G39380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIGRRQQQGVAAAAATLVALMAVVVAAAAEAQPSPGVYPSRMFRAREFGRDFRSLWGAEHQQQEAAAPETGVTVWLDRRSGSGFKSRRAYRSGYFGAWVRLQRGYTAGVITAFYLSNGEAHPGWHDEVDMEFLGTTPGKPYTLQTNVFSLGSGDPPRSLGREIKFHLWFDPTADFHHYAILWTSDHIIFLVDDVPIRRYGRRSAGGAAGFPARPMWVYGSIWDASSWATEDGRYRADYSYQPFVARFSAFLLRGCSPHAPRTCAAPVAGDLTAAQLAAMRWAQRFHMVYNYCYDPKRDHSLTPECRTHLHPSSSSSNSSSSSYHG >ORUFI02G39390.1 pep chromosome:OR_W1943:2:33417765:33423741:1 gene:ORUFI02G39390 transcript:ORUFI02G39390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPQAGPSPAVRVLSRTPPPPASSSPSPPPPAATPPSHDGVVAVGFVGGGGTARLADRILDAHVFSPGGSARTLAGGVRYHRDGEKRVVFLHLAPSPPTPLEGAGDLRELLFMFSVCHVIIFLQEGFRFDTQILKKFRLLQSSKHAIAPFVKSLVAPAVPSKVARSNTPTKPTHRASSISPPARRGGRHPSAISLMSGTGSHPCMLPGLCIPVVLFVFEDDITDAPGAPTSPDDTNDTSSNQASNTDGLPKPNMTSKGSSSVVMLARPAIRSDGTFSKKLHSSVEGQIRFLLKKCRTLVGLEPGHIVSRGVSNVSHLPLFSLDTSRVVALLDRSISKKREPLDIIAGLFEDSLTSKSSLDVSSLENNCHPATHEDVQFIKDFIFRQSDGLRGRGGHSSNTTAGPVSGVGMVAAAAAAAAASAASGKQMSAPDLPTFDTWLSISSSILSALFSGEDGLSSSQNMKASPTHTSSFPKNDQLPSAGSNAIQTALSCLEGNKGLNVKFSSSWCQRILPAAKEVYLKDLPAFYPTSMHEVQLQKALRSFHSMVKGPAVQVFSKKLKDECQAIWESGRQQCDAVSLTGRPCKHQRHGKSSPSDAALQHSSGYVFLHACACGRSRRLRDDPFDFEAANMTFNCFSNCEDLLPTLVLPRETNAGAFPVSSWRLVRLGGARYYKPTKGLLQAGFCSKEKYLLRWTISLGKGQGKHGTHATNKPFSTASNADPQAPPIVAGEVKSAVTQVTAEIKSMKLENSRKQPEVESMNNSSINFGKGLPNFTMKKPFAEVVAGHTARDSEFPALQQKRPLKPGNWKDERQVSGADQTNGRGHPALSQGPIADNESEKVSRDKSNGSAGGKPFLQIGSNIVPMVVGKETKEVNQSIQQFMVYVGFEHECSYGHRFLLSEKHLKEIDSSYLQFERSNLNNEAESKHGSQKLPQNASRLAATMDVTSGGKLNRPMDSSGRNSQQQLLKPRVDAETLQPSHWLSDPQNERKGELSLQYVTLDDGGEAFSLLNRNLPIYMHCPHCKSSDRKGNQDAKVAAAVSQLQRIFIASCLPSNASDHDQQGSFSLGCRVVLPPESFLTMRLPFVYGVETRDGNTAPLKYLEEQPELTAWLVGGTALQIVSVGHTNEKEAPL >ORUFI02G39390.2 pep chromosome:OR_W1943:2:33417765:33423741:1 gene:ORUFI02G39390 transcript:ORUFI02G39390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPQAGPSPAVRVLSRTPPPPASSSPSPPPPAATPPSHDGVVAVGFVGGGGTARLADRILDAHVFSPGGSARTLAGGVRYHRDGEKRVVFLHLAPSPPTPLEGAGDLRELLFMFSVCHVIIFLQEGFRFDTQILKKFRLLQSSKHAIAPFVKSLVAPAVPSKVARSNTPTKPTHRASSISPPARRGGRHPSAISLMSGTGSHPCMLPGLCIPVVLFVFEDDITDAPGAPTSPDDTNDTSSNQASNTDGLPKPNMTSKGSSSVVMLARPAIRSDGTFSKKLHSSVEGQIRFLLKKCRTLVGLEPGHIVSRGVSNVSHLPLFSLDTSRVVALLDRSISKKREPLDIIAGLFEDSLTSKSSLDVSSLENNCHPATHEDVQFIKDFIFRQSDGLRGRGGHSSNTTAGPVSGVGMVAAAAAAAAASAASGKQMSAPDLPTFDTWLSISSSILSALFSGEDGLSSSQNMKASPTHTSSFPKNDQLPSAGSNAIQTALSCLEGNKGLNVKFSSSWCQRILPAAKEVYLKDLPAFYPTSMHEVQLQKALRSFHSMVKGPAVQVFSKKLKDECQAIWESGRQQCDAVSLTGRPCKHQRHGKSSPSDAALQHSSGYVFLHACACGRSRRLRDDPFDFEAANMTFNCFSNCEDLLPTLVLPRETNAGAFPVSSWRLVRLGGARYYKPTKGLLQAGFCSKEKYLLRWTISLGKGQGKHGTHATNKPFSTASNADPQAPPIVAGEVKSAVTQVTAEIKSMKLENSRKQPEVESMNNSSINFGKGLPNFTMKKPFAEVVAGHTARDSEFPALQQKRPLKPGNWKDERQVSGADQTNGRGHPALSQGPIADNESEKVSRDKSNGSAGGKPFLQIGSNIVPMVVGKETKEVNQSIQQFMVYVGFEHECSYGHRFLLSEKHLKEIDSSYLQFERSNLNNEAESKHGSQKLPQNASRLAATMDVTSGGKLNRPMDSSGRNSQQQLLKPRVDAETLQPSHWLSDPQNERKGELSLQYVTLDDGGEAFSLLNRNLPIYMHCPHCKSSDRKGNQDAKVAAAVSQLQRIFIASCLPSNASDHDQQGSFSLGCRVVLPPESFLTMRLPFVYGVETRDGNTAPLKYLEEQPELTAWLVGGTALQIVSVGHTNEKEAPL >ORUFI02G39400.1 pep chromosome:OR_W1943:2:33427987:33428970:-1 gene:ORUFI02G39400 transcript:ORUFI02G39400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEDKHAGVVKRKRTKRPRHHAAPASSSESTTTEEEDMAHCLILLAQGAAVVDSKPSTPAPPPPPPAQPPVLAAPAPAPPPPQPPVVVVKSERYTSRKYTEAATTADGVRAGFYVYECKTCNKCFPTFQALGGHRASHKKPRLPGADDDNVNNVTNTNAIVVKSKPPLTTTTTPSAPSPPPPQADAVVVPDVTTVLSLNNVAAAGSIINKLRVHECSICGAEFGSGQALGGHMRRHRPLHAPPERAATTAATTAATATAPDTKKEGSTSINLELDLNLPAPSDEESVSPPPPPPPPVLLALGGQFNDGKKPILQLTASAALVGCHY >ORUFI02G39410.1 pep chromosome:OR_W1943:2:33438683:33441484:-1 gene:ORUFI02G39410 transcript:ORUFI02G39410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPESETLRLHASFLCSLAIALLRAGRLSAASHLLSSLPSPPAPLLLRRLIPALATSGLAAAAIRFRPADPASLNALLYSHCRLRLLRPAIALLRSSRPTTVAYNILLAALSDHAHAPAVLAEMCKRGVPFDGVTVNTLLAGLCRNGQVDAAAALADRGGGIHALDVIGWNTLIAGYCRVGDTPAALSVADRMTAQGLPMDVVGYNTLVAGFCRAGQVDAARGVLDMMKEAGVDPNVATYTPFIVYYCRTKGVEEAFDLYEGMVRNGVLLDVVTLSALVAGLCRDGRFSEAYALFREMDKVGAAPNHVTYCTLIDSLAKAGRGKELLSLLGEMVSRGVVMDLVTYTALMDWLGKQGKTDEVKDTLRFALSDNLSPNGVTYTVLIDALCKAHNVDEAEQVLLEMEEKSISPNVVTFSSVINGFVKRGLLDKATEYKRMMKERGINPNVVTYGTLIDGFFKFQGQDAALEVYHDMLCEGVKVNKFIVDSLVNGLRQNGKIEEAMALFKDASGSGLSLDHVNYTTLIDGLFKAGDMPTAFKFGQELMDRNMLPDAVVYNVFINCLCMLGKFKEAKSFLTEMRNMGLKPDQSTYNTMIVSHCRKGETAKALKLLHEMKMSSIKPNLITYNTLVAGLFGTGAVEKAKYLLNEMVSAGFSPSSLTHRRVLQACSQSRRLDVILDIHEWMMNAGLHADITVYNTLLQVLCYHGMTRKATVVLEEMLGSGIAPDTITFNALILGHCKSSHLDNAFATYAQMLHQNISPNIATFNTLLGGLESVGRIGEAGTVLIEMEKSGLEPNNLTYDILATGHGKQSNKVEAMRLYCEMVGKGFVPKVSTYNALISDFTKAGMMTQAKELFKDMQKRGVHPTSCTYDILVSGWSRIRNGTEVKKCLKDMKEKGFSPSKGTLSFICRAFSKPGMTWQAQRLLKNLYRV >ORUFI02G39420.1 pep chromosome:OR_W1943:2:33449773:33451368:1 gene:ORUFI02G39420 transcript:ORUFI02G39420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVQALAAAAALLAVFFLSTLYLSPAATARRLRNAGFRGPTPSFPLGNLREIASSLASNNDTDESNTKGGDIHAAVFPYFARWRRAFGKVFVYWLGTEPFLYVADPEFLKAATAGALGKLWGKPDVFRRDRMPMFGRGLVMAEGDEWARHRHIIAPAFSATNLNDMIGVMEETTAKMLGEWGDMVASGRSCVDVEKGVVRNAAEIIARASFGISADDDDATGARVFHKLQAMQAILFRSTRLVGVPLAGLLHIRATYEAWKLGREIDALLLDIIESRRRREGGGGGKKKKKTTSNDLLSLLLAGSEASAGAERKLTTRELVDECKTFFFGGHETTALALSWTLLMLAAHPEWQAAVREEVVEVAGRSGPLDAAALGKLTKMGCVLSEVLRLYPPSPNVQRQALQDVVVVAGDGEKKVVIPKGTNMWIDVVAMHRDGELWGEEASEFRPERFMREGVQGGCRHRMGYVPFGFGGRICVGRNLTAMELRVVLAMVLRRFAVEVAPEYRHAPRIMLSLRPSHGIQLRLTPLC >ORUFI02G39430.1 pep chromosome:OR_W1943:2:33456093:33459242:-1 gene:ORUFI02G39430 transcript:ORUFI02G39430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRESTVASGSNFSSFYVQHRGIGVPGGSGHPAGLHGPPPGGYRQHLDAVSAGYPFQPPHIGGSHIGQGYHHVDASAPVAQHGSGGGGGGMDIGMGVEMSADAKGDQGSGAGQDEPVKKKRGRPRKYKPDGAVTLGLSPSSSTPHSSTSAMGTMVTTPGSGFGSGAGSGGSGSGALTEKRGRGRPPGSGKMQQLASLGKWFLGSVGTGFTPHVIIISPGEDVAARIMSFSQQGPRAVCIISATGAVSTATLHQDSNSGGVVTYEGRFEILCLSGSYLVIEEGGSRTRSGGLCIALCGPDHRVIGGSVGGVLTAAGTVQVIVGSFMYGGTKKNKAKAEQETENNEEPIGGEEETPTMALPDHNMPHHTMGGWSAGLMRQMDSRTPNIDINSIRE >ORUFI02G39440.1 pep chromosome:OR_W1943:2:33461678:33464042:-1 gene:ORUFI02G39440 transcript:ORUFI02G39440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNLAKRKKQHEFDLQREKEAKEKLAKKLQAKKSKMKIDGDVKRKGGKFKVGKKKVKTKLSALTKAKAAQAMEVDKLE >ORUFI02G39450.1 pep chromosome:OR_W1943:2:33464552:33466313:1 gene:ORUFI02G39450 transcript:ORUFI02G39450.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQEAKRADVAAAPATATGGEAVKPAAGDAGAVTKTNAADGPSAPAGKAATPTGSVDRDAILANVELERKLAQKKMSSILSWENTRKAAIEAKLRTQEEKLERKKAEYAEKMRNQVAAIHKAAEEKRATVEATRHEERIKYEEMAAKHRSKGTTPTKFLSCFGS >ORUFI02G39460.1 pep chromosome:OR_W1943:2:33466985:33468009:-1 gene:ORUFI02G39460 transcript:ORUFI02G39460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSPAAVHTPRPASIRSSSASARPSVGSSSTPRPPIPAAANSKGVAKCLAFHDGDFTFPDDLAPLLDLPDPADSSSSTTTTAALISAAPDPDDAITASADSALTEVVDFEMLTLWVLVTAPAEATAMVDEEEEEPLPDQISLALAELRGGRGLSPRSKRLVAALVEAAAAELRPNAATLRLRRAAFWGKVRVWILAATVATVFAIDVVLAVALVSRRGNDLYDALPPT >ORUFI02G39470.1 pep chromosome:OR_W1943:2:33468221:33471345:1 gene:ORUFI02G39470 transcript:ORUFI02G39470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPSIPTNSSALIAIIADEDTVTGFLLAGVGNVDLRKKTNYLIVDNKTTVKQIEDAFKEFTTREDIAIVLISQYVANMIRFLVDSYNRPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >ORUFI02G39470.2 pep chromosome:OR_W1943:2:33468515:33471345:1 gene:ORUFI02G39470 transcript:ORUFI02G39470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPSIPTNSSALIAIIADEDTVTGFLLAGVGNVDLRKKTNYLIVDNKTTVKQIEDAFKEFTTREDIAIVLISQYVANMIRFLVDSYNRPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >ORUFI02G39480.1 pep chromosome:OR_W1943:2:33471762:33482454:-1 gene:ORUFI02G39480 transcript:ORUFI02G39480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSRRNRNKSAAHNNPQLVVDRFTKLPDDLLLNILDRLDTPDAVRTCLLSNRTLHLRHMLSRFQISVDSFVPNCGYATLKDTIPMNSAVADATDSILTFRRQDIPLRHLSVCFYLKYYDCLTIGKAVVRAMATNNLLDSVEFIILPEKKPEHYSTYDLRHNGKQFMRFFGACTDAFAGLTRLYLCNLRLGETDIPNIITTCKLLEYLCLSFCESEDSVLQLQVEHPRLAELDIYHAGLELVELNYLPNLKHLDFSLWVCPHEPLSFGNVPLLSSLSLTNVAMRYQEVIRLSHFLANVPNISDLYLNFGSEKIWVQPECPKLLAPVLQNLQVLNLDKLPEECDIAWTCFFLEAAPFLKEMCATVWDHWCGMQTDKVEREEQGYSEKANVEWESSAPDGFRHYNLTKLTIYGFQPNENFLGYIRHIMEAAVNLEDVSLYDRKVLECCEDLDPKIKVAPSWYPQTIEEQELLRKQITEGLVMASPHLHYYTFYGLRNNGKRLMTFFGACTDAFAGLTRLYLQNLRLAETDIPNIIATCKRLESLRMFMCQTEGTVLQLQVEHQRLVELDICHGCLKLVKLNSLPKLERLVFNSWRHPQEPLYFGNVPQLSSLSLTNVGLRLHNLIRLSQFLSNVTSIRDLHLNLESERYRRNTRRSPTHLIPISSTSARFRSSMKNKKGSRRNRNKSAASTGSLPLPVDRLTKLPDDVLLNILDRLNTPDVLANSAVADATDNVLSFRSQDVPLHRLSICFYLKYYDCLTIGKAVSQAMATYNQIDSVEFIILTELQPECYTVDDFRRNGKQFMTFLGSYLDAFAGLTQLFIQNLRLAEADIPNILSTCKRLQYLCMSVCDSEDSVLQLQLEHPRLVELDIYDAGFHLVDLKSLPNLKRLVFGMWVSPGEPLSFGNVPMLSSLSLNNVSAGYQKVFRLSHFLANVPNISNLHLSFASEKIWVKPECPKLLAPVLQKLRFGTIGVTWRLTAWNGEELGYRDKTNVEWQSSQPDGFKHHNLVKLIIYGFQPDDNFVGYIRCMMEAAVNLVRISLYDRRFSDCCSDLDPKIKIKVALSRFPRTIKQQELKKSAAHNNPQLVVDRSTKLPDDLLLNILDRLNTSDAVRTCLLSKRTIHLRHMLSRFQISVDSFVPDCGYATLKDTIPMNAAVADATDSILNFRRQDIPLRHLSVCFYLKYYDCLTIGKAVARAMATNNLLDSVEFIILPEKKPEHYSTYDLRHNGKQLMRFFGACTDAFAGLTRLYLRNLKLGETDIPNIIATCKLLEYLRLSFCETEDSVLQLQVEHPRLVELDIYHASLELVELNYLPNLKHLDFSLWVCPHEPLSFGNVPLLSSLSLTNVAMRYQEVIRLSHFLANVPNISDLYLNFGSEKIWVQPECPKLLAPVLRNLQVLNLDELPEECDISWTCFFLEAAPSLKEMRVTVWDHWCGMQTDKVEREEQGYSEKANVEWESSAPDGFRHYNLTKLTIYGFQPNENFLGYIRHIMEAAVNLEDVSLYDRKVLECCEDLDPKIKVAPSWYPQTIEEQELLRKQITEGLVMVSPHVIHFRS >ORUFI02G39480.2 pep chromosome:OR_W1943:2:33471762:33487939:-1 gene:ORUFI02G39480 transcript:ORUFI02G39480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSRRNRNKSAAHNNPQLVVDRFTKLPDDLLLNILDRLDTPDAVRTCLLSNRTLHLRHMLSRFQISVDSFVPNCGYATLKDTIPMNSAVADATDSILTFRRQDIPLRHLSVCFYLKYYDCLTIGKAVVRAMATNNLLDSVEFIILPEKKPEHYSTYDLRHNGKQFMRFFGACTDAFAGLTRLYLCNLRLGETDIPNIITTCKLLEYLCLSFCESEDSVLQLQVEHPRLAELDIYHAGLELVELNYLPNLKHLDFSLWVCPHEPLSFGNVPLLSSLSLTNVAMRYQEVIRLSHFLANVPNISDLYLNFGSEKIWVQPECPKLLAPVLQNLQVLNLDKLPEECDIAWTCFFLEAAPFLKEMCATVWDHWCGMQTDKVEREEQGYSEKANVEWESSAPDGFRHYNLTKLTIYGFQPNENFLGYIRHIMEAAVNLEDVSLYDRKVLECCEDLDPKIKVAPSWYPQTIEEQELLRKQITEGLVMASPHLHYYTFYGLRNNGKRLMTFFGACTDAFAGLTRLYLQNLRLAETDIPNIIATCKRLESLRMFMCQTEGTVLQLQVEHQRLVELDICHGCLKLVKLNSLPKLERLVFNSWRHPQEPLYFGNVPQLSSLSLTNVGLRLHNLIRLSQFLSNVTSIRDLHLNLESERYRRNTRRSPTHLIPISSTSARFRSSMKNKKGSRRNRNKSAASTGSLPLPVDRLTKLPDDVLLNILDRLNTPDVLANSAVADATDNVLSFRSQDVPLHRLSICFYLKYYDCLTIGKAVSQAMATYNQIDSVEFIILTELQPECYTVDDFRRNGKQFMTFLGSYLDAFAGLTQLFIQNLRLAEADIPNILSTCKRLQYLCMSVCDSEDSVLQLQLEHPRLVELDIYDAGFHLVDLKSLPNLKRLVFGMWVSPGEPLSFGNVPMLSSLSLNNVSAGYQKVFRLSHFLANVPNISNLHLSFASEKIWVKPECPKLLAPVLQKLRFGTIGVTWRLTAWNGEELGYRDKTNVEWQSSQPDGFKHHNLVKLIIYGFQPDDNFVGYIRCMMEAAVNLVRISLYDRRFSDCCSDLDPKIKIKVALSRFPRTIKQQELKKSAAHNNPQLVVDRSTKLPDDLLLNILDRLNTSDAVRTCLLSKRTIHLRHMLSRFQISVDSFVPDCGYATLKDTIPMNAAVADATDSILNFRRQDIPLRHLSVCFYLKYYDCLTIGKAVARAMATNNLLDSVEFIILPEKKPEHYSTYDLRHNGKQLMRFFGACTDAFAGLTRLYLRNLKLGETDIPNIIATCKLLEYLRLSFCETEDSVLQLQVEHPRLVELDIYHASLELVELNYLPNLKHLDFSLWVCPHEPLSFGNVPLLSSLSLTNVAMRYQEVIRLSHFLANVPNISDLYLNFGSEKIWVQPECPKLLAPVLRNLQVLNLDELPEECDISWTCFFLEAAPSLKEMRVTVWDHWCGMQTDKVEREEQGYSEKANVEWESSAPDGFRHYNLTKLTIYGFQPNENFLGYIRHIMEAAVNLEDVSLYDRKVLECCEDLDPKIKVAPSWYPQTIEEQELLRKQITEGLVMVSPHVIHFRS >ORUFI02G39480.3 pep chromosome:OR_W1943:2:33471762:33482454:-1 gene:ORUFI02G39480 transcript:ORUFI02G39480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSRRNRNKSAAHNNPQLVVDRFTKLPDDLLLNILDRLDTPDAVRTCLLSNRTLHLRHMLSRFQISVDSFVPNCGYATLKDTIPMNSAVADATDSILTFRRQDIPLRHLSVCFYLKYYDCLTIGKAVVRAMATNNLLDSVEFIILPEKKPEHYSTYDLRHNGKQFMRFFGACTDAFAGLTRLYLCNLRLGETDIPNIITTCKLLEYLCLSFCESEDSVLQLQVEHPRLAELDIYHAGLELVELNYLPNLKHLDFSLWVCPHEPLSFGNVPLLSSLSLTNVAMRYQEVIRLSHFLANVPNISDLYLNFGSEKIWVKPECPKLLAPVLQKLRFGTIGVTWRLTAWNGEELGYRDKTNVEWQSSQPDGFKHHNLVKLIIYGFQPDDNFVGYIRCMMEAAVNLVRISLYDRRFSDCCSDLDPKIKIKVALSRFPRTIKQQELKKSAAHNNPQLVVDRSTKLPDDLLLNILDRLNTSDAVRTCLLSKRTIHLRHMLSRFQISVDSFVPDCGYATLKDTIPMNAAVADATDSILNFRRQDIPLRHLSVCFYLKYYDCLTIGKAVARAMATNNLLDSVEFIILPEKKPEHYSTYDLRHNGKQLMRFFGACTDAFAGLTRLYLRNLKLGETDIPNIIATCKLLEYLRLSFCETEDSVLQLQVEHPRLVELDIYHASLELVELNYLPNLKHLDFSLWVCPHEPLSFGNVPLLSSLSLTNVAMRYQEVIRLSHFLANVPNISDLYLNFGSEKIWVQPECPKLLAPVLRNLQVLNLDELPEECDISWTCFFLEAAPSLKEMRVTVWDHWCGMQTDKVEREEQGYSEKANVEWESSAPDGFRHYNLTKLTIYGFQPNENFLGYIRHIMEAAVNLEDVSLYDRKVLECCEDLDPKIKVAPSWYPQTIEEQELLRKQITEGLVMVSPHVIHFRS >ORUFI02G39480.4 pep chromosome:OR_W1943:2:33482575:33487939:-1 gene:ORUFI02G39480 transcript:ORUFI02G39480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSRRNRNKSAAHNNHLLVVDRFTKLPDDVLLNILERLNTPDAVRTCLLSKRTIHLRHLLSNLNISLHSFLPHYYGYYATSKDAIQIQMNAAVSDATDNILNFRNQEIPLRQLSITFYLKYYDCLAIGKAVARAMATHSHNLDSVEFIILTGKRALYCSIDDLRHNGKLLMTFFGACTDAFAGLTRLHLRNLRLAEADIPNIIATCKRLEYLKLSACQIEDSVLQLQLEHPHLVELDISTANLDLVELNSLPNLKRLVFSVWVCPQEPLSFGNVPMLSSLSLTNVAMRWHKVIRLSQFLANITFIKDLHLNFLSEKIWVHPECPELLTPVLQNLQVLNLDELPEECDIAWTSFFLEAAPSLKEMCITVWDHWCEIETDKVEREEQGYCDKTNLEWRSSARDGFRHYNLTKFTIYGFQPNENFLGYIKHIMEAAVNLEDISLYDRKALECCEDLDPKIKVAPSRYPQNIVEQELLRKQITEGLVMASPHEKEKEKETEE >ORUFI02G39490.1 pep chromosome:OR_W1943:2:33482845:33491747:1 gene:ORUFI02G39490 transcript:ORUFI02G39490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGRKASWGLGNGDGRRSSCSPKAQLAKLHTAHKLQLGLSTSRPQLGLMNLFFIVDRPLRKEACPDSVGGVEAFEDVEQDIIRKLGQRRLFLSCIYKDEEAWASALPGGVSATAARRRSPTAVARRGGRWRRRDRTQRGGPARRRCGKGTARRTAARTRQDAARTRTAAARQDAARRSTAAAVPDGGSMAMVGDEVHRRRRQRPIAMGTAAALLPSSFTSITALWMTWLTNNGKPEPSSSTITDRLVSYGENGSRSPSCPRTPTTLSAKDTLNLGTMNSPTRTDSDEVLVQEPGRYAIFSTMPRSPSRASGRKMTMAYCVRSSAAAAALALVTEIRRAVVELEHLEPVMHGDVLFGLWSCSTTCTPASRR >ORUFI02G39500.1 pep chromosome:OR_W1943:2:33491378:33492201:-1 gene:ORUFI02G39500 transcript:ORUFI02G39500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALADRSASGGPRVAFTSGVWCDAVVGRYKAEATTVDFKNKINEWTRQVRRGLIDSVLPPGSVGPTMAIVLSNAIYLKGSWEHPFMNTKKKPFYRLDAGVHVVEQLQSPNSTSPCMTGSRCSSSTTARRISVTSASAAAAADDLTQYAMVIFLPDARDGLRGIRPGSCTSTSSESVRVGEFMVPKFKVSFADSVVGVLGQLGLRLPFSP >ORUFI02G39510.1 pep chromosome:OR_W1943:2:33493076:33495260:1 gene:ORUFI02G39510 transcript:ORUFI02G39510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSRRNRNKSAPVTVMVVDRFTTLPDDVLLNILDRLNTPDAVRTCLLSKRTLHLPRMLSHFRIALLSFAPDPDYITFKDTIGMNAAVAAATDNVLNFRAKDIPLRQLSICFYLKYYDCLTIGKAVARAMTTHKLDSAEFRILTDYKLHYYTLDGLRNNGKQLMTFFGACTDAFAGLTRLYLQNLRLPETDILNIIATCKRLESLRMFMCQTEGTVLQLQLEHQRLVELDICHGCLKLVKLNSLPKLERLVFYSWKHPQEPLYFGNVPQLSSLSLTNVGLRRHNLIRLSQFLSNVTSIRDLHLNFESERIWVQPERPKLLAPVLQNLQVLNLEDLPEGCDITWTRFFLEAAPFLKELCITVWDHWCNIVTDQVEREEEGYCDKTNVQWESSSSNGFRHYNLVKLTIYGFQPDDNFLGYIRHIMETAVNLEEISLYNRKVEDCCEELDPKIKIAPSRYPQTIEEQELLRKQITEGLVMALPHVIHFRS >ORUFI02G39520.1 pep chromosome:OR_W1943:2:33497589:33503587:-1 gene:ORUFI02G39520 transcript:ORUFI02G39520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPWWRFLALLLPLLVAVMRQVAGGVQAPSPRLFPVAISGGRISDHVARERWQQRLERCDGEQGRRPASGGDRRGRGIEMLSLGSFKTGAILLGMKLLPMGRKSLFYLTIYGSAVGVGSYAVHYFSTLVASILENFGLSEEMHNPETSRNDQRGEVGVHPQEDDVRVAAAGAKAEKEAEAGGNVSGKQSTAGEGRGAVVLLHPVRASELGSTTMSTVARTSIDINLISKAIALLKGSHSLTDQ >ORUFI02G39520.2 pep chromosome:OR_W1943:2:33497589:33503587:-1 gene:ORUFI02G39520 transcript:ORUFI02G39520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPWWRFLALLLPLLVAVMRQVAGGVQAPSPRLFPVAISYMRIMEVHKMTDPLSLRVAGFLIMLRGRDGSSGWSDVMGNKGGGQHQGIEMLSLGSFKTGAILLGMKLLPMGRKSLFYLTIYGSAVGVGSYAVHYFSTLVASILENFGLSEEMHNPETSRNDQRGEVGVHPQEDDVRVAAAGAKAEKEAEAGGNVSGKQSTAGEGRGAVVLLHPVRASELGSTTMSTVARTSIDINLISKAIALLKGSHSLTDQ >ORUFI02G39520.3 pep chromosome:OR_W1943:2:33497589:33503587:-1 gene:ORUFI02G39520 transcript:ORUFI02G39520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPWWRFLALLLPLLVAVMRQVAGGVQAPSPRLFPVAISGIEMLSLGSFKTGAILLGMKLLPMGRKSLFYLTIYGSAVGVGSYAVHYFSTLVASILENFGLSEEMHNPETSRNDQRGEVGVHPQEDDVRVAAAGAKAEKEAEAGGNVSGKQSTAGEGRGAVVLLHPVRASELGSTTMSTVARTSIDINLISKAIALLKGSHSLTDQ >ORUFI02G39520.4 pep chromosome:OR_W1943:2:33497545:33503587:-1 gene:ORUFI02G39520 transcript:ORUFI02G39520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPWWRFLALLLPLLVAVMRQVAGGVQAPSPRLFPVAISGIEMLSLGSFKTGAILLGMKLLPMGRKSLFYLTIYGSAVGVGSYAVHYFSTLVASILENFGLSEEMHNPETSRNDQRGEVGVHPQEDDVRVAAAGAKAEKEAEAGGNVSGKQSTAGEGRGAVVLLHPGNSSLERFSQPYRSVDHNGQGQDWKEVRT >ORUFI02G39530.1 pep chromosome:OR_W1943:2:33503679:33504626:-1 gene:ORUFI02G39530 transcript:ORUFI02G39530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPLSWVMEAAAIMAIALANGGLPGWQDFVGIITLLVMNSTISFIEENNAGNAAATLMGCLAPRAKRTQNRALLEQLAAIVNDDKGMNRLQQGG >ORUFI02G39530.2 pep chromosome:OR_W1943:2:33503677:33505244:-1 gene:ORUFI02G39530 transcript:ORUFI02G39530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPLSWVMEAAAIMAIALANGGLPGWQDFVGIITLLVMNSTISFIEENNAGNAAATLMGCLAPRAKRTQNRALLEQLAAIVNDDKGMNRLQQGG >ORUFI02G39540.1 pep chromosome:OR_W1943:2:33506190:33507415:-1 gene:ORUFI02G39540 transcript:ORUFI02G39540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKEEDTEEVMFENKFTRYRKGALVGTKSGFAFIVYPMPSVGYFDDPLVARRDQASALGAEGKGKMGKAYMSQKKGVKAGGRARGRGAK >ORUFI02G39550.1 pep chromosome:OR_W1943:2:33521889:33524885:-1 gene:ORUFI02G39550 transcript:ORUFI02G39550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGFWHSFANRGIFLFLWSQEMLLSYSSVPDIEKIVDSLLRQRQTLLPKGLNVREIHSRKPQHYRTRILEEFRDSSRLILVASDISTRGMNYPGVTLVIQSVPASAIPFVRRRAPAAIVEELASGGKDKIVDGAMDEWIGVANLQ >ORUFI02G39560.1 pep chromosome:OR_W1943:2:33525933:33526328:-1 gene:ORUFI02G39560 transcript:ORUFI02G39560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCITVWDHWCEIETDKVKREKQGYCDKTNVEWESSAPDGFRHYNLTKLTIYGFQPNENFMGYIRHVMEAAVNLENISLHDRKVLKCCEELDPKIKVAPSRYPQTIEEQELLRKQITEGLVMASPHAVHFRS >ORUFI02G39570.1 pep chromosome:OR_W1943:2:33525971:33537645:1 gene:ORUFI02G39570 transcript:ORUFI02G39570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGYLDGATLILGSNSSQHLSTFLSCRDMFSRVTLFFPFHLVGCGEDVHGGAAIAGCGAGAVDAGEERSVGAGEEGEKRPAAAVAEVREGGSCSPSRI >ORUFI02G39580.1 pep chromosome:OR_W1943:2:33526919:33527630:-1 gene:ORUFI02G39580 transcript:ORUFI02G39580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKKGSRCNRNKSDPVMLDGFTKLPEDVLFNILDRLNTPDAVRTCLLSKRTLHLRHMLSNLHIRINSFVPRCGYATLKDTIPMNAAVAGATDNILSFRRQDIPLRKLSIGFYLRYYDCLTIGKAVARAMATHNIHSAEFNILTEKPPDYSTIDDRRRDGKQLMTFFCSCTDAFAGLTRLHLRNLKLC >ORUFI02G39590.1 pep chromosome:OR_W1943:2:33533697:33535809:-1 gene:ORUFI02G39590 transcript:ORUFI02G39590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNKRENFNIRVATPARTTASSFTDLSDCGCRPLLPLLTGAYGPLLAGVYGPRATSCNSRAAVDILAAPCPMRYQEVIRLSHFLANVPNISDLYLNFGSEKRKALDKARRRGTLAYLAQ >ORUFI02G39600.1 pep chromosome:OR_W1943:2:33541857:33544673:-1 gene:ORUFI02G39600 transcript:ORUFI02G39600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFYACTDAFAGLTRLHLRNLKLAETDIPNIIATCKRLEYLRLSMCQTEDSVLQLQVEHPRLAELDISSAGLELVELNSLPNLKRLVFSLWLCPQEPLSFGNIPLLSSLSLTNVSMRWQKVIRLSQFLSSAPTIRDLHLSFLSEKIWVQPECPKLLSPVLQNLQVLNLDELPEGRDIAWTCFFLEAAPSLKEVCITVWDHWCDMETDSVEREEQGFCEKTNVEWESSTPDGFRHYNLTKLTIYGFQPNENFMGYIRHVMEAAVNLEDISLYDRKVLECCEDLDPKIKVAPSGYPETIQEQELLKKQITEGLVMASPHIIHFRVVILSTPDAVRTCLLSKRTIHLRHLLSNLDISVDSFVPHYYGYYATSKDAIQIQMNAAVSDATDNILNFRNQEIPLRQLTICFYLKYYDCLTIGKTVARAMATHSHNLDSAEFSILTGKRAQHCSIDDLRHNGKQLMTFFGACTDAFAGLTRLHLRNLRLAETDILNIIATCKRLEYLRLSMCQTEDSVLQMKFEHPRLVELNISSAGLELVELSSLPNLKRLVFSLWNCPQEPLSFGNVPLLSSLSLTDESMRWQKVIRLSQFLPNVLSIRDLHLNFSSEKIWVQPECPKLLAHVLRNLQVLNLDELPEGCDIAWTRFFIEAAPVLKELCITVWDHWCEMETDSVEREAQGFCDKTDVEWESSAPDGFRHYNLTKLTIYGFQPNDNFLGYIRHIMEAAVNLEDVSLYDRKVLECCEDLDPKIKVASTGYPEAIQEQELLKKQITEGSVMASPHIIHFRS >ORUFI02G39600.2 pep chromosome:OR_W1943:2:33544677:33545384:-1 gene:ORUFI02G39600 transcript:ORUFI02G39600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSRRNRNKSAAHNNHLPVVDRFTKLPDDVLLNILDRLNTPDAVRTCLLSKRTIHLRHLLSNLNISLHFFLPHYYGYYTTSMDAIRIHMNAAVSDATDNILNFRNQEIPLRQLSITFYLNYYDCLTIGKAVARAMATHKLDSAEFIILPDKKLQYCTVDDRRRNGK >ORUFI02G39610.1 pep chromosome:OR_W1943:2:33545846:33550583:-1 gene:ORUFI02G39610 transcript:ORUFI02G39610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPRTFPGGLSKWQHKRMHEKLARHKERGLLRHEKQLYLARLRSEIRASRLPAAGASPPDDGDGPTSSRAHIRALADRFLLPGAEDLWNEDDGPIHRADRPRPPRRIVSVGGNGGDRRKLDSTKQELPRGGKEPRLAAFNPRRDFQTAAPWWWQWSSSSAIPSRTKEASFCFFGPKRSYSVMPLFQAHQESSGTSMVPLIARGLASARIAPSQLNGERFYSFAAGRFGRKLRPDSSDEDDEDISTAKKDMRFARFGASSKEESGYDELEARSAIRKKWSSAALRNCDMKKERRALKSYEEENNDLAGSFRELREEIKNREVLGAERRRYESRGESLFTNKRFEECGISPLTVKALTDAGYVQTTVVQETALPMCLEGKDVLVKAKTGTGKSAAFLLPAIESVLNAMKSHTNHRVSPIFSLILCPTRELAIQLTAEANVLLKYHQGIGVQSLIGGTRFKLDQRRLESDPCQILVATPGRLLDHIENKSSFSVRLMGLKLLVLDEADHLLDLGFRTDIEKIVDSLPRQRQTLLFSATIPKEVRRVSQLVLKRDHVFVDTVGLGAVETPTKVEQLYLVMPHELHFHMVYRLLREHIDQEVDYKVIVFCTTAMVTEFMYIMLRDLKLNVREIHSRKPQLYRTRISEEFRDSSRLILVTSDVSTRGVNYPGVTLVIQVGVPSDREHYIHRLGRTGREGKSGKGILLLAPWEEYFLNEIHDLPVQKSQTPNIDEEMKRKVDGSIKIVDMSIKEAAYHAWLGYYNSIGDVGRDKTMLVDLANRFCKSIGLEKPPALYRKTALKMGLKDVPGIRIRK >ORUFI02G39620.1 pep chromosome:OR_W1943:2:33550808:33554739:1 gene:ORUFI02G39620 transcript:ORUFI02G39620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRVLVVGGSGYLGQHLLAALAAGGEVDIAFTHHRDTPPQPLLHALPGLRAFRVDLRSGDGLRAVSESFGQLRQVAAAAIGGGVVAVDGADAGRGRCLEGSIDTEKYLSFGSDRGHADWVVKKLRHANLMPHVIVNCAAISVPRQCETDPAAAMATNVPSSLVTWLLSFGNDNTLLIHLSTDQVYEGVKSFYKEDDETLPVNMYGKSKVAAEKFIIEQCSNYAILRSSIIYGPQTISPVAKSLPIQWMDSVLSQGQQVQFFNDEFRCPVYVKDMVDVVLSLTKSWLADGKAVQVLLNVGGPDRVSRLQMAESVADVRGYSHSIIKSVSASSVDRGVASPPDISMDITKLTQMLGIKPISFQDGVRATLAAEATILLGEASTNFAENCGSIKTVSGPSATSLMQVKSKTDINEGLNSYACSC >ORUFI02G39620.2 pep chromosome:OR_W1943:2:33550808:33554739:1 gene:ORUFI02G39620 transcript:ORUFI02G39620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRVLVVGGSGYLGQHLLAALAAGGEVDIAFTHHRDTPPQPLLHALPGLRAFRVDLRSGDGLRAVSESFGQPHVIVNCAAISVPRQCETDPAAAMATNVPSSLVTWLLSFGNDNTLLIHLSTDQVYEGVKSFYKEDDETLPVNMYGKSKVAAEKFIIEQCSNYAILRSSIIYGPQTISPVAKSLPIQWMDSVLSQGQQVQFFNDEFRCPVYVKDMVDVVLSLTKSWLADGKAVQVLLNVGGPDRVSRLQMAESVADVRGYSHSIIKSVSASSVDRGVASPPDISMDITKLTQMLGIKPISFQDGVRATLAAEATILLGEASTNFAENCGSIKTVSGPSATSLMQVKSKTDINEGLNSYACSC >ORUFI02G39630.1 pep chromosome:OR_W1943:2:33556409:33556678:1 gene:ORUFI02G39630 transcript:ORUFI02G39630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSKQHLVPFILLLLLVMSHLPISSLGSRRAFREEAVSGFRSHELAPTMAPSQEKEAGVVAGAGSICGQKYAVSRRMVPQGPNPLHN >ORUFI02G39640.1 pep chromosome:OR_W1943:2:33559094:33560393:-1 gene:ORUFI02G39640 transcript:ORUFI02G39640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWASWVGASVTSAFFASLERCSCINLSTDDDDDLADAHESKDRPLVLSDANAHAHTDAHPDPPAAAADADKHKDDKLPPFTIAYDQMSVDVSDNECLSLNVDSQAIIFAMKSHNNCA >ORUFI02G39650.1 pep chromosome:OR_W1943:2:33561035:33566602:-1 gene:ORUFI02G39650 transcript:ORUFI02G39650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLAAASMGVVTEVLGSDVVDEVDQPIIDYIANVLADEDFDFGAPDGHGIFDALGDLLIDARCVADEEHCLEVCSKICEKFGKHGLVKPKQAMRSLVTPLRMNEGMDDKVAPKKQADVFDGPLLSSRDKAKIERKKRKDERQREAQYQTHVAEMEALRAGMPPVFVNHNNSGGPAVRDIHMENFSVTVGGRDLIQDCTVTLAFGRHYGLVGRNGTGKTSFLRAMAMHAIDGIPKNCQILHVEQEVVGDDTTALQCVLNADIERVQLLQEEAHLVQRQKDLEYEAEFEQSASKSKDGLDKDAISKRLEEIYKRLEFIDADAAEARAASILAGLSFTPEMQRKRTKQFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLLKWPKTVIVVSHAREFLNTVVTDILHLHGQKLHAYKGDYDTFERTREEHLKNQQKAFETNEKARSHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPTLFKNLNFGIDLDSRIAMVGPNGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFNQHHVDGLDLTVNPLLYMMRCYPGVPEQKLRAHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLVFQGGVLMVSHDEHLITGSVDELWVVSEGRVSPFAGTFKDYKKMLKS >ORUFI02G39650.2 pep chromosome:OR_W1943:2:33561035:33566602:-1 gene:ORUFI02G39650 transcript:ORUFI02G39650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLAAASMGVVTEVLGSDVVDEVDQPIIDYIANVLADEDFDFGAPDGHGIFDALGDLLIDARCVADEEHCLEVCSKICEKFGKHGLVKPKQAMRSLVTPLRMNEGMDDKVAPKKQADVFDGPLLSSRDKAKIERKKRKDERQREAQYQTHVAEMEALRAGMPPVFVNHNNSGGPAVRDIHMENFSVTVGGRDLIQDCTVTLAFGRHYGLVGRNGTGKTSFLRAMAMHAIDGIPKNCQILHVEQEVVGDDTTALQCVLNADIERVQLLQEEAHLVQRQKDLEYEAEFEQSASKSKDGLDKDAISKRLEEIYKRLEFIDADAAEARAASILAGLSFTPEMQRKRTKQFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLLKWPKTVIVVSHAREFLNTVVTDILHLHGQKLHAYKGDYDTFERTREEHLKNQQKAFETNEKARSHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPTLFKNLNFGIDLDSRIAMVGPNGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFNQHHVDGLDLTVNPLLYMMRCYPGVPEQKLRAHLGSFGVTGNLALQPMYTLSGNESVLIDVLSILKAAYGLSQFHEKLRTILLPNDSSARRILNLSVLIRAFPIPGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLVFQGGVLMVSHDEHLITGSVDELWVVSEGRVSPFAGTFKDYKKMLKS >ORUFI02G39660.1 pep chromosome:OR_W1943:2:33567127:33576210:-1 gene:ORUFI02G39660 transcript:ORUFI02G39660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKSARESGSLNLSNRSLREVPKEVYNNLDTGAQDEKWWEGVDLQKLILAHNNLEVLREDLRNLSSLVVLNISHNNISSLPAAIGDLPLLKSLDVSSNQINALPEEIGFATALVKVDCSNNCLTDLPVSLARCLELSELNASNNTISVLPDELAGCSKLFRLNLEGNKLVTLSDKMFMSWTMLTEMNAAKNLLTAIPDGIGALSKLIRLDLHQNKITLIPPSIKDCSSLAEFYMGNNLLTSIPEDIGMLSNLGILDLHSNQLKEYPVGACRLKLSFLDLSNNSLSGLPAELGTMTTLRKLLLTGNPMRTLRSSLVSGPTTALLKYLRSRLSSDEGASGSGSTPTKDDQIAAARRLSLSSKELDLSGLGVTSVPPAAWETNDVMKLDLSKNSIEDLPNELSLCSSLQSLILSNNKIKRWPGTVFSSLASLSLLKLDNNPLAEILATDLEALSKLEVLDLSGSASSLPEPSAVSKLPHLKELYLRRMKLHGFPDSLLGLKLLRILDLSQNYLTSVPEGIKDLTSLIELDLSDNNITTLPPELHTADSAGARNESNSETPSLVPGKDRLSDLPDDVVLNILERLDTSDAMKTCILSKNMRATLPDMLSRIAVDVAAFSRPNHRRLTLREVVRTNGAVADLTAAVLEFRRPEIPVHHLALRFYLRYYDCISIAGTVARAMAARKLAAGAAFHTLFTAYPAVFAGLTRLQLENLWFGDSDIAGILLTCKNLRFLRLFNCKSVRRSVLQVEHNHLVELEISHGNFETIELVHVPKLQTMKCQGWISYRDPLFFGYTPLLQSLSLVDTGMSWKNSIRLSHFLANAPSLHQLNLNFQSEKIWVEPEGWKRLAPVLGELRHVTLVDLPEGCDIAWTMFIVEAAPRLESLSIRVWDHCCKMERDETTRQENGYCDKSNVEWQPSVANLEHRNLAKLTIVGFQPDEHFVGFIRRVMESAVNLEEISLYDRVVGRCCSYLDPKTKSKVVPSRYPRTMKEQVLLRKEMTKGMDLSHVIHFRS >ORUFI02G39670.1 pep chromosome:OR_W1943:2:33577426:33579417:-1 gene:ORUFI02G39670 transcript:ORUFI02G39670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKKGRRNKPACHSVKDKDRLTTLPNDVLLNILERLDTADDIRACTLCKRMTKLPAELSRIVVDANSFTPNKIAPDLLTLSDVVQMNEALAGATEKLLNFRSQQIALRQLSLRFYLRYYDCLTIGKAVQHAMSTYNLETLEFTILTEKQGGCCEETHMLCFGKQFRTFLAAFPDAFAGLTRLQLQHLHFAEPDIPNLLTTCKQLKHLRLFSCLNQDDPAVLRIEHPQLVELDINYGDFEFVELKCLPKLRHMAYVHWDCHGDPLSFGDIWVRPECPKLLGPVFHKLQRVSLVDVPEGCNIDWTMFILEAAPSLKEICITIWDRWCNMKTEEDRREEGYGDKTVVDWESSAPDGFRHENLSKVTIYGFQPDDNLVGFVRRVMEVAVNLEEVSLYDRKVCENCGDLDPKIKMKVSPSRYPCTMEKRELLKNQIIAEGLGIGCPDVIHFRS >ORUFI02G39680.1 pep chromosome:OR_W1943:2:33579063:33579526:1 gene:ORUFI02G39680 transcript:ORUFI02G39680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESSAGSLVILLQRVQALMSSAVSSRSRMLRRTSLGRVENQSNQCEGREERGYLHCGDLSCSSPFDTRLINIDLTCCTSVGGCCLDTGNTSPLYLFYLV >ORUFI02G39690.1 pep chromosome:OR_W1943:2:33583705:33586385:1 gene:ORUFI02G39690 transcript:ORUFI02G39690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVEHMTWMKEMISLDDEGTIKLNQQLDGFSRFGLVLANLRDAELKVQPLTVAIQNIKISFTYTDELSWRLVHGLPESVRGWSTRCNAALEEMLNLGGLSSKAKAWEKRVKKLNISNNGVYPAASAKKHRSSEMLRTLSLGVARY >ORUFI02G39700.1 pep chromosome:OR_W1943:2:33587751:33588068:1 gene:ORUFI02G39700 transcript:ORUFI02G39700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRKTKKAAAAEDEDGRPWAAGEGGGTVAVAEDGGGGGRGRRRRRRPGKTGTAAAGKDGDDGDAEDGDHGEAERRAERTRGGTGAACATIAAGPRLGPRISPGG >ORUFI02G39710.1 pep chromosome:OR_W1943:2:33591512:33596328:1 gene:ORUFI02G39710 transcript:ORUFI02G39710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAGGGGLTAIRLPYRHLRDAEMELVSLNGGTPRGGSPKDPDATHQQGPPAARTTTTRKLVLACMVAAGVQFGWALQLSLLTPYIQTLGIDHAMASFIWLCGPITGFVVQPCVGVWSDKCRSKYGRRRPFILAGCLMICFAVTLIGFSADLGYILGDTTEHCSTYKGSRFRAAIIFVLGFWMLDLANNTVQGPARALLADLSGPDQCNSANAIFCTWMAVGNVLGFSSGASGNWHKWFPFLMTRACCEACSNLKAAFLVAVVFLLFCMSVTLYFAEEIPLEPTDAQRLSDSAPLLNGSRDDNNASNEPRNGALPNGHTDGSNVPANSNAEDSNSNRENVEVFNDGPGAVLVNILTSMRHLPPGMYSVLLVMALTWLSWFPFFLFDTDWMGREVYHGDPNGNLSERKAYDNGVREGAFGLLLNSVVLGIGSFLVDPLCRLMGARLVWAISNFTVFICMLATAILSWISSDLYSSKLHHIIGANKTVKNSALIVFSLLGLPLSITYSVPFSVTAELTAGTGGGQGLATGVLNLAIVVPQIVVSLGAGPWDALFGGGNVPAFALASVFSLGAGVLAVLKLPKLPNSYRSAGFHGFG >ORUFI02G39710.2 pep chromosome:OR_W1943:2:33588344:33591814:1 gene:ORUFI02G39710 transcript:ORUFI02G39710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPLMRGIRPPRVFPTRGGRTSPLALALAALLLASALLLALIAFGVFSLPVSAPNAATTDSAAAGGDAEPADPRPPRTRARRDLSEGLGERGAQWTEVISWEPRAFVYHNFLSKEECDYLIGLAKPHMVKSTVVDSTTGKSKDSRVRTSSGMFLQRGRDKVIRAIEKRIADYTFIPMEHGEGLQVLHYEVGQKYEPHFDYFLDEYNTKNGGQRMATLLISDVEEGGETIFPDANVNSSSLPWYNELSECARKGLAVKPKMGDALLFWSMKPDATLDPLSLHGGCPVIKGNKWSSTKWMHSNPEEKESLVVWNRQNTLGGIMREEWNERQASRRRARECVICVGCLPLGFASLLCRAFAEGGRPTHQSNTQAHHADAAAGEETISYSSSPRVASPESDSPNADQ >ORUFI02G39720.1 pep chromosome:OR_W1943:2:33596509:33601829:1 gene:ORUFI02G39720 transcript:ORUFI02G39720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEVDAAPDLPNGSSATATTDKKKSRESERRRRRRKQKKNKAASNAADADAAGDAGADDDAAEEKPDVKPQVEVEVEYVPEQADLDDGLLADFKSIFDKFTFKDSSADAEDDEKKDEAGTDAAKKAAGSDSDDDEQGTQQKKEGGLSNKQKKLQRRMKIAELKQICNRPDVVEVWDATASDPSLLVYLKSYRNTVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGMLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPPGASFGYRPGEWGKPPVDEHGRPLYGDVFGVLQQDEPNYDEEPVDRSKHWGDLEEEEEEEEEEEEEEEEEPMEDEDMEDGMQSVDTISSTPTGVETPDVIDLRKLQRKEPEKQTEKQLYQILEQKEERIAPGAIYGSSHTYAIGAQDKAGPKRVDLLKNQKSDKVDVTIQPEELEVMDDVLAAKYEEAREEEKLRNQKEDFSDMVAENASKRKRKQEKDGKSKKKDFKF >ORUFI02G39720.2 pep chromosome:OR_W1943:2:33596509:33601829:1 gene:ORUFI02G39720 transcript:ORUFI02G39720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEVDAAPDLPNGSSATATTDKKKSRESERRRRRRKQKKNKAASNAADADAAGDAGADDDAAEEKPDVKPQVEVEVEYVPEQADLDDGLLADFKSIFDKFTFKDSSADAEDDEKKDEAGTDAAKKAAGSDSDDDEQGTQQKKEGGLSNKQKKLQRRMKIAELKQICNRPDVVEVWDATASDPSLLVYLKSYRNTVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGMLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPPGASFGYRPGEWGKPPVDEHGRPLYGDVFGVLQQDEPNYDEEPVDRSKHWGDLEEEEEEEEEEEEEEEEEPMEDEDMEDGMQSVDTISSTPTGVETPDVIDLRKLQRKEPEKQTEKQLYQILEQKEERIAPGAIYGSSHTYAIGAQDKAGPKRVDLLKNQKSDKVDVTIQPEELEVMDDVLAAKYEEAREEEKLRNQKEDFSDMVAENASKRKRKQEKDGKSKKKDFKF >ORUFI02G39730.1 pep chromosome:OR_W1943:2:33602579:33604401:1 gene:ORUFI02G39730 transcript:ORUFI02G39730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKSNTDNNLEVFLQAATPCLRWRSASMECFQDPSKVWQLDKKKDEVNYFALEDLWEHYAESSAYGLAVPVRLESGNTITQHFVPYLSAIQIYTSTKSLLAFSRGSAGSESDSWSDDSTGDKLSRSWDAAMSDDDDSSHDSSESVSAKQGAGCLNFQYSEWSSPYERVPLADKVAELAQHYPCLTSLNSAQLSPSSWMSVAWYPIYHIPARGNLKGLSTCFLTYHSLSSVFQDNVEEGRSVVGVSPFGLATYRAEGKLWTSSRSSDLFWAASSWLKQLRAYHPDFIFFTSHCRQSAF >ORUFI02G39740.1 pep chromosome:OR_W1943:2:33605121:33612447:1 gene:ORUFI02G39740 transcript:ORUFI02G39740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAASKDEEFKPNPTRGVKQRSERTTQRKKKRCSDGGTDKWVPPTAETPRGTHAPSCKVDETRRPNQRPRHPCVLRIGFPPTPTLSLYIPVPPTPTNYLQPGPQPPRRSPSPSPSPYVFGGEAMALAFLLGFLLGLLALAALEAAALLWLVRRLRRRDSAPQPAPDADELPGERPFPYEKQGFLWILEPEKTPKASNERSSIGGPKETKEKKNIVEVFPAKRSAKIKGRSLILSGPDGFHTTIKLLNCTVCYLYAETSWEKESWCKALRLAATADKEKKNWHAKLSEEFNNYISSLNSEYPGFLKPTVFSSEDHEVMDRAIKTDGSSKVRLFLKKLAKKASTKVPLEGKTSSGSSTQGERKILDKLRSYQGTPFIEGLMGSQDDKSNSSSSQDTVKPSPTSPALGQIGQPSAFPDVNADDRIADEGTLCWNLLSSRLFFDAKMSDEIHKAIKARIQRTLSSMRTPPYVGDITLADFSLGKLPPYVHAMRVLPLDLNELWAFEVDFEYSSGILLHIETRLEVQEPELQKDIMKSNFGTDSNGEVESDLLESIEQYGNQFRDSQNSVSSVEEKGEPDGSQPKSTGWTSAYISGWKNIMHSIADHVSQVYTSPFGLHASLSYVLHVSEHISDLLAQVPLSLAIKISSVRGVLRVHVKPPPSDQLWYGFTSMPDLEWDIESSIGDRKITNSHIGSLIGNRFKASLRDSLVLPNCESISIPFMLAEKDDWVPLKDAPFIWLNREPTETRSHAAAVTPTRPDEVILKDDASNKTVAPSLPNSSARSEETLKTAASIDEPTQVPVAAADASHEPRKTPLAPAGEASSPSSPDTIDELRKPLLITEKIQEEDSESKVESPSPLYTSLRGIVPAGEQSGDESKRKGGRRARMMDFGKKMGDKLEEKRRHIEEKGRNIVEKMRENARTNSFDRSMTSSSHSNSQSQ >ORUFI02G39740.2 pep chromosome:OR_W1943:2:33605121:33612447:1 gene:ORUFI02G39740 transcript:ORUFI02G39740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAASKDEEFKPNPTRGVKQRSERTTQRKKKRCSDGGTDKWVPPTAETPRGTHAPSCKVDETRRPNQRPRHPCVLRIGFPPTPTLSLYIPVPPTPTNYLQPGPQPPRRSPSPSPSPYVFGGEAMALAFLLGFLLGLLALAALEAAALLWLVRRLRRRDSAPQPAPDADELPGERPFPYEKQGFLWILEPEKTPKASNERSSIGGPKETKEKKNIVEVFPAKRSAKIKGRSLILSGPDGFHTTIKLLNCTVCYLYAETSWEKESWCKALRLAATADKEKKNWHAKLSEEFNNYISSLNSEYPGFLKPTVFSSEDHEVMDRAIKTDGSSKVRLFLKKLAKKASTKVPLEGKTSSGSSTQGERKILDKLRSYQGTPFIEGLMGSQDDKSNSSSSQDTVKPSPTSPALGQIGQPSAFPDVNADDRIADEGTLCWNLLSSRLFFDAKMSDEIHKAIKARIQRTLSSMRTPPYVGDITLADFSLGKLPPYVHAMRVLPLDLNELWAFEVDFEYSSGILLHIETRLEVQEPELQKDIMKSNFGTDSNGEVESDLLESIEQYGNQFRDSQNSVSSVEEKGEPDGSQPKSTGWTSAYISGWKNIMHSIADHVSQVPLSLAIKISSVRGVLRVHVKPPPSDQLWYGFTSMPDLEWDIESSIGDRKITNSHIGSLIGNRFKASLRDSLVLPNCESISIPFMLAEKDDWVPLKDAPFIWLNREPTETRSHAAAVTPTRPDEVILKDDASNKTVAPSLPNSSARSEETLKTAASIDEPTQVPVAAADASHEPRKTPLAPAGEASSPSSPDTIDELRKPLLITEKIQEEDSESKVESPSPLYTSLRGIVPAGEQSGDESKRKGGRRARMMDFGKKMGDKLEEKRRHIEEKGRNIVEKMRENARTNSFDRSMTSSSHSNSQSQ >ORUFI02G39750.1 pep chromosome:OR_W1943:2:33610821:33613197:-1 gene:ORUFI02G39750 transcript:ORUFI02G39750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPPVQTLRLLLLTPFPPPPRLRFHRLTATGRSDNAAAASGTTARERRLAKNACRDDDELRAILGDSIGNPELMKQRIQERVRKKGRAQFNKSKTGSIVAFKVSFRDFNPLNSFIWFELFGEPTDRDVDLLGGVIQAWYVMGRLGAYNSSNLQLANSMLDYDPSYDSDQASGVMPSSFHDISDVEFQDNWGRVWVDLGTSDYLGLDVLLNCLTQLSSEHLGIKQVVFGGKKMGDWEEGMKNSDYGYRHFKI >ORUFI02G39760.1 pep chromosome:OR_W1943:2:33615337:33620591:-1 gene:ORUFI02G39760 transcript:ORUFI02G39760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRHALVHLITLGMVISSALMIWKGLIIMTGSESPLVVVLSESMELGFERGDILFLQMSKHPIRTGDIVVFNDGREIPIVHRVIVEVVHERRDNAQVDFLTKGDNNPMDDRILYTHGQLWLQQHHIMGRAIGYLPKAGWVTLVMTEKPVIKYCNVEGFCIFFRPDGWVQNAIQNLAPPPAGVEAVCAAVIKSTLTQPHNHHHLLAASPSLLAAVLHRLSPLPSTALAFFRSLPPPHPLDASLALLRLLAPHPRHHPTARSLLRDLSLRHPLSSPLLLPSLLADPHLPSWLLLLLSQSARPHDALRVFDHMRAREVVPDAHASSALLTALAKSRMTATARKVFDQMTRAGVAMNTHVYNAMLHVCLKAGDAALAESLMTRMDAAGVPLDRFSFNTVIALYCRKGMQYEAMCVRERMENQGVKADVVTWNSLIHGLCKERRVKEASQLLREMAMAGVAPDHVTYTTLVDGYCRAGDLEEAVKLRGEMEAMGMLPGVATYNAILRKLCEDGKMKEVNVLLNEMDERKVQADHVTCNTLINAYCKRGDMTSALKVKRRMMESGLQLDQFTYKALVHGFCKAKELDEAKEALFEMMGAGFSPNYSVLSWIVDGLCNKNNAVAVLAIPDELMKRGFPPDKAVYRSLIRRLCKKGFIDLAGNVFNEMQGKGLEADCLVYATLACAYLTAGKPVAALDILNEMAKKQLYITPQIYNCMCTSYADEKGSLNMLWVHAIERGLITKSVYKVMHQARMKSSNPATNQAANLQVMGCFNSKPNNAGAIRRRHGRVEVDVCAWIMSS >ORUFI02G39760.2 pep chromosome:OR_W1943:2:33616434:33620591:-1 gene:ORUFI02G39760 transcript:ORUFI02G39760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRHALVHLITLGMVISSALMIWKGLIIMTGSESPLVVVLSESMELGFERGDILFLQMSKHPIRTGDIVVFNDGREIPIVHRVIVEVVHERRDNAQVDFLTKGDNNPMDDRILYTHGQLWLQQHHIMGRAIGYLPKAGWVTLVMTEKPVIKYCNVEGFCIFFRPDGWVQNAIQNLAPPPAGVEAVCAAVIKSTLTQPHNHHHLLAASPSLLAAVLHRLSPLPSTALAFFRSLPPPHPLDASLALLRLLAPHPRHHPTARSLLRDLSLRHPLSSPLLLPSLLADPHLPSWLLLLLSQSARPHDALRVFDHMRAREVVPDAHASSALLTALAKSRMTATARKVFDQMTRAGVAMNTHVYNAMLHVCLKAGDAALAESLMTRMDAAGVPLDRFSFNTVIALYCRKGMQYEAMCVRERMENQGVKADVVTWNSLIHGLCKERRVKEASQLLREMAMAGVAPDHVTYTTLVDGYCRAGDLEEAVKLRGEMEAMGMLPGVATYNAILRKLCEDGKMKEVNVLLNEMDERKVQADHVTCNTLINAYCKRGDMTSALKVKRRMMESGLQLDQFTYKALVHGFCKAKELDEAKEALFEMMGAGFSPNYSVLSWIVDGLCNKNNAVAVLAIPDELMKRGFPPDKAVYRSLIRRLCKKGFIDLAGNVFNEMQGKGLEADCLVYATLACAYLTAGKPVAALDILNEMAKKQLYITPQIYNCMCTSYADEKGSLNMLWVHAIERGLITKSVYKVMHQARMKSSNPAV >ORUFI02G39760.3 pep chromosome:OR_W1943:2:33615337:33620591:-1 gene:ORUFI02G39760 transcript:ORUFI02G39760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRHALVHLITLGMVISSALMIWKGLIIMTGSESPLVVVLSESMELGFERGDILFLQMSKHPIRTGDIVVFNDGREIPIVHRVIVEVVHERRDNAQVDFLTKGDNNPMDDRILYTHGQLWLQQHHIMGRAIGYLPKAGWVTLVMTEKPVIKTNQAANLQVMGCFNSKPNNAGAIRRRHGRVEVDVCAWIMSS >ORUFI02G39760.4 pep chromosome:OR_W1943:2:33615272:33616226:-1 gene:ORUFI02G39760 transcript:ORUFI02G39760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCIIDFCCLQEVAPCVLWLDKSSCKFAGDGVLQFQTKQCRCDQKKTWEGRSGCLRLDYEFLRLRGAASRTWIIFMATHEHYS >ORUFI02G39770.1 pep chromosome:OR_W1943:2:33621369:33624266:-1 gene:ORUFI02G39770 transcript:ORUFI02G39770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFNSKPNDTGAIRRRPGSIGEVAVFVPGLRVPESSDELPLQPLGDGLPRRLTERLAALRNRIIVMAAHEALYMTKPTWRITITQHGGSKSADLLQALEDYLPTLLGLVKDGSELEDKVQFAWMNQEDDAEDTSMPSAWYEVLSVLHLMALLRLSQANSLLVPKTSIEGYHAKVSEENKRASVEIFLKAAGYLECAIQHVLPKISPEKRWKGLPVDLAEGILKAICMQALGQAIDVQLGLAIDSPKATLAVRRRLACEMVKCWQQAHESISDLPLLDGWAEKHRLFVTWKHIEAKAAAYYYHGLILDEGNSEKSHRTAVAALQSAEELLKESKAACEAFHAASPKPAAVGIDEEKIHKESSCKVRINKDLYNKDNIIIHDHADSAPPLPDFAVALKPDEYRLPPPLTDTN >ORUFI02G39770.2 pep chromosome:OR_W1943:2:33621367:33624286:-1 gene:ORUFI02G39770 transcript:ORUFI02G39770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFNSKPNDTGAIRRRPGSIGEVAVFVPGLRVPESSDELPLQPLGDGLPRRLTERLAALRNRIIVMAAHEALYMTKPTWRITITQHGGSKSADLLQALEDYLPTLLGLVKDGSELEDKVQFAWMNQEDDAEDTSMPSAWYEVLSVLHLMALLRLSQANSLLVPKTSIEGYHAKVSEENKRASVEIFLKAAGYLECAIQHVLPKISPEKRWKGLPVDLAEGILKAICMQALGQAIDVQLGLAIDSPKATLAVRRRLACEMVKCWQQAHESISDLPLLDGWAEKHRLFVTWKHIEAKAAAYYYHGLILDEGNSEKSHRTAVAALQSAEELLKESKAACEAFHAASPKPAAVGIDEEKIHKESSCKVRINKDLYNKDNIIIHDHADSAPPLPDFAVALKPDEYRLPPPLTDTN >ORUFI02G39770.3 pep chromosome:OR_W1943:2:33621367:33624286:-1 gene:ORUFI02G39770 transcript:ORUFI02G39770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFNSKPNDTGAIRRRPGSIGEVAVFVPGLRVPESSDELPLQPLGDGLPRRLTERLAALRNRIIVMAAHEALYMTKPTWRITITQHGGSKSADLLQALEDYLPTLLGLVKDGSELEDKVQFAWMNQEDDAEDTSMPSAWYEVLSVLHLMALLRLSQANSLLVPKTSIEGYHAKVSEENKRASVEIFLKAAGYLECAIQHVLPKISPEKRWKGLPVDLAEGILKAICMQALGQAIDVQLGLAIDSPKATLAVRRRLACEMVKCWQQAHESISDLPLLDGWAEKHRLFVTWKHIEAKAAAYYYHGLILDEGNSEKSHRTAVAALQSAEELLKESKAACEAFHAASPVSRRAIHSFQISPPLWGSMRYLQEKIHKESSCKVRINKDLYNKDNIIIHDHADSAPPLPDFAVALKPDEYRLPPPLTDTN >ORUFI02G39770.4 pep chromosome:OR_W1943:2:33621369:33624266:-1 gene:ORUFI02G39770 transcript:ORUFI02G39770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFNSKPNDTGAIRRRPGSIGEVAVFVPGLRVPESSDELPLQPLGDGLPRRLTERLAALRNRIIVMAAHEALYMTKPTWRITITQHGGSKSADLLQALEDYLPTLLGLVKDGSELEDKVQFAWMNQEDDAEDTSMPSAWYEVLSVLHLMALLRLSQANSLLVPKTSIEGYHAKVSEENKRASVEIFLKAAGYLECAIQHVLPKISPEKRWKGLPVDLAEGILKAICMQALGQAIDVQLGLAIDSPKATLAVRRRLACEMVKCWQQAHESISDLPLLDGWAEKHRLFVTWKHIEAKAAAYYYHGLILDEGNSEKSHRTAVAALQSAEELLKESKAACEAFHAASPVSRRAIHSFQISPPLWGSMRYLQEKIHKESSCKVRINKDLYNKDNIIIHDHADSAPPLPDFAVALKPDEYRLPPPLTDTN >ORUFI02G39780.1 pep chromosome:OR_W1943:2:33626943:33637867:1 gene:ORUFI02G39780 transcript:ORUFI02G39780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSHLAGALDAARPFLRGEEEQVDPALPKLAAVLRAAGAGECWHKHGTFLAHLLDVHRILRLWGAPDAVARCGLYHSAYSNSYVNLAIFEPDVGRDHVRPIVGAAAERLVHLFCVVPRHQLIHDDLLFHYADQDLVADLAASEASLQDARRGLFHHDGEAWRLKIQRLLPPHGITVKHIRTGEDVALSRRIAATFLLMTMADFSDQLFDWQDRLFDNTNGRLEFSGNTWTSLWPGTGKPGLWTASISRMGALYSLIVREEEIHIAQRKHSNNGQEDDRDEDIELVIPPVFNGCTQVLTADDQKAARDLYWDAVCSGGEDETDWRKVLLNMEMYEEAEEQIEAGVKLLLEWGSSWDKRMPWEAWVSWGRAMLIKAKDKDWPHTSFGILSIGLVNLICADAEVQKKKKKQSGTVEKEQ >ORUFI02G39780.2 pep chromosome:OR_W1943:2:33626943:33637867:1 gene:ORUFI02G39780 transcript:ORUFI02G39780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSHLAGALDAARPFLRGEEEQVDPALPKLAAVLRAAGAGECWHKHGTFLAHLLDVHRILRLWGAPDAVARCGLYHSAYSNSYVNLAIFEPDVGRDHVRPIVGAAAERLVHLFCVVPRHQLIHDDLLFHYADQDLVADLAASEASLQDARRGLFHHDGEAWRLKIQRLLPPHGITVKHIRTGEDVALSRRIAATFLLMTMADFSDQLFDWQDRLFDNTNGRLEFSGNTWTSLWPGTGKPGLWTASISRMGALYSLIVREEEIHIAQRKHSNNGQEDDRDEDIELVIPPVFNGCTQVLTADDQKAARDLYWDAVCSGGEDETDWRKVEEILRRCIGRNPFVGEPHLVLAQVLLNMEMYEEAEEQIEAGVKLLLEWGSSWDKRMPWEAWVSWGRAMLIKAKDKDWPHTSFGILSIGLVNLICADAEVQKKKKKQSGTVEKEQ >ORUFI02G39780.3 pep chromosome:OR_W1943:2:33626943:33629194:1 gene:ORUFI02G39780 transcript:ORUFI02G39780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSHLAGALDAARPFLRGEEEQVDPALPKLAAVLRAAGAGECWHKHGTFLAHLLDVHRILRLWGAPDAVARCGLYHSAYSNSYVNLAIFEPDVGRDHVRPIVGAAAERLVHLFCVVPRHQLIHDDLLFHYADQDLVADLAASEASLQDARRGLFHHDGEAWRLKIQRLLPPHGITVKHISDRDTEIARFFCFCSGGGGATYAHRQGGGGSSGQGRRRPWQGARGRRGEAPAPAATRAPVEEAVPQRAEAAPQRAEDDVVEVRRDHPRCRPDLADAVPSSSTAAGDDDDPFLLANFISASRFLLLCERVCGGKGKETR >ORUFI02G39780.4 pep chromosome:OR_W1943:2:33630100:33631615:1 gene:ORUFI02G39780 transcript:ORUFI02G39780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSDEALDAEQVTTGEDVALSRRIAATFLLMTMADFSDQLFDWQDRLFDNTNGRLEFSGNTWTSLWPGTGKPGLWTASISRMGALYSLIVREEEIHIAQRKHSNNGQEDDRDEDIELVIPPVFNGCTQVLTADDQKAARDLYWDAVCSGGEDETDWRKVEEILRRCIGRNPFVGEPHLVLAQVLLNMEMYEEAEEQIEAGVKLLLEWGSSWDKRMPWEAWVSWGRAMLIKAKDKDWPHTSFGILSIGLVK >ORUFI02G39790.1 pep chromosome:OR_W1943:2:33637878:33639122:1 gene:ORUFI02G39790 transcript:ORUFI02G39790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVDAVVAAARPFLRGDLSQVDPELPSLVSVLCDAGAGECYHKHGTFLAHLIDVYRILRLWGAPDAVARCGLYHSAYSNSYVNLAIFQPDVARDHVRGIIGAAAERLVHLFCVVPRHQLMHDDLHLRYTDAELTAHLAASQASLDAARKSGGGGGDPGEAWRAKLASVVPPEGVVARHIRTGEAVALSRRVLGVFIVMTIADFSDQYTDYQDKLFDNEDGRLEYRGDNWRALWPGSGKPGLWMSAMSRLAAVYRLIATDEEIRELTAGERSVVKREDAELELVIPPVFERCSKVLDAAEQKEGRDLYWEAVCGEWEEGKTERLLRRSIEKNPFVGEPWLVLAQALLNSGHDEEAEAAAEEGVRLVVEWGSSWDKRMSWEGWVSWGRVLRDGAHRRQWPRTAWGIINLGLVK >ORUFI02G39800.1 pep chromosome:OR_W1943:2:33651561:33651894:1 gene:ORUFI02G39800 transcript:ORUFI02G39800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRATRRRRRRRILDKRPKELEQQADRNRGTSETTYMAFFWVSIAHRCNEMRKEITNEFRDVHTD >ORUFI02G39810.1 pep chromosome:OR_W1943:2:33652387:33653097:-1 gene:ORUFI02G39810 transcript:ORUFI02G39810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPDGGGGGGGAPDKQLVPASNANGTALAVRKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTSSPSSLRSNSTSNSNDLLLPRAAPFILGKRLRAADDHTTSPAPAPDATAPTQAFWALPARADFGQLWSFAAAPEMMVAAAAAPAMPGEASAARVGNYLPMAQGNLNLLASFSGGPGGAGATAATGRPEEESAR >ORUFI02G39820.1 pep chromosome:OR_W1943:2:33664434:33664655:-1 gene:ORUFI02G39820 transcript:ORUFI02G39820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLQYTATRSQRAATACFFLTGVALILAAARLSYANIEPQRAKAADRRRVLEDFIRRKRNHALDLEDPPPKP >ORUFI02G39830.1 pep chromosome:OR_W1943:2:33666588:33673265:1 gene:ORUFI02G39830 transcript:ORUFI02G39830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEVEAAAIDGSGEEAKRKSGKQRGSGAKGRRRNGDRAFRPPAMRPEEEGRGVATRPGALRERKPPPNAFNAPDDDEDVEKTDQLLEPLNKPKRRDAGKKRGPRKKKVDQENIKTHRHNANAVKGKMLVNDKVSKTEKKRKRGDTGAAENNGKGKKMLTGENALMCHQCQRNDKGRVVWCKTCNNKRFCVPCINQWYPDLPENEFAAKCPYCRKNCNCKACLRMRGVKEPLRKEISKENQIRYACHVLRLLRPWLIELRQEQMAEKELEAKIQGVSVDQIKVEQAVCDLDERELRKGEIPGGEEVEILDPEERDKDYAFGKILSDGENQRDSLKCRSDTQNSESNKGMASDENQKKALLLWKANSNGSIPCPRKEKEDCSFSSLDLKCLFPEKLLPELEDRSEKVFWSETFAKELGRTSELCPCFDHSGKIRSDSKKLRQAANREDSSDNYLYCPVATDIQDADLLHFQMHWAKGEPVVVSDTLKLTSGLSWEPMVMWRAVRERTKGKAEDEQFAVRAVDCLDWCEVEINIHMFFMGYTRGRTHPRTYWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPGGVLKPDLGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNILTHTSEVPCETYDAVRIKNTQKKMKMQDDMEIYGMIESGSELKPSACPVELGNKAVGEAPKASCSKENVHTLKDKSNGLDINASPPDDAGGDARDEALSYESVVHSDVAQCPNHNHETNNSDDARIGAQRCQKKAKGRPPKTGSGVSEHQESGGALWDIFRREDSEKLQDFLRKHAPEFRHIHCNPVKQVIHPIHDQAFYLTAEHKRKLKEEYGVEPWTFEQKLGEAVLIPAGCPHQVRNLKSCIKVALDFVSPENVGECVRLTKEFRRLPSSHRAKEDKLEIKKMAFHALNEVLNFLDPPSSEGSKEAAEEKPRRGRGRPRKH >ORUFI02G39840.1 pep chromosome:OR_W1943:2:33682492:33684823:-1 gene:ORUFI02G39840 transcript:ORUFI02G39840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFSQPDAFSPSQFTSSQNAAADSTTPSKSRGASSTMPLTVKQISEAQQSGITGEKGAPFVVDGVETANVRLVGLVSGKTERNTDVSFTIDDGTGRLDFIRWVNDGADSAETAAVQNGMYVSVIGSLKGLQERKRATAFAIRPVTDYNEVTLHFIQCVRMHLENTKSQIGSPAKTYSAMGSSSSNGFSEMTTPTSVKSNPAPVLSVTNGSKTDLNTEVLNVFREPANVESEHGVHIDEIVKRFRLPEAKIKVAIDYLADIGHIYSTIDESHYKSAFNE >ORUFI02G39850.1 pep chromosome:OR_W1943:2:33685718:33690295:-1 gene:ORUFI02G39850 transcript:ORUFI02G39850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSAGTPLPPPSLAAASTSSCFLPSLLPIRRRRWPTPKATATAAFPPRRPAPLSANNLPLHTPGVSETTSTSTSSTTFASGTFRGAGGEDPLVSKLRTQLGVIHPLPAPPVNRSVLGLFALFFFVGAAFDKLWTLRKRRRAEREVKVNGTWPQVPTSSFSLFLEEKDLQRKESVEWVNMVLGKLWKVYRPGIENWIVGLLQPVIDNLHKPDYVNRVEIRQFYLGEEPLSVRNVERRTSRRANDLQYQIGLRYTGGARMALALSLKFSAVPVVVPVWVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSLFRLFNLMAIPVLSMFLTKLLTEDLPRLFVRPKKIVLDFQQGRSMGPVAGDVASDVIQNVASGILQDVASDVIQDGNKDFVGELSVTLVDARKLSFVLFGKTDPYVVMILGDQEIKSKKNSQTTVIGQPGEPIWNQDFHMLVANPRKQKLCIQVKDSVGLTDVTIGTGEVELGSLKDTVPTDKIVTLYGGWGLLGKRSKGEVLLRLTYKAYVEDEEDEGVKNEFAAGYVSDEDVLDYVQDSTSKQSDMDGKERETFMDLLAALLVSEEFQGIVSSSEPGSLRDSEQAAKSRDGENAAAAADTGTVSNSSTDTALVWLAAITSVMVLVSSNLGGSGYFNP >ORUFI02G39860.1 pep chromosome:OR_W1943:2:33690988:33697527:1 gene:ORUFI02G39860 transcript:ORUFI02G39860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHSNSNSRTVRQMRRLWWQMSGQLCRIRPNCLPHEQREQRRPLLLSFLDDHLLPPSPTHLPLLLSSLLSFPSDHPLRDHLLLTVTSAFASALSLPVSTDHADPLSALVNALLASANRPNHAPDRAARALACDALRALDAALPGLLADVLGHVYALAAAERSPAAQSYLLLLASAARHVVRLGRLPSTTSILAVSGPPTPFFVPAHLLAPAPDPANPVPPPSEVNLRDIRKVLALIMDRPQVLTPASGMEMAAILAEVSAAVVGWAPAIAAHVKVQFGGMAHSSSLMLLHSLLTLFIQFPDAFGAEDERKMARRLALAACEAHRPLTARLLALHWLLGSGKFRYAVPGLAKWFYPGVFDPLAVKAKKLDCLALVAAGVDADKIEGGRDVDQTIGLVDDGLACVSAFRWLPGWSTETCVAFRALHVVLVAAAPHSTDGSGCSGAGELLNSTIFHHLQAMLVDMTSEHRGLVPVIADFINRLLACNTHRWAGEQLLQTLDENLLPRLEPGYQLASYYPLFEKIAENETVPQLRLIELLTKQMASLAKKHDPETELKTWSQGSKVVGICRVMMKHHHSSRIFFPLSCLLVLTIKSYPDLEVRDHARICLRMLSCIPGKKLRHLMGIGEQPAGVTPSHPGPLFDVPSPRPAQDLKSMPDLASYIHLERVVPLVVKQSWALTLPNFSIQSRASGQILSIQDVSSTPPEQEKTPQPTIERIAYTQEALRVMDSKGAETLEILRRHFACIPDYLHSVGLKIKIPCTFRFDSEPFNHAWGSDSAVPGSEGVDGLPALYAATINFSSSAQFGKIPSCHVPFLLGEPPGSGMDIMPLDNGHRLESSYCASVVIELEPREPSPGLIDVVITANTENCQVISGSIQPITVGIEDMFLKASVPPDILKEDAAEYYQDLFHALWEACNSCSNTGRETFPLTGGKGSAAINGTRSVKLLEVTPKVLIRAIERYLAPFVVSVAGDSLITILRGNAIIKNVVWEESDSAPIVGADALVPYSVDTNLSLQRIDEDEFEVGAETYAHLSKRDMGIVRVLIFLPPRYHLLFSMEVGYASTLVRIRTDHWPCLAYVDEYLEALL >ORUFI02G39870.1 pep chromosome:OR_W1943:2:33696619:33700645:-1 gene:ORUFI02G39870 transcript:ORUFI02G39870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSREGRFVHLLPCAERTLLVVARTLSLTLLFRHGVDDEPSLRPPSVRRCSAAATTTSCCLFGVLSGTPTPPPAEHHRCIDCQTCRWMASEVFKRVDGKAAVAAQPISDEHRTKALQALLSCPTSSIHTEKPAKDILQVQNMFPLPIDNHLLPGVYLCGYHSQDSYGATSYLLTHPDGNILVDSPRYTTKLAENIEKLGGARYMFLTHMDDVADHRKWAERLKCERIIHSGDVEDVTADVEWKLTGNGPWDIGADFELIHTPGHTEGSVCLFYKPVKALFTGDHVAKSEESDDLDLFLMYSKQSVGLQLDSMRKLLKLDFEWFLPGHGYRIHYKDVRAKDSAIESLIANYTS >ORUFI02G39880.1 pep chromosome:OR_W1943:2:33700822:33703147:-1 gene:ORUFI02G39880 transcript:ORUFI02G39880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLFAAAPRAVASFRASSASSPALDSGRRPQNVPGDFFVDHRCIDCQTCRWMAPEVFKRVDGKAAVAAHPISDDHRTKALQGVYHCGYHSEDSFGATSYLITHPDGNILVDSPRYTTKLANNIEELGGARYMFLTHRDDVADHRKWAERLKCERIIHSGDVERATVDVERKLTGNGPWNIGADFELIHTPGHTQGSVCLFYKPVKALFTGDHVAKSEESDDLYLFLMYSRQPVSLQLDSMRKLLKLDFEWFLPGHGYRIHYKDVHAKDSAIESLIAITTQARGIL >ORUFI02G39890.1 pep chromosome:OR_W1943:2:33703656:33707282:-1 gene:ORUFI02G39890 transcript:ORUFI02G39890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPPPAAASSSGRLARVRLHDLAPYDGAATPAYGRAVHALSASLTRHGAALLDLPDAHAAILRCALQSARAFFRANDQPAFYLYRAGGSASRTSDDGGGDLVPACMDDAFRCFGEAARAALSAIARHLRLRTNVFDHLLDDTPLPVNEVSSSELLVAYSNQHLHTDHASSTACLGSSVPQVDRGFLVLIASDHPGIEVCDPNGQWYLADGISGPGDLLLLTGRALSHVTAGLRPTSRYRITNETRASLTFRLMPHANAILDCSPIAAAGHCVPQMYQPISASQFMDDLCAEERAVSNHSEAPSESQGSFISEPSLRSVLSDPLSGAFLEDAMVLLCGHSFGGLMLKKVIEMARCTICNGEVDPATLFPNLGIFLTTNFLPRNWHYLCLTCSRTAVSILDIIALRAVATVVKMEDDRRLFHNAALRKHRKEVTERMDVLKSTGGSRGNGELVLDAENPTSPRGVQYPFVVGERVLIMGNRRTPDKFVGKEAVITSQCLNGWYLVKAVDSGESIRLQYRSLKKVSELQLQSEMRLQPLTFLHNKYPSSGS >ORUFI02G39900.1 pep chromosome:OR_W1943:2:33708571:33708942:1 gene:ORUFI02G39900 transcript:ORUFI02G39900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVDSMEAEYDALLDAVAAFIAEPVRTTGAIQDLKNRIDAFYASCDRADDLVRAAADRVAFNATGNAHVPPPPAAAPPSPGTGTTRIDALLRAVEGIAHHDHPPLQAKAKAAAGDQHHNLS >ORUFI02G39910.1 pep chromosome:OR_W1943:2:33710737:33712008:-1 gene:ORUFI02G39910 transcript:ORUFI02G39910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALRRSLAAGPAPALLLRRQLLMRFLSTQTQSQTQTPADLATLKNSIRSAAHTPEALADLFISGLSHPAFLADRPIFTLSVHRLASAGRRDLVASILSSSLTSLPAPHPSEGFLIRLISLYSAAGMPDHSLSTFRIVTPPSDRALSALLSAYHDNRLYDRAIQAFRTLPAELGIKPSVVSHNVLLKSFVASGDLASARALFDEMPSKADVEPDIVSCNEILKGYLNAADYAAFDQFLKDNTTAAGGKRRLKPNVSTYNLRMASLCSKGRSFEAAELLDAMEAKGVPPNRGSFNTVIQGLCKEGEVGAAVAIFKRMPEVPRPNGKGVLPNSETYIMLLEGLVNKGVFAPALEVFKECLQNKWAPPFQAVQGLIKGLLKSRKAKHAKEVAMAMRKVVKGDAKEEWKKVEAEFSFEPTDKKA >ORUFI02G39920.1 pep chromosome:OR_W1943:2:33712625:33713672:-1 gene:ORUFI02G39920 transcript:ORUFI02G39920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRIVGAHRPLLFSSPASAASSIRTYLPLAQIFLIFLLLSSFVFKAVNDCRPKVGSSNILLRSSQHIFLFQSCSSMNESINGKMNELFAVAGRAGVAMMNMVSSSSIQPGQIHSIWQRRQGGESRGRYVVMSSGSVRKSSSSRRRVVAVIRAVGDGAGESTSGKDEEEEEKRRREELERLVGGPEDATFSGADLAALIRSKYGRSYDVTLIKKEFMGRNLLAMNVMWKYREQRSFPLTEEEYLLRLDDVAASLRCWGAVAHVRSSLAKLKDRPRIGKAVSIFIDMPTDDSGARSNEWIYK >ORUFI02G39930.1 pep chromosome:OR_W1943:2:33715556:33716881:-1 gene:ORUFI02G39930 transcript:ORUFI02G39930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGHGMGNPVNVGIAVQADWENREFISNISLNVRRLFDFLLRFEATTKSKLASLNEKLDILERKLEVLEVQVSSATTNPSVFN >ORUFI02G39940.1 pep chromosome:OR_W1943:2:33717205:33718707:1 gene:ORUFI02G39940 transcript:ORUFI02G39940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPAALHLLLSRPWLTAAQLRQAHAHLVVSSLLADRFFPNSLLRSLLPPSHSHSPLRALRLFPRLRRIIATNPFFPNAYTFSFLLTASASLAPLPHAASPRVLVESLHALAILVACDAHAYVSNGLIHAYASCALLCSARRVFDVNVSCRDVCSWTSLLTAYGRAARLHHARALFDAMPHKTTIAWAAILSAYVGAGSFAEAVDVFQEMLRARVRPNRAVILSVLAACGALGALEQGRWVHAHLVAAHGAMAKDGMVATALVDMYAKCGSLETARQVFSGMAERDVFAYTAMVSGLSDHGRCVEAIELFGRMQEEGVRPNEVTFICVLSACGRAGLVGRAREILGSMSAVHGMEAGVEHYGSMVDVLGRAGMVEEALEVVRRMPMRPDSYVLGALLNACVARGDTEGGEEVAAMMAELGLDDRSGVQVQLSNLYAGRGKWEEVVGVRRGMEERKVVKVPGCSMVEVDGVAREFVAGDRSHEAWIIDVAEQLERMLAHH >ORUFI02G39950.1 pep chromosome:OR_W1943:2:33719242:33724067:-1 gene:ORUFI02G39950 transcript:ORUFI02G39950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRVGVGVGVGGGSGIGVAMVFLIVSASSFLIRLPLVARARLPNPTSSSYTSRSRSRALLLLPASSPLRAFCPASRRPSPATCSAAYASSSMATDDNPLLADFDFPPFDRVEPIHVRPAVRTLLARLEGELTDLEKGVQPTWGKLVEPLERIVDSLEVVWGTVDHLKAVKDSSDLRAAVEDVQPDKVKFQLRLGQSKPIYQAFNAIRNSSDWETLSEARKRIVEAQIKEAVLSGVALEDEQREKFNQIEQELEKLTQKFSENVLDATKKFEKLITDKNEIDGLPATALGLAAQTAASKGHENASAENGPWIITLDAPSYIAVMQHARNRALREEVYRAYLTRASSGDLDNTNIISQILKLRLEKAKLLGYKNYAEVSMAQKMATVDRVEELLEKLRAASWDHAVKDMEDLKAFAKESASPEANDLAHWDLSFWSERLRESKYDINEEDLRPYFALPKVMDGLFSLANRLFGVSVEPADGLAPVWNSDVKFYCVKDSSNSPVAYFYFDPYSRPSEKRGGAWMNVVFSRSRVLARNGSPVRLPVAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGFVSGIRGVEWDAVELPSQFMENWCYHKNTLLSIAKHYETGELLPEEIYAKLVAAKNFRAGTFSLRQIRFASVDMELHTTYDPNGSLSIYDVDRRVAERTQVLAPLPEDKFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDVGLDNEKAIEETGRRFRETVLALGGGKSPLEVFVSFRGREPSPEALLRHNGLLPVAAL >ORUFI02G39960.1 pep chromosome:OR_W1943:2:33725102:33734967:-1 gene:ORUFI02G39960 transcript:ORUFI02G39960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDPSVGFFSGVWSRLRAAWRRTGAAHHPAGPGDDDDGQNEETVVRSRLVRRAAAARRLAHKLAFLSFNLEVLVFVYAFWRARRRNLSWRQPIQALPVLVIPALATLIYAAFIRFTRRLDLKDHRRLKRIQEQKQANDGEPRKPNQNDLISAQKQNCGDVDDASNSLPATDSNSTFLPATHSENRTSKPKKRRQPSISSRGDGEADMSWGHSKDFQPMPLDGLRKRRFSSEKTYRTTSIATESIEEDTQNTMSSSVAGSSCGKEFPELSDSSISQSNNIKPICGSSAPLIGYPGILLRDGNEEVPAASTHLDQQGGAHDPIEDTVFSPLDYRNHSGPVIFVKLTEPPTIHHESPVGGGEDKVFDRLLDIVNTNFSSCKENLICPVNSHDSSFDRGDSCLTEHGMSSLMTVFREMPVKVSEESSPSQPEKLESYPVSINEPPASPSDYIVAYGSLNDVSQDPSDPVLSALENFEQVPPEGGKEDSLLEPHKLTALQTDTVTPEKVPTSHAIDDNEVIINPDEVTNALACICTDANIIAAVADIDTGVSPRLNLPAFQESHCEEFEDPEVRFSSSAELAMKGDEDTWEKEPCGFNGQEGNDVFICSEEEALLGPLAVSTTEQYMKTSGFPLCCQDANMMEIPRIVAVNPELNNPTSGELLTDSDEVSKEELSYDLHLKEPNSLPFDLEKEDFMDPPVVDISEHSLATSDFFLCNGVETTITEAHEAVKKSLSESQDEGPFNPIKAAVNPSCDDSNPAHFVPDTNVRKKIQGEINNDVFYSSSSSSYLLRASAAEDNAPSSVQGRFSESEDGKTSAFLDNPIFLDEVTRAENWTNNTGSSQCISDRHEIRSFHDGKQVPSETIQGLTLGVEGSFVSPEESINSEKYSLYSRSSSCVSEVNIMHATGGGALAEPGNNQNFNLDDKNTMMFQSVNSTENYGNNRSVEFIPETNMIETLEVAGESIDGLLHEVSSNVVNAFVTPDTGNDMGKSDDYLDLPSFSSVHTVQNSKAENNPYKTTSSLFSADVNLTGCLGSGQQGNQQGEETALCFENLYMALQDDNSKDHFTNLGSQDIPDASISDILLVEEKLSSDRLHDGIFSIEGTLISLDDGNIADSNNSSFVFHNTQINRNLLGLQKGSFKLQDEHTMTPISRNKVDIAEKSTCYVAGESMVADLQDTNKTPSDPRDGSISSFSGACNLLDESKYSTDHPYYSRSMSSGPECSLIETPEAARGESVETDDENFSFEETLTPEISSNRSASYNCTEEAVRSSGKGSTDPLMVDVHSFDMIPAGEERENETLNEIAYNSEQAAIITEDVKYTESFLNKPGSLPYAPNDNCPIATENFDKGFSEPQYQDGPEVAVRLAGMPLFVDNGTEAEKSHDNTRCSSSSHSELNITEAVQELSIDVGNKVSPKGSELPDWHRMDKEAKDSRLDDVKEDLEDLDEDHENSPIDPPKVAGITPSLAPTPSLKLYAQDASWRDSSMGVSNDFEVARAAGLRQRKQVFTISSGTGSGTMSELTDTQYTELVDDVIDSLNAPLPSSAVINTKKGPNGMAAYFLEQSATEQPHQQYMASEWSSEWCVEEANVLLSQHLARLRLVHRQEHQKRESTLLCSILCLMSTKTVPEPEPHVLAVDDSIVDRTVISRLLRSSKYRVTTVDSGKRALEVLSLDRNVHMIITDYCMPEMTGFDLLKRVKESAELKEIPVVLMSSENSPTRIRRCLEEGAEDFLIKPVRPSDVSRLCNRVIMK >ORUFI02G39960.2 pep chromosome:OR_W1943:2:33725102:33734967:-1 gene:ORUFI02G39960 transcript:ORUFI02G39960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDPSVGFFSGVWSRLRAAWRRTGAAHHPAGPGDDDDGQNEETVVRSRLVRRAAAARRLAHKLAFLSFNLEVLVFVYAFWRARRRNLSWRQPIQALPVLVIPALATLIYAAFIRFTRRLDLKDHRRLKRIQEQKQANDGEPRKPNQNDLISAQKQNCGDVDDASNSLPATDSNSTFLPATHSENRTSKPKKRRQPSISSRGDGEADMSWGHSKDFQPMPLDGLRKRRFSSEKTYRTTSIATESIEEDTQNTMSSSVAGSSCGKEFPELSDSSISQSNNIKPICGSSAPLIGYPGILLRDGNEEVPAASTHLDQQGGAHDPIEDTVFSPLDYRNHSGPVIFVKLTEPPTIHHESPVGGGEDKVFDRLLDIVNTNFSSCKENLICPVNSHDSSFDRGDSCLTEHGMSSLMTVFREMPVKVSEESSPSQPEKLESYPVSINEPPASPSDYIVAYGSLNDVSQDPSDPVLSALENFEQVPPEGGKEDSLLEPHKLTALQTDTVTPEKVPTSHAIDDNEVIINPDEVTNALACICTDANIIAAVADIDTGVSPRLNLPAFQESHCEEFEDPEVRFSSSAELAMKGDEDTWEKEPCGFNGQEGNDVFICSEEEALLGPLAVSTTEQYMKTSGFPLCCQDANMMEIPRIVAVNPELNNPTSGELLTDSDEVSKEELSYDLHLKEPNSLPFDLEKEDFMDPPVVDISEHSLATSDFFLCNGVETTITEAHEAVKKSLSESQDEGPFNPIKAAVNPSCDDSNPAHFVPDTNVRKKIQGEINNDVFYSSSSSSYLLRASAAEDNAPSSVQGRFSESEDGKTSAFLDNPIFLDEVTRAENWTNNTGSSQCISDRHEIRSFHDGKQVPSETIQGLTLGVEGSFVSPEESINSEKYSLYSRSSSCVSEVNIMHATGGGALAEPGNNQNFNLDDKNTMMFQSVNSTENYGNNRSVEFIPETNMIETLEVAGESIDGLLHEVSSNVVNAFVTPDTGNDMGKSDDYLDLPSFSSVHTVQNSKAENNPYKTTSSLFSADVNLTGCLGSGQQGNQQGEETALCFENLYMALQDDNSKDHFTNLGSQDIPDASISDILLVEEKLSSDRLHDGIFSIEGTLISLDDGNIADSNNSSFVFHNTQINRNLLGLQKGSFKLQDEHTMTPISRNKVDIAEKSTCYVAGESMVADLQDTNKTPSDPRDGSISSFSGACNLLDESKYSTDHPYYSRSMSSGPECSLIETPEAARGESVETDDENFSFEETLTPEISSNRSASYNCTEEAVRSSGKGSTDPLMVDVHSFDMIPAGEERENETLNEIAYNSEQAAIITEDVKYTESFLNKPGSLPYAPNDNCPIATENFDKGFSEPQYQDGPEVAVRLAGMPLFVDNGTEAEKSHDNTRCSSSSHSELNITEAVQELSIDVGNKVSPKGSELPDWHRMDKEAKDSRLDDVKEDLEDLDEDHENSPIDPPKVAGITPSLAPTPSLKLYAQDASWRDSSMGVSNDFEVARAAGLRQRKQVFTISSGTGSGTMSELTDTQYTELVDDVIDSLNAPLPSSAVINTKKGPNGMAAYFLEQSATEQPHQQYMASEWSSEWCVEEANVLLSQHLFFANYHCIKARLRLVHRQEHQKRESTLLCSILCLMSTKTVPEPEPHVLAVDDSIVDRTVISRLLRSSKYRVTTVDSGKRALEVLSLDRNVHMIITDYCMPEMTGFDLLKRVKESAELKEIPVVLMSSENSPTRIRRCLEEGAEDFLIKPVRPSDVSRLCNRVIMK >ORUFI02G39970.1 pep chromosome:OR_W1943:2:33735938:33737565:-1 gene:ORUFI02G39970 transcript:ORUFI02G39970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEQQQRTSTRWKGRRKTLVLVVEEEKRMDCITTYLLFNINIKDMFTHDDEWTVLRPLPRPMAQMDTLRRLALEYLNFAVVASNTIVGVSNRKRTVLLDLECDATADVLSPGPELPEEIIGGTVLIPLGTGVYAIGNRPCRRSPTFQLLLPPSRRGAAARALGRHVAGMDGRRRARVGVGAGHRPTPSTPVGVGWRKEGDWELPIDGRGVFVPELGLCPRLRCLCAFDLPTATAPPVVRYVWPETFSEELNAMGVRAGNPWQLGLPGTMGIQHDHRRVPTRFALLLIAVQLQRDDKEEFCLSQATTCPPMQKNAYLATVRLLVTVGAEGGEIPVVPTKILLPPQPFFLPPPSQEKTRKSWGLKEKKNHQEKSRSKIIRAAARPVVVTDAAAATGDASRHREPAAAAKNPDLLPLLLAAAACTVGEEARRRWLAPGSPGNSPPDDRQRI >ORUFI02G39980.1 pep chromosome:OR_W1943:2:33738158:33738992:-1 gene:ORUFI02G39980 transcript:ORUFI02G39980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLPRTGSFSGVWWKLGDDADEQRRLADEEAAVKASIQRRHATARVIRRTIAFTSFALEAAGLFVYGLWTARIKTAANKTKKKKQLLLGVLLSVPAFAALLFAAIARFHKFIDAKDQQKLDRLRAERNKAKMGHSRGSHHNMQKLLLTHNTQESDSESCAEAAATKTASHSRLSFHVGDDD >ORUFI02G39990.1 pep chromosome:OR_W1943:2:33741573:33746053:-1 gene:ORUFI02G39990 transcript:ORUFI02G39990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPSPCLFFLFLLFFMWSSHSHLGAASDADALLALKSALDRSDRLPWRRDTAPALCSSWLGVRQCSQPPRDRRVTKLVLENLNLTGVLTATLLAPLSELRVLSLKSNALTGPIPDALPAALPNLKLLYLSANRLQGRIPPTLALLHRATVLVLSSNLLHGEIPTSLTTLPRLTSLLLDDNRLNGTLPPLPQPTLRLLNVSANRLSGEIPSVLATKFNASSFLANADLCGPPLRIQCAAPTAPAAAAAFTPLPPPRSNRSRRAKNAGIVAGATVAGLVVLGILVAAAVMASRRGRNKRVAGDVDKGAMPEEEEEQQQQQPQAQPREEINASASASASVASERRGGREFSWEREGIGKLVFCGGVAEMYSLEELLRASAETLGRGEVGSTYKAVMETGFIVTVKRMREPAAGAAELGRRAEELGRLRHPNVVALRAYFQAKEERLLVYDYYPNGSLFSLLHGSSSRTSSKGKPLHWTSCMKIAEDVAAGLVHLHQSPPAGIVHGNLKPSNVLLGPDFESCLTDYGLVPTLLPSHADLASSTSVLYRAPETRTAHAFTPASDVYSFGVLLLELLTGKAPFQDLMEMHSDDIPSWVRAVREEETESGGESASAGGTEEKLGALISIAAACVVADPARRPTTPEVLRMVREARAEAMSSSNSSDRSPARWSDAVQVQMGMGVPRDQGELGGLT >ORUFI02G40000.1 pep chromosome:OR_W1943:2:33748293:33750695:-1 gene:ORUFI02G40000 transcript:ORUFI02G40000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRHVKLKLSSWALALEEVELELEIDPQSSSCWATVASPIALAYMRVLLSRLAPQQEGSACINGAIGDAAVAQSYLERIGDRSGEKVELEKRKASEGFTCKFG >ORUFI02G40010.1 pep chromosome:OR_W1943:2:33753292:33766067:1 gene:ORUFI02G40010 transcript:ORUFI02G40010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGAAKQIVDSLLARFLPLARRRIETAQAQDGQYLRPSDPSYEQVLDSLAMVARHTPLPLLEALLRWRESESPKGAHDASTFQKKKLWIGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNSRRNDAPLSRSDSLSIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKHHWPPLGVEPALSLWYDAVARIRGALMYWMDKQSKHIAVGFPLVTLLLCLGDSHTFNTHFSQHMEILYKYLKDKNHRSMALDCLHRLVKFYLNVYADYQPRNHVWDCLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTLAESNLDFAMNHMILELLKPDSLSEAKVVGLRALLEIVVSPSNKQIGLDVFQEYGIGHYIPKVKSAIESILRSCNKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPYLIEEVGRNDKMTEIIPQHGISIDPGVREEAVQVLNRIVRCLPNRRFAVLKGMANFILKLPDEFPLLIQTSLGRLVELMRLWRVCLSEELLAKDMQNVKRSSLGGDALQRSPFHRSRDVSEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALKNELRDYSANEWGDSKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPVPLDVTLQSILESADKSRWARYLSEIVKYAAELCPSSVQDARQGLWGLEVVRRLEQITPVELGGKAQQSQDTETKLDQWLIYAMFACSCPPDSREEFALRAAREIFHIVFPSLRHGSESYALAATAALGHSHLEVCEIMFGELTSFLEDVSSETEAKPKWKILPPSDNFQDLQPLRYALASVLRYLAPEFIDAKSERFDSRLRKRLFDLLLSWSDDSGSTWGQEGNSDYRREIERYKASQHNRSRESLDKLAFDREMAEQLEAINWASMNAIASLLYGPCFDDNARKLSGRVISWINSLFMELAPRAPFGHSPVDPRTPSYSKHTDGGRFGGRDKQKTSHLRVLLAKTALKNILQTNLDLFPACIDQCYSPDSPISDGYFSVLAEVYMRQEIPKCEIQRILSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDADGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDDEEPVRPGKVDTSANVVLEFSQGPSTSQVATIVDSQPHMSPLLVRGSLDGAIRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTTGRSGQLLPALMNMSGPLIGVRSSAGNLRSRHVSRDSGDYYLDTPNSNDDILHQGGSGVHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKQHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSASLLSALVQSMVSAIFFQGDLRETWGSEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLMRCIHRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHTDYVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDINGYTSDLHRLESRTTSERLLSVTETGKVPDFEGVQPLVLKGLMSSVSHGSAIEVLSRITIPTCDSIFGSPETRLLMHITGLLPWLGLQLTKDASSLGSSSPIQEQNQKAYYVASNISVWCRVKSLDDLAEVFRAYSFGEIISLEDLFARASPPICSEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESALCAEALNVLEALLRSCSGVTGGQGDDIGFGENGHGMGEKVHQSMLLPQSSFKARSGPLQYAAAGSGFGTLMGQGGGSAADTGVATRDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >ORUFI02G40020.1 pep chromosome:OR_W1943:2:33766875:33771464:1 gene:ORUFI02G40020 transcript:ORUFI02G40020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVRPPVDAEEARRRRSTDCIYFLASPLTCKKGSECEYRHSDAARMNPRDCWYWFNGNCANPKCSFRHPPLDGLVGAPTTPRTSQQSAPQVSVPAQAPVPNPASGTAKQGVPCYYFQKGMCVKGDRCAFLHLPQATGSPAPQHTTKVFAPASVPHPQLKNSWTKPNSSAQQNAPPAIFDKPKDSAHNGKTAQKQNLTNRAGHSSGIIHDKKGSYMPSGVTKNYRPPPSTGDDLAENGVEMGEFVREPSPGSDVLTGGADDNTEQSLREDRGAYRRTNGEQHIGMLRQTHDSYGFERSHRGSAEKLLSESRFSQREPMPLTADSSDLRQRLLKQRRLNNPRSGQVSDRHNVYPEDERHDRHRQRGEEQASNDGVSSSRLRGRIRLPAETTFDRLGLQPEKERDRGPRARLSPPSQTDLRGKLHDRLKAKPNEDVSGNVQSSLSKANEDAESLNFAGPKSLAELKAKKVAGSLMKSSRSLTGPVRMTSEIVTIKDSSDPVLFDGPKPLNAILKRKREADSGNATDFGSKREEHSGGDEEGSQNDFRNIEDDIVGMNTEGNGEEAFQPEDDVVYGDSLSPADDIAAEAADDASRELEEQQDVETAEEYDYEMDDVNAAEENDYQEYEDEDDDLEDDDDFARKVGVMIT >ORUFI02G40020.2 pep chromosome:OR_W1943:2:33767988:33771464:1 gene:ORUFI02G40020 transcript:ORUFI02G40020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRDCWYWFNGNCANPKCSFRHPPLDGLVGAPTTPRTSQQSAPQVSVPAQAPVPNPASGTAKQGVPCYYFQKGMCVKGDRCAFLHLPQATGSPAPQHTTKVFAPASVPHPQLKNSWTKPNSSAQQNAPPAIFDKPKDSAHNGKTAQKQNLTNRAGHSSGIIHDKKGSYMPSGVTKNYRPPPSTGDDLAENGVEMGEFVREPSPGSDVLTGGADDNTEQSLREDRGAYRRTNGEQHIGMLRQTHDSYGFERSHRGSAEKLLSESRFSQREPMPLTADSSDLRQRLLKQRRLNNPRSGQVSDRHNVYPEDERHDRHRQRGEEQASNDGVSSSRLRGRIRLPAETTFDRLGLQPEKERDRGPRARLSPPSQTDLRGKLHDRLKAKPNEDVSGNVQSSLSKANEDAESLNFAGPKSLAELKAKKVAGSLMKSSRSLTGPVRMTSEIVTIKDSSDPVLFDGPKPLNAILKRKREADSGNATDFGSKREEHSGGDEEGSQNDFRNIEDDIVGMNTEGNGEEAFQPEDDVVYGDSLSPADDIAAEAADDASRELEEQQDVETAEEYDYEMDDVNAAEENDYQEYEDEDDDLEDDDDFARKVGVMIT >ORUFI02G40030.1 pep chromosome:OR_W1943:2:33770842:33772592:-1 gene:ORUFI02G40030 transcript:ORUFI02G40030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTTRGRGWDGRWHQEEEEEAWGVEKMMMIGFGIGGVAGALPLVLRRTRTCTPPPPMAMTPLHHTCSFFFRPQPSPSLSHSPCAAAADDDFFTVDYDPEEEKEEDEEGSPWEGAVVYRRDASVHHLEYATTLERLGLGDLSSTHSRARAATMGILILSSTNLTGTKDDDTPVLVSLDVARRRGRLRLDGIVRTVITLGCYGCAEPAPQGIFANFSLLLTEDRVEEPDVVDLGTIFEEEQTKAPSLTGSQEDGDDEDIDWDDRLHFPAGEKEIDISKHIRDIIHLEITLDALCSPTCKGLCLGCGENLNTSSCSCNAEKQQAKNVQRRGPLKDLLKPLQR >ORUFI02G40040.1 pep chromosome:OR_W1943:2:33775406:33779108:-1 gene:ORUFI02G40040 transcript:ORUFI02G40040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAHKRKRPDADDDGAAGAVDLSSLEGADDVEVLDLRAAKRLLLAFERRLRDNLEARMKHPDDPARFADSEIALHAETDRLRLLAGAPDLFPDLVPLGLASSLSSLLTHDNADLAAAAASLLADLTDSDDPDDLAGLHSLADALVEANALDLLVHNLSRFSEADPDEAEAVHHTLSVVENLLELRPQLADIVCDRTKLLRWLLARLKAREFDANKQYASEILAILLQDSPANQKRLGNINGVDGLLQAVAMYKSRDPKTSDEEEMLENLFDCLCCVLMPMENKERFVKAEGVELMIIIMKQKKLAYSSAIRTLDFAMTRFPPACERFVDVLGLKTAFAAFMDSCEQEKQKRELSGSTRRTGGITKGSRRIRLLGKFVENECEKIDRLMEFYTRYSERVKAETERLDSLDLDDLEMDEDERYNRKLEAGLYTLQLIALILGHIWHSGNSQMRTRIELLLRQNKLTKDDVKDILEEYHDNIGDLDGPEEKERAQGRTKEIIASLSL >ORUFI02G40050.1 pep chromosome:OR_W1943:2:33779836:33781410:-1 gene:ORUFI02G40050 transcript:ORUFI02G40050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEDASSTPLPGGADDYIRDSIHDSLGLPVSDRSLRLKLLASEDHRRRLQDHVFVLEEDLRAAARRIEQLKAESTMNAAGLRRCIEEKETMVSAYNDLSSHSTKLERECTLYERDLERAMESCDDLAKENDEMRARLNDHTTLSALTTQVEALHKDKENLRINLNKAEEEVKLLFEENKILDEENKKLLALLEKERQHRSERKHSSSASTTKHKRKSSSLKDTSPVGRTIDFNGADSSSRHPLSPLPPNSPDYRAHKK >ORUFI02G40060.1 pep chromosome:OR_W1943:2:33783062:33789616:1 gene:ORUFI02G40060 transcript:ORUFI02G40060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLRRSDSIADMMPEALRQSRYQMKRCFQRYVSQGKRLMKRQQLLDELDKSVHSANLSVEQMTPSDYLKNKEALVDDKWGAYDDDSQLEVDFGALDLSTPHLTLPSSIGKGAHLVSRFMSSKLTDNKKPLLDYLLALSHRGDKLMINDILDTVDKLQTALLLAEVYVAGLHPDTNYSEFEQKFQEWGLEKGWGDTAETCKETLSSLSEVLQAPDPINMEKFFSTVPCVFTVVIFSIHGYFGQEKVLGMPDTGGQVVYILDQLTRLIPEAKGTKCNVELEPIENTKHSNILRVPFKTEDGKVLPQWVSRFDIYPYLERYAQDSSVKILEILEGKPDLVIGNYTDGNLVASLLTSKLGVTQGTIAHALEKTKYEDSDIKWRELDHKYHFSCQFTADMIAMNTSDFIIASTYQEIAGSKEKPGQYESHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSVYFPFTQKQKRLTDLHPQIEELLYSKEDNNEHIGHLADRSKPIIFSMARLDKIKNITGLVEWYGQNKRLRDLVNLVIVGGPLDPSQSKDREEIEEINKMHSLINKYQLVGQIRWIKGQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDEVSGFHINPLNGKEASDKIADFFQKCKEDLIYWSKMSTAGLQRIYECYTWQIYATKVLNMASIYGFWRTLDKEERQAKQHYLHMFYNLQFRKLAKNVPTLGEQPAQPTESAEPNRIIPRPKERQKDANKDPEDCNQLTWTTAPSLQFLNRWSLK >ORUFI02G40060.2 pep chromosome:OR_W1943:2:33783062:33789616:1 gene:ORUFI02G40060 transcript:ORUFI02G40060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLRRSDSIADMMPEALRQSRYQMKRCFQRYVSQGKRLMKRQQLLDELDKSVHSANLSVEQMTPSDYLKNKEALVDDKWGAYDDDSQLEVDFGALDLSTPHLTLPSSIGKGAHLVSRFMSSKLTDNKKPLLDYLLALSHRGDKLMINDILDTVDKLQTALLLAEVYVAGLHPDTNYSEFEQKFQEWGLEKGWGDTAETCKETLSSLSEVLQAPDPINMEKFFSTVPCVFTVVIFSIHGYFGQEKVLGMPDTGGQVVYILDQLTRLIPEAKGTKCNVELEPIENTKHSNILRVPFKTEDGKVLPQWVSRFDIYPYLERYAQDSSVKILEILEGKPDLVIGNYTDGNLVASLLTSKLGVTQGTIAHALEKTKYEDSDIKWRELDHKYHFSCQFTADMIAMNTSDFIIASTYQEIAGSKEKPGQYESHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSVYFPFTQKQKRLTDLHPQIEELLYSKEDNNEHIGHLADRSKPIIFSMARLDKIKNITGLVEWYGQNKRLRDLVNLVIVGGPLDPSQSKDREEIEEINKMHSLINKYQLVGQIRWIKGQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDEVSGFHINPLNGKEASDKIADFFQKCKEDLIYWSKMSTAGLQRIYECYTWQIYATKVLNMASIYGFWRTLDKEERQAKQHYLHMFYNLQFRKLKDANKDPEDCNQLTWTTAPSLQFLNRWSLK >ORUFI02G40070.1 pep chromosome:OR_W1943:2:33789405:33796305:-1 gene:ORUFI02G40070 transcript:ORUFI02G40070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIDKGRRGVGPGCSAWNASLCCAPLPSSSSFLGPASLAACQLQLQLSIHRSISSYSPAAAPSKTSQAAAAATAKVYSYSCYHCHRGFLFIYHGHRIIKPSSSRAAPSNNGSSPELAQPSPTPPQEQPVDAATTTPHHIPSSSKSIRFPLRPGKGTIGTRCMVKANHFFAHLPNKDLHHYDVSITPEVTSRIVNRAVIKELVNLYKASYLGGRLPAYDGRKSLYTAGPLPFTSQEFQITLLDDDDGSGSERRQRTFRVVIKFAARADLHRLELFLAGRHAEAPQEALQVLDIVLRELPSARYAPFGRSFFSPYLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSATAFIEPLPVIDFVAQLLNSDIHSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRISGLTIQPTRELTFPVDEGGTVKSVVQYFQETYGFAIQHTYLPCLTVQRLNYLPMEMVKHNAYQDDPYAKEFGIKISDRLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGKVRSWMCVNFARNVQESVDFAPEPILPPLNAHPDQVERALKARYHDAMNVLGPQRRELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIEDLYKIWQDPQRGTVSGGMIRELLISFKRSTGEKPQRIIFYRDGVSEGQFYQVLLYELNAIRKACASLETNYQPKVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIKGTSRPAHYHVLWDENNFTADALQILTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSSSVVSGPGVRGPLSGSSTSRTRAPGGAAVKPLPALKDSVKRVMFYC >ORUFI02G40070.2 pep chromosome:OR_W1943:2:33789405:33796305:-1 gene:ORUFI02G40070 transcript:ORUFI02G40070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIDKGRRGVGPGCSAWNASLCCAPLPSSSSFLGPASLAACQLQLQLSIHRSISSYSPAAAPSKTSQASHHSLHAMASRRPTHRHHTEAPDPGGRGRGRGRAARYAQPQPQPQQQQQQQGRGCRARGASPPPPPQQQQQQQPRSTPTRATTVTVASSSSTTATASSSPLAPELRQAIMEAPRPSELAQPSPTPPQEQPVDAATTTPHHIPSSSKSIRFPLRPGKGTIGTRCMVKANHFFAHLPNKDLHHYDVSITPEVTSRIVNRAVIKELVNLYKASYLGGRLPAYDGRKSLYTAGPLPFTSQEFQITLLDDDDGSGSERRQRTFRVVIKFAARADLHRLELFLAGRHAEAPQEALQVLDIVLRELPSARYAPFGRSFFSPYLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSATAFIEPLPVIDFVAQLLNSDIHSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRISGLTIQPTRELTFPVDEGGTVKSVVQYFQETYGFAIQHTYLPCLTVQRLNYLPMEMVKHNAYQDDPYAKEFGIKISDRLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGKVRSWMCVNFARNVQESVDFAPEPILPPLNAHPDQVERALKARYHDAMNVLGPQRRELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIEDLYKIWQDPQRGTVSGGMIRELLISFKRSTGEKPQRIIFYRDGVSEGQFYQVLLYELNAIRKACASLETNYQPKVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIKGTSRPAHYHVLWDENNFTADALQILTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSSSVVSGPGVRGPLSGSSTSRTRAPGGAAVKPLPALKDSVKRVMFYC >ORUFI02G40070.3 pep chromosome:OR_W1943:2:33789405:33796305:-1 gene:ORUFI02G40070 transcript:ORUFI02G40070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIDKGRRGVGPGCSAWNASLCCAPLPSSSSFLGPASLAACQLQLQLSIHRSISSYSPAAAPSKTSQASHHSLHAMASRRPTHRHHTEAPDPGGRGRGRGRAARYAQPQPQPQQQQQQQGRGCRARGASPPPPPQQQQQQQPRSTPTRATTVTVASSSSTTATASSSPLAPELRQAIMEAPRPSELAQPSPTPPQEQPVDAATTTPHHIPSSSKSIRFPLRPGKGTIGTRCMVKANHFFAHLPNKDLHHYDVSITPEVTSRIVNRAVIKELVNLYKASYLGGRLPAYDGRKSLYTAGPLPFTSQEFQITLLDDDDGSGSERSPAHFASPPALVQKQQYYVANLADLVVFFSFRRQRTFRVVIKFAARADLHRLELFLAGRHAEAPQEALQVLDIVLRELPSARYAPFGRSFFSPYLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSATAFIEPLPVIDFVAQLLNSDIHSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRISGLTIQPTRELTFPVDEGGTVKSVVQYFQETYGFAIQHTYLPCLTVQRLNYLPMEMVKHNAYQDDPYAKEFGIKISDRLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGKVRSWMCVNFARNVQESVDFAPEPILPPLNAHPDQVERALKARYHDAMNVLGPQRRELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIEDLYKIWQDPQRGTVSGGMIRELLISFKRSTGEKPQRIIFYRDGVSEGQFYQVLLYELNAIRKACASLETNYQPKVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIKGTSRPAHYHVLWDENNFTADALQILTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSSSVVSGPGVRGPLSGSSTSRTRAPGGAAVKPLPALKDSVKRVMFYC >ORUFI02G40080.1 pep chromosome:OR_W1943:2:33799027:33799982:-1 gene:ORUFI02G40080 transcript:ORUFI02G40080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSPLLALVFLAAGVLSSATSPPPPPCSRSCAALNCDSVGIRYGKYCGVGWSGCDGEEPCDDLDACCRDHDHCVDKKGLMSVKCHEKFKNCMRKVKKAGKIGFSRKCPYEMAMATMTSGMDMAIMLSQLGTQKLEL >ORUFI02G40090.1 pep chromosome:OR_W1943:2:33800132:33805677:1 gene:ORUFI02G40090 transcript:ORUFI02G40090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYYSCGASSTSSPTSPSLVDYYYCYHRYPSSCSSTSTATSSGGRMPIRSHQQRLSSPTAVLGHETPALREVYTVGRKLGQGQFGTTYLCTQVSTGAEYACKSIAKRKLLSPEDVEDVRREIQIMHHLAGHGSVVTIQGAYEDNLYVHIVMELCEGGELFDRIVERGYFSERKAAEITRVIVGVVEACHSLGVMHRDLKPENFLLKESSSSSSLKAIDFGLSVFFKPGQVFSDVVGSPYYVAPEVLCKHYGPEADVWTAGVIVYILLSGVPPFWAETQQGIFDAVLRGSLDFDSDPWPTISDSAKDLIRRMLRSPPRERLTAHQVLCHPWVCDDGVAPDRPLAPAVLSRLKQFSAMNRLKKMALRVIARNLSEEELAGLKEMFKAMDTDASGAITFDELKEGLRRYGSNLREAEIRDLMDAADVDKSGTIDYDEFIAATVHLNKLEREEHLLAAFAYFDRDGSGYITVDELEHACRDHNMADVGIDDIIREVDQDNDGRIDYGEFVAMMKKGAIDIIGNGRLTIGRPTTATSDDPSPTISSSSR >ORUFI02G40100.1 pep chromosome:OR_W1943:2:33807325:33809283:1 gene:ORUFI02G40100 transcript:ORUFI02G40100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVVAAAAAAVGNMLQGWDNATIAGALLYMRRDLPALQAHPALQGLVVATSLIGATIVTTFSGPLSDSRGRRPMLIASALLYSLAGLLMLWSPNVPILLLARLVDGFAIGLAVTLVPVYISETAPPDTRGLLNTLPQLTGSTGMFLSYCMVFLITLAPIPNWRLMLGVLLLPALLYLLLTIFFLPESPRWLVSKGRMKEARTVLQMLRGRQDVSAEMALLVEGLTTGRDTAIEEYVVGPTDEAKVTLYGGMSSGLAPGSMFGSAVTLASRQGSMLDHLKDPVVALLDSLHDMNPPAGGTTDVPNLGSMIGVHDRPPIDWDEENSGDDDGDIAAPLLTMEGEAATSTVGIGGGWQLAWKWTEGVAADGTRQSTVKRMYLHEEQAEGVHAAALVSQSALCTKKEAEAEVEGGWREVLEPGGVRHALVCGVAIQILQQFSGISGVLLYTPQILEQAGVGVLLSRLGLRDDSASILISGVATLLMLPSIGVAMRLMDVSGRRSLLLWTIPLLVASLAVLVAASVAPMAAAAHAAVCTGSVVVYLCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLAFWLADIAVTYTLPVMLASLGLAGLFAIYAAVCCVALVFVALRVPETKGLPLEVIIDFFNVGAKGTLPNLHDDDDHY >ORUFI02G40110.1 pep chromosome:OR_W1943:2:33811386:33811937:-1 gene:ORUFI02G40110 transcript:ORUFI02G40110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVHSAESPATPAAAISIDSDMVVILASLLCALICVAGLALVARCACRRRGAATTTTTTTTTPAATSPAPKGLKKKAIDALPTVSFALKQQQQAECAICLAEFAGGEELRLLPHCGHAFHVSCIDTWLGTHATCPSCRATVGTSTLFLPLPGRCRRCGEVDLPTLHDFSTATATAHHNTPP >ORUFI02G40120.1 pep chromosome:OR_W1943:2:33814011:33815601:-1 gene:ORUFI02G40120 transcript:ORUFI02G40120.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRLRGGGSRPQSKSQEGLRIHAKEKLPIASNALLQAHGEIHAATGAPTYLALLFRNFYPRLSANLGLGLAIHFRNNQPLPLAWDNFSYTLRASKAIIPFPSNALLGINLKGRLLADKYFNPTARTAAVELAWTILDLKRGQDVRLKLGYQLLHKMPYFQLRENNWTFNAYMDGKWDVRFDL >ORUFI02G40130.1 pep chromosome:OR_W1943:2:33815986:33816327:-1 gene:ORUFI02G40130 transcript:ORUFI02G40130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGSGDAGHNDGGFAGERWRGAAVVVDLASGDATTTMAMSGADDHNDMGIWGMCTGGLTGRGDTRGSDSSNASLRAVASRLPSPGAALQAFPLQARFLACGTTHSATSSARL >ORUFI02G40140.1 pep chromosome:OR_W1943:2:33817629:33834696:1 gene:ORUFI02G40140 transcript:ORUFI02G40140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGRRVGGPRGGGESSPSPAAGAGAAASGGRRILRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEGSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQAILETHNQVEEKKKLYLPYNILPLDPDSANQAIMRYPEIQAAFHALRNTRGLPWPKDHEKKPDADLLGWLQAMFGFQKDNVSNQREHLILLLANVHIRQIPKPDQQPKLDDRALDTVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGDEEAFLKKVVTPIYKVIEKEAERSESSERSERSKTTKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPDYAYHDEVSGENRRVGSGQWMGKVNFVEIRSFWHIFRSFDRMWSFLILSLQAMIIIAWNGGTPSDIFDAGVFKQVLSIFITAAILKLGQAILDIILSWKARRSMSLAGKLRYILKLISAAAWVVILPVTYAYTWENPTGLARTIKSWLGDGQNQPSLYILAVVIYLAPNMLSAVLFLFPVLRRALERSNLKVVTFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSYYVEIKPLVRPTKDIMKEPIRTFQWHEFFPHGNNNIGIVIALWAPIILVYFMDTQIWYAIFSTLIGGIYGACRRLGEIRTLGMLRSRFESLPKAFNQRLIPSDSNKRRGIRAAFSSKPTKTPEDSKEEEKIAARFAQIWNLIITSFREEDLIDNREKDLLLVPYCKDRDMDIIQWPPFLLASKIPIALDMAADSEGKDRDLKKRVKSDPYFTYAIKECYASFKNIIYTLVVGAKERDVIQKIFTVVDDHIAQDTLIKELNMSNLPTLSKKFIELLELLQKNNKEDQGQVIILFQDMLEVVTRDIMDEQLSGLLESVHGGNNRRYEGITPLDQQDQLFTKAIDFPVKESHAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMDMPSAPKVRHMLPFSVLTPYYKEDVLFSSQALEDQNEDGVSILFYLQKIYPDEWKHFLQRVDCNTEEELRETEQLEDELRLWASYRGQTLTRTVRGMMYYRQALVLQAFLDMARDEDLREGFRAADLLNDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDHRAQDILRLMTTYPSLRVAYIDEVEEPSKDRNKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMMTVWTVYVFLYGRLYLVLSGLDEALATGKRFIHNEPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGTTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELLILLIVYEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEKEQEPIKYSGKRGIVLEIVLALRFFIYQYGLVYHLNITKHTKSVLVYCLSWVVIFVILLVMKCFIAVLMSNIVTVSVGRRKFSADFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLVAQAIKPVIVRIGLWGSIKALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRATRNKE >ORUFI02G40140.2 pep chromosome:OR_W1943:2:33817629:33834696:1 gene:ORUFI02G40140 transcript:ORUFI02G40140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGRRVGGPRGGGESSPSPAAGAGAAASGGRRILRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEGSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQAILETHNQVEEKKKLYLPYNILPLDPDSANQAIMRYPEIQAAFHALRNTRGLPWPKDHEKKPDADLLGWLQAMFGFQKDNVSNQREHLILLLANVHIRQIPKPDQQPKLDDRALDTVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGDEEAFLKKVVTPIYKVIEKEAERSESSERSERSKTTKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPDYAYHDEVSGENRRVGSGQWMGKVNFVEIRSFWHIFRSFDRMWSFLILSLQAMIIIAWNGGTPSDIFDAGVFKQVLSIFITAAILKLGQAILDIILSWKARRSMSLAGKLRYILKLISAAAWVVILPVTYAYTWENPTGLARTIKSWLGDGQNQPSLYILAVVIYLAPNMLSAVLFLFPVLRRALERSNLKVVTFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSYYVEIKPLVRPTKDIMKEPIRTFQWHEFFPHGNNNIGIVIALWAPIILVYFMDTQIWYAIFSTLIGGIYGACRRLGEIRTLGMLRSRFESLPKAFNQRLIPSDSNKRRGIRAAFSSKPTKTPEDSKEEEKIAARFAQIWNLIITSFREEDLIDNREKDLLLVPYCKDRDMDIIQWPPFLLASKIPIALDMAADSEGKDRDLKKRVKSDPYFTYAIKECYASFKNIIYTLVVGAKERDVIQKIFTVVDDHIAQDTLIKELNMSNLPTLSKKFIELLELLQKNNKEDQGQVIILFQDMLEVVTRDIMDEQLSGLLESVHGGNNRRYEGITPLDQQDQLFTKAIDFPVKESHAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMDMPSAPKVRHMLPFSVLTPYYKEDVLFSSQALEDQNEDGVSILFYLQKIYPDEWKHFLQRVDCNTEEELRETEQLEDELRLWASYRGQTLTRTVRGMMYYRQALVLQAFLDMARDEDLREGFRAADLLNDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDHRAQDILRLMTTYPSLRVAYIDEVEEPSKDRNKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMMTVWTVYVFLYGRLYLVLSGLDEALATGKRFIHNEPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGTTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELLILLIVYEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEKEQEPIKYSGKRGIVLEIVLALRFFIYQYGLVYHLNITKHTKSVLVYCLSWVVIFVILLVMKTVSVGRRKFSADFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLVAQAIKPVIVRIGLWGSIKALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRATRNKE >ORUFI02G40140.3 pep chromosome:OR_W1943:2:33817629:33834696:1 gene:ORUFI02G40140 transcript:ORUFI02G40140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGRRVGGPRGGGESSPSPAAGAGAAASGGRRILRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEGSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQAILETHNQVEEKKKLYLPYNILPLDPDSANQAIMRYPEIQAAFHALRNTRGLPWPKDHEKKPDADLLGWLQAMFGFQKDNVSNQREHLILLLANVHIRQIPKPDQQPKLDDRALDTVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGDEEAFLKKVVTPIYKVIEKEAERSESSERSERSKTTKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPDYAYHDEVSGENRRVGSGQWMGKVNFVEIRSFWHIFRSFDRMWSFLILSLQAMIIIAWNGGTPSDIFDAGVFKQVLSIFITAAILKLGQAILDIILSWKARRSMSLAGKLRYILKLISAAAWVVILPVTYAYTWENPTGLARTIKSWLGDGQNQPSLYILAVVIYLAPNMLSAVLFLFPVLRRALERSNLKVVTFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSYYVEIKPLVRPTKDIMKEPIRTFQWHEFFPHGNNNIGIVIALWAPIILVYFMDTQIWYAIFSTLIGGIYGACRRLGEIRTLGMLRSRFESLPKAFNQRLIPSDSNKRRGIRAAFSSKPTKTPEDSKEEEKIAARFAQIWNLIITSFREEDLIDNREKDLLLVPYCKDRDMDIIQWPPFLLASKIPIALDMAADSEGKDRDLKKRVKSDPYFTYAIKECYASFKNIIYTLVVGAKERDVIQKIFTVVDDHIAQDTLIKELNMSNLPTLSKKFIELLELLQKNNKEDQGQVIILFQDMLEVVTRDIMDEQLSGLLESVHGGNNRRYEGITPLDQQDQLFTKAIDFPVKESHAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMDMPSAPKVRHMLPFSVLTPYYKEDVLFSSQALEDQNEDGVSILFYLQKIYPDEWKHFLQRVDCNTEEELRETEQLEDELRLWASYRGQTLTRTVRGMMYYRQALVLQAFLDMARDEDLREGFRAADLLNDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDHRAQDILRLMTTYPSLRVAYIDEVEEPSKDRNKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMMTVWTVYVFLYGRLYLVLSGLDEALATGKRFIHNEPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGTTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELLILLIVYEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEKEQEPIKYSGKRGIVLEIVLALRFFIYQYGLVYHLNITKHTKSVLVYCLSWVVIFVILLVMKTVSVGRRKFSADFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLVAQAIKPVIVRIGLWGSIKALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRATRNKE >ORUFI02G40150.1 pep chromosome:OR_W1943:2:33834931:33840020:1 gene:ORUFI02G40150 transcript:ORUFI02G40150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEPPKGWNPNYGVVGSGDRRLAFSRQLSSSMPRLARSDSSISMPPPSLAPTGAITFRWLATRPMRRLALLIALNVAYSATELAIGLLTARVVVMGKVIDMEDYMTLQGKLTKHYLIVSAVTNLLVNLLGVWFFRSYARVNIVYRKAEDMNYHSVCLHVLADSVRRVENAEVLCLGIVSVAVFMLVLPLFKATGNILLQIAPGNVPPSALTKCFRQITACEDVSEVCQGRFWELVPGHAVGSLDIRIWAYRT >ORUFI02G40160.1 pep chromosome:OR_W1943:2:33840383:33845662:-1 gene:ORUFI02G40160 transcript:ORUFI02G40160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPCDLRILLVAAAAAFIYIQEKIVALEEMKIRQDEERVHLKILIQDLEKRSVQTLVNNNVAPVAAVVVMACNRPDYLQRTVESILKYQTSVASKFPLFISQDGINGEVKKKALSYNEITYMQHLDLEPVRTERPGELIAYYKIAKHYKWALDELFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDKTIMAVSSWNDNGQKQFVYDPKALYRSDFFPGLGWMLTKPTWIELSPKLKEVHRDRQFIRPEVCRTYNFGEHGSSMGQFFRQYLEPIKLNDAHIKWNSEDLSYLKEDKFLIQFGKDVASATPLHGSDAALKAHNMDADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPDSLSQLRFLNWTYARMKGNEILIDI >ORUFI02G40160.2 pep chromosome:OR_W1943:2:33840383:33845662:-1 gene:ORUFI02G40160 transcript:ORUFI02G40160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPCDLRILLVAAAAAFIYIQEKIVALEEMKIRQDEERVHLKILIQDLEKRSVQTLVNNNVAPVAAVVVMACNRPDYLQRTVESILKYQTSVASKFPLFISQDGINGEVKKKALSYNEITYMQGNSRSNGYKLSSEKQGHNHTPNLWMYCLKGKLDATHLDLEPVRTERPGELIAYYKIAKHYKWALDELFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDKTIMAVSSWNDNGQKQFVYDPNFTYWDDWVRLKEVHRDRQFIRPEVCRTYNFGEHGSSMGQFFRQYLEPIKLNDAHIKWNSEDLSYLKEDKFLIQFGKDVASATPLHGSDAALKAHNMDADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPDSLSQLRFLNWTYARMKGNEILIDI >ORUFI02G40160.3 pep chromosome:OR_W1943:2:33840383:33845662:-1 gene:ORUFI02G40160 transcript:ORUFI02G40160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPCDLRILLVAAAAAFIYIQEKIVALEEMKIRQDEERVHLKILIQDLEKRSVQTLVNNNVAPVAAVVVMACNRPDYLQRTVESILKYQTSVASKFPLFISQDGINGEVKKKALSYNEITYMQHLDLEPVRTERPGELIAYYKIAKHYKWALDELFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDKTIMAVSSWNDNGQKQFVYDPNFTYWDDWVRLKEVHRDRQFIRPEVCRTYNFGEHGSSMGQFFRQYLEPIKLNDAHIKWNSEDLSYLKEDKFLIQFGKDVASATPLHGSDAALKAHNMDADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPDSLSQLRFLNWTYARMKGNEILIDI >ORUFI02G40160.4 pep chromosome:OR_W1943:2:33840383:33845662:-1 gene:ORUFI02G40160 transcript:ORUFI02G40160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPCDLRILLVAAAAAFIYIQEKIVALEEMKIRQDEERVHLKILIQDLEKRSVQTLVNNNVAPVAAVVVMACNRPDYLQRTVESILKYQTSVASKFPLFISQDGINGEVKKKALSYNEITYMQGNSRSNGYKLSSEKQGHNHTPNLWMYCLKGKLDATHLDLEPVRTERPGELIAYYKIAKHYKWALDELFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDNWKAKDFICNVSNCFAAIPVTVGSSMGQFFRQYLEPIKLNDAHIKWNSEDLSYLKEDKFLIQFGKDVASATPLHGSDAALKAHNMDADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPDSLSQLRFLNWTYARMKGNEILIDI >ORUFI02G40160.5 pep chromosome:OR_W1943:2:33840383:33845662:-1 gene:ORUFI02G40160 transcript:ORUFI02G40160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPCDLRILLVAAAAAFIYIQEKIVALEEMKIRQDEERVHLKILIQDLEKRSVQTLVNNNVAPVAAVVVMACNRPDYLQRTVESILKYQTSVASKFPLFISQDGINGEVKKKALSYNEITYMQGNSRSNGYKLSSEKQGHNHTPNLWMYCLKGKLDATHLDLEPVRTERPGELIAYYKIAKHYKWALDELFIKHNFARGSSMGQFFRQYLEPIKLNDAHIKWNSEDLSYLKEDKFLIQFGKDVASATPLHGSDAALKAHNMDADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPDSLSQLRFLNWTYARMKGNEILIDI >ORUFI02G40170.1 pep chromosome:OR_W1943:2:33846348:33847439:1 gene:ORUFI02G40170 transcript:ORUFI02G40170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVRLLGLPFDCGDLDICKFFVGLDIVDCLLVHKNGCFTDEAFVVFPSAMQGEFALHRNR >ORUFI02G40180.1 pep chromosome:OR_W1943:2:33849575:33850681:1 gene:ORUFI02G40180 transcript:ORUFI02G40180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGMSCFSWNPSLRCWHGSNDGGSPCSQAAQAASAGAGGAKKFTLAQLSAATDGFHESNVVGEGGFGRVYRGRLEEGGQGLVAVKQLCHGGAQGTREFLVECMMLMMLHHPNLVSLVGYCADAGERLLVYEFLPRGSLDAHLFGRRPQEPPLALGWAARVRIAVGAARGLRYLHEVVTPPVIYRDLKASNILLDDDLNPRLSDFGLAKLGPVGDDTHVSTRVMGTYGYCAPDYAMSGKLNVKSDVYSFGVVLLELITGRRAFDAASSDSESEDHQRFLLLRDWARPYLAGDRKRCFALADPALQGRYPRRAFYQLAVVASLCLRDNPNLRPSMTDVTRALDHVASQSQPWEDKQRATTTTPPPNSQP >ORUFI02G40190.1 pep chromosome:OR_W1943:2:33851084:33857515:1 gene:ORUFI02G40190 transcript:ORUFI02G40190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGVVVEEAVRRYAGGKPAALLPALLGLLSRQQPSSGWARALASQLHADVAKRPLSAAASNSLLCYYLRSSRLDLALHHLRCRSTPRDSLTYNTLLNHLPASSSSSTTFRLFRFAMRHAHAAFHPNIASLLSLLRASSSYSDHFLHMIHAYLLKTPASIHTPVANSLLSLYATLGDFASAAILFGEMPDRDVASWTSMIGACLGSGYADQALRLFREMLADGALQPDGVVAVVVLRACAMLEDVRAGASVHAVATRRGLQGDLFVDNSLVDMYAKCLDLRSARKVFDLIAVKNVVSWNTMLSGLVHAGSYPEALHLLALQIGVVGDETTLAVLLQLCKKKRLGGQAARSVHGAAIRRRLLSMALLNALLDAYGKCGLVEDVLRLFQGMRERNVITWSTVIAACAHNARPHAAMACFVAMLVTGERPNSITVLSLVEACGSCAEMWASRRAHGVAVRSGLGFELAVGNALVHMYGKCGELGASARVFDTMPVKDVLTWNSMIGALGMNGRARDALALLHRMEAEGDEVRPNGVTMLAALWACAHGGLVEEGIGCLESMARQSLQPRVEHVSCVVDMLARAGDLDGAAEIVRRSSGGGSPAAWSALLSACRRRGDGGGGEVGRSAAARVLELEPGKSAGYLMSMGMGLGKGWAAGMRWAMREKGVKVESGHSVVQHAGGSERDLRPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNASKHSSSGKLELSSVSSALVLAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAKLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPVFFIGLGNLSAVVLLPLLIYVFRLGITGAAISTVASQKRKDYRRVDGVGTVTASIFYRYVDQLVIDRAFIHGPCPFCPLMNGLFRELLFSILFRGGMLLGRTLSILLTMTIGTSMAARQGPTAMAAHQICLQVWLAVSLLADALAVSAQAMIASSYAILDYKRVQKIAMFALQFVCASQPINALAFIFDGLHYGVSDFDYVAQATIAVGIMSSLVLLYAPSVFGLAGVWAGLTTLMGLRMASGILR >ORUFI02G40200.1 pep chromosome:OR_W1943:2:33860774:33866142:-1 gene:ORUFI02G40200 transcript:ORUFI02G40200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSPSPSPASGAQYAHQFLNTALSQRGPSALPYAEDVKWLIRNHLVALADAFPSLHPKAALFTHNDGRAAHLLQADGTIPIHHAGASYNLPAVLWLPEPYPRSPPLVFLSPTRDMVIKPHHPLVDRSGLVANAPYLRSWVFPSSNLVDLVRSLSHLFGLDPPLFTRSPNPPPPSPSPPIPATPLPRVHPSSSSSPSPSPYRFPASPQLAARPPPTEDPAEVYKRNAIAKLVDMAYADAATLRPVREAEVDTLFAMQATLRSRGEVVSDGVRKMGEEKEALERRLQDVMMATDLMEAWVMENTKGAAGDTEADEAIETADVLSKQMLECTAADLALEDTIYALDKAIQEGSVPFDGYLRSVRALAREQFFQRVLSTKVNKAQQQAQVSGANAIVLVQLCSSAGKMAYSSLCRQQRKDFEVVIEDGRS >ORUFI02G40210.1 pep chromosome:OR_W1943:2:33868410:33872914:-1 gene:ORUFI02G40210 transcript:ORUFI02G40210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLLLTSRGTHSPAPRPQTRRASQLLVSFPSRCSRFVGLRLARAAADSQGPNGAAPGSGSGGDGEGKAANGADTKLPKNRRDILLEYVKNVQPEFMELFIKRAPPQVVDAMRQTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVLMTGYMFRNAQYRLELQQSLEQIALPEPKEENDSADYAPGTQKKVTGEVIRWNKTTGPEKIDAVKYIELLEAEIDELSHQVARKSSQGSNELLEYLKTLEPQNLKELASSAGEDVVFAMNAFIKRLLAVSDPAQMKTTVSETSANQLANLMFWLMIVGYSMRNIEVRFDMERVLGAAPKIGELPPGENI >ORUFI02G40220.1 pep chromosome:OR_W1943:2:33875500:33878482:1 gene:ORUFI02G40220 transcript:ORUFI02G40220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGAKCSDEVNDGTYFMAGVMAVTGLMATVLALSGIFHCALRRVGQPSIISHILAGVVVGPTVLGRAVDLRPLGMQDAGSALSDTIYFVRIIFMFFIGLEMDLRYLRHHLRRSLAIACGGSGLCLLLAALAGPFFYGLLHPGQGPFKPEKLYASTALFMLVLTTTASPVLIRIVTELKLTGSEAGQLAIGAAFANDMASLSVFSIMVVGTTAYGPDGQPTPSFPDMSIVMSMAFTACLAVLAAARAARLLNRLKRGRRYVSKYELCAMLLLIIALSLLEQVFGYSASMTAFLIGLAMPRDGPTARTLVDRLTYPVHQLVMPLCFGAIGARLDFAAVGSFTAMQFAVAVAFTTLLGAVGKVGGTVLAGRMLGISARESLVLGFLLNVKGYCDILAINFGNQAGIWGQTAQVVLLLSSILNTFMAGPAAAAIVRQQRAASRYRSRCLQDLKVDHELRVLVCVHGAGGVHTMLTLAELSKGTAPLAVYLLHLVELMAARKYAITHLYHDADADDDEWGYAREIEQVAAAVNTFTYDAGVPVRQMTAISSLGSMDADVRNGVEDSRASLVIVPFHKEQRYDGRMVCRREGRRQLNQRILQRLPCTVGVLVERRLGGGGDKGAEDVVKNQVVAVFLGGPDDREAVAYATRLAAHPWVSVTVVRFLPARQDDITIGIDEQLLATTKSHGGEGAMEVAVEDEEAMADEEFMADVYARLVLAGQVEYTERYVSNGAEMVNSLSAMVGTYSLFVVGKGGGGSAAAAMTSGMGGLLEDECPELGPVGEVLASDDFTACGSVLVLQQHSAHVHRRMRRWNPNIHITTTPSSSDHHSHPP >ORUFI02G40230.1 pep chromosome:OR_W1943:2:33881757:33882503:-1 gene:ORUFI02G40230 transcript:ORUFI02G40230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLGGVGSPQLSLSSCSSFLSISSAGTSAADGAPHLSLGVGGAEELDLLLQVGIGGGGGGGGDEEEEERKTIRMMKNRESALRSRARKRAYVQELEKEVRRLVNENLKLKRHCKQLKTEMAALIQQPTNKQSSHRRSSST >ORUFI02G40250.1 pep chromosome:OR_W1943:2:33907203:33912086:1 gene:ORUFI02G40250 transcript:ORUFI02G40250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENWIPELRHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPISTAQGEELRKLIGAAAYIECSSKTQQNIKAVFDAAIKVVLQPPKQKKKKKKAQKGCAIL >ORUFI02G40260.1 pep chromosome:OR_W1943:2:33916186:33917240:-1 gene:ORUFI02G40260 transcript:ORUFI02G40260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIWSSFGLWMLLLMLEAVSPAKIHGNPANDLVALVNANRTATKLPHLRTSAGLGCMALQYISDCIGIGIGCAGDNTVACQPPEAHITEVYAANCGVELPTVDVITGRLLGCHRQRSDAEAALEAVLSGSGNSTAARAVIRGKEHTQVGAGFDRAHRRGPFFWCLLFSSGSANSTFLLEAAGKGVHQSHGCFSVPDNTSLSLSCSSAAAAAVPLLFFILLLLPVLQVYY >ORUFI02G40270.1 pep chromosome:OR_W1943:2:33950650:33957530:1 gene:ORUFI02G40270 transcript:ORUFI02G40270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTRRHRPSGLGLVGRLPLHPIDQPADGFSRLLLQATRGHGQSSSSSSPPGQGQIEASHHGGEARYTLLLQPQHRWSVVDDDRLHHWRHRFRWPQIGPKASLRRSQGLRLNAVRQQGHISFSGYVELMKSNICACVRAYACIHTAASTFPGITIAEQGDWDKCIQGSTAVVNLAGMPISTRWSPEVVNYINNASGDARPSVFVSATAIGFYGTSEISSFDESSPSGNDYLAEVCREWEARACQVNQEDVRLVLLRIGVVLGKDGGALAKMIPLFMMFAGGPLGTGRQWFSWIHQDDLVDLIYESLKNPSYKGVINGTAPNPVRLSEMCERLGRVVGRPSWLPVPEIALKAVLGEGASVLEGQKVLPVKAKQLGFSYRYPYVQDALRAIARDL >ORUFI02G40270.2 pep chromosome:OR_W1943:2:33950650:33957530:1 gene:ORUFI02G40270 transcript:ORUFI02G40270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTRRHRPSGLGLVGRLPLHPIDQPADGFSRLLLQATRGHGQSSSSSSPPGQGQIEASHHGGEARYTLLLQPQHRWSVVDDDRLHHWRHRFRWPQIGPKASLRRSQGLRLNAVRQQGHIRITIAEQGDWDKCIQGSTAVVNLAGMPISTRWSPEVVNYINNASGDARPSVFVSATAIGFYGTSEISSFDESSPSGNDYLAEVCREWEARACQVNQEDVRLVLLRIGVVLGKDGGALAKMIPLFMMFAGGPLGTGRQWFSWIHQDDLVDLIYESLKNPSYKGVINGTAPNPVRLSEMCERLGRVVGRPSWLPVPEIALKAVLGEGASVLEGQKVLPVKAKQLGFSYRYPYVQDALRAIARDL >ORUFI02G40280.1 pep chromosome:OR_W1943:2:33959522:33961893:-1 gene:ORUFI02G40280 transcript:ORUFI02G40280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSLGQISKAVACLGRCCGNPRETKIPPIPNQPSQSPPTHPVGPVPTFVAGGVGSGGGGSGRALGSGGVGALKEEAAASAVAVLGAEEWSYARSSRC >ORUFI03G00010.1 pep chromosome:OR_W1943:3:3491:5777:1 gene:ORUFI03G00010 transcript:ORUFI03G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRNTSPPTSASNNSSSSSSKAKKKAVTPAQVAFLVERYLADNGFSASLAAFRTDAAHLFTKAAPVPPKGLLPLSDILHDYVALKEARLAVDSAMHAMHNLVSAYYPHHPPPPAPAPSSPTQFFAASSPPAVPAGAGAVAGYASPIIRYTQTSSSVVVHNSSTSEANAMSTPAQAPAAAPISFPAKKRKAATTKSAAKSKKTCIAPTISSHPKGKTVASQLSLDNSERHSAMAKLPVQGSSVAKSLFNPLQPQVHSSPCTPQQNNPIVAYQTERASSSVVANAHTQQEVASSQCSMVSSKTLIVSPLKGAAYYAVERSYHVSSPLKPSSHKSSKREHVKGKLDFGTCDDRPCSNEAICEEASTSSDVEKQDDFDIDFTNLDIFDGDFSFSELLVDLDLDSEGVHCLNPPTNAEVQRLEGVADPMKAMAEDPTEDINSQGAASAVTCVRAITKRIKIVSPVKGRAAAAP >ORUFI03G00020.1 pep chromosome:OR_W1943:3:7525:8349:-1 gene:ORUFI03G00020 transcript:ORUFI03G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNYVGLAAINLVAALLSIPVIAAGIWLSAQVDSACVQLLQWPLIGLGVAVLAVGLAGFVAAFWRLPWLLLAYLVGMLLLVVALACLAVFVFVVTGGASSGGHTVPSRAFLEYELDDFSGSWLRGRVDEPAGRWEQIKTCLAATPICSDVNQTYATAQDFFSASWLTPLQSGCCKPPTRCGYTFVTPISWISPISAAADPDCGAWSNDPSQLCYSCSSCKAGLLHNLSREWRRADLILLVATVALLAVYAFACYAFRTAKTDDLFRRYRQGYT >ORUFI03G00030.1 pep chromosome:OR_W1943:3:11998:15852:1 gene:ORUFI03G00030 transcript:ORUFI03G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPFAAASSSSSSSTVTNTCKLRLRLSYTHCSIGFAPRVRSWIRAAAEGRGGDQRRSGRLAADGPRVVEVAAPPAAPVVTGGGGGGGGFAARDAELAMWERLGAVVRLSYGIGIYAAMALTGRFICQMAGIDCTGGFHPSLSALVEGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFYGMSPWQFILIVTASSIGEELFYRVAVQGALADIFLRGTELMKDARGIASLSGMVPPFVPFAQTFAAAITAALTGSLYYVATAPKDPTYVVTPAMRSNTGRENLKKLFAAWYERRQMRKIYSPLLEGILAFYLGFEWIQTDNILAPMITHGIYSTVVLGHGLWKIHDHRRRLRQRIQEIRQEGTTNSDTL >ORUFI03G00040.1 pep chromosome:OR_W1943:3:17989:19137:1 gene:ORUFI03G00040 transcript:ORUFI03G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFVKISAPCSLAGHGTTSSSSGSSRSKRGLLLPVGAGAGAGAYGGRQYSDVVPVPALSQAQASSSGGRLRIFSGTANPRLAQEVACYLGMELGRVKIKRFADGELYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKTITAVVPYFGYARADRKMRGGRESIAAKLVANLITEAGAHRVLACDLHSGQSIGYFDIPVDHVYGQPVILDYLASKTICPDEVVVVSPDVGGVARARTFAKKLSDAPLAIVDKRRQGHNQSEVVHLIGDVRGKVAVMVDDMIDTAGTVSQGAELLHREGARAVYACSTHAVLSPPAVDRLSSGLFQEVIVTNTVPVLHHRTFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >ORUFI03G00050.1 pep chromosome:OR_W1943:3:19270:22356:-1 gene:ORUFI03G00050 transcript:ORUFI03G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSRARRRSKSRWPLGEPPPGLFPARDDLLRLLAVVSIAAAAAAACSLLNRRPKPLCDSGGAAYTHHDSCQPCPPHGRCVDGNLECVQGFNKYGNLCIEDGLVSQTATKILLERRICDQYARALCGQPAKILFQELDILNMADELLSKGFVGLSQDGAKVAKIKVLDSARAFFEKTFSSDGVEEFKCPDLVAELYRPLTCQIRQWISRNIMSVTAFGVLFSALLWILWSIYKRQALSKRAEQIYAQVCEVLEDNAIDAKIGNSECEPWVVTSWLRDHLLVPQERRNAFLWKKVEELILEDSRIDQYPKVVKGESKVVYEWQASGSLSGKKKVKKMQGVAAGKSRADGAAGGAIKLAEELDAG >ORUFI03G00060.1 pep chromosome:OR_W1943:3:22375:25315:-1 gene:ORUFI03G00060 transcript:ORUFI03G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTRAPTRAIAIQLNGLIFFFLLNLKHTYDRKRSTGDPTGLRSGENGSTVGIDGDWTPQGDLDDVRGECDDAAITDLALANTSRHERKLEGAGAVRMDVTASRGLGAQRRHEKSLAFNDLTNPVQAPAQHPVDSMIYQQKGQV >ORUFI03G00070.1 pep chromosome:OR_W1943:3:25415:26026:1 gene:ORUFI03G00070 transcript:ORUFI03G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSKALAAVVAISVSLSAAAMGVDATVESTCSDAAASDKRVHLAMCLSQLGHHRDADAWGLAKAATLVGVDKADLAADDIKELEAGASTAGIKPALAECAKQYRGVGFAFASAHDVINNRAYDVGEKKLDEALSLTQKCNAAFAKIGVPLQQPLAQLTADTIQIAIIAKAITCLVNVNNNPALVAAAAAAAAAKAPQQSQYP >ORUFI03G00080.1 pep chromosome:OR_W1943:3:26248:26934:-1 gene:ORUFI03G00080 transcript:ORUFI03G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAAGVEWWTGGCSCSSSPFQSNPMALTNHILAPAAAAACCFGRRVPLPPPHQLAVRRKQKSVVVAMADLLGDFGARDPFPEEIESNFGERVLGNVDTLHNILIPTLSVLSIARLPLEPNPAPVDAADARRLLHKVVGWRLLDDADGMRLQCVWKVRDEACGHELVARINAAVDGAPATVVFEAPNQVRAELQTPSAGGLTVNDFIVAARIDKVKTVDLIPKKRVWA >ORUFI03G00090.1 pep chromosome:OR_W1943:3:36635:45085:1 gene:ORUFI03G00090 transcript:ORUFI03G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAVPFSRRPSDGPRGARPILVVILIAAAATLLALAYSSFPSASRATTASSSMAVAPTDDGCCRGLEGLELWGPAVKWGSDHRLLSAAACCESCKAMCKANDCRCDSWVFCGDKKRCGQRFGECWLKKQKDVMAPSVVAKGDDVMWTSGLVFAKGEGIVGLETNLGTIRIQLLPGCAPHSVDYFIEVLGLRNCAGCRFYRAEGRGNLWDAKGDHIKNAAYGPPYALLQGTLEVDGLPFKERAKEACPALRRGSVAWVGSGPEFLISLANHGEWKGAYTVFGSVVPEDMAIAEEMALLSTSTDVWSNVTVKVLRDPVYFKEKSAAAAGVMLPFEEQVVADLLEDPNGGLVVVSCGLPVASLASAVLLQLFHQSPDDGCFLILSAPEPVKAQIRRRLLLNSQSQPPLLQLQVHDVAPDLPAHHRVALYASRAALFLSPRALVADLLTSRLLPSRVRALLLLSAHRSSDTSSDAFVARLLRHHHLLPVYAFSDRPHAMVSGFAKAERAMKSLYIRRLHLWPRFHLLAAADLERSPPEVIDVRVPMTQPMRGIQAAILDAMDACLKELRRTNKVDVEDLTVDKGLFKSFDEIVRRQLDPIWHTLGKKTKQLVADLRTLRKLLDYLVRYDAVTYLKYLDTLRVSEGVRSVWIFADSSHKIFDLSKRRVYQVVRADGTKVAADNKGTPTKKRKVERASSRKGKETENEGSSDNKDNTQKVNAEMGIVVEEILEEAPKWKVLRELLQEIAEEQAKGDGDNVNPVHEDGINESGIVLVACKDERSCVQLQECISKGSHQVMRAEWEKYLLGKAELHGLHRKNKKTSQQLKGFGVLDGEVPMRSGESAGPVSISKLEANALLAAASEISTVTKEANLADDSNVSCKKRSFGKGKGKGKFKKTMAKREASKLRNRNTTEHTDLEVEGQSGKTDEQAETDACKLSAEDDSASAPAVDKAANNLSAFGDSVDTEPLPPVQFYALDSDQHVLDVWKPSVIIVYHPDITFVREIEVYKAENPSMKLKVYFLFYEDSAEVQKFESSIRRENEAFESLIRQKSLMMIPVDQNGRCIGPTLANEPEALLSQNSLTRKAGGRKPLEKEMQVIVDMREFMSSLPNVLHQKGIRIIPVTLEVGDYVLSPLICVERKSIADLFQSFASGRLYNQIETMVRYYKIPVLLIEFSQDKSFSFQSASEIGDDVSPTNIISKLSLLVLHFPRLRIVWSRSLHATADIFLSLKTNQDEPDESKAMRVGVPSEDGVVENDVRAENYNTSAIEFLRRLPGVTDSNYRRIMEECNSLAELALLPVERLSELMGTQKGARMLKEFLDAKCPTML >ORUFI03G00100.1 pep chromosome:OR_W1943:3:45274:59393:1 gene:ORUFI03G00100 transcript:ORUFI03G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAALERCFQAVPTDAVAGIVDCVLASSSWSSPSQLFHALLHSDHAAASSLPHAAALCHLLALLDQERPAEDSVRALLWRVFLPLLRQEDQLQHTIALMCDAVSTNQSFSDLLGATILPLCIRSSAIAMDMDLDSTLLFVYQTGEDPPPPAPGGLLLLPISKATAVLASLLQHTLERNRKSTSLNALLHNLTWDLSSLALNMFGHSQEYRSCATRVLLHPLLLSLADVSCVTVHLELAAAPQLKLSRSGFLESIWASCLSLFALGPAERLDAYNILSLYLSTLKHVILGPDEYDLRNSNDFWDEIRRGLVDKDSLVRKQALYILRISLDIFSSSEDNGAQQCSRRRSAALPAQDKSNTAMTKRERWAQKEAKSLGIGEMSQSDENCSSGKDRWKVFLLLYEMLQEYGTHLVEAAWTHQVMLLFESTPRSDYSNHMSYTVFHAQMESFEGFFHWMVVLWERGFTHDNPQVRYLVMHSFLDITWEHYLVCPQIVPRGFVLGPLLRGLNDVVHHKDFGVKGVYDSKIIKGAERFFGLYAQRLTTRDRLHLVWSLSSSAKQDSFGRAGLMALAFCVASCACHLNTNDLPCDSAGQEMAKCNGDAHTKVNIEDLLDALMILCEKSKQHFNPKYRLKVCEQVMKATTSLISAAEIPLNQLLYFISAIPREFTDYYGELRPMVQKWFVEKKECSSGNTLLEKLIDFPTTFVKHTEVNGPYLFDDEDVGAWEAEARRWARTLLLVTSEEQHFTQIFVFLEKYGNNLSEEYPTGECIQVKFFIIVLCLIEELEVKHKRLIHQNNTISKEGSDSSNGLEHHALNKKLAKFLLIILENMVIFSRTSCSIFWLRNSEDMDLPSSVKGKLGGPSQRRLPTSTTSLVLQAIWSMRSISSIVTWCNNYCSDISLCSTLTFFWEFCWEVIQHHSYATEVGGELHLAAYEALSYVLPTLSTACTSQFLDLVEPKQINQNSKFSLDFLVISFLDNINNLLVNGVLKRSRRAVLMCWKWLCLDSLLSFSCCRGENESLLKMLYPLFSESTLRSIFVDIIESLENAGENSVLAILRCVRSVLGLLHFSMRTRNLSSLGISYEMMMQFVKSSWILHLSCNKRRVAPIAALLSAVLHPAIFPNLEMHQENEKGPGPLKWFIENLLGEGSKSPRTIRLAALHLSGIWLMYPKTLSFYMEELKLLSLYGSVAFDEDFEAELSENHEARLEVSMLAQSPDREFTEVFINTELYARVSVAVLFDHLWKQIEVKSTLETEEALRSGKLFLLKLLDSAVNDKDISRELYKKYSSVHRRKVRIWQMICVLSQYVEDDIVKEVTSSIHICLYRNNLPAVRQYLETFAILIYLKFPALAEEQLIPIFHDNEMRQQALSSYVFIAANLILHSRELAVQINHLNELFPPIMPFLTSHHHSLRGFTQLLVHCVLSKMGSVLALGSSENPVFERRCFQDLKRYLAENTDCVRLRASVERFLDVFNPDTSGTPSGIFSSRPEVSFDFECVPVSVMERVINFLNDVREDLRQSIAKDSITIKNEDLTAEMHHKEDRTDENIVELLEPSQDVLNFQKKITPYRNFDQPLNVGGHSVVGDDYISRLLLDLEGDDQQLDLALESRNHAVETIKQSQQQLIVVASLVDRIPNLAGLTRTCEIFKAVGLAVADKSIIEDKQFRLISVTAEKWLPMMEVPVNSVKVFLEKKRLEGYSVIGLEQTANSKPLDHFSFPSKTVLVLGREKEGIPVDIIHVLDACVEIPQLGIVRSLNVHVSGAIAVWEYTRQQRIAT >ORUFI03G00100.2 pep chromosome:OR_W1943:3:45274:59393:1 gene:ORUFI03G00100 transcript:ORUFI03G00100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAALERCFQAVPTDAVAGIVDCVLASSSWSSPSQLFHALLHSDHAAASSLPHAAALCHLLALLDQERPAEDSVRALLWRVFLPLLRQEDQLQHTIALMCDAVSTNQSFSDLLGATILPLCIRSSAIAMDMDLDSTLLFVYQTGEDPPPPAPGGLLLLPISKATAVLASLLQHTLERNRKSTSLNALLHNLTWDLSSLALNMFGHSQEYRSCATRVLLHPLLLSLADVSCVTVHLELAAAPQLKLSRSGFLESIWASCLSLFALGPAERLDAYNILSLYLSTLKHVILGPDEYDLRNSNDFWDEIRRGLVDKDSLVRKQALYILRISLDIFSSSEDNGAQQCSRRRSAALPAQDKSNTAMTKRERWAQKEAKSLGIGEMSQSDENCSSGKDRWKVFLLLYEMLQEYGTHLVEAAWTHQVMLLFESTPRSDYSNHMSYTVFHAQMESFEGFFHWMVVLWERGFTHDNPQVRYLVMHSFLDITWEHYLVCPQIVPRGFVLGPLLRGLNDVVHHKDFGVKGVYDSKIIKGAERFFGLYAQRLTTRDRLHLVWSLSSSAKQDSFGRAGLMALAFCVASCACHLNTNDLPCDSAGQEMAKCNGDAHTKVNIEDLLDALMILCEKSKQHFNPKYRLKVCEQVMKATTSLISAAEIPLNQLLYFISAIPREFTDYYGELRPMVQKWFVEKKECSSGNTLLEKLIDFPTTFVKHTEVNGPYLFDDEDVGAWEAEARRWARTLLLVTSEEQHFTQIFVFLEKYGNNLSEEYPTGECIQVKFFIIVLCLIEELEVKHKRLIHQNNTISKEGSDSSNGLEHHALNKKLAKFLLIILENMVIFSRTSCSIFWLRNSEDMDLPSSVKGKLGGPSQRRLPTSTTSLVLQAIWSMRSISSIVTWCNNYCSDISLCSTLTFFWEFCWEVIQHHSYATEWLCLDSLLSFSCCRGENESLLKMLYPLFSESTLRSIFVDIIESLENAGENSVLAILRCVRSVLGLLHFSMRTRNLSSLGISYEMMMQFVKSSWILHLSCNKRRVAPIAALLSAVLHPAIFPNLEMHQENEKGPGPLKWFIENLLGEGSKSPRTIRLAALHLSGIWLMYPKTLSFYMEELKLLSLYGSVAFDEDFEAELSENHEARLEVSMLAQSPDREFTEVFINTELYARVSVAVLFDHLWKQIEVKSTLETEEALRSGKLFLLKLLDSAVNDKDISRELYKKYSSVHRRKVRIWQMICVLSQYVEDDIVKEVTSSIHICLYRNNLPAVRQYLETFAILIYLKFPALAEEQLIPIFHDNEMRQQALSSYVFIAANLILHSRELAVQINHLNELFPPIMPFLTSHHHSLRGFTQLLVHCVLSKMGSVLALGSSENPVFERRCFQDLKRYLAENTDCVRLRASVERFLDVFNPDTSGTPSGIFSSRPEVSFDFECVPVSVMERVINFLNDVREDLRQSIAKDSITIKNEDLTAEMHHKEDRTDENIVELLEPSQDVLNFQKKITPYRNFDQPLNVGGHSVVGDDYISRLLLDLEGDDQQLDLALESRNHAVETIKQSQQQLIVVASLVDRIPNLAGLTRTCEIFKAVGLAVADKSIIEDKQFRLISVTAEKWLPMMEVPVNSVKVFLEKKRLEGYSVIGLEQTANSKPLDHFSFPSKTVLVLGREKEGIPVDIIHVLDACVEIPQLGIVRSLNVHVSGAIAVWEYTRQQRIAT >ORUFI03G00110.1 pep chromosome:OR_W1943:3:60792:62542:-1 gene:ORUFI03G00110 transcript:ORUFI03G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTGIPSGWDPSSCLPIQREARAERLSVWRRSLPPVREDLRRGWGRRPARREEDAAAGDEPCAVSSCYIEDLVCVASRQQHIVAKPPAGDTSTKRGGASVARARERSDGGGRLAGAREGRGGGGGSRIRGMERRRWPEPGEGGARRRRWLEPGEIGGGSGCRRWCRVGVRRILPRPRSTSELVAGDRILRWELVTGGRVRRPRLNPRPRSPRRRCRHHHAGSSAVHAPHWCSAPAPSLSPRRILRRPCDHAPRWSSPVAVSSMPELVAGGRILHAEACRRRRWSSLSVPPASARLRSLLPQWPVLPCLREVKRERSRWDSIPTGYRWDTGI >ORUFI03G00120.1 pep chromosome:OR_W1943:3:62754:72417:1 gene:ORUFI03G00120 transcript:ORUFI03G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEVANAMDAITKETVDLEHIPVEEVLDHLKCTREGLTSEVAQQRIHSFGYNKLEEKQESKLLKFLGFMWNPLSWVMEAAAIMAIALAHGGRDARGKRMRIDYHDFVGIVLLLFINSTISFMEENNAGNAAAALMARLAPKAKVLRDGTWDELDASLLVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKHPGDGIYSGSTCKQGEIEAVVIATGIHTFFGKAAHLVESTTHVGHFQKVLTSIGNFCICSIAAGMVIELLVMYAVHERKYRQIVDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVVFEKGIEKDDVVLMAARASRLENQDAIDFAIVSMLPDPKEARAGIQEVHFLPFNPTDKRTALTYLDAEGKMHRVSKGAPEQILNLASNKCEIERKVHHVIGNFAERGLRSLAVAYQEVPEGTKESPGGPWQFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDRKDGDIAVLPVDELIEQADGFAGVFPEHKYEIVQRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITVRIVLGFLLLACFWKFDFPPFLVLVIAILNDGTIMTISKDKVKPSPYPDSWKLTEIFATGVIIGAYLAVTTVLFFWAAYKTQFFVHLFNVDTLNINKVDTTDNELVARNTEKLASAVYLQVSTISQALIFVTRSRGWSFLERPGLLLMAAFVIAQLIATVLAAIATWEVASIRGIGWRWAGAIWVYNIVVYLLLDPMKFAVRYGLSGKAWNLVIDNKAAA >ORUFI03G00130.1 pep chromosome:OR_W1943:3:62935:77435:-1 gene:ORUFI03G00130 transcript:ORUFI03G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRHTYPSSSHIATQQEIIRLILRKQPHNSALLLLLLFGAGGGGAGGGGAGVGELRRSAEAEAEEEAAEDHDAGSTRMAGSAAPPVLPPLGCLRLPTPRKREKEREKRKEMKGSGRITNAKTGPKHYHDEEDQRVGEDQRIAKVHLDFPLSLQGKEEGGAFIYKRGGEGRRRRREVEAAGSAADIKRMEAAAIERDPLSSSCDGHRA >ORUFI03G00140.1 pep chromosome:OR_W1943:3:74412:77759:1 gene:ORUFI03G00140 transcript:ORUFI03G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVGLPASDERKKEAAAAGLVWRRQPRGGSTGGAADPAMRVLPASWSSAASSSASASADRLNSPTPAPPPPAPPPPAPKSSSSSSAELYLNIYDISPINHYLYWFGLGIFHSGIEVHGMEYGFGAHEYPTSGVFQVEPKSCPGFIFRRSVCVGSTDMSRSEVRSFIEDLAEDYHGDTYHLIAKNCNHFTADICKRLTGKPIPGWVNRLARLGSFCNCVLPESIKVSAVKDVTDPPEFPDDDMESNASIVDGSDADDLDHLLITPSSDVVSSKDKILTPGRDSL >ORUFI03G00150.1 pep chromosome:OR_W1943:3:78295:78724:-1 gene:ORUFI03G00150 transcript:ORUFI03G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVLCAANHASLTPITFLDRAALVYPDHPAIVASSSGLTRTWRETRDRCLRLAASLAALDVHRHHVVAVFA >ORUFI03G00160.1 pep chromosome:OR_W1943:3:80035:84508:1 gene:ORUFI03G00160 transcript:ORUFI03G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSLVFVFVGVAVFVLAPPASAVPFIVLHGIGDQCANHGVAKFTRLLADWSASHGYCLLILFAVKEMKELSNGYNIVGLSQGNLIGRAVVEYCDDGPPVKNFISLGGPHAGTASVPLCGSGIFCILADSLIKMEIYSDYVQAHLAPSGYMKIPTDISDYLKGCRFLPKLNNELPGERNATYKERFSSLENLVLIMDDAVLIPRETAWFGYYPDGGFDPVLPPQKTKLYMEDWIGLKTLDDEGRVKFVSVPGGHLGISKTDMMKYIVPYLKGKDNGKAWIAATWRAITNTIGGEAFVLN >ORUFI03G00170.1 pep chromosome:OR_W1943:3:84267:87985:-1 gene:ORUFI03G00170 transcript:ORUFI03G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDPLPLLSLGHVNVSEMMAPPLTVGLALTDSKSSTYALQWALSRFKFTKDDDAPIFLLIHVLTKLLTVPTPMGNHIPIDKVRTDVADAYFKDVHHQAQQMLLLYKNMCHQNKVKAQVLLIKGNDVSQTVSTVVSDYQIKILIVGVTARTRKPFGNRTSSKICKNVPSFCTAYLVSKDGLSSLYSSALESDLASSSKSDDLSDEMSSSSVTSNNSSDQSPFDSPRLLGSNLPSENPKNSSPAHRNRSLTLYDYLNGSTSIYPDKDRRVNSSTGTESSKPSELKGSNEVLRQESLLQGMLSDNKDHISTELEKLRLELRLIQGKHKLVQDESDDASRQASKVDLICYQRMAELAAKRMEEEAQLREIQSRLDKANDNVEKQKAHRYAAEQALNHVQDLVRGEVMQKNMLQVKASRDADKKLRLEKLFVLQGNSYSTFTWEEIDNATSSFADNLKIGSGANGTVYKGYLNHSAVAIKVLHSDDNSSNKHFRQELEVLGKIHHPHLVMLLGACVERGCLVYEYMENGSLEERLRCKSGTAALPWCDRLRIAWEVASALVFLHSSKPNPIIHRDLKPENILLDGNLVSKVGDVGLSTLVSSGSGGSSSTMYKKTALAGTLFYIDPEYQRSGQVSVKSDTYALGMVMLQLLTARAPIGLAEVVERAVEDGKLRDILDENAGWTWAMEEAQEMADLALRCLEMRGKDRPDLKTRVAVDLDRLKRRALPTQPPPEHFICPILKRVMQEPCIASDGYSYERHAIEMWVCDKDVSPVTNVRMPNKTLVPNRSLLTAITAWKSQGGRKPTNKFVTTSPNHL >ORUFI03G00180.1 pep chromosome:OR_W1943:3:90306:92658:-1 gene:ORUFI03G00180 transcript:ORUFI03G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAFVEGGGSGYSGRVTPFVVLSCIVAGSGGILFGYDLGISGGVTSMEPFLKKFFPDVYHQMKGDKKKVSNYCRFDSELLTVFTSSLYIAGLVATLVASSVTRRFGRRASILIGGSVFVAGSVFGGAAVNIYMLILNRVLLGIGLGFTNQSIPLYLSEMAPPQHRGAINNGFELCISIGILIANLINYGVDKIEGGWGWRISLSMAAVPAAFLTVGALFLPETPSFVIQRSGDVDSARALLQRLRGTAAVHKELEDLVMASEVSKTIRHPLRNMLRRRYRPQLVIAVLVPLFNQVTGINVINFYAPVMFRTIGLRESASLMSAVVTRVCATAANVVAMAVVDRLGRRRLLLVGGVQMLVSQVMVGAILAGKFREHGEEMEKEYAYLVLSVMCVFVAGFAWSWGPLTYLVPAEICPLEVRSAGQSIVIAVIFLLTFLIGQTFLAMLCHLKFATFFLFAACLCVMTLFVFFFLPETKQLPMEQMDQLWRTHWFWKRIVGDSPQQQVVELHHHHQRSSSE >ORUFI03G00190.1 pep chromosome:OR_W1943:3:94851:96536:-1 gene:ORUFI03G00190 transcript:ORUFI03G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMQEEQRVVVMRHGDRVDHADPLWAANNPRPWDPPLTDAGLLRASTVASRILADGFHIHRVLVSPFIRCLQTAAQAIAALSPLPRINIKVSIEYGLSEMMNTQAMGILVSQIAPSIDRWFPDMSQLEAALPAATIDHSAEPLYQEVPKWGESVWEARSRYASVIKALADKYPDENLLLVTHGEGVGASVSFFEPGVEIYEVEYCAYSVLGRQQHKVGIEQGSEEEGLKNLRVLSTSGPTGIHYYYTTPAPAPAPAPSEVPDR >ORUFI03G00200.1 pep chromosome:OR_W1943:3:96978:98868:-1 gene:ORUFI03G00200 transcript:ORUFI03G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGPVLLCGLCLCLSPLPTRRLLSPSPSASLTTTTVRCSKGEMAVVKCIRVHELGGPEVLRWEQVEVGEPKEGEIRIKNTAIGVNFIDVYYRQGVYSAPLPFVPGREAVGVVTAVGPGLTGRKVGDVVAYAGNPMGSYAQEQIIPASVAVPLPPSIDHNTAAAIMLKGMTAHVLLRRVYKVQSGDCVLVHAAAGGVGSLLCQWANALGATVIGTVSNEEKAAQAAEDGCHHVIIYTKEDVVTRVKEFTAGKGVNVVYDSVGKDTYKGSVECLAWRGMLVSFGQSSGRPDPIPLSDLASKSLLVTRPSLMHYTATRDELLESAGEVFANVGSGVLRIRVNHTYPLSQAARAHADLQARKTTGSILLIPDDA >ORUFI03G00210.1 pep chromosome:OR_W1943:3:108968:111284:1 gene:ORUFI03G00210 transcript:ORUFI03G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATLSHPSRYVKLSREHDAPAPAEDIRPGELNQPVHLEGRRCSECGQVLPESYEPPADEPWTTGIFACTDDPQTCRTGLFCPCVLFGRNIEALREDIPWTTPCVCHAVFVEGGIALAILTAIFHGVDPRTSFLIGEGLVFSWWLCGTYTGIFRQELQRKYHLKNSPCDPCMVHCCLHWCANCQEHRERTGRLAENSAVPMTVVNPPAVQEMSMAESRGPVSPGMENGAPSNSKGEHEEPKSDHDDVEVIPL >ORUFI03G00220.1 pep chromosome:OR_W1943:3:113033:121434:1 gene:ORUFI03G00220 transcript:ORUFI03G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFRVWPPSPSPWPLLASRPCPHSHHHRSPFHASANSGARQGNFILPGATAATLVMFGILHARRMYEDQKVVERKEKGIEPEFSPDFKVVNRTWPFADYSGALFDIQQLHTDDILNTGFISQASAIAFDVTFMGILDGSVFMRPAIYKAWARAFHSNLQEAAMPLEEYPSLQAFFIRSLKEGSRPIDADPNCLVSPVDGKVLRLGELRGPGTMIEQVKGFSYSAASLLGASSSLHGAEEEDFSREHTEQSNPADSNAKSWWRVSVAKPKLWDQTLLSPKKGIFYCVIYLHPGDYHRVHSPVDWNIIKRRHFSGHLFPQNERAVRTIRNLYVENERVVLEGQWKEGFVAIAAIGATNVGSIKLYIEPELRTNRAGSKILNSQPEPPDDRVYEPVGTGVMVKKGEEIAGFKMGSTVVMVFEAPVVSKARWREDGSGTVTSDFDFCIKAGDRIRVGEAIGRWTSRE >ORUFI03G00220.2 pep chromosome:OR_W1943:3:113033:121434:1 gene:ORUFI03G00220 transcript:ORUFI03G00220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFRVWPPSPSPWPLLASRPCPHSHHHRSPFHASANSGARQGNFILPGATAATLVMFGILHARRMYEDQKVVERKEKGIEPEFSPDFKELPVFMRPAIYKAWARAFHSNLQEAAMPLEEYPSLQAFFIRSLKEGSRPIDADPNCLVSPVDGKVLRLGELRGPGTMIEQVKGFSYSAASLLGASSSLHGAEEEDFSREHTEQSNPADSNAKSWWRVSVAKPKLWDQTLLSPKKGIFYCVIYLHPGDYHRVHSPVDWNIIKRRHFSGHLFPQNERAVRTIRNLYVENERVVLEGQWKEGFVAIAAIGATNVGSIKLYIEPELRTNRAGSKILNSQPEPPDDRVYEPVGTGVMVKKGEEIAGFKMGSTVVMVFEAPVVSKARWREDGSGTVTSDFDFCIKAGDRIRVGEAIGRWTSRE >ORUFI03G00220.3 pep chromosome:OR_W1943:3:117832:122346:1 gene:ORUFI03G00220 transcript:ORUFI03G00220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTRKKKKKKIVGGGKKSEIWEGCGWIGGGAGGDTVGIVARLSECIASRGGNIHSVDFTYNPMLWPRDVLRTDFLNLSQHFSAQRSTVRVPDLDPKYKISVLASKQDHCLFDLLYRWQEGRLPVDINCVISNHDRLKDNHVRRFLERHGIPYHYLPTSPGNKREQEILELVQGTDFVVLARYMQILSEGFLKAYGKDIINIHHGLLPSFKGGNPSRQAFNAGVKLIGATSHFVTPELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTAQFEVGPARSIKVGGVEGEKEARPQLINPRNQKEQEQERERLRIGGRGSAAAMSTMKFCRECNNILYPKEEKDRRLLLFACRNCEHQKQQQQEVSESKCVYRNEVAHAAGERTQVLQDVASDPTLPRTKTVRCAACGHGEAVFFQATARGEEGMTLFFVCCNLTCAHRWRE >ORUFI03G00220.4 pep chromosome:OR_W1943:3:118135:121434:1 gene:ORUFI03G00220 transcript:ORUFI03G00220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVARRPLSAAVPVGNLLGIHLFQCPDTVGIVARLSECIASRGGNIHSVDFTYNPMLWPRDVLRTDFLNLSQHFSAQRSTVRVPDLDPKYKISVLASKQDHCLFDLLYRWQEGRLPVDINCVISNHDRLKDNHVRRFLERHGIPYHYLPTSPGNKREQEILELVQGTDFVVLARYMQILSEGFLKAYGKDIINIHHGLLPSFKGGNPSRQAFNAGVKLIGATSHFVTPELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVVF >ORUFI03G00230.1 pep chromosome:OR_W1943:3:115779:121898:-1 gene:ORUFI03G00230 transcript:ORUFI03G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYHSRQNFMVLMAAADPLPPIRSRSRSCSCSFWVDELGAGLLFAFHSSYLYRPGRADFELGLLSFVDGVEKRTSSLRHQHSGGVCVVPVYASCSASDPTASLPDLRLLSSSDDLLLLLLPSSKTTRQRERQAMELEAPSPARYLVGAAIMMAGVVLPLAYMIFRSKRSSSSSTAVAASSAPSSSFSKQTK >ORUFI03G00240.1 pep chromosome:OR_W1943:3:126399:144509:1 gene:ORUFI03G00240 transcript:ORUFI03G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEISATEVRLLLLQAAADSNLDSVRRRLCQLVDSGLDGCILLLQVCLDQVLLKPHQLDKSKHDLLSAVFRYCMDKTYFTTCFCEALGPMPATHGDGFLKTLSNVLELSPAERVGIGLALSDSEDSGLKLKGQQFSIAQIEGLCLNLLQSPSNDQIHDIVIFLHQTDGLSKHMDTFNTIISLFKTKETPFFAPTPFNKCDIQSRHLDMCFGSMDYDSELLLSEIEKEITMADIVTELGYGCTVDTTHCKEILSIFEPLDDVAVSKLVGAVIGTHNVLVEAHNTYAMFVSAISNMNDSPQLTTWNTDVLVDSINELAPSTNWVHVMENLDHEGFNVPDEAAFCLLMSIYAHPCKEPFPLHAVCGSLWTNTEGQISFLKHAVSVPTDTFTFSHCSRKLAFPDLACPIQDNHAWFCLDLMVVLCQLAEVGHTVSVQSMLEYPLQHCPELLIVGLGHTAYNLLQYEVQSRVFPAILKDATKSNVVNYLWQINPSLTLRGFVDAHSDPDCLLRIVDVCRDLKILSAVLDSTPYAFSIKLAVAASRIDHSHLEKWLIAKLRVCKDDFLQILQYQSGHLLSNQKLDELRKSYISYELRNHDSVVRGIPTSDNVEIEADAYFHQMFSGQISIAAIVQMLSRFKNSPEKREQLIFKCMISNMFEEYKFLPKYPDKQLKLSALLFGSLIKHRLVTHLELGIALHAVLDALHKSVDSKMFMFGTTALEQFMDRLIEWPDYCNHILQISHLCGAHTEMVSAIERALARISSSQNELSVNISVSSEQHVTGLAPIEPIEASDQPSSLPSPHQLSSVPSTMHATVFSHPQSSCSGLPRQPSNSTGFGTPLNIGTLVAAAEQRYTSIESPPSEVQDKIMFMINNISISNMEAKAKECIEVLPECYYPWFAQSMVMKRASIEPKFHDLYLKFFVKVNSRFLNKEVLKATYENCKILLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQALLAKEIDPKVLIVEAYEKGLMIAVIPFTSKILEPCRSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFEIEVVLFKNLDVDLKDVNPTSLLKDRVCEVEGNPDFSNKDVAASQTQISSGISRSTNHVELQSVISSTSHAASLPNYATPHLPSNSMVEDDNVAFMMPKHVSSHTLTQVSPSETALASQSPFSLTQLVKLIPHDEIRCKISSKLGSLGRQLQYSKIMDTALDKAIKEILCPVVEKSVGTAIQNTKKLILKIQTLTSNNKTIKQLIDVLINDNLDLGCRIIKSVAMCKAIEMIDEEITESFPLQKKQREAAGSAYCDAFTHAQGRFAHEPEALHPKHEHLSVAQQVYEDYVHVWQSHSQHVDASCFGQSGKATCSSNFIVPRAYSPNSASATSSDCTAAQTAPFIYKLTELLSEELIAEPSSVCPSQVGLCDSSALHGGPSGVTSTFPPENNFHVGGTMVERLFNDWCHTCDHPSSADVAYGRFVMHLQQIGVLMGDDITERFFHIFTELAVKHSLVPNQIVATGGVSQKSSQQLKISYFPIDSFSKLVAMVLKYSSAETGPNKCSLLPKRDSEEKKASFNPRPYFRLFISLLYDLISSDLHSDGANFQVLIAFANAFHALQPLRIPSWSFAWLELVSHRTFMPSLLMCDSRKGWPFFQRLILDLFKFMEPYLRNVELGEPMCLMYKGTMRVLLILLHDFPEFLCNYHFSFCDMIPSSCIQMRNVILDAHPQDMRVVDPASPNLKIDLLPEISMAPQIMSDVEGALKSKLMKTEVDEYFKKSEGSLFLSDLKQKLLLPQNETSVAGTRYNVPLINSLVLYVGIQGLQQQQTESSASGPAIHTAHMDIFRTLMADLDTEGRYLVLNAIANQLRYPNIHTHCFYFIILHLFSEATQEIIQDQIMRVILERLVVRRPHPWGLQMTLVELIKNPRYKLWSRPFIRCGPQIDKVLIEFVDMLDDPRLTSLYSSFRSIN >ORUFI03G00240.2 pep chromosome:OR_W1943:3:126399:144509:1 gene:ORUFI03G00240 transcript:ORUFI03G00240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISEISATEVRLLLLQAAADSNLDSVRRRLCQLVDSGLDGCILLLQVCLDQVLLKPHQLDKSKHDLLSAVFRYCMDKTYFTTCFCEALGPMPATHGDGFLKTLSNVLELSPAERVGIGLALSDSEDSGLKLKGQQFSIAQIEGLCLNLLQSPSNDQIHDIVIFLHQTDGLSKHMDTFNTIISLFKTKETPFFAPTPFNKCDIQSRHLDMCFGSMDYDSELLLSEIEKEITMADIVTELGYGCTVDTTHCKEILSIFEPLDDVAVSKLVGAVIGTHNVLVEAHNTYAMFVSAISNMNDSPQLTTWNTDVLVDSINELAPSTNWVHVMENLDHEGFNVPDEAAFCLLMSIYAHPCKEPFPLHAVCGSLWTNTEGQISFLKHAVSVPTDTFTFSHCSRKLAFPDLACPIQDNHAWFCLDLMVVLCQLAEVGHTVSVQSMLEYPLQHCPELLIVGLGHTAYNLLQYEVQSRVFPAILKDATKSNVVNYLWQINPSLTLRGFVDAHSDPDCLLRIVDVCRDLKILSAVLDSTPYAFSIKLAVAASRIDHSHLEKWLIAKLRVCKDDFLQILQYQSGHLLSNQKLDELRKSYISYELRNHDSVVRGIPTSDNVEIEADAYFHQMFSGQISIAAIVQMLSRFKNSPEKREQLIFKCMISNMFEEYKFLPKYPDKQLKLSALLFGSLIKHRLVTHLELGIALHAVLDALHKSVDSKMFMFGTTALEQFMDRLIEWPDYCNHILQISHLCGAHTEMVSAIERALARISSSQNELSVNISVSSEQHVTGLAPIEPIEASDQPSSLPSPHQLSSVPSTMHATVFSHPQSSCSGLPRQPSNSTGFGTPLNIGTLVAAAEQRYTSIESPPSEVQDKIMFMINNISISNMEAKAKECIEVLPECYYPWFAQSMVMKRASIEPKFHDLYLKFFVKVNSRFLNKEVLKATYENCKILLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQALLAKEIDPKVLIVEAYEKGLMIAVIPFTSKILEPCRSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFEIEVVLFKNLDVDLKDVNPTSLLKDRVCEVEGNPDFSNKDVAASQTQISSGISRSTNHVELQSVISSTSHAASLPNYATPHLPSNSMVEDDNVAFMMPKHVSSHTLTQVSPSETALASQSPFSLTQLVKLIPHDEIRCKISSKLGSLGRQLQYSKIMDTALDKAIKEILCPVVEKSVGTAIQNTKKLILKIQTLTSNNKTIKQLIDVLINDNLDLGCRIIKSVAMCKAIEMIDEEITESFPLQKKQREAAGSAYCDAFTHAQGRFAHEPEALHPKHEHLSVAQQVYEDYVHVWQSHSQHVDASCFGQSGKATCSSNFIVPRAYSPNSASATSSDCTAAQTAPFIYKLTELLSEELIAEPSSVCPSQVGLCDSSALHGGPSGVTSTFPPENNFHVERLFNDWCHTCDHPSSADVAYGRFVMHLQQIGVLMGDDITERFFHIFTELAVKHSLVPNQIVATGGVSQKSSQQLKISYFPIDSFSKLVAMVLKYSSAETGPNKCSLLPKRDSEEKKASFNPRPYFRLFISLLYDLISSDLHSDGANFQVLIAFANAFHALQPLRIPSWSFAWLELVSHRTFMPSLLMCDSRKGWPFFQRLILDLFKFMEPYLRNVELGEPMCLMYKGTMRVLLILLHDFPEFLCNYHFSFCDMIPSSCIQMRNVILDAHPQDMRVVDPASPNLKIDLLPEISMAPQIMSDVEGALKSKLMKTEVDEYFKKSEGSLFLSDLKQKLLLPQNETSVAGTRYNVPLINSLVLYVGIQGLQQQQTESSASGPAIHTAHMDIFRTLMADLDTEGRYLVLNAIANQLRYPNIHTHCFYFIILHLFSEATQEIIQDQIMRVILERLVVRRPHPWGLQMTLVELIKNPRYKLWSRPFIRCGPQIDKVLIEFVDMLDDPRLTSLYSSFRSIN >ORUFI03G00250.1 pep chromosome:OR_W1943:3:143990:148777:-1 gene:ORUFI03G00250 transcript:ORUFI03G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVESINQSIDQSIVQGKKGIKIVFFLEGRGAIDQTTMVRAASGADPPPFLQTRMTHKEKEKEKEKEEEQEEEKPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEEKRDDNSKMEKKVAESENSPATVKSKTEKQQENELHEKRMDDSQECMSEEGRISEGLSCAKSHVISSSDPACSKEKNPRAEGEGHKDLDLQKNNATKKDKPAAKPIIVPIVLRMSDFDHKALLEEWIATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQCIERGLLAACSESPKQGGS >ORUFI03G00250.2 pep chromosome:OR_W1943:3:143990:148777:-1 gene:ORUFI03G00250 transcript:ORUFI03G00250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVESINQSIDQSIVQGKKGIKIVFFLEGRGAIDQTTMVRAASGADPPPFLQTRMVDMPSSSSSSSSSSLSQSQSQSHSHSQTHKEKEKEKEKEEEQEEEKPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEEKRDDNSKMEKKVAESENSPATVKSKTEKQQENELHEKRMDDSQECMSEEGRISEGLSCAKSHVISSSDPACSKEKNPRAEGEGHKDLDLQKNNATKKDKPAAKPIIVPIVLRMSDFDHKALLEEWIATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQCIERGLLAACSESPKQGGS >ORUFI03G00250.3 pep chromosome:OR_W1943:3:143990:148777:-1 gene:ORUFI03G00250 transcript:ORUFI03G00250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVESINQSIDQSIVQGKKGIKIVFFLEGRGAIDQTTMVRAASGADPPPFLQTRMVDMPSSSSSSSSSSLSQSQSQSHSHSQTHKEKEKEKEKEEEQEEEKPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEEKRDDNSKMEKKVAESENSPATVKSKTEKQQENELHEKRMDDSQECMSEEGRISEGLSCAKSHVISSSDPACSKEKNPRAEGEGHKDLDLQKNNATKKDKPAAKPIIVPIVLRMSDFDHKALLEEWIATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQVPEKRAYPNCIERGLLAACSESPKQGGS >ORUFI03G00260.1 pep chromosome:OR_W1943:3:152941:153762:-1 gene:ORUFI03G00260 transcript:ORUFI03G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGDVVYYLLLVLVVVQGCKGSSAVQGEGRWYNESEAIGGAAAWGNAKATWYGQPNGAGAADNGGACGFKKVNQYPFMGMTSCGNQPLYKGGKGCGSCYRVRCNRNPACSGNAQTVAITDMNYFPLSQYHFDLSGIAFGRLAKPGRADDLRRAGIIDVQFARVPCEFPGLKVGFHVEEGSSPVYLAVLVEYENGDGDVAQVDLKEAGAGGGRWTPMRESWGSVWRLDSNHRLRAPFSIRIRSDSGKTLVAPDVIPLNWTPNTFYRSFVQYSS >ORUFI03G00270.1 pep chromosome:OR_W1943:3:156466:160641:1 gene:ORUFI03G00270 transcript:ORUFI03G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGWGESGGGDPPRLQGDSSSRSKAKSRTTSLQPGSVSEHVAASSAAAVETGLEFGKVDGEAHAPAPLHLARHQQAVAGGLDLDPKRSGVEIQKSKVLTTSSLEQSHAPLLFIHM >ORUFI03G00270.2 pep chromosome:OR_W1943:3:156466:160641:1 gene:ORUFI03G00270 transcript:ORUFI03G00270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGWGESGGGDPPRLQGDSSSRSKAKSRTTSLQPGSVSEHVAASSAAAVETGLEFGKVDGEAHAPAPLHLARHQQAVAGGLDLDPKRSGVEIQKSKVKESHAPLLFIHM >ORUFI03G00280.1 pep chromosome:OR_W1943:3:163136:164975:1 gene:ORUFI03G00280 transcript:ORUFI03G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSRRRSFWSVGVAAALLCLLAAHGCSAKHHKPKPTPGGISGNASSSSSNSSTPSIPPPVAPTPTAPTPPIPSPGTGSSNGSSGGGGGGWLNARATWYGAPNGAGPDDNGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCVGHPACSGLPETVIITDMNYYPVSLYHFDLSGTAFGAMAKDNRNDELRHAGIIDIQFRRVPCQYPGLTVTFHVEQGSNPVYMAILVEYENGDGDVVQVDLMESRYSTGGVDGTPTGVWTPMRESWGSIWRLDTNHPLQGPFSLRITNESGKTLIADQVIPADWQPNTVYSSIVQFD >ORUFI03G00290.1 pep chromosome:OR_W1943:3:171978:173099:1 gene:ORUFI03G00290 transcript:ORUFI03G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRPCRGHWRSTPGAATRDGVSVNIAPPTPPARPLRPATTRPRRPAAPRSTPARAHTTGRSSPGSSAFALRRQSGPRSPRSGRSSSPHSTPRPRRRLVAPPHGSWPGRRRSAGGRESKQGK >ORUFI03G00300.1 pep chromosome:OR_W1943:3:178105:180918:1 gene:ORUFI03G00300 transcript:ORUFI03G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARVVEEEDPDVVEMMKRRDDEEEVVGEAWDYKGRGAIRGSTGGWRSAAMILCVELNERLTTLGVAVNLVTYLTGTMHLGSAASANAVTNFLGTSFTLCLLGGFLADTYLGRYLTIAIFTAVQAAGMAVLTISTAAPGLRPPPCSSGGGGGGGXRGPRPPPCSSGGSGCAAANGTQLGVLYLGLYMTALGTGGLKSSVSGFGSDQFDESDGGERGSMARFFSWFFFFISMGSLLAVTVLVYVQDKVGRRWGYGICVVAILTGLLFFLAGTTTYRFKKLLGSPLTQIAAVTAAAWTNRNLPLPSDPSMLNHDDSAHTTAKPNQKLPHSKQFRFLDHAAIVETTTAEAKKRKRWAACCTVTEVEEVKQLVRMLPTWATTILFWTVYAQMTTFSVSQAAVMDRRLSTSFVIPAGSLTVFFVAAILLTVPLYDRLLAPLVRRLTANPRYDLSPLHRIFVGLLLAALAMVAAALTERARRDAAVTLSVFYLLPQFLLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFFSTALVTVVHKVTAESRRPWLADNLDEGRLDNFYWLLGGISVVNLAVFVAAAARGYVYREKRLADAGIIQR >ORUFI03G00310.1 pep chromosome:OR_W1943:3:183761:187613:1 gene:ORUFI03G00310 transcript:ORUFI03G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKVCAVFVVALNMVISMQMGAVQACEPYCPTPTPPVTPPPSPPSGNKCPIDALKLGVCANVLNLLKLKANVLGININVPVDLVLLLNYCHKTCPSDFSCPLI >ORUFI03G00320.1 pep chromosome:OR_W1943:3:195909:196457:1 gene:ORUFI03G00320 transcript:ORUFI03G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAGVIATLLALNLLFFTFSDACGCQCGSCPSPGGGGGGGGGGGGGGRGGGGGSGGGSGGGGGSGGGGSGGGGSGGGGSGGGGGGGSGGGGGGGRCPIDTLKLGVCANVLNGLINVQLGTPPRQPCCSLIQGLADLEAAVCLCTALRANILGINLNLPINLSLLVNYCGRSVPSGFQCSN >ORUFI03G00330.1 pep chromosome:OR_W1943:3:208927:211219:1 gene:ORUFI03G00330 transcript:ORUFI03G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGWGRERCSLPALESAKREGEIELVDVWGPSSSSFTLTSPGLRPPTHAASTPPPPPWLDDADHHHDNAFLHWVINDHHHPLLDLDDVDLDYAKPAPFFFADRGLHHHHPASSSFPNPKPTADDNTSTVEQLVQAAKLTEAGDVLAARHILARPAINYRLPASAAPPLLRSALYFKDALRRALISDDDSSSSSTPPPPLHEPHPHDLLLKLTSYKSFSDLSPLLHFAHFTCVQAVLDELAPSASCIHLLDFDIGVGEQWASLMHDLAHRHPGVALKVTALNVTASSSSHHPLQLQLIHDTLSTFAADLSVPFRFAAFNLDATDLTPLLAVAAATDAIAVHLPVGSVHATAVPSVLHLVRRLGAKLVVSVDRGCDRGELPFAAHLLQALRSTVSLLESLDAMGTDSDVAAKIERFWVQPKIQECVRAAVGVGGDKTAASAWRATLASAGFVPVQVSSMAEAQAESLLKKLPVRGFRLERRAGSLFLHWQRGELASVSAWRC >ORUFI03G00340.1 pep chromosome:OR_W1943:3:210218:211174:-1 gene:ORUFI03G00340 transcript:ORUFI03G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERAGAPLQSESPHRQLLQERLRLRLRHAAHLHRHEPRRRQRRPPRRRRRLVAPHPHGGAHALLDLWLDPEPLDLGRHVGVRAHGVQRLQQGHGGAQCLQQVRRERQLAAVTAAVHGDNQLGAKAPDQVKHGRHCGGVDRADGKVDGNGVGGGGNGKKGGEVGGVEVEGGEAEGDGEVGGEGGEGVVDELQLERVMGGGGGGDVEGGDLEGDAGVAVGEVMHEGGPLLADTDVEVEEVDAGGGGCELVEDGLDAGEVGEVEQRGEVGEGLVGGELEEEVVRVRLVEGRGRCRGGGGVVVGDEGSAQGVLEIQGGAE >ORUFI03G00350.1 pep chromosome:OR_W1943:3:222835:229598:-1 gene:ORUFI03G00350 transcript:ORUFI03G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAACGHGAIHRRFGHDAGTIHRRFGHDARRGGYRQSGDDGVVVHRPIVLLIVVGQAYENRINHGRGFRASGRNLEAHRPTMAAAHTDPRSTMIHREDGIAVVGMFQCRMDIEWKEVTREKRESITWEYPNGAKAAHVDGITPPAGGQTASTSRRWIRCHQRTDLLMDFCYSPPWPETPSPMDRIAVAVASKLPSRKKLQDYNPGRS >ORUFI03G00360.1 pep chromosome:OR_W1943:3:235521:238288:1 gene:ORUFI03G00360 transcript:ORUFI03G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSSLQGQVLREMEEEREGGRRRGIVLIITIYGTLQSLWLISCFSRLLLIYLHFSSLRMRR >ORUFI03G00370.1 pep chromosome:OR_W1943:3:240529:243718:1 gene:ORUFI03G00370 transcript:ORUFI03G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPCSGAITTMGGLSTDALSLSFSTVARPREEETEREKEEGRKRNRKEDSSRGVRKTSDRWATSPNCKGLVSIGTLCLPPPYPRLELEKGGRRLLLALGYSGQGRLPQPAPTPALLPQLPRVKEMSGKRAFCTAVESGRKTPEFCYLLGFSGVVALPGDRFQPLDDFLSENPFLSPIPLGRLYENIDPAKPYMLEDPIRRAVWSGSLAPYIANKVKMSLSHILTKENVGVHKDFGLVVFKDVPKKREGISDSSENYQHYSSFLTETIQRLHGSESLNALPVEMDIYLKDLTDPNVKEDDIVDHPIALPGRVFGDVSVEAYRLLCKQYPVVKNGKEISADRIIEAVGAKFKDALTWTIAKILTSEMAEVIPS >ORUFI03G00380.1 pep chromosome:OR_W1943:3:243954:246019:1 gene:ORUFI03G00380 transcript:ORUFI03G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLYEDLQIRERNPIPSLYSAQGDGVYAEVIVKFIGSSKSKGRRVKVGRSGVCGSTSWPEEEGDSSDIGGI >ORUFI03G00390.1 pep chromosome:OR_W1943:3:248840:249315:-1 gene:ORUFI03G00390 transcript:ORUFI03G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRCRLSSRIQERKQSGKGRFEGSHEEEGGQLPSEDDREVRRRFHDDISIIVVYLDRHRGRRHTRVVDSSINCTNGPVDIYYSTPANPWRLFKLIGAPAGRRLGCLPAKINTVSC >ORUFI03G00400.1 pep chromosome:OR_W1943:3:249329:250393:-1 gene:ORUFI03G00400 transcript:ORUFI03G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVTPTQPTGLDGQILVHTRVAWRVKGIIQVSRTIGDVYLKEQEYSMDPVFRSIGPPIPLKQLALSAEPSIQVRKLKPNDMFLIFASDGP >ORUFI03G00410.1 pep chromosome:OR_W1943:3:250548:252885:-1 gene:ORUFI03G00410 transcript:ORUFI03G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGKATPRTRTSIRSGTANASQSVGLGFFGFLFSFGFVYLYPDALWSPSHPRRGEGESWIWCRPDGRSTLTRLALAGWKQRALHARAEPAARPPPIGANAKGPAAKPT >ORUFI03G00420.1 pep chromosome:OR_W1943:3:258143:260700:-1 gene:ORUFI03G00420 transcript:ORUFI03G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFMNLRYLNLYRNDLRTQLPPELGLLHNLTVLDLHSSGLYGTMPSDLSLGHNSLTGPILVGISELNKLEILRLEYNNLSGIESLLAVNVSHNRLVGRLPASGSLLAVNVSHNRLVGRLPASGVFQSLDASALEGNLGIYPAQHIGAAEGQRRRDDSGERAGEHRVKLDQVQQACHGQYGDVWAGEQPPPFRGLRGRRDALLSKATEIGRGVFGTVYHASVGEGRVHYNVKPSNILLDEQCNPMIGDFWLARLLPKLDKHLDKHVMSSRFQDGMGNVAAELACQSLRINEKCDIYGVFGVLILELANRLHKYIANGALGPRRKEDSGSRFSNILHHIN >ORUFI03G00430.1 pep chromosome:OR_W1943:3:261782:262744:-1 gene:ORUFI03G00430 transcript:ORUFI03G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIRLPRPILKFCSTHGSDQISLDCFPLVDRKVVIADHTRRMFLCDADTRAVVSIPNLHKPKSRPISLFIPSTADANDHPSVGGGSLYVMERVPEPEKGDVKLSDQFEAFVCSNIFEGPWNCHLLRPPPFVSDRTCCFTYPKITSYAVVGSNICISHNSCNATYCLDTARNTWEVGNGNLPLYGKVVYVPELKLWFGFSTEARTMSPLAAADLSTMDSHSQPQLIGDWKEFDPPKGWLESHDPQLVNLGSGRFCIARFFRIVSMDDGEIIDRIEVFTGVEVLPVGHDGDGSGNTKLKLRMKKNKSLCHVSNGTVIADIF >ORUFI03G00440.1 pep chromosome:OR_W1943:3:265481:267304:-1 gene:ORUFI03G00440 transcript:ORUFI03G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLACVVVAAMVSAVSCGPPKVPPGPNITTSYGDKWLEAKATWYGAPKGAGPKDNGGACGYKDPALIHVTDMNDEPIAAYHFDLSGLAFGAMAKDGKDEELRKAGIIDTQFRRVEIKEKGSEEWKALKESWGAIWRIDTPKPLKGPFSVRVTTEGGEKIIAEDAIPDGWKADSVYKSNKD >ORUFI03G00450.1 pep chromosome:OR_W1943:3:265820:266298:1 gene:ORUFI03G00450 transcript:ORUFI03G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLACVVVAAMVSAVSCGPPKVPPGPNITTSYGDKWLEAKATWYGAPKGAGPKDNGGACGYKDDNGGACGYKDVDKAPFLGMNSCGNDPIFKDGKGCGSCFEIKCSKPEACSDKPALIH >ORUFI03G00460.1 pep chromosome:OR_W1943:3:273855:280104:1 gene:ORUFI03G00460 transcript:ORUFI03G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPSMAGSEFSYAFAIVADPICTLMREGDSVGTLAAAKERRSQRESRTQLGEAGKASWEKERRRSEQWRQQQQLCPPLPLFPTSPPINPLLPFLRLPYLLAPPPPPPSHWAANGLMEQYEKEEKIGEGTYGVVYKARDKVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMHHRNIVRLHDVIHSEKRIGLVFEYLDLDLKKFMDSCPEFAKNPTLIKTLV >ORUFI03G00460.2 pep chromosome:OR_W1943:3:275334:280053:1 gene:ORUFI03G00460 transcript:ORUFI03G00460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPSMAGSEFSYAFAIVADPICTLMREGDSVGTLAAAKERRSQRESRTQLGEAGKASWEKERRRSEQWRQQQQLCPPLPLFPTSPPINPLLPFLRLPYLLAPPPPPPSHWAANGLMEQYEKEEKIGEGTYGVVYKARDKVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMHHRNIVRLHDVIHSEKRIGLVFEYLDLDLKKFMDSCPEFAKNPTLIKILRGVAYCHSHRVLHRDLKPQNLLIDRRTNTLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRQYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVSSLPDYKSAFPKWQAQALATIVPTLDPAGLDLLSKMLRYEPNKRITARQALEHEYFKDLEMTRECHND >ORUFI03G00460.3 pep chromosome:OR_W1943:3:267876:274112:1 gene:ORUFI03G00460 transcript:ORUFI03G00460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGSIFQVVTLWYRAPEILLGSRQYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRKETAALREMEVAGAQAGILPLLLRHPASLRGSLSLSCGGARRSWAAAAATAEGGGGEEGRGYERVPMDTPGAYRLVDRATGRSVIVWGGTDDVSMPSPAVLSTTTRVPDRPKENGRSTSIGNFGRLKAQKVKVLARRSAHLKREDSGRISTSRFSESPSDESDEDGTYFERDRARNTRQNSRSRDDKTRGAHSLNSVLRQYRGADDLDFPGSEATSGSKRWGNISDVTFGRQNQRQKGPLDSGFFSRRSFKEIGCSDEILGALRSFGFPRPSHIQAMAYRPVLEGKSCIIGDQSGSGKTLAYLCPVVQNLRKEEVEGLHRSSPRNPRVVVLTPTAELASQVLNNCRSISKSGVPFRSMVATGGFRQKTQLESLDQELDVLIATPGRFLYLLQEGFVQLNNLRCVVLDEVDILYGEESFEQVLHQLITVAPLTTQYLFVTATLPLDIYNKVVETFPDCELIMGPGVHRTSSRLEEILVDCSGDDNEEKNPETAFSNKKSALVKIIEESPVRKTIIFCNKIETCRKVENALRRVDRKASQIKVLPFHAALDQQQRIANIKEFLNKQTADSMFLVCTDRASRGIDFANVNHVVLFDYPRDPSEYVRRVGRTARGASGNGKAFVFAVGKQVSLARRVMERNIKGHPLHDVPCV >ORUFI03G00460.4 pep chromosome:OR_W1943:3:267876:274112:1 gene:ORUFI03G00460 transcript:ORUFI03G00460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGSIFQVVTLWYRAPEILLGSRQYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRKETAALREMEVAGAQAGILPLLLRHPASLRGSLSLSCGGARRSWAAAAATAEGGGGEEGRGYERVPMDTPGAYRLVDRATGRSVIVWGGTDDVSMPSPAVLSTTTRVPDRPKENGRSTSIGNFGRLKAQKVKVLARRSAHLKREDSGRISTSRFSESPSDESDEDGTYFERDRARNTRQNSRSRDDKTRGAHSLNSVLRQYRGADDLDFPGSEATSGSKRWGNISDVTFGRQNQRQKGPLDSGFFSRRSFKEIGCSDEILGALRSFGFPRPSHIQAMAYRPVLEGKSCIIGDQSGSGKTLAYLCPVVQNLRKEEVEGLHRSSPRNPRVVVLTPTAELASQVFYTLNVILPMFSDCFYAKLGKAGVLIGTFLISQVLNNCRSISKSGVPFRSMVATGGFRQKTQLESLDQELDVLIATPGRFLYLLQEGFVQLNNLRCVVLDEVDILYGEESFEQVLHQLITVAPLTTQYLFVTATLPLDIYNKVVETFPDCELIMGPGVHRTSSRLEEILVDCSGDDNEEKNPETAFSNKKSALVKIIEESPVRKTIIFCNKIETCRKVENALRRVDRKASQIKVLPFHAALDQQQRIANIKEFLNKQTADSMFLVCTDRASRGIDFANVNHVVLFDYPRDPSEYVRRVGRTARGASGNGKAFVFAVGKQVSLARRVMERNIKGHPLHDVPCV >ORUFI03G00460.5 pep chromosome:OR_W1943:3:267876:280104:1 gene:ORUFI03G00460 transcript:ORUFI03G00460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGSIFQVVTLWYRAPEILLGSRQYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVSSLPDYKSAFPKWQAQALATIVPTLDPAGLDLLSKMLRYEPNKRITARQALEHEYFKDLEMVQ >ORUFI03G00470.1 pep chromosome:OR_W1943:3:273906:274975:-1 gene:ORUFI03G00470 transcript:ORUFI03G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGTKRMRAAAAAAAAAMSNGGEGEREGEEEMASQGSAGGGAAASSGVAVTITTAPMTETEDDMAVAEEEEVAAASAETEEHVQRILLAIDAFTRQQVSEMLEAGRALFKNLAADFEDRLCSIHKERVERWEEEIRELRARDAANEQARSLLHNAQLHLLATVRHDHT >ORUFI03G00480.1 pep chromosome:OR_W1943:3:282665:283658:1 gene:ORUFI03G00480 transcript:ORUFI03G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARLRSEGFCPARGCLVVLETSFIMLLKLLTFDRLRAAGVAFKPSSLSNGRSKHTVENFVSYSSTVAEAKTMETHAQASPPAAADKFAS >ORUFI03G00490.1 pep chromosome:OR_W1943:3:287853:289133:1 gene:ORUFI03G00490 transcript:ORUFI03G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLISDDSMMMGGEVRAIESRLPPGFRFHPSDEELVGYYLRNKQQQQQQQTAATSMLVEVDLHACEPWDLPEVAKVGSDEWYFFSWRERKYATGWRRNRASKQGYWKATGKDKPILHPTVAGARKTLVFYSGRAPNGRKTAWVMHEFRLLHHHHHPNPNIQNMQQQEGDDWVLCRVFRKGNNSNGQPLATSSPPAHHLVESLISSPAPTIMSDHDRLFTIQLPHHQHCDEQYFFLDDDEQHQQQLLDLSVLQAPTSFESEQAPGHGGMEINIAEMESFDTTCAALQDASDYCMQLY >ORUFI03G00500.1 pep chromosome:OR_W1943:3:292911:310100:1 gene:ORUFI03G00500 transcript:ORUFI03G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQQQQQQESRFKRTCVFCGSSQGNKTTYRDAAVDLAKELVARGTDLVYGGGSIGLMGLVSQAVYDGGRHVIGVIPKTLMTPEIIGETVGEVRPVSDMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDQAVEEGFISPSARRIIVSAPTAQELMDKLEEYVPYHDRVASGLNWETGHLGF >ORUFI03G00510.1 pep chromosome:OR_W1943:3:317320:325383:1 gene:ORUFI03G00510 transcript:ORUFI03G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRGSSSDGGGYDKVSGMDSGKYVRYTPEQVEALERVYADCPKPTSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAHMRQQLQNTPLANDTSCESNVTTPQNPLRDASNPSGLLSIAEETLTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVVEILKDRPSWFRDCRNLEVFTMIPAGNGGTVELVYTQLYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSAASAQQYVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSIMGGDGVEDVVIACNSTKKIRSNSNAGIAFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASTLKTSACSLPGLRPMRFSGSQIIIPLAHTVENEEILEVVRLEGQPLTHDEALLSRDIHLLQLCTGIDEKSVGSSFQLVFAPIDDFPDETPLISSGFRVIPLDMKTVKRCQIPNYIIHYPFDCSLGPYDGASSGRTLDLASSLEVGSATAQASGDASADDCNLRSVLTIAFQFPYELHLQDSVAAMARQYVRSIVSAVQRVSMAISPSQTGLNAGQRIISGFPEAATLARWVCQSYHYHLGVELLSQSDGDAEQLLKMLWHYQDAILCCSFKEKPVFTFANKAGLDMLETSLVALQDLTLDRIFDEPGKEVLFSNIPKLMEQGHVYLPSGVCMSGMGRHVSFDQAVAWKVLAEDSNVHCLAFCFVNWSFV >ORUFI03G00510.2 pep chromosome:OR_W1943:3:317320:325383:1 gene:ORUFI03G00510 transcript:ORUFI03G00510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRGSSSDGGGYDKVSGMDSGKYVRYTPEQVEALERVYADCPKPTSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAHMRQQLQNTPLANDTSCESNVTTPQNPLRDASNPSGLLSIAEETLTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVVEILKDRPSWFRDCRNLEVFTMIPAGNGGTVELVYTQLYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSAASAQQYVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSIMGGDGVEDVVIACNSTKKIRSNSNAGIAFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASTLKTSACSLPGLRPMRFSGSQIIIPLAHTVENEEILEVVRLEGQPLTHDEALLSRDIHLLQLCTGIDEKSVGSSFQLVFAPIDDFPDETPLISSGFRVIPLDMKTDGASSGRTLDLASSLEVGSATAQASGDASADDCNLRSVLTIAFQFPYELHLQDSVAAMARQYVRSIVSAVQRVSMAISPSQTGLNAGQRIISGFPEAATLARWVCQSYHYHLGVELLSQSDGDAEQLLKMLWHYQDAILCCSFKEKPVFTFANKAGLDMLETSLVALQDLTLDRIFDEPGKEVLFSNIPKLMEQGHVYLPSGVCMSGMGRHVSFDQAVAWKVLAEDSNVHCLAFCFVNWSFV >ORUFI03G00510.3 pep chromosome:OR_W1943:3:317116:325383:1 gene:ORUFI03G00510 transcript:ORUFI03G00510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRGSSSDGGGYDKVSGMDSGKYVRYTPEQVEALERVYADCPKPTSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAHMRQQLQNTPLANDTSCESNVTTPQNPLRDASNPSGLLSIAEETLTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVVEILKDRPSWFRDCRNLEVFTMIPAGNGGTVELVYTQLYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSAASAQQYVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSIMGGDGVEDVVIACNSTKKIRSNSNAGIAFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASTLKTSACSLPGLRPMRFSGSQIIIPLAHTVENEEILEVVRLEGQPLTHDEALLSRDIHLLQLCTGIDEKSVGSSFQLVFAPIDDFPDETPLISSGFRVIPLDMKTDGASSGRTLDLASSLEVGSATAQASGDASADDCNLRSVLTIAFQFPYELHLQDSVAAMARQYVRSIVSAVQRVSMAISPSQTGLNAGQRIISGFPEAATLARWVCQSYHYHLGVELLSQSDGDAEQLLKMLWHYQDAILCCSFKEKPVFTFANKAGLDMLETSLVALQDLTLDRIFDEPGKEVLFSNIPKLMEQGHVYLPSGVCMSGMGRHVSFDQAVAWKVLAEDSNVHCLAFCFVNWSFV >ORUFI03G00510.4 pep chromosome:OR_W1943:3:317593:325383:1 gene:ORUFI03G00510 transcript:ORUFI03G00510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRGSSSDGGGYDKVSGMDSGKYVRYTPEQVEALERVYADCPKPTSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAHMRQQLQNTPLANDTSCESNVTTPQNPLRDASNPSGLLSIAEETLTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVVEILKDRPSWFRDCRNLEVFTMIPAGNGGTVELVYTQLYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSAASAQQYVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSIMGGDGVEDVVIACNSTKKIRSNSNAGIAFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASTLKTSACSLPGLRPMRFSGSQIIIPLAHTVENEEILEVVRLEGQPLTHDEALLSRDIHLLQLCTGIDEKSVGSSFQLVFAPIDDFPDETPLISSGFRVIPLDMKTVKRCQIPNYIIHYPFDCSLGPYDGASSGRTLDLASSLEVGSATAQASGDASADDCNLRSVLTIAFQFPYELHLQDSVAAMARQYVRSIVSAVQRVSMAISPSQTGLNAGQRIISGFPEAATLARWVCQSYHYHLGVELLSQSDGDAEQLLKMLWHYQDAILCCSFKEKPVFTFANKAGLDMLETSLVALQDLTLDRIFDEPGKEVLFSNIPKLMEQGHVYLPSGVCMSGMGRHVSFDQAVAWKVLAEDSNVHCLAFCFVNWSFV >ORUFI03G00520.1 pep chromosome:OR_W1943:3:326776:327210:-1 gene:ORUFI03G00520 transcript:ORUFI03G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILPSKRLRNKVAGFSTHLMRRIQRGPVRGISLKLQEEERERRMDFVPDRSALEVDDIRVDKETLDMLTSLGMADLPGVVRQPDASTSAPQQYGAARLPYARRDRA >ORUFI03G00530.1 pep chromosome:OR_W1943:3:327976:329412:-1 gene:ORUFI03G00530 transcript:ORUFI03G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDKLKKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRVGVNNIPGIEEVNIFKDDVVIQFQNPKVQASIGANTWVVSGTPQTKKLQDLLPTIINQLGPDNLDNLRRLAEQFQKQVPGAEAGASAGNAQDDDDDVPELVPGETFEEAAEEKEPEEKKEAEVEEKKESS >ORUFI03G00540.1 pep chromosome:OR_W1943:3:330853:331727:-1 gene:ORUFI03G00540 transcript:ORUFI03G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKALIRDNSMLLDQIYDKLRTGQLACAPSMFDKVKTNLDAILAKMRAMGVNTDEYKIDLEALEEIKQGLHPSSDKSRNRLPAGSNSSDDKFARS >ORUFI03G00550.1 pep chromosome:OR_W1943:3:335561:338197:-1 gene:ORUFI03G00550 transcript:ORUFI03G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAVSRAAFASVLLAPRAVGVAARCASSSSSAASPSVAAATYDHASFIKEVAATDPPEHLSSLLNVLQARGEKLVSPGAKRGLIPLVVPLAESPAGNLTSLLRWPTAPSGMEMPVVEVRNHGLWLLAKNVNQYIHRVLVEADINGYADDLWSAVGDTGKKLYTKGDFKESQMADLDAYLLKKVGLFPDVIERKTSRHFEKGDYVSALITGEFYTRDQFPGFGRPFVFNAEILKRVGRTTEAKDSARVALKSPWWTLGCSYEEAAELAGWEDEQIEFIREKVSEEGKREDLKKGKAPEQVVLDEAAFLMDLASVDGNWDDVVDRIAGCYREAGLDDIAKFIAYRE >ORUFI03G00560.1 pep chromosome:OR_W1943:3:338527:341288:1 gene:ORUFI03G00560 transcript:ORUFI03G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAPAPLGSSASHQESEGDQCQLQADRSHASASNDSSSKASDQMANRSVQTRIDTTAPIDSVKGAANKFGGSLDLRERRKQAQDELDKVQEEVSECLKRSQEAEAGRAQAVKELGGANGVIDELTLGLEKAQAEEARARQDAEIAELRLRETQQGVSESTAAKAELAVARDRHANAVADLQSVTAELEILRMEQPVAAAKADAAAARARRMVSSSQEAVKVVEELTAELVALKKELDASHHAHDEAEEKRMRLAQVLEQDKDQWQLVLEVSEQEVKKLRNSLIAAADLEYKVEAASEQLAALRAELFAHAVEGTIGEEAAAATASFWAKLDKTKKELEDVKATVDKAKDEAKCLRVAAASLSADLEKQKAELAALRRRDGVSATSIPSLEEELSRLTAALTAAQEARAKERSVETKLGALLEEARREAAQAKANAQSAQEEVSKARQEAILAKAAVDAMEARLEAAKRETLAATTSAEMAAASAAALQQEDENARRRRETGVGEGSVTLTMEEYDELSRRARETEEIAGKRVTEAVKLIKEAKDAEVRSLEKMAQLAKQVEQRRQALEAATMEVEEAEFSKLAAERELRQWRAEHEHQRRLTGEGMASPRTGLAEISVFDGGEGRGNPHILSPRGGYMPRTEMAAAEVEAGAKQRPTFFPRMVMFLARKRAQNWK >ORUFI03G00570.1 pep chromosome:OR_W1943:3:341760:349117:-1 gene:ORUFI03G00570 transcript:ORUFI03G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQELSLAVERDALLQEDSIIFALIKQWPTLPPPKLPVAATAVKPTGERVDHRSRHHAISTKIAISRSSPSSPNNVAVKPQVYCPQAARRGRALDSSLEQVPQLRNLTAIQKEKQVKPELVVNLTIS >ORUFI03G00570.2 pep chromosome:OR_W1943:3:341827:349117:-1 gene:ORUFI03G00570 transcript:ORUFI03G00570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQELSLAVERDALLQEDSIIFALIKQWPTLPPPKLPVAATAVKPTGERVDHRSRHHAISTKIAISRSSPSSPNNVAVKPQVYCPQAARRGRALDSSLEQVPQLRNLTAIQKEKQVKPELVVNLTIS >ORUFI03G00570.3 pep chromosome:OR_W1943:3:341996:349117:-1 gene:ORUFI03G00570 transcript:ORUFI03G00570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQELSLAVERDALLQEDSIIFALIKQWPTLPPPKLPVAGPFWKWFGTSSTAEKSNSHPEGGQCLCHIDATRTVCRMSLKMMKSWGFHPHLAAS >ORUFI03G00570.4 pep chromosome:OR_W1943:3:341406:349117:-1 gene:ORUFI03G00570 transcript:ORUFI03G00570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQELSLAVERDALLQEDSIIFALIKQWPTLPPPKLPVAGPFWKWFGTSSTAEKSNSHPEGNNQKNSEQKIS >ORUFI03G00580.1 pep chromosome:OR_W1943:3:353162:353680:1 gene:ORUFI03G00580 transcript:ORUFI03G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARLLLLAVVAAVFLLSPAAAGRPCGHAQTLLISFSSVSRPNPDPNNPTPLTTTVVTVLRVRRLGPHHPLQIPRPDPLPAASDAASSVQERAKDILVVVSGLLFGFGCGALTAATMYLVWSLLASTCAPSYDEVYGEDDDDELSDSESPKKAGYVIIHDAEQYVGGKN >ORUFI03G00590.1 pep chromosome:OR_W1943:3:356568:363494:1 gene:ORUFI03G00590 transcript:ORUFI03G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTPPLPSNAGLRILLSKDRPPASSSSALAAATSAAVSSHTDRDRIIGVFRDALSRTESPEAFALQAVQDAIKPQKQTVLVLEENQSLENALRKLLQELASSAVQSGKRIMQYGDNEENNCPITRLLDIVLYLCERGHVEGGMVFQLLEDLTEMSTIKDCKDVFGYIESKQDVLGKQELFGRGKLVMLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSALNIKGVFNTSNETKYEKDATDGISVDFNFYNTLWSLQEHFSNPALTAANLTRWQKFVSNLTVVLSTFEAQPLSDDDGKLNNLDQEEDAAFNIKYLTSSKLMGLELKDPSFRRHILVQCLIFFDFLKAPGKTDKEGPTGSMKEEIDSREERVKKLLEIIPPKGKDFLQSIEHILEREKNWVWWKRDGCLAFEKQPFEKKPGQAGVRKRKPRWRLGNKELAQLWKWAEQNPNALTDSERICMPSVTEYWKPLAEDMDPSAGIEDEYHHKNNRVYCWKGLRFSARQDLEGFSRFCDYGIEGVVPQELLPPEVRSKFYSKPGDKAKRPKREDPKGTSAQPKEQQVVSATPETDGGGSGAEPEEGAVPMDSDNAAIDEGRKQSPEEVSGPESGQCEAEADGEDNMKNEASKDGPSA >ORUFI03G00590.2 pep chromosome:OR_W1943:3:356568:363494:1 gene:ORUFI03G00590 transcript:ORUFI03G00590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTPPLPSNAGLRILLSKDRPPASSSSALAAATSAAVSSHTDRDRIIGVFRDALSRTESPEAFALQAVQDAIKPQKQTVLVLEENQSLENALRKLLQELASSAVQSGKRIMQYGDNEENNCPITRLLDIVLYLCERGHVEGGMVFQLLEDLTEMSTIKDCKDVFGYIESKQDVLGKQELFGRGKLVMLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSALNIKGVFNTSNETKYEKDATDGISVDFNFYNTLWSLQEHFSNPALTAANLTRWQKFVSNLTVVLSTFEAQPLSDDDGKLNNLDQEEDAAFNIKYLTSSKLMGLELKDPSFRRHILVQCLIFFDFLKAPGKTDKEGPTGSMKEEIDSREERVKKLLEIIPPKGKDFLQSIEHILEREKNWRLKNNLLKRNQAKLESENGVVPQELLPPEVRSKFYSKPGDKAKRPKREDPKGTSAQPKEQQVVSATPETDGGGSGAEPEEGAVPMDSDNAAIDEGRKQSPEEVSGPESGQCEAEADGEDNMKNEASKDGPSA >ORUFI03G00600.1 pep chromosome:OR_W1943:3:363940:368817:1 gene:ORUFI03G00600 transcript:ORUFI03G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTKRGGRRRLGHIVHTLHSHKRERERQSSELLPPKSFFQQQWRRSLLLPAKPPPASRPDVLVACICDKARRERGPKPTPRRIASVLWIVAMDMETEKQQQQTRCPPWLQAAIADIEQRVRALAVSVPEDAAATATDHSFAERAENYYHKRPQLLALLTDLHHRYLYLADRYSQSLLAANKPFHAAAASSDCGSSDVDDRSSDAGSSLSFQPPPTTSSSVRDAVDAELVVAELVAAWIDREILADEAERRKAESARKIELQGSLVEVLESERLVLLGENARVGFRASAAEEEAAAAAAELGYMRRRAAEMARLVVKLREDHRVCMLGRKIEALQSQVYGLELRNRECYEAMAAWEAERKVGLAEIERLRADNKRLAAEAAMATAARRKRKGGNGSGWLWWARVRMAAEWTPCAPAVRKVGEQIKHGGGRKDVKYNAGGCFCL >ORUFI03G00610.1 pep chromosome:OR_W1943:3:374951:378132:1 gene:ORUFI03G00610 transcript:ORUFI03G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSAPAPDAHRRGVFLLSVGAGGGGAGTAVVEVEVEHPHSLSLSPPPMSSCGRYILHRVCRFDTLAGVAIKYGVEVADVKRVNGLTTDLQMFAHKTLRIPLPGRHPPAATATATAPSHPPPSSSPAATHRPREWATRRPPKNSALDPLLKPPQSTVAPSMDLLQNYYGLARPPKGDPENEGTEMATYSIGQHTKARSLSTGFSLVNGEVDDAEKPIRRRQKSDAEFSTREGNSGGVLMKAGPGLALRPKSGSRPEINNSQQDLVATAVPSYGDGLQAVRKSSSTPEFQDSDNSIASVWLKSKWNLKPDAFTLPLPILLLDSIPKPIFDTFPKQIAAWRNKAARD >ORUFI03G00620.1 pep chromosome:OR_W1943:3:380905:383540:1 gene:ORUFI03G00620 transcript:ORUFI03G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPPLREAAQADADPTRAHGSEFQLAAGKVIRHEGCAEHDHISIGDHGNFLARRKRTGCFSFLPGGPRTLFFYELDHFDGVVTCIPLGKFKVWHQRDCKIQRFGPSMSYGDPYLYGPWERVTAWR >ORUFI03G00630.1 pep chromosome:OR_W1943:3:386572:390458:1 gene:ORUFI03G00630 transcript:ORUFI03G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAPVGFVYTSRRREARRQRRVVAIAIAFLLLLLRRRALPRHRAPRAIGGGRPRPRARERGARDYRTSRFAELSRMVDWASDSDNDKFEWDTDGEAETSSAPALRNIDAPGPSTRLPQDANGKANGSGALVAEFMGMGFPKEMILKAIKEIGDTDTEQLLELLLTYQAIGGDASVGNCSASACAPQTLEVDEEEDDTNWDEYDTAGNCDRTPHSDGSGDEDFFQEMSEKDEKMKSLVNMGFPEDEAKMAIDRCGLDAPVAVLVDSIYASQEAGNGYSANLSDYEDTEFSSFGGRKKTRFVDGSKKRKRYGSGPSGNQVPFDGSHEEPMPLPNPMVGFSLPNERLRSVHRNLPDQALGPPFFYYENVALAPKGVWTTISRFLYDIQPEFVDSKYFCAAARKRGYIHNLPIENRSPVLPMPPKTISEAFPNTKRWWPSWDPRRQFNCLQTCMASAKLTERIRCALGRFSDVPTPQVQKYVLDECRKWNLVWVGKNKVAPLEPDEMEFLLGYPRNHTRGVSRTERYRALGNSFQVDTVAYHLSVLRDLFPNGMNVLSLFSGIGGAEVALHRLGIHMKTVISVEKSEVNRTILKSWWDQTQTGTLIEIADVRHLTTERIETFIRRFGGFDLVIGGSPCNNLAGSNRHHRDGLEGEHSALFYDYIRILEHVKATMSAV >ORUFI03G00640.1 pep chromosome:OR_W1943:3:390656:393592:1 gene:ORUFI03G00640 transcript:ORUFI03G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLVALSRKAPTSEDLSIIVHDSLEYIDGQSDLVNYGRKIVEHIILLRAKPNISDAEEKDMLDYLYTSQYQMRGILAVSLGRIEDPNTENFTHAVLMRFQQKDDIAKFHSSPYYYKILDEHGSVSVDFESEVEDDIIPLFRRGEDFNYGVEFMLLISFLESASGDSVEDALASLQRFISQCSSFIVQATLGCCLNHMDSGYSHAAVIRFPSFDDFKIFREGMEYKDMWASTFQPIVEKSLELHFTVDPVGNQLM >ORUFI03G00650.1 pep chromosome:OR_W1943:3:394070:399481:-1 gene:ORUFI03G00650 transcript:ORUFI03G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRPHLAQLRRLLLLPCSSVPVPVPARRLSSPRAMASSSVSAAAAAAAAAAQAGGAVAAAEYEDVMGRLSSLITQKVRAHSGNRGNQWDLMAHYLQILELEEPIARMKVIHVAGTKGKGSTCTFTEAILRSCGFSTGLFTSPHLMDVRERFRLNGVDISEEKFLKYFWWCWNKLKEKTDDDIPMPTYFRFLALLAFKIFSAEQVDVAVLEVGLGGKFDATNVVEAPVVCGIASLGYDHMEILGNTLGEIAGEKAGIFKKGVPAYTAPQPEEAMIALKQRASELGVSLQVAHPLEPHQLKDQHLGLRGEHQYVNAGLAVALASTWLEKQGHVERIPLNRTDPLPDQFISGLSNASLQGRAQIITDSQVNSGEEDKDCSLVFYLDGAHSPESMEICARWFSHVTKEDRTVPSSMILLFNCMSVRDPMRLLPHLLDTSTQNGVHFEMALFVPNQSQYNKLGTNSSAPAEPEQIDLSWQLSLQRVWQKLLHGDKGMNNTNSSENSLVFESLPLAMEWLRTNARQNRSTSFQAIK >ORUFI03G00660.1 pep chromosome:OR_W1943:3:401245:403206:-1 gene:ORUFI03G00660 transcript:ORUFI03G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKKVEVTKDISEEKAVVPLPTPPATEHDDSKAIVLVKEAEATGGSAERDAYLAKIVSEKRLVLINAWEESEKARAENRAAKKLSYITSWENAKKAEMEAELKRIEQELEKKKAAYEEKLKNKLALLHKTAEEKRALTTAKRGEELIMAEEMAAKYRAKGEAPTKLFGLLKA >ORUFI03G00670.1 pep chromosome:OR_W1943:3:406444:406734:-1 gene:ORUFI03G00670 transcript:ORUFI03G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKLAVLVLAVAMVAACGGGVVGVAGAGCNAGQLTVCTGAIAGGARPTAACCSSLRAQQGCFCQFAKDPRYGRYVNSPNARKAVSSCGIALPTCH >ORUFI03G00680.1 pep chromosome:OR_W1943:3:407255:410428:-1 gene:ORUFI03G00680 transcript:ORUFI03G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWATCKRRGAAATGESRDDGAESTRGADTTQSSPSPIGRSHGDSGGPKQEGTWGKRVPALAELLVFGLQNGVEEERVTNTAVGAARLEDGTPTSTLQSALANELYTRAMA >ORUFI03G00690.1 pep chromosome:OR_W1943:3:410663:411726:-1 gene:ORUFI03G00690 transcript:ORUFI03G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQKVVLKVPTMTDEKTKQKAIEAVADIYGIDSIAADLKDNKMTIIGDMDTVEIAKKLRKIGKIDIVSVGPAKEEKKPEKKEEKKEEKKEEKKEEKKEEKKGKK >ORUFI03G00700.1 pep chromosome:OR_W1943:3:412607:415492:1 gene:ORUFI03G00700 transcript:ORUFI03G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVKPTPTPTPTSAAAKSAVAGGGEVSTETPRRSARLQQAAKKKRSRDASLPPAPAPARHRQAGKVLCAPEISVKKTVEGTINDAEIESIVLKLWNFTEEERVPYYNRLNKKRANMALAWYNENNPEDCYEFTSVLLHDVCNFCDGGVCHVHINFKARNVTTNSEELFFAELALINNVFDQYSGYTTTACCIIDGNCLGGLRNVLLNGCFLREERYDEKNCYACDEKIKHPTGSTYKGGHYAEDYLVQGIL >ORUFI03G00710.1 pep chromosome:OR_W1943:3:416443:420699:-1 gene:ORUFI03G00710 transcript:ORUFI03G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAAAPPEWVVLDRLPLTSIDLPKDGISLSLVATPRISQLLVSPSLGLASGSVLAADPSGILLLSSSDPFLADSKSYVLWDAVYKISFPVPATPTETGAATGLVVVPGGSDHIYIMVAELSIRGSDVILRCFSTDPAKWIHKILQQPPQYKLLWCWCSDYALSHQGRLWWVDLLQGLVACDPFSDNPELHFVPLPSCCRNPNVQQSCRMGLSDNRRVGLSRGKLRLVVLSHASNSKSRIRLWTLADSEAGHWTLDFDLSSPVFDDIWTDLCDWKIAFFHPSKPHVVYFSQKQHLVAVDLQMVKVSEEDGVEPCSSSHVLAWELSPSLRTTLLGPSPAQDTNSTSHFDSVANSFLEAYSSALVDMEFHQLATTALASLNKEKKRTEENKFKLSERLLLQTFLDQTETSLKKYAHLNFYAGTGSEKVLVFAEFHTDAVGDNEPDEWGLSSCKLLRKNYQGGLYGEDADRRLSMRANKRKKSIYCFACAAEMLHPINGFDGGYAGMSVTRGVGAGDSQAGGGERRRSERREVRGKSVTPAHPLTEPEELKQSKTEQGGKKKYAFSFRKHNMFR >ORUFI03G00720.1 pep chromosome:OR_W1943:3:419753:428159:1 gene:ORUFI03G00720 transcript:ORUFI03G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEKKQRKKAVEDENPEDFIDPDTPHGQKKFLASQMAKQYSPAAVEKSIFWGRSCGSYFEVCTRYYVLPPPNVTGALHIGHALTVAIEDAIIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMREKKLTRHDIGREELVSEVLKWKDEYGGTILNQLRRLGASLDWSREVDYLDIKEETMLKVPGYNTTVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTTISVHPEDNRYKHLHGRYAIHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRRSLEFINIFTDDGKINNYGGAQFEGMPRFTARVAVIEALKAKGLYKETKKNEMCLGVCSRTNDVVEPMIKPQWFVNCNTMAKAGIDAVRSKRIEIIPQQYEQDWYRWLANIRDWCVSRQLWWGHRVPAWYVVLEDDQENILGSDNDRWVVARNESEANLEAHQKYPGKKFELHQDPDVLDTWFSSGLFPLTVLGWPDDTADVKAFYPGSVLETGHDILFFWVARMVMMGMQLGGDVPFQKVYLHPMIRDAHGCKMSKSLGNVIDPVDVINGIPLEGLLKRLEEGNLDPNELNIASDGKKKDFPDGIAECGTDALRFALVSYTSQSDKINLDIKRVVGYRQWCNKLWNAIRFAMGKLGDHYTPPATISVTIMPPICKWILSVLNKAIGKSVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQELESARAASRDALWVCLDTGLRLLHPFMPCVTEELWQRLPQPKDSCQKDSIMVSEYPSLVKEWADDKLENQIDIALDTVNKLRSLKPPTDTNERRPAFALCRGQEIAAIVQCYQSLVVTLSSISSLKILIESDETPPDCATAVVNKVYLYTSNSKELSMQKLSLKS >ORUFI03G00730.1 pep chromosome:OR_W1943:3:421149:428135:-1 gene:ORUFI03G00730 transcript:ORUFI03G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSSLEIVKASGAAVQCRLRKTLPELFSYTRHEWVEQAQADIDELKAASLSNLKIIWIMGRYRSTRRTRPGTI >ORUFI03G00740.1 pep chromosome:OR_W1943:3:428710:436137:1 gene:ORUFI03G00740 transcript:ORUFI03G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDHLRPGSYLGDVSALSFLPSSPRPLLLAGTGSELLVYDVDAARLVASFQVFDGVRVHGIQPRCPDGPSPGDVTVLVFGERRVKIFRIRADFEDGEACGVRLELEQRLPGFDHWVLDACFLEADGLLAIGLSDNSVALWDLSQRVLHARVKSPEKCLLYSMRMWGNSLESLLVASGTILNEILIWKIVPRALEKSLLCSYKSNTLGVEDYENMHISDKQYITIHLGRLKEHEGSIFRIAWSSDGSKFMSVSDDRSARIWMLSSQSQNFVNKADGQNDVQIIPKLTLFGHIGEDCSCCIWAMDGKLIKKFREHIGRGIWRCLYDPSTLLLATAGFDSAIKVHHLYNSSCHDKMEDKVVSDDVNYDSEVFSISSPTVSGQYGPMDSKSEYVRYLHFVKENDLYVATNNGYLHHAELSNSNNVRWTKVTQVAEVAPIICMDVMVMHSDISLNRDDIIALGDGRGNVTVVHLTACDLGPKVNSSFTWPAEKDRQLLGVYWCKSLECRHIFTADPRGVLKLWDIRNALFSNTLDITTSQKVPLIAVFESSFGARIMCLDAFPQDEVLVAGDKKGNITAFHFPKVLVEHESCGTQQNMPSCDRFKGAHGISSVTSVRIITSTSDHLQIHTTGGDGCICFFKYDRNVQKIEFFGMRQVKELGTVQSIFPPHASEKQLLSTYAIGFTSADFIIWDLENETKLLQISCGGWRRPYSYYLGMVPEYQNCFAFVKDHNIHIHRHWAPCQDKKLLPQVFHMQFHGREVHSLCFIDPAGYSNPEKSSNLYIATGCEDGTMRLTGNSINSAGKWCSSKLLGEHVGGSAVRATCFVQKGYTSLDKSCNIIPNGNSDDTLVKNKDNISLLISVGSKQVLTTWVLQPKVAENRHICSSGLDVDSKQSLKGDSAMTFQWLSTHMPPKLTNRLKTGNVKNNNEEGDSSMMQPNQFIVDQLENDWRYLSVTAFLLEHPSTNSTVCFVVAACSDATVVLRALLLPSRLWFDVALLAPQGSPVLVLKHIIAAANTADHGDTYIVVSGSTDGSITFWDLTDTIHGFMQLVSETQPHMVIDCQKRPRTGRGSQGGRRRWRTLPGRSLKKINKETSIPDGSIPNTPNATENTSETSNVEETDATNQNYAFSNLQSCNIPEVTPMHRFSGVHQSGVNCLHVSEMRACSYSTPGMSYCVISGGDDQAVHCFSFTLGSLQDCAINTSLDSPDNGAVKIICQHRVPSAHSSAVKGIWTDGIWAFSTGLDQRVRCWKMESSGKFTEYSHAIISVPEPETLDVVHDRAERKYWVAVAGRGMQMVEFLSAENDEEEISK >ORUFI03G00750.1 pep chromosome:OR_W1943:3:445695:456584:1 gene:ORUFI03G00750 transcript:ORUFI03G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREKPSRNQRKSGSFQQASPSRAERARQIRELPPFPSSSSPPPPPPPYETKEEDEAKTESNQDPSSSSSSPPPPPPRAPTHLARRSIHPPPPRRVFGSSRYDRGGRCSAARSRGAARGSGLLDEMALSGMRGLSVFISDIRNCHNKEQERLRVDKELGNIRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMETVSLISAPKYPEKQVGYIVTSCLLNENNDFLRMVINTVRNDIIGRNETYQCLALTMVGNIGGKEFSESLAPDVQKLLISSSCRPVVRKKAALCLLRLYRKNPDVVNIDGWSDRMAQLLDERDLGVLTSVMSLFVSLVSNNAEAYWNCLPKCVRILERMARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPGARRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMSRMLLVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKEIVEELLQYLNTAEFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWYRVVQFVTNNEDLQPYAAAKAREYLDKPALHETMVKVSAYLLGEYGHLLARRPGCSPKELFAIINDKLPTVSYESYIDVEIQQRAVEYFELSRKGAALADVLAEMPKFPERESALLKKAEDAEVDTAEQSAIKLRSQQQTSSAIVIADQPPVNGSVPASNHLTLVKMPSQNITEESNVAYEEPPVEIPKENGAPVEVESKVENIPETNIESKVEPPAAHPASQADLLADLLGPLAIEGPPAAVEQNPVQGLETNQSPVGDLALATLEDQSNSVQPIVNVEEKFHILCSKDSGVLYEDPHIQIGLKAEWRAHHGRLVLFLGNKNTAPLLSVQALILPPSHLKTELSSVPDTIPPRAQVQIPLEVVNLRASRDVAVLDFSYTFGTSLVNVKLRLPVVLNKFLQPITLSPEEFFPQWKALNVQNLKVQEVVKGVKPLPLPEIASLFMSLHLAVAPGLDNNPNNLVACTTFFSETTRAMLCLVRIETDPQDRTQLRLTVASGDQYLTFELKEYIKEHLIDIPRVQTASPPVPVQPQLPPAAVPATYNDPGAMLAGLL >ORUFI03G00760.1 pep chromosome:OR_W1943:3:460079:469321:1 gene:ORUFI03G00760 transcript:ORUFI03G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAAAGGGEMLSPGEADWPPELRLPPPPPPSAASEGEPPPARAAVGMDDSQFLGSIIGLPAQPPQATAEALAVVGVKRRRGRPPKKRDGAAAATAVVPAARPARRREDEEEVVCFICFDGGNLVVCDRRGCPKVYHPACIKRDEAFFQSRSKWNCGWHICSSCEKAVHYMCYTCTYSLCKVCIKQGKFFSVRGTKGFCDTCYSTILLIESKDEGDTKIVVDFDDQNSWEYLFKLYWVETVTRDDGTLPNKVPTEEASLPVDTKWASPELLEFVGHMRDGDQSFISQFDVQALLLDYIKQNNLRDPQRKSQIICDSRLHRLFRKTRVAHFEMLKLLEMHFIVSEPSAVNDGSQGIINPDSAQIDHASGYNDMAAKFSPDRRRRMHRKMEREPQANPEDYAAIDMHNINLIYLRRSLMEDLIDDPTLSDKISGAFVRIRISGLGQKQDMYRLVKVVGTHKVSEKYSIGKKMTNFALEIMNLNKKEIITMDTVSNQDFTEEECKRLRQSMKYDLISRLKVGDIQEKAKIFQFVRVNDWFENEKQKLCHLRDRASETGQCVEKLQLLNTPEERARRINEVLDVHVDSHMDPDYESDDEFGNKKAVERSVNWARSDPFVSPVKVKYSNSSQKNGDATRHLKNLSKQNTERKSGAARNFENSHSPVGMDIPKSGTNVKSTRCETTSPSSHGVVSSDMEPEKVWHYKDPSGNVQGPFTLVQLSKWTSYFPRDMRVWLTFESEERSLLLTEVLSKQPKDFGQPASVTTSSKSTVADTGQNRNTEIVDLNKAPSPVGYSMLNSFETTVQSTKHSAPERESVNSLDDRLSHSTDSVPPKDANASNSQAMCQIKHSGSLPSPGSPHQRSDLHHDEVQGGRSGEWNNQHNSELWSPSMPQTSSSAHSNVESHHDHYPSWSQVQHDPKNSLQAGSGKDLNSRYDIAQKLPSQRITRDVPSPVFAWSPSESRTASSQHEGSCLSSTTNLCTHDELHSSIASAKAKSFAPATPVEDRGSSSPSGMLSLSERAPICSPQSAPSASASDTCKMEENMNQQKTLEADISNTSSKILPESSSDNQDIKCEDPSPTPISKSKEVSPQSKILSESYLDNQDVERECPSSILITESKELAVDLPGSISLAPEKTASTDVGENSSLAFIFPKSTLAGDDALKSVFDMAKAHLECEDSKVKEELYVESTVVIRDDMVVNPASGVESIDMSENLLESLMEQSCGTFYMDGTTALEGFLSGSTKEEPQCSSPIALSTCSSPIALSPWGEHGYYQGDSVGSSLWGVQDDDPIGNIWPLSSQAPALQYSSGSTAHFIDEATVTHGNNGVVLSSTPGEEVGLPNSGVCTDWGLVEQVNPETNDASVSMIDKNSGLVDSQPSANDGSDVGTARNTNHNTNLSLNHETAVPLSRSSGEASRKHGFITDLNVATSEEALGNTKNWNPYAGNANRGSQRNHHRDRYSQISESWLLSSNYSRSRSDGFGTGGSSRSTPRGQTQRGICKFLENGYCRKGASCNYLHP >ORUFI03G00760.2 pep chromosome:OR_W1943:3:460079:469321:1 gene:ORUFI03G00760 transcript:ORUFI03G00760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAAAGGGEMLSPGEADWPPELRLPPPPPPSAASEGEPPPARAAVGMDDSQFLGSIIGLPAQPPQATAEALAVVGVKRRRGRPPKKRDGAAAATAVVPAARPARRREDEEEVVCFICFDGGNLVVCDRRGCPKVYHPACIKRDEAFFQSRSKWNCGWHICSSCEKAVHYMCYTCTYSLCKVCIKQGKFFSVRGTKGFCDTCYSTILLIESKDEGDTKIVVDFDDQNSWEYLFKLYWVETVTRDDGTLPNKVPTEEASLPVDTKWASPELLEFVGHMRDGDQSFISQFDVQALLLDYIKQNNLRDPQRKSQIICDSRLHRLFRKTRVAHFEMLKLLEMHFIVSEPSAVNDGSQGIINPDSAQIDHASGYNDMAAKFSPDRRRRMHRKMEREPQANPEDYAAIDMHNINLIYLRRSLMEDLIDDPTLSDKISGAFVRIRISGLGQKQDMYRLVKVVGTHKVSEKYSIGKKMTNFALEIMNLNKKEIITMDTVSNQDFTEEECKRLRQSMKYDLISRLKVGDIQEKAKIFQFVRVNDWFENEKQKLCHLRDRASETGQCVEKLQLLNTPEERARRINEVLDVHVDSHMDPDYESDDEFGNKKAVERSVNWARSDPFVSPVKVKYSNSSQKNGDATRHLKNLSKQNTERKSGAARNFENSHSPVGMDIPKSGTNVKSTRCETTSPSSHGVVSSDMEPEKVWHYKDPSGNVQGPFTLVQLSKWTSYFPRDMRVWLTFESEERSLLLTEVLSKQPKDFGQPASVTTSSKSTVADTGQNRNTEIVDLNKAPSPVGYSMLNSFETTVQSTKHSAPERESVNSLDDRLSHSTDSVPPKDANASNSQAMCQIKHSGSLPSPGSPHQRSDLHHDEVQGGRSGEWNNQHNSELWSPSMPQTSSSAHSNVESHHDHYPSWSQVQHDPKNSLQAGSGKDLNSRYDIAQKLPSQRITRDVPSPVFAWSPSESRTASSQHEGSCLSSTTNLCTHDELHSSIASAKAKSFAPATPVEDRGSSSPSGMLSLSERAPICSPQSAPSASASDTCKMEENMNQQKTLEADISNTSVNQSPQSKILPESSPDNQDAEHEYRSPPPISESKELSPQSRTTPGSSPDNQDTEREYPSPPPISGSKEISPQSRTILESSPDNQDNGHEYPSPPPIPESIELSPHSKALPESSPDNQDIEPECPSPPQIPESKELSRQSKILPESSPGNQDIEPECPSPPQIPESKELSQQSKILPESSPDNHDIKCEYSSPTPIPESKELSLQSKILPESSSDNQDIKCEDPSPTPISKSKEVSPQSKILSESYLDNQDVERECPSSILITESKELAVDLPGSISLAPEKTASTDVGENSSLAFIFPKSTLAGDDALKSVFDMAKAHLECEDSKVKEELYVESTVVIRDDMVVNPASGVESIDMSENLLESLMEQSCGTFYMDGTTALEGFLSGSTKEEPQCSSPIALSTCSSPIALSPWGEHGYYQGDSVGSSLWGVQDDDPIGNIWPLSSQAPALQYSSGSTAHFIDEATVTHGNNGVVLSSTPGEEVGLPNSGVCTDWGLVEQVNPETNDASVSMIDKNSGLVDSQPSANDGSDVGTARNTNHNTNLSLNHETAVPLSRSSGEASRKHGFITDLNVATSEEALGNTKNWNPYAGNANRGSQRNHHRDRYSQISESWLLSSNYSRSRSDGFGTGGSSRSTPRGQTQRGICKFLENGYCRKGASCNYLHP >ORUFI03G00760.3 pep chromosome:OR_W1943:3:460079:469321:1 gene:ORUFI03G00760 transcript:ORUFI03G00760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAAAGGGEMLSPGEADWPPELRLPPPPPPSAASEGEPPPARAAVGMDDSQFLGSIIGLPAQPPQATAEALAVVGVKRRRGRPPKKRDGAAAATAVVPAARPARRREDEEEVVCFICFDGGNLVVCDRRGCPKVYHPACIKRDEAFFQSRSKWNCGWHICSSCEKAVHYMCYTCTYSLCKVCIKQGKFFSVRGTKGFCDTCYSTILLIESKDEGDTKIVVDFDDQNSWEYLFKLYWVETVTRDDGTLPNKVPTEEASLPVDTKWASPELLEFVGHMRDGDQSFISQFDVQALLLDYIKQNNLRDPQRKSQIICDSRLHRLFRKTRVAHFEMLKLLEMHFIVSEPSAVNDGSQGIINPDSAQIDHASGYNDMAAKFSPDRRRRMHRKMEREPQANPEDYAAIDMHNINLIYLRRSLMEDLIDDPTLSDKISGAFVRIRISGLGQKQDMYRLVKVVGTHKVSEKYSIGKKMTNFALEIMNLNKKEIITMDTVSNQDFTEEECKRLRQSMKYDLISRLKVGDIQEKAKIFQFVRVNDLLNTPEERARRINEVLDVHVDSHMDPDYESDDEFGNKKAVERSVNWARSDPFVSPVKVKYSNSSQKNGDATRHLKNLSKQNTERKSGAARNFENSHSPVGMDIPKSGTNVKSTRCETTSPSSHGVVSSDMEPEKVWHYKDPSGNVQGPFTLVQLSKWTSYFPRDMRVWLTFESEERSLLLTEVLSKQPKDFGQPASVTTSSKSTVADTGQNRNTEIVDLNKAPSPVGYSMLNSFETTVQSTKHSAPERESVNSLDDRLSHSTDSVPPKDANASNSQAMCQIKHSGSLPSPGSPHQRSDLHHDEVQGGRSGEWNNQHNSELWSPSMPQTSSSAHSNVESHHDHYPSWSQVQHDPKNSLQAGSGKDLNSRYDIAQKLPSQRITRDVPSPVFAWSPSESRTASSQHEGSCLSSTTNLCTHDELHSSIASAKAKSFAPATPVEDRGSSSPSGMLSLSERAPICSPQSAPSASASDTCKMEENMNQQKTLEADISNTSVNQSPQSKILPESSPDNQDAEHEYRSPPPISESKELSPQSRTTPGSSPDNQDTEREYPSPPPISGSKEISPQSRTILESSPDNQDNGHEYPSPPPIPESIELSPHSKALPESSPDNQDIEPECPSPPQIPESKELSRQSKILPESSPGNQDIEPECPSPPQIPESKELSQQSKILPESSPDNHDIKCEYSSPTPIPESKELSLQSKILPESSSDNQDIKCEDPSPTPISKSKEVSPQSKILSESYLDNQDVERECPSSILITESKELAVDLPGSISLAPEKTASTDVGENSSLAFIFPKSTLAGDDALKSVFDMAKAHLECEDSKVKEELYVESTVVIRDDMVVNPASGVESIDMSENLLESLMEQSCGTFYMDGTTALEGFLSGSTKEEPQCSSPIALSTCSSPIALSPWGEHGYYQGDSVGSSLWGVQDDDPIGNIWPLSSQAPALQYSSGSTAHFIDEATVTHGNNGVVLSSTPGEEVGLPNSGVCTDWGLVEQVNPETNDASVSMIDKNSGLVDSQPSANDGSDVGTARNTNHNTNLSLNHETAVPLSRSSGEASRKHGFITDLNVATSEEALGNTKNWNPYAGNANRGSQRNHHRDRYSQISESWLLSSNYSRSRSDGFGTGGSSRSTPRGQTQRGICKFLENGYCRKGASCNYLHP >ORUFI03G00770.1 pep chromosome:OR_W1943:3:469159:473046:-1 gene:ORUFI03G00770 transcript:ORUFI03G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLTPTQRYAAGALLALALRQAQIHQSVLLGAHHHHDDDDEEQGRTSTSSGGGGGSSSSSSNSGAGADADLWTHDSHGLLRPVFRFLEIDPKAWSGLEETAASSEAKHHIGAFLRIIFEEDGESSSDRSVQELALAKGVDVMVMSLGNDSEVGNTIKGGDQDALPSSSGTDKSPGESSHDDQLGINKLTLDDIPANNHRKMALLFALLSACVADKPVSQEEEDRKSTRFRKGYDARHRVALRLLSTWLDVKWIKMEAIEVMVACSAMAAAKEQEQSQESASPKSKWEKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLVPVIGASGFAAMATAAGSVAGSVAVAASFGAAGAGLTGSKMARRIGSVKEFEFKPIGENHNQGRLAVGILISGFAFDEDDFCRPWEGWQDNLERYILQWESKHIIAVSTAIQDWLTSRLAMELMKQGAMRTVLSGLLAAFAWPATLLAATDFIDSKWSVAIDRSDKAGKMLAEVLLKGLQGNRPVTLIGFSLGARVIFKCLQELALSSDNEGLVERVVLLGAPVSVKGERWEAARKMVAGRFVNVYSTDDWILGVTFRASLLTQGLAGIQAIDVPGVENVDVTELVDGHSSYLSAAQQILEHLELNTYYPVFVPLSAANEETDGTVAQ >ORUFI03G00780.1 pep chromosome:OR_W1943:3:474257:475891:-1 gene:ORUFI03G00780 transcript:ORUFI03G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGVAEYLLMDPWLVLWLVLASMAFALLHLRRRARRGAPPLPPGPRPLPIIGNMLMMDQLTHRGLAAMAARYGGLLHLRLGRVHMVVVSSPEHAREVLQVQDGDFSNRPASIAIAYLTYGRADMAFSHYGHFWRQVRKLSAVRLFSRRRAQSWRAVRDESAKLVGAIARRAGEAVDLGELIFGLTKDVIFRAAFGTRDGGGHGELEVLLQEFSKLFGAFNVGDFIPWLAWLDPHGINRRLRAARAALDSVIDRIIDEHVSNPAGDEDADMVDDMLAFLDEAGRDQTGGGGELQGTLRLTRDNIKAIIMDFVFGGTETVASAIEWAMAELLHSPGDLRRLQAELADVVGLGRGVEEGDLEKLPFLRCVAMETLRLHPPIPLLLHEAAADCVVGGYSVPRGARVVVNVWSVGRDAGAWKGDAGAFRPARFMAGGEAAGMDLRGGCFELLPFGSGRRACPAIVLGMYELELVVARLVHAFGWAPPGGVAPEELDMADGFGLTAPRAARLRAVPTPRLTCPM >ORUFI03G00790.1 pep chromosome:OR_W1943:3:480911:482211:-1 gene:ORUFI03G00790 transcript:ORUFI03G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRHRHRLLCCGGGVATAPGRFAGDAIADHQQAAAGAKNAAATSSARQLSWAQVEAMTRGFTSAVVGEGGFSTVYLGRVAGSLAAVKVHRSSERLQRAFRQELHALLRVRHPHIVRLLAFCDQRDEGVLVLEFAPNGNLHEQLHGGGGGGAMPWARRVAVALQVARALEYLHDRCEPQVVHGDVKSSNVLLDAAMGARLCDFGSARAGFSAAVHCPRPRPSALAVLGSPGYVDPHYLRSGVVTKKSDVYSFGVLLLELLTGTQAFRDGMLLTAAVAPKLKGAAAGDVEKLVDERLGCQYNAAEAATVAALAAACIGDNPSLRPSMADVVRTLEKPAQKAGR >ORUFI03G00800.1 pep chromosome:OR_W1943:3:484063:487811:1 gene:ORUFI03G00800 transcript:ORUFI03G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSICAMRSLLAASTFLRSGASPLLRPLSRPLPSRLNLSRFGPVRPVSAAAAAADKSRGGGGSAMEAQPSYPGEIHVIVGPMFAGKTTALLRRVQVEAGTGRNVALIKSDKDNRYGLDSVVTHDGTKMPCWALPELSSFQDKLGTEAYDKVDVIGIDEAQFFDDLHDFCCKAADRDGKIVVVAGLDGDYKRNKFGSVLDIIPLADSVTKLTARCELCGRRAFFTLRKTRETKTELIGGADVYMPVCRQHYLDGQIVIEATRIVLDLEKSKVIHAFK >ORUFI03G00810.1 pep chromosome:OR_W1943:3:488295:491151:1 gene:ORUFI03G00810 transcript:ORUFI03G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSQNRPVRSSKRRRRTPADASAATRDDPSPWESLHEDLLELIAWRVLAGDGDLLDYVRFRAVCPHWRSSTSCPRGRGIVDRRFHPRRWMLLPEGHGLYPGHGKLRGFVRFFNLSTGAFVRVHLPLFRDHCVLDSVDGILLLQRDHDTAIRLLHPFTGDILDFPPLETLLRYVSSKLVGDKWNYLRRIGAASINVSADQVVSLMMWSPEILQIDPPELEGMEPWLPPPTLIAKCPANTVNTSDSRFYHLVECDSEVLVIALSAGIHRKISVYRLADFMLGRRTLRVTCIGGNALFIGQRNLCVSSKAFPTVVGDTIVFHHYQQGYLAQYHLRSGTLEPASDGTIAEYAIPSPTCIIYHIYTCCFREQWNKGHIRFQGLLTINWRVKRKWRSGA >ORUFI03G00810.2 pep chromosome:OR_W1943:3:488295:491151:1 gene:ORUFI03G00810 transcript:ORUFI03G00810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSQNRPVRSSKRRRRTPADASAATRDDPSPWESLHEDLLELIAWRVLAGDGDLLDYVRFRAVCPHWRSSTSCPRGRGIVDRRFHPRRWMLLPEGHGLYPGHGKLRGFVRFFNLSTGAFVRVHLPLFRDHCVLDSVDGILLLQRDHDTAIRLLHPFTGDILDFPPLETLLRYVSSKLVGDKWNYLRRIGAASINVSADQVVSLMMWSPGMVQVAFATSGEQQWRASSWYFNQIFSPLAFQGKLYMVRHELTYGEPEILQIDPPELEGMEPWLPPPTLIAKCPANTVNTSDSRFYHLVECDSEVLVIALSAGIHRKISVYRLADFMLGRRTLRVTCIGGNALFIGQRNLCVSSKAFPTVVGDTIVFHHYQQGYLAQYHLRSGTLEPASDGTIAEYAIPSPTCIIYHIYTCCFREQWNKGHIRFQGLLTINWRVKRKWRSGA >ORUFI03G00820.1 pep chromosome:OR_W1943:3:499415:502441:-1 gene:ORUFI03G00820 transcript:ORUFI03G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPGMPPFSAAGGEGAPSPISSRPPPPEQAAAAAAEEQLNGSSLEHDGVLGGEEGDRGGSSAGNRWPRQETLALLKIRSEMDAAFREAALKGPLWEEVSRKLAEMGYKRSAKKCREKFENVDKYYKRTKDGRAGRGDGKTYRFFTELEALHGAAAATARPPPVSLAPAPVAVAPPATPAGLSALRVHASPPPPPVKQHAAPPPPVMDVAACVMTMDDVSFSSGSDTEETAEEGGKRKRRGGGGIGGGGGGGKAMRMFEGLMRQVMERQEAMQQRLLEAIERRDQERMIREEAWRRQEVARLAREQDALAQERAIAASRDAAVISFIQRVTGQSIAAVPPPPLQPTPVASAAPPPPPQHHHQQTPPPIQVQPHHIMPMTPQPQLQPPQPQSKEANTVVRAAPPPQEQHDTAASGGGGGASSSRWPKAEVHALIQLRTELETRYQDSGPKGPLWEDISAGMRRLGYSRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYYHQLDALYRTKAANAAAAASASPAPATTTVLAPVPLSQTPPHVDHGGSNGNGNGWASANNGGGGSSSGGMQTKASNNGTATAGGLPVVSVAGGNGNGNGVAATTDNKGSKQVPVAKETAGQRQPQPLAMNHNYGNDRMADDMDSDSMDDDDDDDEFDDDENDDDIGGGKMQVQYETSSHFQRPQLQNQNVVVGRPNASGGGGGGAPTTPAGPPPPAATSGTSFLACVQ >ORUFI03G00830.1 pep chromosome:OR_W1943:3:509277:509706:-1 gene:ORUFI03G00830 transcript:ORUFI03G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRYTKKSSLMIPLPLIILVVAAASTTVARSAWVGDYASNHGCGETAAAELCDPRDPAANRACDDACHYNGCRGGRCILLYRGHLDGGDGGGGGRRGIGRGCHCR >ORUFI03G00840.1 pep chromosome:OR_W1943:3:513782:517827:-1 gene:ORUFI03G00840 transcript:ORUFI03G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLRAVRRRDLASPLGTLTANVQSKCAANVCSRWAGFARTFSAKATGNEVIGIDLGTTNSCVSVMEGKNPKVIENSEGTRTTPSVVAFNQKGELLVGTPAKRQAVTNPQNTFFGTKRLIGRRFDDPQTQKEMKMVPYKIVKALNGDAWLETTDGKQYSPSQIGAFVLTKMKETAESYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGTNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSEFKRTEGIDLSKDRLALQRLREAAEKAKIELSSTAQTEINLPFITADSSGAKHLNITLTRSKFESLVNSLIERTRDPCKSCLKDAGITTKDVDEVLLVGGMTRVPKVQEVVSEIFGKAPSKGVNPDEAVAMGAAIQGGILRGDVKDLLLLDVTPLSLGIETLGGIFTRLINRNTTVPTKKSQVFSTAADNQTQVGIKVLQGEREMAADNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATGKEQQITIRSSGGLSEAEIQKMVHEAELHSQKDQERKALIDIRNTADTTIYSIEKSLGEYRDKIPAEVASEIETAIADLRNEMASDDIEKIKSKIEAANKAVSKIGQHMSGGGSGGSQAGSQGGGDQAPEAEYEEVKK >ORUFI03G00850.1 pep chromosome:OR_W1943:3:518139:523821:1 gene:ORUFI03G00850 transcript:ORUFI03G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSARPTPADSGELPNGAGMAEDAVVAPDAGEAPEEGEESGIANDSETNAALGAEGEPSRALTMRELLGELKDSGEPSSGRSTLSEGNGIGSAGAERASQDSLQFSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYVSAIERNPEDPDAYYNWALVLQESADNVDPDSSSSKDSLLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAIMNYDKAVQLNWNSPQALNNWGLGLQELSAIVPARDKQTIIKTAISKFRSAIQLQFDFHRAIYNLGTVLYGLAEDTMRSAGPDVSPNDLYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKAGYLTAPPADNTIAPHKHWERSEFVLNHEGLQQVDASESPSSKPLGHMGRSKKYIKVAVEDIVSVSACSDLTLPPGAGLCIETIHGPLFLVADTWESLDGWLDAIRLVYTIFARGKSDVLAGLVLNNGS >ORUFI03G00850.2 pep chromosome:OR_W1943:3:518139:523766:1 gene:ORUFI03G00850 transcript:ORUFI03G00850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSARPTPADSGELPNGAGMAEDAVVAPDAGEAPEEGEESGIANDSETNAALGAEGEPSRALTMRELLGELKDSGEPSSGRSTLSEGNGIGSAGAERASQDSLQFSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYVSAIERNPEDPDAYYNWALVLQESADNVDPDSSSSKDSLLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAIMNYDKAVQLNWNSPQALNNWGLGLQELSAIVPARDKQTIIKTAISKFRSAIQLQFDFHRAIYNLGTVLYGLAEDTMRSAGPDVSPNDLYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKAGYLTAPPADNTIAPHKHWERSEFVLNHEGLQQVDASESPSSKPLGHMGRSKKYIKVAVEDIVSVSACSDLTLPPGAGLCIETIHGPLFLVADTWESLDGWLDAIRLVYTIFARGKSDVLAGIITG >ORUFI03G00850.3 pep chromosome:OR_W1943:3:518139:523766:1 gene:ORUFI03G00850 transcript:ORUFI03G00850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSARPTPADSGELPNGAGMAEDAVVAPDAGEAPEEGEESGIANDSETNAALGAEGEPSRALTMRELLGELKDSGEPSSGRSTLSEGNGIGSAGAERASQDSLQFSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYVSAIERNPEDPDAYYNWALVLQESADNVDPDSSSSKDSLLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAIMNYDKAVQLNWNSPQALNNWGLGLQELSAIVPARDKQTIIKTAISKFRSAIQLQFDFHRAIYNLGTVLYGLAEDTMRSAGPDVSPNDLYSQSAIYVAAAHALKPNYSLPLPYLKAGYLTAPPADNTIAPHKHWERSEFVLNHEGLQQVDASESPSSKPLGHMGRSKKYIKVAVEDIVSVSACSDLTLPPGAGLCIETIHGPLFLVADTWESLDGWLDAIRLVYTIFARGKSDVLAGIITG >ORUFI03G00860.1 pep chromosome:OR_W1943:3:527845:528441:1 gene:ORUFI03G00860 transcript:ORUFI03G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRSPGAGSLRFLGLLKQPESGPDGAAAPFELNESDVVWPAGGVGDDGYCCPAPPHPEGPPRAPRRAHTVPQSFGLSSLLANGGRGGGGSDDGRQDGVAVPVRAAAAPGGGAAAPRRSAPVRVPMWPGKGAAANNVVGGEESDDNEDDEMVPPHVVAARRHARSSSVLEGAGRTLKGRDLRRVRNAVLRQTGFLDL >ORUFI03G00870.1 pep chromosome:OR_W1943:3:532151:538294:1 gene:ORUFI03G00870 transcript:ORUFI03G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEERCPGEPTPMDFSWTTGWEKAAADDDEAESAPAPAPPAPSPQEAAESMILVPGPRVVLSGLMRGDCRADDSVLFINAGGSATEGCEPSSKLSEDSFFEGGDAIETSEDIVEGGDYPSLYHSARYGNFSYKIDGLAPGDYFLDLHFAEIVNTYGPKGIRAFDVLILSELDVYAVVGGNRPLQVRDIRVTVESDGAIVINFKGVRGSPMVCGICIRKRVAMAVTDMVTEGNVLCKRCSAHTGNSPLQTRTSKLISKYEKQIEELTNQCNMKSDECYMAWSSVESTNQELERLKIELHQKVMQSDNIEQVVDRQADQLRSVSQKYENAKKLWAAAISNLENKIKAMKQEQTLLSLEAHDCANAVPDLSKMIGAVQTLVAQCEDLKLKYYEEMAKRKKLHNIVEETKGNIRVFCRCRPLSKDETSSGYKCAVDFDGAKDGDIAIVNGGAAKKTFKFDRVYMPTDNQADVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTERNRGVNYRTLEELFKIAEERKETVTYSISVSVLEVYNEQIRDLLASSRSSKKLEIKQASEGSHHVPGIVEAKVENIKEVWDVLQAGSNARAVGSNNVNEHSSRSHCMLCIMVRAENLMNGECTRNLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALATKNSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSNNDVSETLSSLNFASRVRRIELGPAKKQVDTAELQKVKQMLERAKQDIRLKDDSLRKLEDNCQNLENKAKGKEQFYKNLQEKVKELESQLDSKMHSQITSEKQQNELFGKLKEKEEMCTTLQQKIAEESEHKLRLQQQSESEIKELELKLKEQEHHRSVAESKAMEIGQELLETQRTEAMLQIKPRDLENNLQERTTLQDTNMILDSTNCMRVASTPGEAKAHLLTREEAMSEKEQHILRSSDSMNKKVTNNSSIVGAPEVVNEKKRKGDARNSSIGGELENQPVGSQNASRKRSLQGEPRLKRKSTEPLKNPGRVTATSKTAAATHKTGPVTRATRQQPTVNKTRGWVR >ORUFI03G00870.2 pep chromosome:OR_W1943:3:532151:538294:1 gene:ORUFI03G00870 transcript:ORUFI03G00870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEERCPGEPTPMDFSWTTGWEKAAADDDEAESAPAPAPPAPSPQEAAESMILVPGPRVVLSGLMRGDCRADDSVLFINAGGSATEGCEPSSKLSEDSFFEGGDAIETSEDIVEGGNRPLQVRDIRVTVESDGAIVINFKGVRGSPMVCGICIRKRVAMAEQVVDRQADQLRSVSQKYENAKKLWAAAISNLENKIKAMKQEQTLLSLEAHDCANAVPDLSKMIGAVQTLVAQCEDLKLKYYEEMAKRKKLHNIVEETKGNIRVFCRCRPLSKDETSSGYKCAVDFDGAKDGDIAIVNGGAAKKTFKFDRVYMPTDNQADVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTERNRGVNYRTLEELFKIAEERKETVTYSISVSVLEVYNEQIRDLLASSRSSKKLEIKQASEGSHHVPGIVEAKVENIKEVWDVLQAGSNARAVGSNNVNEHSSRSHCMLCIMVRAENLMNGECTRNLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALATKNSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSNNDVSETLSSLNFASRVRRIELGPAKKQVDTAELQKVKQMLERAKQDIRLKDDSLRKLEDNCQNLENKAKGKEQFYKNLQEKVKELESQLDSKMHSQITSEKQQNELFGKLKEKEEMCTTLQQKIAEESEHKLRLQQQSESEIKELELKLKEQEHHRSVAESKAMEIGQELLETQRTEAMLQIKPRDLENNLQERTTLQDTNMILDSTNCMRVASTPGEAKAHLLTREEAMSEKEQHILRSSDSMNKKVTNNSSIVGAPEVVNEKKRKGDARNSSIGGELENQPVGSQNASRKRSLQGEPRLKRKSTEPLKNPGRVTATSKTAAATHKTGPVTRATRQQPTVNKTRGWVR >ORUFI03G00880.1 pep chromosome:OR_W1943:3:540170:540886:1 gene:ORUFI03G00880 transcript:ORUFI03G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLATVDDGRHAMAASIRARARLGVRAFYLRLSSPAPAELTLVYLPAIGGAALELGGRALPPAAPAEVPLRRVGAGGTDAAAYASADRVCVAEGARFEVYAAGKDLVADGVFSRRRGGGGGWRVECRRAAAAAAVAEVVVLEEGGALMRATAKASARGLGCGGTRLEGIPEEGWGCDCECGTCGDDEWEVVGDDGELDTPEMEAETLTWALEMGAWAVCVGVGLLATARRFRRKRAFW >ORUFI03G00890.1 pep chromosome:OR_W1943:3:542171:544546:-1 gene:ORUFI03G00890 transcript:ORUFI03G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRKNLRRACSEGAVTLGEGESIMQVLALRGSNVIEVMDAKGVKSLALFPAKFQKSFWIKNGNFVVVDASGRDQALESGSKIACAVSQVLFHDQVRAMEKSEEWPAIFKSTSKEGSEAGTQEGTRPQIEEEPDSDEDDDLPPLEANTNRQRPFELYADSESDSDS >ORUFI03G00900.1 pep chromosome:OR_W1943:3:546590:555860:1 gene:ORUFI03G00900 transcript:ORUFI03G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGSVRRTAASSSPAAAAVPTAFTASPGDYRLLEEVGYGANAVVYRAVFLPSNRTVAVKCLDLDRVNSNLDDIRKEAQTMSLIDHPNVIRAYCSFVVDHNLWVIMPFMSEGSCLHLMKVAYPDGFEEPVIASILKETLKALEYLHRQGHIHRDVKRNIIQAGNILMDSPGIVKLGDFGVSACMFDRGWLQKFSSLEQDIISTSTWPCSLLEVLLMTLQNAPPGLDYDRDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTVKSILTDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSMSEYQRGVSAWNFDIEDLKAQASLIHDDDPPEIKEDVDNDRINEADKEPFSGNHFGQPKILSGKHFSEQTCVTAVSPGGNMHETSRGLVSEPGDADSERKVDGYRKQGEAAVKLASDKQKSCTKRTTNLSGPLALPTRASANSLSAPIRSSGVYVGSLGDKSKRSVVEIKGRFSVTSENVDLAKVQEVPTSGISRKLQEGSSLRKSASVGHWPVDAKPMSNSHQRKELCNGSVSASVLIPHLRNLVQQTTFQQGLITNLLSSLQQNEKADATQYRLGNMDGDTEVETSISEGERSLLVKIFELQSRMISLTDELITTKLQHVQLQEELKILYCHEEIIDTREVDNA >ORUFI03G00900.2 pep chromosome:OR_W1943:3:546590:555860:1 gene:ORUFI03G00900 transcript:ORUFI03G00900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGSVRRTAASSSPAAAAVPTAFTASPGDYRLLEEVGYGANAVVYRAVFLPSNRTVAVKCLDLDRVNSNLDDIRKEAQTMSLIDHPNVIRAYCSFVVDHNLWVIMPFMSEGSCLHLMKVAYPDGFEEPVIASILKETLKALEYLHRQGHIHRDVKAGNILMDSPGIVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLLMTLQNAPPGLDYDRDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTVKSILTDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSMSEYQRGVSAWNFDIEDLKAQASLIHDDDPPEIKEDVDNDRINEADKEPFSGNHFGQPKILSGKHFSEQTCVTAVSPGGNMHETSRGLVSEPGDADSERKVDGYRKQGEAAVKLASDKQKSCTKRTTNLSGPLALPTRASANSLSAPIRSSGVYVGSLGDKSKRSVVEIKGRFSVTSENVDLAKVQEVPTSGISRKLQEGSSLRKSASVGHWPVDAKPMSNSHQRKELCNGSVSASVLIPHLRNLVQQTTFQQGLITNLLSSLQQNEKADATQYRLGNMDGDTEVETSISEGERSLLVKIFELQSRMISLTDELITTKLQHVQLQEELKILYCHEEIIDTREVDNA >ORUFI03G00910.1 pep chromosome:OR_W1943:3:559653:561235:-1 gene:ORUFI03G00910 transcript:ORUFI03G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGAMSGGGVVVAVAYAALAVVALRMALSYKSALYAVRRLWRWADEWAQAYQYHEVPRFACDGGGAENPLFRKAAQYVAALPSLEDADAASLGPGHTARDAFLGARLAWTNRGDVLVLRVRRHDRTRVLRPYLQHVESVADEMELRRRELRLFANTGVDGSTGTPRWASAPFTHPATLDTVAMDPDLKARVRADLENFLKGRAYYHRLGRVWRRSYLLYGPPGTGKSTFAAAMARFLGYDIYDVDLSRAGSDDLRALLLHTTPRSLILVEDLDRFLQGGGAGDAEARAARVLSFMDGVASCCGEERVMVFTMRGGKESVDAAVVRPGRLDVHIHFTLCDFEAFKALASNYLGLKDHKLYPQVEESFHGGARLSPAELGEIMLANRSSPSRALRNVITKLQHVSGAAAAPRPPYRRNTSWSGAGGPWEEQAARASADAADGGEEAITATAACGVFAKDAPMREFKKLYGLIKIRSRKEGSGGFMPLHGGEAPSPANGRGSEHDKER >ORUFI03G00920.1 pep chromosome:OR_W1943:3:567254:570858:-1 gene:ORUFI03G00920 transcript:ORUFI03G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAERSVLIIRGRLLGATVGGAAVPAGGTSFGSAPRGETKATLLGAYRMIPREVEWIMTVLNFDFSLLLDLCKKAQPMQPCEVL >ORUFI03G00920.2 pep chromosome:OR_W1943:3:567254:570858:-1 gene:ORUFI03G00920 transcript:ORUFI03G00920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAERSVLIIRGRLLGDALIALCNAGGCTCGGFKGRRLEEQRCPQVATLLGAYRMIPREVEWIMTVLNFDFSLLLDLCKKAQPMQPCEVL >ORUFI03G00920.3 pep chromosome:OR_W1943:3:567254:570858:-1 gene:ORUFI03G00920 transcript:ORUFI03G00920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAERSVLIIRGRLLGSRAGLGSLRGHASLKSLENVMAELVLHALLHATLLGAYRMIPREVEWIMTVLNFDFSLLLDLCKKAQPMQPCEVL >ORUFI03G00930.1 pep chromosome:OR_W1943:3:571650:575709:1 gene:ORUFI03G00930 transcript:ORUFI03G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYLVFGGLAAVVAGLELGKSAKDRVTTSQAFNSFKNNYVLVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCISYILSCITKHSPEYKILMIGRRGFDSQWLSITFSKAIFLGNGLVAIVSGLFANLLADNLGFGPVAPFDAAACFLAIGMAIIMSSWSENYGDPSESKDLMSQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLSSMLGSSIAARLLARKLKVEGYMQIVFTISAFTLFLPVVTNILVPTSSVKGGSISFGGTLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFMAAILQRRLMVVSDLHKSSTKAQEMVDEDEPLNP >ORUFI03G00940.1 pep chromosome:OR_W1943:3:577792:578376:-1 gene:ORUFI03G00940 transcript:ORUFI03G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRLFSSGSGTRDDRTDASGRRLYNPYQDLNIPYKQLYDLPTSPEFLFQEESLAQRRSWGENLTYYTGIGYLSGAVAGAAVGLRDAARNAEPGDTTKIRANRVLNSCGSNGRRMGNTLGVIGLLYAGIESGMVAVRDRDDWINSVTAGLGTGALFRAANGPRSAAVAGAIGGVLAGAAMAGKQAAKRYVPAL >ORUFI03G00950.1 pep chromosome:OR_W1943:3:579987:582902:1 gene:ORUFI03G00950 transcript:ORUFI03G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASALASLVAAAAVALLLLIDGCGGAMYKVGDLDAWGIPPPSKPDVYSRWAKSIHFALGDSIWFLYPPSQDSVVQVTPVAFAACQASDPVLKLDDGNSVFNLTTPGRVYYISAAPGHCRKGQRLAVDVPMANGTYLPPTANDLAAFAPMPAEAPAGFESAALGPAGSRQSAAPRAAAAGGAGSVLLAALAFAVFLLCLIVSVSWTASPRQKRFDGPGIDNDIGHQFDLAIHRLCPLLALLPAQL >ORUFI03G00950.2 pep chromosome:OR_W1943:3:579987:581306:1 gene:ORUFI03G00950 transcript:ORUFI03G00950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASALASLVAAAAVALLLLIDGCGGAMYKVGDLDAWGIPPPSKPDVYSRWAKSIHFALGDSIWFLYPPSQDSVVQVTPVAFAACQASDPVLKLDDGNSVFNLTTPGRVYYISAAPGHCRKGQRLAVDVPMANGTYLPPTANDLAAFAPMPAEAPAGFESAALGPAGSRQSAAPRAAAAGGAGSVLLAALAFAVFLL >ORUFI03G00960.1 pep chromosome:OR_W1943:3:582076:586661:-1 gene:ORUFI03G00960 transcript:ORUFI03G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAERHRRRRRRAHTADEAAAVLRKAWCRLRLSARDPSRVPPWDAVVLTAASPEQAALYDRQLARARRLGRFPASTAALAVPDPDAARIGSGAATLHAVASLVRHLIAQASKEEIAELLPEASDSSADDIPLSSVVRFMANKHILLLHAGGDSKRVPWANPMGKAFLPLPYLAGDNPDGPVPLLFDHILAISSSARQAFKNQGGIFIMTGDVLPCFDASNLVLPDDAACIVTVPTTLDVAANHGVVVAAKDGTDGENYSLCLVDNLLQKPTVHELVEGQAIRDDGRALLDTGIISARGKAWQELVRLAYSSSHVMIKELITGRKEMSLYEDLVAAWVPSRHEWLRTHPFGMELIAALGKHRMFSFCSYDFSFLHFGTSAEVLDHLAGSYSGLVGRRHMSSIPETTACDIAATAVILSSKISAGVSVGEDSLVYDSSLSGRIRIGSQCIVVGVNIHELHGNKSQIISTSSYFTLPDRHCLWEVPLVNSVERVMVYCGLHDNPKVSMKKDGTFCGKPWRNVLEHLKIQDTDLWSSTNEDNCLWNAKLFPVMSLPETLKVGMWLMGSTCDLDGKVASLWKESRRISLEELHRSIDYHQLCVNSSKHQADLATNIARACMTYGLLGRNLFQLCEEMLQKENSCVEVCNELLSLCPSHGDQYSGVLPQSRRYQVKMDLLTASGDLSTAAIVEDKVWASIASETASAIKYGSKEPSSDSKCSSNGNLHPKKAIVELPVRVDFVGGWSDTPPWSLERPGCVLNMAIRLEGNLPVGAMIETTMDHLGVLIEDDAGRNVCIDDLSSITSPFKENDSFRLVKSALIVTGVLNHERLSKLGLNIRTWANVPRGSGLGTSSILAAAVVKGLFQLIEGDESDATVARAVLVVEQVMGTGGGWQDQIGGLYPGIKCTQSFPGQPLRLHVVPLLASPQLIQELQQRLLVVFTGQVRLAHRVLQKVVTRYLRRDSLLISSIKRLAELAKIGREALMNGEIDELGGIMSEAWRLHQELDPFCSNKLVDELFAFADPYCCGYKLVGAGGGGFALMLGKNLNSAKELRQALENSATFDVKVYNWNVAMTP >ORUFI03G00970.1 pep chromosome:OR_W1943:3:587524:589212:-1 gene:ORUFI03G00970 transcript:ORUFI03G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRPASAAGQGESSSSSGAMAVYAAGGVEKPRFEALMPSEMSGGRPQFRKVPVPQHRFAPLKKAWMDIYTPVYEHMKIDIRMNLKARRVELKTRQDTPDVSNLQKCADFVHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERY >ORUFI03G00980.1 pep chromosome:OR_W1943:3:590797:592334:-1 gene:ORUFI03G00980 transcript:ORUFI03G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGRRHKPPSSAPEAEQPEALSRILRTEAAVSGVSRKAAAASRQQSTRLWPRAVLEALDSAVASCRWEPALEIFELLRKQQWYKPRSQTYARLLMMLGKCRQPGAATALFKVMLSERLKPTVDVYTALVGAYGYSGLLDQALATVEQMKGVADCKPDEYTFSVLINCCSKLRRFDRIPAILDEMSYLGLQCNAVIHNAIIDGYGKAGMLEEMENALTSMLEDGDSVPDIYTMNSIIWAYGNHGNRIHEMERWYSEFQLMGVEPDTQTFNIMIKSYGNAKMHDKMMSVLKYMKKHFFSPTVVTFNIIIESFGRAGNIEKMEYYFRLMKIQGVKPNPITYCSLVNGYSKAGFLDKVPGIIRQTENTDVVLDTPFFNCVIDAYAKSGDIKIMEEMLQLMKEKKCKPDKVTYTTMIQAYNVHGMDEAANLLKMEVGMVDGKLLESVSEVDKK >ORUFI03G00990.1 pep chromosome:OR_W1943:3:600042:606094:1 gene:ORUFI03G00990 transcript:ORUFI03G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMARPRFEEDDEDEFFDSREVMSPASVSSPASSGRYDGPMLEVWATDPCSVHERRQRFIKSLGLSDSSPSAGGGGDRPDEEPCSRSSAAEEILPCSPTVELVSAVPSFACRGEEPGASGGGGGAEVLDCVFKNLDDGTVFVVDEMGKDGSFRSLRDRRSNRTVTAAEFERTYGSSPFICELMRRVDDSDESSAVEKALVRGRRRRRRFGWLRRLGIRGCVVDVEEDDETNSTSSSSCRSCSGKVDRVKVRHYKKRSKELSAVYRGQDIKAHEGAIVTMKFSSDGQYLATGGEDGVVRVWRVVEGERPNELDFAEDDPSCVFFTVNENSELAPVNSSEGSKSKHYKNSKVSTDPACVVIPHRTFALSQEPVHEFYGHDDAILDLSWSKNRDLLSSSMDKTVRLWQVGCNSCLKVFSHTNYGKPFPPLSTRFSTYLFPASDADILIFVYYAVTCVQFRPTSDNYFISGCIDGLVRIWDVRRCQVVDWADTKEIITAVCYRPDGKAAVVGTITGNCRHYDASENHLELESQVALNGRKKSPLKRIIGFQYCPSDPKKLMVTSGDSQVRILDGLHVISNYKGLRSSSQVAASFTPDGDHIISASDDSSIYMWNYANQIAPVTNHVKTVWSNEHFSCHDVAIAIPWNASQTRNSISLACSITSSRQEVLDEFHNEHDSSSCSHTEDSPDGDSLYQLPSGNFTLSSAFFAESAPRGSATWPEEQLPSNSTTQSTLRKSQYKFLKASCQNAATHAWGQVIVAAGWDGYIRSFQNYGLPVQV >ORUFI03G00990.2 pep chromosome:OR_W1943:3:600042:606079:1 gene:ORUFI03G00990 transcript:ORUFI03G00990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMARPRFEEDDEDEFFDSREVMSPASVSSPASSGRYDGPMLEVWATDPCSVHERRQRFIKSLGLSDSSPSAGGGGDRPDEEPCSRSSAAEEILPCSPTVELVSAVPSFACRGEEPGASGGGGGAEVLDCVFKNLDDGTVFVVDEMGKDGSFRSLRDRRSNRTVTAAEFERTYGSSPFICELMRRVDDSDESSAVEKALVRGRRRRRRFGWLRRLGIRGCVVDVEEDDETNSTSSSSCRSCSGKVDRVKVRHYKKRSKELSAVYRGQDIKAHEGAIVTMKFSSDGQYLATGGEDGVVRVWRVVEGERPNELDFAEDDPSCVFFTVNENSELAPVNSSEGSKSKHYKNSKVSTDPACVVIPHRTFALSQEPVHEFYGHDDAILDLSWSKNRDLLSSSMDKTVRLWQVGCNSCLKVFSHTNYGKPFPPLSTRFSTYLFPASDADILIFVYYAVTCVQFRPTSDNYFISGCIDGLVRIWDVRRCQVVDWADTKEIITAVCYRPDGKAAVVGTITGNCRHYDASENHLELESQVALNGRKKSPLKRIIGFQYCPSDPKKLMVTSGDSQVRILDGLHVISNYKGLRSSSQVAASFTPDGDHIISASDDSSIYMWNYANQIAPVTNHVKTVWSNEHFSCHDVAIAIPWNASQTRNSISLACSITSSRQEVLDEFHNEHDSSSCSHTEDSPDGDSLYQLPSGNFTLSSAFFAESAPRGSATWPEEQLPSNSTTQSTLRKSQYKFLKASCQNAATHAWGQVIVAAGWDGYIRSFQNYGLPVQV >ORUFI03G00990.3 pep chromosome:OR_W1943:3:600042:606105:1 gene:ORUFI03G00990 transcript:ORUFI03G00990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMARPRFEEDDEDEFFDSREVMSPASVSSPASSGRYDGPMLEVWATDPCSVHERRQRFIKSLGLSDSSPSAGGGGDRPDEEPCSRSSAAEEILPCSPTVELVSAVPSFACRGEEPGASGGGGGAEVLDCVFKNLDDGTVFVVDEMGKDGSFRSLRDRRSNRTVTAAEFERTYGSSPFICELMRRVDDSDESSAVEKALVRGRRRRRRFGWLRRLGIRGCVVDVEEDDETNSTSSSSCRSCSGKVDRVKVRHYKKRSKELSAVYRGQDIKAHEGAIVTMKFSSDGQYLATGGEDGVVRVWRVVEGERPNELDFAEDDPSCVFFTVNENSELAPVNSSEGSKSKHYKNSKVSTDPACVVIPHRTFALSQEPVHEFYGHDDAILDLSWSKNRDLLSSSMDKTVRLWQVGCNSCLKVFSHTNYGKPFPPLSTRFSTYLFPASDADILIFVYYAVTCVQFRPTSDNYFISGCIDGLVRIWDVRRCQVVDWADTKEIITAVCYRPDGKAAVVGTITGNCRHYDASENHLELESQVALNGRKKSPLKRIIGFQYCPSDPKKLMVTSGDSQVRILDGLHVISNYKGLRSSSQVAASFTPDGDHIISASDDSSIYMWNYANQIAPVTNHVKTVWSNEHFSCHDVAIAIPWNASQTRNSISLACSITSSRQEVLDEFHNEHDSSSCSHTEDSPDGDSLYQLPSGNFTLSSAFFAESAPRGSATWPEEQLPSNSTTQSTLRKSQYKFLKASCQNAATHAWGQVIVAAGWDGYIRSFQNYGLPVQV >ORUFI03G01000.1 pep chromosome:OR_W1943:3:608272:611204:1 gene:ORUFI03G01000 transcript:ORUFI03G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAASVGRQCPLEALHLLPTRVFPLTDDLLGCLDICWYFTDTWEQFRISGFIDVIDGSNPEPVKLQLRERAWFGSSVKSRLQYLGPCPGLPIPDDDLIKDAHLDPSAGPVDAFCLLVLDPEKQSFDPAIVILYLGRLFELEKQSKLQICLWIYHGMLFSENANPSSHFLAGYELAELQLPVASERTNFHVQVFIFYKTREPFLKFPYKHLNLFRSTSTSLFRGNFWGTVMCTRVPDLPKGSDKQRFGRSRDTNRSGFLNAHALEPRNRSTTSIRVSDVRIKLHMLFVNRGRKKSQYSTNKSEINGQCK >ORUFI03G01010.1 pep chromosome:OR_W1943:3:614557:616322:-1 gene:ORUFI03G01010 transcript:ORUFI03G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAKYLLGSPGANGFGSKSTAEDVCPDLGCITAIITGATSGIGAETARVLAKRGARVVIPARNVKAAEDMRARIRGECPGADVLVLPLDLSSLASVRAFADRFLSLGLPLHLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLLGRMAETAAATGVQGRIVNVSSSVHSWFAGDWAEYLDLVTRRKIAYDATQAYAVSKLANVLHTKELAVRLKEMGANVTVNCVHPGIVRTRLNRDRDGLVTDLVFLLLSKLLKTIPQAAATTCYVAAHPRLAGVSGRYFADCNEALPSPAATNRHEAERLWQISESMLLCTNKHSKDASAP >ORUFI03G01020.1 pep chromosome:OR_W1943:3:616379:616929:-1 gene:ORUFI03G01020 transcript:ORUFI03G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRISDDSLVEAESEAEAEAERGRTVMVTYSANGLVVIIPSPSAAYLPLFFDRHHHLVPCRPHAARAFYKCGLRSSPSFHFLLLPLFFALSSRRAAPARERTTVSAC >ORUFI03G01030.1 pep chromosome:OR_W1943:3:617659:619739:-1 gene:ORUFI03G01030 transcript:ORUFI03G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKRTAKTVFFSLIESYRSARHLPSKLSQTIEPQCLLLEACGSPLLFHGITDISNGVFDRAIARLPHDLKSCGWISQGGRSLRCQTSISSALATPDCGKFSYSAGGRKSSSFEVAGHSLRWIWMASTDFRDPFVHLILGAVPFLSDALSRVVSGEGGLTHAQIVVIFGGLGGAELAELLEQFVLADSSHASIPNIVSTDSTSINLLLCLNQLSKQQHKSWASKEHAMNPLHHTGNQQATQQGKAGPGKGNEVSFSILTHGIHLKFVRLYHRHHLASLKLKVSWVLGASSISFLCSSIHVEVIVRVEHRLSVRLWSAGMLLVFLRFDDDFHGNHWLSPVKPPTYLRLNSKAQLVPNPWRQCHTPIRHCRTTAPDRSVS >ORUFI03G01040.1 pep chromosome:OR_W1943:3:638217:642127:1 gene:ORUFI03G01040 transcript:ORUFI03G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSARRSLASGLSRHLSRRLHPSVSHLLPSHHDDHSENPSPPAQPPPLPSALRSPSRSQALGLPLPFGLLHASRRSLSTSPRSNDELDASAEVLSDAASSVSVPADVLADAAASVPVSAPAPFPGEVAAAAADSFAPVAALQHLIDGVHSLTGLNWWACIALTSLLIRTLTVPLLLNQMKATVKLNAMRPEIEAINLEMRTSTDPQSMLEGKRKLGELFLRHGVTPLTPLKGLFIQAPIFMSFFFAISNMVEKVPSFKGGGIYWFTDLTTPDELLILPMLTSLTFLVTVELNMQDGMEGNPMLKTMKNFSRVMAVLTIPFTMSFPKAIFFYWVTSNLFSLGYGFVLRKPAVRSFLDLPPIETQFAPAQQPTFNLFGASKSVPAAGSSIAESDRSSSVLSQRFSDLENRAKSRRESQD >ORUFI03G01040.2 pep chromosome:OR_W1943:3:638217:642127:1 gene:ORUFI03G01040 transcript:ORUFI03G01040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSARRSLASGLSRHLSRRLHPSVSHLLPSHHDDHSENPSPPAQPPPLPSALRSPSRSQALGLPLPFGLLHASRRSLSTSPRSNDELDASAEVLSDAASSVSVPADVLADAAASVPVSAPAPFPGEVAAAAADSFAPVAALQHLIDGVHSLTGLNWWACIALTSLLIRTLTVPLLLNQMKATAMRPEIEAINLEMRTSTDPQSMLEGKRKLGELFLRHGVTPLTPLKGLFIQAPIFMSFFFAISNMVEKVPSFKGGGIYWFTDLTTPDELLILPMLTSLTFLVTVELNMQDGMEGNPMLKTMKNFSRVMAVLTIPFTMSFPKAIFFYWVTSNLFSLGYGFVLRKPAVRSFLDLPPIETQFAPAQQPTFNLFGASKSVPAAGSSIAESDRSSSVLSQRFSDLENRAKSRRESQD >ORUFI03G01050.1 pep chromosome:OR_W1943:3:653155:658705:1 gene:ORUFI03G01050 transcript:ORUFI03G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTSMPQVWDEEGVAKGSVVTPAPATALLGSLAGWMSRAVEPPAPRPCGTEGGPPVTATRLRLRDGRHLAYCESGVPKEEARFKVVFSHGFTGSREDSVRASQIRYRTTQGHMASAKLAPPTYPASKNETWPAPEPAGAMELLTTAPMLNCAAKRAGGSALGPLAGALGSWIARAVVPPPPPPRICGSPGGPPVAAPRVRLRDGRHLAYAESGVRKEDARYKVVFSHGFTGSRLDSVRPSPEVAEELGVYMVGFDRAGYGESDPNPNRSVKSAALDVEELADALGLGPKFYVIGISLGCHAVWGALKYIPERIAGAAMMAPVVNYWWPGFPTDLAAEVYNKQEVGDQWALRVSHHAPSILHWWMEQSWLPTSTVMAGTTPLPNKRDAEIRKNMKADGSFQKKMDLATQQGIHESYYRDMMVMFGKWEFDPMSLPKPPCPVHIWQGDEDGLVPVVLQRYLVSRLSWANYHELPGTGHFLSAVPGLGDTVLRTIFG >ORUFI03G01050.2 pep chromosome:OR_W1943:3:653155:658705:1 gene:ORUFI03G01050 transcript:ORUFI03G01050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTSMPQVWDEEGVAKGSVVTPAPATALLGSLAGWMSRAVEPPAPRPCGTEGGPPVTATRLRLRDGRHLAYCESGVPKEEARFKVVFSHGFTGSREDSVRASQEVAEELGVYMVGFDRAGYGESDPNPNRSVKSAALDVEELADALGLGPKFYVIGISLGCHAVWGALKYIPERIAGAAMMAPVVNYWWPGFPTDLAAEVYNKQEVGDQWALRVSHHAPSILHWWMEQSWLPTSTVMAGTTPLPNKRDAEIRKNMKADGSFQKKMDLATQQGIHESYYRDMMVMFGKWEFDPMSLPKPPCPVHIWQGDEDGLVPVVLQRYLVSRLSWANYHELPGTGHFLSAVPGLGDTVLRTIFG >ORUFI03G01060.1 pep chromosome:OR_W1943:3:662601:665400:1 gene:ORUFI03G01060 transcript:ORUFI03G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHADVEKGARRKEVGKVPSPLYPQHEGEREWVPWIVPSFLVANIVVFVLTMYANNCPLHTPPRSGKCIARFLGRFSFQPLHENPLLGPSSATLQKMGALVWDKVVHEHQGYRLITSIWLHAGVLHLVANMLSLIFIGLRLEQQFGYVRIGAIYLLSGLGGSVLSSLFIRNHISVGASGALFGLLGAMLSELLTNWTIYTNKVAAVITLLFVIAVNLALGILPHVNNFAHIGGFLTGFLLGFVLLMRPHFGWMERYSLPSGSPCSSKKYLVYQWILLAIATALVIVGFAVGMTMLFRGENANDSCHWCHYLSCVPTSRWTCSN >ORUFI03G01070.1 pep chromosome:OR_W1943:3:665937:669567:-1 gene:ORUFI03G01070 transcript:ORUFI03G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGEVPAAAAEAVANGLGGAEPSPAPVSAEQLDVEAYAAQYTGRTRLARLLFIAERCGVEAVELEALRMAYDEIKRGEDTMFHREVTNKINGRLGPKYALDQAWTDSVNRRAEQRKEKLESELNGYRTNLIKESIRMGYNDIGDFFYAHGHLSDAFKSYIRTRDYCTTSKHIVQMCMNVILVSIELGQFPHVSNYVSKAEQTPDTLDPIIVAKLRAAAGLAYLATKKYKLAARKFVETGHELGNNYSEVIAPQDVAVYGALCALASFDRSDLKSKVIDNSNFRNFLELVPEVRELVNDFYSSRYGSCLEHLEKLKTNLLLDIHLHDHVETLYMDIRHKAIIQYTLPFISVDLNTMAAAFMTSVSMLEKELAALITENKIQARIDSHNKILYARHADQRNATFQRVLQTGNEFERDVKSLLLRANLIKHDFNQRAGQRKM >ORUFI03G01080.1 pep chromosome:OR_W1943:3:673191:675539:1 gene:ORUFI03G01080 transcript:ORUFI03G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVSDLAAGMEAVGKVAGGAGLGELPELCAAEVLLHLDAPEICRLARLNRAFRGAAAADFVWEAKLPENYGYLLDFVDGAMEGGGGGRERSVMGKKEVYARLAKAVPFDGGKKELWLEKSKGGVCIALSSKSLVITGIDDRRYWSHMPTTESRFHSVAYLQQIWWFEVVGELEFCFPAGTYSLYFRLHLGKASTRFGRRVCSSEQIHGWDKKPVRFQLSTSDGQHALSQCYLDEPGSWILYHVGDFVASTTEQPIKLKFSLAQIDCTHTKGGLCVDSVLIYPKGFQQEKVISSQK >ORUFI03G01090.1 pep chromosome:OR_W1943:3:676408:679608:1 gene:ORUFI03G01090 transcript:ORUFI03G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVEMEVDDPRGWDFVDWVGPDASASIFRSLDDPADIVRAAAVSRSWRRFVVENEFSKSICLRICPEIANFTSAEEVSRSPPQPPHAESSHGVQRKALERDYRIYSYLSGALVSNSPSMDCILQCIGASSTDNFPDETIENTLIPHDRVKHRPSYWSSGGHDDPDTPETLTYRLNCDMCIVDEIKLQPFKAYFQYGHPIYSSKAVRFRMGHSKLPHGSDSFVTVEDENLMAIADENYVWTYTSPEFPMLQENVLQSFKLPRPVLCIGGIVKVELLGRVQKQEADDRYYICICHAQVRGRSLSPVFMVDTSDPAGYSVLKYLPDAKILRSEDAMLDDGSESLEWHSLVARYRRMRHLAIMNVLLGPEEFMDEDDIIGGVLMDEDDLGGMLEDDPFV >ORUFI03G01100.1 pep chromosome:OR_W1943:3:680751:683080:-1 gene:ORUFI03G01100 transcript:ORUFI03G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLNLRFPVISVFRTKAWLLYATLFIPEHPERLAGEMQQQRSSAQRRCRHLRSQSSSTVTCSEGKIWWYIEKVVIIGATREIGRTAIVAVSKARGMELAGAIDSQCVGLDAGEINGMEEALEIPVLNDLTMVLGSIAQVSYPPTIWGKKLSYIVKWDCEAAAFGLNSVVYVPNIELDTVTELSAFCEKASMPGRICHHKMQYRLQIIYQILVRYTTGKISDNPARGQILGEDGVRVHSMVLPGLASSTSINFSGPGEIYTLRHDVTNVQCLMPGLILAIRKVVRLKNLIYGLEKFL >ORUFI03G01110.1 pep chromosome:OR_W1943:3:683360:688213:1 gene:ORUFI03G01110 transcript:ORUFI03G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWMMLIHGPKPKLALTENGWPSPTATRCQNPNIGTGIRGTCRGSRVQTPEAAALFGLLCVLAIAVVEGRKKSRRDHGHDEHCCEIYQEMSLWKHPAAAGDGEVVETVVELLARVRGMVPPALGAAGAAEGFPGRWKAIAAKLEGLPACLSDLSSHPCFAKNALCRELLQSVAATLAEAAELAARCREPPAAGKLQMQSAIDALAGKLDLNLRDCALLVKTGVLSDAFTPPPPTDEATSTATAAQADVRELLARLQIGHTEAKSLAVDGLLEALNKDEKSVLSVLGRANVAALVQLLTAPATKVREKAATVICQLAESGGCEGLLVSEGALPPLIRLAESGSLLGREKAVITLQRLSMSSDTARAIAGHGGARPLIEMCQTGDSISQSAAAGALKNLSAVPEVRQALADEGIVRVMVGLLDCGTVLGSKEHAADCLQNLTSSSDSFRRAVVSDGGLRSLLVYLDGPLPQESAVSALRNLVSAVSPDSLVSLGVLPRLAHVLRVGSTGAQQAAAAAICRISTTTDMKRVVGEHGCVPLLVRMLDAKSNGAREVAAQAMASLVGYPPNAREVRRDGKSVPCLVQLLDPSPANTAKKYAIACLLSLAAAKRCKKLMISHGAIGYLKKLSDMDVAGAKKLLERLERGKLRSLFSRD >ORUFI03G01110.2 pep chromosome:OR_W1943:3:680947:688213:1 gene:ORUFI03G01110 transcript:ORUFI03G01110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTALFGLLCVLAIAVVEGRKKSRRDHGHDEHCCEIYQEMSLWKHPAAAGDGEVVETVVELLARVRGMVPPALGAAGAAEGFPGRWKAIAAKLEGLPACLSDLSSHPCFAKNALCRELLQSVAATLAEAAELAARCREPPAAGKLQMQSAIDALAGKLDLNLRDCALLVKTGVLSDAFTPPPPTDEATSTATAAQADVRELLARLQIGHTEAKSLAVDGLLEALNKDEKSVLSVLGRANVAALVQLLTAPATKVREKAATVICQLAESGGCEGLLVSEGALPPLIRLAESGSLLGREKAVITLQRLSMSSDTARAIAGHGGARPLIEMCQTGDSISQSAAAGALKNLSAVPEVRQALADEGIVRVMVGLLDCGTVLGSKEHAADCLQNLTSSSDSFRRAVVSDGGLRSLLVYLDGPLPQESAVSALRNLVSAVSPDSLVSLGVLPRLAHVLRVGSTGAQQAAAAAICRISTTTDMKRVVGEHGCVPLLVRMLDAKSNGAREVAAQAMASLVGYPPNAREVRRDGKSVPCLVQLLDPSPANTAKKYAIACLLSLAAAKRCKKLMISHGAIGYLKKLSDMDVAGAKKLLERLERGKLRSLFSRD >ORUFI03G01120.1 pep chromosome:OR_W1943:3:689116:690476:-1 gene:ORUFI03G01120 transcript:ORUFI03G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLDATRAELGLVVLYLNKAEARDKICRAIQYGSKFISNGQPGTAQDVDRSTTLARKVFRLLKWVNDLHGLISPPAKGTPLTLVLLGKSKNALLSTFLFLDQFVWLGRTGIYKNKERTDRIVRISLYCWMASSVCAGLVELGELKRLSKSMRKLARELRDTDKYENDQYKSKMKQSDERLLALVKAAMDVVVAVGLLQLSPKKITPRVTGAFGFVTSLISCYQQLPSRAPAIKVKA >ORUFI03G01130.1 pep chromosome:OR_W1943:3:691017:693465:1 gene:ORUFI03G01130 transcript:ORUFI03G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYRNHLAFYHTNGSLDWGSLLCATDMASSLVSRTHLTPRPAAAASTASPCSARFAVRRRRGLVGGVRCQAQASDMDGHYMRRCVELARKAAGHTSPNPMVGCVVVRDGRVVGEGFHPKAGQPHAEAFALRDAGDLAENATAYVSLEPCNHYGRTPPCTEALINAKVKDVVVGMTDPNPIVASKGIERLRSAGIDVRLCVDEEASCRKLNEAYIHRMLTGKAFATLRTTLSMNGVVINQIGSGADQPEGYYSQLLKEYDGVIISSNFAKTNTLPVSREAGAKQPLYIIIAQGENSQLNIPFLKEERAAEAVVLSDSPITVEPAGVDVLVLDQMNLDAILQLLAQRGLCSVLVDFREAGGGIASLLNNFQDDKLVQKVVVELLPVWAVSKGPSDLAFGGSQSFPLKDVEHSEVNGSVLLQGYV >ORUFI03G01140.1 pep chromosome:OR_W1943:3:694956:695894:1 gene:ORUFI03G01140 transcript:ORUFI03G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESECVAVAEPPHVHVHLHPDGTEPLAHIAVDYCPEACHHASEDGEIHVTYDDRGGARWRSRCRFLPGGAVAATIRAPAGDTAGLNYNLYLSSLEGSRDMDEIDFEFLGHDKCAVQTNFHVAGGGGREQIHVLPFDSSDGFHHYAIAWGADAIEWRIDGELIRREERVAGEPWPEKPMFLYASVWDASHINDGKWTGTYHGRDAPYVCSYRDIRVPLALSLEDEEDPYKCACVGDASAAIAAADAAEQVDAGDAPAAAAAADAAEEVDAGDAPAATAATDVAEQVDAGDVPASAAAADAVKEVDAGAGKD >ORUFI03G01150.1 pep chromosome:OR_W1943:3:698325:702316:1 gene:ORUFI03G01150 transcript:ORUFI03G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVALLSAASPSTSSAAPRLRHVARRRPSRRSACPRSAASRLSIMAALGEDPIRQWILTEGKATKITGVSSIGGGCINSAQCYKTDASSFFVKTNGRIGPSMFEGEALGLKAMYDTNSIRVPLPYKVGSLPTGGSFIIMEFIEFGCSRGDQSALGRKLAEMHKAAKSDKGYGFYVDNTIGSTPQINTWTADWIEFYSKHRLGFQLELITQRFGDSAIYDKGQRLIENMHPLFEGAVMEPCLLHGDLWSGNISSDTNGEPVILDPACYYGHNEAEFGMSWCAGFGGEFYSSYFEVMPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLKA >ORUFI03G01160.1 pep chromosome:OR_W1943:3:702654:703256:1 gene:ORUFI03G01160 transcript:ORUFI03G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDESLGTQPLTGRRVRADTRHPVYRGIRLRSGKWVSEIREPGKSSRIWLGTYPTPEMAAAAYDAAALALRGADAALNFPGTATSRPAPASGSPDDIRAAAAAAAAMIGSGHRGNQRAADASTSRAATAAPEAAVAAGAGDQKRVVDEDDVFEMPRLLVSMAEGLMMSPPRLSPSTDGVGGVSPEDDEDEDGMSLWNHS >ORUFI03G01170.1 pep chromosome:OR_W1943:3:709708:713538:1 gene:ORUFI03G01170 transcript:ORUFI03G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPARRLTPLTLRDFLEQSSSEGFRAYPRFPVADEGVAGGDLAPPVRLLIEAGLRRSPSRLPSFYNFFHKSPGTLAKISRLSRSLSRRFRDGLWRRRGEDDGEEDDDIAVDERDSLGLPSPVVSSCSSSECEYMAESEAELATTEEEKCASASSASEYESVGTSQSSTGSVAFHGAADAGGDGHKEDVGDEPVGRKLEMEDKQQLSPVSVLDFPFDDDDGEEGSDAGMCSPSFQQCLAELQRSKAELLHKIRRLEGLTQVVVPVDLEAQFTESDSSERTHLNANSTSSSDDTATTAPTTPRQCTDDQDVVNHGEEEEEHSLLARLLESVVVTDEVSEWLLLDFFAEGVDRLRSSASSCPLNDCEEAALLRAAGDWARGAGQRWGVGDVVFSGWAAVADMERSRRWMCVAEEERDVGAEVDGLVMDALVDELVADLALGGATTVGVEVCTCRR >ORUFI03G01180.1 pep chromosome:OR_W1943:3:714680:718528:1 gene:ORUFI03G01180 transcript:ORUFI03G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEEQGRRLFGVSLTDRPRWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSFGWYFTFVQGFVYLGLIRLQGFTVKQMVNPWRTYVRLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFHEYISAVMLVIGLILFTLADAQSSPNFSMIGVAMVSGALVMDAFLGNLQEAIFKMNPDTTQMEMLFCSTVVGLPFLVVPMVLTGELMRAWTACSQHMYVYAVLVFEAMATFVGQVSVLSLIALFGAATTAMVTTARKAVTLLLSYLIFTKPLTEQHVTGLLLISMGIVLKLLPENKENVPRRQVRKTVQHWDDKQREIREVEEEKAPLV >ORUFI03G01180.2 pep chromosome:OR_W1943:3:715094:718528:1 gene:ORUFI03G01180 transcript:ORUFI03G01180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEEQGRRLFGVSLTDRPRWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSFGWYFTFVQGFVYLGLIRLQGFTVKQMVNPWRTYVRLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFHEYISAVMLVIGLILFTLADAQSSPNFSMIGVAMVSGALVMDAFLGNLQEAIFKMNPDTTQMEMLFCSTVVGLPFLVVPMVLTGELMRAWTACSQHMYVYAVLVFEAMATFVGQVSVLSLIALFGAATTAMVTTARKAVTLLLSYLIFTKPLTEQHVTGLLLISMGIVLKLLPENKENVPRRQVRKTVQHWDDKQREIREVEEEKAPLV >ORUFI03G01190.1 pep chromosome:OR_W1943:3:721297:724881:1 gene:ORUFI03G01190 transcript:ORUFI03G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEDSVGTLQQQRKGRKAQSKRLGEAAKASELGGGPASNQPPAPNGGSSRSSSFAHRCRFCPPLLRLIPSPPLPPTSPPPLPPLADPTYSRRRRRIGRQTEGGLTLMEQYEKEEKIGEGTYGVVYRARDKVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMHHGNIVRLHDVIHSEKRIYLVFEYLDLDLKKFMDSCPEFAKNPTLIKSYLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRQYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVSSLPDYKSAFPKWQAQDLATIVPTLDPAGLDLLSKMLRYEPNKRITARQALEHEYFKDLEMER >ORUFI03G01190.2 pep chromosome:OR_W1943:3:721332:724883:1 gene:ORUFI03G01190 transcript:ORUFI03G01190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGNIVRLHDVIHSEKRIYLVFEYLDLDLKKFMDSCPEFAKNPTLIKSYLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRQYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVSSLPDYKSAFPKWQAQDLATIVPTLDPAGLDLLSKMLRYEPNKRITARQALEHEYFKDLEMER >ORUFI03G01200.1 pep chromosome:OR_W1943:3:726231:726599:1 gene:ORUFI03G01200 transcript:ORUFI03G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRQQHKGSWSWTSRVAAAADAASWCLALSLVALLLVCSLGPGGAAGGEQRGGVAAVLRGAALSARACEEIYVVAEGETLHSISDRCGDPYILEQNPHVHDPDDVFPGLVIKITPSKPR >ORUFI03G01210.1 pep chromosome:OR_W1943:3:728067:731318:1 gene:ORUFI03G01210 transcript:ORUFI03G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCHSAHTRTFGKIGRRNAAKVLCSTQMPPSQSTIKVVIIGATKEIGRTAIAAVSKARGMELAGAIDSQCIGLDAGEISGMEEALEIPVLNDLTMVLGSIAQTRATGVVVDFSEPSTVYDNVKQAAAFGLNSVVYVPKIELDTVTELSAFCEKASMGCLVTPTLSIGSVLLQQAAIQASFHYNNVEIVESRPNPSDLPSQDAIQIANNITDLGQIYNREDMDSDNPARGQILGEDGVRVHSMVLPGLASSTSIHFSGPGEIYTLRHDVTNVQCLMPGLILAIRKVIRLKNLIYGLEKFL >ORUFI03G01210.2 pep chromosome:OR_W1943:3:728176:731318:1 gene:ORUFI03G01210 transcript:ORUFI03G01210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCHSAHTRTFGKIGRRNAAKVLCSTQMPPSQSTIKVLTATSSEGKIWWYIEKVVIIGATKEIGRTAIAAVSKARGMELAGAIDSQCIGLDAGEISGMEEALEIPVLNDLTMVLGSIAQTRATGVVVDFSEPSTVYDNVKQAAAFGLNSVVYVPKIELDTVTELSAFCEKASMGCLVTPTLSIGSVLLQQAAIQASFHYNNVEIVESRPNPSDLPSQDAIQIANNITDLGQIYNREDMDSDNPARGQILGEDGVRVHSMVLPGLASSTSIHFSGPGEIYTLRHDVTNVQCLMPGLILAIRKVIRLKNLIYGLEKFL >ORUFI03G01210.3 pep chromosome:OR_W1943:3:728067:731318:1 gene:ORUFI03G01210 transcript:ORUFI03G01210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCHSAHTRTFGKIGRRNAAKVLCSTQMPPSQSTIKVVIIGATKEIGRTAIAAVSKARGMELAGAIDSQCIGLDAGEISGMEEALEIPVLNDLTMVLGSIAQTRATGVVVDFSEPSTVYDNVKQAAAFGLNSVVYVPKIELDTVTELSAFCEKASMGCLVTPTLSIGSVLLQQAAIQASFHYNNVEIVESRPNPSDLPSQDAIQIANNITDLGQIYNREDMDSDNPARGQILGEDGVRVHSMVLPGLASSTSIHFSGPGEIYTLRHDVTNVQCLMPGLILAIRKVIRLKNLIYGLEKFL >ORUFI03G01210.4 pep chromosome:OR_W1943:3:728067:731318:1 gene:ORUFI03G01210 transcript:ORUFI03G01210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCHSAHTRTFGKIGRRNAAKVLCSTQMPPSQSTIKVVIIGATKEIGRTAIAAVSKARGMELAGAIDSQCIGLDAGEISGMEEALEIPVLNDLTMVLGSIAQTRATGVVVDFSEPSTVYDNVKQAAAFGLNSVVYVPKIELDTVTELSAFCEKASMGCLVTPTLSIGSVLLQQAAIQASFHYNNVEIVESRPNPSDLPSQDAIQIANNITDLGQIYNREDMDSDNPARGQILGEDGVRVHSMVLPGLASSTSIHFSGPGEIYTLRHDVTNVQCLMPGLILAIRKVIRLKMLPKIHNLAASSRWKPNTCQQYSGKQPW >ORUFI03G01220.1 pep chromosome:OR_W1943:3:732133:735958:1 gene:ORUFI03G01220 transcript:ORUFI03G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGHRHGSVPPVVLLLLVATVLGSLCLSLTASPEAQKGYNFRFARHARDAPLVSYYNYIVVGGGTAGCPLAATLSERSRVLLLERGGLPYGNRNVSSEYHFADALADTSPRSPAQRFVSEDGVVNARARVLGGGSCLNAGFYTRASSGYVRAAGWDPRLVNASYRWVERELVFRPDVPRWQCALREGLLQAGVTPDNGYTLEHVQGTKIGGTIFDRAGRRHTAADFLRRAHPRRLTLFLRATVSQILFRRTGTSKTDTTKGTATPVAYGVVFTDPAGVRHHVYLRGGAKSEVIVTAGTLGSPQLLMLSGVGPRGELEKHGILPVLDQPRVGQGVADNPMNSVFVPSPVPVALSLVQIVGVSRFGTFIEGVSGSQFGIPLHGRAASRRARSFGMFSPMTGQLGTVPPKERTPEAMRRAAEAMRRLDRRAFRGGFILEKILGPMSTGHVALRSADPDANPAVTFNYFQDPRDVERCVRGIETIERVVRSRAFARFTYANVTAMEAAVLGRRAGHLPVNLLPRRATDTRPLQQYCRETVMTIWHYHGGCHVGAVVDQDYRVLGVRGLRVVDSSTFKYSPGTNPQATLERRTDEK >ORUFI03G01230.1 pep chromosome:OR_W1943:3:739494:744725:1 gene:ORUFI03G01230 transcript:ORUFI03G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERKDVGILAMDIYFPPTCVLQDELENHDGVSKGKYTIGLGQDSMAFCTEVEDVISMSLTVVKSLLENYKIDPKCIGRLEVGSETVIDKSKSIKTWLMQIFEECGNTDIEGVDSSNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPVSFESKYRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYNVFCKKYEKLEGKQFSIHDADYFVFHSPYNKLVQKSFARLYYNDFLRKCSTVEDGSREKLEPYSGLSSEESYQSRELEKASQQVAKHLYDAKVQPTTLIPKQVGNMYTASLYAALASVMHNKNETLAGQRIVMFSYGSGLTSTMFSFKINEGQHPFILSNIAGILDVSKKLESRHVVAPEKFVAALKLMEHRYGAKDFTTSQDTSLLAPGTYYLTHVDSMYRRFYAVKGQAVTEVSNGH >ORUFI03G01240.1 pep chromosome:OR_W1943:3:745747:749350:1 gene:ORUFI03G01240 transcript:ORUFI03G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEAEEIEDEETLEALLEASRSAQGRAALSDALADTLHLLPASTHRLLLLRLRLLRNLLAGDDLNQGTFVLLSGPAAVVSSALSSPSDSPDVARAGLQALGNAALAGEHHRAAVWDALFPGSLLELARVREKGVLDPLCMVIDTCCSGEGGRGRLEELCHEELGLPILVEIVTTAWQVGHDEEWLEWLLFKICVEEQKFETLFVALCSRNDAEHSDGDECKTEFNAKHAYLLGKLSKCLANRPKEVSVSISFALDIFNAQKHAAEIVDFTCRVNSPLPTGHPAIDVLGYSLVLLKDICAWESPPSDTQAPVDSLMQTGLVKHLLTYLRELEPPSMIRKSMARGQGDHQPALGTAKVCPYIGYRRDVVAVIANCLHRSKKVQDEVRHLDGIILLLQQCVVDEENPYLREWGLFAVNNLLEGNEENQKEVSGLKMQEAVITPEIADIGLRVEIDKETGHPKLVNN >ORUFI03G01240.2 pep chromosome:OR_W1943:3:745747:748308:1 gene:ORUFI03G01240 transcript:ORUFI03G01240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWEAEEIEDEETLEALLEASRSAQGRAALSDALADTLHLLPASTHRLLLLRLRLLRNLLAGDDLNQGTFVLLSGPAAVVSSALSSPSDSPDVARAGLQALGNAALAGEHHRAAVWDALFPGSLLELARVREKGVLDPLCMVIDTCCSGEGGRGRLEELCHEELGLPILVEIVTTAWQVGHDEEWLEWLLFKICVEEQKFETLFVALCSRNDAEHSDGDECKTEFNAKHAYLLGKLSKCLANRPKEVSVSISFALDIFNAQKHAAEIVDFTCRVNSPLPTGHPAIDVLGYSLVLLKDICAWESPPSDTQAPVDSLMQTGLVKHLLTYLRELEPPSMIRKSMARGQGDHQPALGTAKVCPYIGYRRDVVAVIANCLHRSKKVQDEVRHLDGIILLLQQCVVDEENPYLREWGLFAVNNLLEGNEENQKEVSGLKMQEAVITPEIADIGLRVEIDKETGHPKLVNN >ORUFI03G01250.1 pep chromosome:OR_W1943:3:749175:751005:-1 gene:ORUFI03G01250 transcript:ORUFI03G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGGDAAPPPPQQRRNKSSAANKGKKGGAAGGGGGGRWPPVKPKKDLQVNRLKGTHLLTVPNFFTSAEAKAFVDIAENIGFTHQGSLGPLKGEAYRDNDRISVTDPLLAQTIWESGINKIFEDISISGKVATSLNPNIRFYRYTEGQRFGRHIDESVDLGDGSRTLYTLLIYLSGKGSAKESSGQALVGGETVFYDHRGGVVAEVAPVQGLALLHLHGAKCMLHEARVVKKNIKYVLRSDVVFA >ORUFI03G01260.1 pep chromosome:OR_W1943:3:751056:751713:-1 gene:ORUFI03G01260 transcript:ORUFI03G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAYNSAARRSSTGSRRVRPDARRHELVQFVKIAVKSDPAINSYGPDSMSGRENLLNPTVMRGLPAA >ORUFI03G01270.1 pep chromosome:OR_W1943:3:752033:755929:-1 gene:ORUFI03G01270 transcript:ORUFI03G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGKWALESTYRSDGICPWAHGPFILPSISGEAAINREERRTCDVHEAHATRSSIDQGKTARTVDAKSSNWSGMDVVWPHGHGVWGSSSASRRSAMLHGSLDIWIHEARNLPNMDIVSKTVVDILGTKKKKKAANGAMTSDPYVTVQLASATVARTYVVNDDENPVWAQHFLIPVAHEAPAVHFLVKDSDVFGAELIGEVVVPAEQLEAGEHVEGVYPVLDPAAGKPCAPGAVLRLSVQYIPVARLTMYHHGVTPGPDFAGVPNTYFPLRRGGRVTLYQDAHVPEGSLPEIRLGNGALYRQGQCWHDVYDAISQARRLIYITGWSVFHTIQLVRDGGAGVSLGDLLKRKSQEGVRVLLLVWDDPTSRNVLGIQMEGYMGTRDEETRRFFKHSSVQILLCPRSAGKRHSWVKQQETGTIFTHHQKTVILDADAGNHKRKIVAFVGGLDLCGGRYDTPTHPLFRSLQTLHKDDYYNPNFAVLDAQGPREPWHDLHSKIDGPAAYDVLTNFEERWLKASKRSGVKKLSKANNDTLLWIGRIPDIASIDDEVYSSDNDPERWDVQIFRSIDSNSVKGFPKNPREATSKNLVCGKNVLIDMSVQTAYVNAIRGAQHFIYIENQYFLGSSFNWDSHKDVGANNLIPIEIALKIANKIYANERFSAYIVIPMWPEGNPTGAPTQRILYWQKKTMQMMYEVIHKALKEVGLDNTYEPQDYLNFFCLGNREAGGSPSTCSGSSSANNPQDQAKKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGAYQPQYTWANMLSAPRGQIYGYRMSLWAEHIGAVEESFSCPESLECTRQVRHIGEQNWRQFASSEVSEMRGHLVKYPVSVARDGKVKPLPGCAAFPDLGGNICGTFLRIQENLTI >ORUFI03G01280.1 pep chromosome:OR_W1943:3:758624:760888:-1 gene:ORUFI03G01280 transcript:ORUFI03G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQRILLAVVVSMVVSSAMLAVVSCARERKNYVVHLDPREDGGVADSVELWHRSFLPEATPEAAGDDGPRIIYSYSHVLSGFAAQLTDDEAEAMRKKEGCIRLYPEEFLPLATTHSPGFLGLHLGNDGFWSRSGFGRGVVIGLLDTGILPSHPSFGDAGMPPPPKKWKGTCEFKAISGGGCNNKIIGARAFGSAAVNATAPPVDDAGHGTHTASTAAGNFVENADVRGNAHGTASGMAPHAHLAIYKVCTRSRCSIMDIIAGLDAAVKDGVDVLSFSIGASPGAPFNYDLVAIATFKAMEHGIFVSSAAGNDGPVAATVGNGAPWMLTVAAGTMDRAIRTTVTLGNGQVFDGESLYQPRNNTAGRQLPLVFPGLNGDSDSRDCSTLVEEEVSGKVVLCESRSIVEHVEQGQTVSAYGGAGMILMNKPVEGYTTFADAHVLPASHVSYAAGSKILSYIKSTPKPTASVTFKGTVMGSSPAPSVAFFSSRGPNKASPGVLKPDITGPGMNILAAWAPGEMHTEFADGVSLSFFMESGTSMSTPHLSGIAAIIKSLHPTWSPAAIKSAIMTSSDVADHAGVPIKDEQYRSASFYTMGAGYVNPSRAVDPGLVYDLHTNDYIAYLCGLGIGDDGVKEITHRRVSCAKLKAITEAELNYPSLVVKLLSQPITVHRIVTNVGKANSVYTAVVDMPKNVAVTVHPPLLRFSRAYEKQSFTVTVRWAGQPAVAGVEGNLKWVSDEHVVRSPIVIPPAKAVV >ORUFI03G01290.1 pep chromosome:OR_W1943:3:773360:801753:1 gene:ORUFI03G01290 transcript:ORUFI03G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAANWERLVRAALRGERLAGAFGVPVTGIAGNVPSSLGNNVHIDEVLRAADEIQDEDPTVARILCEHAYTLAQNLDPNSEGRGVLQFKTGLMSVIRQKLAKREGGAIDRSQDVAKLQEFYKLYREKHKVDELCEDEMKLRESAVFSGNLGELERKTLKRKKVLATLKVLWSVIEEITREISPEDAEKLISEEMKRVMQKDAERTEDVVAYNIIPLDALSTTNDIVNFPEVRAAISALQYHRELPRLPATFSVPDARNSDMLDLLHCVFGFQKDNVTNQREHVVHLLANEQSRLGKLPGNEPLARELEEIIRRQTAEPAESCISNGGVSFLDQVISPMYEIIAAGLMIIAFKDRKFDKKTVLTLLSLGPTYVIMKFIENNHNALTILSLWAPVVSIRSVEAVHRFFEKFPEAFMDKLHVAVPKRKQLLSSSQHPELNKFDASKFAPFWNEIVRNMREEDYINNTELDLLLMPKNNGSLPIVQWPLFLLASKVFLAKDIAIDCKDSQEELWLRISKDEYMQYAVVECYHSIYYILTSILDKEGHLWVERIYVGIRESISKRNIQSDLHFSRLPNVIAKLVAVAGILKETESADLRKGAINAIQDLYEVVHHEVLSVDMSGNIDEWEQIKQARAEGRLFNNLKWPTDSGLKDLIKRLYSLLTIKESAANVPKNLEARRRLEFFTNSLFMQMPVARPVSEMLSFSVFTPYYSETVLYSKDELQKRNEDGISTLFYLQKIYPDEWKNFLARINRDENTTDSELFSSPNDMMELRLWASYRGQTLARTVRGMMYYRKALMLQSYLEKLQSEDIESAVATTGLGLADIHFELSPEARAQADLKFTYVVTCQIYGVQKAERKPEAADIALLMQRNEALRVAYVDIVESVKNGKPSTEYYSKLVKADIHGKDKEIYSIKLPGNFKLGEGKPENQNHAIIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFYQNHGKHKPSILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRLGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTIGFYFCTMLTVWTVYIFLYGKTYLALSGVGESIQNRVDILQNTALNAALNTQFLFQIGVFTAIPMILGFILEFGVLTAFVSFITMQFQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKGLEVALLLVIFLAYGFNNGGAVGYILLSISSWFMAVSWLFAPYIFNPSGFEWQKVVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELAHIHNVGGRILETVLSLRFFIFQYGVVYHMDASESSKALLIYWISWAVLGGLFVLLLVFGLNPKAMVHFQLFLRLIKSIALLMVLAGLVVAVVFTSLSVKDVFAAILAFVPTGWGVLSIAVAWKPIVKKLGLWKTVRSLARLYDAGTGMIIFVPIAIFSWFPFISTFQTRLLFNQAFSRGLEISLILAGNNPNAGSCVRVHSPLSFSMFRLPPLHRAAAVFLRLRLASPRATFSSKPANPRTSSVASAAAPAAAAVSDAIVRLVAAGGRSLEADLDRLDPALSHPIVSATLRALTDRGLPAARFFDWLALRRGFSPSAHAHNLLVENAGSLADYRAMSRAMESMSTRRVPLTERAFAFLNTSQGSARDTAIAILATLDEVGGPCRASGVFSLVKALASIGEFDAAMSVIQETARGARYYNALIAVKCKTGDFHGAREVFDEMRRSGFGPNSNSWNYLLGCLLKNGRVAEACELVEAMERSEHNDIPNSLTYEILAYHACKAGRMDSAMRILDQMFLEKLTPRITIHTAFIKGYLYAGRIDDACRYVSAMSTRDRRSVNRNYSLLAKLLCKAGMIVDAGRILYELMEKEALLPDHSAYIRVIKDLHKIGKGDLAAELKLILQKLSVHAESAG >ORUFI03G01300.1 pep chromosome:OR_W1943:3:802128:802494:1 gene:ORUFI03G01300 transcript:ORUFI03G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSGTSEISARKIIHKEALLPDHSAYTRVIKDLHKIGKGDLDAELKLIFQKLTVHAESAQ >ORUFI03G01310.1 pep chromosome:OR_W1943:3:805138:805433:1 gene:ORUFI03G01310 transcript:ORUFI03G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKRIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ORUFI03G01320.1 pep chromosome:OR_W1943:3:806012:817919:1 gene:ORUFI03G01320 transcript:ORUFI03G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELIIYYLKRKINGRQIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQRRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECETDTGLQDAYALCRVFKKTAPGPKIIEHYGVVHHHVEQPQWMTSSIDRSPTLDVSCDGRGDDFESSSFSFPTETPMDSMHGGFGMQMSAPHEDGKWMQFLSEDAFNATNPFLTNPVSANFSCLPSKVDVALECARLQHRLTLPPLEVEDFPQDVSLDTKIGILRSNPNEVDILQEFLSVATASQELINGSTSSYPEMWLGASTSSASYVNELSSLVEMGGVGTSNHHESARLQVEIADMEVFKDEKKRVENLRGVKLVNNDLGEIVVEGDESNPTEDIIAQYPIKVTADNSGEAGHRMTDPTDVGGIDTAPIFSQSQPDDFAAGFDDVNPNASFDLYEKVDVNHRLFVSRVAAAKTFFHRIEPSKKVSFHSNPAATAVSKATEKFHFPVTTKVSGRVSIFSKFKALIRDKFLMMRPSHSYQRLGSKETTVNELLQIVSLLLAPKQINGCPTEQELVKKKAKEVMKPGWGREGSNKLWLPLSKGKGISSMFLSGKWTFLTSALAISTPAECDH >ORUFI03G01320.2 pep chromosome:OR_W1943:3:806012:817919:1 gene:ORUFI03G01320 transcript:ORUFI03G01320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELIIYYLKRKINGRQIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQRRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECETDTGLQDAYALCRVFKKTAPGPKIIEHYGVVHHHVEQPQWMTSSIDRSPTLDVSCDGRGDDFESSSFSFPTETPMDSMHGGFGMQMSAPHEDGKWMQFLSEDAFNATNPFLTNPVSANFSCLPSKVDVALECARLQHRLTLPPLEVEDFPQDVSLDTKIGILRSNPNEVDILQEFLSVATASQELINGSTSSYPEMWLGASTSSASYVNELSSLVEMGGVGTSNHHESARLQVEIADMEVFKDEKKRVENLRGVKLVNNDLGEIVVEGDESNPTEDIIAQYPIKVTADNSGEAGHRMTDPTDVGGIDTAPIFSQSQPDDFAAGFDDVNPNASFDLYEKVDVNHRLFVSRVAAAKTFFHRIEPSKKVSFHSNPAATAVSKATEKFHFPVTTKVSGRVSIFSKFKALIRDKFLMMRPSHSYQRLGSKETTVNELLQIVSLLLAPKQINGCPTEQELVKKKAKEVMKPGWGREGSNKLWLPLSKGKGISSMFLSGKWTFLTSALAISTPAECDH >ORUFI03G01320.3 pep chromosome:OR_W1943:3:806012:810626:1 gene:ORUFI03G01320 transcript:ORUFI03G01320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGPNPNALAVTGGGVQEAYCAADRTTMTVAYWNSLQLCFATYHHFDNCPEPNLSISGCLIQHENISQLSTGWWVGMTVSTTRYEAGKQNCSSLNWKLIEVNDNLCCVFWLSNAILPLSNAVRVSPQTWLIRFSVVFRFLSLSGYKKILVEAYLNSNYFRIQVEVLCLPLAEATDLSVDDNVGTQGLIPTPPSMF >ORUFI03G01330.1 pep chromosome:OR_W1943:3:827343:831494:-1 gene:ORUFI03G01330 transcript:ORUFI03G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLELGLTLSAHVNNFSDWVDACHCERNVLPRMDPLESEGGSQKSNNKPKYSKFTQQELPACKPLLTPGIVVATFLLIGIIFVPIGLASLSASQEIVELVDRYDTNCVSTLDKVGFIQNTDTDKTCTRTLTVPKHMKSPIQIYYQIGDFYQNHRRYVKSRSDKQLRYKNAVHLTKDCDPEGNTVDGAPIIPCGLIAWSLFNDTYTISVNKKAIEVNKKDIAWKSDKTDKFGSDIYPSNFQKGSLIGGAKLNESIPLSEQEDLIVWMRTAALPTFRKLYGRIETDIMANDQLTVVIQNNYNTYSFGGSKALVLSTTSWIGGKNNFIGVAYLTIGGLCIFLAVGFVVLLYMVKPRTLGDPSYLSWNRDTPDRPN >ORUFI03G01340.1 pep chromosome:OR_W1943:3:833128:835698:-1 gene:ORUFI03G01340 transcript:ORUFI03G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLEKNQHNGEDTSPEANDSGELDDQSKSTTPEMVLHSSIILIYGFTKSLIASTGSMECSTSTEMSSNSGHRSRNRAQDDDSFDSESSSSGPPTVKRPAAVTALLPPFSRPTPSKWDDAEKWISSPTANRGGRVGSAAGAAPKKSALAFPEHVSRPPAVAKVVAEVPINTGTLVKNSVALAQPISFNPAQSASIVDEPAPAVRSVSMRDMGTEMTPIASQEPSRTGTPIIASSPTSSRTPTPQRNAEISIGEFGPNKMEMSEEELQMNTRKEIMDLGQRLGKTTIAAWASKEEKSTTSFANVITDKAVEIDREARAADWEEAEKAKYLARFQREEVKIQAWENHQKAKIEAEMKRMEAKIEIKRAREQDRLSSKLAAARHKAEARREAAESRKNQEAARTEEQAAQIRKTGHIPSSISCWCWCL >ORUFI03G01350.1 pep chromosome:OR_W1943:3:843638:847000:-1 gene:ORUFI03G01350 transcript:ORUFI03G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAAAVAPDWWNDVNNSPMWQDRSFHALATLYGAVSFVALVQLIRIECRVPEYGWTTQKVFHFMNFIVNGVRSIVFVLRRDVQLVQPEVFQHVLIDFPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPAFYTINGVVYAIQIILWMALWWKPVRAMVILSKMFFAATSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLNEVGYVTIICFSGFLIRCVMMCLNAFDKEADLDVLNHPILNFFYYLLVEIVPSALVLFILRKLPPKRGITQYHPIH >ORUFI03G01360.1 pep chromosome:OR_W1943:3:849078:850602:-1 gene:ORUFI03G01360 transcript:ORUFI03G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSSALSSFLYCCFSPTGGHRHGHRAGAYYYSSHPTSTNTYYYEGGLAGRRMGRSRPLSLQTVELKVRMCCSGCERVVTVTGYVERQRVLKEVRRAGKKAEFWPNPDLPLYFTSAKDYFHDEESFRPSYNYYRHGYNGDKHGHLPEPHRGADPVSNLFNDDDVNACSIM >ORUFI03G01370.1 pep chromosome:OR_W1943:3:856395:863744:-1 gene:ORUFI03G01370 transcript:ORUFI03G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFAPGINQTQGRSAAPGTPRLDAYSGRSFTIPSTSIARRTVSSRTLCDPSRSAATHGCRSNTSALLNLHLPPIPSSRYDSLLYFGWQPVVFQRSDNQVAYLAFICVGARQGLIQSKHVGFRIISFGTRQRGGAPPGGEPAAGSPWPPWVEVRRETSGFSMSRSPSPGAATFRMSRPNVDYLYTKLTAAIAKEDAALRAAIPVHQHVVVCVRCLAMGEPLSNRTRCFPAGHGAHGGMKDARRERGEGRRADPQPLLEVVVA >ORUFI03G01370.2 pep chromosome:OR_W1943:3:856395:862867:-1 gene:ORUFI03G01370 transcript:ORUFI03G01370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCDQIIRLPISHLFALEQGNFGTRQRGGAPPGGEPAAGSPWPPWVEVRRETSGFSMSRSPSPGAATFRMSRPNVDYLYTKLTAAIAKEDAALRAAIPVHQHVVVCVRCLAMGEPLSNRTRCFPAGHGAHGGMKDARRERGEGRRADPQPLLEVVVA >ORUFI03G01370.3 pep chromosome:OR_W1943:3:863456:863744:-1 gene:ORUFI03G01370 transcript:ORUFI03G01370.3 gene_biotype:protein_coding transcript_biotype:protein_coding METFAPGINQTQGRSAAPGTPRLDAYSGRSFTIPSTSIARRTVSSRTLCDPSRSAATHGCRSNTSALLNLHLPPIPSSRYDVC >ORUFI03G01380.1 pep chromosome:OR_W1943:3:866088:870054:1 gene:ORUFI03G01380 transcript:ORUFI03G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVIAETGYAGTANTDGFLFSDSALHNVYPEDQFSSIKQLHPWIPSTTGRSSWLEEKIPVIHQRTSAAASSEIGSSVLHKPSLFPSIIDCFDKEPVPIHQSDGRYSYDSHLSHLTSCSTSLNYGLSMPSVAASPVVCGMKRIDPSPSDPVLKGRFLQYANPCRFNIGHFDSVQDEQKDHAGFQTAYRHCSDWNRCTNDTGIVGNYLANSSGETCNVGENSITGRFSQEILCSEVPMSRVQEPLSHHHSLVQEDLNAFCENITYRCNYHAELIKSMYNLSVALISSCNGDYELDESYQELIQSAIQNLSSLSPKRSKNLSIEENKSGNDKDAHVLAYKNLWIEAEASMCKLKYELQLARMELALKYHSQQSGAPPTIPLDVQDSSLSKSKSLLCDEVLDDPSKQQNHVKENTICSATLLPEEGNTGDGQSPKVNRSIANEVEAGVFTQLRVLRSRGDSICSFGEGSDEEQQETSNNKKTNGFDNTAAVSMDTLKSGDDSMNSVVVEPIKERVESSKTDVDTAAPFYSFVKRLSGSSSSSDVDFDKFLSSIKKQTDVTVMARHKDFVCDKGNIRSLDDTTNQCQAASNTKQLEDDALRFFQSLKIPEGIPEDHSDDGSSDSDYYQTEHYPLRVEPGRLLFIHKVLGSGKEW >ORUFI03G01390.1 pep chromosome:OR_W1943:3:882289:883553:1 gene:ORUFI03G01390 transcript:ORUFI03G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFITPIVRPASAAAGGGEVQESGGRSLAAVEKEHMFDKVVTPSDVGKLNRLVLFYRPLPPQQQHHPAVVLESVPVRMTAGHAEPPSAPSKRVRLFGVNLDCANSEQDHAGVVGKTAPPPLPSPPSSSSSSSGKARCSLNLDLRRWRRAAAAVAAEVEALGASSAFVFRI >ORUFI03G01400.1 pep chromosome:OR_W1943:3:896558:896984:-1 gene:ORUFI03G01400 transcript:ORUFI03G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQITTVHGKIGDKERWGMDGPESIVSRPGGGRSGEVDGGVAANQGFDVPILELAPIRLRIGAPNWFWIGI >ORUFI03G01410.1 pep chromosome:OR_W1943:3:901145:908635:-1 gene:ORUFI03G01410 transcript:ORUFI03G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVAVAGAVVVALSLCIGGVQGQLQVGFYDQSCPQAEVIVRDEVGKAVSANVGLAAGLVRMHFHDCFVKGCDASVLLDSTANSTAEKDAIPNKSLRGFEVVDSAKRRLESACKGVVSCADILAFAARDSVVLAGGTPYRVPAGRRDGNTSVASDAMANLPRPTSDVAQLTQSFATHGLSQDDMVILSGAHTIGVAHCSSFSSRLYGYNSSTGQDPALNAAMASRLSRSCPQGSANTVAMDDGSENTFDTSYYQNLLAGRGVLASDQTLTADNATAALVAQNAYNMYLFATKFGQAMVKMGAIQVLTGSDGQIRTNCRARGSRGMRLWLLSVAVMAMAMATRSQAQLQVGYYDTLCPAAEIIVQEEVSKAVSGNPGMAAGLVRLHFHDCFVRGCDASVLLDSTQGNRAEKDAPPNTSLRGFEVIDSAKSRLETACFGVVSCADVLAFAARDALALVGGNAYQVPGGRRDGNVSVAQETNGNLPPPSANVAQLNQMFGAKGLTQAEMVALSGAHTIGVSHCSSFSNRLYSSGPNAGQDPSMDPSYVAALTTQCPQQQGQPAAGMVPMDAVTPNAFDTNYYAAIVANRGLLSSDQALLADQTTAAQVVGYTNNPDSFQTDFAAAMVKMGSIGVLTGNAGTIRTNCRVAS >ORUFI03G01420.1 pep chromosome:OR_W1943:3:910036:911092:-1 gene:ORUFI03G01420 transcript:ORUFI03G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFEEAERLVADVVERIAERESVSPSLPQELQRRTAEIRRKVAILETRLDMMQEDLSQLPNKQRISLKELNKLAAKHSTLSSKVKEVGAPFTRKRFSNRSDLLGPDDNHAKIDVSSIANMDNREIIELQRNVIKEQDDELDKLEETIVSTKHIALAINEELDLHTRLIDDLDEKTEETSNQLQVIHRACCLILQMPAYIYLIFLR >ORUFI03G01440.1 pep chromosome:OR_W1943:3:931012:932575:1 gene:ORUFI03G01440 transcript:ORUFI03G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQTAPVATAEAELESSAAPPVKPDEAAAKAQPEDDAPVVEDAKDDDDDEEDDDDDEDEDEDGEQGAIGNEGSKQSRSEKKSRKAMMKLGMKPVTGILFVVSKPDVFKSPTSETYVIFGEAKIEDLSSQLQAQAAQQFRMQDLSKVMSKPDAAAAAPADEEEEVDETGIEPRDIDLVMTQASVSRAKAVKALKAHDGDIVSAIMELTA >ORUFI03G01450.1 pep chromosome:OR_W1943:3:932774:941613:-1 gene:ORUFI03G01450 transcript:ORUFI03G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGVGGGAGEHAAAAYWYDACEDGASLLCGIDFAASADFDPGLIPAMDTGADDGFVAEIDRILESINAESSPAPPPPPPPPLPEPVPVAPPELPIQEKQLQVASAPVANNAVAVVGVVQRSKGVVARKEPRRESHGCAANGGGGGEWRDGKRPRLASGGVGGPRQEWRRRPMLPPPPSRGWDDRRGRRDFDRVRKHEHHRREARGFWERDRGGKMVFRPGTWEQESDREAKRARTQDGGSMEKKAEADRMGAAQREKPVAEEHARQYQLEVLEQAKSRNTIAFLETGAGKTLIAVLLIKSVCDKMLKENKKMLAVFLVPKVPLVYQQAEVIRDRTGYRVGHYCGEMGQDFWDARKWQREFEHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKEKRPAVFGMTASPVNLKGVTSQEDCAIKIRNLESKLDSVVCTIKDRKELEKHVPMPLEVVVQYDKAATLWSLHEQIKQMESTVEEAALSSSKRTKWQFMGARDAGSRDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAQSFLTALQNDERANYQVDVKFQESYLKKVVDLLHCQLTEGAAMKSETSDVEMQNTEKHNTNDLEEGELPDSHGEHVDEVIGAAVADGKVTPRVQALIKILLKYQHTEDFRAIIFVERVVTALVLPKVLAELPSLSFIRCASLIGHNNNQEMRACQMQDTISKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNISHETFLRNARNSEETLRKEAMERTDLSHLDGTSVLSPVDTSPGSMYQVESTGAVVSLNSAVGLIHFYCSQLPSDRYSILHPEFIMQKYEKPGGSVEYSCKLQLPCNAPFEKLEGPICSSIRLAQQAVCLAACKKLHEMGAFTDTLLPDRGSGEGEKTEQNDEGEPLPGTARHREFYPEGVADILRGEWILSGRDGYQNSQFIKLYMYSVNCVNVGTSKDPFVTQLSNFAIIFGNELDAEVLSTTMDLFVARTMITKASLVFRGRIEITESQLVLLKSFHVRLMSIVLDVDVDPSTTPWDPAKAYLFVPVGAEKCTDPLREIDWTLVNNIVNTDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGTAFGQKAHPTYGIRGAIAEFDIVKASGLVPARDRGHFSDYQNQGKLFMADSCWNAKDLAGMVVTAAHSGKRFYVDCICYNMNAENSFPRKEGYLGPLEYSSYADYYKQKYGVELIYRKQPLIRARGVSYCKNLLSPRFEHSDAREGDFSENLDKTYYVYLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAVQLKDIIDYPVPATKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKTLQSYIQADRFAPSRWAAPGVLPVFDEESREYEPSIFDEESTGCELQKESYDDYADNMQEDGEIEGDSSCYRVLSSKTLADVVEALIGVYYVAGGKIAANHLMKWIGIHAELDPEEIPPPKPYDIPESIMRSINFDTLKGVLGIEFQNKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALETQIREFVKDVQEELLKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGYDTSVVWKPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKASRAGNIATVEVFVDGVQIGVAQNPQKKMAQKLAARNALVVLKEKETATKKEDERDGEKKNGAQMFTRQTLNDICLRRQWPMPQYRCVNEGGPAHAKRFVYSVRVNTSDRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRDFPDKPDGKQP >ORUFI03G01450.2 pep chromosome:OR_W1943:3:932774:941613:-1 gene:ORUFI03G01450 transcript:ORUFI03G01450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGVGGGAGEHAAAAYWYDACEDGASLLCGIDFAASADFDPGLIPAMDTGADDGFVAEIDRILESINAESSPAPPPPPPPPLPEPVPVAPPELPIQEKQLQVASAPVANNAVAVVGVVQRSKGVVARKEPRRESHGCAANGGGGGEWRDGKRPRLASGGVGGPRQEWRRRPMLPPPPSRGWDDRRGRRDFDRVRKHEHHRREARGFWERDRGGKMVFRPGTWEQESDREAKRARTQDGGSMEKKAEADRMGAAQREKPVAEEHARQYQLEVLEQAKSRNTIAFLETGAGKTLIAVLLIKSVCDKMLKENKKMLAVFLVPKVPLVYQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKEKRPAVFGMTASPVNLKGVTSQEDCAIKIRNLESKLDSVVCTIKDRKELEKHVPMPLEVVVQYDKAATLWSLHEQIKQMESTVEEAALSSSKRTKWQFMGARDAGSRDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAQSFLTALQNDERANYQVDVKFQESYLKKVVDLLHCQLTEGAAMKSETSDVEMQNTEKHNTNDLEEGELPDSHGEHVDEVIGAAVADGKVTPRVQALIKILLKYQHTEDFRAIIFVERVVTALVLPKVLAELPSLSFIRCASLIGHNNNQEMRACQMQDTISKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNISHETFLRNARNSEETLRKEAMERTDLSHLDGTSVLSPVDTSPGSMYQVESTGAVVSLNSAVGLIHFYCSQLPSDRYSILHPEFIMQKYEKPGGSVEYSCKLQLPCNAPFEKLEGPICSSIRLAQQAVCLAACKKLHEMGAFTDTLLPDRGSGEGEKTEQNDEGEPLPGTARHREFYPEGVADILRGEWILSGRDGYQNSQFIKLYMYSVNCVNVGTSKDPFVTQLSNFAIIFGNELDAEVLSTTMDLFVARTMITKASLVFRGRIEITESQLVLLKSFHVRLMSIVLDVDVDPSTTPWDPAKAYLFVPVGAEKCTDPLREIDWTLVNNIVNTDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGTAFGQKAHPTYGIRGAIAEFDIVKASGLVPARDRGHFSDYQNQGKLFMADSCWNAKDLAGMVVTAAHSGKRFYVDCICYNMNAENSFPRKEGYLGPLEYSSYADYYKQKYGVELIYRKQPLIRARGVSYCKNLLSPRFEHSDAREGDFSENLDKTYYVYLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAVQLKDIIDYPVPATKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKTLQSYIQADRFAPSRWAAPGVLPVFDEESREYEPSIFDEESTGCELQKESYDDYADNMQEDGEIEGDSSCYRVLSSKTLADVVEALIGVYYVAGGKIAANHLMKWIGIHAELDPEEIPPPKPYDIPESIMRSINFDTLKGVLGIEFQNKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALETQIREFVKDVQEELLKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGYDTSVVWKPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKASRAGNIATVEVFVDGVQIGVAQNPQKKMAQKLAARNALVVLKEKETATKKEDERDGEKKNGAQMFTRQTLNDICLRRQWPMPQYRCVNEGGPAHAKRFVYSVRVNTSDRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRDFPDKPDGKQP >ORUFI03G01460.1 pep chromosome:OR_W1943:3:948462:957030:1 gene:ORUFI03G01460 transcript:ORUFI03G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPARSAGAAAEKRMVDPPRVVGEYKLLEEIGVGSFAKVYLATHLRTGDVVAVKEIDPRRIDERVRGGILEEKAILSTLSHPNILRLIDTIQEENLYLILEYCNGGDLEGYRTKGGEDARLPDATARDFMRQLAEGLKMLRGRSIVHRDLKPQNLLLSTNGDAITLKIGDFGFARSLVQENLAATMCGSPSYMAPEIMRCEDYDAKADLWSVGVILFQLVTGKLPFYGANLFKLRQNIHESNGVKFPKEIKDDLHPDFIDLCRGLLRLDPKKRISFEEFFNHKFLSTTGSTLYSGGSIQRKREISSEPNHPADLLRDTCQIISSDVLKDKSESVDSRNLQAFDSWEWIEREYVLVQANSTSTEILSSLEKSMKDGTGAKPASYDRSTVKRSAWNQNRNSVSRGVAIKSNGCTPLSTSHESTAAENLLNPPYCYTRLQLLNQYIVVLTELAEEKLFKGLDLEALSVELIILAIWNEALNACSLSTDATHDGFFLTQAHVNFLPKNDHRPSRNVVQGLDFTRLVSVCSWAESGFIKAYDRAEKISHRLRDNNDNTEMPDAVEIIFQTALIYGTTGAAKEVLGYQNRSVVLYSKAIILLTFVLQEATNLPLNPPFSLSSSDQQRIHRYIANLRSHLCSAQLTGQQERYMRPVCNKTVD >ORUFI03G01460.2 pep chromosome:OR_W1943:3:948462:957030:1 gene:ORUFI03G01460 transcript:ORUFI03G01460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPARSAGAAAEKRMVDPPRVVGEYKLLEEIGVGSFAKVYLATHLRTGDVVAVKEIDPRRIDERVRGGILEEKAILSTLSHPNILRLIDTIQEENLYLILEYCNGGDLEGYRTKGGEDARLPDATARDFMRQLAEGLKMLRGRSIVHRDLKPQNLLLSTNGDAITLKIGDFGFARSLVQENLAATMCGSPSYMAPEIMRCEDYDAKADLWSVGVILFQLVTGKLPFYGANLFKLRQNIHESNGVKFPKEIKDDLHPDFIDLCRGLLRLDPKKRISFEEFFNHKFLSTTGSTLYSGGSIQRKREISSEPNHPADLLRDTCQIISSDVLKDKSESVDSRNLQAFDSWEWIEREYVLVQANSTSTEILSSLEKSMKDGTGAKPASYDRSTVKRSAWNQNRNSVSRGVAIKSNGCTPLSTSHESTAAENLLNPPYCYTRLQLLNQYIVVLTELAEEKLFKGLDLEALSVELIILAIWNEALNACSLSTDATHDGFFLTQAHVNFLPKNDHRPSRNVVQGLDFTRLVSVCSWAESGFIKAYDRAEKISHRLRDNNDNTEMPDAVEIIFQTALIYGTTGAAKEVLGYQNRSVVLYSKAIILLTFVLQEATNLPLNPPFSLSSSDQQRIHRYIANLRSHLCSAQLTGQQERYMRPVCNKTVD >ORUFI03G01460.3 pep chromosome:OR_W1943:3:948462:954727:1 gene:ORUFI03G01460 transcript:ORUFI03G01460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPARSAGAAAEKRMVDPPRVVGEYKLLEEIGVGSFAKVYLATHLRTGDVVAVKEIDPRRIDERVRGGILEEKAILSTLSHPNILRLIDTIQEENLYLILEYCNGGDLEGYRTKGGEDARLPDATARDFMRQLAEGLKMLRGRSIVHRDLKPQNLLLSTNGDAITLKIGDFGFARSLVQENLAATMCGSPSYMAPEIMRCEDYDAKADLWSVGVILFQLVTGKLPFYGANLFKLRQNIHESNGVKFPKEIKDDLHPDFIDLCRGLLRLDPKKRISFEEFFNHKFLSTTGSTLYSGGSIQRKREISSEPNHPADLLRDTCQIISSDVLKDKSESVDSRNLQAFDSWEWIEREYVLVQANSTSTEILSSLEKSMKDGTGAKPASYDRSTVKRSAWNQNRNSVSRGVAIKSNGCTPLSTSHESTAAENLLNPPYCYTRLQLLNQYIVVLTELAEEKLFKGLDLEALSVELIILAIWNEALNACSLSTDATHDGFFLTQAHVNFLPKNDHRPSRNVVQGLDFTRLVSVCSWAESGFIKAYDRAEKISHRLRDNNDNTEMPDAVEIIFQTALIYGTTGAAKEVLGYQNRSVVLYSKAIILLTFVLQEATNLPLNPPFSLSSSDQQRIHRYIANLRSHLCSAQLTGQQERYVQN >ORUFI03G01470.1 pep chromosome:OR_W1943:3:963580:966827:1 gene:ORUFI03G01470 transcript:ORUFI03G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWAAAAAADQAAASAGAGQMPFLALLQGAMEADGGGGGDGRKRHAAAAAFASCCPCPPVADLDLLESCVTQAAAPPVTAPATRAERRRKRPRPRPRAAPPPEKRKKPEEAENQRMTHIAVERNRRRLMNDHLASLRSLIPSNYIPRGDQATVVGGAIDYVKQLEQQLVALQAAAAERSGVGVVAAAATAASDGVFVSPQYTSYSEARGGSGVDVEATAAVGGHVRVRVAGRRWTGRLVRAVAAMEDLRLTVLHLAVTSVGHDAVVYCFNLKMEEGCEMATADEVATVVHQIFAYAGACC >ORUFI03G01480.1 pep chromosome:OR_W1943:3:969261:971099:-1 gene:ORUFI03G01480 transcript:ORUFI03G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLSLHGVPSPTATKLSSSFLGAPASFLRPTPPPLAAPSRRALAVRAMAPPKPGGKPKKVVGLIKLALEAGKATPAPPVGPALGAKGVNIMSFCKEYNAKTAEKAGYIIPVEITVFDDKSFTFILKTPPASVLLLKAAGIEKGSKEPQREKVGKVTADQVRTIAQEKLPDLNCKSIDSAMRIIAGTAANMGIEVDPPILEKKEKVLL >ORUFI03G01490.1 pep chromosome:OR_W1943:3:972882:979677:-1 gene:ORUFI03G01490 transcript:ORUFI03G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLISTADHDTLPGNYVRPEAQRPRLADVLSDASIPVVDLANPDRAKLVSQVGAACRSHGFFQVLNHGVPVELTLSVLAVAHDFFRLPAEEKAKLYSDDPAKKIRLSTSFNVRKETVHNWRDYLRLHCYPLHRYLPDWPSNPPSFREIISTYCKEVRELGFRLYGAISESLGLEQDYIKKVLGEQEQHMAVNFYPKCPEPELTFGLPAHTDPNALTILLMDQQVAGLQVLKEGRWIAVNPQPNALVINIGDQLQALSNGRYKSVWHRAIVNSDKARMSVASFLCPCNDVLIGPAQKLITDGSPAVYRNYTYDEYYKKFWSRNLDQEHCLELFRTTPTDTS >ORUFI03G01500.1 pep chromosome:OR_W1943:3:993609:998336:1 gene:ORUFI03G01500 transcript:ORUFI03G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNTVSTKLVRYLLSQFGNLLLKQLCLTEMENLLANLPSPDANEASLQLDLQAMQFFNRTFIQLFTQQFSAYQQIKHDDNSLFFDSYKDGTSVIKLKGVGVAFSPLETGPRWVPTPTGPGTGPNLHPWVLLSRALTLSGVRAEVTSSLVEP >ORUFI03G01510.1 pep chromosome:OR_W1943:3:993869:1000133:-1 gene:ORUFI03G01510 transcript:ORUFI03G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSILPRETMLRVVEAKEAKEEEEKEGCCLPPPQLVYSSSRPSHHLLLTSLARTMIPIDLLGGSFRHMPFSIQLTLQGSTSEDVTSALTPLKKTIERYRTYTKENIGNKTVQQDIEQVKADADGLAKKLEALETYKRKLLGEKLDECSIEELHSLEVKLERSLISIRGRKTKLLEEQVAKLREKEMKLRKDNEELREKCKNQPPLSAPLTVRAEDENPDRNINTTNDNMDVETELFIGLPGRSRSSGGAAEDSQAITTRQKPFDRQTPLPI >ORUFI03G01510.2 pep chromosome:OR_W1943:3:994082:1023512:-1 gene:ORUFI03G01510 transcript:ORUFI03G01510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKNGNATARTPPVAVCLCLPLPRGGVATTRTKGNGNTRRDQKGKPRRRGRGEKGEGVGEKEERASSFGYGQTLQRLHHHSLPFLMVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASASTQKTIERYRTYTKENIGNKTVQQDIEQVKADADGLAKKLEALETYKRKLLGEKLDECSIEELHSLEVKLERSLISIRGRKTKLLEEQVAKLREKEMKLRKDNEELREKCKNQPPLSAPLTVRAEDENPDRNINTTNDNMDVETELFIGLPGRSRSSGGAAEDSQAMSHS >ORUFI03G01510.3 pep chromosome:OR_W1943:3:994082:1000133:-1 gene:ORUFI03G01510 transcript:ORUFI03G01510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSILPRETMLRVVEAKEAKEEEEKEGCCLPPPQLVYSSSRPSHHLLLTSLARTMIPIDLLGGSFRHMPFSIQLTLQGSTSEDVTSALTPLKKTIERYRTYTKENIGNKTVQQDIEQVKADADGLAKKLEALETYKRKLLGEKLDECSIEELHSLEVKLERSLISIRGRKTKLLEEQVAKLREKEMKLRKDNEELREKCKNQPPLSAPLTVRAEDENPDRNINTTNDNMDVETELFIGLPGRSRSSGGAAEDSQAMSHS >ORUFI03G01510.4 pep chromosome:OR_W1943:3:1018647:1023512:-1 gene:ORUFI03G01510 transcript:ORUFI03G01510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKNGNATARTPPVAVCLCLPLPRGGVATTRTKGNGNTRRDQKGKPRRRGRGEKGEGVGEKEERASSFGYGQTLQRLHHHSLPFLMVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASARKIRPEKTAKTIFPRVAIELPSKQSHYFHKEISCETGKY >ORUFI03G01510.5 pep chromosome:OR_W1943:3:1018647:1023074:-1 gene:ORUFI03G01510 transcript:ORUFI03G01510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASARKIRPEKTAKTIFPRVAIELPSKQSHYFHKEISCETGKY >ORUFI03G01520.1 pep chromosome:OR_W1943:3:999822:1000503:1 gene:ORUFI03G01520 transcript:ORUFI03G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCDDSEGGGSSVEPLMMLGPMVDLEGQLDREGHVAKASTKKVDGDHRPCQGRQQQMIEFIDKDLHQQHSGELLYIQLQASNFMRVEFVDEDYINGHGGQLRYMQMQI >ORUFI03G01530.1 pep chromosome:OR_W1943:3:1011006:1013843:1 gene:ORUFI03G01530 transcript:ORUFI03G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYDSDLAESTDSNCSDPKFDPDQEIVDEDDDDDDLPIYAYDIHDPCIDVDVVFPDWINATPKYIGCKVKTSGPKHTCLSFNKYGEMMASTKWRINGKWDDSYDLLPTYREELLRSVPSSLDELDSKECNGDVCFTRWKGKWQWRCRWMGKAMKRREASFMGKITR >ORUFI03G01540.1 pep chromosome:OR_W1943:3:1042746:1046825:1 gene:ORUFI03G01540 transcript:ORUFI03G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETLADGTVNLMIWHCTIPGKQGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPTEYKRRVRLQAKQYPPIV >ORUFI03G01550.1 pep chromosome:OR_W1943:3:1047016:1049679:1 gene:ORUFI03G01550 transcript:ORUFI03G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEQTPRTKPSTGNSKKRKKPRKDKWGQPIIDAGDRPAVEPEPEPEPEPVPAPAAAAAAEEEEEAGIYETGKVVASGLPYTTTEAEIRELFERFGPLRSLQLSRFPDSGNFRGLAFVSFESNEVVMKSLELDGFKIGNRFMRVERCRLAAGSKRKRTVEFQTDPKKADGCLSAYVGNLKWDVTETDLRDFFKSLKISSIRFAINKRTGDSRGFCHVDFEDDESLEKAVGMNQSELRGRPIKISYAVSNRG >ORUFI03G01560.1 pep chromosome:OR_W1943:3:1051990:1055980:1 gene:ORUFI03G01560 transcript:ORUFI03G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHHHHHLPPPPPRSPMENSASSKPPTPASTPSSRLAAAPSSRVSSAAPHPSPSSSAPTPASRTVYSDRFIPSRAGSNLALFDLAPSPSHHDAAAAAASPGAPPPSGSTPASSPYCALLRAALFGPTTPDRVASSASACSSSSSAGASPVGSPATGNIFRFKAEVPRNAKRALFSDGDDEGVLFPGVFTTRGTGPRKIPRSPYKVLDAPALQDDFYLNLVDWSSHNILAVGLGNCVYLWNACSSKVTKLCDLGVDDNVCSVGWAQRGTHLAVGTNQGKVQVWDATRCKRIRTMESHRMRVGALAWNSSLLSSGSRDKSILHHDIRAQDDYISRLAGHKSEVCGLKWSYDNRQLASGGNDNRLYVWNQHSAHPVLKYTEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNMHLNCVDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQSSDSLSSIGATSFVRSYIR >ORUFI03G01560.2 pep chromosome:OR_W1943:3:1051990:1056000:1 gene:ORUFI03G01560 transcript:ORUFI03G01560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHHHHHLPPPPPRSPMENSASSKPPTPASTPSSRLAAAPSSRVSSAAPHPSPSSSAPTPASRTVYSDRFIPSRAGSNLALFDLAPSPSHHDAAAAAASPGAPPPSGSTPASSPYCALLRAALFGPTTPDRVASSASACSSSSSAGASPVGSPATGNIFRFKAEVPRNAKRALFSDGDDEGVLFPGVFTTRGTGPRKIPRSPYKVLDAPALQDDFYLNLVDWSSHNILAVGLGNCVYLWNACSSKVTKLCDLGVDDNVCSVGWAQRGTHLAVGTNQGKVQVWDATRCKRIRTMESHRMRVGALAWNSSLLSSGSRDKSILHHDIRAQDDYISRLAGHKSEVCGLKWSYDNRQLASGGNDNRLYVWNQHSAHPVLKYTEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNMHLNCVDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQSSDSLSSIGATSFVRSYIR >ORUFI03G01570.1 pep chromosome:OR_W1943:3:1064951:1070620:1 gene:ORUFI03G01570 transcript:ORUFI03G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLCSFREQLQQHVRVHAVEAVMACREIEQSLQDLTGATLEEGTGATMSEDEDETAPMLEGPMDMGSDGHDLMGFGPLMPTDSERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTTILKQWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQTSTLKSKRKR >ORUFI03G01570.2 pep chromosome:OR_W1943:3:1064748:1070620:1 gene:ORUFI03G01570 transcript:ORUFI03G01570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGDHGGMEMGVGSFTGGGGGGECSSSSATAAAAAAAAAAAAAAEAEERQLLKGEIAVHPLCEQLVAAHVGCLRVATPIDHLPLIDAQLAQSSGLLHSYAAHHRPFLSPHDKQELDSFLAQYMMLLCSFREQLQQHVRVHAVEAVMACREIEQSLQDLTGATLEEGTGATMSEDEDETAPMLEGPMDMGSDGHDLMGFGPLMPTDSERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTTILKQWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQTSTLKSKRKR >ORUFI03G01570.3 pep chromosome:OR_W1943:3:1064748:1066155:1 gene:ORUFI03G01570 transcript:ORUFI03G01570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGDHGGMEMGVGSFTGGGGGGECSSSSATAAAAAAAAAAAAAAEAEERQLLKGEIAVHPLCEQLVAAHVGCLRVATPIDHLPLIDAQLAQSSGLLHSYAAHHRPFLSPHDKQELDSFLAQYMMLLCSFREQLQQHVRVHAVEAVMACREIEQSLQDLTDLHALTSKVPVSTGVFRGD >ORUFI03G01580.1 pep chromosome:OR_W1943:3:1071646:1074676:-1 gene:ORUFI03G01580 transcript:ORUFI03G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQANLDKMQLRQSYRNLWHSDLTSTIQADFPYCCLALWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGKCGESRCPEFCLATEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQIACIFSIVAAIVGSEELSEASQILSCLSDMVYCSVCACMQTQHKIEMDKRDGKFGPQPMAVPPMQQMSRIDQPIPPPVGYTPQQPAYGQPYGGYPPAPPAQGYPPAAYPPAGYPQGGAYPPPGSYPPPGSYPPQGSYPPPQGYYGK >ORUFI03G01590.1 pep chromosome:OR_W1943:3:1076027:1076449:1 gene:ORUFI03G01590 transcript:ORUFI03G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMGGDDATRLAQPGCEVIGELEISPPTLSLILLLPTTAPPLSLVHREALHVTEHRGIRAGGDGVSTDLVDHVSTASFVITVVGSPSPLRPSLACRCRCSSQKERERGEKREREKGERDEEERMWAHISVGPTNVFLCE >ORUFI03G01600.1 pep chromosome:OR_W1943:3:1080818:1084213:1 gene:ORUFI03G01600 transcript:ORUFI03G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKTKLQLPLVHNERLWARPWRWAKTVFFLVSMLASLLLVCAPPLLIVVLDLLLPPALLSNFHRAANHPTSLIDQARGFHFRSSLVDLPAVSAARSLLILCAYTACGGGAAYLWVAVACSVGSVCYVVAKAAVVFGAAPDGAVLGLQGKGQLVAVEAMFLMSLALAAAHIAMAYRASCRERRRLLVYRIDVEAVRLKGGQTPKSLKQQFAV >ORUFI03G01610.1 pep chromosome:OR_W1943:3:1105152:1110889:1 gene:ORUFI03G01610 transcript:ORUFI03G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPNLGFADYFSAADASASSVTTLMPAMDEAAPELFGLQAGMELLGVRGLGMSMMPGAAGKVAALVADAGDDGGGGSTMRFLSEQHQQPSPAPLSLSLCRPDGVLHLGGAARPQHQLAPAAPWMTHHDASSSAPQVHGAWHLRSSRFLLPTQQLLQEFCSLPVDSTKRGNGAKAATQQEDGRGDGSSSSSASWTPSPQIQAMEALELQRLKDKLYIMLEEVDRRYRRYCEQMRAVAGGFEAVAGERAAGAYTAVAARTISRHFRSLRDGIVAQLQAARKALGEKDVSAAGTTRGQTPRLRVIDQCIRHHKSLQGVAAMDSHPWRPQRGLPDRAVTILRAWLFEHFLHPYPSDVSNWFINARVRLWKPMVEEMYVEEMKGQDGGDGSGGQGSLNPKPTCSHASEARGGQQLVVGDGDGGEQKPTRAQLRHDAGSLASVVNVDVAAGAGGVARLHQAENFGIMDHLDFDAYDDSHHHQQHGGFGGVSLTLGLQQHGSHGGGGVNIAFGAPGSAHGGAGFLYPGEQMAPDAMHPGHGHHVVGGQFGVAMDGDAASHAQERYRSLSAGFHLLRDLAG >ORUFI03G01620.1 pep chromosome:OR_W1943:3:1106825:1109743:-1 gene:ORUFI03G01620 transcript:ORUFI03G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKCSKSQARRMVTARSGRPRWGRHGWLSMAATPCSDLWCLMHWSITLSRGVCPRVVPAALTSFSPSAFLAACSCATIPSLRLRKCLDIVLAATAVYAPAALSPATASNPPATALICSQYLLYLLSTCTNTHEYTYRALHQAYVYGRGKDGDSQ >ORUFI03G01630.1 pep chromosome:OR_W1943:3:1113420:1115223:1 gene:ORUFI03G01630 transcript:ORUFI03G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGDRHHHKDAIVMHAAGKAPKCKASFFLCSLLLYFLLPVLALYVVALAVSPFYSGSSCPEESLASGDVAHLAAAGDAGNRRNDSSPPSDDAAPTGLGHIVFGIAASSELWKSRREYIRTWWRPEQMSGFVWLDKPVYEFYSRNASTGLPGIKISGNTTKFPYTHGRGSRSALRITRIVSESFRLGLPGARWFVMGDDDTVFFPDNLVDVLSRYDHTQPYYIGNPSESHIQNLIFSYGMAFGGGGFAISRALAAQLAHMQDGCIDRYPALYGSDDRIHACMAELGVPLTRHLGFHQCDLWGDVLGLLGAHPVVPLVTLHHLDFLQPVFPTTRSRTAALRRLFEGPARLDSAGVAQQSVCYDGDKQWTVSVSWGFAVVVTRGVLSPREMEMPMRTFLNWYRRADYTAYAFNTRPVARQPCQTPQVYYMRQSRLDRRRNTTVTEYERRRVAPVKCGWRIPDPAALLDRVIVLKKPDPNLWKRSPRRNCCRVLSSPKQGKDRKMTIDVGVCRGGEFARIEVAR >ORUFI03G01640.1 pep chromosome:OR_W1943:3:1116544:1119411:1 gene:ORUFI03G01640 transcript:ORUFI03G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFEPNKSVVSGRGGIGKCDDPISVHSIVHSTIPVFHHALPSGRCPIFLRVHFPVPDSTHHSTASHSSFPLPSNTTTRTVRTLVRFRLILSWTRQWRHDHASTTASMATVIVILLLLPLLPSTALAAFPYFLACGAASNVSFPGDSPARTFVPDAPFLSSAGRVPAVTSTGSNTIPPLYAAARAAGSGFSYSFADPDTATVNVSRVLRLHFFPFTSSSSVNLSSASFSVSVRDAYTLLSSFSPPRDGVVKEYFVPGDGSGEFRVKFTPDAGSTAFVSAIELFPAPPELLWRRPVKPVGALVDSVDVNAWPQQALETVYRLNVGGSKVTAANDTLWRTWLPDDPYFSSPRGLSQVNSTSTPIIYGTSIGYTREVAPDSVYKTQRAMNMASQQLFLTPGPFNLTWTFALPPPAPGSDSDYLVRLHWCDYSLVSSVVATGIVFDVYVAQRLASKDLDRNAADAAEQPNEAFYLDYAATAPTTGNLTISIGKSDKSDAGGMLNGLEIMKLRRADNLNSAGSHGRRKKILIGTLSAALGVAVLACALLCLLAVLRRRRQAPTPAPEEKESTQLPWSQHTQDGSSWVDMSNASGAGMTGGLHRMSMQLNISLADITAATENFNERNLIGVGGFGNVYSGVLRDGTRVAVKRAMRASKQGLPEFQTEIEVLSRIRHRHLVSLIGYCNEQSEMILVYEYMEKGTLRSHLYGSEEPPLSWKQRLEICIGAARGLHYLHTGYSENIIHRDVKSTNILLGDAFIAKVADFGLSRIGPSFGETHVSTAVKGSFGYLDPEYFKTQQLTDRSDVYSFGVVLFEVLCARTVIDQSLERDEINLAEWAVSLQQKGELAKITDPRIAGQVNGNSLRKFAETAEKCLADYGLDRPSMGDVLWNLEYCLQLQETHVNRDAFEDSGAVATQFPADVVVPRWVPSSTSFLMDDSVTDSGIANSKAFSQLSSGDGR >ORUFI03G01650.1 pep chromosome:OR_W1943:3:1120811:1122211:1 gene:ORUFI03G01650 transcript:ORUFI03G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLAVPLLLCLLASASAARFAPADNHLLACGATAPAVLPDGRRFVPDSGCASTRLRSPAPTLPSAASSAAPQPTPLHAAARVFSCRASYDLAVRRRGYHVLRLHFYPFEPALASARFHVGATGFLLLHNFSASAPVVKEFILPVHSDVLVLTFVPESGSNAFVNAIELVSAPDELVGDIGTLVTSSGTDQTNGLSSQVYEMLYRINVAGRKVTPFNDTLWRTWVNDERFLVSTESSNSGVWSFGGRIAYPKGSRLMTREVAPDNVYNSARSVSSQGKVTWGFPVPASSRYLVRMHFCDIVSKALNELYFDIYVNGQLAVKDFDISGATGFLAYPYYIDFVVDVEDEGALKLAIGGSKNSRSDEVSGILNAVEIMRMNKTNGGIDGDFAVSLGMEYVASKGIGEFARSLLCGFIFAGLLLVLLMLVVRLRTELRNNGTPWSWQPNDSGDGKLARAYQLVSAKTDY >ORUFI03G01660.1 pep chromosome:OR_W1943:3:1126557:1127478:-1 gene:ORUFI03G01660 transcript:ORUFI03G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASNGAFLPWKKQGHQIKLRATKGKRTLVMQLGKQAWISRAIIKIQFKDQEPGPGKTSSETLKHGTIVSVNNQQDGSNDIRTY >ORUFI03G01670.1 pep chromosome:OR_W1943:3:1130928:1132922:1 gene:ORUFI03G01670 transcript:ORUFI03G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAPNGPRPRRGDDPLYLRRAMPCSPLPAQHAALHSKPHGLLVSSSVSRAHATRWELMEVGSLQHLGDSFSYRWLKHAAQAPSFKRLVDDDVGGSSRYFIDMDPADLFSMRWTAPGTDFDFDFDLPGGDDDAASPIPLLVSASQIFHDGRLLPHELDDDGRFGAQEDGDAARVAHLLSEPRLSASSPLFHSAQSTPASLSSSSSARSGSSKNASAPPLLAAGRRGGGSSPWKILLRYLRFLMPLYRKVRALPPLRAPRTRVSPASPASARARASTSSIDWCHGIADTAVHDAILYCKKSSIGLDI >ORUFI03G01680.1 pep chromosome:OR_W1943:3:1135368:1135652:1 gene:ORUFI03G01680 transcript:ORUFI03G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTEPLTLPCLAHRLPLRLHRRGALHFLRLFPRVFDLRALLPLSLSLTAPTAELLAVATFAAYPTAAAAAAAGSGERWGNDEGEGCTSGTI >ORUFI03G01690.1 pep chromosome:OR_W1943:3:1141638:1146539:1 gene:ORUFI03G01690 transcript:ORUFI03G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTILQVVCAVALVALCACGVAASPSSPAGAGCRKHVARITEYGGVGDGRRSNTAAFAKAVADLSLRAGDGGAALVVPKGKWLTGPFNLTSHFTLFLDHGAEILASQNLEDWPLIAPLPSYGRGRDEPGPRYSNFIAGSNLTDVIITGRNGTINGQGQVWWDKFHAKELTYTRGYLLELLYSNNIIISNVTFVDSPSWNLHPTYCTNVTISGITILAPLNSPNTDGIDPDSSSHVKIEDSYIVSGDDCIAVKSGWDQYGIKFNMPSQHILIRRLTCISPTSAMIALGSEMSGGIRDVRAVDNVAIDTESAVRIKSGVGRGGYVKDVFVRGLSLHTMKWVFWMTGNYGQHPDNSSDPNALPEVTGINYSDVFAENVTMAGRMEGIPNAPYTGICMSNVTAQLAPDAKKLQWNCTDVKGVASDVSPVPCPELGAAGKPCAFPEEELVIGPPELPTCSY >ORUFI03G01700.1 pep chromosome:OR_W1943:3:1141974:1142393:-1 gene:ORUFI03G01700 transcript:ORUFI03G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLIMVNDARISAPWSRKRVKWLVRLKGPVSHFPFGTTSAAPPSPARSDRSATALANAAVLDLLPSPTPPYSVILATCFRHPAPAGDDGDAATPHAHSATSATAQTTCNQPTNQNSLS >ORUFI03G01710.1 pep chromosome:OR_W1943:3:1145137:1146500:-1 gene:ORUFI03G01710 transcript:ORUFI03G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVTLPSSPAPFPVTTTASSSRNVRLLLRSPPPRRALRVAASAAADAPPKPAPPPTSPSGIVLVDPTEAQKVHRLKAVYDQKVVPLITEEFGYTNVHQVPKVEKIVVNCGLGAEAGNSKGLESAMKDLAMITGQWPVKTKAKKSVASFKIREGNTIGIAVTLRGRVMFNFLDRLINLGLPRTMDFLGVNPNSFDGHGNFTIGLRDQGVFPEIPYEVGGKKNGMDVCIVTTAKTDNEALRLLTLLGMPFAEHIKSSVVIRKKRLKRHHFMSKGRGRR >ORUFI03G01720.1 pep chromosome:OR_W1943:3:1148049:1150445:-1 gene:ORUFI03G01720 transcript:ORUFI03G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLVAARAPLLSPAAAAAAHRSPPALLRLAFAPFPARRLAVPLRVAVGEPEPEEDARRAVAERAARKQSERRTYLVAAVMSSLGITSMAAAAVYYRFAWQMEVGNTPSPNSRSHLTITQFGNSFAAALKFLRVLDQGSGEIPVTEMFGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIINAVPAMSLLAYGFFTRGLVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHQIHHMDKFEGVPYGLFLGPKELEEVGGIEELEKEIKRRIKRKETLDAIQ >ORUFI03G01730.1 pep chromosome:OR_W1943:3:1156771:1163940:1 gene:ORUFI03G01730 transcript:ORUFI03G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEAPSCYVGIARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTLGVGVDSPHNKWVYDTTQFDNILKKLKVVLFDSIFGVAEFEDDVSNSPDSTPKEAKPTNNEVTKVTRPQGSLRNTYFRYKKRERGKSVSGYSAKDLEGILVRKSDDNCKVDQEVEPTCCDEPDPIICQDTVSQADDVNWWGHKFGFKSGGFLGAKSRKNKSSATKGTSNVRQTFGEEDQENLYNLVQDKATSGKQGLGIKGLPIKVAGHRWKGNKTSFGDSDEENSTQSNELSELEEDGDEEESANDNELIDANVNTVKEMCVDVKHKHKTKVKKLCKTILRQAPAQSMKLKELKVAVEAHSESMFSNFSCRREALLFLKRKIYTDPGDSTFLLTCHVALYIRIVSFVHSGANGRTGNQWKQLLPHLRTRFADQCDICECITSAPFDAIDITREAVKDGADAVIAVGGDGTLHEVVNGFFCKGSPVHALDQGPDHSTALGLIPLGTGSDFARTFGWTNDPHEAIDRIVRGSFFDSSFIVCAVSPYSWSHSGHSSPGVKSKLDIGMMEGPDGNPHYFVNVADIHLSAKAGYFSSMYKRFGNLCYVFGALRAFWGHSNRDLRIKVNGGEWKTIRKVTALCIGNAKYFGGGMKITPTADPFGGDLEVVILQDFKWHDFLLKLHRLYGGTHLSVTGVSSIRVQSIEVAEKEVSADIFVQSDGEHFGFLPTKFSVLPGAVDFFC >ORUFI03G01740.1 pep chromosome:OR_W1943:3:1174242:1178993:1 gene:ORUFI03G01740 transcript:ORUFI03G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRRLLAEAPPHQPHPSRHQQGAPSSVWSAGYLNGWLSQRTAVFGLRLWVLIGIAVGAAIVLVLVLVFVCLSRRRRRRDDLASNLYPADTKILKQHLQQPTPPKDIQEIVRRQQRQQQTPTPTPPQPRHATTAARATPPPLPTLPWLMPSPPLNLEEATDGLAEENVIGEGGYGIVYKGTLQNSAMVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVSPLTWEVRMNIILGTAKGLAYLHEGLEPKVVHRDIKSSNILLDQQWNAKVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIITGRSPVDYTRAPGEVNLVEWLKTMVAERKAEEVVDPKLPEKPSPKALKRALLVALRCVDPDGHKRPKMGHVIHMLEMDDLLCRDDKKPGRDAPQTSDRHSSRDGGNFSKRENQRYR >ORUFI03G01750.1 pep chromosome:OR_W1943:3:1180472:1183595:-1 gene:ORUFI03G01750 transcript:ORUFI03G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLGGWPSYNPQNFSQVVPADPSAQPLNVVPATYIATHRTDPPPGQVITTDPKNILLRHFYQKSEEKLRPKRAAPDNLTPQNNGKQPRGPLSDGGGSQATASGRS >ORUFI03G01750.2 pep chromosome:OR_W1943:3:1180472:1183595:-1 gene:ORUFI03G01750 transcript:ORUFI03G01750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLGGWPSYNPQNFSQNVVPATYIATHRTDPPPGQVITTDPKNILLRHFYQKSEEKLRPKRAAPDNLTPQNNGKQPRGPLSDGGGSQATASGRS >ORUFI03G01760.1 pep chromosome:OR_W1943:3:1185774:1199323:-1 gene:ORUFI03G01760 transcript:ORUFI03G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVNYAKVLEKQAIFQEKLLSAKSQETEDCLKQGNSIEALSIQKCIFESIPKHITHILEIAWVARRRIRWLLVVNHQAHACKGILADDEQKKNSKQSPSPVDSTLTSAASYMEHDDRCSNSLSGCQRENQLKPSALHN >ORUFI03G01770.1 pep chromosome:OR_W1943:3:1192998:1199081:1 gene:ORUFI03G01770 transcript:ORUFI03G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHAVCCGAMFWVYLMSCVGLVMFAGLMSGLTLGLMSLSLVDLEVLAKAGTPTDKDNAARILPVVKNQHLLLCTLLIGNSLAMEIGADGFVAAACAQALPIFLDSLVPSFGAILISVTLILAFGEIMPQAICTRYGLSVGAKAAPVVRVLLIVFFPVAYPISKAGKGGELTHDETTIITGALEMTQKTAKDAMTPISETFSLDINAKLDLVSDDLPLYDILNEFQKGHSHMAVVD >ORUFI03G01780.1 pep chromosome:OR_W1943:3:1199309:1201658:1 gene:ORUFI03G01780 transcript:ORUFI03G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQASSELQQQPAAAAAAAGMWVPGMSPQAVDAGLSARAQEIARRREEMLGMLHDLPESEYELSLTDLVEKAGGVGVAPPSPSPSEGKAEPGPARTASGRQSEQQQQAAAAAGRPERRGSARRWDSAGGSSFRSSSDGVLLNFYMPRSFTRSFTAPRTTGPGRPPSVSGGRTASVCSDRNKRERDGETVRCWSLLWDRRWRKSSRGDHGNESAIRAAILKAAKHNASSPKKI >ORUFI03G01790.1 pep chromosome:OR_W1943:3:1201667:1206131:-1 gene:ORUFI03G01790 transcript:ORUFI03G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLKLSSSAKPLAPAPGSHLLPLRAHHARPLPARLPPPPRVAVQHTAAPRSDASPRTASFDKVLEALIGGDHFSEEEAEATLRLLLEEENEARIAAFLVLLRAKGETYEEIVGLAKAMIGCCVRVDGLDDAVDIVGTGGDGADTVNISTGSTILAAAAGAKVAKQGSRASSSACGSADVLEALGVNIELGPEGIKRCVNEVGVGFMMSANYHPAMKIVKPVRKKLKIKTVFNILGPLLNPARVPYAVIGVYHENIVTKMAKAAQKFGMKRALVVHSKGLDEISPLGPGYILDVTPRKIEKMLFDPLDFGIPRCTLEDLKGGDPAFNAKVLQDVLAGERGSIADALVLNAAASLLVSGKVNSLHDGVALAQETQRSGEAINTLESWIKISNLNMNMTPSGKLLIQSCRD >ORUFI03G01800.1 pep chromosome:OR_W1943:3:1209979:1214564:-1 gene:ORUFI03G01800 transcript:ORUFI03G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAASGGGGGGQPLVVSLNCLDDPSLEQEGLAGVAGVEHVPLSAVASGRVEAAAAVLLPSLAFLPRAAQRRLRPWQLLLCLGSPDRAADAALAAELGLRLVHVDANRAEEVADTVMALFLGLLRRTHLLSRHASSAPAAVAAGWLGSVQPMCRGMRRCRGLVLGIIGRSAAARCLATRSLAFRMDVLYFDPRHSANGKAKRPSIVFPSAARRMDTLNDLLAASDLVSLHCTLTNDTMHILNADCLQHVKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAITILQSFFFDGAVPSSAISDEDEEISEAGNEDDQLEEKVSSSQVFDSEQQTDESQLKMEYEKRRAISQHKEPQASARSQHIVPRSEGRRSRSGKKGKKRPARRRSQQKTDELSAVESGSNYSSRRDDDTAMSGRDQVLSSSSRFASPEDSKYKQKSPAESPMEITSETKLPTVLRRKYPDTLKDGFVVALRTKDNSGFHVARQRLAGGGGWILDIVSNATNRDPAAQFLVTFKNKDTMGLRSFVAGGKLLQINRRMEFVFASHTFDVWESWMLEGSLLEGCKLINCRNSSAVLDVCIEILAAASEEDGVTRWLD >ORUFI03G01810.1 pep chromosome:OR_W1943:3:1215535:1219821:-1 gene:ORUFI03G01810 transcript:ORUFI03G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTMPLTFSPPRLLHRHRRREAKPQRRSATGVSLLPRRGAASAPRRLYCAPSGGGEVSAPPADEQQEQAAKEEKEEYTLLAITGSDFNEVIMIIDSPATRYLLLDTNRNVHSVLPKTGVWTNSYWDEFVSLPAVVPRGPVALLGLGAGTAAHLMLKFYPWLQLVGWEIDPKIIELSRDYFGLSDLEKATESGGSLSVRIGDALSPSATIEGGFAGIVVDLFADGKIIPQLQEVETWLEIAKKLMPDGRIMVNCGGADAAVSLADDMGLSSWVQNPTIKALCAAFPGQLNWKRLSEKESVNYVALTGPLPDLDEWSTSVPSEMSSKVKQWAYASDATLKCSRNRSARCMDGTSPLMRALKKATMLYCCLCD >ORUFI03G01810.2 pep chromosome:OR_W1943:3:1215535:1219821:-1 gene:ORUFI03G01810 transcript:ORUFI03G01810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTMPLTFSPPRLLHRHRRREAKPQRRSATGVSLLPRRGAASAPRRLYCAPSGGGEVSAPPADEQQEQAAKEEKEEYTLLAITGNVHSVLPKTGVWTNSYWDEFVSLPAVVPRGPVALLGLGAGTAAHLMLKFYPWLQLVGWEIDPKIIELSRDYFGLSDLEKATESGGSLSVRIGDALSPSATIEGGFAGIVVDLFADGKIIPQLQEVETWLEIAKKLMPDGRIMVNCGGADAAVSLADDMGLSSWVQNPTIKALCAAFPGQLNWKRLSEKESVNYVALTGPLPDLDEWSTSVPSEMSSKVKQWAYASDATLKCSRNRSARCMDGTSPLMRALKKATMLYCCLCD >ORUFI03G01810.3 pep chromosome:OR_W1943:3:1215535:1219821:-1 gene:ORUFI03G01810 transcript:ORUFI03G01810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTMPLTFSPPRLLHRHRRREAKPQRRSATGVSLLPRRGAASAPRRLYCAPSGGGEVSAPPADEQQEQAAKEEKEEYTLLAITGSDFNEVIMIIDSPATRYLLLDTNRNVHSVLPKTGVWTNSYWDEFVSLPAVVPRGPVALLGLGAGTAAHLMLKFYPWLQLVGWEIDPKIIELSRDYFGLSDLEKATESGGSLSVRIGDALSPSATIEGGFAGIVVDLFADGKIIPQLQEVETWLEIAKKLMPDGRIMVNCGGADAAVSLADDMGLSSWVQNPTIKALCAAFPGQAYASDATLKCSRNRSARCMDGTSPLMRALKKATMLYCCLCD >ORUFI03G01810.4 pep chromosome:OR_W1943:3:1215535:1219821:-1 gene:ORUFI03G01810 transcript:ORUFI03G01810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTMPLTFSPPRLLHRHRRREAKPQRRSATGVSLLPRRGAASAPRRLYCAPSGGGEVSAPPADEQQEQAAKEEKEEYTLLAITAVVPRGPVALLGLGAGTAAHLMLKFYPWLQLVGWEIDPKIIELSRDYFGLSDLEKATESGGSLSVRIGDALSPSATIEGGFAGIVVDLFADGKIIPQLQEVETWLEIAKKLMPDGRIMVNCGGADAAVSLADDMGLSSWVQNPTIKALCAAFPGQAYASDATLKCSRNRSARCMDGTSPLMRALKKATMLYCCLCD >ORUFI03G01820.1 pep chromosome:OR_W1943:3:1220954:1221691:-1 gene:ORUFI03G01820 transcript:ORUFI03G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGLPSKKKKKKKGSSAAARGFMCGCGGAKSVSVVSRLSAAGNISPATTTPPTTTSSATATSAKTTRVPAPAPNTVHDADGTPSVGTLLLQLRELERGVRALGVREDRGIRPATPPPPPPLPRHRRSASDWGASSGGRRRRGRLEEESVAVVTESDDPLGDFRRSMAQMIVENEITATPELRELLHRFLSLNSSRHHHLILRAFADVCEELFAGVGQHNSHHHHHHRPRRRPTKPLPYSATTS >ORUFI03G01830.1 pep chromosome:OR_W1943:3:1225092:1226172:1 gene:ORUFI03G01830 transcript:ORUFI03G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLDLHDNKDKQKAMKVVSTLAGIDAISMDMASRKMTVIGTVDPVNVVSKLRKASWAAYIESLGPAKEPEKKREGGGDAKKDGGDGKKDGGGNGKKEGGDGKKEGDGKKDDGDGKKGEAAAAAAKKEEGGGEKKVAAGGPVPLPMHQLPPPYMFNAGYMNQYRPPPPPPPPAYPYAPPPQYYYARDMSMEENPNSCAIC >ORUFI03G01840.1 pep chromosome:OR_W1943:3:1231671:1235895:1 gene:ORUFI03G01840 transcript:ORUFI03G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIAYCRILVVILDLTAAALSPGSAFCSEKYSPAGLFLKNLKCGSNFTDGEQQDYDTLSKGETRIVNFLTKSVENLRSLSVKDLKCHRLASPIKMKSSRHYVLYLVIILSQGEKRVWDHLKICAENVRQEDGANGHVKLESLEGMRDDGYFIPLYFIGGQQENEHDLSRLCPTIGEEDSDDIPNKVTMSLDGKPADVASSFDLCMTQLKLYMCLMYASVDISEQVGYEKILAVATPRPASFYFSMKYLNKCITAVIDPFTGWLLAIITSNYAAQFRRSDFPYVRLANTVLMKAEGKYNELAPDGNLSIPIGIGHLREAVITLADFDGIETPESLFSMAVLVHHIMEPAKIHKAYSLVYKTLSEGTETTYSLSDPSIVQLTKNLGHVSSLAGRSLFDLFLGLPVRTIPPSKFKVEGISTIHDVFSEICLIPYSLIPKWYICSKTPPMFWCPVSPGLGDPDTRPLENFPAALQTTDGERMLDLLRIELFFGDRTGVHPCAKAFEVVRRRPPGEDASYVWRLGPPIRGRPLCDAVSLKPAVDAVLRSKGKDPFISMYSFLGGQLDWGQTLLNLYNVTFTRMFTVAKVKKEGWGD >ORUFI03G01850.1 pep chromosome:OR_W1943:3:1240537:1240853:-1 gene:ORUFI03G01850 transcript:ORUFI03G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCWGTRLSPAAHRLLLFELNNALFFNKGGPCCAQRHGAQIRNRRRSLALGKIAQLADYSELKEHANSRMMPSDSSLYLCAQV >ORUFI03G01860.1 pep chromosome:OR_W1943:3:1246109:1246372:1 gene:ORUFI03G01860 transcript:ORUFI03G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPDELWTNSNDECRPRCDEQAAFVGAFRGHAQLLEAALHHLVMPRRIPGDEAVQGAVHQPRPPRRLWQAGDDDFDVLLHFNVCLY >ORUFI03G01870.1 pep chromosome:OR_W1943:3:1253257:1254249:-1 gene:ORUFI03G01870 transcript:ORUFI03G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGAAQGIGGRGADGSGLRRRQPPASKRGKPGRSGGARFFGAGASPPVERARAAPREAGDRERRPGQSKGAQRVVTNGGGRGAACDRGKGFSGELTDERNDVGGTDGVATRRTRWQGGAAPRGRGRGEERERWRPELLVTRPRTHRFLRCSAADGDDEIGSSGNRAAMVVRRQWHGGCETSENETRGEGAGFIVRRRGRFGGGKPTLAVECSAGGSGSFLGNAKAATAWMHWAAKAVDRTAKIESREREELRGTVKVGAPSWRPASGLCLRAQGHGRERERDRVREGKRKRGREKGRVVHAVLGGGERGERELGLPGLDACGVERQSSW >ORUFI03G01880.1 pep chromosome:OR_W1943:3:1254933:1255430:1 gene:ORUFI03G01880 transcript:ORUFI03G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPHRIKNGDEEDDLQLIDGIIEFQEILLPEKPTTVRITGDYGDVDMCLANVCNGVEATVEVAISEPDHGFDLSISCVHFMMEKSKEFHLFGGTIGESRQLRRFVMAVFLDTVMHLKFKVDQKGSNVVEHCCSFESKLHGCASHQIKLENASILVKVTWSPLIE >ORUFI03G01890.1 pep chromosome:OR_W1943:3:1260004:1263762:-1 gene:ORUFI03G01890 transcript:ORUFI03G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAEAAGAGAGAGPARRTTRVGRYELGKTIGEGSFAKVKVARDTRTGDTLAIKVLDRNHVLRHKMVEQIKREISTMKLIKHPNVVQLHEVMASKSKIYMVLEYVDGGELFDKIVNSGRLGEDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGALKVSDFGLSAFAPQTKEDGLLHTACGTPNYVAPEVLADKGYDGMAADVWSCGIILFVLMAGYLPFDDPNLMTLYKLICKAKVSCPHWFSSGAKKFIKRILDPNPCTRITIAQILEDDWFKKDYKPPLFEQGEDVSLDDVDAAFDCSEENLVAEKREKPESMNAFALISRSQGFNLGNLFEKEMMGMVKRETSFTSQCTPQEIMSKIEEACGPLGFNVRKQNYKMKLKGDKTGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHNFYNNFSSELKDIVWKSESDAKAAKKR >ORUFI03G01900.1 pep chromosome:OR_W1943:3:1265986:1267802:-1 gene:ORUFI03G01900 transcript:ORUFI03G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHERKPVGEAVDVEAGGGGGRQRAAAAAGERMRLTWSCLAVAAGVAATGVAGAAVLVWWAVAFHPAHEQLWMVPVGLVLLGTPLVAWLSLFASGACRRLGSLRAVQDQDSGGSVRSGPDSTRILHASCMQKPPCELRVPTYGYLWAPNGKPAKPLLCFEFRRRRRCGSGALTLTIAVAIQLDYITGGGMACPGTVPFGGASTRKAAVR >ORUFI03G01910.1 pep chromosome:OR_W1943:3:1270207:1271851:-1 gene:ORUFI03G01910 transcript:ORUFI03G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGYGWALAAGLNAALAAISAKFFAPPLLKYSMVILFNVTMWGCYVNSLKALSSLQATVTNFAANFISSGLAGYFLFEEPLPSKWFVGASLIIVGVFILSKSSIEKKLNSD >ORUFI03G01920.1 pep chromosome:OR_W1943:3:1274052:1276432:-1 gene:ORUFI03G01920 transcript:ORUFI03G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQEHCGIGYDEQSEWYFFSYKDRKYPTGTRTNRATMAGFWKATGRDKAVHDKSRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENAPPQRTAYPARSMVETWDYSLHERNIMSAAAAAAFADPSAAYAQMRRQHRSGRFKQEAELDGAATALLHYSSHLAELPQLESPSAAAAPLQPNPSQLATAGEDDDCKGDNGGRRAKKARAAGDKVATTTDWRALDKFVASQLSPGECGSMEATAEAAAAAVAGVSSPLDHGDDDMAALLFLNSDERDEVDRWTGLLGSGAGASGVDGDLGICVFDK >ORUFI03G01930.1 pep chromosome:OR_W1943:3:1296263:1300965:1 gene:ORUFI03G01930 transcript:ORUFI03G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGIGDALMQPQHVQVMSSSLPMVASTFVAEPAAAANKPRAAGLPPTPPQVFAAQRAAAAAGGDVCMEESAQGGGGGLPPRKAHRRSSSDVPFGYLAGQHQLLPPKVEAGWGHLGAGAGGAAAADDLFNAYLNLEGLDGLNSSDDRHDEGDSRGSSIKTNGADSSENESEECADDTRGGIRLWSADGGERREGVKRNAAGEPATAPLARHARSLSMDSLIGKFNFTAGTAAAAGNGVALGPNRFSLEFGSGEFTPSEMKKIMADEKLAEMALADPKRVKRVLANRQSAARSKERKMRYIAELEQKVQILQSEATNLSAQLTMMQRDSAGLATQNNELKFRLHAMEQQAQLRDALNEALTTEVQRLKLATAELGDSCSSSSLAQQIQLNAQNQMFQLQQQQATQIPFYQLQQSQQNGAAKNNESKE >ORUFI03G01940.1 pep chromosome:OR_W1943:3:1299169:1303876:-1 gene:ORUFI03G01940 transcript:ORUFI03G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDPPSELLVTPKTARKDEAASCNAATPPKPSPVSPEEMRAVARKFAEQPVQNPDDGVWAVLTAISKNARLRPEGMNILLSADEHYIGRAVQESSFKISSLQISGKHCKIYRDTVLGELNRNEPVPVFLKDSSSNGTFINWTRLKKISPPTKLNHGDIISFVSAPHDNTSFAFVYREVNAVSRAENEVTILKRKSEDIHSERKRLKGLGIGSSDGPVSLDDVRRLEKSNAELREQLEEHVVTIETLRTQIKISEAQHEKELKELKEITSSTYVDQAKSLQQTLEYKQKQIDSLSTSNTELQNSIKDLDERLSAYKQSRAEADEIIQSQKSNICELEAQLSEERDLRREERDKAAEDLKSALHKVNAEAQEEIKRQAEAHLRQQREQKEVISKLQESEKEIRLLVETLRSKLEDTRENLVTSEKKARELEAQLQDEQLVSANNQKKSDKLEMDLRKVKKELEHEKAAREEAWAKVSALELEIAATIRDLSIEKQRYQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEENYENSIMGDDLNKVPLATVTADDARTRVNYSKNTMEASGASTENTQASEQSSSDDSKETEQQDDFTRVEGANTQEVECNSPEMATERFRSDSHGDLAATAPELEPTDTEQVPETESQAGNVGCGDHNSALQRFSEMGGDTMQLDDEVQPQENDESILICKDRGQPQGNEEASLTLKDGIGHYSEEKLEVNCSERKHEDTQTRTIGTADLLASEVAGSWAVETGPSVNGENESPRSLGETTDHAGEQDENVRGSSAADALVNSDGQAAGSQSNIDHVISKITDHHRVLNAMIEIVDPDFRKQLPGSGVGKDDLMSDAETEEGSEANDTDSDSEEAMVEDSVG >ORUFI03G01950.1 pep chromosome:OR_W1943:3:1306878:1311791:1 gene:ORUFI03G01950 transcript:ORUFI03G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTRSLAAAAAVLVVGVVLHWVGVVDAATAAERGILLEFKAAVTDPNGALASWTAGGDPCVDFAGVTCDPSSRAVQRLRVHGAGIAGKLTPSLARLASLESVSLFGNGLSGGIPSSFSALGPTLHKLNLSRNALSGEIPPFLGAFPWLRLLDLSYNAFSGEIPASLFDPCLRLRYVSLAHNALTGPVPTAITNCSRLAGFDFSYNRLSGELPDQLCAPPEISYISVRSNSLSGAIAGKLNACRSIDLLDVGSNHFAGPAPFGLLGLVNITYFNVSSNAFDGEIPNIATCGTKFSYFDASGNRLTGPVPESVANCRSLRVLDLGTNALAGDIPPSIGKLRSLSVLRLAGNAGIAGSIPAELGGIEMLVTLDLAGLALIGDIPVSLSQCQFLLELNLSGNQLQGVIPDTLNNLTYLKLLDLHRNHLVGGIPVTLAQLTNLDLLDLSENQLTGPIPSELGNLSNLTHFNVSYNGLSGMIPALPVLQSFGSSAFMGNPLLCGPPLNNLCGASRRAKRLAVSVIIVIVAAALILIGVCIVCAMNIKAYMRRSKEEQEGKEEDEVLESESTPMLASPGRQGSNAIIGKLVLFSKSLPSRYEDWEAGTKALLDKDCLVGGGSVGTVYKATFENGLSIAVKKLETLGRVRSQDEFEQEMGQLGNLSHPNLVAFQGYYWSSSTQLILSEFMVNGSLYDHLHGSPHTFSGSSSGVGLSWEQRFKVALGTARALAYLHHDCRPQVLHLNIKSSNIMLDKDFEAKLSDYGFGKLLPILGSYELSRLHAAIGYIAPELASTSLRYSDKSDVFSFGVVLLEIVTGRKPVESPGVATAVVLRDYVRAILEDGTVSDCFDRSMKGFVEAELVQVLKLGLVCTSNTPSARPNMAEVVQYLESVRTNS >ORUFI03G01960.1 pep chromosome:OR_W1943:3:1313342:1320519:-1 gene:ORUFI03G01960 transcript:ORUFI03G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAGRVPLSRRRSTAALLLVALALVLGVQLRSAAARPDKEMREKFYGKLVTNGSGNATGDGSIAEMFGRVLDKEFSDSDTPEAPDKSSFNNSISDHQAVLETVAVITHDKKKNDSEQANSSKPFQIGDMFGGQNENSDDLETVIDKEDNVFVMSNRKTKYPTLQLDLRLIKDLVVIIVSATAGGIIFSCLGQPVIVGYLLAGSLVGPGGLNFISEMVQVETFAQFGVVFLLFALGLEFSLTKLKAVGPVAVIGGLLQIVLFMFLCGLTAALCGAKSSEGVFVGAFLSMSSTAVVSKFLVEKGSTNALHGQVTIGTLILQDCAVGLLFALLPVLGGASGIFGGVMSMAKLLLVLSVFITVAYMMTWSFVPRFLKLMIQLSSQTNELYQLASVAFCLLLAWCSDYLGLSLELGSFLAGVMISTTDFAHHTLEQVEPIRNLFAALFLASIGMLIHVKFLWNHVDILLAAVILVIIVKSVVVTVVVKAFGYSIRTAFVGKMYLLLLGTTALSLVTTPLIFKLIPVVMHLGILMRWFPSESSMQNELPLQDKATMLEAYNRSL >ORUFI03G01960.2 pep chromosome:OR_W1943:3:1313635:1320519:-1 gene:ORUFI03G01960 transcript:ORUFI03G01960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAGRVPLSRRRSTAALLLVALALVLGVQLRSAAARPDKEMREKFYGKLVTNGSGNATGDGSIAEMFGRVLDKEFSDSDTPEAPDKSSFNNSISDHQAVLETVAVITHDKKKNDSEQANSSKPFQIGDMFGGQNENSDDLETVIDKEDNVFVMSNRKTKYPTLQLDLRLIKDLVVIIVSATAGGIIFSCLGQPVIVGYLLAGSLVGPGGLNFISEMVQVETFAQFGVVFLLFALGLEFSLTKLKAVGPVAVIGGLLQIVLFMFLCGLTAALCGAKSSEGVFVGAFLSMSSTAVVSKFLVEKGSTNALHGQVTIGTLILQDCAVGLLFALLPVLGGASGIFGGVMSMAKLLLVLSVFITVAYMMTWSFVPRFLKLMIQLSSQTNELYQLASVAFCLLLAWCSDYLGLSLELGSFLAGVMISTTDFAHHTLEQVEPIRNLFAALFLASIGMLIHVKFLWNHVDILLAAVILVIIVKSVVVTVVVKAFGYSIRTAFVGKMYLLLLGTTALSLVTTPLIFKLIPVVMHLGILMRWFPSESSMQNEVCFLSFFADGCGYNY >ORUFI03G01970.1 pep chromosome:OR_W1943:3:1324734:1325939:1 gene:ORUFI03G01970 transcript:ORUFI03G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQRLVAAVVVLVACLALPAARGLNITAMLNGYPDYKMFNKYLSETKVCDEINARESITLLVLGDGPMSTLVLDADQSLAGIKNALRLHAILDYFDPKKIRGLPDADTMTDTLYQAGGDAAGKMGNVKISTLDTGKIAFASANPGGKYEATMVKAIKQMPYKLSIMEISAPIEFDGLFDTPSATNLTRLLEKAGCKRFASLITSTGVLKTFEDAMDKGLTLFAPNDDAFDAKGAPDVKKMPSADLVTLLKYHALPSYNPKPTLKTVSRAMRTLASTASGKYNITVDTRGDAVTLNTGVDKSRVAATVIDDTPVCVLTVDNLLMPVELFGDAPAAAPSPDDAAPAPSPSVADASPPAPPPADAPSKPADHKEMKASSAVALRSVVLGALAAAVCSFVLL >ORUFI03G01980.1 pep chromosome:OR_W1943:3:1327806:1346362:-1 gene:ORUFI03G01980 transcript:ORUFI03G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRTLSRGGPMQPPGQRRILRTQTAVNLGEQIFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENEPTLRGRARKSDAREIQAFYQHYYKKYIQALQNVSDQVDRAQLTKAYQTANVLFEVLKAVTQQHSVEVDHEILEAADKVKEKTKIYLPFNILPLDPDSGNQAVMKFPEIQAAAVALRNTRGLPWPKTYEHKVNEDLLDWLQSMLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFEMYGMLVGNVSALTGEYVKPAYGGEKEAFLKKVVTPIYLTIAKEAERSKREKGNHSEWRNYDDLNEYFWSAECFRLGWPMRADADFFCQHLNSPDQRNETTRTEKQKGKVNFVELRSFWHIFRSFDRMWSFFILALQVMVILAWNGGSLGNIFDPVVFKKILSIFITSAILNLGQATLDIIFNWKARRTMEFAVKLRYVLKFTLAALWVVLLPVTYAYTWENPTGIIRAIKGWFGNGQNHPSLFVLAVVIYLSPSLLAAILFLLPFLRRILESSDYKFVRFVMWWSQLTTDQDNVENIVVSYYLRRRPDMTKQNPRLFVGRGMHESAFSLFIYYVEIKPLVEPTKDIMKLPIHTFQWHEFFPKANGNIGVVIALWAPIILVYFMDTQIWYTIFSTLLGGIYGAFQRLGEIRTLGMLRSRFGSIPLAFNACLIPAEESDAKRKKGLKSYLHSRFERKHTDKEKIAARFAQMWNEIITSFREEDLINNKEKELLLVPYVADQALEIMQWPPFLLASKIPIAVDMAKDSNGKDRDLKKRLENDYYFKCAIEECYASFKNIIKDLVQGEPEKRVINTIFAEVEKYIADDKEKNDKNDRDAVIKIFQDMLEVVTRDIMEDQLSSILESSHGGSYQRPEGTTTWDQEYQLFQPAGAIKFPLQFTDAWIEKIKRLELLLTVKESAMDVPSNLEARRRLTFFTNSLFMDMPDAPKVRNMLSFSALTPYYNEPVLFSIKELQEENEDGVSTLFYLQKIYPDEWKNFQQRVEWDEELKENEDKNEELRLWASYRGQTLARTVRGMMYYRKALVLEAFLDMAKHEDLMEGYKAVESTDEQWKLQRSLFAQCEAVADMKFTYVVSCQQYGNDKRAALPNAQDILQLMRTYPSLRVAYIDQVEDRVEEKKMEPAYYSTLVKVALTKDSESTDPVQNLDQVIYRIKLPGPAMLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLTEHGVRRPSILGVREHIFTGRVRFHYGHPDVFDRIFHLTRGGVSKASRSINLSEDIFAGYNSTLRGGNITHHEYVQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLALSGLEEGLLTQRRYIHNHPLQVALASQSLVQLGFLMALPMMMEIGLEKGFGQALMLTWLFAPFLFNPSGFEWTKIVDDWSDWNKWISNRGGIGVSPDKSWESWWEIELEHLKYSGTIGLFVEIILSLRFFIYQYGLVYHLNITGDKSILIAQACKPLARRAGLWGSVRALARAYEIIMGVLLFTPITILAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKERERSSRNKD >ORUFI03G01980.2 pep chromosome:OR_W1943:3:1327806:1346323:-1 gene:ORUFI03G01980 transcript:ORUFI03G01980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPGQRRILRTQTAVNLGEQIFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENEPTLRGRARKSDAREIQAFYQHYYKKYIQALQNVSDQVDRAQLTKAYQTANVLFEVLKAVTQQHSVEVDHEILEAADKVKEKTKIYLPFNILPLDPDSGNQAVMKFPEIQAAAVALRNTRGLPWPKTYEHKVNEDLLDWLQSMLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFEMYGMLVGNVSALTGEYVKPAYGGEKEAFLKKVVTPIYLTIAKEAERSKREKGNHSEWRNYDDLNEYFWSAECFRLGWPMRADADFFCQHLNSPDQRNETTRTEKQKGKVNFVELRSFWHIFRSFDRMWSFFILALQVMVILAWNGGSLGNIFDPVVFKKILSIFITSAILNLGQATLDIIFNWKARRTMEFAVKLRYVLKFTLAALWVVLLPVTYAYTWENPTGIIRAIKGWFGNGQNHPSLFVLAVVIYLSPSLLAAILFLLPFLRRILESSDYKFVRFVMWWSQLTTDQDNVENIVVSYYLRRRPDMTKQNPRLFVGRGMHESAFSLFIYYVEIKPLVEPTKDIMKLPIHTFQWHEFFPKANGNIGVVIALWAPIILVYFMDTQIWYTIFSTLLGGIYGAFQRLGEIRTLGMLRSRFGSIPLAFNACLIPAEESDAKRKKGLKSYLHSRFERKHTDKEKIAARFAQMWNEIITSFREEDLINNKEKELLLVPYVADQALEIMQWPPFLLASKIPIAVDMAKDSNGKDRDLKKRLENDYYFKCAIEECYASFKNIIKDLVQGEPEKRVINTIFAEVEKYIADDKEKNDKNDRDAVIKIFQDMLEVVTRDIMEDQLSSILESSHGGSYQRPEGTTTWDQEYQLFQPAGAIKFPLQFTDAWIEKIKRLELLLTVKESAMDVPSNLEARRRLTFFTNSLFMDMPDAPKVRNMLSFSALTPYYNEPVLFSIKELQEENEDGVSTLFYLQKIYPDEWKNFQQRVEWDEELKENEDKNEELRLWASYRGQTLARTVRGMMYYRKALVLEAFLDMAKHEDLMEGYKAVESTDEQWKLQRSLFAQCEAVADMKFTYVVSCQQYGNDKRAALPNAQDILQLMRTYPSLRVAYIDQVEDRVEEKKMEPAYYSTLVKVALTKDSESTDPVQNLDQVIYRIKLPGPAMLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLTEHGVRRPSILGVREHIFTGRVRFHYGHPDVFDRIFHLTRGGVSKASRSINLSEDIFAGYNSTLRGGNITHHEYVQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLALSGLEEGLLTQRRYIHNHPLQVALASQSLVQLGFLMALPMMMEIGLEKGFGQALSEFIMMNLQLAAVFFTFSLGTKTHYYGRMLLHGGAQYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELLILLIIYQLFGQSYRSTIAYIFVTFSMWFLVLTWLFAPFLFNPSGFEWTKIVDDWSDWNKWISNRGGIGVSPDKSWESWWEIELEHLKYSGTIGLFVEIILSLRFFIYQYGLVYHLNITGDKSILIAQACKPLARRAGLWGSVRALARAYEIIMGVLLFTPITILAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKERERSSRNKD >ORUFI03G01980.3 pep chromosome:OR_W1943:3:1327806:1346362:-1 gene:ORUFI03G01980 transcript:ORUFI03G01980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRTLSRGGPMQPPGQRRILRTQTAVNLGEQIFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENEPTLRGRARKSDAREIQAFYQHYYKKYIQALQNVSDQVDRAQLTKAYQTANVLFEVLKAVTQQHSVEVDHEILEAADKVKEKTKIYLPFNILPLDPDSGNQAVMKFPEIQAAAVALRNTRGLPWPKTYEHKVNEDLLDWLQSMLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFEMYGMLVGNVSALTGEYVKPAYGGEKEAFLKKVVTPIYLTIAKEAERSKREKGNHSEWRNYDDLNEYFWSAECFRLGWPMRADADFFCQHLNSPDQRNETTRTEKQKGKVNFVELRSFWHIFRSFDRMWSFFILALQVMVILAWNGGSLGNIFDPVVFKKILSIFITSAILNLGQATLDIIFNWKARRTMEFAVKLRYVLKFTLAALWVVLLPVTYAYTWENPTGIIRAIKGWFGNGQNHPSLFVLAVVIYLSPSLLAAILFLLPFLRRILESSDYKFVRFVMWWSQLTTDQDNVENIVVSYYLRRRPDMTKQNPRLFVGRGMHESAFSLFIYYVEIKPLVEPTKDIMKLPIHTFQWHEFFPKANGNIGVVIALWAPIILVYFMDTQIWYTIFSTLLGGIYGAFQRLGEIRTLGMLRSRFGSIPLAFNACLIPAEESDAKRKKGLKSYLHSRFERKHTDKEKIAARFAQMWNEIITSFREEDLINNKEKELLLVPYVADQALEIMQWPPFLLASKIPIAVDMAKDSNGKDRDLKKRLENDYYFKCAIEECYASFKNIIKDLVQGEPEKRVINTIFAEVEKYIADDKEKNDKNDRDAVIKIFQDMLEVVTRDIMEDQLSSILESSHGGSYQRPEGTTTWDQEYQLFQPAGAIKFPLQFTDAWIEKIKRLELLLTVKESAMDVPSNLEARRRLTFFTNSLFMDMPDAPKVRNMLSFSALTPYYNEPVLFSIKELQEENEDGVSTLFYLQKIYPDEWKNFQQRVEWDEELKENEDKNEELRLWASYRGQTLARTVRGMMYYRKALVLEAFLDMAKHEDLMEGYKAVESTDEQWKLQRSLFAQCEAVADMKFTYVVSCQQYGNDKRAALPNAQDILQLMRTYPSLRVAYIDQVEDRVEEKKMEPAYYSTLVKVALTKDSESTDPVQNLDQVIYRIKLPGPAMLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLTEHGVRRPSILGVREHIFTGRVRFHYGHPDVFDRIFHLTRGGVSKASRSINLSEDIFAGYNSTLRGGNITHHEYVQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLALSGLEEGLLTQRRYIHNHPLQVALASQSLVQLGFLMALPMMMEIGLEKGFGQALSEFIMMNLQLAAVFFTFSLGTKTHYYGRMLLHGGAQYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELLILLIIYQLFGQSYRSTIAYIFVTFSMWFLVLTWLFAPFLFNPSGFEWTKIVDDWSDWNKWISNRGGIGVSPDKSWESWWEIELEHLKYSGTIGLFVEIILSLRFFIYQYGLVYHLNITGDKSILIAQACKPLARRAGLWGSVRALARAYEIIMGVLLFTPITILAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKERERSSRNKD >ORUFI03G01980.4 pep chromosome:OR_W1943:3:1346376:1347255:-1 gene:ORUFI03G01980 transcript:ORUFI03G01980.4 gene_biotype:protein_coding transcript_biotype:protein_coding PPPSLLPTQKKKKKKTHLLVPFHPHLLLPFRWIPAAVSTSPASPSGVERRRGRWPVRCGVVAGERLLGFAASEKVSGVFWKLRCDAPATARKCLQVCDTGVILLVGWGFAFWSRGGLGGRHGLGPQHGFQVWAW >ORUFI03G01980.5 pep chromosome:OR_W1943:3:1346357:1347255:-1 gene:ORUFI03G01980 transcript:ORUFI03G01980.5 gene_biotype:protein_coding transcript_biotype:protein_coding PPPSLLPTQKKKKKKTHLLVPFHPHLLLPFRWIPAAVSTSPASPSGVERRRGRWPVRCGVVAGERLLGFAASEAGVLHFGAAVVSAEVRVRRFGRGDWKQSR >ORUFI03G01990.1 pep chromosome:OR_W1943:3:1353572:1354140:-1 gene:ORUFI03G01990 transcript:ORUFI03G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAQGGGGAVSVQHVARASSDELLRKFADPDAHAKQITPPRRSLALRRKRSSRRVASGLSARDLESGAELAAPKRRRSIGGSTEWKAGLLLPTTTTASARKGSAASHSHRGGAARLDDAAGIGLLLAALERTWRKTVAGASKMFVERHRTNHVLLISDMV >ORUFI03G02000.1 pep chromosome:OR_W1943:3:1355277:1356772:-1 gene:ORUFI03G02000 transcript:ORUFI03G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIFPHLASTLPLLRAVRTPRRLPPAVSAVPPRAARVVLRGFRLPDPAARKFLCFEDSIGLQTEHQKPDSTSTGAKQNSSSDDNSSSTDGPPVLTILAGIIVFLLVLWVIGSLFTWIAGLVFGAAKS >ORUFI03G02010.1 pep chromosome:OR_W1943:3:1357597:1361466:-1 gene:ORUFI03G02010 transcript:ORUFI03G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQAEYSNLDERYAIQGEKYQGQQYSHIYFTRLHHMRNLLHALVPSWKPHLPVTTVLGLEEGKDCIIVGTLYKHMKLKPSILDEYSKERSAIPLVKPHNFMHPDDHLILEDESGRVTLAGAIPPAAYVTGVVIALHGKETSAGNFLVEDILEAGIPPQITLPSINEDKYVVFVSGLSIGSEKFNPLQFQLLIDHITGHLGDENEQSIASNIVRVVVAGNSVHISPRFFNGQAVASKDQSRIAEPIKELDIMLTQLVASLPVDMMPGSNDPANFSLPQQPLHRCLFAGAATYNTFSLCSNPHQFELDSVRFIGTSGQNIDDLYKYSDAKDKLEFVERTLRWRHLAPTAPNSLGCYPYTDKDPFLVESCPHVYFVGNQDKYETQLLQGPEKQKVRLICIPRFCDSGVAVMELGVQHVEFLNKLRCLTMLYLSGVQKMELLFNMNGKS >ORUFI03G02010.2 pep chromosome:OR_W1943:3:1357597:1361466:-1 gene:ORUFI03G02010 transcript:ORUFI03G02010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQAEYSNLDERYAIQGEKYQGQQYSHIYFTRLHHMRNLLHALVPSWKPHLPVTTVLGLEEGKDCIIVGTLYKHMKLKPSILDEYSKERSAIPLVKPHNFMHPDDHLILEDESGRVTLAGAIPPAAYVTGVVIALHGKETSAGNFLVEDILEAGIPPQITLPSINEDKYVVFVSGLSIGSEKFNPLQFQLLIDHITGHLGDENEQSIASNIVRVVVAGNSVHISPRFFNGQAVASKDQSRIAEPIKELDIMLTQLVASLPVDMMPGSNDPANFSLPQQPLHRCLFAGAATYNTFSLCSNPHQFELDSVRFIGTSGQNIDDLYKYSDAKDKLEFVERTLRWRHLAPTAPNSLDKDPFLVESCPHVYFVGNQDKYETQLLQGPEKQKVRLICIPRFCDSGVAVMELGVQHVEFLNKLRCLTMLYLSGVQKMELLFNMNGKS >ORUFI03G02020.1 pep chromosome:OR_W1943:3:1370301:1374953:1 gene:ORUFI03G02020 transcript:ORUFI03G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQCQNGTLGSDYHNRFPREHAVGYVQGDSYLDLKKFDDTWPEVNNFKPTAASILRRGLDPTSINVLGRKTADLREHYIIGRKLGQGQFGTTYLCTEINTGCEYACKTIPKRKLITKEDVEDVRREIQIMHHLSGHKNVVAIKDVYEDGQAVHIVMELCAGGELFDRIQEKGHYSERKAAELIRIIVSIVAMCHSLGVMHRDLKPENFLLLDKDDDLSIKAIDFGLSVFFKPGQVFTELVGSPYYVAPEVLHKRYGPESDVWSAGVILYVLLSGVPPFWAETQQGIFDAVLKGHIDFQSDPWPKISDSAKDLIRKMLSHCPSERLKAHEVLRHPWICENGVATDQALDPSVISRLKQFSAMNKLKKLALRVIAERLSEEEIAGLREMFKAVDTKNRGVITFGELREGLRRFGAEFKDTEIGDIMEAAHNDNNVTIHYEEFIAATLPLNKIEREEHLLAAFTYFDKDGSGYITVDKLQRACGEHNMEDSLLEEIISEVDQNNDGQIDYAEFVAMMQGSNVGLGWQTMESSLNVALRDAPQCQAAEATDEPVH >ORUFI03G02020.2 pep chromosome:OR_W1943:3:1370780:1374953:1 gene:ORUFI03G02020 transcript:ORUFI03G02020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQCQNGTLGSDYHNRFPREHAVGYVQGDSYLDLKKFDDTWPEVNNFKPTAASILRRGLDPTSINVLGRKTADLREHYIIGRKLGQGQFGTTYLCTEINTGCEYACKTIPKRKLITKEDVEDVRREIQIMHHLSGHKNVVAIKDVYEDGQAVHIVMELCAGGELFDRIQEKGHYSERKAAELIRIIVSIVAMCHSLGVMHRDLKPENFLLLDKDDDLSIKAIDFGLSVFFKPGQVFTELVGSPYYVAPEVLHKRYGPESDVWSAGVILYVLLSGVPPFWAETQQGIFDAVLKGHIDFQSDPWPKISDSAKDLIRKMLSHCPSERLKAHEVLRHPWICENGVATDQALDPSVISRLKQFSAMNKLKKLALRVIAERLSEEEIAGLREMFKAVDTKNRGVITFGELREGLRRFGAEFKDTEIGDIMEAAHNDNNVTIHYEEFIAATLPLNKIEREEHLLAAFTYFDKDGSGYITVDKLQRACGEHNMEDSLLEEIISEVDQNNDGQIDYAEFVAMMQGSNVGLGWQTMESSLNVALRDAPQCQAAEATDEPVH >ORUFI03G02030.1 pep chromosome:OR_W1943:3:1374917:1376938:-1 gene:ORUFI03G02030 transcript:ORUFI03G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHLLSGQRLLLPIPCGACYRSPPSPAIKPSPLGLRPFTKSSSSLPLHLHAVSPATVATDGSSTSAQPDSWAEFASRVSGEWDGFGAEFTAAGDAVELPENVVPEAYRDWGVQVFDWQTQCPTLADPAAPCDLHYRLVRLLPTVGCEADAATVHTSHQRHASSASAFAYSAAGSYVAAWPRGPAPVLEVEHCVVHPDNREVRVRLVQTVALAKDARLRGVKVFSEQWYGPYRNGDQLGGCALREAAFAAGEKLAASDVTGQWQSDAASAARFSGELDPETGKFAGLTPDEPGERLSRDDGDGIVTLPKQLWSSFKENGKDEFVCEVGWVLGHGSAITSRCVLSRDGDVKARDSRFARVACVGGNLIRISKLSAA >ORUFI03G02030.2 pep chromosome:OR_W1943:3:1374875:1376938:-1 gene:ORUFI03G02030 transcript:ORUFI03G02030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHLLSGQRLLLPIPCGACYRSPPSPAIKPSPLGLRPFTKSSSSLPLHLHAVSPATVATDGSSTSAQPDSWAEFASRVSGEWDGFGAEFTAAGDAVELPENVVPEAYRDWGVQVFDWQTQCPTLADPAAPCDLHYRLVRLLPTVGCEADAATVHTSHQRHASSASAFAYSAAGSYVAAWPRGPAPVLEVEHCVVHPDNREVRVRLVQTVALAKDARLRGVKVFSEQWYGPYRNGDQLGGCALREAAFAAGEKLAASDVTGQWQSDAASAARFSGELDPETGKFAGLTPDEPGERLSRDDGDGIVTLPKQLWSSFKENGKDEFVCEVGWVLGHGSAITSRTKQSRVATAE >ORUFI03G02040.1 pep chromosome:OR_W1943:3:1375312:1383473:1 gene:ORUFI03G02040 transcript:ORUFI03G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAAADLGAWKNTRQQYSPSSPISLGSSVFSESSLELSTTTADGSTANAVLAAIVASIGNLLQGWDNAAIAGAIMYIKNEFNLQNDPMMEGLILAMSLIGATIITALSGMITNSIGKRPLLSVAAILYSISALIMFQVSNEYMLLLARLIYGFGSGLVVTYAPLYISETAPTNMRGLLNTLPQFNGSLGMLLSYIMVFLMSLTLNPNWRIMLGSLSIPSFVFLLLCIFYLPESPVFLVSKGKIEEAKNVMKRLRGTNEVSSEIAFLIQGLTVDQDNYIEDYMIGHNNDEFDDQSISNTETTKLYGHEEGVTWFARPFKGKNVVESDHSPIPNLLDPIVTLFDSIHGNILNTPEFTSSGNMSNDIEQPKTDLESQEDLDTDYEDDLGHPLLFHQGSYMEGIDDACVNGGWHMAWKFVQRENEFGQTQDDFQQIFLQGDILQAGRVSHATALVSTPSFHHSIGPAMVHPSKFNLSTEGQSWSDLLQPGVKQGLIVGVTIQILQQLAGISGILYYTPQILEQAGAGILLKWFNVSSSSSSILTSALTTFMMLPSIGIAMKCMDRYGRRSLLLYTIPMLIVSLIILIVVNVMNLEAIFGAILSTFGVIIYVCCFVMGFGPIPNVLCSELFPPSCRNRCMSICTLTFWIVSIIVTYAFPVMLSSIGLIGVCGIYAVVCIVSFIFVLIKVPETKGMPLAVIANSLAVGARLSVKRNENI >ORUFI03G02050.1 pep chromosome:OR_W1943:3:1386886:1394151:1 gene:ORUFI03G02050 transcript:ORUFI03G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEATQVSASSAVAVHALCFAGIVAAHQLSGRGMLVSNPAYALRLLVVFEAPLVIAVFSLLRRNPKRCSFLKAAARGLLGLPIGAFLNAFGAIVLGAPIGIKYWAATTYWSLLMSLFTFVPAACVFGASKVNWQAVLSHSIYCGSTDSVDYMISAPAHGAVIGAWLGAWPMPLDWERPWQEWPISVTYGSVAGHLIGMAISLALVVTHKRRGRAKAD >ORUFI03G02050.2 pep chromosome:OR_W1943:3:1386906:1394151:1 gene:ORUFI03G02050 transcript:ORUFI03G02050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEATQVSASSAVAVHALCFAGIVAAHQLSGRGMLVSNPAYALRLLVVFEAPLVIAVFSLLRRNPKRCSFLKAAARGLLGLPIGAFLNAFGAIVLGAPIGIKYWAATTYWSLLMSLFTFVPAACVFGASKVNWQAVLSHSIYCGSTDSVDYMISAPAHGAVIGAWLGAWPMPLDWERPWQEWPISVTYGSVAGHLIGMAISLALVVTHKRRGRAKAD >ORUFI03G02050.3 pep chromosome:OR_W1943:3:1390700:1391112:1 gene:ORUFI03G02050 transcript:ORUFI03G02050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWMADEDATLLLSGSIRLNPCGRTTGATGTGYMSLASPANPGDAGALGGSSDLTLCLCSRCTGDEPRLGVVSPLICARNSSASRSFFFSLAVFLQFIRAFIVCSSKMVEDVGIETSKSIYK >ORUFI03G02060.1 pep chromosome:OR_W1943:3:1390663:1393955:-1 gene:ORUFI03G02060 transcript:ORUFI03G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGRALPETPTWAVAVVCAVIVLVSVAMEHGLHKLGHWFHKREKKAMGEALEKIKAELMLLGFISLLLTVAQTPISKICIPESAANIMLPCKAGQDIVKGLKGKKDHRRRLLWYTGEEESHRRSLAGAAGEDYCAQSGKVALMSSGGMHQLHIFIFVLAVFHVTYCVITMALGRLKMKKWKKWELETNSLEYQFANDPSRFRFTHQTSFVKRHLGLSSTPGLRWIVAFFRQFFGSVTKVDYLTMRQGFINAHLSQNSKFDFHKYIKRSLEDDFKVVVGISLPLWFVAILVLFLDIQGFGTLIWISFVPLVILMLVGTKLEMVIMEMAQEIQDRATVIKGAPVVEPSNKYFWFNRPDWVLFFIHLILFQNAFQMAHFVWTLATPGLKKCFHENMGLSIMKVVVGIFIQFLCSYSTFPLYALVTQVKPFRQKSLVNALGGLNSNVLNLSVCLQMGSNMKKTIFEEQTMKALMNWRKTAREKKKLRDADEFLAQMSGDTTPSRGSSPVHLLHKQRVRSEDPPSAPASPGFAGEARDMYPVPVAPVVRPHGFNRMDPDKRRVASSSAIQVDIADSDFSFSVQR >ORUFI03G02070.1 pep chromosome:OR_W1943:3:1398132:1406780:-1 gene:ORUFI03G02070 transcript:ORUFI03G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSKTFVKKTRAGRVQKVVREHYLRDDISCGAASCSTCGAVEHPLSADAAAILVVDTNVVLHQIDLLENPAIDDVVLLSVVLDEVKNKNLAVFNRIKALCSNKARRFFVFTNEHHRDTYVKEMVGESPNDRNDRAIRVATRWYQSHLGENVKVLLITNDRDNKRKAIEEGINAETVESYVRSLAQPGLLDLVVVSTSGDVTMEDVEDLRPSKKKHKPMSEITAGLRCGIYHQGKLRVNRYNPFEAYVGSESIGDEIVIHGRSNMNRAFDGDIVAVELLPQDQWHESKSFIADDDEDDEEDVHLAPNSADDAPRKANPTQSTAASSADSVSSRPVGRVVGIIKRNWNSYCGSLEPMPMPAGSGGVAHALFVSKDRRIPKIRIQTRQLGNLLDKRIIVAVDSWDVLSRYPSGHYVVLIENDINTRPFSTQVLACLPPLPWTLSPEDLANPNRQDLRHVRVFSVDPPGCRDIDDALHCTPLPNGNFEVGVHIADVTNFVHPGTPLDEEASQRGTSVYLVGQRIDMLPKPLTEDVCSLRADVERLAFSVIWEMTPHADIISTRYTKSVIKSCAAMSYVEAQARMDDSRLVDPLTVDLRNLNSLAKIMRHRRCERGALTLASAEVKFEIDSETHDPLDIGIYQIREANQMIEEFMLAANISVAEKILKHFPLCSLLRRHPSPTKEMLEPLLRTASSVGLNLDVSSSKALAESLDNAKNDDPYFNKLIRILATRCMTQAVYFCSGDLTFSEYYHYGLAASLYTHFTSPIRRYADVIVHRLLAAALEIAKLPPLFQDGPQLTGVADNLNYRHRNAQMASRASVELHTLIYFRTRRMDTEARIVKIKANGFIVFVPKFGIEGPIYLTPKGDKGGDWVVDEVHQRVTKPGTNVSYAVLQTVMIHMEKR >ORUFI03G02080.1 pep chromosome:OR_W1943:3:1407329:1410828:1 gene:ORUFI03G02080 transcript:ORUFI03G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAALAASRIPATARLHSKAASKQRVDFADFSGLRPGSCSISHAAREASFSDVLGSQLVARATGENAVRAPAEAKLKVAINGFGRIGRNFLRCWHERENSPLEVVVVNDSGGVRNASHLLKYDSMLGTFKADVKIVDDQTISVDGKLIKVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVLGVNEGDYSHEVANIISNASCTTNCLAPFVKILDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPQLKGKLNGIALRVPTPNVSVVDLVINTVKTGITADDVNAAFRKAAAGPLSGILDVCDVPLVSVDFRCSDVSSTIDASLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGAAVQGSGDPLEDFCKDNPETDECKVYEN >ORUFI03G02090.1 pep chromosome:OR_W1943:3:1412611:1413838:1 gene:ORUFI03G02090 transcript:ORUFI03G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQQWKGHAEEQEIQFEAGLHFCLSLLCLPLPFLLLGCSVESLYYGFNRPWHQHQQRYVMTMRPCELHELECHCSGFRTTKWTLFFVGNLGRNAATKCIYELEVISKLSVTHYLVNCSDRGLDAKELQSVEKMY >ORUFI03G02100.1 pep chromosome:OR_W1943:3:1412869:1416853:-1 gene:ORUFI03G02100 transcript:ORUFI03G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADEVGGGGGGGGAGVGAGDQVRRAKTAALFLAAVALPCLVLYRAAVSPAGLFLRPAALPAPPRGDVDPVNSEDARLERVLRAAAMANGTVILTTLNSAWAEPGSVVDVFLESFRIGDDTRWLLDHLVMVSLDLTAHRRCLQIHRHCFVLTTDDGFDFSGEKNFMTDGYLKMMWRRIDFLGHVLAKGYSFIFTDTDIVWFRNPLPHLHHDGDFQIACDHFTGDPDDLSNSPNGGFAYVRSTSATAAFYRYWYAAMERHPGLHDQDVLNLIKRDAYVARLGVRIRFLSTDLFAGLCEHGRNLSTVCTMHANCCVGLRRKVDDLGLMLQDWRRFMATPGSDRHSVTWSVPRNCSMKKLGRLEPTLAVVCTIDEMLTGTNEHAVLSKLGSDSTNLVKTWSRMTEMLRVWCNNRKVSPTAADADAMDD >ORUFI03G02110.1 pep chromosome:OR_W1943:3:1418254:1423248:-1 gene:ORUFI03G02110 transcript:ORUFI03G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRERNGGVRQYNRSKVPRLRWTPDLHHCFVHAIHKLGGQDKATPKRVLQLMGVGGLTISHVKSHLQMYRNMRNDLGMQVWTDMQQCDHDECDVPCCSCHSPKPRKEPLLHLQLKSSGMNIDEPGTRARIAAPNVRSCRDDAAASATETRRRRGRGGRPEEVDDDANASASPRISQLQLLRLRGHGIRESDMSPSTSLQCYYVRSQRTTTTPPGAAAAAAGGDGGSQCYAAPRYSSSSKLKFLGFVVTSGPPPPRLPPPAACCCGSDDNIPFQVGTFAPHRVAPPTASCGGGGGGGRAEARPSSSYRSVWFEPAAAAAASNNGVAPDGEHDDGCSLSLSLALDTGCGGAGAGAGGSLVSSTTSSSSGSRISLDLSLSTLDS >ORUFI03G02120.1 pep chromosome:OR_W1943:3:1434900:1435229:-1 gene:ORUFI03G02120 transcript:ORUFI03G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAGVQLGTSKPQIATQAEMSEARLPLPYRDQCAHLLIPLNKCRVAEYYLPWKCEPERHAYEKCQYELVMERMIQMQKIREAQEAKSKGAATIGVPLIPSTAKLS >ORUFI03G02130.1 pep chromosome:OR_W1943:3:1435342:1451725:-1 gene:ORUFI03G02130 transcript:ORUFI03G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAAAAASASACETSWPPDGGNNVQGPASIFSLRSKSIAMSLSRTVGFEGGNILGPDYLAKRIYTSIRIGALEETIRIYTINGRRGCLPGLRAVGPSRTNKLTVTGRARSWAALWPWHGPINSDRVFTWGIGEARNFLV >ORUFI03G02140.1 pep chromosome:OR_W1943:3:1451998:1454349:1 gene:ORUFI03G02140 transcript:ORUFI03G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLPKRPANYVPLSPVGFLPRANAVYGDRTSVIYGRVRFTWSQTYARCRRLASSLLSLGVRNHDVVSVLAPNVPAMYEMHFAVPMAGAVLNTINTRLDARAVAGILRHSEAKVFFVDYQYVRLASDALQIVADEGRHVPLVAVIDDIDVPTGVRLGELEYEGLVARGDPAAELPSLADEWDAVTLNYTSGTTSAPKGVVYSHRGAYLSTMSLLMSWVVGDEPVYLWTLPMFHCNGWTFTWGMAARGGVNVCIRDARAADIYRAIARHGVTHLCCAPVVFNILLEGGEAAAKQLAAPVHVLTGGAPPPAALLERVERIGFRVTHAYGLTEATGPALACEWRAQWDRLPLPERARLKSRQGVSVLSLADADVKDAKTMASVPRDGKTVGEIVLRGSSIMKGYLNNPEANSDAFKGEWFLTGDVGVVHADGYIEIKDRSKDVIISGGENICSKEVEEVLFQHPAVADAAVVAMPHPHWGETPCAFVVARDKAAGVCEDDVVAFCRKHMARFMVPKKVVVYDAIPRNGNGKVEKNLLREAAKKLAPAAVPAQKTKVKTTTTTVGGRRGEHPVAHVMAVSRL >ORUFI03G02150.1 pep chromosome:OR_W1943:3:1454311:1457311:-1 gene:ORUFI03G02150 transcript:ORUFI03G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVLQRSTTTPYSDASRRSSGGWYQSVTTRLVSGCGLAASKKVAMSKLAILSMPVAVDERVGALDVPMQHALFMAVAEPDEDLRPEALDLRLPFNFSYALADLAKFTKFQQNCDAVRKPIDWLVAGYQRRYHESESPSRSKEQPAHVH >ORUFI03G02160.1 pep chromosome:OR_W1943:3:1460163:1461041:1 gene:ORUFI03G02160 transcript:ORUFI03G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRKVFSAMLLMVLLLAATGEMGGPVMVAEARTCESQSHRFKGPCARKANCASVCNTEGFPDGYCHGVRRRCMCTKPCP >ORUFI03G02170.1 pep chromosome:OR_W1943:3:1462171:1465545:1 gene:ORUFI03G02170 transcript:ORUFI03G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVQRLLRASASGGAAAAAAAAAAARRRMSTAVAPEQTPAAAAFPFAAAAGRARQRVAEERNVQWVFLGCPGVGKGTYASRLSRLLGVPHIATGDLVRDELASSGPLSVQLAEIVNQGKLVSDEIIINLLSKRLKKGEEQGESGFILDGFPRTVKQAEILDGVTDIDMVVNLKLREDVLVEKCLGRRICGQCGKNFNLACIDVKGENGLPPIYMAPLLPPNNCMSKLITRADDTEEVVRNRLQIYNDMVFNVVLGRYKSCTMLICSVGSQPVEGFYRQQGKLLEFDLPGGIPESWPKLLHVLNLEDQEEMKLATA >ORUFI03G02180.1 pep chromosome:OR_W1943:3:1466227:1473417:-1 gene:ORUFI03G02180 transcript:ORUFI03G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEAFEAYFRRADLNQDGRISGQEAVAFFQGANLPQQVLAQVWMHADKNKTGFLGRPEFFNALRLVTVAQSGRQLTPDIVQSALYGPAAARIPAPKIAGGGQAPPQMAAAGAPRPQVNAAVSPAPGQAGAPQPQMNVAGAPRPQGSGMMPGSAQIGGSQQVNAGAVPRPQGVNSMMPAASQGGALQPTQFATQRGMQSQPPSMGFNQQPPPSSTGFMRPTQPGAPAASLQGQGPGINQVPLGGGSMGAPAGWRGGNVGSVGGLPQATPGATAPQQATPGGFGLTLSSTMGMAPGQQAQGTPPSSMPPQSNSAVSAQDSKALVLSGNGSASGLGASNDIFSALTQPKSNVSTLSFPTSMAPNSSSFMSTPSGSQNLSNLAQFGSQQGIPTVSSGGSQPQQTHPITKPSVPAPTVSGVSAGVSNSASQWPKVTQSDIQKYTKVFADVDRDRDGKITGAEARTLFLSWRLPREILKQVWDLSDQDNDGMLSLREFCIALYLMERHRAGTPLPPALPDSLKYDEVLLRATGLPSTAYNGPSWQQNQGLPHKGPGAAGMPATGVRQPLPPHLQAQMDGANRPGQPRPHMPGMDNHAAPQANKDDGSGANSAVQEDAPKKVEVEKQVLDSREKLEYYRTKMQDLVLYKSRCDNRLNEITERASSDKREVESLAKKYEEKYKQVAELASKLAVEEHAFRDVQERKVELHDALVKMVQGGSVDGLLQVRADRIQYQLEEMEKAFSERCKHFGLQFKPSASVELPFGWEPGKQEGAIEWDEDWDKFEDEGFGFVKDNGTIVENPVASENVKVPSLWNDMDESPVASSNGHIKAERHYRAGDHAAESDLGYDFGEESVRSPSSAGRSASGSPFVSSNFAMHDSSPSKKETYSDHGGSESIFGDKYADETSWNFDDQDTESVWGSNAMNNESDHHGSAHNSFFGSDDFGVNPVRVGSPSGASTYGKKKSSFFDDSVPSSPAYTSGFSPKFSESRDDSSSYNLGRFDSFRSQESGFFPQESRFSRFDSINNSKGENVTGFDSPKSSTNFGRFDSFDDADPFGSSGPFKASGSRSPPKF >ORUFI03G02190.1 pep chromosome:OR_W1943:3:1477650:1478447:-1 gene:ORUFI03G02190 transcript:ORUFI03G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPTPYVSLHDVVAFDFVDGDVPFDDLVDGEGLCCPDDPFEEVMRCLSAVDDPFLAAFKLDCSPPTPAADADVDSRSEEHMHADVGGGLDLQRAVGGGDEKAGTPSTIDDVPWLQASAVARKPRRAPAAVRKRVWSLVSPQLATAAAAAVVIAGGGGGGEGGEHCSCPAKRRRKCGEEKRCGHCQTTETPQWRVGPDGPSTLCNACGIRYRIDHLLPEYRPSTSPGFGSDGYSNRHRKVVKLREKKRKKAMLAATATALTSGPV >ORUFI03G02200.1 pep chromosome:OR_W1943:3:1481899:1492842:-1 gene:ORUFI03G02200 transcript:ORUFI03G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRDKHRNRQTRISTQQRHQPPVLPPTPALRPPPVTRPSPRPAATTDQMAAAPSRCLLVTGPPGVGKTTLVTRVFETLRESHPHLNIRGFYTREVRESGERVGFEVVTLDGRTGPLASSKVSSRESVRWPTVGRYKVDIASLESLALPELQVKDDTDLFIIDEVGKMELFSSAFFPAVMRVIESNIPVLATIPVPRLGRDIPGVARLRNHPGAVIYTLNTGNRDAMREGVYNHLSSLLQKRQCVEELNGIDSMGSPTMNAVRIMSRRRSNMKKHVSFKLNKLIKPPPLDLISISIRYPNIAIAAYCWISLATSATMLQYLDFSHASTSRKWSHKKQGEGFEAPRNSMEFTLEAPQSYGVFQEDVPYSCNMRQQYPKAGLNHSSSPIKRIIHEDVSFRTNEVQKRPSVIARLMGMDSPPMSTTAGELAAGHTEEKRQDMITSTRPMPRRDPSEMVSTKHVSFVQHKGSMKHSPKQAEVCAYDDSMELFGQLSKAISSSEWAKPQPREHPQEEELQKFKKDFEAWQASRMWEQSRALELESHLDDDDVRCTDIVPYRFQHRGKDNAGKKHTHSNGDAHWRRSKESGTGTGTSISGSRTFSLTSADASSTRLPLSRFYYEEERLLSPKKIVILKPCPEMSTDDIEESSLGSPEMVKKENNMEAFLEEVKKRLKVELEGRMASDDRAADRWAAGGDIPADPKQIARSIANQIRETVTKDLHSALLRSESTRSYRSDIPLNGQSQMDYICRDARKHLSDRLKNVLRREPETEPPALSHRRRTASASFNEEPRPKPRHEVARKGKIRSKEEKKHAIEFDVRSFRRGHHKASPTPAIDSDPVSPRNLVRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGYGSRPPPPSSEEERKGRKDTFNIKGRVSNLRQNLGLRAKLFGKKLHSADESPFPDDLPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSEHRSPLKMAARDMSSSASEPEHPSSEQAQTDQELAETSPIQDDDDDDTDEIDNPIKAYIRAILVIAGLYGQRRSSDQLFSDREVKPIPAWVFEEVESSSSSSAPATTDCDAAATGVDHRLLFDLINESLPRVVQSSTTLCAFSRWYGAAPRRSPGGKRLLDGLWNTVQAWLAPPPPTDSPNSVDELIGRDMSMSPWNGPFREDVGAAGAEMEAEILDELVDETLWDVLLNVGD >ORUFI03G02200.2 pep chromosome:OR_W1943:3:1481899:1492842:-1 gene:ORUFI03G02200 transcript:ORUFI03G02200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRDKHRNRQTRISTQQRHQPPVLPPTPALRPPPVTRPSPRPAATTDQMAAAPSRCLLVTGPPGVGKTTLVTRVFETLRESHPHLNIRGFYTREVRESGERVGFEVVTLDGRTGPLASSKVSSRESVRWPTVGRYKVDIASLESLALPELQVKDDTDLFIIDEVGKMELFSSAFFPAVMRVIESNIPVLATIPVPRLGRDIPGVARLRNHPGAVIYTLNTGNRDAMREGVYNHLSSLLQKRNAVRIMSRRRSNMKKHVSFKLNKLCFSVLFILQHQAPTSGSDLHLHKRDPISTVGEATVSPLIGGGRPVVAGELHANCREEAREDPSYCWISLATSATMLQYLDFSHASTSRKWSHKKQGEGFEAPRNSMEFTLEAPQSYGVFQEDVPYSCNMRQQYPKAGLNHSSSPIKRIIHEDVSFRTNEVQKRPSVIARLMGMDSPPMSTTAGELAAGHTEEKRQDMITSTRPMPRRDPSEMVSTKHVSFVQHKGSMKHSPKQAEVCAYDDSMELFGQLSKAISSSEWAKPQPREHPQEEELQKFKKDFEAWQASRMWEQSRALELESHLDDDDVRCTDIVPYRFQHRGKDNAGKKHTHSNGDAHWRRSKESGTGTGTSISGSRTFSLTSADASSTRLPLSRFYYEEERLLSPKKIVILKPCPEMSTDDIEESSLGSPEMVKKENNMEAFLEEVKKRLKVELEGRMASDDRAADRWAAGGDIPADPKQIARSIANQIRETVTKDLHSALLRSESTRSYRSDIPLNGQSQMDYICRDARKHLSDRLKNVLRREPETEPPALSHRRRTASASFNEEPRPKPRHEVARKGKIRSKEEKKHAIEFDVRSFRRGHHKASPTPAIDSDPVSPRNLVRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGYGSRPPPPSSEEERKGRKDTFNIKGRVSNLRQNLGLRAKLFGKKLHSADESPFPDDLPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSEHRSPLKMAARDMSSSASEPEHPSSEQAQTDQELAETSPIQDDDDDDTDEIDNPIKAYIRAILVIAGLYGQRRSSDQLFSDREVKPIPAWVFEEVESSSSSSAPATTDCDAAATGVDHRLLFDLINESLPRVVQSSTTLCAFSRWYGAAPRRSPGGKRLLDGLWNTVQAWLAPPPPTDSPNSVDELIGRDMSMSPWNGPFREDVGAAGAEMEAEILDELVDETLWDVLLNVGD >ORUFI03G02200.3 pep chromosome:OR_W1943:3:1487177:1492842:-1 gene:ORUFI03G02200 transcript:ORUFI03G02200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRDKHRNRQTRISTQQRHQPPVLPPTPALRPPPVTRPSPRPAATTDQMAAAPSRCLLVTGPPGVGKTTLVTRVFETLRESHPHLNIRGFYTREVRESGERVGFEVVTLDGRTGPLASSKVSSRESVRWPTVGRYKVDIASLESLALPELQVKDDTDLFIIDEVGKMELFSSAFFPAVMRVIESNIPVLATIPVPRLGRDIPGVARLRNHPGAVIYTLNTGNRDAMREGVYNHLSSLLQKRQCVEELNGIDSMGSPTMNAVRIMSRRRSNMKKHVSFKLNKLCFSVLFILQHQAPTSGSDLHLHKGFFQSIQTLVIVKLWYNSGCQLSSDSPMDYVIN >ORUFI03G02200.4 pep chromosome:OR_W1943:3:1481899:1486436:-1 gene:ORUFI03G02200 transcript:ORUFI03G02200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSLARSLQLIAEHRSAASLLFLPQRDPISTVGEATVSPLIGGGRPVVAGELHANCREEAREDPSYCWISLATSATMLQYLDFSHASTSRKWSHKKQGEGFEAPRNSMEFTLEAPQSYGVFQEDVPYSCNMRQQYPKAGLNHSSSPIKRIIHEDVSFRTNEVQKRPSVIARLMGMDSPPMSTTAGELAAGHTEEKRQDMITSTRPMPRRDPSEMVSTKHVSFVQHKGSMKHSPKQAEVCAYDDSMELFGQLSKAISSSEWAKPQPREHPQEEELQKFKKDFEAWQASRMWEQSRALELESHLDDDDVRCTDIVPYRFQHRGKDNAGKKHTHSNGDAHWRRSKESGTGTGTSISGSRTFSLTSADASSTRLPLSRFYYEEERLLSPKKIVILKPCPEMSTDDIEESSLGSPEMVKKENNMEAFLEEVKKRLKVELEGRMASDDRAADRWAAGGDIPADPKQIARSIANQIRETVTKDLHSALLRSESTRSYRSDIPLNGQSQMDYICRDARKHLSDRLKNVLRREPETEPPALSHRRRTASASFNEEPRPKPRHEVARKGKIRSKEEKKHAIEFDVRSFRRGHHKASPTPAIDSDPVSPRNLVRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGYGSRPPPPSSEEERKGRKDTFNIKGRVSNLRQNLGLRAKLFGKKLHSADESPFPDDLPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSEHRSPLKMAARDMSSSASEPEHPSSEQAQTDQELAETSPIQDDDDDDTDEIDNPIKAYIRAILVIAGLYGQRRSSDQLFSDREVKPIPAWVFEEVESSSSSSAPATTDCDAAATGVDHRLLFDLINESLPRVVQSSTTLCAFSRWYGAAPRRSPGGKRLLDGLWNTVQAWLAPPPPTDSPNSVDELIGRDMSMSPWNGPFREDVGAAGAEMEAEILDELVDETLWDVLLNVGD >ORUFI03G02210.1 pep chromosome:OR_W1943:3:1495697:1502104:1 gene:ORUFI03G02210 transcript:ORUFI03G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRKAAAAPPPPPPPPPAETPARRKGKKKGRPSLLDLQRRSLRLQAQNPSPAPSPSRRDANPSDEDDDGVGSGGRRRQKRLKSVLSSSGGGEDDEAPAAAVVVKVEVEEKKKKVSSKATGKGDAASDGGPTTGTPLPDKKLLLFILDRLQKKDTYGVFSEPVDHEELPDYHEIIEHPMDFSTIREKLLNDSYTTLEQFENDVFLLTSNAMSYNSDDTVYYRQARSIEALAKKDFENLRQASEPEEEQQPKTVPRRGRPPKYAKKIEKTENDVSPDLSNAKTKSADHAETIRKRLTGDRTRNANITTRDSPFLQHNTPGSFAGKRTDRFGDYSGPSKYGKKTTPTISDDERRSTYDQQYFHSSPLFSALGGERKVLVPVGLQQQHAYARSLARFAAKFGPIGWDIAAKRIRRLLPSGTNFGPGWVVDGEPPENSQWPRVPMLSDPSIQSTGVPASNVISKNDESNQKSGLTSNEDSGEEHLARTEPVASTSACVNTNSVSATKLATKCENGANVSCDGVGSTGQTPPLQQHSHSREIHSNMNGFTAVPNTISQYAGQGFLGQMQLTHAQVLGMFSGVNGRTNGFIHGHPLVANSIKAPQNGDVGKVATNPSPDAGHDSEAALSQTMTSSAPSLSAGVQPSGSMPSEKLANPKKHPDLALQL >ORUFI03G02220.1 pep chromosome:OR_W1943:3:1508970:1519412:1 gene:ORUFI03G02220 transcript:ORUFI03G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGCFSPEVDDDLKPSKPNYHSSDESSGADARRKVAPLATDGGNGYAHSFTFKDLSVATGYFNEANFIGEGGFGKVYKGKINGQMVAVKQLTRDGVQGRNEFLVEVLMLTVLNHPHLVSLVGFCAQGDERLLVYEYMPFGSLESHLFDVPLGKQPLDWNTRMRIAVGVAEGLSYLHNVADPPIIYRDMKAANILLDEDYRPKLSDFGLAKVGPVGDRTHVSTRVMGTYGYCAPDYVVSGKLTMKSDIYSFGVLLLELITGRRIYDASRPKPEQSLLTWSRPFLHDKRKFYRLADPALHGCYPTSALNQLVVISIMCLQDQPHVRPIISDVVIGLNHVASQPYVPERSSVSLSSPARCGSPQYAGEAAEELLSMHSLDYILVSKEQQDLSLFLNSVASVHSIIGCALLGQQPSDMHQSGLEAWGQFTHDPIQEEDDEGIHPSIDMGNRLCCHDAADDEKPAAAAVSGGGGGGGREEYRRWPIAAESGGGETGRVLDMPRLRVFTLAELRAVTRGFKPEMVLGEGGFGTVYKGWADERTLNPAKSSAGVVVAVKKLNPESVQGLHEWQSEVNFLGRLVHPNLVKLLGYCKEERELLLVYEFMPKGSLENHLFRRGAFDPLSWSLRLKIAIGAARGLAFLHSSERQIIYRDFKASNILLDVDYTPKLSDFGLAKNGPVAGRSHSDVYCFGVVLLELLTGLRAHDLNRPSHQQNLVEWARPYIAGGKKLAGLMDPRLAGDYPAKAAARAAKLADKCLCGDPKSRPSMDDVVVALQEIESVGTARPAAAKLPPRAPPPAAHRSPHRNPYSKPR >ORUFI03G02230.1 pep chromosome:OR_W1943:3:1521008:1524524:-1 gene:ORUFI03G02230 transcript:ORUFI03G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKPSPPPPPRSDEEEDGLMGCGMGGTGDIAGGDLDLMEEFLLATPGLDLSEFWHPGAASPFSPLFDIGSSVTTLTTPAPAAGEDDRDEAEMPSRGGGGLEVSPAHRGWTFQTAPQEVAVEPTVKERLRRALERIASQSQSQAQRGDGELLVQVWVPTRIGDRQVLTTCGQPFWLDRRNQRLASYRTVSMKYQFSADESARADLGLPGRVFVGRVPEWTPDVRYFSTEEYPRVQHAQYFDIRGSVALPVFEPRSRACLGVVELVMTTQKVNYSAEIENICNALKEVDLRSSDVSSDPRSKVVDASYRAIIPEIMDVLRAVCDTHNLPLAQTWIPCICQAKRGSRHSDESYKHCVSTVDEACYVRDCSVLGFHQACSEHHLFRGEGVVGRAFGTNEPCFSPDITTYSKTQYPLSHHAKLFGLRAAVAIQLRSVKTGSLDFVLEFFLPMKCINTEEQRAMLNSLSNTIQQVCYTLRVVKPKELVNDGPFEISQPTRPEFYAKSVHEDLDELCSGINVPGRTTSLEASEEVSSWIASLVDAQNKGGKGEIDVDLPFGFSKQDDEGFSVTAGWHTSPVMAPDGSMFSGFKRHEDYDVKENTCSSDPSNSNSDKAVEKRRTKTEKTVSLQDLRKHFAGSLKEAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQMVIDSVHGPEGTVQLSSLYENFTKTTWSERELQGDVHFPASEQNFQLEPSVPDRPCEGRFTSHTSGSNSISPSCSQSSNSSLGCSSVPKTQQQHGSAPQLAVKEEISMDENQCSTLIKSASHAEAELQMFVEERPTMLFRSQSQVLLSEHKPIENMSNVQKARSDSLKIKAIYGEERCIFRLQPSWGFQRLKEEIVKRFGISQDTHVDLKYLDDESEWVLLTCDADLLECIDVYKSSSNQTVRILVNPSIQPLLNASFGQTGLS >ORUFI03G02240.1 pep chromosome:OR_W1943:3:1531906:1534720:-1 gene:ORUFI03G02240 transcript:ORUFI03G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKHRPSSSFNGPLWSTNSGAPVWNNNNSLTVGSRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDITHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAIKFYTREGNWDLVGNNFPVFFIRDGMKFPDMVHSLKPNPKSHVQENWRILDFFSHHPESLHMFTFLFDDIGIPADYRHMDGSGVNTYTLVNRAGKSHYVKFHWKPTCGVKSLLDDEAVTVGGTNHSHATQDLYDSIAAGNFPEWKLFIQTIDPDHEDRFDFDPLDVTKTWPEDIVPLQPVGRMVLNRNIDNFFSENEQLAFCPGIIVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLLLPPNAPKCAHHNNHYDGFMNFMHRDEEVDYFPSRYDPAKHAPRYPIPSATLTGRREKVVIAKENNFKQPGERYRSWDPARQDRFIKRWIDALSDPRLTHEIRSIWLSYWSQADRSLGQKLASRLSAKPSM >ORUFI03G02250.1 pep chromosome:OR_W1943:3:1536015:1540584:-1 gene:ORUFI03G02250 transcript:ORUFI03G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGEEAAAAAAAATLHIRCTNGSKLAVRADLGLSVGAFKAIVAESCDVPAPQQRLIYKGRILKDEQTLASYGVETDHTIHMVRGAAPPPASTAPPAANNVTPAINATTATNSPAAGFGGLLHGLGGSGSANSGGLGSFGSGLPELSQMQQQLSENPTLMREIMNMPLMQNILNSPDLIRNIIMNNPQMREIVDRNPDLAHVLNDPSILRQTVEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGEGDRSSNPFSALLGNHGSNQARDPAANSPTTTSESTTGSPAPNTNPLPNPWSTTAGAAQGATRPSPVTNARSAPAGGLGGLSSTDLGGMLGGGSDTSFLSQVLQNPTMMQMMQNIMSNPQSMNQFLNINPNVRNMMESNTQLREMFQNPEFVRQLTSPETLQQLISFQQSLMSQLGQQQAGPERTQSGAGAGNTNLNNLMSMFSGLGAGGGLGVPSAPNVPPEELYATQLAQLQEMGFFDTQENIRALIATAGNVHAAVERLLGNIG >ORUFI03G02260.1 pep chromosome:OR_W1943:3:1543359:1548445:-1 gene:ORUFI03G02260 transcript:ORUFI03G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRRRADPSPPPARRLLHRLGSAASGLQTLAYSSKKEGGGGDMGPRNGGSSSNRRPGFVDSSSWRYFDSRVVGITRGDIPRHAWTVLHMLKRKGFAAYLVGGCVRDLLLKRAPKDFDVITTASLQQIKKMVFQRCIIIGKRFPICQVNMYGTKIEVSSFSTNANHVKGSKNIGCSEEFKRYDEGDILLWQNSMKRDFTINSLFFNPFNFKIYDYVNGVRDISKNKVSTVIPARVSFKEDPARILRGLRIAARLGFEFSSETSAAIRELSLSITDIDKARLMMELNYLLSYGAAASSLRLLRKYGLLDFLLPFQAAYMSDQMKDKSNDTDLMLMVIRAFAALMYFGSWEGAVNFLNQDIGAPAPFIPETLGPSRSKLENLMEQTSHLASLVKSSVDTLTSIDALQQSLAKYSKASQVSGLVLVSSRERERVLRIFEGLDTDLTSYEGTRGMQEIDYKLLKDGHPGEVRFVLGQVIMDTMSEELPRVSTEYDQLNMEASEDDLADGSRPSLSTLFSSKS >ORUFI03G02270.1 pep chromosome:OR_W1943:3:1548608:1552050:1 gene:ORUFI03G02270 transcript:ORUFI03G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISATLSISFLPSSIRFAVATTSTSTTSSSSSWRIKRPARFRCCAEPSSPEQENPSTPAPPPVKPPASPSSLWGISTSTWSAGVAGLGFLETAYLSYIKLTGSEAFCPVSGGGCGDVLQSDYSVVFGIPLPLLGLVAYGLVLTLSLQENGKKFLPGIDDLDIRLTLLLISTSMATASSYFLYILNTRFIGTSCSYCLLSAFLSFTLFSIRVKDLGLERVQKFVGLQLSVAIIVALALTNSYSSATTQLNGTDDFVLERYDTEITTESTPFAIALAKHLHSVGAKMYGAFWCSHCNEQKQIFGREATKILDYVECFPNGAGKGKKMAPECAAAGIEGFPTWIINGKVLGGDQELPILAEESGFTVEGTEQS >ORUFI03G02270.2 pep chromosome:OR_W1943:3:1548608:1551953:1 gene:ORUFI03G02270 transcript:ORUFI03G02270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATISATLSISFLPSSIRFAVATTSTSTTSSSSSWRIKRPARFRCCAEPSSPEQENPSTPAPPPVKPPASPSSLWGISTSTWSAGVAGLGFLETAYLSYIKLTGSEAFCPVSGGGCGDVLQSDYSVVFGIPLPLLGLVAYGLVLTLSLQENGKKFLPGIDDLDIRLTLLLISTSMATASSYFLYILNTRFIGTSCSYCLLSAFLSFTLFSIRVKDLGLERVQKFVGLQLSVAIIVALALTNSYSSATTQLNGTDDFVLERYDTEITTESTPFAIALAKHLHSVGAKMYGAFWCSHCNEQKQIFGREATKILDYVECFPNGAGKGKKMAPECAAAGIEGFPTWIINGKVLGGDQELPILAEESGFTVEGTEQS >ORUFI03G02280.1 pep chromosome:OR_W1943:3:1554159:1555210:1 gene:ORUFI03G02280 transcript:ORUFI03G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNTKAEAARERRSAAEADRRDRDARAKEEAYWQAAEGPKSRSARRREEDAEKRAEAAARRAENRRLAELEQQQLAAAARRPDRKAARVGGPVVPKVTEAELLRRREEERIRLEREAEAAKKRQARTAEEEEYERVVLVANTNRDDSIIEARSVEDAIVKMSIAAEPALPPDRHPERRLKVSYKAFEEAELAKLKEEKPGLTLHQYKDMIWKLWKKSPDNPLNQVQP >ORUFI03G02290.1 pep chromosome:OR_W1943:3:1556392:1557558:-1 gene:ORUFI03G02290 transcript:ORUFI03G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLRHPSLSRLKPPNPNAQRTPALSITVPFRLRLPNRRLTAAAVFQDQTNPRNPASKGGDDDEAYGEVDRIVSSRTIKNPVFAEDGSATTVTATEYLVEWKDGHEPSWIPAEAIAADVVAEYETPWWTAAKKADAAEITALLADETLRRDPDAEDAQGRTAMHFAAGLGSEECVRALAEAGADVGRPERAGGGLTPLHIAVGYGRPAAVRALLELGAEPEAPDGQGRTPLELVQDVLAKTPKGNPATFERRLALEAAAKELEKAVYEWGEVEKVVDGRGEGKWREYLVEWRDGGDREWVRAAWVAEDLVKDFDAGLEYAVAEAVVNKREAAEGEGKWEYLVKWVDIEEATWEPAENVDAELLQEFEQRQSGVAAGGDAPPPPPVAG >ORUFI03G02300.1 pep chromosome:OR_W1943:3:1560178:1564396:1 gene:ORUFI03G02300 transcript:ORUFI03G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPEMEVDARSGYCAATRTFRSRRADVPLPADPEVDVVSFLASRRHSGVVALVDAATGRRITFTELWRAVAGAASALAAHPVSLRKGHVALILSPNSVHFPVAALAAMSLGAVLTTANPLNTPAEIAKQVADARPVLAFTTRELLPKLPRAHDLRVVLLESARLPGDSADPRIVATIEEISATTPDPARRKDRVTQDDPATLLYSSGTTGPSKGVVATHRSLISMVQIIMTRFRLEGSDKTETFLCTVPMFHVYGLVAFATGLLGCGATVVVLSKYELPEMLRSINAYGVTYLPLVPPILVAMVAHPKPLPLGQMRKVLSGGAPLGKELIEGFREKYPQVEILQGYGLTESTAIGASTDSAEESRRYGTAGLLSPNTEAKIVDPDSGEALPVNRTGELWIRGPYVMKGYFKNAEATQSTLTPDGWLKTGDLCYIDEDGYLFVVDRLKELIKYKGYQVPPAELEALLLTHPEVTDVAVIPFPDREVGQFPMAYIVRKKGSNLSEREVMEFVAKQVAPYKKVRKVAFVTDIPKNASGKILRKDLIKLATSKL >ORUFI03G02310.1 pep chromosome:OR_W1943:3:1565581:1565975:-1 gene:ORUFI03G02310 transcript:ORUFI03G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSVAMRGYRNGARGAVASSAAVSAAFHPVRAAHLAGGFASDSGRQAAGIQNTYYVASSDVRRESETAVSSAFLPSFEA >ORUFI03G02320.1 pep chromosome:OR_W1943:3:1569694:1571770:1 gene:ORUFI03G02320 transcript:ORUFI03G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVRCCFGSSSLSHHARLLLVIVALLAPRLASGCDRCVRRSRAAYYTSSLTLTAGSCGYGTAAATFNGGGFLAAAGPALYRGGVGCGACYQVRCKDKKLCSNAGARVVVTDRARTNRTGLVLSSPAFAAMARPGMAASLTELAAVDVEYKRVPCEYRHRSLSVRVDERSRGPNELTISFLYQGGQTDIVAVDVAQVGSSSWKFMTREHGPSWSMANAPPGPLQMRLVVTGGYDGKWVWADREVLPRRWRAGEVYDTGVQITDIAQEGCFPCDTHEWK >ORUFI03G02330.1 pep chromosome:OR_W1943:3:1575431:1577183:-1 gene:ORUFI03G02330 transcript:ORUFI03G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFITGALMLILGYAYPAYDCYKTVELNKPEIEKLRFWCQYWILLAVLTVFDRVGDNFVSWLPMYSEAKLAFVVFLWYPKTLGTAYVYESFFKPWIAKYEADIDHNLLELRTRACDMAVLYFQKVSNYGQTRLYEILQYVASQSQTQTSRPQAREQQQRPPPAQTRQVNPAPQPVPAPSVPPLPPQPTQAPSAPPRNQTQDTTPVPVPPPGAESLAQPQAHAGPPQANASDGPQNTEAMQIDPSGPSTSNARQSSIPDEDTLIQEAIRMTRGRLRRRTAGSGPPPS >ORUFI03G02340.1 pep chromosome:OR_W1943:3:1580204:1581091:-1 gene:ORUFI03G02340 transcript:ORUFI03G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWPRARVGVARHNASSLGRSPSSLLLLRTGAPPLRCPGQYVHSPICRVDRLVGAERMRMGAPHWRSVPSGAGPLGLVRLGRLTPVGLRCKKRDRSIAISRDFNAHLRLVQCKWRGAGPYEQQIIAIDLPAAGTGLAATPYHYG >ORUFI03G02350.1 pep chromosome:OR_W1943:3:1582743:1583732:1 gene:ORUFI03G02350 transcript:ORUFI03G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFRRRLTALTIPKASSYLRRTRHKKLSYSRARSASLPGRFHPVVTGLHESASALLGWTDEAPAQAGTQWIGEGVGHLARLLAGLTELLHHPQAQDPLRPPRKAAPWTERLLDDLLLLADAHGCFREALLALKQLLAEAHAALRRRDATRLAAALRARRRSDRDLSRLASTLRDLSYRSSSAAATSDSGEAALAEAVGAATCAAAAASASFFAGLASASASSASRSLASPTAASPAKVAVAPVWWVADLLRWRRRTVSVAACESGAGAKETPLDECIDEEEEERKAAMDRLLRLEECVVAAEDGCEQVYRALVNARVSLLNVLTPCF >ORUFI03G02360.1 pep chromosome:OR_W1943:3:1591728:1596790:1 gene:ORUFI03G02360 transcript:ORUFI03G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSSLQAGTHPEKPPGMAAPPQRPSFSLNQHQAPGSAAAQGVGRGEVPAFAEFSLAELRAATGGFAAENIVSESGEKAPNFVYRGRLQRTRRAIAVKKFPKMAWPDPKQFEEEAKGVGKLRHRRLANLIGYCCDGDERLLVAEFMPNDTLAKHVFHWENQTIEWAMRLRVAHHIAEALDYCSSNERPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKRIPPSHALDMIRGKNIQVLLDSHLEGKYSTEEATALVDLASQCLQYEPRDRPNTGKLVSILDPLQTKLEVPSYEMLGIPKHEEEAPPAPAPAPAPQPQHPLSPMGEACSRMDMTAIHQILVATHYRDDEGTNELSFQEWTQQMRDMLDARKRGDFAFRDKDFKTAIECYTQFVDVGTMVSPTVYARRSLCHLMSDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLNMQSDAMDMLNEASQLEEKRQERLWSKDASAQSPLRLKGLC >ORUFI03G02370.1 pep chromosome:OR_W1943:3:1599271:1600625:1 gene:ORUFI03G02370 transcript:ORUFI03G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLPLAGATLLIAAAGGASGQQAGVGSIITRAMFESMLSHRGDQGCQGAFYTYDAFIKAAGDFPRFGTTGNDETRRRELAAFFGQTSHETTGGWATAPDGPFAWGYCRVNEITPSDPPYYGRGPIQLTHKYNYQLAGDALGLDLVNNPDLVSSDPVVAFRTAIWFWMTAQSPKPSCHDVITNQWTPSGDDRSSGRLPGYGMATNIINGGEECGKGYSTDNAKDRVGYYKRYCDMFRVGYGDNIACRDQKPYGGG >ORUFI03G02380.1 pep chromosome:OR_W1943:3:1601416:1603109:-1 gene:ORUFI03G02380 transcript:ORUFI03G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVAGPSMEVEQDLPGFRFHPTEEELLDFYLSRVVLGKKLHFNIIGTLNIYRHDPWDLPGMAKIGEREWYFFVPRDRKAGNGGRPNRTTERGFWKATGSDRAIRSSGDPKRVIGLKKTLVFYQGRAPRGTKTDWVMNEYRLPDYGAARAAAPPPKEDMVLCKIYRKATPLKELEQRASAMEEMQRGSSHGDYTATRASLVHDASASTGDDYFSSDDVHDSGFLIQSSSSSAAPSGSSSKNGGAGAPREAKKEEADVTVTVASATSLQLPAVSQLPSLQLPAMDWLQDPFLTQLRSPWQDQHCLSPYAHLLYY >ORUFI03G02390.1 pep chromosome:OR_W1943:3:1609939:1610286:1 gene:ORUFI03G02390 transcript:ORUFI03G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVPNTKKAMEDKEEKPKVPSSDPDLVDLVAGEQPQLQREHQPPNISEMKPLTREAYGGGMYANEGRRDPTLPRASATQSADGPEEAAVRPKHAPPPSTGDRDLDITGQSYIQ >ORUFI03G02400.1 pep chromosome:OR_W1943:3:1612105:1612402:-1 gene:ORUFI03G02400 transcript:ORUFI03G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKEKNMGLGKKTKDAGGSRLSVGVKIGSNQEGTPRCGPTARMPPCAKLVVIV >ORUFI03G02410.1 pep chromosome:OR_W1943:3:1612367:1617601:1 gene:ORUFI03G02410 transcript:ORUFI03G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRGLVARPFDLTARGAAHWPCPAPRRRAIRCCCRAQQEPRRRLSKAAAAAPERTEEWRIDGNKPAAAARGRRRASLTAMPSLPFPSPRSRRQWKQQNFYPRCTPRGPAPQSRDTPPKRDTGIASEKEWGINLLDEAVKESGTNEDGSTWYRESGDDRGDNGYRCRWARMGGQSHDGTTEWKETWWEKSDWTGYKELGAEKSGKNGEGDSWWEKWKEVLYQDEWSNLARIERSAEKQAKSGAENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWERWGEHYDGRGFVLKWTDKWAETDLGTKWGDKWEEKFFAGIGSRQGETWHVSPGGDRWSRTWGEEHFGNGKVHKYGKSTTGESWDLVVDEETYYEAEPHYGWADVVGDSTQLLSIQPVERPPGVYPTIDFSASSPAPPSDDPPGMPPSPLE >ORUFI03G02410.2 pep chromosome:OR_W1943:3:1612155:1617601:1 gene:ORUFI03G02410 transcript:ORUFI03G02410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFISFQKSRGERLANLLGRADRAAPCVPITTSLAHGGILAYSSRRQWKQQNFYPRCTPRGPAPQSRDTPPKRDTGIASEKEWGINLLDEAVKESGTNEDGSTWYRESGDDRGDNGYRCRWARMGGQSHDGTTEWKETWWEKSDWTGYKELGAEKSGKNGEGDSWWEKWKEVLYQDEWSNLARIERSAEKQAKSGAENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWERWGEHYDGRGFVLKWTDKWAETDLGTKWGDKWEEKFFAGIGSRQGETWHVSPGGDRWSRTWGEEHFGNGKVHKYGKSTTGESWDLVVDEETYYEAEPHYGWADVVGDSTQLLSIQPVERPPGVYPTIDFSASSPAPPSDDPPGMPPSPLE >ORUFI03G02420.1 pep chromosome:OR_W1943:3:1613234:1627734:-1 gene:ORUFI03G02420 transcript:ORUFI03G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGTVRCAANHAPLTPISFIQRAAAVYGDRAAVVCGERRYTWREARGRCVRLAAALAARFGVARGDVAQAYDPHCRVSHVQVAVLSPNVPAMYELHFAVPMAGAVLCTFNTRHDAAMISTLLSHSGAKVFFVESHLLDVGRAALRRLAGSTSAASLPVLLTISDDGAGARDSGCVDYEDLVRDAPSEFDIRWPVDEMDPITLNYTSGTTSRPKGVVYNHRGAYLNTIATVLAYDITAMPTYLWTVPMFHCNGWNLPWGVAMQGGTNICLRHFTAKVIFDSIARHGVTHMGGAPTVLNMIANAPATDRRALPGPVRVMTGGAAPPPRVLLAVEELGFVLYHIYGLTETYGPATVCTWMPEWDALPAEERARLKARQGFHHIAVQDVAVKNSATMENVPYDGQTVGEVMFRGNTVMSGYYKDIGATKESMAGGWLHSGDLAVRHPDGYIQLKDRAKDIIISGGENISSIEVESVIFSHPAVLEAAVVARPDDYWGETPCAFVKLKDGANATEGEIISFCRERLPHYMAPKTVVFDDLPKTSTGKTQKFVLREKARAMGSLTKSANIEKADINCDKSIPIAGTRAAMEGCMPSDANYAPLTPVSFLERAAVVYGDRTAVVSGGREYSWRETRERCLAGASALARLGVGRRDVVAVIAANIPAMYELHFSVPMAAGVLCTLNTRHDAAMVSVLLRHSEAKVFLVESQFLAVAHDALRLLADAKAKFPLVIAISDTGDSSSSDGGGLEYEALLRDAPRGFEIRWPADERDPISLNYTSGTTSRPKGVIYSHRGAYLNSLAALLCNDMTSMPVYLWTVPMFHCNGWCMAWATAAQGGTNICVRNVVPKVIFEQIVRHGVTNMGGAPTVLNMIVNAPASERRPLPRRVLISTGGAPPPPQVLAKMEELGFNVQHGYGLTETYGPATRCVWRPEWDALPLAERARIKALQGVQHQMLQDVDIKDPVTMASVPSDGRAVGEVMLRGNTVMSGYYKDAAATEEAMRGGWLRTGDLGVRHPDGYIQLKDRAKDIIISGGENISSIEVESVLFGHHAVLDAAVVARPDDHWGETACAFVTLKDGASATAHEIIAFCRARLPRYMAPRTVVFGDLPKTSTGKTQKFLLREKARAMGSLPMQSKSKWKIGRWEVHSYFRMYQQGTHLSSAEYHGIEELALLACTVDRNSVVSTAS >ORUFI03G02430.1 pep chromosome:OR_W1943:3:1617946:1623039:1 gene:ORUFI03G02430 transcript:ORUFI03G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTAALATPAAAALLLLVLLAAPASAANFTCAVASGTTCKSAILYTSPNATTYGNLVARFNTTTLPDLLGANGLPDGTLSSAPVAANSTVKIPFRCRCNGDVGQSDRLPIYVVQPQDGLDAIARNVFNAFVTYQEIAAANNIPDPNKINVSQTLWIPLPCSCDKEEGSNVMHLAYSVGKGENTSAIAAKYGVTESTLLTRNKIDDPTKLQMGQILDVPLPDLVLTSGKLVSSVCRSSISDTSADHNLMLLPDGTYGFTAGNCIRCSCSSTTYQLNCTAVQNKGCPSVPLCNGTLKLGETNGTGCGSTTCAYSGYSNSSSLIIQTSLATNQTTACQKGGSGRSQFARSMWSMSVISFHMLIEASSSGRSLTTSRRPTPRRASADAPARHRSRVSRHEYSLPPETTAVRSPYTTAARSKKLTGVSGA >ORUFI03G02430.2 pep chromosome:OR_W1943:3:1617946:1623872:1 gene:ORUFI03G02430 transcript:ORUFI03G02430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTAALATPAAAALLLLVLLAAPASAANFTCAVASGTTCKSAILYTSPNATTYGNLVARFNTTTLPDLLGANGLPDGTLSSAPVAANSTVKIPFRCRCNGDVGQSDRLPIYVVQPQDGLDAIARNVFNAFVTYQEIAAANNIPDPNKINVSQTLWIPLPCSCDKEEGSNVMHLAYSVGKGENTSAIAAKYGVTESTLLTRNKIDDPTKLQMGQILDVPLPVCRSSISDTSADHNLMLLPDGTYGFTAGNCIRCSCSSTTYQLNCTAVQNKGCPSVPLCNGTLKLGETNGTGCGSTTCAYSGYSNSSSLIIQTSLATNQTTACQKGGSGRSQFARSMWSMSVISFHMVLIIICFL >ORUFI03G02440.1 pep chromosome:OR_W1943:3:1627751:1628275:-1 gene:ORUFI03G02440 transcript:ORUFI03G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIALGERSLFVTNRPSTKKTRDEWPMPDNSGLRGRISSADRHSASLVGTGKLGLGRRSVTV >ORUFI03G02450.1 pep chromosome:OR_W1943:3:1628481:1631984:-1 gene:ORUFI03G02450 transcript:ORUFI03G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIALASLLFSSLAGREGEAEGEVLDMEAGTVRCAANYAPLTPLSFIERAAAVYGDRAAVVCGERRHTWREARRRCVRVAAALAARFGVSRGDVVSGNRSQSGLLPSENKSPLFVHTAACQVAPIKAHQGK >ORUFI03G02460.1 pep chromosome:OR_W1943:3:1632882:1636745:-1 gene:ORUFI03G02460 transcript:ORUFI03G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGCLVLEKVEDHGGEAAGRGRGRLAQGGGGGGGGCGSCAGEWRSRSETMFPIYVMGSSRASSAAAARGIVDAAGDPIWEAVKSEAKSEAEKEPILSSFLYASVLSHDCLERALSFVLANRLEDPTLLATQLIDIFNDVMMNNKDIRRSIRLDAQAFKDRDPACAQYSWALLYLKGYHSVQSYRIAHVLWNQGRKVLALALQSRISEVFAVDIHPAARIGEGILLDHGTGLVIGETAIVGNWVSLMQGVTLGGTGKENGDRHPKIGQGALLGAGATILGNINVGEGAMIAAGSLVLKDVPPHSMAVGNPAKVVGYKDKEDPSLTMKHDARRDYFEHVAVSFSDDKANGSVVK >ORUFI03G02470.1 pep chromosome:OR_W1943:3:1643162:1647895:1 gene:ORUFI03G02470 transcript:ORUFI03G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMLATLPDVTAVLHSPSASPPSGLRAPAAVGMGMARTRFLAPRAAASAASAVSAKPAAVAPLYADRTVVRIGLPSKGRMSEQTLSLLKSCQLSVRHLNPRQYTADIPQVPNLEVWFQRPKDIVRKLQSGDLDLGIVGFDIVSEYGQGSDDLVVVHDALEFGHCRLSLAVPKEGIFENINTLEDLANMPEWTQERPLRVVTGFGYLGEKFMRENGFNHVSFLAGDGALESYPAMGMADVIVDLVSSGTTLRENNLKEIDGGVVLESQATLVACRRSLHKRNGVLEITHEMLERLEAHLTATGEIMVTANMRGNSAEEVAERVLSQTSLCGLQGPTISPVYRSRDGKVAVEYYAINVVVPQKSLYKSIQQLRSIGGSGVLVTKLTYIFDEETPRWRKLLSELGL >ORUFI03G02480.1 pep chromosome:OR_W1943:3:1648311:1650260:-1 gene:ORUFI03G02480 transcript:ORUFI03G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAAENWWVLPLTFLPPISGQNESAATLSAMATSFVYLAIFACLAWAGTALLYWAHPGGPAWGKYWRARGQSPRCSIPGPKGLPVVGSLGLMSGLAHCSLAAEAARRPGAKRLMALSLGPVRAVVTSHPDVAKEILDNPAFADRPLNHAAYGLMFHRSIGFAEHGPYWRALRRVAAGHLFGPRQVDAFAPYRARVAGGVVAALRGAGGEAAVQVRGVLRRASLYYIMRFVFGKEYDVSRGAPESGEEVEELLEMVHEGYDLLGKENWCDYFPGLAAVDPQGVGARCAELMPRVNRFVRGIIQEHRGKAIAGGEARDFVDILLSLQESEGLADADIAAVLWEMIFRGTDAMAVLMEWTLARVVLHPDVQANVHRELDAVVGRSNTVAESAVPSLPYLQALLKEALRMHPPGPLLSWRHRAISDTYVDGHLVPAGTTAMVNQWAMSRDADVWDAPLEFQPERFLPGGEAHGVSVLGADGRLVPFGSGRRSCPGKSLAMTTVTAWMATLLHEFEWTPASGAVDLSEVLRLSCEMAVPLEVRVSARRNV >ORUFI03G02490.1 pep chromosome:OR_W1943:3:1670028:1671356:1 gene:ORUFI03G02490 transcript:ORUFI03G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARGLSCLMSPLSGKRHAGDARRSSAACIFCIGPHHKPSSAVGGGGGCVPCLAPHADHSAGGCGSGGGGGDNNLRGRSSTTTSARTPRTPKTPCTPTARRLCGVRSRTPRRGQVGCFQSSAPAAARTPRTPTTQRACYVRGTGTAQGNAKLGRRRRWLRSTGQTPRRTARAGGDVGNGGDVKVYNTGLVEAAAEESVTKEEETSSNDEYALLCRQGFPREDVAAVTIQAYFRGHLARRAFKALKSLVRLQAVARGAYVRRQAEVAIHCMQAMVRLQMRVRARQMLTKPKEGQLLPS >ORUFI03G02500.1 pep chromosome:OR_W1943:3:1672240:1682174:-1 gene:ORUFI03G02500 transcript:ORUFI03G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLQVFGQPASTDVARVLTCLFEKNLEFELIRIDTFKKEHKLPEFIKLRALGNETITHDPTGQVTFKHGDKTLVDSRAICRYLSTQFPDDGNRTIYGTGSLERASIEQWLQAEAQSFDAPSSELVFHLAFAPQLNIPADEARIAENERKLQQMLNVYDEILAKNKYLAGDEFTLADLSHLPNSHYIVNARSPRGKKLFTSKKHVARWYEEISNRASWKQVVKMQSEHPGAFDENNMLAIDDDLNRRFAGGDSNLEQRRIRRGCRSAATLEYRCGRDSVQPPTATEMAPASVKVFGSPTSAEVARVLMCLFEKDVEFQLVRVDAYRGTQRMPQYLKLQPLGEALTFEDDNLTLSESRGILRHIAHKYARQGNPDLIGTGALERASIEQWLQTEAQSFDVPSAEMVYSLAFLPPNMPKQNDNGNGNGNGYGNSNGSEVQVANASSKRVVAGATDGKTAASGANGNKQQQKEEEMRKVFEKSKKDLEKLLDIYEQRLEEAAYLAGDKFTIADLSHLPNADRLASDPRSRRMFEARKNVSRWWNNISSRESWEYVKSLQRPPSAAHAGNAQQQQQQQSPSADNNYQHQQGQGQGQQHYRNEQVENYNN >ORUFI03G02510.1 pep chromosome:OR_W1943:3:1682322:1688619:-1 gene:ORUFI03G02510 transcript:ORUFI03G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTVYGPMISPAVARVAACLLEKDVPFQVEPVDMSKGEHKSPSFLKLQPFGQVPAFKDSLTTVFESRAICRYICDQYADSGNKTLMGRKEDGAVGRAAIEKWIEAEGQSFNPPSLAMAFQLAFAPFMGRATDMAVVEQNEAKLVKVLDVYEQWLGENQYFAGDEFSLADLVHMPNTDLLVRKTNKAGLFTERKNLARWWDEVSARPSWKKVVELQNATADLGVGLQVFGQPASTDVARVLTCLFEKDLEFELVCIDTFKREHKLPEFIKLRDPNGQVTFKHGDKTIVDSRAICRYVCTQFPEGNKTLYGTGSLERASIEQWLQAEAQNFSPPSSALVFHLAFAPHLNIPQDHAVIAENEKKLQQVLNVYDEILSKNEYLAGDEFTLADLSHLPNSHYIVSSERGRKLFTGRKNVARWYDQISKRETWKQVVKMQREHPGAFDKAST >ORUFI03G02520.1 pep chromosome:OR_W1943:3:1689937:1692470:-1 gene:ORUFI03G02520 transcript:ORUFI03G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPPPDPISSPPPPAMDSALPSAVLATILSRLDVRSLVAASAACRCLRSCASHALSFLPSFHLSEVALTHELLRPLMPLNPSLRSIRLDCARLEDAAIDCLARPDLHELMLLNCDNISGRLLCELGATCQELRVLSLNALAERRGLPISFSDLQQLLNGCSQLESLRLALDFSMFDDPNFSHVWASASEALTSLEIGYIPMTMLLELLTVAMESQRCMHHVKEPVFFPSLQKLCLTVDFITDHLIGSLSTALPSLTHLDLQDAPIIEPTTSSDLTNAGLQQINPNGKLKHISLMRSQEFLFTSFRRVNDLGILLMAEKCSSLESVCLGGFSRVTDTGFRAIIHSCSGLHKLRVSHGSQFTDLVFHDIIATSLCLTHVSLRWCNLLTDVGIERLSFNKDLNVLDLRDCRSLGDEAVRSLSCLPKLQILFLDGSDISDQALKYLGLGTCPLASLSLRGCRKLTNDCIPLLFAGSVKQSLQVLDLSRIPGITDDGIMLLARSRTPIIELRMRENPKIGDAAVMALASMLVDGGTHGSSLQLLDLYDCGAITPLAIRWFKKPYFPRLRWLGVTGSLNRVMVDALVRSRPFLHMACRGEELGTFNWDRSSDWYRHDDDDDLDELEQWILNGEPVSDTETITEE >ORUFI03G02530.1 pep chromosome:OR_W1943:3:1705305:1708270:-1 gene:ORUFI03G02530 transcript:ORUFI03G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLSLHHHLLLFPFPSHVQTLNPLSASPRLHPHSKSLLPRRRPLVTSPSSFAVAAVDEFDEDFAIGDCVVFEDDAFEEPDVDLPSPAPSTTSRPRRKPAAEAGGGGGSSLVPERWRDAAEEINLTKKEKRRIAHGLRFGSRLERRAPPAVAAPDEYRAYREGRLDAELGRVARDYAEPIERSPVPDRVEAPPPPEPGARVAPRNPRLGLGVRSLDDITELFNSTEYVPGEMEDGNNPKSRRKLFTDEEKVLLNKRVPDLEAATSSKWLPLHTIAASGDFYLLDNLLKHNINVNALDKDGLPAIHKAILSKKHAIINYLLRNSANPFIHDKDGATLMHYAVQTACSQTIKTLLLYNVDINRPDDYGWTPLHLAVQTQRTDIVKLLLIKGADRTLKTQDGFTPLELCLRLGHHARTYELIKLLKSFRLPKQHDPVQHLDDRGCSLKGINRLWYAHDNWKAKVP >ORUFI03G02540.1 pep chromosome:OR_W1943:3:1712277:1714206:-1 gene:ORUFI03G02540 transcript:ORUFI03G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEESFLDELMSLRRDGSAPWQAPPYPGGGGGGGGGGMMMSDLLFYGGDGGSAEARGGMDASPFQELASMAAPPPQHPHEEFNFDCLSEVCNPYRSCGAQLVPSEAASQTQTQLTPLRDAMVAEEETSGDKALLHGGGGSSSPTFMFGGGAGESSEMMAGIRGVGGGVHPRSKLHGTPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYVKELTERIKTLEEEIGVTPEELDLLNTMKDSSSGNNNEMLVRNSTKFDVENRGSGNTRIEICCPANPGVLLSTVSALEVLGLEIEQCVVSCFSDFGMQASCLQEDGKRQVVSTDEIKQTLFRSAGYGGSSGSTSFLNTPESKLKICSSIVSFAFVNRYGNRPCDLSNIGFELNAKVPIVCTLA >ORUFI03G02550.1 pep chromosome:OR_W1943:3:1719280:1724702:-1 gene:ORUFI03G02550 transcript:ORUFI03G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWARLLFSSPLLSSRLSPLPLSNDSVLCARLCLPGSPSSTLPHLAAAAFHLGSVGLGVVNNSDQDYSHLPELDLSVMLNQGTLHQSQDQLLINHTSPVRYHRCLWSRGLRTMVETSTDLEGTLDQVSGIFSLWVDRAKWTVQGIPTRPRGNNYRKGSYSAVEDPIVAAGNTAVFEIGEKVTWVTEQPLMDILATWHLLCNVKY >ORUFI03G02550.2 pep chromosome:OR_W1943:3:1719280:1724702:-1 gene:ORUFI03G02550 transcript:ORUFI03G02550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWARLLFSSPLLSSRLSPLPLSNDSVLCARLCLPGSPSSTLPHLAAAAFHLGSVGLGVVNNSDQDYSHLPELDLSVMLNQGTLHQSQDQLLINHTSPVRSPSDCGVRYHRCLWSRGLRTMVETSTDLEGTLDQVSGIFSLWVDRAKWTVQGIPTRPRGNNYRKGSYSAVEDPIVAAGNTAVFEIGEKVTWVTEQPLMDILATWHLLCNVKY >ORUFI03G02550.3 pep chromosome:OR_W1943:3:1721204:1724702:-1 gene:ORUFI03G02550 transcript:ORUFI03G02550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWARLLFSSPLLSSRLSPLPLSNDSVLCARLCLPGSPSSTLPHLAAAAFHLGSVGLGVVNNSDQDYSHLPELDLSVMLNQGTLHQSQDQLLINHTSPVRKGPEGSFPKEGKVSSMFMVARTEDYGRDFHRS >ORUFI03G02550.4 pep chromosome:OR_W1943:3:1721235:1724702:-1 gene:ORUFI03G02550 transcript:ORUFI03G02550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGWARLLFSSPLLSSRLSPLPLSNDSVLCARLCLPGSPSSTLPHLAAAAFHLGSVGLGVVNNSDQDYSHLPELDLSVMLNQGTLHQSQDQLLINHTSPVSFRRVRLTVVSGIIDVYGRED >ORUFI03G02550.5 pep chromosome:OR_W1943:3:1719280:1721229:-1 gene:ORUFI03G02550 transcript:ORUFI03G02550.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSTDLEGTLDQVSGIFSLWVDRAKWTVQGIPTRPRGNNYRKGSYSAVEDPIVAAGNTAVFEIGEKVTWVTEQPLMDILATWHLLCNVKY >ORUFI03G02550.6 pep chromosome:OR_W1943:3:1721204:1724702:-1 gene:ORUFI03G02550 transcript:ORUFI03G02550.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGWARLLFSSPLLSSRLSPLPLSNDSVLCARLCLPGSPSSTLPHLAAAAFHLGSVGLGVVNNSDQDYSHLPELDLSVMLNQGTLHQSQDQLLINHTSPVSSMFMVARTEDYGRDFHRS >ORUFI03G02560.1 pep chromosome:OR_W1943:3:1725625:1726107:1 gene:ORUFI03G02560 transcript:ORUFI03G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDAARVKLGGGAMMTAEGVGGAAADCHRSAPPISRTATPPDSRLRSPPPTRLHWFAPPGVDPPIRQGWAAGPAMARAAPPPTLLGPSYHHILTTLGTKMSSRITSEQPNRRSHKPHASDASFPLTWIGATPSIRLRGFPLQPSPSRPDADAVTPARR >ORUFI03G02570.1 pep chromosome:OR_W1943:3:1731703:1732794:1 gene:ORUFI03G02570 transcript:ORUFI03G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATMAWTAAGVGMALVYWFVWVMGAAEVKGKRAVDLKMGSITNDKVKDKYTQYWSFFRRPKETATTEASAEKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHREATRVHEERVADLLQAKPGHRLLDVGCGVGGPMRAIAAHSGSNVVGITINEYQVNRARAHNRKAGLDSRCEVVCGNFLSMPFSDASFDGAYSIEATCHAPRLQDVYGEVFRVLKPGGLYVSYEWVTTSLYRADNPEHVEAIHGIERGDALPGLRRQDEIASIAKEVGFEVLKELDLALPPALPWWTRLKMGRIAYWRNSLVVRVLTMLRIAPKGVCEVHEMLYETAQHLTRGGETGIFTPMHMVLLRKPVESK >ORUFI03G02580.1 pep chromosome:OR_W1943:3:1736593:1737043:-1 gene:ORUFI03G02580 transcript:ORUFI03G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSTSADSAPDLRRLCLRPQAPSTPPPTVGSVDSAASTTAHTSTGPSLQAPDGSGAPGRIRALTARSGGDECDCDVSCDSVLCPNDCECDYFCDL >ORUFI03G02590.1 pep chromosome:OR_W1943:3:1740288:1741871:-1 gene:ORUFI03G02590 transcript:ORUFI03G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQMHVLSALDSAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLIGRVYYTADGASKPGSLPPNVSAAVNGVAFVGTLTGQLFFGWLGDRVGRKSVYGMTLLLMIICSVASGLSFGDTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILAGGAVAIGITAIFRSRFPAPPFAADPATSTPPQADYVWRLILMFGALPAALTFYWRMRMPETARYTAIVAKNAERAAADMSKVLQVKITAEQAEMASPVDKPFTSKPFGLFSGEFARRHGFHLLGTTSTWLLLDIAYYSQNLFQKDIFSAIGWIPEAKTMSALDELYHIARAQTLIALCGTVPGYWFTVALIDVVGRFKIQAAGFFMMTAFMLALAVPYDHWTAAGNQIGFVVLYALTFFFANFGPNATTFIVPAEIYPARLRATCHGISAASGKVGAIVGSFGFLYLAQSPVPAKAAAHGYPPGIGVRNSLFALAGCSLLGFLLTFLVPEPKGKSLEEMSRENEVGQP >ORUFI03G02600.1 pep chromosome:OR_W1943:3:1742631:1743047:1 gene:ORUFI03G02600 transcript:ORUFI03G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAAATYRCAECGADLNLTAAQLYPEGVYFEAGNKGTLSFSWVDESRLRFAPEDKIRPFFETLNYWGIQRKRTRISCDACGRLLGYVYDDGPPAMDGTGQFGMGPSQVIPRRPRYRIKTKAVTVVNPPATAPYR >ORUFI03G02610.1 pep chromosome:OR_W1943:3:1743396:1743875:1 gene:ORUFI03G02610 transcript:ORUFI03G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARASSSLLRGVAVLRRRPPVRSIHEGPDTIDELLDRHLAKKSPSSSSGALDGDAADAEARRRLTSSRREALGLYRDILRVTRLFEWPDDRGVPWREVLRANARREFEEARGERDPEVVARLLIGGRDAVQQALDRLAEASRRMVEAEEAKRRGGA >ORUFI03G02620.1 pep chromosome:OR_W1943:3:1744413:1746758:-1 gene:ORUFI03G02620 transcript:ORUFI03G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLVLAARPARATTTTACASQNLIPATSKEPPPRLPPKRGSSKSLVVSLAAEGRMDEAVEALAAVRGPDAFLHNVMIRGFADAGLPAGALAAYRGMLEDGARPDRFTFPVVVKCCARLGGLDEGRAAHGMVIKLGLEHDVYTCNSLVAFYAKLGLVEDAERVFDGMPVRDIVTWNTMVDGYVSNGLGSLALACFQEMHDALEVQHDSVGIIAALAACCLEFSSMQGKEIHGYVIRHGLEQDIKVGTSLLDMYCKCGEVAYARSVFATMPLRTVVTWNCMIGGYALNERPDEAFDCFMQMRAEGLQVEVVTAINLLAACAQTESSLYGRSVHGYVVRRQFLPHVVLETALLEMYGKVGKVESSEKIFGKIANKTLVSWNNMIAAYMYKEMYTEAITLFLELLNQPLYPDYFTMSTVVPAFVLLGSLRHCRQIHSYIIGLGYAENTLIMNAVLHMYARSGDVVASREIFDKMVSKDVISWNTMIMGYAIHGQGKTALEMFDEMKYNGLQPNESTFVSVLTACSVSGLVDEGWMHFNLMLQEYGMIPQIEHYGCMTDLLGREGDLREVLQFIESMPIDPTSRVWGSLLTASRNQNDIDIAEYAAERIFQLEHDNTGCYIVLSSMYADAGRWEDVERVRLLMKEKGLQRTEPISLVELHSTACSFANGDMSHSQSRTIHEVSNILSRKIEETDDTRNQSYPVPVATRTTTMPNKHSVRLAVVFGLISSEIGSPILVKKNVRICNHCHHALKLISRYSGRRIVVGDSKIYHEFSDGSCCCGDYW >ORUFI03G02630.1 pep chromosome:OR_W1943:3:1747447:1750352:-1 gene:ORUFI03G02630 transcript:ORUFI03G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGQEDDDVDHYEVLCLPSGEEGAGLSLEQIEKAYRTQSRLRHPDKRPDDPNATADFQRLASSYNFLRDESLRRQFDARLRGRREAAARAAASGVKRRKAVSDLEERERAASTGQAVDAAEAARREDKRKAADVKRELEEFFAAKQSASSSTPPASAPGAPQDAPKTDKGKILKVSWEGGADYYNAAKLEEIFKQFGGVEDVVIKTRKSRSRGSAIVVMASKEAALSALQNHSVYNVFSVPLIVAPVQESGGVPTRSTHTPETRPSNLGGTGFNDLEASVFRKLQEAQKRKQSG >ORUFI03G02640.1 pep chromosome:OR_W1943:3:1755324:1761667:-1 gene:ORUFI03G02640 transcript:ORUFI03G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTSALLSRSSSTRIPAAAAAAAAESSPTTDDGVGGALPHHRIQREGSRRQPAVPAAAAAAGGGRRGRRRRGGAVRDLPPAAKNSYDEILTGLAKPGGGAEFGKYYSLPALSDPRIERLPYSIRILLESAIRNCDEFQVTGKDVEKILDWENSAPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMSKLGSDPNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFHRNKERFGFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLARVVFNNGGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLTGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGGGMSELSLADRATIANMSPEYGATMGFFPVDGKTLDYLKLTGRSDDTVAMIESYLRANKMFVDYNQPEAERVYSSYLELNLEEVEPCLSGPKRPHDRVTLKNMKSDWLSCLDNDVGFKGFAVPKESQGKVAEFSFHGTPAKLKHGDVVIAAITSCTNTSNPNVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLDKSGLQKYLDQLGFHIVGYGCTTCIGNSGELDETVSAAISDNDIVAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVNIDFEKEPIGISKDGKEVYFRDIWPSTEEIAEVVKSSVLPDMFKSTYEAITKGNPMWNELSVSASTLYPWDPTSTYIHEPPYFKDMTMSPPGPRPVKDAYCLLNFGDSITTDHISPAGSIHPDSPAARYLKERGVERKDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHIPSGEKLSVFDAATKYKNEGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLAGMGIIPLCFKSGEDADTLGLTGHERFTVHLPANVSEIKPGQDVTVTTDNGKSFTCTLRFDTEVELAYYDNGGILPYVIRKIAEQ >ORUFI03G02640.2 pep chromosome:OR_W1943:3:1755324:1761667:-1 gene:ORUFI03G02640 transcript:ORUFI03G02640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTSALLSRSSSTRIPAAAAAAAAESSPTTDDGVGGALPHHRIQREGSRRQPAVPAAAAAAGGGRRGRRRRGGAVRDLPPAAKNSYDEILTGLAKPGGGAEFGKYYSLPALSDPRIERLPYSIRILLESAIRNCDEFQVTGKDVEKILDWENSAPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMSKLGSDPNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFHRNKERFGFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLARVVFNNGGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLTGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGGGMSELSLADRATIANMSPEYGATMGFFPVDGKTLDYLKLTGRSDDTVAMIESYLRANKMFVDYNQPEAERVYSSYLELNLEEVEPCLSGPKRYKLKNPLHRPHDRVTLKNMKSDWLSCLDNDVGFKGFAVPKESQGKVAEFSFHGTPAKLKHGDVVIAAITSCTNTSNPNVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLDKSGLQKYLDQLGFHIVGYGCTTCIGNSGELDETVSAAISDNDIVAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVNIDFEKEPIGISKDGKEVYFRDIWPSTEEIAEVVKSSVLPDMFKSTYEAITKGNPMWNELSVSASTLYPWDPTSTYIHEPPYFKDMTMSPPGPRPVKDAYCLLNFGDSITTDHISPAGSIHPDSPAARYLKERGVERKDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHIPSGEKLSVFDAATKYKNEGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLAGMGIIPLCFKSGEDADTLGLTGHERFTVHLPANVSEIKPGQDVTVTTDNGKSFTCTLRFDTEVELAYYDNGGILPYVIRKIAEQ >ORUFI03G02650.1 pep chromosome:OR_W1943:3:1769346:1769780:-1 gene:ORUFI03G02650 transcript:ORUFI03G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSRGGNVDIAYSPPMDMDARKALEEITRNHIRRVWWANSMEGVMGKRGTRGRYQEKKEIATFTRTIGVVGTPTTFHSSFHLDRDGAKSDHAYEGITNHFPCSEDDGFPRGSVVVLAVRGEAELRRHHEGDEVKRREERGSR >ORUFI03G02660.1 pep chromosome:OR_W1943:3:1769986:1783892:-1 gene:ORUFI03G02660 transcript:ORUFI03G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTNPKLLCCVTGGGRRKKCTVVSPGSGSKQAGKQSHACWSGVGGEGGGGASLCVLKLTHLLRGRWMASPSEIELARLLCEGGETADDTN >ORUFI03G02670.1 pep chromosome:OR_W1943:3:1784394:1791762:1 gene:ORUFI03G02670 transcript:ORUFI03G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVMDYFRSCWGPRSPAGHRVRGSDVAGRQDGLLWYKDAGQLVTGEFSMAVVQANNLLEDQSQVESGALSMAEPGPQGTFIGVYDGHGGPETARFINDHMFHHLRRFATEHKCMSTDVIRKAFQATEEGFLSLVSKQWSLKPQIAAVGSCCLVGVICSGTLYVANLGDSRAVLGRFVKSTGEVVATQLSSEHNACYEEVRQELQASHPDDPQIVVLKHNVWRVKGLIQISRSIGDVYLKRPEYNREPLHSKFRLRETFKRPILSSEPAIAVHQIQPNDHFVIFASDGLWEHLSNQEAVDLVQNNPRNGIARRLVKVAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNAISKANWSRGPSVSLRGGGVTLPANSLAPFSAPTVLSSTY >ORUFI03G02680.1 pep chromosome:OR_W1943:3:1788759:1791906:-1 gene:ORUFI03G02680 transcript:ORUFI03G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATALSLSGGGGGGCGGRYPATVARRCCCAVPRSRRGPAPRRRLGLAASRADDSSPAPFEMTLEGALKLLGVAEGASFDDIMRAKNAVLASCKDDQDAVAQVEAAYDMLLMQSLSQRRAGKVVDNSIRYADVKPVKSAGSGTVPQWMQATMKNAPVTFEAPSSSSLGIQSCVYGALMVFTYASGSATSLPSTYTSPDVPGFILATGFGASLYFLTKKNMNLGKAALITVGGLAVGATVGSAVENWLQVDVVPFLGVHSPAVVVSEFILFSQLLVSLFVR >ORUFI03G02690.1 pep chromosome:OR_W1943:3:1793826:1801960:1 gene:ORUFI03G02690 transcript:ORUFI03G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTAPPPDAGGGEPEAWYGSIQYLVNISAVGAASCVLLFLLVKLRFDHRRIPGPSALAAKLLAVYHATAPQIALHCGADAAQFLLFERASFLVLAAVAAAAVAAALPLNLLAGDAAIADQFAATTISHIPKSSPLLWLHLLLTAAVVAIAHLGISRMEDALRITRFRDGNGNPSDPNSSSVAVFTIMIQGIPKTLAADKTPLKDYFEHKYPGKVYRVIVPFDLCTLEYLAEEWGKVRNRISWLEARMDARNLFDEFAQGGRHSEEHWIVRRCKELWVMAAERFGFTDEEMLRRLQTKKLVLGSRLSDYKDGRAPGAGIAFVVFKDVYTANKAVRDFRMERKKTPIGRFFPVMELQLERSRWTVERAPPASDIYWNHLGLSKTSLGLRRIAVNTCLILMLLFFSSPLAIISGMQSAARIINVEAMDNAKSWLVWLQSSSWFWTIIFQFLPNVLIFVSMYIIIPSVLSYFSKFECHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLESWILSMGRCYLDSVDCKQIEQYLSPSFLSRSSLSSLAFLITCTFLGISFDLLAPIPWIKHIMKKFRKNDMVQLVPEENEDYQLMHDGEETNNLRAPLMSEREDSGILSGIEEHDLSLYPINRSFHMPKQTFDFAQYYAFDITIFALTMIYSLFAPLTVPVGAVYFGYRYLVDKYNFLFIYRVRGFPAGNDGKLMDMVICIMQFCVIFFLVAMLLFFAVQGDPMKLQAICTLDLLYKDYNFDQKFSLTTTSNSGLGLTATGVKIDELFIGDIQTQHKSGKTTVDVKIDSESRVSTTVTVDEALTGLKASFSFRVPDQKSGKLDLQYLHDHFALNSTIGLTSTPLIELAATIGTNELSAGAEVGFDSTSASVTKYNSGICYNKHDFSAAVLLADKGETLKASYIHTFNETNGATVAAEVTHKLKTKENYFTIGSSHAIDSSTLLKTRFSNGGKVGVLCQHEWRPKSTVSISAEYDPKVVSSPSRFGVAIALKP >ORUFI03G02700.1 pep chromosome:OR_W1943:3:1802657:1804395:1 gene:ORUFI03G02700 transcript:ORUFI03G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVTMASYAAVLRPHASSTRTPRGPRRPAGAVPPTPRRAALRATTRSPPAVAATPPPRERVQQQQQPGDGQTTTRLYSLAPCPLLLAALLPGAEPVRAVFEPFVELVKTWGLPGWLVHWGHPGNMAVVLFAMGGYGTYLGFRIKLSDDPEEKAKAKDLHPKLLAGMFFFFAAGATGGVTALLTSDKPIFESPHAVTGIIGLALLTIQSILPTLFEGNPSLRNAHGLLGSGIMTLFLIHAAFGLQLGLSF >ORUFI03G02710.1 pep chromosome:OR_W1943:3:1804803:1806662:-1 gene:ORUFI03G02710 transcript:ORUFI03G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCAFLSAAAAANATSFSSSPESRRCRSVHRVPSRPRPPLAPPARVMGKGNSKRKAANTRLWMRLDRRGGCEMILCDKSFVARRSGLPARDLRVLSPLLSRSPSILAREKAMVINLEFVRAIVTADEVLVLEPLAQEVLPFVEKLRKHFPLKSLDVDDVSTHMHTENQDGELAQDVSCYEVEGANHELPFEFQVLDFALEAVCLSYNSTISDLNRSAIAVLDDLMKSVSTRNLERVRSLKSSLTRLLASVQKVRDEVEHILDDNEAMAHLCTARKTKGQKDLLNTILFPETRLCRTHSSIENSTGIRTCVPSDSDAHILDMLLEAYFKQLDGIRNRIFLVRQYIVDTEDYISIQLDNKRNELLGLQLTLIIASFGIAINTFIAAAFAMNIPHRGYHFVIGVPFGQFVGATSFLCMSIVILLFTYAWRNRLLCT >ORUFI03G02720.1 pep chromosome:OR_W1943:3:1818265:1821457:1 gene:ORUFI03G02720 transcript:ORUFI03G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKGKVSGEVAVMEVGGALLGVRTRSRTLALQRTTSSQKPPEKGEGDPGAGAGAGAEYLELRSRRLEKPPPHTPPAKEKETARRASAAAAAAVRMPAAPQAAEEFEAEVEVSFGDNVLDLDGDAMERSTRETTPCSLIRSSEMISTPGSTTKTNTSMSSRRRMETSVCRYVPSSLEMEEFFAAAEQQQHQAFRERYNFCPVNDCPLPGRYEWTRLDC >ORUFI03G02730.1 pep chromosome:OR_W1943:3:1828148:1831668:1 gene:ORUFI03G02730 transcript:ORUFI03G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSTSTILILKKLTFPSRREEARALLGKVENQHGHAEEALRVFSGINMPALIPKVKMSIIRKVDLQKAQLHSSSPSLPFHAAILLLEIIYFKATALRNLGKIEEATKECSSILDVVESALPEGLPDIFGDDCNLKPTLCRAVELLPELYKLGGFHFEAISSYRRALWSNWNLDEKTIGRIQKEFAVLLLYSGCETCSPNLLSQLDGSFVPRNNLEEAILLLMLLLRKFNLKRLERDPTVMHHLTFALSMSGQLKPLAIQFEELLPGVLHNREWSYNVALCYLAEEDDLIALNLLKRILVSGEDSNNLKELLLVSKICCENSVQGEEGTLYARRALTNLHGGCDQIEVTADLLLGISLSNQARFATTNTKRASQQREALEVLGISEKKMHGIDFRVLYNLSLENAKQRKLDTAARYAKKLLKLEAGSELKTWLLMARIMSAQRRFEDAESIVNAALDQTGKWFQGDLLQIKAKMQAAQGKFKKAVETYTQLLAVIQLRTKSFNAGISVLKGSKDDRSLEIETWYDLVLLYIRMSQWRDAELSISKIKAISPYSALAFHATGKLHEAKGFLKEALRAYSTALDLEPRHVPSLISTAIVLRRLGERPLPAVRCFLTDALQLDRTNHIAWLNLGLLYEDEGGSSALEAAECFQTAALLEETNPVEPFR >ORUFI03G02740.1 pep chromosome:OR_W1943:3:1833270:1840433:-1 gene:ORUFI03G02740 transcript:ORUFI03G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVQNEKDSRRITSDGTAARTRPLSIQDIMSRREKKTASEAKKTKEGLEENSNGKSNHLESGRGSKSRKDVREMPLEGSKKDNSDKPGEGSKKDEMRHTPREERRKDNMRDMQREVSKKDNLKDRPKDISKIDNLKVKVKVPSKDDQRDAPKKSSKKERSSTRDDSHLVDKDKGNHNSHKLSTYTSGRVGKSRGGNHGEITARDGDATIQESQKRPGKRWIDEPVGNDRIKERSERRTDGKRKSRGFDDEKSSQVDRPTLKKQDAVRLQDPRHFDRKDGRKENAKLHHEEPRSKRRRSTSRDHYQERHDRSVSPSSREQRHSYRGHGHDYYPPYHSMDKSRRKHFETDRHRTSWNDGYSSGSYRRYESRLGGYSPRKRKTAPKDEQTTIKTASLVIRSPEKKSATWDQLPVATDLSNFVTTLQSTVGLKDSTVPVNFTTSKQDPNTTIGTILTGSNLAVDSVQLTQATRPLRRLHIENLPSLATEDMLIGCLNEFLLSSSASHIQRSKQPCLSCVINKDKRQAFVEFLTPEDATAALSFDGRSFGGSSLKIRRPKEYVEMAHVAPKKPSEEIKLISDVVADSPHKIFIAGISGVISSEMLMEIVSSFGPLAAYRFLFNEYLGGACAFLEYIDHSITSKACAGLNGMKLGGGILTAVNVFPNSTEQAFNEASPFYGIPDSAKSLLEEPTKVLQLKNVFDQEEYLLLSKSELEEILEDVRVECASLHYGQDDRFGAVKSINVVKYPASSDNTTGDTITECEDGSTKIEPKEYGGNVSCTETGVECSVLNQSTDVPDPSICEVQDPVELDTDSIPKGRDHKNLDTRGECDAPTAGDENTDQGVEADQTDSTDAQDDARGTIERGHADADPASLETSCSTAPGDGADKSGRENEQQGGAGVSESNTEKAPAVDARDNALASNTSALEAGCILVEFLRKEAACIAAHSLHGRRFGSRIVSAGYAPHDLYLQKYPR >ORUFI03G02750.1 pep chromosome:OR_W1943:3:1840505:1842433:-1 gene:ORUFI03G02750 transcript:ORUFI03G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRPQASSSLSVAVSFSSHHEAEEPGAERGEGIARRSQSPAATTRAAATAPGFQRPAATRFRLRSPQEEEALLLS >ORUFI03G02760.1 pep chromosome:OR_W1943:3:1845127:1846683:1 gene:ORUFI03G02760 transcript:ORUFI03G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIPPRDLVVVLPFLALLLPLYIYLRYSRSAKANPSLPTEWPLVGMLPSLVANIHNLFDYATALLAASGNSFEARGPPMSGLRFFVTCDPDNVRHIFINNFANYPKGEEFASFFDVMGDSFFNADGESWRRQRARVQHVMSNPRLLASMAACCRGKVEKGLLPILDRMASAGAPFDLQRLLTRFAFDVTAMAVFGVDTCRLSIDMPPLDVANAMDAVMEVGFFRHTVPVSCWKLMRSLRIGPERKLTAAQRLLRRFVAEMIEKRRVAGGACKATDDEQGGVPPPADIVSSYINDPEYVDEDGNPREFMYATFINYMVAGRDTVGTALSWLFFNLTEHPRVVARIREELEPIASSKAGGGGMVVFDPEETKPLVYLQAALFESMRLYPPGPIERKATLADDVLPSGHTVRAGDNILIPVYSMGRMASVWGKDSGEYRPERWVTEDGKLRHVPAHRFMPFNAGPRLCLGKDISVLQMKSVAAAVAWNFDLEVVAGHAVEPKVSIVMQIKNGLMVKVKKR >ORUFI03G02770.1 pep chromosome:OR_W1943:3:1847567:1847915:1 gene:ORUFI03G02770 transcript:ORUFI03G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDEDFEEGEEKTKLSCCRLLNPSTVAGHHHSVCPPRERVGGGRDGWAIGKWLRCSSSKLVVGGMRSRRPHQGREKGKRASLPEGDREDEVEEVGNMWVLPHDIQT >ORUFI03G02780.1 pep chromosome:OR_W1943:3:1849231:1853234:1 gene:ORUFI03G02780 transcript:ORUFI03G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSKRRVQWKDRHGKKLIEVREFQPSDTDDSDDEYLDTCICSIM >ORUFI03G02790.1 pep chromosome:OR_W1943:3:1853535:1855748:1 gene:ORUFI03G02790 transcript:ORUFI03G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLGCFGGAKERRRRRKRSPAHSPSGRARATPRLSPKKAAAAATLDGDVVSAAAPLLATLLELRDSTDDLCLTVIKKKVTFDPNVTTYEAAAIPEAEEEDDEEAEPAAGDDKDEARWMLAPDCAKSEAFPLNHRYSNCAYGDNDSEYDEDEDEEEEEDEDEEEEADGLDECAIDDEDDDGLLGIGRGEDEACESLFLLPMSKTKESSGGHEAASGVTSPEAPPAPQQTRDANPVLSSVENLTQWKDAKARAATATAAPNASDKENRTTANLLPEPAIPAKKREWQVVCSDYSPSTPSKQEVSVDASLSTWLGSSGTPESNSVRSFSPISREDRPILGALTVEDIKISSANSSPRRSRSPSPSPDDMPILGTVGAYWNCSSKAGDPVTRGGFMRTRTRFGQMF >ORUFI03G02800.1 pep chromosome:OR_W1943:3:1855836:1859309:-1 gene:ORUFI03G02800 transcript:ORUFI03G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQHHHRSRSASSSSFARSSDTAATDADARSLAADATVDCPFGHVNGLTRSDLREAAYEVFFMSCRAAGGGRLNYFPAGESGGGDVSPTIGAGPRGGTGMSVVNSRVKRALGLKARRSSQPTTARVSSMNASSAPGSPGRAMWAMSQPSTPVSPGKGRRPMTSAEIMRQQMRVTEQNDARLRKTIMRTLIGQVGRKAETIVLPLELLRQVKLTDFADSGEHHQWQRRQLKLLEAGLIAHPSLPHDRLNAVVLRFREVMQAADTRAIDTGKTSDAMQALCNAVHALAWRSAPGSKAAGGDACHWADGYPLNVLLYVSLLQAIFDLKEETVVLDEVDELLELMRRTWPTLGITKMLHNVCFAWVLFQQYVVTGQIEPDLAGAALAMLTEVAADAKQESRDPVYARVLSSILATIHDWSEKRMLGYHEWFGNGNCGAGGAMAMEGALSLALATTQIISDNAIFTSISTAETEHEDCSVGSFAGDRVDYYVRCSTRSAFTKVSFLRVWPRHGERLVLICRGNVCQILENGLGQGDSLIIDRHDDEDPGDILARLAGDTEHIALSERDAFGPVLRRWHPFPGAIAAVTLHGCFGVVLKQYLGKATVLSNELVHVLHAAGRLEKALVQMVVEDVADSDDGGKSVVREVVPYDVESIVFGFLRTWVEERLKICRECMLRAKETESWMPRSKNEPYAQSAVELMKLAKATVDEFFGIPVAVRDDLVQDLADGMEAIFLEYISFLTSCGSKQSYLPSLPPLTRCNQDSKIIRLWKKAATPCRAPVSSPRAHGHHQGQGGMASGGQNPRPSTSRGTQRLYVRLNTLHFILSHVHALDKSLSFFSRGRCSSSPSSAATARLLAPCSHFDRARAAAQSAVGHVAEVAAYRLIFLDSHHSFYDGLYVGGVADARIRPALRTLKQNLSLLLSVLVDRAQPVAVREVMKASFQAFLLVLVAGGGDRSFTKEDHGMVEEDFRSLKRAFCTRGEGVVTEEVVDGEAEAAESVVALMGQTAEQLVEELSIACELNGTASSAGQRMPLPETTWRWSRTDPDTILRVLCHRDDEVASHYLKRAFQLPKRR >ORUFI03G02810.1 pep chromosome:OR_W1943:3:1862124:1866448:-1 gene:ORUFI03G02810 transcript:ORUFI03G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTESLPLPAMENGEGKGGGEYTQDGSVDLRGNPVLRSKRGGWTACSFIVVYELFERMAYYGIASNLVIYLTDKLHQGTVEASNNVTNWSGAVFIMPLLGAYAADAYLGRYWTFGMCLLTLAVTIPSLKPPPCAGGVCPPASALQLGVYFGGLYIIAFGNGGTKPNISTIGADQFDEFDPREKMHKMSFFNWWMFTIFVGILFSSTVLVYLQDNVSWSVGYGIPTLGLLVSISIFLAGTPLYRHKVPQGSPFTRMGKVVAAAVWKWRVAVPADAKELHELELEEYTRKRKFRMDSTNAMRFLNKAAVKEDGSPAARWSLCTVTQVEETKQIVKVIPLLATMFVPCTLIAQTNTLFVKQGRTMDRHIGRHFQIPPASLGAFVTLSMLVAVVVYDRLFVPAIRKYTKNPRGITLLKRMGVGLLLQVVAMATASLMESRRLGYARRHGLDAAAAEVPVPLTIFELLPQYVLMGVADAFLVVGKIEFFYDQAPESMKSLGTAMSLTAYGVGNVLSSFLLSLVSRVTRERGDAWVTNNLNASHLDYYYGFLTVLGAINAVVFVALSSRYRYKVESTETIDIAVDVKGDTAKKIQN >ORUFI03G02820.1 pep chromosome:OR_W1943:3:1870046:1870981:1 gene:ORUFI03G02820 transcript:ORUFI03G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAAGAGAARPCLSSSPAPPVSSPGAIPLLRHTPIPHHRRRPLAALRTRIMLLRPLRAAASPGPAASSRPARDRVIDFGKHKGQMLGTLPPSYLRWVVAELDYGDTAAWASLAREVLDDPVYVDRVEWEHAHRFLRGDTDYDGDGEDGPLHEMADRFGWDLSDEEGWSRLDFRLLGTSYGGRIPRKGGRRQTTTSSLFDIGAETDGPRGKRDERRERMRLRRETQVRGAKLDMLGVNAGVKDGGVLGTPRKARTRTTAKTDILGLGRRRSRGGEVLGEKVLPGSGGQGGNPFPGRQAFLDKVRKLKDDS >ORUFI03G02830.1 pep chromosome:OR_W1943:3:1872706:1875823:1 gene:ORUFI03G02830 transcript:ORUFI03G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >ORUFI03G02840.1 pep chromosome:OR_W1943:3:1876127:1879515:-1 gene:ORUFI03G02840 transcript:ORUFI03G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGDQGLVSRRVGEFPLPELKMELVYLERRNGFDEDSTSPEFSGRSAIDHQQLSIATPEVESDLGQTIYKQPLPSDEKKSRSCQSCHKSPCSCRSEVFHSDLYPTLPAKMMILEFLIRSLRHPRRTHNVSDLDDMISNGASTGSVVLGPSEKMMLDSLHSLVDAKTRPKSPSFFHSGTKMRKARSKSHIITQSEILKLISPETWEISSPGVSPLKKSTAELSRHEKMVSSDTPSMSSNQPVLSSCPSSLSAGLLQCIWKDGLPHFELSLDNPMAVYTANPTKAHDNDKPLDYVYLFHSGEQGRKDWLGNSSSVSRLVGKMKVSSSLVLNPDKSTSMETEFVLYGSPDDYLRQMQSSYGVTKGKGLAKRVADIMKPSNLNSSPKHVWKFGKSSSQQIDEMTEIPEGEQCSAKESVLKNLVADDLPTNQEIAAIVVRKQRRERRKSPVLGGWGLKFLEKAGATHPGSTEDGDVQNKKNNAGSVSAIFPRGYHGGAASKNGSPASLIGRWRSGGRCDCGGWDIGCPIRVLQNDGCGTSPQAESQSQDRKSVELSVKGAKKGPMFRLVNITDDLHIIYFDSSLSPLQCFSAGIAIIHSQAPHLYPKL >ORUFI03G02850.1 pep chromosome:OR_W1943:3:1884789:1885553:1 gene:ORUFI03G02850 transcript:ORUFI03G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHALAAAATSALGVAVGVRLLLVLSRSRALKPLAAATSAAAAALKTPRVLAAASSPLAAGFLGLQVHKGIRNGVDALGVVVKVAVIASEVAVWVGGRCWGGRRGRSVRFLCSTRPSGLLLVRYSKSEAQVVLFDHGLGIAAMDGDEKQMEEQEGSDLLCLAVPVPEATNY >ORUFI03G02860.1 pep chromosome:OR_W1943:3:1889569:1893187:-1 gene:ORUFI03G02860 transcript:ORUFI03G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFEADMAIREMMMFEAHTNSGPMFSDQLCIEGLSSPIAAQILDFCDDGLGDDLFAAVATTSEQFAASSEDGSSSSTATPPLCSNSNDITAVADTAFSPLLSFDSTLSAFLEQEQNPDQDTKLLPSIDETFTAPAYYPAATEANIEQFSQIMVPEHTDAPMPPMQTNRTANALLPLASGYDDLCFTAALAGGYMGLDGTLYEQTGVMIPNCNVETPQVGFFNHNSTSNNGMVMDLNNFGEYQRMMEGEGLTRTYSDTDSMHGAFNNAAEMQMGENTQHMVTGCNDSSLTLPSTEGSSLEDTPYKGVRLTAEQRKEKISRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDELCEATRSSSQDFEQYEHVVGMKGEDMLDSSNILAHLSGMNPYGYKYNSTVESWI >ORUFI03G02870.1 pep chromosome:OR_W1943:3:1900013:1907991:1 gene:ORUFI03G02870 transcript:ORUFI03G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSILQLTLCFLCFSVFYYYHIKSKRKNPAIPVCWPLVGMLPDLLVNRHQLHDWITSFLTASQLNFRFIGPTMSSNMRFFFTCDPANVRHIFTSNFANYPKGPDFAEIFDDTLGDGIFNVDGDSWRRQRAKTQLLMYNHRFQSFVSRCSSDKVENALLPLLSHFAGTGERCNLQDVFMRLTFDMSTMLASGEDPGCLAISLPMPKVPFVRAVDYTTRVLLVRHIIPLSLWKLARRLGVGFERKMAEALRTINQFIYETIVKRRAKKANEGIEDSEDLLSSYLKDDDENADTFLRDTTMTLIAAGRDTIGSALSWFFYLLTKNPHVASKILEELDSVERATTTPDGMVTFDPDELKSLVYLHAAVCESLRLYPPVPLDHKGVVAADVMPSGHKVRPGDKIVVSIYAMGRTESVWGSDCMEFRPERWISDHGKLRYVPSYKFTPFITGPRTCLGKDMALVQLKVVAATVVKNFEIEAMPGHIVEPKLSMVLHMKNGLMVRVKRSLLGPSFSSCLDLIGCTRALYLYLQKLFVRCNGQVMAFASILQLALCFLCFSIFSYNHIKSKRKNTAIPVCWPLIGMLPDLLANRHRIHDWITSLLTASQLNFRFTGPPSSNMRLFITCDPANVRHVFTSNFSNYPKGPDFTEIFDIFGDGIFNVDGDSWRRQRAKAQLLTCRPRFRAFVSRCSRAKVEKALLPLLAHFAATGESCNLQDVFMRLTFDTTTMVVSGADTGCLAISLPEVAFARAMDDATRVLLVRHIVPLSWWKLARRLGIGYERTMAEALRACDHFVNETIEKRRAEKAKGEADGSAYLLSSYINDDNEEENASSSFLRDTTMNLISAGRDASAMALSWFFYLLTKNPCVVSKILEELDSVNGITTPDGMVTFDPDELRPLVYLHAALSETLRLYPPVPLEHKGVLAADALPSGHDVRPGDKIVVSLFAMARMEAVWGSDCRKFRPERWISKDGKLRYVPSYKFMTFSSGPRTCLGKDMAFVQLKAVAAAVVKNFEIEAMPGHVVEPALSIVLHMKNGLMVRVKRRHVLNN >ORUFI03G02880.1 pep chromosome:OR_W1943:3:1913831:1921886:-1 gene:ORUFI03G02880 transcript:ORUFI03G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSKDLRCTFPRAPTGLQGTTRVRPGRANPSCDLVAAQRNPRRNHVHAAGGRALPFSFISFSPSRPSLWWAAHGGRRRRAVVHHERQEGRVAAGRGEDSSGQHGRRRWEPAASGAQAGGDSGSATDG >ORUFI03G02890.1 pep chromosome:OR_W1943:3:1932760:1945372:1 gene:ORUFI03G02890 transcript:ORUFI03G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSFSFLELVLSFLCFGFFYYYHVKSKQKNPVIPLQWPLVGMLPALLANCNHLHEWITSVLTVTPLNFRFIGPPSSDMRLFVTSDPANIRHVFNTNFSNYPKGREFQEIFDILGDGIFSADGESWRRQRTKAQLLMSSPRFRAFVARYSRDKVEKALLPLLAHVAGTGDACNLQDVFLRLTFDTTTTLVFGVDPGSVAIDLPEVPFARAMDDAMTVLLLRHIVPLSWWRLARRLGIGYERKMPVAWRTMDRFVADTIAKRRAEKARTGIDDSADLLSSYINDDEEDAGTVDAFLRDTTINLMLAGRDTTGSALSWFFYLLTKNPRVLHKLLQELDSVKSTTTADGMVIFDPDETGRLVYLHAALCESLRLYPPVPMEHKGPAAADVLPSGHEVRPGDKIVVSLYAMGRMESVWGSDCMEFRPERWISDDGKLRYVPSYKFMSFNSGPRTCLGKDMAFVQLKTAAAAVMKNFEIEAVAGHVVEPKLSIILHMKNGFMVRVKRRLAIFSILCCCIIYYYHLQTKKASASEPTEWPVLGHLFGMFANIHRFHDWATDILAGGWHNFEARAGLTGIRFFITCDPSNVRHIFTSNFANYPKGDEYAEIFDVLGNGIFNADGESWRSQRAKAQMLMAGARFRAFAARSSRDKAEKSLLPLLAHAADTGARCDLHDLFLRLTFDVTCTLVFGVDTGCLSAGLPVIPFARAMDDVLETLFLRHIIPMSCWKLMYRLEVGTERKMAVARRTIDRFVAETIAKRRADMIRQGTSNSDDLLSSFISHDDDDTSNGNDVVDETDEFLRDTTVNLLLAGRDTTGAALSWFFYILSKNPRVEQKLLEELAPIAAQKGGDGGGMVIFDASELKNLVYLQAALSECLRLYPSVPFEHKAVAADDVLPSGHEMKAGDKVLVFSYSMGRMEGVWGKDCTEFLPERWITSEGKLRYEPSYKFFSFNAGPRTCLGKELAFVQLKTVAAAVMWNFAVEVVPGHAVEPKLSIILHMKNGLAVTVKRRATMASC >ORUFI03G02900.1 pep chromosome:OR_W1943:3:1950577:1953073:-1 gene:ORUFI03G02900 transcript:ORUFI03G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVKFKLAKVKLKELESEEVESKALLEGSSKDFIITLPHLTLIHLENPFHYLLAFLTPPHPHDEDPAAAGLIANLSTGRVAWNNVGPGRAKLTDGPQLGLRRYTRPSSRSPGPIRESASYQVAACLCVIVVFALGRAESVSFRRLLVWG >ORUFI03G02910.1 pep chromosome:OR_W1943:3:1955649:1957265:1 gene:ORUFI03G02910 transcript:ORUFI03G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGNMNLFDLFFVLQLLLSGVCVLVICYRYQRLKSMKKCSLGVVQWPIVGVLPAIVANMHRLLDGVTFLLATSQLNFQCRFWLAGFRFFVTCDPDNVRHIFTSNFDNYPKGDVFADMFDVLGGGIFNTDGERWRRQRNKAQMLMTTPRFRAFVARSSLDKVEKGLLPFLAHVADARKTCDLQDVFTRWSLDATCHLVFGVDPGCLDIGLPEVPFARAMDDVLRTIFLRHTMPVSFWKTMRWLGIGHEKRNAAARRTVESFVASAIAKHRADDESKSRQGGGGGGDKQSVADLLSSFLCDDEIAGSADADVYIRDMAMNLLVAGRDTTSSALSWFFYLLSTNPRVEQKLLQELAPIASRKPQLQQGRLFPGNGGMVTFDASEVRNLLYLHAALCEAMRLYPPVPLEHKAAVADDVLPSGHEVMAGDKVLVFYYSMGRMKRVWGKDCREFRPERWITEDGKLRYVPSNKFVAFNSGPRTCLGKEMALVQMKVTAAAMAWNFAVEVVPGHVVEPRLSVILHMKNGLLVRVKRREPVMNT >ORUFI03G02920.1 pep chromosome:OR_W1943:3:1957755:1960710:-1 gene:ORUFI03G02920 transcript:ORUFI03G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRALLLLLLHVAVVVVLRSLPVSSADLYALVYKGCSNQSFPGGAVPPTVAALSSSLSAQSASAKFYKTSSSSSASSTSVFGLFQCRGDLSGSDCAACVSRAMSSWSEVCGASVAARVQLTGCLALYEISGFPQVSGTQMLFKTCGTGGGGGTDFEMRRDTAFAQMEASVGGGNGGFYATSYQQVYAMAQCEGDLSSGDCGQCVTQAVQHVEVECGGAPSGQVYLEKCYISYSYYPHGIPHGGGIGGQQTAKTVAIVLGGAEPGQEEGGLLMGTHGATGQKALGHACMT >ORUFI03G02930.1 pep chromosome:OR_W1943:3:1972591:1972831:-1 gene:ORUFI03G02930 transcript:ORUFI03G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLIEKVEKLGQGAPPLLHHSEKLAIAYGLISGAVPSGKMLRIVRNWRICVHCHQFFKYASMVIQVIVVWHFT >ORUFI03G02940.1 pep chromosome:OR_W1943:3:1972898:1973496:-1 gene:ORUFI03G02940 transcript:ORUFI03G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELELGFELIELNGLKFLALLTACSNAGAAAAGLSFGWKKCNSTASLTLSITPVWWVRWREWDVWRMWRTLHAQHHVSQMQQCGFTRLAGCVVHHIFFREHAKTKNLARHSMKKASLQPKDHPGSETGMPATTERLKDSWGNWFITCLTWQESWDNGFRNRGVGKKVVKVGLRLD >ORUFI03G02950.1 pep chromosome:OR_W1943:3:1977386:1979116:1 gene:ORUFI03G02950 transcript:ORUFI03G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSASTPVLGALLPSGSHSPAVSSPAVHFFADSSPTVSYHPPTISCRLTPGGSDAHDRSLGGLRRACSDGNIAALGASGDDDHHHHLPPSGKCAPRSKPTTLETIQSFTQRGGASTDEEEEEEDDDGDHESAEQELSFGKFRFSGSSTFAQVEHPLFLARGLGIDRLGSGLLSADGGSGGSDGGGGGGAGGSYLVTSDNGGNRSDIEMHYKKMIEEDPCNGLFLRNYAQFLYQIKGDSRKAEEYYSRAILADPNDGELLSEYAKLVWDVHRDEDRASSYFERAARASPQNSHVLAAHAAFLWDTDDGDGPEGSSSDALGYAGFAAAAAHSSLASATT >ORUFI03G02960.1 pep chromosome:OR_W1943:3:1989181:1992396:1 gene:ORUFI03G02960 transcript:ORUFI03G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGAVVEISSDDEAIPVAAKRPNVPPVSSSHPLPEDCNGVEEGLGDPAALVEFVASMLDDKRSARDVAAADDGDDDDCVMLDGDPDKAVLVVNEQRPGQGGPEEELQIVSEKGEIACRDFPHPRHLCVSMPFTSSHADHCAMCHCYVCDSPAPCAFWGKGTEPTDHCHATDKNAKWTKMRQSLKRKNLPSSNRRGIKNHFQPISATASLQLQQYTGDRFSVPRLSPLSPVGFHVSRNVSQNQWMMKLIGVPPNVGQPVNLQEATFPRASIPRKRFRSDGSAPPVHLSTNANHLRHPAPNSVLVQPVSSAAFQTTQSQPASSAVSQNSVSAARPLRVQTTQSQPPSSAVSQNSVTAARPLRGYSPQNSFSAPVRVQSTSYHQVAPGISQGLQVQSTSYLQVDPGRAVSAELQLSQCSSLQTQGIQHQHDPSADIYQNIWKEALAKLASELGVSDYNIDPPGRLPSTPQPNQLHAQMRPGHQPTQATARQGVQANGGHVAAASQKRTSNGHHLPNHKQFNPGAN >ORUFI03G02970.1 pep chromosome:OR_W1943:3:1993257:1995265:1 gene:ORUFI03G02970 transcript:ORUFI03G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRARTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIRKRIHVRVEHVQPSRCTEELRLRKIKNDQLKADAKARGEVISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >ORUFI03G02980.1 pep chromosome:OR_W1943:3:1997077:2001448:1 gene:ORUFI03G02980 transcript:ORUFI03G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLNLAHQTGAAAAVAPAAPRTAVVAAAAGTVSAPAVAPAAAPSLQLQTQTVDPAAPAQGPDLPMAFQALVESLPEEQHPDVGGEERRKVGVPVYVMMPLDTVRKDGNGLNRRKAVEASLKALKSAGAEGIMVDVWWGIAECEGPGRYNFTGYMELMEMAKKNGLKVQAVMSFHQCGGNVGDSVTIPLPKWVLEEMDKDQDLAYTDRSGRRNYEYLSLGADAMPVLKGRTPVQCYGDFMRAFRDHFAAFMGNTIVEIQVGMGPAGELRYPSYPESNGTWRFPGIGEFQCYDRYMLSSLKAAAEAVGKPEWGNAGPGDSGGYNDWPEDSPFFRREGGWNTPYGEFFMSWYSQMLLEHGERILSAASGVYTGTPGVKISVKVAGIHWHYGTRSHAAELTAGYYNTRHHDGYQPIARMLARHGAVLNFTCVEMRNHEQPQDAQCRPEELVQQVAAAARESGVGLAGENALPRYDETAHDQIVTTAAEKAEEERMVAFTYLRMGPDLFQPDNWRRFAAFVKRMTESGVRDVCREQVEREAQGVAHATGSLVHEAAVALSN >ORUFI03G02990.1 pep chromosome:OR_W1943:3:2000945:2001299:-1 gene:ORUFI03G02990 transcript:ORUFI03G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDRHTGRHHGFAFIQFTCLEHLINALAYRHTIHGHTLGTRLIEPRLSRGVTRYTTVFGNHIYRIGHNCTFC >ORUFI03G03000.1 pep chromosome:OR_W1943:3:2016495:2021163:1 gene:ORUFI03G03000 transcript:ORUFI03G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSKQVGMDTDGGGAAAAAVDGQNLPVLVTNRKRELTLEGKALPVDCSGRRRIDLEKDLSMIGSPFTKHTSDKNGDNNEMRDVDERCTMDVDNTEMRDVVHERDARDVELGDMAAAKELEQGHMASVKEESELIKVVEVLHMARCREITEYNLKLGRYVPTRFCFRNIALFDLDKESKLGCGPPVKSLNCSEYTWMVHSMNISSIKVTESDVGYPISVFGTVLARDEYDFRCVYLFRRDRDDPQLITSPEDTLTLTGPNRALGALDRVYFEFHLKVRVDGDVDKVFCKGVREHHADACLIRPVTLWLRSCLSTVILVYSPVESAIEACVAVNIQGVVSNFNGKVTAWTTEDHENKIVLYESKVAGTKTVLGVDGSVELTRRFVAVELEDILVLNICVFEGEDEAEFELYLGQNDEECTLEQGPYKLQVKISWTAAMKKRWRERSMKLGRKFVLVR >ORUFI03G03000.2 pep chromosome:OR_W1943:3:2018655:2021163:1 gene:ORUFI03G03000 transcript:ORUFI03G03000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSKQVGMDTDGGGAAAAAVDGQNLPVLVTNRKRELTLEGKALPVDCSGRRRIDLEKDLSMIGSPFTKHTSDKNGDNNEMRDVDERCTMDVDNTEMRDVVHERDARDVELGDMAAAKELEQGHMASVKEESELIKVVEVLHMARCREITEYNLKLGRYVPTRFCFRNIALFDLDKESKLGCGPPVKSLNCSEYTWMVHSMNISSIKVTESDVGYPISVFGTVLARDEYDFRCVYLFRRDRDDPQLITSPEDTLTLTGPNRALGALDRVYFEFHLKVRVDGDVDKVFCKGVREHHADACLIRPVTLWLRSCLSTVILVYSPVESAIEACVAVNIQGVVSNFNGKVTAWTTEDHENKIVLYESKVAGTKTVLGVDGSVELTRRFVAVELEDILVLNICVFEGEDEAEFELYLGQNDEECTLEQGPYKLQVKISWTAAMKKRWRERSMKLGRKFVLVR >ORUFI03G03010.1 pep chromosome:OR_W1943:3:2023413:2027218:1 gene:ORUFI03G03010 transcript:ORUFI03G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKFLERIVLFKNGNRKYGDGNTSRVADYMKHEHELSNTDAEAGGFSMEDYKYLIVGDSKLETTIVDGMTYEPKLTPPIRQTQEADDTVVVVTVDKVWTCKGELLKTDNRGDKLKDICLSFALCKLLRRKFAGVNASENERSKAQKLVFDGLIPDPERTFRVVRAELGFARDMSFTKYPILFSYGFPVVSVVLFAATLGVSLWIISSAIHHYRIPRKSTPNLVNGKNVDLIITFVIVFMVTAMDICEFFMHLFSDWTKVMVVSEYVRKRYVRCCLLDRILWLVCHGKLAETIGSSLGQFDLLNGAKKGYIPERIVRLYHMVRSFILLTDDKDYRIKKGKSLRPVPESVEKALCETLLSNRKQLTQGLRLLTRSKLQAESEELLTYCQLAEIETIVVWHVATCKLEQQSPHEPVESYQVATALSKYCAYLVFYNPKLLPVGNTSVRHTCKTLVRHDSSCDRSCGGDDCMIRKGEALAAALLKGRELNKSSKEPGMWTELAEFWSELLISLAPFGSVGAHEKGLGDGGEFITHLWALLYHAGIDAKYSWSSASTASGESGGRADVYPFQNGMDTVSHAVN >ORUFI03G03020.1 pep chromosome:OR_W1943:3:2033373:2043956:1 gene:ORUFI03G03020 transcript:ORUFI03G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPAVMAPPPALKYQRCNNVDGLVSVEALRIIVETKACFVAVALALAYFLTASRHRLWSSSHLIKGFLFAVTQPVTRFLVSMFAMLLSMPFRNDLYLLWGILLLAGYEGVYTISGYGVSARLSDLAVHEFTRCSNIVFTRCSNIVVLGLYVLYYSNASQFRYPLWALWALMVAKFLERIVRFKIANKRYGDGNISRVAHYMKHEHKLSESSNDQQSSSESSTDTEAAGEHELSGSTSTDIEAGEQGFHMKNYNYLIVGDSKLDGEKNTTRGIYEPELKPVTHTVTVAKVWEYPGNLLGPDKKGRYKLKDVCLSFALCKLLRRKFAGVEATKIELRKARKLVFDGLITSDIDEERTFRVMVISEYVRNRYGRCYLLDRIIWLVCCGNIAEPIGNSLGQFNLVYGAKRGCIPTCVIKVYHAARSFVLLNNDGEYRIMKGKTIRVPDEVKKAICQTLMANKTELTQGKPLPRTASMLQRYGRHPTAIETIVVWHVATCHLQKLVDESQRKSYEVATRLSKYCAYLLFYKPKLLGSVGNNSVRYTCKTLVQEAAAARGSGSGSGDDNMMMRKGKALADKLKARGRVDWTELAEFWSELLISLAPSGSVSAHEKGLGDGGEFITHLWALLYHAGIDDKFTWSTATGSTAGGDSGGTADNSTFQNGTAIVEPHTVSTA >ORUFI03G03030.1 pep chromosome:OR_W1943:3:2050558:2055239:1 gene:ORUFI03G03030 transcript:ORUFI03G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAWDRAKHALATRLCIRFPARQRAVEDAPAEDEAPPPPAAAAARAVPEEKLKSPSVSKVCAICLGGIRTGGQALFTAECSHEFHFHCISSNVNHGNYVCPVCRAEWKELPFQGTQPGDTAYGRARVSTVNWPQDEGQMSVVRRLSHGYSGNLQQQLAVFRTPEASIFNDDENIDPQSETVDDHNAVTNSVEIKTYSEFPAIQKSERRKVFAILIHLKAPKSLDSVSSRAPLDLVTVLDVSGSMSGIKLSLLKRAMSFVIQTLGPNDRLSVVAFSSTAQRLFPLRRMTLTGRQQALQAISSLVASGGTNIADALKKGAKVVKDRRRKNPVSSIILLSDGQDTHSFLSGEADINYSILVPPSILPGTSHHVQIHTFGFGTDHDSAAMHAIAETSNGTFSFIDAEGSIQDAFAQCMGGLLSVVVKDMRLCIECIDEGVSLTSIKSGSYASQVAGNERNGLVDIGDLYADEERGFLVTLHVPAAHGQTVLIKPKCTYLDAITMENVQLDGEEVIIQRPAYCVDCTMSPEVEREWHRVQATEDMSAARSAAEDGSFSQAVSILESRRRILELHAAHSSDSQFLALIKELREMQDRVESRQRYEESGRAYMLSGLSSHSWQRATARGDSTELTTLINTYQTPSMVDMLQRSQTILPSVVEMLNRSSTVATSKSFSSYLPTSRHIA >ORUFI03G03040.1 pep chromosome:OR_W1943:3:2055666:2056619:-1 gene:ORUFI03G03040 transcript:ORUFI03G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIRSLMLQQGWRKGPWTALEDRLLTEYVQQHGEGSWNSVAKLTGLRRSGKSCRLRWVNYLRPDLKRGKITPDEETVILQLHAMLGNRWSAIARCLPGRTDNEIKNYWRTHFKKARPSRRARAQLLHQYQLQQQQQHRQYLHSLNLLQQQQQQLQQQQQQQQQQMMLLQEQEQQSPQEEAADDSMVMMMMNDLQSKERCCTAVSVVPDDCVLPADDDAIWDSLWRLVDGDGSCGEGSSGGEYWATS >ORUFI03G03050.1 pep chromosome:OR_W1943:3:2073753:2080622:1 gene:ORUFI03G03050 transcript:ORUFI03G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFPNLPLPEAAAAAAHAALLALALLLLLLRSARALASRCASCLKTAPRRAAAVDGGLAAASSVGAWYRAALACCGYALLAQVAALSYEVAVAGSHVAVEALLLPAVQALAWAALLALAMQARAVGWGRFPVLVRVWWVVSFVLCVGIAYDDTRHLMGDDDDDDEVDYAHMVANFASAPALGFLCLVGVMGSTGVELEFTDDDSSVHEPLLLGGQRRDADEEPGCLRVTPYGDAGIVSLATLSWLSPLLSVGAQRPLELADIPLMAHKDRAKSCYKAMSSHYERQRMERPGSEPSLAWAILKSFWREAAINGAFAAVNTIVSYVGPYLISYFVDYLSGKIEFPHEGYILASVFFVAKLLETLTARQWYLGVDVMGIHVKSGLTAMVYRKGLRLSNSSRQSHTSGEIVNYMAVDVQRVGDYAWYFHDIWMLPLQIILALAILYKNVGIAMVSTLVATVLSIAASVPVAKLQEHYQDKLMASKDERMRKTSECLKNMRILKLQAWEDRYRLKLEEMRNVECKWLRWALYSQAAVTFVFWSSPIFVAVITFGTCILLGGELTAGGVLSALATFRILQEPLRNFPDLISMIAQTRVSLDRLSHFLQQEELPDDATITVPHGSTDKAININDATFSWNPSSPTPTLSGINLSVVRGMRVAVCGVIGSGKSSLLSSILGEIPKLCGQVRISGSAAYVPQTAWIQSGNIEENILFGSPMDKQRYKRVIEACSLKKDLQLLQYGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFREYILTALASKTVIYVTHQIEFLPAADLILVLKDGHITQAGKYDDLLQAGTDFNALVCAHKEAIETMEFSEDSDEDTVSSVPIKRLTPSVSNIDNLKNKVSNNEKPSSTRGIKEKKKKPEERKKKRSVQEEERERGRVSLQVYLSYMGEAYKGTLIPLIILAQTMFQVLQIASNWWMAWANPQTEGDAPKTDSVVLLVVYMSLAFGSSLFVFVRSLLVATFGLATAQKLFVKMLRCVFRAPMSFFDTTPSGRILNRVSVDQSVVDLDIAFRLGGFASTTIQLLGIVAVMSKVTWQVLILIVPMAVACMWMQRYYIASSRELTRILSVQKSPVIHLFSESIAGAATIRGFGQEKRFMKRNLYLLDCFARPLFSSLAAIEWLCLRMELLSTFVFAFCMAILVSFPPGTIEPSMAGLAVTYGLNLNARMSRWILSFCKLENRIISVERIYQYCKLPSEAPLIIENSRPSSSWPENGNIELVDLKVRYKDDLPLVLHGISCIFPGGKKIGIVGRTGSGKSTLIQALFRLIEPTGGKVIIDDVDISRIGLHDLRSRLSIIPQDPTLFEGTIRMNLDPLEECTDQEIWEALEKCQLGEVIRSKDEKLDSPVLENGDNWSVGQRQLIALGRALLKQAKILVLDEATASVDTATDNLIQKIIRSEFKDCTVCTIAHRIPTVIDSDLVLVLSDGKIAEFDTPQRLLEDKSSMFMQLVSEYSTRSSCI >ORUFI03G03060.1 pep chromosome:OR_W1943:3:2073792:2073974:-1 gene:ORUFI03G03060 transcript:ORUFI03G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGERVNFGWGRHKGKGRKEGRGGGGEEDDEVRRVTLGGVWFDGLITRIRPVSDAALGI >ORUFI03G03070.1 pep chromosome:OR_W1943:3:2081516:2083853:-1 gene:ORUFI03G03070 transcript:ORUFI03G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWSCFGCGPSIAAEGEVRLPEPFQLPAPLPDWPQGGDFSKGTICIGELEVASITKFQSIWSCSGATFYEPQEIPDGFHCLGHYAQQNDRPLQGFLLVAREAASCQSINLKPALEKPLDYTLVWTSTDLNDDDNSDCGCFWSPSPPDGYEALGYVVTRGPKKPSLDAVRCVRGDLTDECENFKSITNMGGNCYIWNTRPCHRGMAGRGIPVGTFFCGTDTEESDIPCLKNFDSSLSSMPNLEQIKALIEHYGPTVFFHPQEIYLPSSVSWFFENGATLHKKGKEMGDVILASGSNLPAGGTNDGEYWIDIPDGDRNEYVKAGNLKSAELYVHIKPAHGGTFTDIAMWVFCPFNGPATIKVGFASFALQKVGRHTGDWEHFTLRISNFSGELSSIYFSQHSGGDWVDACDLEFISGNKAIVYSAKDGHASYAHPGCYLLGSEKAGVGVRNDAARSDILVDSSTRYKIISAGNLGDAVIEPCWLQYMREWGPTVEYNSRSEIDAVLSFLPFFLRFTAEAILNSLPVELYEEEGPTGPKEKNNWEGDERG >ORUFI03G03080.1 pep chromosome:OR_W1943:3:2086140:2090631:-1 gene:ORUFI03G03080 transcript:ORUFI03G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEQQPQQQQISASSTSSGRLVTPFWKACSVYLFKLLAGTVMDPRYSIVEGTSLFIVLFFKDRHYLDKEWGKYFEVGCGAGNTIFPLISTYPDIFVHACDFSPRAVDLVKKHKDYRPDRVNAFACDITSEQLTENVQPSSVDVVTMNGGRVLFRDYAFGDLAQERLMSKGQQISENFYVRGDGTRAYYFSNEYLMDLFSTCGFTVEEICVHNKQVENRSLDLVMNRNWIQATFILGSAGLQGPNGQHDSHTCEDEKDKLTANASQEKSTSEEIDLSEDFNNMFGTSHNLDEAQVLRIKAKGHDFEIKMLTKEHQHTCKLTGLMLWESAQFMCSVLAENPSILAGKRVLELGCGSAGICSMVAATVAQFVVATDGDAESLDLLRENTASNLEPDLLKKILIRKLFWGDKDDLKAVRELSSDGAGFDCIIGTDVTYNPDAIFPLFKTARELISNKDSDAALILCYIQRRVDEDSILSTAMAQGFRLVDKWINGIHESNGIISSWFCGNDVCSSFRNATLSILYFQV >ORUFI03G03090.1 pep chromosome:OR_W1943:3:2093826:2096177:1 gene:ORUFI03G03090 transcript:ORUFI03G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLFFVFFHLLFFCLFPVLGSAGAEDLQSYIVQLHPHEATASSGDAGEAVFASKMHWHLSFLEKSVAWEREKRPSSRLLYSYHTVFDGFAVQLTEEEAAALRELPGVASVRADRRVELHTTYSYRFLGLDFCPTGAWARSGYGGGTIIGVLDTGVWPENPSFDDRGMPPVPARWQGVCQGGEHFNATNCNRKLIGARFYSKGHRANYPTNPSDAVSLMEYVSPRDAHGHGTHTASTAAGAAVAGASVLGVGAGDARGVAPAAHVAAYKVCWFNGCYSSDILAGMDDAVRDGVDVLSLSLGGFPIPLFEDSIAIGSFRATTHGVSVVCAAGNNGPSPSSVANEAPWVITVGAGTLDRRFPAYVRLGNGRILYGESMFPGKVDLKNGGKELELVYAASGTREEMYCIKGALSAATVAGKMVVCDRGITGRADKGEAVKQAGGAAMILANSEINQEEDSVDVHVLPSTLIGYREAVELKNYVSSTRRPVARIVFGGTRIGRARAPAVALFSARGPSLTNPSVLKPDVVAPGVNIIAAWPGNLGPSGLEGDARRSDFTVLSGTSMACPHVSGIAALIRSAHPSWSPAMVRSAIMTTADVTDRQGKPIMDGNGGKADAYAMGAGHVNPARAVDPGLVYDIDPADYVTHLCNLGYTHMEIFKITHAGVNCTAVLERNAGFSLNYPSISVAFKTNTTSAVLQRTVTNVGTPNSTYTAQVAAPHGVRVRVSPATLTFSEFGEKKSFRVAVAAPSPAPHDNAEGYLVWKQSGEQGKRRVRSPIAVTWVVE >ORUFI03G03100.1 pep chromosome:OR_W1943:3:2096253:2102453:-1 gene:ORUFI03G03100 transcript:ORUFI03G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSRGHGPSPCAPIGWLTAMAHVKKPRAKTGASDRTAAQHGPAPSYRSLWISTQLVSVSLTSDGRIFFMKENEGKGEEQFAINLATAFD >ORUFI03G03110.1 pep chromosome:OR_W1943:3:2103144:2106686:-1 gene:ORUFI03G03110 transcript:ORUFI03G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAKPTPQLELFNSMTKKKELFEPLVEGKVRMYVCGVTPYDFSHIGHARAYVAFDVLYRYLKFLGYEVEYVRNFTDIDDKIIKRANEAGETVTSLSSRFINEFLLDMAQLQCLPPTCEPRVTDHIEHIIELITKIMENGKAYAMEGDVYFSVDTFPEYLSLSGRKLDHNLAGSRVAVDTRKRNPADFALWKAAKEGEPFWDSPWGRGRPGWHIECSAMSAHYLGHVFDIHGGGKDLIFPHHENELAQSRAAYPESEVKCWMHNGFVNKDDQKMSKSDKNFFTIRDIIDLYHPMALRFFLMRTHYRGDVNHSDKALEIASDRVYYIYQTLYDCEEVLSQYRGENISVPVPVEEQDMVNKHHSEFLESMADDLRTTDKLQQKLEQQKKKQQQQKQQKQKQQQAQKQPEEYIQAMFALETEIKNKISILGLMPPSSLAEALKQLKDKALKRAGLTEELLQEQIEQRTAARKNKQFDVSDQIRKQLGSKGIALMDEPTGTVWRPCEPEGLSSLAN >ORUFI03G03110.2 pep chromosome:OR_W1943:3:2103144:2106686:-1 gene:ORUFI03G03110 transcript:ORUFI03G03110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAKPTPQLELFNSMTKKKELFEPLVEGKVRMYVCGVTPYDFSHIGHARAYVAFDVLYRYLKFLGYEVEYVRNFTDIDDKIIKRANEAGETVTSLSSRFINEFLLDMAQLQCLPPTCEPRVTDHIEHIIELITKIMENGKAYAMEGDVYFSVDTFPEYLSLSGRKLDHNLAGSRVAVDTRKRNPADFALWKAAKEGEPFWDSPWGRGRPGWHIECSAMSAHYLGHVFDIHGGGKDLIFPHHENELAQSRAAYPESEVKCWMHNGFVNKDDQKMSKSDKNFFTIRDVRGYLTAVLFQIIDLYHPMALRFFLMRTHYRGDVNHSDKALEIASDRVYYIYQTLYDCEEVLSQYRGENISVPVPVEEQDMVNKHHSEFLESMADDLRTTDKLQQKLEQQKKKQQQQKQQKQKQQQAQKQPEEYIQAMFALETEIKNKISILGLMPPSSLAEALKQLKDKALKRAGLTEELLQEQIEQRTAARKNKQFDVSDQIRKQLGSKGIALMDEPTGTVWRPCEPEGLSSLAN >ORUFI03G03120.1 pep chromosome:OR_W1943:3:2107652:2112866:-1 gene:ORUFI03G03120 transcript:ORUFI03G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAASLASKARQAGSSARQIGSRLALHRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRTVVIEQSFGAPKVTKDGVTVAKSIEFSNRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFAEGCKSVAAGMNAMDLRRGISMAVDEVVTNLKGMARMISTSEEIAQDGNTLYNELEVVEGMKLDRGYISPYFITNQKNQKCELDDPLILIHDKKVSNLHAVVKVLELALKKQRPLLIVAEDVESEALGTLIINKLRAGIKVCAVKAPGFGESRKANLQDLAILTGGEVITEELGMNLENFEPQMLGTCKKVTVSKDDTVILDGAGDKKAIEERAEQLRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKDLDKLQTANFDQKIGVQIIQNALKTPVHTIASNAGVEGSVIIGKLLEQDNTDLGYDAAKGEYVDMVKSGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEEEAAAAAPAMGGMGGMGF >ORUFI03G03130.1 pep chromosome:OR_W1943:3:2116150:2120007:1 gene:ORUFI03G03130 transcript:ORUFI03G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLEDFQASVEALPAMLQRNYSLMRELDKSLQGVQTGNEQRCQQEIEDIKHGLESGSITYDPAKLKFSDEAIEEQKHCVRIADEKVALASQTYDLVDAHIQQLDQTAKVPTEQPAPAIDLELPVDPNEPTYCLCNQVSYGEMVACDNNDCKIEWYHFGCVGVKEHPKGKWYCPSCIGFQKKRKGK >ORUFI03G03140.1 pep chromosome:OR_W1943:3:2121363:2126695:1 gene:ORUFI03G03140 transcript:ORUFI03G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLIDLSAGASARVATRDGSPVRETQSERKEYADLKTVTGSVRRSSSDRSCGSGTPMKVLIAQEMAKEGDTNQKTTSVVAKLMGLDDDAALPKPVQPSNNRRRFPDGHLSAMLARVNNQMSFDNHTGFVENMEYKDVYEVGYQPPSCEHLSNEFPQRRRPHEDHDKKRMDLVRQKFVEAKHLASHENLLQSKEFHEALEVLNSNKDLFLKFLEEPNSLFAKQSGEFHSAPTSPQRKRITVLKPTRSVELKGEKEIKRQQDQTVNGSRVERSNTHRRSHSGGGHAKAERLPQHTRIVILKPSTALTSMEHFQKNGHDNLDYSEAPVISRHLSDEINWSAQDMCHQHDESFRGCMQSNMFIRDRVYYGYAEEEGSSFSDSEIGSPTSRHSWEYIYRFSNPYFGSTLSHASCSPDSLVTREAKKHTSDRWPTVSSNEISQEKVLVRRSLSTLGEMLAMSDMKKKGAAEQVVTNTSNQLCSNEPRLAVPSKCSVDGDGESSLRKISRSKSVPVSSAAFDSLRLDDGCSNPEHEEPTSSKEEIKPKNGKSSLKGKISSFFLKRKKSGKDKLAPSPLGALGTRVPLASNASIVSSDVSQTEWTSLQDDVASENLEKFDIAPTVVPVNEPEATSSSKPPIITLEKALSFEIRNSHFDQPSPTSVLDASFEDASEKSPNSSEHAIIAKQEPLSRSLPIGSIARTLSWDDSSQEATLCSAKGDSHEQDQHEFVEKILSSVGFDNEKTSDIFVRWHSHDFPLGTGVLDQFLERKVEDAKCRERRSNQRLLIDSVNAALLDIGQSKLWGAYPCTDPQVNNARRVATGEVLVVDEVWRLVKGWLSDDDGEKHMVNAEDNAGLVADWVVGKEIQGRSWPEALRLEVDEISTDICGEVLDELVGEAFSELVGCH >ORUFI03G03140.2 pep chromosome:OR_W1943:3:2121910:2126695:1 gene:ORUFI03G03140 transcript:ORUFI03G03140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINLIDLSAGASARVATRDGSPVRETQSERKEYADLKTVTGSVRRSSSDRSCGSGTPMKVLIAQEMAKEGDTNQKTTSVVAKLMGLDDDAALPKPVQPSNNRRRFPDGHLSAMLARVNNQMSFDNHTGFVENMEYKDVYEVGYQPPSCEHLSNEFPQRRRPHEDHDKKRMDLVRQKFVEAKHLASHENLLQSKEFHEALEVLNSNKDLFLKFLEEPNSLFAKQSGEFHSAPTSPQRKRITVLKPTRSVELKGEKEIKRQQDQTVNGSRVERSNTHRRSHSGGGHAKAERLPQHTRIVILKPSTALTSMEHFQKNGHDNLDYSEAPVISRHLSDEINWSAQDMCHQHDESFRGCMQSNMFIRDRVYYGYAEEEGSSFSDSEIGSPTSRHSWEYIYRFSNPYFGSTLSHASCSPDSLVTREAKKHTSDRWPTVSSNEISQEKVLVRRSLSTLGEMLAMSDMKKKGAAEQVVTNTSNQLCSNEPRLAVPSKCSVDGDGESSLRKISRSKSVPVSSAAFDSLRLDDGCSNPEHEEPTSSKEEIKPKNGKSSLKGKISSFFLKRKKSGKDKLAPSPLGALGTRVPLASNASIVSSDVSQTEWTSLQDDVASENLEKFDIAPTVVPVNEPEATSSSKPPIITLEKALSFEIRNSHFDQPSPTSVLDASFEDASEKSPNSSEHAIIAKQEPLSRSLPIGSIARTLSWDDSSQEATLCSAKGDSHEQDQHEFVEKILSSVGFDNEKTSDIFVRWHSHDFPLGTGVLDQFLERKVEDAKCRERRSNQRLLIDSVNAALLDIGQSKLWGAYPCTDPQVNNARRVATGEVLVVDEVWRLVKGWLSDDDGEKHMVNAEDNAGLVADWVVGKEIQGRSWPEALRLEVDEISTDICGEVLDELVGEAFSELVGCH >ORUFI03G03140.3 pep chromosome:OR_W1943:3:2122016:2126695:1 gene:ORUFI03G03140 transcript:ORUFI03G03140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MINLIDLSAGASARVATRDGSPVRETQSERKEYADLKTVTGSVRRSSSDRSCGSGTPMKVLIAQEMAKEGDTNQKTTSVVAKLMGLDDDAALPKPVQPSNNRRRFPDGHLSAMLARVNNQMSFDNHTGFVENMEYKDVYEVGYQPPSCEHLSNEFPQRRRPHEDHDKKRMDLVRQKFVEAKHLASHENLLQSKEFHEALEVLNSNKDLFLKFLEEPNSLFAKQSGEFHSAPTSPQRKRITVLKPTRSVELKGEKEIKRQQDQTVNGSRVERSNTHRRSHSGGGHAKAERLPQHTRIVILKPSTALTSMEHFQKNGHDNLDYSEAPVISRHLSDEINWSAQDMCHQHDESFRGCMQSNMFIRDRVYYGYAEEEGSSFSDSEIGSPTSRHSWEYIYRFSNPYFGSTLSHASCSPDSLVTREAKKHTSDRWPTVSSNEISQEKVLVRRSLSTLGEMLAMSDMKKKGAAEQVVTNTSNQLCSNEPRLAVPSKCSVDGDGESSLRKISRSKSVPVSSAAFDSLRLDDGCSNPEHEEPTSSKEEIKPKNGKSSLKGKISSFFLKRKKSGKDKLAPSPLGALGTRVPLASNASIVSSDVSQTEWTSLQDDVASENLEKFDIAPTVVPVNEPEATSSSKPPIITLEKALSFEIRNSHFDQPSPTSVLDASFEDASEKSPNSSEHAIIAKQEPLSRSLPIGSIARTLSWDDSSQEATLCSAKGDSHEQDQHEFVEKILSSVGFDNEKTSDIFVRWHSHDFPLGTGVLDQFLERKVEDAKCRERRSNQRLLIDSVNAALLDIGQSKLWGAYPCTDPQVNNARRVATGEVLVVDEVWRLVKGWLSDDDGEKHMVNAEDNAGLVADWVVGKEIQGRSWPEALRLEVDEISTDICGEVLDELVGEAFSELVGCH >ORUFI03G03150.1 pep chromosome:OR_W1943:3:2129463:2147726:1 gene:ORUFI03G03150 transcript:ORUFI03G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVEEAIAALSTFSLEFKSLKEHRAAHFVLLDFPNFNHLDLFSFDPNEMLNGSFQRTVSKCRRMCRGPHGLTYRGDEQPDVQGLAVLLSSERYATNSPIEYSDVAAYRLSLGEDTKAINQLNTLIQEGKEMASLLYTYRSCVKALPQLPDSMKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLVNGPTITHFWSMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQNSVEDILQVLIVFCVESLELDFALLFPERHTLLRVLPVLVVLATSSEKESESLYKRVKMNRLLNIFKMIILKSSDGADNDWSRDIKGNMYDIVVEGFQLLSRWTGRIWEQCAWKFSRPCKEPPSDSQHGSTTFFDYEKVFLMFTTQFYWLWTLDILIDYGHLTTYMQVVRWNYTGEERRALLELIGYIKSIGLMMQRCDTLVSEALWETIHMEVQDFVQDKLDTMLRTTFRKKKDLSRILSDMRTLSADWMANTSKGDPEHNSLETEEMRQSTFYPRPVAPTAAQIHCLQFLICELVSGGNMRKPGGLFGNSGSGIPVEDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVEHVTETQDAGLLESVLIPFDLYNDSAQHALTCLKQRFLYDEIEAEVDLSFDLLVEKLNEIIFTYYKSCAASTLLDSSFTYLCDDGEKYFVKPLRFDAIFKLRRVMVLGRTIDLRSLITQRMNKLFRENIDFLLERFESGDLCGVVELQQLLDILELTHQSISKFLELDSYSLMLSEMQENLSLVSYSSRISSQIWNEMQTDFLPNFILCNTTQRFVRSLKGTHHSSQRSSASTGKAYFYCGSHDLTMAYQGISGLYRDFFGIPHMFAVVKLLGSRSLPGIIRALLDHISSKITAMVPKITALQEALPKSIGLLSFDGGIAGCQKIVHEILTWEAKSEVKTEVLHDLKEIGSALYWMSLLDIVLRQIDTTQFMQSAPWLGLIPGNDGQVKHAYSDNTPFTTLLSAATNAVASSPACPNPSSFLVMAKQAEAASLLYKSNLNSGSVLEYALAFTSAALDRHYSKWSATPKTGFIDITTSKDFYRVFSGLQYSYLEESINPSRKQEMLGDSVAWAGCTIMYLLGQQQHFELFDFSYQFLNVAEVENATVSLYQYSDRNKSPNFLQGYEGILEAMRKARRLNNHVFSMLRARCPLEDKIACAIKPSGAPLHRMKFTNTVSAFETLPQRAT >ORUFI03G03160.1 pep chromosome:OR_W1943:3:2147594:2152245:-1 gene:ORUFI03G03160 transcript:ORUFI03G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGGAAGEEAEERRRRSELGSLVEAIKSSEVLENRISLINQLEDPFQLCADDLGLVVGSLIVALKCSELDTTNCLGPFLTLGSKAGSWCVRHLLWSVESIDESEDAQEEEHSRLFPEIIALTLNISSKHLPVASKCIAEDTVHAVGDFILELLTLTESSILDKKLGTTGHVAKAAPVFLDETIKLCRVYSEAAKSDQCIMSMPKEETTEEHKETDLTSNIAQITACTIQSLCKIGTHAASSGGSQVILLNISWKGIISLLQLGKGMVEEKVNVREIILIPISVAIESLRVATERWCVPLQEVLGTAEARRAFLPIKYFLTNAVRICSIYPSETMAIYKSIIRNPQLKAASELLFELLEPSSFLLLDTLMKSTEVSPESKCQLVQYFLEKVRTGNPEYTGEVDHTMNFATLGCIFSVDSDVDNINRALLPAEFTVFLHFLNASPWLREEVVIELCKKLHLFLNILTLEDVYSYVLGCQIPALSSDDDSPKVVWQPVYTSLIQALKTFMIAASSSSAAWSEFEVFLLENLFHPHFLCLEILTELWCFFMHYAEAETSTYLINQLFLLLKTLASPEEVLAPLSALRKLARASCNILSYASSATIDQIYTMLNDRSSKSSILYLALLMEGFPFDSLSRGVKEHAVKTLFTSFAGYLQNQNYFKNHGEINLPTSSSSGIIGEIKDVTIDEKSIATMFKIATSLINLYRTSPDSSKNLLVKHISSILVIITHMRHLCAFSELEKLTLELHTLFMSSFDNSNTAISQCKPSMASFMAILGHLNTTEDDANPLCSAMWDLFHFLLRERHWALIHLAMGSFGYFAARTSFAQLWKFVPVDAALSYTCTGVDIDEDGFMSELKAFLQKEVALRDDKWSEEQICSLVSEGRMLKKLVESCLEIPLVPEPEKVSITNYVKTKKRKMPDGICEGMPENVSVTNDVETKKRKMPDRICEGMMLVQNGLKIMRSALSETDLAELKDRFAVHLSRLEDAVSHLASFSDKI >ORUFI03G03160.2 pep chromosome:OR_W1943:3:2147594:2152245:-1 gene:ORUFI03G03160 transcript:ORUFI03G03160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGGAAGEEAEERRRRSELGSLVEAIKSSEVLENRISLINQLEDPFQLCADDLGLVVGSLIVALKCSELDTTNCLGPFLTLGSKAGSWCVRHLLWSVESIDESEDAQEEEHSRLFPEIIALTLNISSKHLPVASKCIAEDTVHAVGDFILELLTLTESSILDKKLGTTGHVAKAAPVFLDETIKLCRVYSEAAKSDQCIMSMPKEETTEEHKETDLTSNIAQITACTIQSLCKIGTHAASSGGSQVILLNISWKGIISLLQLGKGMVEEKVNVREIILIPISVAIESLRVATERWCVPLQEVLGTAEARRAFLPIKYFLTNAVRICSIYPSETMAIYKSIIRCALLISHSSILFCRNPQLKAASELLFELLEPSSFLLLDTLMKSTEVSPESKCQLVQYFLEKVRTGNPEYTGEVDHTMNFATLGCIFSVDSDVDNINRALLPAEFTVFLHFLNASPWLREEVVIELCKKLHLFLNILTLEDVYSYVLGCQIPALSSDDDSPKVVWQPVYTSLIQALKTFMIAASSSSAAWSEFEVFLLENLFHPHFLCLEILTELWCFFMHYAEAETSTYLINQLFLLLKTLASPEEVLAPLSALRKLARASCNILSYASSATIDQIYTMLNDRSSKSSILYLALLMEGFPFDSLSRGVKEHAVKTLFTSFAGYLQNQNYFKNHGEINLPTSSSSGIIGEIKDVTIDEKSIATMFKIATSLINLYRTSPDSSKNLLVKHISSILVIITHMRHLCAFSELEKLTLELHTLFMSSFDNSNTAISQCKPSMASFMAILGHLNTTEDDANPLCSAMWDLFHFLLRERHWALIHLAMGSFGYFAARTSFAQLWKFVPVDAALSYTCTGVDIDEDGFMSELKAFLQKEVALRDDKWSEEQICSLVSEGRMLKKLVESCLEIPLVPEPEKVSITNYVKTKKRKMPDGICEGMPENVSVTNDVETKKRKMPDRICEGMMLVQNGLKIMRSALSETDLAELKDRFAVHLSRLEDAVSHLASFSDKI >ORUFI03G03160.3 pep chromosome:OR_W1943:3:2147594:2152245:-1 gene:ORUFI03G03160 transcript:ORUFI03G03160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGGAAGEEAEERRRRSELGSLVEAIKSSEVALKCSELDTTNCLGPFLTLGSKAGSWCVRHLLWSVESIDESEDAQEEEHSRLFPEIIALTLNISSKHLPVASKCIAEDTVHAVGDFILELLTLTESSILDKKLGTTGHVAKAAPVFLDETIKLCRVYSEAAKSDQCIMSMPKEETTEEHKETDLTSNIAQITACTIQSLCKIGTHAASSGGSQVILLNISWKGIISLLQLGKGMVEEKVNVREIILIPISVAIESLRVATERWCVPLQEVLGTAEARRAFLPIKYFLTNAVRICSIYPSETMAIYKSIIRNPQLKAASELLFELLEPSSFLLLDTLMKSTEVSPESKCQLVQYFLEKVRTGNPEYTGEVDHTMNFATLGCIFSVDSDVDNINRALLPAEFTVFLHFLNASPWLREEVVIELCKKLHLFLNILTLEDVYSYVLGCQIPALSSDDDSPKVVWQPVYTSLIQALKTFMIAASSSSAAWSEFEVFLLENLFHPHFLCLEILTELWCFFMHYAEAETSTYLINQLFLLLKTLASPEEVLAPLSALRKLARASCNILSYASSATIDQIYTMLNDRSSKSSILYLALLMEGFPFDSLSRGVKEHAVKTLFTSFAGYLQNQNYFKNHGEINLPTSSSSGIIGEIKDVTIDEKSIATMFKIATSLINLYRTSPDSSKNLLVKHISSILVIITHMRHLCAFSELEKLTLELHTLFMSSFDNSNTAISQCKPSMASFMAILGHLNTTEDDANPLCSAMWDLFHFLLRERHWALIHLAMGSFGYFAARTSFAQLWKFVPVDAALSYTCTGVDIDEDGFMSELKAFLQKEVALRDDKWSEEQICSLVSEGRMLKKLVESCLEIPLVPEPEKVSITNYVKTKKRKMPDGICEGMPENVSVTNDVETKKRKMPDRICEGMMLVQNGLKIMRSALSETDLAELKDRFAVHLSRLEDAVSHLASFSDKI >ORUFI03G03170.1 pep chromosome:OR_W1943:3:2160742:2162421:1 gene:ORUFI03G03170 transcript:ORUFI03G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRERRRLRGRGGNNNHPRRVLADVVIRFDPTWLVTILLFSLLLLGKATGTTATVVVSGSMEPGIRRGDMLIVRERRNDGDGDDNIRAGDIVLFSTELHPVPIVHRVIELHERHDGGARQMLTKGDNNGVTDRSSLYTGQWLHDHHILGRAVGMYHQQTSSPLINSFSIQVVVVNVLKY >ORUFI03G03180.1 pep chromosome:OR_W1943:3:2163081:2169760:1 gene:ORUFI03G03180 transcript:ORUFI03G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRVGSTIRQVLIKSAPKGGGRQQRRAKGMEKVAVGLLPPLRFIAVLAVVSWTSFIYCHFSLLSGGLLLGHGGGDDGADPCRGRYIYVHDLPRRFNDDILRDCRKTRDHWPDMCGFVSNAGLGRPLVDRADGVLTGEAGWYGTHQFALDAIFHNRMKQYECLTNQSAVADAVFVPFYAGFDFVRYHWGYDNATRDAASVDLTQWLMRRPEWRRMGGRDHFLVAGRTGWDFRRDTNINPNWGTNLLVMPGGRDMSVLVLESSLLNGSDYAVPYPTYFHPRSDADVFRWQDRVRGMQRRWLMAFVGAPRPDDPKNIRAQIIAQCNATSACSQLGCAFGSSQCHSPGNIMRLFQKATFCLQPPGDSYTRRSVFDSMVAGCIPVFFHNATAYLQYAWHLPREHAKYSVFISEHDVRAGNVSIEATLRAIPAATVERMREEVIRLIPSVIYADPRSKLETVRDAFDVAVEGIIDRIAMTRGGYARSWLRPKQSRQALDARRRRLRGFASRHLGHTTSRVAGKATVVCACVRVCARAAHRRMCSIASASRSQPGRLKLSTAMERTGAHGGKRLLPRLLFLAALSVTPWLLIFCLHFSVFDGAPPVSSPAARQSLVAVVSEGGEDSQRFLLEQEEQLRRLPSARDVTTTTAAAVAGDAHACEGRYVYIHDLPPRFNDDILRNCREWYQWINMCVYLSNGGLGEPVDNADGAFADEGWYATDHFGLDVIFHSRIKQYECLTDDSSRAAAVFVPFYAGFDVVQHLWGSNASVKDAASLELVDWLTRRPEWRSMGGRDHFVMSGRTAWDHQRQTDSDSEWGNKFLRLPAVQNMTVLFVEKTPWTEHDFAVPYPTYFHPAKDAEIFQWQQRMRGMKREWLFTFAGGTRPGDPNSIRHHLIRQCGASSLCNLIQCRKGEKKCLIPSTFMRVFQGTRFCLQPPGDTYTRRSAFDAMLAGCVPVFFHPASAYTQYKWHLPDVHETYSVFIAEEDIRSGNVSVEETLRRIPPDVAEKMTETVISLVPRLLYADPRSKLETVKDAVDLTVEAVIERVKKLRKEMHGAGASSRLSTALGANTNGGFQSS >ORUFI03G03190.1 pep chromosome:OR_W1943:3:2171268:2172075:1 gene:ORUFI03G03190 transcript:ORUFI03G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREWRRQALDGGQLHRLLADDDQAFEQEDGGGRHTRQVLERCSVFMCGISQYVNLWGTMVGYTITATISMV >ORUFI03G03200.1 pep chromosome:OR_W1943:3:2180688:2182053:1 gene:ORUFI03G03200 transcript:ORUFI03G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAGRREEGRRARKKAVTRSVKAGLQFPVGRIGRYLKKSRPVDLLSAAPSPSAAPTLFPTASVTPPTLFPTASVTPPTLFPTHAPQDIPLYPSSADMARKEGEGLDLVAMEEELDLYSWEPVVTLAISLLDRAISYLGEDTSWAEGRNKNRFGGFSSQMFYYLQPARGRFQDFRLRLINWPKSYCCGYEEIWKFRIAVELLEDLIEEIEHRRLEEDGRIPALFMNAKAKIAFKFATNDYFREEWDRLFQEFTTFRLEDLITDIEHLRLEESGKKISNKKFARSPDQYKQQARLFFLLERGVSRLRDQLPAVVEHVVSQDRELVDLEKEELHAELVGRDREKKQIVQWLVEQPAENSEIIFPFKKKNSEIISADHIRLFAILGVAGMGKTRLAKLACQDPVVSTTFDFVVRVQVPYDFTREPPSPAAPPFGRARRRLCESREREEGEK >ORUFI03G03210.1 pep chromosome:OR_W1943:3:2185786:2187552:1 gene:ORUFI03G03210 transcript:ORUFI03G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGGKPPQSRLCFLATLCAMFWVLIFYFHFFVIANEPGSAGADTAAGAAASIARAELPLPEPERVSDPAVPLPPPALVSEPPPTTATVAKVEDEEKPTAVAHQEAAPRDYAFQRALKTAENKSDPCGGRYIYVHELPPRFNDDMLRECERLSLWTNMCKFMSNEGLGPPLGNEEGVFSNTGWYATNQFMVDVIFRNRMKQYECLTKDSSIAAAVFVPFYAGFDVARYLWGHNISTRDAASLDLIDWLRKRPEWNVMGGRDHFLVGGRIAWDFRRLTDEESDWGNKLLFMPAAKNMSMLVVESSPWNANDFAIPYPTYFHPAKDADVLLWQDRMRSLERPWLFSFAGAPRPDDPKSIRSQLIDQCRTSSVCKLLECDLGESKCHSPSAIMNMFQNSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYVQYTWHLPKNYTRYSVFIPEDGVRKGNVSIEDRLKSIHPDMVKKMREEVISLIPRVIYADPRSKLETLKDAFDVSVEAIINKVTQLRRDIIEDHEDKDFVEENSWKYDLLEEGQRTIGPHEWDPFFSKPKDKGGDSTNPSTNAAKNSWKNEQRGQN >ORUFI03G03220.1 pep chromosome:OR_W1943:3:2190381:2191393:1 gene:ORUFI03G03220 transcript:ORUFI03G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRQHRRQDVATVGSLEVHRPEVGNVGATALSLSCSHHAFPAASRTQAKLRSITITSRSYNHRGIGGIRDGYKEWQGFGDEGSDGGCGCGYGAAGKAGVDIVVDVRQVLEYTGRDGRQAKEGFWVHEHGRAAVEYLDGGGEPSNGIGVGDGEKDGRARDKDDERGETGRRRLAEEDNATCRGSLLLHAVDRALWPLPISRHGLGDGMAELASPRRPLSSSLHVVGARRRLSSSSLLAACCPSSPSAASHHPGEMGTEGRERDKGH >ORUFI03G03230.1 pep chromosome:OR_W1943:3:2194115:2197207:-1 gene:ORUFI03G03230 transcript:ORUFI03G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSARHLFFTLSFSFLALLSCIAVCNAGDEAAALLAIKASLVDPLGELKGWSSAPHCTWKGVRCDARGAVTGLNLAAMNLSGAIPDDILGLAGLTSIVLQSNAFDGELPPVLVSIPTLRELDVSDNNFKGRFPAGLGACASLTHLNASGNNFAGPLPADIGNATALETLDFRGGFFSGGIPKTYGKLQKLKFLGLSGNNLNGALPAELFELSSLEQLIIGYNEFSGAIPAAIGNLAKLQYLDMAIGSLEGPIPPELGRLPYLNTVYLYKNNIGGQIPKELGNLSSLIMLDLSDNAITGTIPPELAQLTNLQLLNLMCNKIKGGIPAGIGELPKLEVLELWNNSLTGPLPPSLGKAQPLQWLDVSTNALSGPVPAGLCDSGNLTKLILFNNVFTGAIPAGLTTCSTLVRVRAHNNRLNGTVPLGLGRLPRLQRLELAGNELSGEIPDDLALSTSLSFIDLSHNQLRSALPSNILSIPALQTFAAADNELTGGVPDELADCPSLSALDLSNNRLSGAIPASLASCQRLVSLSLRNNRFTGQIPAAVAMMPTLSVLDLSNNFFSGEIPSNFGSSPALEMLNLAYNNLTGPVPATGLLRTINPDDLAGNPGLCGGVLPPCGASSLRSSSSESYDLRRSHMKHIAAGWAIGISAVIAACGAMFLGKQLYHRWYVHGGCCDDAAVEEEGSGSWPWRLTAFQRLSFTSAEVLACIKEANIVGMGGTGVVYRADMPRHHAVVAVKKLWRAAGCPEEATTVDGRTDVEAGGEFAAEVKLLGRLRHRNVVRMLGYVSNNLDTMVIYEYMVNGSLWDALHGQRKGKMLMDWVSRYNVAAGVAAGLAYLHHDCRPPVIHRDVKSSNVLLDANMDAKIADFGLARVMARAHETVSVVAGSYGYIAPEYGYTLKVDQKSDIYSFGVVLMELLTGRRPIEPEYGESQDIVGWIRERLRSNTGVEELLDASVGGRVDHVREEMLLVLRVAVLCTAKSPKDRPTMRDVVTMLGEAKPRRKSSSATVAATVVDKDKPVFTTSPDSGYL >ORUFI03G03240.1 pep chromosome:OR_W1943:3:2198494:2200422:1 gene:ORUFI03G03240 transcript:ORUFI03G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTITRPTLNLPMLAMETIIGPTWQAYMIRSIPMDSLQLQLSE >ORUFI03G03250.1 pep chromosome:OR_W1943:3:2204238:2205537:1 gene:ORUFI03G03250 transcript:ORUFI03G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDKDAAALAGELTGDAGASLNGFFDHTGLEAAVVGEGQGEGEEEEELEWLSNKDAFPSVDTMAAEVESAAPGAPARAAVGPRTKGLRRRRRVTAPWSLAPLLSRPRQAAAAAADAGAPRRRCTHCAVDETPQWRLGPDGPRTLCNACGVRFKSGRLFPEYRPANSPTFSPLLHSNSHRRVMEMRLQSEEDASAASRVNAKARRAERAAARLAGKDKK >ORUFI03G03260.1 pep chromosome:OR_W1943:3:2206008:2206520:1 gene:ORUFI03G03260 transcript:ORUFI03G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGRGAGRVVRELQVYSNNNSWRWGTSWCVLVLVAAQRTVGPGHFVAVGGAASQGRDEIFMGGSGGTAPEHVMAESGEEHSRSRTGQIVVCLGWVPALRTTRAQKTNGTRSLAYPDEGGYSLPYVRSHSAYDFLCGVLPFYFLYLADSYTPFSPKYSSCFKREHSL >ORUFI03G03270.1 pep chromosome:OR_W1943:3:2208572:2212119:1 gene:ORUFI03G03270 transcript:ORUFI03G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSVPAGPAATAALPLAVFPKDTRPLPCLLLSCLLLLLLLHLLSSPSSSSSPPPPPSPPHHVPLPVDASSAGPAPPSLAFLLTGSAGDADRLLRLLLATYHPRNLYLLLLDGAASAGDRARLARQARAGPGRANVHVVGDPGFANPRGASTLAATLHGASLLLRVGQDWDWFVHLDAGDYPLVTPDDLLHVLSYIPKDLNFIQHTSYIGWRESRQIRPIVVDPGLYLSSRTDIFYATQKRELPNAYKLFTGSSSVILSRKFIEYCIIGTDNLPRTMLMYYTNMPLPHRKYFQTVLCNSPEFNRTVVNHDLHYSKWDSSSKKEPLLLTLDDVENMTQSGVAFGTRFSMDDPVLNHIDEEILHRQPEEPAPGGWCIGVGDASPCSVSGNPDVLRPGPAAMKLAKLLAQRLTYRNFYSQQCN >ORUFI03G03270.2 pep chromosome:OR_W1943:3:2208572:2211985:1 gene:ORUFI03G03270 transcript:ORUFI03G03270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSVPAGPAATAALPLAVFPKDTRPLPCLLLSCLLLLLLLHLLSSPSSSSSPPPPPSPPHHVPLPVDASSAGPAPPSLAFLLTGSAGDADRLLRLLLATYHPRNLYLLLLDGAASAGDRARLARQARAGPGRANVHVVGDPGFANPRGASTLAATLHGASLLLRVGQDWDWFVHLDAGDYPLVTPDDLLHVLSYIPKDLNFIQHTSYIGWRESRQIRPIVVDPGLYLSSRTDIFYATQKRELPNAYKLFTGSSSVILSRKFIEYCIIGTDNLPRTMLMYYTNMPLPHRKYFQTVLCNSPEFNRTVVNHDLHYSKWDSSSKKEPLLLTLDDVENMTQSGVAFGTRFSMDDPVLNHIDEEILHRQPEEPAPGGWCIGVGDASPCSVSGNPDVLRPGPAAMKLAKLLAQRLTYRNFYSQQCIWD >ORUFI03G03280.1 pep chromosome:OR_W1943:3:2212241:2214412:-1 gene:ORUFI03G03280 transcript:ORUFI03G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRASMSSRSVLVQIPDQLPTLFEPQKAIMTPNIRAGVDDDDDGDAAPPERRLTVLALQLAVLEKAASRLGTLGFIWATVVLLGGFAITLGRTDFWCITVLLVVEGARILGRSHELEWQHEATWRSPVSSAAGLVFYWMQLLSASACVALSLVRLVHQRYGGSADARTNRSSALDIFYGLALAEALLFLVEKALWQWRVGHRRLIERVAKECHLAGACGAVAVRRFFYDSYSRCLNGSIFDGLHMDLVSYADDLLTAGSYDEQRLGASVLVALAESDRFADATLRRIGTSAPVTERLIEMLSWKNSSEKGVRRSAAVVVSMLTEGKLNALRVTGIPGAIESVASLLYADLDELNLLGLSILNKLAHDHDICDKIGKTKGLLDKIISYSSIASAPATAAPTDTNLMAVKQSLRVVKRLASTTGTTGKLLRRELSGIVFTVSNVRDVLQQRDGKAWSELHQLAIETLTSLTMDEEAREMIGRTGGVVSELVAMFLPATEAVGDRPADAVRVEAGEALAMLALDSRRNCGAIMTACGGGVERLVEAMSDPVVIVGAARILRNLCTYAGDEWRLPLRGVAAGATKVLRTIMAEKGKVLNIFLGLAAQMLRFMEPEELRASLAAARVTDAALAKTMVQVLREYSRPSMVVPRIRRYTIELAVAMMRSDKRYVALFVELGMEDQLRRVAGTTSELECFNVFSGSVGLSRRGVSVCADVEAALELMRQA >ORUFI03G03290.1 pep chromosome:OR_W1943:3:2215783:2224289:-1 gene:ORUFI03G03290 transcript:ORUFI03G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGETASPAPEPEPPGQPEVSPRGAGMFEDAVEGSSTATESPVAVARPDGEATTESSSPSASRTLAGDEPAAAGAGEVEAYGGDESPSVSEPREESGSVDTGSAASPSVLRSEQRARGAEEQENSMAAQLGEGSPAREETKSRISSAPSSPVLSGTSTSSSSPLSQIKHQARHVRTGSFQRFRQQMQRAWKWGPIGSGGGGERSPREQLLRTTVNFEAMAHQKRQWYQIQSKSRDNKQYKEPTTLFEHFFVVGLHSYANVGVIEDAFAKKKAWESNVEHSEIVDLRKIQYHGPIPTMEPQILFKYPPGKKAEIREIDLPSFCFPEGVKARLIERTPSMSDLNEVVFGQEHLCRDDLSFIFSMKVSDNAPLYGVCLHVQEIVQRAPGILGMVSPLNPTSYKPSRFLVSAPRCYCLLTKVPFFELHYEMLNSIIAQERLDRITQFASEIALAEPIPRSMKEQSQVNGEDFESANELSYNDWTEYAVPVNSISGLISSSGLPSEGEIPSYLFRSWEPNSPESMSASETSDSSYIRELEKEGRHSFQQYEDCISENLGSRCDSFGRTSYTYENGHTSPDLLSTHSPISRRIMRAQSMESLHSSVKGVGSDEEEELNMKQEIVVDDEKVMGWAKVHNNEPLQIVCGYHALALPPRGGELVFHPLEHLQPVKYSRPGLSLLGLGDTISDNGLTSVEKTEVNARLAAAEEAIALSIWTTATICRALSLESVLELFAAALLEKQIVVICSNLGVLSAIVLSVMPMIRPFQWQSLLLPVLPRKLVDFLDAPVPFIAGVQHKPPDIKMKASSIVRINVDKDQVKACSLPQLPRFKELVSDLSPIHARLSCENALAKRHPIYKCNEVQAEAAWQFLNVMRSYLESLCSDLPSHTITNVQSNNDRVSLLLKDSFIDSFPSKDRPFVKLFVETQMFSVLSDSRLSTFENELT >ORUFI03G03290.2 pep chromosome:OR_W1943:3:2215783:2224289:-1 gene:ORUFI03G03290 transcript:ORUFI03G03290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGETASPAPEPEPPGQPEVSPRGAGMFEDAVEGSSTATESPVAVARPDGEATTESSSPSASRTLAGDEPAAAGAGEVEAYGGDESPSVSEPREESGSVDTGSAASPSVLRSEQRARGAEEQENSMAAQLGEGSPAREETKSRISSAPSSPVLSGTSTSSSSPLSQIKHQARHVRTGSFQRFRQQMQRAWKWGPIGSGGGGERSPREQLLRTTVNFEAMAHQKRQWYQIQSKSRDNKQYKEPTTLFEHFFVVGLHSYANVGVIEDAFAKKKAWESNVEHSEIVDLRKIQYHGPIPTMEPQILFKYPPGKKAEIREIDLPSFCFPEGVKARLIERTPSMSDLNEVVFGQEHLCRDDLSFIFSMKVSDNAPLYGVCLHVQEIVQRAPGILGMVSPLNPTSYKPSRFLVSAPRCYCLLTKVPFFELHYEMLNSIIAQERLDRITQFASEIALAEPIPRSMKEQSQVNGEDFESANELSYNDWTEYAVPVNSISGLISSSGLPSEGEIPSYLFRSWEPNSPESMSASETSDSSYIRELEKEGRHSFQQYEDCISENLGSRCDSFGRTSYTYENGHTSPDLLSTHSPISRRIMRAQSMESLHSSVKGVGSDEEEELNMKQEIVVDDEKVMGWAKVHNNEPLQIVCGYHALALPPRGGELVFHPLEHLQPVKYSRPGLSLLGLGDTISDNGLTSVEKTEVNARLAAAEEAIALSIWTTATICRALSLESVLELFAAALLEKQIVVICSNLGVLSAIVLSVMPMIRPFQWQSLLLPVLPRKLVDFLDAPVPFIAGVQHKPPDIKMKASSIVRINVDKDQAEAAWQFLNVMRSYLESLCSDLPSHTITNVQSNNDRVSLLLKDSFIDSFPSKDRPFVKLFVETQMFSVLSDSRLSTFENELT >ORUFI03G03290.3 pep chromosome:OR_W1943:3:2215783:2224289:-1 gene:ORUFI03G03290 transcript:ORUFI03G03290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGETASPAPEPEPPGQPEVSPRGAGMFEDAVEGSSTATESPVAVARPDGEATTESSSPSASRTLAGDEPAAAGAGEVEAYGGDESPSVSEPREESGSVDTGSAASPSVLRSEQRARGAEEQENSMAAQLGEGSPAREETKSRISSAPSSPVLSGTSTSSSSPLSQIKHQARHVRTGSFQRFRQQMQRAWKWGPIGSGGGGERSPREQLLRTTVNFEAMAHQKRQWYQIQSKSRDNKQYKEPTTLFEHFFVVGLHSYANVGVIEDAFAKKKAWESNVEHSEIVDLRKIQYHGPIPTMEPQILFKYPPGKKAEIREIDLPSFCFPEGVKARLIERTPSMSDLNEVVFGQVSDNAPLYGVCLHVQEIVQRAPGILGMVSPLNPTSYKPSRFLVSAPRCYCLLTKVPFFELHYEMLNSIIAQERLDRITQFASEIALAEPIPRSMKEQSQVNGEDFESANELSYNDWTEYAVPVNSISGLISSSGLPSEGEIPSYLFRSWEPNSPESMSASETSDSSYIRELEKEGRHSFQQYEDCISENLGSRCDSFGRTSYTYENGHTSPDLLSTHSPISRRIMRAQSMESLHSSVKGVGSDEEEELNMKQEIVVDDEKVMGWAKVHNNEPLQIVCGYHALALPPRGGELVFHPLEHLQPVKYSRPGLSLLGLGDTISDNGLTSVEKTEVNARLAAAEEAIALSIWTTATICRALSLESVLELFAAALLEKQIVVICSNLGVLSAIVLSVMPMIRPFQWQSLLLPVLPRKLVDFLDAPVPFIAGVQHKPPDIKMKASSIVRINVDKDQVKACSLPQLPRFKELVSDLSPIHARLSCENALAKRHPIYKCNEVQAEAAWQFLNVMRSYLESLCSDLPSHTITNVQSNNDRVSLLLKDSFIDSFPSKDRPFVKLFVETQMFSVLSDSRLSTFENELT >ORUFI03G03300.1 pep chromosome:OR_W1943:3:2227529:2228812:-1 gene:ORUFI03G03300 transcript:ORUFI03G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQLLPLPPAAPPQPRRGKPGCWNTPPAPPKVCHYWKSGRCSRNPCRFLHTDAPDPAPPIAAVNTRSNTWVNPSCVAANSDGKGRAPPVQPAKRQVEAPPETPAKRRCGGGAWCVGDGFCGVARLKGHAKAVTGFALPEGSDKLFSGSLDGTVRAWDCSTGQCVRVEEMQEGEVHKLIAMGPWVLAGVRGAVKAIHTGTGKELRLRGPASQITAMLAEDEDHLFAGAEDGAVFMWRMNQEQQSFDEVAALTGHYKAVVSLAQGKGALYSGSTDGSIRVWDLDTHRCIYSFAGHSSTVTALLCWERFLLSSSDDGTVKVWQWKPDHDDLDLEVHYTHKEDERVVSMDGTYDADEKPVLLVSRGDGVVRVYDLPSLKKRGDIICDDEVRTISVRSRGVVFTGDASGEVRVVKWTSLSDAAESYLAMA >ORUFI03G03310.1 pep chromosome:OR_W1943:3:2229355:2229651:-1 gene:ORUFI03G03310 transcript:ORUFI03G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATVDIELARRWRQLPLARWEDSDGNHWLHTTSATAGSASLRGRQRLRLLKLEGKAAADHRLHETMSRRRRIFGRGKRRVAKVAAARGKMRGIRVRE >ORUFI03G03320.1 pep chromosome:OR_W1943:3:2229364:2236605:1 gene:ORUFI03G03320 transcript:ORUFI03G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTMSSTQSFKSGAARSFTTTMRRGANGAPLCFSGSREGKRRALSLPNGSQGRSLRLRLRLCCAPPESKWWTMPLTPDDLIEPTGHGQEELEAIWNALVEEPLRPILTAFQEIKATNGNVFRCRCFHAGIMSGLLVLVIRICQFHKLAPGLCVDFVLGYVCYRMSVLAAELKRNGKANNICARIQFVIMLLLFWANNPIKDSCFYFTQLIWYFALHVYSCAVFYEYIGVKHPARYLEAMFNTILTTNGGLMKVLKFMFLGQE >ORUFI03G03320.10 pep chromosome:OR_W1943:3:2229452:2232193:1 gene:ORUFI03G03320 transcript:ORUFI03G03320.10 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWSLWSAAAFPSSLRSRSRCLPLKLAEPAVADVVWSQWLPSLSSQRASGSCRHLLAILHLMLSSYQPYMTGGNLYLIFLWCMVNQIL >ORUFI03G03320.2 pep chromosome:OR_W1943:3:2229364:2236605:1 gene:ORUFI03G03320 transcript:ORUFI03G03320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTMSSTQSFKSGAARSFTTTMRRGANGAPLCFSGSREGKRRALSLPNGSQGRSLRLRLRLCCAPPESKWWTMPLTPDDLIEPTGHGQEELEAIWNALVEEPLRPILTAFQEIKATNGNVFRCRCFHAGIMSGLLVLVIRICQFHKLAPGLCVDFVLGYVCYRMSVLAAELKRNGKANNICARIQFVIMLLLFWANNPIKDSCFYFTQLIWYFALHVYSCAVFYEYIGVKHPARYLEAMFNTILTTNGGLMKVLKFMFLGQE >ORUFI03G03320.3 pep chromosome:OR_W1943:3:2229364:2236605:1 gene:ORUFI03G03320 transcript:ORUFI03G03320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTMSSTQSFKSGAARSFTTTMRRGANGAPLCFSGSREGKRRALSLPNGSQGRSLRLRLRLCCAPPESKWWTMPLTPDDLIEPTGHGQEELEAIWNALVEEPLRPILTAFQEIKATNGNVFRCRCFHAGIMSGLLVLVIRICQFHKLAPGLCVDFVLGYVCYRMSVLAAELKRNGKANNICARIQFVIMLLLFWANNPIKDSCFYFTQLIWYFALHVYSCAVFYEYIGVKHPARYLEAMFNTILTTNGGLMKVLKFMFLGQE >ORUFI03G03320.4 pep chromosome:OR_W1943:3:2229452:2236605:1 gene:ORUFI03G03320 transcript:ORUFI03G03320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTMSSTQSFKSGAARSFTTTMRRGANGAPLCFSGSREGKRRALSLPNGSQGRSLRLRLRLCCAPPESKWWTMPLTPDDLIEPTGHGQEELEAIWNALVEEPLRPILTAFQEIKATNGNVFRCRCFHAGIMSGLLVLVIRICQFHKLAPGLCVDFVLGYVCYRMSVLAAELKRNGKANNICARIQFVIMLLLFWANNPIKDSCFYFTQLIWYFALHVYSCAVFYEYIGVKHPARYLEAMFNTILTTNGGLMKVLKFMFLGQE >ORUFI03G03320.5 pep chromosome:OR_W1943:3:2229452:2236605:1 gene:ORUFI03G03320 transcript:ORUFI03G03320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTMSSTQSFKSGAARSFTTTMRRGANGAPLCFSGSREGKRRALSLPNGSQGRSLRLRLRLCCAPPESKWWTMPLTPDDLIEPTGHGQEELEAIWNALVEEPLRPILTAFQEIKATNGNVFRCRCFHAGIMSGLLVLVIRICQFHKLAPGLCVDFVLGYVCYRMSVLAAELKRNGKANNICARIQFVIMLLLFWANNPIKDSCFYFTQLIWYFALHVYSCAVFYEYIGVKHPARYLEAMFNTILTTNGGLMKVLKFMFLGQE >ORUFI03G03320.6 pep chromosome:OR_W1943:3:2229364:2236605:1 gene:ORUFI03G03320 transcript:ORUFI03G03320.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTMSSTQSFKSGAARSFTTTMRRGANGAPLCFSGSREGKRRALSLPNGSQGRSLRLRLRLCCAPPESKWWTMPLTPDDLIEPTGHGQEELEAIWNALVEEPLRPILTAFQEIKATNGNVFRCRCFHAGIMSGLLVLVIRICQFHKLAPGLCVDFVLGYVCYRMSVLAAELKRNGKANNICARIQFVIMLLLFWANNPIKDSCFYFTQLIWYFALHVYSCAVFYEYIGVKHPARYLEAMFNTILTTNGGLMKVLKFMFLGQE >ORUFI03G03320.7 pep chromosome:OR_W1943:3:2229364:2236605:1 gene:ORUFI03G03320 transcript:ORUFI03G03320.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTMSSTQSFKSGAARSFTTTMRRGANGAPLCFSGSREGKRRALSLPNGSQGRSLRLRLRLCCAPPESKWWTMPLTPDDLIEPTGHGQEELEAIWNALVEEPLRPILTAFQEIKATNGNVFRCRCFHAGIMSGLLVLVIRICQFHKLAPGLCVDFVLGYVCYRMSVLAAELKRNGKANNICARIQFVIMLLLFWANNPIKDSCFYFTQLIWYFALHVYSCAVFYEYIGVKHPARYLEAMFNTILTTNGGLMKVLKFMFLGQE >ORUFI03G03320.8 pep chromosome:OR_W1943:3:2229452:2236605:1 gene:ORUFI03G03320 transcript:ORUFI03G03320.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTMSSTQSFKSGAARSFTTTMRRGANGAPLCFSGSREGKRRALSLPNGSQGRSLRLRLRLCCAPPESKWWTMPLTPDDLIEPTGHGQEELEAIWNALVEEPLRPILTAFQEIKATNGNVFRCRCFHAGIMSGLLVLVIRICQFHKLAPGLCVDFVLGYVCYRMSVLAAELKRNGKANNICARIQFVIMLLLFWANNPIKDSCFYFTQLIWYFALHVYSCAVFYEYIGVKHPARYLEAMFNTILTTNGGLMKVLKFMFLGQE >ORUFI03G03320.9 pep chromosome:OR_W1943:3:2229452:2232193:1 gene:ORUFI03G03320 transcript:ORUFI03G03320.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWSLWSAAAFPSSLRSRSRCLPLKLAEPAVADVVWSQWLPSLSSQRASGSCRHLLAILHLMLSSYQPYMTGGNLYLIFLWCMVNQGASDKQKIL >ORUFI03G03330.1 pep chromosome:OR_W1943:3:2239750:2241868:-1 gene:ORUFI03G03330 transcript:ORUFI03G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERDERVVSMDGTYDADEKPVLLVSRGDGVVRVHDLPSLKKRGDILCYDEVKTISIRSRGVVFTGDASGEVPSTGVMFAKLNADTSEIKLTEKQGDDTEDDKKKVNGSMKIPRVWLSLSDCWEKMKVSIFEFMIDTSGKKEAIYTVYCYIAIGIVLSLNCFWKVWREASNSDEKPSGFKAFFITIVCMVGKKLYDSLKTTK >ORUFI03G03340.1 pep chromosome:OR_W1943:3:2242623:2244934:-1 gene:ORUFI03G03340 transcript:ORUFI03G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGRRDDLVDDDGIGEEYMAPSDDEEAQLPDELFRLADAAQSGNVAALRAALDNYSGNIDDPIEDGDTLLHIACLYGHLPCVQLLLERQASLECKDEEGAIPLHDACAGGFSDIVQYILNFAANIDGCVTRMLNTVDSEGDTPLHHAARGEHLGVVDLLLKAGACAKKENTYGQVPAEMADEGTEVRKLLIQEQVEASTHTSN >ORUFI03G03350.1 pep chromosome:OR_W1943:3:2247195:2251760:1 gene:ORUFI03G03350 transcript:ORUFI03G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTRVGDARPARLLYLLRHIKTKRHRMLRDPLSPSFFSSSLNRAAPRLPKYITVGAAVRRAVRAAGAGRARARSLGQSVSLREERGDPWQAWRTTRCRPSAAAAAAAVSCRGREIIGLAGGRRTQVLRATIPENSVSGSSVRGKLREMEMDLAVEQYGCVHYRRKCKIRAPCCGEIFDCRHCHNEAKDSLEVSISDRHEIPRHEIKLVICSLCNKEQDVQQDCSNCGACLGKYFCAKCNFYDDDVSKNQFHCDGCGICRQVVLNMHSCDGVMKYEPFLINLTITFYRTGGAENFFHCDKCGCCYSYVLKDSHHCVERAMHHNCPVCFEYLFDSTKDISALHCGHTIHLECLYEMRSHQQFSCPVCLRSACDMSHAWQKLDQEVAASPMPVIYQKKMIWILCNDCGTTSNVQFHILGHKCPGCSSYNTRQTRAAPAAACSRV >ORUFI03G03350.2 pep chromosome:OR_W1943:3:2247195:2251760:1 gene:ORUFI03G03350 transcript:ORUFI03G03350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTRVGDARPARLLYLLRHIKTKRHRMLRDPLSPSFFSSSLNRAAPRLPKYITVGAAVRRAVRAAGAGRARARSLGQSVSLREERGDPWQAWRTTRCRPSAAAAAAAVSCRGREIIGLAGGRRTQVLRATIPENSVSGSSVRGKLREMEMDLAVEQYGCVHYRRKCKIRAPCCGEIFDCRHCHNEAKDSLEVSISDRHEIPRHEIKLVGVPVQLSALSATKNRMYNRIAPIVGHAWVNISAQNATFMMTITGGAENFFHCDKCGCCYSYVLKDSHHCVERAMHHNCPVCFEYLFDSTKDISALHCGHTIHLECLYEMRSHQQFSCPVCLRSACDMSHAWQKLDQEVAASPMPVIYQKKMIWILCNDCGTTSNVQFHILGHKCPGCSSYNTRQTRAAPAAACSRV >ORUFI03G03350.3 pep chromosome:OR_W1943:3:2247195:2251682:1 gene:ORUFI03G03350 transcript:ORUFI03G03350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTRVGDARPARLLYLLRHIKTKRHRMLRDPLSPSFFSSSLNRAAPRLPKYITVGAAVRRAVRAAGAGRARARSLGQSVSLREERGDPWQAWRTTRCRPSAAAAAAAVSCRGREIIGLAGGRRTQVLRATIPENSVSGSSVRGKLREMEMDLAVEQYGCVHYRRKCKIRAPCCGEIFDCRHCHNEAKDSLEVSISDRHEIPRHEIKLVICSLCNKEQDCLSGVIRFGCSHHIKFVRLLICRYNRIAPIVGHAWVNISAQNATFMMTITGGAENFFHCDKCGCCYSYVLKDSHHCVERAMHHNCPVCFEYLFDSTKDISALHCGHTIHLECLYEMRSHQQFSCPVCLRSACDMSHAWQKLDQEVAASPMPVIYQKKMIWILCNDCGTTSNVQFHILGHKCPGCSSYNTRQTRAAPAAACSRV >ORUFI03G03350.4 pep chromosome:OR_W1943:3:2247195:2251760:1 gene:ORUFI03G03350 transcript:ORUFI03G03350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTRVGDARPARLLYLLRHIKTKRHRMLRDPLSPSFFSSSLNRAAPRLPKYITVGAAVRRAVRAAGAGRARARSLGQSVSLREERGDPWQAWRTTRCRPSAAAAAAAVSCRGREIIGLAGGRRTQVLRATIPENSVSGSSVRGKLREMEMDLAVEQYGCVHYRRKCKIRAPCCGEIFDCRHCHNEAKDSLEVSISDRHEIPRHEIKLVICSLCNKEQDVQQDCSNCGACLGCCYSYVLKDSHHCVERAMHHNCPVCFEYLFDSTKDISALHCGHTIHLECLYEMRSHQQFSCPVCLRSACDMSHAWQKLDQEVAASPMPVIYQKKMIWILCNDCGTTSNVQFHILGHKCPGCSSYNTRQTRAAPAAACSRV >ORUFI03G03360.1 pep chromosome:OR_W1943:3:2252480:2258036:1 gene:ORUFI03G03360 transcript:ORUFI03G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPASSYDCSFKILLIGDSAVGKSSLLVSFVSASHIDDEIAPTIGVDFKIKFLTVNGKKLKLTIWDTAGQERFRGITSSYYRGAHGIILVYDVTKRESFTNLADVWAKEIELHSTNKECIKMLVGNKVDKNEERMVTREEGLAFAQESGCLFLESSAKTRENILEVPSLLEEGSSVVKRNSLKQKHENKAKNGGCCHKKAEPDAHKGFACACA >ORUFI03G03370.1 pep chromosome:OR_W1943:3:2261710:2263215:-1 gene:ORUFI03G03370 transcript:ORUFI03G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRNIAVGSHQEVYHRGALKAALAEFISTLIFVFAGQGSGMAFSKLTGGGATTPAGLIAAAVAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLFRGLLYWIAQLLGSTVACFLLRFSTGGLATGTFGLTGVSVWEALVLEIVMTFGLVYTVYATAVDPKKGSLGTIAPIAIGFIVGANILVGGAFDGASMNPAVSFGPALVSWSWESQWVYWVGPLIGGGLAGVIYEVLFISHTHEQLPTTDY >ORUFI03G03380.1 pep chromosome:OR_W1943:3:2270638:2272554:1 gene:ORUFI03G03380 transcript:ORUFI03G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRG >ORUFI03G03390.1 pep chromosome:OR_W1943:3:2274797:2278834:1 gene:ORUFI03G03390 transcript:ORUFI03G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAAHLSPASSAVPSRNHGLLLHLRFLLSSSVSHLSARENSNPPSGCWFSCQKQAILPVPVSSRLPPPPSCYYSVLLEEHWSPRLPQSPLTTPGASYKNRHAKNLQNHTETSDLRGFPSESTKMPVMAPTASLLLSPRPLPASRRVPSLPALSASGRLRLRRARADTRLRVAAPPSVPGEADQAPGETEPSTSSADEKFVWRDHWYPVSLVEDLDPSVPTPFQLLNRDLVIWKDPKSGEWVALDDRCPHRLAPLSEGRIDETGCLQCSYHGWSFDGSGACTRIPQAAPEGPEAKAVRSPKACAIKFPTLVSQGLLFVWPDENGWEKATATKPPMLPKEFEDPAFSTVTIQRDLYYGYDTLMENVSDPSHIEFAHHKVTGRRDRARPLPFKMESSGAWGYSGSNSGNPRISATFVAPCYALNKIEIDTKLPIFGDQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFSMPGKAWWQLVPRWYEHWTSNLVYDGDMIVLQGQEKIFLSASKESSADINQQYTKITFTPTQADRFVLAFRAWLRKFGNSQPDWFGNPSQEVLPSTVLSKREMLDRYEQHTLKCSSCKGAYNAFQTLQKVFMGATVAFCATAGIPADVQFRLLLAAAALVSAAVAYAFYTLQKNFVFVDYVHAEID >ORUFI03G03400.1 pep chromosome:OR_W1943:3:2279435:2282251:1 gene:ORUFI03G03400 transcript:ORUFI03G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRSPPPFPAAAFRRRRAVVRASSSSSSSSSAVSSAPKARFVARRSESTFVQQLARPLAEYMSLPASQYSVLDAERIERVDESTFRCYVYRFRFFALEVCPVLLVRVDEEPNGCCISLLSCKVWWCLLAASLMALEGSPLVEAQNDKFSASMVNKVFCNSSMSDSTSQQLTSDTTIEVTIDIPFPFRALPVEAIESSGKQVLEQLLRVMLPRFLKQLVKDYQAWASGDSSRKPLGTGEI >ORUFI03G03410.1 pep chromosome:OR_W1943:3:2283124:2303915:1 gene:ORUFI03G03410 transcript:ORUFI03G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVASSSSISTMPSSSAAAAAAALEAVQVLVTSLADESPVARDAALAALREIAPMNPLLVLDCCATVSRGGRRRFGNIAGVFLVMASAVKALDRSDAEREFLRKLAKIATAEIVSSKELNVDWQRAAASLLVAIGSHDPDLMMEELFLYFAGPTSALPAMLQILADFASAEAFRCWCQAAWQYIGDAPSELPFDTDVMSFMNSVFELLLKVWTGSRDLKVRLSSVEALGEMVGLVTRSQLKSALPRIIPTMLDLCRKDQEIAFVASHSLHNLLNASLLSESGPPLLDFEELTVVLITLLPLASANNSKFEHSYVSKGLKTYNEIQHCFLVISSAYPEDLCMFLLNLIVVMASHCYLSGHPAELAVEFLVRHSAITDDDLNDPNTLKNEYFQDKRFEMKISLSGLSELRAVCEKGLLLLAITIPEMELVLWPFILKLIIPKKYTGAVATICKCITELCRHKLSQTNPLYTEFNASNEIPNPEDLFARLLVLLHNPLARGQLATHILMVMCYLGQLFPRNLSLFLQDEVPKMKAYIGDPEDLKQDSTYQETWDDMIINFLAESLDVVNDSEWVISLGDAFARQYDLYATCDGHSALLHRCLGMLLQKVDDRIYVREKIDWMCAHSSMSIPINRLGLAQGIGLVAASHLDTVLEKLKNILENAGQSALQRFLSLFSLGAKVEDVDDTYAALALMYGYAAKYAPSTVIEARINALVGTNMLGRFLHVQHPTAKQAVITAIDLLEPRLPMETRNRVMKATLGFFALPTEPSNIVESLITNLIILLGAILLTSGEDGRSRAEQLLHILRQLDPYVSSSAEHQRRRGCAAVNEVLVKFRNLCSGGFGVLGSYPTFTLNKQIDQAAPRSLSTAFVLPTRDSLSLGERIMAYLPRCADTDAEVRKVAIQILALFFNIALSLPKKKASVNDIDLESSYSALSSLEDIVSIIRRVGEASIDQAEVFHRVVSSLCVLLSKDELVVLLHSCTLAACDKVKQSSDGSIQAIIMFIIRRGKELREADVLRTTQSLLSSAVSLTNKESRREVLNAISCLAENTNHTVVFNEVLFVAGRDICTKDIARIRGGWAIQDVFHAFSQHKVLAILFLEYILSILHKEPVATNDSEKGEITSESSADDCILQATMFALNAFLRGGGKIGKQAVEQSYPSVLSALILKLGSLHGLAELGRNELLRSLLIAFQSFCDCVGDIEMGKILARDGEQTEKEKWIDLVQEVACSSSVKRPKEVLPTCSILSKALNKNQRAEREAAAAALSEYIRHSEKEPILLDQMVLELCQHVSDDSPTVRSLCLRGLVQIPESCIPKYIQQVLGVILALLEDTKESVQLTAVQCLLTVLNVSEQDAIDPVLINLLVRLRNLQVSMNTKMRSNAFAAYGALSAYGVGSQQFLEQIHATLPRLILHLHDDDLSVRLACRNTFQLLAPLMEVDGLSSLLSKKYFTSDRRSDYEDFIRDLTRQLCRLSPARVDSYFESAIQAFDAPWPVIKANAVCLVSCMLSFLDDQRFLAPYFSQVFAILVGRLSQSPDAVVRAASSSALGLLIKRSNVVKTLTPKFDRADSSQNFQHGDTRTKTSSEPQQETIDMPNDTQREP >ORUFI03G03410.2 pep chromosome:OR_W1943:3:2283124:2303915:1 gene:ORUFI03G03410 transcript:ORUFI03G03410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVASSSSISTMPSSSAAAAAAALEAVQVLVTSLADESPVARDAALAALREIAPMNPLLVLDCCATVSRGGRRRFGNIAGVFLVMASAVKALDRSDAEREFLRKLAKIATAEIVSSKELNVDWQRAAASLLVAIGSHDPDLMMEELFLYFAGPTSALPAMLQILADFASAEAFRCWCQAAWQYIGDAPSELPFDTDVMSFMNSVFELLLKVWTGSRDLKVRLSSVEALGEMVGLVTRSQLKSALPRIIPTMLDLCRKDQEIAFVASHSLHNLLNASLLSESGPPLLDFEELTVVLITLLPLASANNSKFEHSYVSKGLKTYNEIQHCFLVISSAYPEDLCMFLLNLIVVMASHCYLSGHPAELAVEFLVRHSAITDDDLNDPNTLKNEYFQDKRFEMKISLSGLSELRAVCEKGLLLLAITIPEMELVLWPFILKLIIPKKYTGAVATICKCITELCRHKLSQTNPLYTEFNASNEIPNPEDLFARLLVLLHNPLARGQLATHILMVMCYLGQLFPRNLSLFLQDEVPKMKAYIGDPEDLKQDSTYQETWDDMIINFLAESLDVVNDSEWVISLGDAFARQYDLYATCDGHSALLHRCLGMLLQKVDDRIYVREKIDWMCAHSSMSIPINRLGLAQGIGLVAASHLDTVLEKLKNILENAGQSALQRFLSLFSLGAKVEDVDDTYAALALMYGYAAKYAPSTVIEARINALVGTNMLGRFLHVQHPTAKQAVITAIDLLEPRLPMETRNRVMKATLGFFALPTEPSNIVESLITNLIILLGAILLTSGEDGRSRAEQLLHILRQLDPYVSSSAEHQRRRGCAAVNEVLVKFRNLCSGGFGVLGSYPTFTLNKQIDQAAPRSLSTAFVLPTRDSLSLGERIMAYLPRCADTDAEVRKVAIQILALFFNIALSLPKKKASVNDIDLESSYSALSSLEDIVSIIRREASIDQAEVFHRVVSSLCVLLSKDELVVLLHSCTLAACDKVKQSSDGSIQAIIMFIIRRGKELREADDNTIFAVVCSLAYQQGVTPRKNTNHTVVFNEVLFVAGRDICTKDIARIRGGWAIQDVFHAFSQHKVLAILFLEYILSILHKEPVATNDSEKGEITSESSADDCILQATMFALNAFLRGGGKIGKQAVEQSYPSVLSALILKLGSLHGLAELGRNELLRSLLIAFQSFCDCVGDIEMGKILARDGEQTEKEKWIDLVQEVACSSSVKRPKEVLPTCSILSKALNKNQRAEREAAAAALSEYIRHSEKEPILLDQMVLELCQHVSDDSPTVRSLCLRGLVQIPESCIPKYIQQVLGVILALLEDTKESVQLTAVQCLLTVLNVSEQDAIDPVLINLLVRLRNLQVSMNTKMRSNAFAAYGALSAYGVGSQQFLEQIHATLPRLILHLHDDDLSVRLACRNTFQLLAPLMEVDGLSSLLSKKYFTSDRRSDYEDFIRDLTRQLCRLSPARVDSYFESAIQAFDAPWPVIKANAVCLVSCMLSFLDDQRFLAPYFSQVFAILVGRLSQSPDAVVRAASSSALGLLIKRSNVVKTLTPKFDRADSSQNFQHGDTRTKTSSEPQQETIDMPNDTQREP >ORUFI03G03410.3 pep chromosome:OR_W1943:3:2283124:2303915:1 gene:ORUFI03G03410 transcript:ORUFI03G03410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVASSSSISTMPSSSAAAAAAALEAVQVLVTSLADESPVARDAALAALREIAPMNPLLVLDCCATVSRGGRRRFGNIAGVFLVMASAVKALDRSDAEREFLRKLAKIATAEIVSSKELNVDWQRAAASLLVAIGSHDPDLMMEELFLYFAGPTSALPAMLQILADFASAEAFRCWCQAAWQYIGDAPSELPFDTDVMSFMNSVFELLLKVWTGSRDLKVRLSSVEALGEMVGLVTRSQLKSALPRIIPTMLDLCRKDQEIAFVASHSLHNLLNASLLSESGPPLLDFEELTVVLITLLPLASANNSKFEHSYVSKGLKLIVVMASHCYLSGHPAELAVEFLVRHSAITDDDLNDPNTLKNEYFQDKRFEMKISLSGLSELRAVCEKGLLLLAITIPEMELVLWPFILKLIIPKKYTGAVATICKCITELCRHKLSQTNPLYTEFNASNEIPNPEDLFARLLVLLHNPLARGQLATHILMVMCYLGQLFPRNLSLFLQDEVPKMKAYIGDPEDLKQDSTYQETWDDMIINFLAESLDVVNDSEWVISLGDAFARQYDLYATCDGHSALLHRCLGMLLQKVDDRIYVREKIDWMCAHSSMSIPINRLGLAQGIGLVAASHLDTVLEKLKNILENAGQSALQRFLSLFSLGAKVEDVDDTYAALALMYGYAAKYAPSTVIEARINALVGTNMLGRFLHVQHPTAKQAVITAIDLLEPRLPMETRNRVMKATLGFFALPTEPSNIVESLITNLIILLGAILLTSGEDGRSRAEQLLHILRQLDPYVSSSAEHQRRRGCAAVNEVLVKFRNLCSGGFGVLGSYPTFTLNKQIDQAAPRSLSTAFVLPTRDSLSLGERIMAYLPRCADTDAEVRKVAIQILALFFNIALSLPKKKASVNDIDLESSYSALSSLEDIVSIIRRVGEASIDQAEVFHRVVSSLCVLLSKDELVVLLHSCTLAACDKVKQSSDGSIQAIIMFIIRRGKELREADVLRTTQSLLSSAVSLTNKESRREVLNAISCLAENTNHTVVFNEVLFVAGRDICTKDIARIRGGWAIQDVFHAFSQHKVLAILFLEYILSILHKEPVATNDSEKGEITSESSADDCILQATMFALNAFLRGGGKIGKQAVEQSYPSVLSALILKLGSLHGLAELGRNELLRSLLIAFQSFCDCVGDIEMGKILARDGEQTEKEKWIDLVQEVACSSSVKRPKEVLPTCSILSKALNKNQRAEREAAAAALSEYIRHSEKEPILLDQMVLELCQHVSDDSPTVRSLCLRGLVQIPESCIPKYIQQVLGVILALLEDTKESVQLTAVQCLLTVLNVSEQDAIDPVLINLLVRLRNLQVSMNTKMRSNAFAAYGALSAYGVGSQQFLEQIHATLPRLILHLHDDDLSVRLACRNTFQLLAPLMEVDGLSSLLSKKYFTSDRRSDYEDFIRDLTRQLCRLSPARVDSYFESAIQAFDAPWPVIKANAVCLVSCMLSFLDDQRFLAPYFSQVFAILVGRLSQSPDAVVRAASSSALGLLIKRSNVVKTLTPKFDRADSSQNFQHGDTRTKTSSEPQQETIDMPNDTQREP >ORUFI03G03410.4 pep chromosome:OR_W1943:3:2283124:2303915:1 gene:ORUFI03G03410 transcript:ORUFI03G03410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVASSSSISTMPSSSAAAAAAALEAVQVLVTSLADESPVARDAALAALREIAPMNPLLVLDCCATVSRGGRRRFGNIAGVFLVMASAVKALDRSDAEREFLRKLAKIATAEIVSSKELNVDWQRAAASLLVAIGSHDPDLMMEELFLYFAGPTSALPAMLQILADFASAEAFRCWCQAAWQYIGDAPSELPFDTDVMSFMNSVFELLLKVWTGSRDLKVRLSSVEALGEMVGLVTRSQLKSALPRIIPTMLDLCRKDQEIAFVASHSLHNLLNASLLSESGPPLLDFEELTVVLITLLPLASANNSKFEHSYVSKGLKLIVVMASHCYLSGHPAELAVEFLVRHSAITDDDLNDPNTLKNEYFQDKRFEMKISLSGLSELRAVCEKGLLLLAITIPEMELVLWPFILKLIIPKKYTGAVATICKCITELCRHKLSQTNPLYTEFNASNEIPNPEDLFARLLVLLHNPLARGQLATHILMVMCYLGQLFPRNLSLFLQDEVPKMKAYIGDPEDLKQDSTYQETWDDMIINFLAESLDVVNDSEWVISLGDAFARQYDLYATCDGHSALLHRCLGMLLQKVDDRIYVREKIDWMCAHSSMSIPINRLGLAQGIGLVAASHLDTVLEKLKNILENAGQSALQRFLSLFSLGAKVEDVDDTYAALALMYGYAAKYAPSTVIEARINALVGTNMLGRFLHVQHPTAKQAVITAIDLLEPRLPMETRNRVMKATLGFFALPTEPSNIVESLITNLIILLGAILLTSGEDGRSRAEQLLHILRQLDPYVSSSAEHQRRRGCAAVNEVLVKFRNLCSGGFGVLGSYPTFTLNKQIDQAAPRSLSTAFVLPTRDSLSLGERIMAYLPRCADTDAEVRKVAIQILALFFNIALSLPKKKASVNDIDLESSYSALSSLEDIVSIIRREASIDQAEVFHRVVSSLCVLLSKDELVVLLHSCTLAACDKVKQSSDGSIQAIIMFIIRRGKELREADDNTIFAVVCSLAYQQGVTPRKNTNHTVVFNEVLFVAGRDICTKDIARIRGGWAIQDVFHAFSQHKVLAILFLEYILSILHKEPVATNDSEKGEITSESSADDCILQATMFALNAFLRGGGKIGKQAVEQSYPSVLSALILKLGSLHGLAELGRNELLRSLLIAFQSFCDCVGDIEMGKILARDGEQTEKEKWIDLVQEVACSSSVKRPKEVLPTCSILSKALNKNQRAEREAAAAALSEYIRHSEKEPILLDQMVLELCQHVSDDSPTVRSLCLRGLVQIPESCIPKYIQQVLGVILALLEDTKESVQLTAVQCLLTVLNVSEQDAIDPVLINLLVRLRNLQVSMNTKMRSNAFAAYGALSAYGVGSQQFLEQIHATLPRLILHLHDDDLSVRLACRNTFQLLAPLMEVDGLSSLLSKKYFTSDRRSDYEDFIRDLTRQLCRLSPARVDSYFESAIQAFDAPWPVIKANAVCLVSCMLSFLDDQRFLAPYFSQVFAILVGRLSQSPDAVVRAASSSALGLLIKRSNVVKTLTPKFDRADSSQNFQHGDTRTKTSSEPQQETIDMPNDTQREP >ORUFI03G03420.1 pep chromosome:OR_W1943:3:2283793:2320672:-1 gene:ORUFI03G03420 transcript:ORUFI03G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPFSVHDDDGGAAAQLGVVQIDGEEDDVVAASLRCAFQSAVGTTAFQRLPVAPEFEPGLGALLMGAGEVVLAGAVEEHAGAGLGGGAVEAETSPCKSLAAPPIPLRASAPSNPSLPLALRPVRQPATIKIHPMVLRSQPLDATADLRGLPMSPQSSPSPLPPRPSRSNYAIFREMCRRRGNAVLHALHQSSLRRLGRRRYASTGTHPHVNLRSVAPSDLSGCRASLFGAVPLPTWRGDAEELTGTNHKFIPAQFSKTMKTSQLQYKGRKNRRKLSAEINKRPGVTQFDCIILTLPPPQQNTSHPYIHHPRILKCSAQK >ORUFI03G03430.1 pep chromosome:OR_W1943:3:2320697:2323271:-1 gene:ORUFI03G03430 transcript:ORUFI03G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRHMYLMPEQIGGGEENGDNGTDSIERPGGTGGQAARRGVAARRRGGAGKAADLAYWESAARMIADSSRSSKVVVEKSTVPVKTAEAIEKILAHNAHVVEFQVLSNPEARPSLTCVLIGGRDIAAVQALKDVYAQWVPVDRIITTNLWSAELSKLAVNAFLVQRVSSVNAISALCEATGTDVMEVATGAAQRLGAGSTAAADATSCAATASVGTARRRCGAPGERRQLKRLQDLACMCVHEVSEATGMVCARGIVQWLTGGGGIDV >ORUFI03G03440.1 pep chromosome:OR_W1943:3:2324408:2328682:1 gene:ORUFI03G03440 transcript:ORUFI03G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLGHSRRFLNLIVGMSGVKSLCCIDLMHQPLFNPTTPTTQPPNGNGSQSVPQTPAALMMEQLVVPASSFSFQASPSAPNYDQRKIECFPLTGRQDWGSSLFVIERFPKSEVSYQVEAFVYRHPSPARYSRTWHCELLPPPPYLHEPNKYNRRLEICSYAALGSSSICISFNGISTYRLNIATQTWEEVGKWTLPFHGKVEYVPELNLWFGLSAESHHLAAADLSSLELDSQPQLLVGPWKELHLPEEWKECEDSQLVSLGFGKFCVARFLHPNDRIHKGELGDEELSSQNCITVLTGVEVVPRVPNANVNSNSSGSVSSNGISELRMIPHKSRCHTSNGTIVHTPSPPESAVAQDSTAQTPVAASTMERLQLPNPILRLWNRYFFPLSEHKILSVDKSGCSLLFDANTRHLVTMPFLNKPKRDPISLFIPNGDGDDGGSIFVMDRVPKLEIGSRAQTSDQFEAFIYRKNFVDCQLLPPPPYLRDYKHCERRHKINAYAVVDGGSQICISVEDVGTYCLDTASHTWSQVGDWTLPFDAKLEYVPELKLWFGFSAGAQHFAASDLSSMDCQSQPQLVGPWKELEPPMEWRETYDSQLVNLGSGRFCIARFFQTKREGCYDEDDVYLWQDVTALTGVEVKPYVHDGSYSGSGSCSRNGEVKLEMHTHKSLCHMTERFGIDDVMYELSNKDWKVIEERIEKKLSSWK >ORUFI03G03450.1 pep chromosome:OR_W1943:3:2334350:2342917:-1 gene:ORUFI03G03450 transcript:ORUFI03G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRIHRTSKVVLGCVAFGIFWVLAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAIASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLCYFWKYLSVEKDQEGGQPAGPEVVADDEVTSHRFTPARMSHVSSLNPDDMDCISEPIIRSNSVRSTSANENLRSRSVNSEADIQLAIKSLRASSMSHEMVEVSTVTDRRDEGASSRKFTRTASQQRSVIIEDSPPSPASNGDKEKEDEVAEKRWRVFVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLSFWSHLRFGVPSTIVVTAIGLLIVTSY >ORUFI03G03450.2 pep chromosome:OR_W1943:3:2334350:2336990:-1 gene:ORUFI03G03450 transcript:ORUFI03G03450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIHRTSKVVLGCVAFGIFWVLAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAIASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLCYFWKYLSVEKDQEGGQPAGPEVVADDEVTSHRFTPARMSHVSSLNPDDMDCISEPIIRSNSVRSTSANENLRSRSVNSEADIQLAIKSLRASSMSHEMVEVSTVTDRRDEGASSRKFTRTASQQRSVIIEDSPPSPASNGDKEKEDEVAEKRWRVFVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLSFWSHLRFGVPSTIVVTAIGLLIVTSY >ORUFI03G03450.3 pep chromosome:OR_W1943:3:2334348:2343524:-1 gene:ORUFI03G03450 transcript:ORUFI03G03450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAIASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLCYFWKYLSVEKDQEGGQPAGPEVVADDEVTSHRFTPARMSHVSSLNPDDMDCISEPIIRSNSVRSTSANENLRSRSVNSEADIQLAIKSLRASSMSHEMVEVSTVTDRRDEGASSRKFTRTASQQRSVIIEDSPPSPASNGDKEKEDEVAEKRWRVFVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLSFWSHLRFGVPSTIVVTAIGLLIVTSY >ORUFI03G03450.4 pep chromosome:OR_W1943:3:2334350:2336229:-1 gene:ORUFI03G03450 transcript:ORUFI03G03450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTSKVVLGCVAFGIFWVLAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAIASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLCYFWKYLSVEKDQEGGQPAGPEVVADDEVTSHRFTPARMSHVSSLNPDDMDCISEPIIRSNSVRSTSANENLRSRSVNSEADIQLAIKSLRASSMSHEMVEVSTVTDRRDEGASSRKFTRTASQQRSVIIEDSPPSPASNGDKEKEDEVAEKRWRVFVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLSFWSHLRFGVPSTIVVTAIGLLIVTSY >ORUFI03G03460.1 pep chromosome:OR_W1943:3:2359365:2363570:-1 gene:ORUFI03G03460 transcript:ORUFI03G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPKIPRRSPDDSKDKDSDRNRGRDEKNDWDSSRTYGSETDCKEERCDTNKRKGSAMGEDVGDDSRSVDRSHETEVHVFNDKQDKAVEIKNILHDGVGQSDYGQRQLGLDNERRNGTVDKSRVDAHIDDKLGSGRDRNWTGKTQEPEGSVDYLRSCKSQDSKEASDSEWKNAQERQDGGGFHGRVGYRRDFRGRSESTRGSSTYGSRYDTSDSIEIRPNNSLDFGREGSVSGRYDVGVGAHRDVTYGTNGDKVTNSEPDQSGSASMISQFPQHGHKGDRPSRGGRGRPNGRDSQRVGVTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGHPLLPGVFVPPFPGGPLLWPGARGVDVNMLSVPPNLPIPPPVAGEHSFTPGMGAGPNIHLNQFGSGIGAPTNMSGLSFHQLGTQSREMVHGKPPVGGGWTPNRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVAKSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNGEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKSATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIADEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKEAYVKNFADKDGKVWQGGRNPPPEAPHLVVTTPEIEGLRPKSPPHKMNALPWYGSNKMMQTVVGTRSVFPAYTGDKIRNEAQVGKRRSKFEP >ORUFI03G03460.2 pep chromosome:OR_W1943:3:2359365:2363570:-1 gene:ORUFI03G03460 transcript:ORUFI03G03460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPKIPRRSPDDSKDKDSDRNRGRDEKNDWDSSRTYGSETDCKEERCDTNKRKGSAMGEDVGDDSRSVDRSHETEVHVFNDKQDKAVEIKNILHDGVGQSDYGQRQLGLDNERRNGTVDKSRVDAHIDDKLGSGRDRNWTGKTQEPEGSVDYLRSCKSQDSKEASDSEWKNAQERQDGGGFHGRVGYRRDFRGRSESTRGSSTYGSRYDTSDSIEIRPNNSLDFGREGSVSGRYDVGVGAHRDVTYGTNGDKVTNSEPDQSGSASMISQFPQHGHKGDRPSRGGRGRPNGRDSQRVGVTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGHPLLPGVFVPPFPGGPLLWPGARGVDVNMLSVPPNLPIPPPVAGEHSFTPGMGAGPNIHLNQFGSGIGAPTNMSGLSFHQLGTQSREMVHGKPPVGGGWTPNRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVAKSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNDTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKSATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIADEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKEAYVKNFADKDGKVWQGGRNPPPEAPHLVVTTPEIEGLRPKSPPHKMNALPWYGSNKMMQTVVGTRSVFPAYTGDKIRNEAQVGKRRSKFEP >ORUFI03G03470.1 pep chromosome:OR_W1943:3:2364939:2368770:1 gene:ORUFI03G03470 transcript:ORUFI03G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFSLFSVLRKINLLLLCTKTKMCPTEVRISFFLHHVDASRALPCSSSHEVHHHDPGFVGGHEAEEEVLLELVQLLPEALLVLAQLPQHLLHQPPLPAGARRRPVLHAQPQQRHHVFPLVAHPRHQPADRLHVPVEYVRALRRGLQLHQRPPRRPPGEEPLP >ORUFI03G03470.2 pep chromosome:OR_W1943:3:2365499:2368770:1 gene:ORUFI03G03470 transcript:ORUFI03G03470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFSLFSVLRKINLLLLCTKTKMCPTEVRISFFLHHVDASRALPCSSSHEVHHHDPGFVGGHEAEEEVLLELVQLLPEALLVLAQLPQHLLHQPPLPAGARRRPVLHAQPQQRHHVFPLVAHPRHQPADRLHVPVEYVRALRRGLQLHQRPPRRPPGEEPLP >ORUFI03G03480.1 pep chromosome:OR_W1943:3:2368406:2370677:-1 gene:ORUFI03G03480 transcript:ORUFI03G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATFRCFGGCVKPDDQQVHEPKKVVAPSSSFDFREEYTSAFRTESYNDFWARVLDITLAHGAALVPRHGGGGGCAASKRLPSYRLFAEHLLEPDQRAVAAALASPRGSRLRPDVRGLLAAYYAETANASFLCSHLLKDIEHIRLRYRPLKHTLRKLASDVGVSGLADVSAALGQPFTALAASQGRLREVQAGSGDLLRGLDAGRKKARHRIRSVARLRRALSVSFVTAVAVVAVVGACIGVHILAAFAAFPMMSPAWLGERFFSGRAARRALVQLEAAAKGTYILNRDMETISRLVARVRDEGEHMVALLRLCVEHRPAAGAGGKGRLVQEVLRQLSKNEESFRQQLDELEEHLFLCFMTTNKARIMVMNFMAAAAR >ORUFI03G03490.1 pep chromosome:OR_W1943:3:2376813:2377732:-1 gene:ORUFI03G03490 transcript:ORUFI03G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAWRCALVILAGVLLCAAVETAVAAKRVSIPDDLRDVVDDEEDDDWRHWGAAAPPRDDGPPPDLAGMDPAALQAELLRRHAGPSFGFVKLRLGVRRSQEEVMGIATRWTNVLRTGSVAAKFVAVDFGTLMFTMDRGQDILESSDNWVTLFKVKEFILSQPEAYEFKIGNQAFRRPGDPPLDEVVEMLQKQKSTMLSQDPGSQQYKSKVEL >ORUFI03G03500.1 pep chromosome:OR_W1943:3:2380375:2381706:-1 gene:ORUFI03G03500 transcript:ORUFI03G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVEQPQPQPQREEEEEGQPGVGGGGGGVERCGRHPSQVFTGVCSTCLMERLSSVRNPAEEEEEEIVEVGTADAGEGSSADHGKLRKTLMLLFQLDDSCSGSAVGAAHPADAKDPQVADFEVDPGGGNRGAKWKGGAWLRSILPRKGMRWSRRKEPPRPPRPRPVDPDGAGDNAQVERKPSFRRSCEWMVCREPVRGSGSLEPPRHSWDGSMVGRALACSFACLEEPQPPPDGERRAQRSNAEEEAGESRAGVAESRNGDHLADAGGEGRHLSGRRNCSDTGPEMTMSGVGRRRSNRWSRVWDRSITSPLKEFVRKGEHVLERSLSESRKDTRRGRNGETGDMDCEIQPGRNGHGSVRASQGSFRSSQAVANGDVHNFRTDWLRNKECKIGRSRSVHYTSPGNLDNGMLRFYLTPMRSARTANRGRRRNSRLFSRGLFGFI >ORUFI03G03510.1 pep chromosome:OR_W1943:3:2389040:2392347:1 gene:ORUFI03G03510 transcript:ORUFI03G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSRGGGGGAAPRPRRRSDPGDSRDLSVATTTESYNSERSVGSGGGRGTAFLDAFRSCFVSGEAQARSPETSSLSDDFHPSQQLSQSISSQGSSSGSNFEMRRSMKGLYNPMHRNSSGKEIPGSTQFSLPQIQKATKNFSPNLKIGQGGSGTVYKGQLNDGTLIAVKRAKKNVYDKHMGREFRNEIETLQCIEHLNLVRFHGYLEFGGEQLIIVEYVPNGNLREHLDCVNGKILEFSLRLDISIDVAHAVTYLHTYSDHPVIHRDIKSSNILLTNNCRAKVADFGFAKLAPTDASHVSTQVKGTAGYLDPEYLRTYQLNEKSDVYSFGVLLVELITGRRPIEPRRAIVERVTAKWAMEKFVEGNAIQTLDPNLEATDAINLAVEKTYELALQCLATTKRNRPSMRRCAEILWSIRKDFRELDIPTASLN >ORUFI03G03520.1 pep chromosome:OR_W1943:3:2395052:2397468:-1 gene:ORUFI03G03520 transcript:ORUFI03G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRYAARLLASSTATAPSSLPPPPAASAAWCHAAADDCAICDLTRSASPQVVAPDAIKQKGHIAGRALEPELRSDPRVGLAERTPVPEDQGRDIDDGPPAKRSLTFTDAAARLEGSGNVGAGVATEPAARLGAPVANGAVSGQEDRAATCLADESAAELIATGVTSLVTGATAEPEVLKGASFANTNVTEPRVSERVPLVREAATEPEAEVSMREVTEGALPDGEGAAKLEITGGLSRESADKMEVTKGISLVTEASADSELAQRVPTEPGVELSLPEVTERVPVVTEDFTELGDTFSGLHITGFASLDNEGSVEQEVTGSGSLVNEATEMEVKEGTCIFTRVATELGDTGRVSACSGDGDIALDEPRPPDCVSEVANVNVGNAGEAVASKVQPFRDNAESVGGSINSTGNGHVSSKSPTADEAAPPGGCTDTPSVSCLSDIVARSIGKSGRTDIICYVRRRGKRKLEMVEVKEENVEMDDSAICDQYDDKVASERTGPCESVTSTAVSVEIKIADIKRELEDNSTASKGKKKRAKRFQCEIDYCRMTFKNRAELSVHKKNTCTVKSCGRHFRSHKYLRRHQSIHNDDMPYKCPWEGCSMAFKWSWDRGEHFQVHAGKRPYKCTTPGCSKIYKFVSDFTRHKRRCKPQR >ORUFI03G03530.1 pep chromosome:OR_W1943:3:2402555:2403187:-1 gene:ORUFI03G03530 transcript:ORUFI03G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGEQEFMAEMAPVMSSPGQQEAVASPTAAPAAARPYYGCVFCKRGFTTAQALGGHMNIHRRHRHRAMPSRRPTATGTTSMVSRDDVDCYNQHRYLEYSPPPPTPAPPPVTSPPMSSSFAATSYAGGTATVAGVDGEAMRAAGSSDSHIRELSLLGGADSSTDRDHDLHLRLGRHGRGGDGSPRTPEGSPERKPDLDLELRLGRRPRH >ORUFI03G03540.1 pep chromosome:OR_W1943:3:2407914:2408937:1 gene:ORUFI03G03540 transcript:ORUFI03G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVGGVPGSPCGACKFLRRKCAAECVFAPYFCAEDGAAQFAAIHKVFGASNAAKLLQQVAPGDRSEVAATVTYEAQARLRDPVYGCVAHIFALQQQVITNS >ORUFI03G03550.1 pep chromosome:OR_W1943:3:2411683:2412665:-1 gene:ORUFI03G03550 transcript:ORUFI03G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFGSPCGACKFLRRKCVRGCVFAPYFCHEQGAAHFAAIHKVFGASNVSKLLAHLPLADRPEAAVTISYEAQARLRDPIYGCVAHIFALQQQVMTLQAQLASLKAAAAQGIHHQDVGATTKGGYMSAAATAADDQLGYGGYDQWCGSNGGGAPAASQPGAYSSNGGAGHGHDSITALLAAGSDYMQHSLYHAFEHSEGAGAVDDGHAAAAAFEAAAESSSCGMAASFAADESVWRSSSSGYQDCEDLQSVAYAYLNRS >ORUFI03G03560.1 pep chromosome:OR_W1943:3:2412802:2423897:-1 gene:ORUFI03G03560 transcript:ORUFI03G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGDGGQFILEYKLTVPVVLPLDVSDSFLKGTTLMSLGLIRAESDVIASCPATAGQGALVPTSLGFPAAKLAGYDGNGWVPALAEHISTSESKAIEHARRCKEATIACGLQSLAPALSNSANGA >ORUFI03G03570.1 pep chromosome:OR_W1943:3:2423914:2427260:-1 gene:ORUFI03G03570 transcript:ORUFI03G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSFAGRVLFASVFLLSAYQEFSEFGADGGPAAKALRPKYNVFTKNISAHLGVAVPHVELKHIVAATIGLKGLGGLLFILSSSFGAYLLLIYLAFITPVVYDFYNYDMEKSEFVQLFMKFTQNLALFGALLFFLGMKNSIPKRQAKKKAPKSKTN >ORUFI03G03580.1 pep chromosome:OR_W1943:3:2432716:2436208:-1 gene:ORUFI03G03580 transcript:ORUFI03G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHVLASMGDLWRRYAPHNLMILSQLCYTLMYFITEAAFNKGLNPFIYVTYRHLVVAVFLAPFAYYQEKKLRPRMTLMLFLEIFVLSLLGVSLTLNMYFASLMYTSPTFVTSVVNTVASITFVIAIVVRMEIVDVRSIRGLAKIAGTVVSFAGVTTMTLYKGTAISSPWKAPISIHGGGGGGGVHESWLKGSFLAVASCICWSIWYILQASSLKRYPAQLSLTAWMCTVGGIQSAVFTAFMQHKPEDWRIGFGLKFWCIVYSGFACNGFTVFAQLWCTEKKGPVFVTMFNPLSTIMVAILAYFMFGENLYVGSIIGGVVVILGLYMLLWGKDKDQEYNANKQQESDLDCEKQARITEFSAAQNDQEEPRRMKK >ORUFI03G03580.2 pep chromosome:OR_W1943:3:2432716:2436208:-1 gene:ORUFI03G03580 transcript:ORUFI03G03580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHVLASMGDLWRRYAPHNLMILSQLCYTLMYFITEAAFNKGLNPFIYVTYRHLVVAVFLAPFAYYQEKKLRPRMTLMLFLEIFVLSLLGVSLTLNMYFASLMYTSPTFVTSVVNTVASITFVIAIVVRCPTFSRISRRTHAESDRLYCSLSAWLTLARDQINLDAVRMEIVDVRSIRGLAKIAGTVVSFAGVTTMTLYKGTAISSPWKAPISIHGGGGGGGVHESWLKGSFLAVASCICWSIWYILQASSLKRYPAQLSLTAWMCTVGGIQSAVFTAFMQHKPEDWRIGFGLKFWCIVYSGFACNGFTVFAQLWCTEKKGPVFVTMFNPLSTIMVAILAYFMFGENLYVGSIIGGVVVILGLYMLLWGKDKDQEYNANKQQESDLDCEKQARITEFSAAQNDQEEPRRMKK >ORUFI03G03590.1 pep chromosome:OR_W1943:3:2440530:2447059:1 gene:ORUFI03G03590 transcript:ORUFI03G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPKSLLLASLSKPFLRRNREPLPLLRPPPRPLPRVLPFAARTLAAPAAPPPEAAPAAQSDGFALLEAAQLREAADDHQEALALAIKALEPLQASHGGWSLPVARTLRLAGAAASRLGRLTDSLDSLNAAADIIDSLEAGDAEVAAVGAAVHEQLARTKTAMGRRWDAASDLMRAMELKAVFLEKGSLELGNAYKDVAEAYRGVLACDKALPLCLEALEIARNHFGGDSQEVAKVRQLLATIYAGSGRNEEALEQYEIVRMVYERLGLDVELSLAETDVAMVLVLLGRSEEAMDVLKRVINRAGKESEERALAFVAMANILCIQDRKADSKRCLEIAREILDTKISVSPLQVAQVYAEMSMLYETMIEFEVALCLMKKTLVFLDGVSEMQHIQGSISARMGWLLLKTERVDEAVPYLQSAIEKLKNCFGPLHFGLGFAYKHLGDAYLAMNQSESAIKYFTIAKDIINATYGPKHEDTIDTIQSIANAYGVMGSYKQAMDYQEQVIDAYESCGPGAFEELREAQRLRYQLKIKARGLPHACFPANSLPTKFQDRE >ORUFI03G03590.2 pep chromosome:OR_W1943:3:2440530:2443916:1 gene:ORUFI03G03590 transcript:ORUFI03G03590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPKSLLLASLSKPFLRRNREPLPLLRPPPRPLPRVLPFAARTLAAPAAPPPEAAPAAQSDGFALLEAAQLREAADDHQEALALAIKALEPLQASHGGWSLPVARTLRLAGAAASRLGRLTDSLDSLNAAADIIDSLEAGDAEVAAVGAAVHEQLARTKTAMGRRWDAASDLMRAMELKAVFLEKGSLELGNAYKDVAEAYRGVLACDKALPLCLEALEIARNHFGGDSQEVAKVRQLLATIYAGSGRNEEALEQYEIVRMVYERLGLDVELSLAETDVAMVLVLLGRSEEAMDVLKRVINRAGKESEERALAFVAMANILCIQDRKADSKRCLEIAREILDTKISVSPLQVAQVYAEMSMLYETMIEFEVALCLMKKTLVFLDGVSEMQHIQGSISARMGWLLLKTERVDEAVPYLQSAIEKLKNCFGPLHFGLGFAYKHLGDAYLAMNQSESAIKYFTIAKDIINATYGPKHEDTIDTIQSIANAYGVMGSYKQAMDYQEQVIDAYESCGPGAFEELREAQRLRYQLKIKARGLPHACFPANSLPTKFQDRE >ORUFI03G03600.1 pep chromosome:OR_W1943:3:2448697:2449127:-1 gene:ORUFI03G03600 transcript:ORUFI03G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGAIVQGGHNKLPSQTNTCLFCTLYPHSCVPGKNFPVSHQARLTLEFFGDWLPSQTNTCLFCTLCPHSCVPGKNFPVGHPSQRVLWRLASGKEVATC >ORUFI03G03610.1 pep chromosome:OR_W1943:3:2454356:2455303:-1 gene:ORUFI03G03610 transcript:ORUFI03G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPAFRSSSPSSSNASVPMVVITVVGILAAFALLASYYAFVTKCQALRGLWSRGAMPWRGHGGGGARRRAAREASVIRTVATEERGLGMPFIRMLPVVRFTAAACGGAGGEGGGGGVGARISVSECAVCLSEFVERERVRLLPNCSHAFHIDCIDTWLQGNARCPFCRSDVTLPFTPPAAAAPVRPTSATHPDDDEDAESARRHHHHHHHHHHNHNHRPDDELINSIVIEVRGEHESWVSHRGGAAAAPPATKRTPQRRRKPESVGDEAIDTRKKYDEEFAVQPMRRSLSMDDSCHKQLYVSVQEFLTQQRQV >ORUFI03G03620.1 pep chromosome:OR_W1943:3:2459799:2460671:-1 gene:ORUFI03G03620 transcript:ORUFI03G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPYDNPTAGFPIAIVIAIGFMVTSLILASYYFLVVRCWLRGTGGGGAAGAGLLHRSRRESAAERVAAVFFTDYEAEVGGGLDPDVVAALPVVKYRRAASGKSASPQECAVCLSEFVRDERLKLLPSCSHAFHIDCIDTWLHHNVSCPLCRTVVTGGAIGLLVRDDQYDASSRELAAGERRIDAAARMGHGISSCRFPKTGAEQEPIRRSFSMDCFLGDLGRKPPPPPPKDPAGSEAGPSHPDAAGSSSIVGTAGAGETSGRFRRLLSSFGLGRSSRSTVLPIHLDP >ORUFI03G03630.1 pep chromosome:OR_W1943:3:2481614:2482039:1 gene:ORUFI03G03630 transcript:ORUFI03G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKSKEGKSSSSYRGVRKRPWGKFAAEIRDPERGGARVWLGTFDTAEEAARAYDRAAFAMKGATAMLNFPGDHHHGAASRMTSTGSSSSSFTTPPPANSSAAAGRGGSDRTTDKVELECLDDKVLEDLLAETNYRDKNY >ORUFI03G03640.1 pep chromosome:OR_W1943:3:2484144:2485157:1 gene:ORUFI03G03640 transcript:ORUFI03G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGTRDVSDSNAIDPVQDQRAGAVDPIVAIDPVSVEAAAIYHAVIIVDAGQTRCCQNLVKRGTCYQNLNCIKSFLSKTSRRASDRVEPAGRGRGRGGERRQREAQLGGPATASSRLGGDAVAAASGDGGRAEDGGHMKQGGFV >ORUFI03G03650.1 pep chromosome:OR_W1943:3:2485483:2487357:1 gene:ORUFI03G03650 transcript:ORUFI03G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQDQQLQVLNALDAAKTQWYHFTAIIVAGMGFYTDAYDLFCISLVTKLLGRIYYTDPASPTPGSLPPNIAAAVNGVALCGTLSGQLFFGWLSDKLGRKSVYGMTLLLMVICSIASGLSFSHTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGVVTLAMSAGFQAAFPAPAYEVNAAASTVPQADYVWRIILMLGALPAILTYYWRMKMPETARYTALVAKDAKQASSDMAKVLQVEIEVEEEKLQDITRGRDYGLFSARFAKRHGAHLLGTAATWFLVDVAYYSQNLFQKDIFTSIHWIPKARTMSELEEVFRISRAQTLIALCGTVPGYWFTVFLIDIIGRFKIQLLGFAGMTAFMLGLAIPYHHWTMPGNQVIFVFLYGFTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAASGKAGAIIGAFGFLYAAQPQDKAHVDAGYKPGIGVRNALFVLAGCNLVGFLMTWMLVPESKGKSLEEMSGEADDEEASANGGATAVNSSGVEMV >ORUFI03G03660.1 pep chromosome:OR_W1943:3:2493030:2495288:1 gene:ORUFI03G03660 transcript:ORUFI03G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGPAVMEVDTGAERCGDAASHLHCRSEEAVTGGDAKRLRKGSGDDDHHQYMDDDDDYGDDEPPSNPLDSYREDWVEIYGKTGSFEDETEILPMRHTDGPIWPESWPMNLLQIFSVKVVEVMGDLQWPLDVYGVVAVRDSLDRKRNILFCRERDDCQTLLQACPSRAVVVLDPVVFEVDLKGTSISRQGFKLPCVCLCLPDKQWLCKKRGRVNRAQHVGVHICTFAYAVEATIIIHVVQGSTDFRARFSARTAGIDEDVVLLDSGDRKVVVADDGLVVLQRRVVVVEEKGKLNLRVEASENGSDTVVGKQMSFSARPALRSEGRFVLGFCTMSVIVAWSVLP >ORUFI03G03670.1 pep chromosome:OR_W1943:3:2504159:2509038:-1 gene:ORUFI03G03670 transcript:ORUFI03G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKGKITAVVFCTTTSSDTEIYKRLLPLYFPRDKKEEEIASLKLPADVGDENGETIIDERKIRIKPLPAGSAINKSAAPAPVDIPLSDSGLTRSRNSFKLDSYLDPAFMSLIKDPDLRRKEQLEKSVQANKGFNWAKLVGFGDLGGPPLSAAEDYSLHSRHLAKANSLSLSEIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFILYVVKEFEPLIQKPYSIVYFHSAASLQVRPDLGFMKRLQQILGRKHQRNLHAIYVLHPTLGLRTAILALQLFVDGEVWKKVIYVDRLVQLFRYVPREQLTIPDFVFQHDLEVNGGKGIIIDPRTKHVYQRPSG >ORUFI03G03670.2 pep chromosome:OR_W1943:3:2504159:2509038:-1 gene:ORUFI03G03670 transcript:ORUFI03G03670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKGKITAVVFCTTTSSDTEIYKRLLPLYFPRDKKEEEIASLKLPADVGDENGETIIDERKIRIKPLPAGSAINKSAAPAPVDIPLSDSGLTRSRNSFKLDSYLDPAFMSLIKDPDLRRKEQLEKSVQANKGFNWAKLVGFGDLGGPPLSAAEDYSLHSRHLAKANSLSLSEIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFILYVVKEFEPLIQKPYSIVYFHSAASLQVRPDLGFMKRLQQILGRKHQRNLHVGISYDHTAIYVLHPTLGLRTAILALQLFVDGEVWKKVIYVDRLVQLFRYVPREQLTIPDFVFQHDLEVNGGKGIIIDPRTKHVYQRPSG >ORUFI03G03680.1 pep chromosome:OR_W1943:3:2513920:2523377:1 gene:ORUFI03G03680 transcript:ORUFI03G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQPPPAASASASAPDPAVPAWLRGLPRAPEYRPTESEFADPIAFLSRVEREAAAYGICKVIPPHPRPSRRFVFAHLNRSLVSSCDAPAPSPAAASDSSIPPSSSSPPPVSAAVFTTRHQELGNPRRGRPTPQVLKQVWQSGERYTLDQFESKSRAFSKTHLAGLHEPTALAVESLFWKASADRPIYIEYANDVPGSGFAAPVQLQRKKKQKRETAPMDEWEKSSGWRLSNSPWNLQAIARAPGSLTRFMPDDVPGVTSPMVYIGMLFSWFAWHVEDHDLHSLNFLHTGAPKTWYAVPGDRAVELEEVIRVHGYGGNTDRIASLAVLGEKTTLMSPEVLIDNGVPCCRLVQYPGEFVVTFPRAYHVGFSHGFNCGEAANFATPQWLKFAKEAAVRRAVMNYLPMLSHQQLLYLLAVSFISRNPRELLSGIRTSRLRDRKKEDRELLVKQEFLQDMISENELICSFLGKKSVDNVVLWEPDLLPSLTALHPCSSCSKAPEKKGEDGPRIGSTQSSSKDDSSSDGTACMTGTQSKGLSMDSKQAPEGEKLDTDDGDDLPFDLSIDSGSLTCVACGILGYPFMAILQPSRKALEEISLVDKERYKLSCEKEICSNVLPCSPNDGSSGCPLIANRSSSPVENANLSHQDVKPIRSDISLMGKEFNGTLGKHIGTSCSCSSENTIHPYGDTETPEKKIPSDCPGSELSKQSGRGDVNVPDVEGSEETISWNTGCAFARPRIFCLQHALEIEELLASKGGVHALIICHADYVKLKALAISIAEEIEFQFDYKDVALANASKSNLHLINISIDDEGYEEEGTDWTSRMGLNLKHSSKIRKETPESQEQPPLSFWGLFSKPSPISVVSNLKWLCRKARTPYKVIGYASSPDVVATPDKVKPAVTKTQIDTSGNAHENIGSEQTLQQDCVLQESNDVADMCKRPKVNDQDGHSLINIPIAVAEYPMMHQVCERPDSPTTVAVSAGKPTREQCGAESTELSTVKQFLDNGLIAEGGSMNFISNHEHLESDNATSVCKDEQLQVQQDQLAMVLCNNPNTELVAGELHGGAASSTLENEDSCGNTSYCSDTVLKNSKPDTDDQPETCDRSVVLVTPKSSCDQMISSSDRSCSLTLDCPVSTDAAFSSEKLSMAHDLMGSELQAVHNSKAEVVASLTDVKGAKLNSIHTAQLPHESPSSDFIISEGAQSASTTAIPRKNGTSMHTESNSIDILLGVLADESKVSSGKDEVGKASLTLMTLAGNDQSADDVTQDEVAEITDPSHGFCSSDIVSRSIGSSNRTNIICYARRKHKRKSGSEFNINSPQSLGSFVRSPCESLRPRTRPAIVEDMTNETKTAEASTANKRKKAKVEAFQCDIEFCDMTFETKAELRAHQRNICTDESCGKRFSSHKYLKRHQCVHRDERPFKCPWDGCPMTFKWLWAQTEHIRVHTGERPYKCSAPDCGQSFRYVSDYSRHRKKFNHY >ORUFI03G03690.1 pep chromosome:OR_W1943:3:2522817:2523101:-1 gene:ORUFI03G03690 transcript:ORUFI03G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKAPEKEAAAMACYRRTVGEEATFKERSKDLFRQFKDAPAGDHWVCLKNKVRAAGEYATLRTRQGITMFGEPNVGDLLGRTKDDDSKKTPSA >ORUFI03G03700.1 pep chromosome:OR_W1943:3:2524906:2525589:-1 gene:ORUFI03G03700 transcript:ORUFI03G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPANATTFSGEVWAELRLADSSDVPHIHALIHQMAEFELLTDLFAATHELLTSTLFPSPPRPPFTSFTALILDLSPSPLPASGPSTIASHCLDLSASPLADPEAAAFASPRGGGRVTAGFVICFPNYSTFLSKPGLYVEDIFVRAPWRRRGLGRMMLSAVAGKAAELGMGRVEWCVLDWNKNAIDFYEGMGAEVLPQWRICRLTGAALDKYKGNQEEGGGSKAAE >ORUFI03G03710.1 pep chromosome:OR_W1943:3:2526526:2535896:1 gene:ORUFI03G03710 transcript:ORUFI03G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYLRYEPAISFGVVASPESNVAYDPSGRLLLAAALDRFAAWDLKRGLPSLSFATAAPSPSLAVSCLATFPSAASASASSIASGHADGSIRLWDTETGSCEATLHGHRSAASALVFGPSGAIIASGSKDCDIILWDVVGQAGLYRLRGHRDQVTGLVFLDSGKKLVSCSKDKLIRVWDLDTQHCLQIVGGHRSEIWSIDVDPSERFLVSGSADQELRVFTVRKSAEEGEDWSKWDMLKLFGEIPRQSKERVATVKFNKNGNLVACQVAGKTVDIYRVLDETEAARKAKRRMHRKKEKALAKSMAAEGNGTVIDPLSAQDLQNPTPVVTDIFKLLHVLRVNKKICSVAFSPNNPPKGCLATLSLSLNNNMLETYSVDNENVSNMYSIEMHGHRSDIRSLALNSEDNLLMSTSHNAVKIWNPSTGDCLRTIDSDYGLCSAFVPGNRYALVGTKSGTLEIIDINSGSSIEVIEAHAGSIRSIVLIPNEDGTAGAWGFVTGSADHDVKFWEYQLMQKSDNDSKQLSVTNVKTLKMNDDVLAVTISPTGNHIAVALLDGAVKVFTMDSLKFALTLYGHKLPVLCMDISSDGVLIVTGSADKNLKIWGMDFGDCHKSIFAHTDSVMDVKFVSKTHYMFSVGKDRTVKYWDADKFELLLTLEGHHAEVWCLAISSRGDFIVTGSHDRSIRRWDRTEEQLFIEEEQEKRLEETFEADLDSAMDHKYGQKDGAPDEGSVGVPGRKTKETVTAADAIIDALDTAEEEVKRLDQHQEGQNNGNGTTFQPNVIMQGQSPSDYVLNVVSNVRPNDLEQALLSLPFSDALKIMSYLKEWSMVPLKVELVCRVCLVLLQTHHSQLTTTPSARSILTELKGILYSRVKECKDAIGFNLAAMDHIKELLAMRSDAPFRDARAKLMEIRQEQSRRSDRSDGAEKRKKKKRRTSGES >ORUFI03G03720.1 pep chromosome:OR_W1943:3:2532125:2537377:-1 gene:ORUFI03G03720 transcript:ORUFI03G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGEPSSSSDPKGKKDFSTAILERKKSPNRLVVDEATNDDNSVIGMHPDTMEKLQLFRGDTVLLKGKKRKDTICIVLADDTCEEPKIRMNKVVRKNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKARSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLEHIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDDQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIEMEKRRKDNPEAMEEDEVDDIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFADQPASGAGAAADPFASAAAAADDDDLYTPSDLSDRLDCSCLISISFALASRKGASDLMANNS >ORUFI03G03730.1 pep chromosome:OR_W1943:3:2538240:2540854:-1 gene:ORUFI03G03730 transcript:ORUFI03G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSVPARSTGGLNNISNDNSATDSKDLRAKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTIVKFEIWDTAGQERYAALAPLYYRGAAAAVVVYDITSPESFSKAQYWVKELQKHGSPDIIMVLVGNKADLHENRHVSSQEAQEYAEKNNMVFIETSAKTADNINQVFEEIAKRLPRPTAS >ORUFI03G03740.1 pep chromosome:OR_W1943:3:2547464:2548877:-1 gene:ORUFI03G03740 transcript:ORUFI03G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKAAPKAGATADPVVLRMELHCAGCAQKVKKSIKHLAGVESVAADVATNTVVVAGTAEAAALKARIEAKTKKPVEVVSAGGGGAAAKKPAAEPKAVKDDGGEKKDAQAKEEKGKKQPPEEKKPKEETVLLRIRLHCDGCADRIRRRIYKIKGVKEVVMDGNAKDEVKVSGTMDVPAMLTYLTEKLNRAVEAVAPGSKKDEKKKDKGGDADGGEKKKDAAGGDKKDKGKSIEVAGPSTAAAAASMAPAPAEASTYHVSPYGHGYFAYPQQQGPPPSYYQYYGGGNGDGVGYANPNAGGAGGYYHPHPNDVPNYQPPPSYPPYPYQLDMSPAPQLFSDENPNACSVM >ORUFI03G03750.1 pep chromosome:OR_W1943:3:2551311:2556247:1 gene:ORUFI03G03750 transcript:ORUFI03G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVAHRPDDDGGRAASTFQRPPQPAGARPSLATPPPSGGAQSASTSGGSAGSPSSRSEQHVPAAAGMAAGAAAASTPISENTFLRLNDLDIHGDDAPSSQAPTSKKKKRGARAVGPDKGGRGLRQFSMKVCEKVESKGRTTYNEQQYDEKNIRRRVYDALNVLMAMEIISKDKKEIQWKGLPRTSINDIEDLQTELVGLKSRIEKKNTYLQELQDQLIQRNEQLYGSGNIPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSFVLKAMSSCGEEQIDGIHDLISNGGESSSMPNIYRQQVQQPARSTNGTARLPSSPPIPGILKGRVKHEH >ORUFI03G03770.1 pep chromosome:OR_W1943:3:2564054:2568683:-1 gene:ORUFI03G03770 transcript:ORUFI03G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASVPAAGYGADGVYRSLRPPAPVASDPGLSLTDLLLRRADACPSALALADAAAGGRALTFAELRSAVLSTAVALSSRAGVRPGDAVLLLAPNCVLYPVCFFAVTALGAVGTTVNPDYTPREIAKQVSDARAKLVITISALVPKIAGLRLPVILLDDDANAAAASLPPDATVTLYTNLVAGVKEADYRRPPIKQSDTAALLYSSGTTGDSKGVILTHRNFIAAARMVTSDQDERREGPNVFLCFLPMFHIFGLSVITYAQLHRGNAIIAMSRFDINSLMEAVQRHRVTHLFCVPPVIIALAKHGKAGKYDLSSLKFIGSGAAPLGKDVMEVVAKKFPDSEIVQGYGMTETCGIISLEYPEKGQAREFGSTGTLVSGVEAKIVDIKTLKHLPPNQVGEICVRGPNVMQGYFNNVQATEFTIKQGWLHTGDLGYFDGGGQLFVVDRLKELIKYKGFQIAPAELEGLLLSHPEILDAVVIPFPDAKAGEVPIAYVVRSPDSSLTEVDVQKFIEKQVAYYKRLKRVTFVGSVPKSASGKILRRQLIAQVRSSKL >ORUFI03G03780.1 pep chromosome:OR_W1943:3:2573565:2576114:-1 gene:ORUFI03G03780 transcript:ORUFI03G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAAASSSLPRSLASRRPLPSSPLRCGRPRSPRRCRFGSRSLHRLRARAGKDDPEDLYGPYPWDQSLDLTTGLDIQWVPEDRVTLFTSDGLVQIGGSLVPRRITPSEKRQRKVKGIQNIQRFQESSYMDPNQSLCLGALFNIAATNGLDMGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEVVTAEKASKDGLQEKLTMSVAVPLLWGVPPASETLHVAVRSGGGIVEKIYWQWDLF >ORUFI03G03790.1 pep chromosome:OR_W1943:3:2577440:2582495:1 gene:ORUFI03G03790 transcript:ORUFI03G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAAAAAAAAVSFPPLLSRPAACVLLRCGRHRRLPPLLLRAASSSTSSAPDFNITIAEPTAPAPSKPKPSSPAPSAEQVVPWIVRGEDGKPSMRTAPPPDVLQAIALAEAEAKKAKKDPRRSHKGAAALATPVANAKVRERKAAPATAPPKFSKAARRFYNENIKEHEPQRLAKVLAAAGVASRRTCEELIFQGKVTVNGSVCTAPQTKVDISKDSIYVNGNRISKKLPPKLYFAVNKPKGYICSCGEPKSIISLFDDYLKGWNKIQPGVPKPRLFTVGRLDVATSGLIIITNDGEFAQKLSHPSSNIMKEYVVTIDGPVHRNHLIAISEGTKIDGVKCIPDLVEPLDVQSNTKRTRIRIAVHEGRNHEVRELVQNAGLEVYALKRVRIGRFRLPPDLGIGKFVELKQTDLKSLEGKK >ORUFI03G03790.2 pep chromosome:OR_W1943:3:2577440:2582523:1 gene:ORUFI03G03790 transcript:ORUFI03G03790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAAAAAAAAVSFPPLLSRPAACVLLRCGRHRRLPPLLLRAASSSTSSAPDFNITIAEPTAPAPSKPKPSSPAPSAEQVVPWIVRGEDGKPSMRTAPPPDVLQAIALAEAEAKKAKKDPRRSHKGAAALATPVANAKVRERKAAPATAPPKFSKAARRFYNENIKEHEPQRLAKVLAAAGVASRRTCEELIFQGKVTVNGSVCTAPQTKVDISKDSIYVNGNRISKKLPPKLYFAVNKPKGYICSCGEPKSIISLFDDYLKGWNKIQPGVPKPRLFTVGRLDVATSGLIIITNDGEFAQKLSHPSSNIMKEYVVTIDGPVHRNHLIAISEGTKIDGVKCIPDLVEPLDVQSNTKRTRIRIAVHEGRNHEVRELVQNAGLEVYALKRVRIGRFRLPPDLGIGKFVELKQTDLKSLEGKK >ORUFI03G03800.1 pep chromosome:OR_W1943:3:2582962:2588663:1 gene:ORUFI03G03800 transcript:ORUFI03G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLYRTSQPVAPELPRIPEQDQQRDSLQKPVTTLEGLIADDPYHPSPEDEDTDNGDVDIGGDSADADSKNSVPTGKHTDVLDDEGWITIPNKELPDNWNDLSDMLQLQPLDRPFLFPGEQVHILACMSASKQETQVISPFRIAAVMSKNGNSLQYSTNKSSHATENGDSNVKNGENGSQVVEDDMQSVELNSEMSPMTQDDMQNVELDNEMSPSKQDDMQNVELNNEISPSKQDILETESLLRLEDHKQQIEKSDEPLWSNKKLAVSKVPKEQSYSDNQENNKGSRSNAYNTISDKGVFDGSTSGGIARGTARCYALQNGDIVVVLQVNVGVNKMEAPVLEVLQFEKSSSSNYITKNLVNGLSSGDEDPCQELLSWLLPLDRTLPPRSLSPPTLNPSASHKQSYSASGSQIFSLSHFRSYSMPSASSTQPPNIRPPPISESQEFVPEKPAKTPDIINDGQLSFRGVPLEPERYSVRCGLEGVYLPGKRWRRKVEIIQPIENISPQHVKDIVVLVDAITIVFEEASKGGAPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPATMSFRDRRTNNDAPLTLSLPKMNGTATNVSLPKVGETIGSLMDQYAVLVSYRCNYTESKLFFKQATSWRPCVASDLMISVSSELSLRNPISSARVPQLPVQVLTLEATNMTSENLTVTVLAPEASGSSSVVSLNSAPTTPNSSYDNLNESVRRSGLGKHRAGFRRMNSVLAGSPKESDNGGNRISTSGGCTHLWLQSAVPLGCIPARSSTTVKLELLPLTDGIITLDTLQITIREKGLTYIPEHSLEIYASAANSTGSS >ORUFI03G03800.2 pep chromosome:OR_W1943:3:2582994:2588663:1 gene:ORUFI03G03800 transcript:ORUFI03G03800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLYRTSQPVAPELPRIPEQDQQRDSLQKPVTTLEGLIADDPYHPSPEDEDTDNGDVDIGGDSADADSKNSVPTGKHTDVLDDEGWITIPNKELPDNWNDLSDMLQLQPLDRPFLFPGEQVHILACMSASKQETQVISPFRIAAVMSKNGNSLQYSTNKSSHATENGDSNVKNGENGSQVVEDDMQSVELNSEMSPMTQDDMQNVELDNEMSPSKQDDMQNVELNNEISPSKQDILETESLLRLEDHKQQIEKSDEPLWSNKKLAVSKVPKEQSYSDNQENNKGSRSNAYNTISDKGVFDGSTSGGIARGTARCYALQNGDIVVVLQVNVGVNKMEAPVLEVLQFEKSSSSNYITKNLVNGLSSGDEDPCQELLSWLLPLDRTLPPRSLSPPTLNPSASHKQSYSASGSQIFSLSHFRSYSMPSASSTQPPNIRPPPISESQEFVPEKPAKTPDIINDGQLSFRGVPLEPERYSVRCGLEGVYLPGKRWRRKVEIIQPIENISPQHVKDIVVLVDAITIVFEEASKGGAPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPATMSFRDRRTNNDAPLTLSLPKMNGTATNVSLPKVGETIGSLMDQYAVLVSYRCNYTESKLFFKQATSWRPCVASDLMISVSSELSLRNPISSARVPQLPVQVLTLEATNMTSENLTVTVLAPEASGSSSVVSLNSAPTTPNSSYDNLNESVRRSGLGKHRAGFRRMNSVLAGSPKESDNGGNRISTSGGCTHLWLQSAVPLGCIPARSSTTVKLELLPLTDGIITLDTLQITIREKGLTYIPEHSLEIYASAANSTGSS >ORUFI03G03800.3 pep chromosome:OR_W1943:3:2582987:2588663:1 gene:ORUFI03G03800 transcript:ORUFI03G03800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLRLRSVRPPERQAAAPLPSLLSCARRSRSPSRDRSPSPPPPPPPRSLARFFSLPPRAGGARFSEPSSRRVEMNFLYRTSQPVAPELPRIPEQDQQRDSLQKPVTTLEGLIADDPYHPSPEDEDTDNGDVDIGGDSADADSKNSVPTGKHTDVLDDEGWITIPNKELPDNWNDLSDMLQLQPLDRPFLFPGEQVHILACMSASKQETQVISPFRIAAVMSKNGNSLQYSTNKSSHATENGDSNVKNGENGSQVVEDDMQSVELNSEMSPMTQDDMQNVELDNEMSPSKQDDMQNVELNNEISPSKQDILETESLLRLEDHKQQIEKSDEPLWSNKKLAVSKVPKEQSYSDNQENNKGSRSNAYNTISDKGVFDGSTSGGIARGTARCYALQNGDIVVVLQVNVGVNKMEAPVLEVLQFEKSSSSNYITKNLVNGLSSGDEDPCQELLSWLLPLDRTLPPRSLSPPTLNPSASHKQSYSASGSQIFSLSHFRSYSMPSASSTQPPNIRPPPISESQEFVPEKPAKTPDIINDGQLSFRGVPLEPERYSVRCGLEGVYLPGKRWRRKVEIIQPIENISPQHVKDIVVLVDAITIVFEEASKGGAPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPATMSFRDRRTNNDAPLTLSLPKMNGTATNVSLPKVGETIGSLMDQYAVLVSYRCNYTESKLFFKQATSWRPCVASDLMISVSSELSLRNPISSARVPQLPVQVLTLEATNMTSENLTVTVLAPEASGSSSVVSLNSAPTTPNSSYDNLNESVRRSGLGKHRAGFRRMNSVLAGSPKESDNGGNRISTSGGCTHLWLQSAVPLGCIPARSSTTVKLELLPLTDGIITLDTLQITIREKGLTYIPEHSLEIYASAANSTGSS >ORUFI03G03800.4 pep chromosome:OR_W1943:3:2582944:2588663:1 gene:ORUFI03G03800 transcript:ORUFI03G03800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLYRTSQPVAPELPRIPEQDQQRDSLQKPVTTLEGLIADDPYHPSPEDEDTDNGDVDIGGDSADADSKNSVPTGKHTDVLDDEGWITIPNKELPDNWNDLSDMLQLQPLDRPFLFPGEQVHILACMSASKQETQVISPFRIAAVMSKNGNSLQYSTNKSSHATENGDSNVKNGENGSQVVEDDMQSVELNSEMSPMTQDDMQNVELDNEMSPSKQDDMQNVELNNEISPSKQDILETESLLRLEDHKQQIESMLQRFKMSNFFVRIAESDEPLWSNKKLAVSKVPKEQSYSDNQENNKGSRSNAYNTISDKGVFDGSTSGGIARGTARCYALQNGDIVVVLQVNVGVNKMEAPVLEVLQFEKSSSSNYITKNLVNGLSSGDEDPCQELLSWLLPLDRTLPPRSLSPPTLNPSASHKQSYSASGSQIFSLSHFRSYSMPSASSTQPPNIRPPPISESQEFVPEKPAKTPDIINDGQLSFRGVPLEPERYSVRCGLEGVYLPGKRWRRKVEIIQPIEVHSFAAKCTSENLLCVLIKNISPQHVKDIVVLVDAITIVFEEASKGGAPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPATMSFRDRRTNNDAPLTLSLPKMNGTATNVSLPKVGETIGSLMDQYAVLVSYRCNYTESKLFFKQATSWRPCVASDLMISVSSELSLRNPISSARVPQLPVQVLTLEATNMTSENLTVTVLAPEASGSSSVVSLNSAPTTPNSSYDNLNESVRRSGLGKHRAGFRRMNSVLAGSPKESDNGGNRISTSGGCTHLWLQSAVPLGCIPARSSTTVKLELLPLTDGIITLDTLQITIREKGLTYIPEHSLEIYASAANSTGSS >ORUFI03G03810.1 pep chromosome:OR_W1943:3:2589935:2599155:1 gene:ORUFI03G03810 transcript:ORUFI03G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGRASVRPVERHGAPPRPAGRSRSVAPPSRRPSPSPSRARPAAADNDGGSDSCRVRVAVRLRPKNSEDLAHGADFDSCVELQPECKKLKLKKNNWSCESYRFDEVFSENASQKRVYEVVAKPSVLEGYNGTVMAYGQTGTGKTYTVGRLGNDDPSEGGIMVRALEHILSVMSLETDSVAISFLQLYLESVQDLLAPEKTNIPIVEDPKTGEVSLPGAAKVEIRDLEHVFQLLQIGEMNRHAANTKMNTESSRSHAILIRSSRIEDGSNTSLPNGTDNLFPDNLPLVLKSKLLIVDLAGSERIDKSGSEGHMIEEAKFINLSLTSLGKCINALAENSPHIPTRDSKLTRILRDSFGGTARTSLIVTIGPSSRHFSETSSTIMFGQRAMKIVNTIRIKEEVDYESLYKKVEHEVDHLTSEMERQQKLKNSEKMQLEKKLKESEASLNDLKVTSNMQIENMAMEKRQLESTIKRLMLDLEKEKGKNNILSEQIIHLETSLDENKQKQLENISNTNILADTTKSHEKKIRELLKQLEDERSRSASMNDHLNVLQQQLSDAQNYFQENIACELEKQLSRTTEEFASQISSLEERIADLISEKELVYEELKSTQEKMQQEMRHRQGLEDEILRLKQSLADNCSEESKALCGMVRSGSGLGSVPFMSKSGKSRELLSSQRSNISKIFEEVGLPNVLALLKSDELEVQIHAVKVVANLAAEDVNQEKIVEEGGLDALLSLLETSENTTIHRVTAGAIANLAMNGSNQGLIMNKGGARLLANIASKTNDPQTLRMVAGALANLCGNEKLHVMLKQDGGIKALLGMFRTGHNEVIAQIARGMANFAKCESRVISQGHRKGRSLLIEEGVLNWMVANSSAFSASTRRHIELAFCHLAQNEDNARDIILTGGIKELLRISRESSRDDTRNLAKKALNSNPAFFKEIQ >ORUFI03G03820.1 pep chromosome:OR_W1943:3:2599350:2607650:-1 gene:ORUFI03G03820 transcript:ORUFI03G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYDYLEKTVEGSGAPSNGAAAPRSEEKERSSRRRSGGGGGGDEERDDGERRSKRSRSEENRDRDKDKDRDRHRDRDKDRDRHRSSRERRDRDRDRDEKDREKERDKDKERRSRDREKEKEKEKEREREREKDRERRSRSRSERRRDDEERDRERYRERDYRDRDVRRRKEEAGEPEADPERDQRTVFAYQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQQVMVKPSEAEKNLVQSNASSSGAASGGARKLYVGNLHSNITEDQLRQFARLEDAKAAQSLNGQLDIAGRVSAVTDQAGVQVSGVTTGDLDDDEGGGLALNASSRALLMQKLDRSGVTTSLTAGIAGTGLNTSVGLPPASVLGAPPVAASVLPTVPGLGSVPGVSLPITTQAIETAPPSECLLLKNMFDPSVETDPDFDLDIKDDVQEECSKFGQVKHIFVDKNTSGFVYLRFDSITAAMSAQKALHGRWFAGKMITATFMSPQQYSTKFPS >ORUFI03G03820.2 pep chromosome:OR_W1943:3:2599350:2607650:-1 gene:ORUFI03G03820 transcript:ORUFI03G03820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYDYLEKTVEGSGAPSNGAAAPRSEEKERSSRRRSGGGGGGDEERDDGERRSKRSRSEENRDRDKDKDRDRHRDRDKDRDRHRSSRERRDRDRDRDEKDREKERDKDKERRSRDREKEKEKEKEREREREKDRERRSRSRSERRRDDEERDRERYRERDYRDRDVRRRKEEAGEPEADPERDQRTVFAYQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQQVMVKPSEAEKNLVQSNASSSGAASGGARKLYVGNLHSNITEDQLRQFARLEDAKAAQSLNGQLDIAGRVIKASVFFRFTRIHVVFKLYISNIRVLPDTMLNCCNQVSAVTDQAGVQVSGVTTGDLDDDEGGGLALNASSRALLMQKLDRSGVTTSLTAGIAGTGLNTSVGLPPASVLGAPPVAASVLPTVPGLGSVPGVSLPITTQAIETAPPSECLLLKNMFDPSVETDPDFDLDIKDDVQEECSKFGQVKHIFVDKNTSGFVYLRFDSITAAMSAQKALHGRWFAGKMITATFMSPQQYSTKFPS >ORUFI03G03830.1 pep chromosome:OR_W1943:3:2610346:2635066:1 gene:ORUFI03G03830 transcript:ORUFI03G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVLQQKEAGEEDVVVVVVGAGIAGLAVALGLHRKGVKCRVLESSPELRASGFAIATWRNALQALDALGVGDKIRKCHLHLQELQVFSSSTGEMSHTTSLNVQGKRGPNEMLCVRRDWLLRALEEELPNGTIRYSSKIVLIGCDGVNSVVAKWLGLPKPSYSGRLATRGLACYPGGHGFDPKFKMFFGHGFRLGVIPCNDTDVYWFFTWSPSEHDDDALAKKKQFVLTKLRSAEIPAEVMEVIERSDAKHVLTAPLRFRPPLSLLLASISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDAILGGGGGGAESERIEAGLREYARIRRWRSAELIGTAYVVGFMQESSNAVISFLRDNWLAGALHLVLHEANHVEQAAVPGRREALPDPKQLDELDGHHGDIPRRRGAGEDAVVIVGAGIAGLAVALGLHRKGVKCTVLESSPELRASGFAIATWRNALQALDAFGVGDKIRKCHLHLQELHVFSSFTGEMAHATSLNEQGKRGPNEMLCVRRDWLLRALEEELPEGTIRYSSKIVEIEEDGDAKILHLADGAILRAKVVIGCDGVNSVVAKWLGLAKPSYSGRLATRGLACYPGGHGFDPKFKMFFGHGFRLGVIPCNDTDVYWFFTWSPSEHVSVIDTLSRMNKKFVLTKLNSAEIPAEVLEIIERSEAKDVLTAPLRFRPPLSLLLASISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDAILGGGGGGAESERIEAGLREYARIRRWRSAELIGTAYAVGFMQESSNAVISFLRDNWLAGALHRSSMQQKEAGEEAVVVVGAGIAGLAVALGLHRKGVKCSVLESSPELRASGFAFATWTNAWQALDNLGVGDKIRKLHLHLQELHVFSSSTGEITRRADLTVQGKRGPNELRCVRRDWLLRALEEELPKGTIRYSSKIVAIEEDGNAKIIHLADGAILRAKVLIGCDGVNSVVAKWLGLTKPSSSGRLATRGLAHYPDGHGLDPRFKMFVGHGFRAGVIPCNKTDAYWFFTWSPSEHESNGVEESAEKMKQFVLTKLRSSKIPTEVLEVVERSNINDVVASPLRFRAPLSLLLASISKGNACVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDALLGGGGAAESERIEASLREYARIRRWRSVELVGTAYVVGIVQQSNNAVISFLRDKWLAGVLAGRLLKMADYDSKSVCIRTKPFASMQQEQADGREIVIAGAGLAGLAVALGLHRKGLRSVVLESSPTLRTSGLAFITWTNAFRALDALGVGDKMRSQHQQIQRLNVMSSATGEIVQEIDLRAQGKRGTHEARCVSRTALLLALEEELPRGTIRYSSKIVSIEEDGNAKILHLSDGSTLRAKVLIGCDGINSVVARWLGFAKPSVDIMGTPYPYGMGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDAILGGGGGGAESERIEAGLREYARIRRWRSAELIGTAYAVGFMQESSNAVISFLRDNWLAGAL >ORUFI03G03830.2 pep chromosome:OR_W1943:3:2610346:2635066:1 gene:ORUFI03G03830 transcript:ORUFI03G03830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVLQQKEAGEEDVVVVVVGAGIAGLAVALGLHRKGVKCRVLESSPELRASGFAIATWRNALQALDALGVGDKIRKCHLHLQELQVFSSSTGEMSHTTSLNVQGKRGPNEMLCVRRDWLLRALEEELPNGTIRYSSKIVLIGCDGVNSVVAKWLGLPKPSYSGRLATRGLACYPGGHGFDPKFKMFFGHGFRLGVIPCNDTDVYWFFTWSPSEHDDDALAKKKQFVLTKLRSAEIPAEVMEVIERSDAKHVLTAPLRFRPPLSLLLASISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDAILGGGGGGAESERIEAGLREYARIRRWRSAELIGTAYVVGFMQESSNAVISFLRDNWLAGALHLVLHEANHVEQAAVPGRREALPDPKQLDELDGHHGDIPRRRGAGEDAVVIVGAGIAGLAVALGLHRKGVKCTVLESSPELRASGFAIATWRNALQALDAFGVGDKIRKCHLHLQELHVFSSFTGEMAHATSLNEQGKRGPNEMLCVRRDWLLRALEEELPEGTIRYSSKIVEIEEDGDAKILHLADGAILRAKVVIGCDGVNSVVAKWLGLAKPSYSGRLATRGLACYPGGHGFDPKFKMFFGHGFRLGVIPCNDTDVYWFFTWSPSEHDDDALAKNKKFVLTKLNSAEIPAEVLEIIERSEAKDVLTAPLRFRPPLSLLLASISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDAILGGGGGGAESERIEAGLREYARIRRWRSAELIGTAYAVGFMQESSNAVISFLRDNWLAGALHRSSMQQKEAGEEAVVVVGAGIAGLAVALGLHRKGVKCSVLESSPELRASGFAFATWTNAWQALDNLGVGDKIRKLHLHLQELHVFSSSTGEITRRADLTVQGKRGPNELRCVRRDWLLRALEEELPKGTIRYSSKIVAIEEDGNAKIIHLADGAILRAKVLIGCDGVNSVVAKWLGLTKPSSSGRLATRGLAHYPDGHGLDPRFKMFVGHGFRAGVIPCNKTDAYWFFTWSPSEHESNGVEESAEKMKQFVLTKLRSSKIPTEVLEVVERSNINDVVASPLRFRAPLSLLLASISKGNACVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDALLGGGGAAESERIEASLREYARIRRWRSVELVGTAYVVGIVQQSNNAVISFLRDKWLAGVLAGRLLKMADYDSKSVCIRTKPFASMQQEQADGREIVIAGAGLAGLAVALGLHRKGLRSVVLESSPTLRTSGLAFITWTNAFRALDALGVGDKMRSQHQQIQRLNVMSSATGEIVQEIDLRAQGKRGTHEARCVSRTALLLALEEELPRGTIRYSSKIVSIEEDGNAKILHLSDGSTLRAKVLIGCDGINSVVARWLGFAKPSVDIMGTPYPYGMGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDAILGGGGGGAESERIEAGLREYARIRRWRSAELIGTAYAVGFMQESSNAVISFLRDNWLAGAL >ORUFI03G03830.3 pep chromosome:OR_W1943:3:2610346:2630836:1 gene:ORUFI03G03830 transcript:ORUFI03G03830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVLQQKEAGEEDVVVVVVGAGIAGLAVALGLHRKGVKCRVLESSPELRASGFAIATWRNALQALDALGVGDKIRKCHLHLQELQVFSSSTGEMSHTTSLNVQGKRGPNEMLCVRRDWLLRALEEELPNGTIRYSSKIVLIGCDGVNSVVAKWLGLPKPSYSGRLATRGLACYPGGHGFDPKFKMFFGHGFRLGVIPCNDTDVYWFFTWSPSEHDDDALAKNKKFVLTKLNSAEIPAEVLEIIERSEAKDVLTAPLRFRPPLSLLLASISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDAILGGGGGGAESERIEAGLREYARIRRWRSAELIGTAYAVGFMQESSNAVISFLRDNWLAGALHRSSMQQKEAGEEAVVVVGAGIAGLAVALGLHRKGVKCSVLESSPELRASGFAFATWTNAWQALDNLGVGDKIRKLHLHLQELHVFSSSTGEITRRADLTVQGKRGPNELRCVRRDWLLRALEEELPKGTIRYSSKIVAIEEDGNAKIIHLADGAILRAKVLIGCDGVNSVVAKWLGLTKPSSSGRLATRGLAHYPDGHGLDPRFKMFVGHGFRAGVIPCNKTDAYWFFTWSPSEHESNGVEESAEKMKQFVLTKLRSSKIPTEVLEVVERSNINDVVASPLRFRAPLSLLLASISKGNACVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDALLGGGGAAESERIEASLREYARIRRWRSVELVGTAYVVGIVQQSNNAVISFLRDKWLAGVLAGRLLKMADYDCGKL >ORUFI03G03830.4 pep chromosome:OR_W1943:3:2632021:2635066:1 gene:ORUFI03G03830 transcript:ORUFI03G03830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEQADGREIVIAGAGLAGLAVALGLHRKGLRSVVLESSPTLRTSGLAFITWTNAFRALDALGVGDKMRSQHQQIQRLNVMSSATGEIVQEIDLRAQGKRGTHEARCVSRTALLLALEEELPRGTIRYSSKIVSIEEDGNAKILHLSDGSTLRAKVLIGCDGINSVVARWLGFAKPSVDIMGTPYPYGMGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDAILGGGGGGAESERIEAGLREYARIRRWRSAELIGTAYAVGFMQESSNAVISFLRDNWLAGAL >ORUFI03G03840.1 pep chromosome:OR_W1943:3:2616066:2618583:-1 gene:ORUFI03G03840 transcript:ORUFI03G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALKTDANCIRGCVSQVWVHAAPKEGAPDRVSFQADSDAQLTKGLAALLVLGLFDAPARDVAMVPVEFIELLGIRQSLSPSRNSGLLNMISLMKHKVLEITIGELWDDRTCWELSKLNQIFDQPMVQHIMKVQIVPRDNEDKICWIHNSSGECTSKSAYKQVYLDS >ORUFI03G03850.1 pep chromosome:OR_W1943:3:2649418:2650331:1 gene:ORUFI03G03850 transcript:ORUFI03G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCNDTDVYWFFTWSPSPDDKDVDKSSAATKQFVLTKLRSTNVPPQVLEAVERSEMNDVLAAPLRFRSPLSLPFASISKGNVCVAGDALHPTTPDLAQGACTALEDAVVLARCLGEALLLRTGDCAAEESHRVVEAALRRYADARRWRSAQLTGASYAVGFVQQSDHPAVGFLRDKLLSGVLAKTLLMMPDYDCGTLSSSGAC >ORUFI03G03860.1 pep chromosome:OR_W1943:3:2651214:2654167:1 gene:ORUFI03G03860 transcript:ORUFI03G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGVEGIVIAGAGLAGLATALGLHRKGVRSLVLESSATLRASGFAFTTWTNAFRALDALGVGDKIREHHLLYERLLAFSASTGEPAAKLSLKMQGKSGPHEIRCVKRNFLLETLENELPEGTIRFSSKIVSIEEDGNVKLLHLSDGSTIRAKVLIGCDGVNSVVAKWLGLPKPILSGRSATRGLAEYPAGHGFGPEILQFIGQGFRSGVLPCSDTSVYWNYTWYPSPDDGDAEESVAKMRSYVVAKLRAARIPAEALDVIERSEMSDVVSSPLRFRSPLALVRGSISRGNHMDLSGPRWKKGKDGKDFLALAAANPMSVIVAELNASFISSKPVAILSGPGGGAILGVEPKQAVILNRAAFGHAVEIAAAQKHWFQLSPEEVFYLCHVLNCIRVESRDKKQMSEKQLWNHFRSMSESFPEMYKAYSHLRLSRPHGTNRVGCKRESVDSCLR >ORUFI03G03870.1 pep chromosome:OR_W1943:3:2668935:2671293:-1 gene:ORUFI03G03870 transcript:ORUFI03G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAESPAAEPSCGSSSSAAPMRTRSVVPERESGVSVVVAAVAAVSAAEPVASAAEPDYMKEYRSKLCKRSTM >ORUFI03G03880.1 pep chromosome:OR_W1943:3:2671001:2675258:1 gene:ORUFI03G03880 transcript:ORUFI03G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQNQEIDEKRGMRERGIVRTGSAADATGSAADTAATAATTTLTPLSLSGTTDLVRIGAAEEEEPQEGSAAGDSAAAAMLVTIWELQYALSRIRNNARHLLTLDEKNPRRIFEGEALLRRMNRYGLLADGQNKLDYVLALTVENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDVNSNDSFTMHPGKKRKEEAAAMGPVSTEQTLDAAFGRHAGVGYAAATRSLEGRDELPRRPTEPEPKEEAPRRVRGFGSDDDRGGRRALAEWLSRWWRRDAGPLEHRLVM >ORUFI03G03890.1 pep chromosome:OR_W1943:3:2679238:2681747:1 gene:ORUFI03G03890 transcript:ORUFI03G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTAMQPGRRDGWSDRCRGPHEIRCVKRNFLRETLENELPEGTIRFSSKIVSTGEDSNVKLLHLADGSTIGADRMRRRELGGGEVAWPAKANPLRALGHQGPRRVPDGDAEESVAKMRSYVLAKAARIPAPLSISRGNVCVAGDAFHPTTPELGQGGCAALEDGVVLARCLSEAFLADGAEHDPGYEAVTVALEKYAEERRWRGIRLITAAYVVGFIQQRTNPVIKFLREKFLSGLLAKTMIAMADYDCGKL >ORUFI03G03900.1 pep chromosome:OR_W1943:3:2684588:2686133:1 gene:ORUFI03G03900 transcript:ORUFI03G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAFFFHCVAAVAACIAATAAALSGTATFYGGSDASGTMGGACGYGNLYSTGYGTNTAALSSALFNDGAACGECYQITCDQSNSKWCKAGTSVTITATNLCPPDYSKPSNDGGWCNPPRQHFDMAQPAWEQIGVYRGGIVPVNFQRVSCTRKGGVRFTINGNSYFELVLITNVGGPGSIKSVQIKGTKTGWVTMSRNWGANWQANNYLNNQAISFSVTSTAGKTLVFEDVAPSNWQFGQTFTSGVQFY >ORUFI03G03910.1 pep chromosome:OR_W1943:3:2689352:2693226:-1 gene:ORUFI03G03910 transcript:ORUFI03G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWKKKKTPSILPLVVVIAAASLIAPTTAGWSSGTATFYGGSDASGTMGGACGYGNLYWSGYGTNTAALSSALFNDGASCGQCYQIACDHQAEPRWCLQGRTVTITGTNLCPPNYALSSNDGGWCNPPRTHFDMAEPAWLQIGIYKAGIVPVLYQRVPCVKQGGVRFTMGGFNYFELVLISNVAGSGSIQSVWVKGPNTDRMPLSRNWGANWQSHAGLVGQTLTFGVTSTGGQTLVFQNIMEYAILFATSLVITVLAASGFAPAHGWNKGTATFYGGADASGTMGGACGYGNLYTAGYGTNTAALSSVLFNDGWSCGQCYLIMCDAAATPQWCRAGAAVTITATNLCPPNWALPSNSGGWCNPPRPHFDMAEPAWLQIGIYKAGIIPVLYQQVKCWRQGGIRFTMGGFNFFELVLVSNVAGSGSVRSVSVKGGSTGWITLNRNWGANWQCNSGLVGQALSFAVTSTGGQTLYIYNVVPSWWSFGMTFTSNQQFSY >ORUFI03G03920.1 pep chromosome:OR_W1943:3:2706468:2719452:1 gene:ORUFI03G03920 transcript:ORUFI03G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLAVVALCIAPARSDWLPGTATFYGGADGSGTMGGACGYGNLYDQGYGINNAALSTPLFNDGASCGQCYLIICDYSKAPDWCKLGKAITVTGTNYCPPNYDLPYGGWCNATRPHFDMSQPAWENIGIYNAGIIPILYQQVKCWRYGGVRFTINGFNYFELVLVTNMAGSGSIASMSVKGSCTGWIQMTRNWGANWQCLAGLAGQALSFNVTSTGGQTIVFDDAQTEEIMGNILLQLLAVVALCIAPARSDWLPGTATFYGGADGSGTMGGACGYGNLYDQRYGINNAALSTPLFNDGASCGQCYLIICDYGKAPDWCKLGKAITVTGTNYGGWCNATRPYFDMSQPAWENIGIYSAGIVPILYQQVKCWRYGGVRFTINGFNYFELVLVTSMAGSGSIVSMSVKGSCTGWIQMTRNWGANWQCLAGLAGQALSFNVTSTGGQTIVFDDAVPAGWSFGQTFSTYHQFDY >ORUFI03G03920.2 pep chromosome:OR_W1943:3:2705192:2706490:1 gene:ORUFI03G03920 transcript:ORUFI03G03920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIVLQLLAILALCIAPARSGWLQGTATFYGGADGSGTMGGACGYGNLYDQGYGINNAALSTPLFNNGASCGQCYLIICNYDKAPSGCRMGTAITVTGTNFCPPNYDLPYGGWCNTTRPHFDMSQPAWENIGIYSAGIVPILYQQVKCWRSGGVRFTITGLNYFELVLVTNMAGSGSIASMSVKGSSTGWIQMSRNWGANWQCLAGLAGQALSFTVTSTGGQTIVFDSVVPAGWSFGQTFSTYQQFDY >ORUFI03G03930.1 pep chromosome:OR_W1943:3:2721286:2727248:1 gene:ORUFI03G03930 transcript:ORUFI03G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNADQHAAANGGGGTTPAATGSPPAGKKAAAAAAWKRPGNGAAVPVVVAPGSPIMDADSWPALPGLASPPPTTLTPTPMPPKASPKVAPLPPPAEAVIPPISLGNSGAPDANPDHEAPVRNPPARRALVMPVGDGLDKRAPGSEPSPVYTPNARSNGGGDHHQNGRYGSHPHSRGSGYGGGGNRRGNGGGGGGGRRGQEHHGGFDGQRRGGGRRDGHGPGHQQRGHHPSYIRAPLAVVTAAPPPPPPFVNPATPQTPPYGAPIGFPEIAPHVYYFTSPLEGVQALPFVPHPASPPAMLIPQFDPLRAELLKQIEYYFRYFFVYIYVKYLFHIIAEVNLLSHLFNFCSDDNLCKDIFLRRHMDDQGWVPLPLIAGFNQVKKLTNNVQFILETVLQSTVVEVQGDKLRKRERWEIWLLPKQGYSAGNSSGSLSPVTSNIDSLASQFQSVGLEGAGYHASQGMPGEALLTRSATSVSLGYQAPPLGGLYSNGSGPLFGQKSARSLLRSDTF >ORUFI03G03940.1 pep chromosome:OR_W1943:3:2728577:2729211:-1 gene:ORUFI03G03940 transcript:ORUFI03G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDDIIAELRVLPAKILLKKKPKQFQKVEVKVRMDCEGCERKVRKAVEEMKGVSSVEVDAKQNKVTVTGYVEQEEVVGRLRRRAGKKAEPWPYVPYDVVPHPYAPGAYDKKAPPGYVRNALADPDAAPLARATEEEEKLASAFSDENPNSCAVM >ORUFI03G03950.1 pep chromosome:OR_W1943:3:2730253:2732983:-1 gene:ORUFI03G03950 transcript:ORUFI03G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRPRRGRPLRRRRLDLRGSCCGVCSPRAALFLLVALVTGTLQSSIPYPAHASVQSITKTGGLFTSEILSSGWAGFIAGCLHTLSGPDHLVALAPLSIGRSRLESGLVGALWGCGHDAGQVIFGLLFLLLKDRLHIEILRTWGTRVVGLTLLIIGGMGIREATEVQESSLALEGVDCNITSSEPLQTPAAPRKKKVGFATFATGVVHGLQPDALLMVLPSLALPSRFAGAAFLGMFLMGTVFSMGSYTAFVGSCSEALKEKVPRITEKLTWAASLVAICMGIALLVGQFFGFSLY >ORUFI03G03960.1 pep chromosome:OR_W1943:3:2752165:2757505:-1 gene:ORUFI03G03960 transcript:ORUFI03G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIMEKPMMDTGGGMIERTKSDQLAPPPPPSSAQSLSRTASAETVLSTADVTTTTSLSRKSSFGKRSASGGAGAGGNSHGYSSSNNNNSHIRKSRSAQLKLEMEDLVSSGAALSRASSASLGFSFTFTGFTPPPQDTMSSAELHPFSDDDNTMDIEAGTPRKKLMTEPTLPIYLKFAEVKYKVAVKGTPREILSGISGSAAPGEVLALMGPSGSGKTTLLSILGGRVAGPGDVEGCVSYNDEPYCKSLNRRIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTRQEKEERTIDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIIQLLHDIAEDGKTVITTIHQPSSRLFHKFDKLILLGRGSLLYFGKASEAMPYFQSIGCTPLIAMNPAEFLLDLANGNTTDVSVPSELDDKVHMENQNLQTNTKNDYKPSAQDVHEYLVDAYENRVAYKAKKQLLDPLPISDDMKTTITSSKREWGTSWWQQYSILFCRGIKERRHDYLSWMRITQVIATSVILGLLWWHSDPSTPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAADMYKLSAYFLARTTSDLPLDLFLPVIFMVIVYFMAGLKATAAHFFLSMLTVFLSIIAAQGLGLAIGASLLDIKKATTLASVTVMTFMLAGGFFVKRVPPFISWLRYLSFNYHTYRLLLKVQYHPVPDILINAIPLDNGVTEVVALVAMIIGYRVLAYMSLRRTKTSAS >ORUFI03G03960.2 pep chromosome:OR_W1943:3:2752165:2757505:-1 gene:ORUFI03G03960 transcript:ORUFI03G03960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIMEKPMMDTGGGMIERTKSDQLAPPPPPSSAQSLSRTASAETVLSTADVTTTTSLSRKSSFGKRSASGGAGAGGNSHGYSSSNNNNSHIRKSRSAQLKLEMEDLVSSGAALSRASSASLGFSFTFTGFTPPPQDTMSSAELHPFSDDDNTMDIEAGTPRKKLMTEPTLPIYLKFAEVKYKVAVKGTPREILSGISGSAAPGEVLALMGPSGSGKTTLLSILGGRVAGPGDVEGCVSYNDEPYCKSLNRRIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTRQEKEERTIDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIIQLLHDIAEDGKTVITTIHQPSSRLFHKFDKLILLGRGSLLYFGKASEAMPYFQSIGCTPLIAMNPAEFLLDLANGNTTDVSVPSELDDKYLVDAYENRVAYKAKKQLLDPLPISDDMKTTITSSKREWGTSWWQQYSILFCRGIKERRHDYLSWMRITQVIATSVILGLLWWHSDPSTPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAADMYKLSAYFLARTTSDLPLDLFLPVIFMVIVYFMAGLKATAAHFFLSMLTVFLSIIAAQGLGLAIGASLLDIKKATTLASVTVMTFMLAGGFFVKRVPPFISWLRYLSFNYHTYRLLLKVQYHPVPDILINAIPLDNGVTEVVALVAMIIGYRVLAYMSLRRTKTSAS >ORUFI03G03970.1 pep chromosome:OR_W1943:3:2763735:2767546:1 gene:ORUFI03G03970 transcript:ORUFI03G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPESSSSSPVLLNIGGKRYATTVETLTQREPSSMLAAMFSGRHTLPRHPDTGMVFVDRDGKHFRHVLNWLRDGAVPDMSESEYQQLLREAEYYQLLGLADCINDRLGWKNDENFSEAELTRKDVIKCIQAPRVRFRGVNLSGLDLSKLDLSEVDFSYACIKNANFSSAYLRKAKFRLTEATCSSFQSANLHECELIGANLEGSVLDKANLQSANLQDACLKQCCFIETDLRSAHLQGANLMGANLSGANLEGANLKGAKLAGTNLECANLQRAYLREVDLRETHLTGAKLGGANLLGAIR >ORUFI03G03970.2 pep chromosome:OR_W1943:3:2763735:2767545:1 gene:ORUFI03G03970 transcript:ORUFI03G03970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPESSSSSPVLLNIGGKRYATTVETLTQREPSSMLAAMFSGRHTLPRHPDTGMVFVDRDGKHFRHVLNWLRDGAVPDMSESEYQQLLREAEYYQLLVAASQLTSRCENMMGLADCINDRLGWKNDENFSEAELTRKDVIKCIQAPRVRFRGVNLSGLDLSKLDLSEVDFSYACIKNANFSSAYLRKAKFRLTEATCSSFQSANLHECELIGANLEGSVLDKANLQSANLQVQLDFQGANLMGANLSGANLEGANLKGAKLAGTNLECANLQRAYLREVDLRETHLTGAKLGGANLLGAIR >ORUFI03G03970.3 pep chromosome:OR_W1943:3:2763735:2767544:1 gene:ORUFI03G03970 transcript:ORUFI03G03970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPESSSSSPVLLNIGGKRYATTVETLTQREPSSMLAAMFSGRHTLPRHPDTVRRVLTSGALFSGAVASEDEWWVQGMVFVDRDGKHFRHVLNWLRDGAVPDMSESEYQQLLREAEYYQLLGLADCINDRLGWKNDENFSEAELTRKDVIKCIQAPRVRFRGVNLSGLDLSKLDLSEVDFSYACIKNANFSSAYLRKAKFRLTEATCSSFQSANLHECELIGANLEGSVLDKANLQSANLQDACLKQCCFIETDLRSAHLQGANLMGANLSGANLEGANLKGAKLAGTNLECANLQRAYLREVDLRETHLTGAKLGGANLLGAIR >ORUFI03G03970.4 pep chromosome:OR_W1943:3:2763735:2767545:1 gene:ORUFI03G03970 transcript:ORUFI03G03970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPESSSSSPVLLNIGGKRYATTVETLTQREPSSMLAAMFSGRHTLPRHPDTGMVFVDRDGKHFRHVLNWLRDGAVPDMSESEYQQLLREAEYYQLLGLADCINDRLGWKNDENFSEAELTRKDVIKCIQAPRVRFRGVNLSGLDLSKLDLSEVDFSYACIKNANFSSAYLRKAKFRLTEATCSSFQSANLHECELIGANLEGSVLDKANLQSANLQVQLDFQGANLMGANLSGANLEGANLKGAKLAGTNLECANLQRAYLREVDLRETHLTGAKLGGANLLGAIR >ORUFI03G03980.1 pep chromosome:OR_W1943:3:2767929:2768779:-1 gene:ORUFI03G03980 transcript:ORUFI03G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATSPRNYTDFVPPHQLVEEAGKKKEQLRVQIDNHGKLRISGERQVSGNRWSRFHKDFQVPDDCNAGDVRARFDSRDRVLHITMPKLSPAEEEPKAAAAAAPADHGAAQAQQTAAPADQEKEDKEEEDDDGAANDGAAAGGTALVTGRRKTPWRVVLAVVLALVAAAGFYAKYRLMMDPSAADGGHGLIGFSDH >ORUFI03G03990.1 pep chromosome:OR_W1943:3:2769864:2774020:1 gene:ORUFI03G03990 transcript:ORUFI03G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGGGGEPSPAPGVGGRKHLSSIANHVLRQCSLTLDRSVDELVADFELGLKTAAVDNYSRRLVEFCILQALQVVTSVDLGDKIHDGSLSRFTFDMMLAWETPTPSDQQVTMESIAKEREDKKEPLGANEAVMGDETSLFYSDIMPLLVNEEPTVGEDAYVWFGSVFPLACDVINARFTFEALTATTANRLHYPAYDKFLKEMDKSSEFLQKVSTPTGTELAEDEFILHIEGTAGTQRVVRHIGTTSWPGRLTLTNKALYFEASGKISYEPAFKVELTGSEIGKQVKTTSTGPFGAPLFDKGIEFELPEPLVLEFPEMTSSTRRNMWLTLIREVIFLHRFISMYSIDSPIHKWEVHSRIILGVIRLHAAREMLRMSPPPPSSFLVFSLYDELPKGDFVLEQLANNLKETSTITPLSASHVFKGLSKSHRVALSAEIAKEHDKDSNSHEQPLASLENTIGQVRDEAREVTVANVAIEGMKEEGITDSLLVLVGLVSPMSKLFPVIQKIISWEKPLVTISVLAMMVLTIYREWVGFALAASLILAAGFMVWARQRKIGALCSEVIIDTSSDKTTMESLVEAQQSLKRVHEYIKTANVVILRVSSIALARSPKHTETVIWMLTGLALALAVIPFKYVLIGVMAGGFMSNTRIARAMSNPQGSRRWREWWESIPAVPVRAVDGGGL >ORUFI03G04000.1 pep chromosome:OR_W1943:3:2775464:2781775:1 gene:ORUFI03G04000 transcript:ORUFI03G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFKGPGEKEKEEEAGDKAAAEEAGDGFQVVRGKKKKRPNGGSASGAGSGTMMAKDKAAAAAAAEPWTKAKVSFHDPNIPRPQDVYAIRVNNYNVPFDHVWLERTEDGSRPIHPLEKLPMEQFIDRNVPESEPVKPADLEDTPFTLVEDKNGLADLAKKLKSVNEFAVDLEHNQYRSFQGLTCLMQISTRTEDFVVDTLKLRIYIGLYLKEHFKDPTKRKVMHGADRDIMWLQRDFHIYVCNLFDTGQVQKRSNEICLQLYEKELLTDTSYLHIYGLQEHDLDAKQLAVVYALHQWRDYIAREVDESTGYVLPNKALIEIAKKMPTDTAELKRMVKSKYPFVDENLDQVVGIIWNATESSYAFESRAEQLKKERLEQLTDRVQTISSPEMKTSMNLSGQIRSMDKEILSDNIHQQVAQATFQELKRPMALGAVGNSTSGGQRDFFGGFSNKSEKMEKAKSYPAFYYPQLPQYSPEVGYGFQSINRTMAGTAQSPTGNKERDLQNPRRRQSFPPSGNISDTHQ >ORUFI03G04010.1 pep chromosome:OR_W1943:3:2782684:2783964:1 gene:ORUFI03G04010 transcript:ORUFI03G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATTPNSLLLRRPAAPKPASAAASPLRLPTRAARISCAAVATPAQSSSSPAAAAADRGVYNFAAGPATLPLSVLQRAQAELVDYRGSGMSIMEMSHRGKEFDAAIKKAEADLRALLAVPDTHEVLFLQGGATSQFAAAPLNLCASPSDPADFVVSGSWSDKAFKEAKKFSAASVAWSGKDGKYTSLPPFDAIEQNPEARFLHICSNETIHGVEFKDYPEPKNKSGILVADMSSNFCSKPVDVSRFGLIYAGAQKNVGPSGVTIAIVRKDLVGSAQPITPVMLDYKTHADNASLYNTPPCFAIYICGLVFEDLLAQGGLAEVEKKNAHKAGILYDAIDASGGYYICPVEKSVRSLMNVPFTLAKGGDFEKQFIAEAAKEGMVQLKGHRSVGGVRASIYNAMPLAGVEKLVAFMKDFQARNP >ORUFI03G04020.1 pep chromosome:OR_W1943:3:2787148:2792624:1 gene:ORUFI03G04020 transcript:ORUFI03G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGKPPTPEKKSWADVEEEEEAKAKAAAAAEAASSSSSNEPAVDAQAKQIEALSLSVPEEHGGSGGGGDDQGPPLLDDSDESQIQAVTSGGTVYESAAAFEDLKLTPELLKGLHDEMGFSRPSKIQAVTLPMILTPPYKDLIAQAHNGSGKTTCFVLGMLSRVDPNRKVTQAICICPTRELAQQNKSVLMRMGKFTGITCACAIPPAQKDYVPIAKMPKITDQVVIGTSGTLMKWINHKKILTNDIKILVFDEADHMLAEDGFRSDSERIMRDIQRSAGGCQVLLFSATFNERVKDFVTRVIKDGNQIFVKKEELTLEKVKQYKVQVPDERAKIAVIKDKIFEFGQKVGQVIIFVRTKQSTKDVHNALTLEDYVCSSIQGSLDQSEREKIIQEFKNGYTKVLISTDVLARGFDQAQVNLVINYDMPIKFGTRDEPDYEVYLHRIGRAGRFGRKGAVFNLLCGETDNTVMRKIETYFQHNVPEVRNWQSEEDFERALKDAGLMRFFLSSGGAAYTLLTFFLRMRLSSTVHTPCRGSSPPPPPNHPPSSRFMASAGVRSAKPAAGGGWEQ >ORUFI03G04020.2 pep chromosome:OR_W1943:3:2787148:2792518:1 gene:ORUFI03G04020 transcript:ORUFI03G04020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGKPPTPEKKSWADVEEEEEAKAKAAAAAEAASSSSSNEPAVDAQAKQIEALSLSVPEEHGGSGGGGDDQGPPLLDDSDESQIQAVTSGGTVYESAAAFEDLKLTPELLKGLHDEMGFSRPSKIQAVTLPMILTPPYKDLIAQAHNGSGKTTCFVLGMLSRVDPNRKVTQAICICPTRELAQQNKSVLMRMGKFTGITCACAIPPAQKDYVPIAKMPKITDQVVIGTSGTLMKWINHKKILTNDIKILVFDEADHMLAEDGFRSDSERIMRDIQRSAGGCQVLLFSATFNERVKDFVTRVIKDGNQIFVKKEELTLEKVKQYKVQVPDERAKIAVIKDKIFEFGQKVGQVIIFVRTKQSTKDVHNALTLEDYVCSSIQGSLDQSEREKIIQEFKNGYTKVLISTDVLARGFDQAQVNLVINYDMPIKFGTRDEPDYEVYLHRIGRAGRFGRKGAVFNLLCGETDNTVMRKIETYFQHNVPEVRNWQSEEDFERALKDAGLVE >ORUFI03G04030.1 pep chromosome:OR_W1943:3:2791705:2794890:-1 gene:ORUFI03G04030 transcript:ORUFI03G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLLPLHLPTRPSAVKASAAATAAAAPTPQSLEESFGRKGLRFAADPATGAPTAELSVRNGSSLQLRLADGLVTSYRPKVYWKDDGCREVLHTVAGAGAGGEVKGGVGLALSEVSSSGAAESLLVGSEWSVVDADSDSYDAVQVELGCTKGSGTLEVTYVVTLYPLSMATAVMVKNNGKKPVSLTSAMLSHIKFDKRRGTAVEGLRGCPYCSHPPPAAGFALLTPAEAMKREDGGWFGGGGGEEPRQGVWTVEDNLYTILKKKVSRVYAAPPEERKKRIYSTAPSKFTTIDQNSGLGFRVVRMGYEDMYLCSPGEMYRKFGKDYFLCTGTASMLVPVVVNPGEEWRAAQVIEHDNL >ORUFI03G04040.1 pep chromosome:OR_W1943:3:2797898:2801420:-1 gene:ORUFI03G04040 transcript:ORUFI03G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPPQVPVVAPAPAAAAAPPAPAPAAAATAAPVADQTTDLLQKLSLDSQPKAVDAATESAGAKKGPAASQPLSVAIPPERSITPVLQDFMDPNMFYLPAYYYGGYDGSVSEWDDYLRYVNPDGVEITPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGQHYQYPTSYYQPPTPVPSTTQGDLQPSANPDKPTAKADPAKTTTNGAPNGTVHSNSGTVPLGSSQQNSSLTPDGTYRAPLLGGVPSAGYLDSTYGYDSTGAHFAWYDGSAYTNGQQRTTTTNHMSSSTFSNGSSARTQNKGSTPQQMGMNNRRPTTTTGSAAPTYPNRMYPSTRSYSQYGNSYKTGLSYSTNGYGSNGYGSNGYDSRLYGRWGLSMDNRYKPRGRGNGYYGFGNESQDGTIELNRGPRSGRFKNQKLFGHTVTIAVKGQSLPTSDSMNATDVPDRTQFNRDDFPVQYDDAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQAKSSKCPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSLSLKWHIVKDVPNNILKHIILENNENKPVTNSRDTQEVNLDQGIQMLKIFKEHVSKTSILDDFAFYENRQKLMQEKRVKQQQIQKQVWDSRAPSSVTGEKQQDAATAKPKLPNGVNGELKVPAENGTAPVVTYAAKVAQTAATEKPALANGTVKAS >ORUFI03G04050.1 pep chromosome:OR_W1943:3:2802543:2803948:1 gene:ORUFI03G04050 transcript:ORUFI03G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCAVRFSHTNSILPLHGRTPKCRVCIVLIWACYGRATLYWLIAALLPALDGVAVSHLCRDENKVAHGFAKLGHKARRRRVWHVVPPNEVLVFLQRDADRG >ORUFI03G04050.2 pep chromosome:OR_W1943:3:2802543:2803907:1 gene:ORUFI03G04050 transcript:ORUFI03G04050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLERGKVNTCLCSKLLSTVPNNNRVSPMTPPHHRSLVSICTRSRNPYPFPSPYTTHTKRKRKEKQNKTKKIIKKNAFSLEEEPRRTGRVQ >ORUFI03G04060.1 pep chromosome:OR_W1943:3:2811380:2824671:-1 gene:ORUFI03G04060 transcript:ORUFI03G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLGGLGYGVQGTYLLDVIQDILLKSYHTINQVHIVYLGHNDGLNASLTASLHLQLLSGVFTRSDEARDAILYSYSYGFSGFAAMLNSTQAAKLSEAEEVISIFRSRMLEIHTTRSWDFMGLSLHIQNEQSAGMQLKYGDDIIVGILDTGVWPESQSFRDDGHLGDIPSSWRGTCVEGEKFDPATACNRKLIGARYYLAGFESEVGPLNTSGGAEYRSPRDRVGHGTHTASTAVGAVSPDASYVGGLGRGVARGGAPWSRLAVYKVCWFKDLTGRCSDADILAAFDDALRDGVHVISASLGSTPPLMPLFMTSTEIGAFHAMQLGVPAVFSAGNDGPDAAMVQNVSPWVITVAASTIDRRFPTVITLGNNVSLVGESFNVNDMKMRLVESGSVFSDGSCSFDQLTNGSRAAASGRIVLCFSTTTASSGVAALAVYAAGGAGLIFAETISRRSTQDNFLPTVHVDLRQGTRILDYIRGSSRPPTARFSPSTTLVGKSPAPAVAYFSSRGPSSISPHILKPDVTAPGVNILAAWPPMSSPTVIPLDKRSVTWNFDSGTSMSCPHVSGIVAVVRAVHPTWSPAAIKSALMTTAYMYDDTSDVMLAGGTLKAADAFDVGAGHVDPLRALDPGLVYDAGVRDHVLFLCGLGYTRYQIRQMVLPSPSLDTSCGGEGGGAAPPEYDLNYPAIVLPRLNATVTVKRTVTNMGPRRDAVYRAAVVSPHGARAAVWPPALSFSPYRDTASYYVTVAPAKLSRGRYDFGEIVWSDGYHRVRTPLVVRVTTMPDTDTGVDVDVAAARAMDTATATQLQDS >ORUFI03G04060.2 pep chromosome:OR_W1943:3:2818224:2824671:-1 gene:ORUFI03G04060 transcript:ORUFI03G04060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLGGLGYGVQGTYLLDVIQDILLKSYHTINQVHIVYLGHNDGLNASLTASLHLQLLSGVFTRSDEARDAILYSYSYGFSGFAAMLNSTQAAKLSEAEEVISIFRSRMLEIHTTRSWDFMGLSLHIQNEQSAGMQLKYGDDIIVGILDTGGLEAKQTVIPAARRALTVQN >ORUFI03G04060.3 pep chromosome:OR_W1943:3:2818224:2824909:-1 gene:ORUFI03G04060 transcript:ORUFI03G04060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVVAISPPLQGTYLLDVIQDILLKSYHTINQVHIVYLGHNDGLNASLTASLHLQLLSGVFTRSDEARDAILYSYSYGFSGFAAMLNSTQAAKLSEAEEVISIFRSRMLEIHTTRSWDFMGLSLHIQNEQSAGMQLKYGDDIIVGILDTGGLEAKQTVIPAARRALTVQN >ORUFI03G04060.4 pep chromosome:OR_W1943:3:2811380:2817902:-1 gene:ORUFI03G04060 transcript:ORUFI03G04060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGSSPIWSASSPSTSHISTRCGCAWSSATNDLTTPSTSTALYAGTFLMPRLPISFLTLRASSFRLESRDDGHLGDIPSSWRGTCVEGEKFDPATACNRKLIGARYYLAGFESEVGPLNTSGGAEYRSPRDRVGHGTHTASTAVGAVSPDASYVGGLGRGVARGGAPWSRLAVYKVCWFKDLTGRCSDADILAAFDDALRDGVHVISASLGSTPPLMPLFMTSTEIGAFHAMQLGVPAVFSAGNDGPDAAMVQNVSPWVITVAASTIDRRFPTVITLGNNVSLVGESFNVNDMKMRLVESGSVFSDGSCSFDQLTNGSRAAASGRIVLCFSTTTASSGVAALAVYAAGGAGLIFAETISRRSTQDNFLPTVHVDLRQGTRILDYIRGSSRPPTARFSPSTTLVGKSPAPAVAYFSSRGPSSISPHILKPDVTAPGVNILAAWPPMSSPTVIPLDKRSVTWNFDSGTSMSCPHVSGIVAVVRAVHPTWSPAAIKSALMTTAYMYDDTSDVMLAGGTLKAADAFDVGAGHVDPLRALDPGLVYDAGVRDHVLFLCGLGYTRYQIRQMVLPSPSLDTSCGGEGGGAAPPEYDLNYPAIVLPRLNATVTVKRTVTNMGPRRDAVYRAAVVSPHGARAAVWPPALSFSPYRDTASYYVTVAPAKLSRGRYDFGEIVWSDGYHRVRTPLVVRVTTMPDTDTGVDVDVAAARAMDTATATQLQDS >ORUFI03G04070.1 pep chromosome:OR_W1943:3:2816446:2817918:1 gene:ORUFI03G04070 transcript:ORUFI03G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYIPKQTFAFCHSLLSSRLLLPSAAAAPTAPALPVQALLTTAGLLPRHPDLSLVALNSLLRVLSRRASSPAPPLLALRLLLLMLSPASPLPPPDHLSFPFALSAAATVSPSPGAQLHALLVKNGHFPSDHYVTTALLQLQLHAARPDDARRVFDELPRREAIHYDLVIGAYTRTGMAGEGLGVFRAMFVDGVAPDAVVLTTAIAACAQAGALECGEWVHRYVEASAPWLLGDAFVGSALVSMYAKCGCLEQAVRVFDGMPERNDYVWGTMVGAFAVHGMAEEAVSCLDRMAREDGVRPDGVAVLGALSACAHAGKVEDGLRLLKEMRRRYGVAPGHEHYACTVDMLCRVGRLEDAVALIETMPMAPLASVWGSVLTGCRTYANVELAEVAAAELGKLGADEGVYVQLSNIYLDSNRKDDARRVRKLIGSRGIRKVPAYSAVEVDGVVRSFVADDQAHPQRVEIWEVLGLLADQMGEEPDEEEAMAALS >ORUFI03G04080.1 pep chromosome:OR_W1943:3:2823682:2828599:1 gene:ORUFI03G04080 transcript:ORUFI03G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFKGNPYFNRVSSNATKSESPKMQSPSERKEKDDSMLPSNAKEVEELRRESARNPLIAFTFEELKRITKNFRQDSLLGGGGFGRVYKGYITSDLREGLTIEEPLRVAVKVHDGDNSFQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDDHRVLVYEFMPLGSVESHLFSRVMVPLPWFTRMKIALGAAKGLAFLHEAEKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPVREQTLADWAFPMLIQKKKVLGIVDPRLAEDYPVKAVQKTAMLAYHCLNRNPKARPLMRDIVATLEPLQQMEEDPSISLVSGTEV >ORUFI03G04090.1 pep chromosome:OR_W1943:3:2829669:2836361:1 gene:ORUFI03G04090 transcript:ORUFI03G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPNPPHPKHSSSSAAAAAMAPRKSRWGPPPPAGEKGAGAASTSARTPTPTPSTAGDPRRHPPPPGPGARNPAASSAAAFRPPPQPQQQPRVETPPPPSYGFHNLDRRTMLLADGSVRTYFALPPDYPFELTPLPQLPHLPRGAGHEVWPPHHPPPPPQQQQLQLAQHDAKRKHLAEHDEGFHSRHPKQPRFEAAPPQQQQLPPHAAVDRHALRRAFLKYAKMLNESAAQRRSYLEGGRVPCLACGRSSKDFADVHGLVMHAYNPPNVDSFIDHLGLHKALCVLMGWDYTKVPENSKAYQSLLPDLVQASREDLIIWPPTVIIHNTATGRKKDGRAEGLGNKEMDKKISELGFAGGKSKSLYGKEGHLGLTLIKFANSPAGLKEAERLADFLERQDHGRIGWLHARANQSVGSDNSPLLVETDNRTGEKRRILYGYLAISSDMDELDSDSRKRASLKSKREFDPSD >ORUFI03G04100.1 pep chromosome:OR_W1943:3:2838452:2839036:-1 gene:ORUFI03G04100 transcript:ORUFI03G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSDDASTSTSAGGGGGCTAETSPCSSSSSLRCKNSGGVGGEDDDDGVGGGGAVVAKNASALSDSGLSSAIASRRFFLSSPGRSNSIVDSSAHGAAVGVGAAGVAVPTYSPDPHADFLRSMEEMVAALRLDARRRGDRARLHELLLCYLALNDRRAHKYVVSAFTDLLLRITAAANLDDGEPPRHGRHLHESH >ORUFI03G04110.1 pep chromosome:OR_W1943:3:2844414:2845415:-1 gene:ORUFI03G04110 transcript:ORUFI03G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPRRPSEKAAGGGGGEQGIRYGDVFPVTGSLAAKPIAPRDAATMQSAENLVLGKTVKGGPAAAMESAASRNEEMGVVGHDQATDAAAEQGVNVSDTLVPGGGRIVTEFVAGQAVGHYVEQDDGAAVVAGVVGAAPGAVRVEEPAKITIGEALEAAALAAGGTPVERSDAAAIQAAEAKATGTDTYMPGGLAAQAQSAAVANLWTARDADKTKLGDVLSNATAKLAADKEVESGDAARVAGAETRNKPGAAARPGGVAASMAAAARLNRGPTT >ORUFI03G04120.1 pep chromosome:OR_W1943:3:2846332:2850243:1 gene:ORUFI03G04120 transcript:ORUFI03G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAARRRLWRGLTTAAAASVGVEADTSALLARLVAEPEYRVKATMEEASGGSSAAAAFWEPLAAALLRASSPTKANLVLEWKLEKLIKEGIRDCEPYSVIIRFCRETKNAEFAMKVFEFVEELGIQLNTGIFNALINAFLSVGDLLAAMTLYEAMEDIEDCKPNSATYDAFISAFSRLGSGHAMMSWYLASKDAGFTPSIKAFEYLITGFVKLDRLDDAEVVFEEMICFEIKPNFAILEAKLELLSRRKDPNRVKVFLELVSDGNQELSEATVERLTRLCLYEDKIGELDQLLSLVQGMHTSSLTKLHCGIIRFYANADRLSDMEHAIFQMLDNGMVFAHSEDVEAVICSYFRHKDFDRLDLFLNRIRSLYKLTRSTYDILISGYQRLNLHGRLDLAIKDMREAGFA >ORUFI03G04130.1 pep chromosome:OR_W1943:3:2850976:2855152:1 gene:ORUFI03G04130 transcript:ORUFI03G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPENIRGRGDPGMGERTLGKKVTSFYSKASPFLSPGGDGLKPGRRTGGGVRRFRERCPAPELAAEEAGGSVCSQKLKEREGECHSMAHFMDLRAFILRARVLKLYRQALRMTRRAPVHARDELRQTVRAEIEKNRRCDDKQKIKFLISEGLQRLKGLDEMLDMTGNNTSGQTVGH >ORUFI03G04130.2 pep chromosome:OR_W1943:3:2850976:2855168:1 gene:ORUFI03G04130 transcript:ORUFI03G04130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPENIRGRGDPGMGERTLGKKVTSFYSKASPFLSPGGDGLKPGRRTGGGVRRFRERCPAPELAAEEAGGSVCSQKLKEREGECHSMAHFMDLRAFILRARVLKLYRQALRMTRRAPVHARDELRQTVRAEIEKNRRCDDKQKIKFLISEGLQRLKGLDEMLDMTGNNKSYYYQTLRGRPWATKIRQI >ORUFI03G04140.1 pep chromosome:OR_W1943:3:2855617:2856105:-1 gene:ORUFI03G04140 transcript:ORUFI03G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHPSPATTAGGSCGGKKDLRRLPHVYSKVLELPFPADTDVAVFEGPDAFHFVVSAAAALAGEVRVRTVRIHPGVVRVVVQAGGGGVHDDGDDDDMELDKWRSRLPEASCPAMAVAGYVNGQLVVTVPKGRGGGEGDNDGGEEAWRCCNGGKISGRLVVVQ >ORUFI03G04150.1 pep chromosome:OR_W1943:3:2857146:2859329:1 gene:ORUFI03G04150 transcript:ORUFI03G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCSNGFRPHHWEGIFARSDTACGLIYRVGQLLTMESFDGECCCVPTKRDKNQAIVVQVPINRQVVFFFPYPMEGIGAVAASKKDQKSACSSTWMHWIIQLHKLSPVGLVDYTRNRRPDGENQSANSPLLHHEHEASHDPVADSFA >ORUFI03G04160.1 pep chromosome:OR_W1943:3:2860869:2867871:1 gene:ORUFI03G04160 transcript:ORUFI03G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFKSKIKWQHRSNDPASSQGQGQGLPQQTPPSPSPASSPSGGPPALSVSTVSSSSPSAAATPTGAAAAGAGGGGGGTGGEDYMLSEEEFQMQLAMALSASNSECVGDLDGEQIRKAKLISLGRGDRFAAVRDDEQTADALSRRYRDYNFLDYHEKVIDGFYDIFGPSMESSKQGKMPSLADLQTGIGDLGFEVIVINRAIDTTLQEMEQVAQCILLDFPVANIAALVQRIAELVTDHMGGPVKDANDMLTRWLEKSTELRTSLHTSLLPIGCIKIGLEFLVDLMAAPGTLIPSDVLSWKGNSLNSNARLTQNPLAGSSSTTDSNLSANALPPGHKGGQLPLFSSGDWISASQSGYEKDGATTSSQASSSGTTSVAAGSAFDSSWTLVSHGQSDDPSTSAGMSAQQKVILPGGEHPWNENINARNENIKLVSDLQGNSESINLFADLNPFGGREPKRTSVPLNGPDNRNNELQRRRENVVPSTRRPQQRLVMKNWSPYNDVSNNKQYNYVEDSFARRNIGDNAASSSQVPRPSAKNTNLNVGVRTDTPYMAAHNYDNSMAGSSAMKMTSTAGIGKVPDKVLYGDLDKGLTNSRLGDQPPIERHKWGNSVEGRIPTGTVHNQAKEHKENFDGKQDNKKLHPDPKKSPLDRFMDTSMPSRNPESVSPSFARSHKLDTMFDDVSECEIHWEDLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFYGDALDEFRSEVRIMRRLRHPNIVLFMGAVTRPPNLSIVSEYLPRGSLYKILHRPNCQIDEKRRIKMALDVAKGMNCLHISVPTIVHRDLKSPNLLVDNNWNVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDKRLDIPKEIDPLVARIIWECWQKDPNLRPSFAQLTSALKTVQRLVTPSHQESQSPPVPQEIWVNSSTP >ORUFI03G04170.1 pep chromosome:OR_W1943:3:2868417:2871178:1 gene:ORUFI03G04170 transcript:ORUFI03G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVISKQRSHQQQSSDRRRKPSSHFASPQSMRGFNAVNCRAFHSSVSIGILPSPPPPPARTYSSPEPKTPKSQPHHGKKRSRAISISPSTSPPSRPELWAGPAFSNSPPPSSLPIPKFSLHQKRSVSLELPPAGRSDDVVVPLHAKSAPSSPTAGSGVSFFSDSDTAIATENLRRILHLKIADH >ORUFI03G04180.1 pep chromosome:OR_W1943:3:2872320:2872604:-1 gene:ORUFI03G04180 transcript:ORUFI03G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESAECECCELREECTRGYILGVKADFGGRWLCGLCSEAVRDEAAKLGRNRGGGGMEEAVRDHMSFCGKCRKNPAFRVADGMRQMLLRRRSK >ORUFI03G04190.1 pep chromosome:OR_W1943:3:2874764:2880670:-1 gene:ORUFI03G04190 transcript:ORUFI03G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPLGGALGFSERASKRSPPIHPASEGWGRRRSSSDEYRGFKMGTYVRNYSDDEFSVAGEKPDVEFMDYQNDGSIQDYSLEDAPVVVTVPFPFIDGKPKSVLVGETSADTISIENTSSEPVNLWSVRIFSSNPEDSYVLSMMKPPLNDTDEEAKRNFLGLTSVEDRTLQPGQTLTIWLSCTPKDIGLHTSIVHVDIGDEKIERVAFLLADDNVSQALFSDKPYSRKHTQKKKFECSSFVPGCRPTRQHSQGFKFKLPQFAIPADIRELIQSKQRPDVLSEELNMTNYAKFFSTLLVMEEINLEEEMRSYDMERILMRRRGLEFLSLEVPGLAEKRPSLVHGDFIFVRHAGSDARPYQGFIHKVEADEIFLKFDNQFHLAHRDRNQYDVSFTYNRLNMRRLYKAIHEAELLGPDILFPCRSSSGSVKKGPFKPLNPHINTEQADAVATILGCRGVAPYVIYGPPGTGKTMTLVESILQLYTAKRRANVLICAASNSAADHVLAKLLQASYLIRPSDIFRLNAASRQYEDVDPDFIRFCFFQDMVFKCPPLQALLRYKIVISTYMSSSMLQSEGIRRGHFTHIFLDEAGQASEPEAMVPLSGLCGRDTVVVLAGDPMQLGPVVYCKQAEKDGLGKSYLQRLLFEYEQYSTGDPNYVTKLVRNYRCHPAILELPSELFYGGELIACKEDEVSSIYDSIDLPNKSFPVLFVGIQGCDEREGNNPSWFNRIEASKVVNIIRNLTRGGDVREADIGVITPYRQQVVKIKKALETFEMPDLKVGSVEQFQGQEREIIIISTVRSTVKHNEFDKFFNLGFLSNHRRFNVAITRAKSLLIIIGNPHIITKDRHWDRLLRYCADNGSYQGCPLPPPEETQVSGFRDSQDEPARWGYNQQQESSANYSYKQDPHDSGSEHVNGLPSTENEVEWSEKTLNEEHQPCSSAAEADSPEFMLKQKAEEEEHVEQDGVQPEQCPAKDNKLQDAYAAKYSFPPECFLHTHLFLKFVVLSVSSMLLTLAHCNATMSRMKL >ORUFI03G04200.1 pep chromosome:OR_W1943:3:2888529:2888913:-1 gene:ORUFI03G04200 transcript:ORUFI03G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPAAIDEVAALLMEIRGPSEQVHIECSKIPEQHIAHLQKFTKHLNSSQDRFIVTKPRYGPCFTEP >ORUFI03G04210.1 pep chromosome:OR_W1943:3:2888947:2891987:-1 gene:ORUFI03G04210 transcript:ORUFI03G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGSNTTKKSKLSWSKSLVRKWFNIRSKAHDFHADDVAAIGRRGGDDEWRGSSFTRREPSTVKKSKTERSSRRSHERSRRGKIDLDAAEATVTLDYKIFVATWNVGGRSPPNTMSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNGPARRWVSLVRRTLNNLPGTSGNGSFRTPSPAPDPVVEMDDDFEGLSSRQNNASFFHRRSFQAGLSRSLRMEGDILAPQPRLERRYSVCDRAIYGRRPSDYEATCRWGGSSDDENNTGESPSTVYSPMSYGYGNPSSLEESHRPAGHTRYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKDGDEMRRNSDVLEILRKTRFPMVYGQYERSPETILEHERIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRIEQRGGRVFVGWNEGKIYFPPTYKYSNNSDKYAGDDMNQKEKKRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSVFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >ORUFI03G04210.2 pep chromosome:OR_W1943:3:2888947:2891987:-1 gene:ORUFI03G04210 transcript:ORUFI03G04210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGSNTTKKSKLSWSKSLVRKWFNIRSKAHDFHADDVAAIGRRGGDDEWRGSSFTRREPSTVKKSKTERSSRRSHERSRRGKIDLDAAEATVTLDYKIFVATWNVGGRSPPNTMSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNGPARRWVSLVRRTLNNLPGTSGNGSFRTPSPAPDPVVEMDDDFEGLSSRQNNASFFHRRSFQAGLSRSLRMEGDILAPQPRLERRYSVCDRAIYGRRPSDYEATCRWGGSSDDENNTGESPSTVYSPMSYGYGNPSSLEESHRPAGHTRYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKKDGDEMRRNSDVLEILRKTRFPMVYGQYERSPETILEHERIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRIEQRGGRVFVGWNEGKIYFPPTYKYSNNSDKYAGDDMNQKEKKRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSVFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >ORUFI03G04220.1 pep chromosome:OR_W1943:3:2897502:2897950:-1 gene:ORUFI03G04220 transcript:ORUFI03G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADDAWIEILLRLLGTTLGFIQLLQPSIISNLRSHFIFKSFVLAAAIQTQDFWSVVHAITGEVCYNSTIHVGSDWFSPLSWSVALAAAVVSEVAWTT >ORUFI03G04230.1 pep chromosome:OR_W1943:3:2900928:2901725:-1 gene:ORUFI03G04230 transcript:ORUFI03G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIPNPIFSGGRIWCTYIDADPRPVKPISSISDRERGISGGQIPAPSMDSPATNQSVEDKAPAIDPSERHPLEEDKASVMDLSGKGKAPMEKKKKKKTKTKMARFTQAQINNCMAFKEEMPDFDNMPSIIEILGDDLAKCSQEYIDELKAIDDSREEDKKFWIEMNRQIREEREGILNQYYTKGYAEYEVDDDEDEDEGNKGHARVAATSGRRRFRHGVALKKNQSGGGSIRKI >ORUFI03G04240.1 pep chromosome:OR_W1943:3:2902052:2908897:-1 gene:ORUFI03G04240 transcript:ORUFI03G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVFPDEIILANDNVAPLLLDRNNRKHKPRYKLAEDRKGPFPPQKLAIEVRGSPTYILGPLPFHNQCGTIQQSPPSHIGVPQPFTTPSPYPGPHRPTVHQPNGPDALPDCLCMTRTIRHRWGA >ORUFI03G04250.1 pep chromosome:OR_W1943:3:2908945:2910913:-1 gene:ORUFI03G04250 transcript:ORUFI03G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGEIGRWLRNKQREKRGEKEKRQKSGIPRARSGRAWPQLPGTPNVIFVGTEEDGIFAVELDSLSVRKVCELDKSQDLFFPFVSYYAESFLGK >ORUFI03G04260.1 pep chromosome:OR_W1943:3:2910840:2914741:1 gene:ORUFI03G04260 transcript:ORUFI03G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGYFELVILNLLRSHRPISPPSIRRRAATPASPPPHRRRRRRTSTGMADVIDEPMVEAFARLALKASLAHPRVTWGRAPRKYFGRNRLWPRPQNPPGARRLRPFSRYPFTYIPAFNEEYFFSVPEVTGCLKLDALNKLFYRKLNEIGMLRKIIYMRKAYIPKPAKKEAFLRRTAEMWDRHGHLLTNAKKLLNAYTVSGFRVPEMPSSLRVLIATVSL >ORUFI03G04260.2 pep chromosome:OR_W1943:3:2910840:2914911:1 gene:ORUFI03G04260 transcript:ORUFI03G04260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGYFELVILNLLRSHRPISPPSIRRRAATPASPPPHRRRRRRTSTGMADVIDEPMVEAFARLALKASLAHPRVTWGRAPRKYFGRNRLWPRPQNPPGARRLRPFSRYPFTYIPAGMKAELFNEEYFFSVPEVTGCLKLDALNKLFYRKLNEIGMLRKIIYMRKAYIPKPAKKEAFLRRTAEMWDRHGHLLTNAKKLLNAYTVSGFRVPEMPSQFDAQF >ORUFI03G04270.1 pep chromosome:OR_W1943:3:2915541:2927778:1 gene:ORUFI03G04270 transcript:ORUFI03G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILGPLPMAESSRQAAIDGCTWVNRVATSSAANCSSTTTTTVSTCPCCCNGGGPCEPFSARYTLHAADSGDHRERQMGGEMGSCIACCLALPPLATACPPLVTATLRASAMEYHEWEVNCPQLEGKFGVLFRLLALRFAWKHDIFCCCLNAYFEEVETSFVVTYDLPRCCSILSTKDIETDRHIVPLWQLTCSFVPMDSLVRHDSNPTQYSWWWVSHISPKNSKWLQENVTDMDVMVKAMIKLINEDADSFARRAEMYYKKRPELMNLVEEFYRAYRALAERYDQATGALRQAHRTISEAFPNQMPSMSEDSPSSGQDVEPRTPEVLMPTRAPFDLDDLQDAAGVSPHLLTVKRNGTQPDDIGFSSSRKGLKQFSDLFAGSDSSQRVNFSDGKVRKGLNFESPDVKGKKDDSNDIMNLQHEVSKLLTERQSLKEQISSESQRANKAESEIHSLKDTISCLISEKDTTLLQYNESTRRLSVLECEISKAHMELKKLSDDMAMEVDKLKCAESQNSAMQSELETLDQKVRVQEQELEQSRKEIESFHLSLQDEMAKRKQAEDALCSLEKQYAQSQKEINRLTLDMEMANDRLNDFNLVRLNLENTVCELKKEVTSLEVKIQILVQELEQKREEADVMHAQLQDEHSNHMHKEAALHALENLHSQSQEDFNLVKLNLENTVGELKKEVTSLELKIQIQAQELEQKREEADTVHAQLQDERSNHMQKEAALHALENLHSQSQEDFNLVKLNLENTVCELKKEVTSLERKIQIQVQELEQKREEADAMHAQLQDERSNHMQKEAALRALENLHSQSQEEVKQMARDVEHSNKKLSDLENNNLKLHDLSQGLKKTVAELNSMKDSALLQQQKSSEKVSYLEAQVLVVRSEMEKMVQKTQMLDQELEHKNKEISELQSSLQEQVQKCILAETSLLRLEDLHTNSQKEAKTLAHDLERLSEQLTEVENDRLDLQNISRELKNTISEINSEKDLMLLQQQHSLERQSYLEAQLLDALSEVEENKKEAQLLEENLAHKNDELNDLQNNLEEEGHKRMHAEAALSMVENLHSKSQEEVGKLVMDLDKLENELSELQGRNSRMEELSYELQNTISLLNSEKDAALLQQQLSSERACDLMSQLSKIQLELEKAEEKMQTMEQKLADKNEMVDFLQLSLQDEGKKRVEVETALISSGNLYSQSQEDVNRLTLEIERLNEMLNDMENKSSEYKSTILLLNSEKDMSVIQYKQSSLRIYELESKLSGVQEELDNAEQKVQMLDKELKEKREVVETMQASLQDEAQKRMKGEATLLTMTNLHTQSQEEVNRLTPEIERLNRKLNEVENVSCELKNTILLLNSERDTTVLQHKQALVRVSDLESELSDVQAELVNAEKNVQILDKELKQKREEVDSLQASLNEEAQKRIEGEVALLAMENLHSQSQEEVRGLVLKIETLHGKLNEMENSNGDLKNMICKHSEEIHVLGEQNLSAELTIKGLHDQLEKFTEMNIGLQNEVGIHVGEKEVLQQDLARQKEDKDILEKHLCSLEHELKAVNIRVATQQHLIEELQSKNIELEEVCNACDVEKTLLLEKLHGMEELSTEYSILKKSFSNAIVEMEDLKEIVKELEASKNSLKYDVSLHATEKDALALELETLGKRCADVLEEKSILETSFSNVNYELQELRVKYKDSEESSRSYLADNTALLAEKHKLLSQLESTAVSLKFLEDKHADLRDNHGSLLSEKVLLCNQVKNMQDQLGIKNEQHEALLKLHQMQVNDYEEMVSSLQDKIRHMDQMLEHEQQKCADASISTLILENSLVDARDKNLALFNECQKFIQATDSAEVLIAQLKEEARKEEEDKKALLNRNEKLRDGISEQIKVLNICKDLGPTDVVHDEIMLQTMSRETFNHVKHKEETEERNVFMDAELSVLGAILAQTVIGFRALHQQNCELVEEVESGAAELLFLQKENHKLIELNEQLEQRLQLGGNREEMLKIEILGLCKELSGLRQSYQTSQSEICNLTKKYDSLLQEYKVLVEKYNALDDENAAVIAECIKLDLLSSFFHDLTVESASVLVSLDNDMAMLSSVRHELDHEVTMLNRRAKILEMDFQHLKCTLENLLEALGSRLVLSEFDSNTSKIICQELTIECNSSMTQLMQKDDKLRKVDEKVQFLQERNQELCRVLRDLEVAVEDAEGVKVDLEKKITTLTERGAVQDNETRLLREANNTLQVEVGIHKQKEESLMSTFETMRKEAEQHEREITLLVCDTITRSVNTMVLEEQVLEMMMEREVLETRFFTEKDMLMKEISSRDAYVDDLQKRVASMRGENAGLMAELAAYLPLVASLSDQIRALEELEDGTLLLSELNKEGKLEFVQKDRHVPESQDDSSGALKLRSLIARVEALHVVILDAKGRRDKEFTESVAQLEAANMEIQELKARKGSNAKEECTEDDRQKYDADNSKGKHVQIMKDIELDQVSTCALYGTGATIYPLGGDANVELDDEMLQLWETAERDCKNQTAKSSSSENDIQAVEEVKSEYPSFELARGRDPGIDRLEISAVSLEPQQLWSKNVLDKLASDAQRLSIVQASIEEIKQKMVGASKGKSTVSSEYSSIRAQLQEIDGSVLEQIDFNCNVTKKAENYPAFEVSAELEGYSSRRKISEQVQKGSEKVAKLELELQKIQYVLLKLEEEHEYKRVKAPEKRSRVLLRDYMTARKDKNDAGQKTKKKRIPFCGCVRIKSRTEP >ORUFI03G04280.1 pep chromosome:OR_W1943:3:2928496:2933523:-1 gene:ORUFI03G04280 transcript:ORUFI03G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSAANGGGGGTARVPMPPARPFLETLGGNMKETFLPDDPFRVVRRERGCGRRAAAALRYVFPFMEWAPSYTLGTLKSDLIAGITIASLAIPQGISYAKLANLPPVLGLYSSFVPPLVYAMMGSSRDLAVGTVAVASLLIGSMLSEEVSAAEDPALYLHVALTATFFAGVFQALLGVFRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGMFGLDHFTTATDLVSVMRSWRWESVVMGCGFLFFLLITRFFSKRRPRFFWVSAAAPLASVIIGSLLVYLTHAENHGIQVIGYLKKGLNPPSATSLNFSSPYMMLALKTGIITGVIALAEGIAVGRSFAMFKNYHIDGNKEMIAFGTMNIVGSLTSCYLTTGPFSRSAVNYNAGCKTAMSNVIMSVAVMITLLFLTPLFHYTPLVVLSAIIMSAMLGLIDYPAAVHLWQVDKVDFCVCLGAYLGVVFGSVEIGLVVAVGISILRVLLFVARPRTTVLGNIPNTMIYRRMDQYTAAQRVPGVLVLRVDSPIYFTNASYLRERIARWIDDEEDQCKEKGEMQIQYVVLDMGAVGSIDTSGTSMLDELRKTLDRRGLQIVLANPGSEIMKKLDSSKVLEAIGHEWIFPTVGEAVAECDFVMHSQKPGMVMGSGAPHENLV >ORUFI03G04290.1 pep chromosome:OR_W1943:3:2957187:2959059:1 gene:ORUFI03G04290 transcript:ORUFI03G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFLAGGKKGGKKGEAMAAALPGEAAKEKRWSFRRPVHGEKAAAAADGVVVGEAEAGFDLSASESEFDQKRHAMAVAVATAAAADAAVAAAHAAAAAVRLSSRKAHQLPASAVEEAAAVRIQATFRGYLARTALCALRGIVKLQALVRGQLVRKQATATLRCMQALLAAQSQLRAQAQRVRALHEHHRTPPRPRPPSPPQHPRHRRSYEMDRSCEENAKIVEVDSGAGEPARRGGEYGHHGRWSPAPSAMTEVMSPRAYSGHFEDMAFAATAHSSPHHASASSELLCCPSYMANTESSRAKARSQSAPRQRTDSLERQARPPASGGGGGGGGGAKMQRSSSSHAAAAQRGAQFPWPVIKLDTSSASLKDSECGSTSSVLTAATVYSRTRSLVGFEVSYDFRDIVHVFF >ORUFI03G04300.1 pep chromosome:OR_W1943:3:2961831:2966491:1 gene:ORUFI03G04300 transcript:ORUFI03G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARTRFAGGGGAAVVLLLIVASTVATRAAVADDFFSPLSPLLAPVIGSMCKTVACGKGNCTAASGFPGYRCECEPGWKQMHVGDQASFLPCVIPNCSIDRACSNTIAPAPAPLPSPKNFSLPTDPCQLAYCGSGGTCKNGTGLSYHCECSEGFSNLLNITTMPCFQNCSIGADCASIGLSPSSNSSSSPAPPGSAGISNNGNAPAYGAISHKILLPLLILGSLMYQRRSIDHKNKLATGGAARGGGGGGIFVGATTGGRVQCAAHEGS >ORUFI03G04300.2 pep chromosome:OR_W1943:3:2961831:2966491:1 gene:ORUFI03G04300 transcript:ORUFI03G04300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARTRFAGGGGAAVVLLLIVASTVATRAAVADDFFSPLSPLLAPVIGSMCKTVACGKGNCTAASGFPGYRCECEPGWKQMHVGDQASFLPCVIPNCSIDRACSNTIAPAPAPLPSPKNFSLPTDPCQLAYCGSGGTCKNGTGLSYHCECSEGFSNLLNITTMPCFQNCSIGADCASIGLSPSSNSSSSPAPPGSAGISNNGAISHKILLPLLILGSLMYQRRSIDHKNKLATGGAARGGGGGGIFVGATTGGRVQCAAHEGS >ORUFI03G04310.1 pep chromosome:OR_W1943:3:2970958:2971413:-1 gene:ORUFI03G04310 transcript:ORUFI03G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRGRRRRVPVAASPLLILLVFLLAASLGMGRELGVGFFAFHACSDALDRVPAVVFAGACSSARKRSGDGGGAVAEEVYYSSWGSAVAVAGRRRLVGPGSSPPTCRSRCGGCHPCRPVHVAIQPGRSFPLEYYPEAWRCKCGDKLFMP >ORUFI03G04320.1 pep chromosome:OR_W1943:3:2984952:2988552:1 gene:ORUFI03G04320 transcript:ORUFI03G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQFQDGKEEVIEAWYMDDSEEDQRLPHHREPKEFIPLSKLSELGILSWRLNADDWENDENLKKIREARGYSYMDICDVCPEKLPNYEAKLKNFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRVAVKKGGMIVLPAGMYHRFTLDSDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYVEKIINRGGTQAVEAR >ORUFI03G04330.1 pep chromosome:OR_W1943:3:2989384:2991732:1 gene:ORUFI03G04330 transcript:ORUFI03G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMMPGMVKEEWPPSSPEEGEAPRPMEGLHEVGPPPFLTKTFDLVADPATDGVVSWGRAGSSFVVWDPHVFAAVLLPRFFKHNNFSSFVRQLNTYFLVRTNYLNKRSHFYSLRFQGFRKIDPDRWEFANDGFLRGQRHLLKMIKRRRPLSYLPGSQQALGTCLKVGQFGLDEEIDRLKRDKNILLAEVVKLRHKQQSTKANMRAMEERLQHAEQKQVQMMGFLARAMQNPDFFHQLIHQQDKMKGLEDTFSKKRTRSIDIVPFLNPGEVSQGDQLESTLLFDPRPFAELNDEPAKSELENLALNIQGLGKGKQDVNRTRNQPRNQASNETELTDDFWEELLNEGARDDAGIPGMERRRPRYVDALAQKLGYLSNSSQK >ORUFI03G04340.1 pep chromosome:OR_W1943:3:2998687:3003885:1 gene:ORUFI03G04340 transcript:ORUFI03G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQQQQNAGGGGGDNASPCIVLDGPIIVGAGPSGLAVAATLRQHGVPFTVVERSGGVADLWTNRTYDRLRLHLPKVFCELPHVAFPPDFPTYPTKHDFLRYLHSYAARFAIAPLLRRTVTRAWYDHPASLWRVTTTTTSSSATSVITEYASPWLVVASGENAEVVVPKMKGRERFAGEALHSSEYRSGERFRGMRVLVVGCGNSGMEMCLDLCQHGAMPFMSVRSGVHVLPREMFGASTFGIAMKLLRWLPVKMVDRFLLLVARMVLGDTEKYGLKRPKLGPLEIKNITGKSPVLDVGAWSLIKSGNIKIVPEVESFSGNGARFVDGNEMAFDAVIFATGYRSNVPSWLQEDGELFTEEGKLRSSGSSSEWRWRGPNGLYCVGFSGRGLLGAGADALRAAADIAGRWQETQQAAANISSV >ORUFI03G04340.2 pep chromosome:OR_W1943:3:2998687:3004086:1 gene:ORUFI03G04340 transcript:ORUFI03G04340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQQQQNAGGGGGDNASPCIVLDGPIIVGAGPSGLAVAATLRQHGVPFTVVERSGGVADLWTNRTYDRLRLHLPKVFCELPHVAFPPDFPTYPTKHDFLRYLHSYAARFAIAPLLRRTVTRAWYDHPASLWRVTTTTTSSSATSVITEYASPWLVVASGENAEVVVPKMKGRERFAGEALHSSEYRSGERFRGMRVLVVGCGNSGMEMCLDLCQHGAMPFMSVRSGVHVLPREMFGASTFGIAMKLLRWLPVKMVDRFLLLVARMVLGDTEKYGLKRPKLGPLEIKNITGKSPVLDVGAWSLIKSGNIKIVPEVESFSGNGARFVDGNEMAFDAVIFATGYRSNVPSWLQEDGELFTEEGKLRSSGSSSEWRWRGPNGLYCVGFSGRGLLGAGADALRAAADIAGRWQETQQAAANISSV >ORUFI03G04350.1 pep chromosome:OR_W1943:3:3015459:3016736:1 gene:ORUFI03G04350 transcript:ORUFI03G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKSADKDKDKKKAPVSRSSRAGLQFPVGRIHRQLKSRASAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTSKE >ORUFI03G04360.1 pep chromosome:OR_W1943:3:3024209:3026889:1 gene:ORUFI03G04360 transcript:ORUFI03G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAAAGQIDPSPGAPAKSRLKRLFERQLLRVSPAERLPSVAGVGEKDESSEPSSVCLDGMVRSFLEDGVGVERPAGAARCCNCFHGGEASDDDDDGPAAAEAAATSDAAETIKGLVHCASLRERNLLADVSTLVERHRAAGARKRDLLRLLADSLRAAGHDAAVCISRWDKSSSHPKGEHAYLDVLLPPASDRAERERILVDVDFRSEFEVARPTKAYRAVLQRLPSVFVGKEDRLRLLVAAAADAARASLKKRGLHLPPWRKPEYMRAKWLSPYERDVPSPQQPDASAGELAVDGEGGGAWTQ >ORUFI03G04370.1 pep chromosome:OR_W1943:3:3047864:3052024:1 gene:ORUFI03G04370 transcript:ORUFI03G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRELLRTVTQAARNHARTLYHRLVGRHLPRILAVTLLLAAARAGGAATTTLDALARENARALVAVACCCAAAAYAYAMSRPRPVYLVDLAGYKPAASHEATRAESIRRFGLAGDFTGESMAFQRRMMERSGLGEATHFPASLFALPVDMCLRTAREESEAVVFGAVDELLAKTGVPPADVGVVIVNSSLFSPTPSFTSLVVNRYRLRHDVVTHNLSGMGCSAGIIAIDLAKHLLQVQINTTHHACMQISTQPTVVTSSPMQNVQRSTENITLNAYMGNYRPMLVTNTLFRMGGAAVLLSNRRAERRRAKYQLMHTVRTHRGGASDRSYACVTQEEDGAGNVGVSLSKELMSVAGDALRTNITTLGPLVLPLSEQLRFLATVVLRRVFGHAAGVKPYLPDFTAALDHFCIHAGGRGVLDELERSLKLSAWHMEPSRMTLYRFGNTSSSSLWYELSYCEAKGRIRRGDRVWQIAFGSGFKCNSAVWKALRTVDGGAGRDAGAWAQDIDALPVHVPKVVPIVDDDDGANGGDGDRHDAASHVRPE >ORUFI03G04380.1 pep chromosome:OR_W1943:3:3052967:3059002:1 gene:ORUFI03G04380 transcript:ORUFI03G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPCDCFLHATAPPLNPPSSLAAAAPGARWRDSNLSFQSSAVSAPARNVETSPNLVVPCTVHTQAAERKKSGRWVKYGGSIPAMLEALERNEDIGEALRPWRDTMSNRERTIILKEQKDWRRAVEIFNWFRRRRRHEVNVIHYNVVLCVVGRARRWDLVARLWHEMHSGGVAPDNSTYGTLIDVHCKGGRERMALLWLGDMIKRGLLPDEITMSIVLQVHKKAGEYEKAELFFKRWSLESDVKMKGHPCYSLYTYNTLIDTYGKAGQLEKVSDTFNQMLREGVSPNVVTFNTMIHVWGKHRRMEQVASLMRTMEEFQCLPDTRTYNILISLYREINDIDVAEYYFRKMKAENLLPDVVSCRTLLYGYSIKGMITEAQALLKEMYERNMVIDEYTQSAVTRMYVDAGMLEQAWRWFEKFNYQLNSECFSANIDAFGERGHILLAEKAFMCCIKRKMLSTCVCNVMIKAYGLVEKLDEACEIADGMERYGILPDYLTYSSLIHLMSTAKLPEKALYYLRKMQAAKLLIDCVPYSVVISCFAKNGNLHMVDCLFREMITSGIQADTYVYSILIDAYAEVGDVQKAEAYFGLLKKAGLCESATIYNSLIKLYTKVVYLAEAQKTYKLLKSLNADTNLYASNCMIDLYSDHCMVKEAREIFENLKVTGKANEFSHAMMVCLYKKIARFDEAHRISKEMQASGFLTQALSYNSVIQMYVSGGRMEDALKIFQKMLASNTPPNDATFKALKIILVKRGVSNNDIRRLELLRKNRIHDCLRHWYRMLCMAVRSGGSSSRRISDNSALRTHIFDIGNSKSGKRNTRKHVTS >ORUFI03G04380.2 pep chromosome:OR_W1943:3:3052967:3056830:1 gene:ORUFI03G04380 transcript:ORUFI03G04380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPCDCFLHATAPPLNPPSSLAAAAPGARWRDSNLSFQSSAVSAPARNVETSPNLVVPCTVHTQAAERKKSGRWVKYGGSIPAMLEALERNEDIGEALRPWRDTMSNRERTIILKEQKDWRRAVEIFNWFRRRRRHEVNVIHYNVVLCVVGRARRWDLVARLWHEMHSGGVAPDNSTYGTLIDVHCKGGRERMALLWLGDMIKRGLLPDEITMSIVLQVHKKAGEYEKAELFFKRWSLESDVKMKGHPCYSLYTYNTLIDTYGKAGQLEKVSDTFNQMLREGVSPNVVTFNTMIHVWGKHRRMEQVASLMRTMEEFQCLPDTRTYNILISLYREINDIDVAEYYFRKMKAENLLPDVVSCRTLLYGYSIKGMITEAQALLKEMYERNMVIDEYTQSAVTRMYVDAGMLEQAWRWFEKFNYQLNSECFSANIDAFGERGHILLAEKAFMCCIKRKMLSTCVCNVMIKAYGLVEKLDEACEIADGMERYGILPDYLTYSSLIHLMSTAKLPEKALYYLRKMQAAKLLIDCVPYSVVISCFAKNGNLHMVDCLFREMITSGIQADTYVYSILIDAYAEVGDVQKAEAYFGLLKKAGLCESATIYNSLIKLYTKVVYLAEAQKTYKLLKSLNADTNLYASNCMIDLYSDHCMVKEAREIFENLKVTGKANEFSHAMMVCLYKKIARFDEAHRISKEMQASGFLTQALSYNSVIQMYVSGGRMEDALKIFQKMLASNTPPNDATFKALKIILVKRGVSNNDIRRLELLRKNRIHDCLRHWYRMLCMAVRSGGSSSRRISDNSALRTHIFDIGNSKSGKRNTRKHVTS >ORUFI03G04390.1 pep chromosome:OR_W1943:3:3059395:3061655:1 gene:ORUFI03G04390 transcript:ORUFI03G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLPWFVTVSSSCISTWLCCQLLMPSVRSRCQYWLDRMLGAILLPLLDSTRLFIQLDFVCSSSNCQTKLCVSNYRCEVSLYISFLTFLAVTSSELEYTPALTLSQNIINT >ORUFI03G04400.1 pep chromosome:OR_W1943:3:3062097:3071827:1 gene:ORUFI03G04400 transcript:ORUFI03G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLDAAAVSSPPRAPAARRCLRPALPLALRFHAFPGQVRVYRGGGIGVGVRSAGHLPSKRGLVRVFDSAMGMNEKVTNGNLEQPTTSTSGNNPSFPAEGNFNVVTVVSITFCVLHKIVIGQMQLMTKFLPWMSHNITSLPLACISDPMKKPVPLKLDVSFPQLPDIRWSISRLYYLFNSQLERNIALKNQQSLEECFWEAWACLISSSTHLRQKTRIERVLGFFLAIWGILFYSRLLSATTEQFRIQMHKVREGAQQQVIEDDHIIICGVNSHLPSILNQLNKFHESSIRLGTATARKQRILLLSDLPRKQIEKLGDSFAKDLNHIDVFTKSCSLSLTKSFERAAANKAKSIIILPAKNERYEVDTDAFLSLLALQSLPQIASIPTIVEASNSTTCDLLKSITGLNVQPVEMAASKLFVQCSRQKGLIKIYRHLLNYHKNVFNLFSFREVVGMKYVDVRRRIPDAVVCGIFRSGMMHFHPCEDEVLTETDKLLLIAPVSWRRRAQSTFSNSPNGAQNSSHYSESTEGQRSSSMALEVNETRLNSIRKRPSKTLSKSNDYTLGPREHVLIVGWRPKVTDMIREYDNYLGPGSVLEILSETPIKERSSIVNPLMQKQLKNIKVNHQVGCPMNYDTLKEAIIKFKKSRKHDQNVPFSVVVISDKDWLGGDTAQVDKQLAYTLLLAENICQKHDIKVEHLVSEIVDTGLGKQMSRIKPSLSFIGAEEVMSLVTAQVAGSSELNEVWKDILNAEGDEIYIKEIGFYMKEGEKISFSELAERAILRREVAVGYVKGKKQYINPTNKLELLSFEMTDQLIVISEFEGQNTRMRKMTASANGDTDETSGLTTPRSCEVPLCSSYENGRNSPSFRRMEQHKHWCVNRLLERSNHSLEPLVQAFLLRLSKTIKTKSFQQLYAEPVDMTEEGIGMMLLFDGCFILHFLLRHDPNKGAEHEYWTKLDAGLLDHEYETLQWERPWEWSLVAIDMLLLENQIPFVAVRILFDILKTEHDKAVDLTACARNMFNKYLPAGMRTSTRPIRCQDVRCLLQLLYWSLLPNPKLHSDLMKPPPKPPRTGIDPAKKLDTDGVRITRRQQWYWWPLSHFQEPFTFLDIVFSHGKVQIPQLEVSDASIQLLQNLIAFEKCYQGTTSHVANYAAFMDALNSDHHDTELLRKRSIFDVQFTPAQPELSLRRRCKQDVDPSSENYLSRMMVDVVLYKEARASRKKTQTPMSDTAFFAVLAVTAYVLLAFCWYIVS >ORUFI03G04400.2 pep chromosome:OR_W1943:3:3062097:3072043:1 gene:ORUFI03G04400 transcript:ORUFI03G04400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLDAAAVSSPPRAPAARRCLRPALPLALRFHAFPGQVRVYRGGGIGVGVRSAGHLPSKRGLVRVFDSAMGMNEKVTNGNLEQPTTSTSGNNPSFPAEGNFNVVTVVSITFCVLHKIVIGQMQLMTKFLPWMSHNITSLPLACISDPMKKPVPLKLDVSFPQLPDIRWSISRLYYLFNSQLERNIALKNQQSLEECFWEAWACLISSSTHLRQKTRIERVLGFFLAIWGILFYSRLLSATTEQFRIQMHKVREGAQQQVIEDDHIIICGVNSHLPSILNQLNKFHESSIRLGTATARKQRILLLSDLPRKQIEKLGDSFAKDLNHIDVFTKSCSLSLTKSFERAAANKAKSIIILPAKNERYEVDTDAFLSLLALQSLPQIASIPTIVEASNSTTCDLLKSITGLNVQPVEMAASKLFVQCSRQKGLIKIYRHLLNYHKNVFNLFSFREVVGMKYVDVRRRIPDAVVCGIFRSGMMHFHPCEDEVLTETDKLLLIAPVSWRRRAQSTFSNSPNGAQNSSHYSESTEGQRSSSMALEVNETRLNSIRKRPSKTLSKSNDYTLGPREHVLIVGWRPKVTDMIREYDNYLGPGSVLEILSETPIKERSSIVNPLMQKQLKNIKVNHQVGCPMNYDTLKEAIIKFKKSRKHDQNVPFSVVVISDKDWLGGDTAQVDKQLAYTLLLAENICQKHDIKVEHLVSEIVDTGLGKQMSRIKPSLSFIGAEEVMSLVTAQVAGSSELNEVWKDILNAEGDEIYIKEIGFYMKEGEKISFSELAERAILRREVAVGYVKGKKQYINPTNKLELLSFEMTDQLIVISEFEGQNTRMRKMTASANGDTDETSGLTTPRSCEVPLCSSYENGITRIPEETRLRDPEAYHPKAVCIGPYFHSGRNSPSFRRMEQHKHWCVNRLLERSNHSLEPLVQAFLLRLSKTIKTKSFQQLYAEPVDMTEEGIGMMLLFDGCFILHFLLRHDPNKGAEHEYWTKLDAGLLDHEYETLQWERPWEWSLVAIDMLLLENQIPFVAVRILFDILKTEHDKAVDLTACARNMFNKYLPAGMRTSTRPIRCQDVRCLLQLLYWSLLPNPKLHSDLMKPPPKPPRTGIDPAKKLDTDGVRITRRQQWYWWPLSHFQEPFTFLDIVFSHGKVQIPQLEVSDASIQLLQNLIAFEKCYQGTTSHVANYAAFMDALNSDHHDTELLRKRSIFDVQFTPAQPELSLRRRCKQDVDPSSENYLSRMMVDVVLYKEARASRKKTQTPMSDTAFFAVLAVTAYVLLAFCWYIVS >ORUFI03G04400.3 pep chromosome:OR_W1943:3:3062097:3072043:1 gene:ORUFI03G04400 transcript:ORUFI03G04400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLDAAAVSSPPRAPAARRCLRPALPLALRFHAFPGQVRVYRGGGIGVGVRSAGHLPSKRGLVRVFDSAMGMNEKVTNGNLEQPTTSTSGNNPSFPAEGNFNVVTVVSITFCVLHKIVIGQMQLMTKFLPWMSHNITSLPLACISDPMKKPVPLKLDVSFPQLPDIRWSISRLYYLFNSQLERNIALKNQQSLEECFWEAWACLISSSTHLRQKTRIERVLGFFLAIWGILFYSRLLSATTEQFRIQMHKVREGAQQQVIEDDHIIICGVNSHLPSILNQLNKFHESSIRLGTATARKQRILLLSDLPRKQIEKLGDSFAKDLNHIDVFTKSCSLSLTKSFERAAANKAKSIIILPAKNERYEVDTDAFLSLLALQSLPQIASIPTIVEASNSTTCDLLKSITGLNVQPVEMAASKLFVQCSRQKGLIKIYRHLLNYHKNVFNLFSFREVVGMKYVDVRRRIPDAVVCGIFRSGMMHFHPCEDEVLTETDKLLLIAPVSWRRRAQSTFSNSPNGAQNSSHYSESTEGQRSSSMALEVNETRLNSIRKRPSKTLSKSNDYTLGPREHVLIVGWRPKVTDMIREYDNYLGPGSVLEILSETPIKERSSIVNPLMQKQLKNIKVNHQVGCPMNYDTLKEAIIKFKKSRKHDQNVPFSVVVISDKDWLGGAYTLLLAENICQKHDIKVEHLVSEIVDTGLGKQMSRIKPSLSFIGAEEVMSLVTAQVAGSSELNEVWKDILNAEGDEIYIKEIGFYMKEGEKISFSELAERAILRREVAVGYVKGKKQYINPTNKLELLSFEMTDQLIVISEFEGQNTRMRKMTASANGDTDETSGLTTPRSCEVPLCSSYENGITRIPEETRLRDPEAYHPKAVCIGPYFHSGRNSPSFRRMEQHKHWCVNRLLERSNHSLEPLVQAFLLRLSKTIKTKSFQQLYAEPVDMTEEGIGMMLLFDGCFILHFLLRHDPNKGAEHEYWTKLDAGLLDHEYETLQWERPWEWSLVAIDMLLLENQIPFVAVRILFDILKTEHDKAVDLTACARNMFNKYLPAGMRTSTRPIRCQDVRCLLQLLYWSLLPNPKLHSDLMKPPPKPPRTGIDPAKKLDTDGVRITRRQQWYWWPLSHFQEPFTFLDIVFSHGKVQIPQLEVSDASIQLLQNLIAFEKCYQGTTSHVANYAAFMDALNSDHHDTELLRKRSIFDVQFTPAQPELSLRRRCKQDVDPSSENYLSRMMVDVVLYKEARASRKKTQTPMSDTAFFAVLAVTAYVLLAFCWYIVS >ORUFI03G04410.1 pep chromosome:OR_W1943:3:3072362:3077218:1 gene:ORUFI03G04410 transcript:ORUFI03G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATLPFSCSSTLQTLTRTIPLRLRLHRRRFLHHLPSLSALPRLPLPRPPLLPHARRQVSASAAPNGASSEGEYDYDLFTIGAGSGGVRASRFASTLYGARAAVCEMPFATVASDDLGGVGGTCVLRGCVPKKLLVYGSKYSHEFEESHGFGWVYETDPKHDWNTLIANKNTELQRLVGIYKNILNNSGVTLIEGRGKIVDPHTVSVDGKLYTARNILIAVGGRPSMPNIPGIEHVIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSEVHVFIRQKKVLRGFDEEVRDFIAEQMSLRGITFHTEQSPQAITKSNDGLLSLKTNKETIGGFSHVMFATGRKPNTKNLGLEEVGVKLDKNGAIMVDEYSRTSVDSIWAVGDVTDRVNLTPVALMEGGAFAKTVFGDEPTKPDYRAVPSAVFSQPPIGQVGLTEEQAIEEYGDVDIYTANFRPLRATLSGLPDRIFMKLIVCATTNKVVGVHMCGEDAPEIIQGVAIAVKAGLTKQDFDATIGIHPTSAEEFVTMRNATRKVRRSTTDEVESKDKVVTQN >ORUFI03G04420.1 pep chromosome:OR_W1943:3:3077896:3080052:-1 gene:ORUFI03G04420 transcript:ORUFI03G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLARPRHIALAGPKHATCRRRRPRPALPQISERTGCRRRSPERSGSSSAGWLEHYVGGNYFPNEKRRHLYLVLDDWSRGYSIRKIDLSVGNDGDGEHSMPPAIFSFEAPRAGPKYFAGAFDSKILAMQPVDPQFSFNPMAGIPIYDVRMRSLMVGPRQRPDPVDPIYIPVGGRLFALSVGSFQLLYPPPDDESDDQEEEEDFVWGWHALPNPPFQHEHVTSYAVHRDGRTIFVSIGGESSATFSFDTAESVRDGCMWKNHGQWQLPFSGRAYFIAELDAWVGLSRKPGTTTSWRICSMDVISDDCENGQAVKYTREELATTVSDHELVTGVTLVSMGGGSKFCVVECCLDHSVSVPFIQLVTFSMMYGKNGELTTGNSRQFREYKNIPQGVSSEMLENPVAFWM >ORUFI03G04420.2 pep chromosome:OR_W1943:3:3077896:3080052:-1 gene:ORUFI03G04420 transcript:ORUFI03G04420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLARPRHIALAGPKHATCRRRRPRPALPQISERTGCRRRSPERSGSSSAGKLGVGGGWSIMLGWKGNYFPNEKRRHLYLVLDDWSRGYSIRKIDLSVGNDGDGEHSMPPAIFSFEAPRAGPKYFAGAFDSKILAMQPVDPQFSFNPMAGIPIYDVRMRSLMVGPRQRPDPVDPIYIPVGGRLFALSVGSFQLLYPPPDDESDDQEEEEDFVWGWHALPNPPFQHEHVTSYAVHRDGRTIFVSIGGESSATFSFDTAESVRDGCMWKNHGQWQLPFSGRAYFIAELDAWVGLSRKPGTTTSWRICSMDVISDDCENGQAVKYTREELATTVSDHELVTGVTLVSMGGGSKFCVVECCLDHSVSVPFIQLVTFSMMYGKNGELTTGNSRQFREYKNIPQGVSSEMLENPVAFWM >ORUFI03G04430.1 pep chromosome:OR_W1943:3:3081717:3084083:1 gene:ORUFI03G04430 transcript:ORUFI03G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQPKKRSVIESGDGGLGLGLALFISNGEDLGPIIRHGFDSGKPEALMQNLRSIVKKKEVEIEELCRLHYEDFILAVDELRGVLVDADELKGMLTGENIHLQKVSSATLLNLDELFELYSIKKNIGEAITTLKICVKVISLCMACNGYIAEAKFHPALKTLDTIEKGYLKNIPLKLLKKVVAKHIPLIKMHIEKKVCSEFNDWLVHIRRMAKQIGQVSISQASMARQKDEEMRARKREAEAHSDARSDEHVYTLDVENTDEESTLNFDLTPVYRAHHMHICLGIGEKFRDYYYKNRLMQLNLDMQISTSQPFLESHQPLLAQVAGFFIVEQRVLRSADGLLSESQVESTWETAIAKITSILEDQFARMSIASHLLLVKDYVTLLGTTVSKYGYQIAQLIQVIAKYKDKYHQLLLIESRKQIDDILANDSYEQMIIKKEYEYNMNVTAFHFEPDDVVPEFPYVAPFSSGVPDICRIVRSFIGDSVSYFSYSARTNIYEIVKGYLDRLLIEVLNDSLLNMVYARSLAMSQMMQLAGNISVLEQSCDMFLLYSAQLCGIPKRIAQRSHSGLTAKAVLKASQNAAYNSLINLANFKIDEFMVLLDDVNWIVEEAPDNPNEYMNEVLIYLETLVSTAQEILPLEALYKMVSGAMSHISDSIMTTLLNEGVKRFTVNAVMGLDIDLKLLEAFAEEKFYRTGLVDLGKETTFADCLVEIRQLVNLLLSSQPENFMNPVIRGRNYGSLDYKKVAIVCDKYKDFADGLFGSLSNRNKQDARKRSMDVLKRRLKDFS >ORUFI03G04440.1 pep chromosome:OR_W1943:3:3088706:3089883:-1 gene:ORUFI03G04440 transcript:ORUFI03G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAMVRVVLVAVLLMQCCNAIMAARLLEGDLGSWLQGQGGVAGELILQVLKGGSPP >ORUFI03G04450.1 pep chromosome:OR_W1943:3:3091875:3094616:-1 gene:ORUFI03G04450 transcript:ORUFI03G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAMVRVVLVSVLLMQCCNAIMAARLLEGDLGSWLQGQGGVAGELILQLNSIMASSSAMVRVVVVAVLLMQCCNAIMAARLLEGDLFGSSWLQGLQGGVATGELILQVLDKGTSDSEGNGCHQGPGGGSGASCHQP >ORUFI03G04460.1 pep chromosome:OR_W1943:3:3097613:3097852:-1 gene:ORUFI03G04460 transcript:ORUFI03G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVVVVAVLLMQCCNAIMAARLLEGDLFGSSSWLQGQVGAAGELILQVLDNGGSPPGGNDCHQGPNPGGSGSGKCWTP >ORUFI03G04470.1 pep chromosome:OR_W1943:3:3099943:3100200:-1 gene:ORUFI03G04470 transcript:ORUFI03G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAMVVRVVVVGVLLMQCCNAIMAARLLEGDLFGSSWLQGEGGVAAGELILQVLKVVPSGPPGNDCHQGGAGSGGQCYQPPK >ORUFI03G04480.1 pep chromosome:OR_W1943:3:3103567:3108207:-1 gene:ORUFI03G04480 transcript:ORUFI03G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASAKLVRALVVAAVLMQCCGVLLAARPLAAAGGGGGEEMFVVTPEILKTTGGGATTTPTGNTCKEGPTPPSSPSPPCIGTSAKTMVRAVMVAAVLLQCCGVLLAARPLKGDVVAAAGGGGGGGGEMFVMQILKTSTPTTPVGNGCKQGETGGNGAPCHGSG >ORUFI03G04490.1 pep chromosome:OR_W1943:3:3109515:3112755:-1 gene:ORUFI03G04490 transcript:ORUFI03G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLNPLQTAAMEKIGSGGLLAMPEININRVICIWLADRYDVKSQTILIQDKSLPITLWDVQCILDIPAEGPVISKLPPLITEDYKIYSRYKDGESKNISLISLQETILEESKQSEINEEDFIRRMMFFTIGYILCPTTKAHVSSSYLPLLRDVTKIHTINWASLTREHLCDCLKEFKGGLKNIEGNLPLLQCWYWEHVKAVNSEFCNINYNGRQIPLISFWNAANVRLRNKYDCRTAEGGGGIEEAPFIVTGDEATETDDNNKTIAERLRGQFGRTVKPSYQTQSDFIFYKHNKKTFEPKIQISNSGSANLGLTLKDKITINYILQSEKTKVLASIQDIELSRAHLLPLVIEAYTELINDNQQGHTRQYGSALIEKETQVQVWCAVGKTRGKPSKRYIESRSTFAQRYMVHDMVFLPINRDKSHWYVIVINARCQEIQILDSMQMQPQWYNASEDIKNLINGVAKYIDYTVKERPVPTSWTDTNVAKWPLCPKSVPQQKDSWSCGLNALKFMETWDGKELTSDFLNMEPNEVFRLKVAANLINTTLNIVLAVHDDIRRLHSENSSTSEVTMKL >ORUFI03G04500.1 pep chromosome:OR_W1943:3:3113520:3114371:-1 gene:ORUFI03G04500 transcript:ORUFI03G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSKKVRNNEAAMADGAVKEYRHGLCMDVPMYIEDSEYCGEELGTRLTCRHGLTPKRRTAWEGPDTGRRFLGCPLEEEDQCDKFFWVDEPWHPRVQKTLEQMWHAVERATKLTGSKQYDWCCFVRNTKAEEEKMKLELDTADHISELELAYKKINEKEKRARRYSCVVNGIVCSNCHYVSEN >ORUFI03G04510.1 pep chromosome:OR_W1943:3:3114787:3115936:1 gene:ORUFI03G04510 transcript:ORUFI03G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGQAVLGTAGASAARRCGRLHGCRRARGGTASSEQCSVPTRVAETFAVQFSERYINKYIGSPIDDLMVSMAGTTQSYQMRLKRSKDSSAMLTTGWNQLIDAKAFDEGDVCLFHFKEVDDVLVLKVHVLK >ORUFI03G04520.1 pep chromosome:OR_W1943:3:3121268:3121969:-1 gene:ORUFI03G04520 transcript:ORUFI03G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKLVMALVAAAVLMQCCGVLLAARPLEGDVAGGGGWRPMQTAGGGGGKMPVMQILQAVPIQPGNG >ORUFI03G04530.1 pep chromosome:OR_W1943:3:3124538:3131591:1 gene:ORUFI03G04530 transcript:ORUFI03G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTLNPRHVLPLPLPRRRAPRPRVLHRPPPPRRRLEGAARPRAVAVAVNEARRRWPPAEGGGEEGKETDLATLGNLCVDVVLSVPQLPPAPREEREAYMERLAASPPDQKFWEAGGNCNLAFAAARLGLRCSTLGHVGEEIYGKFLLDVLEEEGISVVGMLDNSDSGACRNAYETLLCWVLVDPFQRHGFCSRADFSDEPAFSWIRKLPAETKTAIHHSKILFCNGYAFDELFPDVISSAIDCAIDAGTAVFFDPGPRGKSLLHGTLDEQRALEHSLRLSDVLLLTSDEAESLTNIRNPIQAGQELLKRGIRTKWVVIKMGSKGSIMVTKSAVSSAPSFKIDVVDTVGCGDSFTAAIAFGFLHNLPAVSTLTLANAVGAATATGCGAGRNVAHLDKVLQLLRESNINEDDTPWSELIEASSFCSEVSVLSKTAVNSFSDRLVHVPTCNVVSNLLSMLEAVSERSTVQA >ORUFI03G04540.1 pep chromosome:OR_W1943:3:3128480:3130835:-1 gene:ORUFI03G04540 transcript:ORUFI03G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKARARSPDFARQFWPVLCHAFSECSLIIMLFVTAVVSFTATRFARIWSLRPPCILCSRLDRLLHGNTWFSEDLICAAHKLEISQLEYCQSHNKLAHSDDLCERCLLSCAGLDGKPNKLKSMRNKDKVNSQPRSRHTHLCSCCSETFKKTRHTHKLPELANGIVPDDVSTVKERSIDMTSVGHSSDEGSEDLSYGGYSKLNVRHDSESENRISDDDEDEDGNSMIHKATQRSRDFLFHDSQLQPMISDTNSLSMHPSENVVLAEPMNTAPVPVSTAAKTDNVATGTNLVSAAKSSEHIAQGSREISLSNVNVSGNNHDVQPKIVPEQVCAELPKEKTFLVGIEEVDDSAGISGSPDEEVAKGFVASANAGMSSVLDACINRKNSMKSASRRRSNLQSPRWSEIISAKDNSSRTNQEVKTFLSQMSSARGFDGPWSEVAASPRITQIDDKQYDATGSRQFLETNYSNMEPFDVHATSEDEGDTSLEGLKQKVELGKKKMSILYKEFEAERSASAVAASEAMAMINRLQEEKASMHMEALQYLRMMEEQADHDQEAIERLNDLLTEREKEMLDLEAELDNYRRLHEPFGCKFDFTDGDMASGVLDSSDFMRDTMFDFEDEKANILKSLSKLEETLGMSSTDRHNFGGTYDSLQNMSVLHPEHWSGEPVSSQQIDENQSVDSGSCSHLDDGRISSMTSVKHEISLLNTRFTALETDQKFLKQILSSLKCSDDGVQCVQEITAHLRELRRIMTEQRERAVL >ORUFI03G04550.1 pep chromosome:OR_W1943:3:3134897:3145193:-1 gene:ORUFI03G04550 transcript:ORUFI03G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGGGGGAIRVLNVAEKPSVAKSVAEILSRPSGGMRSREGRSRYNRVFEFDYSIGGRACHMLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARKCQWLVLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNTDLHSIVHEQVAHPNWGTYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKKLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQFVPTTLTLDSGMTRPPPLLAEADLLGIGTDATMHDHIKKLLDRCYATKDANTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDARANKVKLFDAMGTFFARSSRPVNETQNSIETVRPCAACNESEMFLKQRPTGEFMVGCRGFPQCRNVVWLPRSLSGAAVTDQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMELSRFGSHSQTATPARNQSQTASGVRQGSSRQDLHTSFHPAVQFTNGQTPVVNPQGFRSTHTQSSGNASGQVQCTSCREPCVLRTANTEANRGRKFYKCQNLACGFFAWEDDVENSAPRGRGGRGRGGRSSSRQSSASASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVYGSCFICGDPTHFANVCPNLGR >ORUFI03G04550.2 pep chromosome:OR_W1943:3:3134897:3145193:-1 gene:ORUFI03G04550 transcript:ORUFI03G04550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGGGGGAIRVLNVAEKPSVAKSVAEILSRPSGGMRSREGRSRYNRVFEFDYSIGGRACHMLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARKCQWLVLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNTDLHSIVHEQVAHPNWGTYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKKLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQAGIGTDATMHDHIKKLLDRCYATKDANTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDARANKVKLFDAMGTFFARSSRPVNETQNSIETVRPCAACNESEMFLKQRPTGEFMVGCRGFPQCRNVVWLPRSLSGAAVTDQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMELSRFGSHSQTATPARNQSQTASGVRQGSSRQDLHTSFHPAVQFTNGQTPVVNPQGFRSTHTQSSGNASGQVQCTSCREPCVLRTANTEANRGRKFYKCQNLACGFFAWEDDVENSAPRGRGGRGRGGRSSSRQSSASASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVYGSCFICGDPTHFANVCPNLGR >ORUFI03G04550.3 pep chromosome:OR_W1943:3:3134897:3145193:-1 gene:ORUFI03G04550 transcript:ORUFI03G04550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGGGGGAIRVLNVAEKPSVAKSVAEILSRPSGGMRSREGRSRYNRVFEFDYSIGGRACHMLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARKCQWLVLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNTDLHSIVHEQVAHPNWGTYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKKLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQFVPTTLTLDSGMTRPPPLLAEADLLGCMDKAGIGTDATMHDHIKKLLDRCYATKDANTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDARANKVKLFDAMGTFFARSSRPVNETQNSIETVRPCAACNESEMFLKQRPCRNVVWLPRSLSGAAVTDQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMELSRFGSHSQTATPARNQSQTASGVRQGSSRQDLHTSFHPAVQFTNGQTPVVNPQGFRSTHTQSSGNASGQVQCTSCREPCVLRTANTEANRGRKFYKCQNLACGFFAWEDDVENSAPRGRGGRGRGGRSSSRQSSASASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVYGSCFICGDPTHFANVCPNLGR >ORUFI03G04550.4 pep chromosome:OR_W1943:3:3134897:3145193:-1 gene:ORUFI03G04550 transcript:ORUFI03G04550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGGGGGAIRVLNVAEKPSVAKSVAEILSRPSGGMRSREGRSRYNRVFEFDYSIGGRACHMLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARKCQWLVLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNTDLHSIVHEQVAHPNWGTYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKKLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQFVPTTLTLDSGMTRPPPLLAEADLLGIGTDATMHDHIKKLLDRCYATKDANTRFSPTNLGEALVMGYDEMGSSRPVNETQNSIETVRPCAACNESEMFLKQRPTGEFMVGCRGFPQCRNVVWLPRSLSGAAVTDQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMELSRFGSHSQTATPARNQSQTASGVRQGSSRQDLHTSFHPAVQFTNGQTPVVNPQGFRSTHTQSSGNASGQVQCTSCREPCVLRTANTEANRGRKFYKCQNLACGFFAWEDDVENSAPRGRGGRGRGGRSSSRQSSASASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVYGSCFICGDPTHFANVCPNLGR >ORUFI03G04550.5 pep chromosome:OR_W1943:3:3134897:3145193:-1 gene:ORUFI03G04550 transcript:ORUFI03G04550.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGGGGGAIRVLNVAEKPSVAKSVAEILSRPSGGMRSREGRSRYNRVFEFDYSIGGRACHMLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARKCQWLVLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNTDLHSIVHEQVAHPNWGTYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKKLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQAGIGTDATMHDHIKKLLDRCYATKDANTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDARANKVKLFDAMGTFFARSSRPVNETQNSIETVRPCAACNESEMFLKQRPCRNVVWLPRSLSGAAVTDQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMELSRFGSHSQTATPARNQSQTASGVRQGSSRQDLHTSFHPAVQFTNGQTPVVNPQGFRSTHTQSSGNASGQVQCTSCREPCVLRTANTEANRGRKFYKCQNLACGFFAWEDDVENSAPRGRGGRGRGGRSSSRQSSASASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVYGSCFICGDPTHFANVCPNLGR >ORUFI03G04560.1 pep chromosome:OR_W1943:3:3146020:3147512:-1 gene:ORUFI03G04560 transcript:ORUFI03G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDLKDLPYVKDVSFSHAMIQTDEYCLLRSHNQQNVIDQKETARLLGSLVHPDAPGFAEVKNTVLPCRGCDLAPSNLDA >ORUFI03G04560.2 pep chromosome:OR_W1943:3:3146020:3147512:-1 gene:ORUFI03G04560 transcript:ORUFI03G04560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDLKTDEYCLLRSHNQQNVIDQKETARLLGSLVHPDAPGFAEVKNTVLPCRGCDLAPSNLDA >ORUFI03G04570.1 pep chromosome:OR_W1943:3:3147638:3149584:-1 gene:ORUFI03G04570 transcript:ORUFI03G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRRLAAAAAAETTKRSAAGLAAALGGSGGKPATADLAAAATAAAAAGRASECQSLLLRMSRRRGACRREIVSSLLGSSPTPQPRVFDLLIRTYTQSRKPREAFEAFRLILDHRVPIPAAASNALLAALSRAGWPHLAADAYRLVFSSNSEVNTYTLNIMVHNYCKALEFDKVDAVISEMEKRCVFPDVVTHNVMVDARFRAGDAEAAMALVDSMVSKGLKPGIVTYNSVLKGLCRSGMWDKAREVFKEMDDFGVAPDVRSFTILIGGFCRVGEIEEALKIYKEMRHRGIKPDLVSFSCLIGLFARRGKMDHAMAYLREMRCFGLVPDGVIYTMVIGGFCRAGLMSDALRVRDEMVGCGCLPDVVTYNTLLNGLCKERRLLDAEGLLNEMRERGVPPDLCTFTTLIHGYCIEGKLDKALQLFDTMLNQRLRPDIVTYNTLIDGMCRQGDLDKANDLWDDMHSREIFPNHVTYSILIDSHCEKGQVEDAFGFLDEMINKGILPNIMTYNSIIKGYCRSGNVSKGQKFLQKMMVNKVSPDLITYNTLIHGYIKEDKMHDAFKLLNIMEKEKVQPDVVTYNMLINGFSVHGNVQEAGWIFEKMCAKGIEPDRYTYMSMINGHVTAGNSKEAFQLHDEMLQRGFAPDDKF >ORUFI03G04580.1 pep chromosome:OR_W1943:3:3150670:3162506:1 gene:ORUFI03G04580 transcript:ORUFI03G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPAPTSSRAIGAPIQPTEPHAPLPNTGGEGAPPPARTMPPPSSQAATSTPPAAATPLQRPPAQATAQPSTQRYYVGVQRDKGTGKWAACVVDPSNPTKHRLVGAFPDEHAAALAHDRLDLAFRGGGHRGAGANFRPAFHAVELEFLRLCAATSSPGSHCGLVAGGDKYDEKYSEFLRKIYHGVMDNSPSYKKFFDVILDFFIARAREIGREALEDGGDMLVERFVAMHKNKAVTPRWRAWYRRKVEEAHAKQVDAQQRLRQQAMEEAQAKQVAAQQEAMANKRKVAEAQDDREHKRQELDPPQTQYFHAHEVENKIVMHTSQQLDSRSLVDCGIGHCKRLSGSCEQAQIRIDGFDSDRKMGFCWMDSDSCKRRKHEGGHDSSSRVQSQSSILSRNRILCHQLLEQCDDLKYGSSTNDYKAISMKRLELISILQKLQEVPIQLPYASPLKSSETNRLVQDGRNSSCRNIIDLDSDNDEDYTFANVDNIGANTTVVLVDSDDGDSVASFVDEKSSDSKQNANYIEESVLPEQHAQQQEISMLDNENISSEAQAVKKGKDSMDINDVIYNKSGHEEIGEEEAQAENVQIKGNLKKEIISVASDELACEVMRSQSPTNGNFDQYDNSSTVDELEGLWMDMSLAMACSKTVGSDHNIVPSENSCEQAEDECQHDFLMKDDLGIVCRVCGLIQQRIENIFEYQWKKRKQSYRARPSEHRNSSDADAIDKTSGAILEVVPDALCLHPQHSQHMKPHQVEGFNFLVKNLADENNPGGCILAHAPGSGKTFLIISFVHSFLAKYPAGRPLIILPKGILSTWRTEFLHWQVDDIPLYDFYSSKADKRSEQLKVLNLWEESRSILLLGYQQFACIVSDHTSDTEAIMCQEKLLKVPSLVILDEGHTPRNEETDLLTSLENIRTPRKVVLSGTLFQNHVREVFNILKLVRSKFLKMDKSRAIVNCILSKVDLMGKSARSKNISDKDFFDLVQEHLQKDGNDKMRAVIIQNLRELTADVLHYYQGKLLDELPGIVDFTVFLNMSSKQEHIIKGLDGINKFAKRSRCNAVSLHPCLKNANKADADDGNVTNRKIGSIISGIDINDGVKAKFVHNLLSLSEATGEKVLVFSQYVRSLIFLEKLVSRMKGWKSEVHIFRVTGGSTQDQREQAVHRFNNSPDARVFFGSIKACGEGISLVGASRIVILDVHENPSVMRQAIGRAYRPGQSKMVYCYRLVAADSPEEDDHHTAFKKERVSKLWFEWNELCSSDDFELATVDVSDSEDRFLESPALKQDIKALLKR >ORUFI03G04590.1 pep chromosome:OR_W1943:3:3154611:3158808:-1 gene:ORUFI03G04590 transcript:ORUFI03G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSAAAAVAAARRRGITQDGVRSLGRPAGAVGEGLSRNLSSLSETSTVASSKSSLLQSSFHSNHSFDTRSFLKAARPIAWRITEGFSWTSKITIRDAPTREMPSPHALMEPKKTLASVGLIGCLVCISVSRYREFLCFLFPYLLMTTLLKCKSDIK >ORUFI03G04600.1 pep chromosome:OR_W1943:3:3158826:3160901:-1 gene:ORUFI03G04600 transcript:ORUFI03G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFSSSTNQRDFTGGGGDGGDMSFQHYPPPSNPYSDSSAGGLIPLPASIVSHSHIAHGGGDEPAAFREAATADGGEMGLQTQLLMAHGAAARGHQGGLSLSLGTQVPVSLYQYRPAGMAAASLLSPSQSSPMAGRSAQNSIYVQNSRFLRAARELLDEVVNVRDAIKRKGDKNQGKDSGECKGGDAAGDDKAGSNPQEQESNSAPELSPSERQDLQNKVTALMAMLDQVDRRYRHYHHQMQIVMSSFDAVAGGGAARPYTALALQTISRHFRSLRDAIGAQAQAARRGLGEQDASAQGGGGLSRLRYIDQQLRQQRAMQQFGMMQQPQHAWRPQRGLPESAVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLSRGQVSNWFINARVRLWKPMIEEMYKEEFGAEMDSNSSSENGGGGGGKGKDEAISSEDRDEFQSPSSAAAARHAGVAGQLNNPFKSEAMGGAALDVGVGVVGLSSCLGGAMGTYATGLNLNHHVHHPGAGGTSLLHDALHHHHHGGGGDARFVSYGDMADLGGGGGYDGGSVSLTLGLQHCNNAGPVPAEQQGLLYGSAGDFDYINGSDDRQRFGPASQLLHDFVA >ORUFI03G04610.1 pep chromosome:OR_W1943:3:3166878:3167309:1 gene:ORUFI03G04610 transcript:ORUFI03G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGAQRRAATGDGIKGQGSWAPTESRASAGGSGGRQARPEQLAMATRRRATTAAGVDPRAVEQLRCSLTRHGALLRSNGRAASTSLFGEARITIRKSAAKPKPSAFGSPWYGPNRVIRSTDRGRCMRSPARREEDGGGLRH >ORUFI03G04620.1 pep chromosome:OR_W1943:3:3170075:3176072:-1 gene:ORUFI03G04620 transcript:ORUFI03G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGCLAALLGGAVAVAVLVAVVHCAVTYDKKAVLVDGQRRILFSGSIHYPRSTPEMWDGLIEKAKDGGLDVIQTYVFWNGHEPTPGNYNFEGRYDLVRFIKTVQKAGMFVHLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKNAMQGFTEKIIENEYGPEGKEFGAAGKAYINWAAKMAVGLDTGVPWVMCKEDDAPDPVINACNGFYCDTFSPNKPYKPTMWTEAWSGWFTEFGGTIRQRPVEDLAFGVARFVQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLAREPKFGHLKELHRAVKLCEQPLVSADPTVTTLGSMQEAHVFRSSSGCAAFLANYNSNSYAKVIFNNENYSLPPWSISILPDCKNVVFNTATVGVQTNQMQMWADGASSMMWEKYDEEVDSLAAAPLLTSTGLLEQLNVTRDTSDYLWYITSVEVDPSEKFLQGGTPLSLTVQSAGHALHVFINGQLQGSAYGTREDRKISYSGNANLRAGTNKVALLSVACGLPNVGVHYETWNTGVVGPVVIHGLDEGSRDLTWQTWSYQVGLKGEQMNLNSLEGSGSVEWMQGSLVAQNQQPLAWYRAYFDTPSGDEPLALDMGSMGKGQIWINGQSIGRYWTAYAEGDCKGCHYTGSYRAPKCQAGCGQPTQRWYHVPRSWLQPTRNLLVVFEELGGDSSKIALAKRTVSGVCADVSEYHPNIKNWQIESYGEPEFHTAKVHLKCAPGQTISAIKFASFGTPLGTCGTFQQGECHSINSNSVLEKKCIGLQRCVVAISPSNFGGDPCPEVMKRVAVEAINHIILVMRPEGDLCHRHMPH >ORUFI03G04630.1 pep chromosome:OR_W1943:3:3185182:3195727:-1 gene:ORUFI03G04630 transcript:ORUFI03G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKRTLNTAAAAANPTPPSPPAAGHPDAAAADGGAAVRAVCEKALAALQRGNHAKALRVVKDAVGKHGEGSPLLLRAQGTVLARYAAVLDEPVSRARHQRLALEAARKAVELAPDSIELAHFHAMLLYEIASDTTGYEAASSECNRGMAIQSPTDPAPHSLRLPAPDVEQVKAELSNLLQRSNMTSISLWVKNMNMGYTPEDKVRVFPIRRGGADDSSEVRLLPAAPAPRRPNEIKKANKTPEERRKEIEVRLAAMRLMEQQKHNATSASSSTSQSQSPGDEAPSSSCQSSVSGHRADRRKGGSRKAAASPVSGRMNQVREFWATVPMDRRLAFLSTSISELKSHYATAMHKEKDAASLVSDVLNEAIRFATRSGKWEFLVCGRCEEHFADAESHVHHAMEEHVGVLPPRLNDVVPEEIDDAWAEKLTGSTWRPVDATAALKILEEELADNVGSDRDKDSMSSDIWSTKDKSDTSDSSTSPHNEECESFGAVTREGDRKWPLSDDEERANILERIHSLFKILVKHKNLSLSHLNKVLHITMEELRKMHSASLLLNHSLDESPLCICFLDVSSLRKVLKFLQELMQASGLNDRNTDKDEEVADKDSFPKNRSNLEKVTLDSNSSLLILDGQAFEMRSDRDNVVADPFLSWLYTGPSVEEQLLDWNHMLEVRSDQCTHILHELEKEFSALQNSYEQKHDQLSSEEGLLAVDSLLCEEQRRRDDVDPYPFQGYEELLKKRQEQLELNAEELFSGCRSELHAISTILREVKTAPFRYDETFSGMTSNHRDYDGTEEDEWGFYDFEHSNDSVVQLVVSRLKEHIAMELNKIDARIMRISAVIEQLKLKLGPASVLDYRTIILPLLKSFLRTHLEELVDKDARERSDAASEAFLAELALDAKRNANKVSDTKQSHDKSKDKKKVKDSRKSKDLKDLSWSDQYLVRPDSVDEETSEQSLSTSDNYLNDQEEFRNRLRLEAEERKLEETLEYQRWIEEEAKKKHLAEQHRRTSPGSDGSACLRTDVNLNGDQDKHHCAQNNSHTHLEGINFGDFRFSEVPLQEEHSILRSCDSDLLQTKEKNHNEVHNGLGYPGTRPIASSDVDLIKPTVKVNGVWKNVEYTKATLKANGVGKNAENTKVPTIPSTQKSRKSTSQAHKKYIQGVTGTFPVDDGDDDTRPSIRQSGSPVSRWSSSGKAVDTANHSYQDTKQNQLPLLSYSHRVHGAHSAGRENSSSEKGTSNEKEVYGTGLKNAAGEYNCFLNVIIQSLWHLKRFRDGFLKTSSLHKHVEDPCAVCALYDIFTDLSKASEEQGEAVAPTSLRIALSKSYPNSKFFQEGQMNDASEVLGVIFECLHKSYTSRADCQVKSHEINYIGSWDCASSSCIAHCLFGMDILERMNCQSCRLESRRLKYTSFFHNINASSLRTAKDMFPDHSFDDLLKIVIMNDHLACDPEDVLGWQNNKESVDDISGTLAGISTEIDISTFYRGLDQGSKHSLVSVVCYYGQHYHCFAFEDGQWVMYDDQTVKVVGNWDDVLVMCKKGHLQPQVLFFEAAK >ORUFI03G04640.1 pep chromosome:OR_W1943:3:3196692:3199452:1 gene:ORUFI03G04640 transcript:ORUFI03G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQKRTTLVYSFVARGAVVLADHAEVSGNFASVAAQCLQKLPSTNNRHSYNCDGHTFNYHVHDGFTYCVVATESAGRQLPVGFIERVKEDFSKKYSGGKAKNATANSLKREYGPKLKEHMKYCDAHPEEIDKLAKVKAQVTEVKGVMMQNIEKVLDRGEKIELLVDKTEDLRSQAQDFRKAGTKIRRKMWWENMKMKLIVFGIVVALILVIILTVCRDLNCW >ORUFI03G04650.1 pep chromosome:OR_W1943:3:3200835:3203280:-1 gene:ORUFI03G04650 transcript:ORUFI03G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVVGVARPSSPPAAAHVAYKIPAGDGPYARAKHYQLVEKDLDASIAWFWKAINSGDKVDSALKDMAVVMKQRGYHADAIDAIKSLRHLCPKQSQDSLDNILLDLYKASGRTKEEIELLKQKLRKIYLGEAFHGKTTKRARSHGRKIHVSVKQETSRVLGNLAWAYMQQGNFMAAEVVYRKAQMVDPDANKACNLALCLIEQRRPADAEAVLAGVLAGRYHARDDDHGAPHTGGKILAKVEELMARITGEVDGRSNSSGGSSSDDDDRDVEDEMVELLDVVVRQWAAPYRRSNRRLPVFEEITPVCREQMAAC >ORUFI03G04660.1 pep chromosome:OR_W1943:3:3206655:3210128:-1 gene:ORUFI03G04660 transcript:ORUFI03G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRPEAAAISENEIRITTQGLIRNYVTYATSLLQEKRVKEIVLKAMGQAISKTVAIAEIIKKRIPGLHQDTSISSVSITDVWEPIEEGLVPLEMTRHVSMISISLSPKELNKSIKLPCMQSQLSHKDTNKPSNINNNSTSLGQAKFKQIHMGAAVVEAEEEEGVGVVGEAMVEGMVDMITTKEVMVDMDTRVDMDTKGGMATREDMATIKVVMEGMVTTKVDMEDMKMVAGTTTGTEVVVVAGAVEEATGDMVVQEDMNVQVRHMNVVAEVEVAQAAEAMPGAVDEWAVAVGEATKTIRSTLVGCASPVVGCCMKNKNCGCVLHRTEP >ORUFI03G04670.1 pep chromosome:OR_W1943:3:3211691:3219147:1 gene:ORUFI03G04670 transcript:ORUFI03G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLLAVLPLLLLFVAGDSYATAAGGGGGGGGRRFDASRAVDVSWRPRAFLYEGFLSDAECDHLISLAKQGKMEKSTVVDGESGESVTSKVRTSSGMFLDKKQDEVVARIEERIAAWTMLPTECIIFYCFANFAILKLSENGESMQILRYGQGEKYEPHFDYISGRQGSTREGDRVATVLMYLSNVKMGGETIFPDCEARLSQPKDETWSDCAEQGFAVKPAKGSAVLFFSLHPNATLDTDSLHGSCPVIEGEKWSATKWIHDDSAARRPLSSSLSKDDGAARWTCRAAVDLARVVESAAPEEMPPPLTVDEEVRLECFAKSSGAVLFRLYHGCLFELSLATMEVSYRRRSAGKCEDEHVLCSSWAAAGECAKNPGYMVGTSDSPPGFCRKSCNVCTKVFLYEGFLSDAECEHLIALAKQGRMERSTVVNGKSGESVMSKTRTSSGMFLIRKQDEVVARIEERIAAWTMFPAENGESMQMLRYGQGEKYEPHFDYIRGRQASVRGGHRIATVLMYLSNVKMGGETVFPDAEARLSQPKDETWSDCAEQGFAVKPTKGSAVLFFSLYPNATFDPGSLHGSCPVIQGEKWSATKWIHVRSYDENGRRSSDKCEDEHALCSSWAAAGECAKNPGYMVGTSESPGFCRKSCNAAGAEAATRGTVRRRPVRRPARQRGGPRGEAAAAVGGRSAAIGAVPAGWRGWEEGRRQWPPAGFFF >ORUFI03G04670.2 pep chromosome:OR_W1943:3:3211691:3219147:1 gene:ORUFI03G04670 transcript:ORUFI03G04670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLLAVLPLLLLFVAGDSYATAAGGGGGGGGRRFDASRAVDVSWRPRAFLYEGFLSDAECDHLISLAKQGKMEKSTVVDGESGESVTSKVRTSSGMFLDKKQDEVVARIEERIAAWTMLPTENGESMQILRYGQGEKYEPHFDYISGRQGSTREGDRVATVLMYLSNVKMGGETIFPDCEARLSQPKDETWSDCAEQGFAVKPAKGSAVLFFSLHPNATLDTDSLHGSCPVIEGEKWSATKWIHDDSAARRPLSSSLSKDDGAARWTCRAAVDLARVVESAAPEEMPPPLTVDEEVRLECFAKSSGAVLFRLYHGCLFELSLATMEVSYRRRSAGKCEDEHVLCSSWAAAGECAKNPGYMVGTSDSPPGFCRKSCNVCTKVFLYEGFLSDAECEHLIALAKQGRMERSTVVNGKSGESVMSKTRTSSGMFLIRKQDEVVARIEERIAAWTMFPAENGESMQMLRYGQGEKYEPHFDYIRGRQASVRGGHRIATVLMYLSNVKMGGETVFPDAEARLSQPKDETWSDCAEQGFAVKPTKGSAVLFFSLYPNATFDPGSLHGSCPVIQGEKWSATKWIHVRSYDENGRRSSDKCEDEHALCSSWAAAGECAKNPGYMVGTSESPGFCRKSCNAAGAEAATRGTVRRRPVRRPARQRGGPRGEAAAAVGGRSAAIGAVPAGWRGWEEGRRQWPPAGFFF >ORUFI03G04670.3 pep chromosome:OR_W1943:3:3211691:3219147:1 gene:ORUFI03G04670 transcript:ORUFI03G04670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLLAVLPLLLLFVAGDSYATAAGGGGGGGGRRFDASRAVDVSWRPRAFLYEGFLSDAECDHLISLAKQGKMEKSTVVDGESGESVTSKVRTSSGMFLDKKQDEVVARIEERIAAWTMLPTENGESMQILRYGQGEKYEPHFDYISGRQGSTREGDRVATVLMYLSNVKMGGETIFPDCEARLSQPKDETWSDCAEQGFAVKPAKGSAVLFFSLHPNATLDTDSLHGSCPVIEGEKWSATNYRRRSAGKCEDEHVLCSSWAAAGECAKNPGYMVGTSDSPPGFCRKSCNVCTKVFLYEGFLSDAECEHLIALAKQGRMERSTVVNGKSGESVMSKTRTSSGMFLIRKQDEVVARIEERIAAWTMFPAENGESMQMLRYGQGEKYEPHFDYIRGRQASVRGGHRIATVLMYLSNVKMGGETVFPDAEARLSQPKDETWSDCAEQGFAVKPTKGSAVLFFSLYPNATFDPGSLHGSCPVIQGEKWSATKWIHVRSYDENGRRSSDKCEDEHALCSSWAAAGECAKNPGYMVGTSESPGFCRKSCNAAGAEAATRGTVRRRPVRRPARQRGGPRGEAAAAVGGRSAAIGAVPAGWRGWEEGRRQWPPAGFFF >ORUFI03G04670.4 pep chromosome:OR_W1943:3:3211691:3218027:1 gene:ORUFI03G04670 transcript:ORUFI03G04670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLLAVLPLLLLFVAGDSYATAAGGGGGGGGRRFDASRAVDVSWRPRAFLYEGFLSDAECDHLISLAKQGKMEKSTVVDGESGESVTSKVRTSSGMFLDKKQDEVVARIEERIAAWTMLPTGEKYEPHFDYIRGRQASVRGGHRIATVLMYLSNVKMGGETVFPDAEARLSQPKDETWSDCAEQGFAVKPTKGSAVLFFSLYPNATFDPGSLHGSCPVIQGEKWSATKWIHVRSYDENGRRSSDKCEDEHALCSSWAAAGECAKNPGYMVGTSESPGFCRKSCNVCTS >ORUFI03G04680.1 pep chromosome:OR_W1943:3:3214903:3215932:-1 gene:ORUFI03G04680 transcript:ORUFI03G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALCHMKLLCTYVATLPAEARGGVTGADHVTGVLGTLSCGSPAGAENMFILALAGGSPAIAVSLLMG >ORUFI03G04690.1 pep chromosome:OR_W1943:3:3219526:3228348:-1 gene:ORUFI03G04690 transcript:ORUFI03G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPFLRDYLGADTVAGTELAAWRGRATGMVDARRGVLVGERKAEAVREMVGDGEMPDIGLGGRRSDYAFMSLCKEAYLVPRDPVEAVPADKLPRPVIFHDGRLRLRSLAAPLAVAWFPVGFLLACVRIAVGALLPMPWLRRAFGALGVCVVLRGAAPAPAPMPPSTGGRGGVLFASNHRTLLDAVFLSVALGRPVVTITYSVSRLSELLSPIRTLTDDIVPVATECWMSMFHGTTARGCKAMDPFYFFMNPFPEYTVTFLDKLPAELTCGGSGGKSSHDVANHVQKLIASTLSYECTSLTRRDKYQHWWRNAF >ORUFI03G04700.1 pep chromosome:OR_W1943:3:3234718:3236061:-1 gene:ORUFI03G04700 transcript:ORUFI03G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTARICKVCPTIYHPYTERKPLFYPTNYHPTPYKQIM >ORUFI03G04710.1 pep chromosome:OR_W1943:3:3241632:3241928:1 gene:ORUFI03G04710 transcript:ORUFI03G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWVQSIGVCGVPLLLPTQGMENQRTSIRSCSPWSNGDDRPREGWVWCYERRTGGEMRLHEVLRVSWRRKTEKNCKIDVLHREELRPGEG >ORUFI03G04720.1 pep chromosome:OR_W1943:3:3242564:3246336:-1 gene:ORUFI03G04720 transcript:ORUFI03G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNMDVCIVQHEKTTLPLLLDATLAKRMNMAKECVMKPRELTIASSPRKFVTLVRRLNPLQTAAMEKIGFGGLLEMPEININRCILDIPSEGPVISTLPPLTNEDYTLYSRYNDISLISLQEAILQESKQSEINEEYFIRRMMLFTIGYILCPTTKAYVSSRYLPLLRDVTKIHTINWASLTREHLCDSLKEFKGGLKNIEGNLPLMQCWYWEHVKAVGSEFCNINYNGRQIPLISFWNVANVSLRNKYDCIIGEGEGLDIKNTLSKKRKSVPIQADAFRPKQEADVNKVEDNRTFDLGNERVPTITEEMHEDNTDETNLAKEIDGNGIEEAPFIVVEANTELINDKQHGQTRQYGSALIEKETQVQINGVAKYIDYTVKERPVPTSWTDTNVAKWPLCPKSVPQQKDR >ORUFI03G04730.1 pep chromosome:OR_W1943:3:3264581:3270586:1 gene:ORUFI03G04730 transcript:ORUFI03G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTILRVHLPSEIPIVGCEITPYVLLRRPDGGISTEDVHEAIPLDGHFMRYKWYRIQSDRRVAVCNVHPTEQATIQCLGCLKSKIPVAKSYHCSAKCFSDAWQHHRVLHERALSALNENGNEEEELFGRFGSGNAGIINASLSGSTSNIGQSSSVNNGPTPVYPTGTEKNSGETWFEVGRSRTYTPTADDIGHALRFECVAVDSETRSSVGAPTSIMTSRVIPAPTPTPRRLIPVNSADVMGHFDLDSRNSSFGTFTVLSYNILADTYATSDTYSYCPTWALSWPYRRQNLLREIIGYHADIICLQEVQSNHFEEFFAPELDKHGYQALFKKRTTEVYTGNLQSIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPAAQRKVALTRLIKDNIALIAVLEAKFGSHGADNPSKRQLLCVANTHINVHQDLKDVKLWQVNTLLKGLEKIAVSADIPMLVCGDFNATPGSTPHGLLAMGKVDLMHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGAGYDLEHQRRRMDPATNEPLFTNCTRDFTGTIDYIFYTADSLSVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKPRVRR >ORUFI03G04730.2 pep chromosome:OR_W1943:3:3264469:3270586:1 gene:ORUFI03G04730 transcript:ORUFI03G04730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTILRVHLPSEIPIVGCEITPYVLLRRPDGGISTEDVHEAIPLDGHFMRYKWYRIQSDRRVAVCNVHPTEQATIQCLGCLKSKIPVAKSYHCSAKCFSDAWQHHRVLHERALSALNENGNEEEELFGRFGSGNAGIINASLSGSTSNIGQSSSVNNGPTPVYPTGTEKNSGETWFEVGRSRTYTPTADDIGHALRFECVAVDSETRSSVGAPTSIMTSRVIPAPTPTPRRLIPVNSADVMGHFDLDSRNSSFGTFTVLSYNILADTYATSDTYSYCPTWALSWPYRRQNLLREIIGYHADIICLQEVQSNHFEEFFAPELDKHGYQALFKKRTTEVYTGNLQSIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPAAQRKVALTRLIKDNIALIAVLEAKFGSHGADNPSKRQLLCVANTHINVHQDLKDVKLWQVNTLLKGLEKIAVSADIPMLVCGDFNATPGSTPHGLLAMGKVDLMHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGAGYDLEHQRRRMDPATNEPLFTNCTRDFTGTIDYIFYTADSLSVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKPRVRR >ORUFI03G04730.3 pep chromosome:OR_W1943:3:3264581:3270306:1 gene:ORUFI03G04730 transcript:ORUFI03G04730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTILRVHLPSEIPIVGCEITPYVLLRRPDGGISTEDVHEAIPLDGHFMRYKWYRIQSDRRVAVCNVHPTEQATIQCLGCLKSKIPVAKSYHCSAKCFSDAWQHHRVLHERALSALNENGNEEEELFGRFGSGNAGIINASLSGSTSNIGQSSSVNNGPTPVYPTGTEKNSGETWFEVGRSRTYTPTADDIGHALRFECVAVDSETRSSVGAPTSIMTSRVIPAPTPTPRRLIPVNSADVMGHFDLDSRNSSFGTFTVLSYNILADTYATSDTYSYCPTWALSWPYRRQNLLREIIGYHADIICLQEVQSNHFEEFFAPELDKHGYQALFKKRTTEVYTGNLQSIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPAAQRKVALTRLIKDNIALIAVLEAKFGSHGADNPSKRQLLCVANTHINVHQDLKDVKLWQVNTLLKGLEKIAVSADIPMLVCGDFNATPGSTPHGLLAMGKVDLMHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGAGYDLEHQRRRMDPATNEPLFTNCTRDFTGTIDYIFYTADSLSVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKPRVRR >ORUFI03G04740.1 pep chromosome:OR_W1943:3:3273210:3275501:1 gene:ORUFI03G04740 transcript:ORUFI03G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAMVVAAMAVAAVARGDMSADRTECADQLVGLAPCLQYVQGEAKAPAPDCCGGLRQVLGKSPKCLCVLVKDKDDPNLGIKINATLALALPSACGATHANVSHCPQLLHIPPNSKDAAIFSPGGDKGSPAAPAKDNSTTTTDSRAVQAANGGSRSSAATAGAALTALLAGYFLLLLPEFSAPSSF >ORUFI03G04750.1 pep chromosome:OR_W1943:3:3276430:3278897:1 gene:ORUFI03G04750 transcript:ORUFI03G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILELSRRRIFSCGTTTASSASSPPRNRFTLQKSRRSPNDPASCSATPLASRLLSSVSLGGRLRCRRLPEDDLFITGVAGSPPVVEGGAACAATVVVPLSSAVASSSFSGFPGSVGSSWERPLLDLRHSSSSSSDGLNCSKTNKPNYMF >ORUFI03G04760.1 pep chromosome:OR_W1943:3:3277091:3280978:-1 gene:ORUFI03G04760 transcript:ORUFI03G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISYPPDDYLPTEEDTDQMFVRSLSSDNLSTIETFESPPALLDSLSSQRPIIKESFNFKKSEGDPFHVETTVSLMSPKPGKEKSCTHKRTILPRYGSMEYLPPHSPVVGMISPKHQAAAVRVQKVYKSFRTRRQLADCAVLVEQRWWKLIDFALLKHNSVSFFEEEKPESALSRWSRARTKAAKLSPSEDEEDECLRSRSGRSQLEPTEPGKPEKEEDATADDNGTTTVAAQAAPPSTTGGEPATPVMKRSRAQRQSDNHSTTDMSEFVQEGSAKPPGLPLGLEQGEDVALSNRALDVPHDETVLVIQELDSHLGHLTPGAEIRFSSNPDFTIVAAIKLIREHDKTVLSAVSDIQIQTTN >ORUFI03G04770.1 pep chromosome:OR_W1943:3:3284429:3289177:1 gene:ORUFI03G04770 transcript:ORUFI03G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGACKGCRVAFTPFRHHAFEALKAACRFTSLGSSLVAPRSYHTNTKQSKAKRDASEDFDFDPPPTRFPLASLQRCPFPSPALHTRTAAPPSHCRPGPAPSGAHPSLAGSGDREMRSRVALETLAEEPGGGEEEAARRKSSGLQAALHRVARRLLSGAGEDARPAADLRVLLSVLACPLSPVPILPRLPRHVASSAQYIIEQFRATTGCGKIEGAVKSMYAAGRVQLAMLQDPAGGGAGGGGGGGRGHEGSFVMWQLAPSMWIVEMAVAGQHVAAGSDGRVAWRRTPWVGAHAARGGSRPLRRALQGLDPVTIAAIFSTAEHAGEKLVDGEDCFVLRLDVGPSVLSSWSDGTAEVIRHGLTGFFSQRSGLLVRLEDSQLTRIQSPGAAAMYWETTISSSLADYRAVDGGGGVHVAHSGRSTAHLARFGVGVRAARVVTRMEESWTIDDVAFNVPGLGPDAFIPPEEVRRSRFYDAMAAGK >ORUFI03G04780.1 pep chromosome:OR_W1943:3:3295483:3300244:1 gene:ORUFI03G04780 transcript:ORUFI03G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVSIATPAPQLGAANVVLMGTNLTLSFDDVEASFAPGVKGSGFEGVVYTAEPLDACSPLTSKAEKGPPSPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLISMAGSSGGIHIYAVFISKASGEVLKKFSGHTDVEVWILPAFENSAWSIMAISFISLLAMSAVLATCFFVRRHHIRRDRPRIPEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYNVGEKLRVLPCRHKFHAACVDLWLTTWRTFCPVCKRDASTGIPDPPASETTPLLSSAVRLPSQSSSFRSSVAASPPRPISRRPSSQSISRIYAASGTPNSPNPIRSFTNSTAMSISRSNVDLSNMSSRPRASHLASAHSLVGSHLSPPINIRYASPHMSHSGYASPSPHVSSSYVSNSGYGSSSYYLGSSSQHRSYLRRCGESGPSLSTMAPQSPQQSQLRQSFRQSYLRHCADSDASLSAMASAQSLPGC >ORUFI03G04780.2 pep chromosome:OR_W1943:3:3295483:3300244:1 gene:ORUFI03G04780 transcript:ORUFI03G04780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVSIATPAPQLGAANVVLMGTNLTLSFDDVEASFAPGVKGSGFEGVVYTAEPLDACSPLTSKAEKGPPSPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLISSNVPYHSHLLWGHMVLHYLTLKFTVAGSSGGIHIYAVFISKASGEVLKKFSGHTDVEVWILPAFENSAWSIMAISFISLLAMSAVLATCFFVRRHHIRRDRPRIPEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYNVGEKLRVLPCRHKFHAACVDLWLTTWRTFCPVCKRDASTGIPDPPASETTPLLSSAVRLPSQSSSFRSSVAASPPRPISRRPSSQSISRIYAASGTPNSPNPIRSFTNSTAMSISRSNVDLSNMSSRPRASHLASAHSLVGSHLSPPINIRYASPHMSHSGYASPSPHVSSSYVSNSGYGSSSYYLGSSSQHRSYLRRCGESGPSLSTMAPQSPQQSQLRHGGESDINLAGASSGQSFRQSYLRHCADSEVNLAGASSGQSFRQSYLRHCADSDASLSAMASAQSLPGC >ORUFI03G04780.3 pep chromosome:OR_W1943:3:3295483:3300244:1 gene:ORUFI03G04780 transcript:ORUFI03G04780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVSIATPAPQLGAANVVLMGTNLTLSFDDVEASFAPGVKGSGFEGVVYTAEPLDACSPLTSKAEKGPPSPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLISMAGSSGGIHIYAVFISKASGEVLKKFSGHTDVEVWILPAFENSAWSIMAISFISLLAMSAVLATCFFVRRHHIRRDRPRIPEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYNVGEKLRVLPCRHKFHAACVDLWLTTWRTFCPVCKRDASTGIPDPPASETTPLLSSAVRLPSQSSSFRSSVAASPPRPISRRPSSQSISRIYAASGTPNSPNPIRSFTNSTAMSISRSNVDLSNMSSRPRASHLASAHSLVGSHLSPPINIRYASPHMSHSGYASPSPHVSSSYVSNSGYGSSSYYLGSSSQHRSYLRRCGESGPSLSTMAPQSPQQSQLRHGGESDINLAGASSGQSFRQSYLRHCADSEVNLAGASSGQSFRQSYLRHCADSDASLSAMASAQSLPGC >ORUFI03G04790.1 pep chromosome:OR_W1943:3:3302025:3308479:1 gene:ORUFI03G04790 transcript:ORUFI03G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSSCVNLSRVAAAAAGRRPGFAGELGGRRGHGRSVLPVVAALPVRRKGSGCGVACCVSSSSSSSVHGKNSAAAAEGHAGGIGIAEFLGGKNFLITGGTGFLAKVLIEKILRTNPDVGKIYVLIKAKDGDAALKRLHNEVVDTELFSRLQEIHGKDYHSFAARKLVPVVGDVREANVGIAPELAGVIADEVDIIVNSAANTTFDERYDVAMDINTVGPFRIMSFAQRFRRLKLFLQVSTAYVNGQRQGVVLEKPFRLGDTIAKELGSPDSSQHKNTMLDIEAEIKLAFDHRRHGDDSASFSEEMKELGLERARLHGWQDTYVFTKAMGEMVINSMRGDIPVVTIRPSVIESTWRDPFPGWMEGNRMMDPVVLYYGKGQLSGFLADPEGVLDVVPADMVVNATLASMAKHGRGGAAAAAAAAEGMHVYHVASSTVNPLAFGDLSRFLFQHFTGSPYSDAAGRPIHVPPMRLFDTMEQFASYVETDALLRAGRLAGAGDERVSQRLRELCAKSVEQTIYLGSIYQPYTFYGGRFDNGNTEALIGEMSEEEKARFHFDVRSIEWTDYITNVHIPGLRKHVMKGRGVGGGSGASSSSNASLLAGASV >ORUFI03G04800.1 pep chromosome:OR_W1943:3:3306344:3310286:-1 gene:ORUFI03G04800 transcript:ORUFI03G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMSVDGGGGCGGLDTQIEQLMQCRPLAEQEVKALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRHPHRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCNSHTFIQFEPAPRRGEPDVTRRTPDYFL >ORUFI03G04810.1 pep chromosome:OR_W1943:3:3313102:3318345:1 gene:ORUFI03G04810 transcript:ORUFI03G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGGAPPRPAAGIRVRVPLVESVSCYCRVDGGLKTVVSARKFVPGAKLCMQPDIKPNKRKSRSSRKERCRTQAPLLPGLPDDLAITCLMRVPRLEHTNLRLVCKRWNRLLSGNYYYSLRKKLGMAEEWVFVFKRDRDRKISWHAFDPVHQVWKSLPPVPAEYSEAVGFGCAVLSGCYLYLFGGKDPVRGSMRRVVFYNARINKWLRAPDMLQKRHCFGSCVINNRLYVAGGECEGIQRTLRSAEFYDPNRNRWSYISEMSTGMVPFIGVVYDGKWFLKGLDSHRQVVSEVYMPTSNVWSVTADEMVTGWRNPSICFNGRLYSAECRDGCKLRVYDRDTRSWTRFMDSRRHLGNSRAFEAAALVSLNGKICIIRNNMSITLVDVSNTPTVIEINSAHMWDVFARKGQHRSFIANLWFTIAGRNFKTHIIHCQVLQV >ORUFI03G04820.1 pep chromosome:OR_W1943:3:3321370:3325501:1 gene:ORUFI03G04820 transcript:ORUFI03G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKGDEVLAEGQMPLGRWPILSYGVGHMLNDITSACWFTYLLLFLQEIGLAPRDAAIVMLSGQVADGLMTILAGEMIDRFGHFKLWHIGGSILVGISFSSVFGGCLLCTVLGTDSYLVRTIGYSFFAAVFNIGWAATQVSHMSMVNCMTLNSTSRVALASCRNAFTMVANLGLYAIALVVFALVSAKACSDIVLQYRWIAYVSIFVGCCFLVVFYAGTKEPTLQSGSDCKKSARISWGYWFKKALYYQVALLYMLARLITNVSQSLIAFYVTRDLKMNEYSKAIIPAIIFCCSFLVSVVLQEIKWNSRRLKSLLTIGAILWVIAGAAVFVLPSQMHNLMYPLAMVIGAANALVMVTTIGLESALVGDDLNGCAFVYGSLSFLDKMSCGIALFVLESYEDSISCGETRGLYTVSRFGTGLIPSCFAVFALLVTSTLKLQDTNPRAAAAALEAPLLV >ORUFI03G04820.2 pep chromosome:OR_W1943:3:3321370:3326369:1 gene:ORUFI03G04820 transcript:ORUFI03G04820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKGDEVLAEGQMPLGRWPILSYGVGHMLNDITSACWFTYLLLFLQEIGLAPRDAAIVMLSGQVADGLMTILAGEMIDRFGHFKLWHIGGSILVGISFSSVFGGCLLCTVLGTDSYLVRTIGYSFFAAVFNIGWAATQVSHMSMVNCMTLNSTSRVALASCRNAFTMVANLGLYAIALVVFALVSAKACSDIVLQYRWIAYVSIFVGCCFLVVFYAGTKEPTLQSGSDCKKSARISWGYWFKKALYYQVALLYMLARLITNVSQSLIAFYVTRDLKMNEYSKAIIPAIIFCCSFLVSVVLQEIKWNSRRLKSLLTIGAILWVIAGAAVFVLPSQMHNLMYPLAMVIGAANALVMVTTIGLESALVGDDLNGCAFVYGSLSFLDKMSCGIALFVLESYEDSISCGETRGLYTVSRFGTGLIPSCFAVFALLVTSTLKLQDTNPRAAAAALEAPLLV >ORUFI03G04830.1 pep chromosome:OR_W1943:3:3325709:3327046:-1 gene:ORUFI03G04830 transcript:ORUFI03G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSRSRRLAVTLLLLLFAVVAAAAVATKTEAGTEDAASKEDESWTGWAKEKITEGLGLKHHVADVDEEEDAARKAGHAAKSAQNTASEAGRQTSKKAGDAKEAAEATASGASSKAEQAKEKTKEAAKGAAGEATRRAEQAKHKTKEAAEAAGERGAEVHEQSKQGKAKVEETAKEKAGEGYDAAKDKAGKAQETLRQSTDAAKDKAGKAQETLRQSTDAARDKAGKAQETLRQSTDAAAEKAGAAKDSAWERTSSAKDAAAEKAGSAKDAAWEKTSSAKDAAAEKAGAAKDAAREKAEAAGEKARQSKEAAKGKAGAAKDAAWEKAEAAKDAAWETAEAAREKANEGYEKVKEKAKEKVEEVKERVTGADDAADHGKEKKHHHRHVDGKQKPRTVDEL >ORUFI03G04840.1 pep chromosome:OR_W1943:3:3327896:3332556:1 gene:ORUFI03G04840 transcript:ORUFI03G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLPSLSPPPHQAPVPAATTALAGNLTSSLLSLPPPLPRLAVSHRRAVVTAASSRPPPPPSREGGDGGGEEEEEVERAMGMDGGIPGTSGEFLRRVSSRAYGMRRHLMESLDSLAYDVLETNPWREDSKPVYVLARRDNHLWTMKTRRSRSEVERELGMLLKGGGSGVGTKSKYSGSKFNMLVEDIREGILVFEDEDDAAKYCDILQGGGQGCEGIAEIEASSVFNICHKMKALAVLFRRGRTPPLPQSLERDLRARKRSLED >ORUFI03G04850.1 pep chromosome:OR_W1943:3:3330623:3332403:-1 gene:ORUFI03G04850 transcript:ORUFI03G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSVSSSHHAIHPGSSRSMQCICTRVTPSRSVGEISRSRSRNDLPLGVSPSSRMLTTCTLKTPSYGNKSKSKEKINPRDMFTFSYRFNTDIPMTETPGASIDEYLQNRPRIVGAVFPDKRKRTKLSDEEWSVQLLPIQFLFLSASPVIVMRFVSKSGGKEYPPHVPVKATSLLLMEVTDYKLDGLDSNAMPSHLALTVRGSLYPRPEGRKSLRGHVEMSVGFNLPPVLALVPEGVIRGVGETKEMVSWKLERSSTRLCPLILSDWYVGPTFPKGPHVLRQLALQMKQDFDNGLAADFKRYRREKLTEKKTTP >ORUFI03G04850.2 pep chromosome:OR_W1943:3:3330623:3332403:-1 gene:ORUFI03G04850 transcript:ORUFI03G04850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSVSSSHHAIHPGSSRSMQCICTRVTPSRSVGEISRSRSRNDLPLGVSPSSRMLTTCTLKTPSYGNKSKSKEKINPRDMFTFSYRFNTDIPMTETPGASIDEYLQNRPRIVGAVFPDKRKRTKLSDEEWSVQLLPIQFLFLSASPVIVMRFVSKSGGKEYPPHVPVKATSLLLMEVTDYKLDGLDSNAMPSHLALTVRGSLYPRPEGRKSLRGHVEMSVGFNLPPVLALVPEGVIRGVGETVLRQLALQMKQDFDNGLAADFKRYRREKLTEKKTTP >ORUFI03G04860.1 pep chromosome:OR_W1943:3:3335127:3344491:1 gene:ORUFI03G04860 transcript:ORUFI03G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRMKERKAPQEPKIICHGYANGASELNSNARSLLKGEICYTGKKKESISVSSSNIAVSSQGIGFSLEQRTGEKCLANSHLDVKLCTGIVKLVIDKCSYIFKSKGGIFDGNCRLQDVLKLGFWLSPETLRPFWRASELKPDDFLNILIGFGPDAAEVKKAIFLWNLYRWASWQSKAFQHLPRSNEIMVSILANAHMLSQAESLLLLLDGNRVLADAGSCYQVLLHLLMERRKNDLVLRVYLDMLGAGLGSYTEGDILDIVVKALIKKDKFLQAIGIIRQLKDLNIQMSKGSLSAVTQEFCKKKDIGDMMNFLEEWRYLPDLLLSNRIIASLCANIGTDEAWLVFQRLEVLGFVPDATTFGIFIRYSCRELKLKAAFLYLSECFSRHINPKVCAYNAIIGGIFKEGLYRHAKYVFEDMAERKIIPELLTYKILLAGYCRYRQFDEIEQTLRTMETNGINDIPSGNCVLSKALSFLGLDHLGVKVKRDNAAGYPKAEFFDSVGNGLYLDTDSTKFEASLVQIIDYALYPDISLNLVRACRQGDIASALVLKDETFQWGHDISTASYSELLKALSASPARAMDAINLIDEMADTPDKFDAQNLNLAVQTLSRNGRSACARLAFDRLLRDGFPASQDTYTYLMIGFCIERDIAGFWECWSLATKHGWSPGSRDVIPLISHLSKWGVIEEALEFISVLLDCYPSLFFSAYCQLLEELCMTGCTSVGCAMLEALIEKGVAVDPSLICNVMEGFLKEHKIAETIGMYDMLLNRNKVLNVSTYQSALSSVARIDAERAMDLVQSVMNMESTDFSTCSSIVKNLLQSGKIGQVMSVFEETVLGKKFNATLLNSFLQAYYCVKNWRKADAVLCMMLKMQNSLSISSYRFLVRRMCEQSRISSALRLKELIQDRDKSTELILYNILIFYLFRRRHILQVHNLLKDMKSNGFSPDTTTYDFLVNGFHKSGDVDHSINMLDSCIAQGLTPSNRSLRVVLSHHCKLGNLEKSLELFHLIESNGWKHGLVIETTLISSLLSSGRFSEATSCLNSMNKRELIGFDIHFDVLIKELCLLGDVEMSVSLLNTMLKKGKIPSEVSYDSVVYRLCMLKEFDQALDFLAEMQFANLKPSDMSCDVLIQGLCAMGRTCDAMNILEMLTTIGSSPSYHMSNNLQKAATLLHDMQQAGFSPNFEMHWSVISNLSSNAKRTTGYEKPILSNLISSTQTPVELTELHEIKLMQLNVPFTSEGHDKDVLSIIVYVGGDNADIISLHQIRGCRALQAPQLGEKKCTTDFIFGDLPTS >ORUFI03G04860.10 pep chromosome:OR_W1943:3:3335127:3341082:1 gene:ORUFI03G04860 transcript:ORUFI03G04860.10 gene_biotype:protein_coding transcript_biotype:protein_coding MWRMKERKAPQEPKIICHGYANGASELNSNARSLLKGEICYTGKKKESISVSSSNIAVSSQGIGFSLEQRTGEKCLANSHLDVKLCTGIVKLVIDKCSYIFKSKGGIFDGNCRLQDVLKLGFWLSPETLRPFWRASELKPDDFLNILIGFGPDAAEVKKAIFLWNLYRWASWQSKAFQHLPRSNEIMVSILANAHMLSQAESLLLLLDGNRVLADAEAGNLGKSISIYDCAQDRCLIPSGSCYQVLLHLLMERRKNDLVLRVYLDMLGAGLGSYTEGDILDIVVKALIKKDKFLQAIGIIRQLKDLNIQMSKGSLSAVTQEFCKKKDIGDMMNFLEEWRHAKYVFEDMAERKIIPELLTYKILLAGYCRYRQFDEIEQTLRTMETNGINDIPSGNCVLSKALSFLGLDHLGVKVKRDNAAGYPKAEFFDSVGNGLYLDTDSTKFEASLVQIIDYALYPDISLNLVRACRQGDIASALVLKDETFQWGHDISTASYSELLKALSASPARAMDAINLIDEMADTPDKFDAQNLNLAVQTLSRNGRSACARLAFDRLLRDGFPASQDTYTYLMIGFCIERDIAGFWECWSLATKHGWSPGSRDVIPLISHLSKWGVIEEALEFISVLLDCYPSLFFSAYCQLLEELCMTGCTSVGCAMLEALIEKGVAVDPSLICNVMEGFLKEHKIAETIGMYDMLLNRNKVLNVSTYQSALSSVARIDAERAMDLVQSVMNMESTDFSTCSSIVKNLLQSGKIGQVMSVFEETVLGKKFNATLLNSFLQAYYCVKNWRKADAVLCMMLKMQNSLSISSYRFLVRRMCEQSRISSALRLKELIQDRDKSTELILYNILIFYLFRRRHILQVHNLLKDMKSNGFSPDTTTYDFLVNGFHKSGDVDHSINMLDSCIAQGLTPSNRSLRVVLSHHCKLGNLEKSLELFHLIESNGWKHGLVIETTLISSLLSSGRFSEATSCLNSMNKRELIGFDIHFDVLIKELCLLGDVEMSVSLLNTMLKKGKIPSEVSYDSVVYRLCMLKEFDQALDFLAEMQFANLKPSDMSCDVLIQGLCAMGRTCDAMNILEMLTTIGSSPSYHMYRVVFDNCCRSNNLQKAATLLHDMQQAGFSPNFEMHWSVISNLSSNAKRTTGYEKPILSNLISSTQVNSP >ORUFI03G04860.2 pep chromosome:OR_W1943:3:3335127:3344489:1 gene:ORUFI03G04860 transcript:ORUFI03G04860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRMKERKAPQEPKIICHGYANGASELNSNARSLLKGEICYTGKKKESISVSSSNIAVSSQGIGFSLEQRTGEKCLANSHLDVKLCTGIVKLVIDKCSYIFKSKGGIFDGNCRLQDVLKLGFWLSPETLRPFWRASELKPDDFLNILIGFGPDAAEVKKAIFLWNLYRWASWQSKAFQHLPRSNEIMVSILANAHMLSQAESLLLLLDGNRVLADAGKLFSQVIQAYAEAGNLGKSISIYDCAQDRCLIPSGSCYQVLLHLLMERRKNDLVLRVYLDMLGAGLGSYTEGDILDIVVKALIKKDKFLQAIGIIRQLKDLNIQMSKGSLSAVTQEFCKKKDIGDMMNFLEEWRYLPDLLLSNRIIASLCANIGTDEAWLVFQRLEVLGFVPDATTFGIFIRYSCRELKLKAAFLYLSECFSRHINPKVCAYNAIIGGIFKEGLYRHAKYVFEDMAERKIIPELLTYKILLAGYCRYRQFDEIEQTLRTMETNGINDIPSGNCVLSKALSFLGLDHLGVKVKRDNAAGYPKAEFFDSVGNGLYLDTDSTKFEASLVQIIDYALYPDISLNLVRACRQGDIASALVLKDETFQWGHDISTASYSELLKALSASPARAMDAINLIDEMADTPDKFDAQNLNLAVQTLSRNGRSACARLAFDRLLRDGFPASQDTYTYLMIGFCIERDIAGFWECWSLATKHGWSPGSRDVIPLISHLSKWGVIEEALEFISVLLDCYPSLFFSAYCQLLEELCMTGCTSVGCAMLEALIEKGVAVDPSLICNVMEGFLKEHKIAETIGMYDMLLNRNKVLNVSTYQSALSSVARIDAERAMDLVQSVMNMESTDFSTCSSIVKNLLQSGKIGQVHNLLKDMKSNGFSPDTTTYDFLVNGFHKSGDVDHSINMLDSCIAQGLTPSNRSLRVVLSHHCKLGNLEKSLELFHLIESNGWKHGLVIETTLISSLLSSGRFSEATSCLNSMNKRELIGFDIHFDVLIKELCLLGDVEMSVSLLNTMLKKGKIPSEVSYDSVVYRLCMLKEFDQALDFLAEMQFANLKPSDMSCDVLIQGLCAMGRTCDAMNILEMLTTIGSSPSYHMSNNLQKAATLLHDMQQAGFSPNFEMHWSVISNLSSNAKRTTGYEKPILSNLISSTQTPVELTELHEIKLMQLNVPFTSEGHDKDVLSIIVYVGGDNADIISLHQIRGCRALQAPQLGEKKCTTDFIFGDLPTS >ORUFI03G04860.3 pep chromosome:OR_W1943:3:3335127:3344491:1 gene:ORUFI03G04860 transcript:ORUFI03G04860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRMKERKAPQEPKIICHGYANGASELNSNARSLLKGEICYTGKKKESISVSSSNIAVSSQGIGFSLEQRTGEKCLANSHLDVKLCTGIVKLVIDKCSYIFKSKGGIFDGNCRLQDVLKLGFWLSPETLRPFWRASELKPDDFLNILIGFGPDAAEVKKAIFLWNLYRWASWQSKAFQHLPRSNEIMVSILANAHMLSQAESLLLLLDGNRVLADAGKLFSQVIQAYAEAGNLGKSISIYDCAQDRCLIPSGSCYQVLLHLLMERRKNDLVLRVYLDMLGAGLGSYTEGDILDIVVKALIKKDKFLQAIGIIRQLKDLNIQMSKGSLSAVTQEFCKKKDIGDMMNFLEEWRYLPDLLLSNRIIASLCANIGTDEAWLVFQRLEVLGFVPDATTFGIFIRYSCRELKLKAAFLYLSECFSRHINPKVCAYNAIIGGIFKEGLYRHAKYVFEDMAERKIIPELLTYKILLAGYCRYRQFDEIEQTLRTMETNGINDIPSGNCVLSKALSFLGLDHLGVKVKRDNAAGYPKAEFFDSVGNGLYLDTDSTKFEASLVQIIDYALYPDISLNLVRACRQGDIASALVLKDETFQWGHDISTASYSELLKALSASPARAMDAINLIDEMADTPDKFDAQNLNLAVQTLSRNGRSACARLAFDRLLRDGFPASQDTYTYLMIGFCIERDIAGFWECWSLATKHGWSPGSRDVIPLISHLSKWGVIEEALEFISVLLDCYPSLFFSAYCQLLEELCMTGCTSVGCAMLEALIEKGVAVDPSLICNVMEGFLKEHKIAETIGMYDMLLNRNKVLNVSTYQSALSSVARIDAERAMDLVQSVMNMESTDFSTCSSIVKNLLQSGKIGQVHNLLKDMKSNGFSPDTTTYDFLVNGFHKSGDVDHSINMLDSCIAQGLTPSNRSLRVVLSHHCKLGNLEKSLELFHLIESNGWKHGLVIETTLISSLLSSGRFSEATSCLNSMNKRELIGFDIHFDVLIKELCLLGDVEMSVSLLNTMLKKGKIPSEVSYDSVVYRLCMLKEFDQALDFLAEMQFANLKPSDMSCDVLIQGLCAMGRTCDAMNILEMLTTIGSSPSYHMSNNLQKAATLLHDMQQAGFSPNFEMHWSVISNLSSNAKRTTGYEKPILSNLISSTQTPVELTELHEIKLMQLNVPFTSEGHDKDVLSIIVYVGGDNADIISLHQIRGCRALQAPQLGEKKCTTLW >ORUFI03G04860.4 pep chromosome:OR_W1943:3:3335127:3344489:1 gene:ORUFI03G04860 transcript:ORUFI03G04860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWRMKERKAPQEPKIICHGYANGASELNSNARSLLKGEICYTGKKKESISVSSSNIAVSSQGIGFSLEQRTGEKCLANSHLDVKLCTGIVKLVIDKCSYIFKSKGGIFDGNCRLQDVLKLGFWLSPETLRPFWRASELKPDDFLNILIGFGPDAAEVKKAIFLWNLYRWASWQSKAFQHLPRSNEIMVSILANAHMLSQAESLLLLLDGNRVLADAGKLFSQVIQAYAEAGNLGKSISIYDCAQDRCLIPSGSCYQVLLHLLMERRKNDLVLRVYLDMLGAGLGSYTEGDILDIVVKALIKKDKFLQAIGIIRQLKDLNIQMSKGSLSAVTQEFCKKKDIGDMMNFLEEWRYLPDLLLSNRIIASLCANIGTDEAWLVFQRLEVLGFVPDATTFGIFIRYSCRELKLKAAFLYLSECFSRHINPKVCAYNAIIGGIFKEGLYRHAKYVFEDMAERKIIPELLTYKILLAGYCRYRQFDEIEQTLRTMETNGINDIPSGNCVLSKALSFLGLDHLGVKVKRDNAAGYPKAEFFDSVGNGLYLDTDSTKFEASLVQIIDYALYPDISLNLVRACRQGDIASALVLKDETFQWGHDISTASYSELLKALSASPARAMDAINLIDEMADTPDKFDAQNLNLAVQTLSRNGRSACARLAFDRLLRDGFPASQDTYTYLMIGFCIERDIAGFWECWSLATKHGWSPGSRDVIPLISHLSKWGVIEEALEFISVLLDCYPSLFFSAYCQLLEELCMTGCTSVGCAMLEALIEKGVAVDPSLICNVMEGFLKEHKIAETIGMYDMLLNRNKVLNVSTYQSALSSVARIDAERAMDLVQSVMNMESTDFSTCSSIVKNLLQSGKIGQVHNLLKDMKSNGFSPDTTTYDFLVNGFHKSGDVDHSINMLDSCIAQGLTPSNRSLRVVLSHHCKLGNLEKSLELFHLIESNGWKHGLVIETTLISSLLSSGRFSEATSCLNSMNKRELIGFDIHFDVLIKELCLLGDVEMSVSLLNTMLKKGKIPSEVSYDSVVYRLCMLKEFDQALDFLAEMQFANLKPSDMSCDVLIQGLCAMGRTCDAMNILEMLTTIGSSPSYHMSNNLQKAATLLHDMQQAGFSPNFEMHWSVISNLSSNAKRTTGYEKPILSNLISSTQTPVELTELHEIKLMQLNVPFTSEGHDKDVLSIIVYVGGDNADIISLHQIRGCRALQAPQLGEKKCTTLW >ORUFI03G04860.5 pep chromosome:OR_W1943:3:3335127:3344491:1 gene:ORUFI03G04860 transcript:ORUFI03G04860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWRMKERKAPQEPKIICHGYANGASELNSNARSLLKGEICYTGKKKESISVSSSNIAVSSQGIGFSLEQRTGEKCLANSHLDVKLCTGIVKLVIDKCSYIFKSKGGIFDGNCRLQDVLKLGFWLSPETLRPFWRASELKPDDFLNILIGFGPDAAEVKKAIFLWNLYRWASWQSKAFQHLPRSNEIMVSILANAHMLSQAESLLLLLDGNRVLADAGKLFSQVIQAYAEAGNLGKSISIYDCAQDRCLIPSGSCYQVLLHLLMERRKNDLVLRVYLDMLGAGLGSYTEGDILDIVVKALIKKDKFLQAIGIIRQLKDLNIQMSKGSLSAVTQEFCKKKDIGDMMNFLEEWRYLPDLLLSNRIIASLCANIGTDEAWLVFQRLEVLGFVPDATTFGIFIRYSCRELKLKAAFLYLSECFSRHINPKVCAYNAIIGGIFKEGLYRHAKYVFEDMAERKIIPELLTYKILLAGYCRYRQFDEIEQTLRTMETNGINDIPSGNCVLSKALSFLGLDHLGVKVKRDNAAGYPKAEFFDSVGNGLYLDTDSTKFEASLVQIIDYALYPDISLNLVRACRQGDIASALVLKDETFQWGHDISTASYSELLKALSASPARAMDAINLIDEMADTPDKFDAQNLNLAVQTLSRNGRSACARLAFDRLLRDGFPASQDTYTYLMIGFCIERDIAGFWECWSLATKHGWSPGSRDVIPLISHLSKWGVIEEALEFISVLLDCYPSLFFSAYCQLLEELCMTGCTSVGCAMLEALIEKGVAVDPSLICNVMEGFLKEHKIAETIGMYDMLLNRNKVLNVSTYQSALSSVARIDAERAMDLVQSVMNMESTDFSTCSSIVKNLLQSGKIGQVHNLLKDMKSNGFSPDTTTYDFLVNGFHKSGDVDHSINMLDSCIAQGLTPSNRSLRVVLSHHCKLGNLEKSLELFHLIESNGWKHGLVIETTLISSLLSSGRFSEATSCLNSMNKRELIGFDIHFDVLIKELCLLGDVEMSVSLLNTMLKKGKIPSEVSYDSVVYRLCMLKEFDQALDFLAEMQFANLKPSDMSCDVLIQGLCAMGRTCDAMNILEMLTTIGSSPSYHMSNNLQKAATLLHDMQQAGFSPNFEMHWSVISNLSSNAKRTTGYEKPILSNLISSTQTPVELTELHEIKLMQLNVPFTSEGHDKDVLSIIVYVGGDNADIISLHQIRGCRALQAPQLGEKKCTTFMFVSSSL >ORUFI03G04860.6 pep chromosome:OR_W1943:3:3338128:3344491:1 gene:ORUFI03G04860 transcript:ORUFI03G04860.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGLGSYTEGDILDIVVKALIKKDKFLQAIGIIRQLKDLNIQMSKGSLSAVTQEFCKKKDIGDMMNFLEEWRYLPDLLLSNRIIASLCANIGTDEAWLVFQRLEVLGFVPDATTFGIFIRYSCRELKLKAAFLYLSECFSRHINPKVCAYNAIIGGIFKEGLYRHAKYVFEDMAERKIIPELLTYKILLAGYCRYRQFDEIEQTLRTMETNGINDIPSGNCVLSKALSFLGLDHLGVKVKRDNAAGYPKAEFFDSVGNGLYLDTDSTKFEASLVQIIDYALYPDISLNLVRACRQGDIASALVLKDETFQWGHDISTASYSELLKALSASPARAMDAINLIDEMADTPDKFDAQNLNLAVQTLSRNGRSACARLAFDRLLRDGFPASQDTYTYLMIGFCIERDIAGFWECWSLATKHGWSPGSRDVIPLISHLSKWGVIEEALEFISVLLDCYPSLFFSAYCQLLEELCMTGCTSVGCAMLEALIEKGVAVDPSLICNVMEGFLKEHKIAETIGMYDMLLNRNKVLNVSTYQSALSSVARIDAERAMDLVQSVMNMESTDFSTCSSIVKNLLQSGKIGQVMSVFEETVLGKKFNATLLNSFLQAYYCVKNWRKADAVLCMMLKMQNSLSISSYRFLVRRMCEQSRISSALRLKELIQDRDKSTELILYNILIFYLFRRRHILQVHNLLKDMKSNGFSPDTTTYDFLVNGFHKSGDVDHSINMLDSCIAQGLTPSNRSLRVVLSHHCKLGNLEKSLELFHLIESNGWKHGLVIETTLISSLLSSGRFSEATSCLNSMNKRELIGFDIHFDVLIKELCLLGDVEMSVSLLNTMLKKGKIPSEVSYDSVVYRLCMLKEFDQALDFLAEMQFANLKPSDMSCDVLIQGLCAMGRTCDAMNILEMLTTIGSSPSYHMSNNLQKAATLLHDMQQAGFSPNFEMHWSVISNLSSNAKRTTGYEKPILSNLISSTQTPVELTELHEIKLMQLNVPFTSEGHDKDVLSIIVYVGGDNADIISLHQIRGCRALQAPQLGEKKCTTDFIFGDLPTS >ORUFI03G04860.7 pep chromosome:OR_W1943:3:3335127:3344491:1 gene:ORUFI03G04860 transcript:ORUFI03G04860.7 gene_biotype:protein_coding transcript_biotype:protein_coding MWRMKERKAPQEPKIICHGYANGASELNSNARSLLKGEICYTGKKKESISVSSSNIAVSSQGIGFSLEQRTGEKCLANSHLDVKLCTGIVKLVIDKCSYIFKSKGGIFDGNCRLQDVLKLGFWLSPETLRPFWRASELKPDDFLNILIGFGPDAAEVKKAIFLWNLYRWASWQSKAFQHLPRSNEIMVSILANAHMLSQAESLLLLLDGNRVLADAGKLFSQVIQAYAEAGNLGKSISIYDCAQDRCLIPSGSCYQVLLHLLMERRKNDLVLRVYLDMLGAGLGSYTEGDILDIVVKALIKKDKFLQAIGIIRQLKDLNIQMSKGSLSAVTQEFCKKKDIGDMMNFLEEWRYLPDLLLSNRIIASLCANIGTDEAWLVFQRLEVLGFVPDATTFGIFIRYSCRELKLKAAFLYLSECFSRHINPKVCAYNAIIGGIFKEGLYRHAKYVFEDMAERKIIPELLTYKILLAGYCRYRQFDEIEQTLRTMETNGINDIPSGNCVLSKALSFLGLDHLGVKVKRDNAAGYPKAEFFDSVGNGLYLDTDSTKFEASLVQIIDYALYPDISLNLVRACRQGDIASALVLKDETFQWGHDISTASYSELLKALSASPARAMDAINLIDEMADTPDKFDAQNLNLAVQTLSRNGRSACARLAFDRLLRDGFPASQDTYTYLMIGFCIERDIAGFWECWSLATKHGWSPGSRDVIPLISHLSKWGVIEEALEFISVLLDCYPSLFFSAYCQLLEELCMTGCTSVGCAMLEALIEKGVAVDPSLICNVMEGFLKEHKIAETIGMYDMLLNRNKVLNVSTYQSALSSVARIDAERAMDLVQSVMNMESTDFSTCSSIVKNLLQSGKIGQVMSVFEETVLGKKFNATLLNSFLQAYYCVKNWRKADAVLCMMLKMQNSLSISSYRFLVRRMCEQSRISSALRLKELIQDRDKSTELILYNILIFYLFRRRHILQVHNLLKDMKSNGFSPDTTTYDFLVNGFHKSGDVDHSINMLDSCIAQGLTPSNRSLRVVLSHHCKLGNLEKSLELFHLIESNGWKHGLVIETTLISSLLSSGRFSEATSCLNSMNKRELIGFDIHFDVLIKELCLLGDVEMSVSLLNTMLKKGKIPSEVSYDSVVYRLCMLKEFDQALDFLAEMQFANLKPSDMSCDVLIQGLCAMGRTCDAMNILEMLTTIGSSPSYHMYRVVFDNCCRSNNLQKAATLLHDMQQAGFSPNFEMHWSVISNLSSNAKRTTGYEKPILSNLISSTQVNSP >ORUFI03G04860.8 pep chromosome:OR_W1943:3:3335127:3342757:1 gene:ORUFI03G04860 transcript:ORUFI03G04860.8 gene_biotype:protein_coding transcript_biotype:protein_coding MWRMKERKAPQEPKIICHGYANGASELNSNARSLLKGEICYTGKKKESISVSSSNIAVSSQGIGFSLEQRTGEKCLANSHLDVKLCTGIVKLVIDKCSYIFKSKGGIFDGNCRLQDVLKLGFWLSPETLRPFWRASELKPDDFLNILIGFGPDAAEVKKAIFLWNLYRWASWQSKAFQHLPRSNEIMVSILANAHMLSQAESLLLLLDGNRVLADAGKLFSQVIQAYAEAGNLGKSISIYDCAQDRCLIPSGSCYQVLLHLLMERRKNDLVLRVYLDMLGAGLGSYTEGDILDIVVKALIKKDKFLQAIGIIRQLKDLNIQMSKGSLSAVTQEFCKKKDIGDMMNFLEEWRYLPDLLLSNRIIASLCANIGTDEAWLVFQRLEVLGFVPDATTFGIFIRYSCRELKLKAAFLYLSECFSRHINPKVCAYNAIIGGIFKEGLYRHAKYVFEDMAERKIIPELLTYKILLAGYCRYRQFDEIEQTLRTMETNGINDIPSGNCVLSKALSFLGLDHLGVKVKRDNAAGYPKAEFFDSVGNGLYLDTDSTKFEASLVQIIDYALYPDISLNLVRACRQGDIASALVLKDETFQWGHDISTASYSELLKALSASPARAMDAINLIDEMADTPDKFDAQNLNLAVQTLSRNGRSACARLAFDRLLRDGFPASQDTYTYLMIGFCIERDIAGFWECWSLATKHGWSPGSRDVIPLISHLSKWGVIEEALEFISVLLDCYPSLFFSAYCQLLEELCMTGCTSVGCAMLEALIEKGVAVDPSLICNVMEGFLKEHKIAETIGMYDMLLNRNKVLNVSTYQSALSSVARIDAERAMDLVQSVMNMESTDFSTCSSIVKNLLQSGKIGQVHNLLKDMKSNGFSPDTTTYDFLVNGFHKSGDVDHSINMLDSCIAQGLTPSNRSLRVVLSHHCKLGNLEKSLELFHLIESNGWKHGLVIETTLISSLLSSGRFSEATSCLNSMNKRELIGFDIHFDVLIKELCLLGDVEMSVSLLNTMLKKGKIPSEVSYDSVVYRLCMLKEFDQALDFLAEMQFANLKPSDMSCDVLIQGLCAMGRTCDAMNILEMLTTIGSSPSYHMSNNLQKAATLLHDMQQAGFSPNFEMHWSVISNLSSNAKRTTGYEKPILSNLISSTQTPVELTELHEIKLMQLNVPFTSEGHDKDVLSIIVYVGGDNADIISLHQIRGCRALQAPQLGEKKCTTVSLSLANRSCLSKSSCDSMFFMLQDFMFFSHHLVFIGV >ORUFI03G04860.9 pep chromosome:OR_W1943:3:3341132:3344491:1 gene:ORUFI03G04860 transcript:ORUFI03G04860.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSGFPESGELTITPVELTELHEIKLMQLNVPFTSEGHDKDVLSIIVYVGGDNADIISLHQIRGCRALQAPQLGEKKCTTDFIFGDLPTS >ORUFI03G04870.1 pep chromosome:OR_W1943:3:3341905:3343743:-1 gene:ORUFI03G04870 transcript:ORUFI03G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALTNCWAISRSSQRGSKNNSSDGSFMVPARNHNRINRKHLLLREKDASTGWQITKAATENSTNAVHTPMKTKWWEKNMKSCNMKNIESQEDFDKQLLLASDKLTVVHFFSPSCGACKALHPKVCQLAGMHPELQFLMVNSNEQKEMCRRLNVHVLPMFRFYRGAEGRICSFSCTISTIYKIKDALKRHGVQLENLGPDKGLEKSELQNSDIDASYNMDGGVGAVVPNNE >ORUFI03G04880.1 pep chromosome:OR_W1943:3:3347421:3348750:-1 gene:ORUFI03G04880 transcript:ORUFI03G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGFLSDPSELRELLFASAVAVRGAIAHAYLVFDQIPRPDRFMYNTLIRGAAHTAAPRDAVSLYTRMLRRGGGGGVRPDKLTFPFVLRACTAMGAGDTGVQVHAHVVKAGCESDAFVKNALIGMHASCGNLGIAAALFDGRAREDAVAWSAMITGCARRGDIGAARDLFDECPVKDLVSWNVMITAYAKRGDMALARELFDQVPERDVVSWNVMISGHGGMVDKGREFFNLMQHKYRVEPNIKHYGCMVDMLGRAGLLKEAFEFIDTMKWFMVRSNWLNMQIGNC >ORUFI03G04890.1 pep chromosome:OR_W1943:3:3349289:3351230:-1 gene:ORUFI03G04890 transcript:ORUFI03G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPMEEAHAMPVTSFFPVAGIHKLIAIFLVVLSWILVHKWSLRNQKGPRSWPIIGATVEQLKNYHRMHDWLVEYLSKDRTVTVDMPFTSYTYIADPVNVEHVLKTNFTNYPKGEVYRSYMDVLLGDGIFNADGEMWRKQRKTASFEFASKNLRDFSTVVFREYSLKLSSILSQACKAGRVVDMQELFMRMTLDSICKVGFGVEIGTLSPDLPENSFAQAFDAANIIVTLRFIDPLWRLKKFLHVGSEALLEQSMKLVDDFTYSVIRRRKAEILQARASGKQEKIKHDILSRFIELGEAGGDEGGGSFGDDKSLRDVVLNFVIAGRDTTATTLSWFTYMAMTHPAVADKLRRELAAFEAERAREEGVALADAAGEASFAARVAQFASLLSYDAVGKLVYLHACVTETLRLYPAVPQDPKGIVEDDVLPDGTKVRAGGMVTYVPYSMGRMEYNWGPDAASFRPERWLSGDGGAFRNASPFKFTAFQAGPRICLGKDSAYLQMKMALAILFRFYTFDLVEDHPVKYRMMTILSMAHGLKVRVSTSV >ORUFI03G04900.1 pep chromosome:OR_W1943:3:3352330:3353940:-1 gene:ORUFI03G04900 transcript:ORUFI03G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGLDDDSLQQLRSRATQLLLKENWTEYIAVCSLIIEAFDAAAACKDRRVLCSTLAHRADARARLGDAPGALADCDAALAADPAHPGALLSKGAVLRGLGRYSRAAECFRAALAVSGTDEVREMVEQCKRLDAQARSGAVDLSEWVLAGFSGKCPDLAEHVGAVEVRRSAHGGRGVFAVKNIEAGANLVISKAVAIGRGVIPDAADSGEKMVVWKDLVDKVLDAAEKCPRTASLIYTLSTGEEPEDELPIPDMAHFKQETEELDDGTAMAPKASLDVDKILKVLDVNCLTEDAAPSANLLGSNGVVNCGVGLWILPAFINHSCHPNARRTHVGDHAIVHASRDIKAGEEITFAYFDVLTPASERREAARAWGFECQCDRCRFEASDAIVGQELTKLENELVNGRGGDMGALVVRLEERMRKSMVKERRKAFLRASFWSAYSALFDSDKLVRKWGRRVPGEAAVAESVAGAIGGNESVLRAMLRGADNGNGCGNRLEVEDKVVRIGRATYGRVVKRQAMRALFRLTLDADSNKSL >ORUFI03G04910.1 pep chromosome:OR_W1943:3:3354821:3356748:1 gene:ORUFI03G04910 transcript:ORUFI03G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTSAARTRLPATSSSVEDAATGELRHGAGRMDGGGSCVVTETAPATSSGVGRGRRRILRGDGGGTGDELRRGGRSHRLRRGRWTWSPVSRSRRRTRSPATSSDVDHGRGRMRLPATRFGFSYLPFLPGNTIADGKPWLVCSYNHAVDGFTAVAVSKKPSSDAASRTASLACIAGLSRKEDVNLADPAMATTRCAQRREHPRRSCCGCAGVAVTATRRLRGGGQRGRRTRGTTSPPFSLQANPFVSRCSGG >ORUFI03G04920.1 pep chromosome:OR_W1943:3:3356919:3359100:1 gene:ORUFI03G04920 transcript:ORUFI03G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGWLRRAAAAAAAPRLPSGLPILPTPPPAPLTEAQSFVLPGIGTAVAGGMDLMAVPKKKVSKYKKGLRNGPKALKPVPVIVRCRCCGRVKLPHFYCCSGEKGNPGDSSS >ORUFI03G04930.1 pep chromosome:OR_W1943:3:3359704:3363280:1 gene:ORUFI03G04930 transcript:ORUFI03G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSSSLCSTFASPRAASLGRRLAFSSPRKAFRVRASSRVDKFSKNDIIVSPSILSANFSKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPATPLTAIDYVLDVVDLVLIMSVNPGFGGQSFIESQVKKIAELRRLCAEKGVNPWIEVDGGVGPKNAYKVIEAGANAIVAAIKGIKTSQKPVAVPA >ORUFI03G04940.1 pep chromosome:OR_W1943:3:3359813:3366743:-1 gene:ORUFI03G04940 transcript:ORUFI03G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRPRVWLLAGCGAVLLWAFVGQLVAVGRLLALFGLAGDAEPSPPPTALPPRRVYKSNGYLKVSCNGGLNQMRSEICDMVAVARLLNLTMVVPELDKRSFWADQSNFEDIFDVKHFIDTLRDEVHIVKQLPKRFGPEDSNNILNMPPVSWSDEKYYLHQILPLFSKYSVIHFNKTDARLANNGISTQLQLLRCRVNFHALKFTPQIEALGNKLVQKLQAKGSFAALHLRYEMDMLAFSGCNHGLSQEEAEELKRMRYAYPWWREKEIDSQAKRLQGLCPLTPEETSFILKALGFQKDTLIYIAAGEIYGGEKRLEPLQAAFPKLVRKETLLDLEALRQFQNHSSQMAALDFIVSTASDIFIPTYDGNMAKLVEGHRRFLGFRRSVLLDRQKLVGFIDLYNNKTISWNNFASSVQETHRNRVVQPSCRQKLENKPKEEDYFYANPHECLANSRFCSRTKDAISVSLRAVGRNSINTSSFNLRRQLKAHEPIQSQKIGSAAAHTSEEGGAISEANGGGNYLGEEKARRRPREAARGEAKVEHSDDDDDGDAISLSFSLHQLPLEEQRELLIW >ORUFI03G04940.2 pep chromosome:OR_W1943:3:3363399:3366743:-1 gene:ORUFI03G04940 transcript:ORUFI03G04940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRPRVWLLAGCGAVLLWAFVGQLVAVGRLLALFGLAGDAEPSPPPTALPPRRVYKSNGYLKVSCNGGLNQMRSEICDMVAVARLLNLTMVVPELDKRSFWADQSNFEDIFDVKHFIDTLRDEVHIVKQLPKRFGPEDSNNILNMPPVSWSDEKYYLHQILPLFSKYSVIHFNKTDARLANNGISTQLQLLRCRVNFHALKFTPQIEALGNKLVQKLQAKGSFAALHLRYEMDMLAFSGCNHGLSQEEAEELKRMRYAYPWWREKEIDSQAKRLQGLCPLTPEETSFILKALGFQKDTLIYIAAGEIYGGEKRLEPLQAAFPKLVRKETLLDLEALRQFQNHSSQMAALDFIVSTASDIFIPTYDGNMAKLVEGHRRFLGFRRSVLLDRQKLVGFIDLYNNKTISWNNFASSVQETHRNRVVQPSCRQKLENKPKEEDYFYANPHECLANSRFCSRTKDAISVSGLKPAAQQAESILIV >ORUFI03G04940.3 pep chromosome:OR_W1943:3:3359813:3362565:-1 gene:ORUFI03G04940 transcript:ORUFI03G04940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPASMTSRELAKIGSAAAHTSEEGGAISEANGGGNYLGEEKARRRPREAARGEAKVEHSDDDDDGDAISLSFSLHQLPLEEQRELLIW >ORUFI03G04950.1 pep chromosome:OR_W1943:3:3367692:3369617:-1 gene:ORUFI03G04950 transcript:ORUFI03G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNRSFKNLLNQESPSLENSRHYNSPPQQFPNRFLPSQFSQSFNPNFLHNFYPFGAPGNYPPYGYSPPSFQATQRQGNWIQANSPNQVFGAASNRVLFAPHQVTSVEVAANTSSHGSESSIPCPTTTRQQEKQPLNIEELSDSSEEGVRRAPRTNWKEEENLRFVSAWLNNSVDSVDGNDKKSEYYWKDVADEFNSNMPRNAHTRTVKQMKTHWDNVKRDIAKFCGAYAQVRNTCTSEYFEDMIMEKAHTWRSTAFAAARLPVVLDSDCVVSFSS >ORUFI03G04960.1 pep chromosome:OR_W1943:3:3370424:3371956:1 gene:ORUFI03G04960 transcript:ORUFI03G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSPSISPGDLVSFAGKCSTKRKLRLLHGALLRRRHLLPAADAVAALAKLLRFAAVSPAGDLRHAAALLSLHLPFLSSASSHLAFFYNTLMRGLAASSSPAAAIELFSAMRRAGAAPDAFTFTFALKSCSRCVSQRRLPSDLHAQAIKHGCLGAGSSHVHVHNALLHGYSSRAAVGDARRVFDEMPARDVISFSGLLTLHLKANDLDAARVVFDQMPHRDVVSWTAMISAYARARRPREALALFDAMPVKPDEVTMLSVVSACTALGDLATGERVCQYVDSNGFGWMVSLRNALMDMYAKCGSLTEARNLFDGMTVRSLASWNTFISAYASHGDVESTVALFHRMLADGKSVKPDGTTLLAVLTAYAHKGFVEEGRAMFNAILSGNYGKVDLTIEHYGCMVDLLGRAGQLEEAYKMIEQMPIPSNSVVWGALLGACRTHGDINMAERAVQKLRSLNPEEGGYYILLSDMYAASGRTTEAMEIRRIMNQAGARKTAGQSSWSTPCLPQP >ORUFI03G04970.1 pep chromosome:OR_W1943:3:3373717:3374635:-1 gene:ORUFI03G04970 transcript:ORUFI03G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRAAPGLPFSPRPACCRPPSSPGVQFFTPASAGGAGGVGRRRSYPRIEATARHGARKENPKVRNRRLQKKFNGTATKPRLSVFCSNRQLYAMLVDDHIRKILFYGSTLQKAICGDPPCGAVEAAGRVGEELIRACKELDITEISSYDRNGFARGEKMMAFEVPVSQYGFLPR >ORUFI03G04980.1 pep chromosome:OR_W1943:3:3374941:3379678:1 gene:ORUFI03G04980 transcript:ORUFI03G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQWGRWRLAAAAAASSSGDQIAAAWAVVRARAVAPVLQFAVWACMAMSVMLVLEVAYMSLVSLVAVKLLRRVPERRYKWEPITTGSGGVGGGDGEDEEAATGGREAAAFPMVLVQIPMYNEKEVYKLSIGAACALTWPPDRIIIQVLDDSTDPAIKDLVELECKDWARKEINIKYEIRDNRKGYKAGALKKGMEHIYTQQCDFVAIFDADFQPESDFLLKTIPFLVHNPKIGLVQTRWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSSMHSFFGFNGKIQYQNYLHELFMPGPNIADLPTGTAGVWRVSAINEAGGWKDRTTVEDMDLAVRASLKGWQFLLRVNSQVPSKPTDISSIDGLVVLPTSSEKWQRKLPKTRQAFFNDRMEHVTGLSCNNFFTRFFFVRRVVAPILTFLFYCVVIPLSVMVPEVSIPVWGMVYIPTAITIMNAIRNPGSIHLMPFWILFENVMAMHRMRAALTGLLETMNVNQWVVTEKVGDHVKDKLEVPLLEPLKPTDCVERIYIPELMVAFYLLVCASYDLVLGAKHYYLYIYLQAFAFIALGFGFAGTSTPCS >ORUFI03G04990.1 pep chromosome:OR_W1943:3:3384582:3387834:1 gene:ORUFI03G04990 transcript:ORUFI03G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECKVGGGGGGGDCLIKLFGKTIPVPEPGACAAGDVDKDLQHSGSSTTEPKTQENTVQDSTSPPPQPEVVDTEDSSADKNSSENQQQQGDTANQKEKLKKPDKILPCPRCSSMDTKFCYYNNYNINQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKSKSVSAASHFLQRVRAALPGDPPLYAPVKTNGTVLSFGSDLSTLDLTEQMKHLKDKFIPTTGIKNTDEMPVGLCAEGLSKTEESNQTNLKEKVSADRSPNVAQHPCMNGGAMWPFGVAPPPAYYTSSIAIPFYPAAAAAVAAYWGCMVPGAWNAPWPPQSQSQSVSSSSAASPVSTMTNCFRLGKHPRDGDEELDSKGNGKVWVPKTVRIDDVDEVARSSIWSLIGIKGDKVGADHGRGCKLAKVFESKDEAKASTHTAISSLPFMQGNPAALTRSVTFQEGS >ORUFI03G05000.1 pep chromosome:OR_W1943:3:3386058:3391873:-1 gene:ORUFI03G05000 transcript:ORUFI03G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKPLRRRRHDAPPSPPLFGATPRPTSPRSSSASVAAVAEELDGLLLTAPRPSASSSEPRSFPYVVKQRCWEKAERVAGRDPERWRRDALGNVVFRKLVGCPGCLCHDYDHIVPYSKGGKSTLENCQVLQATVNRSKGNKTELSKSELIQKSAYCRDVIWIFLNSLHMGMLGEGQIQVVAESNEDDGLAAKHSRGLLFARHTLFSVFEDGVLNALIMLPMVGAEAENGAISLHWGIERRITGQSSPDPLEEMGSGRYALALASACTHRHVAHGTTRCPVPLAVLAEVARLVDVVVVVVAELGVHAATPGARQDLVRLLQLLLLVGRIALLLLIL >ORUFI03G05000.2 pep chromosome:OR_W1943:3:3387730:3391873:-1 gene:ORUFI03G05000 transcript:ORUFI03G05000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKPLRRRRHDAPPSPPLFGATPRPTSPRSSSASVAAVAEELDGLLLTAPRPSASSSEPRSFPYVVKQRCWEKAERVAGRDPERWRRDALGNVVFRKLVGCPGCLCHDYDHIVPYSKGGKSTLENCQVLQATVNRSKGNKTELSKSELIQKSAYCRDVIWIFLNSLHMGMLGEGQIQVVAESNEDDGLAAKHSRGLLFARHTLFSVFEDGVLNALIMLPMWDIQ >ORUFI03G05000.3 pep chromosome:OR_W1943:3:3386058:3386748:-1 gene:ORUFI03G05000 transcript:ORUFI03G05000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERSKLLEPCSPSGQVGAEAENGAISLHWGIERRITGQSSPDPLEEMGSGRYALALASACTHRHVAHGTTRCPVPLAVLAEVARLVDVVVVVVAELGVHAATPGARQDLVRLLQLLLLVGRIALLLLIL >ORUFI03G05010.1 pep chromosome:OR_W1943:3:3395517:3396221:-1 gene:ORUFI03G05010 transcript:ORUFI03G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIKGGHGAAAAGGRNGWMTVPAFGDWDMKNGALPDYSMDFSKIREMRKQNKKELSRTSLGGDDDLLAQQKQQQPQPQQKPAKANLGRPADDHRHRQLHGRHGSPTYKIGFN >ORUFI03G05020.1 pep chromosome:OR_W1943:3:3396294:3403039:-1 gene:ORUFI03G05020 transcript:ORUFI03G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIANYKAFVRGRSSGSSPVPSAAGSSAYSVLQRTAGRRECGRGKEDGTWRKVKVIRFDRTFPALNGLGWTWALKSILISIKQASNEAIAVLGKGAWLPIAIAINYFYEEKSSKEREDVAPGLAAVDLSPHILRYHLQDKLSTAQLGSLPSRRKKIF >ORUFI03G05030.1 pep chromosome:OR_W1943:3:3399143:3403645:1 gene:ORUFI03G05030 transcript:ORUFI03G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSHAPLPKTAIASLLACCIARRKSAQVQPSPLRAGNVRSNRITFTFLQVPSSFPRPHSRRPAVRWSTLYADEPAAEGTALNVLIVMHPRRTCSWSHIVIPVALETDVHHGDDSKDIVGSTTKHPSCYWGLNYHRQFSWA >ORUFI03G05040.1 pep chromosome:OR_W1943:3:3403181:3403540:-1 gene:ORUFI03G05040 transcript:ORUFI03G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCCVFLRWPSASPSRIGYRSLDDGDDGPSPAAVTTTVVVGKERRVFSVDQLVLDTYPFRLLLETAVRKEESKAALFVDVDAILFEHILWLAGHHDRSSSSLLHLDLKEIIDFYSQDA >ORUFI03G05050.1 pep chromosome:OR_W1943:3:3406211:3407266:-1 gene:ORUFI03G05050 transcript:ORUFI03G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAGGGAAGESRESFFGFKNVITIMILAAMISITHARIALDDFIKQENPWRFCFLEAVGTTRVTEPEIELAFFMHQMNHKGNNTTTLSKIELLRTS >ORUFI03G05060.1 pep chromosome:OR_W1943:3:3408964:3412551:1 gene:ORUFI03G05060 transcript:ORUFI03G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLAFARVLVPPSPRTALASACSHSIKMCASSASPSESKKTVWVWTTNRQVMTAAVERGWSTFLFGSKDLGKDWSSTARINPLFIDGLEIFDEKKQKIAVISEVSSPGELELIQPDNVEVENIVIDFRGGWQVIPAENIVAAFQGCRGTVLAVSTNSTEAQVFLEALEQGLDGVILKVEDMDDIIKLKDYFDRRNEAKSQLMLTKATVSKVEVVGMGDRVCVDLCSMMRPGEGLLVGSYARGMFLVHSECLETNYIASRPFRVNAGPVHAYVAVPGGRTSYLSELQSGREVIVVDQNGLWRTAIVGRVKIESRPLILVEAKENGGDDTYSIFLQNAETVALITPEKGSSGRTAIPVTSLKVGDEVLVRKQGGARHWNRDSGVYC >ORUFI03G05070.1 pep chromosome:OR_W1943:3:3413196:3416180:-1 gene:ORUFI03G05070 transcript:ORUFI03G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDTTARVDHSMNNGASVKLILVAWDVGLFVLLDRGFIIADPSKVTSKTSLSSVPSTLKSNSSRSTLTLPSMKDRSELPTPRTEGEILSSSNLKAFSFNDLRNATKNFRPDSLLGEGGFGHVYKGWIDEHTLAPSKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHKNLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLSWAIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNSKLSDFGLAKAGPTGDKTHVSTQVMGTHGYAAPEYIATGRLSAKADVYSFGVVLLELLTGRRALDKSKPGIEQNLVDWAKPHLGDKRRLYRVMDTKLGGQYPKKGAHAIANIALQCICNDAKMRPRMSEVLEELEQLQDSKYNMASPQVDIQRTSNAVPKSPMRIQPSPRRSLGAAASPLPGYRTAKVH >ORUFI03G05080.1 pep chromosome:OR_W1943:3:3422431:3422988:-1 gene:ORUFI03G05080 transcript:ORUFI03G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSLPSTAAAATASAAFLRPSASAHPASASAPPNAAACLRRSPRRRLVAARAAADGGGAETVFFDGGAHYGDLAANLALGLTLLWLPLTLAAVSRAFILRYRFTSRRVSVISGLSGADRTDFPYSSVTSVVVVPRFIGEWGDIVITLKDGTKVDLRSVPRFREVADYCRSMAAAEGSLAAST >ORUFI03G05090.1 pep chromosome:OR_W1943:3:3431024:3432969:-1 gene:ORUFI03G05090 transcript:ORUFI03G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGMASSPSSFFPPNFLLHMAQQQAAPPHDPQEHHHHHHHGHHGHHHEQQQQQQHHHHLGPPPPPPPHPHNPFLPSSAQCPSLQEFRGMAPMLGKRPMSYGDGGGGGDEVNGGGEDELSDDGSQAGEKKRRLNVEQVRTLEKNFELGNKLEPERKMQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDALKRQLDAVKAENDALLNHNKKLQAEIVALKGREAASELINLNKETEASCSNRSENSSEINLDISRTPPPDAAALDTAPTAHHHHHGGGGGGGGGGGMIPFYTSIARPASGGGVDIDQLLHSSSGGAGGPKMEHHGGGGNVQAASVDTASFGNLLCGVDEPPPFWPWPDHQHFH >ORUFI03G05100.1 pep chromosome:OR_W1943:3:3439970:3440938:1 gene:ORUFI03G05100 transcript:ORUFI03G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSRQARHDLRHCPSPLALPRCQSFPARCAGDAGVHVVRLTSTTLGSLEVDKGAPRAAEAPPMRRMVPRTPTMTPPNEPEAIDAWALMAGLEEHSPLLVPPFARHSFSFPITAVPPELAAASRKVTPLPLVEKKKASPVARPRKAVLYFTSLRGVRATHEDCCLARAILGGYGVRVDERDVSMHRGFRDELHGLLGLGRGAALAKCWAPAAAPALPSLFVDGELVGNADELKRLHEAGELAARLAGCESAAPGEAAGACEACADVRFVLCGACSGSCKVYVDDGDDDDENPLDGGGGGGFRRCTECNENGIVRCPVCCC >ORUFI03G05110.1 pep chromosome:OR_W1943:3:3443446:3449323:-1 gene:ORUFI03G05110 transcript:ORUFI03G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSGAGGGGGGGGGGLELSVGVGGGGGARGGGGGEAAAAVETAAPISLGRLILSGMVAGGVQYGWALQLSLLTPYVQTLGLSHALTSFMWLCGPIAGMVVQPCVGLYSDRCTSKWGRRRPYILTGCVLICLAVVVIGFSADIGYAMGDTKEDCSVYHGSRWHAAIVYVLGFWLLDFSNNTVQGPARALMADLSGRHGPGTANSIFCSWMAMGNILGYSSGSTNNWHKWFPFLKTRACCEACANLKGAFLVAVIFLSLCLVITLIFAKEVPFKGNAALPTKSNEPAEPEGTGPLAVLKGFRNLPTGMPSVLIVTGLTWLSWFPFILYDTDWMGREIYHGDPKGTDPQIEAFNQGVRAGAFGLLLNSIVLGFSSFLIEPMCRKVGPRVVWVTSNFLVCIAMAATALISFWSLKDFHGTVQKAITADKSIKAVCLVLFAFLGVPLAVLYSVPFAVTAQLAATRGGGQGLCTGVLNISIVIPQVVIALGAGPWDELFGKGNIPAFGLASGFALIGGVAGIFLLPKISKRQFRSVSMGGGH >ORUFI03G05120.1 pep chromosome:OR_W1943:3:3455774:3456169:-1 gene:ORUFI03G05120 transcript:ORUFI03G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAIVDSPLLGPNVGAGLGQGAAMPAVHLDSGRLQQPPSGFRLHNVRNLPLQFTSTHLEGSRIRRGHRRFLPSHREVVAAIHVLVESCWNNNPLVPACVASSVRPSWFLTASPGIASLQVAGRASMLSS >ORUFI03G05130.1 pep chromosome:OR_W1943:3:3461193:3468775:-1 gene:ORUFI03G05130 transcript:ORUFI03G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYKSEAHLSNLSNRTGMAKWGCQESRSSESKILFMPHAPQDMGCGCQMIGEETSQHRDTAESDTHHEAK >ORUFI03G05130.2 pep chromosome:OR_W1943:3:3459994:3468515:-1 gene:ORUFI03G05130 transcript:ORUFI03G05130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAREWLSGGVKNQEVQKGPDASALISVLVLPDSLSNNTYSVTHACIDYQAPQDMGCGCQMIGEETSQHRDTAESDTHHEVPSSRVLQETCNYIRSLHQEVDDLSERLSELLATSDMSSAQAAIIRSLLM >ORUFI03G05130.3 pep chromosome:OR_W1943:3:3461193:3468515:-1 gene:ORUFI03G05130 transcript:ORUFI03G05130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAREWLSGGVKNQEVQKGPDASALISVLVLPDSLSNNTYSVTHACIDYQAPQDMGCGCQMIGEETSQHRDTAESDTHHEAK >ORUFI03G05130.4 pep chromosome:OR_W1943:3:3459994:3460656:-1 gene:ORUFI03G05130 transcript:ORUFI03G05130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSRQSGSSRITDEQISDLVSKLQDLLPEARLRSNDRVPSSRVLQETCNYIRSLHQEVDDLSERLSELLATSDMSSAQAAIIRSLLM >ORUFI03G05140.1 pep chromosome:OR_W1943:3:3478435:3479730:1 gene:ORUFI03G05140 transcript:ORUFI03G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEGNSCLISRSLPSSCEPESQWAYLSHEVLNGKRPAPEDAEAEDMDEVDCGGGKRSKPPSPQPHTPDISEGHGSSRHVAASGGGEEHGNGSSLIGAIGRDLTINCLLRLSRSDYGSVASLNKDFRSLVRNGEIYRLRRQSGVAEHWVYFSCNVLEWDAYDPYRERWIQVPKMPPDECFMCSDKESLAVGTELLVFAMAHFVFRYSILTNSWTRADPMISPRCLFGSTSVGAKAYVAGGTDSSGRILSSAEMYDSETHSWTPLPSMNRARKMCSGVFMDGKFYVVGGVASNNKVLTCGEEYDLKRGSWRVIENMSEGLNGVTGAPPLIAVVNNELYAADYSEKDVKKYDKQNNKWITLGKLPERSVSMNGWGLAFRACGDRLIVIGGPRTSIGGMIELNSWTPDERPPVWNLIARRPSGNFVYNCAVMGC >ORUFI03G05150.1 pep chromosome:OR_W1943:3:3489453:3490613:1 gene:ORUFI03G05150 transcript:ORUFI03G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRGGGGGGGRITDEEINELISKLQALLPESSRSRGASRSSASKLLKETCSYIKSLHREVDDLSDRLSELMSTMDNNSPQAEIIRSLLR >ORUFI03G05160.1 pep chromosome:OR_W1943:3:3495021:3495350:-1 gene:ORUFI03G05160 transcript:ORUFI03G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQLNNSPKFRSKQTTIKPKREAFRSTREAEFEAKKKKEQRGRGCSYLCSPTTRRWEQRARTVALVARGKRVTKTSALLDAGRDEELVRSRDRVEAELPMAEERGIQR >ORUFI03G05170.1 pep chromosome:OR_W1943:3:3495471:3508875:-1 gene:ORUFI03G05170 transcript:ORUFI03G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGELAAQLGSAGEKVGRAFANDPFGRQRPMRNQVLDSGWENSSETIHQMRSNISTMCLAFLLVKNLEHSKSTNGANRNTDDPRNCFLFARISSPLHPAYGLGRCSNGGITGGDRAGTFSAGAASDDDTSLRNASPGPHRLPAPPVAPRRSSSDDDDTAMDQITHGPILPPKQQQLAIESWSRADYCGIIMDSATVGLVRLRLDEKETRRDRMVRRQWRASTMNAGCSAKCPVRLLTCNACVLESALLGFEAADAVPKIAEFVSPARAQGCNTSARRFIFSEKVERYPKVAWSGQKPATTISRHTRCSNFILKLAVKERR >ORUFI03G05180.1 pep chromosome:OR_W1943:3:3504075:3508261:1 gene:ORUFI03G05180 transcript:ORUFI03G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSSLLLRRGATGGAGRRWGPGEALRRLVSSSEAAPAEKVPARSPPVMPPFEHRPRPYAGWSGDEILAKRKQFLGSSVFYYYQKPLNIVEGKMQYLYDENGKRYLDCFGGIVTVSCGHCHPDIVNAVVEQTKLLQHTTTIYLNQPIVEFAEALASKMPGNLKVVYFVNSGTEANELAMLMARLYSGNLNMIALRNAYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGTFGSDAVAYAKEVEEQINYGTSGRVAGFIAETFQGVGGAVELAPGYLKLAYDTVRKAGGVCIADEVQSGFGRTGSHYWGFQTQDVIPDIVTMAKGIGNGLPLGAVVTTPEIANVLAQKIQFNTFGGNPVCSVGGLAVLKVLDKEKRQAHCADVGSHLVNRLKELQQKHEIIGDVRGRGLMLGVELVTDRKEKTPAKAETNLLFEKLKDLNILVGKGGLHGNVFRIKPPMCFTRDDADYLVDAMDYAMSGL >ORUFI03G05180.2 pep chromosome:OR_W1943:3:3504075:3508261:1 gene:ORUFI03G05180 transcript:ORUFI03G05180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSSLLLRRGATGGAGRRWGPGEALRRLVSSSEAAPAEKVPARSPPVMPPFEHRPRPYAGWSGDEILAKRKQFLGSSVFYYYQKPLNIVEGKMQYLYDENGKRYLDCFGGIVTVSCGHCHPDIVNAVVEQTKLLQHTTTIYLNQPIVEFAEALASKMPGNLKVVYFVNSGTEANELAMLMARLYSGNLNMIALRNAYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGTFGSDAVAYAKEVEEQINYGTSGRVAGFIAETFQGVGGAVELAPGYLKLAYDTVRKAGGVCIADEVQSGFGRTGSHYWGFQTQDVIPDIVTMAKGIGNGLPLGAVVTTPEIANVLAQKIQFNTFGGNPVCSVGGLAVLKVLDKEKRQAHCADVGSHLVNRLKELQQKHEIIGDVRGRGLMLGVELVTDRKEKTPAKAETNLLFEKLKDLNILVGKGGLHGNVFRIKPPMCFTRDDADYLVDAMDYAMSGL >ORUFI03G05190.1 pep chromosome:OR_W1943:3:3509286:3514576:1 gene:ORUFI03G05190 transcript:ORUFI03G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDPVFPVLRHGDYFTKPSIDELVEREAADPGYCSRVPDFVVGRVGYGRIHFPGDTDVRGMDLNGIVKFGRHSVEVYKDEASKPPLGQGLNKPAEVTLMLNLSVLPEPSALGELLKCQTRKQGARFVSFNHSSGRWKFEVDHFSRFGLVDEEEEDVVMDEVVVRQPIAEVRDPPANGHELELSRSLPAHLGLDPAKMHEMRMTMFSNEEGDEDMEDGFPSDQRYFSSEKMNVDSPNSSAKGLRLRSLSPLHGSSLKVSRRPGVIGRREPQALLEYSVNSSEHGPSSHGILMSGQNKGFPVRMTKVDGFKLPSDQETPVAGNVYSNCVVDAALFMGRSFRVGWGPNGILVHSGSLVNRPGTGLSSVIHIEKVAGDKVVRDEKNKIKEELTDLCFSDPLDLHRRLHHEYLETESDLFKLKLQKVVASRFVLPDICRSYIDIIERQLEVSDLSMSSRVLLMHQVTVWELIRVLFSERATGNQLEPTGDEDQEGMILDKKEGTVAIDLEALPLVRRADFSNWLQDSVCHRVQGEAGSLNDARYLEHIILLLTGRQLDTATEVAASRGDVRLAILLSQAGGSMLNRSDLSQQLDLWKTNGLDFDYIQEDRLKIYELLSGNVQGALVDSSIDWKRYLGLIMWYQLSPDASLDIIIHSYHQLLGEGKVPYPVPVYIDEGPLDESLQWSPGDRFDISFYLMLLHANQDEKFGMLKTMFSAFSSSYDPLDYHMIWHQCSILEAIGAFSSNDLHVLDLSFVYQLLCLGKCHWAIYVILHMPHLDDAPYIHEKLIREILSQYCESWSKDETQRVYIAELGIPVEWMHDALALYNEYYGDQQSALENYILCGNWKKAHTIFMTSIAHSLFLSSKHQEIWDITSSLEVHKSEIADWELSAGIYIDFFILRNSMQEKSTMDDPDQLEKKNESCSTFFGRLNDSLIVWGSKLPVEARACFSKMAEELCELLMNSPGEGLTPDLYMGCFQTMLNAPVPDDHRSSYLQEAVSVFTDILCRD >ORUFI03G05200.1 pep chromosome:OR_W1943:3:3516538:3516783:1 gene:ORUFI03G05200 transcript:ORUFI03G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIALLVTEEFERKLKRGAPGEEGAAAESRRNFGAVIKVCSSWVDAAAAAAAGVTVNVALLNVDPKSGPAVAAMDGAFSA >ORUFI03G05210.1 pep chromosome:OR_W1943:3:3517334:3520975:-1 gene:ORUFI03G05210 transcript:ORUFI03G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCLWPCVTGGGAAADGAGSLFRSKARGPVEVVRHARELLAFLAENHDACGDKRDVKREHKMADLAKSIGEMKSILYGNGEADPVDEACSQLTKEFFKENTNSLHLLVVCLPYMDLETQKDVTQVTANLLRQKVDYRMVASDYLEENQDLLDVLMSGYDNMDIAIHYSAILRDCIRHQVAARYVLESQHMKNFFDYIQYPDFNIASDAFKTFKELLTRHRSSAAEFFSNNYDWFFPEFNSKLLSSSNYIIRRQATQLLGDILLDKSNTTAMVRYVSSKDNLIILMNLLREQSRAIQVEAFRVFKLFTCNPKKPPEIVGILVTNKSKILRFLADFTIEKEDQQFEADKAQVVTEISAM >ORUFI03G05220.1 pep chromosome:OR_W1943:3:3522010:3522417:-1 gene:ORUFI03G05220 transcript:ORUFI03G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSSRLSIIAVVISVTLVAITNNADVALASSLPPAPPAAAAGWTAVANVNDKSIQQAGQFALWIYRQITRLYFLRYVNVVSGQTQPYNGGYNYRLVVTVYGGPNWKTTLYDADVWGIPGTTTHWWFRSFTPKRS >ORUFI03G05230.1 pep chromosome:OR_W1943:3:3523995:3533493:-1 gene:ORUFI03G05230 transcript:ORUFI03G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSLLLLALLATFVVAIANADDYTTAAPAPSPEAEASPPSPPTEASPPPLAPPPSVTSSPPPPAAGPLMPPPPPPPSVTSSPPPPPLPPPPPPPAASPPPPPPSPPPPSPVKSSPPPPPAWSPVTNVNDYTIQQVGKFAVQSYCLNTGAKLVYVNVVSGQTQPCSGGGSNYQLVINVAAGVRTAQYSVFVWGILGTTTWKLWSPSSLSTVVLSVIFTAALLAVAKADGGSVTAAAAAPPPAAWTAVANVNDKSIQQVGQSAVRIYGLSTNKTYLRFVNVVSGQTQPCNGGYNYRLLVTVAGPGATTARYDALMWGILGTTNWKLLSFTLAAN >ORUFI03G05240.1 pep chromosome:OR_W1943:3:3540335:3540703:-1 gene:ORUFI03G05240 transcript:ORUFI03G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPLFLLGAALAVVAAAAATEAAGWAPVADVQELVIQQVGRFAVLVYSLAHHTDLAYVGVARGETEAAAGGAGGTNYRLAVAVTKPDGSAAQYECLVWGVPGSRLDTWKLRRFRRIRLP >ORUFI03G05250.1 pep chromosome:OR_W1943:3:3545019:3545384:-1 gene:ORUFI03G05250 transcript:ORUFI03G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSSLLVAAALVFAVVVVAETLPAAEATYRPIGNTSNLVVQQVGRFSVLVYDLSHRKSLVFVSVVSGETEAAVGGGTNYRLVILAETTPGGSKAKFQCVVWGVPGSRANTWKLLSFKAI >ORUFI03G05260.1 pep chromosome:OR_W1943:3:3549366:3549716:-1 gene:ORUFI03G05260 transcript:ORUFI03G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAAVLIVLAAAAVAASASESEFKQTPVADMPADPRGPLLGRFAVLVYSLNRNRRLTYAGVSLVDQHPDKGGVRYQMVVTAADAGGGAAAPYRAVVWGIPETHAWMLLEFNRIN >ORUFI03G05270.1 pep chromosome:OR_W1943:3:3551075:3554331:-1 gene:ORUFI03G05270 transcript:ORUFI03G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRIMNRESFNPPSLPNLAASCCWPESVILANRAYIADCKNPTTATAQSRDGHTVQISFCFAEAPVISYFCAHCYNPRVKVEFFTEPIIVAGEGAVVVLRVHLSSPLLSEFFIYRAGPGLPSLERVQDPTGYNSPIFYLSLLGIASCDNDDGGSCLLVGFRNRLTHYEVYVYTSTTKTWSTRKLDLSPGIRAIRPQKVINLGRGLLGWVDLWHGILIFNARDEQPQIQYVPLPEPMPLNKESFRPSNRSESCPMLFRDVICSSSGEIKFVELEQRQRKIQPIPQELEDVRQESKEDVCDRDVLDDSYLMSCAHMDDLPKEETPSFVGDGWRAVTWSRLISSNCWQKGYVIDSDDMQSTYEVLTSTQRDKGVEMLRFRDLFSVFPTLSLNGAGDLLYLKSTVKFKDLNGWVSSIDIGKKTIKVLKPYCNGRHIPFVQMFRSCVLCHHLTVLPGPENIGRFIETSSNENHPKTIAAVKISHEMVSCTSWLAFCESCQTSSELEFPTEFFIVRQCLRNTAGYHMAQTCCFENDPRATANTTPRHPENQFTQHGYEQRENDFILSAPNFVRWHRPPFSAGGTLPPPPPTQQPSAFGTLPPPRPSQQFSACGTMLPPSSSQPFCPSVRSLAMQTFATPGPILPGPPVTPMQWPVFVSPQHQLSACAVWPQAGTLQQELPPHIPFRAHQVLEQWITKAKLSAAITKPHYLLQKHFTKPPNGCTSLKDREHFEASVF >ORUFI03G05280.1 pep chromosome:OR_W1943:3:3556850:3557978:-1 gene:ORUFI03G05280 transcript:ORUFI03G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDTSNVAAHGFEVGFIDLDYNALDSGGPMQFLLEEMCHGNVDNAGASGIQGVARISKLDVTYNTMNDLYGKARKIKDASCRRRRLRRAIDLRRAVQTPFIELQHSPDTLHRSPARCPPPRATLCPHPDRRRRRRRKRGCCHRSVR >ORUFI03G05290.1 pep chromosome:OR_W1943:3:3563996:3568581:1 gene:ORUFI03G05290 transcript:ORUFI03G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLCHFTFLVHDPSEGMNGSRQMELHYINTGFPYTITESFMDFFEGLTYAHADFAIADAFHDQANPYWAMMHTNSYKYGYSGAGNYYSYGHVYDMNDYMHRADGGRRIWDNATPVNNTESPNVVLQGGETPHANTSSTTEECIQQQVHQNSSSPQVIWQDNIDPDNMTYEELLDLGEAVGTQSRGLSQERISLLPVTKYKCGFFSRKKTRRERCVICQMEYRRGNLQMTLPCKHVYHASCVTRWLSINKVCPVCFAEVPGDEPKRQ >ORUFI03G05300.1 pep chromosome:OR_W1943:3:3573462:3577800:1 gene:ORUFI03G05300 transcript:ORUFI03G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPYHQPTSLEEVRTLWIGDLQYWADENYLYNCFAHTGELQSVKIIRNKLTSLPEGYGFIEFISHEVAEKVLQTYNGTQMPGTEHTFRLNWASFSSGERRPDAGPDHSIFVGDLAPDVTDYLLQETFRVSYPSVKGAKVVTDPNTGRSKGYGFVKFADENEKNRAMTEMNGMYCSTRPMRISAAIPKKTTGSQLQYGAAKAMYPAAGYALPQVQPVLPDSDPTNTTIFIGNLDQNVTEDELRQICVQFGELIYVKIPANKACGFVQYASRASAEEAVQRLHGTTIGQQVVRLSWGRSPASKQDQSAVWSQQADPNQWASAYYGYGYDAYGYAQDPSYAYNSYAGYTQLRERPIWHQQLEAMPQEWKRRRLNASYIAVHGRAMLGRPLWLRTSSLPQSA >ORUFI03G05310.1 pep chromosome:OR_W1943:3:3578171:3580628:1 gene:ORUFI03G05310 transcript:ORUFI03G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEPKDIDWSRVVSRYVRDETYEGIEAPHWADLTDPEAGVAAVDDEAWFCRPDCRHPKTVEDFLKMSPSPKGKLLRSVSAMMMPFGERDTNLRDGNNNLKRRGAVAGSDIAATFTPPKPKAAAKKRFQDDSENQDPALATPPPPPPAASRPPFGAARWAKNAKDAIKSSAEKRPGNAEKEALLSKNAAPRQLKSTLSARNLFSGKDILGQISDFYNELKRMAGGNGSRPGSEAMEELSSNPINEGDVAEKKVDCGCGTGDQVPSEEAIKEKSRQETAEKSPSTMKGKKMGLKVEAAKPTRSSVLKEVKATPPTPQRFPSPSTNRVKNVKAGGMSMASSPLKKPLKEKGTPSKDLENSKDAKRQPFGVKDMNNTKACDAEGSSSMFWFLKPCTFLVE >ORUFI03G05320.1 pep chromosome:OR_W1943:3:3581845:3586717:1 gene:ORUFI03G05320 transcript:ORUFI03G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMRSTKCPWAFLGVAGALVMLVAAVHVFMVPILPSSLDFFGAGHGIGKPRNVLPGVGVVDSRLSGQFPSDSYGAVTYRGAPWKAEIGRWLAGCDAGLSVVNITEFIGTKRCEQDCNGQGVCNYELGECRCFHGYAGKRCEEVQKVECNLPSSQEWPVGRWIVSICPAHCDTTRAMCFCGPGTKYPDRPVAEACGFKTILPKKPDDPKLTDWKTPDPDVFTTNKSKPGWCNVNPEDAYSSKVKFKEECDCKYDGLWGRFCETRVECSCINQCSGHGHCRGGFCQCDGGYFGIDCSMPSNYSVAYGMPSWLQPPMNLPDLKNISSSSINVKVVAKKKRPLIYVYDLPAEFDSHLLEGRHYKFQCVNRIYDDKNRTIWTQQLYGAQIALYESILASPHRTLNGDEADYFYVPALDSCLITRSDDAPHLQMPRDLRLRSYHTLEYYRMTYDHIAQRYPYWNRTSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNTKHKNSTTAYWADNWNYIPIDRRGNHPCFDPRKDLVLPAWKQPNPAAIWLKLWARTRNNRTTLFYFNGNLGPAYKDGRHEDTYSMGIRQKLAAEFGSTPDKQGKLGRQHTANVTVTYLRTEKYYEELASSIFCGVLPGDGWSGRMEDSMLQGCIPVIIQDGILLPYENMLNYNSFAVRIQEDDIPNLIRILRGINETQVEFMLRNVRQIWQRFFYRDSILLEAQRQKRLFSEEAPWSVEVSKLDKVDDVFATFIQVLHYKLYNDPWRQGVLQRKETGLPDICSKAS >ORUFI03G05330.1 pep chromosome:OR_W1943:3:3588110:3589066:1 gene:ORUFI03G05330 transcript:ORUFI03G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYGRKRAWKKGPTRGKGGPQNAACEYRGVRQRTWGKWVAEIREPNKRTRLWLGSFATAEEAALAYDEAARRLYGPDAFLNLPHLRAASAAAAHQRLRWLPASAAAAAARGGAAAVPAYGLLNLNAQHNVHVIHQRLQELKNSSSSPTKPPPRTPTRANPPPPPLPTSSPCSTVTNSVGSAALPPPMSCFQALEQAMAATAAMESAPCDDDAAVVGFGADKPQLDLKEFLQQIGVLKADDDGATGKNGAVHGDDGELADAFGFGGSGEFDWDALAADMSDIAGGHGGALGANGGFQMDDLHEVEQFGGCMPIPIWDI >ORUFI03G05340.1 pep chromosome:OR_W1943:3:3590222:3593185:-1 gene:ORUFI03G05340 transcript:ORUFI03G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTVNHAAAAAAAAAAGSGKSFSAAAPAAPSVRLPRTRAPAAAAVSAAAVGADRAADRVSALSQVSGVLGSQWGDEGKGKLVDVLAPRFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILHEGTLCVVGNGAVIHVPGFFNEIDGLESNGVNCNGRILVSDRAHLLFDLHQAVDGLREAELANSFIGTTKRGIGPCYSSKVTRNGLRVCDLRHMDTFGDKLDVLFKDAASRFEGFEYSKSMLREEVERYKRFAERLEPFIADTVHVLNESIQQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRCIGDLIGVVKAYTTRVGSGPFPTELFGEEGDLLRKSGMEFGTTTGRPRRCGWLDIVALKYCCEINGFSSLNLTKLDVLSGLPEVKLGVSYNQPDGQKLQSFPGDLDTLEQVQVKYEVLPGWQSDISSVRSYSELPLAAQRYVERIEELVGVPVHYIGVGPGRDALIYK >ORUFI03G05350.1 pep chromosome:OR_W1943:3:3593320:3601109:1 gene:ORUFI03G05350 transcript:ORUFI03G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVVSTLPALRPLLAGSTLLLNARPLLRSRLTRRPFRAVSSSTASPSSSSSSARDFGGVDFGDERLLRCAAAGRAPLRVAVLVSGGVDSSVALRLLHAAGHRCTAFYLKIWFQEDFRNFWSECPWDDDLKYAQAVCDKIDVPLEVVHLSDEYWNHVVSHIINEYRSGRTPNPDVLCNTRIKFGAFLEAIENLGFDYIASGHYAHVVHPSPDDVEGPSVLQLSKDKVKDQTYFLSHLSQTQLRRLLFPLGCITKDEVRRLAAQMDLPNQDRKDSQGICFLGKVKFSEFVERQIGEMEGVLLEAETGDYLGTHRGFWFYTIGQRQGLRLPGGPWYVVEKDVQNNVVFVSRNYYSLDKRRRTFRVGSLNWFSNSGPTNNEQLKCKVRHSPEFHDCTVTQEQTSENGVILVVRLSEDDQGLAAGQFAAFYRDNLCLGSGIILDSWDEMNFPVCARALEIARMEDKSRLGKPVKIMNLEHIVKSEKEAIEVA >ORUFI03G05350.2 pep chromosome:OR_W1943:3:3593320:3601109:1 gene:ORUFI03G05350 transcript:ORUFI03G05350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVVSTLPALRPLLAGSTLLLNARPLLRSRLTRRPFRAVSSSTASPSSSSSSARDFGGVDFGDERLLRCAAAGRAPLRVAVLVSGGVDSSVALRLLHAAGHRCTAFYLKIWFQEDFRNFWSECPWDDDLKYAQAVCDKSIYLMRAFLEAIENLGFDYIASGHYAHVVHPSPDDVEGPSVLQLSKDKVKDQTYFLSHLSQTQLRRLLFPLGCITKDEVRRLAAQMDLPNQDRKDSQGICFLGKVKFSEFVERQIGEMEGVLLEAETGDYLGTHRGFWFYTIGQRQGLRLPGGPWYVVEKDVQNNVVFVSRNYYSLDKRRRTFRVGSLNWFSNSGPTNNEQLKCKVRHSPEFHDCTVTQEQTSENGVILVVRLSEDDQGLAAGQFAAFYRDNLCLGSGIILDSWDEMNFPVCARALEIARMEDKSRLGKPVKIMNLEHIVKSEKEAIEVA >ORUFI03G05360.1 pep chromosome:OR_W1943:3:3602378:3602578:-1 gene:ORUFI03G05360 transcript:ORUFI03G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLMALAFSAAPLTLYVPPVRSLSLFVEAIEAVFRDCAPYSQGAIFRFRLGLSRILSGLARALR >ORUFI03G05370.1 pep chromosome:OR_W1943:3:3605508:3608461:-1 gene:ORUFI03G05370 transcript:ORUFI03G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPHLTENGTVMIQFGHKMPDYESSATQSTSGSPREVSGMSEGSLNEQNDQSGNLDGYTKSDEGKMMSALSLGKSETVYAHSEPDRSQPFGISYPYADSFYGGAVATYGTHAIMHPQIVGVMSSSRVPLPIEPATEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKNRKPYLHESRHQHAMKRARGTGGRFLNTKQQPEASDGGTPRLVSANGVVFSKHEHSLSSSDLHHRRAKEGA >ORUFI03G05380.1 pep chromosome:OR_W1943:3:3617771:3619557:1 gene:ORUFI03G05380 transcript:ORUFI03G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGVVDMDEEGGAAAAADEIRRLPAEVNWEMLDKSRFFVLGAALFSGVSAALYPAVVVKTHLQVAPPPQAATATAAAILRRDGLRGFYRGFGASLAGTVPARALYMAALEATKSSVGSAAVRLGVSEPAATAAASAAGGVSAAIAAQVVWTPVDVISQRLMVQTSSTCRYRGGVDAFKKILLADGVRGLYRGFGLSIVTYAPSNAVWWASYAMAQRFIWRVVGAERSESYPSLMAVQGASAALAGGASALVTMPLDTVKTRIQVMETDGAAAARPTLKSTVRGLLKEGGWAACYRGLGPRWGSMSLSAATMVTTYEFLKRLSAKEGLWVLICGRILLLVSSICSLLELGAGARDNEWTALPIDQEHVPDSHSLETRKKEPSHPVTVNLCSEMVSEQALRLELGNSCTLGKIGHDGDGNIEFNTCKNHMGGADVR >ORUFI03G05390.1 pep chromosome:OR_W1943:3:3627398:3631618:1 gene:ORUFI03G05390 transcript:ORUFI03G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATAAAAFSLLTCSCLRSTSPTRLPSSSLRLPLRRGPLAAMSTAASFRPEAARSPPAVQPPAPPLSKVFKVALCQLSVTADKARNIARAREAIEAAAAGGAKLVLLPEIWNGPYSNDSFPEYAEDIEAGGDAAPSFSMMSEVARSLQITLVGGSISERSGNKLYNTCCVFGSDGELKGKHRKIHLFDIDIPGKITFKESKTLTAGQDLTVVETDVGRIGIGICYDIRFQELAMLYAARGAHLLCYPGAFNMTTGPLHWELLQRARAADNQLFVATCAPARDTSAGYIAWGHSTLVGPFGEVIATAEHEETTIMAEIDYSLIDQRRQFLPLQYQRRGDLYQLVDVQRSGSDE >ORUFI03G05400.1 pep chromosome:OR_W1943:3:3646982:3647914:-1 gene:ORUFI03G05400 transcript:ORUFI03G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWAAPKVTAGSARRYVADQPSFSSTLLDAIYKSMDEQPGHGGGATGVEAVAAAAKKQHEAALHYGYYYKPSLAGSYWARAPGPHATTSSSSECSSYGGFSSSEAESSHHRRLRPIRTTVPGGAPGPAPEKKAKKPGASIRAKLRDLRKPASPGARLAGFLNSIFAGKRAPATPPSATAGAESACSTASSYSRSCLSKTPSTRGQAKRTVRFLDSDTESLASSTVVDRRRVPVEAVQQMLLQRMEMESDEDDDESSDASSDLFELENFAAIAPAGAAYRDELPVYETTRVALNRAIGHGYGHGRSARVV >ORUFI03G05410.1 pep chromosome:OR_W1943:3:3656834:3659069:1 gene:ORUFI03G05410 transcript:ORUFI03G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHPSSVVDLLIDSVKHKFDYNVPWNSECGFIKDTYFAPNMALHERLNKFRQQQERCQTTLSSIAANQASTPRSNITRWVQPTNGPSTPAKPPQRKFSDDTERLQRINSVRKSPAAAQIKIVIELLEKTRQALTADQINEATYVHIHGNKEVFDRLKNNPKVHFVGNLFSYKSKYGVNGKDKLLSLIRKFPDGLAVAEIKDAYLAVLEDLKALKASGDVCLVASTTKSDEGVVYPEIDPMSKIKFDDDLKEPVRYLLPRDMLDIEKELQKNGQPTRTNAAKRRADAQILLYPPKPNKSKKKPRGLTSRTKLTNAHLPELFMDLKT >ORUFI03G05420.1 pep chromosome:OR_W1943:3:3660727:3664866:-1 gene:ORUFI03G05420 transcript:ORUFI03G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNSGWLGFSLSSSSARGYGDGCGEGNGGGDGDGSCSSPVAASPLVAMPLHSDGSVHYDAPDWRHAEAKDPKLEDFMSVSYSNKSSSNLYGSSSSSSCGHADQIKYHHVHDVQAFSTPYFYGHGGSGVGIDINMNAPPAGCTGVLPDHRPPPPQQDHIFLPPHGQYFLGPPNPVAPAPMYNAGGGGGGGVVDGSMSISGIKSWLRQAMYVPERSAAALSLSVPAAPPSEAPLPPAAMPVVRKPAQTFGQRTSQFRGVTRHRWTGRYEAHLWDNTCRKEGQTRKGRQGGYDKEEKAARAYDLAALKYWGPTTHINFPLSTYEKELEEMKHMTRQEFIAHLRRNSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDISKYDVKRICSSTHLIGGDLACRRSPTRMLPPDAPAGAAGVDVVVAPGDHQQISAGGGGASDNSDTASDGHRGAHLLHGLQYAHAMKFEAGESSGGGGGDGATTNWMAAAAATARPVAGIPTTVHHQLPVFALWND >ORUFI03G05430.1 pep chromosome:OR_W1943:3:3674187:3676687:-1 gene:ORUFI03G05430 transcript:ORUFI03G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLLPTTSGGGHLCPSPPPSRRRRCCQVAAAAGGIGRRAVSLAGVASWLTATAAGRADASPFDKYVKKKKLEPLETYVPAVLLTQDQFRDLEKSLEFEKPRYDESRSLLRSGPASSLRINIRAVAQYASSSGQGKAASDAVDECLRALEDLDSLLLQASRNNPSASVDVMRSKISVALGALDNLLQTVPSAVLDKGKAIADAYRTPTDDYEMGDATELDPRLKQLQDIL >ORUFI03G05440.1 pep chromosome:OR_W1943:3:3678394:3682419:-1 gene:ORUFI03G05440 transcript:ORUFI03G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTICPVSYRTPTLVNPYAAGPGAGAASRRSGSPDWVMLDKTAYISDRRNASTAESQTSEGQIVQGDGFSDEPSVLAAEGSLVLFRVNLSPRPRRSGTYMSPCNYFVYTAGPGRPWLDLLPDPNAMPFNSQQFGLFPCRSGVSEHYDVAFLNSEWVASDEACQFELCTFSSKTGRWSSKPVLLDLSPSEIHKVAIEHETDKLITIGHDSLGLVDLWRGIILLEKLFDDYPVMRYMTFPKPVVYTIDAYGATVCGEIAPECARDVACCDGLIKFVDIEYCYSDDVNGNGWKATIWNRMLSWKDWRKRFSVDKFDILVDPSYSTVLPDLWDDNTKMMQLKKLICTIPTLSMYDDDFVYMMSTMTEEDKNAWIISVDMKQNTLQAVAPISAERFSVLCSDCRPCAFSKYLKITSGVVIPNPVGEYTKRNHLQDRVLEALRTQDSLNELDDCSEFERSNFEEYRSLVQSSPVSSLHSNIQNVAGYYASNDIEKAASKAVNICLRTLAQLVSGNVFLSLRASEDLNQVLQESTSYPSAHAEAIRSKINVVLRAIGSLVQTVPVQPRMTTVADSHGVSKIYSEEEKNNSHEP >ORUFI03G05450.1 pep chromosome:OR_W1943:3:3686418:3689934:1 gene:ORUFI03G05450 transcript:ORUFI03G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSVVAPPPSLAGGGRGLRRRGVLHRRLAASPMKDEPVASTNGGKDEMVTDSLSVARRASHPGLSSSLSNPMSEVTTPFHPAAPSDLRFNRLRPSVEESDCKYKRFFGCYVAREAIIDEEYWIAAWLRAENRYEDQSSDRYVESFKRKFASQEFHALKRRCSKLQGEKYICFVAVKNDDLKRTVLNSVVGTLDVCIRHPLHGETFPAEPGKSSFHCRIYQPDQPKFGYLTNVCVAKYARRQGIASNMLLLAIDAARLNGAEEVYIHVHKDNLPARRLYDQIGFRMVDFDGARQSSDLCLLSFSS >ORUFI03G05460.1 pep chromosome:OR_W1943:3:3691961:3694590:-1 gene:ORUFI03G05460 transcript:ORUFI03G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIIPRTPSTSASRNHRTPAENHAARPDRSASPRPTSSLRPSHANCSAAAGAVDSDALPLPPAPLPADRLQIDAAAPGRRRRRLASPDPGRRRDSRRRPDPTPTATLPRPSRQSSIHIYDRRLSPPRALLTADPHPHRGQPRKPATTSSAGAADSLRRPHRRSTPRRLSPPRSLPTADPQRGQPNHIHTAVPGGEPPTTRICDFRSVRTVASHPHNLLPCIEGYIDLLNTAIVATSARPPSGFFCPDVMNTSVGEQQSIHVHVAKQQVSTCIFLLQDHSVSKIFYSFAGEDNHFITIVKSMPQKLLPVVD >ORUFI03G05470.1 pep chromosome:OR_W1943:3:3694787:3698951:1 gene:ORUFI03G05470 transcript:ORUFI03G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDRETAVALARLAAALDGAVLGLGTAALAVASWVKYLAASGQLRRIASAPAAAIPDLRSLLAEYGGGGGGDGDQPILAAVRGHVRAAPQGKYLVPPGSGEHCVVAKHTQLCLFNEWRGIFGWTFDLHALFFKSIKEQIITSFRWVPFVLVDPENMTGMVHVKLDRAMQPLPLTTVYHKLTPVDSTPYTLFQTIIGNGYPIALLDEEKILPVGKEITAIGYIRPHKASVEISSCSEIPFFLSDLTKDEMEAELSSRAKTLFWASVVLGTMSVCLLGFATYRSWKKIKERREARQAQEVFRQTTDEVTDDQSSDEEAGEMGDGQLCVICLRKRRKAAFIPCGHLVCCCKCALIVERQFDPLCPMLLDVLLITLRHCMVTQIVCEPEDLN >ORUFI03G05470.2 pep chromosome:OR_W1943:3:3694787:3698942:1 gene:ORUFI03G05470 transcript:ORUFI03G05470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDRETAVALARLAAALDGAVLGLGTAALAVASWVKYLAASGQLRRIASAPAAAIPDLRSLLAEYGGGGGGDGDQPILAAVRGHVRAAPQGKYLVPPGSGEHCVVAKHTQLCLFNEWRGIFGWTFDLHALFFKSIKEQIITSFRWVPFVLVDPENMTGMVHVKLDRAMQPLPLTTVYHKLTPVDSTPYTLFQTIIGNGYPIALLDEEKILPVGKEITAIGYIRPHKASVEISSCSEIPFFLSDLTKDEMEAELSSRAKTLFWASVVLGTMSVCLLGFATYRSWKKIKERREARQAQEVFRQTTDEVTDDQSSDEEAGEMGDGQLCVICLRKRRKAAFIPCGHLVCCCKCALIVERQFDPLCPMLLDVLLITLRHCMVTQIVCEPEDLN >ORUFI03G05480.1 pep chromosome:OR_W1943:3:3700221:3701389:1 gene:ORUFI03G05480 transcript:ORUFI03G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKLVMYLYAGACP >ORUFI03G05490.1 pep chromosome:OR_W1943:3:3704436:3705715:1 gene:ORUFI03G05490 transcript:ORUFI03G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKIQ >ORUFI03G05500.1 pep chromosome:OR_W1943:3:3708916:3709815:-1 gene:ORUFI03G05500 transcript:ORUFI03G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLITTSLCSFRSNSTAPRTPGMPQSFSSTTLSASIFVASGCNIGRGKGGCSSSKGVKDDELLVEDMEMAGEDELFFLNGVRVVGRASSSARQRPPWTCTSPLQRHGSTTMQALPYHTRTPLTNSRSSLSCRRRRSAFWDVDDMITSRCGVRGSMPVGAPPTAAAPSRGNRRGRRRVRHAHAAATAPLMMTSPSPRTPAAAVARWDLPPRGRGVFVLSISPLRREISVSTEAPSSPEPSPQRHRHRAASPRLRLRPFEVCGFRVVPTEDGGLGFVFMSDLGSQFWRRKNGWDDEHKNS >ORUFI03G05510.1 pep chromosome:OR_W1943:3:3709382:3709629:1 gene:ORUFI03G05510 transcript:ORUFI03G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSQKAERRRRHDKEERERLHCGAAMPLQGGGAGPRGPLPCRGRRPAHHADAVEEEELVLAGHLHVLHE >ORUFI03G05520.1 pep chromosome:OR_W1943:3:3709706:3710939:1 gene:ORUFI03G05520 transcript:ORUFI03G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADKVVEEKDCGIPGVLGAVEFDLNEQRLVVINVPMAVSDAEEVRITAAVVVGAKATEEGAVGSDEAALTRANSRGRRRGRPYYPSLCPLFYNSKMAAGPRHWNSLVVANFSKR >ORUFI03G05530.1 pep chromosome:OR_W1943:3:3711635:3713823:-1 gene:ORUFI03G05530 transcript:ORUFI03G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPPPLPPSACRRYRSSARCSRRFYFSLAAQPPPTPPSAPPSCASQPHRLFFFLRTVQSLPSPPSTTATVAVPTLSMEWHEALGGAGSASPPLARRSRRSGLAGLKMARAASTASRWRASAEQGSGEDDYGGSVVPDAGFLGGGRDGGDFVNLKDLLAQYSKMLIGVREPSPEKKSTRTSMAEATRMKESDADDPLELYKTGLTRLSKKTKSKPTSEERS >ORUFI03G05540.1 pep chromosome:OR_W1943:3:3714312:3724614:1 gene:ORUFI03G05540 transcript:ORUFI03G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKAAAKKK >ORUFI03G05550.1 pep chromosome:OR_W1943:3:3723568:3730112:-1 gene:ORUFI03G05550 transcript:ORUFI03G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASRSPLHVTAPVRGVNPLLLRRLRLGRGGGCGKASTVQRFCLVVLPRGPAVATPRSTADPSASASSAVDAAAAAGEGEGASDAATVLLDVSGMMCGGCAARVRTILAADERVETAAVNLLAESAAVRLRSPEPAAGKELAARLTECGFPSVARRGGAASGASDSARKWREMAARKAELLTRSRGRVAFAWTLVALCCGSHATHFLHSLGIHVGHDILFDGLRAFKQGSPNMNSLVGFGSAAAFAISSVSLLNPELEWNSTFFDEPVMLLGFVLLGRSLEESARLKASSDMNELVSLLSPQSRLVVTSSSDDPSSDGVLNSDAITVEVPVDDVRVGDFILVLPGETIPVDGNVLGGSSFVDESMLTGESLPVPKEKGFPVFAGTVNWDGPLKIKATTTGPSSTIAKIVRMVEDAQAREAPVQRLADSIAGPFVYTVMTLSAATFSFWYYIGTHIFPEVLLNDISGPDGDSLLLSLKLAVDVLVVSCPCALGLATPTAILIGTSLGAKRGLLIRGGDVLERLAGIDAIVLDKTGTLTKGRPVVTSIASLAYEEAEILRLAAAVEKTALHPIANAIMEEAELLKLDIPATSGQLTEPGFGCLAEVDGCLVAVGTLDWVHNRFETKASSTELTDLGNHLEFVSSSEASSNHSKSIAYVGREGEGIIGAIAVSDVLRDDAKATVDRLQQEEILTFLLSGDRKEAVESIGRTVGIRSENIKSSLTPHEKAGIITALQGEGRRVAMVGDGINDAPSLAAADVGVAMRTNSKESAASDAASVVLLGNRLSQVMDALSLSKATMAKVHQNLAWAVAYNIVAIPIAAGVLLPQFDFAMTPSLSGGLMALSSIFVVSNSLLLQLHGSFQSTEKQREDLNSRLN >ORUFI03G05550.2 pep chromosome:OR_W1943:3:3723568:3730112:-1 gene:ORUFI03G05550 transcript:ORUFI03G05550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASRSPLHVTAPVRGVNPLLLRRLRLGRGGGCGKASTVQRFCLVVLPRGPAVATPRSTADPSASASSAVDAAAAAGEGEGASDAATVLLDVSGMMCGGCAARVRTILAADERVETAAVNLLAESAAVRLRSPEPAAGKELAARLTECGFPSVARRGGAASGASDSARKWREMAARKAELLTRSRGRVAFAWTLVALCCGSHATHFLHSLGIHVGHGFLSFSQNVFNVTPDILFDGLRAFKQGSPNMNSLVGFGSAAAFAISSVSLLNPELEWNSTFFDEPVMLLGFVLLGRSLEESARLKASSDMNELVSLLSPQSRLVVTSSSDDPSSDGVLNSDAITVEVPVDDVRVGDFILVLPGETIPVDGNVLGGSSFVDESMLTGESLPVPKEKGFPVFAGTVNWDGPLKIKATTTGPSSTIAKIVRMVEDAQAREAPVQRLADSIAGPFVYTVMTLSAATFSFWYYIGTHIFPEVLLNDISGPDGDSLLLSLKLAVDVLVVSCPCALGLATPTAILIGTSLGAKRGLLIRGGDVLERLAGIDAIVLDKTGTLTKGRPVVTSIASLAYEEAEILRLAAAVEKTALHPIANAIMEEAELLKLDIPATSGQLTEPGFGCLAEVDGCLVAVGTLDWVHNRFETKASSTELTDLGNHLEFVSSSEASSNHSKSIAYVGREGEGIIGAIAVSDVLRDDAKATVDRLQQEEILTFLLSGDRKEAVESIGRTVGIRSENIKSSLTPHEKAGIITALQGEGRRVAMVGDGINDAPSLAAADVGVAMRTNSKESAASDAASVVLLGNRLSQVMDALSLSKATMAKVHQNLAWAVAYNIVAIPIAAGVLLPQFDFAMTPSLSGGLMALSSIFVVSNSLLLQLHGSFQSTEKQREDLNSRLN >ORUFI03G05560.1 pep chromosome:OR_W1943:3:3732760:3734955:1 gene:ORUFI03G05560 transcript:ORUFI03G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNADPPRHHPSTPPLLLAMRHLPFPGVHRPRALPAPDLAPLAARLEELAAAAAAHPLLKPLFAFHSHLAAFSQSRRRAMATMRRRRTTGECPLSGEHCFAAVLGDSVAGVVVSSGINNFLSLYNTVLVVRLVLTWFPNTPPAIVAPLSTICDPYLNIFRGIIPPLGGTLDLSPILAFLVLNALSSTAAALPAELPDPAPPTSRGATSSSSVLTANRRKWMRRIRPVKSQEGEEEM >ORUFI03G05570.1 pep chromosome:OR_W1943:3:3734490:3735675:-1 gene:ORUFI03G05570 transcript:ORUFI03G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVIPLMEYIARRAFLAAGLRPSTVTLPSTSGDGEARTIHYWAPPGEPRLPPLLLIHGFGPMATWQWRRQVGPFSRRFHIIVPDLLCFGASSSSSSPPPSESAQAAALLDALPALVGTAARVAVAGTSYGGFVAYAMARKAGPERVGPVAISNSDLLKTAEDDGAFLERAGGGWTHPADVLMPLDARGARRLMELTFYRKQAGAMLPDFVIRDIMKKLFSDKREEKIELMNATTVGTDAFQLTPLAQDVLLIWGDHDQIFPLDKAFAVKSCLGDHVRLEIIKKTGHVPQMEDPDRFNKIVLDFLLGSQGSPSNEH >ORUFI03G05580.1 pep chromosome:OR_W1943:3:3736740:3739586:-1 gene:ORUFI03G05580 transcript:ORUFI03G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSLVPLLDYFARREFAAAGLSPGAVTLPYPGDGGEATCTVHYWASTGEPLLPPLLLVHGFGPRATWQWRCQVGPLSRHFHLIVPDLIGFGGSSFGGDSAASPPSEATQAAALAALLDALPGMKGRRVAVAGTSYGGFVAYWLARTAGAGRVGPVVIASSDLLKTAADDRGFLKRAGDGWSGVEEVLLPAEPAAMRKLLEMASCRPPPPVLMPDFILRDFIQKLFTENREQLIQLFKGITVGTDKFPVTPISQEVLIVWGEQDQLFPVEKAYAVQSSLDGKARVEIISKTGHAPQLEDPTRFNKILLDFLLATHKPDPSSNGASQ >ORUFI03G05590.1 pep chromosome:OR_W1943:3:3740416:3744954:-1 gene:ORUFI03G05590 transcript:ORUFI03G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREERAVEESLLPETADGLRRLVRRSMHRPPPWMPDFVLDDFIKLMCVVQRKERAELLHELLKNGAGIDPLPVLTQKTLILWGDKDQVFPLDLGHRLQRHLGDVSRLEIIKDAGHALQLEGADQVNRFIKSFLLDS >ORUFI03G05590.2 pep chromosome:OR_W1943:3:3744960:3745531:-1 gene:ORUFI03G05590 transcript:ORUFI03G05590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRHATATASALFALLPLSLLLFRLLVLLVRLRLAAFRDAALSLHFLARLRIRPVHLRLPGTDATTVRVWCPAAPSAKPPLLLLHGFGGDSKWTWARNLPALSRHFHVYAPDLLFFGAHSRSASPLRTVAFQARCAAEAMRLLGVDRYDVVGISYGGFVAYRLAAVEGRDRVPRVVRRGGDAGGR >ORUFI03G05600.1 pep chromosome:OR_W1943:3:3751678:3753022:-1 gene:ORUFI03G05600 transcript:ORUFI03G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGMFSGRVDKEYFVYKAGSGTESPSLRRIPTHDPRYNRGEDIGIIRCGDHGQFFLAALLFTSCNIREFTLHLYSSASDQWTMKSVPLDPSCNLERVDSKYYPALPHKTIQLGGSLLGWVDLWKGILICDVLADHPVVRFIRLPELMPGNYCHDSPHMIRDVHCMGGVIKFIEMEHFLIPTVEPTQEPTQGRRRPGEEANILYDWDLEPPCKEDAPDPDIWLKSFVGWRTVIWDRMVYGNCWNKVCKASYDEIMVPDPSHYEMLSELGDGSAGNLVLMNLSTDSPTLSIGGDNVVHMSSIVKLDRGRSVMMALNLQRKTVEALALYGPERKTCHPYRPCTLSKYLKISPGSFRDWKVNDLPRAISKPVPQPISMRPWRTDLGGSRHQRRAAPY >ORUFI03G05610.1 pep chromosome:OR_W1943:3:3753053:3753286:-1 gene:ORUFI03G05610 transcript:ORUFI03G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQTRLATAFRPPAWMLLEDKVHHAQCTNATTATATTSHGDVVEVSFCVDNPPAISCVHSPTLTAADFTAAPSVAC >ORUFI03G05620.1 pep chromosome:OR_W1943:3:3753586:3766572:1 gene:ORUFI03G05620 transcript:ORUFI03G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGVRRFHQSLAQASKPFFSPAHPVRRRRRRSPGAIAMSPAAASPDDRIRSYEDFARVHAYLLAASGIPPSLHQRLYRKLADEVFDGGEAFSVEPCEGGRQRRLVLAAEWTLGRESDVFLVDHAWSFRLSDALKQLREVPGLAERMAALMCVDLDERTELEEADEQDNGNGGSLESALEVVEKERTRIQEKGSDFAAWLELEELGIDDDMLIALDLSSKFPNMVALNLWGNKLQDPEKIMKGIGECRRLKALWLNENPALKEGVDKVILDGLPELEIYNSHFTRKAGEWALGFCGDIIGADNPCSSAESIPLENIASLDLSDRCIHKLPVVFSPRKLSSLLSLNIRGNPLDQMSSDDLLKLISGFTQLQELEVDIPGSLGNSAISILECLPNLSLLNGINVASIIESGKHIIDSALKPRLPEWSPQESLPERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDDANFRIAPFLFMPDVTQFCGLFMMFILEKSALEFRKYKEQLQSSSICPSRKVTPVTKSIRPSDGHALRVFTDIPQVEEFLTRPEFVLTSDPKEADIIWVSMQVDSELKNALGLTDQQYTNQFPFEACLVMKHHLAETIHKAWGSPEWLQPTYNLETHLSPLIGDYCVRKRDGMDNLWIMKPWNMARTIDTTVTGDLSAIIRLMETGPKICQKYIECPALFQGRKFDLRYIVFVRSICPLEIFLSDVFWVRLANNQYTLEKTSFFEYETHFTVMNYIGRMNHMNTPEFVKEFEKEHQVKWLEIHGRIRDMIRCVFESATAVHPEMQNPFSRAIYGVDVMLDNKFNPKILEVTYCPDCTRACKYDTQALVGSQGVIRGTEFFNTVFGCLFLDELKDVSPLQPDRDAAMRAAVAHRILLSLALFAVLCRCDPDLLFDYCVADTAAATAAGAFHLNGLACIDPALARADHFATSALSRATNPAATLYGFNATLTSPAASLPGANAQGLAMARIDLAPGGMAPPHSHPRASEAALVLSGSVLVGFADTSYRLYTQLLRAGEAFVFPRAMVHFLYNMDTAAPAVVLSGLNSQSPGAQLVPFSAFRTEPRLPDEVLKKAFKITGQDLPSSAVGASRRCGSPDWVMLDETAYISDRRNKSTAESQTSEGQIIQVSFWLVDPPGLSYFTVHCPGLEEDGLDLSPCDYFVYRAAPWSPWLDLLPDPNVMSFNSKKFGLFPCRSGVSEHYNVAFLNIEWVPSDVACQFELYIFSSKNRKWDVKPVLQDLSRSENHKVALEHEIDKLINIGHDSLCLVDLWHGIILLEKMFDDYPVVRYMTFPKPVVYTTDAYGETVCGETAPECVRDVACCNGLIKFVDVEYCYTDETNGNGWKATTWNRLPSWKDWRKRFTVDKTDILVDPSYAAVLPELWDNNTKNMELKRLICSIPTLSMLDDDFLYMITKMNEEDKNAWIISVDMKHNTLQDVAPISAARFSILSSICHPCAISNARGKPGVIKGSEFFNFVFGCLFLDELKDVSQL >ORUFI03G05620.2 pep chromosome:OR_W1943:3:3753586:3761389:1 gene:ORUFI03G05620 transcript:ORUFI03G05620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGVRRFHQSLAQASKPFFSPAHPVRRRRRRSPGAIAMSPAAASPDDRIRSYEDFARVHAYLLAASGIPPSLHQRLYRKLADEVFDGGEAFSVEPCEGGRQRRLVLAAEWTLGRESDVFLVDHAWSFRLSDALKQLREVPGLAERMAALMCVDLDERTELEEADEQDNGNGGSLESALEVVEKERTRIQEKGSDFAAWLELEELGIDDDMLIALDLSSKFPNMVALNLWGNKLQDPEKIMKGIGECRRLKALWLNENPALKEGVDKVILDGLPELEIYNSHFTRKAGEWALGFCGDIIGADNPCSSAESIPLENIASLDLSDRCIHKLPVVFSPRKLSSLLSLNIRGNPLDQMSSDDLLKLISGFTQLQELEVDIPGSLGNSAISILECLPNLSLLNGINVASIIESGKHIIDSALKPRLPEWSPQESLPERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDDANFRIAPFLFMPDVTQFCGLFMMFILEKSALEFRKYKEQLQSSSICPSRKVTPVTKSIRPSDGHALRVFTDIPQVEEFLTRPEFVLTSDPKEADIIWVSMQVDSELKNALGLTDQQYTNQFPFEACLVMKHHLAETIHKAWGSPEWLQPTYNLETHLSPLIGDYCVRKRDGMDNLWIMKPWNMARTIDTTVTGDLSAIIRLMETGPKICQKYIECPALFQGRKFDLRYIVFVRSICPLEIFLSDVFWVRLANNQYTLEKTSFFEYETHFTVMNYIGRMNHMNTPEFVKEFEKEHQVKWLEIHGRIRDMIRCVFESATAVHPEMQNPFSRAIYGVDVMLDNKFNPKILEVTYCPDCTRACKYDTQALVGSQGVIRGTEFFNTVFGCLFLDELKDVSPL >ORUFI03G05620.3 pep chromosome:OR_W1943:3:3753586:3766968:1 gene:ORUFI03G05620 transcript:ORUFI03G05620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGVRRFHQSLAQASKPFFSPAHPVRRRRRRSPGAIAMSPAAASPDDRIRSYEDFARVHAYLLAASGIPPSLHQRLYRKLADEVFDGGEAFSVEPCEGGRQRRLVLAAEWTLGRESDVFLVDHAWSFRLSDALKQLREVPGLAERMAALMCVDLDERTELEEADEQDNGNGGSLESALEVVEKERTRIQEKGSDFAAWLELEELGIDDDMLIALDLSSKFPNMVALNLWGNKLQDPEKIMKGIGECRRLKALWLNENPALKEGVDKVILDGLPELEIYNSHFTRKAGEWALGFCGDIIGADNPCSSAESIPLENIASLDLSDRCIHKLPVVFSPRKLSSLLSLNIRGNPLDQMSSDDLLKLISGFTQLQELEVDIPGSLGNSAISILECLPNLSLLNGINVASIIESGKHIIDSALKPRLPEWSPQESLPERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDDANFRIAPFLFMPDVTQFCGLFMMFILEKSALEFRKYKEQLQSSSICPSRKVTPVTKSIRPSDGHALRVFTDIPQVEEFLTRPEFVLTSDPKEADIIWVSMQVDSELKNALGLTDQQYTNQFPFEACLVMKHHLAETIHKAWGSPEWLQPTYNLETHLSPLIGDYCVRKRDGMDNLWIMKPWNMARTIDTTVTGDLSAIIRLMETGPKICQKYIECPALFQGRKFDLRYIVFVRSICPLEIFLSDVFWVRLANNQYTLEKTSFFEYETHFTVMNYIGRMNHMNTPEFVKEFEKEHQVKWLEIHGRIRDMIRCVFESATAVHPEMQNPFSRAIYGVDVMLDNKFNPKILEVTYCPDCTRACKYDTQALVGSQGVIRGTEFFNTVFGCLFLDELKDVSPL >ORUFI03G05620.4 pep chromosome:OR_W1943:3:3753586:3760443:1 gene:ORUFI03G05620 transcript:ORUFI03G05620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGVRRFHQSLAQASKPFFSPAHPVRRRRRRSPGAIAMSPAAASPDDRIRSYEDFARVHAYLLAASGIPPSLHQRLYRKLADEVFDGGEAFSVEPCEGGRQRRLVLAAEWTLGRESDVFLVDHAWSFRLSDALKQLREVPGLAERMAALMCVDLDERTELEEADEQDNGNGGSLESALEVVEKERTRIQEKGSDFAAWLELEELGIDDDMLIALDLSSKFPNMVALNLWGNKLQDPEKIMKGIGECRRLKALWLNENPALKEGVDKVILDGLPELEIYNSHFTRKAGEWALGFCGDIIGADNPCSSAESIPLENIASLDLSDRCIHKLPVVFSPRKLSSLLSLNIRGNPLDQMSSDDLLKLISGFTQLQELEVDIPGSLGNSAISILECLPNLSLLNGINVASIIESGKHIIDSALKPRLPEWSPQESLPERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDDANFRIAPFLFMPDVTQFCGLFMMFILEKSALEFRKYKEQLQSSSICPSRKVTPVTKSIRPSDGHALRVFTDIPQVEEFLTRPEFVLTSDPKEADIIWVSMQVDSELKNALGLTDQQYTNQFPFEACLVMKHHLAETIHKAWGSPEWLQPTYNLETHLSPLIGDYCVRKRDGMDNLWIMKPWNMARTIDTTVTGDLSAIIRLMETGPKICQKYIECPALFQGRKFDLRYIVFVRSICPLEIFLSDVFWVRLANNQYTLEKTSFFEYETHFTVMNYIGRMNHMNTPEFVKEFEKEHQVKWLEIHGRIRDMIRCVFESATAVHPEMQNPFSRAIYGVDVMLDNKFNPKILEVTYCPDCTRACKYDTQALVGSQGVIRGTEFFNTVFGCLFLDELKDVSPLY >ORUFI03G05620.5 pep chromosome:OR_W1943:3:3760526:3766572:1 gene:ORUFI03G05620 transcript:ORUFI03G05620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVAHRILLSLALFAVLCRCDPDLLFDYCVADTAAATAAGAFHLNGLACIDPALARADHFATSALSRATNPAATLYGFNATLTSPAASLPGANAQGLAMARIDLAPGGMAPPHSHPRASEAALVLSGSVLVGFADTSYRLYTQLLRAGEAFVFPRAMVHFLYNMDTAAPAVVLSGLNSQSPGAQLVPFSAFRTEPRLPDEVLKKAFKITGQDLPSSAVGASRRCGSPDWVMLDETAYISDRRNKSTAESQTSEGQIIQVSFWLVDPPGLSYFTVHCPGLEEDGLDLSPCDYFVYRAAPWSPWLDLLPDPNVMSFNSKKFGLFPCRSGVSEHYNVAFLNIEWVPSDVACQFELYIFSSKNRKWDVKPVLQDLSRSENHKVALEHEIDKLINIGHDSLCLVDLWHGIILLEKMFDDYPVVRYMTFPKPVVYTTDAYGETVCGETAPECVRDVACCNGLIKFVDVEYCYTDETNGNGWKATTWNRLPSWKDWRKRFTVDKTDILVDPSYAAVLPELWDNNTKNMELKRLICSIPTLSMLDDDFLYMITKMNEEDKNAWIISVDMKHNTLQDVAPISAARFSILSSICHPCAISNARGKPGVIKGSEFFNFVFGCLFLDELKDVSQL >ORUFI03G05630.1 pep chromosome:OR_W1943:3:3775353:3781498:1 gene:ORUFI03G05630 transcript:ORUFI03G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQAWSSLFGCFTSHHDGGGKRKKGGGGGKKAKKKVAAAASQKQRKLQSRLSFSDLSFGGMVSPEDLSLSLAGSNLHVFTIAELRAVTRDFSMTNFIGEGGFGPVYKGYVDDKLKPGLRAQPVAVKLLDLEGTQGHNEWLTEVIFLGQLRHPHLVKLIGYCYEDEHRLLVYEFMTRGSLEKHLFKKYAASLPWSTRLKIAIGAAKGLAFLHEAEKPVIYRDFKTSNILLDSDFKAKLSDFGLAKDGPEDDETHVSTRVMGTQGYAAPEYIMTGHLTAKSDVYGFGVVLLELLSGRKSVDKSRPAREQNLVEWARPYLTDARRLGRVMDRNLAGQYPAKAAQKAAALAHRCVSLNPKSRPHMSAVVEALEPLLALDDDCLVGTFVYVAPPDDVAANGDGSSKRRAGRRRSDGAAAAAAAAADGVQRE >ORUFI03G05640.1 pep chromosome:OR_W1943:3:3782636:3783037:1 gene:ORUFI03G05640 transcript:ORUFI03G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAACAAVAGATVACLPGAPAGAAFGLGLAGLLFLLPFSSLYVPMLRPPVDMSRPFLSFTIGTALALAAAYLALLLLAATDKMLGADAVTGFLWGADLTGAASLGWFVLTTKDTTRSYIIREERLEVVKIG >ORUFI03G05650.1 pep chromosome:OR_W1943:3:3783889:3784743:-1 gene:ORUFI03G05650 transcript:ORUFI03G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYAALTPVVSKIFCSSSQAVLMVRRRPPTVNGGGFVVTDRDQRVVFSVDGCGIIGASGQLIVRDGDGTAILFIHKKGGVVQVLSINNWWKGYLMDYGEPSKLVFSFQDPKPVLCMNGDVRVAVEPKGRKRHWDYEVIGSFSQRACTVRSRAGHVVAQIGVKGMLAGKDFYHVVVQPGYDQAFVVGVIAILDNIHGESTRC >ORUFI03G05660.1 pep chromosome:OR_W1943:3:3789112:3795969:1 gene:ORUFI03G05660 transcript:ORUFI03G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGLTRAAAAATVTPPAPRRGWGELRFAPLLPGERHGRRKVVVAAISEEVPRLAASPSSGIKGGGAGERRPAPEKVALRAALTVRRKQKEDIKETVAGHLDALWDMVGRNVVLELISTKIHPRTKKPMQSGRVSIKDWCQKRGAKGDHVVYTAEFTVDADFGEPGAIAVANRHNREFFLESIVVEGGGLPCGPVHFACNSWVQSTRELPTKRVFFSNKPYLPSETPPGLRELREKELKDLRGDGTGVRKLSDRIYDYATYNDLGNPDKGKEFIRPILGGEKIPYPRRCRTGRPPTDTNMLAESRVEKPHPIYVPRDEAFEELKQGAFSSGRLRAVLHTLIPSLIASISAETHNFQGFHHIDNLYKEGLRLKLGLQEHLFQKIPLVQKIQESSEGMLRYDTPSILSKDKFAWLRDDGFARQAVAGINPVNIERLQVFPPVSKLDPAIYGPPESSITETHIAGHLNGLTVQQAMDEAKLFIVDYHDAYLPFLDRINAIDGRKAYATRTIFFLTEAGTLKPIAIELSLPPAKPGEPRPSKVLTPPYDATSNWLWMLAKAHVSSNDAGVHQLVNHWLRTHATMEPFILAAHRHMSAMHPIFKLLHPHMRYTLEINALARQSLINADGVIESCFTPGPVSGEISAAYYRNHWRGVAVEDATQPHGVRLLIEDYPYANDGLLLWSAIRSWVESYVQLYYPDAGTVQCDLELQGWYHESIHVGHGDLRHAPWWPPLSTPVDLASILTTLVWLASAQHAALNFGQYPLGGYVPNRPPLIRRLLPDLERDAAEYAAFLADPHRFFLNAMPGVLEATKFMAVVDTLSTHSPDEEYLGEGRDEGGVPWTADEAAVAAHGMFAADVRRAEETIERRNADHGRKNRCGAGVLPYELLAPSSPPGVTCRGVPNSISI >ORUFI03G05670.1 pep chromosome:OR_W1943:3:3796612:3798531:-1 gene:ORUFI03G05670 transcript:ORUFI03G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSAGPHPRRIQPLAAASLVLFLFLLYSRSGGSLVRSPRVLSFDSHTGHRRFLSDADPISPASNLSEIGELNGEQPPVDPSVACAGVARHEGFGSQCEFLRAHPQCSSGGFVDYLGFFYCRCERFRVLGYAVLGVCLAALFYMLGNTAADYFCCSLEKMSALLRLPPTVAGVTLLPFGNGAPDVFASIAAFMGTGAGDVGLNSVLGGAVFVTCVVVGAVSLCVAEKNVQIDRRCFVRDVGFFLMTLVALSIILIVGKVTVWGAIMFVSIYVVYAFVVAANEVLRKHARRLKFDVVTPLLPVRGSIFAQGTEDDESVYSSLLEEESDGDVAQINTSLPQWMWASHVAIYSNHGIRGGSPDSSRPLWGWSDEEVDNSTVSFSKLFLFLELPLTIPRRLTIPIVEEDRWSKEYAVASAGLAPVLLAFLWSSQDGVSTKAHIAAYVIAGISGMALASLAFMFTDHERPPRRFLFPWVLGGFVMSIIWFYIIANELVALLVAFGVILGINPSILGLTVLAWGNSMGDLMSNVALAMNGGDGVQIAMSGCYAGPMFNTLAGLGISMLLGAWSTAPNSYVLPQDSSLIYTMSFLVGGLIWALVMLPRGGMQPNKILGVGLIALYSVFLFIRVSNAMGILPLPGLS >ORUFI03G05680.1 pep chromosome:OR_W1943:3:3802335:3802760:-1 gene:ORUFI03G05680 transcript:ORUFI03G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAAGQEVNPGVVVAAVVEVEWAECACCGLREECTPTYAAGVRARYGGRWLCGLCGEAVGEELAAAGGSAGDDGSVVVEVEAAIARHAAFCRALGCRSPAAAERLIAAVRRLLRGAGEGGRKEKAKAVLVLEFHDA >ORUFI03G05690.1 pep chromosome:OR_W1943:3:3804762:3805945:-1 gene:ORUFI03G05690 transcript:ORUFI03G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPHVKWRLLWRVCLSREGSRGPHLSMRWGPRGGAVPASSCALFEGARWRSEYFVANDSLVAWDFVVLDAISYQKSTEQPIRSLVTLAFADLLQHHGQSARKFTTRVWQQSEAESHLYELANISRKKETELS >ORUFI03G05700.1 pep chromosome:OR_W1943:3:3806149:3813039:1 gene:ORUFI03G05700 transcript:ORUFI03G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEERTLSLINKTTALNPNAQEFVPSSLRSINDVSNRSDTSRISVSGSSKDTIADQQDPVIPSNPDEEAHRYWQEQLPDDITPDFKVLGQDEMPGPDNISLTGLSINDSIGASLFSPNQTSNLQHRASPFIRDTLSTRSKIEFPSPTYVEEQSRATIMSPTASAMSPTAAPWVKTVRNGVQYNANRRDASHYNGDSSIGAPVQNLTDAYFGSRRSFSSTMDIMSQLENKVDGRFNQNLRSLSFGHSSPPSPASYGQNGLANYNKEAFGLANNTYRSHSAVLADDILSPSAGREHISLDAPRGRYNTTNLPVSGLGSSRGSQFMASSFNGNHDIVSNNTLQNIAGVQTGPAWLEADTAANMFLESKDEAHDFASLRHALLEQDRQVLLTGANPLAKELNIKELYNLQSRLAQEKARDVYRQRFQMPEFQGLVQEQNTPIDLCGLHVSEAIHALNYELNNRRKIARSTGRRLQVIIISSTRTPARLTAAVEQYLLEHGIQYTQAQPGLFRVLLQ >ORUFI03G05700.2 pep chromosome:OR_W1943:3:3806177:3813039:1 gene:ORUFI03G05700 transcript:ORUFI03G05700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEERTLSLINKTTALNPNAQEFVPSSLRSINDVSNRSDTSRISVSGSSKDTIADQQDPVIPSNPDEEAHRYWQEQLPDDITPDFKVLGQDEMPGPDNISLTGLSINDSIGASLFSPNQTSNLQHRASPFIRDTLSTRSKIEFPSPTYVEEQSRATIMSPTASAMSPTAAPWVKTVRNGVQYNANRRDASHYNGDSSIGAPVQNLTDAYFGSRRSFSSTMDIMSQLENKVDGRFNQNLRSLSFGHSSPPSPASYGQNGLANYNKEAFGLANNTYRSHSAVLADDILSPSAGREHISLDAPRGRYNTTNLPVSGLGSSRGSQFMASSFNGNHDIVSNNTLQNIAGVQTGPAWLEADTAANMFLESKDEAHDFASLRHALLEQDRQVLLTGANPLAKELNIKELYNLQSRLAQEKARDVYRQRFQMPEFQGLVQEQNTPIDLCGLHVSEAIHALNYELNNRRKIARSTGRRLQVIIISSTRTPARLTAAVEQYLLEHGIQYTQAQPGLFRVLLQ >ORUFI03G05700.3 pep chromosome:OR_W1943:3:3806143:3813039:1 gene:ORUFI03G05700 transcript:ORUFI03G05700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEERTLSLINKTTALNPNAQEFVPSSLRSINDVSNRSDTSRISVSGSSKDTIADQQDPVIPSNPDEEAHRYWQEQLPDDITPDFKVLGQDEMPGPDNISLTGLSINDSIGASLFSPNQTSNLQHRASPFIRDTLSTRSKIEFPSPTYVEEQSRATIMSPTASAMSPTAAPWVKTVRNGVQYNANRRDASHYNGDSSIGAPVQNLTDAYFGSRRSFSSTMDIMSQLENKVDGRFNQNLRSLSFGHSSPPSPASYGQNGLANYNKEAFGLANNTYRSHSAVLADDILSPSAGREHISLDAPRGRYNTTNLPVSGLGSSRGSQFMASSFNGNHDIVSNNTLQNIAGVQTGPAWLEADTAANMFLESKDEAHDFASLRHALLEQDRQVLLTGANPLAKELNIKELYNLQSRLAQEKARDVYRQRFQMPEFQGLVQEQNTPIDLCGLHVSEAIHALNYELNNRRKIARSTGRRLQVIIISSTRTPARLTAAVEQYLLEHGIQYTQAQPGLFRVLLQ >ORUFI03G05700.4 pep chromosome:OR_W1943:3:3806143:3813039:1 gene:ORUFI03G05700 transcript:ORUFI03G05700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEERTLSLINKTTALNPNAQEFVPSSLRSINDVSNRSDTSRISVSGSSKDTIADQQDPVIPSNPDEEAHRYWQEQLPDDITPDFKVLGQDEMPGPDNISLTGLSINDSIGASLFSPNQTSNLQHRASPFIRDTLSTRSKIEFPSPTYVEEQSRATIMSPTASAMSPTAAPWVKTVRNGVQYNANRRDASHYNGDSSIGAPVQNLTDAYFGSRRSFSSTMDIMSQLENKVDGRFNQNLRSLSFGHSSPPSPASYGQNGLANYNKEAFGLANNTYRSHSAVLADDILSPSAGREHISLDAPRGRYNTTNLPVSGLGSSRGSQFMASSFNGNHDIVSNNTLQNIAGVQTGPAWLEADTAANMFLESKDEAHDFASLRHALLEQDRQVLLTGANPLAKELNIKELYNLQSRLAQEKARDVYRQRFQMPEFQGLVQEQNTPIDLCGLHVSEAIHALNYELNNRRKIARSTGRRLQVIIISSTRTPARLTAAVEQYLLEHGIQYTQAQPGLFRVLLQ >ORUFI03G05710.1 pep chromosome:OR_W1943:3:3813351:3815497:-1 gene:ORUFI03G05710 transcript:ORUFI03G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTGAGYDRHITIFSPEGRLYQVEYAFKAVKSAGVTSIGVRGKDSVCVVTQKKVPDKLLDHTSVTHLFPITKYIGLLATGLTADARSLVYQARNEAAEFRFKWGYEMPVDVLAKWIADKAQVYTQHAYMRPLGVVAMVLGYDEEKNAQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKDDPQFSYEETVQIAISALQSVLQEDFKATEIEVGVVRKDDRVFRALTTEEIDQHLTAISERD >ORUFI03G05720.1 pep chromosome:OR_W1943:3:3826068:3828161:1 gene:ORUFI03G05720 transcript:ORUFI03G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALNWVQTRLHGTRKQDHTAVSSRRAHTSGDLHRNGDELDDGWAAAMLSIGTLGGPKGRHGSGTPWTTAAAGADELDRLQEELRLLVRAQAVVTGGEDDDGGGGGGRQRRSLSRTSSSTNGREVVAKLKQRSIRKIMAAALGGLLHRPSCRETMPEATVSEIIWSLLHKNTHPEKPALPHTVMKGDPTVPTPQKDKQEGTKWIRTDSEYPTWFTKGSA >ORUFI03G05730.1 pep chromosome:OR_W1943:3:3827963:3831716:-1 gene:ORUFI03G05730 transcript:ORUFI03G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALEAGRRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLLLRENPSIHIHEMKSVQLTGILKISGALTLLLKAAIQFIILIWYLCFKIPRPDVFIVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMKHELDQKWGINATVLYDQSPEFFHPASLTEKHEFLLFALTTQRKKWKTGTLLYLQAGSMPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAATLGEDDSMDEGKLWIDIKNGKQFVYPRLLFIITGKGPDRMKYEEQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIDELVKINNNGLLFSTSSELADELMMLFKGFPEECDDLKSLKVGALNTGSSSKWSTEWERYALPLVNQVIG >ORUFI03G05730.2 pep chromosome:OR_W1943:3:3828123:3831716:-1 gene:ORUFI03G05730 transcript:ORUFI03G05730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALEAGRRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLLLRENPSIHIHEMKSVQLTGILKISGALTLLLKAAIQFIILIWYLCFKIPRPDVFIVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMKHELDQKWGINATVLYDQSPEFFHPASLTEKHEKEVEDRNTTVFTSWVDGEIFLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAATLGEDDSMDEGKLWIDIKNGKQFVYPRLLFIITGKGPDRMKYEEQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIDELVKINNNGLLFSTSSELADELMMLFKGFPEECDDLKSLKVGALNTGSSSKWSTEWERYALPLVNQVGS >ORUFI03G05740.1 pep chromosome:OR_W1943:3:3831752:3832508:-1 gene:ORUFI03G05740 transcript:ORUFI03G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNSGLPNKDESRGGWTPRGGARRRAVARRRQPEESSRRPASPPVSPSPRTAIGSSARVGKQAAPLSPTHPKCGGGAGTSLLGGG >ORUFI03G05750.1 pep chromosome:OR_W1943:3:3836356:3836895:-1 gene:ORUFI03G05750 transcript:ORUFI03G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDPMTRRFAVACGVLSQYVKANSSQPSTAAPVAQGVSGLMAAAAAAAAAPVVQEPGCEVDGGGQQFTIFYAGKVVVIDRCTPAMAAELMRFASAAQGGGGAPEAPPALVDMPIARKASLKRFLAKRKATPASARSSYVVRAAAAEEEQPPAKKAKAAVERREDWLALGSLGHMHSR >ORUFI03G05760.1 pep chromosome:OR_W1943:3:3840423:3842705:1 gene:ORUFI03G05760 transcript:ORUFI03G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSEQQLVANAAATTVAGNGSRFAVTCGLLRQYMKEHSGSNGGGGFLPAVTAMSLMTGGADAEEEAPEVRKTMELFPQQAGTLKDTQERKEITEKAQLTIFYGGSVVVFDDFPAEKAGELMKLAGSRDSTAAAAVSDAGAAAGQPCLPDMPIARKVSLQRFLEKRKNRIVVAEPLPESEKKEAESSKRAKKDDGGASWLQVNPTLSL >ORUFI03G05770.1 pep chromosome:OR_W1943:3:3850367:3850924:1 gene:ORUFI03G05770 transcript:ORUFI03G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSRRFAVACGVLSQYVRAEQKMAAAAGAAPARAVTTLSLMPGAEVVVEEEERREVGEEEAGPATAPAAPLTIFYGGRMVVFEDFPADKAAEVMRMASSGMAAAPAQREGAALADMPIMRKASLQRFFAKRKDRLAATTPYARPSPAETKASEPEEKKTPTSWLDLAASASAAARRDSLTIAL >ORUFI03G05780.1 pep chromosome:OR_W1943:3:3861915:3863180:1 gene:ORUFI03G05780 transcript:ORUFI03G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRNVRQRSKKKRVHTLEVATERWKVLTKVLAVVDALKKEEEHVTPLKRLEILRPQLGLTKPNKVAHFVSRSPQLFEVCRDSRGVMWAGLSPQAEALVEEEARLLEDHSRTAAEYVTRLLMMSVDRRLAIDKIAHFRRDMGLPHDFKTRWVHMFPEQFRVVRLEDGDYLELVSWNPNWAVTELEKKTAALTGDANANGIGSPPGELSLSFPMKFPPNFTSYYKFYGKVHHYVKKGNTEQFQKTTYLSPYSEARGLTPGSPEFDKRAVAVMHEVLNFTLEKRLVIDHLTYFCREFVMPQKLMSLLLKHYGIFYVYERGKRFSVFLTKSYDGTKLIEKCPLVRWKEKVLQLTSYRGRIKNLGKFAELFDSEDYLFGNDDSSSATDSILDVKSEDSDDIMDDAALADDTEMDVGDLSDCCIE >ORUFI03G05790.1 pep chromosome:OR_W1943:3:3867615:3872616:1 gene:ORUFI03G05790 transcript:ORUFI03G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREQALLSTEIVNRGVEPSGPDAGSPTFSVRVRRRLPDFLQSVNLKYVRLGYHYLISHGVYLATIPVIVLVCGAEVGSLSRDELWRKVWGEATYDLATVLAFLAVLAFTISVYIMSRPRPVYLIDFACYKPADELKVSKAEFIDLARKSGKFDEDSLAFQSRLLAKSGIGDESYMPRCVFEPGTNCATMKEGRAEASAAMFAALDELFDKCRVRPKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGMGCSAGVIAVDLARDMLQASGAGLAVVVSTEAVSFTWYAGKRRSMLIPNAFFRAGCAAVLLSNRRRDFHRAKYQLEHIVRTHKGADDRSFRSVYQEEDEQRIKGLSISRDLVEVGGHALKTNITTLGPLVLPFSEQILFFAGVLFRHLFPSKTSAPPPPSADGDASAAAPYIPDFKRAFEHFCMHAASRDVLEHLQGNLGLRDGDLEASRAALHRFGNTSSSSIWYELAYLEAKGRVRRGDRVWQLAFGSGFKCNSAVWRAVRRVRRPARSPWLDCVEQYPARMNA >ORUFI03G05800.1 pep chromosome:OR_W1943:3:3873574:3878420:1 gene:ORUFI03G05800 transcript:ORUFI03G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEDGGDVHVGAGEGEDGGRVTVDELTRCLRCGISANATPHMRRGPEGRRTLCNACGIAWAKDTSVSNSHQQALHGGAQGSQGYAPLAGIQQQQFIGNFRLNHETGFL >ORUFI03G05810.1 pep chromosome:OR_W1943:3:3880978:3886416:1 gene:ORUFI03G05810 transcript:ORUFI03G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSTTCRGRAQCPGSRTRRGRSPTASAPPSGPGRPPGWGAPAAVAPRCSGGRRGSTTCRGGPPARATATSPRRRTGPSPPPPAAGGGRRLELQSVVTDASRAIVVVPNTSFASNDDSVVVADSAVYSAPGHDAGGGGRAMVRYSDTNAAATASREVSFSRDNHDQLYVSAARRDPPSFGYDISVASFSGQSRYEDAVGDYDDDDDEIDVRVGKPVGVAGLFKYSTAMDIVLLVLGCVGAMINGGSLPWYSYLFGNFVNKIVNVDKTQMMKDVKQISVYMAFLAAVVVVGAYLEITCWRIIGERSALRMRREYLKAVLRQEIGFFDTEVSTGEVMHSISGDVAQIQEVMGEKMPGFVHHVFTFVFGYVVGFAKSWRIALAVFAVTPAMMACGMAYKAIYGGLTAKEEASYQRAGDVAQQAISSIRTVMSFVMEERLAGEYAEWLDKAAPIGVKMGFAKGAGMGVIYLVTYSQWALALWYGSRLVANGEIKGGDAIACFFGVMVGGRHAHQPPRTASCCRQWRRSPEFRGWGLALTLSYMAQFAQGTVAAGRVFEVIDRVPEIDAYGAGGRALPAVKGRMEFKDVEFAYPSRPDAMVLYNLNLVIPAAKTLALVGVSGGGKSTMFALIERFYDPTRGEREWPLTRTQSTTMARSSVIDDEAFASVGCAGSITLDGHDLASLNLRWLRSQIGLVGQEPVLFSTSIIENVMMGKENATRHDAISACAMANVHTFVLALPDGYDTQVGDRGAQLSGGQKQRIALARAIIRDPRILLLDEPTSALDTESEAVVQQSIDRLAAGRTVVVIAHRLATVRNADTIAVLDRGAVVESGRHADLMARRGPYSALVSLASDSGGARPDLAGAAAAYTSFTDESGYDVSVSKSRYGFQTIREEEEKKDSQDAKVRVSEIWRLQRREGPLLILGFLMGIHAGAVFSVFPLLLGQAVEVYFDADTARMKRQVEYLAMAVVGLGVACILTMTGQQGLCGWAGARLTKRVRDRLFRAIMRQEPAWFDEEDNAMGVLVTRLARDAVAFRSMFGDRYAVLLMAVGSAGVGLGICFGLDWRLTLVATACTPLTLGASYLNLLINVGARSDDGAYARASGIAAGAVSNVRTVAALCAQGSVVGTFNRALDGPAAKASRRSQLMGVILGLSQGAMYGAYTATLCAGAHFINNGVSTFGDVSKIFLILVLSSFSVGQLAGLAPDTSGAPAAIAGILTILKRRPAITGDSTKRRITIKDGKPIDVELRKVTFAYPSRPEVTVLSGFSLRVKAGTTVAVVGASGSGKSTVVWLVQRFYDPGDGKVVVGGVDARELDLKWLRGECAMVGQEPALFSGSIRDNIGFGNPKASWAEIEEAAKEANIHKFISALPQGYETQVGESGVQLSGGQKQRIAIARAIVKQARILLLDEASSALDLESERHVQEALRRASRRATAITVAHRLSTVRDADRIAVVSAGRVVEFGGHDALLAGHGDGLYAAMVKAETEAQAFK >ORUFI03G05820.1 pep chromosome:OR_W1943:3:3888428:3893472:1 gene:ORUFI03G05820 transcript:ORUFI03G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLLKVLKNINSDVKVCGEYRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLQLGQFIYVEKVQSSIPVPVLVGVRPVPGRNPCIGNPKDLMQMSTPTGISEALAHQRKATKSAELSESEKENSQRKVVIKEQKAVVASRYMLGISSNNSKITNLNSSIDSDKSNGGSSICSANQKSASTKFKQESKPQERPNTPSRSPAKIVSAKQEINKDTRKTSASSPSQNGSAVVKKQMSKDSKKESASEKNSPPKLYKTSPPTPTPTPPPPAMTSPPKLNLAAKPNGTSGTVTSTPTVKRRVTETVSWDSLPTSLIKSVKVVARRKTIALVVAAEAQREATAAASLLKGLGIFAEIRKSAEEDPHAAITKFFQLNRLIIQQSVFWKDYSSEPVKESRPEKEKPSRKASASQNKAVAGSTAKNSDDAYTSEKIDWAREDGFKEISRSWIILKKESQSWFLSFLEDALEAGFKFEGQNKNTRERVRGHSKGGDGQIAVRLSQLKETSNWLDQLHSEVDKSQDALVETIEQLKQKVYTCLLGTVETAASALEAR >ORUFI03G05820.2 pep chromosome:OR_W1943:3:3888428:3893472:1 gene:ORUFI03G05820 transcript:ORUFI03G05820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDSKKESASEKNSPPKLYKTSPPTPTPTPPPPAMTSPPKLNLAAKPNGTSGTVTSTPTVKRRVTETVSWDSLPTSLIKSVKVVARRKTIALVVAAEAQREATAAASLLKGLGIFAEIRKSAEEDPHAAITKFFQLNRLIIQQSVFWKDYSSEPVKESRPEKEKPSRKASASQNKAVAGSTAKNSDDAYTSEKIDWAREDGFKEISRSWIILKKESQSWFLSFLEDALEAGFKFEGQNKNTRERVRGHSKGGDGQIAVRLSQLKETSNWLDQLHSEVDKSQDALVETIEQLKQKVYTCLLGTVETAASALEAR >ORUFI03G05820.3 pep chromosome:OR_W1943:3:3888547:3893472:1 gene:ORUFI03G05820 transcript:ORUFI03G05820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLLKVLKNINSDVKVCGEYRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLQLGQFIYVEKVQSSIPVPVLVGVRPVPGRNPCIGNPKDLMQMSTPTGISEALAHQRKATKSAELSESEKENSQRKVVIKEQKAVVASRYMLGISSNNSKITNLNSSIDSDKSNGGSSICSANQKSASTKFKQESKPQERPNTPSRSPAKIVSAKQEINKDTRKTSASSPSQNGSAVVKKQMSKDSKKESASEKNSPPKLYKTSPPTPTPTPPPPAMTSPPKLNLAAKPNGTSGTVTSTPTVKRRVTETVSWDSLPTSLIKSVKVVARRKTIALVVAAEAQREATAAASLLKGLGIFAEIRKSAEEDPHAAITKFFQLNRLIIQQSVFWKDYSSEPVKESRPEKEKPSRKASASQNKAVAGSTAKNSDDAYTSEKIDWAREDGFKEISRSWIILKKESQSWFLSFLEDALEAGFKFEGQNKNTRERVRGHSKGGDGQIAVRLSQLKETSNWLDQLHSEVDKSQDALVETIEQLKQKVYTCLLGTVETAASALEAR >ORUFI03G05830.1 pep chromosome:OR_W1943:3:3902620:3905628:1 gene:ORUFI03G05830 transcript:ORUFI03G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQQQQQKEGGARRTREEVPAVGRVAIIGGGISGLAAAKQLAAHDPVVFEATPHIGGVWKHCAYRSTRLQTPRPDYEFSDMAWPNRDDPSFPTHVEIVDYLEDYADRFGLWRYIALRSKVVGVKFLGGPSAGFTELWSGSGEPLQGKPMWELAVSSTDDPDSDDVQLYKFEFVVMCTGKYGDVARMPVFPPGKGPEVFKGKVMHSLDYCKLNEQETVELMRGKKVVVVGYKKSAIDLALECAEANQGEGGQPCTMLVRTLHWVVPSYSIWGLPFFLFYSTRFSQLFYERPNQGIFRSLLCRLMTPLQKAGVSKFIESYLSWKLPLSRYGLRPDHPFVEDYASCQMAILPDGFFDMADRDLIRFRRSAGGWCFSENGVVLDDGTHVDADLVFLATGFEGKDKLRSVLPEPFRGLVVNKSSMMPLYRGTIHPLIPNMAFVGYVESVSNLHTSELRCRWLAGLLGGRFALPAVEEMVRHVDGETEAMRRTTRFYRRHCISTYSIHDSDAMCADLGSRVHRKSNFLAELFAPYNNQDYKEE >ORUFI03G05840.1 pep chromosome:OR_W1943:3:3911177:3912364:1 gene:ORUFI03G05840 transcript:ORUFI03G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCKLVMNDGFGPAALPSGGALPERDVYDTDQYMLALIYHARMRRKECKCALLGEEGIEINVGVEVVIDGVLNEGVDVLAIPEEGREKEGNNEGGD >ORUFI03G05850.1 pep chromosome:OR_W1943:3:3919010:3927613:1 gene:ORUFI03G05850 transcript:ORUFI03G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAGDKFLQKFRLYETRSKFYLIGRDKTRTLWRVLKIDRMEPTELEIEEDHTSYTENECQELLWRIHEGNRLTGGLKFVTKCYGIVGFIKFLGPYYMVLITRRRKVGTICSHEIYSVGKSELIAIPSPIVWPNVAYSRDENRYKRLLCSVDLSKDFFFSYSYNIMRSLQKNITDKNTGQVVYETMFVWNEFLSRAIRNHLKNTTWTVALIHGFFKQSKLSVAGKEFWLTLIARRSRHFAGTRFLKRGVNEKGRVANDVETEQIVFEDTPDEIPHQISSVVQHRGSIPLIWFQETSRLNIRPDIILKPDVDYKTTRLHFENLALRYGNPIIILNLIKTREKKPRESLLRAEFAKAIHYINKGLPDDKRLKFLHMDLSKLSRRKGTNVLSLLNKVASDVLDLTDFLHCEITTSKYEDASSGQGAVANSGDIENIQDQNLCATKLVPLLLQKGVLRTNCIDCLDRTNVAQFAYGLAALGRQLHVLQLNETPTIELHAPLADDLMDFYERMGDTLAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYSNAYTDPEKQDSINVFLGHFQPQEGKPALWKLDSDQHYNIGRQGTLTEETGRSFIKRSLSDGNILCDNTGGPVSDCNVGKNNTSSELLPMQPLEDIREPSDSAPEISIEPNPCSSTNYSTLSGRHSISEERQNYLRRLGYPELHSSNFLDLDLLSSSGNSCEEEIYERSSLINSPMDVGRDDTDLSRSSSQMSDIRDYSDRFAHWVDGGGMLCY >ORUFI03G05860.1 pep chromosome:OR_W1943:3:3928377:3930140:-1 gene:ORUFI03G05860 transcript:ORUFI03G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGGAARALSQAEQDVQMMLAADVHLGTKNCDFQMERYVYKRRSDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQEEEAPAQDFAAITDYTAPEQWSADQWTSDVAAPPAATVGDWGAAPAPVAAAEGWDQAGAPVATEAAVVPPVAPTGWDPAAQPAAQGWD >ORUFI03G05870.1 pep chromosome:OR_W1943:3:3931047:3933889:-1 gene:ORUFI03G05870 transcript:ORUFI03G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQAAESYTVEELVAVNPYNPDILNDLEGFVNDQVSNQTYNLDANLSLLRLYQFEPERLSVQIVSRILIKALMAMPGPDFSLCLFLIPEHVQMEEQFKTLIVLSHYLETARFRQFWDEASKNRNILDVVPGFEQAIQSYAIHVLSLTYQKVPRPVLAEAINIEGLALDKFLEHHIANSGWVIEKGARSQLIVLPRNEFNHPELKKNTAETVPFEHVTRIFPVLS >ORUFI03G05880.1 pep chromosome:OR_W1943:3:3935428:3940251:-1 gene:ORUFI03G05880 transcript:ORUFI03G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISSSIIVVVPIPTSASDGDADADDFSSIYHSPASRHSDVSPGERRARRAMCGGAILADFTPARVPRRLTAAELLPVTPTPPAAERRTTRKRKSDVDFEAEFELFEDDDDDDEFELSDDGDESLAVSCVSSPKSKAVPSFSFSSDVSSSSRPRRRVAAAAAGRRKASKKSKYRGVRRRPSGRFAAEIRDPKKGRRVWLGTYGSAEEAAMAYDREARRIRGKGARLNFPRDGDGSPRRSNDRPCWTIDLNLPAAAVSGDDDDAMAVDAADADAGSAGRAAAYAGTTTSEDISRYQEALSAAKCKIKQCPRDEQMASATPELMEEDASSSRNMVPLSMALQLQYAAMIAECDREMEEIAAVERDLERRRRQVFERRGHLVRQASLLLD >ORUFI03G05880.2 pep chromosome:OR_W1943:3:3935428:3940621:-1 gene:ORUFI03G05880 transcript:ORUFI03G05880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVHSIPIPTSASDGDADADDFSSIYHSPASRHSDVSPGERRARRAMCGGAILADFTPARVPRRLTAAELLPVTPTPPAAERRTTRKRKSDVDFEAEFELFEDDDDDDEFELSDDGDEISSDVSSSSRPRRRVAAAAAGRRKASKKSKYRGVRRRPSGRFAAEIRDPKKGRRVWLGTYGSAEEAAMAYDREARRIRGKGARLNFPRDGDGSPRRSNDRPCWTIDLNLPAAAVSGDDDDAMAVDAADADAGSAGRAAAYADQEALSAAKCKIKQCPRDEQMASATPELMEEDASSSRNMVPLSMALQLQYAAMIAECDREMEEIAAVERDLERRRRQVFERRGHLVRQASLLLD >ORUFI03G05880.3 pep chromosome:OR_W1943:3:3935428:3940251:-1 gene:ORUFI03G05880 transcript:ORUFI03G05880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISSSIIVVVPIPTSASDGDADADDFSSIYHSPASRHSDVSPGERRARRAMCGGAILADFTPARVPRRLTAAELLPVTPTPPAAERRTTRKRKSDVDFEAEFELFEDDDDDDEFELSDDGDEISSDVSSSSRPRRRVAAAAAGRRKASKKSKYRGVRRRPSGRFAAEIRDPKKGRRVWLGTYGSAEEAAMAYDREARRIRGKGARLNFPRDGDGSPRRSNDRPCWTIDLNLPAAAVSGDDDDAMAVDAADADAGSAGRAAAYADQEALSAAKCKIKQCPRDEQMASATPELMEEDASSSRNMVPLSMALQLQYAAMIAECDREMEEIAAVERDLERRRRQVFERRGHLVRQASLLLD >ORUFI03G05890.1 pep chromosome:OR_W1943:3:3940637:3941750:-1 gene:ORUFI03G05890 transcript:ORUFI03G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAEFIPAPSRAAAATKRVTASHLWPAGSKNAARGKSKSKRQQRSFADVDDFEAAFEQFDDDSDFDDAEEEDEGHFVFASKSRVVAGHDGRAAARAASKKKRGRHFRGIRQRPWGKWAAEIRDPHKGTRVWLGTFNTPEEAARAYDVEARRLRGSKAKVNFPATPAAARPRRGNTRATAVPPPATAPAAAPPRGLKREFSPPAETALPFFTNGFVDLTTAAAPPPAMMMTSSFTDSVATSESGGSPAKKARSDDVDSSEGSVGGGSDTLGFTDELEFDPFMLFQLPYSDGYESIDSLFAAGDANSANTDMNAGVNLWSFDDFPIDGALF >ORUFI03G05900.1 pep chromosome:OR_W1943:3:3948315:3950685:-1 gene:ORUFI03G05900 transcript:ORUFI03G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSQGTDWEDFLGIVCLPIINSTISFIKENNAGDAAAALMSRLALKTKVLRDEQWQELDASTLVPGGDIISIRFGDIVPADACLLEGDPLKMNCHTPFMRKMSITGIQLSLVNPFLSPKEPGTIVFTGSTCKHGEIEAVVIATGIHSFFGKAAHLVDSTEVVGHFQKRSASFSY >ORUFI03G05910.1 pep chromosome:OR_W1943:3:3950809:3954449:-1 gene:ORUFI03G05910 transcript:ORUFI03G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPPHPGRTLPAYHGDNRFLLGACFLSKLPMLRPMKLSLVCSANPNNHRSRSSDITRHQKGGSARRKSKPYQEKDDSENIDEFDTDIMSSKNGPPISLTSNSRPQATSVPGEREKEIVELFKRVQAQLRARGKGKEEKKPEQAKAQGERGSVDSLLNLLRKHSVDQRRKSGDEKEQSVDQTKRSNESGNKQNSSIFIKNDTQEEQKKPHPAAFKRPASNFRRRSPVPNVKFQPVTNVDAERVINNINDAVQEAKPTLENKAATDEPDSVSTFEPNSVIEPENLSLDDLDHISDDEPDASDTDEPSGEYDEPSLQIPSVPIIDESHDTTLKSSLGGPDLSTLKVTELRELAKSRGIKGYSKMKKNDLVELLSNMA >ORUFI03G05920.1 pep chromosome:OR_W1943:3:3958610:3964620:-1 gene:ORUFI03G05920 transcript:ORUFI03G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELIPSAPAARRVTAGHVWPGDANKAKKKGARADDFEAAFRDFDNDSDDEEMMAAALAYDDAARAIRGDRAKLNFPSATTPDTRKRGRATAAAAPAVKATPVINLVEEEDEEEEVAAAMSSIKYEPETSESSESNALPDFSWQGMSASDEFAVAAAALSLDSDDDLAKKRPRTEPEDTTTTTDSGSGDDTDALFDALLFADQYNYFNGGAYESLDSLFSADAVQTTAAAAAADQGMGLWSFDDGCCLVDVEASLSSRGNPRSNDDMCGGAILANIIPATPPRRATAAHVWPGGDGEKRRKVGGGGCDDDFEAAFERFGREDSEMEEEEVEEVVVGKKAAAAAGGAAGRRARPSKYWGVRRRPWGKWAAEIRDLVEGVRVWLGTFATAEAAAHAYDAAARDLRGATAKLNFPSSSSSTAATPRPRKCRPTTATATPKATTPNVVVVVNLIDKEAEASESSGASSSALPDFSWQGMSASSDDDAAAQQALLDAAGGAKKRPRSEPHVTSDDEVLPASFDSDNNTAAAGLLPLDDPFLFGDQFGDLNGGAFASLMDGLFAAGEANVAGESVGLWSFGDDCLNASYY >ORUFI03G05930.1 pep chromosome:OR_W1943:3:3969112:3969300:-1 gene:ORUFI03G05930 transcript:ORUFI03G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDRDANCAVQRTTELELDRKAARLEGIPRLLMKSKSSLNNLAFDINSKKL >ORUFI03G05940.1 pep chromosome:OR_W1943:3:3973377:3974033:-1 gene:ORUFI03G05940 transcript:ORUFI03G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRAPSLFHIEDGAVGVAASTKKPMPADSGELVGLRLIIQQSPRQRPPLSVLRRSAVRPSPAATAAASQDEAGAPAGRGFMGLGFLNCCYCCHKKLYADMDVFVYKGEHAFCSAECRSQQMAREERREIEMLVRRRRDAFHRRRASPPAKIGGHARLQIAAS >ORUFI03G05950.1 pep chromosome:OR_W1943:3:3978024:3982456:-1 gene:ORUFI03G05950 transcript:ORUFI03G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVSVENINPKVILGPSSIAECIVIRGEVAIHAQVSWFSPSMFWKSISIYSFSANARREINRYLTFFFQHLQQQLQTQPGSLPFDEIVYCNIGNPQSLGQKPITFFREVLALCNHPNLLEREEIKSLFSTDAIARAKKILSMIPGRATGAYSHSQGIKGLRDEIAAGIASRDGFPANADDIFLTNGASPGVHMMMQLLIRNNRDGIMCPIPQYSLYSASLALHGGALVPYYLDESSGWGLEVSKLKNQLEDARSKGITVRALVVINPGNPTGQILDEQQQYELVKFCKDEELVLLADEVYQENIYVTNKKINSFKKIARSMGYNGDDLQLVSLHSVSKGYYGECGKRGGYMEVTGFSTPVREQLYKIASVNLCSNITGQILASLIMDPPKAGDASYDLYEEEKDNILKSLSRRAKAMESAFNSIDGITCNKTEGAMYLFPRIYLPQKAIEAARAVNKAPDVFYALRLLDTTGIVVTPGSGFGQIIRCYLFTCNSEFLTTQVWSFVKGCRDMAREMHDPAAGGEDTFDDLPLQGIP >ORUFI03G05960.1 pep chromosome:OR_W1943:3:3983242:3986489:1 gene:ORUFI03G05960 transcript:ORUFI03G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEFSSPKCSSSSSLPSLRLPSISVASPLRPRGPPPSAAGGSFTRRPTAASPPPPAGRASRFRQRHGRGRRRRRMMSTTKHLEAQSTLMGLICKPTRELHTCECDIASWTRPDLVEIGPFATAIANLKCEKDLFSSADTIVTNPIECFVSYLLRNGLDELIHFSSSLLAASRLPLLRGDEAFNLSSFQFIEATITQEEECTQVSNKTTSSNPENMLLSSQGLPDNFTDEATKDQYLCNSGLQAANRESKKKNSTFLTKFQNRIIASLASESSPCRNAFRRPLLSREIVVREYFKLARIIRRTAAACFSPSSDADEDYDYLPHMQLDKVTHAISREAFGPLYLVT >ORUFI03G05960.2 pep chromosome:OR_W1943:3:3983242:3986489:1 gene:ORUFI03G05960 transcript:ORUFI03G05960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEFSSPKCSSSSSLPSLRLPSISVASPLRPRGPPPSAAGGSFTRRPTAASPPPPAGRASRFRQRHGRGRRRRRMMSTTKHLEAQSTLMGLICKPTRELHTCECDIASWTRPDLVEIGPFATAIANLKCEKDLFSSADTIFIEATITQEEECTQVSNKTTSSNPENMLLSSQGLPDNFTDEATKDQYLCNSGLQAANRESKKKNSTFLTKFQNRIIASLASESSPCRNAFRRPLLSREIVVREYFKLARIIRRTAAACFSPSSDADEDYDYLPHMQLDKVTHAISREAFGPLYLVT >ORUFI03G05970.1 pep chromosome:OR_W1943:3:3990239:3996013:1 gene:ORUFI03G05970 transcript:ORUFI03G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRADAPWLPLLLLCSSCCFCIWPQKQILVAADTDPNDVTVLNTLFTSLNSPGQLKGWQASGGDPCGQSWQGITCSGSSVTAIKLPSLGLSGNLAYNMNTMGSLIEIDMSQNNLGGGQQIQYNLPTNKLERLNLAGNQFTGNLPYSIFSMSNLKYLNLNHNQLQGNITDVFSSLYSLTTLDLSFNSLAGDLPQGFTSLSSLKKLYLQNNQFTGYINVLANLPLDDLNVANNHFTGWIPSQLKKINNLQTDGNSWSNGPAPPPPPYSAPPPPNRPNSPGQNNGGSSSGGSSGIGGGGVAGIIISLLVVGAVVAFFVIRRRKRRAALEEHFEQHQPFTSFPSNEVKDMKPIEESTTIDVESLPSPASFSLKPPPKIERHKSFDDDDLSNKPVLKKTNVAPIKATVYSVADLQMATESFSMDNLVGEGTFGRVYRAQFTGGKVLAVKKLDSTVMPFHSSDDFAELVSDISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDLLHLSDEYSKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNLLLDSEFNPHLSDAGLASFISDAEFQAAQQSAGCTAPEVDMTGQYTLKSDVYSFGVVMLELLTGRRPFDSTRPRSEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVLALCVQPEPEFRPPMSEVVQALVRLVQRANMTKRMLDGDTSSRRTDDQEQDFI >ORUFI03G05980.1 pep chromosome:OR_W1943:3:3995880:4001392:-1 gene:ORUFI03G05980 transcript:ORUFI03G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPGEPLLGLENFFDEDVDLENLPLEDVFEQLNTSQSGLSSADAAERLKLFGANRLEEKRENKIIKFLSFMWNPLSWVMEAAAVMALVLANGGSQGTDWEDFLGIVCLLIINSTISFIEENNAGDAAAALMARLALKTKVLRDEQWQELDASTLVPGDIISIRLGDIVPADARLLEGDPLKIDQSALTGESLPVTKRTGDIVFTGSTCKHGEIEAVLKAAHLVEDSTEVVGHFQKVLTSIGNFCICSIAIGAIVEVIIMFPIQHRSYRDGINNVLVLLIGGIPIAMPTVLSVTLAIGSHHLSQQGAITKRMTAIEEMAGMDVLCCDKTGTLTLNHLTVDKNLIEVFSREMDREMIILLAARASRVENQDAIDMAIINMLADPKEARSSITEVHFLPFNPVDKRTAITYVDSDGNWFRVSKGAPEQILSLCYNKDDISEKVQLIIDRFAERGLRSLAVAYQEVPEKSKHGHGGPWVFCGLLPLFDPPRHDSADTIRRALDLGVCVKMITGDHLAIAKETGRRLGMGTNMYPSASLFGRHGDGGGAAVPVEELVEKADGFAGVFPEHKYEIVRMIQGGGGHVCGMTGDGVNDAPALKKADIGIAVSDATDAARGAADIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVIGFVLLASIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPSPDSWKLNEIFAAGVVIGTYLALVTVLFYWTVTRTTFFESHFKVRSLKQNSDEISSAMYLQVSIISQALIFVTRSQGLSFLERPGALLICAFILAQLVATLIAVYATISFASISAIGWGWAGVIWLYSLVFYAPLDLIKIAVRYTLSGEAWNLLFDRKAAFASRRDYGGNERRPETRWPRSHHHHHQQRRALSDHLLSSGWRPTRIAERAKRRAEIARLGDAHMLRAHVQSVMRLKRVDSDTGYNGTPGPTIYQATPVIMY >ORUFI03G05980.2 pep chromosome:OR_W1943:3:3996314:4001392:-1 gene:ORUFI03G05980 transcript:ORUFI03G05980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPGEPLLGLENFFDEDVDLENLPLEDVFEQLNTSQSGLSSADAAERLKLFGANRLEEKRENKIIKFLSFMWNPLSWVMEAAAVMALVLANGGSQGTDWEDFLGIVCLLIINSTISFIEENNAGDAAAALMARLALKTKVLRDEQWQELDASTLVPGDIISIRLGDIVPADARLLEGDPLKIDQSALTGESLPVTKRTGDIVFTGSTCKHGEIEAVLKAAHLVEDSTEVVGHFQKVLTSIGNFCICSIAIGAIVEVIIMFPIQHRSYRDGINNVLVLLIGGIPIAMPTVLSVTLAIGSHHLSQQGAITKRMTAIEEMAGMDVLCCDKTGTLTLNHLTVDKNLIEADGFAGVFPEHKYEIVRMIQGGGGHVCGMTGDGVNDAPALKKADIGIAVSDATDAARGAADIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIGRCTYAESACAICDETEACGF >ORUFI03G05990.1 pep chromosome:OR_W1943:3:4001429:4008080:1 gene:ORUFI03G05990 transcript:ORUFI03G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGCLSSMNITGTSQARSFAGQLPTHRCFASSSIQALKSSQHVSFGVKSLVLRNKGKRFRRRLGALQVVCQDFPRPPLENTINFLEAGQLSSFFRNSEQPTKPLQVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKIAAWKDEDGDWYETGLHIFFGAYPNIQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPETLPAPLNGIWAILRNNEMLTWPEKVKFALGLLPAMVGGQAYVEAQDGFTVSEWMKKQGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHVRSLGGEVRLNSRIQKIELNPDGTVKHFALTDGTQITGDAYVFATPVDILKLLVPQEWKEISYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKEYYDPNRSMLELVFAPAEEWVGRSDTEIIEATMQELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTIPDCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSVVEDYKMLSRRSLKSLQSEVPVAS >ORUFI03G05990.2 pep chromosome:OR_W1943:3:4002633:4008080:1 gene:ORUFI03G05990 transcript:ORUFI03G05990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQTSMDTGCLSSMNITGTSQARSFAGQLPTHRCFASSSIQALKSSQHVSFGVKSLVLRNKGKRFRRRLGALQVVCQDFPRPPLENTINFLEAGQLSSFFRNSEQPTKPLQVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKIAAWKDEDGDWYETGLHIFFGAYPNIQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPETLPAPLNGIWAILRNNEMLTWPEKVKFALGLLPAMVGGQAYVEAQDGFTVSEWMKKQGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHVRSLGGEVRLNSRIQKIELNPDGTVKHFALTDGTQITGDAYVFATPVDILKLLVPQEWKEISYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKEYYDPNRSMLELVFAPAEEWVGRSDTEIIEATMQELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTIPDCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSVVEDYKMLSRRSLKSLQSEVPVAS >ORUFI03G05990.3 pep chromosome:OR_W1943:3:4003116:4008080:1 gene:ORUFI03G05990 transcript:ORUFI03G05990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGCLSSMNITGTSQARSFAGQLPTHRCFASSSIQALKSSQHVSFGVKSLVLRNKGKRFRRRLGALQVVCQDFPRPPLENTINFLEAGQLSSFFRNSEQPTKPLQVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKIAAWKDEDGDWYETGLHIFFGAYPNIQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPETLPAPLNGIWAILRNNEMLTWPEKVKFALGLLPAMVGGQAYVEAQDGFTVSEWMKKQGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHVRSLGGEVRLNSRIQKIELNPDGTVKHFALTDGTQITGDAYVFATPVDILKLLVPQEWKEISYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKEYYDPNRSMLELVFAPAEEWVGRSDTEIIEATMQELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTIPDCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSVVEDYKMLSRRSLKSLQSEVPVAS >ORUFI03G06000.1 pep chromosome:OR_W1943:3:4009684:4012254:1 gene:ORUFI03G06000 transcript:ORUFI03G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSVNRPIKAEPAAGGIAQGNRILDMMSSGWTDERHMHYISSMEASFVEQLYNHEQNDAGNGFKVLRGGVWEKLKFDRSSACSRIGRKYCLPASPWIQHFRPRECSSNARNDAAEALVGDHESGIQTIQGRTPLSHGREWEACKEEKAVGESTEVSDQNFADDEAEVDAESSKACKRRKLRSALTRNYQVVPSDKLFASTKADGRKDAPE >ORUFI03G06000.2 pep chromosome:OR_W1943:3:4009598:4012254:1 gene:ORUFI03G06000 transcript:ORUFI03G06000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSVNRPIKAEPAAGGIAQGNRILDMMSSGWTDERHMHYISSMEASFVEQLYNHEQNDAGNGFKVLRGGVWEKLKFDRSSACSRIGRKYCLPASPWIQHFRPRECSSNARNDAAEALVGDHESGIQTIQGRTPLSHGREWEACKEEKAVGESTEVSDQNFADDEAEVDAESSKACKRRKLRSALTRNYQVVPSDKLFASTKADGRKDAPE >ORUFI03G06010.1 pep chromosome:OR_W1943:3:4012172:4014283:-1 gene:ORUFI03G06010 transcript:ORUFI03G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGDGEFAFDLNEPPLEHGNEHVMALVEVNHRRKERHDRRSEKTIVLSYHFFGIILPGSELTFALLVKHLEHNASGGGGVERERARPVSATQAMRAGPASTMQAAASVTRARPASATQAAAAATAARATRAGPASTTQAAAASVSGEVERRAACLHATLKELNEANAGVLRINCGSGYRTDKGNSSGSLVNSCEDGGQEWQICVPSYLYTALNVGLHREMLDIILQNW >ORUFI03G06020.1 pep chromosome:OR_W1943:3:4013340:4014078:1 gene:ORUFI03G06020 transcript:ORUFI03G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAAQPRRSRSPPPLASCSPALLLSLSPPSPPPPLASRSPAALSSRSPPLALCSPAPLSSLASRSPAVLSHARRRRRRSHCAQDA >ORUFI03G06030.1 pep chromosome:OR_W1943:3:4022264:4028144:1 gene:ORUFI03G06030 transcript:ORUFI03G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRPGLGKTARTSARDARQPRRRSTTRGQASPARCAIGMGVTGGAGEAVKPSSSSSLSPVAGLRAAAIVKLNATFLAFFFLAYMALLLHPKYSYLLDRGAASSLVRCTAFRDACTPATTTTAQLSRKLGGVAANKAVAAAAERIVNAGRAPAMFDELRGRLRMGLVNIGRDELLALGVEGDAVGVDFERVSDMFRWSDLFPEWIDEEEDDEGPSCPELPMPDFSRYGDVDVVVASLPCNRSDAAWNRDVFRLQVHLVTAHMAARKGLRHDAGGGGGGGRVRVVVRSECEPMMDLFRCDEAVGRDGEWWMYMVDVERLEEKLRLPFKKCMNDACATHYGSSIQEVFNVSELTAAAATAGRPRREAYATVLHSSDTYLCGAIVLAQSIRRAGSTRDLVLLHDHTVSKPALAALVAAGWTPRKIKRIRNPRAERGTYNEYNYSKFRLWQLTDYDRVVFVDADILVLRDLDALFGFPQLTAVGNDGSLFNSGVMVIEPSQCTFQSLIRQRRTIRSYNGGDQGFLNEVFVWWHRLPRRVNYLKNFWANTTAERALKERLFRADPAEVWSIHYLGLKPWTCYRDYDCNWNIGDQRVYASDAAHARWWQVYDDMGEAMRSPCRLSERRKIEIAWDRHLAEEAGFSDHHWKINITDPRKWE >ORUFI03G06030.2 pep chromosome:OR_W1943:3:4022264:4027639:1 gene:ORUFI03G06030 transcript:ORUFI03G06030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRPGLGKTARTSARDARQPRRRSTTRGQASPARCAIGMGVTGGAGEAVKPSSSSSLSPVAGLRAAAIVKLNATFLAFFFLAYMALLLHPKYSYLLDRGAASSLVRCTAFRDACTPATTTTAQLSRKLGGVAANKAVAAAAERIVNAGRAPAMFDELRGRLRMGLVNIGRDELLALGVEGDAVGVDFERVSDMFRWSDLFPEWIDEEEDDEGPSCPELPMPDFSRYGDVDVVVASLPCNRSDAAWNRDVFRLQVHLVTAHMAARKGLRHDAGGGGGGGRVRVVVRSECEPMMDLFRCDEAVGRDGEWWMYMVDVERLEEKLRLPEVFNVSELTAAAATAGRPRREAYATVLHSSDTYLCGAIVLAQSIRRAGSTRDLVLLHDHTVSKPALAALVAAGWTPRKIKRIRNPRAERGTYNEYNYSKFRLWQLTDYDRVVFVDADILVLRDLDALFGFPQLTAVGNDGSLFNSGVMVIEPSQCTFQSLIRQRRTIRSYNGGDQGFLNEVFVWWHRLPRRVNYLKNFWANTTAERALKERLFRADPAEVWSIHYLGLKPWTCYRDYDCNWNIGDQRVYASDAAHARWWQVYDDMGEAMRSPCRLSERRKIEIAWDRHLAEEAGFSDHHWKINITDPRKWE >ORUFI03G06040.1 pep chromosome:OR_W1943:3:4028261:4032908:1 gene:ORUFI03G06040 transcript:ORUFI03G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERGFYINCGSEKEEQIGSIKWIQDEGFIAVGNMSAVDKPNILPLLATVRYFPDATARKYCYQLPVVKGSRYLVRTTYFYGGFDGGDVPPVFDQIVDGTRWSAVNTTDNFRRGMSTYFEMVAEAQGKTMSVCLARRADTRSSPFISALELVSLDDSMYNTTDFDKYVMSTVARSRFGAKGEIVSYPDDQYNRYWAPFTDANPTVESHSAITPEEFWNVPPAKALRAGVTTSRGKKLSVQWPPVELPAATYYVALYFQDSRTASPYSWRVFDVAVNGKEFFRELNASAAGVMVYSTMMPLSGKMEIVLTPNETSPELARSIKNPPPDWAGDPCLPRQNSWTGVICSEGSPVRVVSLDLKNHGLSGSLPDSIGNLTGMKNIYFGGNKLTGSIPDLSSMHILEELHFEGNQLSGPISPSLGTLTNLKELYLNNNNLTGQIPESLKNKPGLDMRDLFNAQ >ORUFI03G06040.2 pep chromosome:OR_W1943:3:4028261:4031796:1 gene:ORUFI03G06040 transcript:ORUFI03G06040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERGFYINCGSEKEEQIGSIKWIQDEGFIAVGNMSAVDKPNILPLLATVRYFPDATARKYCYQLPVVKGSRYLVRTTYFYGGFDGGDVPPVFDQIVDGTRWSAVNTTDNFRRGMSTYFEMVAEAQGKTMSVCLARRADTRSSPFISALELVSLDDSMYNTTDFDKYVMSTVARSRFGAKGEIVSYPDDQYNRYWAPFTDANPTVESHSAITPEEFWNVPPAKALRAGVTTSRGKKLSVQWPPVELPAATYYVALYFQDSRTASPYSWRVFDVAVNGKEFFRELNASAAGVMVYSTMMPLSGKMEIVLTPNETSPELARSIKNPPPDWAGDPCLPRQNSWTGVICSEGSPVRVVSLDLKNHGLSGSLPDSIGNLTGMKNIYFGGNKLTGSIPDLSSMHILEELHFEGNQLSGPISPSLGTLTNLKELYLNNNNLTGQIPESLKNKPGLDMRTEGNKLN >ORUFI03G06050.1 pep chromosome:OR_W1943:3:4031948:4033582:-1 gene:ORUFI03G06050 transcript:ORUFI03G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMEKGMTAYEAARERTVEENKRKMEALNLRHLSAAIAVAPKTPSPMKQKRRRIIEAAVVAPSPPRRSRRLANLPEVKYAEVAPDGAERMKRSPRKAIDSIYLATRGSISMEARLEAARKAEELESQLDPEFPSFVKPMLHSHVVRGFWLGLPRHFCETYLPKHDAIVTLLDEKDEQFDTNYLAYKNGLSGGWAGFALDHGLLDGDATVFQLVKPTTFKVHIIRATVDDGNEVTK >ORUFI03G06060.1 pep chromosome:OR_W1943:3:4035361:4042385:1 gene:ORUFI03G06060 transcript:ORUFI03G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAVTGATGYLGSRLCGALADAGHAVRAFALRSAGGGGGGGDVEAGLLPASVELAYGDVADVESLAAAFDRCDAVFHVAAAVEAWLPDPSIFITVNVGGLENVLKAARRTPTVKKIVYTSSFFAIGPTDGYVADETQMHQGKTFCTEYEKSKVLADQIALQAAAEGMPITIVYPGFMYGPGKLTAGNLVSRILIERFNGRLPGYVGHGHDRESFCHVDDVVAGHVAAMEKGREGERYLLTGENTSLVQIFDMASRITNTKAPRFHVPLWLLEIYGWISVLVSRITGKLPFISYPAVRVLRHQWAYSCEKAKKELGYSPRSLTEGLSETLLWLKDSEMIRF >ORUFI03G06070.1 pep chromosome:OR_W1943:3:4040263:4042284:-1 gene:ORUFI03G06070 transcript:ORUFI03G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGGHAAAAAGVSSGSEDDDEEAGFSRSYFLAKEKEPSSGKKRARAAGKLSDLNLVDEQVLRASLAEIPPKHEREVEALTRSYKEQYRNWLFELRCGFGLLMYGFGSKKMLLEDFASTTLSDFTVIVVNGYLPSINLKQEVLVILWRTFDFYIKALNSAKFSALLPFQVIVAIAEIFWEQTKLKRKRQTATRSQLQPFASQSIDDIISFLNNQTSDNGDDNVCLLIHNIDGPALRDAESQQYLAQVSCCPQVHVVASVDHVNAPLLWDKKMVHTQFKWSWYHVPTFAPYKVEGMHFSSLYTKCRERFLVSSQVTLNSHLTEFKDHDLVKIRKHSDGQDCLHIPLVSDALEKLLQELT >ORUFI03G06080.1 pep chromosome:OR_W1943:3:4054024:4054271:1 gene:ORUFI03G06080 transcript:ORUFI03G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCLAALGQQNDDLAKPNRRFPPNQVLAGQFKRYADTLSLERRPHHLPSRHRQQRRDKRTVGVDGSVRNEDGRKGAGAT >ORUFI03G06090.1 pep chromosome:OR_W1943:3:4055240:4056590:1 gene:ORUFI03G06090 transcript:ORUFI03G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVDKWPAAYPCRLPDKFYCALPDCTTTDRPVAPAPAASGSSGDYVWDALRAEAQDDADDEPLLRKFYHDLVLSRPSLESALASLLAAKLCIPGALPQDQLRDLLAGALAAHPEAGRAARADLAAARDRDPACAKMVHCFLYYRGFLALQAHRAAHALWSDNRRAPALLLQSRASEVFGVDIHPGARIGGGILLDHATGVVIGETAVVGYGVSILHAVTLGGTGKESGDRHPKVGDGVLIGAGASVLGNVHIGDGAEIGAGAIVLRDVADGTTAKPIIGKKAEPQRELPGVTMEQRWSD >ORUFI03G06100.1 pep chromosome:OR_W1943:3:4059554:4059877:1 gene:ORUFI03G06100 transcript:ORUFI03G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGRAGRARPSRWRLRRRGTARRRLDDEPEEKDTSCMTTGDGGGEFGQSVVEVEAERVIRANCPHRPSRSARPPPPSANVRSRGGWKGGGAIVRYNHGEGGDDVNV >ORUFI03G06110.1 pep chromosome:OR_W1943:3:4059901:4060849:1 gene:ORUFI03G06110 transcript:ORUFI03G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDNLAKPNSWFPPNQVLAGQFKRYADTLSPVYSLHAAPRHLPLRQRQQRRDKRGMRMVDGSVRNEDARGERELARPRKEEDGDDGLAFPKGMGREREREEKGGGRLGFARAPCEVEIGEREEGVGAGISCATELTILTIGYQSDGQSRRLKRALQIHQPSSAGGPTCGPTRKYGPANRERTVIFFA >ORUFI03G06120.1 pep chromosome:OR_W1943:3:4065022:4068447:-1 gene:ORUFI03G06120 transcript:ORUFI03G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRARCSTSAPAAGERRALPVDPLSLPRLLVSAATTPGAAAPAVSTLHAAALKLGVLPSSLPASNALVSAYSLSGLLPCALRAFSLIPHPSTGSYTAILSALSRHGRPREALSLFSAAAVARPDAELLSCVVSCCRRASASLPARAAHAYGVKTAPLLAFYASAGPALVALYAMCGRVSAAKRIFDRMDGEDVVSWNAMIGGFAGAGMNGEAWDCFREMRARGVRGNARTAVAVLGACDLESGRQVHGHMIERKDVVSWNVMIGAFAKNGHGERALELVDAMLRCGMQPDSVTFTTLLMACCHCGLVDEGLALFERFVENSALIPTMEQCACIVDLLSRAGRFREAAGFIDQMPIRPNAVVWGALLSGSRMHHDVDHAQIAFEKLVQLEPDNPGNFVTMSNIYAKAGMVEDAKRVRMMIDREELVKPSGQSRVQAIVQQHSCIHYQQFIWCLPLDMDKVCSLRGDLRACSAPRVLCQVLSGVHCLVVCLLRRLRGKDDIVNAGIAGCCTGLALSFPGMFSLNAHGIFWGGGMMFYGGI >ORUFI03G06120.2 pep chromosome:OR_W1943:3:4065022:4068447:-1 gene:ORUFI03G06120 transcript:ORUFI03G06120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRARCSTSAPAAGERRALPVDPLSLPRLLVSAATTPGAAAPAVSTLHAAALKLGVLPSSLPASNALVSAYSLSGLLPCALRAFSLIPHPSTGSYTAILSALSRHGRPREALSLFSAAAVARPDAELLSCVVSCCRRASASLPARAAHAYGVKTAPLLAFYASAGPALVALYAMCGRVSAAKRIFDRMDGEDVVSWNAMIGGFAGAGMNGEAWDCFREMRARGVRGNARTAVAVLGACDLESGRQVHGHMVRNHGDSGSNAILWNALMNMYSRVGCVDNAEHVFFEIERKDVVSWNVMIGAFAKNGHGERALELVDAMLRCGMQPDSVTFTTLLMACCHCGLVDEGLALFERFVENSALIPTMEQCACIVDLLSRAGRFREAAGFIDQMPIRPNAVVWGALLSGSRMHHDVDHAQIAFEKLVQLEPDNPGNFVTMSNIYAKAGMVEDAKRVRMMIDREELVKPSGQSRVQAIVQQHSCIHYQQFIWCLPLDMDKVCSLRGDLRACSAPRVLCQVLSGVHCLVVCLLRRLRGKDDIVNAGIAGCCTGLALSFPGMFSLNAHGIFWGGGMMFYGGI >ORUFI03G06120.3 pep chromosome:OR_W1943:3:4065022:4068447:-1 gene:ORUFI03G06120 transcript:ORUFI03G06120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRARCSTSAPAAGERRALPVDPLSLPRLLVSAATTPGAAAPAVSTLHAAALKLGVLPSSLPASNALVSAYSLSGLLPCALRAFSLIPHPSTGSYTAILSALSRHGRPREALSLFSAAAVARPDAELLSCVVSCCRRASASLPARAAHAYGVKTAPLLAFYASAGPALVALYAMCGRVSAAKRIFDRMDGEDVVSWNAMIGGFAGAGMNGEAWDCFREMRARGVRGNARTAVAVLGACDLESGRQVHGHMVRNHGDSGSNAILWNALMNMYSRVGCVDNAEHVFFEIERKDVVSWNVMIGAFAKNGHGERALELVDAMLRCGMQPDSVTFTTLLMACCHCGLVDEGLALFERFVENSALIPTMEQCACIVDLLSRAGRFREAAGFIDQMPIRPNAVVWGALLSGSRMHHDVDHAQIAFEKLVQLEPDNPGNFVTMSNIYAKAGMVEDAKRVRMMIDREELVKPSGQSRDKVCSLRGDLRACSAPRVLCQVLSGVHCLVVCLLRRLRGKDDIVNAGIAGCCTGLALSFPGMFSLNAHGIFWGGGMMFYGGI >ORUFI03G06130.1 pep chromosome:OR_W1943:3:4068951:4072263:-1 gene:ORUFI03G06130 transcript:ORUFI03G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRARCSTSAPAAGERRALPVDPLSLPRLLVSAATIPGAAAPAVSTLHAAALKLGVLPSSLPASNALIRSGGSDLSAAAAAARMNSPRRFVNLVLDGIARRQPSYGLHRVDVSTLFRPLAADEDEEGRRGGAMEVEDAQLPRAAMIFHPCPSYGLPSWPSSVDFMPLGSGSGSGRGGEKNDVVAVDYSGSGVLYGAASRAVTILPPMNTPKAFPVALTIGGNIYVMERYPASSQSPSSCFEVLVHDRHPNHPFATPHWHWRLLPPPPFAFTADDALDSIRNFFQDDDDFLTAYTAVGGSCIWMTVQSTVAAAAGTYSFDTSTATWTKLGDWLLPFRGRAEYAPEHKLWFALSSDGNELCASDLAAAAPPPRRNVWKVSPVSPSGSPVTPHLVPLGAGRFCIVRFMYKGWRSNFDFDEKFAVFTGVEVRRGCNKNSSTTLQMVNHRSRRYSLLDRVP >ORUFI03G06140.1 pep chromosome:OR_W1943:3:4073979:4080623:1 gene:ORUFI03G06140 transcript:ORUFI03G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGLLVVNRLRNVHLQRLPRHRTHCSSGPASAPSTSTTSSSAPLPVAAPPPPHHLAPRGGGGGGGPRRRLTPLLALSTLSLVTAAGTIYHISAWDLEGTVERSRASAARVVERMQHTCAAGKVLCRSLMSVLSSANHEVRSGFELRVAALLADIAAASAARRAAIVSAGGGAVVDWLLESVVRRATQAEAARALAHLLADPWVAPAVLGRPRAVPCLLQFIFSYQPKRGKKNSEYSSFNVSDHSKGRSMLVAALMDIITSNCDNADYSSFQPLLPSDADTRDIAAAIEVIEQGGMHFDDHDDNNSDDGDSGLKGIGIKVLGGTTVLGFSREINSLEVGNSDDGIVGASNSRILMQETATDSPLVEKLSSAAAPGLWDDLQREHVAVPFATWALANWAIASDLNRSRIQELDSDGHTVTTALKAPERTVKWHGAMVARALLEDQSLTLAPSVPDWCSSLLLTASRAAENGDMALAQMSLSTFLLSMIRCNESKFVIRQKGLHLLRSIAKKIENENAQSRMKESLAVALSLLYAGEVPLSLEETQRWSGILLRWLFDKSVSETTNLTAIKILSCILEDYGPASVPISQGWLALVLSEILGDNKTQNLKGTTQPQPERVKNQVDHHNASSATQILNQLATAVVKLAIVQSHYDPASGDKVPLFDFLSLEPFATALKNLNKKNPPKFDAADSASATLKGIKALAELCSEDGACQKRIADLGVLSLLRRILLGDDYEKLAAIEAYDASRIREVQDKNVSASNDSSNDTTSDPSSVRVPPAAHIRRHAGRLVTILSLLPNSKKEIISDDVWCKWLEECASGRVPCNDLKLKSYCRLTLLNVFCSEDPNTGSTSDEYPDSKSEYKRKCPQFGDALFLLNPELPLEVHLDNNGHEISRKKFKDDCCTEEGGDSETGDAPSNTAKYTPPLMDVVFVHGLRGGPFNSWRIADDKSSTTKAGLVESIDEDAGKEGTCWPREWLSADFPQARFLTVKYKTNLTQWTGASLPLQEVSSMLLRKLIAAGIGSRPVVFVTHSMGGLVVKQMLYQAKLNNYDKFLNNTNGLVFYSCPHFGSRLADMPWRMGLVFRPAPSIGELRSGSPRLVELNDFVRQRHSKGLLNVLSFSETQVTPIVEGYGGWALRMEIVPIESAYPGYGELVVLASTDHINSCKPVNKNDPSYADTLAFLEKILKSRLKESES >ORUFI03G06150.1 pep chromosome:OR_W1943:3:4081026:4083029:1 gene:ORUFI03G06150 transcript:ORUFI03G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDRLRQPAPGPQLERRLPQEVPERVPQALLHPHVASPTVSHRCLYLVFGTNHQALLVPNAILITT >ORUFI03G06160.1 pep chromosome:OR_W1943:3:4084178:4085344:-1 gene:ORUFI03G06160 transcript:ORUFI03G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITSTAMLAPVYGTPYPLAGAEVQLTVFDRAAFDLYVPSVLAYRAPAPSNEAIKEGLLRAVAAYPHLAGRLAVDRHGRRFLHVNDQGVLVVEATVDGADLDDVLANSGRAMATDVADLYPALPEDNVGAALLQVKLVRYRCGGLVVGSICHHHTADGHSMSAFFTAWATAVREGEGFTAPTPFLDRAATAVPRTPPAPAFDHRSIEFDGGEAAAAGGGRSSYAAVSLDKIKDLTVHFTAEFVGELKARAGGRCSTFQCLLAHVWKKITAARDLSPEEFTQVRVAVNCRGRANPPVPMDFFGNMVLWAFPRMRARELLRATYGAVVGAIHDAVARVDGEYIQSFVDFGGAAAAGGGGERRQAAGVRWRCARRRGRSTTATRSPGCTRC >ORUFI03G06170.1 pep chromosome:OR_W1943:3:4086133:4086474:1 gene:ORUFI03G06170 transcript:ORUFI03G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASVASPPAPMDDDGGLLALPVALPIGSSSFVLREDGVSRAADEAAAPRCLPLLFDLNLPASSPSAAAAAEVDEMDWCCDTLLHL >ORUFI03G06180.1 pep chromosome:OR_W1943:3:4088155:4088737:1 gene:ORUFI03G06180 transcript:ORUFI03G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEDDGDEAGRFRSSSSGEQRGAAASSAAPETPSSQSTEEEEPTGGATGRARRPPSSSMAAGGVATEAGGVGAALLLPRRRRRRHCIRSVRAEDTVRRSTSDDRKSNTRACTTMASTNDASPARDDGLHGRAHTLSPPPCFYVIVSATGSSSLFSAGSGGGGQSPKQVS >ORUFI03G06190.1 pep chromosome:OR_W1943:3:4089436:4093676:1 gene:ORUFI03G06190 transcript:ORUFI03G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSHLLPFSRPPATFPRARHAGGGRGRAGATGRFIACSSPPPPDVVVTRERGKNAKLIAALEKHNVQSLELPLIKHVEGPDTDRLSAVLRDEKFDWITITSPEAAAVFLEGWKAAGNPKVRIAVVGAGTERVFDEVIQYNDGSLEVAFSPSKAMGKFLASELPRTTETTCKVLYPASAKAGHEIQNGLSNRGFEVTRLNTYTTVSVQDVDPLILKPALSAPVVAVASPSALRAWLNLASQVDNWGNAIACIGETTASAAKKFGLKSIYYPTTPGLDGWVESILEALRAHGQSKEAPGC >ORUFI03G06200.1 pep chromosome:OR_W1943:3:4105903:4111057:1 gene:ORUFI03G06200 transcript:ORUFI03G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFPFFLPWIPMATTVKSRPPPPPPPPPPQALPAVTTRRRGSSSSSPAAAKASVFLSAPRELLLVLCSLLVVAVLFVSSAAAAAAAEDGAEGSDGDLGGCLGFRDGCADRSALCFSPSAVESMLASEDDGVKEMDLVVSRDWGPPPPPSLGFRLPGHRGVVTCSSAADALITSRNGVGREDGGERWYNVASCQAPLVPDNWMRAMAGATPELDAADASTDGIFGSSSLDVEISPPVLDWGKSSLYVASMATLTVVNLNNDSALRVYEPFSTDPQFYVYGYDDLVLQPRENASVTFIFLPKLLGSSSAHLVLQTNFGGFIIQAKGMAVRSPYQLEPLAGMDVITGGRLERNLSIFNPFDDSLYVEEVAVWMSSFQGTKQSSHIVCQLGPFDESLEFTSLSSNWYTASSTEFGLPMIHIRPSEQWEVLPTQSSTVVELKLQPLSEGMVFGAICMKLRNCTSDRVDTVVIPMELEVRARTYHEPTNLVSVTFERVSSCAGVGSIISLSLRNEGTKLLRIVRVTEDNRDGSNNFEVKYLNGLILFPDTVTDIALIKYTSVPTDNSFDNCNIVVETNSSVGSSILIPCRDVISATLSYTASAVTESDGPFSEDELSANSRTGSLGSIVEVKGLQHMKPTITRAYKADDTVLRRWRSHGTRTGISVLTDQKMLFPIVQVGSQFSKWITVHNPSLEHASMQLVLNSEEIIGQCKTINDACEHTFSSRSPEVDSTETRFGFSLSDAAITEASVGPLESALLGPIIFRPSNQCMWSSMVLIRSNISGMEWLPLQAHGGRQSIVLLEESEPVWKLEFNLISDIQNKSALSKSEFTSPLCGQHLSKEIHVKNSGDLPLQVTKVKISGADCAVDGFSVDNCKGFSLAPSESIRMLVSFKADFSSAMVQRDLELAMTTGIFIIPMTANIPVCMLDQCRKSYLRSIHWKTLIFLFGTVSVFVLVFARGVPTSLSGGSQDCYIKIHDGKGTIDKPVKPSFLQCSSKTSRSTREHKRDKETHKYPADIHNSPKRTEDKNNADEQLNTTSTMSLPPSNTVEDKVSIEAPETSGNLTIRVARDKGKRRKRKVGGAGLAAKFEVSSSHSGNSTPSSPLSPSSTPKQSWSFSGTPSELKHSSKLENGSDIEARPPSARNNHEKSSWLQTAKEQPAPPPSVTSGNPSPSPSPSPTAPTNAWRSPLLSSPSPIAPRSRAPGSNLMKDKAVKRTEGAATTTTTRKKDFTYDIWGDHFSGHLLGKAREVAPPCYKMFAASEGASNSFFAREPQALVTKPPSPSPSPSPSPSPSSSPPVTRGRGSLPSDVASGYGIN >ORUFI03G06210.1 pep chromosome:OR_W1943:3:4112330:4117978:-1 gene:ORUFI03G06210 transcript:ORUFI03G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGRGEGEGRAATGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFQFASTSMKQIIDRYNSHSKTLQRAEPSQLDLQGEDSSTCARLKEELAETSLRLRQMRGEELHRLNVEQLQELEKSLESGLGSVLKTKSKKILDEIDGLERKRMQLIEENLRLKEQVSRMSRMEEMQPGPDSEIVYEEGQSSESVTNASYPRPPPDNDYSSDTSLRLGLSLFSSK >ORUFI03G06210.2 pep chromosome:OR_W1943:3:4111997:4117978:-1 gene:ORUFI03G06210 transcript:ORUFI03G06210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGRGEGEGRAATGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFQFASTSMKQIIDRYNSHSKTLQRAEPSQLDLQGEDSSTCARLKEELAETSLRLRQMRGEELHRLNVEQLQELEKSLESGLGSVLKTKSKKILDEIDGLERKRMQLIEENLRLKEQVSRMSRMEEMQPGPDSEIVYEEGQSSESVTNASYPRPPPDNDYSSDTSLRLGS >ORUFI03G06220.1 pep chromosome:OR_W1943:3:4124487:4128348:1 gene:ORUFI03G06220 transcript:ORUFI03G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQTKPKSQRLHGPTESPPILSPRPSPRPTPRRLSPPLSLLLLPQIPIAYSPSTSRPPSIAASLAPTRSNANATRSPPRHLAAVRPGLRDPRRPSLSAARTGNSVSRLISADCSSTMSWRFPLFGSNSQQQQPDPNFQDNPTQPWYPQSVVGSSSHPSTPSSSNVGPHQRASDNSQSSSRAQQPSPAEAAGIIARLKDKSVDELQRLLKDKEAYNAFFNSLDQVKTQNNLRDELRKETVQLARENLEKEQRILELRNQCTIIRTTELAAAQDRLAELERQKDEIMRSYSPAALLDKLQKSMAKLDEESEELHQKFLEKDIDLPTFVQKYKKLRAAYHKQALLHLAGKTSLR >ORUFI03G06230.1 pep chromosome:OR_W1943:3:4132943:4134262:1 gene:ORUFI03G06230 transcript:ORUFI03G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTTIPLLLLLLAATVAAAAAAELSVYHNVHPSSPSPLESIIALARDDDARLLFLSSKAATAGVSSAPVASGQAPPSYVVRAGLGSPSQQLLLALDTSADATWAHCSPCGTCPSSSLFAPANSSSYASLPCSSSWCPLFQGQACPAPQGGGDAAPPPATLPTCAFSKPFADASFQAALASDTLRLGKDAIPNYTFGCVSSVTGPTTNMPRQGLLGLGRGPMALLSQAGSLYNGVFSYCLPSYRSYYFSGSLRLGAGGGQPRSVRYTPMLRNPHRSSLYYVNVTGLSVGHAWVKVPAGSFAFDAATGAGTVVDSGTVITRWTAPVYAALREEFRRQVAAPSGYTSLGAFDTCFNTDEVAAGGAPAVTVHMDGGVDLALPMENTLIHSSATPLACLAMAEAPQNVNSVVNVIANLQQQNIRVVFDVANSRVGFAKESCN >ORUFI03G06240.1 pep chromosome:OR_W1943:3:4134848:4136642:-1 gene:ORUFI03G06240 transcript:ORUFI03G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPAPFRPLAPAAAAASAAPRRATAGEGGRSSLTGAIGAASAAAVACRTGCAFSRRRFPFLGVRGLSSARMESASTTVPSIVVYVTVPNREAGKKLSQSIISEKLAACVNIVPGIESVYWWEGKVQTDAEELLIIKTRESLLDALTEHVKANHEYDVPEVIALPITGGNTKYLEWIKNSTKAN >ORUFI03G06250.1 pep chromosome:OR_W1943:3:4136785:4140027:1 gene:ORUFI03G06250 transcript:ORUFI03G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEVVVAAGGEAMEAEAAEPAAANPSQKREREEGDDSAAGAAGEEAAEGAAAKKQKVEGEGEAKGAEDEAKGEEEEGAKEEEAKPVKLGPKEFATGVEMFDYFFALLHSWAPQLEINKYEHMVLEDLLKKGHAESDRKIGAGIEAFEIRNHPVFQSRCFFVRRVDGSSDDFSFRKCVDSILPLPEDMKIGNKSNGKKGGGHFKGGGGRGGGRGGGRGGGRGFRGRGRRGN >ORUFI03G06260.1 pep chromosome:OR_W1943:3:4142412:4151379:1 gene:ORUFI03G06260 transcript:ORUFI03G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPRGRGGAAAAAAAAAAAAAAQAAGREESFSLESSGPPAFAAIIRLTPDLVDEIRRAEESGSGARIKFNPNMYNSSENIIDVGGKEFKFTWASERGELCDIYEERQSGEDGNGLLLECGSAWRKVNVQRILDESAKNLVKMRSEEAERLSKSRKSIVLDPANPSVKSQAKSMAAAAVEGNMRRMNWKQKKEFYKKNPAAVIAPTKSVSKVKLSNSVPKANFSTSPALSPEQPGPSIPSVPTGSDVNNEVITPFDLNKDENSKVEKSTPNRVSQGTSRRASVVSASTDDNTNELRSLLISVLSENPKGMNLKGLEKAVADVFPNASKKIDSIIKNIAIFQAPGRYLLKPGLEAESSKRSIDDNTEEAGPSLKMDDPDIFERIDIGGSPVSAARDEKVNNDSDGKAGSSSESGSGSDSDSESSDSGSDSGSQSRSAASGSGSSSDSDSDASSSSKEGSDAFVDITSDDDKGGAHRKAADELKLSSLPRDLTALDGDDELIDIGTNLDYKSASPHIDLNNFNDNNNESAYTAVPTDSFDASHLEKPPEIPGSKNMVNTSIDPSRIDSKYAANEMSYEDLFGDPLAPSSENLPKEETSQSTKHHGSRRKSVSKDGSNHGQDRINEKGAKPKLKRCSANENSSVMSGSAKRAKADYLGTTSSLSEQRQTLPLDKHVNEKLSKETGNVSWDAHSDLHAHDSSPAVKVRHLASGNLQKINQSPNVLNQAMHSERTQDKVEKSISKKKADKVQKPWNSLDGNLGRVSHAEDPYANFDDSDDSATRKKARYGGTQVEDKMLSRSKDANIDVNSMVSAKSAKGNVGYDGVMPFPDSNESNGQPSNLQRNNAESSPHGKKVLQRELSDLELGEFREASLENETGRAKKQFERNSSSKSLDGKLANVDNSIPSMANRKGSLSSSQDQRKPSPREFGIGGNINQEGFPKKASGYDFDNNRPQQRGNVPQSQQLSKPDNPVPVVILHPDQPGEKPGKRETRVQVGMLDQVGPKKIGKTTPKLPQNGSRNAIGSRTQKSISPAENEERSRNNSLIENDASRKRRDSSSDEDNLFFSKYDKEEPELKGPIKDFSQYKDYVQEYTEKYKVYSYLNIQIEKTRSEFLKVQEDLNVAKERDKDLYYNIMERIRDMYHESGTRHKLMKKVFSLLHEELQTIKRRIKDFADSYSNE >ORUFI03G06270.1 pep chromosome:OR_W1943:3:4152533:4161101:1 gene:ORUFI03G06270 transcript:ORUFI03G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDHAAAEKARWRFSDGGVTDLLQARSLHGTADINKRVQFHSSLVQRLALEKEMEGHLGCVNAIAWNSKGSLLLSGSDDTRIGIWSYANRELLHDIDTGHSANIFCTKFVPETSDEVIVSGAGDAEVRVFNLSRLSGKRPVEISMEPSAVYQCHSRRIKKLAVEIGNPNIVWSASEDGTLRQHDFRECSSCPRARSTNQECRNVLLDLRWGAKKSLADIPRQPLALKSCDISTVRPHQLLVGGSDAFARLYDRRMLPPLSTCQTKKEPPPCIKMFCPMHLADTRKSNLHLTHVAFSPNGKEVLLSYSGEHVYLFDVDLENTSSVRYTADNVQEQLCLPPFNKEPAKLISKQQKFPVNRASRNVCRVDTFKKLMQVATKSLEMGTNLMLGVEACSTILLAVDHNIDDNMKHDCLCTRAGLYLKRRWKNDVYMAIRDCNSARCIDSRSFQAHLYMAEALLQLGRLKEASEYVDALNTIVPPYSQSVKQVENIKEQLFAAELEKNKKDQVGNTYTDARHGRLRSLSDLLFRSDASGSSSQEGREDSDYDDEMELDFETSASAESTQNGDSAYQPEVAVDMKQRYVAHCNVGTDIKQASFLGEQGEFIASGSDDGRWFIWEKRTGRLIKMLAGDGAVVNCIQSHPYDCAVATSGIDNTIKLWTPDANATSMIAGPEIDVSSVIENNQRKLSRNREILLLREKEGNAWKVTSSKR >ORUFI03G06270.2 pep chromosome:OR_W1943:3:4152533:4161101:1 gene:ORUFI03G06270 transcript:ORUFI03G06270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDHAAAEKARWRFSDGGVTDLLQARSLHGTADINKRVQFHSSLVQRLALEKEMEGHLGCVNAIAWNSKGSLLLSGSDDTRIGIWSYANRELLHDIDTGHSANIFCTKFVPETSDEVIVSGAGDAEVRVFNLSRLSGKRPVEISMEPSAVYQCHSRRIKKLAVEIGNPNIVWSASEDGTLRQHDFRECSSCPRARSTNQECRNVLLDLRWGAKKSLADIPRQPLALKSCDISTVRPHQLLVGGSDAFARLYDRRMLPPLSTCQTKKEPPPCIKMFCPMHLADTRKSNLHLTHVAFSPNGKEVLLSYSGEHVYLFDVDLENTSSVRYTADNVQEQLCLPPFNKEPAKLISKQQKFPVNRASRNVCRVDTFKKLMQVATKSLEMGTNLMLGVEACSTILLAVDHNIDDNMKHDCLCTRAGLYLKRRWKNDVYMAIRDCNSARCIDSRSFQAHLYMAEALLQLGRLKEASEYVDALNTIVPPYSQSVKQVENIKEQLFAAELEKNKKDQVGNTYTDARHGRLRSLSDLLFRSDASGSSSQEGREDSDYDDEMELDFETSASGDESRDSDPGFVRGSLSLRFHRRDSQTDEHSGENGSAESTQNGDSAYQPEVAVDMKQRYVAHCNVGTDIKQASFLGEQGEFIASGSDDGRWFIWEKRTGRLIKMLAGDGAVVNCIQSHPYDCAVATSGIDNTIKLWTPDANATSMIAGPEIDVSSVIENNQRKLSRNREILLLREKEGNAWKVTSSKR >ORUFI03G06270.3 pep chromosome:OR_W1943:3:4152533:4161101:1 gene:ORUFI03G06270 transcript:ORUFI03G06270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDHAAAEKARWRFSDGGVTDLLQARSLHGTADINKRVQFHSSLVQRLALEKEMEGHLGCVNAIAWNSKGSLLLSGSDDTRIGIWSYANRELLHDIDTGHSANIFCTKFVPETSDEVIVSGAGDAEVRVFNLSRLSGKRPVEISMEPSAVYQCHSRRIKKLAVEIGNPNIVWSASEDGTLRQHDFRECSSCPRARSTNQECRNVLLDLRWGAKKSLADIPRQPLALKSCDISTVRPHQLLVGGSDAFARLYDRRMLPPLSTCQTKKEPPPCIKMFCPMHLADTRKSNLHLTHVAFSPNGKEVLLSYSGEHVYLFDVDLENTSSVRYTADNVQEQLCLPPFNKEPAKLISKQQKFPVNRASRNVCRVDTFKKLMQVATKSLEMGTNLMLGVEACSTILLAVDHNIDDNMKHDCLCTRAGLYLKCKVYRFQIISGASVYGRSTITAELEKNKKDQVGNTYTDARHGRLRSLSDLLFRSDASGSSSQEGREDSDYDDEMELDFETSASAESTQNGDSAYQPEVAVDMKQRYVAHCNVGTDIKQASFLGEQGEFIASGSDDGRWFIWEKRTGRLIKMLAGDGAVVNCIQSHPYDCAVATSGIDNTIKLWTPDANATSMIAGPEIDVSSVIENNQRKLSRNREILLLREKEGNAWKVTSSKR >ORUFI03G06270.4 pep chromosome:OR_W1943:3:4152533:4161101:1 gene:ORUFI03G06270 transcript:ORUFI03G06270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDHAAAEKARWRFSDGGVTDLLQARSLHGTADINKRVQFHSSLVQRLALEKEMEGHLGCVNAIAWNSKGSLLLSGSDDTRIGIWSYANRELLHDIDTGHSANIFCTKFVPETSDEVIVSGAGDAEVRVFNLSRLSGKRPVEISMEPSAVYQCHSRRIKKLAVEIGNPNIVWSASEDGTLRQHDFRECSSCPRARSTNQECRNVLLDLRWGAKKSLADIPRQPLALKSCDISTVRPHQLLVGGSDAFARLYDRRMLPPLSTCQTKKEPPPCIKMFCPMHLADTRKSNLHLTHVAFSPNGKEVLLSYSGEHVYLFDVDLENTSSVRYTADNVQEQLCLPPFNKEPAKLISKQQKFPVNRASRNVCRVDTFKKLMQVATKSLEMGTNLMLGVEACSTILLAVDHNIDDNMKHDCLCTRAGLYLKCKVYRFQIISGASVYGRSTITAELEKNKKDQVGNTYTDARHGRLRSLSDLLFRSDASGSSSQEGREDSDYDDEMELDFETSASGDESRDSDPGFVRGSLSLRFHRRDSQTDEHSGENGSAESTQNGDSAYQPEVAVDMKQRYVAHCNVGTDIKQASFLGEQGEFIASGSDDGRWFIWEKRTGRLIKMLAGDGAVVNCIQSHPYDCAVATSGIDNTIKLWTPDANATSMIAGPEIDVSSVIENNQRKLSRNREILLLREKEGNAWKVTSSKR >ORUFI03G06280.1 pep chromosome:OR_W1943:3:4162312:4163415:-1 gene:ORUFI03G06280 transcript:ORUFI03G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKSEAIGLCALVTKKALVRVPGMMMDRGCSLVAVVQHQRKLLPCVPLPLQLEKRSSTVLIDSPCNQKVWLVLPIQQAGTALPCTRPTGHASCRQRRRRAQELMFITELLAANLPGRRRRVQMT >ORUFI03G06280.2 pep chromosome:OR_W1943:3:4162312:4163846:-1 gene:ORUFI03G06280 transcript:ORUFI03G06280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVAGLILGWCVATFLDVLVGLHEKRVDLLSRPSLYNVQKFESNIMLFESNIMLEKSEAIGMFLFEGLTTIGLVAVVQHQRKLLPCVPLPLQLEKRSSTVLIDSPCNQKVWLVLPIQQAGTALPCTRPTGHASCRQRRRRAQELMFITELLAANLPGRRRRVQMT >ORUFI03G06290.1 pep chromosome:OR_W1943:3:4164189:4168261:1 gene:ORUFI03G06290 transcript:ORUFI03G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDKSAAGSSSSPPRPVAAATAAVCCMCGDRGLLPELFRCAACAVRSQHTYCTERYPKAESYGTCNWCLRADQGSDGGGGGAAAASSSMARPPTGARAAAGGDPAASSRSTAAAKVVAARGHFAADLSKPIKKPPPAPAPLPPPSPAQRRILLRRSASDLGGRVRRADHDAPPPLSPGVARGRPRVRRYKLLEEVITTS >ORUFI03G06290.2 pep chromosome:OR_W1943:3:4165666:4168261:1 gene:ORUFI03G06290 transcript:ORUFI03G06290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDKSAAGSSSSPPRPVAAATAAVCCMCGDRGLLPELFRCAACAVRSQHTYCTERYPKAESYGTCNWCLRADQGSDGGGGGAAAASSSMARPPTGARAAAGGDPAASSRSTAAAKVVAARGHFAADLSKPIKKPPPAPAPLPPPSPAQRRILLRRSASDLGGRVRRADHDAPPPLSPGVARGRPRVRRYKLLEEVITTS >ORUFI03G06300.1 pep chromosome:OR_W1943:3:4176891:4178910:-1 gene:ORUFI03G06300 transcript:ORUFI03G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDDDQPPPLPAQKRPRASPPPDQVLDNVLETVLQFLDSARDRCAASLVCRSWSRAESATRASVAVRNLLAASPARVARRFPAARRVLLKGRPRFADFNLLPPGWAGADFRPWAAAVAAAAFPALASLFLKRITVTDDDLDLVSRSLPASFRDLSLLLCDGFSSAGLASIASHCRGLRVLDVVDCEMNDDDDEVVDWVAAFPPGTTDLESLSFECYVRPVSFAALEALVARSPRLTRLGVNEHVSLGQLRRLMANTPRLTHLGTGAFRPGDGPEDVGLDIEQMASAFASAGRTNTLVSLSGFREFEPEYLPTIAAVSGNLTNLDFSYCPVTPDQFLPFIGQCHNLERLYVLDSVRDEGLQATARTCKKLQVLHVLPLNALEDADELVSEVGLTAIAEGCRGLRSTLYFCQSMTNAAVIAISQNCVDLKVFRLCIMGRHQPDHVTGEPMDEGFGAIVRNCSKLTRLSTSGHLTDRAFEYIGKYAKSLRTLSVAFAGDSNLALQHILQGCSKLEKLEIRDCPFGDAGLLSGMHHFYNMRFLWMSGCNLTLQGCKEVARRLPRLVVELINSQPENERTDSVDILYMYRSLEGPREDVPPFVKIL >ORUFI03G06310.1 pep chromosome:OR_W1943:3:4184844:4187384:1 gene:ORUFI03G06310 transcript:ORUFI03G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPAAAGGDHATATPAPATGAAAATASDYAHYPRLSPEDVAPPPPPPYHAAASSAPPYSGNPYVSSPAGGVAPASKNTMDTVKDVLGKMGKRFGEAARKTETLTGNFWQHLKTGPSITDAAMGRVSQITKVIAEGGYDKIFHQTFDVLPDEKLKKPYACYLSTSAGPVMGVLYLSNKKLAFCSDNPLAYKVGDKDEWSYYKVVIPHTQLRSVNPSTSRTNASEKYIQVVSVDNHEFWFMGFVYYDSAVKNLQEALQEAQNLRA >ORUFI03G06320.1 pep chromosome:OR_W1943:3:4188338:4190845:1 gene:ORUFI03G06320 transcript:ORUFI03G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAYGSREGLTARPAASSSSSEISLQIDPITADLDDHIVGLRGQVRKLRGVAQEIQTEAKYQNDFISQLQMTLTKAQAGVKNNMRRINKKIIQNGSNHLFHVILFALVCFFLVYLVSKFSRR >ORUFI03G06330.1 pep chromosome:OR_W1943:3:4191583:4192782:-1 gene:ORUFI03G06330 transcript:ORUFI03G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITAASPRPSAAPAAMEETSKAMPTSEWPSASGGNASPPARSRPSLLVIFSACLVLLGAGGPLLLRVYFVHGGTRLWLSATLQISGWPLLLPPLCVSLYRGRRHGIGNLLLPRRLVGAAAVLGGLYAVSCFVYALGSQALPLSTSSLLLATQLAFTAVFAFLFVGLRFTPFSANAVVLLTIGPAVLGVGPSSGKPAGESSRAYWTGFCEAIGAAALAGLVIPLVEVATARYGRRTGPAARVPPPYATVMQMQAVMGAAGTAVCVLGMAIKGDFQAVAREAAAFGLGAANYYLVLAWDAVSWQLLNLGIMGLITCASSLLAGIMIAVLLPLSQVLAVIFLHEKFDGTKGIALVLSLWGFASYLYGEKAQKKKEAQKMREREQEVALAQKTADVESAAP >ORUFI03G06340.1 pep chromosome:OR_W1943:3:4196266:4196551:-1 gene:ORUFI03G06340 transcript:ORUFI03G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLVQVERDGPWWVQSLSYQATRPKEEWAAGLSEADHKAEQDGQLAQLRLTLLVHKFHVKVSYEKFSNENFQMKVLQIKAFR >ORUFI03G06350.1 pep chromosome:OR_W1943:3:4199873:4209552:1 gene:ORUFI03G06350 transcript:ORUFI03G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRGDEEECRVALLNGGGAAKEGWQVVSGGDGKLRRRVWEESRKLWVIVAPAIFSRVVTYSMNVITQAFAGHLGDLELAAISIANTVVVGFNFGLMLGMASALETLCGQAFGAKKYHMMGVYMQRSWIVLLACAVLLLPMYIYAEDVLLLTGQPPELSAMAGRVSVWFIPLHLSFAFLFPLQRFLQCQMKNFASAAASGVALCVHVAISWLLVSRFRFGLVGIALTLNFSWWATAAMLFAYVACGGCPETWNGLSLEAFAGLWEFVKLSAASGVMLCLENWYYRILILLTGNLKNAAIAVDALSICMTINAWELMIPLAFFAGTGVRVANELGAGNGKGARFATIVSSVTSLVIGLFFWVLIVGLHDKFALIFTSSDVVLDAVDNLSVLLAFTILLNSIQPVLSGVAVGSGWQSMVAYVNIGTYYLIGIPMGILLGWLFKLGVLGIWAGMIGGTAVQTLILAIITIRCDWDKEAMIASTRMDKWSQVR >ORUFI03G06360.1 pep chromosome:OR_W1943:3:4210150:4211211:-1 gene:ORUFI03G06360 transcript:ORUFI03G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRIALAVLVTLLLSAFRPCLAQQSNDDTSKHHRSATAGGFTPTTVVVLVALITAFVLLTVFSVLINRCAQARAPPRRAFRSTASHQPVGGAAAASRASRGLDKEVVEAFPTAVYGDVKARMAAKSGPLECAVCLAEFADSDELRVLPACCHVFHPDCIDPWLAAAVTCPLCRANLTAPPVSLAAAESSDLTAPEEAVQEEESEELDEASLMATFTPESVIDFGATHDHEFDRAGYPHYRRTQSAMDAAPDRHTLRLPEHVMKELAADRRHRRAASLAGYPDSVERTPRWLTSLWRSVSWQRQSRADWDAGEEHGGSKRVHPVAGAQDETPSGSGSDGSKENSDSDALNRV >ORUFI03G06370.1 pep chromosome:OR_W1943:3:4222673:4224327:1 gene:ORUFI03G06370 transcript:ORUFI03G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQGHFGYGCGRDSPAYGMPWCDVLAAAGGGGGGFGEFYGVDEWDDQLQVASVDEWEVASKDNSDASTEGKAAAAERAAPVAAGRRKRRRTKVVKNKEEIECQRMTHIAVERNRRRQMNEYLAVLRSLMPASYSQRGDQASIVGGAINYVKELEQLLQSLEVQKSLKNRSGAMDAAGDSPFAGFFSFPQYSTSPRTGCSAAASAGSSGSASSVVMDDTAGSAESGRQSAAIADIEVTMVEGHASLKVLARRRPKQLLKLVVGLQQLRIPPLHLNVTTVDAMVLYSFSLKVEDDSKLGSVEDIATAVHQILGSIQQQEAVLSIS >ORUFI03G06380.1 pep chromosome:OR_W1943:3:4228549:4228974:1 gene:ORUFI03G06380 transcript:ORUFI03G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPATATKLAVAVYVEEAAGAPVGAETEEGVTADGVTAEGALAAGASVGGAGGDAMGDGDAAVGGVATGAGAVAGDLAGGAGSGAILGAGTGAAPGACAAAVTARRATMAATTAKRAMVFRLGNVSFQVRGNREAVS >ORUFI03G06390.1 pep chromosome:OR_W1943:3:4242195:4244627:1 gene:ORUFI03G06390 transcript:ORUFI03G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPTSSSRKSKKQGEDLAFSEEGSLPAVTMEQKDEAEMEEVDDEEEEEVDEDMAGGHAAQSPSPSCGLGEKKRRLALEQVRALERSFDTDNKLDPDRKARIARDLGLQPRQVAVWFQNRRARWKTKQLERDFAALRARHDALRADCDALRRDKDALAAEIRELREKLPTKPADTAASVKVEAGNDAAAGAAAATVCKDGSSDDSDSSVVFNDEASPYSGAAFIGFGPSFLVDDASAATVGCSSSLPALESKWHGPYSDDSCKGGVYGFTEEWLAACSGEMAGNDAAGFFSDEHASNLNFGWCASGNEGWE >ORUFI03G06400.1 pep chromosome:OR_W1943:3:4250527:4251057:1 gene:ORUFI03G06400 transcript:ORUFI03G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSEWEDRWCKRHPEHRLSKGVCPYCLRDRLAHLSASSSATTTTRASSSAESSGYSSSSPPCYGALSADVSSVHVVGAAGSSFANVAAFSQPLMPSSVSRKPAGGGQEEPGREASGKGKQQEVKRKKSGKKKKIGRFLSRLVGAEKRRQSGDADGGDLFHSKTMKEKTAHKWVFF >ORUFI03G06410.1 pep chromosome:OR_W1943:3:4254984:4256419:-1 gene:ORUFI03G06410 transcript:ORUFI03G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLAHPRSPHHHGPRILAHIAVQRLKRCSIRTNKMQQSRQDVDEHVAELRGELRKAREERDRAYRVLEVSEWKALASANDRTTIETLEAELDASRESEKRMLESLAMQTKQLEMTKIELEEARLENASLRETIQRLEAIAVPVATTPRGRYDRDYQRVHGELRMALVAEDKNKKAMEELVLALKEVNGELHTTRQLLARSQHEAETARLESDRLHVSLKRKDDKLRALSEEVARLRADAEESFAAWRGKEAGFTSCMKSTESELAETRRENARLLESQRSGRDEIAKLRDILRQAVKDTKVVKEALEEARGENAALKEMLGDKDTAIKCTKQELECLRVTEAAARDSVKELQSLLVATSSSPTAAGMKLSASPSPTASGIKLDMEDSSSSHGSRELHGLIKCHSEARVKPPAGLTLPRRRSDNFEGSVYDIFGSMEDQKSELSAFSTMPRSLPARRRVMMRKVGSLFRFKSFSIK >ORUFI03G06420.1 pep chromosome:OR_W1943:3:4256589:4268077:-1 gene:ORUFI03G06420 transcript:ORUFI03G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQIPQPIRCKAAVCRAAGEPLAVEEIVVDPPKAHEVRIKIVCTSLCHSDVTFWRMQDFPGVFPRIFGHEAFGVVESVGEHVEGFAAGDPVVPTFLGQCTECVDCASERSNVCSTYRFAVRPGMPRDGTARFRDRHGAPIHHFLGVSSFSEYTVVDANQVVRVDPAVPPATASLLSCGATTGVGAAWKLAKVEPGSSVAIFGLGAVGLAVAEGARICGKKFGVTHFINPQELGDKPVSQAIIEMTDGGADYCFECIGLASVMSDAFRSSREGWGKTIILGVEMHGAPLSIPSLEILNGKCVMGSLFGGVKPKQDIPILADKYLNKELELDKFITHEVPLKDINTAFDLLLQGKSLRCTIWMDKEMSRLKEQGKITSYI >ORUFI03G06430.1 pep chromosome:OR_W1943:3:4270858:4273303:-1 gene:ORUFI03G06430 transcript:ORUFI03G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSLKPIRCKAAVCRAASEPLIVEEIVVDPPKAYEIRIKIICTSLCHTDVTLWHKVDPAFPRILGHEAYGVVESVGENVEGLAAGDTVVPTFMGQCDSCASCAAEWTNQCTAVPFTMGPGMRRDGTTRFWDGEGKPLSDTVAVTSFSQYTVVDVNQVVKVDPAVPPKIACLLGCCGGTGEPEIRRYIEPAWGRSSMEVGQSATRFVGGCLRAGIRRIGGKEFGVTDFVNPSQLGDKSVSEVINAMTDGGADYSFECIGISSVMTEAGRGKTIILGVEKDSQPLCLPSFEFLFGKCVMGSLFGGAKPKTDIPILAEKCMNKELELEKLVTHEVGLTEINTAFDLLLQGKSLRCIIWMDK >ORUFI03G06440.1 pep chromosome:OR_W1943:3:4283711:4284016:-1 gene:ORUFI03G06440 transcript:ORUFI03G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSAVMQTAGSGGSGESAGGAGCRMGGGRGGASGGGRMRWRRVGMADGGGGGGGAMMVGGGGWGGSMETAAAGAGXRKRRRRRKRKRREAAAAAGGWTCP >ORUFI03G06450.1 pep chromosome:OR_W1943:3:4284023:4284393:-1 gene:ORUFI03G06450 transcript:ORUFI03G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSSPYTPTKYYKISPTPAISTHWKQQQQQQEHPWLVLGHGSRTRGLVAGMGTPGDGGVRGEGRHPNPSGEVGTWIGDGIPRRRRM >ORUFI03G06460.1 pep chromosome:OR_W1943:3:4286429:4288626:-1 gene:ORUFI03G06460 transcript:ORUFI03G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAGIALAPLLVSHLAPPHHRRSSVASAAAAAARRRPRAVQCSATATAASGEGAGDDVELSRGTLLWRAAKLPIYSVALVPLTVGSACAYHHVGSFFGKRYFVLLVASVLVITWLNLSNDVYDSDTGADKNKKESVVNIVGSRTMTQYAANISLLFGFMGLFWAFAQAGDARFILSVTCAIICGYVYQCPPFRLSYRGLGEPLCFAAFGPLATTAFYFSNSSRNISSGTALLPLSKTVIASSILVGLTTTLILFCSHFHQIDGDRAVGKMSPLVRIGTKTGSRLVTLGVVTLYVLLAAFGMSKSLPSACTVLCALTLPVGKWVVDYVLKNHEDNSKIFMAKYYCVRLHALFGMALASGLVLARNGTLV >ORUFI03G06470.1 pep chromosome:OR_W1943:3:4290762:4293700:-1 gene:ORUFI03G06470 transcript:ORUFI03G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISCFSALLAGKRKLSKVTSKIGYGKKSGGNEFQKVKPVEFMEGTDTVDIGKGGGDIVLACDTKVVAFNAAELACEGRDKDDDMVSVKRDTSDVDLVAGGDADSSGYNSDAADKDASSAAAAPDASEPGVGLMVPAMASRLERSCSNIETARRGSKAFELPAKSLSYGDLMALPAGGSATATPVGAPDDSPAASVKTTCSADHVMLKKCSSSQVLPSRSRKLWWRLLLRSHRNLHRPAATVPAAVPSAEQRHDGYASDTLDAGAATADVKNKGIAVGHEPIPNQWMAFSSEATSLDRVSAWVNSLVDNPFKANEECIVEHDDDDDDTARPHCTEIGEPSSFGGKFPAQARRRMAGEAIKANSIIQTLTTSSSVAHISGMGLTVIPVISPFSSLRAVNLSGNLIVQISSGSLPKGLHSLDLSRNKISVIEGLRELTRLRVLNLSYNKISRIGHGLSNCGAIRELYLAGNKISDVEGLHRLLKLAVVDLSFNKITTTKALGQLVANYSSLRALNLVGNPVQTNIGDDALRKAASGLLSRLEYLNKQPVRPQRAREAAKDSVAKAALGNGGWSSRRRPTPSSRRLSQSPGSSVKNRGRDNGSGSHRGSRSRSKSRPHQGFSLARK >ORUFI03G06480.1 pep chromosome:OR_W1943:3:4300419:4300598:1 gene:ORUFI03G06480 transcript:ORUFI03G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRTVGRAAHHQIPAGGGGEARRLPGARRDGAQRRRDPKSGGGTMEANMSATNDDLTI >ORUFI03G06490.1 pep chromosome:OR_W1943:3:4304030:4307753:-1 gene:ORUFI03G06490 transcript:ORUFI03G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSRLEKALGEQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKSTGDVEDNMLTCLADLFSQISNQKKKTGVIAPKRFIQRLKKQNEIFRSYMHQDAHEFLNFLLNELVDILEKESKVVAEPCENSSLKKNSNGPINVQLNGTKKEPVPTLVHKCFQGILTNETRCLRCETVTDRDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPNILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLINTVDNSDLEYSLFAVLVHVGSGPNHGHYISLVKSHNHWLFFDDENVEMTDESMVQTFFGSAQEFSGNTDNGYILFYESLAEKS >ORUFI03G06500.1 pep chromosome:OR_W1943:3:4309457:4312525:-1 gene:ORUFI03G06500 transcript:ORUFI03G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSPEELKCAANGGCAAASPGGTPRAGHYLPAVPAAVEGELRWLRRCVEAAAKGFAIGAGLKGGLALFSVLVRLRSRRRSPRSRKVGAMTNEEAVVLALKETVRYGLFLGTFAGSYVSVDECIAGIWGRKRTARWRSLLAGLIAGPSMLLTGPGTQHTSLAIYILMRAAVLASRCGIKSKRFGNICKPLTWSHGDIFLMCLSSAQILSAYILSQESLPSTYKAFLNKHGGKDLTILQGVKEVVNHTAFSNLAGIEKYYKSVGVDLKLDPNMKVPCSIVHGNQSCAGHFITFLFQAYGRAVPVYVPVYLVPALVVHRQDLLKRPYPIIGKSLLGTARSSLFLSVYCASAWAWTCLLFRIFQSCNTPLVVLATFPTGLALLIEKKSRRIEIALYCFARAIESFFTCMTDAGLCPPILQIKRADVVVFSMATSIIMHCYAQEREVFRSKYLNVLDWVFGVPPPSDEESNKDFPSDDGTKKIC >ORUFI03G06510.1 pep chromosome:OR_W1943:3:4314928:4322300:-1 gene:ORUFI03G06510 transcript:ORUFI03G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRYAIAPQLDIEQILKEAQRRWLRPTEICEILKNYRSFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKRDGKTVKEAHERLKSGSIDVLHCYYAHGEENINFQRRSYWMLEEDYMHIVLVHYLEVKAGKLSSRSTGHDDVLQASHADSPLSQLPSQTTEGESSVSGQASEYDETESAYLKQNSVQQIFIREEPDTTLSLGCGSMKMEVDLYQGLQATAPNTGFYSHGQDNLPVVLNESDLGTAFNGPNSQFDLSLWIEAMKPDKGTHQIPLYQAPVPSEQSPFTGGPGIESFTFDEVYNNGLSIKDVDGDDTDGETPWQIPNASGTFATADSFQQNDKTLEEAINYPLLKTQSSSLSDIIKDSFKKNDSFTRWMSKELAEVDDSQITSSSGVYWNSEEADNIIEASSSDQYTLGPVLAQDQLFTIVDFSPTWTYAGSKTRVFIKGNFLSSDEVKRLKWSCMFGEFEVPAEIIADDTLVCHSPSHKPGRVPFYVTCSNRLACSEVREFDFRPQYMDAPSPLGSTNKIYLQKRLDKLLSVEQDEIQTTLSNPTKEIIDLSKKISSLMMNNDDWSELLKLADDNEPATDDKQDQFLQNRIKEKLHIWLLHKVGDGGKGPSMLDEEGQGVLHLAAALGYDWAIRPTIAAGVNINFRDAHGWTALHWAAFCGRERTVVALIALGAAPGAVTDPTPSFPSGSTPADLASANGHKGISGFLAESSLTSHLQTLNLKEAMRSSAGEISGLPGIVNVADRSASPLAVEGHQTGSMGDSLGAVRNAAQAAARIYQVFRMQSFQRKQAVQYEDENGAISDERAMSLLSAKPSKPAQLDPLHAAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIIWSVGIVEKVILRWRRRGAGLRGFRPTENAVTESTSSSSGNVTQNRPAENDYDFLQEGRKQTEERLQKALARVKSMVQYPDARDQYQRILTVVTKMQESQAMQEKMLEESTEMDEGLLMSEFKELWDDDMPTPGYF >ORUFI03G06510.2 pep chromosome:OR_W1943:3:4314928:4322300:-1 gene:ORUFI03G06510 transcript:ORUFI03G06510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRYAIAPQLDIEQILKEAQRRWLRPTEICEILKNYRSFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKRDGKTVKEAHERLKSGSIDVLHCYYAHGEENINFQRRSYWMLEEDYMHIVLVHYLEVKLKFHFLHIYGYDHQAGKLSSRSTGHDDVLQASHADSPLSQLPSQTTEGESSVSGQASEYDETESAYLKQNSVQQIFIREEPDTTLSLGCGSMKMEVDLYQGLQATAPNTGFYSHGQDNLPVVLNESDLGTAFNGPNSQFDLSLWIEAMKPDKGTHQIPLYQAPVPSEQSPFTGGPGIESFTFDEVYNNGLSIKDVDGDDTDGETPWQIPNASGTFATADSFQQNDKTLEEAINYPLLKTQSSSLSDIIKDSFKKNDSFTRWMSKELAEVDDSQITSSSGVYWNSEEADNIIEASSSDQYTLGPVLAQDQLFTIVDFSPTWTYAGSKTRVFIKGNFLSSDEVKRLKWSCMFGEFEVPAEIIADDTLVCHSPSHKPGRVPFYVTCSNRLACSEVREFDFRPQYMDAPSPLGSTNKIYLQKRLDKLLSVEQDEIQTTLSNPTKEIIDLSKKISSLMMNNDDWSELLKLADDNEPATDDKQDQFLQNRIKEKLHIWLLHKVGDGGKGPSMLDEEGQGVLHLAAALGYDWAIRPTIAAGVNINFRDAHGWTALHWAAFCGRERTVVALIALGAAPGAVTDPTPSFPSGSTPADLASANGHKGISGFLAESSLTSHLQTLNLKEAMRSSAGEISGLPGIVNVADRSASPLAVEGHQTGSMGDSLGAVRNAAQAAARIYQVFRMQSFQRKQAVQYEDENGAISDERAMSLLSAKPSKPAQLDPLHAAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIIWSVGIVEKVILRWRRRGAGLRGFRPTENAVTESTSSSSGNVTQNRPAENDYDFLQEGRKQTEERLQKALARVKSMVQYPDARDQYQRILTVVTKMQESQAMQEKMLEESTEMDEGLLMSEFKELWDDDMPTPGYF >ORUFI03G06510.3 pep chromosome:OR_W1943:3:4314928:4322300:-1 gene:ORUFI03G06510 transcript:ORUFI03G06510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRYAIAPQLDIEQILKEAQRRWLRPTEICEILKNYRSFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKRDGKTVKEAHERLKSGSIDVLHCYYAHGEENINFQRRSYWMLEEDYMHIVLVHYLEVKIFIREEPDTTLSLGCGSMKMEVDLYQGLQATAPNTGFYSHGQDNLPVVLNESDLGTAFNGPNSQFDLSLWIEAMKPDKGTHQIPLYQAPVPSEQSPFTGGPGIESFTFDEVYNNGLSIKDVDGDDTDGETPWQIPNASGTFATADSFQQNDKTLEEAINYPLLKTQSSSLSDIIKDSFKKNDSFTRWMSKELAEVDDSQITSSSGVYWNSEEADNIIEASSSDQYTLGPVLAQDQLFTIVDFSPTWTYAGSKTRVFIKGNFLSSDEVKRLKWSCMFGEFEVPAEIIADDTLVCHSPSHKPGRVPFYVTCSNRLACSEVREFDFRPQYMDAPSPLGSTNKIYLQKRLDKLLSVEQDEIQTTLSNPTKEIIDLSKKISSLMMNNDDWSELLKLADDNEPATDDKQDQFLQNRIKEKLHIWLLHKVGDGGKGPSMLDEEGQGVLHLAAALGYDWAIRPTIAAGVNINFRDAHGWTALHWAAFCGRERTVVALIALGAAPGAVTDPTPSFPSGSTPADLASANGHKGISGFLAESSLTSHLQTLNLKEAMRSSAGEISGLPGIVNVADRSASPLAVEGHQTGSMGDSLGAVRNAAQAAARIYQVFRMQSFQRKQAVQYEDENGAISDERAMSLLSAKPSKPAQLDPLHAAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIIWSVGIVEKVILRWRRRGAGLRGFRPTENAVTESTSSSSGNVTQNRPAENDYDFLQEGRKQTEERLQKALARVKSMVQYPDARDQYQRILTVVTKMQESQAMQEKMLEESTEMDEGLLMSEFKELWDDDMPTPGYF >ORUFI03G06520.1 pep chromosome:OR_W1943:3:4326636:4329427:-1 gene:ORUFI03G06520 transcript:ORUFI03G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLEMWLPPAGSGGGGEGMAAGLFLDGEAAHGALLAAMPGISASFGVRQRRPGFVSLTMSVKGGRGFVSGPVGLLASGEEKGARAEEAEALVAGRRATEEEVAEASEGKVVEEVKEARAGAGAMNMTKHLWSGAVAAMVSRTVVAPLERLKLEYIVRAEQRNLFELIHAIATTQGLKGFWKGNFVNILRTAPFKAVNFYAYDTYRKQLLKWSGNDETTNFERFIAGAAAGVTATILCIPMDTIRTRMVAPGGEALGGVIGVARHMIQTEGFFSLYKGLVPSLISMAPSGAVFYGVYDILKMGYLHSPEGKRRVSTMKQQGQEANALDQLELGTVRTLLYGAIAGCCAEAATYPFEVVRRQLQMQVKATRMNAFATCLKIVDQGGVPALYAGLIPSLLQVLPSASISYFVYELMKIVLKVE >ORUFI03G06530.1 pep chromosome:OR_W1943:3:4335607:4336508:-1 gene:ORUFI03G06530 transcript:ORUFI03G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQSALLLPEASRRPPRPTCLPLVDSVASTATSKKRKRAGVDDVGEGESGEVGIELCFDAAPLPLEWQRCLDIKSGQIHYYNTRTHKRTSRDPRAEAPPAPAPESHHRRAAPAEEEEEEEAANYCAPPLGLDLELNLTFEPRRVPIQEAKKHRSSAVETTTKPAAAVAAEKLALELPAGGASREMVAAVCARCHMLVMMCREWPACPNCKFVHPTANQSSPPPPPPEPAPLKLGLQLLCCKD >ORUFI03G06540.1 pep chromosome:OR_W1943:3:4347326:4350235:1 gene:ORUFI03G06540 transcript:ORUFI03G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVSALAKYKLVFLGDQAVGKTAIITRFMYDKFDATYQATIGIDFLSKTMYLEDRTGYSWAGEVPELDPKLHQGFFCGSDRLRYRQSFLSISKWIEEVNTQRGGDVIIVLVGNKTDLVDKSAKAGFNIKPLFRKIAASLPGMEALSSAKQEDMVDINLRPAASGQIPSGAEAQEEQKAGGCSC >ORUFI03G06540.2 pep chromosome:OR_W1943:3:4347326:4350235:1 gene:ORUFI03G06540 transcript:ORUFI03G06540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVSALAKYKLVFLGDQAVGKTAIITRFMYDKFDATYQATIGIDFLSKTMYLEDRTGYSWAGEVPELDPKLHQGFFCGSDRLRYRQSFLSISKWIEEVNTQRGGDVIIVLVGNKTDLVDKRLPLFRKIAASLPGMEALSSAKQEDMVDINLRPAASGQIPSGAEAQEEQKAGGCSC >ORUFI03G06550.1 pep chromosome:OR_W1943:3:4351563:4356561:-1 gene:ORUFI03G06550 transcript:ORUFI03G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCAKVVAAAAAEEREMELLLSEIPQVTAPHGQRGGRGGGGGGGGGAAQCYGLHGPTRYHAHAGAPARYGGDPCFPLVLNRRDDGGGQQGGARGAIHVPLSGGFASSPASSTSAGSAPSPGSDRFVGRSPSPMVQATTDEDTERLASQLDGLLVGDAPAADALAAALMPPQGSPASAAKNVYLPDVSAVHGAYNNGYNFGEPGYSLHHEPGVLADQAMASGYVAPSQCFPVDVGLDGYGGFPPSLGTSVGSFMYTRTRNSSGIGWEQGLVHPDHARPVLLPGQSGAEHNWGYAGTGQISLDSRGRSLPKSPYEYSVAAARDIGYMKGGFNQMEPFCDGRKNVPFLNRAKERRFQQHVNNRSVELGSPGMLMYENIVELESPRMLRYENMVGAKGYIYFMAKDQNGCRFLQQKFEEGKDQADLIFEGIIDHIPELMANSFANYLVQKLLDVCDEEQRLRIIAVLTEDPVKLLRVSLNSHGTRSIQKLIETVKTRKQIMLIISALQPGFIHLVNDPNGNHVIQKCLKNFDAEENKFIFEAAATHCVEMAINRHGCCVLQSCISNAYGEYQIKLIMQICADGLYLAQDQFGNYVIQYVLDLKIPFANAQLASLFQGNYVYLSKQKVGSNVVEKCLKVFPDDDKAAIIWELISASHFEQLLQDPYANYVIHTALVQTRGHLRSALVNAILPHEEAIRTNPCCKRISKVLSRRFRL >ORUFI03G06560.1 pep chromosome:OR_W1943:3:4359334:4360149:1 gene:ORUFI03G06560 transcript:ORUFI03G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRALPPPVPPASPDPAASSGAVSTAFGSPLDPVAARPHQPRDTYVVHVQKDQVYRVPPPENAYLAERYRAEGGGGGGGKGGACSPCALRTLGAVLAAALLLGAAAALSAVVLRPDAPSFVVDNLSVHTNASRQHHVAYDFFLTAINPNKVTALWYGGRGTARLAHKGNALAKGGVGEPEDGGEDAMGFNVLLHGTQRDGRTPRAVEKALRGSKGAVTLDLAVEFAVQVHAGALGFERRTLAVSCHITAAGLRKDVHISSQTCKSRFGN >ORUFI03G06570.1 pep chromosome:OR_W1943:3:4364340:4365236:-1 gene:ORUFI03G06570 transcript:ORUFI03G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVDWCGRGSNLPAAMYDMVVDSKELMGALAPSMVSFSYPCSEQSASSLLAGANYLTPAQVLHVQAQLQRLRRPGAGPGAPPLPMKRHGAVAVAAAAAAAAAAARAPVKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDSAAFRLRGESARLNFPELRRGGAHLGPPLHAAVDAKLHAICHGMDLPQPQPQTQSNATTTTMSTTATNTPSPFFSSESPVVKSEPVCSASESSSSADGDVSSTGSSDVVPEMQLLDFSEAPWDESESFLLHKYPSLEIDWDAILS >ORUFI03G06580.1 pep chromosome:OR_W1943:3:4370061:4373027:-1 gene:ORUFI03G06580 transcript:ORUFI03G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKKAKPSRSRKKAKPSPDQAVALDYVRAWAHPAASAPPPEPSAADAAGDDFLPHQAARMASGGGGSVLFELHSHSNHSDGFLSPSELVERAHRNGVKVLALTDHDTMAGIPEAVSAAHRFGMRIIPGVEISALYSPKEIAGTGEHVHILAYYGMCGPSRFDDLERMLSNIRDGRYLRARNMLAKLDRLKVPIKWEHVTKIAGEGVAPGRLHIARAMVEMGHIENIRQAFNKYIGDDGPAYATGSEPFAETVVQLINRTGGISALAHPWALKNPDAVIRALKGAGLNALEVYRSDGKVDGFSELAEKYDLLKIGGSDFHGRGGKDESDIGTVKLAITTLCSFLKMARPIWCSAMKDILLKFAEEPSTTNLGKMVMFGELINFNGFSSTGSGMDIVNLCLSSWLSNNGMEEVELEEVRLKLAHCVGKR >ORUFI03G06590.1 pep chromosome:OR_W1943:3:4373119:4374814:-1 gene:ORUFI03G06590 transcript:ORUFI03G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYTSCGFTAAYEKPTPTGLSRNSSFTSGGWPGSAAILSASLEPSPAARARHDCTEKTIATESHNHNALPIDNEIKPGPNSPDNQKPRP >ORUFI03G06600.1 pep chromosome:OR_W1943:3:4373503:4376831:1 gene:ORUFI03G06600 transcript:ORUFI03G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMNYRALWLWLSVAIVFSVQSCRALAAGEGSKEADKIAALPGQPPDVKLQQYSGYINVNETSGKSLFYYFVEASVDAAHKPLLLWLNGGPGCSSMGIGAFQEIGPFRVDTDGKTLCRNPHSWITAANLLFLESPVGVGFSYAAVKPQEVYSTIGDNMTAHDSHTFLLRWLDRFPEYKTRDLFIVGESYAGHYVPELAVTILDNNLLPHATPIKLKGIAIGNGILEFAAEQTQLYEYLWQHAFISDSAHALITQSCKYPDDHPSALCESARKAAYSRIGNIDIYNIYSSTCHEQKVRPSASKCMDLADPCSQYFVEAYMNQPQVQKTIHANTELKYPWTRCRVYNLDHFGDSPKSMLPYIKAVITGRIRIWIFSGDLDAMVPVTATRQSMERLQLRVAADWRPWSADGKDVAGYVIAYDGLVFATVRGSGHMAPIDQPERALVLVSSFIRGQPLPPPQPDQHDA >ORUFI03G06610.1 pep chromosome:OR_W1943:3:4376400:4382473:-1 gene:ORUFI03G06610 transcript:ORUFI03G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVEGRKVVGRAEASPERGRPAYAPPVRSAPARPMRKVQIIYYLCRNGQLEHPHFMELAQHPHQPLRLKDVMDRLTLLRGKGMPALFSWSCKRNYKNGYVWNDLSENDVIYPSDGVEYVLKGSEIFPGCSSDRFPHLRVTDRSPAKRLALPPSHKQYVDSYRDDAAEDPEDDELGYGYHRRAGGARLAAKPVSARTNRSRPVELPVEETSPPSSTSSDKPPAAPQLQPGGGRGSDETESNRAGSMLLQLIACGSAAGGGSAKCRTEPRRSCGLVSRLAAASRAGTEVDDDEEAGGGGELSRRFGHLAVEEKEYFSGSIVESGVRGTPLPSSSLKRSNSYNEERSSRLGVSEERSTTDEQMEGEEGMIRGRCIPGRKKQHKRVLAFA >ORUFI03G06620.1 pep chromosome:OR_W1943:3:4392473:4394751:-1 gene:ORUFI03G06620 transcript:ORUFI03G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLFSLVSRRFPKNLAPISFASSSRLAPSTNPSGNCDLQPLDLSPEAPDLREVVDLVAGGGVSESGAKMTEAWVRHKPGMASVKDMPVLQDGPPPGGFAPVRYARRIPTKGPSAIAIFLTTFGAFAWGMYQVGQGNKVRRALKEEKIAARTALVPVLQAEEDERFVKEWTKSLMWEEIIMKDVPGWKVGQSVYNSGKWMPPATGELRRED >ORUFI03G06630.1 pep chromosome:OR_W1943:3:4399330:4405151:1 gene:ORUFI03G06630 transcript:ORUFI03G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEARAAGCSPAPPRAPAASCGAAAELCLCSPTGVEGIEQVPGCPCFEDAGAVVVSGEAPEGPGVLCSEDGAELKLAEQGALDVRLGSPAVGIHEQQLLHRGTSGSDEAGAINEISPVEVSPSEASSNLDTAGAIGGSPLMLESLPETSDTRGCEQEVMPGVVVGSSNRDASSEVGVESERGSDADGRNGLGEGELVSSVDGGGAEKSSKVTGVLSEEGVDGMETALEPCVASVGSITQVEEGVDRMETSLDDSEASDGSTTQDFDTDVETESSGSSIEEQDMGYGVHIPHTEQAICEVARGNKSSEVKSSDRMSSVTLPTLILASGAAMLPHPSKVLTGGEDAYFIACDGWFGVADGVGQWSFEGLYARELMDGCKKAVMESQGAPEMRTEEVLAKAADEARSPGSSTVLVAHFDGQVLHACNIGDSGFLVIRNGEIYQKSKPMTYGFNFPLQIEKGDDPFKLVQKYTIDLQEGDAIVTATDGLFDNVYEEEIAAVISKSLEAGLKPSEIAEFLVARAKEVGRSATCRSPFSDAALAVGYLGYSGGKLDDVTVVVSVVRKSEV >ORUFI03G06640.1 pep chromosome:OR_W1943:3:4404718:4406242:-1 gene:ORUFI03G06640 transcript:ORUFI03G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRTRSTAMAAWSYSSLLLQLLLLSMVAVLDGAATTGGGGAGAPAPAADCTDALLSLAGCLSYVQEGSTVAKPDAPCCSGLKGVVKKEVACLCQAFQGSQNFGVTLNMTKALQLPAACKVKTPPFSKCHLSIPGVTGGAPAPAPFSGAPFFGGSSPSASPAGTGSDSAAATVRAPAPSPSAAVRPKETKAALFSAAVIAAATLLAHRA >ORUFI03G06650.1 pep chromosome:OR_W1943:3:4408516:4409015:-1 gene:ORUFI03G06650 transcript:ORUFI03G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAAKTSPPPRASASVHQDGTAELLGAGARPPPAARRGPWRGCWGAGGGEHDGEHLEPTLERHHRCRAAVAVVCTVASRPRGCRCRRLDPAATDLRCLCPHRRDVDSRGLRRLAVAALPFAAATIPIHCGSRRRFYLPVLRKRRGRKSRGRGEERGRRDNRD >ORUFI03G06660.1 pep chromosome:OR_W1943:3:4409795:4413250:-1 gene:ORUFI03G06660 transcript:ORUFI03G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFRVESPHVRYGAAEIESDYQYDTTELVHESHDGASRWIVRPKSVRYNFRTTTTVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKDKVQQANYYGSLTQASTIRVGSYNGEEIYAPFKSLLPMVNPDDLVFGGWDISNMNLADAMTRAKVLDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGSRANNVIKGTKKEQMEQIIKDIREFKEKSKVDKVVVLWTANTERYSNVCVGLNDTMENLLASVDKNEAEISPSTLYAIACVMEGIPFINGSPQNTFVPGLIDLAIKNNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYELGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKSTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEEKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENIMRACVGLAPENNMILEYK >ORUFI03G06670.1 pep chromosome:OR_W1943:3:4419971:4428417:1 gene:ORUFI03G06670 transcript:ORUFI03G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPNTRNKSKRPRADDCESPSAVFKKIHSTGAITKGDIKQLYMVWKPVCHGCHGNSKDSPNCFCGLIPAANGVRKSGLWQRTNEIIRALGPNPSTDLRDSTETPAGLTNLGATCYANSILQCLYMNTSFRLGIFSLEPDILKMHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLFLSLLEGSLSHSKVPGARTIVQHLFRGSVSHVTRCSSCGRDSEASSKMEDFYELELNIKGLNNLEQSLDDYLSTEALDGENQYFCESCQKRVDATRCIKLRSLPPVVNFQLKRYVFLPKTTTKKKISSAFSFPGQLDMGKRLSNPSSSYTYGLSAILIHKGSAANSGHYVAHVKDESNGQWWEFDDEHVSKLGLHPFGEKPGKSSDKTDQKPQGSSTADSVTNDDNNSCHEAAFTSTMEEMFSSTDAYMLMYKRIAKDENGIESNNISSNNSLPHHFVDEIDERNTSYVKECEEYESKKDVHLAYITERRQEVKSVLTEAPATPEEDSYFWISTDWLRQWADNVNPPSPIITGVRVHSSIDNSPIQCEHGKVPASKVTSMKRLSAGAWHKLFSKYGGGPTLSSDDFCMECLKDGAKNSVSADVYRDRKASLRSIAEAALAGNNPDGPLYFVSRPWLTQWLRRKNVDIPSDADSGPTIALTCTHGNLLPEHASGAKRVTVPEDLWLFLYETSGMKIDDIVTFPSDSQPCGICSQQLSVVASVEDNLRAVKLKQRQSHEKLTSGKSLALHPGQKYYLVPSSWLSEWRAYITATGKNISSLPEPQSLEVTINSLICEKHSRLLQRPLDLVCKRGTITQKASNTDGLTMISESDWILFSEEWNVAHGKGLCAEIVFSKSSQDNLQSSEAVPILVEDLDQSTNDLSNDLGGREPYVRTDPEVCEECIGEKESCALVEKLNYQNEDIQVYLVRGKEAPKSIREASAAVPVPDRRTSKRSRRTTSGNSISLKVSGSTTVYQLKLMIWESLGIVKENQELHKGSVEIEDDFATLADKCIFPGDVLWVKDSEIYENRDIADEISEQKVVVQTEEGFRGTLLTSSASAQLCQDISFSD >ORUFI03G06680.1 pep chromosome:OR_W1943:3:4434567:4437774:1 gene:ORUFI03G06680 transcript:ORUFI03G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKASNRPYRYPDNSQIPYYSRSSMHVGQNGTYHVQQNHEDLYASSDDGSQNGNSKAQGLQAQYCTLDSSSGNFVYPAHSSTSSHISGSPISQQDSHSEHTSGSPASASCVTEVPGLRFTTIEEIENAMFGPEPDTVSSDCSLLTDSAFYQDNWREHLGINTGDLKQVIAACGKAVDENSWYRDLLISELRNMVSISGEPMQRLGAYMLEGLVARLSSTGHALYKSLKCKEPTSFELMSYMHLLYEICPFFKFGYMSANGAIAEAVKGENFVHIIDFQIAQGSQWATMIQALAARPGGPPYLRITGIDDSNSAHARGGGLDIVGRRLFNIAQSCGLPFEFNAVPAASHEVMLEHLDIRSGEVIVVNFAYQLHHTPDESVGIENHRDRILRMVKGLSPRVVTLVEQEANTNTAPFFNRYLETLDYYTAMFEAIDVACPRDDKKRISTEQHCVARDIVNLIACEGAERVERHEPFGKWRARLSMAGFRPYPLSALVNNTIKKLLDSYHSYYKLEERDGALYLGWKNRKLVVSSAWRFFRGFLVDA >ORUFI03G06690.1 pep chromosome:OR_W1943:3:4438519:4440733:1 gene:ORUFI03G06690 transcript:ORUFI03G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVQRLDGNGVFKCRRCRVDAASKDAIISKEFQGSSGRAYLFDHVVNICLGPNEDRYLITGLHTVNDIYCSCCQQILGWRYEKAYDQSQKYKEGKFILERARMWKDS >ORUFI03G06690.2 pep chromosome:OR_W1943:3:4438522:4440591:1 gene:ORUFI03G06690 transcript:ORUFI03G06690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVQRLDGNGVFKCRRCRVDAASKDAIISKEFQGSSGRAYLFDHVVNICLGPNEDRYLITGLHTVNDIYCSCCQQILGWRYRVLPQEKAYDQSQKYKEGKFILERARMWKDS >ORUFI03G06700.1 pep chromosome:OR_W1943:3:4441387:4443629:1 gene:ORUFI03G06700 transcript:ORUFI03G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKQPAASPPPLQQQRTAYPASFEAYFSAMGLGGASSSGQEPQTPRQAQLQQRYATPNSPLMLPQPPPSQGGGGGGQGGVHPLMQQHQMMLAQMRATQLQQQQQQQTSSYCVGEQGSSTNGYFWPVGHGGVSPAEAAAHAQGSSDYAMLLAADRPMPLAADRILPSAADGSSSDYLSRFANGYANNAAAAAPAAPRPPVAPRPCTLRANASQYQPIGASSRSAVASPSPPRTRRHPYPHPANNYNPSAAIADYQERLVVMNALRANPKDPLWRGVSRISQGRTPEEIRSDMLRGPMPLQLVFFQESAAHVIRLLDEGAETGVDQYRLSALAAIKSDVHRVMEDREGCQVFMALVRASAEQEDEIHAIIAAAAAASAPPVDGNGKHKTTQLLRVTGQDYGEASLRSLILAAARYPDLCKLLTDCLVCERVMDHAKGDRLLHDCFRAMNYEDSKILIKFACYHANKMLLASSGSRCLVECFMNARGEELEHLEQLILANATMIAKGHYSNYFMQKVLEHGSEALKRELVALLMADVVSLSRQQFGSYVVEACFLKGSSDLKRIVISTFVSLTNDQLADVVQCGYGNYVIQKLVEACKDDYPEETILLARRIERLPGEVLDRMSAKQVMKVVRRLFPRHRIY >ORUFI03G06710.1 pep chromosome:OR_W1943:3:4445616:4449961:-1 gene:ORUFI03G06710 transcript:ORUFI03G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGETPPSSSAAAAAPVLAALASLQTYSSALSAFTSAWRALYSDATALDSTLASRLEGFSELDLLCSAMDGPGLRAYLTEHRDALQDSSLPALDAALLVAPDPGRLVLSAAAGFCRAPPTEGAAKVACRLLVDLLDRLRALGVKPSPEARDEARAIAADWKRSKRIGPQAVLKKETIAFLLLVGAFGLVDDVGGASEVLDLVVSVSGRERAVEAFVGLGLDLEKHMPGTSVCVNINMKTLFPPVFIHTMIKKGKQLEAVKFIQALNLVEKYPLLPVLRSYISDAAKAGNMIRIRGDDSACQTEADAKERMLLGVLQKFIKDQKLEELPILEIVKQRLAHLEKKSVERKRAASAAIEAAHEVSKKIQKQEKQQQQVQSAMRSRVPGKAAQNSLSQNIHSVDSLSRPLMSSQSMGISGVLNLYQAASSQNIIPAISPSPLSQHPVGIKNQTLNTPPVQTRYGGLADYYGLSSGRPRPDSVSPGSSVTSAHTSSRSKLYSADPLAAVSRASDKKGSSYNYSLSSMSTYNPNP >ORUFI03G06720.1 pep chromosome:OR_W1943:3:4451160:4451893:-1 gene:ORUFI03G06720 transcript:ORUFI03G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHGDGGGGAALDKQLVPNASNDNGTALAIRKPPSKDCHNKVDALGCRIRMHIIYAAHIFQLNRELGHKSDG >ORUFI03G06730.1 pep chromosome:OR_W1943:3:4472145:4485008:1 gene:ORUFI03G06730 transcript:ORUFI03G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTRLAIALALVLALAVAEHASLAAGARVGAGAASTNPKVIIVGAGISGISAGKRLSEAGITDVLILEATDHVGGRMHKQNFAGINVEIGANWVEGVNGEKMNPIWPIVNSTLKLRNFRSDYDYLAQNVYKEDGGVYDEAQAQKIIDRAHEVNENGEKLSATLPPSGRDDMSILTMQRLNDQYDMFTAIGQAEFFYNQSPDCMRSLCSAFALVTVSLGSYLSSFILTLVSYLTTRNDNPGWIPDNLNEGHLDRFFWLIAGLSFLNLLLFIYYMHSNTNMVVDYYLYDYEYAEPPRVTSLQNAVPQRTFSDFGDDVYFVADQRGYESVVHYLAGQYLNTDDSGNVADPRLQLNKVVREISYSSSGVTVKTEDGSVYQADYRHGLCQLGSPAERSYTVQATAASSDRCVLHVFDQKWKILAIYEFDMAVYTKIFVKFPKRFWPEGEGREFFLYASTRRGYYGIWQEFEKQYPDSNVLLVTVTDKESRRIEQQSDNQTKAEIMEVLRNMFPDQDVPDATDILVPRWWSNRFYKGTFSNWPIGVNRYEYDQLRAPIERVYFTGEHTSEYYNGYVHGGYLAGIDSAEILIDCAQNQMCKYHVQGKYD >ORUFI03G06740.1 pep chromosome:OR_W1943:3:4477991:4481498:-1 gene:ORUFI03G06740 transcript:ORUFI03G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPSPPRRRRRITSSRRRPFTLPHLLLVTIPPSAPRDPSPPRRHGSCIFRYPSRRHWDSSPPQAIDPACDKGTFTLLLILRY >ORUFI03G06750.1 pep chromosome:OR_W1943:3:4491212:4492138:1 gene:ORUFI03G06750 transcript:ORUFI03G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVAGNEQCYCPECHRTTVVVVDHATGDTICTECALVLEERYIDETSEWRTFSDAGSGEDRDPNRVGGCSDPFLSHAELGTVVAPAKRQAKDTASPPHVRVDSKSGQDSSLAVAFRAISDMADRLQLVATIRDRAKELFKKMEEAKLCARVRNRDAAYAACLHIACRNEGNPRTLKELASVMRDCQDKKEIGRMERIIRRHLGEEAGTAMEMGVVRAADYMSRFGSRLGMGKPEVREAQRAAQTLEDKLDVRRNPESIAAAIIYMVVQRAGAQTSARDVSKASGVAEATIKEACKELSQHEELLFSS >ORUFI03G06760.1 pep chromosome:OR_W1943:3:4493358:4496988:1 gene:ORUFI03G06760 transcript:ORUFI03G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSPWAVKKGLLCGRTHSGRSSATGSAAAAALRRLFSPSASSPSRSSVAVPVPVRERRRSVLPIQIAPPYPPARRTPPPTVGPPRLRLPVKIRHRAAVLRCLRLLSEIRSTAQPLLCTSASATKLCMAEPLRC >ORUFI03G06770.1 pep chromosome:OR_W1943:3:4498685:4499893:-1 gene:ORUFI03G06770 transcript:ORUFI03G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYQTGPVSEPPMLNTEIITQKVTGFNTETTTKEKPIGYLDVFVHQARDIHNICIYHKQDVYAKLCLTSDPDVSCSTKVINGGGRNPVFDDGLRLDVRTVDASLKCEIWMLSRVRNYLEDQLLGFALVPLADIVMADGKLVQEFSMTSTDLLHTPAGFVQLSLSYVGCSPDVIPIPAPNKSALVVNGSGNDSSVPCELEKIEFPDLNVVNENQIMVSKYFEMETLSYEDSVKVDNPKLVQSDAAVPGTELFNKNLDEYREGSPQSCVSTTDYSTGTSVTPHSVSEPSDTILAASPTGSQREKSQDVTDGEADSSDVPLKGEVVKPVISINLNPGESVVQEDIVNMYMKSMQQFTESLAKMKLPLDVENSSPSTENNTDSITAEKPSPSKGSRVFYGSRAFF >ORUFI03G06780.1 pep chromosome:OR_W1943:3:4506330:4507583:-1 gene:ORUFI03G06780 transcript:ORUFI03G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQRCATLLASLLQLVAVAVLPAAAAAAAAAAAGGAAGRCTTSTPLKTYAKCIALPTQGATLAWTYDARNATLDAAFTGSFISPSGWVAWGVNKDAPAMTGARVLAAFSDPSTGALLALPFLLSPDVKLQASPLVSRPLDIPLLASSASLVGPARTVRDGATVTIAATIRLSPNRTKLHFVWNRGLYVQGYSPTIHPTDASDLASHATVDILTTATEASPTASATLQWTHGSLNALSWGFLLPVGAAVARYLRPCASTGPAWFYAHAAIQATGYALGAAGFALGLVMGSASPGVTYKLHRGLGIAAATAGSLQTLAMLFRPKTTNRYRKYWKSYHHLVGYGCVVVGVVNVFQGFEVMGLGASYWKLGYCMALATLAGGCVALEVNAWVVFCRRQQEEKLMRREVEDVVVKDRAAAF >ORUFI03G06790.1 pep chromosome:OR_W1943:3:4509900:4515630:1 gene:ORUFI03G06790 transcript:ORUFI03G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSAAAAVDGEDGGGSKRSWYAVGERAVLVPYLREHVPRYHGWMQDPALLEATASEPLSLDQEFDVHRSWTLDPLKHTFIVLDKDLIEGGFAVGDPHTEAMVGDVNIYMNDPDDLQLAEIEIMIAEHKSRGKGLGQEAILIMMAFAVEKYGIHTFRAKINESNTASLKLFRKLGFKDASYSSVFKERKRGGVRGGGAAAEGTSPNDGGASPPPLAAAPAVCFIRSAGDFAGGAFIGSIVGYGQGLFTKKGFKGSFSTAGSSAKTFAVLSGVQSLVVCLLRRLRGKDDIVNAGIAGCCTGLALSFPGTPQALLQSCATFAAFSCIMEGLNKQQAAMAQTLGGSALTVSHQNGGVLPPFTLPPLLDASDALSSCCQSLVLKPKH >ORUFI03G06800.1 pep chromosome:OR_W1943:3:4516581:4517156:1 gene:ORUFI03G06800 transcript:ORUFI03G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGRSAWLLAAALLLYAAAAQPDCANATFQAGRTYRRCDTLPVLGASLHWTYHPANGTADVAFRAPQSSSGGWVAWGINTQGAGMVGSSVFIASQINATGAGGVSVVTTVLESFSPSLKNGTLRFDVPAPPAAEYSAGAYTIYATVALPGNSTTQNMVWQAGPVRGGAIAMHPTTGANLRSTKKHDFTS >ORUFI03G06810.1 pep chromosome:OR_W1943:3:4518631:4520228:-1 gene:ORUFI03G06810 transcript:ORUFI03G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEDKFDMLLRMLEEFERKREEADQRRRADFQSLKAAVESWMPEVQKNAEELQISVGDEQCKVTPTMCSTKCCSSDIAPDFTVDMVVTCATAATASVEMVPAEDATSDAYIDNLEHPKKTLTNCSTNCSSFNGMTDLTVVVVERCATTVIAFVELIGMEDNGHTTCIGTSNPSKVMPKRCSTVVLNTNDDTVQALDVPSLIIGAWEVITVLAEPSQVMVLRPSITLSLEGKIHTRHSLKCPGLVGHANKKPNSRGWQLRTTVGQSYT >ORUFI03G06820.1 pep chromosome:OR_W1943:3:4529377:4532078:1 gene:ORUFI03G06820 transcript:ORUFI03G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQLLAGVLLLQLAASAAGQQQCLSATFQNGQTFLKCNPLPVLGASLHWTHHAENGTADVAFRAPQQSSGWVAWGINTRGTTMPGSSVFIASQDGSGAVSVLMTVLENTSPSLTNGSLSFDVLSPPTADYTNGVYTIFATIALPNNSTTQNTVWQAGPGSTGNVGQHATSGPNVQSMLRLDFSSGQSTGTASNSRLHRRNIHGILNAVSWGILIPMGAMIARYLRVFEAADPAWFYLHITCQLSGYILGVAGWALGLKLGSESKGITYSAHRNIGIAIFCLATLQVFALLLRPDKKNKYRFYWNIYHHSVGYSAIVLAAVNIFKGLDILKPASGWKRSYIAILATLAGVALLLEAITWVIVLRRKKSDKSSSPYGATNGNGRA >ORUFI03G06830.1 pep chromosome:OR_W1943:3:4541159:4546070:1 gene:ORUFI03G06830 transcript:ORUFI03G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNMISKLLEKAVLPALDVAPPVKIGGPRRTSVLRNPNMEKLQKGYLFPEISIKREEHLKKYPDAKVISLGIGDTTEPIPSIVTSAMAEYALALSTPEGYQGYGPEQGHKNLRKEIADKVYPDMGIKESEVFISDGAQCDIARLQTLFGPNVTIAVQDPTFPGYVDNGVIMGQTGKADDGGRYAGIEYMRCAPENAFFPDLSRVRRTDVIFFCSPNNPTGHAASREQLRQLVELARRNGSIIVFDSAYSSYISSSSSSSTPRSIYEIPGAREVAIEVSSFSKFAGFTGVRLGWAVVPDELLYSDGVPVARDFDRVVCTCFNGASGIAQAGGVACLSTEEGRGAVARVVGVYRENARVLVETFRSLGKEVHGGGDAPYVWVRFPGRRSWDVFAEILEKTHVITVPGSGFGPGGEGFIRVSAFNSRDKVLEACQRLKSFLA >ORUFI03G06840.1 pep chromosome:OR_W1943:3:4546556:4550349:-1 gene:ORUFI03G06840 transcript:ORUFI03G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHKPTAHSLLPASPTRTEFPQEHEASRRRRRRRRRHLRRSPANTCAQSIGVHPVLSGVRRGAGEPRGRRRSSIYTSAVAGELRDGIQPETRPWILLLLVVAPHQAGSRVTLKKNQKDFGQCDVKRCTGRKLSRFGLLKELRVTNGFGGVVLSPVGTQCVSKEDRHIVQRKGLAVVDCSWARLSDVPFVKLRCGAPRLLPWLVAANPVNYGRPCELSCVEALSAALIICGEEETAHLLLGKFKWGHSFLSVNRELLKAYSQCENGTEIINVQNSWLSSNSNVPKSPVNAAEKSHQSTEEGSDADSDDGLPPLEENLNHLNFNQDDESEEESESDE >ORUFI03G06850.1 pep chromosome:OR_W1943:3:4551491:4563839:-1 gene:ORUFI03G06850 transcript:ORUFI03G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAAAVETPVTVSTFGVSRHPDTARLVLSSPKPPGVREEFVGVVRKAFRPRASGGGGAGRTPPARWGWALTALQTVFPVLQWGRTYNFKLFRSDVMAGLTLASLGIPQSIGYANLAKLDPQYDTSVVPPLIYAVMGTSREIAIGPVAVVSLLLSSMVSKIVDPAVDPVTYRALVFTVTFLAGVFQVSFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLLGLSHFTNSTDVVSVIKAVCSALRDPWHPGNFLIGCSFLIFILAMRFIGRRYKKLFWLSAISPLLSVILSTAAVYATRADKHGVKIIQRVHAGLNPSSASQLRLSGPYTVDCAKTAIICAVIALTEAIAVGRSFASIRGYKLDGNKEMIAMGCSNVAGSLSSCYVATGSFSRTAVNFSAGARSTVSNIVMSITVFIALELLMKSLYYTPIAVLASIILSALPGLIDIKEALSIWKVDKMDFLTCLGAFVGVLFGSVEIGLAVALAISFAKIIIQSIRPQVEVLGRLQGTNIFCSIRQYPVACRIPSVLTIRIDTSFLCFINSTFIKERIIEWIREEVETSDEKARERVQSVILDMSNVVNIDTSGISALEEIHKELASLSIQMAIAGPGWQAIQKMKLAGVVDQVGGDWIFLTVGEAVEACPCGKWRASERGKKRRREEDEGQRRRRKAAMGNKPFPQGVHPNTSEPAMEPMASEPSVPIGTAFADLLLQGPEHPSLWNDLTGMFRKAFRWRGADKRFTLSVYVMSVLQGLFPILDWWKTYNLKFFRSDLMAGLTLASLSIPQSIGYATLAKLDPQYGLYTSVVPPLVYAVTGSSREIAIGPVAIVSLLLSSMIQKIVDPSVDPAFYRKMVFTVTFLTGVFQFAFGLFRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGLSHFTNRTDVVSVTKAVWVSVHETWHPENVFIGCSFFMFILAMRFIGRKYKKLFWVSAIAPVLSVALSTLFVYATRADKHGVKIIQKVNSGINASSVEQIDLKGGYAAECAKIALVCAVIALTEAVAVGRSFSAINGYRLDGNKEMVAMGFMNIAGSLSSCYVATGSFSRTAVNFAAGCKTTVSNIIMAATVMVALELLTKLLYYTPVSILASIILSALPGLINVQEVCFLWKVDKMDFLTCMGSFLGVLFGSVEIGLSVALLVSFAKIIIQSIWPQVEILGRLQGTEIFCNVKQYPVVHETPTVLTVRIETSFLCFVNSSSIKEKIMGWVTDEREAFRSVVLDMSNVVNMDTSGLAALEELHKELACLGIQMAIAKPGWQVIHKMKLARLVDGIGEGWFFLTVGEAVEACLANKAGNALECC >ORUFI03G06850.2 pep chromosome:OR_W1943:3:4551491:4563839:-1 gene:ORUFI03G06850 transcript:ORUFI03G06850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAAAVETPVTVSTFGVSRHPDTARLVLSSPKPPGVREEFVGVVRKAFRPRASGGGGAGRTPPARWGWALTALQTVFPVLQWGRTYNFKLFRSDVMAGLTLASLGIPQSIGYANLAKLDPQYDTSVVPPLIYAVMGTSREIAIGPVAVVSLLLSSMVSKIVDPAVDPVTYRALVFTVTFLAGVFQVSFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLLGLSHFTNSTDVVSVIKAVCSALRDPWHPGNFLIGCSFLIFILAMRFIGRRYKKLFWLSAISPLLSVILSTAAVYATRADKHGVKIIQRVHAGLNPSSASQLRLSGPYTVDCAKTAIICAVIALTEAIAVGRSFASIRGYKLDGNKEMIAMGCSNVAGSLSSCYVATGSFSRTAVNFSAGARSTVSNIVMSITVFIALELLMKSLYYTPIAVLASIILSALPGLIDIKEALSIWKVDKMDFLTCLGAFVGVLFGSVEIGLAVALAISFAKIIIQSIRPQVEVLGRLQGTNIFCSIRQYPVACRIPSVLTIRIDTSFLCFINSTFIKERIIEWIREEVETSDEKARERVQSVILDMSNVVNIDTSGISALEEIHKELASLSIQMAIAGPGWQAIQKMKLAGVVDQVGGDWIFLTVGEAVEACPCGKWRASERGKKRRREEDEGQRRRRKAAMGNKSIGYATLAKLDPQYDTSVVPPLVYAVTGSSREIAIGPVAIVSLLLSSMIQKIVDPSVDPAFYRKMVFTVTFLTGVFQFAFGLFRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGLSHFTNRTDVVSVTKAVWVSVHETWHPENVFIGCSFFMFILAMRFIGRKYKKLFWVSAIAPVLSVALSTLFVYATRADKHGVKIIQKVNSGINASSVEQIDLKGGYAAECAKIALVCAVIALTEAVAVGRSFSAINGYRLDGNKEMVAMGFMNIAGSLSSCYVATGSFSRTAVNFAAGCKTTVSNIIMAATVMVALELLTKLLYYTPVSILASIILSALPGLINVQEVCFLWKVDKMDFLTCMGSFLGVLFGSVEIGLSVALLVSFAKIIIQSIWPQVEILGRLQGTEIFCNVKQYPVVHETPTVLTVRIETSFLCFVNSSSIKEKIMGWVTDEREAFRSVVLDMSNVVNMDTSGLAALEELHKELACLGIQMAIAKPGWQVIHKMKLARLVDGIGEGWFFLTVGEAVEACLANKAGNALECC >ORUFI03G06850.3 pep chromosome:OR_W1943:3:4558597:4563839:-1 gene:ORUFI03G06850 transcript:ORUFI03G06850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAAAVETPVTVSTFGVSRHPDTARLVLSSPKPPGVREEFVGVVRKAFRPRASGGGGAGRTPPARWGWALTALQTVFPVLQWGRTYNFKLFRSDVMAGLTLASLGIPQSIGYANLAKLDPQYDTSVVPPLIYAVMGTSREIAIGPVAVVSLLLSSMVSKIVDPAVDPVTYRALVFTVTFLAGVFQVSFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLLGLSHFTNSTDVVSVIKAVCSALRDPWHPGNFLIGCSFLIFILAMRFIGRRYKKLFWLSAISPLLSVILSTAAVYATRADKHGVKIIQRVHAGLNPSSASQLRLSGPYTVDCAKTAIICAVIALTEAIAVGRSFASIRGYKLDGNKEMIAMGCSNVAGSLSSCYVATGSFSRTAVNFSAGARSTVSNIVMSITVFIALELLMKSLYYTPIAVLASIILSALPGLIDIKEALSIWKVDKMDFLTCLGAFVGVLFGSVEIGLAVALAISFAKIIIQSIRPQVEVLGRLQGTNIFCSIRQYPVACRIPSVLTIRIDTSFLCFINSTFIKERIIEWIREEVETSDEKARERVQSVILDMSNVVNIDTSGISALEEIHKELASLSIQMAIAGPGWQAIQKMKLAGVVDQVGGDWIFLTVGEAVEACFPATAADPAPP >ORUFI03G06860.1 pep chromosome:OR_W1943:3:4585103:4603562:1 gene:ORUFI03G06860 transcript:ORUFI03G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSDGGEAIDADIASRTSSHSHMDGGHHHHHHGHKVEFPPKKKLIDEFTDAVKETFFADDPLRQYKDQPMSKKVLISLQNFFPVLDWGRHYTFRKFRGDLVSGLTIASLCIPQDIGYAKLAGLLPNYGLYSSFVPPLIYAMMGSSRDIAIGPVAVVSLLLGTLLQNEFDPKKNQEEYTRLAFTATFFAGVTQAALGFLRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIANFTKKTDIISVMKSVWGNVHHGWNWQTILIGASFLAFLLVAKYIAKKNKKLFWVAAIAPLTSVIISTLFVYITRADKHGVVIVKYIKKGINPPSASLIYFSGPNLMKGFRIGVIAGMIGLTEAIAIGRTFAGLKDYKIDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSIVVLLTLELITPLFKYTPNAILSSIIISAVLGLVDYHTAYLIWKVDKLDFLACLGAFFGVIFSSVEYGLLIAVAISLAKILLQVTRPRTVLLGNLPRTTLYRNIDQYPEATLVPGVVIVRVDSAIYFTNSNYVKDRILRWLRDEEERQQEQKLQKTEFLIVELSPVIDIDTSGIHALEDLFRALEKRKIQLILANPGPAVILKLRSAKFTDLIGEDKIFLTVGDAVKKFAPKAVENVVCRSWSASAVSDGADNLDADMDNGAAQQQHDGYNVGAPPKKNLLAEFAGTVKETFFSDEPMRRYKDQPRSRKLWLALQHVFPVFEWGRQYTLAKFKGDLIAGLTLASLVIPQDIGYAKLANLPPEIGLHSSFVPPLIYALMGTSRELAMGPVAVISLLLGTLLQEEIDSKKNPLDYRRLAFTATFFAGVTQAALGFCRLGFIIAFLSHAAIIGFMAGAAITIALQQLKGFLGIANFTKKTDIISVMKSVWGNVHHGAMELADNIDRSIIFGIPPGCQGKKNKKLFWVPAIAPLISVIISTLFVYITRADKQGVAIVKNVKKGINPPSASLIFFTGPYLLKGFKIGVVAGMISLTEAIAVGRTFAGLNDYQIDGNKEMLALGTMNVVGSMTSCYIATGGFARSAVNCMAGGKTPMSNIVMSTVVLLALLWITPLFKYTPNATISSIIISAVLGLFDFESAYLIWKVDKLDFMACLGAFLGVIFSSVEYGLLIAVVISLIKVLLHVTRPRTALLGNLPRTIIYRNVEQYPEATKVPGMLIVRVDSAIYFTNSNYVKERMLRWLRDEEEHQKEQKLPKFEFLIVDLSPVNDIDTSGIHAFKELLRTLEKRQIQLIFANPGAAVIQKLRSAKFTELIGEDKICLTVGDAVKKFAPQLTENEPVGGSREGSPAQRTNRTGGRRGRATAPDPHCRSRRPCGERAASAVHS >ORUFI03G06860.2 pep chromosome:OR_W1943:3:4588327:4603562:1 gene:ORUFI03G06860 transcript:ORUFI03G06860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSDGGEAIDADIASRTSSHSHMDGGHHHHHHGHKVEFPPKKKLIDEFTDAVKETFFADDPLRQYKDQPMSKKVLISLQNFFPVLDWGRHYTFRKFRGDLVSGLTIASLCIPQDIGYAKLAGLLPNYGLYSSFVPPLIYAMMGSSRDIAIGPVAVVSLLLGTLLQNEFDPKKNQEEYTRLAFTATFFAGVTQAALGFLRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIANFTKKTDIISVMKSVWGNVHHGWNWQTILIGASFLAFLLVAKYIAKKNKKLFWVAAIAPLTSVIISTLFVYITRADKHGVVIVKYIKKGINPPSASLIYFSGPNLMKGFRIGVIAGMIGLTEAIAIGRTFAGLKDYKIDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSIVVLLTLELITPLFKYTPNAILSSIIISAVLGLVDYHTAYLIWKVDKLDFLACLGAFFGVIFSSVEYGLLIAVAISLAKILLQVTRPRTVLLGNLPRTTLYRNIDQYPEATLVPGVVIVRVDSAIYFTNSNYVKDRILRWLRDEEERQQEQKLQKTEFLIVELSPVIDIDTSGIHALEDLFRALEKRKIQLILANPGPAVILKLRSAKFTDLIGEDKIFLTVGDAVKKFAPKAVENVVCRSWSASAVSDGADNLDADMDNGAAQQQHDGYNVGAPPKKNLLAEFAGTVKETFFSDEPMRRYKDQPRSRKLWLALQHVFPVFEWGRQYTLAKFKGDLIAGLTLASLVIPQDIGYAKLANLPPEIGLHSSFVPPLIYALMGTSRELAMGPVAVISLLLGTLLQEEIDSKKNPLDYRRLAFTATFFAGVTQAALGFCRLGFIIAFLSHAAIIGFMAGAAITIALQQLKGFLGIANFTKKTDIISVMKSVWGNVHHGAMELADNIDRSIIFGIPPGCQGKKNKKLFWVPAIAPLISVIISTLFVYITRADKQGVAIVKNVKKGINPPSASLIFFTGPYLLKGFKIGVVAGMISLTEAIAVGRTFAGLNDYQIDGNKEMLALGTMNVVGSMTSCYIATGGFARSAVNCMAGGKTPMSNIVMSTVVLLALLWITPLFKYTPNATISSIIISAVLGLFDFESAYLIWKVDKLDFMACLGAFLGVIFSSVEYGLLIAVVISLIKVLLHVTRPRTALLGNLPRTIIYRNVEQYPEATKVPGMLIVRVDSAIYFTNSNYVKERMLRWLRDEEEHQKEQKLPKFEFLIVDLSPVNDIDTSGIHAFKELLRTLEKRQIQLIFANPGAAVIQKLRSAKFTELIGEDKICLTVGDAVKKFAPQLTENEPVGGSREGSPAQRTNRTGGRRGRATAPDPHCRSRRPCGERAASAVHS >ORUFI03G06870.1 pep chromosome:OR_W1943:3:4589454:4593279:-1 gene:ORUFI03G06870 transcript:ORUFI03G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPSLRRGGRRLAARLIQIRPGKASGYELSRLTYNHDTMLVCSSDIDKKGGYDHRRGMPGRMLRSISSASSTAAATKLASVVATHLRNPSAAWVTPAKNVAVKASRVYSS >ORUFI03G06870.2 pep chromosome:OR_W1943:3:4589912:4593279:-1 gene:ORUFI03G06870 transcript:ORUFI03G06870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPSLRRGGRRLAARLIQIRPGKASEECQEGCSDQYRLPVPLQQQPS >ORUFI03G06880.1 pep chromosome:OR_W1943:3:4599878:4603679:-1 gene:ORUFI03G06880 transcript:ORUFI03G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPQLRPAWGFSPTCVAFAARTKGNLAPDPEVSLNCGRRTPRARRRGAGCGSGDQAPSRAHGVLPCGWSSAPGSPPVILPPVPLKEAFKLLKSNGDGGAGHESDDGSMRQERYDEP >ORUFI03G06890.1 pep chromosome:OR_W1943:3:4615283:4617270:-1 gene:ORUFI03G06890 transcript:ORUFI03G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGGSEASPSIIHTSSIALLQERFRNLQKVKEMREAGNKELNRVRPADAHDRAAAAGSASASALGLGLHHAAVNGTNEQPRWFLHPDLVRPPSRPLHHGSGVVQAPPSTPATTSPWTTMQNSGYRGDVDVDTSLHLTYVA >ORUFI03G06900.1 pep chromosome:OR_W1943:3:4616974:4617294:1 gene:ORUFI03G06900 transcript:ORUFI03G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPRRRPDQVRVQEPPRLLIGAVHRRVMKAKAESRRRRRAGGGGAVVSVGGAHPVQLLVARLPHLLHLLQVSEPLLEESYGRCVDDRRGGLAAAMPSHQIVELSY >ORUFI03G06910.1 pep chromosome:OR_W1943:3:4635757:4636011:1 gene:ORUFI03G06910 transcript:ORUFI03G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQQAGGVGDGVSPGNVPVCYYGPGGRVPSSLERRARAAEVLLRCAACGLAVLAAALLGADRQTRVFFSIQKVARYTDMQSLV >ORUFI03G06920.1 pep chromosome:OR_W1943:3:4641218:4641451:1 gene:ORUFI03G06920 transcript:ORUFI03G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALIGKYGQPEFQWMKTCHLYKRFCAQAGGGVACAIAASVNMVGVALISAFNLFRLYGNSNGGGKATTTTMAGGK >ORUFI03G06930.1 pep chromosome:OR_W1943:3:4650696:4651232:-1 gene:ORUFI03G06930 transcript:ORUFI03G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARPVPRREEVVVVTELELRMQLLGGGGNCYNINDNADLLAEILARLDGRSLAAAACVCRLWAAVARRDAVWEALCLRHVGPASGPTAGPATRAVVAALGGYRRLYRLCLGPALDRLGRGGGAIAHAHARARLSLSLSLSLFSIDCYERLGGGGGAGAGRQPQPSSLLFLCKPVDVS >ORUFI03G06940.1 pep chromosome:OR_W1943:3:4679089:4682329:-1 gene:ORUFI03G06940 transcript:ORUFI03G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTSASSTLPLLSLHRAAGNPNPAALSFPPPLRAPPLRSRAAAAAASAAAPPAETIPDMPEETEGTGIPMPSSIGDDGEQLLFGATAGKEIVTRPCSQLHSSLSDSSNVSQEKIVITNRYGEKIVGLLHEAGSNDIVVLCHGFRSSKESRTILCLSDALTSENISVFRFDFTGNGESEGTFQYGNYYKEVDDLRDVILHFKKHKRDTRGIAGHSKGGNVVILYSSIHRDVASIINMSGRFDLRRGIADRLGSDYMEKIDRYGFIDVGVKTGRSIYRVTKESLMDRLKIDMKSACMSIDPKCRVLTIHGSDDDIVPSEDALEFDKYISNHELSIIEGADHRYSLHHLELATIVLKFINVSSVYLWKLLFSGTLMPFRVNVFCFQLAPKQKIRIKLRSYWVPLIEDSCKKIIEAAKTTNAKTMGPVPLPTKRRVYCVLNSPHVHKDSRFHFEIRTHQRLIDIMYPTAQTIDSLMQLQLPAGVDVEVKL >ORUFI03G06950.1 pep chromosome:OR_W1943:3:4683203:4685609:-1 gene:ORUFI03G06950 transcript:ORUFI03G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPTQCPYCRASGPARCVTTQPPLSRAVSECSSCARLVLERHLHTHPFFPLLPSLHPLPLVTPDLADAAPSPSPSAASASGDDDDDDDPFLPAGFVSAFSAFSLERHPVLARSASAFSGQLAELERALAVESAASSTPDPAGPMVSVDSLRAYVQIVDVASILRLDRDIADHAFELFKDCSSATCLRNRSVEALATAALVQAIREAQEPRTLQEISTASNLPQKEIGKYIKILGESLKLSQPLNSNSIAVHMPRFCSLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPNYTPATPPEKAFPMTTIYSSRSSSGKDLYQDKQLDSAKLKSSEAAEPDHMVIVKEEEDKKIGPFSRPSAKTETHDLNQAIWTPNVSSTPFSSSPKLDHDKTETSVRGINLNEASCTMDTDRPDMPVKSPFAERWLNESKVIPSPSRQPAPWQLKQGAPSAGSSYHSMPYGLDLLSRGKRNTGDGGDKEGR >ORUFI03G06960.1 pep chromosome:OR_W1943:3:4686992:4691161:-1 gene:ORUFI03G06960 transcript:ORUFI03G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWYIRASRIVSELGKTNLSPSPAAAAASASASARTRCDADRLTGGSAAAARPSLSCDAIDSKAMAAFVANEIPLFMNQSPPHMSRPSVIIKLILGLLWFIVHLAISLCSLWFDLIYSIECYLISFGLIPKYRKFQLDRLKHLAVVVDSREAKNVAKINQLLCWLSNVGVKYVCLYDIDGVLKKTFAPAMNGSRYGNSGKYLDVGANTKALTCCHKEMTIECISGSDGKDGIAKAASLLCSTCVNGNRNTCGNGEIVFTEADMSGALKAIGCGGPEPDLLLVYGPARCHLGFPAWRLRYTEIMHMGPLNSMKYGAIVKAFYKFSKKYQNFGKFVFSFLENRNILQ >ORUFI03G06960.2 pep chromosome:OR_W1943:3:4686992:4691161:-1 gene:ORUFI03G06960 transcript:ORUFI03G06960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWYIRASRIVSELGKTNLSPSPAAAAASASASARTRCDADRLTGGSAAAARPSVRAVACSRAAFVANEIPLFMNQSPPHMSRPSVIIKLILGLLWFIVHLAISLCSLWFDLIYSIECYLISFGLIPKYRKFQLDRLKHLAVVVDSREAKNVAKINQLLCWLSNVGVKYVCLYDIDGVLKKTFAPAMNGSRYGNSGKYLDVGANTKALTCCHKEMTIECISGSDGKDGIAKAASLLCSTCVNGNRNTCGNGEIVFTEADMSGALKAIGCGGPEPDLLLVYGPARCHLGFPAWRLRYTEIMHMGPLNSMKYGAIVKAFYKFSKKYQNFGKFVFSFLENRNILQ >ORUFI03G06960.3 pep chromosome:OR_W1943:3:4686992:4691161:-1 gene:ORUFI03G06960 transcript:ORUFI03G06960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWYIRASRIVSELGKTNLSPSPAAAAASASASARTRCDADRLTGGSAAAARPSMSRPSVIIKLILGLLWFIVHLAISLCSLWFDLIYSIECYLISFGLIPKYRKFQLDRLKHLAVVVDSREAKNVAKINQLLCWLSNVGVKYVCLYDIDGVLKKTFAPAMNGSRYGNSGKYLDVGANTKALTCCHKEMTIECISGSDGKDGIAKAASLLCSTCVNGNRNTCGNGEIVFTEADMSGALKAIGCGGPEPDLLLVYGPARCHLGFPAWRLRYTEIMHMGPLNSMKYGAIVKAFYKFSKKYQNFGKFVFSFLENRNILQ >ORUFI03G06970.1 pep chromosome:OR_W1943:3:4698840:4701663:1 gene:ORUFI03G06970 transcript:ORUFI03G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDDAVPVAVAPAKRPPINKYAFGCALLASMNSVLLGYDISVMSGAQIFMKEDLKITDTQIEILAGVINIYSLFGSLAAGMTSDWLGRRYTMVLAAAIFFTGALLMGLAPNYAFLMAGRFVAGIGVGYALMIAPVYTAEVAPTSARGFLTSFPEVFNNSGILLGYVSNFAFARLPVHLSWRAMFLVGAVPPIFLGIAVLAMPESPRWLVMRGRIEDARRVLLKTSDSPDEAEDRLLDIKKAVGIPEDASDGEDVVAIVRANKASQGEGVWKELLLNPTRPVRRMLVAGLGLMFIQQATGVDCVVMYSPRVFERAGIKSKTNSLGASMAVGVCKTFFIPIATLLLDRVGRRPLLLASGGGMAIFLFTLATSLLMMDRRPEGEAKALGAISIAAMLSFVASFASGLGPVAWVYTSEIYPVRLRAQAAAIGTGLNRLMSGATTMSFLSLSSAITIAGSFYLYASIAAAGWVFMYFFLPETKGKSLEDTVKLFGKDTDDDDDVDTSRHERKRSTELSAQH >ORUFI03G06980.1 pep chromosome:OR_W1943:3:4713104:4714766:1 gene:ORUFI03G06980 transcript:ORUFI03G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDDSSDVPAAEAPAKRAPLNKYALACAILASMNSILLGYDISVMSGAQKFMKKDLNISDAKVEVLAGIINIYSLVGSLAAGRTSDWIGRRYTMVLASVIFFAGALIMGLAPSYAIVMLGRFVAGVGVGYALMIAPVYTAEVAPTSARGLLTSFPEVFINAGVLLGYVSNFAFYRLPLHIGWRVMFLVGAVPPVFLAVGVLAMPESPRWLVMQGRIGDARRVLEKTSDSPAEAEERLADIKNAVGIPEGISDEDEVVAVVHKSRGSHGEGVWRDLLLRPTPAVRRILIACLGLQFFQQASGIDAVVLYSPRVFDNAGLHSDSDSIGASVAVGASKTLFILVATFLLDRVGRRPLLLTSAGGMVISLVTLASALHMIEHRPEGQATALVGLSIAMVLVFVASFSIGMGPIAWVYSSEIFPLRLRAQGCALGTAMNRVVSGAVSMSFISLYKAITFAGSFYLYAGIAAAGWVFMFFFLPETQGRSLEDTVKLFGGDERDANGTVGREDGHGQNKSTELTTQQ >ORUFI03G06990.1 pep chromosome:OR_W1943:3:4717438:4720152:1 gene:ORUFI03G06990 transcript:ORUFI03G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDTTPRHPHVARAAPIACLYIIDRRGLSASPRIAPHTAGRSIGQKKRAERWGRMAVAAATVRWLVLLLAVSAAATASREKWWHGAGGEASGGGHLVQKEWRRVVAASDAGLVTAVDVADAAGTAYRLHFITMSPGTLFLPVQLHADMVFYVHSGRGKVTYIEEGDSESSSLQVERGDVYNFDQGTILYIQSNACGTRERLQIYAIFTSDSINADDPGHPTSEVYSCISDLLKGFEVEVLRPGFGVPREVVESIKSTKTPPAIIPYNPEEEDEDDSSNWTEEITDALWGVRDPHFLNKKKKDKQKDKHKGKDKKSKSKAFNFYSGKPDVENCYGWSRSMTNRDLETLRGSSIGMFMVNLTTGAMMGPHWNPRATEIAVVTQGAGMVQIVCPSIPSGESKKHHHDEEGGRGDHGHGGGGVRCKNSLFRVKEGDVLVVPRFHPMAQISFNNDSFVFVGFSTDMGHNHPQFLAGRHSVLQVIGKEILARSLGQDNSTNVGRLLSSQRESTIMACTSCAEELERKAEEEEEGGGGKGEKEREEEERRRREKEEEERRRQEEERKRREEEEKERREREEEERRQREKEEKKRREEEERRRREEEEEERRRREEEEEEQEDGRGDEPKPRREEEEGDWGERQIRLPRSLKKRFIGIKGRLSSG >ORUFI03G07000.1 pep chromosome:OR_W1943:3:4720326:4725577:-1 gene:ORUFI03G07000 transcript:ORUFI03G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNALASAAAIADQRQKIEQYRHILSSVLSSSPPDISQAKRFLDHSNEPSIFAPLPVDSYGFCSGSGVTVGFGAAAVVSDEVPLVVSRQLLQTFAQELGRLEPEAQKEVAHYALTQIQPRVVSFEEQVVVIREKLAELYESEQQWSKAAQMLSGIDLDSGIRMLDDTNKLSKCVQIARLYLEDDDSVNAEAFINKASFLVTNSHQELLNLQYKVCYARILDLKRRFLEAALRYYDISQIEQRQIGDEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKKALLPDKSTVLDRAMIEHNLLSASKLYTNISFDELGALLGIDPRKAEKIASRMIYEDRMRGSIDQVEAVIHFEDDTEELQQWDQQDTQIEPRSEWV >ORUFI03G07010.1 pep chromosome:OR_W1943:3:4734686:4738036:1 gene:ORUFI03G07010 transcript:ORUFI03G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETSSGTAERGAGAGAQQQPPPQPQPPAKKKRALPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSGKEVRKRVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHTKTCGSREYRCDCGTLFSRRDSFITHRAFCDALAEESAKARAAPPPPADEDGTSASAGAPPPQAPLPPPAPVPAPAPPPPPAAAPAPAAQPEQRDRDAALDQFATPAPPPVTAPPPPPVAAPNDCVSSSSSGVAPTSQSLLSSMFAPPSVAQAPQYADPIGVGAGGHQERAVPAKPPALCLAPNASSSLFTAPVPADRQQFAPPPPPSPSPHMSATALLQKAAQMGATSSSSSFLRCLGLDMSSSSSAPPSSSGQQQQHHHHHHQETMQVPLPASSLPEWPPRLQPEPSPMLSSGLGLGLPYDATGGPVSLPELMMGQSTLFSAKPATLDFLGLGVSPTGASTSRGFPTFIQPIGSAVSLAGSATVAAETFGAAHGGQANPWERNPSSSPIL >ORUFI03G07020.1 pep chromosome:OR_W1943:3:4752678:4753505:-1 gene:ORUFI03G07020 transcript:ORUFI03G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLFHSCRSPSAADAVVTSTTTLLATDHPPFVPGSCPRRVPRRRPQQPPPGACAAGYAADDLTPARGTPAYRWLKSSQWHVIEAAGVTDDDHTPRLKIDARRRLRRSRRRLHRRADPVSGSSGDSGWFTSDEDSYANSCGVGVGGGEAETLVTSTTTESSSGASGNCGGSGEADGVVVAGSFAVVKRSDDPRADFRRSMAEMVVGRAIYDADGLERLLRCFLALNHQRHRRDIVAAFGDVWEAVFSNPTSSQRRIVTSDSAICKAAATVSNRR >ORUFI03G07030.1 pep chromosome:OR_W1943:3:4768411:4768647:1 gene:ORUFI03G07030 transcript:ORUFI03G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCCSLIEFLHAFEHHSRAVDSAVACSSRSRRTGSSSCGSPTAFCDHLPMAVVNAVVLLSVFAALGFLVVPYVKLRI >ORUFI03G07040.1 pep chromosome:OR_W1943:3:4773999:4779813:1 gene:ORUFI03G07040 transcript:ORUFI03G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAERPSRSDVDFADVFGGPPRRSSGHDSLRRSSMDSSFGSATKGRSGAEERPVFGDRTSSDRRRQLGQEFYKDIFAGSESMSPRRGGAAGDLDVFGAQASPGSTSRLHSSFSMKFNGGLDSSVPTSPSRHTSNKNDDGISYAYSVPTSPNSSMNSFLAQGAPQQDSTKNPFSWHRYPFLSRFRSNSGDKKDTSHYVSSMDSEYEGTPVSLESSIANNKFHFSFYKWGGKGAVLVLPTTAQENAGDIVGVRSFPQVIVQGMDLIDEEDSTSTATGASKSQTDYEDYKSGKDVSLGALLKTKDGALPLAFDDYVLGDKSEESGTKHNTNNAKNNVLGASPSSKSSRSPSGEKSRGSRVKGKVKDFMKIFSPESSPKSKRDRTSSGKNGSKSGPEDKFSISNLEVDDNVRTANMKKQNVFPPVPSPISEAQDRTEIPVFTVDNEMDSKADFGRKEVTPPSFDESSDAQTKYKVDEITDLAEGPVEDLEECVVEDVSEDFILRNNEEKEQIKVLWPESGWKPVPLVDIIEGAAVKKAYQKALLCLHPDKLQQRGAAMHQKYIAEKVFDILQEAWKEFNTVTFG >ORUFI03G07050.1 pep chromosome:OR_W1943:3:4780153:4783613:1 gene:ORUFI03G07050 transcript:ORUFI03G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRTEKGGDPELIRKSQRNRSASVELVDEVIALDDQWRQRQFELDKIRQELNKTSKEIGKLKAKKEDASALIQSTEEIKKRLAAKETEVQEAKGTLDAKLVTIGNIVHESVPVSDDEANNLIVRTWGEKRMEGNLKNHVDLCKMLDIVALEKGVDVAGGRGYYLKDEGVLLNLALINFGLAFLRKRGFKPMQTPFFMRKETMGKCAQLAQLAQFRRRALQGKTNETLLTGDGEEKYLIATSEQPLCAYHLGDRIYPAELPIRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNDNESWEMHEEMIKNSEDFYKEIGLPYQLVSIVSGALNDAAAKKYDLEAWFPASKTYRELVSCSNCTDFQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKENGVEVPKALQPYMGGIDFLPFKLDSKQVAQLQIK >ORUFI03G07050.2 pep chromosome:OR_W1943:3:4780198:4783613:1 gene:ORUFI03G07050 transcript:ORUFI03G07050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRTEKGGDPELIRKSQRNRSASVELVDEVIALDDQWRQRQFELDKIRQELNKTSKEIGKLKAKKEDASALIQSTEEIKKRLAAKETEVQEAKGTLDAKLVTIGNIVHESVPVSDDEANNLIVRTWGEKRMEGNLKNHVDLCKMLDIVALEKGVDVAGGRGYYLKDEGVLLNLALINFGLAFLRKRGFKPMQTPFFMRKETMGKCAQLAQLAQFRRRALQGKTNETLLTGDGEEKYLIATSEQPLCAYHLGDRIYPAELPIRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNDNESWEMHEEMIKNSEDFYKEIGLPYQLVSIVSGALNDAAAKKYDLEAWFPASKTYRELVSCSNCTDFQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKENGVEVPKALQPYMGGIDFLPFKLDSKQVAQLQIK >ORUFI03G07050.3 pep chromosome:OR_W1943:3:4780198:4783613:1 gene:ORUFI03G07050 transcript:ORUFI03G07050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRTEKGGDPELIRKSQRNRSASVELVDEVIALDDQWRQRQFELDKIRQELNKTSKEIGKLKAKKEDASALIQSTEEIKKRLAAKETEVQEAKGTLDAKLVTIGNIVHESVPVSDDEANNLIVRTWGEKRMEGNLKNHVDLCKMLDIVALEKGVDVAGGRGYYLKDEGVLLNLALINFGLAFLRKRGFKPMQTPFFMRKETMGKCAQLAQLAQFRRRALQGKTNETLLTGDGEEKYLIATSEQPLCAYHLGDRIYPAELPIRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNDNESWEMHEEMIKNSEDFYKEIGLPYQLVSIVSGALNDAAAKKYDLEAWFPASKTYRELVSCSNCTDFQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKENGVEVPKALQPYMGGIDFLPFKLDSKQVAQLQIK >ORUFI03G07060.1 pep chromosome:OR_W1943:3:4787826:4792455:1 gene:ORUFI03G07060 transcript:ORUFI03G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRPKRPGWKSLMPLQLSRKSALRFFLFPKVQAAGQSPDDTPVYLNVYDLTPMNGYVYWAGLGIFHSGIEVHGVEYAFGAHDYPSSGVFEVEPRQCPGFRFRKSIFLGTTCLDPIQVRQFMELQSVNYNGDTYHLITKNCNHFCKDMCYKLTGNKIPKWVNRLARIGAICNCLLPESLKISPVGHDPNSRPEDCEKRRLRNPLSCFSSISSQRQLPPSSPFPTSPVKEPLAYSSSRKSSAPSLRNR >ORUFI03G07070.1 pep chromosome:OR_W1943:3:4793860:4794819:-1 gene:ORUFI03G07070 transcript:ORUFI03G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEDEKLLFPSFAFPAECFPEAATSGGEQKKARQRRRRKVKPEAAAALAGESGGDEQAKKRRLSDEQARFLEMSFKKERKLETPRKVQLAAELGLDAKQVAVWFQNRRARHKSKLMEEEFAKLRSAHDAVVLQNCHLETELLKLKERLADVEEEKAKLAAVAAATTGGGGGGGGGSSSPTSSSFSTVTYHPVLAGQFGVEAAAEEADLTYMSEYAYNSYMLELAAAGYCGGVYDQFS >ORUFI03G07080.1 pep chromosome:OR_W1943:3:4815725:4821613:-1 gene:ORUFI03G07080 transcript:ORUFI03G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISESDELRAFEATGIYRLAESGAAFLDPVRILNASYRRFRLVPSAYYSRSFGTSRQGGEAETERTGEASPERKKRKRKRQRQPKPRELNEVERMAEARHQGARPLLSSAHKSLLKAKDLLEFLPRMIKEDVRMLDVESNLEKNLVELGSSWRAPLCEMTLCFQKSSGEDSEEDNYNQGYNLIVVDPPWENGCVRQKVATKRPYEVQNSSFWKKGGLCHSHWRSASAECACRAAEWRSSSISSMPLRVATLVRNGTRRWTQGTKSPYPSSCRGRCTRRRPRRPARRDRPCRRGDDAVNPNDASAPARAFGICRQGQFRPLTDVSKNPYYEPIIPAPAPNHCNCRAQRLASEMPGLRPESPAIPSPELRRVRTSFTGASSWVATTAMCKVVRSANAPVEPKAKVEDVEKQRRRGKGGCNGTVSSARCRSPPPSPVSPELGKTRCSWITVNSEPLYVAFHDEEWGVPVHDDQKLFELLTLSQALAEITWPIILNKRDEFREMFDGFNYASVSEFTDKKINLLSKSNGNMLLSEQKIRAVVTNAKQMHKVIQDFGSFSNYCWSFVKHKPVKSNFRYARQVPIKTPKSEAISKGLMRRGFQCVGPTTIYSFMQVSGIVNDHLSCCFRFQDCRDIKRNLRAEPGLIERRLNSPPSSEDSETSREA >ORUFI03G07090.1 pep chromosome:OR_W1943:3:4821465:4826680:1 gene:ORUFI03G07090 transcript:ORUFI03G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMALLLSSPPRPSLRRVTRWSAASLSPRASLPSPRRVGLAVAAASWDGAGRWRVETTTTRARAAARAGASGEGGDGEVEGGGGTGIAAAAAATVVLAVMNRVLYKLALVPMRNYPFFLAQATTFGYHAGIVTKEMLALPKSRFMLIGLLEALGVASGMAAAAMLPGPSIPVLSQLILSVLILGRKYRANQIFGCLLVTAGVILAVASGANSGPFLSDVKLFWPAVLMASSACHAGASIIKEFVFIDGAKRLKGKRPDIFVVNSFGSGFQALFVFLLLPFLSNLKGIPLAELPAYINRGAACFLNIGGNLKDCHGAPLLPLLFIAMNMAFNISVLNLVKMSTALVASLTATLAVPLSIYVLSLPLPYIPGGTNLSTSFLVGAAILVLGLLLYNLPKKLAGRMKTD >ORUFI03G07100.1 pep chromosome:OR_W1943:3:4822356:4829669:-1 gene:ORUFI03G07100 transcript:ORUFI03G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVWRRAGGGREREAAMAVAGSNLMRLTPSIAGAGRVSIWWYLLSQRRGAHVVVRRGAGESIDTYVVVGVRVSLVDTDGTRRGADRSIDLRDGGGEGLVMVGREPKYDTLGQRGCTSEVEANRQT >ORUFI03G07110.1 pep chromosome:OR_W1943:3:4829419:4831318:1 gene:ORUFI03G07110 transcript:ORUFI03G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSSSGSHRPPRPASSESALPPAAAAAEELSSYEAACRSDPELRTFDTTLQRRTSRVISTLAVGVEVRSLSLESLREVTGCLLDMNQEVVRVILDCKKDIWKSPELFDLVEDYFESSLHTLDFCTALDKCLKRARDSQLLLHVALQRFDDEEDNDAAAAGQEDAAPSARYARTLHELRQFKAAGDPFTEEFFSAFQAVYRQQLTMLEKLQQRKHRLDKKVRAIKAWRRVSSIIFATTFAAVLICSVVAAAIAAPPVAAALAAAASIPVGSMGKWIDSLLKGYQDALRGQKEVVSAMQVGTFIAIKDLDSIRVLINRVELEISSMIDCVEFAERDEEAVKFGVEEIKKKLEVFMKSVEDLGEQADRCSRDIRRARTVVLQRIIRHPS >ORUFI03G07120.1 pep chromosome:OR_W1943:3:4834304:4834984:1 gene:ORUFI03G07120 transcript:ORUFI03G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGGPLPGATRVEVDAEVGAEGIDRRESAAVGAELSGRGGWRGGNSAGPASVVARLSPGTGRRSKDVEVNQPGDVRQRLRWISSGGGHPIFFLVVITRLRLPLVVGNTWGEATPTSRLESSHRRVPIDHRTTSTHRGVTLGSLRRAAPLLVGVDPPPPVVSATSAGDRRGAMAVGSGARAVGDGGGGGVGGGEALVLPLVARHRVVTAAASPTGLLSTRWLGCQ >ORUFI03G07130.1 pep chromosome:OR_W1943:3:4840842:4841759:-1 gene:ORUFI03G07130 transcript:ORUFI03G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDAMAAASSGCSSGCQSGWTTYLDDHSSYSCGTARFHGKAQQPYYHCDYSEDAEEDDLSMISDASSGPRQQCSTGNDDGAAAAAAHANAARRRGRRMEPTARRQSKTAAGASLLEDTASSPAFFKYTNASAEGNGYGYGGVASPVMEMGNAADFSCAFSATTGFESPLNGIPLSGYLQMQYSTTHVKAIPARQARRGGVEKKRR >ORUFI03G07140.1 pep chromosome:OR_W1943:3:4868827:4870467:1 gene:ORUFI03G07140 transcript:ORUFI03G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVTLEKTTTIRSEAVVAADAAVDRAATLKSPLLEGKKCGDGGVAKRCCERKYELVSYDALPAFLKHNEFIIDYYRSEWPIKQALLSAFAVHNETVNVWTHLIGFFMFLALTVCAATMVPMESSATSMTMANNTGNPMVLMMMSYGSNGAAMAVQALRNVSVESELAAAALSAAGDQVARWPFYAYLCGAMFCLLMSSACHLLACHSEHASYVLLRLDYAGITGLIVTSFYPLVYYTFLCDPFFRTLYLGFITLFGAAAVAVSLMPVFEKPELRWARAGLFACMGMSGLVPIVHKMLVFGARPEAVLTTGYEMVMGAFYLAGVVVYATRVPERWMPGKFDLAGHSHQLFHVLVIAGAYAHYLAGVVYLSWRDGEAC >ORUFI03G07150.1 pep chromosome:OR_W1943:3:4872473:4874849:1 gene:ORUFI03G07150 transcript:ORUFI03G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARVYAVEWRLQKTVVALAFSEVANRFSRPAGEAGEEEVGWPATNDGRRGGGNDVRAGGTPPTPPPLLPPTARAPDRGLDDDGSFLALPIAFPVGAVRLRLILPRQSSHVAGLRQLSRPADITPELRRFLDSRFCSQADLAVAANVEAEIRGRYAELEVSVSDHSVRLAAAAAAYSSSCSAAGTALSNVRGCLAALNASTSEPRVTEEVEVGSEEMLFEQLTSLAKEVAIVELVRDYATECDISFLGQRRRGEQGGRRRYPWQLVERRRRPTAWGATLLLPRCRRRRCCRRRVARRRSPDLLPPCPVGRLAQTGEKNERKEVREEGKEKE >ORUFI03G07160.1 pep chromosome:OR_W1943:3:4877188:4879235:1 gene:ORUFI03G07160 transcript:ORUFI03G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEVATVVIQHPGGGRTERAYWAMSAGAVMAANPGHYVAAVITSPPAAGASSATGAAAPVKHLKLLRPDDTLLLGRVYRLVSFEEVLREFASKRHVKLSRATIKAKDDVEEETKPAKPRRRRGSGGIAPEEEYSSRSLAKVMRQSDEPEPVARASPSAAPKPESDIDDHPDGEAAEPDCDLEALLPPHGVVFGRRVARQWRPALQSIAEG >ORUFI03G07170.1 pep chromosome:OR_W1943:3:4886542:4888026:1 gene:ORUFI03G07170 transcript:ORUFI03G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPRHKDTRRRRWMPTGRPAASTITTSTTNIISTRRSRRRRWMPTGRPLASTTTTSTTNTISTRRRRRRRWGTRGRTRTLLRHSRTDTRRRRCTRRRTRSRRRLRRTGTSAPRSARDGGTQLQHPRGPLLLLPPGRMLLTTRRDDRITECKALLKWRARRESTRRRTWMVLSTSSQARLWLGSTLE >ORUFI03G07170.2 pep chromosome:OR_W1943:3:4886542:4888026:1 gene:ORUFI03G07170 transcript:ORUFI03G07170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPRHKDTRRRRWMPTGRPAASTITTSTTNIISTRRSRRRRWMPTGRPLASTTTTSTTNTISTRRRRRRRWGTRGRTRTLLRHSRTDTRRRRCTRRPAAASAAPARAPLVLPGMPRGPLLLLPPGRMLLTTRRDDRITECKALLKWRARRESTRRRTWMVLSTSSQARLWLGSTLE >ORUFI03G07170.3 pep chromosome:OR_W1943:3:4886710:4888026:1 gene:ORUFI03G07170 transcript:ORUFI03G07170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPRHKVKTLRRYPPPPMDAYGKAGGVDDHHLHHQHHQYPPQPPPPMDAYGKAVGVDDHHLHHQHHQYPPQAPPPMGYPGAHPYPPPPQPYGYPPPQMYPPPRRRLRRTGTSAPRSARDASRPFVAAASWTYASDDEERRSNYRMQSPAKMACSEGIYTTTYVDGPFYVIAG >ORUFI03G07180.1 pep chromosome:OR_W1943:3:4890086:4892746:1 gene:ORUFI03G07180 transcript:ORUFI03G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGSKKKTVDPFAKKDWYDIKAPSVFNVRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKIRLRAEDVQGKNVLTNFWGMSFTTDKLRSLVKKWQTLIEAHVDVKTTDGYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMANQASSCDLKELVSKFIPEVIGKEIEKATSSIFPLQNVFVRKVKILKAPKFDLGKLMEVHGDYAKEDIGTKLDRPAEDEAMAGQEVAAAE >ORUFI03G07190.1 pep chromosome:OR_W1943:3:4893007:4896929:-1 gene:ORUFI03G07190 transcript:ORUFI03G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIMLCSCSGDQSKFEEMPRSPESLATRDYSATGSSSRIGNRESTPDDNQVSEVESDLRETLSLNYEEARALLGRLEHQRGNFDAALQVLQGIDIRSLMPRMTTAIADSVKPRGPPRSRKKTSQVNGMLMHMSMHSVSLLLEAILLKAKSLEGLGRVTDAAEECRTIIDIVESAWPYGVPEGTSEECKLIDIFHSALEYLPKLWMRSGCCEEAIIAYRRALAKPWNLDSQRSANLQKDLAVTLLYCGAQVKFTQEFDQHKPATPRNNMEEAILLLLILTKKLALQEIKWDPDLVNHLMFALSLSGHYEILASHLEMLLPGTYNRSERWYILALCYSAAGMDDSALNIIRNGFNVLERKGKPHIPSLLLGAKLCCKNPKRASEGIKFADKAMKSFRKHDFHFVSVVNHLLGVCYGPFARSSTSHAEKLRLQDEALRLLQDAAAMAKYSPEIMYSLAWENAMQRKLNAAVESATECVEMVMGSLVSAWKLLILVLSAQQNLKEAEAVANIAIDEAEKEDQMGILRLKAHIQASRGQFKSAVESFRSLLAIIQAKKEIWKQTPYDKVKSLQNLEMEAWLDLASIYTKLESWHDSNVCLDKAKSISSFSPKCCHVRGLILQAQSLHQEALTAFSLSLSIDPDYVPSMVCMAGILTILGGKSLSIARTFLRNALRLEPTSHQAWLRLGLVLKSEGSLLEAADCFQAAYELQELSPIQDFSEHLPIMLQ >ORUFI03G07190.2 pep chromosome:OR_W1943:3:4893005:4896067:-1 gene:ORUFI03G07190 transcript:ORUFI03G07190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPESLATRDYSATGSSSRIGNRESTPDDNQVSEVESDLRETLSLNYEEARALLGRLEHQRGNFDAALQVLQGIDIRSLMPRMTTAIADSVKPRGPPRSRKKTSQVNGMLMHMSMHSVSLLLEAILLKAKSLEGLGRVTDAAEECRTIIDIVESAWPYGVPEGTSEECKLIDIFHSALEYLPKLWMRSGCCEEAIIAYRRALAKPWNLDSQRSANLQKDLAVTLLYCGAQVKFTQEFDQHKPATPRNNMEEAILLLLILTKKLALQEIKWDPDLVNHLMFALSLSGHYEILASHLEMLLPGTYNRSERWYILALCYSAAGMDDSALNIIRNGFNVLERKGKPHIPSLLLGAKLCCKNPKRASEGIKFADKAMKSFRKHDFHFVSVVNHLLGVCYGPFARSSTSHAEKLRLQDEALRLLQDAAAMAKYSPEIMYSLAWENAMQRKLNAAVESATECVEMVMGSLVSAWKLLILVLSAQQNLKEAEAVANIAIDEAEKEDQMGILRLKAHIQASRGQFKSAVESFRSLLAIIQAKKEIWKQTPYDKVKSLQNLEMEAWLDLASIYTKLESWHDSNVCLDKAKSISSFSPKCCHVRGLILQAQSLHQEALTAFSLSLSIDPDYVPSMVCMAGILTILGGKSLSIARTFLRNALRLEPTSHQAWLRLGLVLKSEGSLLEAADCFQAAYELQELSPIQDFSEHLPIMLQ >ORUFI03G07200.1 pep chromosome:OR_W1943:3:4899039:4902074:-1 gene:ORUFI03G07200 transcript:ORUFI03G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSKLLRRRLRGGGHRLLPSRPSTSAASQPPPPPPSAATPPPPGAGKEAGAWSKLFLFAPGAITFGLGSWQLFRRQEKIEMLDYRTRRLEMEPIAWNQMAPSDLSAGVDPATPEFRRIVCEGDFDEERSVFVGPRSRSISGVTENGYYVVTPLIPRPSEHGSSWPPILVNRGWVPRDWRDKNVQDHQGVREVPEYKEADKKTDGKGSWWKFWSNSKEPEQSCEIEKPVKPPVRVLGVIRGSEKPSIFVPANEPSVGQWFYVDVPMIARACGLPENTIYIEDINEDVSPTNPYPVPKDVSTLIHHSVMPHDHLKYTVTWYTLSAAVTFMAAKRIKAKKLKFPLLQKEMFDNLSTASSSLRQ >ORUFI03G07210.1 pep chromosome:OR_W1943:3:4903307:4905621:-1 gene:ORUFI03G07210 transcript:ORUFI03G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGFSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADRENMAIAKSELHDLLSKPSLTGIPLLVIGNKIDKPEAFPKQSFTDVMGLKTITDREVACFMISCKNSTNIDSVIDWLVKHSKKKN >ORUFI03G07220.1 pep chromosome:OR_W1943:3:4909936:4912469:1 gene:ORUFI03G07220 transcript:ORUFI03G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSIRAAAKAAMIGGYRSASAVRRAVLPASPAPQTAPSAAGEGRKAASTYAAIDDWVIPDREVFGPVPTHEEAMAATLDLKESFQFAKSAQLEPLPSGDLDVPTKVGQEGLVHSETPQDLVHSETQGLVDLGASQDLVHSETSQGLVHSESSQGLIHSKTSEHEDNHEISLVSSGAPGRVVQAFTMLQDSPEAQEVVASLASDQNVWNAVTRNEKVMKFYKTYATKLNDDEVEGSESDSVQNSSELGSAGEAFMCYVEKMKALVSEMMTNLSSIMQDLVATSDEGQSKGKLKTMILDSKKDFANAPSAFVLLAIASIMVVLLKRA >ORUFI03G07230.1 pep chromosome:OR_W1943:3:4913534:4916675:-1 gene:ORUFI03G07230 transcript:ORUFI03G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQHSKDRMFITRTEWATEWGGAKQKEAGTPFKRLPFYCCALTFLPFEDPVCTADGSVFDLMSIIPYIKKFGKHPVTGTPLKQEDLMPLTFHKNSDGEFQCPVLNKVFTEFTHIVAVKTTGNVFCYEAIQELNIKPKNWRELLTDEPFTRNDLITIQNPNAVDSKILGEFDHVKKGLKLEDEELQRMKDDPTYNINISGDLKQMIKELGTEKGKLAFLHGGGGQKAQKERAAALAAILAKKEKDDSKSGKEPKPHQPFSIVDAASASVHGRSAAAAKAATAEKTAARIAMHMAGDRAPVNAKLVKSRYTTGAASRSFTSTAYDPVTKNELEYVKVEKNPKKKGYVQLHTTHGDLNLELHCDITPRTCENFLTHCENGYYNGLIFHRSIKNFMIQGGDPTGTGSGGESIWGKPFKDELNSKLIHSGRGVVSMANSGPHTNGSQFFILYKSAPHLNFKHTVFGMVVGGLTTLSAMEKVPVDDDDRPLEEIKILKVSVFVNPYTEPDEEEEEKAKEEEKKKDEDYDKVGSWYSNPGTGVAGSTSSGGGVGKYLKARTAGFADVVADDSNKKRKASVSNVEFKDFSGW >ORUFI03G07240.1 pep chromosome:OR_W1943:3:4916949:4919327:1 gene:ORUFI03G07240 transcript:ORUFI03G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGRPTASGANSSSSSDDDGDAAWKAAIESIAAVGFGLPLSNGAAKATSGGGGEASHGVEQQPPQEGKAQAPGLKLYQIKVRNMLDNMLEKNLEIVKTTCSNLADPMETDGGIKLFKKAPPGIRMDAMDKYHVQLKRPRILPGTDIDEKSKKFRHMLKSVAVDGNDILVSAKKSSERSLARLEAREAAAKAAAKREEERVRELKKTRGEKWLPSIARQMKEEKAWEQRK >ORUFI03G07250.1 pep chromosome:OR_W1943:3:4919537:4928859:1 gene:ORUFI03G07250 transcript:ORUFI03G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPSAAAATATAVASDSDCDSALVADVAEALVSASRLPEPPPIPALLALYLPRLAASHHPRVLSLAASHPGLASPDLLLAYRRHLSPPSCLPSLVPLLPVLPYRHLLPLLLSFVPLDPLRHLHRHLLAHLPTTQAGMVEQPPQIRSVRHLGLRVNYTAMVHMLPRQIEQILKSFPRLKSLKILRCDDVTGG >ORUFI03G07250.2 pep chromosome:OR_W1943:3:4919537:4928787:1 gene:ORUFI03G07250 transcript:ORUFI03G07250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPSAAAATATAVASDSDCDSALVADVAEALVSASRLPEPPPIPALLALYLPRLAASHHPRVLSLAASHPGLASPDLLLAYRRHLSPPSCLPSLVPLLPVLPYRHLLPLLLSFVPLDPLRHLHRHLLAHLPTTQAGMVEQPPQIRSVRHLGLRVNYTAMVHMLPRQIEQILKSFPRLKSLKILRCDDVTVMILDSAAEVAAMIGSTAEAKRHPLCRPGRYTAPISAG >ORUFI03G07250.3 pep chromosome:OR_W1943:3:4919537:4926670:1 gene:ORUFI03G07250 transcript:ORUFI03G07250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPSAAAATATAVASDSDCDSALVADVAEALVSASRLPEPPPIPALLALYLPRLAASHHPRVLSLAASHPGLASPDLLLAYRRHLSPPSCLPSLVPLLPVLPYRHLLPLLLSFVPLDPLRHLHRHLLAHLPTTQAGMVEQPPQIRSVRHLGLRVNYTAMVHMLPRQIEQILKSFPRLKSLKILRCDDVTVKSKFLISNEM >ORUFI03G07250.4 pep chromosome:OR_W1943:3:4919537:4928787:1 gene:ORUFI03G07250 transcript:ORUFI03G07250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPSAAAATATAVASDSDCDSALVADVAEALVSASRLPEPPPIPALLALYLPRLAASHHPRVLSLAASHPGLASPDLLLAYRRHLSPPSCLPSLVPLLPVLPYRHLLPLLLSFVPLDPLRHLHRHLLAHLPTMMILDSAAEVAAMIGSTAEAKRHPLCRPGRYTAPISAG >ORUFI03G07260.1 pep chromosome:OR_W1943:3:4931779:4935867:1 gene:ORUFI03G07260 transcript:ORUFI03G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDPMGKLLFLLAWITLLQGCCMCLPEPPEPQYGGGVVRNADFSAGLHGWSAFGYGSLAEGSSPAGNRYAVATNRTRPYQSVSQKVLLQNDTHYTLSAWLQVSDGVADVRVVVKAAGDFIHAGGVAAKSGCWSMLKGGLTTVSGGRAEIYFESNATADIWVDSVSLKPFTKEEWSNHRDASASTARRKTVRLQATDSAGNPLPGAAVSLENVRNGFPLGAAMSGEILRNPSYQRWFASRFTVTTFENEMKWYSTEPAPGREDYSVPDAMLEFARSHGIAVRGHNVFWDDPNQQPRWVQGLPYPQLLAAASRRIRSVVARYAGKLIAWDVVNENLHFSFFERRFGWDASTAFYAAARMLDTGSTLMFMNEYNTLEQPGDMAALPARYVQRLKQIIGGYPQNGAGMAIGLEGHFTAPVNIPYMRAALDTLAQAGVPVWLTEVDVGGGASQAYYLEEILREAYAHPAVQGVILWAAWRPQGCYVMCLTDNDFNNLPQGDVVDRLITEWSTAPRAGTTDAEGFFQAELAHGEYKVTVTHPSLNTSVSQSVKVEMGSGSHYFIQV >ORUFI03G07270.1 pep chromosome:OR_W1943:3:4936409:4938627:-1 gene:ORUFI03G07270 transcript:ORUFI03G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACARAAGERLPLVGAPARQPLARSFVKVNRLSSQHETKSVVSCSVRVSDDKTHRIEATAEHILPATNDHVMKAIDSINRGQVIAVPTDTIYGFACDACSAEAVNRIYEIKGRVQTRPLAICVADVPDISRFAVVDHLPHGLLDSLLPGPVTVVLKRGNNSILERSLNPGLESIGVRVPDFDFIRAISRGAGSALALTSANLSGRPSSVNVKDFEDLWPHCSYVFDGGILPSGRAGSTIVDLITPGVYKILRDGSSRQETTAVLGKFGFVEAW >ORUFI03G07280.1 pep chromosome:OR_W1943:3:4939412:4942766:1 gene:ORUFI03G07280 transcript:ORUFI03G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVRCLRDGRLDGEHAPALAVASNIQCGPLAAGAMLHLAAAVASNAAAGKAQARGLVIVAFDRSPEVYLDFMRRRGLDPNALNRCVRILDCYSDPIGWNQKIRSQQQQESGADLCSANKENVTIFRNVKDLDKLMCSTIDLGRGFAGEGKIYFSIAVDSISSMLRRASVSSISSFLSNLRSHDQISSIFWLIHSDLHEPKFSRAFECLSTMVASLEPAVVDSVYEEEIPGNISFLEENYSKAKFYLRLKRRNGRVKHLYEELHVEGNDVRFVSAPSVSTEVSQSLLPKVQFNLELSEKERSDKANVVLPFEHQGKGEPIHIYDGRRSLPEAQQDSNLTASALLDEVKFPKSAAPKGEIHYFRDSDDEQPDSDEDPDDDLDI >ORUFI03G07290.1 pep chromosome:OR_W1943:3:4940679:4950726:-1 gene:ORUFI03G07290 transcript:ORUFI03G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEPTQTPWHAQTTVQLARLPSLAYNISRALAAPLSLSLSLVRNIVGFFLAGGGAGEGAGRDSAMRRRASAVFLLAWLVAGVVKAAEAASGMAQPLAYDYSSSSECLPEPMDAHYGGGIIRNGDFSAGLQGWSAFGYGSLAVGSSPAGNRYAVATNRTRPYQSVSQKVLLQDDTHYTLSAWLQVSDGIADVRAVVKTAGGDFIHSGGVEARSGCWSILKGGLTAAAAGQAELYFESNATVDIWVDNVSLQPFSREEWSAHHEAAIKKARKKTVRLQARDAAGNPVAGARMHIEHVRNGFPLGSAMSKEILTNPGYQRWFTSRFTVTTFENEMKWYSTEAIPGREDYSVPDAMLRFAKSHGIAVRGHNIFWDDPSTQMGWVKALSGEQLRRATEKRIKSVMSRYSGQVIAWDVVNENLHFDFFEGRFGWEASAAFYRKAHQMDGGALMSMNEFNTLEQPGDLTVLPGKYLRKLWQIKAFPGNGNAARMGIGLEGHFSAQPNIPYIRAALDTMAQANAPIWLTEIDVAPGPDQARHLEQILREVYAHPAVHGIILWTAWHPQGCYVMCLTDNNFKNLPAGDVVDKLIWEWKTRSHVGVADADGYYETELFHGDYKVTVTHPAANSTVAQSLSVDRESDNEFTIHCVKEPEKPLYGGGILKETEAKGYASGKKLLSENSKSAAPVKGSALKVDLKKDHHYALSVWLQLSKGEGDIRAVLVTPDGKFNTAGMIAAKCGCWTMLKGGATSYDDGKGDIFFETNVTAEVMAEGMALQPFSFDEWKGHRAESVKKERMKKVKITVVGPDGKPVPEADVSLERVGKGFPLGNAMTKEILDMPEYEKWFAARFRYATLENEMKWYSTEFHQNEEDYKVSDKMVELAEKHNITLRGHNVFWDDQDKQMDWVEKLGVPELKEAMAKRLKDIVTRYAGKVIHWDVVNENLHFNFFEGKLGKDASAEIFRDVAKLDSKPILFMNEFNTIEEPNDAAPLPTKYVAKLKQIREFPGNADLKYGIGLESHFAAPNIPYMRGSIDTLAQAKVPIWLTEVDVKPCKNQVEYLDEVMREGFAHPAVKGIVLWGAWHAKGCYVMCFTDNSFKNLPVGDAIDKLLKEWTAGHTGKTDSKGVLEVEIFHGEYNATVKHKEFKENCMTLDLDSKAEAKIELRSDKVLTPWVELKYPNRHLGLHRSQI >ORUFI03G07290.2 pep chromosome:OR_W1943:3:4942758:4950726:-1 gene:ORUFI03G07290 transcript:ORUFI03G07290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWEPTQTPWHAQTTVQLARLPSLAYNISRALAAPLSLSLSLVRNIVGFFLAGGGAGEGAGRDSAMRRRASAVFLLAWLVAGVVKAAEAASGMAQPLAYDYSSSSECLPEPMDAHYGGGIIRNGDFSAGLQGWSAFGYGSLAVGSSPAGNRYAVATNRTRPYQSVSQKVLLQDDTHYTLSAWLQVSDGIADVRAVVKTAGGDFIHSGGVEARSGCWSILKGGLTAAAAGQAELYFESNATVDIWVDNVSLQPFSREEWSAHHEAAIKKARKKTVRLQARDAAGNPVAGARMHIEHVRNGFPLGSAMSKEILTNPGYQRWFTSRFTVTTFENEMKWYSTEAIPGREDYSVPDAMLRFAKSHGIAVRGHNIFWDDPSTQMGWVKALSGEQLRRATEKRIKSVMSRYSGQVIAWDVVNENLHFDFFEGRFGWEASAAFYRKAHQMDGGALMSMNEFNTLEQPGDLTVLPGKYLRKLWQIKAFPGNGNAARMGIGLEGHFSAQPNIPYIRAALDTMAQANAPIWLTEIDVAPGPDQARHLEQILREVYAHPAVHGIILWTAWHPQGCYVMCLTDNNFKNLPAGDVVDKLIWEWKTRSHVGVADADGYYETELFHGDYKVTVTHPAANSTVAQSLSVDRESDNEFTIHCVKEPEKPLYGGGILKETEAKGYASGKKLLSENSKSAAPVKGSALKVDLKKDHHYALSVWLQLSKGEGDIRAVLVTPDGKFNTAGMIAAKCGCWTMLKGGATSYDDGKGDIFFETNVTAEVMAEGMALQPFSFDEWKGHRAESVKKERMKKVKITVVGPDGKPVPEADVSLERVGKGFPLGNAMTKEILDMPEYEKWFAARFRYATLENEMKWYSTEFHQNEEDYKVSDKMVELAEKHNITLRGHNVFWDDQDKQMDWVEKLGVPELKEAMAKRLKDIVTRYAGKVIHWDVVNENLHFNFFEGKLGKDASAEIFRDVAKLDSKPILFMNEFNTIEEPNDAAPLPTKYVAKLKQIREFPGNADLKYGIGLESHFAAPNIPYMRGSIDTLAQAKVPIWLTEVDVKPCKNQVEYLDEVMREGFAHPAVKGIVLWGAWHAKGCYVMCFTDNSFKNLPVGDAIDKLLKEWTAGHTGKTDSKGVLEVEIFHGEYNATVKHKEFKENCMTLDLDSKAEAKIELRSGAP >ORUFI03G07300.1 pep chromosome:OR_W1943:3:4956184:4961643:-1 gene:ORUFI03G07300 transcript:ORUFI03G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHAIPSPLLRARPPAPSAAAAAATGRRAPSRVVAALGGGGPAGRGMEQQQQLAGDGSRSPVKEKPLVSTIGKSTNILWHNCPIGQSERQNLLGQKGCVIWITGLSGSGKSTLACALNRELHCSGHLTYVLDGDNLRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGIICIASLISPYRRDRDACRVLLPESRFIEVFMDLPLEICEARDPKGLYKLARSGKIKGFTGIDDPYESPVNSEIVIKMVDGECPSPKAMAQHVLCYLEENGYLQA >ORUFI03G07310.1 pep chromosome:OR_W1943:3:4963419:4966564:-1 gene:ORUFI03G07310 transcript:ORUFI03G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGPGLFSDIGKRAKDLLTKDYTYDQKLTVSTVSSSGVGLTSTAVKKGGLYTLDVSSVYKYKSTLVDVKVDTESNISTTLTVFDVLPSTKLVTSVKLPDYNSGKVEMQYFHENASFATAVGMKPSPVVEFSGTAGAQGLAFGAEAGFDTATGKFTKYSAAIGVTKPDYHAAIVLADKGDTVKVSGVYHLDDKQKSSVVAELTRRLSTNENTLTVGGLYKVDPETAVKARLNNTGKLAALLQHEVKPKSVLTISGEFDTKALDRPPKFGLALALRP >ORUFI03G07320.1 pep chromosome:OR_W1943:3:4968005:4971993:-1 gene:ORUFI03G07320 transcript:ORUFI03G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSSPPPPPPRRSVFDAAYIRAEFAAAGISPHFIPLIWKYVLQNPRCGDLDAVPSLPAAAYALLRQKFQPTTSTLTTAAESKDRTTTKLLIRLKNGESVEAVIMRYDTRLGKYDGKPRPGGVRSTLCVSSQVGCKMGCRFCATGTMGFKSNLSSGEIVEQLVHASRYSQIRNVVFMGMGEPLNNYTALVEAIQVLIGSPFQLSPKRITVSTVGIIHSINKFNNDLPNINLAVSLHAPDQDIRCHIMPAARAFPLVKLMNALQSYQNESKQTIFIEYIMLDGVNDQEQHAHQLGKLLEMFKAVVNLIPFNPIGSSNNFKTSSEHNVKKFQKILRGIYNIRTTIRQQMGQDIAGACGQLVVSLPDERSAGGATLLSDIEDIRI >ORUFI03G07330.1 pep chromosome:OR_W1943:3:4982092:4982601:-1 gene:ORUFI03G07330 transcript:ORUFI03G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAFEVTSLPQLEVLVKEIGGPHRSQINVIVSSCTFGDAVAMAAILRHMTEAYQWRVANFTRLRALADLYVIVNRIAEVNLPRIRSDVRDAQAVALLRGRGATIIELAGEIGGPSVDIFAAFVLRLNRLADHIGDRLRSVRARQGLLDDFASVILNLRFANILLPFP >ORUFI03G07340.1 pep chromosome:OR_W1943:3:4983591:4994783:-1 gene:ORUFI03G07340 transcript:ORUFI03G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWKERNNLVFNLQRQPWAEIARAMAAEAELWCLAKAAILALVLQQTLPLLRLRQCQCKANEVEKEPQYKDGQYSRVSSSISRSVTRNEKGEKSKKQWRKLAKKWREGWIHRLFCRPATALPLRE >ORUFI03G07350.1 pep chromosome:OR_W1943:3:4993311:4999908:1 gene:ORUFI03G07350 transcript:ORUFI03G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLDLKRLMSVPKERNRRRRQRQIRARNGSIDSVAKRKGSLCQQVANSDGERRTRYSGPNLPEDIWCHIHSLMPFKDAARAACVSHAFRRSWQHRPNLIFCIGTLGLDFINKIDRIIKNHSGIGIKSLQLEYDTFCNARRSASISYHLNNWLQIAVTPWIEELILTLSLSSYNVDYNFPCSLLSDGRGSSLRHLYLGSCFFHPTVNLELRNLTRLHLVTVHITGDEFGCLLSNSYALERLELKYCYGIICLKIPCLLQRLSHLEVFECRMLQAIENKAPNLCSFDLGARQVRLLLGESLQMKTLSLDYPSAVYYARAELPSNVPNLEILTICSDHEMVDTPMLPSKFFYLKCLTIDLAWRLSPAYDYFSLISFLDASPSLETFCLEISQDRMENELIIGDMSHMRQMLEHRHDNLQSVEIIGFCYTKSLIELTCHILDNTTSLKHLKLDTTRDVFSCSTGKHDKCFHMGKDMLTEAKKAVLAIETYIEPKVPSTVMLNVVKPCNRCHVAES >ORUFI03G07350.2 pep chromosome:OR_W1943:3:4993311:4999908:1 gene:ORUFI03G07350 transcript:ORUFI03G07350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLDLKRLMSVPKERNRRRRQRQIRARNGSIDSVAKRKGSLCQQVANSDGERRTRYSGPNLPEDIWCHIHSLMPFKDAARAACVSHAFRRSWQHRPNLIFCIGTLGLDFINKIDRIIKNHSGIGIKSLQLEYDTFCNARRSASISYHLNNWLQIAVTPWIEELILTLSLSSYNVDYNFPCSLLSDGRGSSLRHLYLGSCFFHPTVNLELRNLTRLHLVTVHITGDEFGCLLSNSYALERLELKYCYGIICLKIPCLLQRLSHLEVFECRMLQAIENKAPNLCSFDLGARQMVDTPMLPSKFFYLKCLTIDLAWRLSPAYDYFSLISFLDASPSLETFCLEISQDRMENELIIGDMSHMRQMLEHRHDNLQSVEIIGFCYTKSLIELTCHILDNTTSLKHLKLDTTRDVFSCSTGKHDKCFHMGKDMLTEAKKAVLAIETYIEPKVPSTVMLNVVKPCNRCHVAES >ORUFI03G07360.1 pep chromosome:OR_W1943:3:5000689:5001563:-1 gene:ORUFI03G07360 transcript:ORUFI03G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMKAKLVYNMDELRTMVRDAVGQRHVDVRSLEALRDAPAVFDILHDLSSTAGYGWKVRNIQPMSDLSELVGLLQLMKWVNIPQLLIHLCNLDQRREMLLALEGFQVRGSLSVQVLAQDLIDRVPASNPRAHWSREMSATTLKSRLEEATATAVNTLLNNSIRAETLARQGGGTVMARVRELRRLLRQISNAVLAADHLPPTIGSDLEQAIALIRNILQLPVQVLYSSM >ORUFI03G07370.1 pep chromosome:OR_W1943:3:5002445:5013392:1 gene:ORUFI03G07370 transcript:ORUFI03G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKKLIPMDAASRRLINWMEKGVYDALQKKYLKTLLFCICEKEEGPMIEEYAFSFSYPNMSGDEVAINLSRTESKKNSATFKPNAAEVTPDQMRSSACKMIRTLVSLMRTLDQIPEERTILMKLLYYDDVTPEDYEPPFFKCCANNEAINIWNKNPLKMEVGNVNSKHLVLALKVKSVLDPCDDNNVNSEDDNMSLDNESDQDNDFSDNEPTTEPLLQPPVRQVQGFLARRRETHIHPASEDLPNCIRERADDKQALYHALPMDYVSVGKLHGKLDGEASQNMAIDTNAEAGEPERKDHLSGHEMRDGSTMGCLQSVGSDLTRTRELPEPQQNLSMQSEQGASTVDKGPKQDSNKRARGITET >ORUFI03G07370.2 pep chromosome:OR_W1943:3:5002445:5013392:1 gene:ORUFI03G07370 transcript:ORUFI03G07370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKKLIPMDAASRRLINWMEKGVYDALQKKYLKTLLFCICEKEEGPMIEEYAFSFSYPNMSGDEVAINLSRTESKKNSATFKPNAAEVTPDQMRSSACKMIRTLVSLMRTLDQIPEERTILMKLLYYDDVTPEDYEPPFFKCCANNEAINIWNKNPLKMEVGNVNSKHLVLALKVKSVLDPCDDNNVNSEDDNMSLDNESDQDNDFSDNEPTTEPLLQPPVRQVQGFLARRRETHIHPASEDLPNCIRERADDKQALYHALPMDYVSVGKLHGKLDGEASQNMAIDTNAEAGEPERKDHLSGHEMRDGSTMGCLQSVGSDLTRTRELPEPQQNLSMQSEQGASTVDKGPKQDSNKRARGITET >ORUFI03G07370.3 pep chromosome:OR_W1943:3:5003984:5013392:1 gene:ORUFI03G07370 transcript:ORUFI03G07370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKKLIPMDAASRRLINWMEKGVYDALQKKYLKTLLFCICEKEEGPMIEEYAFSFSYPNMSGDEVAINLSRTESKKNSATFKPNAAEVTPDQMRSSACKMIRTLVSLMRTLDQIPEERTILMKLLYYDDVTPEDYEPPFFKCCANNEAINIWNKNPLKMEVGNVNSKHLVLALKVKSVLDPCDDNNVNSEDDNMSLDNESDQDNDFSDNEPTTEPLLQPPVRQVQGFLARRRETHIHPASEDLPNCIRERADDKQALYHALPMDYVSVGKLHGKLDGEASQNMAIDTNAEAGEPERKDHLSGHEMRDGSTMGCLQSVGSDLTRTRELPEPQQNLSMQSEQGASTVDKGPKQDSNKRARGITET >ORUFI03G07370.4 pep chromosome:OR_W1943:3:5002119:5013392:1 gene:ORUFI03G07370 transcript:ORUFI03G07370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNTKEADITEQDSLLLTRNLLRIARYNISYIRGLFPEKYFNDKSVPAQEMKIKKLIPMDAASRRLINWMEKGVYDALQKKYLKTLLFCICEKEEGPMIEEYAFSFSYPNMSGDEVAINLSRTESKKNSATFKPNAAEVTPDQMRSSACKMIRTLVSLMRTLDQIPEERTILMKLLYYDDVTPEDYEPPFFKCCANNEAINIWNKNPLKMEVGNVNSKHLVLALKVKSVLDPCDDNNVNSEDDNMSLDNESDQDNDFSDNEPTTEPLLQPPVRQVQGFLARRRETHIHPASEDLPNCIRERADDKQALYHALPMDYVSVGKLHGKLDGEASQNMAIDTNAEAGEPERKDHLSGHEMRDGSTMGCLQSVGSDLTRTRELPEPQQNLSMQSEQGASTVDKGPKQDSNKRARGITET >ORUFI03G07380.1 pep chromosome:OR_W1943:3:5014565:5017228:-1 gene:ORUFI03G07380 transcript:ORUFI03G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGESQQASRREGRERDEHDASRRERERDHTHGRRPHSSSRSRRDDPSPRRRREDRRHHESDRSHRHRSRAEESAKAVDRDQKRDRPLQDAAQPDDPLRAETKPLDDARNGSPARHERSPRGTKRFPESRDARRPRSFFQHDERGSAGQGGRCYYRQASASLICVCLLLFFKDRGRQRDEKEHVGDREKNKDEGKAMQDEQQNDGESTWKHDGFFQLEEEAHPAKRRPPFNEMGMPLEGKESVLSVTEPDSRSHKHDQAGPTSAIGEERRNYHPRGFDRHEGPFVRPDGQGMRRGFSDHRNAGQRNGYDSWGRFAGRGRGRYRFNNSYDGRNSMHQAAGDQAEKWKHDLYEQTNRSPTPKTEEEQIAKIEALLAL >ORUFI03G07390.1 pep chromosome:OR_W1943:3:5017535:5028252:1 gene:ORUFI03G07390 transcript:ORUFI03G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding METATATPGAARKRRRRRRRSQSPPPAGEGPSEPKLSKLRFGCGGGGGGGGGTRSGWENLDLVLSLQGKELSLERKIELTFNFTSTESNWSNHGRRLDIVQLLRAVSFIGNWVQSILILPENSKKTSEPFDPVLDYRCWAILRVCIEKKPSISISPNVLKSLGRVARNGLSRVDTGALYDDKESFDLFGHVLGCMSSVFSINTRTFFNAGVDLWASCAIDVISLAQKVSHNERNGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLTHKQDRTMLKVVEEILSNGLFHPQHLSGYFGLKNLNKSSTSRDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSGNQRASLGPRETSLQKSSEGSEEPHHHRESLFEVFMQFMEPLILECKSYSEKNFSNLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLVSMAEKMYEFWVSAVHLEDANVKKMLPLMFAEIVDAVGHLLDIEYKVMGRDLVKLWLMIFALSATNASSKDIKPCFLLASKISGLSSQVICTFSELRQVSFSIFTLCGAVRTFRAAVGTGVAASSFSVSSLSSDKCLESLAALLSSQTLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMRTCGLLDVKLEVQGESSLVTRDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSTLVAKSVERLVDAIRPNLCHLVRNESNSSSEFVYSVIGKHISNKQGANWQKIPSLSWLYVFFFRIYMSCRSLYLQSIGLMPPDSAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNSFPLFDAIEILTQSLSRNCSGFTLLVFILHVMALQRLNDLNRQINAFDFLLEDDTDQFDKENSEGTELLKKSSCLEATQLTSFMMSYVRLLSSGETGSFWCYEISSSWDSSLCSLDEFSFPIATWQLLCENIDIWSPHASKKDLKNFFSNLIKFAFVEKRSCKDVENSGSQSSHREITLCNVSVQLLCDTIIYDRKNLVSGFCHALKKSVLSFVTDANEDNDLLDSPPDLVDILTKLENENSWISCKLQVIVATYSLHSPSGKTAAIGNGMPSL >ORUFI03G07390.2 pep chromosome:OR_W1943:3:5017535:5027363:1 gene:ORUFI03G07390 transcript:ORUFI03G07390.2 gene_biotype:protein_coding transcript_biotype:protein_coding METATATPGAARKRRRRRRRSQSPPPAGEGPSEPKLSKLRFGCGGGGGGGGGTRSGWENLDLVLSLQGKELSLERKIELTFNFTSTESNWSNHGRRLDIVQLLRAVSFIGNWVQSILILPENSKKTSEPFDPVLDYRCWAILRVCIEKKPSISISPNVLKSLGRVARNGLSRVDTGALYDDKESFDLFGHVLGCMSSVFSINTRTFFNAGVDLWASCAIDVISLAQKVSHNERNGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLTHKQDRTMLKVVEEILSNGLFHPQHLSGYFGLKNLNKSSTSRDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSGNQRASLGPRETSLQKSSEGSEEPHHHRESLFEVFMQFMEPLILECKSYSEKNFSNLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLVSMAEKMYEFWVSAVHLEDANVKKMLPLMFAEIVDAVGHLLDIEYKVMGRDLVKLWLMIFALSATNASSKDIKPCFLLASKISGLSSQVICTFSELRQVSFSIFTLCGAVRTFRAAVGTGVAASSFSVSSLSSDKCLESLAALLSSQTLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMRTCGLLDVKLEVQGESSLVTRDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSTLVAKSVERLVDAIRPNLCHLVRNESNSSSEFVYSVIGKHISNKQGANWQKIPSLSWLYVFFFRIYMSCRSLYLQSIGLMPPDSAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNSFPLFDAIEILTQSLSRNCSGFTLLVFILHVMALQRLNDLNRQINAFDFLLEDDTDQFDKENSEGTELLKKSSCLEATQLTSFMMSYVRLLSSGETGSFWCYEISSSWDSSLCSLDEFSFPIATWQLLCENIDIWSPHASKKDLKNFFSNLIKFAFVEKRSCKDVENSGSQSSHREITLCNVSVQLLCDTIIYDRKVLLKNLVSGFCHALKKSVLSFVTDANEDNDLLDSPPDLVDILTKLENEKFFSTNSDVTHTNGIDKLWICENLLNFFSTVPGFHANSKSLLQLIAYILHLERLLLLAMVCHRYESCNSMGLLRLFVCCRRAMKNLIFNFGKEFPELKQYSAFSKIFGGSCLIWLLRSVQELVSLSHKIFEEHTDEMKNTIFSLVDKTSEIFSTLTNMNSVFYLLGAKKQIISSSGESSTPKHDDQAFSILENSALEHVKIMAELLEKSTTGIPVTVKGSQCVIKLENCYDTVCWDRLLCTMSCIRGFLWGLISALEGTCKDYLSSPEERNVMFQYASRFSGCVAKFEAFVDICMHVLFMETKDCELADLISVHLPQELDCENNSLNITAIMDEWTRHQPEEIGFHSDGVLNISTETRGFDLPKVQFVKGFLLENLLSGEGPSIAFTLRELYNASAAIVKLKGILSFPSEVCRQICSPFQKLPLGPMVATAYIALHKLADMSNWPDMFSLLWIDGILSYLEAVGNILALPEINMSKELYTQVVNAHLRAIGKCILLQGKNATLPTHEIGSSTKTLYLQNRSGHVVAKGIINRQNRLNSLKSRLRLSLGKYVNVSSNMHLNTAVQVIERALVGVNRFSHSIYEINTGNCDGGTVSSDVAAGIYCLYLVLETVPGNKRVFKRTVPGLIGALFNIVLHLESPFIFYTERMPVHYPYLHPDAGAIVLMCIEVITAFVGRHSFQIDSCHVSQCLHVPMTLFKGFKHLLSCRNMPHSCNQSEEQLAASNEYILDRQFSVDMYASCCKLLCTTIRHQQREVARCVAVLEDSVNILLSCLESPNPKMVSRAGYFSWNMEESMKCASFFRRIYEEMRQQRELLGKHSMYFLAGYISMYSGQGPFQTGITREIDEALRPGVYSLIDICEESDLQLLHTYLGEGPCRTTFANLVQDYKLHFQYQGKI >ORUFI03G07390.3 pep chromosome:OR_W1943:3:5017535:5028252:1 gene:ORUFI03G07390 transcript:ORUFI03G07390.3 gene_biotype:protein_coding transcript_biotype:protein_coding METATATPGAARKRRRRRRRSQSPPPAGEGPSEPKLSKLRFGCGGGGGGGGGTRSGWENLDLVLSLQGKELSLERKIELTFNFTSTESNWSNHGRRLDIVQLLRAVSFIGNWVQSILILPENSKKTSEPFDPVLDYRCWAILRVCIEKKPSISISPNVLKSLGRVARNGLSRVDTGALYDDKESFDLFGHVLGCMSSVFSINTRTFFNAGVDLWASCAIDVISLAQKVSHNERNGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLTHKQDRTMLKVVEEILSNGLFHPQHLSGYFGLKNLNKSSTSRDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSGNQRASLGPRETSLQKSSEGSEEPHHHRESLFEVFMQFMEPLILECKSYSEKNFSNLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLVSMAEKMYEFWVSAVHLEDANVKKMLPLMFAEIVDAVGHLLDIEYKVMGRDLVKLWLMIFALSATNASSKDIKPCFLLASKISGLSSQVICTFSELRQVSFSIFTLCGAVRTFRAAVGTGVAASSFSVSSLSSDKCLESLAALLSSQTLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMRTCGLLDVKLEVQGESSLVTRDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSTLVAKSVERLVDAIRPNLCHLVRNESNSSSEFVYSVIGKHISNKQGANWQKIPSLSWLYVFFFRIYMSCRSLYLQSIGLMPPDSAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNSFPLFDAIEILTQSLSRNCSGFTLLVFILHVMALQRLNDLNRQINAFDFLLEDDTDQFDKENSEGTELLKKSSCLEATQLTSFMMSYVRLLSSGETGSFWCYEISSSWDSSLCSLDEFSFPIATWQLLCENIDIWSPHASKKDLKNFFSNLIKFAFVEKRSCKDVENSGSQSSHREITLCNVSVQLLCDTIIYDRKVLLKNLVSGFCHALKKSVLSFVTDANEDNDLLDSPPDLVDILTKLENEKFFSTNSDVTHTNGIDKLWICENLLNFFSTVPGFHANSKSLLQLIAYILHLERLLLLAMVCHRYESCNSMGLLRLFVCCRRAMKNLIFNFGKEFPELKQYSAFSKIFGGSCLIWLLRSVQELVSLSHKIFEEHTDEMKNTIFSLVDKTSEIFSTLTNMNSVFYLLGAKKQIISSSGESSTPKHDDQAFSILENSALEHVKIMAELLEKSTTGIPVTVKGSQCVIKLENCYDTVCWDRLLCTMSCIRGFLWGLISALEGTCKDYLSSPEERNVMFQYASRFSGCVAKFEAFVDICMHVLFMETKDCELADLISVHLPQELDCENNSLNITAIMDEWTRHQPEEIGFHSDGVLNISTETRGFDLPKVQFVKGFLLENLLSGEGPSIAFTLRELYNASAAIVKLKGILSFPSEVCRQICSPFQKLPLGPMVATAYIALHKLADMSNWPDMFSLLWIDGILSYLEAVGNILALPEINMSKELYTQVVNAHLRAIGKCILLQGKNATLPTHEIGSSTKTLYLQNRSGHVVAKGIINRQNRLNSLKSRLRLSLGKYVNVSSNMHLNTAVQVIERALVGVNRFSHSIYEINTGNCDGGTVSSDVAAGIYCLYLVLETVPGNKRVFKRTVPGLIGALFNIVLHLESPFIFYTERMPVHYPYLHPDAGAIVLMCIEVITAFVGRHSFQIDSCHVSQCLHVPMTLFKGFKHLLSCRNMPHSCNQSEEQLAASNEYILDRQFSVDIEVARCVAVLEDSVNILLSCLESPNPKMMRQQRELLGKHSMYFLAGYISMYSGQGPFQTGITREIDEALRPGVYSLIDICEESDLQLLHTYLGEGPCRTTFANLVQDYKLHFQYQGKI >ORUFI03G07410.1 pep chromosome:OR_W1943:3:5042369:5046627:1 gene:ORUFI03G07410 transcript:ORUFI03G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTHPLPSSPSSSSSGGGGGGGSSASSSSEKTMVGGGGGGGGGSGSAAPSGAKLLQILNVRVVGSGERVVVLSHGFGTDQSAWSRVLPYLTRDHRVVLYDLVCAGSVNPDHFDFRRYDNLDAYVDDLLAILDALRIPRCAFVGHSVSAMIGILASIRRPDLFAKLVLIGASPRFLNDSDYHGGFELEEIQQVFDAMGANYSAWATGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCQTVFKTDLRGVLGMVRAPCVVVQTTRDVSVPASVAAYLKAHLGGRTTVEFLQTEGHLPHLSAPSLLAQVLRRALARY >ORUFI03G07410.2 pep chromosome:OR_W1943:3:5042369:5044144:1 gene:ORUFI03G07410 transcript:ORUFI03G07410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTHPLPSSPSSSSSGGGGGGGSSASSSSEKTMVGGGGGGGGGSGSAAPSGAKLLQILNVRVVGSGERVVVLSHGFGTDQSAWSRVLPYLTRDHRVVLYDLVCAGSVNPDHFDFRRYDNLDAYVDDLLAILDALRIPRCAFVGHSVSAMIGILASIRRPDLFAKLVLIGASPRFLNDSDYHGGFELEEIQQVFDAMGANYSAWATGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCQTVFKTDLRGVLGMVRAPCVVVQTTRDVSVPASVAAYLKAHLGGRTTVEFLQTEGHLPHLSAPSLLAQVLRRALARY >ORUFI03G07420.1 pep chromosome:OR_W1943:3:5044733:5048551:-1 gene:ORUFI03G07420 transcript:ORUFI03G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDGGCRLGVRDATGGGRPDWRDEARPVVEERGWAMTVIWRMQVTTAVWRTQETVVVVQDKGGCVVEAEGNGACAADAKTTERVVANWGRQSYWIN >ORUFI03G07430.1 pep chromosome:OR_W1943:3:5049694:5056592:-1 gene:ORUFI03G07430 transcript:ORUFI03G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISFWDIQTSQTAQWITVKHSNWIKIKQSNRIRFTSETEVTGRMRGGGGGSSSGRGCVQRSTSPSDANGTALERNAHRVFSAGRGEAERNNEATTPTRRAHPLLFRVVPRAFNEPVVPPRWCASLRTVVGPDQTAEREIPLPMLTVAGYLRSRMQKRHCPRYPNNVSAMMGTGAGSQRHACIVRSALQFPSTAVPLGARVPSHARSRLSRSRVNVMATRCEGVLKDRAFDRNVHRVFSAGKVVETTRPPTTALHGLHPHAHTLRVLDFPSFSVMGKAGKCTAHHGDGPPLRMCFPPAPPPVRVCLQHITCILA >ORUFI03G07440.1 pep chromosome:OR_W1943:3:5054898:5061200:1 gene:ORUFI03G07440 transcript:ORUFI03G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLKENFGGVKAKHSSDEALGRWRRLVGVVKNPKRRFRFTANLDKRSEAXIYIYIYIYNENHYGLGLSGLISSSEKLRVAVLVSKAALQFIQGLAPASEYTVPDDVKAAGYGICAEELSSIVESHDIKKLKSHGGVEAIAAKLCTSPEDGLPKSRRRQAVREELFGINRFAETESRSFWVFVWEALQDMTLMILAACAFFSLVVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITVQVSRNGYRQKLSIYDLLAGDIVHLSIGDQVPADGLFLSGFSLLINESSLTGESEPVAVNAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLIFAVVTFAVLTEGLFRRKIMDASYLSWTGDDAMELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGKIKDVESASDTKSLFSELPESAMTLLSQSIFNNTGGDVVFNKSGSREILGTPTETAILEFGLSLGGDFLAVRKASTLVKVEPFNSAKKRMGVVIQLPGGAMRAHSKGASEIILASCSKYLNDQGNVVPLDDATVAHLNATINSFANEALRTLCLAYVDVGDGFSANDQIPEDGYTCIGIVGIKDPVRPGVKESVAICRSAGIMVRMVTGDNINTAKAIARECGILTEGGIAIEGPDFRTKSAEELNELIPKIQVMARSSPLDKHTLVKHLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRTPVGRKGNFISNIMWRNILGQAFYQFIVFNEVSSREMERINVFEGILDNNVFVAVLGSTVIFQFIIVQFLGDFANTTPLTLKQWFNCIFIGFIGMPIAAAVKLIPVDF >ORUFI03G07450.1 pep chromosome:OR_W1943:3:5062852:5064306:-1 gene:ORUFI03G07450 transcript:ORUFI03G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASASTSAPATPTSTLICREDGNDLFSADPADDDGGGDWELSIADDDHVLLMDRDDEYLALMLSKERCAGGGGGGERGDEEEEMVEEWMKNARAWCVGWIVKRDKEWALQLLSVACLSLAAKVEERRPPRLPEFKLDMYDCASLMRMELLVLTTLKWQMITETPFSYLNCFTAKFRHDERKAIVLRAIECIFASIKGRSFPPSAFISPNRIARISFHFRNLVVISSVGYQPSTIALAAILIARNKETAPNLDELKSVVGSLWQQLDTGHVYSCYNKMMIQEDRSMQSTTEVASSGVSVAHIGGSEDSAMGGANNATTLEATPDKKRKRLHSPQRQ >ORUFI03G07460.1 pep chromosome:OR_W1943:3:5067686:5067901:1 gene:ORUFI03G07460 transcript:ORUFI03G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWRLGAGMARWRLGARATRQRLGARAGPHGEAEVGDGDGALDIGGGDDAVEIGGRDGTADVGGRGGAAR >ORUFI03G07470.1 pep chromosome:OR_W1943:3:5073970:5074869:-1 gene:ORUFI03G07470 transcript:ORUFI03G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGRRGSGARRRRRRERREQHKLSAATRLHHRRRVRSWRRTARAVAVALTAEAGKDVEAGALVRDGGGEVGPLLEGDTTKTTGSTAGACSSSPWLLYGGCLLTVMLVGPGSGRVLCTILHMIASIWTNRRNQNA >ORUFI03G07480.1 pep chromosome:OR_W1943:3:5079272:5084466:1 gene:ORUFI03G07480 transcript:ORUFI03G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAIARFVAIAAVLLCGHVAVAAESGGVGGGSARRVLHQPLFPIEWTPPPSPPPPPAPDFTSDPSTPPAPDAPSGDFFPPAPPTTTTPTSPGTTPSPTTVAADVSKTPSGSGSGHHGGGPTKATIVAAGAGAAAAVALLGFACAFLITGRARRRGDSQKLLGPDRAGAHRSAATSAADFLYVGTVEPTTPARHYGPTTADLVGSPYRKLRSERARRGVSRDEDADHPSPELRPLPPLRRAATLGSSDEDGYYTPRQLSGGSGGGGAAEAWSSASASSPPTTTTASRRSLPSMTSDFFPPVAAIAAPPAPPPARSRRTPPRTRFSTGSTPDTKQVTSPSPRPVQPSNAPPPPPPPPPPPPPPPPPKLNTAPKPPPPPPPPPSVPSNNNLPKPAEPPAVPTSRRRLLKPLPPEGPRIAMPMPITAATTVDNNGSTSMREGDNAAADDGGSGEPRPKLKPLHWDKVRATSDRAMVWDQLKLDEDMIEALFMNNSTPAAPPREVGRKAAGVPSFRQEERVLDPKKAQNIAILLRALNVTREEVSDALLDGNAECLGSELLETLVKMAPTKEEELKLRDYSGDLSKLGSAERFLKAVLDIPFAFKRVDAMLYRANFETEINYLRNSFETLEAACEDLRGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEDAKSEKESAMISSSKDDRKHGLKVVSGLSSELGNVKKAATMDFDVLHGYVNKLETGLEKIKSVLQLEKKCTQGQRFFMSMQDFLKEAEREIERVRGEERRALGRVKDITEYFHGDTAKEEAHPLRIFMVVRDFLSTLDQVCREVGRMQQDRTVIGGSARSFRISATSSLPVLSLYGQRRENNSDDDSSSS >ORUFI03G07490.1 pep chromosome:OR_W1943:3:5086804:5090143:1 gene:ORUFI03G07490 transcript:ORUFI03G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVALAAAAVARCNFPLHPGSTCGTIHVTRILWTEKYFLKEEMICGMLHSWIARYIIIS >ORUFI03G07500.1 pep chromosome:OR_W1943:3:5093006:5095645:-1 gene:ORUFI03G07500 transcript:ORUFI03G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSRSAESRGVQGKPHRKIIRDDKKKRIQAGIASDDEGDDEVVVFSEKEEAAMAKIFDKEDEIIVQMYHNSLRIKGSNTFIIYEMDQLEAGQPASILIEHIGDDLVSPDYG >ORUFI03G07510.1 pep chromosome:OR_W1943:3:5097799:5098306:-1 gene:ORUFI03G07510 transcript:ORUFI03G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSFVHCKRRLASRGAEEGGACITLVAAIHVRDPSRRYEALGAPMVAVVQSARLLGAGGTATGGAQTAGGGLGLEGEERMEAVLRLDGSDLEAVVARAHQGRPKDGHRASALPVELDSGEAEDKAGPA >ORUFI03G07520.1 pep chromosome:OR_W1943:3:5098429:5102667:-1 gene:ORUFI03G07520 transcript:ORUFI03G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAIATATLVTCMEKVGWGAAAVVAVSLAVFLAGWRHYRYRVPEGSPLTPLVRVTSHGGRAAGWFGKDLNNSRLDLFYWLLACIGIANLVFYVVVATRYSYKTVMAGGKVVDDKAGDIECAAAAAAAY >ORUFI03G07530.1 pep chromosome:OR_W1943:3:5099275:5101820:1 gene:ORUFI03G07530 transcript:ORUFI03G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVALAAAAAVAVLSLLFPRSICIDALCGFSRKYRRKEALIFFCDRKRVDMRTVLRTSVLSTRWKHLPLLLSDVDLDADEFIHQNSSMSADEAMAVLVKLMSSLFGSPRSESIIKKLNLRFCLLTDLETSLDYLFNIGELVCNAIDSGKVKSVELAITTEKRSVDCTSSDMLLHAKSLVEFFNISRSLSCCLTKLLLCTARFSEQDLHQLIISCDQLQHLTLYYCELRDSSTLKLDMPNSKLRFVELNSCYVKTVEFLCLPKLEQLYCDSWRLSGAPLSFGFLALRNYALFATSRIQSGFKLTDLLHGTANVQDIALDFQGEVIWITPEGKKLRSALNKITKLFLHGIYVKFDLLWTLVLLESAPSVKVWNHACDEGTENRKQLSERNDLWDAAQLDGSIHYLQLERLEFGGFNQIIREHLDFIRAIIERAPNLKSKTDPCEDCEAMDNPIYPSISMFPQNNDEKITIVKQLKAGMNRPVEIIFC >ORUFI03G07530.2 pep chromosome:OR_W1943:3:5099275:5101820:1 gene:ORUFI03G07530 transcript:ORUFI03G07530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVALAAAAAVAVLSLLFPRSCDQLQHLTLYYCELRDSSTLKLDMPNSKLRFVELNSCYVKTVEFLCLPKLEQLYCDSWRLSGAPLSFGFLALRNYALFATSRIQSGFKLTDLLHGTANVQDIALDFQGEVIWITPEGKKLRSALNKITKLFLHGIYVKFDLLWTLVLLESAPSVKVWNHACDEGTENRKQLSERNDLWDAAQLDGSIHYLQLERLEFGGFNQIIREHLDFIRAIIERAPNLKSKTDPCEDCEAMDNPIYPSISMFPQNNDEKITIVKQLKAGMNRPVEIIFC >ORUFI03G07540.1 pep chromosome:OR_W1943:3:5102696:5103146:-1 gene:ORUFI03G07540 transcript:ORUFI03G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWASPKTRGVGGKPYRNDSSHKDATRIKAGIPSDDEGDVDREDVPFSEKEEAAMNRIHARAMAKKAAASAAIAPAPAVVPAAIAPVPADVPAAIAPVPADVPAAIAPVPTDAPDAIAPGP >ORUFI03G07550.1 pep chromosome:OR_W1943:3:5104552:5110052:-1 gene:ORUFI03G07550 transcript:ORUFI03G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPPPQQSKPSYNHRRHNNAAPRHHHGQQQQQPQPQPQPQQRYVPKPAAPKPSPPPTLTTALRSSASPSASGAGRVGGEADGFVAYLPHDEVVAAGLGGPDAQESQAVVDLLNDALAALLRAKPREFWRQVAQNTSLHEFLDSYLQFRHRWYDLPHRAPKGTVAGLVVGELELCRRVFMVLYRISSNKDPGAFRGESLSMKEHAALLQEKRLLDLPKLLDICAIYGHDNCKLTSSLVENAINVQPNILDGINIVLPQFLGIFHTMQERCMKSLQVLDFVNDAIVTLDAFVDAYQPAALLFCTSFETSYGVEELLNTLPRLYDSLLPSLLHGFQVMSSSQSNGETASDIILSDIVLGIRMLSRRTVSFGWRLLEFCYLNDQLVERDVEACTKMFPAKVEDPMIRGDIIIQTLKDINREATFSQDHPGKTFLQALEKELMNRIGDIRKKGWIHMDDEQFQFIARLCGSTLTSWNSVPDLPVSSYGGELQHKNEDTAITESKITQIRDLFPDYGKGFLAACLEAYNQNPEEVIQRILDGTLHQDLLALDTSLEEMPQLKPAATVGKDKGKGILVETEPQIMNKPHKVNTEMHRYVEHSSSSSVPSASQGPFSSVPSVPQGRFTRKTNDDVPDSATLDSQKAKDAVRSAVLESQYEYDDEYDDSFDDLGFSVVESSYEETDGANDAESSSQGPRWSSQKKTQFYVKDGKNYSYKVAGSVAVSSAREAAVLRNVQKDTIHGLGRGGNVPLGVPNRQQHRDMEEEEGSNANNFGRGGSNIGRGGSYPRGDHGRRGGRGHGDPPPEGENPNGPPGFGRGGRRGGRNHGNQPEANENPNGQRGFGRGATRGGRNHDHPAEDHEDPDAAQGFARGGPAPRGGRGGRRGGGRDNHHRRDRAMKKHMQGLTGL >ORUFI03G07560.1 pep chromosome:OR_W1943:3:5112783:5116188:-1 gene:ORUFI03G07560 transcript:ORUFI03G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRLATGEKLDGGGWREEGRKRTGIRLLVRMEPKHSAEMSKHLDKQNQALMETYRAMSHELHKVQVEEETIMRKLYELMSAEGLLPKRKKESQAQKTGKSTQENKELEP >ORUFI03G07570.1 pep chromosome:OR_W1943:3:5115207:5116010:1 gene:ORUFI03G07570 transcript:ORUFI03G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRAREEAARGGSLKQARASSGRRGDRAHRAADLLAGRGHDEARRSRCTTARSGAAALELWLTVLKSQDRQEVDCGAGPCSALLPPACGSYAQAHEAGKKKFFISEKVHLRSLFLTSSFKTVPELKYQDTMYVTFGPKAVLLYDFGDMAVESVWGPRERHMSAINFLPPLSTLFSYQPKPLGQPTTGGEKGASRRGQARRRRLPASVAPNSRECSCHVPDCAPNCNCNVSTYTHRGWGFRHVMLSKLLFPKLRAISTELLKSRFV >ORUFI03G07580.1 pep chromosome:OR_W1943:3:5116821:5118208:-1 gene:ORUFI03G07580 transcript:ORUFI03G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEASWHSFDPSVAMEDSEAMAQLLGVQYFGNDQEQQQPAAAAPPAMYWPAHDAADQYYGSAPYCYMQQQQHYGCYDGGAMVAGGDFFVPEEQLLHGGPEPRVRGPARRPPPPAATKMTPACKRKVEDHKDESCTDNVARKKARSTAATVVQKKGNKNAQSKKAQKGACSRSSNQKESNGGGDGGNVQSSSTNYLSDDDSLSLEMTSCSNVSSASKKSSLSSPATGHGGAKARAGRGAATDPQSLYARKRRERINERLKILQNLIPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDMWMFAPIAYNGVNVGLDLKISPPQQQ >ORUFI03G07590.1 pep chromosome:OR_W1943:3:5128429:5136717:1 gene:ORUFI03G07590 transcript:ORUFI03G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGLWELLAPVGRRVSVETLAGKRLAVDASIWMVQFMRAMRDDKGDMIRDAHLLGFLRRICKLLFLRARPVFVFDGATPALKRRTLAARRRHRDAAQAKVRKTAEKLLLSHLKARKLEELAAQIKSDRAKHDNKGKQVESSKMGEIEKINGEQKKNNDGENSGGIVAPIDQEKLDELLAASLAAEEEANLTGKGKQYTVSVPLQEAADISEDDDEDDGEMIFPMTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREIDEVQRGAAGRGVGGVQASKIASEANREFIFSSSFTGDKQTLAQRGGKEHIVDSIKSKREINPAVFKSNPTSSSSSIKPNNSEPLGSFGPDVETYRDERGRIRVSRVKAMGIRMTRDIQRNLDFIKEHEQVRNRGHDSVVEGLANNEEPPDFPEHLFEGNGLRSSLHLSEDYDETASDNHHTSSLVGSDKISEGDYHGSKETIEISFADDQTEVKDNDDQIFLHLASGASSNLFTTEQTDGSDCITKEGVLEGETPPMQVDEKDHQASLMDNFCTDDEIEWEEGGCDVPGGPSSNENDQSKVPKGDLEEDALVQEAIRRSLEDFKKQEHENVTPEDLQASFEDKPLQSYDDVPKPAGAAGKTADKIGKEINSEENDIVHGSLVVDGRENENQTQPENSDGHADMKRAYLLDPLPPCNMTASTSAAKSPEGSEVQHHNSMLHSIRTPEWPKNDSDKVMTQYSLNSDNSKCKIDDSCTGETSRSLQNDLLMDELVPDTAVQKENMIQRTTDLSTSEINYTKLNDNVGIYSVSASNLEKELSLLRQEQEYLGNERRKLESHAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMEMTNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYLMKDIESELGLTREQLIRMAMLLGSDYTEGISGIGIVNAIEVAHAFPEEDGLQKFREWVESPDPTLLGKLGMESGSSSKKKKSGRNHSDGKGNSLEPEYTKGSDDSQSSNETQRIKEIFMSKHRNVSKNWHIPSTFPSEAVINAYISPQVDDSTEPFSWGRPDSGLLRKLCWERFGWSKEKADELLIPVLREYNKHETQLRMEAFYSFNERFAKIRSKRIKKAIKGITGKSFLETDELDHDSPSTSNTSKKKERTSSGRGRAKGQRTKDVGPGNTGNQDYDIADSLVDAGEHTTEKSTSSKKRTANSSGGSRGKGRRSMNAAHVIIGNGEDSDVSNLASDEDSHIRHTNDHESEGLTLRRSNRKRKQVTYAEDGQEADDNDVSIHQIDENQGQGSLEEDMCHMAGLDTQSNLLHQDTSELNIDQTHTDPSDMNEDPSGFELPEDCHTDTAPKDYLFTGGGFCMEEGDEQDTGVDQSGAEMEHETRDACEGIDEVSESQSGKSMSYSATGEGTENANTEARGASSSQGRNASRGSGAVPKLTKRRRKS >ORUFI03G07590.2 pep chromosome:OR_W1943:3:5128429:5136717:1 gene:ORUFI03G07590 transcript:ORUFI03G07590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGLWELLAPVGRRVSVETLAGKRLAVDASIWMVQFMRAMRDDKGDMIRDAHLLGFLRRICKLLFLRARPVFVFDGATPALKRRTLAARRRHRDAAQAKVRKTAEKLLLSHLKARKLEELAAQIKSDRAKHDNKGKQVESSKMGEIEKINGEQKKNNDGENSGGIVAPIDQEKLDELLAASLAAEEEANLTGKGKQYTVSVPLQEAADISEDDDEDDGEMIFPMTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREIDEVQRGAAGRGVGGVQASKIASEANREFIFSSSFTGDKQTLAQRGGKEHIVDSIKSKREINPAVFKSNPTSSSSSIKPNNSEPLGSFGPDVETYRDERGRIRVSRVKAMGIRMTRDIQRNLDFIKEHEQVRNRGHDSVVEGLANNEEPPDFPEHLFEGNGLRSSLHLSEDYDETASDNHHTSSLVGSDKISEGDYHGSKETIEISFADDQTEVKDNDDQIFLHLASGASSNLFTTEQTDGSDCITKEGVLEGETPPMQVDEKDHQASLMDNFCTDDEIEWEEGGCDVPGGPSSNENDQSKVPKGDLEEDALVQEAIRRSLEDFKKQEHENVTPEDLQASFEDKPLQSYDDVPKPAGAAGKTADKIGKEINSEENDIVHGSLVVDGRENENQTQPENSDGHADMKRAYLLDPLPPCNMTASTSAAKSPEGSEVQHHNSMLHSIRTPEWPKNDSDKVMTQYSLNSDNSKCKIDDSCTGETSRSLQNDLLMDELVPDTAVQKENMIQRTTDLSTSEINYTKLNDNVGIYSVSASNLEKELSLLRQEQEYLGNERRKLESHAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMEMTNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYLMKDIESELGLTREQLIRMAMLLGSDYTEGISGIGIVNAIEVAHAFPEEDGLQKFREWVESPDPTLLGKLGMESGSSSKKKKSGRNHSDGKGNSLEPEYTKGSDDSQSSNETQRIKEIFMSKHRNVSKNWHIPSTFPSEAVINAYISPQVDDSTEPFSWGRPDSGLLRKRSNVEFLQVMLGKTQLRMEAFYSFNERFAKIRSKRIKKAIKGITGKSFLETDELDHDSPSTSNTSKKKERTSSGRGRAKGQRTKDVGPGNTGNQDYDIADSLVDAGEHTTEKSTSSKKRTANSSGGSRGKGRRSMNAAHVIIGNGEDSDVSNLASDEDSHIRHTNDHESEGLTLRRSNRKRKQVTYAEDGQEADDNDVSIHQIDENQGQGSLEEDMCHMAGLDTQSNLLHQDTSELNIDQTHTDPSDMNEDPSGFELPEDCHTDTAPKDYLFTGGGFCMEEGDEQDTGVDQSGAEMEHETRDACEGIDEVSESQSGKSMSYSATGEGTENANTEARGASSSQGRNASRGSGAVPKLTKRRRKS >ORUFI03G07590.3 pep chromosome:OR_W1943:3:5128429:5136736:1 gene:ORUFI03G07590 transcript:ORUFI03G07590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGLWELLAPVGRRVSVETLAGKRLAVDASIWMVQFMRAMRDDKGDMIRDAHLLGFLRRICKLLFLRARPVFVFDGATPALKRRTLAARRRHRDAAQAKVRKTAEKLLLSHLKARKLEELAAQIKSDRAKHDNKGKQVESSKMGEIEKINGEQKKNNDGENSGGIVAPIDQEKLDELLAASLAAEEEANLTGKGKQYTVSVPLQEAADISEDDDEDDGEMIFPMTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREIDEVQRGAAGRGVGGVQASKIASEANREFIFSSSFTGDKQTLAQRGGKEHIVDSIKSKREINPAVFKSNPTSSSSSIKPNNSEPLGSFGPDVETYRDERGRIRVSRVKAMGIRMTRDIQRNLDFIKEHEQVRNRGHDSVVEGLANNEEPPDFPEHLFEGNGLRSSLHLSEDYDETASDNHHTSSLVGSDKISEGDYHGSKETIEISFADDQTEVKDNDDQIFLHLASGASSNLFTTEQTDGSDCITKEGVLEGETPPMQVDEKDHQASLMDNFCTDDEIEWEEGGCDVPGGPSSNENDQSKVPKGDLEEDALVQEAIRRSLEDFKKQEHENVTPEDLQASFEDKPLQSYDDVPKPAGAAGKTADKIGKEINSEENDIVHGSLVVDGRENENQTQPENSDGHADMKRAYLLDPLPPCNMTASTSAAKSPEGSEVQHHNSMLHSIRTPEWPKNDSDKVMTQYSLNSDNSKCKIDDSCTGETSRSLQNDLLMDELVPDTAVQKENMIQRTTDLSTSEINYTKLNDNVGIYSVSASNLEKELSLLRQEQEYLGNERRKLESHAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMEMTNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYLMKDIESELGLTREQLIRMAMLLGSDYTEGISGIGIVNAIEVAHAFPEEDGLQKFREWVESPDPTLLGKLGMESGSSSKKKKSGRNHSDGKGNSLEPEYTKGSDDSQSSNETQRIKEIFMSKHRNVSKNWHIPSTFPSEAVINAYISPQVDDSTEPFSWGRPDSGLLRKLCWERFGWSKEKADELLIPVLREYNKHETQLRMEAFYSFNERFAKIRSKRIKKAIKGITGKSFLETDELDHDSPSTSNTSKKKERTSSGRGRAKGQRTKDVGPGNTGNQDYDIADSLVDAGEHTTEKSTSSKKRTANSSGGSRGKGRRSMNAAHVIIGNGEDSDVSNLASDEDSHIRHTNDHESEGLTLRRSNRKRKQVTYAEDGQEADDNDVSIHQIDENQGQGSLEEDMCHMAGLDTQSNLLHQDTSELNIDQTHTDPSDMNEDPSGFELPEDCHTDTAPKDYLFTGGGFCMEEGDEQDTGVDQSGAEMEHETRDACEGIDEVSESQSGKSMSYSATGEGTENANTEARGASSSQGRNASRGSGAVPKLTKRRRKS >ORUFI03G07600.1 pep chromosome:OR_W1943:3:5137125:5142437:1 gene:ORUFI03G07600 transcript:ORUFI03G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGARLLLGLALLAALLAVVLQLYRLRKPRLWTKEELAVYNGTDESLPILLGILGSVFDVTKGKSNYGPGGGYHHFAGSSWKLAKFQFLPEIDVIGSASTSFPSSDGLTDSLQGLSSMEVNSIVDWRKFYFERYIFAGKIVGRYYDDEGNPTKYLKGIEMKAKRGAQLLEKQKSEEAKIPSCNSKWSQQEGGEVWCETGYPRLVRRPGDIALTGQVSQRCACYKEEELDKAGLVVYEGQGGLGYRLICCLVFMILAPRVLERTAQELLKSEEVSHDRSRFGSREQEIN >ORUFI03G07600.2 pep chromosome:OR_W1943:3:5137125:5142437:1 gene:ORUFI03G07600 transcript:ORUFI03G07600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGARLLLGLALLAALLAVVLQLYRLRKPRLWTKEELAVYNGTDESLPILLGILGSVFDVTKGKSNYGPGGGYHHFAGRDASRAFVSGNFTGDGLTDSLQGLSSMEVNSIVDWRKFYFERYIFAGKIVGRYYDDEGNPTKYLKGIEMKAKRGAQLLEKQKSEEAKIPSCNSKWSQQEGGEVWCETGYPRLVRRPGDIALTGQVSQRCACYKEEELDKAGLVVYEGQGGLGYRLICCLVFMILAPRVLERTAQELLKSEEVSHDRSRFGSREQEIN >ORUFI03G07610.1 pep chromosome:OR_W1943:3:5149102:5154295:1 gene:ORUFI03G07610 transcript:ORUFI03G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSNPDTFQDDGNEVSIVATELVSDVTVRIGTTKFYLHKFPLLSKCARFQKMIPTTGDENIEIHIHDIPGGAKAFEICAKFCYGMIVTLNAYNVIAARCAAEYLEMHETVDKGNLIYKIEVFLSSSIFRSWKDSIIVLGTTKAHLPWSEDLKLVSHCIDSIASKASTDTSKVEWSYSYNRKKLPTENGLDLEWNGVKKQQFVPHDWWVEDLADLDIDSYKQVITAIKTKGMVPKDVIGEAIKAYTYKKLPSLSKVSMVHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGELCRKELMKRIGRQLDEASVSDLLIPTVDGETTVYDIDMILSIVEEFVRQDSKNAQKHNGGEVDSHVQAPSASMIKVAKVVDGYLAEVAKDPNTPILKFIHLAETMSINSRPVHDGLYRAIDMYLKEHPSLGKNEKKKLCSLMDCKKLSPDACAHAVQNERLPLRTVVQVLYHEQTRASAAVTIRADSICVGSYESSRSGATTNTEDEWDGVMVVEDLSLSTKTTTKLDGAANSHCSNGKAKKGGASTPKKAAHRRTTTVPTGKGQSGERSSSDSSDSAILQKLELPKRTPSRSTKPAAV >ORUFI03G07610.2 pep chromosome:OR_W1943:3:5148824:5154295:1 gene:ORUFI03G07610 transcript:ORUFI03G07610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSNPDTFQDDGNEVSIVATELVSDVTVRIGTTKFYLHKFPLLSKCARFQKMIPTTGDENIEIHIHDIPGGAKAFEICAKFCYGMIVTLNAYNVIAARCAAEYLEMHETVDKGNLIYKIEVFLSSSIFRSWKDSIIVLGTTKAHLPWSEDLKLVSHCIDSIASKASTDTSKVEWSYSYNRKKLPTENGLDLEWNGVKKQQFVPHDWWVEDLADLDIDSYKQVITAIKTKGMVPKDVIGEAIKAYTYKKLPSLSKVSMVHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGELCRKELMKRIGRQLDEASVSDLLIPTVDGETTVYDIDMILSIVEEFVRQDSKNAQKHNGGEVDSHVQAPSASMIKVAKVVDGYLAEVAKDPNTPILKFIHLAETMSINSRPVHDGLYRAIDMYLKEHPSLGKNEKKKLCSLMDCKKLSPDACAHAVQNERLPLRTVVQVLYHEQTRASAAVTIRADSICVGSYESSRSGATTNTEDEWDGVMVVEDLSLSTKTTTKLDGAANSHCSNGKAKKGGASTPKKAAHRRTTTVPTGKGQSGERSSSDSSDSAILQKLELPKRTPSRSTKPAAV >ORUFI03G07610.3 pep chromosome:OR_W1943:3:5149084:5154295:1 gene:ORUFI03G07610 transcript:ORUFI03G07610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSNPDTFQDDGNEVSIVATELVSDVTVRIGTTKFYLHKFPLLSKCARFQKMIPTTGDENIEIHIHDIPGGAKAFEICAKFCYGMIVTLNAYNVIAARCAAEYLEMHETVDKGNLIYKIEVFLSSSIFRSWKDSIIVLGTTKAHLPWSEDLKLVSHCIDSIASKASTDTSKVEWSYSYNRKKLPTENGLDLEWNGVKKQQFVPHDWWVEDLADLDIDSYKQVITAIKTKGMVPKDVIGEAIKAYTYKKLPSLSKVSMVHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGELCRKELMKRIGRQLDEASVSDLLIPTVDGETTVYDIDMILSIVEEFVRQDSKNAQKHNGGEVDSHVQAPSASMIKVAKVVDGYLAEVAKDPNTPILKFIHLAETMSINSRPVHDGLYRAIDMYLKEHPSLGKNEKKKLCSLMDCKKLSPDACAHAVQNERLPLRTVVQVLYHEQTRASAAVTIRADSICVGSYESSRSGATTNTEDEWDGVMVVEDLSLSTKTTTKLDGAANSHCSNGKAKKGGASTPKKAAHRRTTTVPTGKGQSGERSSSDSSDSAILQKLELPKRTPSRSTKPAAV >ORUFI03G07620.1 pep chromosome:OR_W1943:3:5158820:5160257:-1 gene:ORUFI03G07620 transcript:ORUFI03G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDEEEEEGVVIIIREYDPSRDRAGTEAVDRECDVGPTGGMSLHADLLGDPVARIRHSPDYLMLVAETTSGATGGRIIVGIIRGTVKSVATGKSCPGAPAVASVGYILGLRVAPSHRRMGLALRMVRRMEAWFERMGAEYAYMATDKSNEASLRLFTVRCGYSKFRTPSLLVHPVHAHRRRVPRRAAVFRLGARDAERLYDGRFAHVEFFPADIGAVLGNQLSIGTFLAVIDDDGRWRHGEWRGAERFLASPPASWALASLWDCGGVFRLELRGASRLRRAAAAATRALDRAARWMRVPSVPDFFRPFSGWFVYGLGGDGPDAAVAAEALFATFVNMARGRAAAVAVEVAACDPLRRRIPHWRRLSCTEDLWCMKRLGRVGESDGWDWARSPPGLSIFVDPREV >ORUFI03G07630.1 pep chromosome:OR_W1943:3:5167531:5171734:-1 gene:ORUFI03G07630 transcript:ORUFI03G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAATAPDPAHPSRPPLTPALDKPNSAPAARRPARSSKPVSSRYLSAAASPTSSTSSSSSTSSSSAPSSNRRSLSAQRARSSTPPPQHSTSPTPAPAAAAAAVAVASGGLTATTMRSLSVSFQGESFFYQTSRAPRAASPSSPGGRRGPTPERRKSVSSVPEAENTRPQHRWPAAKPKASDPLARSLDCSLDRKDSILAAVHLLRRSMAFDSTTSLSPSDPAAAAAAAHDLSASSDTDSVSSGSNSGAGDPPRRGISVPARFWQETNSRLRRLPEPGLPLPSSSGRRSFSDSQMSPRLPGRSPSPSRGSRGMASPARGRSGEASPNGHTMQAPANAPSIISFAAEVRRAKKGENRIEEAHRLRLLDNRHLQWRCINARTDAALLVQSFNAEKTLHSAWKEISKLRDNVSSKRSKLQLLKQKLKLFAILRRQIYYLDEWSHIEKHHSSALSAAIEALKASTLRLPVVGGAKADAQGVKEAVNSAVDVMHTMASSMCTLLSKVEGTSSVVSELAKLATQEQMLLDQSRDLLSMVAAIHVKQCSLQAHMLQRKQKQSQTRV >ORUFI03G07640.1 pep chromosome:OR_W1943:3:5177966:5178729:-1 gene:ORUFI03G07640 transcript:ORUFI03G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLRQKGGPDIASTDGGGYDREYVQEKTARKQAISYPVKCERETEAEGPDQRVYNYYSVRISGSLCKVRCERGGG >ORUFI03G07650.1 pep chromosome:OR_W1943:3:5191177:5195789:-1 gene:ORUFI03G07650 transcript:ORUFI03G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAWGWGSNPLEPVVHTVAAFSRRLLIAPDAAPDEARLRPLLSLSLSPPPTPPSPPPPPPEVLKKDSKAAPLTKEEVGRATWMLLHTIAAQFPDEPTRQQRRDARELMAIISRLYPCKECAEHFKEVLKANPVQAGSQAEFSQWLCYVHNVVNRSLGKPIFPCQRVNARWGSSERRKVQKHLKLRCCRGDEDEASSSVGFATLCISWRRKHVARDRFGCKKKHYDILRKEDFLLLTERQGPWKMSGSMTTDLLIQVQAPRRLSGQVQLSMALLSFLTCRDRSRLLIILPNLLPPR >ORUFI03G07650.2 pep chromosome:OR_W1943:3:5193057:5195789:-1 gene:ORUFI03G07650 transcript:ORUFI03G07650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAWGWGSNPLEPVVHTVAAFSRRLLIAPDAAPDEARLRPLLSLSLSPPPTPPSPPPPPPEVLKKDSKAAPLTKEEVGRATWMLLHTIAAQFPDEPTRQQRRDARELMAIISRLYPCKECAEHFKEVLKANPVQAGSQAEFSQWLCYVHNVVNRSLGKPIFPCQRVNARWGKLDCPERSCDLEGSNDIIPNR >ORUFI03G07650.3 pep chromosome:OR_W1943:3:5191177:5192988:-1 gene:ORUFI03G07650 transcript:ORUFI03G07650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIWEKNYNAVPAFFLYPCNTRSSERRKVQKHLKLRCCRGDEDEASSSVGFATLCISWRRKHVARDRFGCKKKHYDILRKEDFLLLTERQGPWKMSGSMTTDLLIQVQAPRRLSGQVQLSMALLSFLTCRDRSRLLIILPNLLPPR >ORUFI03G07660.1 pep chromosome:OR_W1943:3:5196171:5201546:-1 gene:ORUFI03G07660 transcript:ORUFI03G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGTPVCRGATQQFRKRLSRLEQVPLLLGIGVSRFAVSRASAIRRIRRWLVGWWTDGAAASLSF >ORUFI03G07670.1 pep chromosome:OR_W1943:3:5201708:5202087:-1 gene:ORUFI03G07670 transcript:ORUFI03G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWWDRVVLPVRRAWLGVAARFGVRQTGLWRLRQEVSTCEYEDVRVMWEMLSRTSTAGAGAGRAAPPARRHSRFRQPRPWTESICLCAGF >ORUFI03G07680.1 pep chromosome:OR_W1943:3:5205595:5208817:1 gene:ORUFI03G07680 transcript:ORUFI03G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRPAVHPVEAPPPPPPPDPAEQPRGVLMKDLPGMPGTAGGLGLRLAQFAFAAVALAVMASTNDFPSVTSFCFLVAAAILQCLWSFSLAIVDIYALLVKRCLRNRRAVCLFAIGDGELEMRCTPSHENIIVIVRGETG >ORUFI03G07690.1 pep chromosome:OR_W1943:3:5209412:5211929:-1 gene:ORUFI03G07690 transcript:ORUFI03G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEARFVSPAMKRTSDWILSQELPSDITIKVDDAAFNLHKLPLASRCGYIKKQVSGVGGNKATHLEIAGMPGGSKSFELVVKFCYGVNFEITVDNVAMLRCAGEHLEMTEECRPGNLVGRTEAYLEEVALASLEGAVAVLRKAEELLPASEEKARLVARCIDAVASIVCGGDGQFSMSLGTPGGGGGGGYNGVGAAASREVDDWCADELTALRIDTFQRVMIAMKARGFKGIAMGTLIMLYAQKSLRRLDMHGRDRKKMGARQEHEKRVVLETIMRLRDPSVAFSAGGVPPSGRPPLPKKPGGGGGGSSGGFMNSMSKKLGRLNPFLRSDVLGGGRVRTKPPKDRRHSIS >ORUFI03G07700.1 pep chromosome:OR_W1943:3:5213307:5218608:1 gene:ORUFI03G07700 transcript:ORUFI03G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSLTPVQLRMARCCSLSSMSRPPSVSDSVEGSRVSDAGPAMSFRHSRLVETLVKPESFRSAATLLTLMPRNLMDAFLASSSSRLASDAVAASSSSAFSLHRVYLLAKTSRIFSFWRPRMTFLGMLSSMFVSLRSRCSSCVRCSSSGGRAPMKVPDTLRYLRPTSEPISPGSAMTMVSERSRYVSAGTHRRKLPGMARSDVFICSISALTPRRAGSRAGSSSMRFLISETRSVDAPAAAGEVRHCSFSFDAVLMPTPARTSHLFLVAPQSEAIQMAMSRIMSCILTPRVSPTTSPPDSRRRTSFRAAMIRAPVSTSSSMLLEPAMRPSPSQHSTSFSLSIE >ORUFI03G07710.1 pep chromosome:OR_W1943:3:5216263:5219217:-1 gene:ORUFI03G07710 transcript:ORUFI03G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPADQQVADSTSTCCAIHLWSELDDMLDVARNVRRLEETVGQLAAQRSSLHGAIVDARVVGVDDGEDGGAADRLRRLGCTEEAANWLGRARVAEKQGNAVAADYAALSMPRLRLVARYRIGKRASRALRQAQQLVQERGAICAARRGVGSFAATTHQSAPTPAAAAVGTEDYLKEALGYIADDAVGVIGVCGMGGVGKTTLLRAINNSFLPTARQPPASSKVFDHVVWAVASKECRIDRLQDDVAKKLGLPLASLPDEHSDADLEQRALPIAEHLKNTGFLMLLDDLWECFDLKLIGVPYPDGGAGDELPRKVVLTTRSEIVCGNMKADRVLNVECLKPDDAWTLFEMNATAAAVTSHPAIAGLAREVAGECRGLPLALITIGKALSTKTDPELWRHAIDKLRDAHLHEITGMEEENAGMLRVLKVSYDYLPTTTMQECFLTCCLWPEDYSIEREKLVECWLGLGLIAGSSSIDDDVETGARIIAALKDVRLLESGGDVVGDTRGVRMHDMIRDMAIWIASDCGATRNRWLVRAGVGIKTASKLNEQWRTSPAAAGASTERVSLMRNLIEELPARLPARRGVRALMLQMNTSLRAIPGSFLRCVPALTYLDLSDTIVMALPGEIGSLVGLRYLNVSGTFIGALPPELLHLTQLEHLLLSDTNMLDSIPRNVILGLQKLKILDVFASRYTRWRLNADDDDAATASEASLDELEARNASIKFLGINVSSVAALRKLSGFTNVSTRRLCLKDMAGPASLTLLPSTLSDTLGGLDMLERLQHLAIRSCTGVKDIVIDAGSGSGSDSDDELRRSFRLPKLDRLRLLSVRHLETIRFRHTTAAAHVLPALRRINILNCFQLKNANWVLHLPALEHLELHYCHDMEAIVDGGGDTAAEDRRTPTTFPCLKTLAVHGMRSLACLCRGVPAISFPALEILEVGQCYALRRLDGVRPLKLREIQGSDEWWQQLEWEEDGIKDALFPYFKNHS >ORUFI03G07720.1 pep chromosome:OR_W1943:3:5226606:5229332:1 gene:ORUFI03G07720 transcript:ORUFI03G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVPQVVGAVSRSIAGRLLADIDLASSVGTNVEDVTDALTRLTSIRADLEASMGRLPQRRRPEEVTDWLSRVDGAEKRVAKLRREYQRRCCSCGGGGAFSLNLFASYAISRRACHERHRLAALLGECDRVRSLAAGAPRPSSGAMVVPSTVVGMEGYLEEALACLDDRDAGVVAICGMAGVGKSTLLRRINNVFVQDPDRRHEFDYVIWLDAPGDCAAVGKMQDAMAHRLGLCALPDGGAPDHRARPIFEVLRDSSFLLLLDGVTKPVDLVDIGVPHLVHDDRRRQKVAMTTRTRGVCGRMSSSRRIDMQCLDSDHSWRLFREIARDETINADPRIPDLAKEVAGRCGGLPLVLTAIGGAMRCRRQPEEWVSTVTALRNLELAKIPGMDAGEKPGAMLRSLQESYGDLRHPVLQKCFLATSLWPEGHAIDKGELVECWIGLGLVGESLPMDEAVRTGLAVLNELEEANLLLPGDATGEVKLHGVVRGAALWIARDLGKAPNRWVVCTGGVSLRSRQKLVEFFERARDAERVSAMRSSVERLRAMPPPSSPCRSLSVLMLQHNAALRDIPGGFLLGVPALAYLDASFTGVREVAPEIGTLASLRYLNLSSTPLESVPPELGRLRQLRHLLLRHTARLSAFPAGVLRGLPSLDVLDVCPSRYTEWCGAGGGGGGASLDELRSSSAFVRSLGIAVATLAGLRALRGLDNVRTRRLTVTRVAATAPSVALRPSMLGLLEALHELTVAKCSGLQELEVVAGEEDNAWWRLPELRKLEIDELHELAAVRWTRTDVGAFLPALRWVKISHCNRLRNVSWAVQLPCLEQLELRHCSEMVHVVDIDGDDEEQRREHPETRTFRCLRRLLLVELPSMGSIGGGAALSFPWLETLEIAGCDSLGELPVELQKKLKEI >ORUFI03G07730.1 pep chromosome:OR_W1943:3:5229975:5232267:-1 gene:ORUFI03G07730 transcript:ORUFI03G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDRWEKDPFFPAAEEVQGSADRMESVYRIWVQDRSGGDSEAAAAAAAAAVGGGGLPAGELRRELHTALGTAKWQLDELERAIRSNDKVFSAGKDTKARHDDFVAAIGCRILEVENNLKESNVAEGRGALSWIDLDEDERNDLATFLSASSFQQRDKVVTIPSVGDIDVGNNAAMVKKDMYADSSKDSGSAELSSARVKEETHRGHRRAASAHADIGSWTMLCPNESESSADLPYDDKHQEPLLKIVKTCALTSALQSKPRTKKKGGSVKWAAVDQQDVEETIPLSSQMGQGSDRCFERSKSCVSTCDESTYNKKLYGCLGALHRRLQRSRYRIRHVCIQENLVTF >ORUFI03G07730.2 pep chromosome:OR_W1943:3:5229875:5232267:-1 gene:ORUFI03G07730 transcript:ORUFI03G07730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDRWEKDPFFPAAEEVQGSADRMESVYRIWVQDRSGGDSEAAAAAAAAAVGGGGLPAGELRRELHTALGTAKWQLDELERAIRSNDKVFSAGKDTKARHDDFVAAIGCRILEVENNLKESNVAEGRGALSWIDLDEDERNDLATFLSASSFQQRDKVVTIPSVGDIDVGNNAAMVKKDMYADSSKDSGSAELSSARVKEETHRGHRRAASAHADIGSWTMLCPNESESSADLPYDDKHQEPLLKIVKTCALTSALQSKPRTKKKGGSVKWAAVDQQDVEETIPLSSQMGQGSDRCFERSKSCVSTCDESTYNKKLYGCLGALHRRLQRSRYRIRYGRPVQLIVLAVAALLICT >ORUFI03G07740.1 pep chromosome:OR_W1943:3:5233170:5234866:-1 gene:ORUFI03G07740 transcript:ORUFI03G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLVVNYCDWGGSSRGIRAFMEAHLPAFKEKNPHLEVVTELVRGQHPNLKGIYKNHNERVVCVRNLAPEDILLQATRLRNSLGRKVVKLRTRHVTKRPSVQGTWTTELKM >ORUFI03G07750.1 pep chromosome:OR_W1943:3:5235862:5240355:-1 gene:ORUFI03G07750 transcript:ORUFI03G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTPFFSGKPPKQPAPLARPRRVPTRPEHPTPPRRRKKKSDRASPRAPATATATTSAILPSLLATVGSLATGAVAALAAAGAHAGSLPRARSVASVAAAAAAAMSTARVYADVNVHRPREYWDYEALAVEWGEQDDYEVVRKVGRGKYSEVFEGINVTNDERCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLHNIVRDQQSKTPSLIFEYVNSTDFKVLYPTLTDYDIRFYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYFPEKEYFKGPELLVDFQSYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDQLNAYLNKYRIALDPQLEALIGRHTRKPWSKFINPENRHLVSPEAIDFLDKLIRFDHHDRLTAREAMAHPYFEQVRAAEDCRMRT >ORUFI03G07760.1 pep chromosome:OR_W1943:3:5245278:5249428:1 gene:ORUFI03G07760 transcript:ORUFI03G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQPDAAADDLPVPAAATGDERGADDQDEEAEARGPVRDLRVGRAVGAAHRRGGGGDRGREPETGRGDEAGQGGAAGGGEEEGREVREDQDDREGAEEALPRRHHRRRALPRQVPRQGRPRRRDRRHRRPRRRLLPQPRRPGGPDQASAPLIDGGRSFNSSRRRWCVYVHTTVLGSLICSPNRGLHLARNWPFQPERFGFLSCQLPRRSGVHHPQIVFWFKASKFNLFWLVF >ORUFI03G07770.1 pep chromosome:OR_W1943:3:5247574:5252239:-1 gene:ORUFI03G07770 transcript:ORUFI03G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPAVPSLALSRTLPSSAPAVTSPPIGSVDPQSLPPLPQLRGSKSGALFDGG >ORUFI03G07770.2 pep chromosome:OR_W1943:3:5248336:5252239:-1 gene:ORUFI03G07770 transcript:ORUFI03G07770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPAVPSLALSRTLPSSAPAVTSPPIGSVDPQSLPPLPQLRGSKSGALFDGG >ORUFI03G07770.3 pep chromosome:OR_W1943:3:5248336:5252214:-1 gene:ORUFI03G07770 transcript:ORUFI03G07770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPAVPSLALSRTLPSSAPAVTSPPIGSVDPQSLPPLPQLDERISMPICIKDAILAKLVMV >ORUFI03G07780.1 pep chromosome:OR_W1943:3:5253787:5257294:1 gene:ORUFI03G07780 transcript:ORUFI03G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWPHPAQIVAAPPSAVIASLTHRTFVVVASLPRRPSVIPPLRAALSRSPRHPSPLEPIPPPVHRRTPHHSDQCRRRTPSSAHRPDRHSPSPHTLVLGQMGTTAVAVALIPPRPTRGPRHSQPCPRDPATKQWLEVAGELACHSSVIRLKLLDSPIC >ORUFI03G07790.1 pep chromosome:OR_W1943:3:5259611:5262254:1 gene:ORUFI03G07790 transcript:ORUFI03G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTRSLQQSGSSKSKGKIMAKIVEEDEEGEEEEEEEEDSLSSGCHCFLCAIKEPDARLRRASLAAFFRELPYCEDDDAGAGAGAGVDGGRSCGEVVGAVWRAAMAAPDDPELPSLGAIRCMSLLLARALADVEWRRRGRNVYVPYYAAHVIGSYTIRSSAHAELAVAAGAVRPLLAFLGGAMTWVEQRAAARALGHLASYDATFPAVARHAAEAVPLAVRAASTCVGNVYASFVALAPSKRPKYQRDLLTRGLDGGGGGVVADGEERKAEEWASQLQCWSLYFLSCLASRDVSSHATICHDPVFLRELCQMWGGLANGDSPAGVGLLRLLCRSTAGRAAIAACRDALSGLCDLARSSDDWQYMAIDCLLLLLDDRETWHAVADATAARLVDLAELRHLGPRRRLGNAITAALLLDDGDDDGDIVHGRELGMEAKEAIARLREVQVERKGREDAMSRDELLKRRIMAKEKKRQGNDMFWHGEVEKAIELYTEALELCPLSRRRERLVLHSNRAQCRLARRDADAAVGDATRALSLARPAANAHARSLWRRAQAYDMKGMARESLLDCLAFAGAWLNRKDGTAAAAAAASRGGNPKLPYCVARMISKQMGLTGLFSAVATNSSTTKVDRDDRMPHYSDGDGDGGDSDDEEDDDDDDRDESEEEEFAEKGMKLCRPGKGLPIITDEAWRRLARRKKATSRVLSHDHRF >ORUFI03G07800.1 pep chromosome:OR_W1943:3:5264830:5268212:-1 gene:ORUFI03G07800 transcript:ORUFI03G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPIVDPLQGDFPETIEEFLQYGSMKCIAFNRRGTLLAAGCANGTCVIWDFETRGIARELHDKDCTAPITSVAWSKYGHHLLASATDKSLTLWHVVNEKKIARITLQQTPLSVRLHPGGPSTPSICLACPLSSAPILVDLNTGSTTVLPAFLSDNGNLPAPNTRNKFSDGSPPFTPTAATFDKYGDLIYVGNSKGEILIIDSKSIKVHAVIPIPGGTVVKDIVFSRDGRYLLTNSNDRVIRVYDNLLPVKGSGEEIEKISSNNISYESHYEKLKANGASCLAISCELLDAIAKIPWKAPCFSGDSEWIVGASASKGEHRLCIWNRSGRLVKILEGPKEALIDIAWHPVDPTIVSVSVAGLAYIWAKEHVENWSAFAPDFVELEENEEYVEREDEFDLNAYVEKAEEQLVNEDEYVDIETYDKNSTFSDLDDSSSTTMELIYLAAIPIPDTPDEQPDKCLGSSSKLEDSNHSDSPSSLDAVQNGQAIPPASSPMEVDNSTAEEPAEAVNSKRRRRLSAKGLELQQAEKGKKPTTKNKSNGKSPGSNGKQLEPANGNSSAVDDEATEDDEI >ORUFI03G07810.1 pep chromosome:OR_W1943:3:5273578:5277123:-1 gene:ORUFI03G07810 transcript:ORUFI03G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLAESLLPVGGGAATATAHDEYDERAYDSDDKVSIAVSDSDSEDGGGGGGDAMRPAFSWRKLWRFTGPGFLMCIAFLDPGNLEGDLQAGAAAGYQLLWLLLWATVMGALVQLLSARLGVATGKHLAELCREEYPPWATRALWAMTELALVGADIQEVIGSAIAIKILSAGTVPLWGGVVITAFDCFIFLFLENYGVRKLEAFFGVLIAVMAVSFAIMFGETKPSGKELLIGLVVPKLSSRTIKQAVGIVGCIIMPHNVFLHSALVQSRKIDTNKKSRVQEAVFYYNIESILALIVSFFINICVTTVFAKGFYGSEQADGIGLENAGQYLQQKYGTAFFPILYIWAIGLLASGQSSTITGTYAGQFVMGGFLNLRLKKWLRAMITRSFAIIPTMIVALFFDTEDPTMDILNEALNVLQSIQIPFALIPLITLVSKEQVMGSFVVGPITKVISWIVTVFLMLINGYLILSFYATEVRGALVRSSLCVVLAVYLAFIVYLIMRNTSLYSRLRSAMTKST >ORUFI03G07820.1 pep chromosome:OR_W1943:3:5285537:5287930:1 gene:ORUFI03G07820 transcript:ORUFI03G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPERRLFQYVSKPRRPAREPAPAPEVCGGGGEEVVASDADADAVYRMVTAAPTPSAMESALSASGVAISAPLLDLVLRRFRFAHGDPLRALSLLSLALDRHGVAPSPFALDTALYVLGRARRFAHMWDLLRSSRRLVPDAVTPRTAMVVLGRVAKVCSVRETVDSFRRLSRMLRGRGDDQEGQLFNALLRTLCQEKSMSDARNVYHALKYEFKVNRQTFNILLSGWKSAEDAEAFVAEMRELGVEPDLVTYNSLIDCHCKNRGVENAYKLLDEMREKDISPDVITYTSLIGGLGLIGQPDKAKHLLKEMHELGCYPDVPAYNTAIRNFVIAKRLGMKFCM >ORUFI03G07830.1 pep chromosome:OR_W1943:3:5288689:5299911:1 gene:ORUFI03G07830 transcript:ORUFI03G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPRTRSVARMEAAAAAAAEEEAGNPDGVEGAAVAAVAPEAAAEGPSEPNAGEASREPDAGQASREPGAAGPSREPDVAGPSREPDAAGPSREPGAAGGSREPGAAGGSRQPVPDAAQLAVVPYVEDIDRYLRSLEAEQTRRPMINYVQEIQGGIINMDVRGILVDWMADVAYVFNLQEETLHHAVSYVDRFLSKIAFPGDKLKLLGTTALFVASKYEEIHPPHVRNFSAVTVNTYTTQQVSKMELDILRFLNFDVGSPTNSSNRKLELMCNYLAELSLLDDYYIRFLPSIVAAACLFVGKFTLNPNTRPWNLSVQRNTGYKVSDIEDCIHAIHDLQAGRKWSNLKAFGSVSTITPPENIKVCFLKDLKPRTRSVARMEATAAAAAAAEEEEAGNPDGAEGAAVVAVAPEAAAEGPNAGEASREPDAGQASREPDVAGPSRQPGAAGPSREPGAAGGPRQPGAAGGPWQLVPNAAGPAVAPYVEDIDRYLRSLEAEESRRPIVNYDQEIQGGHINMRGKLVNWMEELVYGFNLWDNILYLAVSYVDRFLSRNVVNRERLQLLGTSALFVASKYEDRCHPSARFFSSITADTYTTQQVVAMEANILSFLNFQMGSPTVITFLRRFLFSCRGSNNLSVQRITGYKVSDIEDCIRSIHDLQAGRKWSNLRAIRSKYEDDAFERVSTIPSPNTIKPSFLRDLKYVNG >ORUFI03G07830.2 pep chromosome:OR_W1943:3:5288689:5299894:1 gene:ORUFI03G07830 transcript:ORUFI03G07830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPRTRSVARMEAAAAAAAEEEAGNPDGVEGAAVAAVAPEAAAEGPSEPNAGEASREPDAGQASREPGAAGPSREPDVAGPSREPDAAGPSREPGAAGGSREPGAAGGSRQPVPDAAQLAVVPYVEDIDRYLRSLEAEQTRRPMINYVQEIQGGIINMDVRGILVDWMADVAYVFNLQEETLHHAVSYVDRFLSKIAFPGDKLKLLGTTALFVASKYEEIHPPHVRNFSAVTVNTYTTQQVSKMELDILRFLNFDVGSPTNSSNRKLELMCNYLAELSLLDDYYIRFLPSIVAAACLFVGKFTLNPNTRPWFGSVSTITPPENIKVPRTRSVARMEATAAAAAAAEEEEAGNPDGAEGAAVVAVAPEAAAEGPNAGEASREPDAGQASREPDVAGPSRQPGAAGPSREPGAAGGPRQPGAAGGPWQLVPNAAGPAVAPYVEDIDRYLRSLEAEESRRPIVNYDQEIQGGHINMRGKLVNWMEELVYGFNLWDNILYLAVSYVDRFLSRNVVNRERLQLLGTSALFVASKYEDRCHPSARFFSSITADTYTTQQVVAMEANILSFLNFQMGSPTVITFLRRFLFSCRGSNNLSVQRITGYKVSDIEDCIRSIHDLQAGRKWSNLRAIRSKYEDDAFERVSTIPSPNTIKPSFLRDLKVSYELTKLTEGSVVVSSHLID >ORUFI03G07830.3 pep chromosome:OR_W1943:3:5288689:5299911:1 gene:ORUFI03G07830 transcript:ORUFI03G07830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPRTRSVARMEAAAAAAAEEEAGNPDGVEGAAVAAVAPEAAAEGPSEPNAGEASREPDAGQASREPGAAGPSREPDVAGPSREPDAAGPSREPGAAGGSREPGAAGGSRQPVPDAAQLAVVPYVEDIDRYLRSLEAEQTRRPMINYVQEIQGGIINMDVRGILVDWMADVAYVFNLQEETLHHAVSYVDRFLSKIAFPGDKLKLLGTTALFVASKYEEIHPPHVRNFSAVTVNTYTTQQVSKMELDILRFLNFDVGSPTNSSNRKLELMCNYLAELSLLDDYYIRFLPSIVAAACLFVGKFTLNPNTRPWNLSVQRNTGYKVSDIEDCIHAIHDLQAGRKWSNLKAVRIKPRTRSVARMEATAAAAAAAEEEEAGNPDGAEGAAVVAVAPEAAAEGPNAGEASREPDAGQASREPDVAGPSRQPGAAGPSREPGAAGGPRQPGAAGGPWQLVPNAAGPAVAPYVEDIDRYLRSLEAEESRRPIVNYDQEIQGGHINMRGKLVNWMEELVYGFNLWDNILYLAVSYVDRFLSRNVVNRERLQLLGTSALFVASKYEDRCHPSARFFSSITADTYTTQQVVAMEANILSFLNFQMGSPTVITFLRRFLFSCRGSNNLSVQRITGYKVSDIEDCIRSIHDLQAGRKWSNLRAIRSKYEDDAFERVSTIPSPNTIKPSFLRDLKYVNG >ORUFI03G07830.4 pep chromosome:OR_W1943:3:5288689:5299911:1 gene:ORUFI03G07830 transcript:ORUFI03G07830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPRTRSVARMEAAAAAAAEEEAGNPDGVEGAAVAAVAPEAAAEGPSEPNAGEASREPDAGQASREPGAAGPSREPDVAGPSREPDAAGPSREPGAAGGSREPGAAGGSRQPVPDAAQLAVVPYVEDIDRYLRSLEAEQTRRPMINYVQEIQGGIINMDVRGILVDWMADVAYVFNLQEETLHHAVSYVDRFLSKIAFPGDKLKLLGTTALFVASKYEEIHPPHVRNFSAVTVNTYTTQQVSKMELDILRFLNFDVGSPTNSSNRKLELMCNYLAELSLLDDYYIRFLPSIVAAACLFVGKFTLNPNTRPWFGSVSTITPPENIKVPRTRSVARMEATAAAAAAAEEEEAGNPDGAEGAAVVAVAPEAAAEGPNAGEASREPDAGQASREPDVAGPSRQPGAAGPSREPGAAGGPRQPGAAGGPWQLVPNAAGPAVAPYVEDIDRYLRSLEAEESRRPIVNYDQEIQGGHINMRGKLVNWMEELVYGFNLWDNILYLAVSYVDRFLSRNVVNRERLQLLGTSALFVASKYEDRCHPSARFFSSITADTYTTQQVVAMEANILSFLNFQMGSPTVITFLRRFLFSCRGSNNLSVQRITGYKVSDIEDCIRSIHDLQAGRKWSNLRAIRSKYEDDAFERVSTIPSPNTIKPSFLRDLKYVNG >ORUFI03G07830.5 pep chromosome:OR_W1943:3:5288689:5299894:1 gene:ORUFI03G07830 transcript:ORUFI03G07830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPRTRSVARMEAAAAAAAEEEAGNPDGVEGAAVAAVAPEAAAEGPSEPNAGEASREPDAGQASREPGAAGPSREPDVAGPSREPDAAGPSREPGAAGGSREPGAAGGSRQPVPDAAQLAVVPYVEDIDRYLRSLEAEQTRRPMINYVQEIQGGIINMDVRGILVDWMADVAYVFNLQEETLHHAVSYVDRFLSKIAFPGDKLKLLGTTALFVASKYEEIHPPHVRNFSAVTVNTYTTQQVSKMELDILRFLNFDVGSPTNSSNRKLELMCNYLAELSLLDDYYIRFLPSIVAAACLFVGKFTLNPNTRPWNLSVQRNTGYKVSDIEDSGRKWSNLRAIRSKYEDDAFERVSTIPSPNTIKPSFLRDLKTLETQTEGSVVVSSHLID >ORUFI03G07830.6 pep chromosome:OR_W1943:3:5288689:5299894:1 gene:ORUFI03G07830 transcript:ORUFI03G07830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPRTRSVARMEAAAAAAAEEEAGNPDGVEGAAVAAVAPEAAAEGPSEPNAGEASREPDAGQASREPGAAGPSREPDVAGPSREPDAAGPSREPGAAGGSREPGAAGGSRQPVPDAAQLAVVPYVEDIDRYLRSLEAEQTRRPMINYVQEIQGGIINMDVRGILVDWMADVAYVFNLQEETLHHAVSYVDRFLSKIAFPGDKLKLLGTTALFVASKYEEIHPPHVRNFSAVTVNTYTTQQVSKMELDILRFLNFDVGSPTNSSNRKLELMCNYLAELSLLDDYYIRFLPSIVAAACLFVGKFTLNPNTRPWNLSVQRNTGYKVSDIEDCIHAIHDLQAGRKWSNLKAFGSVSTITPPENIKTEGSVVVSSHLID >ORUFI03G07830.7 pep chromosome:OR_W1943:3:5288689:5299894:1 gene:ORUFI03G07830 transcript:ORUFI03G07830.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPRTRSVARMEAAAAAAAEEEAGNPDGVEGAAVAAVAPEAAAEGPSEPNAGEASREPDAGQASREPGAAGPSREPDVAGPSREPDAAGPSREPGAAGGSREPGAAGGSRQPVPDAAQLAVVPYVEDIDRYLRSLEAEQTRRPMINYVQEIQGGIINMDVRGILVDWMADVAYVFNLQEETLHHAVVAMEANILSFLNFQMGSPTVITFLRRFLFSCRGSNNLSVQRITGYKVSDIEDCIRSIHDLQAGRKWSNLRAIRSKYEDDAFERVSTIPSPNTIKPSFLRDLKTLETQTEGSVVVSSHLID >ORUFI03G07840.1 pep chromosome:OR_W1943:3:5296749:5300933:-1 gene:ORUFI03G07840 transcript:ORUFI03G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEQRVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVHHPISACRRIPNLAQIYLVGFYEEREFALYDKPHGSAGGLYSFRDYIMEDSPSHIVLLNCDVCSSFPLPDMLEAHKKYGGMGTLLVNKVSAESANQFGELVADPETNELLHYTEKPETFVSDLINCGVYIFTPNIFNAIEDVLKQKKDRANLRRVSSFEALHSATKALPADYVRLDQDILSPLAGKKELYTYQTLDFWEQIKTPGMSLRCSGLYLSQFRHTSPHLLASGDGKRSATIIGDVYIHPSAKVHPTAKIGPNVSISANARIGAGARLIHCIILDDVEIMGEGDHNAKLGITILGEAVDVEDEVVVVNSIVLPNKTLNVSVQEEIIL >ORUFI03G07840.2 pep chromosome:OR_W1943:3:5296749:5300933:-1 gene:ORUFI03G07840 transcript:ORUFI03G07840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEQRVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVHHPISACRRIPNLAQIYLVGFYEEREFALYVSSISTPPPPPPTCHGIRWSIWGFVGCHNSGIDGFAVTISRYLREDKPHGSAGGLYSFRDYIMEDSPSHIVLLNCDVCSSFPLPDMLEAHKKYGGMGTLLVNKVSAESANQFGELVADPETNELLHYTEKPETFVSDLINCGVYIFTPNIFNAIEDVLKQKKDRANLRRVSSFEALHSATKALPADYVRLDQDILSPLAGKKELYTYQTLDFWEQIKTPGMSLRCSGLYLSQFRHTSPHLLASGDGKRSATIIGDVYIHPSAKVHPTAKIGPNVSISANARIGAGARLIHCIILDDVEIMGEGDHNAKLGITILGEAVDVEDEVVVVNSIVLPNKTLNVSVQEEIIL >ORUFI03G07850.1 pep chromosome:OR_W1943:3:5302619:5303083:1 gene:ORUFI03G07850 transcript:ORUFI03G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDELHSAGAPAGVAAAEEGEGEAAQGFSFSIWPPTQRTRDAVVRRLVDTLGGDTILCKRYGAVPAADAEPAARGIEAEAFDAAAASGEAAATASVEEGIKALQLYSKEVSRRLLDFVKSRSAAAAAAAAAPPEGEAPAAPSESEVVDPQPAE >ORUFI03G07860.1 pep chromosome:OR_W1943:3:5308501:5309022:-1 gene:ORUFI03G07860 transcript:ORUFI03G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTNFLTDSATIADEVKTRNFSEQPGHWSLRPLWSQITTTIPNHLLKVFWISREINIIADKLAKEAKADALIKPIYNCQNISHIAYPSRDCHASSLNAHFSSLNCKINHVLCS >ORUFI03G07870.1 pep chromosome:OR_W1943:3:5309172:5309827:-1 gene:ORUFI03G07870 transcript:ORUFI03G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTCFVGNSLQMGYVTPKVHTKKYIKGKCSPAHSKLTWLISEINIHTDQFSNNVSMSHIIAFIANNGPNQATLNRILNIMWQIWKARNDLKFKNIVKEPTQAMINTYTIFSAYNYSQDQQHEQEEKHPGVFRLAP >ORUFI03G07880.1 pep chromosome:OR_W1943:3:5326281:5329007:1 gene:ORUFI03G07880 transcript:ORUFI03G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDLKKIGLGLTGFGVFFSFLGIIFFFDKGLIAMGNILFLSGLGLTIGLKSTMQFFTKPKNYKGTISFGAGFFLVLIGWPFFGMLLEAYGFVVLFSGFWPTLVVFLQRIPIIGWIFQQPFVTSFLDRYRGKRVPV >ORUFI03G07880.2 pep chromosome:OR_W1943:3:5327016:5329007:1 gene:ORUFI03G07880 transcript:ORUFI03G07880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDLKKIGLGLTGFGVFFSFLGIIFFFDKGLIAMGNILFLSGLGLTIGLKSTMQFFTKPKNYKGTISFGAGFFLVLIGWPFFGMLLEAYGFVVLFSGFWPTLVVFLQRIPIIGWIFQQPFVTSFLDRYRGKRVPV >ORUFI03G07890.1 pep chromosome:OR_W1943:3:5329476:5333616:-1 gene:ORUFI03G07890 transcript:ORUFI03G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAIAVFLALGFAFYVFFVPFVGRKAFQYVAMVLYTPLITSVVVLYIWCAATNPGDPGIFKSAEHPKLKDGRRSQKNSDHGLSQGGKMSSDGFNAVDNSEKLSSMLEQKDSHSWPTFSEILCFPFSCLCKRCFHADNQSSEQHMSEEGMFFCSLCEAEVLKNSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKGFFLLMTSAVLLWLSGALVLILCIVDRGEFSRQIVSKLGSSFSTVVFIIVVGISTYDYIIALREQEEDQQEETAGHQSPQMSIISSVTGFSTASSFGPLHRGSWCTPPRLFLEDQFDVIPPEIGMLQNSGSKKTKEDEGARRRTGTVKISPWTLARLNAEEVSRAAAQAKKKSKILKPIARHDVPIGHDINIGGKLVPKADNNRRPDKRGRFPAELSLDPLTRLSASGTESNVSDTAIETSGNLAPLQFEARSAFQPSAAASTRNAASSPESSFDSPDLHPFRLSSCTADEMQGAIPHPAQSGIKFSRSTSDGYEASGGEDSDRIPSRIVHRSSNWANAILSSGQGGGPAADPNMPSSEG >ORUFI03G07900.1 pep chromosome:OR_W1943:3:5339854:5347371:1 gene:ORUFI03G07900 transcript:ORUFI03G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRAFLRAAPSSLAAGAGRFAFACPTALPLTAAAAAATGPHRRGRGRCYCSASDAPPPPPYVLTTPLYYRLLDKRVVFITGTDEHGEKIATSAEACGRNPKDHCDTISNSYKMLWADLDIEYDKFIRTTDRKHEAVVNDFYSRVLDSGDIYRADYEGLYCVSCEEYKDEKELGENKCCPVHLKPCVPRKEDNYFFALSKYQHQLEDLLTKNPNFVRPSHRLNEVQGWVKSGLRDFSISRASVEWGIPVPNDTKQTIYVWFDALLGYISALLDDGEKASLQQAVERGWPASLHLIGKDILRFHAVYWPAMLMSAGISVPDAVFGHGFLTKDGMKMGKSLGNTLEPKDLVNRFGVDAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCKSTLAFDSIAAADGISLKDNVENLVDKAKDQFENLLLSSACETLMEIGNLGNLYIDEQAPWSCFKQGGESAEKAAKDLVIILETMRIIAIALSPITPSLSLRIYTQLGFTEDQFRTLRWEDTKWGGLKAGQVMMEPKPVFARIETETDEKDQSSSKATKGGKKKARSQGLVEA >ORUFI03G07900.2 pep chromosome:OR_W1943:3:5339854:5347371:1 gene:ORUFI03G07900 transcript:ORUFI03G07900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRAFLRAAPSSLAAGAGRFAFACPTALPLTAAAAAATGPHRRGRGRCYCSASDAPPPPPYVLTTPLYYRLLDKRVVFITGTDEHGEKIATSAEACDIEYDKFIRTTDRKHEAVVNDFYSRVLDSGDIYRADYEGLYCVSCEEYKDEKELGENKCCPVHLKPCVPRKEDNYFFALSKYQHQLEDLLTKNPNFVRPSHRLNEVQGWVKSGLRDFSISRASVEWGIPVPNDTKQTIYVWFDALLGYISALLDDGEKASLQQAVERGWPASLHLIGKDILRFHAVYWPAMLMSAGISVPDAVFGHGFLTKDGMKMGKSLGNTLEPKDLVNRFGVDAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCKSTLAFDSIAAADGISLKDNVENLVDKAKDQFENLLLSSACETLMEIGNLGNLYIDEQAPWSCFKQGGESAEKAAKDLVIILETMRIIAIALSPITPSLSLRIYTQLGFTEDQFRTLRWEDTKWGGLKAGQVMMEPKPVFARIETETDEKDQSSSKATKGGKKKARSQGLVEA >ORUFI03G07910.1 pep chromosome:OR_W1943:3:5348165:5351605:1 gene:ORUFI03G07910 transcript:ORUFI03G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSDPQPQANGDHQPAAEAAMEDNGAQVEEVVDSGESETMEGVASIALLPSGAISGHFIRLPDSVCYGLHGTPISCERECSRGEDYRLIKLSIIDFKNKHEKVVVVECRGHDAARLQNIDHLHGWEDDIVGLVEKKHGNRKFSLSFECETLKADKAAEEHISKYMPNLKGLDAVVNIGKMSISGINLDEDDEPSCDN >ORUFI03G07920.1 pep chromosome:OR_W1943:3:5353443:5364818:1 gene:ORUFI03G07920 transcript:ORUFI03G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEFRIPYQQVSSSQTAENAGQYKICRCGEGDPNTSETGDSSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNTYSFAYYLDMKSDNANTKAIGCQCSHVEEDKHDYNNFNAPVRGNEPVVTLGGIDLNNTGSVVVKEDRKLLTVLFPDSRDGRTFTLKAETTEELNEWKSALENALAQAPAVANAVGQNPIFSTDIAEPAEAPAEQPDDKSVIGRPAEFALVDADGSPSFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVQDYEKGKNEFSPEEDAHVIGDCIKNYKRIKMFDCTGTDKTKRLDAMSKVIYEVFPEPNQQLLQRILKMMQIVGSHKAVNRMSPSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDEIFDDLEDGSCSSDAYTDSEDGDVDKEYSTDNDVDGSYDSGEDNIEEDMEDDTEYSSGGSECDDKVKRNNSGKASNGNDKGFQPPKKAARTEHGVLREDTNQISSVPPVENTSQMESNDPSHRKLHESNGSTDQIEKSNVRASSSRAKFMEKSSSRNKSKKTLLGRASARRDLSADETDFCSDDETLIEKLENNKTDLQSKITKEVKENSILQASLGRRKEELHERRLALEKEVENLRDQLQKERKLRASLESGLMNLRRGQVSFPSTIDSKTKADLEEVATAEADILNLKQKVSDLRGQLNNNVQMSSTSLCDSCNKRLLSTDKLFEDEQNTSPSNVGPNSMSDMASATDMADIEQSRKQTTQHSSSSIDKPTLHKHQKSIASNEQSSTISQRAQRILSSKGGIMKDGQDGSFTSKWNLAQKQYSNNPLLGRLGSNAYSSTRTEESGAVPFALAKLTNRLNFLKERRAILASEMQNLNLARPPGPTAPAPKKDST >ORUFI03G07920.2 pep chromosome:OR_W1943:3:5353443:5364818:1 gene:ORUFI03G07920 transcript:ORUFI03G07920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEFRIPYQQVSSSQTAENAGQYKICRCGEGDPNTSETGDSSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNTYSFAYYLDMKSDNANTKAIGCQCSHVEEDKHDYNNFNAPVRGNEPVVTLGGIDLNNTGSVVVKEDRKLLTVLFPDSRDGRTFTLKAETTEELNEWKSALENALAQAPAVANAVGQNPIFSTDIAEPAEAPAEQPDDKSVIGRPAEFALVDADGSPSFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVQDYEKGKNEFSPEEDAHVIGDCIKNYKRIKMFDCTGTDKTKRLDAMSKVIYEVFPEPNQQLLQRILKMMQIVGSHKAVNRMSPSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDEIFDDLEDGSCSSDAYTDSEDGDVDKEYSTDNDVDGSYDSGEDNIEEDMEDDTEYSSGGSECDDKVKRNNSGKASNGNDKGFQPPKKAARTEHGVLREDTNQISSVPPVENTSQMESNDPSHRKLHESNGSTDQIEKSNVRASSSRAKFMEKSSSRNKSKKTLLGRASARRDLSADETDFCSDDETLIEKLENNKTDLQSKITKEVKENSILQASLGRRKEELHERRLALEKEVENLRDQLQKERKLRASLESGLMNLRRGQVSFPSTIDSKTKADLEEVATAEADILNLKQKVSDLRGQLNNNVQMSSTSLCDSCNKRLLSTDKLFEDEQNTSPSNVGPNSMSDMASATDMADIEQSRKQTTQHSSSSIDKPTLHKHQKSIASNEQSSTISQRAQRILSSKGGIMKDGQDGSFTSKWNLAQKQYSNNPLLGRLGSNAYSSTRTEESGAVPFALAKLTNRLNFLKERRAILASEMQNLNLARPPGPTAPAPKKDST >ORUFI03G07930.1 pep chromosome:OR_W1943:3:5365455:5366779:-1 gene:ORUFI03G07930 transcript:ORUFI03G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVDALVHGGGDDDDDDDGGGGYYYFAFGWECGGRPMSLRLGGKEVQNVCYIDKRMSSRSEAKCPGPVNKERPKICAEKTVDSLNYIGE >ORUFI03G07940.1 pep chromosome:OR_W1943:3:5367779:5368773:-1 gene:ORUFI03G07940 transcript:ORUFI03G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSLVLFAAVAVFGAACTAAAGDESWKTIDANDRHVQDVALWAVAETDWASATGGLTLNTVDGAEKRFEAGVNYYRLTLEASSRVVAKYLRFQAVTKR >ORUFI03G07950.1 pep chromosome:OR_W1943:3:5371760:5372113:-1 gene:ORUFI03G07950 transcript:ORUFI03G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRTSSLLLAAVAVVAIVAGATAATVGSWEPVDINDPHVQELGRWAVAEEDRGVAAGGLTFERVTDGEKQVVAGVNYRLTLEASSSGAKDGRYEAVVYEQDPRSNARKLVSFEPIH >ORUFI03G07960.1 pep chromosome:OR_W1943:3:5373969:5374310:-1 gene:ORUFI03G07960 transcript:ORUFI03G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTRTLLLAAVCAAAALPRGWSPIKNIDDPHIQELGRWAITENNRVSPSDELTFHRVTGGEQQVVSGMNYRLEIEAASGGGDVTGSYGAVVFEQEWSNTRKLISFDKNHNF >ORUFI03G07970.1 pep chromosome:OR_W1943:3:5376572:5378289:-1 gene:ORUFI03G07970 transcript:ORUFI03G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDTRPLGSVRAALTHFQQRADHHSRFSPDRNLQEIEILTKELASCRMQLEVKENEKIQANLKLESLQNAMQESSDNRMIARQQSEASEECKALRDELTVVRGELDAVRSSNSFLLREIELMETRMILEKESIRDSLNHVLQINESVLSSAVAAIRAEEERSVFFQEITLEFLSSDKNREVIDRQVEMIKNLESELMAKTVEIAYLQSQLQQVKEHCISSEIIAGNQEQQAEASLTLGNGDAEAVVVAGGGFVAVISKEDDGGGEEFYTKEIEHDQQQAAGAAGLAVADGYVLVAKSDGGDADLKGKLEAARAEIGDLRFSLEEAVRRAELAEEAKAALERALREEIQRKAQPRNTPSLTTTTTTPAKPPLTGPRGGDGRPLPGGCLTLGKVLNMKYK >ORUFI03G07980.1 pep chromosome:OR_W1943:3:5379556:5385606:-1 gene:ORUFI03G07980 transcript:ORUFI03G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDQGVAAAAASENPSAGQGAHDSGSAGAGAAASSIASFDSATANPDANVYSQNPSSVPQADGAQGADASVYPADHAPLNGTSGQVVDYQSAGAAENGAATNEMGEPVPEQSYADAVLSAEEARLWNAVTANCLDFNAWTALIDETEKTAESNILKLRKVYDAFLAEFPLCFGYWKKYADHEARLDGVTKVIEVYERAVLAVTYSVDIWYNYCQFAISTYEDPDIIRRLFERGLAYVGTDYRSNILWDEYIKYEESLQAWSHLAIIYTRILEHPITQLDRYFHCLKELAATRSLSEILTSEEAAMYSVTAENTAQTLDGETQPGDVDMSAQPEISGSTEADNLAKYVSVREEMYNKAKEYESKIIGFELAIRRPYFHVKPLDNPELENWHNYLDLIEKEEDINKVIKLYERCVIACASYSEFWIRYVLCMEARGSIELANNALARATHVFVKKQAEIHLFSARFKELSGDVSGARVEYQHLYSDLYPGLLEAIVKHSNMEHRLGDKESACSIYEKAIAAEKEKDRSQILPTLLIQYSRFLSLAIGDIEKAKETLTGFLEQCDLTKSIIEAIMQLESILPSEKRIEFLDSLVEKFLTAEPTEGEVTSLADKEDISSIFLEFLDLFGDAQAIKKATNRHLTHFSRKRSMLSSKKRRADDVIMSDRDKLARIGDGTQPVVGTDPNAHNPPVWPATSEASGQQWGAAYAPQATYPAYGTYDYSHQMPQSAPQAAAYGAYPPTYPAQAYTQQTYAQPSAMAVAAPAPAVAPAAAYPQQPVAAQQPYYGTGTYY >ORUFI03G07990.1 pep chromosome:OR_W1943:3:5385645:5387532:-1 gene:ORUFI03G07990 transcript:ORUFI03G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKLSAAVTENASHRSNSNPNPPPPPRLAGAEALARSSATPRHLILPDARASARRLRQLFPP >ORUFI03G08000.1 pep chromosome:OR_W1943:3:5388453:5391337:1 gene:ORUFI03G08000 transcript:ORUFI03G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALTKIGSFTISRKAKQELSAIGGDISRLSSTVEEKAKWVFDKLKGKPNKSLSDLLREYNLPPGLFPRNIICYEYDQTSSKLVVHLSKPCEVSFKDSSVIRYAPRVKVTLSRGKLSAIEGMKTKVVVWVKVASISLESFRSDKICFIAGVKKLRQKDAYEVPREGIAVEEF >ORUFI03G08010.1 pep chromosome:OR_W1943:3:5393728:5394903:-1 gene:ORUFI03G08010 transcript:ORUFI03G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDGGGDGHRGRPPPQQHRPSSGGGGGGGSGDLASSAKLVAEAAKSVFQDHNLEKVDKGRVAGAAAELLHAASQYGKLDGKPAGNYLEKAEEYLHQYGRKEGSAGSGGGGKYQDEGGEGKYKKKPGHGGGRYEEEEEEDYKKKPTSGGGGGYGGGRYEEEDNYKKKPTSGGGGYGGGMYEEEDDYKKKPSSGGGGGYGGGRYEEEDEYRKKPSGGGYGGGRYEEEEDDYRKKPSAGAGGYGGGGRYEDEYKKKPGGGHGGGRYEEDDEYNKKPSGGYGYGASSGGGHGGRYEEDDYKKKPSAHSGGGGGRYEEEEGYKKPSGHGGGRYGKEEEEDDKKKKKHGEGSEGGMGDYLKLAQGLMKKQGGEGESGGGGMGDYLKLAEGFLKKR >ORUFI03G08020.1 pep chromosome:OR_W1943:3:5396345:5398309:1 gene:ORUFI03G08020 transcript:ORUFI03G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRGLVAASPVTAPRRRGRCSAATAATSAPEKTAGHVGRLPLAIVPAAAASLSLVLWSSPAENQKKYAEFDSRFKSSQVLKDLLEKSKQNKLKNEREIQDKYCLRGAEWGVGDCSTEGMSDQEKEDFIAELKKRTGQE >ORUFI03G08030.1 pep chromosome:OR_W1943:3:5399109:5407736:-1 gene:ORUFI03G08030 transcript:ORUFI03G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVPNGLLPNASAGVTRRLDGERWAAAEVRTAELIARIQPNADSERRRRAVYDYVRRLITNCLSCQVFTFGSVPLKTYLPDGDIDVTAFSDSEELKDTWANLVRDALEHEEKSENAEFRVKEVQYIQAEVKIIKCLVDNIVVDISFNQVGGLCTLCFLEEVDALISQNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHVFNNCFTGPLEVLYRFLEFFSNFDWEKFCLSLSGPVPISSLPDMTAEPPRMDAAELLLSKSFLDKCSYAYAVTPRIQESQGQQPFVSKHFNVIDPLRTNNNLGRSVSKGNFFRIRSAFSFGAKRLAKLLECPKEDLIAEVNQFFTNTWIRHGSGNRPDAPTLGLVHQHHLKVVPAEASNSQRSAMALKKNAENPNIRANQDNLSENANSYPEATSQPLQRSVLHPRNSLRTVNPSDSHAHHQKVHVTHANTKVSEQLERNSSDGSMQNERNKTVPNSLFVNDRNGQNRSRFARTRSSPELTDPSVEGYSRGRRTGVVEMDKSLKVDYNSRRNNLAPEVSSSHITKSSQDESVSSMNNSSHYSGKAASDSNSVSSSYREDNGFIMNEELPSVSEASDKQQEEQVLVNLMSSAKLHDFNGQVQLPIEMPPHFSVAPSPLLAPAAFPPKHFAGIPPTSLIGAPWSNMHLIHGYVSPPMAHYVQNHTFAPNIEEGNESEKPITPDASRDDGNNWHEYGVGFPRYFNHQGRDPQMRHFNGKEHSSSPNSVSGAPFERQGEIAVEDNGAVEENYTNMFQNQTSRQASINTRIGSGNARIPSSQSGLSRNKAMPENSWGESAGNTTRSLRDKWGKRPAFAAPDTTTHSKNNTGWQTGNASEHIPPEVDDGARNGVIVPNIRHEASDIITGSGSTASRTSQVPNDFEPSQIGMPNPLFAPLFIGSPQQRQSGNGGLTFIQTGPAVPFLMLPYAPGNDGSVPQFERNEGVDQLPVNIAVQNFSSLNNVHHPDINATSTASSSTAGDPSEEQKPDILNSDFDSHWCNLQYGRSCQNPRPMNPVLYPFAVPPMYLQGHVPWDGPGRPASTNVNWTQMVPPSQRIYPMMPLQPSSERITGGPQHHTEDAPRYRGGTGTYLPNPKVPFKDRHSGSRNHRGNYNTDKGDHNDKEGNWINSKQRNPGRSYGRSHSERSGIRSDRQAADENQYDRQRRSYRNDSYRHETGAQSSGSTNYIRRPGNMTHGDPSPSASNGIGALSGSSAPYFMYYSCEPGTNHGSSSEPLEFGSLGPVPTADGGDMPRPTRQAMPNEFYGQRHVAFRGGSSHSSPDQPSSPQTRSPF >ORUFI03G08040.1 pep chromosome:OR_W1943:3:5414272:5416213:1 gene:ORUFI03G08040 transcript:ORUFI03G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLIRRTPNPAIQLLLGRLTRSHSSHAAAAAAAAVAVIRGSGTTPIRITPRLLHRVRAHQLRLDRARRSRGQHLRCPWAPGLGLPAAGRPHRPQQLRVQHYSSASATSQQAQQHQPIATEQFSLHSLHFAIVNI >ORUFI03G08040.2 pep chromosome:OR_W1943:3:5414401:5416213:1 gene:ORUFI03G08040 transcript:ORUFI03G08040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWPANAVAKAVPSPSTPPPPHSRGAGRRRLRPCGAKKGPGTDERGATAGGGGVVTRGALLRSGAALFALGFVDAGYSADWSRIGAISKDTEEALKLAAYAVVPLCLAVVFSPSSEDGSNNT >ORUFI03G08050.1 pep chromosome:OR_W1943:3:5419111:5419893:1 gene:ORUFI03G08050 transcript:ORUFI03G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFASNAIAGSIRKKAQPSKCSQSNPDCSDDDVSSCASREEGLECPICWESFNLVENVPYVLWCGHTMCKNCILGLQWAVVKFPTLPIQLPLFISCPWCNLLSFRLVYKGNLKFPRKNYFLLWMVESMNGERAKFHSPSHEERHSLCPSGGGPSSSQHHRRPAPRAESASGRERSVAGNVFNTYSISASLQKIMLSFVQMTAKFPLVIIFLLIVLYAVPASVAVLVLYVLVTVLFALPSFLILYFAYPSLDWLVREIFN >ORUFI03G08060.1 pep chromosome:OR_W1943:3:5420913:5422037:-1 gene:ORUFI03G08060 transcript:ORUFI03G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRHRSDDDGGELESRRPHSRHRRRRRRRHLYVVLDDWSKGYSVYKVDVDGFDGDPDADLDDEAVRLPEPPVFRLETADYGRFGIFVAVGSRIFATHYSEDTNARAPVLMFDTVTGSLAVCPGVPAELCNQPMIFPAGDKVYAMGRSKIKMDARGESRKYLEELAADGEGSWAWSSSVDDRAPPPPFDVGRARCHAAHPDGRTVFFSAHGDGTYSFDAGTRGWTRHGEWMLPFDGQAYYDGELDAWVGLWSGHSGRRGRVCSCDVVDPRGGGGGEQPPPAWKLAVRSHPAWRARSRFLSVALARMGGGEFCVVEWRSRRGVSEEELHERCLLYATTFRLRYDRDGSLEATDRRARAFTARKKSDEFEWCAFGI >ORUFI03G08070.1 pep chromosome:OR_W1943:3:5425419:5425913:-1 gene:ORUFI03G08070 transcript:ORUFI03G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQPPQPAVDDDVLGAPLLPAMEVFITDAVVDLLAAGHGGCRRNRTPFRLHRTPLPLSLSLTVLAAELLAVAASLTAAATGSGEQWATAMGRAAVPCDLGEESKV >ORUFI03G08080.1 pep chromosome:OR_W1943:3:5426841:5431811:1 gene:ORUFI03G08080 transcript:ORUFI03G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLERRNSFGGSTPTSSASGSRKDEKTTPHYLRASTGSCHNLCKYGHKNPFEEEKKLSTSGGRRKKLPSHLNNLTLHRSAILDRSKDVRQRNLSLAKSSISLGESDRIAPKKTQANLKGSSDHLITRTSSSADHKNVNLDGRKKHLTVAQKTSADSGSSNGVPKFDKKSAMPVKGTIVPAKLKLAEMPQLEESRTMEKVTTVKQSSIKRPTSLPTKLNLIKQVPVPSQVSSHLLSSKAKRTVKGELTSSPATVTGVRRSNSDKTGRSSMNSSKPSINGKEGLHMARSSFSVESKMDVSVVIQEHDVQDSFIRGLHVESTLAGVSSDTTECVDESRSAPEEIIRPVSGDDGMESNRKNEASGGNEEPLQSSIVTGLLQSSDDQQLKNALSNLEAEENQTDDASPCQLSKNSIAVENAVLGDSLSTENSSEIEADGVKANASMESQDAEGNEEEEAHEGLQESIEQLALGEKHAKEPGSFLGSTSGNTVEDVKADEIFEGWTNNSPSHCQPISETSSDGELLGEPKSVQIQPSDSTLQTDGLVISSTGNTFEQDELKPGFFLQQSPEELSEDEFYEEYDFELSELDESGTEDEGPTINKNSYDHLKADGQRPKRISALEQDDDSATPYKLKFKRGKIVELQPDSNGPRKLKFRRRSASEFSSSEGLSARRIYKMNSTIDAGPSNLDVESPGVKLRHQDTQEKKDAQGLFNNVIEETASKLVESRKSKVKALVGAFETVILLQDGKPASTPHAGNSPHLFHDDEGNASEQTA >ORUFI03G08090.1 pep chromosome:OR_W1943:3:5433924:5435985:-1 gene:ORUFI03G08090 transcript:ORUFI03G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDLKKLRVRFPGLGKGNKGGRQAPTILQEEDTSLQRAPMNSSDGYDAAFAAAIAAAAYAIASQEEKLAAQKKHVPIQGQSTTPPVQSPVKRGESMKKPTGGSKISRWFSGKEPAEDNDDGPANVSVRRPLKPAQRKQEDIASDQKVPPKMVDSSLSAKKGSGSSSKLQDKKGSKKFEQEQVIHKTPSTTRPATSYHSRRNGDGTVGLTAVGPADTKTNEWEKAKLASITEEYKNMMDTIAEWENEKKVKAKRQKEQKEKVLDQKRAKALEEYSQEITRINKIAGGARTMAEERKYNDEKRIKEKANKRRLSEKAPRACACF >ORUFI03G08100.1 pep chromosome:OR_W1943:3:5437358:5440520:1 gene:ORUFI03G08100 transcript:ORUFI03G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVWSGCSTSFSQELPPHPRGRRGGDGARIHPWSGGAGRDATTRHAEAARTVVVFARGRVRVCRAAAPCVLEPDVAGKEEVGVAVWGMDDEPPVADGHRRHGLRRRPVRPAAVEEGPVAAARSAASASASAAGSKSEVGGSRLHFLEERDEEMLSRRLIKLSQSNKVRSATELFDSMRASGLQPSAHACNSLLACFVRRGSFADAMKVFEFMKGKGMATGHSYTLILKAVATTEGYFAALKMLDEIEESDKKNVDVIVYNTVISVCGRAKDWRQVERLWRRLGENSLSGTLMTYDLLVSTFVQCGQSELAVDAYQEMFKSGIDPSEDILKAIIASCTKEGKWKFALTTFRRMLSAGMKPSIIVFNSIINSLGKAGEDELAFRMYHLLTSSGLKPDQYTWSALLSALYRSGRCWDVLDLFQGIKTKHSALLNNHLYNIALMSCERLGQWEHGLQLLWMMERGGLQISAVSYNHVIGACEVARMPKVALKVYRRMTHRGCSPDTFTHLSVIRACIWGSLWNEVEDILEEVAPDSSVYNTVIHGLCLRGKIRLARKVYTKMRSIGLKPDGKTRSFMLQNLATDY >ORUFI03G08110.1 pep chromosome:OR_W1943:3:5442870:5447504:1 gene:ORUFI03G08110 transcript:ORUFI03G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLLLLVFICLHALLWAASAQQPEEATVIVKGSTKIAETNKNYICATIDWWPPEKCNYNQCPWGQSSILNLDLDHPFLAQAIQAFDNLRIRLGGSLQDRVVYDVGTNSPCAPFTNMSNGLFGFSDGCLSMDRWDKLNALFQKTGAIITFGLNALYGRYNVRRSFWAGKWNSTNAYNFVKYTISKGYPVDSWEYGNELSGHGIGARVDATLYGKDAIELKSIFQQLYKAPLSQPSLLAPGGFFDQQWYTQLLQTSGHGVVSALTHHIYNLGGGNDAHLIRKILDPKYLDRSEDTYRDMQLTLQRHGTWASAWVSESGGVFNNGGELVSNTFINSIWYLDQLGMASKYNTKIFCRQTLIGGHYGLLDTQTFLPNPDYYSALLWHRLMGREVLSVDINAPRKLRAYAHCRKQQQGITLLLINLSNTTGYNVTLQNDINVSLDKTASLHKHNSFSHSLRRAVSWLGRKPSSDVARREEYHLTAKDGDLQSKTMLLNGAPLELSDDGGVPAMSPALVAVNSPVYLAPTSIAFVVLPMFEAKACS >ORUFI03G08110.2 pep chromosome:OR_W1943:3:5442870:5447487:1 gene:ORUFI03G08110 transcript:ORUFI03G08110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLLLLVFICLHALLWAASAQQPEEATVIVKGSTKIAETNKNYICATIDWWPPEKCNYNQCPWGQSSILNLDLDHPFLAQAIQAFDNLRIRLGGSLQDRVVYDVGTNSPCAPFTNMSNGLFGFSDGCLSMDRWDKLNALFQKTGAIITFGLNALYGRYNVRRSFWAGKWNSTNAYNFVKYTISKGYPVDSWEYGNELSGHGIGARVDATLYGKDAIELKSIFQQLYKAPLSQPSLLAPGGFFDQQWYTQLLQTSGHGVVSALTHHIYNLGGGNDAHLIRKILDPKYLDRSEDTYRDMQLTLQRHGTWASAWVSESGGVFNNGGELVSNTFINSIWYLDQLGMASKYNTKIFCRQTLIGGHYGLLDTQTFLPNPDYYSALLWHRLMGREVLSVDINAPRKLRAYAHCRKQQQGITLLLINLSNTTGYNVTLQNDINVSLDKTASLHKHNSFSHSLRRAVSWLGRKPSSDVARREEYHLTAKDGDLQSKTMLLNGAPLELSDDGGVPAMSPALVAVNSPVYLAPTSIAFVVLPMFEAKAYFE >ORUFI03G08110.3 pep chromosome:OR_W1943:3:5442870:5447635:1 gene:ORUFI03G08110 transcript:ORUFI03G08110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLLLLVFICLHALLWAASAQQPEEATVIVKGSTKIAETNKNYICATIDWWPPEKCNYNQCPWGQSSILNLDLDHPFLAQAIQAFDNLRIRLGGSLQDRVVYDVGTNSPCAPFTNMSNGLFGFSDGCLSMDRWDKLNALFQKTGAIITFGLNALYGRYNVRRSFWAGKWNSTNAYNFVKYTISKGYPVDSWEYGNELSGHGIGARVDATLYGKDAIELKSIFQQLYKAPLSQPSLLAPGGFFDQQWYTQLLQTSGHGVVSALTHHIYNLGGGNDAHLIRKILDPKYLDRSEDTYRDMQLTLQRHGTWASAWVSESGGVFNNGGELVSNTFINSIWYLDQLGMASKYNTKIFCRQTLIGGHYGLLDTQTFLPNPDYYSALLWHRLMGREVLSVDINAPRKLRAYAHCRKQQQGITLLLINLSNTTGYNVTLQNDINVSLDKTASLHKHNSFSHSLRRAVSWLGRKPSSDVARREEYHLTAKDGDLQSKTMLLNGAPLELSDDGGVPAMSPALVAVNSPVYLAPTSIAFVVLPMFEAKACS >ORUFI03G08110.4 pep chromosome:OR_W1943:3:5443810:5447635:1 gene:ORUFI03G08110 transcript:ORUFI03G08110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLLLLVFICLHALLWAASAQQPEEATVIVKGSTKIAETNKNYICATIDWWPPEKCNYNQCPWGQSSILNLDLDHPFLAQAIQAFDNLRIRLGGSLQDRVVYDVGTNSPCAPFTNMSNGLFGFSDGCLSMDRWDKLNALFQKTGAIITFGLNALYGRYNVRRSFWAGKWNSTNAYNFVKYTISKGYPVDSWEYGNELSGHGIGARVDATLYGKDAIELKSIFQQLYKAPLSQPSLLAPGGFFDQQWYTQLLQTSGHGVVSALTHHIYNLGGGNDAHLIRKILDPKYLDRSEDTYRDMQLTLQRHGTWASAWVSESGGVFNNGGELVSNTFINSIWYLDQLGMASKYNTKIFCRQTLIGGHYGLLDTQTFLPNPDYYSALLWHRLMGREVLSVDINAPRKLRAYAHCRKQQQGITLLLINLSNTTGYNVTLQNDINVSLDKTASLHKHNSFSHSLRRAVSWLGRKPSSDVARREEYHLTAKDGDLQSKTMLLNGAPLELSDDGGVPAMSPALVAVNSPVYLAPTSIAFVVLPMFEAKACS >ORUFI03G08120.1 pep chromosome:OR_W1943:3:5449025:5454171:-1 gene:ORUFI03G08120 transcript:ORUFI03G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRISPSMRSITISSSNGVVDSMKVRVAPQPPPPPPPLALQGVVTPGAGRRGGGGGGGGGGGGWWGAGWYWRAVAFPAVVALGCLLPFAFILAAVPALEADGSKCSSIDCLGRRIGPSFLGRQGGDSTRLVQDLYRIFDQVNNEESPDDKRIPESFRDFLLEMKDSHYDARTFAVRLKATMENMDKEVKKLRLAEQLYKHYAATAIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSDNSFQHYILASDNILAASVVVSSTVRSSSVPHKVVFHVITDKKTYPGMHSWFALNSISPAIVEVKGVHQFDWLTRENVPVLEAIENHRGVRNHYHGDHGAVSSASDSPRVLASKLQARSPKYISLLNHLRIYLPELFPNLNKVVFLDDDIVIQRDLSPLWKINLEGKVNGAVETCRGEDNWVMSKRFRTYFNFSHPVIARSLDPDECAWAYGMNIFDLAAWRKTNIRETYHFWLKENLKSGLTLWKFGTLPPALIAFRGHLHGIDPSWHMLGLGYQENTDIEGVRRSAVIHYNGQCKPWLDIAFKNLQPFWTKHVNYSNDFIRNCHILEPQYDKE >ORUFI03G08120.2 pep chromosome:OR_W1943:3:5449023:5452875:-1 gene:ORUFI03G08120 transcript:ORUFI03G08120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSHYDARTFAVRLKATMENMDKEVKKLRLAEQLYKHYAATAIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSDNSFQHYILASDNILAASVVVSSTVRSSSVPHKVVFHVITDKKTYPGMHSWFALNSISPAIVEVKGVHQFDWLTRENVPVLEAIENHRGVRNHYHGDHGAVSSASDSPRVLASKLQARSPKYISLLNHLRIYLPELFPNLNKVVFLDDDIVIQRDLSPLWKINLEGKVNGAVETCRGEDNWVMSKRFRTYFNFSHPVIARSLDPDECAWAYGMNIFDLAAWRKTNIRETYHFWLKENLKSGLTLWKFGTLPPALIAFRGHLHGIDPSWHMLGLGYQENTDIEGVRRSAVIHYNGQCKPWLDIAFKNLQPFWTKHVNYSNDFIRNCHILEPQYDKE >ORUFI03G08130.1 pep chromosome:OR_W1943:3:5460519:5464137:-1 gene:ORUFI03G08130 transcript:ORUFI03G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPRFLPVRPLFLLLLLLVLAGVASGKTVKRDVKALNEIKSSLGWRVVYSWVGDDPCGHGDLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLKRFNPLNHVNNDYSNYRDLHNNKLTGPISPQIGRLKHLRILNLRWNKLQDVLPPEIGELKKLTHLYLSFNNFKGEIPVELANLPELRYLYLHENRFTGRIPPELGTLKNLRHLDVGNNHLIGTLRDLIGNGNGFPSLRNLYLNNNDLTGVLPDQIANLTNLEILYLDNNNFIGRIPEGLYKHPFLKELYIEGNQFRPGSKSKGTHKVLELPDADILV >ORUFI03G08140.1 pep chromosome:OR_W1943:3:5466926:5468918:1 gene:ORUFI03G08140 transcript:ORUFI03G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQEDSADDLPSRELAGPFAVAVDLLAPLFADLLRRRPADAVVFDGVLPWAATAAAELRVPRYAFTGTGCFALSVQRALLLHAPQDGVASDDEPFLVPGLPDAVRLTKSRLAEATLPGAHSREFLNRMFDGERATTGWVVNSFADLEQRYIEHYEKETGKPVFAVGPVCLVNGDGDDVMERGRGGEPCAATDAARALAWLDAKPARSVVYVCFGSLTRFPDEQVAELGAGLAGSGVNFVWVVGGKNASAAPLLPDVVHAAVSSGRGHVIAGWAPQVAVLRHAAVGAFVTHCGWGAVTEAAAAGVPVLAWPVFAEQFYNEALVVGLAGTGAGVGAERGYVWGGEESGGVVVCREKVAERVRAAMADEAMRRRAEEVGERARRAVEVGGSSYDAVGALLEDVRRRRRRREMAADPRNVKEV >ORUFI03G08150.1 pep chromosome:OR_W1943:3:5470085:5473707:-1 gene:ORUFI03G08150 transcript:ORUFI03G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLLLSPHPIHHDQEEAALLLHLRHNRRRRHGGCPRCFRFRRQGKRQESSTHTSTSGPPRGRSIPVQEQAYGEGHDDITIIECLTRALSRGRRGAPALLLLSPASPSPEPPSAKPGGCKDGGGGAPSPSLVVKPYGTPARQELRLGAGDEEKRPAAARSGAVEAESGPPWRDLLGGGVGRWYFHSLLVTTFPCRHARGTLPFGAAFWIPRLLEDLFVVDLGS >ORUFI03G08150.2 pep chromosome:OR_W1943:3:5470085:5473707:-1 gene:ORUFI03G08150 transcript:ORUFI03G08150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLLLSPHPIHHDQEEAALLLHLRHNRRRRHGGCPRCFRFRRQGKRQESSTHTSTSGPPRGRLRSAPALNPAVAEGGSHTNMRYRGCSATAIHSCSGAGIRGLTRALSRGRRGAPALLLLSPASPSPEPPSAKPGGCKDGGGGAPSPSLVVKPYGTPARQELRLGAGDEEKRPAAARSGAVEAESGPPWRDLLGGGVGRWYFHSLLVTTFPCRHARGTLPFGAAFWIPRLLEDLFVVDLGS >ORUFI03G08160.1 pep chromosome:OR_W1943:3:5473722:5477471:1 gene:ORUFI03G08160 transcript:ORUFI03G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSSRSADSPASRVTRWRSTGIVALRDARLKVVPNEVLQVGNSLRILDLTNNKIAEIPQEVGTLVNMQRLVLAGNLVESIPANIGYLRNLKILTLDRNKISVLPEELGSLSNLQQLSISQNSLSRLPKSVGDLRNMLLLNVSDNKLIALPESIGGCSSLEELQANGNSIEDVPSSICNLVCLKSLSLNGNKIRQLPQNLLKDCKALQNISLHDNPISMDQFQQMDGFTEFEARRRKKFDKQIDSNVMMSSTALDEGIDLN >ORUFI03G08170.1 pep chromosome:OR_W1943:3:5478246:5480003:1 gene:ORUFI03G08170 transcript:ORUFI03G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQMANLRLTDFEFFRIILPGSSKTKLKLPYKFARELGDRELREARLRVAGEGRRPWDVKVFDDDVSGDVYLGRGWQEFARAHDLRDGHFLVFRYDGAAAFTVTVFDETMCRRDYRLHHDAAGTGSSSSSDSSDAAAAAAVATAAAEGVGDVALSQFAVTLRQCNLEDKQAQYLNVPMEFQEAHEYARREKVVLRMRGEAWTVRLKHSRRERGQRTAFRYGWHRFCVDNGLAVGDTCFFRVLREGDLRRGGAADDHVLKVAVRKADGTTLE >ORUFI03G08180.1 pep chromosome:OR_W1943:3:5480744:5483931:1 gene:ORUFI03G08180 transcript:ORUFI03G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQTSLGTPVYRTNPFDSDSDSEVPSRPSRAQSVPVRRTDQSIQELEDYAVDKVEETSRKVNDCVRAAEAIREDATKTLVTLHRQGEQITRTHRVAADIEHDLSMSEKLLGSLGGLFSKTWKPKRNQQIKGPISQNNSFTSSANHMEQRQRLGISSTRQPSPNQVHRSPATAIEKVQVEIAKQDDALSDLSNMLGELKGMALDMGTEIERQNKSLDAFGDDVDELNFRVKGANQRGRRLLGK >ORUFI03G08180.2 pep chromosome:OR_W1943:3:5481872:5483931:1 gene:ORUFI03G08180 transcript:ORUFI03G08180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQTSLGTPVYRTNPFDSDSDSEVPSRPSRAQSVPVRRTDQSIQELEDYAVDKVEETSRKVNDCVRAAEAIREDATKTLVTLHRQGEQITRTHRVAADIEHDLSMSEKLLGSLGGLFSKTWKPKRNQQIKGPISQNNSFTSSANHMEQRQRLGISSTRQPSPNQVHRSPATAIEKVQVEIAKQDDALSDLSNMLGELKGMALDMGTEIERQNKSLDAFGDDVDELNFRVKGANQRGRRLLGK >ORUFI03G08190.1 pep chromosome:OR_W1943:3:5484082:5484411:1 gene:ORUFI03G08190 transcript:ORUFI03G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTTKPCGADRDVGVISPPGPVDGRGGGGRWRRSALYDSFELNAMVVRLNRLLASGSGDGGGAGGGGGAAAAARARRAGSWVAAVPKAVLSMVKRALRGRGRQGDGW >ORUFI03G08200.1 pep chromosome:OR_W1943:3:5485140:5489139:1 gene:ORUFI03G08200 transcript:ORUFI03G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTATARTPTKAAAAAAGGRAAGNTTPSSKPASRARLSHASSENAHPNIPAAAGTPSKNPTLARLSHASSENAHPNILGSPPPSKPAKSPTTASKSASASASARKKISTPAPPPPPRERRFLVAKKRARRRRNGANGGGGGGGGGDFDFDKCREAAREALRTSHEEFFRKERAASAAAAEEQLQKEEEEEEEKAAAQEAKKGALETLEEEDVAELEGSSKVRALRTKVMTKALSSVPDSGAGRVKHLVQAFESILSISGATSDADRAGEGSWALPGLQAWKEDCEGKIGMPPVSVSSSAEFLNAGPNRLCSSLDGKSDRLSWDSRTSAGGCRSRRNTSESLRSSWNKKLKVTSQHPFKLRTEQRGRVKEQQFIQKVQEMLMEEEQQRIHIAQGLPWTTDEPECLIKPPVKETTEPVDLVLHSDVRAIERAEFDQYVSERNKFAEQLRLERERQQKLEEEEMIKQLRKELVPKAQPMPYFDRPFIPKRSAKPATVPKEPKFHPRPEKQSCLCRQRCMDTGMLISSCLHDQSTVLAEKSKALPRMF >ORUFI03G08200.2 pep chromosome:OR_W1943:3:5485140:5489139:1 gene:ORUFI03G08200 transcript:ORUFI03G08200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTATARTPTKAAAAAAGGRAAGNTTPSSKPASRARLSHASSENAHPNIPAAAGTPSKNPTLARLSHASSENAHPNILGSPPPSKPAKSPTTASKSASASASARKKISTPAPPPPPRERRFLVAKKRARRRRNGANGGGGGGGGGDFDFDKCREAAREALRTSHEEFFRKERAASAAAAEEQLQKEEEEEEEKAAAQEAKKGALETLEEEDVAELEGSSKVRALRTKVMTKALSSVPDSGAGRVKHLVQAFESILSISGATSDADRAGEGSWALPGLQAWKEDCEGKIGMPPVSVSSSAEFLNAGPNRLCSSLDGKSDRLSWDSRTSAGGCRSRRNTSESLRSSWNKKLKVTSQHPFKLRTEQRGRVKEQQFIQKVQEMLMEEEQQRIHIAQGLPWTTDEPECLIKPPVKETTEPVDLVLHSDVRAIERAEFDQYVSERNKFAEQLRLERERQQKLEEEEMIKQLRKELVPKAQPMPYFDRPFIPKSNSSKGTKISSSAGKTVMRCMDTGMLISSCLHDQSTVLAEKSKALPRMF >ORUFI03G08210.1 pep chromosome:OR_W1943:3:5489755:5494560:1 gene:ORUFI03G08210 transcript:ORUFI03G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRLLSAAVRRRSAAAAAAAGPGNAREASTAVAAAGPGVIAPDAAPVRPPMMVYDRIAEAVNARLRRLEHPDPRFLRYASPVPAHADHTAILAAPETRVTTLPNGLRVATESSLASRTATVGVWIDAGSRYETEDSAGVAHFVEHMLFKGTGDRNAAQLEEEIENIGGHLNAYTSREQTTYYAKVLDKDVPRALNILADILQRSKLEESRIERERDVILREMEEVEGQYEEVIFDHLHATAFQYTSLGRPILGSAENVKSITQEDLQKYIETHYTAPRMVITAAGAVKHDDIVEMATKLFNDLPTDPTTTSMLVSTQPACFTGSEVRIIDDDMPLAQFAVAFNGASWVDPDSIALMVMQSMLGSWNKSAGGGKHMGSELVQRVAINDIAESIMAFNTNYKDTGLFGVYAVAKPDCLDDLAFAIMQEISKLSYRVTEEDVIRARNQLKSSIQLHLDGSTAVVEDIGRQLLIYGRRIPIPELFARIDAVDASTVKRVANRFIFDQDIAIAAMGPIQGLPDYNWFRRRTYMLRY >ORUFI03G08220.1 pep chromosome:OR_W1943:3:5496280:5502808:-1 gene:ORUFI03G08220 transcript:ORUFI03G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIKSSSGRCSTARLEAVAVLVVVFGVASSSLRGCIAQQSGGGLTRGSFPEGFVFGTASAAYQYEGAVKEDGRGQTIWDTFAHTFGKITDFSNADVAVDQYHRFEEDIQLMADMGMDAYRFSIAWSRIYPNGVGQVNQAGIDHYNKLIDALLAKGIQPYVTLYHWDLPQALEDKYKGWLDRQIVDDFAAYAETCFREFGDRVKHWITLNEPHTVAIQGYDAGLQAPGRCSVLLHLYCKAGNSGTEPYVVAHHFILAHAAAASIYRTKYKATQNGQLGIAFDVMWFEPMSNTTIDIEAAKRAQEFQLGWFADPFFFGDYPATMRARVGERLPRFTADEAAVVKGALDFVGINHYTTYYTRHNNTNIIGTLLNNTLADTGTVSLPFKNGKPIGDRANSIWLYIVPRGMRSLMNYVKERYNSPPVYITENGMDDSNNPFISIKDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWAAGYSSRFGLYFVDYKDNLKRYPMNSVQWFKALLKT >ORUFI03G08230.1 pep chromosome:OR_W1943:3:5512185:5514270:1 gene:ORUFI03G08230 transcript:ORUFI03G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYGGAGLGCDITAGKQPARWVAMEAFYSEISSGWYRFIPDDVDDDGVPPPPGETKERSECPHNETSERSRRQGGNGRRRLTLAPSWTTSSKGLAASLSPAKSSSEECERACFRLGRLVEAAAASATRPRAVHGSSAAFDQDASGDDSGHSLADLESADALSAEAVACLHALRAAVDHGFSHISVETGSVTLVNALESSCCDRTTAGVIFRQIKAMIHLDFVMITVSFTGRSCNNCAHELAYQGASWDPGHMSIWADPLPDFVQSLVVCDYTEPM >ORUFI03G08240.1 pep chromosome:OR_W1943:3:5517043:5520538:1 gene:ORUFI03G08240 transcript:ORUFI03G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRRYSGNFLVNLIGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >ORUFI03G08250.1 pep chromosome:OR_W1943:3:5521092:5527313:1 gene:ORUFI03G08250 transcript:ORUFI03G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATPTRPPHAAPSSSPSPSPASLRQWRPAAQRNLRNQWSRLLAAKARYMPGMDLGVLKDMPGIREKASGKLARREEQCQSMLLSAYREMVLATAELVRASHSMRCFSKVAANSPLIRFTERQDDMNDSGDGGGSPVFKWFSVLEFENLAQELVDMFISELQLKRLLVLELLSVTFKEGVQHDASLEWSNELFDGEFNEFQSIGLLSGDSYALPKNWSAGVSKAWQPDQTPSHEVLQVYLTSWLANVNIKTSRIDEIFELVGEEMQIKLS >ORUFI03G08250.2 pep chromosome:OR_W1943:3:5521092:5524085:1 gene:ORUFI03G08250 transcript:ORUFI03G08250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATPTRPPHAAPSSSPSPSPASLRQWRPAAQRNLRNQWSRLLAAKARYMPGMDLGVLKDMPGIREKASGKLARREEQCQSMLLSAYREMVLATAELVRASHSMRCFSKVAANSPLIRFTERQDDMNDSGDGGGSPVFKWFSVLEFENLAQELVDMFISELQLKRLLVLELLSVTFKEGVQHDASLEWSNELFDGEFNEFQSIGLLSGDSYALPKNWSAGVSKAWQPDQTPSHEVLQVYLTSWLANVNIKTSRIDEIFELVGEEMQIKLS >ORUFI03G08250.3 pep chromosome:OR_W1943:3:5521092:5527313:1 gene:ORUFI03G08250 transcript:ORUFI03G08250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATPTRPPHAAPSSSPSPSPASLRQWRPAAQRNLRNQWSRLLAAKARYMPGMDLGVLKDMPGIREKASGKLARREEQCQSMLLSAYREMVLATAELVRASHSMRCFSKVAANSPLIRFTERQDDMNDSGDGGGSPVFKWFSVLEFENLAQELVDMFISELQLKRLLVLELLSVTFKEGVQHDASLEWSNELFDGEFNEFQSIGLLSGDSYALPKNWSAGVSKAWQPDQTPSHEVLQVYLTSWLANVNIKTSRIDEIFELVGEEMQIKLS >ORUFI03G08250.4 pep chromosome:OR_W1943:3:5521092:5524085:1 gene:ORUFI03G08250 transcript:ORUFI03G08250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATPTRPPHAAPSSSPSPSPASLRQWRPAAQRNLRNQWSRLLAAKARYMPGMDLGVLKDMPGIREKASGKLARREEQCQSMLLSAYREMVLATAELVRASHSMRCFSKVAANSPLIRFTERQDDMNDSGDGGGSPVFKWFSVLEFENLAQELVDMFISELQLKRLLVLELLSVTFKEGVQHDASLEWSNELFDGEFNEFQSIGLLSGDSYALPKNWSAGVSKAWQPDQTPSHEVLQVYLTSWLANVNIKTSRIDEIFELVGEEMQIKLS >ORUFI03G08250.5 pep chromosome:OR_W1943:3:5521092:5524085:1 gene:ORUFI03G08250 transcript:ORUFI03G08250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATPTRPPHAAPSSSPSPSPASLRQWRPAAQRNLRNQWSRLLAAKARYMPGMDLGVLKDMPGIREKASGKLARREEQCQSMLLSAYREMVLATAELVRASHSMRCFSKVAANSPLIRFTERQDDMNDSGDGGGSPVFKWFSVLEFENLAQELVDMFISELQLKRLLVLELLSVTFKEGVQHDASLEWSNELFDGEFNEFQSIGLLSGDSYALPKNWSAGVSKAWQPDQTPSHEVLQVYLTSWLANVNIKTSRIDEIFELVGEEMQIKLS >ORUFI03G08260.1 pep chromosome:OR_W1943:3:5528660:5538142:1 gene:ORUFI03G08260 transcript:ORUFI03G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFHPTQHGGDSDFQVWQQQMMYKQLQEFQRQQQVQQLDHGARMQPSFGQFQAPAKPLPTDQLSAMTNEMPNNESTAYAWSHQLHGSSDPGLASNSQMLNPSSNTNWEQYGGAPGVANFVNGSMFSNTPIQPMRPMGLAAHQMNPSLYPINTTSRDGSGNQHSQFLGIPTDPRNAMARAAAHQSEKASMQFSSLMSEQGPSSSMQNFLGKVGDNIKVGTPVPVNHLQHGVQHQDFHGRPNQVDFQAGLREKSTMQVESGNGGASLDPTEEKFLFGNDEDSNWGALLRGGNDHGSSMDNDNFGGALPSLQSGSWSALMQEALQSTTSDNSPKEEWSGLSFQKQEQIIANNSTLQSHDQNKFSALSGANLENQRPSSASSYGDGTMHNPNFAGFQHAARTPYEQRDRMQHDSSNATGTNHQSTAGVNNGYFQQSMKQKQSDDYSRQEQMNASNGIWAHQKPEMPRNNSHSSGGHATPPSAHGFWMSQQNSIDHNISRESGSTQNDWKSKAPLVQDINSTPNVFNNDGSFWKSSGGNANSVHRPQQMKPDISTMQMPNDSSDGKSTSAMGSNMPTLNQDQYQSIIGRTGEHVGQNHNMGRKGPEITGSLGRGAEQKSNDHNQDYLNVLPTERQGHGSNHGQHVSSDFATRRHPFFAGKESQNLGQSGQQAMGSYMLQNHAMDNSGMNIRHSSGNPVPNQFPSQSHQLHNNLKPRFIPSSQASSNMASVNEKMLMREEQFKSRHVPNSSSSPPFGGSDAGLPQNRAVQNSQHMLQLLHKVDNSTDSNAAADMPNSSPDNTGTVQQQLNQSSLQGFGLRLAPPSQRQLTPGHVWSTRADVDGKQPEHSTKGEDQTQPSAASQSLPPGHPSSQPTPFNSSEIDSTGQQTGQFHQFGSGQQYPVSESRSGSVAMPQQGSSATVFKNVWTNISAQRLAGVQPNKITPNILQSMMFPNSAADTNLWGSQKADDQGQRASTPSDVATSSTNSQNQETKQGGDSDAGLASSEMVNLDSTGATMSRESIQKHSSDGNFAMHVSSLARLHQQGIMNPKQGENPAANFQAMKTSQNTAIGLHGSPTPSNIQQQNYSLLHQMQAMRHVDVDPANIAGKKLKSPETGSDASQVDWKSGQRFAHGTNNSVRSSVDNIGNANVPGPFPADMKMLSFAPRNNEDRGPSIPSQFPSREPPSQGMAVAAQTEQQTQVQPANASSDLIERSERPRINPQMAPSWFERYGNHRNGQNLSMFNLQKTPVPPYNVQKPSWNMDNNSAEQRIDSGQSVKPGHYISSKKMEVSVPSSIMQRRPKKRKSAESDLVSWHKLIEHPKTLRNMSTTEMDWAWAANRLMEKAEDDAENLEDVPVNYLWRKRLRLTTRLIQQILPAIPAKVLKAQAASAYEGVTYNIAMFTLGDACNMASYNSRTLTDHENNLALFGSSSEQTNAKKMEDRLSKVVEVFTGRIKKMENDFVSLNKRSSMLDVQLECQDLERISIVNRLGRFHGRNHAAGVEASSASEMIPRRIFPERHVMSFAVPGNLPEGVYCLSL >ORUFI03G08260.2 pep chromosome:OR_W1943:3:5528660:5538142:1 gene:ORUFI03G08260 transcript:ORUFI03G08260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFHPTQHGGDSDFQVWQQQMMYKQLQEFQRQQQVQQLDHGARMQPSFGQFQAPAKPLPTDQLSAMTNEMPNNESTAYAWSHQLHGSSDPGLASNSQMLNPSSNTNWEQYGGAPGVANFVNGSMFSNTPIQPMRPMGLAAHQMNPSLYPINTTSRDGSGNQHSQFLGIPTDPRNAMARAAAHQSEKASMQFSSLMSEQGPSSSMQNFLGKVGDNIKVGTPVPVNHLQHGVQHQDFHGRPNQVDFQAGLREKSTMQVESGNGGASLDPTEEKFLFGNDEDSNWGALLRGGNDHGSSMDNDNFGGALPSLQSGSWSALMQEALQSTTSDNSPKEEWSGLSFQKQEQIIANNSTLQSHDQNKFSALSGANLENQRPSSASSYGDGTMHNPNFAGFQHAARTPYEQRDRMQHDSSNATGTNHQSTAGVNNGYFQQSMKQKQSDDYSRQEQMNASNGIWAHQKPEMPRNNSHSSGGHATPPSAHGFWMSQQNSIDHNISRESGSTQNDWKSKAPLVQDINSTPNVFNNDGSFWKSSGGNANSVHRPQQMKPDISTMQMPNDSSDGKSTSAMGSNMPTLNQDQYQSIIGRTGEHVGQNHNMGRKGPEITGSLGRGAEQKSNDHNQDYLNVLPTERQGHGSNHGQHVSSDFATRRHPFFAGKESQNLGQSGQQAMGSYMLQNHAMDNSGMNIRHSSGNPVPNQFPSQSHQLHNNLKPRFIPSSQASSNMASVNEKMLMREEQFKSRHVPNSSSSPPFGGSDAGLPQNRAVQNSQHMLQLLHKVDNSTDSNAAADMPNSSPDNTGTVQQQLNQSSLQGFGLRLAPPSQRQLTPGHVWSTRADVDGKQPEHSTKGEDQTQPSAASQSLPPGHPSSQPTPFNSSEIDSTGQQTGQFHQFGSGQQYPVSESRSGSVAMPQQGSSATVFKNVWTNISAQRLAGVQPNKITPNILQSMMFPNSAADTNLWGSQKADDQGQRASTPSDVATSSTNSQNQETKQGGDSDAGLASSEMVNLDSTGATMSRESIQKHSSDGNFAMHVSSLARLHQQGIMNPKQGENPAANFQAMKTSQNTAIGLHGSPTPSNIQQQNYSLLHQMQAMRHVDVDPANIAGKKLKSPETGSDASQVDWKSGQRFAHGTNNSVRSSVDNIGNANVPGPFPADMKMLSFAPRNNEDRGPSIPSQFPSREPPSQGMAVAAQTEQQTQVQPANASSDLIERSERPRINPQMAPSWFERYGNHRNGQNLSMFNLQKTPVPPYNVQKPSWNMDNNSAEQRIDSGQSVKPGHYISSKKMEVSVPSSIMQRRPKKRKSAESDLVSWHKLIEHPKTLRNMSTTEMDWAWAANRLMEKAEDDAENLEDVPVNYLWRKRLRLTTRLIQQILPAIPAKVLKAQAASAYEGVTYNIAMFTLGDACNMASYNSRTLTDHENNSSEQTNAKKMEDRLSKVVEVFTGRIKKMENDFVSLNKRSSMLDVQLECQDLERISIVNRLGRFHGRNHAAGVEASSASEMIPRRIFPERHVMSFAVPGNLPEGVYCLSL >ORUFI03G08260.3 pep chromosome:OR_W1943:3:5528647:5530895:1 gene:ORUFI03G08260 transcript:ORUFI03G08260.3 gene_biotype:protein_coding transcript_biotype:protein_coding LSFPSLSSPLACARCSLSLSLSHLAPLALLLELETLAICAAAGGNPNPSRAGRASERRGGRPGGGRGGRARAADPIRFAALKFRSRSSGIILIILNLTDLRAACSVV >ORUFI03G08270.1 pep chromosome:OR_W1943:3:5541494:5560590:-1 gene:ORUFI03G08270 transcript:ORUFI03G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPLPRLTSALRGHYDADQAYLLRKSALQSLKLPRPRDEWELARKIVPDWDDAPSDVRQAYKQFLGAVVELLNGEVVSEELQEVAPAVYALFAGDDTQSNLADNVLRRRNELENLVGYSVQDSVLKKLAQLAQMLCSLQRARGHELVQINADESITSEFGANFDFKPPSRFIVDVSLDDDLPLGSGVLSSKPAEHDQYDASSASVSHNSVSPGGYVNLRWLKDQCDLITRSGGSVLSGDELAKALCRVLLSNKAGDEIAGELLDLVGDAAFETVQDLLSHRKELVDAIQHGLMILKSEKMSSSNQPKMPTYGTQVTVQTEYERQLDKIRRKEEKRGKRGTETATNDIFSDDFSSFLLASERKQPFDDVVGTGEGANSFTITSLPQGTTRKHMKGYEEVKIPPTPTAPLKANEKLIEIGELDELAQAAFQGYKSLNRVQSRIFQATYYTNENILVCAPTGAGKTNIAMIAVLHEVKQHFRDGILHKNEFKIVYVAPMKALAAEVTSTFSRRLSPLNLVVRELTGDMQLTKNEIEETQMIVTTPEKWDVITRKSSDMSLSMLVKLIIIDEVHLLNDDRGSVIEALVARTLRQVESMQSMIRIVGLSATLPTYLEVAQFLRVNPDTGLFFFDSSYRPVPLAQQYIGISERDYAKKIELFNTLCYEKVVESIKQGHQALVFVHTRKDTGKTARTLIDLAANAGELELFSCADHPQYALIKKDVSKAKSREVAEFFESGFGIHNAGMIRSDRSLMERLFADGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITTHDKLAYYLRLLTSQLPIESQFLGSLKDNLNAEVALGTVTNVREACAWLGYTYLFIRMKTNPLVYGIAWEEVIGDPSLGSKQRAFIIDAARALDKAKMMRYDEKSGNFYCTELGRIASHFYLQYSSVEAYNEMLRRHMNESEVINMVAHSSEFENIVVREEEQDELESLFKNACPHDIKGGPTDKHGKISILIQVYISRAPIDSSSLHSDAQYISQSLARIMRALFEICLRRGWSQMTSLLLEFCKGVDRKIWPEQHPLRQFDRDLSHEICKRLEEKHVDLDRLYEMEENDIGALIRFSHLGKVVKQYVGYFPYVNLSATVSPITRTVLKVDLLITPEFLWRDRHHGMSLRWWIIVEDSENDTIYHSELFTLMKKARGAPTKISFNVPIFEPHPPQYYIRAISDSWLGAESLFTVSFHNLTLPQTQITHTELLDLKPLPLSALGNKTYQDLYRFSHFNPIQTQAFHVLYHSDNNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVVYIAPLKAIVRERMNDWRQRLVTQLGKKMVEMTGDFTPDMMALLSADIIISTPEKWDGISRSWHSRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVRDDGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICTHSPDKPVLIFVSSRRQTRLTALDLIQLAASDEKPRQFLSMADNSLDMILSQVSDTNLRHTLQFGIGLHHAGLNDRDRSLVEELFSNNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYIDYDITDILQMMGRAGRPQYDQHGKAFLYEPFPVESNLREHLHDHINAEIVSGTISNKEEAIIYLTWTYLYRRLVVNPAYYGLEDTETYTLNCYLSRLVETTFEDLEDSGCIKVDDHSVKYLILGKIASQYYLSYLTVSMFGTNIGPNTSLEAFVHILSASAEFDELPVRHNEDNLNRTLCGKVPYSVDQQHLDDPHVKANLLFQAHFSRAELPISDYVTDLKSGLWFERDSSLWMLPSMNDNLLDHLKGRGVSTIPALLGLSREELHRLLQPFSASELYQDLQHFPCVDVKLKLQNEDKDQSRPPILSIRLQMKDARRSTSRAFSPRFPKAKQEAWWLVLGNIRSSELYGLKRINFMDRVVNTRMELPAMFDIQETKLILVSDSYLGFDQEYSLGHLAKGV >ORUFI03G08270.2 pep chromosome:OR_W1943:3:5541494:5560590:-1 gene:ORUFI03G08270 transcript:ORUFI03G08270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPLPRLTSALRGHYDADQAYLLRKSALQSLKLPRPRDEWELARKIVPDWDDAPSDVRQAYKQFLGAVVELLNGEVVSEELQEVAPAVYALFAGDDTQSNLADNVLRRRNELENLVGYSVQDSVLKKLAQLAQMLCSLQRARGHELVQINADESITSEFGANFDFKPPSRFIVDVSLDDDLPLGSGVLSSKPAEHDQYDASSASIAGELLDLVGDAAFETVQDLLSHRKELVDAIQHGLMILKSEKMSSSNQPKMPTYGTQVTVQTEYERQLDKIRRKEEKRGKRGTETATNDIFSDDFSSFLLASERKQPFDDVVGTGEGANSFTITSLPQGTTRKHMKGYEEVKIPPTPTAPLKANEKLIEIGELDELAQAAFQGYKSLNRVQSRIFQATYYTNENILVCAPTGAGKTNIAMIAVLHEVKQHFRDGILHKNEFKIVYVAPMKALAAEVTSTFSRRLSPLNLVVRELTGDMQLTKNEIEETQMIVTTPEKWDVITRKSSDMSLSMLVKLIIIDEVHLLNDDRGSVIEALVARTLRQVESMQSMIRIVGLSATLPTYLEVAQFLRVNPDTGLFFFDSSYRPVPLAQQYIGISERDYAKKIELFNTLCYEKVVESIKQGHQALVFVHTRKDTGKTARTLIDLAANAGELELFSCADHPQYALIKKDVSKAKSREVAEFFESGFGIHNAGMIRSDRSLMERLFADGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITTHDKLAYYLRLLTSQLPIESQFLGSLKDNLNAEVALGTVTNVREACAWLGYTYLFIRMKTNPLVYGIAWEEVIGDPSLGSKQRAFIIDAARALDKAKMMRYDEKSGNFYCTELGRIASHFYLQYSSVEAYNEMLRRHMNESEVINMVAHSSEFENIVVREEEQDELESLFKNACPHDIKGGPTDKHGKISILIQVYISRAPIDSSSLHSDAQYISQSLARIMRALFEICLRRGWSQMTSLLLEFCKGVDRKIWPEQHPLRQFDRDLSHEICKRLEEKHVDLDRLYEMEENDIGALIRFSHLGKVVKQYVGYFPYVNLSATVSPITRTVLKVDLLITPEFLWRDRHHGMSLRWWIIVEDSENDTIYHSELFTLMKKARGAPTKISFNVPIFEPHPPQYYIRAISDSWLGAESLFTVSFHNLTLPQTQITHTELLDLKPLPLSALGNKTYQDLYRFSHFNPIQTQAFHVLYHSDNNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVVYIAPLKAIVRERMNDWRQRLVTQLGKKMVEMTGDFTPDMMALLSADIIISTPEKWDGISRSWHSRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVRDDGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICTHSPDKPVLIFVSSRRQTRLTALDLIQLAASDEKPRQFLSMADNSLDMILSQVSDTNLRHTLQFGIGLHHAGLNDRDRSLVEELFSNNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYIDYDITDILQMMGRAGRPQYDQHGKAFLYEPFPVESNLREHLHDHINAEIVSGTISNKEEAIIYLTWTYLYRRLVVNPAYYGLEDTETYTLNCYLSRLVETTFEDLEDSGCIKVDDHSVKYLILGKIASQYYLSYLTVSMFGTNIGPNTSLEAFVHILSASAEFDELPVRHNEDNLNRTLCGKVPYSVDQQHLDDPHVKANLLFQAHFSRAELPISDYVTDLKSGLWFERDSSLWMLPSMNDNLLDHLKGRGVSTIPALLGLSREELHRLLQPFSASELYQDLQHFPCVDVKLKLQNEDKDQSRPPILSIRLQMKDARRSTSRAFSPRFPKAKQEAWWLVLGNIRSSELYGLKRINFMDRVVNTRMELPAMFDIQETKLILVSDSYLGFDQEYSLGHLAKGV >ORUFI03G08280.1 pep chromosome:OR_W1943:3:5563730:5564218:1 gene:ORUFI03G08280 transcript:ORUFI03G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVLECGDISASQEELLAHSSFLNGGDDGEVFSTPPTTQEDAITMCTLPFTQSQSPAPAPLPSPAAVSRTTPGCSSSEDNRDDEMSDIVKQRRRPRVCTRKVRWGAKIRTPTPSPDRTTSEVENKDGDPLYKAVLMIPTRDSTPAIPMDLIALARQRGLF >ORUFI03G08290.1 pep chromosome:OR_W1943:3:5566556:5574210:1 gene:ORUFI03G08290 transcript:ORUFI03G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAARPLGGRARNPSPGPARPPPPFAAADAAAAADLRDAPRRVARMKDRACATCGDKIDSGNVIRCQCKKSPEHAKHEIHHTNDAMLEAKGFAKPNSSNKYGMHKSSGGTYSKPDARVKIIPAEEITYVRHGKLCGKTVGSDGLQKRQRRRSVTPPPSSRKVSLVTPTVVNQRPTPPVSPAASRISPNRPGTAKNVHSVVTSCISPNLTGKAENGHSLATSGISPNCPGAVKKIHSLATSPISPNWPGAVKKIHSLATSPISPMWPETAGNGHSLVGGYITNSFTTQIAYLSQRPSPFCRAVLSQPSGTPLGTDATAPKNLSRSGNREAYVKSCSSRTRTFSSAHAHSTVVPPGTNAEPSAESFCAPGNEKSSPMSCKLGTLQCQGTRTAVAPSVQKKLTMEPALPSPKSVLSEKSNEAYPDTAPRPSSRPNLFDTKCKVGSPQSETIIPPSQSPQSTSHARCVEPPDDFEAVPSTKSHIITEKQMNQEAPINCNVSSGIPVILHTKLHKKHYQPEACWKGKFEVTGELTHICDGLEAHFPFEISAQVYEASKQMPEILKLEARPLSHLWPKTFKMKPPEGQDIGLCFISSLQRPNGSSDHLLKNISSHIGLRTKIGATELLIFSSKLLTQEYQRKCDKFYFWGVFRALHRSYNQTSMSFDATGCKEIERHKNKETGKILETQDKKTEKEKCGEIGNKLDSAVSRERDRINECMRMLTPDPNAAASSSVTDFTCQSAPRVPAGSDLVLDTPPGFPHDDPPGLTKAHCLLHTGETTEPYIDSSPSLNLGVPPGLSLDIPPGFMKAHYLPHTGETTESHINPSHSHSLSWDTPLGFSLDVPPGFTKAHRLPIVSTAGSETVVSEKKPLIKFTLNVPRVAQTEAIPGFIKLLAVKQEPGLPAICMATEKASTGKEDEIKIYLRFHGLKPFKNPLHLF >ORUFI03G08300.1 pep chromosome:OR_W1943:3:5575841:5580796:-1 gene:ORUFI03G08300 transcript:ORUFI03G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSPIVISTRESDKKKQKLHQSPRLELSRSPRRALHCTRKQSSEPWRRRARGGVRSRSAPPAWAAGLRGGGRLVGIMDSSRFRSAAATEAAEEEAAGWVTVEEWAGSSAAALSRTAVLTASPSSSLASRRFGSRWGRVGGRLLGAFVPEGFPGSVTPDYVPFQMWDTLQGLSTYIRAMLSTQALLGAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQVSNSLANSNRQCHLIAIDSLFTDEITCLGSNLDSNAKMWRLVADFMNDLGMLMDLLSPLFPSSLIVIMCLGSLSRSFTGVASGATRAALTQHFALANNAADISAKEGSQETLATMLGMGLGMLLAHVTRGHALGVWVSFLSLTIFHMYANYKAVQSLSLTTLNYERSSILLQYFMDNGEENYFLLDKEGSVHIFIHKQAAATDILMSFIHGLVLAHLMQKSKSGHAEARQWIDEKYNTFISKLQVEGYSTERLLSHSIVWRAHWVHGPSEEKLE >ORUFI03G08310.1 pep chromosome:OR_W1943:3:5581400:5584788:-1 gene:ORUFI03G08310 transcript:ORUFI03G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSAPPPPAAAAGVGVWSPAPQSPSPNLANFFVWREFVWGAIAGAFGEGMMHPVDTLKTRLQSQAIITGAKAQKNIFQMIRTVWVSDGLKGFYRGISPGVTGSLATGATYFGVIESTKTWLEHSNPNLSGHWSHFIAGGIGDTLGSFIYVPCEVMKQRMQVQGTKKSWALTATKGNISQTPGAPMYNYYNGMFHAGCSIWRDHGLKGLYAGYWSTLARDVPFAGLMVTFYEAMKELTEYGKRKYLPESNLHASSSFEGLLLGGLAGGFSAYLTTPLDVIKTRLQVQGSTTSYNGWLDAITKTWANEGMSGLFKGSIPRIIWYIPASAFTFMAVEFLRDHFNEKIDTDARELTGLSMDTRSEVEEAA >ORUFI03G08320.1 pep chromosome:OR_W1943:3:5587398:5593980:1 gene:ORUFI03G08320 transcript:ORUFI03G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDRAPKVPDEPAAAAAKQRKKRKWDQPAEDVVAAAAAAAAVAGLPVVNIGALSGVSIPGAAGPLGNIVAVPYTLPVHLAPSVLQTAAAAVQKLSQAKMPDELIAREIVINDADPSVRYKLTKRQTQEEIQRCTSTVIITRGRYHPPNGQTDGEKPLYLHISAGSQLKDTAERIKAVDRAASMIEEILKQGPNPEGTIQSNGQIHHLTLQLGFVVQIPVLFTRGKEKKTNLEAFSGIPIGMGETVFVLLDQYINHIMNETGVTVVLRGKGSGTPVNCHAEASQQPLHLYISSMHVKNLEAAKVLAENLLDTIAAEFGASRISSSKVYGAVPPPQQLLDVTSQSGAPSYSVVSPPSNLICPSQPANGGTFYGGYGGIYPQATPLQQVALTLKHASSSSTQVVSATSTSTSTVAMVNPCSHAEADKRSQRRKFQELPVSQGATTEVQVFSLTLSEAHRVNSQQRSKFVKTGLDGLGNMTNSSIEPPIKVQPGSNGMLLQDQPHVSAHPSASKNMLPPPPPPPRNMLPPPPKSMPPPPPKFPSNEMSRNEDRCADLNKPMAPPKSMPPPPPKSMPPPPPKFPSNEMSRNEDRRSDLNKPMAPPRSLDVSSVSPPNLYSAQLPSKEPRVVKPGGASVSDTLLKLMDYGDDDEEDNIDETNSVLGGNPTSISGQKPFWAV >ORUFI03G08320.2 pep chromosome:OR_W1943:3:5587398:5593980:1 gene:ORUFI03G08320 transcript:ORUFI03G08320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDRAPKVPDEPAAAAAKQRKKRKWDQPAEDVVAAAAAAAAVAGLPVVNIGALSGVSIPGAAGPLGNIVAVPYTLPVHLAPSVLQTAAAAVQKLSQAKMPDELIAREIVINDADPSVRYKLTKRQTQEEIQRCTSTVIITRGRYHPPNGQTDGEKPLYLHISAGSQLKDTAERIKAVDRAASMIEEILKQGPNPEGTIQSNGQIHHLTLQLGFVVQIPVLFTRGKEKKTNLEAFSGIPIGMGETVFVLLDQYINHIMNETGVTVVLRGKGSGTPVNCHAEASQQPLHLYISSMHVKNLEAAKVLAENLLDTIAAEFGASRISSSKVYGAVPPPQQLLDGVQTSGTIPDVHPTLGPNVLTGASHSFASTGANASLVAPSVTSQSGAPSYSVVSPPSNLICPSQPANGGTFYGGYGGIYPQATPLQQVALTLKHASSSSTQVVSATSTSTSTVAMVNPCSHAEADKRSQRRKFQELPVSQGATTEVQVFSLTLSEAHRVNSQQRSKFVKTGLDGLGNMTNSSIEPPIKVQPGSNGMLLQDQPHVSAHPSASKNMLPPPPPPPRNMLPPPPKSMPPPPPKFPSNEMSRNEDRCADLNKPMAPPKSMPPPPPKSMPPPPPKFPSNEMSRNEDRRSDLNKPMAPPRSLDVSSVSPPNLYSAQLPSKEPRVVKPGGASVSDTLLKLMDYGDDDEEDNIDETNSVLGGNPTSISGQKPFWAV >ORUFI03G08320.3 pep chromosome:OR_W1943:3:5587398:5593980:1 gene:ORUFI03G08320 transcript:ORUFI03G08320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDRAPKVPDEPAAAAAKQRKKRKWDQPAEDVVAAAAAAAAVAGLPVVNIGALSGVSIPGAAGPLGNIVAVPYTLPVHLAPSVLQTAAAAVQKLSQAKMPDELIAREIVINDADPSVRYKLTKRQTQEEIQRCTSTVIITRGRYHPPNGQTDGEKPLYLHISAGSQLKDTAERIKAVDRAASMIEEILKQGPNPEGTIQSNGQAVHPFSASIFLGFHADPSLNVAAWVRGPNDQYINHIMNETGVTVVLRGKGSGTPVNCHAEASQQPLHLYISSMHVKNLEAAKVLAENLLDTIAAEFGASRISSSKVYGAVPPPQQLLDVTSQSGAPSYSVVSPPSNLICPSQPANGGTFYGGYGGIYPQATPLQQVALTLKHASSSSTQVVSATSTSTSTVAMVNPCSHAEADKRSQRRKFQELPVSQGATTEVQVFSLTLSEAHRVNSQQRSKFVKTGLDGLGNMTNSSIEPPIKVQPGSNGMLLQDQPHVSAHPSASKNMLPPPPPPPRNMLPPPPKSMPPPPPKFPSNEMSRNEDRCADLNKPMAPPKSMPPPPPKSMPPPPPKFPSNEMSRNEDRRSDLNKPMAPPRSLDVSSVSPPNLYSAQLPSKEPRVVKPGGASVSDTLLKLMDYGDDDEEDNIDETNSVLGGNPTSISGQKPFWAV >ORUFI03G08320.4 pep chromosome:OR_W1943:3:5587398:5593980:1 gene:ORUFI03G08320 transcript:ORUFI03G08320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDRAPKVPDEPAAAAAKQRKKRKWDQPAEDVVAAAAAAAAVAGLPVVNIGALSGVSIPGAAGPLGNIVAVPYTLPVHLAPSVLQTAAAAVQKLSQAKMPDELIAREIVINDADPSVRYKLTKRQTQEEIQRCTSTVIITRGRYHPPNGQTDGEKPLYLHISAGSQLKDTAERIKAVDRAASMIEEILKQGPNPEGTIQSNGQAVHPFSASIFLGFHADPSLNVAAWVRGPNDQYINHIMNETGVTVVLRGKGSGTPVNCHAEASQQPLHLYISSMHVKNLEAAKVLAENLLDTIAAEFGASRISSSKVYGAVPPPQQLLDGVQTSGTIPDVHPTLGPNVLTGASHSFASTGANASLVAPSVTSQSGAPSYSVVSPPSNLICPSQPANGGTFYGGYGGIYPQATPLQQVALTLKHASSSSTQVVSATSTSTSTVAMVNPCSHAEADKRSQRRKFQELPVSQGATTEVQVFSLTLSEAHRVNSQQRSKFVKTGLDGLGNMTNSSIEPPIKVQPGSNGMLLQDQPHVSAHPSASKNMLPPPPPPPRNMLPPPPKSMPPPPPKFPSNEMSRNEDRCADLNKPMAPPKSMPPPPPKSMPPPPPKFPSNEMSRNEDRRSDLNKPMAPPRSLDVSSVSPPNLYSAQLPSKEPRVVKPGGASVSDTLLKLMDYGDDDEEDNIDETNSVLGGNPTSISGQKPFWAV >ORUFI03G08320.5 pep chromosome:OR_W1943:3:5587398:5593980:1 gene:ORUFI03G08320 transcript:ORUFI03G08320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDRAPKVPDEPAAAAAKQRKKRKWDQPAEDVVAAAAAAAAVAGLPVVNIGALSGVSIPGAAGPLGNIVAVPYTLPVHLAPSVLQTAAAAVQKLSQAKMPDELIAREIVINDADPSVRYKLTKRQTQEEIQRCTSTVIITRGRYHPPNGQTDGEKPLYLHISAGSQLKDTAERIKAVDRAASMIEEILKQGPNPEGTIQSNGQAVHPFSASIFLGFHADPSLNVAAWVRGPNDQYINHIMNETGVTVVLRGKGSGTPVNCHAEASQQPLHLYISSMHVKNLEAAKVLAENLLDTIAAEFGASRISSSKVYGAVPPPQQLLDVTSQSGAPSYSVVSPPSNLICPSQPANGGTFYGGYGGIYPQATPLQQVALTLKHASSSSTQVVSATSTSTSTVAMVNPCSHAEADKRSQRRKFQELPVSQGATTEVQNSQQRSKFVKTGLDGLGNMTNSSIEPPIKVQPGSNGMLLQDQPHVSAHPSASKNMLPPPPPPPRNMLPPPPKSMPPPPPKFPSNEMSRNEDRCADLNKPMAPPKSMPPPPPKSMPPPPPKFPSNEMSRNEDRRSDLNKPMAPPRSLDVSSVSPPNLYSAQLPSKEPRVVKPGGASVSDTLLKLMDYGDDDEEDNIDETNSVLGGNPTSISGQKPFWAV >ORUFI03G08320.6 pep chromosome:OR_W1943:3:5587398:5593980:1 gene:ORUFI03G08320 transcript:ORUFI03G08320.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDRAPKVPDEPAAAAAKQRKKRKWDQPAEDVVAAAAAAAAVAGLPVVNIGALSGVSIPGAAGPLGNIVAVPYTLPVHLAPSVLQTAAAAVQKLSQAKMPDELIAREIVINDADPSVRYKLTKRQTQEEIQRCTSTVIITRGRYHPPNGQTDGEKPLYLHISAGSQLKDTAERIKAVDRAASMIEEILKQGPNPEGTIQSNGQAVHPFSASIFLGFHADPSLNVAAWVRGPNDQYINHIMNETGVTVVLRGKGSGTPVNCHAEASQQPLHLYISSMHVKNLEAAKVLAENLLDTIAAEFGASRISSSKVYGAVPPPQQLLDGVQTSGTIPDVHPTLGPNVLTGASHSFASTGANASLVAPSVTSQSGAPSYSVVSPPSNLICPSQPANGGTFYGGYGGIYPQATPLQQVALTLKHASSSSTQVVSATSTSTSTVAMVNPCSHAEADKRSQRRKFQELPVSQGATTEVQNSQQRSKFVKTGLDGLGNMTNSSIEPPIKVQPGSNGMLLQDQPHSMPPPPPKFPSNEMSRNEDRRSDLNKPMAPPRSLDVSSVSPPNLYSAQLPSKEPRVVKPGGASVSDTLLKLMDYGDDDEEDNIDETNSVLGGNPTSISGQKPFWAV >ORUFI03G08330.1 pep chromosome:OR_W1943:3:5594150:5599316:1 gene:ORUFI03G08330 transcript:ORUFI03G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVLHALVALSLAGAVAAAGRGGEQPLSRIGIHRTTFAIQPGASVDASPLLLGLEGQDREWVTLTYNNPKPSKDDWIGVFSPANFSDSTCPSESQWVEPPLLCTAPIKFIFANYKNLDYEKTGKGSMKLQLINQREDFSFALFSGGLSNPKLIAHSKRVTFTNPKAPVYPRLAQGKSWNEMTVTWTSGYGTNEATPFVKWGLQGQIQSLSPAGTLTFSRSIMCGPPARTVGWRDPGFIHTSFLKDLWPNFKYTYRIGHRLSDGSIIWGHEYSFQAPPYPGEDSLQRVVIFGDMGKAEADGSNEFNDFEPGSLNTTYQLIKDLKNIDMVIHIGDICYANGYLSQWDQFTAQVEPIASSVPYMVGSGNHERDWPGSGSFYGNLDSGGECGVPAQNMFYVPAENREQFWYSIDYGMFRFCIANTELDWRPGTEQYKFIEHCFSSVDRQKQPWLIFLAHRVLGYSSASFYVEEGTTEEPMGRESLQPLWQKYKVDIAMYGHVHGYERTCPVYENVCVAKAASHYSGAFTATTHVVVGGGGASLADYAGVRARWSHVQDRDYGFAKLTAFNHTALLFEYVRSRDGSVHDSFTVSRDYRDILACGVDNCPTTTLAS >ORUFI03G08340.1 pep chromosome:OR_W1943:3:5599740:5603442:1 gene:ORUFI03G08340 transcript:ORUFI03G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAAPSVTPGAVAFVLENASPDAATGVPVPEIVLQVVDLKPIGTRFTFLASDGKDKIKTMLLTQLAPEVRSGNIQNLGVIRVLDYTCNTIGEKQEKVLIITKLEVVFKALDSEIKCEAEKQEEKPAILLSPKEESVVLSKPTNAPPLPPVVLKPKQEVKSASQIVNEQRGNAAPAARLAMTRRVHPLISLNPYQGNWIIKVRVTSKGNLRTYKNARGEGCVFNVELTDVDGTQIQATMFNEAAKKFYPMFELGKVYYISKGSLRVANKQFKTVHNDYEMTLNENAVVEEAEGETFIPQIQYNFVKIDQLGPYVGGRELVDVIGVVQSVSPTLSVRRKIDNETIPKRDIVVADDSSKTVTISLWNDLATTTGQELLDMVDSAPIIAIKSLKVSDFQGLSLSTVGRSTIVVNPDLPEAEQLRAWYDSEGKGTSMASIGSDMGASRVGGARSMYSDRVFLSHITSDPNLGQDKPVFFSLNAYISLIKPDQTMWYRACKTCNKKVTEAIGSGYWCEGCQKNDAECSLRYIMVIKVSDPTGEAWLSLFNDQAERIVGCSADELDRIRKEEGDDSYLLKLKEATWVPHLFRVSVTQNEYMNEKRQRITVRSEAPVDHAAEAKYMLEEIAKLTGC >ORUFI03G08350.1 pep chromosome:OR_W1943:3:5603936:5608683:-1 gene:ORUFI03G08350 transcript:ORUFI03G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSRDLLCGIGKGGDGPRGEVRPRVDMEAEEVELNLGLSLGGRFGLDRRGEKLARSSSVAAILAAPTEPSAPPSGLFRTSSLPTVAAAEAAKKQGVDELNCRRPSGGAEAEPAAARLPASGSPSSGSSDGEGRRLEVNMTDTLMRTSSLPAGIEDEWRKRKEAQSLKRLEVKRKRIERRNSLTSNISKEAVGQILEEMNAGAEKVESCDDVATGNKKTGGNVNHSSDRNRCTGLPPVHRATYTQQRGSLSGIPTKHIPAMKGSADAEEHNVPSAATEHRNGAAIATPPFSALAVRAVALASRGEQLRATGRVAARAKSMGDVERIMMQEMPCVCTKGLPNGKRVEGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGGDVANPLRHIVVNPIPPSLY >ORUFI03G08360.1 pep chromosome:OR_W1943:3:5614853:5617419:-1 gene:ORUFI03G08360 transcript:ORUFI03G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRHLAVFTTASLPWMTGTAINPLFRAAYLAKDGDREVTLVIPWLSLRDQELVYPNKIVFGSPLEHEAYVRRWIEERISFRPSFSIKFYPAKFSKELRSILPVGDITECIPDEVADVAVLEEPEHLNWYHHGRKWKNKFRRVIGVVHTNYIAYVKREKNGQAIACFMKYMNTWVTRIYCHKIIRLSGATQDLPRSVVCNVHGVNPKFLEVGKLKLKQLRNGEIAFTKGAYYIGKMVWSKGYRELLDLLSKYQSKLVGLEVDLYGSGEDSDEVRKSAELLSLAVKVHPGRDHADPLFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKQFPNCRTYDSGEEFVQLTLDALAEQPAPLTDMQSHDLSWEAATERFMEAAELNLPISAEPRIHQTSKASLPAFMRTRKLKQSLEDASVYLHQALSGLEVTRRAFGAVPKTLQPDEQLCNDLGLAPAKKKRLKLKLMT >ORUFI03G08360.2 pep chromosome:OR_W1943:3:5614853:5617419:-1 gene:ORUFI03G08360 transcript:ORUFI03G08360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRHLAVFTTASLPWMTGTAINPLFRAAYLAKDGDREVTLVIPWLSLRDQELVYPNKIVFGSPLEHEAYVRRWIEERISFRPSFSIKFYPAKFSKELRSILPVGDITECIPDEVADVAVLEEPEHLNWYHHGRKWKNKFRRVIGVVHTNYIAYVKREKNGQAIACFMKYMNTWVTRIYCHKVTSTPYITTVKIPRIMIIRLSGATQDLPRSVVCNVHGVNPKFLEVGKLKLKQLRNGEIAFTKGAYYIGKMVWSKGYRELLDLLSKYQSKLVGLEVDLYGSGEDSDEVRKSAELLSLAVKVHPGRDHADPLFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKQFPNCRTYDSGEEFVQLTLDALAEQPAPLTDMQSHDLSWEAATERFMEAAELNLPISAEPRIHQTSKASLPAFMRTRKLKQSLEDASVYLHQALSGLEVTRRAFGAVPKTLQPDEQLCNDLGLAPAKKKRLKLKLMT >ORUFI03G08370.1 pep chromosome:OR_W1943:3:5620020:5624316:1 gene:ORUFI03G08370 transcript:ORUFI03G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFVESQREARPELADPYADLADLYQRKLWHQLTLKLDHFLQLPAAQTGDTLIQLYNNFITDFETKINLLKLAHFAVIASRQYPDKDAAISFLEGVITKLRETKDLRINEPILYVKMQIAAINLEKGNQKECKKLLDEGKSTLDSMTDVDPTVHASLYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCRVHNAALSAQPALVQNERKLLEKINILCLMEIIFSRASEDRTIPLSVIAERTKLSISDVEYLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLVAA >ORUFI03G08380.1 pep chromosome:OR_W1943:3:5625970:5632064:-1 gene:ORUFI03G08380 transcript:ORUFI03G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPEKVSGPPRIPSRSRRRRSIPAASRGVTGGEPPNKPLASPLGVFCSSRKESAVEGRLRTRGEEILPEGFDSELGMYGQGGNFNPQYRHAAPPPPPQQAGVTGGFPQQPLPPPPPRMAQYPQPPAMAAPPPGPYQHRMPLVQNQAYPFAQMHQMPMLPQQRGYAQMPMPGPPSQPPPPQAMYQAHPQYPMPGSLPPPPPRPPSFAPENALPPSSPPPPSPPPPPPSSPPPVPPSPTAAPTTGQSWNSEPERKEGATATDVGHDVKTEKVTNQLIVSDDSDMDMDADEDSPSREHVSPINSSLATAECTGNVNTRKPACDVSNLVKDSGDDSEDEDGACAVSNLVPLTENNEPVHSIGTNPDIGHQLLTEAAPCTERSLEDREHQLMSKSNPVKHDSDELGHPVKEDLSGNDSDRGQQTRRHGRSQWKRSRGQSPQGRRSCSPLSQSLSSGRQSNSPLAKRANLLESKSPDGVGQTFRAQPGVKLGISKDGFYNDKHDSPVKVATPFDIHPAGGHISGDRISEQDGLMGTKKFNGSPDDIDCNEKTNDASVGSFGPHGHGAVLTCGPSQSVASSANGSDPHKMQRSGRASIPQSDMDKSSLGAHQSLSSQPPGISFATVHATEKNMMCDVLQPHSQNLCPPGQMPSGLRPAHIPSSNITPLPGQQLLSTPEFPQMHFQPNVMAPANEFLQSQMQTYPAPDLPHPRPLDFHPHTLQPVVPPHQQPAAMVHSSFQRFTPNLPGSTEFGAISDTDLPKSSIKPHYNPFASTFEQTDPTLNIGCDVIPNPVESASTKAAEHANALSPFGLSVPGSGTHVRENSAEVVSSRQKQPHREFTSSAPYDPLLDSIEPSSSSINKMDLGREANLSASNHNASKIVNIEVESKNMHGLGLVAESEVEEFGEVAADTEAGVVDNLSPEPLGAKDWSSDMPGDIDNDESVDKNKRTKDSRSMKLFKVAIADFVKEVLKPSWRQGNISREAFKTIVKKTVDKVSSSVPNNHIPKTPAKIKQYVQSSQKKVTKLVMSFSSKGFRVPTTTRSTTLSTFPTHSASSPATPPPPASSHLGDELCCCQPFPTPHTLATNSAIGGLFHWSSVGARPPPELERIPPGARWCYPNLARRGVALPDMELGLHQSSSISDLELDGAA >ORUFI03G08380.2 pep chromosome:OR_W1943:3:5625970:5632064:-1 gene:ORUFI03G08380 transcript:ORUFI03G08380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPEKVSGPPRIPSRSRRRRSIPAASRGVTGGEPPNKPLASPLGVFCSSRKESAVEGRLRTRGEEILPEGFDSELGMYGQGGNFNPQYRHAAPPPPPQQAGVTGGFPQQPLPPPPPRMAQYPQPPAMAAPPPGPYQHRMPLVQNQAYPFAQMHQMPMLPQQRGYAQMPMPGPPSQPPPPQAMYQAHPQYPMPGSLPPPPPRPPSFAPENALPPSSPPPPSPPPPPPSSPPPVPPSPTAAPTTGQSWNSEPERKEGATATDVGHDVKTEKVTNQLIVSDDSDMDMDADEDSPSREHVSPINSSLATAECTGNVNTRKPACDVSNLVKDSGGKAKTTNVTDEGRSTFQLIQGYASDDSEDEDGACAVSNLVPLTENNEPVHSIGTNPDIGHQLLTEAAPCTERSLEDREHQLMSKSNPVKHDSDELGHPVKEDLSGNDSDRGQQTRRHGRSQWKRSRGQSPQGRRSCSPLSQSLSSGRQSNSPLAKRANLLESKSPDGVGQTFRAQPGVKLGISKDGFYNDKHDSPVKVATPFDIHPAGGHISGDRISEQDGLMGTKKFNGSPDDIDCNEKTNDASVGSFGPHGHGAVLTCGPSQSVASSANGSDPHKMQRSGRASIPQSDMDKSSLGAHQSLSSQPPGISFATVHATEKNMMCDVLQPHSQNLCPPGQMPSGLRPAHIPSSNITPLPGQQLLSTPEFPQMHFQPNVMAPANEFLQSQMQTYPAPDLPHPRPLDFHPHTLQPVVPPHQQPAAMVHSSFQRFTPNLPGSTEFGAISDTDLPKSSIKPHYNPFASTFEQTDPTLNIGCDVIPNPVESASTKAAEHANALSPFGLSVPGSGTHVRENSAEVVSSRQKQPHREFTSSAPYDPLLDSIEPSSSSINKMDLGREANLSASNHNASKIVNIEVESKNMHGLGLVAESEVEEFGEVAADTEAGVVDNLSPEPLGAKDWSSDMPGDIDNDESVDKNKRTKDSRSMKLFKVAIADFVKEVLKPSWRQGNISREAFKTIVKKTVDKVSSSVPNNHIPKTPAKIKQYVQSSQKKVTKLVMSFSSKGFRVPTTTRSTTLSTFPTHSASSPATPPPPASSHLGDELCCCQPFPTPHTLATNSAIGGLFHWSSVGARPPPELERIPPGARWCYPNLARRGVALPDMELGLHQSSSISDLELDGAA >ORUFI03G08390.1 pep chromosome:OR_W1943:3:5634014:5636271:-1 gene:ORUFI03G08390 transcript:ORUFI03G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRASRFKMSNLSEPSKEAASADDSSAVQKTGAWSNTLNILLQQASVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVVGVLLCGQLKLIEHDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLEMLLMGELNQMKGDSAKVTNWLSSDVILPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASFVGTIGLLICMSGGVLYQQSTTKPKAPKAEPKEENDEEQQKLLEMQQGLESSSTQKQASS >ORUFI03G08400.1 pep chromosome:OR_W1943:3:5663772:5671412:1 gene:ORUFI03G08400 transcript:ORUFI03G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSPSEHLCYVRCTYCNTVLAVGVPCKRLMDTVTVKCGHCNNLSFLSPRPPMVQPLSPTDHPLGPFQGPCTDCRRNQPLPLVSPTSNEGSPRAPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSSTVSTSNSNPEPRVVAAPIPHQERANEQVVESFDIFKQMERSG >ORUFI03G08410.1 pep chromosome:OR_W1943:3:5675914:5683980:-1 gene:ORUFI03G08410 transcript:ORUFI03G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSLLCDAEVALIIFSGRGRLFEFSSSSCMYKTLERYRSCNYNSQDAAAPENEINYQEYLKLKTRVEFLQTTQRNILGEDLGPLSMKELEQLENQIEVSLKQIRSRKNQALLDQLFDLKSKEQQLQDLNKDLRKKLQETSAENVLHMSWQDGGGHSGSSTVLADQPHHHQGLLHPHPDQGDHSLQIGYHHPHAHHHQAYMDHLSNEAADMVAHHPNEHIPSGWI >ORUFI03G08420.1 pep chromosome:OR_W1943:3:5694887:5696875:-1 gene:ORUFI03G08420 transcript:ORUFI03G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSNAQLADAEDMKGASQLKDTNFLNDEKGYKLSAYGFGHIKEDHTKVRNLIRSIFCTIHCLNWLGEYPDASRSAKNKTLW >ORUFI03G08430.1 pep chromosome:OR_W1943:3:5698259:5707187:1 gene:ORUFI03G08430 transcript:ORUFI03G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGEVVEHGVSKDMLPFDGHPDPVVDELNRLENLLREKDRELGHAYSEIKGLKVTEALKDKAIAENLDVKRLSNERKEALSAQFAAEATLRRIHSSQKDEEVVPFDAIIAPLESDIKAYRHEVTPETALKQLPDDQLPGQFSLTSVLYDDIVAAHLGCQIALLQDDKKALERHLKLKEAALVEAGNILRSALERALIVEDVQNQNIELKKQMEIYHEENKLLEKSNRQQVLDIERLTHTIAELEESILSTGDVANAEEKRTLERELARAKVYVNRVASTTANEWKDDADKLMPVKRWLEERRLLQGEIQRLRDKIAMAEKSAKAEAQLNDKLRRKLKALEDDMRNESSNTSASNKDNATSKQATPKRSSSQPRRPIISADGADKRRPASQPRASVSGKVLNKQPGSETEAAEKNRHAAAKRFDSPRSAKSVAAGGRGERPVRSHLWAHRSKVADDAGKENKEQNPNYKAHLGDSHADGDCGVQCSEHEEAMDLRKLDEGKADDSDAVKSTKDSCEI >ORUFI03G08440.1 pep chromosome:OR_W1943:3:5708392:5711491:1 gene:ORUFI03G08440 transcript:ORUFI03G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKITASKGESNKALTNCSANSEIQYNGKCDHDSDSKGGFFADQFENMANYRAHYEWTGPEIWQQSKGTLHAFVAAAGTGGTIAGVSRYLKEKNTNIKCFLMDPPGSGLFNKVTRGVMYTKEEAEGKRLKNPFDTITEGIGINRVTKNFMMAELDGAYRGTDREAVEMSRFLLKNDGLFVGSSSAMNCVGAVRVAQDLGPGHTIVTILCDSGMRHLSKFFNDQYLANHGLTPTATGLEFLD >ORUFI03G08450.1 pep chromosome:OR_W1943:3:5711883:5713913:-1 gene:ORUFI03G08450 transcript:ORUFI03G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSLLSWPHRAISLSFQPKNPSPSPATARVSVQDPPPPPSDANPSPGRSSNTSRYVWVNPNSPRAAGLARARAGSGRRARLAAAAAALAACEAGEAPVAAALEAAFPEPPSEQDAVIVLNTTSARPAAVVLALWWFLRNAEVRKEVILYNVALKALRKRRRWSDAEALWEEMLREGVQPDNATFSTVISCARACGMPGKAVEWFEKMPDFGCSPDMLTYSAVIDAYGRAGDAETALRLYDRARAEKWQLDPVICATVIRVHSSSGNFDGALNVFEEMKAAGVKPNLVVYNTVLDAMGRAMRPWVVKTIHRELVSQEAVPNKATYCCLLHAYTRARYGEDAMAVYRVMKDEVMDIDVVLYNMLLSMCADIGYVEEAEEIFRDMKASMDSRSKPDSWSYSSMVTLYSCTGNVAGAEGILNEMVEAGFKPNIFILTSLIRCYGKAGRTDDVVRSFAMLEDLGITPDDRFCGCLLTVAAGTPADELGKVIGCIDRSSAQLGAVVRLLVDAAAPSEPLREAAGELLGGARGVVRMPYCNCLMDLAVNLSQMEKACALLDVALRLGIYSNVQTRTQTQWSLHLRGLSVGAALTTLHVWMSDLYAALQAGDELPPLLGIHTGQGKNTYSYKGLATVFESHLKELDAPFHEAPDKAGWFLTTSVAARHWLETKKSAELVAV >ORUFI03G08460.1 pep chromosome:OR_W1943:3:5714166:5719148:1 gene:ORUFI03G08460 transcript:ORUFI03G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPSPLPPPFSAGRQRGRPTSHGTEHWLLQMGCTASYRLSNDHTWVCPKGAGHHRLVLKLRRDKTTHPEKSHWIYHQ >ORUFI03G08470.1 pep chromosome:OR_W1943:3:5721715:5722608:-1 gene:ORUFI03G08470 transcript:ORUFI03G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGEGGGGAASVRDQPPHDFANVASFSELPFLRPAPAPRESPNNSAIRLFGIDVPHASSSPDGKASKESVVVSTAAAAASTHGAAAAAIAAAADSSRKFECHYCCRHFPTSQALGGHQNAHKRERQHAKRVQMQTAMAAAAAAASGAHHHHHHHQLLGYPQHHHRFGLAGPTVAALYQHPSWPTMSGGGGGISSIGPQFYSGIGSVTQPINGSPLPAGLWRPGPMAAPPLLAGERRPVAVSTSSVFRGDEPRASASLVASQTASSSLLLSPQGQFACEQPPATTAAEGVSLDLHL >ORUFI03G08480.1 pep chromosome:OR_W1943:3:5737617:5739218:1 gene:ORUFI03G08480 transcript:ORUFI03G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRPTLPRRCSHDPKLSSFLSALASLTASPSSSSSSPLPPAGSVPASPTPAAYNALMSAYSRAGRHGEVLRLFRSLPFAPTAPLFTTLISSLAASGRPLAARAAFASLLKSGVPPTASAFTALLRSSSDALDFVDSVFRAMEALGCSPDAAVYNWVISMLCDFQLVQEALGFLDHMLENGPRPTARSFTAILRAYCEQGRFFDAGRLVDTMIQNGCPPDVVSYTVLIEGLCRVGEFSTVEMILGESESQGWMPTAVTYNIYMSGLCRMGFLDEAFRQVDIMRGRGLSVTAETVHILFDCLCRNAMFSEAVCLLEHSEELGWDVDVFCYNTLMSRLCDVDDFARVLKLLVDLLKKGIGPDKFSFTIAIRSLCRAGKLRLAKCLIENKGIKYDVVAFNTLIHGFCIAGDLDRVQQTRTDMINRDVIPNNFTDAMLIDSLCKERKFGEAKRFVLDSLVNGLVPDHLIRLNNWLVKAKKMTLLLKLLYEIRCKGIVVDTSIISPLVRVFCWEGYCRRDNFYQISPILDIIVTYVEQ >ORUFI03G08490.1 pep chromosome:OR_W1943:3:5739326:5742393:-1 gene:ORUFI03G08490 transcript:ORUFI03G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSSGDVVQWTKRISALARSGRAAEAVAAFARMDAAPNALTLASVLPACARLGDLALGRAIHGLWLRRGGGHGANPIVDNAVLDVYAKCGALASARRLFDEMPERDVFAWTTMVWGLARNGSPQDAVAMFRGMLSDGDAAPNDATVVSALHAVATSGSLVSCKLLHSYAVKQGLGGELVVGNALIDAYAKCGDAWLAFKVFVELPETDMVSWGTITRAMAVHGRCREALQLFSLMLRRGVRPDGAVFLALLTACCHAGRVDQALLFLGAMARVYGISPRREHYTCVLDACGRAGQLDRAGEIFRQMPAEYDAEKALGVYCSYAVSNGVAGVAGERLPELFLDGEVDAGGGTYAVVSKSLADAGRWEDACAVRERMAERRIEKEAAYNIFSGTN >ORUFI03G08500.1 pep chromosome:OR_W1943:3:5743547:5744599:-1 gene:ORUFI03G08500 transcript:ORUFI03G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTGIGADEQELPLFHPSPCAYYVQSPSAASHTLSHPASESTAIILSPFPDAAFAAPRHSRVVDDATAHDNDVDQEASRLTLSRYSSSRGSNNSFLAANKKLPAGGGHRGRQVLSGRSGGGVDEEEEDDSEGARSGAWRYVKLDPDAPCCCIVFQVAWRVAVSVAFALLVFFVATKPRDPGVTFKVGKIQQFSLGEGLDGSGVITSFLSCNLTVAMAVDNHSRVFTLHVRPPRLDMSFGRFTFATSQGVEEEGSSYDVGARGKRTVRLFVAAEGKPMYAAGRGMQDLLESGGGLPLAVTVRARSRYRMVGSLVRLSYRHDAQCVVRLRRTPRRNNAIDASGYTCSAIR >ORUFI03G08510.1 pep chromosome:OR_W1943:3:5747090:5747362:1 gene:ORUFI03G08510 transcript:ORUFI03G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEETRLCEDKGKRRRCARRCSEHRRQQEKDELAVLVKREHPSGAVAVDAARHERLDRAAASDSAAATCDLWSAFDSMTRRKDTLDGLKL >ORUFI03G08520.1 pep chromosome:OR_W1943:3:5749357:5753514:1 gene:ORUFI03G08520 transcript:ORUFI03G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPRPRPHRVAVLLLLLLASSPAARAWKKDEFRNCNQTPFCKRARTRAPHSLDAPLSLDAASLAVATDGSLTASLSHPSRLRPLLLRLSALPPHALRLQIDEDYSSNTPPHRRFQVPDVLLPDVEARTLHLPQPKTSAAGVSTFALSSDVDVVVKHDPFELTVRRAGSGAPVLSFNSHGLFDFEPLQESKQEGETWEEQFRSHTDTRPRGPQSITFDVSFYGADFVYGLPEHGSTSLALRPTRGPGAEESEPYRLFNLDVFEYLHESPFGLYGSIPFMIAHGDGPSSGFFWLNAAEMQIDVLAPGWDGASSTENGRIDTLWMAEAGVVDAFFFVGSEPKDVIKQYISVTGTPSMPQQFAVAYHQCRWNYRDEEDVAGVDSGFDEHDIPYDVLWLDIEHTDGKRYFTWDHSAFPNPEVMQGKIADKGRKMVTIVDPHIKRDSSFHLHEEATAKGYYVKDATGKDFDGWCWPGASSYPDMLNPEIREWWADKFSYENYKGSTPTLYIWNDMNEPSVFNGPEVTMPRDAVHYGDVEHRELHNAYGYYFHMATADGLLKRGEGKDRPFVLSRAFFAGSQRYGAIWTGDNSADWDHLKSSIPMVLTLGLTGMTFSVGALTGADIGGFFGNPEPDLLVRWYQVGAFYPFFRGHAHHDTKRREPWLFGERRTALMREAIHMRYSLLPYYYTLFREASVTGVPVMRPLWLEFPDDKETYNNGEAFMVGPSLLAQGIYEEGQKSVSVYLPGEELWYDLRNGSPYKGGVSHKLEVSEDSIPSFQRAGAIVPRKDRFRRSSTQMVNDPYTLVIALNSSSAAEGELYVDDGKSYDYQQGAFIHRRFVFADNKLTSMNIAPKNLGNKKFSTECVIERIIILGVSSGSKKAIVEPGNHEVDIELGPISLRSGSSSVAPTVRKPNVRVVDDWTIRIA >ORUFI03G08530.1 pep chromosome:OR_W1943:3:5754346:5758595:-1 gene:ORUFI03G08530 transcript:ORUFI03G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKKMEEVTAAAAAVAASSTAEKRAAAVVVPDAALTMNGAAGAEEKTAAAAAAPEDLPAPAALSGWPRRVGLYLFVMNIRSVFKLDELGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQAVFLVFSAKFVLNIMGVKNDSPMLRPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVVGDAANIILDPILMFVCHMGVTGAAVAHVISQYLITMILLCRLIRQVDVIPPSLKSLKFGRFLGCGFLLLARVVAVTFCVTLASSLAARHGPTIMAAFQICCQLWLATSLLADGLAVAGQAVLASAFAKNDKGKVVVATSRVLQLSIVLGMGLTVVLGVGMKFGAGIFTKDIDVIDVIHKGIPFVAGTQTINSLAFVFDGINFGASDYTYSAYSMVGVAAISIPCLVYLSAHNGFIGIWIALTIYMSLRTIASTWRMGAARGPWVFLRK >ORUFI03G08540.1 pep chromosome:OR_W1943:3:5759442:5765103:-1 gene:ORUFI03G08540 transcript:ORUFI03G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYSTGSGPLSRQRARRERFYGLFIREIGKPREAAAAEAAAYSSIGAEEQNVKDTSAEIRTDPVTQPMLLTGKPVAITDRHSSTSDRVGDGQAGRQAC >ORUFI03G08550.1 pep chromosome:OR_W1943:3:5775872:5778940:1 gene:ORUFI03G08550 transcript:ORUFI03G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRKLHLASISALSIFLYVFAHTNSAQAFPVADGTYPPEAEGPAAESSDMDEQLELTPGPQPRVVDVDDYRARADAGDHTEAFLQAWKEACNSSDYPSVLLVPEGKTYLLMPVSFNGPCRATTITATIRGTLEAPSNRSVWLDRDLQEWITFDNIDHLRVLGGGTLNGNGHQWWINSCKTNRSMRCVTGPTALYFRRCNHLVVEGLQIRDSMQMHVVIAYSWRVLVSRLLITAPGWSPNTDGIHVSNSREVLMSGCIISTGDDCISIVTGSMFIRATGIFCGPGHGISIGSLGANKSWAHVSDVLVEKATLVGTTNGVRIKTWQGGDGHAERITFQDITMHNVTNPVIIDQNYCDSMTPCHEQGSAVAINNIRYRNIRGTSSSKVAINFVCSNSVHCDGIVMQDVSLVGEGSYVSCSYMNARVVELGYNFPYCRAEM >ORUFI03G08560.1 pep chromosome:OR_W1943:3:5783214:5788543:1 gene:ORUFI03G08560 transcript:ORUFI03G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRAAAKCQHEPATPPAPRILNMPRRPAGGGRPTRSAGRAQQQQRMAAPGAVNLGALFEMERRVRGLESAPASPPPYSRAARSQEDAGEQEEKWRFQAEILRAECNFLRMEREVALRKLDRHRGQMEAALKSAVETLVSGRKKIDGRGDVGVAAALDEGIEDLEEMMEDLRVEKESGRRAVSSRRELQRSNGRNFDRQASSLRRRLEKMPPADAEPCVKDIREIALPVAPQSPPPPAEHSDVDDDHSNSPNLSDVEMLRMKMEGMSKGMRERMAEYSRRLEAVAGGDNNNAADDCQSRKCGSRHSRKPSASSQRSWSGGSTNAGASRDTAASHGRSRHTVAPEKHHHHHQQHKIMSEECKMVGSGSCCDCREIVGKIMEQVRTESEQWTEMQDMLEQVRLEMQELQSSRDTWQRRAIASDISLRSLNSQVLEWKHRAQVSEQHVEDLQKKISELESKLHTFKAHFPSPGQPNQEWSEACKMEKPIRSNKAQHPPRPSHEPGGGREKEKHVLICRVKHSPSVAAKRQPFQEIGNISLPRHAPMKR >ORUFI03G08570.1 pep chromosome:OR_W1943:3:5788089:5788550:-1 gene:ORUFI03G08570 transcript:ORUFI03G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWRSDHPCMAASPLRLLAIGAAAVPFMRGSRHPRGRRADAVSRPPRSSSFLCQSSSPSEPPGSPAGGGGGGGGGGGGGGGGKKSAWWAAAMAERVFGDAAKAGRAVRESLSPKQKGDWKDVTLMSFSFAVYVYISQKIVCTYCAWISMINH >ORUFI03G08580.1 pep chromosome:OR_W1943:3:5790980:5798172:1 gene:ORUFI03G08580 transcript:ORUFI03G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGLNRLMSIQREQRCRQIEANRLLASTDKRKGSPCKQDNSRCSKRERYSQPDLPEDIWRHIHSLLSLQDAACAACVSRAFLCLWRCRPHLTFSLQTLGLSEMACKKGGIARDYNKKVDRILKKHSGTGMKKFEIEFYGPSNANTYYHLNNWFEIAITSGIEELTLRLTPDVTKYNFPCSLLSDGRGDLIQSLHLSHCSFRPTVEVVSLRSLTSLDLCLVRITDRELGILLSNSLVLEKLGIKYCDKINCLKIPCVLERLSSLEVFECYSLQMVESKAPNLCSFCFGGEQVQFSIGEPLQMKNLQVIFPNSISFGRAELPFSMPNLETLNISSRCEMSHTPTAPGKFLHLRYLSITFAGWRFSRAYDYFSLVSFLDASPLLETFILCILQKGKHDLTLRDPIYPRQMSERQHDRLKNVKINGFSSTKSLVELTCHILQNTTSLECLTLDTTRIEFRCSDSSVDVCLPSDRDAIKGAHKALLAIRTYIEGIVPATVKFSVLEPCRRCYAW >ORUFI03G08590.1 pep chromosome:OR_W1943:3:5798879:5805440:-1 gene:ORUFI03G08590 transcript:ORUFI03G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATASRAGLTSAAAIRQRLFSSSSECDGLMALSMPQWNYGSCYLPHHHHMPHLQFILHSPAGSPWRSPMQFQIQYQDTEDLLVLHHSEVTKFESGTRDLSMEFGCSIVIYPKFSRPLCVSRDPADWKPPVRMSSCFQSMSSKWIILLGAPHHHVQNQCDSYKDAMMGWGEPTFRGVWFRGGWMGWSLERNITLRSGTNRSAKNWRTNXATSASTAAAPLRSNRCLTSAAGAASASSVTAATSTSTATAISATSATSASSTTAAAARPRPPPLVRKRRRRSSQIRAPPSTSAPRRH >ORUFI03G08590.2 pep chromosome:OR_W1943:3:5798879:5805440:-1 gene:ORUFI03G08590 transcript:ORUFI03G08590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATASRAGLTSAAAIRQRLLNYGSCYLPHHHHMPHLQFILHSPAGSPWRSPMQFQIQYQDTEDLLVLHHSEVTKFESGTRDLSMEFGCSIVIYPKFSRPLCVSRDPADWKPPVRMSSCFQSMSSKWIILLGAPHHHVQNQCDSYKDAMMGWGEPTFRGVWFRGGWMGWSLERNITLRSGTNRSAKNWRTNXATSASTAAAPLRSNRCLTSAAGAASASSVTAATSTSTATAISATSATSASSTTAAAARPRPPPLVRKRRRRSSQIRAPPSTSAPRRH >ORUFI03G08590.3 pep chromosome:OR_W1943:3:5798879:5803164:-1 gene:ORUFI03G08590 transcript:ORUFI03G08590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSALSSPAIRFPSPNRSRLASILRRLQPLPPPRLPHLFLCRASTAGTPSPCFPPLRRSSTAGAPIAAPSSSSPHLPPLRRAAGAPIAVPSSSSARLPPLHRASTTGNPIATPPLCVSRDPADWKPPVRMSSCFQSMSSKWIILLGAPHHHVQNQCDSYKDAMMGWGEPTFRGVWFRGGWMGWSLERNITLRSGTNRSAKNWRTNXATSASTAAAPLRSNRCLTSAAGAASASSVTAATSTSTATAISATSATSASSTTAAAARPRPPPLVRKRRRRSSQIRAPPSTSAPRRH >ORUFI03G08590.4 pep chromosome:OR_W1943:3:5803861:5805440:-1 gene:ORUFI03G08590 transcript:ORUFI03G08590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATASRAGLTSAAAIRQRLFSSSSECDGLMALSMPQWNYGSCYLPHHHHMPHLQFILHSPAGSPWRSPMQFQIQYQDTEDLLVLHHSEVTKFESGTRDLSMEFGCSIVIYPVQFSLVAQ >ORUFI03G08590.5 pep chromosome:OR_W1943:3:5803861:5805440:-1 gene:ORUFI03G08590 transcript:ORUFI03G08590.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATASRAGLTSAAAIRQRLLNYGSCYLPHHHHMPHLQFILHSPAGSPWRSPMQFQIQYQDTEDLLVLHHSEVTKFESGTRDLSMEFGCSIVIYPVQFSLVAQ >ORUFI03G08600.1 pep chromosome:OR_W1943:3:5799021:5799305:1 gene:ORUFI03G08600 transcript:ORUFI03G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVLVEVAAVTDEAEAAPAAEVRQRLDLSGAAAVLAEVAXFVRQFLADRLVPDLRVIFLSRDQPIHPPLNQTPLKVGSPHPILTTKHYLSDE >ORUFI03G08610.1 pep chromosome:OR_W1943:3:5802905:5803180:1 gene:ORUFI03G08610 transcript:ORUFI03G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPAARRRGGRCGEEEDGAAMGAPAVEERRRGGKHGDGVPAVEARQRKRCGRRGGGRGWRRRRMEAKRERFGEGKRIAGDESADRMQSDI >ORUFI03G08620.1 pep chromosome:OR_W1943:3:5805477:5806289:-1 gene:ORUFI03G08620 transcript:ORUFI03G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHNPWG >ORUFI03G08630.1 pep chromosome:OR_W1943:3:5818419:5819207:-1 gene:ORUFI03G08630 transcript:ORUFI03G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPPPRLPHRAAPIAAPPQRLHRRRPHCDTPELCNAAEGRSCSLSSTTPPSRPSLRCAASLPHVPIPSSPRHFPICRPACLPPRRPHPFLATSLPHPPPPLRASRSNPAAEPTGELTAVPAGRRQSPSPRRGISPLNGRRSRSTPPSSHADSVSNPYLTTGRRHCFCYHLPLLFFNQQPFDLFLNQISSYVFQSATVS >ORUFI03G08640.1 pep chromosome:OR_W1943:3:5821396:5828046:1 gene:ORUFI03G08640 transcript:ORUFI03G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYVTSEAQARALLQGVGRGQPPRRAFRVIRVSDLVHNILDITLPRLLDDAYDATQLTHFRRQAAALRTMAGVIGGNFRNRAYVVVRKIRFVASSARNHSMAAARLQQRLLDIRRRLSGLVEAPDMNLQRLCADCSYLINQIAGELLALLADAAFETVSIPFRFIFAKVIGDCSLVAKQRAFIIHAACALDKAMMVRLCEKSGNFYCTELGRIANHFYLQYSSVETYNEMLWHHMNESKVYISRALLDNSSLHSNAQSISRSLDHVMRTLFEICLRQRWSEMTSLLLEYCKGVDHKIWAVCNGPS >ORUFI03G08650.1 pep chromosome:OR_W1943:3:5828222:5828521:1 gene:ORUFI03G08650 transcript:ORUFI03G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEATVMAKDRFAVRGVLGLGDCNHGVHVEVPATTRQSSMMALTVANSPCSPAVHHHRWLLLALCVFVCIPLRRNEAYGDGRCGIQRVHKVYGAMKL >ORUFI03G08660.1 pep chromosome:OR_W1943:3:5829535:5835448:-1 gene:ORUFI03G08660 transcript:ORUFI03G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPISRLDPRYHRARGLHELNRLMSLRREQRRLQIETYQFLAQCAKRKESPCQQDNSQCVKRMRYSGPDLPEDIWHHIHSLLPLQDAARAACVSQAFLRFWRCHPNLIFSWKTMGLNKVPHKKGGIVRDYNNKVDRIMKNHSGGIKTFSLELHPFSNANTYYHLNSWLEIAITSGIKELTLVLTSNEEKYNFPCSLLSNESGDSIQSLRLRLSFLGVFGCFGLQMLESKAPNLCSFHFEGKQAQFSLEEPVRLKNLEVVFPNSVCYARVELPFSMPDIETLNVILSCEMVDTPTGPGKFLHLRYLRITFATWRFSWAYDYFSLASFLDASPSLETFILCISQKEKHDLTFKDPICLRQIPEHRHDKLKNVKITGFSATRSLAELICHIMENTTSLECLTLDTLCTQLRCSDGNIDVCLPLDQDVIKGVHNSLLAIRTYIEGKIPSTVKFNVREPCSRCHGWIGARYTGWLRPFIGARREQGTVVIGDRVG >ORUFI03G08660.2 pep chromosome:OR_W1943:3:5829535:5835448:-1 gene:ORUFI03G08660 transcript:ORUFI03G08660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPISRLDPRYHRARGLHELNRLMSLRREQRRLQIETYQFLAQCAKRKESPCQQDNSQCVKRMRYSGPDLPEDIWHHIHSLLPLQDAARAACVSQAFLRFWRCHPNLIFSWKTMGLNKVPHKKGGIVRDYNNKMVDTPTGPGKFLHLRYLRITFATWRFSWAYDYFSLASFLDASPSLETFILCISQKEKHDLTFKDPICLRQIPEHRHDKLKNVKITGFSATRSLAELICHIMENTTSLECLTLDTLCTQLRCSDGNIDVCLPLDQDVIKGVHNSLLAIRTYIEGKIPSTVKFNVREPCSRCHGWIGARYTGWLRPFIGARREQGTVVIGDRVG >ORUFI03G08670.1 pep chromosome:OR_W1943:3:5838496:5844168:1 gene:ORUFI03G08670 transcript:ORUFI03G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRSYGDDMDDDRRRFYDRGPPPPPPPPRRRPGDYDGDGFDRRKGFGGGGGGGGGFYDHRYRESPSPRGYGGDRAMHRSESFSGFRREFPKGFRSERDRSRRDGGGSSAWRRQSGGWRDSECLDGYRAAPRRSGASPPTPPLRLPSESSRRFDGARVEKSRKQSFGISEMEEGEVAPDPETKARAAAVDHRKQIEFSGHAKEKGPERGEVKKVESGVRGDLGAHGKGVAGSSAARNAGREEGKIKDSMIAEAGTVTHTRHEKSTSDAAVSTGRGHEVQGQDEAANALNQVGQCVSSSGSHKVPQEETMIRDEAANVVDAIGQSTSSDIQQEAVCEKAAVRDETANAAEEAGQRTSSSVHEEAIHEQATIRNETASDVDEAGQSTSSNIRKDAIQQKATIRDETTNAVDEAGNGTSSSNQEAAIQEKVPVQDETTNAVDESGLGTSSSIHQEGLQEEAMALDEAVDAADVVRKVSLCGMHQEALLGKTRDQTANDVDRVECSTSSGLLQVTPQEGMASIDGTANAVEPEKIDSDMLKEMIEGELVLDGTADVVGEGNSPSTVKEAMHGKITAEDGCPSSALEIAKKCKQSAITEELVHEKVATSPSQGAPEMKKNEKGTISSKKMSESFEPDASQHVEEALPRDGRENIVALSDTEVPEQEAAAENKTIEKEVKGFCLEANSVGANVFLQPSKECNGDRKEEGTALNLIMGKPSAEDKGKGIAFDVLSKEEDIGVGSSVGRSFDLALQPDIDRTEVLKSSGTVSVKQEDDTPKIGRLDLSLSLSGCLQNPEFKCSVPRSESLDLATCSQMLPSSSFRTNSEGFTGSVSLTNSQTFVHNPSCSLTQQSLDNYEHSVGSKPLFKGVDKLSDSTRWQAQLSNESTKKREPTAVLQNTVKYGNLPDKTFLGVNVQNNGISKDIHRRAGISGVLSPTHSRDSHDSGFEQSRHRRQLTRERSSSSLTRGERQDGQQLVLNGAGVIERIISKIVSEPLHHTGRMLDEMTSNSVTYLREAISDIIADADKRGQVVALQEALKKRSDLNSEMLQRCPRVLLEILVAIRTGLPDFMKKSNSIGTCDLVDIFLYLKCRNLSCKSILPVDDCDCKVCQRKTGFCSSCMCIVCSNFDMASNTCSWVGCDVCLHWCHTDCGLRHSLIRKGGSGSRAYSTNELQFHCAACGHPSEMFGFVKEVFRTCAMQWRMETLVRELQYVERIFSSSDDARGKRVRDFVKQMIIKLENRAYHPEVMKYIMAFFSDDNSNAGSGTSVPLKGIPCNIAERIDGIPSSSRKAPSWLPSVTLEGVPFLEKQGVISTTGSPLTLRKFGGTDFQTVDNKPTVDELDALIRLKQAEANMYQQRANDARKEAETLKHVTMVKHAQIEEHYATQIGELHINELQEQRKRKIEELQVIERTHHRFLSMKTRMEGSIRELLLKMEATKQNFST >ORUFI03G08680.1 pep chromosome:OR_W1943:3:5844488:5848791:-1 gene:ORUFI03G08680 transcript:ORUFI03G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFSVSGSGVEFEAKITPIVIISCIMAATGGLMFGYDVGISGGVTSMDDFLREFFPTVLKKKHEDKESNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGVFFIVGVIFNGAAQNLAMLIVGRILLGCGVGFANQASNFPIILSGEYVQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTAKIHPWGWRLSLSLAGIPAALLTLGALFVVDTPNSLIERGRLEEGKAVLRKIRGTDNVEPEFNEIVEASRVAQEVKHPFRNLLQRRNRPQLVIAVLLQIFQQFTGINAIMFYAPVLFNTLGFKTDASLYSAVITGAVNVLSTLVSVYSVDRVGRRMLLLEAGVQMFLSQVAIAVVLGIKVTDRSDNLGHGWAIMVVVMVCTFVSSFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVIAQAFLSMLCHLKYAIFAFFSAWVVVMSLFVLFFLPETKNIPIEEMTERVWKQHWFWKRFMDGADKHHVVPNGGKSNGATV >ORUFI03G08690.1 pep chromosome:OR_W1943:3:5856464:5858200:-1 gene:ORUFI03G08690 transcript:ORUFI03G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQLYTVASDSETTGEDKSQMSFPDVAIGIDIGTSNCSVAVWTGHQVELLKNTRNQKGMRSYVMFKDDSLSAGVTGGATREHGHEERDILSGSAIFNMKRLIGRMDTDEVVQASKSLPFLVQTLGIGVRPFIAALVNNMWRSTTPEEVLAIFLLELKALVEMHLKHPVRNAVLTIPVAFSRFQQTRIERACAMAGLHVLRLMPEPTAIALLYAQQQQQLLHDNMGSGIEKIALIFNMGAGYCDAAVAATAGGVSQIRALSGSTVGGEDILQNVMRHLMPDFDSLYAGQTMDRIKSIGLLRMATQDAIHKLATQEHVEINVDLGAGHKVSKILDRGEFEKVNQSIFEKCEGIIKQCLADAKLTPEDINDVILVGGCSRIPKIRSVVLGLCKKDDSYSGIDPLEAAVSGAALEGAIASGVSDPSGSLDLLTIQATPMNLGIRADGGNFAAIIPRNTAVPARRDMLFTTTHDNQTEALIAVYEGEGNQAEDNHLLGYFKITGIPAAAKGTVEINVCMDIDAGNVLRVFAGVVKPQGQAVPPFIEVRMPTLDDGHGWCGQALAKMYGSTLDLATIPKKLHP >ORUFI03G08700.1 pep chromosome:OR_W1943:3:5861633:5862170:-1 gene:ORUFI03G08700 transcript:ORUFI03G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAATWRTTTAKRPLAVAGVSGSGDSGRFAAAVTVEALAMSADAGGERRVKTQSGLGRTDNDGSFPLLRALSCCLTPQGCLSGENPVLAPLSPDGRTAAAFPSLLFLKTSFWHPLGGDLVWDPLLV >ORUFI03G08710.1 pep chromosome:OR_W1943:3:5862179:5863474:-1 gene:ORUFI03G08710 transcript:ORUFI03G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPIDDDGCHGASQGAAAVGTARHKRGKDGAVSVLEPSTSRELRELIIRSCSSHRRSYWGFSAGERRRGWRLRRARRRLRWSLRSGGAVLGGGLPQICASWLDLEGGRRWSSATAADLRRLVTAATVVVAAVGGDGVG >ORUFI03G08720.1 pep chromosome:OR_W1943:3:5869923:5871440:-1 gene:ORUFI03G08720 transcript:ORUFI03G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTVFLFWCLREWNRFYVSDCIQKNILSEVWTRYQAIPTTYQVILAKYNVSDDSYHVLGDTSEVSGVGHQSIVAGGPTVHVSRWSLSAAAASSTVHATSELGGDDCCILHSPRHVGARHRRLRPPSSTSHVGASCRWPHPPPYMPRQSSSPAAASSVIYAPRRSSSATAASSIVHTTSELVAGGRVLLSLRLSTPTIAPSPAIATTHVHQLRLQARTAPQRGTSLRFSNDGQAPRAAAVISWCE >ORUFI03G08730.1 pep chromosome:OR_W1943:3:5876764:5879873:1 gene:ORUFI03G08730 transcript:ORUFI03G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKVDAKEREKIEAVRKTLRKQAPLTAKQAMYCNDACVERFLRARGESVKKAAKHLRTVLSWRDTIGADHIIADEFSAELADGVAYVAGHDDEARPVVVFRIKQDYPKFHSQKSFVRLLVFTLEVAVSCMSRFVDQFVLLFDASFFRSASAFLNLLMGTLKIVADYYPGRLHRAFVIDPPSLFSVLWKGVRPFVELAPATAVVCSLDFEDSLEDASFTAYPRTASLRFEPAAALLATGTKGIGVGSASSRFSVTVSHDNTLKPWYLSTTTPSSVGPRSVVPTSSPSLIGASPLSARSFSFASPAARSTTTPPVHRGAPLTPFSTKGGQKAPAPAQQFPRTPRPSFLQSPSMLFAFKKDGQASRGERERESFLPFLRFYRRPYDEISYRAKMRPPLGGLIAIVDEKSKQHKTVQPPLRRHAGLHHQQLFQLHHHQRI >ORUFI03G08740.1 pep chromosome:OR_W1943:3:5884559:5887641:-1 gene:ORUFI03G08740 transcript:ORUFI03G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAGGLKGVALIGGAGGNSAVAGALHFFQDPSTGYTEVRGRVTGLAPGLHGFHIHSFGDTTNGCNSTGPHFNPHNKSHGAPSDDERHVGDLGNIVANKDGVADIFIKDLQISLSGPHSILGRAVVVHADSDDLGRGQKSNCQSLFLCCIYARFVVVMNSVKQQEMQEQELDAVSLDFDLQFNNL >ORUFI03G08750.1 pep chromosome:OR_W1943:3:5888293:5891692:-1 gene:ORUFI03G08750 transcript:ORUFI03G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLMPSDTTPGIARDAFNTFFSETSSGKHVPRALFVDLEPTVIDEVKTGPYRQLFHPEQLISYKEDAANNFARGHYTVGREVVDLCLDRLRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGRKSKLGFTIYPSPQISTAVVEPYNSVLSTHSLIEHTDVVVLLDNEAIYDICKRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPIISVEKAFHEQHSVPEITNSVFEPASVMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVHSIKTRRTVQFVDWCPTGFKCGINYQPPTAVPGGDLAKVRRAVCMISNNTAVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEVEDDDEEQGEY >ORUFI03G08760.1 pep chromosome:OR_W1943:3:5893030:5894952:-1 gene:ORUFI03G08760 transcript:ORUFI03G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPAYLLLLLLILVILRPAAAPGAASQPPTSEPHLPPPLLAQKVQVWPKPTSISWPSAVYAPLSPSFSVRAVLSHPSLRQAVAFYTRLIRAERHAPLVPLANYTLSRVPVRTLTLSVSDPDVPLGPAVDESYTLSVLPDSGSADISAATPWGAIRGLETFSQLAWAGGGAASGGQPIVPSGIEISDRPHFTHRGILLDTARNFYPVRDILHTLRAMAFNKLNVFHWHITDAQSFPIVLPTVPNLANSGSYSPTMRYTENDVRHIVSFAASFGIRVIPEIDMPVYSRLSVARFGSGHTGSWAGAYPEIVTCANRFWAPHAEPALAAEPGTGQLNPLNPKTYRVAQDVLRDMVALFPDPYLHGGADEVNTACWEDDPVVRRFLAEGGTHDHLLELFINATRPFVAQELNRTVVYWEDVLLGPKVTVGPTILPRETTILQTWNDGPENTKRVVAAGYRAIVSSASYYYLDCGHGGWVGNDSRYDKQEKEREGTPLFNDPGGTGGSWCAPFKTWQRVYDYDILHGLTDDEAQLVLGGEVALWSEQSDETVLDARLWPRAAAAAETLWSGNKGSNGKKRYANATDRLNDWRHRMVERGIRAEPIQPLWCSLHPGMCNLSQ >ORUFI03G08770.1 pep chromosome:OR_W1943:3:5895145:5897405:1 gene:ORUFI03G08770 transcript:ORUFI03G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHDFFHCQPPSLRLLLPRSGREYAGAGKRIAAVRRTVSMMSSRGTSAMLSRAARMRQKLQSALEASALDIEDVSYQHAGHAAVKDNANETHFNIRVISPKFEGQSLVKRHRMVYDLLTDELNSGLHAISIVAKTPKESGS >ORUFI03G08770.2 pep chromosome:OR_W1943:3:5895145:5897309:1 gene:ORUFI03G08770 transcript:ORUFI03G08770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHDFFHCQPPSLRLLLPRSGREYAGAGKRIAAVRRTVSMMSSRGTSAMLSRAARMRQKLQSALEASALDIEDVSYQHAGHAAVKDNANETHFNIRVISPKFEGQSLVKRHRMVYDLLTDELNSGLHAISIVAKTPKESGS >ORUFI03G08780.1 pep chromosome:OR_W1943:3:5898458:5900179:-1 gene:ORUFI03G08780 transcript:ORUFI03G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTVGQVHRHVLALASSRSCFVLSDNLPLRMLSLPRAVRFHQTAWLGTETVQDKSASLTLASLEGQNKVEYGKKEKATRIGGPKPSSRASALKVKPKVSSFNSKPAKSTLPKSAVVKKTLKIDESLFSAKSFEELGLPPLLIDRLNKEGLSTPTEVQSAAIPIISQKHDAVIQSYTGSGKTLAYLLPILSEIGPLKRPTEQDGSDKRSGVEAVIVAPSRELGMQIVREVEKILGPNDKRLVQQLVGGANRSRQEEALKKNKPLIVVGTPGRISEISAGGKLHTHGCRFLVLDEVDQLLSFNYREDMHRILEHVGRKSGTSSRDILGPLARRSERQTILVSATIPFSVIRAARSWGHDPVLVRAMSVVPLDSITVPRPVLSQTDANPNSPSNSVNQAAVDSLPPSLEHYYCISKAQHKVDTLRRCIHALEAQTVIAFMNNTKPLKDVVFKLEARGMKATELHGDLGKLARSTVLKKFKDGEFRVLVTNELSARGLDVPECDLVINLDLPTDSTHYAHRAGRTGRLGRKGTVVTICEETETFVVRKMRKQLAVPIKPCEFTEGKLLVHNEEDVE >ORUFI03G08790.1 pep chromosome:OR_W1943:3:5902974:5910086:1 gene:ORUFI03G08790 transcript:ORUFI03G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDPDRGGGEPDISKLFCHYNALYFHDSLGTCAVSWATEEDPLPNREVGGCEYYPGGGGCIILLSRSLYEHHTDLDLKNALLHEMIHAYMCIKDSNDNHSDHGPKFQKLMNTINLNSVADPHRPLGGYSITVFHEIRKKFYIHKCESCGDLIKSTKIKGPSQDDCIEAMGANDSHKKRCTGSYHRVQGSSSGCVEGSKEAPDCKVEESAPGSWHNAHTSIKGGKGNKHELEETSAGFPPDDSIGISGMESSSRDTANKKIKLSKDIGLDRLTATTVQEAPKRPRTTSLKKNQECSRQKKRKISKWDGSYSVIIEWLNYYSVDESDEDEVPLINKRTERRKRQKLLKLVLARESNSGSEGASSTSFVENGRNSSSAGSYPLSQGDNDKSENVQANRVDGSSLPDHPVSSHVAAEDQAGQAASSPLNSPTRGIVVDISDG >ORUFI03G08790.2 pep chromosome:OR_W1943:3:5902974:5910086:1 gene:ORUFI03G08790 transcript:ORUFI03G08790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSNRLISLVSFPNYPLLSTHTSKPLRRALRSTAMASITLLSLAPAATLLHLPASTSLSTSFVAAPGPISGRRSVVLRARPRRCVTVVCSAAAAEAAEAEPAEKFRLDNLGPQKGSRRRPKRKGRGIAAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRIPKLRGIAGGMHIGLPKYVPFNLRDLARGGFKDGDEISLESLKSRGLINPSGRERKLPLKILGDGDVSVKLNIKAGAFSASAKEKLEAAGCTLSLVPKRKKWLPQSYLKNQARAEEYFSKKNGGAGESDEASA >ORUFI03G08790.3 pep chromosome:OR_W1943:3:5902974:5910086:1 gene:ORUFI03G08790 transcript:ORUFI03G08790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSNRLISLVSFPNYPLLSTHTSKPLRRALRSTAMASITLLSLAPAATLLHLPASTSLSTSFVAAPGPISGRRSVVLRARPRRCVTVVCSAAAAEAAEAEPAEKFRLDNLGPQKGSRRRPKRKGRGIAAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRIPKLRGIAGGMHIGLPKYVPFNLRDLARGGFKDGDEISLESLKSRGLINPSGRERKLPLKILGDGDVSVKLNIKAGAFSASAKEKLEAAGCTLSLVPKRKKWLPQSYLKNQARAEEYFSKKNGGAGESDEASA >ORUFI03G08800.1 pep chromosome:OR_W1943:3:5915386:5916963:-1 gene:ORUFI03G08800 transcript:ORUFI03G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAGYSGSVKLKYVKLGYQYLVNHFLTLLLVPVMAATALELARMGPGELLSLWRSLQLDLVHILCSVFLVVFVGTVYFMSRPRPVYLVDYSCYKPPPSCRVPFATFMEHTRLITDDEKSVRFQTRILERSGLGEETCLPPANHYIPPNPSMEASRAEAQLVIFSAIDDLVRRTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISLDLARDMLQVHPNSNALVVSTEIITPNFYWGTRRDMMLPNCLFRMGAAAILLSNRRREARRAKYRLMHVVRTHKGADDRAYRCVYEEEDEQGHSGISLSKELMAIAGDALKSNITTIGPLVLPMSEQLLFFFRLVGRKLINKKWKPYIPDFKLAFEHFCIHAGGRAVIDELQKNLDLSAQHVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWQIGFGSGFKCNSAVWKCLRTVKTPADGPWDDCIHRYPVDIPEVVKL >ORUFI03G08810.1 pep chromosome:OR_W1943:3:5932603:5935275:-1 gene:ORUFI03G08810 transcript:ORUFI03G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRGRRHWVDEDRGQRSPAVAASAAPKYAEADDLGIRQIKLLRNQRCAHNFGIASPMRADMEDFCSYLILRHCMFLPISLSPLSGEETVMEGREDKNTTKIRSI >ORUFI03G08820.1 pep chromosome:OR_W1943:3:5939442:5947379:1 gene:ORUFI03G08820 transcript:ORUFI03G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEICAEAFPLSSRVPPPVYCMRSRVPNLLHMCLAAIDDLDHHYSQRWRNLTAQTRETPNARTTLIGSLKCIFQTEEAINFGSLKWQKLKKEFVLSISERVLGKQGSLAQPDVYMNNYSSYHFAKDSDGLRPRKAPSHENPRSLSRLCEVEPRSCAGTASTPFHRRLRLVGALSSSGSCAGRCRLLSTQIEIWLSTRVHFMLRCGMPHPPLPIASQFPKPLSKVVMVSWPHPTRNRTAVTGEISHPGRQFDELSPVNPLGFTTMLSEVQIRSEQGSSGGPLLNGQVQVTGLLHGGNGSFSFFISLPNGDSLSDLLALIQHCSAPFTSFWMLCTPPNFHSY >ORUFI03G08820.2 pep chromosome:OR_W1943:3:5939432:5947379:1 gene:ORUFI03G08820 transcript:ORUFI03G08820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRACGVLGGADMLCGRHLHESMTMPVRACSRVPPPVYCMRSRVPNLLHMCLAAIDDLDHHYSQRWRNLTAQTRETPNARTTLIGSLKCIFQTEEAINFGSLKWQKLKKEFVLSISERVLGKQGSLAQPDVYMNNYSSYHFAKDSDGLRPRKAPSHENPRSLSRLCEVEPRSCAGTASTPFHRRLRLVGALSSSGSCAGRCRLLSTQIEIWLSTRVHFMLRCGMPHPPLPIASQFPKPLSKVVMVSWPHPTRNRTAVTGEISHPGRQFDELSPVNPLGFTTMLSEVQIRSEQGSSGGPLLNGQVQVTGLLHGGNGSFSFFISLPNGDSLSDLLALIQHCSAPFTSFWMLCTPPNFHSY >ORUFI03G08820.3 pep chromosome:OR_W1943:3:5939442:5947379:1 gene:ORUFI03G08820 transcript:ORUFI03G08820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEICAEAFPLSRFPTLEKSYCADSRDTERSHNTNWITEVHFSNRGSNKLWISEVAKIKKRIRSLNLRKSLGQARFSSSTGCIHEQLLKGHVSYHFAKDSDGLRPRKAPSHENPRSLSRLCEVEPRSCAGTASTPFHRRLRLVGALSSSGSCAGRCRLLSTQIEIWLSTRVHFMLRCGMPHPPLPIASQFPKPLSKVVMVSWPHPTRNRTAVTGEISHPGRQFDELSPVNPLGFTTMLSEVQIRSEQGSSGGPLLNGQVQVTGLLHGGNGSFSFFISLPNGDSLSDLLALIQHCSAPFTSFWMLCTPPNFHSY >ORUFI03G08820.4 pep chromosome:OR_W1943:3:5939442:5947014:1 gene:ORUFI03G08820 transcript:ORUFI03G08820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEICAEAFPLSSRVPPPVYCMRSRVPNLLHMCLAAIDDLDHHYSQRWRNLTAQTRETPNARTTLIGSLKCIFQTEEAINFGSLKWQKLKKEFVLSISERVLGKQVAVPMFVEETIGTMFRHTPIHTFCWIYKKRDMSVITLQRIQMVFGLGSKVVMVSWPHPTRNRTAVTGEISHPGRQFDELSPVNPLGFTTMLSEVQIRSEQGSSGGPLLNGQVQVTGLLHGGNGSFSFFISLPNGDSLSDLLALIQHCSAPFTSFWMLCVWVNMLRLF >ORUFI03G08820.5 pep chromosome:OR_W1943:3:5939442:5947014:1 gene:ORUFI03G08820 transcript:ORUFI03G08820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEICAEAFPLSSRVPPPVYCMRSRVPNLLHMCLAAIDDLDHHYSQRWRNLTAQTRETPNARTTLIGSLKCIFQTEEAINFGSLKWQKLKKEFVLSISERVLGKQGSLAQPDVYMNNYSSYHFAKDSDGLRPRKFPKPLSKVVMVSWPHPTRNRTAVTGEISHPGRQFDELSPVNPLGFTTMLSEVQIRSEQGSSGGPLLNGQVQVTGLLHGGNGSFSFFISLPNGDSLSDLLALIQHCSAPFTSFWMLCVWVNMLRLF >ORUFI03G08820.6 pep chromosome:OR_W1943:3:5939435:5947014:1 gene:ORUFI03G08820 transcript:ORUFI03G08820.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRACGVLGGADMLCGRHLHESMTMPVRACSRVPPPVYCMRSRVPNLLHMCLAAIDDLDHHYSQRWRNLTAQTRETPNARTTLIGSLKCIFQTEEAINFGSLKWQKLKKEFVLSISERVLGKQGSLAQPDVYMNNYSSYHFAKDSDGLRPRKFPKPLSKVVMVSWPHPTRNRTAVTGEISHPGRQFDELSPVNPLGFTTMLSEVQIRSEQGSSGGPLLNGQVQVTGLLHGGNGSFSFFISLPNGDSLSDLLALIQHCSAPFTSFWMLCVWVNMLRLF >ORUFI03G08830.1 pep chromosome:OR_W1943:3:5948619:5972258:-1 gene:ORUFI03G08830 transcript:ORUFI03G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSTTATTVARSHEHKAVRGGLRNHGSDMQMQTAPHHAIRPWFKEKGKMPGLLVITGTEGQRMGELTTDEASVEEEACHALGEVTAAASTGSLLPIELPLPGWSGCAVAVAVAVVVVVAAAATPLTGIPTPLQPSPWSSVPYLAVVKGDYRIWMTVLKAIGEDVDAGDVVEEAGTSGRAEAVDKVEWRQLMTRRGEEAVGYAETGGGAVGRVETAGRMVHRLTALTLGINLPVGARRRPRSGARRKPTRRAQRLDDIPATEFEVEIGGGEGKGRVGGGGVGAQVAAYWPHAAGSGPGWRAEGRTGGAAATVMWDCGGRYDTEGVCAAVAEVGAEAEGEGGGRGSAPPSRRAPLPTAKNRRASPPSSHLPNIAAPAPTIVIAAHRSPLRPLAAVRPPNPNPCRSRSPLPRLSSSSPPPTTSADVVTPPPPPTPTLNPPPTMPPPLTPTPVAIWNFFLRRLSDDYLPPPPQPTSPIRSPVAVPRPLKSPRTPSQPASLPPPPSLRYQNRHASPVSSDKLLRRPPPRPPPQPLPIWSHAVVPPPPKVAEKVFLAKVQQLPYLVKSEMKYWQRAWAVVSTTKFEGSAPSCRFIIGTVGGRWQQRGDHQTRRAGGLWWSLGQGGGGSCREDEKVARWGEKAAAVGKRNSGGGGA >ORUFI03G08840.1 pep chromosome:OR_W1943:3:5961140:5971799:1 gene:ORUFI03G08840 transcript:ORUFI03G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQGERKIPLERGTSEPVEAAAVTSPSAWHASSSTEASSVVSSPMRWPSVPVITKRPGCQILERFNDSAMEDAYEDLKMKYRAKRERQLKLVTLDPHVPRSCMGNQNLLPVRDSSTKTILEGAKVVISLSSYVDGTLMARSSGFFINWDEESKVCTVLTSARLICSKYSSMDQWLGSDEYSPDATVYAHLLDKDETTVPAALLHYDRHFNIALFKVDVDSCAKIPSFSSEVTCGQDIFALGRDDDLNLTINHGRVQFKGPSMFERHYYMYTGFTTGQSGIGGPIINFNGQVLGMTNVLGMGFIPSSVILHCLDMWKKFGYIPRLHIGMKLSAMKFLDPIHVEKISRKCNIDSGLIVKELEIELMQICEDHLDKGRGIGSSVDIQVGIFRMCKGSTCTISLRLNISNDVEVFARVADMNS >ORUFI03G08840.2 pep chromosome:OR_W1943:3:5961140:5971799:1 gene:ORUFI03G08840 transcript:ORUFI03G08840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQGERKIPLERGTSEPVEAAAVTSPSAWHASSSTEASSVVSSPMRWPSVPVITKRPGCQILERFNDSAMEDAYEDLKMKYRAKRERQLKLVTLDPHVPRSCMGNQNLLPVRDSSTKTILEGAKVVISLSSYVDGTLMARSSGFFINWDEESKVCTVLTSARLICSKYSSMDQWLGSDEYSPDATVYAHLLDKDETTVPAALLHYDRHFNIALFKVDVDSCAKIPSFSSEVTCGQDIFALGRDDDLNLTINHGRVQFKGPSMFERHYYMYTGFTTGQSGIGGPIINFNGQVLGMTNVLGMGFIPSSVILHCLDMWKKFGCPMDQMLRKEELELLEIELMQICEDHLDKGRGIGSSVDIQVGIFRMCKGSTCTISLRLNISNDVEVFARVADMNS >ORUFI03G08850.1 pep chromosome:OR_W1943:3:5972965:5976844:1 gene:ORUFI03G08850 transcript:ORUFI03G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVEEIVRRAGGCAVIDGGFATQLEALGADINDPLWSAACLITKPHLIKEVHMQYLEAGADVIISSSYQATIPGFLARGMLLEEAEGLLRRSIELALEARDEFWKSTLRKSKPVYNRALVAASIGSYGAYLADGSEYSGSYGEDITAEKLKDFHRRRLQVLASAGPDLIAFEAIPNKMEAQALVELLEEENIQVPSWICFSSVDGKNLCSGESFAECLQFLNASDKVIIVGVNCTPPQFIEGIIRELKKQTKKAIAVYPNSGEIWDGRAKRWLPAQCFGHKSFDALAKRWQEAGASLVGGCCRTTPSTIRAVSKVLKGKTSYSATQI >ORUFI03G08860.1 pep chromosome:OR_W1943:3:5976442:5983627:-1 gene:ORUFI03G08860 transcript:ORUFI03G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDKEVGPPPPPCVDSDHEDLPLAERRRRLLRPPAESKPPAPERREASAAAAAAEDSGGAAQQGWPGLPRGVEFNPTDSDLLWHLAAEVGNGQARRHPFINEFIKSVDETIGFGYTHPQDIPGIRQDGCASYFFHKNFKECANENSKCIRWQKSGNPISITLDGNLQGCKEVFVLYAYETDGNNPQITDWRLHQYHIESTEKDEGELVVSKIFYELEKNQFKWAEKSHAQSAQGASAIDDDSKEELQLDNHSFNMITENSSVQGNENKQKQTQTGTCPNLDKLSYFNVVSNMHIGNQINDHDEIEELDHMSLQERYRILMAENHSSSAVVSSEQCAIDGLENSCKPGTNGMIPKRIHEGTAFRDGMYSMLQEISSAPAIIGSIDNDNNRRLLTEGLSNNQQSHEAGCESGFLSTSSSAAPPQCQVVCSHDLLVNGKTLIYSRDPSSSSTPTFGDKNIQLEGTDDRTLLVDIKLEPALEGDFTEKITSSVQRTDPNHGTEGSNLVGSINSVSSAISKRISEAARSNPENSHVEGLLPSSRIKSEVTGSELPLVVCGLTSISIAELTAKKTNTLNHDGVLAYCSRKRKRRKTLRDPSEKTLEEDSLRNDEGTAYFSRQRRRRKTATDSIETALEEDAPGLLQILLDKGILVKEIKLYGVEEEDDMVPDCTESDFQDLENVITKLFPQRTSLLKSALRHEKGEKAIYCLTCLISLIEQSRYLQFRDCPVEWGWCRDLQSFIFIFKSHNRIVLERPEYGYATYFFEIVKSLPIQWQIQRMVTAMKLSGCGRTALIENRPLLIGEDLTEGEARVLEEYGWVPNSGLGTMLNYRDRVVHDRWNERSGTDWKTKIGKLLMNGYSEGHLVLSHFPTKVGKIEDDTEIKQEDPL >ORUFI03G08870.1 pep chromosome:OR_W1943:3:5986525:5986962:-1 gene:ORUFI03G08870 transcript:ORUFI03G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVALRLVKSGHAKRGGGCGARSVTWRSNGQISRAPRPEATGGSSGERAREWFGRVGERRVGRGSGWIGCGAPCAGAALVAKTGHAARTHGTMASRGFRHQPQRSSSQAGLGEYKRLLGWDAALFFALLSAWATALDWYSNDDI >ORUFI03G08880.1 pep chromosome:OR_W1943:3:5987486:5991681:1 gene:ORUFI03G08880 transcript:ORUFI03G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFLFLLILAASVVHGEDGAYIGVNIGTAMTSVPAPTQITTLLRSQNIRHVRLYDADPAMLAALANTGIRVIVSVPNEQLLAIGNSNATAANWVARNVAAHYPSVNITAIAVGSEVLSTLPNAAPLLMPAIRYLQNALVAAALDRYIKISTPHSSSIILDSFPPSQAFFNRSLDPVLVPLLKFLQSTGSPLMLNVYPYYDYMRSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMAYLNVTNVPVMVTETGWPHKGDPSNEPDATSDNADTYNSNLIRHVMNTTGTPKHPGVAVPTYIYELYDEDTRPGSTSEKYWGLFDMNGIPAYTLHLTGSGVLLANDTTNQTYCVAREGADEKMLQAALDWACGPGKVDCSALMQGQPCYDPDNVEAHATYAFNAYYHGMGMGSGTCYFSGVAVITTTDPSHGSCVYAGSGGKNGTSLLNGTSLAPSSNSTAGDSGAHRAIGDVSSFVRAVVAALLLSVVLLL >ORUFI03G08890.1 pep chromosome:OR_W1943:3:5995425:5997956:1 gene:ORUFI03G08890 transcript:ORUFI03G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVGVLLLLFFNLHLPTAAIDTLTLGQSLLWNQTLVSNGGNFELGLFSPGKSNKHYLGIWYKKISKKTVVWVANRERPILEPSSCHLELSVHGDLRLFSTAPSNTLLWSSNASASSSPSPPRTTVATLQDDGNLVVNSNATRSRSRSPSSTTTTHVAWQSFDHPTDTWLPGARLGYDRGRGVHSFLTSWTDSENPAPGAFSMVIDARGLAKFDLLAGGEHRYWTTGLWDGEIFANVPEMRSGYFTGVPYAPNASVNFFSYRDRLPGAVGNFMLDVNGQMRRRQWSETAGKWILFCSLPHDACDVYGSCGPFGVCSNATNPECRCPAGFEPRSSEEWRLENAAGGCVRRHPLECHGDGFLALPYTVRLPNGSVEAPAGAGNDKACAHTCLVDCSCTAYVHDGAKCLVWNGELVNMKAYAANENGQGDPGLAGAVLHLRVAHSEVPASSTEHSWKKSMVILGSVVAAVVLLLASLVTVVAVAAVLRMRRRRGKVTAVQGSLLLLDYRAVKTATRDFSEKLGSGSFGTVFKGALPDGTPVAVKKLDGLRQGEKQFRTEVVTLGMIQHVNLVRLRGFCCEGNKRALVYDYMANGSLDSHLFVMSGSSSGPDSKQVTLTWSQRYNVAVGVARGLAYLHEKCRECIIHCDVKPENILLDQEMAARLADFGMAKLVGRDFSSVLTTMRGTVGYLAPEWLAGTPVTAKADVYSFGLLLFELVSGRRNSTAPSSSSEGGPGIYFPVHAVVKLNEGDVAGLVDERVAKDADPKEVERLCKVAGWCIQDEEGDRPTMGLVVQQLEGIANVMLPPIPSRLHILAIENEWVRGVPEDERCSKSGSKPETEAIEEM >ORUFI03G08900.1 pep chromosome:OR_W1943:3:5998453:6006486:-1 gene:ORUFI03G08900 transcript:ORUFI03G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRAGGAPPVTGDRYLDLLVRFVGRNAGALLDGSVTLRLHPVGLHYVASRLEALRELEAVGAGAPVDYLRAYVADLGDHRALEQLRRILRLLTSLKVVAPGPGRDPAPLSLVPFARLRVLELRGCDLSTSSAARGLLDLRHTLEKLICYNSTDALRHIFTSRIMDIKDSPVWGRLLYVSCASNGLVLMDESLQLLPAVETLDLSRNQFAKVDNLRKCTKLRNLDLGFNHLRSISSLSEACGRIVQLVLRNNALTTLHGIKNLKSLMDLDLSYNIISNFSELEILGSLFLLQNLWLEGNPICCARWYRARVFSFLHNSESLKLDDKGMNTQEYWEKQVLFSSRQKQPAGYGFYFPAKDDHEDEDTSNSKMKKISRLALIVEEERSLCDEGVDQQTTPHESDSSKKDEVAGADNDIKITSLINTAELLKKEKSTDWLREFKEWMDENMENTEPDNLYIEFNSSNGRYEEQKKMQKAQKNSKDISDLVQTSEGGSSSNILESDLSFTDGACYSANGVTTESSHEGNIYQAPLKLHLNSSQQLPPLNFVAISHADSFCEMEDGTGNLHTNGVSSNLMNKLVEPSLSFTNSSPQSPPQYKEDILHRRLCMEEEVLQTSGDFNCAGSLGSGSSCSDDSSGDLCSCNSEDDCVAIRTKMELSPNGQIARFSSVGDYEEKDGMEYFSGKKGLPDYSAEDVPNFTDSVEFGIKQLHDRYKSNGHLGEGSDHLVRQQSNQKFKMRIPPLFKNHNGTKLVFPKVNGDEMDNGVSVAGNGHLGCNLNNCTLCREHSLENHNSSILHKDNLCASANTVSCNTEKYKLIEDFFNLEIASDASEICEKTAFCGYIFQNGTGSDLVQREVALLRCSQNKLHVVLVDMAQDGQDTMLRVLGSYWMEDLENILIGLGLQALRVHMADNTTHLFLTRTSKEAEDILWLLTASNFPQLTSSISLQSWEKVQLKLLENCIHPSLEMGIFLYSLLMFWKNDTEEGSFVIRSLAVTEGSLFVCIENIHQFGSLPDDPDTPYFSLDACCFINDIQEVVVDHCDKRCLTLVLDNHAHEGRFCSNGSITNSQSKQPDEIYTVHTWKLKWFSEETVVKFISLLKALYSVSSSSSLPVKCTS >ORUFI03G08900.2 pep chromosome:OR_W1943:3:5998453:6006486:-1 gene:ORUFI03G08900 transcript:ORUFI03G08900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRAGGAPPVTGDRYLDLLVRFVGRNAGALLDGSVTLRLHPVGLHYVASRLEALRELEAVGAGAPVDYLRAYVADLGDHRALEQLRRILRLLTSLKVVAPGPGRDPAPLSLVPFARLRVLELRGCDLSTSSAARGLLDLRHTLEKLICYNSTDALRHIFTSRIMDIKDSPVWGRLLYVSCASNGLVLMDESLQLLPAVETLDLSRNQFAKVDNLRKCTKLRNLDLGFNHLRSISSLSEACGRIVQLVLRNNALTTLHGIKNLKSLMDLDLSYNIISNFSELEILGSLFLLQNLWLEGNPICCARWYRARVFSFLHNSESLKLDDKGMNTQEYWEKQVLFSSRQKQPAGYGFYFPAKDDHEDEDTSNSKMKKISRLALIVEEERSLCDEGVDQQTTPHESDSSKKDEVAGADNDIKITSLINTAELLKKEKSTDWLREFKEWMDENMENTEPDNLYIEFNSSNGRYEEQKKMQKAQKNSKDISDLVQTSEGGSSSNILESDLSFTDGACYSANGVTTESSHEGNIYQAPLKLHLNSSQQLPPLNFVAISHADSFCEMEDGTGNLHTNGVSSNLMNKLVEPSLSFTNSSPQSPPQYKEDILHRRLCMEEEVLQTSGDFNCAGSLGSGSSCSDDSSGDLCSCNSEDDCVAIRTKMELSPNGQIARFSSVGDYEEKDGMEYFSGKKGLPDYSAEDVPNFTDSVEFGIKQLHDRYKSNGHLGEGSDHLVRQQSNQKFKMRIPPLFKNHNGTKLVFPKVNGDEMDNGVSVAGNGHLGCNLNNCTLCREHSLENHNSSILHKDNLCASANTVSCNTEKYKLIEDFFNLEIASDASEICEKTAFCGYIFQNGTGSDLVQSYWMEDLENILIGLGLQALRVHMADNTTHLFLTRTSKEAEDILWLLTASNFPQLTSSISLQSWEKVQLKLLENCIHPSLEMGIFLYSLLMFWKNDTEEGSFVIRSLAVTEGSLFVCIENIHQFGSLPDDPDTPYFSLDACCFINDIQEVVVDHCDKRCLTLVLDNHAHEGRFCSNGSITNSQSKQPDEIYTVHTWKLKWFSEETVVKFISLLKALYSVSSSSSLPVKCTS >ORUFI03G08910.1 pep chromosome:OR_W1943:3:6008078:6009646:-1 gene:ORUFI03G08910 transcript:ORUFI03G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLVATGITGGALAQAALTKAAKPIKLGLLPLPSSEGEVLPAAVAASGGGGEGKGGEAVAGAGCWRHAMSRGRRRGWVRRRARAAGEEPRPAAGRRGGGCRRRVRDQRRQPGGAETGEGGGRGAEDGNGDGCERWAGEERTPTGGGRGKRLFRGCVAVRHLLIPDAGDEHIGLRRRRRQEALPGGVVLVAVVPLLDTGGGAHGERELLLRRRLLVVVGLLRVLLLGALRLLASSSSTRAIRKPCCSHVTDDEHELILSELRTHPRHAFLEFGGGEEGE >ORUFI03G08920.1 pep chromosome:OR_W1943:3:6011154:6013142:-1 gene:ORUFI03G08920 transcript:ORUFI03G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPDDLVPPAMHKPAIQCAAAEDASLLRSPTVSSEEFMQFKRKATTILEEYFSTDDVAATANELRELRVPCYHYYFVKKLVSVAMDRHDREKEMAAVLLSSLYGDVIDRPQVYKGFGKLAESCDDLSVDTPDAVDILAVFVARAIIDDILPPAFLAKQLTCLPEGCKGAEVLHRAEKSYLSVPHHGEIILQRWGGSKSITVEEAKAKIADILEEYLAAGDIGEACRCIRGLKISFFHHDIVKRALTLAMERGGGAEGHILDLLKSASDEGIINESQITKGFNRLIDSVDDLTLDVPNARRLLKSMILKASSEGWLCASSLKPLGPEPKKAVVEDDAAVRQFKAKTLSIIKEYFLTGDIIEVMSSLEAENYACSSSYNAIFVKKLITSAMDRKSREKEMASVLLSSLGMPPEDVVSGFHLLIESAEDAALDNPAIVEDLTMFFARSVVDEVIAPSNLEKMEEEAGRGKPGGSSTGLLALRNARALLGAKLSAERILRCWGGGATGKAGWELDDVKDKIGRLLQEYDCGGDIREACQCIKELGMPFFHHEVVKKALVAIMEKRGKDERLWGLLAECYGRGLITPNQMTKGFERVAGCVDDLALDVPDAGKQLCCYVERAKKGGWLDASFPNGVRS >ORUFI03G08930.1 pep chromosome:OR_W1943:3:6013931:6015380:1 gene:ORUFI03G08930 transcript:ORUFI03G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTRSYPMDVDDELADDLDRISALPDDLLHVILSILGDATMVTRTAVLSRRWRRVWTHAQKLSFVDTDPKIRAKPGQFGGFVDWALAQRGDANIQSLSISMPTSDSATPEQINDWLRYAMQHTIKTFKLCSPYHSSYETDDDHPLPILELPSNARTTSIELRLSSFRLRLLCPRRQELDVSYSNDLQKLDVNAPNLRVLGIKLFIISLPLIDENSNKHLVVGIVAPMLEEIDMHIWADRLDMHIHDRTSVRHLRNLGLRMRGQYSCNTDYGLWLLKNCPNIEHLDIYLRHMFSMNGLIDLMDKGAPRLHKVRSMVVKTSYLWPEHRFVTCVRPLLLMCPGLRSFCVKISGRDKIPLFEDPNTLASQPNITMDFLHEAV >ORUFI03G08940.1 pep chromosome:OR_W1943:3:6016874:6020442:1 gene:ORUFI03G08940 transcript:ORUFI03G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFARADTMDDHDDPADDLDRISALPDDLLHIILSNLNNATTVTRTAVLSRRWRRVWTNAQALYFADMNPKRRRAIKPGQFGSFVDWAFAERGDADIQSLTIHMSYRKSATQDQINDWLRYAMRRAVKAFRFYYFSNARDGQDLQLLPIVELPSHARTASIVLFLGSSRLRLPASPAACYEALTELNLRWASFDEEEGASAGGRTLGDFLSTCCPRLRKLEMSSLKLLSRLVLRTESLEELRISYANDLQSLDVTAPNLRVFTHTFCWYGLTLDDINARSVIKIVAPRLEEIAMDNQVFMNTPDLHIHGLKSVRRLCDLNLTVHGQYCSNRGYGLWLLKNCPNVKHVDLLLKSSVFTTDEELADLTDESAPRLHKVRSMVLKTSKLPHHHFTASVRSFLLMCPGLRSLCINITERGQGAWWGVDGGTRLWVPDPMSIAAVGSEVSCGASIDGRRGLGPALMAAAASCGVQGFEVACSLRDPAPASTAVASIGISLFKDRDTLANHPKLTLELLQEVTITGFTRTDEEIDLVSLLFGSSSSIMSVTIHATEKEDTEKVSLKNIMAEDDDNDDDTTTHQQLLEIPFTDHGCWRFQGDVSSLPSARIRLSVPAVCAAPPSHRARRRLLPSREYEVAVVHQARRRVKMLEQ >ORUFI03G08950.1 pep chromosome:OR_W1943:3:6021590:6033659:-1 gene:ORUFI03G08950 transcript:ORUFI03G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVVQLVGLGTDGPSLLGASATLTVLGLGELASASTARASSVAYRVQISRLCGAAGELPRLASLCLREVLLVQGDRRRLLGAKPDAAAAGVRRDGGGATGAQPRPGHAARPLAGRRAGRLGLADDVLDVLALASRQCRRCSPAPEAEQALKASVLSLIQEIEPRHRRRTSGAQSLSI >ORUFI03G08960.1 pep chromosome:OR_W1943:3:6023643:6024656:1 gene:ORUFI03G08960 transcript:ORUFI03G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSAGDVDRISDLPDDLLHLILSYVSDDSAEVTRTSVLSRRWRRVWIHAQKLCFDDDRQSRWRRLANFGGFVDWAFAQRGDADIQSVIIFMSRLDSATPEQVNEWLRYAVRRVVKTFWFNACDSTPIGAWWAPPPRDHGHQLPTVELPSHGRTASINLNLSSYPFRLKLPASPAARYEALTDLSLSSAWFGEDEAVAGRRTLGDFISSCCPRLRKLEIIDPMRLPQLVLRAEALEELIVASTRDTQTMDVTAPNLRIFELHYFNSMTSVTSYGESIDLVVRITAPRLEEIAINNSTLEIEDNLDLRIHGLASVHRLKNLTLAMHGHNCCNTDYG >ORUFI03G08970.1 pep chromosome:OR_W1943:3:6036099:6039010:1 gene:ORUFI03G08970 transcript:ORUFI03G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLIACLPTRRPHLSLRQRSYKLAPRITMASSSSSSPPSSDPSLETVAPHAAVTGERKLNPNLQEQLPKPYLARALAAVDPSHPQGTRGRDARGMSVLQQHAAFFDRNGDGIIYPWETFQGLRAIGCGYPVSIAGAILINLVLSYPTQPGWMPSPLFSIHIKNIHKGKHGSDSEAYDTEGRFDPSKFDAIFSKYGRTHPNALTKDELNSMIKANRNMYDFIGWITSAGEWMLLYSVAKDKEGLLQRETVRSAFDGSLFERLQDSKKSA >ORUFI03G08970.2 pep chromosome:OR_W1943:3:6036455:6039010:1 gene:ORUFI03G08970 transcript:ORUFI03G08970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSPPSSDPSLETVAPHAAVTGERKLNPNLQEQLPKPYLARALAAVDPSHPQGTRGRDARGMSVLQQHAAFFDRNGDGIIYPWETFQGLRAIGCGYPVSIAGAILINLVLSYPTQPGWMPSPLFSIHIKNIHKGKHGSDSEAYDTEGRFDPSKFDAIFSKYGRTHPNALTKDELNSMIKANRNMYDFIGWITSAGEWMLLYSVAKDKEGLLQRETVRSAFDGSLFERLQDSKKSA >ORUFI03G08980.1 pep chromosome:OR_W1943:3:6040096:6045360:1 gene:ORUFI03G08980 transcript:ORUFI03G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALIVPANPNPTPNPTSSLRPPSRAASSVLRFPRRDRARTHLTAAFGRGSPAAAAERGGKDYYATLNLRRDATLQEVKTAYRTLARKYHPDMNKDPGAEEKFKEISAAYEILSDEEKRSLYDRFGEAGLTGDYGGGDIGSNGIDPYELFNAFFGGPDKLFRDSMGAGRFHYGTKVTDNRGLDIRYDLLLSFEESIIGGKREVSIFRYETCGTCHGTGAKSSNDITECTQCRGQGRLMKTQRTPFGIVSQISTCLNCDGKGKAKSKLNAISGRATGDLYIFVHVNKKEGIHREGLDLFSDVTIDYTDAILGTTVKVAAELWLQLYLERVETIEGFKDLYIPPGTQPGERLKFAQLGAPDIKNPTIRGDHNFVINVKIPKSISNQERTLVQEIAALKETGCISVPGEETKNRENLGERNSHSSTGKRRSLWRSIRNLFRGDDGDTRFASISAQSVTPLWTPRRGSHPAVLLLEGFLMITVLLFVISRTRIIRSTPKRYDRPTEAKEADGET >ORUFI03G08980.2 pep chromosome:OR_W1943:3:6040096:6045360:1 gene:ORUFI03G08980 transcript:ORUFI03G08980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALIVPANPNPTPNPTSSLRPPSRAASSVLRFPRRDRARTHLTAAFGRGSPAAAAERGGKDYYATLNLRRDATLQEVKTAYRTLARKYHPDMNKDPGAEEKFKEISAAYEILSDEEKRSLYDRFGEAGLTGDYGGGDIGSNGIDPYELFNAFFGGPDKLFRDSMGAGRFHYGTKVTDNRGLDIRYDLLLSFEESIIGGKREVSIFRYETCGTCHGTGAKSSNDITECTQCRGQGRLMKTQRTPFGIVSQISTCLNCDGKGKAKSKLNAISGRATGDLYIFVHVNKKEGIHREGLDLFSDVTIDYTDAILGTTVKVETIEGFKDLYIPPGTQPGERLKFAQLGAPDIKNPTIRGDHNFVINVKIPKSISNQERTLVQEIAALKETGCISVPGEETKNRENLGERNSHSSTGKRRSLWRSIRNLFRGDDGDTRFASISAQSVTPLWTPRRGSHPAVLLLEGFLMITVLLFVISRTRIIRSTPKRYDRPTEAKEADGET >ORUFI03G08980.3 pep chromosome:OR_W1943:3:6040096:6045360:1 gene:ORUFI03G08980 transcript:ORUFI03G08980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALIVPANPNPTPNPTSSLRPPSRAASSVLRFPRRDRARTHLTAAFGRGSPAAAAERGGKDYYATLNLRRDATLQEVKTAYRTLARKYHPDMNKDPGAEEKFKEISAAYEILSDEEKRSLYDRFGEAGLTGDYGGGDIGSNGIDPYELFNAFFGGPDKLFRDSMGAGRFHYGTKVTDNRGLDIRYDLLLSFEESIIGGKREVSIFRYETCGTCHGTGAKSSNDITECTQCRGQGRLMKTQRTPFGIVSQISTCLNCDGKGKAKSKLNAISGRATGDLYIFVHVNKKEGIHREGLDLFSDVTIDYTDAILGTTVKVETIEGFKDLYIPPGTQPGERLKFAQLGAPDIKNPTIRGDHNFVINVKIPKSISNQERTLVQEIAALKETGCISRKFGREKLPFIHREEKIPLAIYQEFVQGRRWGYEICFNQRTICYSIMDSATRISSSCSVARRIPDDHSALIRDKQNSYNQVDSKASERGRSAPAWFVIVGENAANIGYSGA >ORUFI03G08980.4 pep chromosome:OR_W1943:3:6040096:6045360:1 gene:ORUFI03G08980 transcript:ORUFI03G08980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALIVPANPNPTPNPTSSLRPPSRAASSVLRFPRRDRARTHLTAAFGRGSPAAAAERGGKDYYATLNLRRDATLQEVKTAYRTLARKYHPDMNKDPGAEEKFKEISAAYEILSDEEKRSLYDRFGEAGLTGDYGGGDIGSNGIDPYELFNAFFGGPDKLFRDSMGAGRFHYGTKVTDNRGLDIRYDLLLSFEESIIGGKREVSIFRYETCGTCHGTGAKSSNDITECTQCRGQGRLMKTQRTPFGIVSQISTCLNCDGKGKAKSKLNAISGRATGDLYIFVHVNKKEGIHREGLDLFSDVTIDYTDAILGTTVKVETIEGFKDLYIPPGTQPGERLKFAQLGAPDIKNPTIRGDHNFVINVKIPKSISNQERTLVQEIAALKETGCISVPGEETKNRENLGERNSHSSTGKRRSLWRSIRNLFRGDDGDTRFASISAQSVTPLWTPRRGSHPAVLLLEGFLMITVLLFVISRTRIIRSTPKRYDRPTEAKEADGET >ORUFI03G08980.5 pep chromosome:OR_W1943:3:6040096:6045360:1 gene:ORUFI03G08980 transcript:ORUFI03G08980.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALIVPANPNPTPNPTSSLRPPSRAASSVLRFPRRDRARTHLTAAFGRGSPAAAAERGGKDYYATLNLRRDATLQEVKTAYRTLARKYHPDMNKDPGAEEKFKEISAAYEILSDEEKRSLYDRFGEAGLTGDYGGGDIGSNGIDPYELFNAFFGGPDKLFRDSMGAGRFHYGTKVTDNRGLDIRYDLLLSFEESIIGGKREVSIFRYETCGTCHGTGAKSSNDITECTQCRGQGRLMKTQRTPFGIVSQISTCLNCDGKGKAKSKLNAISGRATGDLYIFVHVNKKEGIHREGLDLFSDVTIDYTDAILGTTVKVETIEGFKDLYIPPGTQPGERLKFAQLGAPDIKNPTIRGDHNFVINVKIPKSISNQERTLVQEIAALKETGCISRKFGREKLPFIHREEKIPLAIYQEFVQGRRWGYEICFNQRTICYSIMDSATRISSSCSVARRIPDDHSALIRDKQNSYNQVDSKAV >ORUFI03G08980.6 pep chromosome:OR_W1943:3:6040096:6045360:1 gene:ORUFI03G08980 transcript:ORUFI03G08980.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALIVPANPNPTPNPTSSLRPPSRAASSVLRFPRRDRARTHLTAAFGRGSPAAAAERGGKDYYATLNLRRDATLQEVKTAYRTLARKYHPDMNKDPGAEEKFKEISAAYEILSDEEKRSLYDRFGEAGLTGDYGGGDIGSNGIDPYELFNAFFGGPDKLFRDSMGAGRFHYGTKVTDNRGLDIRYDLLLSFEESIIGGKREVSIFRYETCGTCHGTGAKSSNDITECTQCRGQGRLMKTQRTPFGIVSQISTCLNCDGKGKAKSKLNAISGRATGDLYIFVHVNKKEGIHREGLDLFSDVTIDYTDAILGTTVKVETIEGFKDLYIPPGTQPGERLKFAQLGAPDIKNPTIRGDHNFVINVKIPKSIRFELRRKFGREKLPFIHREEKIPLAIYQEFVQGRRWGYEICFNQRTICYSIMDSATRISSSCSVARRIPDDHSALIRDKQNSYNQVDSKAV >ORUFI03G08990.1 pep chromosome:OR_W1943:3:6046504:6056902:1 gene:ORUFI03G08990 transcript:ORUFI03G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMPAPAVALAVLVLLSAVPGYFSDDLNTDAQALQALRSAVGKSALPSWNSSTPTCNWQGVTCESGRVTELRLPGAGLMGTLPSNVLGNLSALRTLSLRYNALTGPIPDDLSRLPELRAIYFQHNSFSGEVPASVFTLKNLVRLDLAGNKFSGEISPDFNKLNRLGTLFLDGNSFTGEIPKLDLPTLSQFNVSYNKLNGSIPRSLRKMPKDSFLGTGLCGGPLGLCPGETALTPAGSPEVQPAGGGAVDAGGASSGTKKKLSGGAIAGIAIGCVFGVLLLLALIFLLCRKKSSSSTPATAVEKGRDLQMAPMDMEPKGQNGSAAGNGAHVGAAAAAPAAATSAAVAAAAAAAKTGGATGGSKKLIFFGPMAAAPPFDLEDLLRASAEVLGKGAFGTAYKAVMESGSAVAVKRLKDVDLPEPEFRERIAAIGAVQHELVVPLRAYYFSKDEKLLVYDYMSMGSLSALLHGNRASGRTPLDWETRSAIALAAARGVAHIHSTGPTASHGNIKSSNVLLTKNYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTAEVFDQELLRYQNVEEEMVQLLQLAIDCSAQHPDRRPSMSEVAARIDEIRRSSLGDRPATDSAGEGEEPSL >ORUFI03G08990.2 pep chromosome:OR_W1943:3:6046444:6056902:1 gene:ORUFI03G08990 transcript:ORUFI03G08990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSSLGESLDGVKGNRASGRTPLDWETRSAIALAAARGVAHIHSTGPTASHGNIKSSNVLLTKNYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTAEVFDQELLRYQNVEEEMVQLLQLAIDCSAQHPDRRPSMSEVAARIDEIRRSSLGDRPATDSAGEGEEPSL >ORUFI03G08990.3 pep chromosome:OR_W1943:3:6052980:6056902:1 gene:ORUFI03G08990 transcript:ORUFI03G08990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMPAPAVALAVLVLLSAVPGYFSDDLNTDAQALQALRSAVGKSALPSWNSSTPTCNWQGVTCESGRVTELRLPGAGLMGTLPSNVLGNLSALRTLSLRYNALTGPIPDDLSRLPELRAIYFQHNSFSGEVPASVFTLKNLVRLDLAGNKFSGEISPDFNKLNRLGTLFLDGNSFTGEIPKLDLPTLSQFNVSYNKLNGSIPRSLRKMPKDSFLGTGLCGGPLGLCPGETALTPAGSPEVQPAGGGAVDAGGASSGTKKKLSGGAIAGIAIGCVFGVLLLLALIFLLCRKKSSSSTPATAVEKGRDLQMAPMDMEPKGQNGSAAGNGAHVGAAAAAPAAATSAAVAAAAAAAKTGGATGGSKKLIFFGPMAAAPPFDLEDLLRASAEVLGKGAFGTAYKAVMESGSAVAVKRLKDVDLPEPEFRERIAAIGAVQHELVVPLRAYYFSKDEKLLVYDYMSMGSLSALLHGNRASGRTPLDWETRSAIALAAARGVAHIHSTGPTASHGNIKSSNVLLTKNYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTAEVFDQELLRYQNVEEEMVQLLQLAIDCSAQHPDRRPSMSEVAARIDEIRRSSLGDRPATDSAGEGEEPSL >ORUFI03G09000.1 pep chromosome:OR_W1943:3:6062655:6064240:-1 gene:ORUFI03G09000 transcript:ORUFI03G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILLLLLVFLVSVALVVRTWSASRRSHEKARYATAELRPYPLIGHLPQFLANRHRILDWMTEVLSRQPTRTFVLRRPGGVRGVITANPANVERFLRSGFDNYPKGERFASLLHDFLGRGIFNADGEAWRSQRKAASYEFNTRSLRAFVARCVHGELHGRLLPLLRRAAAEGRAIDLQDALERFAFDNICRVAFDHDPGQLPDASGGGALAEADDGSTASGRFADAFRDAANLSAGRFRYAVPWFWRVKKALHIGSERRLRESIAIVHDFADRIIRSRREEIRAGLEKHDLLSRFMASHDESYTEVALRDVVISFLLAGRETTSSALTWFFWLLSSRPDVERRIREEVATVRARRGDGDVDRVGFDLDELREMQYVHAAITESMRLYPPSVWGKDAAEFRAERWLEDAAAATFRPESPFRYVSFHGGPRVCLGKEMAYIQMKSIIACVLQELELAVDGAYRPRQVTSLTLRMADGLPTRVKVRGN >ORUFI03G09010.1 pep chromosome:OR_W1943:3:6070855:6073837:1 gene:ORUFI03G09010 transcript:ORUFI03G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPKMVTGWAARDANGLLSPFSYPLRAKGDEDVVVKILFCGICHSDLSTIKNEWGNAKYPVVPGHEIVGVVAEVGSSVARFAAGDTVGVGYIASTCRACANCRDGFENYCAGLVPSFNAALPDGATVHGGFSELAVVNQRYVVRIPCGGGGASPAPLDRLAPLLCAGVTVYCPMRRLGLDRPGVHLGVAGLGGLGHLAVKFGKAFGVKVTVISTSPWKEAEAVERLGADAFLLSTNAEQMKAAAGTMDGIIDTVSAVHDLTPLITLLRTHGQLVPVGSPGKPVQLALYPLQSDGKSVAGSMIGGMRDTQEMVDFAVEHGVAAEVEVIGMEDVNGAMERLQKGDVRYRFVIDVANTMARAR >ORUFI03G09020.1 pep chromosome:OR_W1943:3:6074104:6074874:1 gene:ORUFI03G09020 transcript:ORUFI03G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPEVETAVRLGAADPAPLWPDLASPPTDPVGTLDLRGGRLSLGVEGMAWWSGDGRCAGWLCGVLMGNRWRKPCQAMGWHDDSDAIWRRSPHWRRRPGIDPS >ORUFI03G09030.1 pep chromosome:OR_W1943:3:6076094:6080000:-1 gene:ORUFI03G09030 transcript:ORUFI03G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTDLVNLNLSDCSDKIIAEYIWVGGSGIDLRSKARTVKGPITDVSQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGDNILRHSAAKIFSHPDVVAEVPWYGIEQEYTLLQKDVNWPLGWPGPYYCAAGAEKAFGRDIVDAHYKACIYAGINISGINGEVMPGQWEFQVGPSVGIAAADQVWVARYILERVTEVAGVVLSLDPKPIPGDWNGAGAHTNFSTKSMREPGGYEVIKKAIDKLALRHKEHIAAYGEGNERRLTGRHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTGMIAETTLLWKQN >ORUFI03G09040.1 pep chromosome:OR_W1943:3:6086461:6093772:-1 gene:ORUFI03G09040 transcript:ORUFI03G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADALSIIPGAVLRNLSDKLYEKRKNAALEIEGIVKQLATSGEHDKISAVIALLTNDFTMSPQANHRKGGLIGLAAVTVGLTSEAAQHLEQIVPPVLTSFLDQDSRVRYYACEALYNIAKVVRGDFIIYFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADAALSEFLQEIKNSPINEFVKLGGEQLVPYYADILGAILPCISDQEEKIRVVARETNEELCAIKADPTEGFDIGAILSIAKRELNSEHEATRIESLHWFSTLLVRYRAEFLAYLNDIFDPLLNALSDPSDAVVLLVLEVHARIAEESHHFHHLVSYLIHTFHNNHVLLEKRGALIVRRLCILLGAEKVYREFSTILETEGDLEFASTMVQALNLILLTSTELAELRSLLKKTLVDSCGKDLFQSLYASWCHSPMATISLCLLAQAYNHAISVIQSLGEEDINVKFLVQLDKLIRLLETPVFAYLRLQLLEPGKHTWLLKTLYGLLMLLPQQSAAFKILRTRLKTVPFSENLKRTSSTNPYSQILQVTEDGNRNQDTQSYNAINFPSRLHQFESMQQQHRVHLKNQLQSQKSASAIVLSQEIQRYEEAHSSSTSEIGRPPSRTSRGIS >ORUFI03G09050.1 pep chromosome:OR_W1943:3:6093964:6094146:-1 gene:ORUFI03G09050 transcript:ORUFI03G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVNVTPCGKGKRAAAPGSPPPPPPPPDHTTVVSNLQSIEIGFARVPRERAEVGLGL >ORUFI03G09060.1 pep chromosome:OR_W1943:3:6099339:6109050:1 gene:ORUFI03G09060 transcript:ORUFI03G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTEDEAIGPDVASAGLHVSERIGRDAAAQPNLEEGPRGLTPRGHASHPYSSYPNEVGTPEPIASREAEAGTKTEIINDRLSSEKD >ORUFI03G09070.1 pep chromosome:OR_W1943:3:6114840:6123222:1 gene:ORUFI03G09070 transcript:ORUFI03G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSAARALNSVSRAAFSWKPTGLPQQTLAAAVSRSGVGLHSGAKTTATLLPARAGEGRYFVVEGEETRVAAEVANAEAHSPLCTALRRGGARVRTVEHLLSAMEALGVDNCRVEVSGGDEIPLLDGSAQEWVMAIRGAGQCAAKDSSGQKLEKLAPEIHEPVYLQKSDCFIAALPSSRIRITYGIDFPKVPGIGCQRFATVLDANVYSSKIAPARTFCIFEEVEKLRSAGLIRGGSLENATKLGEAELEEEESHGKASISGGWLNPPLRFEDEPCRHKILDLIGDFSLLAQNGNQGFPLAHVVAYKFTTGHPVPRLDLINQWLVMPCILISYGICWEGALWAKKTLLSNVESIWIPLLRR >ORUFI03G09070.2 pep chromosome:OR_W1943:3:6114840:6123222:1 gene:ORUFI03G09070 transcript:ORUFI03G09070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSAARALNSVSRAAFSWKPTGLPQQTLAAAVSRSGVGLHSGAKTTATLLPARAGEGRYFVVEGEETRVAAEVANAEAHSPLCTALRRGGARVRTVEHLLSAMEALGVDNCRVEVSGGDEIPLLDGSAQEWVMAIRGAGQCAAKDSSGQKLEKLAPEIHEPVYLQKSDCFIAALPSSRIRITYGIDFPKVPGIGCQRFATVLDANVYSSKIAPARTFCIFEEVEKLRSAGLIRGGSLENATVCSISGGWLNPPLRFEDEPCRHKILDLIGDFSLLAQNGNQGFPLAHVVAYKAGHALHTDFLRHLLGRSAVGQENLAEQC >ORUFI03G09080.1 pep chromosome:OR_W1943:3:6117662:6120291:-1 gene:ORUFI03G09080 transcript:ORUFI03G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELSIRGVSSVEMVWESAGGGRSSKQFGGGVSEGDAHHLFGEMPDCLGGDSGAVLCITVSHIFYPVTTEVLHQVYDSYGAATVQILATSTWHVEALVSFMSSQDAERARSATQGRNIYDGCCQLDIQYAHPLLGGDVDMTPTKCSMSGSSSVTTRQVAESSPAAPEHVFPVTTNPSMPSATSAAAAPSVSFTATKEDEADMGKVEDKSEKTFHDLCVEIKEMINQMLETCRGSKVEPIVGDDSTGVAVVPCTVTDSVSIALEVSQEIDADMGDNVDLAREEDCVENTAVETKLYHVFSFSDQWMDHKKNASFNPWSHPGSGSVVVSEPLQPWPPPFQAKCKVLSINLNPVLIHEQYKAAERERLQLGVVNFGSNYLLDHPTGDISDIDLLVQSWAKINPSCILYLGLCKTRQVLYGNENSFIQQVPSMLQFNFLASSVWCKHFQRRSDIADVSAANGTHFQALLKKQSNWVIVMQLFIYQFSATWVVCTRRVPMIWDPGGSMTLLHRLEGKPKLKGERMSRYGPIE >ORUFI03G09090.1 pep chromosome:OR_W1943:3:6134383:6144182:1 gene:ORUFI03G09090 transcript:ORUFI03G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVEDGGGVEFPVGMKVLVVDDDPTCLAVLKRMLLECRYDATTCSQATRALTMLRENRRGFDVIISDVHMPDMDGFRLLELVGLEMDLPVIMMSADSRTDIVMKGIKHGACDYLIKPVRMEELKNIWQHVIRKKFNENKEHEHSGSLDDTDRTRPTNNDNEYASSANDGAEGSWKSQKKKRDKDDDDGELESGDPSSTSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQKFRLYLKRIAQHHAGIANPFCPPASSGKVGSLGGLDFQALAASGQIPPQALAALQDELLGRPTNSLVLPGRDQSSLRLAAVKGNKPHGEREIAFGQPIYKCQNNAYGAFPQSSPAVGGMPSFSAWPNNKLGMADSTGTLGGMSNSQNSNIVLHELQQQPDAMLSGTLHSLDVKPSGIVMPSQSLNTFSASEGLSPNQNTLMIPAQSSGFLAAMPPSMKHEPVLATSQPSSSLLGGIDLVNQASTSQPLISAHGGGNLSGLVNRNPNVVPSQGISTFHTPNNPYLVSPNSMGVGSKQPPGVLKTENSDALNHSYGYLGGSNPPMDSGLLSSQSKNTQFGLLGQDDITGSWSPLPNVDSYGNTVGLSHPGSSSSSFQSSNVALGKLPDQGRGKNHGFVGKGTCIPSRFAVDEIESPTNNLSHSIGSSGDIMSPDIFGFSGQM >ORUFI03G09090.2 pep chromosome:OR_W1943:3:6134383:6139444:1 gene:ORUFI03G09090 transcript:ORUFI03G09090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVEDGGGVEFPVGMKVLVVDDDPTCLAVLKRMLLECRYDATTCSQATRALTMLRENRRGFDVIISDVHMPDMDGFRLLELVGLEMDLPVIMMSADSRTDIVMKGIKHGACDYLIKPVRMEELKNIWQHVIRKKFNENKEHEHSGSLDDTDRTRPTNNDNEYASSANDGAEGSWKSQKKKRDKDDDDGELESGDPSSTSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQKFRLYLKRIAQHHAGIANPFCPPASSGKVGSLGGLDFQALAASGQIPPQALAALQDELLGRPTNSLVLPGRDQSSLRLAAVKGNKPHGEREIAFGQPIYKCQNNAYGAFPQSSPAVGGMPSFSAWPNNKLGMADSTGTLGGMSNSQNSNIVLHELQQQPDAMLSGTLHSLDVKPSGIVMPSQSLNTFSASEGLSPNQNTLMIPAQSSGFLAAMPPSMKHEPVLATSQPSSSLLGGIDLVNQASTSQPLISAHGGGNLSGLVNRNPNVVPSQGISTFHTPNNPYLVSPNSMGVGSKQPPGVLKTENSDALNHSYGYLGGSNPPMDSGLLSSQSKNTQFGLLGQDDITGSWSPLPNVDSYGNTVGLSHPGSSSSSFQSSNVALGKLPDQGRGKNHGFVGKGTCIPSRFAVDEIESPTNNLSHSIGSSGDIMSPDIFGFSGQM >ORUFI03G09100.1 pep chromosome:OR_W1943:3:6140584:6143622:-1 gene:ORUFI03G09100 transcript:ORUFI03G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLDLATGAADQAPAPAALGALRRRLPRVVTTAGLIDDSPLSPSTPSPSPRPRTIVVANHLPIRAHRPASPSEPWTFSWDEDSLLRHLQHSSSSPAMEFIYIGCLRDDIPLADQDAVAQALLESYNCVPAFLPPDIAERYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRALWQSYVSANKIFADKVLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYESKRGHICLEYYGRTVSIKILPVGVNMGQLKTVLALPETEAKVAELMATYSGKGRVVMLGVDDMDIFKGISLKLLAMEELLRQHPEWRGKLVLVQVANPARGRGKDVDEVKGETYAMVRRINEAYGAPGYEPVVLIDEPLQFYERVAYYVVAEVCLVTAVRDGMNLIPYEYIVSRQGNEALDRMLQPSKPEEKKSMLVVSEFIGCSPSLSGAVRVNPWNIEAVADAMESALVLPEKEKRMRHDKHYRYVDTHDVGYWATSFLQDLERTCKDHAQRRCWGIGFGLRFRVVSLDLSFRKLAMEHIVMAYRRAKTRAILLDYDGTLMPQAINKSPSANSVETLTSLCRDKSNKVFLCSGFEKGTLHDWFPCENLGLAAEHGYFLRSSRDAEWEISIPPADCSWKQIAEPVMCLYRETTDGSIIENRETVLVWNYEDADPDFGSCQAKELVDHLESVLANEPVSVKSTGHSVEVKPQGVSKGLVARRLLASMQERGMCTDFVLCIGDDRSDEEMFQMITSSTCGESLAATAEVFACTVGRKPSKAKYYLDDTAEVVRLMQGLASVSNELARAASPPEDDDE >ORUFI03G09110.1 pep chromosome:OR_W1943:3:6153696:6156353:1 gene:ORUFI03G09110 transcript:ORUFI03G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRGEAGDQPSAKVFRRFVHDAIERPDEFILHPAAPLPPSVEIVRVMDVISNFVPHFLLKFAASEFNENVMKKAVHLQVGFLGGLTVEE >ORUFI03G09120.1 pep chromosome:OR_W1943:3:6157206:6161450:1 gene:ORUFI03G09120 transcript:ORUFI03G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKRSPQHPAAAAPPPAVGGGGGGEVSGDGGASTANGPVVPKPSEVAPFLTKVYDMVSDPATDNVISWAEGGGSFVIWDSHAFERDLHRHFKHSNFTSFIRQLNTYGFRKVHPDRWEWANEGFIMGQKHLLKTIKRRKKSSQEAPSEIQKAPVKTAPGTENIEIGKYGGLEKEVETLKRDKALLMQQLVDLRHYQQTSNLEVQNLIERLQVMEQNQQQMMALLAIVVQNPSFLNQLVQQQQQQRRSNWWSPDGSKKRRFHALEQGPVTDQETSGRGAHIVEYLPPVPETSGQVNPVEGAICSANSQPVPSPAVATPMDMQTSNVADTLGSSEEPFADNSTLHEWDDNDMQLLFDDNLDPILPPFENDGQMGPPLSVQDYDFPQLEQDCLMEAQYNSNNPQYVLR >ORUFI03G09130.1 pep chromosome:OR_W1943:3:6161333:6161635:-1 gene:ORUFI03G09130 transcript:ORUFI03G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTRRTSRDLHFMCDKLRIEARAAIQNMDVCSGYAALQRHRQAFVRGKKMTQNMVKDTEPRAITKKCASGESNPVSTVGGYYDTTTPDALLWCWKLVPL >ORUFI03G09140.1 pep chromosome:OR_W1943:3:6165123:6166144:-1 gene:ORUFI03G09140 transcript:ORUFI03G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRQRRHLPHLTLPLDHFTLRPPPAPQQQQQPAVAPSTSSDVRLSDFERISVLGHGNGGTVYKARHRRGCPAQQPLALKLFAAGDLSAAREAEILRLAADAPHVVRLHAVVPSVAGGVEEPAALALELMPGGSLAGLLRRLGRPMGERPIAAVARQALLGLEALHALRIVHRDLKPSNLLLGADGEVKIADFGAGKVLRRRLDPCASYVGTAAYMSPERFDPEAYSGDYDPYAADVWSLGVAILELYLGHFPLLPVGQRPDWAALMCAICFGEAPEMPAAASEEFRDFVSRCLEKKAGRRASVGELLEHPFIAERDAADAQRSLAALVAEAEQSGDL >ORUFI03G09150.1 pep chromosome:OR_W1943:3:6168038:6169299:1 gene:ORUFI03G09150 transcript:ORUFI03G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWWRRRSCIWGVENRRNCRESVGWEGEKVWDPRLARPFWLAKVGQREKCFGQPDGLAIRLANLLEHDFDLKLPKFGLESELRCHCPPRTTVQIGRKKAMLG >ORUFI03G09160.1 pep chromosome:OR_W1943:3:6169781:6172563:-1 gene:ORUFI03G09160 transcript:ORUFI03G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCANNFQLLLKGEAGMDPQLNGRRQERTVANRARRKKPRLSCHRARFVFDHVYLRTERKANTERDGFN >ORUFI03G09170.1 pep chromosome:OR_W1943:3:6172584:6177892:-1 gene:ORUFI03G09170 transcript:ORUFI03G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTMLASVPTRPRSHPFRRRRGAAAAAPPLLPDQIAAAAAAAAKRPAESSTSASSCFHSELANDAETTAYSSAREDLTLSETEEEEEEEVRSGPCICTDCSFSPLHESSSSSDDDNAVPSPTFSLFLALAEQFVPFTHPKTPTATDVALQAGEGKRFEDLDNEVSYERFRRRERRGVVARDYIEVYSSMLGSYGRAVVEQRVVMVNWIMEHSQAMKLQPETVFMGIGLMDRFLTRGYVKGSRNLQLLGIACTTLATRIEENQPYNCRSEVVAMEWLVQEVLDFQCFVTTTHHFLWFYLKAANADDRVEDLAKYLALLSLLDHKHLSFWPSTVAAAVVALACLATNNESSCHLVMELAEDPENHLVCSGCNRKGLHPGGLPEVDEAVIFVPLLEWPSGDPGCARCLGHFKNSDRERSAR >ORUFI03G09170.2 pep chromosome:OR_W1943:3:6172584:6177892:-1 gene:ORUFI03G09170 transcript:ORUFI03G09170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTMLASVPTRPRSHPFRRRRGAAAAAPPLLPDQIAAAAAAAAKRPAESSTSASSCFHSEVISATSTTCPTSLAAAQRPEKRPRYQDVDEEQPAASECSEIIGGARPRAAEVEVSESSCLASVLESYLACPEQLANDAETTAYSSAREDLTLSETEEEEEEEVRSGPCICTDCSFSPLHESSSSSDDDNAVPSPTFSLFLALAEQFVPFTHPKTPTATDVALQAGEGKRFEDLDNEVSYERFRRRERRGVVARDYIEVYSSMLGSYGRAVVEQRVVMVNWIMEHSQAMKLQPETVFMGIGLMDRFLTRGYVKGSRNLQLLGIACTTLATRIEENQPYNCRSEVVAMEWLVQEVLDFQCFVTTTHHFLWFYLKAANADDRVEDLAKYLALLSLLDHKHLSFWPSTVAAAVVALACLATNNESSCHLVMELAEDPENHLVCSGCNRKGLHPGGLPEVDEAVIFVPLLEWPSGDPGCARCLGHFKNSDRERSAR >ORUFI03G09180.1 pep chromosome:OR_W1943:3:6179688:6181100:-1 gene:ORUFI03G09180 transcript:ORUFI03G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRRPRLASLTRRLSTCRDGDLAALLSVLRSPPASSTSLPRALSSAFPSPSDSFPLGKLPSLLPLLPSPLLSLRFLLWRLPPSSPLPSSYALSSLAASLPDLPSAVPLLLSSSPQPLPLRHYALLLGISAHAGLFPASLALLRHMRSFGLAPDAACFRSALRSAGSPGDVCAVLGIMSACGVSPSVPLVVTSVHKLATAGDFVGARQLIEKMPEFGCVTNVAVYTALLDGMCSFGDVDAALGLVEEMEGWSLGAGCVPNVVSYTCLVKCLCGKKRMGEALSLLDRMTGRGVMPNRVFVRTLVGGFCSEEMVADAYAVVERVVSDGSVSSDQCYNVLLICLWRVGMDGEAEGLVQRMMKKGVRLSPLAASVMVRELCNRNRLLDACYWIGVMEENGVLCDTDVYNGLLLRLCVEGHVGEALALAKKVAERGILIEASCADRLMDLLKQYGDEELAPKISELRRCSEVLSH >ORUFI03G09190.1 pep chromosome:OR_W1943:3:6182291:6186453:1 gene:ORUFI03G09190 transcript:ORUFI03G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKIIELCKLKGKETRAEPEVSSVSKASGGSSEHINKLPPPVPVQEEEEQEEEEAPEWLDVLLRTKFWGQCKQHWDASRAEVCIFCLRCRQVLCPRCSHDEPGHRLLKVRRYMYRSVVLARDLQDLNVDVSRVQTYIVNGQKGVHLRPMRRSPQFKPHVETPRCLSCFCWLRSAPNIFCSLSCKVGVDISQDDFSGPEAERRHKQTLGIVVESSPQQSIPQPFDASPVRNEDATMVEAECGQVQTNATESESSAVGDADEVIPKVTKFNVDIHSLRRRVRKQAAPQRAPFF >ORUFI03G09200.1 pep chromosome:OR_W1943:3:6188721:6196386:1 gene:ORUFI03G09200 transcript:ORUFI03G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISKREVAPNDIPVILTARRGQSAKTENWGAQNTPKTLAYRSERRRRDAESPRPASRRVRPRAGGCCAARTPPPPKMFSPAIKKPHLLHRRDKEEASPSPPPAPAHTPAPRGFAVHDRPATGTPAPWTSSSLLARISTSTRTDRTGDSGQIQPVHVSEFPQIVRNAQANLLQKSFSGKNMLAGGIDKETSLAWMLCGNELFIWSYLASVAKDCLVLEVPSSLMGNKEEKSLCGNQWAVCIVRWGSSGASTRSSGDILHRRSSTGFILCNKRTQAIAYWSDIYAESSKSPVLDLIGYGDTSSGDGTSGNCRINSLIAVAVPGGINECIVIASQPTGTLWMFWCSPAAVRRREIHKGTLGVYNADHSQKNSGGRSLAWLPSKASSKAAERTFFLLTSHELQCWSISFGHDINCKKIGSQEIVGSDGDMGIKKDIAGQKNIWLLDMQIDDHGKEIIILVATFCKDRVSGSNYTQYSLLTMLYRPNQKFSSEDNVIKTERFLEKKAPSQVIIPKARVEDEEFLFSMRLKTGGKPSGSVIILSGDGTATIAIYWRGSTRLYQFDLPWDAGKVLDASVIPSSEDRDEGAWVVLTEKAGVWAIPEKAVLVGGVEPPERSLSRKGSCNEAVAEEKRRNQAFNASVVPRRASSEAWSAGERQRPALTGIAQQAVVDEESEMLLNRLFHDFVLSGTVHEALQKLRAAGAFDKEGEMNIFVRISKSIVNTLSKHWTTTREAEFLASTIVSSLTEKQQKHKKFLQFLVLSKCHEELSSKQRTAMLTVMEHGEKLSGMIQLRELQNALSHQRSSINLSPQSKNQTTGALWNLIQLVGEQSRRNTVLLMDRDNAEVFYSRVSDIEDLFNCISHQLQYIITGEENPSVQMQRALELSNACMTLVQAALRYREEHKDWYPSPEGLITWNSQPVVRSGIWRVASFAMELLREPGAADMSMKSNLWSQLERLTDILLDAYIGLLTAKFERGDEHGVLIQEYCDRRDELLGSLYDLAKQIVDAKYQETTEVTDNLELKESIFREVTSPILATAKRHEGYQTLWQICYDLSDTGLLRSLMHDSVGPHGGFSFFVFKQLVNRRQHAKLLRLGEEFPEELANFLKERDDLLWLHEICLNRFSSASKTLHTLVSPEEDANLTSNRKSLSFVERRRFLYLSKIAAAAGKDVDYEVKVAHINADIRILNLQEEIVQHDPEYAPDKYTTKPFRPLELIEMCLKGDRELSLKAFEVFAWTRASFRSSNKGLLEACWMNAADQDDWVSLQEESSGGWSDEVIQESLQGTVLFNASRLCYSPDAVVYDGSFEDVLPVKKEDVHLRGLEGRCLSVEEVLMQHKDFPDAGKLMMTAVIMGKELPYTVSTAEPVDMDS >ORUFI03G09210.1 pep chromosome:OR_W1943:3:6196743:6203949:1 gene:ORUFI03G09210 transcript:ORUFI03G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAPGVSRKLKKVLETRTDNPDLLASLGALSTFYVQNTPQARRNLKSSVEQRGLTINRHFLDASLPAHKALDRVEGEVHALNDSWKKIEEALGSCSASTGDIISTTERLQQELEVITQRQEIVSCFLRDYQLSNEEIHALRDEEIDEKFFKALLHVQEIHSNCKVLLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQVECKRLGDTDNPEVSELLKKAVRCLKERPVLFKYCAEEVANMRHHALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGWLHQALASERELIVVLLDPDAMTDSGPTTRRYSVREGDPSKGEHDITFVLDRIFEGACRPFKVRVEQVLQSQPSLIISYKLSNTLEFYGYTISDLLGGDAALCNTIWSLRDATQQTFFNILKSRGEKLLRYPPLVAVDLSPPPAVREGISLLLELINTYNSMMVPASGKRPNFDPVISAILDPIIQMCEQAAEAQKSKGTIARRGRTSSDPTGNNRDSISVDAILSKNSSTSFLSGESSSKIYLINCLSAIQEPLMGQDVATSYINNLRSMIETHLHTLVDKEADSILRKCGLSNKMPYIKDYSSTGSKDDARPLADIVETSPQMLLECLKAFYGLVTGTEGSLPEFEQLQVPRLRSDACYGLARALAEAYELIYKAVVDPKNCYPDPRSLVKHSPEQIRTILEI >ORUFI03G09210.2 pep chromosome:OR_W1943:3:6196743:6203483:1 gene:ORUFI03G09210 transcript:ORUFI03G09210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAPGVSRKLKKVLETRTDNPDLLASLGALSTFYVQNTPQARRNLKSSVEQRGLTINRHFLDASLPAHKALDRVEGEVHALNDSWKKIEEALGSCSASTGDIISTTERLQQELEVITQRQEIVSCFLRDYQLSNEEIHALRDEEIDEKFFKALLHVQEIHSNCKVLLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQVECKRLGDTDNPEVSELLKKAVRCLKERPVLFKYCAEEVANMRHHALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGWLHQALASERELIVVLLDPDAMTDSGPTTRRYSVREGDPSKGEHDITFVLDRIFEGACRPFKVRVEQVLQSQPSLIISYKLSNTLEFYGYTISDLLGGDAALCNTIWSLRDATQQTFFNILKSRGEKLLRYPPLVAVDLSPPPAVREGISLLLELINTYNSMMVPASGKRPNFDPVISAILDPIIQMCEQAAEAQKSKGTIARRGRTSSDPTGNNRDSISVDAILSKNSSTSFLSGESSSKIYLINCLSAIQEPLMGQDVATSYINNLRSMIETHLHTLVDKEADSILRKCGLSNKMPYIKDYSSTGSKDDARPLADIVETSPQMLLECLKAFYGLVTGTEGSLPEFEQLQVPRLRSDACYGLARALAEAYELIYKAVVDPKNCYPDPRSLVKHSPEQIRTILEI >ORUFI03G09220.1 pep chromosome:OR_W1943:3:6205026:6209656:1 gene:ORUFI03G09220 transcript:ORUFI03G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAARLLLPLVVLLLHAARGSAGSTGGGGNGSCTQSCGRMRVPYPFGFSRGCTVQLGCDDASGTAWLGGTRGLGLLVSNVTPRAIVLTLPPNCSRPLNESLDALFTDNYAPTAQNALVVSSCDPQAAARLSNCSIPPEAYLEKSCNSIRCVLPSTKANVDGTNVTDPFLNRSEMRRLGSDCRGLVSASIYSNTAGPALQLTALELDWWVQGRCGCSSHAICDGFTPPSTQKEAFRCECQEGFEGDGYTAGAGCRRVPKCNPSKYLSGSCGKLVQIGLLVAGVFFGAMVMGITCLVYHLLRRRSAALRSQQSTKRLLSEASCTVPFYTYREIDRATNGFAEDQRLGTGAYGTVYAGRLSNNRLVAVKRIKQRDNAGLDRVMNEVKLVSSVSHRNLVRLLGCCIEHGQQILVYEFMPNGTLAQHLQRERGPAVPWTVRLRIAVETAKAIAYLHSEVHPPIYHRDIKSSNILLDHEYNSKVADFGLSRMGMTSVDSSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAMKAVDFSRVGSEVNLAQLAVDRIGKGSLDDIVDPYLDPHRDAWTLTSIHKVAELAFRCLAFHSEMRPSMAEVADELEQIQVSGWAPSTDDATFMSTTSSLCSSAPSRCTDKSWGTAKSKRQAAANAVVKQETTKGAVADSPVSVQERWFSDRSSPSSNSLLRNSSLN >ORUFI03G09230.1 pep chromosome:OR_W1943:3:6212195:6212928:-1 gene:ORUFI03G09230 transcript:ORUFI03G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYNLESSVYLRRMWDMKKKWAPAYFREFFFARMSTTQRSESMNHVLKKYVKPSSSLHGFAKRIEAEDAEEHDTYNEKVSTLTSSPIEKHASRVYTRGAFSRFKEQFKLSFSFMVYHTSDQHVLQLVHIGDDTLQSWGSKEFK >ORUFI03G09240.1 pep chromosome:OR_W1943:3:6219658:6221094:1 gene:ORUFI03G09240 transcript:ORUFI03G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGVPLPRRPVPGSYGVPFVSAVRDRLDFYYLQGQDKYFESRAERYGSTVVRINVPPGPFMARDPRVVALLDAKSFPVLFDVAKVEKRDVFTGTFMPSTSLTGGYRVCAYLDPSEPNHAKIKQLLLSLLVSRKDAFVPVFRSNFGALLDTVESQLASGGGKSDFTALNDATSFEFIGEAYFGVRPSASSSLGTGGPTKAALWLLWQLAPLTTLGLPMIIEDPLLHTLPLPPFLISSDYKALYAYFAAAASQALDAAEGLGLSREEACHNLLFATVFNSYGGFKLLLPQILSRVAQAGEKLHKRLAAEIRSAVADAGGNVTLAALEKMELTRSVVWEALRLDPPVRFQYGRAKADLEIESHDASFAIKKGEMLFGYQPCATRDPRVFGATAREFVGDRFVGEEGRKLLQYVYWSNGRETENPSVDNKQCPGKNLVVLVGRLLLVELFLRYDTFTAEAGKKVVITGVTKASTSAVNRTA >ORUFI03G09250.1 pep chromosome:OR_W1943:3:6229679:6230511:-1 gene:ORUFI03G09250 transcript:ORUFI03G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEGNNGVSGGAVSFSEEQEALVLKSWAIMKKDSANIGLRFFLKIFEVAPSASQMFSFLRNSDVPLEKNPKLKTHAMSVFVMTCEAAAQLRKAGKVTVRDTTLKRLGATHFKYGVGDAHFEVTRFALLETIKEAVPVDMWSPAMKSAWSEAYNQLVAAIKQEMKPAE >ORUFI03G09260.1 pep chromosome:OR_W1943:3:6231305:6235842:1 gene:ORUFI03G09260 transcript:ORUFI03G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICCGGEEEETYAPRAASRSRSRRPTPATQYNAGPRKPRGPNAPRSGGPPPKVLSIDVPAISMGELNNITGHFGQSALIGEGSYGRIYRAVLTSGEPVAIKKLDPSVSSDSEADFSAQLSMVSRLKNEYFIRLMGYYLDANRRILVYQFATHGSLHDILHGKKGVRDAAPGPALNWSQRVKVAYGAARGLEYLHEKAQPPIVHRDVRSSNVLLFDGYESKLADFNLTTQPPDGAARLHSTRVLGTFGYHAPEYAMTGQLNQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVRQCVDPKLGDDYPPKAVAKMAAVAALCVQYESDFRPNMTIVVKALQPLLSKPAGAGGP >ORUFI03G09260.2 pep chromosome:OR_W1943:3:6231305:6234848:1 gene:ORUFI03G09260 transcript:ORUFI03G09260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICCGGEEEETYAPRAASRSRSRRPTPATQYNAGPRKPRGPNAPRSGGPPPKVLSIDVPAISMGELNNITGHFGQSALIGEGSYGRIYRAVLTSGEPVAIKKLDPSVSSDSEADFSAQLSMVSRLKNEYFIRLMGYYLDANRRILVYQFATHGSLHDILHGKKGVRDAAPGPALNWSQRVKVAYGAARGLEYLHEKAQPPIVHRDVRSSNVLLFDGYESKLADFNLTTQPPDGAARLHSTRVLGTFGYHAPEYAMTGQLNQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVRQCVDPKLGDDYPPKAVAKMAAVAALCVQYESDFRPNMTIVVKALQPLLSKPAGAGGP >ORUFI03G09270.1 pep chromosome:OR_W1943:3:6240823:6245850:1 gene:ORUFI03G09270 transcript:ORUFI03G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGENDARAPLLAERRRNSVGSMRGEFVSRLPKKVLDAVDPERPSHVDFSRSKGLREGEPGLPLFHSLFPPPEQYLFSGPGQREKEYYEKQFATLRSFEEVDSIEESNVMSEEDDIAEQKQSEFAMKISNYANMILLALKVIYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFVQAVEKLIVNETPDKLTPVQLTWLYSIMIFATVVKLALWLYCRTSGNKIVRAYAKDHYFDVVTNVVGLAAAVLGDMFYWWIDPVGAIALAVYTITNWSGTVWENAVSLVGESAPPEMLQKLTYLAIRHHPQIKRVDTVRAYTFGVLYFVEVDIELPEELPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHNILSKLPSSQP >ORUFI03G09270.2 pep chromosome:OR_W1943:3:6240823:6245850:1 gene:ORUFI03G09270 transcript:ORUFI03G09270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGENDARAPLLAERRRNSVGSMRGEFVSRLPKKVLDAVDPERPSHVDFSRSKGLREGEKEYYEKQFATLRSFEEVDSIEESNVMSEEDDIAEQKQSEFAMKISNYANMILLALKVIYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFVQAVEKLIVNETPDKLTPVQLTWLYSIMIFATVVKLALWLYCRTSGNKIVRAYAKDHYFDVVTNVVGLAAAVLGDMFYWWIDPVGAIALAVYTITNWSGTVWENAVSLVGESAPPEMLQKLTYLAIRHHPQIKRVDTVRAYTFGVLYFVEVDIELPEELPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHNILSKLPSSQP >ORUFI03G09280.1 pep chromosome:OR_W1943:3:6245672:6246414:-1 gene:ORUFI03G09280 transcript:ORUFI03G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGREALARKPAQTMAEGRRGEPNNGSGPPPPSLKHVIFHVIINGEVEGIGPNSPKLSYMSGTSIHGTWSISEGVNVFTGRQSHGRTTDCNGTTTSDKGYNCRRIILQS >ORUFI03G09290.1 pep chromosome:OR_W1943:3:6249816:6250620:1 gene:ORUFI03G09290 transcript:ORUFI03G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPTPPHPLPPSPSCTASAHAHRALVACAHGASTGPSLVRQVRCIARTERRPLPWHDGAAVFRTQCIWHVDVVVSLCRIVEKYTLIA >ORUFI03G09300.1 pep chromosome:OR_W1943:3:6251230:6258529:1 gene:ORUFI03G09300 transcript:ORUFI03G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVAAETAVASASGSGIWSRRRDEITLDRLQKFWNGLPPQARQELLKLDKQTLIEQARKNLYCSRCNGLLLESFMQIVMYGKTLQRDASDINRLNTTGETRIRQGEQEDPSVHPWGGLVATKDGILTLLDCFVNAKSLRVLQNVFDNARAREREREMLYPDACGGSGRGWISQRLASYSRGYGTRETCALHTARLSCDTLVDFWSALSEETRLSLLRMKEEDFMERLMRRFESKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTDFQCEVFEDAVIIDWRQTLSEADGSYHHFEWAIGTDEGQSDVFGFEDVGMNVQVHRDGINLDQFEDYFITLRAWKLDGTYTELCVKAHALKGQSCVHHRLVVGNGFVTITKGESIRSFFEHAEEAEEEDEEDAMDRDGNDLDGDGLHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTARQNAHSIFVSLALELLEERVHVACKEIITLEKQNKLLEEEEKEKQDEQERRMRRRTKEREKKHRRKERLKEKERDKGKEILGLKSSDDNSCSTLRNSTSTNDESTNTPDSRDSASEEEDNSTVVDLCSPDTFVDQTACREISVQNNMDYCNTLTEFARTNSSDLFTSGQSKSSRWNLRLRKDFPQDQSSCCYDECGDENGSIGDFQWQSKERTRHSARSCNSVFTTNNRTRDRHNYISFSCDPRDDYVINDSCSSSSTGSGRETKMARKTGVERPRVQYRRCYPLDNFIVSKESRTGNTQQKNVAPKQVWEPMDSQKKNLLDNKNNGSGAVCNVDPTKLVEQDSSECPNFDAGHEPLSQSSERSRDICKSETDQPCENNEKNQATSCGGTIMVDKQDCYSTKDEGSGHDEELMMNSTSSDGLSSCTSEADRESSTSSVTSLSAQHQESSSSDSEESPERVNSIEEAPSTKTVSRSLLEACAGKGFREYQPKAMHRPHNDRLGFNIPPFQDQLLHHQSMHVPTHSSATMGLHNHPWAAPASGYMQYAQPSHFYSNPLGFGVPGKQSPDFPVQYSNVRHFPAPAFSYAPPEPIRKTTPSFRVMHTSPPYRNALHQSQTVGHPHGDPSLERHPSQPKPLDLKDAPGENKSSPEGNASFSLFQFNLPIAPPAPPSSKDDTSGESATRTPLAQVQVQPCSREQTDVKEYNLFCSKNGSMFSFISR >ORUFI03G09310.1 pep chromosome:OR_W1943:3:6259081:6260856:-1 gene:ORUFI03G09310 transcript:ORUFI03G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASLSLAFSPLLLPTPRPRPYSRPINPGFPTPLLLSLACSPPSRRAGPVAAVPDGVAVADVVEKDWSFLDAAAAAAAAGGSLPRALAAGALSPASRVLAVTPAPSFVDALLSGHPCELLVAAHESLYVLAGIKEGHDEVRCFHLEGGGGGRGGGVVEGVPERFDAFDAVFVCYFPGMGVSAAALLKSLAKRCSKGGRVVIFLDQGRQSLEQHRREHPEVVTADLPTRPSLEKATAGSKFEILEFVDEPTLYLAVLQFQ >ORUFI03G09320.1 pep chromosome:OR_W1943:3:6261789:6272921:1 gene:ORUFI03G09320 transcript:ORUFI03G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEPAPAAATEPRRSTRRRLMTAAAMEAEAEAVADLDEIDREMSRAESRKRQRRTAKEKPGARKGATEWKPEDVEKAAAAEGVAELDEIDREMPRPELRKRQRRTAKEKPSAHEGATEWKPEDVEKAAAQEPEGAELDSGLSPAESRGKRQRGVEKVKRRTRKKTAKEKTKETTEKSAAQAPEKMKVNDAGGALAEDVCADEPDAEQMAMEEEEEAADVLEAEERMGKCVGEGSAEKAATRKRVARPSTARRVEDSDDHFVGDPVPDDEARQRWPVRYSRKGSDSLLKQEPDEDEEMKARCHYLAANVDDEIYHLDDDVYVKAGPDEENYIGRITEFFEGVDRGSYFSCQWFFRTADTVISSKLLKVHDHRHNHKRVFLSKEKNDNLIECIVSKVKIAHVDPNMTPQARAHAISDCDLYYDMSYSVAYSTFANLPAVYDGALGSEATSNISCDDADNSSKGKLSADIVAPYSEQTETASLLDLYSGCGAMWAVDINKYACASLKHNHPYSQVRNEKTEDFLALIQQWDALCRKYVVHKNDTLEPGIDMPLNDADDVNEPLPEDIFDVEELLEICYGDPSNTGKNGLWFKVRWKGYDPSYDTWEPIDGLSDCPERIKEFVEKGHKENILPLPGAVDVICGGPPCQGISGFNRFRKHNDPLEDEKNKQLVVFMDIVKYLRPKYVLMENVVDILKFADGFLGRYAMSCLVAMNYQARLGMMAAGYYGLPQFRMRAFLWGALPSMVLPKFPLPTHDAVVRGIVPTTFSQSVVAYNEVDTRCLRKALLLADAISDLPKVGNDQPKDVIEYSVAPKTEFQRYIRNNRKDIQDYSFRGDDPSEEGKLFDHQPLKLNKDDYERVQRIPVKKGANFRDLKGVIVGPDNTVRLDPNISRERLSSGKPLVPDYAISFVKGKSTKPFGRLWWDETVPTVVTRAEPHNQIILHPSQDRVLTIRENARLQGFPDYYRLIGPLKEKYIQVGNAVAIPVARALGYALGLAYRGESDGDRAVLKLPESFIYADQETVVKSSAGTPGSEIADSEQGSSRRGAEEGEARRAVPFQNILSWEGWNRLDHRRGEIRLDVRREMDDSPLDNLFDGNGLDSPAGADSSSSTGSPPSSTSSSPPSSQSPPPGSSPPPASPPPSTPSAPPTNSSGSAPSPPSPSQSAPPANTGGGGSPPPSHGSPPAPKAVQSQPAPKRSGDGGSSSDSGSSKEGGSSSDRGKSESNGNRPGPEAAIIAGMVIGFFTFALLLAIVACVCCSKKKKRPPHMHMPYYTDENGKVYYANSMPRWQNSVDQGGGWHAQYSPGQAPPSSEMSGSHGAGPLPPPSPGMALGFSKSSFSYDELALATGGFSSANLLGQGGFGYVYRGVLAGSGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGSSQRLLVYEFVPNDTLEHHLHGLHIFPALFPAIVTRHSYVRFLFDSDTRVCRMRAGKGVPVMAWTTRLAIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDENFDAKVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRRPVDPTNYMEDSLVDWARPLLARALSEDGSFDELIDQRLENKFDRLEMERMAACAAAAVRHSAKRRPKMKQIVRALEGDASLDDLNEGVKPGQSMMFSTGSEYDSGNYASDINRLRKVAFESSIEDSSEYGTHSSADSGEPPRRQQHR >ORUFI03G09320.2 pep chromosome:OR_W1943:3:6261789:6272921:1 gene:ORUFI03G09320 transcript:ORUFI03G09320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEPAPAAATEPRRSTRRRLMTAAAMEAEAEAVADLDEIDREMSRAESRKRQRRTAKEKPGARKGATEWKPEDVEKAAAAEGVAELDEIDREMPRPELRKRQRRTAKEKPSAHEGATEWKPEDVEKAAAQEPEGAELDSGLSPAESRGKRQRGVEKVKRRTRKKTAKEKTKETTEKSAAQAPEKMKVNDAGGALAEDVCADEPDAEQMAMEEEEEAADVLEAEERMGKCVGEGSAEKAATRKRVARPSTARRVEDSDDHFVGDPVPDDEARQRWPVRYSRKGSDSLLKQEPDEDEEMKARCHYLAANVDDEIYHLDDDVYVKAGPDEENYIGRITEFFEGVDRGSYFSCQWFFRTADTVISSKLLKVHDHRHNHKRVFLSKEKNDNLIECIVSKVKIAHVDPNMTPQARAHAISDCDLYYDMSYSVAYSTFANLPAVYDGALGSEATSNISCDDADNSSKGKLSADIRWAVDINKYACASLKHNHPYSQVRNEKTEDFLALIQQWDALCRKYVVHKNDTLEPGIDMPLNDADDVNEPLPEDIFDVEELLEICYGDPSNTGKNGLWFKVRWKGYDPSYDTWEPIDGLSDCPERIKEFVEKGHKENILPLPGAVDVICGGPPCQGISGFNRFRKHNDPLEDEKNKQLVVFMDIVKYLRPKYVLMENVVDILKFADGFLGRYAMSCLVAMNYQARLGMMAAGYYGLPQFRMRAFLWGALPSMVLPKFPLPTHDAVVRGIVPTTFSQSVVAYNEVDTRCLRKALLLADAISDLPKVGNDQPKDVIEYSVAPKTEFQRYIRNNRKDIQDYSFRGDDPSEEGKLFDHQPLKLNKDDYERVQRIPVKKGANFRDLKGVIVGPDNTVRLDPNISRERLSSGKPLVPDYAISFVKGKSTKPFGRLWWDETVPTVVTRAEPHNQIILHPSQDRVLTIRENARLQGFPDYYRLIGPLKEKYIQVGNAVAIPVARALGYALGLAYRGESDGDRAVLKLPESFIYADQETVVKSSAGTPGSEIADSEQGSSRRGAEEGEARRAVPFQNILSWEGWNRLDHRRGEIRLDVRREMDDSPLDNLFDGNGLDSPAGADSSSSTGSPPSSTSSSPPSSQSPPPGSSPPPASPPPSTPSAPPTNSSGSAPSPPSPSQSAPPANTGGGGSPPPSHGSPPAPKAVQSQPAPKRSGDGGSSSDSGSSKEGGSSSDRGKSESNGNRPGPEAAIIAGMVIGFFTFALLLAIVACVCCSKKKKRPPHMHMPYYTDENGKVYYANSMPRWQNSVDQGGGWHAQYSPGQAPPSSEMSGSHGAGPLPPPSPGMALGFSKSSFSYDELALATGGFSSANLLGQGGFGYVYRGVLAGSGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGSSQRLLVYEFVPNDTLEHHLHGLHIFPALFPAIVTRHSYVRFLFDSDTRVCRMRAGKGVPVMAWTTRLAIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDENFDAKVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRRPVDPTNYMEDSLVDWARPLLARALSEDGSFDELIDQRLENKFDRLEMERMAACAAAAVRHSAKRRPKMKQIVRALEGDASLDDLNEGVKPGQSMMFSTGSEYDSGNYASDINRLRKVAFESSIEDSSEYGTHSSADSGEPPRRQQHR >ORUFI03G09320.3 pep chromosome:OR_W1943:3:6261789:6272921:1 gene:ORUFI03G09320 transcript:ORUFI03G09320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEPAPAAATEPRRSTRRRLMTAAAMEAEAEAVADLDEIDREMSRAESRKRQRRTAKEKPGARKGATEWKPEDVEKAAAAEGVAELDEIDREMPRPELRKRQRRTAKEKPSAHEGATEWKPEDVEKAAAQEPEGAELDSGLSPAESRGKRQRGVEKVKRRTRKKTAKEKTKETTEKSAAQAPEKMKVNDAGGALAEDVCADEPDAEQMAMEEEEEAADVLEAEERMGKCVGEGSAEKAATRKRVARPSTARRVEDSDDHFVGDPVPDDEARQRWPVRYSRKGSDSLLKQEPDEDEEMKARCHYLAANVDDEIYHLDDDVYVKAGPDEENYIGRITEFFEGVDRGSYFSCQWFFRTADTVISSKLLKVHDHRHNHKRVFLSKEKNDNLIECIVSKVKIAHVDPNMTPQARAHAISDCDLYYDMSYSVAYSTFANLPAVYDGALGSEATSNISCDDADNSSKGKLSADIRWAVDINKYACASLKHNHPYSQVRNEKTEDFLALIQQWDALCRKYVVHKNDTLEPGIDMPLNDADDVNEPLPEDIFDVEELLEICYGDPSNTGKNGLWFKVRWKGYDPSYDTWEPIDGLSDCPERIKEFVEKGHKENILPLPGAVDVICGGPPCQGISGFNRFRKHNDPLEDEKNKQLVVFMDIVKYLRPKYVLMENVVDILKFADGFLGRYAMSCLVAMNYQARLGMMAAGYYGLPQFRMRAFLWGALPSMVLPKFPLPTHDAVVRGIVPTTFSQSVVAYNEVDTRCLRKALLLADAISDLPKDYSFRGDDPSEEGKLFDHQPLKLNKDDYERVQRIPVKKGANFRDLKGVIVGPDNTVRLDPNISRERLSSGKPLVPDYAISFVKGKSTKPFGRLWWDETVPTVVTRAEPHNQIILHPSQDRVLTIRENARLQGFPDYYRLIGPLKEKYIQVGNAVAIPVARALGYALGLAYRGESDGDRAVLKLPESFIYADQETVVKSSAGTPGSEIADSEQGSSRRGAEEGEARRAVPFQNILSWEGWNRLDHRRGEIRLDVRREMDDSPLDNLFDGNGLDSPAGADSSSSTGSPPSSTSSSPPSSQSPPPGSSPPPASPPPSTPSAPPTNSSGSAPSPPSPSQSAPPANTGGGGSPPPSHGSPPAPKAVQSQPAPKRSGDGGSSSDSGSSKEGGSSSDRGKSESNGNRPGPEAAIIAGMVIGFFTFALLLAIVACVCCSKKKKRPPHMHMPYYTDENGKVYYANSMPRWQNSVDQGGGWHAQYSPGQAPPSSEMSGSHGAGPLPPPSPGMALGFSKSSFSYDELALATGGFSSANLLGQGGFGYVYRGVLAGSGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGSSQRLLVYEFVPNDTLEHHLHGLHIFPALFPAIVTRHSYVRFLFDSDTRVCRMRAGKGVPVMAWTTRLAIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDENFDAKVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRRPVDPTNYMEDSLVDWARPLLARALSEDGSFDELIDQRLENKFDRLEMERMAACAAAAVRHSAKRRPKMKQIVRALEGDASLDDLNEGVKPGQSMMFSTGSEYDSGNYASDINRLRKVAFESSIEDSSEYGTHSSADSGEPPRRQQHR >ORUFI03G09320.4 pep chromosome:OR_W1943:3:6261789:6272921:1 gene:ORUFI03G09320 transcript:ORUFI03G09320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEPAPAAATEPRRSTRRRLMTAAAMEAEAEAVADLDEIDREMSRAESRKRQRRTAKEKPGARKGATEWKPEDVEKAAAAEGVAELDEIDREMPRPELRKRQRRTAKEKPSAHEGATEWKPEDVEKAAAQEPEGAELDSGLSPAESRGKRQRGVEKVKRRTRKKTAKEKTKETTEKSAAQAPEKMKVNDAGGALAEDVCADEPDAEQMAMEEEEEAADVLEAEERMGKCVGEGSAEKAATRKRVARPSTARRVEDSDDHFVGDPVPDDEARQRWPVRYSRKGSDSLLKQEPDEDEEMKARCHYLAANVDDEIYHLDDDVYVKAGPDEENYIGRITEFFEGVDRGSYFSCQWFFRTADTVISSKLLKVHDHRHNHKRVFLSKEKNDNLIECIVSKVKIAHVDPNMTPQARAHAISDCDLYYDMSYSRWAVDINKYACASLKHNHPYSQVRNEKTEDFLALIQQWDALCRKYVVHKNDTLEPGIDMPLNDADDVNEPLPEDIFDVEELLEICYGDPSNTGKNGLWFKVRWKGYDPSYDTWEPIDGLSDCPERIKEFVEKGHKENILPLPGAVDVICGGPPCQGISGFNRFRKHNDPLEDEKNKQLVVFMDIVKYLRPKYVLMENVVDILKFADGFLGRYAMSCLVAMNYQARLGMMAAGYYGLPQFRMRAFLWGALPSMVLPKFPLPTHDAVVRGIVPTTFSQSVVAYNEVDTRCLRKALLLADAISDLPKDYSFRGDDPSEEGKLFDHQPLKLNKDDYERVQRIPVKKGANFRDLKGVIVGPDNTVRLDPNISRERLSSGKPLVPDYAISFVKGKSTKPFGRLWWDETVPTVVTRAEPHNQIILHPSQDRVLTIRENARLQGFPDYYRLIGPLKEKYIQVGNAVAIPVARALGYALGLAYRGESDGDRAVLKLPESFIYADQETVVKSSAGTPGSEIADSEQGSSRRGAEEGEARRAVPFQNILSWEGWNRLDHRRGEIRLDVRREMDDSPLDNLFDGNGLDSPAGADSSSSTGSPPSSTSSSPPSSQSPPPGSSPPPASPPPSTPSAPPTNSSGSAPSPPSPSQSAPPANTGGGGSPPPSHGSPPAPKAVQSQPAPKRSGDGGSSSDSGSSKEGGSSSDRGKSESNGNRPGPEAAIIAGMVIGFFTFALLLAIVACVCCSKKKKRPPHMHMPYYTDENGKVYYANSMPRWQNSVDQGGGWHAQYSPGQAPPSSEMSGSHGAGPLPPPSPGMALGFSKSSFSYDELALATGGFSSANLLGQGGFGYVYRGVLAGSGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGSSQRLLVYEFVPNDTLEHHLHGLHIFPALFPAIVTRHSYVRFLFDSDTRVCRMRAGKGVPVMAWTTRLAIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDENFDAKVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRRPVDPTNYMEDSLVDWARPLLARALSEDGSFDELIDQRLENKFDRLEMERMAACAAAAVRHSAKRRPKMKQIVRALEGDASLDDLNEGVKPGQSMMFSTGSEYDSGNYASDINRLRKVAFESSIEDSSEYGTHSSADSGEPPRRQQHR >ORUFI03G09330.1 pep chromosome:OR_W1943:3:6277212:6285727:1 gene:ORUFI03G09330 transcript:ORUFI03G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLAGDLTDPEMAQPYMKKDDDDEDVEYSPFYGIEKGAVLQEARAFHDPQLDARKCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSKDAGLRRLVYLMIKELSPSSDEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQANPEIVKRWSNEVQEAVQSRFALVQFHGLALLHQIRQNDRLAISKMVSGLTRGSVRSPLAQCLLIRYTSQVIRESSMNTQTSDRPFFDYLESCLRHKSEMVILEAARKIAEMDVTSRELAPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTRPLAVTNCNVDLESLMSDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSMMNFLSNSLREEGGFEYKKAIVDSIVTLISEIPDAKEIGLLYLCEFIEDCEFTYLSSQILHLLGNEGPRTSDPSRYIRYIYNRVILENATVRASAVSTLAKFGALVDALKPRIFVLLRRCLFDTDDEVRDRATLYLQTLDGEAAVGSTEKDVKEFLFGSFDVPLANLEASLKTYEPSEEPFDISLVSREVKSQPLQEKKAPGKKPPAGAPAPAPVPAVDAYQKILSSIPEFSGFGRLFKSSEPVELTEAETEYAINVVKHIYSSHVVLQYNCTNTIPEQLLENVTVYVDATDAEEFSEVCSKPLRSLPYDSPGQIFVAFEKPEHVPATGKFSNVLKFIVKEVDTSTGEVDEDGVEDEYQIEDLEIVSADYMLRVAVSNFRNAWENMDPESERVDEYGLGVRESLAEAVSAVISILGMQPCEGTEVVPKNARSHTCLLSGVFIGDAKVLVRLSFGLSGPKEVAMKLAVRSDDPEVSDKIHEIVASG >ORUFI03G09330.2 pep chromosome:OR_W1943:3:6277212:6285838:1 gene:ORUFI03G09330 transcript:ORUFI03G09330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLAGDLTDPEMAQPYMKKDDDDEDVEYSPFYGIEKGAVLQEARAFHDPQLDARKCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSKDAGLRRLVYLMIKELSPSSDEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQANPEIVKRWSNEVQEAVQSRFALVQFHGLALLHQIRQNDRLAISKMVSGLTRGSVRSPLAQCLLIRYTSQVIRESSMNTQTSDRPFFDYLESCLRHKSEMVILEAARKIAEMDVTSRELAPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTRPLAVTNCNVDLESLMSDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSMMNFLSNSLREEGGFEYKKAIVDSIVTLISEIPDAKEIGLLYLCEFIEDCEFTYLSSQILHLLGNEGPRTSDPSRYIRYIYNRVILENATVRASAVSTLAKFGALVDALKPRIFVLLRRCLFDTDDEVRDRATLYLQTLDGEAAVGSTEKDVKEFLFGSFDVPLANLEASLKTYEPSEEPFDISLVSREVKSQPLQEKKAPGKKPPAGAPAPAPVPAVDAYQKILSSIPEFSGFGRLFKVTVYVDATDAEEFSEVCSKPLRSLPYDSPGQIFVAFEKPEHVPATGKFSNVLKFIVKEVDTSTGEVDEDGVEDEYQIEDLEIVSADYMLRVAVSNFRNAWENMDPESERVDEYGLGVRESLAEAVSAVISILGMQPCEGTEVVPKNARSHTCLLSGVFIGDAKVLVRLSFGLSGPKEVAMKLAVRSDDPEVSDKIHEIIP >ORUFI03G09330.3 pep chromosome:OR_W1943:3:6277212:6285855:1 gene:ORUFI03G09330 transcript:ORUFI03G09330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLAGDLTDPEMAQPYMKKDDDDEDVEYSPFYGIEKGAVLQEARAFHDPQLDARKCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSKDAGLRRLVYLMIKELSPSSDEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQANPEIVKRWSNEVQEAVQSRFALVQFHGLALLHQIRQNDRLAISKMVSGLTRGSVRSPLAQCLLIRYTSQVIRESSMNTQTSDRPFFDYLESCLRHKSEMVILEAARKIAEMDVTSRELAPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTRPLAVTNCNVDLESLMSDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSMMNFLSNSLREEGGFEYKKAIVDSIVTLISEIPDAKEIGLLYLCEFIEDCEFTYLSSQILHLLGNEGPRTSDPSRYIRYIYNRVILENATVRASAVSTLAKFGALVDALKPRIFVLLRRCLFDTDDEVRDRATLYLQTLDGEAAVGSTEKDVKEFLFGSFDVPLANLEASLKTYEPSEEPFDISLVSREVKSQPLQEKKAPGKKPPAGAPAPAPVPAVDAYQKILSSIPEFSGFGRLFKSSEPVELTEAETEYAINVVKHIYSSHVVLQYNCTNTIPEQLLENVTVYVDATDAEEFSEVCSKPLRSLPYDSPGQIFVAFEKPEHVPATGKFSNVLKFIVKEVDTSTGEVDEDGVEDEYQIEDLEIVSADYMLRVAVSNFRNAWENMDPESERVDEYGLGVRESLAEAVSAVISILGMQPCEGTEVVPKNARSHTCLLSGVFIGDAKVLVRLSFGLSGPKEVAMKLAVRSDDPEVSDKIHEIVASG >ORUFI03G09340.1 pep chromosome:OR_W1943:3:6297990:6306139:1 gene:ORUFI03G09340 transcript:ORUFI03G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCCLRASTAPRFLLFRAAARRAPLPVAVSRKGFSEQSVLPITDMIENFQGPSMENIPRIPLYDDSLPSSLLTTSPNPSDSVAHADPSKSRIMLVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLVLDMLEFIPSHAAVVFDHDGVPYGHYTAMPSKECHMAKGMTFRHMLYPSYKSNRIPTPDTIVQGMQYLKASIKAMSIKVIEVPGVEADDVIGTLAVSSVSAGYKVRIVSPDKDFFQILSPSLRLLRIAPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGSLENLLKSVDEVEEERIKQALISQSEQAMLCKSLDDGAKFIKLLRALEAYAEGSSADLIIRRAAYLWNKLNS >ORUFI03G09340.2 pep chromosome:OR_W1943:3:6297990:6306139:1 gene:ORUFI03G09340 transcript:ORUFI03G09340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACCCLRASTAPRFLLFRAAARRAPLPVAVSRKGFSEQSVLPITDMIENFQGPSMENIPRIPLYDDSLPSSLLTTSPNPSDSVAHADPSKSRIMLVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLVLDMLEFIPSHAAVVFDHDGMTFRHMLYPSYKSNRIPTPDTIVQGMQYLKASIKAMSIKVIEVPGVEADDVIGTLAVSSVSAGYKVRIVSPDKDFFQILSPSLRLLRIAPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGSLENLLKSVDEVEEERIKQALISQSEQAMLCKSLDDGAKFIKLLRALEAYAEGSSADLIIRRAAYLWNKLNS >ORUFI03G09350.1 pep chromosome:OR_W1943:3:6304790:6309908:-1 gene:ORUFI03G09350 transcript:ORUFI03G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRWLDAAVISGLLQALLFHLATSQSFIGVNYGTIADNLPPPASTANLLKSTSIGKVRLYEPQPDLVAALAGSNISILLGVPNGDVPNLASSPAAASAWAAANIPTTVPVSAISVGNELLNSGDPTLAPQLLPAMQNLLAALPAGSTTKARISSQHLYVRIAVISTVHSMAVLSSSDPPSSGAFHADLAGSLDPVLDFLKQNGAPFMINPYPYFAYASDTRPETLAFCLFQPNPGRVDAGSGLTYTNMFDAQLDAIRAALDTKGYSGVDIVIAETGWPYKGDADEGGATVDNARAYNGNLVAHLKSQVGTPRTPGKSVDTYLFALYDEDLKGGPESERSFGLYRTDLTANYDIGLAAAPGTAAPATVTPNTPQPSRGMTPTGYCVTAAGVPGTTQGQQVPQTSSCYIPAGAVSRRADAAVRRLDYQDS >ORUFI03G09360.1 pep chromosome:OR_W1943:3:6316687:6320701:1 gene:ORUFI03G09360 transcript:ORUFI03G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRAKLVEQLREHQIRSAQSYSAALAVFSPSPHIASRRDLKVALFYAILFCFVMVSCYVALYLRWFRLSAIFVVFGILLPVGLKISRHRRLKRKRERRLLLPLSM >ORUFI03G09370.1 pep chromosome:OR_W1943:3:6321083:6321431:-1 gene:ORUFI03G09370 transcript:ORUFI03G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPAADKRIRQPASNVPIMAQSFAPTRAKLGASNTVSVALCRTMDNDDGLIPSGNQTWCLCLFYKALKLSRNDASNQYCRSSASGKYIKDLRT >ORUFI03G09380.1 pep chromosome:OR_W1943:3:6340996:6348467:1 gene:ORUFI03G09380 transcript:ORUFI03G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMASITSELLFFLPFILLALLTFYTTTVAKCHGGHWWRGGTTPAKRKRMNLPPGAAGWPLVGETFGYLRAHPATSVGRFMEQHIARYGKIYRSSLFGERTVVSADAGLNRYILQNEGRLFECSYPRSIGGILGKWSMLVLVGDPHREMRAISLNFLSSVRLRAVLLPEVERHTLLVLRAWPPSSTFSAQHQAKKFTFNLMAKNIMSMDPGEEETERLRREYITFMKGVVSAPLNLPGTPYWKALKSRAAILGVIERKMEERVEKLSKEDASVEQDDLLGWALKQSNLSKEQILDLLLSLLFAGHETSSMALALAIFFLEGCPKAVQELREEHLGIARRQRLRGECKLSWEDYKEMVFTQCVINETLRLGNVVRFLHRKVIKDVHYKGYDIPSGWKILPVLAAVHLDSSLYEDPQRFNPWRWKSSGSSGGLAQSSSFMPYGGGTRLCAGSELAKLEMAVFLHHLVLNFRWELAEPDQAFVFPFVDFPKGLPIRVHRIAQDDEQE >ORUFI03G09390.1 pep chromosome:OR_W1943:3:6358844:6363321:-1 gene:ORUFI03G09390 transcript:ORUFI03G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGPPPPPTAVGSDVDGGTGSGGARTTTFFGLSTSALVAAGAAALVLVVVLVAAGTARLCVRRRRGAKHLSMSRVEHAPSSGSLRQASSSSAPKEKDHAEAGAGTGTGTSSSDVASSSAAASYLESPVRRKPERISCAAAMDMGWGRWYDLEELEAATGGFREENVVGEGGYGTVYRGVLAGGEVVAVKNLLDHKGQAEKEFKVEVEAIGKVRHKHLVGLVGYCAEGPKRMLVYEFVENGNLEQWLHGDVGPVSPLTWDIRMKIAVGTAKGIAYLHEGLEPKVVHRDIKSSNILLDKKWNPKVSDFGMAKVLGSGSSYVTTRVMGTFGYVAPEYASTGMLNESSDIYSFGVLLMELISGKRPVDYSKSVGEVNLVEWFKGMVGSRRVEQLVDPRIEDPPGARALNRVLLVCLRCIDSDAHKRPKMGQIVHMLEGDEFPFRTEHRSPRATHRTSPNNTRPLLMSEKVGADDLDRSMWR >ORUFI03G09400.1 pep chromosome:OR_W1943:3:6368205:6371305:-1 gene:ORUFI03G09400 transcript:ORUFI03G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVEDEEEAAAEERAPAAALLRRIMAPIAWRAAPTAASASSSSYLVAAEAGGVEKISMLSGYERLPSVYGTAFHDDDGGGAWSSSSSPPACPPRAARLLWGALTRAVQRPGRCRCPGDEEAGVTTTKERRRASSSSWRRRRGGRTPTGGGRCRAGASHPIKALKAGELETSSSTLIRVVDLGPRSCNLGRK >ORUFI03G09410.1 pep chromosome:OR_W1943:3:6372686:6373687:-1 gene:ORUFI03G09410 transcript:ORUFI03G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPGSAVAMATPPEPSSWLSLKVFFLRVSRCEVNESMLDSVTVTHAPLTPDTVLEVSGGSVASNGHVSLRLDRAGGVGAAATEGGDSCTFVSTADVRVSGSARFDVQCGGERLVVGILDTRDAGAGGGGWVMKCQVAAQRGSGLVRRGSKEAKPPVVEVYVAGLARGAPVVFTRAMQLRFRRRRHVKAFMEPIPEHGEPAEDSKETLPPKHETEVSEYRCYKPEQDAGDADYDGFYVKPAGEEDDDGDFSWFTAGVRVGVGIRVGICLGIGIGAGLLARSYHSTSRSLRSRLISSLF >ORUFI03G09420.1 pep chromosome:OR_W1943:3:6379271:6382532:1 gene:ORUFI03G09420 transcript:ORUFI03G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAVMVMSTQGKPRLLKFYSYQPPEKHQDLVRGVFQLLSARPDSVSNFVKVDAIFGPGAKLVYKHLATLYFVFVFDSSENELAVLDLVQVFVETLDRCFKNVCELDIVFNFNKLHTILDEMILGGQVIETSSEQIMRSVEEIARLEKQSNTTSLIPKSISERFSR >ORUFI03G09420.2 pep chromosome:OR_W1943:3:6379096:6382532:1 gene:ORUFI03G09420 transcript:ORUFI03G09420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAVMVMSTQGKPRLLKFYSYQPPEKHQDLVRGVFQLLSARPDSVSNFVKVDAIFGPGAKLVYKHLATLYFVFVFDSSENELAVLDLVQVFVETLDRCFKNVCELDIVFNFNKLHTILDEMILGGQVIETSSEQIMRSVEEIARLEKQSNTTSLIPKSISERFSR >ORUFI03G09430.1 pep chromosome:OR_W1943:3:6383084:6387476:1 gene:ORUFI03G09430 transcript:ORUFI03G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRELGMRREPSRAEVVGRAKDDGDFDALRRAIIRKVKDNEVLRSNIIAEVKQSVVINEDGSEKLKLKDLSDAIYQDIGSKIMGQISDEIWGVILSNEIDIRGTSETVYNRMMNPEQQQDPPSKKLKRNAKEEQVSPAKASTSVAVQLEDDDPEEPPGFGFSDHQRSNIMATQQQQSSNTENHNQVKPNEGEPNTVSCPGDDDEEDPDVPPGFG >ORUFI03G09440.1 pep chromosome:OR_W1943:3:6402545:6405970:-1 gene:ORUFI03G09440 transcript:ORUFI03G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGSMAAAATSTLLLLLLLATATHGAAADTVSSPASPEAAALLNLSAALGDPSGYLSTHWTHDTAFCSWPRLSCDADGSRVLSLDLSGLNLSGPIPAAALSSLSHLQSLNLSNNILNSTFPEGLIASLKNLRVLDFYNNNLTGALPAALPNLTNLVHLHLGGNFFFGSIPRSYGQWSRIKYLALSGNELTGEIPPELGNLTTLRELYLGYFNSFTGGIPPELGRLKELVRLDMANCGISGVVPPEVANLTSLDTLFLQINALSGRLPPEIGAMGALKSLDLSNNLFVGEIPASFASLKNLTLLNLFRNRLAGEIPEFVGDLPNLEVLQLWENNFTGGVPAQLGVAATRLRIVDVSTNRLTGVLPTELCAGKRLETFIALGNSLFGSIPDGLAGCPSLTRLRLGENYLNGTIPAKMFTLQNLTQIELHDNLLSGELRLDAGVVSPSIGELSLYNNRLSGPVPVGIGGLVGLQKLLVAGNRLSGELPREIGKLQQLSKADLSGNLISGEIPPAIAGCRLLTFLDLSGNRLSGRIPPALAGLRILNYLNLSHNALDGEIPPAIAGMQSLTAVDFSDNNLSGEVPATGQFAYFNATSFAGNPGLCGAFLSPCRSHGVATTSTFGSLSSASKLLLVLGLLALSIVFAGAAVLKARSLKRSAEARAWRLTAFQRLDFAVDDVLDCLKEENVIGKGGSGIVYKGAMPGGAVVAVKRLPAMGRSGAAHDDYGFSAEIQTLGRIRHRHIVRLLGFAANRETNLLVYEYMPNGSLGEVLHGKKGGHLQWATRYKIAVEAAKGLCYLHHDCSPPILHRDVKSNNILLDAEFEAHVADFGLAKFLRGNAGGSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELIAGRKPVGEFGDGVDIVHWVRMVTGSSKEGVTKIADPRLSTVPLHELTHVFYVAMLCVAEQSVERPTMREVVQILTDLPGTAAATAMDAPSHGSGKEQDRSAEMQQQDGSRESPPQQDLLSI >ORUFI03G09450.1 pep chromosome:OR_W1943:3:6412235:6412441:1 gene:ORUFI03G09450 transcript:ORUFI03G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPTLSVPRSPRASSLILSSRRPSDDGPPGQGRRVPHRQASDDAPSQCRTSLILATEQRGRTTAPPD >ORUFI03G09460.1 pep chromosome:OR_W1943:3:6424285:6425247:-1 gene:ORUFI03G09460 transcript:ORUFI03G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQEEHARMQEQFAGTPLVEQPVRFDQFYPASMAPNQFHPSHCSSFPAFGGSSALPSLAFGAVATTKKEQVQQPSPSSSNVLSFAGQVQGSTTTLDFSGRGWQQDDGVGVFQQPPERRSRPPANAQEHVIAERKRREKLQQQFVALATIVPGLKKTDKISLLGSTIDYVKQLEEKVKALEEGSRRTAEPTTAFESKCRITVDDDDGGSASSGTDDGSSSSSSPTVEASIHGNTVLLKICCKERRGLLVMILSELEKQGLSIINTSVVPFTDSCLNITITAKARLALPVYYS >ORUFI03G09470.1 pep chromosome:OR_W1943:3:6441035:6441649:1 gene:ORUFI03G09470 transcript:ORUFI03G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVGNEENQNNENVANNDVDPIERETAKAISSGEHDREERKTEKNQVTLFIVFPNGEADVLHEDAPGRREESQG >ORUFI03G09480.1 pep chromosome:OR_W1943:3:6452380:6454648:-1 gene:ORUFI03G09480 transcript:ORUFI03G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSGNSSSPSAPAPPPPPLTSFKHSSHLLRLVDDDADDGHALLLSKVTSEAQAIGRVSVPMAVTGLVMYSRALISMLFLGRLGELALAGGSLALGFANITGYSVLSGLALGMEPICGQAFGARRGKLLALALHRTVLLLLAVALPISLLWVTSTGYILKQLGQDEGVADAAQTFAAYASADLAVLAVLHPLRVYLRSQNLTLPITACSLFSVLLHGPINYLLVVRLRMGVAGVALAVALTDLNLLLALLCFLAISGAHRDSWVGPTSDCLRGWPALLRLAVPTATAVCLEWWWYELMIVLSGLLANPRATVASMGILIQATSLVYVFPSSLGQGASTRVSHQLGAGRPAGARRAAGAALSIGLVVGAAAATFMVSVRSHWGRMFTSDGEILRLTAVALPIAGLCELGNCPQTAGCGVLRGSARPASGARINLASFYLVGMPVGVALAFGARLGFAGLWLGLLAAQAACAVWMARAVAATDWDVEVARAKELTKASTTGSGTNHQHECNNSNTNTANAKANTKTTTSPAANNINAGGGGSSDNRGYVPISESGHNDGSDDLEKLEEGLMVATSGGCCGCGDALGVDTKAGDKQQCSNGGAGTAEGNAGQRRGSASSERAPLISVGDDEEAGEENDGDGGGGGHV >ORUFI03G09490.1 pep chromosome:OR_W1943:3:6460761:6469612:-1 gene:ORUFI03G09490 transcript:ORUFI03G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALFRFERSMTGGVHRKRDGHGPTKQRLNASEYLPSIPGRNTRKSRIFLPFSSPHRRHREPPRPPDRGAARPPTSEGSRPTRTEPSFTKDISNPEGLLWGVLHRASTVKAGQEDNQGAHNSSSRREGKRRIGG >ORUFI03G09500.1 pep chromosome:OR_W1943:3:6470360:6473617:-1 gene:ORUFI03G09500 transcript:ORUFI03G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLRLILGSSSASRRQILAEMGYSFTLLSADIDEKEIRKEKPEELVVALAHAKADAIMEKLRDNGMMKEILDSQETTLLITADQVVVHDGVIREKPSTPEEARKFIKGYSESHAATIGSVLVTNVKSGARKEGWDKAEVYFHKIPDEVVESLIEEGDVFYVAGGLLVEHPLTSPLVEAIVGTIDSVMGLPKSLTEKLIKESLEEP >ORUFI03G09510.1 pep chromosome:OR_W1943:3:6498383:6501802:1 gene:ORUFI03G09510 transcript:ORUFI03G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGDVKPAIHRPSVAAARGGGNGGAIPLLRGWQAFRRSGAPARLLCFEGGAWADVAGEVVGLLRRAFMEGKAVCEAACGGRVFLFDFMRMVRIDEATAEEAALGWIDDRGACFFPAPEGGRKRKRERDEAGSEVKGEDRRRRQPAAEEEDGDEASSGVEERSGESRPEADEPDRKKARGTLWGKAVRLDEADKFYKVVEKLFVSRMAPVAAARGVAITAVHKVAQGPRARAFHLQGQLLAAARGVGDGSNAKFAWYGAPAADVAAAVEHGFGRTNGQFLGGRAHGDGVHLSPPQYPHASAMLTKPDENGEAHIVLCRVLMGRPEAVPASSPQFHPSSDEYDSAVDNLENPRWYVVWSTDMNTRILPEYVVSFRWPNLPQMEGSSGLGSKLKKPSPAATRDMFPMLLTEIQRFVPSPKLQTLQRTYNCFKRGQMKKDQFIRFLRSHIGDNVLTTVAKKLRGY >ORUFI03G09520.1 pep chromosome:OR_W1943:3:6505258:6511025:1 gene:ORUFI03G09520 transcript:ORUFI03G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHGEVSFDEDEEEVVMVPAAALSSSPLNGGAVPVTRLVVGYALTKKKVKSFLQPNLLLLARKKGINLVAIDDTRPLAEQGPFDVILHKITSKEWQQVLEDYHEEHPEVTVLDPPNAINHLNNRQSMLAEVSDLNLSSFYGEVCTPRQLVIMRDPSSIPTAVAMAGLTLPLVAKPLVVDGTSKSHELSLAYDEASLSMLDPPLVLQEFVNHGGILFKVYIIGETIQVVRRFSLPDVNTYDLLNNVGVYRFPRVSCAAASADHADLDPHISELPPRPLLEKLGKELRGRLGLRLFNIDMIRELGTKDRYYIIDINYFPGFGKMPGYEHIFTDFLLNLAQSKYKKCLSGG >ORUFI03G09530.1 pep chromosome:OR_W1943:3:6506794:6511715:-1 gene:ORUFI03G09530 transcript:ORUFI03G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLTVAERLKDRPPSPLPPLHWTNRTKLYSYGGMAPLSKLPSRAPEGLPAIVSLLPYCREKILHLRGEVRKGREREESLIDYLMIRQSALSGESALHCSFQGETSTVIMETEATVDSTTLQFPERTKHSKRIAHEKDNEIIETEALTKARWEHSVLMSHLRYEDQACVAYVCSLPSN >ORUFI03G09530.2 pep chromosome:OR_W1943:3:6506794:6511715:-1 gene:ORUFI03G09530 transcript:ORUFI03G09530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLTVAERLKDRPPSPLPPLHWTNRTKLYSYGGMAPLSKLPSRAPEGLPAIVSLLPYCREKILHLRGEVRKGREREESLIDYLMIRQSALSGESALHCSFQGETSTVIMETEATVDSTTLQFPERTKHSKRIAHEKDNEIIETEALTKARWEHSVLPSN >ORUFI03G09540.1 pep chromosome:OR_W1943:3:6531512:6531697:1 gene:ORUFI03G09540 transcript:ORUFI03G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVASPLSPWENDDDDVREAVGCELGRRGKRGRWRNGKRSAAADQDDEDDDWRERRRGGEP >ORUFI03G09550.1 pep chromosome:OR_W1943:3:6535589:6537732:1 gene:ORUFI03G09550 transcript:ORUFI03G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDVGHLSDAGLALGLSLGGGGGGTTDTAAAHRGGCRRPSPSSQCPPLEPSLTLSLPDDAAAGAAATATATASGGGGPAHSVSSLSVGAAAAAAVKRERAEEADGERVSSTAAGRDDDDDGSTRKKLRLTKEQSALLEDRFREHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQRELQELRALKFAPPPPSSAAHQPSPAPPAPFYMQLPAATLTICPSCERVGGPASAAKVVAADGTKAGPGRTTTHHFFNPFTHSAAC >ORUFI03G09560.1 pep chromosome:OR_W1943:3:6545013:6545285:1 gene:ORUFI03G09560 transcript:ORUFI03G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPGFPFGKNWRGGWRVVEQRGPGPALRGGGSMKSADEGASVRCGGCYVLPFACVVVLSWWTATCSQGCRIPGESLVRWFTGLRLRTS >ORUFI03G09570.1 pep chromosome:OR_W1943:3:6545599:6547779:-1 gene:ORUFI03G09570 transcript:ORUFI03G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVATKSAATAMVVVAARSAQPPWSSSWSSSPPDPAPPVLPATELAPERGGEQPASSPPPDPAPPLLPAAELVPERGGEQPASSRSRGEGSSRRAPRRQIRRHRYSPPPSSCRREEGSSRRARAREGRGAAGELLAARSASTAAPCCRQTRRHRCSPPPKEAN >ORUFI03G09580.1 pep chromosome:OR_W1943:3:6551958:6555092:1 gene:ORUFI03G09580 transcript:ORUFI03G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASSAKRALLPWARDAHHALARALQGCGGGGGLGLRGALPTAGGRWSLLQCRWRSSLPQLDSADRSDEESGGEIDWDNLGFGLTPTDYMYVMRCSLEDGVFSRGELSRYGNIELSPSSGVINYGQGLFEGLKAYRAANQQGSYMLFRPEENARRMQHGAERMCMPSPSVEQFVHAVKQTVLANRRWVPPQGKGALYIRPLLIGSGPILGLAPAPEYTFLIYAAPVGTYFKEGLAPINLVVEDSIHRAMPGGTGGVKTITNYAPVLKAQMDAKSRGFTDVLYLDAVHKTYLEEASSCNLFIVKDGVVATPATVGTILPGITRKSVIELARDRGYQVEERLVSIDDLVGADEVFCTGTAVVVAPVSSVTYHGQRYEFRTGHDTLSQTLHTTLTSIQMGLAEDKKGWTVAID >ORUFI03G09580.2 pep chromosome:OR_W1943:3:6551958:6555092:1 gene:ORUFI03G09580 transcript:ORUFI03G09580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVRTYVLDDQGLFEGLKAYRAANQQGSYMLFRPEENARRMQHGAERMCMPSPSVEQFVHAVKQTVLANRRWVPPQGKGALYIRPLLIGSGPILGLAPAPEYTFLIYAAPVGTYFKEGLAPINLVVEDSIHRAMPGGTGGVKTITNYAPVLKAQMDAKSRGFTDVLYLDAVHKTYLEEASSCNLFIVKDGVVATPATVGTILPGITRKSVIELARDRGYQVEERLVSIDDLVGADEVFCTGTAVVVAPVSSVTYHGQRYEFRTGHDTLSQTLHTTLTSIQMGLAEDKKGWTVAID >ORUFI03G09590.1 pep chromosome:OR_W1943:3:6559201:6566765:-1 gene:ORUFI03G09590 transcript:ORUFI03G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSRAEPAMKTGGRSPPLLPPSPPLPINRNNLEASARLRLLLPPPPPPLPFSPLARRRLAATRIGAAPRPQQRSPPPRAERPRRPHHYWCGMAEVAAGTGQLIGVAVATLLAAIFLAAALLGSRRRRRRAPLAGKPAAVGGCGVADGEGCGGDGRTDVIVVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVQEIDAQRVLGYALFKDGKDTKLSYPLEKFHSDVAGRSFHNGRFIQRMRQKAASLPNVQLEQGTVTSLVEEDGTVKGVKYKTKSGEELKAYAPLTIVCDGCFSNLRRALCSPKVDVPSCFVGLVLENCQLPHANHGHVVLANPSPILFYPISSTEVRCLVDVPGQKVPSIANGEMAKYLKTVVAPQIPPEIYDSFIAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASALCKYLESFHTLRKPVASTINTLAGALYKVFSASPDQARNEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPLPSPKRMWIGSACGIIFPIIKAEGVRQMFFPATVPAYYRAPRPMESIPCYCCFLSSSSSSSSRRRKSRWDRADEIPEDVEMGMGEIEAAGELTATRIETAGELPAPRIETAGELPKGAQIGMGEVVVVIAVIRRFTWMGDECML >ORUFI03G09590.2 pep chromosome:OR_W1943:3:6555356:6560197:-1 gene:ORUFI03G09590 transcript:ORUFI03G09590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAGAGQLVGLAAATLLTAAFLVAVKMGWRRRRRQREVAPEGGCRVVGGDGGDRTDIVIVGAGVAGSALAYTLGKDGRRVHVIERDMTEPDRIVGELLQPGGYLKLMELGLEDCVEEIDAQRVLGYALLKDGRNTKLSYPLEKFHSDVAGRSFHNGRFIQKMRQKAASLPNVHLEQGTVTSLLEEGGTVKGVQYKTKSGEELKAYAPLTIVCDGCFSNLRRVLCSPKVDVPSCFVGLVLENCQLPHPNHGHVILANPSPILCYPISSTEIRCLVDIPGQKVPSMATGEMAKYLKTVVAPQIPPELHDSFIAAIDKGSIRTMPNRSMPAAPLPTPGALLMGDAFNMRHPLTGGGMTVAFSDIVVLRNLLKPLGNLHDAPSLCKYLESFYTLRKPVASTINTLAGALYKVFCASTDQAKNEMREACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPVPSPKRMWIGARLVSGACGIIFPIIKAEGVRQMFFPATVPAYYRAPPSMEISEKKEQKYRVCFGIELFPSNV >ORUFI03G09590.3 pep chromosome:OR_W1943:3:6561156:6566765:-1 gene:ORUFI03G09590 transcript:ORUFI03G09590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSRAEPAMKTGGRSPPLLPPSPPLPINRNNLEASARLRLLLPPPPPPLPFSPLARRRLAATRIGAAPRPQQRSPPPRAERPRRPHHYWCGMAEVAAGTGQLIGVAVATLLAAIFLAAALLGSRRRRRRAPLAGKPAAVGGCGVADGEGCGGDGRTDVIVVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVQEIDAQRVLGYALFKDGKDTKLSYPLEKFHSDVAGRSFHNGRFIQRMRQKAASLPNVQLEQGTVTSLVEEDGTVKGVKYKTKSGEELKAYAPLTIVCDGCFSNLRRALCSPKVDVPSCFVGLVLENCQLPHANHGHVVLANPSPILFYPISSTEVRCLVDVPGQKVPSIANGEMAKYLKTVVAPQIPPEIYDSFIAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASALCKYLESFHTLRKPVASTINTLAGALYKVFSASPDQARNEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPLPSPKRMWIGSACGIIFPIIKAEGVRQMFFPATVPAYYRAPRPMESIPCYCCFLSSSSSSSSRRRKSRWDRADEIPEDVEMGMGEIEAAGELTATRIETAGELPAPRIETAGELPKGAQIGMGEVVVVIAVIRRFTW >ORUFI03G09600.1 pep chromosome:OR_W1943:3:6585676:6594381:-1 gene:ORUFI03G09600 transcript:ORUFI03G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRSMDQRGGAGGAAETHRVQLPDTATLSDVKAFLATKLSAAQPVPAESVRLTLNRSEELLTPDPSATLPALGLASGDLLYFTLSPLPSPSPPPQPQPQAQPLPRNPNPDVPSIAGAADPTKSPVESGSSSSMPQALCTNPGLPVASDPHHPPPDVVMAEAFAVIKSKSSLVVGDTKREMENVGGADGTVICRLVVALHAALLDAGFLYANPVGSCLQLPQNWASGSFVPVSMKYTLLELVEALPAVEEGMVAVLNYSLMGNFMMVYGHVPGATSGVRRLCLELPELAPLLYLDSDEVSTAEEREIHELWRVLKDEMCLPLMISLCQLNNLSLPPCLMALPGDVKAKVLEFVPGVDLARVQCTCKELRDLAADDNLWKKKCEMEFNTQGESSQVGRNWKERFGAAWKVSNNKGQKRPSPFFNYGWGNPYSPHGFPVIGGDSDMLPFIGHPNLLGRSFGNQRRNISPSCSFGGHHRNFLDTCGCMMCKCIYSDQRKDIVLADKYTCGNYMQKPVTQPVYHSLLCQYITIGLSLLWYHLVDLGQPQRSKYKNPIRCQHTSACRWQDAPAAGIHFDCIIPLPINPYQLPPSAGACCSTTQASASAKDGGNVLQIPKPLEMYSPPCSAAASSQGHCFAVGANQLASLDLAMDFDEPILFPVHNASLQEGIQFYNPTGDTQLSRNMSIDKCLKGSKRKGSGEGSSSLHSQEETGEMPQRELSMEHAGEKAGDADASREEYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSTISPELNSDLDLQDILCSQDARSAFLGCSPQLSNAHPNLYRAAQQCLSPPGLYGSVCVPNPADVHLARAGHLASFPQQRGLIWNEELRNIAPAGFASDAAGTSSLENSDSMKVE >ORUFI03G09600.2 pep chromosome:OR_W1943:3:6585676:6594381:-1 gene:ORUFI03G09600 transcript:ORUFI03G09600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRSMDQRGGAGGAAETHRVQLPDTATLSDVKAFLATKLSAAQPVPAESVRLTLNRSEELLTPDPSATLPALGLASGDLLYFTLSPLPSPSPPPQPQPQAQPLPRNPNPDVPSIAGAADPTKSPVESGSSSSMPQALCTNPGLPVASDPHHPPPDVVMAEAFAVIKSKSSLVVGDTKREMENVGGADGTVICRLVVALHAALLDAGFLYANPVGSCLQLPQNWASGSFVPVSMKYTLLELVEALPAVEEGMVAVLNYSLMGNFMMVYGHVPGATSGVRRLCLELPELAPLLYLDSDEVSTAEEREIHELWRVLKDEMCLPLMISLCQLNNLSLPPCLMALPGDVKAKVLEFVPGVDLARVQCTCKELRDLAADDNLWKKKCEMEFNTQGESSQVGRNWKERFGAAWKVSNNKGQKRPSPFFNYGWGNPYSPHGFPVIGGDSDMLPFIGHPNLLGRSFGNQRRNISPSCSFGGHHRNFLDTCGCMMCKCIYSDQRKDIVLADKYTCGNYMQKPVTQPGRWLIILVYHSLLCQYITIGLSLLWYHLVDLGQPQRSKYKNPIRCQHTSACRWQDAPAAGIHFDCIIPLPINPYQLPPSAGACCSTTQASASAKDGGNVLQIPKPLEMYSPPCSAAASSQGHCFAVGANQLASLDLAMDFDEPILFPVHNASLQEGIQFYNPTGDTQLSRNMSIDKCLKGSKRKGSGEGSSSLHSQEETGEMPQRELSMEHAGEKAGDADASREEYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSTISPELNSDLDLQDILCSQDARSAFLGCSPQLSNAHPNLYRAAQQCLSPPGLYGSVCVPNPADVHLARAGHLASFPQQRGLIWNEELRNIAPAGFASDAAGTSSLENSDSMKVE >ORUFI03G09610.1 pep chromosome:OR_W1943:3:6598089:6601810:-1 gene:ORUFI03G09610 transcript:ORUFI03G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGSSNWLGFSLSPHMPAMEVPSSSEPSTAAHHHHHHHPPAAAAAAGAMSSPPDSATTCNFLFSPPAAQMVAPSPGYYYVGGAYGDGTSTAGVYYSHLPVMPIKSDGSLCIMEVGMMPSSSPKLEDFLGCGNGSGHDPATYYSQGQEAEDASRAAYQHHQLVPYNYQPLTEAEMLQEAAAAPMEDAMAAAKNFLVTSYGACYGNQEMPQPLSLSMSPGSQSSSCVSAAPQQHQQMAVVAAAAAAGDGQGSNSNDGGEQRVGKKRGTGKGGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKDGQTRKGRQGGYDTEDKAARAYDLAALKYWGLSTHINFPLENYRDEIEEMERMTRQEYVAHLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVDKIMESSSLLPGEAARKVKAIEAAPDHVPIGRELGATEEASAATVTGTDWRMVLHGSQQQQAAACTEATADLQKGFMGDAHSALHGIVGFDVESAAADEIDVPGGKISGINFSNSSSLVTSLSNSREGSPERLGLAMLYAKHHPTAVSLAAMNPWMPMPAPAAAHVMRPPSAIAHLPVFAAWTDA >ORUFI03G09620.1 pep chromosome:OR_W1943:3:6611757:6611990:1 gene:ORUFI03G09620 transcript:ORUFI03G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPSSTAADCTMARIAFPCDGGRQATSRTPTRQRRRRSSDCFLLGWEPPFGCLGVVASIGAAGTNVYGVLHLRAS >ORUFI03G09630.1 pep chromosome:OR_W1943:3:6614558:6615340:-1 gene:ORUFI03G09630 transcript:ORUFI03G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREILLRTGPARYSYGVDCYAAVHEVRIREDDMPDWQNALHPACIVVARFAASELSRIRHAWLCEPTPSELGSSQRKRMLEYS >ORUFI03G09640.1 pep chromosome:OR_W1943:3:6622904:6623828:1 gene:ORUFI03G09640 transcript:ORUFI03G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKLSALFLTAILLCLICTRSQAARPEPGSSGHKSQGVVASSIAHQKSVGSSGIGVEMHQGEPDQAVECKGGEAEEECLMRRTLVAHTDYIYTQGNHN >ORUFI03G09650.1 pep chromosome:OR_W1943:3:6627396:6630845:1 gene:ORUFI03G09650 transcript:ORUFI03G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPRLSLLRAVAPRPVPPPPRASLPVRRTLSSPAGAARTASAGDAPPPAQRTGLKGGRKSAPPPPPPRGSSVNPALFFPPGVDRDAAVAAEMVIPASNIVVGPYAGDSRVKEAEFVKSSARARDCPKDDRPEFAVLGRSNVGKSSLINALIRRKEAALTSKKPGKTQTINHFLVNKSWYLVDLPGYGFAAASQSARTDWSSFTKGYFLNRDTLVGVLLLVDASIPPQKIDIDCANWLGRNNIGLTYVFTKCDKSKKGKGGRPEENIKEFQETISSLYPEPPPWIMTSSVTGLGRDGLLLHMSQLRNYWDNEATMSSAAAGGAIWN >ORUFI03G09650.2 pep chromosome:OR_W1943:3:6627396:6630845:1 gene:ORUFI03G09650 transcript:ORUFI03G09650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPRLSLLRAVAPRPVPPPPRASLPVRRTLSSPAGAARTASAGDAPPPAQRTGLKGGRKSAPPPPPPRGSSVNPALFFPPGVDRDAAVAAEMVIPASNIVVGPYAGDSRVKEAEFVKSSARARDCPKDDRPEFAVLGRSNVGKSSLINALIRRKEAALTSKKPGKTQTINHFLVNKSWYLVDLPGYGFAAASQSARTDWSSFTKGYFLNRDTLVGVLLLVDASIPPQKIDIDCANWLGRNNIGLTYVFTKCDKSKKGKGGRPEENIKEFQETISSLYPEPPPWIMTSSVTGLGRDGLLLHMSQLRNYWDNEATMSP >ORUFI03G09660.1 pep chromosome:OR_W1943:3:6634293:6635672:-1 gene:ORUFI03G09660 transcript:ORUFI03G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYQELPCGGQVLDIDTALKDGILGGGPELGDAAAGDGGKQPVELRKMMDELDAAGDGGGDEAVPAVFICPISLEPMVDPVTLCTGQTYESANISRWLALGHRTCPTTMQELWDVTPIPNTTLRQLIAAWFSRRYTRFKKRSADFHGRAAELVHALRGTAVPKRQPLKGQARVAALRELRSLAAAHQSVTKAIAEAGGVGLLTSLLGPFTSHAVGSEAVAILVSGVPLDADAKAALMQPAKVSLLVDMLNEGAVDTKINCVRLIRILMEEKGFRPDTVASLSLLVGVMRLVRDKRHPDGVAAGLELLNSICAVHKPARSLIVSIGAVPQLVELLPELPTECVEPALDILDALAAVPEGRIALKDCPRTITNAVRLLMRVSEACTRRALSMLWVVCRMAPEECAPAALDAGLGAKLLLVIQSGCGPELKQQASELLKLCTMNCTSTVFISKCKLTKTIQ >ORUFI03G09670.1 pep chromosome:OR_W1943:3:6638950:6640707:1 gene:ORUFI03G09670 transcript:ORUFI03G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRLPLRTAAYRPLALPAPWSGVGMRRRWRGNGAGVAAARPGKGGDDGSSDVRGREGGRTEHARQRMRVAWADGWVDGSRKGLTSGLTDPTCERREERLPFCPTTGWTLFQRASRFGRCSKK >ORUFI03G09680.1 pep chromosome:OR_W1943:3:6640767:6641156:1 gene:ORUFI03G09680 transcript:ORUFI03G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAIVNSPLLGPNVGAGLGQGAAMPAVHFDSGRLQQPPSGFCLHNVSNLPFTSTHLEGSRIRRGRRRFLPSRREVAAAIHVLVESCWNNNPLVPACVASSVCPSWFLTASPGIASLQISGRASMLSS >ORUFI03G09690.1 pep chromosome:OR_W1943:3:6648658:6650899:-1 gene:ORUFI03G09690 transcript:ORUFI03G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRRVAVVAVLLSLPSRGRSGGGGSDLHPVVLVPGYGSNRLYARLTAAYEPAAPRCGAREGKDEWFQLWPIDAAASEPAQAPCLAEKMSLVYDPVADDYRNVAGVVTRVPSFASTRALVGWDPLVRQLEAMGHRDGGSLFAAPYDFRYAVAPRGHPSAVGERYFARLTRLIERASRLNGGRPAVVVAHSFGCALTYQFLRARPLAWRQRFVKHAVLLAAALGGFAEGMDGLASGAGSGLPNLAPPARARLARSQQSALWRLPTPMVFGDRPVVVTKNSTYSANNITEFLDAIGFTEGVQPYVTRVLPMWRALPAPMVPVTSMYGVGVRTPETFVYGEAGFEGTPEVVYGDGDGNMNIVSLMAAEKEWSGVEGQILKVVRLPGVSHVGFFSDLALKKVVAEIQKAVSSIEVHRKEKIFSFLNNFEFTIPVPLGW >ORUFI03G09700.1 pep chromosome:OR_W1943:3:6651976:6655575:-1 gene:ORUFI03G09700 transcript:ORUFI03G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEEVEAARWAEAEDERKEGLRRRRRYGLVEYRALPGYMRDNEYILRHYRCEWPLPQVLLSAFSIHNETLNVWTHLIGFFIFLVLTIYTATQVPNVVDLQSLQHLPDVLRNADLHKIQTELVACLPSLPHLSDLQKLKDELKSSWNSIEVLPSLSRWHLLELLSSCLPHRFTHSNETSLSVLQSMKEDIANMIAPQLIRPIPRWPFYAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCYPFFCNLYLSCITILGVATIAFSLLPVFQNPEFRTIRACLFFGMGASGVIPVIHKLILFWHQPEALHTTAYEVLMGLFYGIGALVYATRVPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYHSGLVYLKWRDVQGC >ORUFI03G09710.1 pep chromosome:OR_W1943:3:6666566:6668144:1 gene:ORUFI03G09710 transcript:ORUFI03G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRTLARGIWAGKNANAAAACPAAAAPKPPARRPLPAVDDCPTLAYLRPRPGTIRYTTASVPLPAHCFPALPVGDQLFNRLRLDGLVPPTTAVTRPPEEEGVGVTVEEARKVARAAEMEVARARLRSNAQSVVSGSEFAALCVDIAGGAEGGRRLARALDDSGVVIVLGDAVFLRPDMIAKAIGSMIPATAHATRAAASVVEVRKKREEEEELRAMEEEKAGIDAAAAAQVRRELWCGLGLLAAQTLGFMRLTFWELSWDVMEPVCFYVTSLYFMSGYAFFMRTSTEPSFEGFYRSRLASRQRRLMRARSFDVARYEALKEQVGGGARYGVAARDAIVLRQQHVTHVH >ORUFI03G09720.1 pep chromosome:OR_W1943:3:6668796:6669173:1 gene:ORUFI03G09720 transcript:ORUFI03G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWMRGRRCWSSRRQSGDHVADGHMAGDGVGAHRLATRMEPEVGINGGAVDGEQRCSSTRRQSGNHVADGRALLSPNRSSSLACAGVADGHLLLTAQALSLVPLWMGKVDKMYPIPMVYWYRK >ORUFI03G09730.1 pep chromosome:OR_W1943:3:6670820:6671700:-1 gene:ORUFI03G09730 transcript:ORUFI03G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGRTPALQIIVGVLWSQLQFGAEAVGTTVFTLRNNCTYTVWPATLSGNTAVAVGGGGFKLSPGANVSFPAPAGWSGRLWARTDCAPSGTASLACVTGDCGGAVSCSLGGAPPVTLAEFTLGGADGKDFYDVSLVDGYNVGIGVAATGARVNRSTCGYAGCVGDVNALCPAELQVAGKENDQQSGAAATTTVACRSACEAFGTAEYCCTGAHGGPDSCGPTRYSRLFKAACPAAYSYAYDDPTSTFTCGTGAQYVITFCPAQQQ >ORUFI03G09740.1 pep chromosome:OR_W1943:3:6672489:6672694:-1 gene:ORUFI03G09740 transcript:ORUFI03G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARHESRCYFAPRISRLGMLDESTSGSSDSRRLSDGGNEVWWAAAVDPKAGDSAAAADPEAKHD >ORUFI03G09750.1 pep chromosome:OR_W1943:3:6672900:6674774:-1 gene:ORUFI03G09750 transcript:ORUFI03G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKGLCILAVPVLIPVSQPPRFPPLTYGAIAADPGAGKHRHGIIVATSIAGSFVACAVLCTAILAYRRYRKKAPVPKHVSPKLSWTKSLNRFDSNSSIARMINGGDKLLTSVSQFIDKPIIFREEEIMEATMNLDEQCKLGSSYYRANLEREVFAVKPAKGNVAGELRMMQMVNHANLTKLAGISIGADGDYAFLVYEFAEKGSLDKWLYQKPPCSQPSSSSVATLSWDQRLGIALDVANGLLYLHEHTQPSMVHGDVRARNILLTAGFRAKLSNFSLAKPAATVDAAATSSDVFAFGLLLLELLSGRRAVEARVGVEIGMLRTEIRTVLDAGGDKRAAKLRKWMDPTLGGEYGVDAALSLAGMARACTEEDAARRPKMAEIAFSLSVLGQPLSVADAFERLWQPSSEDSIGIGNEVAAR >ORUFI03G09760.1 pep chromosome:OR_W1943:3:6678976:6687121:1 gene:ORUFI03G09760 transcript:ORUFI03G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAEEVSPTASSSELEQEDDDDDCYLSDQEDDALEESVLQVLEDERDEDCHWSSTSVITKESLLAAQREDLRKVMELLGLREHHARTLLIHYRWDVERIFELLDQKGRDRLFSEAGIPLQPANNAGSPSSTEVTCNVCYDDVPLSDASKMDCGHNYCNECWTGYFIVKINEGQSRRIKCMAPKCNTICDEAIVRKLVNAKRPDIAERFERFLLESYIEDNDTVKWCPSTPHCGNAIRVKGDIHCEVECTCGRQFCFNCSSEAHSPCSCVMWELWIKKCRDESETVNWITVNTKPCPKCHKPVEKNGGCNLVACICGQAFCWLCGGATGRDHTWSSISGHSCGRFTEDQSKKTEQARRNLYRYMHYHNRYKAHTDSLKQEAKLKGDIQGKISISENKDSKIKDYSWVINGLNRLFRSRRVLSYSYPFAFYMFGDEIFKDEMTSDERELKQNLFEDQQQQLEFNVERLSGFLERDFQNFSDDEVIDTMKHVINLSNVVDRLCKQMYQCIENDLLYPLRTPHNIAPYKSKGLDRASELNVCWDSSEQGSSIFGKRQLGSSSNNNGRPHKRERNDAHGGAALFDLNVPAEVADKI >ORUFI03G09760.2 pep chromosome:OR_W1943:3:6678976:6683632:1 gene:ORUFI03G09760 transcript:ORUFI03G09760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAEEVSPTASSSELEQEDDDDDCYLSDQEDDALEESVLQVLEDERDEDCHWSSTSVITKESLLAAQREDLRKVMELLGLREHHARTLLIHYRWDVERIFELLDQKGRDRLFSEAGIPLQPANNAGSPSSTEVTCNVCYDDVPLSDASKMDCGHNYCNECWTGYFIVKINEGQSRRIKCMAPKCNTICDEAIVRKLVNAKRPDIAERFERFLLESYIEDNDTVKWCPSTPHCGNAIRVKGDIHCEVECTCGRQFCFNCSSEAHSPCSCVMWELWIKKCRDESETVNWITVNTKPCPKCHKPVEKNGGCNLVACICGQAFCWLCGGATGRDHTWSSISGHSCGRFTEDQSKKTEQARRNLYRYMHYHNRYKAHTDSLKQEAKLKGDIQGKISISENKDSKIKDYSWVINGLNRLFRSRRVLSYSYPFAFYMFGDEIFKDEMTSDERELKQNLFEDQQQQLEFNVERLSGFLERDFQNFSDDEVIDTMKHVINLSNVVDRLCKQMYQCIENDLLYPLRTPHNIAPYKSKGLDRASELNVCWDSSEQGSSIFGKRQLGSSSNNNGRPHKRERNDAHGGAALFDLNVPAEVADKI >ORUFI03G09770.1 pep chromosome:OR_W1943:3:6686878:6688575:-1 gene:ORUFI03G09770 transcript:ORUFI03G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANLAHAPPPPVPNLAHAVGAPPEKRIEVVWERENTLLSFGRAAAHAAVAFVKFNVQEYNDLTCRRQQFTGWRQNAAQRQGAGNEFNSQGCDDGFVPETQQDVPIEEIGIGTMLHNWLRAISEYEKPSIDMETIEKAWTDEKKAIADVDYERNPRKVNTSSEKKACVDEGKSISDVETDDEGVGVLEKKACIAEGKSISDAETDDEGIGVPRNLHMKDLHDIMFPTYTQVCKHRRI >ORUFI03G09780.1 pep chromosome:OR_W1943:3:6692120:6696431:1 gene:ORUFI03G09780 transcript:ORUFI03G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMDGTDLSSPRCNVQHLQNAEELKDQNSTNKRLPRTTELPCSLIQEVQHLEKRLNDQFAMRRALEKALGYKPCAIHSSNESCIPKPTEELIKEIAVLELEVICLEQHLLALYRKAFDQQICSVSSSCDMEINKQSARSFSGILTGSSELDFSTPRKHQLLQSSGMVMARKSTPTTLTSETSTSHYNDKTGIGRSHSSLLQRSICSARVSPSANNLARALKPCHTLPLSFVEEGKCMDPGIVSLADILGTRIADHVPQTPNKISEDMIKCIASIYIRIRDFNAVQHPFFPSPCSSFSSASGLSSKYTGDIWSPRCRKEGYIEAWQDDALGTGESRYFSQQYDSVIEVSALCKGAQRSADVKDMLHKYKSLVQLLESADLNGMKNEEKIAFWINVHNAMMMHAHIEYGIPQSNSKRILLTKLSYLISGQRVNPELIEYHILCCRWLRLLLYPKWKSKEKEDLQGFAVDRPEPLVHFALSSGSHSDPVVRLYRPERLLQQLEAARDEFVRANVGVRGGRRGRGRRVLLLLPKLLEPYSRDAGLGAHDLLRAVESCLPEPLRPAAQQAARSRGGGGGVEWRPHNPAFRYLLARELVGPPAPTAHLSST >ORUFI03G09790.1 pep chromosome:OR_W1943:3:6696790:6706482:1 gene:ORUFI03G09790 transcript:ORUFI03G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEDNNAVAVSFSEEQEALVLKSWAILKKDSANIALRFFLKIFEVAPSASQMFSFLRNSDVPLEKNPKLKTHAMSVFVMTCEAAAQLRKAGKVTVRDTTLKRLGATHLKYGVGDAHFEVVKFALLDTIKEEVPADMWSPAMKSAWSEAYDHLVAAIKQEMKPAEVTTSKQEFCQEAMAANGSNVVSRGAVRFTEEQEALVLKSWAIMKNDSAHIGHRFFLKIFEVAPSARQLFSFLRNSDVPLEKNPKLKIHAMAVFVMRLGSTHFKNGVSDAHFEFALLETIKEAVPASMWSPAMKGAWGEAYDHLVAAIKQGMKPAAAKAMAFASASNGAVRFTEEQEALVLKSWAIMKDDSANIGHRFFLKIFEVAPSARHLFSFLRNSDVPLEKNPNLKKHAMAVFVMTCEAAAQLRKTGRVTVARFALLETIKDGIPASMWSPEMKNAWGEAYEHLVAAIKEGMKPVALL >ORUFI03G09800.1 pep chromosome:OR_W1943:3:6707267:6709529:-1 gene:ORUFI03G09800 transcript:ORUFI03G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIKN >ORUFI03G09820.1 pep chromosome:OR_W1943:3:6716139:6720272:-1 gene:ORUFI03G09820 transcript:ORUFI03G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESGSLYALCRSWVRNGVPHESQPSFGTGAPILPRPLPASVVDSRISEKDNDAEKENSEEEKNETGEYTASDLLKQHVKRAKKIRAGLQKERLRRIERYKQRLALLLPPPSELGKHDGH >ORUFI03G09830.1 pep chromosome:OR_W1943:3:6723448:6725453:-1 gene:ORUFI03G09830 transcript:ORUFI03G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVAAVRAAVLVVAVALAAAAAGASAQLCDKYYDGTCPDVHRIVRRVLKRARQDDPRIFASLTRLHFHDCFVQGCDASILLDNSTSIVSEKFATPNNNSARGYPVVDDIKAALEEACPGVVSCADILAIAAKISVELCQFVTDRLYNFSGTGKPDPTLDAGYRRALAKSCPRRGGNSSALNDLDPTTPDAFDKNYFANIEVNRGFLQSDQELLSTPGAPTAAIVNSFAISQKAFFKSFARSMVNMGNIQPLTGSQGEVRKSCRFVNGS >ORUFI03G09840.1 pep chromosome:OR_W1943:3:6725964:6728198:-1 gene:ORUFI03G09840 transcript:ORUFI03G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSRICQKLYRLLGLKCESVKAPERDSLSVGSRKVMGRARDRAVKASQLSCCIAAPATN >ORUFI03G09860.1 pep chromosome:OR_W1943:3:6732170:6735657:1 gene:ORUFI03G09860 transcript:ORUFI03G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWFQITFFFPVLSSSLSPQPTPAVQLSVPCSSKSPSDPSSPTPRNPSLPSPSPPADGDGLIGPGHPPRLASPLPLPAPPAHLMFLSRIVLRDPDSIDSPAASMASSKKLVTRDEWERKLRDVKIRKEDMNRLVMNFLVTEGFVDAADKFRVESGTQPDIDLATITDRMEVKRAVQSGNVQEAIEKINDLNPTILDTNPQLYFHLQQQKLIELIRAGKINEALEFAQEELAPRGEENQVFLEEIEKTVALLVFEDIKNCPYGELLDVSQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWTQNQLDEKAAYPRINNFSTAALEDPAI >ORUFI03G09870.1 pep chromosome:OR_W1943:3:6736242:6738167:1 gene:ORUFI03G09870 transcript:ORUFI03G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRAVSSGFTKAPTFSVTTASQLHDAIDRLLPRLRGDPSLAPAARALAAAATASLPPSTVLSNRLLHLLSSHPATLPDALALFSSIAAPDICSHNTLISALSRSPRHLPSARELFDRMPQRDHFAWSALVSGYARHGQPEAALALYRRMQEEPGNDGADNEFTASSALAAAAAARCGRAGRELHCHVVRRGIDAGGGDAVLWSALADMYAKCGRVDDARRVFDRMPVRDAVSWTAMVERYFDGGRGGEGFRLFLHMLRTRGVRPNEFTYAGVLRACAEFAVESFGRQVHGRMAKSGTGDSCFAESALLRMYSKCGDMGSAVRVFEAMAKPDLVSWTAVISGYAQNGQPEEALRYFDMFLRSGIKPDHVTFVGVLSACAHAGLVDKGLEIFHSIKEQYCIEHTADHYACVIDLLSRSGQFERAEKMIGNMAVKPNKFLWASLLGGCRIHKNVGLARRAAEALFEIEPENPATYVTLANIYASVGLFDEVEDVRRIMESKGITKMPASSWIEVGRRVHVFLVGDKSHPKADEIYALLKKLYVKMVEEGYVADIEFVLHDVEDEQKEQDIGYHSERLAVAFGIIATPEGSPIKVFKNLRICGDCHAAIKLISQIVQRDIIVRDSNRFHHFKDGICSCRDYW >ORUFI03G09880.1 pep chromosome:OR_W1943:3:6738218:6758109:-1 gene:ORUFI03G09880 transcript:ORUFI03G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYQHDKPLLDEENSSQVTLEYTGDGSVCIRGHPALRKHTGNWKGSSLAIVFSFCSYLAFTSIVKNLVSYLTKVLHETNVAAARDVATWSGTSYLAPLVGAFLADSYLGKYCTILIFCTIFIIGLMMLLLSAAVPLISTGPHSWIIWTDPVSSQNIIFFVGLYMVALGYGAQCPCISSFGADQFDDTDENERTKKSSFFNWTYFVANAGSLISGTVIVWKPGGSPLARICQVVVAAIHKRDKDLPCDSSVLYEFLGQSSAIEGSRKLEHTTGLKFFDRAAMVTPSDFESDGLLNTWKICTVTQVEELKILIRMFPVWATMILFAAVLDNMFSTFIEQGMVMEKHIGSFEIPAASFQSIDVIAVLILVPVYERVLVPVFRKFTGRANGITPLQRMGIGLFFSMLSMVSAALVESNRLRIAQDEGLVHRKVAVPMSILWQGPQYFLIGVGEVFSNIGLTEFFYQESPDAMRSLCLAFSLANVSAGSYLSSFIVSLVPVFTAREGSPGWIPDNLNEGHLDRFFWMMAGLCFLNMLAFVFCAMRYKCAFMGSSLEAEQQSLIVRTTEPEDVDDYTGDGSVGFSGQPILKHETGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHEGNVSAARNFTTWQGTCYLTPLIGATLADSYWGKYKTIAVFSTIYFLGMAALTFSALVPSLQPPQCFGSFCPQPTVPQYLIYFVGLYMIALGSGGIKPCVSSFGADQFDDTDPVERTKKGAFFNWFYFAINIGSLISGTVLIWVQQNCGYGIGFGIPTIFIALAIGSFFIGSQRYRYQIPGGSPLIRVCQVVIAAIHKRNVDLPVDSSVLYELHGKTSAIEGSRKLEHSSEFSFLDKAAVILSNERGGSHDPWRLCTITQVEELKILMRMFPIWATGIVFFTVCAQNSSMFIEQGMALNNQIESFKIPPATLSSLDVISIVVWVPIYETFVVPIASRLTGKERGFSELQRMGIGLFVATTAVATAALVEIKRLEIARSEDLIHSKVPVPMSILWQAPQYLLVGIGEVFTAIGQAEFFYNQSPDCMRSLCSAFALVTVSLGSYLSSFILTLVSYFTTRDDNPGWIPDNLNEGHLDRFFWLIAGLSFLNLLLFVYYAQQYNCFRPRDEAPPPSPWKRQQKIGDCRCEKRVDANLYTGDGSVDIKGRPALKHATGNWRACFFILGDECCERLAYYGIAKNLVTYLKTNLHQGNLEAARNVTTWQGTCYLTPLMGALLADSYWGKYWTIAAFSAIYLIGLVALTLSASVPALQPPKCSGSICPEASLLQYGVFFSGLYMIALGTGGIKPCVSSFGADQFDDSDPADRVKKGSFFNWFYFCINIGAFVSGTVIVWIQDNSGWGIGFAIPTIFMALAIASFFVASNMYRFQKPGGSPLTRVCQVVVAAFRKWHTEVPHDTSLLYEVDGQTSAIEGSRKLEHTSELEFFDKAAIISSDDAKSDSFTNPWRLCTVTQVEELKILIRMFPIWATTIIFNAVYAQNSSMFIEQGMVLDKRVGSFIVPPASLSTFDVISVIIWIPFYDRVLVPIARKFTGREKGFSELQRIGIGLALSILAMLSAALVELRRLEIARSEGLIHEDVAVPMSILWQIPQYFLVGAAEVFAAIGQVEFFYNEAPDAMRSLCSAFALVTVSLGSYLSSIILTLVSYFTTQGGDPGWIPDNLNEGHLDRFFSLIAGINFPYKE >ORUFI03G09880.2 pep chromosome:OR_W1943:3:6738218:6756534:-1 gene:ORUFI03G09880 transcript:ORUFI03G09880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYQHDKPLLDEENSSQVTLEYTGDGSVCIRGHPALRKHTGNWKGSSLAIVFSFCSYLAFTSIVKNLVSYLTKVLHETNVAAARDVATWSGTSYLAPLVGAFLADSYLGKYCTILIFCTIFIIGLMMLLLSAAVPLISTGPHSWIIWTDPVSSQNIIFFVGLYMVALGYGAQCPCISSFGADQFDDTDENERTKKSSFFNWTYFVANAGSLISGTVIVWVQDHKGWIWGFTISALFVYLGFGTFIFGSSMYRFQKPGGSPLARICQVVVAAIHKRDKDLPCDSSVLYEFLGQSSAIEGSRKLEHTTGLKFFDRAAMVTPSDFESDGLLNTWKICTVTQVEELKILIRMFPVWATMILFAAVLDNMFSTFIEQGMVMEKHIGSFEIPAASFQSIDVIAVLILVPVYERVLVPVFRKFTGRANGITPLQRMGIGLFFSMLSMVSAALVESNRLRIAQDEGLVHRKVAVPMSILWQGPQYFLIGVGEVFSNIGLTEFFYQESPDAMRSLCLAFSLANVSAGSYLSSFIVSLVPVFTAREGSPGWIPDNLNEGHLDRFFWMMAGLCFLNMLAFVFCAMRYKCAFMGSSLEAEQQSLIVRTTEPEDVDDYTGDGSVGFSGQPILKHETGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHEGNVSAARNFTTWQGTCYLTPLIGATLADSYWGKYKTIAVFSTIYFLGMAALTFSALVPSLQPPQCFGSFCPQPTVPQYLIYFVGLYMIALGSGGIKPCVSSFGADQFDDTDPVERTKKGAFFNWFYFAINIGSLISGTVLIWVQQNCGYGIGFGIPTIFIALAIGSFFIGSQRYRYQIPGGSPLIRVCQVVIAAIHKRNVDLPVDSSVLYELHGKTSAIEGSRKLEHSSEFSFLDKAAVILSNERGGSHDPWRLCTITQVEELKILMRMFPIWATGIVFFTVCAQNSSMFIEQGMALNNQIESFKIPPATLSSLDVISIVVWVPIYETFVVPIASRLTGKERGFSELQRMGIGLFVATTAVATAALVEIKRLEIARSEDLIHSKVPVPMSILWQAPQYLLVGIGEVFTAIGQAEFFYNQSPDCMRSLCSAFALVTVSLGSYLSSFILTLVSYFTTRDDNPGWIPDNLNEGHLDRFFWLIAGLSFLNLLLFVYYAQQYNCFRPRDEAPPPSPWKRQQKIGDCRCEKRVDANLYTGDGSVDIKGRPALKHATGNWRACFFILGDECCERLAYYGIAKNLVTYLKTNLHQGNLEAARNVTTWQGTCYLTPLMGALLADSYWGKYWTIAAFSAIYLIGLVALTLSASVPALQPPKCSGSICPEASLLQYGVFFSGLYMIALGTGGIKPCVSSFGADQFDDSDPADRVKKGSFFNWFYFCINIGAFVSGTVIVWIQDNSGWGIGFAIPTIFMALAIASFFVASNMYRFQKPGGSPLTRVCQVVVAAFRKWHTEVPHDTSLLYEVDGQTSAIEGSRKLEHTSELEFFDKAAIISSDDAKSDSFTNPWRLCTVTQGMVLDKRVGSFIVPPASLSTFDVISVIIWIPFYDRVLVPIARKFTGREKGFSELQRIGIGLALSILAMLSAALVELRRLEIARSEGLIHEDVAVPMSILWQIPQYFLVGAAEVFAAIGQVEFFYNEAPDAMRSLCSAFALVTVSLGSYLSSIILTLVSYFTTQGGDPGWIPDNLNEGHLDRFFSLIAGINFPYKE >ORUFI03G09880.3 pep chromosome:OR_W1943:3:6738218:6756534:-1 gene:ORUFI03G09880 transcript:ORUFI03G09880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYQHDKPLLDEENSSQVTLEYTGDGSVCIRGHPALRKHTGNWKGSSLAIVFSFCSYLAFTSIVKNLVSYLTKVLHETNVAAARDVATWSGTSYLAPLVGAFLADSYLGKYCTILIFCTIFIIGLMMLLLSAAVPLISTGPHSWIIWTDPVSSQNIIFFVGLYMVALGYGAQCPCISSFGADQFDDTDENERTKKSSFFNWTYFVANAGSLISGTVIVWKPGGSPLARICQVVVAAIHKRDKDLPCDSSVLYEFLGQSSAIEGSRKLEHTTGLKFFDRAAMVTPSDFESDGLLNTWKICTVTQVEELKILIRMFPVWATMILFAAVLDNMFSTFIEQGMVMEKHIGSFEIPAASFQSIDVIAVLILVPVYERVLVPVFRKFTGRANGITPLQRMGIGLFFSMLSMVSAALVESNRLRIAQDEGLVHRKVAVPMSILWQGPQYFLIGVGEVFSNIGLTEFFYQESPDAMRSLCLAFSLANVSAGSYLSSFIVSLVPVFTAREGSPGWIPDNLNEGHLDRFFWMMAGLCFLNMLAFVFCAMRYKCAFMGSSLEAEQQSLIVRTTEPEDVDDYTGDGSVGFSGQPILKHETGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHEGNVSAARNFTTWQGTCYLTPLIGATLADSYWGKYKTIAVFSTIYFLGMAALTFSALVPSLQPPQCFGSFCPQPTVPQYLIYFVGLYMIALGSGGIKPCVSSFGADQFDDTDPVERTKKGAFFNWFYFAINIGSLISGTVLIWVQQNCGYGIGFGIPTIFIALAIGSFFIGSQRYRYQIPGGSPLIRVCQVVIAAIHKRNVDLPVDSSVLYELHGKTSAIEGSRKLEHSSEFSFLDKAAVILSNERGGSHDPWRLCTITQVEELKILMRMFPIWATGIVFFTVCAQNSSMFIEQGMALNNQIESFKIPPATLSSLDVISIVVWVPIYETFVVPIASRLTGKERGFSELQRMGIGLFVATTAVATAALVEIKRLEIARSEDLIHSKVPVPMSILWQAPQYLLVGIGEVFTAIGQAEFFYNQSPDCMRSLCSAFALVTVSLGSYLSSFILTLVSYFTTRDDNPGWIPDNLNEGHLDRFFWLIAGLSFLNLLLFVYYAQQYNCFRPRDEAPPPSPWKRQQKIGDCRCEKRVDANLYTGDGSVDIKGRPALKHATGNWRACFFILGDECCERLAYYGIAKNLVTYLKTNLHQGNLEAARNVTTWQGTCYLTPLMGALLADSYWGKYWTIAAFSAIYLIGLVALTLSASVPALQPPKCSGSICPEASLLQYGVFFSGLYMIALGTGGIKPCVSSFGADQFDDSDPADRVKKGSFFNWFYFCINIGAFVSGTVIVWIQDNSGWGIGFAIPTIFMALAIASFFVASNMYRFQKPGGSPLTRVCQVVVAAFRKWHTEVPHDTSLLYEVDGQTSAIEGSRKLEHTSELEFFDKAAIISSDDAKSDSFTNPWRLCTVTQVEELKILIRMFPIWATTIIFNAVYAQNSSMFIEQGMVLDKRVGSFIVPPASLSTFDVISVIIWIPFYDRVLVPIARKFTGREKGFSELQRIGIGLALSILAMLSAALVELRRLEIARSEGLIHEDVAVPMSILWQIPQYFLVGAAEVFAAIGQVEFFYNEAPDAMRSLCSAFALVTVSLGSYLSSIILTLVSYFTTQGGDPGWIPDNLNEGHLDRFFSLIAGINFPYKE >ORUFI03G09880.4 pep chromosome:OR_W1943:3:6738218:6756534:-1 gene:ORUFI03G09880 transcript:ORUFI03G09880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYQHDKPLLDEENSSQVTLEYTGDGSVCIRGHPALRKHTGNWKGSSLAIVFSFCSYLAFTSIVKNLVSYLTKVLHETNVAAARDVATWSGTSYLAPLVGAFLADSYLGKYCTILIFCTIFIIGLMMLLLSAAVPLISTGPHSWIIWTDPVSSQNIIFFVGLYMVALGYGAQCPCISSFGADQFDDTDENERTKKSSFFNWTYFVANAGSLISGTVIVWVQDHKGWIWGFTISALFVYLGFGTFIFGSSMYRFQKPGGSPLARICQVVVAAIHKRDKDLPCDSSVLYEFLGQSSAIEGSRKLEHTTGLKFFDRAAMVTPSDFESDGLLNTWKICTVTQVEELKILIRMFPVWATMILFAAVLDNMFSTFIEQGMVMEKHIGSFEIPAASFQSIDVIAVLILVPVYERVLVPVFRKFTGRANGITPLQRMGIGLFFSMLSMVSAALVESNRLRIAQDEGLVHRKVAVPMSILWQGPQYFLIGVGEVFSNIGLTEFFYQESPDAMRSLCLAFSLANVSAGSYLSSFIVSLVPVFTAREGSPGWIPDNLNEGHLDRFFWMMAGLCFLNMLAFVFCAMRYKCAFMGSSLEAEQQSLIVRTTEPEDVDDYTGDGSVGFSGQPILKHETGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHEGNVSAARNFTTWQGTCYLTPLIGATLADSYWGKYKTIAVFSTIYFLGMAALTFSALVPSLQPPQCFGSFCPQPTVPQYLIYFVGLYMIALGSGGIKPCVSSFGADQFDDTDPVERTKKGAFFNWFYFAINIGSLISGTVLIWVQQNCGYGIGFGIPTIFIALAIGSFFIGSQRYRYQIPGGSPLIRVCQVVIAAIHKRNVDLPVDSSVLYELHGKTSAIEGSRKLEHSSEFSFLDKAAVILSNERGGSHDPWRLCTITQVEELKILMRMFPIWATGIVFFTVCAQNSSMFIEQGMALNNQIESFKIPPATLSSLDVISIVVWVPIYETFVVPIASRLTGKERGFSELQRMGIGLFVATTAVATAALVEIKRLEIARSEDLIHSKVPVPMSILWQAPQYLLVGIGEVFTAIGQAEFFYNQSPDCMRSLCSAFALVTVSLGSYLSSFILTLVSYFTTRDDNPGWIPDNLNEGHLDRFFWLIAGLSFLNLLLFVYYAQQYNCFRPRDEAPPPSPWKRQQKIGDCRCEKRVDANLYTGDGSVDIKGRPALKHATGNWRACFFILGDECCERLAYYGIAKNLVTYLKTNLHQGNLEAARNVTTWQGTCYLTPLMGALLADSYWGKYWTIAAFSAIYLIGLVALTLSASVPALQPPKCSGSICPEASLLQYGVFFSGLYMIALGTGGIKPCVSSFGADQFDDSDPADRVKKGSFFNWFYFCINIGAFVSGTVIVWIQDNSGWGIGFAIPTIFMALAIASFFVASNMYRFQKPGGSPLTRVCQVVVAAFRKWHTEVPHDTSLLYEVDGQTSAIEGSRKLEHTSELEFFDKAAIISSDDAKSDSFTNPWRLCTVTQVEELKILIRMFPIWATTIIFNAVYAQNSSMFIEQGMVLDKRVGSFIVPPASLSTFDVISVIIWIPFYDRVLVPIARKFTGREKGFSELQRIGIGLALSILAMLSAALVELRRLEIARSEGLIHEDVAVPMSILWQIPQYFLVGAAEVFAAIGQVEFFYNEAPDAMRSLCSAFALVTVSLGSYLSSIILTLVSYFTTQGGDPGWIPDNLNEGHLDRFFSLIAGINFPYKE >ORUFI03G09890.1 pep chromosome:OR_W1943:3:6758846:6759236:1 gene:ORUFI03G09890 transcript:ORUFI03G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQRGAAAXTASGRAGAASRRSGDEAPSRRSYGSSSSRRRDGSRRAHARRDAFAAAAAYLDYIVDNADEFGGTCWAITKFSWDVKYAGVQILAARIRRDSNKHLALT >ORUFI03G09900.1 pep chromosome:OR_W1943:3:6759021:6760134:-1 gene:ORUFI03G09900 transcript:ORUFI03G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHAVCPHRVDNTGLSRRIFAGPVPRRRRVFEGRSGGSQREGGRCLETERRRGALEAELWLVVLKAFRWLPPPMHVATPVPPSPPPAPGLCSTLASAPPAHARAHMVFVAITTYPGGKDLDAGILDVPAELGDGPAGAAKLVRVVDDVVEVGGGGGKGIATCVGAAGAVATP >ORUFI03G09910.1 pep chromosome:OR_W1943:3:6769926:6772950:1 gene:ORUFI03G09910 transcript:ORUFI03G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKAVSESDMSVHSTFASRYVRASLPRYRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFHAPLGEDETAVGVGTVGSSEAIMLAGLAFKRRWQNKRKAEGKPFDKPNIITGANVQVCWEKFARYFEVELKEVKLRDGYYVMDPEKAVDMVDENTICVAAILGSTLNGEFEDVKLLNDLLDKKNKETGWETPIHVDAASGGFIAPFLYPELEWDFRLPWVKSINVSGHKYGLVYAGIGWCIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRHGFEGYRNIMENCHENAMVLKEGLVKTGRFDIVSKDEGVPLVAFSLKDRSRHDEFEISDMLRRFGWIVPAYTMPPDAQHVTVLRVVIREEFSRTLAERLVLDIEKVMYQLDALPSRLMPPVPPAPLLVVAKKSELETQRSVTEAWKKFVLAKRTNGVC >ORUFI03G09920.1 pep chromosome:OR_W1943:3:6773297:6774025:1 gene:ORUFI03G09920 transcript:ORUFI03G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGAAGGGGVGGGGGPPGQEAGKKVGWSKEEDKLLRELVRKQGGKDWGVIATAFPGRTDKSCRLRWRQHLDPSVDVALPFSAGEDRKIVELHRVHGNRWATIAAFLPGRSDNAIKNRWNTHLRKRHAQDVQQRPSGGLALGLGGGGGAGQAAGGKLTPVCLQLFPPTQAPPIGENLPGPARSAVPELLKLFPLAPGDLKDNASAAAAMDVGNEAVRALPELRLSPAAVVFDAMPLQAIRM >ORUFI03G09930.1 pep chromosome:OR_W1943:3:6774490:6777859:-1 gene:ORUFI03G09930 transcript:ORUFI03G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPCPQLPQQPPPATLQIRPSGTDLRYTADVVTEHHATVPSFAPATSATMPASACRAPPPPRTHRCHHAMPGFAVAGRAAPPVLRQSKLPPSHGLRAPPSAADLASPAAAPPGAAHAVATEAGRSSFPVVGRLRRAGSAGELDPASPHAGEPAAAASTPPPTSPPTPTPPLQPLPHRRLLHPATDSSTPLPSSPRQPAGQAGVAACLSTRTKPRRRRPCGRAALPAAARAAARRQRQEGGSGRRRRWFLPPVSPVRETMRGLSFDTNAQSLTVQEKQSPSVTKDKQHQRDNIFTVNCHGGQSFQITLPTQDRKKQQVDWRDAD >ORUFI03G09940.1 pep chromosome:OR_W1943:3:6780214:6781356:-1 gene:ORUFI03G09940 transcript:ORUFI03G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRSRSESDADHRSGACAGGEAKRPRPEQKHLYLALDDWEKGYNLYKVDVHAIGSADTEAESQLPEPPVLQLEAAKGARDVLFAAVGTDILALWQPRYETTRTAVYDTATGRLDAAGPRHPRALQPMRFVVASSAGARGLYALHGGGMHFLERRGGGEATTEPRWTWSTACSSLRLPFDGMQPGSPRRTMQITSYAMHPDGRTVFVSATSGKRHGTFSSSLDDDNEWTRRGDWLLPFHGQGHYDAKLRAWVGLHSPGHVCTCDVPSTTFSSVAAATSQPPAWQLVNAEHLFQEDHPERGGTSLVSTGDAEFCIVESVTPKWMDPVWDRDEIDEYVLRVTRFRLKHDRHGQLRASSRCRRASYRVRKHDSVFAPQAFWM >ORUFI03G09950.1 pep chromosome:OR_W1943:3:6793473:6794099:1 gene:ORUFI03G09950 transcript:ORUFI03G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASIFSFYSFAVAAVLLVGTAAARPATSAVVARGTNATAAAANATVMARRGRSSPLSTATTEEEQHWVVVDVVSCQASAGCYLVCSYGDALPSSSSSGAASGEITPAAIGSPLPRGLTEFERCGDQR >ORUFI03G09960.1 pep chromosome:OR_W1943:3:6795502:6805332:1 gene:ORUFI03G09960 transcript:ORUFI03G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSAASINRASYQVLLLLAAAAVSTTGGDGNTAPGNATATATTGGDDTEMYICYLCTGRNPILIRRCPIYWDYCHLNCFDDAPSTAAAADDVAAVPVASPAAPARRVGGVPRETLEDEECYVMKLYENGSYVIVTTLGCSQTASCLLSCGGGDLAADGEEALAAAHPAGAVGVSPPWRMWDTKFGFPPAAPPTTAAAAQKNPKRRREAEAEAEGEVAAEMREEAVERLRGVVRDSVGKHLYASAIFLADKVAAATGDPADVYMLAQALFLGRHFRRALHILNSSKLLRDLRFRFLAAKCLEELKEWHQCLIILGDAKIDEHGNVVDQDDGSDIYFDKDAEDHEINIKAAICFLRGKAYEALDNCDLARQWYKAAVKADPLCYEALECLVDNYMLTCEEESELLSSLKFGKEDGWLSAFYSCLIRKHEKEYIVEAKFKEFERESCSISSLSSGLTLKNNIDVLACKAEYYHQSGEYQKCFELTSALLERDPFHLKCTLVHLAAAMELGHSNDLYILACNLVKDYPQKALSWFAVGCYYYCIKKYDQARRYFGKATGLDGTFPPAWIGTGIAYAAQEEGDQAMAAFRTAARLFPGCHLPTLYMGMQYLRMHNFKLAEQFFTQAKSICPSDPLIYNEMGVVAYNMKEYQKAVQWFELTLEHTSSSLNEMWEPTLVNLGHALRKLKKYQKAISYYEKALTFQTKSLSAFAGLAYTYHLMDKFEAAITYYHKALWLKPDDQFSTDMLTLALESSCQITARTR >ORUFI03G09960.2 pep chromosome:OR_W1943:3:6795502:6805306:1 gene:ORUFI03G09960 transcript:ORUFI03G09960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSAASINRASYQVLLLLAAAAVSTTGGDGNTAPGNATATATTGGDDTEMYICYLCTGRNPILIRRCPIYWDYCHLNCFDDAPSTAAAADDVAAVPVASPAAPARRVGGVPRETLEDEECYVMKLYENGSYVIVTTLGCSQTASCLLSCGGGDLAADGEEALAAAHPAGAVGVSPPWRMWDTKFGFPPAAPPTTAAAAQKNPKRRREAEAEAEGEVAAEMREEAVERLRGVVRDSVGKHLYASAIFLADKVAAATGDPADVYMLAQALFLGRHFRRALHILNSSKLLRDLRFRFLAAKCLEELKEWHQCLIILGDAKIDEHGNVVDQDDGSDIYFDKDAEDHEINIKAAICFLRGKAYEALDNCDLARQWYKAAVKADPLCYEALECLVDNYMLTCEEESELLSSLKFGKEDGWLSAFYSCLIRKHEKEYIVEAKFKEFERESCSISSLSSGLTLKNNIDVLACKAEYYHQSGEYQKCFELTSALLERDPFHLKCTLVHLAAAMELGHSNDLYILACNLVKDYPQKALSWFAVGCYYYCIKKYDQARRYFGKATGLDGTFPPAWIGTGIAYAAQEEGDQAMAAFRTAARLFPGCHLPTLYMGMQYLRMHNFKLAEQFFTQAKSICPSDPLIYNEMGVVAYNMKEYQKAVQWFELTLEHTSSSLNEMWEPTLFCMFRKVKVSRNCEVVLLHSYDN >ORUFI03G09970.1 pep chromosome:OR_W1943:3:6807734:6812471:1 gene:ORUFI03G09970 transcript:ORUFI03G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAAAPAALLVLLAAALAATGVVADGSDHRYKANELVPLYANKVGPFHNPSETYRYFDLPFCSPEKVKEKSEALGEVLNGDRLVDAPYKLDFRVDYDSKPVCSKKLTKEEVAKFRNAVAKDYYFQMYYDDLPLWGFIGKVEKGGKTDPKEWKYYLYRHIIFDILYNNDRVIEINVHTDQSALVDLTEDKEADVQFLYSVKWKETPTPFEKRMEKYSSSSNLPHHLEVHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEESGWKYIHGDVFRFPKNKSFFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYIATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKTEFQAPCRTTKYPREIPPLPWYRQTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGFFVYGYCLYYYYARSDMSGFMQTSFFFGYMACICYAFFLMLGMIGFRAALFFVRHIYKSINFGV >ORUFI03G09970.2 pep chromosome:OR_W1943:3:6807734:6812104:1 gene:ORUFI03G09970 transcript:ORUFI03G09970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAAAPAALLVLLAAALAATGVVADGSDHRYKANELVPLYANKVGPFHNPSETYRYFDLPFCSPEKVKEKSEALGEVLNGDRLVDAPYKLDFRVDYDSKPVCSKKLTKEEVAKFRNAVAKDYYFQMYYDDLPLWGFIGKVEKGGKTDPKEWKYYLYRHIIFDILYNNDRVIEINVHTDQSALVDLTEDKEADVQFLYSVKWKETPTPFEKRMEKYSSSSNLPHHLEVHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEESGWKYIHGDVFRFPKNKSFFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYIATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKTEFQAPCRTTKYPREIPPLPWYRQTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGFFVYGYCLYYYYARSDMSGFMQTSFFFGYMACICYAFFLMLGMIGFRAALFFVRHIYKSIKCE >ORUFI03G09980.1 pep chromosome:OR_W1943:3:6813105:6815313:1 gene:ORUFI03G09980 transcript:ORUFI03G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGAGAKGAGFGMPRVGMGTAVQGPRPEPIRRAVLKAIEAGYRHFDTAAHYETEAPIGEAAAEAVRSGAIASRADLFITSKLWCSDAHRDRVLPALRQTLRNLQMEYVDLYLVHWPVSMKPGRYKAPFTADDFVPFDMRAVWEAMEECHRLGLAKAIGVCNFSCKKLDTLLSFATIPPAVNQVEVNPVWQQRKLRELCREKGVQICAYSPLGASGTHWGSDSVMASAVLRDIAQSKGKTVAQARHVYICTRVCLRWVYEQGDCLIVKSFDEARMRENLDIVGWELTEEERQRIAGIPQRKINRALRFVSDHGPYKSLDDLWDGEI >ORUFI03G09990.1 pep chromosome:OR_W1943:3:6816178:6821144:-1 gene:ORUFI03G09990 transcript:ORUFI03G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLYVHLCTSPPSPLRVSLQMGRVGPLDRWPDPQNSAPEGLWVDPYNFRVKRVQEPVHPGSTRNRLITALRRGDALLSWIEAAAAASGWGDAPQAGSRARGGSGGGGNGDDDFRLGRRVPAGAAAAAASGSGRRSPGLRPGWIETEPNGATSATREGRANHQCVTEKRLQRRQEEDSEDAAQSPPFPVGAAASNCSAQSISSPNVIDASRFLVARIGVSTLCQGPLHRHDAVTAARDETRRGSVGWAVDSAEIDEDDATTQKLATFGSYVPVADGTAGVHNAYNWHSTLGSPSQDSTPRRMFPLPSEENGA >ORUFI03G10000.1 pep chromosome:OR_W1943:3:6821166:6825305:-1 gene:ORUFI03G10000 transcript:ORUFI03G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKSHHQMLASSSTSSSSPSSQQQQPPPPASNSSSLAAAAADQPSPAKRKRRPPGTPDPDAEVVALSPRTLLESDRYVCEICGQGFQREQNLQMHRRRHKVPWRLVKRPAAATAAEDGGAAGGGGGAGGGAGGGGARKRVFVCPEPSCLHHDPAHALGDLVGIKKHFRRKHGGRRQWVCARCAKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNSGRVRGEVVPVATTLPVIRPAALRHHHHHPPPPPPELQLLPASTTAPLAAAFSSNSTTTGSSSHEQHATTMTTTKLQLSIGPAAVVAAASGGGGAWAAAAGGEEEQQREEVRRALEEKTAADAARERAREEAAAAERALEDARRARHRARGELEKALALRDHAARLIAQVTCHACRQRSLAVMSMAAIDGHGASAVAREHLRGGGVGAGI >ORUFI03G10010.1 pep chromosome:OR_W1943:3:6859650:6860192:1 gene:ORUFI03G10010 transcript:ORUFI03G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVFPDHSFPLDVTAFAQVAPNSWALDLSTLSLAAAPRSAVVFLLPAAAAALPPGKAVAVYFQPAANRPFAFLGALGPGRPSASLPLPEAGDEPEPPLGPAKLGVAVEDAAALPPPPDGQRAERVALRVGENLFNFMQSFCGADGGKLVVPTDILDRWFRKFQERAKKDPSYLKTFDF >ORUFI03G10020.1 pep chromosome:OR_W1943:3:6862800:6868878:-1 gene:ORUFI03G10020 transcript:ORUFI03G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVLAGYGMDKAVRSSVSFETPCGRLLRELEQIWTEIGEREEDKDRMFLELETECMRVYRRKVDSANAERSQLRQSLMAKEAELKVLVASIGEITPKFKVDEKQSLKEQLAKVTPLLEDLRSKKEERIKQFSLVQSQIEKIKAQISDHNNQHDNGPVNHSKDNHDLSTRRLSDLQAELRNLQKEKSDRLQKVFIYVDEVHCLCSVLGMDFAKTVKDVHPSLHGANSENSTNISDSTLEGLTETILKLKAEKRTRVSKLQEIVGKLHKLWNLMESTEQERRHFTRVAAVLGSTEEEITSSSVLSLETIQETEEEVERLTKQKASRMKELVLKKRLELEDICSNAHMEPDMSTAPEKITALIDSGLVDPCELLSSIETQIAKAREESLTRKDIMEKVDRWLSACDEETWLEEYNQDSSRYSAGRGAHINLKRAEKARILVQKIPSMIDNLIAKTFAWEDERSVPFLYDGARLVAILEEQKLRRVQKEEDKRRHRDQKKLQSLLLKEKELIFGSKPSPKKTSSFNRRTSSHHPNGNGAGFMTPVPRRVSAGSATPELLTPRSYSGRYNNYFKENRRLAAAPLNFSTVSKEDRKKKTRRGAY >ORUFI03G10020.2 pep chromosome:OR_W1943:3:6863758:6868878:-1 gene:ORUFI03G10020 transcript:ORUFI03G10020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVLAGYGMDKAVRSSVSFETPCGRLLRELEQIWTEIGEREEDKDRMFLELETECMRVYRRKVDSANAERSQLRQSLMAKEAELKVLVASIGEITPKFKVDEKQSLKEQLAKVTPLLEDLRSKKEERIKQFSLVQSQIEKIKAQISDHNNQHDNGPVNHSKDNHDLSTRRLSDLQAELRNLQKEKSDRLQKVFIYVDEVHCLCSVLGMDFAKTVKDVHPSLHGANSENSTNISDSTLEGLTETILKLKAEKRTRVSKLQEIVGKLHKLWNLMESTEQERRHFTRVAAVLGSTEEEITSSSVLSLETIQETEEEVERLTKQKASRMKELVLKKRLELEDICSNAHMEPDMSTAPEKITALIDSGLVDPCELLSSIETQIAKAREESLTRKDIMEKVDRWLSACDEETWLEEYNQDSSRYSAGRGAHINLKRAEKARILVQKIPSMIDNLIAKTFAWEDERSVPFLYDGARLVAILEEQKLRRVQKEEDKRRHRDQKKLQSLLLKEKELIFGSKPSPKKTSSFNRRTSSHHPNGNGAGFMTPVPRRVSAGSATPELLTPRSYSGRYNNYFKENRRLAAAPLNFSTVSKEDSMSSFASISGSEPDSPLVLH >ORUFI03G10030.1 pep chromosome:OR_W1943:3:6875930:6880553:-1 gene:ORUFI03G10030 transcript:ORUFI03G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASLGEFGDMMVSMLPKNLAFTVFVPSPESFRRVLKLQRPNDSATNGNGADDDATYAVVSRVLGFSAVPRRLRAADVAPPRGFSAVPRRLRAANVAPPRHRQQMVAVAPVLESVSGLRISAWRRDVDGALVVNGVPSECVDIVKERDIIVHVMAGVLMDAEFERIRVNSTLFTGSNTKSRTGRKHEVSGPLKNSGGLVEQPLLHSPHLFGNLAGVPTPRCRTAARTTMDEDRAVEAAASAWPGPSRRRRLIEFLLHASTRLDLRPVVKYTALSFFADRLLPSLRRKMGFCGARGGRAVTSWLLEPLRDSNLELFALVAVWIASKIHELKPLSVKSLKALGDRIIADQHFTCRDFANAELVFMEVVEYNIGSLNIAFTYLEELLVQFREISKIGDLLNMDVCMEILDILYETEDSSWLFNSPCQLAASALVTAYAISVPKQRWEFPILPWVTFTTSYDEEEIMKVVLTILMHVLKPDEMKGKGERDFNI >ORUFI03G10030.2 pep chromosome:OR_W1943:3:6875932:6879548:-1 gene:ORUFI03G10030 transcript:ORUFI03G10030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKRRKRLFLVRPRLLWQRHLHAGVAGGAFAATLLFLVLVLLSTSAPSSSPPSLRDSSVVSSGRRSSSSSPPPSVNCDDMTASLGEFGDMMVSMLPKNLAFTVFVPSPESFRRVLKLQRPNDSATNGNGADDDATYAVVSRVLGFSAVPRRLRAADVAPPRGFSAVPRRLRAANVAPPRHRQQMVAVAPVLESVSGLRISAWRRDVDGALVVNGVPSECVDIVKERDIIVHVMAGVLMDAEFERIRVNSTLFTGSNTKSRTGRKHEVSGPLKNSGGLVEQPLLHSPHLFGNLAGVPTPRCRTAARTTMDEDRAVEAAASAWPGPSRRRRLIEFLLHASTRLDLRPVVKYTALSFFADRLLPSLRRKMGFCGARGGRAVTSWLLEPLRDSNLELFALVAVWIASKIHELKPLSVKSLKALGDRIIADQHFTCRDFANAELVFMEVVEYNIGSLNIAFTYLEELLVQFREISKIGDLLNMDVCMEILDILYETEDSSWLFNSPCQLAASALVTAYAISVPKQRWEFPILPWVTFTTSYDEEEIMKVVLTILMHVLKPDEMKGKGERDFNI >ORUFI03G10040.1 pep chromosome:OR_W1943:3:6883914:6884399:-1 gene:ORUFI03G10040 transcript:ORUFI03G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNPLPPAVTTTTYRRRSKPNPMRKATKPPSPSPLPKRQARGDLPPPHTGPLTCAGHHSPLNPGPAPEDAPQLHGGEREAPPCAQIEAAKLSPVSEEVEAALLRGAGVHVVPSFGVKPPDSISWIASFMRASSPVAPEQESACCGAALGTWRRRKGDRGE >ORUFI03G10050.1 pep chromosome:OR_W1943:3:6887830:6890731:-1 gene:ORUFI03G10050 transcript:ORUFI03G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENQRQAEVLWPRLVANKLFRKPSGSHAFVADFPMAVDDDFDGEAVPAAVESFDDDGCSPDADACRSVKRPRPRPQQRASNKTLKYRLFASTWNVGGVAPPDDLDLSDWLDTRNAAYDIYVLGFQEVVPLSARNVLGADKKRVGMRWNELVRAALNRSSPSAPNSSRDQREAKGTGGGAAAAAAGGGEIKQQAAQQKVHPVRDGIGGGGGELACRDYRCVVSKQMVGILLTVWVSADLARFVRRASVSCVGCGVMGCLGNKGAVSVRFWLHDTSFCVACCHLASGGRDGDEAHRNADATEILSRTTFPRGHSLNLPQKILDHDRVILLGDLNYRISLPEAKTRLLLRSEVESGGGAFHGWNEGAIAFSPTYKYYPNSDTYYGCASHGRKGEKRRAPAWCDRILWRGAGLKQKRYDRCESRLSDHRPVRALFEVEVGAPRRNLNSLRSFFLSERFDGGRSAAADLLREDGTASSARFGDTI >ORUFI03G10060.1 pep chromosome:OR_W1943:3:6904333:6908218:1 gene:ORUFI03G10060 transcript:ORUFI03G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSSRACAAMATAAVFALLAATATASGLVRVEHPAKSDGSLSLLVVGDWGRKGTYNQSRVAEQMGKVGEKLNIDFVISTGDNFYEDGLTGVDDQAFEESFTDIYTAKSLQKPWYLVLGNHDYRGDVLAQLSPVLRKIDQRFICMRSFIVNAEIVDFFFIDTTPFQLKYWTRPKDHHYDWRGVAPRQKYITNLLKDMDEAMKKSTAKWKIAVGHHTIRSVSDHGDTKELLQLLLPVLKVNGIDFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGVLQPNSDKLQFFYDGQGFMSLQINQDQADFIFYDVSGNILYKWSKSKANYLQPSTYITGENRN >ORUFI03G10060.2 pep chromosome:OR_W1943:3:6904333:6907498:1 gene:ORUFI03G10060 transcript:ORUFI03G10060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSSRACAAMATAAVFALLAATATASGLVRVEHPAKSDGSLSLLVVGDWGRKGTYNQSRVAEQMGKVGEKLNIDFVISTGDNFYEDGLTGVDDQAFEESFTDIYTAKSLQKPWYLVLGNHDYRGDVLAQLSPVLRKIDQRFICMRSFIVNAEIVDFFFIDTTPFQLKYWTRPKDHHYDWRGVAPRQKYITNLLKDMDEAMKKSTAKWKIAVGHHTIRSVSDHGDTKELLQLLLPVLKVNGIDFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGVLQPNSDKLQFFYDGQGFMSLQINQDQADFIFYDVSGNILYKWSKSKANYLQPSTYITEA >ORUFI03G10060.3 pep chromosome:OR_W1943:3:6904333:6907498:1 gene:ORUFI03G10060 transcript:ORUFI03G10060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSSRACAAMATAAVFALLAATATASGLVRVEHPAKSDGSLSLLVVGDWGRKGTYNQSRVAEQMGKVGEKLNIDFVISTGDNFYEDGLTGVDDQAFEESFTDIYTAKSLQKPWYLVLGNHDYRGDVLAQLSPVLRKIDQRFICMRSFIVNAEIVDFFFIDTTPFQLKYWTRPKDHHYDWRGVAPRQKYITNLLKDMDEAMKKSTAKWKIAVGHHTIRSVSDHGDTKELLQLLLPVLKDPQKEYFLITVSWNFSPIQYFTSGGGSKAWRGVLQPNSDKLQFFYDGQGFMSLQINQDQADFIFYDVSGNILYKWSKSKANYLQPSTYITEA >ORUFI03G10070.1 pep chromosome:OR_W1943:3:6905410:6910624:-1 gene:ORUFI03G10070 transcript:ORUFI03G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAASPPPPAAAGEHLRFHLRGFLSPETCKELEFVHRSCGTAGYRPSVVSTSLPHLAATGCGHLLLPFVPVRERLRDAVESAFSCHFDLFIEFTGLISWCKGASIGWHSDDNKPYLRQRAFTDVVIYTADNSNTHCVDEVTEGERLTLTLWFTRDSAYDEDPKLLSFLSQTSLNYEPVHQSSYIPLPASDIMYWFAYDHSGFDVRCARVHILGFSFHSSIDEDNKSVAPAEDDPIELLGKPVRLGRGDDVFDKVFANGLHALQFYYWKAPELSAKRKQTSGGSGTVCCPAVVVPIDVEVDAIDLKFHETGYLVNVIGDISDKKQKAYPGTMASASSLPCRYR >ORUFI03G10070.2 pep chromosome:OR_W1943:3:6906188:6910624:-1 gene:ORUFI03G10070 transcript:ORUFI03G10070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAASPPPPAAAGEHLRFHLRGFLSPETCKELEFVHRSCGTAGYRPSVVSTSLPHLAATGCGHLLLPFVPVRERLRDAVESAFSCHFDLFIEFTGLISWCKGASIGWHSDDNKPYLRQRAFTDVVIYTADNSNTHCVDEVTEGERLTLTLWFTRDSAYDEDPKLLSFLSQTSLNYEPVHQSSYIPLPASDIMYWFAYDHSGFDVRCARVHILGFSFHSSIDEDNKSVAPAEDDPIELLGKPVRLGRGDDVFDKVFANGLHALQFYYWKAPELSAKRKQTSGGSGTVCCPAVVVPIDVEVDAIDLKFHETVIRKYSFCGSFRT >ORUFI03G10080.1 pep chromosome:OR_W1943:3:6911541:6916622:-1 gene:ORUFI03G10080 transcript:ORUFI03G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVIPSSAPGAAAAGAAAVESAGWVVDERDGFISWLRGEFAAANAIIDLLLLHLRSVGEPGEFEHVAAAVQQRRHHWAPVIHMQQFFPVGDVAYALQQAGWRRRAPPHHQQQGPGASPSPPPPPPRGRPSFSASHSHHRHGGHHHRSDSVRGGGTGATAGSDKDGREVHNKEEKGMKEAENVVEAKSSQLESLVSHEGEKTPRPQAVAEGSSKVVPTPVEYTVNDIIDGKTVNAVEGLKVYEGLVNENEKNKILSLLNETKASFRRGGLEAGQTVIIGKRPMKGHGREIIQLGIPIVEGPPEDDYPRETKVEAVPGLLHDLFDRLCQKEIIPTKPDYCVIDYYNEGDYSHPHQSPPWYGRPFCTFCLTDCDMVFGRVISGERGDHRGPLKLSLSTGSLLVLHGKSADVAKRAIPAACKQRILLSFGKSLSRKQVPSESVSRFTTPLTPPPMPWGPPRPANMARHSSSPKHFGYAPNSGVLPAPAIGAHHIPPSDGMQPLFVAPAPVAAAAMPFPSPVPLPNSTTAWMAEAAPRSAPQRLPVPGTGVFLPPGSGHALPHQMMTASQSPAEPISSTDSSAYVHNKSTSTMGEMANGDVSPKSSPAKQSDAVEQKPECNGSSNGGSSLVDKKSAVSKEQQNGGMKKVWSNKVQPNAAK >ORUFI03G10090.1 pep chromosome:OR_W1943:3:6918197:6921536:-1 gene:ORUFI03G10090 transcript:ORUFI03G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARVLDCTIVPCVMSYWYSTVVAVGLGPVLFPDPVVVPPQQMTCQKRNTDRNSQAMDRHVY >ORUFI03G10100.1 pep chromosome:OR_W1943:3:6921569:6924714:-1 gene:ORUFI03G10100 transcript:ORUFI03G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARCSLLPILAAVLLAASLSLPPRAAAYAAMVDSLLPASATALSFEEGYTQLFGDSNLMLHGDGKRVHISLDERTGAGFASQGAYHHGFFSASIKLPADHTAGVVVAFYMSNGDVYERTHDELDFEFLGNVRGREWRVQTNVYGNGSTAAGREERYGLWFDPTQDFHRYAIRWSHDTIIFYVDETPIREVVRTASMGAQFPSKPMSLYATIWDGSSWATSGGRYKVNYKYAPYVAEFTDLLLHGCPAGSPPPCEGAAASATMPPGQRSAMERFRARHMTYGYCYDRVRYHAPLPECSVGAEAEAFLPSGEARSTDRRGGRHGKRHRRAGGGVDSAL >ORUFI03G10110.1 pep chromosome:OR_W1943:3:6927271:6929173:1 gene:ORUFI03G10110 transcript:ORUFI03G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWMSVGKASKTSGSNCVQADITQMKRLNVSRGVVWVTENVLGEDLNPSDEIPSDVPCSRHGGEASPSVDMGAPAGATFLTQTCAVDMVYTHARSGENRGDGNATATARRLVAEQVAVSARWLSRRSRPTMRSERKKRRPRRCRRGGHRTRRCEVWPRRRHRCQSAPVLVAGYILDSCYFEGSQYGLIPLVFSWQICWAWPINMVKARSEESCQAGAEIKRCPEEDTGHGCQLPTDGLDQRLVREKIFWAQAATAGSRPHGVDVEQERQVHRARRAAAPRCPNPMSDHHSVFLFIRSCMPQTRRLRLFLLSDPDNVKRVYRTSSTSGLYRSRRRSSPRASAPITRVVTSLAH >ORUFI03G10120.1 pep chromosome:OR_W1943:3:6929412:6934006:1 gene:ORUFI03G10120 transcript:ORUFI03G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDSDGSKDANPGAPPSTTDPPFPNRELTLSSYLCEKPTLASAAAGGGGGAGPSSPPNPAAAAAGDDGKHCVERDFLHLSAPKRGDPPGDDSSVVGGKKPRLDSLQLSLSLNSDGPAAPPSSQPPLASLLQPVPATDGDLRGAAAAAAAAAAVPAAPARRTYSATTARTRSINSDDMSYSYSIFSHNPSCSLTHNSTDIYAAGEGTNGSVHSRFNFRPMGDGSVAFATPPLKEGTSSFFPTELPARMAAAAAAAAASAGGSFDGGRGGLHASRPDKILRDIVSDSVTAMAQVLQDFPSERLELLREAVRGMIDSHEKRDELASLQRKLERRSDLTTETLGRANRTQLEILVAIKTGIATFVTGKGRVPSSELVEMFLMTRCRNLNCKSTLPVDDCDCKICSTKKGFCSACTCSVCHKFDCAANTCTWVGCDVCGHWCHVACALERNLIRPGPTLKGPIGTTEMQFQCLACNHSSEMFGFVKEVFNCCAENWNAETLMKELDFVRKIFAGCEDFEGKGLHAKAEEVLSLLGKKIISPLDATNSILQFFKYGVTDYSVTGSTSKGILAAQASQSTDMRSLQTPTITPPKSSFNFKTTTSILDTDALKPSPKPLSIEPHFSTASKEDDSSLETIVKCKEAEAKLFQKLADDARKEVDSYRQIVRSRTQKLEEEYAAKLAKVCFQETEEKRRKKLEELKMLENSHYDYLKMKMRMQTDIQGLLERMEATKKMWV >ORUFI03G10130.1 pep chromosome:OR_W1943:3:6935401:6936125:-1 gene:ORUFI03G10130 transcript:ORUFI03G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSQEAGEEVSEISSQAASNNNETCNSSSGKVSLDLSLTVAAAAAAAASATESSTTDSGGTRPAATATATAREPARVFTCNYCQRKFFSSQALGGHQNAHRRERTLARRAVRLDAFPYGYADVASLPLYGAGLYPIGIQAHASVHHHHPGVAAPAGRAELRSARALLGPMPFFVQAAGDEDASFGWPGSFRPPPTAATTIPAAAAAPVAVNSGSSNSNHGGSVVVQAAADEPDLTLRL >ORUFI03G10140.1 pep chromosome:OR_W1943:3:6940666:6945603:-1 gene:ORUFI03G10140 transcript:ORUFI03G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEVATRSKSQKSSATQNEQSTPTNPPTAYPDWSQFQAYYNPAGTAPMTPPGFFHPNVAPSPQGHPYMWGPQMMPPYGTPPPYAAMYAQGTPYQQAPMLPGSHPYNPYPGQSPNGTVQTPTSAGGTETDKSGKSKRKTPLKRSKGSLGNLDVVATKNKKAPAKPSASSSNEGSSHSESGSGSSSEGSSTNSKSGSRTKDGSEHGQGNDASNKGATAQSSAVEPVQASTGPVVLNPMMPYWPVPPPMAGPATGVNMGMDYWGTPTSVPMHNKVIAAPASAPSSNSRDVVLSDPAIQDERELKRQKRKQSNRESARRSRLRKQAEWEEVANRADLLKQENSSLKEELKQLQEKCNSLTSENTTLHEKLKELEGEKSNGNWYKE >ORUFI03G10150.1 pep chromosome:OR_W1943:3:6954604:6955263:-1 gene:ORUFI03G10150 transcript:ORUFI03G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIAETPSRERTRHARAYSRHLYPRRGRSIRRRIPVAGLLEHFKLIGCFDDDSVGFMTSGMGNETYFPPSLHHIPPAATHAEAIREAHMLFFPALDDLFAKIGVPLSSVGVVVVNCNGFCATPSLSAIIDTACPATSRPAISLAWAVPRAPSASTSPQTSSGRTPCPTSSSSAHHQQTRRPPEDQRERGRKGRGEREMMWHSDMWDPRGSHADSAIT >ORUFI03G10160.1 pep chromosome:OR_W1943:3:6964177:6964431:1 gene:ORUFI03G10160 transcript:ORUFI03G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGRQMEFIEMTRRAAMYLMTRSAEMGLAPSPVSRDYGCHLGGRIRGGGNHGGGDSSPPSTAVPSIPLTSPPVYGGIHLVRRR >ORUFI03G10170.1 pep chromosome:OR_W1943:3:6964660:6966620:-1 gene:ORUFI03G10170 transcript:ORUFI03G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRSPLLRLVPPPKLEGRRSLVHLSRPLPCRSRAVSAAPSRAMCAASARLEEEDDGKRKNERERESSRAHLWVR >ORUFI03G10180.1 pep chromosome:OR_W1943:3:6982591:6985084:-1 gene:ORUFI03G10180 transcript:ORUFI03G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMEVKGSEEDLDPLSGDLPPQAAVSGSRAIGGGPHRLSAPRSHGSTAGDDGRGGASKLPLLLAWIRRRR >ORUFI03G10190.1 pep chromosome:OR_W1943:3:6993058:6995688:1 gene:ORUFI03G10190 transcript:ORUFI03G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSDDWRFLFPVSSVFAPPSLATSSAAAASYGPLLFSPLPPHATLLALPSPFQPPHPSRRGLRHLLRHFVRSTSFLPFADLDPLSGALLTAPSPPFPAPSNLLAVLRAPSSSRSLVVFFPSGENAEQVSYVTLDPVADPTTPLSHSVQSDGFMHPRHRIQQLATTASWSSWPSRSRDSSIEGFLLAATLYSVNWFKVESRGSGSPALVPAAKQAFDAAVVHACWSKHLQSECVVLLENGQLCWFDLDTRRGGKMKVGFGSKDDLGDWLSCEYGAQPWTVIVASTAAILLVDMRFGDHGEYKVLARVGMEGLFETDPFVKTQCYLAFCKAPFDDFLISVVTERHLMVFDIRRPLIPVLAWQHGLDNPNHIAMFRLSELRPSKEHEWASNSGFAILVGSLWSTEFNLFFCGPKEQDATENAPLYAWDLPSRISLIGQHCSCSIGLMEEVFKGVVPGHGSASQLIRNYIIGYHVLPNTMLESSFTGFALIRLTSSGKLEMQRFRASGDLHDDAICDESQHKSVGSSSSISLDTHGENFSERYEFLKLHYLSKFLKGNLRSSLENHDSDVNKRSRHIVISEDVSVFAKDNSASCSQSVSDFLCNASAPMNIFEIACQSILSRLSSDILLVAFSKYKDMLASTNKKRIYEYLEVPACFPNSNKLRPYLLAKSSSISWNLTSKAKSGNSLVGPVLPIPVLLAMEDSNKGIDSPSREDSSSVSHRCREVIEAFVPEISIANTDNCNGWSASQEVKDDKPYFVYEPQTDRPTLDEAARKKDKQTQKLDDPSCLHAPTAPPMDENFMTFVCGRAGIPHSGPEQAASNLFDFSPVRMKFESPAIDIQPAEEKVYKCLKKQFLAWQNDFKPYQDFCNSYQIQKPPQ >ORUFI03G10200.1 pep chromosome:OR_W1943:3:6996516:7000060:-1 gene:ORUFI03G10200 transcript:ORUFI03G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPIPREWVGLQQFPAATQTKLHELLGKLKEENVSTLTILVMGKGGVGKSSTVNSIVGERVATVSAFQSEGLRPMMCSRTRAGFTLNIIDTPGLIEGGYINEQAVEIIKRFLLGKTIDVLLYVDRLDAYRMDTLDDQVIRAVTNSFGKAIWRRTLVVLTHAQLSPPDGLDYNDFFTKRSESLLRYIRAGAGVSKRELGDFPLPIALVENSGRCKTNENGEKVLPDGTPWIPNLMKEITTVVSNGSKSIHVDQKLIDGPNPNNRWKMFIPLILMVEYFLVVKGIRRAIHADISNGKLDDWEQRYRDLVGSKDPVDQKGSSSGNRKA >ORUFI03G10210.1 pep chromosome:OR_W1943:3:7003800:7005137:-1 gene:ORUFI03G10210 transcript:ORUFI03G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLARRARKAVMAKAPAPLLQKRGGGAAAELAIPAHFRCPISLDLMRDPVTAPTGITYDREGIEAWLDTGRAVCPVTHAPLRHEDLVPNHAIRRVIQDWCVANRSRGVERIPTPKIPVTPVQASELLFDVAESAARRGAAGRAAGAVARVRALARDSERNRRCFVSVGTGRVLAAAFESLAAAGEAGVLEDVLAALVCMMPLDEEAARVLASSSSMGSLVAIAKHGSLAGRLNAVLAIKEAVSRDGAFVDLADDKVDKVVDALVVIIKAPICPQATKAAMVATYHLASSDERVAARVASTGLVPTLIEALVDADKSVSEKALAVLDAMLASEEGRASARGHALAMPALVKKMFRVSDVATELAVSAMWRLGCKASSGNEEAAATGCLVEALRVGAFQKLLLLLQVGCRDATKEKATELLKMLNKHKGLGECVDAVDFRGLNRLS >ORUFI03G10220.1 pep chromosome:OR_W1943:3:7009126:7012674:-1 gene:ORUFI03G10220 transcript:ORUFI03G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILACVVAAEAAVAVMLTLPAPRAVRKQIVGLTSMLLQPFAGILPFAAFQLLDIYWKNEHRLMCTSEICTADERIRFEKSIFKAQRNVILCVSACLLYWCIFRICKYNKDIKALEETEKRLKEEIVHGTARCI >ORUFI03G10220.2 pep chromosome:OR_W1943:3:7009514:7012674:-1 gene:ORUFI03G10220 transcript:ORUFI03G10220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILACVVAAEAAVAVMLTLPAPRAVRKQIVGLTSMLLQPFAGILPFAAFQLLDIYWKNEHRLMCTSEICTADERIRFEKSIFKAQRNVILCVSACLLYWWILCYSCPSDVCR >ORUFI03G10230.1 pep chromosome:OR_W1943:3:7013024:7016481:1 gene:ORUFI03G10230 transcript:ORUFI03G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGAMVECEDNWNCQLDNITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARAAAGRGGGPVGRGGAPPACAWP >ORUFI03G10240.1 pep chromosome:OR_W1943:3:7017150:7020783:-1 gene:ORUFI03G10240 transcript:ORUFI03G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVTVQVGGFANYVGSHFWNFQDELLGLADDPDADPVFKNDALDMDVLYRSGETHQHTMLDFTRVYPHTALVWCRLVLECWLRVHGGIICRVPWFFEFIWSGSVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGASNSQKSVEDKDLIDCLENSVNFWTDYSKVQYHPQSLYELHGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQFLENIADDYTNTLVLLYCVRDPMTLGSSRRNQRESIMRALHDAVSFSKLSSFCNLMVPIGPPSLSRSYMSSYLYIQDEKPFHASAVCAAAIHSITVPFRLQRTGPSSDLAHSSGNLDIGELLHILSDQGRQNMVTALDVAMPAPSLTGGQRTSISQVKGSVCSVYEARETKPKFSHLSASLCPLPVPLPFPSIFRGNIGRHGEILSDHAEESQPKGSLDIESIPMAARLRSSSAVLPFIERRSGSLQKHGVARGAIGSLVLRDWGFGREEVEDMAEHLAKLLGPFHPEMDLTSDSD >ORUFI03G10250.1 pep chromosome:OR_W1943:3:7020869:7022214:-1 gene:ORUFI03G10250 transcript:ORUFI03G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTSEGAAAPGGGSGDAAAVDHLTSLVSPLHGRRAKGRRRREEEATVTPPPSTTSPPSSSASTADGQGGGGAATAAVGRGGATAAARTSPPALFPTGAASPAYLW >ORUFI03G10260.1 pep chromosome:OR_W1943:3:7023996:7024347:-1 gene:ORUFI03G10260 transcript:ORUFI03G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRYTSFRKKNSRISKQIVEKYWLHTRRASDCGGDSGGLWTPTPEQYTTLQHNTSQSPALTVSSSHAVSVTAGDSCDGGEEEEEEEEDDGKSESYSWEMQNGARASLSS >ORUFI03G10270.1 pep chromosome:OR_W1943:3:7024439:7025021:-1 gene:ORUFI03G10270 transcript:ORUFI03G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISNHHVSNAFMPLATSPVFAKSPEKAATATPLPAAVPDLSLSSPACPAAPASVTSSAVTDVARVQRRRQQQQQQAVQRKARRCWSPELHRRFVATLQRLDGPQAATPKQIRADEG >ORUFI03G10280.1 pep chromosome:OR_W1943:3:7025783:7031898:1 gene:ORUFI03G10280 transcript:ORUFI03G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRSAPARQWAAWTRQEEQNFFNALRQVGKNFEKITLRVQSKSKDQVRHYYYRLVRRMKKLLGPEFSLDAKNSKDTIAAMLCWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKTRKKCPRGDVCLSSSSSAVNRTPGNESFSVKLLAVDVSNGSKVGSSKGSFFKKVTEPNCSNKSGATKGDLSATRTVKQKRRAGGVVASAAYKKWERAAMAGVSLVADAAEELERNTVNADARMLSPSSSNACTVDGLGTNHIKEADQQAPAKLKLQLFPINEATRKALEKDEHNPHLELTLSARKKISSVLEHLNRKWGNSNIASGELLLFPYCAHQEDLATYQRWTTKDTVAVADVFLSVNSPSVFRLRYGWFSLAELEAGVSEISLTHFENCLIPEDIHAKSPLEACVQKDGNSLSSCAPEQHPCGSKDQSALLFAMPSSTGKSAQVPEQCIDVLPSQFGRQNQDQVTTNQVFEVDQGMDCAAVSEGEWADTLTDISVGYLLTEASRGANTDCPGTSVVKNTLLLENPCSYDSFDAAVALHASRYKSAEQPALASHSTIWGAEETCDAFSFNLPASRKREGSNNSASSSPDSDSDVHPSNSEGFQCFLQDLAGAAVAHNPCIDDAKDVESLCAESPPRSDHDSAPKDQSLADLYWPDSLGPLDLDIPSATYHADDLLLGDSQNSWNRMMANSLDAFRNLSFFTADKNDSIPSIM >ORUFI03G10290.1 pep chromosome:OR_W1943:3:7032483:7034647:1 gene:ORUFI03G10290 transcript:ORUFI03G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEVVNPKAYPLADAQLTMTILDLVQQASNYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKTPIQNLKDAIEKLLI >ORUFI03G10300.1 pep chromosome:OR_W1943:3:7036663:7039963:1 gene:ORUFI03G10300 transcript:ORUFI03G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYVRNPVVAVAAAEAQATTKNSHGEKSLIWKVRITFLYIVVLPDDGCKKLLMLDKIVDLQKEREKAEKKKEKRSDRKALPHGEISKHSKRTHHKKRKHEDINNADQKSRKVSSMEPGEQLEKSGLSEEHGAPCFTQTEHGSPESSQDSSKRRKVVLPSPSQAKNGNILRIKIRRDQDSSASLSEKSNVVQTPVHQMGSVSSLPSKKNSMQPHNTEMMVRTASTQQQSIKGDFQAVPKQGMPTPAKVMPRVDVPPSMRASKERVGLRPAEMLANVGPSPSKAKQIVNPAAAKVTQRVDPPPAKASQRIDPLLPSKVHIDATRSFTKVSQTEIKPEVQPPILKVPVAMPTINRQQIDTSQPKEEPCSSGRNAEAASVSVEKQSKSDRKKSRKAEKKEKKFKDLFVTWDPPSMEMDDMDLGDQDWLLGSTRKPDAGIGNCREIVDPLTSQSAEQFSLQPRAIHLPDLHVYQLPYVVPF >ORUFI03G10310.1 pep chromosome:OR_W1943:3:7037217:7042972:-1 gene:ORUFI03G10310 transcript:ORUFI03G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEHGDSVGRCILVGLHMDGVGKELLQWALNEAARSGDRVVAVHIYRKSDNCKTNTLSLIRTLDDYLAEYEALCSKKDIVLVGRVTPGSSIQKVLVKEAKLCAAMVVVIGANKKYSFGGSTCLAKYCAKKLPATTTIVAIQNGKAIFVREAPKPPLGAEPKPVLRTVLHPSVGLEPKVIIPNPNRSARSMDFDAMGCGHDGAAPVSSYDDATKVGGGGERTAEQRLGWPLLRRPLPAADGAVQPPPKDDGPRKQSVVQWVMSLPRRSSPSTSPEPQAGLVAELKRMLDAVPSRCRWFRYEELYDSTNHFSSENLIGKGAHSRVYRGSLASAQPVAIKLSKASAVASNDFLREVDIITKLRHHRIVPLIGVCVEGPNLISVYSYLHRGSLEDNLHGKRSKPALSWEKRYTAAIGVAEALSYVHSGHSRPVIHRDVKSSNILLNDEFEPQTLNSVSWQLSDFGLAIWAPSNPGSLTHSDVVGTFGYLAPEYFMYGKVTDKVDVYAFGVVLLELLTGRKPISDGSPKGQESLVMWASPILDSGDISDLLDADLDVKHDDAEVKRMALAASLCLRRSARLRPKISQVLSVLRGESEVSLDDLKAEPADCVDDETYPAANVRTHLGLALLDVEDAESISSTEHSNLSPLEEYLRERSLRLFLRSDLDCFSTDTEAASAFLPEEQGSSLGCEVMKALDYLESSPSGDNNTQIQRHNQN >ORUFI03G10320.1 pep chromosome:OR_W1943:3:7043614:7045730:-1 gene:ORUFI03G10320 transcript:ORUFI03G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDKRSCANPIIIYQSQASAYRQRRAFARARARQTAAASPRKPPWTVDRDREGDDRLLLLLLRCAGGLVPF >ORUFI03G10330.1 pep chromosome:OR_W1943:3:7052348:7055660:-1 gene:ORUFI03G10330 transcript:ORUFI03G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPRSLFPELPPAGHALLRLVDSCRAPAHLRSLRAAHARLLFLLRLPSHPASAAVRVKLIQAYAACAALPAARAVLDASPDRTTVFFNVLLRGLTAASLHRDALLLFASMRPQGHACFPDHYTYPLALKSCAATDGLVLGRQIHSSTARLGLDGNVFVAHSAISMYARCGRPDDAYQMFEEMQYRDVVSWNAMISGFAHAGLFGRAMDVFRELVALQCPKPDAGTMASILPSMGKARVEDIALLKGVFDEMRFKGLISWNAMLAVYTNNEMHVEAVELFMRMQKDGIEPDAVTLATVLPSCGEVSALSLGKRIHEVIKRRRMCSSMLLENALMDMYANCGCLKEARDVFDSMGTRDVVSWTSIISAYGRHGHGREAIDLFEKMCGQGLEPDSIAFVAILAACSHAVACITDSQHGYYLDNQQWRTIILANKLRVMEGKNYVNSSYYASFILSQIQANFQKDICLILGHQQGAVVLIMHFGLSFDRAVRHLALHFSNERHHERYGDEDRMECTNSGKWRWR >ORUFI03G10340.1 pep chromosome:OR_W1943:3:7056728:7059999:-1 gene:ORUFI03G10340 transcript:ORUFI03G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQSLYPEVNQSHPDLNTAFLANPNRAATASPGGSLYPSVDPQQLAENLFPDAADDAAPPPPTTEEALVAVPGAQLHLVDPDRSMDLGAGTLSVVRLRQGDHSVAVLARLVPEKRSQRRGGLFGFLSGGGKAGDGAAQEPVQWPLTRDVAAVKLDTAHYFFSLHVPHTDHEDDDAEGAEAEKDADGEAALSYGLTVASKGQEAVLAQLDKVLEEYTTFSVKQVEPAAKEKSEVMDTKAVTEITPEEAVGDKKEVVEEQSAAFWTTIAPNVDDYSSSVARLIARGSGQLVRGIIWCGDITAEGLRCGEAVVKKSVGPSGKPSQVKPSTIRRMKRARRVTKMSNRVANSILSGVLKVSGFVTSTVLNSKPAQKFFKLMPGEVILASLDGFGKVWDAVEVSGKNVMRTSSVVTTSVVTHRYGDQAGQVTQDYLHASGNALGVAWAVFKIRKALDPKGNLKKSSLASAAAHAVAKESISRQRRK >ORUFI03G10350.1 pep chromosome:OR_W1943:3:7062186:7069920:1 gene:ORUFI03G10350 transcript:ORUFI03G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDPEPSSLPSPGSRRLPSSVPRLDPQNPNHSAARAPVALVPLPIGGAPPRRPRSMGKRPPVVVLSSSSDEDEGGGRRAATRGPSARRARTPATAPAPAHAASGPRKKPRRVSSAERGRRRATGAAPSGSLKAEFDMLSEDFSECLNDLGMPGSICQTEELWVDKYKPHSLAELSVHKKKVEDVKKWLDEKLRAPKVGTFGGWTLVLTGQAGVGKSATIKAIAAELGVEICEWTAPVPTLWTEHLHANSGLGYISKLEEFENFVEKIRKYSLLSPTNFGSQRKHTIILIDDIPVTSGKVSFARLGKCLTGLIQSTQVPTVISLTQYHKSENNDTAMWNSEDLESLLQSAGAHKISFNPVTVNSIKKILVRICKQEGSDLTDDLVHQIATSSGGDIRHAIMSLQYYCLNPRRLNSALARTAILPGLKSGGSLVPGQDSYGCSSVIPTACGRDETLTLFHALGKFLHNKRETYSEVDVDVDSFPMKEKLRRNPLKMDIPEKVLSQAHGKVRTVADFLHENVLDFIDNDAIDDAWSVASYLSEADCLLAGSPISSTRWMVNESYEAENMTQLIAASVAARGILFGNAHVSSSRWHTIRSPRVWQIEQSFRSRKDLILRERYDCSSTSGSRNFSDVVTEFKPFERWISPHNDMPRSNSFNHNIEASSSEEDEDEIEDW >ORUFI03G10350.2 pep chromosome:OR_W1943:3:7062186:7069920:1 gene:ORUFI03G10350 transcript:ORUFI03G10350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDPEPSSLPSPGSRRLPSSVPRLDPQNPNHSAARAPVALVPLPIGGAPPRRPRSMGKRPPVVVLSSSSDEDEGGGRRAATRGPSARRARTPATAPAPAHAASGPRKKPRRVSSAERGRRRATGAAPSGSLKVEDVKKWLDEKLRAPKVGTFGGWTLVLTGQAGVGKSATIKAIAAELGVEICEWTAPVPTLWTEHLHANSGLGYISKLEEFENFVEKIRKYSLLSPTNFGSQRKHTIILIDDIPVTSGKVSFARLGKCLTGLIQSTQVPTVISLTQYHKSENNDTAMWNSEDLESLLQSAGAHKISFNPVTVNSIKKILVRICKQEGSDLTDDLVHQIATSSGGDIRHAIMSLQYYCLNPRRLNSALARTAILPGLKSGGSLVPGQDSYGCSSVIPTACGRDETLTLFHALGKFLHNKRETYSEVDVDVDSFPMKEKLRRNPLKMDIPEKVLSQAHGKVRTVADFLHENVLDFIDNDAIDDAWSVASYLSEADCLLAGSPISSTRWMVNESYEAENMTQLIAASVAARGILFGNAHVSSSRWHTIRSPRVWQIEQSFRSRKDLILRERYDCSSTSGSRNFSDVVTEFKPFERWISPHNDMPRSNSFNHNIEASSSEEDEDEIEDW >ORUFI03G10360.1 pep chromosome:OR_W1943:3:7070445:7073896:1 gene:ORUFI03G10360 transcript:ORUFI03G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKDSGGRSSGGGGGLEQNGVGPFGQVRVLVVGDSGVGKSSLVHLILKGSAIARPAQTVGCAVGVKHITYGSAGGSSNNIISDVQRNFFVELWDVSGHERYRTCRSIFYTQINGVIFVYDLSQRKTKTNLNKWAVEVAETGTFSAPLGSGGPGGLPVPYLVIANKVDLVPRDGSRVSSGSLVDFARQWVEKQGLLPSSEELPLTDSFPGNSGLLSAAKEARYDKEAVIKFFRMLIRRRFFSNEPAAPSPWSLTPREDSILPVETLKDEVDSFQRKSGEDFMYKGVNPLPAQRNLASPPDLSPQQPVFSLDNYRYHRYSSPSLPDVSSSRTSREDIDV >ORUFI03G10360.2 pep chromosome:OR_W1943:3:7070445:7073897:1 gene:ORUFI03G10360 transcript:ORUFI03G10360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKDSGGRSSGGGGGLEQNGVGPFGQVRVLVVGDSGGSSNNIISDVQRNFFVELWDVSGHERYRTCRSIFYTQINGVIFVYDLSQRKTKTNLNKWAVEVAETGTFSAPLGSGGPGGLPVPYLVIANKVDLVPRDGSRVSSGSLVDFARQWVEKQGLLPSSEELPLTDSFPGNSGLLSAAKEARYDKEAVIKFFRMLIRRRFFSNEPAAPSPWSLTPREDSILPVETLKDEVDSFQRKSGEDFMYKGVNPLPAQRNLASPPDLSPQQPVFSLDNYRYHRYSSPSLPDVSSSRTSREDIDV >ORUFI03G10370.1 pep chromosome:OR_W1943:3:7076665:7077351:-1 gene:ORUFI03G10370 transcript:ORUFI03G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVLLDRRSSGSFEFPRRGGGFRPGHLGGGATPAMAPRQQYKGGAWSHASLPALPYARPPLYSSPSLPLLPSNQPPLLPLPPAATKYATFPYPPPPQQPPRSGRASTPSTRQRDRRRKPSRPPPSTETTKGGTQKKKPLERATPLPPAPAVAEALDDLEQEVARNFVQDLLHVLAPPPSSLPLPRFVITSSSSSPAAGNKVVPPPPPAPSCNAEAAAADSLRRVLRL >ORUFI03G10380.1 pep chromosome:OR_W1943:3:7105264:7107606:-1 gene:ORUFI03G10380 transcript:ORUFI03G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPHCLLLLLVLIPTLLRGVVGGSGAGGEARKTYIFRVDHSAKPSVFPSHAHWYSSAAFASGADGAPLEPLHVYDTVFHGFAASVPASRADALRRHPAVLAAFEDQVRTLHTTRSPQFLGLRARLGLWSLADYGSDVVVGVLDTGVWPERRSLSDRNLPPVPSRWRGGCDAGPGFPASSCNRKLVGARFFSQGHAAHYGLAATASNGSVEFMSPRDADGHGTHTATTAAGSVAYDASMEGYAPGVAKGVAPKARVAAYKVCWKGAGCLDSDILAGFDRAVADGVDVISVSIGGGNGVASPFYLDPIAIGAYGAVSRGVFVATSAGNEGPTAMSVTNLAPWLATVGAGTIDRNFPAEIVLGDGRRMSGVSLYSGKPLTNTMLPLFYPGRSGGLSASLCMENSIDPSVVSGKIVICDRGSSPRVAKGMVVKDAGGVAMVLANGAANGEGLVGDAHVLPACSVGENEGDTLKAYAANTTNPTATINFKGTVIGVKPAPVVASFSARGPNGLVPEILKPDFIAPGVNILAAWTGATGPTGLESDPRRTEFNILSGTSMACPHASGAAALLRSAHPGWSPAAIRSALMTTAVATDNRGEAVGDEAEPGRVATPFDYGAGHINLGKALDPGLVYDIGDDDYVAFMCSIGYEANAIEVITHKPVACPATSRNPSGSDLNYPSISVVFYGGNQSKTVIRTATNVGAAASATYKPRVEMASSAVSVTIKPEKLVFSPTAKTQRFAVTVASSSSSPPASAPVYGHLVWSDGGGHDVRSPIVVTWLQSM >ORUFI03G10390.1 pep chromosome:OR_W1943:3:7111429:7113717:-1 gene:ORUFI03G10390 transcript:ORUFI03G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVMIVTVKFLRVHPTSPNVKRNQRQEEGDTSRKPQHPKNKKGDTPSPTTTVSVHLHPHRRRRSPPTTDRRPASAMAMAYKMATEGMNVKEECQRWFMEMKWKKVHRFVVYKIDERSRAVLVDKVGGPGEGYEELVAALPTDDCRYAVFDFDFVTVDNCQKSKIFFIAWSPTASRIRAKILYATSKQGLRRVLDGVHYEVQATDSSEMGYDVIRGRAQ >ORUFI03G10400.1 pep chromosome:OR_W1943:3:7119028:7123295:-1 gene:ORUFI03G10400 transcript:ORUFI03G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLDTGVDGGYYTPASHLLEVEGLRILLDCPIDLSALTAFSAVPLGASSSSGDAEDLIRGVPYYRSPTAVAAAKAGHIDAVLVSSATGLLGLPFLTRLPGFANTKVYVTEVAARMGSLMMRELVEMHREFVRCYGPDRDQSPVWMEGEKLKKLMSVLQKITTEDEENNNLAALVSLYSLDNIEECMQKTQYVKYGEEVCFNGMLMLKASSSGLELGNCVWTIKGPRAMDETDILLASNSVFRDDGMDEDETIKFLCSNDDIAEEIERISFICSCIIDAINSGGSVLIPIGRIGIILLLLEHMSETLHSSNMKSQVPIFMISETAEEIITFTNALPEWLCKSRQEKLGRNLALYFVHIGVLGMAQLSICFIDGVQTNDQGVDAELTLKPFMPLAIQVLGCSFLSGIKVGKIDPLLGLLKPKLILFPEGQKSLCPATDKQPWSFLYYSKGKTIEVPNMREEFEVRMTTEVAFGLQPRQLDKTTAVARLKAKLLLSNGQYVLAAAKSELDRSERHLLHWGTVDASCIPSALQEKGIVCSFSADADYSAPSDRERVISITSPGEALVKVTSERTTIYCDDEETAERVYDALRSICNGI >ORUFI03G10400.2 pep chromosome:OR_W1943:3:7119028:7123295:-1 gene:ORUFI03G10400 transcript:ORUFI03G10400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLDTGVDGGYYTPASHLLEVEGLRILLDCPIDLSALTAFSAVPLGASSSSGDAEDLIRGVPYYRSPTAVAAAKAGHIDAVLVSSATGLLGLPFLTRLPGFANTKVYVTEVAARMGSLMMRELVEMHREFVRCYGPDRDQSPVWMEGEKLKKLMSVLQKITTEDEENNNLAALVSLYSLDNIEECMQKTQYVKYGEEVCFNGMLMLKASSSGLELGNCVWTIKGPRASMTYLPSSIFVSAHALDFDYSSLKGNDVILFSDFSSLNGMYDDNKKMGEHIVDETDILLASNSVFRDDGMDEDETIKFLCSNDDIAEEIERISFICSCIIDAINSGGSVLIPIGRIGIILLLLEHMSETLHSSNMKSQVPIFMISETAEEIITFTNALPEWLCKSRQEKLGRNLALYFVHIGVLGMAQLSICFIDGVQTNDQGVDAELTLKPFMPLAIQVLGCSFLSGIKVGKIDPLLGLLKPKLILFPEGQKSLCPATDKQPWSFLYYSKGKTIEVPNMREEFEVRMTTEVAFGLQPRQLDKTTAVARLKAKLLLSNGQYVLAAAKSELDRSERHLLHWGTVDASCIPSALQEKGIVCSFSADADYSAPSDRERVISITSPGEALVKVTSERTTIYCDDEETAERVYDALRSICNGI >ORUFI03G10410.1 pep chromosome:OR_W1943:3:7125715:7129318:-1 gene:ORUFI03G10410 transcript:ORUFI03G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGETDDDKPEKLEALISAVNSSDSSHIVHVPPGENALSDVLISTPIFTGEEGGSGFAASAAAAAATGAAGFEFDVDPNVDPELALALRLSMEEERARQEAIAKKAAEESSGAENKDHASSSNADSVMAEAEPASNAADDKKDQPKEDDDAQLLQQALAMSMEEGSSGAAAADAAMAEAAVDDQDLALALQMSVQDAGGSSQSDMSKVFEDRSFVTSILNSLPGVDPNDPSVKDLLASLHGQGEQEKKEDKSDKPEDEKK >ORUFI03G10410.2 pep chromosome:OR_W1943:3:7126321:7129318:-1 gene:ORUFI03G10410 transcript:ORUFI03G10410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGETDDDKPEKLEALISAVNSSDSSHIVHVPPGENALSDVLISTPIFTGEEGGSGFAASAAAAAATGAAGFEFDVDPNVDPELALALRLSMEEERARQEAIAKKAAEESSGAENKDHASSSNADSVMAEAEPASNAADDKKDQPKEDDDAQLLQQALAMSMEEGSSGAAAADAAMAEAAVDDQDLALALQMSVQDAGGSSQSDMSKVFEDRSFVTSILNSLPGVDPNDPSVKDLLASLHGQGEVCFSLSF >ORUFI03G10420.1 pep chromosome:OR_W1943:3:7131550:7135181:-1 gene:ORUFI03G10420 transcript:ORUFI03G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAGLEAGAMEEGEGVTNDDDDMEAERKGAVTAMGVSRRGEVEGGAAVAASGSNDGGEGGCHRACELGVEEAWEAGEGGWRHASSAAVTQEKGRASLRGRARGRRPRQRGQVPRLLVPGIEEAPLPPTRARFCARELVNGHMDFMLLARDKVLAVEATGRTTIYDDSFRVVRSGPVLKAPLYWPISVPVDDSGVYVLDSKHCFQKLVHGNSSFEDWTCEALPAAPREVRGGSRRAYAVLWFGLARNSTGNPMCACDLAAAAEQGSPPVQRNIWQQDVRPRKGWVPRYSNLLHLGSARFCIVRIFAKPSPETEYKSEWDGPKREEVFAVLTAVEVVRSGELGKGLRMVKHKSVRYSLGDGYCKVQPLMVY >ORUFI03G10430.1 pep chromosome:OR_W1943:3:7137266:7142879:1 gene:ORUFI03G10430 transcript:ORUFI03G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFYELLLTAAASLLVAFLLARLLASAATASDPRRRAPDHAAVIAEEEAVVVEEERIIEVDEVEVKSARARECVVSEGWVEVGRASSAEGKLECLPEEEEAPAKAARELVLDAVLEEREEEGQVGEERCDLAAAVAEVVGVKPHELGVEAAPGEVSDVTLEEGKVQDVGVEQHDLVAEAAPREALDTGLEKQGVPIIEAVEIKRQDDLGAEVAPSDVPEVEFEQQGVRIIEAIDVNQHHRVALAAPAEVVDAGLEERVQAIEAGSSGLTSETVPEEVLDELSEKQEEQVIEEKEHQLAAATAPVAIPGVALAETEELKEEQSSEKAVNVHEEVQSKDEAKCKLHLVDQQEGSASKVELVGRNTDNVEISHGSSSGDKMIAELTEEELTLQGVPADETQTDMEFGEWEGIERTEIEKRFGVAAAFASSDAGMAALSKLDSDVQLQLQGLLKVAIDGPCYDSTQPLTLRPSSRAKWAAWQKLGNMYPETAMERYMNLLSEAIPGWMGDNISGTKEHEAGDDAVGSVLTMTSNTINQHDSQGNEDNTGMYEGHLTSSPNPEKGQSSDIPAE >ORUFI03G10440.1 pep chromosome:OR_W1943:3:7144616:7153136:1 gene:ORUFI03G10440 transcript:ORUFI03G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCFKAHTDPAIHQCREKTTGETSRPAVQASTIPMARERYETTEQGEKLFTGPELVLLGLPQATASLARSVSHSSHVNHQANRRCWLGPVFEHHKGTAPNRFLEEAASAEDQVHAHDGWYDYTAYTDCRGQPEPALYNGGILRFGNSDDPTGYRTTETGVFSPAFVVYNLNKTTMYTFSSWVKLEGASSALITARLALDNAGARCIGTVLARNDCWAFLKGGFVLDWPTQTSVIFFQNADKTPMKITVASGSLQPFTSDQWSMHQKDTIRKRRKRMATIHVADQQGGRVVGASVSVRQTAKDFPFGSAIASTILGNQAYQKWFVDRFNAAVFEDELKWYSTEPMSGQLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPSWVKGLSPDDLRAAVNGRIQNLMTRYRGEFAHWDVNNEMLHYNFYEQRLGANASVEFFSVAQDADPLATLFMNEFNVIETCDDVSSTVDTYVAKLKDLRAGGAVLEGIGLEGHFLKPNIPLMRAVLDKLATLGLPIWFTEIDISNRYDAQTQAVYLEQVLREAYSHPAVTGVMLWTALHPNGCYQMCLTDWNLNNLPVGDVVDRLLQEWQTGQAAGPTDAHGAYSFSGFLGEYIVSVTYANSTSQATFSLSPGDETRHINIQI >ORUFI03G10440.2 pep chromosome:OR_W1943:3:7145109:7153136:1 gene:ORUFI03G10440 transcript:ORUFI03G10440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSLHAVRYLVLWMLLRCGRELVAAVPPGQSRNHGWYDYTAYTDCRGQPEPALYNGGILRFGNSDDPTGYRTTETGVFSPAFVVYNLNKTTMYTFSSWVKLEGASSALITARLALDNAGARCIGTVLARNDCWAFLKGGFVLDWPTQTSVIFFQNADKTPMKITVASGSLQPFTSDQWSMHQKDTIRKRRKRMATIHVADQQGGRVVGASVSVRQTAKDFPFGSAIASTILGNQAYQKWFVDRFNAAVFEDELKWYSTEPMSGQLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPSWVKGLSPDDLRAAVNGRIQNLMTRYRGEFAHWDVNNEMLHYNFYEQRLGANASVEFFSVAQDADPLATLFMNEFNVIETCDDVSSTVDTYVAKLKDLRAGGAVLEGIGLEGHFLKPNIPLMRAVLDKLATLGLPIWFTEIDISNRYDAQTQAVYLEQVLREAYSHPAVTGVMLWTALHPNGCYQMCLTDWNLNNLPVGDVVDRLLQEWQTGQAAGPTDAHGAYSFSGFLGEYIVSVTYANSTSQATFSLSPGDETRHINIQI >ORUFI03G10440.3 pep chromosome:OR_W1943:3:7145108:7153136:1 gene:ORUFI03G10440 transcript:ORUFI03G10440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSLHAVRYLVLWMLLRCGRELVAAVPPDGWYDYTAYTDCRGQPEPALYNGGILRFGNSDDPTGYRTTETGVFSPAFVVYNLNKTTMYTFSSWVKLEGASSALITARLALDNAGARCIGTVLARNDCWAFLKGGFVLDWPTQTSVIFFQNADKTPMKITVASGSLQPFTSDQWSMHQKDTIRKRRKRMATIHVADQQGGRVVGASVSVRQTAKDFPFGSAIASTILGNQAYQKWFVDRFNAAVFEDELKWYSTEPMSGQLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPSWVKGLSPDDLRAAVNGRIQNLMTRYRGEFAHWDVNNEMLHYNFYEQRLGANASVEFFSVAQDADPLATLFMNEFNVIETCDDVSSTVDTYVAKLKDLRAGGAVLEGIGLEGHFLKPNIPLMRAVLDKLATLGLPIWFTEIDISNRYDAQTQAVYLEQVLREAYSHPAVTGVMLWTALHPNGCYQMCLTDWNLNNLPVGDVVDRLLQEWQTGQAAGPTDAHGAYSFSGFLGEYIVSVTYANSTSQATFSLSPGDETRHINIQI >ORUFI03G10440.4 pep chromosome:OR_W1943:3:7145109:7153136:1 gene:ORUFI03G10440 transcript:ORUFI03G10440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSVQRGGVRGRAEVVLYGAHVGAAAVRRAGPDAGVRAVAPRDGARAQHLLGEPGRDAELGEGPVTGRPPRRRQRPDPEPDDPLPRRVRALGREQRDAALQLLRAAAGRQRVGGVLQRGAGRRPARHALHERVQRDRDLRRRVLHRGHVRGEAQGPPRGGRRPGGHRPGGTLPQAQHPAHARRARQARHARPAHLVHRDRHQQPVRRADAGRLPGAGAQGGVLPPGRHRRHAVDGAAPQRMLPDVPHRLEPQQPPRRRRRRPPPAGVADGAGRRPDRRARRLQLQRIPRGVHRLRHLRQQHVAGHLLALSRRRDQAHQHPDMTIRMYTVHLLGVYGF >ORUFI03G10450.1 pep chromosome:OR_W1943:3:7154805:7157234:-1 gene:ORUFI03G10450 transcript:ORUFI03G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTSHDCTGHHQSTNTSLPPRARERRNGVRFGRFCCCRRGAGGSGWPCARVVCLPVSCTVWGQRARRGRPIIAATLLQKTRPMATLQVLGHNNPNMTCKITSTLN >ORUFI03G10460.1 pep chromosome:OR_W1943:3:7157292:7159213:-1 gene:ORUFI03G10460 transcript:ORUFI03G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIQRICIVLGMLFILVREGGAVTFTFVNRCTGTVWPGILSNAGSARMDPTGFELPPGAARAVPAPTGWSGRLWARTGCTQDGTGKVVCATGDCGSGTLECAGRGAAPPATLAEFTLDGGGRNDFYDVSLVDGYNLPLLVEPSGALGATATTCAAAGCAADLNARCPAELRAVGGAACRSACDAFGKPEFCCSGAYANPNTCRPTAYSQVFKSACPRSYSYAYDDPTSTFTCAGGRDYTITFCPVATPSLKSAGGTTTPTTTVPGVTTDAPPDMARPIGSQGGGGGGGAGQGVMLGDNSWLASLAMGDASSSRRASRLALLAAPLALLTLHLPRL >ORUFI03G10470.1 pep chromosome:OR_W1943:3:7161250:7162563:1 gene:ORUFI03G10470 transcript:ORUFI03G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSPLTALYSSFLSYTPSTPSMAALPRRRRAGCRYPRIQAVDLDQNTIVAISVGVVSVAIGIGIPVFYETQIDNAAKRDNTQPCFPCSGSGAQVCRFCTGKGTVTVVIGGGETEVSNCVNCDGVGSLTCTTCQGSGIQPRYLDRSKHLINMIVE >ORUFI03G10480.1 pep chromosome:OR_W1943:3:7171478:7174845:1 gene:ORUFI03G10480 transcript:ORUFI03G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCPTHVALRLLALLFLLPAAWSATFTMTNNCGYTVWPGLLSGAGTAPLSTTGFALAHGASATVDAPASWSGRMWARTLCAEDATGKFTCATGDCGSGGIQCNGGGAAPPATLVEFTLDGSGGMDFFDVSLVDGYNLPMIIVPQGGGAAAPAGSGGGSGGKCMATGCLVDLNGACPADLRVMAASTGTGAAAPGGGPVACRSACEAFGSPQYCCSGAYGNPNTCRPSTYSQFFKNACPRAYSYAYDDSTSTFTCTAGTNYAITFCPSTTSGKYSGGENPQAAGVPSTNDTMVVLGAEQLSTASSAAAHAAPQLTLPLLPLVVVAALVAAMI >ORUFI03G10490.1 pep chromosome:OR_W1943:3:7190840:7194762:1 gene:ORUFI03G10490 transcript:ORUFI03G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAADGSLANEKAPAETVGVGRYVEMEQDGGGPSTAKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLLGSWTAYLISILYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAVASLIHGQVDGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLMATLYVLTLTLPSAASVYWAFGDELLTHSNALALLPRTAFRDAAVVLMLIHQFITFGFACTPLYFVWEKLIGLHDCRSLFKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMITFRSAHARENAVEPPPRFVGRWTGTFIINAFVVAWVLVVGFGFGGWASMTNFVRQIDTFGLFTKCYQCPPPPLPPAGAAPNATWPPFPATPFNATTAGLAPAPAPSPAHFFGRHHRHHSHGL >ORUFI03G10500.1 pep chromosome:OR_W1943:3:7200656:7202596:-1 gene:ORUFI03G10500 transcript:ORUFI03G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVKAMLAKPIQLADQVAKQAGWQCFRAECMDLRSRAEKLAALLRQAARAELYERPAARIMADTVRALNKAAGMAARCFQSHSRLRRFFTLNPVSGLPRTLAMLDTALEDVAWLLRISSPHADAGGGGDDDDYDLHGLPNIAQNEPILFLIWDHIARLHTGNLAARADAAHNLASLARDNPHFAKLIIEEDGVAPLVKLLKDGTDDGQEAAATALGLLARDEESVDKLLLAGVCSVFAAALKVPPMRVQAAVAESVAALAHHNQKCQDLLAQTNAVRHLVGHLAAGTIQEHSRYYVAWTGSRNMNMTSLHSVVLAKTLSVHQGGSGSPANEPPSSSEYPGSQQQAGKNQMQSVVQSAMAAKTTANGATVPPGCRHQLTPNGSSGRGSREAEDPATKAHMKAMAAKALWKLARGHVGVCKSITESRALLCFAKLLEQGDGGAGTDLQFYSAMAIMEITRVAEHNLALRQSAFKPSSPAAKAVVEQLLRIVCKGDVDDLLRPCITSLGCLSRTFTASETRVVRPLVELLDERELPVTKEAVVALTKFACTENHLHVSHCKAIVDGGGARHLVQLVYLGDQVQIEALILLCYIALHVPENEELAQAGVLAVLLWASKQPHMVQDLRVDALLPDAKGRLELFQSRASR >ORUFI03G10510.1 pep chromosome:OR_W1943:3:7208107:7208484:1 gene:ORUFI03G10510 transcript:ORUFI03G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRCRKSCCLHWMNYLSPDLKCSNFTDDNDELTIKLHALLGNKWNTHIKRKLMSQGIDPQTHQPVSAGTSVAAASELTTTASTVGFPSLQAPAPA >ORUFI03G10520.1 pep chromosome:OR_W1943:3:7211070:7214137:-1 gene:ORUFI03G10520 transcript:ORUFI03G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPRPALSSSSFLAFRPAAASPTTNPSPPPSPRLHAAPPPLSASFSPSTSVVAPDHVAAAAAASFYDVLGLHAGASAREIKDAYRRLARAVHPDASPHPAAASSDDFIRVHAAYSTLSDPDKRADYDRRLLLLAAGRRRATPSLGRSPTFPARRRRTWETDQCWKVIRCQKRKHGGREGGGDAIATRSR >ORUFI03G10530.1 pep chromosome:OR_W1943:3:7211717:7214462:1 gene:ORUFI03G10530 transcript:ORUFI03G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGDEQLQPRVGQGIPLLRVRYRGPGAGSLSHIAHVSIRVCDGVSLSSTSWITARAAAAPQLQREGSEVVDSWLEGKGVCPWVKSGITRNYWYHVWPVMYNSSTITEPQYYQHAQRYLFVIVIDRTIQSPQTAHTICIHHTLKKKKKTRRNTGGWLFTSPALVGLPRAAAARGERRRAAEGRGGAAAPGGEEEEAAVVVGALVGVGEGGVGGVDADEVVGGRGGGVRGGVRVDGACEAAVRVLDLPRAGPRVEAEDVNEAAAAAATWSGATTEVDGEKEAESGGGAAWRRGEGGGEGFVVGDAAAGRNARKLEEDSAGRGDIMVAACLGGRRRHETRGHLRGRLQLWDDSVLLGEWRGLNTGIMKMGHVLNFSSSLVHRSLVQ >ORUFI03G10540.1 pep chromosome:OR_W1943:3:7215303:7220517:1 gene:ORUFI03G10540 transcript:ORUFI03G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPPCTLSPAPWRRRRTLHGAAGTPQRVSAAAPSAIVEAVSPPARLSFPILVNGCTGKMGLSVAEAVTSSGLHLVPISFSSRDTLDRTVRVGHTDVRIYGPSAREDVLSSVIDEFPDVVVVDYTAPDSVNANAELYCKLGLPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVLESHQAGKLDISGTAKAVIACFEKLGVSYDMNRMVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGSVDAAMFLHRKVRSNDSKRIYDMIDVLREGSMR >ORUFI03G10540.2 pep chromosome:OR_W1943:3:7215303:7219378:1 gene:ORUFI03G10540 transcript:ORUFI03G10540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPPCTLSPAPWRRRRTLHGAAGTPQRVSAAAPSAIVEAVSPPARLSFPILVNGCTGKMGLSVAEAVTSSGLHLVPISFSSRDTLDRTVRVGHTDVRIYGPSAREDVLSSVIDEFPDVVVVDYTAPDSVNANAELYCKLGLPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVVAFLAAMEIMAEQFPGAFSGYHLEVLESHQAGKLDISGTAKAVIACFEKLGVSYDMNRMVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGSVDAAMFLHRKVRSNDSKRIYDMIDVLREGSMRATSFPINHEYM >ORUFI03G10540.3 pep chromosome:OR_W1943:3:7215303:7219726:1 gene:ORUFI03G10540 transcript:ORUFI03G10540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPPCTLSPAPWRRRRTLHGAAGTPQRVSAAAPSAIVEAVSPPARLSFPILVNGCTGKMGLSVAEAVTSSGLHLVPISFSSRDTLDRTVRVGHTDVRIYGPSAREDVLSSVIDEFPDVVVVDYTAPDSVNANAELYCKLGLPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVVAFLAAMEIMAEQFPGAFSGYHLEVLESHQAGKLDISGTAKAVIACFEKLGVSYDMNRMVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGSVDAAMFLHRKVRSNDSKRIYDMIDVLREGSMRPYRTF >ORUFI03G10540.4 pep chromosome:OR_W1943:3:7215303:7219668:1 gene:ORUFI03G10540 transcript:ORUFI03G10540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPPCTLSPAPWRRRRTLHGAAGTPQRVSAAAPSAIVEAVSPPARLSFPILVNGCTGKMGLSVAEAVTSSGLHLVPISFSSRDTLDRTVRVGHTDVRIYGPSAREDVLSSVIDEFPDVVVVDYTAPDSVNANAELYCKLGLPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVVAFLAAMEIMAEQFPGAFSGYHLEVLESHQAGKLDISGTAKAVIACFEKLGVSYDMNRMVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGSVDAAMFLHRKVRSNDSKRIYDMIDVLREGSMR >ORUFI03G10540.5 pep chromosome:OR_W1943:3:7215303:7219668:1 gene:ORUFI03G10540 transcript:ORUFI03G10540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPPCTLSPAPWRRRRTLHGAAGTPQRVSAAAPSAIVEAVSPPARLSFPILVNGCTGKMGLSVAEAVTSSGLHLVPISFSSRDTLDRTVRVGHTDVRIYGPSAREDVLSSVIDEFPDVVVVDYTAPDSVNANAELYCKLGLPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVLESHQAGKLDISGTAKAVIACFEKLGVSYDMNRMVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGSVDAAMFLHRKVRSNDSKRIYDMIDVLREGSMR >ORUFI03G10550.1 pep chromosome:OR_W1943:3:7219723:7222788:-1 gene:ORUFI03G10550 transcript:ORUFI03G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRLVPRETAALLGAFVALLAVSFGAVAAPAPLVVGSIKCLDCSPDDVKAEDAFRGLQVGIMCNSGAGEAYETKMLSGLDENGGFSIPLAADLLRDDGELDKDCFAQLHSAPETPCAGQTPPRIAKAGPGNDTIAAAAADAAPTYLAVSDDTLFSPVACKCGKYKKKFMFAPPPPPPPRPPAPEYKPPTPTLTPIPTPEPSYGPPAPKPPAPPVEDEPQPFFHKHPKLKFMHKKKPCPPLVDLLLGVCGAVVLVAGLAHAAYAGTAPVVVGLAMCSGCTRKNMNAEAAFKGLQVAVKCKNSRGEYDKMAVGKVDKSGAFSVPLAADLVGEDGVLKQDCFARLHSASSAPCPGQEPSMIVAAQQPGHDGAKTFVALAGKVHRPSAECASAFLCDPFHKHHHHIVLHPPVIVPPKHDHDHSLPPVHEPPVTVPDHKPAPVTVPDHKPPSTTTPVYAPPKPTPIYGPPTQQKNKH >ORUFI03G10560.1 pep chromosome:OR_W1943:3:7225507:7226451:-1 gene:ORUFI03G10560 transcript:ORUFI03G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFASCVAVCLAFAVLLPWHATATSPTGTIQRETKQQILASIPPHWQENPVLFLTSPSGKYTAYFLRSQTAPGAGGLGADFCYVEVLDTSDPGAEGRSVWESECLAVSTVNTCSLVFSWKGLEVFDGSNSVWHTHDTQSDSQNFLETLELVDEGDMRILDKGGELAWKASDEPRAAQHCGMPGSPGLASAFPPFAQPIGHGSSDLPFGFGNGDHVAGNGIGGGAVAQPELPVAPVPQPELPLAPVPQEADLGGAAGVEPQGQGVGQTSFGFGAQPLVDNSPYDSGAWKQVGGCSLTAIGVGFILNVAIAMGLGH >ORUFI03G10570.1 pep chromosome:OR_W1943:3:7230836:7232434:-1 gene:ORUFI03G10570 transcript:ORUFI03G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVMERERLTAEMAFRDDARAAGGEWAPSIVIKIRRRLPDFARSVNLKYVKLGIRHGGSVTSYLPMLCVPLLASAAYSFVRLDVIYRSIDLLTCVAWLGTAVLLLTVYYFKRPRPVYLVEFACYKPDDQHKISKEGFLEMTESTGCFNDAALDFQTKITNRSALGDETYLPPGVQARPPRLNMAEARMEAEAVMFGCLDALFESTGINPKRDVGIIIVNCSLFNPTPSLSSMIINHYEMRADVKSFNLGGMGCSAGLIAIDLAKDMLQANPNSYAVVLSTENITLNWYFGNDRSMLLSNCIFRMGGAAALLSNRRADAGRAKYRLLHTVRTHKGATDECFNCVYQREDEDGKVGVSLARELMAVAGDALKTNITTLGPLVLPLTEQLKFLKSLMMRRVFRVKGVRPYIPDFRRAFEHFCVHAGGRAVLEEVQRSLSLEDRDMEPSKCSLHRFGNTSSSSLWYELAYAEAKGRVQRGNRVWQIGFGSGFKCNSAVWRALRDVPAVSPPPKGKKSCNPWVDCVAKYPPKAYV >ORUFI03G10580.1 pep chromosome:OR_W1943:3:7242148:7242870:1 gene:ORUFI03G10580 transcript:ORUFI03G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFALVSRVSPAARLPIRAAWRRARPTVGLPSSGRARQLAVASAAQENRDNTAVDVHVNQDGGNQQGNAVQRRPRRSSALDGISPFGLVDPMSPMRTMRQMLDTMDRMFDDVALGFPATPRRSPATGEVRMPWDVMEDDKEVRMRFDMPGLSREEVKVMVEDDALVIRGEHKKEEGEGAEGSGDGWWKERSVSSYDMRLALPDECDKSKVRAELKNGVLLVTVPKTEVERKVIDVQVQ >ORUFI03G10590.1 pep chromosome:OR_W1943:3:7243347:7244486:-1 gene:ORUFI03G10590 transcript:ORUFI03G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDTTFTKLFVGGLPWETRGDAVRRHFEQFGEIVEAVVIADKHTGRSKGYGFVTFRDPDGAARALQDPTPVIDGRRANCNLAAFGAARRVHPVAAPFGMARLRPAMIASSSSYQGSAPSYFPQVLYAYPYCYGYHGGYSPEITHQAHMGYYGGYGVSAAQAQQQQAQLHAYYAAARPAGAYQFQAAGEQTRSALAPTVQYLQMCEKSGMTTAGSVSAVESGASEGSSDQRPAS >ORUFI03G10600.1 pep chromosome:OR_W1943:3:7253687:7254986:-1 gene:ORUFI03G10600 transcript:ORUFI03G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSCLLRVGWLPAALCALALALAPASDATSASLVGINYGRVGSNLPPPQAVLPLLEGLGIGRVRLYDADPAVLHAFAKTGVELFVGVPDQSLAGLADPGGADSWLRSNVMPFLPDTKIAALTVGNEVLTGNNSAVTRALLPAMQSLHGALAKLGLDKQIAVTTAHNLGVLGTSYPPSSGAFRRDLLPYICPILDYHARTGSPFLVNAYPYFAYSGDPKGIHLEYALLEAGYAGVPDPNSGLRYPNLLVAQVDAVYHAIAAANTAAAQVVEVRISETGWPSSGDPGETAATPQNAARYNSNAMRLVAEGKGTPLKPTVAMRAYVFALFNENLKPGLASERNYGLFKPDGTPVYELSYKLPRDNSTFGGAGNSGWRFPGGGGGNVSGGYDNNGVNSGYYDISAASPDSAALTCR >ORUFI03G10610.1 pep chromosome:OR_W1943:3:7258790:7260398:1 gene:ORUFI03G10610 transcript:ORUFI03G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICPHTHQVPNISNSPSPCANPGHYIALVTLRVAEEMRPPPPPPARAERHSTGTVQVTRVKLLKPRDMLLLGQAYRLITVDEVTRVLQAKKEEKSRRAAAQHHLESKHAGAAGVGINSSGDDHTQPIRPAHLMPECERKSPKFHGREPHKSTHVHHGGVVFLLELGDDGHVQVAHAARRW >ORUFI03G10620.1 pep chromosome:OR_W1943:3:7263696:7266335:-1 gene:ORUFI03G10620 transcript:ORUFI03G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCSCPAMVTVSLSHGRMADGQSPFLSTLAIAARLTETKARNDQGPVVDHVVHRTTWRTIRKTSEHKASMIFSIKFVAPIAFWSFSSKLFIRSTLK >ORUFI03G10630.1 pep chromosome:OR_W1943:3:7285389:7294119:1 gene:ORUFI03G10630 transcript:ORUFI03G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDYGAVSWPWKKKSSDKSSSADASQNSNQAEQDDKAPKYVQISPETYAHLTESEEQVKTLNEKVKALNEDLSAAQSEITTKDALVKQHAKVAEEAVSGWEKAEAEASALKLQLETVTLAKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAEFEAKLLEFEQELIRAGAENDALSRSLEERGDLLMKIDEEKARAEAEIEVLKNTIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHMEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGRDYGESRLRRSPAKNSSFHRPMSPMSPVPDYAFENLQHMQKENEFLTARLLSMEDETKMLKEALAKRNSELQTSRNMYAKTAGKLRGLEVQMLTGSQRKSTSNPNMDIHFDGALSQNGSNPPSMTSMSEDGVDDEGSCTESWANALVSELSHIKKEKGAKSSVTEGSNRLELMDDFLEMEKLACLSPEANGHVSTVEKMKIDDTEASLSGITERDGVKDSQSVLALPGTPSNKLQLSDSSPLLKLQSRISSLLDSESPQNNAGNILDSIRNILKDIEDEADSSNDSKTHHGDMVEVADNGSLMKHSSSGSKHAMDQELVNAILKIQDFVKSLDQEVSKFQGQSSDCDGLCDKIQQFSALVEKALSNENVLNDIVMTLSLILSGTSEIKFMMLKENTKEADNNNLDYVDKVTLLENKVQLEPLKDSISGPCLPRSSSDPEIEGPTDSGCDVKTAVQICSSEEFEQLKSEKLNLEAELSKCNEVIEETKFRFKELEKSLEELTSKLVASEKSNSLAETQLKCMAESYKSLESRKAELENEIKVLQSKIEVLTAELDDERQNHQEDITRYRDLEEKIERYENERNSMCVDEDADTKAKQEKEIAAAAEKLAECQETILILGRQLQSMRPPAESMGSSPNQRMEDFLQDAAGTTEGVEYSQKPTGQLDTDQEMHASGNESPVNGYKTHNAPSEADGSPFLSPNGSKRPKHRSRSSSSISNQLPEKQNRGFSRFFAKEKI >ORUFI03G10630.2 pep chromosome:OR_W1943:3:7285389:7294119:1 gene:ORUFI03G10630 transcript:ORUFI03G10630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAEFEAKLLEFEQELIRAGAENDALSRSLEERGDLLMKIDEEKARAEAEIEVLKNTIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHMEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGRDYGESRLRRSPAKNSSFHRPMSPMSPVPDYAFENLQHMQKENEFLTARLLSMEDETKMLKEALAKRNSELQTSRNMYAKTAGKLRGLEVQMLTGSQRKSTSNPNMDIHFDGALSQNGSNPPSMTSMSEDGVDDEGSCTESWANALVSELSHIKKEKGAKSSVTEGSNRLELMDDFLEMEKLACLSPEANGHVSTVEKMKIDDTEASLSGITERDGVKDSQSVLALPGTPSNKLQLSDSSPLLKLQSRISSLLDSESPQNNAGNILDSIRNILKDIEDEADSSNDSKTHHGDMVEVADNGSLMKHSSSGSKHAMDQELVNAILKIQDFVKSLDQEVSKFQGQSSDCDGLCDKIQQFSALVEKALSNENVLNDIVMTLSLILSGTSEIKFMMLKENTKEADNNNLDYVDKVTLLENKVQLEPLKDSISGPCLPRSSSDPEIEGPTDSGCDVKTAVQICSSEEFEQLKSEKLNLEAELSKCNEVIEETKFRFKELEKSLEELTSKLVASEKSNSLAETQLKCMAESYKSLESRKAELENEIKVLQSKIEVLTAELDDERQNHQEDITRYRDLEEKIERYENERNSMCVDEDADTKAKQEKEIAAAAEKLAECQETILILGRQLQSMRPPAESMGSSPNQRMEDFLQDAAGTTEGVEYSQKPTGQLDTDQEMHASGNESPVNGYKTHNAPSEADGSPFLSPNGSKRPKHRSRSSSSISNQLPEKQNRGFSRFFAKEKI >ORUFI03G10640.1 pep chromosome:OR_W1943:3:7300996:7301643:1 gene:ORUFI03G10640 transcript:ORUFI03G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAKSPSRRRSPSSRPWTATRSNGTGQPTRRRGRWWWPTAESTPPPPPPRRCVQWVRRLLAPSSPRRGRRGGATDWEEERRRGQWWPPPPQQPGTSPAGAPATAAGDASRRREGLERGRAGSAAAEDEPPAGSTPPPPPPGRRLGQVDADLVDVGDAAATTSSSRTTPPLQSRPPPPPPLGGLRHQGHHAGGGRRRAGASGEEWIWGFGGGGAG >ORUFI03G10650.1 pep chromosome:OR_W1943:3:7301982:7302674:1 gene:ORUFI03G10650 transcript:ORUFI03G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNKSTQIAAANTAANRQQINKSSGTGGTVDGEARRTAAASPACRRGVGGEARRRGREEKEAAGDAFCRQEPPATRPALTSPASSSTPVLEPPPPRTAGRTRRQEPLDAPAAKNRPALTSPASSSMPVLEPPPPRASSLSTPSSAAPASVSSIRRVPSLHNTVDLSSPPLHRSHDAELVACHRLGLLDPPPPPPRGEGEGRSCRRGEGRSRHRREEGAGECVMREEFGD >ORUFI03G10660.1 pep chromosome:OR_W1943:3:7303187:7316974:-1 gene:ORUFI03G10660 transcript:ORUFI03G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRDDEEESKQRDWNGPVMASRSARSSPKTIAHESLRIDSSAPIASLGVASPFSITRSDPRLVEAFVPFLEKLIKNASWRNKAHSKLSHTAKSILDRLQNPPPPAAAAQAPSTPTSPTTPTSSSSQPGPLRSLSLADSELLLGPINSALGSGSAKLAEAGLELLHRLIAHSYIHGEADPSADPSAQLVASLLDAACNALHLDDEHIELLLLKTLLSAVTSTSVRLHGDCLLRAVRACYDMYLGSRSVVNQATAKASLVQMLVIVFRRMEADSSTVPVQPIVVADVIELPEAASGASPAADANFVQGFISKIIGDIDGAITPLARTTSSAAAGAGGAAAHDGAFETRAAEEGAHPADLLDSTDKDMLDAKYWEINMYKSALEGRKDEIGVEGAVVGALDDDADVRIGNKLRRDAFLVFRALCKLSMKTPPKDAPADPIVMRGKILALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNCASAHMIVFQLSCSIFISLVSRFRPGLKAEIGVFFPMIILRVLENIAQPNYQAKLIVLRFLEKLCADSQILVDIFLNYDCDVHSSNIFERMVNGLLKTAQGPPAGVSTTLVPPQDTTMKSEAMKCLVAILRSMGDWMNKQLRIPDPDSPKVESEQNDNDGGHEISHTEDNGDECSEASDSHSEMSNGVSEAASLEQRRAYKMELQEGISLFNRKPRKGIEFLINANKVGESPEEIAAFLKSSSGLNKTMIGDYLGEREDLSLKVMHSYVDSFDFQGMEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSPEDFIRNNRGIDDGKDLPEEFMRSLYERIWKKEIKMKEEEFVPQQQKSTSSNKILGLDNILNIVVRKRDSRMETSDDLIKHMQEQFKEKARMSESVFYPATDVVVLKFMVEVCWAPMLAAFSVPLDQSDDEIVISQCLEGFRSAIHVTAAMSMKTQRDAFVTSLAKFTSLHSAADIKQKNIEAIKAILLIADEDGNYLQEAWEHILTCVSRFENLHLVGEGAPPDATFFALQQPDLDKSKQAKSSILPVLKKKSPNTVPASKRGSYDSAGVGGKASGVDQMNNVVTSLLEQVGMAEMNRVFVRSQKLNSEGIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSENLSIAIFAMDSLRQLAMKFLEREELANYNFQNEFMKPFVVVMRKSRAVEIRELIIRCVSQMVLARVSHVKSGWKSMFMVFATASYDDHKNIVLLAFEIIEKILREYFPYITETESTTFTDCVNCLIAFTNSRFNKDISLNAIGFLRFCAAKLAEGDIGSSSRLKENPPSPRLTKDGKQESAVLVDKDDTIHFWFPLLAGLSELTFDLRPEIRKSALQVLFDTLRNHGHLFSLPLWEKVFDSVLFPIFDYVRHAIDPSSGSPQGQNVESDPSELDQDAWMYETCTLALQLVVDLFVKFYDTVNPLLRKILLLLTSFIKRPHQSLAGIGIAAFVRLMSSAGSVFVDEKWLEVVLSLKEAATETLPDFSYIASGAYLENVPIENGGSSEKTEDESRPLEDGTGEASRSRNLYFAIGDAKCRAAVQLLLIQAVMEIYNMYRARLSSQNTVILFEALHTVATHAHKINSDNDLRSKLQELGSMTQMQDPPLLRLENESYQLCLTILQNICLDRSPNERSVEVESHLVGLCKEVLEVYLSTANPSQLSGAPQPLGHWLIPVGSSKRRELAARAPLVVSTLQAISGLGDSSFEKNLGQFFPLLAGLISCEHGSSEVQVALSDMFSTWVGPDENFAEQPNF >ORUFI03G10660.2 pep chromosome:OR_W1943:3:7303051:7316974:-1 gene:ORUFI03G10660 transcript:ORUFI03G10660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRDDEEESKQRDWNGPVMASRSARSSPKTIAHESLRIDSSAPIASLGVASPFSITRSDPRLVEAFVPFLEKLIKNASWRNKAHSKLSHTAKSILDRLQNPPPPAAAAQAPSTPTSPTTPTSSSSQPGPLRSLSLADSELLLGPINSALGSGSAKLAEAGLELLHRLIAHSYIHGEADPSADPSAQLVASLLDAACNALHLDDEHIELLLLKTLLSAVTSTSVRLHGDCLLRAVRACYDMYLGSRSVVNQATAKASLVQMLVIVFRRMEADSSTVPVQPIVVADVIELPEAASGASPAADANFVQGFISKIIGDIDGAITPLARTTSSAAAGAGGAAAHDGAFETRAAEEGAHPADLLDSTDKDMLDAKYWEINMYKSALEGRKDEIGVEGAVVGALDDDADVRIGNKLRRDAFLVFRALCKLSMKTPPKDAPADPIVMRGKILALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNCASAHMIVFQLSCSIFISLVSRFRPGLKAEIGVFFPMIILRVLENIAQPNYQAKLIVLRFLEKLCADSQILVDIFLNYDCDVHSSNIFERMVNGLLKTAQGPPAGVSTTLVPPQDTTMKSEAMKCLVAILRSMGDWMNKQLRIPDPDSPKVESEQNDNDGGHEISHTEDNGDECSEASDSHSEMSNGVSEAASLEQRRAYKMELQEGISLFNRKPRKGIEFLINANKVGESPEEIAAFLKSSSGLNKTMIGDYLGEREDLSLKVMHSYVDSFDFQGMEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSPEDFIRNNRGIDDGKDLPEEFMRSLYERIWKKEIKMKEEEFVPQQQKSTSSNKILGLDNILNIVVRKRDSRMETSDDLIKHMQEQFKEKARMSESVFYPATDVVVLKFMVEVCWAPMLAAFSVPLDQSDDEIVISQCLEGFRSAIHVTAAMSMKTQRDAFVTSLAKFTSLHSAADIKQKNIEAIKAILLIADEDGNYLQEAWEHILTCVSRFENLHLVGEGAPPDATFFALQQPDLDKSKQAKSSILPVLKKKSPNTVPASKRGSYDSAGVGGKASGVDQMNNVVTSLLEQVGMAEMNRVFVRSQKLNSEGIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSENLSIAIFAMDSLRQLAMKFLEREELANYNFQNEFMKPFVVVMRKSRAVEIRELIIRCVSQMVLARVSHVKSGWKSMFMVFATASYDDHKNIVLLAFEIIEKILREYFPYITETESTTFTDCVNCLIAFTNSRFNKDISLNAIGFLRFCAAKLAEGDIGSSSRLKENPPSPRLTKDGKQESAVLVDKDDTIHFWFPLLAGLSELTFDLRPEIRKSALQVLFDTLRNHGHLFSLPLWEKVFDSVLFPIFDYVRHAIDPSSGSPQGQNVESDPSELDQDAWMYETCTLALQLVVDLFVKFYDTVNPLLRKILLLLTSFIKRPHQSLAGIGIAAFVRLMSSAGSVFVDEKWLEVVLSLKEAATETLPDFSYIASGAYLENVPIENGGSSEKTEDESRPLEDGTGEASRSRNLYFAIGDAKCRAAVQLLLIQAVMEIYNMYRARLSSQNTVILFEALHTVATHAHKINSDNDLRSKLQELGSMTQMQDPPLLRLENESYQLCLTILQNICLDRSPNERSVEVESHLVGLCKEVLEVYLSTANPSQLSGAPQPLGHWLIPVGSSKRRELAARAPLVVSTLQAISGLGDSSFEKNLGQFFPLLAGLISCEHGSSEVQVALSDMFSTWVGPEEPQARQCWGAN >ORUFI03G10660.3 pep chromosome:OR_W1943:3:7303187:7316974:-1 gene:ORUFI03G10660 transcript:ORUFI03G10660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRDDEEESKQRDWNGPVMASRSARSSPKTIAHESLRIDSSAPIASLGVASPFSITRSDPRLVEAFVPFLEKLIKNASWRNKAHSKLSHTAKSILDRLQNPPPPAAAAQAPSTPTSPTTPTSSSSQPGPLRSLSLADSELLLGPINSALGSGSAKLAEAGLELLHRLIAHSYIHGEADPSADPSAQLVASLLDAACNALHLDDEHIELLLLKTLLSAVTSTSVRLHGDCLLRAVRACYDMYLGSRSVVNQATAKASLVQMLVIVFRRMEADSSTVPVQPIVVADVIELPEAASGASPAADANFVQGFISKIIGDIDGAITPLARTTSSAAAGAGGAAAHDGAFETRAAEEGAHPADLLDSTDKDMLDAKYWEINMYKSALEGRKDEIGVEGAVVGALDDDADVRIGNKLRRDAFLVFRALCKLSMKTPPKDAPADPIVMRGKILALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNCASAHMIVFQLSCSIFISLVSRFRPGLKAEIGVFFPMIILRVLENIAQPNYQAKLIVLRFLEKLCADSQILVDIFLNYDCDVHSSNIFERMVNGLLKTAQGPPAGVSTTLVPPQDTTMKSEAMKCLVAILRSMGDWMNKQLRIPDPDSPKVESEQNDNDGGHEISHTEDNGDECSEASDSHSEMSNGVSEAASLEQRRAYKMELQEGISLFNRKPRKGIEFLINANKVGESPEEIAAFLKSSSGLNKTMIGDYLGEREDLSLKVMHSYVDSFDFQGMEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSPEDFIRNNRGIDDGKDLPEEFMRSLYERIWKKEIKMKEEEFVPQQQKSTSSNKILGLDNILNIVVRKRDSRMETSDDLIKHMQEQFKEKARMSESVFYPATDVVVLKFMVEVCWAPMLAAFSVPLDQSDDEIVISQCLEGFRSAIHVTAAMSMKTQRDAFVTSLAKFTSLHSAADIKQKNIEAIKAILLIADEDGNYLQEAWEHILTCVSRFENLHLVGEGAPPDATFFALQQPDLDKSKQAKSSILPVLKKKSPNTVPASKRGSYDSAGVGGKASGVDQMNNVVTSLLEQVGMAEMNRVFVRSQKLNSEGIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSENLSIAIFAMDSLRQLAMKFLEREELANYNFQNEFMKPFVVVMRKSRAVEIRELIIRCVSQMVLARVSHVKSGWKSMFMVFATASYDDHKNIVLLAFEIIEKILREYFPYITETESTTFTDCVNCLIAFTNSRFNKDISLNAIGFLRFCAAKLAEGDIGSSSRLKENPPSPRLTKDGKQESAVLVDKDDTIHFWFPLLADNTNNVSPTFQNLAGLSELTFDLRPEIRKSALQVLFDTLRNHGHLFSLPLWEKVFDSVLFPIFDYVRHAIDPSSGSPQGQNVESDPSELDQDAWMYETCTLALQLVVDLFVKFYDTVNPLLRKILLLLTSFIKRPHQSLAGIGIAAFVRLMSSAGSVFVDEKWLEVVLSLKEAATETLPDFSYIASGAYLENVPIENGGSSEKTEDESRPLEDGTGEASRSRNLYFAIGDAKCRAAVQLLLIQAVMEIYNMYRARLSSQNTVILFEALHTVATHAHKINSDNDLRSKLQELGSMTQMQDPPLLRLENESYQLCLTILQNICLDRSPNERSVEVESHLVGLCKEVLEVYLSTANPSQLSGAPQPLGHWLIPVGSSKRRELAARAPLVVSTLQAISGLGDSSFEKNLGQFFPLLAGLISCEHGSSEVQVALSDMFSTWVGPDENFAEQPNF >ORUFI03G10660.4 pep chromosome:OR_W1943:3:7303187:7316974:-1 gene:ORUFI03G10660 transcript:ORUFI03G10660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRDDEEESKQRDWNGPVMASRSARSSPKTIAHESLRIDSSAPIASLGVASPFSITRSDPRLVEAFVPFLEKLIKNASWRNKAHSKLSHTAKSILDRLQNPPPPAAAAQAPSTPTSPTTPTSSSSQPGPLRSLSLADSELLLGPINSALGSGSAKLAEAGLELLHRLIAHSYIHGEADPSADPSAQLVASLLDAACNALHLDDEHIELLLLKTLLSAVTSTSVRLHGDCLLRAVRACYDMYLGSRSVVNQATAKASLVQMLVIVFRRMEADSSTVPVQPIVVADVIELPEAASGASPAADANFVQGFISKIIGDIDGAITPLARTTSSAAAGAGGAAAHDGAFETRAAEEGAHPADLLDSTDKDMLDAKYWEINMYKSALEGRKDEIGVEGAVVGALDDDADVRIGNKLRRDAFLVFRALCKLSMKTPPKDAPADPIVMRGKILALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNCASAHMIVFQLSCSIFISLVSRFRPGLKAEIGVFFPMIILRVLENIAQPNYQAKLIVLRFLEKLCADSQILVDIFLNYDCDVHSSNIFERMVNGLLKTAQGPPAGVSTTLVPPQDTTMKSEAMKCLVAILRSMGDWMNKQLRIPDPDSPKVESEQNDNDGGHEISHTEDNGDECSEASDSHSEMSNGVSEAASLEQRRAYKMELQEGISLFNRKPRKGIEFLINANKEVLEVYLSTANPSQLSGAPQPLGHWLIPVGSSKRRELAARAPLVVSTLQAISGLGDSSFEKNLGQFFPLLAGLISCEHGSSEVQVALSDMFSTWVGPDENFAEQPNF >ORUFI03G10670.1 pep chromosome:OR_W1943:3:7317029:7318656:-1 gene:ORUFI03G10670 transcript:ORUFI03G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGASSALGGGGSGGGGGGPSGGGGGGGGPCGACKFLRRKCVSGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLQIPAHKRPDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVNLQAELTYLQAHLATLELPSPPPLMPAPPQMPMPAPFSISDLPSSTSVPTTVDLSALFDPPPQPQWASPLQQQQHHHHQHHHHQQQQHQLRQPSYATLARAPSGMTAAAESSGGGGGGGGGDLQALARELLDRHRSAVKLEQPPPPHSRS >ORUFI03G10680.1 pep chromosome:OR_W1943:3:7322528:7329805:-1 gene:ORUFI03G10680 transcript:ORUFI03G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQAAAAAFLSFLSSSPPHHTAPSSSVSFLAARVLPASLRAAAAGSPTSASRSRGRGVAAVVAQLPTANPEVASGEKKIRWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSDAAKLLRANGITLLKVREEAANVLGKSEMFYFSPMHPPLTEAAQRALDWAINEKLKSDKESAGHKVLASLGFDDERANSLAKTAGEEAAMSPNPDLFGSCDQ >ORUFI03G10680.2 pep chromosome:OR_W1943:3:7324207:7329805:-1 gene:ORUFI03G10680 transcript:ORUFI03G10680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQAAAAAFLSFLSSSPPHHTAPSSSVSFLAARVLPASLRAAAAGSPTSASRSRGRGVAAVVAQLPTANPEVASGEKKIRWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSDAAKLLRANGITLLKVREEAANVLGKSEMFYFSPMHPPLTEAAQRALDWAINEKLKSDKESAGHKVLASLGFDDERANSLAKTAGEEAAMSPR >ORUFI03G10680.3 pep chromosome:OR_W1943:3:7323060:7329805:-1 gene:ORUFI03G10680 transcript:ORUFI03G10680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQAAAAAFLSFLSSSPPHHTAPSSSVSFLAARVLPASLRAAAAGSPTSASRSRGRGVAAVVAQLPTANPEVASGEKKIRWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSDAAKLLRANGITLLKVREEAANVLGKSEMFYFSPMHPPLTEAAQRALDWAINEKLKSDKESAGHKVLASLGFDDERANSLAKTL >ORUFI03G10690.1 pep chromosome:OR_W1943:3:7332468:7334417:1 gene:ORUFI03G10690 transcript:ORUFI03G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTSSHSPTVSAMNPLLPSSSFPKFPHPPDPNLSSPNPSPCSYLLHADADDEALIQIPCPNPSSGAASSSSVVLPPIDPAPHISSQFYTFTAESHALMLRCVLAGRPAAADEVRAATPPSVLASWRAVWKDRNEDTAYLTAWKRIQDKLGASADGRSLHFKSNPGQRVSHVGQWRDIVSEAHADPDLLRHLGLKDTVDRIKQSWTVGAKFYGIPESFVRVCVSACAVCKAAPAGQPDFAMSSPGRGKRRRRFEYTETLDVPARDVPRRLQQLAAKHKVVLCIRQKYIRHKPFMAEVKDYACHRAGVPTSSGVNATSSSGSVPDGKKTRVLKREPYQSKRCGCGFRIRAIVPIANYNEKDKSFVYEEEGTAVFKLYAVHSGHEPGPLDGNARIVHRLVGHKGALEFDPDIYGVSEEGDPNFTIKGDFDVETDDSHLAVLQQIRDLKTEVGLLEGKVGKMHPELLGSLCNELSECLHRIRKFNFDGNVCQPEETLMIGNEEVPGWGPADVSHHLDQHDGAFCRDDEMLDDDDTDFGSSLGPIVSWDGMTAECEDRKMLMSDSPKCDKWMLKEDVGDFVEKSILNCGDDDGVEDSKIIKPLMHDETMVADPGLVGIHVEGFYSGAKWYDSPVGLDSSGDADVSFRHGGLV >ORUFI03G10700.1 pep chromosome:OR_W1943:3:7338827:7339255:1 gene:ORUFI03G10700 transcript:ORUFI03G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITAKSGVIAVSMVLVMCAASATAGDNDMLPAAFDILQQPAKEAANLGHGCYTRCFAGCFAAGFDGDYCSDFCSKECGDDVRKFLSRLSPENSAIVGDICNIPRCISSCVEAKIDPPYCKIWCEDMCGDDVRKNQIGLSP >ORUFI03G10710.1 pep chromosome:OR_W1943:3:7340257:7340954:1 gene:ORUFI03G10710 transcript:ORUFI03G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRSMKCATKSTYLLVVYTGDLLAIGSGTSIFSLGGGAYVVLAELLMTNVHKWRHQLAIWILSLDSGGEWRKGPECRVGDIWASEKYVVMGVPRISPPPVCPVLRTVNDNVVCVIVNDADETVYGSVDVIAQHFLAIDVERKDRGGCSAVRRYQASRLHPDGGVEPVRLYPNHMACEFSEYMEH >ORUFI03G10720.1 pep chromosome:OR_W1943:3:7342706:7345348:1 gene:ORUFI03G10720 transcript:ORUFI03G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAWVLLDRVVKPAVFNEEESKGKGESTGAPVKYLPARLRQEVPAGMRDVKPYPEVADPPIISRFSMLISRKAIRVVKSVRVRCADKSLVLFYAGTGFPGFSSHGCHLIYDAIDGSLTAVHTFPFPVSGVVWVGRAAVLRHAGGGGGGGDGTTASYVIAELLRPFHGSLPDATLVMLIDMEGFTNEYLAVDEVKLTIWTLSDNLSEWEEGPVCSVGDIWASEEFVAMGLPRLRPMCPVLSMVDEDVVCVVMTEVEIEESDVTDFDDEGNKLKFKAQYVLDIDVRRKRVLSITQRHIESMGNLIPDRIACEFTAYSELSKDMQAMVEGNEGEESTKRMKVK >ORUFI03G10730.1 pep chromosome:OR_W1943:3:7350877:7357903:-1 gene:ORUFI03G10730 transcript:ORUFI03G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRRSRSHGTDQGGAGGLELHVRATLSAGSRCALSPPVVVASLAPMSAPPSLVPSAAVAKKDLEQKLSFMGGQVPPAPTPGSHEAAVAAAELASTLEDGFVDPLMSRCCPMDKEMYTRKYLTNMACFPIKQAPKHGMKLVTLTGGGDVNKDGQAVPCRSDAKNLTQTIQLEFFWQFFVKLNQEEAEAAFAMHLDDFLPSLLEIIALKIARAEFIPPIHFDILTLNFGS >ORUFI03G10740.1 pep chromosome:OR_W1943:3:7362744:7365413:1 gene:ORUFI03G10740 transcript:ORUFI03G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAWVLLDRFVDMFPLDGDEESEDSGGEESIPAGMWSVKPNPQVADPPGISSFPLLISRKAMNQVRHAMVVCASKNLVVFLTGEYYPINYRPGCYLIYDAIHDSLTPVPHVPGPDSLLAIGSGTSVISFGGSGSNAFVLAELLMTDVRKFPEEAALFTWWSTAVVTPSGYTAADWMKEKVTLPPEVRTPTYFFVSDMAFSFGESCLCWVDLLMGILICDLVPSRDQPFLSKKEKDPPRFRSIPLPEECSIDISNRHRPIMSVFRSVSYAGGAIKFLTMEGYDEDWPAEEMKLTTWKLSPDLSEWKKGPVCALRDIWASDKYIAMGVPQLCPICPVLSVLDDDVVWVVMNDADLADHVEGVHSELKIKTQYFLSIDMRHKQVLSITQFHPQTLIDPVPNLMACEFGAYLERSKVRQIMIEGNDAEENTKRKKLK >ORUFI03G10740.2 pep chromosome:OR_W1943:3:7359026:7364285:1 gene:ORUFI03G10740 transcript:ORUFI03G10740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAWVLLDRFVDMFPLDGDEESEDSGGEESIPAGMWSVKPNPQVADPPGISSFPLLISRKAMNQVRHAMVVCASKNLVVFLTGEYYPINYRPGCYLIYDAIHDSLTPVPHVPGPDSLLAIGSGTSVISFGGSGSNAFVLAELLMTDVRKFPEEAALFTWWSTAVVTPSGYTAADWMKEKVTLPPEVRTPTYFFVSDMAFSFGESCLCWVDLLMGILICDLVPSRDQPFLSKKEKDPPRFRSIPLPEECSIDISNRHRPIMSVFRSVSYAGGAIKFLTMEGYDEDWPAEEMKLTTWKLSPDLSEWKKGPVCALRDIWASDKYIAMGVPQLCPICPVLSVLDDDVVWVVMNDADLADHVEGVHSELKIKTQYFLSIDMRHKQVLSITQFHPQTLIDPVPNLMACEFGAYLERSKVRQVVYDTQHAYYYIYFHFKCTILLTQLHVPQFFNFWCLFLVPSPLYLMSKD >ORUFI03G10750.1 pep chromosome:OR_W1943:3:7368108:7374256:-1 gene:ORUFI03G10750 transcript:ORUFI03G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSSLEPAATLEKKDLRQKLIVDDQVPPAPTSAAASHEAAVAATELASTSKDGLVDLSLSRCFLMDKEKEHTLTTLEVSGDIDLSCLLNKGTWRYLGNMAFLQIKKSPEQGESEVTDTDSKLKRKEISGAKSSCVSSTRTAEDADKDGQPVPCMNDAKSLTQLLVKVCRNTVSVQEDLNVITVKQFVKAACQKIGVHAYDFYAVYGGKPLKDDKLMSCYPIYRGSTVCLRQRLRAGSPQVILFKSYTFDEMIENRAGLFHVVHLSQHSTNSMTQVGTVTYLSDYSQYIIHEVLIYICGKHRKGWSFGGNFESTDILFCSGRVKIAKRVHRVNFNKDTCGMDYMKLYEIFVEAFAVGMKDNQQVFPMFLPHLLEYLFACPAGENSNHELAITFLTNHPALASYMDRIKQCILLDSMVDSLDPVDLLSLKQLLNWVWK >ORUFI03G10760.1 pep chromosome:OR_W1943:3:7374909:7377716:1 gene:ORUFI03G10760 transcript:ORUFI03G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTWVLLDRFVKPTIFDEEESKGKGESTGAPVKYLPARLRQEVPAGMRDVKPYPEVADPPIVSRFSMLISRKAIRVVESIHVECADKSLVLFYAGTGFPGFSHGCHLIYDAIDGSLTAVHTFPFPVSGVVWVGTAAVLRHAGGGGGGDGTTAYVIAELLRPFHGSLPDATLVMWLSNSXQLRPMCPVLSMIDEDVVCVVMTEVEIEESDVTDFDDEGNKLKFKAQYVLDIDVRRKRVLSITQHHIESMGDLIPDLIACEFTAYSELSKGMQAMVEGNEGEESTKRMKVK >ORUFI03G10770.1 pep chromosome:OR_W1943:3:7378523:7380853:1 gene:ORUFI03G10770 transcript:ORUFI03G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRGKFGIIMVAMILVVRIIAFASASDNDELPALFNILQQKPRKAAQVGSDCFDECWRDCILGTPFTSLCDQLCYQKCLLLCASGPRQTSLCLPMVTVKLWNANHKSRSPSTSNSGLILSQANRIEVRVGYQDDNGVKASHICFVNLLPSVAPPLNEASIVRGEVKGLSNGVELGFGAVDKDVVDGFFLLVTEDVGGRV >ORUFI03G10780.1 pep chromosome:OR_W1943:3:7382027:7383346:1 gene:ORUFI03G10780 transcript:ORUFI03G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEAPDLPAPPRIEVENCFSLSENTGSMVDMINVAARCPSESEKKAFQKTRSSDWTVTMRANSGLIFAVMILIMCGASAASSDNDELVAPFDTSNGLRTL >ORUFI03G10790.1 pep chromosome:OR_W1943:3:7395677:7399174:1 gene:ORUFI03G10790 transcript:ORUFI03G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYHPSEVYELFVRHMNTPRVVVDSGVCATATLVQVHSARKHGMLLEAVAALSEHGVCVRKGYISSDDGRWFMDVFHVTDAAGRKVADADALLARLESSLSAEALPRAAAGGPAAEGLTLLELVGADRPGLLSEVFAVLHDLRCNTVEARAWTHGGRVAALVFVRDEETGAPIDDAARVRRIESRLRHVLRGGARCARTVLADPSAAGNLDRRLHQLLNEDGEADSRGAAPMTAVAVQDWGERGYSVVTVGCRDRPKLLFDVVCTLTDLDYVVYHGTFDTDGDHAQQEFYIRRSDGRPISSEAERQHVIRCLQAAIERRASEGVRLELRISDRRGLLAYVTRVFRENGLSVTHAEITTRDDMAMNVFHVTDVAGRPADPKTIDEVIQRIGTESLRVDEERWPRLCSAEGDAAGRGGGGGLFSLGSLVKKNLFSLGLIRSCS >ORUFI03G10800.1 pep chromosome:OR_W1943:3:7401407:7408611:-1 gene:ORUFI03G10800 transcript:ORUFI03G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNILFMVCCIGFFFLIVDWNALGHLKCGVEALESGEKPCDLMQIVKHNPLVPFTFPKMITIGSMVILTTYGLINFLKFFVQLRSTLNIRDFYCNSLKITDLEIQTISWPKIIEKVVLLQKSQKLCVVRDLSEHDIIMRIMRKENYLIGMVNKGIISFPIRPWVPGAGPTVKSHLQNRRNHLILPKALEWTLNWCIFQSMFDSKFCVRKDFLTSPSVLKKRLVFVGISMLILSPCLVIFPLVYLILRHAEEIYNHPSTASSRRWSNLSRWIFREYNEVDHFFRHRMNNSAVHSLNYLKQFPTPLISIMAKFISFVSGGLAGALIIIGFLGESVLEGHIFGRNLFWYTIVFGTIAAISRKVVADELQVIDPEGAMCNVVQQTHYMPKRWRGKEDSEVVRREFETLFQFTIVMLLEEMVSIFISPYLLIFEVPKRVDDILRFISDFTIYVDGVGDVCSLSLFDFRRHGNRNYASPFDALKTLRSSQGKMEKSFLSFQSVYPSWEPNAEGKQFLTNLQKFKEKQIRQQALAQYQAMEASGFVASTRGHRDDIFHQLLPSDIHNRAEAVSPAVYNLGPLGLLDTDQRSHPYILDWYYVCHPPHLDRTEAPYFNEVFPETSENTGSAAFKASEIEEARGWDSDTVPPPRADRDEWNFNHERVRSHMDASTSSNLFHHAPVEHRDTKGNIIDWWDQAPEHSTGQQGSFLEPPEFGNRYVAGNRSSYHSGDVSDGSVEELERSYNRSSSSWRRPQDLSTTRYMDDSDIEEGLNLPFADLPQKDEDARHGTSDTNDPTPVGLPVRIIPRSSDPV >ORUFI03G10810.1 pep chromosome:OR_W1943:3:7409677:7410591:-1 gene:ORUFI03G10810 transcript:ORUFI03G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKQAPENESPPPPPHCWIYPECTLVTRSCCLLLRLKLLRHGHPLHLGSYGGRGQPGSREAVGKDVDQCGLLELLDALLMVMEGHDHEASRSRAFIGGDAMVSNLIPGTCEVSSIMASCEASGIMAGYEVSGIMVGCEVWLTTRYQVHALFPLLLSPRLDPCSLLLLFSLPLGSILVPYYCRNRCHSLYRKHGSRRGGSDTKDLGGRGQWCSGLLRQCSAHEVSRARLRRIWLRKATRNHVDNR >ORUFI03G10820.1 pep chromosome:OR_W1943:3:7413321:7424432:-1 gene:ORUFI03G10820 transcript:ORUFI03G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLYAPCVILLVSSLYLLRLFSDARRNLPPGPRPLPLVGNLLELGAKPHRSLARLAERHGPLMTLRLGAVTTIVASSPDAARDILQRHDAAFSTRPVPDIVRACGHDRFAMPWLPPSSPQWRALRKVCSAELFAPRRLDAQQRLRREKARRLVSHVARMAREGAAVDVRRVVFTTLLNMLSCTLFSADLADLDEGRAGSAGELADTVAEFAGTVGVPNVVDYFPAVAAFDPQRLRRRLSRVFTRLFAEFDEQIERRMRERDAGEPPKNDFLDVLLDYRTTEDGRQFDRQTLRSRFTDLFSAGSDTSAVTVEWAMAQLLQSPSSMMKAREELTRVIGSKPEIDESDIDSLEYLQAVVKETFRLHPPAPLLLSHRAETDTEIGGYTVPKGATVMVNIWAIGRDSKVWFEPDKFIPERFLQKEVDFRGRDFELIPFGSGRRICPGLPLAVRMVHLMLASLLHRFEWRLLPEVERNGVNMEEKFGILLLLCTPCVILLLSSLYLLRLFVDARRNLPPGPRPQPLIGNILDLGSQPHRSLARLAGRYGPLMTLRLGTVTTVVASSPGAARDILQRHDAAFSARSVPDAARACGHDGFSMGMLPPSSALWRALRRVCAAELFAPRSLDAHQRLRRDKVRQLVSHVARLARDGAAVDVGRAAFTASLNLLSSTIFSADLADFGDARAESSVGDLRDLISEFTIVVGVPNVSDFFPAVAPLDPQRLRRRVARVFERLQAVFDGHIERRLRDRAAGEPPKNDFLDALLDYRSPEDGRGFDRPTLQFLFTDLFSAGSDTSAVTVEWAMAQLLQNPPAMAKAREELARVIGSKQEIEESDISQLKYLEAVVKETLRLHPPAPFLLPHQAETTTQVGGYTVPKGTRVLVNVWAIGRDSKVWSDPDKFMPERFLQSEVDLRGRDFELIPFGSGRRICPGLPLAVRMVYLMLASLLHRFEWRLLPEVEKNGVDMAEKFGMILELATPLRAVAIPV >ORUFI03G10830.1 pep chromosome:OR_W1943:3:7424942:7425572:1 gene:ORUFI03G10830 transcript:ORUFI03G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDRSRRLQVAASHADSRLPLACRVPIPVVGHPVVVRKEKQPTAVLPAQEGEGEEPGAVAGAAKLRLPCLLTTSLSLSGVGRLTYIVAFDSLPLRRWPLRHHLSAANGEPWSVDRDYGVERLWREGEEEGKIWMEEKNI >ORUFI03G10840.1 pep chromosome:OR_W1943:3:7426192:7426665:-1 gene:ORUFI03G10840 transcript:ORUFI03G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSTVELIALWASSSSPSLLAFCVSHLIIALLLLAGSGAGAAPEISSRADGDRSLEADAVVQGREMNPGGHKGPVAGVNGRAEEWLVRAGDGDNVETLASENGRSAAREEEPAATDASQEKHGDDVELEDELMLRAEEFIRRMNRVWMAENLRVLC >ORUFI03G10850.1 pep chromosome:OR_W1943:3:7429250:7433564:-1 gene:ORUFI03G10850 transcript:ORUFI03G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIQSVKARQIFDSRGNPTVEVDICCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVLKAVDNVNSIIGPALIGKDPTEQTVIDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLALCKAGAIIKKIPLYQHIANLAGNKQLVLPVPAFNEFMILPTGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYTEDQTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWVHYAKMTEEIGDQVQIVGDDLLVTNPTRVAKAIKDKACNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKIEEELGAAAVYAGAKFRAPVEPY >ORUFI03G10850.2 pep chromosome:OR_W1943:3:7429250:7433564:-1 gene:ORUFI03G10850 transcript:ORUFI03G10850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIQSVKARQIFDSRGNPTVEVDICCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVLKAVDNVNSIIGPALIGKDPTEQTVIDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLALCKAGAIIKKIPLYQHIANLAGNKQLVLPVPAFNEFMILPTGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYTEDQTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWVHYAKMTEEIGDQVQIVGDDLLVTNPTRVAKAIKDKACNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKYNQARICSTLFCYTSVLLLLRIEEELGAAAVYAGAKFRAPVEPY >ORUFI03G10860.1 pep chromosome:OR_W1943:3:7452661:7454533:1 gene:ORUFI03G10860 transcript:ORUFI03G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPSPSSPFPAAAVSDARRAGGGRTTASEVDEKYAHVATPLHNHRGGSGGAKKTPRRAKSEGGGGADPAAYVAAVSCSDCRFKQRLHAPASPGPGAVIRSLFVSLTRRSTPRSSPSPTSASGGDGGESEQWRLAAADLSRRLAAATRTRDEALEETTRLKHSLTELEMKLARLEARVLPTPTAAAFPVESFLRAVSTARAAVRSLTRALSNHLRSPVNPGPNLESFLNRAFHADFELDTEGDVHTADPAGRCEANLAAYHSIAALTWEEVLLHGTKHYSEGLSRFCDAKMSEVVSSLGWARARAWPEPLLQAFFLAAKGVWGVRLLARSVHPPLPVVRVDRGARFDSRFMEDAAAGRAGKLEPASVKMMVAPGFHVYVACAGVVKCKVVCFYSSSSSSRTGGHRDGGSIANGSVGLGSSCSDVNGSATDAVDGCNNQSSSVVT >ORUFI03G10870.1 pep chromosome:OR_W1943:3:7455646:7459651:1 gene:ORUFI03G10870 transcript:ORUFI03G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMRSTTFLRLGFRQVSSLLFHGPSSPAPTLGLALGVGRGALVRLRCSAAEAGDDGGRKVSARLALTQQVLRDAEERAASAGSDPAPKITLDHVTLNFARSGGAGGQNVNKVNTKVDMRFNVKEAQWLGERIKERILQTEKNRINKDGELVISSTKTRTQKGNIEDALQKIQAIIDAASYVPPPPSEEQKKKVEKIAAAAERKRLQKKKVLSQKKEFRRNRTSWD >ORUFI03G10880.1 pep chromosome:OR_W1943:3:7462382:7465527:1 gene:ORUFI03G10880 transcript:ORUFI03G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLQRFTDIAGDGGPRLDAASGEELLRMDRAASVALGRRAPEPPGTLFVTTRRVIWLSETEKGQGYAVDFLAITLHAVSRDLEAYPSPCIYTQIDAEDGSDEEAGGSDFEANGDLQLAKVSEMRIILSDPGQLDALFDVFCHCAELNPDPNAVRNEENGWSGGENMAEGGWIHGDEDMIDGNDLEAHMFFTNLIGQNGVHDLGRSVRELQIDDQRFEDAEEEDEIQENGH >ORUFI03G10890.1 pep chromosome:OR_W1943:3:7472287:7480427:1 gene:ORUFI03G10890 transcript:ORUFI03G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSNGEALDLYRCLGSARHQWRNSTKTKGFFHNGLKIWEPGDAVVNSDVVKSATTRILTYG >ORUFI03G10890.2 pep chromosome:OR_W1943:3:7467809:7472504:1 gene:ORUFI03G10890 transcript:ORUFI03G10890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDREMAPQLTGAPGTAGAAGGAASVKPQFHHFHHHRLATRHHHPSPTSLLSKLAFWSVCSLSLLLAFLLLSPSAAPAPRAAPDSPRRSLHTSSPSAAATWGGAAWEKKVRASARVRRANGRGLTVLVTGAAGFVGCHAAAALRRRGDGVLGLDNFNDYYDPALKRGRAALLARSGVYVVDGDIADAELLAKLFDVVPFTHVLHLAAQAGVRHALVDPMSYVRANVGGFVALLEAARMANPQPAIVWASSSSVYGLNSHVPFSEHDRTDRPASLYAATKKAGEEIAHAYNHIYGLSLTALRFFTVYGPWGRPDMAYFFFTRDILAGRPITVYESAAGRSTGSGGKKRGPAPFRTYNLGNTSPVPVTQLVDLLEKLLKVKAVRKIVKMPRNGDVPYTHANISLAQRELGYRPSTDLQTGVKKFVRWYLEYYMPGFAGKQKQHGSSNSKSSRGRSGNTSSAR >ORUFI03G10890.3 pep chromosome:OR_W1943:3:7465903:7468016:1 gene:ORUFI03G10890 transcript:ORUFI03G10890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYGGMKGGKLGVEEAHELQLNRIRITLSSKNVKNLEKVCADLVKGAKDKQLRVKGPVRIPTKVLHITTRKSPCGEGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGVEVEVTIADV >ORUFI03G10900.1 pep chromosome:OR_W1943:3:7478054:7482787:-1 gene:ORUFI03G10900 transcript:ORUFI03G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLVACLPWVCFILLSLYVFQLFADARRRLPPGPWPPKPLIGDLLALGKGDQQHRSLARLADRYGPVMSLRLGTVLTVVVSTPDAMREIFHKNKDNLAGRPTADAFNAMGHSANSLLGLEHPGVRWRAIRRFSTAELLAPRRLAALQPLCRDKVRGLVRGVSELAARGEPVHVRRVALDMALSLMLSAIYSVDLDPESTAVFRSVVEEAMLLIGTANLSDLFPAIAALDLQGVRRRVAELFTITYRQYDEQVARRRPERDAGEAGKNDLLNVVLDMEREWQQKGSVLSHDAMRVLFTDLYGAGASTTSVLIEWAIADLLQNPESMRKIKEEITNVIGTNAQIQEFDIARLPYLQAVVKETLRLRAVAPLVPRRAEATIEVQGFTIPKGTNVILNLWAINRDARAWNDPDKFMPERFIGNDINYLGQNFQFVPFGVGRRICLGLPLAQKVMYLVLGTLVHQFEWTLPEELKETGIDMTEKCGMVLCLANPLKVMAKKM >ORUFI03G10910.1 pep chromosome:OR_W1943:3:7488380:7488772:-1 gene:ORUFI03G10910 transcript:ORUFI03G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQRGETSSVVEAFTLSPLPYPVILILLMVMLLLGVSWFFTYEDFMEEAAEQLSWALLLVPVALVLLIRWISSVDTFDGYFSFYPTERRWNRYDPGPAEGSSPWGVAMVVLLLLVLASFHSTFQDMWKP >ORUFI03G10920.1 pep chromosome:OR_W1943:3:7492812:7495584:1 gene:ORUFI03G10920 transcript:ORUFI03G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDAAAAASAGAAAVQRRPKVVEEDDDEDDFVPLSHARGRKKASGAAAPKYKEEEEEDEDDDEEDNVPLAVSRAKKAGNAGASKAKKDEDDSGDDDDDYHVPLSRSNKGKEKQKSTVKAKVKKEETDSDDERKPKAQKKSSAVTKTSKVKKIKDEDLEIDTKKIKKGAATRKGDAEKVKKEKKVYDLPGQKHDPPQERDPLRIFYESLYEQVPTSEMAAIWLMEWGLLPVDVAQKVFEKKQGQKLKSPVKTTSAKRRPDTPTKKPQLSSATKTNSAVKDSGKTTAQKKRRASSDTDDDDDDFIVSKTKTKMQKMNS >ORUFI03G10930.1 pep chromosome:OR_W1943:3:7495330:7499188:-1 gene:ORUFI03G10930 transcript:ORUFI03G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRSWFGRRRRRKARGEGGGGGGEEGEGEKVVVDGSEIRELVEDREAFGMFAESKFRELDADGDGRLSVRELQPAVEGIGAALGLPARGSSPNADHIYSEAISELTHGKKEEVSRTEFQEVLSDILLGMAAGLKRDPIVILRIDGEDLRDFVDSPRYEPEAAAIFSKIGSEDMSLRQCLLAALQLLNVDNGMPPASDPWVAENIIEPALQKLPAGQLEQPASQDIFLEQLKKLLSNIAERLQEQPVIVAHTENTFDGSCVKRLLDNKFELDKLLDSVWKDVLIEHKNKGSRECLIAALDKMADAAGLPPYGAVNQVDAVVNEALKTVNADERTAVDEAGFKKLLTDILRAVMMQLNRQPIFVSNSTVVHEPLFSSSAILSSPPVKSSPRKPLSFQN >ORUFI03G10940.1 pep chromosome:OR_W1943:3:7506935:7511920:-1 gene:ORUFI03G10940 transcript:ORUFI03G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIHRQSLQHNHTEGEEKPKPDDDSTVASGGKDVGEDDGRIPLPGPPLFYNVSLIMSRRSRCVLLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALMTVMERFGDFTISWLPFYSEAKLMFFIYLWYPKTKGTTYIYGTFFRPYISQHENEIDRNLLELRARATDVVVLYFQKAAIVGQNTFFDVLKYVASQSPSQRSSQQPSQEPQQPKQQQAPVQQQPTQKQAPTVLRRSASIAARQAAMAQQSQDAKTVPSSPKIKRQASTKAAPVASTKLTGAAAPSTPKSDADAPKKNEAAPASLQVATPATKADVPASEPSAPLPEAEEADKMAIDEADDAVEGTEEGDPVPGETVEERPMEETIRVTRSKLRRRTASEDPAGN >ORUFI03G10950.1 pep chromosome:OR_W1943:3:7515498:7516399:-1 gene:ORUFI03G10950 transcript:ORUFI03G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMASAAFVLVAIVLPISPFAHAQRPTQSPIVAPTMPPTPPPTQPPSPGPMAPMPSPPPPTQPPSPGPMPPMPPPPAPMPSPPPMQPPTHAADATTTSADAVATAANTATVPRTHAADATTSGTDAVTASANTTAVPRTDAANATTSGADAVTASAKPTTATRPLPTHQNKCTKLSLFDLVLNPSKARQQCCPPLEDLSSSGATDCLCRALKGPIGVLPPPIRVILGLCGKTVELNLFCH >ORUFI03G10960.1 pep chromosome:OR_W1943:3:7523582:7523968:-1 gene:ORUFI03G10960 transcript:ORUFI03G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLSIASFFLATALLLLAFASGQTTNAPPPPPPPNTQCPGGVISDLANYTRCITAILFGRPNPEQFCCPAISELPNNVAARCVCAALRATGLSIGITASNNVTGSILKICNKAPLDLLTVNCSRA >ORUFI03G10970.1 pep chromosome:OR_W1943:3:7535974:7536420:-1 gene:ORUFI03G10970 transcript:ORUFI03G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRAMNSALFFASVILVLASAVNGGLVLHGHSPCLATAPTPTETPAPAPALVVPPTPAPTPVPTPSPAPPPKCPLPLADLGVCLNVALGNQLLNQQCCSQLSSLPSDTAAFCLCEAIKVKALVNLKVNVPNILKACGKVSAVTCVN >ORUFI03G10980.1 pep chromosome:OR_W1943:3:7541567:7542519:-1 gene:ORUFI03G10980 transcript:ORUFI03G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQAMNSALFSALVVVVLASAVNGQLNPCQAPAPTPTGTPAPAPAPVVTPTPAPTPVPTPSPAPTPSPTVAPVNPPSPTPPPKCPLALINLNACISVGLGNPLLNQACCSQLSSLPSDTAAVCLCEAIKVNALVNLKVKIPDILKFDQV >ORUFI03G10990.1 pep chromosome:OR_W1943:3:7542086:7542571:1 gene:ORUFI03G10990 transcript:ORUFI03G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGILTFRLTRALTLMASQRQTAAVSLGRLESCEQHAWFKSGLPRPTLMHALRLINASGHLGGGVGDGGLTGATVGEGVGAGDGVGTGVGAGVGVTTGAGAGAGVPVGVGAGAWHGFSCPFTAEAKTTTTNAENKAEFIACLLAIVAYVCVCLMLLGYVAL >ORUFI03G11000.1 pep chromosome:OR_W1943:3:7553230:7557694:-1 gene:ORUFI03G11000 transcript:ORUFI03G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTGAGGGGGGVTGEVAGSGGGGEEVRRPASPPNDDDEERAAACLPASFLFVCSRCGSCGGRRRSGCRPHSSSAPHQVAAECVVARHIRTGEPVDNEDGRLEYRGDNWRALWPGSGKPGLWMSAMSRLAAVYRLFTTDEEIHELTGERSVVKTKDAELELVIPPVFVRCNKLNCSKKARTPVESGDGHFVQALGSGHSTHSYKSTVIKVTMDNQQLPYAGQPAAAGAGAPVPGVPGAGGPPAVPHHHLLQQQQAQLQAFWAYQRQEAERASASDFKNHQLPLARIKKIMKADEDVRMISAEAPVLFAKACELFILELTIRSWLHAEENKRRTLQRNDVAAAIARTDVFDFLVDIVPREEAKEEPGSALGFAAGGPAGAVGAAGPAAGLPYYYPPMGQPAPMMPAWHVPAWDPAWQQGAAPDVDQGAAGSFSEEGQQGFAGHGGAAASFPPAPPSSE >ORUFI03G11000.2 pep chromosome:OR_W1943:3:7553230:7554252:-1 gene:ORUFI03G11000 transcript:ORUFI03G11000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSNEAPEHSTHSYKSTVIKVTMDNQQLPYAGQPAAAGAGAPVPGVPGAGGPPAVPHHHLLQQQQAQLQAFWAYQRQEAERASASDFKNHQLPLARIKKIMKADEDVRMISAEAPVLFAKACELFILELTIRSWLHAEENKRRTLQRNDVAAAIARTDVFDFLVDIVPREEAKEEPGSALGFAAGGPAGAVGAAGPAAGLPYYYPPMGQPAPMMPAWHVPAWDPAWQQGAAPDVDQGAAGSFSEEGQQGFAGHGGAAASFPPAPPSSE >ORUFI03G11010.1 pep chromosome:OR_W1943:3:7558638:7566405:1 gene:ORUFI03G11010 transcript:ORUFI03G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGCCPPMDLMRSEAMQLVQVIIPTESAHLTVSYLGELGLLQLKDLNADKSPFQRTYAAQIKRCGEMARKLRFFKEQMSKAGISTSAQLTEISLDFDDLEIKLGELEAELAEVNANNEKLKRTYNELLEYSTVLQKAGEFFYSAQRSAAAQQREMEANQSGESSLESPLLEQDTLTDASKQVKLGSLSGLVPKEKAMAFERILFRATRGNIFLRQESVDEPVTDPVSGEKVAKNAFVIFYSGDRAKAKILKICDAFNANRYPFPEDVARQLHAVQEVSAKISELKATIDMGLAHRDNILKNIASEFENWNRLANKEKIIYHTLNMLSVDVTKKCLVGEGWSPVFATTQIQDALQRATLDSKSQVGSIFQVLNTTESPPTYFQTNKFTSAFQEIVDAYGIAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICILVSTLYLIIREKKFASQKLGDIMEMMFGGRYVIIMMALFSIYTGLIYNEFFSVPFELFGKSAYACRDPSCGDAATEGLLKVRRTYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGIVISYFNAKFFRNSVNVWYQFIPQLIFLNSLFGYLSMLIIIKWSTGAKADLYHTMIYMFLSPTDELGENQLFPGQKTVQLVLLLLALVSVPWMLIPKPFFLKMEHERRHQGQQYAMLQSTDDSVVAEMGHHNGSNHHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAFGYNNILIRIAGITIFICATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFALISEEED >ORUFI03G11020.1 pep chromosome:OR_W1943:3:7566211:7568992:-1 gene:ORUFI03G11020 transcript:ORUFI03G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRDSVEPILDDYRPAGISSLKFSKLSLGTVPPKIEGIRIQSFKKGQITMDVDFRWGGDPNIVLAVDTLVASLPIQFKNLQVYTIIRAVFQLCDEIPCISAVVVALLAEPKPRIDYILKAVGGSLTAMPGLSDMIDDTVASLIADMLQWPHRIVVPLGGVDVDVSDLELKPHGKLTVTVVRAESLKNKELIGKSDPYVVLYIRPMFKEKTSVIDDNLNPEWNETFSLIAEDKETQHLILQVFDEDKLKQDKRLGIAKLPLNDLEMESVQEINLQLLSSLDTTKVKDKKDRGVLTIKVSSCPHGGASWVLGTRDAKVFDADRRDNTQVLYHPFTKAEALEALELEKKTVEERRKTKEETAAVTGAADAASGVTSTVTPAAGAGVAAGAAAPGAGATAAGSGVGLVGTGIGAVGSGIGAFGTGLSKAGKFVGRTVTGPFSSARRSASSVPTIDE >ORUFI03G11030.1 pep chromosome:OR_W1943:3:7574001:7578101:1 gene:ORUFI03G11030 transcript:ORUFI03G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRLLAAAASPPPAAEEKAVVDGLRGSHGARRHAAGSAVGAGVAAVVALVLVALGLGLFVWLRRGRKRGAGAGGGAAAGMGVGVGVMDAAGSLQGEREFHNELSLASHLLGCGHGHGSPSILLPFAYSLSAQPRRRRMMLVYELMPNGSLQDALLGKRCPELVSEWPRRLAVARDVAAALHYLHSVAQPPVIHGDVKPSNVLLDGELRARLSDFGLAQIKSEEGDELESAAIEGNGNESSNPCGGCDDDMSVADENATAVAVNGEDNAAKSPEDDEGFTMASPAEAASTSGCDKTSVGSGLNGRSCNGGGAAASGAGNDWWWRQDNGGGSGGVKDYVMEWIRSEIKKERPKNDWIAGASATTPATSTERKKTKRRAREWWREEYADELTKKQKRRALAKSRSEIGPMASMQWWERDCDLEEKGRSRWRMMKSWSRRSSNGNGSIDWWIDGVRRSSRDWASGEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSFGVLLLVLISGRRPLQVTASPMSEFEKASLISWARHLARVGRLLDLVDPALRDVNRDQALRCITVALLCIQRSPSRRPSSEEVLEMLSGEGEPPNLPVEFSPSPPGGFRFRSRKKGRGDVFNEG >ORUFI03G11040.1 pep chromosome:OR_W1943:3:7579037:7582411:-1 gene:ORUFI03G11040 transcript:ORUFI03G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSADGLVLKAACERCGSSSDLHGTGCRHATLCVSCGSAMARSGDCCPVCAAPIASLIREYNVLVDTTGEKQYTIGKFTTGVPPFSDRENAGSRSWSLHPEGQQGRQPTGNIWENCSNRKSCILEDDTGDYQYQGQIQGLQSAASTYYLLMMHGKDVHAVPADSWYNFSKISQYKQLTLEEAEEKMSRRRSTATGYGRWMMKAATNGAAAFSSDVTQLDDANEGETDQVHLKKGNKNGDENKSDKGSGEERAHVPMTKGREEEGSKDRDFDLDDEIEKGDDWEHEEIFTDDDEAVDVDPEEGGDLADPEIPAPPEIKQDDNEKHGGVGLSKSGKELKKLLRRAAGQNESDDDDEDTDEDESPSPVLAPKQQDQLKSEPQEDNHSKPTVLGHPYSTPHVSKSNQKRRQRGDDSKTCATPKKPKIEPDTKKIVVKEETSCSLEPTSEPFASARSDTNVSPITVEEIRVVLRLYAPIAMRDFSKDFMPRFSPRLRSPEDREVFLANLRKISHLQKINGQKYIILLEEYK >ORUFI03G11050.1 pep chromosome:OR_W1943:3:7583436:7583875:-1 gene:ORUFI03G11050 transcript:ORUFI03G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAWAAVGGGGAGWWCSGEGAVPIFLTATHGEKGRQAGARLWATLSPSSTGRPTERSWAWKTGAAGTGGGQLGRSWVVALRHERRCHQARPAVPIFSTMGHGEKGRQAGARGVVTELDQPSLFSHQGTRKRGGHYRR >ORUFI03G11060.1 pep chromosome:OR_W1943:3:7587614:7588594:-1 gene:ORUFI03G11060 transcript:ORUFI03G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKYLNIRFNPDGSLTRNGAARLLPPAPAGEPVDGVNGPARRIVHSNDAPLNDANGTTVRLFVPSGPCVGADGGGRLPLGLYFHGGGYVLFRAASEPFHNTCTALAATIPAVVASVDYRLAPEHRLPAAFEDAADAVRWVRSYAAGCRPLFLMGSHAGASIAFRAALAAVDEGVELRGLILNQPHHGGVKRTAAEESSVDDRVLPLPANDLLWELALPLGADRDHEYCNPETMLAGVDAARLRRLPPCLVLGRMKDPPRDRQRTLVEALQKAGVTVEAKLDGAGYHAMELFKEDRAAEFIAQVTDFVRRHTGAGSDVHAGRSRL >ORUFI03G11070.1 pep chromosome:OR_W1943:3:7595480:7595710:-1 gene:ORUFI03G11070 transcript:ORUFI03G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSHCFPHCHRSSLDPASHGPDLESSVKKEAGGGEGTTEGEGEGELLRPGEVRDAAVGLGRSGAPVGEERRGAS >ORUFI03G11080.1 pep chromosome:OR_W1943:3:7616539:7622974:1 gene:ORUFI03G11080 transcript:ORUFI03G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFHSIHQHKSKTLQEHRLDTRRTQPYSLLSPPGALLLVPGYLEAGLGAQAMDEKDGNNGRCNFSTEDPGEDKAFAASLVDQDQDPDPTDAALLDNECSRVVDQDPPPDAAALLYDECSAAYGAHVKGANVENWACVNFSLMGTTETQKFASELKKACVAIGMDLKSVHAVFVDLNSPNKLESTLHDVQELFGGQGVEVNQINLLIVILPEFRRSDAKVKDICKNLGFLYQCCLPEHARTPSKLYLNNVAHNIRSKRVNGDSEKVYGSDEMREDSESDLSDLEDMGDADSDIIYLEAFPTSDEEMDDDDDYGDDDEYVPVSPDEEMDDDGSVQQMRENDELCKQLQRLEENDGHEQAANSAEGCLKTYKEIETHTALAGSTYSELSEPPSFEAFLCPGEREHILKTGWETQHPYKKPSGVSWDEYSKVFDTNGYYKLSNMPVEDKDLVAAECNTPEVRRWENHISHDYDELQEFGTLPKMDVDVPLTSLHIRRTLLDVPKKIDILIVIMADDRSYDGEIEEIVMYVVSDCTYPLCQKSQRFFGAHTIHYDLGEDSEAGVDSEGIASQVLSVLLQNDKRKTQEDNLLQACAYSYKEDQPSLTYVVVVPTASIGTEADTAKYKFFCRHNTHKSTSRVVRYHVVHDDNNFLAGELQSLTLKLFTFRHRREYPKIDAVVPAYYAERAAFKAYRAECAAFKAS >ORUFI03G11080.2 pep chromosome:OR_W1943:3:7616539:7622974:1 gene:ORUFI03G11080 transcript:ORUFI03G11080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFHSIHQHKSKTLQEHRLDTRRTQPYSLLSPPGALLLVPGYLEAGLGAQAMDEKDGNNGRCNFSTEDPGEDKAFAASLVDQDQDPDPTDAALLDNECSRVVDQDPPPDAAALLYDECSAAYGAHVKGANVENWACVNFSLMGTTETQKFASELKKACVAIGMDLKSVHAVFVDLNSPNKLESTLHDVQELFGGQGVEVNQINLLIVILPEFRRSDAKVKDICKNLGFLYQCCLPEHARTPSKLYLNNVAHNIRSKEKRVNGDSEKVYGSDEMREDSESDLSDLEDMGDADSDIIYLEAFPTSDEEMDDDDDYGDDDEYVPVSPDEEMDDDGSVQQMRENDELCKQLQRLEENDGHEQAANSAEGCLKTYKEIETHTALAGSTYSELSEPPSFEAFLCPGEREHILKTGWETQHPYKKPSGVSWDEYSKVFDTNGYYKLSNMPVEDKDLVAAECNTPEVRRWENHISHDYDELQEFGTLPKMDVDVPLTSLHIRRTLLDVPKKIDILIVIMADDRSYDGMEVSCVRVMYVVSDCTYPLCQKSQRFFGAHTIHYDLGEDSEAGVDSEGIASQVLSVLLQNDKRKTQEDNLLQACAYSYKEDQPSLTYVVVVPTASIGTEADTAKYKFFCRHNTHKSTSRVVRYHVVHDDNNFLAGELQSLTLKLFTFRHRREYPKIDAVVPAYYAERAAFKAYRAECAAFKAS >ORUFI03G11080.3 pep chromosome:OR_W1943:3:7616539:7622974:1 gene:ORUFI03G11080 transcript:ORUFI03G11080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFHSIHQHKSKTLQEHRLDTRRTQPYSLLSPPGALLLVPGYLEAGLGAQAMDEKDGNNGRCNFSTEDPGEDKAFAASLVDQDQDPDPTDAALLDNECSRVVDQDPPPDAAALLYDECSAAYGAHVKGANVENWACVNFSLMGTTETQKFASELKKACVAIGMDLKSVHAVFVDLNSPNKLESTLHDVQELFGGQGVEVNQINLLIVILPEFRRSDAKVKDICKNLGFLYQCCLPEHARTPSKLYLNNVAHNIRSKRVNGDSEKVYGSDEMREDSESDLSDLEDMGDADSDIIYLEAFPTSDEEMDDDDDYGDDDEYVPVSPDEEMDDDGSVQQMRENDELCKQLQRLEENDGHEQAANSAEGCLKTYKEIETHTALAGSTYSELSEPPSFEAFLCPGEREHILKTGWETQHPYKKPSGVSWDEYSKVFDTNGYYKLSNMPVEDKDLVAAECNTPEVRRWENHISHDYDELQGKLKRCVRALELIIVMYVVSDCTYPLCQKSQRFFGAHTIHYDLGEDSEAGVDSEGIASQVLSVLLQNDKRKTQEDNLLQACAYSYKEDQPSLTYVVVVPTASIGTEADTAKYKFFCRHNTHKSTSRVVRYHVVHDDNNFLAGELQSLTLKLFTFRHRREYPKIDAVVPAYYAERAAFKAYRAECAAFKAS >ORUFI03G11090.1 pep chromosome:OR_W1943:3:7623618:7627597:-1 gene:ORUFI03G11090 transcript:ORUFI03G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALPIVNATAAVLARVSAAFNAPFARAVVFGVHIDGHLVVEGLLIAVIVFQLSRKSYKPPKKPLSEKEIDELCDEWEPEPLCPPIKDGARIDTPMLESAAAPHTTIDGKEVINFASANYLGLIGNEKIIDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEAKIAKFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMASLANTLEKLTRGNKRAEKIRRYIVVESIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGQSGRGLAEHYGVPIDKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAVSAVSYLEGNPSVLADLRSNISFLHKELSGTPGLEISSHVLSPIVFLKLKKSTGSSNTDIDLLETIAERVLKEDSVFIVASKRSPLDRCKLPVGIRLFMSAGHTDSDISKVSSSLKRVSASVLSDYI >ORUFI03G11100.1 pep chromosome:OR_W1943:3:7637955:7638548:-1 gene:ORUFI03G11100 transcript:ORUFI03G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSRAENARFEQALAMYDRDTPGRWERVAAVVGGGKTADDVRRHFDLLVDDCGSIESGNYGYPGTGAGAGRGSGNGNGNGRDKNNDGNTNRRQSRANGPQT >ORUFI03G11110.1 pep chromosome:OR_W1943:3:7644473:7648769:-1 gene:ORUFI03G11110 transcript:ORUFI03G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFVCTPSHLHARRSGGHARDPPRFLRLRQRPRKAAARVVVLLSAARHPAFPQLRIASPQLSMEVVASAPGKVLVAGGYLVLERPNAGLVLSTSARFYAIVRPLRDELSHDSWAWAWTDVKVTSPQLSREATYKLSLKKSTLQLTESANPFVEQAVQFSVAAAKVTVTDKEGKEALDKLLLQGLHITILGCNDFYSYRKQIEALAKTGLGSSAAMTTSVVAALLHYLGTVNLSCLGQSSSGNAAGRDLDLLHAIAQSAHCIAQGKIGSGFDVSAAVYGSQRYTRFSPEILSSAQVTGGHCMADVVADIVTQSWDHEKVKFSLPPLMSLLLGEPGTGGSSTPSMVGSVKQWQKSDPQKSKETWSKLGIANSVLENQLRNLNKLAEDHWEAYESVLRSCSRLTCSKWTEVATNQHQELIVRSLLAARDAFLEIRLHMREMGIAAGVPIEPESQTQLLDATMNMEGVLLAGVPGAGGFDAVFSVILGEASDAVAKAWSSAGVLPLLVREDPRGVSLEAGDPRTREVSTAVSSIQIN >ORUFI03G11110.2 pep chromosome:OR_W1943:3:7644473:7648769:-1 gene:ORUFI03G11110 transcript:ORUFI03G11110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFVCTPSHLHARRSGGHARDPPRFLRLRQRPRKAAARVVVLLSAARHPAFPQLRIASPQLSMEVVASAPGKVLVAGGYLVLERPNAGLVLSTSARFYAIVRPLRDELSHDSWAWAWTDVKVTSPQLSREATYKLSLKKSTLQLTESANPFVEQAVQFSVAAAKVTVTDKEGKEALDKLLLQGLHITILGCNDFYSYRKQIEARGLPLTPEVLLSLPPFCSITFNSEVANGTMTGENCKPEVAKTGLGSSAAMTTSVVAALLHYLGTVNLSCLGQSSSGNAAGRDLDLLHAIAQSAHCIAQGKIGSGFDVSAAVYGSQRYTRFSPEILSSAQVTGGHCMADVVADIVTQSWDHEKVKFSLPPLMSLLLGEPGTGGSSTPSMVGSVKQWQKSDPQKSKETWSKLGIANSVLENQLRNLNKLAEDHWEAYESVLRSCSRLTCSKWTEVATNQHQELIVRSLLAARDAFLEIRLHMREMGIAAGVPIEPESQTQLLDATMNMEGVLLAGVPGAGGFDAVFSVILGEASDAVAKAWSSAGVLPLLVREDPRGVSLEAGDPRTREVSTAVSSIQIN >ORUFI03G11110.3 pep chromosome:OR_W1943:3:7644473:7648769:-1 gene:ORUFI03G11110 transcript:ORUFI03G11110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFVCTPSHLHARRSGGHARDPPRFLRLRQRPRKAAARVVVLLSAARHPAFPQLRIASPQLSMEVVASAPGKVLVAGGYLVLERPNAGLVLSTSARFYAIVRPLRDELSHDSWAWAWTDVKVTSPQLSREATYKLSLKKSTLQLTESANPFVEQAVQFSVAAAKVTVTDKEGKEALDKLLLQGLHITILGCNDFYSYRKQIEARGLPLTPEVTGGHCMADVVADIVTQSWDHEKVKFSLPPLMSLLLGEPGTGGSSTPSMVGSVKQWQKSDPQKSKETWSKLGIANSVLENQLRNLNKLAEDHWEAYESVLRSCSRLTCSKWTEVATNQHQELIVRSLLAARDAFLEIRLHMREMGIAAGVPIEPESQTQLLDATMNMEGVLLAGVPGAGGFDAVFSVILGEASDAVAKAWSSAGVLPLLVREDPRGVSLEAGDPRTREVSTAVSSIQIN >ORUFI03G11120.1 pep chromosome:OR_W1943:3:7665054:7666550:1 gene:ORUFI03G11120 transcript:ORUFI03G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLDHAPPSPLSSDILPHFPPSLADAGAGALDLSFTSTASASTSSFTTATTFSARSSLSLPSFSSSTSLSPRPHSSSSSPHWALLAAARAATPDGVLRLAHLHLIRELGHGHLARVFLCRLKSSPPASPLFALKVVDLRDDDPSRVTHVLAESRVLSSLDHPFVPTLYARLDAGRYACFLMDYCSGGDLHAVLRRRPGGRLPVAAARFYAAEVLLALEYLHALGFVYRDLKPENVLLRGDGHVVLSDFDLALPASVEPAVRCRQVRKLSRRKNRIVPSCFSANGGSGDDGDEVNAKEQFEFVAEPTTANSKDCVGTHEYLAPELVSGSGHGNGVDWWAFGVFLYELVYGRTPFKGHAKDATLKNILAKQVTYPQLDGEADAAQLRDLIGRLLERDPRRRMGSARGAAEIKRHPFFAGVDWALIRCVAPPVVPDKDSAAAGAGDKKAKLGSWNSMGGKKRSSFGRKSNYEERQGVFRKLMSWSQESRSKKAKTNKVKL >ORUFI03G11130.1 pep chromosome:OR_W1943:3:7674414:7675856:-1 gene:ORUFI03G11130 transcript:ORUFI03G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCRARTTRKKIEIKRGDKKVRDACFSKRHTTIFNKANELAILCGVMVAVVFVSPNANGGIFSFGYPSVSSVANRFLANAPNNTSVSSSTQSGSYVEIRELEREERELKEHLQASTDQNKLLREAIAARDGGQLMLLLQSDCSELGPKGLVAVVRLWFRNSLVGIGLDRLCWLLQQILFGLDWG >ORUFI03G11140.1 pep chromosome:OR_W1943:3:7679447:7680733:-1 gene:ORUFI03G11140 transcript:ORUFI03G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKLSFSIPSKARPPRPASRPAATAAAAAASDDGEYSARAPAPQFVTEFDPTQTLATGAAPSVIAPLQNSGHFLNHRSRKPSSLPTPEEEAALAASAAGGPSFVLDTSTAPDNPSSHIAYGLTVRNAAAELEKAAEPEKTPPPPLAAGTPSGDLMLRRYKEDMASLPDHRGLDEFRDVPVEGFGAALLAGYGWSEGKGIGRNNKGDTKVVEYDRRAGTQGLGYNPSEADPKKTRAGEWVVGGNKETQNGNAKKRDRDSRGRTEDRDSSSRQKRSGERRAEREVQEKDRNSRHTKQVKIGGGGGDKMRWLHSDIKVRVVSERLSKKLYLKKGRVLDVVGPTTCDIIMDDQSELVQGVEQDMLETVLPRTNGLVLLLAGEHKGLCGHLVEKNSEEETGVVELSNTKDMIRVKYDQIAEYIGDPESLEY >ORUFI03G11150.1 pep chromosome:OR_W1943:3:7685047:7686054:-1 gene:ORUFI03G11150 transcript:ORUFI03G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYDQMLAPLLGAGRSAWTAHDGGGGGGEAVVRQILKCTRWQLEETTDFVTCPYHYYCDSSYPGDYHAAVGVLVAAFAAYCFLSTLAFTVLDLARSGGGGGGAGGVRGIRRKYLLPSGPFLLPLVLLVLAKGQRINAVFPLAQLGPALLLLLQASALAFRNEADGDIRYAVLEASTVSGVLHASLYLDAVVLPYYTGLEALRWSQFSGECATCLCRMEPLVVGGTAVRYRGLSKTALAIIFALCSRMVCRIYGEERLSAWTRSALEAAGWVFVAADAVYLVGWVAIEGGAVAVLAYSLVAGLVFLSVFGKVYRFLAWLETRQSQWKSSLCHSAV >ORUFI03G11160.1 pep chromosome:OR_W1943:3:7690233:7695354:-1 gene:ORUFI03G11160 transcript:ORUFI03G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIARLLQHQNRRLLSTAAEASSRRPPRAPLGGAIPKHEVAKAEASSLKKSRWYMIKSNPSSPLTTQRESHKVSTHLVRPSASYSTQASEQNPKEGTKDLKTVEDPFDSPTYNIPEKPVTFAEGASYSLVIVAGLGIAAVAGYAVFKELIFEPKEYKIFGKALARVQNDSQVTAKIGYPVTGYGNESRNRAARQRIPNKVWTDEDGVEHVEVNFYIRGPHGAGKVYSEMFKDNNDRSWKFTYLIVEIVSPHRAQLMLESYVPA >ORUFI03G11160.2 pep chromosome:OR_W1943:3:7690231:7695354:-1 gene:ORUFI03G11160 transcript:ORUFI03G11160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIARLLQHQNRRLLSTAAEASSRRPPRAPLGGAIPKHEVAKAEASSLKKSRWYMIKSNPSSPLTTQRESHKVSTHLVRPSASYSTQASEQNPKEGTKDLKTVEDPFDSPTYNIPEKPVTFAEGASYSLVIVAGLGIAAVAGYAVFKELIFEPKEYKIFGKALARVQNDSQVTAKIGYPVTGYGNESRNRAARQRIPNKVWTDEDGVEHVEVNFYIRGPHGAGKVYSEMFKDNNDRSWKFTYLIVEIVSPHRAQLMLESYVPA >ORUFI03G11170.1 pep chromosome:OR_W1943:3:7700089:7703473:-1 gene:ORUFI03G11170 transcript:ORUFI03G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQLAAVLASLALGGALLVLVFGKWWQPLADADRRVKELADAVEALLQLRSELLKVEPAPPESDQLARAWLRRVQEAQDEVASLKARHDGGQLYVLRLVQYFVSTAPVAGSAEKQLKAVRALREQGEALLEAALSTPQAPPPLLRQPEELELPPGTSLTRPYLNEALRFLGDCDAALGVWGAGGVGKTTVLTHVRDACGLVAPFDHVLLVAASRDCTVAKLQREVVGVLGLRDAPTEQAQAAGILSFLRDKSFLLLLDGVWERLDLERVGIPQPLGMVAGRVRKVVVASRSEAVCADMGCRKKIKMECLSEEDAWNLFEANAREETIHRHPRIPALSRQVASECKGLPLSLVTVGRAMSSKRTPKEWGDALDALKKTKLSSAPGPDKIAHPLVKFCYDNLENDMARECFLACALWPEDHNISKDELVQCWTGLGLLPELADVDEAHRLAHSVISVLEASRLVERGDNHRYNMFPSDTHVRLHDVVRDAALRFAPGKWLVRAGAGLREPPREEALWRDARRVSLMHNGIEDVPAKTGGALADAQPETLMLQCNRALPKRMIQAIQHFTRLTYLDMEETGIVDAFPMEICCLVNLEYLNLSKNRILSLPMELSNLSQLKYLYLRDNYYIQITIPAGLISRLGKLQVLELFTASIVSIADDYIAPVIDDLESSGAQLTALGLWLDSTRDVARLARLAPGVRARSLHLRKLQDGTRSLPLLSAQHAAEFGGVQESIREMTIYSSDVEEIVADARAPRLEVIKFGFLTKLRTVAWSHGAASNLREVAIGACHAVAHLTWVQHLPHLESLNLSGCNGMTTLLGGAADGGSAAGKLVTFPRLRLLALLGLPKLEAIRGDGGECAFPELRRVQTRGCPRLRRIPMRPAASGQCKVRVECDKHWWGALQWASDDVKSYFAPVLI >ORUFI03G11180.1 pep chromosome:OR_W1943:3:7710442:7717032:1 gene:ORUFI03G11180 transcript:ORUFI03G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSSPGSKSDLPWNSPYVYKYNNPVARLLLLSMQLTVGWPMYLVFNTWGCWYPRFATEYSTSPLFASHFDPSRAIYMRRQRVFIAISDIGMLAVSLALLAEGYEFWWVVRVYGMPLLVVNAWLVVGARNQSRISLLTMDRDYGFLNRVFHDITDTHVTHHLFPTIPHYHMVEATKVIHPVLGEYYQFDPTPVVEAIWREAKECIYIQSKDHKGVFWYSNKF >ORUFI03G11190.1 pep chromosome:OR_W1943:3:7719901:7720521:1 gene:ORUFI03G11190 transcript:ORUFI03G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGAAERFHSRTVLITGATGFIAKLLVEKILRLQPAVKRLYLLVRAADQVSANRRVESEVCLLFWTTLCSW >ORUFI03G11200.1 pep chromosome:OR_W1943:3:7734410:7739561:1 gene:ORUFI03G11200 transcript:ORUFI03G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRAASFSTCRNTSSGCVAADCADDLAAPSGAGTDQYSAVMPENSSVTFGDDEADNGSHRSEGDEPEAKRYSVLFYMSYQIMQLPIFQPLREKYQTHFSSWFWDKVFPLAGDVSLKNFGIGDARLAEDIRKETNIIVHMAATVNFAERYDTALAINTMGVKHMIDFASKCTNLELVLLVSTAYVNLMKQGIMMEKPLQQWRSYDGRSDLDISEEMAFKDEKLKELVYNNASERTIRHTMKKIGAQRAIDTWITNYGKGTLKFFPTDVATVIDIVPADIVVNAMLCIISYHPQGTADFIYQIGSSMSNPIKLGQMSQTTYKYFSQIPFVGAKGDVVKVKQPNFLATMASFYETMDKHYKMPLQDMLRRGLSTTEDRHIYNHLKREYDFTVAVAEVYWPFTISKTRFDDSKMQNLMGMVTERDRELIPCNIKFINWDKYFMETHIPGVMDYESRELTRARL >ORUFI03G11210.1 pep chromosome:OR_W1943:3:7751831:7755735:1 gene:ORUFI03G11210 transcript:ORUFI03G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAITRARLQHRPARFHRAPYRCIPVTTTTCLPERRVHRGGGVQWCGSSAATGERARAVSEVRAAGARGGDARPPPQGEGDVAAGVAEAPSSGGGAKRGSVVGAAALVVGTSIGSGILAVPQRTAPAGFVPSAACIVTCWAFLVIEALLLVEINVHLRRKKGKDAGGDGDGGGGGQLEVISLKSMAQETLGEWGGNLAAAAYLFLSYTSMVAYTSKSGEVLSRVVAGVPEPVSGGAFTAALALLIAAGGTGVTDKVNQLLTFVMIGLLLTIEVSAVAFGAGLTLPANTNWEQVPATLPVIIFTLVFHDIAPVICAYLGGDLVRIRLSILVGSIVPLLSLLVWDDIALSISTDLDGFDILDMLNTEWSYTVVETFSLLAVGTSLIGTLLGASQFFIEQMTNLVSSSAQGHEEEALRHRGAKTAVDNNRLSYIAAGAVVAPTVLIAATVPNSFSIATDIAGGYCMTILYGVLPPLMAWAIASRMSDSRAGSVEAESSVAGGSGNVDLTSAKPVLVGMGVFSVLMVFEQMSQDFVSFQSYLLAWTG >ORUFI03G11220.1 pep chromosome:OR_W1943:3:7754259:7755950:-1 gene:ORUFI03G11220 transcript:ORUFI03G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGVHSHVIRRGRAACLIYGPSERAAAVAVAAAPRRARSRGQDQREQRRCEETRLVICSMKNCEAPSNVPISDVPTARSENVSTTDVKPIKIRGDAERDVVPHEQGQQWHDAPNEYREPNPHEVPSKREDDHRKRCRDLLPVGVSWQGQAGTECYCRHLDRQQ >ORUFI03G11220.2 pep chromosome:OR_W1943:3:7753792:7755950:-1 gene:ORUFI03G11220 transcript:ORUFI03G11220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGVHSHVIRRGRAACLIYGPSERAAAVAVAAAPRRARSRGQDQREQRRCEETRLVICSMKNCEAPSNVPISDVPTARSENVSTTDVKPIKIRGDAERDVVPHEQGQQWHDAPNEYREPNPHEVPSKI >ORUFI03G11230.1 pep chromosome:OR_W1943:3:7756442:7757702:-1 gene:ORUFI03G11230 transcript:ORUFI03G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESTAAGGRPLELRIRRPDLPLASFLLPIDCAMAVAAALPTVAGELAMDETKHSQISPGLAAWAQGRSLLHARLRRRREGVINGAARVGGAVATAAGDASLRRMMNAVLVTPTPIVAASTELRLAMPAALKMLGPYNTTASMPVRCWKKWIPRAAMTMRCTGGVGCRNAEGADADAGDAAAGEERGEVGGEAHEDGAREEIQAARWRREEREEEEGIRDNDMWDLQVSGSIIFFFGE >ORUFI03G11240.1 pep chromosome:OR_W1943:3:7760962:7761240:1 gene:ORUFI03G11240 transcript:ORUFI03G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVWCGCGLWSRRRCRVVVGMAGVGGVAVASSSPRQWWWWRRKGERRRDGAVRWWGRGQSERGRAARDVRRRGRGREEPRKRGRQAGGGWL >ORUFI03G11250.1 pep chromosome:OR_W1943:3:7770765:7773366:1 gene:ORUFI03G11250 transcript:ORUFI03G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEEMQVERMQEEVEGGGADTDKLSYEIFSILESKFLFGYTDPHQLWLPKAPAAQASAATAVPSGKAAQRGKVCVLCVDGGGGGLRALLSGRALAHLEAALRRASGDPDARIADYFDLVAGTGAGGVFAAMLFSTHSRGAPLFHADDTWRLVADHAPRLFRKAVGGSTSLFCRPKKRPLAAPTAALDAAMKTAFGEELTLRDTIKPVLISCYDLKSSAPLVFSRADALESESYDFRLCEVGRAAWSEAGRFEPAEVASVDGATSCAAVDGGPIMGSPAAAAITHVLHNKHEFPFVRGVEDLLVLSIGGCSAGGTGAAADADLRRLRRWGPKDWARPIARIAADGAADLVDHAVARAFGQCRSSNYLRIQAKRESMPPCGPDGEYDPTPANVHALLAAADEMLKHRNVESVLFEGRRVGEQTNAERLDCFAAELVAEHRSRGSRIGPTVAFKQAPRKQPPAAAVAAMG >ORUFI03G11260.1 pep chromosome:OR_W1943:3:7784054:7792374:-1 gene:ORUFI03G11260 transcript:ORUFI03G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSIPKPGGFPPLGAHAPFQPAPTPVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAAILKHPRTPTTANPSMDYPSGDSDHVSKRTRPVGMSEEVNLPVNMLPVTYPQSHSYPQDDFHKNVARTLSQGSTPMSMDFHPVQQTLLLVGTNVGDIGLWDVGTKERLVLRNFKVWDLTKCSMALQASLVKDPTVSVNRIIWSPDGTLFGVAYSRHIVQIYSYHGGDDIRQHLEIDAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGAKQFTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFLIKIWDMDNTSLLTTIDADGGLPASPRVRFNKEGTLLAVSTHENGIKILANADGVRLLRTLENRSFDASRSASETVTKPLMNPLTAAAAAAASAAAAGTSSGNAAPPAITALNGDSRSLVDVKPRIADEPLDKSKVWKLMEITESSQCRSLKLTDNMRTSKISRLIYTNSGVAILALASNAVHLLWKWPRNDRNSSGKATASVSPQLWQPPSGILMTNDITDNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKKITGLAFSNVLNVLVSSGADAQICVWSTDGWDKLKSRMLQIPSSRPSSIILDTRVQFHQDQLHFLVVHETQIAIYETTKLEPVKQWPVRENSSPITHAMFSCDSQLIYASFLDATVCIFNASSLRLQCRILPASYLPQNISSNVYPVVVAAHPSEANQFALGLTDGGVYVLEPLESERKWGNPPPAENGSTSALSTPPNGASTSLP >ORUFI03G11270.1 pep chromosome:OR_W1943:3:7792558:7792857:1 gene:ORUFI03G11270 transcript:ORUFI03G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSELGLYHHGRDQLAWISPHPPPHPPPPPAAPLSSTSARRERRRRFARRRRWGRPPAKIEGPAAAPDLPRSLCEERERGTRASLEEDETERGVVW >ORUFI03G11280.1 pep chromosome:OR_W1943:3:7795711:7799925:-1 gene:ORUFI03G11280 transcript:ORUFI03G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSSQPVARVLPRGGGGGFRAFPESAPASLRFSVGRRRAARLEVKASANVFGNYFQVATYGESHGGGVGCVISGCPPRIPLTEADMQVELDRRRPGQSRITTPRKETDTCKILSGTHEGMTTGTPIHVFVPNTDQRGGDYSEMAKAYRPSHADATYDFKYGVRAVQGGGRSSARETIGRVAAGALAKKILKLKSGVEILAFVSKVHQVVLPEDAVDYDTVTMEQIESNIVRCPDPEYAQKMIDAIDKVRVRGDSIGGVVTCIARNVPRGIGAPVFDKLEAELAKAMLSLPASKGFEIGSGFAGTDYTGSEHNDEFYMDEAGNVRTRTNRSGGVQGGISNGEIIYFKVAFKPTATIGKKQHTVSREHEDVELLARGRHDPCVVPRAVPMVESMAALVLMDQLMAHIAQCEMFPLNLALQEPMPLTILKIMHA >ORUFI03G11290.1 pep chromosome:OR_W1943:3:7800936:7804593:-1 gene:ORUFI03G11290 transcript:ORUFI03G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSNGGGGSPGHRRRGSAAQGHGHHQVHGHHHQPSSPPPPPPPESSPSHYVFAAATPYPPPQYTNPNLPRYYPQYGNYYPPPPSLQVPLPAPYDHHHRGGGAGVPAGGEFPPSAHPQHYPGWPGVSGRPHPCGLQPAMPTPYVEHQKAITIRNDVNLKKETLRIEPDEECPGRFLVAFTFDATLAGSMTVYFFAKEELNCNLTAVKEDLIKPVTVSFKEGLGQKFRQPSGTGIDFSVFEDSELLKQGDMDVYPLAVKAETTMPVDQKLEGEDQKMKTPNSQITQALFEKKESGDYQVRVASQILWVNGTRYELQEIYGIGNSVEGDADANDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTTRCPICRQPVERLLEIKVNNKAEEQQQPSPDSPIKVNSKAEEHQQQPSQSLPIPHREEV >ORUFI03G11310.1 pep chromosome:OR_W1943:3:7814795:7820292:-1 gene:ORUFI03G11310 transcript:ORUFI03G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHPHPRERDTAAFAPAAPLASLVAAAECVPFISVPPRHSNQQLLFFFFFFSFASPTEQLCSSFLLLLLVIVRTSAGDNRTTPNGAAAAVMAAATVGVLLRLLLLPVVVVVSLLVGASRAANVTYDHRAVVIDGVRRVLVSGSIHYPRSTPDMWPGLIQKSKDGGLDVIETYVFWDIHEAYDFEGRKDLVRFVKAVADAGLYVHLRIGPYVCAEWNYGGFPVWLHFVPGIKFRTDNEAFKAEMQRFTEKVVDTMKGAGLYASQGGPIILSQIENEYGNIDSAYGAAGKAYMRWAAGMAVSLDTGVPWVMCQQSDAPDPLINTCNGFYCDQFTPNSKSKPKMWTENWSGWFLSFGGAVPYRPAEDLAFAVARFYQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPIDEYGMVRQPKWGHLRDVHKAIKLCEPALIAAEPSYSSLGQNTEATVYQTADNSICAAFLANVDAQSDKTVKFNGNTYKLPAWSVSILPDCKNVVLNTAQINSQVTTSEMRSLGSSIQDTDDSLITPELATAGWSYAIEPVGITKENALTKPGLMEQINTTADASDFLWYSTSIVVKGDEPYLNGSQSNLLVNSLGHVLQIYINGKLAGSAKGSASSSLISLQTPVTLVPGKNKIDLLSTTVGLSNYGAFFDLVGAGVTGPVKLSGPNGALNLSSTDWTYQIGLRGEDLHLYNPSEASPEWVSDNAYPTNQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGAYSSNKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPSMISFTTRQTSSICAHVSEMHPAQIDSWISPQQTSQTQGPALRLECPREGQVISNIKFASFGTPSGTCGNYNHGECSSSQALAVVQEACVGMTNCSVPVSSNNFGDPCSGVTKSLVVEAACS >ORUFI03G11310.2 pep chromosome:OR_W1943:3:7814795:7820292:-1 gene:ORUFI03G11310 transcript:ORUFI03G11310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHPHPRERDTAAFAPAAPLASLVAAAECVPFISVPPRHSNQQLLFFFFFFSFASPTEQLCSSFLLLLLVIVRTSAGDNRTTPNGAAAAVMAAATVGVLLRLLLLPVVVVVSLLVGASRAANVTYDHRAVVIDGVRRVLVSGSIHYPRSTPDMWPGLIQKSKDGGLDVIETYVFWDIHEAVRGQAQQYDFEGRKDLVRFVKAVADAGLYVHLRIGPYVCAEWNYGGFPVWLHFVPGIKFRTDNEAFKAEMQRFTEKVVDTMKGAGLYASQGGPIILSQIENEYGNIDSAYGAAGKAYMRWAAGMAVSLDTGVPWVMCQQSDAPDPLINTCNGFYCDQFTPNSKSKPKMWTENWSGWFLSFGGAVPYRPAEDLAFAVARFYQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPIDEYGMVRQPKWGHLRDVHKAIKLCEPALIAAEPSYSSLGQNTEATVYQTADNSICAAFLANVDAQSDKTVKFNGNTYKLPAWSVSILPDCKNVVLNTAQINSQVTTSEMRSLGSSIQDTDDSLITPELATAGWSYAIEPVGITKENALTKPGLMEQINTTADASDFLWYSTSIVVKGDEPYLNGSQSNLLVNSLGHVLQIYINGKLAGSAKGSASSSLISLQTPVTLVPGKNKIDLLSTTVGLSNYGAFFDLVGAGVTGPVKLSGPNGALNLSSTDWTYQIGLRGEDLHLYNPSEASPEWVSDNAYPTNQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGAYSSNKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPSMISFTTRQTSSICAHVSEMHPAQIDSWISPQQTSQTQGPALRLECPREGQVISNIKFASFGTPSGTCGNYNHGECSSSQALAVVQEACVGMTNCSVPVSSNNFGDPCSGVTKSLVVEAACS >ORUFI03G11320.1 pep chromosome:OR_W1943:3:7824788:7830515:-1 gene:ORUFI03G11320 transcript:ORUFI03G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYFEGVECTLGTCLFVGLQQGTYTKYSTPFCYAPRNTRITPLATASFGDTADSSTPIFPRIHVKDPYQRLGISREASEEEIRAARNFLINKYAGHKPSVDAIESAHDRIIMQSFSDRKKPKVDLKKKYRELTQSRPVKAIQGRFQTPSSKVIWQTAITFVLLGVLTLVFPTEEGPTLQVAISCAANIYFIYQRLKSGWRTFFYGFGSFFASWFLATFLMVSVIPPILPGPRNLEIPY >ORUFI03G11340.1 pep chromosome:OR_W1943:3:7831658:7837690:-1 gene:ORUFI03G11340 transcript:ORUFI03G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRRYEIGTASIGAANNLYWPSAFQENGKMSGEHSNGSPINEINKILERKFPTINLIPLQA >ORUFI03G11350.1 pep chromosome:OR_W1943:3:7837729:7842420:-1 gene:ORUFI03G11350 transcript:ORUFI03G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPNGLARIETHGAKTKKHENGICHDDSSAPVRAQTIDELHSLQRKRSAPTTPIKDGASSPFAAALSEEERQRQQLQSISASLASLTRETGPKVVRGDPARKGEAAAKGAPSPHPQPVHHHHPHVTPTIAVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRIVKDEASAQELWWGKGSPNIEMDEHTFLTNRERAVDYLNSLDKVFVNDQFLNWDTENRIKVRIISARAYHSLFMHNMCIRPTEEELEEFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDINLARREMVILGTQYAGEMKKGLFGVMHYLMPRRGILSLHSGCNMGKQGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDTGVSNIEGGCYAKCIDLSQEKEPDIWNAIKFGTVLENVVFDEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLPQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKYGATGWLVNTGWSGGRYGVGKRIRLPYTRKIIDAIHSGELLTANYKKTEVFGLEIPTEIDGIPSEILDPINTWTDKGAYKETLLKLAGLFKKNFEVFANYKIGGDSSLTDKILAAGPNF >ORUFI03G11360.1 pep chromosome:OR_W1943:3:7854013:7855967:-1 gene:ORUFI03G11360 transcript:ORUFI03G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQVGSGSSPVVAHGKDAVKRAARRMANVAARAAMVMRDSSRTVTTRPKVVGLVRTQRALVQIFMLKDPMVICWPNCPRKSHTSAMHDSRQLGKSLVAHIS >ORUFI03G11370.1 pep chromosome:OR_W1943:3:7857558:7858232:-1 gene:ORUFI03G11370 transcript:ORUFI03G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHPCAHRDPGGVEMGVPAVYPPLPNPNEQAMDQERLGGGGPNHWVGNDANTLLVVATLITTLTYQLGTNIPGGYWQDTKDDHRAGDPIMRDLHRPRYWVFMGASWMGFASSMVMTLSLLVRMPVDSRNVRWSFAVAYSTLVLTFIVSQPRTHLSLDILVWVAVLAFLWFTISLRPERRAKIAQAICCGHNS >ORUFI03G11380.1 pep chromosome:OR_W1943:3:7863452:7864985:1 gene:ORUFI03G11380 transcript:ORUFI03G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVEDDGARSFNLHVRIERPKYERPIYEGSEIRVSSDVWPSRCVLHAYVGGEHLGWCRLPEGRDHPLRVEGWSAPFELPLQREHWGRLSLDLEVERRDVYYDEDDGVVRVFHREDPQTSSRTAVIGRARVALVDALLRDGDEDEDEDRGRKRREKDGLPALVKGTREFGEWVKLQGWRFPARGPPANVVRGRLAVHMSLEARRGRGNYTVDSCVVLPWGGTGGDELGRPSLPPPPSRHRPSAKPASRKDGGGGASHRPRFRRAGERPRRGGGDRQFRATPAGSGGVAAAKAVVVEGGGDGVGRGREGDGGGPCAATARCSAATVAVSPRSVPLGRIWRVARGGGRLATAAAVSRQLAAATSADDAGGGLGGGAAEGDSEVAVGSGGGSQRRWLASVAATTASDLRWP >ORUFI03G11390.1 pep chromosome:OR_W1943:3:7868207:7873219:-1 gene:ORUFI03G11390 transcript:ORUFI03G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSINAVPCSAGRPKRRSQRRGASTVAVRASGDASTVTLLDYGAGNVRSVRNAIRHLGFGIRDVRSPEDILAADRLVFPGVGAFGSAMDVLTRSGMADALREYIRRDRPFLGICLGLQLLFDSSEENGPISGLGVIPGVVRRFDSSKGLIVPHIGWNALEITKDTQLLKGAEGHHVYFVHSYHALPSDENKEWISSVCNYGESFISSISMGNIQAVQFHPEKSGATGLSILKNFLSPNSSGSKVPSRRKASNLAKRVIACLDVRSNDSGDLVVTKGDQYDVRNLGKPVDLASQYYIDGADEVSFLNITGFRDFPLGDLPMLEVLRCASEKVFVPLTVGGGIRDFTDANGRYYSSLEVASEYFRSGADKISIGSDAVFAAEAYLQTGVKTGKSSLEQISRVYGNQAVVVSIDPRRVYVKNPEEVQFKTVKVSNKGPLGEEYAWYQCTVSGGRDSRPIGAYELAKAVEELGAGEILLNCIDCDDLVKMVSDAVTIPVIASSGAGTVEHFSEVFEKTNASAALAAGIFHRKEVPISAVKEHLVDAGVEVRV >ORUFI03G11390.2 pep chromosome:OR_W1943:3:7868207:7873219:-1 gene:ORUFI03G11390 transcript:ORUFI03G11390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSINAVPCSAGRPKRRSQRRGASTVAVRASGDASTVTLLDYGAGNVRSVRNAIRHLGFGIRDVRSPEDILAADRLVFPGVGAFGSAMDVLTRSGMADALREYIRRDRPFLGICLGLQLLFDSSEENGPISGLGVIPGVVRRFDSSKGLIVPHIGWNALEITKDTQLLKGAEGHHVYFVHSYHALPSDENKEWISSVCNYGESFISSISMGNIQAVQFHPEKSGATGLSILKNFLSPNSSGSKVPSRRKASNLAKRVIACLDVRSNDSGDLVVTKGDQYDVRNLGKPVDLASQYYIDGADEVSFLNITGFRDFPLGDLPMLEILLKFGSSVRVLQVKTGKSSLEQISRVYGNQAVVVSIDPRRVYVKNPEEVQFKTVKVSNKGPLGEEYAWYQCTVSGGRDSRPIGAYELAKAVEELGAGEILLNCIDCDDLVKMVSDAVTIPVIASSGAGTVEHFSEVFEKTNASAALAAGIFHRKEVPISAVKEHLVDAGVEVRV >ORUFI03G11400.1 pep chromosome:OR_W1943:3:7892868:7904203:-1 gene:ORUFI03G11400 transcript:ORUFI03G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPHLEKTMSASEFRIPYQQVSSSQTTENAGQFKICRCGEGDPNTNTSETGDKSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPIVTLGGIDLNNSGSVVVKEDKKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALENALAQAPVVANTVGQNPIFSTDIAEPAEAPAEQSDNKSIIGRPAEFALVDADGSPAFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPAPCCTALVGAYRTDKTRRLDAMNRVIYEVFPEPNRQLLQRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEDGSYSSDAYTESEDGDFDKEYSTDNDGPEEDDSYDSGEDNIEEDMDDDTEHSSGGSECDNNIKTSVSDDKVKSNNSGTASNGNDQGLQPPKKAARTGHGAARKDLSAEEIDYCSDDETLIEKLENSKTDLQSKITKEVENLRDQLQKERNLRVSLESGLMNLRRGQASFPSTIDNKTKADLEEVATAEADILNLKQKGSDLRGQLNNQVQMSSASLCDSCNKRLLNTDKLTEGEQSTTSSNVGPNSISDMVSATHMDSGAPSALAKLTNRLNFLKERRAILAKLRFGSPARASSSSSEERLHMRSENFNMASLSGYGTLHCLVSNVSNAHMMDVT >ORUFI03G11400.2 pep chromosome:OR_W1943:3:7892968:7904203:-1 gene:ORUFI03G11400 transcript:ORUFI03G11400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPHLEKTMSASEFRIPYQQVSSSQTTENAGQFKICRCGEGDPNTNTSETGDKSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPIVTLGGIDLNNSGSVVVKEDKKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALENALAQAPVVANTVGQNPIFSTDIAEPAEAPAEQSDNKSIIGRPAEFALVDADGSPAFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPAPCCTALVGAYRTDKTRRLDAMNRVIYEVFPEPNRQLLQRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEDGSYSSDAYTESEDGDFDKEYSTDNDGPEEDDSYDSGEDNIEEDMDDDTEHSSGGSECDNNIKTSVSDDKVKSNNSGTASNGNDQGLQPPKKAARTGHGAVREDTCQIESNDPSNRKQELYESNGSTDQIEKLNVRSSSARAKFMEKSSSSRNKSKKTLWGRTSARKDLSAEEIDYCSDDETLIEKLENSKTDLQSKITKEVENLRDQLQKERNLRVSLESGLMNLRRGQASFPSTIDNKGSDLRGQLNNQVQMSSASLCDSCNKRLLNTDKLTEGEQSTTSSNVGPNSISDMVSATHMDSGAPSALAKLTNRLNFLKERRAILASEMQNLDLARPPGPPAPAPKRDST >ORUFI03G11400.3 pep chromosome:OR_W1943:3:7892968:7904203:-1 gene:ORUFI03G11400 transcript:ORUFI03G11400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPHLEKTMSASEFRIPYQQVSSSQTTENAGQFKICRCGEGDPNTNTSETGDKSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPIVTLGGIDLNNSGSVVVKEDKKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALENALAQAPVVANTVGQNPIFSTDIAEPAEAPAEQSDNKSIIGRPAEFALVDADGSPAFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPAPCCTALVGAYRTDKTRRLDAMNRVIYEVFPEPNRQLLQRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEDGSYSSDAYTESEDGDFDKEYSTDNDGPEEDDSYDSGEDNIEEDMDDDTEHSSGGTRAKFMEKSSSSRNKSKKTLWGRTSARKDLSAEEIDYCSDDETLIEKLENSKTDLQSKITKEVENLRDQLQKERNLRVSLESGLMNLRRGQASFPSTIDNKTKADLEEVATAEADILNLKQKGSDLRGQLNNQVQMSSASLCDSCNKRLLNTDKLTEGEQSTTSSNVGPNSISDMVSATHMDSGAPSALAKLTNRLNFLKERRAILASEMQNLDLARPPGPPAPAPKRDST >ORUFI03G11400.4 pep chromosome:OR_W1943:3:7892968:7904203:-1 gene:ORUFI03G11400 transcript:ORUFI03G11400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPHLEKTMSASEFRIPYQQVSSSQTTENAGQFKICRCGEGDPNTNTSETGDKSPTSCPNCQNAPPRGNEPIVTLGGIDLNNSGSVVVKEDKKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALENALAQAPVVANTVGQNPIFSTDIAEPAEAPAEQSDNKSIIGRPAEFALVDADGSPAFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPAPCCTALVGAYRTDKTRRLDAMNRVIYEVFPEPNRQLLQRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEDGSYSSDAYTESEDGDFDKEYSTDNDGPEEDDSYDSGEDNIEEDMDDDTEHSSGGSECDNNIKTSVSDDKVKSNNSGTASNGNDQGLQPPKKAARTGHGAVREDTCQIESNDPSNRKQELYESNGSTDQIEKLNVRSSSARAKFMEKSSSSRNKSKKTLWGRTSARKDLSAEEIDYCSDDETLIEKLENSKTDLQSKITKEVENLRDQLQKERNLRVSLESGLMNLRRGQASFPSTIDNKTKADLEEVATAEADILNLKQKGSDLRGQLNNQVQMSSASLCDSCNKRLLNTDKLTEGEQSTTSSNVGPNSISDMVSATHMDSGAPSALAKLTNRLNFLKERRAILASEMQNLDLARPPGPPAPAPKRDST >ORUFI03G11400.5 pep chromosome:OR_W1943:3:7892868:7904203:-1 gene:ORUFI03G11400 transcript:ORUFI03G11400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPHLEKTMSASEFRIPYQQVSSSQTTENAGQFKICRCGEGDPNTNTSETGDKSPTSCPNCQNAPPRGNEPIVTLGGIDLNNSGSVVVKEDKKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALENALAQAPVVANTVGQNPIFSTDIAEPAEAPAEQSDNKSIIGRPAEFALVDADGSPAFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEEDAHVIGDCIKDLEDGSYSSDAYTESEDGDFDKEYSTDNDGPEEDDSYDSGEDNIEEDMDDDTEHSSGGSECDNNIKTSVSDDKVKSNNSGTASNGNDQGLQPPKKAARTGHGAARKDLSAEEIDYCSDDETLIEKLENSKTDLQSKITKEVENLRDQLQKERNLRVSLESGLMNLRRGQASFPSTIDNKTKADLEEVATAEADILNLKQKGSDLRGQLNNQVQMSSASLCDSCNKRLLNTDKLTEGEQSTTSSNVGPNSISDMVSATHMDSGAPSALAKLTNRLNFLKERRAILAKLRFGSPARASSSSSEERLHMRSENFNMASLSGYGTLHCLVSNVSNAHMMDVT >ORUFI03G11410.1 pep chromosome:OR_W1943:3:7905343:7909521:-1 gene:ORUFI03G11410 transcript:ORUFI03G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSDPQANGDHRPPAAEMEDTGVKVEEADAGETMEGVASIALLPSGAISGHFIRLPDSVCYGLHGTRIHLSSLPIPALAGA >ORUFI03G11420.1 pep chromosome:OR_W1943:3:7914774:7914974:1 gene:ORUFI03G11420 transcript:ORUFI03G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQLSNYNIHEYTRCRVQRMPSARTVPLATAFADGKKQLDVAKRHAVVYSLYAPKAKSIMEMKLQ >ORUFI03G11430.1 pep chromosome:OR_W1943:3:7916221:7918005:-1 gene:ORUFI03G11430 transcript:ORUFI03G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHRKTSKQTGKLKTLLGLAISRIAAVRRPRLARKSIATDDAFEMIEMYYKRLIENAAKLDKPGTIVFGVYSECTEEIREAAAAVMLVAGWCGELPELPFARTILADKFGSDFAEAAKDGTGIVDPMLKKKVTKEIAMENNIVVDFSELQDAVKDGED >ORUFI03G11440.1 pep chromosome:OR_W1943:3:7918735:7919937:-1 gene:ORUFI03G11440 transcript:ORUFI03G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAATANIVSASPLHVRQHNDGNDVPAHLQPEGTDDPWSDLVVYLPSWPVSTTAPPSLALSARRPSSSLGQVAQALHGLVTQGEMGVVFDESDEEV >ORUFI03G11450.1 pep chromosome:OR_W1943:3:7921868:7922730:-1 gene:ORUFI03G11450 transcript:ORUFI03G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHRKTSKQTSKLKTLLGLAVLRIATARRPHLARKSIATDDVRQLLTLDHLDRAIHRAEQVIAEDNMLEAFEMIEMYCKRLIEHAAKLDKPGECTDEIREAAASVMFAAGWCSELPELLFARTILADKFGSDFTEAAKDGTGIVDPMLVWKLSSDAKSMELKRKVTKEIAMENNIIVDFSELQDAIKDEED >ORUFI03G11460.1 pep chromosome:OR_W1943:3:7923870:7926042:-1 gene:ORUFI03G11460 transcript:ORUFI03G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGGKSASSKQTAKLKSLIKLAAARVAVARRPRLGRRSIARGDVAQLLSIGHLDRALLRVCPCHAFALPDTRRDSSANSSRRVLFLQAEQVIDEDNMLEVLDIVELYCKILIEQATQLDKPKECGEEIKEAAAGLMFASARCGDLPELLDARAILADKFGRDFAAAAKDGAHGVVDPTLVRKLSGAPASTEQKQRLTKVIAAENDILLEFPENTGDNQGKQNEQAKNVRAEQFVEQNEVKREHHEVQGRQRFVDEEVNPRLARLSVQEQYADARMAAEAAFKSASFAAMAARAAVELSRSESQGKGSRGGGGGGYEKVHPVQNSVAGEKEAPPSWKPHKPPSPSTSPSWSDRSTATSVWSEGTQKGKGVVFDGSDEEVDDVAWTPQLRRPPYRRASTMGIGGGAWNGEAGRVGADPQPFQDGVYNNSQHPRPPHRRHASELGAGAGAPREPLVSLAPQRGQYRDPPYRRDPAAYRGINAGAAQRRQPDGAGARPYESSDHVHPPYARIVSALERSNEHIARHEEVRRIGTGERVLQERVYGAGGAPGRAPLNQEQGRLNSVRTRR >ORUFI03G11470.1 pep chromosome:OR_W1943:3:7935922:7938688:-1 gene:ORUFI03G11470 transcript:ORUFI03G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASSDVQLVIPSSRPHGHRCIAPSPPSSLHGPRNHHTSHRLDILAASLPKNPQILLFSTPPPRCLPPATPQCRLPPATPGRRRLRPAAPGH >ORUFI03G11470.2 pep chromosome:OR_W1943:3:7935922:7938688:-1 gene:ORUFI03G11470 transcript:ORUFI03G11470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHASSDVQLVIPSSRPHGHRCIAPSPPSSLHGPRNHHTSHRLDILAASLPKNPQILLFSTPPPRCLPPATPQCRLPPATPGRRRLRPAAPGH >ORUFI03G11480.1 pep chromosome:OR_W1943:3:7939568:7944604:1 gene:ORUFI03G11480 transcript:ORUFI03G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDDGEHEGGREGCSSAAVRRQVETDRSRIEGVAFDVLVVGELEQATRRHGGGAVEAEVCSGEAFEGELVFASRWRHQLDAVEDSEYKEAGDGPDDHHGYRDA >ORUFI03G11480.2 pep chromosome:OR_W1943:3:7939568:7942044:1 gene:ORUFI03G11480 transcript:ORUFI03G11480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLQEARENHVKKKVEEALRSKMKQKALKECDFYCSKYAECARGRTFSVVWQCRKQAKELNDCLHQFTNDAVLEEMKKAYMVEQESKEKNQ >ORUFI03G11490.1 pep chromosome:OR_W1943:3:7942076:7946764:-1 gene:ORUFI03G11490 transcript:ORUFI03G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNSASSLLLLLKLSHLAIVAALADGAGFTFNGFSAANLSLDGMAAVAPGGLLMLTNGSMVMKGHASYPTPLRFHGSRDGRSAAVMSFSTAFVFAIVGQYADVSSQGMAFFISPSKNLSTALPGHFLGLVNAGDNGNASNHLFAVELDTVLNGEFQDIDDNHVGVDINSLTSIEAATAGYYDDEDMGLFRNLSLISRKAMQVWIDYDGLTMELNVTMAPVEITKPKKPLISTIVNLSAVVTEPAYVGFSSSTGIIFSHHYVLGWSFKMNGTAPPLNISILPALPLMISERRSQVLVIVLPIVSLVLVLASAAMAIAVAKQRAKFAELREDWDVPFGTHRFSYKDLFYATEGFKESQLLGMGGFGKVYMGMLPKSKMMVAVKRISHESRQGMKEFVAEVVSLGRLRHRNVVQLLGYCRRKGELLLVYDYMPMGSLNKYLYDQDKPTLEWARRLQIIKGVASGLLYLHEDWEKVVIHRDIKASNVLLDVEMNGRLGDFGLARLYDHGTEPNTTHVVGTMGYLAPELGHRAKATPYTDVFAFGAFLLEVTCGRRPVEQEAPMVLVDWVLDYWRSGSIMETVDPRLRNGYAEEEVELVLKLGLLCSHPLASARPSMRQVVQYLNGDSDFPELRAAQMGFSMATLLKNKGLNPDAMSYAMTSSSSIGTMSSTLSGGRPISSFLVLTVFHCIKLVAPSASENQFAFEGFAGANLSLDGAAAVTPSGLLKLTNDKHIKGHAFYPTPVSFHLTPNSSATASFSATFVFAIVSEHAELSDHGLAFLVAPSKNLSATTGAQHLGLMNISDNGKASNHVFAVELDTVLSPELHDIDSNHVGIDVNSLQFIQSHTAGYYDDSTGAFMNLTLISRKAMQVWVDYNGQAMVLNVTLAPLGVSKPKKPLLPTGLSIDLSRVVEDIAYIGFSSATGLSIAYHYVLGWSFSLNGAAPALNPSKLPVLPKLEQRHHRSEILVVVLPIATAALVIGLLLVGFMIVKRWFRHAELREDWEVEFGPQRFSYKDLFDATQGFGSKRLLGIGGFGRVYRGVLSVSNSNSEIAVKRVSHDSRQGVKEFIAEVVSMGRLRHKNLVQLLGYCRRKGELLLVYEYMSNGSLDKHLHDKNNPVLDWNLRFHIIKGIASGLLYLHEEWEQVVVHRDIKANNVLLNNEMNGCLGDFGLARLYDHGTNPRTTHIVACGRRPLEHDLQDNQVVLLDWVLENWNRGQILDVVDPRLSSEYVAEEANLVLKLGLLCLQPLPSARPSMRQVLQYLNGTVLAPEMLPTDLDYDTLMFLQNERVESYAMLEASSLAINSWPWV >ORUFI03G11500.1 pep chromosome:OR_W1943:3:7954361:7955449:1 gene:ORUFI03G11500 transcript:ORUFI03G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALHVGEPRVSFQQQQQQQVGKNGQQGHGHGAVVEEIHGLIKVYRDGFVERIPAIPDVPCTWGTTASVPGVVIARDAVVDRATRVWARLYAPAAAAAAGRVPVVVYFHGGGFCVGSAAWSCYHEFLAKLAARAGCAVMSVDYRLAPENRLPAAFDDGVTAVRWLRQQAAISSAADELSWWRGRCRFDRVFLAGDSAGATIAFHVAARLGHGQLGALTPLDVKGAILIQPFFGGETRTASEKTMPQPPGSALTLSTSDTYWRMSLPAGATRDHPWCNPVTGRGAPRLDSLPLPDFLVCISEQDILRDRNLELCSALRRADHSVEQATYGGVGHAFQVLNNYHLSQPRTQEMLAHIKAFVRAR >ORUFI03G11510.1 pep chromosome:OR_W1943:3:7969265:7972299:1 gene:ORUFI03G11510 transcript:ORUFI03G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYIVLPPAAASIYKTSTSEGGGAQLPPPSINSSSLLTGNSFHSNVTVLKRAFEIATSQTQVEQPMCLGCMRLLSDKMDKEIEDVNADIKAHEVCLQHLEQESYNALSDAGFQEEKLRIEEEEKKPNAAIEEAEKQYSEISSEMKDLEIKSKEFEELEERYWHEFNSFQFQLTSHQEEREAILAKIEVSQVHLELLKRRNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFTPKFEYRIKIHPMGSYARVTDIHKNTYELYIMLTRFGPVDLFWSTRFDKAMTWFLTCLQDFAEFAISLDKENNVPPEKSLKLPYKIDGDKAAIVPSIVLELTGLGDSSFPTAGSFSSSVRFEIGEVRLEFVHPEKGLY >ORUFI03G11520.1 pep chromosome:OR_W1943:3:7970878:7972048:-1 gene:ORUFI03G11520 transcript:ORUFI03G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLEANLADLKSHGRRERSRGREAAVSQACELQNNGGHDCSLHSEATCWSLRFQRFGSEGGWSHSHAMSRGPWTRSYATCTAPGAAALVLVPFDGVAKAVDRSETVFCRRPTIRTHPQVFKWTFTAKNTAHLYSEVSLTGKKMFPKWKNGKIAYRK >ORUFI03G11530.1 pep chromosome:OR_W1943:3:7980423:7982117:1 gene:ORUFI03G11530 transcript:ORUFI03G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLLGNCIHHPVLLLVILACAGIAPASGAGGRWDVLQRSIGVSAMHMQLLHNDRVIIFDRTDFGLSNLSLPDGRCRRNPRERVVPMDCTAHSAEYDVASNTFRPLFVFTDTWCSSGTVAPDGTLVQTGGWNDGYRNVRTMAACEAGDDTCDWDETQDALAANRWYATNQILPDGRAFIVGGRRQFTYEFYPTADSSGGFAISLPFLVQTKDPEENNLYPFVHLNIDGNLFIFAKNRAILFDYKKNKVVRTYPELAGGDPRNYPSSGSSVLLPLKPSPTEAEVLVCGGAPAGSYTSTKDGTFSSALVTCGRIKITDTAPAWVIETMPSPRVMGDMILLPNGAEVVIINGAMDGTAGWESAKTPAYAPVIYRPDHSPGDRFEEQSSTDIARLYHSSAVLLRDGRLLVGGSNPHIYYNFSNVQYPTELSLEAYSPDYLDPSNDALRPTIVDPSPNGAAVSVTYGASLTLQFAVPAARRARGGGGGGGIGLVSVTMVAPSFTTHSFAMNQRLLLLDAVKTAALARASTYQTSVVMPATAALAPPGYYMVFVVNGHIPSEGIWVHIQ >ORUFI03G11540.1 pep chromosome:OR_W1943:3:7982742:7983239:-1 gene:ORUFI03G11540 transcript:ORUFI03G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAPACLTASHSSSFTSLPALSWWLRRPEVEEAGQWRAAATVEEEGDSGEEAERQWRRRSSGGGGGRGGEAASNGLLAAEEEAEWRRWRPSNSEGAPRHGGYEGGGPHDGERRTGGRDEEQTRGGGIGEKGREDREEVEKEENDRAALLACHKVAKKKEVVYLQ >ORUFI03G11550.1 pep chromosome:OR_W1943:3:7992647:7993531:1 gene:ORUFI03G11550 transcript:ORUFI03G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAAQGLALGLLLVCLLVGSDVAAAATYNVDWSFGADSWSKGKNFRAGDVLVFSYDPSVHNVVAVDAGGYSGCRESGTKYSSGNDRITLGRGTSYFICSFSGHCGAGMKMAVTAS >ORUFI03G11560.1 pep chromosome:OR_W1943:3:7994483:8001569:1 gene:ORUFI03G11560 transcript:ORUFI03G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRRRSRPVVPTPMARVRHRHLLLLVAAVAAAASALLPCASAVRPFVLVLSRDDFLKDTAGAHPSLPSADADSDEWDDFDDESPATDPLLSPSSWVPLLDPASASPSGDEPDSPSDALFVAGVRAMLSAASAGDDAAFATAAAQIEAAATGGHPGAQSALAFLSGAGMTRPASRSRAFLLHKFAADAGDLQSKMALAYSFFRQEMYEEAVTLYAELAEAALTSSLISKEPPVIEPVRLHSGTEENKEALRKSRGEDDEDFQITEYQAQRGNTVAMHKLGLLYYYGLRGVRRDYGKAYHWFSKAVEKGDTRAMELLGEIYARGAGVERNYTEAYKWLTLAAKQQQYSAYNGLGYLYVKGYGVEKKNLTKAKEFFEIAAEHKEHGGYYNLGVLYLKGIGVKRDVMTACNFFLRAVNAGQPKAIYQVAKLFQKGVGLKRNLQMAAVMYKSVAERGPWSSLSRWALESYLKGDIGKALLLYSRMADLGYEVAQSNAAWILDRYGDESICMGESGFCTDMERHLRAHALWWQASEQGNEHAALLIGDAYYYGRGVGRDYERAAEAYMHAQSQSNAQAMFNLGYMHEHGHGLPLDLHLAKRYYDQAVEVDPAAKLPVMLALTSLWIRKNYDGSFLVHFIDSLPEVYPVVEEWVEDVLMDEGNATIFTLFACLVTVLYLRERQRRQAAAANPQQPDGAPN >ORUFI03G11570.1 pep chromosome:OR_W1943:3:8002537:8003980:-1 gene:ORUFI03G11570 transcript:ORUFI03G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAQELLLQEVPQPRRTGAALIVGATGYIGRFVAEACLDSGRDTFILVRPGNACPARAASVDALRQKGAVVIEGCVGGKEGRKSVEAALRARGVEVVISVMGGASILDQLGLIEAIRAAGTVKRFLPSEFGHDVDRARPVGAGLRFYEEKRLVRRAAEASGVPYTFICCNSIAGWPYHDSTHPSELPPPLDRFQIYGDGDVRAFFVAGSDIGKFTIRAAYDARSINKIVHFRPACNLLSTNEMASLWESKIGRTLPRVTLTEEDLIAMAADDIIPESIVASLTHDIFINGCQTHFYIDGPRDIEISSLYPDIPFRTIDECFDDYIHVLNLAEEAKEEEKKKNAPTVGRLAIPPTCA >ORUFI03G11580.1 pep chromosome:OR_W1943:3:8005594:8007754:1 gene:ORUFI03G11580 transcript:ORUFI03G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRTIRAAISSLSPPTPPPFPLAREHQISGGDRGPRLARERKASGVEDADLREDADGEDHHPRGGEQRRRRQRQGQDPGQGRYPAGPAAPHLRREAAGGWPHAGRLQHPEGVHASPGASPTRRPHLDRALAQGPRLQAQHQQDGLQEVLCNSASQGYKLPQEEVWPQQSAEAKEGEVVQVLQLLKSHLIHHSISIIRVTSVQALSKLKFLLDAESRLLL >ORUFI03G11590.1 pep chromosome:OR_W1943:3:8008816:8011111:1 gene:ORUFI03G11590 transcript:ORUFI03G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIHPRDQRFVFNARQLDDNQSLADCNITHNSTIHFVFGIPCFYATPAYEQFNRLPRELGFEFDEQRRQEQRSKGDIAPANVKTVHCPDCQVQANVYYCNTKEDNEGCVFYRCPYFSAGGCQFVQCADTVDEGLQKRVEHATQETSCPQGNNMSLMEERLQRILDHMKWMEQFLIVCIIALAYVVFVK >ORUFI03G11600.1 pep chromosome:OR_W1943:3:8011401:8014510:-1 gene:ORUFI03G11600 transcript:ORUFI03G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIPSVSLRRDGAAGEEEAAPDPAALARWARAFCVIRFDLERGQLVEACYPPGALASATGLDRLVAFSSFPDSMSHHLPRHRSSVHDSLFSFRVPGPSPSASVPGSRRGFLYGFVFNRQRQDERLPRGGEQKSVVILSHAPYSSLFRLLLQILGPLCFDVGPSALSMVASHVAAWPAPVPGRPMELPIGSAALRVHLPPAADDPGPPPALLPANPSVPYGLFHDADLFAAFRGLLLHLWTLWELMVVGEPVLVVAPSPPQCSEAVAGLVSLVAPLLYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLKSLKSIPNVVSVGSPNPNSTRVLPVGGQSHGSGNGINGTPGKLKLDKLAINKFSPTGLLNSIKLRREGPLCLMTEHKEALWSTYVSTTKPDTSVLNRLIDAGVSPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPSEGSSPFVDPPLLPPFHADEFVNGLAARGAGKFLSKRMKSNWLDLYRRFLEGPNFMPWFRQRRAAAEQEQQRLWRQARMNVDIEKLMSKLSELERIDSFNAIERYLLREMENSRTGATESVTVCEKLKGDLRAAFSVLPKDMQQLLLSNPKRALLLQGSNEKIPGLDGIVSQTSL >ORUFI03G11610.1 pep chromosome:OR_W1943:3:8022194:8026549:1 gene:ORUFI03G11610 transcript:ORUFI03G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASRFAAALFHRRTRRVTSALAYAVLEWTLIALLLINGLFSYAIARFAAYFGLRPPCLLCSRVDRLFEKATEEEDGARWLRSVLCGAHAAEISGLGYCLHHGRLADAGDMCEACLSSSKEESIKDAGEESATVCSCCYAVVKTSSRELPDKGQGQSEEKTTEEDRNQGYVPLAQEEHGEEEDQGYVILAQEEHDEENEEEHGEFQEEERQEEVDDKDEQEEKMAAVQDESLEVMAVGEEIELDGERLLSVAAIDEMTIADESGLHQACCEKEKEMDHIDGEHELRDLDIGVVLEEKRMLDSSAATADVAIEDDFVVPVPCAEPVTSPPDPHENIIPHDDELVIEDVAQIGDATAEEETVEEEEVETAEEEEIVVPEVIEEVSEDDNQLSSLVIWYASLIVFLSVTEAVPEDDNRSAEVDTNCEVSIGSEICEREQDDHVVPFHESAEFEEPTDPLAYPDEQPLPLESLHETDPTAQEASETEQEEEATASQRLDQPPNEQNEVEDDKAPETPTYSVATQISDKKFLLERKRSLSLSLDGSVASEMELSEPSTVDQLKSALQAERKALSALYSELEEERSASAIATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELVTKREREKQELERELDMCRQKVLHYEDKERRRMASFKANGHSPNGNGTSVSSSGEDSDGHSDEYCELGESPDGSNLQSPSDAAFSPRTDQENKKHLVALDDSLTYFEMERLSILEELKTLEERLFTLEDDDINDTSAAVGRSSDEYELSADGLHSPGNGDITSDKAKFEGRNSICRGKSLLPLFDAAGDESSDQTPSARVGDVQVDNSTKPVSVLVKEQERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKKGDRGMDLLQEILQHLRELRSVELHVKHAGDALAANSA >ORUFI03G11610.2 pep chromosome:OR_W1943:3:8022194:8026549:1 gene:ORUFI03G11610 transcript:ORUFI03G11610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASRFAAALFHRRTRRVTSALAYAVLEWTLIALLLINGLFSYAIARFAAYFGLRPPCLLCSRVDRLFEKATEEEDGARWLRSVLCGAHAAEISGLGYCLHHGRLADAGDMCEACLSSSKEESIKDAGEESATVCSCCYAVVKTSSRELPDKGQGQSEEKTTEEDRNQGYVPLAQEEHGEEEDQGYVILAQEEHDEENEEEHGEFQEEERQEEVDDKDEQEEKMAAVQDESLEVMAVGEEIELDGERLLSVAAIDEMTIADESGLHQACCEKEKEMDHIDGEHELRDLDIGVVLEEKRMLDSSAATADVAIEDDFVVPVPCAEPVTSPPDPHENIIPHDDELVIEDVAQIGDATAEEETVEEEEVETAEEEEIVVPEVIEEVSEDDNQLSSLVIWYASLIVFLSVTEAVPEDDNRSAEVDTNCEVSIGSEICEREQDDHVVPFHESAEFEEPTDPLAYPDEQPLPLESLHETDPTAQEASETEQEEEATASQRLDQPPNEQNEVEDDKAPETPTYSVATQISDKKFLLERKRSLSLSLDGSVASEMELSEPSTVDQLKSALQAERKALSALYSELEEERSASAIATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELVTKREREKQELERELDMCRQKVLHYEDKERRRMASFKANGHSPNGNGTSVSSSGEDSDGHSDEYCELGESPDGSNLQSPSDAAFSPRTDQENKKHLVALDDSLTYFEMERLSILEELKTLEERLFTLEDDDINDTSAAVGRSSDEYELSADGLHSPGNGDITSDKAKFEGRNSICRGKSLLPLFDAAGDESSDQTPSARVGDVQVDNSTKPVSVLVKEQERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKKGDRGMDLLQEILQHLRELRSVELHVKHAGDALAANSA >ORUFI03G11620.1 pep chromosome:OR_W1943:3:8027729:8029765:1 gene:ORUFI03G11620 transcript:ORUFI03G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLLPIMATKSAKDLVGDSKALVAASDVVTSSAIAESYNDQIRPLLDAVDRLRHLKVTQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDDPSADSPKLQLEYSNGRVVTTSEAKVADAINAATAEIAGSGKGISDAPITLVVRKRGVPDLTLVDLPGITRVPVQGQPDDIYDQIAKIIKEYIAPKESIILNVLSATVDFPTCESIRMSQQVDRTGERTLAVVTKADKAPEGLLEKVTMDDVNIGLGYVCVRNRIGEETYDQARIEEERLFKYHPLLSKIDKSMVGIPVLAQRLMQIQATIIAKCLPDIVKQINDRLSRHSSELDQMPPDLNNVADAVRAFFHIVKQVCASLEKVLVRGEFDEFPDDRHFHGTARIAEKMDGYKRRLPAECPKSSDDDAMFLMEEVRVLEETKGINLPNFLPRSAFLVLLKKKVETVMHVPHELVNEVWGYVEDVVMNILLKHSENFPQVQPSCRRAVQTLMDKARARSAQHVKELIEMELVSDYTANPDYMRTWTEIMEGHDSFMEAVEDGSKPARITLEGFGEVDVSHLRAHAGLAGQAFDLRARLTAYWRSIVLRLVDGLALHVLRVVKRLVEHDLEAELADELLGNKMAGVERMLAPSPSTGAKRERLKKSIVLLRQSKEVVANIMDRISAAGEV >ORUFI03G11630.1 pep chromosome:OR_W1943:3:8030116:8034700:-1 gene:ORUFI03G11630 transcript:ORUFI03G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLRSPLVLLLLLALHRLCAPWLVVADDGASVARSAFSMDGDVAWVVQVSDLHISAYHPDRADDLASILGPALRAIRPHLLLVTGDITDAKNRRKTTSRQDEREWITYKKAIDAIVGIGGIDKSRIFDIRGNHDTYGVPYRGGKLDFFSTYSVNSQLDRLSTISSILLQGRRNYLFLGIDDTMSIGIRYPANLFGHPTDKRIDAVNSELQYWSNHSNVPITKVVFGHYPMSFTTSSQKGQRYESIFAKQSISAYLCGHLHAKISKQLWRFHEMSATTQEQKSSYWEWELGDWKESRLMRILAIDGGAISFIDHTLKQAFQTSILITYPTDSRSMNTLESMNWSTRNDINVIRNVSARVFDSHNEFKIVEEIPLQLVATPSVHKPLFHAEWNAENYKSPSPTRYWLQVFAQDSQGGKTSSERRPFSVEGKVQIQSRPCLNYLIFEVQWEDMYQVLLWSNFAFIIVLLFAPKLLYHFMKKSLTYQRWTVSVMLSPIQPRKAYFWLVWFLMEGARSRPIWFSLLIYVIWLIEMPWFWGHATSEKGEIAQMYLSGWSIPSLGEDLTWNKSSNPDVLVITLPFLYLVVVPVVVVIYSLFAEKAVACLRHSRRTENTVNPTNSNPESGYLLPSASVEPLRQENSLNGNEILWRLDKEGAPIVVLYHCGDTFEAFLKANVSLWSQTSSLFSSSNVDAVVIIKCYCLLYNAQHILVLSAIFEARIY >ORUFI03G11640.1 pep chromosome:OR_W1943:3:8038924:8039184:-1 gene:ORUFI03G11640 transcript:ORUFI03G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRRQRPAAAAAGGLLGPWLLVAVLVYSSFLGSTVFLAVDAARTSAFVAVAPLPMAPSPSPTAAELVGDSKRKVPTGANPLHNR >ORUFI03G11650.1 pep chromosome:OR_W1943:3:8050114:8053401:1 gene:ORUFI03G11650 transcript:ORUFI03G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHGDHRHHHHHQEAGVLVDEEEEEEVIEQACGGPTSGVVEQEVGGDGGGVCQDAAGMVFEATSSVGSVSATMGPPPIMCWPPPAQPVHGAIHHHHNLGGGGGQQSPFFPLLPPLPPQPPPPPPFFADFYARRALQYAYDHSGGASSSSDPLGLGGLYMGHHGSHVAGMMMPPPFAPSPFGDLGRMTAQEIMDAKALAASKSHSEAERRRRERINAHLARLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSEITEEACPLPTESDELTVDASSDEDGRLVVRASLCCDDRTDLLPDLIRALKALRLRALKAEITTLGGRVKNVLVVTGDDSAAAAACAGTDGDGEQQEEAMQAPMSPQHTVASIQDALRAVMERTASATEESGGSGAGGGLKRQRTTSLSAILENRSI >ORUFI03G11660.1 pep chromosome:OR_W1943:3:8058000:8061818:1 gene:ORUFI03G11660 transcript:ORUFI03G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSVTVIEDGRVAVPPPPPPASGDAAPPPQTTLFKLTALDAQWIPLPLIQRVLVFDGGEGRIPPFEDVVAALRASLAETVARLLPLAGRIVHLPETGEAAIDCSGRGVAGGGVRFVVAECGGADAARVARDADHDVALLEQLAPVLDADALPAETMAAQVTRLGGGGGGGVAVGVALHHAVADGRSVWRFIEAWAACCRGDDAWSAAPAPAFDRAAVALPDGEELARDVLRKYVPNLPVATVPKFLVKGRLQLSRRTFTVAAAQMLRLKQRIVVAGAGGLTPPSGFVALAALAWVSFVRSKHAAGAIAAGDEVYLFFFIDCRGRRAAFDPPVGEARPPRRGFFGTCISGCLATATARDLLGGGDGGLVAAARAVQEEVRRAAEDPLAGWEWMSLVGRIALSRLVNMSGSTRFPAYEAADFGWGSPSRTELVTMNHGGQVVLVAAKGGGVQASVSMKPEHMDKFKSDFESYLE >ORUFI03G11670.1 pep chromosome:OR_W1943:3:8065887:8066783:-1 gene:ORUFI03G11670 transcript:ORUFI03G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKSYGHLLSPVGSPPLDNESGEAAAAAAAGGGGCGSSAGYVVYGGGGGGDSPAKEQDRFLPIANVSRIMKRSLPANAKISKESKETVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFEAYVGPLKSYLNRYREAEGEKADVLGGAGGAAAARHGEGGCCGGGGGGGADGVVIDGHYPLAGGLSHSHHGHQQQDGGGDVGLMMGGGDAGVGYNAGAGSTTTAFYAPAATAASGNKAYCGGDGSRVMEFEGIGGEEESGGGGGGGERGFAGHLHGVQWFRLKRNTN >ORUFI03G11680.1 pep chromosome:OR_W1943:3:8077834:8080270:1 gene:ORUFI03G11680 transcript:ORUFI03G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARRLLHGARPLRRHPQRRLPEHDVQREPAELHRPGERGDVPGEQVQRRPDCVRHQGGHRDGRVRRQDPPQARRRPIASHHVSHIHTRYSESVIVQRSLEVIKPRGGVHRWPWRGGAATRTRHARACWQLDHLWFVGVGIGPRLLVRQWRWPLATGRSNIMLC >ORUFI03G11680.2 pep chromosome:OR_W1943:3:8077893:8080270:1 gene:ORUFI03G11680 transcript:ORUFI03G11680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARRLLHGARPLRRHPQRRLPEHDVQREPAELHRPGERGDVPGEQVQRRPDCVRHQGGHRDGRVRRQDPPQARRRPIASHHVSHIHTRYSESVIVQRSLEVIKPRGGVHRWPWRGGAATRTRHARACWQLDHLWFVGVGIGPRLLVRQWRWPLATGRSNIMLC >ORUFI03G11690.1 pep chromosome:OR_W1943:3:8089309:8093908:1 gene:ORUFI03G11690 transcript:ORUFI03G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSSEHKKSGPSVPSPTPVSPLSVALSLHATRSLVSSRRPPGATAFRPPSMASTDADEDQLLKSFLAEVSEAERDNEVLRILGCFKLNPFEHLKLSFDSSADEVKKQYRKLSLLVHPDKCKHPKAQEAFAALAKAQQLLLDPQERGYILDQVTAAKEELRAKRKKELKKDSASKIKSQVDEGKYEEQYERSEEFQKQLIIKVREILTDKEWRRRKMQMRISEEEGRLKKDEEETKEMWKKKREHEEKWEETRDQRARKGEIKPPKLKTEDPNKSYVQRPVKRA >ORUFI03G11700.1 pep chromosome:OR_W1943:3:8095497:8096347:-1 gene:ORUFI03G11700 transcript:ORUFI03G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGQVVTGCGHGPGGRPFGAAQRESSDTACRREQLEPLFFDEPFPTEIDGGSTMRVLENFRISQGAAATPD >ORUFI03G11710.1 pep chromosome:OR_W1943:3:8110696:8111880:-1 gene:ORUFI03G11710 transcript:ORUFI03G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVFETAEQRLPCHAAAAELGADGGKKVAVDDDASGGGPGQAVLLLQETDHGGDDDDRPERDDVWNMIQSQRPPVAAGKQQQAAAPYVHPLVRRSSSLLSQKSLEICTESLGSETGSDGFSDADGSTDRSCPASDDDSDGGAEEVAARASPPRAFPPPLPSLARRTVGAVQMTQDRRDGRLVVKAVPVPSATLFRAQRCGGRLLLSFADTAAPSSDEDDENNDQEEPEQQADEVAHDEEEEEDDEDDEEEVEVVDRGTIVEVKVSTQPQARSNGGGSVGPRVHRSSLVINKFVNAEPAIAASDISDTAATAPNPPRRPTGSTTTAAAALVAASSLSATSAPSGSDNPGGESKLLMTTCRRRRSKEELMNHMRRCGQLSGKLFIWEPRIATSS >ORUFI03G11720.1 pep chromosome:OR_W1943:3:8126987:8135846:-1 gene:ORUFI03G11720 transcript:ORUFI03G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITSHWPKLPPHAKASLKQALIDSITIDHSHLVRRASANVVSIIAKYAVPAGEWPELLPFIFQCSQSPQEDHREVALILFSSLTETIGTTFQSHLNDLQPILLKCLQDEASSRVRIAALKAVGSFIEYVNDGGDVVKMFRDFVPSILNVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVCSNQELEINIRQQAIQIISWLVKFKASFLKKHKLVIPILQVMCPLLTETADEDGDSDLAADRSAAEVIDTMAINLPRHVFPPVLEFASVSFRHINPKYREAAVTSLGVVSEGCCEHLKDKLEDCLKVVLEALKDQEQMVRGAASFALGQFAEHLQPEILSHYESVLPCILNALEDPSDEVKEKSYYALAAFCEDMGENILPYLDPLMCRLVMSLQGSPRNLQETCMSAIGSVAAAAEQAFMPYAEKVLEMMKGFMVLTNDEDLCARARATEVVGIVAMAVGRARMETILPPFIEAAISGFVLDYSELREYTHGFFSNVAEILDDSFAQYLPHVVPLAFSSCNLDDGSAVDIDDADSVDNGFSGVSSDDDVNDEPRVRNISVRTGVLDEKAAATQAIGFFALHTKSAYAPYLEESLKILIRHSGYFHEDVRLQAIISLKHILTAIRAIPPAHADVLEKQKDILDTVMNIYIKTMREDDDKEVVAQACTSLADIVRDCGFAIIEPYITRLAEATLILLRQESCCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMGSYFDPIFTKLFDSLMKFAKSPHPPQDKTMVVATLAEVAQGMGAPISAYVDKIMPLVLKELASSEATNRRNAAFCVGEMCKNGGAAALKYYGDILHGLHRLFADSEPDDAVRDNAAGAIARMIMVQPQSIPLNQVLPVFIKALPLKEDHEESMVVYSCVCNLLLSSHPQILPLVPDVINAFAQVVVSPNESDEVKIVVAKAVSHLISVYGQQMQPILSALPPAHANALASFANRR >ORUFI03G11720.2 pep chromosome:OR_W1943:3:8126987:8135846:-1 gene:ORUFI03G11720 transcript:ORUFI03G11720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITSHWPKLPPHAKASLKQALIDSITIDHSHLVRRASANVVSIIAKYAVPAGEWPELLPFIFQCSQSPQEDHREVALILFSSLTETIGTTFQSHLNDLQPILLKCLQDEASSRVRIAALKAVGSFIEYVNDGGDVVKMFRDFVPSILNVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVCSNQELEINIRQQAIQIISWLVKFKASFLKKHKLVIPILQVMCPLLTETADEDGDSDLAADRSAAEVIDTMAINLPRHVFPPVLEFASVSFRHINPKYREAAVTSLGVVSEGCCEHLKDKLEDCLKVVLEALKDQEQMVRGAASFALGQFAEHLQPEILSHYESVLPCILNALEDPSDEVKEKSYYALAAFCEDMGENILPYLDPLMCRLVMSLQGSPRNLQETCMSAIGSVAAAAEQAFMPYAEKVLEMMKGFMVLTNDEDLCARARATEVVGIVAMAVGRARMETILPPFIEAAISGFVLDYSELREYTHGFFSNVAEILDDSFAQYLPHVVPLAFSSCNLDDGSAVDIDDADSVDNGFSGVSSDDDVNDEPRKSPHPPQDKTMVVATLAEVAQGMGAPISAYVDKIMPLVLKELASSEATNRRNAAFCVGEMCKNGGAAALKYYGDILHGLHRLFADSEPDDAVRDNAAGAIARMIMVQPQSIPLNQVLPVFIKALPLKEDHEESMVVYSCVCNLLLSSHPQILPLVPDVINAFAQVVVSPNESDEVKIVVAKAVSHLISVYGQQMQPILSALPPAHANALASFANRR >ORUFI03G11730.1 pep chromosome:OR_W1943:3:8138349:8142410:1 gene:ORUFI03G11730 transcript:ORUFI03G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQQGECCRHGWLGVGEAAVGGGGEEPFYVPLRKRLSVDGKASTAPRICIWECDGEAGDITCDIVAAPLRRSCSAKAMPPPAPLFRMMTPPPPRPQRGDGEEARRPGEAIRKGHRSYSLMLNLQLGISYSVGKSSALPFQKLAASDFDPREKVWTRFPPEGSKFTPPHHSVDFRWKDYCPAVFRHLRKLFGVDPAEYMLAICGNDTLRELASPGKSGSCFFITQDDRFMIKTVKKSEVLIRMLRSYYEHVRQYKSTLLTRFYGTHCIKQAGCPKIHRRFDLKGSSHGRTIDKTERKIDETTTLKDLDLQYAFRLKRFWYEELMKQIQMDCTFLETQGIMDYSLLLGVHFRNDYSVSKIGISQHIAFPKSTGKRKSFEGGSSFCELCFVESGCKDRDLIDSRKPFIQLGINMPAQAERSSKKILDNFLLNERHLFITPPSGGSCDVYLFFGIIDILQDYDITKKLEHAYKSFQVNPDYISAVDPKLYSRRFQDFIRRVFIKEQ >ORUFI03G11740.1 pep chromosome:OR_W1943:3:8147898:8151640:1 gene:ORUFI03G11740 transcript:ORUFI03G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASDGSGSGGEQRRLLSIPKEGERIIAPTRRPDGTLRKAIRIRAGYVPQEEVAIYQSKGAQMRKSGPDVPPGYDPALDAKPKTKAAKRNERRKEKRQQASTTNDKGKGLHIEDDAGETDNPKDAVDSVTKQISGIAISESLVVATSSTDATDNSKSESSAPDIDKKIRALKKKIRLAEAQVQGDPENLKPEQLEKMKKIEGWKEELKLLENKSSPAAS >ORUFI03G11750.1 pep chromosome:OR_W1943:3:8153351:8156864:-1 gene:ORUFI03G11750 transcript:ORUFI03G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPAAPPSPSSSSGGSSRRRRRLERRNAAKHIGYDASNFCAYPQSPPAASAPASGSPSLACSPACSLDLTSFRIGGSGDGCRDVQLLCSSLGLSGVDDFAVPVADWEAHKAGRSSFSSSASTPKPREEPPARDSPVRREVAAEEEPPSLPAPAAAPVLPAKETPRSVAIEAPAPLLRVDPWEPARPDVRKASGEGGIKGVRPPPVVLKPPPSMVRPAVCVVESTWDILRSFAPEEDSHAHAPASRSGGDSACQDAGEEEEDAAAVLTLEELRLGETSEEFTGTSSLSTTNDDETSSTTTESMFYISPNGRFRRKIRSWNRGMLLGSGSFGTVFEGISDEGVFFAVKEVCLCDQGSNAQQCIFQLEQEIALLSQFEHENIVQYYGTDKEDSKLYIFLELVTQGSLASLYQKYRLRDTHVSAYTRQILNGLTYLHERNIVHRDIKCANILVHANGSVKLADFGLAKEITKFNVLKSCKGTVYWMAPEVVNPKTTYGPEADIWSLGCTVLEMLTRQLPYPGLEWTQALYRIGKGEPPAIPNCLSRDARDFISQCVKPNPQDRPSAAKLLEHPFVNRSMRSIRSMRTSSRSNSSVRGING >ORUFI03G11760.1 pep chromosome:OR_W1943:3:8161351:8176183:-1 gene:ORUFI03G11760 transcript:ORUFI03G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSADPEAPTPTPSPSPSPSPSPAKATPSPASADGNRLRRCVQSKLSWGPPKAGGGGGEAGGAGLPPLAAGDGAPEKVKKRGRPRKSEAGKKPSSNRETTGLEQDSKDEVILVDESPQKKQRKGRGKNQGAALKVPNRKHCKALESTVGHESCQQLRSSQTQAVLPQKSPTSVDIDLVTGPSEASPVNDNVDALDNEDKPQLIVDLRSEANIAAEENRRLSSGKKMHPFFASRKIHKGAGQDILNVEDEDMDSLCAFERDPPLCPVHVLYELEVTMPIHWSNKWLIADKSFLGTSTTEQNSAEHADPGKHLANFHDKQNKSKFSSQDVIDVDDECLLASSSCFHASLFESKQHERVQHELPEVTPKGCQTANLWTDKYRPETAAQVCGNSEHVKFLNEWLKGWDERGHRNKQNIVTNGSMNGRSCQDGSDTDYSEDASDYENVLLITGPVGCGKSAAVFACAREQGFNVIEVNTSDMRNGAYVRQKFEEATKSHGLEKWSQEEIIGLPISNSLDPASGTPGTAEYKQVINKTLILFEDVDTVFDEDRGFISTILKMVETTKWPIILTSNKKDPPLPHLLAQLVLDFTYPSSAELLSHVDMICKSEGVEITVPQQKHIIDAFLGRLNKCLSCPSLLDLDAVHSTVPRIMPWDFPCKLSETIYMEIDKTIVTAEQKKKQMEVSEFEGLELQIMTPLTKGRSAGKTRKPKKSKLKHGRSADCNDASPCKNDLDDFHDSPDIPLPSNHQRMRNRRGVVLFAESDDDLADAHAAKDATFTVQEGRLLPQSSELPCLYGHGISNIVPESVFFQQSSVPHLHREVISNQLCFPSESRAFEPASSFQNQLESNMPGSISQICDTFMSQGISCVPESSFMVGGTSASISSDDLLSSLVSNGLSALRNESTYTASVVALEDTNKVENQMTDEPQKCMEDEVGETCEAYVELADRNDHASCSITGYQLMDECSRAESVWLLSGKKNNDSCKVEHVQDTWNRLRRCHPVLPCDMNHNRSVSGALKRVSRVSDLISESDLMLISCHPFSNDISDPSLTPYTESDGFSYSKQLEMGSIYAQHGLCIFLQDSQATDDGFVDLLQELLFSGTTTTSLGKFVSSGISCGDGSGNISHVKYPTSCISKRRERQARLREVLLPVVPPKLSQSLRGPAFVDYLSSMSQISQLENMQLSECKASSKQRRCRQPRHYLSSGALSLSAEDIGLLAQCSTFSDRRESETIIEQAIS >ORUFI03G11770.1 pep chromosome:OR_W1943:3:8176898:8180081:-1 gene:ORUFI03G11770 transcript:ORUFI03G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAEKREAEQEELQQQHDEPAVPSADDDEAEAEENERRNRELKAGFHPLRRRFVLWYTRRTPGARSQSYEDNIKKIVDFSTVESFWVCYCHLTRPVSLPSPTDLHLFKEGIRPLWEDPANRSGGKWIIRFKKTVSGRFWEDLVLVLVGDQLDYSDDVCGVVLSVRFNEDILSVWNRNASDHQAVMTLRDSIKRHLKLPHSYLMEYKPHDASLRDNSSYRNTWLRG >ORUFI03G11780.1 pep chromosome:OR_W1943:3:8183290:8188714:-1 gene:ORUFI03G11780 transcript:ORUFI03G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSLPGRRIDERSPPHVALPDPLSLPLPIGDLAAALEPSPVSPLPPSSPFPHGGVGADGAFELTPMSSLPFFLPLLSLLPHLVAAPPRAGRARRPSEPAQVLPSSFSLHLCRRRRLRRGRTDAAPVVAVVAVSPPFFSLPFCQRRQPSPFVSLLSVSQRRRPPATAVLCQHLLRPPPLCPSAPLFPPTQIYGAAPWSAAQFLAGSDSLTGGERRSGRPARMEEADWQKLAVEISIKPVPFKHPGPTSSAHEAISGTDTLRSLPVGSSAPGKADKKCALFYGVTISEEQARSGIVVRVNSAAQSEFKLLFFEQEFDGGYGLALQASKLRENRLHQASVKRKTST >ORUFI03G11780.2 pep chromosome:OR_W1943:3:8183783:8188714:-1 gene:ORUFI03G11780 transcript:ORUFI03G11780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSLPGRRIDERSPPHVALPDPLSLPLPIGDLAAALEPSPVSPLPPSSPFPHGGVGADGAFELTPMSSLPFFLPLLSLLPHLVAAPPRAGRARRPSEPAQVLPSSFSLHLCRRRRLRRGRTDAAPVVAVVAVSPPFFSLPFCQRRQPSPFVSLLSVSQRRRPPATAVLCQHLLRPPPLCPSAPLFPPTQIYGAAPWSAAQFLAGSDSLTGGERRSGRPARMEEADWQKLAVEISIKPVPFKHPGPTSSAHEAISGTDTLRSLPVGSSAPGKADKKCALFYGVTISEEQARSGIVVRVNSAAQSEFKLLFFEQEFDGGYGLALQASKLRENRLHQASVKDQSCHSYCCAFVVD >ORUFI03G11790.1 pep chromosome:OR_W1943:3:8189744:8190451:1 gene:ORUFI03G11790 transcript:ORUFI03G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSNGEHHHGPPSYQQRRPHYGGGSASFRGCCCCIFLLLTFLALLALAVALVVVLVVKPRKPQFDLNQVSVQYLLVTPPSSAASAVGGTVAAAVPAAAYLSLNITLLFTAVNPNKVGIRYGATAFDVMYHGVPLGVAAVPGFEQPAHSTRLLQTRVIVDRFNVLQADAQDLVRDAAISDRVDLRITGDVGAKILVLGFSSPKVQVNPTPPKPNFPLPPPQAADYARPDRESCV >ORUFI03G11800.1 pep chromosome:OR_W1943:3:8194454:8194761:-1 gene:ORUFI03G11800 transcript:ORUFI03G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGAFDMWGKAADRKVSLSALKNTNSQHSLSWSHHKLQKAKGLQVMQIIGYAARIREQ >ORUFI03G11810.1 pep chromosome:OR_W1943:3:8196207:8201945:1 gene:ORUFI03G11810 transcript:ORUFI03G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGDAFGSATAPLAWHDFLERMRQPSAADFVKSIKGFIVTFSNRAPDPEHDSAAVQEFLENMEGAFRAHTPWAGSSEEELESAGEGLEKYVMTKLFNRVFASVPEDVKSDEELFEKMSLLQQFIRPENLDIKPEYQSETSWLLAQKELQKINMYKAPRDKLACILNCCKVINNLLLNASIVSNENPPGADEFLPVLIYVTIKRYRRQSRLVSEAQYFFTNILSAESFIWNIDGESLSMDERDFQKKMDLARERLLGLSASSENQDNQNNLDVREQKSQTLKASRDSDVNLSLKDNFQGPGLEMRRDSDASSNPVERVQSISDLEKKGAAELLKDDDLNKKIQEYPFLFARSGDLTVADVENLLNSYKQLVLKYVALSQGMGINLENPPVQSMQTVSDLVESEEPKNVKNAVNFSEGSSKTSDDIKNDTLYSEVDNTEKEIRKAMLRGNPIFIVCNNKIKRLHTCWPCVAG >ORUFI03G11810.2 pep chromosome:OR_W1943:3:8196207:8201138:1 gene:ORUFI03G11810 transcript:ORUFI03G11810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGDAFGSATAPLAWHDFLERMRQPSAADFVKSIKGFIVTFSNRAPDPEHDSAAVQEFLENMEGAFRAHTPWAGSSEEELESAGEGLEKYVMTKLFNRVFASVPEDVKSDEELFEKMSLLQQFIRPENLDIKPEYQSETSWLLAQKELQKINMYKAPRDKLACILNCCKVINNLLLNASIVSNENPPGADEFLPVLIYVTIKRYRRQSRLVSEAQYFFTNILSAESFIWNIDGESLSMDERDFQKKMDLARERLLGLSASSENQDNQNNLDVREQKSQTLKASRDSDVNLSLKDNFQGPGLEMRRDSDASSNPVERVQSISDLEKKGAAELLKDDDLNKKIQEYPFLFARSGDLTVADVENLLNSYKQLVLKYVALSQGMGINLENPPVQSMQTVSDLVESEEPKNVKNAVNFSEGSSKTSDDIKNDTLYSEVDNTGTQQTAVDPSYQKAQQDEASDQPEHA >ORUFI03G11820.1 pep chromosome:OR_W1943:3:8202805:8203581:-1 gene:ORUFI03G11820 transcript:ORUFI03G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNTSNKCIAAAGATAAAGLGGGAASCSGGGGDGKVTTAAAAALAVRPYKGVRMRSWGSWVSEIRAPHQKRRIWLGSYATPEAAARAYDAALLCLKGSDAILNFPSSASSRRRLDIHRGSTDSAAGDMSPRSIQRVAAAAAAAFDAAAAGVVVDESCSCSAEAMSSTPTSGATSLSTLGSSGGGDVLDHATTPSSSSSAAANVCSPPLEGDHELWTELDAFASPKFMDLMAAGGTAFSSPWEEPEEDGELMRLWSFC >ORUFI03G11830.1 pep chromosome:OR_W1943:3:8208333:8208569:1 gene:ORUFI03G11830 transcript:ORUFI03G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWERVVDDVPHQQHCALTDDVDTSSMSGRERESSWSGWRMLMDEAEESKRPSMPIRRRHPVALEAPLTQQQGRGAAK >ORUFI03G11840.1 pep chromosome:OR_W1943:3:8218662:8220401:1 gene:ORUFI03G11840 transcript:ORUFI03G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTMEDVAGDFEFSGCGSTTTTSSASSLDDGTGMCYAWGELSPVADWANFCCSDDDGGHDLHGLIESMLCDDTLVGVDDDGQAGLHHTDMFRDDLYCYGNGSNPSSTTTTNPGSPVFDDPTQGCPEKGLRLLHLLMAAAEALSGPHKSRELARVILVRLKEMVSHTAGANAAASNMERLAAHFTDALQGLLDGSHPVGGSGRQAAAAASHHHAGDVLTAFQMLQDMSPYMKFGHFTANQAILEAVSGDRRVHIVDYDIAEGIQWASLMQAMTSRADGVPAPHLRITAVSRSGGGGARAVQEAGRRLSAFAASIGQPFSFGQCRLDSDERFRPATVRMVKGEALVANCVLHQAAATTTIRRPTGSVASFLSGMAALGAKLVTVVEEEGEAEKDDDGDSAGDAAAAAAAGGFVRRFMEELHRYSAVWDSLEAGFPTQSRVRGLVERVILAPNIAGAVSRAYRGVDGEGRCGWGQWMRGSGFTAVPLSCFNHSQARLLLGLFNDGYTVEETGPNKIVLGWKARRLMSASVWAPPPLPVPSSPPEGVCQPVVGMAPVATGGFARTEFDYIDSFLVEPAYALV >ORUFI03G11850.1 pep chromosome:OR_W1943:3:8223727:8228145:1 gene:ORUFI03G11850 transcript:ORUFI03G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDKVGCSPEPLPLDRLLAELAANAERLGRRWEAALRKRGREGARAAGVGLEEGRKADSAAMQLHTPLFYATCALGGLLSTGLTHLAVTPLDLVKCNMQVDPGKYRDISSGFGVLLQEQGLGGFFKGWMATLVGYSSQGACKFGFYEFFKKCYSDIAGPEHAEKWKTFIYLAASASAEMIADVALCPMEAVKVRVQTQPGFARCLTDGFPKIVQSEGFIKDYFLSGVAKFLIGPHLLKYTMVKFACFETIVELVYKHAVPKPKDECSKPLQLAVSFAGGYIAGVFCAAISHPADNLVSFLNNAKGGTMADAVRTLGVWGLLTRGLPLRIIMVGTLTGAQWATYDAFKVFVGLPTSGGFIPSPAATDLRSVDHEKRS >ORUFI03G11860.1 pep chromosome:OR_W1943:3:8227637:8230165:-1 gene:ORUFI03G11860 transcript:ORUFI03G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREWGSKPGSGGAASAQNEVIDRRERLRRLALETIDLAKDPYFMRNHLGRYCLPPAKTLAFFFLDSVCSLALIPLDFVSSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPNKRKFAPRKSVKIGRPGYQVTKQYDPDMKQHSFLFEIGYPEIEENSKPRHRFMASYEQKVESWDKKYQYLLFAAEPYEIIGFKIPSAEIDKSADKFFNYWDPDKKQYILQLYFKTRQPEANKPPAAPGTLPNGSGGPPRPPPPQVPPPPPQAPPPPPPNAPMGMPPRIPPPPVGGTQPPPPPPPLANGPPRSIPPPPMTGGAMANFTPGAPPRPPMQGFPGPQQ >ORUFI03G11870.1 pep chromosome:OR_W1943:3:8231731:8233300:-1 gene:ORUFI03G11870 transcript:ORUFI03G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKQQQQRPQRGRDGILQYPHLFFAALALALLLTDPFHLGPLAGVDYRPVRHELAPYREVMARWPRDNGSRLRHGRLEFVGEVFGPESIEFDRHGRGPYAGLADGRVVRWMGEDAGWETFAVMSPDWSEKVCANGVESTTKKQHEMERRCGRPLGLRFHGETGELYVADAYYGLMSVGPNGGVATSLAREVGGSPVNFANDLDIHRNGSVFFTDTSTRYNRKDHLNVLLEGEGTGRLLRYDPETKAAHVVLSGLVFPNGVQISDDQQFLLFSETTNCRIMRYWLEGPRAGQVEVFADLPGFPDNVRLSSGGGGGRFWVAIDCCRTAAQEVFAKRPWLRTLYFKLPLTMRTLGKMVSMRMHTLVALLDGEGDVVEVLEDRGGEVMRLVSEVREVGRKLWIGTVAHNHIATIPYPLEEQSSSSSSNVLGD >ORUFI03G11880.1 pep chromosome:OR_W1943:3:8235189:8238138:1 gene:ORUFI03G11880 transcript:ORUFI03G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVERGVVKDKRTIWRLSIISDFFRAIVNFIRMFFLTMFSIEKTDSYRKGYGSGKKWDGGPGGGGPGGGPYGGGRGGGGGLRGPRTLSDIRSNDQRASLNSENFRTYIIFAG >ORUFI03G11880.2 pep chromosome:OR_W1943:3:8235214:8238138:1 gene:ORUFI03G11880 transcript:ORUFI03G11880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVERGVVKDKRTIWRLSIISDFFRAIVNFIRMFFLTMFSIEKTDSYRKGYGSGKKWDGGPGGGGPGGGPYGGGRGGGGGLRGPRTLSDIRSNDQRASLNSENFRTYIIFAG >ORUFI03G11890.1 pep chromosome:OR_W1943:3:8240850:8243951:1 gene:ORUFI03G11890 transcript:ORUFI03G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSHHHLQQPHPQAPPPPPPQQQQQQPVPPSFRNALPVPVDGQIPAPLPFFNPPPAFQDQPAQPPLVDAMGLTAAAGLGWRQPREQELLGENSQMSSIDFLQTGSAVSTGLALSLEDRRHGGGSGAGAGNSSGDSPLLLLPMLDDDISREVQRLDADMDRFIKAQSERLRQSILEKVQAKQFEALASVEDKILRKIRDKEAEVENINKRNSELEDQIKQLAVEVGAWQQRAKYNESMINALKYNLEQVCAHQSKDFKEGCGDSEVDDTASCCNGGAANLQLMPKENRHSKDLTACRVCKSSEACMLLLPCRHLCLCKECESKLSFCPLCQSSKILGMEIYM >ORUFI03G11900.1 pep chromosome:OR_W1943:3:8248103:8250649:1 gene:ORUFI03G11900 transcript:ORUFI03G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASAAASAGRGARAEKVGRIFERFDANGDGGLDRDEMAALVVAVNPRVKFSEDQISAILDEVFRTYAEFILPGGRGLSLPGLLRTYDDGAGDVDRDFLALSLPPLESGESSPEIAAGDPAAASSPPSGAAAAASLLDDHIKPLGAAGGAPSSSSRAAAAAPAWATSPSHGIAFDSSWALLDDLEILVKRLRSKQLRRTSSIDTINGSGGAGNNNFDSFSEAGWSREISGQADSASTAAPWDETSRDYLTFVKELAVLRTRADASRSREEAFDNHMVIGRALSEHRLFRDALSSFRRACELQPTDVRPHFRAGNCLYALGRHSEAKEEYLLALEAAEAGGSQSADILPQIHVNLGIAMEAEGMVLGACEHYREAAILCPSHARALKLLGSALFGVGEYRAAEKALEEAIFLKPDYADAHCDLGSALHAIGDDDRAVQEFQKAIDLKPGHVDALYNLGGLNMDAGRFVRAAEMYTRVLSIRPNHWRAQLNKAVALLGQGESEEAKKALKEAFKMTQRVEVYDAISHLKTLQKKKPKPPKGKDDSQGEEAFVVVEPSKFKRVGRKTTLRQDLANALDIRAFEKTTKLGRCDAELLRKEMNETDVPISYSGAGNPEKSIRKAALEVILHRLLSFLKPDTFQGSVKAINERILSVLDASGSGRVDLGMFFAIIAPICSGPVDKRKRVVFDALLWRPASEGSRGQIRRSDALSYIKLLRAVYIPTHGASDMLEMHGESDPTMVSYTEFLEMFNDPDWGFGILSTLVKLEDSDHIRHGRHTCSICRYPIIGSRFKETKHSFSLCNRCYSEGKVPSAFKLDEYRFKEYGNESEALIDKCMCFNLHSKKLEADT >ORUFI03G11910.1 pep chromosome:OR_W1943:3:8252545:8256003:-1 gene:ORUFI03G11910 transcript:ORUFI03G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGPEASSFFPLTLVFSVGFFCARFFLDRLVYKPLAAYCFSSKASKLMNDEVRQAKIVKFSESIWKLTYYGSVQAWVLLIIKQEPWSLDTMQYFEGWPNQYMTIFALVAWETRRKDFAVMMSHHVVTSILIGYAYLTGFFRIGTIILALHDASDVFLETAKLCKYTEKELGASLFFGLFALSWLLLRLIYFPFWIIKTSSYQSIISLRKLEKFPTTLYYIFNTMLLTLLVFHIYWWKLICLMIMKQLNNKGQE >ORUFI03G11910.2 pep chromosome:OR_W1943:3:8252938:8256003:-1 gene:ORUFI03G11910 transcript:ORUFI03G11910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGPEASSFFPLTLVFSVGFFCARFFLDRLVYKPLAAYCFSSKASKLMNDEVRQAKIVKFSESIWKLTYYGSVQAWVLLIIKQEPWSLDTMQYFEGWPNQYMTIFALVAWETRRKDFAVMMSHHVVTSILIGYAYLTGFFRIGTIILALHDASDVFLETAKLCKYTEKELGASLFFGLFALSWLLLRLIYFPFWIIKTSSYQSIISLRKLEKFPTTLYYIFNTMLLTLLVFHIYWWKLICLMIMKQLNNKGQVGEDVRSDSEDEE >ORUFI03G11920.1 pep chromosome:OR_W1943:3:8265908:8275459:1 gene:ORUFI03G11920 transcript:ORUFI03G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSASCLWGGSESRGNQNGSAAVTSPRSGQVISRAGSNVRVFSLKELKLATRNFHMMNCVGRGGFGAVYKGNLKDGTQIAIKKLSAESKQGANEFLTEINVISNVRHPNLVKLIGCCVEGTNRLLVYEYAENNSLAHALLGPRSRCIPLNWQKRAAICIGTASGLAFLHEEAQPRIVHRDIKASNILLDKKLLPKIGDFGLAKLFPDTITHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLVLEVISGESSSKSTWGQDMNVLVEWTWKLREQGRLLEIVDPELEEYPEEEMLRFIKVALVCTQATSQQRPSMKQVVDMLSNPTEISLENLVAPGVLKEPRHHSSSSGLTPDTTSNRSTKANPADSYSTQTRDMNSYQLSTIEVSPR >ORUFI03G11930.1 pep chromosome:OR_W1943:3:8271153:8275194:-1 gene:ORUFI03G11930 transcript:ORUFI03G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIAAATFTPSRLAARPATPAAAAALVRARAAVAAGGRRRTSRRGGVRCSAGKPEASAVINGSAAARAAEEDRRRFFEAAERGSGKGNLVPMWECIVSDHLTPVLAYRCLVPEDNMETPSFLFESVEQGPEGTTNVGRYSMVGAHPVMEVVAKEHKVTIMDHEKGKVTEQVVDDPMQIPRSMMEGWHPQQIDQLPDSFTGGWVGFFSYDTVRYVEKKKLPFSGAPQDDRNLPDVHLGLYDDVLVFDNVEKKVYVIHWVNLDRHATTEDAFQDGKSRLNLLLSKVHNSNVFYILFHFHSRPKLSPGFVKLHTRQFGTPLNKSTMTSDEYKNAVMQAKEHIMAGDIFQIVLSQRFERRTYANPFEVYRALRIVNPSPYMAYVQARGCVLVASSPEILTRVRKGKIINRPLAGTVRRGKTEKEDEMQEQQLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVSGELDDHLQSWDALRAALPVGTVSGAPKVKAMELIDELEVTRRGPYSGGLGGISFDGDMLIALALRTIVFSTAPSHNTMYSYKDTERRREWVAHLQAGAGIVADSSPDDEQRECENKAAALARAIDLAESAFVDKE >ORUFI03G11940.1 pep chromosome:OR_W1943:3:8281207:8282571:-1 gene:ORUFI03G11940 transcript:ORUFI03G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRCSSLLRGFVSLFFLLFIHIGHAGCCFSTGSSTQTLEEDDTGHGADGRGGGGGGSKRRKISPLAFSPSVSSSTVADERSRARRRQVSSLATSVRFYLHRIFSYSSGAKNGAGAPAAEEEDEAVTTTVSSPLAQSSSCLPQRQASSSVVLSTPSSPCASPFLSPLSPQSLSITPAVPSSPHNRQIPQATTRQSSFRSFAARGDVFPCKVCGEVLSKPQQLELHQAMKHSLSELSSLDSSMNIIRMIFLAGWKPAVMPGAGEPPSVRRILRIHHNPRVLTRFEEYRDLVRARAARRCAGAGAAAVEERCVADGNERLRFYCSTMLCTLGAGVCGSPYCCTCSILRHGFAGKQADVDGIATYSSGRAAHASLPDDVEREFAFLQVRRAMLVCRVVAGRVGRGAADDKVAYDSMVPLLPTSSFAAATRGDDDVELLVFNPRAVLPCFVIIYSC >ORUFI03G11950.1 pep chromosome:OR_W1943:3:8286394:8287839:-1 gene:ORUFI03G11950 transcript:ORUFI03G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGAGGRRGTVKQLNVGGKLFALEASSLPISLSLSPSPNPIFVDRDPALLSAILSAIRAPSAAPAFPAGVLLDEAHSYGLQDQLLAALSPPPLLGFSASRASTLSPPSEPFPTALAPRHDGSLCLAHGAGLLTHYSPALEHLTTFRTHLHRITSLRQLPPGLAVAGSSLSPGLHVYDLLKGRHVASVQWSDPTDLRVQKAKVIAIAARPAADAADKNSPILATFECPHRENCILVFDPVTLKPIQEIGRQTGSAAKSSAPGRVVHLQELGLVFAASVSSGAFGYSGYMRLWDIRSGDVVWETSEPGGVGRSSRFGDPFADVDVDVKQQILYKVCSKSGDIGAADLRCLGKDPWVYMSSGPRGSGGGHGSVLHCYKSQVFVSRKDGLEVWSRLEEQSNGTANLAEQTRAKENINNEGINENCFRSCYVDTEEDADRGMIHIMEGGGDRLFVTREEMQGVEVWETSQLAGAISLSLSSLLV >ORUFI03G11960.1 pep chromosome:OR_W1943:3:8288211:8296078:-1 gene:ORUFI03G11960 transcript:ORUFI03G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADMDMPDPEELEWMESHGLLPEEEEEYAYFDDPEDEGFLPAAAGADQPRRSPQETTAAPSKPADEVSEGNLKRPPPPPPPEQGEERSKRRNVDREDSGDGDEDWLRYSPPPVVEVVAEKTISRFASDIRGDCMSVTAPNGERVYAKVATDGLDGGGIGGTRQRTRISKPNFNYKGLLSESFHSLTSRAEQEALAKALQESAETQNLESCPVTPLVTEQLWVEKYSPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHVRATGDDVLSALRRHSSAIQKNSSNRNFFSKSKGGPGMSQDNMLQNAHGSNSEDLTSSFNKRPTTDNAPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVIQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEINAEKNNSSNSSTSAEDTQVRKSSKKGHKIPKLMRPVICICNDLYAPALRQLRQVAKVHMFVQPTISRVVNRLKYICKKERFKTSPIALSALAEYTGMVSFAPFTIVRSSAYTVVTSNLSNCKQENQEKIDLYIAQSMPSFILLNMRRLIEQSLPAECDIRSCLNTLQFLNKKREALNISGFDSQVIGRKDMSKSIIDVWKQVLQKKKLKRIEKVDSNFSRGKDIDSLFSLISNRGDYDVTMDGIHENFLKLNYHDPMLQKTPPVAITISQLVAQVEKPNIEWPKSLQRCRTMLLEKKDKLKTWQNQMSPLISRHLSVESFVEDIASPFLHIISPSSLRPVALNLLSEREKDELVQLVDTMVSYSITYRNTKLEPQERISGSMVSPDVPSLSLDPAINDIINFKGYQSEHIGLSLAMKQVLVHEVEKQKIMKDSAGKLLNQANEGDKRNEVSVSEKKSALVSTKSTSKSNPTTLKMQLSSASSMSGKDPAPAKKHSNHGINFFDRFRKERPVDAKARNDAGQQVATTLRDSRPLIFKYNEGFTNAVKRPVKFYKRQTP >ORUFI03G11960.2 pep chromosome:OR_W1943:3:8288211:8296078:-1 gene:ORUFI03G11960 transcript:ORUFI03G11960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEADMDMPDPEELEWMESHGLLPEEEEEYAYFDDPEDEGFLPAAAGADQPRRSPQETTAAPSKPADEVSEGNLKRPPPPPPPEQGEERSKRRNVDREDSGDGDEDWLRYSPPPVVEVVAEKTISRFASDIRGDCMSVTAPNGERVYAKVATDGLDGGGIGGTRQRTRISKPNFNYKGLLSESFHSLTSRAEQEALAKALQESAETQNLESCPVTPLVTEQLWVEKYSPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHVRATGDDVLSALRRHSSAIQKNSSNRNFFSKSKGGPGMSQDNMLQNAHGSNSEDLTSSFNKRPTTDNAPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVIQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEINAEKNNSSNSSTSAEDTQVRKSSKKGHKIPKLMRPVICICNDLYAPALRQLRQVAKVHMFVQPTISRVVNRLKYICKKERFKTSPIALSALAEYTECDIRSCLNTLQFLNKKREALNISGFDSQVIGRKDMSKSIIDVWKQVLQKKKLKRIEKVDSNFSRGKDIDSLFSLISNRGDYDVTMDGIHENFLKLNYHDPMLQKTPPVAITISQLVAQVEKPNIEWPKSLQRCRTMLLEKKDKLKTWQNQMSPLISRHLSVESFVEDIASPFLHIISPSSLRPVALNLLSEREKDELVQLVDTMVSYSITYRNTKLEPQERISGSMVSPDVPSLSLDPAINDIINFKGYQSEHIGLSLAMKQVLVHEVEKQKIMKDSAGKLLNQANEGDKRNEVSVSEKKSALVSTKSTSKSNPTTLKMQLSSASSMSGKDPAPAKKHSNHGINFFDRFRKERPVDAKARNDAGQQVATTLRDSRPLIFKYNEGFTNAVKRPVKFYKRQTP >ORUFI03G11960.3 pep chromosome:OR_W1943:3:8288408:8296078:-1 gene:ORUFI03G11960 transcript:ORUFI03G11960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEADMDMPDPEELEWMESHGLLPEEEEEYAYFDDPEDEGFLPAAAGADQPRRSPQETTAAPSKPADEVSEGNLKRPPPPPPPEQGEERSKRRNVDREDSGDGDEDWLRYSPPPVVEVVAEKTISRFASDIRGDCMSVTAPNGERVYAKVATDGLDGGGIGGTRQRTRISKPNFNYKGLLSESFHSLTSRAEQEALAKALQESAETQNLESCPVTPLVTEQLWVEKYSPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHVRATGDDVLSALRRHSSAIQKNSSNRNFFSKSKGGPGMSQDNMLQNAHGSNSEDLTSSFNKRPTTDNAPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVIQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEINAEKNNSSNSSTSAEDTQVRKSSKKGHKIPKLMRPVICICNDLYAPALRQLRQVAKVHMFVQPTISRVVNRLKYICKKERFKTSPIALSALAEYTGMVSFAPFTIVRSSAYTVVTSNLSNCKQENQEKIDLYIAQSMPSFILLNMRRLIEQSLPAECDIRSCLNTLQFLNKKREALNISGFDSQVIGRKDMSKSIIDVWKQVLQKKKLKRIEKVDSNFSRGKDIDSLFSLISNRGDYDVTMDGIHENFLKLNYHDPMLQKTPPVAITISQLVAQVEKPNIEWPKSLQRCRTMLLEKKDKLKTWQNQMSPLISRHLSVESFVEDIASPFLHIISPSSLRPVALNLLSEREKDELVQLVDTMVSYSITYRNTKLEPQERISGSMVSPDVPSLSLDPAINDIINFKGYQSEHIGLSLAMKQVLVHEVEKQKIMKDSAGKLLNQANEGDKRNEVSVSEKKSALVSTKSTSKSNPTTLKMQLSSASSMSGKDPAPAKKHSNHGINFFDRFRKERPVDAKARNDAGQQVATTLRDSRPLIFKYNEGFTNAVKRPVKVRDLLL >ORUFI03G11960.4 pep chromosome:OR_W1943:3:8288408:8296078:-1 gene:ORUFI03G11960 transcript:ORUFI03G11960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEADMDMPDPEELEWMESHGLLPEEEEEYAYFDDPEDEGFLPAAAGADQPRRSPQETTAAPSKPADEVSEGNLKRPPPPPPPEQGEERSKRRNVDREDSGDGDEDWLRYSPPPVVEVVAEKTISRFASDIRGDCMSVTAPNGERVYAKVATDGLDGGGIGGTRQRTRISKPNFNYKGLLSESFHSLTSRAEQEALAKALQESAETQNLESCPVTPLVTEQLWVEKYSPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHVRATGDDVLSALRRHSSAIQKNSSNRNFFSKSKGGPGMSQDNMLQNAHGSNSEDLTSSFNKRPTTDNAPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVIQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEINAEKNNSSNSSTSAEDTQVRKSSKKGHKIPKLMRPVICICNDLYAPALRQLRQVAKVHMFVQPTISRVVNRLKYICKKERFKTSPIALSALAEYTECDIRSCLNTLQFLNKKREALNISGFDSQVIGRKDMSKSIIDVWKQVLQKKKLKRIEKVDSNFSRGKDIDSLFSLISNRGDYDVTMDGIHENFLKLNYHDPMLQKTPPVAITISQLVAQVEKPNIEWPKSLQRCRTMLLEKKDKLKTWQNQMSPLISRHLSVESFVEDIASPFLHIISPSSLRPVALNLLSEREKDELVQLVDTMVSYSITYRNTKLEPQERISGSMVSPDVPSLSLDPAINDIINFKGYQSEHIGLSLAMKQVLVHEVEKQKIMKDSAGKLLNQANEGDKRNEVSVSEKKSALVSTKSTSKSNPTTLKMQLSSASSMSGKDPAPAKKHSNHGINFFDRFRKERPVDAKARNDAGQQVATTLRDSRPLIFKYNEGFTNAVKRPVKVRDLLL >ORUFI03G11960.5 pep chromosome:OR_W1943:3:8288408:8296078:-1 gene:ORUFI03G11960 transcript:ORUFI03G11960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEADMDMPDPEELEWMESHGLLPEEEEEYAYFDDPEDEGFLPAAAGADQPRRSPQETTAAPSKPADEVSEGNLKRPPPPPPPEQGEERSKRRNVDREDSGDGDEDWLRYSPPPVVEVVAEKTISRFASDIRGDCMSVTAPNGERVYAKVATDGLDGGGIGGTRQRTRISKPNFNYKGLLSESFHSLTSRAEQEALAKALQESAETQNLESCPVTPLVTEQLWVEKYSPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHGGPGMSQDNMLQNAHGSNSEDLTSSFNKRPTTDNAPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVIQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEINAEKNNSSNSSTSAEDTQVRKSSKKGHKIPKLMRPVICICNDLYAPALRQLRQVAKVHMFVQPTISRVVNRLKYICKKERFKTSPIALSALAEYTECDIRSCLNTLQFLNKKREALNISGFDSQVIGRKDMSKSIIDVWKQVLQKKKLKRIEKVDSNFSRGKDIDSLFSLISNRGDYDVTMDGIHENFLKLNYHDPMLQKTPPVAITISQLVAQVEKPNIEWPKSLQRCRTMLLEKKDKLKTWQNQMSPLISRHLSVESFVEDIASPFLHIISPSSLRPVALNLLSEREKDELVQLVDTMVSYSITYRNTKLEPQERISGSMVSPDVPSLSLDPAINDIINFKVEKQKIMKDSAGKLLNQANEGDKRNEVSVSEKKSALVSTKSTSKSNPTTLKMQLSSASSMSGKDPAPAKKHSNHGINFFDRFRKERPVDAKARNDAGQQVATTLRDSRPLIFKYNEGFTNAVKRPVKVRDLLL >ORUFI03G11960.6 pep chromosome:OR_W1943:3:8288408:8296078:-1 gene:ORUFI03G11960 transcript:ORUFI03G11960.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEADMDMPDPEELEWMESHGLLPEEEEEYAYFDDPEDEGFLPAAAGADQPRRSPQETTAAPSKPADEVSEGNLKRPPPPPPPEQGEERSKRRNVDREDSGDGDEDWLRYSPPPVVEVVAEKTISRFASDIRGDCMSVTAPNGERVYAKVATDGLDGGGIGGTRQRTRISKPNFNYKGLLSESFHSLTSRAEQEALAKALQESAETQNLESCPVTPLVTEQLWVEKYSPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHVRATGDDVLSALRRHSSAIQKNSSNRNFFSKSKGGPGMSQDNMLQNAHGSNSEDLTSSFNKRPTTDNAPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVIQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEINAEKNNSSNSSTSAEDTQVRKSSKKGHKIPKLMRPVICICNDLYAPALRQLRQVAKVHMFVQPTISRVVNRLKYICKKERFKTSPIALSALAEYTGMVSFAPFTIVRSSAYTVVTSNLSNCKQENQEKIDLYIAQSMPSFILLNMRRLIEQSLPAECDIRSCLNTLQFLNKKREALNISGFDSQVIGRKDMSKSIIDVWKQVLQKKKLKRIEKVDSNFSRGKDIDSLFSLISNRGDYDVTMDGIHENFLKLNYHDPMLQKTPPVAITISQLVAQVEKPNIEWPKSLQRCRTMLLEKKDKLKTWQNQMSPLISRHLSVESFVEDIASPFLHIISPSSLRPVALNLLSEREKDELVQLVDTMVSYSITYRNTKLEPQERISGSMVSPDVPSLSLDPAINDIINFKVEKQKIMKDSAGKLLNQANEGDKRNEVSVSEKKSALVSTKSTSKSNPTTLKMQLSSASSMSGKDPAPAKKHSNHGINFFDRFRKERPVDAKARNDAGQQVATTLRDSRPLIFKYNEGFTNAVKRPVKVRDLLL >ORUFI03G11970.1 pep chromosome:OR_W1943:3:8296689:8297206:1 gene:ORUFI03G11970 transcript:ORUFI03G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETVVEVGSNEWLEEHHGLQLLVLLPHRHVVDLWRHRPAPPPHSLPSCDYVAVAMAATHPKNERCVVPAAALDCAMELLSCSKESMCTAICTGSYSFCAVCKILCRCSAGG >ORUFI03G11980.1 pep chromosome:OR_W1943:3:8304340:8308581:1 gene:ORUFI03G11980 transcript:ORUFI03G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQAAEAQPLLLQGDQVDAEWGCRPHRIVLFVEPSPFAYISGYKNRFQNFIKHLREMGDEMLVVTTHKGAPEEFHGAKVIGSWSFPCPLYQNVPLSLALSPRIVSAVAKFKPDIIHATSPGVMVFGARFIAKMLSVPMVMSYHTHLPAYIPRYNLNWLLGPTWSLIRCLHRSADLTLVPSVAIAEDFETAKVVSANRVRLWNKGVDSESFHPKFRKHEMRIKLSGGEPEKPLIIHVGRFGREKNLDFLKRVMERLPGVRIAFVGDGPYRAELERMFTGMPAVFTGMLQGEELSQAYASGDLFAMPSESETLGQVVLESMASGVPVVAARAGGIPDIIPKDKEGKTSFLFTPGDLDECVRKIEQLLSSKVLRESIGRAAREEMEKCDWRAASKTIRNEHYCTATLYWRKKMGRTN >ORUFI03G11990.1 pep chromosome:OR_W1943:3:8308967:8311237:-1 gene:ORUFI03G11990 transcript:ORUFI03G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGPKSEAKQDEEGSSAMGGGGGSSRSSKVYHERQRLQFCLLHALNNLMQEKESFTRAELDGIAGNLVQIDPNKEHWTPMSLIFKPHHNVFTGNYDVNVLITALEARKKKVIWHDHRKGASSIDLDADALFGLMINVPVRRFRGLWTGRHWVAIRSINGTWFNLDSDFSAPKEFQDKEKLIAFLDSILSQGGEVMIPLVELDNK >ORUFI03G12000.1 pep chromosome:OR_W1943:3:8317030:8320357:1 gene:ORUFI03G12000 transcript:ORUFI03G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALINGVAGAGGGIIAQLLTYPLQTVNARQQTERDPSKPAFKDGAVRQMCLVVKHEGWERLYGGLMPSLVGTAASQGVYYYFYQIFRSRAEAAALQRSRRGIGDGSVGMLQSLTVAALSGCVNVLLTNPIWVIVTRMQTHRKANKQQSPLDLTCVLDKALQAPAVENIPHKTIHVIQDLYKEAGFLGFWKGVVPALIMVSNPAIQFMLYETLLKKLKKRRASNLKGADGLTALEIFLLGAVAKLGATVVTYPLLVVKARLQVKQIIDDDKRHRYKGTFDAITKMIRYEGLSGLYKGMSTKIVQSVFASALLFMIKEELVKGARLLVTGNTSLVKKLPSKPLR >ORUFI03G12010.1 pep chromosome:OR_W1943:3:8320740:8323163:1 gene:ORUFI03G12010 transcript:ORUFI03G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVASTLLLSLSSSSSPFLSPTSVSFLPSAAAAASSSPRVAVAAGKQKAAVSVLRALRAEAATLPVLSFTGEKVGEVALDLKSAPPSTARAVVHRAIITDRQNKRRGTASTLTRGEVRGGGRKPYQQKKTGKARRGSMRTPLRPGGGVIFGPKPRDWSIKINRKEKRLAISTALASAAVADDAFVVEEFDEEFAAGPKTRDFVAALQRWGLDPKEKAMFFATDFADNVRLSGRNIGSLKMLTPRTLNLYDILDARKLFFTPAAINYLNSRYGATVFDEYEDDTNGEDDGEEEAEELQEGEGSAEEAAQVY >ORUFI03G12020.1 pep chromosome:OR_W1943:3:8329041:8334337:1 gene:ORUFI03G12020 transcript:ORUFI03G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAGERRLGRAMSFGIPDVALGLVMGFVEDPWDRDAISLVCRHWCRVDALSRKHVTVAMAYSTTPDRLFRRFPCLESLKLKAKPRAAMFNLIPEDWGGSASPWIRQLSASFHFLKALHLRRMIVSDDDLDVLVRAKAHMLSSFKLDRCSGFSTSSLALVARTCKKLETLFLEDSIIAEKENDEWIRELATNNSVLETLNFFLTDLRASPAYLTLLVRNCRRLKVLKISECFMLDLVDLFRTAEILQDFAGGSFDDQGQVEESRNYENYYFPPSLLRLSLLYMGTKEMQVLFPYGAALKKLDLQFTFLSTEDHCQLVQRCPNLEILEVRDVIGDRGLEVVAQTCKKLQRLRVERGDDDQGGLEDEHGMVTQVGLMAVAQGCPHLEYWAVHVTDITNAALEAIGTYSSSLNDFRLVLLDREANITESPLDNGVRALLRGCTKLRRFAFYVRPGALSDVGLGYIGEFSKTIRYMLLGNVGESDQGLLQLSTGCPSLQKLELRGCFFSERALAVAVLQLKSLRYLWVQGYKASPNGTDLMAMVRPFWNIEIIAPNQDEVCPDGQAQILAYYSLAGMRSDYPHSVIPLSPSV >ORUFI03G12030.1 pep chromosome:OR_W1943:3:8334305:8337974:-1 gene:ORUFI03G12030 transcript:ORUFI03G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSAMCEGVKRKAEFVRATDSSTRFEKLSQPSPFHTSIYSPNRTRSDAQKGSAVTENKRGRHRETLSARARIVATKEDVVLQRIKSDSYSTSPRRSSRSRYRSRSRSVDSSDVENPGNNLYVTGLSARVTDRDLEKHFSAEGEVIDASIVLDPWTRESRGFGFVTMATVKEADLCIKYLDRSVLEGRVITVEKAKRRRGRTPTPGRYLGTKSSCVTPARRYSPSYSPVERDRYSSRYSPERERSYSPYGRRRSYSPYNRRRSYSPYERRRSYSPHERRRSYSPYGRSPSPYGRRRSYSPYDTRGSRRRSYSSYRGSRYRSRSPYRYRRERSCSYDHSVSPYYRRCYSPSARGRSYSRSVSPQRSYSHSCSPDSQRSGSYSPRKRYSERKPSRSRSSGKRHSRESYSHSRSSYSRLEK >ORUFI03G12030.2 pep chromosome:OR_W1943:3:8335110:8337974:-1 gene:ORUFI03G12030 transcript:ORUFI03G12030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSAMCEGVKRKAEFVRATDSSTRFEKLSQPSPFHTSIYSPNRTRSDAQKGSAVTENKRGRHRETLSARARIVATKEDVVLQRIKSDSYSTSPRRSSRSRYRSRSRSVDSSDVENPGNNLYVTGLSARVTDRDLEKHFSAEGEVIDASIVLDPWTRESRGFGFVTMATVKEADLCIKYLDRSVLEGRVITVEKAKRRRGRTPTPGRYLGTKSSCVTPARRYSPSYSPVERDRYSSRYSPERERSYSPYGRRRSYSPYNRRRSYSPYERRRSYSPHERRRSYSPYGRSPSPYGRRRSYSPYDTRGSRRRSYSSYRGSRYRSRSPYRYRRERSCSYDHSVSPYYRRCYSPSARGRSYSRSVSPQRSYSHSCSPDSQRSGSYSPRKRYSERKPSRSRSSGKRHSRESYSHSRSSYSRSVSRERSE >ORUFI03G12030.3 pep chromosome:OR_W1943:3:8335110:8337974:-1 gene:ORUFI03G12030 transcript:ORUFI03G12030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSAMCEGVKRKAEFVRATDSSTRFEKLSQPSPFHTSIYSPNRTRSDAQKGSAVTENKRGRHRETLSARARIVATKEDVVLQRIKSDSYSTSPRRSSRSRYRSRSRSVDSSDVENPGNNLYVTGLSARVTDRDLEKHFSAEGEVIDASIVLDPWTRESRGFGFVTMATVKEADLCIKYLDRSVLEGRVITVEKIPNLLHHSLMLIWRKGLFESFPQAKRRRGRTPTPGRYLGTKSSCVTPARRYSPSYSPVERDRYSSRYSPERERSYSPYGRRRSYSPYNRRRSYSPYERRRSYSPHERRRSYSPYGRSPSPYGRRRSYSPYDTRGSRRRSYSSYRGSRYRSRSPYRYRRERSCSYDHSVSPYYRRCYSPSARGRSYSRSVSPQRSYSHSCSPDSQRSGSYSPRKRYSERKPSRSRSSGKRHSRESYSHSRSSYSRSVSRERSE >ORUFI03G12030.4 pep chromosome:OR_W1943:3:8335110:8337974:-1 gene:ORUFI03G12030 transcript:ORUFI03G12030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSAMCEGVKRKAEFVRATDSSTRFEKLSQPSPFHTSIYSPNRTRSDAQKGSAVTENKRGRHRETLSARARIVATKEDVVLQRIKSDSYSTSPRRSSRSRSVDSSDVENPGNNLYVTGLSARVTDRDLEKHFSAEGEVIDASIVLDPWTRESRGFGFVTMATVKEADLCIKYLDRSVLEGRVITVEKAKRRRGRTPTPGRYLGTKSSCVTPARRYSPSYSPVERDRYSSRYSPERERSYSPYGRRRSYSPYNRRRSYSPYERRRSYSPHERRRSYSPYGRSPSPYGRRRSYSPYDTRGSRRRSYSSYRGSRYRSRSPYRYRRERSCSYDHSVSPYYRRCYSPSARGRSYSRSVSPQRSYSHSCSPDSQRSGSYSPRKRYSERKPSRSRSSGKRHSRESYSHSRSSYSRSVSRERSE >ORUFI03G12040.1 pep chromosome:OR_W1943:3:8342878:8353364:1 gene:ORUFI03G12040 transcript:ORUFI03G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQDSSSTTLMDLITSDPSAVPAGGASSHQQSSSAAAAAAAGGALGRPAPAPADRKSKRGTLSQIQNETISAAKALNKALPQRNRKKKATRLKSSQRQLVNSVFPKLAVYNSVDPSVAPSLLMLHQQCEDRNVLRYVYYYLARILSDNGAQGLSAAGGIPTPNWDALADIDAVGGVTRADVVPRIVDQLSAESTSDDVEFHARRLAALKALTSSSTSNSEMLEKLYEIVFGILEKVADTKQKRKKGIFTKQGGDKESIIRSNLQYASLSALRRLPLDPGNPAFLHRAVQGVEFSDPVAVRHALSIASEIAVRDPYSVAMALGKNAQPGGALQDILHLHDVLARVYLAKLCHSISRARVLDERPDIKSQYSSLLYQLLLDPSDRVCFEAILCVLGKVDNTESTEERAGGWIRLTREILKLPEAPSVASKGILSKSEKSSKARRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAYSLGAYDEAANLQSYSDNVESLDSDLNENSQPEATRKANPLSNGHGGMDTIAGLLASLMEVVRTTVACECVYVRAMVIKALIWMQNPHESFEELKSIIACELADPAWPSSLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGFASVDMVSASDPKSAMALQRLVQAAVWFLGENANYAASEYAWESATPPASSRNPTLASALTRLQRCAFSGSWEIRIAAVQALTTIAIRSGEPYRLQIYEFLHALALGGVQSNFSELQLSNGENQGASGTGLGSLISPMLKVLDEMYRAQDDLARDIRQHDNSKQEWNDDELKKLYETHERLLDFVSLFCFVPRAKYLPLGPTSAKLIDIYRNRHNISTSSGLSDPAVATGISDLMYEPKDVPKEATLIQTGIDPDLAMAWAAGLEDDVWENNAPAVDKVKDFLAGAGTDAPDVDDEEYMNSRPSVGYDDIGMNYPSLFSSKPSGYGASQQTIREEPPSYSTSVLQKRESFENPLAGRGGRSFGSHEDEDRSSGNPQSGKALYDFTAGGDDELSLTAGEDVEIEYEVDGWYYVKKKRPGRDGKTAGLVPVLYVNS >ORUFI03G12050.1 pep chromosome:OR_W1943:3:8355889:8356284:1 gene:ORUFI03G12050 transcript:ORUFI03G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGEQEAAASHGFGSMEEFWGFYLTQHSKPGTRRWHFLGTLAALACALLAAVSGRAAPLLAAPVLGYGMAWYSHFFVEGNRPATFGHPVWSFLCDLRMFALILTGRIDAELARLRLQPPHDAAAASAHRD >ORUFI03G12060.1 pep chromosome:OR_W1943:3:8356732:8357553:-1 gene:ORUFI03G12060 transcript:ORUFI03G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPARSDAHLSPEGEAAMEAEVREYYDEAAPKRHSKPSRSEPSAVYTDALVPDDSHPELDRFQQLEAHTEKLVCEGGKAGDEFVETEYYKDLGCVGKQHHTTGTGFIKMDKPSADASFHLSDDPDASERHASCKGNPATNEWIPSADTVYPASDKPNRSDS >ORUFI03G12070.1 pep chromosome:OR_W1943:3:8357789:8363947:1 gene:ORUFI03G12070 transcript:ORUFI03G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRNLTARAVHLGAARVLGCSFAGPERRVCGRRPNNKVPVDNWAPVRFLSSAAYLHKTANTAHSCRILPLRFSAHLLVVAADCAPMAQAVRPCNATLLARLRDGEARFELLEDSAAAAAASPAPAPVWPGLSCFSRVATSLRGGWSGALNKVEHYGVQRVTGDGRCMFRALVKGMAKNKGIPLTSREEVQDADDLRMAVKEVICDDETERQKYEEAVIAITVDESLRRYCHRIRRSDFWGGESELLYHGRGNGFIPIAEYGLEFSKDSKQWKKKVPVRLLYSGRNHYDLLV >ORUFI03G12070.2 pep chromosome:OR_W1943:3:8357789:8362981:1 gene:ORUFI03G12070 transcript:ORUFI03G12070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRNLTARAVHLGAARVLGCSFAGPERRVCGRRPNNKVPVDNWAPVRFLSSAAYLHKTANTAHSCRILPLRFSAHLLVVAADCAPMAQAVRPCNATLLARLRDGEARFELLEDSAAAAAASPAPAPVWPGLSCFSRVATSLRGGWSGALNKVEHYGVQRVTGDGRCMFRALVKGMAKNKGIPLTSREEVQDADDLRMAVKEVICDDETERQKYEEAVIAITVDESLRRYCHRIRRSDFWGGESELLYHGRGNGFIPIAEYGLEFSKDSKQWKKKVPVRLLYSGRNHYDLLV >ORUFI03G12080.1 pep chromosome:OR_W1943:3:8365023:8367039:1 gene:ORUFI03G12080 transcript:ORUFI03G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGTQQKCKVCTKTVYPMDQLSTDGVVFHRSCFKCQHCKSTLSLGNYSSIEGVPYCKPHFEQLFKETGSYNKSFQSPAKPASEKLTPELTRSPSKAARMFSGTQEKCATCGKTAYPLEKVTVEGQAYHKSCFKCSHGGCAISPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKCASVKRAEAQPAPPPAAADSS >ORUFI03G12090.1 pep chromosome:OR_W1943:3:8368050:8372232:-1 gene:ORUFI03G12090 transcript:ORUFI03G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEYLEKHLLSRKIEEAVNAAVRAKAPDPVLFISNHMRRAAPAVITSVRARQILDGRGEPAVEVSLHTNKAVHRASAAAADAPEGAAADAVRDAEKRKLLARAVADAVRVINEKVSEALVGMDPQQQSQIDQAIMDLDKAHHKAEIGVNSMLAVSIAACKAGAAEKEVPLYKHIAELVGKSATTLPIPAITVINGGTHAGNSLPIQEIMILPVGAKNFEEAMQMGSETYHHLKDIILEKYGSNSCNIGDDGGFAPNISRQVVGDDLLMSDPVRIKRAVNEYTCNALVLKANQVGTVTEAIEVVRQAKDAHWGVMVSHRSGDTDDSFIADLAVGAAAGQIKAAA >ORUFI03G12100.1 pep chromosome:OR_W1943:3:8372907:8373383:1 gene:ORUFI03G12100 transcript:ORUFI03G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDLWDPFDGFPFGSGSGSIFPSFPRGASSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNILQISGERNKEQEEKTDQWHRVERSSGKFLRRFRLPDNAKPEQIKASMENGVLTVTVPKEEAKKPDVKSIQISG >ORUFI03G12110.1 pep chromosome:OR_W1943:3:8377620:8380585:1 gene:ORUFI03G12110 transcript:ORUFI03G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENHVSKEDSKNYKLRMGFVDGHICAICDDGGNLIRCEGACRRYFHRTISNDADFNCETLNMSQEQVESSKFICKNCVYKQHQCFGCGELGSSDMSSGSAEVYQCSKSRCRRFYHPKCLAEFDSSKNPPVFECPLHECFACKNKGEKNNEETCKGQESIKKKQGAENNKKMHLALCRRCPIAYHRKCLPRNISSVPKGCLPRKWKTDKGQVFFYCLKHTMVEHLRSATRDHLKFPKVMEEHIQKYVPKRDAENKKLIVYVRKRHRGASKKQGASMVEEVDHGTKESDHVQRSRDINLGAHEQTEAPRNYMSDRNTSTGFVLSFAPKSLFPLPYPGNCGWLDD >ORUFI03G12120.1 pep chromosome:OR_W1943:3:8381255:8387075:1 gene:ORUFI03G12120 transcript:ORUFI03G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLRKQASKLREHVAKQQQAVRKQFSARYNQDPSLVDEAELECHQNLQRLYNSTRAAKHFQRSIVRGVEGFIAVSTKQMEIVKKLAEDCCRYGNDNQNFGFILARASVEFGNSHSQMEKERENLLKFLGEQVFEPLREMIMSAPLEDARLLTYRYQRIRQDMESQIADVMRKQLKSKESSGNADNSVKLQHAESKLSELRTTLSALGREATAAMEAVEVQQQQVTFDRLLAMVDAERAYHQNAADILNKLHDEMVQAKHHDEPENHYDETSSDPKTAATHEHSRSTSEDHIFTNTSEPTRTETSEPTRTETSEPTRNGQEVHYVGEVIHPFDAQADGELSISVGDYVVVRQVAPNGWSEGECKGKAGWFPSAYVEQRDKAPASKVIEPGLLTT >ORUFI03G12130.1 pep chromosome:OR_W1943:3:8389524:8397904:1 gene:ORUFI03G12130 transcript:ORUFI03G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLRKQPSFLLILLILHLGAREARALSSDGEALLAFKKAVTTSDGIFLNWREQDVDPCNWKGVGCDSHTKRVVCLILAYHKLVGPIPPEIGRLNQLQALSLQGNSLYGSLPPELGNCTKLQQLYLQGNYLSGHIPSEFGDLVELGTLDLSSNTLSGSIPPSLDKLAKLTSFFIGNRGLCGKQINSVCKDALQSPSNGPLPPSADDFINRRNGKNSTRLVISAVATVGALLLVALMCFWGCFLYKNFGKKDIHGFRVELCGGSSIVMFHGDLPYSTKEILKKLETMDDENIIGVGGFGTVYKLAMDDGNVFALKRIMKTNEGLGQFFDRELEILGSVKHRYLVNLRGYCNSPSSKLLIYDYLPGGNLDEVLHEKSEQLDWDARINIILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNFEARVSDFGLAKLLEDDKSHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLLLEILSGKRPTDASFIEKGLNIVGWLNFLVGENREREIVDPYCEGVQIETLDALLSLAKQCVSSLPEERPTMHRVVQMLESDVITPCPSDFYDSE >ORUFI03G12130.2 pep chromosome:OR_W1943:3:8389524:8396539:1 gene:ORUFI03G12130 transcript:ORUFI03G12130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLRKQPSFLLILLILHLGAREARALSSDGEALLAFKKAVTTSDGIFLNWREQDVDPCNWKGVGCDSHTKRVVCLILAYHKLVGPIPPEIGRLNQLQALSLQGNSLYGSLPPELGNCTKLQQLYLQGNYLSGHIPSEFGDLVELGTLDLSSNTLSGSIPPSLDKLAKLTSFFIGNRGLCGKQINSVCKDALQSPSNGPLPPSADDFINRRNGKNSTRLVISAVATVGALLLVALMCFWGCFLYKNFGKKDIHGFRVELCGGSSIVMFHGDLPYSTKEILKKLETMDDENIIGVGGFGTVYKLAMDDGNVFALKRIMKTNEGLGQFFDRELEILGSVKHRYLVNLRGYCNSPSSKLLIYDYLPGGNLDEVLHEKSEQLDWDARINIILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNFEARVSDFGLAKLLEDDKSHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLLLEILSGKRPTDASFIEKGLNIVGWLNFLVGENREREIVDPYCEGVQIETLDALLSLAKQCVSSLPEERPTMHRVVQMLESDVITPCPSDFYDSE >ORUFI03G12130.3 pep chromosome:OR_W1943:3:8390749:8397904:1 gene:ORUFI03G12130 transcript:ORUFI03G12130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLRKQPSFLLILLILHLGAREARALSSDGEALLAFKKAVTTSDGIFLNWREQDVDPCNWKGVGCDSHTKRVVCLILAYHKLVGPIPPEIGRLNQLQALSLQGNSLYGSLPPELGNCTKLQQLYLQGNYLSGHIPSEFGDLVELGTLDLSSNTLSGSIPPSLDKLAKLTSFFIGNRGLCGKQINSVCKDALQSPSNGPLPPSADDFINRRNGKNSTRLVISAVATVGALLLVALMCFWGCFLYKNFGKKDIHGFRVELCGGSSIVMFHGDLPYSTKEILKKLETMDDENIIGVGGFGTVYKLAMDDGNVFALKRIMKTNEGLGQFFDRELEILGSVKHRYLVNLRGYCNSPSSKLLIYDYLPGGNLDEVLHEKSEQLDWDARINIILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNFEARVSDFGLAKLLEDDKSHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLLLEILSGKRPTDASFIEKGLNIVGWLNFLVGENREREIVDPYCEGVQIETLDALLSLAKQCVSSLPEERPTMHRVVQMLESDVITPCPSDFYDSE >ORUFI03G12140.1 pep chromosome:OR_W1943:3:8395992:8396456:-1 gene:ORUFI03G12140 transcript:ORUFI03G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIRRSNVFDPFSLDLWDPFDGFPFGSGSGSLFPRANSDAAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNVLQISGERIKEQEEKTDKWHRVERSSGKFLRRFRLPENTKPEQIKASMENGVLTVTVPKEEPKKPDVKSIQITG >ORUFI03G12150.1 pep chromosome:OR_W1943:3:8398116:8399599:-1 gene:ORUFI03G12150 transcript:ORUFI03G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFPDSFSCITMDLSSVRSGIALRHAAMRAPATPSPNPSLYFAPNTDPPYVIDPTALAVPSLPRHPLIPLYIVGPSPSTIIPLSHLPLLSQPQPKPKPICRSLGCYQCCRNK >ORUFI03G12160.1 pep chromosome:OR_W1943:3:8400110:8400589:-1 gene:ORUFI03G12160 transcript:ORUFI03G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRGNAFDPFSLDLWDPVDGFPFGSGGSSSSSGSLFPRANSDAAAFAGARIDWKETPEVHVFKADVPGLKKEEVKVEVDDGNILQISGERSREQEEKSDKWHRVERSSGKFLRRFRLPENTKPEQIKASMENGVLTVTVPKEEPKKPDVKSIQISG >ORUFI03G12170.1 pep chromosome:OR_W1943:3:8403520:8405045:-1 gene:ORUFI03G12170 transcript:ORUFI03G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTSSHLLLLSRQQAAASLQCGLSFRRQPGRLAGGSSAPSVRCMAAVDTASAPAATEASKKSSYEITTLTTWLLKQEQAGTIDGEMTIVLASISTACKQIASLVQRAPISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLKSSGRTGVIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIADDQNLDQVEQRCIVSVCQPGSNLLAAGYCMYSSSVIFVLTIGTGVYVFTLDPMYGEFVLTQEKVQIPKAGKIYAFNEGNYALWDDKLKSYMDSLKEPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDQKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDIMPTEIHQRVPLYIGSVEEVEKVEKFLA >ORUFI03G12170.2 pep chromosome:OR_W1943:3:8403520:8405045:-1 gene:ORUFI03G12170 transcript:ORUFI03G12170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTSSHLLLLSRQQAAASLQCGLSFRRQPGRLAGGSSAPSVRCMAAVDTASAPAATEASKKSSYEITTLTTWLLKQEQAGTIDGEMTIVLASISTACKQIASLVQRAPISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLKSSGRTGVIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIADDQNNETPLSARVCLQLDQVEQRCIVSVCQPGSNLLAAGYCMYSSSVIFVLTIGTGVYVFTLDPMYGEFVLTQEKVQIPKAGKIYAFNEGNYALWDDKLKSYMDSLKEPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDQKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDIMPTEIHQRVPLYIGSVEEVEKVEKFLA >ORUFI03G12180.1 pep chromosome:OR_W1943:3:8413409:8420084:1 gene:ORUFI03G12180 transcript:ORUFI03G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLERDDALDTVLEVPIPEEMFSRGSGGGGSRGSRFGCTNVKSWVRPNASDRSGGAGEPCSMSRGELQLMLGVIGAPLIPLPVDHAKQSPCSVLCEQLKADPIESSTAKYIIQQYIAASGGEWALNKVTSMYAMGKVRMTAAELNSSDADGGGGGGGGGHRGGKKSSKNGGEVGGFVLWQKKPELWSLELVVSGCKISAGSDGKVAWRQTPWHQSHASRGPPRPLRRSLQDRTDRSSTRTAERHDYAFAALIGPLALAVVKGLDPMLTASLFADAVCIGERSVDGEDCFVLKVEAEASSLRARNSSSVEIIRHTVWGYFSQRTGLLVQLEDSHLLQIKSSGHGSVFWETTMESHLHDYRAVDGVNIAHAGRTAVSLVRFGDSSDGNTRTRMEEVWNIEEVDFNIWGLSMDCFLPPSDLKDSKEEAPPADKDTGGGRPRRAIAGRRRQHGRFRLAHCEVMTYIAGSVTPYESCVFTCLIAISYLW >ORUFI03G12190.1 pep chromosome:OR_W1943:3:8417180:8419975:-1 gene:ORUFI03G12190 transcript:ORUFI03G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKGQFSRERNVKATLGRDGRQRGNSQDQSVQVPKEKIAVVGGNIDGKFEDRIRVVKNEKFRRQREPRSADAGGSLKGSKPWPGRKATTVDELVKHMSNVPSYLQRKETSGHLQDKALNVGVLEWGLLARWSHQQKHEFSSSHGASPSNTSRSLIFSSPSQSSASPSSKSLESNQSPTLNDHQHCSMEFQQSDLEDKYHGKARYSPSPNSAVLNLLPVHGKHFPENTGKYGDLNLRNISPLSDPLLTATGSSMRHEMVDDEETTRNIEEAVHHCSRRLFTDDDNIGQSFFTSHNNDSACGDFQQSSGVTGEVFETLVSSAVMEMERNASLSPVGFSKDIGQHHEFPRIPYSCPLPIMDSSEELGTSRTGTQGDSVGAAVTIGENRNQKQISRGASERTPRISAKFSDMDASPHRHLVSGLNRVNRCSSLKDGPCPRQPEASTSVDKINGDKSSGNKGSRRSPLRRMLDPILKPRQSSTSGPIQPSFVPKCHLPGHIDKQSLSLGGSALQNVQRRSVDSVVNSNCRTETNTNQPPQVLNSERYLQQDIDSTTTRHALLQLAWKNGLPFFMLSCGSDILVATVRRKGISDNNDLESTYTLFGVEEPKKRGGAWIKAGNKNKKDQLVYNIVGEMRVSHRKSRCYQAEKNHLHREFVLVGSEQLPSSEESGDSHVSREFAAFISAVSQQEPETSRHSSSQHSSRSMSTPTDCSCPLGNFHPNTRDDSCASSSVLAVLPNGFHGTSTSGQPLPLIERWKSGGACDCGGWDEGCMLSVLSDDARENKGDKSTQANQTTDGSQRFDLFVQGRSREDKHAFSMVSFREGLYTVEFRSSIALLQAFAMCIVMLHGRRPTRTQAGVHASQEHASLADHKLNKIMAASQGRAQASYVPHRPPLSPVGRA >ORUFI03G12200.1 pep chromosome:OR_W1943:3:8420056:8423840:-1 gene:ORUFI03G12200 transcript:ORUFI03G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMKTGLSTETPEVRNPCGPKENGSNLKVRNTFPANRAVHLHGTRITTIHQPPPGLRSIASAITFHITSTAPPPVRPSARQLPTGFSQGKKKHSYSYFRPSAQPRPGRWRICGCVTSIWDLDELGGLFLKWFLGASWFKEV >ORUFI03G12210.1 pep chromosome:OR_W1943:3:8427896:8431290:1 gene:ORUFI03G12210 transcript:ORUFI03G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGMAAATMDSGAAAAAARRYSTQQQQQQPPPPQLHHHQPQLGTVPHLLAGGVAGAVSKTCTAPLARLTILFQVQGMHSDVATMRKTSIWREASRIVYEEGFRAFWKGNLVTIAHRLPYSSISFYTYERYKNLLQMIPGLDRNGGFGADVGVRLIGGGLSGITAASMTYPLDLVRTRLAAQTNTAYYRGISHALYAICRDEGVKGLYKGLGATLLGVGPSIAISFCVYETLRSHWQIERPYDSPVLISLACGSLSGIASSTITFPLDLVRRRMQLEGAAGRARVYQTGLFGTFGHIVRTESLRGLYRGILPEYCKVVPSVGIVFMTYETLKSILTELASDD >ORUFI03G12220.1 pep chromosome:OR_W1943:3:8432459:8437215:-1 gene:ORUFI03G12220 transcript:ORUFI03G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSRSCDQCSHERRSGFMKWLCAFLKGTKDGEANRRRPRVTAGEETTLWEEPVRPKKEEPPRHNNEEMDHALALALADDAKNTKERNHDKGENDEELARAIQDSLNMNPYQPYNPCAPSQTQARSRGYRVCGGCKHEIGHGHYLSCLGMYWHPQCFRCSSCRHPIREMEFTLLGTDPYHKLCYKELHHPKCDVCLQFIPTNRTGLIEYRAHPFWGQKYCPLHEHDRTPRCCSCEKMEPRNTKYMSLGDGRSLCMECLDSAIMDTGECQPLYHSIRDYYEGMNMKLDQQIPMLLVERQALNEAMEGESKGPHHMPETRGLCLSEEQTVTSILRRPRIGANRLLDMKTQPQKLTRRCEVTAILVLFGLPRLLTGSILAHELMHGWLRLKGYRNLKAEIEEGICQVMSYLWLESEILPSTSRYGQASTSYASSSSSSCRPPPSKKGGISHTEKKLGEFFLHQIANDTSSAYGDGFRAAYAAVNKYGLRQSLNHIRLTGGFPV >ORUFI03G12230.1 pep chromosome:OR_W1943:3:8446111:8451766:1 gene:ORUFI03G12230 transcript:ORUFI03G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPGAGGQGGGGMDAVLLDDIIRRLLEVRTARPGKQVQLSESEIRQLCTVSREIFLSQPNLLELEAPIKIPNPLPPSCRSALAARPKAGVFSREISQEGPVSSLLLPLTEMEELGELIFPVEAPLAKGWGYGYGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNSLPRPTDVPDTGLLCDLLWSDPGKDVQGWGMNDRGVSYTFGADKVSEFLEKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKGKFMASNKILTGAA >ORUFI03G12230.2 pep chromosome:OR_W1943:3:8446111:8451766:1 gene:ORUFI03G12230 transcript:ORUFI03G12230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPGAGGQGGGGMDAVLLDDIIRRLLEVRTARPGKQVQLSESEIRQLCTVSREIFLSQPNLLELEAPIKIPNPLPPSCRSALAARPKAGVFSREISQEGPVSSLLLPLTEMEELGELIFPVEAPLAKGWGYGYGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLTHLDEIKSLPRPTDVPDTGLLCDLLWSDPGKDVQGWGMNDRGVSYTFGADKVSEFLEKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKGKFMASNKILTGAA >ORUFI03G12230.3 pep chromosome:OR_W1943:3:8446111:8451766:1 gene:ORUFI03G12230 transcript:ORUFI03G12230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPGAGGQGGGGMDAVLLDDIIRRLLEVRTARPGKQVQLSESEIRQLCTVSREIFLSQPNLLELEAPIKIPNPLPPSCRSALAARPKAGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLTHLDEIKSLPRPTDVPDTGLLCDLLWSDPGKDVQGWGMNDRGVSYTFGADKVSEFLEKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKGKFMASNKILTGAA >ORUFI03G12240.1 pep chromosome:OR_W1943:3:8453461:8457198:-1 gene:ORUFI03G12240 transcript:ORUFI03G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANHASRGRRTLEEIRQKRAAERMQQQHNPAAAASLVDPYGNPGAGAELLGRVRELENGNIALERENQMLLSKIAEKEVEKDSLVNRLNDLERNIVPSLKKAVNDISLEKDAAAVAKEDALAQLRSMKKRLKEAEEEQYRAEEDSASLRAQLNTLQQQVMSNSYTGFPVGVSNEHILAMEKEVENLQAQLKQESLLRQQEQQKLSEESLLRQQEQQKLTGEQSRAASLVAEKKELEEKIAALTKKASDEASEFAARKAFSMEDREKLESQLHDMALMVERLEGSRQKLLMEIDSQSSEIEKLFEENSALSTSYQEAVAVTMQWENQVKDCLKQNEELRSHLEKLRLEQATLLKTSNTTIQPDGQNETSISFPPEFVTENLSLKDQLIKEQSRSEGLSAEIMKLSAELRKAVQAQNNLARLYRPVLRGIESNLMKMKQETYATIQDTIDF >ORUFI03G12250.1 pep chromosome:OR_W1943:3:8458341:8463583:-1 gene:ORUFI03G12250 transcript:ORUFI03G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAAGSGGGGGGGSGVRRVGDYVLVRQIGSGAYARVWLGKHRTRGTEVALKEIAVERLSSKLRESLLSEVDILRRIRHPNVIALHESIRDGGKIYLVLEYCRGGDLHSYLQQHKRMLRENNVVHRDLKPQNILLVANNENSLLKIADFGFAKFLEPSSLAETLCGSPLYMAPEVMQAQKYDAKADLWSVGIILYQLVTGSPPFTGDSQIQLLRNILNTREIRFPSDCDLSHGCIDLCRKLLRINSVERLTVEEFVNHPFLAEHALERTLSRTPSDIRDGFPFINSSPTRPSSQSSQEDCMPFPLDDESTGQDEGPVSDSKSAIKSYGFATSKRLDKTSGQSPTKHASLVSKYIRGNNYASSSQRLDHPRRIKENKGDEGHNPKGGYPEDSPIIDSLEFVDQEYVFVHPEGSSSSMNDSRQRTMPSKLDSSSLSPPKLLTAVSAPRPIHGMAINRQQSGGTGSLDSHCSPVSGTSQGSADLNDAMDQPPSDCLTRVRLLEQYASTIAELVKEKIKDAKHLEGFSIQLVVLATWKQAIYICTSYASSATRENPSHDVTAKGFGSNAPHLLANSQLLYDTCMEIESQFLVQMEYAEELANTIGQTVDATEMPDAIEIIFQTALNLGRHGGVDEMMGKSASAMVLYSKAVSMLRFLLTEAPSLALNPALSLTRDDRRRLRTYIEAVNARLVPLQYQRH >ORUFI03G12250.2 pep chromosome:OR_W1943:3:8458341:8463583:-1 gene:ORUFI03G12250 transcript:ORUFI03G12250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAAGSGGGGGGGSGVRRVGDYVLVRQIGSGAYARVWLGKHRTRGTEVALKEIAVERLSSKLRESLLSEVDILRRIRHPNVIALHESIRMPQAKENSLGLTFIWVDGGKIYLVLEYCRGGDLHSYLQQHKRMLRENNVVHRDLKPQNILLVANNENSLLKIADFGFAKFLEPSSLAETLCGSPLYMAPEVMQAQKYDAKVRAFFCFLVSFIMYFYLFLTRASCPISDRTGRFVELERLTVEEFVNHPFLAEHALERTLSFTSTASRDMFDILYCIDSRTPSDIRDGFPFINSSPTRPSSQSSQEDCMPFPLDDESTGQDEGPVSDSKSAIKSYGFATSKRLDKTSGQSPTKHASLVSKYIRGNNYASSSQRLDHPRRIKENKGDEGHNPKGGYPEDQEYVFVHPEGSSSSMNDSRQRTMPSKLDSSSLSPPKLLTAVSAPRPIHGMAINRQQSGGTGSLDSHCSPVSGTSQGSADLNDAMDQPPSDCLTRVRLLEQYASTIAELVKEKIKDAKHLEGFSIQLVVLATWKQAIYICTSYASSATRENPSHDVTAKGFGSNAPHLLANSQLLYDTCMEIESQFLVQMEYAEELANTIGQTVDATEMPDAIEIIFQTALNLGRHGGVDEMMGKSASAMVLYSKAVSMLRFLLTEAPSLALNPALSLTRDDRRRLRTYIEAVNARLVPLQYQRH >ORUFI03G12250.3 pep chromosome:OR_W1943:3:8458341:8461007:-1 gene:ORUFI03G12250 transcript:ORUFI03G12250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTYAEMERLTVEEFVNHPFLAEHALERTLSRTPSDIRDGFPFINSSPTRPSSQSSQEDCMPFPLDDESTGQDEGPVSDSKSAIKSYGFATSKRLDKTSGQSPTKHASLVSKYIRGNNYASSSQRLDHPRRIKENKGDEGHNPKGGYPEDSPIIDSLEFVDQEYVFVHPEGSSSSMNDSRQRTMPSKLDSSSLSPPKLLTAVSAPRPIHGMAINRQQSGGTGSLDSHCSPVSGTSQGSADLNDAMDQPPSDCLTRVRLLEQYASTIAELVKEKIKDAKHLEGFSIQLVVLATWKQAIYICTSYASSATRENPSHDVTAKGFGSNAPHLLANSQLLYDTCMEIESQFLVQMEYAEELANTIGQTVDATEMPDAIEIIFQTALNLGRHGGVDEMMGKSASAMVLYSKAVSMLRFLLTEAPSLALNPALSLTRDDRRRLRTYIEAVNARLVPLQYQRH >ORUFI03G12250.4 pep chromosome:OR_W1943:3:8461161:8463583:-1 gene:ORUFI03G12250 transcript:ORUFI03G12250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAAGSGGGGGGGSGVRRVGDYVLVRQIGSGAYARVWLGKHRTRGTEVALKEIAVERLSSKLRESLLSEVDILRRIRHPNVIALHESIRMPQAKENSLGLTFIWVDGGKIYLVLEYCRGGDLHSYLQQHKRVSETVAKHFIQQLGKHFLRPSMLRENNVVHRDLKPQNILLVANNENSLLKIADFGFAKFLEPSSLAETLCGSPLYMAPEVMQAQKYDAKVRAFFCFLVSFIMYFYLFLTRASCPISDRTGRFVECWYNSISTCYRISSFYRG >ORUFI03G12250.5 pep chromosome:OR_W1943:3:8461161:8463583:-1 gene:ORUFI03G12250 transcript:ORUFI03G12250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAAGSGGGGGGGSGVRRVGDYVLVRQIGSGAYARVWLGKHRTRGTEVALKEIAVERLSSKLRESLLSEVDILRRIRHPNVIALHESIRDGGKIYLVLEYCRGGDLHSYLQQHKRVSETVAKHFIQQLGKHFLRPSMLRENNVVHRDLKPQNILLVANNENSLLKIADFGFAKFLEPSSLAETLCGSPLYMAPEVMQAQKYDAKVRAFFCFLVSFIMYFYLFLTRASCPISDRTGRFVECWYNSISTCYRISSFYRG >ORUFI03G12260.1 pep chromosome:OR_W1943:3:8464941:8467561:-1 gene:ORUFI03G12260 transcript:ORUFI03G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKAGDWEVTLVVPWLSKGDQLLVYPNKMKFSVPGEQEGYVRRWLEERIGLLPKFEIKFYPGKFSTEKRSILPAGDITQTVSDDKADIAVLEEPEHLTWYHHGRRWKNKFRKVIGVVHTNYLEYVKRERNGYIHAFLLKHINSWVTDIYCHKVIRLSAATQEVPRSIVCNVHGVNPKFIEIGKLKHQQISQREQAFFKGAYYIGKMVWSKGYTELLQLLQKHQKELSGLKMELYGSGEDSDEVKASAEKLNLDVRVYPGRDHGDSIFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKRFPNCHMYNTEKEFVRLTMKALAEEPIPLSEELRHELSWEAATERFVRVADIAPIMSIKQHSPSPQYFMYISPDELKKNMEEASAFFHNAISGFETARCVFGAIPNTLQPDEQQCKELGWRLQE >ORUFI03G12270.1 pep chromosome:OR_W1943:3:8471555:8473563:-1 gene:ORUFI03G12270 transcript:ORUFI03G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFGNKPMILHQIEALKEVGVTEVVLAINYQPEVMLNFLKDFESKLGIKITCSQETEPLGTAGPLALARDKLADGSGDPFFVLNSDVISEYPFAELIQFHKSHGGEATIMVTKVDEPSKYGVVVMEDETDKVERFVEKPKVFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIAADNGLFAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKAPAKLASGAHVLGNVLVHETAVIGEGCLIGPDVAVGPGCVVEAGVRLSRCTVMRGARVKKHACISSSIIGWHSTVGMWARVENMTILGEDVHVCDEVYSNGGVVLPHKEIKSSILKPEIVM >ORUFI03G12280.1 pep chromosome:OR_W1943:3:8473577:8475004:-1 gene:ORUFI03G12280 transcript:ORUFI03G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWQVATSQGLIRIETCVKHVNFMHLLEGREAIGGGQSSATSTKSARVAGNRSATRPTLSSSSSSIIFPPLSLSFSDFFFLNSRHPGTPALLCSAQGEEELS >ORUFI03G12280.2 pep chromosome:OR_W1943:3:8473582:8474622:-1 gene:ORUFI03G12280 transcript:ORUFI03G12280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHHDTCSVLVPLELAMGIWDANGNSVGRDRERRDLSCRGARRQRPQTRASRAAEKASTQICNGWVRRS >ORUFI03G12290.1 pep chromosome:OR_W1943:3:8478663:8479199:-1 gene:ORUFI03G12290 transcript:ORUFI03G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPFVYRAIVHKRDGHRAIGNPFLNDDPAAAATAYKRLATCDSGTYSRPATTVDAPFLGGAVVTLLGLVFPKGKIYCVKVVYWLLCGDCAPCATGKPYRSSFQISW >ORUFI03G12300.1 pep chromosome:OR_W1943:3:8480239:8481180:1 gene:ORUFI03G12300 transcript:ORUFI03G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAGEPGRVDFHILGLEQFSLWVARLCCRRAATGSPPPFLLATAAAWTLERLPPPADKTKRNGRKRKREKGEKGRKETGMTCGLHISVGLTLFWCE >ORUFI03G12310.1 pep chromosome:OR_W1943:3:8483772:8485335:-1 gene:ORUFI03G12310 transcript:ORUFI03G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEVVAGSSSEGKGPECDTGSRAARRRRMEIRRLRVVAERGAEEETSGKRRRLDGGGGEASTDEEDREVERARYGFTSVCGRRRDMEDSVSACPGFLPGHHFFGVFDGHGCSHVATSCGQRMHEIVVDEAGAAAGSAGLDEEARWRGVMERSFARMDAEAVASSRGSVAPAPTCRCEMQLPKCDHVGSTAVVAVLGPRHVVVANCGDSRAVLCRGGAAIPLSCDHKPDRPDELERIHAAGGRVIFWDGARVFGMLAMSRAIGDSYLKPYVICDPEVRVMERKDGEDEFLILASDGLWDVVSNEVACNVVRACLRSSGRRERNRSSPTSNLSPRQSSSSGDEAPNDGAPSAAAGSESDEESAAEEDKACAEAAVLLTKLALARQTSDNVSVVVVNLRRRKL >ORUFI03G12320.1 pep chromosome:OR_W1943:3:8488870:8489151:-1 gene:ORUFI03G12320 transcript:ORUFI03G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLASGNATTMAAVSGDDDHDEMGIWGATLQAFPLQAHFQPAEPRIRLPARLGSDMEP >ORUFI03G12330.1 pep chromosome:OR_W1943:3:8495704:8507884:-1 gene:ORUFI03G12330 transcript:ORUFI03G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEELCLERSEVRLERSEMAAAAETSAKVGAPRRWSLQGKTALVTGGTRGIGRAVVEELAALGATVHTCSRKEEELSERLKEWEARGFRVTTSVCDLSVRDQRERLLRQVADLFGGKLDILVNNVGTNIRKPTTEFSAEEYSFMMATNLESAYHLCQLSHPLLKASGSGSIVFISSVCGLVAVFSGSLYAMTKGAINQLTKNLACEWARDNIRSNSIAPWYIRTSLTEGVKLLLINHPDLSCLDTCHFKSEHVVKYSTNCQDTLLANKDFEGAVVSRTPLRRVGEPEEVSSLVAFLCMPGSSYITGQTISVDGGPAGPTGETAVTGEMAAAETSGTVAAPGRWSLHGKMALVTGGTRGIGRAVVEELAALGAAVHTCSRNEAELGERLKEWEARGFRVTISVCDLSARDQRERLIGDVADRFGGKLDILVNNVGTNIRKPTTEYSADEYSFLMATNLESAYHLCQLGHPLLKASGSGSIVFISSVAGIVALFSGTIYAMTKGAMNQLTKNLACEWAKDNIRTNCVAPGYILTSLSEGILANKEFEGSVKSRTPLRRVGEPAEISSLVAFLCMPGSTYITGQTIAVDGDAKKASPRTLQQNGVSNCPLRDAAAFCLDGHRP >ORUFI03G12330.2 pep chromosome:OR_W1943:3:8495704:8507884:-1 gene:ORUFI03G12330 transcript:ORUFI03G12330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEELCLERSEVRLERSEMAAAAETSAKVGAPRRWSLQGKTALVTGGTRGIGRAVVEELAALGATVHTCSRKEEELSERLKEWEARGFRVTTSVCDLSVRDQRERLLRQVADLFGGKLDILVNNVGTNIRKPTTEFSAEEYSFMMATNLESAYHLCQLSHPLLKASGSGSIVFISSVCGLVAVFSGSLYAMTKGAINQLTKNLACEWARDNIRSNSIAPWYIRTSLTEGLLANKDFEGAVVSRTPLRRVGEPEEVSSLVAFLCMPGSSYITGQTISVDGGPAGPTGETAVTGEMAAAETSGTVAAPGRWSLHGKMALVTGGTRGIGRAVVEELAALGAAVHTCSRNEAELGERLKEWEARGFRVTISVCDLSARDQRERLIGDVADRFGGKLDILVNNVGTNIRKPTTEYSADEYSFLMATNLESAYHLCQLGHPLLKASGSGSIVFISSVAGIVALFSGTIYAMTKGAMNQLTKNLACEWAKDNIRTNCVAPGYILTSLSEGILANKEFEGSVKSRTPLRRVGEPAEISSLVAFLCMPGSTYITGQTIAVDGDAKKASPRTLQQNGVSNCPLRDAAAFCLDGHRP >ORUFI03G12330.3 pep chromosome:OR_W1943:3:8495704:8507884:-1 gene:ORUFI03G12330 transcript:ORUFI03G12330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEELCLERSEVRLERSEMAAAAETSAKVGAPRRWSLQGKTALVTGGTRGIGRAVVEELAALGATVHTCSRKEEELSERLKEWEARGFRVTTSVCDLSVRDQRERLLRQVADLFGGKLDILVNNVGTNIRKPTTEYSADEYSFLMATNLESAYHLCQLGHPLLKASGSGSIVFISSVAGIVALFSGTIYAMTKGAMNQLTKNLACEWAKDNIRTNCVAPGYILTSLSEGILANKEFEGSVKSRTPLRRVGEPAEISSLVAFLCMPGSTYITGQTIAVDGDAKKASPRTLQQNGVSNCPLRDAAAFCLDGHRP >ORUFI03G12340.1 pep chromosome:OR_W1943:3:8507911:8509610:-1 gene:ORUFI03G12340 transcript:ORUFI03G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVASGAAGRWTLRGKTALVTGGTRGIGYSPQHPSLVLPYRYPVRSETSTAEPLLLFHDQRGEFMRRHAVVDELAALGAAVHTCSRKEAELGERLREWEGKGFRVTGSVCDVSVREQRERMLREVAGLYGGKLDILVNNVGTNFSKQTTEYSADDYSFIMATNLESAYHLCQLAHPLLKSSGSGSVVFISSVSGVVAVSSGSVYAMTKGAMNQLAKNLACEWAKDNIRTNSVAPWYMKTSLVEDELARKDFADSVVRRTALKRVGEPEEVSSLVAFLCMPGASYITGQTISVDGGMTINGLYPTQD >ORUFI03G12350.1 pep chromosome:OR_W1943:3:8519570:8522792:-1 gene:ORUFI03G12350 transcript:ORUFI03G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARFWYSKPAERARPAAFVPPPPPPTPPQYVPPEEPSAFAKLYAVAGDVVGRAKALLTTGGPVTPSDDGQRVRRALAQLTAPPSDPAPAAPQKDSSSGLSSTAVVWIIVAAGVVGAVLALCVLTLWIRRCRRQRRRRRQAQPFPLPPPIYNPNPYYKGDLPPQPFVAQQPPSDHYFIQHQHPTPPQTSGTFSDAGSERPHSIDILTELPTGGSLSYDQLAAATDGFSPDNVIGQGGFGCVYRGTLQDGTEVAIKKLKTESKQGDREFRAEVEIITRVHHRNLVSLVGFCISGNERLLVYEFVPNKTLDTHLHGNKGPPLDWQQRWKIAVGSARGLAYLHDDCSPKIIHRDVKASNILLDHDFEPKVADFGLAKYQPGNHTHVSTRIMGTFGYIAPEFLSSGKLTDKADVFAFGVVLLELITGRLPVQSSESYMDSTLVAWAKPLLSEATEEGNFDILVDPDIGDDYDENIMMRMIECAAAAVRQSAHLRPSMVQILKHLQGETHGEDLNSIFRITYAEDTYSSIMESGESIGPRSRRAPRSQRNTSSDYSSEQALTDKANRSPAKGSRPRGARAGAAASGGKTGGGRRELKQ >ORUFI03G12360.1 pep chromosome:OR_W1943:3:8524930:8525556:-1 gene:ORUFI03G12360 transcript:ORUFI03G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSKKASSFVVMASMSAVCAEEALKDQAGLCRLNDLVWRCRRRGGNRERAAAPPPPPPPRSFPTDVARATVGGTAAGGRAGAGEWWRRASSGGGGRAAAAAGFAGSTTSCSAAADEEATGSGRRLHLLLHRLPPPRIWRRRGACDGGSWGGRAVAAGGVVAGGGAEAGEQWRRRWEARWLAGGGRRPPVSSSFAAAASEVTSSMS >ORUFI03G12370.1 pep chromosome:OR_W1943:3:8530792:8533276:1 gene:ORUFI03G12370 transcript:ORUFI03G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVFPDADGKNERCCWLKRSLCALVLFSASYFAYFSFFSGNNVVRDLRQCPFCEPSPPSPPVVAGATARSPTTLAHIVFVIGASNATWAKRRVYTGLWWRPGAMRGHVWLDDEPSGQWRPSWPPYRVLRPDEARFGKEHAAAARMAWAVAEAFQAAEAGREGDGEVRWLVMGDDDTVFFPENLVAVLDKYDHREMYYVGSTSESVGQNVVHSYSMAFGGGGYAISYPAAAALAGIMDGCLDRYNEFYGSDHRVQACLAELGVPLTTEPGFHQLDLKGHVYGLLAAHPVAPLVSLHHLDRLNPISPNWLKRLPAVRSLVGASRHDPSRTLQQAICYHHDARGGGRRRRRRRQFTLSVSVSWGYMVHLYPAAVPPHELQTPLRTFRAWSGSPAGPFTVNTRPEATPNATALPCHRKPIMFYLDRVTAMSTSTTNWTLTEYVPEVLSGERCNTTGFDAATKVQMIQVIALKMNPAIWKRAPRRQCCKMQNANEGDKLIVKIHECKPDEATTSV >ORUFI03G12380.1 pep chromosome:OR_W1943:3:8557440:8562627:1 gene:ORUFI03G12380 transcript:ORUFI03G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKWKVVEGGAGAGAGGGGGDQRRRCVTASLSMLIAATLAFLAYVAFFPYDGAGGLYRWWRCEGCGDAAGGVAFDEAAMAQGPTAGGARRRSPTTLSHIVFGVGASARTWDKRRGYAELWWRPGEMRGHVWLDEQPVGPWPAATCPPYRVSADASRFGDRASASRMARIVADSFLAVTAEMANGTTDSPEARWFVMGDDDTVFFPDNLVAVLNKYDHEEMYYVGAPSESVEQDVMHSYGMAFGGGGFAVSYPAAAELAKAIDGCLERYRLFYGSDQRVQACLSELGVPLTREPGFHQGQWQYYGSTFVGSSTVDVRGDAYGMLAAHPLAPLVSLHHLDHIEPISPGGQHGSPLDAARRLVRASRLDQARSLQQAFCYQRGPRYTWSVSVSWGYTVQLYPWAVAPHELEVPLRTFKTWRSWADGPFVFNTRPLSRDDACAQRAVFFLSAARNDTSSRGRGRSRATMTEYTRRVAKPGAKECDRPSFLAASTVHTVRVFAPKMSPNEWTRAPRRHCCSTKRTRFGTELEVRIRYCGRGELTTP >ORUFI03G12380.2 pep chromosome:OR_W1943:3:8557440:8562627:1 gene:ORUFI03G12380 transcript:ORUFI03G12380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKWKVVEGGAGAGAGGGGGDQRRRCVTASLSMLIAATLAFLAYVAFFPYDGAGGLYRWWRCEGCGDAAGGVAFDEAAMAQGPTAGGARRRSPTTLSHIVFGVGASARTWDKRRGYAELWWRPGEMRGHVWLDEQPVGPWPAATCPPYRVSADASRFGDRASASRMARIVADSFLAVTAEMANGTTDSPEARWFVMGDDDTVFFPDNLVAVLNKYDHEEMYYVGAPSESVEQDVMHSYGMAFGGGGFAVSYPAAAELAKAIDGCLERYRLFYGSDQRVQACLSELGVPLTREPGFHQVDVRGDAYGMLAAHPLAPLVSLHHLDHIEPISPGGQHGSPLDAARRLVRASRLDQARSLQQAFCYQRGPRYTWSVSVSWGYTVQLYPWAVAPHELEVPLRTFKTWRSWADGPFVFNTRPLSRDDACAQRAVFFLSAARNDTSSRGRGRSRATMTEYTRRVAKPGAKECDRPSFLAASTVHTVRVFAPKMSPNEWTRAPRRHCCSTKRTRFGTELEVRIRYCGRGELTTP >ORUFI03G12390.1 pep chromosome:OR_W1943:3:8569869:8571198:-1 gene:ORUFI03G12390 transcript:ORUFI03G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRDCDDDDVREWRKMASLRWQRCVQVEEDGEERRTSQVRTQNALKLWMTNEVGRSLFAKAGELGAPVGIMMMKNTVGATNAILQ >ORUFI03G12400.1 pep chromosome:OR_W1943:3:8571663:8575398:-1 gene:ORUFI03G12400 transcript:ORUFI03G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLARHRCRPSEVGLGFHPPSTACLSTVDTPILHRQLNLCRHVGITAPAPLPTSLRKPPPVTPCHLTQRRPLPPSTASQRQPRRPTTSTAPPRATATLPGHHHCRPQLPPLMSSATCRRHQPAADVLQPPTAVGHLLPPPGPWAPRCHRREIRPRRGGSGGRHRHPPEVPLAASVGRGVKPRRRCPCGGGEAEEEGGRGEEGAARAAAILNSEDII >ORUFI03G12410.1 pep chromosome:OR_W1943:3:8572683:8573471:1 gene:ORUFI03G12410 transcript:ORUFI03G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPRVSSLACRDRWTSAPPQRRTNADHHRTRAHRRTSAAAVTPLPPDHRTPMHPSSAGAPPGTAPDGAAVVPPTAGAAAALNQRRRTTIAPELPHPRDGPALPLLRLHRRTIAHHRIPLPPERSHARDYPRQRRGCSPYCRSRCGARLATPDQRTAGLAPTDHCSAKPPPRSHVPLIPISGVFVFASPCPGSLRGGGGVAVPDRILPMRPWLSRSKCMRALAACRPALRQSGWPDAIGSEVCCPCARLLSFAMVLVWPPFD >ORUFI03G12420.1 pep chromosome:OR_W1943:3:8574786:8575145:1 gene:ORUFI03G12420 transcript:ORUFI03G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARSAPPWPDLAGXAAPEVVPATGGGSGPPAARSSEDCRGGSSELAGRRRGPHGDGSAEPTARAAAASARRRLVVAVRRQLAGGVGRWPTTSVAGVAAGSGGDRARWPWREEARYWW >ORUFI03G12430.1 pep chromosome:OR_W1943:3:8580818:8581816:1 gene:ORUFI03G12430 transcript:ORUFI03G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIRLPRPILKFRPALNEACRIDCFPFVDHKMFWVDHLGRPFLFEAETRLMDMLPCLHKPKSMPFSVFVPNADADNDYEHHRPGSSLFVMERIPKPEVNSSTQWSDQFEAFVYCKHKRTSTNFSKTWQWQLLPPPPYVREPKYWHSCSRPEISSYTSLTVNGDPHICISIDGVGTYCLNTASHTWIKVGNWTLPFHGRVEYVPEFNLWFGFSSDESPHYLAASNLSAMDSQPQLVGRWEELYLPEDLKECKNPQLVNLGSGRFCITRFFHSRSHNSDSRGDVDQNVAVFTGVEVKPCFQDANGGSGKVELQMIPHKSLFHKSNHTTIDAVF >ORUFI03G12440.1 pep chromosome:OR_W1943:3:8582942:8584156:-1 gene:ORUFI03G12440 transcript:ORUFI03G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPANSGKGGGLMRFTEARGVLGWRRQKLGRSGATARRAAGGGRTEQGLATYTTNFGAPVNGAGLGFRGFFPPVTTIESAGVGGGFEEVKEVEIAVLLPPVLSLLVEERRSYGGGKLEVSSGHSSVDRPHGPTFGIEFNLDSKELFERGTADGCWRQPWQGRARGGGSRGKGGHAAVVAAVRACGWPRLGCAGGCGEGLLTAAARACARQQ >ORUFI03G12450.1 pep chromosome:OR_W1943:3:8593296:8597070:-1 gene:ORUFI03G12450 transcript:ORUFI03G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSVGSAGRMMEEVANEGNVVLSERSMKEQHSDKDDVCVERAMEQVSSHDDDVLREALLETGLFTGAMSIDQIDAGDEFEIQDEFGHEDGETEDEGVQSQPAVPDVGLVSGLRPGTWVFWEVPDLVPIFVRRIPLYCENRRKGWEQRCGTVVMGEAEGGRGATAQQRRHGEAEEWRGAAAQQRRRAKVEEARGAAAHAGKL >ORUFI03G12460.1 pep chromosome:OR_W1943:3:8597766:8600213:1 gene:ORUFI03G12460 transcript:ORUFI03G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDATGRYDGDSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGHIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEGEYEDEEEEADLQD >ORUFI03G12470.1 pep chromosome:OR_W1943:3:8602453:8604706:-1 gene:ORUFI03G12470 transcript:ORUFI03G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESTAYRNLRGPSPFVLAMKTRLAPPPQLSDPPPPPLPAVPPSLLPPLLPPPEKRRRGRPRNCDRLTAPPGFFAPLPPPPPPQPQPPTLPAPHGQGQFGGLQPHLLQIDAGEEIIPKITALSKSNGRVICVLSVLGAVQEATLLLSSGVTSYHKGPLEIIRLFGSILTPNDQGCLRVTLASGDSSVIGGVITGPLKAATPVQVVVASFYSDVYWPNRTPKIIAPYPNSQSTIRNGSTLSSEHVNPGYVSYTAVDQHESSEVDVKPSLGMLNLASLDRHESSEVDVKPSLGMLNLASL >ORUFI03G12480.1 pep chromosome:OR_W1943:3:8606547:8609247:-1 gene:ORUFI03G12480 transcript:ORUFI03G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDVASKCDSRSRSGAAPEIMRSPRRTIGENGYRDTRHHQLKCSDGNLGELRVESIPNFHCKSLPTRSRKTNAEDSIVGKRGSMYQSSSEISMIRKLHEGRRKKIDSALDGDAFLSFEIVDSSSQPSTSGAYLFSHQNRRSEAKSSVESRRIHRASRDFLDLSFRELPDENCRLDRPRMDCTLLKNDAGDGFLEISLEEEMTKGPCRNAAPQLIGGESSKGTEANCLQNKISVCPSENNCSQRERNSTNSLPESMSAKVSISDGTRPSESVRHGIENSTKVRSSPFKKILDPIMKSKSIRSPSLMEDSNHVTMPVNRKNCVSRKSLLSDLSRSEQSQSINCQLNGERQHTTNALSPAHLQAVLKLDTKNGIPVFEFCVKGPEESISARSWKTGNDLNWIYTFHSGGKRASATGRASKDGRWCSPPIVGQMQASSYLCSEVGKDGVLTNSVITEFVLYDIAHARQSFSAEEKTQCTETTQSTLSSAVEKSASGDCPQRINLMDQQNSARNNSDVSTSCPWSEEDLYPHLEIAATVIQVPFNRSKSKELKSGLSPGTVKVITPSGLHGLPNDDETSPSPLLDRWRYGGGCDCGGWDMACPIAVLGNAYDNNWANSVTKEGENPMELFVLGGKEELPALSIKGNEKGQLLVHFHARLSAMQAFSTCISLLHCSEASTAVSIEKGKHKLYSSSLKMLLEEEVSQLIEAVTAEEKRKTKKRSEKPPRPVVLDPPFSPMGRV >ORUFI03G12490.1 pep chromosome:OR_W1943:3:8615380:8615730:1 gene:ORUFI03G12490 transcript:ORUFI03G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGGGFGGAALSIKLPLLWSEVEWNNDENKVSCQIYCALCHHRFLVELARSGLGFVGSGERHRFGGNKDAQGGSFIDDRQALHGVWGCRTGPYPLPTRHSHRALPHTYQILTKNA >ORUFI03G12500.1 pep chromosome:OR_W1943:3:8616808:8619135:-1 gene:ORUFI03G12500 transcript:ORUFI03G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRPIPSIHRQPPKPTTTHVARCFRDPPKAPDTNSNTNPLLSLLSAVPDWADAVSERRIRDRRPLYTHADWREHRSSRRHLRHLLSSLTSRVILSLAPPVSAFTAFAAAIATYNTLLPAYALTASSLPYQLTAPALALLLVFRTEASYARFDEGRKAWMRVIAAAADLAGMAMRHHNPPATRALLNYILAFPLALKCHIICHSDIKRDLQGLLSEDDLNVVLRSKHRPRCIIEFISQSLYMLDFDENKRNIMESKLSCFLEGISVCEQLIGIPIPLSYTRLTSRFLVLWHLTLPVILWDECKWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDELCKQLHDSTQDVMSVQNLVHNRLAAKTKDQDSGSMRCSDNGWPSSKSEQAKID >ORUFI03G12510.1 pep chromosome:OR_W1943:3:8619993:8629936:1 gene:ORUFI03G12510 transcript:ORUFI03G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLNCTEPNRHDMNASIGCYSSLLSACSIARCESGQIYRNGISWLLYSMCEKWCLFVMRYSIRQHGKLVVHSTSQQQPQQ >ORUFI03G12510.2 pep chromosome:OR_W1943:3:8619993:8629936:1 gene:ORUFI03G12510 transcript:ORUFI03G12510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLNCTEPNRHDMNASIGCYSSLLSACSIARCESGQIYRNGISWLLYSMCEKWCLFVMRYSIRQHGKLVVHSTSQQQPQQ >ORUFI03G12510.3 pep chromosome:OR_W1943:3:8619993:8629936:1 gene:ORUFI03G12510 transcript:ORUFI03G12510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLNCTEPNRHDMNASIGCYSSLLSACSIARCESGQIYRNGISWLLYSMCEKWCLFVMRYSIRQHGKLVVHSTSQQQPQQ >ORUFI03G12520.1 pep chromosome:OR_W1943:3:8620735:8628190:-1 gene:ORUFI03G12520 transcript:ORUFI03G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWTGLADTFLRIKAHAFFYHYYNCQALVQCTPGKLQSGEVPCDLSRNKPTNMGKRSQRLARRQENIGCMWGLIGMLYFRRDAKFLLDRKQGSRRHTFGGLSGRRHSRKKSRDFEETDEYGEDNIEECDTRKQTVKRLMEDELGKVKQVKKIPKEEVQRILADLGHDVCLEKSSMQSTKQNRAKSHSTSTAMASPSGLLDPSGSKSMKQAEEDDLELSLADFVGELYGYHDDCKNKSELCPELKSHIHTKLSELKSVPCQPYEESPDWGQREHFYEKYICNSRSYQSNKLVDAPDMLSPEKELFLKTLQKPSPHTLEKENTQNNQNRQVVTKLEPRKILEKGENTKNSKQHEVAIKTHSKEGRNIFFWRKDKSIMKGTSEGTNSSKMVNKIVILKPNPRGIDTTVATASTCLDQQSCTIQSPKYPATESSKFSIKEVRRRFKIVTGDTRRGRPSVYEDDLQRDSQRINDSVFKVRKDSKQSDKDNLRPLTSGKQKQRNDGLGEINGDIITSKDTSIFYEEAKKHLTDILEYNSHTTKHPTVHTSKSLIGMLSLPQRNASSPRSSPRLKGRIDLSPEEINISAIQQDERTEYAKERNLSDEDSGSVACGNSEVLDGKADQDRHSMKQETAQDGDIMHIEEIDKPACSETICSEGITLKEQCTCTSSLELIEGAEPGREHAGMLLSYPENVVESLEHQEPKTPRSSASLELISQISPEGNHEKQEQPSPVSVLDPFFCEDVDSEMHQDMMRPHIPDAISDQWVFWEDEDARLSYIKAMLELSELCTYQNLEVWYLEDELISPCMVEELHQGNQTDDLKLPFDCICEAITIIQETYFRNPPCLSFLMHKIQPPPMGQNLIQEINKHIERHLHNQFPRTLNQLVNIDLEDGTWMNLQLESEEIIVDTWEFILDELLEEIMDSLAEMIM >ORUFI03G12520.2 pep chromosome:OR_W1943:3:8620735:8626354:-1 gene:ORUFI03G12520 transcript:ORUFI03G12520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNILQSGEVPCDLSRNKPTNMGKRSQRLARRQENIGCMWGLIGMLYFRRDAKFLLDRKQGSRRHTFGGLSGRRHSRKKSRDFEETDEYGEDNIEECDTRKQTVKRLMEDELGKVKQVKKIPKEEVQRILADLGHDVCLEKSSMQSTKQNRAKSHSTSTAMASPSGLLDPSGSKSMKQAEEDDLELSLADFVGELYGYHDDCKNKSELCPELKSHIHTKLSELKSVPCQPYEESPDWGQREHFYEKYICNSRSYQSNKLVDAPDMLSPEKELFLKTLQKPSPHTLEKENTQNNQNRQVVTKLEPRKILEKGENTKNSKQHEVAIKTHSKEGRNIFFWRKDKSIMKGTSEGTNSSKMVNKIVILKPNPRGIDTTVATASTCLDQQSCTIQSPKYPATESSKFSIKEVRRRFKIVTGDTRRGRPSVYEDDLQRDSQRINDSVFKVRKDSKQSDKDNLRPLTSGKQKQRNDGLGEINGDIITSKDTSIFYEEAKKHLTDILEYNSHTTKHPTVHTSKSLIGMLSLPQRNASSPRSSPRLKGRIDLSPEEINISAIQQDERTEYAKERNLSDEDSGSVACGNSEVLDGKADQDRHSMKQETAQDGDIMHIEEIDKPACSETICSEGITLKEQCTCTSSLELIEGAEPGREHAGMLLSYPENVVESLEHQEPKTPRSSASLELISQISPEGNHEKQEQPSPVSVLDPFFCEDVDSEMHQDMMRPHIPDAISDQWVFWEDEDARLSYIKAMLELSELCTYQNLEVWYLEDELISPCMVEELHQGNQTDDLKLPFDCICEAITIIQETYFRNPPCLSFLMHKIQPPPMGQNLIQEINKHIERHLHNQFPRTLNQLVNIDLEDGTWMNLQLESEEIIVDTWEFILDELLEEIMDSLAEMIM >ORUFI03G12520.3 pep chromosome:OR_W1943:3:8620735:8625893:-1 gene:ORUFI03G12520 transcript:ORUFI03G12520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSQRLARRQENIGCMWGLIGMLYFRRDAKFLLDRKQGSRRHTFGGLSGRRHSRKKSRDFEETDEYGEDNIEECDTRKQTVKRLMEDELGKVKQVKKIPKEEVQRILADLGHDVCLEKSSMQSTKQNRAKSHSTSTAMASPSGLLDPSGSKSMKQAEEDDLELSLADFVGELYGYHDDCKNKSELCPELKSHIHTKLSELKSVPCQPYEESPDWGQREHFYEKYICNSRSYQSNKLVDAPDMLSPEKELFLKTLQKPSPHTLEKENTQNNQNRQVVTKLEPRKILEKGENTKNSKQHEVAIKTHSKEGRNIFFWRKDKSIMKGTSEGTNSSKMVNKIVILKPNPRGIDTTVATASTCLDQQSCTIQSPKYPATESSKFSIKEVRRRFKIVTGDTRRGRPSVYEDDLQRDSQRINDSVFKVRKDSKQSDKDNLRPLTSGKQKQRNDGLGEINGDIITSKDTSIFYEEAKKHLTDILEYNSHTTKHPTVHTSKSLIGMLSLPQRNASSPRSSPRLKGRIDLSPEEINISAIQQDERTEYAKERNLSDEDSGSVACGNSEVLDGKADQDRHSMKQETAQDGDIMHIEEIDKPACSETICSEGITLKEQCTCTSSLELIEGAEPGREHAGMLLSYPENVVESLEHQEPKTPRSSASLELISQISPEGNHEKQEQPSPVSVLDPFFCEDVDSEMHQDMMRPHIPDAISDQWVFWEDEDARLSYIKAMLELSELCTYQNLEVWYLEDELISPCMVEELHQGNQTDDLKLPFDCICEAITIIQETYFRNPPCLSFLMHKIQPPPMGQNLIQEINKHIERHLHNQFPRTLNQLVNIDLEDGTWMNLQLESEEIIVDTWEFILDELLEEIMDSLAEMIM >ORUFI03G12530.1 pep chromosome:OR_W1943:3:8629951:8634089:-1 gene:ORUFI03G12530 transcript:ORUFI03G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKMNKACDIASISVLPPRRTGGSSGASASGSVAVAVASQPRSQPLSQSQQSFSQGASASLLHSQSQFSQVSLDDNLLTLLPSPTRDQRFGLHDDSSKRMSSLPASSASCAREESQLQLAKLPSNPVHRWNPSIADTRCQVTNEDVERKFQHLASSVHKMGMVVDSVQSDVMQLNRAMKEASLDSGSIRQKIAVLESSLQQILKGQDDLKALFGSSTKHNPDQTSVLNSLGSKLNEISSTLATLQTQMQARQLQGDQTTVLNSNASKSNEISSTLATLQTQMQADIRQLRCDVFRVFTKEMEGVVRAIRSVNSRPAAMQMMADQSYQVPVSNGWTQINQTPVAAGRSPMNRAPVAAGRSRMNQLPETKVLSAHLVYPAKVTDLKPKVEQGKVKAAPQKPFASSYYRVAPKQEEVAIRKVNIQVPAKKAPVSIIIESDDDSEGRASCVILKTETGSKEWKVTKQGTEEGLEILRRARKRRRREMQSIVLAS >ORUFI03G12540.1 pep chromosome:OR_W1943:3:8635022:8640634:-1 gene:ORUFI03G12540 transcript:ORUFI03G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNGCPAAATPTTERRQRPEFSAWSPHSPVNLSPELAAGEVVAGGAGHEAPDLAELKAWLRQLVPRVNDRANRMELHLTSRGALPLSPPLAGQRRPHLSLSTPSLPIKNHTYSVPPPFSKAHCAIGCQASLATNYMETSAVADLDWENLGFGLVQTDFMYIAKCGPDGNFSKGEMVPFGPIELSPSAGVLNYGQGLFEGLKAYRKTDGYILLFRPEENAIRMRNGAERMCMPAPTLEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFMIFVSPVGNYFKEGLAPINLIIEENFHRAAPGGTGGVKTIGNYASVLKAQRIAKQKGYSDVLYLDAVHKKYLEEVSSCNIFIVKGNVISTPAIKGTILPGITRKSILEVAQRKGFMVEERLVSVDELLEADEVFCTGTAVVVSPVGSITYLGQRVEYGNQGVGVVCQQLYTSLTSLQMGHVDDCMGWTVELNQ >ORUFI03G12540.2 pep chromosome:OR_W1943:3:8635022:8639239:-1 gene:ORUFI03G12540 transcript:ORUFI03G12540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELHLTSRGALPLSPPLAGQRRPHLSLSTPSLPIKNHTYSVPPPFSKAHCAIGCQASLATNYMETSAVADLDWENLGFGLVQTDFMYIAKCGPDGNFSKGEMVPFGPIELSPSAGVLNYGQGLFEGLKAYRKTDGYILLFRPEENAIRMRNGAERMCMPAPTLEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFMIFVSPVGNYFKEGLAPINLIIEENFHRAAPGGTGGVKTIGNYASVLKAQRIAKQKGYSDVLYLDAVHKKYLEEVSSCNIFIVKGNVISTPAIKGTILPGITRKSILEVAQRKGFMVEERLVSVDELLEADEVFCTGTAVVVSPVGSITYLGQRVEYGNQGVGVVCQQLYTSLTSLQMGHVDDCMGWTVELNQ >ORUFI03G12540.3 pep chromosome:OR_W1943:3:8635022:8639239:-1 gene:ORUFI03G12540 transcript:ORUFI03G12540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELHLTSRGALPLSPPLAGQRRPHLSLSTPSLPIKNHTYSVPPPFSKAHCAIGCQASLATNYMETSAVADLDWENLGFGLVQTDFMYIAKCGPDGNFSKGEMVPFGPIELSPSAGVLNYGQGLFEGLKAYRKTDGYILLFRPEENAIRMRNGAERMCMPAPTLEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFMIFVSPVGNYFKEGLAPINLIIEENFHRAAPGGTGGVKTIGNYASVLKAQRIAKQKGYSDVLYLDAVHKKYLEEVSSCNIFIVKVEERLVSVDELLEADEVFCTGTAVVVSPVGSITYLGQRVEYGNQGVGVVCQQLYTSLTSLQMGHVDDCMGWTVELNQ >ORUFI03G12550.1 pep chromosome:OR_W1943:3:8646913:8648096:-1 gene:ORUFI03G12550 transcript:ORUFI03G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTTTTAGLLQPPSLYHLATTAWDSVGGGHGKRQGRALIGSKNLAGDDTSSLWRKKTSHHRANMLHFLTQTPEKNQIASFQMAQGRASSDFELKPAMEGDS >ORUFI03G12560.1 pep chromosome:OR_W1943:3:8648159:8648449:-1 gene:ORUFI03G12560 transcript:ORUFI03G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLWVAAALLLSAPRLLSLSPGLPPVAHGLLLSAEAGRNLLSVVATSGLLLFAAGRREPGAGSGCRDDGGVWIGRRDNGGGRRGGSDDFSTVAGG >ORUFI03G12570.1 pep chromosome:OR_W1943:3:8649976:8650779:1 gene:ORUFI03G12570 transcript:ORUFI03G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLASVVVAAMVSAVSCGPPKVPPGPNITASYGDKWLEARATWYGAAKGAGRKDNSGACGYKDVDKAPFLGMNSCGNDPIFKDGKGCGSCFEIKCSKPKACSDKPVLIHVTDMNDEPIAAYHFDLFGLAFGAMAKDGKDEELLKYVAGDGDVVEVEIKEKGSEEWKALKESWGAIWRIDIPKPLKGPFSVRVTTEGGEKIIAEDAIPDGWKADSVYKSNVQAK >ORUFI03G12580.1 pep chromosome:OR_W1943:3:8655823:8656626:1 gene:ORUFI03G12580 transcript:ORUFI03G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCLLLACVVAAAMVSAVSCGPPKVPPGPNITAAYGKQWLEARGTWYGKPKGAGPNDNGGACGYKDVDKAPFLGMNSCGNDPIFKDGKGCGSCFEVKCSKPEACSDKPVIIHITDMNTEPIAAYHFDLSGHAFGAMAKEGKDEELRKAGIIDMQFRRVRCKYPGETKVTFHVEKGSNPNYFAVLVKYVGGDGDVVKVELKEKGSEEWKPLNESWGAIWRIDTPKPLKGPFSLRVTTESDQKLVANDVIPNNWKADALYKSEIQVD >ORUFI03G12590.1 pep chromosome:OR_W1943:3:8663180:8667231:-1 gene:ORUFI03G12590 transcript:ORUFI03G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGIDKDSTGRVPPFRFASPKPQAHPHQPKNHNLPLSSPLLAAGAAAAAVTAAFSLSSLSFSPPRESELAVIKPEALKSYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIALPERVNPTSLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNINDDPRIRLLNRLYAKKRKELQERQKLKDVQVQEEQKDERSLDEILCFINGDGGSGGGKASKNKKKNKRRKDHSKNPPKANPEPVNKEEATRGVPFNAGTGNISRTPCQSSDVQDDVEYPFEDADLDDGLDPAMQEELDREVEDFARRLNSVWPERMHLGQERRIESHMIGGNGSLQRFSGFNHR >ORUFI03G12600.1 pep chromosome:OR_W1943:3:8668882:8674601:1 gene:ORUFI03G12600 transcript:ORUFI03G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAVFGRRRWRRRRCCGGSARGRKEAARRLRLHGPNPNVEDSMLRKFLMKLFSLWEWNNIFPKYRNMICVILNSMSWVTVSSTVVSLTIASAGQRSSMMSIAIYLLATSLTACLTVKLVVEYAKAPLEAKAYAPRAKVLRDGMWINVHAVNLVPGDIIFLKVGDIVPANARVLRFEKINTMTCWAKRSVDCVHGFLIYYAWTVSCGQGTAVVIATGRDIPRSTLRLYPQRYTRPGQLKEGIMLVGCFCFSLVLFGTIAEVILRLLFQKHSSGAMLQGGCFMALIGVVPMAMPVVLYLALAFGSLRLCLLGVASRGTVALEDLASMDVMLFNMTGTIKCNKPSFARDKIELFAKGVNEDQAIVLASRASRSQHELYIEPIDPAILSLLDDPEQARAGVQVIEHHAHFFVSLKLMFLATYIDENGSKCCVFKGDPANASHLYNIHTTEKRVAHQCGCSKAVKERISMIMDNLAVDGYQAIAVGHQSDSCWEFAGLLPFKEDLRHDSADALNGLISLGLDIIVLTESPLLVTRQVCGRLGKLGINVLPARAVFELARNNKEVHLNINGISDLFPEDNSDIVRRLRNFGCRCAMVGHEFLDHDSIGESHIGISVADATDYTKSESDLVLTQPALIPISSAVQISREICQMMKGYMIYTVSSTVHLFGVHAILLLWNFDLPSFLTLGCNNDRLLFSCGQCKIEHKSLMDTDEEIRAALFLQMSIVNQAVALFAYSDDCCHIRCPGPVVTFAFIFTQMVATRKAVGGDLDFAIAKGVGWLKAGLIWLYNFVLLFVPVDLSDMEACKDDWRKIVDEAFSHPTYNSALNSGGDSSYNKAATNFSSKLVSL >ORUFI03G12600.2 pep chromosome:OR_W1943:3:8668882:8674601:1 gene:ORUFI03G12600 transcript:ORUFI03G12600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAVFGRRRWRRRRCCGGSARGRKEAARRLRLHGPNPNVEDSMLRKFLMKLFSLWEWNNIFPKYRNMICVILNSMSWVTVSSTVVSLTIASAGQRSSMMSIAIYLLATSLTACLTVKLVVEYAKAPLEAKAYAPRAKVLRDGMWINVHAVNLVPGDIIFLKVGDIVPANARVLRFEKINTMTCWAKRSVDCVHGFLIYYAWTVSCGQGTAVVIATGRDIPRSTLRLYPQRYTRPGQLKEGIMLVGCFCFSLVLFGTIAEVILRLLFQKHSSGAMLQGGCFMALIGVVPMAMPVVLYLALAFGSLRLCLLGVASRGTVALEDLASMDVMLFNMTGTIKCNKPSFARDKIELFAKGVNEDQAIVLASRASRSQHELYIEPIDPAILSLLDDPEQVAHQCGCSKAVKERISMIMDNLAVDGYQAIAVGHQSDSCWEFAGLLPFKEDLRHDSADALNGLISLGLDIIVLTESPLLVTRQVCGRLGKLGINVLPARAVFELARNNKEVHLNINGISDLFPEDNSDIVRRLRNFGCRCAMVGHEFLDHDSIGESHIGISVADATDYTKSESDLVLTQPALIPISSAVQISREICQMMKGYMIYTVSSTVHLFGVHAILLLWNFDLPSFLTLGCNNDRLLFSCGQCKIEHKSLMDTDEEIRAALFLQMSIVNQAVALFAYSDDCCHIRCPGPVVTFAFIFTQMVATRKAVGGDLDFAIAKGVGWLKAGLIWLYNFVLLFVPVDLSDMEACKDDWRKIVDEAFSHPTYNSALNSGGDSSYNKAATNFSSKLVSL >ORUFI03G12600.3 pep chromosome:OR_W1943:3:8668882:8674601:1 gene:ORUFI03G12600 transcript:ORUFI03G12600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAVFGRRRWRRRRCCGGSARGRKEAARRLRLHGPNPNVEDSMLRKFLMKLFSLWEWNNIFPKYRNMICVILNSMSWVTVSSTVVSLTIASAGQRSSMMSIAIYLLATSLTACLTVKLVVEYAKAPLEAKAYAPRAKVLRDGMWINVHAVNLVPGDIIFLKVGDIVPANARVLRFEKINTMTCWAKRSVDCVHGFLIYYAWTVSCGQGTAVVIATGRDIPRSTLRLYPQRYTRPGQLKEGIMLVGCFCFSLVLFGTIAEVILRLLFQKHSSGAMLQGGCFMALIGVVPMAMPVVLYLALAFGSLRLCLLGVASRGTVALEDLASMDVMLFNMTGTIKCNKPSFARDKIELFAKGVNEDQAIVLASRASRSQHELYIEPIDPAILSLLDDPEQARAGVQVIEHHAHFFVSLKLMFLATYIDENGSKCCVFKGDPANASHLYNIHTTEKRVAHQCGCSKAVKERISMIMDNLAVDGYQAIAVGHQSDSCWEFAGLLPFKEDLRHDSADALNGLISLGLDIIVLTESPLLVTRQVCGRLGKLGINVLPARAVFELARNNKEVHLNINGISDLFPEDNSDIVRRLRNFGCRCAMVGHEFLDHDSIGESHIGISVADATDYTKSESDLVLTQPALIPISSAVQISREICQMMKGYMIYTVSSTVHLFGVHAILLLWNFDLPSFLTLCKIEHKSLMDTDEEIRAALFLQMSIVNQAVALFAYSDDCCHIRCPGPVVTFAFIFTQMVATRKAVGGDLDFAIAKGVGWLKAGLIWLYNFVLLFVPVDLSDMEACKDDWRKIVDEAFSHPTYNSALNSGGDSSYNKAATNFSSKLVSL >ORUFI03G12600.4 pep chromosome:OR_W1943:3:8668882:8674601:1 gene:ORUFI03G12600 transcript:ORUFI03G12600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAVFGRRRWRRRRCCGGSARGRKEAARRLRLHGPNPNVEDSMLRKFLMKLFSLWEWNNIFPKYRNMICVILNSMSWVTVSSTVVSLTIASAGQRSSMMSIAIYLLATSLTACLTVKLVVEYAKAPLEAKAYAPRAKVLRDGMWINVHAVNLVPGDIIFLKVGDIVPANARVLRFEKINTMTCWAKRSVDCVHGFLIYYAWTVSCGQGTAVVIATGRDIPRSTLRLYPQRYTRPGQLKEGIMLVGCFCFSLVLFGTIAEVILRLLFQKHSSGAMLQGGCFMALIGVVPMAMPVVLYLALAFGSLRLCLLGVASRGTVALEDLASMDVMLFNMTGTIKCNKPSFARDKIELFAKGVNEDQAIVLASRASRSQHELYIEPIDPAILSLLDDPEQARAGVQVIEHHAHFFVSLKLMFLATYIDENGSKCCVFKGDPANASHLYNIHTTEKRVAHQCGCSKAVKERISMIMDNLAVDGYQAIAVGHQSDSCWEFAGLLPFKEDLRHDSADALNGLISLGLDIIVLTEDNSDIVRRLRNFGCRCAMVGHEFLDHDSIGESHIGISVADATDYTKSESDLVLTQPALIPISSAVQISREICQMMKGYMIYTVSSTVHLFGVHAILLLWNFDLPSFLTLGCNNDRLLFSCGQCKIEHKSLMDTDEEIRAALFLQMSIVNQAVALFAYSDDCCHIRCPGPVVTFAFIFTQMVATRKAVGGDLDFAIAKGVGWLKAGLIWLYNFVLLFVPVDLSDMEACKDDWRKIVDEAFSHPTYNSALNSGGDSSYNKAATNFSSKLVSL >ORUFI03G12600.5 pep chromosome:OR_W1943:3:8668882:8674601:1 gene:ORUFI03G12600 transcript:ORUFI03G12600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAVFGRRRWRRRRCCGGSARGRKEAARRLRLHGPNPNVEDSMLRKFLMKLFSLWEWNNIFPKYRNMICVILNSMSWVTVSSTVVSLTIASAGQRSSMMSIAIYLLATSLTACLTVKLVVEYAKAPLEAKAYAPRAKVLRDGMWINVHAVNLVPGDIIFLKVGDIVPANARVLRFEKINTMTCWAKRSVDCVHGFLIYYAWTVSCGQGTAVVIATGRDIPRSTLRLYPQRYTRPGQLKEGIMLVGCFCFSLVLFGTIAEVILRLLFQKHSSGAMLQGGCFMALIGVVPMAMPVVLYLALAFGSLRLCLLGVASRGTVALEDLASMDVMLFNMTGTIKCNKPSFARDKIELFAKGVNEDQAIVLASRASRSQHELYIEPIDPAILSLLDDPEQARAGVQVIEHHAHFFVSLKLMFLATYIDENGSKCCVFKGDPANASHLYNIHTTEKRVAHQCGCSKAVKERISMIMDNLAVDGYQAIAVGHQSDSCWEFAGLLPFKEDLRHDSADALNGLISLGLDIIVLTESPLLVTRQVCGRLGKLGINVLPARAVFELARNNKEVHLNINGISDLFPEDNSDIVRRLRNFGCRCAMVGHEFLDHDSIGESHIGISVADATDYTKSESDLVLTQPALIPISSAVQISREICQMMKGYMGCNNDRLLFSCGQCKIEHKSLMDTDEEIRAALFLQMSIVNQAVALFAYSDDCCHIRCPGPVVTFAFIFTQMVATRKAVGGDLDFAIAKGVGWLKAGLIWLYNFVLLFVPVDLSDMEACKDDWRKIVDEAFSHPTYNSALNSGGDSSYNKAATNFSSKLVSL >ORUFI03G12600.6 pep chromosome:OR_W1943:3:8668882:8674601:1 gene:ORUFI03G12600 transcript:ORUFI03G12600.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAVFGRRRWRRRRCCGGSARGRKEAARRLRLHGPNPNVEDSMLRKFLMKLFSLWEWNNIFPKYRNMICVILNSMSWVTVSSTVVSLTIASAGQRSSMMSIAIYLLATSLTACLTVKLVVEYAKAPLEAKAYAPRAKVLRDGMWINVHAVNLVPGDIIFLKVGDIVPANARVLRFEKINTMTCWAKRSVDCVHGFLIYYAWTVSCGQGTAVVIATGRDIPRSTLRLYPQRYTRPGQLKEGIMLVGCFCFSLVLFGTIAEVILRLLFQKHSSGAMLQGGCFMALIGVVPMAMPVVLYLALAFGSLRLCLLGVASRGTVALEDLASMDVMLFNMTGTIKCNKPSFARDKIELFAKGVNEDQAIVLASRASRSQHELYIEPIDPAILSLLDDPEQVAHQCGCSKAVKERISMIMDNLAVDGYQAIAVGHQSDSCWEFAGLLPFKEDLRHDSADALNGLISLGLDIIVLTESPLLVTRQVCGRLGKLGINVLPARAVFELARNNKEVHLNINGISDLFPEDNSDIVRRLRNFGCRCAMVGHEFLDHDSIGESHIGISVADATDYTKSESDLVLTQPALIPISSAVQISREICQMMKGYMGCNNDRLLFSCGQCKIEHKSLMDTDEEIRAALFLQMSIVNQAVALFAYSDDCCHIRCPGPVVTFAFIFTQMVATRKAVGGDLDFAIAKGVGWLKAGLIWLYNFVLLFVPVDLSDMEACKDDWRKIVDEAFSHPTYNSALNSGGDSSYNKAATNFSSKLVSL >ORUFI03G12600.7 pep chromosome:OR_W1943:3:8668882:8674601:1 gene:ORUFI03G12600 transcript:ORUFI03G12600.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAVFGRRRWRRRRCCGGSARGRKEAARRLRLHGPNPNVEDSMLRKFLMKLFSLWEWNNIFPKYRNMICVILNSMSWVTVSSTVVSLTIASAGQRSSMMSIAIYLLATSLTACLTVKLVVEYAKAPLEAKAYAPRAKVLRDGMWINVHAVNLVPGDIIFLKVGDIVPANARVLRFEKINTMTCWAKRSVDCVHGFLIYYAWTVSCGQGTAVVIATGRDIPRSTLRLYPQRYTRPGQLKEGIMLVGCFCFSLVLFGTIAEVILRLLFQKHSSGAMLQGGCFMALIGVVPMAMPVVLYLALAFGSLRLCLLGVASRGTVALEDLASMDVMLFNMTGTIKCNKPSFARDKIELFAKGVNEDQAIVLASRASRSQHELYIEPIDPAILSLLDDPEQARAGVQVIEHHAHFFVSLKLMFLATYIDENGSKCCVFKGDPANASHLYNIHTTEKRVAHQCGCSKAVKERISMIMDNLAVDGYQAIAVGHQSDSCWEFAGLLPFKEDLRHDSADALNGLISLGLDIIVLTEDNSDIVRRLRNFGCRCAMVGHEFLDHDSIGESHIGISVADATDYTKSESDLVLTQPALIPISSAVQISREICQMMKGYMGCNNDRLLFSCGQCKIEHKSLMDTDEEIRAALFLQMSIVNQAVALFAYSDDCCHIRCPGPVVTFAFIFTQMVATRKAVGGDLDFAIAKGVGWLKAGLIWLYNFVLLFVPVDLSDMEACKDDWRKIVDEAFSHPTYNSALNSGGDSSYNKAATNFSSKLVSL >ORUFI03G12600.8 pep chromosome:OR_W1943:3:8668882:8674601:1 gene:ORUFI03G12600 transcript:ORUFI03G12600.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAVFGRRRWRRRRCCGGSARGRKEAARRLRLHGPNPNVEDSMLRKFLMKLFSLWEWNNIFPKYRNMICVILNSMSWVTVSSTVVSLTIASAGQRSSMMSIAIYLLATSLTACLTVKLVVEYAKAPLEAKAYAPRAKVLRDGMWINVHAVNLVPGDIIFLKVGDIVPANARVLRFEKINTMTCWAKRSVDCVHGFLIYYAWTVSCGQGTAVVIATGRDIPRSTLRLYPQRYTRPGQLKEGIMLVGCFCFSLVLFGTIAEVILRLLFQKHSSGAMLQGGCFMALIGVVPMAMPVVLYLALAFGSLRLCLLGVASRGTVALEDLASMDVMLFNMTGTIKCNKPSFARDKIELFAKGVNEDQAIVLASRASRSQHELYIEPIDPAILSLLDDPEQVAHQCGCSKAVKERISMIMDNLAVDGYQAIAVGHQSDSCWEFAGLLPFKEDLRHDSADALNGLISLGLDIIVLTESPLLVTRQVCGRLGKLGINVLPARAVFELARNNKEVHLNINGISDLFPEDNSDIVRRLRNFGCRCAMVGHEFLDHDSIGESHIGISVADATDYTKSESDLVLTQPALIPISSAVQISREICQMMKGYMCKIEHKSLMDTDEEIRAALFLQMSIVNQAVALFAYSDDCCHIRCPGPVVTFAFIFTQMVATRKAVGGDLDFAIAKGVGWLKAGLIWLYNFVLLFVPVDLSDMEACKDDWRKIVDEAFSHPTYNSALNSGGDSSYNKAATNFSSKLVSL >ORUFI03G12610.1 pep chromosome:OR_W1943:3:8671254:8678242:-1 gene:ORUFI03G12610 transcript:ORUFI03G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTLSITRDFLYIRQHISPLCKYSQIAYLMSYSYGLIPINSKHDIHACQIFKGNCPSTSNPQQTKP >ORUFI03G12620.1 pep chromosome:OR_W1943:3:8675110:8679632:1 gene:ORUFI03G12620 transcript:ORUFI03G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQLACLMMALAEEEDDDGEDGDAADAVDLGVGGHEVPDGSREARLVEAADGDEHPGEEDEQRVGHGVQARLGVAEVDHHQRQRRGDGRPRHVEPHHATTIPTVTTYAKLLRNSFRRASVHCMNHGCLVVMFSDISSDIIVLPLPFSCGVLLADAGDLPSSTASICLPSTLSSAPPPSSLQYMQSSMTMLTAIPTSMAGRIPMRNLGKVILLLKAMTRFWGLPMGVAAEPMLALEARARRKGLAGRLRSAASSRTNSVRTTQQVSLVKRALARAVTTQTRHMRSRPPWLFHASRRPRCLNIPAFRR >ORUFI03G12630.1 pep chromosome:OR_W1943:3:8678352:8680463:-1 gene:ORUFI03G12630 transcript:ORUFI03G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRTLNYLLGGGRSKKKKMSELASAPKVALGSIAFAVFWMMAVFPSVPFLPIGRTAGSLLSAVLMVIFHVISPDDAYASIDLPILGLLFATMVVGSYLRNAGMFKHLGRLLAWKSQGGRDLMCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALASSANIGSAATPIGNPQNLVIAFNSKITFPKFLMGILPAMLVGMAVNMVMLLCMYWRELGGGAELSVDGKQMEAVEEGRSPASAKSTPQLNGNGNTMMSLEMSENITTKHPWFMQCTEARRKLFLKSFAYVVTVGMVVAYMVGLNMSWTAITTALALVVVDFRDAEPCLDTVSYSLLVFFSGMFITVSGFNKTGLPGAIWDFMAPYSKVNSVGGISVLSVIILLLSNLASNVPTVLLMGDEVAKAAALISPAAVTTSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAPRNAYDLTFWQHIVFGVPSTLIVTAVGIPLIGKI >ORUFI03G12630.2 pep chromosome:OR_W1943:3:8678352:8679865:-1 gene:ORUFI03G12630 transcript:ORUFI03G12630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELASAPKVALGSIAFAVFWMMAVFPSVPFLPIGRTAGSLLSAVLMVIFHVISPDDAYASIDLPILGLLFATMVVGSYLRNAGMFKHLGRLLAWKSQGGRDLMCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALASSANIGSAATPIGNPQNLVIAFNSKITFPKFLMGILPAMLVGMAVNMVMLLCMYWRELGGGAELSVDGKQMEAVEEGRSPASAKSTPQLNGNGNTMMSLEMSENITTKHPWFMQCTEARRKLFLKSFAYVVTVGMVVAYMVGLNMSWTAITTALALVVVDFRDAEPCLDTVSYSLLVFFSGMFITVSGFNKTGLPGAIWDFMAPYSKVNSVGGISVLSVIILLLSNLASNVPTVLLMGDEVAKAAALISPAAVTTSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAPRNAYDLTFWQHIVFGVPSTLIVTAVGIPLIGKI >ORUFI03G12640.1 pep chromosome:OR_W1943:3:8687398:8694808:1 gene:ORUFI03G12640 transcript:ORUFI03G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHRHRSSDRVGQRFDFRFSNFRAVQVPAVSDRLFLSIVSVDTGKTVAKSGKAAARSGICQWPDSILESIWFSQDEVSKEFDECQYKIVVSVGSIKSGVLGEIFLNLTNFLNLVDPTAISLPLKRCNSGTVLQLKVQYLGAKSKSSGVRSWKDLSPRLDDRSPTNDDIDSKSDGSDSVANRSVRSSSGNPLGGTTQDELGNREMSFSASGSHRSSNSGDSTADRTNLSPRDSSNGGMHVGRQDSASSYVSASRGDDGFRSNNSSFSSRASGPNVLQGNTPKSFGNGFGQLSLGTSDSSKELLEAAEETIEELRDEAKMWERHSRKLKADLEMLKKECSEKSKQQTELEAELSAAHAERDSYRQEIEELKSSMKEVTTRQKVGGTSKYGDWIDLQKELEDDVKFLKESNANLSIQLKNTQEANIELVSILQELEETIEEQKAEISKISKVKNVTDADALKKGPLVKQDTEWAKQLSIKEDEITMLREKLNHVLNIENLGSDAVYLELEKENELLRVKIQELEKDCSELTDENLELIYKLKEVGGATKGQGPCIPNDSNLQIEELKSQICQLEEELRSKELLHTGSFADASISSSKVLQEKCADLELKLLNFRSQIYELEEKFQKSQEELEQRNLELSELRQKLDSSHSMAGEGVQTSGARGYQFRNGMDSEPETDVLKAKIQLQQQENDDLRCSKVEMESVISKIQAEKSQLEECLEASRKESSISSKCLDEVRQDILVLSSSIDSHVSANKVLERKVTELESCKADLELHISDLEQENIELSERISGLEAQLTYMTNEKESSELQIHDSKSLIVNLKDKVERQQAEMETQRLEFKQKQQEAQRKLSEAQDDSEVLRRSNSKLQSTVESLIEECSSLQNQIAELKRQKLELHGHLTQQEQELDNSKKRNLDFCKTVEFLEAKLSSLQKDISSKEQSLLSELESIFQEHTEQEEKINRAHFMLNKIEKEKTLEVENLEREVMSLTAQASSTQEERENATVEAIREVSVLRADKVKLEASLQDVSAQLRHYESQLEDLRKESKSKIKGLVDSLNASKQSEEMLAADAEHMKKLMEDAKSNEDKLRKSSGELELKLKANDYEKQQMIEEISGLKLQVQKIMSLQDEVLKLKSSLDEAKFERGKLEELHRSVTEECEELKAQKAMLTDKMSNMQETLDNGEEEKRSRIAMQAKLVRLESDLSAVEASHVHEAELKNELNRIKRSNSEYQRKIQSLEQENEDLTSQLEQMAHIKEEDLGKQDIGGSPVDEESGIHLKIQVLEAKLAEALEENKMYRAQQKSPMPDGQCAAGNGNESSNERVLQLEGELRDMKERLLNMSLQYAEVEAQRERLVMELKATKKGGGRWF >ORUFI03G12650.1 pep chromosome:OR_W1943:3:8687474:8711510:-1 gene:ORUFI03G12650 transcript:ORUFI03G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRRKEMSWANYFIDILRRLAQIEEDGALSCPVGDQRGLWETGAAAAVAALPPLNFSLYEQTEIKGLHRVATEYLIGSTGASAKKITISRHEFGKQVRRTLKTKEIKEGGRQAAKRDRGSGNWSGDEGRGELMKKKKPPTLAIGRASAARGAQKRGADMKQVLVTTGTTASVLITIYFHPCCRHGGSRSAEKDLVVLVALIAICVFCHWGLGSGKKDLVRVSTYQDIETGVADAGNAKPWMLVSYVDESRSQSKRLIPHARNPNPNPNPKGQGEEGKKEWGIERRAWYLDGAEVGEAEVKALADAVRRAVAVQLEHRVSAARRGEARWGTVVRRDWGLLVAAAAAASAAAEAVWYKKKKSLRLRNKRWRWVVGGGLGESS >ORUFI03G12660.1 pep chromosome:OR_W1943:3:8699458:8706527:1 gene:ORUFI03G12660 transcript:ORUFI03G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPVSNTMEPDLRAKDHRACSASATDTSSRIIAQWAARRRQLACDDQVIDRRDRDSELLALARLHAVSMLDASFLRAHDDAGGGGGRRARSPERALVRRIAREWTASSRTSPRGGGAGGEELLGETERQRVRAVRERVRMASQGQGHGGAHTPRLMRGRGRHGQDVVTRMAMERQRELQGLSDHRAVSAFAHRARIQSFLRGRSFHSGSPMHDERPLSMAARELGQLRQSHPVSRFREEVRSRTEVTTNGPATNHTGPMDTIVDLHLHENDHRQENATHNEIQTHQSMENESVDIQRSITTSNDDVVQSDFGQEQLHRYEDYPDSGSSEEASEQSDSSSPSDNSNQQEEETYEQQTNLLWSRETSSSEDGDHEWNVMNSQEAEAQWRSGPSFSSNRNINRFSPPDDDVYGVELRELLSRRSVSNLLRSGFRESLDQLIQSYVRRQEEHDDPLDWDYQRQGTATGLHSDDQGEDRIDEATNQTVSDTRDHQPSILPQQRHWQMELPHHHHNWSQQAMRHSELDWDAIHVLRDDLTGLQRGMTSMQQMLEACMEMQMELQRSIKQEVSAALNRSLAVPAGEEGMLEDGSEWKLARKGTCCICCDRQIDSLLYRCGHMCTCSKCASELLHGVGKCPLCRAPIVEVVRAYCIIFAFIPCSSNSVQRYSATKTDKNKSSDLIIQPQINDVTEESNQRPTDSSEINPTVGVFGEHYLFWTQHICQLSSHRDGTIYNNQLYWKNNYDIDVTNREETPVEPMRYSVATRCNPDLENCLCMTCQMVQIFSLKLAHTSINSGPTQLYGYIAARDHVDSMLNYVFNRSRDDPIAVNQGSLIEMTGPKRGIALIPECLFEFDMRIKTGEKEEDDLQLIDGMIELDEMRMPETPYTTRINGDSGSVDLCLANVSNGVEATVEVVISELMVNGFDLSISCVVSSSRYEYDESKEFQIFGGSIGEACGLRRFVLAVYLDTVMQLKLKVDQKGSNGVEHCCSFSCKLHGCASEDVKLEEVASISVKVTWSALIE >ORUFI03G12660.2 pep chromosome:OR_W1943:3:8699458:8706527:1 gene:ORUFI03G12660 transcript:ORUFI03G12660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPVSNTMEPDLRAKDHRACSASATDTSSRIIAQWAARRRQLACDDQVIDRRDRDSELLALARLHAVSMLDASFLRAHDDAGGGGGRRARSPERALVRRIAREWTASSRTSPRGGGAGGEELLGETERQRVRAVRERVRMASQGQGHGGAHTPRLMRGRGRHGQDVVTRMAMERQRELQGLSDHRAVSAFAHRARIQSFLRGRSFHSGSPMHDERPLSMAARELGQLRQSHPVSRFREEVRSRTEVTTNGPATNHTGPMDTIVDLHLHENDHRQENATHNEIQTHQSMENESVDIQRSITTSNDDVVQSDFGQEQLHRYEDYPDSGSSEEASEQSDSSSPSDNSNQQEEETYEQQTNLLWSRETSSSEDGDHEWNVMNSQEAEAQWRSGPSFSSNRNINRFSPPDDDVYGVELRELLSRRSVSNLLRSGFRESLDQLIQSYVRRQEEHDDPLDWDYQRQGTATGLHSDDQGEDRIDEATNQTVSDTRDHQPSILPQQRHWQMELPHHHHNWSQQAMRHSELDWDAIHVLRDDLTGLQRGMTSMQQMLEACMEMQMELQRSIKQEVSAALNRSLAVPAGEEGMLEDGSEWKLARKGTCCICCDRQIDSLLYRCGHMCTCSKCASELLHGVGKCPLCRAPIVEVVRAYCIIFAFIPCSSNSVQRYSATKTDKNKSSDLIIQPQINDVTEESNQRPTDSSEINPTVGVFGEHYLFWTQHICQLSSHRDGTIYNNQLYWKNNYDIDVTNREETRDHVDSMLNYVFNRSRDDPIAVNQGSLIEMTGPKRGIALIPECLFEFDMRIKTGEKEEDDLQLIDGMIELDEMRMPETPYTTRINGDSGSVDLCLANVSNGVEATVEVVISELMVNGFDLSISCVVSSSRYEYDESKEFQIFGGSIGEACGLRRFVLAVYLDTVMQLKLKVDQKGSNGVEHCCSFSCKLHGCASEDVKLEEVASISVKVTWSALIE >ORUFI03G12670.1 pep chromosome:OR_W1943:3:8712532:8713790:1 gene:ORUFI03G12670 transcript:ORUFI03G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKYPYYRFIAHIMIFNSEIIRHCSEKSMAWGGLFLSFSRPSQDQQKSCLSAAGGFNYDAPLHGASRPKSVAKLTAGDTEASDKALVERGFFVNRSRVLVGSGTTTFNHAKSALLSWKHLALGWANVEPDTPVKAGTRFCICYKELIPWVMLPLQIAYVTDGNGGNSSGHGKGCVFAYGSGTLQGHLLAGEERFSVQLDEDDQVWYEVMSFSKPAHILSSLCYPYVQLRQKHFAHQSGQALLRHVASRSRDTR >ORUFI03G12680.1 pep chromosome:OR_W1943:3:8716108:8716428:1 gene:ORUFI03G12680 transcript:ORUFI03G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPEFYRPSPPAFSPSCAAGTSTTEVDEYSCCRTPTPGIREPATCPPAPRKPRPVACRKLLFDPAQQQGKGKAISLRLDELERLFRPITNNANLHLQTNKPTHT >ORUFI03G12690.1 pep chromosome:OR_W1943:3:8716586:8730498:-1 gene:ORUFI03G12690 transcript:ORUFI03G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVSYVSAAQLVPMLRDPRIAVVDVRDEERIYDAHIAGSHHYASDSFGERLPELAQATKGKETLVFHCALSKVRGPSCAQMYLDYLSEADEDSDVKNIMVLERGFNGWELSGRPVCRCKDAPCKDATSGEEIEADHGMEAGAEVVRRDEHVVRAGGAVPVGHLGPDEVDRVGEQGAGVRERGEAVVGGEVAGDVDGDEEEGGVVGTRGAASVGQQRLVQRDLGGEEVGGAARVGGDPVAVEAGGEVVDYLLPSFSTRLRQRQREIFLGSSASASSLAVAPARAGHGREAMNCLQNLLKEPPIVGSRSMRRPSPLNLTMVRGGSRRSNTVKTASGASTSSAESGAVEAGTEKSDTYSTNMTQAMGAVLTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRDIGVKTVFCLQQDPDLEYFGVDICAIQEYCLQCKDIEHCRAEIRDFDAFDLRLRLPAVISKLHKLVNHNGGVTYIHCTAGLGRAPAVTLAYMFWILGYSLNEGHQLLQSKRACFPKLEAIKLATADINSITLKWESDSCSSVEISGLDVGWGQIIPLTYNKEKRAWYLERELPEGRYEYKYIVDGKWVCNDNEKKTKANADGHVNNYVQVSRDGTSDEERELRERLTGQNPDLTKEERLMIREYLEHSWR >ORUFI03G12690.2 pep chromosome:OR_W1943:3:8716586:8730498:-1 gene:ORUFI03G12690 transcript:ORUFI03G12690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVSYVSAAQLVPMLRDPRIAVVDVRDEERIYDAHIAGSHHYASDSFGERLPELAQATKGKETLVFHCALSKVRGPSCAQMYLDYLSEADEDSDVKNIMVLERGFNGWELSGRPVCRCKDAPCKDATSGEEIEADHGMEAGAEVVRRDEHVVRAGGAVPVGHLGPDEVDRVGEQGAGVRERGEAVVGGEVAGDVDGDEEEGGVVGTRGAASVGQQRLVQRDLGGEEVGGAARVGGDPVAVEAGGEVVDYLLPSFSTRLRQRQREIFLGSSASASSLAVAPARAGHGREAMNCLQNLLKEPPIVGSRSMRRPSPLNLTMVRGGSRRSNTVKTASGASTSSAESGAVEAGTEKSDTYSTNMTQAMGAVLTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRDIGVKTVFCLQQDPDLEYFGVDICAIQEYCLQCKDIEHCRAEIRDFDAFDLRLRLPAVISKLHKLVNHNGGVTYIHCTAGLGRAPAVTLAYMFWILGYSLNEGHQLLQSKRACFPKLEAIKLATADIVSLSKNSITLKWESDSCSSVEISGLDVGWGQIIPLTYNKEKRAWYLERELPEGRYEYKYIVDGKWVCNDNEKKTKANADGHVNNYVQVSRDGTSDEERELRERLTGQNPDLTKEERLMIREYLEHSWR >ORUFI03G12700.1 pep chromosome:OR_W1943:3:8724885:8732195:1 gene:ORUFI03G12700 transcript:ORUFI03G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMRGDPKQRRASASAPHGGAAHHVADKLRRHSTFLLLLLLLWFALSLYLFLSATPPPPRPAFLPSTSTPRPALRIYVYDLPARFNRHWVAADARCATHLFAAEVALHEALLAYAGRAARPDDATLFFVPVYVSCNFSTDNGFPSLSHARALLADAVDLVRAQMPYWNRSAGADHVFVASHDFGACFHPMEDVAIADGIPEFLKRSILLQTFGVQGTHVCQEADHVVIPPHVPPEVALELPEPEKAQRDIFAFFRGKMEVHPKNISGRFYSKKVRTELLQKYGRNRKFYLKRKRYGNYRSEMARSLFCLCPLGWAPWSPRLVESVLLGCIPVIIADDIRLPFPSVLQWLDISLQVAEKDVASLEMVLDHVVATNLTVIQKNLWDPVKRKALVFNRPMEEGDATWQVLRELEILLDRSQRRHVESWKRRDMVFVVTSGGDSSANKLLRRSWLKKLMISSSSSRRRRRRPAAFVCNPGPAMATIASGILPPFHNLHSLWTCLRQIVPGEVAGTCSSTRHWTSKATNQLVTILSMQCPQCGEELEPHRITNLYAPGINIWDGCCKNHANEVGEYYNREYEGLKTQMESTIAKQGKDLEELDVLVKSVGRQFESLESSLKTFLLSMTERMKMMATQLPAAMDLVQYLEKDVHDIISALSQKPPYLARLMHPSTDRYMQACFC >ORUFI03G12710.1 pep chromosome:OR_W1943:3:8734179:8738164:1 gene:ORUFI03G12710 transcript:ORUFI03G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPRGRRLPPPHPPLIQEILDDDLFDVEVNYSDEEEEEEEEESDEEESESEDNEPEEVVPGQESIGEGCGPAIQARVSGAAAEKNACPVCMEAWASQGAHRICCIPCGHIYGRSCLERWLRHKGNTSATCPQCGKRFRPKDITNLYAPEVAVPNNDLEKEVLYLRGKAESLGETVMKHEKLIEEMNERLVELTSAQKRQILSEQRLMNVGSSKRQKLAEHLAGTTYLEPPTSVTADFNSSNSCDFVFQKEFFMDGLRVMAIDAFNQTILASGKAPGIGQEHVLYKFSMVSHHEARNIQLPLDTKTVRDICILPSGSAIFTSLGRKLSSFSMTADRVVLQCDLPCPGWSCSADESSRQICAGLQAPACGILMVFKAGQSCYWGQIINGCAFLLHVPLHQVETSEDATASQVYLSQTPTPSGGGKLGYHSFIRRAGNSSSFTEDRTCSTLVSEIRMSKSAIIPYGNNQHLFAYGDESLRGVRTWRLPSFEMHSDLSSLQQPVLDLRYAESSSGGRYLGYLSTEKLQVFRIR >ORUFI03G12710.2 pep chromosome:OR_W1943:3:8734179:8738519:1 gene:ORUFI03G12710 transcript:ORUFI03G12710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPRGRRLPPPHPPLIQEILDDDLFDVEVNYSDEEEEEEEEESDEEESESEDNEPEEVVPGQESIGEGCGPAIQARVSGAAAEKNACPVCMEAWASQGAHRICCIPCGHIYGRSCLERWLRHKGNTSATCPQCGKRFRPKDITNLYAPEVAVPNNDLEKLLLSDNSSIMNRTLFHSSGSIVMKHEKLIEEMNERLVELTSAQKRQILSEQRLMNVGSSKRQKLAEHLAGTTYLEPPTSVTADFNSSNSCDFVFQKEFFMDGLRVMAIDAFNQTILASGKAPGIGQEHVLYKFSMVSHHEARNIQLPLDTKTVRDICILPSGSAIFTSLGRKLSSFSMTADRVVLQCDLPCPGWSCSADESSRQICAGLQNGNLIIFDIRQTSRPLHSMVGLSTHPVHTLHTVIDNNGSRKFLSASSIGPCMWDTDGIQGRPKLLLGTDNQRVCISLACAPSSSDLLVASFRPKVETSEDATASQVYLSQTPTPSGGGKLGYHSFIRRAGNSSSFTEDRTCSTLVSEIRMSKSAIIPYGNNQHLFAYGDESLRGVRTWRLPSFEMHSDLSSLQQPVLDLRYAESSSGGRYLGYLSTEKLQVFRIR >ORUFI03G12710.3 pep chromosome:OR_W1943:3:8734179:8738519:1 gene:ORUFI03G12710 transcript:ORUFI03G12710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPRGRRLPPPHPPLIQEILDDDLFDVEVNYSDEEEEEEEEESDEEESESEDNEPEEVVPGQESIGEGCGPAIQARVSGAAAEKNACPVCMEAWASQGAHRICCIPCGHIYGRSCLERWLRHKGNTSATCPQCGKRFRPKDITNLYAPEVAVPNNDLEKEVLYLRGKAESLGETVMKHEKLIEEMNERLVELTSAQKRQILSEQRLMNVGSSKRQKLAEHLAGTTYLEPPTSVTADFNSSNSCDFVFQKEFFMDGLRVMAIDAFNQTILASGKAPGIGQEHVLYKFSMVSHHEARNIQLPLDTKTVRDICILPSGSAIFTSLGRKLSSFSMTADRVVLQCDLPCPGWSCSADESSRQICAGLQNGNLIIFDIRQTSRPLHSMVGLSTHPVHTLHTVIDNNGSRKFLSASSIGPCMWDTDGIQGRPKLLLGTDNQRVCISLACAPSSSDLLVASFRPKVETSEDATASQVYLSQTPTPSGGGKLGYHSFIRRAGNSSSFTEDRTCSTLVSEIRMSKSAIIPYGNNQHLFAYGDESLRGVRTWRLPSFEMHSDLSSLQQPVLDLRYAESSSGGRYLGYLSTEKLQVFRIR >ORUFI03G12710.4 pep chromosome:OR_W1943:3:8734179:8738519:1 gene:ORUFI03G12710 transcript:ORUFI03G12710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPRGRRLPPPHPPLIQEILDDDLFDVEVNYSDEEEEEEEEESDEEESESEDNEPEEVVPGQESIGEGCGPAIQARVSGAAAEKNACPVCMEAWASQGAHRICCIPCGHIYGRSCLERWLRHKGNTSATCPQCGKRFRPKDITNLYAPEVAVPNNDLEKEVLYLRGKAESLGETVMKHEKLIEEMNERLVELTSAQKRQILSEQRLMNVGSSKRQKEFFMDGLRVMAIDAFNQTILASGKAPGIGQEHVLYKFSMVSHHEARNIQLPLDTKTVRDICILPSGSAIFTSLGRKLSSFSMTADRVVLQCDLPCPGWSCSADESSRQICAGLQNGNLIIFDIRQTSRPLHSMVGLSTHPVHTLHTVIDNNGSRKFLSASSIGPCMWDTDGIQGRPKLLLGTDNQRVCISLACAPSSSDLLVASFRPKVETSEDATASQVYLSQTPTPSGGGKLGYHSFIRRAGNSSSFTEDRTCSTLVSEIRMSKSAIIPYGNNQHLFAYGDESLRGVRTWRLPSFEMHSDLSSLQQPVLDLRYAESSSGGRYLGYLSTEKLQVFRIR >ORUFI03G12720.1 pep chromosome:OR_W1943:3:8738715:8739740:-1 gene:ORUFI03G12720 transcript:ORUFI03G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKPPAVAANNNQLLLMMIMVVVACSNYMISGAGAQPSPGYYPSKTIRSMAFGEGYDNLWGGQHQTLSADQTALTVWMDRSSGSGFKSKRSYRNGYFGASIKVPSGYTAGVNTAFYLSNNELYPGQHDEIDIELLGTVPGEPWTLQTNVYVHGTGDGAIIGREMRFHLWFDPTADFHHYAILWNPDHIVFLVDDVPVRRYPRAAGNTFPDRQMWAYGSIWDASDWATDGGRYKSDYRYQPFVSRYRDLKIAGCEAAAPASCQPVPASPSGATGELSAQQKAAMRWAQQRSMVYYYCQDYSRNHANYPEC >ORUFI03G12730.1 pep chromosome:OR_W1943:3:8743230:8745514:1 gene:ORUFI03G12730 transcript:ORUFI03G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKSLLKPKPTPQQQLREWQRRLRNEGRNIDRQIRDVQREEKKVEKSIREAAKRNDIGSAKALAKEVVRSRKAVNRLYENKAQLNSISMHLGEIVATARTVGHLSKSTEVMKLVNNLMKAPEVAATMQEFSKEMTKAGVMEEMVNDAVDSALDNEDIEEEIEEEVDKVLSAIAGETASELPDAVRKEKEKMKQPSTSEPAERTAIAEAVDDDDELEQIRERLAKCLLWQEVKSAVDNDS >ORUFI03G12740.1 pep chromosome:OR_W1943:3:8745814:8747365:-1 gene:ORUFI03G12740 transcript:ORUFI03G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAAEAEAAVGRGLTWAEAAWFRYSAAIPDYCLYCHNVPILLLVYTLAPLPLALLELRRHLPLPHKLQPGVRHPPAAFLRCYAATARVLLLAVGPVQLASFPAVRAVGIRTGLPLPSAGETAAQVAVYLLVEDYLGYWIHRLLHTPWAYHHIHRVHHEFTAPMGYAAPYAHWAEILILGFPAFAGPAIVPCHMTTFWLWFVLRHLEAIHIHSGFKLPFDPTKYIPLYGGVEYHDYHHFVGGHSQSNFSSVFTFCDYIYGTDRGYRYHKASLSKLKEMAGNHVEKGDENGFGNGKQD >ORUFI03G12740.2 pep chromosome:OR_W1943:3:8745814:8747365:-1 gene:ORUFI03G12740 transcript:ORUFI03G12740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAAEAEAAVGRGLTWAEAAWFRYSAAIPDYCLYCHNVPILLLVYTLAPLPLALLELRRHLPLPHKLQPGVRHPPAAFLRCYAATARVLLLAVGPVQLASFPAVRAVGIRTGLPLPSAGETAAQVAVYLLVEDYLGYWIHRLLHTPWAYHHIHRVHHEFTAPMGYAAPYAHWAEILILGFPAFAGPAIVPCHMTTFWLWFVLRHLEAIHIHSGYHKASLSKLKEMAGNHVEKGDENGFGNGKQD >ORUFI03G12750.1 pep chromosome:OR_W1943:3:8757010:8757786:1 gene:ORUFI03G12750 transcript:ORUFI03G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDGHSLQQHQGYSHGGGAGGSNEEEEASPPPGGGSATGSAGRRPRGRPPGSKNKPKPPVVVTRESPNAMRSHVLEIASGADIVEAIAGFSRRRQRGVSVLSGSGAVTNVTLRQPAGTGAAAVALRGRFEILSMSGAFLPAPAPPGATGLAVYLAGGQGQVVGGSVMGELIASGPVMVIAATFGNATYERLPLDQEGEEGAVLSGSEGAAAQMEQQSSGGAVVPPPMYAAVQQTPPHDMFGQWGHAAVARPPPTSF >ORUFI03G12760.1 pep chromosome:OR_W1943:3:8763999:8769392:-1 gene:ORUFI03G12760 transcript:ORUFI03G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLLKTQKFAPELEHLVDMTKVKMDVMKPWIATRVTELLGFEDEVLINFIYGLLEEKEADGKKIQIQLTGFMEKNTVKFMKELWSLLLSAQQNASGVPQQFLDAKEAEIQQKKAEESRIAQEIQKKREKDGREQELEKQKLMVLIVLMLRRKKNWILSIAQEQRAGKALGPGASPCRLAVGGDLFLLGGVLHLLPEIPFLLGDSNDLQGAQFHLGVLFLHESVLQEVPLRCRGGDHRIPEDHLQYQDIDLRHLIVDLIFAGNLHLLCVRDHHLHTIAGLQVVHQDHHLLHVIDLLGAGIGLHLQEDVGLGPLHPDDTQAKVTISRKTKIPLSSRLSAVEEIANFTQKSFCKPSTSPHDRSPIHSRRSLSRDIEKGTNGIPSSNDVDVLQRNKERSHDDNRNDTEVSGHLSSDSEHRKLTKSLNSPNKPERNSTRDSSLKGTDKHLPSQVRTDSSGEEEGSRARENARKANSSRRKIKDFSADLELKKAHDDLSPGEKSPSQHSGKETRRKQNNQLSESSEDERDGRRTKHTVDSPDDSQQKQHTPSRVGMHNSYSKDGMNSEDAIKGLRDGMASKKYPAKIDDDSESEDGSPFRKDKRKAHGNNNIDSGSSGSEESGKHRSHSEKRKHKKSRKHKRRYDDSSDESNSESDDKESKRRRKEEKRLRKEERRRRREERHRRKADRQASKLKLKHAETVDMASDLEKDRESDSDADARKKGSYNGRDESDQQKLEIELREKALESLRAKKAINH >ORUFI03G12760.2 pep chromosome:OR_W1943:3:8763999:8769392:-1 gene:ORUFI03G12760 transcript:ORUFI03G12760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLLKTQKFAPELEHLVDMTKVKMDVMKPWIATRVTELLGFEDEVLINFIYGLLEEKEADGKKIQIQLTGFMEKNTVKFMKELWSLLLSAQQNASGVPQQFLDAKEAEIQQKKAEESRIAQEIQKKREKDGREQELEKQKLMDGDAGNSRLGPDRADAEEEKELDSKHSSRTKSRESLRSRSISLSPRGRRRSVSPRRRSPSPSRNSISFGRQQRSSRRSTQAKVTISRKTKIPLSSRLSAVEEIANFTQKSFCKPSTSPHDRSPIHSRRSLSRDIEKGTNGIPSSNDVDVLQRNKERSHDDNRNDTEVSGHLSSDSEHRKLTKSLNSPNKPERNSTRDSSLKGTDKHLPSQVRTDSSGEEEGSRARENARKANSSRRKIKDFSADLELKKAHDDLSPGEKSPSQHSGKETRRKQNNQLSESSEDERDGRRTKHTVDSPDDSQQKQHTPSRVGMHNSYSKDGMNSEDAIKGLRDGMASKKYPAKIDDDSESEDGSPFRKDKRKAHGNNNIDSGSSGSEESGKHRSHSEKRKHKKSRKHKRRYDDSSDESNSESDDKESKRRRKEEKRLRKEERRRRREERHRRKADRQASKLKLKHAETVDMASDLEKDRESDSDADARKKGSYNGRDESDQQKLEIELREKALESLRAKKAINH >ORUFI03G12770.1 pep chromosome:OR_W1943:3:8774077:8775489:-1 gene:ORUFI03G12770 transcript:ORUFI03G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGARSWLADLRARFGGGAREEAGLGILAFEAAAAMSRLVSLHRSLSDVEVRRLRADALRAEGVARVTSTDQSLLLRLACGEFVADLDHAAGTAARLGARCCAGAPFLHDFDRVYAEAKRGNGLARLDATVGFYRGAAKRFRKMERHVAATAKLYAEMDALSELEASERRMEQWMRHSGPIPAQPGPSAKRQVPEPGEKLIRELNSQRQKVRRLMESSLWSVAAHKVSKLMAKSVLAVLARISITFGAYVPGLPLLTVGRAWALRRTSGPLQQAASPAAAIRHSAPIFRQKDTAFSASESIKPPASTVGGSGMELRYANMIVCAEMLLRQLWPTIHSNEVDAGMDLSKRDELYKMLPVTIRTAVKAKLRESWRGQPVDEAAAAASMDAVDRMLRWLGPMAHDTVRWHDEHSMERAQRFSMRPRVLMVQTLHFADRHKAENVIVEVLIGLSCVCWYDDERRRPADWDDDD >ORUFI03G12780.1 pep chromosome:OR_W1943:3:8781627:8785668:1 gene:ORUFI03G12780 transcript:ORUFI03G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGSFPPVTGKSWRPRKEKGKKRKEKRKETRKKKKAKGEKAPVHLTLSRSLAYISLAHLLACLRLSRTLPLFSCSSSLIFPLSLPLLFSPAASACGELFVQPVHPRSLGRILGSVGETLLGFRRIKGYSRGATGAVVARGSSSTRPANGAVAINAAAPAVGSAPAEVARFHSQPPQQDRSRWAGCFSALSCFGSQKGGKRIVPAARIPDGNASTSRGNAHQSGSNSNQNVALNLSLLAPPSSPASFSNSAIPSTAQSPNRFLSISANSPGGPTSNMFAVGPYANEPQLVSPPVFSTYTTEPSTAPLTPPPELTHATTPSSPDVPYARFLFSAMDLKTAGKDHNMPYLSTAYSGGSGLQASYPLYPESPSSSLISPASATPRTGLSSPIPEQEVPTAHWKTSRSACDTPYSRASPIPEQEVPTAQWKTSRSACDTPYSRNSPSNIFGLDSAASRNYMLDNNFFRPAASAQFYLDQAQQSFPYNNGGRISVSRDKQDAEEVEAYRASFGFSADEIVTTQAYVEIPDALDEGFSISPFGNNAPATEVDKPLFNVKVTTSPKKSADQLSNDSPHNVVHLDIFKGTKGGDLSEDEGVVKDCHPFRKAMDEISLKPIEVRKKVQPGQSSSSDAEIEYRRARSLREANGVLSWRSTLARQLQ >ORUFI03G12790.1 pep chromosome:OR_W1943:3:8786031:8786498:-1 gene:ORUFI03G12790 transcript:ORUFI03G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKPSASDKKVKRPPSRLQKHAPATLRLEPPSTPSPTGAWGDGRMPIPLLSPLVVSPSAAWEPDDQAAAAAGAPRREGGVQGGAWREGSSGAAARSPVCGGDRQAADDAAKSPAPAPCGGGWLHPALSTPVAEPASLVSFFQSHCALEVHNAPQ >ORUFI03G12800.1 pep chromosome:OR_W1943:3:8814104:8814547:-1 gene:ORUFI03G12800 transcript:ORUFI03G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSFAQATASTLSRSSPWLGQDLAIGGEELHEGGFFQPAGKKRRLRRHPLPRAQARERQQLMHGPQAAATVVQVLVLRSLPPPRGPHDAAAAAVRPSRPPPPRPTHASSTDPTKEGPDPNSMAPDLAIVTGLRLSCFGLVGAPTI >ORUFI03G12810.1 pep chromosome:OR_W1943:3:8815710:8819648:1 gene:ORUFI03G12810 transcript:ORUFI03G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGSRGDIEAGGFPGFAPERRAMVWLVLGVFLMATSLRMYATCQQLQAQAQAHAAAANGFLGHTELRVHVPPTIALATRGRLQSLRLQLALLDREFDDLDYDALRALDADNSPHAPSMSEEEINALPVFKYKVQAHQGSASFRKSDGPSQPSVSSTESGNEKKQDRFKADATDNTLEDELTCSVCLEQVVVGDLLRSLPCLHQFHANCIDPWLRQQGTCPVCKHRVSDGWHGEADASNMV >ORUFI03G12820.1 pep chromosome:OR_W1943:3:8821353:8826604:1 gene:ORUFI03G12820 transcript:ORUFI03G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSGSVSAGGKMMVKAAAAAESASTNERTQSFSGCNSEKFYHIESPHKKRKSQYELSDTRYKFRNRLAWQEDESSRTESLGCNSIFVNRNYDMDMVNRVEELESCDNTQSLIGGCIEVDSINGIESHKMLKVQAFSSSSSSNNISSDAFTSSRSNGTKDTDSWDMQHLEYDHPGLMLLPYDDDIEEAYDVLGQYDVVMKNDLASGDVDGSAAGVIDEKLYSNGIEDLLILPRGQNSIHDEKNKLTIDQEFEQYFTRLML >ORUFI03G12830.1 pep chromosome:OR_W1943:3:8840410:8840706:-1 gene:ORUFI03G12830 transcript:ORUFI03G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVDDKWKFSKKSRNNGSRRIPGGSGAGGDPFLKRSASSRDQVIGRGRVGSGGGGGAAAAPSSFSSRCAGLVKEQRARFYIMRRCVTMLVCWKDCS >ORUFI03G12840.1 pep chromosome:OR_W1943:3:8850793:8853032:-1 gene:ORUFI03G12840 transcript:ORUFI03G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCLALLLLYGTLLLLLLLPQLPLAGAATRYYTFNVKLQNVTRLCNTRAIPTVNGKFPGPKIVTREGDRVVVKVVNNIKDNITIHWHGVRQMRTGWSDGPAYVTQCPIQTGQSYVYNFTINGQRGTLFWHAHVSWLRSTLYGPIIILPKAGLPLPFTEPHKDVPIIFGEWFNADPEAIVAQALQTGGGPNVSDAYTINGLPGPLYNCSSKGADTFRLKVQPGKMYLLRLINAALNDELFFSVANHTLTVVDVDASYVKPFDTDVVLITPGQTTNVLLRAKPTAEAAGATHLMMARPYATGRPGTYDNTTVAAVLEYAPPGHIKSLPLLRPSLPALNDTAFAAGFAAKLRSLACPDYPSNVPRRVDKPFFFAVGLGTTPCPGSNNQTCQGPTNTTKFTASINNVSFDMPTTALLQAHYTGQSAGVYTADFPASPLEPFNYTGTPPNNTNVSNGTRVVVLPYNASVEVVLQDTSILGAESHPLHLHGFDFFVVGQGTGNYDPSKHPAEFNLVDPVQRNTVGVPAGGWVAIRFFADNPGVWFMHCHLEVHTTWGLKMAWVVNDGPLPEQKLMPPPSDLPMC >ORUFI03G12850.1 pep chromosome:OR_W1943:3:8876964:8879752:1 gene:ORUFI03G12850 transcript:ORUFI03G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDRCHKVQEPKFDCLLFDLDDTLYPLSSGIASHVKKNIGDYMVEKLGIEESKIENLGNLLYKNYGTTMAGLRAIGYSFDYDEYHSFVHGRLPYENIKPDPVLKHILKNLRIRKLIFTNGDKDHAVRALKRLGLEDCFEGIICFETLNPPCPSPPCDGEASIFDIAGHFSMPGAAADELPRTPVLCKPNVDAMEEALRIANVNPHKAIFFDDSVRNIQAGKRIGLHTVLVGTPQRVKGADHALESIHNIREALPELWEEAEKAEDVLIYSDRVAIETSVTA >ORUFI03G12860.1 pep chromosome:OR_W1943:3:8895419:8900955:1 gene:ORUFI03G12860 transcript:ORUFI03G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRTRKKPKKYRTRRWEVGGHRGHLAHPATRPATTQRIRASPCLICLACPFSRAAASEISPPPPPPRLDSTHPPLKSSSHHRAATSHAPAAAADVAVPPNPSPASGSGRAHTHARARAEFSLSPVDHLQIARAMGSKDGSGAASSGGGGGFFSSIAAGVRSLGTAVHKSVNGLVGYEGLEVINPDGGTEDAEAEALRGRWKQEDRDSYWKMMHKYIGADVTSLVTLPVIIFEPMTMLQKMAELMEYCELLDKADECEDPYMRMAYASAWAVSVYFAYQRTWKPFNPILGETYEMVNHQGISFIAEQVSHHPPMGAAHCENAHFTYDITSKLKTKFLGNSLEVYPLGRTRVSLKKSGVKLELVPPLTKVNNLIFGRTWVDSPGEMVLTNLTTGDKVVLLFQPCGWFGAGRYEVDGYVYSAAEEPKIMITGKWNQSMSCQPCDQEGDPLPGTELKEIWRVAPTPPNDKYQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEKGDMSKSGSEKSRLEEQQRAEKRTREAKGEQFTPRWFNRTDEIAPTPWGELEVYEYNGKYTEHRAAIDSSSVADDDTDVTSIEFNPWQYSSSSSQ >ORUFI03G12870.1 pep chromosome:OR_W1943:3:8921666:8927158:1 gene:ORUFI03G12870 transcript:ORUFI03G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQKRSSLEMESGGGSHVAEMPRVPKSARGKRSIRKKESQGQVMCAFDLLATVAGKLLDEGEGSLGNASSGTPAVEASPKDVRVKQEQCDEEAKHFKNEVMDQDSCNESALVSHIAFQRPVNHHGRKGEDPEGSHAVIEDPKAKSEALDKESSMISCTKAELGCNFAAIADRWSPESVESGAFTGDAAAIAMPATTSGFHKNAPDMYNLLDPMDVDVKPPPLVSSDSTGEMPLYGNKIRRSTSFPRVPKGGAGFTVDRDEDDDDKSSGCTHPSTATNRGFRPNCTAGHSRVKKLLACKHRKVAPARMHKGDLSYSDVDRKPSFRNKKMYYTRQRTQRSTFKRRKMFDRHSAQVSEEYAKANTKFAARDSHAVSLEANKGTNSTAFQKSQESSDCHVKLRIKSFKVPELLIEIPETATVGSLKKTVLEAVNAILGGGLRVGVLHHGKKVRDDNKTLMQAGISHDEVLDNLGFSLEPNCAPHPSQLSPPEDNEFMETVDTTEPLARIAPADSSSKHGEVDASQELALAPLSANYQGSDHDFVHSPGGMSSPDKASTNSRAIVPVTPADSNAGAIVPANKAKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQPKTPPLAEALLLT >ORUFI03G12880.1 pep chromosome:OR_W1943:3:8933254:8933590:1 gene:ORUFI03G12880 transcript:ORUFI03G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRTDKRHLQQEVEDCRARLEEERTGFEAVRKEMVAVREIVQDNLAKLTALKARISKVEVERNCGLFVRSGCGVVCQKLNVGRYENCKMVVCLAWGEMYEIWDV >ORUFI03G12890.1 pep chromosome:OR_W1943:3:8940359:8946464:1 gene:ORUFI03G12890 transcript:ORUFI03G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGQGQYGGGADINSMVAAQLHHYQTQQRVQQHPDNNYPGRDPGKAAEEQQYSAPKVRQSQWDRGGPNAPNQIPAYAYNEGQSAQGAQTFYDGQRSDLKVGLEKQPNKESRDRPRNDRFEARREDYNLPRTFEGLEQNFHEDIVILSKELHDAEDAENARHRERLNEINAQYQEKLLALRARQATYREEFLRKESQARQQQYQQASMSSYANNVRPGETHGYTPIAAKPPPPPPAAAATAGGTYGEAHRGYTSAQYDNFRERPDYPEFRGRGRGEGHVLEHRGQFPGGRAYNSGGRRF >ORUFI03G12900.1 pep chromosome:OR_W1943:3:8944768:8947439:-1 gene:ORUFI03G12900 transcript:ORUFI03G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAGVQGNAEINPSFSAPNSSGTGSKNSSKNGADSSTFGTKASASSSVPPTPRSETEILQSSNLRKFTFGELKGSTRNFRPDSLLGEGGFGSVFKGWIDERTLTPVKPGTGMIVAVKKLKLDSFQGHREWLAEVNYLGQLSHPNLVKLIGYCFEDEQRLLVYEFMPRGSLEHHLFRRGSHFQPLPWNLRMKVALEAARGLAFLHSDQAKVIYRDFKTSNILLDSDYNAKLSDFGLAKDGPSGDKSHVSTRVMGTQGYAAPEYLATGHLTAKSDVYSYGVVLLELLSGQRALDKNRPPGQHNLVEWARPYITNKRRVIHVLDSRLGSQYSLPAAQKIAGLAVQCLSMDARCRPGMDQVVTALEQLQGAKKAAK >ORUFI03G12910.1 pep chromosome:OR_W1943:3:8959716:8963248:1 gene:ORUFI03G12910 transcript:ORUFI03G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGITKNPCFSGDPYAAAVASDPLPDDSHGHSFTYVPSSAAAFDHSPRSAAASSETSYFSLSGAAISANPATSASMPSFRLYNELTWPPSTACTFESSRSFAAAPLIQAAPPRLSMSGPLHATSGRFSEASGSASTASDRFSDHPFMDGMLDRASSASSTARLMPSFSHLMSEPRVAQSGLSNERSLIRSLVRVASKLRFGVPLSGRRSNGPAEPTTKSDGDYRSTPKGNVEWAQGMAGEDRFHVAVSEEHGWVFVGIYDGFNGPDATDYLFANLYVAVHRELKGVLWDDIQGVDVVTDNLPDPALANATHLCFLDAGGVGGGGDDDPDAERKAKRGRIERNADDDGASSVHRDVLKALARALARTEEAFFAAAEERAAQSPELGLVGSCVLVMLMKGKDVYLMNVGDSRAVLARRREPDFKDIFFRPDQDLQLLKAEVMRELEAHDRNGLQCVQLTPEHSAAAEEEVRRIRSQHLTDRQAVVNGRVKGKLSVTRAFGAGYLKQPKWNDRLLEAFKVDYIGAEPYISCTPSLRHHRISSNDRFLVLSSDGLYQYFTNKEVVDQVAMFTAEQPDGDPAKHLVGELVLRAARKAGMDCRRLLEIPHGDRRNYHDDVSIIVMSFEGRIWRSSV >ORUFI03G12920.1 pep chromosome:OR_W1943:3:8968187:8970718:1 gene:ORUFI03G12920 transcript:ORUFI03G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVLIVPQSSPFHEKRGWARVFPNGVESLRRAVPSGSSDLPQKSSYAQSPSTARGRRMGANEGGAAWSARHGCRRPQSSPANRLRRGADTLTAVLLLPQTLWRHCTGSILVKKFCAGF >ORUFI03G12930.1 pep chromosome:OR_W1943:3:8968227:8969534:-1 gene:ORUFI03G12930 transcript:ORUFI03G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNRQSERKKGETKETCNIVKLWSYYFSKQEIMGRTCSMCRPHACTIHSHLHHHEKLALQSFIYDIPSRIPDPEKRDRASGLGLGGAYLRLFSDDLRANSAAADSRAERTMLPRLRSHPCAGLAPSKATGRTTISGGDRRSQTERHGEGTPLHWETPEPNRAFHETGWTVVLSAH >ORUFI03G12940.1 pep chromosome:OR_W1943:3:8971606:8974303:-1 gene:ORUFI03G12940 transcript:ORUFI03G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGASCGRPSEEVDFFGAAQSGDLARLAAAVRSRPSLLGRTTLFDRLSALHIAAAHGHLQVVSMALDLCVHPDVVNRHKQTALMLAAMHGRTECVRRLLDAGANIVMFDSSHGRTCLHYAAYYGHADCLRAILSAAQSAPVSQSWGFARFVNVRDDTGATPLHLAARQGWRRCVHVLLENGAIVSASSSAFGFPGSTPLHLAARGGSLDCVRQLLSWGADRLQRDSVGRIPYEVAMKRGHVACAALLNPSSAEPLVWPSPLKFISELEPDAKALLEAALMEANREREKRTLKGARSASPLALPSPSRSDDGAHDAAISEEAAAAGGGEVCSICFEQACTIEVRECGHQMCAACTLALCCHAKPSAAAATPCQQPLPTCPFCRGGISRLVVATTKTRAGGDDEEDDEEAGSRLASPLHRRSRRAVNHPSGDGGSTSSIMGSIASSIGKMGRRRTDSSEHVDVDKP >ORUFI03G12950.1 pep chromosome:OR_W1943:3:8981798:8986654:1 gene:ORUFI03G12950 transcript:ORUFI03G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLASASGLHRLRRSPWEVLWSGLASCGLTLLSQLAVAMVPRLFPSLSLLAMLPVAGVVFLAVIVVGRFWRRFIGVAASAPLFVLFNILFLWGVYVFVIRGGTSSLLDMVINAECAMLLFGLYRIFSGDPGIVIYESSFFEEAGCKDFVEAICPSEKFSSLPRVRHCNCCKANVRGYDHHCPAFGNCIDITRCINSGTIRSENPMSVNMVIGTMLFSVLQVLWQAVFLIWHIYCICFNIKTDEWVMSNFPCFGLFLHQLQAIFSFAINWKKYPEFQMKEQPQSDSGVKFVNPYDKGVLCNIIEFLKPK >ORUFI03G12950.2 pep chromosome:OR_W1943:3:8981798:8986651:1 gene:ORUFI03G12950 transcript:ORUFI03G12950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLASASGLHRLRRSPWEVLWSGLASCGLTLLSQLAVAMVPRLFPSLSLLAMLPVAGVVFLAVIVVGRFWRRFIGVAASAPLFVLFNILFLWGVYVFVIRGGTSSLLDMVINAECAMLLFGLYRIFSGDPGIVIYESSFFEEAGCKDFVEAICPSEKFSSLPRVRHCNCCKANVRGYDHHCPAFGNCIDITRCINSGTIRSENPMSVNMVIGTMLFSVLQVLWQAVFLIWHIYCICFNIKTDEWINWKKYPEFQMKEQPQSDSGVKFVNPYDKGVLCNIIEFLKPK >ORUFI03G12960.1 pep chromosome:OR_W1943:3:8988374:8990329:-1 gene:ORUFI03G12960 transcript:ORUFI03G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTIRKALGAVKDQTSIGLAKVTSNIAPELDVLIVKATSHDDEPAEERHIREILHLTSGSRAHVAAAVAGCSRRLSRTRDYVVALKSLMLVHRLLADGDPSFHRELLHATRRGTRLLNLSDFRDEAHSGSWDHSAFVRTYALYLDQRLEFFLHERKQGSGSNASSSANGPSPRDRWGSPDPYGRRSPSYSSPPGNGNGYGYGGYDDYRERNGNNNADDKKPPTPVRDMKPERVLARMHHLQQLLDRFLACRPTGGAKHSRMVLVALYQIVRESFQLYADICEVLAVLLDRFFDMEYAECVKAFEAYASAAKQIDELCAFYGWCKETGVARSSEYPEVQRVTDKLLETLEEFMRDRAKRPKSPPREPEPEPVKEEEPEPDMNEIKALPAPEDYKEPEPEKVEEEVKPEPPPQPQGDLVDLREETVTADEQGNRLALALFQGPPAAGGSNGSWEAFPSNGGNEVTSAWQNPAAEPGKADWELALVETASNLSKQKATMTGGMDPLLLNGMYDQGAVRQHVNAQVTTGSASSVALPPAGQKTQVLALPAPDGSMQNVGGDPFAASLSFPPPSYVQMAEMEKKQQFLTQEQMMWQQYQRDGMQGQSSLAKLDRAYNNGFAPNPAMPYGMPAAYNTNPMPMAYTANTGYYYPTY >ORUFI03G12970.1 pep chromosome:OR_W1943:3:8990439:8991124:-1 gene:ORUFI03G12970 transcript:ORUFI03G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGTKRPPTQKRATTTHAVCLASSSLVSLASSADRSFRRAPELPVSHPLRERERERERERERERGEGRACARAPPPGSRAPGSTAKI >ORUFI03G12980.1 pep chromosome:OR_W1943:3:8999899:9000320:-1 gene:ORUFI03G12980 transcript:ORUFI03G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPLPSTTATPDQDARGGHATGPPHGASPQSWSLTSASCLRPLEDRLHARGTPPRKRIADAQSTAPSSCMSTIDSVLASDALDASAMGDLGKLSTCLNFLPPPRPINDFWRGSCISDQSPLARPFCHQ >ORUFI03G12990.1 pep chromosome:OR_W1943:3:9000342:9000518:-1 gene:ORUFI03G12990 transcript:ORUFI03G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQILNAITRAKGRRCSRERGCVMERQRRWVRKGRSGGGGGGNREDGRPDGWVQTRS >ORUFI03G13000.1 pep chromosome:OR_W1943:3:9003377:9009178:1 gene:ORUFI03G13000 transcript:ORUFI03G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEAKPNPNADAAPAAAEAAASSPPPLSSSESAEGKDKEEEKEEEEEEEAGDLVEKLVELVEEIAAISDFRNAYRRQFCNLSRRIRLLAPMLEEAKEGPRPLPDVSYSALRRLREALADSRELLRLGVSGSKISLVLEREKIMKSFQDITARLEQALGLISFDELDISDEVREQVELVHAQFKRAKERSDPSDDDLFNDLVSVYNSSTSANVDPDILQRLSDKLQLATISDLNQESLILHEMASGGDPGAVVEKMSMLLKRIKDFVQSRDPEMGTPVNTTELSGKDNMASPIVPDDFRCPISLDLMKDPVIVATGQTYERGCIERWLEAGHDTCPKTQQKLPNKSLTPNYVLRSLIAQWCEANGMEPPKRAAQHHNAPASCTAAEHSNVVELLQKLLSQNLEDQREAAGMLRQLAKRSPENRACIGDAGAIPILVSLLSTTDVSTQEHVVTALLNLSIYEENKARIITSGAVPGVVHVLKRGSMEARENSAATLFSLSLVDENKITIGASGAIPALVLLLSNGSQRGKRDAATALFNLCIYQGNKGKAVRAGLIPVLLGLVTETESGMMDEALAILAILSSHPEGKTAISSANAIPMLVGVIRNGSARNKENAAAVLVHLCNGEQQQQHLAEAQEQGIVTLLEELAKSGTDRGKRKAIQLLERMNRFLMQQSQAQAQAEAMAQAHAHAQSQAQVQALNEAQSQVEMQVEQLLLPTTSHLSDRRDG >ORUFI03G13010.1 pep chromosome:OR_W1943:3:9020880:9021786:-1 gene:ORUFI03G13010 transcript:ORUFI03G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQEGLVTLLEEKAHARAIRIGIRGIFMLCLAKENHPRAVAAGAAAAFARRVAEGGGLVNHAYGVSERRRQTIAMAGGGGWGYATASAGWNVVRITRTQMRFCRCRLHTREILQRHNADVAGRGGMDSWPADVLGVRRRMEKLVGWVYAICRSRRRRWRHIAGEPCKNHLLDVRAGAKSYSHQCFSIQIHRKQ >ORUFI03G13020.1 pep chromosome:OR_W1943:3:9034127:9035596:-1 gene:ORUFI03G13020 transcript:ORUFI03G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSVPAYLDPPNWNNQQGQPPRPANVGGGDAQHLPVGPTAAAAAPGEIGGLPTSSSSASAAAAAAQQARPNSMAERARLARAPQPEPALKCPRCDSTNTKFCYYNNYSLSQPRHFCKTCRRYWTRGGSLRNVPVGGGCRRNKRSGKSSSSAAAGASSSSSKPSSSAARQLPGGGASPMPSAAASTQPGGAAAGAIIPPSGLSSMSHHLPFLGAMHPPGPNLGLTFSAGFQPLGGMHHHVDTADQFPVASGGGATIGASLEQWRVQQQQQQQPQQHQFPFLGGALELPPPPPMYQLGLEATRAAGTGATAAAAFTLGQTSATATTSRQEGSMKLEDSKGLEMSLQRQYMAALRQGDGVWGNNNGGNGGSDGGGNGGGGSWTMNFPGFHSSSGGGGDDGGGVL >ORUFI03G13030.1 pep chromosome:OR_W1943:3:9045161:9049307:1 gene:ORUFI03G13030 transcript:ORUFI03G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDTSVQSDAKLWPFKVLPGPGDKPMIGVQYKGEEKQFSAEEISSMVLNKMKETAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKSSSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNEKVQDLLLLDVTPLSQGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKAEDEEHKKKVDAKNSLENYAYNMRNTIKDDKIASKLPEADKKKIEDAIDGAISWLDSNQLAEAEEFEDKMKELEGVCNPIIAKMYQGAGADMAGGMDEDAPAAAGGSSGPGPKIEEVD >ORUFI03G13040.1 pep chromosome:OR_W1943:3:9048629:9050636:-1 gene:ORUFI03G13040 transcript:ORUFI03G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKAKLISLSFADKCRNILCANWEAHLNTIKADIKGSKGEIYTSRVHYMVERGTTYLIVPEDDRHTINIVIDERGSLSVCSPIPGRLTTLLRSLGKLPPRIAMTGDVLFMKRSRSEGLLSLLDQGSSYNILKFEIGSCVYIDSLGSSHKVELDNFEPPKADLLLPFSARIIDGINRSDPRRRALIFFCFEYFNVTATDALLLSIDHHGFDVLAKVPEKAVLLDVPRQYVWREFRFSFKEAAKDIEDFCRMLVELEEEALQSMKSYSGL >ORUFI03G13050.1 pep chromosome:OR_W1943:3:9050720:9053565:1 gene:ORUFI03G13050 transcript:ORUFI03G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGALYINPKKFGGVTKPCMIEMVSFLNCLALNKQNDDKCVRQKDLLVACAQAQKGRPKNAAKTINYHLQRLARDKGI >ORUFI03G13050.2 pep chromosome:OR_W1943:3:9050740:9051963:1 gene:ORUFI03G13050 transcript:ORUFI03G13050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVASRRGGEHRSTADSNLASPAPEMEIPEKASTSPETTRASSRRRFPTNAAGCAAATATATATLSPGYLELALKGN >ORUFI03G13050.3 pep chromosome:OR_W1943:3:9051025:9053565:1 gene:ORUFI03G13050 transcript:ORUFI03G13050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGALYINPKKFGGVTKPCMIEMVSFLNCLALNKQNDDKCVRQKDLLVACAQAQKGRPKNAAKTINYHLQRLARDKGI >ORUFI03G13050.4 pep chromosome:OR_W1943:3:9051585:9053565:1 gene:ORUFI03G13050 transcript:ORUFI03G13050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGALYINPKKFGGVTKPCMIEMVSFLNCLALNKQNDDKCVRQKDLLVACAQAQKGRPKNAAKTINYHLQRLARDKGI >ORUFI03G13060.1 pep chromosome:OR_W1943:3:9053126:9057657:-1 gene:ORUFI03G13060 transcript:ORUFI03G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLPGDDDGPAVGIDLGTTYSCVAVWRRGRVEVIPNDQGNITTPSCVAFTDTWRLIGDAALNQAAMNPLNTIFDAKRLIGRRFSDVSVQGDIKLWPFKVTSGKDDRPMIVVQYRGEEKEFSAEEISAMVLFKMKETAEAYLDKTVEKAVITVPVYFNDSQRQATMDAGAVAGLDVLRIINEPTAAAVAYGLDKVVGSSDKKKRVLIFDLGGGTLDVSVLNIDPGVDIDIGIFEVKATAGDTHLGGEDFNGRMVKHLVREFLRKYKRPEIRGDQRALRRLRTACEKAKRMLSSTAQTTIEIDSLHGGVDFHATVTRAKFEELNMDLFLECMDTVKQCLRDAGTDKGTVDDVVLVGGSTRIPKVRSLLQDLFDGKALCRSINPDEAVAHGAAVKAALLNGDANEKDLRDVVLLDVTPLSLGIEVFGGGMSVLIPRNTTIPVSRQKMYTTHYDNETAVLINVNEGEGRRTKDNNPLGKFVLTGIPPAPRGVPQINVTFSIDANGIMNVSAEDKTTGRSNSITIKSDKGRLSKEEIERMVKKAEKYKAEDEEEMKKAEGYVAP >ORUFI03G13070.1 pep chromosome:OR_W1943:3:9058243:9062363:-1 gene:ORUFI03G13070 transcript:ORUFI03G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQPQPQGNRRLRWLRALLLALPLLSVPVLYAALGAARPLVPPRRGAAPHQLPPRLAYLVSGGAGDGPRIRRMLRALYHPWNFYLVGVAGEEERADLEAFVRGEEAPRRYGNVRVAAAGEWGPVSRRGPTELAATLHAAAVMLREFDGWSWFINLSASDYPLMPQDDILHIFSYLPRDLNFIEHTSNIGWREYQRARPIIVDPALQISNKTEVVTTKEKRSLPSAFKIFVGSSWVILSRSFLEFCLLGWDNLPRTLLMYFANFLASSEGYFHTVICNSKYYQNTTVNNDLRFMAWDNPPRTLPVNLTTEHFDAIASSGAPFAHSFANDNPVLDMIDTKLLRRAPERFTPGGWCLGSSVFCSEADEKFGKVREIAVETFGT >ORUFI03G13080.1 pep chromosome:OR_W1943:3:9067595:9070722:-1 gene:ORUFI03G13080 transcript:ORUFI03G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECIISGLLSVDGLKVLHMDRNDYYGGESTSLNLTKLWKRFKGNETAPEHLGVSKEYNVDMVPKFMMANGALVRVLIHTSVTKYLNFKAVDGSFVYNNGKIHKVPATDVEALKSNLMGLFEKRRARKFFIYVQDYEEDDPKSHEGLDLHKVTTREVISKYGLEDDTVDFIGHALALHRDDNYLDEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLAELPQAFARLSAVYGGTYMLNKAECKVEFDENGKAYGVTSEGETAKCKKVVCDPSYLPDKVKKVGRVARAICIMKHPIPDTKDSHSVQIILPKKQLKRKSDMYVFCCSYAHNVAPKGKFIAFVSTEAETDKPEIELKPGIDLLGPVEETFFDIYDRYEPTNTADEDNCFVTNSYDATTHFETTVKDVLALYSKITGKELDLSVDLNAASAAESEAA >ORUFI03G13090.1 pep chromosome:OR_W1943:3:9077469:9079088:1 gene:ORUFI03G13090 transcript:ORUFI03G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLLTALSMDTTTAHHPHQGPSTFLSMDTASHDDFDLFLPPPPGPFRRCLHAAAAAPPDINLPLDADPSPPPPALQSGALHDPNVDMLDVGLGGPQLYDSDSPAATTGVSPAPAAATTTVAVSHAKGSNSSAARKCVKRNDTIWGAWFFFTHYFKPVMSADKNGKAKAPTAGGNGNNATLDAFLVQHDMENMYMWVFKERPENALGKMQLRSFMNGHSRLGEPQFPFSAEKGFVRSHRMQRKHYRGLSNPQCLHGIEIVRAPNLAGVPEADLKRWTELTGRDANFSIDAEASDYESWRNLPSTDFELERPATTAATKTSSHGHHKKLLNGSGLNLSTQPSNHSSGDGLDIPNICNKRRKDSSPTAMEEDCSNSNSDKVQDMEVSHTFEPSWMNDFTGVMRHASGPVTAAKTIYEDSKGYLIIISLPFADIQRVKVSWKNTLTNGIVKVSCTSVGRMPFLKRHDRTFKLVDPTPEHCPPGEFIREIPLPTRIPEDATLEAYCDESGTGLEIIVPKYRVGPEEHEVHVSMRPPSSWCQS >ORUFI03G13100.1 pep chromosome:OR_W1943:3:9086133:9090197:-1 gene:ORUFI03G13100 transcript:ORUFI03G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQADMKMWPFKVVPGPADKPMIVVTYKGEEKKFSAEEISSMVLTKMKEIAEAFLSTTIKNAVITVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKAASTGEKNVLIFDLGGGTFDVSILTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEELNMDLFRRCMEPVEKCLRDAKMDKAQIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQRVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELTGIPPAPRGVPQINVTFDIDANGILNVSAEDKTTGKKNKITITNDKGRLSKEEIERMVQEAEKYKAEDEQVRHKVEARNALENYAYNMRNTVRDEKIASKLPADDKKKIEDAIEDAIKWLDGNQLAEADEFEDKMKELESLCNPIISKMYQGGAGGPAGMDEDAPNGGAGTGGGSGAGPKIEEVD >ORUFI03G13110.1 pep chromosome:OR_W1943:3:9091853:9093665:1 gene:ORUFI03G13110 transcript:ORUFI03G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSRLDFGVQTTTNEITVLFHISTDQWRTVHIIEHTRLKSPNRAGAWPLRADVAAHAHDDPVDVPDVPDLLAPALLLRRAHRHGAAVHGPPVRRVDVRVVEADLHGPPLAGRVRQLHRARQRVRLPLVQRRERDRRRPALQLRPPYNSLHVTRIRKYFQAAS >ORUFI03G13120.1 pep chromosome:OR_W1943:3:9093319:9094068:-1 gene:ORUFI03G13120 transcript:ORUFI03G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTMSNEQGKPEANVRGGRRSGHRVHARHLPGLPTLAAAPARTNGSSWAPGKTEHCTAQHKRRLQAVRDKPQQASVMASEGAVSPAFAYTVVYVKDVAKSAAFYSAAFGYTVRRLDQSHKWAELESGTTTIAFTPLHQRETDALTGAVQLPDSAGERGPVEICFDYADVDAAYRRAVDSGAVPVSPPEQKSWGQKVGYVRDIDGIIVRMGSHVRA >ORUFI03G13130.1 pep chromosome:OR_W1943:3:9096180:9097073:-1 gene:ORUFI03G13130 transcript:ORUFI03G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSKACRCLVLMSFALLPLSMAMDSIGSYCSGNSLAGNSKAVASINSVLTDLVAKGSTGGGFATSSAGKANNVIYGLAAMPRRTSPPATARPASPPPPTRSSPAATTNPTQEYGIYAKYDYCFMRFENENFIGQTDTDAGVILVNVQAMDNSKAFQKAVGKVMGKATSQASQAGSGGLGRTKDQYTPFINIYGLAQCTQDLSPLACAQCLSTAVSRFGQYCGAQQGCQINYSSCRVRYEIYPFYFPLATSARSATTDMTKYTKIVVHR >ORUFI03G13140.1 pep chromosome:OR_W1943:3:9100112:9100997:-1 gene:ORUFI03G13140 transcript:ORUFI03G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISSKACRCLLLVSFALLPLSMAMDPLGSYCSGNSLAGSSKAVASINSVLTDLVTKGSTGVGFATSTAGKGNNVIYGLVQCRGDVSTSDCQACLASAANQILTSCNYQSDSRIWYDYCFMRFENENFFGQADTDNGVIMENVQAMDNAKAFQKAVGKVMSKATAQVSQAGSGGLGRVKDQYTPFINIYGFAQCTRDLSPLTCAQCLSTAVSRFDQYCGAQQGCRILYSSCMVRYEIYPFYFPLATSSTATTDMTKYTKTIVHH >ORUFI03G13150.1 pep chromosome:OR_W1943:3:9104116:9104633:-1 gene:ORUFI03G13150 transcript:ORUFI03G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSERVKGTVKGFDATNGFGFITPDNDGEDLFIHQSSLKFDGYRSLNDSDVIELSVGSGNDSRNKAVDVTALGGDTHTGGFRPSCGHIPAAAKPLPHQAAATTLRVVASPTYAIVA >ORUFI03G13160.1 pep chromosome:OR_W1943:3:9104703:9104930:-1 gene:ORUFI03G13160 transcript:ORUFI03G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRREAGTTAGWRACAKREKGGDWRGEGRGTTASPSRHRLLWPRQDLQALGEPPQVPGTGRVEVAPGEGKRKGE >ORUFI03G13170.1 pep chromosome:OR_W1943:3:9112226:9116151:1 gene:ORUFI03G13170 transcript:ORUFI03G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKHEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTQPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEAYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAVRGEPLTVQKPGTQTRSFCYVADMVNGLIKLMNGDNTGPINLGNPGEFTMLELAENVKELINPEVTVTMTENTPDDPRQRKPDITKAKEVLGWEPKIVLRDGLVLMEDDFRERLQVPKKNQA >ORUFI03G13180.1 pep chromosome:OR_W1943:3:9116476:9117105:-1 gene:ORUFI03G13180 transcript:ORUFI03G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAFIKVSRRTKIGFSPDNTTRERGTSRQRSQKGKRYRKCYHCRADKAELGFTPVALPISICMLHCSATGHPPPSSVSARTLLNQYRSACSIALPQAILGQCEDIAEPMLHAILRQREDIAEPTPLVSINARTSLNQCPSPVSCRRPLRHTTFTGLPDATTSSHVVGWATSLLSPALTSQCYRLDCA >ORUFI03G13190.1 pep chromosome:OR_W1943:3:9120669:9120914:-1 gene:ORUFI03G13190 transcript:ORUFI03G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVVKWRMAVMREGEGEEGRGWALNAGRAVSPRVRYTPPTGPAWWWGPRVGDPRAVVPESTAHRPAASPPPDEDACVAG >ORUFI03G13200.1 pep chromosome:OR_W1943:3:9120876:9126563:1 gene:ORUFI03G13200 transcript:ORUFI03G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYEPKNILITGAAGFIASHVANRLVRNYPHYKIVVLDKLDYCSSLSNLNPSRPSPNFKFVKGDIASADLVNYLLTTESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTVKERRVIDVAKDICKLFGLDTEKVIRFVENRPFNDQRYFLDDQKLKKLGWAERTLWEEGLKKTIEWYTNNPDYWGDVAGALLPHPRMLMTPGVERHNWTDEIKSLSTSPDEAKESSTAVPAATAKSTSSAPQKASYKFLIYGRTGWIGGLLGKICDKQGIPYEYGKGRLEERSQLLQDIRNVKPTHVFNAAGVTGRPNVDWCETHKQDTIRTNVVGTLNLADVCREQGLLMINYATGCIFEYDAKHPEGSGIGFKEEDKPNFTGSYYSKTKAMVEELLQEYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPISIEMAKRDCRGIWNFTNPGVVSHNEILEMYKKYLNPDFKWTNFTLEEQAKVIVAPRSNNEMDASKLKSEFPELLSIKDSLVKYVFEPNRKVPAN >ORUFI03G13210.1 pep chromosome:OR_W1943:3:9126288:9128297:-1 gene:ORUFI03G13210 transcript:ORUFI03G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLDMTLDDIIKNNKKANPSSGRGRRGSAAGGGGGGGGGVGGGGGGGVGPTRRPFKRSGNRAGPYQPPKAPESAWQHDMYSDVAAGGGGGSGGGGRVSAIETGTKLYISNLDFGVSTEDIKELFSELGDLKKYVIHYDRSGRSKGTAEVVFARRGDAVAAVKKYNNVQLDGKPMKIEILGTNTPTAAAALPANNGGYVRNVAKSAPRGGPAGLPQGRSRPRGGGRRRGGGGGSGGPGGSGGRRGKERSQPKSAEELDADLEKYHADAMQTN >ORUFI03G13220.1 pep chromosome:OR_W1943:3:9130987:9133738:-1 gene:ORUFI03G13220 transcript:ORUFI03G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAARRLRELQGQAGNKTCVDCAQRNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWTEAQLRKMEAGGNDRLNAFLAARGVPKETPHVAKYNSNAAAAYRDRIVAVAEGRPWTDPPVVKETPGSGAPAPTRKPPLPAGGGGGGGGGGGGGWDDWDDDFRPDMRRNQSVGSFGESGAESGRQPPRSKSTQDMYTRQQLEASAANKDSFFARRMAENESKPEGIPPSQGGKYVGFGSSPAPSANRNGAAAQGDVMQVVSQGIGRLSLVAASAAQSAASVVQVGTKEFQSKMREGGYDQKVNETVNVVANKTAEIGSRTWGIMKGVMALASQKVEEYAKEGGNGWGDDWQRREQGSEPYHRFERETNGNGWNSSSHDGSSKNYNSNSWDDWDEPVKKDEPAKERQSSDSWAGWDDGKDDNFDSYNHSTPSKGSNQNGTTGGSYWTEGGFR >ORUFI03G13230.1 pep chromosome:OR_W1943:3:9136013:9140744:1 gene:ORUFI03G13230 transcript:ORUFI03G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEMEVMMVAMAAEAPVPVEVEAVPAAAGGLNATVPALYVGDLHESVREEHLLEVFGKIGTLTSVRVCRDNATSNSLRYGYVNYLSQADAAIALEKLNHSLILDKPIRVMWSNRDPDARRSGVGNVFVKNLNDLVDNVSLQELFCKFGDILSCKVANNEDGTSRGYGFVQFALQESADASIQNLNNSHFCGRQLHVATFIKKSERSTNNDDKYTNLYMKNLDDDITEELIKLKFSQYGLVISVKIMKRDDGTSKGFGFVSFQNPESAKRAKESMNGMLLGSKTLYVARAQKKAERKQYLQRLHEEKRNEIITKSNGSNVYIKNINDEVGDDALRERFNEFGNITSAKIMRDEKGISKGFGFVCYNTPEEAKCAMRNTPRQYRNNRGRMNGNMMHFHHTVNYVPHAQPAKEFMSMSRQRFSHAKYIPNDVMANGLAIHHGDSISSMNDAFNNLLATAPPEEQKNMLGNRLYPLVERHHPDLASKITGMLLELGNSEVVMLLYSSNMLSAKIEECVKLLQEVKPKPEDQEALHPGFLLDSAGVNAN >ORUFI03G13240.1 pep chromosome:OR_W1943:3:9151763:9152149:1 gene:ORUFI03G13240 transcript:ORUFI03G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGCGAGLGIWETSKLVIGCGDAERGGCEVRSPVDGDAGVMPRRVSYPTCCFVLSRSGHPGVHGGEPRAAERVVQYHPWRPRGRVPLWLPCSRGLRRRWGGAAAQQRLGAGVPGMGARDGEAMRCP >ORUFI03G13250.1 pep chromosome:OR_W1943:3:9153100:9162109:1 gene:ORUFI03G13250 transcript:ORUFI03G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSPPPQQTAATTARGFEVTCVKDLLPLLQGVPVTYRFEKHNAKLEGTVAAGGYACACPAYAGCDYRGKVLSALQFEKHAGVTSKNQNGHIFLRNGRSLYELFHKLREVPAEKFPEAFRMAAGVPMTVLAAAAAAAAEEAPRERGLGPGPVAAEQPPASATPRPRPTMEMLTEEEKAGLSLLGLRASGSRTEINSMDGIEGLATEAIGNAPSSDHAMLDAEEMGNAAAQRPRNSGLSTTTTVKVPVTARNNHAMPDANEVRNADGGRSATLAVKLEVTSGSDHAMSDAKELKNADYEQPRDSVLATTSAVKVRIGAANDHAMPDAEQTRNPVLEQPWDSSMLITAPVKVRVTETKYRPESILKDVRGLLSTGLLEGFRVTYKKNEVERIGRINGQGYSCGCSECGYRNIMNACEFEQHSGESSNNQNNHIFLDSGISLYMVIQGLKYTKLDMLGDVIGKVISLPPNMIQYEKWKASFQLEKDYFDDAPSDPCSTQSSQESNIALTDSLKDSTSNASSILNWSSFRRRSDRQFKRGGTETSTPIFSRSPEKEISDLSTSTSMKSEETPSENTAGLLTTDVTVIQDPPPDHNVDSNSKDLGQPKVRDNTLHPMLFKEGGLPDYTLLTYKLKNGEYTPSQFEKHVGMGRRRQPYRSIYTSDGLTLHELALKLQDGLSSNVNIDELPTLTSGSGKEYSTTSRPIIVPLKRTLQERVLTVESCYMCRKPHTVLGVISVDMIVFCNQCERALHVKCYNNGLQKPKAPLKVLGEYTQFNFMCCEKCQLLRASLHEGLKKREDIAFLRRIRYNICWQLLNGTNMRSDVQHQVIEIFKDAFAETAPQDIDDIRNMVNSKDTTGEKDFRGIYCAVLTTSTFVVSAAILKVHTEEVAELVLIATHNECRKKGYFSLLLSLIEAHLKAWNVRLLTAPVDPEMAPIWSEKLGYTILSDEQKHSMLMAHPLVMFANLSLVQKSLA >ORUFI03G13250.2 pep chromosome:OR_W1943:3:9153100:9162109:1 gene:ORUFI03G13250 transcript:ORUFI03G13250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSPPPQQTAATTARGFEVTCVKDLLPLLQGVPVTYRFEKHNAKLEGTVAAGGYACACPAYAGCDYRGKVRSRFFRLPSRFFCAEREGVRIFFFGSSPSSSSSPAAWGAAAAQVLSALQFEKHAGVTSKNQNGHIFLRNGRSLYELFHKLREVPAEKFPEAFRMAAGVPMTVLAAAAAAAAEEAPRERGLGPGPVAAEQPPASATPRPRPTMEMLTEEEKAGLSLLGLRASGSRTEINSMDGIEGLATEAIGNAPSSDHAMLDAEEMGNAAAQRPRNSGLSTTTTVKVPVTARNNHAMPDANEVRNADGGRSATLAVKLEVTSGSDHAMSDAKELKNADYEQPRDSVLATTSAVKVRIGAANDHAMPDAEQTRNPVLEQPWDSSMLITAPVKVRVTETKYRPESILKDVRGLLSTGLLEGFRVTYKKNEVERIGRINGQGYSCGCSECGYRNIMNACEFEQHSGESSNNQNNHIFLDSGISLYMVIQGLKYTKLDMLGDVIGKVISLPPNMIQYEKWKASFQLEKDYFDDAPSDPCSTQSSQESNIALTDSLKDSTSNASSILNWSSFRRRSDRQFKRGGTETSTPIFSRSPEKEISDLSTSTSMKSEETPSENTAGLLTTDVTVIQDPPPDHNVDSNSKDLGQPKVRDNTLHPMLFKEGGLPDYTLLTYKLKNGEYTPSQFEKHVGMGRRRQPYRSIYTSDGLTLHELALKLQDGLSSNVNIDELPTLTSGSGKEYSTTSRPIIVPLKRTLQERVLTVESCYMCRKPHTVLGVISVDMIVFCNQCERALHVKCYNNGLQKPKAPLKVLGEYTQFNFMCCEKCQLLRASLHEGLKKREDIAFLRRIRYNICWQLLNGTNMRSDVQHQVIEIFKDAFAETAPQDIDDIRNMVNSKDTTGEKDFRGIYCAVLTTSTFVVSAAILKVHTEEVAELVLIATHNECRKKGYFSLLLSLIEAHLKAWNVRLLTAPVDPEMAPIWSEKLGYTILSDEQKHSMLMAHPLVMFANLSLVQKSLA >ORUFI03G13250.3 pep chromosome:OR_W1943:3:9153100:9162109:1 gene:ORUFI03G13250 transcript:ORUFI03G13250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSPPPQQTAATTARGFEVTCVKDLLPLLQGVPVTYRFEKHNAKLEGTVAAGGYACACPAYAGCDYRGKVLSALQFEKHAGVTSKNQNGHIFLRNGRSLYELFHKLREVPAEKFPEAFRMAAGVPMTVLAAAAAAAAEEAPRERGLGPGPVAAEQPPASATPRPRPTMEMLTEEEKAGLSLLGLRASGSRTEINSMDGIEGLATEAIGNAPSSDHAMLDAEEMGNAAAQRPRNSGLSTTTTVKVPVTARNNHAMPDANEVRNADGGRSATLAVKLEVTSGSDHAMSDAKELKNADYEQPRDSVLATTSAVKVRIGAANDHAMPDAEQTRNPVLEQPWDSSMLITAPVKVRVTETKYRPESILKDVRGLLSTGLLEGFRVTYKKNEVERIGRINGQGYSCGCSECGYRNIMNACEFEQHSGESSNNQNNHIFLDSGISLYMVIQGLKYTKLDMLGDVIGKVISLPPNMIQYEKWKASFQLEKDYFDDAPSDPCSTQSSQESNIALTDSLKDSTSNASSILNWSSFRRRSDRQFKRGGTETSTPIFSRSPEKEISDLSTSTSMKSEETPSENTAGLLTTDGIKHNSAGPVALRSTSSECDPINMTFPLSISVTVIQDPPPDHNVDSNSKDLGQPKVRDNTLHPMLFKEGGLPDYTLLTYKLKNGEYTPSQFEKHVGMGRRRQPYRSIYTSDGLTLHELALKLQDGLSSNVNIDELPTLTSGSGKEYSTTSRPIIVPLKRTLQERVLTVESCYMCRKPHTVLGVISVDMIVFCNQCERALHVKCYNNGLQKPKAPLKVLGEYTQFNFMCCEKCQLLRASLHEGLKKREDIAFLRRIRYNICWQLLNGTNMRSDVQHQVIEIFKDAFAETAPQDIDDIRNMVNSKDTTGEKDFRGIYCAVLTTSTFVVSAAILKVHTEEVAELVLIATHNECRKKGYFSLLLSLIEAHLKAWNVRLLTAPVDPEMAPIWSEKLGYTILSDEQKHSMLMAHPLVMFANLSLVQKSLA >ORUFI03G13250.4 pep chromosome:OR_W1943:3:9153100:9162108:1 gene:ORUFI03G13250 transcript:ORUFI03G13250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSPPPQQTAATTARGFEVTCVKDLLPLLQGVPVTYRFEKHNAKLEGTVAAGGYACACPAYAGCDYRGKVRSRFFRLPSRFFCAEREGVRIFFFGSSPSSSSSPAAWGAAAAQVLSALQFEKHAGVTSKNQNGHIFLRNGRSLYELFHKLREVPAEKFPEAFRMAAGVPMTVLAAAAAAAAEEAPRERGLGPGPVAAEQPPASATPRPRPTMEMLTEEEKAGLSLLGLRASGSRTEINSMDGIEGLATEAIGNAPSSDHAMLDAEEMGNAAAQRPRNSGLSTTTTVKVPVTARNNHAMPDANEVRNADGGRSATLAVKLEVTSGSDHAMSDAKELKNADYEQPRDSVLATTSAVKVRIGAANDHAMPDAEQTRNPVLEQPWDSSMLITAPVKVRVTETKYRPESILKDVRGLLSTGLLEGFRVTYKKNEVERIGRINGQGYSCGCSECGYRNIMNACEFEQHSGESSNNQNNHIFLDSGISLYMVIQGLKYTKLDMLGDVIGKVISLPPNMIQYEKWKASFQLEKDYFDDAPSDPCSTQSSQESNIALTDSLKDSTSNASSILNWSSFRRRSDRQFKRGGTETSTPIFSRSPEKEISDLSTSTSMKSEETPSENTAGLLTTDGIKHNSAGPVALRSTSSECDPINMTFPLSISVTVIQDPPPDHNVDSNSKDLGQPKVRDNTLHPMLFKEGGLPDYTLLTYKLKNGEYTPSQFEKHVGMGRRRQPYRSIYTSDGLTLHELALKLQDGLSSNVNIDELPTLTSGSGKEYSTTSRPIIVPLKRTLQERVLTVESCYMCRKPHTVLGVISVDMIVFCNQCERALHVKCYNNGLQKPKAPLKVLGEYTQFNFMCCEKCQLLRASLHEGLKKREDIAFLRRIRYNICWQLLNGTNMRSDVQHQVIEIFKDAFAETAPQDIDDIRNMVNSKDTTGEKDFRGIYCAVLTTSTFVVSAAILKVHTEEVAELVLIATHNECRKKGYFSLLLSLIEAHLKAWNVRLLTAPVDPEMAPIWSEKLGYTILSDEQKHSMLMAHPLVMFANLSLVQKSLA >ORUFI03G13260.1 pep chromosome:OR_W1943:3:9162641:9163742:-1 gene:ORUFI03G13260 transcript:ORUFI03G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSLLHRLLRGSTPVSSSSAAASSILRATFCSSSSSAPLPTESPLSSVFGDGAEVSNVPPLTAPKLFISGLSRLTTDEKLKNAFAPFGQLLEAKVITDRISGRSKGFGFVRYATLEEAENARQEMNAKFLDGWVIFVDPAKPRQQKPAPRPDTDSSHTGFTTNKTVGWCG >ORUFI03G13270.1 pep chromosome:OR_W1943:3:9168486:9171955:1 gene:ORUFI03G13270 transcript:ORUFI03G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAGEEKSAEKAPAGKKPKAEKRLPASKASSKEGGAGDKKGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSN >ORUFI03G13280.1 pep chromosome:OR_W1943:3:9168919:9169554:-1 gene:ORUFI03G13280 transcript:ORUFI03G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMMAATATSCSPRRAPVVASSSAQPPRRQQQQQPRRGLKQLPGLVATAAVAVAAAPLPALAEQMEKAALFDFNLTLPLIATEFLLLMVALDKLYFTPLGKFMDERDAKIRAELGGVKDASEEVRQLEEQAAAVLKAARAEIAAALNKMKKETTQELEAKLDEGRRRVEAELVEALANLEAQKEEAVKALDAQIASLSDEIVKKVLPSA >ORUFI03G13290.1 pep chromosome:OR_W1943:3:9182289:9186111:-1 gene:ORUFI03G13290 transcript:ORUFI03G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLLLHPRTPLPHHHRSSFRTSSPRPSRMVCAAAEGFISAAPILLPDGPWKQVEGGVTAAKGFKAAGIYGGLRAKGEKPDLALVACDVDATVAGAFTTNVVAAAPVLYCKRVLNSSKTARAVLINAGQANAATGDAGYQDTVDSADAVAKLLNVSTNDILIQSTGVIGQRIKKEALVNSLHRLVGSLSSSIEGANSAAVAITTTDLVSKSIAVQTEIGGVPIKIGGMAKGSGMIHPNMATMLGVLTTDAQVSSDVWREMVQTSVSRSFNQITVDGDTSTNDCVIALASGLSGLSSILTHDSTEAQQFQACLDAVMQGLAKSIAWDGEGATCLIEVTVAGANNEAEAAKIARSVASSSLVKAAVFGRDPNWGRIACSVGYSGIQFDADQLDISLGAIPLMKNGQPLPFDRSAASKYLKDAGDIHGTVNIDVSVGRGGGSGKAWGCDLSYKYVEINAEYTT >ORUFI03G13300.1 pep chromosome:OR_W1943:3:9188954:9193026:-1 gene:ORUFI03G13300 transcript:ORUFI03G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDELERKRYRTLILMADTFLANDLRQFPSAKRKASLYTSTLANIFILMLKGSTGIQLLSLFSGYMKDDVSSAVETQLAESCRLESGVETCRLLVSSVAVKCEASNSPSTTVGFDRMIAADYDLFHHMSFSPSLQNLQSPTFFTTRSSESYLGESSIYGGGARPALAQFSYSQPIAATSAAHLVRWTAAGEPMTGDGGFRSSKRLKTATTATTQPPRHGVKCHAKPRNQTTKATCKKRSQKLGDRITALQQLVSPYGKTDTASVLHEAAACIRQLHQQIQILTAPYPGTSSSSASSQQQVVFSVLESCSHVMSFFTAIATVLWLQDAGGGGGTATELRRRGLCVAALSPAVVSLAAEGGRRRTDVEDQKRIWFSNQ >ORUFI03G13310.1 pep chromosome:OR_W1943:3:9200254:9200826:-1 gene:ORUFI03G13310 transcript:ORUFI03G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGKRPRQQAEEAAFSLFDSSDMARIMLLFSGAHGGGGGAAAASPPERMFECKTCNRQFPSFQALGGHRASHKKPRLADGDPAAEAPAKPKVHGCSICGLEFAVGQALGGHMRRHRAVMADGLGLGLSLGLGIGVVGQSDDDGGKKKAAAAAAAELVFDLNAPAIEEEPDRARPAGLAVEFPVVVDFPC >ORUFI03G13320.1 pep chromosome:OR_W1943:3:9220713:9227155:1 gene:ORUFI03G13320 transcript:ORUFI03G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHPESPEAFHSYDATCPISAGACTTGAHSLSLIESPEFKFLRHEEKAANSISISPNPPPNRRPNHSPTAAKKPRDLPAPAAATTCGMAQTPNPSRRSLVGPPPHPFLTPRPERRQLELRWADGGSQSSARRSGVGLTGGGGGGGGGSEMKDCEANVQVVLRCRPLSEEEQRANVQSAISCDDLKREVTVLHSLFKQADKTFTFDKVFGPKAQQRSIYDRAVKPIVKDVLEGYNCTVFAFGQTGTGKTYTMEGEMRQKASELSATAGVIPRAVRDIFDILEERKADYSMKVTFLELYNEEITDLLALEDQSRFPEDRQKRAISLMEDRKGGAVIRGLEEVVVYSASEIYNLLEHGSARRRTADTALNKQSSRSHSVFSIYIHVKETTVGNQELLKCGRLNLVDLAGSENIARSGAREGRAREAGEMNKSLLTLGRVITALVEHSVHVPYRDSKLTRLLRESLGGKAKTCIIATVSPSIHCLEETVVTLDYAYRAKSIKNKPEANQKVCKSVMLKDLYQEMERMKQGSQVYITKLSDVKAAREKNGIYIPQERFALEEAEKKTMRDKIEYLETQNKELKMNIESCKKEYLDLEEAHSRANISLKEKEFIISNLLHAEQSIVERAKDIRGALENASGDISALVDKLGRQSNTEAENKGLLFDFRSQLDHGLDLLHDTVVGCVCEQRQFLESMNEQNKIYFSAKSESTSQLERRIAKAKDIYASGVQCMNQLANTLHQRSIAHSEQMGLNILSHATRAANFLAVMVSEAEQVSNDVFKSISELKELLAFSADQQEVMFKRDLVSAQVMSKTSIDFFEDIRGHASRLIEHMEQSQAESSSQLLKFEEDFKELSVREEQAALDKIAGILAGLTAKKSTMVLDCVGQLNGKCREEQKHLKLQISNLQKVSDSGGKEAAAYAAKVESQFSEDKETRKENESILQKMLIVSTQNDAKFAAITSDMLTAVKDSHLRDSESRMRIETVFATSSDHLEMLDTKHSQGTESIRSMTAKCLERDYKANSPVRRRPGELMTNAYSLESIEQLRTPVPDLVVKFRSENNLDEVDKGKRYVDQGTRTPRSPLMPVNHYNK >ORUFI03G13320.2 pep chromosome:OR_W1943:3:9220713:9227155:1 gene:ORUFI03G13320 transcript:ORUFI03G13320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHPESPEAFHSYDATCPISAGACTTGAHSLSLIESPEFKFLRHEEKAANSISISPNPPPNRRPNHSPTAAKKPRDLPAPAAATTCGMAQTPNPSRRSLVGPPPHPFLTPRPERRQLELRWADGGSQSSARRSGVGLTGGGGGGGGGSEMKDCEANVQVVLRCRPLSEEEQRANVQSAISCDDLKREVTVLHSLFKQADKTFTFDKVFGPKAQQRSIYDRAVKPIVKDVLEGYNCTVFAFGQTGTGKTYTMEGEMRQKASELSATAGVIPRAVRDIFDILEERKADYSMKVTFLELYNEEITDLLALEDQSRFPEDRQKRAISLMEDRKGGAVIRGLEEVVVYSASEIYNLLEHGSARRRTADTALNKQSSRSHSVFSIYIHVKETTVGNQELLKCGRLNLVDLAGSENIARSGAREGRAREAGEMNKSLLTLGRVITALVEHSVHVPYRDSKLTRLLRESLGGKAKTCIIATVSPSIHCLEETVVTLDYAYRAKSIKNKPEANQKVCKSVMLKDLYQEMERMKQDVKAAREKNGIYIPQERFALEEAEKKTMRDKIEYLETQNKELKMNIESCKKEYLDLEEAHSRANISLKEKEFIISNLLHAEQSIVERAKDIRGALENASGDISALVDKLGRQSNTEAENKGLLFDFRSQLDHGLDLLHDTVVGCVCEQRQFLESMNEQNKIYFSAKSESTSQLERRIAKAKDIYASGVQCMNQLANTLHQRSIAHSEQMGLNILSHATRAANFLAVMVSEAEQVSNDVFKSISELKELLAFSADQQEVMFKRDLVSAQVMSKTSIDFFEDIRGHASRLIEHMEQSQAESSSQLLKFEEDFKELSVREEQAALDKIAGILAGLTAKKSTMVLDCVGQLNGKCREEQKHLKLQISNLQKVSDSGGKEAAAYAAKVESQFSEDKETRKENESILQKMLIVSTQNDAKFAAITSDMLTAVKDSHLRDSESRMRIETVFATSSDHLEMLDTKHSQGTESIRSMTAKCLERDYKANSPVRRRPGELMTNAYSLESIEQLRTPVPDLVVKFRSENNLDEVDKGKRYVDQGTRTPRSPLMPVNHYNK >ORUFI03G13330.1 pep chromosome:OR_W1943:3:9229529:9237570:1 gene:ORUFI03G13330 transcript:ORUFI03G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHSKNNNDLAFFTYEEKRKLGYGTQRERLGKDSIKPFDACCLCLKPLIHPLCCPKGHTFCKECILECLLAQKKDIKRKLAAHEAQKKLEKEEEDEKRMLQKSKELDAFDQQNHGAVPQYHDRSGSEDKNGFHGANSVKVTSFEEEALRNMKAFWLPSATPEATAKVEAPSTDTICPEGQEKLKLKSLFPISFTEENTDQKNKKSVEKSYMCPSCKSTLTNTMSLVTISTCGHVFCKKCSDKFLVTDKVCLVCNKPCKERNFVPLEKGGTGFAAHDERLEARDFKHLGSGSGLGLVKPAPKNY >ORUFI03G13330.2 pep chromosome:OR_W1943:3:9229530:9237570:1 gene:ORUFI03G13330 transcript:ORUFI03G13330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHSKNNNDLAFFTYEEKRKLGYGTQRERLGKDSIKPFDACCLCLKPLIHPLCCPKGHTFCKECILECLLAQKKDIKRKLAAHEAQKKLEKEEEDEKRMLQKSKELDAFDQQNHGAVPQYHDRSGSEDKNGFHGANSVKVTSFEEEALRNMKAFWLPSATPEATAKVEAPSTDTICPEGQEKLKLKSLFPISFTEENTDQKNKKSVEKSYMCPSCKSTLTNTMSLVTISTCGHVFCKKCSDKFLVTDKVCLVCNKPCKERNFVPLEKGGTGFAAHDERLEARDFKHLGSGSGLGLVKPAPKNY >ORUFI03G13330.3 pep chromosome:OR_W1943:3:9229530:9237570:1 gene:ORUFI03G13330 transcript:ORUFI03G13330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQNLICSVSKQLVAPNCAVTSKLNASPLSVVNASSSEASSDEKNVTRRRLALLGAGALATEVPKNYKSYVDSKDGYSYLYPADWRDFDFLGHDSAFKDRNVALQCVRVGFIPTTKTDIRDLGPMDEAIFNLVNNVYAAPNQIPTVYDMQERTVDGKNYWTFEYDLEAPGYGVSAFATVAIGNGRYYTLIVTANERRWSRLRNRLKVVADSFKLSDLTA >ORUFI03G13340.1 pep chromosome:OR_W1943:3:9233700:9242410:-1 gene:ORUFI03G13340 transcript:ORUFI03G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGLFGWASPHVQPLTPVSEVSEPPESPSPYGDGPAGDAGVGAREGEGLGPGEEEVEDDEVEPPPSAVSFWRLFEFADGIDWALMVAGALAAAAHGAALVIYLHYFGRSLNLLDSERVESALHGRSDELLHRFKEHALYIVYIAGGVFAAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSAISEKVGNYIHNMATFVGGLVVGLINCWQITLLTLATGPLIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAIAYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGIGLGFTYGLAICSCALQLWVGRHLIARGKADGGQVVVALFSVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSSTNQEGSTLPLVQGNIEFRNVYFSYLSRPEIPILSGFFLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKVEWLRSQIGLVTQEPALLSLSIRENIAYGRSATFDQIEEAAKTAHAHGFISSLEKGYETQVGRAGMALSDEQKIKISIARAVLSNPSILLLDEVTGGLDFEAEKAVQEALDVLMLGRSTIIIARRLSLIKNADYIAVMEEGHLVEMGTHDELLNLDGLYAELLRCEEATKLPKRMPTKNGKERKSLQIEDLSASQSFQESSSPKMAKSPSLQRTHGMLQFWRSDTNKNSHDSPKDQSPPSEQTIDNGIPLVATERVPSIKRQDSFEMKLPDLPKVDIHPIQRQSSKNSEPDSPISPLLTSDPKNERSHSQTFSRPQSERDDTSSEQSEPEELQHHKPPSFWRLAALSIAEWPYALLGTIGAAIFGSFNPLLAYTIALIVSAYYRIDVSDMHHEVNRWCLFIVGMGVITVLVNWLQHFYFGIMGEKMTERIRRMMFSAILRNEVGWFDKEENSADTLSMRLANDATFVRAAFSNRLSIFIQDTAAVSVALLIGMLLGWRVALVALATLPVLVISAIAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLHLCKILKQSLLQGLAIGFGFGFSQFLLFACNALLLWYTAISVDKQRLTIATGLKEYILFSFASFALVEPFGLAPYILKRRKSLISVFQIIDREPKIDPDDNTGLKPPNVYGSIEFKNVDFSYPARPEILVLSNFNLKVSGGQTVAVVGVSGSGKSTIISLIERFYDPVTGQVLLDGRDIKSFNLRWLRSHMGLIQQEPVIFSTTIRENIIYARHNATEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTAGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLVMGNKTTILIAHRAAMMKHVDNIVVLNGGRIVEQGTHDSLMDLNGLYVRLMQPHFGKGLRQHRLIL >ORUFI03G13340.2 pep chromosome:OR_W1943:3:9234291:9242410:-1 gene:ORUFI03G13340 transcript:ORUFI03G13340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGLFGWASPHVQPLTPVSEVSEPPESPSPYGDGPAGDAGVGAREGEGLGPGEEEVEDDEVEPPPSAVSFWRLFEFADGIDWALMVAGALAAAAHGAALVIYLHYFGRSLNLLDSERVESALHGRSDELLHRFKEHALYIVYIAGGVFAAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSAISEKVGNYIHNMATFVGGLVVGLINCWQITLLTLATGPLIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAIAYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGIGLGFTYGLAICSCALQLWVGRHLIARGKADGGQVVVALFSVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSSTNQEGSTLPLVQGNIEFRNVYFSYLSRPEIPILSGFFLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKVEWLRSQIGLVTQEPALLSLSIRENIAYGRSATFDQIEEAAKTAHAHGFISSLEKGYETQVGRAGMALSDEQKIKISIARAVLSNPSILLLDEVTGGLDFEAEKAVQEALDVLMLGRSTIIIARRLSLIKNADYIAVMEEGHLVEMGTHDELLNLDGLYAELLRCEEATKLPKRMPTKNGKERKSLQIEDLSASQSFQESSSPKMAKSPSLQRTHGMLQFWRSDTNKNSHDSPKDQSPPSEQTIDNGIPLVATERVPSIKRQDSFEMKLPDLPKVDIHPIQRQSSKNSEPDSPISPLLTSDPKNERSHSQTFSRPQSERDDTSSEQSEPEELQHHKPPSFWRLAALSIAEWPYALLGTIGAAIFGSFNPLLAYTIALIVSAYYRIDVSDMHHEVNRWCLFIVGMGVITVLVNWLQHFYFGIMGEKMTERIRRMMFSAILRNEVGWFDKEENSADTLSMRLANDATFVRAAFSNRLSIFIQDTAAVSVALLIGMLLGWRVALVALATLPVLVISAIAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLHLCKILKQSLLQGLAIGFGFGFSQFLLFACNALLLWYTAISVDKQRLTIATGLKEYILFSFASFALVEPFGLAPYILKRRKSLISVFQIIDREPKIDPDDNTGLKPPNVYGSIEFKNVDFSYPARPEILVLSNFNLKVSGGQTVAVVGVSGSGKSTIISLIERFYDPVTGQVLLDGRDIKSFNLRWLRSHMGLIQQEPVIFSTTIRENIIYARHNATEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTAGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLVMGNKTTILIAHRAAMMKHVDNIVVLNGGRIVEQGTHDSLMDLNGLYVRLMQPHFGKGLRQHRLM >ORUFI03G13350.1 pep chromosome:OR_W1943:3:9250739:9252674:1 gene:ORUFI03G13350 transcript:ORUFI03G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWPLPTPGPTSPLSRGDICHVHIAFRSRLPLSSFLPSPRMAASAFPSPRQSPPLQSHARAHVNPSHRFSSPPPHTASMRRRLTGEAVAALRLP >ORUFI03G13360.1 pep chromosome:OR_W1943:3:9266824:9273593:1 gene:ORUFI03G13360 transcript:ORUFI03G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGLVAAASSSRGLQTTPGMAGIGRGRSRRRGEAGEGWMERNAAAGSTSPAAVDHRGTVLRKQTSNPGMLSDSMLAAGNWRSKSKKASGTPMKTLIDEEFSKDVNARHTSPGVVGRLMGLDSLPSFGANNQHRYAQSHAEKSSPCCAHERRSFSEYIPHRRSTDEMPEVKDVFEVMEATRMKIHRSPRSKNENVTSTFGKTGSPDLDQMRQKLMDAKRLSTDESLQISEELSETLDVLASNKDLLLQFLQKLDPIVKRDLHDHDSPSSTANCITILKPSRRNQFTDTDNIYSQDKGAESYFYKQKEVEHSQSRPYTKLPNQSPKEDSGSLRQKLSRSSHQEISDKRVCSTRIVVLKPSLDKAQDIEGAFALRNELSRFDFRRHKPCHGDAMWSPCTEEYIGPLRDSETFDDVAKGSKEIARGVMKQMRSARGVGTRKHIFKPETSTFVSDERSQPLSSRSNVKSSEVFHRSSELHDGYASSSFTSSPSYSTETKVSREAKKHLSNRWKATHRYQHQADKNNGFSMLGDMLALSDQEASKVATQKISNRKYPKGESQKDRMTSTCNSPLGISSNDGWRDVATGSLPRSKSLPTPFNRGVQKSNNRKRTGRHNEFSMLKDVLKVGPYDSEHACNSRNRKSLFQDATFHSDGADRVSSDNEERAIIEREIHVNSEEPINGIALANSSKGTLLHPSNPDNELDTVYYLDTSPVVPGQKKELCSPDRQNQQIHQQSPIESDDHLLVPRLNISMTQAEGIEQHQCDDNPVCNFEEKSVSAMRIDDHQSDGNQVPWMIPQTGSESPVSSDKDDQQSPVSVLESSLDAEDIYSGLRMQLRLLKMEATDSADDTELISSDDELTTESQPLPDKEISPTFRDEEERDFSYVLDMLIVLGINTANRDQLLDMCYLSECPAGSDVFDVLENKYNSLILWPSPERKLLFDLTNDVIADIITSVMQHSSKGLSWSCSSRLDQEGFVEVVWQRVVELRQEMEYAHEGLFMDLGWVGSEDGIDLVASEVGKMVHEDLLQETISEFLGVTKSAMICGWNEP >ORUFI03G13370.1 pep chromosome:OR_W1943:3:9274463:9275419:1 gene:ORUFI03G13370 transcript:ORUFI03G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKAAVWLVVIALAAAANGAFAGRVLEEQPAAAPAPAEAPVAPVDPLPAPTDPPADTVVAPAAVPAGGAAATGNAGVGAAGGGAGAGDHHQLTFFMHDILGGSQPSARIVTGVVASAAANGQLPFARPNDNIFPIQGAMPLPQGASNLVNGNNVPYVAGLGGTSSAAIVQGNGNGNGGNKNIPFVNAGDLPSGATLQNLLFGTTTVIDDELTEGHELGAAVVGRAQGFYVASSQDGTSKTLVLTAMFDGGGVEAHGDTLSFFGVHRMAAPESHVAVIGGTGKYENAKGFAVIQTLHPGDEHTTDGVETLLQFSIHLI >ORUFI03G13380.1 pep chromosome:OR_W1943:3:9275923:9278662:-1 gene:ORUFI03G13380 transcript:ORUFI03G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLNRQPTLSKQHRPHHHRLPLPRSLASYLLREHRLLFVLLGFLLASSCFLIYPSFTPLSSSSSPRDTPPLRVVVTGGAGFVGSHLVDELLARGDSVIVVDNFFTGRKENVARHLADPRFELIRHDVVEPILLEVDQIYHLACPASPYKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQTLRKQPMTVYGDGKQTRSFQYVSDLVDGLITLMESEHIGPFNLGNPGEFTMLELAQVVKETIDPSARVEFKPNTADDPHMRKPDISKAKSLLHWEPKISLKQGLPRMVSDFQKRIMDEKR >ORUFI03G13380.2 pep chromosome:OR_W1943:3:9275923:9278662:-1 gene:ORUFI03G13380 transcript:ORUFI03G13380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLNRQPTLSKQHRPHHHRLPLPRSLASYLLREHRLLFVLLGFLLASSCFLIYPSFTPLSSSSSPRDTVAARIRRGGGGGGGASSVVVSAAAAASRRLPVGVRKPPLRVVVTGGAGFVGSHLVDELLARGDSVIVVDNFFTGRKENVARHLADPRFELIRHDVVEPILLEVDQIYHLACPASPYKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQTLRKQPMTVYGDGKQTRSFQYVSDLVDGLITLMESEHIGPFNLGNPGEFTMLELAQVVKETIDPSARVEFKPNTADDPHMRKPDISKAKSLLHWEPKISLKQGLPRMVSDFQKRIMDEKR >ORUFI03G13380.3 pep chromosome:OR_W1943:3:9275923:9278662:-1 gene:ORUFI03G13380 transcript:ORUFI03G13380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLNRQPTLSKQHRPHHHRLPLPRSLASYLLREHRLLFVLLGFLLASSCFLIYPSFTPLSSSSSPRDTVAARIRRGGGGGGGASSVVVSAAAAASRRLPVGVRKPPLRVVVTGGAGFVGSHLVDELLARGDSVIVVDNFFTGRKENVARHLADPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPIKTIISFPLQTLLHIFYKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQTLRKQPMTVYGDGKQTRSFQYVSDLVDGLITLMESEHIGPFNLGNPGEFTMLELAQVVKETIDPSARVEFKPNTADDPHMRKPDISKAKSLLHWEPKISLKQGLPRMVSDFQKRIMDEKR >ORUFI03G13390.1 pep chromosome:OR_W1943:3:9280177:9284617:1 gene:ORUFI03G13390 transcript:ORUFI03G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYSDKGEGDLEIGLASPEAEGGGPGGPALDLSPPRAVRRPGLVMSHSGKRLDQSPAASPSPSRPVLVMSHSSNRLDQSPARPVLVMSRSSNRLDQSPASSPASSRGPVLVMSGSGNRLDSSGPSPSPSPTAAAAAAPVLVLSNSGKRMDQAGRKKYVKQVTGRHNDTELHLAAQRGDLEAVRQIIAEINAQMTGTGEEFDSEVAEIRAAVVNEPNEVEETALLIAAEKGFLDIVVELLKHSDKESLTRKNKSGFDVLHVAAKEGHRDIVKVLLDHDPSLGKTFGQSNVTPLITAAIRGHIEVVNLLLERVSGLVELSKGNGKNALHFAGRQGHVEIVKALLDADPQLARRTDKKGQTALHMAVKGTSAAVVRALVNADPAIVMLPDRNGNLALHVATRKKRSEIVNELLLLPDMNVNALTRDRKTAFDIAEGLPLSEESAEIKDCLSRAGAVRANDLNQPRDELRKTVTEIKKDVHTQLEQARKTNKNVSGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNDNNGVAIAVHAVSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEIINKLMWLASVCTTVAFISSAYIVVGKHFQWAALLVTLIGGVIMAGVLGTMTYYVVRSKRTRSIRKKVKSTRRSGSNSWQQNSEFSDSEIDRIYAI >ORUFI03G13400.1 pep chromosome:OR_W1943:3:9285089:9288626:1 gene:ORUFI03G13400 transcript:ORUFI03G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKNQQSGDGQQRPAQQAAGMDPAKAAAQAATRRKKMTKQLTGKRDDTALHGAARAGQLVAVQQTLSGAPPDELRALLSKQNQAGETPLFVAAEYGYVALVAEMIKYHDVATACIKARSGYDALHIAAKQGDVEVVNELLKALPELSMTVDASNTTALNTAATQGHMEVVRLLLEADASLAVIARSNGKTALHSAARNGHVEVVRALMEAEPSIAARVDKKGQTALHMAAKGTRLDIVDALLAGEPTLLNLADSKGNTALHIAARKARTPIVKRLLELPDTDLKAINRSRETAFDTAEKMGNTESVAVLAEHGVPSARAMSPTGGGGGNPGRELKQQVSDIKHEVHSQLEQTRQTRVRMQGIAKQINKLHDEGLNNAINSTTVVAVLIATVAFAAIFTVPGEYVDDAGSLTPGQALGEANISHQTAFLIFFVFDSVALFISLAVVVVQTSVVVIERKAKKQMMAVINKLMWVACVLVSVAFLALSFVVVGKAERWLAVGVTIMGATILVTTIGTMLYWVIAHRIEAKRMRSIKRSSLSRSRSFSASGMSEAEWVEEEFKRMYAI >ORUFI03G13410.1 pep chromosome:OR_W1943:3:9292596:9293534:-1 gene:ORUFI03G13410 transcript:ORUFI03G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASAQCFGEEEYIDLDLSSCRGFEFRVCRSAAAPPPCGDEMLFRGSRLHKAKHQQEADAGGGGGGGGGCGGGRRSTATVAPWHAAAAVAGIRNAQPAARMQRQPDGGATGRRKKGAAGSVHAKLQASRAFFRSLFARTSCSDEQCHGVGVRATTRSSRAATAASGAAGSVKPAPFGQIRNSYGSCSGRGAAAAPTTLRSSIEKEKLMDEEEHAASVRQRKSFSGVIKWRPPPAPAAAAARPPPPPAFPTRRSSSAASDPPLKRCSSARSESEGLIQGAIAYCKRSQQQLVLARKSVSDAALCSLQFQTQL >ORUFI03G13420.1 pep chromosome:OR_W1943:3:9294991:9302968:-1 gene:ORUFI03G13420 transcript:ORUFI03G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTAKRLEDAALSYRGEDRVQLLRRWLVMLRETQRAAAAEKEAKRAGHPDQHLPVLDLYMDYETGAEPMNFIHVFLYSQALECLVLSMIMEFPTEEEASLLSEAKRAELLQFAQCAISGLKINPEISRLDDEILQLQQRINGMDALRSNSTSRRSKASQTVAEGFRTAVNEIRLCSRMEELVNKLKVLSESLANSAAKAERRIMENRLQKEESLIFRVTKTNEVSVTEKVNNILNAAVMKLKKTREERDQFDEASNQIVLHLKAKEEELSRSIASCKVESSTVGAWIIFLEDTWKLQSLYEELRKKQANDELDKCATCFAKLINHHLYARVEELSTCIDSIKTFVDNLKIFDNRSVSAEDGNNGSSKQSNPRKYLEEEYLEAEKKVVAAFSLVDNIRAIYLSNQDYQARRDDPDVKKLFANIDKLRVEFESVPRPLLQIEIKEREERAKQSRSLQAARSSRQAGHESPIPAQLRTRLPSESDSELAKSDPEYREYSADDISGWEFDDLEDDGARLSVKSI >ORUFI03G13430.1 pep chromosome:OR_W1943:3:9303397:9303882:1 gene:ORUFI03G13430 transcript:ORUFI03G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAADAIGVVYSSGSTTRLLISDTLRTPGRTIRNFVVGCSLMSVYQQSSGLTGFSCGVPSVPSQLGLTKFFYFLLARRFDDNATASDELILGGAGGKDDNVRMQYIPLARSASTRPLCSVYYYLALIAITVRRKSVQLPKRAFVAEELEEAPLSRRRDSA >ORUFI03G13440.1 pep chromosome:OR_W1943:3:9303897:9308479:1 gene:ORUFI03G13440 transcript:ORUFI03G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRTKTMELPKISLYFKGGSVMNLPVENYFMVAGPAPSASVPAMAEAICLAVVSDVPTNSGGAGVTSGCIKGAWRGWQRWGDKDAGAVRRRAWPLADILGRLLARSLATSRGTSRLQYHNLLAQIVYTGWFRKMIPKWHARRWGENDGCLPIRLRAN >ORUFI03G13450.1 pep chromosome:OR_W1943:3:9309063:9312681:1 gene:ORUFI03G13450 transcript:ORUFI03G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLEMLVQLAVVVTVLCAAVRAYTPADSYLFLCGTSGNATVDGRTFVGDAGLPASVLMAPQSTEANMPANQVTGAGDDSPALYQSARVFTAPANYAFSAKPGRHFVRLRFFPFRYQSYDLAADAAFNVSVQGVVFVDGYTPKNGTAVVREFSVNITGRALVIAFTPTGKKVAFVNAIEVVSHPDELIGDTAPMVNPRNQSQYTGLTAKALETVHRINMGEPKVTPNNDTLWRTWLPDWTFLHESSFAAHNQVSPAMIKYQSGYATSLTAPSAVYTTVTELNTTAAMVGNTQAQLNLTWKFNAPAVSDYLLRLHLCDIVSKATLGVVFNVYVGQWRVLQDYESSGDTFSLLATPLYKDFVLAASDAAKGTITVSIGSSTATNALPGGFLNGLEIMRIVGSTGSIDGATSPRGSKIKTGIIAGSAVGGAVLAIALGCVAVRMLRRKKKPVKQPSNTWVPFSASALGARSRTSFGRSSIVNVVTLGQNGAGAGAGYRFPFAALQEATGGFEEEMVIGVGGFGKVYRGTLRDGTQVAVKRGNRLSQQGLNEFRTEIELLSQLRHRHLVSLIGYCDERGEMILVYEYMAKGTLRSHLYGSDLPPLPWKQRLEACIGAARGLHYLHTGSAKAIIHRDVKSANILLDDGFMAKVADFGLSKTGPELDKTHVSTAVKGSFGYLDPEYFRRQMLTEKSDVYSFGVVLLEVLCARAVIDPTLPREMVNLAEWATRRLRDGELDRIVDQKIAGTIRPDSLKKFADTAEKCLAEYGVERPSMGDVLWCLEYALQLQVASPDSSVTTLQRSSSISSVVTDATVSANLGDLDGMSMKRVFSKMLKSEEEGRRKMH >ORUFI03G13460.1 pep chromosome:OR_W1943:3:9312628:9318313:-1 gene:ORUFI03G13460 transcript:ORUFI03G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGQEEGKRRDGSDASGSEPAAAAFPAWARTPSECLAELGVAADRGLSSEEAAARLRRYGPNELERHAAPSVWKLVLEQFDDTLVRILLAAAVVSFVLALYDGAEGGEVGATAFVEPLVIFLILIVNAVVGVWQESNAEKALEALKEIQSEHATVKRDGRWSHGLPARDLVPGDIVELRVGDKVPADMRVLQLISSTLRVEQGSLTGETASVNKTSHKIELEDTDIQGKECMVFAGTTIVNGSAVCVVTGTGMDTEIGKIHAQIQEASQEEDDTPLKKKLNEFGEALTAIIGVICALVWLINVKYFLTWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSAVKLVAIGRWPDTLRSFKVDGTTYDPSDGKINEWPSLSMDENLQMIAKIAAVCNDASIAHSEHQYVATGMPTEAALKVLVEKMGLPGGYTPSLDSSDLLRCCQWWNNAAKRVATLEFDRTRKSMGVIVKKADSGKNLLLVKGAVENLLERSGYIQLLDGSVVLLDEGAKALILSTLREMSASALRCLGFAYKEDLAEFATYDGEEHAAHKYLLDPSYYSSIESNLIFCGFVGLRDPPREEVHKAIEDCRAAGIRVMVITGDNKETAEAICREIGVFGSTEDISSKSFTGKEFMSLSDKKKLLRQTGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYDNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYMVIGMYVGIATVGVFIIWYTHGSFLGIDLAGDGHSLVSYSQLSNWGQCSSWEGFKVSPFTAGARTFNFDVNPCDYFQGGKIKATTLSLSVLVAIEMFNSLNALSEDGSLLSMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSFNEWLLVIAVAFPVVLIDEVLKFVGRCLTARARKQSGKRKED >ORUFI03G13470.1 pep chromosome:OR_W1943:3:9321968:9322969:1 gene:ORUFI03G13470 transcript:ORUFI03G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCCTRSARGPSPPAEEAYDEVDKSRRTTATIKRQRRPVTEVSSRQTWNPFSGAVSRYKVHVALTEAFVYEFGRRRWFGFGQTRRLLVAETTTTTTTAKGRVRRAGAPAGHRGRIRSKPSDGGGTAAAVVDGREEESTNVHLHLLVEDEIRMSSSPPALPAVDQKEKTWFPPGGYNEQCKPPARITYADRCGPESLEAFLESVVAARGGGGSASSHGVHGNRWAEIAEAKARRQRYLRDYCPFQRDEETTEAAGYDHATVKQPANCPGSEGGDDTTTGVREKDARAVRGTAEYHVMRQEFLKSYQIRTFGEKETRVPALRRLLPRRKTARIL >ORUFI03G13480.1 pep chromosome:OR_W1943:3:9323361:9328028:-1 gene:ORUFI03G13480 transcript:ORUFI03G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPLLASTSRATASVASSSSANCPDPMDEGPSVSVPGLPFAEQLRAAGRGASSGSPGGPEAQVQALASISRGIYPLARAEALRGLAAVLETADAPGGVVELCHGCAVGLMRDEDEGVRLASVRLIALCADKLNTREGSDGNGDNQTDIMFLQRVSEGVLLQSLSKKVIKPNTGSGSIIKGEKVPPKLIYPCAAGIFAHGIEDEFHQVRTAACKSLGALSKLSAQYAQKALDLLMGMMNDDTEAVRLQTLQALFHMATYGCLTVQEMHMHMFLGLLVDMNASIRDATRKILGLVNLPKLQMFKSAIDVLITSLEKHQEEQEIYSVLFSVGKNHGNFSANIAKHLAKEISMPSDGELILDKPRIKALLIVSISVAFSDDKHNKRDIPEVIFSHAISLLGKISCAIGEVVDQNSLLSYFCQRTGIPFWETKLPSRESEGCSVETVADIRPRIEKTVKSTKCLDEVLTMQSVKSIIETVERTWTIRKSCNIRDVRNILRTCKEELRILASNSSGSTGAFLSFLCEYLDAVQFIVEILRSFQLDNSYDLGPTSPDILLEKLDTSIRRMKCCYAGFNRGMEIQVCELALLANLFGLSKVGIQSKLVLDKLHWMINRLDCLCADGSCELSYFSREIKKAFDANFVGHDIFTLLELFHPKPTTDYGMLKTISADLQVRDNDPENSSTYVCGLPVAVSLYISLCNISSQDRLWLRMIVGESIQHTFLELSSFGGNDEVKSCSTIIPFYATPMACSFVLRACLVMECPYGSVSIRQECNRGPSGSIIELSDELDVYFVCTKRR >ORUFI03G13490.1 pep chromosome:OR_W1943:3:9330793:9333156:1 gene:ORUFI03G13490 transcript:ORUFI03G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVDKLPLFDRRPSPMEEAEGLPRSGYLGQLHHHQYYQPHSNMLPLEQSPPTSTKHTSVTLAQLLKRVNDARSGSSTPISSPRYTIELGGSKPESVSSESDDHHSDDGGSEGQPRALVLKFTDLTYSVKQRRKGSCLPFRRAAADEPELPAMRTLLDGISGEARDGEIMAVLGASGSGKSTLIDALANRIAKESLHGSVTINGESIDSNLLKVISAYVRQEDLLYPMLTVEETLMFAAEFRLPRSLPTREKKKRVKELIDQLGLKRAANTIIGDEGHRGVSGGERRRVSIGVDIIHNPIMLFLDEPTSGLDSTSAFMVVTVLKAIAQSGSVVVMSIHQPSYRILGLLDRLLFLSRGKTVYYGPPSELPPFFLDFGKPIPDNENPTEFALDLIKEMETETEGTKRLAEHNAAWQLKHHGEGRGYGGKPGMSLKEAISASISRGKLVSGATDGTVSVAASDHSAPPPSSSSVSKFVNPFWIEMGVLTRRAFINTKRTPEVFIIRLAAVLVTGFILATIFWRLDESPKGVQERLGFFAIAMSTMYYTCSDALPVFLSERYIFLRETAYNAYRRSSYVLSHTIVGFPSLVVLSFAFALTTFFSVGLAGGVNGFFYFVAIVLASFWAGSGFATFLSGVVTHVMLGFPVVLSTLAYFLLFSGFFINRDRIPRYWLWFHYISLVKYPYEAVMQNEFGDPTRCFVRGVQMFDNTPLAALPAAVKVRVLQSMSASLGVNIGTGTCITTGPDFLKQQAITDFGKWECLWITVAWGFLFRILFYISLLLGSRNKRR >ORUFI03G13500.1 pep chromosome:OR_W1943:3:9335210:9336352:-1 gene:ORUFI03G13500 transcript:ORUFI03G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTQVREKSTPDVNCLHRAHGDMGMSAKSARARMLDPDDIVVMFSRAGGQITADGGVSAAAGAAGAAARGEKAGEGEKRRSAPEKGKGGRPQRRGQRRPARPPATAGAAAGGRLSPSGAMAGEGASGDLVVASHAASTAPRTSSARHRRRMQRRAAGVDAYADGSAGRGLDGEQEDG >ORUFI03G13510.1 pep chromosome:OR_W1943:3:9341292:9343587:1 gene:ORUFI03G13510 transcript:ORUFI03G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFRSRRTLPIEEDGEDAPRADLLPGHQRSDRRSASSTNLDQHVVLGSHSPGHVVVDLRPTAANVDGGGKLELDPVGRMPVHFVLAFHDLTYNVGRPRRMAFWRRSRHVETDATTARGGGARAREGALLLDGVSGEAREGEIMAVLGASGAGKTTLIDALADRIQRDSLRGAVTLNGEPLGGRMLKVISAYVMQDDLLYPMLTVAETLMYSAEFRLPRSLSASKKATRVQELIDQLGLRAAANTIIGDEGRRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQGIAKSGSVVIMSIHQPSYRIIGLIDRLMFLSRGRTVYYGPPASLPLFFSEFGHPIPDGQNPVEFALDHVRQLEAIPEGTDELVEFSKAWREKALARAVSSAACTGRHDKPSLPLKEAIRMSIARGKLVSGATTNTGDNGTAAAAASTAKVATYANPWWAEVWVLARRAFTNTRRTPELFLIRLATVVVTAFILATVFWRLDNTPKGVNERFGFFAIAVSTMFYTSADALPVFLVERYIYLRETAHNAYRRSSYTVSNAIVAFPPLVALSLAFTAITFFAVGLAGGAGGFLFFALIVLASFWAGSGFVTFLSGVVPHVIIGYTVVVAGLAYFLLLSGFFITRDRIPSYWIWLHYLSLIKYPYEAVMQNEFGAEAGRCFMRGVQMFDGTPMARLPVETKAVTELGKWSCLWVTVAWGFLFRALFYLTLVLGSRNRRR >ORUFI03G13520.1 pep chromosome:OR_W1943:3:9352816:9363130:-1 gene:ORUFI03G13520 transcript:ORUFI03G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIHRRVSPWTTRHSPIVTSRRAKVGNGLLHLSARGSGWNFHEATRDARPHRTDSHRLGPRLDEPAVGVPIKKRPVLLSDRLLPSGMPPSMRPSSPATGMAVSVAEAGCSKDTFLNRSVSEETSAITKGNGMFNPQDQRHAKRSFIQSLTEKKGLSLDGSSGIPSRIESGTGNVAPVDDTQSQKFLSLGLRSASRLNGKINSSSNVKEEKVDQGLSSFPSADFQKDAGATNEPKSSSDSSFGRLPNLDLNVPLDPHDPAESLPIVQDSSNILCHETVQLQKAHVPPVPPVSTVSNGLHRNITSTLNLSNAYGLSNKRGAADVTLDLQLKPPARPELGINWKGLAPVPGLSLSLSSKHVEESKNNAGLNLSLFGKHINESENNAPNVAVRSEPTPGGAHQVPGKAALDLNSGIFPNVATANVPLSTERLRDAIRTEAMHADHEVKKSIKCEETTAAIPSPATASVSSRCSPLMATKQLPLGDRDASRAGLRVSASQPSLPTEPACCNPDEANVDCKPTMSHVNSRNAVEVCGSLQSSLNPIPEPSISNSRNRFGFDGMSQGSAEMDCSEDDDNIVSHLSTTNKPHGGTLGNNQTSGSMGSGRNLQKEHDSNTHQNCSFVTNKIDMQGISDDKRINVKDGVFPHSCQNSHQSGNVVNEESKNKQLLGSDKNTPMNNNDSTIRVKTITGSSTADTRRTTSVQNERDGQIDDPHWRGMGHPYVNVNSKRDWVSSSIWNETWERLMQSKREKNKGEYHGGRHAPDTFNQRRPDYRYGGRGVGSRGNPRNFRGPRMNESELYFDDEPMARRRRPFEDYLGHMQRIPHRRHRSPPMNNQLQGGLMRDMDIDGFSGRDVPDPRLLAHEHMEDLSDDMMEERSPPLIRTDRPYLPHRHHTRRHGSPFDRIEHDDRGMQRNMRRCGMHHGGVEGDSFEPHLHPAQLAELHAKAELTERRKFGERRGHHLRPFEGSPDDDEVILSYGADGDMDFAEGGSGGLPPGELDGRFRRHMGRDEEEEDHMCHGPHGWRDGSSNGSRAKRRSHTYQRSLLQTNRPPYLIIRYCMATTTTVDGGDDGMVEDPSKAGSPVPIAAVRSGAIDSRRLVAAAAKMAPRRQSLPRSLVLRKVMTLDYLMTMRQSSLPPALLSYPLHPPRHQPSYHRRKRADSSTMRGSAWR >ORUFI03G13520.2 pep chromosome:OR_W1943:3:9352816:9363130:-1 gene:ORUFI03G13520 transcript:ORUFI03G13520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIHRRVSPWTTRHSPIVTSRRAKVGNGLLHLSARGSGWNFHEATRDARPHRTDSHRLGPRLDEPAVGVPIKKRPVLLSDRLLPSGMPPSMRPSSPATGMAVSVAEAGCSKDTFLNRSVSEETSAITKGNGMFNPQDQRHAKRSFIQSLTEKKGLSLDGSSGIPSRIESGTGNVAPVDDTQSQKFLSLGLRSASRLNGKINSSSNVKEEKVDQGLSSFPSADFQKDAGATNEPKSSSDSSFGRLPNLDLNVPLDPHDPAESLPIVQDSSNILCHETVQLQKAHVPPVPPVSTVSNGLHRNITSTLNLSNAYGLSNKRGAADVTLDLQLKPPARPELGINWKGLAPVPGLSLSLSSKHVEESKNNAGLNLSLFGKHINESENNAPNVAVRSEPTPGGAHQVPGKAALDLNSGIFPNVATANVPLSTERLRDAIRTEAMHADHEVKKSIKCEETTAAIPSPATASVSSRCSPLMATKQLPLGDRDASRAGLRVSASQPSLPTEPACCNPDEANVDCKPTMSHVNSRNAVEVCGSLQSSLNPIPEPSISNSRNRFGFDGMSQGSAEMDCSEDDDNIVSHLSTTNKPHGGTLGNNQTSGSMGSGRNLQKEHDSNTHQNCSFVTNKIDMQGISDDKRINVKDGVFPHSCQNSHQSGNVVNEESKNKQLLGSDKNTPMNNNDSTIRVKTITGSSTADTRRTTSVQNERDGQIDDPHWRGMGHPYVNVNRNETWERLMQSKREKNKGEYHGGRHAPDTFNQRRPDYRYGGRGVGSRGNPRNFRGPRMNESELYFDDEPMARRRRPFEDYLGHMQRIPHRRHRSPPMNNQLQGGLMRDMDIDGFSGRDVPDPRLLAHEHMEDLSDDMMEERSPPLIRTDRPYLPHRHHTRRHGSPFDRIEHDDRGMQRNMRRCGMHHGGVEGDSFEPHLHPAQLAELHAKAELTERRKFGERRGHHLRPFEGSPDDDEVILSYGADGDMDFAEGGSGGLPPGELDGRFRRHMGRDEEEEDHMCHGPHGWRDGSSNGSRAKRRSHTYQRSLLQTNRPPYLIIRYCMATTTTVDGGDDGMVEDPSKAGSPVPIAAVRSGAIDSRRLVAAAAKMAPRRQSLPRSLVLRKVMTLDYLMTMRQSSLPPALLSYPLHPPRHQPSYHRRKRADSSTMRGSAWR >ORUFI03G13520.3 pep chromosome:OR_W1943:3:9352816:9363130:-1 gene:ORUFI03G13520 transcript:ORUFI03G13520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIHRRVSPWTTRHSPIVTSRRAKVGNGLLHLSARGSGWNFHEATRDARPHRTDSCIFHFSRQRMGYHLATTTTVDGGDDGMVEDPSKAGSPVPIAAVRSGAIDSRRLVAAAAKMAPRRQSLPRSLVLRKVMTLDYLMTMRQSSLPPALLSYPLHPPRHQPSYHRRKRADSSTMRGSAWR >ORUFI03G13530.1 pep chromosome:OR_W1943:3:9372052:9372771:1 gene:ORUFI03G13530 transcript:ORUFI03G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLRLPVVPAIRVGSQLHLAAAYTAPGAGRRGSSGAAGPPPALMADVRVVVRRHFPVGPNPTKGAGGAVIVRKVAEDIAVRRQPSRGLRSPESVERVLAERVLPLVGHPFDRRAVAVASKQICAYVSAACADPRVAHGGVRVLVLLDTFACGTLFHPAPPRKQCSGDASSLEVGAVVRTCPCMEIVGPGSKKDPQLACPCMDIGARMPSKKPRSVGVIGDGRPAKDGGEDRLKGWLPW >ORUFI03G13540.1 pep chromosome:OR_W1943:3:9376186:9377400:1 gene:ORUFI03G13540 transcript:ORUFI03G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNQEHEAESWPPAIYHQMPAILEYLEDHRVVVVSAAPGSGKSSVLPRCLAESGYGPVLCAQPRHLAAFVAMAKVGEEWDSDIEFTTTRQLLDRFSSPAPVLAGYGAVVIDEAHDRTLGTDVLLGMVKAALATGTTMGGRCKVVVCTAGGPADGMLSAFFGGAPVVSIPRAAHQVEVRYSRGPVLDMAAAVADEVAAIHASQPPGDVLVFLPENADIIGVHARLLGLPVPGLAVRYVHDNLPAELIDIMLINSPVPDGRRRVVLATDVAETAVLVHGITYVVDTGLVSEQPPVRISKEAAAARAAIAGFSGPGRCHRLYQPEEYDDLDEHTIPHIRQDGAAVRFALMVKRHAADGIPGFEIFDPALEPAVLKNVFGQLVNGGYLDKLGNLSDKGEREAYDED >ORUFI03G13550.1 pep chromosome:OR_W1943:3:9377765:9380273:-1 gene:ORUFI03G13550 transcript:ORUFI03G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPRPISPGRRLLPVVVLFVALCSIPGIFSQRLVTLDSIDIFTTHEWFPSKPTVYFLCNGEDKVYLPDVKDANNIYTFKGEESWQPLTELPEKKCKRCGLYEEDTFKHDLYDEWELCSSDFKKGKYTHFKEGQFNATFLCPNCTASAGDSANHDSSSEVETKKSSVTVIIIVSVLSSVLVIIALFGGYKYWLKKKRERDQLRFLKLFEEGDDIEDELGLGNEL >ORUFI03G13560.1 pep chromosome:OR_W1943:3:9380691:9384119:1 gene:ORUFI03G13560 transcript:ORUFI03G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLAAAALRRATAASGIPSSSSRSSVLAPFAPRLFSTEASGETPAAGAAAQGSQDEPFFKPSDEGVAYGRFYSVIPGGSRLPKSMLKTDIIHHLDKSELSLDDVKIDYNRGYYPVGALLRFSSVPLFNTAVRQTREGRQYRLEMISREEFDLKQSYDGKAILLQGVPRNAVPEDIERFLCGTNVEPPPFESFLRPGVPDPIRVVLVKFRSRTDAANAFITKNRGFCLNNPVSMRVLQ >ORUFI03G13570.1 pep chromosome:OR_W1943:3:9385289:9392649:-1 gene:ORUFI03G13570 transcript:ORUFI03G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLAFPRGRCSPSPLTRPSTSRSSSVPSSRTIKIRPSSRSVARCNLRDAKNLSPSRTVAMAAAAAAPASSEKEVLPPSLTSSSEPPPLFDGTTRLYVAYHCPYAQRAWIARNYKGLQDKIKIVPSLEHNNQVKGESLDLVKYIDTNFEGPALLPDDSEKQQFAEELLAYTDAFNKASYSSIVAKGDVSDEAVAALDKIEAALSKFNDGPFFLGQFSLVDIAYVPFIERFQIFFSGIKNYDITKGLPNLQKFIEEVNKIHAYTETKQDPRLPEDVTKDEPTTTCDGDRELVQKYAICNVHNHLDLHLSNHLDLANGLQEKIKLVPMDTNDRPAWYKEVYPKNTLPSLEHNNKIIGESLDLIKYIDINFAGPRLTPDVDIAYAPFIDGFQTLFAGIKNYDITEGRANIQIFIKELNKIDAYMHTKQDPSEVIALTKKKLGGRIHRWGLSLSSISAEPPALHAEQQPHGFGREAAYCISADQPGRKEGANKDNISRSMGD >ORUFI03G13580.1 pep chromosome:OR_W1943:3:9395570:9397838:-1 gene:ORUFI03G13580 transcript:ORUFI03G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPRSSGKEALPAALGSASEPPRLFDGTTRLYICYFCPFAQRAWIIRNFKGLQDKIELVGIDLQDKPAWYKEKVYEQGTVPSLEHNGKIMGESLDLIKYIDSHFEGPALLPEIEAALSKFGDGPFFLGQFSLVDIAYVTIIERIQIYYSHIRKYEITNGRPNLEKFIEEINRIEAYTQTKNDPLYLLDLAKTHLKARPLPETNAQPPQL >ORUFI03G13590.1 pep chromosome:OR_W1943:3:9399610:9403030:1 gene:ORUFI03G13590 transcript:ORUFI03G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSTKPAQAAAEPSPPAATAAAGEAPPAPNPASAATPAQNPTAAAGAAAGGATDLEKKMRRAERFGTAVVMSEEEKRSSRAERFGTGSSNEKAEEQKRKSRAERFGLASSSADEDAKKKARLERFGQSTNVDKGEEEKRKARALRFAETSSGPSQENGKDSSKPISDMALTFGVKP >ORUFI03G13600.1 pep chromosome:OR_W1943:3:9403501:9405602:-1 gene:ORUFI03G13600 transcript:ORUFI03G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQKQPQPSHIVRPSLTPNLGPLDTTTTTRNVDERREAKLGEMAATAMQCRGGERSEDGGGGGMRTVECLRGRLLAERVASKAAKEEADSLAKRVSSLSHIPYCYNLLDRKCVILFLSFFWWLVMIWSQLDELEKKLSDEVKIRNKAERRLRRAIKKLESLKILDVELSDSSIGSLSSNSCSGHRAPETEADVNNPGSSAGSCTQVNSSQEGSWCSVVSEQSPSVHCKEEEENGLDPEDAKNCGSGEEAGDHDSERTHGTLPCSRDDEPVHVPSEFGSSKSQDNQRDEDDDRLALVLVDPQPNAETGNEDDMRIDIQARKAQAEPREGDGEMEEANELAIVLVDPQPEPKAEPAATARPRNHVQSVLLALRQVKEQLRYTIERRSELVAHQELCGHC >ORUFI03G13610.1 pep chromosome:OR_W1943:3:9407066:9408081:-1 gene:ORUFI03G13610 transcript:ORUFI03G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGIVERSIIGITSCGVEHLSHDKLYHKASSKTNQLWWSKILRPAVSSLQNPKPQMPRKHCAPY >ORUFI03G13610.2 pep chromosome:OR_W1943:3:9407066:9410914:-1 gene:ORUFI03G13610 transcript:ORUFI03G13610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPAWLTAVPPDPRAYGHLIQLCADSGHLAAARQIHARLVAASVTPSNFLASKLISLYSRADRLRDARRVFDSIPQPSLFAWNAILISLSLHSPDPSAAVRLFASSAVSPDEITLSTLLRSLAASGPALSPLVTGELHAVAFLRGFGSDLFVSNALITAYANAGDMRSARAVFDEMPRRDVVSWNSLISACARAGWYRECLDLFQEFVRVRCSDGDGVGPNGVTVTSVLHACAQLKVVDFGIGVHRFAAESGLDMDMAVWNSIIGFYAKCGRLQYARQLLDGMTRKDSISYSAMITGYMNNGHVEEGMQLFRQASARGISMWNSVIAGLVQNGRQSDVLRLLQEMIASKVLPNSATLSIVMPSVPSFSTLLGAKQAHGYAIRNDYDQSIRLVSALIDAYAKAGFLDTARKVFKLTEHRSTIVWTSIISAVAAHGEAVEALSLFNQMITAGAKPDTVTFTTVLSACAHSGKVAEARKVFNSMQAVFGISPVIEQYACMVSALSRAGMLKEAVKLVNKMPFEPNAKVWGALLNGAAVVGDVEFGRYAFDRLFVIEPKNTGNYIVMANLYSNAGKWEEAETIRSMLWGVGLEKVERSIIGITSCGVEHLSHDKLYHKASSKTNQLWWSKILRPAVSSLQNPKPQMPRKHCAPY >ORUFI03G13620.1 pep chromosome:OR_W1943:3:9411636:9415423:-1 gene:ORUFI03G13620 transcript:ORUFI03G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVATHASLLLKAAAAAAHLHPKPFFSPRAAPPRIPSAPAPPAAGSSRYRPTTTTATATSATAACRWFRWPPPAQAPVRGLCSLPHSGGGGGGGEGMGSEGVGRRRRVVAPAVNGVAKDGAPQPPPPKLLTLPTVLTIGRVAAVPLLISTFYMEGPWAATATTGIFLAAAVTDWLDGYIARKLGTPFGAFLDPVADKLMVAATLVLLCTKPLEISLLRDGPWLLTVPAIAIIGREITMSAVREWAASQNTKVLEAVAVNNLGKWKTATQMTALTILLASRDKSLPAQDALVTSGVALLYVSAGLAIWSLVVYMRKIWRILLK >ORUFI03G13630.1 pep chromosome:OR_W1943:3:9422494:9430200:1 gene:ORUFI03G13630 transcript:ORUFI03G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMGAAAFDFEYKRDAYGFAVRPQHLQRFREYAKIYKEEEEERADRWKDFLDRLAESADDITTSISPSKEDSAAGDVNGGEHLDGAENLENSNRGGIKCNNEEEEGEEDAEKSDTPENSKEIDGNNQSQEANGEADDINDVSANSENLKQESIANSVESDKAPEELKEVSGCSEELLNDENGDSEGLKDSHGALEVLGEANNDNSEKLEELFLDKGLLDELKPIRVESGKRVRASIRIIEKMMSSRVGKIRNTANDMCGNGEAQLASIEEEERAADKSCRGDPAEESSNPDKVEQAQDREQGDSASAALEGGNGESYFPWREELESLVRGGVPMALRGEMWQAFVGVGARKITGYYNKLLDEGTEELDEKNPEDQELKDQTNAQKKPPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEEHAFWALVGVIDEYFDGYYTEEMIESQVDQLVLEEVVRERFPKLGGMGDWTMVSFNFHQYASMGKWSVLRVWDVILFEGNRTMLFRTTLALLDLYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQAVREIGLQELRKKHRPDIISAMEERSKDRHSWKDKKGLATKLYSFKHDPLCPQVNSKEGEDDLQVNGEMQFLDSGSANLETYLTSSALDNELEEGIDLQDQVTWLKVELCKLLEEKRSAELRSEELETALMEMVKQDNRHMLSAKVEKLEAEVSELRKSFADKQEQEQAMLQVLIRMEQEQKVAEDARIAAERDAADKKYAAQLLQEKYDAAMAALRQMEKRAVMAETMLEATKQYQAGQFKANQSFNPSSPRAAPQSGKPNQDPNQDAPNRRLGLLSRGLGWLEKSKGKSSSTETPEG >ORUFI03G13630.2 pep chromosome:OR_W1943:3:9422494:9430200:1 gene:ORUFI03G13630 transcript:ORUFI03G13630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMGAAAFDFEYKRDAYGFAVRPQHLQRFREYAKIYKEEEEERADRWKDFLDRLAESADDITTSISPSKEDSAAGDVNGGEHLDGAENLENSNRGGIKCNNEEEEGEEDAEKSDTPENSKEIDGNNQSQEANGEADDINDVSANSENLKQESIANSVESDKAPEELKEVSGCSEELLNDENGDSEGLKDSHGALEVLGEANNDNSEKLEELFLDKGLLDELKPIRVESGKRVRASIRIIEKMMSSRVGKIRNTANDMCGNGEAQLASIEEEERAADKSCRGDPAEESSNPDKVEQAQDREQGDSASAALEGGNGESYFPWREELESLVRGGVPMALRGEMWQAFVGVGARKITGYYNKLLDEGTEELDEKNPEDQELKDQTNAQKKPPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEEHAFWALVGVIDEYFDGYYTEEMIESQVDQLVLEEVVRERFPKLVLRVWDVILFEGNRTMLFRTTLALLDLYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQAVREIGLQELRKKHRPDIISAMEERSKDRHSWKDKKGLATKLYSFKHDPLCPQVNSKEGEDDLQVNGEMQFLDSGSANLETYLTSSALDNELEEGIDLQDQVTWLKVELCKLLEEKRSAELRSEELETALMEMVKQDNRHMLSAKVEKLEAEVSELRKSFADKQEQEQAMLQVLIRMEQEQKVAEDARIAAERDAADKKYAAQLLQEKYDAAMAALRQMEKRAVMAETMLEATKQYQAGQFKANQSFNPSSPRAAPQSGKPNQDPNQDAPNRRLGLLSRGLGWLEKSKGKSSSTETPEG >ORUFI03G13640.1 pep chromosome:OR_W1943:3:9431175:9434556:-1 gene:ORUFI03G13640 transcript:ORUFI03G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSSEGGNESRKESAERPQITPDETAASEMDVNLNTTNADSISNHGMERLIHGQSFTYGELYAATGGFSDDRFLGEGGFGQVYRGVLDNSQEVAIKILNLQGNQGDREFITEASVLSKLHHTNLVKLIGCCQDGDQRLLVYEYMPLGSLKSHLHDLSPDKKPLDWNTRIKILVGAAKGLQHLHVNVDPPVINRDVKSENILLGDGYHPKLSDFGLAKMGPTGDDTHISTRVMGTLGYCAPDYLESGKLTVQSDIYSFGVVMLEVITGQKVIDDSRAKPERNIVEWAIPKINKKDFPKLADPVLNGQYHMRSLFRALTVAALCVDRTANRRPDITAVVDALTQISESQSSRKRWSSRLQSSVGSSASTEPRIEDWNQAKDQGEGS >ORUFI03G13650.1 pep chromosome:OR_W1943:3:9434818:9442175:1 gene:ORUFI03G13650 transcript:ORUFI03G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYYINNSIRRHGPAGEALGPCLGCLPSTWAETARLLRRARLVPGPSCWRRGVLALAVGFPLSHSAAAAAAADQRRAQRDGEGSREGAAGPLKTAAEASEEAAIRVQPSKAPMEEYGGGDLLSEAMGSGARVVVVEDRVEAPGAFALHLLLKRALAGGGAAALLALAQPFSHYDRVLRGAKGGAISDSFVRLYGDIQRAIDASRTGDNTGRFTLMIDDVSLLEVAAGGSVDDVLDFLHYCVTLTSEMNCSLVFLIHEDIYSSEEGVGVLLHLRYIADLVIRAAPLSTGLAADVHGQLSVVNKCTFREQRLKAQRIWNFHFRVKENGADFFYPGSRH >ORUFI03G13660.1 pep chromosome:OR_W1943:3:9439011:9446566:-1 gene:ORUFI03G13660 transcript:ORUFI03G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACEAGTDEPSRDDVKGTGNGILENGHSHKPEEEEWRNGMGEDLPNGHSTPPEPQQTDEQKEHQVRIVRWERFLPVKTLRVLLVENDDSTRQVVSALLRKCCYEVIPAENGLHAWQCLEDLQNHIDLVLTEVVMPRLSGIGLLSKITSHKICKDIPVIMMSSNDSMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIRTQKCTKPKVDDEYENNSGSNNDNEDDDDNDEDDDDLSVGHNARDGSDNGSGTQSSWTKRAVEIDSPQQMSADQPSDLPDSTCAQVIHPTSEICSNRWLPTANKRSGKKHKENNDDSMGKYLEIGAPRNYSMEYQSSPREMSVNPTEKQHETLMPQSKTTRETDSRNTQNEPTTQTVDLISSIARSTDDKQVVRINNAPDCSSKVPDGNDKNRDSLIDMTSEELGLKRLKTTGSATEIHDERNILKRSDLSAFTRYHTTVASNQGGAGFGGSCSPQDNSSEALKTDSNCKVKSNSDAAEIKQGSNGSSNNNDMGSSTKNAITKPSSNRGKVISPSAVKATQHTSAFHPVQHQTSPANVVGKDKVDEGIANGVNVGHPVDVQNSFMQHHHHVHYYVHVMTQQQQQPSIERGSSDAQCGSSNVFDPPIEGHAANYSVNGSFSGGHNGNNGQRGPSTAPNVGRPNMETVNGIVDENGAGGGNGSGSGSGNDMYQNGVCYREAALNKFRQKRKVRNFGKKVRYQSRKRLAEQRPRIRGQFVRQSGQEDQAGQDEDR >ORUFI03G13670.1 pep chromosome:OR_W1943:3:9446640:9447720:-1 gene:ORUFI03G13670 transcript:ORUFI03G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLTLGV >ORUFI03G13680.1 pep chromosome:OR_W1943:3:9455347:9455904:1 gene:ORUFI03G13680 transcript:ORUFI03G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHATETESRREESGQRACCALPGDQEMENAPARKPARPKRQAAEKAGVERRWRSVARREVVAEEKTFGELGMASVNSPAAAAWVGEACRIGAAGGDEEGEWWWWTLSTARGEQGRRGDERARAGGRWAATEVEKKVRRGADGWGPFHAGTHGGTPRRQKWQIVKKLPLSSGKLLSANLRGAFG >ORUFI03G13690.1 pep chromosome:OR_W1943:3:9460912:9461574:-1 gene:ORUFI03G13690 transcript:ORUFI03G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSFLRTTLPTAKPLPAFQTLAPRPRPRPLRRSTIRAAITRGRKEDTVAAVREQLEGCYLLAGIRYEGLTVKQLQGIRDTLPETCRLLVAKNTLVGKAIEGTPWEALKPCMKGMNAWLFVHTEEVPAALKPYRAFQKEERLEETNDFVGAVFEGKFYAPGDFKALETMPSRAEVYAKLLGALQGPATSVVTTLQAPARDVVSVLSAYVRKLEQEVGAA >ORUFI03G13700.1 pep chromosome:OR_W1943:3:9463185:9467587:-1 gene:ORUFI03G13700 transcript:ORUFI03G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFRAALARASARSRAELQVARRRRHPSDPARRLSHSGTAPARPSFGIAFDIDGVILRGRSPIGGSPQAIRRLYSEDGGGVPEHKRAQELSEFLGVNISPAQVVHGSSPYKELVNRFENDLIIAVGKGEPAAVMVDYGFRKVLSIDEYSSYFGDIDPLAPFKKWIVQQPDNINLMSEKVHPSYDVFEERVKGVFVVSDPVDWGRDLQVLCDILSTGGLPGSGRGDQPPLYFASDDLEYQAAFPSERLGMGAFRIALESIFNQVNDHRLKYISYGKPNPFVFKNAANILEKLAICMHPSSLPTKEVEEHRFSTIYMVGDNPKVDINGALKAGPPWSPVLTRTGVFRGKDNDPQYPADLVVDTVEDAINCILEKECIQ >ORUFI03G13700.2 pep chromosome:OR_W1943:3:9463185:9467587:-1 gene:ORUFI03G13700 transcript:ORUFI03G13700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFRAALARASARSRAELQVARRRRHPSDPARRLSHSGTAPARPSFGIAFDIDGVILRGRSPIGGSPQAIRRLYSEDGGGVPEHKRAQELSEFLGVNISPAQVVHGSSPYKELVNRFENDLIIAVGKGEPAAVMVDYGFRKVLSIDEYSSYFGDIDPLAPFKKWIVQQPDNINLMSEKVHPSYDVFEERVKGVFVVSDPVDWGRDLQVLCDILSTGGLPGSGRGDQPPLYFASDDLEYQAGPPWSPVLTRTGVFRGKDNDPQYPADLVVDTVEDAINCILEKECIQ >ORUFI03G13710.1 pep chromosome:OR_W1943:3:9467822:9471028:1 gene:ORUFI03G13710 transcript:ORUFI03G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPLPVPHAAATSPRPAAASSLLRARGPCASLLYPRRLSFSVAPVAAAKPEAVGRAGEAAAAPVEGLAKSLQGVEVFDLSGKAVPVVDLWKDRKAIVAFARHFGCVLCRKRADLLAAKQDAMEAAGVALVLIGPGTVEQAKAFYDQTKFKGEVYADPSHSSYNALEFAFGLFSTFTPSLYPQAGLKIIQLYMEGYRQDWELSFEKTTRTKGGWYQGGLLVAGPGIDNILYIHKDKEAGDDPDMDDVLKACCS >ORUFI03G13720.1 pep chromosome:OR_W1943:3:9473317:9475073:1 gene:ORUFI03G13720 transcript:ORUFI03G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALNRLLPLVLLAAVVGRHGASGDGGFPIVFTETKCTPAPTWSRANDSAYRANVRALLGGLPSAAAPTGFASTDRSGGAGRDRAFARGICFGDPPPALSPQYCLRCLSVAAKELADGCPAKRRAAVWTDGCFASFADTSALSPDEAAFHYKIAVGALVEDDESSARFTATLAALAERLAPRAAANASRMLATATVDVPRVVAGSSRTVQVHSLAQCMPDRPAASCARCVQESARELGKCCWNMHSGGVATVIGYNCHLRLDVSVPMTPQFDRSRHRECDCLRHSDDLPDALNNYWIRSAKEEEASPPAGNNKLTNCWLIVLIVKYVLQIDNAGHVRGNVAAVKAAQIVPTQYADQRIAV >ORUFI03G13730.1 pep chromosome:OR_W1943:3:9476325:9477653:1 gene:ORUFI03G13730 transcript:ORUFI03G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKRRGGAGAGAASGSASKKPRVSTAASYAESLRSKLRPDASILATLRSLASACSKSKPAGSSSSSSSASKALAAEDDPAASYIVVADQDSASVTSRINRLVLAAARSILSGRGFSFAVPSRAASNQVYLPDLDRIVLVRRESARPFANVATARKATITARVLSLVHAVLRRGIHVTKRDLFYTDVKLFGDQAQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLTFADDGDRIDCTRMGVGGKAIPPNIDRVSGIESDALFILLVEKDAAFMRLAEDRFYNRFPCIILTAKGQPDVATRLFLRRLKVELKLPVLALVDSDPYGLKILSVYMCGSKNMSYDSANLTTPDIKWLGVRPSDLDKYRVPEQCRLPMTDHDIKVGKELLEEDFVKQNEGWVKELETMLRTRQKAEIQALSSFGFQYLTEVYLPLKLQQQDWI >ORUFI03G13740.1 pep chromosome:OR_W1943:3:9478591:9496427:-1 gene:ORUFI03G13740 transcript:ORUFI03G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMTSTSPQPPPPSPRRRWRRRPLLRPGVSSPPSRCRPSPSPKAKAALPLLSDVGRDPTAIKYYSRVASNLVGAGRLREFLLAAEGLRAASGDAGFEGRISRRLLSRGVAAALRDQGLPHVLEFLRDAGRVGIRAAVMLDADAYDTVAAACRLLLAERSMTEFVEAVEALAQCGFFVQGIVDPMDVLKIFVKKRDPNMAIRYARIFPQSQLLLCNTMEAFGKRKELKHALTVFGALKDQFGGINMFACRSIIDICGHCGSSVQARIIFEGLLADKITPNVYVFNSLMNVNAHSFSYNFSVYKHMQNLGVPPDLTSYNILLKTCCNAKEYKLAQEIYEEIKKKEQNGLLKLDVFTYSTMMKVFADAKMWKLASDIKQDMQSAGVRLNLVTWSSLINAYANSGLVDRAIEILEEMTRDGCQPTAPCFNIILTGCVKSCQYDRAFRLFYDWKEYGVKISLSPEQKGCFGDNFSYCEEHTSNSSTLLVVPFRPTVTTYNILMKACGTNGERAKSVMNEMRRNGLCPDLISWSILMDIYGSSQNRDGAIQALRRMQRVGMKLNVTAYTVAIKACVENKDLKLALHLFEEMKAHQLKPNLLTHDRYQANDYYLKNLIVEWCEGVLSSGNGNREYYQLDQRKESFKLVLEKVTTFLQKDVDQNQTVDVRGLSKVESRVVVLSVLRKIKEKYLLGRAVQDDVVIITGHGKASSAKAETSVVEVEHAIVAVLTDELGLEVLIGPGSRPASSKPTVPARSRSHLDLASKHFSRRPQGMIKIPINSLNHWLKRKAVRTVQ >ORUFI03G13750.1 pep chromosome:OR_W1943:3:9497203:9501655:1 gene:ORUFI03G13750 transcript:ORUFI03G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLGSGRFLARRPPLALAPRCSRGSPEKGGGSDKGDTSSTDWDKAWSTFKKKGKKTLFSEFSPNKYVSWNPRRSEYPLSEEVDPIRRTERSNLMLWTSPKFTLVMAIVIVSTLLIYTIVVPPNWNVEP >ORUFI03G13750.2 pep chromosome:OR_W1943:3:9497203:9501103:1 gene:ORUFI03G13750 transcript:ORUFI03G13750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLGSGRFLARRPPLALAPRCSRGSPEKGGGSDKGDTSSTDWDKAWSTFKKKGKKTLFSEFSPNKYVSWNPRRSEYPLSEEVDPIRRTERSNLMLWTSPKFTLVMAIVIVSTLLIYTIVVPPK >ORUFI03G13760.1 pep chromosome:OR_W1943:3:9502369:9502548:1 gene:ORUFI03G13760 transcript:ORUFI03G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQQDTRRPLPRRGQVKAGIFASLFRCIFPGEKEASQKLKEGNSGGGGGGGRRVVPRG >ORUFI03G13770.1 pep chromosome:OR_W1943:3:9507029:9507232:1 gene:ORUFI03G13770 transcript:ORUFI03G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGQDHDERKKSHGNPYPRRGDIKRKIVQDVFGKSSDPPATSKPAGAGNGDGGDDAAAAGSYYGHY >ORUFI03G13780.1 pep chromosome:OR_W1943:3:9517783:9521401:1 gene:ORUFI03G13780 transcript:ORUFI03G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYPVVSAEYQEAVEKARQKLRALIAEKSCAPLMLRLAGGFPWTDPVFVETDRGRWHSAGTFDVSSKTGGPFGTMKTPAELSHAANAGLDIAVRMLEPIKEEIPTISYADFYQLAGVVAVEVSGGPAVPFHPGREDKPAPPPEGRLPDATKGSDHLRQVFGAQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTRNPLQFDNSYFTELLSGDKEGLLQLPSDKALLSDPAFRPLVEKYAADEKAFFEDYKEAHLKLSELGFADA >ORUFI03G13790.1 pep chromosome:OR_W1943:3:9522387:9524665:-1 gene:ORUFI03G13790 transcript:ORUFI03G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAPVAEFRPTMTHGGRYLLYDIFGNKFEVTNKYQPPIMPIGRGAYGIVCSVMNFETREMVAIKKIANAFNNDMDAKRTLREIKLLRHLDHENIIGIRDVIPPPIPQAFNDVYIATELMDTDLHHIIRSNQELSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPSSESDMMTEYVVTRWYRAPELLLNSTDYSAAIDVWSVGCIFMELINRQPLFPGRDHMHQMRLITEVIGTPTDDELGFIRNEDARKYMRHLPQYPRRTFASMFPRVQPAALDLIERMLTFNPLQRITVEEALDHPYLERLHDIADEPICLEPFSFDFEQKALNEDQMKQLIFNEAIEMNPNFR >ORUFI03G13790.2 pep chromosome:OR_W1943:3:9522387:9524665:-1 gene:ORUFI03G13790 transcript:ORUFI03G13790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAPVAEFRPTMTHGGRYLLYDIFGNKFEVTNKYQPPIMPIGRGAYGIVCSVMNFETREMICDFGLARPSSESDMMTEYVVTRWYRAPELLLNSTDYSAAIDVWSVGCIFMELINRQPLFPGRDHMHQMRLITEVIGTPTDDELGFIRNEDARKYMRHLPQYPRRTFASMFPRVQPAALDLIERMLTFNPLQRITVEEALDHPYLERLHDIADEPICLEPFSFDFEQKALNEDQMKQLIFNEAIEMNPNFR >ORUFI03G13800.1 pep chromosome:OR_W1943:3:9527480:9529672:-1 gene:ORUFI03G13800 transcript:ORUFI03G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDHYGSTRLYVGRLSSRTRSRDLEYLFGRYGRIREVELKRDYAFIEFSDTRDADEARYNLDGRDVDGSRILVEFAKGVPRGAAGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRNLSRSRSRSLSGSPRGRRDRDDRRSRSLSYSRSPRRSISPAANGKERSPSPNGRRSPRSPQDRVSPPPKDNDEHNGDSPRGRENSRSPSDGYRSPVAANGHSPSPRNNGSPSPMDNNSRSPRDNGSPSPRDGNGDGGSRGGSRSPRASESPEA >ORUFI03G13810.1 pep chromosome:OR_W1943:3:9535022:9535288:1 gene:ORUFI03G13810 transcript:ORUFI03G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADYFFGPELDDLMQWLGDGDVGRKGTLPTKKEAREAMPTVEVTAGHSASAFATASTVCREDYAAGEHATGTPYRHRFHASALCHG >ORUFI03G13820.1 pep chromosome:OR_W1943:3:9538794:9543916:1 gene:ORUFI03G13820 transcript:ORUFI03G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTACLRLPFLPARTRPSSSSSSSPRRAARASSIKCCAAASDAGASSASISSASPRRPDVVNGVGPAGVDGLAGPPVPVPDSPAPASRDLHWLPRPLTSADLMGVSGEGLKVAYQGCPGAYSEAAAKKAYPNCQTVPCEHFDTAFKAVENWLADRAVLPLENSLGGSIHRNFDLLLRHRLHIVGEVRLAVRHCLLANPGVKIENLKSAMSHPQALAQCEHTLTEFGIEHREAVDDTAGAAKTVAEQNLQDTGAIASSLAAELYGLNVLAENIQDDKDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALGVFALREINLTKIESRPHKKRPLRITDDSFSTPSKQFDYLFYMDLEASMADPKTQNALGNLKEFATFLRVLGSYPTDDYQGWIERGLSISQRVGKSSIISRLMLI >ORUFI03G13830.1 pep chromosome:OR_W1943:3:9547269:9552031:1 gene:ORUFI03G13830 transcript:ORUFI03G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKGGAAPSPGGAGGLPNGRFFTVGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMSACALLSYAAIAWLRVVPMQLVRSRVQLAKIAALSLVFCGSVVSGNVSLRYLPVSFNQAVGATTPFFTAVFAYIMTVKRESWVTYLTLVPVVTGVMIASGGEPSFHLFGFIMCIGATAARALKTVLQGILLSSEGEKLNSMNLLLYMAPIAVILLLPATIFMEDNVVGITIELAKKDTTIVWLLLFNSCLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYTLTVIGVILYSESKKRNKP >ORUFI03G13840.1 pep chromosome:OR_W1943:3:9552313:9552701:-1 gene:ORUFI03G13840 transcript:ORUFI03G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGDKEDEKEEDGSNVHGKGKGEDISNLKDGSIMDNGGQNSGSIFRERGFFYSIYPEYDGLAQDTTVVESVQSQDSLALKLHVVPEALIAPTRQIKRRASDRLASHCKGQKR >ORUFI03G13850.1 pep chromosome:OR_W1943:3:9553718:9555960:-1 gene:ORUFI03G13850 transcript:ORUFI03G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMGGQPQQTPPPPQVVQVQQPAAAFGDTTLTKVFVGGLAWETHKDTLREHFERFGDILEAVIISDKLTGRSKGYGFVSSTTPRSHSRFRVATCARFGFLTVVWLQVTFKEADAAKKACEDATPVINGRRANCNLASLGAKPRAQPPHLLRPSPPATPGPHAPALPSPHHHQPAPAIAVGSRGVSPVPWYYHPSTTPPPPPPPAAHYAAHGGHHQQYHGVLPFYPAATTYGYSPNYVADLSYNAKLGQAAAAHGTNGAYLQGHFSYPAAAQGGMLAANGMMPVYPYYQYHYHGAQGLGVPAAHFFPPVSAAAVTTVPAIISKPTVMAPPKVEQVTGCS >ORUFI03G13860.1 pep chromosome:OR_W1943:3:9565815:9566879:-1 gene:ORUFI03G13860 transcript:ORUFI03G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIPLDPVARQVLRGGAGRGLLPPPRRLRFLVGALVSGSAMVWLQDNFGWLVGFGVPALCTVLAMASFLLGSAMYRHQPHGRTVVRACQVVVAAISKRNVALPHDSFVLYDGPPKCGQKLVHTDQFRFLDNAARLRRRWRCHRWWGGEAAAAAAQPWRLCTVTQVDELKVIVRMLPVWATGIVYCMVLVQQPLFTVQGRAMRRRLGFGAGAFAIPAASLHSVYAAAMLILVPLYDAAVVPAVRRLTSNKRGLTEMQRIGAGMTLSVAAMAAAATVEGRRLAVGKAAARLGSGKRQAEQQFGGGGDGAVSATTSSPRRQRGAGCWKRRARPWRRTS >ORUFI03G13870.1 pep chromosome:OR_W1943:3:9568526:9572253:1 gene:ORUFI03G13870 transcript:ORUFI03G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASEAACRRAASCFFEVGRQEIGSSTPRASSRRISGSEGLVMRMHQYGKLRGHDGCVNTVSFNPAGNLLVSGSDDMDIILWDWLAKTQRLIYPSGHQENVFHARVMPFTDDSAIVTVAADGQVRVGQLNEGGEVTTKQIGVHDDRAHKLAIEPGSPYIFYSCGEDGLVQHFDLRNDSPMKLFSCYSFSNSRRRVRLNTIAIDPWNPNYLSIGGSDEYVRVYDLRRIQLGASNDMNQPVDTFCPKHLMGGKVHITGIAYSYAREILVSYNDEHIYLFQNNMGLGPNPESTQAEFLDRLEQPQAYTGHRNFRTVKGVSFFGPNDEYVLSGSDCGNVFVWRKKGGELLRMMHGDKSVVNCIEPHPHFPFLATSGIDKTVKIWTPSANKVMSLPKNAKQIIASNKRAREIDASRPELTLSSDLIMHVLRLQRRQSELYREHEPATADLASDDDESFFAGFDDANRNGLANDATRGTQILMIRAGKIKRLGLKSVTTYLDSVVNQECYWVFSSHV >ORUFI03G13880.1 pep chromosome:OR_W1943:3:9575352:9575640:-1 gene:ORUFI03G13880 transcript:ORUFI03G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATFLEVLLAIFLPPVGVFLRYGLGIEFWIDLLLTILGYIPGIIYAVYVLVA >ORUFI03G13890.1 pep chromosome:OR_W1943:3:9580067:9582164:-1 gene:ORUFI03G13890 transcript:ORUFI03G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIKEFRIVMPMSMEEYEIGISYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQYTSKNYHLQSKIPSWMKGFAPASALTVHEESWCAYPNSRTVIKCPLFSKCSLTIDTVIRPDNGCSENAHNLTSEQLAAREVEVVDIASQSRDYWSKVISAPNVDLTAFRSQRTSRGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTLDHIREMERQTDLLLKKTLKKPAKAGSKHDGKRKTLKEEIVAVGSCT >ORUFI03G13890.2 pep chromosome:OR_W1943:3:9580067:9582164:-1 gene:ORUFI03G13890 transcript:ORUFI03G13890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIKEFRIVMPMSMEEYEIGISYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQYTSKNYHLQSKIPSWMKGFAPASALTCPLFSKCSLTIDTVIRPDNGCSENAHNLTSEQLAAREVEVVDIASQSRDYWSKVISAPNVDLTAFRSQRTSRGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTLDHIREMERQTDLLLKKTLKKPAKAGSKHDGKRKTLKEEIVAVGSCT >ORUFI03G13890.3 pep chromosome:OR_W1943:3:9580067:9582164:-1 gene:ORUFI03G13890 transcript:ORUFI03G13890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIKEFRIVMPMSMEEYEIGISYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQYTSKNYHLQSKIPSWMKGFAPASALTVHEESWCAYPNSRTGFLIICYQCPLFSKCSLTIDTVIRPDNGCSENAHNLTSEQLAAREVEVVDIASQSRDYWSKVISAPNVDLTAFRSQRTSRGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTLDHIREMERQTDLLLKKTLKKPAKAGSKHDGKRKTLKEEIVAVGSCT >ORUFI03G13900.1 pep chromosome:OR_W1943:3:9591759:9592564:-1 gene:ORUFI03G13900 transcript:ORUFI03G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAGNTVANVTVAQQPHYGRSVSPPSRVSSCSPPPPPVGTASLLVGNTSSSSSPTTTVVLSPCAACKVLRRRCADGCVLAPYFPPTEPTKFTTAHRVFGASNIIKLLQELPESARADAVSSMVYEAEARLRDPVYGCAGAVCRLQKEANELKVQLARAQADLLNARAQHDNLVALVCVELAHRRRDDDQQLEYQAPAPPLPHPAEYCSGGGGVCAPPDPPFSHPVIQICMVSAAS >ORUFI03G13910.1 pep chromosome:OR_W1943:3:9597289:9600887:-1 gene:ORUFI03G13910 transcript:ORUFI03G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRTPPARTAVPPRVQTQPFRRWESPGGTRSGRPQRAPTQPPNPVVPPFHLRRRRSGLITPGRIGCFDLPHSAALFGGGAT >ORUFI03G13920.1 pep chromosome:OR_W1943:3:9608098:9615253:1 gene:ORUFI03G13920 transcript:ORUFI03G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLSLSLSLCCWFKGVSEFRSGVQDGEGDRRYMRIKEKENLPSRSRRRVRSLRQDERRRSPSGEESWGGEGILLGHRYKECRPRRNNSPTKILVGKDLLKELEHRRSSPSVIAKLMGIDVLPPAYVTHNWHQDFKDVFEVSEEPQEAIVKERSHHFPKGLPSLKRSALKLKKLMPSMSPYGDGPFDNNVVCRDGFDRLNSLEINNPLFEKRPHDMNYSAKHQYEKETSSTFRKYPAGLGNTSLKDIRNSSRGKLEDFNSIVVLEPGLGKVQESGKAFCTPDPSHINKNFRREMQQADFSMQNRGRVSPNLLDTEDVDVSRIKRERYLSRNAVDSLLEGKESSFDHHNVPDTSSSSSQNCVSGEVNSRQSNRPSSNSSPRKNRQKYEEGSVGSKTLAEMFALSDSERLKRDSDSHAQIRHNKLNRGNSNGKEGCFIVLPKHAPRLHPHSSLDKNSPHSNFIPNTSNTYHSGQSHFNSFCDISRLQQIGSPSQDNLRNACAKHQTLRQHRSASPSHDNRNHSRCSTDNFSTFDCINEKILFTTDEDLVKKPAETVHSSFESCGEEKVSASPFNCRDYESITVSDHSYVAKSHKSLKEVGQPSPVSILEPPTDEDSCCSGYFKCNLQDMPNVEKLIDDCELRYEQVSLSSDDDSGSSYRSLEAFQVEEERGFSYLLDMLINSGMIVADSQLLCKSWHSPGCPVGPQVFDRLERKYNKISAWPRPERRLLFDLANTVLSEILAPWKSSRRCCPVWGPEGPVEVVWQTMVRRQEELAVGHPDDKVLDPEWLEVGEDINTVGKQIAKMLHGDLLDEIILEFLSGCVAS >ORUFI03G13920.2 pep chromosome:OR_W1943:3:9608356:9615253:1 gene:ORUFI03G13920 transcript:ORUFI03G13920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLSLSLSLCCWFKGVSEFRSGVQDGEVIFKMEQISLGHRYKECRPRRNNSPTKILVGKDLLKELEHRRSSPSVIAKLMGIDVLPPAYVTHNWHQDFKDVFEVSEEPQEAIVKERSHHFPKGLPSLKRSALKLKKLMPSMSPYGDGPFDNNVVCRDGFDRLNSLEINNPLFEKRPHDMNYSAKHQYEKETSSTFRKYPAGLGNTSLKDIRNSSRGKLEDFNSIVVLEPGLGKVQESGKAFCTPDPSHINKNFRREMQQADFSMQNRGRVSPNLLDTEDVDVSRIKRERYLSRNAVDSLLEGKESSFDHHNVPDTSSSSSQNCVSGEVNSRQSNRPSSNSSPRKNRQKYEEGSVGSKTLAEMFALSDSERLKRDSDSHAQIRHNKLNRGNSNGKEGCFIVLPKHAPRLHPHSSLDKNSPHSNFIPNTSNTYHSGQSHFNSFCDISRLQQIGSPSQDNLRNACAKHQTLRQHRSASPSHDNRNHSRCSTDNFSTFDCINEKILFTTDEDLVKKPAETVHSSFESCGEEKVSASPFNCRDYESITVSDHSYVAKSHKSLKEVGQPSPVSILEPPTDEDSCCSGYFKCNLQDMPNVEKLIDDCELRYEQVSLSSDDDSGSSYRSLEAFQVEEERGFSYLLDMLINSGMIVADSQLLCKSWHSPGCPVGPQVFDRLERKYNKISAWPRPERRLLFDLANTVLSEILAPWKSSRRCCPVWGPEGPVEVVWQTMVRRQEELAVGHPDDKVLDPEWLEVGEDINTVGKQIAKMLHGDLLDEIILEFLSGCVAS >ORUFI03G13930.1 pep chromosome:OR_W1943:3:9620864:9625429:1 gene:ORUFI03G13930 transcript:ORUFI03G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTDHGGAGGRGKKGSGSQLWKKALLHSSLCFVMGFFTGFAPSSVSDWTSAAVSAGGVGSSHVVRSLHATGGAAVNRSLLAQAAAGAVDAGPQPLLVVVTTTESTPSAAGQRAAALTRMAHTLRLVPPPLLWVVVEANPDVAATARLLRTTGLMYRHLTYKDNFTVADAAAGKERHHQRNVALGHIEHHRLAGVVLFAGLGDTFDLRFFDQLRQIRTFGAWPVATMSQNERKVVVQGPACSSSSVAGWFSMDLSNATSPVAVGGAGYGAAAARPRELDVHGFAFNSSVLWDPERWGRYPTSEPDKSQDSVKFVQQVVLEDYSKVRGIPSDCSEVMVWHVNTTPLPSSQPSPQNKR >ORUFI03G13940.1 pep chromosome:OR_W1943:3:9627617:9629636:-1 gene:ORUFI03G13940 transcript:ORUFI03G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAPGRRARLLVALALVVLVALAARSGAEVITLTEETFSDKITEVGRARRVQIKEKDTVWFVKFCVPWCKHCKNLGTLWEDLGKVMEGADEIEIGQVDCGVSKPVCSKVDIHSYPTFKVFYEGEEVAKYKGPRNVESLKNFVSDEAEKAGEAKLQDS >ORUFI03G13950.1 pep chromosome:OR_W1943:3:9631567:9632983:1 gene:ORUFI03G13950 transcript:ORUFI03G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCGCGSNCTCGKMYPDLEEKSSSAQATVVLGVAPEKAHFEAAAESGETAHGCGCGSSCKCNPCNC >ORUFI03G13960.1 pep chromosome:OR_W1943:3:9633393:9633719:1 gene:ORUFI03G13960 transcript:ORUFI03G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIGYDARRRSRCRPGRRPPTQNHHTDKWQHVASTTPHRRPFVGRLHRTTTPESWPKTPLFHHPPAASLVLAKGEPGTRWHCIGSLSFPR >ORUFI03G13970.1 pep chromosome:OR_W1943:3:9640170:9642507:1 gene:ORUFI03G13970 transcript:ORUFI03G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTASLRCYPLLGSAAASSVSLPASTRAPVLHRTTGGPRRRLQRCLCSQQYAEKQSSGSQQQQLERLFSNLNQATMKHEPGSVTSSIFLVAGTTIVTDWVDYQNRLVRGDMHSEKPLKGCNRVVSC >ORUFI03G13970.2 pep chromosome:OR_W1943:3:9640170:9642507:1 gene:ORUFI03G13970 transcript:ORUFI03G13970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTNSHKDNTNKIGLQLQTKNTGNGVNCFSSLLPSTGLSSSIVSLTSGLHKSAGASQDNRRTEEKAATMPVLAAVRREAVIRLAAAAAGATLLQSQPGNHEARTCSIFLVAGTTIVTDWVDYQNRLVRGDMHSEKPLKGCNRVVSC >ORUFI03G13970.3 pep chromosome:OR_W1943:3:9641920:9642356:1 gene:ORUFI03G13970 transcript:ORUFI03G13970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRWSRHPGCRHRPSEKDVVFTQRSSSRKGEGNPRQRPKKVTTPEDVAAAGPAFAWDPTTLTAGRRPPTQNHHTDKWQHIASTTPHRRPSVGRLHRATTPESWPKTPLFHHPPAASPVLAKGEPGTRWHCIGSLSFPR >ORUFI03G13980.1 pep chromosome:OR_W1943:3:9643937:9644206:-1 gene:ORUFI03G13980 transcript:ORUFI03G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRHWRKAEPLVGDGAVAPTEPWTSRPNCGGERHGGGDQAAVEGVTGVVGEDGSGIGDDRSSGSGDRRQEPMRQWWREAPRGRGRRRR >ORUFI03G13990.1 pep chromosome:OR_W1943:3:9644037:9647956:1 gene:ORUFI03G13990 transcript:ORUFI03G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSSPTTPVTPSTAAWSPPPCRSPPQLGLLVQGSVGATAPSPTSGSAFRQCRCSIVLRWSSLPSRLRSCRHYEGHTGRSSSSGKRRDGGGEQWRQLGVVSSEWRRRLLLGLLRHAPPPCHVTSPWPPLAILGYPKFRMLPQALPVAVLDGERRR >ORUFI03G13990.2 pep chromosome:OR_W1943:3:9644844:9647956:1 gene:ORUFI03G13990 transcript:ORUFI03G13990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQAVAGRTAGRSSSSGKRRDGGGEQWRQLGVVSSEWRRRLLLGLLRHAPPPCHVTSPWPPLAILGYPKFRMLPQALPVAVLDGERRR >ORUFI03G14000.1 pep chromosome:OR_W1943:3:9648563:9649191:1 gene:ORUFI03G14000 transcript:ORUFI03G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVVQLQQLVVVVGGVALNARMLLPRRLFSIRIFRYSDIPLVDHKRIPQGYQTTNDSHTN >ORUFI03G14010.1 pep chromosome:OR_W1943:3:9654127:9659887:1 gene:ORUFI03G14010 transcript:ORUFI03G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPEQPLPRPLQRSPRKSIPVVLVGPTQQPLIYFPVPPPFQPSLPLPQPGKLPFTPTKRERAHTATREWGYSRRSDRRRGGVMAAAATMTWHEELATLVGDTGVRFPGAGGGSAANVAAAVGGGWYRGEEEDGEGRAVEEEGWAQQARGFLESTAEMLRVLGRGLWDIAAQSLAGAEDSELARRLRGPAAAAGKRLSFMNEYLPEDRDPVWCWVVVAAVAFVTLIVLGVGSVDDTPVELPKKLYIGPPSAKTIQLPDGRHLAYKEQGVTADRARFSLIAPHSFLSSRLAGIPGIKPSLLEEFGARLVTYDLPGFGESDPHPGRDLNSSAHDMLHLAGALRIVDKFWVVGYSAGSIHAWSALRHIPDRVAGAAMFAPMANPYDSKMTKEERRKTWERWSTKRKLMHILARRFPALLPLFYHRSFLSGKQGQPESWLSLSLGKKDKTSLESPMFNAFWEKDVAESVRQGDAQPFVEEAVLQVSDWGFSLSDIQMQKREDLSFFELIKSLFRQAEREWVGFLGPIHIWQGMDDRVVPPSVTEYVRRVVPGATVHKLLDEGHFSYFCFCDECHRQIFSTLFGIPQGPINPVPEPIEVASELTEETTVPDKAKEEEQDISDLA >ORUFI03G14020.1 pep chromosome:OR_W1943:3:9660856:9663053:1 gene:ORUFI03G14020 transcript:ORUFI03G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHLSL >ORUFI03G14030.1 pep chromosome:OR_W1943:3:9663127:9668787:1 gene:ORUFI03G14030 transcript:ORUFI03G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAAAAAAAGEIPAEDAPPPGALYSFGTPWPEFNEGISYIDTFRCADAGATTTLIEFYSTSYKSSAPLPGWIKRIRDGQITVDGEVATDPDMILREGSKLVYHRLPWQEPFAPHLLDVLYEDDDMIALNKPSGLQVLPKGLFQQRTVLAQLQLKDWKMPSSFCSKRKDAQSHPVPVHRLGRGTSGLLLCAKTKLAKAQLAAYFAEGATNAGKSRDETDICKARKISKFYRALVTGILENDEVMITQPIGLVRYPGVAEGLYAACSSGKPAMSKVRVLERLKIHNHTLIQVEIHSGRPHQIRIHLAYIGHPLVEVMRDLCNLSLEIVGTTYMHIG >ORUFI03G14040.1 pep chromosome:OR_W1943:3:9669092:9675342:1 gene:ORUFI03G14040 transcript:ORUFI03G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPATRLPEADSLPDGFVESSAADQAPPPPADAGDPASRSLGLDQADATVGGGGGDETLGAPPSTLASVAQDTLDAYSAADALQSLTVGGSAAEPERALGEPAVDAGDAKESSKESSVVEQVESMADQKVVIAEGSGEQKRKVVKKSKVEKDRELFELAQAYHKVVAERDAGKLLSSIAVKEKLESLCREFQRQNKMLKEECRRVSTEGQNMRMELSDKFNNAIKDVSVKLDEQKNECIAQLEENNLLRSKLKDLADQYNITQQKYAHQLKEKMLELELADLKMQQHQEKTAQEQTQMQLYADQVSQLMSTEKNLRLQLAADGERFQQFQDALTKSNEVFETYKKEMEKMVKLIKDLKKDNEFMKSKCENSDVALVKLIEERELMKKQVDKFKNQKEKLESLCRSLQAERKQSPSGGTPDATSNETNLATIES >ORUFI03G14040.2 pep chromosome:OR_W1943:3:9669092:9675342:1 gene:ORUFI03G14040 transcript:ORUFI03G14040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPATRLPEADSLPDGFVESSAADQAPPPPADAGDPASRSLGLDQADATVGGGGGDETLGAPPSTLASVAQDTLDAYSAADALQSLTVGGSAAEPERALGEPAVDAGDAKESSKESSVVEQVESMADQKVVIAEGSGEQKRKVVKKSKVEKDRELFELAQAYHKEECRRVSTEGQNMRMELSDKFNNAIKDVSVKLDEQKNECIAQLEENNLLRSKLKDLADQYNITQQKYAHQLKEKMLELELADLKMQQHQEKTAQEQTQMQLYADQVSQLMSTEKNLRLQLAADGERFQQFQDALTKSNEVFETYKKEMEKMVKLIKDLKKDNEFMKSKCENSDVALVKLIEERELMKKQVDKFKNQKEKLESLCRSLQAERKQSPSGGTPDATSNETNLATIES >ORUFI03G14040.3 pep chromosome:OR_W1943:3:9669092:9675342:1 gene:ORUFI03G14040 transcript:ORUFI03G14040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPATRLPEADSLPDGFVESSAADQAPPPPADAGDPASRSLGLDQADATVGGGGGDETLGAPPSTLASVAQDTLDAYSAADALQSLTVGGSAAEPERALGEPAVDAGDAKESSKESSVVEQVESMADQKGSGEQKRKVVKKSKVEKDRELFELAQAYHKEECRRVSTEGQNMRMELSDKFNNAIKDVSVKLDEQKNECIAQLEENNLLRSKLKDLADQYNITQQKYAHQLKEKMLELELADLKMQQHQEKTAQEQTQMQLYADQVSQLMSTEKNLRLQLAADGERFQQFQDALTKSNEVFETYKKEMEKMVKLIKDLKKDNEFMKSKCENSDVALVKLIEERELMKKQVDKFKNQKEKLESLCRSLQAERKQSPSGGTPDATSNETNLATIES >ORUFI03G14050.1 pep chromosome:OR_W1943:3:9673238:9675156:-1 gene:ORUFI03G14050 transcript:ORUFI03G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFQEMAAEVPPSLKAITLTHVRYRRGDTLGLFLAWVSLVPVFISLGGFVSHFLFRRELQGICFAAGLLASQLLNELIKHSVAQSRPVYCELLEACDSHGWPSSHSQYTFFFATYLSLLTLRRSPSSRVVASLAWPLAFLTMLSRVYLGYHTVAQVFAGAVVGLVFGAIWYWIVNTMLVEYFPMIEESAIARWLYIKDTSHIPDVLKFEYDNARAARRKVATD >ORUFI03G14060.1 pep chromosome:OR_W1943:3:9676947:9681416:1 gene:ORUFI03G14060 transcript:ORUFI03G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQQGGGGGCGGGKARDKFSVYRNPSLARALASRSVRPSLPVLVLLALSPIASASSILVLSSWEGQLVKVAGRVGLSMAAAVLVFRLIEAALGLVALFTLPAFFRAVMLYNGKRALAKEDKVVLSERQLGLLGLKMTGSEAGGTSEKTKRPPKAKPSTPSEPIVPIRRSSFSYTPSRSLGQSRIGSSNLSPGGERLTTSVQISPSTPLQKPISSPSTPWSRKSSGSAKGIQTEAMLEQFLAGLDENIDTITDSASKIATPPATITSFGAASPVSVITSTTPSGAARSTPLRAVRMSPGSHQKYSTPPKKGEGELPPPMSLEQAVNAFENLGVYPEIEQWRDNLRQWFSSVLMNPLVQKIKTSHIQVKQTTATVGASVTVSQVGSDLPSTTAPVGLSPLGGTKDWQPTITVDEDGILNQLRTALLHSRDTPVAQTFGSPQQPQQNPLLPSIQACIDAITEHQRLNTLMKGELIKGLLPQSSVHADYTVKRVQELAEGTCLKNYDYMGHGDGCGKLEKKWISELPTDSLLLLYLFAAFLEHPKWMLHVDPTSYSGAQSSKNPLFLGVLPPKERFPEKYVALISGVPAVIHPGALILAVGKQSPPIFALYWDKKLQFSLQGRTALWDAILLLCHQINVGYGGVVRGIHIGSSALNILSVLDSDMES >ORUFI03G14070.1 pep chromosome:OR_W1943:3:9681439:9684949:1 gene:ORUFI03G14070 transcript:ORUFI03G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCCRDGGLLDGEARSGGPDLARAHCGRRWPGPPKGAPWSSERSVRFKKFQTHAPNGNSPTSPTFPTATSQSQSNPFVSLPQFPPIPIERLVSSPPRLPRSLVVVVVAMDPHPTPFAGKRRSVAAAPAKIAAPKPKSIASTRTKTTRKSPPAPPPPRPRRAFGTVRSSNAHDAPEKPPPLQKAPKVSPPPPQKPDKVSPPPAQKPSKVSPQPPPPQKSAKVSPPPAAKPPKLSPPNLAKATKPSRLAAKPPKKAAPGPELDPKPRKKAQRVSFQEDAAMSVAPGSGEKVKVSTDDAAGHTPMVAVRALEKKVSVVASAETPFFSAQNCSNCSLDPLEESTYWLAHIHLAESVGKHRVAAAFFQLAFECQAQPIHRIQSELRNYTVRHESASTLTTLFDELLLAHGGIPVNQPKFETDGFEVVDTPLTTDSDDKRLDSTTTQVDERCSECDCGGDIVDVAVPSIVKPLEEGMDQPSFERKLNDGFEFDDCEAVIVDKLVGGHSDLEKIVDVNGPSDSETMQSACRSSIDRLSLKGSPVVSGLSQRQLSSDSPLDKLSPSARSLSAKRLSSVSPLDKKSPFGSSSSKRLTSSCPSSKKSFSSKALSSKRMSSGNASAGVGDLNEVIADMEFDCPASDDQLELKEHGDSEIN >ORUFI03G14080.1 pep chromosome:OR_W1943:3:9685219:9685675:-1 gene:ORUFI03G14080 transcript:ORUFI03G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEFMIRFEVLLRFLESTYVTFKERAYEREQPTSFYSLWWRTMECFIVQQSSSYQRVKCCKAKEVWRENKDGGEVQCNGLVGEKRKDEDGAMDIPLYTYSL >ORUFI03G14090.1 pep chromosome:OR_W1943:3:9688185:9694258:1 gene:ORUFI03G14090 transcript:ORUFI03G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNAKGGGHSEALKNYNLGRTLGIGSFGKVKIAEHKLTGHRVAIKILNRRQMRNMEMEEKAKREIKILRLFIHPHIIRLYEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHQWFQIRLPRYLAVPPPDTAQQAKMIDEDTLQDVVNLGYGKDHVCESLRNRLQNEERNFNRFASSESASSNTRHYLPGSSDPHASGLRPHYPVERKWALGLQSRAQPREIMIEVLKALQDLNVSWKKNGQYNMKCRWSVGTQATDMLDVNNSFVDDSIIMDNGDVNGRLPAVIKFEIQLYKTRDEKYLLDMQRVTGPQLLFLDFCADFLTKLRVL >ORUFI03G14100.1 pep chromosome:OR_W1943:3:9698746:9701793:1 gene:ORUFI03G14100 transcript:ORUFI03G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFPVPPVVFTPSTPTHRRHPPPGTGPSPPPAFAPPRPSTSSGANPLPFMSFDVGNAATSSSPPLFAGPIGVGGSGASFEDEPPLLEELGINTRQIWRKTLSILHPLRSADPSLHADADLSGPFLFLLSFGLFQLLAGKFHFGIVLGWVTVASLFLYFVFSMLSGGRRGDLDLYRCVSLVGYCMLPMVIFSAISLFLPRGGGLIFGVGMGFVLWSTRVCTRLLAELASSGDEHRGLIAYACWLVYMLFSLLVIMFRILLPYEVESCQSTSRWSGLGVFCWTTDYHASWEILCNDLFLFHPVVPVAW >ORUFI03G14110.1 pep chromosome:OR_W1943:3:9705996:9711704:1 gene:ORUFI03G14110 transcript:ORUFI03G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARCAFRLTRLRREPDAEPGRAGKQGKSVCAAKVHEGGAGAGDAQMGTYKCCIFFTRRFALSDASTPGDVRMLFTRHAGGAPYMGIDELRRYLAASGEAHVDADTAERIIDRVLQERSRTPRFGKPSLTIDDFQYFLFSEDLNPPICHSKEVHHDMNAPLSHYFIYTGHNSYLTGNQLSSDCSDIPIIKALQIGVRVIELDMWPNSSKDDVDILHGRTLTAPVSLIKCLKSIKEYAFVASPYPVIITLEDHLTSDLQAKVAKMVLEVFGDTLYYPESKHLQEFPSPEALRGRVILSTKPPKEYLESKGGTMKDRDIEPQFSKGQNEEAVWGTEVPDIQDEMQTADKVLLHENDILYTQRDVEEDDEKKMCQHHPLEYKHLITIKAGKPKGAVVDALKGDPDKVRRLSLSEQELAKVAAHHGRNIVSFTHKNLLRIYPKGTRFNSSNYNPFLGWVHGAQMVAFNMQGYGRSLWLMHGFYKANGGCGYVKKPDFMMQTCPDGNVFDPKADLPVKKTLKVKVYMGEGWQSDFKQTYFDTYSPPDFYAKVGIAGVPSDSVMQKTKAVEDSWVPVWEEEFVFPLTVPEIALLRVEVHEYDVSEDDFGGQTALPVSELRPGIRTVPLFDHKGLKFKSVKLLMRFEFV >ORUFI03G14110.2 pep chromosome:OR_W1943:3:9705996:9711274:1 gene:ORUFI03G14110 transcript:ORUFI03G14110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCQHHPLEYKHLITIKAGKPKGAVVDALKGDPDKVRRLSLSEQELAKVAAHHGRNIVSFTHKNLLRIYPKGTRFNSSNYNPFLGWVHGAQMVAFNMQGYGRSLWLMHGFYKANGGCGYVKKPDFMMQTCPDGNVFDPKADLPVKKTLKVKVYMGEGWQSDFKQTYFDTYSPPDFYAKVGIAGVPSDSVMQKTKAVEDSWVPVWEEEFVFPLTVPEIALLRVEVHEYDVSEDDFGGQTALPVSELRPGIRTVPLFDHKGLKFKSVKLLMRFEFV >ORUFI03G14120.1 pep chromosome:OR_W1943:3:9721073:9725661:-1 gene:ORUFI03G14120 transcript:ORUFI03G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCFNGGAGWPEPVVRVQAVSDSCGATIPERYVKAPSERPSSLDGGGGLNNIPVVDMSMPDGEETARAVAAACREWGFFQAVNHGVRPELLRRARAAWRGFFARPAEAREAYANSPATYEGYGSRLGTAKGGPLDWGDYYFLHLLPAALKSHDKWPTSSVPAGGGGLREATEEYAEEVVRLCRRVMRLLSRGLGLDDGRLQAAFGGVGGEGACLRVNFYPRCPQPELTLGVASHSDPGGMTMLLVDDHVRGLQVKNAGHWITVDPVPDAFIVNIGDQIQVLSNAVYKSVEHRVTVSAAEERLSLAFFYNPRSDLPLAPMPELVAPPARPALYPPMTFDEYREHIRRCGLSGKAQLQSQQMATAICGAPPAATASSSSSSSASSLAR >ORUFI03G14130.1 pep chromosome:OR_W1943:3:9739225:9739848:1 gene:ORUFI03G14130 transcript:ORUFI03G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFVRFASQQVRWTGTAGTTGDCCSTASSSLAGKGHSAVYTADGARFEVPLVYLGMMVFGELLAMSQEEFGFAGDDGRITLTCDALVMEYVMCLISIDASEEVERVFLSFMASSCHCVGGTSHQFYDVGERRAVRDRLPRLAEVGLHAAAGRGAAEEVIVGVDAAVLEREAGGAGLGGDGVLLAVEGRRMMMSQCWKTAVTSPKMS >ORUFI03G14140.1 pep chromosome:OR_W1943:3:9755860:9758695:-1 gene:ORUFI03G14140 transcript:ORUFI03G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLSECCGLTPLRLRGRGAIALPAPPSLAAGPRRPVSAAAAGGAIHREWALRVSAPTRLTSVVEEDNRGEEVVEEEARGSLAAAEAAAGEVGGDGDGFDPGAPPPFGLAEIRAAIPKHCWVKDPWRSMSYVLRDVVVVLGLAAAAARVDSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSSNAKLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVEKDESWQPLSERLYNSLDYMTKKLRFTMPFPMLAFPLYLFARSPGKKGSHFNPSSDLFQPNEKKDVITSTASWLAMVGILAGLTFVMGPLKMLKLYAVPYVIFVMWLDFVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGWINNVHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYKEPEKSAPLPFHLLGVLAKSLNSDHYVSDTGDVVYYQTDLKTSSSAQSSD >ORUFI03G14150.1 pep chromosome:OR_W1943:3:9764285:9773439:-1 gene:ORUFI03G14150 transcript:ORUFI03G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAADEAPLLAEEPLRPGACSRELELREFRDHYVIRSVDGGGAFAVSRSNGSLRPLSAEEAAAGSDCRVSKIYGVAGVIRLLAGSYVLVITSQRDAGSYQGSPVYNVNSMKFLCCNEAIKHLTAQEKRDEAYFMSLLKIAETTHGLYYSYDRDLTLNLQRASKLPAGRVHKPLWKQADPRFVWNKNLLEEFIEAKLDEFIIPLTAQFSLKEAPVRITLFSRTRMWRRGANLEGATANFVETEQLAEYEGLMSSFIQVRGSIPLLWEQIVDLSYKPCLNIIEHEETPKVVQRHFHDLSQRYGDTVVVDLTDKQGDEGNLSNAFAAEMERIPNIRYVHFDFHHICRGGNFDNLQVLYNQIEEAIQKQGYFLINSKGEIFLEQSGIVRSNCIDCLDRTNVTQSFLARKSLDLQLQRMGALSSSGSISQSGDISDTFKKMWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGVRQDALDLISGYYTVSKGISSPFQNGGFESATYLPVASAIIVGGITATTFTLSQAVGRNAQHFITSIICAGLTAGVVALVKANGKQFCSKPQTEREKLFDGSSDVEKPRMKSTQEILTKYKFGGDAAAAAAHAKDKLKERGEKLARISQESAELQNESENFASLAHQIAKSMENKKWWKP >ORUFI03G14160.1 pep chromosome:OR_W1943:3:9777730:9779222:-1 gene:ORUFI03G14160 transcript:ORUFI03G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQGHQNMILVFSGKEVRAADEARTLEQARSAEAAMAGEGRSHEAGWLGVGAGCDLGLLFVRRREERMTEERALLGFIIRYLIKEQNKELKLRVSMASHFPYLGGNGTK >ORUFI03G14170.1 pep chromosome:OR_W1943:3:9781683:9783656:1 gene:ORUFI03G14170 transcript:ORUFI03G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFCKHGAGFLAVAVSTSIVFLLLLHAFSLPASALSFGLARRHRKDAAVSCDVFSGSWVRDDGGGGAAAYTGYNCPVIDAEFNCQLYGRPDSDYLRYRWKPAGCELPRFDGADFLTRMKGKTVMFVGDSLGRNQWESLICLLHAAAPQSPAQLVSVDPLYTYKFLEYGLVVSFYRAPYLVDIGVAQGKKVLWLDDISGNGEAWRGADVLSFNSGHWWTHTGTLQGYVLWDYMGADGRYYEDMDRMVAFQRGMATWANWVDANVDPAKTRVFFQSMSPTHYSSKEWANPVSKNCYGETAPAAAAAAGLNATTAQATSGQDQVIQATLRSMKSPVRLLDISALSALRKDAHPSVYSGDLSPAQRANPGGGSADCSHWCLPGLPDTWNQLFYALLFYQ >ORUFI03G14180.1 pep chromosome:OR_W1943:3:9790294:9794086:1 gene:ORUFI03G14180 transcript:ORUFI03G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLPAMKRVKGRAPLSVVVAIIGGLALAGIIFTEDLRGLTEVKEKVTDKEKKRTSLRTVMRTSALLSADQPPPPAVLSVEPATATPPPAPKMAFNATRCSVTDGYWAYDRSKKLPYTDQTCAYVDRQDSCQRNGRPDSDYLYWDWHLDDCLLPRFDPVSMLEKLRGKRIMFVGDSLQLGQWLSFVCLVNSAVPDTPGAKSMERSRTLSVYTVKEYNASIEFYWAPFLVESNSDRNIALGAGGRVLHVDAIEEHGKHWRRADILVFDSYVWWMTGYRIKSVSEDWGREGGIRCYNETWPITQRGYRGSGSDRRMMEVMSDVLGRMRTPVTLLNITQLTEHRVDAHVSVYTETGGLLVTDEEKADPQRYTDCIHWCIPGVPDTWNRLLYAHL >ORUFI03G14190.1 pep chromosome:OR_W1943:3:9794328:9797699:-1 gene:ORUFI03G14190 transcript:ORUFI03G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASWGVWWLLWFCPCLCIFVSLLKHRGPDWSGLYQCEGNFLAQQRLAIVSPLSGDQPLYNADRTIVVVANGEIYNHKKIRKQFASKHTFSTGSDCEVIIPLYEEYGEDFVDMLDGVFAFVLYDTRTKTYMAARDAIGVNPLYIGRGSDGAVWISSEMKALNEDCVEFEIFPPGHLYSSAAGGLRRWYKPQWFAENVPATPYQPLLLREAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVTKRHLIKTEAAEKFGAELHSFVVGLEGSPDLIAAREVADHLGTIHHEFHFTVQDGIDAIEEVIYHDETYDVTTIRASTPMFLMARKIKALGVKMVLSGEGSDELLGGYLYFHFAPNKEEFHKETCRKVKALHQYDCLRANKATSAWGLEVRVPFLDKEFINVAMSMDPEWKMYNADLGRIEKWVMRKAFDDEEHPYLPKHILYRQKEQFSDGVGYNWIDGLKAFTEQQVSDEMMKNAAKVYPHNTPVNKEAYYYRMIFERLFPQESARETVPWGPSIACSTPAAIEWVEQWKASHDPSGRLIASHNSASASANHTNHANANANGNSNGKANGNCAMAANGTNGVGLVVANGTANGKMEA >ORUFI03G14200.1 pep chromosome:OR_W1943:3:9820759:9826790:1 gene:ORUFI03G14200 transcript:ORUFI03G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRKSVFASAPFAMKQAALGAGVAARRNGAPLSLAAVVFALFVFATFLYNEDIKSIADFPFGAGALRAKSPDLHVLQETVGAAHLAAGSIAKRGEEVIVRVLDAPASTAMAAAAGSSSNNSTIEVAKANANANANAADAGVKVDEGQERERDVTLPSVKEGGADEARRREDEEAAEKESSAKAAAATAALRTVVSVPDTCDLYRGNWVYDEVNAPVYKESQCEFLTEQVTCMRNGRRDDSYQKWRWQPTDCDLPRFDARLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVIPKGKKTLTKFVNGGNSNIFYAHEYNATVEFYWAPFLVESNSDNPQVHSVPDRVIQWHSIAKHAHNWLGVDYLIFNTYIWWLNTLDMKVLKGSFDQGATEYVEVDRPVAYKEVLKTWAKWVDRNIDPNRTTVFFMSMSPNHITPEAWGNYGGIKCAMETLPITNRTTSLDVGTDWRLYAGAQEVLQTFRRVPVHLVDITALSELRKDAHTSVHTLRQGKLLTPEQQSDPKTYADCIHWCLPGLPDTWNQFLYARIASAPWSSDQ >ORUFI03G14210.1 pep chromosome:OR_W1943:3:9836588:9839274:1 gene:ORUFI03G14210 transcript:ORUFI03G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTVAIPSSPVFSPSRRPLSCKAASASASPESVSVAASSPAQAAPPAGSPLRPFALRAHLREEATPSPQPSAAAAAAVSAPAGSVLKRRRPAPLVVPVCGGAAAAAAAAAVAAVESDPRNEVEEDGEEFAVYCRRGKGRRRVEMEDRHVAKVALGGDPKVAFFGVFDGHGGKSAAEFVAENMPKFMAEEMCKVDGGDSGETEQAVKRCYLKTDEEFLKREESGGACCVTALLQKGGLVVSNAGDCRAVLSRAGKAEALTSDHRASREDERERIENLGGFVVNYRGTWRVQGSLAVSRGIGDAHLKQWVVSDPDTTTLGVDSQCEFLILASDGLWDKVENQEAVDIARPLCISNDKASRMTACRRLVETAVTRGSTDDISIVIIQLQQFSR >ORUFI03G14220.1 pep chromosome:OR_W1943:3:9845009:9847916:1 gene:ORUFI03G14220 transcript:ORUFI03G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASPSSSPPPPPMSPAPQAASPAEDRRGGRAPVPPYVKAAAGSVGGVMEACCLQPIDVIKTRLQLDRSGAYRGIAHCGTTVVRSEGVRALWKGLTPFATHLTLKYALRLGSNAVLQSAFKDPGTGKVSAHGRLASGFGAGVLEALLIVTPFEARSPLHLLQQQKGLSPDLLRYKGPIHCARTIVTEEGLFGLWAGALPTVMRTAGPICTGPFDVVKTRLMAQGRTGDIKYKGMVHAIRTIYAEEGLRALWKGLLPRLMRIPPGQAIMWAVADQVMGLYERSYLQPAHM >ORUFI03G14230.1 pep chromosome:OR_W1943:3:9857751:9860643:1 gene:ORUFI03G14230 transcript:ORUFI03G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVRGKCVGRGAFGAVHVAVDRATGRAFAVKSVEAKGGAPAAAMACLESEIRILRRLSSPYVVEYLGDDGDAATTRNLHMELVPGGSAAAAAAMGGLGERGARGVVRRVAAALRYLHDVAGVVHGDVKGRNVLVGCDGDGRGAKLADFGAARLVSDAAVSRGPRGTPAWMAPEVARGGAPTPASDVWSLGCTAVELITGKRPWSELGGASEVGELLFLIGFGGKRPELPACASDSCRDFLDKCLRRDAGERWTCDQLLRHPFLSAADVHDGGEPSPFPSPRAVLDWAAASMSDSDSDDSGGAEARSEHEVMARAKGRLAELASNASWGREWGAGPTWEAADTWAPPSSPDTTATNAPVPSNPAAVADAGGPPAVIAGGRDSVLAVATAGAGRDRCDSQHGHYKCELARTRRARLAVASVAAVITTGNHHEQTSSNEYEYIAIPAIGKIRRSMGAYHDLRSAYASMLGVNPDPRNMGTDSMCVTFAGCSSKNAHIQLDNSSPKRRRASHRI >ORUFI03G14240.1 pep chromosome:OR_W1943:3:9860994:9863374:-1 gene:ORUFI03G14240 transcript:ORUFI03G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEHREEEEEAAAAAAGEDEDTGAQVAPIVKLEEVAVTTGEEDEEVLLDMKSKLYRFDKEGNQWKERGTGTVKLLKHKETGKVRLVMRQAKTLKICANHLVATTTKMQEHAGSDKSCVWHALDFADGELKEEMFAIRFGSVENCKKFREMVEEIAEQQGKNEEKENEEVSSTAGLVEKLSVTETKKEENAEKEETPAEEDKKDAKE >ORUFI03G14250.1 pep chromosome:OR_W1943:3:9865324:9875299:-1 gene:ORUFI03G14250 transcript:ORUFI03G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGDVQFIYSVLANSLSADAATRQPAEALLAQCEARQGFCSCLLAIITSRGEESDDDVRLLAAVHLKNCVTRCWRNSVDSPAIDNEEKVYIRKSLLLNMREENGKIALQLAALIARIVYFDYPKEWSDVFSVLAQQLQTSDVFTSYQVSTVLFRSLKKLSKKRLAFDQRNYSEITVYLFDYIWNLWKSNAQIVLQNFSVLSQHNSSLDQSNDLLLIYERWLVCLKIIRELICSGYASDSTTMQEVCQIKEVCPVLLGAIQSILPYYPFFKERQAKPWSHAKRACIKLMKVLIILQDKYPYSFAHETVLPAAVDFCLTMITNPEQADTSFEEFLVQCMVLVKLVLECQEYKPGQIGFEAVGSSEHAIFDQRKNNLSATASSMVMSVLPADRIMLLCDILIRRHFIYTATDMNEWHSNPESFHHEQNLLQCTEKRRPCAEALFIILFDNYGVQLAPFVASIIHDVKAVSPPLEIEITAGMLLKEAAYTAAGHVFDELSKYLSFDEWFCGYLSIDLSNGNPNMCIIRRRIALLLGQCAFEIKGVIQKEVCDALVGLLGDQDMAVRLAACSSLCYAFRVFGIWEVDLLECIPTCWAMCFKLIGAVQEFDSKVQVLSFILVLLNYVGDDRIIPFVSELSQFFLKTWEESSGECLLQIELLDAIRTFISSLGYNSPLCYGMVLPILQYGMDVDSPNALNLLEDTVLLLEATLSNAPSIVPQLLDCFPYLVGIMNGSFNHLEIMIKIIEHYIVFAGSDLLQSHATSLESILDTIVGNADDKGLLTTLPIIDLLVLMFPQEVPPLISSALQKLVFISLSGGDEHYPSRTAVCVTSAAILARLLLLNRDFLAQLLSEPALIARFQQAGINQNLLLLLVDWWINKVDDASSIEKKVYAMALSVILTANIPGVIEKLGDILRLCTSVIIGGHGRTTSDDSSDDTISSLPLSDDPEYSNTSKEFKKAQIRELDPIRKASLVDMLRENLKECAALHGDAVFNAAISRIDPLVIAQLWQALEIG >ORUFI03G14260.1 pep chromosome:OR_W1943:3:9878094:9883548:1 gene:ORUFI03G14260 transcript:ORUFI03G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQGNTKTFSFSFGGGNPGAGGGNPFNFDFGDVFSNIFSGGSMGGSQHTGSAGKARRGTKSSGHDSSSVNIQEVTMQIFNKETADQGITWLLLFYTPNTKGQFVLESVVEDVARSLDGALRAGKVNCDHEKALCKKAGVSIGKSARLFIYSYTTTEKGSLHEYSGDYDSKSLKTFCQEHLPRFSKRVDINQFSFPSNIIPNLPQVLLLSAKKDTPAMWRAVSGMFRSRLIFYDAEVQDVSHPLLKSLGVKNIPALIGRSVNGEEQLLKDGISVKDLISGIKELKNLLENFEKKNKKLASNQAKKPAHTDQPKENKIPLLTASNFEEICGEKTSVCILGIFKSSKAKENLEAVLSEISQKTLIRGQNYNSGNAVAYALLDGNKQSAFLSTFDKSAFKSSDKLLLAYKPRRGRYAVYDNEVTMEEAESLAVTEYMLLVRDRTLVESLLLQQAGLCRLLAHTFGPAQRVVGSKHSSPGPDLRMASRSLSPLSSASKLCSRCGQADEPKMAATTFSSSPSKVTTAKLGGVTRSSSPYTQLTFCSRHHFQKAATTAAAFHHKPPPAELSLLLSPARSKHARTCPAADNDRAAPAAQEATNTPPPPAAPSANGRPPPPARAPLTARERLRAARVLGKYAEPGGSSPKGKASAASAAAGAAGKKPEFGSRVLDALRETDGKAGKKRSSRLPEAPSNMFDDSKRGLPKEGWTFEALPFGTDVIVIAASFTLITVVMFGTTYLVWKLGAIHFNEF >ORUFI03G14270.1 pep chromosome:OR_W1943:3:9885742:9889184:1 gene:ORUFI03G14270 transcript:ORUFI03G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAGGSTAAPLPPASTAGASAASATVAEEGGGKQVMVVLVGPPGSGKSTFAEAVLGGSAAGRTWARVCQDTIGNGKAGTKIQCLKAAADALKEGKSVLLDRCNLEREQRADFMKLGSHVHVDVHAVVLDLPAKVCISRSVSRTGHEGNLQGGRAAMVVNRMLKNKETPLLTEGFSRIMFCKDNNEIKKAVDMYSALGPSDSLDSGVFGQNSKGPVQVGIMKFLKKPGSSAEKSGGHKVTPNESIPQMQNHISEQQNLEVGGTCTVESVKELSNSKKIEDQSRESVLSDISSRTLAFPSISTADFQFDLDRASDIIVDAVADILQKYDNIRLVLVDLSHKSRILSLVKEKAAKKNINSSRFFTFVGDITQLQSKGGLRCNVIANAANWRLKPGGGGVNAAIYNAAGEDLQRATKECADTLRPGSSVAVPLPSTSPLHQREGVTHIIHVLGPNMNPMRPDCLKNDYTKGSKILHEAYTSLFENFVAIVQSCMGKQNTEPALEKPATAVTSPNDSKTKRECNHDSERTKKHKLVQPNTSSNQAREGDSKRSGVTTTKTWGSWAQALYELAMHPENYKNSDSLLEISDDFVVLNDLYPKAKRHVLVVSRKDGLDSLADVKKEHLPLLRRMHSAGVKWAQKFLEEDSSLVPSMRQLHLHIISQDFNSASLKNKKHWNSFTTTFFLDSVDVIEEIDQRGSATISSDDRVLAMELRCHRCRSAHPNIPKLKSHIASCKSSFPSHLLQKDRLLSSSTMHMDCT >ORUFI03G14270.2 pep chromosome:OR_W1943:3:9885625:9889183:1 gene:ORUFI03G14270 transcript:ORUFI03G14270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGSHVHVDVHAVVLDLPAKVCISRSVSRTGHEGNLQGGRAAMVVNRMLKNKETPLLTEGFSRIMFCKDNNEIKKAVDMYSALGPSDSLDSGVFGQNSKGPVQVGIMKFLKKPGSSAEKSGGHKVTPNESIPQMQNHISEQQNLEVGGTCTVESVKELSNSKKIEDQSRESVLSDISSRTLAFPSISTADFQFDLDRASDIIVDAVADILQKYDNIRLVLVDLSHKSRILSLVKEKAAKKNINSSRFFTFVGDITQLQSKGGLRCNVIANAANWRLKPGGGGVNAAIYNAAGEDLQRATKECADTLRPGSSVAVPLPSTSPLHQREGVTHIIHVLGPNMNPMRPDCLKNDYTKGSKILHEAYTSLFENFVAIVQSCMGKQNTEPALEKPATAVTSPNDSKTKRECNHDSERTKKHKLVQPNTSSNQAREGDSKRSGVTTTKTWGSWAQALYELAMHPENYKNSDSLLEISDDFVVLNDLYPKAKRHVLVVSRKDGLDSLADVKKEHLPLLRRMHSAGVKWAQKFLEEDSSLVPSMRQLHLHIISQDFNSASLKNKKHWNSFTTTFFLDSVDVIEEIDQRGSATISSDDRVLAMELRCHRCRSAHPNIPKLKSHIASCKSSFPSHLLQKDRLLSSSTMHMDCT >ORUFI03G14280.1 pep chromosome:OR_W1943:3:9891371:9893961:-1 gene:ORUFI03G14280 transcript:ORUFI03G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHIGSVDGAAAAADNGAVGCPASAVGCPMTSARPAPVSAGEASLGRHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCHQAVVTNLEDAHEQIDTAIATALRESKPVYLSISCNLPGLPHPTFSRDPVPFFLAPRLSNKMGLEAAVEATVEFLNKAVKPVLVGGPKLRVAKAGKAFVDLVDASGYAYAVMPSAKGLVPETHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSFLLKKDKAIIVQPERVIVGNGPAFGCVMMKEFLSELAKRVNKNTTAYENYKRIFVPEGQPLESEPNEPLRVNVLFKHVQKMLNSDSAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGALLGYAQGAKDKRVIACIGDGSFQVTAQDVSTMIRCAQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTSKVKCEEELTEAIGMALGEKKDCLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >ORUFI03G14290.1 pep chromosome:OR_W1943:3:9905766:9906513:-1 gene:ORUFI03G14290 transcript:ORUFI03G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMSSSTQEREEDRQPEAAGADGDDGDYSATDVTVRLLCDLFYPGGESELARVVRRYTELEAQHRQDMERCRQAHDELLEFQATLRPGLLGRELVDMAAAVEVATAALEFDGGQEDDDGAAVDHKDTVTIELAPATTTAIDDVDGDQPPTTMATAVSIRSSALQEKRTEKKGQVAKCMWMRSGKSH >ORUFI03G14300.1 pep chromosome:OR_W1943:3:9908650:9909390:-1 gene:ORUFI03G14300 transcript:ORUFI03G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVGAHAARGEVEGMGDKRAPAAMAERRAMXHFLRAHLLSRRPPASGRALVAYRLPVARRGGRRTVTPGGGQWTAARGSGRWAPDGDAWRQAVPRLETLNQGVHHVPDADHLLVPSGGAAIVGMAEQLRGRCGRRHHRGGGDWERHGAVDAVAGMALTDGDVPRENDDALAPGEGDRGAAYVGGLYELYAMLPPCGEGGG >ORUFI03G14310.1 pep chromosome:OR_W1943:3:9915838:9917057:-1 gene:ORUFI03G14310 transcript:ORUFI03G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKYENSIKASVINPAKAIVIEQPKPKAPVYQLTEGTNTLFQDKPHDMLPKTWSCQGQILTPGHYKIFDIAGVLEFFMGYI >ORUFI03G14320.1 pep chromosome:OR_W1943:3:9927673:9933756:-1 gene:ORUFI03G14320 transcript:ORUFI03G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARGTKPRKRRRKQKSANGGGKMLSNGAIRVDSLLRIRGSSRGRVPGRERPSDPVWEMLEHCSFVHKVGLGGGFGTLGALDLTRGALENCCLLCFARQDGVNTHTAWHVFLVNKSFTKHNYIGEGNLIPATEKVSFTPAKHRCVAVTGMATRVEMWALCASASGFSSEVWAVLDLKIVLAWLLVSETQNIRDFFTKGDTLVLHQLAVHLSRRMVWCRATVSGRTGKGAMSPSVCPTRRAGPVAGQPLPGRTPDAEVGQPQQQPALLRA >ORUFI03G14320.2 pep chromosome:OR_W1943:3:9927673:9933756:-1 gene:ORUFI03G14320 transcript:ORUFI03G14320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWARGTKPRKRRRKQKSANGGGKMLSNGAIRVDSLLRIRGSSRGRVPGRERPSDPVWEMLEHCSFVHKIGMHNVPEGTGCFARQDGVNTHTAWHVFLVNKSFTKHNYIGEGNLIPATEKVSFTPAKHRCVAVTGMATRVEMWALCASASGFSSEVWAVLDLKIVLAWLLVSETQNIRDFFTKGDTLVLHQLAVHLSRRMVWCRATVSGRTGKGAMSPSVCPTRRAGPVAGQPLPGRTPDAEVGQPQQQPALLRA >ORUFI03G14330.1 pep chromosome:OR_W1943:3:9928437:9933167:1 gene:ORUFI03G14330 transcript:ORUFI03G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREGDACVALLRSKLHGLVERNRSLEEENKQLRHQVSRLKGQVSSLEGQDTDRKMLWKKLDNSSTGNSYLKEKQFVPNNDAKEAMDLNSTSCYSRQQFSRAPLVRSRAPRVPNPPPSPTYTQPIVNARKEGGMAPPPPPPPLPSRLLKSTKAVQRVPDVVELYRLLVRREGKNDAKSGSMGIPAATNSREMIGEIENKSAYVLAIKSDVENQSEFINFLAVEVKNAAYKEIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADTMREAAFTYRDLKNLESEASSFHDDRRVATPMALKRMQALQDKIEQGIHNTERARDSASGRYKDLKIPWEWMLDSGIISQLKMASLKLAREFMNRVVNALKSDPFTNDEELLLQGVRFAFRIHQLAGGFDEGCRKAFQELKMYASKSD >ORUFI03G14340.1 pep chromosome:OR_W1943:3:9933897:9946315:1 gene:ORUFI03G14340 transcript:ORUFI03G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSSGGMSDQLFVSIKLECPRLAEMGLVPHVFGSHPVAGAWDPSKALLMEQEEAALWGLSCIVPSHRETLDFKFLLKPKDNSSHCIVEEGPDRSLVCGSNEVEIRNALFKFNDETGVVECKIFVETEILSPFDLAASWKAHQEHLQPRVRGAHDVIMNADSESRAKNGFASGLELDLEKYVVPTPNMGSDVVYAANLTENPRSLLTTGISSNNDTTKGFLHNSIKGDASPNLYASENKGADGRHVPPQEEQRAIFVDRGVGSPEFARPTNETISMSNIKFDSEAKDMPAAEGAVAAAAVADQMYGPKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGINQTSLSASNSVEQTADFFRGDNKEGVEARNEVAALAMEDMLSWMQEGGQVGIFDATNSTRNRRNMLMKMAEGKCKSPKLIIVPIMNINRKRRTYKAYSCTIIFLETICNDQNVLERNMRLKVQRSPDYAEQTDFEAGVRDFKERLAYYEKVYEPVEEGSYVKMIDMVSGTGGQLQINDISGYLPGRIVFFLIWTSTLQRSILTAQPIIGFPKIQWRALDEINAGICDGMTYDEIKKIKPEEYESRSKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQIPLHTIIEIQMGVAGVQEKRYKLMDAVNSTAGI >ORUFI03G14350.1 pep chromosome:OR_W1943:3:9946618:9947103:-1 gene:ORUFI03G14350 transcript:ORUFI03G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRLAVCGGASHGMHACGDGSGCGWLMDLISDGSGCLGMAASMGVEVVMAMDGDGGDFWKRIARAMFALL >ORUFI03G14360.1 pep chromosome:OR_W1943:3:9949908:9950552:1 gene:ORUFI03G14360 transcript:ORUFI03G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKPSPQQHQQQRANEWWSDGETAALIDAWGPPHVARSRGPLPAKDWRAAASAVNARRAAAGRRHNRTRAQCRARVQTLKERYKRELAKPPPSGWRHFSRLQEFLLAGPPPGFPPKTMPPASVKKEEEEECQDEAVGGGGGSGGLLGRWVVPTRPRNGAAAWCPVGVVVTKLAEVYERVELARLEVEKEKVAMEMEKAMQEAVKLKEEKLDT >ORUFI03G14370.1 pep chromosome:OR_W1943:3:9952074:9952841:1 gene:ORUFI03G14370 transcript:ORUFI03G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRRAAAPPQPPAWTPEPWSDGETSALLDAWGPRHIRAAGGPLRTADWRACAAAVTARRAAAGRAPRTVDQCKNRLDYLKKRLKAERSRSKGAPAPPPPPPSVDRLRALLRLAPSVPPGFTSRGGAMPKVGEEEQEEEEEKAESFAAPLPRSWPSVPKRPRTAVALLPLSSSSGHQHGDGGGTPCTEVAAALDRLAGTYERVEVAKQKEATRLEERRLEAMRDLEIERMRILVDVAISASAVADTATAASSSW >ORUFI03G14380.1 pep chromosome:OR_W1943:3:9955199:9958069:-1 gene:ORUFI03G14380 transcript:ORUFI03G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITQVLLAAQSHDGQLRTVAEENLKQFQQQNFPHFLQTLSVELSNDENPPESRRLAGILLKNSLDAKESTRKEEFVQRWMNVDPAIKSQVKESLLITLGSPVFEARRSSSQVIAKVAAIEIPHQGWPELIVNLLTNMTKPDAPPCLKQATLDCLGYVCEEISPEDLEQDQVNAVLTAVVQGMNHVENNSDVRLAAVKALYNALDFAETNFQNELERNYIMKVVCETAMCKEADIRKAAFECLVSIASIYYDLLEPYMQTIFELTSNAARVDEEPVALQAVEFWSTVCDEEIARQEESKESGVFSSSCHFHFIEKALPSLVPMLLETLMKQEEDQDQDDGIWNISMSGGTCLGLVAITVQDAIVPLVMPFIEGNITKPDWHSREAATFAFGSILEGPSVQKLTPLVHAGFDFLLNATKDQNNHVKDTTAWTLSRIFEFLHSPTSGFSVVTDANVPYVIQILLTSIKDSPNVSEKICGAVYFLAQGYEDAGSISSVLTPYLGEIISALLATADRSDSNNSRLCASAYETLNEIVRCSHISENLNMIVQLLQEILKRLNQTFEIQITSSDDKEKQSDLQALLCGVAQVILQKFSSCHEKSAILHFADQMMVLFLRVFSCNSSNVHEEAMLAIGALAYATGPEFVKYMPEFHKYLEMGLQNFGAYQVCCVSVGVVGDICHALDDKVLPYCDGIMSTLLKDLSSPELHRSVKPPILSCIGDIALTIGEHFEKYVPYTMPMLQGAAELCFRMDAPDDDSIEYQNELSRSIFEAYSGILQGFKNSKSELMVPYAGNIFQFVELVLKDNLLRNESVTKAGVAMVGDLADALGPNIKLLFKDSKFHSELLGQCCQSDDEQLRETASWVQGVITRVLVS >ORUFI03G14390.1 pep chromosome:OR_W1943:3:9961597:9966338:1 gene:ORUFI03G14390 transcript:ORUFI03G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNFLTTIRSLKLIEGCKAAQIYAFSSAGGASTSGSGDGAGKPLPPPQPRSLSVRSASVCYPHAPSTSGAFVADSPLPCGLPVAAALEPALDACLRPVDHVKALAASFRRMSSAEAEGDDLCDVFLEQHALFHALGDARLLRRALRAARVHATDPHRRVVLAAWLRYERREDEFDPMPPPLAPCTPTTPLLECPRAAVFAGESPGVDPICPCRRPPPPPPTPPSSRFRRNTSSIDQMVEDDGDVETNDLWFVIGEEEVACERSCIAALSKPLNTLLYGGFAEAQRDRIDFTRDGITPCGMRAVSAYSRHGRLDDFSTDTILELLAFSNKFCCEGLKSACDNKLATMVSGVEDALSLVDLGLEEAAHLLVAACLQAFLRELPKSLSNPDVARLLCSPDGRERLDIAGNASFALYYFLSSVAMEEDIRSNTTVMLLERLCESAERPWQKQLALHQFGCVMLERGEFKDAQGWFEDAIAEGHMYSLAGVARSKFKRGHKYSAYKMMNSIMEDYEPAGWMYQERSLYCVGKEKMADLHIATELDPTLTFPYKYRAVVFLEEDMVESAVAEISKVLGFKLVTDCLELRAWFYLALEEYEAAVRDIRAILTLDPSYMMFHGKVHGEQLIEILRGYVQQWDMADCWMQLYDRWSEVDDIGSLAVVQQMLTREPGNSSLRFRQSLLLLRHAAMRSLRFARNCSAHEHERLVYEGWILYDTGHRDEALAKAEQSIKIQRSFEAFFLKAYALGDSSLDTESSLSVVQLLEHANSCASDNLRKGQAYNNMGSIYVDCDLLDEAAECYNIALNIKHTRAHQGLARVHYLKNRKKAAYGEMSELIKVAKDSASAYEKRSEYGERDEARGDLNMATLLDPTRTYPYRYRAAVLMDESKEDEAIGELSQAIAFRADLQLLHLRAAFFDSMGDNANTLRDCEAALCLDPTHGDTLELYRKASTKAEPQS >ORUFI03G14400.1 pep chromosome:OR_W1943:3:9967390:9970255:-1 gene:ORUFI03G14400 transcript:ORUFI03G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPCPRLLLLLRLLVFLVAVSWPLCGAGTEGLGVGEEGLSSIGASSGSRNVRRLLQTGGVNQGAPAPLPLEQSPSASGPVSSPSPSPWVSPPKGSPSPSPSSKIIAHRSPHHPFTTPPQLVRPKPTTRRAEHDHSVETTGRSWFKRSWTTYGFIAAGIAALLIISAAGAFYCRAKKMGTVRPWATGLSGQLQKAFVTGVPALKRSELETACEDFSNIIGCTSTCTLYKGTLSSGVEIAVASSLVTSADDWSKECESRYRRKITSLSKVSHKNFMNLLGYCEEEQPFTRVMVFEYAPNGTLFEYLHVREAEKLDWMTRLRISMGIAYCLEHMHQLKPPVVPRNFDSTTIYLTDDFAAKVSDLEFWSGAKEPNPATSNSSSSSDLENTVRKYGMVLLEMLTGRVPDSEEDGPLERLASRYFDGETRLAELIDPSIGSFSEEAARSLCEVVRSCIDPDPKRRPTMAEVAARMREITALGPDGATPKVSPLWWAELEIMSSES >ORUFI03G14410.1 pep chromosome:OR_W1943:3:9974031:9981282:-1 gene:ORUFI03G14410 transcript:ORUFI03G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMGAIRIEAGRKLLGRAEISGPSRYMLPTKRANGAEAESSSDAPAKKARVGASASEAEAMVAGEAGGGGGGVSGNGSEVAEIDEDLHSRQLAVYGRETMRRLFASNVLVSGLNGLGAEIAKNLALAGVKSITLHDMGNVEMWDLSGNFFLSEDDIGKNRAVACTTKLQELNNAVLISTLTEDLTNEHLSKFQAVVFTDISLDKAFEFDDYCRNHQPSISFIKAEVCGLFGSVFCDFGPKFTVLDVDGEEPHTGIIASISNDNPAMISCVDDERLEFQDGDLVVFSEVQGMTELNDGKPRKIINARPYSFCIQEDTSKFGIYAKGGIVTQVKEPINLEFKSLRDSIREPGNFLLSDFSKFDRPPLLHFAFLALDKFRKEFGRFPGAGCDQDAQRFIEFVASVNEATIDYKMDELDGKLLRNFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPQYQFFYFDSAESLPTYPLDSKDLKPLNSRYDAQISVFGSKLQKKMRDANVFVVGSGALGCEFLKNLALMGVSCGLKGKLTITDDDIIEKSNLSRQFLFRDWNIGQAKSTVAAAAASAINSSLHINALQNRACPETEHVFHDKFWEGLDVIINALDNVNARMYMDMRCLYFQKPLLESGTLGPKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFISNPAQYAAAMRKAGDAQARELLERVCECLDKERCDGFEDCIAWARLKFEDYFANRVKQLTFTFPEDAVTSTGAFFWSAPKRFPRPLQFSTVDSSHIHFILAASILRAVSFGISIPDWAKNTSNLVDAVSKVVVPEFEPKSGVKIETDEKASNISSASVDDASVIEDLLTKLEASAKKLPPGFQMKAIQFEKDDDTNFHMDLIAGLANMRARNYGIQEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHPVEDYRNSFANLAIPMFSMAEPLPPKVIKHQDMRWTVWDRWSIEGNITVAELLKWLSDKGLSAYSVSCGTSLLYNTMFPRHKDRVNKKLVDVAKEVAKVDVPAYRRHLDVVVACEDDDGNDVDIPLISIYFR >ORUFI03G14420.1 pep chromosome:OR_W1943:3:9987020:9988448:1 gene:ORUFI03G14420 transcript:ORUFI03G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSFRRLRDSPWTAHFYGAHAAVESFESRARIKLAKRGIGGFPTLSIQHLIDILRDQLDDAPNLNQKRLVMMEENAFRILKNIGVTTKEAYDAAPLGTGFVKNVEGLPNYKIENYRVLYLKSNCWHEGASSEIIDAIHCGGPVYGWFAFDDSFQDAKGEIYRVPSAPSTMISPIVRTHALLLYGYGAQGRTGLFDYQNNWGPEYHNGGRGIMESANIIGTIVPDVTFAGIAF >ORUFI03G14430.1 pep chromosome:OR_W1943:3:9989209:9991451:-1 gene:ORUFI03G14430 transcript:ORUFI03G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGCTNMLSQSQLSKIKMLASRPDICKRNSHLKLCYNLRNRNVFVPNTNKPQLGPLHTNKPQLGSLQYKKGKEHVYDVSGQTPTCAKDEYEMVKALDSVSSFFNGVWVHVNFLAKLKGATQCPDLGSQVLPRSPEATPIRGCGICLNNAIYYPAAGGHRGDRKSVCQMHTLWRSSFHAFGSLWFMLCTMETVVSRSGFMCG >ORUFI03G14440.1 pep chromosome:OR_W1943:3:9994508:9998657:-1 gene:ORUFI03G14440 transcript:ORUFI03G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKGTKSRKGTDDEPKQEEKNKLPEHLEVQRTRVVCKGDAPTEGFQYAGAFAAMGIDNSVSADKFCKNFKVVVNRLTEDDMEFDMIGIDASMANAFRRILIAEVPTMAIEKVLMADNTSVIADEVLSHRLGLIPLDADPRLFEYISENDVPTERNTIVYKLHVSCKKGSPRLTVKSGDLEWLPEGSQLPLASPAQSGDKQKTYTSFSQSQKDILEKPLGVKFKDITIARLGPGQAIELEVHAVKGIGKVHAKWSPVATAWYRMLPEVVLREEIKDADAEMLVAKCPVNVFDIEDLGNGEKRAVVARPRACTLCRQCVMGPTGEVMGPTVEQVELRRVRDHFIFTIESTGALPPEMLFTEAVRILEEKCERVISELS >ORUFI03G14450.1 pep chromosome:OR_W1943:3:9998923:10005021:1 gene:ORUFI03G14450 transcript:ORUFI03G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSAPVDASGDPIPTSSVLMAASKHIAVRCRPENVAFLNCKKKDPNPQKCLEKGRQVKRCVFDLLKELHQKCPKEMDAYAGCMYYYTNEFDFCRKEQQDFESACPVSE >ORUFI03G14460.1 pep chromosome:OR_W1943:3:10007511:10010203:-1 gene:ORUFI03G14460 transcript:ORUFI03G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEEMVEQVLMKPHVRSDDSLPTCADAAFAAGEPTTAQAAPKKWQRLGRNFAGAIAAFKSSLNLDNGSLPRDPSPRAVGERPPLLVRGFQQLYSRGGATQQLPEKLVADLRRHFDALPNSYAQAGFDMKDVLLHARLVEQAAGEDQPAVSIEEVPGSNGRGGANEGTVFQLTFACSAPLSWQSMSGSLDSPSFCCKRIQIFEKRGLTLGVVMILVQPGNEVFFKNRVDAALKSAIKKQRKNSGGVKLPFGLCGCQEEGSRNFDEESMFDPDDGQVLDNEPTCKPQLPTPLPQSSVFVSIDEWQTIRSGGEELGRWMLSSEEIEFIDWVGPNSFKGVFRGRKVWVNKMRGCDMGSAYDVEIRQDLLQLMSCGQRNILQFHGICFNENHGLCIITRMMEGGSVHDIIMQRSKRLSLRDILKIAIDVAEGLAFMNSYAITYRDLNARRILLDRQGNACLGDMGIVTPCNNAGEVTEYETSGYRWLAPEIIAGDPESVSETWMSNVYSYGMVLWEMVTGEEAYSTYSPVQAAVGIAACGLRPEIPRDCPHFLRSLMTRCWDNNPLKRPQFSEIISMLQRQNVR >ORUFI03G14470.1 pep chromosome:OR_W1943:3:10020246:10032889:1 gene:ORUFI03G14470 transcript:ORUFI03G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAASSSSSKYAKHRRIGEEEEEEEEEAGEAEEELERFDDFTIASSWERFISEIEAICRQWLADGPNILTQKGAESVPSFDNLYVVKRELKHGKRVYCMEYHFMKSAKGKHSYWDDDTHSMQLSFGVYEFLIIAPLSASGVVLDDPESTKLLSSVAIALSNCGSNWPAFVPVHDPSRKAYIGIQNLGTVFTRRFEADRIGSQVLSSTDFPARVKVIFSMKLTYRTPEYDYYNEETLVSEANESIAESEVANHPKKQWDDDCSWAEWYSAEDPVKGFELTAIWGERVFEETLEMAEVENASSFDADRWLLHPIVSPYMVDDSIGKFVGFASQLQLLTADTSGQENSKSTVTVPPPSVVDRVMKDLFNDEVGNSNYVEAENKYGRAMKGAPSDSLFAQFCLHALWFGNCHIRAIAVLWIDFVREIRWCWEESERLPRMKSTSSIDLCTCLIHQKLHMLAICIERKKSLSREKGTDHAHEDGISNSVDALLMTEDMHEERLHAAEAFGNAVGLSGQLERDVLSSDMSAFKAANPDAVFEDFIRWHSPGDWVSEDGAEGSSGWPPKGRLSQRMSEHGNMWRKIWNDAPALPVSEQKFLLDPIREGEKVIHYLETLRPQQLLEQMVCTAFKSSADILNRTTYGGFKLMKTKMDQLYATLASTLKSLQGKSDISDLSDDLKRLCQVFEHIEKLLILAASVHRKLIDAPRLSQAIFADYFNYYLPKMGTSLESVCYEKEFTAKEKVGMHERDAVSNLFPPPTANQSWRKVLSMGNLLNGHEPIQREIVFSVIERISNGHYSSPTPLSTDEQIETHRMYISGTSNDLWVALSVTSWD >ORUFI03G14480.1 pep chromosome:OR_W1943:3:10031114:10034443:-1 gene:ORUFI03G14480 transcript:ORUFI03G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPRGRLLLVALVSAAAVVAGALLPGCAAAAAAGEKVPLALYYETLCPYCSRFIVNHLAGIFEDGIVDAVDLRLVPYGNAHVVGANNTISCQQSPSMAAIRRFRPALLLAAILLLAAGAVEGKKGGKVDVALYYESLCPYSAMFVVGSLAKVFRDGLLDAVDLSLVPYGNARVKDGKISCQHGSEECFLNTVEACAIDAWPDLRVHFRFIYCVEDLVVNHKQREWESCFGKLNLDPKPVTDCYKGERGHQLSLKYGRQTDALQPPHKYVPWVVVDGQPLYEDYENFEAYICKAYKGHPPKVCEGLARPPTPTVLEVAEAGNRVSYYDSGDIRLKPDEDGHAKIKKVVPDDDD >ORUFI03G14480.2 pep chromosome:OR_W1943:3:10031114:10034443:-1 gene:ORUFI03G14480 transcript:ORUFI03G14480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPRGRLLLVALVSAAAVVAGALLPGCAAAAAAGEKVPLALYYETLCPYCSRFIVNHLAGIFEDGIVDAVDLRLVPYGNAHVVGANNTISCQHGSEECFLNTVEACAIDAWPDLRVHFRFIYCVEDLVVNHKQREWESCFGKLNLDPKPVTDCYKGERGHQLSLKYGRQTDALQPPHKYVPWVVVDGQPLYEDYENFEAYICKAYKGHPPKVCEGLARPPTPTVLEVAEAGNRVSYYDSGDIRLKPDEDGHAKIKKVVPDDDD >ORUFI03G14490.1 pep chromosome:OR_W1943:3:10035095:10036676:-1 gene:ORUFI03G14490 transcript:ORUFI03G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARNPLRRWKPFLAAFASVDGAIEAADPGGLSRDEFRRARGRIVEMLRGAEGEAEAEGLCLVLDDVMAESLLTLRLGAAAARRDRRGSERIRGLATDIVRGWRVAVRRELVRIGIAMEKLSQTPERIEADRRVRASSDLDTKVKHATPTSLPKRIVIEADQRVRASPDLDMKVKHASPAPPFKKKATADCSSRVDLAKTSQPSLTKTSAPPVVAGARVKAPDMGSATKANPPKKLPAVTGRAGGRRDGIKPYHIDGEKLTVAAKRLDVYQEAEEAQKRHKSADMGAAAKPKDPALPPKKSPAVVACAGRRESIELRNDDEKIAAAKWKLHEGYREAEEAKKRRKMADMGAAAKPKEPALPPKKLPAVVASAGRREGIELRNDDEEKIAAAKRKLHEGYREAEEAKKRRKMADMGAAAKPKEPALPPKKSPAVVASAGRREGIELRNEDEKIAAAKRKLREGYQEAEEAKKRRKIHVIEDPEILKQRQKKMHPILSLRSRASHVSSMAEKSSLMSSLGRL >ORUFI03G14500.1 pep chromosome:OR_W1943:3:10038157:10040423:-1 gene:ORUFI03G14500 transcript:ORUFI03G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKANVKKGPWTAEEDAKLLAYTSTHGTGNWTSVPQRAGRLKRCGKSCRLRYTNYLRPNLKHENFTQEEEELIVTLHAMLGSRWSLIANQLPGRTDNDVKNYWNTKLSKKLRQRGIDPITHRPIADLMQSIGTLAIRPPPAAGAAPPTCLPVFHDAPYFAALQHQHQQQQVVTHVDADAPASPDSQHLQLNWSDFLADDAAGHGADAPAPQAALGQYQEGSAPAATAVVGGGRAFGDVDGASAGVGAGTDDGAGAASAFIDAILDCDKEMGVDQLIAEMLADPAYYGGGGGSSSSELGWGC >ORUFI03G14510.1 pep chromosome:OR_W1943:3:10042036:10043462:1 gene:ORUFI03G14510 transcript:ORUFI03G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVGEEERREQQQEETMSMAQGKERGGEEDAGGGGESGFLTTMASKIGAAVSGADGSGGAEEEGGEGDGDVNVGGGVETDGDGGFLTTMASKIGAAMSGGNGNGRAEEEEGGERNGDENVVAASGGGEEERKRKRDGNGGGGIFSKLMSGSPDSLPASADHIKIRLGTVEAEENEREGGDQGGEKAGILSTVASKIGIAMSGADGRENHGNEDDAKIRNGNAADHGKAEEKRDEPNGGGIVKQIMSNLPADDQAPDAEEASLLIAIIDD >ORUFI03G14520.1 pep chromosome:OR_W1943:3:10044298:10047533:-1 gene:ORUFI03G14520 transcript:ORUFI03G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEPAAGADGASASQAAVVEPIRLPTPEEIKGQDIWNNCAVRSVVSGVMGGGLGVLMGLFFGALENPITAEEMTARQQIVYQAKQMGRRSISNAKTFAVMGLIFSAAECVIEKARAKHDTTNSAVAGCVTGGALAAKDQDFVILRVLPNIVGITGVTARSTEIDL >ORUFI03G14530.1 pep chromosome:OR_W1943:3:10047981:10051214:1 gene:ORUFI03G14530 transcript:ORUFI03G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEPRFPEVDAAVMIQVKHIADMGAYVSLLEYNNIEGMILFSELSRRRIRSISSLIKVGRQEPAIVLRVDRDKGYIDLSKRRVSEEEAHACEDRYNKSKLVHSIMRHVAETLGIDLEPLYQRIGWPLYRKYGHAFEAFKLIVADPDAILDSLTYEEKETGADGQEVTKVVPAVTPEVKDALVKNIRRRMTPQPLKIRADVEMKCFQFDGVLHIKQAMRKAEAAGNDNCPVKIKLVAPPLYVLTTQTLDKDQGISVLTDAIKACTAEIEKHKGKLIVKEAPRAVSEREDKLFNEHIETLNEQNAEVDGDADSEEEEDTGMGDIDLTNSGVNAD >ORUFI03G14540.1 pep chromosome:OR_W1943:3:10051859:10054695:1 gene:ORUFI03G14540 transcript:ORUFI03G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRRRHPLPPLAPDLLLLLLLHALAPPPAAAAVGVNWGFSSSHPLPAAQVVRGLLLPNSVPRVRLAAASPDALAALSGTGVAVTVGVPNELLRPLATSRKAAAAWVHDNVTRYASGVRYVAVGDESFLLNHGQQNQSFLVSAAANIQRALVDAKLSNKMKVVVPCSSDVYLNTSALPSKAYFRPEVNETIAELLSFLANHSSPFMVELNPFSSFQHKKNLSLDYYLFQLMSHPVSDGHIKYDNYFDASIDALVTSLTKAGFSNMDIIVGRVGWPSDGAVNATPAIAQSFMTGLVNHLARKSGTPLRPKVPPIETYLFSLLDEDQRSIASGSYERHHGIFTFDGQAKYYVNLGQGSKALENAPDVQYLPSKWCVLDNNKDISNVSSSFSAACSNADCTALSPGGSCSGIGWPGNVSYAFNNFYQQHDQSEEGCSFNGLGLITTVDPSVDNCMFNLAIDTSTAASFHPILAMLQILVLFFCTYNLL >ORUFI03G14550.1 pep chromosome:OR_W1943:3:10055309:10055764:1 gene:ORUFI03G14550 transcript:ORUFI03G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSGSFLPIMLLPLLLAGAAVAGGAPPGLGLAQRLADGVGQQQQQCWEVLMEIKSCTGEILLFFINGEAYLGPGCCRAIRVIEQSCWATDAMLSVIGFTPEEGDMLKGYCDAGDEHKPSPPPASPAVGYVAVGENAAAPAGRKSLALQHR >ORUFI03G14560.1 pep chromosome:OR_W1943:3:10056449:10066034:1 gene:ORUFI03G14560 transcript:ORUFI03G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADYRTPDRLLSAAAPGEEQAQDPPKPVLAVAATHDGLRFWQYMLAGSVAGVVEHTAMFPVDTLKTHMQAGAPPCRPVLSLGAALRAAVSGEGGVRALYRGLPAMALGAGPAHAIYFSVYEFAKSRLSERLGPNNPAAHAASGVLATIASDAVFTPMDTVKQRLQLTSSPYTGVSHCVRTVLRDEGLGAFFASYRTTVVMNAPYTAVHFATYEAAKRMLGDMATNEDSLAVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCERFSSSSIGDVFRTIIKRDGYAGLMRGWKPRMLFHAPAAAICWSTYEASKSFFERFNEKRRK >ORUFI03G14570.1 pep chromosome:OR_W1943:3:10067008:10067628:-1 gene:ORUFI03G14570 transcript:ORUFI03G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIMNKLGGYWLGQKANKEISSAGDDIEVRFFFLSFDRILFLCRCPDDDRRRRRRRRRRRVVVQSLSTSVGEGAKWLVNKLKGKMQKPLQELLREHDLPEGLFPREATNYEFSPETRRLTVHIPAACEVGYRDGSVLRFDATVCGTLEKGRLAEVEGLKTKVLVWARVTAVRADAAKVHFTAGIRKSRSRDAYEVVRGGIIVEEF >ORUFI03G14580.1 pep chromosome:OR_W1943:3:10068551:10075104:1 gene:ORUFI03G14580 transcript:ORUFI03G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDGILYLGGFYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDMYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDWYLGGFYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDVAFEYPMTETA >ORUFI03G14580.2 pep chromosome:OR_W1943:3:10068551:10075104:1 gene:ORUFI03G14580 transcript:ORUFI03G14580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDGILYLGGFYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDMYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVILEDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDVAFEYPMTETA >ORUFI03G14580.3 pep chromosome:OR_W1943:3:10068551:10075104:1 gene:ORUFI03G14580 transcript:ORUFI03G14580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDGILYLGGFYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDVAFEYPMTETA >ORUFI03G14580.4 pep chromosome:OR_W1943:3:10068551:10075104:1 gene:ORUFI03G14580 transcript:ORUFI03G14580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVILEDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDVAFEYPMTETA >ORUFI03G14590.1 pep chromosome:OR_W1943:3:10076141:10079476:-1 gene:ORUFI03G14590 transcript:ORUFI03G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPPAGCSFLKGPHTGIQPNAATSRRSPPPPTEFHLQPRELRLHLAAAPPASSRHPPRLQSSRPRRCMLRCPPPRRLCLRLRLRLGSPVSTMASTLAFLRPSAPAPLAASRGAARGVPAAVRVPCRSRVSAAGVSLGSEVAVGSDALFADYKPTTAFLFPGQGAQTVGMGAEAVNVPAAAKLFDKANDILGYDLLDFCTNGPKEKLDSTVISQPAIYVTSLAAVEVLRSRDGGQNVIDSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAASSAMVSVIGLDSEKVQQLCDAANEEVDEKERVQIANFLCPGNYAVSGGVKGIEAVEAKAKSFKARMTVRLAVAGAFHTSFMQPAVSRLESALAETEIKTPRIPVISNVDASPHSDPDTIKKILARQVTSPVQWESTVKTLMGKGLEKSYELGPGKVIAGILKRIDKGASIENIGA >ORUFI03G14600.1 pep chromosome:OR_W1943:3:10084534:10084782:1 gene:ORUFI03G14600 transcript:ORUFI03G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAPFSCCTYPPTPSPLTINESRRGLPAPDPEIPRASPSPHHWICLSHVSDEVMDRIGPRGLHLGVMPSRRMPIDANPPPM >ORUFI03G14610.1 pep chromosome:OR_W1943:3:10094163:10094852:-1 gene:ORUFI03G14610 transcript:ORUFI03G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIPASSPGIPHQHQHQHHRALAGVGMAVGCAAEAAVAAAGVAGTRCGAHDGEVPMEVARHHEHAEPGSGRCCSAVVQHVAAPAAAVWSVVRRFDQPQAYKRFVRSCALLAGDGGVGTLREVRVVSGLPAASSRERLEILDDESHVLSFRVVGGEHRLKNYLSVTTVHPSPSAPTAATVVVESYVVDVPPGNTPEDTRVFVDTIVKCNLQSLAKTAEKLAAGARAAGS >ORUFI03G14620.1 pep chromosome:OR_W1943:3:10104084:10109280:1 gene:ORUFI03G14620 transcript:ORUFI03G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLLPSRHHCVLLQTLPPAATAAREILRRRQCSSVVSSSPSLSIWRRKKEMGKEGLMVVGQLKRLAALPPAGGSPRLEQFMRSHVSRLLRNDLLAVLAELLRQDHVLLSMKIYSVVRKEIWYRPDMYFYRDMLYMLARNKKIEETRQVWADLKSEDVLFDQHTYGDIVRAFCDAGLIDLAMEFYEDMRSSPDPPLSLPFRVILKGLVPYPDLREKIKQDFLELFPDMIVYDPPDSLSDVDDEFKF >ORUFI03G14630.1 pep chromosome:OR_W1943:3:10113838:10116962:1 gene:ORUFI03G14630 transcript:ORUFI03G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCRSRNQCDVGSRGGFVKMLMVMLVAAAMAAVPAGGQPSDGVVIAQADLQGLQAIRQALVDPRGFLRGWNGTGLDACSGGWAGIKCAQGKVVAIQLPFKGLAGALSDKVGQLTALRKLSLHDNALGGQLPASLGFLPELRGVYLFNNRFAGAVPPQLGGCALLQTLDLSGNFLSGAVPASLANATRLLRLNLAYNNLTGAVPSSLTSLPFLVSLQLSSNNLSGEVPPTIGNLRMLHELSLSYNLISGSIPDGIGSLSRLHSLDLSNNLLSGSLPASLCNLTSLVELKLDGNDIGGHIPDAIDGLKNLTKLSLRRNVLDGEIPATVGNISALSLLDVSENNLTGGIPESLSGLNNLTSFNVSYNNLSGPVPVALSSKFNASSFAGNIQLCGYNGSAICTSISSPATMASPPVPLSQRPTRKLNKRELIFAVGGICLLFLLLFCCVLLFWRKDKQESESPKKGAKDATAKAAAGKSGGGGGGSGGAGGDGGGKLVHFDGPLSFTADDLLCATAEILGKSTYGTVYKATMENGTFVAVKRLREKIAKNQKEFEAEVNALGKLRHPNLLALRAYYLGPKGEKLLVFDFMTKGNLTSFLHARAPDSPVDWPTRMNIAMGVARGLHHLHAEASIVHGNLTSNNILLDEGNDARIADCGLSRLMNATANSNVIAAAGALGYRAPELSKLKKANAKTDIYSLGMIMLELLTGKSPGDTTNGLDLPQWVASVVEEEWTNEVFDLELMKDAAAAGSETGEELVKTLKLALHCVDPSPAARPEAQQVLRQLEQIKPSVAVSASSSFTGEPSQTTATATTITDDTKSTITE >ORUFI03G14640.1 pep chromosome:OR_W1943:3:10119613:10122300:1 gene:ORUFI03G14640 transcript:ORUFI03G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTRGPVTMRLCFFSAAALFLLCFLVPAAVAEERFYEFVVQETLVKRLCNTQKIITVNGQFPGPTIEVYDGDTVAIRAVNMARYNVTLHWHGLRQLRNGWADGPEFVTQCPIRPGGSYTYRFAIQGQEGTLWWHAHSSWLRATVHGALLIRPRPGVPYPFPKPHSEFPIILAEWWRRDPIAVLRQSMITGAPPNVSDAILINGQPGDFLECSAQVPDLVKPTKATFGLEKSIPTVVYSIGRRDERCIVLFRCLQRDKMKRQRFSRLLTMHAVAVTETSIIPVAAGETTLLRIINAAMNTELFVSLAGHKMTVVAADAMYTKPFETTVVLLGPGQTTDVLVTAHAAPGRYYLAARAYASAQGVPFDNTTATAIFQYKGGAGCPTTAGGAGAAGAVAGAGVGAGAAGGAGAVAGAGAGTFNGSLGRSKYSGGNPGRAGPAPMLPYLPAYNDTNTATAFSNSIRSPAPVKVPGPVTQEVFTTVGFGLFNCMPGPFCQGPNNTRFGASMNNVSFQLPNTVSLLQAHYHHIPGVFTDDFPPMPPVFFDFTSQNVPRALWQPVKGTKLYRVRYGAVVQIVFQDTGIFAAEEHPMHIHGYHFYVLATGFGNYDPVRDAHKFNLVDPPSRNTIGVPVGGWAIVRFVADNPGVWLVHCHIDAHLTGGLGMALLVEDGEAELEATMAPPLDLPLCAL >ORUFI03G14650.1 pep chromosome:OR_W1943:3:10127174:10127710:1 gene:ORUFI03G14650 transcript:ORUFI03G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGSFDVVRIVHLNGHIEEYARPVTAGEVVAAHPSHVLSRPCSQGGARRILIVSPESELKRGCFYFLVPASSVPEKKIKRKPSSSSAPPRPRPQQKKAPRPPPTPETSVLARDATVAAKNGGDSYLAEVLAEGKATGCKRRRSVRAAVWRPHLQIIAEEEDAAHE >ORUFI03G14660.1 pep chromosome:OR_W1943:3:10129016:10130482:-1 gene:ORUFI03G14660 transcript:ORUFI03G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAIGDRDGLGRLETGWFKLNFDGSVYHDGSGSASIGGAIRGPASVAFAETTDHWSIGVRGGGPRGALIRGLRLV >ORUFI03G14670.1 pep chromosome:OR_W1943:3:10131047:10131819:1 gene:ORUFI03G14670 transcript:ORUFI03G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPTLGRTPTWRRASTQEGGREHAAEERGCYGWGGEARGGEEDDDVAALIADNDNGGATVSTVDDDAARQRDAAEGRCQWREGVGALDLGMWGETSLDLGGGGRRGDSNLTKFSSLRATTQQWASNEVATSVQAPRVPN >ORUFI03G14680.1 pep chromosome:OR_W1943:3:10131890:10134719:1 gene:ORUFI03G14680 transcript:ORUFI03G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPADADAAAKPPPDVEKPDYSSQNGAPNSAAAAAGGGGGGVVDSVVARWRREDMLDKSPLALHAAAAAFAFVALVLVASNQHGDWMEFDRYQEYRYLLAIVALAFAYSLAQALRHALRMRRGVDPVPTASGRLLDFASDQVVAYLLMSALSAATPITNRMRSAVINRFTDTTAAAISMAFLAFVSLALSAIVSGYKLSKQTYM >ORUFI03G14690.1 pep chromosome:OR_W1943:3:10137519:10141340:1 gene:ORUFI03G14690 transcript:ORUFI03G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGLPGDRKPGDGGAGDKKDRKFEPPAAPSRVGRKQRKQKGPEAAARLPAVAPLSKCRLRLLKLERVKDYLLMEEEFVVSQERLRPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKAIRSDGAHSVPANDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >ORUFI03G14690.2 pep chromosome:OR_W1943:3:10137519:10141340:1 gene:ORUFI03G14690 transcript:ORUFI03G14690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGLPGDRKPGDGGAGDKKDRKFEPPAAPSRVGRKQRKQKGPEAAARLPAVAPLSKCRLRLLKLERVKDYLLMEEEFVVSQERLRPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >ORUFI03G14700.1 pep chromosome:OR_W1943:3:10142511:10144925:-1 gene:ORUFI03G14700 transcript:ORUFI03G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLPADGLAAIRAALRPSARGLRSRPSQGGKAGKPSAAPLDTPRNAAGAGASAGRAEVRDLAAACGLQEDDRVPLSEVVLDCTRRWFQDTLKEARAGDAAMQVLVGQMYRSGYGVNKNEHKAQIWTEKASRYRSTVWKVSNKRPGYNASDSDSDDSKVTGKQSHVTGKDGFH >ORUFI03G14710.1 pep chromosome:OR_W1943:3:10146269:10151886:-1 gene:ORUFI03G14710 transcript:ORUFI03G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRHEVGNEYSLGGRELYRRANQHDPEAVLDGVAMAGLVGVLRQLGDLAEFAAQVFHGLYDEVMTASARGHGLMLRVQQLEAELPLVEKDSCHTDYLYVASNRGIEWHSNPRLESGVVTKGDTPRFIMDSIKKCHGPPKLFMLDKYDIGGEGACLKRYTDPSFFKTDSACSSMLEQGIQRERRPLRAMEIRPTLQNSEIFRPPNAANNDSKLETDLSGEALDEVPTRRRQLKYRQLNGSVFRSFRPQMQNLYGETSPDEKPCSMNHSEVQISFTDSPDTNTEERDIMVDTFSSMDKGKENNYVMAGKNRLISEEALSRSSDARSAGSSKGYNSEVDIYVDALTTMDSEVETDTEHRDHGHRALVPVESSKPCSDVHGAAVSGSISFRNNGSMVPNSSDVVPAEEENNDHHQGYVCVPSPQAKPVAGEHERSSSLEELFAQEKPVSCEHERTSSLEELLLGDVHPSGHNMRSSATESNTNGVVSTVESNGTVGTTKKEKDNPIIAGISFKKTASKKSKYVGSMELIVSKVGIFPRKLSKKHDPFSDSLRNMAKQLLELKIDGTKDTELYEFEANGEGCDMKCLEMSHLPIEIMGSATQSVPPDSPQDNVDSRACRPEEVNQEYDHDVPPSDSPQDSVDGNVFRDIALLRSQEEQQCAGPVTVDNLLDHTPENTQDKIGEHFYREVTEDIHTEVVPENGPDIGEELEEGSITEEKVNEEDVEESNESDAYTLDENTEYIEEQVVSDDLISSPISSKQSDDPCQMAPLTLTDADDALAGTITESNVSTVVVESATTNDVAVQYNEQCCLHPETSFAQDLTHVSSCEVEGQNEPLPLCSSSMVGTTPDLSVDTEEIHENPILCIDSSSDLFRDALAPDSRDVPLPNISSFDWMLNGAMQKSLNVLPAKPPNGILQENNSSKDTEDAPPPLPPLPPMQWRTTKLQPGPAVLSAKFGRPPRPKPPVKYQENESYSSQDERNREPEILQEASLQNGSTSVTPEKEMVVAKVSNEIQTNIMFGRDSQESHLKGLNEYDVQASDSFSTSEFKSVGGVASVEGDNLETSQLSELIVIPEEAWSELVDIKSISGQEKGGKRQLSTGVFNCNGMHTTGLSAEKRDDYKIYDQNEKNLLAGKGNAIADSEEKEPNGVTCQDDTKNSDLLVQQEDGQHDSSAGTARESSSSFEEEVAKFSPPRVPTPPKYPLFQVTAHDRSMAPTLVQPSIKLSDENNTILEQIKNKSFNLKPVLAKRPNMMGAPRTNLQVVAILERANAIRQAVADDDDEDSWSD >ORUFI03G14710.2 pep chromosome:OR_W1943:3:10146269:10151886:-1 gene:ORUFI03G14710 transcript:ORUFI03G14710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRHEVGNEYSLGGRELYRRANQHDPEAVLDGVAMAGLVGVLRQLGDLAEFAAQVFHGLYDEVMTASARGHGLMLRVQQLEAELPLVEKDSCHTDYLYVASNRGIEWHSNPRLESGVVTKGDTPRFIMDSIKKCHGPPKLFMLDKYDIGGEGACLKRYTDPSFFKTDSACSSMLEQGIQRERRPLRAMEIRPTLQNSEIFRPPNAANNDSKLETDLSGEALDEVPTRRRQLKYRQLNGSVFRSFRPQMQNLYGETSPDEKPCSMNHSEVQISFTDSPDTNTEERDIMVDTFSSMDKGKENNYVMAGKNRLISEEALSRSSDARSAGSSKGYNSEVDIYVDALTTMDSEVETDTEHRDHGHRALVPVESSKPCSDVHGAAVSGSISFRNNGSMVPNSSDVVPAEEENNDHHQGYVCVPSPQAKPVAGEHERSSSLEELFAQEKPVSCEHERTSSLEELLLGDVHPSGHNMRSSATESNTNGVVSTVESNGTVGTTKKEKDNPIIAGISFKKTASKKSKYVGSMELIVSKVGIFPRKLSKKHDPFSDSLRNMAKQLLELKIDGTKDTELYEFEANGEGCDMKCLEMSHLPIEIMGSATQSVPPDSPQDNVDSRACRPEEVNQEYDHDVPPSDSPQDSVDGNVFRDIALLRSQEEQQCAGPVTVDNLLDHTPENTQDKIGEHFYREVTEDIHTEVVPENGPDIGEELEEGSITEEKVNEEDVEESNESDAYTLDENTEYIEEQVVSDDLISSPISSKQSDDPCQMAPLTLTDADDALAGKNVDNDISEMHITLSGTITESNVSTVVVESATTNDVAVQYNEQCCLHPETSFAQDLTHVSSCEVEGQNEPLPLCSSSMVGTTPDLSVDTEEIHENPILCIDSSSDLFRDALAPDSRDVPLPNISSFDWMLNGAMQKSLNVLPAKPPNGILQENNSSKDTEDAPPPLPPLPPMQWRTTKLQPGPAVLSAKFGRPPRPKPPVKYQENESYSSQDERNREPEILQEASLQNGSTSVTPEKEMVVAKVSNEIQTNIMFGRDSQESHLKGLNEYDVQASDSFSTSEFKSVGGVASVEGDNLETSQLSELIVIPEEAWSELVDIKSISGQEKGGKRQLSTGVFNCNGMHTTGLSAEKRDDYKIYDQNEKNLLAGKGNAIADSEEKEPNGVTCQDDTKNSDLLVQQEDGQHDSSAGTARESSSSFEEEVAKFSPPRVPTPPKYPLFQVTAHDRSMAPTLVQPSIKLSDENNTILEQIKNKSFNLKPVLAKRPNMMGAPRTNLQVVAILERANAIRQAVADDDDEDSWSD >ORUFI03G14720.1 pep chromosome:OR_W1943:3:10153730:10157617:-1 gene:ORUFI03G14720 transcript:ORUFI03G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDIPPNQTVYLRNLNEKVKKEELKRSLYALCSQYGRILDVVALKTPKLRGQAWVVFSEITAATNAFRGLQEFDFYGKRMRVQYAKTRSDCLATEDGSTAPKEKRKKQEEKAEKKRRAEEAQQSGPNAAAQSNGTGYQASRLGKTSQEPPAPPNNILFIQNLPAETTSMMLQILFQQYPGFREVRMIEAKPGIAFVEYEDDSQSMVAMQALQGFKITPYNPMAISYAKK >ORUFI03G14730.1 pep chromosome:OR_W1943:3:10176731:10178458:1 gene:ORUFI03G14730 transcript:ORUFI03G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTLASYAQDLAMPAAALDLLPDKAHQPSMAPSLHAWDSPNGAPTPMPKRLEGKVAIVTGGARGIGEAIVRLFVKHGAKVVIADIDDAAGEALAAALGPHVGFVRCDVSVEEDVERAVERAVARYGRLDVLCNNAGVLGRQTRAAKSILSFDAGEFDRVLRVNALGAALGMKHAALAMTQRRAGSIISVASVAGVLGGLGPHAYTASKHAIVGLTKNAACELGAHGIRVNCISPFGVATPMLINAWRQGHDASTADDADADIDLDIAVPSDQEVEKMEEVVRGLATLKGATLRPRDIAEAALFLASDDSRYISGHNLVVDGGVTTSRNLIGL >ORUFI03G14740.1 pep chromosome:OR_W1943:3:10189779:10190695:-1 gene:ORUFI03G14740 transcript:ORUFI03G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVGRRGPRFLDFVSNTGRSGGRSEPKRGSRQELANHRQGTAAAAREASASVSELAELDGARDQPEEQDAKFLVLRLYEALNSGDARRAQELLAPDLEWWFHGPPAHQHMMRLLTGADHGESRFLFSPRSVDAFGSTVIAEGTDDTRQLYWVHAWTVGPDGVITQLREYFNTDLTVTRLSASAAKTTAAISSSNSNHASSSAPPPPPSKPKCLWQSRRADRAHKSLPGLVLAI >ORUFI03G14750.1 pep chromosome:OR_W1943:3:10191334:10192520:1 gene:ORUFI03G14750 transcript:ORUFI03G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVTFKRRALIADGDDYRSWSCDLKDRIFFLCWCRTRWFAPCEDGGCLGSPGGAASYSGGGGPGSLGAGALCGGSGLEISGDVAPSDNLSSPSVAALCGSLQTPGVVASCDSLGALRTTAPCADQQEEGKPKVTRSGPHHGKWPSGKVALGPVPSGRCVTAGTRV >ORUFI03G14760.1 pep chromosome:OR_W1943:3:10198514:10203290:-1 gene:ORUFI03G14760 transcript:ORUFI03G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGAQALVLSARKPHAVLKSPSARCCLEQEPGADMVPDGGEGHEIVDVAGEPGAPSSTMRLMDFIPIYIPTVETGALSRSVRKRRFLDFLRAHPSRDWFLRSTFVGRLRHRGQGQAASGDDEEVDSGGGRLRPRRRFRVPFVRKIKWGKLWSYAVSWCRKPENFAMIIWLAFVAAGLLMLFMLMTGMLDSAIPDDEQRKKWTEVINQILNALFTIMCLYQHPKIFHHLVLLLRWRPGAGADREEIRKVYCKDGAPRPHDRAHMLVVVVLLHATCLAQYFCCALFWSYARKERPDWALNIGYGLGTGCPVIAGLYAAYGPLGRKQHEDSDEESAAAQAGGGNRPAENDREVEIKIYNRRVVVSSPEWSGGLFDCCDDGTVCALSATCTFCVFGWNMERLGFGNMYVHAFTFILLCVAPFLIFSVTALNVHDDDIRDTVVSVGVLLGLCGFLYGGFWRTQMRKRYKLPASGCGCGCECGAGGQGHACRAAVSDCAKWLFCWSCALAQEVRTANFYDVEDDRFVFHGARNEDGRAVLVPLPREASTATAHSRSMSCPPKIDAVAALSGASPLGVQMAAINMERSATYSGEHHPAAMRPPVPPLMQMDQE >ORUFI03G14770.1 pep chromosome:OR_W1943:3:10208501:10208881:1 gene:ORUFI03G14770 transcript:ORUFI03G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVRGSLFHFLKGTYNSPNSECFVGGAQAVRMNAAGGFAVWGDLFSAFNCTMVFIHQKEDLWNSIIAGAATSGFLSMRHRGRRAYAQPRPRLSPSMTPTSPPPWPPAVSRPEVPLLALKFVK >ORUFI03G14780.1 pep chromosome:OR_W1943:3:10214576:10218439:1 gene:ORUFI03G14780 transcript:ORUFI03G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAAAAAAATVSSFVSPSSFSSVKASKPDRLRPARRAAAVNVRCVSSPPATETSFKTKVPRNANMAKLQAGYLFPEIARRRAAHLLKFPDAKIISLGIGDTTEPIPDVITNAMAERAHALSTVDGYSGYGAEQGEKKLRAAIAATYYADLGIEETDIFVSDGAKCDISRLQVLFGSNVKIAVQDPSYPAYVDSSVIMGQTGLYQEDVQKYGNIEYMKCSPENGFFPDLSSVPRTDIIFFCSPNNPTGAAASRDQLTKLVKFAKDNGSIIVYDSAYAMYISDDSPKSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIVCTCFNGASNISQAGGLGCLSPEGLKAMSDVVGFYKENTKIIVDTFTSLGFNVYGAKNAPYVWVHFPGRNSWDVFAEILEKAHVVTTPGSGFGPGGEGFVRVSAFGHRENIIEAARRLKQLYK >ORUFI03G14790.1 pep chromosome:OR_W1943:3:10219697:10221043:-1 gene:ORUFI03G14790 transcript:ORUFI03G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVAERVVGERRMREIQRFARNAKLTVVCLLLTVVVLRGTVGAGKFGTPQQDLIELRHRFISHPHRALAEHHDALSRGGGSSSSSGRAAQRDDEPDPPPRTLRDPPYTLGPKISDWDEQRAAWHRRHPETPPFVNDVKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKMDYCRVHGLEIFYNMALLDAEMAGFWAKLPLLRALLLAHPEIEFLWWMDSDAMFSDMAFELPWERYGPYNLIMHGWDEMVYDDKNWIGLNTGSFLLRNCQWSLDFLDTWAPMGPKGPVRIEAGKVLTKYLKDRPVFEADDQSAMVYILATEREKWGDKVYLENGYYLHGYWGILVDRYEEMLENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMERAFNFGDNQILQMYGFTHKSLGSRKVKRIRNETSNPLDVKDELGLLHPAFKAMKTTST >ORUFI03G14800.1 pep chromosome:OR_W1943:3:10221152:10221541:1 gene:ORUFI03G14800 transcript:ORUFI03G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARNHRYTASTATAAASAARSGGGGGGGGGRSRGGFEPRSWRRINKRKGEAEWCRDPRASEARTLASESGLRWLSERSGGGMEGEIWGDAKSESKSNQPPDPAAARRRKEEEKEKAEGEGGGGKRGRE >ORUFI03G14810.1 pep chromosome:OR_W1943:3:10224205:10228095:-1 gene:ORUFI03G14810 transcript:ORUFI03G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNAEKLRGLTITSLDEEDDEPELPHRSPPASGGGGGGGAGYEDDDEEEEEEAEVTLGFLEKPKHPGLLLRHLFPSKAGGIPAWLDPVNLPSGNSRCCGFCGEPLQFVLQIYAPIEDNAASFHRTLFMFMCPSMACLLRDQHDQWKHRQGNPCRSVKVFRCQLPRSNAFYSSEPPKHNDSDKPLCPGAPVCHWCGTWKGDKICSSCKKARYCSEKHQTLHWRSGHKSDCLQLISSSEASSSIFPAVGKVPASKSWPEYEIAIDYEGAFNSDSCDESNSKSLVMQRPGKPDDMMQSWMDQFEADADNKCWASFQERVSRAPKQVLRYCREENAKPLWALSAGCPSNADIPSCSYCRGPLCYEFQIMPQLLYYFGVKNEPDSLDWATIVVYTCKGSCDQNVSYKEEFAWVQLYPTTTTSWLRSSALIFDVKSGAQLIKTR >ORUFI03G14810.2 pep chromosome:OR_W1943:3:10224205:10228095:-1 gene:ORUFI03G14810 transcript:ORUFI03G14810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNAEKLRGLTITSLDEEDDEPELPHRSPPASGGGGGGGAGYEDDDEEEEEEAEVTLGFLEKPKHPGLLLRHLFPSKAGGIPAWLDPVNLPSGNSRCCGFCGEPLQFVLQIYAPIEDNAASFHRTLFMFMCPSMACLLRDQHDQWKHRQGNPCRSVKVFRCQLPRSNAFYSSEPPKHNDSDKPLCPGVPASKSWPEYEIAIDYEGAFNSDSCDESNSKSLVMQRPGKPDDMMQSWMDQFEADADNKCWASFQERVSRAPKQVLRYCREENAKPLWALSAGCPSNADIPSCSYCRGPLCYEFQIMPQLLYYFGVKNEPDSLDWATIVVYTCKGSCDQNVSYKEEFAWVQLYPTTTTSWLRSSALIFDVKSGAQLIKTR >ORUFI03G14820.1 pep chromosome:OR_W1943:3:10228262:10233897:1 gene:ORUFI03G14820 transcript:ORUFI03G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAATIRDLLTSFSPAADFLALSSGDGRIKVWDAVRGHLQTEFADIPPVEVGGGARAPGAKRGHLALDYTCMKWVQLSSKKKRKAGSSLLVLGTGSGDVLALDVAAGQWKWRVTDCHPGGVTAVAYSKHGRSLYTGGTDGMVCRINASDGSVVEKFKSSSKAISALAVSPDGEILATAAGQLRTFDASDNKKIQKFSGHPVAVRSMVFSGDSQYVLSSGVGERYVAIWKSGSGKTQSSSCILSMEHPAIFVDCKCSDINDTEGEIHVLAISEIGVCYFWSGTNMDDLRNKKPTKIALSDSSLSRSKQGFAIFAAKLQGIDGPNSAHVLLAYGSVVKPSFDKLLVRYGMDISLGVSDDGVLLPMIQPTKPQKGQSAKKQGIITALDRANAEDAILPLPQLHTQEKKRKHNATESSGDIQSAPHSDLSSTKLIEKRAPVQRIEDDSVCIEDMMRKCGVIDSRVDQGMEGHPSIPTSILSDLFGSSSKIDANLPNKKIRAHLRSLKPGDACELLEKLVSSWKTRSGSAEVVLRWIYCLLIIHGRFIPFEKSKKIISDLEKMCGERYKAAEDLLKLSGRLRLLMAQIVKDPNDVSELPSEEMQDSAAAQSDEEEEDDEIDETVYGEDADSSQTSDDDAE >ORUFI03G14830.1 pep chromosome:OR_W1943:3:10234199:10235462:1 gene:ORUFI03G14830 transcript:ORUFI03G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTHEIESPVAAPRLFRAAVMDWHTLAPKIASHIVASAHPVDGDGSVGSVRQFNFTSAMPFSHMKERLEFLDVDKCECKSTLVEGGGIGKAIETATSHIKVEPAANGGSVVKVESTYKLLPGVEVKDEITKAKESLTGIFKTAEAYLIANPDAYN >ORUFI03G14840.1 pep chromosome:OR_W1943:3:10237029:10238726:-1 gene:ORUFI03G14840 transcript:ORUFI03G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGAIIGASTVLVVAVVATVCVVSFKNGSSNAKEDGELSTSVKSIKSFCQPVDYRETCETTLEQTAGNATNPTDLAKAIFKATSERIEKAVRESAVLNDLKNDPRTSDALKDCEELLDYAIDDLKTTFDKLGGFQTSNFKRAVDDVKTWLSSALTYQETCLDGFENSTSTEASEKMRKALKSSQELTENILAIVDQFADTLANLDITGFSRRLLGDDGVPVWMSNAKRRLLEATPGSKEFKPDVTVAADGSGDFKTINEALAKVPVKSTGTYVMYVKAGTYKEYVSVARNVTNLVMIGDGATKTIITGNKSFMLNITTKDTATMEAIGNGFFMRGIGVENTAGSKNHQAVALRVQSDQSAFYECQFDGHQDTLYTHTSRQYYRDCTITGTIDFIFGNAQVVLQNCRIQVRRCMDNQQNIVTAQGRKEKHSAGGTVIHNCTIEPHEDFKADAAKFKTFLGRPWKEYSRTLYIQSDIGGFIDPQGWLPWLGDFGLNTCYYAEVENRGDGADMSKRAKWRGVKTVTYQQAQQKYTVERFIQGQTWLPKFGVPFIPGLLPQEQSGRIH >ORUFI03G14850.1 pep chromosome:OR_W1943:3:10242524:10243504:-1 gene:ORUFI03G14850 transcript:ORUFI03G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAGSGNASGGAGAGASACCYYSLLGIRKNASATDVRAAYRRLAMKWHPDRCVSDPGEANRRFQRIQEAYSVLSDKGKRAMYDAGLFDPLDDDDQDFSDFMQEMLVMMDNVKNEKPDTLEDLQKMLQDIVSGDGGSRGGGVGGRVPSDGTRRTRVAPYPAQSRR >ORUFI03G14870.1 pep chromosome:OR_W1943:3:10252833:10253939:1 gene:ORUFI03G14870 transcript:ORUFI03G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAARVSGFFSAAMVMVVLSPSLQSFPPAEAIRSSQFDSHVRFPGQIAGGARGLAFRRAPAFRNAADCGNATGNVCDPSLVHIAITLDEEYLRGSVAAVHSVVQHATCPESVFFHFLVSDPALGDLVRAVFPQLQFKVYYFDPDRVRGLISTSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVVVDDVAKLWRTDLGGRTVGAPEYCHANFTKYFTDRFWSDKQFAGTFAGRRPCYFNTGVMVLDLARWRRTGYTRRIERWMEIQKSPAGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVFGSCRDLHPGPVSLLHWSGSGKPWARLGAGRPCPLDALWAPFDLYGPADSAAEGSR >ORUFI03G14880.1 pep chromosome:OR_W1943:3:10267636:10269651:1 gene:ORUFI03G14880 transcript:ORUFI03G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCIVVVFGVLVLVAGMAAAQGQVPSPTPAAAAAAPAPTPDCNGILLTYNFEGRAKIRPYVADRNKQPYSFRANATVLNSGTRPLKSWAMLVTFGYGEILVGVDGAVLTGGGEMPYNTTQDAGNATSFSGYPQTDLLTPIATAGDISQIQAKVGIVGTLFAGPGPFVPLPTALSLDDPAYRCPQETNVSSGVLSTCCVLTPEAEANATVINANATDPTKNFLPRGTGDLVITYDVLQAYPSSYLALVTLDNNAKLGRLDNWRLSWEWRRGEFIYSMKGAYPSEKDTTGCIYGAAGQYYQSLDFSQVLNCDKKPVILDLPLSRYNDTQIGKIDHCCRNGTILPKSMDETQSKSAFQLQVFKMPPDLNRTKLFPPANFKIAGASSLNPDYTCGQPVPVSPTEFPDPSGLDSTTLAIATWQVVCNITTSKGAKPKCCVTFSAYYNDSVIPCNTCACGCPSNQRGPTCSTTAQSMLLPPEALLVPFDNRTQKALAWAELKHYNVPKPMPCGDYCGVSINWHISTDYNKGWSARMTLFNWDNVDLANWFAAIVMDKAYDGFEKAYSFNSTSVGKNTIFMQGLEGLNYLVKQTNMSGSDYLVPGKQQSVLSFTKKLTPGINVVAGDGFPSKVFFNGDECAMPQRIPMSNSGFRTHLSSVLSLVLVLAASAFVLLQQ >ORUFI03G14890.1 pep chromosome:OR_W1943:3:10275345:10280570:1 gene:ORUFI03G14890 transcript:ORUFI03G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFSKLVNSGLVPDGFSFSSVLSACAQAGARCYGMWVHQLMAELGLEMNHILSSSLVDMYAKCGRIDVAIGIFMTIKRNHVSVWNTMIGGLAAHGLGSDAVMFFREMESEGLVPDGVTFVALLTACSHSGMVEEARQYFEAMTTKYSITPRIEHYGAMVDTLSRAGLLDEAYDLVKTMNVKPDAVIWRALLSACCRYRQTKLGEITVKEIAFQGSGDYTLLSNIYSSANRWEDSEEVWKERKKKGIRKSKGLSWVELGRSTHEFKAGDRSHPDTDGIYKVLHRLSNKAKSEGYIPLTELVSKDVSEEEREENLTVHSEKLAVAYSILKTMPRTEIMVSKNLQTCSDCHEWMKIVSKVLCRVIIMRDRVRFHRFEGGCCSCKDYW >ORUFI03G14900.1 pep chromosome:OR_W1943:3:10282125:10284149:1 gene:ORUFI03G14900 transcript:ORUFI03G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELSFVSPARSSCFSFEGGGSGSPTWVSTVEALLRSPTSSVSDGGGGGGGGYNSPARASSPLQKQIPYCRDAGDFSSLTWASTLEKPLESPSSCISDGRGGGFGSPTSAFPPEKLLISPPTCVSDNRGVGNVGGFPSLPWASSLERLLTSPSSCVSDSRGVGNADGFPSLPWASSLEKPLTSPSSCVSDGRSGGYSSPLGASAEREREVREAEMLLRAIAERYDDCFLRLRDAAAELSDLHRERLRLAAENLYLSLLLEELESEQRKQASAMAPPKLEEDEAAQGGAPKSISIRSPGYLSQKPPQGQARPQRLRVRASQAMEISHPNCLIFVMGNQCSPKEAAAAGDEEDEEDKGGGEVEVEAYRQGAAKTELCNKWERGASFGPW >ORUFI03G14910.1 pep chromosome:OR_W1943:3:10286339:10289539:1 gene:ORUFI03G14910 transcript:ORUFI03G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRVLEVEEEVVDGDEDELASPEAKRRRTFINNSSMQEAIGAQYMQRHLPKLEPFLRRVVQEEVQNVLIRHIDSAHRLPLQLKTSSKRYKLQFQGNLPLTLFTGNRVEGENKQPLRIVLTDAVSNQTITSGPLSSMKVELLVLDGDFNADERLEYTEKEFSESIVFEREGKRPLLSGEVIIVLEKGVASIRDISFTDNSSWIRSRKFRLGARISRASSIEERVQEAVSNPFLVKDHRGEVYKKHHPPALADDVWRLEKIGKDGVFHKKLADFGIHTVQDFLRNLVMDQYGLRSLLGSGMSNKMWEATVEHARECVLDDKLYSYCSGHGIILLFNCIHEVVGVIVGSHCFTLNALTPTQKALVVKLQQDAYKFPNRIVEFKVQSQCTSQSSPTTIQSQSVQMPASENAQILNLPQGAHCFPSGELPSSSQDCLLNPLQYQPLNEALEDVLQTAGGSHHQHQGGSGELPWIASSFGAGGFVDARDPFDVQFSGSQPCGLLLSSSGARL >ORUFI03G14920.1 pep chromosome:OR_W1943:3:10290604:10293589:-1 gene:ORUFI03G14920 transcript:ORUFI03G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAGEEGKAAPSLPLATLIGRELRGGGSERPLVRYGHFGFAKRGEDYFLVKPDCLRVPGDPSSAFSVFAVFDGHNGVSAAVFSKEHLLEHVMSAVPQGIGRDDWLQALPRALVAGFVKTDIDFQRKGEASGTTATLVVVDGFTVTVASVGDSRCILDTQGGVISLLTVDHRLEENVEERERVTASGGEVSRLNLCGGQEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSEAAAQACRGLPAELAAKLVVKQALKTSGLKDDTTCVVVDIIPSDHSSTPPSLSPKKNQNKLRSLLFGRRSHSSVGKLGNKSASFDSVEELFEEGSAMLGRNFPSKANSSPSRCAICQVDQAPFEDLVTDNGGGCCSAPSTPWVGPYLCSDCRKKKDAMEGKRSSRSTACR >ORUFI03G14930.1 pep chromosome:OR_W1943:3:10298526:10304244:-1 gene:ORUFI03G14930 transcript:ORUFI03G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAALFSLSAAAVVEDVLRQHGCRLSDRDLASRRAEEAAARRNEAAGWLRRTVGAVAARDLPEEPSEEEFRLGLRNGQILCGALNRVHPGAVVVNTAADSVLQPDGAALSAFQYFENVRNFLVAAQEIGLPCFEASDLEQGGKSARVVNCVLALKSYGDWKQCGGTGPWKYGGNLKPSASGKSFVRKNSEPFRRCQSMNEGEVPYEEAGFSGDYHLDSGDMSTSRPLKMLVSAVLSDKRPDEVPQLLESMLSKLVEEFENRLTSQHELVKAALKNGTDGTKSFSKSKMDTIEVYSKHRQTKKEAYGEVTLKQYSMLQLQSKHVEELKADIRATKAGMEFMQMKYSEDINILGRHLFSLAHAASGYHIVLEENRKLYNQVQDLKGSIRVYCRVRPFLPGQVSSCAVGSIDEGNITIITPSKSGKEGRKTFSFNKVFGPSATQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKNMTEQTQGVNYRALSDLFKLAEQRKGAFIYDIAVQMIEIYNEQVRDLLNGLNVPDASLVCVASTMDVMELMNVGQKNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVIASLAQKSAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDALGESISTLKFAERVSTVELGAARLNKESGEVKELKEQIARLKSSLAMKDSGSEQNINRDPEAFNMKMPSPGFSNRRQGSCELVSSQTNFRQPMEDVGNIEVRANPTLRQKKPSFDLQDLLASNDSPSWPDSISRANFQMGEERVTIGGEWIDKVVVNNNNSVGDWEGDSAALPDFFYQRYHSGTRDKQYLRNNSRKKDGNEFEQQRPRFYSTNTDDSDDIDIATSDSSESDALWQFNVQSINSSISENGSKIKKPQTKLRESSDTRTPLHSQIPSASRKTSNGNRSGRQPLSGSDSRRLSSNGRHAVFVMRDCEVFLEYSNE >ORUFI03G14930.2 pep chromosome:OR_W1943:3:10298526:10304244:-1 gene:ORUFI03G14930 transcript:ORUFI03G14930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAALFSLSAAAVVEDVLRQHGCRLSDRDLASRRAEEAAARRNEAAGWLRRTVGAVAARDLPEEPSEEEFRLGLRNGQILCGALNRVHPGAVPKACAHVVFVNLIRSRCAVCHCSVMVGCCDVARARAPQVVVNTAADSVLQPDGAALSAFQYFENVRNFLVAAQEIGLPCFEASDLEQGGKSARVVNCVLALKSYGDWKQCGGTGPWKYGGNLKPSASGKSFVRKNSEPFRRCQSMNEGEVPYEEAGFSGDYHLDSGDMSTSRPLKMLVSAVLSDKRPDEVPQLLESMLSKLVEEFENRLTSQHELVKAALKNGTDGTKSFSKSKMDTIEVYSKHRQTKKEAYGEVTLKQYSMLQLQSKHVEELKADIRATKAGMEFMQMKYSEDINILGRHLFSLAHAASGYHIVLEENRKLYNQVQDLKGSIRVYCRVRPFLPGQVSSCAVGSIDEGNITIITPSKSGKEGRKTFSFNKVFGPSATQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKNMTEQTQGVNYRALSDLFKLAEQRKGAFIYDIAVQMIEIYNEQVRDLLNGLNVPDASLVCVASTMDVMELMNVGQKNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVIASLAQKSAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDALGESISTLKFAERVSTVELGAARLNKESGEVKELKEQIARLKSSLAMKDSGSEQNINRDPEAFNMKMPSPGFSNRRQGSCELVSSQTNFRQPMEDVGNIEVRANPTLRQKKPSFDLQDLLASNDSPSWPDSISRANFQMGEERVTIGGEWIDKVVVNNNNSVGDWEGDSAALPDFFYQRYHSGTRDKQYLRNNSRKKDGNEFEQQRPRFYSTNTDDSDDIDIATSDSSESDALWQFNVQSINSSISENGSKIKKPQTKLRESSDTRTPLHSQIPSASRKTSNGNRSGRQPLSGSDSRRLSSNGRHAVFVMRDCEVFLEYSNE >ORUFI03G14930.3 pep chromosome:OR_W1943:3:10298526:10304244:-1 gene:ORUFI03G14930 transcript:ORUFI03G14930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAALFSLSAAAVVEDVLRQHGCRLSDRDLASRRAEEAAARRNEAAGWLRRTVGAVAARDLPEEPSEEEFRLGLRNGQILCGALNRVHPGAVPKACAHVVFVNLIRSRCAVCHCSVMVGCCDVARARAPQVVVNTAADSVLQPDGAALSAFQYFENVRNFLVAAQEIGLPCFEASDLEQGGKSARVVNCVLALKSYGDWKQCGGTGPWKYGGNLKPSASGKSFVRKNSEPFRRCQSMNEGEVPYEEAGFSGDYHLDSGDMSTSRPLKMLVSAVLSDKRPDEVPQVKAALKNGTDGTKSFSKSKMDTIEVYSKHRQTKKEAYGEVTLKQYSMLQLQSKHVEELKADIRATKAGMEFMQMKYSEDINILGRHLFSLAHAASGYHIVLEENRKLYNQVQDLKGSIRVYCRVRPFLPGQVSSCAVGSIDEGNITIITPSKSGKEGRKTFSFNKVFGPSATQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKNMTEQTQGVNYRALSDLFKLAEQRKGAFIYDIAVQMIEIYNEQVRDLLNGLNVPDASLVCVASTMDVMELMNVGQKNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVIASLAQKSAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDALGESISTLKFAERVSTVELGAARLNKESGEVKELKEQIARLKSSLAMKDSGSEQNINRDPEAFNMKMPSPGFSNRRQGSCELVSSQTNFRQPMEDVGNIEVRANPTLRQKKPSFDLQDLLASNDSPSWPDSISRANFQMGEERVTIGGEWIDKVVVNNNNSVGDWEGDSAALPDFFYQRYHSGTRDKQYLRNNSRKKDGNEFEQQRPRFYSTNTDDSDDIDIATSDSSESDALWQFNVQSINSSISENGSKIKKPQTKLRESSDTRTPLHSQIPSASRKTSNGNRSGRQPLSGSDSRRLSSNGRHAVFVMRDCEVFLEYSNE >ORUFI03G14940.1 pep chromosome:OR_W1943:3:10312013:10314045:1 gene:ORUFI03G14940 transcript:ORUFI03G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISRGLVLAGSSRYDSLLPCNMISRESRVLIQMMLKAFMPVAVYSLIVSFHTDSFRRASMLNMLGISAGVAVAVAAYGEARFDAFGVMLQLTAVAAEATWLVLIQILVTSNGKSLNPHHLALLSRRAAKAAPPWLAANDVVTAVTAAGRRLPTPSSLVGLLPTLTSLRKEMRERRKGEKKRKSKGCDMETLTCRAHMSRTEDEKEGKTNKDSDTSTQG >ORUFI03G14950.1 pep chromosome:OR_W1943:3:10314246:10315010:1 gene:ORUFI03G14950 transcript:ORUFI03G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAGSPSSSSARKPASRPRLPCRDILVHIEAYLSRRDGVDNLLKVSLYAARLALALAAGQPPLPHAATARLRSFESSVGLSRKAFRLGKFVQSINALRAAAYHPHPHVHPLLVLLAYGGQGVYNFLEQFAWLAKAGLLPARLLPRRLHRIGVWAQLLAHVGSIAIKLEEVAELECGVEARLEEGCGEESEVVRTLSRKLLLKRMSLVQDMVDSAMTVGDVTGRKGLLGSSTLMASAGLLSALISVHKNWNSC >ORUFI03G14960.1 pep chromosome:OR_W1943:3:10316220:10316948:1 gene:ORUFI03G14960 transcript:ORUFI03G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGSSDSRKPAAHPPPRDFLVHVEAYLSRRDGVDKLLKISRYAARLALAAGPLPPAASARLKSFESSVGLSRKAFRLGKFVQNVNALRAHPHPPPAVALLAYGGEGVYYFLEQFVWLAKAGLLPAHLLPRLQRLSAWAELLGYVGSITIKLEEIGKLESSVKMRLKEGCREESDVVRTLRVKLLLKRMSVVQDVADAVMALGDVTDGKGLLGSSTLMASAGLLSALISAHKNWNSC >ORUFI03G14970.1 pep chromosome:OR_W1943:3:10319452:10324221:1 gene:ORUFI03G14970 transcript:ORUFI03G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGEGAVPVGESGGRRRRRPGEDGGDDDDEEYVVEEDEEEECDEDLSASSAGEGGEGTDEEYEEGDEDEEEDETPRPRQPVKSRENGRKGKADPPVARSRRRKYEDDDDYSEEEDDRVDEYGEDLEEEEEDLEEEEEEDDEAPRSKRMKKRGGRNVEGKLPLERSNRRRYEEDMDFDPDMDEEEEEEDVDFDPEVEDEEEEDFEDEEDDELEATKVRVKNMGRRKSTLNQRRGKMKSSSKVASRKVGSVKARNAASIRRRQKKRSMLDRYEDDDFIVEDEVTADWQPRKKARIRKQMEVDPPTPVFEAEIWPTIDSDTTDFEFVTSDEEAAIAEPTRVIKKGRKKRVFVSDSSSDSEFVVSDKELGNLKESEPPESLIVLPSSPRKISVTGNGEHKGKEKKEPQEAGRATCGICLSEEQRVTVQGVLDCCSHYFCFACIMQWSKVESRCPLCKRRFTTITKSSKEDTGLELTNSVIRVEERDQVYQPTEEEIRRWLDPYENVVCIECNQGGDDSLMLLCDICDSSAHTYCVGLGREVPEGNWYCGGCRLDGEAHSYHNHVNGNSGMFGAISPIGTFERQGIDLNVSPREIPRGNHSVESQASTAGASTPSGRQTNATNFRRRQMHDWIRSLLSRPRTTLGPVMHHNGVHQSGFVPSTEPDHMNFCAPLESDTLHNTGSVPRSEPSQNFHVMSEANTSETSFGRHAALSERRQIYERFFMLLSRPSPTIRPDLCHNASEHGSSVPRVEPNHMNFHAPPVANSPQTLLDGIPNRSNGFSFTQAHSNFVDGNNFQGTEGV >ORUFI03G14970.2 pep chromosome:OR_W1943:3:10319642:10324221:1 gene:ORUFI03G14970 transcript:ORUFI03G14970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGEGAVPVGESGGRRRRRPGEDGGDDDDEEYVVEEDEEEECDEDLSASSAGEGGEGTDEEYEEGDEDEEEDETPRPRQPVKSRENGRKGKADPPVARSRRRKYEDDDDYSEEEDDRVDEYGEDLEEEEEDLEEEEEEDDEAPRSKRMKKRGGRNVEGKLPLERSNRRRYEEDMDFDPDMDEEEEEEDVDFDPEVEDEEEEDFEDEEDDELEATKVRVKNMGRRKSTLNQRRGKMKSSSKVASRKVGSVKARNAASIRRRQKKRSMLDRYEDDDFIVEDEVTADWQPRKKARIRKQMEVDPPTPVFEAEIWPTIDSDTTDFEFVTSDEEAAIAEPTRVIKKGRKKRVFVSDSSSDSEFVVSDKELGNLKESEPPESLIVLPSSPRKISVTGNGEHKGKEKKEPQEAGRATCGICLSEEQRVTVQGVLDCCSHYFCFACIMQWSKVESRCPLCKRRFTTITKSSKEDTGLELTNSVIRVEERDQVYQPTEEEIRRWLDPYENVVCIECNQGGDDSLMLLCDICDSSAHTYCVGLGREVPEGNWYCGGCRLDGEAHSYHNHVNGNSGMFGAISPIGTFERQGIDLNVSPREIPRGNHSVESQASTAGASTPSGRQTNATNFRRRQMHDWIRSLLSRPRTTLGPVMHHNGVHQSGFVPSTEPDHMNFCAPLESDTLHNTGSVPRSEPSQNFHVMSEANTSETSFGRHAALSERRQIYERFFMLLSRPSPTIRPDLCHNASEHGSSVPRVEPNHMNFHAPPVANSPQTLLDGIPNRSNGFSFTQAHSNFVDGNNFQGTEGV >ORUFI03G14980.1 pep chromosome:OR_W1943:3:10330551:10332049:1 gene:ORUFI03G14980 transcript:ORUFI03G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADYEYRAYGAPADHDRPYHGREVVPYGDRRIDVVVKPPGTTTTTTTRSPPPPLPVTKVGGGGGGMGSAWCFSDPEMKRRRRVASYKAYSVEGKVKSSLRRGFRWIKAKCSEAVGSWWSSVWVGTRYKPATMCKECTRYY >ORUFI03G14990.1 pep chromosome:OR_W1943:3:10334510:10337232:1 gene:ORUFI03G14990 transcript:ORUFI03G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKILQWHSVASWTWDAQDETCGICRMAFDGCCPDCKFPGDDCPLIWGSCNHAFHLHCILKWGRKLHLVHVVLSRVQAPGSLATVGKSRDLPFYMAPNFR >ORUFI03G15000.1 pep chromosome:OR_W1943:3:10339256:10339875:1 gene:ORUFI03G15000 transcript:ORUFI03G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAAAAANPKQKQKQQKASIGRRAWRLLRLAVLWARKGSAVHSLRLLSNLRRAGVGLGVVGRGDRLGYGEREYSIEETPAFRFRTPSARVLRLIPCIAPAVPDTPGLYGDEDRYFFCRWDTEPTTTMASRASAAAFRDGAMEEQLLELSMLEASAAAVTEDAGVDAKAEEFIAKFHAQMKLQRQISWLQYNEMMERSLR >ORUFI03G15010.1 pep chromosome:OR_W1943:3:10345439:10349970:1 gene:ORUFI03G15010 transcript:ORUFI03G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEKGGAKIGGGGGGGGGGGGGGGLFNLFDWKRKSRKKLFSNSPAFFRVIFVTEGAKLVKRGEETLPSGRLHLIDDDEGIGVSSFKGSSDYSCASSVTDEEGREMKAPGVVARLMGLDAMPSTGVPEPYCTPFRDTRSFRDSQSLKRSPEYSGSDQFSYVPRRVDGYMRKPLDLRAQKMPSSPIERFQIETLPPRSAKPLPMSHHRLLSPIKNPGFSSARNAAQIMEAAAKILEPRPQVSSREKICSYSPARIPLRISETRENIPASQRAVSRQLQSSRTNLELPDVRFSRGQQMNRSWNSEDDIVIFRTSSDSYEINNPGFSKNNKGKSISLALQAKVNVQKREGLGSSGKNSGGQKDRDECRTSQPFRSQSNAQKNKQQKKPSSSGTSSPVLRQNNQKQNSMVSRGKSAPNKSVSSQQGRKMAGDSSTGKLKNASKISKGGSRKDIVESISCDKEGSSSNNKDFPQKKRLIERNSTNEKGMFVPEKSAARLQKQVQPNVVMDEHIKWNNDSKDSTDVVSFTFTSPLVKPSAGPSRLSGKWDTRSNFNLDAINEKDDSDKKSEGLSSVGLNFVNGDALSLLLEKKLKELTSKIEPSINFTRGDTFVPANFSLEEPVVSSSSNWDMESGVFDCSPSEGKPSQYVDYCQSAQSSTKGQIFRGSKLQVEEPEECSSISNARKEQEHEDLSPLSVLEPTFLNESCWSSDCCSGSSDGSKGYSSSSEVKNMPKNFLSNPPSVDAEAKTTDSVSSSSIDASDTSASIDASDISDITQCSKKSRNSELEYIGDVLGNVNLTKGGLGSLFISQDDVSVMDPHLFNKLESMNLYTQGKKNLDRRGYRKLLFDCVSECLETRRLTYFRAGYAAWSKGMAAMSRGIETEVCNEISGWRSMGEWVEDELVDKDMSSGLGTWVDFRVEEFETGEELEREILSSLVDEVIGDVFVRRRDGRSVNL >ORUFI03G15020.1 pep chromosome:OR_W1943:3:10353533:10354603:1 gene:ORUFI03G15020 transcript:ORUFI03G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDIDSSCSTPFASAPSSPGRSPATGGGYFFSAPASPIHHLLLSSSSVASGVVSGAGYGGVGDAEFEFGGPGGPMISADELFHNGQIRPLTLPPLPDLDPGSDDDDGGGGDFRPGPVRGRELTLRSGSVHRRTRSMSPLRGASPRLKLLNALVPAPDLGSEPTPSHSAGSEEATPPVTASSRSSSSSSTSSSSSSSSSARGSRRWVFLKDMLLHRSKSEPGGAHAHDAPAKPEKAWQFSPSWASSRDRIAAKLRAARSPLPPQPQSEASSDAGGGEEAQATRGRARGGKGRRRSSTVAAAHERLYAARNRAQAEEMRRRTFLPYRQGLLGCLGFSSRGYGALHGFTKTLNPVFSR >ORUFI03G15030.1 pep chromosome:OR_W1943:3:10367984:10373781:-1 gene:ORUFI03G15030 transcript:ORUFI03G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKRGRWTTEEDEKLAGYIAKHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRAGVKRGNISNQEEDVIIKLHATLGNRWSLIASHLPGRTDNEIKNYWNSHLSRQIHTYRRTYTAPSEAAVTIDVTKLQAAGKRRGGRTAGQSRKGDKKRAEDDPPKETAAADTPLPESSPRRAQSDEARSGSVVVDPEEPSSQPNNGSSGGGGGTPDGPCSEETATGPTSLDPMEMGLWEAESEFAEMEALLCGGVAPDGPGIPGLEPLDVAVQADDLLDMDWDGFAADLWGDPAQRGGLVQDAGEPNGSMGCSSDELESFASWLLSDSC >ORUFI03G15040.1 pep chromosome:OR_W1943:3:10390624:10390929:1 gene:ORUFI03G15040 transcript:ORUFI03G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKELGGAGGGGARCRRRQVTLGRGKRGDMVARRHGRRDHVAAVDSAGSVGADVDVASSKHWPTSTPPPPSPACSPTSSSPSLLLQVLLAWRCEMDGAR >ORUFI03G15050.1 pep chromosome:OR_W1943:3:10395151:10395978:-1 gene:ORUFI03G15050 transcript:ORUFI03G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSAARLSRFAVVVLLLCLCAPFCKPEPDGDVPIDPLPGLPSRSLRCFDDGQVYSCCEGAYRLNPSGVIAVPPGEVDSYCGGACVVETEDVLNCVASALDGFRFFNGASVEDARYALRRGCSHTIKRAGSFRAGDFNDLEPQMGDYPDIYGDNSSDGGEDNAASPRLLAFLGAAAAAAWLLLFGR >ORUFI03G15060.1 pep chromosome:OR_W1943:3:10396638:10397581:-1 gene:ORUFI03G15060 transcript:ORUFI03G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQCPSKHFLLAAAVIFCVTIPSCKAQDAVEIVAKAALCFDNHTVINKCLQQIGIDSNARASTQGAGGAVLDASANASAALCDTPCFEHMLMMTDCMDDILSNFQGYSAGLIKGYRAVFQMSCRVVTAAAAGGGGSSSSSNGTANATVAGGGDADDRHSPSHGAAKGNSLVSRTGSAVANGAGGRRLRVGNLVWAAILAVTV >ORUFI03G15070.1 pep chromosome:OR_W1943:3:10398801:10404997:1 gene:ORUFI03G15070 transcript:ORUFI03G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPISGSDDDDEHLFAGVRFFLVGFDPLSESQYRSEMVRRSGVDAGRFGSGCTHVIVCGLVYDDPACVAARAEGKKVVTELWVEASLDRGMLADADRVMYWPVRDLNGIAGSESLRICLTGYQRSDREDIMSMVSLMGAQFSKSLNPDVVTHLICYKFEGEKYEAAKKAKLKFNFNIKLVNHRWLEDCLKCWKILPVDDYSKSSWELEIMEAQAKDSEDEEDVGQRSFRNKIVRSTLNPKGSAGTSANPVVNAPIRSPTISSGNIGMVLEKQPNIPGEIRKAEDAVNRIHDVAAQGTPRTRLAMSANTDFSTPSQIPFIHSDSRGDAAVRDLKNADQIQGNKHKDVGTKTLDVTSGASGTPCSSKMVVSANHNVHSLNKTNFVEDHGDTDASKADLTTPSREILPANVLDSSNVARGRSQEDYGATCTPDAAAGTNPSEANNVDLGKQQSGSSKSRSRTALKHGNLVDGIKLPEDSSSETNAQPPPKPKELLATSLSATVHDVKRCPDFSFQNKDGDYAQDSGNALNQDGSPLMRKTENVLAKVRTSDISLHSSRKSKLVPSSGNGDTEMSDALDIEKNKAAVASNCKPEKVVPDENIKADQLKDFPGTSNNVLRQTGYLKKVASRKGMKASTKRPRSASKVVDEPVVDDGMTKTVVSESEPDKMIAHKHIGETAKDGPDSVNAAEHRTNSSDKVLTDGASRISRRLQNVHTMKNDRHAASNLESSKMISEENTGIGITPKKFVSNATTEGHQTNSPKMLPNTSMRNTFAKRSRVSDTKMTGESSADKTETVAGKSLFDDLFPSQNIDHPKKLSSSASADGCGSLSCKNASPARVRNAVAKRKIKALEDKSDSKLGKIGGAIVSAAKAVASRGIEESSCNINKVSSDQNSVKTDGMRDVSGLFSSDTSVIDRSENLNNSKLRCSKRNKSLSLDHEKENMQDNGTLSSKSNGRTAIMISNLDANSMKHGANMFNEPNRIKGNGPGTLITPEPTCFILSGHRQQRKDYRSILRRLKARVCRDSHHWSYQATHFIAPDPLKRTEKFFAAAAAGK >ORUFI03G15080.1 pep chromosome:OR_W1943:3:10419200:10421778:-1 gene:ORUFI03G15080 transcript:ORUFI03G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPTSAPEKKRKWLLSNRKVIDKYLREARAILATAPEAGGGDAVAALGLVDAALELSPRMESALELRGRALLSLRRYRDVAEMLRDYIPSCAKTCSGDDTLSSSTSSSLSSSGSGDLGTISRAKLLSPDRHRSDAAAEPGAAVARSFRCFDISELKRRVLASLSKNPNTDTQWRYLVLGQACFHLGLIEDAMVLLQTGRRLASAAFRRESVCLSEDSFSSSSPAAAVAPIPSGNTTKSGSAFIIPAMESEAVSQLLAHVKLLLRRRTAAMAALDAGLPAEAVRHFSKILEARRGVLPHPFAAACLVGRAAAFQAGGRPADAIADCNRALALDPAYIPALRARADLLQSVGALADCLRDLDHLKLLYDAALRDGKLPGPRWRPQGGVRYREIAGAHRKLTARIQGLRSRVAAGEACNIDYYALLGVRRGCTRSELERAHLLLTLKLKPDRAVVFGERLELVDEHRDLEAVRDQARMSALLLYRMLQKGYSFIMSAVIDEEAAERQRAREAAAAAAAAAAAAAAAAAAALAVKQEATKQELAAPPMPEKPRQTESAPCAKRSMASKAKPKAKPAATVPSMMSRKAATTTTTAKAAMSKAAVTAPKAAAATAVAATAVAAAATAASTSTAAPVYQGVFCRDMAVVGTLLSRGGFVDRAMPVKCEAMSC >ORUFI03G15090.1 pep chromosome:OR_W1943:3:10422786:10423154:1 gene:ORUFI03G15090 transcript:ORUFI03G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEHVDIQAVASVQLGMGKAATRLGTGTGTGMAAAPTWLGQVGMLSYVAADGVAGATVVPATPLSRAAFSTHAGIISVPSRAGAGDPSPPRKSTKWSQGQFCHTTVEIICKSANLVKMAK >ORUFI03G15100.1 pep chromosome:OR_W1943:3:10451363:10453873:-1 gene:ORUFI03G15100 transcript:ORUFI03G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCDEAKSLASMEEEACIEKKYGGIAPKKPLISKDHERAYFDSADWVLGKQAANSNGSKAAVETLKPKLKNASSPAPSSQANLRIKLSREAYQNAAAAGDLEATRGQVD >ORUFI03G15110.1 pep chromosome:OR_W1943:3:10462249:10462806:1 gene:ORUFI03G15110 transcript:ORUFI03G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVELLPLRHCLRAVAADMLPLPEVDLKPPLSIRATNVISPPATRQPGEGDVRGPREVRMSSALSGSPPDPPRSPAERELSPSQICLELQSGGRIGARRRLGVASTGARRRLGVVATGARAQLGVAAIRCEGGEAAAPQPRRMDLASPSLLCPVPSPLGPLRPAPWLRLPVGKGGEEREGGDEE >ORUFI03G15120.1 pep chromosome:OR_W1943:3:10463589:10467574:-1 gene:ORUFI03G15120 transcript:ORUFI03G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERDIDDLPRGGANYTALTPLWFLERAALAHPARASVVHGPVRYTWADTYRRCRRLASALARRSVGHGSTVAVIAPNIPAVYEAHFGVPMAGAVVNCVNIRLNAPTVAFLLEHSSAEVVMVDQEFFSLAEDSLRIIAEQKKGAFKQPLLIVIGDQTCDPVSLKSALSKGAIEYEEFLETGDPEFAWKPPQDEWKSIALGYTSGTTSNPKGVVLHHRGAYLMSLSGALVWGMNEGAVYLWTLPMFHCNGWCYTWTLAALCGTSICLRQVTAKAIFSAIANQGVTHFCGAPVVLNTIVNAPPADAILPLPRVVNVMTAGAAPPPSVLASMSKLGFRITHTYGLSETYGPSTVCAWKPEWDELPDDERARLHARQGIRYVGLEGLDVVDPKTMAPVPADGSTLGEIVMRGNGVMKGYLKNPRANAEAFENGWFHSGDLGVKHTDGYIEVKDRAKDIIISGGENISSLEVEKAVYQHPAVLEASVVARADEQWGESPCAFVTPKDGADSSDEAALAGDIMRFCRERLPGYWVPKSVVFGPLPKTATGKIKKHELRAKAKELGPVRKSRM >ORUFI03G15120.2 pep chromosome:OR_W1943:3:10472030:10475123:-1 gene:ORUFI03G15120 transcript:ORUFI03G15120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGHGDAAERDIDDLPRNDANYTALTPLWFLERAAVVHPDRAAVVHGPVRYTWAETYRRCRRLASALAQRSVGPGCTVAVIAPNVPALYEAHFGVPMSGAVVNCVNIRLNAETIAFLLDHSVAEVVMVDQEFFTLAEESLKILAEKKKWSFRPPILIVIGDPTCDPKPLQYALGRGAIEYEEFLKTGDPEFAWKPPKDEWQSIALGYTSGTTSSPKGVVLHHRGAYVMALGVAMVWGMPEGAVYLWTLPMFHCNGWCYTWAMAAMCGTNICLRQVSSKAIYSGIVNHGVTHMCAAPVVFNNLINAPASETFLPLPRVVNIMVAGAAPTPSLLAALSIRGFRVTHTYGLSETYGPSTVCAWKPEWDRLPLEERSRLHCRQGVRYGALEGLDVVDPKTMAPVAADGKSYGEIVMRGNAVMKGYLKNPKANAEAFAGGWYHSGDLGVKHPDGYIEVKDRMKDIIISGGENISSLEVEKVLYAHPAVLEASVVARADEQWGESPCAFVTLKEGADSSDEAAVAGDIMRFCRERMPGYWVPKSVVFGPLPKTATGKIKKHELRTKAKELGPVKKSRM >ORUFI03G15120.3 pep chromosome:OR_W1943:3:10463587:10475123:-1 gene:ORUFI03G15120 transcript:ORUFI03G15120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGHGDAAERDIDDLPRNDANYTALTPLWFLERAAVVHPDRAAVVHGPVRYTWAETYRRCRRLASALAQRSVGPGCTVAVIAPNIPAVYEAHFGVPMAGAVVNCVNIRLNAPTVAFLLEHSSAEVVMVDQEFFSLAEDSLRIIAEQKKGAFKQPLLIVIGDQTCDPVSLKSALSKGAIEYEEFLETGDPEFAWKPPQDEWKSIALGYTSGTTSNPKGVVLHHRGAYLMSLSGALVWGMNEGAVYLWTLPMFHCNGWCYTWTLAALCGTSICLRQVTAKAIFSAIANQGVTHFCGAPVVLNTIVNAPPADAILPLPRVVNVMTAGAAPPPSVLASMSKLGFRITHTYGLSETYGPSTVCAWKPEWDELPDDERARLHARQGIRYVGLEGLDVVDPKTMAPVPADGSTLGEIVMRGNGVMKGYLKNPRANAEAFENGWFHSGDLGVKHTDGYIEVKDRAKDIIISGGENISSLEVEKAVYQHPAVLEASVVARADEQWGESPCAFVTPKDGADSSDEAALAGDIMRFCRERLPGYWVPKSVVFGPLPKTATGKIKKHELRAKAKELGPVRKSRM >ORUFI03G15130.1 pep chromosome:OR_W1943:3:10479183:10479926:-1 gene:ORUFI03G15130 transcript:ORUFI03G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLISSGAAVGASGGGKVVMADGSVRALSEPVSVAELMMDHPRHFVVDARDLQQQQRRQRRHKGKAGAPPPPGGKVAPLPADHVLGAGGVYVLLPATTRGKVSAEEARRALTASRSLERSRSMPGRLRRKLSSKKMTQEADNDGNASENHAAAAEAERREETAGGGGGAAGGRVRGAPAGVPEQGAVEQRVEAEPDHHRGARSAEEGVPLALLSSDLVADDDEINRKLLNLTCHLISLSSLFLLSC >ORUFI03G15140.1 pep chromosome:OR_W1943:3:10487635:10488504:-1 gene:ORUFI03G15140 transcript:ORUFI03G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRATEEETAATGRRILVAVDEGDESVHALKWCLASFAKRGGGGGAAPPDTIILLYVRPPPPTYSVLDASGYVFSDEVAAAIDGYSKEVAEAVVEKAQKLCTLYGKEVGGDGEAGHEMKVEVKVAVGDARNVICQMADKLGADVLVMGSHGYGLFKRSLKRSRFQSQKLALLGSVSDYCVRNANCPVLIVKS >ORUFI03G15150.1 pep chromosome:OR_W1943:3:10491045:10495602:1 gene:ORUFI03G15150 transcript:ORUFI03G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSQSFLSPAPNPLLRPRILPFPAGGSVSLRGRRPAFPSVAAASTSMASSESEERKETKLWGGRFEEGVTDAVEGFTESISYDWQLYKYDIMGSKAHASMLAAQGLITAGDKDIILEGLDQIEKLIQDGKFEWRTDREDVHMNIEAALIEKVGEPAKKLHTARSRNDQIVTDLRLWCRDAIDKILFRIKQFQVSLVLLASKYVDLIVPGYTHLQRAQPVLLPHLLLSYVEQLERDAGRLVNCRERLNFCPLGACALAGTGLPIDRFKTAKDLKFTAPMKNSIDAVSDRDFVLEFLAANSIAAVHLSRIGEEWVLWASEEFGFLTPSDSVSTGSSIMPQKKNPDPMELVRGKSARVFGDLMTVLTLCKGLPQAYNRDLQEDKEPLFDSVKAVLGMLEVCTEFAQNISFNSKRIQSSLPAGYLDATTLADYLVKKGVPFRTSHEIVGRSVALCVSKNCQLAELGLDDLKSVHPVFEGDVYEYLGVENAVNKFISYGSTGSEQVKKQLEDWRTQLGISS >ORUFI03G15160.1 pep chromosome:OR_W1943:3:10496235:10497966:1 gene:ORUFI03G15160 transcript:ORUFI03G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSNSSLETRTFLDEVRGLEKNWMVDLGHPLLNRVAESFVKAAGIGAVQAVARESYFMAMEGTCLVAAATGEGGGTGAVSDSTGARKRSFPDLNGGNSSKSAEAMVKSVSKESLQWGLAAGLHSGLTYGLTEARGTHDWKNSVVAGALTGAAVALTSDRASHERVVQCAIAGAALSTAANVLSGIF >ORUFI03G15170.1 pep chromosome:OR_W1943:3:10498561:10504308:1 gene:ORUFI03G15170 transcript:ORUFI03G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLTRSTAARLLSHLRSSGALSPTHHHHHHLDNGAALASLLGLGRGGLPAAAGPWSPRDPPTRWFSSPATVAEAPMTADGLTVDSIAGKGWTILPEAESDWRSHAAAVAQSVKLIKKRLKWGWILERSRQLSVVLERPDLWDDPVFAGKVSREHGELMGKIKSVNQFEQELMEHIDMLRLAREEDDNELETETMRALAEMRRSAKEKELNALLSGDNDSCSCFIEVQAGAGGTESMDWAAMVMNMYSSWAQRRGYTVSIIEEMPGEIAGIKRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVVPILGDGSTRYQIKDSDLRIERFRSGGPGGQHANCTESAVRIVHIPTGITATCQNERSQHMNKASAMAVLQSRLDQLEIARQAQMNADHTQSLSEISWGNQIRSYVLHPYRMVKDLRTNYEVSDPDSVLNGDLDDFILNFLSTSLDEAD >ORUFI03G15180.1 pep chromosome:OR_W1943:3:10501979:10503430:-1 gene:ORUFI03G15180 transcript:ORUFI03G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQDRHGGEAAADVGRRARHQRLLLSFPVFPIVLLLLAPCTIFFFTSGDVPLPRIRIEYARRDAPTITAVAADTSPPPPSPPSSSPPPLSFPPPPPPPSSPPPPALPVVDDHSDTQRSLRRLRQLTDSPYTLGPAVTGYDARRAEWLRDHTEFPASVGRGRPRVLMVTGSAPRRCKDPEGDHLLLRALKNKVDYCRVHGFDIFYSNTVLDAEMSGFWTKLPLLRALMLAHPETELLWWVDSDVVFTDMLFEPPWGRYRRHNLVIHGWDGAVYGAKTWLGLNAGSFIIRNCQWSLDLLDAWAPMGPPGPVRDMYGKIFAETLTNRPPYEADDQSALVFLLVTQRHRWGAKVFLENSYNLHGFWADIVDRYEEMRRQWRRPGLGDDRWPLITHFVGCKPCGGDDASYDGERCRRGMDRAFNFADDQILELYGFAHESLDTMAVRRVRNDTGRPLDADNQELGRLLHPTFKARKKKTSRAARPM >ORUFI03G15190.1 pep chromosome:OR_W1943:3:10512426:10513775:1 gene:ORUFI03G15190 transcript:ORUFI03G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKHGGKVTSDRRAGRRQHGQRCSASDAAPLVVVVILIVAALFLILGPTGSSSFTVPRIRVVFNEPVHVAVAAPPPPPPPAQMQAGANASSEEDSGLPPPRQLTDPPYSLGRTILGYDARRSAWLAAHPEFPARVAPAGRPRVLVVTGSAPARCPDPDGDHLLLRAFKNKVDYCRIHGLDVFYNTAFLDAEMSGFWAKLPLLRMLMVAHPEAELIWWVDSDAVFTDMLFEIPWERYAVHNLVLHGWEAKVFDEKSWIGVNTGSFLIRNCQWSLDLLDAWAPMGPRGPVRDRYGELFAEELSGRPPFEADDQSALIYLLVTQRQRWGDKVFIESSYDLNGFWEGIVDKYEELRRAGRDDGRWPFVTHFVGCKPCRRYADSYPAERCRRGMERAFNFADDQILKLYGFAHESLNTTAVRRVRNETGEPLDAGDEELGRLLHPTFRAARPT >ORUFI03G15200.1 pep chromosome:OR_W1943:3:10514573:10532178:-1 gene:ORUFI03G15200 transcript:ORUFI03G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKHQPSGNAASISMVPLDFPSQELEKVNSVNPVVATDVPPEADVDVDMREVYFLIMHFLSHGPFKRTVGELCNELLEHQLLPRRYHAWYSRGGFHSGEENDDGISLPLGYLKLVERYPHIGKDHLVKLLKQLIFSSCHPHGLVGGVSPNAADVPTLLGSSSFSLLASDTSRQEKENPKLLRYLRWPHIQADQVRGLSLREIGGFTKHRRAPSVRASCYAIAKPSTLVEKMQIIKRLRGHQNAVYCATFDRTGRYVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFIIRVWRIPDGLPISVLKGHTGVVTAIAFSPRPGAAFQLLSSSDDGTCRIWDARQSQQSPRIYIPKPPDVAPGKGGDASSSAVQVQPTNHQILCCAFNANGTVFVTGSSDTFARVWNACKSSSEEHDQPNHEMDLLSGHENDVNYVQFSGCAVASRSFSVDTTHTIKEENNLKLRNSWFTHNIVTCSRDGSAIIWVPRSRRSHGKVGRWTRAYHLKVPPPPMAPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLILSDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIQDTNGNVIDQETQLAPYRRNMQDLLCDSGMIPYPEPFQSMYQKRRLGTLGIEWRPPSVNFAVGPTYNATTGEYQIIPVIDPDRWEPLPEITDFIELEPENEVISDDTDSEYDGLDEHSSEGEHEALNGESSGASYSSGEIDGDNLTDSALRRSRRKKKKSDGGVVTSSGRRVKKRNFDERDVPQVSRPHKSRKSRNDRSSKRKKSPKSKGLRPQRRAARNALSFLSKIGASTEEDEDDSESSFSDSELNTESTEAEHLAWNGQLRLGRESNSRYDLDDVTQPSQFTETHGNSGSNRKLVLRIPRRDLKVQFTMGNRKTECSTQDREGLALSPTNREAVETKPNFEPGSSSALKAELTDGVQTEISDPHDVSALHNNSTIKWGEVKVRSSKRFKFGDSSAGDTWPTSNNAVPQNVDQPDSKKMLNGDEIQQTFELNSQEIQHAVNLENHKIDDCSEDNLLDKERIASDNNAHVDEGYEGEHDQQVHSTPQTISLKLKFRSRSFADGAGSSDKSRITTAVGNDMNSEHDKGHMLHDEGSALNQHTNDGILTVSKSLPERTDKSTSLDDSKKWHLDPAKTYSAVYKRSKTNKHKKNLDSDAYGNGESTSVSNDDDGYQPTDYSPVKPDSATLRRSARRSYAYTDDTTQAKNSYSSHEASTSGRRIVTDMRDVMWKSNSKTVGLRSTRNKRESSNFPGTHLLEKRKQVSMKYSWLMLLEHEDSYRYIPQLGDEVMYLRQGHEEYLKGSRQLDDCPWNRIKGLKDVELCKIQGLDYTTFRGSGESCCKLTIEFIDDTSRGFGRTFMITLPELVNFPDFLVERTRFEASIDRNWTNRDKCKVWWRNELEEGGSWWEGRVSAVKPKSLDFPESPWEKYVIQYKNDGSDHPHSPWELHDTGNLWVPWKHPHIDLGIKDKLLSELDNLLELSHRNQDRYGVLKLNSVAEKSDFINRFPVQFSIEVIRIRLENNYYRTLEAIRHDATVMLANAQSYFSKSTDMTKKIRRLSDWIEQTFSSL >ORUFI03G15200.2 pep chromosome:OR_W1943:3:10514573:10532178:-1 gene:ORUFI03G15200 transcript:ORUFI03G15200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKHQPSGNAASISMVPLDFPSQELEKVNSVNPVVATDVPPEADVDVDMREVYFLIMHFLSHGPFKRTVGELCNELLEHQLLPRRYHAWYSRGGFHSGEENDDGISLPLGYLKLVERYPHIGKDHLVKLLKQLIFSSCHPHGLVGGVSPNAADVPTLLGSSSFSLLACKKRKIPSCCVISVGHTYKPIKFATEIVSVSAATFDRTGRYVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFIIRVWRIPDGLPISVLKGHTGVVTAIAFSPRPGAAFQLLSSSDDGTCRIWDARQSQQSPRIYIPKPPDVAPGKGGDASSSAVQVQPTNHQILCCAFNANGTVFVTGSSDTFARVWNACKSSSEEHDQPNHEMDLLSGHENDVNYVQFSGCAVASRSFSVDTTHTIKEENNLKLRNSWFTHNIVTCSRDGSAIIWVPRSRRSHGKVGRWTRAYHLKVPPPPMAPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLILSDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIQDTNGNVIDQETQLAPYRRNMQDLLCDSGMIPYPEPFQSMYQKRRLGTLGIEWRPPSVNFAVGPTYNATTGEYQIIPVIDPDRWEPLPEITDFIELEPENEVISDDTDSEYDGLDEHSSEGEHEALNGESSGASYSSGEIDGDNLTDSALRRSRRKKKKSDGGVVTSSGRRVKKRNFDERDVPQVSRPHKSRKSRNDRSSKRKKSPKSKGLRPQRRAARNALSFLSKIGASTEEDEDDSESSFSDSELNTESTEAEHLAWNGQLRLGRESNSRYDLDDVTQPSQFTETHGNSGSNRKLVLRIPRRDLKVQFTMGNRKTECSTQDREGLALSPTNREAVETKPNFEPGSSSALKAELTDGVQTEISDPHDVSALHNNSTIKWGEVKVRSSKRFKFGDSSAGDTWPTSNNAVPQNVDQPDSKKMLNGDEIQQTFELNSQEIQHAVNLENHKIDDCSEDNLLDKERIASDNNAHVDEGYEGEHDQQVHSTPQTISLKLKFRSRSFADGAGSSDKSRITTAVGNDMNSEHDKGHMLHDEGSALNQHTNDGILTVSKSLPERTDKSTSLDDSKKWHLDPAKTYSAVYKRSKTNKHKKNLDSDAYGNGESTSVSNDDDGYQPTDYSPVKPDSATLRRSARRSYAYTDDTTQAKNSYSSHEASTSGRRIVTDMRDVMWKSNSKTVGLRSTRNKRESSNFPGTHLLEKRKQVSMKYSWLMLLEHEDSYRYIPQLGDEVMYLRQGHEEYLKGSRQLDDCPWNRIKGLKDVELCKIQGLDYTTFRGSGESCCKLTIEFIDDTSRGFGRTFMITLPELVNFPDFLVERTRFEASIDRNWTNRDKCKVWWRNELEEGGSWWEGRVSAVKPKSLDFPESPWEKYVIQYKNDGSDHPHSPWELHDTGNLWVPWKHPHIDLGIKDKLLSELDNLLELSHRNQDRYGVLKLNSVAEKSDFINRFPVQFSIEVIRIRLENNYYRTLEAIRHDATVMLANAQSYFSKSTDMTKKIRRLSDWIEQTFSSL >ORUFI03G15200.3 pep chromosome:OR_W1943:3:10514573:10532178:-1 gene:ORUFI03G15200 transcript:ORUFI03G15200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKHQPSGNAASISMVPLDFPSQELEKVNSVNPVVATDVPPEADVDVDMREVYFLIMHFLSHGPFKRTVGELCNELLEHQLLPRRYHAWYSRGGFHSGEENDDGISLPLGYLKLVERYPHIGKDHLVKLLKQLIFSSCHPHGLVGGVSPNAADVPTLLGSSSFSLLASDTSRQEKENPKLLRYLRWPHIQADQVRGLSLREIGGFTKHRRAPSVRASCYAIAKPSTLVEKMQIIKRLRGHQNAVYCATFDRTGRYVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFIIRVWRIPDGLPISVLKGHTGVVTAIAFSPRPGAAFQLLSSHKCDFHTMKNAYACKGGDASSSAVQVQPTNHQILCCAFNANGTVFVTGSSDTFARVWNACKSSSEEHDQPNHEMDLLSGHENDVNYVQFSGCAVASRSFSVDTTHTIKEENNLKLRNSWFTHNIVTCSRDGSAIIWVPRSRRSHGKVGRWTRAYHLKVPPPPMAPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLILSDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIQDTNGNVIDQETQLAPYRRNMQDLLCDSGMIPYPEPFQSMYQKRRLGTLGIEWRPPSVNFAVGPTYNATTGEYQIIPVIDPDRWEPLPEITDFIELEPENEVISDDTDSEYDGLDEHSSEGEHEALNGESSGASYSSGEIDGDNLTDSALRRSRRKKKKSDGGVVTSSGRRVKKRNFDERDVPQVSRPHKSRKSRNDRSSKRKKSPKSKGLRPQRRAARNALSFLSKIGASTEEDEDDSESSFSDSELNTESTEAEHLAWNGQLRLGRESNSRYDLDDVTQPSQFTETHGNSGSNRKLVLRIPRRDLKVQFTMGNRKTECSTQDREGLALSPTNREAVETKPNFEPGSSSALKAELTDGVQTEISDPHDVSALHNNSTIKWGEVKVRSSKRFKFGDSSAGDTWPTSNNAVPQNVDQPDSKKMLNGDEIQQTFELNSQEIQHAVNLENHKIDDCSEDNLLDKERIASDNNAHVDEGYEGEHDQQVHSTPQTISLKLKFRSRSFADGAGSSDKSRITTAVGNDMNSEHDKGHMLHDEGSALNQHTNDGILTVSKSLPERTDKSTSLDDSKKWHLDPAKTYSAVYKRSKTNKHKKNLDSDAYGNGESTSVSNDDDGYQPTDYSPVKPDSATLRRSARRSYAYTDDTTQAKNSYSSHEASTSGRRIVTDMRDVMWKSNSKTVGLRSTRNKRESSNFPGTHLLEKRKQVSMKYSWLMLLEHEDSYRYIPQLGDEVMYLRQGHEEYLKGSRQLDDCPWNRIKGLKDVELCKIQGLDYTTFRGSGESCCKLTIEFIDDTSRGFGRTFMITLPELVNFPDFLVERTRFEASIDRNWTNRDKCKVWWRNELEEGGSWWEGRVSAVKPKSLDFPESPWEKYVIQYKNDGSDHPHSPWELHDTGNLWVPWKHPHIDLGIKDKLLSELDNLLELSHRNQDRYGVLKLNSVAEKSDFINRFPVQFSIEVIRIRLENNYYRTLEAIRHDATVMLANAQSYFSKSTDMTKKIRRLSDWIEQTFSSL >ORUFI03G15200.4 pep chromosome:OR_W1943:3:10514573:10532178:-1 gene:ORUFI03G15200 transcript:ORUFI03G15200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKHQPSGNAASISMVPLDFPSQELEKVNSVNPVVATDVPPEADVDVDMREVYFLIMHFLSHGPFKRTVGELCNELLEHQLLPRRYHAWYSRGGFHSGEENDDGISLPLGYLKLVERYPHIGKDHLVKLLKQLIFSSCHPHGLVGGVSPNAADVPTLLGSSSFSLLASTFDRTGRYVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFIIRVWRIPDGLPISVLKGHTGVVTAIAFSPRPGAAFQLLSSHKCDFHTMKNAYACKGGDASSSAVQVQPTNHQILCCAFNANGTVFVTGSSDTFARVWNACKSSSEEHDQPNHEMDLLSGHENDVNYVQFSGCAVASRSFSVDTTHTIKEENNLKLRNSWFTHNIVTCSRDGSAIIWVPRSRRSHGKVGRWTRAYHLKVPPPPMAPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLILSDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIQDTNGNVIDQETQLAPYRRNMQDLLCDSGMIPYPEPFQSMYQKRRLGTLGIEWRPPSVNFAVGPTYNATTGEYQIIPVIDPDRWEPLPEITDFIELEPENEVISDDTDSEYDGLDEHSSEGEHEALNGESSGASYSSGEIDGDNLTDSALRRSRRKKKKSDGGVVTSSGRRVKKRNFDERDVPQVSRPHKSRKSRNDRSSKRKKSPKSKGLRPQRRAARNALSFLSKIGASTEEDEDDSESSFSDSELNTESTEAEHLAWNGQLRLGRESNSRYDLDDVTQPSQFTETHGNSGSNRKLVLRIPRRDLKVQFTMGNRKTECSTQDREGLALSPTNREAVETKPNFEPGSSSALKAELTDGVQTEISDPHDVSALHNNSTIKWGEVKVRSSKRFKFGDSSAGDTWPTSNNAVPQNVDQPDSKKMLNGDEIQQTFELNSQEIQHAVNLENHKIDDCSEDNLLDKERIASDNNAHVDEGYEGEHDQQVHSTPQTISLKLKFRSRSFADGAGSSDKSRITTAVGNDMNSEHDKGHMLHDEGSALNQHTNDGILTVSKSLPERTDKSTSLDDSKKWHLDPAKTYSAVYKRSKTNKHKKNLDSDAYGNGESTSVSNDDDGYQPTDYSPVKPDSATLRRSARRSYAYTDDTTQAKNSYSSHEASTSGRRIVTDMRDVMWKSNSKTVGLRSTRNKRESSNFPGTHLLEKRKQVSMKYSWLMLLEHEDSYRYIPQLGDEVMYLRQGHEEYLKGSRQLDDCPWNRIKGLKDVELCKIQGLDYTTFRGSGESCCKLTIEFIDDTSRGFGRTFMITLPELVNFPDFLVERTRFEASIDRNWTNRDKCKVWWRNELEEGGSWWEGRVSAVKPKSLDFPESPWEKYVIQYKNDGSDHPHSPWELHDTGNLWVPWKHPHIDLGIKDKLLSELDNLLELSHRNQDRYGVLKLNSVAEKSDFINRFPVQFSIEVIRIRLENNYYRTLEAIRHDATVMLANAQSYFSKSTDMTKKIRRLSDWIEQTFSSL >ORUFI03G15200.5 pep chromosome:OR_W1943:3:10514573:10532178:-1 gene:ORUFI03G15200 transcript:ORUFI03G15200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKHQPSGNAASISMVPLDFPSQELEKVNSVNPVVATDVPPEADVDVDMREVYFLIMHFLSHGPFKRTVGELCNELLEHQLLPRRYHAWYSRGGFHSGEENDDGISLPLGYLKLVERYPHIGKDHLVKLLKQLIFSSCHPHGLVGGVSPNAADVPTLLGSSSFSLLASTFDRTGRYVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFIIRVWRIPDGLPISVLKGHTGVVTAIAFSPRPGKGGDASSSAVQVQPTNHQILCCAFNANGTVFVTGSSDTFARVWNACKSSSEEHDQPNHEMDLLSGHENDVNYVQFSGCAVASRSFSVDTTHTIKEENNLKLRNSWFTHNIVTCSRDGSAIIWVPRSRRSHGKVGRWTRAYHLKVPPPPMAPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLILSDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIQDTNGNVIDQETQLAPYRRNMQDLLCDSGMIPYPEPFQSMYQKRRLGTLGIEWRPPSVNFAVGPTYNATTGEYQIIPVIDPDRWEPLPEITDFIELEPENEVISDDTDSEYDGLDEHSSEGEHEALNGESSGASYSSGEIDGDNLTDSALRRSRRKKKKSDGGVVTSSGRRVKKRNFDERDVPQVSRPHKSRKSRNDRSSKRKKSPKSKGLRPQRRAARNALSFLSKIGASTEEDEDDSESSFSDSELNTESTEAEHLAWNGQLRLGRESNSRYDLDDVTQPSQFTETHGNSGSNRKLVLRIPRRDLKVQFTMGNRKTECSTQDREGLALSPTNREAVETKPNFEPGSSSALKAELTDGVQTEISDPHDVSALHNNSTIKWGEVKVRSSKRFKFGDSSAGDTWPTSNNAVPQNVDQPDSKKMLNGDEIQQTFELNSQEIQHAVNLENHKIDDCSEDNLLDKERIASDNNAHVDEGYEGEHDQQVHSTPQTISLKLKFRSRSFADGAGSSDKSRITTAVGNDMNSEHDKGHMLHDEGSALNQHTNDGILTVSKSLPERTDKSTSLDDSKKWHLDPAKTYSAVYKRSKTNKHKKNLDSDAYGNGESTSVSNDDDGYQPTDYSPVKPDSATLRRSARRSYAYTDDTTQAKNSYSSHEASTSGRRIVTDMRDVMWKSNSKTVGLRSTRNKRESSNFPGTHLLEKRKQVSMKYSWLMLLEHEDSYRYIPQLGDEVMYLRQGHEEYLKGSRQLDDCPWNRIKGLKDVELCKIQGLDYTTFRGSGESCCKLTIEFIDDTSRGFGRTFMITLPELVNFPDFLVERTRFEASIDRNWTNRDKCKVWWRNELEEGGSWWEGRVSAVKPKSLDFPESPWEKYVIQYKNDGSDHPHSPWELHDTGNLWVPWKHPHIDLGIKDKLLSELDNLLELSHRNQDRYGVLKLNSVAEKSDFINRFPVQFSIEVIRIRLENNYYRTLEAIRHDATVMLANAQSYFSKSTDMTKKIRRLSDWIEQTFSSL >ORUFI03G15210.1 pep chromosome:OR_W1943:3:10535490:10546650:-1 gene:ORUFI03G15210 transcript:ORUFI03G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAAATTAGFLPPRLAAETAPAARVVAAAGAEVRSSPLLRALGVPRGGAGIGSATPGRRMYFASGSDSSGSAADSDSEASSAAGAGEEESEGNRSSSAVAPAIIRPEDCHTDPKLLKALVENHKRSFPYAGAFLVKNEEDTDSNTVTRSDPKKSIHGLKGKELLKHLHEIGTLAKITSIQGDQVLLLGHCRLRITEMVEEDPLTVKVDHLKEKPYDKDNDSIKAIYFELLSTLRDVLKTSSLWKDHAQIYTQHMVDFNYQRLADFGAAISVTNKLLCQGVLEELDVSKRLMLTLELVKRELEITKLQQSIAKTIEEKITGEQRRYLLNEQLKAIKKELGLETDDKTALSEKFRKRIESRKEKCPSHILQVIEEELTKLQLLEASSSEFSVTSNYLDWLTVLPWGDYSDENFDVFRAQRILDEDHYGLTDVKERILEFIAVGKLRGSSQGKIICLCGPPGVGKTSIGRSVARALNRKFYRFSVGGLSDIAEIKGHRRTYVGAMPGKMVQCLKSVGTSNPLVLIDEIDKLGRGYSGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVIEMIPGPLLDRMEIITIAGYITDEKMHIARDYLEKNTREACGIMPEQVEVTDSALLALIENYCREAGVRNLQKQIEKVYRKIALQLVRQGVSNEPAREITLVEPSEQPTGVSIATEVENKSQRDSLAEDVSVHVIPTDFSCEKINVVSLTTKSEVDHYEHPKGVSKETSADDKATPTNTSGKMEANEHTEEVMEALVDEALVDKTVEKVVVDASNLDNFVGKAVFQPERIYDQTPVGVVMGLAWNAMGGSTLYIETAKVEDGEKKGALVVTGQLGDVMKESAQIAHTICRSILHEKEPNNTFFTKSKLHLHVPAGATPKDGPSAGCTMVTSMLSLAMGKLVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSGIKTIIFPAANRRDFDELAPNVKEGLEVHFVDKYSEIYDLAFPSDSQALAS >ORUFI03G15210.2 pep chromosome:OR_W1943:3:10535490:10546650:-1 gene:ORUFI03G15210 transcript:ORUFI03G15210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAAATTAGFLPPRLAAETAPAARVVAAAGAEVRSSPLLRALGVPRGGAGIGSATPGRRMYFASGSDSSGSAADSDSEASSAAGAGEEESEGNRSSSAVAPAIIRPEDCHTDPKLLKALVENHKRSFPYAGAFLVKNEEDTDSNTVTRSDPKKSIHGLKGKELLKHLHEIGTLAKITSIQGDQVLLLGHCRLRITEMVEEDPLTVKVDHLKVSKRLMLTLELVKRELEITKLQQSIAKTIEEKITGEQRRYLLNEQLKAIKKELGLETDDKTALSEKFRKRIESRKEKCPSHILQVIEEELTKLQLLEASSSEFSVTSNYLDWLTVLPWGDYSDENFDVFRAQRILDEDHYGLTDVKERILEFIAVGKLRGSSQGKIICLCGPPGVGKTSIGRSVARALNRKFYRFSVGGLSDIAEIKGHRRTYVGAMPGKMVQCLKSVGTSNPLVLIDEIDKLGRGYSGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVIEMIPGPLLDRMEIITIAGYITDEKMHIARDYLEKNTREACGIMPEQVEVTDSALLALIENYCREAGVRNLQKQIEKVYRKIALQLVRQGVSNEPAREITLVEPSEQPTGVSIATEVENKSQRDSLAEDVSVHVIPTDFSCEKINVVSLTTKSEVDHYEHPKGVSKETSADDKATPTNTSGKMEANEHTEEVMEALVDEALVDKTVEKVVVDASNLDNFVGKAVFQPERIYDQTPVGVVMGLAWNAMGGSTLYIETAKVEDGEKKGALVVTGQLGDVMKESAQIAHTICRSILHEKEPNNTFFTKSKLHLHVPAGATPKDGPSAGCTMVTSMLSLAMGKLVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSGIKTIIFPAANRRDFDELAPNVKEGLEVHFVDKYSEIYDLAFPSDSQALAS >ORUFI03G15220.1 pep chromosome:OR_W1943:3:10552374:10552895:1 gene:ORUFI03G15220 transcript:ORUFI03G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAPATEGSARRSAAAAAEEEEERQRNRKRSNRLSAQRSRIKKQQYVDGLAVEAEQLRRENDAMRAGAGAVLQRCRLVEQENRVLAAHARELCSALQLRASQLRLLGEVAGVPLDVPDVADHLVQLYGGDLGMTPLSPPPLLPPLPPQIEMLYFQPDSVMDPVSMLQGYENI >ORUFI03G15230.1 pep chromosome:OR_W1943:3:10556634:10562888:1 gene:ORUFI03G15230 transcript:ORUFI03G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIQKRRVQLLLFIVGVLALSMTAEKFRELVGKEEASKSGQFTFMNCFDMGSGSLACAVKEGIKLYVYNLQTAHTERVRHRAIEKALADAVTEGLSAAEAAKQAQKVGAKAAKVAARQAKRILGPIISSGWDFFEAMYFGGSMTEGFLRGTGTLFGTYVGGFHGEERLGRFGYLTGSHLGSWVGGRIGLMIYDVINGLKYMLQFVKPEYEASAYYSKESTEYAYSYRSGEREEPTYYETSEENQEESQGFSLF >ORUFI03G15240.1 pep chromosome:OR_W1943:3:10557979:10559613:-1 gene:ORUFI03G15240 transcript:ORUFI03G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGVEAAMDGGGVEAATTAAWMARHRGMYERATRHPFTVSIRDGTVDLSAFRRWLCCRQSDGSDMEIILGGLASLSDELSWFKKEAEKWSVNLAEVSPLKSNTEYCRFLQSFSEPEISYVVAITTLWIIETVYQDSFAFCIEEGNKTPPELLGTCQRWGSPEFKQYCQSLQRIADRCLAEASADAARSAEEAFLRVLELEIGFWDMSSSRS >ORUFI03G15250.1 pep chromosome:OR_W1943:3:10564527:10572246:1 gene:ORUFI03G15250 transcript:ORUFI03G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTILLQRACLRFALLPVPPLRAPLRPPRRPLGLPRRSAMSSSAASRLSHIVAAAGGAAGESSEPPAAAAAASGLAQEDDDLSSAMMGYRLPPKEIQDIVDAPPLPVLSFSPSKDKILFLKRRALPPLSDLAKPEEKLAGVRIDGYSNTRSRMSFYTGIGIHKLMDDGTLGPEKVVHGYPEGARINFVTWSQDGRHLSFSVRVDEEDNTSGKLRLWIADVESGEARPLFKSPEIYLNAIFDSFVWVNNSTLLVCTIPLSRGAPPQKPSVPSGPKIQSNETSNVIQVRTFQDLLKDEYDADLFDYYATSQLVLASFDGTVKPIGPPAVYTSIDPSPDDKYLMISSIHRPYSYIVPCGRFPKKVELWTVDGEFIRELCDLPLAEDIPIATSSVRKGKRSIYWRPDKPAMLYWVETQDGGDAKVEVSPRDIVYMENAEPINGEQPEILHKLDLRYAGTSWCDESLALVYESWYKTRKTRTWVISPDKKDVSPRILFDRSSEDVYSDPGSPMLRRTAMGTYVIAKVKKQDENTYILLNGMGATPEGNVPFLDLFDINTGSKERIWQSDKEKYYETVVALMSDKTDGELPLEKLKILTSKESKTENTQYYLQIWPEKKQVQITDFPHPYPQLASLYKEMIRYQRKDGVQLTATLYLPPGYDPSQDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFPGIGATSPLLWLARGFAILSGPTIPIIGEGDEEANDRYVEQLVTSAEAAAEEVVRRGVAHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATNTYVEMSPFMSANKIKKPILLIHGEQDNNSGTLTMQSDRFFNALKGHGALSRLVILPFESHGYSARESIMHVLWETDRWLQKYCLSGSSKTDSDSVADTENKTVSASGGGAPCEGPEAEGFSSMQRSLL >ORUFI03G15260.1 pep chromosome:OR_W1943:3:10575531:10578279:-1 gene:ORUFI03G15260 transcript:ORUFI03G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNQEVAALVEKIAGLHAAISKLPSLSPSAEVDALFTDLVTACVPASPVDVAKLGPEAQAMREELIRLCSAAEGHLEAHYADMLAAFDNPLDHLARFPYYGNYVNLSKLEYDLLVRYVPGIAPTRVAFVGSGPLPFSSLVLAAHHLPDAVFDNYDRCGAANERARRLFRGADEGLGARMAFHTADVATLTGELGAYDVVFLAALVGMAAEEKAGDVRRGGFDVLAVCHPEDEVINSVIVARKVGAAAAAAAARRDELADSRGVVLPVVGPPSTCCKVEASAVEKAEEFAANKELSV >ORUFI03G15270.1 pep chromosome:OR_W1943:3:10579639:10584494:1 gene:ORUFI03G15270 transcript:ORUFI03G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVVPPGARGGAKPPLNPTAHLGARTVTAAITPRALLNHPNYARRPRSCSGCVMCGGCCRRRGGAGAGGGGRGTASLAVAALAAAAVVAFLEGTARGVSYVGDGWFHDGVRWDAEGGRFLVTTMTDGRVVEVPGGGGAGAGEGKVEARVVVADPGAAGRSATGLTLDAPRRRLLVVYTDLAPWFGYAAVAAYELGSWRRLFLVRLDRPGDSTLADDVAVDEEGNAYVTDAKGNKIWKVSPDGEPLSVIKNATFFQRPGWINNFVGLNGIVYHPNGYLLVIHTSGGDLFKESKFRKNAIILSTGSAANDAVETMIKILNSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSVMKLIDGQTDKILMAASSCLAAIFLSIKQNKDVAAIGRDALAPLVSLANSTVLECRPINQPLSDTINRSGAVLALAGLLEAANGEAAATSEVVDALVLLSKLPKVSSGHTKAPWTVLAENPHTILPLVSCVADAAPSLQDKAIEVLSRLCSDQHDIVGGLVSEIPGCISSVARRVIGSNMLKVKVGGCSLLVCAAKEHCQKQIEILSDSSLYIQLIHSLVSMIHMTNLPSENGSGENISDIKISRHSKENNNSDETVCRTAVISGNMIPLWLLAVFARHDSKTRAEILEAGAVEMLMEKISQNAFLYVIEVSRINIVLVHNHHLCIYTGEEDSTAWVCALLLALLFQEREINRSNAALHSIPVLSNLFRSDEQAYRYRYFAAQALASLVCNGSRGTLLAVANSGAATGLISLLGCAEVDIADLLELSEEFMLVPNPDQITLERLFRVDDIRVGATSRKSIPHIQ >ORUFI03G15280.1 pep chromosome:OR_W1943:3:10586790:10589102:1 gene:ORUFI03G15280 transcript:ORUFI03G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQRSSGSSSTSHYGVVSPKGLILLSFASSSLLFSFLFSLFALRFGRPLHLPFVASSSLAGNASAIARGPVLAAGGGGRSTSGAAVDVLPGRGRSGSLGEAARRSDAGGFPSAGGVGSAMEVKEAALGSENGGAPANGDSGSAMGAEGAPAGGGDGNSAEGENTTKEVADSAMETNLLVSNASASQEAAAPAEEPKKPKSVQDVDSSMGDSDLGSNGEFLQGESGNSSAGAHTSQRVDQGEHSAHSTVRNSSGAAPLSSSKQKTDLVQETVDSKVDAARSDAALCNVYDGRWVFDESYPLYTSDSCPFIDEGFSCEANGRMDGSYRKWRWQPTHCSIPRFDARKMLEMLRGKRLVFVGDSINRNQWESMMCLLRGAVSDPARIHEARGRRITKERGDYNFKFLDYNCSVEYHVTHFLVHEGKARIGSKRTRTLWIDTVDRTSSKWRGADVLVFNTAHWWSHHKTKAGVNYYQEGDHVYPHLDASTAYLKALTTWASWVDHYINPRKTRVFFRSSSPSHFSGGEWNSGGHCRESTLPLSDTRVRPVPEINMILEQVAQQMKTSVTILNITNLSGLRIDGHPSVYGRKAVVGLTASSVQDCSHWCLPGVPDTWNELLFYHLVSSQQKGVTS >ORUFI03G15290.1 pep chromosome:OR_W1943:3:10589838:10596897:-1 gene:ORUFI03G15290 transcript:ORUFI03G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSKASDSSSQRPKRPDQGPSGKDAAGLVALHGKLAQLKRQERVEANRKALQVHTCALFDVAAAAEVASRGAEGGNALSRGAAEGHRRFVGWDSASGPGERELVHVQEENLVAGTLVLSSSGGSGASHRTVVQLVKLPVVDKIPPYTTWIFLDKNQRMADDQSVGRRRIYYDPIVNEALICSESDDDVPEPEEEKHVFTEGEDQLIWKATQDHGLSREVLNVLCQFVDATPSEIEERSEVLFEKYEKQSQSSYKTDFQLFLDKTMDVALDSFDNLFCRRCLVFDCRLHGCSQNLVFPSEKQPYGHELDENKRPCGDQCYLRRREVYQDTCNDDRNACTTYNMDSRSSSLKVSATILSESEDSNRDEDNIKSTSIVETSRSKITNSEYADKSVTPPPGDASETENVSPDMPLRTLGRRKISKHASKSNDHSPDKRQKIYSSPFPFAMSVLNKQSVPEIGETCPDSIESAVDQLPSLDDPNKKISTKDMCAGSTTNTTENTLRDNNNNNLFISNKEHSISHWSALERDLYLKGIEIFGKNSCLIARNLLSGLKTCMEVASYMYNNGAAMAKRPLSGKSILGDFAEAEQGYMEQDLVARTRICRRKGRARKLKYTWKSAGHPTVRKRIGDGKQWYTQYNPCGCQQMCGKDCACVENGTCCEKYCGAAKIGLEDVIAQKVNAEADSARVLLPVVNVIQMFAETAGCGDGSLGEPLARGDGYQCGNMKLLLKQQQRILLGKSDVAGWGAFIKNPVNRNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNEQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIYAKDRIEASEELFYDYRYGPDQAPAWARRPEGSKKDEASVSHHRAHKVAR >ORUFI03G15290.2 pep chromosome:OR_W1943:3:10589346:10596897:-1 gene:ORUFI03G15290 transcript:ORUFI03G15290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSKASDSSSQRPKVRALASVSTPRARFPTPISFPNLIGLAVQRPDQGPSGKDAAGLVALHGKLAQLKRQERVEANRKALQVHTCALFDVAAAAEVASRGAEGGNALSRGAAEGHRRFVGWDSASGPGERELVHVQEENLVAGTLVLSSSGGSGASHRTVVQLVKLPVVDKIPPYTTWIFLDKNQRMADDQSVGRRRIYYDPIVNEALICSESDDDVPEPEEEKHVFTEGEDQLIWKATQDHGLSREVLNVLCQFVDATPSEIEERSEVLFEKYEKQSQSSYKTDFQLFLDKTMDVALDSFDNLFCRRCLVFDCRLHGCSQNLVFPSEKQPYGHELDENKRPCGDQCYLRRREVYQDTCNDDRNACTTYNMDSRSSSLKVSATILSESEDSNRDEDNIKSTSIVETSRSKITNSEYADKSVTPPPGDASETENVSPDMPLRTLGRRKISKHASKSNDHSPDKRQKIYSSPFPFAMSVLNKQSVPEIGETCPDSIESAVDQLPSLDDPNKKISTKDMCAGSTTNTTENTLRDNNNNNLFISNKEHSISHWSALERDLYLKGIEIFGKNSCLIARNLLSGLKTCMEVASYMYNNGAAMAKRPLSGKSILGDFAEAEQGYMEQDLVARTRICRRKGRARKLKYTWKSAGHPTVRKRIGDGKQWYTQYNPCGCQQMCGKDCACVENGTCCEKYCGAAKIGLEDVIAQKVNAEADSARVLLPVVNVIQMFAETAGCGDGSLGEPLARGDGYQCGNMKLLLKQQQRILLGKSDVAGWGAFIKNPVNRNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNEQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIYAKDRIEASEELFYDYRYGPDQAPAWARRPEGSKKDEASVSHHRAHKFI >ORUFI03G15290.3 pep chromosome:OR_W1943:3:10589838:10596897:-1 gene:ORUFI03G15290 transcript:ORUFI03G15290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSKASDSSSQRPKVRALASVSTPRARFPTPISFPNLIGLAVQRPDQGPSGKDAAGLVALHGKLAQLKRQERVEANRKALQVHTCALFDVAAAAEVASRGAEGGNALSRGAAEGHRRFVGWDSASGPGERELVHVQEENLVAGTLVLSSSGGSGASHRTVVQLVKLPVVDKIPPYTTWIFLDKNQRMADDQSVGRRRIYYDPIVNEALICSESDDDVPEPEEEKHVFTEGEDQLIWKATQDHGLSREVLNVLCQFVDATPSEIEERSEVLFEKYEKQSQSSYKTDFQLFLDKTMDVALDSFDNLFCRRCLVFDCRLHGCSQNLVFPSEKQPYGHELDENKRPCGDQCYLRRREVYQDTCNDDRNACTTYNMDSRSSSLKVSATILSESEDSNRDEDNIKSTSIVETSRSKITNSEYADKSVTPPPGDASETENVSPDMPLRTLGRRKISKHASKSNDHSPDKRQKIYSSPFPFAMSVLNKQSVPEIGETCPDSIESAVDQLPSLDDPNKKISTKDMCAGSTTNTTENTLRDNNNNNLFISNKEHSISHWSALERDLYLKGIEIFGKNSCLIARNLLSGLKTCMEVASYMYNNGAAMAKRPLSGKSILGDFAEAEQGYMEQDLVARTRICRRKGRARKLKYTWKSAGHPTVRKRIGDGKQWYTQYNPCGCQQMCGKDCACVENGTCCEKYCGAAKIGLEDVIAQKVNAEADSARVLLPVVNVIQMFAETAGCGDGSLGEPLARGDGYQCGNMKLLLKQQQRILLGKSDVAGWGAFIKNPVNRNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNEQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIYAKDRIEASEELFYDYRYGPDQAPAWARRPEGSKKDEASVSHHRAHKVAR >ORUFI03G15300.1 pep chromosome:OR_W1943:3:10597369:10597842:-1 gene:ORUFI03G15300 transcript:ORUFI03G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSTSPLYTPRSTGQNMLILRQPSLLCKRHPPQFPIVISAAGKTVASVVVSDRTDSALAILTNDSRASEPGSPAGHQRVPHNGIRRLHSVEHLACVVTSGQHEAYRLQLGQCGRHERVGGVTRACSAPRAGCGVGWRRHAAAMRAMSEVAAKAKEK >ORUFI03G15310.1 pep chromosome:OR_W1943:3:10600075:10603296:1 gene:ORUFI03G15310 transcript:ORUFI03G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAKYNRGNPAVKRILQEVKEMQSNPSPDFMAMPLEEDIFEWQFAILGPRDSEFEGGIYHGRIQLPSDYPFKPPSFMLLTPSGRFEIQKKICLSISNYHPEHWQPSWSVRTALVALIAFMPTPGGGALGSLDFKKEDRRALAIKSRETPPKFGSAERQKVIDEIHEQMLSRAPPVPQLLTNETNEETNQLPASDASDEHAHKAVGGVNTAGSNSDSVNNDLPRPDSESEIVQHIVEGRTEGVSNHSRANLSRENIPRVAPTPQNPVVAIQKPKHDRLLTLAAFGLTLAIMALVIKKFFKINGLAGYIEGKF >ORUFI03G15320.1 pep chromosome:OR_W1943:3:10604170:10606797:-1 gene:ORUFI03G15320 transcript:ORUFI03G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTPSSSLTAPLLRPSSNANPAPRSLPLLRSRRCARAVATAAAAAGHGAAHQRSGIWSIRDDLVVPRSPYFPVEYASGQERGPSPMVMERFQSVVSQLFQHRIIRCGGPVEDDMANIIVAQLLYLDAIDPNKDIIMYVNSPGGSVTAGMAIFDTMKHIRPDVSTVCIGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGQETDLEIQANEMLHHKANLNGYLAYHTGQPLDKINVDTDRDYFMSAKEAKEYGLIDGVIMNPLKALQPLPASS >ORUFI03G15330.1 pep chromosome:OR_W1943:3:10608228:10616554:-1 gene:ORUFI03G15330 transcript:ORUFI03G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAAALRSPDLRPPSAGNLSLLPAFAAAATAPPTCMRETGGVCGKRGAGQGQRQRKRLLQLALPPRHVASSVLCPSALRLTPGLPPSPPKSSSPFPFEAWAWVLLRGGTLDGGGGEAGVWKGGCSNLWGSRKMGFMSAKLFPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPIAKFLEQRSHKELRSAHVNFIKIITEAYSKLLFICKEQMAYFAISLVNVLTELLESKQENIHILGCQTLAKFIYSQVDNTYARNIESLVRKVCVLSRQQGVEHSLLRAASLQCLSAMIWFMKEHSYIFADFDEIVQSVLENYRVEESAAGDEERHAPQHNWVDEIVRREGRAGLGGGNDVNCNSTAIRLRSARDSSALTREERESPEVWAHICVQKLAELAKESTTMRRILDPMLSYFDKKKQWAPRQGLALLVLSDMSYLEKSSGNEQLILTSVIRHLDHKNVLYDPQIKSDMIQTATLLARQLRSRGIAAELVVAGDLCRHLRKTLEAMESASIEELNLNESLQNFLQDCLLEVVTGINDVRPLYDMMAITLENLPSMPVVARASIGSLLILSHIISLTSMSSNAPMLFPEALLQQILKSMVHPDVDTRVGAHHMFSAVIVRGPSRQRSESDFLYETKKWQSRTTSVFASATALLEKLRREKESLGSDKTGNMDDEKEKSISEEENKHVWARKNSAYFSKLVFSFTDRYAALTSSAEEANIVMLTEDQKNQLLSAFWVQANQTDNTPFNYEAIGHSYSLTVISSRLKDSRNSNNIQFFQLPLSLRSVSLTSNGVLSPSCQRSIFTLATSMLAFAGKVCHITELVDVLRCFTSCNMDPYLRIGEDLQLYVRLQSDLGNYGSDSDQEIARSVLSDCRTKVGINDQRVLDVVACALCNLTEMDKDVLVKELTEMFTPEEVPLFGSNSAFDWANFHVQAFSDESLSFDEECSRTSSVDGGLHESPITNTGSSISKTTMPQSVPRVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGSGTRKKLSSWLVNGHDSTPDNPAPSLPSAQHFIIPKVNSCGFESSIRTTLEPCSAVKLPPASPFDNFLKAAYRAQ >ORUFI03G15340.1 pep chromosome:OR_W1943:3:10622055:10626256:1 gene:ORUFI03G15340 transcript:ORUFI03G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPKLGGFSIPRPTSYSFERSQPPQRLYVPADDPDLDDIAFSDDAAAPSDAPPAGGGGAAGDEEEIDPLDAFMAEIQEEIRAPPPAPKPEALRRADSDDEDDPVESFLRAKKDSGLALAADAMHAGYDSDEEVYAAAKAVDAGMMEYDSDDNPIVVDKKKIEPIPPLDHSTIEYEPFNKDFYEEKPSVSGMSEQEVADYMKSLAIRVSGFDVPRPIKSFADCGFPVQLMNAIAKQGYEKPTTIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVVCAPTRELAHQIYLEAKKFAKPYNLRVAAVYGGVSKFDQFKELKAGCEIVIATPGRLIDLLKMKALKMFRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILTDPIRVTVGQVGSANEDIKQVVNVLPSDAEKMPWLLEKLPGMIDDGDVLVFAAKKARVDEIESQLNQRGFRIAALHGDKDQASRMETLQKFKSGVYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAGDKDGTAYTLITQKEVRFAGELVHCLIAAGQDVPNELMDLAMKDGRFRANRDLRKGGKKSGKGKGGGGGGGGGGSGARGRGRGVRGVDFGLGIGYNAESGSVPAPRSAAVNSLKTGMMQNFKSSFVSASSSNTPSNSAPSRGAPSSFVRPALRGFVSGGTIGGDANQARAVQPAPSFVPASRPAENTVENANPNPESSRDRTRERKRPSGWDR >ORUFI03G15350.1 pep chromosome:OR_W1943:3:10626848:10628087:1 gene:ORUFI03G15350 transcript:ORUFI03G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLPMDQRPRLTLEDYILFFTTRSGHGLTMDHLNQIVFMHGFIKFHRQNKPVIVDALNKFDLMRPRRSTVGINAAAPPRGAAKPSDALLTMDEARDDIEDLGWRECPVGSLLSIRAGGGDAAPSAAHMPIAAIRPGSTAVERVSPPSILSACSPAPPGAVVIRKRCKKGQGKAAMRGRKRRVVQLLTLPSVENLAATA >ORUFI03G15360.1 pep chromosome:OR_W1943:3:10628194:10631350:1 gene:ORUFI03G15360 transcript:ORUFI03G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGRGREMQGGPLTLERYHRFFVDPWGTRLTIDHLNHIISMHGFVKLHRSNKGDIMRRLVGQVDLQPPRRSTLHRAAEGPPSDAVIAADVVSADVDAIGWTECPIGSVAVLAASPGDAPEPVEPDPRPADFVLAGRRARSKRSRTSAYGHRPPEPDDGGGEGRWGVVAATAVDSAAVDAFSNSASAASLATTRDAAASSASATTRDGGTAAASSPTVLRPAHVGAASSSSPTVLRPPDVGAASSPTPTLRRVARGGAMAVVGPAVAGGGATGAAPVGAAAVPGSACVRAASPGGTATFLEPTDGAAVLPGTILGRAVLGCSTSAAAAVAAAALGPHQIAASSSAASATYSHLAAAAAAIAAAAAGSFLGQAGVLGRECIAKLVLLQSFEPTQIYTAPEE >ORUFI03G15370.1 pep chromosome:OR_W1943:3:10630939:10635264:-1 gene:ORUFI03G15370 transcript:ORUFI03G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLFPSSSPLPPPPPLLPSPSAKAPPSAPFSLSLRLRRARVAASAAAAAGGPERGAGGYEGDAEGEGSSGAFDRGMSEIARKVPLFEPARGDAAAVAGERPLPINLELWLYRAKVHTRKYEFADAEKLLNQCIMYWPEDGRPYVALGKLYSKQSRFDKARAAYERGCQAAQGENPYIWQCWAVLERKGGNIRRARELFDAATVADAKHIAAWHGWAILEIKQGNIKKARNLLAKGLKYCGGNEYIYQTLALLEARAERFEQARTLFQQATQCNPKSCASWLAWAQVEIRAENNAMARKLFEKAVQASPKNRFSWHVWALFEAEQGSIDKARKLLKIGHAVNPRDPVILQSLALLEYNYSSPNTARVLFRKASQVDPRHQPVWIAWGWMEWKEGNARTARTLYQRALSVNSTNECAARCLQAWGVLEQRAGNYTAARRLLRSSLNINSQSEVTWMTWAALEDEQGDPVRAEEIRNIYFQQRTEVVDDASWVMGFLDIIDPALDSVKKLLNIDQPSGPATRDNPKSTGEPSNTATVRTSADAEFSGGSRAEGSDASDLANADDKESDDAAETPESDFDVDGFIRRRLALDPAELDAVLEGSDPRGVVSRRRTQRLPRKPLPLLPVP >ORUFI03G15380.1 pep chromosome:OR_W1943:3:10636757:10644270:-1 gene:ORUFI03G15380 transcript:ORUFI03G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEDAAAAAAAGNEDDVEDLYADLDDQVVAALAAAGESGGSNPATDGEAEAEAPGAHHTEADANEAVDLGDGTAGYISSDEESEDDLHIVLNEDGAAPPPPPPAGRCEEGSEEGEVSGSCVKGLSTDGGRGKLGELHRKGLFEKTTAPITGQGDRSHQHAFQKEFNFFLPRNRTVFDVDIEAFQEKPWRQHGVDLTDYFNFGLDEESWRKYCFDMEHFRHGTRTLANELSGLQQEFHYNLGLSKSVPKSEIYSVLKEGNGIAKPKGRAIHVEGGMHERLPSTDMWPPRQRDSDVIQVNMMFPPSNRSSSDDRSTVNDKCITTKRCGPSNNHPGVDEYLKETSSVVDRVVDKEVHKRGSSECTRSKTVLGDSACAGAQSSTPDNSDMLSEESTEDFHFKRKRGKSNSNAFYVETNRKDEHVLSDFCRHASKSDQESSKGESHRYTPSPADDRYHKATKRQRMDEAGACISSRSLNNCQSDHHLHESGHRAKKELKRQSLAGGKHALFERQENTTDNYSSRYARKHKHKRSSSTFLGTNYRVHNQLCEKQEYLPLGRAALRNDEQCSADYNQRHRRSWREINDDEDIVGCYSARRWQQRHDDLHGSHSMLKAEVCDDIDGHMYRERRYEETRKIRHDHNGDDEFFHYTDYRFGKVLDPEDRRRCRSQSAESCDEHFRRSEHLVFDHFTHPDQLMLSHQANDNHRKSEKGWPGPAASLTFMRSRNRFIDNERIQNGKMKYNHDGYYEKKRQHDSVFDVDDIQQPALYTGSVAETGQCIRPVKRRVHADHSMNRKDRFNSPYQKGRRLMHGWSMISDRDLYVAEMHNSPKDIDVEAMCSPNDMRNSNNIPNIYDKIRHEVVNLQPRDTDNMLLIHRKRKFKRQGIEIRRVVESDSKGCLPADSDLHGSKHKNIHQKVRKPRAFRISRNQASEKSEQQKQQHVSNNQEYEEIEEGELIEQDHQDTASRSKSNHQRKVVLKSVIEASSACQGGVINATSKDADCSNGATGECDNKHILEVMKKMQKRSERFKASIATQKEEDEDRKESLAVTCDVDDIKNQRPARKRLWGCSG >ORUFI03G15390.1 pep chromosome:OR_W1943:3:10645668:10649467:-1 gene:ORUFI03G15390 transcript:ORUFI03G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILALVVAAAALLAAAATAHEHHGEAPTCAGGGSGRVLAEFRPGEVTLDGHPADWDGVEASEFALLPALDPDEDKAYAGGKVFVKAVHDGVNIFFMLKVDGDYTYTKGENKKCPSVALMFQIGEKATYYNMGGCKDMPGSCTSKSCRGQEVDIMHFSVGNAIPGRLYGGNHIDNADGNGGDRFGHLVDLYSWNPHCRYLDGIGPKENNSNAQNDWHGAWWHSSLTFHSGFVDDDSPYGKQDEKGTYYFEFSRPLRTMDRLQQDAQFTIGGPNSMAVAFWYPNDGKPWSKSEHYSASCDWLVLDIQPSMEAAHYRPAPNRSWDAATAFALLLSVVAICISVFVGYGASKNRSSVQFTPLEQI >ORUFI03G15400.1 pep chromosome:OR_W1943:3:10659564:10667531:1 gene:ORUFI03G15400 transcript:ORUFI03G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPITAGAAVAQYTLDARLHAVFEQSGASGRSFDYTQSLRASPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAYSENTADLLDLSPHHSVPSLDSSAVPPPVSLGADARLLFAPSSAVLLERAFAAREISLLNPLWIHSRVSSKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAESRRSNLEPYIGLHYPATDIPQASRFLFRQNRVRMIADCHAAPVRVIQDPALTQPLCLVGSTLRSPHGCHAQYMANMGSIASLVMAVIISSGGDDDHNIARGSIPSAMKLWGLVVCHHTSPRCIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTEVQIKDIIEWLTMCHGDSTGLSTDSLADAGYPGAAALGDAVSGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDSAEGTSNSKAIVNGQVQLGELELRGIDELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKVAELTGLSVEEAMGKSLVNDLIFKESEETVNKLLSRALRGDEDKNVEIKLKTFGPEQSKGPIFVIVNACSSRDYTKNIVGVCFVGQDVTGQKVVMDKFINIQGDYKAIVHNPNPLIPPIFASDENTCCSEWNTAMEKLTGWSRGEVVGKLLVGEVFGNCCRLKGPDALTKFMIVLHNAIGGQDCEKFPFSFFDKNGKYVQALLTANTRSRMDGEAIGAFCFLQIASPELQQAFEIQRHHEKKCYARMKELAYIYQEIKNPLNGIRFTNSLLEMTDLKDDQRQFLETSTACEKQMSKIVKDASLQSIEDGSLVLEKGEFSLGSVMNAVVSQVMIQLRERDLQLIRDIPDEIKEASAYGDQYRIQQVLCDFLLSMVRFAPAENGWVEIQVRPNIKQNSDGTDTMLFLFRFACPGEGLPPEIVQDMFSNSRWTTQEGIGLSICRKILKLMGGEVQYIRESERSFFHIVLELPQPQQAASRGTS >ORUFI03G15400.2 pep chromosome:OR_W1943:3:10659338:10667531:1 gene:ORUFI03G15400 transcript:ORUFI03G15400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRATPTRSPSSARPAAPRHQHHHSQSSGGSTSRAGGGGGGGGGGGGGAAAAESVSKAVAQYTLDARLHAVFEQSGASGRSFDYTQSLRASPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAYSENTADLLDLSPHHSVPSLDSSAVPPPVSLGADARLLFAPSSAVLLERAFAAREISLLNPLWIHSRVSSKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAESRRSNLEPYIGLHYPATDIPQASRFLFRQNRVRMIADCHAAPVRVIQDPALTQPLCLVGSTLRSPHGCHAQYMANMGSIASLVMAVIISSGGDDDHNIARGSIPSAMKLWGLVVCHHTSPRCIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTEVQIKDIIEWLTMCHGDSTGLSTDSLADAGYPGAAALGDAVSGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDSAEGTSNSKAIVNGQVQLGELELRGIDELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKVAELTGLSVEEAMGKSLVNDLIFKESEETVNKLLSRALRGDEDKNVEIKLKTFGPEQSKGPIFVIVNACSSRDYTKNIVGVCFVGQDVTGQKVVMDKFINIQGDYKAIVHNPNPLIPPIFASDENTCCSEWNTAMEKLTGWSRGEVVGKLLVGEVFGNCCRLKGPDALTKFMIVLHNAIGGQDCEKFPFSFFDKNGKYVQALLTANTRSRMDGEAIGAFCFLQIASPELQQAFEIQRHHEKKCYARMKELAYIYQEIKNPLNGIRFTNSLLEMTDLKDDQRQFLETSTACEKQMSKIVKDASLQSIEDGSLVLEKGEFSLGSVMNAVVSQVMIQLRERDLQLIRDIPDEIKEASAYGDQYRIQQVLCDFLLSMVRFAPAENGWVEIQVRPNIKQNSDGTDTMLFLFRFACPGEGLPPEIVQDMFSNSRWTTQEGIGLSICRKILKLMGGEVQYIRESERSFFHIVLELPQPQQAASRGTS >ORUFI03G15400.3 pep chromosome:OR_W1943:3:10659338:10667028:1 gene:ORUFI03G15400 transcript:ORUFI03G15400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRATPTRSPSSARPAAPRHQHHHSQSSGGSTSRAGGGGGGGGGGGGGAAAAESVSKAVAQYTLDARLHAVFEQSGASGRSFDYTQSLRASPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAYSENTADLLDLSPHHSVPSLDSSAVPPPVSLGADARLLFAPSSAVLLERAFAAREISLLNPLWIHSRVSSKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAESRRSNLEPYIGLHYPATDIPQASRFLFRQNRVRMIADCHAAPVRVIQDPALTQPLCLVGSTLRSPHGCHAQYMANMGSIASLVMAVIISSGGDDDHNIARGSIPSAMKLWGLVVCHHTSPRCIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTEVQIKDIIEWLTMCHGDSTGLSTDSLADAGYPGAAALGDAVSGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDSAEGTSNSKAIVNGQVQLGELELRGIDELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKVAELTGLSVEEAMGKSLVNDLIFKESEETVNKLLSRALRGDEDKNVEIKLKTFGPEQSKGPIFVIVNACSSRDYTKNIVGVCFVGQDVTGQKVVMDKFINIQGDYKAIVHNPNPLIPPIFASDENTCCSEWNTAMEKLTGWSRGEVVGKLLVGEVFGNCCRLKGPDALTKFMIVLHNAIGGQDCEKFPFSFFDKNGKYVQALLTANTRSRMDGEAIGAFCFLQIASPELQQAFEIQRHHEKKCYARMKELAYIYQEIKNPLNGIRFTNSLLEMTDLKDDQRQFLETSTACEKQMSKIVKDASLQSIEDGSLVLEKGEFSLGSVMNAVVSQVMIQLRERDLQLIRDIPDEIKEASAYGDQYRIQQVLCDFLLSMVRFAPAENGWVEIQVRPNIKQNSDGTDTMLFLFSIENLMTRQGGPQTDRLPSIWFCRFACPGEGLPPEIVQDMFSNSRWTTQEGIGLSICRKILKLMGGEVQYIRESERSFFHIVLELPQPQQAASRGTS >ORUFI03G15410.1 pep chromosome:OR_W1943:3:10672856:10674481:-1 gene:ORUFI03G15410 transcript:ORUFI03G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRLLLLPLLAVAAAVLSSPLGRALAKTAKKSNDIVNGPLLTSKINAKRTLIVGPEDEFKTVQSAIDAVPVGNTEWVIVHLRSGIYREKVMIPETKPFIFVRGNGKGRTSINHESASSHNAESAAFTVHADNVIVFGLSIRNSARAGLPNVPEVRTVAAMVGGDKIAFYHCAFYSPHHTLFDVAGRHYYESCYIQGNIDFIFGGGQSIFQCPEIFVKPDRRTEIKGSITAQNRKQEDGSGFVFIKGKVYGVGQVYLGRANEAYSRVIFADTYLSKTINPAGWTSYGYTGSTDHVMLGEFNCTGPGSEATKREPWSRQLTQEEADKFINIDFINGKEWLPAYYY >ORUFI03G15420.1 pep chromosome:OR_W1943:3:10675898:10676281:1 gene:ORUFI03G15420 transcript:ORUFI03G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALQANCFTCDLSQLIIADVMSGSVPLDASTSISGRVTILGVFKPDKINCSPMPTHPILQWMVNDLLTINFISDFVMLVALAAPVEGDAMGDELVVMIGLARELTAMQRARAMT >ORUFI03G15430.1 pep chromosome:OR_W1943:3:10678840:10683963:1 gene:ORUFI03G15430 transcript:ORUFI03G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLGPSPTCFISSSILSSLLFASAAPRIRNRIAHSISMALAAAATTFRPRLVVTAKADNTDTRRRHWKAGEFPFPTSSDTPRRSARTAERPPDKPRDEDGDSSRRTGRRHWKAGEFPGTPEDSRRPRRSPIKNVKKRLDARAEAKAWACTVTEALADRIDAKNWQEALQVFQMLKEQPFYHPKEGTFMKLLLLLGRSGQPSRARYLFDEMLQQGCQPTPELYTALIAAYCRNGLLDDAFRLLADMKASPVCQPDVYTYSTIIKACVDASRFDIVDAMYKDMADRSIAPNTVTQNIVLSGYGRAGRLDDMEKVLSAMLDSTTSKPDVWTMNIILSLFGNRGQIESMEKWYEKFRGYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATFNNVIEAFADAGDAKNMEHTFNQMRAEGMKPDTKTFCCLINGFGNAGLFHKVVGMVKLAERLGVPMDTTFHNAVLMACVKAEDLMEMERVFMRMKQIQCVPDATTYSILVGAYRKEGMTDKIYVLQQENPTLVPTDLVHV >ORUFI03G15430.2 pep chromosome:OR_W1943:3:10678840:10683963:1 gene:ORUFI03G15430 transcript:ORUFI03G15430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLGPSPTCFISSSILSSLLFASAAPRIRNRIAHSISMALAAAATTFRPRLVVTAKADNTDTRRRHWKAGEFPFPTSSDTPRRSARTAERPPDKPRDEDGDSSRRTGRRHWKAGEFPGTPEDSRRPRRSPIKNVKKRLDARAEAKAWACTVTEALADRIDAKNWQEALQVFQMLKEQPFYHPKEGTFMKLLLLLGRSGQPSRARYLFDEMLQQGCQPTPELYTALIAAYCRNGLLDDAFRLLADMKASPVCQPDVYTYSTIIKACVDASRFDIVDAMYKDMADRSIAPNTVTQNIVLSGYGRAGRLDDMEKVLSAMLDSTTSKPDVWTMNIILSLFGNRGQIESMEKWYEKFRGYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATFNNVIEAFADAGDAKNMEHTFNQMRAEGMKPDTKTFCCLINGFGNAGLFHKVVGMVKLAERLGVPMDTTFHNAVLMACVKAEDLMEMERVFMRMKQIQCVPDATTYSILVGAYRKEGMTDKIYVLQQENPTLVPTDLVHV >ORUFI03G15430.3 pep chromosome:OR_W1943:3:10678840:10683100:1 gene:ORUFI03G15430 transcript:ORUFI03G15430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLGPSPTCFISSSILSSLLFASAAPRIRNRIAHSISMALAAAATTFRPRLVVTAKADNTDTRRRHWKAGEFPFPTSSDTPRRSARTAERPPDKPRDEDGDSSRRTGRRHWKAGEFPGTPEDSRRPRRSPIKNVKKRLDARAEAKAWACTVTEALADRIDAKNWQEALQVFQMLKEQPFYHPKEGTFMKLLLLLGRSGQPSRARYLFDEMLQQGCQPTPELYTALIAAYCRNGLLDDAFRLLADMKASPVCQPDVYTYSTIIKACVDASRFDIVDAMYKDMADRSIAPNTVTQNIVLSGYGRAGRLDDMEKVLSAMLDSTTSKPDVWTMNIILSLFGNRGQIESMEKWYEKFRGYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATFNNVIEAFADAGDAKNMEHTFNQMRAEGMKPDTKTFCCLINGFGNAGLFHKVVGMVKLAERLGVPMDTTFHNAVLMACVKAEDLMEMERVFMRMKQIQCVPDATTYSILVGAYRKEGMTDKIYVLQQENPTLVPTDLVHV >ORUFI03G15430.4 pep chromosome:OR_W1943:3:10678840:10683930:1 gene:ORUFI03G15430 transcript:ORUFI03G15430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLGPSPTCFISSSILSSLLFASAAPRIRNRIAHSISMALAAAATTFRPRLVVTAKADNTDTRRRHWKAGEFPFPTSSDTPRRSARTAERPPDKPRDEDGDSSRRTGRRHWKAGEFPGTPEDSRRPRRSPIKNVKKRLDARAEAKAWACTVTEALADRIDAKNWQEALQVFQMLKEQPFYHPKEGTFMKLLLLLGRSGQPSRARYLFDEMLQQGCQPTPELYTALIAAYCRNGLLDDAFRLLADMKASPVCQPDVYTYSTIIKACVDASRFDIVDAMYKDMADRSIAPNTVTQNIVLSGYGRAGRLDDMEKVLSAMLDSTTSKPDVWTMNIILSLFGNRGQIESMEKWYEKFRGYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATFNNVIEAFADAGDAKNMEHTFNQMRAEGMKPDTKTFCCLINGFGNAGLFHKVVGMVKLAERLGVPMDTTFHNAVLMACVKAEDLMEMERVFMRMKQIQCVPDATTYSILVGAYRKEGMTDKIYVLQQENPTLVPTDLVHV >ORUFI03G15430.5 pep chromosome:OR_W1943:3:10678840:10681871:1 gene:ORUFI03G15430 transcript:ORUFI03G15430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLGPSPTCFISSSILSSLLFASAAPRIRNRIAHSISMALAAAATTFRPRLVVTAKADNTDTRRRHWKAGEFPFPTSSDTPRRSARTAERPPDKPRDEDGDSSRRTGRRHWKAGEFPGTPEDSRRPRRSPIKNVKKRLDARAEAKAWACTVTEALADRIDAKNWQEALQVFQMLKEQPFYHPKEGTFMKLLLLLGRSGQPSRARYLFDEMLQQGCQPTPELYTALIAAYCRNGLLDDAFRLLADMKASPVCQPDVYTYSTIIKACVDASRFDIVDAMYKDMADRSIAPNTVTQNIVLSGYGRAGRLDDMEKVLSAMLDSTTSKPDVWTMNIILSLFGNRGQIESMEKWYEKFRGYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATFNNVIEAFADAGDAKNMEHTFNQMRAEGMKPDTKTFCCLINGFGNAGLFHKVVGMVKLAERLGVPMDTTFHNAVLMACVKAEDLMEMERVFMRMKQIQCVPDATTYSILVGAYRKEGMTDKIYVLQQENPTLVPTDLVHV >ORUFI03G15430.6 pep chromosome:OR_W1943:3:10678840:10681297:1 gene:ORUFI03G15430 transcript:ORUFI03G15430.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLGPSPTCFISSSILSSLLFASAAPRIRNRIAHSISMALAAAATTFRPRLVVTAKADNTDTRRRHWKAGEFPFPTSSDTPRRSARTAERPPDKPRDEDGDSSRRTGRRHWKAGEFPGTPEDSRRPRRSPIKNVKKRLDARAEAKAWACTVTEALADRIDAKNWQEALQVFQMLKEQPFYHPKEGTFMKLLLLLGRSGQPSRARYLFDEMLQQGCQPTPELYTALIAAYCRNGLLDDAFRLLADMKASPVCQPDVYTYSTIIKACVDASRFDIVDAMYKDMADRSIAPNTVTQNIVLSGYGRAGRLDDMEKVLSAMLDSTTSKPDVWTMNIILSLFGNRGQIESMEKWYEKFRGYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATFNNVIEAFADAGDAKNMEHTFNQMRAEGMKPDTKTFCCLINGFGNAGLFHKVVGMVKLAERLGVPMDTTFHNAVLMACVKAEDLMEMERVFMRMKQIQCVPDATTYSILVGAYRKEGMTDKIYVLQQENPTLVPTDLVHV >ORUFI03G15440.1 pep chromosome:OR_W1943:3:10686654:10687148:1 gene:ORUFI03G15440 transcript:ORUFI03G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSPPIAAPGIEFTAPPPGRDHTQDCSLTYAQWKEVDASTHHRLSLDARAALDYIAQRIFARFMAITKLTLRCAQGSGTDSLSDDGARHVVAVLPSERLARLKLRGLRQLSDDGFASLAGVTPVIRKLSVASISFGPKAFVAVLRSCPLLEDLSIKRLCGLL >ORUFI03G15450.1 pep chromosome:OR_W1943:3:10690360:10693307:1 gene:ORUFI03G15450 transcript:ORUFI03G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIRLTMLIFIAILLAGRTCVLVVAGGGMPATFVFGDSLVDAGNNNYLVSLSKANYPPNGIDFDGHQPTGRYTNGRTIVDILGQEMSGGFVPPYLAPETAGDVLLKGVNYASGGGGILNQTGSIFGGRINLDAQIDNYANNRHELIKRHGELEAVTLLRGALFSVTMGSNDFINNYLTPIFGVPERAVTPPEVFVDALISKYREQLIRLYLLDARKIVVANVGPIGCIPYLRDTTPTVGTACAEFPNQLARNFNRKLRGLVDELSANLTGSRFLYADVYRVFSDIIANYKSHGFEVADSACCYVSGRFGGLLPCGPTSQYCADRSKYVFWDPYHPSDAANALIARRIIDGEPADIFPINVRQLITS >ORUFI03G15460.1 pep chromosome:OR_W1943:3:10697074:10697637:-1 gene:ORUFI03G15460 transcript:ORUFI03G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESFIEHQDACNSGRVRSEVVPVVTTLPVIRPAALRHHHHHLPPPPLPKLQLLPASIIVAASDSGAAAAAVGGEEEEQRKEVRRALEEKAAAGAARERAREEAAAAERALEDARRRLALLEQRELRRRSPPPSPPPRRLASSAAPRRRSPPHPPPLASSAAAAAARRPPPAAVRVRQRVREEREEE >ORUFI03G15470.1 pep chromosome:OR_W1943:3:10700407:10702940:1 gene:ORUFI03G15470 transcript:ORUFI03G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYCSVKRSLPKKRDTSTRYSHPHSRRSSVYQTEKLVNPTPPDPMAATSPDSGDLILVEPAKPGSRVAVVTINRPKALNALTRPMMVSLAAAFRRLDADDGVAAVVLAGRGRAFCSGVDLTAAEEVFKGDVKDPAADPVVQMERCRKPIVGAIAGFAVTAGFEIALACDILVAGRSAKFIDTHAKFGIFPSWGLSQKLSRVIGPNRAREVSLTCMPITAEMAEKWGLVNHIVDDTQVLSKAIEVCEAIARNNRNLVVLYKSVINDGLQLDLEHARALEKERAHDYYNGMTKEQFASMQKFIQGRSSKPPSKL >ORUFI03G15480.1 pep chromosome:OR_W1943:3:10703293:10714160:1 gene:ORUFI03G15480 transcript:ORUFI03G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLLRLLLLLLAVAVATSAAARREAFRRDPGHPQWHHGAFHDVEDSVRADVRRMLHTRAEVPLEVNVVLIGFNGDGGYRYSLDGHRLEEFLKMSFPLHRPSCFETGEPIDIEHHIMYNVIAAGQPELISLEKSLKEAMVPAGTAREFMALNILSLQSEYGREFPLFEVDATMVEPVFQRLYSFIFDMEPGYSSTEMDRPAPVAIFVVNFDKVRMDPQNNETDLDSLMYGAIGRLTEQELKKQEADYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSYRSLPRLLNIIFPRGLAAPSASSTQDIFIGQLGGLISTTIEHVIAPDVRFETVDMAMRLLVPIIVLQNHNRYNILQAGHNYSIDVQAIEREVKRMVHAGQEVIIISGSHALHQHEKLAVAVSKAMRSHSIHETKTDGRFHVRTKPYLDGAILREEMERSADVLSAGLLEVANPSLSSRFFLKQHWLNEQDDTHDSIKHKPIWESYMPRNKKEKRGTGKKKHGDLYRTYGTRVIPVFVLSLADVDAELLMEEENLVWTSKDVVIVLEHNNEKVPLSYVSETTRQFAFPSLAQRHILAGLASAVGGLSAPYERASHIHERPVVNWLWAAGCHPFGPFSNSSKISQILQDVALRTTIYAQVDAALHKIRDTSEFVQSFASEHLKTPLGEPVKGKQNKSNTELWVEKFYKKVTTMPEPFPHELVERLEEYLDRLEGQLVDLSSLLYDHRLVDAYQNSSDILQSTIFTQQYVERVLSAERDKMKCCTIEYNHPKQSSQAFVYGGILLAGFLVYSLVIFFSSPVR >ORUFI03G15480.2 pep chromosome:OR_W1943:3:10703293:10714160:1 gene:ORUFI03G15480 transcript:ORUFI03G15480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLLRLLLLLLAVAVATSAAARREAFRRDPGHPQWHHGAFHDVEDSVRADVRRMLHTRAEVPFQVPLEVNVVLIGFNGDGGYRYSLDGHRLEEFLKMSFPLHRPSCFETGEPIDIEHHIMYNVIAAGQPELISLEKSLKEAMVPAGTARESEYGREFPLFEVDATMVEPVFQRLYSFIFDMEPGYSSTEMDRPAPVAIFVVNFDKVRMDPQNNETDLDSLMYGAIGRLTEQELKKQEADYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSYRSLPRLLNIIFPRGLAAPSASSTQDIFIGQLGGLISTTIEHVIAPDVRFETVDMAMRLLVPIIVLQNHNRYNILQAGHNYSIDVQAIEREVKRMVHAGQEVIIISGSHALHQHEKLAVAVSKAMRSHSIHETKTDGRFHVRTKPYLDGAILREEMERSADVLSAGLLEVANPSLSSRFFLKQHWLNEQDDTHDSIKHKPIWESYMPRNKKEKRGTGKKKHGDLYRTYGTRVIPVFVLSLADVDAELLMEEENLVWTSKDVVIVLEHNNEKVPLSYVSETTRQFAFPSLAQRHILAGLASAVGGLSAPYERASHIHERPVVNWLWAAGCHPFGPFSNSSKISQILQDVALRTTIYAQVDAALHKIRDTSEFVQSFASEHLKTPLGEPVKGKQNKSNTELWVEKFYKKVTTMPEPFPHELVERLEEYLDRLEGQLVDLSSLLYDHRLVDAYQNSSDILQSTIFTQQYVERVLSAERDKMKCCTIEYNHPKQSSQAFVYGGILLAGFLVYSLVIFFSSPVR >ORUFI03G15490.1 pep chromosome:OR_W1943:3:10713238:10714500:-1 gene:ORUFI03G15490 transcript:ORUFI03G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGSDARVVESMQRLLDAVPPGADDPYTIFRLPAAVRERHRDLYEPKLVSVGPYYHGRDGLGAAQRHKWRLLRDFLSRQSDDKAGLGAYVRAARAVEADARQCYAEGFDDVGADEFAEMLVLDGCFLLEFFLRKSEGQLAAPGGAKWAWQHMYHDVLLLENQIPFFVVERLHGVAFAGDDDGAADRDALLDIFCKAFAGDLPSSRVIRPPSDKTIHHLLHLHYECNVRNPAADSDKARNGGDAANGGASSLAIWKQPPVPSPRSSDGAIKGRMTSMIPPAAKMEEAGVTFKRKATPRDVFDMSFRYGVLHMPAFVVDEGAKVLLANLVAFEQGGGRAARKLEGGNLATGFVALLGSLVNSRRDVEVLRRCGILHCMVTDEEAVAYFSHVVQYTTMDYDRHLLACLFRDIREHCHWNR >ORUFI03G15500.1 pep chromosome:OR_W1943:3:10717829:10720128:-1 gene:ORUFI03G15500 transcript:ORUFI03G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLEPCHY >ORUFI03G15510.1 pep chromosome:OR_W1943:3:10726245:10726766:1 gene:ORUFI03G15510 transcript:ORUFI03G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGGRYAGLDVPACAAAGDLRPAFDVLDADRDGRISREDLKSFYASGAATSERFDDEDIAAMIAAADADNDGFVQYDEFERLLGRAAAGAGCRPAMEDVLRVMDRDGDGKVGFDDLKAYLGWAGMPAADEEIRAMIRVAGGGDGDGCVGLEELAIVLGCSPTNWTASSQTLH >ORUFI03G15520.1 pep chromosome:OR_W1943:3:10729997:10730503:1 gene:ORUFI03G15520 transcript:ORUFI03G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRSTADGWEDMAGVTGRARWGLETVGHWRGGHWRRRGTCEEGIGDGGALARRALETAGHLRGGHWRRRGSGEVGSRRCLVNPTRRSSSLLGEWVGVLETTPLRRSSHRASTGGGEAGAGAEELEEGGSGSSALTVDREDHHLWATRRCRRPPNPPPPSRAPQSAA >ORUFI03G15530.1 pep chromosome:OR_W1943:3:10733173:10733757:1 gene:ORUFI03G15530 transcript:ORUFI03G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKFPKYFTSIPHLYYFALILDPRKKLEIAKVAMNSIGYAVVLDYSEAFQHVNDELYRVFHLYRTKLGGTPRVPEQTSQKKARKSSAVNLWKQYIGNDQASPSSENKSTWNPDSELNHYLVTNHTKHDPTLGGDDVDLLGWWKEKERTLPVLAHFARDILLVPASFISSEQAFSVTGIIREKRTKVMPDSRDS >ORUFI03G15540.1 pep chromosome:OR_W1943:3:10736939:10755227:1 gene:ORUFI03G15540 transcript:ORUFI03G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLAPPGSFHRLLASRHYPPSSPAPPPRPLLLLPKTLIPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNAESAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLAAAGLPLSLFDAIVSADAFENLKPAPDIFLAASKTLGVDTDECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHNERTENTENISSLKPSTEILNGATNAESAPSTNSASSIDDSREGLVGSRRNILRYGSLGIAVSCLIYTTRNWKAMQFVSPKGLLNYFTGGNSSIFASNEGEQLTSRVQQIKKYLADFETGGSATCVPEFPRKLDWLNTAPLQFGRDLKGRVVLLDFWTYCCINCMHVLPDLEFIEKKYKDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEENKLLQNSSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGEFICQIGSSEEGLLDGTFDTASFNRPQGLAYNSKKNILYVADTENHALRLSKHWREMERKALITEEEVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEVLSGDGYERNLNGSSSIRVVNLKSGGSRSLAGGDPMIPENLFRFGDHDGTGSDVLLQHPLGVVYASDNQIYVADSYNHKIKRLDPVTRKVTTIAGTGRAGYKDGPALSAQLSEPAGLVEVGDGRLLVADTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLKRLRRRLSADTDVINIDGGSSIEGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIEIEPVNGFLNSGQASLKYRRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAVPSPAQITLSYSVVPRDTSSSAQLIAAGKNGKV >ORUFI03G15540.2 pep chromosome:OR_W1943:3:10736939:10755227:1 gene:ORUFI03G15540 transcript:ORUFI03G15540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLAPPGSFHRLLASRHYPPSSPAPPPRPLLLLPKTLIPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNAESAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLAAAGLPLSLFDAIVSADAFENLKPAPDIFLAASKTLGVDTDECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHNERTENTENISSLKPSTEILNGATNAESAPSTNSASSIDDSREGLVGSRRNILRYGSLGIAVSCLIYTTRNWKAMQFVSPKGLLNYFTGGNSSIFASNEGEQLTSRVQQIKKYLADFETGGSATCVPEFPRKLDWLNTAPLQFGRDLKGRVVLLDFWTYCCINCMHVLPDLEFIEKKYKDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEENKLLQNSSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGEFICQIGSSEEGLLDGTFDTASFNRPQGLAYNSKKNILYVADTENHALRLSKHWREMERKALITEEEVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEVLSGDGYERNLNGSSLEIMMGLARMCYSSIHWVLSMLIKRLDPVTRKVTTIAGTGRAGYKDGPALSAQLSEPAGLVEVGDGRLLVADTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLKRLRRRLSADTDVINIDGGSSIEGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIEIEPVNGFLNSGQASLKYRRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAVPSPAQITLSYSVVPRDTSSSAQLIAAGKNGKV >ORUFI03G15540.3 pep chromosome:OR_W1943:3:10736939:10755227:1 gene:ORUFI03G15540 transcript:ORUFI03G15540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLAPPGSFHRLLASRHYPPSSPAPPPRPLLLLPKTLIPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNAESAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLAAAGLPLSLFDAIVSADAFENLKPAPDIFLAASKTLGVDTDECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHKILNGATNAESAPSTNSASSIDDSREGLVGSRRNILRYGSLGIAVSCLIYTTRNWKAMQFVSPKGLLNYFTGGNSSIFASNEGEQLTSRVQQIKKYLADFETGGSATCVPEFPRKLDWLNTAPLQFGRDLKGRVVLLDFWTYCCINCMHVLPDLEFIEKKYKDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEENKLLQNSSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGEFICQIGSSEEGLLDGTFDTASFNRPQGLAYNSKKNILYVADTENHALRLSKHWREMERKALITEEEVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEVLSGDGYERNLNGSSLEIMMGLARMCYSSIHWVLSMLIKRLDPVTRKVTTIAGTGRAGYKDGPALSAQLSEPAGLVEVGDGRLLVADTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLKRLRRRLSADTDVINIDGGSSIEGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIEIEPVNGFLNSGQASLKYRRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAVPSPAQITLSYSVVPRDTSSSAQLIAAGKNGKV >ORUFI03G15540.4 pep chromosome:OR_W1943:3:10736939:10755227:1 gene:ORUFI03G15540 transcript:ORUFI03G15540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLAPPGSFHRLLASRHYPPSSPAPPPRPLLLLPKTLIPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNAESAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLAAAGLPLSLFDAIVSADAFENLKPAPDIFLAASKTLGVDTDECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHKILNGATNAESAPSTNSASSIDDSREGPCSLYLPKGCLIISRVEIVRYLLVMKDLKGRVVLLDFWTYCCINCMHVLPDLEFIEKKYKDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEENKLLQNSSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGEFICQIGSSEEGLLDGTFDTASFNRPQGLAYNSKKNILYVADTENHALRTLTWFIVLSLHVILISDCHMFTIAYYTVSTSQNINIGKLKVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEVLSGDGYERNLNGSSYPLCINEHFGDHDGTGSDVLLQHPLGVVYASDNQIYVADSYNHKIKRLDPVTRKVTTIAGTGRAGYKDGPALSAQLSEPAGLVEVGDGRLLVADTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLKRLRRRLSADTDVINIDGGSSIEGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIEIEPVNGFLNSGQASLKYRRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAVPSPAQITLSYSVVPRDTSSSAQLIAAGKNGKV >ORUFI03G15540.5 pep chromosome:OR_W1943:3:10736939:10755227:1 gene:ORUFI03G15540 transcript:ORUFI03G15540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLAPPGSFHRLLASRHYPPSSPAPPPRPLLLLPKTLIPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNAESAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLAAAGLPLSLFDAIVSADAFENLKPAPDIFLAASKTLGVDTDECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHKILNGATNAESAPSTNSASSIDDSREGPCSLYLPKGCLIISRVEIVRYLLVMKDLKGRVVLLDFWTYCCINCMHVLPDLEFIEKKYKDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEENKLLQNSSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGEFICQIGSSEEGLLDGTFDTASFNRPQGLAYNSKKNILYVADTENHALRTLTWFIVLSLHVILISDCHMFTIAYYTVSTSQNINIGKLKVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEVLSGDGYERNLNGSSLEIMMGLARMCYSSIHWVLSMLIKRLDPVTRKVTTIAGTGRAGYKDGPALSAQLSEPAGLVEVGDGRLLVADTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLKRLRRRLSADTDVINIDGGSSIEGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIEIEPVNGFLNSGQASLKYRRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAVPSPAQITLSYSVVPRDTSSSAQLIAAGKNGKV >ORUFI03G15540.6 pep chromosome:OR_W1943:3:10736939:10755227:1 gene:ORUFI03G15540 transcript:ORUFI03G15540.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLAPPGSFHRLLASRHYPPSSPAPPPRPLLLLPKTLIPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNAESAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLAAAGLPLSLFDAIVSADAFENLKPAPDIFLAASKTLGVDTDECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHKILNGATNAESAPSTNSASSIDDSREGPCSLYLPKGCLIISRVEIVRYLLVMKDLKGRVVLLDFWTYCCINCMHVLPDLEFIEKKYKDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEENKLLQNSSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGEFICQIGSSEEGLLDGTFDTASFNRPQGLAYNSKKNILYVADTENHALRLSKHWREMERKALITEEEVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEVLSGDGYERNLNGSSLEIMMGLARMCYSSIHWVLSMLIKRLDPVTRKVTTIAGTGRAGYKDGPALSAQLSEPAGLVEVGDGRLLVADTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLKRLRRRLSADTDVINIDGGSSIEGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIEIEPVNGFLNSGQASLKYRRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAVPSPAQITLSYSVVPRDTSSSAQLIAAGKNGKV >ORUFI03G15550.1 pep chromosome:OR_W1943:3:10758543:10759861:-1 gene:ORUFI03G15550 transcript:ORUFI03G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGIVAAATLDPAWADLRVEAGRSGAVVEAGGVQGVQATAAGGRGGDRRRRGTGLAVAGGGEDWWQGVGRGGEGGGGGVCDLGHHRIRVGHRRIWSQVRERRRERGGEAGAARGDAAGGMEASSVAWRRRGSGAATGGGCGRPFVGAVVASNSHRRLGGDAVRLWARWLKPCRAFGRFDDDDAVGTVSLLEGVVMALSHLPHKSPGVNLAPASDERRWRYTSLFGSKAFFPWCSARPKPLGSTSFCGGRHTLRLLLRIKLELLAVGVLRRLATMTCCSLFQRVGAGYVKEVALWWLG >ORUFI03G15560.1 pep chromosome:OR_W1943:3:10765900:10766517:1 gene:ORUFI03G15560 transcript:ORUFI03G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGSAAAVEERGNSERKRKRGATGESEGSEAQPSKWRTRRVHEIYSSKLLDAIRLVRSGSPSSSAAAPPRSRAVREAADRALAVSARGRTRWSRAILASHRRRIQAARRARLREATSPPSRHPSSSSGKGPKAPALARKAKVLGRLVPGCRKLPFPALLAEASDYIAALEMQVRAMTALAEVLSTVSGSGSASSSGGSSSPA >ORUFI03G15570.1 pep chromosome:OR_W1943:3:10790579:10790962:1 gene:ORUFI03G15570 transcript:ORUFI03G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPASPVLAGCCAPASLARCWAREKRRTEEAVSRADQEEEEDRRMKGRHSGKAAAAAARSMAGGSERGGVVEDPRLVKQVRELRRLVPCRREPCGLGELFQDAASHIEDLQVQVKLMRMLLEKLSEE >ORUFI03G15580.1 pep chromosome:OR_W1943:3:10794922:10797374:-1 gene:ORUFI03G15580 transcript:ORUFI03G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRTRHCLVLLLVSLALPPLGMATDPIGGYCSESGTSDAEINGKTRRRSINSVVSDLVAKAASNGGFATSSAGKGNNVFYGLAQCRGDVSASDCKACLVEAANYTLSFCHYASDSRMWYDYCFMRYKNANFIGQVDTRVDASVRIRKEENMDNPKTFQKVVAKTMDKAIAQAVATAGSAALGRVKEKYMTFVNIYGLSQCTRDLAPLACAQCLSTAVSDFGDICNAAEGCQIEYSTCWVRYEIYPFYFPLKTDGRATTDMTKYTKVVVH >ORUFI03G15590.1 pep chromosome:OR_W1943:3:10806331:10812569:1 gene:ORUFI03G15590 transcript:ORUFI03G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSDPAAAGKPTQMDLEDQTDAKGKGKSEELADSIGSLSIGPERTNFKKKPVIIIVIGMAGTGKTTFMHRLVCHTQASNMRGYVLNLDPAVMTLPFGANIDIRDTVRYKEVMKEYGLGPNGGILTSLNLFSTKFDEVISVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSMSPVTFMSNMLYACSILYKTRLPMILTFNKVDVAKHEFALEWMEDFEAFQTALDSDSSYSSTFTRSLSLVLDEFYKNLRSVGVSAVSGAGVNSFFEAIEASAKEYMENYRADLDKRIAEKERLEAERRKENMERLQRDMENSKGQTVVLSTGLKDKNRATDMMDDADEEEEEAALEDFRISEDDEDEDEDEGEDEEVEHFGL >ORUFI03G15600.1 pep chromosome:OR_W1943:3:10810232:10810534:-1 gene:ORUFI03G15600 transcript:ORUFI03G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMELAAISNTSSSLSNLLCDICLPPPRGARLRHLSLASPTSRRHSATSSTVAVARSVNHREPACSIATAAPLADALSAAARFATVACALGRAWSCCIWG >ORUFI03G15610.1 pep chromosome:OR_W1943:3:10815732:10818734:1 gene:ORUFI03G15610 transcript:ORUFI03G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRILSGGGGVAALRAARAVKETTGIVGLEVVPNAREVLVGLYTRTLKEIEAVPKDEGYRKAVESFTRHRLQICQEEDDWKRIEDRIGCGQVEELIEEAQDELKLIAKMIEWDPWGVPDDYECEVIEDDTLIPKHVPQHRPVALPEEFFKTLDAVKSDPALQGESPPQVKP >ORUFI03G15620.1 pep chromosome:OR_W1943:3:10819263:10824073:-1 gene:ORUFI03G15620 transcript:ORUFI03G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTNGAISLAFPPMGPLPADALIYPFDGLSYDDFVLPIAAAPQHPLPVAIADPAPLLLLPPPSSCTCNGASSGMGAVAPRTLALGATTDGSVMTPTSWGSDGGGGGSSSARAVRSPSPVLPLVQGTGQRTSCYRGVTRHRWTGRYEAHLWDNTCRREGQKRKGRQGGYDIEDKAARAYDLAALKYWGANATTNFPKESYVKEIEEMQKMSKQELVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDVAALKFRGANAVTNFEPSRYNLEAISQSDLPISVSGRRHNSSSNSNNPAPEAGGQITLMSSPPISQQSSSAPPYLIHNLLQFQPCGPPYAPPPPPPPPPPPQALPLPGSYNFAEPVGFYWPYGDGEEQKVQLNSNMVGMASGGFLHLANAAN >ORUFI03G15630.1 pep chromosome:OR_W1943:3:10832563:10833357:-1 gene:ORUFI03G15630 transcript:ORUFI03G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAMSVDGSRRVGVICVATRGGGARSDTTGSCLSVSLVNGARDGGSRVVSPFSMVMMAGGGAKWVCSAPTCWYLKGRAGIARLILQPQVLVVLDVKRGGLSISSSISSMSGVHGGVTSRNTIALRGSGHLAICSRKLVLLRFGRELLGKPLLSPIKPKKNLRLNIKP >ORUFI03G15640.1 pep chromosome:OR_W1943:3:10835267:10840355:-1 gene:ORUFI03G15640 transcript:ORUFI03G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSRGGRQSGSSATRMASRARPGFPVAPPPPMGPPPPPPMPPVPVMYLRGVPPPPPWLPQHLIICGLDPAAAERTDAFRSKSLLNFISRTGVLPSPEEELKRQVVVRELDKIVMGWAKRVAYDQREQYWNTTATVLTFGSYALGAYGPESDIDAVCVGPCIASLQHHFFIVLRQMLEERPEVSDLHSIENAKAIHAFDPHLLAAVNEPSWRCLSGVRVNRQIMQLLPNIKKFQILLRCLKLWARKRGLHCHLLGFFAGIHLAILAAFVCIMHPHATLSSLFNSFFDIFSHWHWPLPVSLLDQPTPWRPHCCSFMPIVMPCSPPEFCASSITRSTFNKIKEELQRGFALTKGDRNGDINWTELFAPFPYTVRYKHFLRIVLSAPVAEELRDWVGWVKSRFRNLLLKLESIGVDCDPDPSEQADHSMIEPNVVFFWGLMYRTSTNICIDSVKEDFMKSVTNDIYGKEKCTHSDITMSIVWPTHLPKCVYAHSVYSQNRQNPRQFMMGNQLMNQDCNAVR >ORUFI03G15650.1 pep chromosome:OR_W1943:3:10845228:10849687:1 gene:ORUFI03G15650 transcript:ORUFI03G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQPLLKAPAAAAASLVAAAAAHRATAAAAAPSGASRVPPRRLRCSASGAAAVEVRAPMDWATRSLEEMQRAEDFDSFCLMGLSPLDGRYERFTRDLKPFFSEFGLIRYRVIVEVKWLLKLSQIPEINEVPPFSKEAQLFLDAIIQDFSVADAKEVKKIEKTTNHDVKAVEYFLKQKCSSNPEIAKVLEFFHFGCTSEDINNLSHALALKEGVNTVMFPVMMDICKAMCSLATQNSTIPMLSRTHGQPASPTTLGKEMANFAARLSSIGNSFSEVKILGKFAGAVGNYNADVVAYPEVDWPKVAEDFVKSLGLEFNPYVTQIEPHDYISKLFNLFVQFNNVLTDFDRDMWTYISLGYFKQIVKAGEVGSSTMPHKVNPIDFENSDGNLTIANGTLSSLSMKLPISRMQRDLTDSTVLRNLGVGLGHSLLAYKATLRGINKVQVNESRLSEDLDQTWEVLAEPIQTVMRRYGIPEPYEKLKEMTRGQAVTKDSIRQFIEDLDLPEAARSSLLKLTPHSYIGEAEFLARNIEEVVDLKSGFKIE >ORUFI03G15660.1 pep chromosome:OR_W1943:3:10852232:10852865:-1 gene:ORUFI03G15660 transcript:ORUFI03G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLVSMLRWPPDLGVPSLAALLPSSAAGNYAGAPALRGWHWQHWWPERLGSAVRRWPELVQDFSPVVDAVLWGLVTAIESVALFSMSSEAEEQQAPLIVLGDEMFGERD >ORUFI03G15670.1 pep chromosome:OR_W1943:3:10859019:10859498:-1 gene:ORUFI03G15670 transcript:ORUFI03G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRFSFSLLPILSLPFGMRAWLIPLPSEDRHTPIDKLAATVQSCRFAACKHRPLMPIPPPQRMTAATKSSSSTYGGGGGGGNGGGVLQRRQRRRDEVAPSGEVELAEDTCAGMSSSAMTTASLAHPSAELGGSKLKLYSMSSGLASIRLWSVRPASTS >ORUFI03G15680.1 pep chromosome:OR_W1943:3:10872333:10876157:1 gene:ORUFI03G15680 transcript:ORUFI03G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDETSVSAKLGRAATTNGSAAAAAANPSINRWTGRPYSARYLEILEKRRTLPVWQQKDDFLAVLRDNQTLILVGETGSGKTTQIPQFVLEAEGLSNRSMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSHKTVLKYLTDGMLLREAMADPLLERYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQTYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKINKEINNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPSREGGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNDDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTPLGETMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSVPNCFLRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPQWCYENFINARALKSADNVRQQLVRIMTRFNLKMCSTDFNSREYYVNIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTTRNFIRTVTDIRGDWLIDVAPHYYDLSNFPSCEAKRVLERLYNKRERERAANRN >ORUFI03G15680.2 pep chromosome:OR_W1943:3:10872338:10876157:1 gene:ORUFI03G15680 transcript:ORUFI03G15680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLREAMADPLLERYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQTYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKINKEINNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPSREGGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNDDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTPLGETMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSGMSNKTSCRHMVCQLNYIVRNNNTRTILLASIAHCRKLTSFLS >ORUFI03G15680.3 pep chromosome:OR_W1943:3:10872333:10874646:1 gene:ORUFI03G15680 transcript:ORUFI03G15680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDETSVSAKLGRAATTNGSAAAAAANPSINRWTGRPYSARYLEILEKRRTLPVWQQKDDFLAVLRDNQTLILVGETGSGKTTQIPQFVLEAEGLSNRSMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSHKTVLKYLTDGMLLREAMADPLLERYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQTYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKINKEINNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPSREGGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNDDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTPLGETMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSEL >ORUFI03G15690.1 pep chromosome:OR_W1943:3:10877213:10881927:-1 gene:ORUFI03G15690 transcript:ORUFI03G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIASAGGIAMAASTSLTPGQVSALLGFLWVFTAWAYAEVLYYRKNAASIKAHSDVNLAVMDSSSNKGEDQVMLLEEGVQAPVQKPVYASLTSQMFRSEFGGHLLYFYICDRTNLLGESAKNYSRDMFLFLYFLLIIVAAMTSFKVHQDKSSFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFNAKEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFFVAFCCIVLNNDYTLYYICPMHTLFTLMVYGALGILNKYNEIGSVMAIKFVACFLVVILIWEIPGIFEIVWSPFTFLLGYTDPSKPDLPRLHEWHFRSGLDRYIWIVGMIYAYYHPTVEKWMEKLEEAETKTKLYIKALIVSIALTAGCLWYEYIYKLDKITYNKYHPYTSWIPITSKVPNGQPKWLLTIIPNYPMLNFMLTTAIYVAVSHRLFELTNTLKIAFVPSRDNKRLSYNFVAGIAISVALYSLSFLIVGVAGY >ORUFI03G15700.1 pep chromosome:OR_W1943:3:10886988:10896237:1 gene:ORUFI03G15700 transcript:ORUFI03G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVTLLDAHLARCSSARHLLQIHAQFVASGLLADAFAASRLILFTTSTRLLPLPFHHSLRLLHVVHRPNAFSCNMVLKAAREHGLPHLCLPLYASMSAAPDCYTHTILAAACATRRAIEEGRQVHCHAVRHGFGRNLYLANALMSMYSACGCLGDARKVFDAGPVWDAVSWNTILAAYVQAEDVDQAVGVFARMPERGAAAVSSMVSLFGRRGMVDEARKVFDGVERKDVFTWTAMISCFQRNGKFAEALALFSDMRGEGWPVDEAVMVCVVAACARLEVTRNGEMCHGLAFRAGLGSRLNVQNALIHMYSSFLNVVAARRLFDSGQCLDQFSWNSMIAGYLKNGSVKDAKELFTVMPDKDNVSWTTMISGCVQNDQSSEALTIFNNMQAQGIKPDEVTLVSVISACTNMSSLEQGKSVHEYIREHQYTITVILGTSLIDMYMKCGCLESALEVFDTMEERGTPCWNAVIVGLAMNGLVMKSLDMFSEMESSSTATPNEITFTGVLSACRHAGLVEEGQHFFKLMQHKYHIIPNIRHYGCMVDLLGRAGYVKEAENLIESMPMSPDVPAWGALLGSCWKHGDNEVGERVGRKLVNLDPHHDGFHTMLSNIYASEGMWQHVKDLRGSMKQWHVPKIPGSLVKGGGKNKEARMACYL >ORUFI03G15710.1 pep chromosome:OR_W1943:3:10900314:10903638:1 gene:ORUFI03G15710 transcript:ORUFI03G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRGSIAFFASYRPPVALDIFCCPAPPSSPKDELHLTDGDSYNYNCQPIPPAALKTVVERLGVSRGDAVEGDIDSGRITGLVFVSEREHNLETLHIALRFNDDGEVQVFSLADAYGGDGLFSGARMEDSGCIAGGYEVDGRTVDHYLVYVSTKEPVRERRSPWNVAYKTNLRTGETERLTPPGTFDISPSVSPSGKKVAVASYQGKKWDGEIKNLNTNIYVMSLENPSQDRERVIENGGWPSWGSEDVIFFHRKDGDGENSCWGVFRHTLSTGETVRVTPAAFDSVTPAAIDETRVAVAAIRQKSEFSDVRVEAQYRHIEVFDMRSPEQPMQITRNTRPKADHFNPFVMDGGKFIGYHRCKSELLQHGDDLPRKFHKLQSPHEDVGVFRVSGVFPTFSKDGSQLAFVDNEFKSVWLADSQGMRVVFKTDGPDSVFSPLWNSKKDILYVCMGPSFKASETLEIHSIHNVSTGDRKSRQLTFGGFNNAFPSTNPDGTKFVFRSTRDGGAKYSKNLYIMEDADAGEGEDGSQSTVTRMTAGEWTDTHCQWSPNGKWIVFSSNRDRPADAPERDHGLDPGYFAVYLMDVASRSVVRVIRSGYDVAGHVNHPVFSPDGRSIAVTSDLAAVTADPMSLPLFLHSVRPYGDIFTVDIDPDDMARNEDVEGFVRVTHSRYENSTPAWTVFSTHDPHAQWNLLVVEDEHVPSCPYAHPDGGESWHMTGQICIPKRHC >ORUFI03G15720.1 pep chromosome:OR_W1943:3:10904289:10904594:1 gene:ORUFI03G15720 transcript:ORUFI03G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSMPPALTGGSAPPSLCSLCQAIVLLPHLSISVILTTRAKEHSRSFRVEMGNVSDRSSSTASCGNNLPIYSLDSFEYHIDEEVEAIVSCAMPMSTKTAVL >ORUFI03G15730.1 pep chromosome:OR_W1943:3:10905220:10906831:1 gene:ORUFI03G15730 transcript:ORUFI03G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLTVAGAGAAALSLRPQILLRITQATPLMPPRRLQSGPSKIQTSGARAHLAAAPASTPPAAGGGLYSAETYELTAENVDRVLDDVRPYLIADGGDVTVASVEDGVISLKLEGACGSCPSSTTTMKMGIERVLKEKFGDAVKDIRQVFDDDQQPAETTPQAVNGHLDILRPAIANYGGSVEVVAVDGEDCLVRYEGPESIGSGIKAAIKEKFPDITNVVFLQ >ORUFI03G15740.1 pep chromosome:OR_W1943:3:10916087:10921603:-1 gene:ORUFI03G15740 transcript:ORUFI03G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAISHLRRGAPRHARALLYLTTRRFSSSSAAGVAPLAAVAASARRLLSTSVDSGASSTGESYKPPLFDPFRAASLASSAPPLESPPIEELPDDATPPPEEEPGLPAPEKDPVATACQHELEGLKAWVETVRSRKESTEEKEAWSLLGRSVVSYCGTAVGTVAANDPSTANQMLNYDQVFIRDFVPSAIAFLLKGEGDIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRSIPLDGNSEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIRLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQSLFYSALRCAREMVSVNDGSNSLIRAINYRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLADWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAIISSLATQRQAEGILNLIEAKWEDIIANMPLKICYPALEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRRDLAQRAIEVAEKRLSEDKWPEYYDTRTGRFIGKQSRLYQTWTIAGYLSSKMLLDCPELASILICEEDLELLEGCACSVNKSARTKCSRRAARSQLTESPEVMRARHAVQLLHPALFRSKQSWDQLNTVVGEQKSIQQNLEDVKKKKLKYGKSLKASAMKNKDKTLMQDLYCI >ORUFI03G15740.2 pep chromosome:OR_W1943:3:10916996:10921603:-1 gene:ORUFI03G15740 transcript:ORUFI03G15740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAISHLRRGAPRHARALLYLTTRRFSSSSAAGVAPLAAVAASARRLLSTSVDSGASSTGESYKPPLFDPFRAASLASSAPPLESPPIEELPDDATPPPEEEPGLPAPEKDPVATACQHELEGLKAWVETVRSRKESTEEKEAWSLLGRSVVSYCGTAVGTVAANDPSTANQMLNYDQVFIRDFVPSAIAFLLKGEGDIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRSIPLDGNSEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIRLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQSLFYSALRCAREMVSVNDGSNSLIRAINYRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLADWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAIISSLATQRQAEGILNLIEAKWEDIIANMPLKICYPALEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRRDLAQRAIEVAEKRLSEDKWPEYYDTRTGRFIGKQSRLYQTWTIAGYLSSKMLLDCPELASILICEEDLELLEGCACSVNKSARTKCSRRAARSQLTESPEVMRARHAVQLLHPALFRSKQSWVKLSGPYIHTN >ORUFI03G15750.1 pep chromosome:OR_W1943:3:10942629:10942973:-1 gene:ORUFI03G15750 transcript:ORUFI03G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLEAAKNARARDSRGGSYTCTGASRTAVHGPAYRQRESHARMGVPRRTSPAGTIQRRAFAGWNASTHHTSSAAPPRPIATRLAVPCRLRGPPHQQRGTAEADCHEARGRGCQ >ORUFI03G15760.1 pep chromosome:OR_W1943:3:10942807:10943505:1 gene:ORUFI03G15760 transcript:ORUFI03G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGLVLLGTPILAWLSLCLYAGPCTAVRDAPMHLHIHVHYYFDFFVNSAASCSVLMLEGEGHVALIWTYGIYDLVYTVAFQDYFLRVCDMVNANLATSCAKCSASCAASAGGLHEPHSNLSSDASRDTLLRRGHRCVTRCSTAASPSSSCGTMDLPSAQDALRASVGAAAPGAAT >ORUFI03G15780.1 pep chromosome:OR_W1943:3:10984234:10988198:-1 gene:ORUFI03G15780 transcript:ORUFI03G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAQHEERERERMCQLFDEASERCRTAPMEGVSFSPEDLDSAVESTDIDTDIGSLIKGTVFMTTSNGAYVDIQSKSTAFLPLDEACLLDVNHIEEAGIRAGLVEEFMIIDENPGDETLILSLQAIQQDLAWERCRQLQAEDVVVTGKVIGGNKGGVVALVEGLKGFVPFSQVSSKSTAEELLDKELPLKFVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFIDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQIFRQRIAQAEAMARADMLRFQPESGLTLSSEGILGPLSSDTPSEGSGEGQTTDE >ORUFI03G15780.2 pep chromosome:OR_W1943:3:10984234:10988198:-1 gene:ORUFI03G15780 transcript:ORUFI03G15780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAQHVAGLASPPLSGAPRRRPAAPTRPSALVCGTYALTKEERERERMCQLFDEASERCRTAPMEGVSFSPEDLDSAVESTDIDTDIGSLIKGTVFMTTSNGAYVDIQSKSTAFLPLDEACLLDVNHIEEAGIRAGLVEEFMIIDENPGDETLILSLQAIQQDLAWERCRQLQAEDVVVTGKVIGGNKGGVVALVEGLKGFVPFSQVSSKSTAEELLDKELPLKFVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFIDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQIFRQRIAQAEAMARADMLRFQPESGLTLSSEGILGPLSSDTPSEGSGEGQTTDE >ORUFI03G15790.1 pep chromosome:OR_W1943:3:10992890:10993141:-1 gene:ORUFI03G15790 transcript:ORUFI03G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERSIDVRGRSEIWMGSRKFFLDLYRNAGREVNSDILFVVPDHDCDFVYCRACKEKSISGLAEAEGTTA >ORUFI03G15800.1 pep chromosome:OR_W1943:3:10999760:11001582:1 gene:ORUFI03G15800 transcript:ORUFI03G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQLAGKMTAKAAAAVKPATRAYVTFLAGDGDYWKGVVGLAKGLRKVGSAYPLVVAVLPDVPESHRRILISQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFDNIDELFELPKGHFYAVMDCFCEKTWSHTPQYQIGYCQQCPDKVAWPTAELGPPPALYFNAGMFVHEPSMATAKSLLDTLRVTTPTPFAEQDFLNMFFREQYKPIPLIYNLVLAMLWRHPENVQLEKVKVVHYCAAGSKPWRYTGKEANMDREDIKMLVKKWWDVYNDGSLDFKGLPPIAAADDADEVEAAAKKPLRAALAEARTVKYVTAPSAA >ORUFI03G15810.1 pep chromosome:OR_W1943:3:11006048:11006710:1 gene:ORUFI03G15810 transcript:ORUFI03G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHDDDMFDFFSQSQAHHEAASVDADEYGFSQASSGHGGSGAGYHFPGRGSAGLDLNSQVEDFPDFGSYQQILQEDGGGIPPLFHATRSNVAPSRRPVPGSRGGRRGGRSRGRGRGRDGTIGAAAGNRMRGFVPMVRVLWVVGAPCPTVRVSSAVGAPCPTVRVSSAVGAPCHTVLRGMGASVEEDGMLGRPLPQPQLPKRRTTTLRTMMKTTTMVSTR >ORUFI03G15820.1 pep chromosome:OR_W1943:3:11016551:11016961:-1 gene:ORUFI03G15820 transcript:ORUFI03G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGNLQGQSLSDSRLPQGQRYAMINAKNANASNVPSDNSTLCFPGSLDSDKVHGKIVVYTRGVNARVEKGLVVKQAGSCDLSACGCEPARKRAVARKR >ORUFI03G15830.1 pep chromosome:OR_W1943:3:11017038:11017517:-1 gene:ORUFI03G15830 transcript:ORUFI03G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIGSSGGSGYGGRARREAAAGRRPDAGEELVGRSRPAGRGGGSRREVAAGQMRGRCSLGGHGWPSAGEELAGGRGPPDAGEGLVRAAGHGGGARSDDYLLDPIAISAFYTVQKGVTVVCSASNSSPQPGSVTKVLHGSLRSARAPWLMSPSAASRAA >ORUFI03G15840.1 pep chromosome:OR_W1943:3:11023748:11027248:1 gene:ORUFI03G15840 transcript:ORUFI03G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVEISHLSFTYPGIDGRPPPGAPPLIEDVCFSLDAGHRCLLLGSNGAGNSTTLSGPSVLSFLSPVRVLWSRGLILGGCWGVGKTTILKILGGKHMVDPSMVRVLGRSAFHDTALTSSGDLCYLGGEWRRDVAFAGYQLISLGEAWVNVTVVLQRIHILDIDLAWRMHKASDGQRRRVQICMGLLKPFKVCFILLVMEKMCHVCNLYPNNKVLLLDEITVDLDVLARANLLTYLKKECEERGATIIYATHIFDGLDDWPTHIVYIARGKLQLALPLEKVKEMSQLSLMRTVESWLRKERDEDRRRRKERKEKGLPEFDKVTEGSRVIGDPAARAVNNGWAAGRLASTVAGCKYHIVWWTFAVFACNMANKITRMSQQVTCKSYIDEDL >ORUFI03G15840.2 pep chromosome:OR_W1943:3:11023748:11027350:1 gene:ORUFI03G15840 transcript:ORUFI03G15840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVEISHLSFTYPGIDGRPPPGAPPLIEDVCFSLDAGHRCLLLGSNGAGNSTTLSGPSVLSFLSPVRVLWSRGLILGGCWGVGKTTILKILGGKHMVDPSMVRVLGRSAFHDTALTSSGDLCYLGGEWRRDVAFAGYQLISLGEAWVNVTVVLQRIHILDIDLAWRMHKASDGQRRRVQICMGLLKPFKVCFILLVMEKMCHVCNLYPNNKVLLLDEITVDLDVLARANLLTYLKKECEERGATIIYATHIFDGLDDWPTHIVYIARGKLQLALPLEKVKEMSQLSLMRTVESWLRKERDEDRRRRKERKEKGLPEFDKVTEGSRVIGDPAARAVNNGWAAGRLASTVAGCKYHIVWWTFAVFACNMANKITRMSQQVTCKSYIDEDL >ORUFI03G15850.1 pep chromosome:OR_W1943:3:11030689:11035979:1 gene:ORUFI03G15850 transcript:ORUFI03G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPGNDCFVGVTGDWIRLGVGLLLVLVPLEGYFCKNYSYLLVLDGYLMPGFGTSSSMGSASHPARIEYNTSSNVSSQYIYEQGLYYPATNGYAYYAGFEPPVEWSDHTNFVGVDGQNLQLSNENLPYVYCTPGYGFSYYSPDQYTYMPGMVMGVDGSFVGSQQYFASPYQLPGSPSGFFPMSIQPTTDFSSTVSAEPPLLSTGTGTSAVASRLANTSMKNKYQMSGNTAPASQTAPSGSPAVGRPQQAYENESTNKPSNPPDANMSRRDKSSTSLVTVPVDASSTDKDGKSDEGNQSKEHVQSIQVTSGPMSGESGQGKATSNSTLEKIMIHPDQYNKVHFPVDHPDAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKRLDAAYSDVNASGQFCGVAEMVGPVDFHKDMDFWQQDKWSGSFPVKWHLVKDVPNSTFRHIILENNENKPVTNSRDTQEIPFKSGTNMLKLFKDGPLTTSILDDFSFYEGRQKAMLEEKCRRSGRNFDVRMYVPAFIAKSSVVAVGEPSEVGKGQFSSKDLHSGDVEQDNGACEQPDKLNQMKDILATEALKTDGGAFVGQLEHAKTNQGSLDARVDHQSEHCSCSNPPENGERKPDSLSELVKLNGKSQRDSEAQPGINLSEPNYSSVKKGLPEEFCGQNPSNFMKEGGAGTVEDRKSTKFVTKSQGFPSSRVNKEAKGNGNEMARITTTGVVKVGSVHIKVNVAGEPSSEIIGDENGLP >ORUFI03G15850.2 pep chromosome:OR_W1943:3:11030476:11035979:1 gene:ORUFI03G15850 transcript:ORUFI03G15850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSAAAAAAERQLAMPGFGTSSSMGSASHPARIEYNTSSNVSSQYIYEQGLYYPATNGYAYYAGFEPPVEWSDHTNFVGVDGQNLQLSNENLPYVYCTPGYGFSYYSPDQYTYMPGMVMGVDGSFVGSQQYFASPYQLPGSPSGFFPMSIQPTTDFSSTVSAEPPLLSTGTGTSAVASRLANTSMKNKYQMSGNTAPASQTAPSGSPAVGRPQQAYENESTNKPSNPPDANMSRRDKSSTSLVTVPVDASSTDKDGKSDEGNQSKEHVQSIQVTSGPMSGESGQGKATSNSTLEKIMIHPDQYNKVHFPVDHPDAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKRLDAAYSDVNASGQFCGVAEMVGPVDFHKDMDFWQQDKWSGSFPVKWHLVKDVPNSTFRHIILENNENKPVTNSRDTQEIPFKSGTNMLKLFKDGPLTTSILDDFSFYEGRQKAMLEEKCRRSGRNFDVRMYVPAFIAKSSVVAVGEPSEVGKGQFSSKDLHSGDVEQDNGACEQPDKLNQMKDILATEALKTDGGAFVGQLEHAKTNQGSLDARVDHQSEHCSCSNPPENGERKPDSLSELVKLNGKSQRDSEAQPGINLSEPNYSSVKKGLPEEFCGQNPSNFMKEGGAGTVEDRKSTKFVTKSQGFPSSRVNKEAKGNGNEMARITTTGVVKVGSVHIKVNVAGEPSSEIIGDENGLP >ORUFI03G15860.1 pep chromosome:OR_W1943:3:11040450:11044775:1 gene:ORUFI03G15860 transcript:ORUFI03G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPPVRFLPSSAAPAVVAANARIAHLARAGNIEGARAAFEAMPLRTTASYNALLAGYFRNRLPDAALGLFRRMPSRDLASYNALISGLSLRRQTLPDAAAALASIPFPPSVVSFTSLLRGYVRHGLLADAIRLFQQMPERNHVSYTVLLGGLLDAGRVNEARRLFDEMPDRDVVAWTAMLSGYCQAGRITEARALFDEMPKRNVVSWTAMISGYAQNGEVNLARKLFEVMPERNEVSWTAMLVGYIQAGHVEDAAELFNAMPEHPVAACNAMMVGFGQRGMVDAAKTVFEKMRERDDGTWSAMIKAYEQNEFLMEALSTFREMLWRGVRPNYPSVISILTVCAALAVLDYGREVHAAMLRCSFDMDVFAVSALITMYIKCGNLDKAKRVFHTFEPKDIVMWNSMITGYAQHGLGEQALGIFHDMRLAGMSPDGITYIGALTACSYTGKVKEGREIFNSMTVNSSIRPGAEHYSCMVDLLGRSGLVEEAFDLIKNMPVEPDAVIWGALMGACRMHRNAEIAEFAAKKLLELEPGNAGPYVLLSHIYTSVGRWEDASKMRKFISSRNLNKSPGCSWIEYDKRVHLFTSGDVLAHPEHAAILRILEKLDGLLMESGYSADGSFVLHDIDEEQKSHSLRYHSERQAVAYGLLKIPEGMPIRVMKNLRVCGDCHSAIKLIAKITSREIILRDANRFHHFKDGFCSCRDYWIQVSKRPFDREVHHPLQANCIWY >ORUFI03G15870.1 pep chromosome:OR_W1943:3:11050098:11062022:1 gene:ORUFI03G15870 transcript:ORUFI03G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLEKMLLLLSLLAVIAVVARCDAAALRLHATHADAGRGLSTRELLHRMAARSKARSARLLSGRAASARVDPGSYTDGVPDTEYLVHMAIGTPPQPVQLILDTGSDLTWTQCAPCVSCFRQSLPRFNPSRSMTFSVLPCDLRICRDLTWSSCGEQSWGNGICVYAYAYADHSITTGHLDSDTFSFASADHAIGGASVPDLTFGCGLFNNGIFVSNETGIAGFSRGALSMPAQLKVDNFSYCFTAITGSEPSPVFLGVPPNLYSDAAGGGHGVVQSTALIRYHSSQLKAYYISLKGVTVGTTRLPIPESVFALKEDGTGGTIVDSGTGMTMLPEAVYNLVCDAFVAQTKLTVHNSTSSLSQLCFSVPPGAKPDVPALVLHFEGATLDLPRENYMFEIEEAGGIRLTCLAINAGEDLSVIGNFQQQNMHVLYDLANDMLSFNGKAPIAMSKGNAAAKQDQLVAKAVTSNKHAITEMTKQPIKMQKLVLLIPALLAALAINSCCNAAAAPVRMQLTHVDAGRGLSGRELMRRMALRSKARAPRLLSSSATAPVSPGAYDDGVPMTEYLLHLAIGTPPQPVQLTLDTGSDLVWTQCQPCAVCFNQSLPYYDASRSSTFALPSCDSTQCKLDPSVTMCVNQTVQTCAYSYSYGDKSATIGFLDVETVSFVAGASVPGVVFGCGLNNTGIFRSNETGIAGFGRGPLSLPSQLKVGNFSHCFTAVSGRKPSTVLFDLPADLYKNGRGTVQTTPLIKNPAHPTFYYLSLKGITVGSTRLPVPESAFALKNGTGGTIIDSGTAFTSLPPRVYRLVHDEFAAHVKLPVVPSNETGPLLCFSAPPLGKAPHVPKLVLHFEGATMHLPRENYVFEAKDGGNCSICLAIIEGEMTIIGNFQQQNMHVLYDLKNSKLSFVRAKCDKL >ORUFI03G15880.1 pep chromosome:OR_W1943:3:11081589:11098349:1 gene:ORUFI03G15880 transcript:ORUFI03G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQPIQPRKLALWIVSLLAALDVSRCNAAATVRMQITHVDAGCGLAGRELMQRMALRSRARAARLLSGSASAPVSPGAYDNGVPTTEYLVHLAIGTPPQPVQLTLDTGSDLVWVWTQCCKPCVSCFDQPLPYFDTSRSSTNALLPCESTQCKLDPTVTVCVKLNQTVQTCAYYTSYGDNSVTIGLLEADKFTFVAGTSLPGVTFGCGLNNTGVFNSNETGIAGFGRGPLSLPSQLKVGNFSHCFTTITGAIPSTVLLDLPADLFSNGQGAVQTTPLIQYAKNEANPTLYYLSLKGITVGSTRLPVPESAFALTNGTGGTIIDSGTSITSLPPQVYQVVRDEFAAQIKLPVVPGNATGHYTCFSAPSQAKPDVPKLVLHFEGATMDLPRENYVFEVPDDAGNSIICLAINKGDETTIIGNFQQQNMHVLYDLQNNMLSFVAAHIFHIQIDVNESRHQFECGKCYNDLHCETEGVQSPVVAAAAACSPPVAAPCVWRCAARPAQRGGSRAGIPGGFRRRLAHFLGVLAAPDHRHAAAARAADARHRQRRSDLIWTKCLPCRACFDQALPYFDRSTSSTLLLTSCDSTLCQGLLVASCGNTKFWPNQTCVYTYYYNDKSVTTGLIEVDKFTGVFTSNETGIAGFGRGPLSLPSQLKVGNFSHCFTAVNGLKQSTVLLDLPADLYKNGRGAVQSTPLIQNSANPTFYYLSLKGITVGSTRLPVPESAFALTNGTGGTIIDSGTSITSLPPQVYQVVRDEFAAQIKLPVVPGNATGPYTCFSAPSQAKPDVPKLVLHFEGATMDLPRENYVFEVPDDAGNSMICLAINELGDERTTIGNFQQQNMHVLYDLQNKHRAGGRWGEVGKGGRRRAVRWHGDMGEGATRRRRAGNGGRRDVKTTLGEMTRGTGGALRGSPALGDDDGLGASRCRTRWEAVLACASRRSASISGCRCSTTALTRPSAPPTSARRRRSGARRRPEAQQGRSSTATCDCSGDPERGKGASSSGDPTASPYPSPRPCRGGVLGRRVVRPATQPVEMQNLAFVIVTLLAALAISRCNAAATVRMQLTHADAGRGLAARELMQRMALRSKARAARRLSSSASAPVSPGTYDNGVPTTEYLVHLAIGTPPQPVQLTLDTGSDLIWTQCQPCPACFDQALPYFDPSTSSTLSLTSCDSTLCQGLPVASCGSPKFWPNQTCVYTYSYGDKSVTTGFLEVDKFTFVGAGASVPGVAFGCGLFNNGVFKSNETGIAGFGRGPLSLPSQLKVGNFSHCFTAVNGLKPSTVLLDLPADLYKSGRGAVQSTPLIQNPANPTFYYLSLKGITVGSTRLPVPESEFALKNGTGGTIIDSGTAMTSLPTRVYRLVRDAFAAQVKLPVVSGNTTDPYFCLSAPLRAKPYVPKLVLHFEGATMDLPRENYVFEVEDAGSSILCLAIIEGGEVTTIGNFQQQNMHVLYDLQNSKLSFVPAQCDKL >ORUFI03G15890.1 pep chromosome:OR_W1943:3:11098490:11104945:-1 gene:ORUFI03G15890 transcript:ORUFI03G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAVSASPAPAPASFRPAAAASRFGPCAQVAGPAANFRTRVCGLRCLIAAKLKFRKALKRHGWQLRRNLEVRANDKVPDWLEATPLTENIMSRDVQLAYDSGGETSNTCPDTLDSSVIEQSSMHHKLNPSETHPPVLKEDPVLFDDPPESAAPLCIAVIGATGELAKNKVFPALFALYYSGFLPQNVGIFGYSRKTLTDEDLRSMIEANLTCRVDHHENCDEKLNEFLKRTYYIDAGYDNKDGMVKLNSKMSQIEGNCAANRIFYLAVPQEALLDVALSLADSAQTMQGWNRIIIEKPFGFTGLSSHRVTQSLLSRFEEEQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETAAEIQGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRDEKVKVLRSIRQVNLEDVVLGQLKDTSVKVDRYTKSLTPTYFAAAMYIDNSRWDGVPFLIKTGIGLMENRAEIRIQFRHVPGNIYRERFGHDIDLDTNELILRDQPEEAILLKVNNKVPGLGLQLDASELNLLYRDRYNVELPDLYEHLLLDVLDGDSHLFMRSDEVAAAWNVLTPLIHEIDQNRIAPELYEAGGRGPVNAYYLAAKHGIAPPGAAEAEKCILHGKEFDTASNRD >ORUFI03G15890.2 pep chromosome:OR_W1943:3:11098512:11104945:-1 gene:ORUFI03G15890 transcript:ORUFI03G15890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAVSASPAPAPASFRPAAAASRFGPCAQVAGPAANFRTRVCGLRCLIAAKLKFRKALKRHGWQLRRNLEVRANDKVPDWLEATPLTENIMSRDVQLAYDSGGETSNTCPDTLDSSVIEQSSMHHKLNPSETHPPVLKEDPVLFDDPPESAAPLCIAVIGATGELAKNKVFPALFALYYSGFLPQNVGIFGYSRKTLTDEDLRSMIEANLTCRVDHHENCDEKLNEFLKRTYYIDAGYDNKDGMVKLNSKMSQIEGNCAANRIFYLAVPQEALLDVALSLADSAQTMQGWNRIIIEKPFGFTGLSSHRVTQSLLSRFEEEQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETAAEIQGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRDEKVKVLRSIRQVNLEDVVLGQLKDTSVKVDRYTKSLTPTYFAAAMYIDNSRWDGVPFLIKTGIGLMENRAEIRIQFRHVPGNIYRERFGHDIDLDTNELILRDQPEEAILLKVNNKVPGLGLQLDASELNLLYRDRYNVELPDLYEHLLLDVLDGDSHLFMRSDEVAAAWNVLTPLIHEIDQNRIAPELYEAGGRGPVNAYYLAAKHGIVKIVSPDCTTRGSGGGEMHVTPKFTWQGI >ORUFI03G15900.1 pep chromosome:OR_W1943:3:11105526:11109571:-1 gene:ORUFI03G15900 transcript:ORUFI03G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSTVLDTDDKNQMAHHFDINFVKNLIVKVDRQSGAIVPSNSSDRSDRSDKPMDQKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLASLEQEINKARQQGIYISSSGDQTHAMSGNGAMTFDLEYARWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFRLKGVAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLLGLSNLQQSSQQAEDALSQGMEALQQSLADTLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQIVQLY >ORUFI03G15910.1 pep chromosome:OR_W1943:3:11127181:11127714:1 gene:ORUFI03G15910 transcript:ORUFI03G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSMDRLSVHHNGGGISKPCHGGGKGGGGGGKGSRGGGGKGIKVVYISSPMKLTASAEEFRAVVQELTGRDSNVADHDLDHHHHHHQQQRYHSFSSSSFGRASMMMPAAAAGGVVPRSSMPPTMATANAAGAGRMMMATADATGGGAAGVMATAAPMPFQSVYDHGSLLYGQDYW >ORUFI03G15920.1 pep chromosome:OR_W1943:3:11136867:11141734:1 gene:ORUFI03G15920 transcript:ORUFI03G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGREEDPAAAAGEGDVEDSSVRSSERGFPPYGGGGNHVRRACSVGVVGGGGGAGSPPGSPGRSLSPRMFVPQTPVPPLQRAADVTPVFNRILMNEQEEEFDGPPQKEIPVLIVWTLGGKNVSVEGSWDNWKSRKPMQKAGKDHSLLLILPSGVYRYRFVVDGERKCLPDLPCETDIMGNAVNLLDVHDFVPESVESVAEFEPPPSPDSSYSIQAPEEKDFSKEPPVLPSQLHLGVLNSQNSDESCARPQHIVLNHLFIEKGWGAHPLVALGLTHRFESKYVTVVLYKPIER >ORUFI03G15930.1 pep chromosome:OR_W1943:3:11147003:11148427:1 gene:ORUFI03G15930 transcript:ORUFI03G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPESAHRHGASSSSSGAPRRRSVGCMAGLLRLLSPYHRSHHRKRLTAKNAAPPVVCTPPSPPPPFRQKVPVATYSPSSQGQPPQQQMHPAPTPVRRRRSCDAPRSPTIAPEPRRASCHSPRPTPPAIVARLMGLEESAPPSPAATTPRPLPTRPPPPPPETAAEKRRKLLGALEKCDEDLKTLRRIIAAVRAAEMRAAAASDAPPTPEATGKGSDNRWKDDGSRDVDPSPSPTPQKPRSEEHYPSPDSVLDAITSPRFPCRKRSSPCTDLDADRKLSCGTPAVGSKIVKPSRTLVFSGDYCKIKPCNELHAVAMYHHPVVAIEAIPRWIPPPPPPSSEISWRHRRRWGLEAAAASGRSRAMAESVGEVWGHGADEERHEAGRVGAALERAILHDLVGDVVAEMLAQSAAPTPHPFVHGAGAAMCRKRLVF >ORUFI03G15940.1 pep chromosome:OR_W1943:3:11151663:11154326:1 gene:ORUFI03G15940 transcript:ORUFI03G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >ORUFI03G15950.1 pep chromosome:OR_W1943:3:11155736:11159418:-1 gene:ORUFI03G15950 transcript:ORUFI03G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAKRAALSPKVKRRVGKYELGRTIGEGTFAKVRFAKNTENDEPVAIKILDKEKVQKHRLVEQIRREICTMKLVKHPNVVRLFEVMGSKARIFIVLEYVTGGELFEIIATNGRLKEEEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDASGNLKVSDFGLSALTEQVKADGLLHTTCGTPNYVAPEVIEDRGYDGAAADIWSCGVILYVLLAGFLPFEDDNIIALYKKISEAQFTCPSWFSTGAKKLITRILDPNPTTRITISQILEDPWFKKGYKPPVFDEKYETSFDDVDAAFGDSEDRHVKEETEDQPTSMNAFELISLNQALNLDNLFEAKKEYKRETRFTSQCPPKEIITKIEEAAKPLGFDIQKKNYKMRMENLKAGRKGNLNVATEVFQVAPSLHVVELKKAKGDTLEFQKFYRTLSTQLKDVVWKCDGEVEGNGAAA >ORUFI03G15960.1 pep chromosome:OR_W1943:3:11170150:11170574:1 gene:ORUFI03G15960 transcript:ORUFI03G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVDQKVPLPASISGLQSSARTDLRPATSRYRRIKCSDFTSRSQKLAKQHHATRICPTIWADCNMLTSSTAGQNCNGQLDKTAATFSEAMYENPIMAFNYTINMERPSILRYVTLIN >ORUFI03G15970.1 pep chromosome:OR_W1943:3:11176415:11178635:-1 gene:ORUFI03G15970 transcript:ORUFI03G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPPPSRGRIRPWLVVGDLVVAAMWVCAGALVKLAVYGVLGLGGRPEADAVKVALSLVYMFFFAWLEGFTGGASYNPLTVLAGALASRAGPSLYLFAAFVFGSILGVKLIRAALPKVGKGAPLSVGVHHGALAEGLATFMVVIVSVTLKKKEMKGFFMKTWISSIWKMTFHLLSSDITGGVMNPASAFAWAYARGDHTTFDHLLVYWLAPLQATLLGVWVVTLLTKPKKIEEEADESKTKKE >ORUFI03G15980.1 pep chromosome:OR_W1943:3:11179667:11188027:-1 gene:ORUFI03G15980 transcript:ORUFI03G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPARCPLSPQSGIDEVAPRPSKRMGVRRGRLDSSLFHMLVLVCALSQVLFVGLVTGQTAQLSVDASSQNGRTIPDKMFGIFFEELNHAGAGGLWAELVSNTGFEAGGINTPSNIDPWLIIGDESNIIVETDRSSCFASNPIALRMEVLCGATGTNACPSGGVGIYNPGYWGMNIEKTKVYKVSMYIRSSDSVDLAVSLTSSDGLQNLATHTITAEKGDFAGWTKVEFDLQSSERNTSSRLQLTTTKNGIIWFDQVSVMPSDTYMGHGFRKDLATMLANLKPRFLKFPGGNYVMGNYLLNAFRWSETIGPWEERPGHFNDVWNYWTDDGLGFFEFLQLAEDLDACPVWVINDGASLNEQIPSATIAAFVKDVVDGIEFARGDPKTTWGSVRAAMGHPEPFPLYYISVGNQECSKPYYKEKYVKFYSAIKASYPDIKIISSCDISSISAVNPADLYDVHVYTSSGDMFSKTRMFDSTPRSGPKAFVSEYAVTGNDAGRGTLHYGCPNYWMLHFFKDSSGATLHPLTIQVSNYDQLAASALTWQNSNDGNTYLKIKVVNFGNKAVNLNIAVAGLENGIQEFGSIKTVLTSGWLRDENSFQQPDKMGVIVDPYSLTSFDLLLDTNTDKYPLLESSFHSSM >ORUFI03G15980.2 pep chromosome:OR_W1943:3:11179667:11188027:-1 gene:ORUFI03G15980 transcript:ORUFI03G15980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPARCPLSPQSGIDEVAPRPSKRMGVRRGRLDSSLFHMLVLVCALSQVLFVGLVTGQTAQLSVDASSQNGRTIPDKMFGIFFEELNHAGAGGLWAELVSNTVQVTGFEAGGINTPSNIDPWLIIGDESNIIVETDRSSCFASNPIALRMEVLCGATGTNACPSGGVGIYNPGYWGMNIEKTKVYKVSMYIRSSDSVDLAVSLTSSDGLQNLATHTITAEKGDFAGWTKVEFDLQSSERNTSSRLQLTTTKNGIIWFDQVSVMPSDTYMGHGFRKDLATMLANLKPRFLKFPGGNYVMGNYLLNAFRWSETIGPWEERPGHFNDVWNYWTDDGLGFFEFLQLAEDLDACPVWVINDGASLNEQIPSATIAAFVKDVVDGIEFARGDPKTTWGSVRAAMGHPEPFPLYYISVGNQECSKPYYKEKYVKFYSAIKASYPDIKIISSCDISSISAVNPADLYDVHVYTSSGDMFSKTRMFDSTPRSGPKAFVSEYAVTGNDAGRGTLHYGCPNYWMLHFFKDSSGATLHPLTIQVSNYDQLAASALTWQNSNDGNTYLKIKVVNFGNKAVNLNIAVAGLENGIQEFGSIKTVLTSGWLRDENSFQQPDKMGVIVDPYSLTSFDLLLDTNTDKYPLLESSFHSSM >ORUFI03G15990.1 pep chromosome:OR_W1943:3:11195544:11199144:1 gene:ORUFI03G15990 transcript:ORUFI03G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNQHKASDPQDSMVHLDLDEDKIMVTSALPCPSMSVGKSVMRKRGRPSRHARGTSLSSVTPEGCKKMEGRSYNLRSDSTILLRNSCLLIADGSTKQKRSWGLDKDDLHIPFFQISDNPREAVDDILMTFGGLHRRIMQLIDVKMASKQLVFQALNLMRKAGYHVNKDKRVGEVPGVKIGDIFYSRIEMLLVGLHSNINGGIEFMSGAFVNKEDKIATCIVSFGMYENGDDDPYTLVYNGQGKVHHKLERGNYSLNQSFIRRNHIRLIRSEPNPLVRLGSKEKIYIYDGLYKIEEKYRQTTKSRSNLKFKLVRELGQPNGIVVWKNTQKWRENPSCRDHVITPDMSNGAEIARVCVVNNIDSEDAPNNFTYSTKLDNGNHMVSANKMCVCKCTSSCLGEDNCSCLKTNGSYLPYNSSGILVCRKTMIYECNDSCACTINCSNRVVQRGSYLHFEVFKTMDRGWGLRSWDPIPAGAFVCEYVGVVIDKDSLVEEDEYIFEPVMYDHGDEGYPHIAFFAIKNIPPMTELTYDYGQSNGSGCRRPKICICQSHMCKGTFG >ORUFI03G15990.2 pep chromosome:OR_W1943:3:11195510:11195937:1 gene:ORUFI03G15990 transcript:ORUFI03G15990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLPIPFLVIFVVPRTHLHPHALPLLPLPFTLHTTLLLPYIRYLRLLPYHLSLPTPSHPVLDQIHLLLSLPSIHPISFTKATTPPHHHLLNHPVCAQWKVTNTKHQIHRILWFI >ORUFI03G16000.1 pep chromosome:OR_W1943:3:11205032:11205679:-1 gene:ORUFI03G16000 transcript:ORUFI03G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASCVGVAPAALLSRSFSDAAAIARALHFSLCDSSPLPEPTTHQYVAATDVDLGACGVAVAAPTPAVSSENAVVLKTRASLSPSARCRLGPAGGGRAGKRRPRPSKRAPTTYISTDAATFRIMVQQVTGAQVEPQDDACLGLLMPPPPFDVVDPAALLPADTAACAGAAHVATCVPHPLHAAAAAAAAVAAAEQPCFPTLDSWNVMYGKDEVV >ORUFI03G16010.1 pep chromosome:OR_W1943:3:11222293:11227536:1 gene:ORUFI03G16010 transcript:ORUFI03G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLILCLSIWAASAAAAMAGTDMEALLEFGRGIRQDSSGHRATPWNPTSALDSDGCPLDWHGVQCSNGQILSIAFDGAGLVGNVSLSALASMPMLQNLSLSNNKLVGVLPRDLGSMTSLQLLDLSNNMFSGQIPAELTKLANLGHLNLSSNGFGGALPLGLRNLRKLKYLDLRGNGFTGKLDDIFAELQSPVHVDLSCNRFSGSLISISDNSSVVSTLQYLNVSHNMLSGALFESDPMPLFDSLEVFDASYNMLEGNIPPFNFVISLKVLRLQNNNFSGSIPEALFRQTSMVLTELDLSCNQLTGPIRRVTSMNLKYLNLSSNSLQGTLPITFGSCSVVDLSRNMLSGNLSVIRTWGNYIETVDLTSNRLTGTWPNETTQFLRLTSLRISDNLLAGELPTVIGTYPELISIDLSLNQLHGPLPGNLFTAVKLTYLNLSGNSFAGTLPLPNSEAKSSTFIDLLVLPVQTSNLSFVDLSNNSLNGSLPSGIGALSGLALLNLCQNNFSGQIPREITKLKHLIYIDLSKNNFNGTIPEDLPDDLVEFNVSYNNLSGSVPSNLLKFPDSSFHPGNELLVLPHSASQNGPDSSGGGRRGMKRGILYALIVCVVVFVTGIIVLLLVHWKISSWKSSEKGTSQSKQPATVGECSQRQGEAPTPEMQEVSLESSSSTEYVGNPLPGKERQHEAQDVSVHADQIGSSSTLKDNMASLMPPLTSSPPDVRAQHQHSVLRVHSPDKMVGDLHLFDNLVVFTAEELSRAPAEIIGRSCHGTSYKATLDNGYALTVKWLKEGFAKSKKEFSREIKKLGTVKHPNLVSMRGYYWGPKEHERIIISDYVDSTSLSSFLSEFEERNIPPLSVDQRLNIAIDIAHCLDYLHNERVIPHGNLKSSNVLIQNASPSALVTDYSLHRLMTPIGMAEQVLNAGALGYSPPEFASSSKPCPSLKSDVYAFGVILLELLTGKIAGEIVCVNDGVVDLTDWVRMLAREERVSECYDRRIVEAHGSGGAPKALEDMLRIAIRCIRSASERPEIRTVFEDLSSLSS >ORUFI03G16020.1 pep chromosome:OR_W1943:3:11232626:11236377:1 gene:ORUFI03G16020 transcript:ORUFI03G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRRFSSALARSPLLPRSLPPPRAVPATPPAPRPPPRRLMSSSSSGWHHSSRPPPPPPSGADKDQLFRGLEAALGTTFSSEPLAPPPQPMILVISGPSGVGKDAVIQRLQEEREGMHFVVTATSRAKRPGEVDGKDYYFVTKEEFLTMIERKELLEYALVYGEYKGIPKQQIRDYMAKGYDIVLRVDIQGAATLREILGESAIFIFLVAESEEALVKRLIHRKTETSDMLLVRVATAREEVKRMNNFDYVVVNSEGNLEGAVKQVESIIDAEKAKVHKRTAEPNDLQHYDHMVNLVPK >ORUFI03G16030.1 pep chromosome:OR_W1943:3:11236710:11240111:1 gene:ORUFI03G16030 transcript:ORUFI03G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTRISSRQGRRPEPQTLTNRNDDDVIASPHQRGRPLPSRSDPLHSGLRATRKGRNPQPRQGLQITSPQLGGTYQAQYRVCWPNKRPRPSGVVLWWWWSSSTRPHAHARGRATLLRTRCPSATLPCWPPRPPFLPRPFPLKPPCSSRRRPTYLPSLLRTQAGSRLFFLPPPSLAPSPKWRRRGSRRRCRPTRASPRRRPSPSWPSCSPPTSSCPPCSSPRTPRPRSRLRSGRRKSSSSSSRRRRGRLCLIRTLYRWARSRSSSSRPTTARTPRSRSSSPSAARSTTSRAGGSFMVLKDHIPCLLGGMQLGPLH >ORUFI03G16040.1 pep chromosome:OR_W1943:3:11250484:11251638:1 gene:ORUFI03G16040 transcript:ORUFI03G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRHRVFAEDLLLPREAEGEDHFDRVPDSLVLLIFNRLADARSLGRCSAVSKRFNSLVPLVDDACLRIDRVITDAADADDALGLAGPRPAGRGVLSHLLKAMLLAVLKPFGHCDAGVRGGGGGERAGKHGGGGGGCGAQQHHSPAQVLKNFSSIRNLRMELPVSDVGTDDGVLLRWKAVFGSTLQSCVILGGTRVDRAAAPAAAAATATAAGDSEASQGDDTGSIPESFYTNGGLKLRVVWTISSLIAAATRHYLLREIVKEHPTLERVALTDAHGQGTLSMGRDQIREFRDKPLAAAAAANRTQVPACNMKLRYAPMLELSDGTRIQGATLVVIKPVGEAGGIGGGRKELDEFVADAFDGPYREAVSALSKRRTYLLEMNGF >ORUFI03G16050.1 pep chromosome:OR_W1943:3:11259405:11265099:-1 gene:ORUFI03G16050 transcript:ORUFI03G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWNKLRSLDAYPKVNEDFYSRTLSGGLITIASSLAILLLFLSEIRLYLYSATDSKLTVDTSRGERLHINFDVTFPALPCSLVAVDTMDVSGEQHYDIRHDIIKKRIDNLGNVIESRKDGVGAPKIERPLQKHGGRLDHNEVYCGSCYGSEESDDQCCNSCEDVRDAYRKKGWALTNIEEIDQCKREGFVQRLKDEQGEGCSIHGFVNVNKISHKINKLSFGVEFPGVVNPLDGVEWIQEHTNGLTGMYQYFVKVVPTIYTDIRGRKINSNQFSVTEHFREAIGYPRPPPGVYFFYEFSPIKVDFTEENTSLLHFLTNICAIVGGIFTVAGIIDSFVYHGHRAIKKKMEIGKLG >ORUFI03G16060.1 pep chromosome:OR_W1943:3:11266993:11271232:-1 gene:ORUFI03G16060 transcript:ORUFI03G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRSKNEDDKAIVLCHERKRYVREALDGRCAFAAAHFAYIQSLRHTGFALRKFLEPEVPTDSSLYTSTSATPEPPTIRQKSRNLSPSISHQASDSFSPVPSPLSSGRFRINHMKSGGNPVMTIEEKVPVPVTATLQTSSLVPKAVHDLDDSSTFEAPPGTPPWDYFGLFNPVENQFSFHDEKEPGHDFENADDIRRLREKEGIPELEEEGEKTPVHPDNVRRFRDEKTSDLKDAEKSPINGREDDFAESEDDFDNPSSEPLVRVFQNRNDMPVENTVMNQTPEHVASEKLASENSVSFSRKQENSDSFSRKQENSDSFSRKQENSDSFSRKQENSDSQIDRPNNDKEVLDISMFESDDESPVASPVKEVRSSIAALPMNGKSKEPFHDVRNGAKDLHSCMKEIEILFIRASDSGKEVPRMLEADKVNFRPLLPEEKAHGSKASGFFATFFACCGGEEIPIPQPPPEAEVKYLTWHRSVSSLSSSSRIPLGVTSKDDADGLTGNIFSGVYMNSGSHASTLDRLYAWERKLYDEVKASGAICRQYDEKCRQLRHQESKAESQMSIDRTRATVKDLHSRIIVAIQRIDMISKNIEDLRDKELQPQLEELIGSLTRMWSTMLECHKHQREIIKSSSGNTKVLIRSESQFQAALLLQVELNTLYSTFLKWIAYHRSYLHSLNSWLLKCVKPLRGKKSSRRKKEADTPITKFAVAPMFKTCESWIKLLDDLPTKDLEDAIKGLVADINHSVPRQEKRRGTSKLTSSLSRSSSTKTISSFSRNGELNGEMSEIHRNSHTTDLQSSLETFLEGFAEFSDVSLKKYMELAVDINKAKEQYENVKLKNMGK >ORUFI03G16070.1 pep chromosome:OR_W1943:3:11280531:11291254:-1 gene:ORUFI03G16070 transcript:ORUFI03G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGARRVLEAWRLGVVRYGDALGLQERLVTDRRAGRVPDLVLSLQHPPTYTLGKRRTDHNLLLPEADLRALGADIHRTERGGDVTFHGPRQAVLYPILSLRAIGLGARRYVEGLESAMIEVAALYGVQARPGAAGETGVWVGDRKIGAIGVRISSGFTCHGLAFNIDPDLGFFEHIVPCGIADKEVTSLRREAAVELPPDEVIHDQLVQSLARTFCFSDVEFKDESECADMESYQLKMHPDIRCCKIGAQCRTAFVLQHQPPKNRLPNAVEEADSCSLKVCYAVLRLKKMETAFPDAVCSWNSEGLRGRKALLHLFIFFSPYFLHWWPSLCGAKDLYWTTSMLFTAAPKSPVLYGEQIQHIMEECTTLSFEYISVTGILILEHENTIFTLNNYRSVVKLRSVLIRLDDCPASYCLT >ORUFI03G16070.2 pep chromosome:OR_W1943:3:11280531:11291254:-1 gene:ORUFI03G16070 transcript:ORUFI03G16070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGARRVLEAWRLGVVRYGDALGLQERLVTDRRAGRVPDLVLSLQHPPTYTLGKRRTDHNLLLPEADLRALGADIHRTERGGDVTFHGPRQAVLYPILSLRAIGLGARRYVEGLESAMIEVAALYGVQARPGAAGETGVWVGDRKIGAIGVRISSGFTCHGLAFNIDPDLGFFEHIVPCGIADKEVTSLRREAAVELPPDEVIHDQLVQSLARTFCFSDVEFKDESECADMESYQLKMHPDIRCCKIGAQCRTAFVLQHQPPKNRLPNAVEEADSCSLKVCYAVLRLKKMETAFPDAVCSWNSEGLRGRKALLHDLYWTTSMLFTAAPKSPVLYGEQIQHIMEECTTLSFEYISVTGILILEHENTIFTLNNYRSVVKLRSVLIRLDDCPASYCLT >ORUFI03G16080.1 pep chromosome:OR_W1943:3:11288085:11289912:1 gene:ORUFI03G16080 transcript:ORUFI03G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPSPHQSHHLGHGSRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNCNFPRYYYRCTYKDNMNCPATKQIQQKDYSDPPLYSVTYYNEHTCNSAFLPLSPSEFQLQTASGKAVSICFESSGAQEPMTNASSPSSSAARRSTPSENKNQPLPRHSEAYSWGVGVVEQKPSCTELQSCSTECQDAFSAGTIPEETVDAGRFGSIRFFHFL >ORUFI03G16090.1 pep chromosome:OR_W1943:3:11290110:11295880:1 gene:ORUFI03G16090 transcript:ORUFI03G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEFMELALEQAKFALDNLEVPVGCVIVEDGKVISSGSNKTNATRNATRHAEMEAIDILLREWQGMGLDQPQVAEKFARCDLYE >ORUFI03G16100.1 pep chromosome:OR_W1943:3:11299048:11302917:-1 gene:ORUFI03G16100 transcript:ORUFI03G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFPFRSFTSNAGNGKASAGHDKKNENKLDGGTSCASHSPDTRAFRSRSRHGKPSSEESSTPQLRRCMSLTSSAIDRSLNERTMNFSGDIPCSFSNSSDAPRHIGDAEYYPWSQERHTNMGEYTIEVPKTHGVQESDSPQSRCYSCSAGHSPVSSPVVLKCRPSKLTNLSSKNEVLDLYIDGEQESNSLNEKHKLKLPVRSSSSYLGRGRPPRPHSTAPSSPKSCKEIVESYSYSNIDMIYACQLAQEETKGIWKVASVCAEPGDDAQMLEASSEKFSHIEECKSQSIATLEDLYDRLEDAQPPCFCDTSMDYISGTTSRCFDADVCCRDDSHGFHGNNLEQDTDEKLLRRAKELDECFMVPLEENNELNMLRDNSLSSTDMLQLIQTLIEDRRQLALQLSSQIKARLTERFAAKEQHKRSKVELNTRTRRLEKEKSDVQSTLEMELDRRSNDWSVKLAEFQSEEQRLRERVRELAEQNVSFQREVTLLESNRIDVSNKITSLELQNKQLNDELQKVKKEHDTLLKSSVELNDNLTKTAEERNQILECLKEKGGDNKALHKVIARLQRISNEQEKTITGLRQGFNAELENKSLGTSESISRMQMELIRLTGVEQKLRREIQSCNREVESLREENIAVLNRLQSSDNKLSISSVRLEQELNTRVDNLQLQGLSLLDDTSQLCAKLLDSMKSKRSESFGSVDALASIEYTLSYQSIQERIKNLKQCLRAIRSMLTEKHNEEEKIGERTESCILKQDHLSKDDIEFKLKEESMLCRVLKEKLLSRERDIEQLQSDLASSVRIQDVMQNEIQRVQDELCCLTHKSKHLEMQVLKKEENINQIQQDFQESSKELTALRCTLKTETKQLRKTISALQNDVASLKQKMKSLDEDILLKEGEILLKEGEISILRDSIGRPSDIICSPRPSKLFESE >ORUFI03G16100.2 pep chromosome:OR_W1943:3:11299048:11302917:-1 gene:ORUFI03G16100 transcript:ORUFI03G16100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFPFRSFTSNAGNGKASAGHDKKNENKLDGGTSCASHSPDTRAFRSRSRHGKPSSEESSTPQLRRCMSLTSSAIDRSLNERTMNFSGDIPCSFSNSSDAPRHIGDAEPSKLTNLSSKNEVLDLYIDGEQESNSLNEKHKLKLPVRSSSSYLGRGRPPRPHSTAPSSPKSCKEIVESYSYSNIDMIYACQLAQEETKGIWKVASVCAEPGDDAQMLEASSEKFSHIEECKSQSIATLEDLYDRLEDAQPPCFCDTSMDYISGTTSRCFDADVCCRDDSHGFHGNNLEQDTDEKLLRRAKELDECFMVPLEENNELNMLRDNSLSSTDMLQLIQTLIEDRRQLALQLSSQIKARLTERFAAKEQHKRSKVELNTRTRRLEKEKSDVQSTLEMELDRRSNDWSVKLAEFQSEEQRLRERVRELAEQNVSFQREVTLLESNRIDVSNKITSLELQNKQLNDELQKVKKEHDTLLKSSVELNDNLTKTAEERNQILECLKEKGGDNKALHKVIARLQRISNEQEKTITGLRQGFNAELENKSLGTSESISRMQMELIRLTGVEQKLRREIQSCNREVESLREENIAVLNRLQSSDNKLSISSVRLEQELNTRVDNLQLQGLSLLDDTSQLCAKLLDSMKSKRSESFGSVDALASIEYTLSYQSIQERIKNLKQCLRAIRSMLTEKHNEEEKIGERTESCILKQDHLSKDDIEFKLKEESMLCRVLKEKLLSRERDIEQLQSDLASSVRIQDVMQNEIQRVQDELCCLTHKSKHLEMQVLKKEENINQIQQDFQESSKELTALRCTLKTETKQLRKTISALQNDVASLKQKMKSLDEDILLKEGEILLKEGEISILRDSIGRPSDIICSPRPSKLFESE >ORUFI03G16110.1 pep chromosome:OR_W1943:3:11304953:11305604:1 gene:ORUFI03G16110 transcript:ORUFI03G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEGSVVDEGGGTTVELIHSGERLDGLGVTVDRGLRGNECRERHSERRKRNGSSARSNDGSVERSTWMVSTMSSLMAREWGSTHL >ORUFI03G16120.1 pep chromosome:OR_W1943:3:11305746:11305925:1 gene:ORUFI03G16120 transcript:ORUFI03G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPYLSCLQHRARRLRLLRRFLVSSPAKVENREERKKRWQLRPAGCLTAVNATIRDI >ORUFI03G16130.1 pep chromosome:OR_W1943:3:11306358:11306711:-1 gene:ORUFI03G16130 transcript:ORUFI03G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGSPVMTERERRAYRYGQASSPTARAVGQLGCIRKSWSNDSLSSYGGGGRAGGGGAQACVCAPTTHPGSFRCKHHRQNASNLGAAAAAAAAPAQVVDVDADAKHQEAQEQEEKAT >ORUFI03G16140.1 pep chromosome:OR_W1943:3:11308881:11309159:-1 gene:ORUFI03G16140 transcript:ORUFI03G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPDVGIPVLGLATVSARSSSEAIDSGSPVTVEEEEEAVVREGDEETKQRVVVVVSWLLAPLQPHSCPYSGSHNPTAHDRRLTSTAGGAT >ORUFI03G16150.1 pep chromosome:OR_W1943:3:11310224:11310781:1 gene:ORUFI03G16150 transcript:ORUFI03G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEDDTCENKDCRVGAERKAMAIEEDDTCENKDFRVSAGRKATTVGENGACENKDCRVGAGRKATTVGENGACENKDCRVGAGRKASAVEEDNACENKEKRRKMMVAKATSPSSLDCPKLGILIEPFFIPLSSSSWMWSSLGSWSLLPWMARTCSTSMLVGWLARPHSRTITAGRLDALRLLH >ORUFI03G16160.1 pep chromosome:OR_W1943:3:11311473:11319581:1 gene:ORUFI03G16160 transcript:ORUFI03G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKEAALAAVPNDNPTIFDKIIKKEIPSTVVFEDEKVLAFRDINPQAPTHIVIIPKVKDGLTGLSKAEDRHVEILGYLLYVAKVVAKQEGLEDGYRIVINDGPSGSGDSLGEEVLRMFLAERQAHGDFVTKISDMVWRRNGGDLGVLEAAAEQENSADVAPPQPEEASNTENHPVVQDVMGEGMLRIAATRDWVSGESSLPVSKRLSAKDRQDERERRKELNLLRYEALKDELLLLTTGIGAACSLYCLLVFSLEAAVSYAFGVAFSCLYLQLLYRHTDNLSKKDVPEIFMKKKVKRIGIRSEDLKNTIEKVLGGISVALSSPRLVIPAIFFGLSTLSDHFQNSILNFELVPGMMGFFAYKAAALVQVYRDNEDLRLILPEEDADSS >ORUFI03G16160.2 pep chromosome:OR_W1943:3:11311473:11319581:1 gene:ORUFI03G16160 transcript:ORUFI03G16160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKEAALAAVPNDNPTIFDKIIKKEIPSTVVFEDEKVLAFRDINPQAPTHIVIIPKVKDGLTGLSKAEDRHVEILGYLLYVAKVVAKQEGLEDGYRIVINDGPSGSGDSLGEEVLRMFLAERQAHGDFVTKISDMVWRRNGGDLGVLEAAAEQENSADVAPPQPEEASNTENHPVVQDVMGEGMLRIAATRDWVSGESSLPVSKRLSAKDRQDERERRKELNLLRYEALKDELLLLTTGIGAACSLYCLLVFSLEAAVSYAFGVAFSCLYLQLLYRHTDNLSKKDVPEIFMKKKVKRIGIRSEDLKNTIEKVLGGISVALSSPRLVIPAIFFGLSTLSDHFQNSILNFELVPGMMGFFAYKAAALVQVYRDNEDLRLILPEEDADSS >ORUFI03G16170.1 pep chromosome:OR_W1943:3:11322352:11322997:-1 gene:ORUFI03G16170 transcript:ORUFI03G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNRESAARSRARKQAYTNELENKISRLEEENKRLRMHKVSKPVFVHHFQEP >ORUFI03G16180.1 pep chromosome:OR_W1943:3:11331376:11335187:1 gene:ORUFI03G16180 transcript:ORUFI03G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTNLRRRLHHGDVDGRKNEHVDISSVDSLNEPLLGKSSSDTGGSEVYDPRRQDLWDDDRKKEQLHWSFLFSNLIAQWAQWLANIIVGSGSLFGRLFPFSLDNQNSSPVYLSPLQEDRLNTLRSRLQIPFDGSRVEHQDALRQLWRLAYPNRDIPPLKSELWKEMGWQGTDPSTDFRGGGFISLENLIFFARNYPGSFQALLNKVQGQRADWEYPFAVAGINISFMLIQMLDLQSSVPSSKSGVRFVELLGRDENAFDHLYCVAFRLLDAQWLVKRASYMEFNEVLKSTRTQLERELVLEDVLEVKDLPSYTMLDK >ORUFI03G16190.1 pep chromosome:OR_W1943:3:11337474:11338594:-1 gene:ORUFI03G16190 transcript:ORUFI03G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQDRREARAEADARRAAEEIARARDERVMQAEVDARSAADEIARARADRGAATMGADTAHHAAGGGGILESVQEGAKSFVSAVGRTFGGARDTAAEKTSQTADATRDKLGEYKDYTADKARETNDSVARKTNETADATRDKLGEYKDYTADKTRETKDAVAQKASDASEATKNKLGEYKDALARKTRDAKDTTAQKATEFKDGVKATAQETRDATADTARKAKDATKDTTQTAADKARETAATHDDATDKGQGQGLLGALGNVTGAIKEKLTVSPAATQEHLGGGEERAVKERAAEKAASVYFEEKDRLTRERAAERVDKCVEKCVEGCPDATCAHRHGKM >ORUFI03G16200.1 pep chromosome:OR_W1943:3:11351604:11358832:1 gene:ORUFI03G16200 transcript:ORUFI03G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVSTPFTTATGVQKKLGAPVPLHSFLLSRRQPAAGAGRGRAAAAAIRCAVAGNGLFTQTKPEVRRVLPPEGDASRRGVPRVKVVYVVLEAQYQSSVTAAVRELNADPRRAAGFEVVGYLVEELRDEETYKTFCADLADANVFIGSLIFVEELALKVKDAVEKERDRMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRKKNSGGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMIAVSYVPALKGADIKYDDPVLFLDAGIWHPLAPTMYDDVKEYLNWYGTRRDTNDKLKDPNAPVIGLVLQRSHIVTGDDGHYVAVIMELEAKGAKVIPIFAGGLDFSGPTQRYLVDPITGKPFVNAVVSLTGFALVGGPARQDHPKAIAALQKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKDISRFLLVSLTYFAGKSHALHKRVEQLCTRAIRWAELKRKTKEEKKLAITVFSFPPDKGNVGTAAYLNVFNSIYSVLQDLKKDGYNVEGLPDTAEALIEEVIHDKEAQFNSPNLNVAYRMNVREYQSLTSYASLLEENWGKPPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYTFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDACYPDSLIGNIPNIYYYAANNPSEATVAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGPQIVSSIISTAKQCNLDKDVPLPEEGVELPPNERDLIVGKVYAKIMEIESRLLPCGLHVIGEPPSAIEAVATLVNIASLDRPEDEIYSLPNILAQTVGRNIEDVYRGSDKGILADVELLRQITEASRGAITAFVERTTNNKGQVVDVTNKLSTMLGFGLSEPWVQHLSKTKFIRADREKLRTLFTFLGECLKLIVADNELGSLKLALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAALKSAKIVVDRLLERQKVDNGGKYPETIALVLWGTDNIKTYGESLAQVLWMIGVRPVADTFGRVNRVEPVSLEELGRPRIDVVINCSVKMVAELDEPEEMNYVRKHAQEQARELGVSLREAATRVFSNASGSYSSNVNLAVENASWTDEKQLQDMYLSRKSFAFDCDAPGAGMREQRKTFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDGRAPSSYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMKSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANATFIEDEAMRKRLMDTNPNSFRKLVQTFLEASGRGYWETSEENLEKLRELYSEVEDKIEGIDRLLKHGGCLRCNGTGGRSDPYVYMGKFTATVAVRNLGT >ORUFI03G16210.1 pep chromosome:OR_W1943:3:11359711:11360426:-1 gene:ORUFI03G16210 transcript:ORUFI03G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIISDEESRMVKDSIHRLCWHQPLHLFLHEGSRAMEPDADERNGVPIGAQENSYWLYIVPWGINKAVTYVKETYGNPMMILSENEWTNLATSISPRVSMILQESDITEIT >ORUFI03G16220.1 pep chromosome:OR_W1943:3:11363446:11370125:1 gene:ORUFI03G16220 transcript:ORUFI03G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASRKEEERNERVVRGLLKLPPNRRCINCNGLGPQYVCTSFWTFVCISCSGIHREFTHRVKSVSMSTFTTQEVEALQNGGNQRARESFLKEFDAQKMRLPDSSNVDSLREFIKAVYVERRYAGGRFSERPPRDKQNQKNNEQEHRRASSYHSFSQSPPYDYQYEERRNGKQSVMLTRKPGSDRGHDGKMSGFAYSPQSLHERMSEDRFANENSGPRISDCSGSSISNTFRTTPHSPNFLDKGCSSPSMQQNQSNIQASSGITQSEVRTISTGNIDSSSTKSSKSSLADMFFESDIAHRTQQTKDCITPSFTAFSDVANIAQKDLLNEPVAQQQPVTGLDQPVDFFASMPPATPSTDRMLTAAPSMDNAGWATFDTPPEEKQPGVIGLSGISVMDKHALSGDLFSFEPNNDQPTWLQSSKTSKNNASVTDQSDVPCKYTSSDASNSQAWSAFEAKSVSTQQASPDLSLMSSIEPKEPIDENKLQLWHSFDDASETMTLNLSNAQLQTNEHKNVDNNSLTTSNPFTCSITSKESRGNDSQEIFMGGLAPSEPFAPFPEPSLFATTSVGEASVQQMPLNPFDLPFDADSDSPDMFMDVTALQAVLPNADLSTSFVDGLPETWFSNNASAYVPPGSHGGPPCLVEQIPNSALRNITLSAVSTGNPFA >ORUFI03G16230.1 pep chromosome:OR_W1943:3:11369385:11369882:-1 gene:ORUFI03G16230 transcript:ORUFI03G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVCARPVGVGYLVGGAASSWSQRRGRGVAAPRARVRVSASASTVAPERRAATMYEVLAVEETAGAEEIKAAYRRAARRWHPDACPGGAERFMLAREAYEVLSDPERRRGYDIQLRCCGAGAGAQAARRAGFADWEAQLAGLQWRAASRETWGSRMRHRQPSPS >ORUFI03G16240.1 pep chromosome:OR_W1943:3:11377218:11377993:-1 gene:ORUFI03G16240 transcript:ORUFI03G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPATGSGRASMGIPATGRRRASTGMLGTGRARASMGIPATGRRRAWMGSPALGHASMGSPATGHRRASRRPAATAREG >ORUFI03G16250.1 pep chromosome:OR_W1943:3:11378107:11378316:-1 gene:ORUFI03G16250 transcript:ORUFI03G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIKENISAVGGWLRRQLRRWLHQRWGRKHQDAGGGCEVGGLSRLRQSWGRKRQDAGGGGKVSGWSP >ORUFI03G16260.1 pep chromosome:OR_W1943:3:11380921:11386431:1 gene:ORUFI03G16260 transcript:ORUFI03G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASAAAPPPPPTAQPHMAAPPYGAGLAGILPPKPDGEEEGKKKEVEKVDYLNLPCPVPFEEIQREALMSLKPELFEGLRFDFTKGLNQKFSLSHSVFMGSLEVPSQSTETIKVPTSHYEFGANFIDPKLILVGRVMTDGRLNARVKCDLTDDLTLKINAQLTHEPHYSQGMFNFDYKGTDYRAQFQIGNNAFYGANYIQSVTPNLSMGTEIFWLGHQRKSGIGFASRYNSDKMVGTLQVASTGIVALSYVQKVSEKVSLASDFMYNHMSRDVTSSFGYDYMLRQCRLRGKFDSNGVVAAYLEERLNMGVNFLLSAEIDHSKKNYKFGFGMTVGE >ORUFI03G16270.1 pep chromosome:OR_W1943:3:11389564:11389967:1 gene:ORUFI03G16270 transcript:ORUFI03G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPYIRLCGIGNPVARVHPELSGKPDVTSRPSWFDNIDSSASSSLTTAASRHRHRLQHVLAHDHSTVPHAHPAARLSRHHWLPDFDYIDHNYFTHGFIDHGSLMSFALVMSSMAQRVIIRIEHSRLSSLV >ORUFI03G16280.1 pep chromosome:OR_W1943:3:11390351:11392870:1 gene:ORUFI03G16280 transcript:ORUFI03G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVHRSSIQFLSEFFRDIAHGLDRVIAASSVRHARCHPRPVGGA >ORUFI03G16290.1 pep chromosome:OR_W1943:3:11410158:11412080:1 gene:ORUFI03G16290 transcript:ORUFI03G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLVMDQGMMFPGVHNFVDLLQQNGGDKNLGFGALVPQTSSGEQCVMGEGDLVDPPPESFPDAGEDDSDDDVEDIEELERRMWRDRMKLKRLKELQLSRGKDPAGGVVGDPSKPRQSQEQARRKKMSRAQDGILKYMLKMMEVCRAQGFVYGIIPEKGKPVSGASDNLRGWWKEKVRFDRNGPAAIAKYQADNAVPGCESELASGTGSPHSLQELQDTTLGSLLSALMQHCDPPQRRYPLEKGVPPPWWPTGDEEWWPELGIPKDQGPPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEKIRRLVRQSKCLQDKMTAKEISTWLAVVKQEEELYLKLNPGARPPAPTGGITSAISFNASSSEYDVDVVDDCKGDEAGNQKAVVVADPTAFNLGAAMLNDKFLMPASMKEEATDVEFIQKRSASGAEPELMLNNRVYTCNNVQCPHSDYGYGFLDRNARNSHQYTCKYNDPLQQSTENKPSPPAIFPATYNTPNQALNNLDFGLPMDGQRSITELMNMYDNNFVANKNLSNDNATIMERPNAVNPRIQIEEGFFGQGSGIGGNNGGVFEDVNGMMQQPQQTTPAQQQFFIRDDTPFGNQMGDINGASEFRFGSGFNMSGAVEYPGAMQGQQKNDGSNWYY >ORUFI03G16300.1 pep chromosome:OR_W1943:3:11418960:11419496:1 gene:ORUFI03G16300 transcript:ORUFI03G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGRLPFVPGVADGGVQVLGPDLVDTFACPTLLLRRDRSAEFGVVERTCPCLETDLGSKKASLECSTDDDSSEHGGIVSICPCMDRISGIGWSNKKPTTPSVGVIGDGRRKAVPDLDQPKGLGGVVHAEGSVLLRLHLGDDYGFWSPAFVSCSERNLLITAAALQICLENNLYCSSS >ORUFI03G16310.1 pep chromosome:OR_W1943:3:11421812:11425033:-1 gene:ORUFI03G16310 transcript:ORUFI03G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQQLKPLSDVPDKIGGFLFNLAWSKGTRLWNVEEEAEKLRRTEKRIRALLRDAEERRYIDDESVKLWLLELKSVAYDAETLLDRLTTFTAVARLESAEPSRKRKRSWLNLQLGPRQRWGLDAKITEINERLDEIARGRKRFKFQPGDAARRAQPGQRPRFVEVAACHDESSQIFGRAKEKEEVVQALLSDHTIPLPVISIYGAAGIGKTTLARLVYNNAEVQSSFPTRIWVCLSDKCDVTKATKMIMEAITKVKCDALSLDILQQQLQEHLSTTKFLLVIDNLWAEDYNFWELLRCPLLAGEKGSKVLITTRNERVWRRTTSTILPVHLKGLDDEECWLLLKKYAFLHGQGRENDALSKTGRMIAADCRGSPLAAKSLGMLLSDTNGEEEEWLNISNQMRILNEDNNRILPSLQISYHHLPYHLKQLFTLCCLFPVGHEFEKDEVIRLWIAEGLIQCNARRRLEAEAGRFFDELLWRSFFETSGSSTNQRYRVPSLMNELASLVSKSECLCIEPGNLQGGINRDLVRYVSILCQKDELPELTMICNYENIRILKLSTEVRISLKCVPSELFHKLSCLRTLEMSNSELEELPESVGCLTHLRYIGLRKTLIKRLPDSVSTLFNLQTLDLRECYRLTELPEELSRLVNLRHLDLHLEWDRMVPIPMPRGIDKLTSLQTLSRFTVTADAEGYCNMKELKDINIRGELCLLKLESATHENAGESKLSEKQYVENLMLQWSYNNNQAVDESMRVIESLRPHSKLRSLWVDWYPGENFPGWMGESSFTYLENLRICDCRNSRLLPSFGELPKLKKLHLGGMHSLQSMGTLLGFPSLEVLTLWDMPNLQTWCDSEEAELPKLKELYISHCPRLQNVTNLPRELAKLEINNCGMLCSLPGLQHLHDLVVRRGNDQLIGWISELMSLTSLTLMHSTETMDIQQLQQLSALKRLKIGGFKQLSSVSDNSGMEALSSLEFLEISSCTELQRFSVVGLQSLKDFKLRHCTKLEALPTGLGNLGSLRCVEIHDIPNLRIDNTGTVLPDSVSYLTLSGCPDLESWCRNTGAQRVKKIPNVKIGF >ORUFI03G16320.1 pep chromosome:OR_W1943:3:11428675:11431459:1 gene:ORUFI03G16320 transcript:ORUFI03G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASASCRRRPIAWFFAIAALLFFFSWYLLLDSAAVTPEPLLAARGQGLRVGSSGRKCDPATAALRVFMYDLPAEFHFGLLDWEPQGGGGGGGGVWPDVRGGGVPEYPGGLNLQHSIEYWLTLDLLASEQGAPTPCGAVRVRHAAAADVVFVPFFASLSFNRHSKVVPPARASEDRALQRRLLDYLAARPEWRRSGGRDHVVLAHHPNGMLDARYKLWPCVFVLCDFGRYPPSVAGLDKDVIAPYRHVVPNFANDSAGYDDRPTLLYFQGAIYRKDGGFIRQELYYLLKDEKDVHFSFGSVVGNGIEQATQGMRASKFCLNIAGDTPSSNRLFDSIVSHCVPIIISDEIELPFEDVLDYSKFCIIVSGADAVKKGFLMNLINGISREDWTRMWNRLKEVERHFEYQYPSQNDDAVQMIWKAIARKAPSIRLKVNRLRRFSRFETNRTDETPTRSSWLENQPS >ORUFI03G16330.1 pep chromosome:OR_W1943:3:11432335:11435749:-1 gene:ORUFI03G16330 transcript:ORUFI03G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDTSRAPAQGEEAASTSPWPLRKLQSFTPGLCSQYKAYENAFVDMAKGTISDAMVLVNEHQTEAIGCATVAGFILLRGPRRFLYRNTLGRFKTEKDLLNDAEQSMMEYKTSIEQLKKDSKYTLDKIAVGESDLQRGQTDLRSTGKQIRSLIGSIYKAESTATGLMDRLRTIPTRQSLELRAEVASMASDLKNQRCVLQERINKISEYGVRV >ORUFI03G16340.1 pep chromosome:OR_W1943:3:11439111:11441381:1 gene:ORUFI03G16340 transcript:ORUFI03G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPISSWIRAAREINLSKPPVLFVVFGQTELYSLVIEQRIGGCSWFLEENHLPWQLSTSRRKKTTRQYRGSMEEEPSATCRYFCHMCSLIVRPEMGIEEVKCPHCHSGFVEEMVGGDDDDGRRSGNAAAGGRGAASEENADDEATPAPPPWAPMLIDLLGVSSRRHGLDDGSSDLAAFARRQYRNIAFLQLLSALQDDDEAGGDTPGDSGRERLVLVTPADGNGAAATSGFTLGDLFLGPGLDLLLDYLADTDPNRQGTPPARKEAVAALPTVRVHDAAGATCPVCLDEFEAGGEAREMPCKHRFHDGCILPWLEAHSSCPVCRYQLPTDDEPTAGNVVVAAEGGDELIGNARGGGGDGDGDGGSSGRRRWLSWPFGGLFSHRSSRSSSSS >ORUFI03G16350.1 pep chromosome:OR_W1943:3:11442784:11446408:-1 gene:ORUFI03G16350 transcript:ORUFI03G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRPTVKGSCSSGGHAGAGRGAGWAPRRRDRRRGGPRRGRSTRASSRRGRRRPRSGYQSRVSRAEQVGPEIRTGFLKDGKPIQLKQGKEITITIDYSIKGDENLISMSYHKLAIDLKPGSTILCADGTITLTVLSCDCEQGLVRCRCENSAMLGERKSVNLPGVIVDLPTLTEKDKVDILQWGVPNKIDMIALSFVRKGSDLMLVRSVLGEHAKSILLMSKVENQEGVANVDEIIANSDAFMVARGDLGMEIPIEKIFYAQKVMIHKCNIHGKPVVTATQMLESMIKSPCPTRAEATDVANAVLDGTDCVMFSGETAAGAYPELAVQTMANICSRAELYLDYPFIFKKLSSEAPVPLSPLESLASSAVQTANISKASLILVLTRGGTTARLIAKYRPAMPVLFVVVPELKADDSFNWTCSDEAPARQSLIVRGLIPMLSTATPKAFDIESTDEAILSGIDYAKKLGLCNSGDSVVVLHRIGGYSIVKIVTVN >ORUFI03G16360.1 pep chromosome:OR_W1943:3:11446388:11449120:1 gene:ORUFI03G16360 transcript:ORUFI03G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFDRLEMLDPPRELWLKRSSHFVHAWQYDPSSSRPDFVSTCLRQEKAIAANKSRQQLQQEQQHQEQGGAESPKKAESTCPMKYE >ORUFI03G16360.2 pep chromosome:OR_W1943:3:11446226:11449109:1 gene:ORUFI03G16360 transcript:ORUFI03G16360.2 gene_biotype:protein_coding transcript_biotype:protein_coding LVPRSRASSPTSGRCSGGSTSPRASSPAIPSPRSPTCSTSRSRVSPRRAAPFHGRPDRGGHRCGEGERRKAEVRADPRRTRRAGGQHVGARRIHLQVEPRAQRAIGRGLDGPELRGRHAAAELSVPALKLLHPTDPDGVFFFLRSCIFAVDLRATAEEDGGVRSVGDARPAA >ORUFI03G16370.1 pep chromosome:OR_W1943:3:11450251:11453425:-1 gene:ORUFI03G16370 transcript:ORUFI03G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPLVRSFPSTAKAPASACSPPSPSAAPPYHAAAIASLSPGGCRSRAISPPFFRHAVKSRRNTNCKI >ORUFI03G16370.2 pep chromosome:OR_W1943:3:11450026:11453265:-1 gene:ORUFI03G16370 transcript:ORUFI03G16370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLNRAQRHRRIEGAASPPRLLARRYSPHSPHNGAAPLLHLFISRDVCFLRHRQRRRCLRPHRSIDFSRNPEETQIAKFDANKASIQGITLFFHVRNGVINTHLVNTYNIFIANGTTESIFLLVCKNILFSLHNCDLKSQRLLIHLFMFLFKP >ORUFI03G16380.1 pep chromosome:OR_W1943:3:11454310:11457265:-1 gene:ORUFI03G16380 transcript:ORUFI03G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSDFLPVRFHYGGEFMFSRGQLHYVAERTALSYIELDKISLPEIIGFLSDHMPVSGLLHLHWLYPGKQLSDGLRFLLDDNACIEMANHMSNNGQVAEIYVEHVAIDEGQDDNQIADWGYDMVEADDEAKSDSEAEAELHPTVVLCTDKKGKLKPRRASSQILEKPEDVDGPETNSNPEGQVSDSSDSDYRQPIEQNSSGDDEEAEQLRKFAKEIKRNIRARKLGGDVGTQQSISRSSLPPSVAAPSSAPLVQQKCRKRARPA >ORUFI03G16390.1 pep chromosome:OR_W1943:3:11459430:11464348:-1 gene:ORUFI03G16390 transcript:ORUFI03G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDAAAGRFLAGRSMPSRSPPTSADKMERKFSIELYGRHAIPEGGVRESDADKAERNRDAGSFFLRKDEEEYITS >ORUFI03G16400.1 pep chromosome:OR_W1943:3:11461319:11463807:1 gene:ORUFI03G16400 transcript:ORUFI03G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHQQQLQSHNQLLPSRQSFPSERHLLMQGGSVSGESGLVLSTDAKPRLKWTPELHERFVEAVNQLGGPEKATPKTIMRLMGVPGLTLYHLKSHLQKYRLSKNLHAQANAGNVKNALVCTTATEKPSEANGSPVSHLNLGTQTNKSVHIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLAKQNAGSVGLETVKMELSELVSKVSTECLQHAFSGFEIESSQMLQGHTMHLGDGSVDSCLTACDGSQKDQDILSISLSAQKGKEIGCMSFDMHVKERGSEDLFLDKLNRRPSNHPERCERRGGFSMSCQTANLDLNMNDTYDGPKHCKKFDLNGFSWA >ORUFI03G16410.1 pep chromosome:OR_W1943:3:11468383:11471353:-1 gene:ORUFI03G16410 transcript:ORUFI03G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNSPDRQSSGGSPPEERGGGGSGGGGGRSAAGEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQMQAAAAAAAAAASSSSPSANTSPAAASAATLQVGLPPGAVVHTMAMGGSACQYEQQASSSSSSGSTGGSSLGLFAHGAGASGAGGYLQASCGASASASSALAPGLMGDVVDSGGSDDLFAISRQMGFVGSPRCSPASSPATPSSAATAAQQQFYSCQLPAATITVFINGVPMEMPRGPIDLRAMFGQDVMLVHSTGALLPVNDYGILMQSLQIGESYFLVARPT >ORUFI03G16420.1 pep chromosome:OR_W1943:3:11494314:11502253:1 gene:ORUFI03G16420 transcript:ORUFI03G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMFRIQCRASDDLSLAIVNGEVWHKDARYSCSIKDEAGRPTFALVNKATGDALKHSLGYYCPVRVIKFEPGYLDESVLWAEGGDPVDGYRRIHMMNNADYIFDAEEAIPPYGGARNGTRLILFRWNGGLNQLWRMVPCGGDVLEHEKPLRVVCHSNQALCLSVRDGAVVLADIDHKDPKQQWIVSFQNTRRVTDAEGHRSFVLMNWSTGKAMKRSGDGEPVELVGHSLDSVDVALLWTRGNDLGEEFYCIRTVCDVGLVLDAAGGVPEFGGAHDGTQIIVFPCHGGANQRRLWCEEAAANVAWLGGIVQRTAQVVRIGEEVGMGDGRFVTSRSGLQVLTSADRSHPPVIFQCRASDDLSVAIVNGEVILAKSDPRDDRQARPSSLLLRFCVTFTSFPCLGHALHVWHKDVRYSAGLKDEAGRLAFALVNKATGEAIKHSFGYNHPYVSRAFLSSVRAGVSGRVGPVDRKRGSEDTGDGFHRIHMINNADYIFDAEEAVPLCDGARDGTRLILFRWNGGDNQLWRMAPCIGAEPDHEPPVHVVCQSNQNLCLTVRHGAVVLARIDHKDPKQHWTVSFRNTGRVTDEEGHRSFLLLNPSTGKAMKRSADKEQPVELVGHGPDSVDVALLWTRSDNVGEGFHCIRTVSDVSLVLDAAGGGKHDGTPIIVFPTVARTRGGLCFRLTDRDSRFFLRAEAPVPFSLGDTEGE >ORUFI03G16430.1 pep chromosome:OR_W1943:3:11505552:11519615:-1 gene:ORUFI03G16430 transcript:ORUFI03G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVQARLPDPVPSPILRHSPSTSRSLRSVGDMPSVTFAGDMRSGSCRAESTASSFESFRRAGSRPQPVGAVARMPTRRSASERAGSQRDLRDEDARFVYVNDAARTNAPPAKFPDNSVTTTKYSVLTFIPRNLYEQFHRVAYVYFLILAALNQVPQLGVFSPVASVLPLAFVLGVTAVKDAYEDWRRHRSDKTENNRTASVLVDGVFQPKPWREIQVGELVRVVANETLPCDMVLVSTSDPTGVAYVQTINLDGESNLKTRYAKQETMSTPPEALAGLIKCEKPNRNIYGFLATVDLDGRRAVSLGTSNIMLRGCELKNTAWAIGVAVYTGRDTKVMLNNSGAPSKRSRLETHTNRETIVLAVVLTLLCTLVSLLAGIWLSDHSDELGVIPYFRKKDFSNPNEAEKYKWYGTGAQVVFTFMMAVIQFQVMIPIALFISMELVRVGQAYFMVQDEHMFDDKRQAKALNINEDLGQVKCVFSDKTGTLTQNKMEFRCASVGGVDYSDIARQQPVEGDRIWVPKIPVNVDGEIVELLRNGGDTEQGRYAREFFLALATCNTIVPLILDGPDPKKKIVDYQGESPDEQALVSAAAAYSFVLVERTSGHIVIDVLGEKQRFDVLGLHEFDSDRKRMSVIIGCPDKTVKLFVKGADNSMFGVIDKTMNPDVVRATEKHLHAYSSLGLRTLVIGVRELSQEEFQEWQMAYEKASTALLGRGGLLRGVAANIEKNLRLLGASGIEDKLQDGVPEAIEKLREAGIKVWVLTGDKQETAISIGFSCKLLTREMTQIVINSNSRESCRKSLDDAISMVNKLRSLSTDSQARVPLALIIDGNSLVYIFDTEREEKLFEVAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVDLLLVHGHWNYQRMGYMILYNFYRNATFVFVLFWYVLHTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRRTLLKYPQLYGAGQREESYNLRLFIFVMLDSIWQSLAVFFIPYLAYRKSTIDGASLGDLWTLAVVILVNIHLAMDVIRWNWITHAAIWGSIVATLICVMVIDSIPILPGFWAIYKVMGTGLFWALLLAVIVVGMIPHFVAKAIREHFLPNDIQIAREMEKSQDSHDVTHPEIQMSTVARA >ORUFI03G16430.2 pep chromosome:OR_W1943:3:11505552:11519615:-1 gene:ORUFI03G16430 transcript:ORUFI03G16430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVQARLPDPVPSPILRHSPSTSRSLRSVGDMPSVTFAGDMRSGSCRAESTASSFESFRRAGSRPQPVGAVARMPTRRSASERAGSQRDLRDEDARFVYVNDAARTNAPPAKFPDNSVTTTKYSVLTFIPRNLYEQFHRVAYVYFLILAALNQVPQLGVFSPVASVLPLAFVLGVTAVKDAYEDWRRHRSDKTENNRTASVLVDGVFQPKPWREIQVGELVRVVANETLPCDMVLVSTSDPTGVAYVQTINLDGESNLKTRYAKQETMSTPPEALAGLIKCEKPNRNIYGFLATVDLDGRRAVSLGTSNIMLRGCELKNTAWAIGVAVYTGRDTKVMLNNSGAPSKRSRLETHTNRETIVLAVVLTLLCTLVSLLAGIWLSDHSDELGVIPYFRKKDFSNPNEAEKYKWYGTGAQVVFTFMMAVIQFQVMIPIALFISMELVRVGQAYFMVQDEHMFDDKRQAKFQCRALNINEDLGQIKYVFSDKTGTLTENRMEFRCASVHGGDFSETDGGDADGHAVAADGVVLRPKTAVKTDPKLMAMLKDGTGAKADAARDFFLTLVTCNTIVPIIVDDDEDNDDPAAAAAKLVEYQGESPDEQALVYAAAAYGYTLVERTSGHIIIDVFGTRQRFDVLGLHEFDSDRKRMSVIIGCPDKTVKLFVKGADNSMFGVIDKTMNPDVVRATEKHLHAYSSLGLRTLVIGVRELSQEEFQEWQMAYEKASTALLGRGGLLRGVAANIEKNLRLLGASGIEDKLQDGVPEAIEKLREAGIKVWVLTGDKQETAISIGFSCKLLTREMTQIVINSNSRESCRKSLDDAISMVNKLRSLSTDSQARVPLALIIDGNSLVYIFDTEREEKLFEVAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVDLLLVHGHWNYQRMGYMILYNFYRNATFVFVLFWYVLHTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRRTLLKYPQLYGAGQREESYNLRLFIFVMLDSIWQSLAVFFIPYLAYRKSTIDGASLGDLWTLAVVILVNIHLAMDVIRWNWITHAAIWGSIVATLICVMVIDSIPILPGFWAIYKVMGTGLFWALLLAVIVVGMIPHFVAKAIREHFLPNDIQIAREMEKSQDSHDVTHPEIQMSTVARA >ORUFI03G16430.3 pep chromosome:OR_W1943:3:11505554:11509813:-1 gene:ORUFI03G16430 transcript:ORUFI03G16430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHDHHGSSRHMSASQKELGDEDARVVRVGDAERTNERLEFAGNAVRTAKYSPLTFLPRNLFEQFHRLAYVYFLVIAVLNQLPQLAVFGRGASVMPLAFVLTVTAVKDAYEDWRRHRSDRAENGRLAAVLLSPGAGTHFAPTKWKHVRVGDVVRVYSDESLPADMVLLATSDPTGVAYVQTLNLDGESNLKTRYAKQETLTTPPEQLTGAVIRCERPNRNIYGFQANLELEGEGRRIPLGPSNIVLRGCELKNTTWAIGVVVYAGRETKAMLNNAGAPTKRSRLETQMNRETLFLSAILVVLCSLVAALSGVWLRTHKAELELAQFFHKKDYHPSDDKNSNYNYYGIAAQIVFVFLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDTTLYDASSNSRFQCRALNINEDLGQVKCVFSDKTGTLTQNKMEFRCASVGGVDYSDIARQQPVEGDRIWVPKIPVNVDGEIVELLRNGGDTEQGRYAREFFLALATCNTIVPLILDGPDPKKKIVDYQGESPDEQALVSAAAAYSFVLVERTSGHIVIDVLGEKQRFDVLGLHEFDSDRKRMSVIIGCPDKTVKLFVKGADNSMFGVIDKTMNPDVVRATEKHLHAYSSLGLRTLVIGVRELSQEEFQEWQMAYEKASTALLGRGGLLRGVAANIEKNLRLLGASGIEDKLQDGVPEAIEKLREAGIKVWVLTGDKQETAISIGFSCKLLTREMTQIVINSNSRESCRKSLDDAISMVNKLRSLSTDSQARVPLALIIDGNSLVYIFDTEREEKLFEVAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVDLLLVHGHWNYQRMGYMILYNFYRNATFVFVLFWYVLHTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRRTLLKYPQLYGAGQREESYNLRLFIFVMLDSIWQSLAVFFIPYLAYRKSTIDGASLGDLWTLAVVILVNIHLAMDVIRWNWITHAAIWGSIVATLICVMVIDSIPILPGFWAIYKVMGTGLFWALLLAVIVVGMIPHFVAKAIREHFLPNDIQIAREMEKSQDSHDVTHPEIQMSTVARA >ORUFI03G16430.4 pep chromosome:OR_W1943:3:11516346:11519615:-1 gene:ORUFI03G16430 transcript:ORUFI03G16430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVQARLPDPVPSPILRHSPSTSRSLRSVGDMPSVTFAGDMRSGSCRAESTASSFESFRRAGSRPQPVGAVARMPTRRSASERAGSQRDLRDEDARFVYVNDAARTNAPPAKFPDNSVTTTKYSVLTFIPRNLYEQFHRVAYVYFLILAALNQVPQLGVFSPVASVLPLAFVLGVTAVKDAYEDWRRHRSDKTENNRTASVLVDGVFQPKPWREIQVGELVRVVANETLPCDMVLVSTSDPTGVAYVQTINLDGESNLKTRYAKQETMSTPPEALAGLIKCEKPNRNIYGFLATVDLDGRRAVSLGTSNIMLRGCELKNTAWAIGVAVYTGRDTKVMLNNSGAPSKRSRLETHTNRETIVLAVVLTLLCTLVSLLAGIWLSDHSDELGVIPYFRKKDFSNPNEAEKYKWYGTGAQVVFTFMMAVIQFQVMIPIALFISMELVRVGQAYFMVQDEHMFDDKRQAKFQCRALNINEDLGQIKYVFSDKTGTLTENRMEFRCASVHGGDFSETDGGDADGHAVAADGVVLRPKTAVKTDPKLMAMLKDGTGAKADAARDFFLTLVTCNTIVPIIVDDDEDNDDPAAAAAKLVEYQGESPDEQALVYAAAAYGYTLVERTSGHIIIDVFGTRQRIIMGYLGFSMIAGTNECGTRAKQQIRIKVVANVTKSWALTADDPLLNALHPISKKYSQLLHLATSQTCVAAGC >ORUFI03G16440.1 pep chromosome:OR_W1943:3:11524887:11529137:-1 gene:ORUFI03G16440 transcript:ORUFI03G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNEPCWRMNSSFSPPMSRRWDCRNPSDGLPHRIHDAPPYAPRWTPSLQRFDLGEFSTPAGGSRPDTSGYPQSSERQLTAVSSFSSASPFSESSQLASSSKPPYLHLPRNHLGRRSFMSKPVYPLVFRNPVSEAEASGMPEASNIGRTTPSDDSPVWRRSLASPELKFHNALSEFRKVEASPEPNTSSRREGFRWSNASSYDFGYDGDAIDISDHISVESQRSPTSSVRFLKCGLCERFLRQKSPWTSNRIVQNSDMPVAAVLPCRHVFHADCLEESTPKSQVHEPPCPLCTRGTDDEGCVSFSEPLHVALRSARRNQGNSFSLGGSGGSTSANPSRSDHGLKRNQSALVARRSGTMFRNRFKKQFSFKGRFGKDLFGGRVFKKVGSSSSSGQQDDRQQPKA >ORUFI03G16440.2 pep chromosome:OR_W1943:3:11524887:11529137:-1 gene:ORUFI03G16440 transcript:ORUFI03G16440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNEPCWRMNSSFSPPMSRRWDCRNPSDGLPHRIHDAPPYVSSLSSHSKGSRSAFGSDQYLNHQHSVSDGALSYFGSPADSLQAPRWTPSLQRFDLGEFSTPAGGTHFSLVTYAPEEAVVEDLSVAATVIALQQSDVGSRPDTSGYPQSSERQLTAVSSFSSASPFSESSQLASSSKPPYLHLPRNHLGRRSFMSKPVYPLVFRNPVSEAEASGMPEASNIGRTTPSDDSPVWRRSLASPELKFHNALSEFRKVEASPEPNTSSRREGFRWSNASSYDFGYDGDAIDISDHISVESQRSPTSSVRFLKCGLCERFLRQKSPWTSNRIVQNSDMPVAAVLPCRHVFHADCLEESTPKSQVHEPPCPLCTRGTDDEGCVSFSEPLHVALRSARRNQGNSFSLGGSGGSTSANPSRSDHGLKRNQSALVARRSGTMFRNRFKKQFSFKGRFGKDLFGGRVFKKVGSSSSSGQQDDRQQPKA >ORUFI03G16440.3 pep chromosome:OR_W1943:3:11524887:11529137:-1 gene:ORUFI03G16440 transcript:ORUFI03G16440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNEPCWRMNSSFSPPMSRRWDCRNPSDGLPHRIHDAPPYVSSLSSHSKGSRSAFGSDQYLNHQHSVSDGALSYFGSPADSLQAPRWTPSLQRFDLGEFSTPAGGSRPDTSGYPQSSERQLTAVSSFSSASPFSESSQLASSSKPPYLHLPRNHLGRRSFMSKPVYPLVFRNPVSEAEASGMPEASNIGRTTPSDDSPVWRRSLASPELKFHNALSEFRKVEASPEPNTSSRREGFRWSNASSYDFGYDGDAIDISDHISVESQRSPTSSVRFLKCGLCERFLRQKSPWTSNRIVQNSDMPVAAVLPCRHVFHADCLEESTPKSQVHEPPCPLCTRGTDDEGCVSFSEPLHVALRSARRNQGNSFSLGGSGGSTSANPSRSDHGLKRNQSALVARRSGTMFRNRFKKQFSFKGRFGKDLFGGRVFKKVGSSSSSGQQDDRQQPKA >ORUFI03G16450.1 pep chromosome:OR_W1943:3:11541384:11542286:-1 gene:ORUFI03G16450 transcript:ORUFI03G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAQAVAVKGSVAVPPCGSRGRRRGAVASVRMAAAAATSALRIGRRSPFLGGRLAVGPRRSRPVPRNLVAPVQMNLAFAKATKWWEKGLQPNMREVESAQDLVDSLTNAGDNLVIVDFFSPGCGGCRALHPKICQIAEQNPDVLFLQVNYEEHKSMCYSLHVHVLPFFRFYRGAQGRLCSFSCTNATVRS >ORUFI03G16460.1 pep chromosome:OR_W1943:3:11544720:11544983:1 gene:ORUFI03G16460 transcript:ORUFI03G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVIGACSMAVGDFASIYTQLNIELAEQAAPWPDWPASSNMTGEYHGVQSRKNGSEAAISIIKIGYVQSGEKQEEKSTNKHGHYS >ORUFI03G16470.1 pep chromosome:OR_W1943:3:11546397:11550711:-1 gene:ORUFI03G16470 transcript:ORUFI03G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPPPPPPPHVRPAPATPSLAPPPPPQQQQQQPPGSAPPAATLLVRHLPEAIPQEMLSRLFSHYGATSVRPCAGGKLRNCAFVDFRDEVVASQAQSLLNRLRFLGKVLIVERANQPNSKNANEKQQEHEAQGALQVPSMNSLNQKNPISSAEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNLPAPFRMALPTPPLPSQVPAPPPPPPPPQPSAANKPHLTDLSSDESELESSDEDVDKRKVKRTKHEAIVGPAVDKSIAHEAVGVKPAALVSSELQVIKKKNPVLQINIAPKAAQKELTVQSTTDKELVPTAEQLQGKHFVTPQDIEKEKLPPEEILSLPMFKNYTPGNPASVLYIKNLAKDVIQDDFYYVFGSVFENMDIARSSLSIKLMQEGRMRGQAFVTFPSVELAQRALNLVHGFVFKGKPMIIQFGRNPAANKSS >ORUFI03G16480.1 pep chromosome:OR_W1943:3:11558986:11560296:-1 gene:ORUFI03G16480 transcript:ORUFI03G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSVMAEVEETAAAAPLDLPPGFRFHPTDEEIVSHYLTPKALNHRFSSGVIGDVDLNKCEPWHLPAMAKMGEKEWYFFCHKDRKYPTGTRTNRATESGYWKATGKDKEIFRGRGILVGMKKTLVFYLGRAPRGEKTGWVMHEFRLEGKLPSELPRSAKDQWAVCKVFNKELALAAKNGPMAVTGATADDAGIERVGSFSFLSDFIDPAELPPLMDPSFVADIDGVDDAKVSASTSGQAAIAAGFHVASQVMSYQQVKMEEPLPLPYLHQQPPRMLHSGQYFSLPAVHPGDLTPSAIRRYCKAEQVSGQTSALSASRDTGLSTDPNAAGCAEISSAPTSQPFPEFDDAILGLDDFWN >ORUFI03G16490.1 pep chromosome:OR_W1943:3:11579410:11581048:-1 gene:ORUFI03G16490 transcript:ORUFI03G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFGHHGHHGQDQPPQHHGGGGGGAHQPTFKIFCRADEGYCVAVREGNVVLAPTNPRDEHQHWYKDMRFSAKIKDEEGNPAFALVNKATGLAIKHSLGQGHPVKLAPFNPEYPDESVLWTESGDVGKSFRCIRMLNNIRLNFDAFHGDKDHGGVHDGTTIVLWEWAKGDNQCWKILPWGDEAYAGGSANAPRGGNEPTVRIFCKADEGFSVTVRGGSVCLAPTNPRDEYQHWIKDMRHSNSIKDEEGYPAFALVNRVTGEAIKHSQGEGHPVKLVPYNPGYQDESVLWTESRDVGHGFRCIRMVNNIYLNFDALHGDKDHGGVRDGTTVALWKWCEGDNQRWKIVPWCKLPHPNIARCNLFTSTIINFLDEKMRCAGAALVVAVICGVGRVCARGLLPSAFVARIKVVCDDQW >ORUFI03G16500.1 pep chromosome:OR_W1943:3:11584265:11584660:-1 gene:ORUFI03G16500 transcript:ORUFI03G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSPFTSGSWRPTRSRALDADDALLHSAACVPTPRSRAAAASNYRLCPGALRAADTLLDTAVACTMRPCEPPPPCSRAADAQSTHRWPGALLKPIAIGVVVFDSGSGEAGGEKRELARHHSWRGRRRGR >ORUFI03G16510.1 pep chromosome:OR_W1943:3:11596633:11598422:-1 gene:ORUFI03G16510 transcript:ORUFI03G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNPAMLPPGFRFHPTDEELIVHYLRNRAASSPCPVSIIADVDIYKFDPWDLPSKANYGDREWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHSSGGAATNESVGVKKALVFYKGRPPKGTKTNWIMHEYRLAAADAHAANTYRPMKFRNTSMRLDDWVLCRIYKKSSHASPLAVPPLSDHEQDEPCALEENAPLYAPSSSSAASMILQGAAAGAFPSLHAAAAATQRTAMQKIPSISDLLNEYSLSQLFDDGGAAAAAPLQEMARQPDHHHHHQQQHALFGHPVMNHFIANNSMVQLAHLDPSSSAAASTSAGAVVEPPAVTGKRKRSSDGGEPTIQALPPAAAAAKKPNGSCVGATFQIGSALQGSSLGLSHQMLLHSNMGMN >ORUFI03G16520.1 pep chromosome:OR_W1943:3:11609733:11610533:1 gene:ORUFI03G16520 transcript:ORUFI03G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGAKSSLLLAARQMTAPATPIHATCGRPSFFLRVRQMPAASPIHVARGLPPSMLQFISPSRCSPLWANGLNTSLFGVELHAPDPLSRDGRPGRSGLVGSTLVFAVRDFASLNLLRCRIDQESRDQLQSLHRPQLVLHAITVIFRRGNFGVDPKVKRFLGPKLI >ORUFI03G16530.1 pep chromosome:OR_W1943:3:11615593:11629658:1 gene:ORUFI03G16530 transcript:ORUFI03G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAAAGEGQRFKRIPRQSLAGNLELDPLLNENLDQWPHLNELVQCYKADFVKDDCKYGQYESVAPPSFQNQIFEGPDTDLETELQLSNDRQSKPDEVTEDDMPSTSGRQLYETEVPASSSKKHCSLSPLPAYEPAFDWENERSLIFGQRVPESVPAINSSGLKITVKVLSLSFQAGLVEPFSGTICLYNRDRREKLSEDFYFHILPTEMQDAQISLDRRGVFSLDAPSPSVCLLIQLEKAATEEGGVTPSVYSRKEPVHLTDKEKQKLQVWSRIMPYRESFAWAMIPLFENNQAGGAASPSSPLAPSMSGSSSQDSIVEPISKLTLDGKLNHYSSGSSVIVEISNLNKVKESYIEDSLQDPKRKVHKPVKGVLRLEVEKLHNGHNDMDNISEGGSMANDLNDAGELNNGRYNRSSFDGIHGSLNSSAVAQKDAHQNGQASNTESGENFQAFDFRMMTRSEPFSQLFHCLYVYPLTISLGRKRNLFVRVELRKDDSDIRKPPLEAVHPRDRNTTLQKWAHTQIAVGTRMACYHDEVKISLPALLTPQHHLLFTFYHVDLQMKPEAPKPVCFLFLITKVVVGYAVLPLSTHIQLLSDVSLPILRELVPHYLQESGKERMDYLEDGKTVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEVAAFRAMVNILTRVQQESSDGAERNRFLVNYVDYAFDDFGDRQAPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLGEDVPPLQLKDGVFRCIMQLFDCLLTEVHERCKKGLSLAKRLNSTLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSILHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLSQRAKAARILVVLICKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNAVEKREVLVVILQIIRNLDDMTLIKAWQQSIARTRLFFKLLEECITHFEHNKTGDSLLLGSSSRSPDAERPASPKYSDRLSPSVNAYLSEASRHEIRKNISDGNMPQGTPENGYMWNRVSPQLSSPNQPYSLREALAQAQSSRIGSTARALRESLHPVLRQKLELWEENLSTAVSLEVLGIIDKFSVAAASRSITTDYAKLDCVTSVLMGLLSRSQPLAFWKAFLPVVYNIFNLHGATLMARENDRFLKQIAFHLLRLAVFRNDSIRKRAVVGLQILVRNSFNYFKNTTRLRVMLTITLSELMSDVQVTQMKSDGSLEESGETRRLRKSLEEMADVRSKDLLKDCGLPVNALEAAPEGSTDNRWSWVEVKHLSKCLVQALDAGLEHALLGSEMTLDRYAAAEGFYKLAMAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDAVWSKEHVASLCKICPIVNTDVSSEASAAEVEGYGASKLTVDSAVKYLQLANKLFAQAELYHFCASIQELIIPVYKSRRAYGQLAKCHTSLKDIYESILEQEASPIPFIDATYYRVGFYGERFGKLNKKEYVFREPRDVRLGDIMEKLSHIYEAKMDGNHTLHIIPDSRQVNADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >ORUFI03G16540.1 pep chromosome:OR_W1943:3:11630600:11634330:-1 gene:ORUFI03G16540 transcript:ORUFI03G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHKSSPPAIRRRGVGMLRWAVRVASSIVLWTALLHLSSLLGLPRPPLLAARPSCLGGGGGSGGGSNSSASSAVTVAAADEVGRLAPPAVPRRTSDTLTLCQNLRSSHVGTWNLEAICDMVTVARYMNLTMVVPELDKQSFWADPSDFGDIFDVNHFINSLQDEVKIIRELPQKFSRKVPFSMQPISWTDSRLANNGLPLKLQKLRCRVNYNALQFAPSIEALGKKMISALRKTGSFIVLHLRYEMDMLAFSGCTHGCSDEETAELTRMRYAYPWWKEKEIDSEKKRLEGLCPLTPGETTLVLKALGFPRDTRIYIASGEIYGGEKRLTELKTEFPNIIRKEMLLSADELRPFQKHSTQMAALDYLVSIASDVFIPSNDGNMAKVVEGHRRFMGFHKTIQLDRKKLVELIDLLEDQELSWDEFSTAVKELHEGRMSEPTRRKAIAGQPKEEDYFYANPHECLGAARKRREKLKHTEI >ORUFI03G16550.1 pep chromosome:OR_W1943:3:11640859:11644769:1 gene:ORUFI03G16550 transcript:ORUFI03G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASRSLLAVILLAATAADVDALVFDVPSGGSKCLTEELRRGALSHASYRVAEATSAASSAVSARVAGPRGEELHLTEGVEAGEFRFEAAEDGRYTACFWTPRYLRGAIVSIDVQWTTEVRDHAGGAGSPPAVAAAKEGHIDSMIGELKKLEVSTRLIHEEMISLRRSEGEMQKLNEDTTMKIHSFTQLSLAVCVGVAGLQLWHLKTFFQKRHIL >ORUFI03G16560.1 pep chromosome:OR_W1943:3:11643808:11649178:-1 gene:ORUFI03G16560 transcript:ORUFI03G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSAAAGARQQQQQGEAVSDRVLRFRGVLVVVLAPVLLISLVLLLMPRAPASATVEGSAGELVAAAGRRWGPRAVSGLGDGSTRYAVIFDAGSSGSRVHVYCFDGNLDLLPIGKEIELFKQKKPGLSAYAMDPQEAAKSLVSLLEEAEKVVPVELREQTPVRVGATAGLRALGTEKSEEILQAVRDLLQDKSSFRSQPEWVTVLDGSQEGAFQWVTINYLLGNLGKPYSHTVGVVDLGGGSVQMAYAISEKDAGKAPPVAEGEDSYVKELLLKGTTYYLYVHSYLRYGLLAARAEILKAGEGNDYRNCMLEGHHGQYRYGDDIFEASGLSSGASYSKCRAVAVRALKVDEPACTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEAGFVNPKAPFAKVKPSDFEEAARRVCKLNVKDAQATYPDVSEENVPYLCMDLVYQYTLLVDGFGVDPYQDITLVKKVPYSNSFVEAAWPLGSAIEVASSS >ORUFI03G16570.1 pep chromosome:OR_W1943:3:11650024:11657323:-1 gene:ORUFI03G16570 transcript:ORUFI03G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFRCSQPCADVPVPVQRVSSRIRAAFLAKLADDLGVVLRAMLAKEELATVGNNGGWDSYSWAFKAKHSVVLFIVHNPGVSDDEACGPLIDSFAIKTLQPPQRTKGNMLKNGGFEEGPYIFPNTSWGVLVPPMDEDDHTPLSPWTILSTTKSVKYIDAAHYAEVSTVPGRAYRLEFSVGDAGDGCSGSLTVQAYACGDERGGRRGGGRRRAALLACCCPVRRGGRPSAEEKIDAGATATAVRSVDGRTAIAATSGGVAAMGNPTAAAITQVLHNKQEFGLTAGVDDLLVVSIGSGSSSAAPSATPSSRGLLLGPEVAVEVAASAAGRPDGWRGREKRGTPSMRRGHRAAAGPGRGGGGDEEDADNHHGNADDHHAHRPPRARTPPSPPAAARSCYSPAAKRSKKRREKKKRERERNDVAR >ORUFI03G16580.1 pep chromosome:OR_W1943:3:11669181:11673126:1 gene:ORUFI03G16580 transcript:ORUFI03G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGLLLIQDHGDKEMIRLAFGPEALLQSVMAQARKELALLPPQPPPSSSSPTVPAAHSPFLLSRQNSGRGPAPSPSPLSASSPSSWAQAQPFSRSNGSVDEVVGAGEELISPANSGGGAAANAPPFFPRGGDVLLDDFQLQEQLAFLNEGGVNPSHPLQGFDGAECRSPGPGEGGGMFPYGLGWANGGPGHRRSASVNELCLGGGSSDGFGWKPCLYYARGTAAAAGSSTATTPPLWRALLWTRPTGAAMDAATAEQQQCQDFLLRSKSQRLGPAAFPYSPTGSLPGSPSAATKCLSLLLQQQHNDNQRAAAAAALMLGGSDEAHKFMGRPRLDRVDFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKKHQGDFSGCTTPTGLDGRDPFDLHQLGARMLQHSNSTNEMILRRKLEEQQQAAELQQAIELHSRRLMDLQLLDLKNRAAAAVTTAMAMTIPTANAFGSSQPLATTMVESPPDSGEQLKGTGYFTEERKMVNGGGDKEESAGEASLNADSDQSFHDLMHIEAEKLGAQFAGQPVCFAD >ORUFI03G16590.1 pep chromosome:OR_W1943:3:11694003:11697515:1 gene:ORUFI03G16590 transcript:ORUFI03G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVALDPDHAAKIMGLLLIQDHGDKEMIRLAFGPEALLHSVMAQARKELALLPPPQAASSSPTVPAAHSPFLLSRQNSGRCPAPSPSSWAQAQPFSRSNSMGNGGAADEMVGAGEELMSPLNGGGGAAANAPPFFPRGGDALLDDFELQEQLAFLHDGAGGVNPGHALQAFDGAECRSPGPGESGGMLPYGLAWANGGPGHRRSASVNELCLGGDGFGWKPCLYYARGFCKNGSTCRFVHGGLSDDAAMDAATAEQQQCQDFLIRSKSQRLGPAAFPFTPTGSLPASPSATSKCLSLLLQQQQQHNDNQRAAAAALMLAGGDEAHKFMGRPRLDRVDFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRKQQQGDFCCMSPTGLDARDPFDFHQLGARMLQHSNSANELMLRRKLEEQQQAAELQQAIDLHSRRLIGLQLLDLKSSAAVHAAETTTMSLPTPITNAFTSGQPGATTIVESPPSSTGQLMASCGSPSEGKVVNGGNKADSAGEVTRNADSDQSGEHNLPDSPFASSTKSTAFSTATAATAIGSEGDFTTGSSCNIGGSAVGGANPLRPPTLDIPSPRTCFFPMPRNT >ORUFI03G16590.2 pep chromosome:OR_W1943:3:11694003:11697515:1 gene:ORUFI03G16590 transcript:ORUFI03G16590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVALDPDHAAKIMGLLLIQDHGDKEMIRLAFGPEALLHSVMAQARKELALLPPPQAASSSPTVPAAHSPFLLSRQNSGRCPAPSPSSWAQAQPFSRSNSMGNGGAADEMVGAGEELMSPLNGGGGAAANAPPFFPRGGDALLDDFELQEQLAFLHDGAGGVNPGHALQAFDGAECRSPGPGESGGMLPYGLAWANGGPGHRRSASVNELCLGGDGFGWKPCLYYARGFCKNGSTCRFVHGGLSDDAAMDAATAEQQQCQDFLIRSKSQRLGPAAFPFTPTGSLPASPSATSKCLSLLLQQQQQHNDNQRAAAAALMLAGGDEAHKFMGRPRLDRVDFASMMNPGSRQIYLTFPADSTFREEDVSNYFRYIMRCCNDASSFFHGGLGRTRSDWHFCIAVLGNAPRCSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRKQQQGDFCCMSPTGLDARDPFDFHQLGARMLQHSNSANELMLRRKLEEQQQAAELQQAIDLHSRRLIGLQLLDLKSSAAVHAAETTTMSLPTPITNAFTSGQPGATTIVESPPSSTGQLMASCGSPSEGKVVNGGNKADSAGEVTRNADSDQSGEHNLPDSPFASSTKSTAFSTATAATAIGSEGDFTTGSSCNIGGSAVGGANPLRPPTLDIPSPRTCFFPMPRNT >ORUFI03G16600.1 pep chromosome:OR_W1943:3:11703417:11703984:1 gene:ORUFI03G16600 transcript:ORUFI03G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAGGDAQHAQRQHDAEAIRGGATAGAARRGGDGDGDGTALSSGRHLLSGEHAAFSPLPSSSKARVRVFFFSPFPVVIAIRRPPPCILLDLVSS >ORUFI03G16610.1 pep chromosome:OR_W1943:3:11716516:11720419:-1 gene:ORUFI03G16610 transcript:ORUFI03G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYGRDPWGGPLEICHDSATDDDRSRNLDLDRGALSRTLDETQQSWLLAGPGDQGRKKKKYVDLGCLVVSRKLFVWTVGVLLAAAVFAGLVAGIAKAIPRHHRPPPPPDDFTVALRKALMFFNAQKSGKLPKNNNVHWRGNSCMKDGLSDPAVGRSLVGGYYDAGDAVKFNFPAAFSMTLLSWSVIEYSAKYEAVGELGHIRDTIKWGADYFLKTFNSTADTIDRVVMQVGSGATSPGSTQPNDHYCWMRPEDIDYPRPVVECHACSDLAAEMAASLAAASIVFKDNKAYSQKLVHGATTLFKFARQNRGRYSAGGSDAAKFYNSTSYWDEFVWGGSWMYLATGNSSYLQLATHPKLAKHAGAYWGGPDYGVFSWDNKLTGAQVLLSRLRLFLSPGYPYEEILRTFHNQTSIIMCSYLPIFKSFNRTKDTPGWYCGPHFYPIETLRNFARTQIEYILGKNPLKMSYVVGYGNRYPKRVHHRGASIPKNGVHYGCKGGWKWRETKKPNPNIIVGAMVAGPDRHDGFKDVRKNYNYTEATLAGNAGLVAALVALSGEGHGVDKNTMFSAVPPMFPSPPPPPAPWKP >ORUFI03G16620.1 pep chromosome:OR_W1943:3:11722674:11723171:-1 gene:ORUFI03G16620 transcript:ORUFI03G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTPAVTEARENPGEAPALLLYGYKVIAAGVRLHLHCCCAARRSQGRSRKEMRLVRGARARQRGPSASPDGNQWPPPSLALLPHGGDVRLRLHCCCGGEIGGRQGRGAERGGGVAPMR >ORUFI03G16630.1 pep chromosome:OR_W1943:3:11730024:11735322:1 gene:ORUFI03G16630 transcript:ORUFI03G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPGGCARMRRVVLGVVLLLSVCVRASHAVTDSQDTSVLRALMDQWQNAPPTWGQSDDPCSDSPWDGVVCSNNRVISIKISTMGIKGVLAADIGQLTELQSLDMSFNKDLGGVLTPNIGNLKQLTTLILAGCSFHGNIPDELGSLPKLSYMALNSNQFSGKIPASMGNLSNLYWFDIADNQLSGPLPISTNGGMGLDKLFKTKHFHFNKNQLSGPIPDALFSPEMTLIHLLFDGNKFTGNIPDSLGLVTTLEVVRLDRNSLSGPVPENLNNLTKVNELNLANNQLTGPLPDLSQMTQLNYVDLSNNTFDPSPSPQWFWRLPQLSALIIQSGRLYGTVPMRLFSGPQLQQVILDGNAFNGTLDMGKYISSELSIVSLKDNQLSSVTVTASYNGTLSLAGNPVCDRLPNTQYCNVTQRAAAAPYSTSLVKCFSGTCNAVGESMSPQSCACAYPYQGVMYFRAPFFGDVTNGTAFQELESRLWTKLDLTPGSVFLQDPFFNADAYMQVQVKLFPSGSAYFNRSEVMRIGFDLSNQTFKPPKEFGPYYFIASPYPFPEERSSSRSKGAIIGIAAGCGVLVVALVGAAVYALVQRRRAQKAREELGGPFASWKRSEERGGAPRLKGARWFSYEELKRSTNNFAEANELGYGGYGKVYRGMLPTGTFIAIKRAQQGSMQGGHEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMSAGTLRDSLSGKSGLHLDWKKRLRVALGAARGLAYLHELADPPIIHRDVKSSNILMDEHLTAKVADFGLSKLVSDTERGHVSTQVKGTLGYLDPEYYMSQQLTEKSDVYSFGVVMLELIIAKQPIEKGKYIVREVKRAFDAGDAEFCGIKDMIDARIMNTNHLAAFSKFVQLALRCVEEVAGARPSMSDVVKEIEMMLQSEGLSSASTSASTSATEFDVTKGAPRHPYNDPLPKKDKDMSTDSFDYSGGYSFQSKIEPK >ORUFI03G16640.1 pep chromosome:OR_W1943:3:11738494:11743533:1 gene:ORUFI03G16640 transcript:ORUFI03G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPILPKSLKDIPRSHNTQNILMPGQLPNDSMPLHQSATQSSISHPRASVVRSSYSAMLGYAANPIDSVSSHEGHFMAAPFISQSSNAEMLQYLCNNNTHGGHTVPTFFPAPACGAPDYMDTITVPDNHTQSGSSTVTSDAAKQNEWWADIMNDDWKDILDATATDSQSKKYRTARYKPDLSEGKTQEGKTTDELSLDLKASMDLTEALRLQMEVQKRLHEQLEIQRKLQLRIEEQGKYLQKMFEKQCKSSTQSVQDPSSGDTATPSEPSNSVDKDSEAALDPNRIGDNHPKNSTNVGANLKTAATESPDSPVIATDGSELPQEKRRRVHES >ORUFI03G16650.1 pep chromosome:OR_W1943:3:11746004:11750536:-1 gene:ORUFI03G16650 transcript:ORUFI03G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATPPPAKRRRGPRLAVLALVFCSLLVPIAFLFNRFPAVYVTDERPQQEIDLPSFGRMGLERSGGVTVVKPEDGSGANAETKDTPEMIHRGGINSHHHSDNVPSKVSANPKVPPPPKIEPLKPKAKSVPVPVQRTEVISGNNMKPAKVQNADDVEKAKACQLEFGSYCLWSIEHKEVMKDTIVKRLKDQLFVARSYYPSIAKLKGKEALTRGLKQNIQEHERVLSESIVDADLPSFIKSKIEKMDQTIGRAKACTVDCSNVDRKLRQILHMTEDEAHFHMKQSAYLYNLGVHTMPKSHHCLNMRLTVEYFKSAPLDSDDSAVHKFNVPDHRHYVILSKNVLAASVVINSTVSNSEETENVVFHILTDAQNFYAMKHWFGRNSYRESAVHVINYEHIILENLPEFSSQQLYLPEEFRVFISNLERPSEKTRMEYLSVFSHSHFFIPEILKDLKKVIVLDDDVVVQRDLSFLWNIDMGDKVNGAVKFCGLRMGQLRNLLGKATYDPQSCAWMSGVNVIDLEKWREHNVTENYLQLLKKFQHNDDEASVRAAALPISLLAFEHLIYPLDERLTISGLGYDYAIKEELVRNSVSLHYNGNMKPWLELGIPDYRKYWKRFLTRDERFMDECNLEKLVLS >ORUFI03G16660.1 pep chromosome:OR_W1943:3:11758002:11762336:1 gene:ORUFI03G16660 transcript:ORUFI03G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRRWELAAHRRLEKGKVVGVVVLDGWGEAAPDTFNCIHVADTPTLDALKKGGPERWRVIKAHGTAVGLPTDDDMGNNMALASGKIYEGEGFKYIQQSFENGTLHLIGLLSDGGVHSRIDQLQLLLKGASEHGAKRIRVHILTDGRDVLDGSSVKFVELIENDLAKLRDKGNDWQVVKRGWDAQVLGEAPHKFQNALEAVKKLREDPKANDQYLPPFVIVDERGRPIGPIMDGDAVVTFNFRADRMVMLAKALEYESFDKFDRVRFPKIRYAGMLQYDGELKLPSHFLVAPPEIERTSGEYLARNGIRTYACSETVKFGHVTFFWNGNRSGYFHPNLEKYEEIPSDIGIPFNEQPKMKAVEIAKKTRDAILSRKFDQVRVNIANGDMVGHTGDIEATIVGCKAADEAVKIVLDAIEQVGGIFVVTADHGNAEDMVKRDKSGKPLRDKDGNVQPLTSHTLNPVPIAIGGPGLQPGVRFRSDLPSAGLANVAATVMNLHGFEAPDHYEPTLIEVVDK >ORUFI03G16670.1 pep chromosome:OR_W1943:3:11763637:11768818:-1 gene:ORUFI03G16670 transcript:ORUFI03G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVASNVELDSAVFQVSSAQNRYEAIACSKGNTELIASGPFDQLVLHLEDARKFQSCSTAGTFKLSLSGNAKGSSWFTKSTIARFLNTINSPDASKSANGILHEISQLEETRKFHQSLYSKEQRNPMGGALSGGVFGTIGVEQQGNVGPNSSEATKNELLRALDLRLTVLKEEIFALLNRAVVSNMSTRDVSDLSSFVQHFGASEFSWLMRCLLLIPDCQPSEVSQQQSFPAEKDDKGENALHTRNISSHTIIQRPITNNVSPAKLAQIERESSTESDDSSESSAEDEAVVERSRPLMRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDESGSCNGETDQPSRKSDNNVRRMSVQDAINLFESKQKDQNPDSQNKKAGLFATKSVLRRWSAGMGDSLNNNSEEKTIDSTSQSKSNNTGSDSEKDGAETQAEPGSASASNTVVTPSVEGFHTNMQGVAMPETETAVSSHTEISAEQTKSGQEENSDRAMASAEWNRQKEAELNQMLMKMMQVMPGKFSSANVTTTGITSTNEKKGGLQGQHKEKRDSKVRTDKGGRRPAKEASTRPLKETVGQKKAAITPKTGTAAEKRNSPVPQRARRNSSPPVLPKELTPKAPARKSSPKPSPAPVTRSSWSGGSLTKATTAQKTKSSPGTVSAPTATSRRRTPVAPSPSQPTSKVERSAQPVKNKKETVAASKPAIKGIEEKKTKTATKTSRLAKSTPISDEKSSAATRPNLYNKVAKKSSVVPLESKPSKKATGISQSAGTDAVKSKMPQLDDSSNDIGNITQAEDKEHSAVTTQPKTTKVLEADLAQPAHDVDENLEISLDNDLNIEKTENSAPSLATTEMDSSEQVEPHTEVQPPPEEDMGISSAAWVEVEHEEVTDVGENVVPEDVTSPSIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGLKRLLKFARKNKGDSNSNGWASPSVVSEGEDELEEPRGGNEGVNSSRRTFDGPKTNSILSAQSTTGSFNSTNSDRLRDRPGAAPSTKSSRSFFSLSNFRSSRSNESKLR >ORUFI03G16670.2 pep chromosome:OR_W1943:3:11763637:11768818:-1 gene:ORUFI03G16670 transcript:ORUFI03G16670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVASNVELDSAVFQVSSAQNRYEAIACSKGNTELIASGPFDQLVLHLEDARKFQSCSTAGTFKLSLSGNAKGSSWFTKSTIARFLNTINSPDASKSANGILHEISQLEETRKFHQSLYSKGNVGPNSSEATKNELLRALDLRLTVLKEEIFALLNRAVVSNMSTRDVSDLSSFVQHFGASEFSWLMRCLLLIPDCQPSEVSQQQSFPAEKDDKGENALHTRNISSHTIIQRPITNNVSPAKLAQIERESSTESDDSSESSAEDEAVVERSRPLMRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDESGSCNGETDQPSRKSDNNVRRMSVQDAINLFESKQKDQNPDSQNKKAGLFATKSVLRRWSAGMGDSLNNNSEEKTIDSTSQSKSNNTGSDSEKDGAETQAEPGSASASNTVVTPSVEGFHTNMQGVAMPETETAVSSHTEISAEQTKSGQEENSDRAMASAEWNRQKEAELNQMLMKMMQVMPGKFSSANVTTTGITSTNEKKGGLQGQHKEKRDSKVRTDKGGRRPAKEASTRPLKETVGQKKAAITPKTGTAAEKRNSPVPQRARRNSSPPVLPKELTPKAPARKSSPKPSPAPVTRSSWSGGSLTKATTAQKTKSSPGTVSAPTATSRRRTPVAPSPSQPTSKVERSAQPVKNKKETVAASKPAIKGIEEKKTKTATKTSRLAKSTPISDEKSSAATRPNLYNKVAKKSSVVPLESKPSKKATGISQSAGTDAVKSKMPQLDDSSNDIGNITQAEDKEHSAVTTQPKTTKVLEADLAQPAHDVDENLEISLDNDLNIEKTENSAPSLATTEMDSSEQVEPHTEVQPPPEEDMGISSAAWVEVEHEEVTDVGENVVPEDVTSPSIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGLKRLLKFARKNKGDSNSNGWASPSVVSEGEDELEEPRGGNEGVNSSRRTFDGPKTNSILSAQSTTGSFNSTNSDRLRDRPGAAPSTKSSRSFFSLSNFRSSRSNESKLR >ORUFI03G16670.3 pep chromosome:OR_W1943:3:11763637:11769927:-1 gene:ORUFI03G16670 transcript:ORUFI03G16670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPHRPTPAHAQTPRPSVSRLTSSSPPHSKLGSTSPLHQWQGTRRRGAGGERRPPPLDPENSDRAMASAEWNRQKEAELNQMLMKMMQVMPGKFSSANVTTTGITSTNEKKGGLQGQHKEKRDSKVRTDKGGRRPAKEASTRPLKETVGQKKAAITPKTGTAAEKRNSPVPQRARRNSSPPVLPKELTPKAPARKSSPKPSPAPVTRSSWSGGSLTKATTAQKTKSSPGTVSAPTATSRRRTPVAPSPSQPTSKVERSAQPVKNKKETVAASKPAIKGIEEKKTKTATKTSRLAKSTPISDEKSSAATRPNLYNKVAKKSSVVPLESKPSKKATGISQSAGTDAVKSKMPQLDDSSNDIGNITQAEDKEHSAVTTQPKTTKVLEADLAQPAHDVDENLEISLDNDLNIEKTENSAPSLATTEMDSSEQVEPHTEVQPPPEEDMGISSAAWVEVEHEEVTDVGENVVPEDVTSPSIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGLKRLLKFARKNKGDSNSNGWASPSVVSEGEDELEEPRGGNEGVNSSRRTFDGPKTNSILSAQSTTGSFNSTNSDRLRDRPGAAPSTKSSRSFFSLSNFRSSRSNESKLR >ORUFI03G16670.4 pep chromosome:OR_W1943:3:11768876:11769927:-1 gene:ORUFI03G16670 transcript:ORUFI03G16670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPHRPTPAHAQTPRPSVSRLTSSSPPHSKLGSTSPLHQWQGTRRRGAGGERRPPPLDPGFEVDEELLLWWEVVV >ORUFI03G16680.1 pep chromosome:OR_W1943:3:11784636:11785026:-1 gene:ORUFI03G16680 transcript:ORUFI03G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLAWYSTFGGRNSPLHYAAAQGHHEIVSLFLESDVEINLRNYRAQVNQDFWYI >ORUFI03G16690.1 pep chromosome:OR_W1943:3:11796461:11799976:1 gene:ORUFI03G16690 transcript:ORUFI03G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTISSEQQKDILKSDARRLVEGPAAAHDVVYAVLRSSVRTAMVVRPKAAANGGRGVERRHGGGGSRKIWRPPPSPTGSRPEVFVESPRMSTFGGDQGGIGGGRQVARARTAGKKAQTPASSDAASTSCKLLSFSALSHRAGELLRQGQWRRRRPKRRGNELPGDTEVGDAERSVWTTTRLSLTRLHGGVRRQTARPLLSLSGPDGGDLDGGMAARMARGHRRWRLPPPTPLRSDGLSVGSSSRRSPRDLVFLADDERRHGRPHGGRRRPEPRDLVFLSKPGEAAAHWIKATAARLDAALTSSSSPSPRFPHRAGELLRRGRWRRHGSKRRGDELPGDIEVGGTERETATRSTLERARGDGELEACPPQRELQAFPLPRASEESRFLAWERAAQQGRGATRHVGEIPSWHNKATLHLGAL >ORUFI03G16700.1 pep chromosome:OR_W1943:3:11802017:11809407:1 gene:ORUFI03G16700 transcript:ORUFI03G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQARIEDPRGLSSSRTVAEAHEQRSHHHHLVFAGSKCRWHGSRQDGAKELPVMVDQKRSKLDNCSQPISESDDINSESLPAKDDDYNCDSDDNSASHVNNSSSSFADMLKSSVGDQLPSDVQDKICHLLCNHFGKEDEIFEDKAKKLLIDVLLLLADCSSNYPTQPAAHKIQEIEPDHEPVEENNDDVNQAVQKNNNFTLSPKLFFPIFYKRHWFVFIVHLKDEMFVFLDSLHEEGSEYQDEVKNRLTSNFALAWNSIMEEYQINFDAFKNVYPPVSRQNNLFDCGVFTLKYMELWGARVQLTNHFSQKDIQNIRIQYVNRLFFHPDNSVLGTGTKKLVIDFAQGN >ORUFI03G16710.1 pep chromosome:OR_W1943:3:11810281:11812051:1 gene:ORUFI03G16710 transcript:ORUFI03G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLKKIMMMLIKLCKKITISPHLQSKIVLDDDKLPIPNEEFNPITLRKLSQTFAAAVESPIICSDSPDSPDVVLLKFSKKCADIGNKTNMMYNKMNRILLDSQKQNFKTFASPERVLLCNMKNFNPSTSGTKPIHHDLRR >ORUFI03G16720.1 pep chromosome:OR_W1943:3:11819386:11826236:1 gene:ORUFI03G16720 transcript:ORUFI03G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYIHDTRGEDEGRRPRSPPSSAPHGDPLHHALELAEVDAAVAVAVDVADHLLHRGEVPGLGEAELLEHGLQLGGGDEAVAVLVEHPERLLHVALVVVLPLLPGLLLLDGAEERVAERAVECLEVAEAEPRGARGDVAPDRGLQPRAVGAEAERVERGRHLVERDLAVAVAVEQVEHPAQPQRVQAAVPEAERRRGLPRQRRLCGDASCVHLARFPWRLHLSSRGVY >ORUFI03G16730.1 pep chromosome:OR_W1943:3:11825354:11825926:-1 gene:ORUFI03G16730 transcript:ORUFI03G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGVAAKPSLSRKPSPSFRLRNGSLNALRLRRVFDLFDRNGDGEITLDEMASALDALGLGADRAGLEATVGGYIPAGAAGLRFGDFEALHRALGDALFGPVEEEEPGKQGEDDDEGDMKEAFRVFDEDGDGFISAAELQAVLKKLGLPEARNLATVQEMICNVDRDCDGRVDFGEFKCMMQGITVWGA >ORUFI03G16740.1 pep chromosome:OR_W1943:3:11833302:11834518:1 gene:ORUFI03G16740 transcript:ORUFI03G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPWCCGPLAAYLDLSLFSLTNGLAEALIGLGMFFWPFLEGLLILANALAILNEDRFLAPRGWSMSEVSGNGRSKSLKGQIVGLIYATQFFRMPLIALNVLIIVVKLVSG >ORUFI03G16750.1 pep chromosome:OR_W1943:3:11836756:11850625:-1 gene:ORUFI03G16750 transcript:ORUFI03G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGMVVVVAAKVLVSLWCVGACCLAAYLYRVVWVAPRRVLAEFRRQGIGGPRPSFPYGNLADMREAVAAARHQLAEARRRRRARDSGDGGSGAGIVHDYRPAVLPFYEKWRKDYGPIFTYSMGNVVFLHVSRPDVVRDINLCVSLDLGKSSYLKATHEPLFGGGILKSNGEAWAHQRKIIAREFFLDKVKGMVDLMVDSAQTLLKSWEEGIDKNGGTIDIKIDDDIRAYSADVISRTCFGSSYIKGKNIFLKIRELQKAVSKPNVLAEMTGLRFFPIKRNKQAWELHKQVHKLILEIVKESGEERNLLRAILLSASSSKDRVREEVQEVCAGQPVDSQSLQKMKNLTMVIQETLRLYPAGAFVSRQALQELKFGGVHIPKGVNIYIPVSTMHLDPNLWGPDVKEFNPERFSNAQPQLHSYLPFGAGARTCLGQGFAMAELKTLISLIISKFVLKLSPNYEHSPTLKLIVEPEFGVDLSLTRVQGKMSMDKWFMSTSYGYVVSTKRQHILETLKVNACQISEEPEIVCQERGSQHTCMMNML >ORUFI03G16750.2 pep chromosome:OR_W1943:3:11836756:11850625:-1 gene:ORUFI03G16750 transcript:ORUFI03G16750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGMVVVVAAKVLVSLWCVGACCLAAYLYRVVWVAPRRVLAEFRRQGIGGPRPSFPYGNLADMREAVAAARHQLAEARRRRRARDSGDGGSGAGIVHDYRPAVLPFYEKWRKDYGPIFTYSMGNVVFLHVSRPDVVRDINLCVSLDLGKSSYLKATHEPLFGGGILKSNGEAWAHQRKIIAREFFLDKVKGMVDLMVDSAQTLLKSWEEGIDKNGGTIDIKIDDDIRAYSADVISRTCFGSSYIKGKNIFLKIRELQKAVSKPNVLAEMTGLRFFPIKRNKQAWELHKQVHKLILEIVKESGEERNLLRAILLSASSSKVELAEAENFIVDNCKRYESTAVTAAWCLMLLGLHPEWQDRVREEVQEVCAGQPVDSQSLQKMKNLTMVIQETLRLYPAGAFVSRQALQELKFGGVHIPKGVNIYIPVSTMHLDPNLWGPDVKEFNPERFSNAQPQLHSYLPFGAGARTCLGQGFAMAELKTLISLIISKFVLKLSPNYEHSPTLKLIVEPEFGVDLSLTRVQGKMSMDKWFMSTSYGYVVSTKRQHILETLKVNACQISEEPEIVCQERGSQHTCMMNML >ORUFI03G16750.3 pep chromosome:OR_W1943:3:11836756:11850625:-1 gene:ORUFI03G16750 transcript:ORUFI03G16750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGMVVVVAAKVLVSLWCVGACCLAAYLYRVVWVAPRRVLAEFRRQGIGGPRPSFPYGNLADMREAVAAARHQLAEARRRRRARDSGDGGSGAGIVHDYRPAVLPFYEKWRKDYGPIFTYSMGNVVFLHVSRPDVVRDINLCVSLDLGKSSYLKATHEPLFGGGILKSNGEAWAHQRKIIAREFFLDKVKGMVDLMVDSAQTLLKSWEEGIDKNGGTIDIKIDDDIRAYSADVISRTCFGSSYIKGKNIFLKIRELQKAVSKPNVLAEMTGLRFFPIKRNKQAWELHKQVHKLILEIVKESGEERNLLRAILLSASSSKVELAEAENFIVDNCKRYESTAVTAAWCLMLLGLHPEWQDRVREEVQELTMVIQETLRLYPAGAFVSRQALQELKFGGVHIPKGVNIYIPVSTMHLDPNLWGPDVKEFNPERFSNAQPQLHSYLPFGAGARTCLGQGFAMAELKTLISLIISKFVLKLSPNYEHSPTLKLIVEPEFGVDLSLTRVQGKMSMDKWFMSTSYGYVVSTKRQHILETLKVNACQISEEPEIVCQERGSQHTCMMNML >ORUFI03G16760.1 pep chromosome:OR_W1943:3:11861566:11864171:1 gene:ORUFI03G16760 transcript:ORUFI03G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGKRRSARLLKLEEQKNDDTTATGCLLDPWQIIRNSIAGVRGKRKRNEEIQHLQGEASSSQALCATTDINNLSSKSSAVQIIEYILDTLEMRDTHELFAMPDDIQVTDYAERVNRPGDFATLRQKNKDGMYNTLEQFENDVYMVFQKAMSINSEDTIPYREAMSLLHQAKQVFLSLKSNQMYSESELAAWRQKNLVSQSPAKLNGKFDGSKVGSGSGAGGAAPTTPQRPSAPARKKIAAKTGAVAAATSVKSTTRQRAARESNGAPGRRARKAASVTPGTAEHGGAGASATVEQRRLAYADEADHGGWRPVPVVSTGQHATLVYRPQTAAHTYQDSLRRFVRHAGLKARVAAEFRNLECDVRARQAAPAPGYWPNCGFASSSGAGTASRSFLPHGRCPPPSPPSAAFGGGGAAAASSADAAGNKAPPRCRLETDEVLKLLVLIGRPAFMERARRVLGHERQESSSKQGHDQKPAVTRAGDDDGGAKAGVTAAKPGKKKGSASKPAAVEFGPFAPPKLVIPGRQLGFSQFAGSSSQPFKVTPTTPNVPDKKKKKRG >ORUFI03G16770.1 pep chromosome:OR_W1943:3:11864590:11867102:-1 gene:ORUFI03G16770 transcript:ORUFI03G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIPVACLEDNYAYLIVDESTKSAAAVDPVEPEKVLAAAAEVGVRIDCVLTTHHHWDHAGGNEKMAQSVPGIKVYGGSLDNVKGCTDQVENGTKLSLGKDIEILCLHTPCHTKGHISYYVTSKEEEDPAVFTGDTLFIAGCGRFFEGTAEQMYQSLCVTLGSLPKPTQVYCGHEYTVKNLKFILTVEPDNEKVKQKLEWAQKQREANQPTIPSTIGEEFETNTFMRVDLPEIQAKFGAKSPVEALREVRKTKDNWKS >ORUFI03G16780.1 pep chromosome:OR_W1943:3:11870840:11871766:-1 gene:ORUFI03G16780 transcript:ORUFI03G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIFDVGQKKRSADDFPLCVHLVSWEKENVSSEALEAARIACNKYMAKHAGKDAFHLRVCAHPYHVLRINKMLSCAGADRLQTGMRGAFGKPTGTCARVRIGQVLLSVRCRDANAAHAQEALRRAKFKFPGRQRVIFSAKWGFTRFKRDEYLKLKSEGRIVPDGVNAKVRTKPRCIARNDKIITKLINACMVNSG >ORUFI03G16790.1 pep chromosome:OR_W1943:3:11872340:11874598:-1 gene:ORUFI03G16790 transcript:ORUFI03G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPGLQDFPIKCTHTQVVARGIIDRTISCPGPAGLAAANSAIAWHIELIDRGRSRLAKLRPMAFSRATAAAVVALVVLLPHLVVAVVLDVGGGVAARPCHSASVDGDAGCLSWRVMVEANNARGWRTVPAACVAYVRGYMTRGQYGRDLSSVMDQVAAYVDTVEADGDGLDAWILDIDDTCLSNLLYYEAKQFGAYDPSAFKTWASKGACPGIPAVLELFATLQAKGFKVFLLSGRDEETLATCTSENLESEGFLGYERLIMRSPEYRGQSSSVFKSAMRKRLVEEEGYRIRGNVGDQWSDLQGDYVGDRVFKIPNPMYYVP >ORUFI03G16800.1 pep chromosome:OR_W1943:3:11877636:11880970:-1 gene:ORUFI03G16800 transcript:ORUFI03G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAAAAAAAAAVSSSSPLFSSSSSRPLPRRHLPPSSVSLRPRRRSIAAASAAVESAGRPLLEARDLVACVKENGQEILSGVNLTIREGEIHALMGQNGSGKSTLMKVLAGHPEYEVTGGTVLFKGENLIDMEPEERSLAGLFMSFQAPVEIPGVNNYDFLLMALNARREKKGLPALEPLQVYPIIEEKVNALNMNAEILNRAVNEGFSGGERKRNEILQLSVLGADLALLDEIDSGLDVDALEYVAKAVNGILTPNSSLMMITHYQRLLDLIKPSYVHIMEKGKIVKTGDRALANYIDESGYKAIATA >ORUFI03G16810.1 pep chromosome:OR_W1943:3:11887872:11894312:1 gene:ORUFI03G16810 transcript:ORUFI03G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKIFEGSLVLLSLLLFTSLAVADIASEKQALLAFASAVYRGNKLNWDVNISLCSWHGVTCSPDRSRISALRVPAAGLIGAIPPNTLGRLVSLQVLSLRSNRLIGSIPSDITSLPSLQSIFLQDNVLSGDLPSFFSPTLNTIDLSYNSFAGQIPASLQNLTQLSTLNLSKNSLSGPIPDLKLPSLRQLNLSNNELNGSIPPFLQIFSNSSFLGNPGLCGPPLAECSLPSPTSSPESSLPPPSALPHRGKKVGTGSIIAAAVGGFAVFLLAAAIFVVCFSKRKEKKDDGLDNNGKGTDNARIEKRKEQVSSGVQMAEKNKLVFLDGCSYNFDLEDLLRASAEVLGKGSYGTAYKAILEDGTIVVVKRLKDVVAGKKEFEQQMEQIGRVGKHANLVPLRAYYYSKDEKLVVYEYVATGSFSAMLHGIKGIVEKTPLDWNTRMKIILGTARGIAHIHAEGGSKLAHGNIKATNVLLDQDHNPYVSDYGLSALMSFPISTSRVVVGYRAPETFESRKFTHKSDVYSFGVLLMEMLTGKAPLQSQGQDDVVDLPRWVHSVVREEWTAEVFDVELMKYLNIEDELVQMLQLAMACTSRSPERRPTMAEVIRMIEELRQSASESRDSSNENARESNPPSA >ORUFI03G16820.1 pep chromosome:OR_W1943:3:11893408:11897339:-1 gene:ORUFI03G16820 transcript:ORUFI03G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKKRNKKKKGNQGKNTGDVTSSTAEAAIQSHNHETAPNDHHNGTDADDAMSTVGEEAPQYQNHEPDRQANHDSTNTDDVMSSVGEGIPFQNLDPAMTHENHKVSSTAHADQRSVEMSDSTVELDMHRLYEAKLDKLHDTIKKLEDEKSLWHQKMSSMEIEVEKLHNKVDYHAQNEVRLEEKLNNLQNGYDVLIKKEVALDNKVRSIEVINDALTHQETSLKERLSGLEETNKVLLVQVKVLEEASNNTVEESQRLVKGFDELASRLGVFEAKSALTEASVTKKGNELIVDRSVNSSAAITSVDNYSPINSSPSNAYVSNHLEEAPMQLPETTINDVSSEGLIDMNAHQRSKQDFDEPRTSEEILPVALDDIQIHEEDPQPPVADDEAEEVPFSDAPIVGAPFRLISFVARYVSGADLVNQK >ORUFI03G16830.1 pep chromosome:OR_W1943:3:11898210:11909085:1 gene:ORUFI03G16830 transcript:ORUFI03G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADGGGEQPHKAHRQHKSGAKARKKKGKGKGGGGDDDGGERKNPKAFAFQSAAKAKRLQARSAEIEQRRLHVPIMDRSIGEPPPFVVVVQGPPQVGKSLLIKCLVKHYTKQNLSEVRGPITVVSGKSRRVQFLECPNDINGMIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDKFKDVKKLRKTKQRLKHRFWAEIKEGAKLFYLSGLIHGKYTKREVHNLARFISVIKPIPLSWRMAHPYLLVDRFEDVTPPESVRLNRKCDRKITLYGYLRGCNMKRGTKVHITGAGDFSLSGVTSLADPCPLPSAAKKRGLRDKEKLFYAPMSGLGDLLYDTDAVYININPHLVQFSKTGENDASKKQGKGQDVGVTLVKTLQNPRYSLNEKLDQSFINLFGRKPAAQSEDISGNQNDQGDANILEEADGNNICNANTLESNEHSYSECSSDSEHDNDEATQQNDHEVGLREEVEFCNGRMRRKAVSANFKDDDDDEGAEEDDVDSENSGDDQLSEGSADDSEESLDSDDETENNSKWKESLLARTLSRRSANLMQLVYGQASKKLDEGNDSSAEESSDEEFFVPKGQKKQAKNESTSFDDMDAEDYSKFFKTELRDWSDEDLIKSIRDRFVTGNWSKAALRGQEINENDVDDEEVDGDFEDLETGEVHTSKAYENTSGNGGTHKQDDLAMEERRLKKLALKAKFDAEYPFLHFSMGIAFMEVDNDTKKSKREETNGGGYFDKLKEEIEIRKQMNISELNDLDEDTRVEIEGFRTGSYIRLEVHGVPFELVEYFDPCHPILVGGIGLGEENTGYMQASLKRHRWHRKVLKTKDPIIVSIGWRRFQTTPVYAIEDRNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQHLSNSQVPFRITATGFVQEFNNTARIMKKIKLTGVPCKIFKKTALVKGMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKIEPGDMPRRKGESIDGIARCTFEDRILMSDIVFMRAWVNVEVPTYCNLVTTALQPQDETWQGMRTTAELRRAHNIPIPHNTDSVYKPIERKVRKFNPIEIPAKLQHLLPFKSKPKDTPKHRKTPVENRVPVLMQPSEKKTHAAIQQLRLLKHEKARKKKLQDEKKKKAYEAEKAKSELLTKKRQREERRVRYREEDKQKKRARR >ORUFI03G16830.2 pep chromosome:OR_W1943:3:11898210:11909085:1 gene:ORUFI03G16830 transcript:ORUFI03G16830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADGGGEQPHKAHRQHKSGAKARKKKGKGKGGGGDDDGGERKNPKAFAFQSAAKAKRLQARSAEIEQRRLHVPIMDRSIGEPPPFVVVVQGPPQVGKSLLIKCLVKHYTKQNLSEVRGPITVVSGKSRRVQFLECPNDINGMIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDKFKDVKKLRKTKQRLKHRFWAEIKEGAKLFYLSGLIHGKYTKREVHNLARFISVIKPIPLSWRMAHPYLLVDRFEDVTPPESVRLNRKCDRKITLYGYLRGCNMKRGTKVHITGAGDFSLSGVTSLADPCPLPSAAKKRGLRDKEKLFYAPMSGLGDLLYDTDAVYININPHLVQFSKTGENDASKKQGKGQDVGVTLVKTLQNPRYSLNEKLDQSFINLFGRKPAAQSEDISGNQNDQGDANILEEADGNNICNANTLESNEHSYSECSSDSEHDNDEATQQNDHEVGLREEVEFCNGRMRRKAVSANFKDDDDDEGAEEDDVDSENSGDDQLSEGSADDSEESLDSDDETENNSKWKESLLARTLSRRSANLMQLVYGQASKKLDEGNDSSAEESSDEEFFVPKGQKKQAKNESTSFDDMDAEDYSKFFKTELRDWSDEDLIKSIRDRFVTGNWSKAALRGQEINENDVDDEEVDGDFEDLETGEVHTSKAYENTSGNGVDNDTKKSKREETNGGGYFDKLKEEIEIRKQMNISELNDLDEDTRVEIEGFRTGSYIRLEVHGVPFELVEYFDPCHPILVGGIGLGEENTGYMQASLKRHRWHRKVLKTKDPIIVSIGWRRFQTTPVYAIEDRNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQHLSNSQVPFRITATGFVQEFNNTARIMKKIKLTGVPCKIFKKTALVKGMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKIEPGDMPRRKGESIDGIARCTFEDRILMSDIVFMRAWVNVEVPTYCNLVTTALQPQDETWQGMRTTAELRRAHNIPIPHNTDSVYKPIERKVRKFNPIEIPAKLQHLLPFKSKPKDTPKHRKTPVENRVPVLMQPSEKKTHAAIQQLRLLKHEKARKKKLQDEKKKKAYEAEKAKSELLTKKRQREERRVRYREEDKQKKRARR >ORUFI03G16830.3 pep chromosome:OR_W1943:3:11898210:11908706:1 gene:ORUFI03G16830 transcript:ORUFI03G16830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADGGGEQPHKAHRQHKSGAKARKKKGKGKGGGGDDDGGERKNPKAFAFQSAAKAKRLQARSAEIEQRRLHVPIMDRSIGEPPPFVVVVQGPPQVGKSLLIKCLVKHYTKQNLSEVRGPITVVSGKSRRVQFLECPNDINGMIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDKFKDVKKLRKTKQRLKHRFWAEIKEGAKLFYLSGLIHGKYTKREVHNLARFISVIKPIPLSWRMAHPYLLVDRFEDVTPPESVRLNRKCDRKITLYGYLRGCNMKRGTKVHITGAGDFSLSGVTSLADPCPLPSAAKKRGLRDKEKLFYAPMSGLGDLLYDTDAVYININPHLVQFSKTGENDASKKQGKGQDVGVTLVKTLQNPRYSLNEKLDQSFINLFGRKPAAQSEDISGNQNDQGDANILEEADGNNICNANTLESNEHSYSECSSDSEHDNDEATQQNDHEVGLREEVEFCNGRMRRKAVSANFKDDDDDEGAEEDDVDSENSGDDQLSEGSADDSEESLDSDDETENNSKWKESLLARTLSRRSANLMQLVYGQASKKLDEGNDSSAEESSDEEFFVPKGQKKQAKNESTSFDDMDAEDYSKFFKTELRDWSDEDLIKSIRDRFVTGNWSKAALRGQEINENDVDDEEVDGDFEDLETGEVHTSKAYENTSGNGVDNDTKKSKREETNGGGYFDKLKEEIEIRKQMNISELNDLDEDTRVEIEGFRTGSYIRLEVHGVPFELVEYFDPCHPILVGGIGLGEENTGYMQASLKRHRWHRKVLKTKDPIIVSIGWRRFQTTPVYAIEDRNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQHLSNSQVPFRITATGFVQEFNNTARIMKKIKLTGVPCKIFKKTALVKGMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKIEPGDMPRRKGESIDGIARCTFEDRILMSDIVFMRAWVNVEVPTYCNLVTTALQPQDETWQGMRTTAELRRAHNIPIPHNTDSVYKPIERKVRKFNPIEIPAKLQHLLPFKSKPKDTPKHRKTPVENRVPVLMQPSEKKTHAAIQQLRLLKHEKARKKKLQDEKKKKAYEAEKAKSELLTKKRQREERRVRYREEDKQKKRARR >ORUFI03G16840.1 pep chromosome:OR_W1943:3:11910266:11912947:1 gene:ORUFI03G16840 transcript:ORUFI03G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSSRFVAVFLLVALAPAARGQGGGGGNSSAPAASPPGPFVPRDNILLDCGATGQANDTDGRLWTGDTGSKYLPANLAAAAATAQDPSVPQVPYLTARFSAAPFTYSFPVGAGRKFLRLHFYPANYSNRNAADALFSVSIPDPNITLLSNFSAYQTALALNFDYLVREFSVNVTASTLDLTFTPEKGHPNAFAFVNGIEVVSSPDLFGSSNPMEVTGDGSGTPFPIDAGTAMQTMYRLNVGGNAISPSKDTGGYRSWEDDTPYIPFASFGVSYANDTNVPINYPDSIPQYVAPADVYSTARSMGPDNNVNLQYNLTWAMQVDAGYQYLVRLHFCEIQSGISKINQRTFDIYINNQTAFSGADVIAWSTGLGIPVYKDFVVFTMGSGPMDLWVDLHPNVKNKPQYYNAILNGMEVFKLQLTNGSLAGLNPVPSIVPTASGGNSGKKSSVGPIVGGVIGGLVVLALGCCCFFVICKRRQRAGKDSGMSDGHSGWLPLSLYGNSHTSSSAKSHTTGSHASSLPSNLCRHFSFVEIKAATNNFDESLLLGVGGFGKVYRGEIDGGATKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTQNAPLSWRQRLDICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTMDHTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWALHCQKKGILDQIVDPHLKGKIAPQCFKKFAETAEKCVSDQGIDRPSMGDVLWNLEFALQMQESAEESGSLGCGMSDDSTPLVIVGKKDPNDPSIESSTTTTTTTSISMGEQSVASIDSDGLTPSAVFSQIMNPKGR >ORUFI03G16850.1 pep chromosome:OR_W1943:3:11916853:11924064:1 gene:ORUFI03G16850 transcript:ORUFI03G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEQVERKEEVSELTPFDPTKKKKKKKVVIQDPSDEVDKLAEKTESLTVAETGEPSFTGMKKKKKKHVEHDTSLTEAGDGEDAIDDQIGEDEEGEGIVLGGATRYPWEGTDRDYKYEELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTPAFISEKINYHELTEIIVYTSRMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKAGT >ORUFI03G16850.2 pep chromosome:OR_W1943:3:11916853:11924064:1 gene:ORUFI03G16850 transcript:ORUFI03G16850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEQVERKEEVSELTPFDPTKKKKKKKVVIQDPSDEVDKLAEKTESLTVAETGEPSFTGMKKKKKKHVEHDTSLTEAGDGEDAIDDQIGEDEEGEGIVLGGATRYPWEGTDRDYKYEELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKAGT >ORUFI03G16860.1 pep chromosome:OR_W1943:3:11926736:11927029:-1 gene:ORUFI03G16860 transcript:ORUFI03G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWRSNGVPFGLTHHANHSTTAQCMTALPTRCLPRRATCQPAPPAGAHWAIPLRRDRQTRPWSSLHDDEELIRFTTYKQDTRMAPYVFRVTLTIVS >ORUFI03G16870.1 pep chromosome:OR_W1943:3:11928965:11930759:-1 gene:ORUFI03G16870 transcript:ORUFI03G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPPSDMSEMVTVQFLDLRIHRMPANDVLQLRRRLPPLELNCVQSVDQFKRFQAFLAIVTSQTDLARICATRGEELDELGIVEEYDDDDDDDDGDEHYVYGGLDMFEPATTDEEDANAQVDADDLYYLGVSDDENEEVGSDGGTDDDGGDDAGGDGGRAGPLRWDNLWDDDPPQ >ORUFI03G16880.1 pep chromosome:OR_W1943:3:11935349:11937735:-1 gene:ORUFI03G16880 transcript:ORUFI03G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAQEPWAILAAIPNVVGYKEAKRIFPPGTDISVARKEVPRASVLTVPRHISLPACLGLYPYVVAADRSGLLLLLGTHPVTSASAMVSYHICDAHTGEVVSLRDCKPMRPMTFYGAANVVPGATLLSYKVGECCKWRERELTCSPPLPLDWYPEGVVSHGGMLWWVDLSYGLLACDPFAEEPNLIHVPLPQVPDELPVDDQVNRGAHRCVKVSGGRLMYVQIHGNPVVPVVSTWLLDESTCSPGEWEWNPQLSAPLAELWIDQSYVDTMLPLTIPALALPHPTDPNRVYFFLKSCIFAADLRLRKLVSFNSFEMLDPPCELWMKRSSHLVHAWQYDPSSSRSDFVLACLRQDKAIASKSSFSGIIPVTRRTAKSLKRVWDSVTRQQQKQEQQLQKQAM >ORUFI03G16890.1 pep chromosome:OR_W1943:3:11940890:11942840:-1 gene:ORUFI03G16890 transcript:ORUFI03G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEISSVTTTHAQGPKLFRGKILLPMGPPDVVPSENVEFDFSDVFGPTAVQTPTDLSILTPDSPAPLTESSEGIYNDPLVIVKRSHSLVGPSSLVSQSLPLSKLTLHESDSALDLLECTKEKKSNQEALSDEELDDTKNENGVVGLDDFEVLKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYQQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFDENTRSNSMCGTVEYMAPEIVQGRGHDKAADWWSVGILLFEMLTGKPPFVGGNRDKVQQKIVKEKIKLPAYLSSEVHSLLKGLLHKEAGRRLGCGPGGSNEIKNHKWFKSVNWKKLDSRQIQPSFRPNVAGKTCIANFDECWTSMPVLDSPVASPVAADSNFVGFSYVRPAPFLQRPSPLG >ORUFI03G16900.1 pep chromosome:OR_W1943:3:11948016:11953607:1 gene:ORUFI03G16900 transcript:ORUFI03G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCARFPHPALQPAPAPAPPPPNLKPKPAPTTRGPCPSPRTLVARAAPRRDDSTAPPPPPPSTFDFLALKRELEEEEEEEVVAVEPRDGGGGDGLASEDDGDGEAKRSGGGGESSGGRKRRQMARRSGLLAKQVISVSSARSLGFVSQLWVDAASWIVALVEVRPSLLSGEAEKFLFEDIYQVGDVVLVEDETVVENEFKLVGLHSLVGYSVVTSRRRNVGKVRGFTFDINTGAMESLELDSFGISIVPSSLVSTYCLFVEDVLDIVSDTIVVHEDAISRVQRLTQGIWGTQNIQGPGGQMDDNGRYRRRKARRVQRQNGLRNSSGRKLHRKMRDRDGDWELPMDY >ORUFI03G16910.1 pep chromosome:OR_W1943:3:11955203:11959019:1 gene:ORUFI03G16910 transcript:ORUFI03G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWREVNTPIHAVHTSEAKARCGEVSPPGRPVHTAEQQLRRNDGEKRPRRSGEKKQESLGVLDDARLSGRCNIRAQRTPLTSRGIRFYINLINCASCDVLGVSCFMASVNTGGLRLVRCPKCYNILPEPPNVEVYKCGGCDTILRVKIRPSNGQNVATKQVRQDSDDFSVATTASNGVHPQKKDIAFSGATMDRSRTPDAPSTDTEHASNGTSSNDNGHAMSVENNASEVADTDNKEDCNLDGQNTSGRIEGPSEEIPPNANGMDIDSDKEETYNVEGIAENSEDCRVRGGGDIDTECNLSLPEHELPLHQESKSDSELKEATKTEDEATKKGHLVRVQSRSCDLRESHRASAGSSMDFHSARTSLQSKSFRASEPLQSKIMKTVDELRGDLSEFFSKPEEEGEDDDDRKPKTAAYPPRPSKQDGYSKPRAPFTSSVPLTAYHPAAKHSGHVSRLSRSGQVPPPPHHHRELSSLRYRRRRRAYSCCHSDQMETMRRPCSHDCCHYHSCRPPPCHHHDRPWKSQEGAMQRPPVQETTRRRAPPRHHCRPVLRGAPFVVCSGCNRLVQLPTDFAVPSKGTRRLQCGSCSEILSYSYRDPAKKKLQSPSGDGEECQYSTDDYEIHQAAGDADPFSYSEEYGVISYSTEEEQQPLHVSRNSSFDTVDDERSAKLHRLMGYSSASELLRLRRSPDLYESFGERTPAARTIDTKGKAICVADEEEHPSAKVRRGRGLPLPGILKKGIHGLESLKLR >ORUFI03G16910.2 pep chromosome:OR_W1943:3:11955203:11959019:1 gene:ORUFI03G16910 transcript:ORUFI03G16910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWREVNTPIHAVHTSEAKARCGEVSPPGRPVHTAEQQLRRNDGEKRPRRLSGRCNIRAQRTPLTSRGIRFYINLINCASCDVLGVSCFMASVNTGGLRLVRCPKCYNILPEPPNVEVYKCGGCDTILRVKIRPSNGQNVATKQVRQDSDDFSVATTASNGVHPQKKDIAFSGATMDRSRTPDAPSTDTEHASNGTSSNDNGHAMSVENNASEVADTDNKEDCNLDGQNTSGRIEGPSEEIPPNANGMDIDSDKEETYNVEGIAENSEDCRVRGGGDIDTECNLSLPEHELPLHQESKSDSELKEATKTEDEATKKGHLVRVQSRSCDLRESHRASAGSSMDFHSARTSLQSKSFRASEPLQSKIMKTVDELRGDLSEFFSKPEEEGEDDDDRKPKTAAYPPRPSKQDGYSKPRAPFTSSVPLTAYHPAAKHSGHVSRLSRSGQVPPPPHHHRELSSLRYRRRRRAYSCCHSDQMETMRRPCSHDCCHYHSCRPPPCHHHDRPWKSQEGAMQRPPVQETTRRRAPPRHHCRPVLRGAPFVVCSGCNRLVQLPTDFAVPSKGTRRLQCGSCSEILSYSYRDPAKKKLQSPSGDGEECQYSTDDYEIHQAAGDADPFSYSEEYGVISYSTEEEQQPLHVSRNSSFDTVDDERSAKLHRLMGYSSASELLRLRRSPDLYESFGERTPAARTIDTKGKAICVADEEEHPSAKVRRGRGLPLPGILKKGIHGLESLKLR >ORUFI03G16910.3 pep chromosome:OR_W1943:3:11955905:11959019:1 gene:ORUFI03G16910 transcript:ORUFI03G16910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLELYRLSGRCNIRAQRTPLTSRGIRFYINLINCASCDVLGVSCFMASVNTGGLRLVRCPKCYNILPEPPNVEVYKCGGCDTILRVKIRPSNGQNVATKQVRQDSDDFSVATTASNGVHPQKKDIAFSGATMDRSRTPDAPSTDTEHASNGTSSNDNGHAMSVENNASEVADTDNKEDCNLDGQNTSGRIEGPSEEIPPNANGMDIDSDKEETYNVEGIAENSEDCRVRGGGDIDTECNLSLPEHELPLHQESKSDSELKEATKTEDEATKKGHLVRVQSRSCDLRESHRASAGSSMDFHSARTSLQSKSFRASEPLQSKIMKTVDELRGDLSEFFSKPEEEGEDDDDRKPKTAAYPPRPSKQDGYSKPRAPFTSSVPLTAYHPAAKHSGHVSRLSRSGQVPPPPHHHRELSSLRYRRRRRAYSCCHSDQMETMRRPCSHDCCHYHSCRPPPCHHHDRPWKSQEGAMQRPPVQETTRRRAPPRHHCRPVLRGAPFVVCSGCNRLVQLPTDFAVPSKGTRRLQCGSCSEILSYSYRDPAKKKLQSPSGDGEECQYSTDDYEIHQAAGDADPFSYSEEYGVISYSTEEEQQPLHVSRNSSFDTVDDERSAKLHRLMGYSSASELLRLRRSPDLYESFGERTPAARTIDTKGKAICVADEEEHPSAKVRRGRGLPLPGILKKGIHGLESLKLR >ORUFI03G16920.1 pep chromosome:OR_W1943:3:11959752:11960216:-1 gene:ORUFI03G16920 transcript:ORUFI03G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLVSRTAPPARPTSLRGRGAGAVAAGHGQATFGRRAVHGGWLVAIRLRARCRCGGGTEPVEARKEREGGPGKGEEEEEEAAAAEELEVLEEEAMGGGDEGRRPTDYDRRAHIFEESSRVFSALKHRHDDGHGVDGDHGAAAAEVARHGDTGR >ORUFI03G16930.1 pep chromosome:OR_W1943:3:11971859:11972095:-1 gene:ORUFI03G16930 transcript:ORUFI03G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAPASVDVTDPGIPGRAFARDSLERCRTSKKPEQSAESYRCPAHRLNLMQQLQLRLSTKTLALQRTNRTDPNSAQ >ORUFI03G16940.1 pep chromosome:OR_W1943:3:11972874:11982309:1 gene:ORUFI03G16940 transcript:ORUFI03G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNRARMRWAPARLSVGSLGCLCQTDSFSSSLYEDCDTASVNHVDEEEAVSRVCSESDVNRGAERFQSADSNFFHRLSVECSQKERQRKVSWGGAMEMQHSPSSLEIGVVSSSQPQEKPNRPQRVRNKSSQFEDPFSSEHDPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQSGDFRLKTWKNICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETMSMISDGSYSGLIKCEQPNRNIYEFTATMELNSHRIPLGQSNIVLRGCQLKNTEWIVGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFRNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFHQASIYGKNYGSPLQVTGDSSYEISTTESSRQQGSKSKSGVNVDAELIALLSQPLVGEERLSAHDFFLTLAACNTVIPVSTENSLDLVNEINEIGRIDYQGESPDEQALVTAASAYGYTLVERTTGHIVVDVQGEKIRLDVLGLHEFDSVRKRMSVVVRFPDNIVKVLVKGADTSMLSILRREDDDELHNSLHAKIRETTENHLSGYSSEGLRTLVIGSKNLTDAEFGEWQERYEEASTSMTERSAKLRQAAALVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQNMHLIVINGSSEFECRRLLADAKAKFGIKSSDSGRDCQDIEHTHNGDVSKLRTSNGHMSESGIHNFELTGVIASDKSEYSEKVANFADTDLALVIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMYILHTAYSATLALTDWSSVFYSLIYTSIPTVVVGILDKDLSHNTLLHYPRLYETGLQNEGYNLTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVILVNIHLAMDIQRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGTIYNMAASRTYWLSVCLIIVLGLLPRFLCKVIYQTFWPSDIQIAREAELLKKLPRQLGSRPASDIS >ORUFI03G16940.2 pep chromosome:OR_W1943:3:11972986:11982309:1 gene:ORUFI03G16940 transcript:ORUFI03G16940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGEPLLLSSSGTSDSPSKRQAPARLSVGSLGCLCQTDSFSSSLYEDCDTASVNHVDEEEAVSRVCSESDVNRGAERFQSADSNFFHRLSVECSQKERQRKVSWGGAMEMQHSPSSLEIGVVSSSQPQEKPNRPQRVRNKSSQFEDPFSSEHDPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQSGDFRLKTWKNICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETMSMISDGSYSGLIKCEQPNRNIYEFTATMELNSHRIPLGQSNIVLRGCQLKNTEWIVGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFRNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFHQASIYGKNYGSPLQVTGDSSYEISTTESSRQQGSKSKSGVNVDAELIALLSQPLVGEERLSAHDFFLTLAACNTVIPVSTENSLDLVNEINEIGRIDYQGESPDEQALVTAASAYGYTLVERTTGHIVVDVQGEKIRLDVLGLHEFDSVRKRMSVVVRFPDNIVKVLVKGADTSMLSILRREDDDELHNSLHAKIRETTENHLSGYSSEGLRTLVIGSKNLTDAEFGEWQERYEEASTSMTERSAKLRQAAALVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQNMHLIVINGSSEFECRRLLADAKAKFGIKSSDSGRDCQDIEHTHNGDVSKLRTSNGHMSESGIHNFELTGVIASDKSEYSEKVANFADTDLALVIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMYILHTAYSATLALTDWSSVFYSLIYTSIPTVVVGILDKDLSHNTLLHYPRLYETGLQNEGYNLTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVILVNIHLAMDIQRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGYPGSWVQGLQVISADNNGSAQLLCDPSLRKRLKDEMRRLWKKILTVNG >ORUFI03G16940.3 pep chromosome:OR_W1943:3:11972874:11980034:1 gene:ORUFI03G16940 transcript:ORUFI03G16940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALNRARMRWAPARLSVGSLGCLCQTDSFSSSLYEDCDTASVNHVDEEEAVSRVCSESDVNRGAERFQSADSNFFHRLSVECSQKERQRKVSWGGAMEMQHSPSSLEIGVVSSSQPQEKPNRPQRVRNKSSQFEDPFSSEHDPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQSGDFRLKTWKNICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETMSMISDGSYSGLIKCEQPNRNIYEFTATMELNSHRIPLGQSNIVLRGCQLKNTEWIVGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFRNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFHQASIYGKNYGSPLQVTGDSSYEISTTESSRQQGSKSKSGVNVDAELIALLSQPLVGEERLSAHDFFLTLAACNTVIPVSTENSLDLVNEINEIGRIDYQGESPDEQALVTAASAYGYTLVERTTGHIVVDVQGEKIRLDVLGLHEFDSVRKRMSVVVRFPDNIVKVLVKGADTSMLSILRREDDDELHNSLHAKIRETTENHLSGYSSEGLRTLVIGSKNLTDAEFGEWQERYEEASTSMTERSAKLRQAAALVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQNMHLIVINGSSEFECRRLLADAKAKFGIKSSDSGRDCQDIEHTHNGDVSKLRTSNGHMSESGIHNFELTGVIASDKSEYSEKVANFADTDLALVIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMYILHTAYSATLALTDWSSVFYSLIYTSIPTVVVGILDKDLSHNTLLHYPRLYETGLQNEGYNLTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVILVNIHLAMDIQRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGRNV >ORUFI03G16940.4 pep chromosome:OR_W1943:3:11972986:11980032:1 gene:ORUFI03G16940 transcript:ORUFI03G16940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGEPLLLSSSGTSDSPSKRQAPARLSVGSLGCLCQTDSFSSSLYEDCDTASVNHVDEEEAVSRVCSESDVNRGAERFQSADSNFFHRLSVECSQKERQRKVSWGGAMEMQHSPSSLEIGVVSSSQPQEKPNRPQRVRNKSSQFEDPFSSEHDPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQSGDFRLKTWKNICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETMSMISDGSYSGLIKCEQPNRNIYEFTATMELNSHRIPLGQSNIVLRGCQLKNTEWIVGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFRNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFHQASIYGKNYGSPLQVTGDSSYEISTTESSRQQGSKSKSGVNVDAELIALLSQPLVGEERLSAHDFFLTLAACNTVIPVSTENSLDLVNEINEIGRIDYQGESPDEQALVTAASAYGYTLVERTTGHIVVDVQGEKIRLDVLGLHEFDSVRKRMSVVVRFPDNIVKVLVKGADTSMLSILRREDDDELHNSLHAKIRETTENHLSGYSSEGLRTLVIGSKNLTDAEFGEWQERYEEASTSMTERSAKLRQAAALVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKFECRRLLADAKAKFGIKSSDSGRDCQDIEHTHNGDVSKLRTSNGHMSESGIHNFELTGVIASDKSEYSEKVANFADTDLALVIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMYILHTAYSATLALTDWSSVFYSLIYTSIPTVVVGILDKDLSHNTLLHYPRLYETGLQNEGYNLTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVILVNIHLAMDIQRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGRNV >ORUFI03G16940.5 pep chromosome:OR_W1943:3:11972986:11980032:1 gene:ORUFI03G16940 transcript:ORUFI03G16940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGEPLLLSSSGTSDSPSKRQAPARLSVGSLGCLCQTDSFSSSLYEDCDTASVNHVDEEEAVSRVCSESDVNRGAERFQSADSNFFHRLSVECSQKERQRKVSWGGAMEMQHSPSSLEIGVVSSSQPQEKPNRPQRVRNKSSQFEDPFSSEHDPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQSGDFRLKTWKNICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETMSMISDGSYSGLIKCEQPNRNIYEFTATMELNSHRIPLGQSNIVLRGCQLKNTEWIVGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFRNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFHQASIYGKNYGSPLQVTGDSSYEISTTESSRQQGSKSKSGVNVDAELIALLSQPLVGEERLSAHDFFLTLAACNTVIPVSTENSLDLVNEINEIGRIDYQGESPDEQALVTAASAYGYTLVERTTGHIVVDVQGEKIRLDVLGLHEFDSVRKRMSVVVRFPDNIVKVLVKGADTSMLSILRREDDDELHNSLHAKIRETTENHLSGYSSEGLRTLVIGSKNLTDAEFGEWQERYEEASTSMTERSAKLRQAAALVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQNMHLIVINGSSEFECRRLLADAKAKFGIKSSDSGRDCQDIEHTHNGDVSKLRTSNGHMSESGIHNFELTGVIASDKSEYSEKVANFADTDLALVIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMYILHTAYSATLALTDWSSVFYSLIYTSIPTVVVGILDKDLSHNTLLHYPRLYETGLQNEGYNLTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVILVNIHLAMDIQRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGRNV >ORUFI03G16940.6 pep chromosome:OR_W1943:3:11972874:11973980:1 gene:ORUFI03G16940 transcript:ORUFI03G16940.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAVLLASCISLLNFLSAS >ORUFI03G16950.1 pep chromosome:OR_W1943:3:11980563:11984968:-1 gene:ORUFI03G16950 transcript:ORUFI03G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRGLAALAVLLALAARGADASVHEYSGGGFAPRANSFFFHGGSEGLYASDPTSNSSASFIRHEAMQQKTGLVEAIIVEIQDRSKIGGSYLHSDAICCTPELDKEKSCKVGEVIIQPNPDNPDWPKRVQTFFSGRDEEASMVTQVVSINKTGMYYLYFMFCDPQLKGLKITGRTVWRNPQGYLPGKMAPMMTFYGFMSLAYLVLGLLWFLQFVRCWKDILQLHYHITAVIALGMCEMAFWYFEYANFNSTGNRPMAITLWAVTFTAVKKTISRLLLLVVSMGYGVVRPTLGGVTSKVGALGVVYFIASEGLELVENLGNINDFSGKTRLFLVLPVAILDATFIIWIFSSLSRTLEKLQLYFNATDPLSELWRRAWIIPAFWNVLSYVLLAIICILWSPSRNPTGFAYSEDTADEADEEGLSLVGSAVKGTGDIVNMHVFPEDKLKYRFPLHSWQAYDF >ORUFI03G16960.1 pep chromosome:OR_W1943:3:11994264:11996666:1 gene:ORUFI03G16960 transcript:ORUFI03G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSEGGVPAERVAAAVNDLVEVRDGLVRLRGFLPPPPQAEQSSSRPPCAAELMDATMSKLMSAMATLGGSGDIAGEVDAAGRWTSVAESADPMVVRREGESSAGRTRRRRGGGSRSGRGRSSNKRVAATLEDGHVWRKYGQKDIQNSPYPRSYYRCTHKLDQGCGARRQTQRCEADPSNYDITYYGEHTCRDPSTIIPTAIANAAGAASDGPNNNIISFATGGVVVANSSRLAREGTTATTTSAATQLSSSWGTSGGGGGGDDVFSSSGERFMQWDELAAAVGHVSSVGVTSSTVGSAPAAENDGGNGDTAAGGGGDGGGAGSFPSSPSAGSLGFVVGPLGSIEDVDDFFPFDP >ORUFI03G16970.1 pep chromosome:OR_W1943:3:12001822:12008442:1 gene:ORUFI03G16970 transcript:ORUFI03G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLAVGVWLAFAWTEQPAGDCGDSDSSHARTHAAVEIAPARLLPPHPHPLDLAGFRRSVDLAASSSWESPSPPQDSNREAAGRRRSSLWWSSLTNRCGRMRGPVRWAVVVVVMAMVEAAAGRFVVEKNSLRVTSPEGIKGKYECAIGNFGVPQYGGTLHGWVEYPKSNQKACKSFEDFDISFKSTRSGGRPKFVLIDRGQCYFTTKAWNAQNAGAAAVLVVDDKSEPLITMDNPDDAGTEHLENITIPSVLITKKLGDDLKKSAENGDMVSVLLDWRESLPHPDERVEYEFWTNSNDECGPKCDMQMDFVKSFRGTAQILEKKGYTQFTPHYITWYCPEAFVVSKQCKSQCINHGRYCAPDPEQDFSQGYDGKDVVVQNLHQICVFKAANESGKPWLWWDYVHDFSIRCPMKEKKYTPECAVHVIKSLGLDVEKIKKCVGDPEADEENPVLKAEQDAQIGHDKRGDVTILPTLVINNRQYRGKLDKSAVLKAVCAGFEETTEPAICLSEDVQTNECLENNGGCWQDRDNNVTACKDTFRGRVCECPVVKGVKFVGDGYTNCEASGIGRCEIKNGGCWKETRNGKTISACSNEVSEGCKCPPGFKGDGIKSCEDIDECKEKLYCQCKGCSCENTWGSYECSCGGKEATSAVGWSFLWVIFFGLVLAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLDNQEAANQHHVAHAGDDI >ORUFI03G16980.1 pep chromosome:OR_W1943:3:12011180:12015328:1 gene:ORUFI03G16980 transcript:ORUFI03G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVVLVGLACLAFVAEAKGGGAASAAALDDDVLGLIVFKADVVDPEGRLATWSEDDERPCAWAGVTCDPLTGRVAGLSLAGFGLSGKLGRGLLRLESLQSLSLSGNNFSGDLPADLARLPDLQSLDLSANAFSGAIPDGFFGHCRNLRDVSLANNAFSGDVPRDVGACATLASLNLSSNRLAGALPSDIWSLNALRTLDLSGNAITGDLPVGVSRMFNLRSLNLRSNRLAGSLPDDIGDCPLLRSVDLGSNNISGNLPESLRRLSTCTYLDLSSNALTGNVPTWVGEMASLETLDLSGNKFSGEIPGSIGGLMSLKELRLSGNGFTGGLPESIGGCKSLVHVDVSWNSLTGTLPSWVFASGVQWVSVSDNTLSGEVFVPVNASSMVRGVDLSSNAFSGMIPSEISQVITLQSLNMSWNSLSGSIPPSIVQMKSLEVLDLTANRLNGSIPATVGGESLRELRLAKNSLTGEIPAQIGNLSALASLDLSHNNLTGAIPATIANITNLQTVDLSRNKLTGGLPKQLSDLPHLVRFNISHNQLSGDLPPGSFFDTIPLSSVSDNPGLCGAKLNSSCPGVLPKPIVLNPDSSSDPLSQPEPTPNGLRHKKTILSISALVAIGAAVLITVGVITITVLNLRVRTPGSHSAAELELSDGYLSQSPTTDVNSGKLVMFGGGNPEFSASTHALLNKDCELGRGGFGTVYKTTLRDGQPVAIKKLTVSSLVKSQDEFEREVKMLGKLRHRNLVALKGYYWTPSLQLLIYEFVSGGNLHKQLHESSTANCLSWKERFDIVLGIARSLAHLHRHDIIHYNLKSSNILLDGSGDAKVGDYGLAKLLPMLDRYVLSSKVQSALGYMAPEFACRTVKITEKCDVYGFGVLALEILTGRTPVQYMEDDVIVLCDVVRAALDEGKVEECVDERLCGKFPLEEAVPIMKLGLVCTSQVPSNRPDMSEVVNILELIRCPQDSPETELG >ORUFI03G16990.1 pep chromosome:OR_W1943:3:12022479:12032546:-1 gene:ORUFI03G16990 transcript:ORUFI03G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPEVHGPEKAPEKQLDEKTLERKLRKNQKAKEKEEKRLKAKQKEAAMLQAQPALDVLKKVEKKHRGKAVEDENPEDFIDQDTPNGQKKLLAPQMANQYCPSTVEKSWYAWWESSGYFRADSASTKPPFVIVMPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHDIGADNFVCEVLKWKERYGGTILNQLHRLGASLDWSREAFTMDEQRSNAVTEAFVRLHKEGLIYRDNRLVNWDCTLLTSISEIEVDHIDLKEETMLKIPGYATPVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYMHLHGRYAVHPFNGRKLKIICDAEIVDPSFGTGAVKIAPAHDPNDFEVGRRNNLQFINILTDDGKINSNGGAQFEGMPRFTARICIIEALKAKGLYKGAKNTETSLGICSRTNDIVEPMIKSPQWFVNCNTMAKVALDAVRSKRIEIIPPQYEQDWYRWLENIRDWCVSRQHWWGHRVPAWYVTLEDDQEKTLGSDNDRWIVAKSESAASVEAQKILETGLDILFCWVARMVMMGTQLGGDVPFQKVYLHPIVCDTHGRKMCKSLGNVIDPLEVINGMTLEGLVKRLEEGNLDPDELNLERKLTDYPDGIAECGTDALRFALISYTSQSDRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGVHYSPPATVDVSIMPPICKWILSALNKATGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNEPQEFESARVASRDTLWVCLETGLRLLHPFMPYITEELWQHLPQPKYSCRQDSIMISEYPSLVEEWTNDNLENEMDIVLDTVNKIRSLKTRTERKERRPAFALCRSQDVTATIQCHQSLIILTENDETPADCAIAVVNKDLSVYLKLQGAINAEAEREKLRKKRDGIQKLHHAVSHMMDASGYREKAPQSVQEGDMRKHTALLRELEVISEAEKKLDAKTDNI >ORUFI03G16990.2 pep chromosome:OR_W1943:3:12022479:12032546:-1 gene:ORUFI03G16990 transcript:ORUFI03G16990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPEVHGPEKAPEKQLDEKTLERKLRKNQKAKEKEEKRLKAKQKEAAMLQAQPALDVLKKVEKKHRGKAVEDENPEDFIDQDTPNGQKKLLAPQMANQYCPSTVEKSWYAWWESSGYFRADSASTKPPFVIVMPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHDIGADNFVCEVLKWKERYGGTILNQLHRLGASLDWSREAFTMDEQRSNAVTEAFVRLHKEGLIYRDNRLVNWDCTLLTSISEIEVDHIDLKEETMLKIPGYATPVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYMHLHGRYAVHPFNGRKLKIICDAEIVDPSFGTGAVKIAPAHDPNDFEVGRRNNLQFINILTDDGKINSNGGAQFEGMPRFTARICIIEALKAKGLYKGAKNTETSLGICSRTNDIVEPMIKSPQWFVNCNTMAKVALDAVRSKRIEIIPPQYEQDWYRWLENIRDWCVSRQHWWGHRVPAWYVTLEDDQEKTLGSDNDRWIVAKSESAASVEAQKSYPGKKFILNQDPDVLDTWFSSALFPLAVLGWPDDTADLRSFYPTSVLETGLDILFCWVARMVMMGTQLGGDVPFQKVYLHPIVCDTHGRKMCKSLGNVIDPLEVINGMTLEGLSDRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGVHYSPPATVDVSIMPPICKWILSALNKATGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNEPQEFESARVASRDTLWVCLETGLRLLHPFMPYITEELWQHLPQPKYSCRQDSIMISEYPSLVEEWTNDNLENEMDIVLDTVNKIRSLKTRTERKERRPAFALCRSQDVTATIQCHQSLIILTENDETPADCAIAVVNKDLSVYLKLQGAINAEAEREKLRKKRDGIQKLHHAVSHMMDASGYREKAPQSVQEGDMRKHTALLRELEVISEAEKKLDAKTDNI >ORUFI03G16990.3 pep chromosome:OR_W1943:3:12022479:12032546:-1 gene:ORUFI03G16990 transcript:ORUFI03G16990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPEVHGPEKAPEKQLDEKTLERKLRKNQKAKEKEEKRLKAKQKEAAMLQAQPALDVLKKVEKKHRGKAVEDENPEDFIDQDTPNGQKKLLAPQMANQYCPSTVEKSWYAWWESSGYFRADSASTKPPFVIVMPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHDIGADNFVCEVLKWKERYGGTILNQLHRLGASLDWSREAFTMDEQRSNAVTEAFVRLHKEGLIYRDNRLVNWDCTLLTSISEIEVDHIDLKEETMLKIPGYATPVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYMHLHGRYAVHPFNGRKLKIICDAEIVDPSFGTGAVKIAPAHDPNDFEVGRRNNLQFINILTDDGKINSNGGAQFEGMPRFTARICIIEALKAKGLYKGAKNTETSLGICSRTNDIVEPMIKSPQWFVNCNTMAKVALDAVRSKRIEIIPPQYEQDWYRWLENIRDWCVSRQHWWGHRVPAWYVTLEDDQEKTLGSDNDRWIVAKSESAASVEAQKSYPGKKFILNQDPDVLDTWFSSALFPLAVLGWPDDTADLRSFYPTSVLETGLDILFCWVARMVMMGTQLGGDVPFQKVYLHPIVCDTHGRKMCKSLGNVIDPLEVINGMTLEGLVKRLEEGNLDPDELNLERKLTDYPDGIAECGTDALRFALISYTSQSDRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGVHYSPPATVDVSIMPPICKWILSALNKATGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNEPQEFESARVASRDTLWVCLETGLRLLHPFMPYITEELWQHLPQPKYSCRQDSIMISEYPSLVEEWTNDNLENEMDIVLDTVNKIRSLKTRTERKERRPAFALCRSQDVTATIQCHQSLIILTENDETPADCAIAVVNKDLSVYLKLQGAINAEAEREKLRKKRDGIQKLHHAVSHMMDASGYREKAPQSVQEGDMRKHTALLRELEVISEAEKKLDAKTDNI >ORUFI03G16990.4 pep chromosome:OR_W1943:3:12022479:12032546:-1 gene:ORUFI03G16990 transcript:ORUFI03G16990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPEVHGPEKAPEKQLDEKTLERKLRKNQKAKEKEEKRLKAKQKEAAMLQAQPALDVLKKVEKKHRGKAVEDENPEDFIDQDTPNGQKKLLAPQMANQYCPSTVEKSWYAWWESSGYFRADSASTKPPFVIVMPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHDIGADNFVCEVLKWKERYGGTILNQLHRLGASLDWSREAFTMDEQRSNAVTEAFVRLHKEGLIYRDNRLVNWDCTLLTSISEIEVDHIDLKEETMLKIPGYATPVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYMHLHGRYAVHPFNGRKLKIICDAEIVDPSFGTGAVKIAPAHDPNDFEVGRRNNLQFINILTDDGKINSNGGAQFEGMPRFTARICIIEALKAKGLYKGAKNTETSLGICSRTNDIVEPMIKSPQWFVNCNTMAKVALDAVRSKRIEIIPPQYEQDWYRWLENIRDWCVSRQHWWGHRVPAWYVTLEDDQEKTLGSDNDRWIVAKSESAASVEAQKSYPGKKFILNQDPDVLDTWFSSALFPLAVLGWPDDTADLRSFYPTSVLETGLDILFCWVARMVMMGTQLGGDVPFQKVYLHPIVCDTHGRKMCKSLGNVIDPLEVINGMTLEGLVKRLEEGNLDPDELNLERKLTDYPDGIAECGTDALRFALISYTSQSDRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGVHYSPPATVDVSIMPPICKWILSALNKATGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNEPQEFESARVASRDTLWVCLETGLRLLHPFMPYITEELWQHLPQPKYSCRQDSIMISEYPSLVEEWTNDNLENEMDIVLDTVNKIRSLKTRTERKESYNVLENQAKVKGIIQILTENDETPADCAIAVVNKDLSVYLKLQGAINAEAEREKLRKKRDGIQKLHHAVSHMMDASGYREKAPQSVQEGDMRKHTALLRELEVISEAEKKLDAKTDNI >ORUFI03G17000.1 pep chromosome:OR_W1943:3:12033072:12033621:1 gene:ORUFI03G17000 transcript:ORUFI03G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRAGALSAALGPGPLRPPRRRPRSPMECDLAWRFRPAEDTNGRTTYYKDNRRFTLTCDVNTCNLVVGNVGEYHSSTGAKCSGRRKGKKGKKGKREAPVTDFVPAKTQMRLDENAANADTTAASEPGASCRRGKYLIYMGGGERCKSVNHYVSPFLCALGEDST >ORUFI03G17010.1 pep chromosome:OR_W1943:3:12036491:12037911:-1 gene:ORUFI03G17010 transcript:ORUFI03G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPSLHMAAVQSLTVLRRTRVKLRGAGGDDDSGEADGVGMHVHLCSGTAKACSEPCIGKVVSRNGSLFISLFSFPALCRGDHLFHGEVTLDLPSSLLAPTRGQSYLDFAPANATSACAAREHGDGRKGGQEVGGGLGGFELMSTTST >ORUFI03G17020.1 pep chromosome:OR_W1943:3:12040802:12042850:1 gene:ORUFI03G17020 transcript:ORUFI03G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDKNDEVQIYLLVLEVEGEHPTYVFRLSNPLEKLPCQDSSICRALFSQDQVPIQVSTKPDSIQLKFQRANDLVECVELELTNGEHERTSNLELIIEPLKATAVLTSYDTAQGMSVLWRSTTIYSVVSMLDPKVKYVVLSNSDALKMIFGATVIRFRGHISKDSFYPLAIDFKRDFVPTGILGKGAHGSVYRCSRGIMPLAVKKVSKECKGNPCSEVEAMAKLSGANHVVQMYCAWSENAVSGLGYVYIGMEVFESNLDEYLDARKGVNLQKSTTIFAEIMAGVKEIHEAGIIHRDLKPLNILIDSDDHIYITDFGISKIKPYPSANVRYPGGPQYGTQFYCDPILNSTHLQHDEKVDFYSCGIIYFEMHLLGITKRRAYEKPQVADSEDREALE >ORUFI03G17030.1 pep chromosome:OR_W1943:3:12045185:12046822:1 gene:ORUFI03G17030 transcript:ORUFI03G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFHSSFPLSPPSSCHGGGVLQFATRAATSPFASYCRAPAARDGGDDHDHDAGILQALAFNGNGSVHGVLDPGVEEEEEAGDGGGGGRRGTRIRARDCAKRIMGLPVEERVKVLDLLQRDDGALTVSDYNDILSALAMAGDHDSAVALFRALRPNGVTPDAQSYATAVQCLCRKGAPDEAKEALDEMVARGFRPTVATFSAVVGCLCKRGRVTRAMEVFDTMRAVGCEPTIRTYNSLIGGLCYVGRLEEALDLLNKLKESPKQTPDIYTFTIVLDGFCKVGRTDEATPIFHDAVRNGLSPTIFTYNALLNGHCKEGNPLKAYSLLMEMCGNAACPPDRISFSIVLQALLRAGETSAAWQAYKRMERAGFEADGRALDTLARGLCRQCAANVAALADAREVFGKLVASGHEPVSYTYCLMAQALARGGEVDAAVSLLGEMARRGYALRKRAYTDVVRALCERGRARDALRVLALVIARDFVPGRNAFDALLGELARQGRWPDAMAVYAAAVKRGVLVSLKRHSKEALLVQEQTETRESSVQPCN >ORUFI03G17040.1 pep chromosome:OR_W1943:3:12047542:12049701:-1 gene:ORUFI03G17040 transcript:ORUFI03G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRVAAAVAPLLMLLLLLLSRCSAASRRGGKGWDWEEEHEGEWRPEEEEEGGKGGGGGGDHPGPKPRPAERGLFVLDRGEKVVESEGGHVRVVRGRPWPPAAVPDPWQRGWSAASGCCREGLMHIGFITMEPKTLFVPQYVDSNLILFVQLGEVKVGWMHKDELVEKNLKMGDVLHIDAGSTFYMVNSGKGQRLKIICSIDASDNIGFGPYQAFFLGGGGGGGSRHPQSVIAGFDPKTLVIAFNTTFEDLDQTLLVDTGRGPIMYYTTEPVMSGGQGGVGVGYSGARRGAAAGQWRPVGRGEEEEEEEEELVVDEASSTWSWTKLVGRLLGVVGGGAPSNSVAAQPKKKKDKTVRAPEPYNLYEQGTGFRNAYGSSVAVDKHDYEPLGHSDIGVYLVNLTAGSMMAPHVNPRATEYGVVLSGTGCIEVVFPNGSKAMSATVRAGDVFYIPRYFPFCQVASRGGPFVFFGFTTSARRNHPQFLVGGSSVLRALLGTELAAAFGVPEKAMRKLVLAQNEAVILPSWPEKKKKKKWEEEPEDERWEEKKKAAKQRKPWVIEQVPAK >ORUFI03G17050.1 pep chromosome:OR_W1943:3:12051903:12056541:1 gene:ORUFI03G17050 transcript:ORUFI03G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPKHTDPAAMRGAHHRRARSEVAFRLPDDLDLGGGGAGAFDEIGSEDDLFSTFMDIEKISSGPAAAGGSDRDRAAETSSPPRPKHRHSSSVDGSGFFAAARKDAAASLAEVMEAKKAMTPEQLSELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQELERLKLATGEMTNSNETYSMGLQHVPYNTPFFPLAQHNAARQNGGTQLPPQFQPPRPNVPNHMLSHPNGLQDIMQQDPLGRLQGLDISKGPLVVKSESSSISASESSSTF >ORUFI03G17060.1 pep chromosome:OR_W1943:3:12058542:12069149:1 gene:ORUFI03G17060 transcript:ORUFI03G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAWRDDELVIKSPSDHRSYRLLRLPNGLCALLVHDPEIYPDGYPDPHASKPHEDEDMGEEDDEEEDGDEDDDDEEYSDEEGEDDEDDEGEEDEEDGSEPKRRKEKGSSEPLVKKAAAAMCVGMGSFADPPKAQGLAHFLEHMLFMGSSEFPDENEYDSYLSKHGGSSNAFTETEYTCYHFEVKREYLKGALDRFSQFFVSPLVKAEAMDREILAVDSEFNQVLQSDSCRLYQLQSHTCSQGHPLNRFTWGNKKSLVDAMGSGINLREEILQMYKTNYHGGMMKLVIIGGEPLDILESWTMELFSKVKGGPLLDMSPKTDMPFWRSGKLHRLEAVRDVHSLCLSWTLPCLHKEYMKKPEDYLAHLLGHEGKGSLLCFLKAKGWASSLSAGVGTDGTQRSSYAYIFEMSIRLTDSGLKNLYEVISAVYQYIKLLKQSEPQEWIFKELQDIGYMEFRFAEEQPPDDYAVDLAENMLYYSEKHIVSGEYIYEGWDPELVKHVLSFFHPDNMRVDVLSKSFDKQSQAIQCEPWFGAQYIEEDIPSSFMESWRNPAQIDDAFHLPRKNEFIPGDFNLRNANMPKPLSDDNPRCIVDEPFIKLWYKMDMTFNVPRANTYFLISVKDGYSNLENSVLTDLFVNLLKDELNEVLYQAYVAKLETSMSVVGSNLELKLYGYNDKLSTLLSSILAASQSFSPKSDRFEVIKEDLERAYKNTNMKPMSHSTYLRLQVLREIFWDVDEKLEVLMKLTFSDLVAYVPKLLSQLHIEGLCHGNLSEDEAMNISKIFQNTLSAQTLPDEARHEERVLCIPDDTNFVRSVRVKNELEENSVVEVYFPVEQDIGKDATKLRAITDLFSNIIEEPCFDQLRTKEQLGYTVDSSPRMTYRVLAYCFRVMSSKYSPVYLQSRIDSFIDGVSALLDGLDEETFEHHRSGLIADKLEKDPSLSYQTGDYWSQIVDKRYMFDMSKLEAEELRTVRKEDVISWYNTYIKPSSPKRRRLAIHVYGCNSDIAEAAKLKEQSWITIDDVKSLKKSSQFYSSLC >ORUFI03G17070.1 pep chromosome:OR_W1943:3:12069792:12070685:-1 gene:ORUFI03G17070 transcript:ORUFI03G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLLLASLLLVAARRALGLGLGQWQPGHATFYGGGDASGTMGGACGYGNLYSQGYGTSTAALSTALFNRGLSCGSCYELRCAGDHRRSCLPGGATVTVTATNFCPPNYALPSDGGGWCNPPRRHFDLAEPAFLRIARHAAGIVPVSFRRVACARKGGVRFTVNGHAYFNLVLVTNVGGAGDVRSLAVKGSGSGSRAGGRWQPMSRNWGQNWQSNAYLDGKALSFRVTAGDGRSLTCADVAPAGWQFGQTFEGRQF >ORUFI03G17080.1 pep chromosome:OR_W1943:3:12078083:12080412:1 gene:ORUFI03G17080 transcript:ORUFI03G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAARIFLTPSRLPLPLPLPLLPKRRRRRPPPSSGATRASFAMAAAPGRGGGEAFRLSADAGAGALKLQKGDITLWSVDGATDAIVNAANERMLGGGGVDGAIHRTAGPELVEACRKVPEVKSGVRCPTGEARITPAFKLPVSRVIHTVGPIYDMDKQPEVSLNNAYTNSLKLAKQNGIQYIALPAISCGVYRYPPKEASKIAVSTAQRFSNDIKEVHFVLFSDELYDIWRETAKEFLSQFEK >ORUFI03G17090.1 pep chromosome:OR_W1943:3:12081140:12082997:-1 gene:ORUFI03G17090 transcript:ORUFI03G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGAGAGLAEAANGGIESSAADPSTSGTASRLSVHRIAGGGKAADIILWKRGRVTIGVIFGATMAWWLFEKSGLSFLTVCSDVLLILIVVQFIRIKVAGLLNKQPRPLPELVLSEEMVSNAAASFRVKVNNMLMIAHDITLGKDFRLFFQVVLLLWLLSVIGNFCSSITLAYFGTIALVTIPALYSKNQEQVDRYAGMVHRNISRHYKIVDENVMSRLPRSFIRDKED >ORUFI03G17100.1 pep chromosome:OR_W1943:3:12092984:12100148:1 gene:ORUFI03G17100 transcript:ORUFI03G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGTLAAAMARAAPGAAAIPAGAVARAEEAAGEVVRRGGAPGARRLVGTALGCEVFAYGSVPLKTYLPDGDVDLTVLGNTSYGSTLIDDIYHILQSEEQNCDAEFEVKDLQLINAEVRLIKCTIENIVVDISFNQTGGICALCFLELVDRKVGKNHLVKNSIILIKAWCYYESRLLGAHHGLISTYALETLILYIFNLFHKSLHGPLEVLYRFLEYFSKFDWDNYCISLNGPVALSSLPNQIVEATNTPGSDLLFDKEFLNNSVQKTDSNACNTEFRSKYLNIIDPLKEHNNLGRSVNKASFNRIRTAFSYGAQKLGQVLLLQPELIPDEIYGFFKNTLNRIGSGVRPDIGDESYNDAFRCESFLGPGKALWDEMSSMKISCNNQDENRGPHHLSKCLVNNDSYATLNVPTHFHGDHMVASSTDLSLKSSCFIQETPNQYPLFYLEDGNGSSEQYLDHEMVEQASCCTAETCHANEEPSMHPQVYPNNTLHTFYSSLANNLEYSKSGQSDMTNSSINVAHEEKQKFSPSPLSLSVQEASSDCKVARDSFEIPAVNITSNSDVVLPGLLSPSSTETDERRLSPVSSSHSTEDSSQQSHDESNWDDISNMHETDQHILQKHMVSLGQNKTLINRQVRVKSNQASVPKGKFSICKEQITQDTATKDIKLSRHLRVKDSEHEYISTAKKISSYNCDTCLECVKPESEAMIPRHYKHARSSKNSFEHRIYDIDMGFARSGSSRNQMPKYQSLKNQDMSSLNVQKEHEINWPRKQMPSELLKLQNSLRGRACSNKKLAAKQINNNHKEHLSFVRDPEQMPYNQVNSNKEFETVGKSSQLLPRVQLSLHNDRSLTASTCQSSFPVTKGSTQFNDLEMPSLENIEFGTLGSFSLTLVSPKSNKNPNTHSTSHQDSIKLEMKITSHLSVLGLAETFGTYTDDTVDHRFRELKGKEKS >ORUFI03G17100.2 pep chromosome:OR_W1943:3:12092984:12100148:1 gene:ORUFI03G17100 transcript:ORUFI03G17100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGTLAAAMARAAPGAAAIPAGAVARAEEAAGEVVRRGGAPGARRLVGTALGCEVFAYGSVPLKTYLPDGDVDLTVLGNTSYGSTLIDDIYHILQSEEQNCDAEFEVKDLQLINAEVRLIKCTIENIVVDISFNQTGGICALCFLELVDRKVGKNHLVKNSIILIKAWCYYESRLLGAHHGLISTYALETLILYIFNLFHKSLHGPLEVLYRFLEYFSKFDWDNYCISLNGPVALSSLPNQIVEATNTPGSDLLFDKEFLNNSVQKTDSNACNTEFRSKYLNIIDPLKEHNNLGRSVNKASFNRIRTAFSYGAQKLGQVLLLQPELIPDEIYGFFKNTLNRIGSGVRPDIGDESYNDAFRCESFLGPGKALWDEMSSMKISCNNQDENRGPHHLSKCLVNNDSYATLNVPTHFHGDHMVASSTDLSLKSSCFIQETPNQYPLFYLEDGNGSSEQYLDHEMVEQASCCTAETCHANEEPSMHPQVYPNNTLHTFYSSLANNLEYSKSGQSDMTNSSINVAHEEKQKFSPSPLSLVDLSGDLDLQLRCLRQVQYHLEYMFDGFLQSVQEASSDCKVARDSFEIPAVNITSNSDVVLPGLLSPSSTETDERRLSPVSSSHSTEDSSQQSHDESNWDNSVQLYDSSDDISNMHETDQHILQKHMVSLGQNKTLINRQVRVKSNQASVPKGKFSICKEQITQDTATKDIKLSRHLRVKDSEHEYISTAKKISSYNCDTCLECVKPESEAMIPRHYKHARSSKNSFEHRIYDIDMGFARSGSSRNQMPKYQSLKNQDMSSLNVQKEHEINWPRKQMPSELLKLQNSLRGRACSNKKLAAKQINNNHKEHLSFVRDPEQMPYNQVNSNKEFETVGKSSQLLPRVQLSLHNDRSLTASTCQSSFPVTKGSTQFNDLEMPSLENIEFGTLGSFSLTLVSPKSNKNPNTHSTSHQDSIKLEMKITSHLSVLGLAETFGTYTDDTVDHRFRELKGKEKS >ORUFI03G17100.3 pep chromosome:OR_W1943:3:12092984:12100148:1 gene:ORUFI03G17100 transcript:ORUFI03G17100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGTLAAAMARAAPGAAAIPAGAVARAEEAAGEVVRRGGAPGARRLVGTALGCEVFAYGSVPLKTYLPDGDVDLTVLGNTSYGSTLIDDIYHILQSEEQNCDAEFEVKDLQLINAEVRLIKCTIENIVVDISFNQTGGICALCFLELVDRKVGKNHLVKNSIILIKAWCYYESRLLGAHHGLISTYALETLILYIFNLFHKSLHGPLEVLYRFLEYFSKFDWDNYCISLNGPVALSSLPNQIVEATNTPGSDLLFDKEFLNNSVQKTDSNACNTEFRSKYLNIIDPLKEHNNLGRSVNKASFNRIRTAFSYGAQKLGQVLLLQPELIPDEIYGFFKNTLNRIGSGVRPDIGDESYNDAFRCESFLGPGKALWDEMSSMKISCNNQDENRGPHHLSKCLVNNDSYATLNVPTHFHGDHMVASSTDLSLKSSCFIQETPNQYPLFYLEDGNGSSEQYLDHEMVEQASCCTAETCHANEEPSMHPQVYPNNTLHTFYSSLANNLEYSKSGQSDMTNSSINVAHEEKQKFSPSPLSLVDLSGDLDLQLRCLRQVQYHLEYMFDGFLQSVQEASSDCKVARDSFEIPAVNITSNSDVVLPGLLSPSSTETDERRLSPVSSSHSTEDSSQQSHDESNWGASCQQNFLLIPSQTIAPTNGLSPCSSYANSDNSVQLYDSSDDISNMHETDQHILQKHMVSLGQNKTLINRQVRVKSNQASVPKGKFSICKEQITQDTATKDIKLSRHLRVKDSEHEYISTAKKISSYNCDTCLECVKPESEAMIPRHYKHARSSKNSFEHRIYDIDMGFARSGSSRNQMPKYQSLKNQDMSSLNVQKEHEINWPRKQMPSELLKLQNSLRGRACSNKKLAAKQINNNHKEHLSFVRDPEQMPYNQVNSNKEFETVGKSSQLLPRVQLSLHNDRSLTASTCQSSFPVTKGSTQFNDLEMPSLENIEFGTLGSFSLTLVSPKSNKNPNTHSTSHQDSIKLEMKITSHLSVLGLAETFGTYTDDTVDHRFRELKGKEKS >ORUFI03G17110.1 pep chromosome:OR_W1943:3:12109654:12110556:-1 gene:ORUFI03G17110 transcript:ORUFI03G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSSSSRWSWLHKLKLRRRRKKKSKRASPASTARPSDASAVPPAAPRQPPAAAAPAGGLSPCCCYCPNRESYYLNSADRARQEDRQDMLLPCDDEVEEEEALDVGVDVVHRRADGLDAPPATPELKLRPIVTSRRHAAAGKNEASDSSSTSAATTPSTRARGFHVRPTAASRRLRRVGSSGGGHDSNNAGTPVSAPAPASSSSVSAGRPSRRPRRRRMWLRESEAVVLESTEPELELVDSMIEMLCTNGVRRLEDLQDLLACYLSLNAAEHHRTIVALFRRVVLVWIHLGSQRLLPGQ >ORUFI03G17120.1 pep chromosome:OR_W1943:3:12114956:12115219:1 gene:ORUFI03G17120 transcript:ORUFI03G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRAAAAALLLVAAVVAAAAVGAGAEGEETTGDAGELDCFCDCMKNQCMTLGAAPNKFDCADACTQGCTQIGKPGQPSDKDFCGF >ORUFI03G17130.1 pep chromosome:OR_W1943:3:12123812:12132498:1 gene:ORUFI03G17130 transcript:ORUFI03G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEFGRGMRSPQRDSWKTTLLLAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLECSSERTDKSCIKVWLEKHKKLHTALLIMVLIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKDHREYAVIPITCVILAFLFALQHYGTHRVGFLFAPIVLAWLICMSALGLYNIIHWNPHVYQALNPCYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPALILAYMGQAAYLSKHHDFYSNSQVGFYIAVPDKVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSDKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWRRPPVLALCFLLFFGSVEALYFSASLIKFLEGAWLPILLALFLMAVMLVWHYTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFXAGVRLRQVGAGADVDSFETELVQSLATFIKLDASYRCSDASGGGGDHEPEEERGARLAVIGSSHASYDIQDSVQHSSAASVETTTTRRRSGGGDDDGSPGGGGGRAKQVRFFIDSHVASPEAADSKQVAEELEALAAARDAGTAFILGHSHVQCKPGSSLLKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEKYSRLSPRRCPPQSGSTAAAEAARIPPAMATACPPLSLQPAYLSGRGYGGDGGGRDGGGAAAGERDQGEEGRETAGLAHEAGRESNLHPNVQLEEDVPICLQLLSRFNYQLLCERHPSFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIFDPLRTAAAVNEVREFVPEEWVPYVGQALNILREEVNNEAAVLGFVGAPFTLASYCVEGGSSKNFSKIKKMAFSEPEILHNLLQKFTTSMANYIKYQADNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVDSVKETHPELPLILYASGSGGLLERLPLTGVDVVSLGTVDMAEGRKRLGSNIAVQGNVDPGVLFGSKEFISKRIFDTVQKAGNSGHVLNLGHGIKVGTPEENVAHFFEVAKGIRY >ORUFI03G17130.2 pep chromosome:OR_W1943:3:12122269:12132498:1 gene:ORUFI03G17130 transcript:ORUFI03G17130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEFGRGMRSPQRDSWKTTLLLAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLECSSERTDKSCIKVWLEKHKKLHTALLIMVLIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKDHREYAVIPITCVILAFLFALQHYGTHRVGFLFAPIVLAWLICMSALGLYNIIHWNPHVYQALNPCYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPALILAYMGQAAYLSKHHDFYSNSQVGFYIAVPDKVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSDKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWRRPPVLALCFLLFFGSVEALYFSASLIKFLEGAWLPILLALFLMAVMLVWHYTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFXAGVRLRQVGAGADVDSFETELVQSLATFIKLDASYRCSDASGGGGDHEPEEERGARLAVIGSSHASYDIQDSVQHSSAASVETTTTRRRSGGGDDDGSPGGGGGRAKQVRFFIDSHVASPEAADSKQVAEELEALAAARDAGTAFILGHSHVQCKPGSSLLKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEKYSRLSPRRCPPQSGSTAAAEAARIPPAMATACPPLSLQPAYLSGRGYGGDGGGRDGGGAAAGERDQGEEGRETAGLAHEAGRESNLHPNVQLEEDVPICLQLLSRFNYQLLCERHPSFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIFDPLRTAAAVNEVREFVPEEWVPYVGQALNILREEVNNEAAVLGFVGAPFTLASYCVEGGSSKNFSKIKKMAFSEPEILHNLLQKFTTSMANYIKYQADNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVDSVKETHPELPLILYASGSGGLLERLPLTGVDVVSLGTVDMAEGRKRLGSNIAVQGNVDPGVLFGSKEFISKRIFDTVQKAGNSGHVLNLGHGIKVGTPEENVAHFFEVAKGIRY >ORUFI03G17130.3 pep chromosome:OR_W1943:3:12123066:12132498:1 gene:ORUFI03G17130 transcript:ORUFI03G17130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEFGRGMRSPQRDSWKTTLLLAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLECSSERTDKSCIKVWLEKHKKLHTALLIMVLIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKDHREYAVIPITCVILAFLFALQHYGTHRVGFLFAPIVLAWLICMSALGLYNIIHWNPHVYQALNPCYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPALILAYMGQAAYLSKHHDFYSNSQVGFYIAVPDKVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSDKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWRRPPVLALCFLLFFGSVEALYFSASLIKFLEGAWLPILLALFLMAVMLVWHYTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFXAGVRLRQVGAGADVDSFETELVQSLATFIKLDASYRCSDASGGGGDHEPEEERGARLAVIGSSHASYDIQDSVQHSSAASVETTTTRRRSGGGDDDGSPGGGGGRAKQVRFFIDSHVASPEAADSKQVAEELEALAAARDAGTAFILGHSHVQCKPGSSLLKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEKYSRLSPRRCPPQSGSTAAAEAARIPPAMATACPPLSLQPAYLSGRGYGGDGGGRDGGGAAAGERDQGEEGRETAGLAHEAGRESNLHPNVQLEEDVPICLQLLSRFNYQLLCERHPSFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIFDPLRTAAAVNEVREFVPEEWVPYVGQALNILREEVNNEAAVLGFVGAPFTLASYCVEGGSSKNFSKIKKMAFSEPEILHNLLQKFTTSMANYIKYQADNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVDSVKETHPELPLILYASGSGGLLERLPLTGVDVVSLGTVDMAEGRKRLGSNIAVQGNVDPGVLFGSKEFISKRIFDTVQKAGNSGHVLNLGHGIKVGTPEENVAHFFEVAKGIRY >ORUFI03G17130.4 pep chromosome:OR_W1943:3:12124216:12132498:1 gene:ORUFI03G17130 transcript:ORUFI03G17130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEFGRGMRSPQRDSWKTTLLLAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLECSSERTDKSCIKVWLEKHKKLHTALLIMVLIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKDHREYAVIPITCVILAFLFALQHYGTHRVGFLFAPIVLAWLICMSALGLYNIIHWNPHVYQALNPCYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPALILAYMGQAAYLSKHHDFYSNSQVGFYIAVPDKVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSDKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWRRPPVLALCFLLFFGSVEALYFSASLIKFLEGAWLPILLALFLMAVMLVWHYTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFXAGVRLRQVGAGADVDSFETELVQSLATFIKLDASYRCSDASGGGGDHEPEEERGARLAVIGSSHASYDIQDSVQHSSAASVETTTTRRRSGGGDDDGSPGGGGGRAKQVRFFIDSHVASPEAADSKQVAEELEALAAARDAGTAFILGHSHVQCKPGSSLLKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEKYSRLSPRRCPPQSGSTAAAEAARIPPAMATACPPLSLQPAYLSGRGYGGDGGGRDGGGAAAGERDQGEEGRETAGLAHEAGRESNLHPNVQLEEDVPICLQLLSRFNYQLLCERHPSFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIFDPLRTAAAVNEVREFVPEEWVPYVGQALNILREEVNNEAAVLGFVGAPFTLASYCVEGGSSKNFSKIKKMAFSEPEILHNLLQKFTTSMANYIKYQADNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVDSVKETHPELPLILYASGSGGLLERLPLTGVDVVSLGTVDMAEGRKRLGSNIAVQGNVDPGVLFGSKEFISKRIFDTVQKAGNSGHVLNLGHGIKVGTPEENVAHFFEVAKGIRY >ORUFI03G17140.1 pep chromosome:OR_W1943:3:12133811:12139607:-1 gene:ORUFI03G17140 transcript:ORUFI03G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRTIHMVNLDPAAEHFSYPVSTDIRELISLDDVMEELGMGPNGGLIYCMDCMEYPLFLKKTIGTLKTIWMIGWMSNWRVIWMMTILCLIAQIELFTHVPVLRNFVEHLKRKNFNVCAVYFLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVANKKDVEEYLNPEAQVLLSQLNRQMAPKFGKLNKSLAELVDDYSMVNFIPLDLRKESSIQYVLSHIDNCIQYGEDADVKVRDFDPED >ORUFI03G17140.2 pep chromosome:OR_W1943:3:12133809:12139607:-1 gene:ORUFI03G17140 transcript:ORUFI03G17140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRTIHMVNLDPAAEHFSYPVSTDIRELISLDDVMEELGMGPNGGLIYCMDCMEYPLFLKKTIGTLKTIWMIGWMSNWRVIWMMTILCLIAQIELFTHVPVLRNFVEHLKRKNFNVCAVYFLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVANKKDVEEYLNPEAQVLLSQLNRQMAPKFGKLNKSLAELVDDYSMVNFIPLDLRKESSIQYVLSHIDNCIQYGEDADVKVRDFDPED >ORUFI03G17140.3 pep chromosome:OR_W1943:3:12133811:12139607:-1 gene:ORUFI03G17140 transcript:ORUFI03G17140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRTIHMVNLDPAAEHFSYPVSTDNLDDWLDEQLEGYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFNVCAVYFLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVANKKDVEEYLNPEAQVLLSQLNRQMAPKFGKLNKSLAELVDDYSMVNFIPLDLRKESSIQYVLSHIDNCIQYGEDADVKVRDFDPED >ORUFI03G17140.4 pep chromosome:OR_W1943:3:12133811:12139607:-1 gene:ORUFI03G17140 transcript:ORUFI03G17140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRTIHMVNLDPAAEHFSYPVSTDIRELISLDDVMEELGMGPNGGLIYCMDCMEYPLFLKKTIGTLKTIWMIGWMSNWRVIWMMTILCLIAQIELFTHVPVLRNFVEHLKRKNFNVCAVYFLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVANKKDVEEYVYFISPLFKDSTILLCINLLMFSRYLNPEAQVLLSQLNRQMAPKFGKLNKSLAELVDDYSMVNFIPLDLRKESSIQYVLSHIDNCIQYGEDADVKVRDFDPED >ORUFI03G17150.1 pep chromosome:OR_W1943:3:12142120:12144132:-1 gene:ORUFI03G17150 transcript:ORUFI03G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIKKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPAAAAPAQTAAAPKKAKK >ORUFI03G17160.1 pep chromosome:OR_W1943:3:12146229:12151993:-1 gene:ORUFI03G17160 transcript:ORUFI03G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLRRLAGASAGGAPSPAAAAAAAALLLRPALARPISTGFREERDTFGPIRVPNDKTLWGAQTQRSLQNFDIGGERERMPVPIIRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAQEVAEGQLDDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGEKFVHPNDHVNRSQSSNDTFPTVMHIAAATEINSRFVPSLQQLHKSLDSKSVEFQDIIKIGRTHTQDATPLTLGQEFSGYATQVKYGIDRIVCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETELPFVTAENKFEALAAHDAFVESSGAVNTISASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVGVTVGGSNGHFELNVFKPMIAAGLLRSLRLLGDASVSFEKNCVRGIQANHKRISQLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGSTLKEAALDLGVLTESEFHELVVPEKMIGPSD >ORUFI03G17160.2 pep chromosome:OR_W1943:3:12146229:12151993:-1 gene:ORUFI03G17160 transcript:ORUFI03G17160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLRRLAGASAGGAPSPAAAAAAAALLLRPALARPISTGFREERDTFGPIRVPNDKRRGRCRTLWGAQTQRSLQNFDIGGERERMPVPIIRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAQEVAEGQLDDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGEKFVHPNDHVNRSQSSNDTFPTVMHIAAATEINSRFVPSLQQLHKSLDSKSVEFQDIIKIGRTHTQDATPLTLGQEFSGYATQVKYGIDRIVCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETELPFVTAENKFEALAAHDAFVESSGAVNTISASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVGVTVGGSNGHFELNVFKPMIAAGLLRSLRLLGDASVSFEKNCVRGIQANHKRISQLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGSTLKEAALDLGVLTESEFHELVVPEKMIGPSD >ORUFI03G17170.1 pep chromosome:OR_W1943:3:12157055:12159202:-1 gene:ORUFI03G17170 transcript:ORUFI03G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRIARRGLSRLAAAVETAAVAPPRMPDFNHVPLPYDGPSAAEIARKRAEFLSPSLFHFYSKPLNIVEGKMQYLFDERGRRYLDAFAGIATVCCGHCHPDVVGAIAAQAGRLQHSTVLYLNHAIADFAEALASKMPGDLKVVFFTNSGTEANELAIMMARLYTGSHDIISLRNSYHGNAAGTMGATAQKNWKFSVVQSGVHHAVNPDPYRGAFGSDAEKYARDVQEIIEFGTTGQVAGFISEAIQGVGGIVELSPGYLPLAYEAVRSAGGLCIADEVQAGFARVGSHFWGFEIHGVVPDIVTMAKGIGNGIPLGAVVTTPEIAQVLTRRCYFNTFGGNPLCTAGGLAVLRVLEKEGLQANAHAVGSYLKDRLRALQDKHEIIGDVRGTGFMLGVELVTDRQLKTPAKDEICRAMEHMKEMGVLVGKGGFYGNVFRITPPLCFTKEDADFFVAVMDSALSKL >ORUFI03G17180.1 pep chromosome:OR_W1943:3:12170268:12173486:1 gene:ORUFI03G17180 transcript:ORUFI03G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTALLTYGCQIHPRPTSLSRSQTRVLSPSAVRPKFSPTAAPPPTISRISPPLALPGPHLTAAAARDKPSLPIPPDPSAHRRHPIPQPTAATRSAHLLLRLRRAVAPPSLRLADLLRQRAAASSTTRRLSESHSRECLVVWDNKLNWIRQGHQFPPRRSCGAVDTVERLFHLRCPCCHPRPHCISVLDLRLSLTTIQEKIFLLRITKISYYSDNDAEISYINGVLYSVTRRVWCLRLDYILLIYIRG >ORUFI03G17190.1 pep chromosome:OR_W1943:3:12188525:12190957:1 gene:ORUFI03G17190 transcript:ORUFI03G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSRERKKAAALQEKLQILRSITHSHALSNTSILMDASKYIKELKQKVVRLNQEIACAQDALRQNRVTVETLRHGFLVNVFSGKSCPGLLVSILEAFDELGLSVLEATASCTDTFRLEAIGSENLMEKVDEHVVKQAVLRAIRSCSGSGGDHHDDDDDDDDE >ORUFI03G17200.1 pep chromosome:OR_W1943:3:12193153:12196720:-1 gene:ORUFI03G17200 transcript:ORUFI03G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATWRLWRPYSSALLSRRVNPRFLRTTPCVSYPGGAAASAAPPSPPLATTCSDDWGGGMRWESARKKRVVLRVGYVGTEYRGLQKQRELSADSTIESVLETAIFKAGGILESNYGKLQKVGWERSSRTDKGVHSLATMISLKMEIPDRAWENDPDGIALSNFINSNLPDNVRVFSVLPAQRSFDVRRECLYREYLYLLPAEIIGIKGGCSSEEVMEHLSEFNSILKGFEGNHPFHNYTARAKYRKVLAGRHRKVKGASSAVNSMPTEMSLDQSSSDDGTTSDHDEEDLNSSSIIGSSVPEDSYKDNPEFSEKQVQIRARWLHEPDENDRLNASHFRDILTFSCGELQISSGIQFVELTISGVSFMLHQIRKMVGTSVAVKRGLLPKDIIALSLAKFSRIVLPIAPSEVLVLRDNSFCLRNKQGTIVRPGIQSMNESEEVKKGVMEFYRAALVPELANFLDASMPPWKEWVENLDRFTSIPDPQLEEVRSAYRVWKADYDRVKMARKSASSD >ORUFI03G17210.1 pep chromosome:OR_W1943:3:12197577:12198526:1 gene:ORUFI03G17210 transcript:ORUFI03G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCYRSAAPELFHDKSDMCRRAAMASPAPAAASPATPAILHMLCLLSGGGRAPTCRGNARPHPRSSVCASLAGHRAPPRCAGTGYSTAARFSARSPASVQQRGRRRVGVHRPGLPSPWLRTTSPSARVGGCPSSQVLSVRLTGRPPCPTTMRRYRLLHRSAILCS >ORUFI03G17220.1 pep chromosome:OR_W1943:3:12200905:12210414:1 gene:ORUFI03G17220 transcript:ORUFI03G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRRWWRTRWTGKPAAHADEKSSGDWDPHGLPANINVPMTKLSGLKRYKISELKFFDRAAGGGGAFTGPEDSFFEMVTLQPGGVYTKSQLLKELETLVSCGMFERVDLEGKAKPDGTLGLTVSFVESVWSAAKQFKCINVGLMSQSGQVDFDQDMTEREKMDYLRKQERDYQQRVRGAKPCILPDNVRGEVLGMMKKQEKVSARLLQRIRDHVQKWYHNEGFVCAQVVNFGNLNTSEVVCEVVEGDITKVEYQFQDKLGNFVEGNTQIPIIDRELPQQLRPGHIFNIGAGKQALKNINSLALFSNIEVNPRPDETKEGGIVVEIKLKELEPKSAEVSTEWSIVPGREGRPTLASIQPGGTVSFEHRNIYGLNRSIVGSVTSSNLLNPQDDLSFKLEYVHPYLDGVDDRNKNRTFKTSCFNTRKLSPVFVAGPNMDEAPPVWVDRVGFKANITESFTRQSKFTYGLVVEEITTRDETNSICTHGSRAMPSGGLSMDGPPTTLSGTGIDRMAFLQANITRDNTEFVNGAVIGDRCIFQLDQGLGIGSKNPFFNRHQLTLTKFVNLNKQEKGAGKPLPAVLVLHGHYAGCVGDLPSYDAFTLGGPYSVRGYGMGELGASRNVLEVASELRIPVRNTYVYGFVEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGLGVKLGLVRGEYIVDHNAGTGTVFFRFGERF >ORUFI03G17230.1 pep chromosome:OR_W1943:3:12212756:12215667:-1 gene:ORUFI03G17230 transcript:ORUFI03G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRLSWSGLLKWSLSYADGTRPSRAISEEERRWLAEAVERHMMVDVVSRMREIALLMSTPLSVHVESIDMANDLHSVGGLVPVIKYLRNSNARIRARAADVVTTVVQNNPTSQQLVMEASGFDPLLSNFTSDPDLTARIKALGALSSLIRNNKPGVSAFRLANGYAGLRDALTSESARFQRKALNLTNYLLSESHSGCSVFAQLGFPRLMMHLVSSDDLGVREAALGGLLELARDTTLGSRSLLADHDRLRRLLQARIERIRMMAPEDLDAAREERQLVDSLWITCYHEPSTLHVEGLLVLPGEECFEQPPDVAGRFFEPLRRSSARRAPSNERSDPGDGTGGGMMLLLGPSPGSRSNSGSN >ORUFI03G17240.1 pep chromosome:OR_W1943:3:12216014:12217956:1 gene:ORUFI03G17240 transcript:ORUFI03G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAHPLSLAVLPSSPAQATPLPLFLRYAALRRGGRCGGATRPLRLTRLRRGRAAVAAAGEVDAPMEQTEAMMRVAADDDSVTATVVSVLLTVAFVGLSILTIGVIYLSVTDFLQKREREKFEREEAERQKEEARKKRAKARGRKRKF >ORUFI03G17240.2 pep chromosome:OR_W1943:3:12216014:12217587:1 gene:ORUFI03G17240 transcript:ORUFI03G17240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAHPLSLAVLPSSPAQATPLPLFLRYAALRRGGRCGGATRPLRLTRLRRGRAAVAAAGEVDAPMEQTEAMMRVAADDDSVTATVVSVLLTVAFVGLSILTIGVIYLSVTDFLQKREREKFEREEAERQKEEARKKRAKARGRKRKF >ORUFI03G17250.1 pep chromosome:OR_W1943:3:12221121:12221960:1 gene:ORUFI03G17250 transcript:ORUFI03G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVSYWCYHCSRFVRVSPSTVVCPECDGGFLEQFPQPPPRGGGGSGRRGAMNPVIVLRGGSLSGFELYYDDGSGDGLRPLPGDVSHLLMGSGFHRLLDQFSRLEAAAPRPPASKAAVESMPSVTVAGSGAHCAVCQEAFEPGASAREMPCKHVYHQDCILPWLSLRNSCPVCRRELPAAAAPESEADAGLTIWRLPRGGFAVGRFAGGPREQLPVVYTELDGGFSNGVGPRRVTWPEGDGHVDGGEGRIRRVFRNLFGCFGRSSRPESSSSQSRSG >ORUFI03G17260.1 pep chromosome:OR_W1943:3:12233215:12234563:1 gene:ORUFI03G17260 transcript:ORUFI03G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLVLLCLCVFLASGGEGRSPAGTVLPLQVRVQEVELEAPAANRLRFRHNVSLTVPVAVGTPPQNVTMVLDTGSELSWLLCNGSYAPPLTPAFNASGSSSYGAVPCPSTACEWRGRDLPVPPFCDTPPSNACRVSLSYADASSADGVLATDTFLLTGGAPPAAWPNGTGTDVSEAATGLLGMNRGTLSFVTQTGTRRFAYCIAPGEGPGVLLLGDDGGVAPPLNYTPLIEISQPLPYFDRVAYSVQLEGIRVGCALLPIPKSVLTPDHTGAGQTMVDSGTQFTFLLADAYAALKAEFTSQARLLLAPLGEPGFVFQGAFDACFRGPEARVAAASGLLPEVGLVLRGAEVAVSGEKLLYMVPGERRGEGGAEAVWCLTFGNSDMAGMSAYVIGHHHQQNVWVEYDLQNGRVGFAPARCDLATQRLGAGA >ORUFI03G17270.1 pep chromosome:OR_W1943:3:12238870:12245217:1 gene:ORUFI03G17270 transcript:ORUFI03G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEPVEPQSLKKLSLKSLKRSHDLFAPTHSLLFTPDPESKQVRVGCKVNAEYSAVKNLPTDQGRGQVKSAAAPSTALALPGTQDVKDADNKGSSTAIVPAPHMLPKAPDSTIPGKNTTITIPGSSDRFSTSALMERIPSRWPRPVWHAPWKNYRVISGHLGWVRSIAFDPSNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQIRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVVTGSHDSTIKFWDLVAGRTMCTLTHHKKSVRAMALHPKEKSFASASADNIKKFSLPKGEFLHNMLSQQKTIINSMAVNEDGVLATGGDNGSLWFWDWKSGHNFQQDQTIVQPGSLESEACIYALSYDVSGSRLVTCEADKTIKMWKEDLSATPETHPINFKPPKDIRRY >ORUFI03G17280.1 pep chromosome:OR_W1943:3:12247690:12247887:-1 gene:ORUFI03G17280 transcript:ORUFI03G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPLSRPLAPLGGVSDLTSKVSGEEQGQRMWQGGEGLSSRRWALKADESEAAGGPINRPTHHP >ORUFI03G17290.1 pep chromosome:OR_W1943:3:12250397:12255630:1 gene:ORUFI03G17290 transcript:ORUFI03G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNQTMANTNLHPPTTDLGNFVTSFAGKGLSPTDLVVLTAVVQQQEAATFFLGDGEQDLFTECDQVTNSGAHTVGVAQCTNFRSRLYGESNINAPFAASLRASCPQAGGDTNLAPLDSTPNAFDNAFFTDLIAGRGLLHSDQELYRGDGSGTDALVRVYAANPARFNADFAAAMVRMGAIRPLTGTQGEIRLNCSRVN >ORUFI03G17310.1 pep chromosome:OR_W1943:3:12261905:12263880:-1 gene:ORUFI03G17310 transcript:ORUFI03G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCRRCLVLSLSFSSLWLAMQRERTTTTTGLLSAADEVNVAERKRTACLVDTGGGSTTRATGRLDNVAAAREEGRCRQPPLCVVVCKGEPVCTIVCGGEPRKKPYELQQIETARNRQRVSHRRSGRWRWMQNHDNNTTFSLEAEKPKREPHHPRRSKENHDELWMKTTTTIPEWSSKGG >ORUFI03G17320.1 pep chromosome:OR_W1943:3:12265258:12273219:1 gene:ORUFI03G17320 transcript:ORUFI03G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEAECAAAAVGGGGRAEIDTSAPFESVREAVDRFGGSAAWSSHLIRRMFAPPNPKEQSEESKQPVDIKEQAAQLEHDLIIKEKETLDVLKELESTKKIIADLKQRIQKESNETSPSAVKSDDQSEIPITESEEQKPENVNIDMDMEGLDEHPQPLSGSVLLELEQAKANLNRTTGDLAAVRAAIELLHNSIAKEKLLLERSREKLSSNTTLASSLEDELDQTTQKLQTLKDLQARREDPSDIFIEIKKMASEVQQLRGMANASKSEAMMLAAEIEQTKASIGTAEIRCIAAKKMEEAARAAEALALAEIKALLSSESSSECGSSVCDGVTLSAEEYFTLCSKAQEADENSRKKVEEAMLQVDVANSSETDSVKKLDDARLEVEECKRALQEALKRVEAANRGKLAVDEILRRWKSENGHKRRSIGGSPKFKNAAQRRKDSHSMDIISDASTNSCKQTLSIGQILSMKLMGPEGYDKTIWDDKTSEMPNVSLGQILNRGRVLSREETAVRKRVSGKRKKFALTGLSVLLAKQAKNKKKRESL >ORUFI03G17330.1 pep chromosome:OR_W1943:3:12270618:12271973:-1 gene:ORUFI03G17330 transcript:ORUFI03G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQKGNILMKRYEIGKLLGQGSFAKVYHGRNIKNSQSVAIKVIDKEKILKCELMDQIRREISVMNLVRHPCIVQLYEVMATKTKIYFILEYVKGGELFNKVRRGRLKEEVARKYFQQLISAIDFCHSRGVYHRDLKPENLLLDENRNLKISDFGLSALAECKRQDGLLHTTCGTPAYVAPEVINRKGYDGAKADVWACGVILYVLLAGYLPFQDKNVINMYKKICKAEFKWPSWFSSDIRKLLRRILDPNLATRISVSEIMEDPWFRVGLDLDLLNKTIPTDKVDKVVHVDMDSTFGNLSNNINEGKQEAENLTSLNAFDIISLSSGFNLSAMFEDENSKEESKFTSTNTAMTITKKLEDVAKNLRLKFLKKNGGLLKMEGSKPGRKGVMSINAEIFQITPDFHLVEFTKINGDTLEYQKVKQEMRPALKDIVWAWQGEQPQLQSLNEQS >ORUFI03G17340.1 pep chromosome:OR_W1943:3:12281149:12284457:-1 gene:ORUFI03G17340 transcript:ORUFI03G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACPPLSLQPAYLPGRSARARRPLPAVRCSAVGEVMAETAAVGTVEEPLLVSAIEGEEGRETAGLAYEAGRESYQLLCERHPSFCERSELVDLVVEISLQPWKVFTPDGAILFSDILTPLPRMNIPFDIVKGKGSVIFDPLRTAAAVNEVREFVPEEWVPYVGQALNILREEINNEATVLSFVGAPFTLASYCVEGGSSKNFSKIKKMALSEPEIVDSVKETHPELPLILYASGSGGLLERLPLTGVDVVSLGTVDMAEGRKRLGSNIAVQGNVDPGVLFGSKEFISNRIFHTAESW >ORUFI03G17350.1 pep chromosome:OR_W1943:3:12284867:12285463:-1 gene:ORUFI03G17350 transcript:ORUFI03G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELGGGRRAWSRGRHPPRPPLRPTSVDGRGVGGGDVGGEVDSDTDAALGAAGAPACPRHRRPHHSRPRRPRASSPSFVPLPPLSHHPFISSPRSPILRRRRIPLPAGAPRGKLHEVVLEEEEASSTASVESRFVQPTKLGPSLGVLAASSGFFIGAGGADTNNGENRSSSSSQQILHRRERPAPARLLALRRGGRW >ORUFI03G17360.1 pep chromosome:OR_W1943:3:12284927:12285148:1 gene:ORUFI03G17360 transcript:ORUFI03G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLAAAAAPVLAIVGVGAACADEESRGGGKHAEARTQLRGLDKAGLDGGGGARLLLLQHHLVQLSPRRAGR >ORUFI03G17370.1 pep chromosome:OR_W1943:3:12290256:12290858:1 gene:ORUFI03G17370 transcript:ORUFI03G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCLVTSSDTAAPPPPAAAGAAATQAPHLPRRGLHGPAAMKVAIAGNVVVAVLFVAVIVWRLFFFGGRDRAGGAAASAAADADGESSSAGSSPCASPRAGGGLGREDLMALPVYVHGASAAADGGAKAEECAVCIGELRDGDTGRLLPRCGHRFHAECVDKWFRSHATCPLCRAAVAAADGDSGGEGDTKVAVVQQDV >ORUFI03G17380.1 pep chromosome:OR_W1943:3:12308196:12308723:1 gene:ORUFI03G17380 transcript:ORUFI03G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQMSLGGDLRFRAYAAAAAVGVVAVLAVCFWRLYRLTVSARPQDILPVSAVSSGAGAGGGKAALGELDISALPVFVHVAGCEAAAAVECAVCLGEVRDGERGRLLPRCGHRFHVECIDRWFRANSTCPFCRAAVVAGEPGGAAAAAGDKGDAVAVAVVGVPDVVVHVQVEEG >ORUFI03G17390.1 pep chromosome:OR_W1943:3:12313709:12319148:-1 gene:ORUFI03G17390 transcript:ORUFI03G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPKLDRTPSIRDRVEDTLHAHRNELVALLSKYVSQGKGILQPHHILDALDEVQSSGGRALVEGPFLDVLRSAQEAIVLPPFVAIAVRPRPGVWEYVRVNVHELSVEQLTVSEYLRFKEELVDGQYNDPYILELDFEPFNASVPRPNRSSSIGNGVQFLNRHLSSIMFRNKDCLEPLLDFLRGHRHKGHVMMLNDRIQSLGRLQSVLTKAEEHLSKLPADTPYSQFAYKFQEWGLEKGWGDTAGYVLEMIHLLLDVLQAPDPSTLETFLGRIPMIFNVVVVSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMVLRLKKQGLDFTPKILIVTRLIPEAKGTSCNQRLERISGTQHTYILRVPFRNENGILRKWISRFDVWPYLEKFAEDAAGEIAAELQGTPDFIIGNYSDGNLVASLLSYKMGITQCNIAHALEKTKYPDSDIYWTKYDEKYHFSCQFTADIIAMNNADFIITSTYQEIAGSKNTVGQYESHTAFTLPGLYRIVHGIDVFDPKFNIVSPGADMSIYFPYTEKAKRLTSLHGSLENLISDPEQNDEHIGHLDDRSKPILFSMARLDRVKNITGLVEAYAKNARLRELVNLVVVAGYNDVKKSKDREEIAEIEKMHELIKTYNLFGQFRWISAQTNRARNGELYRYIADTHGAFVQPAFYEAFGLTVVEAMTCGLPTFATVHGGPAEIIEHGISGFHIDPYHPDQAANLIADFFEQCKQDPNHWVEVSNRGLQRIYEKYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKFRELAKTVPLAVDEAH >ORUFI03G17400.1 pep chromosome:OR_W1943:3:12323490:12325734:1 gene:ORUFI03G17400 transcript:ORUFI03G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYSGDRSSSSSSRPTTTSFDSYQFDFGVNSSRSSASRPLRPGPGASAGGAAASGGGGGGGSAWTHQPAKTTSWTHQPSPASAAAGAGSGPTSMVGDIFGRSWSSAAPSSGLGIPQANNPGLFSDLLGSALGSSSRGQSNAPLRSAAPQTYKPANANPNPSGSPFSMGGMASTLPKTTTGSPMSSGGGGYGVGGRPMKPAGMASAAASQPMMGQKKDPFGSIDPFAAKPGSMNAAKKANPVKSDQGFGAFQGVNSGGIAGLSGFQTADSGFGSFQTSGAAKPSSFTPAPAPAPVPAPAAAAANSGVDHLDSLFASTTAAPTVASNGGGGGDMFGEMDGWVDVEADFGSGDSGGATTELEGLPPPPSGLTASAAKSKGMDNYKGGQYADAIKWLSWAVVLIEKSGKDADIVEVLSSRASSYKEVGEYKKAIADCSKVLEKDKDNVSVLVQRALLYESSEKYRLGAEDLRLVLKIDPGNRLARSMIHRLNKMAD >ORUFI03G17410.1 pep chromosome:OR_W1943:3:12327348:12327821:-1 gene:ORUFI03G17410 transcript:ORUFI03G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVYATYEEAARSSSYTYYKIRVAISTESVPQLVKKTLGFGTLCWDGSFVKDSAPSQDVTLLLPRHSSVWRAN >ORUFI03G17420.1 pep chromosome:OR_W1943:3:12329243:12340470:1 gene:ORUFI03G17420 transcript:ORUFI03G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPTGAVSGSSSSSLECVSSCRASWRGGGRPYECSVLSCAWNAPRALTGALASTTAQCSSCSHAEAGAGWRRRGRSRRSNNSLLHITWAEGINRGKFGYGSSAHSFPTGNFFKSWSTSVDPTWRVFCYSSSESFNHISPETLWEDLKPAISYLQPEELNFVHDALKLAYEAHNGQKRRSGEPFIIHPVEVARILGEHELDWESIAAGLLHDTVEDTDMVTFERIENEFGVTVRRIVEGETKVSKLGKLQCKNEGNSKQDVKAEDLRQMFLAMTEEVRVIIVKLADRLHNMRTLTHMPQHKQYAIAMETLQVFAPLAKLLGMYRIKSELEYLSFMYMNPGDFAELKKRVEDLYKAHEQELEEANQILGEKIAEDQFLDLVSVETQVRSVCKELYSIYKTALKSKSSINEINQVAQLRIIIKPKSCNGVGPLCTAQQICYHVLGLVHGIWTPIPQAVKDYIATPKPNGYQSLHTTVIPFLNESMFHLEVQIRTEDMDLIAERGIAAHYSGRGVVSGPVRPGISSGRNSNGKVICLNNTGFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVVDYAYLIHTEIGNKMVAAKVNGNLVSPIHVLANAEVVEIIIYDKLSAKYAFQRHQQWLQHAKTRSARHKIMKFLREQAALSAAEITADAVNNFVADLEDESDYEQSIPSSENKDYTFNWQKILNSDKLSFGNKKSDCFLPVKNVSVPKVNGKHNKTVKELGIKINGSTFRGDSFTDFIHPGVSSSKEVLPSVDNWKAGKICAWHNTEGSSIQWLCIAERDKRRGIGVMLFHFEGAYENVVSACSGVDMILGVLGWSVGCSCNPLGVLEC >ORUFI03G17420.2 pep chromosome:OR_W1943:3:12329243:12340470:1 gene:ORUFI03G17420 transcript:ORUFI03G17420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPTGAVSGSSSSSLECVSSCRASWRGGGRPYECSVLSCAWNAPRALTGALASTTAQCSSCSHAEAGAGWRRRGRSRRSNNSLLHITWAEGINRGKFGYGSSAHSFPTGNFFKSWSTSVDPTWRVFCYSSSESFNHISPETLWEDLKPAISYLQPEELNFVHDALKLAYEAHNGQKRRSGEPFIIHPVEVARILGEHELDWESIAAGLLHDTVEDTDMVTFERIENEFGVTVRRIVEGETKVSKLGKLQCKNEGNSKQDVKAEDLRQMFLAMTEEVRVIIVKLADRLHNMRTLTHMPQHKQYAIAMETLQVFAPLAKLLGMYRIKSELEYLSFMYMNPGDFAELKKRVEDLYKAHEQELEEANQILGEKIAEDQFLDLVSVETQVRSVCKELYSIYKTALKSKSSINEINQVAQLRIIIKPKSCNGVGPLCTAQQICYHVLGLVHGIWTPIPQAVKDYIATPKPNGYQSLHTTVIPFLNESMFHLEVQIRTEDMDLIAERGIAAHYSGRGVVSGPVRPGISSGRNSNGKVICLNNTGFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVVDYAYLIHTEIGNKMVAAKVNGNLVSPIHVLANAEVVEIIIYDKLSAKYAFQRHQQWLQHAKTRSARHKIMKFLREQAALSAAEITADAVNNFVADLEDESDYEQSIPSSENKDYTFNWQKILNSDKLSFGNKKSDCFLPVKNVSVPKAERDKRRGIGVMLFHFEGAYENVVSACSGVDMILGVLGWSVGCSCNPLGVLEC >ORUFI03G17430.1 pep chromosome:OR_W1943:3:12346936:12348620:1 gene:ORUFI03G17430 transcript:ORUFI03G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIPLISSRGPGGKRSLSAADELWPPPPQHASDDPAEQAAADEEEQEQQPAARRQRRGERRTLYRGIRRRPWGKWAAEIRDPAKGARVWLGTFATAEAAARAYDRAARRIRGTKAKVNFPNEDNAFAAAPPPYHLAAYYGDASSTSYLYPMAMTPAAAGLREQQLMTTTAVEYSVNDAVDVASVYFQPPPPAVAYEFSAVGGGAVVVPVSAVAPAMTYGQSQEVAAPLMWNFDDITAMPM >ORUFI03G17440.1 pep chromosome:OR_W1943:3:12351318:12353569:-1 gene:ORUFI03G17440 transcript:ORUFI03G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPKSDDVYLKLIVKLYRFLVRRTKSPFNAVILRRLFMSKTNRPPLSLRRLVRFMEGKENQIAVIVGTVTDDKRVYEVPAMKVAALRFTETARARIVNAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSNTKPYVRSKGRKFEKARGRRNSKGFKV >ORUFI03G17440.2 pep chromosome:OR_W1943:3:12351318:12353436:-1 gene:ORUFI03G17440 transcript:ORUFI03G17440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTNRPPLSLRRLVRFMEGKENQIAVIVGTVTDDKRVYEVPAMKVAALRFTETARARIVNAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSNTKPYVRSKGRKFEKARGRRNSKGFKV >ORUFI03G17450.1 pep chromosome:OR_W1943:3:12354393:12359901:-1 gene:ORUFI03G17450 transcript:ORUFI03G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAAAASYGTPPSQQPPPPTAESVLRVASRDPSAAAPLLQALPPDGLDDVLSSLSPASPPNHLALLPAVLALSPSPTAAAAALSALLTAPTWPSPTLLAVASLLRDLPAAYRHRVTAFVAKVLSLLPAADAQDLPALAYQLLLLASKPLHPRAVLSGLLRFFGGHRGARLRAPPSIARQVEGTVLMHVAFAVKQDPALAREVVAAVKADAAGTLSGFAVAVLLSVARVRRFNDAAVGVLRDAVITSRRDYRISRRCKWLPECLKGECAQAANCVEKALLKAVGESIAGREHVVPSIVQVGFLLLEASDSDRKEEVGSDEGVMNTEEVGVNMLKSLFDIHGMARTEIIEQCKFRILSAKPSQSLPVIRLLGGLVRTHPFQMLEHISHLKELLDYFAFLNDKISTGLINCILPLTKFSRDLKDYIILVIRKAMFKREDAVRIAATNAIVELIIAENKHKRTEANPFQDSSSQPSSSQQPETHLEIGGGLFQELSGLLRRCFMQQARVKEALYNGLIQIVTSDPSIAENVLDFLWPHFLNYYTEHAECPLKIDSCFKIENAKVSIVEPIDCLLSCISCILQVQQNSKCERPRDTYWKCFGFAPSQDNEVGRLSSSDLFVKALSNTQKYLRKCLAEDQRGQTQETCSLSSHLDTAHCHNFAMIGIIEVFIGFAASKLEKVADEQKEMLEKEILDLIDAHSSFERKKSKNKEKIAQRAGNSSDSTAKQTNGPKEYYSATLQKLNERRETFMDSSLYELVRVCVKQCDADNLEKCSQRPTQSKLNQCYSLLSFVLKAYHRMFKSLAAKGSGATTGNVRTVLYEDVKKLVGPMMQLIWWIMLDSKQENGGTKRNLTQGKKHMDSKKDQLYLALTCLTEMSKLSVPEDRPGDIIDVLVSSAPPNIEDMVHCSQLLGRNDTDPNTGSVHVFLNILKMLYVRVISQSLPRESEAVTELILGVSRKLHHEQSHLVGHWAASLCQKTIVQNPSIAQEMVKLAIHLMIAPDDLVLVHEMTAELKLITTGEEDSRDSSETFPVINCKTKNSLAAVFLQMVESSFTELDWVIGKLKVMLALAYDSANIDEDDQPADERTQRLYLEEALYSRSTSVVHVLSSFAHTSLKDSQAEQFLKLTAKLYKLLARMAKSQIAPKGYKQVMPGLKFQKLAEVTCRMLTAPLYVFVALVQENQQASKRGILARIKRESKCIPDLIFQIEDYEKYLIQLSKLTKVNLLRHAKRSVARDFKIQSKDELERNSTAARAASSENMPEEDAEGPDAPLETNGDEDPQASARSDNTVEDSESDEEEERVLARRKRAKTNSIVQDSDEEAEDE >ORUFI03G17450.2 pep chromosome:OR_W1943:3:12354393:12359901:-1 gene:ORUFI03G17450 transcript:ORUFI03G17450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAAAASYGTPPSQQPPPPTAESVLRVASRDPSAAAPLLQALPPDGLDDVLSSLSPASPPNHLALLPAVLALSPSPTAAAAALSALLTAPTWPSPTLLAVASLLRDLPAAYRHRVTAFVAKVLSLLPAADAQDLPALAYQLLLLASKPLHPRAVLSGLLRFFGGHRGARLRAPPSIARQVEGTVLMHVAFAVKQDPALAREVVAAVKADAAGTLSGFAVAVLLSVARVRRFNDAAVGVLRDAVITSRRDYRISRRCKWLPECLKGECAQAANCVEKALLKAVGESIAGREHVVPSIVQVGFLLLEASDSDRKEEVGSDEGVMNTEEVGVNMLKSLFDIHGMARTEIIEQCKFRILSAKPSQSLPVIRLLGGLVRTHPFQMLEHISHLKELLDYFAFLNDKISTGLINCILPLTKFSRDLKDYIILVIRKAMFKREDAVRIAATNAIVELIIAENKHKRTEANPFQDSSSQPSSSQQPETHLEIGGGLFQELSGLLRRCFMQQARVKEALYNGLIQIVTSDPSIAENVLDFLWPHFLNYYTEFNKIVNVNDHEIHIGSASGLLLRRIMSYCQVGRLSSSDLFVKALSNTQKYLRKCLAEDQRGQTQETCSLSSHLDTAHCHNFAMIGIIEVFIGFAASKLEKVADEQKEMLEKEILDLIDAHSSFERKKSKNKEKIAQRAGNSSDSTAKQTNGPKEYYSATLQKLNERRETFMDSSLYELVRVCVKQCDADNLEKCSQRPTQSKLNQCYSLLSFVLKAYHRMFKSLAAKGSGATTGNVRTVLYEDVKKLVGPMMQLIWWIMLDSKQENGGTKRNLTQGKKHMDSKKDQLYLALTCLTEMSKLSVPEDRPGDIIDVLVSSAPPNIEDMVHCSQLLGRNDTDPNTGSVHVFLNILKMLYVRVISQSLPRESEAVTELILGVSRKLHHEQSHLVGHWAASLCQKTIVQNPSIAQEMVKLAIHLMIAPDDLVLVHEMTAELKLITTGEEDSRDSSETFPVINCKTKNSLAAVFLQMVESSFTELDWVIGKLKVMLALAYDSANIDEDDQPADERTQRLYLEEALYSRSTSVVHVLSSFAHTSLKDSQAEQFLKLTAKLYKLLARMAKSQIAPKGYKQVMPGLKFQKLAEVTCRMLTAPLYVFVALVQENQQASKRGILARIKRESKCIPDLIFQIEDYEKYLIQLSKLTKVNLLRHAKRSVARDFKIQSKDELERNSTAARAASSENMPEEDAEGPDAPLETNGDEDPQASARSDNTVEDSESDEEEERVLARRKRAKTNSIVQDSDEEAEDE >ORUFI03G17460.1 pep chromosome:OR_W1943:3:12362818:12365232:1 gene:ORUFI03G17460 transcript:ORUFI03G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSFFVGIVGNVISILVFASPIPTFRRIVRSKSTEEFRWLPYVTTLLSTSLWTFYGLLKPGGLLIVTVNGAGAALEAIYVTLYLAYAPKETKACRRASSAYPSMAPIDRQRERGVISSMAKMVKVVLAVNVGALAAVVAVALVALHGGVRLFVVGVLCAALTIGMYAAPMAAMRTVVKTRSVEYMPFSLSFFLFLNGGVWSVYSLLVKDYFIGIPNAIGFALGTAQLALYMAYRRTKKPAGKGGDDDEDDEEAQGVARLMGHQVEMAQQRRDQQLRKGLSLSLPKPAAPLHGGLDRIIKSFSTTPVELHSILHQHHGGHHHHHRFDTVPDDDDEAAAAVAAGGTTPATTAGPGDRH >ORUFI03G17460.2 pep chromosome:OR_W1943:3:12362818:12365232:1 gene:ORUFI03G17460 transcript:ORUFI03G17460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSFFVGIVGNVISILVFASPIPTFRRIVRSKSTEEFRWLPYVTTLLSTSLWTFYGLLKPGGLLIVTVNGAGAALEAIYAKMVKVVLAVNVGALAAVVAVALVALHGGVRLFVVGVLCAALTIGMYAAPMAAMRTVVKTRSVEYMPFSLSFFLFLNGGVWSVYSLLVKDYFIGIPNAIGFALGTAQLALYMAYRRTKKPAGKGGDDDEDDEEAQGVARLMGHQVEMAQQRRDQQLRKGLSLSLPKPAAPLHGGLDRIIKSFSTTPVELHSILHQHHGGHHHHHRFDTVPDDDDEAAAAVAAGGTTPATTAGPGDRH >ORUFI03G17470.1 pep chromosome:OR_W1943:3:12372824:12373691:-1 gene:ORUFI03G17470 transcript:ORUFI03G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSSSIRSLLLAAALLVAVGISPHAAEASSGGAVMGLVTGVVPCSAGSSINAASVPGFPNAAVQLECGGRAVAGATADGSGAFAINLGKLTAATLTPLLNDRCRVVVTTPLAACDASLAGVAGTLAAPVQLLGDGGAGGGGALGGLGGLIGGITGIIGQIISGVLGNIISIVPSAFSVV >ORUFI03G17480.1 pep chromosome:OR_W1943:3:12380287:12380904:-1 gene:ORUFI03G17480 transcript:ORUFI03G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSKNLLLVLVVAATAVGIVAPHGSEAAAGRAVTGLVTGVVPCSAGSSINAASVPAFPDAGVQMVCGGRVVGGATADGTGAFTINMGALNATMLMAMAGNQCKVVVTTPLAACDASLAAVAGTLTAPVQLLGGTGGLGGLGGLITLITQILSGLLGEILNIIPLPFSLV >ORUFI03G17490.1 pep chromosome:OR_W1943:3:12384281:12385779:1 gene:ORUFI03G17490 transcript:ORUFI03G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTSYRKVFSAPSAGPPEDSYVGVGLWGGGRGPIRVYDTSSSRPHPQSHRDRIATRQPPPAGRIPVASSFGGSDTPSSLAEPPAEDFHQVGGTRMY >ORUFI03G17490.2 pep chromosome:OR_W1943:3:12384281:12385331:1 gene:ORUFI03G17490 transcript:ORUFI03G17490.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTSYRKVFSAPSAGPPEDSYVGVGLWGGGRGPIRVYDTSSSRPHPQSHRDRIATRQPPPAGRIPVASSFGGSDTPSSLAEPPAEDFHQFFIRAVSGRRRDDTS >ORUFI03G17500.1 pep chromosome:OR_W1943:3:12384732:12387151:-1 gene:ORUFI03G17500 transcript:ORUFI03G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGARVVSPASAHSTDEELHFKKLKNGERGGITCLLARDSSPASVRGGNSCHGRLRAAAAGSRASMCGDGSSSESSTMTQGG >ORUFI03G17510.1 pep chromosome:OR_W1943:3:12389348:12389557:-1 gene:ORUFI03G17510 transcript:ORUFI03G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADVALAAVAAVAGACELVVDTPLARCDARLPAAGRLVSDVQQGGTLIGGLLNGALRLAPAGCSFRMA >ORUFI03G17520.1 pep chromosome:OR_W1943:3:12393528:12395228:-1 gene:ORUFI03G17520 transcript:ORUFI03G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDKLWDDTVAGPRPDTGLGRLRKHAAARPAAVKINDPTGDAAMVAVPPTTPAGAEEAPVKVTRSIMIKRPAGYPASPRSAASTPPASPAGSTPPISPFAGADTTGTLLNLEKLNSPGGRFRRKSSSDAYERATPGTTSHPPPFEV >ORUFI03G17530.1 pep chromosome:OR_W1943:3:12412532:12414072:1 gene:ORUFI03G17530 transcript:ORUFI03G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAYRKTKRAARPQPRYCPYLPAPMSVTVDGKRVLHIRRLRWKFRVCLHVTQHIFSILQANEYVIQSSKALIQLLNVGICADESGPRHYIAFGDGCERHTYDRNGDDMANPNPTLPWLPMPHPPLPTSLKQQQQATTWEERRLQRRLRSSSNNNNCIDKGEAAADVPKQQQQATTRERRPQRRLRWLKGEMGGRRERGKIGRRVVDKMMR >ORUFI03G17540.1 pep chromosome:OR_W1943:3:12414388:12419445:1 gene:ORUFI03G17540 transcript:ORUFI03G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKPRGKPKSRALVAGAAHGGKEKKGVTGGAGKRGDRRGGRHGPRLPTALRRQLDALGPGTSRGSDEDEEAGSDDEGAHDVYEYEEGVPEEEAGKNGRYDAVEKYEYEFDSDASNADEDVPSDEGEDMEEDDAGEDEDEEKQIRILQETTGMPREAFDGGKRKKQPLELPFQPGVGDGPVTIHDLLNNIQGKPGYSKLRKRLQQQEKKPMVVQAPLAKVEREKLERGVVYQQSKKEITKWEPLVKRNREAPTLYFENDLNLGVNTVGAIASEFKPRNEFEKQMAEIMRSTEMMEAHKNDGVKILELNKIDMEDVRERQNRLAKMRSLLFRHEMKAKRIKKIKSRTYHRMLKKDKLKAASADFEADPEAAKEHAMKQEFKRAEERMRLKHKNTSKWAKRILKRGLDVQDEGTRAAIAAQLQQNALLTRKMNSTKDDSSSSEESSDDEEDDDESEANILNKGKEKILKILGDDNEIPTSGVFSLPFMERAMKKHEEATYEEARLALEECDESLRKLEDGNTEENGDSVKVTGKRTFGPAEDTNKVTNKKQKLDDGDKNSDSEYESDSAQHLDDNEVHKIDDVQIGTALLDDDEPQDDLFKSFDDIIKNPGRKTTVEVGMLADNSWKKFKSSKGNDGSNTNGDIDKSTVKVSYMADQKLKQLDHNSDSDSEDEMVEGLLTISDAKENYKIPSQADLIRQAFAGDDVEAEFEKDKLDVLNEENPEPEKPALVPGWGQWTDIQQKKGLPSWMVKEHENAKRKREEALKRRKDAKLKHVIISEHVDKKAEKLLVRNLPFPYTSKDVYEQSIRMPIGPDFNPAISVAALNRPAIVKKPGVVIKPIQYEEVDPHEKPDEPKRVIQRAVPNPKAKRTSAKQAKAIASNKRK >ORUFI03G17540.2 pep chromosome:OR_W1943:3:12414388:12419572:1 gene:ORUFI03G17540 transcript:ORUFI03G17540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKPRGKPKSRALVAGAAHGGKEKKGVTGGAGKRGDRRGGRHGPRLPTALRRQLDALGPGTSRGSDEDEEAGSDDEGAHDVYEYEEGVPEEEAGKNGRYDAVEKYEYEFDSDASNADEDVPSDEGEDMEEDDAGEDEDEEKQIRILQETTGMPREAFDGGKRKKQPLELPFQPGVGDGPVTIHDLLNNIQGKPGYSKLRKRLQQQEKKPMVVQAPLAKVEREKLERGVVYQQSKKEITKWEPLVKRNREAPTLYFENDLNLGVNTVGAIASEFKPRNEFEKQMAEIMRSTEMMEAHKNDGVKILELNKIDMEDVRERQNRLAKMRSLLFRHEMKAKRIKKIKSRTYHRMLKKDKLKAASADFEADPEAAKEHAMKQEFKRAEERMRLKHKNTSKWAKRILKRGLDVQDEGTRAAIAAQLQQNALLTRKMNSTKDDSSSSEESSDDEEDDDESEANILNKGKEKILKILGDDNEIPTSGVFSLPFMERAMKKHEEATYEEARLALEECDESLRKLEDGNTEENGDSVKVTGKRTFGPAEDTNKVTNKKQKLDDGDKNSDSEYESDSAQHLDDNEVHKIDDVQIGTALLDDDEPQDDLFKSFDDIIKNPGRKTTVEVGMLADNSWKKFKSSKGNDGSNTNGDIDKSTVKVSYMADQKLKQLDHNSDSDSEDEMVEGLLTISDAKENYKIPSQADLIRQAFAGDDVEAEFEKDKLDVLNEENPEPEKPALVPGWGQWTDIQQKKGLPSWMVKEHENAKRKREEALKRRKDAKLKHVIISEHVDKKAEKLLVRNLPFPYTSKDVYEQSIRMPIGPDFNPAISVAALNRPAIVKKPGVVIKPIQYEEVDPHEKPDEPKRVIQRAVPNPKAKRTSAKQAKAIASNKRK >ORUFI03G17550.1 pep chromosome:OR_W1943:3:12420431:12425790:1 gene:ORUFI03G17550 transcript:ORUFI03G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGPKIFQFSTTFPSLSTPPTPLPLSHSSSPTKSHHHHSHQPPPMAAAASDSDSPAAAAARHHDDPTLPAFASFSLSLSLRTPASPSPAALASVPSTIHLPTQISTLAVCLHPSASASASPSSRRRLNAAAAASSLLAPLPASTPGLSRSFPSGAPAAAGRRRTLVWFRADLRLHDHEPLHAAVGASSSLLPVFVFDPRDFGKSPSGFDRTGPYRAGFLLDSVTDLRRGLRARGGDLVVRVGRPEVVIPELARAAGAEAVFAHGEVSRDECRAEEKVSKAVEKEGIEVKYFWGSTLYHLDDLPFRLEDMPSNYGGFREAVKGLDVRKVLDAPEEVKCVPMKNVLEPGEIPTLAELGLTAPPAMAHGSKAAVGSTLIGGEAEALERLKKFASECCMQPNKGDKDSTRDSIYGANFSCKISPWLATGCLSPRFMYEELKKHASRAIPSGSTPKNGDGTSDAGTNWLMFELLWRDFFRFITKKYSSAQKTSEVAPATGCTPTPALA >ORUFI03G17560.1 pep chromosome:OR_W1943:3:12424498:12425651:-1 gene:ORUFI03G17560 transcript:ORUFI03G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVAAAAGGKGGAGKKKGSVTFVIDCAKPVDDKIMEIASLEKFLQERIKVAGGKAGNLGESVTVSRDKTKVTVTSDGPFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >ORUFI03G17570.1 pep chromosome:OR_W1943:3:12428127:12431197:1 gene:ORUFI03G17570 transcript:ORUFI03G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVHHKNGRGGGGGGGGGKGKGKGKWKMPASVARKQQAAMANVDQVTGDKIPKSFVFSRGKLPSTLRHLQQDLRKVMLPYTALNLKEKKRNNLKDFVNVSGPLGVTHFFILTNPKSSPHLRMAKTPQGPTYTFQIKEYALAADIANSQKRPRCPPEIFKNSPLTVLSGFGGLGEPFKSLVEYFRHMTPAIDPVTVKLSTCQRILLIHFDREKEMINFRHYSIKLQPVGVTRKIRKLMQNNQVPDLRDLNDVSDYVTKAGYGSESEVDDEAATVSLASDVDKLNRASRKSAIRLQEIGPRMKLHLVKVEAGLCSGDVLYPQPVGKEGLGKKGKEVEEETEGQEDEDLMESDDDPEDESVE >ORUFI03G17580.1 pep chromosome:OR_W1943:3:12434984:12435391:1 gene:ORUFI03G17580 transcript:ORUFI03G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMADEYNQYGGGGGGPRGGAAPHGLLLAVVVGLVVAGPLFLGDGGEAVTEAVAELLSPVGLLLLPVCLLLLIRLLSSDRGAAALADAFAFGGSPDAVHRVGGSPVGVALMLLLILALLYYRTALFGGDGGDDE >ORUFI03G17590.1 pep chromosome:OR_W1943:3:12437938:12438324:1 gene:ORUFI03G17590 transcript:ORUFI03G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATAVAASLSVAGGPGRPLARVSSPAAPMKAASPAAARRAVVVRASSSAEPVRREKASAAAAAAGIAAVAAVAAALAVPEVAEAAPALSPSLKNFLLSIASGGVVLVAIVGAVVAVSNFDPVKRT >ORUFI03G17600.1 pep chromosome:OR_W1943:3:12441502:12446527:1 gene:ORUFI03G17600 transcript:ORUFI03G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAEEACAGRDGYNFDGHRLRVEPAHGGRGNGGSSFDRPSNFGGGGRRGVSRHSEYRVLVTGLPSSASWQDLKDHMRKAGDVCFSEVYREGGGTVGIVDYTNYDDMKYAIKKLDDSEFRNAFSKGHIRVKEYDGKRARSYSRSRSPSRSRSKSRSLSKSPRTRRSASRSRSRSRSVSSRSRSASKGRSPSSIYFLGNFLLKYSFYYKLYELLSLICFVKTRLSLHFIWDCAAIWGLRIRILRMGFPQFALGCMRSPARSKSPNASAKSE >ORUFI03G17610.1 pep chromosome:OR_W1943:3:12446905:12447898:-1 gene:ORUFI03G17610 transcript:ORUFI03G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDAATGDATTATTTVLVGVDYSEHSYHALEEAARLAAARFPPGSAEVVAVHARRPLAPAFVAIGAVAAVMSVEAAEQRAVEKLIGEKAGQLSAQYKVEVKVEVKDGEAKRVLCDAVGEHGAGLLVVGSHGYGPVLRALLGSVSDHCCRHASCPVMVVKMP >ORUFI03G17620.1 pep chromosome:OR_W1943:3:12450779:12454713:-1 gene:ORUFI03G17620 transcript:ORUFI03G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALASPFLLPHRRRKRPLDDSHFHGPQRHRRRRLCLCPAAFPSPPIPPEAASSPAFDMGGFLSFLRGKPRHDDAGLGVYRGWVDVRSRDLSVATAMEDDDAGFGPRLVVRRRVGDPRKAALEAAAPRPRVKREPYYKEALERMRSHDKRLGELASLVNLEEEKLAELRKAAEPPKEDLSELFTPLTAEEENEVHNCLFGRGSSTEILALHEPSNIEVSREKFRCLRLTAWLNDEVINLYLELLKEREAREPKRFLKCHFFNTFFYKKLACGKNGYDYKSVKRWTTRRRLGYELIECDKIFVPVHKDVHWCLAVINMKERTFQYLDSLGCVDHHVPRVLARYIAEEVKDKSNKEIDTNTWHEELVDDIPLQQNGWDCGMFMLKYIDFHSRGLSMSFSQENMEYFRKRTVMEILRLRAD >ORUFI03G17630.1 pep chromosome:OR_W1943:3:12457096:12457464:-1 gene:ORUFI03G17630 transcript:ORUFI03G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVRLWRCCARRKPVVVTGSSGGWLPLVTSVAFGSTQEALDLRGGRLSLGVKGMAWWSSDGRCAGWLCGVLMGNHWRKPCQAMGRHDDGDAIWRRSPPWRCRPGVDPS >ORUFI03G17640.1 pep chromosome:OR_W1943:3:12457471:12458118:1 gene:ORUFI03G17640 transcript:ORUFI03G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACPANTTSHTTGRRLALAAGLAFLLRAIRQRHRAGARGRAGAGAVAAAPTRAATAPGCLAGQGPCCAARRPLHVRRAARLAARRAGKARLRHSPRRQGMHEPLAARSASVAPLTAPTGSAGGEARSGHRAPDPPPQAEPPSPPLASPSSVFSILLAQGPVPPSRLGLAAAFPARREVEEGEGGVAARAARVSPPSRPHESNAGVTTSRLNFLP >ORUFI03G17650.1 pep chromosome:OR_W1943:3:12460875:12461591:1 gene:ORUFI03G17650 transcript:ORUFI03G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLRLPSVKLWRAMVRVHSSCSSPPSPAPAEQLEEAAGAPPAAAASTTARRHWSEQREVFTIWMKSLVLNGSGCTVYDSGGRIVYRVDNYGSRRAADVCLMDLAGNVVVQILSKARLGHLVGRWDGYRPCRGGGAGGEQRPWFKAASEAWRGGGGPRCEFRSDDGRAVQRYRMEGRLREAARAARIVDGATGAAVAEVRRKTTAGGVALGDDVLTLLVEPNVDRSLILSLLLLHHDW >ORUFI03G17660.1 pep chromosome:OR_W1943:3:12463319:12474003:-1 gene:ORUFI03G17660 transcript:ORUFI03G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRRSAAAKRPAAEEDQEEEKAAAAPAPAAAAAGEGAGAPASAGRRPAKRGKAVAVEVDSGKEDEEAASASGVASAADATPVVVGGGGAAVPPLDTAGLQALTGAVDRLEAILRPGEAVSNSAGHKRSALAKDLQAKLKEVKDLADGVAKKRLPPVANRRQEPWCRLISQHAKNPSIPINASHFTVGYGAHHNLRLEGSYTNSLVCRLKHAKRGALLEIYESKVVRVNGKSFDKTNKVTLCGGDEVVFNTPIFEQLPEEKSSTSPFSSTWCSVQPGQHSLIKDFKDIFSSKEAKVTSFYFGKSRPPLMPVEKDANVSIIAGSSSDPDLVSSLCKTMEDQFNSEENTPFAWCQLLEEDLKNATIDPSEISETFDSCPYYLSENTKSALQSSAYVNLHCKDYIKFTKDISSLSQRVLLSGPAGTDIYQQYLVKALAKHFGARLLTIDSSMLFGGKTTKESDSYKKGDRVRYIGSLQSTGIILDGESPPDFGSQGEICLPFEENRSSKVGVRFDEQIPGVDSLCLDAPGWEIRSKHPFDVIIQFISEEIEHGPLVLFLKDTERICGNNDSYRALKSKLQYFPAGAFIIGSHVHPDDHKEKANASSLLLSKFPYSQAILDFAFQDEIERSKWNQMLDRDVEILKGNANISKIRSFLLKMGLESSDLETVCVKDRLLTNECIDKIVGFALSHQLKHSTIPDPSSDVRFTLSSESLKHGVDMLESVESNPKSSNIRKSLKDIATENEFEKRLLADVIPPDEIGVTFEDIGALESVKETLKELVMLPLQRPELFSRGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWFGEGEKFVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRRKILSVILAKEDLADDVDLEAVASLTEGYSGSDLKNLCITAAHLPIKDILEKEKKEKALAEAENRPLPQSFSSNDVRALRLSDFKHAHEQVCASVSSDSTNMNELIQWNDLYGEGGSRKKTTLSYFM >ORUFI03G17670.1 pep chromosome:OR_W1943:3:12481240:12485569:-1 gene:ORUFI03G17670 transcript:ORUFI03G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLSLRLPTPSTAPSSGASSSFLSLPPTLLRQARGGAAASSALVARAASGGSPNPLFNPRADPFLSTLAAASPEELQAAAAGGGRRGDDHLPFLEIFQNAKLMASPAQVERSSSSYSQHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELVVAQLMYLEWMNSKEPVYIYINSTGTARDDGEPVGMESEGFAIYDAMMRMKTEIHTLCIGAAAGHACLVLAAGKKGKRYMFPHAKAMIQQPRIPSYGMMQASDVVIRAKEVVHNRNTLVRLLARHTGNPPEKIDKVMRGPFYMDSLKAKEFGVIDKILWRGQEKYMADMLSPEEWDKVAGVRRPDIILGG >ORUFI03G17680.1 pep chromosome:OR_W1943:3:12488884:12489294:-1 gene:ORUFI03G17680 transcript:ORUFI03G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRALPFVLLVAAAAAALVVVPATAATAEALVAHWGMRAAPCLEGTVEEECVADGEVGVVGLRRWQRRRRLFQLMDDYGGGWAGAAIAAQYISYAALMRNSVPCSIPGASYYNCRPGADANPYTRGCSAITQCRD >ORUFI03G17690.1 pep chromosome:OR_W1943:3:12491716:12495659:-1 gene:ORUFI03G17690 transcript:ORUFI03G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPLLGHVRGEAQNDSAEKAHASPDRLPSPRLSMSGGALRTFTRCVTCLARVGSDLVLQAHPAKLELHTLNSSRSAYASISLARDFFDEFSLSAAAAADSAPSSTPLQCSKTYWIACSAESEVQTLALDRSNFSSRLAIRPRDLARLLSNFQSSLQELTVIATDPAAGLSNVGVDGEIEGKAVELRSYIDPTKDDCDTRLHTQLWIDPTEEFVEYVHSGDPVDVTFGVKELKAFLTFCEGCEVDILLFFQKAGEPVLLVPKFGLDDGSSSDFDATLVLATMLVSQLTDSSVAQQPTTSAQRAEEPRVAATPPPVPENVSNHTKIWSELSGSAPKSFEVNREKYTQKERNANSNALNDTSMLHSVNARYKPPVADNANDTMQPMQMDHLEEPPDVVSDNPRSQHHPSNWVGADEDDDDDEDEELFVQTTPHYMD >ORUFI03G17690.2 pep chromosome:OR_W1943:3:12491716:12495480:-1 gene:ORUFI03G17690 transcript:ORUFI03G17690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSMSGGALRTFTRCVTCLARVGSDLVLQAHPAKLELHTLNSSRSAYASISLARDFFDEFSLSAAAAADSAPSSTPLQCSVLLKSVLSVLRTPTAALDRIAASLPNPDAPKLQLTLHCLNGVKKTYWIACSAESEVQTLALDRSNFSSRLAIRPRDLARLLSNFQSSLQELTVIATDPAAGLSNVGVDGEIEGKAVELRSYIDPTKDDCDTRLHTQLWIDPTEEFVEYVHSGDPVDVTFGVKELKAFLTFCEGCEVDILLFFQKAGEPVLLVPKFGLDDGSSSDFDATLVLATMLVSQLTDSSVAQQPTTSAQRAEEPRVAATPPPVPENVSNHTKIWSELSGSAPKSFEVNREKYTQKERNANSNALNDTSMLHSVNARYKPPVADNANDTMQPMQMDHLEEPPDVVSDNPRSQHHPSNWVGADEDDDDDEDEELFVQTTPHYMD >ORUFI03G17690.3 pep chromosome:OR_W1943:3:12491716:12495480:-1 gene:ORUFI03G17690 transcript:ORUFI03G17690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELSMSGGALRTFTRCVTCLARVGSDLVLQAHPAKLELHTLNSSRSAYASISLARDFFDEFSLSAAAAADSAPSSTPLQCSVLLKSVLSVLRTPTAALDRIAASLPNPDAPKLQLTLHCLNGVKKTYWIACSAESEVQTLALDRSNFSSRLAIRPRDLARLLSNFQSSLQELTVIATDPAAGLSNVGVDGEIEGKAVELRSYIDPTKDDCDTRLHTQLWIDPTEEFVEYVHSGDPVDVTFGVKELKAFLTFCEGCEVDILLFFQKAGEPVLLVPKFGLDDGSSSDFDATLVLATMLVSQLTDSSVAQQPTTSAQRAEEPRVAATPPPVPENVSNHTKIWSELSDVVSDNPRSQHHPSNWVGADEDDDDDEDEELFVQTTPHYMD >ORUFI03G17700.1 pep chromosome:OR_W1943:3:12495658:12497759:1 gene:ORUFI03G17700 transcript:ORUFI03G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEARVFLSRSHNIYRPRSSCSSSPFKRRRRRCSLRSRFPSPGDSVAVVVFASSTATMQNEEGQMVDLYVPRKCSATNRIITAKDHASVQINIGHVDENGLYDGRFTTFALSGFIRAQGDADSALDRLWQKRKAEVKQQ >ORUFI03G17710.1 pep chromosome:OR_W1943:3:12498721:12500509:-1 gene:ORUFI03G17710 transcript:ORUFI03G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRICCSALLVLLLVSSCNAGDHPACPAAWSAAVGAELFHGGVGGGGGGGEAQCSAAAPHTPVAVFAHDVDPVRFALNLEFAEAEFFLHAAFGVGLDHLAPNLTLGGPPPVGARKAGLDELTWRVCAEFAYQEIGHLRAIQRTVGGIPRPLIDLSAHNFARVMDEAVGYHLDPPFDPYANSLNFLLAVYVIPYLGINGYTGTNPLIDGYATKRLVAGLLAVESGQDAVVRGLLFERRRKTVSPYGATVAELTDRVSALRNKLGQCGVKDEGLIVPEQLGAEGKICTNILSANVDSLSYSRTPAELLRILYLTGDEHVPGGFYPEGANGRIARMFLKKPPRINHGV >ORUFI03G17720.1 pep chromosome:OR_W1943:3:12501893:12503040:-1 gene:ORUFI03G17720 transcript:ORUFI03G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDEPVPIFLLIDHLIEKPGPAPGTADLAKHLPTSVVLAFGVLSPSSTADGSCTAANRALTACLVGACALCCFLLCFSNSYRDGTGAVRYDFVTPSGRLRLIDGSGSLPPRDNRYRLGARDVLHGALSFAVFLAVAMVDHNVVAHFYPVESPATRQLLAAVPMAAGVADSFLFAMFPSTCRCIGFPVAAGA >ORUFI03G17730.1 pep chromosome:OR_W1943:3:12527117:12528462:-1 gene:ORUFI03G17730 transcript:ORUFI03G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADAVSPAPATSAAAQQQLRTVVLRVSIHCLGCKKKVRKVLRSIEGVKDVKVDAAMHKVTVTGTVDGDTLVKRLYKSGKQAVPWQHPHVAPAPEAVKAIEAAPQQPEAAPAGDDDGGKGSDAAAAAAKEAAAQAESSEEKKTEEKPEAEKEAEKKEEEQEAKPSDEAKKDAGGESEAAPEAKAKGDDVGAEPAKEAVPAAAVKEASNDDEGAKDEKSKPKDAGDAAPPAAATTTERSLHFSPTPAAHKQHEEHYPYPYYGAPQPVMSYHMAQPTTSVSYYAPRPEPAYSMQQHPPPPAYSAPPPQQQQYPPPSPSPQPQAMQQQWSPSYLYMPYPHSSPDTYYRDYYSPPGTAHAPPLQDEYRMFDDENPNACSVM >ORUFI03G17740.1 pep chromosome:OR_W1943:3:12548599:12549735:-1 gene:ORUFI03G17740 transcript:ORUFI03G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHYHHLVINGESAHYGTGTHWCPSATLSSRRPPVVDCPSRCRLLVAPIVGRQGESMDERRENGGEGYGVERRGKDLTGERKEMEPVGCKYSQACLLRRPNAEEAPGSKLHLSYSPTQQQSVGKSIPEVPYLVTVLPSYKIVPEPKKSDKTYPPISKTTANEIPYLSYSPTQQQVQAKVYLRSLTLLPSYKIVPEPKKSNKTYPPISKTTANEVSRCYCPRF >ORUFI03G17750.1 pep chromosome:OR_W1943:3:12553562:12553888:-1 gene:ORUFI03G17750 transcript:ORUFI03G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGVWVFKRDGVMQLEADVEGGTGRRQRRLVYVPANETMGSLQALERRLAGLGWERYYEDRAVVQLHRRDGGADLISLPRDFARFRSTHMYDVVLKNRDHFKVLDN >ORUFI03G17760.1 pep chromosome:OR_W1943:3:12564224:12564700:1 gene:ORUFI03G17760 transcript:ORUFI03G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEIGTTAVGVCWGMSGDNLPPTSKVTEMLRENGFTVVRLYVPDSAALAALGCTGIRVVVGEPNYDRPALAHGGTAAAAAWIRENIQAYPTVLFRFVVVGNEVASADMQLLVPAMENVHAALAAAGLGHIKVTTTSAYARLPSCSCSPAQLFLRWSP >ORUFI03G17770.1 pep chromosome:OR_W1943:3:12567720:12570722:-1 gene:ORUFI03G17770 transcript:ORUFI03G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRGGAFAGATACPSASGDDPLRPLINHGSTMHGGPLCWQLHSSISWCLWWAASEDRYHRADGQAAASANVTPRGFICIDGDGDGHDDGGRTANYTRSDGRNERANSWITAPRNEER >ORUFI03G17780.1 pep chromosome:OR_W1943:3:12571138:12578299:1 gene:ORUFI03G17780 transcript:ORUFI03G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVPEELRCKRSDGKQWRCSAPSMPDKTVCEKHYVQAKKRAASSALRASLRRSSASASAARGTTPPARMAVARPIYGRVAGEPVYVAEPALPPPPPPPRRRQPVHGLPMGNAAGARTAAELVGRGSAGLVACSSAAGAAAAATCHQCRRVANTICCTSCDRRGYCTNCISRWYSDIPIDDVRKARVQEISAVDKLEYLHSILASVLPVLKQIYSDQCFEIGVDTKAYGLRTDIIRAKVNPDEQMCCDTSNYPRSDFCKVPVFDYHRHCPRCLYDLCLDCCRDIRRSRTSVARGEYAEGRVVDRSKDTSNKRARMEPSAESANDKSVPQRRDIKNIDIRSLFPTWRVNNDGSITCGPHEAGGCGSSKLVLRRIFKINWISKLVKNSEEMVNGCKVHVLENGCSSCNDGRTLELTGHRNFGVSTCSNNGGIDRFCVFSPVLEDLKSEGIIHFRKHWIKGEPVVIRNAFEPSLSSSWDPLNIWRGIQEIMDEEVDDDVIVKAVDCSNQAEVDIELKQFIKGYSDGHKGEDGELMMLKLKEWPPPSVLEEFLLCQRPEFIVNFPLVDFIHSRWGLLNLSAKLPPDTLQPEVGLKLLIAYGRHQEAGKGDSVTNLMINMADVVHMLMHTAKGHDVCPKRLQPERSEKIANGMTMHVNAHAPVQNLNVDMGEQSPDHVSSKFDERAHASALRLQEKSSDAKLNCGFEGSSTELSCSSHSEEPKVNGSERSQAGSVWDVFRRQDISKLNEYLTANWEELAASSQVKNPIYEQSIYLNKYHKRILKDQYGIEPWTFQQHIGEAVFVPAGCPFQVKNLQSTVQLALDFLSPESLGESARMAQEIRCLPNDHDAKLKMLEIGKISLYAASSAVREIQRITLDPKFNLDLKFEDQNLTQAVSENLARVTKQRNVPCS >ORUFI03G17790.1 pep chromosome:OR_W1943:3:12580269:12585548:1 gene:ORUFI03G17790 transcript:ORUFI03G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLRCYFFCCCPIRGGSILGVPYLDPLAGLVVSGMILKAGVHTGYESVLELVDAAVDPSLLQPIKETILQVDGVKGCHRLRGRKAGTSLYLDVHIEVYPFLSVSAAHDIGETVRHQIQKSHNQVAEVFIHIDPSYSVESNMDQKGIWENIERRNSDAIPRQQTAEAIVSCIISSHFSKKMSLEHLMLHYLQGRVLLQVEVSMSPEIMIRDAMDIAKQAEEEILKADSSISQVSVQLRLGQQIKQLKLPGGKNRAKNQQAQMQ >ORUFI03G17790.2 pep chromosome:OR_W1943:3:12580269:12585548:1 gene:ORUFI03G17790 transcript:ORUFI03G17790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLAHLAACVARAAASSSRLRGPRPAASALVAPLLASPWEPSGGGQPHWLPPPPPPLGGEASERIFRLGLAADVVLTVGKAVTGYLSGSTAIAADAAHSLSDIVLSGVALLSYKAAKAPRDKEHPYGHGKFESLGALGISSGIAWHAFDVLQGVMSSAPDIIGNVSHAHHSHGSSGHHHGIDLEHPILALSVTAFAISVKEGLYWITKRAGEKEGSGLMKANAWHHRSDAISSVVALLGVGGSILGVPYLDPLAGLVVSGMILKAGVHTGYESVLELVDAAVDPSLLQPIKETILQVDGVKVYPFLSVSAAHDIGETVRHQIQKSHNQVAEVFIHIVESNMDQKGIWENIERRNSDAIPRQQTAEAIVSCIISSHFSKVEVSMSPEIMIRDAMDIAKQAEEEILKADSSISQVSVQLRLGQQIKQLKLPGGKNRAKNQQAQMQ >ORUFI03G17790.3 pep chromosome:OR_W1943:3:12580269:12585548:1 gene:ORUFI03G17790 transcript:ORUFI03G17790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLAHLAACVARAAASSSRLRGPRPAASALVAPLLASPWEPSGGGQPHWLPPPPPPLGGEASERIFRLGLAADVVLTVGKAVTGYLSGSTAIAADAAHSLSDIVLSGVALLSYKAAKAPRDKEHPYGHGKFESLGALGISNIIGNVSHAHHSHGSSGHHHGIDLEHPILALSVTAFAISVKEGLYWITKRAGEKEGSGLMKANAWHHRSDAISSVVALLGVGGSILGVPYLDPLAGLVVSGMILKAGVHTGYESVLELVDAAVDPSLLQPIKETILQVDGVKVYPFLSVSAAHDIGETVRHQIQKSHNQVAEVFIHIVESNMDQKGIWENIERRNSDAIPRQQTAEAIVSCIISSHFSKVEVSMSPEIMIRDAMDIAKQAEEEILKADSSISQVSVQLRLGQQIKQLKLPGGKNRAKNQQAQMQ >ORUFI03G17790.4 pep chromosome:OR_W1943:3:12580448:12585548:1 gene:ORUFI03G17790 transcript:ORUFI03G17790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLRCYFFCCCPIRGGSILGVPYLDPLAGLVVSGMILKAGVHTGYESVLELVDAAVDPSLLQPIKETILQVDGVKVYPFLSVSAAHDIGETVRHQIQKSHNQVAEVFIHIVESNMDQKGIWENIERRNSDAIPRQQTAEAIVSCIISSHFSKVEVSMSPEIMIRDAMDIAKQAEEEILKADSSISQVSVQLRLGQQIKQLKLPGGKNRAKNQQAQMQ >ORUFI03G17800.1 pep chromosome:OR_W1943:3:12587013:12591174:-1 gene:ORUFI03G17800 transcript:ORUFI03G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTQPVVIHDRHCVGLLPASHIAAGNTMRICARVSESFGARKYILDAKRWCIIGKNMESLEPEDGDGHQSDATDDDLPPRICTGKAYQAEIPNLATEDERRQYMSNTTDSCMALGYDCPIPIMWTLPSEFNKKEEEIQKQHSSETKAIENSRDGDSQTTSICPTSNNTSGQCSTSQDPHPELPDQIVSDSHQAHDDKLAPCSTQEGLNFTDKAMADQGEIEQFIPVPNSSTSIWSDQEAELLLLGLYIFGKNLHVLSRFVGSKTVGDVLSYYYGKFYKGEAYKRWSACRKAKIRRCILGERIFIGWRRQELISRLKSKIPKEAHDLLDEMFKSFNDSQTSLMDFVFHLKSVVGIEAFVEAVAIGKGKDDLTGFVLDPSKPNQVLSVQPGMPAGKDCSSLASEDIIKFLTGDFRRSKTRSNDLFWEAVWPRLLARGWHSEKPNDVSTTKNCLVFIVPGIQRFSRSELTKGTHYFDSVSDVLKKVVADPVLLEIEVDGMGNGVNAEKNGFDTAMKLNQDVPFDGYHELPKFTIIDTSLVQGEEPSQVRELRNLPADANISFGPLRHTHNMVSDSSSDEHDTDDRSSDYKEGYAGVTADENGTEMVSSKNADNESQVDSFRNMAATSCSVFPVNGHSSNGNGDTIGATSFFPQKTKIEKRKYLSPVTKRRRLTSCSNDQTSRRSFSFSKGPGLEKEKVKLPSTSSKPTAIDVGGSFQSKSLASCSGKEKPCQQIKDASNSHANDRSNEKMNVARPKEKPSGHKVDTLASVHSKTAVEDTKPAKGVAQSSDLVANQVKLETPQDDKTVTIAHAPSSDNHGSILKNKETTSSSNTEIAHDAPEATRGGPANPQPDLQASSQAMNPRRQGTRVRPPTARALEAVAFGLLGSGKRKADPTGSSRPRQRARKSTKEAASVSTSSDTEKSSMHSGARQ >ORUFI03G17810.1 pep chromosome:OR_W1943:3:12599221:12600431:-1 gene:ORUFI03G17810 transcript:ORUFI03G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIAINIFLQTLVIENVQIFQRRPNIANGFCFYLKSVVGIEAFVEAVAIGKGKDDLTGFVLDTSKPNQVLSIQPGIPAGKDCSSLASRDIIEFLTGDFKRSKTRSNNLFGEAVWPRLLARGWHSEKPNDVSTTKNCLVYSLRLVFKGTHYFDFASDDLKKVVADLVCLKLEVDEMGNGVNAKKKGFDTDIKLNQDVPLDGYHELPKFTVIDTNMVQGKEALQSFDIDPTVKPIPFISV >ORUFI03G17820.1 pep chromosome:OR_W1943:3:12601313:12616416:1 gene:ORUFI03G17820 transcript:ORUFI03G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGWRTLLLRIGDRCPEYGGSADHKEHIETCYGVLCREYEHSKDAMFEFLLQCADQLPHKIPFFGVLIGLINLENEDFSKGIVDTTHANLQDALHNENRDRIRILLRFLCGLMCSKVVLPNSIIETFEALLSSAATILDEETGNPSWQPRADFYVYCILASLPWGGSELFEQVPDEFERVLVGIQSYISIRRHFDDIAFSVFETDEGNSPNKKDFIEDLWERIQVLSRNGWKVKSVPKPHLSFEAQLVAGVSHRFSPISCPPPTISQSSSEIVKGQEKHEADLKYPQRLRRLHIFPTNKAENMQPVDRFVVEECILDVLLFFNGCRKECAFYLVSLPVPFRYEYLMAETIFSQLLLLPNPPFRPIYYTLVIIDLCKALPGAFPSVVVGAVHALFDRISNMDMECRTRLILWFSHHLSNFQFIWPWQEWAYVKDLPKWAPQRVFVQEVLEREIRLSYFDKIKQSIEDAVELEELLPPKAGPNFRYHSDEGKESTDGHRLSKELVAMVRGRKTQGDIISWVDEKIIPVNGAKFALDVVSQTLLDIGSKSFTHLITVLERYGQIISKLCPNEEMQLLLMDEVSAYWKNSTQMIAIAIDRMMGYRLLSNLAIVKWVFSPANVDQFHVSDRPWEILRNAVSKTYNRIFDLRKEIQTLRKGLQAAKEASEKAARELEEAKSIIEIVDGQPVPSENPGRLRRLQARADKAKEGEVTTEESLEAKEALLARGLEESKELLRLLFKSFVEVLTERLPPISADGDVPNLRAGDPNVNSSARDPEATTMEIDNENGGDNDSQLNGQNKKISHNVGELEQWCLCTLGYLKSFSRQYATEIWSHIAMLDQEIFVGNIHPLIRKAAFSGLCRPTSEGSHL >ORUFI03G17830.1 pep chromosome:OR_W1943:3:12615723:12627049:-1 gene:ORUFI03G17830 transcript:ORUFI03G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRGRQEEGFRVMGHGDVELEEGEAFPDDDGGGGALCLDPEVAFSYIDEKIQHVLGHFQKDFEGGVSADNLVILSGKNFLTGSKYGGYGSFLPTYQRSPPPLPQSRSPPKVANVGTSRSPYQQSAESMGQYPSTVANESISRNNGSTAPSSGDLCKREICSSTNGEKDSVACSDSLDSSFNGSDQKTLKVRIKVGSTNTLARKNASIYSGLGLDISSSSSMEGSPDGHEGQSPVCSNVPYESPRTILQIMTYFSVPGGFLLSPLHGNILKLTNKVTPLVNKWETNLDLKNVPRAVDGHSELSLSSGHVKAHVAKKMKPDGKKKKSIDTKTRKDANNTSAILGKEANVEIPGSRVILSDTHDITCSSGAPTTELKGVSQFTEESTKDARPKQQMGCNDLGTVKSEAVKTEVTKHIEENSSFDSSGNGCLAPRGKVKLKASKVDRTSEDMNISSHKFSPYDRKKESKVKPMRTFEPAMDDFEGNVDKDWGAGSSDDLKTIHGKETFASERTVEDNSRTEVKRMQKEHKANFVAPSSFLEDGNFTHSSVLVNDGATDSHLKSNHFENKSKEKSHKDLSENLPKRSIGNKEGASLESVSVQGQRKEKMMNSDNEKELHITGPAKKEIPSSVKHGTFPGSEEQQLHMPSNGGIIPGPANAASLPAPVLIEDNWVCCDMCHKWRLLPYGTNTSMLPKKWICSMLDWLPGMNKCDISEDETTNALNALYVTQIPAAGVSSGGPHTAHASVAASSTYNISGQLGQSRKRKNALKDENCYEHDQQAPAKMTLTSNQQAPAKNREVVDSEHYTNDRDPVSTHDLVPQSKSASERHKSKHKSRSSHSDGGDLTEKSKKHSKSKNRRGIDRDEHKTSKKTKKEDRHYFNKDWKNEYDLAGNKVRDETKALSAKAKMSKDSCEQDEFSLRKEKASRFDILEKTKRINDDDVAFHEKMKEHRAGIETLDLSGKKKTVKEWEDNRLSSMDHTSKGGDNENLNERLSKIKKSEARPEEVQDANALFSSAGRRQDNELVADNKFVTCKEGPSELWDNQPPRQVLNLAEPTRRDVACLQSSTVATSSSSKVSSSRRNKNSREAKGSPVESVSSSPLKNSNTDKISKARKTGKDGELNADSSILHTPMKYPTHEVGLLHTGQQAVGEAILRGSTNNSGMGRVDNQLYPGDKKILDMHGPTLQPDQQDCFNPRATADSTGHKSKNSAPSCQGRNGSSNLISEGNKQIEMSSRKEKLRPSIDNQDMQKSIGQDNHSHMKEGKSEVHTTRVKPGASKNHTQLRSNVENGDSASPIRRDGNMIAFALKEARDLKHKANHLKEKGLELESMGLYFEAALKFLHVASLWETPNLDNSRSGDVAQSMKMYSETAKLCSFCAHAYERCNKMASAALAYKCVEVAYLKAAYYKHPSASKDRQELQSVVQIAPGESPSSSASDIDNLNSHGLSKALSTKGGNSPQVAGNHLPLAVRNQAHLLRLLAYTNDVNCAFDATRKSQVAIASAASSQERGKTVDDGLASVRTVLDFNFNNVNELLRLVRLSMELINT >ORUFI03G17840.1 pep chromosome:OR_W1943:3:12630344:12632970:-1 gene:ORUFI03G17840 transcript:ORUFI03G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQALVFAVGIVGNILSFLVILAPVPTFYRVYKKKSTESFQSVPYAVALLSAMLWLYYALLTSDLLLLSINSIGCLVESLYLTVYLLYAPRQAMAFTLKLVCAMNLALFAAVVAALQLLVKATDRRVKLAGGIGASFALAVFVAPLTIIRQVIRTKSVEFMPFWLSFFLTLSAVVWFFYGLLMKDFFVATPNVLGLLFGLAQMVLYVVYKNPKKNSAVSEAAAAQQVEVKDQQQLQMQLQASPAVAPLDVDADADADLEAAAPATPQRPADDDAIDHRSVVVDIPPPPQPPPALPAVEVA >ORUFI03G17850.1 pep chromosome:OR_W1943:3:12648827:12651160:-1 gene:ORUFI03G17850 transcript:ORUFI03G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATVTELRQSFSGKRAFRPTLTSRHANEWPPTDVSSDLTVEVGTSSFALHKLLAQFPLVSRSGKIRRAVAEAKDGKLARLGLHGTPGGATAFELAAKFCYGVGVDVTVGNVAMLRCAAHYLQMTEDFSDKNLELRAEAFLRDAVLPSIAGSVAVLRSCEALLPAAEDVNLVPRLIAAIANNVCKEQLTSGLSKLDQLKPPPPPPQAVVVVAGDLDSPGDWWGKSVAGLGLDFFQRLLSAVKSKGLKQETVTRILINYAQNSLHGLMARDIAAAAKCGGDTDAVKKQRAVVHPNIKEAERYRMCKAIDCQRLTPDACSHAAQNERLPVQMAVQVLYFEQLRLRSAIQATGTATTTANTSIGGAHDAALFFGCAAAAAPASHCGGGMRSGSGVGSGAMSPRDSYASVRRENRELKLEVARMRMRLTDLEKDQVSMRRELVRVGPANRLLRGLARRLGSLFHFRGAAAEPGLQQLGAKATADAKVLFQRRRRHSIS >ORUFI03G17860.1 pep chromosome:OR_W1943:3:12656945:12661013:1 gene:ORUFI03G17860 transcript:ORUFI03G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAASVFGSGGCLELLTSSKAMRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRVLVAFDDMDLPCGVLRLQPKGGYGRHNGSVDFIIMCFNELIRVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQLPNSASKIAV >ORUFI03G17860.2 pep chromosome:OR_W1943:3:12656945:12660572:1 gene:ORUFI03G17860 transcript:ORUFI03G17860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAASVFGSGGCLELLTSSKAMRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRVLVAFDDMDLPCGVLRLQPKGGYGRHNGSVDFIIMCFNELIRVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQL >ORUFI03G17860.3 pep chromosome:OR_W1943:3:12656945:12660572:1 gene:ORUFI03G17860 transcript:ORUFI03G17860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAASVFGSGGCLELLTSSKAMRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRVLVAFDDMDLPCGVLRLQPKGGYGRHNGVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQL >ORUFI03G17870.1 pep chromosome:OR_W1943:3:12661286:12670107:-1 gene:ORUFI03G17870 transcript:ORUFI03G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSDKNTGAAIRQGLKERRDWADQLKEEVTLLFETCKDVEEKLKLVDVLQHLGIDHHFERRIAVALSDIHGAEFNSSSLHDVALRFRLLRQHGLWVSPDEFNKFKGPDGRFNAEVIDDPMGMLSLYNAAHLLIHGEVELEDAILFSRHQLETIIARNLKSSPLSQQVTRALRIPLPRTLKRIEALNYIAEYNQEQACNPSVLQLARLDFNLLQLLHLRELKEFSRWGNNLYGAVELTYSRDRIVECYFWSYTIYYEQKYAQARIILAKIFVLATLLDDTYDMHAILEEGQKLNEAIQRWDESAISVLPEYLKNYYAKLMSTFKEIEDELKSEEKYYITYAVKEYQRLCKSYLQEAVWFHQNYIPSFQEHLDVSIISSGSSMLSVVSFVSAGDLATKEALEWAFGGTDAVKACGEIARFQDDLAAFKHGKSKLDMATSVESYMKEHNVTGEEATAVISNLVEDAWKTINQARFERSSLVPAVNRVANLAMSIMFFYQGSEDAYTFNKLSMNIIKQLFVKPIPII >ORUFI03G17870.2 pep chromosome:OR_W1943:3:12661284:12669127:-1 gene:ORUFI03G17870 transcript:ORUFI03G17870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLSLYNAAHLLIHGEVELEDAILFSRHQLETIIARNLKSSPLSQQVTRALRIPLPRTLKRIEALNYIAEYNQEQACNPSVLQLARLDFNLLQLLHLRELKEFSRWGNNLYGAVELTYSRDRIVECYFWSYTIYYEQKYAQARIILAKIFVLATLLDDTYDMHAILEEGQKLNEAIQRWDESAISVLPEYLKNYYAKLMSTFKEIEDELKSEEKYYITYAVKEYQRLCKSYLQEAVWFHQNYIPSFQEHLDVSIISSGSSMLSVVSFVSAGDLATKEALEWAFGGTDAVKACGEIARFQDDLAAFKHGKSKLDMATSVESYMKEHNVTGEEATAVISNLVEDAWKTINQARFERSSLVPAVNRVANLAMSIMFFYQGSEDAYTFNKLSMNIIKQLFVKPIPII >ORUFI03G17880.1 pep chromosome:OR_W1943:3:12685087:12697268:-1 gene:ORUFI03G17880 transcript:ORUFI03G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSKQRRWHSTENKSEGRTRQHAPKAAPEFHPTVWGDFFINYEPQPLQACMLRPEKWMRERSDQLRKDVSQLFDAFDGVAEKMNLVDTLQRLGIDHLFEEEIATTLNTIHGAEFDSPSLHDVALRLYNAANLLTHNEEALQEAILFSRHHLELMKSNLKSPLAEQVSRALQIPLSRNLKRVEALSYILEYNVHEQTYNPSILELAKLDFNLLQHIHQRELKTITQWWEDLSNDIGLDYIRDRIVECYFWSYSMYFEEEYTRARMILAKFFMLTSLLDDTYDTHATLEECRNLNVAIQSWDESDISVLPDYLKKFFLKVMSNFVEFENELEPHIRHRNAYNRKVEAEWFHHNYVPSFKEQIEVSVMSAGIQALSVCILVGMGNIVTEETLEWAIGNNDAVRAGGEVARFMDDMAAFKNGRNKLDVASSVECYIKEYNVTSEVALAKIGSLVEDAWKTINQAHIDRRELLPFVHRVTNLSRSMAILFLDKRDAYTYTRPREAVVLGSPSSARGTTWVPVLVLALTIVGSTVPHVADGVGTSGPTSLTYHVLENIYTLKFSLGIGEVITMYAFFKPSWTPNTTVTCDQGNK >ORUFI03G17890.1 pep chromosome:OR_W1943:3:12704407:12704985:1 gene:ORUFI03G17890 transcript:ORUFI03G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGRALRPLLLHAVAPTTTLPSTGFRREGGLRRPPLCRLCHRSPSSGRIYKGRSLPPPPPSPLLALAGSRIGRGGVLPPHRHRRSVPPPSLGRGVASTSPPLHAATVTPLRPAGSRSGEGGSSRHCRFLHRRHRRRVCATTVAPPHPARERGNRLCTTSTSHHRHPPLLRSDLEGGRGGGRTTRMRMGEIG >ORUFI03G17900.1 pep chromosome:OR_W1943:3:12711664:12712570:1 gene:ORUFI03G17900 transcript:ORUFI03G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKCRSARVVGLNQVSPRVPAGNYPVSHVPYELNVLGARGPRRMSCIMDSIPASAVQEGGKAPTQTEFPLSGLDSFPSISFFRSKSPRIEIVQLYNYLLRRKKSWFRRINLLGGMSNCNDGASTSLVSSDENGPTNQEQDKVILQFGKIGKDLFTMDYRYPISAFQSFAICLRPPTVAFLDSL >ORUFI03G17910.1 pep chromosome:OR_W1943:3:12712863:12716877:-1 gene:ORUFI03G17910 transcript:ORUFI03G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLIPAASRPPHGIVAATESSVQNSEQSFGFNLTLAKTIVEYASAVYMTDLTELYTWTCSKCNDLIQGFEMRRLIVDVQNCLQAFVGVDHNLNAIIVSIRGTQENSVQNWIKDMLWKQSDLIYPDMPDAKARKLYGDISIIVTGHSMGGALASFCALDLAITHGGNNVYLMTFGQPRVGNAAFASYFAKYVPNTIRVTHEHDIVPHLPPYFFIFPDQTYHHFPTEVWEHEVDGSTVYQVCDGSGEDPDCSRSVLVLFWSASDHLTYLGVDMEADDWSTCRIVLGRSAAETLLLQHARQLAGGDDESGGVNVVVRDHGVQMFLPQSLVRLSAAPASGACHCHRGIVPKCSKRIVAKLALAGLSDTTSCTQLFPLDHAMALSSLFSSSSSQVQAGV >ORUFI03G17910.2 pep chromosome:OR_W1943:3:12712863:12716877:-1 gene:ORUFI03G17910 transcript:ORUFI03G17910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLIPAASRPPHGIVAATESSVQNSEQSFGFNLTLAKTIVEYASAVYMTDLTELYTWTCSKCNDLIQGFEMRRLIVDVQNCLQAFVGVDHNLNAIIVSIRGTQENSVQNWIKDMLWKQSDLIYPDMPDAKVHTGFYSSYNNTLLHPAIANAVHKARKLYGDISIIVTGHSMGGALASFCALDLAITHGGNNVYLMTFGQPRVGNAAFASYFAKYVPNTIRVTHEHDIVPHLPPYFFIFPDQTYHHFPTEVWEHEVDGSTVYQVCDGSGEDPDCSRSVLVLFWSASDHLTYLGVDMEADDWSTCRIVLGRSAAETLLLQHARQLAGGDDESGGVNVVVRDHGVQMFLPQSLVRLSAAPASGACHCHRGIVPKCSKRIVAKLALAGLSDTTSCTQLFPLDHAMALSSLFSSSSSQVQAGV >ORUFI03G17910.3 pep chromosome:OR_W1943:3:12712863:12716877:-1 gene:ORUFI03G17910 transcript:ORUFI03G17910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLIPAASRPPHGIVAATESSVQNSEQSFGFNLTLAKTIVEYASAVYMTDLTELYTWTCSKCNDLIQGFEMRRLIVDVQNCLQAFVGVDHNLNAIIVSIRGTQENSGFYGSYDIPLYSCLNFLLSVQNWIKDMLWKQSDLIYPDMPDAKVHTGFYSSYNNTLLHPAIANAVHKARKLYGDISIIVTGHSMGGALASFCALDLAITHGGNNVYLMTFGQPRVGNAAFASYFAKYVPNTIRVTHEHDIVPHLPPYFFIFPDQTYHHFPTEVWEHEVDGSTVYQVCDGSGEDPDCSRSVLVLFWSASDHLTYLGVDMEADDWSTCRIVLGRSAAETLLLQHARQLAGGDDESGGVNVVVRDHGVQMFLPQSLVRLSAAPASGACHCHRGIVPKCSKRIVAKLALAGLSDTTSCTQLFPLDHAMALSSLFSSSSSQVQAGV >ORUFI03G17920.1 pep chromosome:OR_W1943:3:12719006:12721586:-1 gene:ORUFI03G17920 transcript:ORUFI03G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDASRHGFGRMGFGCKHYRRRCRIRAPCCNDVFHCRHCHNESTKDGHELDRHAVESVICLVCDTEQPVAQVCYNCGVCMGEYFCSACKFFDDDVDREHFHCQDCGICRVGGKDNFFHCEKCGSCYSVSLRDKHCCIENSMKNNCPICYEYLFDSLRETSVLRCGHTMHLQCFHEMLKHDKFSCPICSMPIFDMDKFLRALDAEIEANMLHIDYMGKASFGHRRPVDHTIPPQEAKLMD >ORUFI03G17920.2 pep chromosome:OR_W1943:3:12719430:12721586:-1 gene:ORUFI03G17920 transcript:ORUFI03G17920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDASRHGFGRMGFGCKHYRRRCRIRAPCCNDVFHCRHCHNESTKDGHELDRHAVESVICLVCDTEQPVAQVCYNCGVCMGEYFCSACKFFDDDVDREHFHCQDCGICRVGGKDNFFHCEKCGSCYSVSLRDKHCCIENSMKNNCPICYEYLFDSLRETSVLRCGHTMHLQCFHEMLKHDKFSCPICSMPIFDMDKFLRALDAEIEANMLHIDYMGKGWIVCNDCRDTTQVYARVAGHKCCHCQSHNTCRVAAPVLPA >ORUFI03G17920.3 pep chromosome:OR_W1943:3:12719430:12721586:-1 gene:ORUFI03G17920 transcript:ORUFI03G17920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDASRHGFGRMGFGCKHYRRRCRIRAPCCNDVFHCRHCHNESTKDGHELDRHAVESVICLVCDTEQPVAQVCYNCGVCMGEYFCSACKFFDDDVRCRCCLLCFCWIWLTGNTSTAKIAASAGSCYSVSLRDKHCCIENSMKNNCPICYEYLFDSLRETSVLRCGHTMHLQCFHEMLKHDKFSCPICSMPIFDMDKFLRALDAEIEANMLHIDYMGKGWIVCNDCRDTTQVYARVAGHKCCHCQSHNTCRVAAPVLPA >ORUFI03G17930.1 pep chromosome:OR_W1943:3:12724104:12731139:-1 gene:ORUFI03G17930 transcript:ORUFI03G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGSSSGGGDVEMGGWSELLHSSTKLLEQAAPTPHFPPLQRNLDQLEVLSTKLKAKTVRAEAPVQSLSATRLLAREGINAEQLARDLKSFELKTTFEDVFPSEATTVEEYLQQLHEMAIVSSIQEAQKDNLRSFNNYMMQVLEDDWQKEKRDFLQSLSRLSTLPKRNTNLMASGISRPALMPSSASSPQSSSGLPSKEVMPIPNKTIIENKSSVYAAVVRDLNDARGRSLPFGPATAFRAAYESLSVGAIGTKSVTMHKVWHLIQALVGEGSTHRNISRKMSLVIGARRHLEWGHEKYILETINSHPALAALGGSVGNLQKIRAFLRVRLRDHGVLDFDATDLRRQPPVDTTWQQIYFCLRTGYYDEARQVAQSSRAAFNFAPLLVEWITTNGAVSPETALTASEECDKMLRMGDRPGRPGYDRKKLLLYAMICGCRRQIDRLLKDLPTLFNTIEDFLWFKLSALREYTSASSSNVSNEGLVPYTLEDLQSYLNKFEPSYYTKNGKDPLVYPYVLLLSIQLLPAILYLSKEVGEEGYHVDAVHISIALSDHGVLPDGVGSGQKMGIMDACAEAASIIRQYGSIYLRNGNLDLALEYYAQSAAAMGGGEVSWIGRGNADQQRQRNLMLKQLLTEILLRDGGIQLLLGPSGMGEEGELKKYMMDWRSRQQFLLEAAHQCHEAGLYDKSVEIHKRVGAFAMALQTINKCLSDAICAMARSMLDGESRAAALIHSGNEIMETARYSEASVQDKDLISEQQTVLRQLEAILHIYRLARAGQTLDALRETIKLPFLHLDPQAPNVTVDVFRNLSPHVQACVPDLLKVALNCMDNVRDTDGTLRAVKSKIANLVASNMSRNWPQDLYQKVAQCI >ORUFI03G17940.1 pep chromosome:OR_W1943:3:12733170:12739271:-1 gene:ORUFI03G17940 transcript:ORUFI03G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCVILPEHVVENIPHHVRIYHFHAKNMELKWDTHSPSEMRACAVRREVCGDIVEDVSSLCISQVKCYMHQLLSGLEHCHNNGVLHRDIKGSNLLLDNNGMLKIADFGLASLFDPNKNQPMTSRVVTLWYRPPELLLGSTDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRISETYKDFPQSALRLIETLLAIDPADRLTATSALRSDFFTTEPYACEPSSLPAYPPSKEMDAKRRDEEARRLRAAGGRTNDGAKKTKTRDRPRAVPAPEANAELQINIDKRRLVTHANAKSKSEKFPPPHQDGAVSLVSTNHMDPLYEPQDPSSFSTVFTQEKSSVPTWSGPLADLSAVGKQKWKHKFGRSSKQPSTARAR >ORUFI03G17950.1 pep chromosome:OR_W1943:3:12744931:12745266:1 gene:ORUFI03G17950 transcript:ORUFI03G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDVVAKLNTKATQQLPHRRRGVIVAELAAQATQQRRLHNHSDTKAMKVAKAKSSSDIQQWTSAKPPRSHFLHTASTTTPRKRLQHVIVARRHRTPSASSSDFICRNNQRA >ORUFI03G17960.1 pep chromosome:OR_W1943:3:12745219:12745920:-1 gene:ORUFI03G17960 transcript:ORUFI03G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRGGVTVGGQRRLARAGRGVGVGVRPRGVTAAAIEAVAAWWLRRRRSCSGADVEPACAALAGSGRPRPVPSPPRRRACLCRYGRDEVGATR >ORUFI03G17970.1 pep chromosome:OR_W1943:3:12748552:12751996:-1 gene:ORUFI03G17970 transcript:ORUFI03G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARKVVELKAFNKFENTSDALSAATLIIDSKPTKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRNQLTELISGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGDRTNAESLDFSEILSDDEVEAQLKEAAVISMGTEVSELDLLNIRELCDQVLALSEYRAQLFDYLRSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDGEDNSIGLESRVKLETRLRVLEGKELGRSAGSTKGKPKIEVYEKDRKKGAGALITPAKTYNPAADLVLGQSTEETPKKPEGASKKRKHQEAEPAGAEETIQEDGDQEGQKKKKKKKSKDSEDSPVADADGGKKKKKKSKESEEPPVATAEGEKKEKKKKKKSDSQDAKDVAMETEASGKKDKKQKKKKHGDE >ORUFI03G17980.1 pep chromosome:OR_W1943:3:12753803:12754040:1 gene:ORUFI03G17980 transcript:ORUFI03G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSGCEAVLAFLARRLLLTYPIGRLRHGRRLAKQDRIILQYGLTWLIWKERNAHVCERKTTSARALCTAIADE >ORUFI03G17990.1 pep chromosome:OR_W1943:3:12755913:12759317:-1 gene:ORUFI03G17990 transcript:ORUFI03G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARKVVELKAFNKFENTSDALSAATLIIDSKPTKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRNQLTELISGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGDRTNAESLDFSEILSDEEVEAQLKEAAVISMGTEVSELDLLNIRELCDQVLALSEYRAQLFDYLRSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDGEDNSIGLESRVKLETRLRVLEGKELGRSAGSTKGKPKIEVYEKDRKKGAGALITPAKTYNPAADLVLGQSTEETPKKPEGASKKRKHQETEPAPAEKTTKEDGDQEGEKKKKKKKSKESEESPAADADGGKKKKKTSKESEEPPVATTEGEKKEKKKKKKSDSQDAEDVAMETEESGKKEKKKKKKHHSDE >ORUFI03G18000.1 pep chromosome:OR_W1943:3:12762809:12764077:1 gene:ORUFI03G18000 transcript:ORUFI03G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPCERRPVSLSDDMVAEILLLVPANSVGRLAAVCKQWRRVAADPTFLAARERRAPPLQLLRVSRRPSDSNGRQYDDAELSVVVPAPLISGGAEGEEARRPLARYTASFPGGYTHCTLLASCDGLLLFADHRRRLRVICDPTTRRWSGLPPHLSSAALGFYLHRPSGEYRVLSKGPDPSRKHSSSYFVISAGGGGEPRRLGGATADQLVERHPCSHLGHVAAGGKLYWMGDLVEAGRHPHLNPYAPAKLVAFDTVSEAFRLVAPSPETAANNGDDDDVLMFELDGALAVLKGGAMSTLKLWVLDDDVGGGGGGDAGEQWAPVWECKYSCMLPVSTPASVAVWDDDGGGGDDAGGATFTRRRITLYGVDETAARGRALHVFACGARNGGLLQVAFRENTVAHAFFKMHPSPAVRTFGFL >ORUFI03G18010.1 pep chromosome:OR_W1943:3:12775790:12778819:1 gene:ORUFI03G18010 transcript:ORUFI03G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDEVEVGAEKKDQELPEVEVVEEEEEEGSKKAAAGCDYCGDAAAVVYCRADAARLCLPCDRHVHGANGVCSRHARAPLCAACAAAGAVFRRGAGGFLCSNCDFSRHRHGGERDPAAPLHDRSTVHPYTGCPSALDLAALLGISYSDKAAAATAAAGGDDGGWWAIWEEPQVLSLEDLIVPTTSCHGFEPLLTPSSPKIQNSPDGKVNEEVIRQLTELANSDGGGAQIWAHREAAQAGDHQLPSWGTTTQHNTGHGNFGTANSNEVATMPTPGYENGGWDNSDYPALNDPCKVEFTYEQPPASSAEACISSFVQMSELCPSMSNGSSMEETHQTNPGNGTPMQVLPKMPEFVPCPDRNLVISRYKEKRKTRRFDRQVRYESRKVRADSRLRIKGRFAKVNQI >ORUFI03G18020.1 pep chromosome:OR_W1943:3:12778962:12780179:-1 gene:ORUFI03G18020 transcript:ORUFI03G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLSSHLTAASSSSTTSPTARPAPSFVSFRAANAAPKGARRGWPFLASSVEPPPAASAAQPFRSLAPSETTVLVTGATGYIGRYVVRELLRRGHPVVAVARPRSGLRGRNGPDEVVADLAPARVVFSDVTDAGALRADLSPHGPIHAAVCCLASRGGGVRDSWRVDYRATLHTLQAARGLGAAHFVLLSAVCVQKPLLEFQRAKLRFEGELAAEASRDPSFTYSIVRPTAFFKSLGGQVETVKNGQPYVMFGDGKLCACKPISEEDLAAFIADCISDEGKANKILPIGGPGKALTPLEQGEMLFRLLGREPRFIKVPIQVMDAAIWVLDALAKVFPGVEDAAEFGKIGRYYASESMLVLDPDTGEYSDEMTPSYGSDTLEQFFERVIREGMAGQELGEQTIF >ORUFI03G18030.1 pep chromosome:OR_W1943:3:12784966:12787354:1 gene:ORUFI03G18030 transcript:ORUFI03G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLMQQAAAAAAVVARPARRRCTGEEVVGGRRPGLVRLGFARRRWSRLRLSPARAHLAVDRSREAGEEAAAVVEEEGEAAVRLFVGLPSDVVTADGRAVNRGKAVSAGLRALKLLGVDGVELPVSWAVTQPGPTGDELGWAGYLAVAAMVRDAGLCLRVSLDTHGSALPAWVAAAAAADPDILFADRSGNRRDGCLSFAVDELPVLGGKSPLQAYEAFFRSFAAAFHDFLGSTVTDVTVSLGPNGELKYPSYPPGSDGAGGYGGAGEFQCYDRHMLARLKRHAVAAGQPLWGLSGPHDAPRYGESPESSTFFRSPGGSWETAYGGFFLSWYAGELLAHGDRVLAAARRVFDGEPVELSAKVPLPRSRPAEATAGLHGGYGPVAEMFARRGCTVIASGMDGSAAAAAVLAQVKAACAEHGARLAGESASLAVARDGDGAPGAWGGLLAAERTRPCHFTYQRMGAEFFSPDHWPLFVQLVRAMECPEEAHEDDLPAAAGDGGRLAVPSGGRAAEDATAKQAQTV >ORUFI03G18040.1 pep chromosome:OR_W1943:3:12788165:12791614:-1 gene:ORUFI03G18040 transcript:ORUFI03G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIQEMRDEFGSISRHSLRSRSHRGGGGAPRVAAVGPAEAAAMQQSCWAQLPPELLREVLVRIEESEVWWPSRRDVVACAGVCRSWRGITKEIVRVPEASGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYYLYIGLTEALADDGKFLLAARKCRKPTCTDYLISLDMSDMSKGSNTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVVGLNQVSPRVPAGNYPVSHISYELNVLGARGPRRMNCIMDSIPTSAVQEGGKAPTQTEFPLSGLDSFPSISFFRSKSARIDSATSQLSTQKEEKLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDENGPTNQEQDKVILQFGKIGKDLFTMDYRYPISAFQSFAICLSSFDTKIACAG >ORUFI03G18040.2 pep chromosome:OR_W1943:3:12788953:12791614:-1 gene:ORUFI03G18040 transcript:ORUFI03G18040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIQEMRDEFGSISRHSLRSRSHRGGGGAPRVAAVGPAEAAAMQQSCWAQLPPELLREVLVRIEESEVWWPSRRDVVACAGVCRSWRGITKEIVRVPEASGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYYLYIGLTEALADDGKFLLAARKCRKPTCTDYLISLDMSDMSKGSNTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVVGLNQVSPRVPAGNYPVSHISYELNVLGARGPRRMNCIMDSIPTSAVQEGGKAPTQTEFPLSGLDSFPSISFFRSKSARIDSATSQLSTQKEEKLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDENGPTNQEQDKVILQFGKIGKDLFTMDYRYPISAFQSFAICLSSFDTKIACE >ORUFI03G18050.1 pep chromosome:OR_W1943:3:12802145:12807609:1 gene:ORUFI03G18050 transcript:ORUFI03G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVISDELRLSPLTGRALNTGQRRIYPVVPNYLDTGSSAKELGVTERRQSVTLGARALNVGWWLQLQAASLTTNTTAHRWREGNLPEAPDSKPAGVASPPPSSSSSSPRGSPEITLTMVKAVVVLGSSEIVKGTIHFVQEGDGPTTVTGSVSGLKPGLHGFHIHALGDTTNGCMSTGPHYNPAGKEHGAPEDETRHAGDLGNVTAGEDGVANIHVVDSQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >ORUFI03G18060.1 pep chromosome:OR_W1943:3:12812272:12812811:1 gene:ORUFI03G18060 transcript:ORUFI03G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRPHPPAPPSPVQAPAPAAPPPVSVAARPQAPYYYYCHGWKEGVAESRCPTTDAAAAAAAANGGVIELSSSDSSGGGGGAGRWDDDDDGCSSCVDGDDGAAAGGGGAGRRRDEEEKGRSQQFGSWWSRHDSSSSSSFLWPPPPNDNGDDEDPAAAAARRQEEDRKFWEACLASGLP >ORUFI03G18070.1 pep chromosome:OR_W1943:3:12822043:12822894:-1 gene:ORUFI03G18070 transcript:ORUFI03G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRQSYWCYQCRQRVRPRGQDMECPYCDSGFVSEMDDVDALMRHFVGMDPDFHRDPRFGIMEAISAVMRHGMAGTNREVDVRGRPNIFSDLEMEFGSGPWLLFRGQLPGHLSEDNGFDVFINGRRGVGMRRANIADYFVGPGLDDLIEQLTQNDRRGPPPATQSSIDAMPTVKITQRHLSGDSHCPVCKDKFELGSEAREMPCKHLYHSDCIVPWLEQHNSCPVCRYELPPQSSTGASCSHTRSTNQSQSSSSNGRTNGRQRRRNPFSFLWPFRSSSSSSR >ORUFI03G18080.1 pep chromosome:OR_W1943:3:12840051:12845824:1 gene:ORUFI03G18080 transcript:ORUFI03G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDMASHRGRSSTRGHGWGRGWRGRGEGRGLGRSRGAGPSPPPPPSSSTSSFPAAASATAAGTGGDAPPIVGSCPDMCPARERAQRERLRDLAVFERVGGDPARTSPSLAVKKFEIVHDFIFDRTRSVRQDLSIQNIVNAQAIQIYEDVIKFHILSHQKLSRSSQDSDASSLCYLNMEQLMKCLLSLFDMYDVIHKNNSQSSKETEYYSFYVLLHLGCKIPKMVDSLSLWYGHLSASIIQSKEMVFARSILRFYHLGNFKRFFCAIAAEGTDLQLRLLEPFLNEARVRALMYFNHSGYKLQHHPLTHLSEILMIEELDLETLCRLCGLEISNNEDTKAFAPKQASFCVPASIPQINGIYISRENQSGRLRLSVLRDFTVLLLLLLGSTVLLGVAIGMRHALLLLLWLLLQLVLLLLFLLLSSRLSFISHIGRLVQHQVSGEWRQDT >ORUFI03G18080.2 pep chromosome:OR_W1943:3:12840051:12845129:1 gene:ORUFI03G18080 transcript:ORUFI03G18080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDMASHRGRSSTRGHGWGRGWRGRGEGRGLGRSRGAGPSPPPPPSSSTSSFPAAASATAAGTGGDAPPIVGSCPDMCPARERAQRERLRDLAVFERVGGDPARTSPSLAVKKFEIVHDFIFDRTRSVRQDLSIQNIVNAQAIQIYEDVIKFHILSHQKLSRSSQDSDASSLCYLNMEQLMKCLLSLFDMYDVIHKNNSQSSKETEYYSFYVLLHLGCKIPKMVDSLSLWYGHLSASIIQSKEMVFARSILRFYHLGNFKRFFCAIAAEGTDLQLRLLEPFLNEARVRALMYFNHSGYKLQHHPLTHLSEILMIEELDLETLCRLCGLEISNNEDTKAFAPKQASFCVPASIPQINGIYISRENQSGRLRLSVLRDFTVLLLLLLGSTVLLGVAIGMRHALLLLLWLLLQLAQLHQPHWKACPGPLLRRQLQL >ORUFI03G18080.3 pep chromosome:OR_W1943:3:12840051:12847524:1 gene:ORUFI03G18080 transcript:ORUFI03G18080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDMASHRGRSSTRGHGWGRGWRGRGEGRGLGRSRGAGPSPPPPPSSSTSSFPAAASATAAGTGGDAPPIVGSCPDMCPARERAQRERLRDLAVFERVGGDPARTSPSLAVKKFEIVHDFIFDRTRSVRQDLSIQNIVNAQAIQIYEDVIKFHILSHQKLSRSSQDSDASSLCYLNMEQLMKCLLSLFDMYDVIHKNNSQSSKETEYYSFYVLLHLGCKIPKMVDSLSLWYGHLSASIIQSKEMVFARSILRFYHLGNFKRFFCAIAAEGTDLQLRLLEPFLNEARVRALMYFNHSGYKLQHHPLTHLSEILMIEELDLETLCRLCGLEISNNEDTKAFAPKQASFCVPASIPQINGIYISRENQR >ORUFI03G18080.4 pep chromosome:OR_W1943:3:12844817:12845199:1 gene:ORUFI03G18080 transcript:ORUFI03G18080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGKPITQSLSSVLDFFFFFFPSVAVLGSASSETSRFFFFFFLGVPFSSASPLACATLFSCSCGSSSSLCFFFFFFFSVPGSASSATLEGLSRCIDLLAICTMHSL >ORUFI03G18090.1 pep chromosome:OR_W1943:3:12844840:12847461:-1 gene:ORUFI03G18090 transcript:ORUFI03G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYGLFHAYGIDEIGQSVDAVRASVVDLDRFGKAVKLAAFTPFSSAVDALNQCNAISEGIMTDELRSFLELNLPKPKEGKKAKYSLGVVEPKVGSHISEVTGIPCQSNEFVQELLRGVRLHFDRFISELKKSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWFGWHFPELVKIVNDNYIYAKLADYIKDKSELAEKDISKLADLIGDEDKAKEVVEAAKASMGQDLSEVDLMNVKQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEVVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSESATAVFGQKLREQVEERLDFYDKGVAPRKNLDVMKAAIDSMVNDATNDVDDGEKVDASAKKSKKKKSKAEADGEAMDLDKPSNVADEAEPGTEKKKKKKKHKLEEEPQEQEKSVAHANGDAEENGTPKKKKKKNREVSEDAEPKTATEGKKKKKKSKTEDSD >ORUFI03G18100.1 pep chromosome:OR_W1943:3:12849461:12854376:1 gene:ORUFI03G18100 transcript:ORUFI03G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKERAVNVSGKPRHSLDVNRANDKKGAGGGAGGGGGGRSAATVRRLKMYKMRPLRDRGGKILKHDLQSKELPNTRIEPDRRWFGNTRVVNQKELEFFREELQSRLSNNYNVILKERKLPLSLLQDHQKQARAHLLDTEPFEHAFGPKGKRKRPKLMALDYESLLKKADDSQGAFEDKHATAKLLKEEEEDGLRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRCYHLEKHLKENAKHKHLVFLLNKCDLVPAWATKGWLRTLSKDYPTLAFHASINSSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRSKSVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQNNDSETDIVLKGVVRVTNLADASEHIGEVLRRVKKEHLKRAYKIEDWVDDNDFLVQLSKTTGKLLRGGEPDLTTTAKMVLHDWQRGKIPFFVPPPQQGEDSPSETAEPVEKSDEEGVSSDRTAAAMKAIAGIISSQQQMNVPCQKEFGVTNEDSEVAEQSE >ORUFI03G18100.2 pep chromosome:OR_W1943:3:12849461:12854447:1 gene:ORUFI03G18100 transcript:ORUFI03G18100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKERAVNVSGKPRHSLDVNRANDKKGAGGGAGGGGGGRSAATVRRLKMYKMRPLRDRGGKILKHDLQSKELPNTRIEPDRRWFGNTRVVNQKELEFFREELQSRLSNNYNVILKERKLPLSLLQDHQKQARAHLLDTEPFEHAFGPKGKRKRPKLMALDYESLLKKADDSQGAFEDKHATAKLLKEEEEDGLRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRCYHLEKHLKENAKHKHLVFLLNKCDLVPAWATKGWLRTLSKDYPTLAFHASINSSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRSKSVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQNNDSETDIVLKGVVRVTNLADASEHIGEVLRRVKKEHLKRAYKIEDWVDDNDFLVQLSKTTGKLLRGGEPDLTTTAKMVLHDWQRGKIPFFVPPPQQGEDSPSETAEPVEKSDEEGVSSDRTAAAMKAIAGIISSQQQMNVPCQKEFGVTNEDSEVAEQSE >ORUFI03G18110.1 pep chromosome:OR_W1943:3:12858718:12870128:1 gene:ORUFI03G18110 transcript:ORUFI03G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRPPSRGGAAANGGGLSYSTLFNLEPLLNFKVPLPEDLDRYRRSSPNGSMSSQGQGSLSDQYNGISDASHGLHRKRKRNLDGASDDDEVDAYSNQITEEHYRTMLSEHVQKYRRSKFKEDVFSSDPPQVIVPQKHKNGSARVTKYRSDTRNVAMLGGVEATAEYNGTKSTNAYGGFNKVVASLDSSYLDMGDNVSYKIPEGYDKLALSLNLPVFSDIRVEETFLNGTLDLRTLAAMLSTDQKFETTNRGGLAEPQPQYESLQERVKVQKFSLQVTEDPFAIPEGAAGRIRRFIISESGSLHVHYVKVLEKGDTYEIIERSLPKKQIIRKDPSEIAREESEKTIKLWHAIAVKGIPRHHRNFMALLKKRQVDAKRFSENCQREVKFKVSRSLKLMRSAAIRTRRLARDMLIFWKRVDKEQYELRKREEKEAAEALKREEELREAKRQQQRLNFLLSQTELYSHFMQNKAGESAPSDEASVPEEDEEDPEEAELKREALRAAQHAVSQQKRMTNAFDSETGRLRQSSDSGIPTDDLASMEPNKIDLLHPSTMPEKSSVQTPELFKGALKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTVQAMAFLAHLAEDKNIWGPFLVVAPASVVNNWAEEILVNEEKLLRRVKWQYMVLDEAQAIKSSSSQRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIEGHAEHGGALNEHQLSRLHAILKPFMLRRVKIDVIAEMTKKKEEIVPCRLSSRQQVFYQAIKNKISLNELLDGSRGNLNDKKLLSLMNIVMQLRKVCNHPELFERNEGSSYFYFADIPNSLLSPAFGELQDVHYAGKRNPIMFEIPKLVYKGIVSNMEMPVRGCGFLYGSFNRMFNIFLPSYIHQSAFPEAISPNNTVLLSGAFGFTRLINLSPVEASFLATCSLFNRLAFSAVRWNKKYTDELVDVFLDSESTDLESTHNDLTTVRAVVRLLLSPTKAESSFLRTKIETGPSDSPYEALVLSHHERLVSNIRLLRSTYAFIPPARAPPINVWCADRNFAYKLTDEMHDPWAKKLVLGFARTSEFNGPREPTSPHPLIEELRTDLPFPEPMLQLPYRIFGSSPPMSNFDPAKMLTDYMNFRKFKYFRLDGSSAISDRRDMVRDFQNRNDIFVFLLSTRAGGLGINLTAADTVIFYEIDWNPTQDQQAMDRTHRLGQTKEVTVYRLICKDTIEEKILQRAKQKNAVQELVMKGKHVQDDHLMRQEDVVSLLIDDTQISHKLKEISMQAKDRLKKRRTKGIKVDKEGDLMLEDLDDQTSGAAEHDNTSSKKKKSSQKKLPKLKDNGSVDKNAEAEGGEVEDEDSIAAPRPKRSKRLMKNLNEDKEPEQEPTTDGDNPAEAAENNISPDDNDTEEAKDRTPSA >ORUFI03G18120.1 pep chromosome:OR_W1943:3:12871665:12872062:-1 gene:ORUFI03G18120 transcript:ORUFI03G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEPSLLVIPEIPLTLATACCILCTLAFSHATVAYVQLNVEASHETKVVSTLKYEADEADKKGLHLLGEEE >ORUFI03G18130.1 pep chromosome:OR_W1943:3:12876610:12876924:1 gene:ORUFI03G18130 transcript:ORUFI03G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNKYQCYHAPPSMGVRPFMSNGGMMLDRVPIAPPRLAPEMRTPLLLVATSAPALPPPPTKQRDAGGTGFFLPHTEAYNKRTSKAPRATKTPRHVKRQQWLSK >ORUFI03G18140.1 pep chromosome:OR_W1943:3:12879412:12880259:1 gene:ORUFI03G18140 transcript:ORUFI03G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRCCVYMHEMDDVHRWLPSEVLRDIGIADADERRRLAIVEDLARPSALSSLVTIDHRDHHALAGAGRPRVAATPPLHLRLVGNSRLPLPAPAAPWHVMTGGPRNTMVLRPAPPPAMNHPHPLLRGGAVTGAAPPVTTRRSSGTGFFLPRTTAADPRHANHHMTAAAARPPYYQCSTEAVSGTKAPARQRGSGCGDDHGEEAARAHASNGGKHAAARRCSRGNHQPVPRARSTTGMDSLGHGHDALMHQPAVITHYNYMHGHLCAPYHWC >ORUFI03G18150.1 pep chromosome:OR_W1943:3:12879626:12879934:-1 gene:ORUFI03G18150 transcript:ORUFI03G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWFAWRGSAAVVRGKKKPVPLLRRVVTGGAAPVTAPPRSSGCGWFIAGGGAGRRTMVFLGPPVMTCHGAAGAGNGSRLFPTSRRCSGGVAATLGRPAPARA >ORUFI03G18160.1 pep chromosome:OR_W1943:3:12881053:12883084:-1 gene:ORUFI03G18160 transcript:ORUFI03G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARSLLLRHLRVAVAPSSSSPAASLRPVVALREALCARRWMSSEEAKGSFLDKAEVTERIVKVVRNFQKIDDPAKVTPDAHFKNDLGLDSLDAVEVVMALEEEFGFEIPDNEVDKIDSIKVAVDFIASHPQAK >ORUFI03G18170.1 pep chromosome:OR_W1943:3:12885414:12887231:1 gene:ORUFI03G18170 transcript:ORUFI03G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAAAAAGVPMVKVRGGDGVEFSVQARRLAELAPGYIWDLPAIESGDIYDTVQVYRMNAELFTSRATGELLPQGVLRVQSIFAERVHDLDTLGHLTRAAIALDMEDLKDECYKRMLQDHQMSPQEVKLFLQNVLGHL >ORUFI03G18180.1 pep chromosome:OR_W1943:3:12888842:12891119:1 gene:ORUFI03G18180 transcript:ORUFI03G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRATIVASAVARLKPPRATLTHDAPAAVLAPPVADAPHAEWRGLPDDVVARVLVRLPVVDLFRLGYLFSPRWLDIWRAEPLYLHDRQFASPRIAADDVAADAIANLLELHVGDGVQFVGVQGENGSDDDDDGDGGGGNEVVGADGHDSGPGVAVELEHEAADQGGGVVNNSDGGGVAGRRRLRFPGGVGADDGVISDDDIYGHDDIPDGGYEIGRVYSFRVETTRWRADQLHRWCAALQRGRVREVTLANLTMEGHPELPQGIRDCATSLKGLHVFFFTMEADHIDSLVNLRVLGLYGCPGMILRALRPESEIRVLTIDFSRLVDVLVQTTRLRSLEMHNNVVQGTVVVHDAIQLRKLHLLPPTRPSKIFFGEAPSLRSIGYLDLFNTVFVIKGIVIQAGMVLHPPKMRSVRILGLRVNYTEMGHRVPREIEQILKCFPCLEKLEIMRYDEVAPEEGLLKADDEHIYQGNNFFRDLGCFSHHLRWIYLTAFRGGKYELALGKAILDEARAGTMFKMLHPQGSYTDYISNQLWRALEHFRMTTPNHAVRDRHVSVILRLRKAGGLPG >ORUFI03G18190.1 pep chromosome:OR_W1943:3:12892225:12892461:-1 gene:ORUFI03G18190 transcript:ORUFI03G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARSLLLRHLRVAVAPSSSSPVASLSPAVVLREALCGRQWMSSEEAKGSFLDKAEVTERIVKVVRKSAAHNVDRTD >ORUFI03G18200.1 pep chromosome:OR_W1943:3:12899132:12901144:1 gene:ORUFI03G18200 transcript:ORUFI03G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAVSSLNPDAPLFIPAAFRQVEDFSPQWWDLVKTTAWFRDHWFHEHQQLDEMADSLALHDAAADDDDLAGLLPDDAFDDDDDDDLFFDQTHNLLVDPPQPPAALKTDAVLKALSLVSPKGGDAPRGLREKPRHSEKPTKYAGSPRSGGAPRVIHQPR >ORUFI03G18200.2 pep chromosome:OR_W1943:3:12899257:12901144:1 gene:ORUFI03G18200 transcript:ORUFI03G18200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAVSSLNPDAPLFIPAAFRQVEDFSPQWWDLVKTTAWFRDHWFHEHQQLDEMADSLALHDAAADDDDLAGLLPDDAFDDDDDDDLFFDQTHNLLVDPPQPPAALKTDAVLKALSLVSPKGGDAPRGLREKPRHSEKPTKYAGSPRSGGAPRVIHQPR >ORUFI03G18210.1 pep chromosome:OR_W1943:3:12905166:12907585:1 gene:ORUFI03G18210 transcript:ORUFI03G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEEEAAVEEEEYEYGEEMEGSGSEAEDVVVGQMPTVMVPKHINKRALKNKALSVSLDKKALKDFVTGFHKRKKKRRKEAQKILQEKERKKRIEERKRRKQEKEIALYGRVLSSDNADGEDVENDGDEMETDDLPEPEVKTYEDGGTKITVTTSEITPEDDDEDLGPKRITPASTGYANKSVSKKSASLGVKKKPSKRTFRNKSKSKKGDQKRGAAKGKRKNKGRK >ORUFI03G18220.1 pep chromosome:OR_W1943:3:12909890:12925840:1 gene:ORUFI03G18220 transcript:ORUFI03G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVGLVQLLVAFVVAWEAVELVLRHGLLLSLFKFALAAALAVAASFVAALFLARVVAWVLRRTAKLSIGCRSFSFNYLRDITIKSPKGAVDSICVGEIRLGLRRPLTQLGFTILNHGPILQLQISDLDIVLRQPAKSAKKKKPPPRKSTSTSPAKGKGKPKGQGKWRLITNIASLLSLSIVELRFKAPKAALGIKDLKIDLSKTGGLDPILNVEIHILPLFVQALEPDGIDNSTSVFSKLDWWVSGQYCSAMDTADCSSFLFEDIVLLCDLHQRGKGVGVKNLDLVIGPIVVNLEEKLFTKKKLSAPTVAEKTDEPAADVKSDTKSEGSKLSSLNKKIDLLPEKVSFNMSKLDLKFLPKDHGLLINNEIGGISVRFVKSQPHSDFGEATHLQLETDVSDIHLLMDGATSVLEVVKVATVVSANIPIQSTSPIRAEAGIKISGSQCNIIISRIKPLIPLNSAHKKPTVPRESSTQEKTPKEKLALDLVFTLSAPELTIVLYSLDDIPLYHCCLLSTHFAASKTVNQGTELHAVLGELKLIVAGKPQQSIKDRISGTLLQISRSTIDLEQKVPDKDNCIDNPKSSLSLNISGVRMNVCFYYLELLCTTAMSYKVFLKSIRPPKKRPAQGTSQKTTKNAKGAQIVKISVEQCAVLYVGDMILEDMSIQDPKRVNFGSQGGRVVIINDADGSPRMAYVNSTSLPDHKHVNFFTSIEINQIGLCLNKEKQSVQVELGRSRLTHKEDLLDDKPVEEVTLFDVQKVKFVRRSGGSNDSAVCALINVTEVAVWWEPDPYLELLEVATRLKSIMHRIKHQNSANEIKDDTVYTDTLAKKDSLTEHDQQEKPQKKQELVIAVDVESLKISGELADGVEAMIHVGSIFSENAKIGVLIEGLVVSFCGARIFKCSRTQLSRIPVSISDSLPDKKLQSAATCDWVIQCRNAYVCLPFRLQLRAIDDAVEDTLRAIKLISAAKMSVLFPEKKSSGSSSSSSSSSSSKKSKSKSTEFRYVRVIVRDLVAEIEEEPIQGWLDEHIDLMKSVFNESTVRLDLLDELASVKHKDSPKAKLDGSSSEKNNGCPEVDGDAPGVCSFEKLREDIYKQAFQSYYLACQALKVSEGSGACSSGFQSGFKMSTRRSSVMSVCAKDVDVSLSKIDGGDEGMIGFIKTMDPVCAKNDIPFSRLYGSNFTLKAKSLSAYLRDYTFPLFSGTSAKCNGRLVLAQQATCFQPQVRQDVYVGKWWRVNLLRSATGYTPPMKSYVDLPLHFQKGEVSFGVGYEPVFADVSYAFTCALRRANLAKRWFFERPEPPRRERSLPWWDDMRNYIHGKFRLDFTKTTWHLPAKTSPYEKLDQMLITSDYLEICYVDGYVSLYSKYLKVYLTSLESLAKKCSLETPHHEVIPFLETPSFFMDIAIQWGCDSGNPMDHYIFALPAEGKPRDKVLDPFRSTSLSLKWSFSLKPSTTEPVKHQQNIQAVSNNSPTVNVGAHDFVWLMKWVNIFFLPPHKLRLFSRFPRFGVPRFIRSGNLPLDRVMTEQFIRFDASLLQINNMPLQVDDPANGLTLHFTKFRLEIAFSRGKQIFTFECKREPLDLVYQGIDLHLLKVSIKKTPEPSISKDAQVENKSLHMKATDSPGKNKTSSTEKSRDDGFFLYSDYFTIRKQTPKADAARLSAWQEDGRKKSEMPLAKSEFDGGEESDHAQSGSDEEGFNVVVADSCQRVFVYGLKILWNLENRAAIVSWVGDLTQAFQPPKPSPSRQYTQRKILEKKQSTKEAEMSNDGTLSSSPLASQSSDPPKQTKSSEPPSSGPSKLESTSTSDTAMKTSNSSDSEEEGTRHFMVNVVQPQFNLHSEEANGRFLLAAGSGRVLVRSFHSIVHVGQEMFEKALGSSNVAIGETRPEMSWSRYEVSVMLEHVQAHVAPTDVDPGAGIQWLPKIHRRSSEVKRTGALLERVFMPCQMYFRYTRHKGGNPELKVKPLKELAFNSPDITAGMTSRQFQVMMDVLTNLLFARAPRTKKSNLSYPLDNDDDDDTGEESDAVVPDGVEEVELAKIDVEIKEREWKILLDDIRTLSVGSEISADETQTPKSDDATWIVTGSRASLVKCLKKELVNVRNGRKEASSMLRVAMHKAAQARLMEKEKNKSPSFAMRVSLKINKVVWSMLADGKSFAEAEINDMIYDFDRDYKDIGIAHLTTKLFVLKNGLANAKSDTVLAPWNPPSEWGKNAMLRVNARQGAPTGGNSVIESLLVDIYPLKIYLTEAMYRMMWGYFFPGDEQHPQKRQELFKVSTTAGTRRVKKSTSIAETNSPSKQSSKDSMLLQKPELRRTSSFDRTWEETVAESVANELVTQFQSQSNAPPESQDAPKEAKLVRSARSTREEKKNMDPNEVKQTRPQKMMDFRNINISQVELQLTYEGLPFAVSDVRLLMDTFHREDFTGTWARLFSRGKKFKAKSTSQKEPTASLIAASDFNLSDSDGDEAGGSDQLPAFLKKPNDGAGDGFATSVKGLFSSQRKKAKAFVLKTMKGDADHDFQGERSENEIEFSPFARQLTITKTKKLIRRHTKKIKSKVPKGAATSQELGSELPPRGPSGNQTDSSSSDDNDSSPTETNPKD >ORUFI03G18220.2 pep chromosome:OR_W1943:3:12909890:12925840:1 gene:ORUFI03G18220 transcript:ORUFI03G18220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVGLVQLLVAFVVAWEAVELVLRHGLLLSLFKFALAAALAVAASFVAALFLARVVAWVLRRTAKLSIGCRSFSFNYLRDITIKSPKGAVDSICVGEIRLGLRRPLTQLGFTILNHGPILQLQISDLDIVLRQPAKSAKKKKPPPRKSTSTSPAKGKGKPKGQGKWRLITNIASLLSLSIVELRFKAPKAALGIKDLKIDLSKTGGLDPILNVEIHILPLFVQALEPDGIDNSTSVFSKLDWWVSGQYCSAMDTADCSSFLFEDIVLLCDLHQRGKGVGVKNLDLVIGPIVVNLEEKLFTKKKLSAPTVAEKTDEPAADVKSDTKSEGSKLSSLNKKIDLLPEKVSFNMSKLDLKFLPKDHGLLINNEIGGISVRFVKSQPHSDFGEATHLQLETDVSDIHLLMDGATSVLEVVKVATVVSANIPIQSTSPIRAEAGIKISGSQCNIIISRIKPLIPLNSAHKKPTVPRESSTQEKTPKEKLALDLVFTLSAPELTIVLYSLDDIPLYHVELGRSRLTHKEDLLDDKPVEEVTLFDVQKVKFVRRSGGSNDSAVCALINVTEVAVWWEPDPYLELLEVATRLKSIMHRIKHQNSANEIKDDTVYTDTLAKKDSLTEHDQQEKPQKKQELVIAVDVESLKISGELADGVEAMIHVGSIFSENAKIGVLIEGLVVSFCGARIFKCSRTQLSRIPVSISDSLPDKKLQSAATCDWVIQCRNAYVCLPFRLQLRAIDDAVEDTLRAIKLISAAKMSVLFPEKKSSGSSSSSSSSSSSKKSKSKSTEFRYVRVIVRDLVAEIEEEPIQGWLDEHIDLMKSVFNESTVRLDLLDELASVKHKDSPKAKLDGSSSEKNNGCPEVDGDAPGVCSFEKLREDIYKQAFQSYYLACQALKVSEGSGACSSGFQSGFKMSTRRSSVMSVCAKDVDVSLSKIDGGDEGMIGFIKTMDPVCAKNDIPFSRLYGSNFTLKAKSLSAYLRDYTFPLFSGTSAKCNGRLVLAQQATCFQPQVRQDVYVGKWWRVNLLRSATGYTPPMKSYVDLPLHFQKGEVSFGVGYEPVFADVSYAFTCALRRANLAKRWFFERPEPPRRERSLPWWDDMRNYIHGKFRLDFTKTTWHLPAKTSPYEKLDQMLITSDYLEICYVDGYVSLYSKYLKVYLTSLESLAKKCSLETPHHEVIPFLETPSFFMDIAIQWGCDSGNPMDHYIFALPAEGKPRDKVLDPFRSTSLSLKWSFSLKPSTTEPVKHQQNIQAVSNNSPTVNVGAHDFVWLMKWVNIFFLPPHKLRLFSRFPRFGVPRFIRSGNLPLDRVMTEQFIRFDASLLQINNMPLQVDDPANGLTLHFTKFRLEIAFSRGKQIFTFECKREPLDLVYQGIDLHLLKVSIKKTPEPSISKDAQVENKSLHMKATDSPGKNKTSSTEKSRDDGFFLYSDYFTIRKQTPKADAARLSAWQEDGRKKSEMPLAKSEFDGGEESDHAQSGSDEEGFNVVVADSCQRVFVYGLKILWNLENRAAIVSWVGDLTQAFQPPKPSPSRQYTQRKILEKKQSTKEAEMSNDGTLSSSPLASQSSDPPKQTKSSEPPSSGPSKLESTSTSDTAMKTSNSSDSEEEGTRHFMVNVVQPQFNLHSEEANGRFLLAAGSGRVLVRSFHSIVHVGQEMFEKALGSSNVAIGETRPEMSWSRYEVSVMLEHVQAHVAPTDVDPGAGIQWLPKIHRRSSEVKRTGALLERVFMPCQMYFRYTRHKGGNPELKVKPLKELAFNSPDITAGMTSRQFQVMMDVLTNLLFARAPRTKKSNLSYPLDNDDDDDTGEESDAVVPDGVEEVELAKIDVEIKEREWKILLDDIRTLSVGSEISADETQTPKSDDATWIVTGSRASLVKCLKKELVNVRNGRKEASSMLRVAMHKAAQARLMEKEKNKSPSFAMRVSLKINKVVWSMLADGKSFAEAEINDMIYDFDRDYKDIGIAHLTTKLFVLKNGLANAKSDTVLAPWNPPSEWGKNAMLRVNARQGAPTGGNSVIESLLVDIYPLKIYLTEAMYRMMWGYFFPGDEQHPQKRQELFKVSTTAGTRRVKKSTSIAETNSPSKQSSKDSMLLQKPELRRTSSFDRTWEETVAESVANELVTQFQSQSNAPPESQDAPKEAKLVRSARSTREEKKNMDPNEVKQTRPQKMMDFRNINISQVELQLTYEGLPFAVSDVRLLMDTFHREDFTGTWARLFSRGKKFKAKSTSQKEPTASLIAASDFNLSDSDGDEAGGSDQLPAFLKKPNDGAGDGFATSVKGLFSSQRKKAKAFVLKTMKGDADHDFQGERSENEIEFSPFARQLTITKTKKLIRRHTKKIKSKVPKGAATSQELGSELPPRGPSGNQTDSSSSDDNDSSPTETNPKD >ORUFI03G18230.1 pep chromosome:OR_W1943:3:12927142:12927644:1 gene:ORUFI03G18230 transcript:ORUFI03G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSYDGVVALWLLRYYDEEAWECVCTRVAAGFLDRRRGRDDGVLRRPPTTAAAGTRSLLLSSQGHRYGVYNLRRGEVVAAGRELGGGDDVILATQYVYQESFASPVARRGVGDGAPSPRGELAAGAGEASSSSLIRNSPGFYGVLIFSLLSLKYGNGCSWSSV >ORUFI03G18240.1 pep chromosome:OR_W1943:3:12935932:12942808:1 gene:ORUFI03G18240 transcript:ORUFI03G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRPMILPDELIKLHGFTTLGGKRPAYDGRESLYTNGSLPFESKESVVKVFDPEKNAKERAQKEFKITIEIVGKTNLYHLQRFLLGKHRGIAQEIIHVFDVILSDKLSRNHVTGPRSFLCTQIGHQGYIGDGLDSWRDGFLNISYTSRPLLERNRVQLKKVLCHVCIETNHHDDQIGRYKITGITPIPMSNNICPVGEEGTTMTVLQYFCDMEKTGVPSVGHWNIAEEKIINGGALDNWTSLNLSRMRPEEVQRFCSDLIQMCNATGMSFYPRPVVDFRSSHPNNIQNALRDVHRPMHSYVDCDENHFYADAHCNCTVSVVPSSSNDKVAVEQEELQFASTPGNSGQGKFQVGFLLNRQTILVDTENDDSVSAVIQRAIEKTNYWPKDVYYTYSMGMIDKKKMVKESHLYKGSLIFVNSRSRGGGEPPAGCERIVDRMIKANKIPLLDHIHSVKGHDPWAEWFERVNLPRSLLKSSQEWGGVLLQDAAAKVGQMLALCLERAHCSGKCFGGFDIRDVYYIEYYQLIDINAPMTDFTSRRYINDWLSFKKIIRDHFRYTDPTSGYLSYTLYVEDFMFRISLLEDVPSTGRSWRARAVFFKNISLESSERRVQIVEGLVGFYRERLTSDRELFEEVLQDCGYWKRQTRTVPRMSSALTYSVKNELQDWVPANDYFEKCGYSQLYFCRCFFGHYTVPGRITKKELDTAVGILLPGHIPRAQKRLMVNYEETQVDAAVLSPSGNTARFSVHHIFGIGSVGNDLALPQIKKALPPSQRKRKQTCDNLTSLLYTCSCFSANFPLVAESSDGSSGQAVEFLSPFGSDMFGSETWAVKPDH >ORUFI03G18250.1 pep chromosome:OR_W1943:3:13088431:13089971:-1 gene:ORUFI03G18250 transcript:ORUFI03G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGRTVLPSYVLLDRIVRSEEEAVEEESEWAAMECADWKSYGCHPGDERYPRNAARVKGMLLLARLAEPPGLSELSIRLSAAAAVPRPPPASFPPGAAVPPIPNLPDASYSLAIVAKDLRFDMEAGGDVYRDVLCLCPPRPSSSSSSRGGITPWQFKDAIFPSQMPRSFHGDKVFSFGGHAFWADLAKGVLFCRCDDALSGRNDDAVQFRYIPLPVECHLNISFAMRGDLQLCRTMSCVDGGGDSIKFVCISDGGSSSAHTGDRAITISAHTGDRAITMWTLTLATGEWLKDAQLMVADLWELEGFDKARLPKAIPISPVLNPQEDGVLSFMLNDADAELYMVSLNMHSKKLLSSLTLSSCPDDIVPPLGLDLSKDLQNLSLRPIAAESVPAKTQGRPIATKRRRSSLP >ORUFI03G18260.1 pep chromosome:OR_W1943:3:13091266:13097439:1 gene:ORUFI03G18260 transcript:ORUFI03G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTNDSAVRARLRRLGEPVTLFGEREMERRDRLRALMVRLEADGHLDRLLRAQEEEQGAAGAEEEEEQIQYPFFTEGTQELLKARVDIAQYSLPRAKARIERAKRCHDDPDEDPEAEANLVVKQAEDFVLECSEIGDDRPLTGCSFSRDFSMLATSSWSGMIKVWSMPQVTKIATLKGHTERATDVAFSPVDDCLATASADKTAKLWKTDGSLLLSFDGHLDRLARLAFHPSGGYLATASFDKTWRLWDVSTGKELLLQEGHSRSVYGVSFHPDGSLAASCGLDAYARVWDLRSGRLWGTLMGHVKPVLGVSFSPNGYLVATGSEDNFCRIWDLRTKRMLYSIPAHKSLISHVKFEPQEGYYLATSSYDTKAALWSARDYKPIKSLVAHESKVTSLDISGDGQQIVTVSHDRTIKIWSCRSRAQDNAMELD >ORUFI03G18260.2 pep chromosome:OR_W1943:3:13091266:13097522:1 gene:ORUFI03G18260 transcript:ORUFI03G18260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTNDSAVRARLRRLGEPVTLFGEREMERRDRLRALMVRLEADGHLDRLLRAQEEEQGAAGAEEEEEQIQYPFFTEGTQELLKARVDIAQYSLPRAKARIERAKRCHDDPDEDPEAEANLVVKQAEDFVLECSEIGDDRPLTGCSFSRDFSMLATSSWSGMIKVWSMPQVTKIATLKGHTERATDVAFSPVDDCLATASADKTAKLWKTDGSLLLSFDGHLDRLARLAFHPSGGYLATASFDKTWRLWDVSTGKELLLQEGHSRSVYGVSFHPDGSLAASCGLDAYARVWDLRSGRLWGTLMGHVKPVLGVSFSPNGYLVATGSEDNFCRIWDLRTKRMLYSIPAHKSLISHVKFEPQEGYYLATSSYDTKAALWSARDYKPIKSLVAHESKVTSLDISGDGQQIVTVSHDRTIKIWSCRSRAQDNAMELD >ORUFI03G18270.1 pep chromosome:OR_W1943:3:13092396:13092883:-1 gene:ORUFI03G18270 transcript:ORUFI03G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSFHGDKVFSFGGHAFWADLAKGVLFCRCDDALSGRNDDAVQFRYIPLPVECHLKISFAMRGDLQLCRTMSCVDGCVTADPALRTPAIVR >ORUFI03G18280.1 pep chromosome:OR_W1943:3:13097998:13098957:1 gene:ORUFI03G18280 transcript:ORUFI03G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVYDSQALGPHKLVFFSEIVASPPSSAAPAVTLRLLVLMAYRSSYGDDDDDDGGGDVDTMEDVSCRVPLRDLTMARGGDGDDVGAVRAAAAERAFGELVAGLEHPTLRPEVETEVPRAAARVLARCEGRAEEEVAELEIRMHVVLIAHDAPREEGDGEDDESGSDMDFSDVCGRRGDWGDGDDADAFLSDDDDDEGAQFAARPYGGAMLREGGPSDGTLLLSGFATRSDGPELDDQLELTPRDIRRLVRMALKGKNVERDEAYQRALDGGTPVSPESLAAMLDQALQSVRQQPPQQQQNCQNTTRDGGVVRRMHTGF >ORUFI03G18290.1 pep chromosome:OR_W1943:3:13099057:13105597:-1 gene:ORUFI03G18290 transcript:ORUFI03G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQDSSTRPIFEITSHTLSKLLTALNECTEWGQVFILDSLSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKIILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDSSLLDDLLANISTLSSVYHKPPEAFVSRVKTAPRADDEEFADTAETGYSESPSQGVDGASPSSSAGTSSNVPVKQPAAPAAPAPMPDLLGDLMGMDNSIVPVDEPTAPSGPPLPVLLPSTTGQGLQISAQLVRRDGQIFYDISFDNGTQTVLDGFMIQFNKNTFGLAAGGALQVSPLQPGTSARTLLPMVAFQNLSPGAPSSLLQVAVKNNQQPVWYFNDKIPMHAFFGEDGKMERTSFLEAWKSLPDDNEFSKEFPSSVVSSIDATVEHLAASNVFFIAKRKNSNKDVLYMSAKIPRGIPFLIELTAAVGVPGVKCAVKTPNKEMVALFFEAMESLLKFGCGVVSQELIDSA >ORUFI03G18290.2 pep chromosome:OR_W1943:3:13099057:13105639:-1 gene:ORUFI03G18290 transcript:ORUFI03G18290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQDSSTRPIFEITSHTLSKLLTALNECTEWGQVFILDSLSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKIILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDSSLLDDLLANISTLSSVYHKPPEAFVSRVKTAPRADDEEFADTAETGYSESPSQGVDGASPSSSAGTSSNVPVKQPAAPAAPAPMPDLLGDLMGMDNSIVPVDEPTAPSGPPLPVLLPSTTGQGLQISAQLVRRDGQIFYDISFDNGTQTVLDGFMIQFNKNTFGLAAGGALQVSPLQPGTSARTLLPMVAFQNLSPGAPSSLLQVAVKNNQQPVWYFNDKIPMHAFFGEDGKMERTSFLEAWKSLPDDNEFSKEFPSSVVSSIDATVEHLAASNVFFIAKRKNSNKDVLYMSAKIPRGIPFLIELTAAVGVPGVKCAVKTPNKEMVALFFEAMESLLKFGCGVVSQELIDSA >ORUFI03G18300.1 pep chromosome:OR_W1943:3:13113459:13118444:1 gene:ORUFI03G18300 transcript:ORUFI03G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRRGWLELIKRLFVSEPKQKPKPDKKVKSKRWMFAGKLKTQHSFALPAPAPAVEEEQIRQAEDEQSKHAMAVALATAAAAEAAVAAAHAAAEVVRLTGKTAALAPAPATTTTPTPYGHEHAALMIQSVYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMKIQARQRARASSAAAAGGDHNAANSPAPDGMDALLRRGRELYYAAAAAVHEQQLSKGWDSSTLSKEEMSAMSRSREEAALKRVRALQYASLHQSEKVGVRRQPMSREEMETLNQRWSWLEEWVGSQPPFDKDIPVAHQSPSRDAAGAAMNDDERPPPPPVLRSRSRADRLACVGDDDDDADRQLGYSARRSFTRAGRRTPARDDDGGGAAAFPGYMASTASAKAKFRSMSTPKERSGAGAADAYSEQCFPFADRLLSPIPSMSPIPSIASDIVFARSSRPAAAQRSPRVKGPMTPTRSRSRRSPGRHSFGSEAALHQLQMEQYTPIR >ORUFI03G18300.2 pep chromosome:OR_W1943:3:13114517:13118444:1 gene:ORUFI03G18300 transcript:ORUFI03G18300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRRGWLELIKRLFVSEPKQKPKPDKKVKSKRWMFAGKLKTQHSFALPAPAPAVEEEQIRQAEDEQSKHAMAVALATAAAAEAAVAAAHAAAEVVRLTGKTAALAPAPATTTTPTPYGHEHAALMIQSVYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMKIQARQRARASSAAAAGGDHNAANSPAPDGMDALLRRGRELYYAAAAAVHEQQLSKGWDSSTLSKEEMSAMSRSREEAALKRVRALQYASLHQSEKVGVRRQPMSREEMETLNQRWSWLEEWVGSQPPFDKDIPVAHQSPSRDAAGAAMNDDERPPPPPVLRSRSRADRLACVGDDDDDADRQLGYSARRSFTRAGRRTPARDDDGGGAAAFPGYMASTASAKAKFRSMSTPKERSGAGAADAYSEQCFPFADRLLSPIPSMSPIPSIASDIVFARSSRPAAAQRSPRVKGPMTPTRSRSRRSPGRHSFGSEAALHQLQMEQYTPIR >ORUFI03G18310.1 pep chromosome:OR_W1943:3:13117236:13119746:-1 gene:ORUFI03G18310 transcript:ORUFI03G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIVGLGLGDERDITVRGLDAVRRCAKVYMEAYTSLLSLGLDPSALSNLEKMYGKEITVADREMVEERADQMLREAADADVAFLVVGDPFGATTHTDLVVRAKNMGVEVKVIHNASVMNAVGVCGLQLYRYGETISIPFFTETWRPDSFYEKIQNNRRLGLHTLCLLDIRVKEPTLESLCRGKKVYEPPRFMSVNTAISQLLEVEELRGGSAYGADSLCIGVARLGSDDQKIVAGPMKKLLDVDFGPPLHCLIIVGETHPVEEEMIEFHMINSV >ORUFI03G18310.2 pep chromosome:OR_W1943:3:13117806:13119746:-1 gene:ORUFI03G18310 transcript:ORUFI03G18310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIVGLGLGDERDITVRGLDAVRRCAKVYMEAYTSLLSLGLDPSALSNLEKMYGKEITVADREMVEERADQMLREAADADVAFLVVGDPFGATTHTDLVVRAKNMGVEVKVIHNASVMNAVGVCGLQLYRYGETISIPFFTETWRPDSFYEKIQNNRRLGLHTLCLLDIRVKEPTLESLCRGKKVYEPPRFMSVNTAISQLLEVEELRGGSAYGADSLCIGVARLGSDDQKIVAGPMKKLLDVDFGPPLHCLIIVGETHPVEEEMIEFHMIKS >ORUFI03G18320.1 pep chromosome:OR_W1943:3:13121222:13123740:-1 gene:ORUFI03G18320 transcript:ORUFI03G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMACLNPLALSPRLAYGGRAAPRRCCSVVVPTSSARAAAGRCRWRLAAVAEEPQAVRQQEQQQRTEGSGEAGAEAAADASSKLVLVVGGTGGVGQLVVSSLLSRNIKTRLLLRDPAKAVTLFGEQDESVFQAYKADTRNAAELDPEIFEGVTHVICTTGTTAFPSKRWDGDNTPERVDWDGTRNLVSAMPRTIKRLVLVSSIGVTKYNELPWSIMNLFGVLKYKKMAEDFVQNSGIPFTIIRPGRLTDGPYTSYDLNTLLQATAGERRAVVMGEGDKLVGEASRLVVAEACIQALDIEFTEGQIYEINSVKGEGPGSDPEKWKELFRAVQ >ORUFI03G18330.1 pep chromosome:OR_W1943:3:13138617:13141025:-1 gene:ORUFI03G18330 transcript:ORUFI03G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSPSLHLPCNSRTGFAGKTQGIRLRVIPAGRVGFVRTTVECKESRIGKKPIEVPSNVTLTLEEQFIKAKGPLGELSLNYPGEVKVVKEESGKLRVSKTVETKRANQMHGLFRTLTDNIIVGVSKGFDKKLQLVGVGYRAAVEGKDLVMNLGFSHPVRMAVPEGLKVKVEENTRIIVSGYDKSEIGQFAASIKKWRPPEPYKGKGIRYADEIVRRKEGKAGKKK >ORUFI03G18340.1 pep chromosome:OR_W1943:3:13142232:13142843:1 gene:ORUFI03G18340 transcript:ORUFI03G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGGGSVGPFSKKPRHLSRSLTYHHHHHPYQGQGRSPSFNARRQHHPQQQDHAVVLYTTSLRGVRRTFADCAAVRAVLRGLRVAVDERDVSMDASLRRELQSLLAARGRPFSLPQLLVGARLVGGADEVRQLHEAGELRRLLEGAAGQDPAFVCGGCGGVRFVPCPACDGSRKVFVQEEGCARRCGDCNENGLVRCPNCCS >ORUFI03G18350.1 pep chromosome:OR_W1943:3:13144859:13146610:-1 gene:ORUFI03G18350 transcript:ORUFI03G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTYIDDSGSEVIDPPKTEVLDVTELAGDPVPHSPKPNVVVSSSVRELLECPVCLSAIALMVIHCALDANQGFTIAVQLVGMNWVILDVLLSRRWLRRLNFHASTRTSVAGDIPYLVNHLKDDHKVDMHNGCTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDLEAKNYSYSLEVGGTGRKMIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQ >ORUFI03G18350.2 pep chromosome:OR_W1943:3:13144859:13146610:-1 gene:ORUFI03G18350 transcript:ORUFI03G18350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTYIDDSGSEVIDPPKTEVLDVTELAGDPVPHSPKPNVVVSSSVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCVGIYPYYCKLKHESQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGCTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDLEAKNYSYSLEVGGTGRKMIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQ >ORUFI03G18360.1 pep chromosome:OR_W1943:3:13160135:13165372:-1 gene:ORUFI03G18360 transcript:ORUFI03G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSSGGPAHNASLGPARTWTSSLFARRAGDPSAAIAGFQRRLYGGHRRPDVPLAGGSLADSYAERRVEIPKSFVILEDILQHTLSNMHSIQESILFWQSNALRTNSQKAYFMIFQRGPRAFVATTCQTLAKLQNKGSPVQGLLDSASSMFSTKLAILTRMQHCLASFLAEVYNETDKRRSVLIRRSEQSLHSLFVALNNIFSNLEVELRNAGEPGAVLANHDNNSFELFQRLPEADVQSSAAISLIYENLQKLDIFLSSRLSSHKKPRKMTKYWLPYTFGALGLSAFTLWLLRHSSLMGSSDIDNWLHGAKKLLVWCWDENVQKPVSSIRYLTNTLQQSKGVTGKQYIQFPEDTLSKCRNISSSLCERYEMEPGSPEELACLMINQVAFFSPTLKFQTKKFLRDFKEVMPELEHVLRVQQVTLAFGRWLLLPVLLKPARVVHGQVTQRRDRIAHLKRCQLLSGVQEMLAEFQYCMDKGMKEEAQCSLGLLLYSIYRFYRGVESSSKETGEWIYMKEHIFCLVDPQLGVAIKRDILSSLRNYKCLSPPSSLYGF >ORUFI03G18370.1 pep chromosome:OR_W1943:3:13169976:13172750:-1 gene:ORUFI03G18370 transcript:ORUFI03G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHVGKFFDSVGSIFRGSDTLPWCDRDIIAGCENEVAEAANEEQKNESLMRLSWALVHSRQPEDVNRGIGMLQASLDRSTSPLQTREKLYLLAVGHYRTGDYTRSRQLLERCLEIQPDWRQALTLQRLVEDKTRRDGMIGMAIVTGAFGLVGLVAGGIIAAASSSSSSSRKK >ORUFI03G18380.1 pep chromosome:OR_W1943:3:13173821:13174145:1 gene:ORUFI03G18380 transcript:ORUFI03G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTAAARLDPTSMTPDLSSKHDVGSTPKSSSAADPVALCHMELDVGDLFTTMNWAGHASSTM >ORUFI03G18390.1 pep chromosome:OR_W1943:3:13177303:13180708:1 gene:ORUFI03G18390 transcript:ORUFI03G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATLRSATAAAAAAARARKGGGLRWLGTAAEAEAEREGGAGARWELSAAREYYDYRKSVYGDVTHRALLVDAVGTLVVPAQPTAKVYKSIGEKYGVKYSEDEILARYRRAYEQPWGGSRLRYVDDGRPFWQHIVTSSTGCSDLQYFEELYQYYMTEKAWKLCDPDAENVFKALRKAGVKTAVVSNFDTRLRPLLHVLKCDHWFDAVAVSAEVAAEKPNPTIFLKACESLGVKPEEAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEVAERIGVEVAK >ORUFI03G18400.1 pep chromosome:OR_W1943:3:13184734:13185201:-1 gene:ORUFI03G18400 transcript:ORUFI03G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRGLLSRNYCSSSRCDVGCGDTRRGACYPTVVKGRVLRTDLRLWRLWSGLADVVADHVNAKMHVGVTVGAIHGERG >ORUFI03G18410.1 pep chromosome:OR_W1943:3:13186140:13187100:1 gene:ORUFI03G18410 transcript:ORUFI03G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVEAGRVRSSTAPAPGGGGGQRWLGRTRRPPPLLFMLILVCGWTVSIFLLKLRFGRGRYRQGPGCDDSGKSNPVRGGAPGQAAASGPKWFSAASWIGGEAGSSEARRSGINQCGAAGVRRRAWAERKESEGSEEAAEKGRGRGKGERRGERKKKG >ORUFI03G18420.1 pep chromosome:OR_W1943:3:13188981:13189745:-1 gene:ORUFI03G18420 transcript:ORUFI03G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDGYRCQQRRRRRLVQRMVACFGMLSRRRRTVRLVLWGGEVRAARHGKMAGQVMLDFTDSVVCRADGFCIGRPAPVLAIEDRLVAGRTYLVLPVDRLPQGYDAVTAASLAALSYDRGGAGAGAAGATSIAGGAKSPFEYVKGDDGRTVIKVTPEFIVRSITSSRPIGACAAAGDEVVEGGGGGGGAQLCSTPELRKHYEQLVGAARCRAWSPRLETIKERKGARRIVVAAVSPGRLSPVAARLLGLDRGAS >ORUFI03G18430.1 pep chromosome:OR_W1943:3:13200121:13200564:-1 gene:ORUFI03G18430 transcript:ORUFI03G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASTTATATSAAAAARAICVLLLLLVAVVVVAGQAPEPEGDDQAAAIDQAGGGGGGGGNNNGTAGRARGGGGNNNGTGRARDGGGDRDRGADGGGGGRSKLASSIDCQICEATCRVKCLVNSLFQWGGCYQRCRSDNCNDWCTRG >ORUFI03G18440.1 pep chromosome:OR_W1943:3:13203571:13204155:1 gene:ORUFI03G18440 transcript:ORUFI03G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGLSCLGKSGFPWRHRYGPRGPSVVRYTAVIARGSDVVASVLKRVQEGSGSKLLDQESRRGVSSVPVSLRAQVRIIKDMGILQQGELVGNDVVADQYIKHFEAPLPMVVVKGIGHSPGWMEARLCHLMWQGRPMARSQSGLIFLLAFECGGSLLSANVPLTLCIVYGPTYPLPDISAVGVDVWMLSMSNDA >ORUFI03G18450.1 pep chromosome:OR_W1943:3:13207560:13207832:1 gene:ORUFI03G18450 transcript:ORUFI03G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMATRSPPPNPARRAPPLRYCQRFSPLHCHRRYLRSFLAAAAASTSFSPSSATIFCHRTTGFGGHELAATRSGGGELVATGCGVSNGW >ORUFI03G18460.1 pep chromosome:OR_W1943:3:13216458:13223291:1 gene:ORUFI03G18460 transcript:ORUFI03G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALDGGTTCGIAVVVVVVAEEAEVRVRGRKRRRWRRRRRRRRAAATVRVEDAGEVRGLPPPSPATGPHAGGGRSRGARRQGETIAKGHKNYELMLNLQLGIRHAVGKQGPITLDLKSSAFDPKEKVWTRFPPEGSKYTPPHSSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGDQALRELSSPGKSGSFFYLTSNDQYMIKTMKKSEVKIFLKMLRAYYNHVRSFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDYSIHRRFDLKGSSLGRTTDKPQTEIDEYTTLKDLDLNFIFRLQKHWHQEFLRQVDKDCDFLEQENIMDYSLLVGVHFRDKRNLLASEGSFDSDSSRASSPHLSRGDTDPNRFSKIKLGSNMPTRAELTVRKSECEPQIIGEPTGEFYDVILYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPKQYSRRFKDFVYKAFQEEKIDI >ORUFI03G18460.2 pep chromosome:OR_W1943:3:13212195:13216711:1 gene:ORUFI03G18460 transcript:ORUFI03G18460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREHERRGRGRGGGGGGRVSPMPPGEDADTASSCSGADEGEAQAQAQGNEQQRAERVLPNGDLYTGQWRGGAPHGAGKYLWADGCMYEGEWRRGKATGRGRFSWPSGATYEGEFLDGFMHGAGAYVGAAGDTYRGAWAKNLEHGAGEKRYANGDCYDGEWRAGLPEGCGRYAWRDGTEYAGGWRAGLIHGRGALVWANGNRYDGGWEGGRPRGQGTFRWSDGSLYVGFWGREAPGGAVHQKGVYYPSPAAAGESRDPREVFARELPECVRSGTEGQSALPSLRSLRWLARSISGRGSSSSGRSIGSVVGVPHLWGSDGEVKPDIADDWRRRSSVREGRGPPPPSLAAPPPPPHVDKGAPPPRVMKRQGVTIAKGHKNYELMLNLQLGIRHAVGRQGQVILDLKSSAFDAKEKVWTKFPPEGSKYTPPHNSSDFRWKDYCPKVFRTLRKLFKVDPADYMLSLCGDDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRAFEDTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHTIHRRFDLKGSSLGRTTNKPLAEIDEYTTLKDLDLNFIFRLQKQWFQEFQRQVDKDCEFLEHEKIMDYSLLVGVHFRGAVDIDGDIPASPRLSRWDRDHFLSDPNRWSKIKLGANILSRAELTIRKNDTDVVGQPTGQYCDVILYFGIIDILQDYDIGKKIEHAYKSFQYDSTSISAVDPRQYSRRFRDFIYNAFQEDRAES >ORUFI03G18470.1 pep chromosome:OR_W1943:3:13227609:13238475:-1 gene:ORUFI03G18470 transcript:ORUFI03G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPAAGGAFDRYQRAGAPAASGNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPSTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKYGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPDEDSHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKDARINAMRSAIVETFPEPNRRLLQRILKMMYTIASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVTTLLEEYESIFDGEHNLRCSLSPESQIEDSGSEASTDDVNLDVKGNGFHDAENDVDQEMDDENGAERILSGKLSESSGYAGSDLYDYKVVHADDSDAERSEDAKAAEVKIELSKGPKSHSAENGSAYMETLLSEKNPSNPISSHETPLSMGEILSSLDPGISLANHSGEYSVESRQPAKINGSHPHVKRSNFWGRNNARKSQHSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSSQFSSSRAMDTKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPMVDANDRYHRIPGHFSQQNFVQPGFDMNLAFCNQEKQRNEESSVDSSQWRNIKQHVLPYGSSRPLTRKLSLDASSSDSRGMEASTSMPTDNTAMAINAPKFTEGVDYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYNKPPSPWDSPR >ORUFI03G18470.2 pep chromosome:OR_W1943:3:13227609:13238475:-1 gene:ORUFI03G18470 transcript:ORUFI03G18470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPAAGGAFDRYQRAGAPAASGNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPSTLPQRGGEVNAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKYGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPDEDSHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKDARINAMRSAIVETFPEPNRRLLQRILKMMYTIASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVTTLLEEYESIFDGEHNLRCSLSPESQIEDSGSEASTDDVNLDVKGNGFHDAENDVDQEMDDENGAERILSGKLSESSGYAGSDLYDYKVVHADDSDAERSEDAKAAEVKIELSKGPKSHSAENGSAYMETLLSEKNPSNPISSHETPLSMGEILSSLDPGISLANHSGEYSVESRQPAKINGSHPHVKRSNFWGRNNARKSQHSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSSQFSSSRAMDTKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPMVDANDRYHRIPGHFSQQNFVQPGFDMNLAFCNQEKQRNEESSVDSSQWRNIKQHVLPYGSSRPLTRKLSLDASSSDSRGMEASTSMPTDNTAMAINAPKFTEGVDYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYNKPPSPWDSPR >ORUFI03G18470.3 pep chromosome:OR_W1943:3:13227609:13238475:-1 gene:ORUFI03G18470 transcript:ORUFI03G18470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPAAGGAFDRYQRAGAPAASGNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPSTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKYGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPDEDSHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKDARINAMRSAIVETFPEPNRRLLQRILKMMYTIASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVTTLLEEYESIFDGEHNLRCSLSPESQIEDSGSEASTDDVNLDVKGNGFHDAENDVDQEMDDENGAERILSGKLSESSGYAGSDLYDYKVVHADDSDAERSEDAKAAEVKIELSKGPKSHSAENGSAYMETLLSEKNPSNPISSHETPLSMGEILSSLDPGISLANHSGEYSVESRQPAKINGSHPHVKRSNFWGRNNARKSQHSESVDSSGEEELQEQLQAERDLRAALEVGLSMSSSQFSSSRAMDTKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPMVDANDRYHRIPGHFSQQNFVQPGFDMNLAFCNQEKQRNEESSVDSSQWRNIKQHVLPYGSSRPLTRKLSLDASSSDSRGMEASTSMPTDNTAMAINAPKFTEGVDYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYNKPPSPWDSPR >ORUFI03G18470.4 pep chromosome:OR_W1943:3:13227609:13238475:-1 gene:ORUFI03G18470 transcript:ORUFI03G18470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPAAGGAFDRYQRAGAPAASGNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPSTLPQRGGEVNAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKYGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPDEDSHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKDARINAMRSAIVETFPEPNRRLLQRILKMMYTIASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVTTLLEEYESIFDGEHNLRCSLSPESQIEDSGSEASTDDVNLDVKGNGFHDAENDVDQEMDDENGAERILSGKLSESSGYAGSDLYDYKVVHADDSDAERSEDAKAAEVKIELSKGPKSHSAENGSAYMETLLSEKNPSNPISSHETPLSMGEILSSLDPGISLANHSGEYSVESRQPAKINGSHPHVKRSNFWGRNNARKSQHSESVDSSGEEELQEQLQAERDLRAALEVGLSMSSSQFSSSRAMDTKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPMVDANDRYHRIPGHFSQQNFVQPGFDMNLAFCNQEKQRNEESSVDSSQWRNIKQHVLPYGSSRPLTRKLSLDASSSDSRGMEASTSMPTDNTAMAINAPKFTEGVDYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYNKPPSPWDSPR >ORUFI03G18480.1 pep chromosome:OR_W1943:3:13243404:13247839:-1 gene:ORUFI03G18480 transcript:ORUFI03G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAADAGSDPSAALAAAAATSTCAHWRIHCEGDMAAAATAADAGSDPSAALAAAAATSTCAHCQREIPSSNIDLHSAHCARNLQKCEHCGEMVARKLMDEHYNESHAPVNCTLCKEIVTREIWDLHKSEQCPQRIVACEYCEFELPAVELHEHQDVCGNRTEFCQTCKKYVRLREWIGHEIQCHANANANASAQTSSARIIPEREVRPPPPVRPPRPMHGAQHKRLLFTIAVTGIAVMIGSILFQREESF >ORUFI03G18480.2 pep chromosome:OR_W1943:3:13243404:13247839:-1 gene:ORUFI03G18480 transcript:ORUFI03G18480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAADAGSDPSAALAAAAATSTCAHCQREIPSSNIDLHSAHCARNLQKCEHCGEMVARKLMDEHYNESHAPVNCTLCKEIVTREIWDLHKSEQCPQRIVACEYCEFELPAVELHEHQDVCGNRTEFCQTCKKYVRLREWIGHEIQCHANANANASAQTSSARIIPEREVRPPPPVRPPRPMHGAQHKRLLFTIAVTGIAVMIGSILFQREESF >ORUFI03G18480.3 pep chromosome:OR_W1943:3:13243404:13246518:-1 gene:ORUFI03G18480 transcript:ORUFI03G18480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKLMDEHYNESHAPVNCTLCKEIVTREIWDLHKSEQCPQRIVACEYCEFELPAVELHEHQDVCGNRTEFCQTCKKYVRLREWIGHEIQCHANANANASAQTSSARIIPEREVRPPPPVRPPRPMHGAQHKRLLFTIAVTGIAVMIGSILFQREESF >ORUFI03G18490.1 pep chromosome:OR_W1943:3:13261472:13262943:1 gene:ORUFI03G18490 transcript:ORUFI03G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPEDPKLNMVDLLPDDVLADILRRLAPRPLAACRCVCKPWRAVVDGHRLLRADLLPLSLGGIFVNFHEVLTSFFLRRPSARPPAAAISGKFEDFTPSAARSTVVDHCNGLLLLRSRYVVNPATQRWAAFPEPPPPRPGITRSFYHDEYLVFDPTVSPHYEVFLIPSVTPEEFVSKKLRPKVEESEWPPSPCFLSVFSSSTGQWEERSFVREGEAAGTIADMRSQPLLEQYNAVYWKRALYVHREANFVMRISPSKSKYQVIKLPIAQDGYVDPFLARSEKGVYLAVLDRCHLRVWILNESCEQMKWELKYDKEIQLSFQRWNYDEESVGPWTLHYSRRDVYDGDDTNYNAEVAERKFEWDSDSDDVLDLEDRVQRSSHGGFLILGFHPYKEVIFLDEGSSRGLAYHLGTSKAQDLGRLRPNFFDHWHVEGVNRSFVYTPCWIGELSNGI >ORUFI03G18500.1 pep chromosome:OR_W1943:3:13264564:13264869:1 gene:ORUFI03G18500 transcript:ORUFI03G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCYAPGTRFSFGSLDFIATSSGVLELAPCEPAPPTTTPTMPLGINNFAASGPSTAGRQHRHQHFSDCPTLTLPGASWQLQAPTSPTFAGTRIPLGRLAR >ORUFI03G18510.1 pep chromosome:OR_W1943:3:13273253:13274462:-1 gene:ORUFI03G18510 transcript:ORUFI03G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITFTIKVVVLLSIILPVTSFEKDPLRNRHLWANPTVLRFDFVREAYHAILRTFIAFITSTSSMLQRPVSEAPESWNMAHLIGRDGDETMLSMRDDNLYVLGFANRSGDWHAFERHAHLFREDVTPLKINDNYGSLLGAGHRGHKDLPRIALGQQAVLDAIQALSNYDPSTTDDRILGDALATLIVTLPEAVRLRKIRDWQLRGWSTGTHLTSKLADEVVKWRVMTCGLLVSHRNNGWWGGEEARDLYGSLRIANKFGAMERVGVMLWPARRKCSEEVLDEWHISKIRRDMMMVQQRG >ORUFI03G18520.1 pep chromosome:OR_W1943:3:13281550:13284964:1 gene:ORUFI03G18520 transcript:ORUFI03G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLPFLLGGGGGNWEAAVLQSLLSFVVEQEKSVVVLLSNLVRFAMDKVTGTSTVARRRRGRTKMRVESSSKCCKRQMCNSRKKMNTSAEAELKWS >ORUFI03G18530.1 pep chromosome:OR_W1943:3:13287952:13291677:-1 gene:ORUFI03G18530 transcript:ORUFI03G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGGGGGGDGGVRGGPGRGEAAPYRPTGVVWPPPPPGSTPVTVRRPPPSTSPAPQAAASSSSTSTPDKLVRQKAMEIALAPPAAASPDGEALASDNLAQIKTALGGVCIETNHQDDKIRRFSVDKNGTRCCLDSSSESGPWYSKKLNNKKGVTGILSQWHKQTEQKAHEVVIYKRCTASGSAVTLFLIMMSLICTVRGSNHGTDCDIGEYSTSIAQVCQYGAPPAVCCDLLQSAVHACGNASVLCEIGRSVPITSRGFNVHDVMDWHRECTGQPESSSVDASSICYVSGERHKEDTKEEGHQVNILIETGNKECNARKSTSTNPMFIGLGIGFGSAMLFAFALVVIWLFVRRRSLGGPLVPQISLLAIAPHFSQGLPALTLPCGPVPVPIPQEVTTVQPATSAYGQPAPVQPATTEYGQPVQPATNPMAYLASVVSYAIVDRLTSRRRR >ORUFI03G18540.1 pep chromosome:OR_W1943:3:13296184:13296459:-1 gene:ORUFI03G18540 transcript:ORUFI03G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASPRLSSAPVAATTFAICCAASAAFVDASGRVAERNALVIAATTGADDINRPFLVRLLSEIGLGVGVEDNCKRRSAKTRQEDLAGN >ORUFI03G18550.1 pep chromosome:OR_W1943:3:13299203:13308363:-1 gene:ORUFI03G18550 transcript:ORUFI03G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTPLPFLLLLLLLLVLLLPSPSAAAAGGADGWEAIGAAAAGGGRRRVASPGEQEAAAAGLLRRLLPSHARSFRFQIVSKGGVCGGSSCFRISNADGSRRNGAEILIQGTTAVELASGLHWYLKYWCGAHISWDKTGGAQLASVPLPGSLPQVKGTGVKIERPVPWNYYQNVVTSSYSFVWWDWKRWEKEIDWMALQGINLPLAFTGQEAIWQKSFNVTDRDLDDFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLTLQKKILSRMIELGMVPVLPSFSGNVPNTVDGDPRWCCTYLLDPSDALFIDVGQAFIRQQMKEYGDITNIYNCDTFNENTPPTNEPAYISSLGSAIYEAMSRGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPTGKMIVLDLFADVKPIWQMSSQFYGVPYIWCMLHNFGGNIEMYGILDSIASGPIDARTSHNSTMVGVGMCMEGIEHNPVVYELMSEMAFRSQKVEVEDWLKIYSYRRYGQSNVEVEKAWGILYHTIYNCTDGIADHNKDYIVEFPDISPNSFSSDVSKRKAISEVKKHRRFVLSEVSASLPHPHLWYSTKEAIKALELFLNAGNDLSKSLTYRYDLVDLTRQSLSKLANEVYLDAMNAYRKKDSNGLNFYTKKFLELIVDIDTLLASDDNFLLGPWLEDAKSLARTENERKQYEWNARTQVTMWYDNTKTEQSKLHDYANKFWSGLLKSYYLPRASKYFSRLTKGLQENQSFQLEEWRKDWIAYSNEWQSGKELYAVKATGDALAISSSLFKKYFS >ORUFI03G18550.2 pep chromosome:OR_W1943:3:13299203:13308363:-1 gene:ORUFI03G18550 transcript:ORUFI03G18550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTPLPFLLLLLLLLVLLLPSPSAAAAGGADGWEAIGAAAAGGGRRRVASPGEQEAAAAGLLRRLLPSHARSFRFQIVSKGGVCGGSSCFRISNADGSRRNGAEILIQGTTAVELASGLHWYLKYWCGAHISWDKTGGAQLASVPLPGSLPQVKGTGVKIERPVPWNYYQNVVTSSYSFVWWDWKRWEKEIDWMALQGINLPLAFTGQEAIWQKMGWTTITKLAGSTIDTAEENIVPNTVDGDPRWCCTYLLDPSDALFIDVGQAFIRQQMKEYGDITNIYNCDTFNENTPPTNEPAYISSLGSAIYEAMSRGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPTGKMIVLDLFADVKPIWQMSSQFYGVPYIWCMLHNFGGNIEMYGILDSIASGPIDARTSHNSTMVGVGMCMEGIEHNPVVYELMSEMAFRSQKVEVEDWLKIYSYRRYGQSNVEVEKAWGILYHTIYNCTDGIADHNKDYIVEFPDISPNSFSSDVSKRKAISEVKKHRRFVLSEVSASLPHPHLWYSTKEAIKALELFLNAGNDLSKSLTYRYDLVDLTRQSLSKLANEVYLDAMNAYRKKDSNGLNFYTKKFLELIVDIDTLLASDDNFLLGPWLEDAKSLARTENERKQYEWNARTQVTMWYDNTKTEQSKLHDYANKFWSGLLKSYYLPRASKYFSRLTKGLQENQSFQLEEWRKDWIAYSNEWQSGKELYAVKATGDALAISSSLFKKYFS >ORUFI03G18560.1 pep chromosome:OR_W1943:3:13309538:13310110:-1 gene:ORUFI03G18560 transcript:ORUFI03G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAPSLARCAMGGVRRCGGWDLRTTTTWMMRSAKSWTMAMDSQGRRSDPMLSAIFTLENPKMAYQNRPVEHVDKPAAGSGANIDLCTSAVVTAVPMSSGAVEVETKKAGESGATSFCPIPSASSPPSLADFLSPLTVWPHLPSLRPSPAAVAAIAAASHPLLPAGEEEKKRQKKKEKKRKRRKKKRC >ORUFI03G18570.1 pep chromosome:OR_W1943:3:13312329:13312686:1 gene:ORUFI03G18570 transcript:ORUFI03G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISVWKLTVVRVIDVVDEFIIEWDGSSVGLGRLDQVPLSHCITIDRGKGEEEDLIKSPYLTASLLIERGKGEEEERGCDEEERDGFPFNLILDPYAIIDIRI >ORUFI03G18580.1 pep chromosome:OR_W1943:3:13320664:13332605:1 gene:ORUFI03G18580 transcript:ORUFI03G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFVSQEGEPFKFPSRKTPPHFLRYSLVPLLSPTVQGPQGGHRPRLDAALATLALKTGSALCQIYPAASPLSPLFRRPPRSPPPLPLPLPSASDRIPSSSSSSPSPVCKFLLFCGGAIFHYPKYGGFKILSQFLCQKQITTTCSKGGGAYLFDIHFWIGKDSSQDEAGTAAIKTVELDTMLGGRAVQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKTPEEDKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEAIQHLKETYHNGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAICDDDVVLETTAPKLYSINNGQLKLEDTVLTKSILENNKCFLVDCGSDLFIWVGRLTQVEERKAASAAVEEFIATQNRPKTTRVTRVIQGYENHTFKSKFESWPVNSAGSAGAEEGRGKVAALLKQQGVDIKGASKSSAPVDEEVPPLLEGDGKLEVYCVNGSAKTALPKEELGKFYSGDCYIVLYTYHSGDKREEFYLTYWIGKDSIPEDQEMAFQTANSIWNSLKGRPILGRIYQGKEPPQFIALFQPMVILKGGISSGYQKFVEEKGLKDETYSGDGIALFRISGTSIHNNKVLQVDAVSSNLSPTDCFVLQSGNSMFTWIGNASSYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQNYTSRNATHDVVREPHLYTFSLRNGKLEVTEIFNFSQDDLLTEDMMVLDTHGEVFVWMGQCVDAKEKQKAFEIGQKYAEHAAAFESLSPDVPLYKVVEGNEPCFFRTYFSWDNTRSVIHGNSFQKKLSLLFGMRSESGSKSSGDGGPTQRASALAALSSAFNPSSQKNKGNDRPKSSDGGPTQRASAMAALTSAFNPSAKPKSPPQRAGQGSQRAAAVAALSNVLTAEGSSQSPRIGRSSPMAGDADTAELTPSAASPLSEGASEFSADKDAPGDGALSEGGRTEPDVSVEQTANENGGETTFSYDRLISKSTNPVRGIDYKRRETYLSDSEFQTVFGITKEEFYQQPGWKQELQKRKHDLF >ORUFI03G18580.2 pep chromosome:OR_W1943:3:13320664:13332605:1 gene:ORUFI03G18580 transcript:ORUFI03G18580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFVSQEGEPFKFPSRKTPPHFLRYSLVPLLSPTVQGPQGGHRPRLDAALATLALKTGSALCQIYPAASPLSPLFRRPPRSPPPLPLPLPSASDRIPSSSSSSPSPVCKFLLFCGGAIFHYPKYGGFKILSQFLCQKQITTTCSKGGGAYLFDIHFWIGKDSSQDEAGTAAIKTVELDTMLGGRAVQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKTPEEDKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEAIQHLKETYHNGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAICDDDVVLETTAPKLYSINNGQLKLEDTVLTKSILENNKCFLVDCGSDLFIWVGRLTQVEERKAASAAVEEFIATQNRPKTTRVTRVIQGYENHTFKSKFESWPVNSAGSAGAEEGRGKVAALLKQQGVDIKGASKSSAPVDEEVPPLLEGDGKLEVYCVNGSAKTALPKEELGKFYSGDCYIVLYTYHSGDKREEFYLTYWIGKDSIPEDQEMAFQTANSIWNSLKGRPILGRIYQGKEPPQFIALFQPMVILKGGISSGYQKFVEEKGLKDETYSGDGIALFRISGTSIHNNKVLQVDAVSSNLSPTDCFVLQSGNSMFTWIGNASSYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQNYTSRNATHDVVREPHLYTFSLRNGKLEVTEIFNFSQDDLLTEDMMVLDTHGEVFVWMGQCVDAKEKQKAFEIGQKYAEHAAAFESLSPDVPLYKVVEGNEPCFFRTYFSWDNTRSVIHGNSFQKKLSLLFGMRSESGSKSSGDGGPTQRASALAALSSAFNPSSQKNKGNDRPKSSDGGPTQRASAMAALTSAFNPSAKPKSPPQRAGQGSQRAAAVAALSNVLTAEGSSQSPRIGDADTAELTPSAASPLSEGASEFSADKDAPGDGALSEGGRTEPDVSVEQTANENGGETTFSYDRLISKSTNPVRGIDYKRRETYLSDSEFQTVFGITKEEFYQQPGWKQELQKRKHDLF >ORUFI03G18580.3 pep chromosome:OR_W1943:3:13320664:13332605:1 gene:ORUFI03G18580 transcript:ORUFI03G18580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFVSQEGEPFKFPSRKTPPHFLRYSLVPLLSPTVQGPQGGHRPRLDAALATLALKTGSALCQIYPAASPLSPLFRRPPRSPPPLPLPLPSASDRIPSSSSSSPSPVCKFLLFCGGAIFHYPKYGGFKILSQFLCQKQITTTCSKGGGAYLFDIHFWIGKDSSQDEAGTAAIKTVELDTMLGGRAVQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKTPEEDKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEAIQHLKETYHNGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAICDDDVVLETTAPKLYSINNGQLKLEDTVLTKSILENNKCFLVDCGSDLFIWVGRLTQVEERKAASAAVEEFIATQNRPKTTRVTRVIQGYENHTFKSKFESWPVNSAGSAGAEEGRGKVAALLKQQGVDIKGASKSSAPVDEEVPPLLEGDGKLEVYCVNGSAKTALPKEELGKFYSGDCYIVLYTYHSGDKREEFYLTYWIGKDSIPEDQEMAFQTANSIWNSLKGRPILGRIYQGKEPPQFIALFQPMVILKGGISSGYQKFVEEKGLKDETYSGDGIALFRISGTSIHNNKVLQVDAVSSNLSPTDCFVLQSGNSMFTWIGNASSYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQNYTSRNATHDVVTEIFNFSQDDLLTEDMMVLDTHGEVFVWMGQCVDAKEKQKAFEIGQKYAEHAAAFESLSPDVPLYKVVEGNEPCFFRTYFSWDNTRSVIHGNSFQKKLSLLFGMRSESGSKSSGDGGPTQRASALAALSSAFNPSSQKNKGNDRPKSSDGGPTQRASAMAALTSAFNPSAKPKSPPQRAGQGSQRAAAVAALSNVLTAEGSSQSPRIGRSSPMAGDADTAELTPSAASPLSEGASEFSADKDAPGDGALSEGGRTEPDVSVEQTANENGGETTFSYDRLISKSTNPVRGIDYKRRETYLSDSEFQTVFGITKEEFYQQPGWKQELQKRKHDLF >ORUFI03G18580.4 pep chromosome:OR_W1943:3:13320664:13332605:1 gene:ORUFI03G18580 transcript:ORUFI03G18580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFVSQEGEPFKFPSRKTPPHFLRYSLVPLLSPTVQGPQGGHRPRLDAALATLALKTGSALCQIYPAASPLSPLFRRPPRSPPPLPLPLPSASDRIPSSSSSSPSPVCKFLLFCGGAIFHYPKYGGFKILSQFLCQKQITTTCSKGGGAYLFDIHFWIGKDSSQDEAGTAAIKTVELDTMLGGRAVQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKTPEEDKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEAIQHLKETYHNGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAICDDDVVLETTAPKLYSINNGQLKLEDTVLTKSILENNKCFLVDCGSDLFIWVGRLTQVEERKAASAAVEEFIATQNRPKTTRVTRVIQGYENHTFKSKFESWPVNSAGSAGAEEGRGKVAALLKQQGVDIKGASKSSAPVDEEVPPLLEGDGKLEVYCVNGSAKTALPKEELGKFYSGDCYIVLYTYHSGDKREEFYLTYWIGKDSIPEDQEMAFQTANSIWNSLKGRPILGRIYQGKEPPQFIALFQPMVILKGGISSGYQKFVEEKGLKDETYSGDGIALFRISGTSIHNNKVLQVDAVSSNLSPTDCFVLQSGNSMFTWIGNASSYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQNYTSRNATHDVVTEIFNFSQDDLLTEDMMVLDTHGEVFVWMGQCVDAKEKQKAFEIGQKYAEHAAAFESLSPDVPLYKVVEGNEPCFFRTYFSWDNTRSVIHGNSFQKKLSLLFGMRSESGSKSSGDGGPTQRASALAALSSAFNPSSQKNKGNDRPKSSDGGPTQRASAMAALTSAFNPSAKPKSPPQRAGQGSQRAAAVAALSNVLTAEGSSQSPRIGDADTAELTPSAASPLSEGASEFSADKDAPGDGALSEGGRTEPDVSVEQTANENGGETTFSYDRLISKSTNPVRGIDYKRRETYLSDSEFQTVFGITKEEFYQQPGWKQELQKRKHDLF >ORUFI03G18590.1 pep chromosome:OR_W1943:3:13333628:13333813:-1 gene:ORUFI03G18590 transcript:ORUFI03G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETPPLALTLTELSMRIEEMGGGRFDGRRNLVVLKEALGCCHRSGNLEEVMESCHATHT >ORUFI03G18600.1 pep chromosome:OR_W1943:3:13342519:13343496:1 gene:ORUFI03G18600 transcript:ORUFI03G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHVGGVIGQSPKPKVVFVLGATATGKSKLAISIAERFGGEVINSDKIQVHDGFPIITNKVTEEERAGVPHHLLGVLHPDADFTAEDFRREAAAAVARVLAAGRLPVVAGGSNTYVEALVEGGGGAFRAAHDCLFLWTDVAPGLLRWYTAARVDDMVRRGLVGEARAGFVDGAGAADYYTRGVRRAIGIPEMHGYLLAERSGGEAADDGELAAMLDGAVREIKANTYRLAATQVAKIRRLSALDGWDVRRVDATVVVARMAEGAPHRETWEAVVWKPCEEMVGRFLEASAAVDDDDNAAAGSPAALAPMTAACRLRAQLVQLQY >ORUFI03G18610.1 pep chromosome:OR_W1943:3:13344613:13344957:1 gene:ORUFI03G18610 transcript:ORUFI03G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVMMMQRLARQAAHAVTQRARNQTAATARGYHHAGVAAAGGKNVTPAAAAARRHPDVVVTIEEAAGAGPRPSSTSQEDAARRLRWLLYEASFWRGCSVYFAGVAAARVMAR >ORUFI03G18620.1 pep chromosome:OR_W1943:3:13353031:13353393:1 gene:ORUFI03G18620 transcript:ORUFI03G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVMMMQRLARQAAARVVTQHARSGSGSGLAGAVRGYNNVSASHAAAAGSASGSANKQAARAMATTASRQRQVTETVMAASQNNAARIRKLEEEVMFYRSFAAFLLGAYVAAKVMEKA >ORUFI03G18630.1 pep chromosome:OR_W1943:3:13357518:13359212:1 gene:ORUFI03G18630 transcript:ORUFI03G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEWWRLLDLLLLDLARQLKQRDDDDDDASNDEWRQPHQRVAHAVLGHLLQPPAAVAVRPQLLVVVLVVVVLVVVVVVMVVIVVAAAAFVVVVTAKTAAPAMAAAPSAASAAAATGGAVAAVARGVVPGYGGCDLRAAAAVARHERREVDHGGVATAPAVAVVAVAVAHTVVIPAAVVVVVTTTTVVVVIVVVIIVVVVVVVIIVVVVVVVIIVVVVVVVLVGGTRREDGARLAGDGELADAEGLGLGLRRDGREEGREEEEDGGDRRRLKRRRRHGRLAS >ORUFI03G18630.2 pep chromosome:OR_W1943:3:13356969:13357831:1 gene:ORUFI03G18630 transcript:ORUFI03G18630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRRPRAPCPRVGTPQYVSWARSGVYHPCRCAAASTGEEIKERSGALMQRRREGERAGAPPPSPPRWTPAPHRPAWYAPLRFHGDETTSSHARTRAAG >ORUFI03G18640.1 pep chromosome:OR_W1943:3:13358385:13359194:-1 gene:ORUFI03G18640 transcript:ORUFI03G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALQAAAIAAVLFLLPSLFAAVAAQSKAKAFCISQFAIASQACSILPPSPPDEHDDDDDDDDDDDDDDDDDDDDDDDDDDDHDDDDDHGGGGDDDDHGGGDHHRVRHRHRHHRDRGRRGHAAVVDLSALVAGNGSGSTQIAPAVAGNDTAGHRGNRTARGGRGGGRGRRRGHGRRGRLRGDDDDEGGGGDDDDHHDDDDDHEHDHDEHHDEELRAYRDCCRWLQEVSKDCVCDALMRLPPFLVKPQHTYVVRVGRTCKITYRCGGV >ORUFI03G18650.1 pep chromosome:OR_W1943:3:13361995:13362222:-1 gene:ORUFI03G18650 transcript:ORUFI03G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVGKDESVNRLKAVVQKLKDIRASSGRLMQAAGLTKPGSGESSSTLLTSDGPVITGSILEDAEVFGRDKGHEQ >ORUFI03G18660.1 pep chromosome:OR_W1943:3:13366037:13385333:-1 gene:ORUFI03G18660 transcript:ORUFI03G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARKKRAAAAAAAAAAAAAAAAAAAQWKVGDLVLAKMKGFPAWPAMISEPEQWGQTSVKKKILVYFYGTKQIAFCNYADLEAFTEEKRRSLLAKRQGKGSDFLRAVDEIIDVYDSLKEVKPGVEKLANNNSSLDTEGLVSSSNMGSDKKQEDHSIVASGHNTADSDEPSVTAVGSERCVVNSAPDDPTENVSILDEMRNIPLSTSSISKKLRDAQPQNCYTRSRVSSLRRSRSSLNTDTRKAQDSGKLSGGTCLASVDLAADGTKEGSSHHVYVEDVKGNSGSPSTQDDVWLHSSAGIDNQPGTPGTSNNNKKLNYTTKVDSTCDSETSQNGALETQFKSHDASSIPMKKSVIFKRKRKPSRNIFSHSTDKDDEFQAELSEKTADSPNPKTEVNKSDGDEHLPLVKRARVRMGRPQLEDSPVDGIDVTNNRPELAMLADLCNMHNTVALPGDDCSVDQSAVVNSVSLTGRVANTVSNQSSKLYMPGPSGEGQSAWKNKEYQPKVLTLDVEAALPPSKRLHRALEAMSANVAETNNLPEVTGSKQLIPNGFVASENSHSNKSADAVVTATNGSAIAESPRPSLCTESMHSPKCKTHSSESILQNNSVPASASVPSEAKDDSHVTEGNICEETHVDSKTTDCLLVSSEVGNDDCGKGLALSMKLNESALGGTQTVAVPDRLSSSLGTASVSEVAKPINFNEGPKPVDRPAYDTDRSVQRCDEPIYRPKLLSSNNNAISDSVLHNETVVAETVVNVADTASTSSLATKSSSIQSDADTRTFEVHTFSALALKELNHRNLKDKSTSPDSMPMKELIAVAQARRFSRSTSFPDNFLNAKYIPETVVDTPAFKEGSQKQLSPLNRIIRSTSTNDNIHSRSPFDSQQQKNLSKLTGHDEANAARKAFGSFLGTLTRTKENIARATRLAIECAKHGVAGEAIDIIVERLEKESNLYKRVDLFFLVDSITQYSRNQKGGAGDVYPSLIQAVLPRLLYAAAPPGNSAWENRRQCLKAGTFSSLIELVLKLWFERKTLPEYIIRHHIRELEVINEASFGSSRRPSRTERALNDPLRDNEGMLVDEYGSNAGFQLPNLISTKVLEDEDGSSSEDRSFEAVTPEHDAPCTDEKEESQMPAEKHHRILEEVDGELEMEDVAPPSEVEASTRCRPEQIDTKCRTSDRHTLGPPLPDDRPPSPPPLPSSPPPVPPPPPAPITQTGQLQRTLPMASDPVGPHPTRATNNIQTQQPNSVVERPGSMNPSVAQLQPPPFCNSGYGGHPNQMPPPPPIAPLNPPGPHGNFPAPPAPYHGNNYHQPPMASVPNEGYHMQPPPPPPPINQCPYRPPEPQQRPRPWSNNSSSYPERYRYDGHDRDHHRHDRRHHGHDRRHHFDDRGYHYDDRGYHYDDRGHYFDDRGHHFDDRGRSFDERAIRGPMHHEVADRGRFPFPPGPPGPDHFEASSAAPVHYGRPSDPPPGPCSGWSMPPRSSNYSPSRHSMEPPVPHVGGSMLLSAGHFEMLSLMLSDSSVWFLHMKTHQKGGR >ORUFI03G18670.1 pep chromosome:OR_W1943:3:13390958:13394626:1 gene:ORUFI03G18670 transcript:ORUFI03G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAESVPETSIHEFTVKDCNGKEVSLEMYKGKVLIVVNVASKCGFTETNYTQLTELYQKHRDKDFEILAFPCNQFLRQEPGSDQQIKDFACTRFKAEYPVFQKVRVNGPDAAPLYKFLKASKPGLFGSRIKWNFTKFLIDKNGKVINRYSTATSPLSFEKDILKALED >ORUFI03G18680.1 pep chromosome:OR_W1943:3:13395521:13399588:-1 gene:ORUFI03G18680 transcript:ORUFI03G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSASTLPPVGKSGFTKLCKGLAVVLFLGHIVIRLFPSAVTYLALIPSRTIPFAWNLVTSGYIEQTIPGVIVSIVGLLVLGKVLEPLWGAKELLKFIFLVNLSTSACVFVTAIILYYITQQEIYLYTPLSGFYGVLSGFLVGIKQILPDQEITLFLLNIKAKWIPSLVAFISVSLSFFMKDSVSYIPIILFGIYLSWIYLRYFQKRLEAGLKGDPSDEFSFSSFFPEFLRPVLDPIASVFHTLVCGRSERSEANDQTLDGLLPGSYSIEANRRRERGQRALEQRLAEKLAAVRSSEATLHHHHHHHHHHHQQDEDDASDKLEQRCAVLSGDSSKFAERIVDSFSCHSHELKIAM >ORUFI03G18690.1 pep chromosome:OR_W1943:3:13417714:13420300:1 gene:ORUFI03G18690 transcript:ORUFI03G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNLGNVTATNDMWKSHRGTVIRIEALALLAIALSFFLIALCSFRRRSNHWFVQKGVLATNVLSLSLSTYSIGLMQSSSVKSEVYPIWAVSMLTLFGCIDSITTYGHDYMGQLWKILYQLCLYSGYVLLISISAISSDVGYIAMGILSAITFIKGFHRSLALMLPSLQRDMIKMIAQVMAGEVISYSTKTDHLDQLNCPDLIGYHYVVHWPLDKSKAKFLPQSSPDNIITIDKILQCNEVHFLSDVCLSFSLSHLLQRRFYRFRCAESKHLVARKFFFEGLLMSRDAAIDYKRVFNVIEVELSFLYDIFFTSNAFLHYYESKSTTIWGLASVMGICFVGVAAAIHGRMSTHTRSPDGTIIVDTTAVDLIITLVILLSLALLQFLHLLHCWSSNWARVAFACDYIKKGKRLSRWMRLRRWILKRIDCDKSYLWQNKLGQYSLIESISTRECKLFSTLGGFLYQIYSRLLGILGLQYIEQVFREMWGIKTGDSVKLHDDVKAAIVDFLISSNCKLQNWPSSLNDDGWSGTSFLFLPDHVVTIMRFHIATCYCELVMHKEGFSVQDEDVEEIVKKNHGVATTLSKYCAYLMVSAPRLLHRHEIGTKSVYSQVAQAARISLYGAKDKLDAMRRLGKDDEPSEGARIFQEGVAFGKQLETMPKRWEVLANFWIKALVYAAPSDNVEEHIEHLAKGGEFITHLWALLSHAGILKWRGGSTNYDESPYKLSESTNDDSESEDEYDEPATDTDSTDVGGGSFED >ORUFI03G18700.1 pep chromosome:OR_W1943:3:13423545:13426396:-1 gene:ORUFI03G18700 transcript:ORUFI03G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEEELRHLMQILPLKYEGSTVLPKNRKGNTIVFKEWLLNRLPGSAGSYEFSTKLEEVAAGGGGSGDGEPLETEKTTGWGHLVATTLWRKRAGRWGGKGRRLAGEDLAGAVGVFRLAGEAGRPCGGAVVAQEHKLVVIFVRDGEYKVCRKAPCRWVNYTSIPNAKKNSKINKRGNPHLHIIYKNNSQIYASIDDENDESMASYRMEILGANPNGASYIWRSKQASMPTVNTSTATERSVIRDEEGYRSRGWVTREKTWGGQHHLPRVRLKGKPHTQRNFVMPLAQSAKGEPKGYW >ORUFI03G18710.1 pep chromosome:OR_W1943:3:13428976:13430493:1 gene:ORUFI03G18710 transcript:ORUFI03G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHFVFVPLMAQGHLIPAVDTALLLATHGAFCTVVATPATAARVRPTVDSARRSGLPVRLAEFPLDHAGAGLPEGVDNMDNVPSEFMARYFAAVARLREPVERHLLLRADEGGAPPPTCVVADFCHPWASELAAGLAVPRLTFFSMCAFCLLCQHNVERFGAYDGVADDNAPVVVPGLARRVEVTRAQAPGFFRDIPGWEKFADDLERARAESDGVVINTVLEMEPEYVAGYAEARGMKLWTVGPVALYHRSTATLAARGNTAAIGADECLRWLDGKEPGSVVYVSFGSIVHPEEKQAVELGLGLEASGHPFIWVVRSPDRHGEAALAFLRELEARVAPAGRGLLIWGWAPQALILSHRAAGAFVTHCGWNSTLEAATAGLPVVAWPHFTDQFLNAKMAVEVLGIGVGVGVEEPLVYQRVRKEIVVGRGTVVAAVRSAMDGGEEGEARRRRARALAAKARAAAREGGSSHANLLDLVERFRPRHVAASEAANGTTAPPPPPRQ >ORUFI03G18730.1 pep chromosome:OR_W1943:3:13440888:13447930:-1 gene:ORUFI03G18730 transcript:ORUFI03G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVNFIIRPPRAEYSPNDDLLEQEFMLKGRWFQRKDLEVVNGQGKKLQCSHYMPVVIPEGKALPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSEGEHVTLGWNEREDLKAVVNHLRTDGNISCIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKYPLPKFTVKLAIQHMRKVVKRKASFDIMELDTIQVAKRCFVPALFGHATEDDFILPHHSDKIYESYVGDKNIIKFDGDHNSPRPQFYFDSITIFFHNVLNPPDVPDDHYFLTPHGSLGQGHWDTEHDIEYRFAQSPTARTATTEDAIAQLRSRRLMSRMEVPTGATAEDRADRNEVMDSDNGPSSSSVSTATPPNGRNGRLLTPTSDDGEYVEYSFDSLSDMPYTEEDEDRMLMQAIMESLKDLELSNTKAAQSAASDAASKENKENGCNGATVTVLEPDASSTSARPTPTDAPGKDVTTCSTKAKSPEVQSASNHTTNNAASVNTSSSLESNASTHVTNGKSTSSESQKPTQNANGEDGTRATLVVQKSRTGSLMDGLTHKWGSLFKNND >ORUFI03G18740.1 pep chromosome:OR_W1943:3:13454726:13461742:-1 gene:ORUFI03G18740 transcript:ORUFI03G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPEPEPEPVVIHAWSAPRSLSTSLMYSFAQRDDMEVLDEPLYANFLRVTGVDRPYREELLSNMDPDGNKVISEVIFGPGKRKYRYCKHIAKQRLPNLSSDLMKKGKHFILIRNPLNILPSFDKVVPPSFFELGIAELVSIYSELCELGSPPPVIDADDLQRDPEAVLSGLCEDLGIPYQPQMLQWEAGPKDFDGIWAPWWYRSVHKSTGFSMPRRYPLTFPFALYDLLEQSLPFYNVLKRHVSKTIGSPQPTLPDPPLPVPENKKILVWVGDELLPRDSAKVSVFDSVVQGGDAVWEGLRIYDGKVFKLDEHLDRLFDSAKAMAFSNVPSRDWIKDAIFRTLNANGMFNNAHIRLTLTRGKKVTSGMSPAFNLYGCTLIEWKPPVYDNSHGIKLVTATTRRNSPNSIDSKIHHNNLINNILAKIEGNLAQAEDAIMLDKDGFVSETNATNIFMVKKGIVLTPHAEYCLPGITRATVMDLVVKESLVLHERRISLSEFHAADEVWTTGTMGEITPVVMIDGREIGDGKIGPVTRQIQNAYKVITAGSGVTIPRNADE >ORUFI03G18750.1 pep chromosome:OR_W1943:3:13463505:13463775:-1 gene:ORUFI03G18750 transcript:ORUFI03G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSQVKVEANPMNNRRFSLTLKKGDDLNGQQHLVIVEEEDLSPLGKYATLVDSNTNEEPRTSLLDGTRQSNL >ORUFI03G18760.1 pep chromosome:OR_W1943:3:13463987:13464536:-1 gene:ORUFI03G18760 transcript:ORUFI03G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATACGGLLHAATGEVRDPAGIGQPPHGSSGGGRPRMDPAASRLPPARDPALLSSPSFPPQIRRRGGTSAPVRSEGSADPVARASRRSLPTITREGAASSADNDEEYGSDVLGQRRGGGGGGGGGGRERWQLPPILGHLGACGPPTRT >ORUFI03G18770.1 pep chromosome:OR_W1943:3:13466263:13474250:1 gene:ORUFI03G18770 transcript:ORUFI03G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSMQQVTTAAAAAAAAATATATAGGECDCGGGGGVRQHCKTKHVTKSAKTKINEKDGEEFLVLRRTKATDGDEYLVVKRTRVKVGTEHRPTKRVRDIDVEQWVHGTNVVSSTKNEVLWYLEDATGRLHVVEAHKATGFTLNRESSVFEENKQLCSRCQVCGQEGSVKVVGLERTERVLPTGTTFTVVGEAYKDRGTVLIKRPRELGRFYVSRRGIDQIISDLKEASTGKDATAAIFAFCGGVLLAFHALL >ORUFI03G18780.1 pep chromosome:OR_W1943:3:13476847:13477890:1 gene:ORUFI03G18780 transcript:ORUFI03G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCGSAIAAVAALLVLLGGHAAARIRVEHSGMVIRRPSSSIPSFREAPAFRNGEECGGGGRVDVAMTLDANYLRGTMAGVLSILQHTACPESVSFHFLAAGMDADLAAAVRATFPYLDLRVYRFDPSRVRGRISRSIRHALDQPLNYARIYLADTLPPDVRRVIYLDSDVVVVDDIRALASVDLGGHVVGAPEYCHANFTNYFTDAFWSDPALNGTFAGRRPCYFNTGVMVMDVGKWRAGGYTRRVERWMEVQKQTRIYHLGSLPPFLLVLAGDIQAVDHRWNQHGLGGDNVKGRCRGLHPGPISLLHWSGKGKPWIRLDARRPCAVDYLWAPYDLFRPSSPVLEE >ORUFI03G18790.1 pep chromosome:OR_W1943:3:13481348:13487926:1 gene:ORUFI03G18790 transcript:ORUFI03G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRMASRLRPSTPEEVVRSIKDSFQALHTKNGARALEEVEKNLSSLRQMLSGDGEAEPNQEQVLQITLEICKEDVLSLFVQNLPSLGWGVRKDLVHCWCILLRQKFDESYCCVKYIENHLELLDFLVGCYKNLDVALNCGNMLRECIKYPTLTKYILDSSSFELFFEYVELPNFDIASDALNTFKDLLTKHETVVAEFLSSHYEQFFELYTRLLTSPNYVTRRQSVKFLSEFLLEAPNARIMKRYITEVRFLNIMITLLKVFVANPNKPRSIIEALIENRRELLKLLQNLPTSKGEDELDEERNLIIQGIQKLACSSA >ORUFI03G18800.1 pep chromosome:OR_W1943:3:13488610:13488933:-1 gene:ORUFI03G18800 transcript:ORUFI03G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVIRSLGDVKALLRTHGDRIGLTVSIRCLWRIRHARRMRALLRQQMKIDGMHWEVEGIEHLNAMVRVHRVIATAAPLLDQIISPSRHASSTPGRSCAACTSAAPP >ORUFI03G18810.1 pep chromosome:OR_W1943:3:13489333:13492272:1 gene:ORUFI03G18810 transcript:ORUFI03G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRKKRKAPITSRPSNVEKVAFPRHATRRDARGIGARPPTPTLLPVYHARRIRVPDDETTTTAAATRPSRHPNGNRFSPRIPAACTAPRAGRLNVPSSNPGEPAATSAHRPWFGSSAFAGGAQRGEGARSLRQNPWMRRRRRRRETGRGAYWPRAEAERHLFPPPPPPPPVRVVVFPSGGVAWRGAPRFVASPSTAPAPSPRDPASGATRPSPARTPSTRRGFHLGVCT >ORUFI03G18820.1 pep chromosome:OR_W1943:3:13499862:13501706:-1 gene:ORUFI03G18820 transcript:ORUFI03G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQLLSHMAGEIDNLPTNLHERLPTTASRCSPSVFAGAVRVAEEEDMAIKKCRGAPEIQGRREEGGSVMTQQKPVQAVAVRVRSAVKRRHAE >ORUFI03G18830.1 pep chromosome:OR_W1943:3:13502255:13502869:-1 gene:ORUFI03G18830 transcript:ORUFI03G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEKESAAAAGEKPPSFCDRLQRAFHARPAFRPLRRLGVRHGQDDDGGGGAPGSTVDMQPATTTTHGGGPPRPVLPPAAGHAPAPVVLPPAVVKPAAKPAGGNAPAPVVLPSAPAARPPPPSRRHGHAHASTTGSAPAAEKVAATTTRPPPGIPVPVPPPAAAAAADVTTAAADAKEGGGDKEQQGKGKTRVSSRVRKAFSSK >ORUFI03G18840.1 pep chromosome:OR_W1943:3:13507156:13510057:-1 gene:ORUFI03G18840 transcript:ORUFI03G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGLARRWAVELHDASSSSSSHSSIPDPPGFTRSAPDADDAAGARQRKDSETAWKAQKAWEVAQAPFKNLMMMGFMMWMAGSTVHLFSIGITFSALWQPISALRSVGKVFEPFKDPRVDTLAPKLVFIALNLAAMGLGVWKLNTLGLLPTNASDWVFSLAPAREVEYAGGGIPLH >ORUFI03G18850.1 pep chromosome:OR_W1943:3:13512450:13513355:1 gene:ORUFI03G18850 transcript:ORUFI03G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVLLRHARLPRPTAPTARRSRRLRVVAVALRTRPTSLAVPGFPPAPAPAPEHVLLPSPSVAAGAAEVLLAAGVPPADLRRAAGMCPELLSVPVGTITAALRFLTDEAGVPAEDLPRVLRRRPRLLVSPVAARLRPTLYFLRALGVPDLPRRADLLSFSVEDKLLPRIEFLESLGLPSRAARSMARRFPALFYYGIDGNMRPKAEYLLGDMARDADDIFEFPEYFSYALATRIAPRHEACAARGVRMPLPAMLRPGDDKFRATLAGCVGSTPPRRRSPLWHAYWVDDAGEVEEIGAASQP >ORUFI03G18860.1 pep chromosome:OR_W1943:3:13516788:13520681:1 gene:ORUFI03G18860 transcript:ORUFI03G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDSSGKQRSDEEWRAVLSPEQFRILRLKGTELPGTGEYNKFYGDGVYNCAGCGTPLYKSTTKFDSGCGWPAFFEGLPGAINRTPDPDGRRVEITCAACGGHLGHVFKGEGFKTPTDERHCVNSVSIKFTPAS >ORUFI03G18870.1 pep chromosome:OR_W1943:3:13522013:13525646:1 gene:ORUFI03G18870 transcript:ORUFI03G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVRRAFRCLLDGLRSLPPRRRRAGAGGGAARRSSKAAPRVVVIRRFSGKLDRRRASASGGPPTPPAAAAAAPVTIRVATFNAAMFSMAPAVPSPPDHDDYDGDGEGCSTWRALASSSSGSRLARRPKKGILKAQSSSSAPPPPPSPDVELLLRRRHVSISLPDDDDEIAPHHRRNSSSSSSSASARLVTRPAAATSGSGRWRSVFGAVWEHQNHYQQRRQKQKQKQEREQATARHRSSSGAARRRSVAEALREAGADMVALQNVRAEEGRGMRPLSELAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWNARRLADHFDFRNVMRATIEVPGAGEVNLYCTHLDHLDEGLRMKQVDSILRFADGHHHILAGGLNALDASDYSADRWAAIAKYHEEIGKPPPKSEVMRHLKAKRYVDAKDFAGGRDAGLVVVPNGQDVQGTCKYGTRVDYILASPNSPYSFVPGSYAVVPSMGTSDHHIVMVDVAVAAHDGGGGGGAARRRRRRRVVKVTNKSSARGIFAD >ORUFI03G18880.1 pep chromosome:OR_W1943:3:13534496:13554814:1 gene:ORUFI03G18880 transcript:ORUFI03G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKAAAANQPLEMNAVVNGGAGFDPSMWRDFFVVEERMRARAERLAGEVRRRMFAAGGGNGDGEGGVTMSVAEAATLVDTLERLGLDGHFRHEIGVLLGRLRREEADFAGSDNDDPHTVALRFRLLRQHGVWVSADVFDKFRDGTGSFSSSLRDDPRGLLSLYNAAHMAAPGEIALDDIIVLARCHLEAMSKKGKLKSSLAEQVSRTLDIPLPRFPRRLETMSYLTEYEQEDEHDDMLLELARLEFELARSLHLEELKLSPYRLVESYFWTCGVFHEEEYSRARIMFAKVFGLLSLIDDTYDVHATLEECYKLNEAIQRWDEGAISILPEFLCMFYIKLLSNFDELEASLEPHEKFRVSYAKNAFKLSSEYYLREAKWSNTKDGYTFGGDIKGLVVSLFLDPVAGVEERMRARAKRLAGEVRRKMFGGGGEGCVTMSVAEAATLVDTLERLGLDGHFRQEIGVLLGHLQHCEEVGFDGSDNDDLHTVALRFRLLRQHGRLWVSADVFDKFRDGTGSFSSSLCADPRGLLSLYNAAHMAAPGEIALDDIIVLARCHLEAMSKKGKLKSSLAEQVSRALDIPLPRFPRRLETMSYLTEYEQEDEHDDMLLELARLEFELARSLHLDELKALSLWWRELYESVKLSYARDRLVESYFWTCGVFHEEEYSRARIMFAKVFGLLSLMDDTYDVHATLEECYKLNEAIQRWDEGAISILPEYLCMFYIKLLSNFDELEASLEPHEKFRVTXPAARLVVNLTRTLEVIYLGGRDGYTFGGDIKGLVVSLFLDPVAKRARLDADESAGALMAAAAQQQRLSRAVGCGAASTSGRAGNAAGLSQGLLSQLYIIRVSRSTRSYDSRCGLVGDYDRAASGCVRGSRKLAGVTYVRWCPAVERTAAGRLRAAEAELERARCRNMEVEERLGQMTAGGQAWLSVAKSHCAGLRATLDQLLQSPYSAFAGAAGGADESDAEDAQSCCYETLGGRTRRHAAGAREARIRAREFKLSSEYYLREAKWSNTKYTPSFAEHLEVSVMSSGFPMLAPVVLMGVHDDAGVATAAAFEWATAAVPDVVIAASGEVARFLNDIASHRVGKNEKDAPSSVECYMAEHGVGEEAALAAVAAMAEHGWRTINRAFMEMDPGLLPAARLVVNLTRTLE >ORUFI03G18890.1 pep chromosome:OR_W1943:3:13558227:13558960:1 gene:ORUFI03G18890 transcript:ORUFI03G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLRHPRAVADDAAADHXCAHPPPPPSGTSTSALPSTRASVSSTRPVPRASSYRLQRFSRHAMHELFRCVFARNRRGGEDTLLVPCSFWVTWITATAIQCYEVTIIPTSLQNRASPETENVFNDAFWESLDAVVNAFV >ORUFI03G18900.1 pep chromosome:OR_W1943:3:13558292:13560661:-1 gene:ORUFI03G18900 transcript:ORUFI03G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASTALASADIAQARSASLAAAAGLRNQGEEEGKDGNQQND >ORUFI03G18900.2 pep chromosome:OR_W1943:3:13558292:13560661:-1 gene:ORUFI03G18900 transcript:ORUFI03G18900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPVLVGAVAATPPCRSRRQHHSPEPPKGEEGENQWVKNDRGNKNMCSSLLHELALGTGLVDDTDARVDGSADVLVPEGGGGGCAQXWSAAASSATARGWRRLRRMTASTALASADIAQARSASLAAAAGLRNQGEEEGKDGNQQND >ORUFI03G18900.3 pep chromosome:OR_W1943:3:13558292:13560661:-1 gene:ORUFI03G18900 transcript:ORUFI03G18900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPVLVGAVAATPPCRSRRQHHSPEPPKGHQERIFSSSPIAGKDTSEELVHGVPRESLQPELALGTGLVDDTDARVDGSADVLVPEGGGGGCAQXWSAAASSATARGWRRLRRMTASTALASADIAQARSASLAAAAGLRNQGEEEGKDGNQQND >ORUFI03G18910.1 pep chromosome:OR_W1943:3:13560125:13560613:1 gene:ORUFI03G18910 transcript:ORUFI03G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPAAPARRGGGDGSDEYGRRRHLGGEPRRLARRPAFPPLSFRGGGLMLRLDEEKAQEHGAWSRRASSASWSEPRWLVRRPAFPTWESSRKEASGSNRPGGMGWQKREGGDPGGGRRARPHLGDAGAEVGEEAAHRGVASEAGRFWGFLLRRRGEDTGANM >ORUFI03G18920.1 pep chromosome:OR_W1943:3:13562259:13564929:-1 gene:ORUFI03G18920 transcript:ORUFI03G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERVDQLKRQVRCKLSKATSMAYTVMLLDVLERLHIDDHFRNEITAALQHVLLLHHEEHDDSVAAVDLLHLESLRFRLLRQHGLWVSADVFDKFKDSTGCFRESLSMDARGLLSLYNAAHLAMPGEEAALDDAIAFSRRSLESLQGKLGSPMAEQVSRALDIPLPRTPKLLETMRYITEYEQEEAHDGVVLELARLDFKLIRSLYLNELKTLSWWWRQLYDSVKLRYARDRLVESYFWSCAIFHGEKYSRSRIIFTKLLNDFDAMVDSLEPDEKYRMSYAKTTFKQMSEYYLREAQWSSDKYVPSFAEHLDISLMSSGFPAMAPVLLLGVRDRGGAAAATKEAFEWATSVPIPALVRAGGELARFLNDTASYRIGKSGGDMASTVECYMAERGVGGGEAVAAVAALAERAWRTINGECAVVGTMDAALLPAARLMVNLARTVEVIYLGGRDGYTVGGDLKGLVSNLFLDPLPLY >ORUFI03G18930.1 pep chromosome:OR_W1943:3:13582016:13587886:1 gene:ORUFI03G18930 transcript:ORUFI03G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEENGDGGSSGRGTRRRRQRKRNTAAAAAAVEENEHSGGEKQPALRSEECRRERAEALKGQVRRKLLKATSRISSVAEMVVLVDTLERLGIDNHFRHEIAAMLHRVHSEEHGGGGGAAGSDVADDDLHFRDNGGSFRASLSSDTRGLLSLYNAAHLAMPGEEVLDDAIAFSRRHLRSMKTAGKLRSPMAEQVSRALDIPLPRTPRRLEAMRYIHEYGDEPGFDGVVLELARLDFELVKSLHLRELKALTLWWKDFYDNVKLSYTRDRIAEVFFWVSGVYYEEKYSRARIMLAKVFGLITLMDDTYDVQDTLDECCRFNETIQRWDNGAVSLLPEYMHAYYIKLLSNFDEMENSLEPNEKHRVSYAITMYKQLSEYYLQEARWSSHRYLPSFAEHLYVSSISSGIPALAPAVLMGVHDGDGVATKEALEWACAIPDLLLASGEVGRLLNDIAAWKVGKNRKDVQSLVETYMTEHGAGGDAAVAAVAAASERAWRRINRACVEAVEPALLPAAQLLVNLTSTMEVVYLGGKDGYTSGSGLKGVITDLLLGPVHDD >ORUFI03G18940.1 pep chromosome:OR_W1943:3:13589112:13592706:-1 gene:ORUFI03G18940 transcript:ORUFI03G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRSTRRTSPALTVATGSPCHRRLLSRCLPPTPPLSPLANDASSPVPHRRLLSRAANAILCTPRLKTTMTSSGAMAAMESRRLGAEGQSRRRRVGGQRGRPRAPLPAPPRSNSPVATDASPCALANLHQRERERDLGRREEKWGTKCKYVATLDRHRSEEWMRERVDRLKMQVGCKILKTINVPYTVMLVDVLERLHIDNHFRDEIATALQHVFHHDEQQKAAAGFDDGDQLYLESLRFRLLRQHGFWVSADVFDKFKDSTGCFRESLSTDARGLLSLYNAAHLAMPGEAALDDAIAFSRRSLQSLQGALRSPMAKQVSRALDIPLPRAPKLLETMHYITEYEQEAAHDGMVLELARLDFELVRSLYLKELKALSLWWRQLYDSVQLSYARDCLVESYFWTCAMFHGEDYSRARIIFAKVFQLMTMTDDIYDIHATLEECYKFNEAVQRWDKSAVSILPEYLRNFYIRILNDFDEMEDSLEPDEKHRMSYVKSSFKQQSEYYLREAQWSSDKHMPSFAEHLDVSFMSIGYPTMAVVVLLCARDGDGAAASMEASEWAPSLVRAGGEVTRFLNDIASYKTGKSGKDAASTIECYMAERGVGGEEAVAAVAALVESAWRTINRACVEMDPNLLPAARLLVNLATTPEVIYFGGRDGYTVGADLKGLVTALFLDPLRV >ORUFI03G18950.1 pep chromosome:OR_W1943:3:13608480:13613621:1 gene:ORUFI03G18950 transcript:ORUFI03G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLVDTLERLGIDNHFRHEIAAMLHRVHREQQGCTAGSDDDDDLHITSLHKGSFRASLSSDTRGLLSLYNAAHMAMPGEEALDEAIAFARHHLRSIQGKLRSPMAEQVSRALDIPLPRAPRRLETVRYIAEYEHEPAFDGVALELAKLDFELVRSLHLRELKALTLWWKDMYNSVKLSYARNRIVETYFWTCGIYHEEEYSRARIIFTKVFGLMSLMNDTYDAHATLEECHKLNKAIQRWDKSAVSILPEYLHVFYIKLLNNFYELEDCLEPTEKYRMSYAKTGYRQLSEYYLREAQWSSDKYMPSFAEHLDLSAMSSGFPQLAPVVLLGVRDGDGAATAEAFGWAAAVPALVRASAELARFLNDTASYKTGKSGRDMASTVECYMAERGVGGEEAVAAVAAMAESAWRTINRECVEMDRALLPAARLVVNLTRMLEVIYLGGRDGYTAGADIKDLLLVNLTSTMEVVYLGGKDGYTFGSGLKGVIADLLVDPVHED >ORUFI03G18960.1 pep chromosome:OR_W1943:3:13614011:13616653:-1 gene:ORUFI03G18960 transcript:ORUFI03G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVASPSCSTRARRRHRSLAVLLHSYTSSSSQSRPPPAIVAEPPLPEVRRSSPPDPATQVSSPADPVARRELSPPSSSISSPPCQAQTLSLAPLHVRNEVKEEVVAQADMYGKKSSMHYGKEACWDQSDISAVSSDKHNVFAFWDPYEG >ORUFI03G18960.2 pep chromosome:OR_W1943:3:13614006:13616653:-1 gene:ORUFI03G18960 transcript:ORUFI03G18960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVASPSCSTRARRRHRSLAVLLHSYTSSSSQSRPPPAIVAEPPLPEVRRSSPPDPATQVSSPADPVARRELSPPSSSISSPPCQAQTLSLAPLHVRNEVKEEVVAQADMYGKKSSMHYGKEACWDQGSLRRLK >ORUFI03G18960.3 pep chromosome:OR_W1943:3:13614011:13616653:-1 gene:ORUFI03G18960 transcript:ORUFI03G18960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCVASPSCSTRARRRHRSLAVLLHSYTSSSSQSRPPPAIVAEPPLPEVRRSSPPDPATQVSSPADPVARRELSPPSSSISSPPCQAQTLSLAPLHVRNEVKEEVVAQADMAEPSIEFCPCCYGCMQDSVDVLTVKDGCNSARFKWDPYEG >ORUFI03G18970.1 pep chromosome:OR_W1943:3:13619887:13624046:-1 gene:ORUFI03G18970 transcript:ORUFI03G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTVMLVDVLERLHIDDHFRDEITTALQHVLLHHEEQKSVVTVDQLHLESLRFRLLRQHGFWVSADVFDKFKDNTGCFKESLSTDARGLLSLYNAAHLAMPGETALDNAIAFSRRSLQSLQGKLRSPMAEQVSRALDIPLPRTPKLLETMRYITEYEQEEAHDSVVLDLARLDFELIRSLYLKELKTLSLWWTELYDSIKLSYARDRIVESYFWTCAMFHGEDYSRARIIFVKVFQLVIMMDDTYDIHATLEECHKFNEAMQRWDKSAVCILPEYLRLFYIKMLNNFDEMKDSLEPDEKYRMSYVKTSLKQLSEYFLREAQWSSDKHMPSFAEHLDVSCMSSGYPTMALVAVLLCVRDGDGVAASMEASEWTPSLVRAGGEVTRFLNDVASYKTGKSGKDGASTIECYMAERGVGGEEAVAAVAALVESAWRTINRACVEMDPNLLPAARLLVNLATTPEVIXPEVIYFGGRDGYTVGADLKGLVTALFLDPLPVNQPQQS >ORUFI03G18980.1 pep chromosome:OR_W1943:3:13639282:13642491:1 gene:ORUFI03G18980 transcript:ORUFI03G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRKGVAGESNDDDGSTKRGRDATLSIQVEIAEYHGGGGGGGGGGLGNGGGGSASFFEPWREATPGSGSGHGSSGRGGGGREPPEKRLTLFALRLAVLEKAASGLGMLDFMWATVVLLGGFASALRITDFWCVTVILVGEGARVFGRSHELEWQHHSTLTSTAGSALRSSSRLFRRLVHALADPAAATVTGGARGQDARDRAAQFQRQIVAFMKQRAWHAPDVSLLPYTGWVFVSKKIGRLLNWLQVLSAFTCVALSVMRLWRHDFGDEPNKRPALLLFYTLALIEALLFLLEKAYWTWKISVRKLLEQVSRECELGAYGHVSLTRFFYDAYSRCINGSIFDGIKMDLVTFAEELILSEFLDEQLIGVRILQQFADAKGSTRDTLRKVGTSARSIERLVEMLNWKRPEEEEVRWCAAQVVSKLAGKRQNALRVSAIPGAIESVMSLLYAAWSAPASAAPHDVSPAARRSYDHPQFNLLGLLILKRLARDHDNCGKIGNTRGLLAKIIEFTHASPALLRNTLAPESQVRAVSLALKVVKILVSTTGSTGKMLRREVAENVFTVSSLRVVLQHGQQHRALQKLATDILSGLAMDDKGKQVIVGTGGVVKQLLSIFVDAETELGGEAGEALAMLALESEASCAAILKRDDVLDHLVSALEGDGGARRLNAARVLRNLCAYAGEKHRPRLSAVIKAMPVVLKATMTGSDKILEVSVGLTTQICKFIDGDRFADELRGAGIDERAYVERLASILREHRYPVIRVPRMRRFVVQQVIWLMTSSCSAAAGGGGGGGADYVSLLREAGMERLLESIADTTSELECYHVFSGSVGISKHRESFSAAVDAALELLGGDGARAE >ORUFI03G18990.1 pep chromosome:OR_W1943:3:13664120:13666761:1 gene:ORUFI03G18990 transcript:ORUFI03G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRKGGNAAATEPDDDATMKEGHDVPPSIKVDIGECHGGGIGNGDGGSASLFEPRPEETPVSRRNDRGGGGGGGRESPEKRLTLFALRLAVLEKAASGLGKLDFVWATVVLLGGFASTLSTTDFWCVTVILVGSGARVFGRSHELEWQHRSTLTSSTAGGSLRSTSRRLLRRLGHSPAANTTDDGGARGSASATQFHRQIVACTKRRALHGPDVALLPYTGRLFVCKNIGRLFSWLQVLSALACVALSVMRLWRHDFGDQPNKRPALLLFYTLAFIEALVFLLEQAYWTWMFSGQNLLETVNGDCKLGECGQVSLPRFFFDAYSRCITGSILDGINMDLVTFAEELILSEFPDEQLIGVRILQRFTSTLDTVRKVGTSARSIERLVEMINWKSPEEEAVRLWAAEVLSKLADKRQNALRVSGIPGAIESVMSLLYTDESAPDSAAPHDVSPAARSYDHQQFKLLGLLILKRIARDHDNCGKIGNTRGLLSKIIELTDASPELLHNTRAPESPVRIVRRALKVVKILVSATSSTGKMLRQEVADNVFTVSNLRGVLQHGQQHTALQKLATEILSRLAMDAKGKQVIVGTGGVVKLLLSIFVNGEKELGAEAGEALAMLALESQASCAAILKQDDVLDHLMSALEGDGGPRRLNAARVLRNLCAYAGEKHRRRLSTVTKAMPMVLKATMTGSERTLEVSVGLTVQICKFIDGVRFAGELRGAGIDERSYVERLASILREHRYPDITVPRMRRFVVQQAIWLMTSSSAAAAAAGGGADYVSLLREAGMERLLESIADTTSELECYHAFSGSVGISKHRESFSAAVDAALELLGGDGARAEA >ORUFI03G19000.1 pep chromosome:OR_W1943:3:13667785:13670445:1 gene:ORUFI03G19000 transcript:ORUFI03G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGAGGASGGGGESQPPKTLVDWALEILGTADPDEKARLGDLAATEWLRGAIPLPYDPSRPARPPPDRPARSAAVRLLPPSRAPKLGKGGSAQSRLALLHSLAHTESWAVDLSWDIVARFGAPLRMPREFFDDFARVAQDEGRHFAVLSARLRELGSHYGALPAHDGLWDSATRTSHSLLARLAVEHCVHEARGLDVLPTTISRFRAGGDEQTAKLLEDVIYPEEITHCAAGVRWFRYLCLRSRNGDPTASSIPQTITQCSELPRDGTGDIHKVEEVEGDGPKAELAQASNGDDKTVQQVEDELAKCKLVDIGDDVEAAVIRTFHSVVREYFRGPLKPPFNTEARKAAGFEPAWYEPLAVKEVEGQAVE >ORUFI03G19010.1 pep chromosome:OR_W1943:3:13671019:13673729:1 gene:ORUFI03G19010 transcript:ORUFI03G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLVEAFLEEERGGGFVEGEEDVRRTSIPAERMLLLRAARASPAMAAVVRCKPTRLTPIAGLSSSSGGGRRKKAGRRGEAKPPPPPPPQLRGGETKKKKKPDARTAAEAAQGLQRHEVERRKKPPPPPPPPKQEKAKRVVRWKCAAGCGACCKLDKGPDFPSPEEIFAEHPEDLKLYKSMIGADGWCINYDKSTRTCNIYEGWNRRFSRSTSACQADPARSTGKLAGLLLLSCLVYRWVNSEDINGL >ORUFI03G19020.1 pep chromosome:OR_W1943:3:13674049:13679465:-1 gene:ORUFI03G19020 transcript:ORUFI03G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAFPLSTAAPPSPPLVLRRSAAAAYSPSSPFELYRNHRRPARRVHCAASASAAARRRDACCALRPPAAARGGAAAAQGQAGAAPHGGAGEGSLWMVFLATAVAVCGSFEFGTCVGYSAPAQAGIVNDFGLSNSEYGVFGSVLTIGAMIGALTSGRLADSLGRKTTMGLAAIIGIVGWFTIYFANVPVFISEIAPKDLRGGLASSNQLFICSGCSAAYIIGALLSWRSLVLVGLVPCAFLLVGLLFIPESPRWLVSASGTFLGCFLTGLSFYFKVYYAAYSVGMGPVPWVIMSEVGKRNTVKLILYSYYAPVFLEIFSIEIKAIAGSLVTLVSWIGSFAISYSFNFLMDWNSAGTFFLFSAASLVTVLFVARLVPETKGKALEEIQESFT >ORUFI03G19030.1 pep chromosome:OR_W1943:3:13681915:13686268:-1 gene:ORUFI03G19030 transcript:ORUFI03G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRAAEDVEGAVVAGAAGGGGGGGGGEVTAPLLLRQHKQGRGDEEKIQNDAGGDGGGRRGGGGGGSMSMLMLSTAVAVCGSFEFGTCVGYSAPTQSGIVDEVGLSISQFALFGSVLTIGAMIGAVTSGRLADFLGRKMTMRISATICIFGWLSLHLAKGVIMLYFGRILLGLSTGILSYVVPVFIAEIAPKNLRGGLATSNQLLICSGSSATYIIGALVAWRNLVLVGIVPCVLLLTGLLFIPESPRWLANVGREKEFHASLQMLRGEDADVSEEAVEIKEYIESLHRFPKARVQDLFLRKNIYAVTVGVGLMIFQQLGGINGVGFYASSIFTSAGFSGKLGTIYIGIIQIPITLFGAILMDKSGRRVLLMVSASGTFLGCFLTGISFYLKAQGLFSEWVPELALTGILVYIGAYSIGMGPVPWVVMSEIFSIDMKAIGGSLVTLVSWLGSFAISYSFSFLMDWSSAGTFFMFSAASLITILFVVMVVPETKGRTLEEIQDSLIDSRSRLRDP >ORUFI03G19040.1 pep chromosome:OR_W1943:3:13690562:13692256:-1 gene:ORUFI03G19040 transcript:ORUFI03G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPVRHSVQRLCSTSTSAAAAAADANNPPPATFLAAAATAAANILGAARYESRLLSLLPGDLLFHPACVRLTLSHLLPSPDPSLRFLRFLSSHLPAAPDAAPAEPEHEHEHEPPLLPGVDGFLIQLWPPDAADAAEVLASRLGIHPSLRALNFAMRSALRAARPDLVFRLFSAFSSSPDFPGDAATVAFLVRACSAEGRPLDGLRLLRDGARRGVPPQLDAVADLVAAFSAAANFGKVSETLHLMIAAGSVPDTVIYQRIIHGLFAHKMGSEALRVFNEIKLRGYNVDAVTYTTAIDGLCKMGCIDEARQIWNEMVDKGMEPNEYAYCSLVAYYCKAGDFEMARKVYDEMLGKGLKESTVSCNILVTGFCTHGRVDEALGMFEEMVKKGIEHDVITYNILIQGLCKAGRLSEAIQVYEQLLSSGLEPSVSTFTPLIDTMCEEGQVDAAVELLKVMHAKGLEPLARINDSIINGFCKARRPEDGMAWLAGMLKKNLKPREHTFNSLVELLSSSGRVDDALLVLNTMFKIGHELGSLACTMLVEQLCTGKLCYSHELENILVANK >ORUFI03G19050.1 pep chromosome:OR_W1943:3:13692429:13697178:1 gene:ORUFI03G19050 transcript:ORUFI03G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRSRSRSYSPQPRRRYSRSPPRYKRYDDPRDRYPRGGGGGGGGGEGPRRGYGRPPAPTGLLVRNISLTARPEDIRIPFEQFGPVKDVYLPRNFHTRELRGFGFVKFRYPEDAAVAKQELNHQVIGGREISIVFAEENRKTPQEMRMRTRTSGRYMDGSHRRRSVSRSPRSRYHSYSPSPSPARRDYRDHRDDYSPGESLSPHGQDKRHHRSNGRSASPDELERHVSPSNNGHGPPVDGKS >ORUFI03G19060.1 pep chromosome:OR_W1943:3:13699665:13704360:1 gene:ORUFI03G19060 transcript:ORUFI03G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPWLLVAHGSVTALVVVSFLCGQWPIFEGTFVQRINHFLTFGAYHHLLRLVHAACGNGARDLVLGVESYCCDRPNPILQIFYVAIIGVTYFIIVQTSFQYIPGYYVSGLHRYLSIVAVAIGALLFVLTSFSDPGTVTAENVSQYLSAYPYDGIIFEEKECSTCKIARPARAKHCRICDKCVARFDHHCGWMNNCIGEKNTRYFVAFLVWHFLICLYGAVILGFILAGELKERKVVYILTVYYGIDNSFSGLFPHVAQWLLAVHNTQILLAVFLAIIALLLGGFCAYHTHLCLTNTTTNETFKWQDYIMWRKKVNEEKAAANGEVRKSPPSKWKAFFSRSHTEADETIVKNNIYDRGMIRNMCEVFVPLSERQSFSRKKSD >ORUFI03G19070.1 pep chromosome:OR_W1943:3:13704752:13708207:-1 gene:ORUFI03G19070 transcript:ORUFI03G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVRSSPVLGPRELSSRFGIVTELSFSNPSVYALKSPKGPLFPLRSILVFLIALFGFYVCYFSFNQIDLENKENLISGEEQIRTLCRRHTIPNELMQYVHFPKPTSYSRGECACTPVRFFVIISMQRSGSGWFETLLNSHPNVSSNGEIFSIRERREDISSILRTLDKLYNLDWHTSAAKNECTAAFGLKWMLNQGIMEHYHDIVNYLNKKGVMVIFLFRRNTLRRIISVLANDYDRKTKQLNGTHKAHVHSREEADILARFKPKLDVPTLIPNIRSAEQSITTCLDHFSSTRHMILYYEDVIRDQNALSRVQEFLRVPAMRLSSRHVKIHTSPLPDLVDNWEEVSEKLNGTEYARFVDGADYDK >ORUFI03G19080.1 pep chromosome:OR_W1943:3:13712321:13715552:-1 gene:ORUFI03G19080 transcript:ORUFI03G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVWPIGLGSRALHGPAPHHDRPPAPQSIASPRPPPSTTPVSSPAAGADLHPASRGGAGVLLLSPPHHRSPAMYIRVKRNKTTYFIQCDPTETTLSIKQKLHSLVDQPPGNQQLILLATTEVVLDDSKTLADQKVENDAVVALTLRKAIMKGEPGNRVNEDIT >ORUFI03G19090.1 pep chromosome:OR_W1943:3:13721488:13722140:-1 gene:ORUFI03G19090 transcript:ORUFI03G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLPILVALSGHLLRVIVAELAIQATQTPRQHEHRKNNHRRRGEPDLEPDTGVDRVRRWSPWPDLEAGQPNLATPEPEPRRAPPPCRRRDLAVPPPSSPHPRRGEMEPREMAPPPPSQRVAQLCRRRATATAKQGGGRRRGGGG >ORUFI03G19100.1 pep chromosome:OR_W1943:3:13722924:13725421:1 gene:ORUFI03G19100 transcript:ORUFI03G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRQWRPMLASATKCCAAEDAVVAVDGSGGGGGLARCRPARSEFSRRLASFRKLSSMTNSPASSVAGAAEGGKDDGEEGGGGGGGVSGPLQLYSFSFSELRSITHDFSSSYLLGEGGFGAVHKGFVDAGMRPGLLPQPVAVKQLDIAGLQGHREWLLKSMSSMADMACTVQAEVIFLGQFRHPHLVKLLGYCCEDEERLLVYEFMPRGSLENHLFKSTHLAIASSGHISVSAPPPPPPPAQTDRFDPCPYCAGISATVPWGTRLKIAIGAAKGLAFLHGASTPVIYRDFKASNILLDSEFTAKLSDFGLAKMGPEGSETHVTTRVMGTHGYAAPEYVMTGHLNIKSDVYSYGVVLLELLTGRRAMEHVRGRSLHADQVVKIVDWTRPYLGSSRRLRCIMDPRLAGHYSVKAARAVAHLAVQCTSPQPRDRPRMAAVVDALERLQGFKDMAVTVGLWPTNAPVAGRNAISAKIRAEVRGAGSGGGAASRRRSASAKLP >ORUFI03G19110.1 pep chromosome:OR_W1943:3:13729792:13736826:-1 gene:ORUFI03G19110 transcript:ORUFI03G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAVTLDYGGKVQTRDYGGKAQTETLRPGPLRPANIIRAKFPTYKNGLNGIVVKLADGPEMPSLKETVTKETADMLDHRQRLSVRELAMKFEKGLNTATLLSNEVKWRQVALLERDILLKNLKTVLESLRGRVTGKTKAELEDSISMVDILAVQLSKREAELLQQKAEVTELAKSLKLASEDAKKIVDEERASAHTEIESARSSVQRVQQALQEHEKMSRTTGKQDMEELKKEVREARRIKMLHYPSKAMDLENEIKILRKTFVERSTDCVNLLKELELHKRPEGNDIPLFDLEGLQCLGSILRIVSQSSTTMDFSNISIQWFRVHPKESNKEIISGATRSVYAPEPHDVGRYLEAEINYGGEIAIAKTAGPIDPDAGLVDYVETLVRKRETEFNVVVLQLNGIDQPKESVHVLNIGKLRMRLSKGKTVVAKEFYSSSMQLCGVRGGGEAASQAMFWRPRNDLSMVLAFETTRERNTAIMLTRRFAIDCNIILAGPGDKTPW >ORUFI03G19120.1 pep chromosome:OR_W1943:3:13747758:13748930:1 gene:ORUFI03G19120 transcript:ORUFI03G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHVSLLPKWRSFVILLFRLSFFAPPFPLPLLSTKELLCRHGDDRAAPKINLEYYALLTARSRASGQHQSHGHLLRLINKVIRNWEDKAQRVLLNLLLQRALSMVMKGQRDGEPSCSSPDCVQVSCLIPVVSRLIHRYQTRYPVSCLVSGLVPGIWVSWLGVRPGIRRYQAWYLARLVPAKYQLSTLPLLFSLSLGSISFLAVVTSIVAYDT >ORUFI03G19130.1 pep chromosome:OR_W1943:3:13749655:13753141:1 gene:ORUFI03G19130 transcript:ORUFI03G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPTPSPSLDYWRGFFSGARASIFDAIDAAIRVAAADHPDALRARRDGIAERLYTALVVLPPPPPAARAPGPPGGRRRRGGGDAGGPAAVVTDDDDGAAAAVAPRGDCHDRVAAEAFRVKAALSNAQEKTEAELLELLRALQQLEFTVDAIRVTEIGTAVKPLRKHGSKQIRQLVRSLIDGWKAVVNDWVNNGGAIVDHTPQSMDGSCLEQEEGGLPSPPMDEAAFFATPCTSIQLSEFFDEMDDDGNIRTNGEESAQQHYPANQEPAKKQLPMGQRYDPEQNWKLDQSAMRQSQPYEPSNWQKKQQSVTGARQRPSAAAHGPWTPQKMHLEPKFSEMRPKQQPDTSVAQRRPKPTMADQLSSQVDQNSVQVNAKLEATKRMLQEGYQEFNNAKKQRTIQVVDPQDLPKQRNRNLQPSCKPRNSSSNSLRNRLGIRR >ORUFI03G19130.2 pep chromosome:OR_W1943:3:13749655:13753141:1 gene:ORUFI03G19130 transcript:ORUFI03G19130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPTPSPSLDYWRGFFSGARASIFDAIDAAIRVAAADHPDALRARRDGIAERLYTALVVLPPPPPAARAPGPPGGRRRRGGGDAGGPAAVVTDDDDGAAAAVAPRGDCHDRVAAEAFRVKAALSNAQEKTEAELLELLRALQQLEFTVDAIRVTEIGTAVKPLRKHGSKQIRQLVRSLIDGWKAVVNDWVNNGGAIVDHTPQSMDGSCLEQEEGGLPSPPMDEAAFFATPYIRTNGEESAQQHYPANQEPAKKQLPMGQRYDPEQNWKLDQSAMRQSQPYEPSNWQKKQQSVTGARQRPSAAAHGPWTPQKMHLEPKFSEMRPKQQPDTSVAQRRPKPTMADQLSSQVDQNSVQVNAKLEATKRMLQEGYQEFNNAKKQRTIQVVDPQDLPKQRNRNLQPSCKPRNSSSNSLRNRLGIRR >ORUFI03G19130.3 pep chromosome:OR_W1943:3:13749655:13753170:1 gene:ORUFI03G19130 transcript:ORUFI03G19130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPTPSPSLDYWRGFFSGARASIFDAIDAAIRVAAADHPDALRARRDGIAERLYTALVVLPPPPPAARAPGPPGGRRRRGGGDAGGPAAVVTDDDDGAAAAVAPRGDCHDRVAAEAFRVKAALSNAQEKTEAELLELLRALQQLEFTVDAIRVTEIGTAVKPLRKHGSKQIRQLVRSLIDGWKAVVNDWVNNGGAIVDHTPQSMDGSCLEQEEGGLPSPPMDEAAFFATPCTSIQLSEFFDEMDDDGNIRTNGEESAQQHYPANQEPAKKQLPMGQRYDPEQNWKLDQSAMRQSQPYEPSNWQKKQQSVTGARQRPSAAAHGPWTPQKMHLEPKFSEMRPKQQPDTSVAQRRPKPTMADQLSSQVDQNSVQVNAKLEATKRMLQEGYQEFNNGKVDALVLDGLKQISRSPMCKME >ORUFI03G19130.4 pep chromosome:OR_W1943:3:13749655:13753170:1 gene:ORUFI03G19130 transcript:ORUFI03G19130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPTPSPSLDYWRGFFSGARASIFDAIDAAIRVAAADHPDALRARRDGIAERLYTALVVLPPPPPAARAPGPPGGRRRRGGGDAGGPAAVVTDDDDGAAAAVAPRGDCHDRVAAEAFRVKAALSNAQEKTEAELLELLRALQQLEFTVDAIRVTEIGTAVKPLRKHGSKQIRQLVRSLIDGWKAVVNDWVNNGGAIVDHTPQSMDGSCLEQEEGGLPSPPMDEAAFFATPYIRTNGEESAQQHYPANQEPAKKQLPMGQRYDPEQNWKLDQSAMRQSQPYEPSNWQKKQQSVTGARQRPSAAAHGPWTPQKMHLEPKFSEMRPKQQPDTSVAQRRPKPTMADQLSSQVDQNSVQVNAKLEATKRMLQEGYQEFNNGKVDALVLDGLKQISRSPMCKME >ORUFI03G19140.1 pep chromosome:OR_W1943:3:13791640:13805373:1 gene:ORUFI03G19140 transcript:ORUFI03G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGKREAAYAPLRREAACGIGMEVACTGSSDVAVLAPRLRGSGGGAWRGQPLWRQILMDECNPSLDILDGESIPHGVQTSPVAMEEDVPLMVGSHDSGCGTSVTRYEEGTEKRYWEGTRSKGKRSTPHWHQKIYGVRLGSPMGEKRQPRPFSLSSPMTTTMLLLFLLLCTNSATADVAGSNGGCGGFKRMFSFGDSITDAGNLATISPPDASFNRLPYGETFFGHPTGRFCDGRLIVDFLADDLGLPFLTPFLRAKSPEDFRQGANFAVAGATALSQDFFKQMGLNLTIIPPFSLDVQLEWFKSVGGNDYNHPFFQNRSFTNEIKPLVPKVIAKIENAIKVLIDLGAKTIVVPGNFPIACVPSYLTMFQSKSSPQDYDAFGCIKWLNDFSVYHNRALKRMLHQIRRDPTVTVLYGDYYNTALEITHHPAVHGFKKETVLVACCGDGGPYNSNSLFSCGGPSTNLCTNPSTYISWDGVHLTEAAYKFVAHHIINKQ >ORUFI03G19140.2 pep chromosome:OR_W1943:3:13779604:13805375:1 gene:ORUFI03G19140 transcript:ORUFI03G19140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVGLGLPFLPPFLRGKTAEDFWHGANFAVGGATALSRDFFKEKGFDVTNIPPYSLDVQMEWFKGLLDSLATTDKERMEIMSKSLFLMEEIGGNDYGYLFTQNRSFTKEIKPLVPKVTAKIENAIKVLINLGAKTIVVPGVFPVGCLPHYLAMFQSKSAPEDYDAFGCIMWLNDFSEYRNCALKRMLQQIPRNPTVTILYGDYSNNILEIIRHLVIHGFKRETMLVPCFMNGNLCPDPSIYISWDELHLTEAAYKFVAHHFLHDPFVESSSISLSSPTMIPVLFLLCAHSATAAANSGGGGHLATGAGGDDGFSCFTRMFSFGDSITDTGNSATISPNASFNRLPYGETFFGRPTGRYSDGRLIVDFLAELGLPFLTPFLRGRETVAAEDFRHGANFAVGGATALRREFFEEMGLDLTNIPPYSLDVQVGGNDYNHPFFQNRSFTNEIKPLVPKVIAKIENAIKVLIDLGAKTIVVPGNFPIACVPSYLTMFQSKSSPQDYDAFGCIKWLNDFSVYHNRALKRMLHQIRRDPTVTVLYGDYYNTALEITHHPAVHGFKKETVLVACCGDGGPYNSNSLFSCGGPSTNLCTNPSTYISWDGVHLTEAAYKFVAHHIINKQ >ORUFI03G19140.3 pep chromosome:OR_W1943:3:13779604:13792034:1 gene:ORUFI03G19140 transcript:ORUFI03G19140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVGLGLPFLPPFLRGKTAEDFWHGANFAVGGATALSRDFFKEKGFDVTNIPPYSLDVQMEWFKGLLDSLATTDKERMEIMSKSLFLMEEIGGNDYGYLFTQNRSFTKEIKPLVPKVTAKIENAIKVLINLGAKTIVVPGVFPVGCLPHYLAMFQSKSAPEDYDAFGCIMWLNDFSEYRNCALKRMLQQIPRNPTVTILYGDYSNNILEIIRHLVIHGFKRETMLVPCFMNGNLCPDPSIYISWDELHLTEAAYKFVAHHFLHDPFVESSSISLSSPTMIPVLFLLCAHSATAAANSGGGGHLATGAGGDDGFSCFTRMFSFGDSITDTGNSATISPNASFNRLPYGETFFGRPTGRYSDGRLIVDFLAELGLPFLTPFLRGRETVAAEDFRHGANFAVGGATALRREFFEEMGLDLTNIPPYSLDVQVEWFKSVLHSLASADKERKKIMSKSIFIMGEIGGNDYNQPFFQNQSFINEIKPLVPKVISKIENAIKVLIDLGAKTIIVPGNFPIGCVPGYLGIFPNKLSPKDYDVFGCIKWLNDFSKYHNHALKRMMHRIPHDPTITILYVDYYNTALEITRHPAIHDPVGGEAVVRR >ORUFI03G19140.4 pep chromosome:OR_W1943:3:13805319:13808738:1 gene:ORUFI03G19140 transcript:ORUFI03G19140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFPSAIMIPLLPLLLLLLLVCTRGAVANANNQPPPAGGGGGGYCYTRMFSFGDSITDTGNQVSFFPTAPAARPPYGETFFGHPTGRYSDGRLVVDFLAEALGLPYLTAYLRGKTAEDFRRGANFAVSAATALRLDFFRERGLDLTIIPPYSLDVQLEWFKGVLHSLASTDQERKDITTRSLFLMGEIGINDYNHHFFQNRSFTAEIKPLVPLVILKIENATKVLIDLGAKTILVPGIPPMGCIPRFLNLLPSKNHNDYDKLGCLKWLNDFSQYHNRALKQMLQRIHHDPTVTLIYADYYGAMLKIVRSPQNNGFTKESVLRACCGVGGAYNADSLVCNGNATTSNLCTEPSRYISWDGLHLTEAAYHYIARGVLHGPYTEPAIPTRCTA >ORUFI03G19150.1 pep chromosome:OR_W1943:3:13809511:13812092:-1 gene:ORUFI03G19150 transcript:ORUFI03G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLIPSMNRVLVEKLLQPNKSAGGILLPETTKQLNSAKVVAVGPGERDRDGKLIPVSLKEGDTVLLPEYGGTEVKLAEKEEHDILGRLEE >ORUFI03G19160.1 pep chromosome:OR_W1943:3:13813470:13813802:-1 gene:ORUFI03G19160 transcript:ORUFI03G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLLRRGLISAAFLLTLVLLFLLQLGIISTTVGCCSCCSFCGDEQQKQQQQQHGVGDLRPGRRLLIGHHQHQVVLAKGSMELKHAEEGGDVLDEEKREVLTGPNPLHNR >ORUFI03G19170.1 pep chromosome:OR_W1943:3:13827472:13834320:1 gene:ORUFI03G19170 transcript:ORUFI03G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKSAAVLEPTVEEEEEGATRPGTPKQHKFPFYLPSPLPASSYKGSPANSSVASTPARGGFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPESGEPGVALDKGFGFSRHFAAKYELGREVGRGHFGYTCAATCKKGELKGDDVAVKVIPKAKMTTAIAIEDVRREVRILSSLAGHSNLVQFYDAYEDEENVYIVMELCKGGELLDRILARGGKYSEEDAKVVMRQILSVASFCHLQGVVHRDLKPENFLFSSKDENSAMKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIVYILLCGSRPFWARTESGIFRAVLKADPSFEEAPWPTLSAEAKDFVRRLLNKDYRKRMTAAQALCHPWIRGTEEVKLPLDMIIYRLMRAYISSSSLRRAALRALAKTLTTDQIYYLREQFELIGPNKSDLITLQNLKTALMKNSTNAMKDSRVVDFVNTISNIQYRKLDFEEFSAAAISVYQMEGLETWEQHARQAYEFFDKEGNRPIVIDELASELGLGPSVPLHVVLQDWIRHPDGKLSFLGFMKLLHGVSSRTIPKT >ORUFI03G19170.2 pep chromosome:OR_W1943:3:13826806:13834322:1 gene:ORUFI03G19170 transcript:ORUFI03G19170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKSAAVLEPTVEEEEEGATRVAEAAAAPAKPASPAPSAAAAAAAPAKPGTPKQHKFPFYLPSPLPASSYKGSPANSSVASTPARGGFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPESGEPGVALDKGFGFSRHFAAKYELGREVGRGHFGYTCAATCKKGELKGDDVAVKVIPKAKMTTAIAIEDVRREVRILSSLAGHSNLVQFYDAYEDEENVYIVMELCKGGELLDRILARGGKYSEEDAKVVMRQILSVASFCHLQGVVHRDLKPENFLFSSKDENSAMKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIVYILLCGSRPFWARTESGIFRAVLKADPSFEEAPWPTLSAEAKDFVRRLLNKDYRKRMTAAQALCHPWIRGTEEVKLPLDMIIYRLMRAYISSSSLRRAALRALAKTLTTDQIYYLREQFELIGPNKSDLITLQNLKTALMKNSTNAMKDSRVVDFVNTISNIQYRKLDFEEFSAAAISVYQMEGLETWEQHARQAYEFFDKEGNRPIVIDELASELGLGPSVPLHVVLQDWIRHPDGKLSFLGFMKLLHGVSSRTIPKT >ORUFI03G19180.1 pep chromosome:OR_W1943:3:13835032:13836533:-1 gene:ORUFI03G19180 transcript:ORUFI03G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKKANNNSNKRQRKSTTTTTTSVVGPDNGGLPPRRSSRIAERKTARHRHDGEQPATSRCSQLSGEMPDEMVLELLARLPVKSLLRLRAVSRPWRAAICAPSFVAAHLRRSAARHRWEPTLLIAPQLLDDAERDIIWLTNFSDTIRLYQWRRRRNGQTEEEQVGAGASASLIFRRSFHGEFRSVFQLSHCNGLMLVPTDTTSYVVNPATRSAIALPESRRRRRRRALPQATGFGHDPHTGTYKVARCFVRSGDGVVPESTTTGMELCTIGGNGGVSVGSCWREIVADTPYPVLVWHTATFFRGALFFTTCHDTAARPPQESRLLRLCLRDETFSVVAPPPPCRPPFLHEAFHLSELNGVLCLAHAAGAGDEGTSTSSSVVIWMTEDGVSPRWSKRCVFTSTSMFIPIALFHHGGGGGGGVIGKRGDLLFFLGDDDDGEDESRIGEGKEEEKQVVCLNGMTYHDDEQGRHTVVVGSSWENLHYYKLIPYTESLVPI >ORUFI03G19190.1 pep chromosome:OR_W1943:3:13846299:13851341:1 gene:ORUFI03G19190 transcript:ORUFI03G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPRIAGAGSGGGRKPRMPPLPQARTLLTAFTAAAALAVLCLLSSSSSSSSRTSSLRGSWGSAAAKGGKKYLYWGGRVDCPGKHCASCAGLGHQESSLRCALEEALFLGRWEENSCSMDSLYDIDLISKIVPVILDNSKTWHEIMSRSMKSDGGMAHVQGIGRAELKDNPLYSKALVINRTASPLAWFMECKDRKSRSSVMLSYTFLPSMPAKKLRDAANKMKQILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEFIKKRIAKWIPEGRTLFIASNERTPGFFSPLSDKYKLAYSSNFSSILEPVIENNYQLFMVERLMMRGAKTFVKTMKEFDNDLTLCDDPKKNTKVWQIPV >ORUFI03G19190.2 pep chromosome:OR_W1943:3:13846299:13850747:1 gene:ORUFI03G19190 transcript:ORUFI03G19190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPRIAGAGSGGGRKPRMPPLPQARTLLTAFTAAAALAVLCLLSSSSSSSSRTSSLRGSWGSAAAKGGKKYLYWGGRVDCPGKHCASCAGLGHQESSLRCALEEALFLGRWEENSCSMDSLYDIDLISKIVPVILDNSKTWHEIMSRSMKSDGGMAHVQGIGRAELKDNPLYSKALVINRTASPLAWFMECKDRKSRSSVMLSYTFLPSMPAKKLRDAANKMKQILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEFIKKRIAKWIPEGRTLFIASNERTPGFFSPLSDKYKLAYSSNFSSILEPVIENNYQLFMVERLMMRGAKTFVKTMKEFDNDLTLCDDPKKNTKVWQIPV >ORUFI03G19200.1 pep chromosome:OR_W1943:3:13852594:13869111:-1 gene:ORUFI03G19200 transcript:ORUFI03G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLHLVHVKLLAADLLSLASRHTSPPSFARCGRTVTRAEIVGVVVSRDRREKFLRFLVDDGTGCVPCVLWLNHHYLNAASSSSRASDSDPTAEMALRMSEVVSLGTLLRVRGRIVLYRGAIQIAVRDVVLEKDPNVEDQAELGEEKIWATALSASKNWARYGKSLGPNEGTRGIYQYTLDLSFFPDCIARIYARGQKIRSENSSGLHDGIHIWTEARSMAFLVERCGGEMVVSMERSHGRSTTTAAAVTAAPAPFLSKTWWTTPAPPSTDDVVSWGEDEATFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLSEIHRRKSSSCSQPQPPPPFPMHQHYPLSLFSPPTTPRSPPVGAAAAAAYHFQEEYCSSPADYAGGGGDLLAALSEDNRQLRRRNSLLLSELAHMRKLYNDIIYFLQNHVEPVAPPLLAAATSCRLGGLGPARRRPNAAVAPPRRPVTTTTTRRCGCSASGWTTTMARRGGCSWCRKTKETSREARGSLAS >ORUFI03G19200.2 pep chromosome:OR_W1943:3:13858969:13869111:-1 gene:ORUFI03G19200 transcript:ORUFI03G19200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLHLVHVKLLAADLLSLASRHTSPPSFARCGRTVTRAEIVGVVVSRDRREKFLRFLVDDGTGCVPCVLWLNHHYLNAASSSSRASDSDPTAEMALRMSEVVSLGTLLRVRGRIVLYRGAIQIAVRDVVLEKDPNVEDQAELGEEKIWATALSASKNWARYGKSLGPNEGTRGIYQYTLDLSFFPDCIARIYARGQKIRSENSSGLHDGIHIWTEVLALKL >ORUFI03G19200.3 pep chromosome:OR_W1943:3:13858940:13869111:-1 gene:ORUFI03G19200 transcript:ORUFI03G19200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLHLVHVKLLAADLLSLASRHTSPPSFARCGRTVTRAEIVGVVVSRDRREKFLRFLVDDGTGCVPCVLWLNHHYLNAASSSSRASDSDPTAEMALRMSEVVSLGTLLRVRGRIVLYRGAIQIAVRDVVLEKDPNVEDQAELGEEKIWATALSASKVQIEAAKINLEKGMGNPLDQTKEARKLDQRTVQDCMMGSTYGFGPQAIADQLYGNNF >ORUFI03G19210.1 pep chromosome:OR_W1943:3:13869080:13880812:1 gene:ORUFI03G19210 transcript:ORUFI03G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHADADAGDLPPPPAKKKKSPTEEEAEKRRKKLTPGSLMKGLIRSGGGDATPAEGDQVIVHCTTRTIDGIIVNSTRREHGGKGVPLRFVLGKSKMILGFAEGFPTMLMGEIAMVVLRKYLSFSHSIFSQFKMKPQIHYAEEDCPVTAPDGFPKDDELQFEIEMLDFFKAKIVAEDLGVVKKIIDEGKGWETPREPYEVTARITARTGDGKELLPSKEEPYFFTIGKSEVRDMLGDGRLIKRRVVDGKGEFPMDCPLHDSLLRVHYKGMLLDEPKSIFYDTRVDNHGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRLPANVPEGAHVQWEIELLGFEMPKDWTGFTFQEIMDDAEKIKTTGNRLFKEGKFELAKAKYEKVLREYNHVHPQDDDEGKIFANSRSSLHLNVAACYQKMGEYRKSIDTCNKVLEANPVHVKALYRRGMSYMLLGDFDDAKKDFEKMIAVDKSSEPDATAALNKLKQTIQETEKKARKQFKGLFDKKPGEISEVGVGEPEGNKTDTTGSGEAASTADRDTDAKEAIPRADSGLAFEEERPGLLARIWPSASRIFSSLGLNRCTIL >ORUFI03G19220.1 pep chromosome:OR_W1943:3:13891121:13897621:1 gene:ORUFI03G19220 transcript:ORUFI03G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELAALCTDPVVLSAAFLCLLLHLSLRSYRPPSPGGGRRLPPGPPGLPVLGALPLVGPAPHAGLASLARKYGPVMYLKMGTCGVVVASSPCAARSFLKALDARFANRPAVASAVDITYNYQNMVFANYGARWKLMRKLASVHLLGARALADWAAVRRDEARRLLRGVAEASAAGRPVVIPEVLVCALANIVGQITVSKRVFDVQGDESNSYKDMIVSLLTGAGLFNISDFVPALAWLDLQGVQAKLRRIHDQFDVLITKLLADHAATAADRARAGRTDFVDRLRAAVGVDDEDGETISEVNIKGLIFDMFTAGTDTSSIIVEWAMAEMMKNPAVMARAQEEMDRVVGRGRRLEESDIASLPYLQAVCKEAMRLHPSTPLSLPHFSFDECDVDGYRIPANTRLLINIYAIGRDPSAWEDPLEFRPERFMPGGAAERVDPLGNYFELIPFGAGRRICAGKLAGMVFVQYFLGTLLHSFDWRLPDGEDKVDMSETFGLALPKAVPLRALVTPRLAPAAYA >ORUFI03G19230.1 pep chromosome:OR_W1943:3:13903430:13904773:1 gene:ORUFI03G19230 transcript:ORUFI03G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAPPPSSGAAGEEMATAEALPDDLLDEILLRLPARSILRCRAVCKAWRSRTSHPYFLRAHAARSRIIAAAVVDTTVIPDGEVCTTISIRSFGDGDGYRSGAAVSSSSSFASVRFDSRPFVLGSWDGVVCLVPRPTAGFVRPRNPIDRYVLVNPLTKACTSVPPPATRGIVICGYAHPTTSRYHLLHADSFFSYDGTARATIQILRVGEKNNVWRKIARHPAPAGVVESRTYIRLGGAPPVSLHGCLHWLVAPSSARPLLSVFDMEREEFRQMDTPEQWARHGNLPHMMSVQIARRSGKLCAFVHEPSASALGMWMLEDYSDPSSWRLERRIDYSRHGAGSRNVARTFRNKFSAATTAVEVLPDGVNGGGGEEEIMFQFLINQFDMREAVYNVGRGAWRWRRILPPTRRVMTHKECMLPREVSFGGSAHFVEESDIGGHRCFCLW >ORUFI03G19240.1 pep chromosome:OR_W1943:3:13907911:13909805:-1 gene:ORUFI03G19240 transcript:ORUFI03G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDRERRRGRRAPGEALPDDLVEEILLRLPAPSIGRCRAVCKAWLSRTSQPDFLRAHAARSCTATVTAAATVETRTTAPRGRSCTTVCVRQLGRKCSGAVASLAVSFVSASEPGRSMTVVIGFWDGILCAAHILFGPGRGVERYVLCNPLTEACTIVPAPSTDGFLVGGYAHPTTSRFHIMHANFFTTMETFWILRLGENSVWREVRRPALATMRVCIKFLHAPPVRLHGCLHWLASSASSAQFLVAVFNMEREEFRLMEAPGGQGVRFGSHSHTMMGMHITHCHGKLCALADEPGANALGMWVLDDYSDPTSWRLQRKIDYYYSCGAGGAGAALDDDPHAAAAQTFRARFSTADVVEVLPNGVDDDDEGEEILLQLGDEEVVYNVGRAAWRRWGILPLTTRRLMMHRQCILPREVD >ORUFI03G19250.1 pep chromosome:OR_W1943:3:13910493:13910783:-1 gene:ORUFI03G19250 transcript:ORUFI03G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEFRLMETPEQWAAACRPTSSAARVVRRIHCTSPDIAASCAPSPTSSWRPAPPTRSGVWVLEDYSDPRSSWRLRWKIDYSCGAAGVLGGPDGA >ORUFI03G19260.1 pep chromosome:OR_W1943:3:13913905:13915246:1 gene:ORUFI03G19260 transcript:ORUFI03G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDDRERRRGRRAPGEALPDDLVEEILLRLPAPSIGRCHAVCKAWLSRTSQPDFLRAHAARSCTATVVTAAATVETRTTTPCGRSCTTVRIRRLGRKCSGAVAASLAVSFVSASEPVRSMTAVIGLWDGILCAAHILFGPGRGVERYVLCNPLTEACTIVPAPATDGFLVGGYAHPTTSRFHIMHANFFTTMETFWILRLGENSVWREVRRPALATTRTARLSSLAGLVIIGAVSRGRLQQFNMEREEFRLMEAPGGQGVRDGNLSHSHTMMGIHITHCHGKLCALADEPGANALGLWVLEDYSDPTSWRLQRKIDYYYSCGSAAGAALDDDPHAAAAQTFRARFSTADVVEVLPNGVDDDDEGEEILLQLGDEEVVYNVGRAAWRRRGILPLTTRCLMMHRQCILPREVSFGDASQVPWEKDIGGHCFYRIY >ORUFI03G19270.1 pep chromosome:OR_W1943:3:13917864:13919213:-1 gene:ORUFI03G19270 transcript:ORUFI03G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAAPPSSGAAGEEMATAGALPDDLLDEILLRMPARSILRCRAVCKAWRSRTSHPYFLRAHAARSRIIAAAVVDTTAIPDGEFRTTISIRPLGDGDGDRSGAAVSSSSSFASVRFGSRPFVLGSWDGVVCLVPAGVVRPLSRIDRYVLVNPLTKACTSVPPPATRGIVICGYAHPTTSRYHLLHADDAYPYNHMAAATIRILRVGEKNNVWRKIARHPAPACVESRTYIRFGGAPPVSLHGCLHWLVAPLSAASARPLLSVFDMEREEFRQMDAPEQWARHGNLPHMMGVQIARLSGKLCAFVNEPSASALGMWTLEDYSDPSSWRMERSIDYSRHGAGSRNIARTFRNRFSAATTAVEVLPDGVSGNGDVGGGGEEEIMFLFNQFDTREAVYNVGRAAWRWRRILPPPTRRVMAHKECMLPREVSFGGAAHFVEESDIGGHRCICI >ORUFI03G19280.1 pep chromosome:OR_W1943:3:13919408:13922740:1 gene:ORUFI03G19280 transcript:ORUFI03G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSRPAQVRTRSSPRPLRPLRSRISTSLAIRRRRRRYPCRRRSPLAVAGMEGEEEENVGPFRRTSARTRRMATRMASALASSDNRAQAALARLEALESDNAGPEVVDLNDDDEYGSADEEDHVLMQRKQSKNMKRKTRQGKALEKKAARSFMDVLQEANLESLPPHVPTYSRAAVGPPSTSSRRHYCSVCGSTANYTCVRCGTRFCSCRCQVIHNDTRCLKFVA >ORUFI03G19280.2 pep chromosome:OR_W1943:3:13919408:13922950:1 gene:ORUFI03G19280 transcript:ORUFI03G19280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSRPAQVRTRSSPRPLRPLRSRISTSLAIRRRRRRYPCRRRSPLAVAGMEGEEEENVGPFRRTSARTRRMATRMASALASSDNRAQAALARLEALESDNAGPEVVDLNDDDEYGSADEEDHVLMQRKQSKNMKRKTRQGKALEKKAARSFMDVLQEANLESLPPHVPTYSRAAVGPPSTSSRRHYCSVCGSTANYTCVRCGTRFCSCRCQVIHNDTRCLKFVA >ORUFI03G19290.1 pep chromosome:OR_W1943:3:13927002:13930622:1 gene:ORUFI03G19290 transcript:ORUFI03G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSGVTVHVTGFKKFHGVAENPTEKIVRNLESFMEKRGLPKGLTLGSCTVLETAGQGGLGPLYEVFESAIVDKEYGLNDQGQVILLHFGVNSGTTRFALENQAINEATFRCPDELGWKPQRAPIVSSDGSISNLRKTTVPVNEVNKSLQQMGFDVAPSDDAGRFVCNYVYYQSLRFAEQRGIKSLFVHFPLFTTISEEVQMNFVATLLEVLASQNYAQ >ORUFI03G19290.2 pep chromosome:OR_W1943:3:13926859:13930622:1 gene:ORUFI03G19290 transcript:ORUFI03G19290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSGVTVHVTGFKKFHGVAENPTEKIVRNLESFMEKRGLPKGLTLGSCTVLETAGQGGLGPLYEVFESAIVDKEYGLNDQGQVILLHFGVNSGTTRFALENQAINEATFRCPDELGWKPQRAPIVSSDGSISNLRKTTVPVNEVNKSLQQMGFDVAPSDDAGRFVCNYVYYQSLRFAEQRGIKSLFVHFPLFTTISEEVQMNFVATLLEVLASQNYAQ >ORUFI03G19300.1 pep chromosome:OR_W1943:3:13930570:13932382:-1 gene:ORUFI03G19300 transcript:ORUFI03G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGMKLAVAVACALALASACHGLQLGYYKQSCPRVEAIVRDEVKKFVYKDAGIGAGLIRLVFHDCFVEN >ORUFI03G19310.1 pep chromosome:OR_W1943:3:13941827:13942360:1 gene:ORUFI03G19310 transcript:ORUFI03G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGMEQEAAGQPPASAAFPSSAEAAAAAASPTSGGKRIVLRIRLPPAWMPEEDACLARLAAENGFRHWRRVAEGMAAARSGRRPRRSPGQCRDRWRDHLARDVYHRPFTADDDAELARLLLKRDGDGERSWKDISRAAYCRTSRGMRRRWGELRDSDAFLRKLWCPPPPPMQPSC >ORUFI03G19320.1 pep chromosome:OR_W1943:3:13943050:13943941:-1 gene:ORUFI03G19320 transcript:ORUFI03G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPARTKTCEDEEGRGTAAGLRPRARAWEEDLLGGVGTGQIRAAQPDLAVDQPTAGLSGVAAMSGGGGSGVEALAAAKETTGSAPGRRLAGRWPAAASGGRDGDDGKGSEGMASVRSSARRSGGRDGGGDEFTATAARATTAGRLCRVSSELDDGDKVREDGEMAAGMEGQQWLWLWRWRWRGDGVGEANLATPVADPALEESGGRDDGGDEFAATVARATMVGGLRRVLSKLDDGKKGREDGEMAAGMEGQQRLWWWRPSQRRLARGVADFRIRPAQ >ORUFI03G19330.1 pep chromosome:OR_W1943:3:13949251:13951145:1 gene:ORUFI03G19330 transcript:ORUFI03G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTIKLAVAVTCTLLLAAACSGLEVGYYKKSCPRVETIVREEVKKFVYKNAGIGAGLIRLLFHDCFVEKWCG >ORUFI03G19340.1 pep chromosome:OR_W1943:3:13961572:13961979:-1 gene:ORUFI03G19340 transcript:ORUFI03G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRVPILIPSHGWRARPCTSTRSTRRPIIIELGIEACCNCFLPICVVHDAVTRPIQLEASAGAVHPLSSRRDATFFRLY >ORUFI03G19350.1 pep chromosome:OR_W1943:3:13961888:13962103:1 gene:ORUFI03G19350 transcript:ORUFI03G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKSQWMDVDVQGRARQPCEGMRIGTRGRMAGMAACDKAGREGKCEEAMRGERGGGGGGDWRREGGAVQG >ORUFI03G19360.1 pep chromosome:OR_W1943:3:13964233:13968909:1 gene:ORUFI03G19360 transcript:ORUFI03G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSLPLVTCALLLLLAVACQAHPYWPLELAYYRDKCPQAEAVVKAVVGEAVRQNPGNGAAVIRMLFHDCFVEP >ORUFI03G19370.1 pep chromosome:OR_W1943:3:13977495:13979443:1 gene:ORUFI03G19370 transcript:ORUFI03G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNKLAATVVVVTFAVLMAAVTTTCQAAYGPPNPASCGLKVGYYYAKCPHAEEIVKNVVGAAILHNPGVGAGLIRMLFHDCFVEGQH >ORUFI03G19380.1 pep chromosome:OR_W1943:3:13988569:13989514:1 gene:ORUFI03G19380 transcript:ORUFI03G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQLLGLFLVLAIMVAVVWGDPSSGCDQDRQDMIRECKKYEGWPAEPKIEPSEACCAVWQRANIPCLCAGVTKEKEKVWCMEKVVYVAKFCKKPFQPGYQCGSYTVPSSLGQ >ORUFI03G19390.1 pep chromosome:OR_W1943:3:13991946:13998011:1 gene:ORUFI03G19390 transcript:ORUFI03G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLAALLVSFAMLMAAAAGFYTPPSPSTCGLKVGYYHDKCPHAEAIVRGAVGAAILRDPGVHGGVYVVSSLAMASLPPSLSQCPAGNTTDFNYNPYLTHAIMA >ORUFI03G19400.1 pep chromosome:OR_W1943:3:14001804:14004505:1 gene:ORUFI03G19400 transcript:ORUFI03G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAALLVSLAMLMAAVTAARVERAGAGFYTPPSPSTCGLKIGYYHDKCPHSEAIVKGVVAAALHRDPGVGAGLIRMLFHDCFVEFTDIELWSERGRGSGSGNRCFLAVVANAKKATTKPEIRVIVPCRRSVVGNAGMVITNGSLSLSFRRCRCRHVALRPIDREAGNGMPPFVGVTPKCGVVVYRGVILVHHARTAVAAAATAPV >ORUFI03G19410.1 pep chromosome:OR_W1943:3:14004851:14005165:-1 gene:ORUFI03G19410 transcript:ORUFI03G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLITKPYRVEPSRAELGTSQKKQNCGKNNEKAVKRKAPSPDSGSNEGNTSAEEQNKNSTGVKKGGKERKGKKKKKKKKKKGNNPP >ORUFI03G19420.1 pep chromosome:OR_W1943:3:14009431:14010978:1 gene:ORUFI03G19420 transcript:ORUFI03G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRNNADSHRCAPRAPGYLRTAAALAAVVQSLLDEPRPRPRPGSQTLHAQLLESGLRPTDDLSVKLLLLHLRCGSHHNARAVFDGMPAPTHAAHNYLAAGYSRLGLPEEALGIVRRLARCTGRLNVFVLSMALKLSAALALPRAVREVHARVVRSVVESDDVLFAALVDAYVKNASLRYARRVFDVMPVRTVVSSTALIVGCMNEGLYEDAEEIFNTMDEKDVVVYNAMVEGYSKTEETAESSMEVFKSMHRARFRPTVSTFVSVLGACSLLSSPEIGEQVHCQVIKSSLSSDIKAGSALLDMYSKCGRVDDGRRIFDRMAERNVITWTSMIDGYGKNGLSDEALQLFEQMRRRRRHDDAIRPNHATFLSALSACARAGLLSRGQEVFQSMEREHALRPRMEHYACMVDLLGRFGSVRRAHDFIRGMPARPSSDVWAALLGAATLHGDVETAGLAAREVFELSRAAGRQRPGAYMAMSNTLAAAGKWDGVRQVREMMRRRGVLKDAACSWVGSE >ORUFI03G19430.1 pep chromosome:OR_W1943:3:14010642:14015129:-1 gene:ORUFI03G19430 transcript:ORUFI03G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVPMSPELEQIDGEVQDIFRALQNGFQKMDKIKDSSRQAKQLEDLTAKMKECKRLIKEFDRILKDEESNNPPEVHKQLNDRKQYMIKELNSYVTLRKTYQSSLGNNNKRVELFDMGAGSSEPAAEDNIQIASAMTNQQLMDAGREQMTQTDQAIDRSKMVVAQTIETGTQTASALSQQTEQMKRIGNELDTVHFSLKKASQLVKEIGRQVATDKCIMALLFLIVCGVIAIIVVKIVNPHNKNIRDIPGLAPPAQNFQISNRRLLSVEIIRANVHDYTIQKDGELSLGANPAAGSVFQYPSPPHHLPDLTHAVPFPGRRERVRHRHAPGLCLPAARLSSNTSLAARPAVSTSPCSVAAPSSAAHTSELGLAGIPLMKSWARRTLPNLPSRSTMHA >ORUFI03G19440.1 pep chromosome:OR_W1943:3:14023507:14028146:1 gene:ORUFI03G19440 transcript:ORUFI03G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYSEPAARAVAEEVARWGGMRQTGVTLRYMMEFGARPTERNLLRSAQFLRRELPIRIARRALDLDSLPFGLSTKPAILKVRDWYLDSFRDLRCFPEVRNRDDELAFTEMIKMIRVRHNNVVPTMALGVRQLKKDLGGTKAFPPGIDEIHQFLDRFYMSRIGIRMLIGQHVALHEPDPEPGVIGLISKRLSPMLVAQHATEDARAICMREYGSAPDVNIYGDPDFTFPYVKLHLQLMMFELVKNSLRAVQERYMNSDKHAPPVRIIVADGAEDVTIKISDEGGGIPRSGLSRIFTYLYSTAENPPDLDGRNEGVTMAGYGYGIPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLH >ORUFI03G19450.1 pep chromosome:OR_W1943:3:14027973:14030073:-1 gene:ORUFI03G19450 transcript:ORUFI03G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLNTRSLLRRCSGAWGRAWAAARGRWAAARGHTRKTAARGWAALRRALDSAGGRTQLGAVGRRQAVAEAARGHGLALSDGGGGEQDEEDAPVKGGGGATAAPLPLLHLDPVSSIRGKATARPPPWAPSAHAASPCAKLAAHPSAELVARGRGWGEDVVGGGGRGGKEGVSYS >ORUFI03G19460.1 pep chromosome:OR_W1943:3:14030886:14035635:1 gene:ORUFI03G19460 transcript:ORUFI03G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAAAAAEAKTSVWWDIDRCGVPPCCRDPHRVAHGVIAALAAAGCAGPVSIFAYGDAARVAPPVLAALSSTGISLNHVPAGAKDGTDKKMLVDMLFWAFDNPPPGNYLLISGDQDFSDLLHRLRMKRYGILLAQPSNVSSRVLAAAARTVWSWEKLVAGESLLVESTHTHGLPDCNPKLNSLDVSKCSQNKSLDASKCSQNKSLDGSKCSQSKSLDGSKCSNSKVHAVCGNGDSNVKACDRYKVKPLQKYVKKTNAVSSSTNNQGQVVVVDGFSDDSAGSTGSEQDKSSVSSSSSSSESLEVDLPSLLGTPPLADLPSLLGTPPLAQSSAQEPVVSTSSQQVGPLNMTVKSKLHSEYDIAEKNAKKGNQSKSNPIQRYVKKTNITSSPASNQLDSDGMPECSTGNTPSKLNQLPVLPPPNSESLEETKVDHSRSLGIFTLPQSSSQAPVLSTHLHKVEEPHELIVGKQPSCTSTELASRDGTNDSRVSIGHYHSTSMQSQSSLAQHTLHCNYNMGDKVGKAKARNQHKVTQRQQYIKKANIVSSSAHNEIDLVKGFSGNSKGSILSNPSKSVLTSSISESLEEAKANCSTPLKNPPFSLSSVNKPVTPTDLQHLGSEFIFGSNHSLSTECMPKDGIFYFGDINGQNSPACQQAHSSLLPEIHKSIPPSAAGHSNSVNSDVGSSYPASTGFNGVPSAQIQTSPSGLTFQNMLDICSDFSRLTISECPPGTSEKRPPFQASIRVTLVLVSVPDLLPATCKTLAIMERRKALHQAEKIFPTESNIADCIRYSEMNISGFDVKKALELAIRHQAVIMKKLVNDMPLFVAKDESIWKCVNVTNSNAKHSKETLDAVHKYISSTDGWSAMKNSQSRYQAATILKKSCLQQHALGDVLQILQIIIVRKKWLLPHSSGWQPLSINTTVVDATAVAVGEARS >ORUFI03G19460.2 pep chromosome:OR_W1943:3:14030886:14035635:1 gene:ORUFI03G19460 transcript:ORUFI03G19460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAAAAAEAKTSVWWDIDRCGVPPCCRDPHRVAHGVIAALAAAGCAGPVSIFAYGDAARVAPPVLAALSSTGISLNHVPAGAKDGTDKKMLVDMLFWAFDNPPPGNYLLISGDQDFSDLLHRLRMKRYGILLAQPSNVSSRVLAAAARTVWSWEKLVAGESLLVESTHTHGLPDCNPKLNSLDVSKCSQNKSLDASKCSQNKSLDGSKCSQSKSLDGSKCSNSKVHAVCGNGDSNVKACDRYKVKPLQKYVKKTNAVSSSTNNQGQVVVVDGFSDDSAGSTGSEQDKSSVSSSSSSSESLEVDLPSLLGTPPLADLPSLLGTPPLAQSSAQEPVVSTSSQQVGPLNMTGKKPSTSVKFVPRKGTVDLGLSKHHHQMFMQSQSSEVKSKLHSEYDIAEKNAKKGNQSKSNPIQRYVKKTNITSSPASNQLDSDGMPECSTGNTPSKLNQLPVLPPPNSESLEETKVDHSRSLGIFTLPQSSSQAPVLSTHLHKVEEPHELIVGKQPSCTSTELASRDGTNDSRVSIGHYHSTSMQSQSSLAQHTLHCNYNMGDKVGKAKARNQHKVTQRQQYIKKANIVSSSAHNEIDLVKGFSGNSKGSILSNPSKSVLTSSISESLEEAKANCSTPLKNPPFSLSSVNKPVTPTDLQHLGSEFIFGSNHSLSTECMPKDGIFYFGDINGQNSPACQQAHSSLLPEIHKSIPPSAAGHSNSVNSDVGSSYPASTGFNGVPSAQIQTSPSGLTFQNMLDICSDFSRLTISECPPGTSEKRPPFQASIRVTLVLVSVPDLLPATCKTLAIMERRKALHQAEKIFPTESNIADCIRYSEMNISGFDVKKALELAIRHQAVIMKKLVNDMPLFVAKDESIWKCVNVTNSNAKHSKETLDAVHKYISSTDGWSAMKNSQSRYQAATILKKSCLQQHALGDVLQILQIIIVRKKWLLPHSSGWQPLSINTTVVDATAVAVGEARS >ORUFI03G19470.1 pep chromosome:OR_W1943:3:14035381:14036511:-1 gene:ORUFI03G19470 transcript:ORUFI03G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTVVDDPSAAAAAAVGAAASSSFPDADVYGNGDSDDIDFPSDPNHATTQAFSSAAAAAAAGPGGGGGAGSGSGGERRPLFQRLWTEEDEIVILRAFAEFTAQRGTAFASHQYDTDPFYEDMRRRLQLDFSKSQLVEKLRRLKRKYRNCVDRLRATGQSFSFRSPHEQAIFEIARGIWRPTSDKHGRDADSDDDALPDAAAAVAVPAPANGEVRSPSSTRAQQRRGRRRRTAAAAAATATAADASEPPQPYPPAPAPAPVKAEDALPHFFPQGAAVTATAHVHGVDPASAAASAAANAEGGILAPLFKEMVRAMLTAGMAPPSLEPPAPGIPMKGERWRQQRILELEVYLRRIDLLQDQVRTALEELKSTPPATQ >ORUFI03G19480.1 pep chromosome:OR_W1943:3:14038055:14038507:1 gene:ORUFI03G19480 transcript:ORUFI03G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSPPVLLTLVIAPMLPTRATPHPRAHQGGLPHATNPFSKAEPNRCSSSGGCNDAERSLYCSRLGEDETGSCTARMVVGSTKIGMMGRRKRTKGGEGEEQPHSHLLPKLLLLLLATIGIRPAAPVVDARPPPKHRISGAAAPCCEPLQ >ORUFI03G19490.1 pep chromosome:OR_W1943:3:14041443:14042024:1 gene:ORUFI03G19490 transcript:ORUFI03G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARSVSVADRALRGVADLIKLLPSGTVFLFQFLSPLVTNNGHCAAAYSRVLSAALLALCGAFCAFSSFTDSYVGSDGRVYYGVVTARGLRTFAADPDAAARDLSGYRLRAGDFVHAALSLLVFATIALLDADTVACLYPALEVSERTMMAVLPPVVGGVASYAFMVFPNNRHGIGYQPTRATEDFEHKH >ORUFI03G19500.1 pep chromosome:OR_W1943:3:14043341:14045116:1 gene:ORUFI03G19500 transcript:ORUFI03G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPPAAAASPATDQGKTKSKKKSKKHQEDTSSSLAVAAASVDEAAEAKADGYLIKPQSVAPPLDTSAWPLLLKNYDRLNVRTGHYTPLPAGHSPLKRPIAEYLRYGVINLDKPSNPSSHEVVAWIKRLLRVEKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCVARFHAAVPDTARVARALEALTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDADRHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGETDNMVTMHDVMDARWAMDNFNDESYLRRIVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENEIEVGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKMVAEGLLDKHGKPNEKTPSEWLRNAVLPAGGDAMIAGIAAAPEPEKPKVKEEADVAEETKEKKKKKHKDWAGDNADEGRKRKVGDDDLSASVSAKKIKVEEEADAVEGEKSEKKKKKKKDKAESAYADGEVKAELSDGEKGGSEKKKKKKKSKEGEAGDDEAEKSEKKKEKKKKNRDAEVTQ >ORUFI03G19510.1 pep chromosome:OR_W1943:3:14046662:14047073:-1 gene:ORUFI03G19510 transcript:ORUFI03G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPPAMADRTATFVDLVIAIILPPLGVFLKVGCKIEFWICLLLTFLGYFPGIIYAVWPTHITYSGTHCFFFFDSSILSQEPAM >ORUFI03G19520.1 pep chromosome:OR_W1943:3:14048920:14056086:1 gene:ORUFI03G19520 transcript:ORUFI03G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLLAVAAAAAAWVWWGRYAWRARAVARRLAAQGVRGPRRGGLLRGCNDEVRRRKAEAEADGVAMDVGDHDYLRRVVPHFVAWKELYGTPFLYWFGPQPRICVSDYNLVKQILSKKYGHFVKNDAHPAILSMIGKGLVLVEGADWVRHRRVLTPAFTMDKLKVMTKTMASCAECLIQGWLDHASNSKSIEIEVEFSKQFQDLTADVICRTAFGSNSEKGKEVFHAQKQLQAIAIATILNLQLPGFKYLPTKRNRCKWKLENKLRNTLMQIIQSRITSEGNGYGDDLLGVMLNACFSTEQGEKRDELILCVDEIIDECKTFFFAGHETTSHLLTWTMFLLSVYPEWQDRLREEVLRECRKENPNADMLSKLKEMTMVLLETLRLYPPVIFMFRKPITDMQLGRLHLPRGTAIVIPIPILHRDKEVWGDDADEFNPLRFANGVTRAAKIPHAHLGFSIGPRSCIGQNFAMLEAKLVMAMILQKFSFALSPKYVHAPADLITLQPKFGLPILLKALDA >ORUFI03G19530.1 pep chromosome:OR_W1943:3:14050871:14053575:-1 gene:ORUFI03G19530 transcript:ORUFI03G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLCCSCDPICKSQRVELIGIVTPNLLVSVQNWNRYHNRSASRTSSLSRSCHSGYTLSKNIVHGDTYNQKEAIRPRGGCVPYSSFQATKCGTTRRR >ORUFI03G19530.2 pep chromosome:OR_W1943:3:14050871:14053575:-1 gene:ORUFI03G19530 transcript:ORUFI03G19530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLCCSCDPICKSQRVELIGIVTPNLLVSVQNWNRYHNRRPRGGCVPYSSFQATKCGTTRRR >ORUFI03G19540.1 pep chromosome:OR_W1943:3:14053617:14060120:-1 gene:ORUFI03G19540 transcript:ORUFI03G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAARRGASDMAGQGALHPQQQGAQRSRAGNGAAPWWLILLDRPRRRIGNIDACGCRILHGGAWTYFGERAKENFCRIMAITNFASNIAKF >ORUFI03G19540.2 pep chromosome:OR_W1943:3:14053617:14060120:-1 gene:ORUFI03G19540 transcript:ORUFI03G19540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAARRGASDMAGQGALHPQQQGAQRSRAGNGAAPWWLILLDRPRRSCKSDIEDNYMETPEPGAWTYFGERAKENFCRIMAITNFASNIAKF >ORUFI03G19540.3 pep chromosome:OR_W1943:3:14053617:14060120:-1 gene:ORUFI03G19540 transcript:ORUFI03G19540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAARRGASDMAGQGALHPQQQGAQRSRAGNGAAPWWLILLDRPRRRSAGAWTYFGERAKENFCRIMAITNFASNIAKF >ORUFI03G19550.1 pep chromosome:OR_W1943:3:14056894:14059386:1 gene:ORUFI03G19550 transcript:ORUFI03G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALVFLALLLPTISWLWDYIIVPHIWRPYIAAKRLREQGIRGPPYKFLKGCNEDVKKMKDAADDLVLDVHDHNYLPRITNVDGEPFVYWFGAKPRICIFNYEWARQILSSKSGHFLKNDTTPTVLALLGKGLVLVEGIDWERHRRVINPAFTMDKIKMMTKTMVACAQNMVKELEDQASSNKNGETQVELDKQFQELTADIISHTAFGSSYKLGIEAFHAQKELQEIAVKSLLNVQIPGFSYLPTKGNWRKLTLEKKLRGTLMQIIQSRLSSKGSGYGSDLLGLMLEACIATDQGREQHQLSLSIDEIIHECKTFFFAGHETTSLLLTWTVFLLSVYPEWQARLRLEALRECGKENPNGDNLSKLKEARKLMSMVFLETLRLYGPALFLQRKPLTDITVGETKIPKDHAIIIPSAIMHRDKEIWGDDTDEFNPLRFQNGVTRAAKVPHALLAFSIGPRSCIGQNFAMLEAKSVMAMILKKFSFTLSPNYVHAPVDLLTLQPKFGLPVVLRLLDA >ORUFI03G19560.1 pep chromosome:OR_W1943:3:14064777:14070760:1 gene:ORUFI03G19560 transcript:ORUFI03G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFQVQLPGLNYLPTERNRRKWRLQKNLRDTLMQIIRSRLSSKDGGYGNDLLGLMLGACASDEQGEASSLSMDEIVDECKTFFLAGHETTSLLLTWTVFLLSVYPEWQERLRNEVLRECGTDQCPDANSLGKLKEMTMVLLETLRLYNPALFIQRKPTADITVGSLAIPAGVAVYIPVPIMHRDREVWGHDAGEFNPLRFRDGAARAAAAAGIPHALLSFSIGPRSCIGQGFAMLEAKAAMAAMLRRLSFRVSPGYVHAPVDLITLKPKFGLPVIVRLLDA >ORUFI03G19570.1 pep chromosome:OR_W1943:3:14076243:14076536:1 gene:ORUFI03G19570 transcript:ORUFI03G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLEQWCGGEAAGVVAAGKESAASSAAAAAGCRTPGGGGAREGGRGAAVAGECPGAPRKRRAAPGPVSQMQEQHRQRRDFYSGPDVDAFFAAHNL >ORUFI03G19580.1 pep chromosome:OR_W1943:3:14096718:14098094:-1 gene:ORUFI03G19580 transcript:ORUFI03G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSCCHKKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGTFSQEEEDLIIQLHSMLGNKYVLYSSSTHIGQSLSRSVPSLTMAGAPPPPPATGGDNEVKNFWNSYIKKKLRDRGIDPATHKPLAADSSATPTNTTAAAASRSTATCRAVFSDAELQIPTAAAVQQQQQAPLVGAMQLVDGIKMPLDDYWPAAAAAAAPSSSTTTFSAYHHALSMQQQAAAGCGAAAAFDMDALSHCGVVVAPSASSSSTLTSMAGLSPAAADAAEQSANVAAAAATTTNLPWLDLGHANPIATMDHYAGVLDELRWSDYFDGAYQAATTATQGGALQGQCLYDGGGGGGKDVDDAVQFVDVHSLSNWC >ORUFI03G19590.1 pep chromosome:OR_W1943:3:14100316:14100766:-1 gene:ORUFI03G19590 transcript:ORUFI03G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDELLLPSSSPSPFHSELKIKDDSVAVEFYSQQEQDGNYRSIVQHGTIYLHFQSCMAPSRRYEVTFGWN >ORUFI03G19600.1 pep chromosome:OR_W1943:3:14107955:14109037:-1 gene:ORUFI03G19600 transcript:ORUFI03G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQGGVWTTTCRPTAGGRATPCGRRTREGGGRRPLRMMGDKGTRFKVPAGDALSQVYIQKNTEWRFLREVDGEGEVGYLLVSPPSSLLTGRWAKGRRPLLTMFYAERRGTIDLMGPPS >ORUFI03G19610.1 pep chromosome:OR_W1943:3:14148181:14156897:1 gene:ORUFI03G19610 transcript:ORUFI03G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLGVANQPAMGNSTSRVVGCFAPADKAAGGGVGLEFLQPLDEGLGHSFCYVRPGAITDSPAITPSNSERYTLDSSVLDSETRSGSFRQEVVVVDDLAAAAMAGLQRPSKSFSETTFRTISGASVSANPSSARTGNLCVSLAADVQEPAAAFESTASFAAVPLQPVPRGSGPLNTFLSGPLERGFASGPLDKGAGFMSGPLDKGVFMSGPIDSGNKSNFSAPLSYGRRKAGLGQLVRSISRPMRSALSRTFSRSSQGTGWVQRFLLHPMAQLSLSRDAKGTSEDSHNGLEAGLPELEYSVTRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLYKAIDKELEGLLWVYEDSPEGSAQVSTLGEGESVAVPQDLPDGGDILFQADSVESEQLVNSEEQDVSNVKISDGGASQVQMDLNTSGQRDLVLQASSNQKLSAGEIVEEKVGADMGNNLQSTESYNSGRDISNTDVNTSFGCTSDVNTSTCCNEDVKSPKEIRRSRRLFELLEMELLEEYNRNVSKLSPEGMKGRSIFNMQAGTTEESSRDIAELSRSSMAATGECLDDFENDKHSRSGDGVLGVDPKECNECSISSSSSGHKQILRRYLFGSKLRKMYKKQKLLQKKFFPWNYDWHRDQPHVDESVIKPSEVTRRCKSGPVDHDAVLRAMSRALENTEEAYMDVVERELDKNPELALMGSCVLVMLMKDQDVYVMNLGDSRVVLAQDNEQYNNSSFLKGDLRHRNRSRESLVRVELDRISEESPMHNPNSHLSSNTKTKELTICKLKMRAVQLSTDHSTSAEEEVSRIRAEHPDDPQSVFNDRVKGQLKVTRAFGAGFLKKPKFNDILLEMFRIDYVGTSSYISCNPAVLHHRLCSNDRFLVLSSDGLYQYFSNDEVVSHVAWFMENVPEGDPAQYLVAELLCRAAKKNGMDFHELLDIPQGDRRKYHDDVSVMDVGDWNLCITTAAGAV >ORUFI03G19610.2 pep chromosome:OR_W1943:3:14148181:14156897:1 gene:ORUFI03G19610 transcript:ORUFI03G19610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLGVANQPAMGNSTSRVVGCFAPADKAAGGGVGLEFLQPLDEGLGHSFCYVRPGAITDSPAITPSNSERYTLDSSVLDSETRSGSFRQEVVVVDDLAAAAMAGLQRPSKSFSETTFRTISGASVSANPSSARTGNLCVSLAADVQEPAAAFESTASFAAVPLQPVPRGSGPLNTFLSGPLERGFASGPLDKGAGFMSGPLDKGVFMSGPIDSGNKSNFSAPLSYGRRKAGLGQLVRSISRPMRSALSRTFSRSSQGTGWVQRFLLHPMAQLSLSRDAKGTSEDSHNGLEAGLPELEYSVTRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLYKAIDKELEGLLWVYEDSPEGSAQVSTLGEGESVAVPQDLPDGGDILFQADSVESEQLVNSEEQDVSNVKISDGGASQVQMDLNTSGQRDLVLQASSNQKLSAGEIVEEKVGADMGNNLQSTESYNSGRDISNTDVNTSFGCTSDVNTSTCCNEDVKSPKEIRRSRRLFELLEMELLEEYNRNVSKLSPEGMKGRSIFNMQAGTTEESSRDIAELSRSSMAATGECLDDFENDKHSRSGDGVLGVDPKECNECSISSSSSGHKQILRRYLFGSKLRKMYKKQKLLQKKFFPWNYDWHRDQPHVDESVIKPSEVTRRCKSGPVDHDAVLRAMSRALENTEEAYMDVVERELDKNPELALMGSCVLVMLMKDQDVYVMNLGDSRVVLAQDNEQYNNSSFLKGDLRHRNRSRESLVRVELDRISEESPMHNPNSHLSSNTKTKELTICKLKMRAVQLSTDHSTSAEEEVSRIRAEHPDDPQSVFNDRVKGQLKVTRAFGAGFLKKPKFNDILLEMFRIDYVGTSSYISCNPAVLHHRLCSNDRFLVLSSDGLYQYFSNDEVVSHVAWFMENVPEGDPAQYLVAELLCRAAKKNGMDFHELLDIPQGDRRKYHDDVSVMVISLEGRIWRSSG >ORUFI03G19610.3 pep chromosome:OR_W1943:3:14148181:14156897:1 gene:ORUFI03G19610 transcript:ORUFI03G19610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLGVANQPAMGNSTSRVVGCFAPADKAAGGGVGLEFLQPLDEGLGHSFCYVRPGAITDSPAITPSNSERYTLDSSVLDSETRSGSFRQEVVVVDDLAAAAMAGLQRPSKSFSETTFRTISGASVSANPSSARTGNLCVSLAADVQEPAAAFESTASFAAVPLQPVPRGSGPLNTFLSGPLERGFASGPLDKGAGFMSGPLDKGVFMSGPIDSGNKSNFSAPLSYGRRKAGLGQLVRSISRPMRSALSRTFSRSSQGTGWVQRFLLHPMAQLSLSRDAKGTSEDSHNGLEAGLPELEYSVTRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLYKAIDKELEGLLWVYEDSPEGSAQVSTLGEGESVAVPQDLPDGGDILFQADSVESEQLVNSEEQDVSNVKISDGGASQVQMDLNTSGQRDLVLQASSNQKLSAGEIVEEKVGADMGNNLQSTESYNSGRDISNTDVNTSFGCTSDVNTSTCCNEDVKSPKEIRRSRRLFELLEMELLEEYNRNVSKLSPEGMKGRSIFNMQAGTTEESSRDIAELSRSSMAATGECLDDFENDKHSRSGDGVLGVDPKECNECSISSSSSGHKQILRRYLFGSKLRKMYKKQKLLQKKFFPWNYDWHRDQPHVDESVIKPSEVTRRCKSGPVDHDAVLRAMSRALENTEEAYMDVVERELDKNPELALMGSCVLVMLMKDQDVYVMNLGDSRVVLAQDNEQYNNSSFLKGDLRHRNRSRESLVRVELDRISEESPMHNPNSHLSSNTKTKELTICKLKMRAVQLSTDHSTSAEEEVSRIRAEHPDDPQSVFNDRVKGQLKVTRAFGAGFLKKPKFNDILLEMFRIDYVGTSSYISCNPAVLHHRLCSNDRFLVLSSDGLYQYFSNDEVVSHVAWFMENVPEGDPAQYLVAELLCRAAKKNGMDFHELLDIPQGDRRKYHDDVSVMDVGDWNLCITTAAGAV >ORUFI03G19620.1 pep chromosome:OR_W1943:3:14159705:14160219:-1 gene:ORUFI03G19620 transcript:ORUFI03G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKIVIKVDFVRAKCKAVAMTVVAKIPGVKSLAADDEKGTMTVVGEVDVVQVVGELRKAKFAAEVVSVEPEKKPEAPKKPDDPPKKPDPPPPCPPPPXYIYIIYI >ORUFI03G19630.1 pep chromosome:OR_W1943:3:14162611:14167104:1 gene:ORUFI03G19630 transcript:ORUFI03G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGRKPRNFATFRLFPRAGAADPNDRVFVRVDSNDYTVPGFGDDDAFDSSSLSLTGDAAAGDHFSSASGPLPDHVRREILELGLPDDGYNYLHHLRELRPSAAAAASSFAPNQTAPPLPLDVKAYDASRVRIASGNVEDEMDEGRTMCKVAAKTAPVRRIERAVDPDIARLLDETDVSHGGSEDEGLEEDFVIMANRAEGDEEEDDDDEEEEVVDGVFLSDVEEEEEFEDDEGEPKPRVRRLLDEQFDLLALEEYGDSDDDDDPGVRNGECELPTEVIDELKLFHSQNVSVTEEYRTPADFVRRKLDSSTAEEVDESANVIQKCAEYAERYLNETAEEEEVVLVSESSDESEVWDCETIVSTYSNLDNHPGKIQTPGNPKNRLPKVFPGETATTKDIIRLQGKERLPVEYLPQRKRNGEKEKKAKPTETPSAESFKKGAQKETKEEKKARKAAVKEEKREARKAKKELKGLYKFETQKAQKVAAVTGPASIRLM >ORUFI03G19640.1 pep chromosome:OR_W1943:3:14172184:14174052:1 gene:ORUFI03G19640 transcript:ORUFI03G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFRKMPDLPTMDDDDDKMGTHAQVQQLPDELLLEILLRLPPRAIARCLAVCSAWSSAVSAAAFRRAHADRPAAVCKATATAIDCCDRDAVVLDAFLGRWHRGNVHTTPRSPRGLVFPAAMAPEPDTPWVLDTLVVGSWDGVLCVERGAPLLRGSRWDAGVHHWPKSGRFREYVLWNPLAMTRATVSPPPGRGAVIGGYAHPATMRFHLLHAAGEAARRPGSLGLFVPTVFRVQRVGDGAWREVPLPLLEQQDDHAHAQLQMHGARSVALHGNLHWLVQRGGSAGPGRLQVLVFEPARERFRLMEAPPRRHGEEDDLARSRIVVLSNGKLCAVAVRPATSTMEMWVLDSSSSDAPRRWRLDAYSLRRRKWTRVCAARPRSGSVGVALLPHRESVADDQPSFGEASRLLDHTIDIDVDDQYLSMNPITLFCY >ORUFI03G19650.1 pep chromosome:OR_W1943:3:14177391:14178051:-1 gene:ORUFI03G19650 transcript:ORUFI03G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASQSVSLHGNLHWMVQRGSGSTARLNVLVFDTARERFRLMEAPPWDDSRETPPAAGRPFHWRGGAVAVSPATNTMEMWVLDDDDSSGGARAWRLAERVGLVMMWDKRDLSRAFSSATQVSAVQGDAEGEEVILHNDGQHRRPPAQGVVDGVRLPLRHAAEQRRAARRELRRGVAAVGPKPEDVGPTGRKPCYTSKASSKGEGLSHF >ORUFI03G19660.1 pep chromosome:OR_W1943:3:14178063:14180450:-1 gene:ORUFI03G19660 transcript:ORUFI03G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTFFGPFCFKASKVIQDILARLPPRTIVRCLAVCKAWRSAVSAPTFHRALAAHRPAAVVKVTSELAYFGKPWRYCKALLLDTFRGRWFGPDLDNTQPERREREFVLWNPLTRACATVPPPAPGGDRGVIIGAYAHPATMRFHLLHAAGEAERGLFAATAIRVRRVGDGGAWREVPLLEQQEEEDGHDDANMQNCSGGEP >ORUFI03G19670.1 pep chromosome:OR_W1943:3:14182085:14184134:-1 gene:ORUFI03G19670 transcript:ORUFI03G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTRYQTYEDSTESVGAGYILPGAHGPLVAQLRAPYRGQEVTIGGGALHLDQAVDAREIRMVQHEEDRIQVLPGFITELGHRRSAPRGVVSDTEIPVSVFTGKPLFPSSLQQRLSINCVLVFVSIQTAQAEARNLERAEREREREREKERARSAAMDEWEELAWRVPETLMLVSCEMEATRLIEVAHSNLQVRGTLFRRIHLGMPAAIAMNLFGDPAAEGVIPTEILEEARREISQSGARHGKTRHVFARYVVAHLGVQQDDPAYRSWEVHHQDAIRFTDKALEKVSEAASHAEAAKDAVDIAETLLSQPQPPLQLWAEWTSAAEKLVDQAALEATLALDEVLRARQSVALEFFDAVAILRRGRAPPAAVDKWWQEIERTLPGAFLLVATGGLKALRLIRDAHGKLQERVAMLRVNLRQETPAAAVPVEGNSAYQLDPEGICPTVALEDARREISQSTVLHAKTRHVLARYVAHLGAQQDDPAYRSWDVHHQEAVDHISKALKRVIDTVSNAEAGKVALVIMGSVAYGCPLWDVWASEAEKFTAVAALEATMATNEMRCAQEAVAQELSDAWTILLSRRRN >ORUFI03G19680.1 pep chromosome:OR_W1943:3:14185785:14188189:1 gene:ORUFI03G19680 transcript:ORUFI03G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTTTREAKLLRRNPSDHHDSGGGGGNDLISHLSDDILAHILASLPSMTDVMRACAVSRRWRHLGARVPVLRFFCLDHDFSEQEKLDRFIAFVNNILARRADDGTSTTVVEELEISFKLFNSRCMSGGSKRVVPSVDVAQVDAWIQYGMQHVSKSFTLQLNYMLPLNLHNSNSSNGCMQVLGSNKLMITCGGGATRLESMVLSLNKACLRRLPTNVTLDSLVHLTLEDVDDLNQLLSTARCPSLRKLCLHKLTVSPATTTDQSLHLESNELLKVSLDWIWSRALVLELRTPRLRVFHTRNASIGRLVISAPRLEELTFFYTRVASIVQVEDMPCVRIFETEMSSLRRPECNDHVNQTRIRLLRCCKFLQFLTLHLTITQKDGHDSAEVELIKDIPQLPHATSLSLQVFAQAEYCKRPTGIGTQNQRDHHIISLEHLQDIKITSAYRRQYEARLLKFLHASAPALKKMIVAFISAFMLSQSLQICAKECEEFLHSIPLNKEGKWAFCYHGAHMQDFTTFEWTPIKKVECRQIVHMD >ORUFI03G19690.1 pep chromosome:OR_W1943:3:14189780:14190356:-1 gene:ORUFI03G19690 transcript:ORUFI03G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVWKAQFGRLVEEAAIRVDGVRESLHALLPQLTSSSSSMVAGDANTVRDTIQLALDALGLGDESSCSNLASAISFTVAARLLALRGDGINPAVCAVNYLAEQSAAIKLSYAESDARKAYALVDGCRGHLDAALLLLDHVGRLPDVQGMINAERLAAVADLEAAIVAVQRSAEMATAARQDVSGAS >ORUFI03G19700.1 pep chromosome:OR_W1943:3:14191865:14192713:-1 gene:ORUFI03G19700 transcript:ORUFI03G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSARGEMITATGAARRQDGVAGEEEGSARMGRPSGGEETFLVAAATASDEGVGGAPRWIGRSELNAGRGRVGTGERARSRWGRRGAAAASAALPLEQEAKELRQGARYPGREKAKGGGKGALLLPFWEERDENEPRLTVLDAGGGRKHAERGELGRGRRNQPKRSTEEYEEHLTLDLNSNWIFELNKIWRNQGGDSKSEFDTFGNGKEFR >ORUFI03G19710.1 pep chromosome:OR_W1943:3:14199305:14199981:1 gene:ORUFI03G19710 transcript:ORUFI03G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLRARSAGRPSDGRLVHRLSINFVLLFVSIQIATHTEDAQIPIPNRSEKEREGEEELDRRQWTLMQLSSGMRAVRKIQERAALMRNIRFGMPAAIAMSLFGDPASVGVCPTMTLAGHRSPRDGRPHRSRVVKPPCTSRDTHYRDAIRITGRQGPGQNTQGAGVGHSQVLRRLDDSASGES >ORUFI03G19720.1 pep chromosome:OR_W1943:3:14207824:14210524:1 gene:ORUFI03G19720 transcript:ORUFI03G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRGENDPGSHGGEHAMQPLPDELPDDIVEKIISRLPPRSIVTGCRAACKAWRRLTSRPEFYRAYVPRPRPVAAKVTVKINTTRAADTIVRFELFRSHWNVDGAAVPPYRRVLSLGAAATTDKYSISSLVLGSWDGVLCMAMTTGGQGADVYVLWNPLTNACATFVGAYAHPATGRFHILHASGKTVGHYYYRKQLAPAVFRVQTIGDAAWRVGPAPPPKITMATTGHAAARSAALHGKLHWLVQSGGRWPAVRKLKLLAFDMSREKLRLKETPERMAAMDLETARISVLPAAAGKLCVFAVEDRGTTVSMWVLDDYHGDHRRSWQLKRRIDLLRDERGWRWRRNLWPALKQVEAVQGAEEEGDKVFVHTRGQVNAYSLRRGRWRGANDVARSVAGKVHVSMVRHEHGVLPLPHEVSFGAASRVLSRSRSLHAWGHQKTSFLFSPRLARYLPPFGLLLFDPTIFNFPNMTTIFNLPKTPEDNPVPEDNLVPARLPKQISPSNPAESFLGNQNCSRFLIHSIYSFRFPQNQSVKFGIKMTGEDRRQNRQL >ORUFI03G19730.1 pep chromosome:OR_W1943:3:14213035:14216304:-1 gene:ORUFI03G19730 transcript:ORUFI03G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNFRKRNLEADAAADHSDDDDARRVALEEIKYMQKLRERKLGIPAAAAAAGASSAASADGASPRGRGGGGGGLAAGGDAEKEDLVLQDTFAQETAVTIEDPNMLRYVENELLKKRGKKVDVKDKEEKDQVDELYTVPDHLKVRKKNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKMLQEKRLAGKTKSDANIPSSYNADFFHRGKDYTEKLRREHPELYKDQGSQANGTGGKSMGGNHPDGAGAGRREAATDELLLERFRKREKFRVMRR >ORUFI03G19740.1 pep chromosome:OR_W1943:3:14219850:14226325:1 gene:ORUFI03G19740 transcript:ORUFI03G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLPLSIEAGEMAMPRREEVVTDMPSSSAVASGNGIEQPEQNTKDDEYERLVRPAHSVIADDNTVVLPEEPTSRSIWWMKVLLGCFLLILVSYVFVKWGVPFAFEKVLLPIMQWEASAFGRPVLAVVLVASLALFPVTLVPSGPSMWLAGMIFGYGWGFLIIMVGTTIGMVVPYWIGSLFRERLHAWLKRWPQQIALIKLAGEGNWFQQFRVVALFRISPFPYTIFNYAVTVTEIKFNPYLCGSIAGMIPEAFIYIYSGRLIRTLADMKYGNYKMTPVEITYNAISFVIAVVLTVAFTVYAKRALNDIKESEGILTEEYGISTGHKNPHQERSPSRPVPLDDVV >ORUFI03G19740.2 pep chromosome:OR_W1943:3:14219850:14226590:1 gene:ORUFI03G19740 transcript:ORUFI03G19740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLPLSIEAGEMAMPRREEVVTDMPSSSAVASGNGIEQPEQNTKDDEYERLVRPAHSVIADDNTVVLPEEPTSRSIWWMKVLLGCFLLILVSYVFVKWGVPFAFEKVLLPIMQWEASAFGRPVLAVVLVASLALFPVTLVPSGPSMWLAGMIFGYGWGFLIIMVGTTIGMVVPYWIGSLFRERLHAWLKRWPQQIALIKLAGEGNWFQQFRVVALFRISPFPYTIFNYAVTVTEIKFNPYLCGSIAGMIPEAFIYIYSGRLIRTLADMKYGNYKMTPVEITYNAISFVIAVVLTVAFTVYAKRALNDIKESEGILTEEYGISTGHKNPHQERSPSRPVPLDDVVGRLM >ORUFI03G19740.3 pep chromosome:OR_W1943:3:14219850:14226531:1 gene:ORUFI03G19740 transcript:ORUFI03G19740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLPLSIEAGEMAMPRREEVVTDMPSSSAVASGNGIEQPEQNTKDDEYERLVRPAHSVIADDNTVVLPEEPTSRSIWWMKVLLGCFLLILVSYVFVKWGVPFAFEKVLLPIMQWEASAFGRPVLAVVLVASLALFPVTLVPSGPSMWLAGMIFGYGWGFLIIMVGTTIGMVVPYWIGSLFRERLHAWLKRWPQQIALIKLAGEGNWFQQFRVVALFRISPFPYTIFNYAVTVTEIKFNPYLCGSIAGMIPEAFIYIYSGRLIRTLADMKYGNYKMTPVEITYNAISFVIAVVLTVAFTVYAKRALNDIKESEGILTEEYGISTGHKNPHQERSPSRPVPLDDVV >ORUFI03G19750.1 pep chromosome:OR_W1943:3:14227318:14230024:-1 gene:ORUFI03G19750 transcript:ORUFI03G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFSCPVDDYDALEESAAAAAAASSESNSGGGKPAAILKALGSGKLLIEGSLSFKRDQQMSPTSLLQVETEISIKPAAADIAAAPRASCEFMSPAEEDEEFWGSLKRISSESYPKNTATDNSEDQAAEAEETGNSQMPRRRSFNGAAAPATPREALRPSPLQHGLVATVAAAD >ORUFI03G19750.2 pep chromosome:OR_W1943:3:14227318:14230024:-1 gene:ORUFI03G19750 transcript:ORUFI03G19750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFSCPVDDYDALEESAAAAAAASSESNSGGGKPAAILKALGSGKLLIEGSLSFKRDQQMSPTSLLQVETEISIKPAAADIAAAPRASPAEEDEEFWGSLKRISSESYPKNTATDNSEDQAAEAEETGNSQMPRRRSFNGAAAPATPREALRPSPLQHGLVATVAAAD >ORUFI03G19760.1 pep chromosome:OR_W1943:3:14238164:14242003:-1 gene:ORUFI03G19760 transcript:ORUFI03G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGYDYGPSPPREYRRRARSPSPRGRYGGRDRDLPTSLLVRNLRRDCRPDDLRRPFGKFGRVKDIYLPRDYYTGEPRGFGFIQYYDPEDAADAKYHMDGQILLGREVTVVFAEENRKKPSEMRARERVGSRDRSYDRRSRSPRYSRSRSPVYSPRSRSRSRSYSPAPKRKHYSSCFAGDTDKFMILCRKSVRMPIEAQSMT >ORUFI03G19770.1 pep chromosome:OR_W1943:3:14247812:14256068:1 gene:ORUFI03G19770 transcript:ORUFI03G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAAYGVMRALGFPGGAIPVIAAPVETEEFGGGATTVVYHSYLLQASGGVGGGERRSLATSAGMFCVALQNADAAALQAGLNWACGPGQADCAAIQPGGACYKQNNLPALASYAYNDYYQKMASTGATCSFNGTATTTTADPSSGSCVFTGSSMAGGSNTSVPGASPPTTLSPPAGLTPPVGTSPPTDFSPPAAGTTPPAGGFTPPAGGFGTPPLGGFGTPPSGFGPPGSFNGSGSSFGPSSAFSPYGGAGHRDGGASGARLAAAALAVLLLSVDLM >ORUFI03G19770.2 pep chromosome:OR_W1943:3:14247812:14256068:1 gene:ORUFI03G19770 transcript:ORUFI03G19770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAAYGVMRALGFPGGAIPVIAAPVETEEFGGGATTVVYHSYLLQASGGVGGGERRSLATSAGMFCVALQNADAAALQAGLNWACGPGQADCAAIQPGGACYKQNNLPALASYAYNDYYQKMASTGATCSFNGTATTTTADPSSGSCVFTGSSMAGGSNTSVPGASPPTTLSPPAGLTPPVGTSPPTDFSPPAAGTTPPAGGFTPPAGGFGTPPLGGFGTPPSGFGPPGSFNGSGSSFGPSSAFSPYGGAGHRDGGASGARLAAAALAVLLLSVDLM >ORUFI03G19770.3 pep chromosome:OR_W1943:3:14247703:14256068:1 gene:ORUFI03G19770 transcript:ORUFI03G19770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAAYGVMRALGFPGGAIPVIAAPVETEEFGGGATTVVYHSYLLQASGGVGGGERRSLATSAGMFCVALQNADAAALQAGLNWACGPGQADCAAIQPGGACYKQNNLPALASYAYNDYYQKMASTGATCSFNGTATTTTADPSSGSCVFTGSSMAGGSNTSVPGASPPTTLSPPAGLTPPVGTSPPTDFSPPAAGTTPPAGGFTPPAGGFGTPPLGGFGTPPSGFGPPGSFNGSGSSFGPSSAFSPYGGAGHRDGGASGARLAAAALAVLLLSVDLM >ORUFI03G19780.1 pep chromosome:OR_W1943:3:14259224:14259502:-1 gene:ORUFI03G19780 transcript:ORUFI03G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIQQEAFVVAGLRGSNGEAEVVPHEAKAAGEEAVAKCMVEASSEEATSPEMEGPLGDVIVRLCEVEATEIEGAGVLPCVIGGRASLHVWA >ORUFI03G19790.1 pep chromosome:OR_W1943:3:14263765:14264715:-1 gene:ORUFI03G19790 transcript:ORUFI03G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGLLPSVFARRGPGRSATPWVAVAASAAVSVAVSFLGFDDVVATANLLYSLGTLLEFAAFLWLRCRGRHAAALKRPYRVPLPLPALAAMCLVPSAFLAYVIAVAGWRVSAIAAGLTALGVGWHGVMRVCRSKKWLGFNTVVAAGPHLQLQDDPPAADRVVSQCYMEHVFKYKVNHCQFKSMQGLSLITMYMYKRHVEERSVNTTAEKTFGASWEAAIGVGFPNLHLEGGTIESPQRCQYL >ORUFI03G19800.1 pep chromosome:OR_W1943:3:14292389:14293736:1 gene:ORUFI03G19800 transcript:ORUFI03G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSTRQTRAPNRFGFEEEPVQSEIPNQPVNQANEQEAESDHTVASESVNNARESSQQTTVINSKSLEGSNSVSCPPPPKRRGHKAGVECFICHEMGHYSWYCPQKVKSKQVQPTASLPSVPGPKSSKSPNSGSVSLTSPPVGQSHLNHVQVETKGKVMNLEQAEEQNPQEETNPQ >ORUFI03G19810.1 pep chromosome:OR_W1943:3:14303565:14304318:1 gene:ORUFI03G19810 transcript:ORUFI03G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMASRKEEFSAALLLFSARSSTSTVAGEHETPPQDRGHGRHYDRNQHRYWLKATFSTTVTGFGGEGGRRMQKKGHLHHHRHCCRLADLEEEKGEDECRERATSTTTTVAATTLGHRQCPWPPPPRGGLLVPPAAAILALGHRRGHLARREEGEEKDGKGQRYATL >ORUFI03G19820.1 pep chromosome:OR_W1943:3:14307641:14310430:-1 gene:ORUFI03G19820 transcript:ORUFI03G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAHRLADASATAPPKNAAAVDGVAVAAPAAGGGHGRAPGNKLSLVPLIFLIFFEVAGGPYGAEPAVKSAGPLFALLGFLVFPFIWAVPESLVTAELATAMPGNGGFVLWADRAFGPFAGSLMGTWKYVSGAINGAAFPALCADYVARVAPAVSGGGARVAAIVAFNVAISVLNYTGLSIVGWTAVALGVASLSPFALMFGAALPKIRPRRWRATAADKDWKLFFNTLFWNLNYWDSASTMAGEVERPGRTFPRALLSAVAMTTLGYLLPLLAATGAIDAAPEDWGNGFFADAAGMIAGGWLKYWIEVGAVLSTIGLYSATLSSAAFQLLGMADLGLLPRAFALRAPVFDTPWVGILATAAITLAMSFTSFDTIVASANFLYSLGMLLEFAAFVRLRARLPAMPRPYAVPLRGLPAAAALCAVPSAFLVFVMAIAGWKVYAISAVFTAAGVAVYYLMDLCKARGWLTFSAAAADRGGSGGDAMMYRRQGSTASEVV >ORUFI03G19830.1 pep chromosome:OR_W1943:3:14315346:14318506:-1 gene:ORUFI03G19830 transcript:ORUFI03G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLHHLLLLLFLAGFLSLSLSPAAALRFDLQSGHTKCISDDIKVGAMAVGKYHVVVPEGGGSTSSSSSSSSSAQPLLPESHRVSLRVTSPYGNSLHYSENVQSGNFAFTASEAGDYLACFWAPDHRPTATVGFEFDWRSGVSSRDWTNVAKKGQVDMMEVELKKLEDTINSIHEEMFYLRAREEEMQELNRRTNSRMAWLGFFSLAICLSVAGLQLWHLKNFFERKKLL >ORUFI03G19840.1 pep chromosome:OR_W1943:3:14322194:14325357:-1 gene:ORUFI03G19840 transcript:ORUFI03G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSSLPSPAFLAADPAAALPSATILRFPPNFVRQLSTKARRNCSNIGVAQIVAAAWSDPARPASLPGGGAPRPPPPPPPPRRRRPRPRLAPSPTPSSASRPPPHWPSRPCSVQTPASPSTRRLGRRIATDAITTPVVNTSAYWFNNSQELIDFKEGRHASFEYGRYGNPTTEALEKKMSALEKAESTVFVASGMYASVAMLSALVPAGGHVVTTTDCYRKTRIYMETELPKRGITMTVIRPADMDALQNALDNNNVSLFFTETPTNPFLRCIDIDLVSKMCHSKGALLCIDSTFASPINQKALTLGADLVIHSATKYIAGHNDVIGGCISGRDELVSKVRIYHHVVGGVLNPNAAYLILRGMKTLHLRVQCQNNTAMRMAQFLEEHPKIARVYYPGLPSHPEHHIAKSQMTGFGGVISFEVAGDFDATRRFIDSVKIPYHAPSFGGCESIIDQPAIMSYWDSKEQREIYGIKDNLIRFSIGVEDFEDLKNDVVQALDKI >ORUFI03G19850.1 pep chromosome:OR_W1943:3:14337479:14339206:-1 gene:ORUFI03G19850 transcript:ORUFI03G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSTALSPHLLPLPSTSSNPASSSLSFLSKPLLPALAVAGWPRRRTSPFVPVAVAVSEEVETEEDEEEEEEGSGGEEFSDDLRVFVGNLPFSVDSAQLAGLFEQAGSVEMVEVIYDKLTGRSRGFGFVTMSSVEEVEAAVEQFNGYILDGRSLRVNSGPPPPREQSSQRAPRGEANRVYVGNLSWGVDNAALANLFSGEGEVLEAKVIYDRESGRSRGFGFVTYGSAEEVENAVSNLDGADMDGRQIRVTVAESKPPRRQY >ORUFI03G19860.1 pep chromosome:OR_W1943:3:14342420:14347023:-1 gene:ORUFI03G19860 transcript:ORUFI03G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGHRNSHGKRHSDYAENGGGKRRNPGDDTYAPGPDDTVYRYLCPSRKIGSIIGRGGEIAKQMRADTQAKIRIGESVSGCDERVITIFSSSRETNTLVDAEDKVCPAQDALFRVHEKLSIDDDIGNEESDEGLAQVTVRLLVPSDQIGCIIGKGGHIIQGIRSDTGAHIRVLSNENLPACAISGDELLQISGDSTVVRKALLQVSSRLHDNPSRSQHLLASSMTQPYPVGSHLGSSSTAPVVGITPLISSYGGYKGDVAGDWPSIYQPRREESSAKEFSLRLLCAASNVGGVIGKGGGIIKQIRQESGAFIKVDSSNTEDDCIITVSAKEFFEDPVSPTINAAVHLQPRCSEKTDPESAIPSYTTRLLVSTSRIGCLIGKGGSIITEIRRTSRANIRILSKENVPKVAAEDEEMVQYLGRDTKPLGHDYPYSSGYRGSDDIGPIDSYASYGSSQVSGGGYGAYGGYSGRSGSSGLSGPSSFSYGKRHGAYAVCCYCRLVHCYMEPLLELQNDKVQMHSKAY >ORUFI03G19860.2 pep chromosome:OR_W1943:3:14342420:14347023:-1 gene:ORUFI03G19860 transcript:ORUFI03G19860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGHRNSHGKRHSDYAENGGGKRRNPGDDTYAPGPDDTVYRYLCPSRKIGSIIGRGGEIAKQMRADTQAKIRIGESVSGCDERVITIFSSSRETNTLVDAEDKVCPAQDALFRVHEKLSIDDDIGNEESDEGLAQVTVRLLVPSDQIGCIIGKGGHIIQGIRSDTGAHIRVLSNENLPACAISGDELLQISGDSTVVRKALLQVSSRLHDNPSRSQHLLASSMTQPYPVGSHLGSSSTAPVVGITPLISSYGGYKGDVAGDWPSIYQPRREESSAKEFSLRLLCAASNVGGVIGKGGGIIKQIRQESGAFIKVDSSNTEDDCIITVSAKEFFEDPVSPTINAAVHLQPRCSEKTDPESAIPSYTTRLLVSTSRIGCLIGKGGSIITEIRRTSRANIRILSKENVPKVAAEDEEMVQISGDLDVVRHALLQITTRLKANFFEREGALSGFPPVIPYHPLPVGVSEGPKYLGRDTKPLGHDYPYSSGYRGSDDIGPIDSYASYGSSQVSGGGYGAYGGYSGRSGSSGLSGPSSFSYGKRHGAYAVCCYCRLVHCYMEPLLELQNDKVQMHSKAY >ORUFI03G19870.1 pep chromosome:OR_W1943:3:14349190:14357177:1 gene:ORUFI03G19870 transcript:ORUFI03G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGGGGGQQFRYTQTPSKVLHLRNLPWECAEEELVELCNPFGRIVNTKCGVGANRNQAFVEFTSRKGFQKLYLPTNSIIVYTYVLFVINAAHYLCKAIPSLQTDINQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIVNNKSPGETAGNVLLVTIEGVQANDVTIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAATASAAREALDGRSIPRYLLPEHVTSCCLRISFSAHKDLNIKFQSHRSRDYTNPYLPVNSSAIDTLQPAVGADGRKVEAEGNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTASVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAHSDKSRDYTIPQGAMQAVPQPPGVPTTSAGWQGNPQAGGAYAPPGAAAPNHGTTGQVPNWNPGNSGYAPAPGAYPGQMYSSPMQYGASGGFSAPAAPPQELHTSQQMPPPQYGNQPGPAGAPGTGQPHPYYR >ORUFI03G19870.2 pep chromosome:OR_W1943:3:14349190:14354247:1 gene:ORUFI03G19870 transcript:ORUFI03G19870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGGGGGQQFRYTQTPSKVLHLRNLPWECAEEELVELCNPFGRIVNTKCGVGANRNQAFVEFTSRKGFQKLYLPTNSIIVYTYVLFVINAAHYLCKAIPSLQTDINQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIVNNKSPGETAGNVLLVTIEGVQANDVTIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAATASAAREALDGRSIPRYLLPEHVTSCCLRISFSAHKDLNIKFQSHRSRDYTNPYLPVNSSAIDTLQPAVGADGRKVEAEGNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTASVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAHSDKSRDYTIPQGAMQAVPQPPGVPTTSAGWQGNPQAGGAYAPPGAAAPNHGTTGQVPNWNPGNSGYAPAPGAYPGQMYSSPMQYGASGGFSAPAAPPQELHTSQQMPPPQYGNQPGPAGAPGTGQPHPYYR >ORUFI03G19880.1 pep chromosome:OR_W1943:3:14364823:14366154:1 gene:ORUFI03G19880 transcript:ORUFI03G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSLPILLVLLSLSSSLSSSSAAAAGRWTDAHATFYGGADASGTMGGACGYGNTYGQGYGTDTAALSAVMFGDGLSCGACFELRCGGGGGGDRRGCLPPAAGKSIVVTATDLCPANHALPGDRGGWCNPPLHHFDLSQPAFLRIARFQSGIVPVSYRRFTINGHSYFNLVLVSNVGGAGDVHAVAVKAGGGRKARWQAMARNWGQNWQSGALLDGQALSFTVTTGDRRSVVSYNVAPAGWAFGQTFTGRQFT >ORUFI03G19890.1 pep chromosome:OR_W1943:3:14369799:14377155:1 gene:ORUFI03G19890 transcript:ORUFI03G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPPPPSPSSGDPIEAARHAAAAALAVPARLWGSLLARLPSLSDRRPRRRRRPALPLPFRTAAAHSARATGETPKAFGILEDIVQHTLCSLHGIQKSLLFWQSKAEGTNSQKMYFMIFERGPRAFVKATYQTLTRLRSNESPTQYILHSASDMVSTKLAVLTNMQHCLAAFLAEVYCEVDKFKEGLTENSDKSLHTLFAVLNTVFSKLEVSLQNVCEGHTLLFALDGSPSELLFERLPEIDYDNSEWTEASSTDAICFIYQNLQKLDNLVCSQLSRHKKPRHMTIYWLPYTCGALGLSACSLWLLRHSSLMGSSDIDNWVQSAKESIAGFWDVHVEKPVGYFLFMSFYYLSYAFLNDASIISIRGELFETFKNRGKHVMDTQEVQLNEEVLRRILSSSSRYQQTSNEKLPQDISDQALMENFMERYEKEWTHPVKNLFGGELAYAMLIQLQKRTVDIKQAMLELDQILKGNAINFAILAALPAFGVSLLLLTVVQAWIMNDQGAEGRGRIARRQRRLLLLDAERKLMEFKNCMINGMEEEACCKFGLTLYNLDRLYRAVESHAEETGEWSRLREDILDLAKPKMSMTDKLVVLSRLKGTYDCFP >ORUFI03G19890.2 pep chromosome:OR_W1943:3:14369799:14377155:1 gene:ORUFI03G19890 transcript:ORUFI03G19890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPPPPSPSSGDPIEAARHAAAAALAVPARLWGSLLARLPSLSDRRPRRRRRPALPLPFRTAAAHSARATGETPKAFGILEDIVQHTLCSLHGIQKSLLFWQSKAEGTNSQKMYFMIFERGPRAFVKATYQTLTRLRSNESPTQYILHSASDMVSTKLAVLTNMQHCLAAFLAEVYCEVDKFKEGLTENSDKSLHTLFAVLNTVFSKLEVSLQNVCEGHTLLFALDGSPSELLFERLPEIDYDNSEWTEASSTDAICFIYQNLQKLDNLVCSQLSRHKKPRHMTIYWLPYTCGALGLSACSLWLLRHSSLMGSSDIDNWVQSAKESIAGFWDVHVEKPIISIRGELFETFKNRGKHVMDTQEVQLNEEVLRRMMLEFCQQTSNEKLPQDISDQALMENFMERYEKEWTHPVKNLFGGELAYAMLIQLQKRTVDIKQAMLELDQILKGNAINFAILAALPAFGVSLLLLTVVQAWIMNDQGAEGRGRIARRQRRLLLLDAERKLMEFKNCMINGMEEEACCKFGLTLYNLDRLYRAVESHAEETGEWSRLREDILDLAKPKMSMTDKLVVLSRLKGTYDCFP >ORUFI03G19890.3 pep chromosome:OR_W1943:3:14369799:14377153:1 gene:ORUFI03G19890 transcript:ORUFI03G19890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPPPPSPSSGDPIEAARHAAAAALAVPARLWGSLLARLPSLSDRRPRRRRRPALPLPFRTAAAHSARATGETPKAFGILEDIVQHTLCSLHGIQKSLLFWQSKAEGTNSQKMYFMIFERGPRAFVKATYQTLTRLRSNESPTQYILHSASDMVSTKLAVLTNMQHCLAAFLAEVYCEVDKFKEGLTENSDKSLHTLFAVLNTVFSKLEVSLQNVCEGHTLLFALDGSPSELLFERLPEIDYDNSEWTEASSTDAICFIYQNLQKLDNLVCSQLSRHKKPRHMTIYWLPYTCGALGLSACSLWLLRHSSLMGSSDIDNWVQSAKESIAGFWDVHVEKPIISIRGELFETFKNRGKHVMDTQEVQLNEEVLRRMMLEFCQQTSNEKLPQDISDQALMENFMERYEKEWTHPVKNLFGGEAMLELDQILKGNAINFAILAALPAFGVSLLLLTVVQAWIMNDQGAEGRGRIARRQRRLLLLDAERKLMEFKNCMINGMEEEACCKFGLTLYNLDRLYRAVESHAEETGEWSRLREDILDLAKPKMSMTDKLVVLSRLKGTYDCFP >ORUFI03G19900.1 pep chromosome:OR_W1943:3:14385412:14394080:1 gene:ORUFI03G19900 transcript:ORUFI03G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPPRSPSSGDPAEAADLAASAAAALASPARVWSSLLARLPSLSDYSRLLSVGRGRGRRRRRAALPLPIRPAAAHSARIAGQMPKAFDILQDVAQHTLSNLHDIQKSLIFWQSKAEGTSSQKLYFMIFERGPRAFVEAAWQTLTRLKSNGSPVPHLLHSASDMVSTKLAVLTSMQHCLAAFLAEVYFEVDKCRKGLTESSDKSLHTLFIVLNSVFSKLEVSFRNAVEGQTLLCTHDGKSPELIFERLPEVDVESSEWTEVLSANAITLIYQNLQKFDDFISDQFSNHKRPRNTTIYWLPYTCGALGLSACSLWLLRHSSLMGSSDIDNWIQDAKESMVGFWDVHVGQPIISIRDELFETFKQRSKREMEKQEVQQTEESLRRMLLDFCGNTSNEKPPQDMSELAMMEIVMKRYEKEAMHPFKGLSSGKLTCALSIQIEKHKLALLEAMLELDQILRANEINFAILAALPAFGVSLLLLFAVRAWATHGRGAEGRGRTARRQRRLLLADVEKRLMEFQNCMANGMEEEACCKFGLTLYTLDRLYKAVESQARETGEWSSLREDMFDLAKPGVGMEDKLVLLSRLKGMYDCLLPSPSGVLPRL >ORUFI03G19910.1 pep chromosome:OR_W1943:3:14395016:14395657:-1 gene:ORUFI03G19910 transcript:ORUFI03G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTNAPSSMVSIVTPVTDRDSGRTVAGVPPVLVGASVSSAPELRGRAYLVHHVFYEDGSASADGGGGVAPASRASIAALREVEEEDDDGEEASDCAICLDDGEESRETCGSGRRKEMPCGHRFHGECVERWLGIHGSCPLCRHEMPPATAAEAEEEEVVVAMVHGERVVMRGRRVVLSVLVMGRAHDDGEGPEQRGTDPIPPLPRVLIDDVD >ORUFI03G19920.1 pep chromosome:OR_W1943:3:14396032:14400090:-1 gene:ORUFI03G19920 transcript:ORUFI03G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGAVLFLLAAAVSLLAAVSTGALDFTYLVTVVGEGSSTSPGSGGGAWWREAWVGARSRAVAPALQVGVWACMVMSVMLVVEATYNSAVSVAARLVGWRPERWFKWEPLGGGAGAGDEEKGEAAAAAYPMVMVQIPMYNELEVYKLSIGAVCGLKWPKERLIIQVLDDSTDAFIKNLVELECEDWASKGLNIKYATRSGRKGFKAGALKKGMEWDYAKQCEYVAIFDADFQPEPDFLLRTVPFLMHNQNVALVQARWVFVNDRVSLLTRIQKTFLDYHFKAEQEAGSATFAFFSFNGTAGVWRTEAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDLRVKSELPSTYKAYCRQQFRWSCGGANLFRKMIWDVLVAKKVSSLKKIYILYSFFLVRRVVAPAVAFILYNVIIPVSVMIPELFLPIWGVAYIPTALLIVTAIRNPENLHTVPLWILFESVMSMHRLRAAVAGLLQLQEFNQWIVTKKVGNNAFDENNETPLLQKSRKRVNLPEIGLSVFLIFCASYNLVFHGKNSFYINLYLQGLAFFLLGLNCVCLFAEFLRTWLHHEKSSKNTPKLSIIKEIFQEHSKIVNHQTPFALGCQEQQQPS >ORUFI03G19920.2 pep chromosome:OR_W1943:3:14396032:14400090:-1 gene:ORUFI03G19920 transcript:ORUFI03G19920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGAVLFLLAAAVSLLAAVSTGALDFTYLVTVVGEGSSTSPGSGGGAWWREAWVGARSRAVAPALQVGVWACMVMSVMLVVEATYNSAVSVAARLVGWRPERWFKWEPLGGGAGAGDEEKGEAAAAAYPMVMVQIPMYNELEVYKLSIGAVCGLKWPKERLIIQVLDDSTDAFIKNLVELECEDWASKGLNIKYATRSGRKGFKAGALKKGMEWDYAKQCEYVAIFDADFQPEPDFLLRTVPFLMHNQNVALVQARWVFGKTSSFDMSLRLPFAVLASGKYSVYIVNDRVSLLTRIQKTFLDYHFKAEQEAGSATFAFFSFNGTAGVWRTEAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDLRVKSELPSTYKAYCRQQFRWSCGGANLFRKMIWDVLVAKKVSSLKKIYILYSFFLVRRVVAPAVAFILYNVIIPVSVMIPELFLPIWGVAYIPTALLIVTAIRNPENLHTVPLWILFESVMSMHRLRAAVAGLLQLQEFNQWIVTKKVGNNAFDENNETPLLQKSRKRVNLPEIGLSVFLIFCASYNLVFHGKNSFYINLYLQGLAFFLLGLNCVCLFAEFLRTWLHHEKSSKNTPKLSIIKEIFQEHSKIVNHQTPFALGCQEQQQPS >ORUFI03G19920.3 pep chromosome:OR_W1943:3:14396388:14400090:-1 gene:ORUFI03G19920 transcript:ORUFI03G19920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGAVLFLLAAAVSLLAAVSTGALDFTYLVTVVGEGSSTSPGSGGGAWWREAWVGARSRAVAPALQVGVWACMVMSVMLVVEATYNSAVSVAARLVGWRPERWFKWEPLGGGAGAGDEEKGEAAAAAYPMVMVQIPMYNELEVYKLSIGAVCGLKWPKERLIIQVLDDSTDAFIKNLVELECEDWASKGLNIKYATRSGRKGFKAGALKKGMEWDYAKQCEYVAIFDADFQPEPDFLLRTVPFLMHNQNVALVQARWVFVNDRVSLLTRIQKTFLDYHFKAEQEAGSATFAFFSFNGTAGVWRTEAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDLRVKSELPSTYKAYCRQQFRWSCGGANLFRKMIWDVLVAKKVSSLKKIYILYSFFLVRRVVAPAVAFILYNVIIPVSVMIPELFLPIWGVAYIPTALLIVTAIRNPENLHTVPLWILFESVMSMHRLRAAVAGLLQLQEFNQWIVTKKVGNNAFDENNETPLLQKSRKRVNLPEIGLSVFLIFCASYNLVFHGKNSFYINLYLQGLAFFLLGLNCVGTLPDHCCF >ORUFI03G19920.4 pep chromosome:OR_W1943:3:14396388:14400090:-1 gene:ORUFI03G19920 transcript:ORUFI03G19920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGAVLFLLAAAVSLLAAVSTGALDFTYLVTVVGEGSSTSPGSGGGAWWREAWVGARSRAVAPALQVGVWACMVMSVMLVVEATYNSAVSVAARLVGWRPERWFKWEPLGGGAGAGDEEKGEAAAAAYPMVMVQIPMYNELEVYKLSIGAVCGLKWPKERLIIQVLDDSTDAFIKNLVELECEDWASKGLNIKYATRSGRKGFKAGALKKGMEWDYAKQCEYVAIFDADFQPEPDFLLRTVPFLMHNQNVALVQARWVFGKTSSFDMSLRLPFAVLASGKYSVYIVNDRVSLLTRIQKTFLDYHFKAEQEAGSATFAFFSFNGTAGVWRTEAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDLRVKSELPSTYKAYCRQQFRWSCGGANLFRKMIWDVLVAKKVSSLKKIYILYSFFLVRRVVAPAVAFILYNVIIPVSVMIPELFLPIWGVAYIPTALLIVTAIRNPENLHTVPLWILFESVMSMHRLRAAVAGLLQLQEFNQWIVTKKVGNNAFDENNETPLLQKSRKRVNLPEIGLSVFLIFCASYNLVFHGKNSFYINLYLQGLAFFLLGLNCVGTLPDHCCF >ORUFI03G19920.5 pep chromosome:OR_W1943:3:14396388:14400090:-1 gene:ORUFI03G19920 transcript:ORUFI03G19920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGAVLFLLAAAVSLLAAVSTGALDFTYLVTVVGEGSSTSPGSGGGAWWREAWVGARSRAVAPALQVGVWACMVMSVMLVVEATYNSAVSVAARLVGWRPERWFKWEPLGGGAGAGDEEKGEAAAAAYPMVMVQIPMYNELEVYKLSIGAVCGLKWPKERLIIQVLDDSTDAFIKNLVELECEDWASKGLNIKYATRSGRKGFKAGALKKGMEWDYAKQCEYVAIFDADFQPEPDFLLRTVPFLMHNQNVALVQARWVFGKTSSFDMSLRLPFAVLASGKYSVYIVNDRVSLLTRIQKTFLDYHFKAEQEAGSATFAFFSFNGAHLDCSCFISQKEHGDSLLNTGTLLGTAGVWRTEAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDLRVKSELPSTYKAYCRQQFRWSCGGANLFRKMIWDVLVAKLFLPIWGVAYIPTALLIVTAIRNPENLHTVPLWILFESVMSMHRLRAAVAGLLQLQEFNQWIVTKKVGNNAFDENNETPLLQKSRKRVNLPEIGLSVFLIFCASYNLVFHGKNSFYINLYLQGLAFFLLGLNCVGTLPDHCCF >ORUFI03G19920.6 pep chromosome:OR_W1943:3:14396388:14400090:-1 gene:ORUFI03G19920 transcript:ORUFI03G19920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGAVLFLLAAAVSLLAAVSTGALDFTYLVTVVGEGSSTSPGSGGGAWWREAWVGARSRAVAPALQVGVWACMVMSVMLVVEATYNSAVSVAARLVGWRPERWFKWEPLGGGAGAGDEEKGEAAAAAYPMVMVQIPMYNELEVYKLSIGAVCGLKWPKERLIIQVLDDSTDAFIKNLVELECEDWASKGLNIKYATRSGRKGFKAGALKKGMEWDYAKQCEYVAIFDADFQPEPDFLLRTVPFLMHNQNVALVQARWVFGKTSSFDMSLRLPFAVLASGKYSVYIVNDRVSLLTRIQKTFLDYHFKAEQEAGSATFAFFSFNGAHLDCSCFISQKEHGDSLLNTGTLLGTAGVWRTEAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDLRVKSELPSTYKAYCRQQFRWSCGGANLFRKMIWDVLVAKKVSSLKKIYILYSFFLVRRVVAPAVAFILYNVIIPVSVMIPELFLPIWGVAYIPTALLIVTAIRNPENLHTVPLWILFESVMSMHRLRAAVAGLLQLQEFNQWIVTKKVGNNAFDENNETPLLQKSRKRVNLPEIGLSVFLIFCASYNLVFHGKNSFYINLYLQGLAFFLLGLNCVGTLPDHCCF >ORUFI03G19930.1 pep chromosome:OR_W1943:3:14403505:14405527:1 gene:ORUFI03G19930 transcript:ORUFI03G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGDKSILLRLLQSSLLLPYARWRRQIHSPEAATVVIAAPICPAMADPCAKYGEKRWKGEVTGGSASPVATDGSTYLESTVIVVVPLSRCFAPPHRRRGIMLTRLCKGRRWCRSNGRHERGSVGGRSAVASLGKGKGSVGGSVQAGQWRGEATPAGARAVAGGEKARGQSMAAAECGYRSRSREGGGTEGRRVIDREVRRRRSTWARGRGTEGGLRVSFGKSKT >ORUFI03G19940.1 pep chromosome:OR_W1943:3:14406459:14407801:1 gene:ORUFI03G19940 transcript:ORUFI03G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGGGGGGGGIAGKKRKAVGGGGGGGGGGGSGYSERAAAAVVMRREPRRGLGVAELERIRVQLEAAQSLFMIPPSLLPSSSSSSSAAGGGASPTTRAAAAAAVSRGRRALRPPTTTTTTTIRYYLHQTAAAAAAKLISNELYRMQLQDYRRRRAQPQMELHGETTAAASRSQSIIPFVNLVDDDDDEEAAAGDGAGKELDLELRL >ORUFI03G19950.1 pep chromosome:OR_W1943:3:14408239:14414963:1 gene:ORUFI03G19950 transcript:ORUFI03G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLTKPPSPRPRRRRCRLCGICLGTALLALLVSSLAHLFSPPQPQPQLQPPRPSPSSSPPRFAVIIDGGSTGSRAHVFATGPGGRPDLARSAVMRVTPGLSSFADEPARAGDSLRPLIDFAREKVGGAAAEVRLMATAGLRLQEGRLQEAILSSCRDVLRASGFRFEDSWAEVIPGSDEGIYAWVAANYALGTLGGDPHKTIGIIELGGASAQLTFVSDEVLPPELSRNFTFGGTTYTLYSNSFLNFGQNAAQESFHEILRSKDSKNGTLVDPCAPKGYSRIKEVISRPSSASKSKLENQFADSGDGDFTVCRSSSLALLKKGNEECRYQQCQLGPTFVPELRGHFLATENFYFTSKVLNSTSSLFSLTPTHIIMCQFFGLKQSSSLSDFVLAGEQFCNKDLSTLRKMIEYSNQVGDTQVEWALGAFISNIKGVIVEPSATGRSAHRSRPLLAVLLGVFLLGGALCLARWRKPKTKIIYDLEKGRLIHDKVGPGLIPWATRKASSAQST >ORUFI03G19950.2 pep chromosome:OR_W1943:3:14408239:14414963:1 gene:ORUFI03G19950 transcript:ORUFI03G19950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLTKPPSPRPRRRRCRLCGICLGTALLALLVSSLAHLFSPPQPQPQLQPPRPSPSSSPPRFAVIIDGGSTGSRAHVFATGPGGRPDLARSAVMRVTPGLSSFADEPARAGDSLRPLIDFAREKVGGAAAEVRLMATAGLRLQEGRLQEAILSSCRDVLRASGFRFEDSWAEVIPGSDEGIYAWVAANYALGTLGGDPHKTIGIIELGGASAQLTFVSDEVLPPELSRNFTFGGTTYTLYSNSFLNFGQNAAQESFHEILRSKDSKNGTLVDPCAPKGYSRIKEVISRPSSASKSKLENQFADSGDGDFTVCRSSSLALLKKGNEECRYQQCQLGPTFVPELRGHFLATENFYFTSKFFGLKQSSSLSDFVLAGEQFCNKDLSTLRKMIEYSNQVGDTQVEWALGAFISNIKGVIVEPSATGRSAHRSRPLLAVLLGVFLLGGALCLARWRKPKTKIIYDLEKGRLIHDKVGPGLIPWATRKASSAQST >ORUFI03G19960.1 pep chromosome:OR_W1943:3:14416419:14423290:1 gene:ORUFI03G19960 transcript:ORUFI03G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEPINAHKLLKEQFVSNLTGSSLLEIAALSTVVPAVVVLRKWSCGDNTRKNSLKKNDDALPGHKGFVHYFSTLAIDYLSIVLPVLLIFTVLAEWACACALSLVMLISILTMFKRSRSHLKAGPNELPLLRADISSYRVSVVLVTCLCILAVDFKIFPRRYAKAETYGCGIMDLGVGSFVVANALVSRQARNFTAMTWNKALMSISPLIFLGFARLISTSGVDYQVHVGEYGVHWNFFFTLAAISILTSVIRIHPKYCGLAGLLVLTGFQTWLSFGLNEYLISNERNGGIISQNKEGVYSIFGYWGMYLIGVSLGYNLFFDNSSKGKSRSSQVVKVWVLAASFWIMAIILDSYVERVSRRMVISILTLAGFISYEKNLVLEDAFNQNMLGSFLLANILTGVVNLSVDTLSASSGTAFMILLVYSFTLCMIAALAQFCGIRMKFW >ORUFI03G19970.1 pep chromosome:OR_W1943:3:14437459:14438337:1 gene:ORUFI03G19970 transcript:ORUFI03G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGHGAGVVRAGARPGQLARRAAQDGPPPLQQELPPPLDQLPPPGHPPRRLLPPRGAPHPPPPGPPRQPLGRHRLLPPPPHRQRRQELLEHPPQEEARPHLLSSSSPPTPTTPLVARGQWERKLQTDIDLARRALRDALSVDDAASPAMISSGPPAPAAAAAYALSERNISVMLSGWAAPPPARKGLSACNPAAATTTPGGAAAESASTAGTSLELTADCCSGGGDSSASNCLPSSMLLACDDGDATATAAGVAPLSAIESWLLLDDSGEPQLALDEQLLDVALRNYAF >ORUFI03G19980.1 pep chromosome:OR_W1943:3:14452016:14452291:-1 gene:ORUFI03G19980 transcript:ORUFI03G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHTSRLDLFLVCTVVVVVVALHHATAVHGLTRAELVLAPAPAMAPAPAPPANNVVGVDAAKERFAATTAAAQTSKWRVRRGSDPIHNRS >ORUFI03G19990.1 pep chromosome:OR_W1943:3:14456677:14461357:1 gene:ORUFI03G19990 transcript:ORUFI03G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSTESRTRTTVSVVVLFGLCSFFYLLGVWQRSGFGRGDSIAAVVNEQTKCVVLPNLNFETHHSASDLPNDTGSTEVKTFEPCDAQYTDYTPCEEQKRAMTFPRDNMIYRERHCPPEKDKLYCLVPAPKGYAAPFHWPKSRDYVHYANIPHKSLTVEKAIQNWVHYEGKVFRFPGGGTQFPQGADKYIDHLASVIPIANGKVRTALDTGCGVASLGAYLLKKNVLTMSFAPRDNHEAQVQFALERGVPAYIGVLGSMKLSFPSRVFDMAHCSRCLIPWSGNDGMYMMEVDRVLRPGGYWVLSGPPIGWKIHYKGWQRTKDDLQSEQRRIEQFAELLCWNKISEKDGIAIWRKRINDKSCPMKQENPKVDKCELAYDNDVWYKKMEVCVTPLPEVKTMTEVAGGQLEPFPQRLNAVPPRITHGFVPGFSVQSYQDDNKLWQKHINAYKKINNLLDTGRYRNIMDMNAGLGSFAAALESTKLWVMNVVPTIADTSTLGVIYERGLIGMYHDWCEGFSTYPRTYDLIHANAVFSLYENKCKFEDILLEMDRILRPEGAVIIRDKVDVLVKVEKIANAMRWQTRLTDHEGGPHVPEKILFAVKQYWVVESKSS >ORUFI03G20000.1 pep chromosome:OR_W1943:3:14465330:14468514:1 gene:ORUFI03G20000 transcript:ORUFI03G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSERGDVATAIRPAAADKLVHGPISDKKCRKKVPRKVHKSEREKLKRGHLNDLFGELGNMLEADRQSNGKACILTDTTRILRDLLSQVKSLRQENSTLQNESNYVTMERNELQDENGALRSEISDLQNELRMRATGSPGWGHGATGSPLPVPPSPGTVFPSQQPMQPSPMTTSTVFPLQQPLPQPTVIEPSARQPLELKLFLEAPPAEDPEPSEDQEAPNNVARPQPRYPTEASSWPISLGLPRMEDEQM >ORUFI03G20010.1 pep chromosome:OR_W1943:3:14467816:14469850:-1 gene:ORUFI03G20010 transcript:ORUFI03G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLRRALRVPTSASGLRRLSSNRRAPPPSRAAAGAATATTGDDEWNDAWETSWLPGDSPTSSPAPAAPWESPTSGAATVPAISAEVDPDTKAFVADMDERWAERRAASRRPRPAPRAEGAGGAAAKKAQADEYRARKQRVHAALWVKEIEKMEEARLGGGGGGADDIDRLLDSCSEIFDSGNTDFGDSKIPSTAEIKTKPDGWETTSRGQDGSIWDISQREDDILLQEFERRIAFSKQQIASFIKTHIFSRRRPIDGWKYMIEEIGPNARKGKGSVQRLPSVTDPATQPYREEPPAIASGSPFRGNRP >ORUFI03G20020.1 pep chromosome:OR_W1943:3:14471257:14473548:1 gene:ORUFI03G20020 transcript:ORUFI03G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSFYRNYGKTFKKPRRPYEKERPDAELKLYGEYGLRSKCELWRVHPIRNAARELLTLDEKNPRRIFEGEALLRHMNRYGLLGEGQNKLDYVLALTVENFLQCRLQTIVFKNGTVKSIHHDHVLIRQHHIRVRRQLVNIPLFMHIDFSLTSPLAVSLEGSKRKNQKKASGGDGDGDGDEEEE >ORUFI03G20030.1 pep chromosome:OR_W1943:3:14478571:14490697:1 gene:ORUFI03G20030 transcript:ORUFI03G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIIGPLVWRLQEMAVGQARALVSVNDDIVRLRDRLMWLQAFLREADAKRRAVSDEVNKMMEGGNEEKPDIGNTTSNNVVLIERETTTTSSCGEHGRILLSSPSPSLFKANVLGSLAYKYITMGIPQWFPRWAQPSMRYVVTFTTQVPMRHILSKKIMAINTRLEEIIQNKDRYKMDDVNKGIEVTWKASTSISESNSELDDLQQGNLTLYEEYQEELEKALTPTDQELQKNDNRPIVVSVSGKSGVGKTTLVRNVYNIMKKKNCFDVHAIKSFAPHLTAPNILHQIVQQLTEDNKNCPRSMVHEMLATALRDKKYLLVIDGEVSRTEWKNIITMLTTLAVGSTGNRIVHIRFDRPEQSSLYYHHHIRLEPLENNVVMKLFHKRLRNQDKQGDAGGPMVLKLKKLLQFDAQYQKLEEYREDICKITEGLPLAVVLLAGLVQTKEFPHEWTEVFKYLSSKKSKRLDNLLSLCFDDLPHELKCCYLYFAAFPPNVLVEARNLVCMWMAEGFLTPRVGKTLEKVGYIFLNELISRNLVNLVPVDDNSTTGTMFISIQNKVHEFLQSEAHEASFLEVHSGDDIPTLTSARRLSLQNYTDKYAALANPLPKLRSIFSQYEQEPKEQEPKGDQTRQCCTPPQQWVTNKKQKDIRSHIKGLLQGSEFLRVIDLQGIEIGDELPHAIGSVVHLQYLGITSCSLTVIPPSIGSLSGLQTLDVRETNVRKLPLNFWLMIKTLRHVFGFTLKLPKQIGSMKHMQTLDSIELDNCEKDLIGTVGKMVHLENLFVWNITTGNMEALIAALSKLENLRNLALHGHIIPSTVFITISLRRLKSMKLQGKLKFLYEITGMDVCLPNLSMLSLEKTKVSQGFISKLAELPSLETLALYSESYKDEHLLFSSIGFVSLKKIKLDVPTTLKTIEIEQGALHILKEFDILSQRPHVKIIAERRIKKLIV >ORUFI03G20040.1 pep chromosome:OR_W1943:3:14498833:14501532:1 gene:ORUFI03G20040 transcript:ORUFI03G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPCRTFIPFASTYYGFVGTSATKRRGYGGRESRHRSVPCPTQVGSSHAARRQEPDARAGADPEELVAEKATSLDRVLDEQHDRIQKLNAMASGCYVEGEGVAAKVMKELREKECVHQLLNAKTEELWMKTSELTNN >ORUFI03G20040.2 pep chromosome:OR_W1943:3:14498833:14501774:1 gene:ORUFI03G20040 transcript:ORUFI03G20040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPCRTFIPFASTYYGFVGTSATKRRGYGGRESRHRSVPCPTQVGSSHAARRQEPDARAGADPEELVAEKATSLDRVLDEQHDRIQKLNAMASGCYVEGEGVAAKVMKELREKECVHQLLNAKTEVESIDLDSAR >ORUFI03G20050.1 pep chromosome:OR_W1943:3:14504313:14504852:1 gene:ORUFI03G20050 transcript:ORUFI03G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGGGGLPPSRAVLASRFRSNSDHLMRLHSTWINSAATPAAAPYSRESLAMLAARLLSVSDDHLQRQQQQHVSKIISTSLPGCTTGGVAPASKEAIEALRDVVVDQLAPAAECAICLHGQDAATAAAGRWKEMPCGHRFHGVCLVKWLRVHGTCPMCRHQMPAEEAAAAAAAEGRRS >ORUFI03G20060.1 pep chromosome:OR_W1943:3:14505624:14507887:-1 gene:ORUFI03G20060 transcript:ORUFI03G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAAAAAQHLPDDLLRDILLRLPPRSATRCLAVCKGWRSLVSDPSFRRAHAERPAGVAEADHTFCLRQDGDWTFQQRLREVVLFDSFRSRWCRGDVRKAPPLDLTLIPSPYAPAATMVLGSWDGVLCVERGAPPLRRLRWRLFGWPDDGSGRRGYVLWHPFAMACATVSPPPGRGVIIGAYAHPATMRFHLLHAAGEAACLVDPGLYVATAFRLRRVGDGAWREVPLPQLEDADARLKMHGARSIQLHGNLHWLVQRGSGSAGKLQVLVFERARERFRLMDAPPRRRGEEEDMARSRICVLSSGKLCAVAVARATSTMEMWVLDDYHHCSDDARISGWRLMERVSLVMWDGDGRRDLSRTFTSETQVEAVHGEVEGEEVIVRNGGEVDAYSLRRGAWLRVRGISSSGGPVLDVALLAHRDSVVHHDNIIM >ORUFI03G20070.1 pep chromosome:OR_W1943:3:14522858:14527230:1 gene:ORUFI03G20070 transcript:ORUFI03G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSYKSFRHRCYEHYVKHGGGENARMNPHKALEDRLADWFWLCDHFETEEFQKRSTIGKDNRILCKHVLRTFNHNNIIVLPPQYILSRWTKYAKRRIFACKRKGQSDSLASQSTYLCRKMISVTLKCGVSNEVFQHLDHGFDKLTIEVENLLSKMKMKLHNVFLSVQKKLQKREETTQPSNINIAGASQPTEPLDSNTVMPFGDISMMFVPPIRGEFTNLLFQAHHETTAAASASRCLEFENQDPN >ORUFI03G20070.2 pep chromosome:OR_W1943:3:14522858:14527230:1 gene:ORUFI03G20070 transcript:ORUFI03G20070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSYKSFRHRCYEHYVKHGGGENARMNPHKALEDRLADWFWLCDHFETEEFQVFYASMYYGPSTTTILLWTKYAKRRIFACKRKGQSDSLASQSTYLCRKMISVTLKCGVSNEVFQHLDHGFDKLTIEVENLLSKMKMKLHNVFLSVQKKLQKREETTQPSNINIAGASQPTEPLDSNTVMPFGDISMMFVPPIRGEFTNLLFQAHHETTAAASASRCLEFENQDPN >ORUFI03G20080.1 pep chromosome:OR_W1943:3:14528537:14529103:1 gene:ORUFI03G20080 transcript:ORUFI03G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEEDDHRLHPRTRTIADLLNQLDQIHSTSATRTTGGGGGVAPASDEAIEALKDVTGDIDQLPAECAICLHGGLDAAAAPAGWKEMPCGHRFHGGCLEKWLRAHGTCPMCRHQMPTTTAPPPPAAEQEDYLDGDEEEDAGDDDEVEAGVGPLTLELRVVVAHSSGGYGGVAASASTTRRLLAWSTTI >ORUFI03G20090.1 pep chromosome:OR_W1943:3:14534090:14539127:1 gene:ORUFI03G20090 transcript:ORUFI03G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTGAMHTLLPKLDTLLTGEYNLQRGLTGEIKCLRAELEIMQAALMRVSEAQMTDNMVKIWARSVREISYDIEDIIDTFMVHVEAQPSARLRGIKGFFIRSLGLLTRAKIRRRIAIDIKGIKVLVKEVAERRDRYRIDVVIDQPMAQAIDTRLHGMYEETTRLVAISGPTDELSSLLMEREGTSKRQLKVVSIVGVGGLGKTTLANVTYQRLRHQFDCDAFVSVSLKPDLKRILSSLLRQVSEEDYTNIETWEAEELINRIMRVLVDKRYIVIIDDIWDESAWKYIKCALVENNCGSRIITTTRSVNVAMSCCSDIDSTVYKLKPLLHDDSKQLFYKRVFGSEHGCHPELKETSEKILKKCGGVPLAIITIASLLANKPRNISEWNSVHNIIGSGLEKGFSMENMRQILSISYNDLPSILKPCLLYLSVFPEDYSIPTDQLVRRWIAEGFVHGQHDTVSLLQLGFSYFFELINRSMIQPEHLTDYESCRVHDMVLDLIKSLSTEENFVTTFDGYQHADLPEKVRRLSLQNNEEGHNLTDATLNLSHLRSVIVFPGATNLMPPLSNLPVLRVLDVEHCRDLENHHIAGVEKLFHLRYLGLRDTNVTKLPKEVGNLHCLHTLDLSHTSITELPSTAIRLKQLVRLYIEDSVKLPKGIGKLKLLQVLSSIGVSSSPDIVGELGYLTELRVLHISLISGTGTWCKSYEKPLLDSMFKLQKIQELHIQSFGVPTDFIADLGWFPQHLKDFLGGGISRLPSWMNSSLSNLYQINMSLYILRQEDLQNLGLIPILRYLYLSIVEIESTEERLVIGTGSSQFQCLYHLSFDSCRAMGLMFVQGALPNLVSLDITLRARETKDLYGDFNIGLENLCSVRKVSVKIRCTSCRRCEVDSAEADIRKAIVNNPNNPMFDITRCYEYELDEEQHHDNLEAIEEEEQLQSMPQRVGLWGGEGNRNHDIVVAPWRMECVKVSWGQVVDGIGFSYLDKNGKQHTTPLWGGVGGSVRMIHLDPSEIVTEVSGTCGPFSQFPSVVTSLQLVTNLRSYGPFGQAKGTKFRTRVKQNGSIVGFFGRSTIYLDAIGVYVRP >ORUFI03G20100.1 pep chromosome:OR_W1943:3:14563080:14563771:1 gene:ORUFI03G20100 transcript:ORUFI03G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRAAPAADDAAETQESLLDMRQFMDMTLNDFNLSMMPSTTYSATSSTTTTSRRRSRRPAPTRASSRAAIVEAPRDDVDDGQEQDNCAICLDRDDAAAADWKETPCGHRFHGGCLDKWLEAAYATCPMCRRHVTPPPIADEDDDDDSESDDDDDDDDPF >ORUFI03G20110.1 pep chromosome:OR_W1943:3:14568664:14570057:1 gene:ORUFI03G20110 transcript:ORUFI03G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGAGRDGILAFAHQLARQAAFLLQASNDLLASLLQSTSRGGGGADILSFANQLARQAGAFLQDSNDILASLLQSTSRGAAAGAAAASDEAIQALKDVGGGDVDGGGQKLDCAICLNHDDPSASAAAGWKEMPCGHRFHGGCLEKWLRMHGTCPMCRHQMPAAEVVEGAASEVTTSEPLLLIARVRRSGDGGNEEEHYHYYLYEIRKWREYIATVAL >ORUFI03G20120.1 pep chromosome:OR_W1943:3:14572298:14574174:-1 gene:ORUFI03G20120 transcript:ORUFI03G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSARSVAWQWLAVAAAVAAASLIGGTPAEARRGGKESIGFYELRRGEFSVVVTNWGATILTVNLPDKNGHIDDVVLGYKDIGSYVNDTTYFGALVGRVANRIAGGRFTVKGHAFHTYKNDGNNTLHGGHRGFNQVFWSVRERATGHFPYITFYYRSYDGEQGFPGALDVLVTYKIDGDYSFSVTMYARPVDDGKPTPVNLAQHTYWNLRGHGNGTILDHSVQIFASAVTPVGAGLIPTGAVSPVSGTPFDFRAPAAPGARIADVPGGGYDIGCVRHSTFSGRYTRWENVQTNAAINYVLDGEADGQGVRKVAVVSEPTSGRVLELWSDQPGLQFYTGNFLKGDEGKGGARYVKHGGLCLETQDYPDAVHNAKFPTEIYRKGQEYKHYMLYKFSLAKK >ORUFI03G20130.1 pep chromosome:OR_W1943:3:14578395:14584919:1 gene:ORUFI03G20130 transcript:ORUFI03G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGDGEAAVMRAEVAALRLRVQELERENQRLGKIASRCTCGSSKDDSAASSPVVSESLSSSKQEPQKNVKSHCRGCQVGVVSHCPKRLIALKIMYFGQRFYGFASEAHTEPTVESEIFKALERAKLLVGSRKESCYSRCGRTDKGVSATGQVISLFLRSNIKDAKLDVLDNKSEIDYVKVLNRILPHDIRVIGWCPVAGDFLAREYKYLFWKGDLNISEMQKAAVKFIGEHDYRNFCKMDAANVSNYRRHITDFNISAYDQRSNYDELWAITIKGSAFLWHQVRCMVAVLFLIGQGLETPCIVDSLLDITKTPRKPQYKMASEIPLILRSCLFDEVNFMCSSEASQALIEHLKDEYHQYMLQAAIFCEALSCLCSPEPDPFEPRHKKRNHIPLMSRQTEPSYEERIAKVKTKSAGSV >ORUFI03G20130.2 pep chromosome:OR_W1943:3:14578395:14584919:1 gene:ORUFI03G20130 transcript:ORUFI03G20130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGDGEAAVMRAEVAALRLRVQELERENQRLGKIASRCTCGSSKDDSAASSPVVSESLSSSKQEPQKNVKSHCRGCQVGVVSHCPKRLIALKIMYFGQRFYGFASEAHTEPTVESEIFKALERAKLLVGSRKESCYSRCGRTDKGVSATGQVISLFLRSNIKDAKLDVLDNKSGDFLAREYKYLFWKGDLNISEMQKAAVKFIGEHDYRNFCKMDAANVSNYRRHITDFNISAYDQRSNYDELWAITIKGSAFLWHQVRCMVAVLFLIGQGLETPCIVDSLLDITKTPRKPQYKMASEIPLILRSCLFDEVNFMCSSEASQALIEHLKDEYHQYMLQAAIFCEALSCLCSPEPDPFEPRHKKRNHIPLMSRQTEPSYEERIAKVKTKSAGSV >ORUFI03G20130.3 pep chromosome:OR_W1943:3:14578395:14584919:1 gene:ORUFI03G20130 transcript:ORUFI03G20130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGDGEAAVMRAEVAALRLRVQELERENQRLGKIASRCTCGSSKDDSAASSPVVSESLSSSKQEPQKNVKSHCRGCQVGVVSHCPKRLIALKIMYFGQRFYGFASEAHTEPTVESEIFKALERAKLLVGSRKESCYSRCGRTDKGVSATGQVISLFLRSNIKDAKLDVLDNKSGDFLARSNYDELWAITIKGSAFLWHQVRCMVAVLFLIGQGLETPCIVDSLLDITKTPRKPQYKMASEIPLILRSCLFDEVNFMCSSEASQALIEHLKDEYHQYMLQAAIFCEALSCLCSPEPDPFEPRHKKRNHIPLMSRQTEPSYEERIAKVKTKSAGSV >ORUFI03G20140.1 pep chromosome:OR_W1943:3:14586826:14592473:1 gene:ORUFI03G20140 transcript:ORUFI03G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMDLMRRMPPGRAETALNALLSLIPDHSLDLLSQVDLPLQVCMDKESVKEYILCEYNRDADSYRSPWSNKYDPPLEDGTVPSEEMRNLEVEANDVFSVYRDQYYEGGISSVYIWEDEDESFIACFLIKKDGEGKRGHMQIGSWDAIHVIQVGPEEEGAAHYCLNSTVMLSLTTDNKQSGTFNLSGSIRRQMSMTLAVADGHLVNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPPEVLNRRLPDS >ORUFI03G20150.1 pep chromosome:OR_W1943:3:14590545:14593288:-1 gene:ORUFI03G20150 transcript:ORUFI03G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRAFRKPLTQVSHRGRIYGFALHLLPPPRGAASYTLPPPPASSPRSSKKNPNPSTPNRHAGREERTTSHRARPNRRSCFPEEKNMSRHPSTKWAQRSDKVFLTIELPDARDVKLNLKPEGQFIFSAKGPADDTPYELDLELFDAVNVEESKAAVAARSICYLIKKAESKWWPRLLKKEGKPPVFLKVDWDKWQDEDDEDIGLGDLGDMDFSKLGMGGPDDDLEDDDEDDTADSANKDDEDIKAEGSGEQEAAGEAKP >ORUFI03G20160.1 pep chromosome:OR_W1943:3:14596180:14598817:1 gene:ORUFI03G20160 transcript:ORUFI03G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRHSSVTPGNDSGSGRRGRGSGSGSGSGRRSRGSDRSGDGSEDSSSRTRRSRLSAQSTGTRLMPAFDDAAGDGDGRILGSSSSSPSGGLDLGLEEFRRVQHEASRNPNLQRLLFHSSPVRQPTQDDEVIVMDGVLVDTTSGSGASGRYGLNRQFFDGKGDPRVVRPIKRTSYEMEPQIRRPAQGPGFYMQRPPTPPPTPRGFPPPLPPPGAGAPRGGSATPAMIPGHPGAFYPFPPPSLPGVGPPRGGGAIPGLPAGFPFLLRPPPPLPVPGVICRPPPSPPYFAPPPRATPTVSLAGPPPGFNPKRGLIGRGEAITLPESERPTPPPPPPPLPPTPVAQHKRTEFSWPPKTTAPAVTLLTRAPPLSSAPKQHPESEAPPLAPSSAPSPREEFAWPLTDEEDELIINVLYGPTNRRRLPVFRRICPD >ORUFI03G20170.1 pep chromosome:OR_W1943:3:14599249:14599944:-1 gene:ORUFI03G20170 transcript:ORUFI03G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVGDSTAAANGGGGAAASAAPPEAAGKGSATISVTVVLLVLLVASVAAFLMSPQPGGGKPPVEGVSGGGDSDGTRGGGGGLEGVKGAEPVEQAVGPGAAAIPGFNSRLDAFRAWAKLTWMKLRRPHSGEPRRYDDDAGSSGSAADAAKRSLEMTKETVEQAAASAARAAGNAVGKASDKVKGAASPAKRAPSDAEL >ORUFI03G20180.1 pep chromosome:OR_W1943:3:14610473:14610739:-1 gene:ORUFI03G20180 transcript:ORUFI03G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAIYAAGDTTNFMPSKKKKKKKKKKKKKKKKKKKKHACFDLYPTILRPSHPCSWKVAGVDF >ORUFI03G20190.1 pep chromosome:OR_W1943:3:14618506:14619244:-1 gene:ORUFI03G20190 transcript:ORUFI03G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFFLSLSSPLPQPSLRQLPQQPAAGTSNGDTQRRRGQRRRGRGQRRERRGSGVGGGNGKARGQWQQRRGGGVGSGNGEARGRRRQRRGPGTATTMLEDGSIHTQRGSPPLPPRSGQRGGEEGEVAAAAPGGGGRRWRRRRPPPPTAAAPLRSSPNPRSARAYLCADDELGYRVGVKEKIGVKPGEMFLDLESEAGRGP >ORUFI03G20200.1 pep chromosome:OR_W1943:3:14621867:14623270:1 gene:ORUFI03G20200 transcript:ORUFI03G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLALVTVLLLAHAVAYLAWTAAARRRQSRCYLLDYVCHKPSDDRKVSTEAAGAVIERSKRLSLPEYRFLLRVIVRSGIGEETYAPRNVLDGREGEPTHGDSLGEMEDFFGDSIAELFARTGFGPRDVDVLVVNASMFSPDPSLASMIVHRYGMREDVAAYSLAGMGCSAGLISLDLARNTLATRPRALALVVSTESIAPNWYTGTDKSMMLANCLFRCGGASVLVTNDPVLRGRAKMELGCLVRANIAANDDAHACALQREDDDGTVGISLSKALPKAAVRAFAANLRRLAPRILPITELARFAAQLLITKKLLRRRATAATATKHTGGDGPRINFKTGVDHFCLHPGGTAVIEAVKRSLGLDDDDVEPARMTLHRWGNTSASSLWYVLSYMEAKGRLRRGDKVLMVTFGSGFKCNSCVWEVTGDMADKGAWADCIDAYPPENTANPYMEKYSWINDVDGDSLII >ORUFI03G20210.1 pep chromosome:OR_W1943:3:14656494:14660101:-1 gene:ORUFI03G20210 transcript:ORUFI03G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPADDLTLTTELACAIVQRNERLGIPEFRFLVRLISRTGLGDRTYAPRNLLDGREELAAQRDSVDEMDACFDGAVPELLARTGLRARDVDVLVVNVNGFFPEPCLASRVVRRYGMREDVAAVVRRYGMREDVAAYNLSGMGCSATLVAVDVGRNAMRARSPRPVVALVVSTESLAPHWYAGKERTMMLAQCLFRCGGAAVLLSNDPAHRGRAKMELRRLVRSTTAASDDAYSCIMQREDDDGLRGVSISKALPKAALRAFAANLQRLLPRVLPAMEIARLAADLAWQNLLQRRRHRGQTKLKINLKAGVDHICLHAGGVAVIDAVKKSFGLEERDVEPSRMTLHRWGNTSASSVWYVLSYMEAKGRLRRGDKVLMVTFGSGFKCNSCVWEGAWADCIDAYPPESKPSPFLEKFAWINDEAADESSSPF >ORUFI03G20220.1 pep chromosome:OR_W1943:3:14676529:14681270:-1 gene:ORUFI03G20220 transcript:ORUFI03G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERAWPRRIRGGWVVPLPSPTSDLCAPGLSATMSSYPVLNNRPIDQWRVTDLKDELRKRRLPVKGLKDELVRRLFESIQSEKEEEEEEQDNETVEVNPAANQASEIQSVSQETTVSITEVHKETVVQVTQEATPPITEVSQSLTVSAAEEPPKVNAVATHEAPLSKAPTDKGEEPPIAGDVSTVQNEHLHAENNTEPFVEKTQDVGTNEAIGALDMTSADVESDMTSSDIKIDATEASKVQQHDTVATTVDAIPTDADPMDTDVATEKAVLNDLGDTTSVYDEERKDSELTNEDEKPIAPKPNDQAKPEMVKPSSGITSIGGDLQPLDDDKDLGKNQSSLEYIDSTANVDEGGSPEKLNLDRSSGDESMEEDVMEIKQVESNIKSEGTAELSSDHVKEVSLPDTVVDNSSVDTKEVIADEKTAASTEKRKLEAEETVAATEPIKRQRRWAADGAKVPERQPISHSGSDAPKENFQPALKRSFGRSDSTASGDSPKERVVPPSQKPATASLRIDRFVRPFTLKAVQELLGKTGSLCSFWMDHIKTHCYVTFSSVEEAVATRDAVYDLQWPPNNGNRLVAEFVDPQEVKLKLEPPPPAAAPISPATTPKEPPFQQAQANQNMPRQAAAPREHLPPPPPLTTLPTSDSGSAKERLPPTPKKQPEPPVVTLDDLFRKTHSSPRIYYLPLSEEEVAAKLASQGKGKRE >ORUFI03G20220.2 pep chromosome:OR_W1943:3:14676529:14681270:-1 gene:ORUFI03G20220 transcript:ORUFI03G20220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERAWPRRIRGGWVVPLPSPTSDLCAPGLSATMSSYPVLNNRPIDQWRVTDLKDELRKRRLPVKGLKDELVRRLFESIQSEKEEEEEEQDNETVEVNPAANQASEIQSVSQETTVSITEVHKETVVQVTQEATPPITEVSQSLTVSAAEEPPKVNAVATHEAPLSKAPTDKGEEPPIAGDVSTVQNEHLHAENNTEPFVEKTQDVGTNEAIGALDMTSADVESDMTSSDIKIDATEASKVQQHDTVATTVDAIPTDADPMDTDVATEKAVLNDLGDTTSVYDEERKDSELTNEDEKPIAPKPNDQVPEVSPDLGSPIKCESISSDDISTNKKNNIKDNLNANNFDLELEAKPEMVKPSSGITSIGGDLQPLDDDKDLGKNQSSLEYIDSTANVDEGGSPEKLNLDRSSGDESMEEDVMEIKQVESNIKSEGTAELSSDHVKEVSLPDTVVDNSSVDTKEVIADEKTAASTEKRKLEAEETVAATEPIKRQRRWAADGAKVPERQPISHSGSDAPKENFQPALKRSFGRSDSTASGDSPKERVVPPSQKPATASLRIDRFVRPFTLKAVQELLGKTGSLCSFWMDHIKTHCYVTFSSVEEAVATRDAVYDLQWPPNNGNRLVAEFVDPQEVKLKLEPPPPAAAPISPATTPKEPPFQQAQANQNMPRQAAAPREHLPPPPPLTTLPTSDSGSAKERLPPTPKKQPEPPVVTLDDLFRKTHSSPRIYYLPLSEEEVAAKLASQGKGKRE >ORUFI03G20230.1 pep chromosome:OR_W1943:3:14686188:14687524:1 gene:ORUFI03G20230 transcript:ORUFI03G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLEYLAGLFSCGDHHHGHKNSKRRQLQTVELKVRMDCDGCELKVKNALSTLKGVESVKINRKQQKVTVSGYVEASKVLRKAQSTGKKSELWPYVPYSAASQPYVAAAAYDRRAPPGHVRNVEASSAAYVSGGGRTEERLTNLFNDEDPNACSLM >ORUFI03G20230.2 pep chromosome:OR_W1943:3:14685979:14687524:1 gene:ORUFI03G20230 transcript:ORUFI03G20230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLEYLAGLFSCGDHHHGHKNSKRRQLQTVELKVRMDCDGCELKVKNALSTLKGVESVKINRKQQKVTVSGYVEASKVLRKAQSTGKKSELWPYVPYSAASQPYVAAAAYDRRAPPGHVRNVEASSAAYVSGGGRTEERLTNLFNDEDPNACSLM >ORUFI03G20240.1 pep chromosome:OR_W1943:3:14691876:14692461:-1 gene:ORUFI03G20240 transcript:ORUFI03G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGRGARAAAAHRRRSAGGGTTRDQIQGSAMNGDEQCADDDDGALQCAEDDTVTIRDGNLIRLLVYPWVNRHARGHMAPAGNGHG >ORUFI03G20250.1 pep chromosome:OR_W1943:3:14694624:14695091:1 gene:ORUFI03G20250 transcript:ORUFI03G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPHMRHHGRTLQGGVPTCHGCTGQRGLKMKERSKRRNGRTCSVCWPRHGRTMTAHPTATPAVPTAVAPAPGGRIAQHGRRAATTQTSCRCRTYRLQPLPGRLSSSSTPPQPGSGPPHGCTPRLAAPVAPPPGALPADDHTWQGAIGGTEKGE >ORUFI03G20260.1 pep chromosome:OR_W1943:3:14697793:14698635:-1 gene:ORUFI03G20260 transcript:ORUFI03G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKKGHLSEYPLNRDRCVGVSSNGLRYVKVRAHRQQHCPSKSPVAPPPLCDDCRAGPVTSSVLYDHSGAWAEERMLKLADVWRDESYRSTGLPKEVVEFPLIDPFDGNIVYFCINEGKDGDGREFCVHLGTKQIKAYSSSYKGLNNGALEPCSQRV >ORUFI03G20270.1 pep chromosome:OR_W1943:3:14715642:14718607:-1 gene:ORUFI03G20270 transcript:ORUFI03G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEGRHRVEERGDAINKRQAKKARKTSADLLLLDRLGYQDESEDVGSSTWTAPMATSRGVRFQLSLRPKETPGVSRLLFKAVVPADILTSYDPSNTDSPFIRQSSALFVLIVASSNDKAILLQALCAGGIDYFVMDHLDHSSLAMTRLPDMPPRVDK >ORUFI03G20280.1 pep chromosome:OR_W1943:3:14740583:14741341:-1 gene:ORUFI03G20280 transcript:ORUFI03G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARPDGHLCPHALELLHLRFRHQHVVPESPLYGWDWEWDRMGSIKMQRKLQGKLKQHRSERLIELQEQCK >ORUFI03G20290.1 pep chromosome:OR_W1943:3:14742203:14745115:-1 gene:ORUFI03G20290 transcript:ORUFI03G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTELTAGGGGGGIMGKEGGGGADRVDLHSSCMDGRHQRKHRVEERGDEIDKRQAKKARKTSADLLLLDHIGYQDESQDDGGSTWTAPMVTSRGVRFQLSLRPKEPPDVSRMLFKAVVPADILTSYDPNNTANPFLRPSARFVLIVISSNDKAILLQALCAVAGLERRRSEEGWHVFFLSTSTNIWRRKLVCLAPDHELRDYHWEVSSILTYRGRFWWVDLRRGLLSCSCDSLLLEDDIEATTQQPLDLDFTLLPNVTMEEAKEARLSEYPLQRDRCVGISSNGLRYVEVRAHRHRCPSKSPVAPPPLCDDCRADSITSWVLYDHSGAWAEERTLKLADGRELPIHGSLIDPFDGNIVYFSINEGKVGDGREFCVHLRTKQIKACSSSYKGLNDGALEPVFTASLKSTRNYDVCFDD >ORUFI03G20300.1 pep chromosome:OR_W1943:3:14746424:14748705:-1 gene:ORUFI03G20300 transcript:ORUFI03G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEGSNPPPPPPQAAPAARPQPITPAEFLSWKQRKDAEEAARKAEAAQKREADIASGAVQMNGRELFKHEPWVFDNSIY >ORUFI03G20310.1 pep chromosome:OR_W1943:3:14751576:14751995:1 gene:ORUFI03G20310 transcript:ORUFI03G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAGSAAVACLLVVLGLAAVAGVDGATASSHPAPAPAPAPAVDCTAAEALKVGACLDYVTPGNPPRSQPSKACCGEVKGVLKDIAGVGCLCAAISTHALPLPINATRVLHLPAACGADASAFTMCLGQSTYFDLLLL >ORUFI03G20320.1 pep chromosome:OR_W1943:3:14758365:14760617:1 gene:ORUFI03G20320 transcript:ORUFI03G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding YIRYFVKKKSISPSPARAITSIHPSIRFPFLAPLLRLPSKAKLLTDSPVELASHGCRRKSRRRGVPPGRRPRGRGGGRRRHGELPGPGAGRGLHGGGAEVGGLPGLRDPGEDGAEPAVEAVLRGGEGRAQGQRRRGLPVRRLHLQDAAPPDQHHPRLAPPRRLRRRRLRLQQVPRTGTVAVRGPGDIVRQRRCGSGTGEGRRRSEVSDGIDHRRARRRRRRGSSSARLLSLLIEESFRSLITCRCEL >ORUFI03G20330.1 pep chromosome:OR_W1943:3:14765721:14766998:-1 gene:ORUFI03G20330 transcript:ORUFI03G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHKFDDFWIHLISGEVTVDKPAMDDDDHSEFLDLVCDDLLELILLRLDSSTTLARAASVCKRWRRVIACDAFLRRVRPLHPPTIAGHYCTGRRTSFNCEGPAAFVPSPSLSARGRSLFSPEFIPSDMRVIDSCGGLLLLGWRDTFQRLAVCEPAARLRRRLHPAAVPEVASSRLLGAHLLPGDDGAAATGATNFKVVYTFQRRRDDDDACHSGVLMVTSVNGDSSGWSSTTLDERLDHRNFVGRAGGSLYWLTGDGAVHVLDGGTSEMTTHAFPDTEMWDCFLRREMDPGRRTYHDTGVRVVDGGGGGGAATMVCVAGHSLEVLAKPHDGGGEWAPVKSVRLPQATRHLRLEFNSRPVIIVAAAAGFVVFKPHGDSKLLFRVDLETEQVVQLEPGVHGAAPQFTCELPWPPPLHACVDEHANY >ORUFI03G20340.1 pep chromosome:OR_W1943:3:14769597:14770816:-1 gene:ORUFI03G20340 transcript:ORUFI03G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLCDDLLDSILLRLDSPVCLIRAASVCKRWRRVVAADDAAGFLRRIRSLHRPTVYGHYSTGHEDSIEAYFHSCRRPVPFFLPSSPASVSTGFHDFLRSYCEILDSCGTLLLLRRLNFTYKGITVYEPLTRRCRVIDDPPAPWNGKQYRDWGAYLLGGADGVTMSSFRVVCTFYDLREHRPRHRSVDLRPQRRRRLAVQDGRGGREAATGQLRGARGRLDLLGDQRRQGARPRRGHHGDVKLRVPGHGDVELFPAPRQMGHHSRALRVVDCGGGAARIVCLAGRNVEVFARLLGDGEWAPEKSVRLPEDTREKTNV >ORUFI03G20350.1 pep chromosome:OR_W1943:3:14775717:14777234:-1 gene:ORUFI03G20350 transcript:ORUFI03G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLFASLLRSAAAPRTPSTPGYLFNRAAAAAYSSSAPYNGQGFPPPQSETASRLGLFSRPGDTRQPSYGDHLMQSQQLSQDYRARTQANNAPRFGDTMIAGGENSSYFGTPSRIFDEHKQSLVKGKRDFVHVLLKRNKTFVTVTDVRGNKKTGASAGCLEDRKGRSRLSKYAAEATAEHVGRAARKMGLKSVVMKVKGTTFFNKKKKVILSFREGFRGERVREQSPVVLIHDVTQLPHNGCRLPKQRRIGTETPTIAWYVMTYEEHIYG >ORUFI03G20360.1 pep chromosome:OR_W1943:3:14782235:14787605:1 gene:ORUFI03G20360 transcript:ORUFI03G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDLHDDLEFVSGGGDDDDYYLGFNHDSGHGFHTSAATAASQTNKQMDDTSALDYKEGKDMQGIPWERLNYSRNQYREMRLRQYKNYENLTMPRDGLQKECKQVERKDTFYDFHLNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLQRGKEVLNVAGQLAPSQNVRGAMPLSRVQISTMAVKGNLMVAGGFQGELICKYVDKPGVAFCTNLTGNNNSITNAVDIYQAPNGGTRVTAANNDCVVRTFDTERFSLISHFAFPWSVNNTSVSPDGKLLAVLGDSSDCQIADSQSGKEMARLQGHLDYSFSSAWHPDGRVLATGNQDRTCRLWDVRNPSRSVAVLEGRIGAVRALRYSPDGRFLAIAEPADFVHVYDAAAGYAAAQEIDLFGEIAGVAFSPAGNNGGGDDDGGEALFVSIADRTYGSLLEFHRRRRHGYLDCCV >ORUFI03G20370.1 pep chromosome:OR_W1943:3:14828634:14831209:1 gene:ORUFI03G20370 transcript:ORUFI03G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHGAVVVPEDAVVAAAAVGRHFSFPPPRTGGVGGDSCKKLAAQQIDLGAAVIGSWLDSMKASSPRHRLVAPAVAAAAADAEHDEWMEKHPSALGKFEALAAAAKGKRIVVFLDYDGTLSPIVEDPDRAVMTDEMRDAVRGVAARFPTAIVSGRCRDKVLSFVGLEELYYAGSHGMDIQGPTNAAASKGGEEEEESVLCQPAREFLPMIGEAYAALVEKVEGVIPGAKVENNKFCLSVHFRRVDERRWGAVADQVRAVLRGYPRLRLTQGRKVLEVRPAIKWDKGEALRFLLSALGFSAAGDVEDDGDDDDAFPIYIGDDRTDEDAFRVLRARGHGAGILVSRFPKDTCASFSLRDPGEVKDFLRKLVTCAAA >ORUFI03G20370.2 pep chromosome:OR_W1943:3:14828863:14831209:1 gene:ORUFI03G20370 transcript:ORUFI03G20370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHGAVEKHPSALGKFEALAAAAKGKRIVVFLDYDGTLSPIVEDPDRAVMTDEMRDAVRGVAARFPTAIVSGRCRDKVLSFVGLEELYYAGSHGMDIQGPTNAAASKGGEEEEESVLCQPAREFLPMIGEAYAALVEKVEGVIPGAKVENNKFCLSVHFRRVDERRWGAVADQVRAVLRGYPRLRLTQGRKVLEVRPAIKWDKGEALRFLLSALGFSAAGDVEDDGDDDDAFPIYIGDDRTDEDAFRVLRARGHGAGILVSRFPKDTCASFSLRDPGEVKDFLRKLVTCAAA >ORUFI03G20370.3 pep chromosome:OR_W1943:3:14828634:14831209:1 gene:ORUFI03G20370 transcript:ORUFI03G20370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAVRGVAARFPTAIVSGRCRDKVLSFVGLEELYYAGSHGMDIQGPTNAAASKGGEEEEESVLCQPAREFLPMIGEAYAALVEKVEGVIPGAKVENNKFCLSVHFRRVDERRWGAVADQVRAVLRGYPRLRLTQGRKVLEVRPAIKWDKGEALRFLLSALGFSAAGDVEDDGDDDDAFPIYIGDDRTDEDAFRVLRARGHGAGILVSRFPKDTCASFSLRDPGEVKDFLRKLVTCAAA >ORUFI03G20380.1 pep chromosome:OR_W1943:3:14837243:14851275:-1 gene:ORUFI03G20380 transcript:ORUFI03G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARLPRGRAEADGSGDRSSLLFKQKRKVQVLAWLQLQKTNNQGLQIQWLIKLKRGTSIQPQDQRLSGRIVSSLCKVVKEGLPGCGINRDISCILIKASSAWLPRELTDLVCLLESILRAHVQLMIGTLTRVLRRILVVPHLHSGPGELQDSSSLISEGAMYKQQEEQPECERFFAHIIQATRMITL >ORUFI03G20380.2 pep chromosome:OR_W1943:3:14837243:14851275:-1 gene:ORUFI03G20380 transcript:ORUFI03G20380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPARLPRGRAEADGSGDRSSLLFKQKRKVQVLAWLQLQKTNNQGLQIQWLIKLKSIRKLWYVVAAVTFTCYEEVAALVLWEPLEPVGEESIIQATRMITL >ORUFI03G20380.3 pep chromosome:OR_W1943:3:14837243:14851275:-1 gene:ORUFI03G20380 transcript:ORUFI03G20380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPARLPRGRAEADGSGDRSSLLFKQKRKVQVLAWLQLQKTNNQGLQIQWIIQATRMITL >ORUFI03G20390.1 pep chromosome:OR_W1943:3:14843764:14850918:1 gene:ORUFI03G20390 transcript:ORUFI03G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLPIKMKRGLLILWSLFCLSVANTAARNKANKPLEFDQLKIPSKYGSEKQDDLREKDRVRAMPGQMEEAEFNQYAGYVTVDAKAGRALFYYFVEAPHDPLKKPLVLWLNGGPGCSSFGAGAMLELGPFSVRSDNKTLYNKQHAWNTVANMLFVDVPAGVGYSYSNTTSDYYNIGDKKTTDDAYIFLINWMKKFPEYQGHDFFITGESYAGHYIPELANLIVSNNRAINSTNIKLKGVAIGNADLHDNVTLRASFDYYWRHAMISDRVYRAIQTSCGFNETYTNDCQNAMNLANKEKGNVDDYNIYAPQCHDASNPSPSGSSDSVAFGDPCTNHYVSSYLNNPEVQRALHANTTGLNYPWMDCSGLIFDNWKDSPETMLPSIKTLISSGTRIWLYSGDMDAVCSVTSTQYALDILGLPVETSWRPWRIDNEVAGYVVGYRGLVFATVRGAGHMVPYYQPRRALALLSSFLEGKLPPE >ORUFI03G20390.2 pep chromosome:OR_W1943:3:14842371:14844202:1 gene:ORUFI03G20390 transcript:ORUFI03G20390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEAFTLRLFFLLLVHGAFADQAARVLEFSRSRMEMRDDQYTTEHTSQRANHQLYMSSQDGLKEADKVSELPGQPGRAGFDQYAGYVTVNATSGKALFYYFAEATDDPSTKPLVLWLNGGLTCEFYRMTKLYLEISGPGCSSLGDGAMLEIGPFLVNGDNRTLSINRYAWNNVANMLFLESPAGVGFSYSNTTSDYDNTGDTSTAADAYTFLTNWLERFPEYKGRDFFITGESYGGHYIPQLANAILSNNNITNVTIINLKGVAIGNAYLDDSTNTRATIDYYWTHALISKETHLAVQRNCSFNGTYMAQCRNALAEADTEKGVIDPYNIYAPLCWNASNPRQLHGSVIKIVVTDFIIFPELLFPSHQMVLQAINVDPCSRYYVESYLNRPEVQRTLHANTTGLKQPWSGCRCKNRTKSLFEYFILNFRVLTKFHMLSDQ >ORUFI03G20400.1 pep chromosome:OR_W1943:3:14851360:14855798:1 gene:ORUFI03G20400 transcript:ORUFI03G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVERAAADGVGFAGSSARAGAWWSVGRAGRRERGRWETAARRSSRDEQIERAPPSPGGEELRTNGNIGLVEIAIVLFVTLYFRLGQIDRNLGAGDIARTLTQGTPCGVNGEHVDMALVVVHELGEVEVVELTNGGGGVVRDG >ORUFI03G20410.1 pep chromosome:OR_W1943:3:14857683:14867275:1 gene:ORUFI03G20410 transcript:ORUFI03G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVYGTGVFEFRHPRAAEYPLPADVPASAASAPDKAIPTAAASTITLLDIQRDRLTRVAAEHWGAPTAGAAFDAALVREIYATELRVEGRGRKTVPLHRVMILEVSQYLENYLWPNFDPAGASFEHVMSMILMVNEKFRENVAAWTCFHDRKDAFKGFLWRVLKLKEEDRELNMAEKTNYLLFMINAFQSLEDELVRETILQLVSLKLWHTLSFGRLQMELCLNPELIKKWTKIKRKEAKEAKKAGQTCDASEMLENRFLRNLIEEFLEILDSKVILSSQDGGDESVLNVSLSGQVDDYCVLYCERFMEFLIDMLSQLPTRRFLRPLVADVAVVAKCHLSALYTHEKGRLFAQLVDLLQFYEGFEINDHSGTQLSDDDVLQAHYSRFQAFQLLAFKQVPKLRDFSLCNIGSIHKRADLAKKLLVLTDVELQDLVCNKLKLISEEDPCSGRRDFLIEVLVAFFEKRQSQKDAVNALPLYPNEQIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLHAYINNEGDTAFRGWSRMAVPIKEFRITEVKQPNIGEVKPSAVTADVTFSISSYKPQIKSEWDALKEHDVLFLLSIRPSFEPLSPEEAAKSTVPERLGLQCVRGCEVIEIRDEEGSLMNDFTGRIKREEWKPPKGEIRTVKIALDTAQYHIDVTEVAEKGAENVYGTFNILMRRKPKENNFKAILESIRDLMNETCVVPEWLHNIFLGYGNPSAAQWINMPDLLENIDFKDTFLDADHVVQSFPDYQVTFINSDGTENLNPSPPFKIKLSKKMRESSHALPGNVNSVLSAKNNMVDDDGPQKEKIMVETYIPADPGPYPQDKPKQNSVRFTPTQIGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLGEVAKLASSLHLPEDVSYTCETAAYFWLLHVYARWEQFLAACAQNQDKPSFVKDRFPFSEFFSDTPQPTFTGESFEKDMHAAKGCFKHLLTIFQELEECRAFELLKSTAERANYLMTKQAKIVAMTCTHAALKRRDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGVPYIELNAQGRARPSIAELYNWRYRELGDLPYVREEAIFHKANSGFSYDYQLVDVPDFRGRGESAPSPWFYQNEGEAEFIVSVYIYMRLIGYPANKISILTTYNGQKLLIRDVINRRCKPWNIEPPSKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDKLGLNLEECTPFTERPLEETGNIHYVAGIEDIGHLVNFRLEHLRQMQYMQYYAPHANVPPSAVPENNADATENGNAGNGMHKANDGMAEENGDAVMRNKMEEDTIDTMQEENKMDGKNPEANDMAMEEKTVDGDDDPKNKMEEGNTEAKNKMEEGNTEAKDKMEEENDVAKNNMEE >ORUFI03G20420.1 pep chromosome:OR_W1943:3:14867651:14872081:1 gene:ORUFI03G20420 transcript:ORUFI03G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQSLTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKETIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISANNIEIGIIRSDREFKVLSPAEIKDFLEEVE >ORUFI03G20430.1 pep chromosome:OR_W1943:3:14881637:14881951:-1 gene:ORUFI03G20430 transcript:ORUFI03G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALFGTAEQQPMRLAFVATDALEGHEGGAASDAGGDHHHLSIRFPLHLERCGVDAEQQAMRAVEEVKMAAAPWIQSPQSSREEEVKMVVALWIQPPRSLHKEE >ORUFI03G20440.1 pep chromosome:OR_W1943:3:14884256:14884927:1 gene:ORUFI03G20440 transcript:ORUFI03G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQAPPPHPPPPAPTSNNKPPKKRPRASRRPPTTVLTTDTSNFRAMVQEFTGFPAPPFAAAPPPAVRPRLLGGVGGGHQLPPFLLRPSPLKYTHSHPTPPPPPPPLHHQACTTTSFLGNAAAATTSTSSLVDALALFAKSNVMVAPPPPPPTSAAASSSADQYHHHHGMTMGGLFNPFDDYEAAAAAAAEEGDKVVHGGAGHGGFFSPLGAGAGDDKYDRH >ORUFI03G20450.1 pep chromosome:OR_W1943:3:14908961:14911365:1 gene:ORUFI03G20450 transcript:ORUFI03G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAPVRKSHTSTADLLAWPQQQHQPDGHAASTPSPARRPHQPSEALRKVVFGGQVTEEEAESLNKRKPCSAPKWKEMTGSGIFAAGADGEAEEPGRATPRNYQPVTVSHISFAEDGSVPPKKPTSVAEVAKQRELSGTLQSEADSKMKKQISNAKSKELSGHGLFDPQDVRPNGARNTANGTGASHTPVRNANVSSFSFGEANTDSVTKTAKKITGKKFTDLTGNNIFKGDEAPASAEKHLSTAKLKEMTGSNIFAEGQAPTREYHSGNRKPPGGESSIALV >ORUFI03G20460.1 pep chromosome:OR_W1943:3:14916212:14922200:1 gene:ORUFI03G20460 transcript:ORUFI03G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWRVGEFEGKLKDGFARSNNSEHENGAGTVSISSKKSKHGVASEKKPHVDISGVIDSDSQKCNSEQIHSANGIVSRDVNHDHIENCKVESNDFPLNTISETRYPTDNWNSSQFALSNDGSPVLNNQSTPQTGHGYGDNDLTYIDWPAIDNFEDVDNLFRRCDSTYGQQQLPNTDELSWIPSSDAMYSSDVAMQPGFESSYSDYGILDDLSAFNCTEDKSLTTADPSSAVCDEQFDDSYLFNEQKTEDAYQRDAMELLSSDQICTGQENLDMIGNRYSSENAMEQPEDQKFSIASGSQLSSSQNLLNQKNHLDSTSPSNITSESYPERNCQIIPSGASFAERNLKVQKKVASSASGQLISDNVTGHPGHQTLTRRASYPCENHEIGKRSLGKRGLGHSDVAMGTSMVVDGSFVSSISSDNSVEENSFRQLQDAVSQLDVKTKMCIRDGLYRLARSAQNRQVFPNTMNNNGDSHNVKDMQNAETSGKFVDPGSIETQTNPIDRSIALLLFHQPSEHVTGAVDEAASLKSHNDNHQAAAKNQRGMHASSVHSPRGQGDPMDAKSCRNN >ORUFI03G20460.2 pep chromosome:OR_W1943:3:14916505:14922200:1 gene:ORUFI03G20460 transcript:ORUFI03G20460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWRVGEFEGKLKDGFARSNNSEHENGAGTVSISSKKSKHGVASEKKPHVDISGVIDSDSQKCNSEQIHSANGIVSRDVNHDHIENCKVESNDFPLNTISETRYPTDNWNSSQFALSNDGSPVLNNQSTPQTGHGYGDNDLTYIDWPAIDNFEDVDNLFRRCDSTYGQQQLPNTDELSWIPSSDAMYSSDVAMQPGFESSYSDYGILDDLSAFNCTEDKSLTTADPSSAVCDEQFDDSYLFNEQKTEDAYQRDAMELLSSDQICTGQENLDMIGNRYSSENAMEQPEDQKFSIASGSQLSSSQNLLNQKNHLDSTSPSNITSESYPERNCQIIPSGASFAERNLKVQKKVASSASGQLISDNVTGHPGHQTLTRRASYPCENHEIGKRSLGKRGLGHSDVAMGTSMVVDGSFVSSISSDNSVEENSFRQLQDAVSQLDVKTKMCIRDGLYRLARSAQNRQVFPNTMNNNGDSHNVKDMQNAETSGKFVDPGSIETQTNPIDRSIALLLFHQPSEHVTGAVDEAASLKSHNDNHQAAAKNQRGMHASSVHSPRGQGDPMDAKSCRNN >ORUFI03G20460.3 pep chromosome:OR_W1943:3:14916505:14922200:1 gene:ORUFI03G20460 transcript:ORUFI03G20460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWRVGEFEGKLKDGFARSNNSEHENGAGTVSISSKKSKHGVASEKKPHVDISGVIDSDSQKCNSEQIHSANGIVSRDVNHDHIENCKVESNDFPLNTISETRYPTDNWNSSQFALSNDGSPVLNNQSTPQTGHGYGDNDLTYIDWPAIDNFEDVDNLFRRCDSTYGQQQLPNTDELSWIPSSDAMYSSDVAMQPGFESSYSDYGILDDLSAFNCTEDKSLTTADPSSAVCDEQFDDSYLFNEQKTEDAYQRDAMELLSSDQICTGQENLDMIGNRYSSENAMEQPEDQKFSIASVQKKVASSASGQLISDNVTGHPGHQTLTRRASYPCENHEIGKRSLGKRGLGHSDVAMGTSMVVDGSFVSSISSDNSVEENSFRQLQDAVSQLDVKTKMCIRDGLYRLARSAQNRQVFPNTMNNNGDSHNVKDMQNAETSGKFVDPGSIETQTNPIDRSIALLLFHQPSEHVTGAVDEAASLKSHNDNHQAAAKNQRGMHASSVHSPRGQGDPMDAKSCRNN >ORUFI03G20460.4 pep chromosome:OR_W1943:3:14916212:14922200:1 gene:ORUFI03G20460 transcript:ORUFI03G20460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWRVGEFEGKLKDGFARSNNSEHENGAGTVSISSKKSKHGVASEKKPHVDISGVIDSDSQKCNSEQIHSANGIVSRDVNHDHIENCKVESNDFPLNTISETRYPTDNWNSSQFALSNDGSPVLNNQSTPQTGHGYGDNDLTYIDWPAIDNFEDVDNLFRRCDSTYGQQQLPNTDELSWIPSSDAMYSSDVAMQPGFESSYSDYGILDDLSAFNCTEDKSLTTADPSSAVCDEQFDDSYLFNEQKTEDAYQRDAMELLSSDQICTGQENLDMIGNRYSSENAMEQPEDQKFSIASGSQLSSSQNLLNQKNHLDSTSPSNITSESYPERNCQIIPSGASFAERNLKVQKKVASSASGQLISDNVTGHPGHQTLTRRASYPCENHEIGKRSLGKRGLGHSDVAMGTSMVVDGSFVSSISSDNSVEENSFRQLQDAVSQLDVKTKMCIRDGLYRLARSAQNRQVFPNTMNNNGDSHNVKDMQNAETSGKFVDPGSIETQTNPIDRSIALLLFHQPSEHVTGAVDEAASLKSHNDNHQAAAKNQRGMHASSVHSPRGQGDPMDAKSCRNN >ORUFI03G20470.1 pep chromosome:OR_W1943:3:14924144:14926441:-1 gene:ORUFI03G20470 transcript:ORUFI03G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGRYGPPAVRDSYSLLVLNVSFRTTADDLLALFDRCGEVLDIRIPRDRRTGDSRGFAFVRYKYEDEAQKAVDKLDGRTVDGRDIMVQFAKYGPNAERIHKGRIVEEISKPRGRSRSPSPKRRYRADYRDRGYRDDYRDRHYRDDYQDREYRRSRSIERYERERYQEKGYRRRSRSISPDYDRRHRKNGYACGDALPVSFLSYLTASMFKL >ORUFI03G20480.1 pep chromosome:OR_W1943:3:14928451:14930539:-1 gene:ORUFI03G20480 transcript:ORUFI03G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETGREHDVAVLPPPSWAMAHLSAHHTRTQTISNKQSGWYSSGGGGGGRRRRAERRREEARERTMEAAAAVTRLSFVPLAAAARPLLAGFMRPRVFASISSSSSSSPFSGGGGGRFFGGGGGRGRGGGGGGGGEESGAGAAAAASAAAAALRGKRGDVVRWMRREREADSREPVDFEKKTAAVWTTPEAKATKDWRKQLGEKLSHHLSTCGFQSHLLDEEEPDSGSQQ >ORUFI03G20490.1 pep chromosome:OR_W1943:3:14931642:14931998:1 gene:ORUFI03G20490 transcript:ORUFI03G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGGGGGLDAQRQRWARWPTAATSMRRAGCATTAAGRMTRDGSGNLDGRRQRRARCVTAAAGCAAAGSMCDGGDGRWPAASSMGDDDGGRCPTAAASSMGDDGVGHRSMGDGDVEEN >ORUFI03G20500.1 pep chromosome:OR_W1943:3:14935580:14936516:1 gene:ORUFI03G20500 transcript:ORUFI03G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIDDQKMRLETEALHESSTMEQFYGPCGGTMKKIGTSWYLSNMRYHEVPKFYTSWYLFKNRRIALNHECSEVVMVVNTGIQTFGTDRRTATIRSLPTFRCYIYESKHDLISSSNKFGAKFLMGTWCGVCMWKKPNNISVIN >ORUFI03G20510.1 pep chromosome:OR_W1943:3:14940004:14940207:-1 gene:ORUFI03G20510 transcript:ORUFI03G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLLGHTTRRPYVTLYAPCVPRPAGLFLVGVVLSERARQASVALAVARRKVVEEEEGAEGKGRL >ORUFI03G20520.1 pep chromosome:OR_W1943:3:14947604:14956944:1 gene:ORUFI03G20520 transcript:ORUFI03G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRKFLMPNQPPDISQMVLEARKRWLRPTEICEILSNYRSFSLSPEPPNRPGSGSLFLFDRKTLRYFRKDGHNWRKKKDGKTVKEAHEKLKAGSIDVLHCYYAHGEENENFQRRTYWLLEEDFTHIVLVHYLEVKGVKQSFSRAKEEIMQLSGADSPSCSNSITSQNQMTPQIMDAAESPISGQISEYEGAEPAKFGAADNCRASSRYNPLIEMQQPLDGIVMDNILYPSSSAICNQVSGYHGELPPGTSNLNGHTFSHSDIARMFDDSSSGLRDISRTLFDSMPYDEHFSGYANGFMEPTLHSSFSMIEANNLEDSSLLETYTSEALYTNNLSQKEADALSFAGISSPEVNGNKYTEGSTKHPLLKQLSLDLFKIESSGLKKHDSFSRWMSKELGEVVDLGIKSSSDALWSSIEIVNAADGPSAPTNEQLDAYAVSPSLAQDQLFSILDISPSCSYIGLKTKVLVTGTFLASKENVENCKWSCMFGDVEVPAEVLADGSLRCYAPEHQSGRVPFYVTCSNRIACSEVREFEYRDSDAQYMETSHSQANGINEMHLQIRLEKLLTLGPDDNQLLVCGNEKLELINAINSLMLDEKWSDQGSPSGSKDVVTPRNQSLKKLMKEKLHCWLIYKIYDCEKGPNILGKEGQGIIHLAAALGFDWAIRPILVAGVNVNFRDAHGWTALHWAASCGRERTVGVLIANGAAAGALTDPTSEFPSGRTPADLASTNGHKGIAGFLAESALTSHLSALTLKESKDSNAEEACRLTIPEDLPEMNYGQLAVQDSHAESLKDSLSAVRKSAQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDEHTFSLISLQKVKQGQHDTRLHSAAVRIQNKFRGWKGRKEFMIIRQRIVKLQAHVRGHQVRKNYKKVVWSVGIVEKVILRWRRKGRGLRGFRPEKQLEGQTQIQPAKTEDEYDYLQDGRRQAEGRLQRALDRVRSMTQYPEAREQYRRLTTCVAEMQQSRMMQDEMLSEAAGADGSDFMNGLEDLICRDDPQMSAIW >ORUFI03G20530.1 pep chromosome:OR_W1943:3:14961560:14962840:-1 gene:ORUFI03G20530 transcript:ORUFI03G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRPPCCDESSVKKGPWTREEDEKLVAYVDQHGGHGSWRSLPKRAGLNRCCKSCRLRWINYLRPDIKRGNFTPEEEQAIITLHSVLGNKWSTIATRLPGRTDNEIKNYWNTRLKKRLIGAGIDPATHRARPRPPAPGDLATALPQLVALASLAVDLAVGHAAAAGGAWGAANGAVDYQQADAAAAQLQCLQHLLLQPQTTPATSATSGGGGHPTELNAASSFLTQAVASYAAAAATPLPSLVVPGGSQPLELKRWQDHIGGDHVGAVSPFAGAATVTGHHGGGGGGGGEFLPSELTALLCSANAIGDLQSSNLDF >ORUFI03G20540.1 pep chromosome:OR_W1943:3:14976786:14978356:1 gene:ORUFI03G20540 transcript:ORUFI03G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPTAAAGAPAPQPAAPRRRPPCVLSFSLARDRFLRRRFFSAGLRPFSIRLPSPAGAGTSVHVWAPPRPARRPVLLLHGFGASTTWQWASYLRPLLAAGFDPIVPDLLFFGDSCTLAADRSEVFQATAVKAAMDAIGVRRFDVVGVSYGGFVAYRMAAMYPEAVDRAVMVCAGVCLEETDLAAGLFPVAGVAEAAELLVPSRPADVRRLVHLTFVRPPPIMPSCFLRDYINVMGSDHNQEKTELLHTLINGRKLSDLPKISQPTLIIWGEQDQVFPMELAHRLERHLGEKSRLVVIKKAGHAVNLEKDKEVCKNIVEYLREPILSALNGEK >ORUFI03G20550.1 pep chromosome:OR_W1943:3:14979553:14980974:-1 gene:ORUFI03G20550 transcript:ORUFI03G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFGGRALGFGGGGGCGAVRCRHCSASLPAMPGARVIQCAQCYGVTRVGGRGRRRHPNPVEPWRPAVPMPVAGGGFFPGSRGKKRAVLIGITYAGMRRRGSQLMRGPVNDVKCMRYLLCERFGFPNDCVLILTDEEKDPCRLATKENIRMAMNWLVQGCSSGDSLVFHFSGIGVQVPDDDGDEVDGYDEAICPMDSFSQGPILDDEINEAIVRPLVHGAKLHAVVDAEHSSTVLDLPFLCCLSSRSGGWQWEDHRPPTGAYKGSSGGQAMLFSGCSDGNNKHSLLPEASTVGAMTHSFIKAVECEPRATYGSLLTTMRSIMRDGGVTCNLQGPIGAPIRKVANFSGIQEPNLSCSEMFDIYRKPFVL >ORUFI03G20560.1 pep chromosome:OR_W1943:3:14998346:14998717:-1 gene:ORUFI03G20560 transcript:ORUFI03G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLTDGGSPATDACCLIPAISTAEVRVLLLLEPVAIVVVVFSGIGIGIGVVVVFSSVVVVVFSGVGIGISVVVVFSSVVVVVFSSVGMRRLRRRRAPRSGCVWGECGVRMFATSAAEVEVEG >ORUFI03G20570.1 pep chromosome:OR_W1943:3:15001411:15002869:-1 gene:ORUFI03G20570 transcript:ORUFI03G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNCLVGKGGNTRPAATTSARPSSSRCAHCGAGLAVPRPGPGGAAAVTTVRCALCHRMTCVDRRGGRDLGGGGGGGALEASSSSWAPAEASFLRRDAPSGYPFVPGRKRALLVGVSYKGSSYELEGTVNDVDCMRRLLGESFGFPADSILVLTEELGEGDPSRSPTRANLLAAMRWLVEGCDAGDSLVFHFSGHGVQKLDVNGDEVDGYNEALCPVDFERSGKILDDEINETIVRPLVAGAKLHAIVDTCHSGTILDLPFLCRLSRTGYWQWENHCRRPELAKGTSGGLAISISGCSDDQKSADSSGFSSEQAAAAAAIGAMTYSFIRAVESEPGTTYGRLLAAMRATIREGQQGSSVRRLLPGRLGSFVRKMIPSGGVQEPQLCASEVFDIYRKPFLL >ORUFI03G20580.1 pep chromosome:OR_W1943:3:15003179:15003370:-1 gene:ORUFI03G20580 transcript:ORUFI03G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPFKVADEVHHAGGSLMFQSSGHGKQKLELSGDVVDGLDEAICPVATSSRTAGSSTTRSTS >ORUFI03G20590.1 pep chromosome:OR_W1943:3:15006243:15010089:-1 gene:ORUFI03G20590 transcript:ORUFI03G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSGGKRALLVGVSYKGDTSRELTGAAEDVKNMNSLLKKFLFPEESIHMLTEELGAKDPLKAPTRENIMKEMRWLVEGCRAGDSLVFHFSGHGRQRKDDNGDEVDGRDEELCPVDYKVSGNILDDDINDAIVKPLTQGWVGQTRWRLSPKKEWAMVPVGGHAISISGCKDYQNSLEPDNTAGGGVMTWSFLEAVGSRRTMTYGELLDSMRAKVHHRLQQSSSGKCLVTGCLGSLAAKCLPCCFLSVQEPQLCSSKEFNVYEEQFIL >ORUFI03G20600.1 pep chromosome:OR_W1943:3:15021726:15022168:1 gene:ORUFI03G20600 transcript:ORUFI03G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSEEEEEEEERVEGDGGGVHDSKNSCTAKSTNTRFRTKCSKNARAHTCAIYAVICKGAHTKLDPEAYCFILYYLLWLGAVLGVRDGKADEVQQKGNGDGFECHGTHVGDLTAGADVDVSEVNKPALQIHKWIGP >ORUFI03G20610.1 pep chromosome:OR_W1943:3:15022092:15022313:-1 gene:ORUFI03G20610 transcript:ORUFI03G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASNPRAPFSSSRRPPLQPLAQNIIAVVRARKREAVAAASAFMCLVVTGLSTCGSAMPACSPQTRRHLRLQ >ORUFI03G20620.1 pep chromosome:OR_W1943:3:15023431:15025282:-1 gene:ORUFI03G20620 transcript:ORUFI03G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARPPRGTAWCGGCGAYLAVPPGARSVRCALCRAVTRVERRGHHGGHGGALGFIKGLISAFAPPPPLTPSAGAAAAASSASATPPPEEDGDPYRVPTRANLLAAMRWLVEGCSAGDSLVLHFSGHGVQKLDVDGDEADGYDEALCPVDFERAGVILDDEINETIVRPLVAGVKLHAIVDTCHSGTILDLPFLCRLSRTGYWQWENHCRRPELAKGTSGGLAISISGCGDSQTSSDTTAFSGGAATGAMTYSFIKAVETEPGTTYGRLLSAMRATIRGGGGEVGIPGPLGAFFRRVITFSCAQEPQLCASEPFDIYRKPFLL >ORUFI03G20630.1 pep chromosome:OR_W1943:3:15041231:15046373:1 gene:ORUFI03G20630 transcript:ORUFI03G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATSSSMAGGAAVVPRSATATTASAFVTMKRRATAVRAVHAAEPSKNPPVGVPSAAKTSSPSVAAPEKAPVAAAPAPVAPAPAATKQVAPARWAVDSWRTKKALQLPEYPNAAELEAVLKTIEAFPPIVFAGEARHLEERLADAAMGRAFLLQGGDCAESFKEFNGNNIRDTFRVLLQMSAVLTFGGQMPVIKVGRMAGQFAKPRSEAFEERDGVKLPSYRGDNINGDAFNEKSRIPDPQRMVRAYAQSAATLNLLRAFATGGYAAMQRVTQYRELAHRVDEALGFMSAAGLTVDHPLMTSTDFWTSHECLLLPYEQSLTRQDSTTGHFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPTELVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRHAGQIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHDQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGDRYHTHCDPRLNASQSLELSFIIAERLRRKRIRSSKLNNMLPLPPFGV >ORUFI03G20640.1 pep chromosome:OR_W1943:3:15048250:15048480:1 gene:ORUFI03G20640 transcript:ORUFI03G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQLEFAADRLDDDDDFLLHATKKTERIHYEAKRHASAPLPPPQPQALGFLERQCICGRGSCNMEERELGRWAYV >ORUFI03G20650.1 pep chromosome:OR_W1943:3:15051817:15060987:1 gene:ORUFI03G20650 transcript:ORUFI03G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKDYVSYMELQHDEDPLYIFDDKFGESAPTLLEDYSVPHLFQEDFFEIMDYDQRPAFRWLIIGPERSGASWHVDPGLTSAWNTLLCGRKRWAMYPPGRVPGGVTVHVSDEDGDVDIETPTSLQWWLDIYPNLAEHEKPLECTQLPGETIFVPSGWWHCVLNLDMTIAVTQNFVNQSNFKHVCLDMAPGYCHKGVCRAGLLAAPDKSIRDIENLPSITSRWNHSDMARKEKRLKSSEPIRTSNNANQCSAFEFSDVHENLGDQVFSYDIDFLSQFLEKEKDHYSSVWSPTNSIGQREAREWLRRLWVLKPELRELIWKGACLAINVDKWYSCLEEISACHSLPPPSEDEKLPVGTGSNPGQPKENALLILKA >ORUFI03G20660.1 pep chromosome:OR_W1943:3:15060033:15062079:-1 gene:ORUFI03G20660 transcript:ORUFI03G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFYDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGDNDLPGLTDTEKPRMRGPKRASKIRKLFNLAKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIAQKKQRIAKKKSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSAATKAAATTA >ORUFI03G20670.1 pep chromosome:OR_W1943:3:15064155:15064790:1 gene:ORUFI03G20670 transcript:ORUFI03G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPARFDGMSSKQLVRLWEEFIDRDVVAAREVFFRALLRDAAAEYNKQEAAAAAARGAAGEEEGQDRGYQRERFLLRPCSTSTPREASPPAPEPPMVVLCLRAAPPRPPTPTPHLAVGGRKRDALCRLDATAEHKQAEVVARPAAAAGADGGGGAGEDRGHPPPHERLSSPPSEPAPIAPMVVLCLRAATAPPLQASRRRGASDHAR >ORUFI03G20680.1 pep chromosome:OR_W1943:3:15066326:15070368:-1 gene:ORUFI03G20680 transcript:ORUFI03G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVMRDIGSGNFGVAKLVRDVATNHLFAVKFIERGLKIDEHVQREIMNHRSLKHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDDPRNFRKTITRILSVQYSIPDYVRVSADCRHLLSRIFVGNPEQRITIPEIKNHPWFLKNLPIEMTDEYQRSMQLADMNTPSQSLEEVMAIIQEARKRGDAMKLAGAGQVACLGSMDLDDIDDIDDIDIENSGDFVCAL >ORUFI03G20690.1 pep chromosome:OR_W1943:3:15082202:15086457:1 gene:ORUFI03G20690 transcript:ORUFI03G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKAPSLAEEYSLPPQEAPVEKAVEDKPQEAESIAVTNDETPQADETATAVEVNPETSEVQEVADKSEVEDTNPAAEETTETAEEEAEEKPEIKIETAPADFRFPTTNQTRHCFTRYVEYHRCVAAKGEDAPECDKFAKYYRSLCPGEWVERWNEQRENGTFPGPL >ORUFI03G20700.1 pep chromosome:OR_W1943:3:15087833:15088195:-1 gene:ORUFI03G20700 transcript:ORUFI03G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTPIANHHTEERWVAMLGTHLRRQASTWLHVPIPTQLRYVLLLRVWLWCNDKGHDAPMGSVCT >ORUFI03G20710.1 pep chromosome:OR_W1943:3:15089121:15095804:1 gene:ORUFI03G20710 transcript:ORUFI03G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRGQLPVEDVLRVNGSRRFAAALAAASPFASLADALLAARRIWLNEVDVNGWLEAFAAHPAIGTTSSSAPKWCKEEQSAALATATDSTAQELADWNARYREKFGFVFMICASGRTAPEVLAELKRRYENRPIVELEIAAQEELKITELRLAKLFASEPVAPPSSTVGGPTSQSDKAADRMRIIGAHLGSHTQHSANKAPEITGSSNRTRPPITTHVLDVARGSPASGIEVHLEMWKDASTPPSFNNKDFNGWATLGSSVTNNDGRSGQLMDIVNNVAPGFYRISFNTSKYAPSGFFPYVSIIFEIKKNQTTEHFHVPLLHSPFSFTTYRGS >ORUFI03G20710.2 pep chromosome:OR_W1943:3:15091916:15095804:1 gene:ORUFI03G20710 transcript:ORUFI03G20710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRGQLPVEDVLRVNGSRRFAAALAAASPFASLADALLAARRIWLNEVDVNGWLEAFAAHPAIGTTSSSAPKWCKEEQSAALATATDSTAQELADWNARYREKFGFVFMICASGRTAPEVLAELKRRYENRPIVELEIAAQEELKITELRLAKLFASEPVAPPSSTVGGPTSQSDKAADRMRIIGAHLGSHTQHSANKAPEITGSSNRTRPPITTHVLDVARGSPASGIEVHLEMWKDASTPPSFNNKDFNGWATLGSSVTNNDGRSGQLMDIVNNVAPGFYRISFNTSKYAPSGFFPYVSIIFEIKKNQTTEHFHVPLLHSPFSFTTYRGS >ORUFI03G20720.1 pep chromosome:OR_W1943:3:15102755:15104835:-1 gene:ORUFI03G20720 transcript:ORUFI03G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKDAGIWEVLLLRYFDLQVVIDSRCATFAEDFWAEFELLNYRVE >ORUFI03G20720.2 pep chromosome:OR_W1943:3:15104272:15104835:-1 gene:ORUFI03G20720 transcript:ORUFI03G20720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKDAGIWEVLLLRYFDLQAAPWPL >ORUFI03G20730.1 pep chromosome:OR_W1943:3:15105347:15109166:1 gene:ORUFI03G20730 transcript:ORUFI03G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPGRGVSPSSSDPLCEGNAAPSSSSSSGQDLKQLKNSILSCVFSSPFSISEAHQDSSANRSLKPHSGSYAWSRFLRRIACTGSMWRFLGASKALTSSDVWFLGKCYKLSSEELSNSSDCESGNATFLEDFSSRIWITYRKGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPSQKPYSPEYIGILHMFGDSEACAFSIHNLLQAGKSYGLAAGSWVGPYAMCRAWQTLVCTNREHHEAVDGNGNFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFNKNQSTWSPILLLVPLVLGLDKLNPRYIPLLKETLTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQLAVDIAADNLEAGTSSYHCSTVRDLALDLIDPSLAIGFYCRDKDDFDDFCSRASELVDKANGAPLFTVVQSVQPSKQMYNEESSSGDGMDSINVEGLDGSGETGEEEWQIL >ORUFI03G20730.2 pep chromosome:OR_W1943:3:15105347:15109210:1 gene:ORUFI03G20730 transcript:ORUFI03G20730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPGRGVSPSSSDPLCEGNAAPSSSSSSGQDLKQLKNSILSCVFSSPFSISEAHQDSSANRSLKPHSGSYAWSRFLRRIACTGSMWRFLGASKALTSSDVWFLGKCYKLSSEELSNSSDCESGNATFLEDFSSRIWITYRKGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPSQKPYSPEYIGILHMFGDSEACAFSIHNLLQAGKSYGLAAGSWVGPYAMCRAWQTLVCTNREHHEAVDGNGNFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFNKNQSTWSPILLLVPLVLGLDKLNPRYIPLLKETLTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQLAVDIAADNLEAGTSSYHCSTVRDLALDLIDPSLAIGFYCRDKDDFDDFCSRASELVDKANGAPLFTVVQSVQPSKQMYNEESSSGDGMDSINVEGLDGSGETGEEEWQIL >ORUFI03G20740.1 pep chromosome:OR_W1943:3:15111345:15112583:1 gene:ORUFI03G20740 transcript:ORUFI03G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTCQRILLLLLLLLAIARPASSQLFTPAPPPPVQAADGGGSAGFNVATSLLFVGVVIALFLLGFFSAYLRRCSEAATAAHRLRGGGGGGAVHASAPVAAAAAAAFAGSAGRRRGRAGLDVAAMEALPVLTYATARAVKAGRGALECAVCLAEFADGGEKLRLLPGCCHVFHAACIDVWLAAHVTCPVCRADLADPAVAAAGHVLAADLAAQAEAPNHTVVNVDTPESTIGKDSPSDQQPPESLTAEERVDRYTLRLPERLKREIEEAKLLRRSVSAVTAPAAASSGRWASAASRTMSAARPSRRWSALLRALSGPEQEGGGGGGRRVAPLQTHGATGTSHGEGEDVEVVVVHGDAGTDVEKYYAHSLTFAGFVIDGDVAAGDWNPEVFQVSTAVPAAAGATAMKCNSY >ORUFI03G20750.1 pep chromosome:OR_W1943:3:15116794:15121804:1 gene:ORUFI03G20750 transcript:ORUFI03G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMLLHGVIDAKIVEADLSVTSDGQLRPSRKTLMKKKVFSWIKKKLPFCNSCQASVVLYLNDYINAAAAAVQTQQVENAVGLGPLSGKLYATVDIDKARVARTRMVEPTGTPRWKESFHIYCAHYAGDVIFTVKAENPVGATLIGRAYLPVDEGLAAGAPVSDLWLPICGEGRRPIDGGDKIRVQLRFTGVAADPAARWGAGVGSGAYQGVPRTFFPQRRGCRVRLYQDAHIADGFAPRIQLAGRRWYEPRRCWEDVFDAISSARRMVYVAGWSVNTDVVLVRRPSSSSETLGELLKRKAEQGVMVLLLVWNDRTSVGLGPIRRDGLMATHDQDTARYFEGTKVHCVLCPRNPDQGRSYVQDVETATMFTHHQKTVIVDGGGGKTAPGLVSFLGGIDLCDGRYDTQEHPLFRTLDTTHRGDFHQPNFPGASIAKGGPREPWHDIHCRVEGPAAWDVLDNFEQRWRKQAGRGKDSLLVTLDRSMAARDADQADPEHWNVQVFRSIDGGAAAGFPESPDEAAAAGLVSGKDQVIERSIQDAYIHAIRRARDFIYVENQYFLGSSYAWRGGEGGVASVEGINALHLVPRELSLKIASKIAAGERFAVYVVVPMWPEGVPESDSVQAILDWQRRTMEMMYRDVDAAIQAKGIRADPTDYLNFFCLGNRERLPVPGGYSYEPTERPDPDTDYMRAQNARRFMIYVHAKTMIVDDEYIIVGSANINQRSMDGGRDTEIAMGAYQPSHLASVNRPARGQVHGFRLALWHEHLGRAAAASAAGELLRPSSLASVRLVNQAARRHWDAFARGDGDGAPPTEDLPGHLMAYPVRWTGGGGSDGSDGSDGKLVAATETFPDTKAKVLGAKSDVLPPILTT >ORUFI03G20760.1 pep chromosome:OR_W1943:3:15134065:15135686:-1 gene:ORUFI03G20760 transcript:ORUFI03G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLALSVDIFRKGISLNLYCRSLKFGIEDLVAGIAVWVSVVAWQFFQGERAGVLMVWQPVAQTRFRVFKHENGIAVRVIACFQPSQDCQAEYFRHLLKPKILFAGCFLMGEKVNPWCHWSNPPWTESSANNLHPPDVSLDNTNSVALPTYLNSDGYIYSGVAASMPSIAASVTDRPVSFSSRFVTTLVPSVGLSTAETLRKRPLVFFHNVNNTFTVGPLLSKGTLDTVPELQGSNETNVTDVGAQNTECMHENTEEIDALLCSDSDEGCLKVQELNNRVRKYPMQNDTMSVESVASAGASQPAKKRRLSSGTDRSVVDTASSARPDHSVDQKHLSHDDDAQSCCIGEVESDHQFALREGEEAEGDDGPDDRKRRRERIQETVAALRKIVPGGIAKDATAVLDEAICYLKYLKLKVKTLGAVSL >ORUFI03G20770.1 pep chromosome:OR_W1943:3:15135711:15137160:-1 gene:ORUFI03G20770 transcript:ORUFI03G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQVKARGRGSDESEEGVNERARGAAGAFPSEGADKRSRAAAHALAVSLSLSLSSSSRSRRAALSREQQHAPRSASPSIPSALARAGNSSVEGLRS >ORUFI03G20780.1 pep chromosome:OR_W1943:3:15176836:15178800:-1 gene:ORUFI03G20780 transcript:ORUFI03G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLCRRRSSAAPAESFSLLCEEDSESVFGSDDDGVEETATMAPELGKMMSLGFSASHHLGDGGGGGEELVGSFMEKEVEQMVETARGEYLTKLSNGGIELSCRIAAIDWICKVQAYYSFGPLCAYLAVNYLDRFLSSVEFSVTNDMPWMQQLLIVACLSLAAKMEETAAPGTLDLQVCNPEYVFDAETIHRMEIIVLTTLKWRMQAVTPFTYIGHFLDKINEGNRITSELISRCTEIILSTMKATVFLRFRPSEIATAVALSVVADGGRVLDFGGVLESSKLPVDKDNVGRCHQAMQEMALVMQNSTASPSGVLDTSCFTSKSDDDYSIPGTSPQVDNNNNQACTPASKRARVEEAPMS >ORUFI03G20790.1 pep chromosome:OR_W1943:3:15182421:15185810:-1 gene:ORUFI03G20790 transcript:ORUFI03G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFDDQDLGFFANFLGIFIFVLVMAYHFVMADVKYEGN >ORUFI03G20800.1 pep chromosome:OR_W1943:3:15186740:15189349:-1 gene:ORUFI03G20800 transcript:ORUFI03G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKKVAHGVIPTRRAEERGSRYPVALGRRDARQSDARDVEGNYLPRINMSARAMTVLFMRKGSTPRELVALAGAHTVGFSHCGEFAHRLYSFKSARALQSSCTNYRSDPTISIFNDINRIAATALVPSLPPPQLADPRTRLLLPLHEDDLVVELPGLEPEQAVPDLDVEQLWDEGILVSPGAKNGRNLVNRSIWQRKYLASFGDGFLTNPILRRVNWIHTITKLSI >ORUFI03G20810.1 pep chromosome:OR_W1943:3:15191967:15192572:1 gene:ORUFI03G20810 transcript:ORUFI03G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTCHITCPVTVTPAHDDGEERGDGEAASLFLPSLFLQIRHPNKLIVGSARAEALCRPPRHHPPSCPAWTKHPSSCAAMVYINELRTRVAYPEAYMRQAAAGCDVRQARQLGALVSFPRHFACHYAATVSKLLGSSESNERAPDDDGP >ORUFI03G20820.1 pep chromosome:OR_W1943:3:15193938:15198804:1 gene:ORUFI03G20820 transcript:ORUFI03G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLAYGLWKYIFNKTEFHVLILGVDKAGKTTLLEKIKSIYLKGEGLTPDRIVPTVGLNIGRIEDANAKLVFWDLGGQVGLRTIWEKYYQEAHAIIYVIDAAAASSFEDAKSALEKVLRHEDLKGAPLLIFANKQDLPGVITDEELARYLQLKELDERPYKFQAVSAYDGRGIKSGIDWVVEQMERSKRTEVLRARAGFTGQI >ORUFI03G20830.1 pep chromosome:OR_W1943:3:15201505:15202722:-1 gene:ORUFI03G20830 transcript:ORUFI03G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGGSGGGGVASGRVQAERWLEIAGKLLAARDLVGCKRFAERAVEADPLLPGADELLAVTDVLLASQSVLPSGQADPLAVLQLPPSTNPADHAAVSRAYRRLALLLRQDTNPHPGADVALSLVHDAYAILSDPNRRPPPPVAVAVPHAHPGAASHPAAPAAAESPEFWTACPFCSYVHQYQRELVGRALKCPNESCRKGFVAIEISTPPTIVPGTEMYHCAWGFFPLGYPTSADLNGNWKPFYKMFPWNNAPAGGAGSGGRGGYGGRGGGSAGRQPQNGSARGGSSRGRVKKTTARKKVGAGLKRRSFGGVESGIEPMDGQDGWGEGEEGGDGQAEEVRGININEEAQSTDGATRGHVHARGNVSGGVVDMGTFHLDVDPSEDILGNLQNLPFLRVDNLGRML >ORUFI03G20840.1 pep chromosome:OR_W1943:3:15210072:15213657:-1 gene:ORUFI03G20840 transcript:ORUFI03G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRREFALFLLYLKMDTHALPLLCILCLITLLQLDGGAAISGGTNDGSERWGYVQVRPKAHLFWWYYRSPQRVSSPGGKPWPTILWLQGGPGASGVGLGNFLEVGPLDGDLKPRGSTWLQKADLIFVDNPVGTGYSYVEDDALLVTTDGEAAADLAALLRALAAKEIPTLQSSPLFLVAESYGGKYAATLGVSLARAIRAGGLKLTLAGVALGDSWISPEDFALSYGPLLRQVSRLDSNGADSASKKAQVVKQRIASGQWKLAQYALSSMLTSIVASSGHVDVYNFLLDTGMDPVAAGAAPARSFPPAYSAYLDSKLSVGDSIRSVMNGAIKEKLKIIPKDVVWEEQSYTVYNALINDFMKPRIQEVDELLSYGVNVTVYNGQLDVICSTVGAEAWVQKLKWDGLKNFLRLPRQPLHCGSSEVTKGFVRSYKNLHFYWILGAGHFVPVDQPCIALDMIGSITQSSVQSHPQKWVNTKDGKERN >ORUFI03G20850.1 pep chromosome:OR_W1943:3:15221337:15221537:1 gene:ORUFI03G20850 transcript:ORUFI03G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPLLTSKDEFPAVSRRNGGEAGEEEVVAKRMVATPGSEEVPTTGEGPPELRDGRGARRRRRGG >ORUFI03G20860.1 pep chromosome:OR_W1943:3:15226456:15232525:-1 gene:ORUFI03G20860 transcript:ORUFI03G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVAFLTVLICLLRGDGAAALVTKRTDDKSEIWGYVSVRPRAHIFWWHYTSPHRVSSPTRPWPTILWLQGSQLGGSSVGNGNFAEIGPLDEELKPRNSTWLHKADLLFVDHPVGVGDSYADDRSGLATTDVQAVADATELVKALATRILPDLNSSPLFIVGQAYGGKLAAMIGASLAKAIRAGDINLTLGGVVIGNGWISLADFSTFTGRDTAISYFKFSLVIRVPIRLTYARMLSDVSWLDGNAIDDVNKMAGKVKEQTAAGQFATSLQTFTDLLYLIDSKSDSVVKHVQLHDWHRHGHDANNGRQHTGSCSSPLTRYLGRDISTIMNGVIKRKLKIIPKDLVWQQFSLDVYEAMKNDFMRPAINVVDELLSLGVNVTLYNGQRKPLHFCLPYYIPNGFVKAHKNLQHYSILQAGQAVPVDQPCTVLHMIGAIMQSPDV >ORUFI03G20870.1 pep chromosome:OR_W1943:3:15254978:15255690:1 gene:ORUFI03G20870 transcript:ORUFI03G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWWFSFFPLPKDFVFSFSDFNEE >ORUFI03G20880.1 pep chromosome:OR_W1943:3:15262240:15274843:-1 gene:ORUFI03G20880 transcript:ORUFI03G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLALCILTLLQLSGGVAAISGGTNDGSERWGYVQVRPKAHLFWWYYRSPHRVSSPGKPWPTILWLQGGPDHPVGVGYSYADDPSALATTDLQAATDAAELIRALPGEIPALKRSPLYLVGESYGGKLAAIIGVSLTKSIHAGDLDLTLGGVVIGDGWISPADFSLTYARLLDDVSRLDENAIDDANKLAEKVSEQSAAGQFAASLQTLTGLLDLIDKSSGGVNIFNFLFNTSGVDLRVLAAEDKQKEVRGSPLMRFVGQDLSGSSGPNTIEGIMNGVIKEKLKIIPNNLVWQLATIAVFNALENEFMKPAINEVDELLSLGVNVTVYNGQRYTHTIEQCELLICAMGLVGWNGLNDFFRVLREPMHYFCYPGHDSTAFRRIYKNLQYYWILEAGHVVPADQPCVALNMIGNILQSEDV >ORUFI03G20880.2 pep chromosome:OR_W1943:3:15262240:15274843:-1 gene:ORUFI03G20880 transcript:ORUFI03G20880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLALCILTLLQLSGGVAAISGGTNDGSERWGYVQVRPKAHLFWWYYRSPHRVSSPGKPWPTILWLQGGPDHPVGVGYSYADDPSALATTDLQAATDAAELIRALPGEIPALKRSPLYLVGESYGGKLAAIIGVSLTKSIHAGDLDLTLGGVVIGDGWISPADFSLTYARLLDDVSRLDENAIDDANKLAEKVSEQSAAGQFAASLQTLTGLLDLIDKSSGGVNIFNFLFNTSGVDLRVLAAEDKQKEVRGSPLMRFVGQDLSGSSGPNTIEGIMNGVIKEKLKIIPNNLVWQLATIAVFNALENEFMKPAINEVDELLSLGVNVTVWNGLNDFFRVLREPMHYFCYPGHDSTAFRRIYKNLQYYWILEAGHVVPADQPCVALNMIGNILQSEDV >ORUFI03G20890.1 pep chromosome:OR_W1943:3:15279608:15280063:-1 gene:ORUFI03G20890 transcript:ORUFI03G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSAASPRCHLGVLHPLCGLLSDSVRHPPTEQPTTRPHSSGTDINVPLHSGCNFVVTIAGSQYKFQFDSKFVGLIKPGSICVVNGAKINLQVRYTLEVIVQVDLTLVGTNSISFPSMISPAAPSAAEALIDPSD >ORUFI03G20900.1 pep chromosome:OR_W1943:3:15294501:15294917:-1 gene:ORUFI03G20900 transcript:ORUFI03G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLVIVLVASILHAASSATVYDVLQQNNLPRGLIPQGVTSYVLHPDGHLEVTLPGECNFVVTVGGSPYKFRFDSKFVGLIKSGSISEINGVRVQVKYLFQAIVQVDQAGNQLTFKVGTSSISFPISDFASSPVCG >ORUFI03G20910.1 pep chromosome:OR_W1943:3:15296580:15296996:1 gene:ORUFI03G20910 transcript:ORUFI03G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLVIVLVASILHAASSATVYDVLQQNNLPRGLIPQGVTSYVLHPDGHLEVTLPAECNFVVTVGGSPYKFRFDSKFVGLIKSGSISEVNGVRVQFKYAFEAIVQVDHAGNQLTFKVGTSSISFPISDFTSSPVCG >ORUFI03G20920.1 pep chromosome:OR_W1943:3:15305807:15310258:-1 gene:ORUFI03G20920 transcript:ORUFI03G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPYPPLLPLALLALLFLCLLHGERAAAADDDLTSGTGDGSELWGYVQVRPKAHLFWWYYKSPQRASSPGKPWPTILWLQGGPGASGVGLGNFLEVGPLDVNLKPRDSTWLQKADLIFVDNPVGVGYSYADDPSALVTTDWQAATDATELLRALAAKEIPTLQSSPLFLYAATLGVSLARAIRAGDLKLNLGGVALGDSWISPEDFTLAYTPLLLEVSRLDDNAGDEASKMAATVKEQITAGQLADSQQSWIDLLGFIDKKSSSVDMYNFLLDSGMDPVSADLSAASSSSPSSSNAQLMKYSTYLSSQAADSGSNTIDGIMNGVIKEKLKIIPKNLKWQELSDPVYNALVNDFMKPRINEIDELLSYGINVTVYNGQCIYPANKMGIPCMLPIDAGSILSKQQELDVICSTIGAEAWVKKLKWDGLKNFLSLPRQPLKCGSSKGTKAFVRSYKNLHFYWILGAGHFVPADQPCIALSMISSITQSPAS >ORUFI03G20920.2 pep chromosome:OR_W1943:3:15305807:15310258:-1 gene:ORUFI03G20920 transcript:ORUFI03G20920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPYPPLLPLALLALLFLCLLHGERAAAADDDLTSGTGDGSELWGYVQVRPKAHLFWWYYKSPQRASSPGKPWPTILWLQGGPGASGVGLGNFLEVGPLDVNLKPRDSTWLQKADLIFVDNPVGVGYSYADDPSALVTTDWQAATDATELLRALAAKEIPTLQSSPLFLYAATLGVSLARAIRAGDLKLNLGGVALGDSWISPEDFTLAYTPLLLEVSRLDDNAGDEASKMAATVKEQITAGQLADSQQSWIDLLGFIDKKSSSVDMYNFLLDSGMDPVSADLSAASSSSPSSSNAQLMKYSTYLSSQAADSGSNTIDGIMNGVIKEKLKIIPKNLKWQELSDPVYNALVNDFMKPRINEIDELLSYGINVTVYNGQLDVICSTIGAEAWVKKLKWDGLKNFLSLPRQPLKCGSSKGTKAFVRSYKNLHFYWILGAGHFVPADQPCIALSMISSITQSPAS >ORUFI03G20920.3 pep chromosome:OR_W1943:3:15305807:15310258:-1 gene:ORUFI03G20920 transcript:ORUFI03G20920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPYPPLLPLALLALLFLCLLHGERAAAADDDLTSGTGDGSELWGYVQVRPKAHLFWWYYKSPQRASSPGKPWPTILWLQGGPGASGVGLGNFLEVGPLDVNLKPRDSTWLQKADLIFVDNPVGVGYSYADDPSALVTTDWQAATDATELLRALAAKEIPTLQSSPLFLSYGGKYAATLGVSLARAIRAGDLKLNLGGVALGDSWISPEDFTLAYTPLLLEVSRLDDNAGDEASKMAATVKEQITAGQLADSQQSWIDLLGFIDKKSSSVDMYNFLLDSGMDPVSADLSAASSSSPSSSNAQLMKYSTYLSSQAADSGSNTIDGIMNGVIKEKLKIIPKNLKWQELSDPVYNALVNDFMKPRINEIDELLSYGINVTVYNGQLDVICSTIGAEAWVKKLKWDGLKNFLSLPRQPLKCGSSKGTKAFVRSYKNLHFYWILGAGHFVPADQPCIALSMISSITQSPAS >ORUFI03G20930.1 pep chromosome:OR_W1943:3:15325762:15327512:1 gene:ORUFI03G20930 transcript:ORUFI03G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYSCRRTCEACSTRAMAGCVVGEPASAPGQRVTLLAIDGGGIRGLIPGTILAFLEARLQELDGPDARLADYFDCIAGTSTGGLITAMLAAPGDHGRPLFAASDINRFYLDNGPRIFPQKRCGMAAAMAALTRPRYNGKYLQGKIRKMLGETRVRDTLTNVVIPTFDVRLLQPTIFSTYDAKSMPLKNALLSDICISTSAAPTYLPAHCFQTTDDATGKVREFDLIDGGVAANNPTMVAMTQITKKIMVKDKEELYPVKPSDCGKFLVLSVGTGSTSDQGMYTARQCSRWGIVRWLRNKGMAPIIDIFMAASSDLVDIHAAVMFQSLHSDGDYLRIQDNTLHGDAATVDAATRDNMRALVGIGERMLAQRVSRVNVETGRYVEVPGAGSNADALRGFARQLSEERRARLGRRNACGGGGEGEPSGVACKPDMGNNKT >ORUFI03G20940.1 pep chromosome:OR_W1943:3:15353144:15353692:-1 gene:ORUFI03G20940 transcript:ORUFI03G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKNVANICTMLAILVFSLQLFSSQGRPLPDDDGITSEMQIRRYLLSHGIGVVEGAVSPSSEIGGPMVGASGGVRPTNPGHSPGIGHHVVINGDVDDDDVRPTNPGHSPGIGHHAIVKGADDADDVRPTNPGHSPGIGHAVVNGADDDADDVRPTNPGHSPGIGHAFVNKIDGPAGKKKL >ORUFI03G20950.1 pep chromosome:OR_W1943:3:15363026:15363334:1 gene:ORUFI03G20950 transcript:ORUFI03G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKSSNSISKAFFLVLIILASQVMLSHGIPLEMHRRYLLSHAADATKGVMEGTITPTEGEGFAGANDDVRPTNPGHSPGIGHAFTNNKIGRKLLLAADDV >ORUFI03G20960.1 pep chromosome:OR_W1943:3:15389290:15389703:1 gene:ORUFI03G20960 transcript:ORUFI03G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKLNLIFVLGIIFFLSSDMIIVCSQGRPLIAEAAAAAAAQQQRHLLSSSSSAPRSGGDVEEAAAGGGKGTTTAMTQGTLSPDAAESGGGGGGGVGIVEDARPTAPGHSPGAGHAFTNKNGVGRRLLVVTISTLI >ORUFI03G20970.1 pep chromosome:OR_W1943:3:15392974:15393321:1 gene:ORUFI03G20970 transcript:ORUFI03G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVHGASSSTLWLSSQQWCSGAPRRTRVLRRRRRAAAPAIGFVTGDNLTVPLRCACP >ORUFI03G20980.1 pep chromosome:OR_W1943:3:15414321:15421069:1 gene:ORUFI03G20980 transcript:ORUFI03G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARKSERKVHDLMDAARRRAQRRAAYLARRRGDPHQSLQVSGARCRVHRDDALYQATEDQQGLIPWNGKQDVLIDRFDGRALLDFIRDSSSRPFRVQEKSEEEEELEEFVNFERYRDLIKHRLSDEAGLQHVSQELEAKAILPFSFEKPQSSQTPASKGTYSQVGYSYKGDGNEESEDLKSDEEDEEEEDEEDEKGFSSDDSSDERMESIAKEFGVKRYNWLVYMDKKAKEEEKRQKEIIKGDPSIKKLSRRERRKVSQIEREREREAARSVGRVSYRDPYREQRRSPSYDSYSRGRRSRSRSRSRSPSYSRRHGRGTHAESNYRSKPKTPRVEYITEFGGSDDTSDLKVAGISPPSSPIRVGIPNRSSGGQILEALHSDPASSLSVEQEKSTKNLKAPTSTSALVKLSKGATGGPGKTVRTEKKETPQERLKRIMSKQLNKQIRKDTAAEIAKKREQERQRQEKLAEVEGDIIAEAVVGVEVQGGTIHDPSLIPVRLLALQGTEAGQGTEYQLHQSPMK >ORUFI03G20990.1 pep chromosome:OR_W1943:3:15427351:15428589:1 gene:ORUFI03G20990 transcript:ORUFI03G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRPPLPHGLPRPPSHAAGLRRLSTRASAPTTPRASPATPSPSSAAAAAPSPSALVAHLAAAGVSVLPGLSDPELAHAEAALGGLQLPPDLRDLLAIGVPSGDGFPDYRSPAGLRLLRFAAEELWGGGGGGCSSSPPPLVPLYGRHYVPAVPCLAGNPVFHVSDTGVAVAGANIAAFLLRAFAAEPPRGAPLRRQLSAPMPPPAPSPAPSTARRSLDSATGKAPRWIEFWTDAAAAGDRFVEVSTCTSTRANAAPQWVRSYLEWAGSVLRRGGWGGGEVEEMTTGSGGGGEEAVALALTVDRCCGELGRAGWGAEEVVEALGALLGPRTRKRPAGALPPGGAGWGGSPRPSRGRLADSGS >ORUFI03G21000.1 pep chromosome:OR_W1943:3:15429190:15429468:-1 gene:ORUFI03G21000 transcript:ORUFI03G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKGQRDGEPSCSSPECVQVSCLIPVVSWLIPRHQTRYPISCLVPVNITTDT >ORUFI03G21010.1 pep chromosome:OR_W1943:3:15431567:15437317:-1 gene:ORUFI03G21010 transcript:ORUFI03G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPALLPRGVEAVVVTSGHLRRLDPHVHAPLLLANTLISAFSRASLPRLALPLLRHLLLSSPLLPLRPDAFTFPPLLRAAQGPGTAAQLHACALRLGLLRGDAFASGALVHAYLRFGRVRDAYRAFDEMRHRDVPAWNAMLSGLCRNARAAEAVGLFGRMVMEGVAGDAVTVSSVLPMCVLLGDRALALAMHLYAVKHGLDDELFVCNAMIDVYGKLGMLEEVRKVFDGMSSRDLVTWNSIISGHEQGGQVASAVEMFCGMRDSGVSPDVLTLLSLASAIAQCGDICGGRSVHCYMVRRGWDVGDIIAGNAIVDMYAKLSKIEAAQRMFDSMPVRDAVSWNTLITGYMQNGLASEAIHVYDHMQKHEGLKPIQGTFVSVLPAYSHLGALQQGTRMHALSIKTGLNLDVYVGTCVIDLYAKCGKLDEAMLLFEQTPRRSTGPWNAVISGVGVHGHGAKALSLFSQMQQEGISPDHVTFVSLLAACSHAGLVDQGRNFFNMMQTAYGIKPIAKHYACMVDMFGRAGQLDDAFDFIRNMPIKPDSAIWGALLGACRIHGNVEMGKVASQNLFELDPKNVGYYVLMSNMYAKVGKWDGVDEVRSLVRRQNLQKTPGWSSIEVKRSVNVFYSGNQMNIHPQHEEIQRELLDLLAKIRSLGYVPDYSFVLQDVEEDEKEQILNNHSERLAIAFGIINTPPRTPLHIYKNLRVCGDCHNATKYISKITEREIIVRDSNRFHHFKDEQQNFPLGDCLKKMLEYHILKQQQQQQKELCIEEDAGTRQSRQEARARGTSPFSRKGCLLLHETSHVRATQRLKKIVKVEWNSGTWRRKT >ORUFI03G21010.2 pep chromosome:OR_W1943:3:15432152:15437317:-1 gene:ORUFI03G21010 transcript:ORUFI03G21010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPALLPRGVEAVVVTSGHLRRLDPHVHAPLLLANTLISAFSRASLPRLALPLLRHLLLSSPLLPLRPDAFTFPPLLRAAQGPGTAAQLHACALRLGLLRGDAFASGALVHAYLRFGRVRDAYRAFDEMRHRDVPAWNAMLSGLCRNARAAEAVGLFGRMVMEGVAGDAVTVSSVLPMCVLLGDRALALAMHLYAVKHGLDDELFVCNAMIDVYGKLGMLEEVRKVFDGMSSRDLVTWNSIISGHEQGGQVASAVEMFCGMRDSGVSPDVLTLLSLASAIAQCGDICGGRSVHCYMVRRGWDVGDIIAGNAIVDMYAKLSKIEAAQRMFDSMPVRDAVSWNTLITGYMQNGLASEAIHVYDHMQKHEGLKPIQGTFVSVLPAYSHLGALQQGTRMHALSIKTGLNLDVYVGTCVIDLYAKCGKLDEAMLLFEQTPRRSTGPWNAVISGVGVHGHGAKALSLFSQMQQEGISPDHVTFVSLLAACSHAGLVDQGRNFFNMMQTAYGIKPIAKHYACMVDMFGRAGQLDDAFDFIRNMPIKPDSAIWGALLGACRIHGNVEMGKVASQNLFELDPKNVGYYVLMSNMYAKVGKWDGVDEVRSLVRRQNLQKTPGWSSIEVKRSVNVFYSGNQMNIHPQHEEIQRELLDLLAKIRSLGYVPDYSFVLQDVEEDEKEQILNNHSERLAIAFGIINTPPRTPLHIYKNLRVCGDCHNATKYISKITEREIIVRDSNRFHHFKDEQQNFPLGDCLKKMLEYHILKQQQQQQKELCIEEDAGTRQSRQEARARGTSPFSRKGCLLLHETSHVRATQRLKKIVKVEWNSGTWRRVCA >ORUFI03G21020.1 pep chromosome:OR_W1943:3:15438243:15440398:1 gene:ORUFI03G21020 transcript:ORUFI03G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRGGDGRAARGGGGQPARAHLDREEACGGRGGCAQRSPDEGDAVEEQQCERWGGADGGASTPAISNGKPGLGDFGRKPSPFRADSGDALEHHRNPAGGIVVASLPSMVESLGENHTLVSKVADGGTISIETLLNASFGGLSSQTPV >ORUFI03G21030.1 pep chromosome:OR_W1943:3:15441105:15441789:1 gene:ORUFI03G21030 transcript:ORUFI03G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHGRKGGRRRGLARAAASSVAGNREGGGGWRRSGAGGEAESGAAGPRGGMIRCRRAAGRPDPPRGQGRRHRGGQAQCRWSYSSSRSTASSPSKW >ORUFI03G21040.1 pep chromosome:OR_W1943:3:15443739:15444476:-1 gene:ORUFI03G21040 transcript:ORUFI03G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDARTMQLFPTRSADGVVVSPAPAPAAAQERRRPEVHVTPSVPATAPTAPLTIVYGGQVLVFEHYTAEAAEKLVQRTQHLLAAAAGGGGGNKNNNVTIVTPPPDEPPMLLPPPQMPAASGVSAGGVMPIARKASLQRFLQKRKQK >ORUFI03G21050.1 pep chromosome:OR_W1943:3:15456840:15463363:1 gene:ORUFI03G21050 transcript:ORUFI03G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDAEDLPPAITKLGRHFRLTEAHIWDGWYAAGADVSHRSWRSDDIDSGGCCQTDKVQNKPTKQTDEGDLFVEDLELSNLMGSLGLPVSFSTSKEKKNAPNKVKKNGRRVSYEAANTLIDDDSRTCTGTKETESIVQLMACVEQTNPCSSSRITVGYSEVCQGDIEKMDKDIVYANEQEESGDLCSSKVLSSSKAEDNYEHETCQFHANMNNPVKADSPVRENETAEVVLKLNKEMLGQNSVDNESRFSSAEICMEGGLSTIKDQLSGETPSTSHDNKDVDHETCLSSAEPSPVDNNPAQKSDSSFYFEYGDWRVLWDPFYSRYYFYNILTQESTWYPPHGLEDFASHSNTCIPEDLDEFGSQNKSTPAQEHDQAGGDKHLDEQGQACYSELSNLSDIPDGERINQCMVTFTDEARHTDNIHHQNDSSMSEISEMNQEIGRTKKKKRVRRSKSYHSCQDLAGNISNDIAKYWAQRYSLFSLFDSGIKMDEEGWFSVTPELIAKHHASRVGAGIVIDCFTGVGGNAIHFANKCRHVIAIDIDPQKIDCAQHNATVYGVHDHIDFVRGDFIHVAPRLKGETVFMSPPWGGPDYAKVDVYDIKTMLKPCDGYSLFKLGTSIASRVVMFLPRNIDQNQLADMCLSVDPPWAVEVEKNFLNGKLKAITAYFEQQDGSDVQDASDTNPQNPEYHA >ORUFI03G21060.1 pep chromosome:OR_W1943:3:15467937:15468953:1 gene:ORUFI03G21060 transcript:ORUFI03G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATMATRAWQRRSEGRHTASTPPPTTPCPPTKKLTMVTAMTTAGMPNPHPHQCTDVDGRDARRGGGEVVEVEDSAALVDEATAAASSRSGGWRLPLPAAARRHRGGRGEVAEEASWRRRLLASSCCCLSTASLSASFAGEARQQLLLPLHRIPLCLRHWRGHGAAPSPPSPARPWGRFEILAAIVDRGEATSGMSSLTASAEVARSREGKMSEDSPTTSPSGLSHIALLQTPSMEEDKEEDSELLVVDMEMTGEDELLSLNGGGGGHEGQFCLITRWRSENDFENTQKGQNGKVAVSTRFILAF >ORUFI03G21070.1 pep chromosome:OR_W1943:3:15469086:15476136:1 gene:ORUFI03G21070 transcript:ORUFI03G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVTRLTLLLCAAWAAALLYGEMGAYWAAHLSCSWPSSSSSPPSSLSPNNHVKIAVVADPQLMDSTSLGLPPSSIALQAAEFYTDLNMRRSFQSVVLPFKPDMLLFLGDHFDGGPYMSNEEWHESLSRFKHIFSMNEHITNPNIPIYYLSGNHDIGYSAFHKIHPEVISRYEKEFGSRNYQFSAGKVDFVVVDAQTLDGAKESKERSSSWEFIKALSPGNKTNPKVLLTHIPLYRPDNSPCGPHRSSPVINQRVSNAAMDQGITYQNYLSKETSDLLLHLLKPVLVLSGHDHDQCTVVHPTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGPKLPANSTDIEHEFVVTLLLLILWPTNGLRSLPYVNSFVSFMRSVAAELFSRTKEKDDEEDGEYEMVWDAEGSMHLVKKAVARTPSTSSDSRTTGRGNVVARPTARKNQPEPDSSVLVEMSSEMISEDGGKVPRSSKLKIRRVLQRLFRVIQSIVVIAALNVPLYMMLLFKDWIDR >ORUFI03G21080.1 pep chromosome:OR_W1943:3:15478535:15482620:1 gene:ORUFI03G21080 transcript:ORUFI03G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAEKAELGMEELELEEGGGSPSPSPMTAAGKMQALDFEHIGSLAAVAESLSTGSKWRRALTSVRVVILQAKINVLLPFGPLAVMLHYLSANHQGWVFLFSLIGITPLAERLGYATEQLALYTGPTIGGLLNATFGNATEMIISLYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFAGGLVHPSRDQVFNKASAVVNSGLLLMAVLGLMFPAVLHFTHSEVQYGKSEVSLSRFSSCIMLVAYASYLFFQLKSQRSLYSPIGEEEEVTEDEEEEKEITQGEAICWLFVLTIWISILSGYLVDAIQGASESLNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWIMGQQMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPDSSNNK >ORUFI03G21090.1 pep chromosome:OR_W1943:3:15483476:15487369:1 gene:ORUFI03G21090 transcript:ORUFI03G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQSSYTPDDEDDDEQQPLSSPDASPLRLPAKSAAPAVDDTALALSAAAASTSRPLDPSLHLVPFNPTADQLWAPVLGPQHPHAPISSASGNRNHKLGHVEDAAVLPFLFDEQYNTFHRFGYAADPSGLHIVGDAQPSAEPDTVYNLAPSEHKRRRLQSKDEEGANQEPLPPEAKNPASDEWILRNKQSPWAGKKEAPPAELTEEQRQYAEAHAAKKAEKEARGEGKGEKSDMVAKSTFHGKEERDYQGRSWITPPKDAKASNERCYIPKRCVHEWVGHTKGVSAIRFFPKYGHLLLSASMDCKIKIWDVLESRTCMRTYMGHSKAVRDISFSNDGTKFLSAGYDRNIQYWDTETGQVISTFSTGKVPYVVKLNPDEDKQHVLLAGMSDKKIVQWDMKSGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNSNWLAAQSLDNQILIYSTKERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGFG >ORUFI03G21100.1 pep chromosome:OR_W1943:3:15488479:15489957:1 gene:ORUFI03G21100 transcript:ORUFI03G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCAAVHALAVAWAVAALLSRATPAGALAANWGTRALHPLPGDVTVRLLRDNGFDKVKLFEADPSALRALGHTGIQVMVGLPNELLAPVSSSVAAAEQWVLHNVSSYISKLGVDIRAVAVGNEPFLKSYKGKFEAATLPAVQNVQAALVKAGLARQVRVTVPLNADVYESLDGRPSAGDFRPDIAGLMVGLVRFLLDNGGFLTINIYPFLSLQADPNFPADYAYFPSPGSPPSQASVQDGGVLYTNVFDANYDTLISALEKHGLGAIAVVVGEIGWPTDGDKSANAANAQRFNQGLFDRILAGKGTPRRPQMPDVYVFALLDEDAKSIDPGSFERHWGVFNYDGSRKYNLRLAGGRSIAPARGVRYLSRQWCVLRPDASPADPAIGGAVGYACQYADCTSLGAGSSCGGLDARGNVSYAFNQFFQAANQMKGSCNFNNLSMITTSDPSQGTCRFQIEIDTGRHDLAVASSASAAATSVAAVLLLALLGLVI >ORUFI03G21110.1 pep chromosome:OR_W1943:3:15490601:15496115:-1 gene:ORUFI03G21110 transcript:ORUFI03G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSSSWFRAASGSGMASVGFTGVVAMLLMATAFLGVTADTSSDDVTALNTFYTSLNSPSQLTNWVAQNGDPCGQSWLGITCSGSRVITIKLPGMGLKGTLGYNMNVMTALVELDASNNNLGGGDIPYNLPPNLERLNLENNSFTGTLPYSISQMASLKYLNLGHNQLSSINVMFNQLTNLATLDLSDNTFSGTLPDSFSNLTSLTMLHLQDNRFTGTIDVLSDLPLTDLNVQNNQLSGAIPDKLKGISNLQISGNSFSNSPVSPAPSSPPSTTSQSPPRQPSTRNPRNRNRNPPIGSNGDNGGNGDGSGGDGGGRSSKIGGGAVAGIVISLVVLGAMVGFFVFKRKSTRHQRGGDPEKNEPLTLRPIASGKFNQLRTISIISPTAKEGLQKTVSMNLKPPSKIDLHKSFDENDLTNKPVLAKNVDLSSIRATAYTVADLQMATESFSADNLIGEGSFGRVYRAEISDESDHKVLAVKKINVSAFPSKPSDFFIDLVAKISKLNHPNLSELDGYCLEHGQYLLAYEFYRNGSLHDFLHLSDGYSKPLSWNSRVKIALGSARALEYMHETCSPSIIHKNFKSSNILLDNELNPHVSDCGFAELIPNQELQESDENSGYRAPEVTMSGQYSQKSDVYSFGVVMLELLTGRKAFDRSQPWPQQSLVRWASPQLHDIDALDQMVDPALEGLYPAKSLSRFADAIALCVQPEPEFRPPMSEVVQSLVRLVQRSSMGSVLSGGESISRRYDNSGDYTF >ORUFI03G21120.1 pep chromosome:OR_W1943:3:15505725:15505956:-1 gene:ORUFI03G21120 transcript:ORUFI03G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVTATGGSTALSDGEGGRRRIRRWLGDDDSDHGDDDDDHDRGDDDVAGQEHGCGRSFSPLPSLSLSQI >ORUFI03G21130.1 pep chromosome:OR_W1943:3:15534152:15534595:1 gene:ORUFI03G21130 transcript:ORUFI03G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAGAMVAGAAAAAAAILALMTTTQHDRLGEECDAVVAPAAQECAVCLCELAGAAGCSEPEAAAAAVRTLPGCGHGFHAECIGRWLPLRAECPICRRPVVTGADGQAPVAVAEAAAAAAAPAWSRAARMACEFGDGRVVWTRSPSA >ORUFI03G21140.1 pep chromosome:OR_W1943:3:15538523:15539083:-1 gene:ORUFI03G21140 transcript:ORUFI03G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRISSVGGAPHLRHCLGFGPCVGATDEATVHHGQATLPLPRAVLRYEYMRWGEPMISDPVTLLEKEVIPLPNARQGEKEHQCLAWPSNIFHGCLPSAHGRGRARDTGEGEGDGEGGEEGEELAASMHMHVSHESNSSRQPNLATMLLKD >ORUFI03G21150.1 pep chromosome:OR_W1943:3:15550223:15551065:1 gene:ORUFI03G21150 transcript:ORUFI03G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGTSVAAAATMLAAAAAIFITFVVCFYLFLCAKRYRGAAPTIGGDSGGGGRGRARFVFGGPGDGGCGGGRGLDEAAIAALPTKVVAAAAEGGDGGDPAADCAVCITELAAGESARVLPRCGHGFHVECVDMWLRSNSTCPLCRCAVIDEALPPPPAVRPPEADAESPNFPTNVLFFGSQDAVRTGGAAAATPPPPPPSSHHQQQPAFPPQPSAGPIAGVAAVVEAARIAALRRLLGCGGATPPPPPAPAQGDRDVEMGLPGGESSASRPATKPQPGS >ORUFI03G21160.1 pep chromosome:OR_W1943:3:15566667:15569127:1 gene:ORUFI03G21160 transcript:ORUFI03G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDDDDGSGRTKLIIAAVVMLLAIVLGTVAYVVVDRAGDDDGLSKRGMKSTMRSVDLFCAPTDYRVACKDTLERVLARSSDPADHPHAAAAAAITAVERELARGFDRSSVLEAVRASNDSRVAEALRDCRTLLGDCRGDVSRALTSIAWRGVDAVSQDLQAWLSAVITFQGSCVDMFPQGPIKDQVREAMEKAREISSNAIAIIQQGAAFAAMLDLHASESHAAEGEELDVDHDIQHHVDRHLEDQSLPPVPPWLSDEDRRMLTSGEEFVAGLTPNVTVAKDGSGDFTNISAALDALPEAYAGKYIIYVKEGVYDETVNVTSRMANITMYGDGSKKSIVTGSKNIADGVRMWKTATFAVDGDRFTAMRLGIRNTAGEEKQQALALRVKADKSIFFNCRIEGNQDTLFAQAYRQFYRSCVISGTVDFIFGDAAAMFQRCIILVKPPLPGKPAVVTAHGRRDRQQTTGFVLHHSQVVADEDFAGAGGGSSNTSSSSGAAPRLAYLGRPWKEHARTIVMESVIGGFVHAQGYMPWEGKDNLGEAFYGEYGNSGQGANSTGRMEMRGFHVLDREKAMQFTVGRFLHGADWIPETGTPVTIGLFGG >ORUFI03G21170.1 pep chromosome:OR_W1943:3:15578710:15579362:-1 gene:ORUFI03G21170 transcript:ORUFI03G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSPPARWGEAEVGKGKGKSGGSGKDGDRRRECVEKEETRKPAFYVASMYWFITTLSTVGYGDMHAENTGEMVYTTAYMLFNLGLTAYIIGNMTNPVVHGTSRTRKFLISSVV >ORUFI03G21180.1 pep chromosome:OR_W1943:3:15586493:15587719:-1 gene:ORUFI03G21180 transcript:ORUFI03G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAARSSRKRRSPPPRGLGDLHDDVLERVLARLPPATFFRLRAVCRRWSAAAASPTFLRACARVPSRDPWFLMLSGARPRPPLAFDAAGRSWIPCRAAPGGSDGGADAAVPVASSGGLVLYRAPGTGELLVANPLTGASRALPSPPGAHGGAPRLHAIAMYGSPYRVALFADELPDLSMSVFDSSRGSWEGPVALSRRPDAAALLPDDAPSQGGADDTVYFLSKSGDVVATNMQRSASKQYSSVVVAASSDGGDAVAYFLSHSGTVVACDTARRTFAELPRILPVYFEYSIDVVACDGAAYAVVLAEYLDTASLRVWGFAGGAWRQVAAMPPAMSHAFHGKKADINCVGHGGRLMVCVSSGEANGCFMCDVGSNQWEELPKCVNGDGEVNDFLAAFSFEPRLEISV >ORUFI03G21190.1 pep chromosome:OR_W1943:3:15596942:15608543:-1 gene:ORUFI03G21190 transcript:ORUFI03G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMRMQQPMDASDKTFADVVKLLTSWLPRRSNPDNVSRDFWMPDHSCRVCYDCDTQFTIFNRRHHCRRCGRIFCGKCTTNSIPASSGPDRNIDEGDKIRVCNFCFKQWEQERAAANKQMMPLLSPSLSEASLFSTKSAITINSVSTTAGSYSTGHYQHVARASSISPPKSSQDKVCHDMLDTHVPEKSMSAVSNKDETSSVHFGYYTNRSDDEEEECSAYCSDRQVQHQQHNDHYYGPDEFDELESSYNPTISPTVEENVISKEVSSHATDQGFPSTLPVTKMDDEPDPDNSSECGAASSIYALESTDTNPLDFEKNELFWFPPEPEDEEDEMEVGLFDDDDDDEPVADSEQRRIRSSSSFGSGEFRNRDRSSEEHKKVMKNVVDGHFRALISQLLQVENISLHEGDETGWLEIYHGKLQTFSDQTQAKVVAWILVDMLSTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYQRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVEHTVSRYAQDLLLEKNISLVLNIKRPLLDRIARCTNAHIVPSIDLLPSQKLGHCELFYVDKYVEHSVNSNNTAKKMPKTMMFFEGCPKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPEIPLESPLTVALPDSRSTADSSISTVPGFTFNVSNSRQTTDGFEHPVAGSIRSTDPGGTDVPPVSNECTTQTRTTFSHSSGTWSANGGSLNSKTVDRIEKATATSATTSGVLMDHSYTYSTLEKNWYSGDYHEYGSTMSDVKTMTTVLANSNGSCHHGTSEASTNITNFANLKEPFDGSIDLANVENVTNSNVVMVQPVPSTAVQNQETNQGHESTSNKEEIMASDHQSILVALSIRCVWKGTICERSHMLRIKYYGNFDKPLGRFLRDCLFNQGYQCISCDKPPEAHVHCYTHQQGSLTISVRKHTEFVLPGERDGKIWMWHRCLKCPWSNGFPPATLRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSIHVPPYKLDFSHQPLDWIQKEANEVIDRAKVLFDEISRALHQHSDKRAHSGSLNMECGNHIVDLEGILRREKLEFEGCLNKVIKKETQKIQPDILEINRLRRQLLFHSYLWDQRLISAARSDRSHQEPYNFKPADKEMVQSIGSIAEQNAIEKPQSEISATEASFKDHKYVECLQESIDGGNSPGVDPCNSCPNHDQQIAISESDLIQRGSKTPLHSSVSINVESVPLESDIVARCTLSEGQFPSLLDVSNALDAKWTGKNDPVPSSAIVPDCVASSEDSEEHVTDTPSYASVFLNKLGDSAEDQSNWLGMPFLQLYRALNKQWCRSNRFDALNEYTPVHVSFLRTVERQVGPKFLFPIGVNDTVVGIYDDEPTSIISYALASHEYHLQLSDELESDTTDNSLSVTDLRGASLTESVDETASELLRSFVSTEDNILYMSGGKNPSPSDPLAYRKASHIKVNFGDEGPLGQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGARGGKSNVFFAKSLDDRFIIKQVTKTELESFMKFAPEYFGYISESIVTGSPTCIAKILGIYQVKSLKGGKEMKMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSNKVLLDQNLLEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIGVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKTSGILGGPKNVAPTVISPKQYKMRFRKAMSTYFLVVPDQWSPPAVVPSKQGAENNQDND >ORUFI03G21190.2 pep chromosome:OR_W1943:3:15596942:15608543:-1 gene:ORUFI03G21190 transcript:ORUFI03G21190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMRMQQPMDASDKTFADVVKLLTSWLPRRSNPDNVSRDFWMPDHSCRVCYDCDTQFTIFNRRHHCRRCGRIFCGKCTTNSIPASSGPDRNIDEGDKIRVCNFCFKQWEQERAAANKQMMPLLSPSLSEASLFSTKSAITINSVSTTAGSYSTGHYQHVARASSISPPKSSQDKVCHDMLDTHVPEKSMSAVSNKDETSSVHFGYYTNRSDDEEEECSAYCSDRQVQHQQHNDHYYGPDEFDELESSYNPTISPTVEENVISKEVSSHATDQGFPSTLPVTKMDDEPDPDNSSECGAASSIYALESTDTNPLDFEKNELFWFPPEPEDEEDEMEVGLFDDDDDDEPVADSEQRRIRSSSSFGSGEFRNRDRSSEEHKKVMKNVVDGHFRALISQLLQVENISLHEGDETGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLACGHRSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAVSRYAQDLLLEKNISLVLNIKRPLLDRIARCTNAHIVPSIDLLPSQKLGHCELFYVDKYVEHSVNSNNTAKKMPKTMMFFEGCPKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPEIPLESPLTVALPDSRSTADSSISTVPGFTFNVSNSRQTTDGFEHPVAGSIRSTDPGGTDVPPVSNECTTQTRTTFSHSSGTWSANGGSLNSKTVDRIEKATATSATTSGVLMDHSYTYSTLEKNWYSGDYHEYGSTMSDVKTMTTVLANSNGSCHHGTSEASTNITNFANLKEPFDGSIDLANVENVTNSNVVMVQPVPSTAVQNQETNQGHESTSNKEEIMASDHQSILVALSIRCVWKGTICERSHMLRIKYYGNFDKPLGRFLRDCLFNQGYQCISCDKPPEAHVHCYTHQQGSLTISVRKHTEFVLPGERDGKIWMWHRCLKCPWSNGFPPATLRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSIHVPPYKLDFSHQPLDWIQKEANEVIDRAKVLFDEISRALHQHSDKRAHSGSLNMECGNHIVDLEGILRREKLEFEGCLNKVIKKETQKIQPDILEINRLRRQLLFHSYLWDQRLISAARSDRSHQEPYNFKPADKEMVQSIGSIAEQNAIEKPQSEISATEASFKDHKYVECLQESIDGGNSPGVDPCNSCPNHDQQIAISESDLIQRGSKTPLHSSVSINVESVPLESDIVARCTLSEGQFPSLLDVSNALDAKWTGKNDPVPSSAIVPDCVASSEDSEEHVTDTPSYASVFLNKLGDSAEDQSNWLGMPFLQLYRALNKQWCRSNRFDALNEYTPVHVSFLRTVERQVGPKFLFPIGVNDTVVGIYDDEPTSIISYALASHEYHLQLSDELESDTTDNSLSVTDLRGASLTESVDETASELLRSFVSTEDNILYMSGGKNPSPSDPLAYRKASHIKVNFGDEGPLGQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGARGGKSNVFFAKSLDDRFIIKQVTKTELESFMKFAPEYFGYISESIVTGSPTCIAKILGIYQVKSLKGGKEMKMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSNKVLLDQNLLEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIGVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKTSGILGGPKNVAPTVISPKQYKMRFRKAMSTYFLVVPDQWSPPAVVPSKQGAENNQDND >ORUFI03G21190.3 pep chromosome:OR_W1943:3:15596942:15608543:-1 gene:ORUFI03G21190 transcript:ORUFI03G21190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMRMQQPMDASDKTFADVVKLLTSWLPRRSNPDNVSRDFWMPDHSCRVCYDCDTQFTIFNRRHHCRRCGRIFCGKCTTNSIPASSGPDRNIDEGDKIRVCNFCFKQWEQERAAANKQMMPLLSPSLSEASLFSTKSAITINSVSTTAGSYSTGHYQHVARASSISPPKSSQDKVCHDMLDTHVPEKSMSAVSNKDETSSVHFGYYTNRSDDEEEECSAYCSDRQVQHQQHNDHYYGPDEFDELESSYNPTISPTVEENVISKEVSSHATDQGFPSTLPVTKMDDEPDPDNSSECGAASSIYALESTDTNPLDFEKNELFWFPPEPEDEEDEMEVGLFDDDDDDEPVADSEQRRIRSSSSFGSGEFRNRDRSSEEHKKVMKNVVDGHFRALISQLLQVENISLHEGDETGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLACGHRSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYQRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVEHTVSRYAQDLLLEKNISLVLNIKRPLLDRIARCTNAHIVPSIDLLPSQKLGHCELFYVDKYVEHSVNSNNTAKKMPKTMMFFEGCPKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPEIPLESPLTVALPDSRSTADSSISTVPGFTFNVSNSRQTTDGFEHPVAGSIRSTDPGGTDVPPVSNECTTQTRTTFSHSSGTWSANGGSLNSKTVDRIEKATATSATTSGVLMDHSYTYSTLEKNWYSGDYHEYGSTMSDVKTMTTVLANSNGSCHHGTSEASTNITNFANLKEPFDGSIDLANVENVTNSNVVMVQPVPSTAVQNQETNQGHESTSNKEEIMASDHQSILVALSIRCVWKGTICERSHMLRIKYYGNFDKPLGRFLRDCLFNQGYQCISCDKPPEAHVHCYTHQQGSLTISVRKHTEFVLPGERDGKIWMWHRCLKCPWSNGFPPATLRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSIHVPPYKLDFSHQPLDWIQKEANEVIDRAKVLFDEISRALHQHSDKRAHSGSLNMECGNHIVDLEGILRREKLEFEGCLNKVIKKETQKIQPDILEINRLRRQLLFHSYLWDQRLISAARSDRSHQEPYNFKPADKEMVQSIGSIAEQNAIEKPQSEISATEASFKDHKYVECLQESIDGGNSPGVDPCNSCPNHDQQIAISESDLIQRGSKTPLHSSVSINVESVPLESDIVARCTLSEGQFPSLLDVSNALDAKWTGKNDPVPSSAIVPDCVASSEDSEEHVTDTPSYASVFLNKLGDSAEDQSNWLGMPFLQLYRALNKQWCRSNRFDALNEYTPVHVSFLRTVERQVGPKFLFPIGVNDTVVGIYDDEPTSIISYALASHEYHLQLSDELESDTTDNSLSVTDLRGASLTESVDETASELLRSFVSTEDNILYMSGGKNPSPSDPLAYRKASHIKVNFGDEGPLGQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGARGGKSNVFFAKSLDDRFIIKQVTKTELESFMKFAPEYFGYISESIVTGSPTCIAKILGIYQVKSLKGGKEMKMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSNKVLLDQNLLEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIGVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKTSGILGGPKNVAPTVISPKQYKMRFRKAMSTYFLVVPDQWSPPAVVPSKQGAENNQDND >ORUFI03G21190.4 pep chromosome:OR_W1943:3:15596942:15608543:-1 gene:ORUFI03G21190 transcript:ORUFI03G21190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMRMQQPMDASDKTFADVVKLLTSWLPRRSNPDNVSRDFWMPDHSCRVCYDCDTQFTIFNRRHHCRRCGRIFCGKCTTNSIPASSGPDRNIDEGDKIRVCNFCFKQWEQERAAANKQMMPLLSPSLSEASLFSTKSAITINSVSTTAGSYSTGHYQHVARASSISPPKSSQDKVCHDMLDTHVPEKSMSAVSNKDETSSVHFGYYTNRSDDEEEECSAYCSDRQVQHQQHNDHYYGPDEFDELESSYNPTISPTVEENVISKEVSSHATDQGFPSTLPVTKMDDEPDPDNSSECGAASSIYALESTDTNPLDFEKNELFWFPPEPEDEEDEMEVGLFDDDDDDEPVADSEQRRIRSSSSFGSGEFRNRDRSSEEHKKVMKNVVDGHFRALISQLLQVENISLHEGDETGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKFTYYLKPASNCFFSTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYQRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVEHTVSRYAQDLLLEKNISLVLNIKRPLLDRIARCTNAHIVPSIDLLPSQKLGHCELFYVDKYVEHSVNSNNTAKKMPKTMMFFEGCPKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPEIPLESPLTVALPDSRSTADSSISTVPGFTFNVSNSRQTTDGFEHPVAGSIRSTDPGGTDVPPVSNECTTQTRTTFSHSSGTWSANGGSLNSKTVDRIEKATATSATTSGVLMDHSYTYSTLEKNWYSGDYHEYGSTMSDVKTMTTVLANSNGSCHHGTSEASTNITNFANLKEPFDGSIDLANVENVTNSNVVMVQPVPSTAVQNQETNQGHESTSNKEEIMASDHQSILVALSIRCVWKGTICERSHMLRIKYYGNFDKPLGRFLRDCLFNQGYQCISCDKPPEAHVHCYTHQQGSLTISVRKHTEFVLPGERDGKIWMWHRCLKCPWSNGFPPATLRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSIHVPPYKLDFSHQPLDWIQKEANEVIDRAKVLFDEISRALHQHSDKRAHSGSLNMECGNHIVDLEGILRREKLEFEGCLNKVIKKETQKIQPDILEINRLRRQLLFHSYLWDQRLISAARSDRSHQEPYNFKPADKEMVQSIGSIAEQNAIEKPQSEISATEASFKDHKYVECLQESIDGGNSPGVDPCNSCPNHDQQIAISESDLIQRGSKTPLHSSVSINVESVPLESDIVARCTLSEGQFPSLLDVSNALDAKWTGKNDPVPSSAIVPDCVASSEDSEEHVTDTPSYASVFLNKLGDSAEDQSNWLGMPFLQLYRALNKQWCRSNRFDALNEYTPVHVSFLRTVERQVGPKFLFPIGVNDTVVGIYDDEPTSIISYALASHEYHLQLSDELESDTTDNSLSVTDLRGASLTESVDETASELLRSFVSTEDNILYMSGGKNPSPSDPLAYRKASHIKVNFGDEGPLGQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGARGGKSNVFFAKSLDDRFIIKQVTKTELESFMKFAPEYFGYISESIVTGSPTCIAKILGIYQVKSLKGGKEMKMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSNKVLLDQNLLEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIGVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKTSGILGGPKNVAPTVISPKQYKMRFRKAMSTYFLVVPDQWSPPAVVPSKQGAENNQDND >ORUFI03G21200.1 pep chromosome:OR_W1943:3:15624714:15631334:1 gene:ORUFI03G21200 transcript:ORUFI03G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATNELQFVWVQLQAGSTVAKIGPWGGDYGGRDHDVTVAPRRLRSVSLRHGKIIDSIAFTYDGGDGDGELHSVGPWGGDGAELPEAVARKLAAGERPPGATVAEFTFDAGERVTEVHGTVGPFGDRDSLVTSLKLVTDRRTIGPFGYGAGTPFSVPVRGDGGVVGFFVRAGAYLEAIGVYVNPWTRRPKATKLIKPAANRSIYPSLCRGWGLGCSCKREMAGSLVKLGAWGGDHGGKEYDVTVAPQRLEGFWLRYGKVIDCISFSYLDKDKNLHTIGPWGGQGGLSEETITFEPSEYVKEVHGSVGPIGDYTHVVTSLKLVTSQRTIGPFGNGAGTPFAVPVLNNGSVVGFFARAGPYLESIGIYVHPF >ORUFI03G21200.2 pep chromosome:OR_W1943:3:15625662:15631334:1 gene:ORUFI03G21200 transcript:ORUFI03G21200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNSGNLQAGSLVKLGAWGGDHGGKEYDVTVAPQRLEGFWLRYGKVIDCISFSYLDKDKNLHTIGPWGGQGGLSEETITFEPSEYVKEVHGSVGPIGDYTHVVTSLKLVTSQRTIGPFGNGAGTPFAVPVLNNGSVVGFFARAGPYLESIGIYVHPF >ORUFI03G21210.1 pep chromosome:OR_W1943:3:15633506:15635259:-1 gene:ORUFI03G21210 transcript:ORUFI03G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATPKMTLCLLAILAAMADLGNPAALWTMLTLTFSLGLSDDGDYKGSTLVLDGRVDFGGGGAAERAVVGGTGRFRRARGYSLTTKFGNPTPSTGFPLLLLEYDPISSGCK >ORUFI03G21220.1 pep chromosome:OR_W1943:3:15639355:15639888:-1 gene:ORUFI03G21220 transcript:ORUFI03G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPTTIICLLAFLAVLAAATGDGTTTTTHLHFYIHETFAGDNATTASLAPSPLAVAGSNSSFGSVGALDDELREGSDAASRYLGRVEGLVVQADLGNPAAAWTLLTLAFAGGDYNGSTLVLDGRVDFGAAGDMERAVVGGTGRFRRARGYSLMTKFGNPTPNTGVFEMDVYVTTMA >ORUFI03G21230.1 pep chromosome:OR_W1943:3:15650107:15650304:1 gene:ORUFI03G21230 transcript:ORUFI03G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLRPFLLAGSPLYRHKVPQGKPACDDGDGRRHRRVEVHGVPEQGGGHGEVSWVAAKEVVQL >ORUFI03G21240.1 pep chromosome:OR_W1943:3:15653496:15655591:-1 gene:ORUFI03G21240 transcript:ORUFI03G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSLSPLAAVNTASAAIADAVREAFQPSARHDVDPSTAANVVDSEGVMASNATWNALISFLDKHIQLLFASAFQQGSRRCYGVYARAVVTTRASDAAAAGRRTDVASAATAATAKRDVAWMRDPKTGCWAPENRVDEVDAVDLRNLLLNYK >ORUFI03G21250.1 pep chromosome:OR_W1943:3:15660442:15664269:-1 gene:ORUFI03G21250 transcript:ORUFI03G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLAPKLGVREGELGAAERLIDEMVRRGVAPNAATYSLLMQGLCDADLHADAEKLMFDMEYRGCQAEVVNYGVLTSSRARRGDVNGVRELLSAMRKRKLKPDDASYNVLIKCPCDVGRVDEAHRALLDMQLKGTVPGAANVPRPRRRVLQGSRLRAGSTGFQRDVGERTLSSTSHLQAPWLGEDGKVEEACFVLEQMARREMSLDADGWQAVVTCLGHGGCINVLFETPSGFAIFAYDGIKLLKPNAMQDIWADFVSEYVAKRAVALKEFKTFEDKLAGINHETGVSEALATMIQKYTAPLQKLPVGNDNYRDIIEKRLHIPCMSGPHVDELMWGLKIQMRNSELIKEDRFPMSVGMTFLLNRHKFGVHPDMLVIKLIIEKAGVMFECDRCVNQHNDPLRSAAEHIKKISRIDTQSWDLMKVAAAFKVICCPGEKNEPEEWLFTRLQLEWFRDDAPKYKDKILKVSWLIVYNEIFRARELRLKTARVLFCLVKRAKEAYEAEQAGEAASDNEIGPDGKEIHPGITPVIIDELTECVCDIILSAGHKERQVNYEGKNC >ORUFI03G21250.2 pep chromosome:OR_W1943:3:15660442:15664269:-1 gene:ORUFI03G21250 transcript:ORUFI03G21250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLAPKLGVREGELGAAERLIDEMVRRGVAPNAATYSLLMQGLCDADLHADAEKLMFDMEYRGCQAEVVNYGVLTSSRARRGDVNGVRELLSAMRKRKLKPDDASYNVLIKCPCDVGRVDEAHRALLDMQLKGTVPGAANVPRPRRRVLQGSRLRAGSTGFQRDVGERTLHLVRGLGEDGKVEEACFVLEQMARREMSLDADGWQAVVTCVRSSRSTQASEIKLLGHGGCINVLFETPSGFAIFAYDGIKLLKPNAMQDIWADFVSEYVAKRAVALKEFKTFEDKLAGINHETGVSEALATMIQKYTAPLQKLPVGNDNYRDIIEKRLHIPCMSGPHVDELMWGLKIQMRNSELIKEDRFPMSVGMTFLLNRHKFGVHPDMLVIKLIIEKAGVMFECDRCVNQHNDPLRSAAEHIKKISRIDTQSWDLMKVAAAFKVICCPGEKNEPEEWLFTRLQLEWFRDDAPKYKDKILKVSWLIVYNEIFRARELRLKTARVLFCLVKRAKEAYEAEQAGEAASDNEIGPDGKEIHPGITPVIIDELTECVCDIILSAGHKERQVNYEGKNC >ORUFI03G21250.3 pep chromosome:OR_W1943:3:15660442:15664269:-1 gene:ORUFI03G21250 transcript:ORUFI03G21250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLAPKLGVREGELGAAERLIDEMVRRGVAPNAATYSLLMQGLCDADLHADAEKLMFDMEYRGCQAEVVNYGVLTSSRARRGDVNGVRELLSAMRKRKLKPDDASYNVLIKCPCDVGRVDEAHRALLDMQLKGTVPGAANVPRPRRRVLQGSRLRAGSTGFQRDVGERTLHLVRGLGEDGKVEEACFVLEQMARREMSLDADGWQAVVTCVRSSRSTQASEIKLLGHGGCINVLFETPSGFAIFAYDGIKLLKPNAMQDIWADFVSEYVAKRAVALKEFKTFEDKLAGINHETGVSEALATMIQKYTAPLQKLPVGNDNYRDIIEKRLVIKLIIEKAGVMFECDRCVNQHNDPLRSAAEHIKKISRIDTQSWDLMKVAAAFKVICCPGEKNEPEEWLFTRLQLEWFRDDAPKYKDKILKVSWLIVYNEIFRARELRLKTARVLFCLVKRAKEAYEAEQAGEAASDNEIGPDGKEIHPGITPVIIDELTECVCDIILSAGHKERQVNYEGKNC >ORUFI03G21250.4 pep chromosome:OR_W1943:3:15660442:15664269:-1 gene:ORUFI03G21250 transcript:ORUFI03G21250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLAPKLGVREGELGAAERLIDEMVRRGVAPNAATYSLLMQGLCDADLHADAEKLMFDMEYRGCQAEVVNYGVLTSSRARRGDVNGVRELLSAMRKRKLKPDDASYNVLIKCPCDVGRVDEAHRALLDMQLKGTVPGAANVPRPRRRVLQGSRLRAGSTGFQRDVGERTLHLVRGLGEDGKVEEACFVLEQMARREMSLDADGWQAVVTCVRSSRSTQASEIKLLGHGGCINVLFETPSGFAIFAYDGIKLLKPNAMQDIWADFVSEYVAKRAVALKEFKTFEDKLAGINHETGVSEALATMIQKYTAPLQKLPVGNDNYRDIIEKRLVIKLIIEKAGVMFECDRCVNQHNDPLRSAAEHIKKISRIDTQSWDLMKVAAAFKVICCPGEKNEPEEWLMPVCFFQLFTRLQLEWFRDDAPKYKDKILKVSWLIVYNEIFRARELRLKTARVLFCLVKRAKEAYEAEQAGEAASDNEIGPDGKEIHPGITPVIIDELTECVCDIILSAGHKERQVNYEGKNC >ORUFI03G21260.1 pep chromosome:OR_W1943:3:15671222:15673774:-1 gene:ORUFI03G21260 transcript:ORUFI03G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFHQILKTFFHALIIAEVILGQSDREVLLELKNFLQFQNPINHGGYNSWPESGTSPCHWQGVGCDASGRVNFLDLSNSNISGPAFQNLSRLSGLTHLDLSANSITGELHDDLKNCLHLQYLDLSYNLISGILDVSSLANLQTLDVSQNRFEGGISANFPAICRNLSAINLSSNNLTGSISGLFNNCLKLQDVDLSWNSFTGNVWNGIARLRQFKAGKNNFAGSISSRTFSTGCKLQLLDLSSNHFYGNFPSSIANCAGLTYLSIWDNHFNGSIPPGIGSIHGLEELVLTSNHFDREIPLELMNCTSLKYLEISDNNFGGEVQQVLGKLTSLTNLVLQENNYSGGIVSSGILGLPKLALLDLSFNNFNGKLPTEIASMGSIKALMLAENNFSGTIPPSYGQLVNLQALDLSYNSLSGEIPPSIGNLTSLLLLMLAGNQLSGEIPREIGNCTSLLWLNLVGNRLSGQIPPEMAGMGRNPSSTFAKNQKNPSLMKSVTSKCLAVYRWVPSSYPEFDYVQSMMFSHKNCRTIWNRLLMGYDILPASSPLRTALGYVQLSGNLLSGQIPSAIGAMKNISLLLLDGNRLSGHLPSEIGSLQLVSLNASNNSISGEIPFEIGNLGSIESLDLSCNNFSGSLPSSLEKLSKLSQFNVSYNPLLTGEVPSSGQLSTFSELSFLGDPLLSWHSAAVSSVFFIWDNRSKSSHRWVMALVAVCTAEIIQKDHKKKRLKSKQIEGRFKNTAI >ORUFI03G21270.1 pep chromosome:OR_W1943:3:15678512:15679649:1 gene:ORUFI03G21270 transcript:ORUFI03G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSVIDGRSLAVPLLLITPKIIIIILIIESTSDAVPKVSPHRKKININKITHRGEHTVEATVVAAVVVVGRSAPMVMVVDPLAHERTMADPGTPEHLLGRGGEEEGQPARHASALSPTRPSTARATT >ORUFI03G21280.1 pep chromosome:OR_W1943:3:15682309:15683039:1 gene:ORUFI03G21280 transcript:ORUFI03G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSVRPRVPRSSRNGAAANSNLPLAQPRLGSWPAAAEQAANALDRGVQSMEVEGKEKMEICRI >ORUFI03G21290.1 pep chromosome:OR_W1943:3:15692934:15700973:1 gene:ORUFI03G21290 transcript:ORUFI03G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREPANICEQKLPTKRPYPSLSDTSSEGSCNRYPRNHYDDTPMRIKFICNFGGRFLPRPSDGQLRYVGGERHLIKISRDISWKELICKTSKLIRRAHMIKYHLPGEPMNMLISITCDDDLRNMIDECIVLERTKVLLTVYLFADNDDERHVHFVLGSSSSTDKEAQFIALVNGLVRPGEELKKQRLRNTSANDLDQLMFDINEEGLLARTDKASPNVQSKLSPSIVKVPLKTSREQLENMPPSSQLAVTNQDYKAPRNEDNPLCTARKTNNAHLGSSVPSESTCIGKVEAGAHAVSRHHPGQRNTATNMTRKSNQATEDQVKGSPRKQLPIQVDSRGVNVVSSNSNNNSLTMHIHLPVYEKVASLSGGSEKTVNQPTSHDNKMKLKTYSTQEEAVSHSASHNKTEMHKRSLDFPTPPRCHDDTYNSTNSTNLHILEKSITTNNKQKQQPAVMCIDILKKNHPPEPTKVRSSSERQERPNSPKPDEHLSTARSRSVGADRISPQIRTPSQESKDNVAPFIEESEACETKNSEEALSANTVMGRELISNVQVINNGDLEDLREIGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNGPGGTLATVTEFMINGSLKKVLLHKNKYLDWRKRIMVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKIDVYSFGIVMWEILTGEDPYDGMHYGGVIGGILSNTLRPLVPTSCNLEWRKLMEQCWSTEPERRPSFAEVATRLRSMLEASQSVTLRVN >ORUFI03G21290.2 pep chromosome:OR_W1943:3:15692934:15700973:1 gene:ORUFI03G21290 transcript:ORUFI03G21290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREPANICEQKLPTKRPYPSLSDTSSEGSCNRYPRNHYDDTPMRIKFICNFGGRFLPRPSDGQLRYVGGERHLIKISRDISWKELICKTSKLIRRAHMIKYHLPGEPMNMLISITCDDDLRNMIDECIVLERTKVLLTVYLFADNDDERHVHFVLGSSSSTDKEAQFIALVNGLVRPGEELKKQRLRNTSANDLDQLMFDINEEGLLARTDKASPNVQSKLSPSIVKVPLKTSREQLENMPPSSQLAVTNQDYKAPRNEDNPLCTARKTNNAHLGSSVPSESTCIGKVEAGAHAVSRHHPGQRNTATNMTRKSNQATEDQVKGSPRKQLPIQVDSRGVNVVSSNSNNNSLTMHIHLPVYEKVASLSGGSEKTVNQPTSHDNKMKLKTYSTQEEAVSHSASHNKTEMHKRSLDFPTPPRCHDDTYNSTNSTNLHILEKSITTNNKQKQQPAVMCIDILKKNHPPEPTKGETVLSCSYLSSDKTTELQKNILVRSSSERQERPNSPKPDEHLSTARSRSVGADRISPQIRTPSQESKDNVAPFIEESEACETKNSEEALSANTVMGRELISNVQVINNGDLEDLREIGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNGPGGTLATVTEFMINGSLKKVLLHKNKYLDWRKRIMVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKIDVYSFGIVMWEILTGEDPYDGMHYGGVIGGILSNTLRPLVPTSCNLEWRKLMEQCWSTEPERRPSFAEVATRLRSMLEASQNQS >ORUFI03G21290.3 pep chromosome:OR_W1943:3:15692934:15700973:1 gene:ORUFI03G21290 transcript:ORUFI03G21290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREPANICEQKLPTKRPYPSLSDTSSEGSCNRYPRNHYDDTPMRIKFICNFGGRFLPRPSDGQLRYVGGERHLIKISRDISWKELICKTSKLIRRAHMIKYHLPGEPMNMLISITCDDDLRNMIDECIVLERTKVLLTVYLFADNDDERHVHFVLGSSSSTDKEAQFIALVNGLVRPGEELKKQRLRNTSANDLDQLMFDINEEGLLARTDKASPNVQSKLSPSIVKVPLKTSREQLENMPPSSQLAVTNQDYKAPRNEDNPLCTARKTNNAHLGSSVPSESTCIGKVEAGAHAVSRHHPGQRNTATNMTRKSNQATEDQVKGSPRKQLPIQVDSRGVNVVSSNSNNNSLTMHIHLPVYEKVASLSGGSEKTVNQPTSHDNKMKLKTYSTQEEAVSHSASHNKTEMHKRSLDFPTPPRCHDDTYNSTNSTNLHILEKSITTNNKQKQQPAVMCIDILKKNHPPEPTKGETVLSCSYLSSDKTTELQKNILVRSSSERQERPNSPKPDEHLSTARSRSVGADRISPQIRTPSQESKDNVAPFIEESEACETKNSEEALSANTVMGRELISNVQVINNGDLEDLREIGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNGPGGTLATVTEFMINGSLKKVLLHKNKYLDWRKRIMVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKIDVYSFGIVMWEILTGEDPYDGMHYGGVIGGILSNTLRPLVPTSCNLEWRKLMEQCWSTEPERRPSFAEVATRLRSMLEASQSVTLRVN >ORUFI03G21290.4 pep chromosome:OR_W1943:3:15693138:15700973:1 gene:ORUFI03G21290 transcript:ORUFI03G21290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREPANICEQKLPTKRPYPSLSDTSSEGSCNRYPRNHYDDTPMRIKFICNFGGRFLPRPSDGQLRYVGGERHLIKISRDISWKELICKTSKLIRRAHMIKYHLPGEPMNMLISITCDDDLRNMIDECIVLERTKVLLTVYLFADNDDERHVHFVLGSSSSTDKEAQFIALVNGLVRPGEELKKQRLRNTSANDLDQLMFDINEEGLLARTDKASPNVQSKLSPSIVKVPLKTSREQLENMPPSSQLAVTNQDYKAPRNEDNPLCTARKTNNAHLGSSVPSESTCIGKVEAGAHAVSRHHPGQRNTATNMTRKSNQATEDQVKGSPRKQLPIQVDSRGVNVVSSNSNNNSLTMHIHLPVYEKVASLSGGSEKTVNQPTSHDNKMKLKTYSTQEEAVSHSASHNKTEMHKRSLDFPTPPRCHDDTYNSTNSTNLHILEKSITTNNKQKQQPAVMCIDILKKNHPPEPTKGETVLSCSYLSSDKTTELQKNILVRSSSERQERPNSPKPDEHLSTARSRSVGADRISPQIRTPSQESKDNVAPFIEESEACETKNSEEALSANTVMGRELISNVQVINNGDLEDLREIGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNGPGGTLATVTEFMINGSLKKVLLHKNKYLDWRKRIMVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKIDVYSFGIVMWEILTGEDPYDGMHYGGVIGGILSNTLRPLVPTSCNLEWRKLMEQCWSTEPERRPSFAEVATRLRSMLEASQSVTLRVN >ORUFI03G21300.1 pep chromosome:OR_W1943:3:15704385:15706631:-1 gene:ORUFI03G21300 transcript:ORUFI03G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEALRAKEIAERKFESKDLQGAKKFALKAQALFPGLEGIVQMITTLDLYLASEVLISGEKDWYSILSVESSADDETLKKQYRKLVLQLHPDKNKSVGAEGAFKMVQEAWTVLSDKTKRALYDQKRKLMVLKRNTSQTNKASAAPGASNGFYNFAANAAASKVTRGNKQKAGPATSSVRQRPPPPPPPPRQAPAPPPAKPPTFWTSCNKCKMNYEYLKVYLNHNLLCPTCREPFLAQEVPMPPTESVHAVHDPNISGANQNTNGSRNFQWGPFSRTAGAASATASSAAAAQAANVVHHTYEKVRREREEAQAAARREEALRRKYNPPKRQANISENLNLGTGGNSSKKMRTMGNDIGIGSSSILSGSGANYFGVPGGNISFSTNSGAHHFQGVNGGFSWKPRPPTRISLVKTFTQFDVRGILMEKAKSDLKDKLKEMQTKRSQVAANGKKNKKNMFKESGGDDESLASDDSTARQAAHVDPEDNASVNSTDADDENDDPLSYNVPDPDFHDFDKDRTEECFQSDQIWATYDDEDGMPRYYAFIQKVLSLEPFQLKISFLTSRTNSEFGSLNWVSSGFTKTCGDFRICRYETCDILNMFSHQIKWEKGPRGVIKIYPQKGNIWAVYRNWSPDWDEDTPDKVLHAYDVVEVLDEYDEDLGISVIPLVKVAGFRTVFQRNQDLNAIKKIPKEEMFRFSHQVPFYRMSGEEAPNVPKDSYELDPAAISKELLQEITETVESSKATSEC >ORUFI03G21310.1 pep chromosome:OR_W1943:3:15712014:15718029:-1 gene:ORUFI03G21310 transcript:ORUFI03G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGNPTGEPEPPRPPSSCINKGPGHGNPRTNPFHLRAKRKRRAMGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLVNLGKGMLQAHQIIAEYNNAISEADREKLKDGAFEDVLRSAQEGIVISPWVALAIRPRPGVWEYVRVNVSELAVELLTVPEYLQFKEQLVEEGTNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSGLSADTPYSEFHHRFQELGLEKGWGDCAKRSQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVIMSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPRILIVTRLLPDATGTTCGQRLEKVLGTEHTHILRVPFRTENGIVRKWISRFEVWPYLETFTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSESRKRLTSLHPEIEELLYSEVDNNEHKFMLKDRNKPIIFSMARLDRVKNLTGLVELYGRNPRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVVESMTCGLPTFATAYGGPAEIIVNGVSGFHIDPYQGDKASALLVEFFEKCQEDPSHWTKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSNK >ORUFI03G21310.2 pep chromosome:OR_W1943:3:15712014:15716368:-1 gene:ORUFI03G21310 transcript:ORUFI03G21310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLVNLGKGMLQAHQIIAEYNNAISEADREKLKDGAFEDVLRSAQEGIVISPWVALAIRPRPGVWEYVRVNVSELAVELLTVPEYLQFKEQLVEEGTNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSGLSADTPYSEFHHRFQELGLEKGWGDCAKRSQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVIMSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPRILIVTRLLPDATGTTCGQRLEKVLGTEHTHILRVPFRTENGIVRKWISRFEVWPYLETFTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSESRKRLTSLHPEIEELLYSEVDNNEHKFMLKDRNKPIIFSMARLDRVKNLTGLVELYGRNPRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVVESMTCGLPTFATAYGGPAEIIVNGVSGFHIDPYQGDKASALLVEFFEKCQEDPSHWTKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSNK >ORUFI03G21310.3 pep chromosome:OR_W1943:3:15712014:15716368:-1 gene:ORUFI03G21310 transcript:ORUFI03G21310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLVNLGKGMLQAHQIIAEYNNAISEADREKLKDGAFEDVLRSAQEGIVISPWVALAIRPRPGVWEYVRVNVSELAVELLTVPEYLQFKEQLVEEGTNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSGLSADTPYSEFHHRFQELGLEKGWGDCAKRSQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVIMSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPRILIVTRLLPDATGTTCGQRLEKVLGTEHTHILRVPFRTENGIVRKWISRFEVWPYLETFTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSESRKRLTSLHPEIEELLYSEVDNNEHKFMLKDRNKPIIFSMARLDRVKNLTGLVELYGRNPRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVVESMTCGLPTFATAYGGPAEIIVNGVSGFHIDPYQGDKASALLVEYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSNK >ORUFI03G21320.1 pep chromosome:OR_W1943:3:15740276:15741076:1 gene:ORUFI03G21320 transcript:ORUFI03G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVRHGWQGGVGRRHGRRGQGGGEKRQRLGDKMSDGRRGQGGGKAVEDGRERRRSGWRTRPRKCCRGITRRRWALLLPFRELRLRRRCSRLHAILRWPPSLSPRRNTALAGEGDAPYPPAASPPSIASMEAEATSCLAALISRATYCFSPPTTKTRRRVAAKAEITAGTAPTPPSICAAAGVPSRQRRDAPAPKSVLSFPPSTIARANAALPTGTKPFSFQSLAAHILCAVSRARDLGPSDITVFLIVASPLDCPPCAAAHHPP >ORUFI03G21330.1 pep chromosome:OR_W1943:3:15767327:15767815:-1 gene:ORUFI03G21330 transcript:ORUFI03G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCGWGEAGARETAAAAVDTVTAATEDEGDERVRGTATAGEKVGWAQETATGTVAAADVDEGGGTGACKDEGMDEDGDGGARWMWVRTVGWTRTTAVARRMRARTTAATEDEDGDRGVADAGDDGGRDAGHGVVDASEDGGHDAADAGEDSGCGAAVAAGG >ORUFI03G21340.1 pep chromosome:OR_W1943:3:15768383:15770748:-1 gene:ORUFI03G21340 transcript:ORUFI03G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTGPKSGDALFGSVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGTQLVDEFLAKSNVSRCVDFKETADVIAKLGFKMFLGVTATVTNWDAEGTSCSFVLEDNPLVDFVELPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLTKQVPEEYPYKDDD >ORUFI03G21350.1 pep chromosome:OR_W1943:3:15776385:15779881:1 gene:ORUFI03G21350 transcript:ORUFI03G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGGGGGAPRALSQREQDIQMMLAADVHLGTKNCDFQMERYVYKRRSDGIFIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDVMEEEEAAVGPEYAAVAEYGAAPTDNWGDQQWGGEVQPPALPAAPTGEWGAAPAPVAAEGWDAVAVPPAAAAAVAPPAAPGWEEGSAPAPTGW >ORUFI03G21360.1 pep chromosome:OR_W1943:3:15784260:15791589:1 gene:ORUFI03G21360 transcript:ORUFI03G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVAPPLCTWLVAACLSAACGDAAGKEKQLRRHGGAMFGSSRRGRPPGARCRGGRGARSGGIPMAIALHPERGAVESKKPDIKQRRVVVTGMGVVTPLGHDPDEFYNNLLQGVSGISEIEAFDCSKYPTRIAGEIKSFSTDGWVAPKLAKRMDKFMLYLITAGKKALENGGITEEVMNELDKSRCGVLVGSAMGGMKIFSDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGETDVMLCGGSDAPIIPIGLGGFVACRALSQRNNDPAKASRPWDVDRDGFVMGEGAGVLLLEELEHAKQRGAQIYAEFLGGSFTCDAYHMTEPHPEGRGVILCIENALADAGVAKEDINYVNAHATSTQMGDLKEFEALNRCFVNSTKSMTGHLLGAAGGIEAVAAIQAIRTGWVHPNINLDNPEKNVDVSILVGSQKERCDVKVALSNSFGFGGHNSSVLFAPFK >ORUFI03G21360.2 pep chromosome:OR_W1943:3:15784260:15791589:1 gene:ORUFI03G21360 transcript:ORUFI03G21360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVAPPLCTWLVAACLSAACGDAAGKEKQLRRHGGAMFGSSRRGRPPGARCRGGRGARSGGIPMAIALHPERGAVESKKPDIKQRRVVVTGMGVVTPLGHDPDEFYNNLLQGVSGISEIEAFDCSKYPTRIAGEIKSFSTDGWVAPKLAKRMDKFMLYLITAGKKALENGGITEEVMNELDKSRCGVLVGSAMGGMKIFSDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGETDVMLCGGSDAPIIPIGLGGFVACRALSQRNNDPAKASRPWDVDRDGFVMGEGAGVLLLEELEHAKQRGAQIYAEFLGGSFTCDAYHMTEPHPEGRGVILCIENALADAGVAKEDINYLRVNSTKSMTGHLLGAAGGIEAVAAIQAIRTGWVHPNINLDNPEKNVDVSILVGSQKERCDVKVALSNSFGFGGHNSSVLFAPFK >ORUFI03G21360.3 pep chromosome:OR_W1943:3:15784800:15791589:1 gene:ORUFI03G21360 transcript:ORUFI03G21360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALHPERGAVESKKPDIKQRRVVVTGMGVVTPLGHDPDEFYNNLLQGVSGISEIEAFDCSKYPTRIAGEIKSFSTDGWVAPKLAKRMDKFMLYLITAGKKALENGGITEEVMNELDKSRCGVLVGSAMGGMKIFSDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGETDVMLCGGSDAPIIPIGLGGFVACRALSQRNNDPAKASRPWDVDRDGFVMGEGAGVLLLEELEHAKQRGAQIYAEFLGGSFTCDAYHMTEPHPEGRGVILCIENALADAGVAKEDINYVNAHATSTQMGDLKEFEALNRCFVNSTKSMTGHLLGAAGGIEAVAAIQAIRTGWVHPNINLDNPEKNVDVSILVGSQKERCDVKVALSNSFGFGGHNSSVLFAPFK >ORUFI03G21370.1 pep chromosome:OR_W1943:3:15792813:15793741:-1 gene:ORUFI03G21370 transcript:ORUFI03G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSCPAGASAMLNLDLYSCRARHCVSPLPLASTDAMFNLKFRWYQLYIGLQLKCTVRTELTGGYSICSLLGTEKTEERGKPEVQEQVQDETFGKYNWTSVKM >ORUFI03G21380.1 pep chromosome:OR_W1943:3:15798910:15801148:-1 gene:ORUFI03G21380 transcript:ORUFI03G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHNLLVPTTTTTTTTTSSSSSSSKNNAANKQQQQQEPPHLSGAYIRSLVKQLSSSSSTARSNKDHTTTMGTSKPHGCCHPQPDQQEPQTTPPPPQPQPQPHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKIHRASMRQAKEQQQLLHLQHHHHHQQQEVVATQVAAAVQEQQIVEQAAASTRSSANSAAAAMHHGYASFSDYLYNSPLTHLSSSPAAYSSPVPYHAPPPPPMAAAAQAQLGHGDLLPLPAQPLGLNLSFHGFTSVVADVCDGDGGKQGSSTGCLEPYHPLLHQPSPASSYSVYSSPSVTTTAAAGGGQDMSPSALITTAENTSSQSQLAAAEIADPSLHRVLDDEEMAAIYSIGEQHDIEWSDTVNLVTSAWWSKLLDTVEGGAGAAASAVAAGGAVNASAAAAAAEEEEELTAARMAPDWFGGDGGHLVEHHQSSKESGSDVLGMHFGEYYHHGHGIGSYGEDVSLPRMDLGEIEGWNAEWFS >ORUFI03G21390.1 pep chromosome:OR_W1943:3:15833659:15834351:1 gene:ORUFI03G21390 transcript:ORUFI03G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKASAVARKLDVSVGRCSTSPYGSGGLPSPTSPLDRAALHAPSPRGVWRHRDAGGVGLGILAALEAQEEEEARKPAVSAVAIAASASASSSAAATTTRRRAARLEVSELGCSGRCATSLCGSGAGAAAFRVAEFLACCDLCRRPLDGKDIFMYRGERAFCSMECRYHAIVSDEFQQEKDRRRRDAAAAAPRDIPSKVSAAPSTAAAEIAGSPCSGGGQIFFTTGIVAA >ORUFI03G21400.1 pep chromosome:OR_W1943:3:15882076:15882684:-1 gene:ORUFI03G21400 transcript:ORUFI03G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPPSSVRTRSVTSVALPMHGHGGGCRCVPLSPFDVYWVALPPVRRPSAXLVDEGEEHDEDALRQLVPDIHGDELPAPVMATQLMEFVGGGGGVAVHHAAVDGRGLWRFLEMWSPSAATAAVATGMRHSSLHGVSHPFSSSSIAATSSPCFISTSIKLLSSGLSTPEATAVAASTSHEPSQELVEEEMAKDGEGGRRDKGG >ORUFI03G21410.1 pep chromosome:OR_W1943:3:15885271:15885648:-1 gene:ORUFI03G21410 transcript:ORUFI03G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLLRADLVEAVVEVFSAGGSISPLPLSLPLRAQASPEAVAVALVLPRADPAEVAATAFPTSGSGGPLPPPPSSQASLAVDPTAAASPTDPPVVTSAAPAGGSVEGDDVVVCVAVVDVMMLFL >ORUFI03G21420.1 pep chromosome:OR_W1943:3:15887921:15889742:-1 gene:ORUFI03G21420 transcript:ORUFI03G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSGERGSSSFAMACSLLSRYVRQNGAAAGELGLGIRGEADANKGKETMELFPQNSGFGSEAAAVKETPDAREQEKRQLTIFYGGKVLVFDDFPAEKAKDLMQMASKSSSTAQNCVLLPSSATATVADNTKVSAVPAPASALPVAQANAPKPVRPNAADLPQARKASLHRFLEKRKDRLQAKAPYQGSPSDASPVKKELQESQPWLGLGPQVAAPDLSLRQESSQ >ORUFI03G21430.1 pep chromosome:OR_W1943:3:15900718:15919429:1 gene:ORUFI03G21430 transcript:ORUFI03G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCISTVPLFPLFFWLLSFRYAPPPPPPPPPPPSHSHSHFHPIQSAAVTTVAAAVDDDMERTEEEEAPAAKDPNASLPSPTYRSLAAPVTKPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRNIVKANNRIEARNNPSIFLRYNSVRVGVPSVQVQYIAEKITPHFCRLTDRTYSAPVLADIEYTVGKQYELKRKPNFIIGYLPIMLRSHACVLNGKDEAELARYGECPLDPGGYFIVKGTEKVILIQEQLSKNRIIIDTDSKGRVIASVTSSTHEIKSKTVIFMEKEKIYLQLNQFTKPIPIIVVMKAMGMESDQEVAQMVGRDPRYGDLLYPSIQECAFERIYTQKQALQYMDDKVMYPGAGNQKEGRSKSILRDVFVAHVPVESGNFRPKCIYTAVMLRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSNAVELMNKTSEKIHSSPLDLSLHIKENIITHGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQWGMLCPCDTPEGEACGLTKNLALLTHVTTDQEEGPLMNLVWKIYHFCLEKKSMHRRFANAMRKLRRSGIIGEFVSIFVNEKQDGIRSFDDFLRDGLIEYLDVNEENNALIALYEHEDQDDVQRSSITHIEIEPLTILGVVAGLIPYPHHNQSPHSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYEGGYSDRIVKPQRDKDGALLKQNMRALDEDGFAAPGLIIRNHDIYVNKQTPRNTKRDSGAHLTDRDYKDSPAVYKGVDGETTVVDRVMLCSDTDEKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGQFHYGSAFGEPSGNADKVEDISRTLVKHGFSYNGKDLLYSGILGHPCQAYVFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRKCGLLGYYNHKLKASYCSMCKNGENMAKMRMPYACKLLFQELQAMNVVPRLKLTEG >ORUFI03G21430.2 pep chromosome:OR_W1943:3:15900718:15919429:1 gene:ORUFI03G21430 transcript:ORUFI03G21430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCISTVPLFPLFFWLLSFRYAPPPPPPPPPPPSHSHSHFHPIQSAAVTTVAAAVDDDMERTEEEEAPAAKDPNASLPSPTYRSLAAPVTKPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRNIVKANNRIEARNNPSIFLRYNSVRVGVPSVQVQYIAEKITPHFCRLTDRTYSAPVLADIEYTVGKQYELKRKPNFIIGYLPIMLRSHACVLNGKDEAELARYGECPLDPGGYFIVKGTEKVILIQEQLSKNRIIIDTDSKGRVIASVTSSTHEIKSKTVIFMEKEKIYLQLNQFTKPIPIIVVMKAMGMESDQEVAQMVGRDPRYGDLLYPSIQECAFERIYTQKQALQYMDDKVMYPGAGNQKEGRSKSILRDVFVAHVPVESGNFRPKCIYTAVMLRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSNAVELMNKTSEKIHSSPLDLSLHIKENIITHGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQVWKIYHFCLEKKSMHRRFANAMRKLRRSGIIGEFVSIFVNEKQDGIRSFDDFLRDGLIEYLDVNEENNALLFRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYEGGYSDRIVKPQRDKDGALLKQNMRALDEDGFAAPGLIIRNHDIYVNKQTPRNTKRDSGAHLTDRDYKDSPAVYKGVDGETTVVDRVMLCSDTDEKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGQFHYGSAFGEPSGNADKVEDISRTLVKHGFSYNGKDLLYSDRYFKTVPKSLFCLSGILGHPCQAYVFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRKCGLLGYYNHKLKASYCSMCKNGENMAKMRMPYACKLLFQELQAMNVVPRLKLTEG >ORUFI03G21430.3 pep chromosome:OR_W1943:3:15900718:15919427:1 gene:ORUFI03G21430 transcript:ORUFI03G21430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCISTVPLFPLFFWLLSFRYAPPPPPPPPPPPSHSHSHFHPIQSAAVTTVAAAVDDDMERTEEEEAPAAKDPNASLPSPTYRSLAAPVTKPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRNIVKANNRIEARNNPSIFLRYNSVRVGVPSVQVQYIAEKITPHFCRLTDRTYSAPVLADIEYTVGKQYELKRKPNFIIGYLPIMLRSHACVLNGKDEAELARYGECPLDPGGYFIVKGTEKVILIQEQLSKNRIIIDTDSKGRVIASVTSSTHEIKSKTVIFMEKEKIYLQLNQFTKPIPIIVVMKAMGMESDQEVAQMVGRDPRYGDLLYPSIQECAFERIYTQKQALQYMDDKVMYPGAGNQKEGRSKSILRDVFVAHVPVESGNFRPKCIYTAVMLRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSNAVELMNKTSEKIHSSPLDLSLHIKENIITHGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQVWKIYHFCLEKKSMHRRFANAMRKLRRSGIIGEFVSIFVNEKQDGIRSFDDFLRDGLIEYLDVNEENNALLFRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYEGGYSDRIVKPQRDKDGALLKQNMRALDEDGFAAPGLIIRNHDIYVNKQTPRNTKRDSGAHLTDRDYKDSPAVYKGVDGETTVVDRVMLCSDTDEKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGQFHYGSAFGEPSGNADKVEDISRTLVKHGFSYNGKDLLYSGILGHPCQAYVFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRKCGLLGYYNHKLKASYCSMCKNGENMAKMRMPYACKLLFQELQAMNVVPRLKLTEG >ORUFI03G21440.1 pep chromosome:OR_W1943:3:15929452:15931686:1 gene:ORUFI03G21440 transcript:ORUFI03G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESRGSIAFFATYRPPVPLDVFSCPVAPPPSSPRDELHLTDGVSYNHSCRPIPAAALRALLQRPKLASEATTADVDAGRITGLVFVSERDSGLETLHVALRFDGGKQVKVFGLADIFGAGGDFSGVRMEDSGCFGGGYRERGRAVDHTLVYVSTREPVAARRSPWTVVYGTNLRTGETARLTPRGTFDLSPAVSPSGKRVAVASWQGKPGLWDGEIEDLRTDIYVMNVHKPPLGRTGPVVKNAGWPTWGSDDVIFFHRRVGTTWGVFRFSMSTGEEARVTPEEFDAVTPAAISETKVAVATIRRKSQFSDVRVEEQYRHIEIFDVASPEQPVKITQKTRPKTDHFNPFVLDGGSRIGYHRCKSEQLHQNNGGGGSSVANNFHKLQSPHKDVGLFRVSGVCPTISKDGSKLAFVDNEFKAVWLADSHGLRVVYEKKGPNSVFSTAWNQNTALDTLYVCVGPSFSADKPLQIYAIHDVSALGGRQQRRLTAGASNNAFPSSSPDGGRLVFRSTRDGGGGGRRHKNLYVMEDAAVGEFGTGKVTRLTSGAWTDTHCSWSPREGSDWIVFSSTRDKPAAAPADDNGLDPGYFAVFLVRASDPTVVVRVVRSADSVAGHVNHPVFSPDGRSIAVTADLAAVSADPISLPLFLHSVRPYGDIFTVDIDPDDISKNRDVRAFHRVTHSRYENSTPAWTTFATDDPNAQWSTLVTTKEAAAYRPACPYAHPDGGDSWHMTGHLLLPKRCC >ORUFI03G21450.1 pep chromosome:OR_W1943:3:15937530:15939152:1 gene:ORUFI03G21450 transcript:ORUFI03G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNDDEQSAPVANNNGGTTTRPPGITGVPVGQVELTKYHNAEAPLDPNDQEVLLELKGTSSATSRAALDLIAVLDVSTSMAGNKLDRMKAALLFVIRKLADVDRLSIVTFSNDAARLCPLRFVAGDAARADLGALVDGLAADGNTNIRAGLEIGLAVAAGRRLTAGRAVNVMLMSDGQQNRGDATRLDPGGVPVHTFGLGADHDPAVLQAIAGKSREGMFHYVADGVNLTAPFSQLLGGLLTIIAQDLELTVTRVDGEAAIKKVDAGTYPQAAAADGSSVTVQFGTLYSAEVRRVLVYLALEDSTAFPPYDAEVVEAQFRYSLQGATVASNPDPVSIRRSGSAPEPSEEAPARKPEVETEMARRRHAESIREARSMADGKELERARTRLVEAQNALEDVLDQANPMVDMLREELLQLLRLMETQEAYERAGRAYAVSSLASHDRQRFAARGDAEGVRLFATPRMDAYLEQAWRFDEDPGGAALPSAEEDVRQEVAANPLAPVAGQIAFYVRSAIQALQAIDKIFTSVAAATSTSTSTST >ORUFI03G21460.1 pep chromosome:OR_W1943:3:15971484:15971719:-1 gene:ORUFI03G21460 transcript:ORUFI03G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLREDEDGELREETGNWKNIFVSSCFLVDDQQMVKFMVDGKCNQLEIKWLAFAFDQEM >ORUFI03G21470.1 pep chromosome:OR_W1943:3:15972456:15973258:-1 gene:ORUFI03G21470 transcript:ORUFI03G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDKSSGAARALEITDRSDIYRNVMATMWFSSGSIATATIVKLVWKGMTKEQRWFTDDGCDDDDVVAELNILVAQSGAAGGHDVSTYGNTT >ORUFI03G21480.1 pep chromosome:OR_W1943:3:15981762:15982343:1 gene:ORUFI03G21480 transcript:ORUFI03G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVEKKVELRKMGKTRAEQSGHGAQSPCPLHLLLHTRVSLSFESEGEGSRERRGKETSGEMGGGVERLVGRWVALVDQSTVAALHVQLQQAAAAAQARGKAVEDNDNNMDDDESAFCDPPRRRSSPACHFSSPDSPLPPTPLASLAIDFGRRRSRV >ORUFI03G21490.1 pep chromosome:OR_W1943:3:16014562:16014804:1 gene:ORUFI03G21490 transcript:ORUFI03G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGESTPSDHRNGSGGCWIRPPPSLTTGSAVVGSGGGGERCPHLRERRRWADPHPPTSGVATVGGQRPCLEAGDGWHWAS >ORUFI03G21500.1 pep chromosome:OR_W1943:3:16015895:16025923:1 gene:ORUFI03G21500 transcript:ORUFI03G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAPAANGCSGGRRLQEEEPAARWCAVTGGRGFMARHLVAALLRSGEWRVRITDLAPAITLDPVEEKGLLGEALRDGRAVYDSVNVCDITQLTKAFQGVEVVFHTAAADPDINDFQLHYKVNVEGTKNVIDACVTCKVKRLIYTSSSGVVFDGVHGLFDVDESMPYPNKFPDAYAQSKAEAEKLVRNSNGICELLTCSIRPGSIFGPGDTIVPHLLSHWRTMFIIGDGMNCDDFVYVENVVHGHICAEKTLSTKEGSKISGGKNV >ORUFI03G21510.1 pep chromosome:OR_W1943:3:16036860:16042467:1 gene:ORUFI03G21510 transcript:ORUFI03G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGGDGRWCVVTGGRGFAARHLVAMLLRSGEWLVRVADLPPAIALDRDEEEGILGAALREGRAAYASADLRNKAQVAAAFEGADVVFHMAAPDSSINNFHLHYSVNVEGTKNVIDACIRCKVKRLIYTSSPSVVFDGIHGIFDADESMPYPDKFNDSYSETKADAEKLVMKANGRDGLLTCCIRPSSIFGPGDKLLVPSLVTAARAGKSKYIIGDGSNYYDFTYVENVAYGHVCAEKTLSSEDGAKRAAGKTYFITNMEAIKFWEFMSLILEGLGYERPSIKIPVSVMMPVAHMVEWTYKTFARYGMKIPQLTPSRIRLLSCNRTFSCSRAKDQLGYEPIVSLKDGLKRTIESYPHLQAQNQRSISKASIFLGNGNLAKTVLWEDMKQTMTVLLLLAVIYYHLFTCGYTFITAMAKLFSLTALFGHKVEKLEPSNFHITQVEAHHIAHSVSSTWNSLVGFKAYEKWEDTIDDLVGNACSVVTHFVQGQKSSRQKHADN >ORUFI03G21520.1 pep chromosome:OR_W1943:3:16045100:16052226:1 gene:ORUFI03G21520 transcript:ORUFI03G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAARRLLSRSAAAASRRLVPCASSATPRAAPAALRRFFSAEASTPPPTPPTPPLPPPPLEPTVEPPKSEGASSSSASSSAGAGGAHRSAPGASAGARRAGGTGYEEEQEKVLRASLLHVPRMGWSESAMIAGARDVGVSPAIVGAFPRKEAALVEFFMDDCLQQLIDRIDAGEGELLKNLVLSERLSKLVRMRLEMQGPYISKWPQALSIQSQPANISTSLKQRAVLVDEIWHAAGDAGSDIDWYVKRTVLGGIYSTSEVYMLTDNSPDFRDTWTFVSRRIKDALDLQKTFQEAAYLAEAVGAGMGGSLQGVLNRLFKK >ORUFI03G21530.1 pep chromosome:OR_W1943:3:16055085:16060892:1 gene:ORUFI03G21530 transcript:ORUFI03G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGIATVLAADGRDFLLRNSADQHRGKHRCRSTSRPQWCPPCRRFTPKLIEAYNELVSQGKSFEVVFVSGDSDQDAFNAYFAKMPWLAVPFSDSECRAKLNKRFKVRGIPHLVILNATSGEVYTEDGVELVTVHGTEAYPFTTERINELKEQEKAAKYNQTVQSVLGTPTRDYLLSNKGDRVPISDLEGKYVGLCFVVNGYGPVVQFTSLLAKFYEKLKEVGEKFEVVAVSLDSDEELSNESFAGMPWLAIPQEDKMGEKLARYFELRGLPTLVLIGPDGKTLNNNVADIIDEHGQDAWEGFPFTAEKMEILAEKAKAKAELQTLESLLVIGDLDFVLGKDGAKVPVSELVGKTVLLYFSAKWCGPCRAFLPKLVDEYNKIKEKHNDFEIIFISSDRDQSSYDEFFSGMPWLALPLGDERKQHLSKTFRVRGIPSLVAIGADGRTVARDAKTPLTAHGADAFPFTEERLLEMERKIDEMAKGWPGKLKHELHDEHELVLTRCTTYGCDGCDEMGSSWSYRCRECDFDLHPKCALGKEEEKKGDDEAEAEADPACEGGVCRKA >ORUFI03G21530.2 pep chromosome:OR_W1943:3:16057891:16060892:1 gene:ORUFI03G21530 transcript:ORUFI03G21530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKSEDQQHRGKHRCRSTSRPQWCPPCRRFTPKLIEAYNELVSQGKSFEVVFVSGDSDQDAFNAYFAKMPWLAVPFSDSECRAKLNKRFKVRGIPHLVILNATSGEVYTEDGVELVTVHGTEAYPFTTERINELKEQEKAAKYNQTVQSVLGTPTRDYLLSNKGDRVPISDLEGKYVGLCFVVNGYGPVVQFTSLLAKFYEKLKEVGEKFEVVAVSLDSDEELSNESFAGMPWLAIPQEDKMGEKLARYFELRGLPTLVLIGPDGKTLNNNVADIIDEHGQDAWEGFPFTAEKMEILAEKAKAKAELQTLESLLVIGDLDFVLGKDGAKVPVSELVGKTVLLYFSAKWCGPCRAFLPKLVDEYNKIKEKHNDFEIIFISSDRDQSSYDEFFSGMPWLALPLGDERKQHLSKTFRVRGIPSLVAIGADGRTVARDAKTPLTAHGADAFPFTEERLLEMERKIDEMAKGWPGKLKHELHDEHELVLTRCTTYGCDGCDEMGSSWSYRCRECDFDLHPKCALGKEEEKKGDDEAEAEADPACEGGVCRKA >ORUFI03G21540.1 pep chromosome:OR_W1943:3:16064344:16064901:1 gene:ORUFI03G21540 transcript:ORUFI03G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTKQAQLAGRLSAGHVTGGDDDDTHGFAAAAVRRHLSFRRPNQTHYSLRLRLRSSSPTPHHSLRPLLARTRISASPHNRMAEVSTSTDDGGGGIATILAAADRDFLLLRNSADQGMCVQTEKHS >ORUFI03G21550.1 pep chromosome:OR_W1943:3:16091844:16095720:1 gene:ORUFI03G21550 transcript:ORUFI03G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATHAAADGGVATILASADGRDFLLRNSADKVKISSIKASTVALYFSASWCPPCRRFTPKLIEAYNELVSQGKRERALRIVFVSGDSDQDAFNAYFAKMPWLAVPFSDSEALAKLNERYKVMGIPHLVILDAKSGEIYTEDGVELVHEYGTEAYPFTTERINELKEQEKAAKDNQTIHSLFGTPTRDYLITNKGDKIQTFLAVFFLLMVPRCPSLTSKAIVQFTSVLAKIYEKLKAVGEKFEVVMVSLDGDEESFNESFADMPWLAIPQGDKMCEKLARYFELSGLPMLVLIGPDGKTLNDDIADIIDEHGPDAWEGFPFSAEKLEILAEKAKAKAESQTLESLLVTGDLDFVLGKDGAKVPVSELVGKTVLLYFSAKWCPPCRAFLPKLVNEYNKIKEKHNDFEIVFISSDREQSSYDEFFSGMPWLALPLGDERKQQLSKIFKITGIPSLVAIGPDGKTVTKDAKTPLVAHGADAFPFTEEKLQELEKEKEKKINDMAKGWPEKLKHDLHDHELVLTRCTTYGCDGCDEMGDSWSYRCKECDFDLHPKCALEEKGDVEMGEENAEAAPAGYVCEGDVCRKV >ORUFI03G21560.1 pep chromosome:OR_W1943:3:16098117:16098440:1 gene:ORUFI03G21560 transcript:ORUFI03G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGCSPGTRGWTTVAVHGAGAYRFTEERLEELEREVDGEAAAAGWPGRVSGHAPFEEDVLVLTRRRGASPVRRVRRVGLVTRGRTGVSKRDFHLACVIVGDLARAN >ORUFI03G21570.1 pep chromosome:OR_W1943:3:16109169:16110423:1 gene:ORUFI03G21570 transcript:ORUFI03G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKRQIEESLPEWRDHFLNYKELKRRLNAVSSPDPAAEARFLALLHAEVDKFNAFFLEQEEDFVIRQRELQERIQSSSSAAAEMEGRVRREVVDLHGEMVLLLNYSSINYTGLAKILKKYDKRTGGVLRLPVIAGVLRQPFYATDLLSSLVRDCEAIMDAVFPSLPSPSAAAAAAARAAAEQAIFRNTVAALLTMQEVRSGSSTYGHFSLPPMTPLPDSDWLIQSVQPPPPPPPSSPLIIPT >ORUFI03G21580.1 pep chromosome:OR_W1943:3:16118398:16122894:1 gene:ORUFI03G21580 transcript:ORUFI03G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLSNVNSEAGLQKLDEYLLTRSYISGYQASKDDMTVFTSLPSAPAASYVNVTRWYDHISALLRSSGVTAEGEGVKVESTACSVSPTADQKAPAADEEDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMAKLEEAVRNVKMEGLLWAKLVPVGYGIKKLQIMMTIVDDLVSVDSLIEDYFYTEPANEFIQSCDIVAFNKI >ORUFI03G21590.1 pep chromosome:OR_W1943:3:16124738:16127015:-1 gene:ORUFI03G21590 transcript:ORUFI03G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSWVQGRLGGRTSAAAESRGLAAGNGNPSVVAAVVAAGKERKHQQVVPDDLAGDQWPTPATHLFSIGTLGNDELPEQGEEEEDLPEFSVEEVRKLQDALARLLLRARSKKYSEAVATAAATATCCGGGGADSGLPLDMFLNCPSSLEVDRRAQRDHGGGGAAVGLSPGTKMILTKAKDILVDGNTRNTTTSGGDIKNKSFKFLLKKMFVCHGGFAPAPSLKDPTESSMEKFLRTVLGKKIAARPSNSPASRTYFLEGNNAHGDDHRLCRRRRPRCGEEEEEEEEENKGEESCKWDRTDSEYIVLEI >ORUFI03G21600.1 pep chromosome:OR_W1943:3:16141579:16142192:1 gene:ORUFI03G21600 transcript:ORUFI03G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLRELNHDLATLLNLLLVVDLGLVDNVLDVLALLSCQCRRCSLAAKTKQALKAIMLSLIQEIDRENVPKRERLEEILVEVGMNDSSSCNEEIESLEQEIGDHASKKWTASP >ORUFI03G21610.1 pep chromosome:OR_W1943:3:16157991:16161844:1 gene:ORUFI03G21610 transcript:ORUFI03G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDEGSSSFRDLYRSLHTSVVLVGAAFVLVALLVSLWLILQHLRSYSNPEEQKWIIAVLFMVPVYASESIISLWHSEFSLACDILRNCYEAFALYAFGRYLVACLGGERQVFRLLENKKREELTEQLLESQDKAPVRNRSRVHIFFWDPNALGERLYTIIKFGLVQYMILKSLCAFLSSILELFGKYGDGEFKWYYGYPYIAVVINFSQTWALYCLVKFYNATHEKLQEIRPLAKFISFKAIVFATWWQGLGIAIICHIGILPKEGKHIPVVEHGEITSEESKLEVKVDSDDDSNGTPTTIEEKETHVEAPGTSIKESVQDVVIGGGHHVVKDVALTISQAIGPVEKGVEKGVGKIQDTFHHISLKPKGEKEPEVEVEEHITENTVDGEPVAVDAEVEVERTVQDDNSMEGESLVVNREVAIERTGKDNKR >ORUFI03G21610.2 pep chromosome:OR_W1943:3:16157991:16158518:1 gene:ORUFI03G21610 transcript:ORUFI03G21610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPALLARQGRQAQPARQRQLLRSPPPHSIRRNSRTIQRRKDPSHSVCKRYMALFLRRV >ORUFI03G21620.1 pep chromosome:OR_W1943:3:16161976:16170008:-1 gene:ORUFI03G21620 transcript:ORUFI03G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDFARAVEDGLKLSKRLVLPGGAPSPRPVPGMDRGLLPDAAAASSLLPSAPMAYAVVVDPAAVDSPDVPSYQPHVYGRLDPPALIPLHMREVALAVDCAAAGCAAAEVTLRARWWVHCLTRSCDCHCRIVVPMGEQGTILGAEVTVGKRSYKTHVIDVEDQGAVKIAKTESGGLLKRQFFSLTIPQVGGGEDIFATIRWSQKLLYDNGQFSVDIPFQFPQYVNPLPKVFMKKEKIQLTLNSGVSNEIVLKGSSHPLKERSRQGEKLSFFHEAVVENWSNKDFTFAYSVYSGDLSGGMLVQPSTSDDYDDRDMFCIFLLPGNNQKRKVFRNASVFIIDTSGSMQGKPLESVKNAMYTTLSELVQGDYFNIITFNDELHSFSSCLEQVNEKTIENAREWVNTNFIAEGGTDIMHPLSEAIALLSNSHNALPQIFLVTDGSVEDERNICRTVKEQLATRGSKSPRISTFGLGSYCNHYFLRMLASIGKGHYDAAFDTGSIEGRMVQWFQKASSTIVINISIDATKYIREFEVDSEYIQDISAKCPLCVSGRYQGKLPETLTAKGYLADMSEISIELRVQHVKDISLDKVLAKQQMDLLTAKAWFYENNQLEMKVVKLSIQNSIPSEYTRTILLQNFVEKIEQGKQKPKKNSTQNEQSATSLNGLTLGFGDTAATRENLSAGFGDTKPPERFEMFDKAVGCCSRLTDCCCCMCFINTCSKMNDRCAIVMVQLCGALSCLACFECCSLCCGGSD >ORUFI03G21630.1 pep chromosome:OR_W1943:3:16180792:16181304:1 gene:ORUFI03G21630 transcript:ORUFI03G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGEVEEEEEAAAAAVVVAAACECCGFTQECTAPYMAAVRARYGGRWICGLCGDAAGEELGRADPPISPGEALDRHAAVCRARRASVPPSPEENAGDLIAAVRVLLLRRLGSGGASSPPPPPRRVVRSTPSSPRRGVGGAADASDAVVVAAASVALARTGSCFAALLE >ORUFI03G21640.1 pep chromosome:OR_W1943:3:16194597:16200077:-1 gene:ORUFI03G21640 transcript:ORUFI03G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRPKSPPAPPDPCGRHRLQLAVDALHREIGFLEGEINSIEGIHAASRCCREVDEFIGRTPDPFITISSEKRSHDHSHHFLKKFRKCVLPQLPLLDLLLQQRRRRLLILLLLLLQPQEAELLLQLQLQLLLLLLLLMWGGVNEESVSLPPPQLLLPSLLLRRRRRPRVRELQLLPAVRVLRAAVRGMLVPLHLPVPVPRRLLLRVPGVQVLLRRPSLLPPLLVIDRSIERSCTDWLIN >ORUFI03G21640.2 pep chromosome:OR_W1943:3:16194597:16200077:-1 gene:ORUFI03G21640 transcript:ORUFI03G21640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRPKSPPAPPDPCGRHRLQLAVDALHREIGFLEGEINSIEGIHAASRCCREVDEFIGRTPDPFITISSEKRSHDHSHHFLKKFRYSLHSRILIKCVLPQLPLLDLLLQQRRRRLLILLLLLLQPQEAELLLQLQLQLLLLLLLLMWGGVNEESVSLPPPQLLLPSLLLRRRRRPRVRELQLLPAVRVLRAAVRGMLVPLHLPVPVPRRLLLRVPGVQVLLRRPSLLPPLLVIDRSIERSCTDWLIN >ORUFI03G21650.1 pep chromosome:OR_W1943:3:16212930:16213772:1 gene:ORUFI03G21650 transcript:ORUFI03G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTVESATRSKSVGDGERAAEVDLVAELGKERDDVGGHGGGDDAAVEVHHGGLVAPSPLPDPPPPSPSVAAIFSFSSSSLIFPPPPWRPSWLRQARVCRGGGGGVEEGRVARRRQSRRPSPCCPPPFSLPPADLERMEIGGVLPSHSRSALPVTGPLDAPQCRIIVACHVCLAPQGDNQQREEIEVRDMVRTAVYHEVIQAECQMSSGHLQAAGLQYTGVPTR >ORUFI03G21660.1 pep chromosome:OR_W1943:3:16214232:16218302:-1 gene:ORUFI03G21660 transcript:ORUFI03G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKERSGWGSLFRGCLSGGGAAGSRKVRPGPRTAAAAAAKHGGGASSAAAQRLSFTDVMSTASEQELSVSLVGSNLHVFTVGELKAATQGFLDGNFLGEGGFGPVYKGNVADKAKPGLKAQPIAVKLWDPEGAQGHKEWLSEVIFLGQLRHPNLVKLIGYCCEDEHRLLVYEYMAKGSLENHLFKKFPSMLSWSTRLNIAVGAAKGLVFLHDAEKPVIYRDFKTSNILLDPEYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLEILSGRRAVDKTRPSREQHLVEHMRSWLKDPQKLSRVMDPALEGQYFATAAHKAALVAYKCLSGNPKNRPDMCQVVKDLEPLLNVTDDVSDESVAPIAPVKEDNAVRKERTARRRPGERDGGKLRQSKMRSPQKVVRRRPGQSEEFWVWHMPGEVKC >ORUFI03G21670.1 pep chromosome:OR_W1943:3:16249128:16249418:-1 gene:ORUFI03G21670 transcript:ORUFI03G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAANPVEALGDGTHCGGVGPRRARLVQSRSAWKWNMVSTSLGSVRSTTSDDSRSSLKTCRAYTSSSQLLLSSSTCATVTVSSSMIPSVNTSPF >ORUFI03G21680.1 pep chromosome:OR_W1943:3:16251515:16251955:1 gene:ORUFI03G21680 transcript:ORUFI03G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLSNRFHCPAVNVERLWSMVPTDKAAEAGAGKAPVIDVTQFGYTKVLGKGMLPPQRPIVVKAKLISKVAEKKIKAAGGAVLLTA >ORUFI03G21690.1 pep chromosome:OR_W1943:3:16258509:16263803:1 gene:ORUFI03G21690 transcript:ORUFI03G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGGLRPAPQSAAAAAAAAAAGAGAGASAADEPRDARVVRELLRSMGLSEGEYEPRVVHQFLDLAYRYVGDVLGDAQVYADHAGKPQLDADDVRLAIQSKVNFSFSQPPPREVLLEVARNRNKIPLPKSIAPPGSIPLPPEQDTLLSQNYQLLAPLKPPPQFEETEDDNAGANPTPTSNPSNPSPNNLQEQQQLPQHGQRVSFQLNAVAAAKRRGTMDQWFVVPLYR >ORUFI03G21690.2 pep chromosome:OR_W1943:3:16258509:16263749:1 gene:ORUFI03G21690 transcript:ORUFI03G21690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGGLRPAPQSAAAAAAAAAAGAGAGASAADEPRDARVVRELLRSMGLSEGEYEPRVVHQFLDLAYRYVGDVLGDAQVYADHAGKPQLDADDVRLAIQSKVNFSFSQPPPREVLLEVARNRNKIPLPKSIAPPGSIPLPPEQDTLLSQNYQLLAPLKPPPQFEETEDDNAGANPTPTSNPSNPSPNNLQEQQQLPQHGQRVSFQLNAVAAAKRRGTMDQLNMG >ORUFI03G21700.1 pep chromosome:OR_W1943:3:16268564:16270135:-1 gene:ORUFI03G21700 transcript:ORUFI03G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHEEERHGGNGLDWFEESMSSLLAADVDLAGGGGDAGGGGYAWWWAASPAAQQDDIGSVVAQTLSPPSTAAPAAASPSIASPAASSPSDVPSSSSKKRKSPAHRAPGHTGGKKGGGGKGGGGGSDRDMRWAEQLLNPCAVAVEAGNLSRVQHLFYVLGELESFSGDANHRLAAHGLRALARWLPAAVGPAAAAAVRVPPCSERPTTAFAAAEPRLFRASLIRFHEVSPWFALPNALANAAIAQASTCGAAGATPRPLHVVDLGVSHGVQWPTLLESLTRQPGGRAPPSVRLTVVGPGATATSPVAPFSASPPGYDFSPHLLRYAKSINLDLRISRAATLDDAVPGDDGEALVVCLQFRLGHAAAEERREVLRKARGLNPELVVLSELDSGVGVVGGDGGSAAGEFAARLELLWRFLESTSAAFKGKDVEERRLLEAEAGAILAAADVAAAGEGREGWRERMAAAGFEEAPFGAEAVESARSLLRKYDSGWEMSAPSPAAAAVALRWKGQPVSFCSLWRPAA >ORUFI03G21710.1 pep chromosome:OR_W1943:3:16274946:16276345:1 gene:ORUFI03G21710 transcript:ORUFI03G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGLGKEQYRNSEKEICKLVLMGMEQKDMISWNNSTWGIRSSINLWVASTKYGSLQLPMMQGQKHQQMSFSARHLIHRVIVLSKQVRVNNQSLIEIVAHCMQASKQAPYGGHAKIKPTPIQREARKMATSTLTRAVPVGGGSHR >ORUFI03G21720.1 pep chromosome:OR_W1943:3:16301353:16302270:-1 gene:ORUFI03G21720 transcript:ORUFI03G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTLQVPSSFARPINRSFIGSSSSSSSSSQFSLRPKPASARLAASVAGERRLECRGGSSLHGCVDEGAAAASRRRQEQAVEIPIVLFPSVVFPGATVQLQAFEFRYRIMVHTLLQEGVTRFGVVYSGGGVGGGVAAGEVGCVAHVVECERLVDGRFFLTCVGGDRFRVVGAVRTKPYVVARVQPLADAPPSQERGGDGGGDMVRHLVERVEEQLKNVAALSDKLGWSRPPLPFRATCSPSSLSFAVAREVVEDREEQQALLRLDDAAARLAREGRYLERRSRYLAAIAAIKDALGGHLYCNDK >ORUFI03G21730.1 pep chromosome:OR_W1943:3:16320385:16322909:-1 gene:ORUFI03G21730 transcript:ORUFI03G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWPGWEYSSTPPRRSVALHATYSACAAILPSRDGRRRLRRRLRLRLRRRPSSTVAREVNGRRLVPRSDTQTIQRTMAQALVQPEEVPDFTNRERDQLEFMRHVCNYAMGGLLSASFVAVSANTLDIPEFTRRYLAVNACAFFLYALPTAALAHSISREWVWFNIVSATILVLSLYTVASAFALIQFWMKWTSRNVDTGCSIQQVPGLRRDK >ORUFI03G21740.1 pep chromosome:OR_W1943:3:16329394:16330365:-1 gene:ORUFI03G21740 transcript:ORUFI03G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGKPTEVRILGGLRYAPPDGLVVNNALLAALIRAVYTLYMATTVLLYIFGIVTALKEYELLVALSIIVVMQPFFILMWIATPFLRTVAIVKYAMGLPDDSNNVNRIPTGRMSALA >ORUFI03G21750.1 pep chromosome:OR_W1943:3:16353620:16359788:-1 gene:ORUFI03G21750 transcript:ORUFI03G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGRSSRSQLTFRPKKSSPSGSKGLPLKKHIDATLGSGNLREAVRLPIGEDLNEWLAVNMNFLYGTLMEFCTSSTCPIMSAGPKYEYRWADGMKVKKPVQVSAPKYVEYLMDWVESQLDDEAIFPQKIGAPFPQNFREVIRTIFKRLFRVYSHMYHSHFQMILKLKEEAHLSTCFKHFVLFTWEFHLIDRAELAPLNELIEPIVFRYF >ORUFI03G21760.1 pep chromosome:OR_W1943:3:16361019:16366455:1 gene:ORUFI03G21760 transcript:ORUFI03G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTRPQAQGLDLPLARALVLLDAPPHPRHRRCRASSRPVPPHAVTSPVVVARGGPGVAFSFDGLCDLILLMAVVVDISNGGATVAVLYRREREFAIARTGERSWRLINNKLDRIVDMARHGDGKLYTVHLSGKVARWKFNCNVCRSPKILESVLVIDSPYHYVVKADGNGNAIIMSREYEHDHRDRASECCYLAGAPRGTLYLLKRVVIDPSSHHATIRSSLIPHLSITPPPATGTPRTRRSLIPHANKINQRKQWQMALGVQWQVCTNKIMDCEGLTLVNQSSSEGLRKG >ORUFI03G21760.2 pep chromosome:OR_W1943:3:16361019:16365545:1 gene:ORUFI03G21760 transcript:ORUFI03G21760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTRPQAQGLDLPLARALVLLDAPPHPRHRRCRASSRPVPPHAVTSPVVVARGGPGVAFSFDGLCDLILLMAVVVDISNGGATVAVLYRREREFAIARTGERSWRLINNKLDRIVDMARHGDGKLYTVHLSGKVARWKFNCNVCRSPKILESVLVIDSPYHYVVKADGNGNAIIMSREYEHDHRDRASECCYLAGAPRGTLYLLKRVVIDPSSHHATIRSSLIPHLSITPPPATGTPRTRRSLIPHANKINQRKQWQMALGVQWQVCTNKIMDCEGLTLVNQSSSEGLRKG >ORUFI03G21770.1 pep chromosome:OR_W1943:3:16376799:16379478:-1 gene:ORUFI03G21770 transcript:ORUFI03G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQVRLAQDTPGHKPNTWSLIAGRLPGRTDNEIKNYWNSTLSKRVAMQRTAAATSMPAAATTSSNADAAGAAARRRRSPEPRTVVVSPIRTKALRCNNNSSSGIVVVQQAGACSHGGRPPESGAPGDAAADKVATPQAVQQQQQQELAGAEDDDDLPVPAVCIDLDLDDIELGGLDGFLISPWRGGGHDDGNAAAGAVPNLPMPIGYELGGAGGGGEAGAVDLEALLGQLEAEEDDDGDHHHHHHHHHHHHQQQEEEVPSSLGDEDDDYLEFAPWLL >ORUFI03G21780.1 pep chromosome:OR_W1943:3:16380261:16380678:-1 gene:ORUFI03G21780 transcript:ORUFI03G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCSKEGLNRGAWTAMEDDILVSYIAKHGEGKWGALPKRAGLKRCGKSCRLRWLNYLRPGIKRGNISGDEEELILRLHTLLGNRPVSDPLLSSSPAN >ORUFI03G21790.1 pep chromosome:OR_W1943:3:16385718:16389698:1 gene:ORUFI03G21790 transcript:ORUFI03G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSATSPPNRPHRKRRAGAGGGGADDGSTRRRGRSAVLLGPTHFPAVRSFGLRLALVVAPAPRHRRKQRPLHYACRPTSLPCRRRRRLRSIISLLHLPILRPFYLRYILAAAASGPCRCRRKESLVGMGNYISRVLRKSSSDRGKAPPADDTAKQDLCEVFTPLTNEEESEVNNILYGSDQSKKIIVMHGPSNIDITKEKIWCLRTCNWLNDEVINLYLELLKERAQREPKRFLKCHFFNTFFYKKLACGKTGYDYQSVRRWTTLNRLGYGLVECEKIFIPIHRNVHWCLAIINMKDKTFQYLDSFGGMDHAVLRILARYIRDELNDKSNIQVDTSSWLKISSDSCPLQQNGWDCGMFMLKFIDFHSRGIGLCFTQEHMDYFRKRTAKEILRLRAD >ORUFI03G21790.2 pep chromosome:OR_W1943:3:16385718:16389695:1 gene:ORUFI03G21790 transcript:ORUFI03G21790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSATSPPNRPHRKRRAGAGGGGADDGSTRRRGRSAVLLGPTHFPAVRSFGLRLALVVAPAPRHRRKQRPLHYACRPTSLPCRRRRRLRSIISLLHLPILRPFYLRYILAAAASGPCRCRRKESLVGMGNYISRVLRKSSSDRGKAPPADDTAKQDLCEVFTPLTNEEESEVNNILYGSDQSKKIIVMHGPSNIDITKEKIWCLRTCNWLNDEVINLYLELLKERAQREPKRFLKCHFFNTFFYKKLACGKTGYDYQSVRRWTTLNRLGYGLVECEKIFIPIHRNVHWCLAIINMKDKTFQYLDSFGGMDHAVLRILARYIRDELNDKSNIQVDTSSWLKISSDSCPLQQNGWDCGMFMLKFIDFHSRGIGLCFTQEHMDYFRKRTAKEILRLRAD >ORUFI03G21800.1 pep chromosome:OR_W1943:3:16417225:16417569:-1 gene:ORUFI03G21800 transcript:ORUFI03G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDTLSAAAAEDGKVLHAFQTSFVQVQSLLDQNRVLINEINQNHESKVPGDLSRNVGLIRELNNNIRRVVDLYADLSSLFAASSPGPAASEGASVGTAVRHAGHKRVRSTHLD >ORUFI03G21810.1 pep chromosome:OR_W1943:3:16421413:16422131:-1 gene:ORUFI03G21810 transcript:ORUFI03G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPTCAPLPCHPATSPPQLEKGGEDRHPLEKVKWRSPMLRYRAAARFAMLELMSREGRGEPGSVAVPWLVLNSHERGEATTMAVELPRHSKSVPPSLRSSPSKESRSGGAPWLCRRLGLLDHRRISVWVGLERRPGVERCRDGEKEEAGGGARVHCRGGESGERPEAAVSPVNSSRWVGGGSGDGCGPSGGGWG >ORUFI03G21820.1 pep chromosome:OR_W1943:3:16431446:16434436:-1 gene:ORUFI03G21820 transcript:ORUFI03G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTALLPGRSAAPRPPPPPPPPPQLASAARLSRRPLFNAATAVVVRSRSKDEASFTDRILDYIEGGPKLRRWYGAPDLLPKDGSAEDEEDEPSDIEEPRDAVLVTNGDSEIGQMVILSLILKRARIKALVKDRRSTEEAFGTYVECMVGNMEDKSFTKKALRGVRAIICPADDGFFSDLDLKGSGGLQAIMNSKLRKLAERDEEVVLASGIPSTIIRTGSMQSTPGGDRGFDFTEGAAAKGRMSKEDAATICVEALDSIPQTTLIFEVANGDEKVTDWKAWFAERTKTATS >ORUFI03G21820.2 pep chromosome:OR_W1943:3:16431446:16434436:-1 gene:ORUFI03G21820 transcript:ORUFI03G21820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTALLPGRSAAPRPPPPPPPPPQLASAARLSRRPLFNAATAVVVRSRSKDEASFTDRILDYIEGGPKLRRWYGAPDLLPKDGSAEDEEDEPSDIEEPRDAVLVTNGDSEIGQMVILSLILKRARIKALVKDRRSTEEAFGTYVECMVGNMEDKSFTKKALRGVRAIICPADDGFFSDLDLKGVQHIVLLSQLRFNHQPYEILIRMQLSVYRGSGGLQAIMNSKLRKLAERDEEVVLASGIPSTIIRTGSMQSTPGGDRGFDFTEGAAAKGRMSKEDAATICVEALDSIPQTTLIFEVANGDEKVTDWKAWFAERTKTATS >ORUFI03G21830.1 pep chromosome:OR_W1943:3:16434717:16437547:1 gene:ORUFI03G21830 transcript:ORUFI03G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVIVGTLRGFDQFMNLVVDNTVEVNGNDKTDIGMVVVRGNSVVMIEALEPVPKSQ >ORUFI03G21840.1 pep chromosome:OR_W1943:3:16442147:16448712:1 gene:ORUFI03G21840 transcript:ORUFI03G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARVDRSEMRQNTSHQGSNDPRVQKERQAEWARPGRVFNRNTNKGGYFRNSLPGVTREFRVVKDNRQKVVGETIPGSFHNGVPSNEQIASNIGDKSSTEKLPAQRHPVTQNSNGRGVAQADNGRKEVKPSNDQTVGQSDGMITTMVGSHAVLAKGNQNRVLAVPSGTNNFTGELCCSSSDPIHVPSPGSKSAGTFGAIKREVGVVGARQRPSDNTATNTSTSNSSVKVPTSTATKENASNGQQSRSSGVSSKNSRPSSSTHLSSRPSSSSQYHSKPNTPVGHPKVNPQLEWKPKSVSPSPANHADNVVHSSAASSVDGNQAHMAGLSKKLSQTNVSEDEHVIIPAHLRVPDSERTHLIFGNFECDVESKAFTLAPDASTNREFNAHSSSRSTDDVPPTDQTDLVGSCVMLPKSDSFVSVSEYQHPLTEDMEVLSPGVFGEHRTNDMISTQVSHSSPQPQHQDNSAVHDFKEYEPDSRYEMPFITKAVDSEATQNIPYPSEVMGLHAANFNQLSVTAATQHPVPQMYHMHVSQYPNCLPYRHVFSPYYVPPVAVQNYSSNPAFTQLPSASSYLVMPNGTSQLAPNGMKYGPPHQCKQMFPGGPAGYGGFTNQNGYPVNTGVIGGTGSVEDANMSKYKDNNLYTLNPQAETADVWIQAPTDIPVMPSTPFYNMMGQPMSPHTAYLPPHNGHAPFSPVQHPAHLQFPAMPHGLQPTTMTMVQNPQPMVHQPACPPLAGNIGIDMAAMASGAQVGAFQQNQLSHLGWAPPSFL >ORUFI03G21850.1 pep chromosome:OR_W1943:3:16458796:16464507:1 gene:ORUFI03G21850 transcript:ORUFI03G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLLQEMENHPVQCMAKTNYDFLARNNYPMKQLVQRNSDGDSSPTKSGQSHQEASAVSDSSLNGQHTSPQSVFVPSDINNNDSCGERDHGTKSVLSLGNTEAAFPPSKFDYNQPFACVSYPYGTDPYYGGVLTGYTSHAFVHPQITGAANSRMPLPVDPSVEEPIFVNAKQYNAILRRRQTRAKLEAQNKAVKGRKPYLHESRHRHAMKRARGSGGRFLTKKELLEQQQQQQQQKPPPASAQSPTGRARTSGGAVVLGKNLCPENSTSCSPSTPTGSEISSISFGGGMLAHQEHISFASADRHPTMNQNHRVPVMR >ORUFI03G21850.2 pep chromosome:OR_W1943:3:16458673:16464507:1 gene:ORUFI03G21850 transcript:ORUFI03G21850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLLQEMENHPVQCMAKTNYDFLARNNYPMKQLVQRNSDGDSSPTKSGQSHQEASAVSDSSLNGQHTSPQSVFVPSDINNNDSCGERDHGTKSVLSLGNTEAAFPPSKFDYNQPFACVSYPYGTDPYYGGVLTGYTSHAFVHPQITGAANSRMPLPVDPSVEEPIFVNAKQYNAILRRRQTRAKLEAQNKAVKGRKPYLHESRHRHAMKRARGSGGRFLTKKELLEQQQQQQQQKPPPASAQSPTGRARTSGGAVVLGKNLCPENSTSCSPSTPTGSEISSISFGGGMLAHQEHISFASADRHPTMNQNHRVPVMR >ORUFI03G21860.1 pep chromosome:OR_W1943:3:16468779:16469255:-1 gene:ORUFI03G21860 transcript:ORUFI03G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVILDGSTVRGFVADDDAFARSVDARFEALDANGDGVLSRAELRRALESFRLLDGAGFGSAEPAPLPAEVSALYDSVFEQFDADHSGAVDRAEFGDQMRRIMLAVADGLGSQPLQVAVDDEGGSFLLEAAEHEAASIAAKIDAQRAADAAAAADAK >ORUFI03G21870.1 pep chromosome:OR_W1943:3:16476369:16479894:1 gene:ORUFI03G21870 transcript:ORUFI03G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMAISTPLALRASPTRLLSRRRSGAKSGVALPGPQFVPPGISSKLDERIHCHSSLRKNTIVASENENPPLMPAIMTPAGALDLATVLLGNRIIFIGQYINSQVAQRVISQLVTLAAVDEEADILIYLNCPGGSLYSILAIYDCMSWIKPKVGTVCFGVVASQAAIILAGGEKGMRYAMPNARVMIHQPQGGSEGNVEEVRRQVGETIYARDKVDKMFAAFTGQTLDMVQQWTERDRFMSSSEAMDFGLVDALLETRY >ORUFI03G21870.2 pep chromosome:OR_W1943:3:16476369:16479894:1 gene:ORUFI03G21870 transcript:ORUFI03G21870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMAISTPLALRASPTRLLSRRRSGAKSGVALPVGREDTLSFFSEIYLNCPGGSLYSILAIYDCMSWIKPKVGTVCFGVVASQAAIILAGGEKGMRYAMPNARVMIHQPQGGSEGNVEEVRRQVGETIYARDKVDKMFAAFTGQTLDMVQQWTERDRFMSSSEAMDFGLVDALLETRY >ORUFI03G21880.1 pep chromosome:OR_W1943:3:16481144:16484413:1 gene:ORUFI03G21880 transcript:ORUFI03G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALSAADSAASPATKITIPYYSAAAGAGEAGPPFGKGRYKVWALAAIALLALWSMSAASASLRWSSGRFLLAATASEDLDAPLLDDLDSLEMEEREKLVGRMWDMYTRTGDEVRLPRFWQEAFEAAYEELAGDDMQVRDAAISEIARMSAHRLELEQPVNEEEKTRSNEHGSPKLKK >ORUFI03G21890.1 pep chromosome:OR_W1943:3:16488388:16489149:1 gene:ORUFI03G21890 transcript:ORUFI03G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEAPPPPSSSRRTKPLEPPNLGITVAGEEVLAARPWEGEGEEEEKEKKSPPPVAVAAVHRYRSHRRHLRTATGAAACWEGGGEGADGGGGGWISHMTVKENPFSSSVVEEDVVELVDRREEICACCMVKAGSWRMRSRQDLHKMARHMSTEKAGTRGC >ORUFI03G21900.1 pep chromosome:OR_W1943:3:16490387:16493371:-1 gene:ORUFI03G21900 transcript:ORUFI03G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRGARASLHLHLAWLCAFATTAWAHGGGGGGGDSDADADGGGEGKPDLRARGLVAAKLWCLAVVFAGTLAGGVSPYFMRWNDAFLALGTQFAGGVFLGTAMMHFLADANETFADLLPGTAYPFAFMLACAGYVLTMLADCAISFVVARGGGRTEPAAAAGAGLEEGKLSSTNGNASDPPAADAAAQDHSVASMLRNASTLGDSVLLIAALCFHSVFEGIAIGRAETKADAWKALWTISLHKIFAAIAMGIALLRMLPDRPFLSCFGYAFAFAVSSPVGVGIGIVIDATTQGRVADWIFAVSMGLATGIFIYVSINHLLSKGYTPLRPVAADTPAGRLLAVVLGVAVIAVVMIWDT >ORUFI03G21910.1 pep chromosome:OR_W1943:3:16502034:16502978:-1 gene:ORUFI03G21910 transcript:ORUFI03G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAPTLRPQLAASPASRVPLSDSRRPPVYSGSNGFWSGRGRSGRASTSSSRVEDKVLRRRGLDGDQTSVRAFSSPREGANWMGSSPASSSSSSSSHASSSSESWIRDKLSGVRPSSLAGRAPTTGTKRQCSSPPPSADRSEKKAKEDLAMEEPPEALAMEEQQDALATKEQALAMEEEQGAPATKGEQEVLPEMEEEQLVMEEEQESLAMDKMESGMEEQPGIEEEVLPEMEEQLMIEEEQELSAMDKMESGMEEQPGIEEEQPATEEVPAMETPVLEKESVPYFAGPSFNFAPHPSELPFPSLLIHLIHSH >ORUFI03G21920.1 pep chromosome:OR_W1943:3:16522042:16524201:1 gene:ORUFI03G21920 transcript:ORUFI03G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPATALYKLKIGLFCLAWRLGYAELASCLFHAVPMMAPPRHRGSITSSVLRKMFLHVRNVTDNDGFVIPSLSVEESDLGDWEAAQVSRPQPPPKATKDTEKIYLGPHGAPPSRAKKQEDTAAAATGYRDKSKVKEADQKVLGTGRDNKGGNNFNRYNNAGHHVKEPYKRST >ORUFI03G21930.1 pep chromosome:OR_W1943:3:16527500:16529022:1 gene:ORUFI03G21930 transcript:ORUFI03G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIVMMVDLECDRCYRKIRRVLCKLQDKASIKAISYDEKNNTVTVAGPFDADEVSDRLCSSAGKVITDIRVVGGAKPMPGGGGGGAKAHANKPAGKDGSAGGGGGGGGKPEMIKKHVKFEMADDMDDGRHHHHHDNRKPKVVTTTNHAAGALARMEGRRAEAPSMAMAAAMAPAPMPMTVQATATPSIWPAPAPSAPAPLEWGHSAPTYGAGWAPPPAGGYYGGGGGGPPPPRGRRGTAAGARTSRRTTTRSRPAAASCDVTNQNR >ORUFI03G21940.1 pep chromosome:OR_W1943:3:16533368:16534228:-1 gene:ORUFI03G21940 transcript:ORUFI03G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKAAVVASAALLSTLIIPLLLLSQHQPRAIADHLSAGATAATLFDALARLLGLLSPRNHMILLCNAILLLVLRDAGLLACPAPPAPAPPPRHHAAADDDASPPVAASSAASSRRRPQRPRSSAAVVVWRPSKLAVVDVLHVDDEDDGSDGDRRRRRRPAQRHEPAMATTMAPPPIALPPAGEEKQSYDGLVDDDDHVSAGAIVVVDDDANKISSPVPDSDHHRYSGEDTNGRADDEEEAFDQCGGGDDDDDVDDMNRRFEEFIANTKRKMQMESLQLQLVMMKV >ORUFI03G21950.1 pep chromosome:OR_W1943:3:16547751:16552306:1 gene:ORUFI03G21950 transcript:ORUFI03G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGLRFRQGAIFFSGAHAAAHPRTRTPHHHCSPQRTHDARGRCRLTAKSANGRPQISASFRDVAIDGAQSEDGAPEQGGSTVSITVVGASGDLAKKKIFPALFALYYEDCLPEHFTVFGYARSKMSDEELRNMISLTLTCRIDQRENCSDKMEQFLKRCFYQSGQYNSEEGFSELDRKLKEKETIVVEYTNLYEQIQNGYDCEAHANTYHQAGKVPNRLFYLSIPPNIFVDVVRSASRTASSQDGWTRFIVEKPFGRDSESSGELTRNLKKYLAEEQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVSDHDQILIPTYNETESMEAINLNQVKVLRSMRQLRLEDVVVGQYKGHSKGGKTYPAYVDDPTVPSGSITPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRRVPGNLYGRRSRSVGGGGTTTVTELEKATNELVLRVQPDEAIYLKINSKVPGLGMRLDSSDLNLLYSERYPAEIPDAYERLLLDAIEGERRLFIRSDELDAAWAIFTPVLADLEANKVAPELYPYGSRGPVGAHYLAANHNVRWGDIS >ORUFI03G21960.1 pep chromosome:OR_W1943:3:16557419:16564372:1 gene:ORUFI03G21960 transcript:ORUFI03G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCENGAAGEDRSKVGEFKEEILQLAALASQGEENSRAELLEKFNKCNKDTLVELIRSFDMTGSKANRKEELVTKLMEFFKVHCPDTNSAYLDKALQFGDLHYQINDFKEQTLQLARLAFHEEEEKSQAELLEKLNKSNKDTIVELCRSFDIIGSKANRKEELVIIMMEFLKEHCSGTDATDPDKKTKKRRRKNEVTHLSGSKPLKKMKLDGTSLEIHGEEEDSGAKYEENITKYSECDLDDNNNECANNEKGRFPKNKASLEPSERVNDVPKNFVGAAPTEVQILSNEQALSKTPFAKVVSTIEGDRTDMKTSGKKNASITKKKMTSKTDRKEKFCGKQMYKGDGKPRKLAAIPNRDELRQAVFLILDSADFATMTFGDVVKEVDKYFGKDLFEKKPLIRSLIEEELFRLGEEAEKKELEEEAAEVKARAEQAAKEGTNAGVNSGIDTAEVLQVKDGKSEDAAKNKRDNSAENGPKGGVSVEVAENINRSAAAECSQDGRCEHDRENANNGGDFIRDDNAVQDSISGDHVEYSRDGEAERAKMNSNGEAVEAVDGGTEASKGGESADPKDDNNRNGDKSALDIDDRGAEDSHCNKNGENVACVENGKTNEAGNTENGENVVSHDAEKDDKRKDPIQNANPEQTLTDAGDDGKTEDAEHNANTEADVDSCADGTAEN >ORUFI03G21970.1 pep chromosome:OR_W1943:3:16565394:16566551:1 gene:ORUFI03G21970 transcript:ORUFI03G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDNDSGGPSNYAGGELSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVDPLKHYLHKFREIEGERAAASTTGAGTSAASTTPPQQQHTANAAGGYAGNFKMVRS >ORUFI03G21980.1 pep chromosome:OR_W1943:3:16572003:16576654:1 gene:ORUFI03G21980 transcript:ORUFI03G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGETSCSSWDSDDEYQKFIQKMNPPRVVIDNTSCKNATVVHVDSANKYGILLEVVQVLTELQLIVKKAYISSDGGWFMDVFNVTDQNGQKIMDESVLDEIVKYIHKCLGADSCFLPSRRRSVGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLECNVVNAEVWTHNKRAAAVMQVMDRKTGLAISDTQRLARIKERLSYVFKGSNRSQDTKTTVTMGITHTERRLHQLMLEDRDYERYDKDRTNVNPTPVVSVVNWLDKDYSVVNIRCKDRPKLLFDTVCTLTDMQYVVFHGSVDSEGPEAYQEYYIRHIDGSPVNSEAERQRVIQCLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTRGDKAVNTFYVRDAAGSSAVDLKTLEAIRQEIGQTVLQVKGHPDHRKSPPQESPSRFLFSSLFRPRSLYSLGLIRS >ORUFI03G21990.1 pep chromosome:OR_W1943:3:16585656:16585998:1 gene:ORUFI03G21990 transcript:ORUFI03G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTPVPKGTRFSPEGRENQHDDTSKEETAPASDSTRQGFHLRLSQTAPPQNPRRVAGTFVEGHRCRACKTSSSDSTSTANPVARPETSHTQEPSCRETTARPTTSE >ORUFI03G22000.1 pep chromosome:OR_W1943:3:16589243:16593245:-1 gene:ORUFI03G22000 transcript:ORUFI03G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRSAPGRRAPEFRRSSRRRLPEWIWWLVGIFLVLGLMLFVLHHNQREHFRPPVVDKGSEFEETHHEKVNFTEELLSSTSFARQLTDQMTLAKAYVVLAKEHGNLQLAWELSSQIRNCQRLLSEEAVSGKAITKEEAHPIITRLARLIYKAQDSHYDISTTIVTLKSLVNALEERAKAAVVQTAEFGQLAAESVPKNLHCLTVKLTVEWLQNPKHRGRSEEHRNSTRLVDNNLYHFAIFSDNVLATSVVVNSTVSNANHPQQLVFHVVTDRVHFGAMSTWFLINDFKGCTVEVRCIDEFTWLNAAASPLVRQLSEMETQGFYYGDSKNLEREIKFHNPKFVSLLNHLRFYIPQILPNLEKVVFLDDDVVVQKDLTQLFSIELHGNVIGAVETCLESFHRYHKYLNFSHPIISSKIDPHTCGWAFGMNIFDLIAWRKANATALYHYWQEQNADLLLWRTGTLPAGLLTFYGLMEPLDRRWHVLGLGYDVDIDDRLIESAAVVHYNGNMKPWLKLAIRRYKYIWERKSAITVHHECVMPLKLGASVSYMELLFGTLFKKSFGEIFTYNLDWKF >ORUFI03G22010.1 pep chromosome:OR_W1943:3:16599371:16601354:-1 gene:ORUFI03G22010 transcript:ORUFI03G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYKWQKELRGEVSNVTTAHGPLKLEEKPLPLLPIKLIYGRSYLHSCGPVLSLHCYNNSCTKLIAEGKREMGIGTWRHNEEPSLPPLVVCPQCVVLPLEEKGWVSTCTPYYR >ORUFI03G22020.1 pep chromosome:OR_W1943:3:16616162:16618079:1 gene:ORUFI03G22020 transcript:ORUFI03G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASLVSGDDFTCAMETNTSAVRCRGPGGGAVEAGFLNTSISTLTAGGSRACGVRKNDGGVRCSGGGVLAPREDLYMDGLAIGDSHACGLPRPNHTATCWRLGCDTTTLYYPAVSTTFELLVAGGNLTCGLVSTNFSLLCWSMDGLMAAEVNLPSILPGVCVSDNSSCKCGLFPDSGRFCKVSGDIICKVSPHPCAGRGEERRWRWTLRRCSIDQSTKPPPPPTPSRVLHRPRGDTLPRPPLFAASQQLAPRY >ORUFI03G22030.1 pep chromosome:OR_W1943:3:16618374:16622908:-1 gene:ORUFI03G22030 transcript:ORUFI03G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPIANLSAVVAGAAHAAKAFAVEMLPAAVTREAVGVSGMGWSLERNIPLRSGTNRSGEFWRTNSSQLGRVNGVTTPCLCARPARARGRPARSPYSPSGAAVDTGGLGDVDGDCGRGGLGDGGREKSIDLLHPMAFAVEMLPAAVTREAVGASMAWLLRHLWAWLVAARGVAVENLPVAAAVAKGAAGSAMEASAPWLQMAAEFLHGLYGWMLAAVAVAVESLPGVAKSTVEASQPWLGSAAELLQVIYGWLVAAIAVAVENLPGVAKSTVEYTVEASQPWLAVAAKLLQGLYGWLVTVSAVAVEMLPDAAKNAAGSAAEASQPWLAMASKLLEAHDLCGRLVTAGDKVVENLPEAAAAMGGGAHCSADATPVPTHGHGGVAVYALLAVTLLAVAFLGGAVCALTCRTMKGPGLGGARVPRAVFRASPRRYYAAVRTARKARRSASGIGWKNLVAAMSLAVAACIVYLGAKMLH >ORUFI03G22040.1 pep chromosome:OR_W1943:3:16627195:16627896:1 gene:ORUFI03G22040 transcript:ORUFI03G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTALNVATATAAAGPTAWSSQKYRPGFATNAQRSIIRPPPPGAIIMAPAPAAPAAVKRAAMNSPTATATAPTAMATLAQNGISMPSILSKNRKPRARAFLTLVEKMAAEAFLIALEAFLAFSNNSAAAAMAAFPALSQAAGRALVASAAALLALSKKSEAALPAERHVAETVVATSIAAAMVALPAVWHVAEKAALAAAPMCAANSHARTPIPRHLGAITPVCYTFVLDRI >ORUFI03G22050.1 pep chromosome:OR_W1943:3:16640286:16641653:-1 gene:ORUFI03G22050 transcript:ORUFI03G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTSSEIAGFGVGALLVCATIAAQRVDGFIASSQRTSLGMCKKCGDLRIVACSQCKGVGSVRKGGLFTFGMLDDIYESLGAETKTSNLVPCTNCRSKGRLLCPECSKVR >ORUFI03G22060.1 pep chromosome:OR_W1943:3:16667753:16668544:1 gene:ORUFI03G22060 transcript:ORUFI03G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRRQHGSSGELDVFGATRYFAGVATAARPIAVVVVREPEDMIIQVKTTTTTSSDKKTTEKEGHHHAGQLDVVGVAKTTHRSKLAAFLGSLVSPESTSFRKKPPPAASSETTTYNYNDDDNLPKMQVPSSSSTSSGRASIDVAAAAATVHGGGGGRHDDDDLGVDAMWEDRRLQGVRVVRCGRCDEERWVVRCGACCAWEEEEEEHHHGHEKKAILAAAATSTRYGSHQVLAGDREVVGDGACSDWESDSSSDLFELDLEIT >ORUFI03G22070.1 pep chromosome:OR_W1943:3:16678049:16681271:-1 gene:ORUFI03G22070 transcript:ORUFI03G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDLFVGRGKKMGGAFTAAPALALVLLVALSVAATANVGDSCSTAVDCGGGQWCFDCQPEFAGSSCVRSAATNPFQLTNNSLPFNKYAYLTTHNSFAIVGEPSHTGVPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSNGGKCNDFTAFEPALDTFKEIEAFLGANPSEIVTLILEDYVHAPNGLTNVFKASGLMKYWFPVSKMPQKGKDWPLVSDMVASNQRLLVFTSIRSKQATEGIAYQWNYMVENNYGDDGMDAGKCSNRAESAPLNDKTKSLVLVNYFPSVPVKVTACLQHSKSLTDMVNTCYGAAGNRWANLLAVDYYKRSDGGGAFQATDLLNGRLLCGCQDVKACSRFSLKTTL >ORUFI03G22070.2 pep chromosome:OR_W1943:3:16678312:16681271:-1 gene:ORUFI03G22070 transcript:ORUFI03G22070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDLFVGRGKKMGGAFTAAPALALVLLVALSVAATANVGDSCSTAVDCGGGQWCFDCQPEFAGSSCVRSAATNPFQLTNNSLPFNKYAYLTTHNSFAIVGEPSHTGVPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSNGGKCNDFTAFEPALDTFKEIEAFLGANPSEIVTLILEDYVHAPNGLTNVFKASGLMKYWFPVSKMPQKDGDDGMDAGKCSNRAESAPLNDKTKSLVLVNYFPSVPVKVTACLQHSKSLTDMVNTCYGAAGNRWANLLAVDYYKRSDGGGAFQATDLLNGRLLCGCQDVKACSVRRETGHTCFLPPCASVDLDMMLCES >ORUFI03G22070.3 pep chromosome:OR_W1943:3:16678312:16681271:-1 gene:ORUFI03G22070 transcript:ORUFI03G22070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDLFVGRGKKMGGAFTAAPALALVLLVALSVAATANVGDSCSTAVDCGGGQWCFDCQPEFAGSSCVRSAATNPFQLTNNSLPFNKYAYLTTHNSFAIVGEPSHTGVPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSNGGKCNDFTAFEPALDTFKEIEAFLGANPSEIVTLILEDYVHAPNGLTNVFKASGLMKYWFPVSKMPQKGKDWPLVSDMVASNQRLLVFTSIRSKQATEGIAYQWNYMVENNYGDDGMDAGKCSNRAESAPLNDKTKSLVLVNYFPSVPVKVTACLQHSKSLTDMVNTCYGAAGNRWANLLAVDYYKRSDGGGAFQATDLLNGRLLCGCQDVKACSVRRETGHTCFLPPCASVDLDMMLCES >ORUFI03G22070.4 pep chromosome:OR_W1943:3:16678049:16681271:-1 gene:ORUFI03G22070 transcript:ORUFI03G22070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDLFVGRGKKMGGAFTAAPALALVLLVALSVAATANVGDSCSTAVDCGGGQWCFDCQPEFAGSSCVRSAATNPFQLTNNSLPFNKYAYLTTHNSFAIVGEPSHTGVPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSNGGKCNDFTAFVSELDVAISLVMTDRVSEPNEPALDTFKEIEAFLGANPSEIVTLILEDYVHAPNGLTNVFKASGLMKYWFPVSKMPQKGKDWPLVSDMVASNQRLLVFTSIRSKQATEGIAYQWNYMVENNCETSHPLLSIYFFCRSTFLLHARDSQILLITDGDDGMDAGKCSNRAESAPLNDKTKSLVLVNYFPSVPVKVTACLQHSKSLTDMVNTCYGAAGNRWANLLAVDYYKRSDGGGAFQATDLLNGRLLCGCQDVKACSRFSLKTTL >ORUFI03G22070.5 pep chromosome:OR_W1943:3:16678312:16681271:-1 gene:ORUFI03G22070 transcript:ORUFI03G22070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDLFVGRGKKMGGAFTAAPALALVLLVALSVAATANVGDSCSTAVDCGGGQWCFDCQPEFAGSSCVRSAATNPFQLTNGVRALMLDTYDFKGDVWLCHSNGGKCNDFTAFEPALDTFKEIEAFLGANPSEIVTLILEDYVHAPNGLTNVFKASGLMKYWFPVSKMPQKGKDWPLVSDMVASNQRLLVFTSIRSKQATEGIAYQWNYMVENNYGDDGMDAGKCSNRAESAPLNDKTKSLVLVNYFPSVPVKVTACLQHSKSLTDMVNTCYGAAGNRWANLLAVDYYKRSDGGGAFQATDLLNGRLLCGCQDVKACSVRRETGHTCFLPPCASVDLDMMLCES >ORUFI03G22070.6 pep chromosome:OR_W1943:3:16678312:16681271:-1 gene:ORUFI03G22070 transcript:ORUFI03G22070.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDLFVGRGKKMGGAFTAAPALALVLLVALSVAATANVGDSCSTAVDCGGGQWCFDCQPEFAGSSCVRSAATNPFQLTNNSLPFNKYAYLTTHNSFAIVGEPSHTGVPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSNGGKCNDFTAFVSELDVAISLVMTDRVSEPNEPALDTFKEIEAFLGANPSEIVTLILEDYVHAPNGLTNVFKASGLMKYWFPVSKMPQKGKDWPLVSDMVASNQRLLVFTSIRSKQATEGIAYQWNYMVENNCETSHPLLSIYFFCRSTFLLHARDSQILLITDGDDGMDAGKCSNRAESAPLNDKTKSLVLVNYFPSVPVKVTACLQHSKSLTDMVNTCYGAAGNRWANLLAVDYYKRSDGGGAFQATDLLNGRLLCGCQDVKACSVRRETGHTCFLPPCASVDLDMMLCES >ORUFI03G22080.1 pep chromosome:OR_W1943:3:16705706:16710082:1 gene:ORUFI03G22080 transcript:ORUFI03G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSEREPCPDRILDDVGGAFAMGAVGGTAFHFLRGAYNSPNGHRLSGGSQAVRMSVPRTGGNFAAWGGLFSAFDCAMVHARQKEDPWNSILAGAATGAVLSLRQGPRATATSALVGASLLALVEGAGILLTRTMATLPQEDHAYPFPVVPPPEEVSAHESSPIAWVRGIFGRKEEKPAAAGGDRKSDVLESFETPSPPIPSFDYRDI >ORUFI03G22090.1 pep chromosome:OR_W1943:3:16717234:16718704:1 gene:ORUFI03G22090 transcript:ORUFI03G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHAPSAQIPFPDHIVHEAGTGFALGSPGSAARRAATAARAVRAGAPRAAGSFAAFFAAFCVPECGMAYARGGKEESWNFVFAGAATSGFLRLRQGAVTAGRDALWSAAFFALAEGASLAIHRALDDLPPADGRRGLAARAPVGRPRRLPASPGFPGQLIVVKEVAVADNEDDSGFSDGFFAEERHASQAQLSLLRAPIMASPSPPRPDERDTDLPLPSPPRKPYPGFILDDAGGGFLIGGGVGSAYHAARGLLGSSSGHRLAGAARAVRANAPRISATWAARCGLYGAFKCALSLPRATDGDPVVSVLAAGAAGAAHCLRRGPLAVGRGALVGAASMAVIERADAALDNLRSWVHYHRRLVPEEDIDGGGGSDPKPDDEPPIGFLGVPPKPVVVEEVPAG >ORUFI03G22100.1 pep chromosome:OR_W1943:3:16722674:16723237:1 gene:ORUFI03G22100 transcript:ORUFI03G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEWGVPYPDCVLDNAGAGFVGGAAAGTLAHLFTGLRDFPCGRHLAGAAQAVRDGAPCLATRWAARLAVYSAACHALSSATDRHDDPLVSVADGAATGAVARLRHGPLAVGRAALVGAATLAAVELMIRDSVEEHDDDKPRRNQRPLPAKTKEDDVILRITPAIDHFPVPDPFIAASRGSLLRFRG >ORUFI03G22110.1 pep chromosome:OR_W1943:3:16727799:16728257:-1 gene:ORUFI03G22110 transcript:ORUFI03G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGREVNKAKRQSMIRRHGGARRGDAAEHEAAACMGHLVSMIEFGKVFAARGLAVTVVVVDPPYSNTGATGAFLTGVTAANPAMTFHRLPKVEVPPVASKHHELLTFEVTRLSNPSLRDFLAGALSQIPRLSTTRRRGGARRWRGGAAES >ORUFI03G22120.1 pep chromosome:OR_W1943:3:16729337:16732162:1 gene:ORUFI03G22120 transcript:ORUFI03G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHRCSLLALLLAVTCSVAVAYDPLDPKGNITIKWDVISWTPDGYVAMVTMSNYQMYRQILAPGWTVGWSWAKKEVIWSIVGAQATEQGDCSKFKGGIPHSCKRTPAIVDLLPGVPYNQQIANCCKAGVVSAYGQDPAGSVSAFQVSVGLAGTTNKTVKLPTNFTLAGPGPGYTCGPATIVPSTVYLTPDRRRRTQALMTWTVTCTYSQQLASRYPTCCVSFSSFYNSTIVPCARCACGCGHDGYRGNGGGGKNARAGDGRSRRNSGGGGGHSGGTECIMGDSKRALSAGVNTPRKDGAPLLQCTSHMCPIRVHWHVKLNYKDYWRAKIAITNFNYRMNYTQWTLVAQHPNLNNVTEVFSFQYKPLLPYGNINDTGMFYGLKFYNDLLMEAGPFGNVQSEVLMRKDYNTFTFSQGWAFPRKIYFNGDECKMPPPDSYPYLPNSAPIGPPRSVAAAASAILVVLLLVA >ORUFI03G22130.1 pep chromosome:OR_W1943:3:16731834:16735152:-1 gene:ORUFI03G22130 transcript:ORUFI03G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLGAAAIALLAGVSSLLLMVPFAEAYDPLDPNGNITIKWDITQWTPDGYVAVVTIYNFQKYRHIQAPGWSLGWAWAKKEIIWSMAGGQATEQGDCSAFKANIPHCCKRDPRVVDLVPGAPYNMQFGNCCKGGVLTSWVQDPLNAVASFQITVGHSGTSNKTVKAPKNFTLKAPGPGYSCGLAQEVKPPTRFISLDGRRTTQAHVTWNVTCTYSQFVAQRAPTCCVSLSSFYNETIVNCPKCACGCQNKKPGSCVEGNSPYLASVVNGPGKGSLTPLVQCTPHMCPIRVHWHVKLNYRDYWRVKVTITNWNYRMNYSQWNLVVQHPNFENVSTVFSFNYKSLNPYGVINDTAMMWGVKYYNDLLMVAGPDGNVQSELLFRKDRSTFTFDKGWAFPRRIYFNGESCVMPSPDLYPWLPPSSTPRFRTVFLLMSFLVCGTLAFLHNHLVLDKNCGKC >ORUFI03G22140.1 pep chromosome:OR_W1943:3:16756324:16757127:-1 gene:ORUFI03G22140 transcript:ORUFI03G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGVLLGSSSSTAGSSRGAVSGVLLLLRRRIDGVPRFSLPRHPPGRRHDGMTRNLHGHLLRGRDLRVGLADHPSNRPLEDGVAEGAALEEEPEPMETTRRSSTPAARGNSYLLWRTWVMAAAVGLPMAATPADAAAMAVRPSTERTAVAVAPPAHVAAQTSRRRKAKQRRDGVTQRGVAEHPLQRRPLHRPTPEQRRHPPPPSTAAPPSLPEARRHERQCDCRRVPRLPIAPFKSGLPLLCAISAMSPPVPTPPCPMSAPIRRLL >ORUFI03G22150.1 pep chromosome:OR_W1943:3:16758228:16762351:-1 gene:ORUFI03G22150 transcript:ORUFI03G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAENRTMEREIVASYEPKKNNEIRMFESSDEMATDLAEYISQVSEISIKERGYFAIALSGGPLISFMRKLCEAPYNKTLDWSKWYIFWADERAVAKNHVDSYYKSTKEDFLSKVPILNGHVYSINDNVTVEDAATDYEFVIRQLVKIRTVGVSESNDCPKFDLILLSIGSDGHVASLFPNHPALELKDDWVTYITDSPVPPPERITFTLPVINSASNIAVVATGEDKAKAVYFAISDGAEGPDAPSIPARMVQPTDGKLVWFLDKASASFLEAKTKNDGYEHPKY >ORUFI03G22150.2 pep chromosome:OR_W1943:3:16758228:16762351:-1 gene:ORUFI03G22150 transcript:ORUFI03G22150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAGILSWNNSWHSSSTENRTMEREIVASYEPKKNNEIRMFESSDEMATDLAEYISQVSEISIKERGYFAIALSGGPLISFMRKLCEAPYNKTLDWSKWYIFWADERAVAKNHVDSYYKSTKEDFLSKVPILNGHVYSINDNVTVEDAATDYEFVIRQLVKIRTVGVSESNDCPKFDLILLSIGSDGHVASLFPNHPALELKDDWVTYITDSPVPPPERITFTLPVINSASNIAVVATGEDKAKAVYFAISDGAEGPDAPSIPARMVQPTDGKLVWFLDKASASFLEAKTKNDGYEHPKY >ORUFI03G22150.3 pep chromosome:OR_W1943:3:16758228:16760394:-1 gene:ORUFI03G22150 transcript:ORUFI03G22150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEREIVASYEPKKNNEIRMFESSDEMATDLAEYISQVSEISIKERGYFAIALSGGPLISFMRKLCEAPYNKTLDWSKWYIFWADERAVAKNHVDSYYKSTKEDFLSKVPILNGHVYSINDNVTVEDAATDYEFVIRQLVKIRTVGVSESNDCPKFDLILLSIGSDGHVASLFPNHPALELKDDWVTYITDSPVPPPERITFTLPVINSASNIAVVATGEDKAKAVYFAISDGAEGPDAPSIPARMVQPTDGKLVWFLDKASASFLEAKTKNDGYEHPKY >ORUFI03G22160.1 pep chromosome:OR_W1943:3:16768388:16769395:1 gene:ORUFI03G22160 transcript:ORUFI03G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPCAIVAPAAYPMVTLVAHAVEHQMRVKRRPPHEFRLALPEYNAPEEGEIPKNAKVYLILSRGLEKNNGTRTPAP >ORUFI03G22170.1 pep chromosome:OR_W1943:3:16771526:16772058:-1 gene:ORUFI03G22170 transcript:ORUFI03G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHGEMRDEARAKEREKSRGGGRRGLPVSIPEAQKWQAAAGTTVTQRPRARTRGAPASALPGATTPRRTPDRRGRRARVAQQGEASSRATPPRVGRPRRRSAIVVLLGRRKRRRRPLSPGRLRLRSAFFRERERGEVEGGLQCRVIWGRRVRQEERIRMILGRGVR >ORUFI03G22180.1 pep chromosome:OR_W1943:3:16771719:16773325:1 gene:ORUFI03G22180 transcript:ORUFI03G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRRGRPTLGGVALELASPCCATLARRPRRSGVRRGVVAPGRAEAGAPLVLALGLCVTVVPAAACHFCASGMDTGSPRRPATGTIRYLGYRASDIDTLGTKGIRETWNDLPLPNETLLIGSDLSTVRAELNKLDLDLQGNKFTLTPLTSDRI >ORUFI03G22190.1 pep chromosome:OR_W1943:3:16775669:16789115:1 gene:ORUFI03G22190 transcript:ORUFI03G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSKGVRTLDQKWELLTEGKGKKPLQTKSDSEEETSSESETDEQYMETDDDDNYDDDEEYGFVKGRFCNGLLGHGGIINVLFETPSGFAIFAYDGIKLLKPNAMQDIWKDFVNEYVAKRHIPCMSGPHVDELLWGLKVTKLIIEKAGVVFECDRCVDDHHDSLRGAAEHIKKISCIDTQSWDLLKLAAAFKRAKEAYEAEQAREAASYHETGLDRKEIHHGTTPVIIDELTESVCDIILSAGVKERQVPPTQAPVISLKRYTQISSHPLHRVNEPGILSMDIHSSKDILATGGVDTNVVLFDWPSGQILCTLTGHTKKNHYTQITSLKFVNRDELLLIGSADKTVRVWQGSEDRTYSCIHTLKNHTAEVEAVTVNSTHKHFVTASKDHSWCLYDISTGCCLAQVGKGSGQDGYTSASFHPDDLILGTGTTDAIVKLWDFRTSNAVNMLGHVGPDTAMSFSNNGYFLATAALDGVKRFGIFGY >ORUFI03G22200.1 pep chromosome:OR_W1943:3:16810384:16812033:-1 gene:ORUFI03G22200 transcript:ORUFI03G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLGASTTHGYLLLLPANSTTFFSPLLAALLAVTSLLWLVPGGPAWALSLCRRPPPGAPGALAALAGPAAHRALAALSRSVPGGAALASFSVGLTRFVVASRPDTAQELLSSAAFADRPVKDAARGLLFHRAMGFAPSGDYWRALRRVSANHLFTPRRVAASAPRRLAIGERMLDRLSALAGGEIGMRRVLHAASLDHVMDTVFGTRYDVDSQEGAELEAMVKEGYDLLGMFNWGDHLPLLKWLDLQGVRRRCRTLVQRVDVFVRSIIDEHRQRKRRTGGGGNGGGEELPGGFVDVLLGLEGEEKMTESDMVAVLWEMIFRGTDTVAILLEWIMARMVLHPDIQAKAQAELDAVVGRGRAVSDGDVAGLRYLQCVVKEALRAHPPGPLLSWARLAVHDAHVGGHVVPAGTTAMVNMWAIAHDPELWPEPDAFRPERFAEEDVSVLGGDLRLAPFGAGRRACPGKTLALATVHLWLAQLLHRFEWSPAGGGVDLSERLNMSLEMEKPLVCKAKPRW >ORUFI03G22210.1 pep chromosome:OR_W1943:3:16819823:16820852:-1 gene:ORUFI03G22210 transcript:ORUFI03G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSAGGGQSSLGYLFGGNEAPKPAAKPAPAAAPAPAPAPAPAAAVAAPAEKPSPAKADATKQIPAGIQGSRSNNNYHRADGQNTGNFLTDRPSTKVHAAPGGGSSLGYLFGGN >ORUFI03G22220.1 pep chromosome:OR_W1943:3:16828213:16831539:-1 gene:ORUFI03G22220 transcript:ORUFI03G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATREKGIGGGVGPTMERKLEARGDNGGNERDSGGGREAAAAAGSGCGGKGEEAEATASKWEQAVAGR >ORUFI03G22230.1 pep chromosome:OR_W1943:3:16848315:16859101:-1 gene:ORUFI03G22230 transcript:ORUFI03G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPAAAEAHDGQRERFDLGVLVGDLALDEDVASDEDESLEGLRQELDDCKDDEEVANILANGIKLRDYTKGVENNIRQIELDSIQDYIGESENLVSLHDQIRDCDNILSQMETVLTGFQTEIGSISSEIKVLQEKSMDMGLKLKNRKAAESKLSKFVEDIIVPPRMIDIIVDGEVNDEYMKTLETLSRKIKFIEVDAMVKSSKALKDVQPEVERLRQKAVSKIFEFVIQKFYALRKPKTNIQILQQSVLLKYKYTIVFLKEHAKEIYAEVRAAYIDTMNKVLSAHFRAYIQALEKLQLDIATSTDLLGVETRSTGFLFSMGKESLKTRSSVFALGERINILKEIDQPALIPHIAEAKSQKYPYEVLFRSLQKLLIDTATSEYLFSDDFFGEESLFNDIFAGPIQVVDEHFNAVLLNCYDAIGIMLMIRIIHQHQLIMFKRRIPCLDSYLDKVNLSLWPRFKMVFDLHLNSLRNANVKTLWEDDVHPHYVMRRYAEFTASLVHLNVEYGDGQLDLNLERLRMAVEELLVKLAKMFPKQKLQTVFLINNYDLTISILKEAGTEGGKAQVHFEEVLKSNISVYVEELLLEHFSDLIKFVKSRPSEDTASSSDKASVSDVEPLVKDFAGRWKAAIELMHKDVITSFSNFLCGMEILKAALTQLLLYYTRITECVKKINGGSALNKDLFDSYDSIDNDIR >ORUFI03G22230.2 pep chromosome:OR_W1943:3:16848998:16859101:-1 gene:ORUFI03G22230 transcript:ORUFI03G22230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPAAAEAHDGQRERFDLGVLVGDLALDEDVASDEDESLEGLRQELDDCKDDEEVANILANGIKLRDYTKGVENNIRQIELDSIQDYIGESENLVSLHDQIRDCDNILSQMETVLTGFQTEIGSISSEIKVLQEKSMDMGLKLKNRKAAESKLSKFVEDIIVPPRMIDIIVDGEVNDEYMKTLETLSRKIKFIEVDAMVKSSKALKDVQPEVERLRQKAVSKIFEFVIQKFYALRKPKTNIQILQQSVLLKYKYTIVFLKEHAKEIYAEVRAAYIDTMNKVLSAHFRAYIQALEKLQLDIATSTDLLGVETRSTGFLFSMGKESLKTRSSVFALGERINILKEIDQPALIPHIAEAKSQKYPYEVLFRSLQKLLIDTATSEYLFSDDFFGEESLFNDIFAGPIQVVDEHFNAVLLNCYDAIGIMLMIRIIHQHQLIMFKRRIPCLDSYLDKVNLSLWPRFKMVFDLHLNSLRNANVKTLWEDDVHPHYVMRRYAEFTASLVHLNVEYGDGQLDLNLERLRMAVEELLVKLAKMFPKQKLQTVFLINNYDLTISILKEAGTEGGKAQVHFEEVLKSNISVYVEELLLEHFSDLIKFVKSRPSEDTASSSDKASVSDVEPLVKDFAGRWKAAIELMHKDVITSFSNFLCGMEILKAALTQLLLYYTRITECVKKINGGSALNKDLVSISSILYEIKKYSRTF >ORUFI03G22240.1 pep chromosome:OR_W1943:3:16863524:16864504:1 gene:ORUFI03G22240 transcript:ORUFI03G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAVVAMVATAFLAAAVHAEQCGSQAGGAVCPNCLCCSQFGWCGSTSDYCGAGCQSQCSAAGCGGGGPTPPSGGGGSGVASIVSRSLFDQMLLHRNDAACPASNFYTYDAFVAAASAFPGFAAAGGDADTNKREVAAFLAQTSHETTGGWATAPDGPYAWGYCFKEENGGAAGPDYCQQSAQWPCAAGKKYYGRGPIQLSYNFNYGPAGQAIGADLLGDPDLVASDATVSFDTAFWFWMTPQSPKPSCHAVATGQWTPSADDQAAGRVPGYGVITNIINGGLECGHGADDRVADRIGFYKRYCDILGVSYGANLDCYSQRPFGS >ORUFI03G22250.1 pep chromosome:OR_W1943:3:16870326:16870712:-1 gene:ORUFI03G22250 transcript:ORUFI03G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQNRETLAQPGESLSTPQAQEVRDPSPFKLVPLPLNFQCGTIPNSGGGGGAPLQLHLDSFHASTSPPPSYHRYAHTSTLLFPESGGSSKEHCLTLGGATDLSLDKPADHHHHEAPAAILGRVAAQR >ORUFI03G22260.1 pep chromosome:OR_W1943:3:16871032:16871247:-1 gene:ORUFI03G22260 transcript:ORUFI03G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEVDGGAQGRRTASPSTARSTCTAARTVQESLMEMAPSAAAVYLPSALSISPPAPPAHDDAPSYRGGC >ORUFI03G22270.1 pep chromosome:OR_W1943:3:16889797:16890396:-1 gene:ORUFI03G22270 transcript:ORUFI03G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCPRVPHPDPGYIYRTMLERLVNLVVKPFNLPVKKKKRGRLETKEDSATYPWFQSGARGREHVPNDACHAQCSDFYGLS >ORUFI03G22280.1 pep chromosome:OR_W1943:3:16890346:16895826:1 gene:ORUFI03G22280 transcript:ORUFI03G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRMMQAFAQEASDFDRQMGCMAGMFQIFDRRRLLTARQRGGARGTAPPGSHVLPNSNSNVSIQNPVASNNTLDKTFSKSMTENSSLSMESSRASSSSSSCSSFSSTDINRPIQQELSYINKERFAGKPPRSSQTKSVKCSKTEAKTKDPHTGFRDIVKESINRETHGMTIKTSTKESRKGLHKDSPRPLLISKSTDGTYVIGIDRSTGVPGYVHESSRPPRFSCDDRQLLRSVEAQDSKKPSAKLKELPRLSLDSRKESMNPRSRLKNSGYIRTDDNLLDVLKHQESPSHQRASSVVAKLMGLEGTTPDIHETARSPTPVHGTQIDQPSHCQRIKSQDHSVPVQKNHSPVLKTNPSPRIIPEAAPWRQNERAVTGRAAEVKPRTASIYADIQRRLRGLELSECNKELRALRILSTLHKKDGPSQSDNNPELTAIQKKASEQIVDSENFQSPIVIMKPARCITKPDASDTLVAPLSRPKGIRRLRHEETSFTRKNENSDSKRNHSPNESAHSSGEEPVNSARSPRLSSSLSPRLAQKKADSERRSRPPVLPTSPGKKSKETVSPRGRLRSRHSQTKSNSDNDNVLHIPETKINLAKQIDVGVVDHPNPLNANSPYIHQSKIASTPNREEMPTILPADKKKIHPQENIPSPVSVLDATFYHEGSSPSLKRISDSFKDGETHTSDESWNPTSLPDTPPSKTSNDGNQIKAENMKALIQKLELLQMLSEEALKTDDTFSSVAANKDHQYLYEILSASGILHNKLNFQMMPHQLRPSSYPINPELFLILEQAKPDEEKLHRRLIFDLANELLAQQMDANHTVNSSVQFFQSKKLSGWQLFKDLCAEIDMIQSRSSMIRCSEEEDSRLAEDAMQGMKEWKSSDSERQGIVLAIEKSIFKDLIDEIISGVDKGKVHLTQWKLRRQLSFISI >ORUFI03G22290.1 pep chromosome:OR_W1943:3:16901265:16901492:1 gene:ORUFI03G22290 transcript:ORUFI03G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSDNELGTACVRGWQGARDGSSNVGVSVSSARPGREAAKAATVPPSELTAGLAGSSLRRQWRLAGSPLSPMG >ORUFI03G22300.1 pep chromosome:OR_W1943:3:16902309:16907365:1 gene:ORUFI03G22300 transcript:ORUFI03G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFHPHAGGGVVSRRRRRTPLCFLPRSRSSPAPQAMAVQAGTPATPISPQVISGAFVQQYYHILHETPDQVYKFYQDASIVGRPDSNGVMKYVSTTADINKIILSMDFSNYLTEIETADAQLSHQDGVLIVVTGSLTSEGICRRFTQSFFLAPQESGGYVVLNDIFRFIVERPPVAISQVSQENENNQNTATLPETDPNPAGDGMISEPVAVENNVVEGEVTNSTVDGTSIENNATAAVEPPVQMTKEEPRKISVAAPPPPAQKDVTKKSYASIVKVMKEVSLTPVVKPKPAPKHVVKTVEASEKPSVKSSQTVEITPNDNNDAENNTSNDEQGYSVFVKSLPHNVTVQTVEEEFKKFGAIKPGGIQVRNNKIDRFCFGFIEFESQQSMQAAIEASPIHMGGKEVFVEEKRTTTRVVNGVVITRGDNGNAGGGGRYQSGRGGYRGDNFRGRGGGYANSGNYRGGDNFSRRNDLRNRNEFSGRGRGPPPGNVYQNNGFHPARPFQNGNGRFTRVNGPRQTPVAA >ORUFI03G22300.2 pep chromosome:OR_W1943:3:16903137:16907365:1 gene:ORUFI03G22300 transcript:ORUFI03G22300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALPRCSLLSVLRLRVPISVGGTGLDPPPPHFLSAWPLHPLVEIPMAVQAGTPATPISPQVISGAFVQQYYHILHETPDQVYKFYQDASIVGRPDSNGVMKYVSTTADINKIILSMDFSNYLTEIETADAQLSHQDGVLIVVTGSLTSEGICRRFTQSFFLAPQESGGYVVLNDIFRFIVERPPVAISQVSQENENNQNTATLPETDPNPAGDGMISEPVAVENNVVEGEVTNSTVDGTSIENNATAAVEPPVQMTKEEPRKISVAAPPPPAQKDVTKKSYASIVKVMKEVSLTPVVKPKPAPKHVVKTVEASEKPSVKSSQTVEITPNDNNDAENNTSNDEQGYSVFVKSLPHNVTVQTVEEEFKKFGAIKPGGIQVRNNKIDRFCFGFIEFESQQSMQAAIEASPIHMGGKEVFVEEKRTTTRVVNGVVITRGDNGNAGGGGRYQSGRGGYRGDNFRGRGGGYANSGNYRGGDNFSRRNDLRNRNEFSGRGRGPPPGNVYQNNGFHPARPFQNGNGRFTRVNGPRQTPVAA >ORUFI03G22310.1 pep chromosome:OR_W1943:3:16917610:16922290:1 gene:ORUFI03G22310 transcript:ORUFI03G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLGVFGGGGGERRAANGSGSAVGGESDEIELSLGLSLGGRFGTDMSPDAKRARLARSSSIASVCSVSAADGDPSPAAPLPLLRTSSLPTETEEERWRRREMQNRRRLEARRKRLERRISVGSSSVPNKPGREDGGDGAVNRLQLRRSIGSQGSSSANPQDQGPDGSAICQSTEARSPSTSDDTNQNSALPPTASTGKPLNGTVTQQSPLQTLGSLTMRTSSTGDIGKIMMDMPMVSSKVEGPNGRKIDGFLYKYRKGEDVSIMCVCHGKFHSPAEFVKHAGGGDVSNPLRHIVVNPSPSVFL >ORUFI03G22320.1 pep chromosome:OR_W1943:3:16927080:16927940:1 gene:ORUFI03G22320 transcript:ORUFI03G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVVEQPVQAAATDWMGRLQVTAEGLRDIGALVAAAATRIQAARAALGEAAGLIGEDASAAETLDADVWSALAHAGQAPIPDATVDAAAKLLATVSSGAPLLPGAIRAAGDLISTVFEIEIDIDDQAAAAAPTGLLSEAIRDLSVAFGLGSVHNNVEFHFLTCAPYLHVRAGDLTDLTWFAWSKQTERAKKLATEAELWINAAAWEAKDAAERARSHSLVQSPERNEHMGELQVSLLMATRYADKALAAVDMVRDAVESMDQTLHQAIGNAHIPDPYHPMPIWL >ORUFI03G22330.1 pep chromosome:OR_W1943:3:16932400:16932966:1 gene:ORUFI03G22330 transcript:ORUFI03G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWARRGSIWPMTFGLACFAVEMMHAGASRYDFDRFGVIFHPSPRQSDCMIITGTLTNKMVPALRKALIPTFNVNLETCHKFDTSTNVLNAWTGQMG >ORUFI03G22340.1 pep chromosome:OR_W1943:3:16934433:16935377:-1 gene:ORUFI03G22340 transcript:ORUFI03G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQHALAHKIEAAAEEEEEERKEMDLLEIAQRVADNIDDMGILLAEAAAVLQLQQQLTAAAAEATDPRGIAQQVAHDLAQARNRLVGVESNALAASVTLAKAAALLREDIDATKVLVDDAFAVVPAHDDLDPDGTLAVAAAAKLVVAAFCEAPVLPGAIGAAMDLVASVCALPPPVIGTLRNAQRLLGVVGSDHDKARDRFVDCAPELGIQERGETWLKWSIHRHRAFVEEVTAETSLSSAISDAQIAVRQHRLYKELPSLSPGERARETWKVEEIVSTAINEVDEASVAIRQMRVAVAVEEQTVREAIDDAAP >ORUFI03G22350.1 pep chromosome:OR_W1943:3:16937193:16938251:-1 gene:ORUFI03G22350 transcript:ORUFI03G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATETLFADAFATVPAPDDRDPKATPRSGHKARRLRVRRGAAAPWGDRCSHGWTSSPACTLSRHRNLGHSRAGAPGYSSRRWPTNIRPRRSSSPTATPLLGVEVKDKKWQEGIATMAEANAHLFTVEVRLQFAICEY >ORUFI03G22360.1 pep chromosome:OR_W1943:3:16939763:16940566:-1 gene:ORUFI03G22360 transcript:ORUFI03G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVQPVEAEAAAAAAAEVMAATAIAQEAEAVLVAVRDQLQVIRLIARAARATLGEAGRLLREDIRDAKILAADALAVVPALNDRDPQATLAAAAELVASVFSEAPVLPGAIGAAMDLVASVYAVPPPATGPLQEVRDLLGTVSDYHDRARNLFADCRPYLGIEEEGETWEAWTSHRSQALLNGYAAEMRLNRAIWEAGQAVRVHRFYQVGSPRRGRRMKEAWKLKEIMRTVMEEVDAVIAAVVHMRYSIAGEIQIVRDAIHAAAL >ORUFI03G22370.1 pep chromosome:OR_W1943:3:16942710:16946591:-1 gene:ORUFI03G22370 transcript:ORUFI03G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMVNHLAELPAPLAMVLSDADAARATLDEAAGLLREEIQATELLLAHAFSAIAPRDGPALAAAAKLAARVFSDAPLLPGAIRAAMGLVASVYALPPPHAGTLEDARLILGKVFDDHHDATWLFRLYANCTPNYGIQPGDETWQAWSARNEEAFHEAAAAETRLISAIWEARHAVRVHRDYQAQSRRREVAWEAKQILSTATEEVDAASVAVRRMRDALAAEEQIVREAIGEAAAPGVATKVEAEAEAEAVANHLAELRARLAMILSDAEAARATLDEAAGLLREEIRATDDVLLARAFSAIAPRDGPDHLAAAAKLVARVFSDAPLLPGAIRAAMDLVASVYALPPQRTGTLQDARLTLGAVVNGHHDATDLFTLYVNSTPNRGIQPGDETWAPGWRGAPATKKPLPRRRRRR >ORUFI03G22370.2 pep chromosome:OR_W1943:3:16941635:16942704:-1 gene:ORUFI03G22370 transcript:ORUFI03G22370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIREAKHAVRVHRVYQAQSRRREVAWEAKQILSTATEEVDAASVAIRQMRDALAAEEQIVREAIGEAAAPSLAAIFQPVTSQYMLR >ORUFI03G22380.1 pep chromosome:OR_W1943:3:16950038:16950400:-1 gene:ORUFI03G22380 transcript:ORUFI03G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRLLLSHSSRHHPQPHRLLSLLHFFSNTGSGSGPTPPPIKPVSYVPKPQLVPEEAPAAAEEAAPSDDPRVLALAASKEIAGAAAAAAVDAGGDAVREGCGALDHTGVVPGQGRAAAG >ORUFI03G22390.1 pep chromosome:OR_W1943:3:16950344:16950760:1 gene:ORUFI03G22390 transcript:ORUFI03G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGVVAARVGEEEAEVSHGDGRVWRRLALGRPAPPADGARPSGGESATAVSRWLARRSAASPERPSAVACGGLHEDARRSAMANSLGRIAAVEGVHHVRRAVAALIRPSSHHQRRRAAFRPRPSHLFAMSAAAASLT >ORUFI03G22400.1 pep chromosome:OR_W1943:3:16951507:16952367:1 gene:ORUFI03G22400 transcript:ORUFI03G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPEAELPVQSSAAAAAPIDWMWYTVHLTVEEIERITARVEAVTTALEAIRPALDMAVGLLGEDIYAAEILDDYMLAALVPAGAGQAPLPDATLDAAARTFATVSSGAPLLPGSILDVGNLISAAYDIVDQPPPDAPTPDGLLNDAITDLQAAFADGVLLTNVRNHFHHCAAYLHVQPIDADPTWTAWTGQAQQANYFATDALAMLNVVAWEAMDAMELIRSHCLVPSPERNEHMRELERCLLTAIKYIDKAIAAVGLVHGEVELMDQTLRQAIHDANIPANGWA >ORUFI03G22410.1 pep chromosome:OR_W1943:3:16954263:16955093:1 gene:ORUFI03G22410 transcript:ORUFI03G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWSGVARKMVMRIESIESRLGAATRYAEATLDTLDYAAGLLQEDTDAADTLAADFFAVLDLDAPAAADHEDEGESEALIRRLPDQASVDAAAARLAAVVFSGAPVLPDNILISRDLIAGVCVFRHDVAGLLQNARLHLGVAIDRSNTLNHMIRSSSSLADRPAGTGSPGASQDWMDYQERVVELGSDAELRLFAAVKAAMDAQGAHPLCVVRSPQHEEHMEEAKQHLRNATCELDGALAALLEMRRDVESHEILVRRWGAAEAEASAREAAQSG >ORUFI03G22420.1 pep chromosome:OR_W1943:3:16973537:16982926:-1 gene:ORUFI03G22420 transcript:ORUFI03G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSPWSKGNTKSNPIRAQHHGVQNEMVCGICGSGHDQDRMAKCIRYCFPVVTYDVPDEWCCCECQNKSNWDQTPSQGGQTIRNRVHQDSLKIPNKSNFHVRRTNSHVRPASPPNAKQSSSRSDNRAYSQFHRKFPNGQQSPCRSDTQGPFLKRGDGASQNQTEIAGINMKQKAQSGEMLRPCHRSRAIRGKIDFQVQNEQREKKVVSADKVTMNPQSRDDPREKSGSNVTGTDIGRGSEMSPDNDIGMLVVINSSVEYARQPPPEICWTGCFLVSNGSNCNPADFKAYCPSKVSSKVLNVIKSMPSIIELDILPRMDEWPKSFEINPPVYEDIGLFFFSTELDRNGKSQSHVMETSCNFVMRAYINNIKLLIYSSEVLPPDSQC >ORUFI03G22420.2 pep chromosome:OR_W1943:3:16973537:16978848:-1 gene:ORUFI03G22420 transcript:ORUFI03G22420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVARPAASAASNEMVCGICGSGHDQDRMAKCIRYCFPVVTYDVPDEWCCCECQNKSNWDQTPSQGGQTIRNRVHQDSLKIPNKFENAKVKYISYEEVSLLNNNERPPNCRSNFHVRRTNSHVRPASPPNAKQSSSRSDNRAYSQFHRKFPNGQQSPCRSDTQGPFLKRGDGASQNQTEIAGINMKQKAQSGEMLRPCHRSRAIRGKIDFQVQNEQREKKVVSADKVTMNPQSRDDPREKSGSNVTGTDIGRGSEMSPDNDIGMLVVINSSVEYARQPPPEICWTGCFLVSNGSNCNPADFKAYCPSKVSSKVLNVIKSMPSIIELDILPRMDEWPKSFEINPPVYEDIGLFFFSTELDRNGKSQSHVMETSCNFVMRAYINNIKLLIYSSEVLPPDSQC >ORUFI03G22430.1 pep chromosome:OR_W1943:3:17004287:17005714:1 gene:ORUFI03G22430 transcript:ORUFI03G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQLIDAQLVAGGHILYRPRYVGARRRRSRPPSSTPHAGARRRRPHPPSSTPRQSSSPAAASFVIHVPRWSSSPTAASFVIHVPRRSSSPTATSYTVHAMSDLVGGGCVLRRLDKLAKYMPVVLRADDGGEGSTFALYFLICRHVRARLLLPCVGASEEFAVAGQCVDGASVGAAAGTAGEELVVVGQCIDGAGVGAAVPRSCQPCTRAGAATCPAETVVAARDVHGQVSLLFK >ORUFI03G22440.1 pep chromosome:OR_W1943:3:17006352:17006765:1 gene:ORUFI03G22440 transcript:ORUFI03G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTASLPPSGGFLRGRRWSNRPGRASSSTSWIEDKARANAAPTHLCGGESRIAPSSNESWVQEQKPSSSVAGAGERKLADGDGLLFAGAGEKKSRFHRGDGNGKNLEEMFAGSSIVPPDPKDLPLPTLLLLPRFVD >ORUFI03G22450.1 pep chromosome:OR_W1943:3:17008740:17013434:-1 gene:ORUFI03G22450 transcript:ORUFI03G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGSVESPSLHRTPPPPSPSPSLSLSRSQQQTPRGRQPPPPGADPVAFAVVAFVAICFVLISFSAPSSILHQVPEGHVGVYWRGGALLETITPPGFHVKLPWITQFEPIQVRNIPCGTKGGVMISFDKIEVVNRLHKEFVHETLLNYGVHYDKTWIYDKIHHEINQFCSAHSLQQVYIDLFDQIDETMKEAIQRDCTRYAPGIEIISVRVTKPNIPDSIRRNFELMEEERTKALIAIEKQKVAEKEAETQKKIALSEAEKNAQVSKILMEQKLMEKDSSKRQQQIDNEMITKEAEANRLKLTPEYLELRFIESIANNSKIFFGEKIPNMIMDQRMLRNYLDNGSTKDHLEI >ORUFI03G22460.1 pep chromosome:OR_W1943:3:17015893:17018217:1 gene:ORUFI03G22460 transcript:ORUFI03G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAQRLLAASTKIIGVGRNYVAHAKELGNPVPKEPLLFLKPTSSFLHAGVAGAAIEVPGPVESLHHEVELAVVLSQRARDVPEASAMDFVGGYALALDMTAREFQSAAKVLYTTFFLIVVLLHFPNPLLLAAAAGVAVRGDGGAAVAGRGDDGEAVAGGGDDGAAVAARGDCGAAVAVRGEEGAVACAATGRRWLCAARTGRRWPWAARTGGRWPGATMTWQRWLATVAAACSR >ORUFI03G22470.1 pep chromosome:OR_W1943:3:17025606:17027696:-1 gene:ORUFI03G22470 transcript:ORUFI03G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPHAVLSVATHSIGPNPLSSSTRRALSSAHPQLPTYNCGGCGGPPPPSRALQQLRYGPTQLLFLRAVIVCFLLIRPAVMESAAALALVLAVLLLSSTLAASDFCVCRSEQPTALLQKAIDYSCGQGADCTSILSSGGCYNPNTVAAHCSWAANSYFQKFRASGATCDFGGAATLSSSDPSFSGCTFPSSASAAGTTGLSPGVGTGTGTLSPGGGIGTGTNGTAMGSALSPPGTSNFDGAAAAAGLLPRAEPAIFFTVLLSFLALP >ORUFI03G22480.1 pep chromosome:OR_W1943:3:17031666:17032031:1 gene:ORUFI03G22480 transcript:ORUFI03G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAADPAMGRHEAADPEETSGRRIQRQGVREVADPATDVAAATPSGGGSTAAKSPLLPPPLPLPSPPPLPFSDLARGEWWRLRRGSATAVARRRRWRPVGLGSSGVEAEAVEADRARLQRR >ORUFI03G22490.1 pep chromosome:OR_W1943:3:17036261:17036566:1 gene:ORUFI03G22490 transcript:ORUFI03G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAAAGVGVLWSDAASGSRRPHGVEGAAGVVQRLVGAHGTEAQPVVSEGRHGTCDRRKVVMVQTTGARMRGRCSGGSSTVSDDARRRRQWGRERGVASLQ >ORUFI03G22500.1 pep chromosome:OR_W1943:3:17039860:17041084:1 gene:ORUFI03G22500 transcript:ORUFI03G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDMSWWWAGAIGGVRKRHGEHAGDASRPFQSVALVVGSTGIVGTSLVDILPLPDTPGGPWKVYAVSRRPLPPWSPPPSPAPVTHICVDLADPAAVSAALAPLTDITHVFYVAWAPHFFEEDQNREANSRMLRNVLSAVVPNCPALVHVSLQTGSKHYIGPPESIGKFTIETPFSEDMPRLDNCPNLYYDQEDALFDAVSRSRRRGAAVISWSVHRPSLVFGFSPQSAMNVVCTLCVYAAICRKEGGRKLRWPGSLGAWEGFSNASDADLVAEQHIWAAVDPAARNEAYNCSNGNVYKWKQLWTVLAGRFGMEWSGYEGEESRPDGGGIVREEGLVAAAELDQVANWWFVDALFMDKWEFLDTMNKSKEHGFLGFRNTVKSFGTWIDKLRLYKIVPSCRIVSSL >ORUFI03G22510.1 pep chromosome:OR_W1943:3:17050305:17050511:-1 gene:ORUFI03G22510 transcript:ORUFI03G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVAAIISRTAAKLQNWPKSAPAESTQKPWTGASEARVGEGEVVWSSATWRRLPRMKGAAWGGGG >ORUFI03G22520.1 pep chromosome:OR_W1943:3:17052819:17055323:1 gene:ORUFI03G22520 transcript:ORUFI03G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFFSILPALSFLALLPIVMSRDKIPLKSSLSVDDYQNDVLQSADGTFSCGFLTIYSNAFAFSIWYTNSKNKTVVWTANRGRPVHARRSVVTLQKDGAMVLKDYDGTVVWQSDSNSIDVQYAQLLDTGNLVMKNSSGKVVWQSFDSPTDTLLPTQKITAATKLVSTTGLYVPGHYTFHFTDSSILSLMYDDADVHEIYWPDPDRGEYGNKRNRYNNTRMGFLDDNGDFVSSDFADQQPFSASDKGSGIKRRLTLDHDGNLRLYSLSNGEWLVSWVAISQPCNIHGLCGPNGICHYSPTPTCSCPPGYEMNSHGNWSQGCKAIVDISCSVAKVQFKFVHLPDTDFWGSDQQLVNHVSWQACMNICRSDCNCKGFQYLKGEGTCFPKSFLFNGRAYPSHFVSPRNMYLKIPISMNISGMPVSQSNVLDSRKHSLNCDQMDEKTRELFPDVHKTSQGETRWFYLYGFAGAIFILEVFFIGFAWFFVSRWDLDALEIQAVEQGYKVMASNFRRYNYKELAKATRKFKCELGRGGSGIVYKGTLDDGRVVAVKMLENVRQCEEEFQAELRIIGKINHMNLVRIWGFCSENSHRMLVTEYIENGSLANILFNENILLEWRQRFNIAVGVAKGLAYLHHECLEWVIHCDVKPENILLDGNFEPKIADFGLAKLLNRGGSNQNVSRVRGTIGYIAPEWISSLQITAKVDVYSYGVVLLELVSGKRVLDLATSANEEVHVVLRRLVKMFANNLSGNEPSWIAEFVDCRLSGQFNYTQVRTMITLAVACLDEERSKRPTMESIVQLLLLVDESCSSNVLCPEMPTRWTTGHAKANASFCIHSL >ORUFI03G22530.1 pep chromosome:OR_W1943:3:17057181:17059660:1 gene:ORUFI03G22530 transcript:ORUFI03G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVVTAAEGAVKTLLGKLGSFLSQEPRLLGGVRGELQYIKDELESMNAFLQNLAATSSHSVQVKIWMKQVREMAYDAEDCIDEFQHHFGGYCGNGIVGFIYRMKHLMYTLKVRHRIVMQVQELKVRARDVSDRYARYSGANAIVDASDSKNTTTSISTCLSLDPRQVIGFIQDDLLVGINNRRDRVLTYLRVDSDQELRVISIFGFGGLGKTTLAKAIYDSPQVKNGQFHCQAFVTVSQKIDLKALLRDMLGQLIPPASDQHVSSDIEDEHLKAIEVWDVKRLGDKLRSLFLMIFESKELFLRRLFGQLHECPQNIQKVSESVLKKCGGMPLAINSIAGLLASRPVKNLEEMQNLQNSLGSEMDSFSTMEKIKQILLLSYNDLPYHLKTCFLYFSIFPEDYKIKRKNVVRQWVAEGFVSDKRGQSAEQVAESYFAEFINRSIVQPLDISDSGKVKTCRIHDIMLEVIVEMSVEQNFISLMGDQHTMISYDKVRRLSLHGHGAYNLSTSLELSHIRSLSSFGDMPRALRFDRARLLRVLDLESCEFLRNRQHHHICALFHLKYLSLRRAHNIDRLPRKIKKLQSLETLDLRGKGIDKLPASFIELENLVHFRSGSTYLPHGFGRMKSILTLGLIEISDDTSWRIQEIGCLMQLEKLCIWSRDGMNKENWESLLTVIENLSRRLLSLSIETDRRTCSLPLDFSSSPPLLLRSLLLYGSLEALPSWMASLDNLVKLTLGGTKLEEDDIQILQKLPRLFSLRLWFAFAVEKFVVAP >ORUFI03G22540.1 pep chromosome:OR_W1943:3:17059861:17061172:1 gene:ORUFI03G22540 transcript:ORUFI03G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLDELRLEASHLPNHPTKLAPSDFPNDLTIISIFLVACPESRQLRWLLLSATGHPNCLPLNEVSFHTWLCKNRKTISEKQRRGFDTIVTLGAR >ORUFI03G22550.1 pep chromosome:OR_W1943:3:17064672:17065424:1 gene:ORUFI03G22550 transcript:ORUFI03G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGLSDEVLKAVFPLLDGQDLVFCMLVCRQWREIAKDDYFWKCICARKWPSICKQPPSDANYQKLYLTFSKPRTPQHLPVPKLTFEDLVFYIDMWLDGSLIFSQAVSGCILRGGLQNTPCGIPDVLVAHLTAPDCILMMEVEPKLEITMGPSITVSVLAHRKDTKKMACVINKSTFDYIDSNAARALAYEYLQFSPRYPFISDIRAWMSLLFLYKGTNVIEVFGIELDFCDAARSETEILWLLDMLDWK >ORUFI03G22560.1 pep chromosome:OR_W1943:3:17068496:17071140:-1 gene:ORUFI03G22560 transcript:ORUFI03G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDVSMAVCCAFPVLVSLLLVRFAYVMYHSGHMPSKLSASAAGMRSLIVLGSGGHTAEMMNVVTTLQKDRFTPRYYVAALTDNMSLQKAQVYEQSLVRVEVDKEEGVENAQFVQIYRSREVGQSYITSIATTLLATSHAIWIIIRIRPQVIFCNGPGTCIPLCVSAFLLKVLGLGWSSIFYIESIARVKKLSLSGLLLYKLRIADQFFVQWPQLQQKYPRACYAGRLM >ORUFI03G22570.1 pep chromosome:OR_W1943:3:17075670:17077007:-1 gene:ORUFI03G22570 transcript:ORUFI03G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLAVAATASSAWLCCPNHHIHTSSSRSRKHLLLHGLYGSAPARTRYSSCNFIHNVMSRYYICFVVNGGEPCRGRRPPVWTAAAATAAAPADTAASARREQVEIARSLNAWVEENMLPLLTPVDSAWQPHDFLPCSAAGGGEALAAFTEGVAELRAGAAGVPDEVLVCLVGNMVTEEALPTYQSMGNRAEGLADGTGVSPLPWARWLRGWTAEENRHGDLLNRYLYLSGRVDMRQVEATVHRLLRNGMEMLAPASPYHGLIYGAFQERATFISHGHTARLAGQHGDRALAKICGVIAADERRHEAGYTMASGRLFELDPDGMARALADVMRGKVTMPGQLMSDGRDGDGEHSLFARFSAVAERAGVYTARDYGDLVEHFVRRWRVAELAAGLSGEGRRAQEYLCGLAPKIRRMEELAHRRAARIEPAMARFSWIFDRPVMLG >ORUFI03G22580.1 pep chromosome:OR_W1943:3:17107945:17115820:1 gene:ORUFI03G22580 transcript:ORUFI03G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTHQIIKVMRLSLRALAPHLLLAFAEIIVARTEDFSSIGKHGRYFDLVDFSVIEGFKVPADMTICSFKERLTEEFGTPVQCQRLWWWTRRQNNTYRVDRPLTTEEEKIPVVHTLAIPKWLNRDDYLEIKFDPWVLCEAIDLHRTFSENEIVTGDIICYQKILKTQDLPKYHSVASFLQHICDQKEEEMKRQILEEKIAGLEHQASADRLEKVETLIAYDQMKHERDNAVRQVNELRDQSTHAILKFSRCDLEQATEHFTDACKVGDTEYGRTYKAIMHVCSKISALVYEWLPNGRNLEDHIVCANNSTPLPWQNRTQIIGEICCALLFLHSNNKNPPTAALIHGDLRPCNILIDDDASYRSRLCNVGLSSLFLQPGTCPPNLMERLSYMDPEFITTGELTTLSDVYSFGVIILRLLTGMAPLNLSKKVAAELESDNLHRLIDKSAGDWPYKEAKQLAVLGVRCAEMAREKRPDLLNDVWRVVRPLMRKPSSCPYFPPASPEVCIPAPFICPILMEIMKDPQVASDGFTYEGEAIRRWFDSGNNRSPMTNLVLPDLKLIPNRVLRSSIHEYLRQQKQQQQQEEGSVT >ORUFI03G22590.1 pep chromosome:OR_W1943:3:17117088:17126362:1 gene:ORUFI03G22590 transcript:ORUFI03G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALGAAAGAPNPAAPVGGDQQPRTERLSAGVQQQLNLEGMRARAVGLYKAISRILEDFDAIARANPNASPKWQDVLGQFSMVSMELFNIVEDIKKVSKVFVVYPRNVNAENAAILPVMLSSKLLPEMEAEEATKRDNLLSGITNLTVSGQIEKLKTRIDMIGSACETAEKVIAESRKNYGLGARQGANLGPTLDKAQAAKIQEQEGLLRAADCVYRETKGRCVYPKNTSTFVPNVVNAQGNPMQVSGGQLLGRPAPSPGATGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTAQQHRQKLMQTSQQQQLHAQQQLRPSAAGMLAQSAIPQLQDLQGQSQQKLQVPGQQQMQYNQALSQQYQNRQMQAGRMQPGMSQSQLNQGNQLRSHISQFTGAANSAMFTAAQASSNSQMMANIPGSMQSQSLLPQMQGLNQYSLTGGHPQRSHPSQMLTDQMFGMGGANSTSMMGMQQQQQFNMQANAQNLQQGMTGLQNQTQNPNFPQQRQQNQQ >ORUFI03G22590.2 pep chromosome:OR_W1943:3:17117088:17126362:1 gene:ORUFI03G22590 transcript:ORUFI03G22590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALGAAAGAPNPAAPVGGDQQPRTERLSAGVQQQLNLEGMRARAVGLYKAISRILEDFDAIARANPNASPKWQDVLGQFSMVSMELFNIVEDIKKVSKLLPEMEAEEATKRDNLLSGITNLTVSGQIEKLKTRIDMIGSACETAEKVIAESRKNYGLGARQGANLGPTLDKAQAAKIQEQEGLLRAADCVYRETKGRCVYPKNTSTFVPNVVNAQGNPMQVSGGQLLGRPAPSPGATGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTAQQHRQKLMQTSQQQQLHAQQQLRPSAAGMLAQSAIPQLQDLQGQSQQKLQVPGQQQMQYNQALSQQYQNRQMQAGRMQPGMSQSQLNQGNQLRSHISQFTGAANSAMFTAAQASSNSQMMANIPGSMQSQSLLPQMQGLNQYSLTGGHPQRSHPSQMLTDQMFGMGGANSTSMMGMQQQQQFNMQANAQNLQQGMTGLQNQTQNPNFPQQRQQNQQ >ORUFI03G22590.3 pep chromosome:OR_W1943:3:17122515:17126362:1 gene:ORUFI03G22590 transcript:ORUFI03G22590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIIATLSSPPSTREPVPSLLRTPPPPHSIHRRNDKSSNPTRSPNRRRCLLHSASVLLASSLLLAWRRSAVVPLLRSPSPPRLLAPPRVAHAAIVPFRRRLDAAVVPFHRCPDAAAHTSPSSPSTAGAARRSSAIALQSVPQWSRAPLATPPRRATVVKPSADTEPPSLSLLYCLRLIREYHVQILLKAQFFILFIGLLSLVVSGGPGCMDGRMWLH >ORUFI03G22600.1 pep chromosome:OR_W1943:3:17122812:17123177:-1 gene:ORUFI03G22600 transcript:ORUFI03G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRRWWEDAQPGRDGAAALVETLDHQDTDCGDDGGGSADDDGWRNNVQRRGGGVIVIRGATAGLARWSLGGPRVGNFVSCHGAICVADGEDRGSGCGRGRWRRMGSGVRAAVEGYDGGV >ORUFI03G22610.1 pep chromosome:OR_W1943:3:17128842:17136448:-1 gene:ORUFI03G22610 transcript:ORUFI03G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPLPLHHQIIKVARDEDFRSRIGNDGRYFDLVDFSAIDVFYVPNSLTVYEFKGTLMEKFGTPVQCQHLWWWARRLNKTYRVDRPLTTEEEKLSVSSLRHVDDTKFCSSSERGIKYVGSLYVKVSSRPSDILPKLRSLAGFCASEPIELYEEIKFDPSVMCEAIDIHLTFSDSGITTGDIICYQKSLPQNWRIYSSVASFLQHVCDHKEEEWKRHILEEEIAVLKRQADTDRLQKDESMTVCDQLKHERDNAVRQVNELCDQSTPVILNFSRKDLEQAIEHFKNTGDFGDTEYGHLYKGMIHYTIVAIKLSSSQSLFQQEAFALIYEWLPNGNLEDRIVCTNNSPPLSWHNRTQIIGEICCALLFLHSNKPTALVHSDLRPCNILIDANYRSKLCNFGLSNLFLQPGTCPPNLMARLPYMDPEFNTTGELTTLSDVYSLGVIILQLLTEMPPLTLSEKVAEALESDSLHLLIDKSAGDWPYIQAKQLALIGLSCTEMTRKKRPDLLTKVWKVVEPLTRKPLAATWPYLQSATGDSCVPSAFICPISLEIMKDPQMASDGFTYEAEAIRSWLLGIEETRKARDNGDFAAGGCGNF >ORUFI03G22610.2 pep chromosome:OR_W1943:3:17130279:17136448:-1 gene:ORUFI03G22610 transcript:ORUFI03G22610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPLPLHHQIIKVARDEDFRSRIGNDGRYFDLVDFSAIDVFYVPNSLTVYEFKIPYRFNLSQGTLMEKFGTPVQCQHLWWWARRLNKTYRVDRPLTTEEEKLSVCSKKCSTLIPNHSEINEDDALLMILNFAPLLNEELNILPKLRSLAGFCASEPIELYEVRFCTDANRFPDSEINRFISFVLVSHFDKQEIKFDPSVMCEAIDIHLTFSDSGITTGDIICYQKSLPQNWRIYSSVASFLQHVCDHKEEEWKRHILEEEIAVLKRQADTDRLQKDESMTVCDQLKHERDNAVRQVNELCDQSTPVILNFSRKDLEQAIEHFKNTGDFGDTEYGHLYKGMIHYTIVAIKLSSSQSLFQQEAFALIYEWLPNGNLEDRIVCTNNSPPLSWHNRTQIIGEICCALLFLHSNKPTALVHSDLRPCNILIDANYRSKLCNFGLSNLFLQPGTCPPNLMARLPYMDPEFNTTGELTTLSDVYSLGVIILQLLTEMPPLTLSEKVAEALESDSLHLLIDKSAGDWPYIQAKQLALIGLSCTEMTRKKRPDLLTKVWKVVEPLTRKPLAATWPYLQSATGDSCVPSAFICPISLEIMKDPQMASDGFTYEAEAIRSWFDRGNILGLR >ORUFI03G22610.3 pep chromosome:OR_W1943:3:17130279:17136448:-1 gene:ORUFI03G22610 transcript:ORUFI03G22610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPLPLHHQIIKVARDEDFRSRIGNDGRYFDLVDFSAIDVFYVPNSLTVYEFKGTLMEKFGTPVQCQHLWWWARRLNKTYRVDRPLTTEEEKLSVSSLRHVDDTKFCSSSERGIKYVGSLYVKVSSRPSDILPKLRSLAGFCASEPIELYEEIKFDPSVMCEAIDIHLTFSDSGITTGDIICYQKSLPQNWRIYSSVASFLQHVCDHKEEEWKRHILEEEIAVLKRQADTDRLQKDESMTVCDQLKHERDNAVRQVNELCDQSTPVILNFSRKDLEQAIEHFKNTGDFGDTEYGHLYKGMIHYTIVAIKLSSSQSLFQQEAFALIYEWLPNGNLEDRIVCTNNSPPLSWHNRTQIIGEICCALLFLHSNKPTALVHSDLRPCNILIDANYRSKLCNFGLSNLFLQPGTCPPNLMARLPYMDPEFNTTGELTTLSDVYSLGVIILQLLTEMPPLTLSEKVAEALESDSLHLLIDKSAGDWPYIQAKQLALIGLSCTEMTRKKRPDLLTKVWKVVEPLTRKPLAATWPYLQSATGDSCVPSAFICPISLEIMKDPQMASDGFTYEAEAIRSWFDRGNILGLR >ORUFI03G22610.4 pep chromosome:OR_W1943:3:17130279:17136448:-1 gene:ORUFI03G22610 transcript:ORUFI03G22610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPLPLHHQIIKVARDEDFRSRIGNDGRYFDLVDFSAIDVFYVPNSLTVYEFKGTLMEKFGTPVQCQHLWWWARRLNKTYRVDRPLTTEEEKLSVSSLRHVDDTKFCSSSERGIKYVGSLYVKVSSRPSDILPKLRSLAGFCASEPIELYEVRFCTDANRFPDSEINRFISFVLVSHFDKQEIKFDPSVMCEAIDIHLTFSDSGITTGDIICYQKSLPQNWRIYSSVASFLQHVCDHKEEEWKRHILEEEIAVLKRQADTDRLQKDESMTVCDQLKHERDNAVRQVNELCDQSTPVILNFSRKDLEQAIEHFKNTGDFGDTEYGHLYKGMIHYTIVAIKLSSSQSLFQQEAFALIYEWLPNGNLEDRIVCTNNSPPLSWHNRTQIIGEICCALLFLHSNKPTALVHSDLRPCNILIDANYRSKLCNFGLSNLFLQPGTCPPNLMARLPYMDPEFNTTGELTTLSDVYSLGVIILQLLTEMPPLTLSEKVAEALESDSLHLLIDKSAGDWPYIQAKQLALIGLSCTEMTRKKRPDLLTKVWKVVEPLTRKPLAATWPYLQSATGDSCVPSAFICPISLEIMKDPQMASDGFTYEAEAIRSWFDRGNILGLR >ORUFI03G22610.5 pep chromosome:OR_W1943:3:17128842:17136448:-1 gene:ORUFI03G22610 transcript:ORUFI03G22610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPLPLHHQIIKVARDEDFRSRIGNDGRYFDLVDFSAIDVFYVPNSLTVYEFKGTLMEKFGTPVQCQHLWWWARRLNKTYRVDRPLTTEEEKLSVSSLRHVDDTKFCSSSERGIKYVGSLYVKVSSRPSDILPKLRSLAGFCASEPIELYEEIKFDPSVMCEAIDIHLTFSDSGITTGDIICYQKSLPQNWRIYSSVASFLQHVCDHKEEEWKRHILEEEIAVLKRQADTDRLQKDESMTGIEETRKARDNGDFAAGGCGNF >ORUFI03G22620.1 pep chromosome:OR_W1943:3:17139505:17140281:-1 gene:ORUFI03G22620 transcript:ORUFI03G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPELVRSAERKATRVARSTLSSLRREKREEARRRRMRLGASATKWRQSFPTVEGDLGVDKVEVAREGVSEHSYNTEQAPGWGKRRRCSSARSAATGEVSHSKARDTEQAPS >ORUFI03G22630.1 pep chromosome:OR_W1943:3:17151565:17158141:-1 gene:ORUFI03G22630 transcript:ORUFI03G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPYSHIKVVREEDFRSRIGEDGHYFDLIDFSAIEGFNVPPTMTILRFKEKLTEKFGTPLQCQRLWWWARRQNNTYRVDRPLTTEEENLPVLNTNSLPTWSNMDDALVFLKHYDPGKAQLRYVGLLSVKVASRPSEILPKLRSLAGFCLSEMIELYEEIKFEPSVWCEAIDIHNTFSAGEIITGDIICFQKILKPPDIPKYPSVASFLQHVCDRKVHILEEEIVTLKHQADTYLVQKEKAVTAYDQLKHERDNAVQQVNELRDQSTHIILDFSRKDMEQATEHFKNAREVGDTEYGHTYKGMIHNMKVLIKLSSSQKLFQQEVSILRQWRHPNIITFIGVCSEVSALVYEWLPNGNLEDRIICTNNSAPLSWYNRTQIIGEICCALLFLHSNKSTALVHGDLRPCNILIDANYRSKICNFGMSNLFLQLGTFPPNLTARLPYMDPEFNTTGELTTLSDVYSLGVIILRLLTGMPPLTLSEKVAEALGSDSLHLLIDKSAGDWPYIEAKQLALIGLSCTGMTRKKRPDLLNEVWIVIEPLTRKPPAATWPYLQSASGDSSVPAAFICPISMEIMKDPQVASDGFTYEAEAIRCWFDRGISRSPMTNLALPNLNLVPNRVLRSFIHGYLQQQQPNPAYQQQLSET >ORUFI03G22630.2 pep chromosome:OR_W1943:3:17151565:17158141:-1 gene:ORUFI03G22630 transcript:ORUFI03G22630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPYSHIKVVREEDFRSRIGEDGHYFDLIDFSAIEGFNVPPTMTILRFKEKLTEKFGTPLQCQRLWWWARRQNNTYRVDRPLTTEEENLPVLNTNSLPTWSNMDDALVFLKHYDPGKAQLRYVGLLSVKVASRPSEILPKLRSLAGFCLSEMIELYEEIKFEPSVWCEAIDIHNTFSAGEIITGDIICFQKILKPPDIPKYPSVASFLQHVCDRKTYEEVRKVHILEEEIVTLKHQADTYLVQKEKAVTAYDQLKHERDNAVQQVNELRDQSTHIILDFSRKDMEQATEHFKNAREVGDTEYGHTYKGMIHNMKVLIKLSSSQKLFQQEVSILRQWRHPNIITFIGVCSEVSALVYEWLPNGNLEDRIICTNNSAPLSWYNRTQIIGEICCALLFLHSNKSTALVHGDLRPCNILIDANYRSKICNFGMSNLFLQLGTFPPNLTARLPYMDPEFNTTGELTTLSDVYSLGVIILRLLTGMPPLTLSEKVAEALGSDSLHLLIDKSAGDWPYIEAKQLALIGLSCTGMTRKKRPDLLNEVWIVIEPLTRKPPAATWPYLQSASGDSSVPAAFICPISMEIMKDPQVASDGFTYEAEAIRCWFDRGISRSPMTNLALPNLNLVPNRVLRSFIHGYLQQQQPNPAYQQQLSET >ORUFI03G22630.3 pep chromosome:OR_W1943:3:17151565:17158141:-1 gene:ORUFI03G22630 transcript:ORUFI03G22630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPYSHIKVVREEDFRSRIGEDGHYFDLIDFSAIEGFNVPPTMTILRFKEKLTEKFGTPLQCQRLWWWARRQNNTYRVDRPLTTEEENLPVLNTNSLPTWSNMDDALVFLKHYDPGKAQLRYVGLLSVKVASRPSEILPKLRSLAGFCLSEMIELYEEIKFEPSVWCEAIDIHNTFSAGEIITGDIICFQKILKPPDIPKYPSVASFLQHVCDRKTYEEVRKVHILEEEIVTLKHQADTYLVQKEKAVTAYDQLKHERDNAVQQVNELRDQSTHIILDFSRKDMEQATEHFKNAREVGDTEYGHTYKGMIHNMKVLIKLSSSQKLFQQEVSALVYEWLPNGNLEDRIICTNNSAPLSWYNRTQIIGEICCALLFLHSNKSTALVHGDLRPCNILIDANYRSKICNFGMSNLFLQLGTFPPNLTARLPYMDPEFNTTGELTTLSDVYSLGVIILRLLTGMPPLTLSEKVAEALGSDSLHLLIDKSAGDWPYIEAKQLALIGLSCTGMTRKKRPDLLNEVWIVIEPLTRKPPAATWPYLQSASGDSSVPAAFICPISMEIMKDPQVASDGFTYEAEAIRCWFDRGISRSPMTNLALPNLNLVPNRVLRSFIHGYLQQQQPNPAYQQQLSET >ORUFI03G22640.1 pep chromosome:OR_W1943:3:17160640:17161242:1 gene:ORUFI03G22640 transcript:ORUFI03G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPPHHHLPPHHVYHSITRARGVIIPPPPPLQRLLLL >ORUFI03G22650.1 pep chromosome:OR_W1943:3:17165038:17177415:1 gene:ORUFI03G22650 transcript:ORUFI03G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVYRRRAMEAALLLPPPPLAARGGVSIAIAFSVSRFLAAAAAAAAGKLKKLAPHACRCRATPQWQLDFLGAEADTQADGRGADGAVADSPRRVRIARTAGAGRLRPRPHARICPAACRRVPQMVKVTAMEFLGQNGSYDGISWKSPAPSLSSPAPHGGGGMADSTARTVKDVNPHEFVKAYSAHLKRSGKMELPEWVDIVKTARSSLLMIRTGTTRGLKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVDESIRDRKVEATGQQSQTLDSTWTAKMHPNALP >ORUFI03G22650.2 pep chromosome:OR_W1943:3:17165038:17177415:1 gene:ORUFI03G22650 transcript:ORUFI03G22650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVYRRRAMEAALLLPPPPLAARGGVSIAIAFSVSRFLAAAAAAAAGKLKKLAPHACRCRATPQWQLDFLGAEADTQADGRGADGAVADSPRRVRIARTAGAGRLRPRPHARICPAACRRVPQMVKVTAMEFLGQNGSYDGISWKSPAPSLSSPAPHGGGGMADSTARTVKDVNPHEFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVDESIRDRKVEATGQQSQTLDSTWTAKMHPNALP >ORUFI03G22650.3 pep chromosome:OR_W1943:3:17162927:17177256:1 gene:ORUFI03G22650 transcript:ORUFI03G22650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTARTVKDVNPHEFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVDVTIA >ORUFI03G22650.4 pep chromosome:OR_W1943:3:17162927:17177415:1 gene:ORUFI03G22650 transcript:ORUFI03G22650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTARTVKDVNPHEFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVDESIRDRKVEATGQQSQTLDSTWTAKMHPNALP >ORUFI03G22650.5 pep chromosome:OR_W1943:3:17162927:17165140:1 gene:ORUFI03G22650 transcript:ORUFI03G22650.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTARTVKDVNPHEFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVDVTIA >ORUFI03G22660.1 pep chromosome:OR_W1943:3:17180086:17183570:1 gene:ORUFI03G22660 transcript:ORUFI03G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALLRPPPLAARGGVSIAIAFSVSRLPSAAAAAAAGKPRKLAPPACRCRATPQWQLDFLGAEADTEADGGDDDDDLDLDLSLPAETNDWCVRARRSALRSIEARGLSPSLQRMVASPKKKNKKKKSKKTNLKQKKAAEPKPPRDTDDDEDDEEEADDDLEALLAGGGELDDLELRVAQFADGMFDEKRQRNREQFIQTLSAFSPAAPSNRSQEVSLNRSIVEARTADEVLALTAEVVAAVAKGLSPSPLTPLNIATALHRIAKNMEAVSMLQTHRLGFARSRDMSMLVGLAMVALPECSPQGVSNISWALSKIGGDLLYLPEMDRIAQVAITKVDSFNAQNVANVAGSFASMRHSAPDLISALTRRAAELVYTFKEQELAQFLWGCASLNECPYPLLDALDTACRDAPSFDCHLHDTVPGMWQSSDKEASSLKNSSNAYALNFTRDQIGNIAWSYAVLGQMDRPFFSGIWKTLSQFEERKISDQYREDMMFVSQVYLANQSLKLEYPHLDMCLRGDLEENLTKTGRSKRFNQKMTSSFQKEVGRLLCSTGHEWNKEYTIDGYTVDAVLVDEKLAFEIDGPSHFSRNLGTPLGHTAFKRRYIAAAGWNLVSLSHQEWENLEGEFEQLEYLRRILGFDAE >ORUFI03G22660.2 pep chromosome:OR_W1943:3:17180086:17183263:1 gene:ORUFI03G22660 transcript:ORUFI03G22660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALLRPPPLAARGGVSIAIAFSVSRLPSAAAAAAAGKPRKLAPPACRCRATPQWQLDFLGAEADTEADGGDDDDDLDLDLSLPAETNDWCVRARRSALRSIEARGLSPSLQRMVASPKKKNKKKKSKKTNLKQKKAAEPKPPRDTDDDEDDEEEADDDLEALLAGGGELDDLELRVAQFADGMFDEKRQRNREQFIQTLSAFSPAAPSNRSQEVSLNRSIVEARTADEVLALTAEVVAAVAKGLSPSPLTPLNIATALHRIAKNMEAVSMLQTHRLGFARSRDMSMLVGLAMVALPECSPQGVSNISWALSKIGGDLLYLPEMDRIAQVAITKVDSFNAQNVANVAGSFASMRHSAPDLISALTRRAAELVYTFKEQELAQFLWGCASLNECPYPLLDALDTACRDAPSFDCHLHDTVPGMWQSSDKEASSLKNSSNAYALNFTRDQIGNIAWSYAVLGQMDRPFFSGIWKTLSQFEERKISDQYREDMMFVSQVYLANQSLKLEYPHLDMCLRGDLEENLTKTGRSKRFNQKMTSSFQKEVGRLLCSTGHEWNKEYTIDGYTVDAVLVDEKLAFEIDGPSHFSRNLGTPLGHTAFKRRYIAAAGWNLVSLSHQEWENLEGEFEQLEYLRRILGFDAE >ORUFI03G22670.1 pep chromosome:OR_W1943:3:17183610:17183801:-1 gene:ORUFI03G22670 transcript:ORUFI03G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLRPISAVAARDRTALEPLARTLEGARNPPASQRSRATWIAPRANTPPRIALRSPIQGRF >ORUFI03G22680.1 pep chromosome:OR_W1943:3:17183907:17184151:-1 gene:ORUFI03G22680 transcript:ORUFI03G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRTAVSGPGQASEDGAALQGIGGAGRGGARRVRRRTGTGARVSGRMGRRETEAQSYFLFFLFSV >ORUFI03G22690.1 pep chromosome:OR_W1943:3:17184084:17184551:1 gene:ORUFI03G22690 transcript:ORUFI03G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSAAPSSDACPGPDTAVRRRMSSTASLSLSFLPVPLSSSSSSMYVDNESVDPTFNRVFVVLTTSSSIYLSPQYKPHAAFRFGGSCRAAIGSGEGRRSARRCRLEVGCWRRPQRGGVGRWAQEANASSLREDGVGTEFSKARSRSGGA >ORUFI03G22690.2 pep chromosome:OR_W1943:3:17183940:17186048:1 gene:ORUFI03G22690 transcript:ORUFI03G22690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSAAPSSDACPGPDTAVRRRMSSTASLSLSFLPVPLSSSSSSMVRGSVRRQRVGRSNIQSAIGSGEGRRSARRCRLEVGCWRRPQRGGVGRWAQEANASSLREDGVGTEFSKARSRSGGA >ORUFI03G22700.1 pep chromosome:OR_W1943:3:17187643:17190347:-1 gene:ORUFI03G22700 transcript:ORUFI03G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTKKKLVIDTDPGIDDAMAIFVALRSPEVELLGLTTIFGNVYTTLATRNALHLLEAVGRTDIPVAEGSHKATKLRIASFVHGSDGLGNQNFPPPTGKPLDQSAAAFLVEQANLYPGQVTVVALGPLTNLALAIELDPSFPKKIGQIVILGGAYSVNGNVNPAAEANIFGDPDAADIVFTSGADILAVGINITHQVVLSDADREKLEQSDSKYARYLSKILGLYYDYHKDAYFIKGVYLHDPATLIAAVDPSLMTYTEGVVRVQTDGITKGLTVFDTTKKRYGEITAWTGKPTVKVAVTVDAPAVVEMIMQRLTTDD >ORUFI03G22700.2 pep chromosome:OR_W1943:3:17187643:17190347:-1 gene:ORUFI03G22700 transcript:ORUFI03G22700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTKKKLVIDTDPGIDDAMAIFVALRSPEVELLGLTTIFGNVYTTLATRNALHLLEAVGRTDIPVAEGSHKATKLRIASFVHGSDGLGNQNFPPPTGKPLDQSAAAFLVEQANLYPGQVTVVALGPLTNLALAIELDPSFPKKIGQIVILGGAYSVNGNVNPAAEANIFGDPDAADIVFTSGADILAVGINITHQVVLSDADREKLEQYGEITAWTGKPTVKVAVTVDAPAVVEMIMQRLTTDD >ORUFI03G22710.1 pep chromosome:OR_W1943:3:17190590:17190909:-1 gene:ORUFI03G22710 transcript:ORUFI03G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEGGSSGASLVRAVVNAAGCASLLCASLSRRGDGRDVCGAHGWSVTIGWASDPNKYK >ORUFI03G22720.1 pep chromosome:OR_W1943:3:17196509:17202045:1 gene:ORUFI03G22720 transcript:ORUFI03G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSFQGYNFLEPSTSMIVWWRDQFRKLMFNWHSKTLNLSELWIPIVACFTIGIVGLLTVLYLFSLWRRKISLSWMKMIARSKRKNFERTHKVPTAEHVWSVESLLRAKGLKCCVCLESISPAQPLGQMTTSENMVHRCDVCGAAAHMICSSNSQKDCKCVSMFGSKHVVHQWTVLWTDIADQSEEAQYCSYCEEPCSGSFLGGPPIYCCMWCQRLVHVDCHSSMATETGDICDLGPFKRLILSPLFVKTRSKPGGILSSITHGANEFASTVRGHLRNRSKKQKEHSRVPSDCNVGDSNDDSSCDTAANANQRAKDLKSSGDNVQRSAENEHDSSESDCKEVIPEPRRLHHDDAEGAKLKYILDDLPADARPLLVFINKRSGAQRGDSLKHRLHFLLNPVQVFELSSSQGPEIGLLLFRKVPHFRILVCGGDGTVGWVLDAIDKQNYESPPPVAILPAGTGNDLSRVLSWGGGLGAVEKQGGLCTVLHDIEHAAVTILDRWKVAIEDKRGKNVLMVKYMNNYLGIGCDAKVALDIHNLREENPEKFYSQFLNKVLYAREGAKSMIDRTFVDLPWQVRLEVDGTEIEIPEDSEGVLVANIPSYMGGVDLWKSEDDNPDNFDPQSIHDKMVEVVSISGTWHLGTLQVGLSRARRIAQGQSIKIQIFAPFPVQVDGEPWTQNPCTLKISHHGQV >ORUFI03G22730.1 pep chromosome:OR_W1943:3:17214021:17215974:1 gene:ORUFI03G22730 transcript:ORUFI03G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCLGAGYVGGPTMAVIALKCPDVEVVVVDISAARIDAWNSDALPIYEPGLDDVVRRCRGRNLFFSSDVERHVGEADIVFVSVNTPTKARGLGAGKAADLTYWESAARMIAAVATSDKVVVEKSTVPVKTAEAIEKILDHNGRDGVGFQILSNPEFLAEGTAIRDLLAPDRVLIGGRETAAGRAAVQALKDVYARWVPEERILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVAEVAYAVGKDSRIGAKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLIGDKAKVSIYDPQVTEDQVQRDLAMSKFDWDHPVHLQPMSPTAIKQVSVAWDAYEAARAAHGVCILTEWDEFRSLDYARIYGGMQKPAFVFDGRNVVDAEKLREIGFIVYSIGKPLDAWLKDMPAVA >ORUFI03G22740.1 pep chromosome:OR_W1943:3:17219634:17224003:1 gene:ORUFI03G22740 transcript:ORUFI03G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHSGHLYPRPPQQNQNTFCTARSSYPPHRRVGPTYRWTPHVSDSIHPRSASRATCRVPRRIHPRLAAAVQPPPLGPTSCHTNAVSGFTPQGFQKNPPRAGGCHAGQLAETAGGRRDSMVFSHNKNSNQFDPLSYVNLSGLDADSQSVSFTDMNSRDAPSNSHVTDVGKENMLNNPEESKIASTGLKPGSPISPENFSFSSLPGSSCHLSTLDHGKRPLSDVRPFQVACKRPKQIDENTWSTSTFETSFSDLADETREPDYIYHNSGISACNTSSSIPYSNLEQLIGEENLYLPDWVTTFPGYTGDFWPAPVADQVDDIDSPIHDHLPRKAVAIGPDHQADIPEWRPRISMTVPYGSGSCADLSYSSVSTSGSAPRDEDSESDKWIKHCVIEMPSSCSVAWVGDHGRDCGCSDEGSIRCVRRHVLESRENLKRIFGEDKFRELGLCDMGEDIAQRWTDEEESLFYRVVYSNPPSLGKNFWHFLPRALPGKTSMELVSYYFNVFMLRKRAQQNRSEPLHVDSDDDEVPDEPSVTEDEDSAVESPAHDYYVNNPMSPESEDSFHEKVADSLSGLRDGPSQKPLGSNTDNPGGDADVQDESCTSFEDHNGAHGSNGVQCAEFHMMLPNAALDHYSDRGACM >ORUFI03G22740.2 pep chromosome:OR_W1943:3:17219634:17224003:1 gene:ORUFI03G22740 transcript:ORUFI03G22740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHSGHLYPRPPQQNQNTFCTARSSYPPHRRVGPTYRWTPHVSDSIHPRSASRATCRVPRRIHPRLAAAVQPPPLGPTSCHTNAVSGFTPQGFQKNPPRAGGCHAGQLAETAGGRRDSMVFSHNKNSNQFDPLSYVNLSGLDADSQSVSFTDMNSRDAPSNSHVTGSSCHLSTLDHGKRPLSDVRPFQVACKRPKQIDENTWSTSTFETSFSDLADETREPDYIYHNSGISACNTSSSIPYSNLEQLIGEENLYLPDWVTTFPGYTGDFWPAPVADQVDDIDSPIHDHLPRKAVAIGPDHQADIPEWRPRISMTVPYGSGSCADLSYSSVSTSGSAPRDEDSESDKWIKHCVIEMPSSCSVAWVGDHGRDCGCSDEGSIRCVRRHVLESRENLKRIFGEDKFRELGLCDMGEDIAQRWTDEEESLFYRVVYSNPPSLGKNFWHFLPRALPGKTSMELVSYYFNVFMLRKRAQQNRSEPLHVDSDDDEVPDEPSVTEDEDSAVESPAHDYYVNNPMSPESEDSFHEKVADSLSGLRDGPSQKPLGSNTDNPGGDADVQDESCTSFEDHNGAHGSNGVQCAEFHMMLPNAALDHYSDRGACM >ORUFI03G22740.3 pep chromosome:OR_W1943:3:17219634:17224122:1 gene:ORUFI03G22740 transcript:ORUFI03G22740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHSGHLYPRPPQQNQNTFCTARSSYPPHRRVGPTYRWTPHVSDSIHPRSASRATCRVPRRIHPRLAAAVQPPPLGPTSCHTNAVSGFTPQGFQKNPPRAGGCHAGQLAETAGGRRDSMVFSHNKNSNQFDPLSYVNLSGLDADSQSVSFTDMNSRDAPSNSHENMLNNPEESKIASTGLKPGSPISPENFSFSSLPGSSCHLSTLDHGKRPLSDVRPFQVACKRPKQIDENTWSTSTFETSFSDLADETREPDYIYHNSGISACNTSSSIPYSNLEQLIGEENLYLPDWVTTFPGYTGDFWPAPVADQVDDIDSPIHDHLPRKAVAIGPDHQADIPEWRPRISMTVPYGSGSCADLSYSSVSTSGSAPRDEDSESDKWIKHCVIEMPSSCSVAWVGDHGRDCGCSDEGSIRCVRRHVLESRENLKRIFGEDKFRELGLCDMGEDIAQRWTDEEESLFYRVVYSNPPSLGKNFWHFLPRALPGKTSMELVSYYFNVFMLRKRAQQNRSEPLHVDSDDDEVPDEPSVTEDEDSAVESPAHDYYVNNPMSPESEDSFHEKVADSLSGLRDGPSQKPLGSNTDNPGGDADVQDESCTSFEDHNGAHGSNGVQCAEFHMMLPNAALDHYSDRGACM >ORUFI03G22750.1 pep chromosome:OR_W1943:3:17227356:17228612:-1 gene:ORUFI03G22750 transcript:ORUFI03G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDVVVLSSASATRHSCKVCGKGFACGRSLGGHMRSHSLTEVDAVAAAVAPAYERADDDEGGDGKTVRRWMQSGGGYGLRENPKKTRRLSAGSGGGGGGGDNDDGDACHHRGGDLLSSSSCRPVLGRVRSHAPPAGGAAYADDSEDVGVDVDGGGGDDRYRDREMLVMAAPRRRPRSMRVPAPVRDEFVVDEEPEDVALCLVMLSRDTGRPWNSRPSDEYSSLMYNSSYHHHHHDAVSDDDLELSLSSPYADTEIRTKKRRKTTGAASTAGGEKRGRYECHGCGRAFLSYQALGGHRASHKRINSNCSLVKPPADQPEPSIETSFSSASTSVSPADTMISAATISKTVKKATKFVCPICSKEFGSGQALGGHKRSHSIAGELYERGHADGIVKLEQPLLADRFLDLNLPAPGGDDG >ORUFI03G22760.1 pep chromosome:OR_W1943:3:17244516:17258899:-1 gene:ORUFI03G22760 transcript:ORUFI03G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTFYCLLLLFHFFAFLSSIRTAGDVTAIAAVGSEEEEEHAVAITASGPSSPSTPLPVAMGGAMAGFGFLFPNNLRLLRGFPAVQELHANKGSGGGGVAVWGSSIPWWEWDNPAAPSALRAALCRLYAEAYCGSFCSRRIHGADAVEEEKEKKKKLRVLRYDANAAVGGDDDDELQQCRLRCVAVVDLPCHALGRGGVHTAYYSGTIEYFSTDEGVDLTRSSIASIAPIDLSTMERATGGFSKRNIIGEGGFAIVYKPAAGGVRKTESPSPSPPPRVATPLPGRGKLPRNHVLARDLQYKKKIAVKRLKPSALSTKGLHDFTREVELMSRVRHGNLSQLLAYCIEGDERILVYEYMPKKRSGEIVIHRDLKPSNVLLDDEFTPKIADFGTTKPLVADGTGTQTIVFSPGYAAPEYIRGDVTLKCDVYSFGVVLLEIISGQKNTLRPSLLSKAWKLWDEHRIMDLVDPSMVRHCSGAEGLQSHVRRCIQIGLLCVQDSPCDRPTMSQVLAMLTGDDSSWLNKPKPPAMFDDHHRH >ORUFI03G22760.2 pep chromosome:OR_W1943:3:17244516:17258899:-1 gene:ORUFI03G22760 transcript:ORUFI03G22760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTFYCLLLLFHFFAFLSSIRTAGDVTAIAAVGSEEEEEHAVAITASGPSSPSTPLPVAMGGAMAGFGFLFPNNLRLLRGFPAVQELHANKGSGGGGVAVWGSSIPWWEWDNPAAPSALRAALCRLYAEAYCGSFCSRRIHGADAVEEEKEKKKKLRVLRYDANAAVGGDDDDELQQCRLRCVAVVDLPCHALGRGGVHTAYYSGTIEYFSTDEGVDLTRSSIASIAPIDLSTMERATGGFSKRNIIGEGGFAIVYKGKLPRNHVLARDLQYKKKIAVKRLKPSALSTKGLHDFTREVELMSRVRHGNLSQLLAYCIEGDERILVYEYMPKKRSGEIVIHRDLKPSNVLLDDEFTPKIADFGTTKPLVADGTGTQTIVFSPGYAAPEYIRGDVTLKCDVYSFGVVLLEIISGQKNTLRPSLLSKAWKLWDEHRIMDLVDPSMVRHCSGAEGLQSHVRRCIQIGLLCVQDSPCDRPTMSQVLAMLTGDDSSWLNKPKPPAMFDDHHRH >ORUFI03G22770.1 pep chromosome:OR_W1943:3:17263518:17267319:1 gene:ORUFI03G22770 transcript:ORUFI03G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVQEQFEIKFRLPDGTDIGPKRYPAASTVATLKESIVAQWPKADKEKGPRTVNDLKLINAGKILENNKTLSECKSPICDFSGLTTMHVVVRAPTSDKQSNKIVAKKPKDFRCGCSIM >ORUFI03G22780.1 pep chromosome:OR_W1943:3:17271412:17278736:1 gene:ORUFI03G22780 transcript:ORUFI03G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPLAAGLRPAMAAAQAPVVAAAWGVGARRGAALSSSARCRALRLSRGGGGGRDGWVPPPVVGRRPPRTLSVRCAASNGRITQQEFTEMAWQSIVSSPEVAKESKHQIVETEHLMKSLLEQRNGLARRIFSKAGVDNTRLLDATEKFIQRQPKVLGEDPGSMLGRDLEALIQRARDFKKEYGDSFVSVEHLVLGFAEDKRFGRQLFKDFQITVQSLKTAIESIRGKQNVIDQDPEGKYEALDKYGKDLTAMARQGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGLAQRIVQGDVPQALTNRRLIALDMGALIAGAKYRGEFEDRLKAVLKEVTDSDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVEDTISILRGLRERYELHHGVRISDSALVAAALLSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRAVIKLEMERLSLTNDTDKASRDRLSRIEAELSLLKEKQKDLTEQWEREKSVMTKIQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNALQRQLQTTEKELDEYQSSGKSMLREEVTQDDIAEIVSRWTGIPVSKLKQSDREKLLYLEEELHKRVVGQDPAVKAVSEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAAFMFNTEEAVVRIDMSEYMEKHSVSRLIGAPPGYVGYEEGGQLTEAVRRRPYSIILFDEIEKAHGDVFNVFLQILDDGRVTDSQGRKVSFTNSIIIMTSNVGSQFILNMDEEGGSTDSVYENIKKRVMDAARSVFRPEFMNRIDEYIVFKPLEREQINSIVKLQLARVQKRIADRKIKLEVSPGAVEFLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGDFKDEDSILVDTQVTVPSNGQLPQQKLVFHKMSEESAPAAAEDEKFLPAV >ORUFI03G22790.1 pep chromosome:OR_W1943:3:17282244:17282987:-1 gene:ORUFI03G22790 transcript:ORUFI03G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQYRCTHSASCLCLKGHISEDALFLVFRHMNWNPRMIALFSCVSKWFDDIAKRVLWKEFCHARAPRMMQDLHSGGSHIVDGNWKALGKLLIHCAGCTKGGLFGNIHVPGHFVFRTRFSRTCGKSILPPQCRTDVLYVSDPCEHLDQGEEGDLGFFRGIFKSFASSKVKKMLIEKQAKFHPKEVCPYCKAKLWNLLQANMIPRSASIRLDAYDDSVEYYICLNGHILGLCTLMPVSDSEDAKE >ORUFI03G22800.1 pep chromosome:OR_W1943:3:17291999:17292802:-1 gene:ORUFI03G22800 transcript:ORUFI03G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFASSAWGSGLGKKNTPNCTPSNGDCSDDEASSCTSREEGLECPICWESFNIVENVPYVLWCGHTMCKNCILGLQWAIIKVPTVPIQLPFFVSCPWCNLLSLRIIYKGNLAFPRKNYFLLWMVEGMNGERARSRSAIHSEQQTTWLSSSSRASGNEGYSNPIRRPLPPPVETQSPSVNHANHGVPILNAERVQALLRKSLSFLVHLTAKFPLVFIFLLIVLYAIPASAAVLLLYILITVLFALPSFLILYFAYPSLDWLVREIFA >ORUFI03G22810.1 pep chromosome:OR_W1943:3:17305287:17307195:1 gene:ORUFI03G22810 transcript:ORUFI03G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKFPIVFSVVCLFLLCNGSLAQLLSQSTSQWQSSRRGSPRECRFDRLQAFEPIRTVRVSVVRRVIEPRGLLLPHYSNGATLVYVIQGRGITGPTFPGCPETYQQQFQQSEQDQQLEGQSQSHKFRDEHQKIHRFQQGDVVALSAGVAHWCYSDGDAPIVAIYVTDIYNSANQLDPRHRDFFLAGNNKIGQQLYRYEARDNSKNVFGGFSIELHSEALGISSGVARQLQCQNDQRGEIVRVEHGLSLLQPYASLQEQQQEQVQPRDYGQT >ORUFI03G22810.2 pep chromosome:OR_W1943:3:17305258:17307195:1 gene:ORUFI03G22810 transcript:ORUFI03G22810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRQNIDNPNLADTYNPRAGRITYLNSQKFPILNLVPMSAVKVNLYQNALLSPFWNINAHSVVYITQGRARVQVVNNNGKTVFDGELRCGQLLIIPQHHVVIKKAQREGCSYIALKTNPNSMVSHMAGKNSIFRALPDDVVANAYRISREARRLKHNRGDELGVFTPSHAYKSYQDISVSA >ORUFI03G22820.1 pep chromosome:OR_W1943:3:17309748:17316995:1 gene:ORUFI03G22820 transcript:ORUFI03G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPAARPQRTPDEVEDIITRKILLVSLTPPSTPNPAVAYLELTAAELLSESRPLLALRDASERLLIDRLSLPDQPAGSPSPFAYLLSSFRRAADEARKISTIRDAALRARLAASIAHLRGLILSYARIVAGNPDTFPSPHNAPHPAAELLVFLLAEAADPLDPTPAPGAPPPPGFLDEFFANADYETVEPAMGELYDRLRQSVEKVSALGDFQKPLRVLRRLVGIPNCAKALVNHPRWIPKNQIMLIGEGRIMEISSVLGAFFHVSAIPDREFASKPDIGQHCFSEASSRRPADLMSSFTTIKSVMNNLYDGLKDVLLALLKNMDTREKVLEFIAEVINKNAGRSRMQVDPLKSASSGMFVNLSAVMLRLCEPFLDRMESKKDKIDVNYLFCNDRIDFKNLTAINASSEEVSSWIENRGYEHAEDSASGEARFVESQEATSSGNNSTVSLSSKGGSLVNCSKKENFSFICECFFMTARVLNLGLMKALSDFKHIAQDLARCQDDLDSNRAMRDQGGGSAQLDQDIKRLEKIVEILSQDKLCYEAQIIRDGAFLQRALSFYRLMILWSVDLVGGFKMPLPSQCPKEFACIPEHFLDDAMDLLVLTSRIPKALESFALDDFLNFIIMFMAGTSYIKNPYLRAKMVEVLNCWMPQRSGLSSTASLFEGHQLCLDYLVKNLLKLYVDIEFTGSHTQFFDKFNIRHNIAELLEYLWDVPSHRNAWRRIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKEIEAEMANVVEWESRPPQEREERLRVFHQWENIVRFDMKLANEDVGMLAFTSEQIPAPFLLPEMVERVASMLNYFLLQLAGPQRKSLTVKDPEKYEFKPKQLLKQIATIYVHITRGDKEGIFPAAISKDGRSYNEQLFASAANILWKIGGDPQIIQEFMQLAIKSKTAASEAMDAEAMLGDIPDEFLDPIQYTLMKDPVILPSSRVTIDRPVIVRHLLSDSTDPFNRSHLTQDMLIPDTELKSRIEEFIRSQRSKKRTAADSEMGEPDGAADMAD >ORUFI03G22830.1 pep chromosome:OR_W1943:3:17319438:17326650:1 gene:ORUFI03G22830 transcript:ORUFI03G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALLLGTAPAMVAPSSCHVGTRVPRWQDDGAREAGAVPLDDSASHSGVPKRTISRIKKYNLYFRTEMYSLE >ORUFI03G22840.1 pep chromosome:OR_W1943:3:17322016:17326785:-1 gene:ORUFI03G22840 transcript:ORUFI03G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVIAQGYRVVDEERHTVDYRSSVWGDYFIRNPILPHNYQKSLEWMTERCDELINETKEMFVDIINPFAKMTLIDALQRLGVSYHFKEEIDNSIESLVSVKFANDDFHAISLQFRLLRQQRRYMSCDAFKEFIDKEGNLNGTLCSDTRALLALYEAAHLGTPNEQILRKAQRLEARLYIQLYEEDKEECNEMILELAKLNFYLLQRLHREEVKEICEWYHGLESPRELFYARHRPVEAYFWALGVYYEPEYAKPRKLLAKFIATITPYDDTFDNYGLWEELQPFADVMQRWDEKGAEQLGRCYKDYAQFMFGTMNEIEGALPKGMSRENVNVIKDIVMTWMSGFPQIVKDSCIVCRLMDDIVAHEFETERNNVATVVSCYMKEHDSTKEEAMEALWIDVENAWKDMNEEYLKLTSIPSSLLIQVINLARMMETMFTKIDGYTDSAILRKWISLLLVQPIYDLASI >ORUFI03G22840.2 pep chromosome:OR_W1943:3:17322016:17326785:-1 gene:ORUFI03G22840 transcript:ORUFI03G22840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVIAQGYRVVDEERHTVDYRSSVWGDYFIRNPILPHNYQKSLEWMTERCDELINETKEMFVDIINPFAKMTLIDALQRLGVSYHFKEEIDNSIESLVSVKFANDDFHAISLQFRLLRQQRRYMSCDAFKEFIDKEGNLNGTLCSDTRALLALYEAAHLGTPNEQILRKAQVETTNQLKRIVDCIEKPLSDKVRHALETPSFRRMKRLEARLYIQLYEEDKEECNEMILELAKLNFYLLQRLHREEVKEICEWYHGLESPRELFYARHRPVEAYFWALGVYYEPEYAKPRKLLAKFIATITPYDDTFDNYGLWEELQPFADVMQRWDEKGAEQLGRCYKDYAQFMFGTMNEIEGALPKGMSRENVNVIKDIITEVCKAYVTEINWRDSKYIPPLKEHLHVTLVSCFYWAINCTAFVVFQEGVTEEVMTWMSGFPQIVKDSCIVCRLMDDIVAHEFETERNNVATVVSCYMKEHDSTKEEAMEALWIDVENAWKDMNEEYLKLTSIPSSLLIQVINLARMMETMFTKIDGYTDSAILRKWISLLLVQPIYDLASI >ORUFI03G22850.1 pep chromosome:OR_W1943:3:17330257:17334274:-1 gene:ORUFI03G22850 transcript:ORUFI03G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNENGFGNVLIGSAKVNVSRSFSNSDGAAGIGVVIQDYDGSSAEEVEASFGLSGMDQNVTMMVRSNCIEPAGLSALTAGGPNYDQALGHHGRVTNRNRWRERWGFGLLDVPTTTRLQGIRAGSPITTGGERDGGANYDQAPGHQGRVTNRDRWRERWGFGLLDV >ORUFI03G22860.1 pep chromosome:OR_W1943:3:17345766:17353290:-1 gene:ORUFI03G22860 transcript:ORUFI03G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRKLFARKAMDGLSCISERVYVFNSCLSMDPLVDDDDDEEARNDHLISTVIQLKSCHPHGASLMLLNLFAGGGGEEASSLLPVDALRRHGVAAVAEYPCGHRHGPSLPLATARALLATCVDWLVTDGQRNVLLMRCDRRARPALALAMASLLVYMEEEPAPPDSELVTTTTATLAAVYGRAPVALLAAGSALDPRPSHLRYLQYVTRLRGMTTRLEPPPPPLLVLDCLILRPVPDFDGNGGCRPVVRVHGRRGDDDGDPVDDVSPKILFSTPRIKQHFRQYNQVLIYMHMHILTSMDTRTQPTTSERLSGTYILRIIKLPEMSHCQLAKSAVVKVNIECQVRGDVVVECGHVGENTDEEEEEAMFRIMFNTCFVESNMMVLTLDDIDLPWNCRKERFQEDFKIEVFFSEVDVSDNDSHTAEMLGDSHEGNAELFYDFDDISIDSGSSSKYHEQHDEDGESKSSEPGGCSSDEKVNNGSGIEVRFMPESDVTKDSLEEETGNQQEESSNAVQPTLVSSKDPNLDKASDFQESTSQEACIQEGAGTSVRTEVDHNSMAGIGALKPQPKRRTWQNLSKQSAIPIVNKKKAKKPDIGSSDVKKPSKGKMLLKQTLQKGILIATSSCKSSTVQANTGPVPRKKHGNAIRANHGTGQATKTPTHSKTDLKNSSHQEKGDRATQKDDAIENTIETEPATSIIQTRPSSPPRQGLNQDSSKDSLGPIESAMKSPTPRSGDSVSGGASKQEATTASTEAHSAKVVLKKSLSSSAISRSLTTTSSSSSSSSSSPKRRSNVTSHPSSVLLKAASSSSPRARASPLPPVLLKVASSSSPRARASPSPPRSPLASSKDASPCIIRRVHLHEWAFSVAENIDDYKEPSHWHERQQQKLVTSITKIGKATAITTRGTYYSYHSTSKANKI >ORUFI03G22870.1 pep chromosome:OR_W1943:3:17355943:17356707:1 gene:ORUFI03G22870 transcript:ORUFI03G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSRLALCLAVVAACAAGGAVADWSPATATFYGGSDGSGTMGGACGYGNLYDQGYGVDNAALSQALFNDGASCGQCYLIVCDTSRAPQWCKAGTAVTVTATNLCPPNWALPSDGGGWCNPPRPHFDMSQPAWEQIGVYQAGIVPVLYQRVRCWRQGGVRFTVAGLNYFELVLITNVAGSGSVASAWIKGTNTGWIQMSRNWGANWQSLAGLAGQALSFAVTTTGGQYLQFQDVAPAWWQFGQTFSTYQQFDY >ORUFI03G22880.1 pep chromosome:OR_W1943:3:17365102:17368420:1 gene:ORUFI03G22880 transcript:ORUFI03G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEVARTRRPAWYSNPFAGRRTEELRPERWRRRWCSMLTGEGRTGRLCVEVLRWLRSPIRGGAVREGARRAAPPRFPTVPPPLSASRVAASPRQLCRLPAPAPNASPLAQRCYRRPRHRTGRPRGVRGGEDPSGRGSRRRGGAGGWGSRGDDREEEEGRGCGGHGRRGWRRLAAYPTSSTVLTPLPPAAPPPLPANASPWPYDAAITSPSDREAAEEAGKEEARVGRVAGETAERSRKATCRRGGAEAEVVHGARATVSSSFSLASATVSSASPLVADRRAFWIVRVGNQVEME >ORUFI03G22890.1 pep chromosome:OR_W1943:3:17377339:17378462:1 gene:ORUFI03G22890 transcript:ORUFI03G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGFDNFLMVQELQMQQIANLTHGVSRYPRKKEISVDPADSIATRVRGMDGRPIIANKKNKKDYDFKATFSEQLSVVDIQYLDRKNTIVHIDDVVLTGANLECLTKPYCYDDDKKSISPEIIDAFVEHYGHTKHVKNGNAHIERASVVCKE >ORUFI03G22900.1 pep chromosome:OR_W1943:3:17378487:17378889:1 gene:ORUFI03G22900 transcript:ORUFI03G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDIHFPPTWTDLNVTNWGISIRYLARKKDRYAQHLEFREDIDAYSKKLAAILYNSPSPSNKIRNHAQAVSKEI >ORUFI03G22910.1 pep chromosome:OR_W1943:3:17380332:17383503:1 gene:ORUFI03G22910 transcript:ORUFI03G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLLGDATALRGDLTVHGPQLRLCNWAPRPRWRPPGAGAADSCCLLFRARARRRGHGHVARFAASASGAGGEEAGEPSEDEAQREWEAEMARRLKEAEEMEELERTAEELQSQAAAEAPDESEEEKRERVRRELQKVAKEQAERRATAKQMFDLGQRAYGRGMYGRSIEFLEAALTIIRPSSLLGGEIQIWLAMAYEANRRHKDCIALYKELESTHPMISIRRQAAELRYISEAPKLKISNDEVVTIPQIGSSWDWYAGTWSDKIKEQEDKKRKMVAASSQVEPSPNIFGDLSFLRPPTEWTRSAWVIVTLWIVLIGTAIYLQR >ORUFI03G22920.1 pep chromosome:OR_W1943:3:17389791:17390162:1 gene:ORUFI03G22920 transcript:ORUFI03G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIPLLLALLLAVSAAAAAQVGGNRGHGPLVGGWSPITDVGDPHIQELGGWAVERHASLSSDGLRFRRVTSGEQQVVSGMNYRLVVSASDPAGATASYVAVVYEQSWTNTRQLTSFKPAAAH >ORUFI03G22930.1 pep chromosome:OR_W1943:3:17406998:17407328:-1 gene:ORUFI03G22930 transcript:ORUFI03G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKAWERAAFDLYYTKSWLWVEKPNQTHPSHRGLKSPTKKTAFVLLLSLLFLVHIAISHALFSPIDSDDSDDSGTLA >ORUFI03G22940.1 pep chromosome:OR_W1943:3:17407357:17407957:-1 gene:ORUFI03G22940 transcript:ORUFI03G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMAMAIAIGLGRGRRGGGSARRAKAVVRRGGGRVAGTSGGGREAAWRGGRILGRSGGEEDRLLLHGRRTKGQRHRSGKGRSGAGVGLAGVSWGWAGTASGGAGGERRATVESASGGGGVDLDGGRRGHRYLALHLLLLPFVLLFLLPHLLVDGFGPARDERGGGGSLRRSGGGGVSARRSGGDGEVRRRSDADGRK >ORUFI03G22950.1 pep chromosome:OR_W1943:3:17416561:17416884:1 gene:ORUFI03G22950 transcript:ORUFI03G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVRWLGGVGKWVCEHQGSVAVGLEAAGNGGTTCVHRVVEAAQEKRTGGKGSRSHQRAPVAILQGKGKEGGLLVSLGRRARRRRFPRLEKVVSDGDVFEELKSAA >ORUFI03G22960.1 pep chromosome:OR_W1943:3:17422813:17423926:1 gene:ORUFI03G22960 transcript:ORUFI03G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTWCGADEDGVGIEPGRGRGRDDGHAVDQLGEKSGARGGTASPGWGRRAAARQEQAREKRGGEAARHHRHGGEEPQRGGSRLGRRAAAKRHGITGMGRRATVRREQVGEKSGGGRERVGGARCDWRLIGEKSHGGARSLAKTGGSRGRPEECGAAGTRQGGVAMMAPAGHHCPSSLWPPVALHRSGPPHASSSLSPRVPDANVSPSTASPPPPLALAALPCTPPTGHCLLLALFRRAILVSSVLVSSSSAATTLAATGPPPLPSLPRQRAVEEAAAGDSAQRKEAKKKAATAAATRMRTSSSPLPHRRSSPPVGRPAACPDEHSERKMMKGEEGK >ORUFI03G22970.1 pep chromosome:OR_W1943:3:17425180:17438134:1 gene:ORUFI03G22970 transcript:ORUFI03G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLTSLSASSCENKKERKKNSAPHVTHPTPLPNTPRVPRPQRTTTTTSASLSLSLPDPNLGERDVLIHPSHPLELIDRPDAAAGAMGSLTRAEEEETAAAEEWSGEAVVYVNGVRRVLPDGLAHLTLLQYLRDIGLPGTKLGCGEGGCGACTVMVSCYDQTTKKTQHFAINACLAPLYSVEGMHIITVEGIGNRQRGLHPIQERLAMAHGSQCGFCTPGFVMSMYALLRSSEQPPTEEQIEDSLAGNLCRCTGYRPIIDAFRVFSKRDDLLYNNSSLKNADGRPICPSTGKPCSCGDQKDINGSESSLLTPTKSYSPCSYNEIDGNAYSEKELIFPPELQLRKVTSLKLNGFNGIRWYRPLKLKQVLHLKACYPNAKLIIGNSEVGVETKFKNAQYKVLISVTHVPELHTLKVKEDGIHIGSSVRLAQLQNFLRKVILERDSHEISSCEAILRQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMATGATFEIIDVNNNIRTIPAKDFFLGYRKVDLKPDEILLSVILPWTRPFEFVKEFKQAHRREDDIALVNAGMRVYIRKVEGDWIISDVSIIYGGVAAVSHRASKTETFLTGKKWDYGLLDKTFDLLKEDVVLAENAPGGMVEFRSSLTLSFFFKFFLHVTHEMNIKGFWKDGLHATNLSAIQSFTRPVGVGTQCYELVRQGTAVGQPVVHTSAMLQVTGEAEYTDDTPTPPNTLHAALVLSTKAHARILSIDASLAKSSPGFAGLFLSKDVPGANHTGPVIHDEEVFASDVVTCVGQIVGLVVADTRDNAKAAANKVNIEYSELPAILSIEEAVKAGSFHPNSKRCLVKGNVEQCFLSGACDRIIEGKVQVGGQEHFYMEPQSTLVWPVDSGNEIHMISSTQAPQKHQKYVANVLGLPQSRVVCKTKRIGGGFGGKETRSAIFAAAASVAAYCLRQPVKLVLDRDIDMMTTGQRHSFLGKYKVGFTDDGKILALDLDVYNNGGHSHDLSLPVLERAMFHSDNVYDIPNVRVNGQVCFTNFPSNTAFRGFGGPQAMLIAENWIQHMATELKRSPEEIKELNFQSEGSVLHYGQLLQNCTIHSVWDELKVSCNFMEARKAVIDFNNNNRWRKRGIAMVPTKFGISFTTKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQVAASSFNIPLSSVFISETSTDKVPNATPTAASASSDLYGAAVLDACQQIMARMEPVASRGNHKSFAELVLACYLERIDLSAHGFYITPDVGFDWVSGKGTPFYYFTYGAAFAEVEIDTLTGDFHTRTVDIVMDLGCSINPAIDIGQIEGGFIQGLGWAALEELKWGDDNHKWIRPGHLFTCGPGSYKIPSVNDIPLNFKVSLLKGVSNPKVIHSSKAVGEPPFFLGSAVLFAIKDAISAARAEEGHFDWFPLDSPATPERIRMACVDSITKKFASVYYRPKLSV >ORUFI03G22980.1 pep chromosome:OR_W1943:3:17455129:17460289:1 gene:ORUFI03G22980 transcript:ORUFI03G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHRRLVLCFLILAAAAAATTAASISTPAELAVASHPLSPLRLPPAAPFAGGGEGGGGGGGPFCTRVHIRGRPSRLRDPSRFFHALRVRANATRPSGLELCFHRNATVGPCKCAASQCHKMAKSGLWVQAISPYDTRVLDFRMPSDPSRSIIVSTEEEFLLHRVVFLLLGMVLMAVAHTLSESVVFYYGGAMTIGIFLVILIILFQGMKLLPTGRKSSLAIFVYSSLLGVFLLVSVILAGAWFGYWGVRKLVLTEEGSVDAGVAYFVEWAILIISAVMILQSSLDYLFAFSALLFCTAIKAVSRIEGKSRVLRCLSRAFSNIVPTGYEGFGEEYSSMNGSHQDGFSKLHGSRKTLSQDLATDSYYSTFHTNPERKKFSEEEYAAFTREETHKAMKQLVSSPDFNRWALANVDRISVTPPQRTPQNSMSQQRKRLFGLF >ORUFI03G22990.1 pep chromosome:OR_W1943:3:17465854:17480819:1 gene:ORUFI03G22990 transcript:ORUFI03G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLNDATEQDHVMLRRQNDEAIMMKPSKESKKRGAGKKQEEEENNTISIEDEMCDANNKKGKKMLTGENALMCHQCQRNDKGRVIWCKSCNNKRFCEPCMKRWYPGLSEVDFAAKCPYCRKNCNCKACLRMIGVEKEQMKEKELEGRLQGVSMDEVNDRCKTSIVDFHRSCKACSYDLCLACCWELRKGEIPGGEEAKSVQWEERGQKYVFGNISKDEKKRVSSKRHMETPSTETCNDMAVAGDPNNPLLLWKANSDGSIPCPPKEIGGCGASSLVLRCLLPEIMLSELEHRANKVIKREAFDKAINETSDQCPCFYHTSKIRTNATREAANRKGSSDNYLYCPDANDIQEDDLSHFQMHWSKGEPVIVSDALRLTSGLSWEPLVMWRALREKKTNGDVEDEHFAVKAVDCLDWNEVEINIHMFFMGYMRGRRHPMTFWPEMLKLKDWPPSSMFDQRLPAGVLKPDLGPKTYIAYGCYEELGRGDSVTKLHCDMSDAVNILMHTAEVSYDTEQLDKIAKIKMKMREQDLHELFGVSESGAKGKADDEASKISCNMENKHTSNQSTKGLDINALPPDDSGSDIGDKPSFCQSEVESELTQCSKHNHEVNSSVKMHAGAHCTSDNQGYIDRSGFKRKDSDCSDQQKTGGALWDIFRREDSEKLQDYLRKHASEFRHIHCNPVKNVSHPIHDQTFYLTVEHKRKLKEEHGVEPWTFEQKLGDAVFIPAGCPHQSCIKVALDFVSPENVGECVKLTEEFRRLPSDHRAKEDKLEIKKIALNALKEVVNFLDPLPKGSKNRDEVVEVTKPKRKYGNRRGDLKSGEDQPIDESIEERKPKKRGRSKR >ORUFI03G22990.2 pep chromosome:OR_W1943:3:17465854:17480819:1 gene:ORUFI03G22990 transcript:ORUFI03G22990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLNDATEQDHVMLRRQNDEAIMMKPSKESKKRGAGKKQEEEENNTISIEDEMCDANNKKGKKMLTGENALMCHQCQRNDKGRVIWCKSCNNKRFCEPCMKRWYPGLSEVDFAAKCPYCRKNCNCKACLRMIGVEKPPEKKISEENQRRYAFRIVDLLLPWLKELQQEQMKEKELEGRLQGVSMDEVNDRCKTSIVDFHRSCKACSYDLCLACCWELRKGEIPGGEEAKSVQWEERGQKYVFGNISKDEKKRVSSKRHMETPSTETCNDMAVAGDPNNPLLLWKANSDGSIPCPPKEIGGCGASSLVLRCLLPEIMLSELEHRANKVIKREAFDKAINETSDQCPCFYHTSKIRTNATREAANRKGSSDNYLYCPDANDIQEDDLSHFQMHWSKGEPVIVSDALRLTSGLSWEPLVMWRALREKKTNGDVEDEHFAVKAVDCLDWNEVEINIHMFFMGYMRGRRHPMTFWPEMLKLKDWPPSSMFDQRLPRHGAEFITALPFPEYTDPRYGPLNLAVRLPAGVLKPDLGPKTYIAYGCYEELGRGDSVTKLHCDMSDAVNILMHTAEVSYDTEQLDKIAKIKMKMREQDLHELFGVSESGAKGKADDEASKISCNMENKHTSNQSTKGLDINALPPDDSGSDIGDKPSFCQSEVESELTQCSKHNHEVNSSVKMHAGAHCTSDNQGYIDRSGFKRKDSDCSDQQKTGGALWDIFRREDSEKLQDYLRKHASEFRHIHCNPVKNVSHPIHDQTFYLTVEHKRKLKEEHGVEPWTFEQKLGDAVFIPAGCPHQSCIKVALDFVSPENVGECVKLTEEFRRLPSDHRAKEDKLEIKKIALNALKEVVNFLDPLPKGSKNRDEVVEVTKPKRKYGNRRGDLKSGEDQPIDESIEERKPKKRGRSKR >ORUFI03G22990.3 pep chromosome:OR_W1943:3:17465854:17480819:1 gene:ORUFI03G22990 transcript:ORUFI03G22990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVDGKHPKRGRGRPRGRRGRGRGRGRGGRSLASPAAGPGDQGPRRRRGVVPAAAAAAGGRALRERRPAPGAYRESGADNDDDGGGDDEHDEQNDDGAEKSDNQVVDSLNEPNRSNTGKKRGRPKKVKAEQEDSNQLSNGKHLGENNGNDEAIMMKPSKESKKRGAGKKQEEEENNTISIEDEMCDANNKKGKKMLTGENALMCHQCQRNDKGRVIWCKSCNNKRFCEPCMKRWYPGLSEVDFAAKCPYCRKNCNCKACLRMIGVEKPPEKKISEENQRRYAFRIVDLLLPWLKELQQEQMKEKELEGRLQGVSMDEVNDRCKTSIVDFHRSCKACSYDLCLACCWELRKGEIPGGEEAKSVQWEERGQKYVFGNISKDEKKRVSSKRHMETPSTETCNDMAVAGDPNNPLLLWKANSDGSIPCPPKEIGGCGASSLVLRCLLPEIMLSELEHRANKVIKREAFDKAINETSDQCPCFYHTSKIRTNATREAANRKGSSDNYLYCPDANDIQEDDLSHFQMHWSKGEPVIVSDALRLTSGLSWEPLVMWRALREKKTNGDVEDEHFAVKAVDCLDWNEVEINIHMFFMGYMRGRRHPMTFWPEMLKLKDWPPSSMFDQRLPRHGAEFITALPFPEYTDPRYGPLNLAVRLPAGVLKPDLGPKTYIAYGCYEELGRGDSVTKLHCDMSDAVNILMHTAEVSYDTEQLDKIAKIKMKMREQDLHELFGVSESGAKGKADDEASKISCNMENKHTSNQSTKGLDINALPPDDSGSDIGDKPSFCQSEVESELTQCSKHNHEVNSSVKMHAGAHCTSDNQGYIDRSGFKRKDSDCSDQQKTGGALWDIFRREDSEKLQDYLRKHASEFRHIHCNPVKNVSHPIHDQTFYLTVEHKRKLKEEHGVEPWTFEQKLGDAVFIPAGCPHQSCIKVALDFVSPENVGECVKLTEEFRRLPSDHRAKEDKLEIKKIALNALKEVVNFLDPLPKGSKNRDEVVEVTKPKRKYGNRRGDLKSGEDQPIDESIEERKPKKRGRSKR >ORUFI03G22990.4 pep chromosome:OR_W1943:3:17465854:17480819:1 gene:ORUFI03G22990 transcript:ORUFI03G22990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVDGKHPKRGRGRPRGRRGRGRGRGRGGRSLASPAAGPGDQGPRRRRGVVPAAAAAAGGRALRERRPAPGAYRESGADNDDDGGGDDEHDEQNDDGAEKSDNQVVDSLNEPNRSNTGKKRGRPKKVKAEQEDSNQLSNGKHLGENNGNDEAIMMKPSKESKKRGAGKKQEEEENNTISIEDEMCDANNKKGKKMLTGENALMCHQCQRNDKGRVIWCKSCNNKRFCEPCMKRWYPGLSEVDFAAKCPYCRKNCNCKACLRMIGVEKEQMKEKELEGRLQGVSMDEVNDRCKTSIVDFHRSCKACSYDLCLACCWELRKGEIPGGEEAKSVQWEERGQKYVFGNISKDEKKRVSSKRHMETPSTETCNDMAVAGDPNNPLLLWKANSDGSIPCPPKEIGGCGASSLVLRCLLPEIMLSELEHRANKVIKREAFDKAINETSDQCPCFYHTSKIRTNATREAANRKGSSDNYLYCPDANDIQEDDLSHFQMHWSKGEPVIVSDALRLTSGLSWEPLVMWRALREKKTNGDVEDEHFAVKAVDCLDWNEVEINIHMFFMGYMRGRRHPMTFWPEMLKLKDWPPSSMFDQRLPRHGAEFITALPFPEYTDPRYGPLNLAVRLPAGVLKPDLGPKTYIAYGCYEELGRGDSVTKLHCDMSDAVNILMHTAEVSYDTEQLDKIAKIKMKMREQDLHELFGVSESGAKGKADDEASKISCNMENKHTSNQSTKGLDINALPPDDSGSDIGDKPSFCQSEVESELTQCSKHNHEVNSSVKMHAGAHCTSDNQGYIDRSGFKRKDSDCSDQQKTGGALWDIFRREDSEKLQDYLRKHASEFRHIHCNPVKNVSHPIHDQTFYLTVEHKRKLKEEHGVEPWTFEQKLGDAVFIPAGCPHQSCIKVALDFVSPENVGECVKLTEEFRRLPSDHRAKEDKLEIKKIALNALKEVVNFLDPLPKGSKNRDEVVEVTKPKRKYGNRRGDLKSGEDQPIDESIEERKPKKRGRSKR >ORUFI03G22990.5 pep chromosome:OR_W1943:3:17465854:17470489:1 gene:ORUFI03G22990 transcript:ORUFI03G22990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVDGKHPKRGRGRPRGRRGRGRGRGRGGRSLASPAAGPGDQGPRRRRGVVPAAAAAAGGRALRERRPAPGAYRESGADNDDDGGGDDEHDEQNDDGAEKSDNQVVDSLNEPNRSNTGKKRGRPKKVKAEQEDSNQLSNGKHLGENNGVLLVLMLRNAIIVISWRLLEHFGFPLLFLLISR >ORUFI03G23000.1 pep chromosome:OR_W1943:3:17491396:17493756:1 gene:ORUFI03G23000 transcript:ORUFI03G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTNLMINLLVLLALASLLSPAPALCYIHAGEAGSVVRTPNGTPPPPADAYRTYIVLVDPPPHGAATDDDGHRRWHESFLPGGRRMDDGADQARIIRSYTEVFEGFAARLTAAELAGVVSKKPGFVRAFPGRRTLRLMTTHTPEFLGLTRGAGFWRDVAGYGKGVVVGLLDAGVHAAHPSFDDRGVPPPPARWRGSCAVAATRRCNNKLVGVKSFVDGGGGGGDDDVGHGTHTASTAAGNFVAGGASDRGLGAGTAAGIAPGAHVAMYKVCNGSGCDDDAVLAGFDEAMKDGVDVLSVSLGRWSSPPFDEDPIAIAAFSAVARGITVVCAAGNGGPQPATRRSVDRSFSTTVLLGNGELVDGQALAQQPNSSTSYYPLLFSEKQPKCNDLAGIVGDGVAGHLVVCQSDPVEDESVVSAMMATGAGGVVLINTESEGYTTVLEDYGPGMVQVTVAGGHNITEYARSSSSSAGGCKPNATVVVHPAPTVASFSSRGPSKVAPGVLKPDVLAPGLNILAAWPPHLQHGGGGGGGGLFKVISGTSMATPHASGVAALVKSRHPDWSPAAIKSAILTTSDAVDGAGNPILDEHHERATAFLTGAGHINPARAADPGLVYDIAVADYAGYICALLGDAGLGTIVRNESLSCGKLDKNKIPEAQLNYPTITMPLPRSSSSAAPPPFTVNRTVTNVGPARSTYTMKLEIPRSLTMRVSPEKLVFSGVGEKKGFSVTVSGGGGGGEVVEGSLSWVSGKHVVRSPIVAVPQPYLKLGS >ORUFI03G23010.1 pep chromosome:OR_W1943:3:17497762:17498944:1 gene:ORUFI03G23010 transcript:ORUFI03G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGGRLATAAAAASAGDDSGGHGGGVGALLAPWWRRATVQQWSAEVVTGAGGSGDGGRDCGGGGDHRCVSRGFAAGERWVKTQSGLGRTDNDPPEGIVVLSHPSRWRAGTLSGGRSGASLLLGLCVGDIGVWVVIMEMDYISASVIRHTAISLIVIKR >ORUFI03G23020.1 pep chromosome:OR_W1943:3:17518140:17519102:1 gene:ORUFI03G23020 transcript:ORUFI03G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQKIEDLRKREERCCSYRRMANFRVYYGNGEIMSNEMGVDLSNFSQCTLYHPNPDNLRMPEVWYWLTCSFSLDPQVYSVNSVMELFGHRHPMATERSNPKSIMKGLVARLQTSRIQDGHVGASLSKEGSTKQFIRSVRYAGRGMCSTECISCGSLPA >ORUFI03G23040.1 pep chromosome:OR_W1943:3:17520978:17521441:-1 gene:ORUFI03G23040 transcript:ORUFI03G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPIADSTLFGNTTDDRPTYGMHWCWGPPQWVNVQVRSAYEYFTEVFDLLKEDNVQWCPYTNEETQRRAPAGLNTLCLRDSSYWLTKKMLLYDIAVEAYSPQRVMRQFGLYQEVPVPLGETVPPKIHL >ORUFI03G23050.1 pep chromosome:OR_W1943:3:17524651:17527891:1 gene:ORUFI03G23050 transcript:ORUFI03G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPPVGAPPPQGYPGKDAYPPPGYPPAGYPPPAQGYPPQGYPPQQGYPPQQGYPPPYAQPPPPQQQQHHSSGPSFMEGCLAALCCCCLLEACF >ORUFI03G23060.1 pep chromosome:OR_W1943:3:17526666:17533081:-1 gene:ORUFI03G23060 transcript:ORUFI03G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLAAARFAGEASPAPAAAGAGTGTWRARAPGASSCGARGGGGGGGGGGAEDQEEEGTRFVGWFREAWPYVRGHRGSTFVVVVSGEVVAGPHLDGILQDISLLHGLGIKFVLVPGTHVQIDKLLSERGKKAKYAGRYRITDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVNGRWHEICDNVASGNFLGAKRRGVVGGIDYGFTGEVKKIDVSRIKERLDRDSIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRVNRFMSIEEADMLIRTRAKQSEIAANYVEVVGEEDISYARNLPIKKEKELGLIGRDFVDGYTASFRNGVGFNNGNGLSGEQGFAIGGEERLSRSNGYLSELAAAAYVCNGGVQRVHIIDGTVGGSLLLELFTRDGVGTMIARDMYEGTRMAREEDLSGIRKIIRPLEESGVLVRRTDKELLEALKSFIVVERDGSIIACAALFPFLEDKSGEVAAIAVSEECRGQGQGDKLLDYVEKKALSLGLEKIFLLTTRTADWFVRRGFKECSIESLPAQRRKRIDLSRGSKYYIKRLRAAEIGQMAVNNFAVR >ORUFI03G23070.1 pep chromosome:OR_W1943:3:17545110:17562816:1 gene:ORUFI03G23070 transcript:ORUFI03G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLDAGPASCGNGGLRGLGAAAAAALSSAAAEPRRFLRGKQQPPLPMPLPRAQPPPLPSRCRYHAPEHVRRSFRTEQPLRHHHRSVSPRVGTAIAAPFLRRQQPSPLLLPFSACSISCAIAAAPSLHKFPPRLSCDRARLGGGASGRRRLLRVRWLAALYRRRSGGGRRAWRAERRWRRSEAASPPFADATPRPRPPDDGCRRSNKWLKRQVAWIIPHYSVGLKIYNVKEMKSSMLWKYLSVGLKGSNMNEMNLGKILSNYACNKLVLDMTAALEQDIENLQKKLGGCLRENQNLQEELAEAYRIKSQLAELHGAELSKNKELEKQVRFFQSSVAQAFAERDNSLMKCEKAKELEEAILQKFAEFEERTREYQSSFDDQKRSNDALQMELMRLKEQTQSSLKVIQKFYDVRCRDSECSVNITLEEKCSVLLDDSADNWSFSSDGGTSTSKYIASLEEEKDSLRTKIAKLQNNLRMGLEIEQHLQRNARVLEKRQALYDEFMRNGLSTLQKLHIQQRDEIMKILEEESSQLSTVVNEIQDKLSKIRINPEINENPVGEMQCCDSSCKDVHVTTDVSPGISPKGDIPSDCATFGESDVLAQALHEKMEALMLFSQEQERYLLEKQRNQIVIEELQKNLSQVKEEKVKILVELAKLKEEYLMLKGNSTPKDGHGAVDNMKIIPAHDRQGMFKTIMNRTSLRHWIKKENTNIGHECSDENVHTVRRHHSEDLARVKAENAALLESVATMEHLTSSVHRLHIVLMKAYEDVKSAHSLESTYEALNSLITEANLMKTALGVALPVSWLGDSSDAITSDALYDPSESPKSSKSEKQDPLSSAGMEMVRRMYCTHIDGKLRIWKRKE >ORUFI03G23070.2 pep chromosome:OR_W1943:3:17546136:17562816:1 gene:ORUFI03G23070 transcript:ORUFI03G23070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEASGVDNSSLLCRVEDLQRERDELRKDIEQLCMQQAGPGYVSVATRMLSQRTAALEQDIENLQKKLGGCLRENQNLQEELAEAYRIKSQLAELHGAELSKNKELEKQVRFFQSSVAQAFAERDNSLMKCEKAKELEEAILQKFAEFEERTREYQSSFDDQKRSNDALQMELMRLKEQTQSSLKVIQKFYDVRCRDSECSVNITLEEKCSVLLDDSADNWSFSSDGGTSTSKYIASLEEEKDSLRTKIAKLQNNLRMGLEIEQHLQRNARVLEKRQALYDEFMRNGLSTLQKLHIQQRDEIMKILEEESSQLSTVVNEIQDKLSKIRINPEINENPVGEMQCCDSSCKDVHVTTDVSPGISPKGDIPSDCATFGESDVLAQALHEKMEALMLFSQEQERYLLEKQRNQIVIEELQKNLSQVKEEKVKILVELAKLKEEYLMLKGNSTPKDGHGAVDNMKIIPAHDRQGMFKTIMNRTSLRHWIKKENTNIGHECSDENVHTVRRHHSEDLARVKAENAALLESVATMEHLTSSVHRLHIVLMKAYEDVKSAHSLESTYEALNSLITEANLMKTALGVALPVSWLGDSSDAITSDALYDPSESPKSSKSEKQDPLSSAGMEMVRRMYCTHIDGKLRIWKRKE >ORUFI03G23070.3 pep chromosome:OR_W1943:3:17546136:17562816:1 gene:ORUFI03G23070 transcript:ORUFI03G23070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEASGVDNSSLLCRVEDLQRERDELRKDIEQLCMQQAGPGYVSVATRMLSQRTAALEQDIENLQKKLGGCLRENQNLQEELAEAYRIKSQLAELHGAELSKNKELEKQVRFFQSSVAQAFAERDNSLMKCEKAKELEEAILQKFAEFEERTREYQSSFDDQKRSNDALQMELMRLKEQTQSSLKKNVQFFWTILLIIGVSAQMEEPQLRKEEKDSLRTKIAKLQNNLRMGLEIEQHLQRNARVLEKRQALYDEFMRNGLSTLQKLHIQQRDEIMKILEEESSQLSTVVNEIQDKLSKIRINPEINENPVGEMQCCDSSCKDVHVTTDVSPGISPKGDIPSDCATFGESDVLAQALHEKMEALMLFSQEQERYLLEKQRNQIVIEELQKNLSQVKEEKVKILVELAKLKEEYLMLKGNSTPKDGHGAVDNMKIIPAHDRQGMFKTIMNRTSLRHWIKKENTNIGHECSDENVHTVRRHHSEDLARVKAENAALLESVATMEHLTSSVHRLHIVLMKAYEDVKSAHSLESTYEALNSLITEANLMKTALGVALPVSWLGDSSDAITSDALYDPSESPKSSKSEKQDPLSSAGMEMVRRMYCTHIDGKLRIWKRKE >ORUFI03G23070.4 pep chromosome:OR_W1943:3:17546218:17562816:1 gene:ORUFI03G23070 transcript:ORUFI03G23070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEASGVDNSSLLCRVEDLQRERDELRKDIEQLCMQQAGPGYVSVATRMLSQRTAALEQDIENLQKKLGGCLRENQNLQEELAEAYRIKSQLAELHGAELSKNKELEKQVRFFQSSVAQAFAERDNSLMKCEKAKELEEAILQKFAEFEERTREYQSSFDDQKRSNDALQMELMRLKEQTQSSLKVIQKFYDVRCRDSECSVNITLEEKCSVLLDDSADNWSFSSDGGTSTSKYIASLEEEKDSLRTKIAKLQNNLRMGLEIEQHLQRNARVLEKRQALYDEFMRNGLSTLQKLHIQQRDEIMKILEEESSQLSTVVNEIQDKLSKIRINPEINENPVGEMQCCDSSCKDVHVTTDVSPGISPKGDIPSDCATFGESDVLAQALHEKMEALMLFSQEQERYLLEKQRNQIVIEELQKNLSQVKEEKVKILVELAKLKEEYLMLKGNSTPKDGHGAVDNMKIIPAHDRQGMFKTIMNRTSLRHWIKKENTNIGHECSDENVHTVRRHHSEDLARVKAENAALLESVATMEHLTSSVHRLHIVLMKAYEDVKSAHSLESTYEALNSLITEANLMKTALGVALPVSWLGDSSDAITSDALYDPSESPKSSKSEKQDPLSSAGMEMVRRMYCTHIDGKLRIWKRKE >ORUFI03G23080.1 pep chromosome:OR_W1943:3:17567290:17568476:1 gene:ORUFI03G23080 transcript:ORUFI03G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGTASFKHVVDDDDPAARGTGGGSPRQPRRKHGGGGGGKINPYAERGLDKFSTVLSELEARRDKILRRVGSGGGLVMVRFVQSNGALEPIIVKLPDEQRRPKDDAAAKKPRPSSPSTAAAQQQGASAARATRAPPPAPAASRASSFSWGRMRRPACYWPAVMVLMLVCLAVFGRVFAICCTSIWWYLAPTLLSNGGAGGEDAARRPLGSPRKSPPPASGKKLAGRRGTREVGSSPRGHTKKGT >ORUFI03G23090.1 pep chromosome:OR_W1943:3:17572748:17579315:-1 gene:ORUFI03G23090 transcript:ORUFI03G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAHRDGAAEAVGQRVFHFGKGRSDGNKTMKDLLGGKGANLAEMASIGLSVPPGFTVSTEACQQYQAQKAMPAGLWDEILAALTWVEGNMGAVLGDPRRPLLLSVRSGAAVSMPGMMDTVLNLGLNDHVVAGLAHRSGERFAYDSYRRFLDMFGNVVMDIPHSLFEEKIEAMKAALGLRNDTELTARDLKELVAQYKNVYVEAKGEEFPSDPKKQLHLSVLAVFNSWDSARAKKYRSINQITGLKGTAVNVQCMVFGNMGDTSGTGVLFTRNPSTGERKLYGEFLVNAQGEDVVAGIRTPQDLDTMKDCMPEPYAELVENCKILESHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLIDRRSAIKMVEPRHLDQLLHPQFESPSSYGDKVIATGLPASPGAAVGQIVFTADDAEAWHAQGKSVILVRTETSPEDVGGMNAAAGILTARGGMTSHAAVVARGWGKCCVAGCSGIRVNDAEKVVLVADKVLCEGEWLSLNGSTGEVILGKLPLSPPALSGDLGEFMSWVDEVKKLKVKANADTPADALTARNNGAEGIGLCRTEHMFFSSDERIKAMRQMIMAETIEHRQIALDRLLPYQRLDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNVEDMVRLLSSGNVYTQEEILTRIEKLSEVNPMLGFRGCRLGISYPELTAMQARAIFEAAISMTEQGVKVFPEIMVPLIGTPQELAQQVDVIREVAEKVFANAETTISYKIGSMIEVPRAALIADEIAALAEFFSFGTNDLTQMTFGYSRDDVGKFLPTYLSKGILQNDPFEVFDQKGVGELVKVAVERGRKARPDLEVGICGEHGGEPSSVAFFAKVGLNYVSCSPFRVPIARLAAAQVML >ORUFI03G23100.1 pep chromosome:OR_W1943:3:17585304:17591054:-1 gene:ORUFI03G23100 transcript:ORUFI03G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIALTVCTATTGAVAAVQALSNVPVESELAAAACHQVAHWPEW >ORUFI03G23100.2 pep chromosome:OR_W1943:3:17586567:17590610:-1 gene:ORUFI03G23100 transcript:ORUFI03G23100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSTSAPRSPSLATPAPAVVAAVTVDVFAPWWRRRALTPPATDDATGNTAAVAGNVAAAGTARFLETLIERADCRCGTSTLCSTTTLQSTDQECPFIEKLCSKSNSHLNAINYQLKYWKFTNSPVDVSWRRRPQSVATTLSPPAPMRHGQSSDIEIFSPGGRKCGDGGAKWCCDRKYELLRAYDALPAFLKHNEFIIDYYRSEWPIKQALLSAFVVHNETIFQT >ORUFI03G23100.3 pep chromosome:OR_W1943:3:17586565:17591054:-1 gene:ORUFI03G23100 transcript:ORUFI03G23100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGQSSDIEIFSPGGRKCGDGGAKWCCDRKYELLRAYDALPAFLKHNEFIIDYYRSEWPIKQALLSAFVVHNETIFQT >ORUFI03G23100.4 pep chromosome:OR_W1943:3:17585306:17590610:-1 gene:ORUFI03G23100 transcript:ORUFI03G23100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSTSAPRSPSLATPAPAVVAAVTVDVFAPWWRRRALTPPATDDATGNTAAVAGNVAAAGTARFLETLIERADCRCGTSTLCSTTTLQSTDQECPFIEKLCSKSNSHLNAINYQAIGFFMFIALTVCTATTGAVAAVQALSNVPVESELAAAACHQVAHWPEW >ORUFI03G23100.5 pep chromosome:OR_W1943:3:17586567:17590610:-1 gene:ORUFI03G23100 transcript:ORUFI03G23100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSTSAPRSPSLATPAPAVVAAVTVDVFAPWWRRRALTPPATDDATGNTAAVAGNVAAAGTARFLETLIERADCRCGTSTLCSTTTLQSTDQECPFIEKLCWRRRPQSVATTLSPPAPMRHGQSSDIEIFSPGGRKCGDGGAKWCCDRKYELLRAYDALPAFLKHNEFIIDYYRSEWPIKQALLSAFVVHNETIFQT >ORUFI03G23110.1 pep chromosome:OR_W1943:3:17615975:17618201:-1 gene:ORUFI03G23110 transcript:ORUFI03G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFSSCPRSPTPLNISSCRPLSISSCRLPSVPVWSRAPMGAPGEGSAASGRMGREGSSYGLRVAAAAARRRLRSPPLRVPARPSSPLVAALTRRCLPPAPLSNAPPACLSAVGTRSHAHPPLPVLPASQPPTPLSTAATPAALPPRRLLQLSPPRQENGKEKRMMLTTGPLLFPSHLLQLGKQQRSDDGGSTRSVGNCDGGSSCGGSDGGCSVLRWRQWLEVAPRGDGRKAARRGLETTVTSRPRGDDGGLTRLGCEQATGRSCLRIQAAAPHEEATTVAPLEEEATVARHEKEVTVGARLRQRLRVRRRQRQRLVGIRQTRRRTGKTADRRLPAATGLMMPTGLMMPTADQLGDKK >ORUFI03G23120.1 pep chromosome:OR_W1943:3:17622547:17632874:1 gene:ORUFI03G23120 transcript:ORUFI03G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVTSQLFQGVTATNRFCQTNKFRNPDIRSSLTSTSLSSVPNGHNCWGHNILERNYRPMLYVPSRYRALGVRSFALPVSLQEIPLVKSTSVALTRSCDTLLANPATALVVPAIGIIVFALWGFLPLMRDIRNRFDHGGNWKKSPTYLISTSYLQPLLLWTGATLICRALDPVVLPSAASQAVKTRLVTFVRSLSTVLAIAYILTSLIQQLQKFLMDMRNPNDSRRMGFDFAVKAVYTGIWIAAISLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVNEWINTKIDGVEVSGIVEHVGWWSPTIIRGDDREAIYIPNHKFTVSILRNNTQRTHWRIKTYLALSHMDAAKIGIIVADMRKVLAKNPHIEQQRLHRRVFFEKIDPKTQALMIYISCFVKTSHFEEYLNVQEAVMLDLLRIVGHHRARLATQIRTVQKSYGNADIDNIPFGEEMYSRVRGRPLLIDTSARISDDKSKPRPASREDHKVKTVTSAEAKSASADNASISNSEKQEQKKSVPEDGRMKNSKNDHATTTSPSSPWSENMDPIASTSKTGKGKTQGAEATEREGDGAVSVANSKKESRPVFEDNIVLGLALEGSKRTLPIDDGMNPHLSLSETEQDTVEAASSPKDKKGQEKGDQRNLDR >ORUFI03G23130.1 pep chromosome:OR_W1943:3:17658099:17659910:-1 gene:ORUFI03G23130 transcript:ORUFI03G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSLHHHHHHQHAASPSPPDQPHKSYPSSRGSTSSPSSHHTHNHTYYHHSHSHYNNNSNTNYYYQGGGGGGGGYYYAEEQQPAAYLEECGNGHQFYMDEDFSSSSSSRQFHSGTGAPSSAPVPPPPSATTSSAGGHGLFEAADFSFPQVDISLDFGGSPAVPSSSGAGAGAGAAPSSSGRWAAQLLMECARAVAGRDSQRVQQLMWMLNELASPYGDVDQKLASYFLQGLFARLTTSGPRTLRTLATASDRNASFDSTRRTALKFQELSPWTPFGHVAANGAILESFLEAAAAGAAAASSSSSSSSTPPTRLHILDLSNTFCTQWPTLLEALATRSSDDTPHLSITTVVPTAAPSAAAQRVMREIGQRLEKFARLMGVPFSFRAVHHAGDLADLDLAALDLREGGATAALAVNCVNALRGVARGRDAFVASLRRLEPRVVTVVEEEADLAAPEADASSEADTDAAFVKVFGEGLRFFSAYMDSLEESFPKTSNERLSLERAVGRAIVDLVSCPASQSAERRETAASWARRMRSAGFSPAAFSEDVADDVRSLLRRYKEGWSMRDAGGATDDAAGAAAAGAFLAWKEQPVVWASAWKP >ORUFI03G23140.1 pep chromosome:OR_W1943:3:17730010:17731162:-1 gene:ORUFI03G23140 transcript:ORUFI03G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPASRSRTQRHRLSAPFMVLLFLALATSSTVANAQLSDSYYDASCPAALLTIRTVVSAAVLLDPRMGASLLRLHFHDCFVQAIKLSLVNSPSPPGCDASVLLDDTGSFTGEKGAGPNAGSLRGFEVVDNAKTLLETVCPQTVSCADILAVAARDAVVQLGGPSWTVLLGRRDSTTASASLANSDLPAPSSTLATLLAAFSNKGLTTTDMVVLSGTVHVRLIIC >ORUFI03G23150.1 pep chromosome:OR_W1943:3:17751256:17761193:1 gene:ORUFI03G23150 transcript:ORUFI03G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPVPTISTLSALLAGCASLSTAAALHAYLLKSSRLFRPVFLANCLAAAYCRLGAAPSAVAVLRHAPEPNIFSRNILLGAMLKSRDLLSARRLFDEMPDRDAVAYNSMMSGYIDGGRNNEALSLVWTMLEAGVRPSGFTFSIILSAVRVARHGMQVHAAAVRHCFAHQNSVVGNALINMYRRVGLLEYAVQVFWSMNGHDIVSWNSVMSVYRDDGQRRQVFECFRMIRSHGLFFDECSLSTVLSACIDAEDSSKGDQLLTHCVKMGLLRNSLICSAVIGLLCASDRLADAVYLFKGMATWDSETCNAMISGYARSGLMEQALGLFTMALQNGILPTGFTFASVLRWSSCFGLVEQGTQIHALIFKLGLEDDLIIATALVDMYCKLASLKHAKKIFSRVSFKDLVLWNTMIIGLSHNGRGKEALQVFRQMLKCNIQPDRITLSGVLSACSFEGLVNEGIKMVSLFEDKYHIVPGVEHYTCVVDMLSRAGMLGEAVDFVESKLQKCIVAALSNVLEASLIKRDFRMAELIAEKMTKLKPRSSLPYVVLAQSYGARYMLLAVLNLYHKKSPITRRHQLVIISCSVIILHEDLAIPTVALQIQDVAKPQVEDDLCRWDRTSSEREMVSR >ORUFI03G23160.1 pep chromosome:OR_W1943:3:17762857:17764675:1 gene:ORUFI03G23160 transcript:ORUFI03G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGASTSPRRSDSSDSSTCSDGDDLLSSSSPKGTGIHRLPPLPCATDGAIAALVEELESPASSLDDLRRAAMELRLLAKHSPDNRLRIVAAGALPPLVALLSRPDPLLQEHGVTALLNLSLREDNRGAVVDAGAVGPLVRALRSAASPAARENAACALLRLAQLDGSAAAAIGRAGAVPVLVSLLESGGARGKKDAATALYALCSGAPEENGPRAVEAGAVRALLELMGEPERGMVEKAAYVLHALVGTAEGRAAAVAEGGVPVLVEMVEGGTPRHKEMATLCLLHVCEDSAAYRTMVAREGAIPPLVALSHSSDARPKLRAKAEVLVGLLRQPRSGSLFYV >ORUFI03G23170.1 pep chromosome:OR_W1943:3:17784590:17785702:-1 gene:ORUFI03G23170 transcript:ORUFI03G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEMEMESVVARHAKESLELAFWMSQILDTSCDRHTLSLLMALCDRQPWRQPRGPRCPHLRAVLGSSSDSHHRHRNHPHVQRHRRAIHKTCGAISLRPSLTLGFMPPFLRRDHHHLTQLERYVEGRCSSKLEEPLPAWNVFRALNHLQARVEKSITAHILKRENEWIE >ORUFI03G23180.1 pep chromosome:OR_W1943:3:17786983:17789370:-1 gene:ORUFI03G23180 transcript:ORUFI03G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHETETGTESAAARQAKESLELAFPMSQILDTGLDRHTLSLLMALCDRGANPEALAALVRELSSAAPPTAATTPASTATAVPSTKAASLFPSGLRQP >ORUFI03G23190.1 pep chromosome:OR_W1943:3:17794331:17798968:1 gene:ORUFI03G23190 transcript:ORUFI03G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRQPEESSGGVGGGMGPPVPAESGAGSSSPPHRRGEPKRQRVPALREVITEVMRKSSIEKLFTAIEPLIRRVVKEEIESAFANHATMMARTVMDVVPSSSKNFQLQFMTKLSLPIFTGSKIEGESSLSITIALVDTVTREVVASGDESLMKVEIVEKAMTGQLKSSTITLLEQGKVNDPCFLETFLLALLKALVQWGNYHSQIIPVGHAAMIVAVQQNILGSGMSTKMWEVTVEHSKTCILPDKVHLYYPDSLSKTAVVFNVVGEVRGLISEKFVCADDLREKEKAEAYAAVKQAYENWKNVFTCDNETLLANPSQLLDVRTTSLHENDYDQFPTQVSTDGFGLSQSSIPSPDIFSIDPSSALDPCPLETAENNENQYQSELPPLGGHAPPQVSQTVDKFSNSLVYEDCTSHPSFSEDYYRCSDPSVSFDTQDLGAALKGFIATISKPKAYRGWRTLSYVIGWIFYTKKIVAMKRNEHGK >ORUFI03G23190.2 pep chromosome:OR_W1943:3:17794331:17798968:1 gene:ORUFI03G23190 transcript:ORUFI03G23190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRQPEESSGGVGGGMGPPVPAESGAGSSSPPHRRGEPKRQRVPALREVITEVMRKSSIEKLFTAIEPLIRRVVKEEIESAFANHATMMARTVMDVVPSSSKNFQLQFMTKLSLPIFTGSKIEGESSLSITIALVDTVTREVVASGDESLMKVEIVVLEGDFEGGEGDDWTAQEFNNNIIRAREGKRPLLSGDIFVGLIKGIGAVGELSFTDNSSWTRSRKFRLGAKTEDGSYNGVRVREAKSESFVVKDHRGELYKKHHPPILDDEVWRLEKIGKEGAFHKRLNREKIVTVKEFLTLLHLDAPRLRKILGSGMSTKMWEVTVEHSKTCILPDKVHLYYPDSLSKTAVVFNVVGEVRGLISEKFVCADDLREKEKAEAYAAVKQAYENWKNVFTCDNETLLANPSQLLDVRTTSLHENDYDQFPTQVSTDGFGLSQSSIPSPDIFSIDPSSALDPCPLETAENNENQYQSELPPLGGHAPPQVSQTVDKFSNSLVYEDCTSHPSFSEDYYRCSDPSVSFDTQDLGAALKGFIATISKPKAYRGWRTLSYVIGWIFYTKKIVAMKRNEHGK >ORUFI03G23200.1 pep chromosome:OR_W1943:3:17798199:17803939:-1 gene:ORUFI03G23200 transcript:ORUFI03G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAVKKRQEENAAATEPSFQSVALVVGSTGIVGTSLLDILPLQDTPGGPWKVYAVSRRPLPPWSPPASPAVTHLHLDLADSAAVAEALTPLTDITHVFYVAWSAHPTEAQNREVNSAMLRNVLSVVVPNCPALVHVCLQTGRKHYIGPFEVIGKIAAPDPPFTEDMLRLDCPNFYYDLEDVLFDEVSRRDGAVSWSVHRPTVVFGFSPRSAMNVVGSLCVYAAICRKEGAVLRWPGSRVAWEGFSDASDADLIAEHEIWAAVEPFAKNEAFNCSNGDLYKWKLLWPMLADQFGVEWSGYEGEESSFKLADAMSGKEAVWAEIVKENDLMDTELEEITNWWFVDAVFGVRSEHLDSMNKSKEHGFLGFRNTAMLNAHAEKMLDVAHKPAERASCAQKDFKTAKLFPMDKDPMHSRDQLRKCQVMVCLTGSNQNHR >ORUFI03G23210.1 pep chromosome:OR_W1943:3:17809466:17810422:-1 gene:ORUFI03G23210 transcript:ORUFI03G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSSCNFELRLLGMRGDDEDDLEEEHVEVFGNTASPRIDGSQPETETEPDDDGYWQRFHNNE >ORUFI03G23220.1 pep chromosome:OR_W1943:3:17811346:17812209:1 gene:ORUFI03G23220 transcript:ORUFI03G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNPFCHTMAILLAVLLVAAAATTEADGALCDKSDKAALLAVKSALGNPPALSVWNSSTPCCSWDGVSCDAITGRVTELTVFALNISAPVPAAIANLTKLQILNLAYNQLYGPIPSFLGPRALPDLTFLRLDGNRLSGAIPPTATVFNLLLEGNLLTGTLPSTFGAAAFGEVGVAGNQLSGDASMLFGAKKKLNALRLSRNRFAFDLGSVELPEGLDILVIDHNMVYGSIPPAAAAAGRKWLAFDVSYNQLCGPIPQGRYTHRFGAKHFAGNKCLCDRPLPPCSS >ORUFI03G23230.1 pep chromosome:OR_W1943:3:17830665:17831267:-1 gene:ORUFI03G23230 transcript:ORUFI03G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNMTHDDYVSLCLMALAQAGVGGQWPAQKQQIDMAPPAPERELLRFRCSVCGKAFPSHQALGGHKASHRKPPTAALPMHVIDAPPPPSAEDTASSSTTTTTSGGGRHRCSVCHRTFATGQALGGHKRCHYWDGLSVVSVTASASGSGSSSVRNFDLNLKPVPETVAAGVRRWGEEEEVQSPLPFKKRRLSSPSLELNL >ORUFI03G23240.1 pep chromosome:OR_W1943:3:17836456:17837120:-1 gene:ORUFI03G23240 transcript:ORUFI03G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALQALLDPTALSLGLPTPAINKEEYLAICLAALACTRAGKALVGVGGQQQVQACNKWLCPAPAAPEELRFRCTVCGKAFASYQALGGHKSSHRKPPSPGDHYGAAAAAQQLASAGDSKEDSASSAAGSTGPHRCTICRRSFATGQALGGHKRCHYWDGTSVSVSVSASASAASSAVRNFDLNLMPLPESTAAAGIKRWAEEEEVQSPLPVKKLRMSN >ORUFI03G23250.1 pep chromosome:OR_W1943:3:17844112:17844507:1 gene:ORUFI03G23250 transcript:ORUFI03G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding EASKEVNNDISRCRCPPNNWTRFSPKSGGGGGNPQDNISREETAPAGVDVADPGRPGKAFASDSLKGCKTSTKPEQDSSDSALSTQKLSHLRGKQTAPNLALQQVHIVTGYLKHR >ORUFI03G23260.1 pep chromosome:OR_W1943:3:17844546:17845278:1 gene:ORUFI03G23260 transcript:ORUFI03G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQTPQPSKGSMAGSGSRWLEKGRSIKAARRQGRPGEELRTEDRAKDIGVDHVSGEELRASRDNPDNCDNHLQLHHQRRDDRCHLAPQQPLPPRPDALLTSGAGCSRNPRDRAASASCRSTSPPPVPDLATAVPDLPLPSLEASPPSLPDAGKCHFPTRCGKACRCIPG >ORUFI03G23270.1 pep chromosome:OR_W1943:3:17852225:17857031:-1 gene:ORUFI03G23270 transcript:ORUFI03G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEEAHNLRVVGEGKRGVIVLAHGFGTDQSVWKHLVPHLVADYRVVLFDTMGAGPTNPDYFDFSRYATLEGYALDLLAILQELRVASCIYVGHSVSAVIGAIASISRPDLFSKLVLLSASPRYLNDVDYYGGFEQEDLDELFEAMGSNYKAWCSGFAPLCVGGDMESVAVQEFSRTLFNIRPDIALSVAQTIFQSDVRSLLPLVTVPCHIVQSTKDLAVPVVVSEYLHKHLGGDSIVEVMPSEGHLPQLSSPDIVIPVLLRHIQHDIAV >ORUFI03G23280.1 pep chromosome:OR_W1943:3:17878521:17880598:-1 gene:ORUFI03G23280 transcript:ORUFI03G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLLLGVATGDGRQLPPPVHVPRVHAVALWPCHVAGFTASALGGGTAGFDSDALTCEGREIPGESLVLFRTDSGDALKRHNPNEGTAVVSPPSLVDSSGENHALVRKADDSGVIGVVTFLKAPL >ORUFI03G23290.1 pep chromosome:OR_W1943:3:17887802:17888621:-1 gene:ORUFI03G23290 transcript:ORUFI03G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSRVSVRAAAPGQTGGFAKIRPQVVVAAAARSAGVSGRRARSVRASLFSPKPATPKDARPAKVQEMFVYEINERDRESPAYLHLSAKQTENALGDLVPFTNKLYSGSLDKRLGISAGICILIQHVPERNGDRYEAIYSFYFGDYGHISVQGPYLTYEESYLAVTGGSGVFEGAYGQVKLNQIVFPFKIFYTFYLKGIPDLPRELLCTPVPPSPTVEPTPAAKATEPHACLNNFTN >ORUFI03G23300.1 pep chromosome:OR_W1943:3:17889635:17890072:-1 gene:ORUFI03G23300 transcript:ORUFI03G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAVAASTEALAVAAAATARAPQHHHIPSQPRWVVILYSPLHGMFVKHFAPPAPVTPPPHPQPQQQQATGGRGWIRRREQGREPNEGYDDLGADDEEQQQAAAAGGGPSGEVRSLLPSLLPRSNGEIRRGSSI >ORUFI03G23310.1 pep chromosome:OR_W1943:3:17931223:17932185:1 gene:ORUFI03G23310 transcript:ORUFI03G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDTAPQCRPGAGAATDSSTSVSVAPEEFEFFVLPSGGLALAGADEDGMCVADEVFSDGKLLPLRLSSANPVEAAALRLLRSDSLDGATTASSASGFSSRSDSRSASSSSSSSSCVSRSTSQKSASSDTAGRSNQPSKAASSDALLPPRRRPLSGSLFYAHPSPSPRPSQRLSGGGGGSAGRRSTGSAPPASWGLLRLGVVGAPDVYPPRPAPAAARGGSRSARFEQPRAAAKDAVAWEKNLPLGFLGAGLVCNCSPDAVEPVGSAEAAAAAAARRRRRKVAEKNTGEVKSGQSNTIRRSRILEWLEELSISKEKTAT >ORUFI03G23320.1 pep chromosome:OR_W1943:3:17936508:17937382:1 gene:ORUFI03G23320 transcript:ORUFI03G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFLWIASEYGFGYDLLLGPVALGDAPPRGRVDAIPRNQISSQEYCKPFNGLVERTVGRDPVRVNMCRFILSSAG >ORUFI03G23330.1 pep chromosome:OR_W1943:3:17942578:17950405:-1 gene:ORUFI03G23330 transcript:ORUFI03G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSIRRNKEVPDQNWGPEGPAQVRPNQGGAHISLLSFFFVTHARSGGTARGRRDGPSRPARRCPAEKVEAASPCLSQGHLVEGGSVTSDYSSVASADFEGFTDLGTSLLARPAVVFDDITAAAASVAVAEAAKLRAVGPIARSVFAMDCVPLWGLESICGRRPEIEDDYVVVLCGWLPATRQSMASIGTQANSNSSAEANNNPTATRAQKRPSPERPRTPSHHGSGRHAVPPLHRVRKEEG >ORUFI03G23340.1 pep chromosome:OR_W1943:3:17961606:17962049:1 gene:ORUFI03G23340 transcript:ORUFI03G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINSFCNHMIFKLILGAIEKLKKKKNSSFATILSFSCCFATGDCSAHRLHLPVVACKRNRKATYSLQTIGSGGAQGRRSPVEAVVPSSWGGGGGGAQWRRRRSGVGTVGRRVSRGGGSARRLGRCSGAASLRTLAAAAICSGAWC >ORUFI03G23350.1 pep chromosome:OR_W1943:3:17962611:17964730:1 gene:ORUFI03G23350 transcript:ORUFI03G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDREPAGSSRHGSNMDPSINIPVNRDAITEEVAAAFAESEVIPERYCRPDEVHDGIVVGHDDDEAYELPVVDMEKLLDPELAEAEIAKLGSACQDWGFFQLVNHGVDEQVVNEMKDSTVKFFSLPLESKRTVEIQDNGFEGFGHHYRRASGKLDWAESVILLTQPIQERNPEMWPTNPSSFRDALDKYSAEMTKLAMRIASIMATDLGVDQEALVGAFRDKQQSMAIHYYPPCRHPDKVIGITPHSDGLGLTLLLQLDDTPGLQIRKDGRWLPVRPRPGTFIINVADILEVLTNGAYKSVEHRVLADAEKGRTTIVTFHEAYVDGMVKPIPEVLKLNGAEARYKSIERLEYIKGNFVALSEGTRFLESLKI >ORUFI03G23360.1 pep chromosome:OR_W1943:3:17981658:17981921:1 gene:ORUFI03G23360 transcript:ORUFI03G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHHYPPCRLPDKVIGITSHTDGLSLTVLLQVDDTPGLQIKKDGRWFPVRPRQGTFIINVANILEVLTNGAYRSVEHRVLIHARKS >ORUFI03G23370.1 pep chromosome:OR_W1943:3:17987409:17989232:-1 gene:ORUFI03G23370 transcript:ORUFI03G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLKAVAVHPAALLGRPRGHDAPQLVGRTGASTTTARRRAARSAVTVTMALKEEPEGSRSGFAGGVPSWDPGLEIQVPFEQRPVNEYSALKDSVLYSWAELSPGSFFLRLGSLWLITFTVLAAPIAAASFIIFRYDPLKFVLAAGIGTLLLVSLVVLRIYLGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVINLLKQTLVGTGALLVGAVSLFAFAAPVEDFLHSVNAPPSAASSKPSLRREELLRLPVEVRQDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWDDLLN >ORUFI03G23380.1 pep chromosome:OR_W1943:3:17994736:17996765:1 gene:ORUFI03G23380 transcript:ORUFI03G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSRMARERNMEKAKGAKGSQLETNKKAMNIQCKVCMQTFMCTTSEVKCREHAEAKHPKTDVYQCFPHLKK >ORUFI03G23390.1 pep chromosome:OR_W1943:3:17998289:17999352:1 gene:ORUFI03G23390 transcript:ORUFI03G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTLDAISSSQANFVVSARQEDLCSHYNSCMMKSSWHCRSSLSICSFSAAQSH >ORUFI03G23400.1 pep chromosome:OR_W1943:3:18011650:18013455:1 gene:ORUFI03G23400 transcript:ORUFI03G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRVSLLAAGAVAVAVVLLLAAPARASNDEGDALYALRTRLSDPNGVLQSWDPTLVNPCTWFHVTCDHASRVVRLDLGNSNISGSIGPELGRLVNLQYLELYRNNLNGEIPKELGNLKNLISLDLYANKLTGTIPKSLSKLGSLRFMRLNNNKLAGSIPRELAKLSNLKVM >ORUFI03G23410.1 pep chromosome:OR_W1943:3:18014805:18023956:-1 gene:ORUFI03G23410 transcript:ORUFI03G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLIKLLDDDVDETMHSGADVEAFTAALNREVEGSGGASTSAAASSSQPLDHGAGLVPQESNSMFTHNHEQWQGSVKNEIGNQENQQQEQKHLHHSNEQPSRPELVSGGADNKQDQPKVKQEPGNSSQQSISGQKQPLQQMRSQQTPSTNQTNSAPTVGKPPVVTFHMLIPILRRHLDKDKDMQVQSIFTKLRKNEVSKEHFLKVVRTIVGDKLLKQAAAQYQAQAAHGQRNPQTNPNNYSLLSQVSNQQNVPSGSTSGVEHKSYPSAHSIPANQASDSPRPPFFRPSLSGQMQSNMGYPSSESNLQKVNESSNMSDVKGGHMLSRPHNIQSAPGQATQHPQTSLPVLGSNNIHARPLPRPVGGPIVPLRPQMADSSQRGQLVQGAVTSVTGSMAARPTLQTNISPWQQVNKEQKTKSFPPTEHTDKGVGVPENQPSTSGTSKSFNTTNSSQPHRSHGTQAEPNMQIQPATQTPPPAAASKTPQKKASAGQKKPLDALGSSPPPSSKKQKTSGGYHDQSIDQLNDVTAVSGVNLREEEEQLFSAPKEESRVSEAARMVVQLEEEKLILQKVRKCNLKSIGSDVERCLSMCVEERLRGFISNTIRLSKQRVDLEKSRHRIYPLSSDVRSHILRVNREAREQWDKKLAEDAERIRKQSDGDDNAVVDSEKDKNESRSTSKHAKTYKAEDDKTRTTAANAAARVAAGGDDMLSRWQFMAEKKKSKCDGDGSSGSMPGNMLPRTSSPKPGKGSREQQEIEKTGGVRRSSHVKVTRSITVKDVIAALEREPQMLKSSLLFQLYGRSPAESSAK >ORUFI03G23410.2 pep chromosome:OR_W1943:3:18014805:18023956:-1 gene:ORUFI03G23410 transcript:ORUFI03G23410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLIKLLDDDVDETMHSGADVEAFTAALNREVEGSGGASTSAAASSSQPLDHGAGLVPQESNSMFTHNHEQWQGSVKNEIGNQENQQQEQKHLHHSNEQPSRPELVSGGADNKQDQPKVKQEPGNSSQQSISGQKQPLQQMRSQQTPSTNQTNSAPTVGKPPVVTFHMLIPILRRHLDKDKDMQVQSIFTKLRKNEVSKEHFLKVVRTIVGDKLLKQAAAQYQAQAAHGQRNPQTNPNNYSLLSQVSNQQNVPSGSTSGVEHKSYPSAHSIPANQASDSPRPPFFRPSLSGQMQSNMGYPSSESNLQKVNESSNMSDVKGGHMLSRPHNIQSAPGQATQHPQTSLPVLGSNNIHARPLPRPVGGPIVPLRPQMADSSQRGQLVQGAVTSVTGSMAARPTLQTNISPWQQVNKEQKTKSFPPTEHTDKLTQPLDVRVLVFLKTNHQHQIQPATQTPPPAAASKTPQKKASAGQKKPLDALGSSPPPSSKKQKTSGGYHDQSIDQLNDVTAVSGVNLREEEEQLFSAPKEESRVSEAARMVVQLEEEKLILQKVRKCNLKSIGSDVERCLSMCVEERLRGFISNTIRLSKQRVDLEKSRHRIYPLSSDVRSHILRVNREAREQWDKKLAEDAERIRKQSDGDDNAVVDSEKDKNESRSTSKHAKTYKAEDDKTRTTAANAAARVAAGGDDMLSRWQFMAEKKKSKCDGDGSSGSMPGNMLPRTSSPKPGKGSREQQEIEKTGGVRRSSHVKVTRSITVKDVIAALEREPQMLKSSLLFQLYGRSPAESSAK >ORUFI03G23410.3 pep chromosome:OR_W1943:3:18014805:18023956:-1 gene:ORUFI03G23410 transcript:ORUFI03G23410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLIKLLDDDVDETMHSGADVEAFTAALNREVEGSGGASTSAAASSSQPLDHGAGLVPQESNSMFTHNHEQWQGSVKNEIGNQENQQQEQKHLHHSNEQPSRPELVSGGADNKQDQPKVKQEPGNSSQQSISGQKQPLQQMRSQQTPSTNQTNSAPTVGKPPVVTFHMLIPILRRHLDKDKDMQVQSIFTKLRKNEVSKEHFLKVVRTIVGDKLLKQAAAQYQAQAAHGQRNPQTNPNNYSLLSQVSNQQNVPSGSTSGVEHKSYPSAHSIPANQASDSPRPPFFRPSLSGQMQSNMGYPSSESNLQKVNESSNMSDVKGGHMLSRPHNIQSAPGQATQHPQTSLPVLGSNNIHARPLPRPVGGPIVPLRPQMADSSQRGQLVQGAVTSVTGSMAARPTLQTNISPWQQVNKEQKTKSFPPTEHTDKGVGVPENQPSTSGTSKSFNTTNSSQPHRSHGTQAEPNMQIQPATQTPPPAAASKTPQKKASAGQKKPLDALGSSPPPSSKKQKTSGGYHDQSIDQLNDVTAVSGVNLREEEEQLFSAPKEESRVSEAARMVVQLEEEKLILQKVRKCNLKSIGSDVERCLSMRVDLEKSRHRIYPLSSDVRSHILRVNREAREQWDKKLAEDAERIRKQSDGDDNAVVDSEKDKNESRSTSKHAKTYKAEDDKTRTTAANAAARVAAGGDDMLSRWQFMAEKKKSKCDGDGSSGSMPGNMLPRTSSPKPGKGSREQQEIEKTGGVRRSSHVKVTRSITVKDVIAALEREPQMLKSSLLFQLYGRSPAESSAK >ORUFI03G23420.1 pep chromosome:OR_W1943:3:18030328:18037114:-1 gene:ORUFI03G23420 transcript:ORUFI03G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGGWMLRPPNRGLLLPTLSCPVAPHRRGFAAYAGALQWLEDELTSLAILPSVPGVDSFACARQLQGCIARGDARGGRAVHGHVVRRGGVGRLDLFCANVLLNMYGKLGPLASARRLFDRMPERNMVSFVTLVQAHAQRGEFEAAAALFRRLRWEGHEVNQFVLTTMLKLAIAMDAAGLAGGVHSCAWKLGHDHNAFVGSGLIDAYSLCSLVSDAEHVFNGIVRKDAVVWTAMVSCYSENDCPENAFQVFSKMRVSGCKPNPFALTSVLKAAVCLPSVVLGKGIHGCAIKTLNDTEPHVGGALLDMYAKCGDIKDARLAFEMIPYDDVILLSFMISRYAQSNQNEQAFELFLRLMRSSVLPNEYSLSSVLQACTNMVQLDFGKQIHNHAIKIGHESELFVGNALMDFYAKCNDMDSSLKVFSSLRDANEVSWNTIVVGFSQSGLGEEALSVFCEMQAAQMPCTQVTYSSVLRACASTASIRHAGQIHCSIEKSTFNNDTVIGNSLIDTYAKCGYIRDALKVFQHLKEHDIISWNAIISGYALHGQAADALELFDRMNKSNVESNDITFVALLSVCSSTGLVNRGLSLFDSMRIDHGIKPSMEHYTCIVRLLGRAGRLNDALQFIRDIPSAPSAMVWRALLSSCIIHKNVALGRFSAEKILEIEPQDETTYVLLSNMYAAAGSLDQVALLRKSMRNLGVRKVPGLSWVEIKGEIHAFSVGSVDHPDMRVINAMLEWLNLKTSREGYIPDINVVLHDVDKEQKARMLWVHSERLALAYGLVMTPPGHPIRILKNLRSCLDCHTAFTVISKIVKREIIVRDINRFHHFQDGKCSCETPLLNRWRKKLKEIGKRKISYQIEKKG >ORUFI03G23420.2 pep chromosome:OR_W1943:3:18030328:18037114:-1 gene:ORUFI03G23420 transcript:ORUFI03G23420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGGWMLRPPNRGLLLPTLSCPVAPHRRGFAAYAGALQWLEDELTSLAILPSVPGVDSFACARQLQGCIARGDARGGRAVHGHVVRRGGVGRLDLFCANVLLNMYGKLGPLASARRLFDRMPERNMVSFVTLVQAHAQRGEFEAAAALFRRLRWEGHEVNQFVLTTMLKLAIAMDAAGLAGGVHSCAWKLGHDHNAFVGSGLIDAYSLCSLVSDAEHVFNGIVRKDAVVWTAMVSCYSENDCPENAFQVFSKMRVSGCKPNPFALTSVLKAAVCLPSVVLGKGIHGCAIKTLNDTEPHVGGALLDMYAKCGDIKDARLAFEMIPYDDVILLSFMISRYAQSNQNEQAFELFLRLMRSSVLPNEYSLSSVLQACTNMVQLDFGKQIHNHAIKIGHESELFVGNALMDFYAKCNDMDSSLKVFSSLRDANEVSWNTIVVGFSQSGLGEEALSVFCEMQAAQMPCTQVTYSSVLRACASTASIRHAGQIHCSIEKSTFNNDTVIGNSLIDTYAKCGYIRDALKVFQHLKEHDIISWNAIISGYALHGQAADALELFDRMNKSNVESNDITFVALLSVCSSTGLVNRGLSLFDSMRIDHGIKPSMEHYTCIVRLLGRAGRLNDALQFIRDIPSAPSAMVWRALLSSCIIHKNVALGRFSAEKILEIEPQDETTYVLLSNMYAAAGKTPLLNRWRKKLKEIGKRKISYQIEKKG >ORUFI03G23430.1 pep chromosome:OR_W1943:3:18040124:18040393:-1 gene:ORUFI03G23430 transcript:ORUFI03G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFVWSTDPDVGPLVVKPGKTKPKVEVLLLAPHASMRIVVGASLFPRPPQHAAQLALELCVILAAPPPLMVVGVTLPPYQTYTNLLFL >ORUFI03G23440.1 pep chromosome:OR_W1943:3:18042080:18045709:-1 gene:ORUFI03G23440 transcript:ORUFI03G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKGGFDVKKHGPELDIEGKHAHWMKASPWLIGVNPRDLIMPVDGDDGFYPTGNELKPEVVSSNRKYRAHVLVCAPSNSALDEIVLRVLQTGIRDENNNTYNPKIVRIGLKAHHSVKAVSMDYLIQQKLSGVDRSSDGGRRGAGEYDRIRSSILDEAAIVFSTLSFSGSSIFSRMARAFDVVIIDEAAQAVEPATLIPLIHGCKQVGDPVQLPATVISSTAQKLGYGTSLFKRFQAAGFPVQMLKIQYRMHPEISIFPSKEFYEGVLEDGEGLSKKRPWHSYSCFGPFCFFDVDGTESQPSGSGSWVNEDEVEFITLLYHQMAMRYPELKSSSQVAVISPYRHQVKLLKDHFRSTFGDQSKEVIDVNTVDGFQGREKEVVIFSCVRCNKEQKIGFVSDFRRMNVAITRARSAVLLIYTQAAAANS >ORUFI03G23440.2 pep chromosome:OR_W1943:3:18042080:18045709:-1 gene:ORUFI03G23440 transcript:ORUFI03G23440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKGGFDVKKHGPELDIEGKHAHWMKASPWLIGVNPRDLIMPVDGDDGFYPTGNELKPEVVSSNRKYRAHVLVCAPSNSALDEIVLRVLQTGIRDENNNTYNPKIVRIGLKAHHSVKAVSMDYLIQQKLSGVDRSSDGGRRGAGEYDRIRSSILDEAAIVFSTLSFSGSSIFSRMARAFDVVIIDEAAQAVGDPVQLPATVISSTAQKLGYGTSLFKRFQAAGFPVQMLKIQYRMHPEISIFPSKEFYEGVLEDGEGLSKKRPWHSYSCFGPFCFFDVDGTESQPSGSGSWVNEDEVEFITLLYHQMAMRYPELKSSSQVAVISPYRHQVKLLKDHFRSTFGDQSKEVIDVNTVDGFQGREKEVVIFSCVRCNKEQKIGFVSDFRRMNVAITRARSAVLLIYTQAAAANS >ORUFI03G23450.1 pep chromosome:OR_W1943:3:18064871:18067003:-1 gene:ORUFI03G23450 transcript:ORUFI03G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKDTSSSSSAAGGKKDKPMSVSAMLASMDAPASKAKPSKAASKPKPSKAPASSYMGDIDLPPSDEEEDDADLVAMATKPKAARATVDLNAIAPSQKDAKKKDKREAMAAAQAEAAKQEALRDDRDAFSVVIGARVAGSAGASEGDSAAADDNIKDIVLENFSVSARGKELLKNASLRISHGRRYGLVGPNGMGKSTLLKLLSWRQVPVPRSIDVLLVEQEIIGDNRSALEAVVAADEELAALRAEQAKLEASNDADDNERLAEVYEKLNLRDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEQYLCSQWKKTLIVVSHDRDFLNTVCNEIIHLHDKNLHVYRGNFDDFESGYEQKRKEMNRKFEVFEKQMKAAKKTGSKAAQDKVKGQALSKANKEAAKSKGKGKSVANDDDDMKPADLPQKWLDYKVEFHFPEPTLLTPPLLQLIEVGFSYPNRPDFKLSGVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPTEGEVRRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPDQEGMSKAEAVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSHPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCDDEQRSEIWVVEDGTVNKFDGTFEDYKDELLEEIKKEVEE >ORUFI03G23460.1 pep chromosome:OR_W1943:3:18113201:18114740:1 gene:ORUFI03G23460 transcript:ORUFI03G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYLKQYEKEHMKMAMLRQEETFKQQVQELHRLYRVQKLLMDAGSAITMQGISCIPEDDYHAEENEAGSSRPWHTFHGSDNDKPQAHTSVLEESELDLTLAIGRTTTTKKEAPSSSVDSRTSNSSSSTESGSTNCRAVMPHRPSRLGSSSAVKVVAGGPGVGTTQQHLDLEQQDALKQPPWLHRCLNLAR >ORUFI03G23460.2 pep chromosome:OR_W1943:3:18113149:18114740:1 gene:ORUFI03G23460 transcript:ORUFI03G23460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYLKQYEKEHMKMAMLRQEETFKQQVQELHRLYRVQKLLMDAGSAITMQGISCIPEDDYHAEENEAGSSRPWHTFHGSDNDKPQAHTSVLEESELDLTLAIGRTTTTKKEAPSSSVDSRTSNSSSSTESGSTNCRAVMPHRPSRLGSSSAVKVVAGGPGVGTTQQHLDLEQQDALKQPPWLHRCLNLAR >ORUFI03G23470.1 pep chromosome:OR_W1943:3:18164690:18168163:1 gene:ORUFI03G23470 transcript:ORUFI03G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPHVISCAGARWDKPTEAEGRRRPSVRRRLPWVPSPRRQLSLSVVEAGDGSGWRKQDRGRREAAEGARPPTRSDRSRWWRPYCSWFAWTRSISDSQIAAAAAGDDKVASAINALEELLHKGSPALAAYEHHVIFLKRAQQEEAVGAVDGGGS >ORUFI03G23480.1 pep chromosome:OR_W1943:3:18168845:18180175:1 gene:ORUFI03G23480 transcript:ORUFI03G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHEGGGNGARRPPAPPLLPTLSLPPRSAAGSLFSAESSPGALTLAASLFPDAPSPAFQGSFTQLLVGAMGYPAASAPAPPSPFPVPHGLSPTAFLGGSPGLFSPTVKPRRSMLLQELMLPQMLPCFFAQGNFEMSHQQALAQVTAEAVHSPYSMINQSDFSLPFSSTTTSVLASQHVNSSANVSSPREIPTLPSHTDNSNIESTEVSHGFQTTALTEDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTHLSCPVKKKVERSSDGQITQILYRGQHNHQRPPKRRSKDGGALLNEADVSPEKEDASTRSEQGSQDYSGKFKASNDGGPSSSRRGDGGEQISGSSDSNDQDEEEVKVEGGATSDGNVNKRHVPAPAQRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYQGCDVKKHIERSSQDPKAVITTYEGKHSHDVPAARNSSHSSANANVSSSSNLPHKDRGQRSSRRDGLRNASSVCSLQLKEESG >ORUFI03G23480.2 pep chromosome:OR_W1943:3:18168845:18180175:1 gene:ORUFI03G23480 transcript:ORUFI03G23480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHEGGGNGARRPPAPPLLPTLSLPPRSAAGSLFSAESSPGALTLAASLFPDAPSPAFQGSFTQLLVGAMGYPAASAPAPPSPFPVPHGLSPTAFLGGSPGLFSPTVKPRRSMLLQELMLPQGNFEMSHQQALAQVTAEAVHSPYSMINQSDFSLPFSSTTTSVLASQHVNSSANVSSPREIPTLPSHTDNSNIESTEVSHGFQTTALTEDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTHLSCPVKKKVERSSDGQITQILYRGQHNHQRPPKRRSKDGGALLNEADVSPEKEDASTRSEQGSQDYSGKFKASNDGGPSSSRRGDGGEQISGSSDSNDQDEEEVKVEGGATSDGNVNKRHVPAPAQRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYQGCDVKKHIERSSQDPKAVITTYEGKHSHDVPAARNSSHSSANANVSSSSNLPHKDRGQRSSRRDGLRNASSVCSLQLKEESG >ORUFI03G23480.3 pep chromosome:OR_W1943:3:18168845:18180175:1 gene:ORUFI03G23480 transcript:ORUFI03G23480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHEGGGNGARRPPAPPLLPTLSLPPRSAAGSLFSAESSPGALTLAASLFPDAPSPAFQGSFTQLLVGAMGYPAASAPAPPSPFPVPHGLSPTAFLGGSPGLFSPTGNFEMSHQQALAQVTAEAVHSPYSMINQSDFSLPFSSTTTSVLASQHVNSSANVSSPREIPTLPSHTDNSNIESTEVSHGFQTTALTEDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTHLSCPVKKKVERSSDGQITQILYRGQHNHQRPPKRRSKDGGALLNEADVSPEKEDASTRSEQGSQDYSGKFKASNDGGPSSSRRGDGGEQISGSSDSNDQDEEEVKVEGGATSDGNVNKRHVPAPAQRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYQGCDVKKHIERSSQDPKAVITTYEGKHSHDVPAARNSSHSSANANVSSSSNLPHKDRGQRSSRRDGLRNASSVCSLQLKEESG >ORUFI03G23490.1 pep chromosome:OR_W1943:3:18225793:18226498:-1 gene:ORUFI03G23490 transcript:ORUFI03G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDGCVLRPCLQWIDAADAQGHATVFVAKFFGRAGLLSFISAVPEAQRPALFQSLLYEAAGRTINPVHGAVGLLWTGNWPLCQAAVETVLRGGAIGPLPELGGACGGAGGDLYGAAKRNGGWSTFSTAKRVRKAEVPEAPSCDLGLCLSPGSPPAVGERKPALRPGTPSMSSDESGTTTGGERDPVLLNLFV >ORUFI03G23500.1 pep chromosome:OR_W1943:3:18289060:18291271:1 gene:ORUFI03G23500 transcript:ORUFI03G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAVAGSSRSTNTALEEKVAGVAALVDKWRPDDGQCSLFLDGSRREADRFLCAAVELHGAMLLVASDVDQERALEAAMRRLQLELELLLSTVRSNAVDGAISGHDVVGDAGVVGHITMVADAMMAAGYGMECVSTFNSHRRAEFAAAVRRLLGFAPSQHAHFHKLTWEDVDGKVQSWHTAAGFAFNLAFSRERVLCHRVFAADAALADKVFAGIASDHAADLLAVAEAAVMRARRAPERLFHVLDVHATLAEILPAIACILGDKSEAAARATAALRNAGDAARRILMSFEQAIQKTTSSKAAVTGSAVLPLTRYVMNYLVLLADYEDTLARIYQQGESTLTSGSGSASRVSPSSSADSIGRLVSVLQRKLDAMAGADAAVKEAVATQRSWVVADDEMGDAVRAAAAAVVVPAYRALYGRHGTAAWMTPGDVNAMISRQFGGLRNEAAGARPVSAGSATSRRHRLRLTSFSDKLSHVQ >ORUFI03G23510.1 pep chromosome:OR_W1943:3:18333250:18340164:-1 gene:ORUFI03G23510 transcript:ORUFI03G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAPAAAVGSGKELANPPTDGISNLRFSNHSDHLLVSSWDKTVRLYDADANVLKGEFVHAGAVLDCCFHDDSSGFSAGADHTVRRLVFGSAKEDLLGRHDAPVRCVEYSYAAGQVITGSWDKTIKCWDPRGVSGPERTLVGTYAQPERVYSLSLVGNRLVVATAGRHVNIYDLRNMSQPEQKRDSSLKYQTRCVRCFPNGTGYALSSVEGRVSMEFFDLSESAQSKKYAFKCHRKSEAGRDTVYPVNAIAFHPIYGTFATGGCDGFVNVWDGINKKRLYQYSKYVSSIAALSFSKDGHLLAVASSYTYEEGEKSHEPDAIFIRSVNEVEVKPKPKALAAP >ORUFI03G23520.1 pep chromosome:OR_W1943:3:18348995:18354701:-1 gene:ORUFI03G23520 transcript:ORUFI03G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKNKGGRGGGGGGGEDQFDGGSDVDSVSSVSTALSDLQLAQATEQVSSQDFVLDKYIDDLYEKRGSTREAALGALVDAFESFVLLDLVENKYATLLSQFINSIKKGSIKEVCLACHTTGLLAITLGAGSSSHEIMDESRLQLLRVLQTWPDASKMISALDCLAVVTFVGATDLSETQLSMKAIWDVIHPKSGSNVGIVRKPKPPLLAAAVSAWALLLTTIVSSKRNVDSWKESITFLSALLEAEDRAVRMAAGEALALCFELKLLDVFSNEEVEVDTAEASGSKNQLFLNMQALKAKISGLVYNLSMEAGGRGADKKNLNDQRDLFQRISDFIKTGECLEESLRIAGKHGILRVTSWRESIQLNYLRRFLGRGFLKHAQDNGLLHDIFDIKMDKTENMSTTEKKIYRSGEEKGRALKLNKERRLAQVR >ORUFI03G23520.2 pep chromosome:OR_W1943:3:18348995:18354701:-1 gene:ORUFI03G23520 transcript:ORUFI03G23520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKNKGGRGGGGGGGEDQFDGGSDVDSVSSVSTALSDLQLAQATEQVSSQDFVLDKYIDDLYEKRGSTREAALGALVDAFESFVLLDLVENKYATLLSQFINSIKKGSIKEALDCLAVVTFVGATDLSETQLSMKAIWDVIHPKSGSNVGIVRKPKPPLLAAAVSAWALLLTTIVSSKRNVDSWKESITFLSALLEAEDRAVRMAAGEALALCFELKLLDVFSNEEVEVDTAEASGSKNQLFLNMQALKAKISGLVYNLSMEAGGRGADKKNLNDQRDLFQRISDFIKTGECLEESLRIAGKHGILRVTSWRESIQLNYLRRFLGRGFLKHAQDNGLLHDIFDIKMDKTENMSTTEKKIYRSGEEKGRALKLNKERRLAQVR >ORUFI03G23530.1 pep chromosome:OR_W1943:3:18349748:18350017:1 gene:ORUFI03G23530 transcript:ORUFI03G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIERVGEAVSAIRYSNHQVTTFNMTPSSTTSKDPASTPTHAMNGHTYGTEHADFRGQHGIMERRPGKILVDKLHAKPTAASAALAMH >ORUFI03G23540.1 pep chromosome:OR_W1943:3:18366490:18367419:1 gene:ORUFI03G23540 transcript:ORUFI03G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCAVEVEGIAKHRPLYALRPVQTRGGGGGAPGIWWLGGGDVGGGMCSRATRIRGGWWLAKGKREMAGTAMAKSLPWPVWEVTVVANLRRGKEGEGNGFASSRRRYWREGLVGNAPTREIGRRSAWGGEREKKSLSSDVEEMG >ORUFI03G23550.1 pep chromosome:OR_W1943:3:18380003:18382463:-1 gene:ORUFI03G23550 transcript:ORUFI03G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKGAWLPQATLSSGSGMPFPLLQAGDDESTTTEGKTADGTNGKRASDPSVEIDNYGKLPWLEAERRCNI >ORUFI03G23560.1 pep chromosome:OR_W1943:3:18382525:18387274:-1 gene:ORUFI03G23560 transcript:ORUFI03G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLRPQPQPIVHFLQPNGSDAAGRAESASRAKCPGVEGEQRWKASHGSGGGRQARRRQAVGAEAEVAAGGGGGGGRRAQER >ORUFI03G23570.1 pep chromosome:OR_W1943:3:18391007:18396229:1 gene:ORUFI03G23570 transcript:ORUFI03G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEREGVVAKNEDNAGGGGGGLGTGGNGGGGGGGGGSANGRRRWRGGGSSGYRQHPIIQAYPALLPLPINGATGHAHINGAVSLPLPLPPPVLLYLQPPPPPPLLPLLPKVAAATFYGKPPKAADAAPRGSMWKHRPLKKPPPHAITAALLPLPRGIDLLTDGKALQEKIFFANERKTSEKEVNHVDTHEKFTVAPQDNAIARRPDMGGVEGAEIPLSANHFLVQFDPGQNIFHYNVDISPRPSKETARMIKKKLVEENPSVLSGSQPAFDGRKNLYSPVRFQEDRVEFFVSLPVALARCSVVKEDTGHMLDKQKLKTFKVNVRLVSKLCGEDLNKYLNEDKDGIPLPQDYLHALDVVLREGAMESSILVGRSLYARSMGEARDIGGGAVGLRGFFQRLRPTKQGLALNVDLSLSAFHESTGIISYLQKRCDFLKDLPQKKTRALAEEEHREVEKALKNIRVFVCHRETNQRYHVHSLTKETTENLKFRDRSGKDLMVVDYFKEHYNHDIQFRNLPCLQIGRSKPCYVPMELCVVCEGQKFLGKLSDEQTSKILKMGCERPSERKGIIKGVVKGAFHARSDTYADQFSLQVSKHMTKLSGRVLLPPKLRLGSSGRIKDITPDRFDRQWSFLDSHVAEGSKIKSWALISFGGTSEQHFCITKFVNQLSNRCEQLGILLNKKTIISPIFERIQLLNNVGILEGKLKKIQEAASGNLQLLICVMERRHQGYADLKRIAETSIGVVTQCCLYSNLSKLTSQFLTNLALKINAKLGGCNIALYSSLPCQIPRIFLSEEPVMFMGADVTHPHPLDDSSPSVVAVVASMNWPSANKYISRMRSQTHRKEIIEQLDVMAGELLEEFLKEVGKLPSRIIFFRDGVSETQFYKVLKEEMHAVRTTCSRYPGYKPLITFIVVQKRHHTRLFHRERNGSSSHYSDQNIPPGTVVDTVITHPREFDFYLCSHWGTKGTSRPTHYHVLWDENNFRSDEVQQLIHNLCYTFARCTRPVSLVPPAYYAHLAAYRGRLYLERSDTTMYRVSPLQTVPLPKLRDNVKRLMFYC >ORUFI03G23580.1 pep chromosome:OR_W1943:3:18413571:18414899:-1 gene:ORUFI03G23580 transcript:ORUFI03G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVQGIALGLTVVTGIDGDGRRLDLEKFEGISPMTASWLDSSRLRAPRSLKTLVARGLGQCRLESTGTAKWPTAALWTPRAASSLAQGVQPAPNGKHKTWIDQVVEVNRVAMVDDGLAVMRMTHGSHNSLVPHNFGETRLLQGGQGFDGSMCQVPRLDHFLIYHGSLVKLTKWLVDCSLEYYLLNLWLPIYVVIFRIRLRGCLDGTKTF >ORUFI03G23590.1 pep chromosome:OR_W1943:3:18497206:18500969:-1 gene:ORUFI03G23590 transcript:ORUFI03G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSTASAMAVATTSPTIATAPFSSLPLRLRLRLRPKPLLFTSRLLLPVPKSSWDESVSEEGEEEGVEEDSEESGAAGEDDDGEDNDEKPRPEPVAASGFEFASPPEGYVEPAPFDELPPESPEDVAAAYEALYGPAFSGETVMGNNVFEVKVVDPVDMDREQRPSDEFSERVVQVNRVTKVVKGGRQLSFRAIVVVGDMKGHVGVGVGKAKEVTEAITKAAMNGRRNLVTVPLTKYSTFPHRADADYGAARVMLRPACPGSGVIAGGAVRVVLEMAGVENALGKQLRSKNPLNNARATIKATQMMRQFKDVAAERGLPMEQLWK >ORUFI03G23600.1 pep chromosome:OR_W1943:3:18514840:18517955:1 gene:ORUFI03G23600 transcript:ORUFI03G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVESGRGGRLRPRSGHGDGSVEEAAAASSSKAEGGRPKKRRRIFRPRPRRVKKTPREAKKQQVETASGCRAPLRRGHEGEPATQEGGSSVWVHGFAYGKSYIN >ORUFI03G23600.2 pep chromosome:OR_W1943:3:18514840:18517955:1 gene:ORUFI03G23600 transcript:ORUFI03G23600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVESGRGGRLRPRSGHGDGSVEEAAAASSSKAEGGRPKKRRRIFRPRPRRVKKTPREAKKQQVETASGCRAPLRRGHEGEPATQEGGSSVWVHGFAYGKSYIN >ORUFI03G23610.1 pep chromosome:OR_W1943:3:18518525:18519610:1 gene:ORUFI03G23610 transcript:ORUFI03G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESNGNGGEEPSLARVLAALERNQSKEETRTNLEESRKRYQKQQGINIQHNHEHFHAKFSLHVTFVEIGHTRPNCPWKNLPSEAAKARAIGRVRVVRAPQPAQQNHGGVPVRGGFQNRGGF >ORUFI03G23620.1 pep chromosome:OR_W1943:3:18524685:18527749:1 gene:ORUFI03G23620 transcript:ORUFI03G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTEPTSSPSGAAVKLHRCHRSIGSSPQPTSMVNAVSSTSGPAARPGQQLSTGATRTNLFGQC >ORUFI03G23630.1 pep chromosome:OR_W1943:3:18530517:18531042:1 gene:ORUFI03G23630 transcript:ORUFI03G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRLLPFDIGPNSPSLLHGPSRPSYSSQPNRFPPPPCERRHRIHGAHVAAAAVRGFAAATATLSRRRPSAADGNRHLTLLTPATAPNRLHQPIGERSRRRNYLLHAAAAVSPLAFSSETAISNRHPPPINSRRDRPPLLTLSELEALPLSLLSSPADAAIGRSPPL >ORUFI03G23640.1 pep chromosome:OR_W1943:3:18538406:18538830:1 gene:ORUFI03G23640 transcript:ORUFI03G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSGATGYIGCFVVRELLHRGHPVVADTLSRAPGAAWAATMAPTSWSRTSAPPASSSPTLPRWARSSLTCPRVAPSTPRSATLLPRQPRRWRPGLVARGRRWEKDGRRRKMKRMDGKSDGNVA >ORUFI03G23640.2 pep chromosome:OR_W1943:3:18537821:18538399:1 gene:ORUFI03G23640 transcript:ORUFI03G23640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAACRAAFYLLQEVVGTVVPVAGRLPRARCGHAAPGLHLLARVAAAASGARRRVLELRQQQAAAAAAASSSSGGGKRQEAAKSSSRGGGGSSKQQAAGSIGSSRKSGSRQQQHPHPPPRPCLLSSHLASSFFSAAAPKGARRDLLLVSSVESLPAVSVAAAQPFWTRAPS >ORUFI03G23650.1 pep chromosome:OR_W1943:3:18541163:18544142:1 gene:ORUFI03G23650 transcript:ORUFI03G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTASALEQPGGAGAGGGVPPAVAAPGRVLLAHGHGAGRRHRRAPPLAPRPPPAPHRPPNLHPRPRPRTYFS >ORUFI03G23650.2 pep chromosome:OR_W1943:3:18541163:18543087:1 gene:ORUFI03G23650 transcript:ORUFI03G23650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTASALEQPGGAGAGGGVPPAVAAPGRVLLAHGHGAGRRHRRAPPLAPRPPPAPHRPPNLHPRPRPRTYFS >ORUFI03G23660.1 pep chromosome:OR_W1943:3:18550249:18557030:-1 gene:ORUFI03G23660 transcript:ORUFI03G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCASCLASACAGCTCNLCASALSAISRRSARLAYCGLFAASLVLSFLMRQFATPLLKQIPWINTFDYTQPDEWFQMNAVLRVSLGNFLFFAIFALMMIGVKDQNDRRDAWHHGGWIAKIVVWVVLIVLMFCVPNVVITIYEVLSKFGSGLFLLVQVVMLLDFTNNWNDSWIEKDEQKEIALLVVTVVCYLSTFAFSGLLFTWFNPSGHDCGLNVFFITMTIILAFAFAIIALHPQVNGSVMPASVISVYCAYLCYTSLSSEPDDYACNGLHRHSKQVSMSALILGMLTTVLSVVYSAVRAGSSTTFLSPPSSPRSAMLLTGWTSAASDSSELMDVGWTTVWVRTCTEWATAALYIWTLVAPLLFPDRDFS >ORUFI03G23660.2 pep chromosome:OR_W1943:3:18550249:18557030:-1 gene:ORUFI03G23660 transcript:ORUFI03G23660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWCASCLASACAGCTCNLCASALSAISRRSARLAYCGLFAASLVLSFLMRQFATPLLKQIPWINTFDYTQPDEWFQMNAVLRVSLGNFLFFAIFALMMIGVKDQNDRRDAWHHGGWIAKIVVWVVLIVLMFCVPNVVITIYEVLSKFGSGLFLLVQVVMLLDFTNNWNDSWIEKDEQKEIALLVVTVVCYLSTFAFSGLLFTWFNPSGHDCGLNVFFITMTIILAFAFAIIALHPQVNGSVMPASVISVYCAYLCYTSLSSEPDDYACNGLHRHSKQVSMSALILGMLTTVLSVVYSAVRAGSSTTFLSPPSSPRSGIKNPLLGDDNVEVGKSDSKEIDARPVSYSYTFFHVIFALASMYSAMLLTGWTSAASDSSELMDVGWTTVWVRTCTEWATAALYIWTLVAPLLFPDRDFS >ORUFI03G23670.1 pep chromosome:OR_W1943:3:18559358:18562928:1 gene:ORUFI03G23670 transcript:ORUFI03G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRHCSLTGAVFFASRRRRLRRPLPPPSTPSAPAAAAVPLRRWTHQSRLLCRRRPIAPPLILLASSPPRSYPLVLAPRHRSLTRAGFFASRRRRHHRPLPPPSPPPPRTPPLAAVVFPIGRGRSRRHPHPAPSSNSIAATGPEGWSYTIMSIGSSPQPTSMVNAVISTSGPTARPAEVAPVKHNFIMHREYLVELLLVAWVNELITSIGINQVHVPSGTTKEKFMTTKFIPDNHCSVDKVTATLRETGLQSSNLISWY >ORUFI03G23670.2 pep chromosome:OR_W1943:3:18559375:18562928:1 gene:ORUFI03G23670 transcript:ORUFI03G23670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRHCSLTGAVFFASRRRRLRRPLPPPSTPSAPAAAAVPLRRWTHQSRLLCRRRPIAPPLILLASSPPRSYPLVLAPRHRSLTRAGFFASRRRRHHRPLPPPSPPPPRTPPLAAVVFPIGRGRSRRHPHPAPSSIGSSPQPTSMVNAVISTSGPTARPAEVAPVKHNFIMHREYLVELLLVAWVNELITSIGINQVHVPSGTTKEKFMTTKFIPDNHCSVDKVTATLRETGLQSSNLISWY >ORUFI03G23690.1 pep chromosome:OR_W1943:3:18599419:18618689:-1 gene:ORUFI03G23690 transcript:ORUFI03G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTPCDCGLLYRSCWRPWPAWLPRHPSRLLRPPRRRLGLGANLHHHDASGGAGCFRRILLAQVNLPVPSASTPGGLLASSPTPAAARADLLPASSGAVVLLLPPPVPRPPLTKAMGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKLEDLPPALHSSKFTFEDSLSSLKPLSLQATELDLSIEVKKILLLALTMYQIPNVENLIPNLQSAVISAVLKYMPASTNCMSRNWNRDPANCFAEDNVDSQGTSNTLLMEASNELFDIWKNVNSIVDNITFDDNGLAFRLEELPTTKHLFTLFDSCFPYYRNCSLLDLECPFQSAVVNIVSNLPSEELSSDGVNFLSSASIELAELLKMINMCVPIEDPSPVLTARRIRKFGHLEGLLSYNLTIGLITSSKYSFLQFDADPYMLSLIQERGFFPLSAALLSSPVLRLASGPAAEILMEIASSIEALVLSLLFCRSGLSFLLGQPEATELILLSLQDGEDMSKTECMTLRQAFVLLSKGFFCRPQEVAMITELHLKVGSAANRLLAVPPNSDELLWVLWELCAISRSDSGRQALLTLGFFPEAVSVLLSSLSSYNDLDSVTNKNGGSPLGHAIFHSTAEILEVLVADSTASSLKSWIGFAIDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYKRNGAVGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADPNNTDGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISEEKAVASSLFEEGAINVIYVVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQTLVDLMIPSLVLLINLLHILNETKEQYRNKKLLTALLQLHREVSPRLAACAADLSFMFPSFAVSFGVVCHLVTSAIACWPLYNWAPGLFHCLLENVEATNAAVPLGPKDACSLLCLLGDLFPDEGIWLWKVEVPSLTAIRSLSTGTVLGCQVEKHMNWYLHPEHVSILLIRLMPQLDRLACVIDNFATSALTVIQDMLRIFIVRIASEKIECAVVLLRPIFIWLNNKVDETSLSEREIFKVHQLLQFIAKLSEHPNGKALLCKMGVARILRKLLQECSSMCYMEDNMISDKGVYSNDLLMLRWKIPLLRSIASIFSTRPSSKEPTTVEELWNENACVEECSSIMYHLLMLCQVLPVGRDMFACSLAFKEVASSYSCRGAVTSIFSQIQTSNKDEPQKSESETCHDTSKCWKRLLQYICANRPTDYLVEIVYALTLGAIALSQSGENLEGTIILRRLFGHPSVPSSSEASDETFQEKICQGFDNWSPYVGKPLLHQFSGLFLTLIIIQRLLCSIIDNSGPFTDSVRMVLEESTIPVGVFHNIVMTSHLMPSIDFVSVNDDPALLFTNAWKAFGDFAEPFGCQVSDFSKRMVWELPDCSIDKQLIPSQSARRKLALGDSTSRRVRDNQTHEPSGQFSRGLNTPSASIGHTRRDTFRQRKPNTSRPPSMHVDDYVARERNIEGASSASNIVSSTPRGALSGRPPSIHVDEFMARQRERQNPVLAPSGDATQVRSKATLDDNVSTKPEKPRQPKADLDDDQEINIIFDEESGSDDKLPFPQPDDSLQSPPVIIGENSPGPVVDETENQQNGINLFSGTVVSESDEACETGISSQTAIRQESNIPSERKFSVSSPEKVMFPDHADESPFISPTTGSKVIPGYSTHAAQATLRQLPPNMHRKRSPHKLAESSVSSGSHGHNRTLYNNQPPLPPMPPPVSSTSLQNPDSIQRQPSTYIATDGPPPFPPSYLMQSFDACMPSFVGHQVQTENVLPSTGDSSSNALPSVDAKFLWSTLPVNRIPMEHLSSGSSTRPVSPLPLRPALATQHAAMNSGPPGSLYNQGGSGVLQPSSPASLINDATLGTNPASGGALASNSLPSLASQFIIGRPSTPPFFGTPLQIQLSSGLAQSVSNPLPSLSSMQPRAPPPPPQQPHPSQTFQGSLQQPQEQPMPYPLNTIQPQVSLQFQNQLHVPQLQFYHQTQQESVLQPIGHVSEQPIGQSAQQQTDSGMNLNHFFSSPEAIQSLLSDRDKLCKLLEQNPKLMQMLQVRWMDFACSLVRYISAWSQ >ORUFI03G23690.2 pep chromosome:OR_W1943:3:18599419:18618689:-1 gene:ORUFI03G23690 transcript:ORUFI03G23690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTPCDCGLLYRSCWRPWPAWLPRHPSRLLRPPRRRLGLGANLHHHDASGGAGCFRRILLAQVNLPVPSASTPGGLLASSPTPAAARADLLPASSGAVVLLLPPPVPRPPLTKAMGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEFNGPYSSSEATAIAAFSMFVLFYQAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKLEDLPPALHSSKFTFEDSLSSLKPLSLQATELDLSIEVKKILLLALTMYQIPNVENLIPNLQSAVISAVLKYMPASTNCMSRNWNRDPANCFAEDNVDSQGTSNTLLMEASNELFDIWKNVNSIVDNITFDDNGLAFRLEELPTTKHLFTLFDSCFPYYRNCSLLDLECPFQSAVVNIVSNLPSEELSSDGVNFLSSASIELAELLKMINMCVPIEDPSPVLTARRIRKFGHLEGLLSYNLTIGLITSSKYSFLQFDADPYMLSLIQERGFFPLSAALLSSPVLRLASGPAAEILMEIASSIEALVLSLLFCRSGLSFLLGQPEATELILLSLQDGEDMSKTECMTLRQAFVLLSKGFFCRPQEVAMITELHLKVGSAANRLLAVPPNSDELLWVLWELCAISRSDSGRQALLTLGFFPEAVSVLLSSLSSYNDLDSVTNKNGGSPLGHAIFHSTAEILEVLVADSTASSLKSWIGFAIDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYKRNGAVGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADPNNTDGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISEEKAVASSLFEEGAINVIYVVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQTLVDLMIPSLVLLINLLHILNETKEQYRNKKLLTALLQLHREVSPRLAACAADLSFMFPSFAVSFGVVCHLVTSAIACWPLYNWAPGLFHCLLENVEATNAAVPLGPKDACSLLCLLGDLFPDEGIWLWKVEVPSLTAIRSLSTGTVLGCQVEKHMNWYLHPEHVSILLIRLMPQLDRLACVIDNFATSALTVIQDMLRIFIVRIASEKIECAVVLLRPIFIWLNNKVDETSLSEREIFKVHQLLQFIAKLSEHPNGKALLCKMGVARILRKLLQECSSMCYMEDNMISDKGVYSNDLLMLRWKIPLLRSIASIFSTRPSSKEPTTVEELWNENACVEECSSIMYHLLMLCQVLPVGRDMFACSLAFKEVASSYSCRGAVTSIFSQIQTSNKDEPQKSESETCHDTSKCWKRLLQYICANRPTDYLVEIVYALTLGAIALSQSGENLEGTIILRRLFGHPSVPSSSEASDETFQEKICQGFDNWSPYVGKPLLHQVRSSVRLLCSIIDNSGPFTDSVRMVLEESTIPVGVFHNIVMTSHLMPSIDFVSVNDDPALLFTNAWKAFGDFAEPFGCQVSDFSKRMVWELPDCSIDKQLIPSQSARRKLALGDSTSRRVRDNQTHEPSGQFSRGLNTPSASIGHTRRDTFRQRKPNTSRPPSMHVDDYVARERNIEGASSASNIVSSTPRGALSGRPPSIHVDEFMARQRERQNPVLAPSGDATQVRSKATLDDNVSTKPEKPRQPKADLDDDQEINIIFDEESGSDDKLPFPQPDDSLQSPPVIIGENSPGPVVDETENQQNGINLFSGTVVSESDEACETGISSQTAIRQESNIPSERKFSVSSPEKVMFPDHADESPFISPTTGSKVIPGYSTHAAQATLRQLPPNMHRKRSPHKLAESSVSSGSHGHNRTLYNNQPPLPPMPPPVSSTSLQNPDSIQRQPSTYIATDGPPPFPPSYLMQSFDACMPSFVGHQVQTENVLPSTGDSSSNALPSVDAKFLWSTLPVNRIPMEHLSSGSSTRPVSPLPLRPALATQHAAMNSGPPGSLYNQGGSGVLQPSSPASLINDATLGTNPASGGALASNSLPSLASQFIIGRPSTPPFFGTPLQIQLSSGLAQSVSNPLPSLSSMQPRAPPPPPQQPHPSQTFQGSLQQPQEQPMPYPLNTIQPQVSLQFQNQLHVPQLQFYHQTQQESVLQPIGHVSEQPIGQSAQQQTDSGMNLNHFFSSPEAIQSLLSDRDKLCKLLEQNPKLMQMLQVRWMDFACSLVRYISAWSQ >ORUFI03G23690.3 pep chromosome:OR_W1943:3:18599419:18618689:-1 gene:ORUFI03G23690 transcript:ORUFI03G23690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTPCDCGLLYRSCWRPWPAWLPRHPSRLLRPPRRRLGLGANLHHHDASGGAGCFRRILLAQVNLPVPSASTPGGLLASSPTPAAARADLLPASSGAVVLLLPPPVPRPPLTKAMGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEFNGPYSSSEATAIAAFSMFVLFYQAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKLEDLPPALHSSKFTFEDSLSSLKPLSLQATELDLSIEVKKILLLALTMYQIPNVENLIPNLQSAVISAVLKYMPASTNCMSRNWNRDPANCFAEDNVDSQGTSNTLLMEASNELFDIWKNVNSIVDNITFDDNGLAFRLEELPTTKHLFTLFDSCFPYYRNCSLLDLECPFQSAVVNIVSNLPSEELSSDGVNFLSSASIELAELLKMINMCVPIEDPSPVLTARRIRKFGHLEGLLSYNLTIGLITSSKYSFLQFDADPYMLSLIQERGFFPLSAALLSSPVLRLASGPAAEILMEIASSIEALVLSLLFCRSGLSFLLGQPEATELILLSLQDGEDMSKTECMTLRQAFVLLSKGFFCRPQEVAMITELHLKVGSAANRLLAVPPNSDELLWVLWELCAISRSDSGRQALLTLGFFPEVPLFVCISNCICNLFEMLNDAIFLSQAVSVLLSSLSSYNDLDSVTNKNGGSPLGHAIFHSTAEILEVLVADSTASSLKSWIGFAIDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYKRNGAVGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADPNNTDGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISEEKAVASSLFEEGAINVIYVVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQTLVDLMIPSLVLLINLLHILNETKEQYRNKKLLTALLQLHREVSPRLAACAADLSFMFPSFAVSFGVVCHLVTSAIACWPLYNWAPGLFHCLLENVEATNAAVPLGPKDACSLLCLLGDLFPDEGIWLWKVEVPSLTAIRSLSTGTVLGCQVEKHMNWYLHPEHVSILLIRLMPQLDRLACVIDNFATSALTVIQDMLRIFIVRIASEKIECAVVLLRPIFIWLNNKVDETSLSEREIFKVHQLLQFIAKLSEHPNGKALLCKMGVARILRKLLQECSSMCYMEDNMISDKGVYSNDLLMLRWKIPLLRSIASIFSTRPSSKEPTTVEELWNENACVEECSSIMYHLLMLCQVLPVGRDMFACSLAFKEVASSYSCRGAVTSIFSQIQTSNKDEPQKSESETCHDTSKCWKRLLQYICANRPTDYLVEIVYALTLGAIALSQSGENLEGTIILRRLFGHPSVPSSSEASDETFQEKICQGFDNWSPYVGKPLLHQVRSSVRLLCSIIDNSGPFTDSVRMVLEESTIPVGVFHNIVMTSHLMPSIDFVSVNDDPALLFTNAWKAFGDFAEPFGCQVSDFSKRMVWELPDCSIDKQLIPSQSARRKLALGDSTSRRVRDNQTHEPSGQFSRGLNTPSASIGHTRRDTFRQRKPNTSRPPSMHVDDYVARERNIEGASSASNIVSSTPRGALSGRPPSIHVDEFMARQRERQNPVLAPSGDATQVRSKATLDDNVSTKPEKPRQPKADLDDDQEINIIFDEESGSDDKLPFPQPDDSLQSPPVIIGENSPGPVVDETENQQNGINLFSGTVVSESDEACETGISSQTAIRQESNIPSERKFSVSSPEKVMFPDHADESPFISPTTGSKVIPGYSTHAAQATLRQLPPNMHRKRSPHKLAESSVSSGSHGHNRTLYNNQPPLPPMPPPVSSTSLQNPDSIQRQPSTYIATDGPPPFPPSYLMQSFDACMPSFVGHQVQTENVLPSTGDSSSNALPSVDAKFLWSTLPVNRIPMEHLSSGSSTRPVSPLPLRPALATQHAAMNSGPPGSLYNQGGSGVLQPSSPASLINDATLGTNPASGGALASNSLPSLASQFIIGRPSTPPFFGTPLQIQLSSGLAQSVSNPLPSLSSMQPRAPPPPPQQPHPSQTFQGSLQQPQEQPMPYPLNTIQPQVSLQFQNQLHVPQLQFYHQTQQESVLQPIGHVSEQPIGQSAQQQTDSGMNLNHFFSSPEAIQSLLSDRDKLCKLLEQNPKLMQMLQVRWMDFACSLVRYISAWSQ >ORUFI03G23690.4 pep chromosome:OR_W1943:3:18599419:18618689:-1 gene:ORUFI03G23690 transcript:ORUFI03G23690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTPCDCGLLYRSCWRPWPAWLPRHPSRLLRPPRRRLGLGANLHHHDASGGAGCFRRILLAQVNLPVPSASTPGGLLASSPTPAAARADLLPASSGAVVLLLPPPVPRPPLTKAMGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKLEDLPPALHSSKFTFEDSLSSLKPLSLQATELDLSIEVKKILLLALTMYQIPNVENLIPNLQSAVISAVLKYMPASTNCMSRNWNRDPANCFAEDNVDSQGTSNTLLMEASNELFDIWKNVNSIVDNITFDDNGLAFRLEELPTTKHLFTLFDSCFPYYRNCSLLDLECPFQSAVVNIVSNLPSEELSSDGVNFLSSASIELAELLKMINMCVPIEDPSPVLTARRIRKFGHLEGLLSYNLTIGLITSSKYSFLQFDADPYMLSLIQERGFFPLSAALLSSPVLRLASGPAAEILMEIASSIEALVLSLLFCRSGLSFLLGQPEATELILLSLQDGEDMSKTECMTLRQAFVLLSKGFFCRPQEVAMITELHLKVGSAANRLLAVPPNSDELLWVLWELCAISRSDSGRQALLTLGFFPEVPLFVCISNCICNLFEMLNDAIFLSQAVSVLLSSLSSYNDLDSVTNKNGGSPLGHAIFHSTAEILEVLVADSTASSLKSWIGFAIDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYKRNGAVGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADPNNTDGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISEEKAVASSLFEEGAINVIYVVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQTLVDLMIPSLVLLINLLHILNETKEQYRNKKLLTALLQLHREVSPRLAACAADLSFMFPSFAVSFGVVCHLVTSAIACWPLYNWAPGLFHCLLENVEATNAAVPLGPKDACSLLCLLGDLFPDEGIWLWKVEVPSLTAIRSLSTGTVLGCQVEKHMNWYLHPEHVSILLIRLMPQLDRLACVIDNFATSALTVIQDMLRIFIVRIASEKIECAVVLLRPIFIWLNNKVDETSLSEREIFKVHQLLQFIAKLSEHPNGKALLCKMGVARILRKLLQECSSMCYMEDNMISDKGVYSNDLLMLRWKIPLLRSIASIFSTRPSSKEPTTVEELWNENACVEECSSIMYHLLMLCQVLPVGRDMFACSLAFKEVASSYSCRGAVTSIFSQIQTSNKDEPQKSESETCHDTSKCWKRLLQYICANRPTDYLVEIVYALTLGAIALSQSGENLEGTIILRRLFGHPSVPSSSEASDETFQEKICQGFDNWSPYVGKPLLHQVRSSVRLLCSIIDNSGPFTDSVRMVLEESTIPVGVFHNIVMTSHLMPSIDFVSVNDDPALLFTNAWKAFGDFAEPFGCQVSDFSKRMVWELPDCSIDKQLIPSQSARRKLALGDSTSRRVRDNQTHEPSGQFSRGLNTPSASIGHTRRDTFRQRKPNTSRPPSMHVDDYVARERNIEGASSASNIVSSTPRGALSGRPPSIHVDEFMARQRERQNPVLAPSGDATQVRSKATLDDNVSTKPEKPRQPKADLDDDQEINIIFDEESGSDDKLPFPQPDDSLQSPPVIIGENSPGPVVDETENQQNGINLFSGTVVSESDEACETGISSQTAIRQESNIPSERKFSVSSPEKVMFPDHADESPFISPTTGSKVIPGYSTHAAQATLRQLPPNMHRKRSPHKLAESSVSSGSHGHNRTLYNNQPPLPPMPPPVSSTSLQNPDSIQRQPSTYIATDGPPPFPPSYLMQSFDACMPSFVGHQVQTENVLPSTGDSSSNALPSVDAKFLWSTLPVNRIPMEHLSSGSSTRPVSPLPLRPALATQHAAMNSGPPGSLYNQGGSGVLQPSSPASLINDATLGTNPASGGALASNSLPSLASQFIIGRPSTPPFFGTPLQIQLSSGLAQSVSNPLPSLSSMQPRAPPPPPQQPHPSQTFQGSLQQPQEQPMPYPLNTIQPQVSLQFQNQLHVPQLQFYHQTQQESVLQPIGHVSEQPIGQSAQQQTDSGMNLNHFFSSPEAIQSLLSDRDKLCKLLEQNPKLMQMLQVRWMDFACSLVRYISAWSQ >ORUFI03G23700.1 pep chromosome:OR_W1943:3:18630551:18631294:-1 gene:ORUFI03G23700 transcript:ORUFI03G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRPAVLHIQRSSRTKQQLPPLPPFARQHCRSSALRAPPLLHALASHTAASPSVQCSCLAAPTVEIKEVLAPSPPCPHAAHIEEVWVSRIEDSEGGINDELGKGPNNACGEQVQGVGCRCGVGAGGVEGIYGGGREEGVVGGDEAGGAVDEREAAQECGGPVEDKARVRIAEVAGLERLEVGDGGRGWGGEGEKGGDESPATASLERERLASASLVVPALGAALSSGDGDVEQRPPRSRRRRTAP >ORUFI03G23710.1 pep chromosome:OR_W1943:3:18659769:18663659:1 gene:ORUFI03G23710 transcript:ORUFI03G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALGSLSPIRATSAGHDRHGGLSVASASVLDATVSLYRLLECISVEPGDRTPTRPCNNASPTLAHLRLDNIDKETRRVIGRMCYFFAGSATSCNQQTYKWIRLLGAPHHQVQNQVSHVHVIDSNDTLFAC >ORUFI03G23710.2 pep chromosome:OR_W1943:3:18659769:18664091:1 gene:ORUFI03G23710 transcript:ORUFI03G23710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALGSLSPIRATSAGHDRHGGLSVASASVLDATVSLYRLLECISVEPGDRTPTRPCNNASPTLAHLRLDNIDKETRRVIGRMCYFFAGSATSCNQQTYKWIRLLGAPHHQVQNQVCTLNLYVDFHQLNLASN >ORUFI03G23720.1 pep chromosome:OR_W1943:3:18667860:18672197:-1 gene:ORUFI03G23720 transcript:ORUFI03G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMHPSPLLSLAHPPPLPASIRRRFPSPDRRHWRPLTPQEHCRLASSISDNAAARRRRSPPQEQRRPASPSSSDDIPRQLIFRAIRDSNFHLADIAAPLIPVTGSRLFHMHCSCCHPRPHCIYVLDLYLSVTTIQEGRPRSDLSWVPSAPTIEVEAEVAMTMAAIRRHEVGEEQIGRRHGAQIWKRGPTDREETVAGVCPSVGCLPAVADAS >ORUFI03G23720.2 pep chromosome:OR_W1943:3:18667860:18672197:-1 gene:ORUFI03G23720 transcript:ORUFI03G23720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMHPSPLLSLAHPPPLPASIRRRFPSPDRRHWRPLTPQEHCRLASSISDNAAARRRRSPPQEQRRPASPSSSDDIPRQLIFRAIRDSNFHLADIAAPLIPVTGSRLFHMHCSCCHPRPHCIYVLDLYLSVTTIQEGRPRSDLSWVPSAPTIESAACVVPQVEAEVAMTMAAIRRHEVGEEQIGRRHGAQIWKRGPTDREETVAGVCPSVGCLPAVADAS >ORUFI03G23720.3 pep chromosome:OR_W1943:3:18671374:18672197:-1 gene:ORUFI03G23720 transcript:ORUFI03G23720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMHPSPLLSLAHPPPLPASIRRRFPSPDRRHWRPLTPQEHCRLASSISDNAAARRRRSPPQEQRRPASPSSSDDIPRQLIFRAIRDSNFHLADIAAPLIPVTGSRLFHMHCSCCHPRPHCIYVLDLYLSVTTIQEGRPRSDLSWVPSAPTIEVNYYIYIYIYIYIYSS >ORUFI03G23730.1 pep chromosome:OR_W1943:3:18691419:18695935:1 gene:ORUFI03G23730 transcript:ORUFI03G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTWPWRALPLAAVLLLFLSPAASVDTVTMEAPLAGNRTIVSAGGTFTLGFFTPDAAPAGRRYLGIWYSNILARTVVWVANRRSPVVGGSPTLKINGNGSLAIVDGQGRVVWASPVMSASVLRAGSATAQLLDNGNFVLRFASAGVAWQSFDYPTDTLLPGMKLGIDFRTGLDRYMNSWRAADDPSPGEYSFRIDPSGSPEFFLYRWSTRTYGSGPWNGYQFSGVPNLRTNTLLSYQYVSTADEAYYRYEVDDSTTILTRFVMNSSGQIQRLMWIDTTRSWSVFSSYPMDECEAYRACGAYGVCNVEQSPMCGCAEGFEPRYPKAWALRDGSGGCIRRTVLNCTGGDGFAVTRNMKLPESANATVDMALGLEECRLSCLSNCACRAYASANVTSADAKGCFMWTADLLDMRQFDNGGQDLFVRLAASDLPTNSVSDNSQTAKLVEIIVPSVVALLLLLAGLVICVIKAKKNRKAIPSALNNGQVTPFGQRNHTASALNNWEITPFWQRNHVAASNDAQDNNSMRPTGHGNHQDLDLPSFVIETILYATNNFSADNKLGQGGFGPVYMGRLDNGQDIAVKRLSRRSTQGLREFKNEVKLIAKLQHRNLVRLLGCCIDGSERMLIYEYMHNRSLNTFLFNEEKQSILNWSKRFNIINGIARGILYLHQDSALRIIHRDLKASNILLDRDMNPKISDFGVARIFGTDQTSAYTKKVVGTYGYMSPEYAMDGVFSMKSDVFSFGVLAWRLWKEGRSLEFLDQSIGGTSSNVTEVLRCIQIGLLCVQEQPRHRPTMSAVTMMLSSESPALLEPCEPAFCTGRSLSDDTEASRSNSARSWTVTVVEGR >ORUFI03G23740.1 pep chromosome:OR_W1943:3:18705579:18706186:1 gene:ORUFI03G23740 transcript:ORUFI03G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCSPWNMSYSGGVSRVLTKQRNGGSEVQGAGEVVVVGQRRQNSSVDVAGSTQGANCNFESTGVLEMSHRGLSQRGLPAKDLWRGFKVDFVQQEIWARYPLGQG >ORUFI03G23750.1 pep chromosome:OR_W1943:3:18789676:18790377:-1 gene:ORUFI03G23750 transcript:ORUFI03G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDPKAGESSSTVTAHHLFVVVIDGVETSIHEGSLQASLGGTVTITSAGNLSASGVRSVVVRGGGGGSVSFTLCGDAVADGVDSASFVRCGAARAEGARAVSVTRCRAADLEQAGRVSLERCREARVRGGGALRAARCRRADVESFGEVHLARCKGARVDWCGSVEVEMCRAVDVSRCGTVTGGRCRVVNAVGCGSVEVAHAVVNILEEEQPQAAQHPVSPSHSSRSSDSE >ORUFI03G23760.1 pep chromosome:OR_W1943:3:18810947:18811129:1 gene:ORUFI03G23760 transcript:ORUFI03G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYGSPRDSLEVARTPTKLGRRRRTEQRSGGGGKQRYDGVGFLRSLGCQEEKGNGSKIT >ORUFI03G23770.1 pep chromosome:OR_W1943:3:18811132:18811416:1 gene:ORUFI03G23770 transcript:ORUFI03G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHMRGIAPKLTRQRGIDDDGQRPDLGKKSSISSTAADLGDVGGAMAWSAEARVDWKAKWPTAALWTPRAASRLAQGVKRHD >ORUFI03G23780.1 pep chromosome:OR_W1943:3:18812957:18813418:1 gene:ORUFI03G23780 transcript:ORUFI03G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPVEFSLLHRRGAAAVLSSRTAVSPLSSSSSPFAHRQPHRPHWSSSAAQGLLPLLRASPPHLQVATVTTLGRWSSNLYMATDVAVQAVGPATSPSSSSSMIHRQRCRVFLDYTSLFSGNCVLLRQFSLYAVLAPRPSWRPSLLVSSDIGI >ORUFI03G23790.1 pep chromosome:OR_W1943:3:18813464:18813907:1 gene:ORUFI03G23790 transcript:ORUFI03G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFVPSCPGVWQTLCDVSSFMVQLHRLFGINFLNNCRDRVTVIVSCTSSRTLVPDHSTAPHPLPAARLPRHQLPDFGYNDHGYSTHGFIDHGSLGSFALATSTMAQRAIIRIEHSCRFLLQSKCLRCSRLDCGGILEYMVVRVILG >ORUFI03G23800.1 pep chromosome:OR_W1943:3:18837075:18837809:-1 gene:ORUFI03G23800 transcript:ORUFI03G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALDLRNPPSAATTVKEDQQFTVFIDGVETALHEGVIQWNGGTVTLVSTGVLAVDRLQHVVVRGGGSGDVSFTRCGFAAAEACGVASFHRCDAVRADGAREVAVRRCRSADVERAGVVAIRRCKGAACVRGAGELRVGRCHEANVGGCADVAVGRCRAARADWCGALGIERCGSADVSRCGAVRVDRCRAASVSGCSSVAVRRGKVNVIEQPPVCQEKPMYHLVHAEPVSAIPLEISSEIKLQ >ORUFI03G23810.1 pep chromosome:OR_W1943:3:18839287:18840165:1 gene:ORUFI03G23810 transcript:ORUFI03G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSSSKQDRALQAKNLAERCFLAGDVAGAKRWCQNALKLDPDLPGVAQAAAAYNVHSVAALKAIGVAGCGPDWYAVLGLPQPRSDLVTHHDAVKKQYRKLCLLVHPDKNTSAAADGAFKLVQTAWDVLSTRHPPPGATAAAASACTRPMRAEDLFRTKPTAAAPATPPAVKRPPEPPPKTTQRQQPPGPPPKPQPSAPKRPQVVQMRRPAPAKQQRPTIPPPPPVVKRPSPTRAKCQYCGAAISKSFRCMSCHRSPMDNKPGYSDNDEYDDYYAKKNMEYDDYYYHDDR >ORUFI03G23820.1 pep chromosome:OR_W1943:3:18965040:18977896:-1 gene:ORUFI03G23820 transcript:ORUFI03G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPVRPWADLQHDLLVMIMSRVGLPDLLSGGATRACSAWRASARDPLVWRRVDLRDWAVLTSARRRLAAGDGEAAAAGRGRVPLQAALCSVLEIVVRRAAGRMEALLLPEFADEEHLLFLAQRNPNLHYFSLPATCITYDQFRKAIDKLQFLKGMAVDESLINHDVLSHVHQCCPDFLELKVFALYVDEEMASIICNSLPRLKKLEIPNSDMSCAAIIKFLDCLEELEYLDISGYETSAISSAVLQKASRLNIFIWNSKFELGEFTDCSNCGEHCINPQKPYASYGSSLKKTIKHANVLVSIKQNVDTYSLC >ORUFI03G23830.1 pep chromosome:OR_W1943:3:19013100:19013630:1 gene:ORUFI03G23830 transcript:ORUFI03G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding AHRSSGARSAHRRSDIVAVAINLDQVGASHHATSFTSTSSPFNLKKPIQWRSDLGGRHRLFQLRSIASIIDSILSDRAADVIPGVVPCRHGASPSL >ORUFI03G23840.1 pep chromosome:OR_W1943:3:19014928:19015721:1 gene:ORUFI03G23840 transcript:ORUFI03G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSPVLHGLLRPPSHAATAKGGAGHRRAAVGSGVERSTAAGEGGREAVRASVPPAHLPPWGSTATTRKRQDVAEAGQLHACPATVAMLLATVIIAFFTNLSILSNVPHRAAAASSSSPPPLPSSFPGGCQPCPAPPPLAAGRAHHLPSRPSVAPSCYPAAHARCTVPNCPLSMSPMESG >ORUFI03G23850.1 pep chromosome:OR_W1943:3:19040228:19041219:1 gene:ORUFI03G23850 transcript:ORUFI03G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEIMKKHSAWLKLLLGPKIASGSNSRIHRGMYREQPVAVKIMHAPVGDNDDDVLVRREMEAQFDAEVSLLSRLRHPNVVRLIAVCREPEVYWIITELMPRGTLSSYLHGLEPYSLPPETVVRLALDVARGMEYLHARGVADLGTSCLEATCRGDKCSSKAGTFRWMAPEMIHDKRCNRKVDVYSFGLVLWELTTCLVPFQNLSPVQVAFSVCDRDARPPLSPSCPPAINSLIERCWSTEPARRPEFKNIVSVLESYDRCLRQGLPLLPLPEPSPSPLASLLGVFKIRSCKSTTRSSNADRRIHAYNLC >ORUFI03G23860.1 pep chromosome:OR_W1943:3:19062219:19067559:1 gene:ORUFI03G23860 transcript:ORUFI03G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEHNKMMITLVRLLPLVLVVALAWPAAEAPAAASPPPDPVQCSSGSGTADCTVSSAYGVFPDRSTCLAAAAVYPSTEEELVRAVANATASGTKMKVATRYSHSIPQLACPGDGDGEGLVISTRRLNRVVAVDAGRMEVTVESGISLRELIAEAGKAGMALPYAPYWWGLTVGGMLGTGAHGSSLWGKGSAVHEYVVGMRIVTPAPAADGYAKVRVLTAADPELDAAKVSLGVLGVISQVTLALQPLFKRSVTFMERDDDDLADQVTKFGYQHEFADIAWYPGIGRAVYRVDDRLPMNASGEGVLDFIGFRATPRLLIRTNRLAEELFERAGNGSGKCVTSRVTHAALSSAGYGLMRRSGGLFTGYPVVGPQHRMQASGGCITGPEDALLTACPWDPRVRGSSFFHQTTFSLPVSRAGAFVEEVRRLRDMNPKALCGVELYDGILIRYVKASTAHLGKPAAGGGQSDDMVDFDMTYYRSRDPNRARLFEDVLEEIEQMGVFKYGGLPHWGKNRNLAFVGAARKYPRIGEFLRIKDAYDPDGLFSSDWSDMMLGIGGRAPTRDAPGCALEGMCVCSQDAHCAPEQGYVCRPGKVYKDARVCTKV >ORUFI03G23870.1 pep chromosome:OR_W1943:3:19070762:19072203:-1 gene:ORUFI03G23870 transcript:ORUFI03G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFSPATAAPAASPALFSASTSRPLSLTAAAAAVSARIPSRRGFRRGRFTVCNVAAPSATQQEAKAAGAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPDIRVVVGDPFNSDPDDPEVMGPEVRERVLEGEKLPVVTAKITMVDLPLGATEDRVCGTIDIEKALTDGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDRDPKAFRESYLEEQDKLQQQISSARSNLGAVQIDHDLRVKISKVCAELNVDGLRGDIVTNRAAKALAALKGRDTVTVEDIATVIPNCLRHRLRKDPLESIDSGLLVVEKFYEVFT >ORUFI03G23890.1 pep chromosome:OR_W1943:3:19096858:19098209:-1 gene:ORUFI03G23890 transcript:ORUFI03G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEREHACPPPSRPFNHRSTGALPLFLSVVAREKKQKEGEKNGRKKKRHMTNRTTSFISIQGADVDPDGYAEAAGNLKAQGKT >ORUFI03G23900.1 pep chromosome:OR_W1943:3:19098060:19098341:1 gene:ORUFI03G23900 transcript:ORUFI03G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLPPVLLSFLLFLLPSNNREEEGQGAGASVVERPRRRRASVFPLAAHPGRSVEDIGRKEDGRNGNNKSSAPAGYGGAGGGWWKWLKERGK >ORUFI03G23910.1 pep chromosome:OR_W1943:3:19098353:19100327:-1 gene:ORUFI03G23910 transcript:ORUFI03G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIAGPIAPCRSMAQLSRSPPSRLPLAHAHGAAAAQPVRRRHLAMPPTPSPCRRCQETTIDVICHLTPPTSAAEPNRHHQPISGQSWRRAPLLHAAVAEFSLSSSSATVLRHRLSSPINRRRCPLFSHTSELSELFFSLSCHDRPMPATGRSPPLLLPCLFPFTIGFASLR >ORUFI03G23920.1 pep chromosome:OR_W1943:3:19106631:19107005:-1 gene:ORUFI03G23920 transcript:ORUFI03G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPCLARAVLATPARAFVLSCPGVWQALCDVSSFTVRLHRLFGVIFLNDRRDCITVFVFSASSRTIGPRCPPVHPRPLYGAPCALRLGYLDIIDFPTSATSTTATVRTASSTMALSAPLLWLR >ORUFI03G23930.1 pep chromosome:OR_W1943:3:19107009:19107856:-1 gene:ORUFI03G23930 transcript:ORUFI03G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLICFDWVCVAHRLVDICASPTSASTLLRLHRSASRIFGLITRSNARLQRLLQPIAIEHASMPSSFGVPLRRPPRAAVALPVVHTFTGCCSAERCPPQHFYITGGLLAAASTWSCSYVVLSNRSFAAFVVFIAVRASTTSSSALGLLPLLRASPPHLQAATVATLGRWCSYLYMATDVAVQAVGPATSPSTSSSMTHRQRRRIFLDYTSLFSGNCMLLRQFSLYAVLAPRPSWRPSLLVSSDIGI >ORUFI03G23940.1 pep chromosome:OR_W1943:3:19122037:19126022:1 gene:ORUFI03G23940 transcript:ORUFI03G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPQHCQRAAEMDKPAPQAFVYRISTADEWAQLQRTGGTLGGDLDRSTGCIHLSDLSQVRKTLKNFFLGRNDLYLLQVDTSKLSDGLVYEATDDSNYFPHFYGPGRSFAPLQLDAVIKAEKIVLVNNDFTCSLLDGADPLL >ORUFI03G23950.1 pep chromosome:OR_W1943:3:19130243:19130446:1 gene:ORUFI03G23950 transcript:ORUFI03G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSRVVERRRKGGPAPGAATKITVATCGLESGGSTTGGLRNGGSIASKLGSGGYVVASDGEEAEG >ORUFI03G23960.1 pep chromosome:OR_W1943:3:19133591:19134331:-1 gene:ORUFI03G23960 transcript:ORUFI03G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILEAAAFLLLTAAAGASTVVEPVASCSATGSFAADSSFAGNLGRLVSLLEAKAPAIGFDIATVGVGGDGEDQRVHGLALCRGDVARATCAECIRAAGALARRVCPSKKDAVVWLDACMLRYSGEPFFGEVDAEHRAVVPPARILRGADRSADLDREVSRLMKRLTRTAYLSPLLFAAGEAVAVGGAQRLHGMAQCTKDLSGGDCKMCLESAIDQLLARGCAKEGGKVLGGSCSLRYDFYSLSDS >ORUFI03G23970.1 pep chromosome:OR_W1943:3:19162464:19163474:-1 gene:ORUFI03G23970 transcript:ORUFI03G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPHPLLLLLTLLVAAGAASAGADDLVAELQSLRSRSPSGVIHLTDTSVTRFLSAPAPRPYSVLVFFDAASLHSKTDLHLPQLRREFALLSASFLAHNPASADLFFADIEFSESQHSFAQFGVNSLPHVRLVRPEHTRLAGSEQMDQSHFSRLADSMAEFVESRTGLEVGPIVRPPLVSRNQMILLVILFLVSIPFLIKRIMDGETLFHDRRVWMAGALFIYFFSVSGGMYGIIRHTPMFITDRSDPNKLVFFYQGSGMQLGAEGFAVGFLYTLVGLMIAMVTHLLVRVESLQIQRFTMLAVMIIGWWAVKKVILLDNWKTGYSIHTFWPSSWR >ORUFI03G23980.1 pep chromosome:OR_W1943:3:19172668:19180403:1 gene:ORUFI03G23980 transcript:ORUFI03G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRMKRNRRVRRSDHKARYPHFHMDHRPPCPAKCQNHPSALRPKRSFSFASSARHFAMAPAAASSSALLPAGTFSTARPVAGRPAGQRLPTRVAAASRTTTARSPAACLSVGGRRRGAEAVRASAGGAPAASLPAALLFDCDGVLVDTEKDGHRISFNETFAERELGVSWDVELYGELLKIGGGKERMTAYFSKTGWPAKAPKTDDERKEFIASLHKRKTELFMALIEKKLLPLRPGVQRLIDEALGKGVKVAVCSTSNEKAVSAIVSCLLGPDRAEKITIFAGDVVPRKKPDPAIYLLAATTLGVDPSSCVVVEDSTIGLAAAKAAGMKCIVTKSGYTAEEDFATADAVFDCIGDPPEVRFDLEFCANLLQKQFVS >ORUFI03G23990.1 pep chromosome:OR_W1943:3:19182919:19190876:1 gene:ORUFI03G23990 transcript:ORUFI03G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEQPLPLVQEATARIFSGGADASRTTVRLAHFLLPRADASHPPALPPLPPSDFGPVLDDGLEVEFKGWAPESPKLWRRWVAKLRPRHEPLWRSVGILDAILAGPVRAPPQDALRGDVDALEAVRRALYLSKNKNSRPDRTAWARHFLERPPGKVEVGGGGGGGGDEACGRLEHGAFLAMWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAALASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTTQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPKKFEWRPYGSSSFALRPEMGGHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPQRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPLLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSVSTINELSCASATKIVQGKSFQQASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGINEALGAMTVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPEDVVVISDDDNEDEVGGMHQKPPQLETAPSSLEEQNAESQIISASSNPQDSRVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIENVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHVQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >ORUFI03G23990.2 pep chromosome:OR_W1943:3:19182919:19190876:1 gene:ORUFI03G23990 transcript:ORUFI03G23990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEQPLPLVQEATARIFSGGADASRTTVRLAHFLLPRADASHPPALPPLPPSDFGPVLDDGLEVEFKGWAPESPKLWRRWVAKLRPRHEPLWRSVGILDAILAGPVRAPPQDALRGDVDALEAVRRALYLSKNKNSRPDRTAWARHFLERPPGKVEVGGGGGGGGDEACGRLEHGAFLAMWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAALASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTTQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPKKFEWRPYGSSSFALRPEMGGHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPQRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPLLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSVSTINELSCASATKIVQGKSFQQASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGINEALGAMTVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPEDVVVISDDDNEDEVGGMHQKPPQLETAPSSLEEQNAESQIISASSNPQDSRVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIENVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHVQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >ORUFI03G23990.3 pep chromosome:OR_W1943:3:19182919:19190876:1 gene:ORUFI03G23990 transcript:ORUFI03G23990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEQPLPLVQEATARIFSGGADASRTTVRLAHFLLPRADASHPPALPPLPPSDFGPVLDDGLEVEFKGWAPESPKLWRRWVAKLRPRHEPLWRSVGILDAILAGPVRAPPQDALRGDVDALEAVRRALYLSKNKNSRPDRTAWARHFLERPPGKVEVGGGGGGGGDEACGRLEHGAFLAMWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAALASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTTQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPKKFEWRPYGSSSFALRPEMGGHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPQRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPLLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSVSTINELSCASATKIVQGKSFQQASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGINEALGAMTVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPEDVVVISDDDNEDEVGGMHQKPPQLETAPSSLEEQNAESQIISASSNPQDSRVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIENVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHVQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >ORUFI03G23990.4 pep chromosome:OR_W1943:3:19182919:19190876:1 gene:ORUFI03G23990 transcript:ORUFI03G23990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEQPLPLVQEATARIFSGGADASRTTVRLAHFLLPRADASHPPALPPLPPSDFGPVLDDGLEVEFKGWAPESPKLWRRWVAKLRPRHEPLWRSVGILDAILAGPVRAPPQDALRGDVDALEAVRRALYLSKNKNSRPDRTAWARHFLERPPGKVEVGGGGGGGGDEACGRLEHGAFLAMWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAALASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTTQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPKKFEWRPYGSSSFALRPEMGGHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPQRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPLLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSVSTINELSCASATKIVQGKSFQQASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGINEALGAMTVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPEDVVVISDDDNEDEVGGMHQKPPQLETAPSSLEEQNAESQIISASSNPQDSRVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIENVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHVQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >ORUFI03G23990.5 pep chromosome:OR_W1943:3:19182919:19190876:1 gene:ORUFI03G23990 transcript:ORUFI03G23990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEQPLPLVQEATARIFSGGADASRTTVRLAHFLLPRADASHPPALPPLPPSDFGPVLDDGLEVEFKGWAPESPKLWRRWVAKLRPRHEPLWRSVGILDAILAGPVRAPPQDALRGDVDALEAVRRALYLSKNKNSRPDRTAWARHFLERPPGKVEVGGGGGGGGDEACGRLEHGAFLAMWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAALASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTTQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPKKFEWRPYGSSSFALRPEMGGHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPQRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPLLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSVSTINELSCASATKIVQGKSFQQASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGINEALGAMTVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPEDVVVISDDDNEDEVGGMHQKPPQLETAPSSLEEQNAESQIISASSNPQDSRVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIENVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHVQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >ORUFI03G23990.6 pep chromosome:OR_W1943:3:19182919:19190863:1 gene:ORUFI03G23990 transcript:ORUFI03G23990.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEQPLPLVQEATARIFSGGADASRTTVRLAHFLLPRADASHPPALPPLPPSDFGPVLDDGLEVEFKGWAPESPKLWRRWVAKLRPRHEPLWRSVGILDAILAGPVRAPPQDALRGDVDALEAVRRALYLSKNKNSRPDRTAWARHFLERPPGKVEVGGGGGGGGDEACGRLEHGAFLAMWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAALASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTTQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPKKFEWRPYGSSSFALRPEMGGHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPQRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPLLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSVSTINELSCASATKIVQGKSFQQASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGINEALGAMTVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPEDVVVISDDDNEDEVGGMHQKPPQLETAPSSLEEQNAESQIISASSNPQDSRVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIENVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHVQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >ORUFI03G23990.7 pep chromosome:OR_W1943:3:19182919:19190876:1 gene:ORUFI03G23990 transcript:ORUFI03G23990.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEQPLPLVQEATARIFSGGADASRTTVRLAHFLLPRADASHPPALPPLPPSDFGPVLDDGLEVEFKGWAPESPKLWRRWVAKLRPRHEPLWRSVGILDAILAGPVRAPPQDALRGDVDALEAVRRALYLSKNKNSRPDRTAWARHFLERPPGKVEVGGGGGGGGDEACGRLEHGAFLAMWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAALASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTTQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPKKFEWRPYGSSSFALRPEMGGHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPQRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPLLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSVSTINELSCASATKIVQGKSFQQASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGINEALGAMTVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPEDVVVISDDDNEDEVGGMHQKPPQLETAPSSLEEQNAESQIISASSNPQDSRVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIENVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHVQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >ORUFI03G23990.8 pep chromosome:OR_W1943:3:19182919:19189879:1 gene:ORUFI03G23990 transcript:ORUFI03G23990.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEQPLPLVQEATARIFSGGADASRTTVRLAHFLLPRADASHPPALPPLPPSDFGPVLDDGLEVEFKGWAPESPKLWRRWVAKLRPRHEPLWRSVGILDAILAGPVRAPPQDALRGDVDALEAVRRALYLSKNKNSRPDRTAWARHFLERPPGKVEVGGGGGGGGDEACGRLEHGAFLAMWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAALASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTTQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPKKFEWRPYGSSSFALRPEMGGHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPQRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPLLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSVSTINELSCASATKIVQGKSFQQASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGINEALGAMTVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPEDVVVISDDDNEDEVGGMHQKPPQLETAPSSLEEQNAESQIISASSNPQDSRVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIENVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHVQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >ORUFI03G23990.9 pep chromosome:OR_W1943:3:19182919:19189282:1 gene:ORUFI03G23990 transcript:ORUFI03G23990.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEQPLPLVQEATARIFSGGADASRTTVRLAHFLLPRADASHPPALPPLPPSDFGPVLDDGLEVEFKGWAPESPKLWRRWVAKLRPRHEPLWRSVGILDAILAGPVRAPPQDALRGDVDALEAVRRALYLSKNKNSRPDRTAWARHFLERPPGKVEVGGGGGGGGDEACGRLEHGAFLAMWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAALASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTTQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPKKFEWRPYGSSSFALRPEMGGHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPQRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPLLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSVSTINELSCASATKIVQGKSFQQASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGINEALGAMTVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPEDVVVISDDDNEDEVGGMHQKPPQLETAPSSLEEQNAESQIISASSNPQDSRVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIENVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHVQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >ORUFI03G24000.1 pep chromosome:OR_W1943:3:19193023:19193514:-1 gene:ORUFI03G24000 transcript:ORUFI03G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFNAAPFLPRPIAMQPHPAASLPLPAREPASYAGEVRYGREVARSGQGTPYPEALPPHRRPNQHRLNLVIPVDSASPSTLPLSSPPVTNPQPSTTAAVAARDQMRLWAPTPQPRGESRPCRRRLCGRAVSDSSLKQRPGKEGKGARVVVRWRRSPPVSPLP >ORUFI03G24010.1 pep chromosome:OR_W1943:3:19196353:19211076:1 gene:ORUFI03G24010 transcript:ORUFI03G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRSASRLLSSFRPFSLLLQPLDDAPSLAAAAAAASARRGMSSASALRARDEKEVARWRESMDRMRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWNGYQVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEIPRVAFINKLDRMGADPWKVLNQARSKLRHHSAAVQVPIGLEEEFEGLVDLVELKAYKFEGGSGQNVVASDVPSNMQDLVMEKRRELIEVVSEVDDQLAEAFLNDEPIQANQLKAAIRRATVARKFIPVYMGSAFKNKGVQPLLDGVLDYLPCPMEVESYALDQNKSEEKVLLAGTPAEPLVALAFKLEEGRFGQLTYLRIYDGVIRKGDFIYNVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTDGSVKYTMTSMNVPEPVMSLAVSPISKDSGGQFSKALNRFQKEDPTFRVGLDPESGETIISGMGELHLDIYVERIRREYKVDAKVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYIEPLPSESDGKFEFDNMIIGQAIPSNFIPAIEKGFKEACNSGSLIGHPVENIRIVLTDGASHAVDSSELAFKLASIYAFRQCYAAARPVILEPVMKVELKVPTEFQGTVTGDMNKRKGIIVGNDQEGDDTVVVCHVPLNNMFGYSTALRSMTQGKGEFSMEYLEHNTVSQDVQMQLVNTYKASRGTE >ORUFI03G24020.1 pep chromosome:OR_W1943:3:19214574:19219680:-1 gene:ORUFI03G24020 transcript:ORUFI03G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVPSPSLPTATALAGTPEMAAVALALALRGWWEEVNGSPAWQDGAFFSLSAAYALVSAVALLRVPEFGWTTQKVFHLMNFVVNGVRAVVFGFHTQVFLFQTKVYTLVLLDLPGLLFFSTYTLLVLFWAEIYHQAKNLPTDKLRIIYMAVNGVIYVIQVCIWIYLGTNDSPLLEPVSKIFISVVSFLALLGFLIYGGRLFFMLRRFPIESKGRRKKLYEVGTVTAICFTCFLIRCIVVAMSSFDPDLSLEVLDHPILDFFYYMLTEILPSALVLFILRKLPPKRVSAQYHPIR >ORUFI03G24020.2 pep chromosome:OR_W1943:3:19214574:19219680:-1 gene:ORUFI03G24020 transcript:ORUFI03G24020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVPSPSLPTATALAGTPEMAAVALALALRGWWEEVNGSPAWQDGAFFSLSAAYALVSAVALVQLIRIQLRVPEFGWTTQKVYTLVLLDLPGLLFFSTYTLLVLFWAEIYHQAKNLPTDKLRIIYMAVNGVIYVIQVCIWIYLGTNDSPLLEPVSKIFISVVSFLALLGFLIYGGRLFFMLRRFPIESKGRRKKLYEVGTVTAICFTCFLIRCIVVAMSSFDPDLSLEVLDHPILDFFYYMLTEILPSALVLFILRKLPPKRVSAQYHPIR >ORUFI03G24030.1 pep chromosome:OR_W1943:3:19226167:19227640:1 gene:ORUFI03G24030 transcript:ORUFI03G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSCRVSFFGLIRQHPLESVSVNTITTSKKDISELGPPDQFYFLSSIRQTFGHVAAVVWQILHIDDWSKRKEMEKMKNRLHTVVDTIKTEAKEVQLMRFDGECGEHRSVVLICFLLKCVTKILFVCISS >ORUFI03G24050.1 pep chromosome:OR_W1943:3:19260737:19264555:-1 gene:ORUFI03G24050 transcript:ORUFI03G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQESRGSSGKAPVTVTVTAAGASSSGECSTPPFRLNVHAPEFVPRSPAASPMAAAAAGYYSPFLQLPGSSIGLGADWSIFADPDPSFFLPDFGHAKIGGGNGQPKGASPADIAQKIIKQVEYQFSDTNLVANDFLMKIMNKDPECYVPMSVISSWKKIKAMGVTNQLLVNALRTSSKLVVSDDGKKVRRAQPFTERHKEELQSRMVIAENLPEDSTRNSLEKIFGIIGSVKNIRICHPQEPSSARSSKSDALISNKLHALIEYETSQQADRAVDKLNDERNWRKGLRVRPVLRRSPKSAMRLKRPDFDHLMISDDDHSPQSQASSDSPMADHLPDHHLDPRLAAGRSARQEVVGERAGKQAARCRRRGAASRRRRGRAFGLPDDDEPPPRAAGAEDARRNARVHHGAREAVAGCRPPELDGPRSRRSCSGGCDDLMVVVRNNF >ORUFI03G24060.1 pep chromosome:OR_W1943:3:19269025:19271756:1 gene:ORUFI03G24060 transcript:ORUFI03G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPAASWRRHAAAAASSSSTRKTLLLLLPVLLLLLLFVLSRAPDLTLSPAAASSRRLSAGVRPFDCYASQQASPVFASLVEGVPHPFFYSLADMGALPDHPHKNIARILKGKRFRKPDISETIQQLLGGKVGIGSRGVVVDVGANVGMASFAAAVMGFRVVAFEPVLENLQRICDGVYLNRVQDQVVVYHAAASDRVGNITMHKVIGRLDNSAISATGAKLAFKANEEIAVEVATIPLDEVILDAERVVLIKIDVQGWEYHVLRGASKLLSRRKGDAPYLIYEEDERLLQASNSSAREIRAFLSSVGYNHCTRHSTDAHCTKN >ORUFI03G24070.1 pep chromosome:OR_W1943:3:19272120:19275392:-1 gene:ORUFI03G24070 transcript:ORUFI03G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSYLAVGGWFIQVIFDKYLSYQLQSWAADCGISHEMNRLRVALLRTQSVLHGAEVTPSLSYGSLPWMRELRDVMYHAEDLLDKLEYNRLHHQMQESSSTESNSSPISAFMHSRFRNQGAQASGLEPHWDRSTRVKNQMVNLLERLEQVASGVSEALSLPRKPRHSRYNIMTSSVAHAEIFGRESEIQQLVSTLLSSQVDGDSPVSVASIVGVGGVGKTALAQHVYNNTRVAQYFDMRMWICVTDAFDESRITREMLESVSSSRFRHDSITNFNRLQVALRARLVSKRFLLVLDDVWSNDKITLAIEHENWQKLLSPLKAAANGSKILLTTRSSMVAEMLQSAHITNLECLSDKDCWSLIKMIVFDDTNHLINSQLANIGSEIAKTLSGLPLAAKVVARQLKCKHTTDEWKQVLQRNAVWDEIMPIFQHSYENLPVHLQQFLAYCSIFPKDWEFEAEQLILMWMAQGGDEQRRIPSSVHHLSIHLDSLSMLDETIPYMNLRTLIFFTSRMVAPINISIPQVVLDNLRSLRVLDLSPCKIDRLPDSIRQCVHLRYLNISSTAINMLPEYLGKLYHLQVLNLSGCRLEKLPSSINNLVSLRHLTAANQILSTITDIGSLRYLQRLPIFKVTSEETNSIIQLGYLQELRGSLHIRNLENIDAPDEAKEAMLCKKVNLTMLQLMWAPARDLVNSDKEAEVLEYLQPHQNLKRLDIIGWMGVKAPSWLESKWLINLELIFLSGCNAWEQLPPLGQLPSVRTLWLQRLKTVRQIGLEVYGNRSSHVAFQSLEELVLDDMQELNEWSWTGQEMMNLRNIVIKDCQKLKELPPLPPSLTELTIAKKGFWVPYHHDVKMTQLTTVTTVSSLCIFNCPKLLARFSSPVTNGVVASFQSLRSLIVDHMRILTCPLLRERLEHIENLDIQDCSEITTFTADNEDVFLHLRSLQSLCISGCNNLQSLPSSLSSLESLDKLILWNCPELELLPDEQLPLSLRKLEVALCNPVLKDRLRKECGVDWPKIAHIPWVEIDGEILQ >ORUFI03G24080.1 pep chromosome:OR_W1943:3:19276848:19280975:-1 gene:ORUFI03G24080 transcript:ORUFI03G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSRRGPGAMDDENLTFETSPGVEVISSFDQMGIREDLLRGIYAYGFEKPSAIQQRAVLPIISGRDVIAQAQSGTGKTSMISLSVCQIVDTAVREVQALILSPTRELAAQTERVMLAIGDYINIQVHACIGGKSIGEDIRKLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDEADEMLGRGFKDQIYDVYRYLPPELQVCLISATLPHEILEMTSKFMTDPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTERMRSNNFTVSAMHGDMPQKERDAIMGEFRSGATRVLITTDVWARGLDVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKKEDIRILRDIEQYYSTQIDEMPMNVADLI >ORUFI03G24090.1 pep chromosome:OR_W1943:3:19286436:19288648:1 gene:ORUFI03G24090 transcript:ORUFI03G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHVWLEKEDAEGPPVLPLVEVKLCVDLATSRSSVEIGGSDGAVEIGDRGSEVEVGISLSSSSSSSMDGVRSGAIWESPYGESSNIFPSLDLATSADYLAAVDDGIPEVADYLATVDDHG >ORUFI03G24100.1 pep chromosome:OR_W1943:3:19290288:19290635:-1 gene:ORUFI03G24100 transcript:ORUFI03G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPVEVGAQGTIGSLVCREVEYFRRMEVAVVSHDHGKNMSSSSKQASRRRHRQRGEPQDQEQGPAAVEGGRGRRAYLFLPSICSSAEVAEATGAARVRYQHLGQDEGHSLPQ >ORUFI03G24110.1 pep chromosome:OR_W1943:3:19295928:19298648:-1 gene:ORUFI03G24110 transcript:ORUFI03G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGERPKLVRGVRQESRRFRLLVIVVGFFLVSLTFVFVSKPDAILFSLNGKLPVEQAPTSILIQQKVNEPSGESRKTSTDALRGDPKVVDDEADAKPKGTGGGSEEEEGRVLSEPDPTSGMMEPTHNKDGNGHKSHQETLGGGGDGESKGNDEEGEHAEQKHKVTLPTVSNYTIHDAAEDTENAKQEGMNNVQQGSKPLCDFSNFRANVCEMRGDVRIHPTATSVLFMEPEGSQRDEVWKIKPYPRKGDEFCLSHITEVTVKSSKVAPECTKYHDVPAVIFSLTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMALWWTIKYQTVLQKLSKYPVIDFSKDDQVHCFKHAIVGLHAYMEFTIDSTKAPHNYSMADFNRFMRGAYSLGRDSVTVLGEYPKIKPRLLIIKRHRTRMFLNLDEIISMAEKLGFEVVIDEANVSSDISRFARLVNSVDVMMGVHGAGLTNCVFLPQHATLIQIVPWGGLDWISRTDFGNPAELMGLRYKQYSIGVDESSLTDQYPRDHEIFKNPISFHQRGFDFIRQTFMDKQNVKLDCKRFRPILLEALDNLNP >ORUFI03G24110.2 pep chromosome:OR_W1943:3:19295928:19298648:-1 gene:ORUFI03G24110 transcript:ORUFI03G24110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGERPKLVRGVRQESRRFRLLVIVVGFFLVSLTFVFVSKPDAILFSLNGKLPVEQAPTSILIQQKVNEPSGESRKTSTDALRGDPKVVDDEADAKPKGQLINFLFFSPSQFYLTDTNGVRSFLLLLLPSLPYLLLGLLIARVVRPRLISTGTGGGSEEEEGRVLSEPDPTSGMMEPTHNKDGNGHKSHQETLGGGGDGESKGNDEEGEHAEQKHKVTLPTVSNYTIHDAAEDTENAKQEGMNNVQQGSKPLCDFSNFRANVCEMRGDVRIHPTATSVLFMEPEGSQRDEVWKIKPYPRKGDEFCLSHITEVTVKSSKVAPECTKYHDVPAVIFSLTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMALWWTIKYQTVLQKLSKYPVIDFSKDDQVHCFKHAIVGLHAYMEFTIDSTKAPHNYSMADFNRFMRGAYSLGRDSVTVLGEYPKIKPRLLIIKRHRTRMFLNLDEIISMAEKLGFEVVIDEANVSSDISRFARLVNSVDVMMGVHGAGLTNCVFLPQHATLIQIVPWGGLDWISRTDFGNPAELMGLRYKQYSIGVDESSLTDQYPRDHEIFKNPISFHQRGFDFIRQTFMDKQNVKLDCKRFRPILLEALDNLNP >ORUFI03G24120.1 pep chromosome:OR_W1943:3:19300152:19300691:1 gene:ORUFI03G24120 transcript:ORUFI03G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVAGTAAVRRSSPMPPPPPALQATAEGRGERGAAPERRDATDGERGGAATAARCPLAPLDSRRLRWLPRFCSSSLDRPRSERRGKGKGGGLAERPLPKMREADAARGEERPRPWRGGSGRPFPALPTPPLLRGRVDLAAPPPPSPQCRLAALARCFCAMGKGGSCAMEKGERREKGK >ORUFI03G24130.1 pep chromosome:OR_W1943:3:19323532:19328138:1 gene:ORUFI03G24130 transcript:ORUFI03G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERVVTPPSKINKQSNVPGLKGSSNQQQSTMKQFNMPPTAVADSADQATSTATPAADQAHAAAADQALVGTAAADQAPAQVAMQVDDSPGPVTRSRSVASPVHASPFKANKRKAVVTRTAKKLIGGAAASADSTASCDGEAITAGQTCIPPATMPGHNQYRG >ORUFI03G24140.1 pep chromosome:OR_W1943:3:19328385:19336311:1 gene:ORUFI03G24140 transcript:ORUFI03G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLELLPQQWQLSITSLILLAVSVVLIFWSRRRRNPSSRLKLPPGPTRLPIIGNLHQISRLPHRSLGVLAGWHGPVMALRLGTVPVVAAREALKVHDPECCSRSPSAGPRMLSYGYKDVAFSPYSNYVRDMRKLFVVELLSMRRVQAAFGVVGSTGSTRGKPPHSTPLSPYPLANRVVPSSSSCRASVIGGRGGEGLATPVRRGEGGAGAAERGRRCRSGEGRGEEVLAMFTHCNARAVMLPDYYCCMVEKLIEKLTRNGRNAVAINEHIFSTVDGIIGTFALGETYAAEEFKDISETMDLLSSSSAEDFFPGSVAGRLVDRLTGLAARREAIFRKLDRFFERIVDQHAAADDDGPAAARRKADDKGSAGSDLVHELIDLWKMEGNTKQGFTKDHVKAMLLDTFVGGITTTSVTLHWAMSELIRNPRVTKKAQDEIRAVVGEKERVQHHDMPKLKYLKMVVKETFRLHPPATLLVPRETTRHFKVGGYDIPEKTKVIVNAWAIGRDPNIWKDPEEFIPERFEEMDIDFNGAHFELVPFGSGCRICPGLAIILPHGVRKEDIDMEEAGKLTFHKKIPLLLVPTPNKAPN >ORUFI03G24150.1 pep chromosome:OR_W1943:3:19337285:19339763:-1 gene:ORUFI03G24150 transcript:ORUFI03G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGFAVLAGGVLGYARRGSTASLAGGAGAGALLLLAGFVSLKAFEKRRNSYLALALETLLNLLLERSSMHVVVSLLTMESSKICALALTYVMGQRYLETSKIMPAGVVAGLSALMSAFYLFKIATGGNHIPPKKE >ORUFI03G24160.1 pep chromosome:OR_W1943:3:19341660:19343162:1 gene:ORUFI03G24160 transcript:ORUFI03G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQGEAHRREPDQRRPMCGVCTKPLRLCLCGRLRRPPLDTAVGVTVLQHLMEAGHPLNSTRVARLGLRNLAVALVGDVNHRASFHLRTLDAAAAAGGGNHDRPDGPGEIQVLEGDGFGGGTGGPAGPVQCEGETLDSAICSNGISGESGGAVSCARRDYVTKGINASSDLGVKAANIRGSSDIGGEILDLVDIPDRIGFDLDGEICSVKSDLGGGEELGFQSMKRNGYCSDSERLGSSANQTGNSFVDGIHGENHHSIGEVNGNLPRHLVENASEFQMATAQNCNGIPRENVGTGAAIGQGWTVKNMDKCSITYTEKELKIEIERGVKPKIRWLSRGPLGQSAVSNGFTVTKIQMKKSKQTGEVSVFEEFSITIPSKSALLFPCQRAISIDASDCQLQHLIVLDGTWAKAQRMYHENPWLQLLPHVKLESDGVSLYSEVRHEPRAGCLSTIESIVVAMRKLGEDAKGLDDLLDVFESMIADQRRCKDENWKQKLESKT >ORUFI03G24170.1 pep chromosome:OR_W1943:3:19344774:19347059:-1 gene:ORUFI03G24170 transcript:ORUFI03G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDWSRRLKELATGGEEESGSKDRANVRRNVEVATGMRGSVWRYLGAEGVLSPFLMLAVVRDGYSQWNAGEAQRHATNGGRPTWREVARPEATGPWKSEATSGHETEIKAICTCETMEHQGVASLFVQIAPAMQLYKFQGGSGCSQNVVACDVPSNMQT >ORUFI03G24180.1 pep chromosome:OR_W1943:3:19347853:19351201:1 gene:ORUFI03G24180 transcript:ORUFI03G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRVLMASTEDTATAPSGGPPEPPPQSSSASPSPSPPPPPPTPSSPQRPPPPPPPATPPPPPPASPGKNQSPASPSQDSPPPVASPSVPPPPPAPTTPPSPPPPSKSPPPPSPPPTTSSTPPSHQSPPEEGTSPPPSPSSGATTPSPPPNAQSSSSSSSSTPPAGAGTSPPAPREMPSPGTPPSPPTTLITTQAPPIQPPPPPGGNSMIMPSSLTTAGTSQSPPDATTAGAPPPPAPSVGAWGGNVPSGLLIGVAFAGFLLALASMFLFLCIKNRWKRRRRPAQVMNLARRRTLVVPERVASPEVYQPSNGPTASPSGTSSYEFSGTTSWFTYDELAAVTGGFAEEKVIGEGGFGKVYMGALGDGRRVAVKQLKVGSGQGEKEFRAEVDTISRVHHRHLVTLVGYSVTEHHHLLVYEFVSNKTLDHHLHGGGLPVMDWPKRMKIAIGSARGLTYLHEDCHPRIIHRDIKSANILLDDAFEAKVADFGLAKFTNDSVTHVSTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDSSQPLGEESLVEWARPLLVDALETDDFRELADPALERRYSKSEMRRMVEAAAACIRYSVTKRPRMVQVWRSLDVEGSSPDLTNGVKLGQSMAYDSNQYSADIELFRRMAFANDLSTAELGYSGKDDVRRPPR >ORUFI03G24190.1 pep chromosome:OR_W1943:3:19353091:19357861:-1 gene:ORUFI03G24190 transcript:ORUFI03G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPLLLFLLLLSSFSSCAAAASGAPVGEDYVRPPAAARRCGLHHRKALLSLFPWSKKKDSSSASDPQQVHISLAGEKHMRVTFVTDDNSVPSVVDYGTEAGTYTSTSQGESTSYSYLMYSSGKIHHVVIGPLNDNTVYYYRCGGHGPEFQFKTPPSQFPLSLAVVGDLGQTSWTTSTLNHIKQCAHDMLLLPGDLSYADYMQHLWDSFGTLVEPLASTRPWMVTEGNHEKERIPFFKSGFQSYNARWKMPYEESESTSNLYYSFEVAGVHAIMLGSYTDYDESSDQYAWLKADLAKVDRKRTPWLIVLLHAPWYNSNWAHQGEGDSMMAAMEPLLYAAHVDMVIAGHVHAYERAERVYKGGLDPCGAVHITIGDGGNREGLAHRYRNPKPAWSVFREASFGHGELKIVNATHAHWTWHRNDDEEPVRTDDVWITSLAGSGCIQDGSHEYRKILMSP >ORUFI03G24200.1 pep chromosome:OR_W1943:3:19363136:19371731:-1 gene:ORUFI03G24200 transcript:ORUFI03G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGLSLVVLTDFGRWRLGLGSTKTYQQIICWLRLYSGIGCIHQISLICIVEARIISPILCQRLTIKWVRTDCHLDLVLIEYKHQGDIDALSSMNGNVWPFVKEKQQGRNPTVFRGHDLLHSHGKTLNDRSCSHSFREEETKDLVSSSHDDAETEKNFAIWDQPLDRTGLLESKRHRRSSSPRYCMKSYPFGNKIDGYHGEGRACPRDSSKWGNHSLSPDHAPTSCLRTEGEVPSLNRVSEYAKGADGHMRTTERLGDFFSSNQGSCTQNRSYQEVQRLPTEVNFPNAHFSAIDKARHRSYMEKFQTCKKHQGTCSKDLMFNISDHSLVGRTCHRFEVGRAHTSKAFDEFHAFHHEQLHQSPRDNFRDQLGSSRNFRNVHKGKMSRRQCTKHDLKKKNSNVAFHSTYGRNSDRKRHGNHLDGHRAKRNMPSENQSKESCYPNMKDWQSYSHGDVRQSGDNQEGNTKKIKKGGQNGEKGNYHRNNNIPTVVCSGSKSNENSEDMKSDEVSNGKLQDAPVTYVENGVKESDNASPSELLRDCLIIWRRLKKDNCAEAENVKKTNTNRTVQTSKVSVSERLRNGRPSSGFDDENSSTSGSASVSSESDDESNSPSEDSKQCRGVMSSSEAQKCSKGRTERESEQPFKSLSGDNRMKSPQNTISEKGLMFYQDVPPETNPSEVMQQKEQDDLSCCWNGCSDTSMKPVADSHPESSVHQKFSQQGAIEGHSNARSRHELVVGCDIENTLEADGAKSGEQSTVPELLDKKAAVLCSMDDDSVKVVNVSACSNQDSDTTPCGVTKLDKGTANKFLEKPVNLSTGSNFRVIQWGAVDCNIVRIKQENSQHADSEQDTHHKESGEPSQALKVASNQQIPHQFDSDRDNPCTTRQADWDSCSSIPDLNCLPNMNTDDELEPVENVNEDGTNPQNNIKSLSASSCKPTLQKEQSKQPEPIELTGGICERKDGNRFQSPNSHSGPSQQSIVEESSMSIDVFKCNLCEFIKNIIKPLWEDGLLSREVHKIIVRKAVEKVTTVLGSKVPLTEIDACRFLLEESQNLEKLVQGYLDLYVGREFRSVKGKIK >ORUFI03G24200.2 pep chromosome:OR_W1943:3:19363136:19371731:-1 gene:ORUFI03G24200 transcript:ORUFI03G24200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGLSLVVLTDFGRWRLGLGSTKTYQQIICWLRLYSGIGCIHQISLICIVEARIISPILCQRLTIKWVRTDCHLDLVLIEYKHQGDIDALSSMNGNVWPFVKEKQQGRNPTVFRGHDLLHSHGKTLNDRSCSHSFREEETKDLVSSSHDDAETEKNFAIWDQPLDRTGLLESKRHRRSSSPRYCMKSYPFGNKIDGYHGEGRACPRDSSKWGNHSLSPDHAPTSCLRTEGEVPSLNRVSEYAKGADGHMRTTERLGDFFSSNQGSCTQNRSYQEVQRLPTEVNFPNAHFSAIDKARHRSYMEKFQTCKKHQGTCSKDLMFNISDHSLVGRTCHRFEVGRAHTSKAFDEFHAFHHEQLHQSPRDNFRDQLGSSRNFRNVHKGKMSRRQCTKHDLKKKNSNVAFHSTYGRNSDRKRHGNHLDGHRAKRNMPSENQSKESCYPNMKDWQSYSHGDVRQSGDNQEGNTKKIKKGGQNGEKGNYHRNNNIPTVVCSGSKSNENSGLLSPKCRSKTIMSSNGPKQSEGSENIKLESDKKPSLVVCTKKTEDMKSDEVSNGKLQDAPVTYVENGVKESDNASPSELLRDCLIIWRRLKKDNCAEAENVKKTNTNRTVQTSKVSVSERLRNGRPSSGFDDENSSTSGSASVSSESDDESNSPSEDSKQCRGVMSSSEAQKCSKGRTERESEQPFKSLSGDNRMKSPQNTISEKGLMFYQDVPPETNPSEVMQQKEQDDLSCCWNGCSDTSMKPVADSHPESSVHQKFSQQGAIEGHSNARSRHELVVGCDIENTLEADGAKSGEQSTVPELLDKKAAVLCSMDDDSVKVVNVSACSNQDSDTTPCGVTKLDKGTANKFLEKPVNLSTGSNFRVIQWGAVDCNIVRIKQENSQHADSEQDTHHKESGEPSQALKVASNQQIPHQFDSDRDNPCTTRQADWDSCSSIPDLNCLPNMNTDDELEPVENVNEDGTNPQNNIKSLSASSCKPTLQKEQSKQPEPIELTGGICERKDGNRFQSPNSHSGPSQQSIVEESSMSIDVFKCNLCEFIKNIIKPLWEDGLLSREVHKIIVRKAVEKVTTVLGSKVPLTEIDACRFLLEESQNLEKLVQGYLDLYVGREFRSVKGKIK >ORUFI03G24210.1 pep chromosome:OR_W1943:3:19372683:19373165:-1 gene:ORUFI03G24210 transcript:ORUFI03G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLEMDHVGRNSDDVMAAAPGASSGALVGKVAFPLLQWIKRDGVKRRFARHDVGSLEQERFDDGDDSSATASVIFFAIDDENVGDGECGRQRGIGLSLVRVVWLQKNVEEKATRLRKKREELSVATSRPRVTRRAPPASLFPALSLSAPRAVEIWLLES >ORUFI03G24220.1 pep chromosome:OR_W1943:3:19373190:19373719:-1 gene:ORUFI03G24220 transcript:ORUFI03G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLANGDSSADTTTRRNAEDFLAILLKVVSSPEVAGIDASGVASGGGLQSLGAHWNLIAAWRGLGNSGNGKDSPAVVDNVGFTATARLSGGMLREGAWVVSEVPKELHARLISPWLTGERGIGDGTRRPELEKMTAISLVCARFLKFLEGFWP >ORUFI03G24230.1 pep chromosome:OR_W1943:3:19432379:19437889:1 gene:ORUFI03G24230 transcript:ORUFI03G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSLLPPTVPGSKPRPAAATSVSTAATADAAVAGGRSGSGSGSGIGRLSALIRSLCSAGRTAEAARALADAGDAAGVVAYNAMVAGYCRAGQLAAARRLAAAVPVPPNAYTFFPVVRGLCTRGRIADALEVLDEMSFKGCAPIPPMYHVILEAACRSGGFRNSVRVLEAMHAKGCTLDTGNCNLVLNAICEQGCVDEAVGLLRKLAFFGCEADIVSYNAVLKGLCMAKRWGDVEELMDEMVRVDCAPNIVTFNTLIGYLCRNGLFERVHEVLAQMSEHGCTPDIRMYATIIYGICKEGHLEVANEILNRMPSYGLKPNVVCYNTVLKGLCSAERWKEAEELLSEMFQKDCPLDDVTFNILVDFFCQNGLVDRVIELLEQMLSHGCMPDVITYTTVINGFCKEGLIDEAVMLLKSMSSCGCKPNTVSYTIVLKGLCSAGRWVDAEELMSQMIQQGCPPNPVTFNTLINFLCKKGLVEQAIELLKQMLVNGCSPDLISYSTVIDGLGKAGKTEEALELLNVMVNKGISPNTIIYSSIACALSREGRVNKVIQMFDNIKDTTIRSDAVLYNAVISSLCKRWETDRAIDFFAYMVSNGCMPNESTYTMLIKGLASEGLAKEAQELLSELCSRGALRKHLMRHFGISNCTQENGKQKCEENLTSAVDNNYKLPIEILGFLEIQNLRPGEKQFLTHFLSSSWFWTAGLMEVVAAAAAVCSVLNISGYEASVPVTISVQFSDVATENDGGYTNCIQKLPACFQGYDPMKALCSDLLIGFHLASLWPPQLKP >ORUFI03G24240.1 pep chromosome:OR_W1943:3:19437512:19443328:-1 gene:ORUFI03G24240 transcript:ORUFI03G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPPPPPQWAMGPPPPPQYFQAGPPPPPPQYFQGAHPPAAMWGQPPPPQAAPPPAPAGGAAGDEVRTLWIGDLQFWMEENYLYNCFSQAGELISAKIIRNKQTGQPEGYGFIEFGSHAIAEQVLQGYNGQMMPNGNQVFKLNWATSGAGEKRGDDGSDYTIFVGDLASDVTDLILQDTFKAHYQSVKGAKVVFDRSTGRSKGYGFVKFGDLDEQTRAMTEMNGQYCSSRPMRIGPASNKKNIGGQQQPSATYQNTQGTDSDSDPNNTTVFVGGLDPSVTDEVLKQAFSPYGELVYVKIPVGKRCGFVQYSNRASAEEAIRMLNGSQLGGQSIRLSWGRSPGNKQPQQDQNQWNAGYYGYPPQGYDPYGYARPPQDPAMYAYAAYPGYGNYQQPAPQQPPQQVKAL >ORUFI03G24240.2 pep chromosome:OR_W1943:3:19437514:19443328:-1 gene:ORUFI03G24240 transcript:ORUFI03G24240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPPPPPQWAMGPPPPPQYFQAGPPPPPPQYFQGAHPPAAMWGQPPPPQAAPPPAPAGGAAGDEVRTLWIGDLQFWMEENYLYNCFSQAGELISAKIIRNKQTGQPEGYGFIEFGSHAIAEQVLQGYNGQMMPNGNQVFKLNWATSGAGEKRGDDGSDYTIFVGDLASDVTDLILQDTFKAHYQSVKGAKVVFDRSTGRSKGYGFVKFGDLDEQTRAMTEMNGQYCSSRPMRIGPASNKKNIGGQQQPSATYQNTQGTDSDSDPNNTTVFVGGLDPSVTDEVLKQAFSPYGELVYVKIPVGKRCGFVQYSNRASAEEAIRMLNGSQLGGQSIRLSWGRSPGNKQPQQDQNQWNAGYYGYPPQGYDPYGYARPPQDPAMYAYAAYPGYGNYQQPAPQQPPQQVKAL >ORUFI03G24250.1 pep chromosome:OR_W1943:3:19448502:19453028:-1 gene:ORUFI03G24250 transcript:ORUFI03G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMPPMRAPALVAMLVVVLVALVRRRRHRSKGAGGRLESLPPGPVGLPVIGNMHQMLVNKPVFRWVHRLLADAGGEIVCVRLGPVHVVAVTSPEMAREVLRKNDAVFADRPTTFAAESFSVGYRSASISPHGDQWRKMRRVLTAEILSPATEHRLRGARGEEADHLVRYVLARCGRDGAAVDVHHVARHFCGNVIRRLTLGRRHFREPRADDEDAAAPGRDEAEHVDALFATLNYLDAFCVSDYFPALVGLDLDGHEKVIKKVMRTLNRLHDPVVEERVEEWRLLRKAGERRDVADFLDVLASLDDAAGRPLLTVEEIKAQTIDIMIATVDNPSNAVEWALAEMMNKPEVMRKAMDELDTVVGRDRLVQESDVRDLNYLKACIREAFRLHPYHPFNPPRVAMADTTIAGYTIPKGSQVILSRVGLGRNPRVWDDPLEFRPERHLSPYPAGGRGDAGVVALTEAELRFVSFSTGRRGCPGVSLGTLITVTLFARLLQGFEWSKPAGVERVELREEAASLVLAQPLVLQATPRLAAHLYGAGK >ORUFI03G24260.1 pep chromosome:OR_W1943:3:19458837:19473430:-1 gene:ORUFI03G24260 transcript:ORUFI03G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGQAPDRVVEGFSEEFLDAFLTLLRRAHRHSRIAATVVYNEFIADRHHVHMNSTRWATLTEFVKFLGREGHCKVEDTPKGWFITYIDRDSEQAVKARLKRKRIKSDLAEDERQERMIARQIERAQQSMGKTNGELGDDASPDGSEGESGSEDEYSDSENDHEGQEEDAKEANNAAGKIAIALQRAVPGPKVNPLDDKPKVKFGFEEEDEVSARDKEKEELAKKKGKDAINAAEARRSALDELMKEEEKAKERSNRKDYWLCPGIVVKVMSKSLAEKGYCKQKGVVKRVIDKYVGEIEMLESKHVLRVDQDELETVIPQIGGLVRIVNGAYRGSNARLLSVDTERFCAKVQVEKGLYDGKVLKAIEIRPCKLGFFNLKVTRDYLDIEKGVNRRPLYESHPLSSFSWTTTDNMDMVLHGKKDELHDIFESALKSSDLKGLHSECLTDMWIGRDRFAFIDLSAGPFAWGPAVGGDGKLQKKRLKLNYKIQSERFSSFGENYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERRHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMRHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNIKQLPVNVKSVTEGLSSVLLQFQKPMFSQHMLSLSEDPALIMAFAMARRAAAVPLLLVNGFSKSTVHTYLDSAILQHQLQRLSEHNLLKVWCWAGDSKLPELFASLYLKRSKFVFSVVCACGFFFLSFCKTLVGGCWGWLIPAPLASFFFFPA >ORUFI03G24260.2 pep chromosome:OR_W1943:3:19458837:19473430:-1 gene:ORUFI03G24260 transcript:ORUFI03G24260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGQAPDRVVEGFSEEFLDAFLTLLRRAHRHSRIAATVVYNEFIADRHHVHMNSTRWATLTEFVKFLGREGHCKVEDTPKGWFITYIDRDSEQAVKARLKRKRIKSDLAEDERQERMIARQIERAQQSMGKTNGELGDDASPDGSEGESGSEDEYSDSENDHEGQEEDAKEANNAAGKIAIALQRAVPGPKVNPLDDKPKVKFGFEEEDEVSARDKEKEELAKKKGKDAINAAEARRSALDELMKEEEKAKERSNRKDYWLCPGIVVKVMSKSLAEKGYCKQKGVVKRVIDKYVGEIEMLESKHVLRVDQDELETVIPQIGGLVRIVNGAYRGSNARLLSVDTERFCAKVQVEKGLYDGKVLKAIEIRPCKLGFFNLKVTRDYLDIEKGVNRRPLYESHPLSSFSWTTTDNMDMVLHGKKDELHDIFESALKSSDLKGLHSECLTDMWIGRDRFAFIDLSAGPFAWGPAVGGDGVRTELSLPNVAKTIGAVAVTYRWNPRKLDLYKSKVSAAKRKRNIEYTLSDSSAMAVNTTVDLWFEQCTFTAWDGGRAEGFLSMEVTEEEAEAKLQDTIREILIFWRELDERRHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMRHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNIKQLPVNVKSVTEGLSSVLLQFQKPMFSQHMLSLSEDPALIMAFAMARRAAAVPLLLVNGFSKSTVHTYLDSAILQHQLQRLSEHNLLKVWCWAGDSKLPELFASLYLKRSKFVFSVVCACGFFFLSFCKTLVGGCWGWLIPAPLASFFFFPA >ORUFI03G24260.3 pep chromosome:OR_W1943:3:19458837:19473430:-1 gene:ORUFI03G24260 transcript:ORUFI03G24260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGQAPDRVVEGFSEEFLDAFLTLLRRAHRHSRIAATVVYNEFIADRHHVHMNSTRWATLTEFVKFLGREGHCKVEDTPKGWFITYIDRDSEQAVKARLKRKRIKSDLAEDERQERMIARQIERAQQSMGKTNGELGDDASPDGSEGESGSEDEYSDSENDHEGQEEDAKEANNAAGKIAIALQRAVPGPKVNPLDDKPKVKFGFEEEDEVSARDKEKEELAKKKGKDAINAAEARRSALDELMKEEEKAKERSNRKDYWLCPGIVVKVMSKSLAEKGYCKQKGVVKRVIDKYVGEIEMLESKHVLRVDQDELETVIPQIGGLVRIVNGAYRGSNARLLSVDTERFCAKVQVEKGLYDGKVLKAIEIRPCKLGFFNLKVTRDYLDIEKGVNRRPLYESHPLSSFSWTTTDNMDMGHLPGALLLVGTVFVLNLVYPMLQRLLGLLQKLQKKRLKLNYKIQSERFSSFGENYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERRHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMRHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNIKQLPVNVKSVTEGLSSVLLQFQKPMFSQHMLSLSEDPALIMAFAMARRAAAVPLLLVNGFSKSTVHTYLDSAILQHQLQRLSEHNLLKVWCWAGDSKLPELFASLYLKRSKFVFSVVCACGFFFLSFCKTLVGGCWGWLIPAPLASFFFFPA >ORUFI03G24270.1 pep chromosome:OR_W1943:3:19490800:19491932:1 gene:ORUFI03G24270 transcript:ORUFI03G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTLPKENISGQGDGMTAALRRIEAGGGGGGSFGMPPHRSKPHDIGGGGSGEMMRVMRRRVSGQPPWRGRLQRTTTSKQAHEKMAPFLLGASGEAVICCRHADLELEGFALAGVGADGGGADGASGYIQKGGTMQVGTRSPSVGGGGEGGWLED >ORUFI03G24280.1 pep chromosome:OR_W1943:3:19509385:19520554:-1 gene:ORUFI03G24280 transcript:ORUFI03G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPRCKKMAGGDQRGDSPSSHELSGRLEGILADGEAPWARRACKAAALEVRLLAPIAAPAIVVYVLNNVLSISTQIFCGHLGNLELAASSLGNNGIQIFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYMQRSTVLLMATGVPLAVIYAFSHPILVLLGESTEIASAAAVFVYGLVPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLVLHLVVGWLVVYQLGMGLLGASLVLSLSWWVIVAAQFVYIAASKRCRRTWTGFSWMAFSGLPEFLKLSTASAVMLCLETWYFQILILLAGLLDDPQLALDSLTVCMTLAGWVMMISIGFNAAASVRVGNELGAGHPRAAAFSVVVVTAVSFVITVVMAVVFLMFRDYISYIFTEGETVARAVSDLCPFLAATLILNGIQPVLSDWNKEVEEAKKRLNQWEDKKQPLLAGTVDY >ORUFI03G24280.2 pep chromosome:OR_W1943:3:19511373:19520554:-1 gene:ORUFI03G24280 transcript:ORUFI03G24280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPRCKKMAGGDQRGDSPSSHELSGRLEGILADGEAPWARRACKAAALEVRLLAPIAAPAIVVYVLNNVLSISTQIFCGHLGNLELAASSLGNNGIQIFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYMQRSTVLLMATGVPLAVIYAFSHPILVLLGESTEIASAAAVFVYGLVPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLVLHLVVGWLVVYQLGMGLLGASLVLSLSWWVIVAAQFVYIAASKRCRRTWTGFSWMAFSGLPEFLKLSTASAVMLCLETWYFQILILLAGLLDDPQLALDSLTVCMTLAGWVMMISIGFNAAASVRVGNELGAGHPRAAAFSVVVVTAVSFVITVVMAVVFLMFRDYISYIFTEGETVARAVSDLCPFLAATLILNGIQPVLSGN >ORUFI03G24290.1 pep chromosome:OR_W1943:3:19524749:19525661:1 gene:ORUFI03G24290 transcript:ORUFI03G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYNYKDSVRKHIMRINGMLKNSFVIMLRRRIDQLNLLNDANGGKRKFIKVSLATRRKIKSPTLIPLWFLMKGKAQVLASLNPVGKFLCKFFKKEGHAQRDYEGFRAWLVKNGTNVVGIVSHDQPNLLNNANGSKRKFNKVSLETQRTISPILIPLWFLMKGKAQVLASLNPIGKFLCKFFKKEGHAQRDYDGFRAWLAKNSTNVVVSGPKTKHSVTNMF >ORUFI03G24300.1 pep chromosome:OR_W1943:3:19525972:19526611:-1 gene:ORUFI03G24300 transcript:ORUFI03G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYDRNSRVVVLYLSPRAGDNLMPSRAIVIFTEQGTPLAVDNVRSERMGLQFMEQLCSRILSIGTYNGILVRNIIPDFIQCFCIVNNTCFLLLHSLLSASKPNPTQILLFHAFMT >ORUFI03G24310.1 pep chromosome:OR_W1943:3:19540675:19541661:-1 gene:ORUFI03G24310 transcript:ORUFI03G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPPTTFTTDLLHRRSSPINTRKDLPSLTTPELLELSLSLSIHVRPSPPPVDLLLQPSTILPLLYTDLPGCASFGNTAPAPFSPSSRFPSKRQRRRRREEEEEGKEKEKKSLTGGPINSNFSHRLKFYAFHGDVRGLLAPTSDFGTVGCKARQNQTQAPSAAPGTTRLDAYSGWSFTIPSTSTARHIVSSRALCDPSRSTATHGCRSNTSTPLNLHQLPIL >ORUFI03G24320.1 pep chromosome:OR_W1943:3:19544193:19553896:-1 gene:ORUFI03G24320 transcript:ORUFI03G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTESDQYPAHLSSSATSPEIAGAARLYVVGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLAAHVALSWFAVYKLGLGLLGASLILSLSWWVIVLAQFAYIVVSDRCRLTWAGFSSKAFSGLPEFLQLSAASAVMLCLETWYFQVTVLIAGLLKDPEIALDSLAVCMSISGWVFMVSVGFNAAARAAAFSVKVVTSLSLIVAAIIAAIVMCLREYLSYVFTQGEEVARSVSSMTPLLAVTIVLNGIQPVLSGVAVGCGWQAFVAYVNIGCYYIIGVPFGCVLGFHFDLGAMGIYGGMIVGLFVQTLILVYVTFRTDWNREVGEAKKRLNKWGDIAKPLLANED >ORUFI03G24320.2 pep chromosome:OR_W1943:3:19544193:19553896:-1 gene:ORUFI03G24320 transcript:ORUFI03G24320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTESDQYPAHLSSSATVAGHGDDGGSSTRRREGAAAGGGGGHAASAELERILADESVPSAARLARAAPVELRLLVALAAPAVAVYMINYAMSMSTRIICGHLGTLELAAASLGNVGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYMQRSTVLLTATAVPLAVIYVFSKEILILLGESPEIAGAARLYVVGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLAAHVALSWFAVYKLGLGLLGASLILSLSWWVIVLAQFAYIVVSDRCRLTWAGFSSKAFSGLPEFLQLSAASAVMLCLETWYFQVTVLIAGLLKDPEIALDSLAVCMSISGWVFMVSVGFNAAARAAAFSVKVVTSLSLIVAAIIAAIVMCLREYLSYVFTQGEEVARSVSSMTPLLAVTIVLNGIQPVLSGVAVGCGWQAFVAYVNIGCYYIIGVPFGCVLGFHFDLGAMGIYGGMIVGLFVQTLILVYVTFRTDWNREVGEAKKRLNKWGDIAKPLLANED >ORUFI03G24330.1 pep chromosome:OR_W1943:3:19564814:19571016:-1 gene:ORUFI03G24330 transcript:ORUFI03G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVKDAGGGKEEQQQQLESPLLEAAVSSGGGDGGGGHGVSGELESILGDETVPWARRMWAATGVEMRLMLRLAAPAVLVYMINYLMSMSTQIFSGHLGTLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYLQRSTVLLMATGVPLAVIYAFSRPILVLLGESPEIASAAAVFVYGLVPQIFAYAANFPIQKFMQAQSIMAPSAYISAATLAFHLVLSYLVVYQFGLGLLGASLMLSISWWVIVVAQFIYIVTSRRCRLTWTGFSMLAFSGLPDFFKLSLASAVMLCLETWYFQILVLIAGLLKDPEMALASLSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAAFSVVVVTVLSFILSVVISLVILLCRDYISYIFTDGEDVAAAVSKLTPLLALTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGIPLGCLLGFYFDLGAAGIWSGMIGGTLMQTLILMWVTFRTNWNREVEEAMKRLNKWEDKTPLLSE >ORUFI03G24340.1 pep chromosome:OR_W1943:3:19585044:19585481:-1 gene:ORUFI03G24340 transcript:ORUFI03G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPASACSSAAPSLLNPRPPVRSASIRAAGSSPAALGLIRACHARPHHGHRRRRYWEWGLAMGRRGAVWGCRLGKGKRGVGRGSGEERHRLGAPAEEGEEKRWPGKRRMPGEEKERRQREGKRGSGHEDKGERGKGGFHILFWI >ORUFI03G24350.1 pep chromosome:OR_W1943:3:19589491:19590199:1 gene:ORUFI03G24350 transcript:ORUFI03G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRGGGKPGASSDLAALRSSAVSRNVSLQALWGGEKENSGQRDVATRTTAPKPARPAGADDLRRRTSSPASPRRSSPHPSAGGIGLPRALERREREEEGREETDLDRLTCGAHVGPTLTQPPRRLKPESKPPKDLG >ORUFI03G24360.1 pep chromosome:OR_W1943:3:19591768:19593002:1 gene:ORUFI03G24360 transcript:ORUFI03G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLRLHLSTKTLNPQRVSTPLHTRHNYRLDHQRGRTNLLNHQNTPRPGLEADGQEKGGASRRGAGLADLKGNCELMARALKTSVSSMFPVRVFGLRRANPITYNCGNPLQLHHQRRERSTRASSRATEKKEGMGGWDGGGERVGLPLLLESRDDRERGERGKKERNGCCRRMKWPESLTPHERRPQLRREPDTVAMATTASRHPTSLQPVPDPTKAAPVPDPVTAAPDATT >ORUFI03G24370.1 pep chromosome:OR_W1943:3:19607864:19609397:-1 gene:ORUFI03G24370 transcript:ORUFI03G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTRGMAATASVAEGYEREREARIQENMERMQKLGIRDLANRFNQSATGFAGGGSWSGSDRWRRKVPVTAGPASPSPARRSLRLKSLDPVNYCEIRTRKGKDVEGGSSVPIEVGSEEEVNAEDAAPVAKEDQGHSEAIQDEDADHHQVNDPADDDGDEDDRESVVTSSSQDCEVNLEDIIGCATSSKPAGPKKRKLIERNPDYVLRELA >ORUFI03G24380.1 pep chromosome:OR_W1943:3:19611954:19616475:-1 gene:ORUFI03G24380 transcript:ORUFI03G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRFPLFGSSQQQQPDTNFQDNPTQPWYPPSVLGSSSHPSLPSSSSGSPHQRASDNPQSLHGQPSPAEAAGIIARLKDKSVDDLQRLLKDKEAYSAFFNSLDQVKTQNNLRDELKKETVQLARDNLEKEQRISEIRNQCTIIRTTELAAAQDRLADLERQRDEVMKSYSPAALLDKLQKLMAKLDEESEELHQKFLEKDIDLPTFVQKHKKLRTAYHKQALLHLAGKTSVR >ORUFI03G24390.1 pep chromosome:OR_W1943:3:19631412:19638339:-1 gene:ORUFI03G24390 transcript:ORUFI03G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVSDGGAAHGASGRLESILSDSSMPLARRAWAATTIELGLLTRIAAPAVVMYMINYLMSMSTQIFSGHLGNLELASASLGNNGIQMFAYGLMLGMGSAVETLCGQAFGAHKYDMLGVYLQRSAVLLTITGVPLAVIYGFSEPILVFMGQSPEIARAAAIFVYGLIPQIFAYAINFPIQKYMQAQSIVLPSAYISAATLALHVLLSWVVVYKVGLGLLGASLVLSISWWVIVAAQFAYIVTSPTCRHTWTGFTWQAFAGLWDFLKLSAASAVMLCLESCMTISGWVFMISVGFNAAASVRVSNELGAGNPKAAYFSVWVVTISCAIISAILAVVILCLRNYISYLFTEGEVVSNAVADLCPLLAITLILNGIQPVLSGVAVGCGWQQFVAYVNIGCYYIVGVPLGVLLGFVFKLGVKGIWGGMLGGTCMQTAILVWVTLRTDWNNEVEEAQKRLNKWEDKKKEPLLTGIRDNN >ORUFI03G24400.1 pep chromosome:OR_W1943:3:19655787:19656260:-1 gene:ORUFI03G24400 transcript:ORUFI03G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKRQKSLMKKASELSTLYGVDACVVMYAEGEAQPMMVWPSVPEARRVIERFRALPQKDQYENTTNLEGFLKQRIANLQDKVDKAKHENDELETKLLLLNSLDGCLPSLVGLTVKQITSLNSMVEERLKKLRGNGLLATPVPTSNQDVASATNIQD >ORUFI03G24410.1 pep chromosome:OR_W1943:3:19687481:19691281:-1 gene:ORUFI03G24410 transcript:ORUFI03G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTFGWSASAFVAAVLARLIGKGLALLAELDDAAAGHLRRLEALLAPVWRVLDAADAGAIDVVGHRRPLQDLLDAAYAADDALDDLVLLQSDAMARGGEHGVDARGTPAAGAARKPKPRSPLRFLLCFSPPRNAVASSSSSSSGSHGKSSKGNKSNPDLRGLGVAFEMMAQAAYRCTSAYEHVVAGKNYATMVSAQAEAATAAEAADYDQFQNDIFGRETEVEQILEKVRFSDDPHYRLGIGVLPIVGVEGVGKTALAQFIFHHEVVKAEFPVRMWVHVSGEVQLKDELVVQMIHGVAGDAHEVEDIREILHEELTGKRFLLVLDDVSDVGDIQWKDLMRLLQPAARRSMIMVTTQSEIAANAIGTMPPLILNPLESEDYQKMFRHFAFGSSDESEDYTPLGDEWDDVEDEDEEEKQSPMEKVALELAKKMGGLPLPATAIARALFFRREDKEHWKNVLEDKLWEQRDVAGISPALWLSYQHLDPRLKQCFAYSAVFPCSHAFTKDELVQMWVAQGLIYSEDAVARPEDIGSKFFEDLVERCFFQPIGSSRYVVHNSMRKLAQAVSTDQFFMVTESSGDVPLEVRHLTIMTNNLSKLINDLSLKISHSSGSDQHFLQRIRTIIFFADFSNSDEFIEFLAEIFKVAKDVRVLGVTYANIAFLPAEIGFLRHLRYLNLLGNRIADLPESVCDLHLLQVLDVRCSSPYLRAPNGITNLIYLRHLHASEPFLSIIPKIQNLSHLQELEVYKVSSISRIDTLQGMTQLRGTLCLKDLHQVDVSELRKGILKGMQHLNILELSWSSSDSQSREASTDEDTLECLQPHENLKDLRITGYRSTKCPSWMLKTPCSLSNATSVFLTDCVNLKNLPPFHIMPCLEILEMRKIHSVNKVNTVPQRSDQIMFPKLKRLVFEDVLNCTEWSTGSSKSRNTVFPCLCEIQIRNCPKLRNFPDLPLTLTTMIIENVGLETLPRIQDRHSLPPSSSSDAIATSKEGRWTSRLTTLQIHQCHGLKSLGSSLLQQQHLLRSLEVLSIKNCDNVTCDLSDGFQDLTALTDLSLYDCPKLLVDKFHTSLRKLEISECFITHGAWVDDYPFLFSVWTLKVTSCPHVSTDQESSFSIEPLDWLNCLFNVCSLHLENTLLLKLSMFNRLRSLEILEIDGSRSFFDDLVEEFEWLEKLQALSIRNCKELRMLPANISTLPVLEELCIENCPALEALPASGLPTSLKRLSISKCSPRLTQRCLDDEPDNLNIAKIAVVYIDGQCISIQQK >ORUFI03G24420.1 pep chromosome:OR_W1943:3:19689776:19691646:1 gene:ORUFI03G24420 transcript:ORUFI03G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAASNGISGWAEAEQEPERAARLGLPRRAGGRRPAGVDTVLPASRHRVGLEQHEVVEGVVSGVRGVEKVLKRAPVADNVDGAGVGGVEDTPDGGEEGLEAPEVACRGVVELREQREALADEAGEHGRDERRRRPSERRWHRRRRELIRRHLQ >ORUFI03G24420.2 pep chromosome:OR_W1943:3:19689776:19690564:1 gene:ORUFI03G24420 transcript:ORUFI03G24420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATWKHCRICEALLEARIEVLVAQPQRRRDAGDVSLLPELVFQHIFPLDVADIANIVKNKQEPLAGQLLVKDLPDVFDFMGISGNTMDHLDHEFVLELDLAGDVHPHPHGELGLDDLVVEDELRERRLAHPLDADDWENTDP >ORUFI03G24430.1 pep chromosome:OR_W1943:3:19703375:19704264:1 gene:ORUFI03G24430 transcript:ORUFI03G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSGGSKWWQRKDEGQRRRGGQPLAVSFLDLVGEKGVRDTGGRQRRWLAEAMMAGKGGCFSWSRSGRQPRVGSRFRLELADEVAGVGRRGFSGDESWLVVLLLGEVVVGDMVRSFGKPQVMVNNSVVGGLIIGNSVHKTTVMLPSAGVWGGVRAAWPGVASWATITPLLLLSGSFVGVAVQLASWRVCWVGVLGGRVGAACQVISSATIILSRFPR >ORUFI03G24440.1 pep chromosome:OR_W1943:3:19704349:19704698:1 gene:ORUFI03G24440 transcript:ORUFI03G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVGAVFLLESIVTLLFPFLRTLPYVVCGLFIENRSCSSELGNDDLCFILLLCRCKFLVCSPCGFSPVFYFEPCLCEGFGARFSLKN >ORUFI03G24450.1 pep chromosome:OR_W1943:3:19706132:19706692:1 gene:ORUFI03G24450 transcript:ORUFI03G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPRGLLELMTAVDAGLVAGAPAPRHRRQSAPVVAVAVETPPTSSTDDAMSFEFSAAVSYSSASPASMVFSDGQLRAHQFPAVRSSSAASSHVASPVRSWSSSMGGSGGAKGGSSGGGSKKKRVSFNDGGAGRAAAATAANAGDQQRTRGGGLLGCMGSTCTCGSSRNEVVEPSNNANRKVVAAA >ORUFI03G24460.1 pep chromosome:OR_W1943:3:19710617:19714881:-1 gene:ORUFI03G24460 transcript:ORUFI03G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSSLLIPSPESPSARSPPTPRDCGLRSPSSRPYPAPPEKTLSLPPPAPLPSPILRSGSAAADQATLVAVGSGALPPLHRHVKGASSSSLSDPLENPRILWTPSNKELPRHLHATRSLSCRCSPYHTSSPDCIPLYASSMVPTSIISQGIGWQKCKPQIMSLAQAVNEIARESLMLHWGFMSVCARLCGDLTFWCFADLSSLDLPVMEGLDKLLLAMAKGVNPEENGVMVASSTNGGIASQRQPIALRTPAPELPLQLPDEQHNQLIQSTPIYLLYSLISCFSSNAVTGRASKRLPKPRPPVPLIAGSKLSMVLCLN >ORUFI03G24460.2 pep chromosome:OR_W1943:3:19710617:19714881:-1 gene:ORUFI03G24460 transcript:ORUFI03G24460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSSLLIPSPESPSARSPPTPRDCGLRSPSSRPYPAPPEKTLSLPPPAPLPSPILRSGSAAADQATLVAVGSGALPPLHRHVKGASSSSLSDPLENPRILWTPSNKELPRHLHATRSLSCRCSPYHTSSPDCIPLLSSSLCGRGRQIEEFRRLKCKPQIMSLAQAVNEIARESLMLHWGFMSVCARLCGDLTFWCFADLSSLDLPVMEGLDKLLLAMAKGVNPEENGVMVASSTNGGIASQRQPIALRTPAPELPLQLPDEQHNQLIQSTPIYLLYSLISCFSSNAVTGRASKRLPKPRPPVPLIAGSKLSMVLCLN >ORUFI03G24460.3 pep chromosome:OR_W1943:3:19710740:19714881:-1 gene:ORUFI03G24460 transcript:ORUFI03G24460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSSLLIPSPESPSARSPPTPRDCGLRSPSSRPYPAPPEKTLSLPPPAPLPSPILRSGSAAADQATLVAVGSGALPPLHRHVKGASSSSLSDPLENPRILWTPSNKELPRHLHATRSLSCRCSPYHTSSPDCIPLYASSMVPTSIISQGIGWQKCKPQIMSLAQAVNEIARESLMLHWGFMSVCARLCGDLTFWCFADLSSLDLPVMEGLDKLLLAMAKGVNPEENGVMVASSTNGGIASQRQPIALRTPAPELPLQLPDEQQASKRLPKPRPPVTHSYPIRSLASVYGKECDPGTR >ORUFI03G24460.4 pep chromosome:OR_W1943:3:19711239:19714881:-1 gene:ORUFI03G24460 transcript:ORUFI03G24460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSSLLIPSPESPSARSPPTPRDCGLRSPSSRPYPAPPEKTLSLPPPAPLPSPILRSGSAAADQATLVAVGSGALPPLHRHVKGASSSSLSDPLENPRILWTPSNKELPRHLHATRSLSCRCSPYHTSSPDCIPLLSSSLCGRGRQIEEFRRLKCKPQIMSLAQAVNEIARESLMLHWGFMSVCARLCGDLTFWCFADLSSLDLPVMEGLDKLLLVSLQTTALVLF >ORUFI03G24460.5 pep chromosome:OR_W1943:3:19711239:19714881:-1 gene:ORUFI03G24460 transcript:ORUFI03G24460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSSLLIPSPESPSARSPPTPRDCGLRSPSSRPYPAPPEKTLSLPPPAPLPSPILRSGSAAADQATLVAVGSGALPPLHRHVKGASSSSLSDPLENPRILWTPSNKELPRHLHATRSLSCRCSPYHTSSPDCIPLYASSMVPTSIISQGIGWQKCKPQIMSLAQAVNEIARESLMLHWGFMSVCARLCGDLTFWCFADLSSLDLPVMEGLDKLLLVSLQTTALVLF >ORUFI03G24460.6 pep chromosome:OR_W1943:3:19710523:19711177:-1 gene:ORUFI03G24460 transcript:ORUFI03G24460.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVNPEENGVMVASSTNGGIASQRQPIALRTPAPELPLQLPDEQQASKRLPKPRPPVTHSYPIRSLASVYGASDCWKQVIYGSVLELIMK >ORUFI03G24470.1 pep chromosome:OR_W1943:3:19718128:19719039:-1 gene:ORUFI03G24470 transcript:ORUFI03G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSVLQTVLIVWVKLDSLCRGELNHSNAPYSRFWSCVIRVIPTPEPVAGKRKCGTVLPVAASLEQQWKAAAAGHRKPATEALLRR >ORUFI03G24480.1 pep chromosome:OR_W1943:3:19719611:19721167:-1 gene:ORUFI03G24480 transcript:ORUFI03G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHWCHRPISLNGASQAKPLRHQLRLAEVVPFFLFPLSFSHCHKHYRHHHSRPELSSCCRCSGHPLFSLCLPPTSPDVQRAGTRLTATVEAVQPPKHWRPVPLPLCCCSGEETEERREEREEEETYDKWAPLHNRIASFISIQGANVDPDGYAEAAGNLKAQGKT >ORUFI03G24490.1 pep chromosome:OR_W1943:3:19727775:19728044:-1 gene:ORUFI03G24490 transcript:ORUFI03G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKDAQTWADQWGSSGGDGSFKKGGGGGGGSSGNSEKKTVAGNVKAAASEGLVKAKAAALVGAHKVKSGTSSGIKWVKGQYQKRAGK >ORUFI03G24500.1 pep chromosome:OR_W1943:3:19730734:19730994:-1 gene:ORUFI03G24500 transcript:ORUFI03G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGAPRGGMATDGVGGAARWRRRISWAAAAPMVARWWRRPLEEEEAVRPGWAALSGPARLGRRAGGGGGGKGEWAKWEFGPN >ORUFI03G24510.1 pep chromosome:OR_W1943:3:19744557:19751415:-1 gene:ORUFI03G24510 transcript:ORUFI03G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDVLGRGSRRDQEIVLVDIVDDDDHDDVPAVRRQDSLYVDATRAGGANHRGGQEESWARTLKLAFQCVGILYGDIGTSPLFVYSSTFKDGVRHPDDLLGALSLIIYSFALFTIVKYVFIALRANDDGDGGTFALYTLISRHAKVSLIPNQQAEDELISKYNTGKPQATLRRARWMKELLETNRAVKIWLFLLTILATAMVISDAVLTPAISVLSAVGGLKEKAPNLTTDEIVWITVATLVVLFAIQRFGTDKIGYLFAPIILLWLLLIGCVGIYNTIKFDTGVLRAFNLKYIIDYFRRNKKDGWISLSGILLCFTGTEALFSDLGYFSIRSIQLSFSFGLVPSVLLAYIGQAAYLREHPEHIANTFYRSTPNVMFWPTFILAVAASIIGSQAMISCAFATISHLQTLNCFPRVKILHTSRQYSGQLYIPEVNFLLCVGACLVTIGFKTTVIIGEAHAICVVFVMIITTLLLTIVMLLVWKVSIWYVALFFIVFMSSESIYLSAVLYQFVHGEYVPVAMSVFLMIVMTVWHYVHVKRYEFELEHTVPRDKVKELLERRDIQRVPGVGLFYTDLVQGIPPVFPHLIEKIPSIHSVLIFVSIKHLPIPSVDRSERFIFRHVDKEEYKVFQCVARYGYRDPMEEAKDFVDALTENLQYYIRDVNFYTTGGDQHIFRSTSYASSIAESFASYEKHSGHAVYAEEMLTPAESFSEHTKQLSGRSKHFKQFQVENMNMQKMEKVQQEQQAILREMENGVVYILGESDIVASPHSSLLNKIIFY >ORUFI03G24520.1 pep chromosome:OR_W1943:3:19768397:19772761:-1 gene:ORUFI03G24520 transcript:ORUFI03G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQAGARGSKLEIVAARGGSGGSSSAGDAEAPPLDVLRQDSLYRDATRPAHGHHGQESWMRTLRLGFQCVGILHADLGTSPLYVYQNTFKYGIKHEDDIIGVLSLIIYSFVLFTMVKIVFIALHANDDGDGGTFALYSLISRYAKVCLIPNQQAEDELVTRYNDHGKPPATLRRAQWMKSQLEKKPAKIAVFFLTIFATALAISDCVLNPSVSVLSAVNGLKLRAPHLTTDEVVWITVGILVVFFAVQRFGTDKIGYTFAPVVVVWLLLISGIGIYDLVKYDVGVLRAFNPKYIIDYFRRNKKDGWVQLGEVLLTFTGTEALFADLGYFSIKSIQLSSTFVLLPSVLCTYIGQAAYLRKHMDQQHIQNAFFNSIPRPLFWPMFVLAIMTSVIGCQAMVSCAFATMSHLQTLNCFPRIKILHTSRRYSGQLYSPEVNFFLCLLSCVITLSFRTTGFIVKAHEICVVLVMVITTILMTIVMLLVWKVNIWWIVLFFVVFMSTETVYLSAVLYKFTKGPYMPLAMSAVLMVIMFVWHYVHVKRYKFELEHTVSPNKVRELLERRDLKRVPGVGLFYTELVQGIPPIFPHLIEKIPTIHSVIVFISMKHLPIPHVDVSERFLFRQVEPKECMVFRCVARYGYRDTLEMADDFVTTLVEYLQYYIRDLNLYNTVEPLKMSCPSIRIDSFSWDRRPSGHGIYAEEMLTPIQSFSELTMHPVGMSSRLAQFQTTKMSLEEMLKIEEDQKLIQREVDNGVVYILGESEVVAKPHSNLLKKVVVNYIFNFLRKNSRKGEKMLSIPRRKLLKVGITYEI >ORUFI03G24530.1 pep chromosome:OR_W1943:3:19809072:19809485:1 gene:ORUFI03G24530 transcript:ORUFI03G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRRRRQKMMPPPLSNFDARNGVPTPGQAEQETMELVRMLTACADSVSAGNHEAAIYYLARLCEMASLAGPMPIHRVAAYFIEVLTLRVVRMWPHMFNISPPRELTNDAFSGDDDAMALRILNTITPILLLGKHS >ORUFI03G24540.1 pep chromosome:OR_W1943:3:19809494:19809987:1 gene:ORUFI03G24540 transcript:ORUFI03G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAILLLDEHEDGDLNFGRWEARFVRAMVLRRGVRRGGRGGAAEMGACHQPSPPPSSLPSPPLHSSSHYRAATSVPLVLPQLQAATAVPFVFPLPRKPLFPSSSHSREPSPPPPFPLSMSLRRPSRRPPVPLRPDAADRRCQRKKIEYRRGLANRWAYFIF >ORUFI03G24550.1 pep chromosome:OR_W1943:3:19813604:19814240:-1 gene:ORUFI03G24550 transcript:ORUFI03G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPQPDPASSPPPRPEPTSVPSAAAGVEVGPFRCHRHRRHALHRWLAPRSSLFLIGRADVLQSPSAAAELHRCRRLVVNYSPARLLQPWTTSAPQGSRALQLPSIFISLRTCVLVCTTSSIACLVALYRF >ORUFI03G24560.1 pep chromosome:OR_W1943:3:19819818:19824158:-1 gene:ORUFI03G24560 transcript:ORUFI03G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGVEKKKQQMELVDVESGGLPVERQDSLFREAVRAEHAGAAHWDEQDSWGRTMSLAFQCVGILYGDIGTSSLYVYSSTFEHGIGHPDDVVGVLSLIVYSFMLFTVIKIVFVALHANDHGDGGTFALYSLISRHAKVSLIPNHQAEDELISGYSSSGKPSATLRRAHWLKQLLEASKAAKISLFLLTILAIAMVISDAVLTPPISVLSAVGGLREKVPHLTTDQIVWITVAILVVLFAIQRYGTDKVGYSFAPIILLWLLLIGATGLYNLIKHDISVLRAFNPKYIIDYFRRNKKEGWVSLGSILLCFTGSEALFANLGYFSIRSIQLSFSFALLPSVLLTYIGQAAFLSKNPKNVANTFFAATPISLFWPTFIMAIAASIIGSQAMISCAFATVSHLQSLSCFPRVKILHTSKRFPGQLYIPGVNFLLCVAACVVTVSFKTTVIIGKAHEICVILVMIITTLLMTIVMLLVWKINILWVALFFITFTSTEAVYLSSVLYKFTHGPYVPVAMSVVLMVVMIVWHYVHVKRYKYELEHTVSTDKVKEMLESHDLKRVRGVALFYTELVQGIPPIFPHLIEKIPTIHSVLVFISIKHLPVPHVDTSERFLFRQVELKDYKVFRCVARYGYRDSLEEAKDFVVTLLENLQDYIRDVNLYTDEPHTISAHSSCNHSFSREKPSGRYAVHAEDMLTPIESFSEITALSNYGSDRLPHFKASKMNMEELAKIEQEQMFIEKEMEKGVVYILGETEVVVRPHSSLLKKIVVNYVYSFLRKNFVQGQKMLFIPHRQLLKVGISYEI >ORUFI03G24570.1 pep chromosome:OR_W1943:3:19838886:19841409:1 gene:ORUFI03G24570 transcript:ORUFI03G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWTRAEKRTFLRQRVEARLAALLLENQEYTDALTLLTDLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDIQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYARVEIAHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEAIFPATLETISNVGKVCKMFRSNFLEISTDNLKRREYQTRSVGGEWCGGGGGCWSGLGFYMLLLLCLVLCAMFANEGVRLWIPGTLLAASLLGCSASTSSQVVSTQTER >ORUFI03G24570.2 pep chromosome:OR_W1943:3:19840775:19841409:1 gene:ORUFI03G24570 transcript:ORUFI03G24570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSNFLEISTDNLKRREYQTRSVGGEWCGGGGGCWSGLGFYMLLLLCLVLCAMFANEGVRLWIPGTLLAASLLGCSASTSSQVVSTQTER >ORUFI03G24570.3 pep chromosome:OR_W1943:3:19837624:19841430:1 gene:ORUFI03G24570 transcript:ORUFI03G24570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWTRAEKRTFLRQRVEARLAALLLENQEYTDALTLLTDLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDIQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYARVEIAHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEAIFPATLETISNVGKVVDSLYMRSAKIMA >ORUFI03G24580.1 pep chromosome:OR_W1943:3:19842456:19843828:-1 gene:ORUFI03G24580 transcript:ORUFI03G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEDFEEYAEKVKTLPESTSNEDKLILYGLYKQATVGDVNTSRPGIFAQRDRAKWDAWKAVEGPYASLCPYITVSSCLSILILLPVSPCS >ORUFI03G24590.1 pep chromosome:OR_W1943:3:19845952:19847510:-1 gene:ORUFI03G24590 transcript:ORUFI03G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTSGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKYAPTIGISVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTAEELATATQVQGDYMPIARGEKRSVEVVKVTDEMKAFKAYAKLRVERMNQRHVGARQKRAAEAEKEEKK >ORUFI03G24600.1 pep chromosome:OR_W1943:3:19852882:19856501:1 gene:ORUFI03G24600 transcript:ORUFI03G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRNTAITRANSACLPMEDCVGIKYSSVNEGEERKGGHGVPKVSIIPLIFLIFYEVSGGPFGIEDSVKAAGPLLAIAGFLLFALIWSVPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWAKWLSGVIDNALYPVLFLDYVKSSIPALGGGLPRTLAVLILTVALTYMNYRGLTIVGWVAVFLGVFSLLPFFVMGLIAIPRIEPSRWLEMDLGNVNWGLYLNTLFWNLNYWDSISTLAGEVENPKRTLPRALSYALVLVVGGYLYPLITCTAAVPVVREFWTDGYFSDVARILGGFWLHSWLQAAAALSNMGNFVTEMSSDSYQLLGMAERGMLPEFFAKRSRYGTPLIGIMFSAFGVVLLSWMSFQEIIAAENYLYCFGMILEFIAFIKLRVVHPNASRPYKIPLGTIGAVLMIIPPTILIVVVMMLASFKVMVVSIMAMLVGFVLQPALVYVEKRRWLKFSISAELPDLPYSNVEEDSTIPLVC >ORUFI03G24610.1 pep chromosome:OR_W1943:3:19857240:19859255:-1 gene:ORUFI03G24610 transcript:ORUFI03G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKKKFVSDGVFYAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVVNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKVGPTTPLPDLVTIHAPKEEEELRPPVLIAEA >ORUFI03G24620.1 pep chromosome:OR_W1943:3:19861787:19865312:-1 gene:ORUFI03G24620 transcript:ORUFI03G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFSFPPLAPEQIAEALHTYGLAPTANLRAEDIANPQPDLLPAVISNFLATVVDPTGADDLDGQLGFDALASLDNPEHYREGIRVLRLHKRANAFLESIQFPGFTLRDLLRPDPRRLVQVLSALINFLYYRDEKLALLQPIIHEFPNLDERCMELNAKIAEHQKAIADQELAAQMEVPMVQQLEAEVNSLKQKLVEYNKKQLALRANATAINDKKEETHRKVIAKSDFELVKLAQENSKLLSKIVQSPEKLQRALEEKKTARAELKNAEKIAMQSVQEKTATLEIYSKGYEKLSKHSSKIQALQEQFTATKALEKEVKARKAKISDESVEIMALDTKTIEWDGKVHEMEERVKAKEKERDQIVADENQKLAALRSEVEWKLKCLEPRERKVEETIAKATKLCAEVDSTRTDAAEELRLIYAKFQQIGHAFTCYKDNFKTFLEQVDEVSKETLESLGRQAVEPLDTSATLSIEKENSCGPAP >ORUFI03G24630.1 pep chromosome:OR_W1943:3:19867984:19871790:1 gene:ORUFI03G24630 transcript:ORUFI03G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGRNQKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLAEFCTPESCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLDDESIFPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTTEFGLIDKKELAPLQELIESIIPY >ORUFI03G24630.2 pep chromosome:OR_W1943:3:19868748:19871790:1 gene:ORUFI03G24630 transcript:ORUFI03G24630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLCLSVRNQKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLAEFCTPESCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLDDESIFPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTTEFGLIDKKELAPLQELIESIIPY >ORUFI03G24640.1 pep chromosome:OR_W1943:3:19873581:19874235:-1 gene:ORUFI03G24640 transcript:ORUFI03G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVCVVCRIQPNGSSDAYRRWKLAVPSRARNVYLKLTCPCFQRRCTCSGMYQMFINGNAQPQGPSFLEMLCQGDWLFSQPPIMQPQKTRMYSAIQMMGYAGNTQSYGEPCSYSGGSSIAQHEIGPPQIDEPPPIT >ORUFI03G24640.2 pep chromosome:OR_W1943:3:19873581:19874207:-1 gene:ORUFI03G24640 transcript:ORUFI03G24640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSSFQGTQRVPQVNMPMFPTGMYSAIQMMGYAGNTQSYGEPCSYSGGSSIAQHEIGPPQIDEPPPIT >ORUFI03G24650.1 pep chromosome:OR_W1943:3:19877812:19882391:-1 gene:ORUFI03G24650 transcript:ORUFI03G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNKSRGGAAVLGGGDELVLRGTISKKWTLLLCLASFCIGLIFTNRALDKTISNLEMELASAKASQEESELNGAPLSESTGKRRYFMVIGINTAFSSRKRRDSLRATWMPQGEKRRKLEEEKGIIIRFVIGHSATSGGILDRAIDAEDRKHGDFMRLDHVEGYLELAAKTKSFFVTALSMWDAEYYIKVDDDVHVNIATLGNILAKHRSKPRAYIGCMKSGPVLAQKGVRYHEPEYWKFGEWGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGAWFIGLDVEHVDDRRLCCGTQPDCEWKAQAGNVCAASFDWSCSGICKSADRMKEVHQRCGENDSAIWSAKF >ORUFI03G24660.1 pep chromosome:OR_W1943:3:19903342:19903644:1 gene:ORUFI03G24660 transcript:ORUFI03G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKTVDDESVESYVTQMMHGPLNDGGGEADAVNKEGVQAIPSSSSPMSSPTPPRVRRQPPAQPPRPPPPSAAILSGAVACADEGREEREIEVGN >ORUFI03G24670.1 pep chromosome:OR_W1943:3:19928490:19929437:-1 gene:ORUFI03G24670 transcript:ORUFI03G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFDDYWARAYRGDSGVPHSDPQRLVTTWTGAFALGAAACVHHHASALASNIKSLPHYAMDLGNLSLLDETLWQHICNYLVDFNQKEF >ORUFI03G24680.1 pep chromosome:OR_W1943:3:19936259:19937040:1 gene:ORUFI03G24680 transcript:ORUFI03G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTALTLVVTIATEKEELGVYLDNNLISCTPMMNWGCHSKEGLSDWCYRQERSSLSMIAACPRRSRVIALDKGSPPRAKERTTISSRKEREGVEGDLDKKRKKKEGCGL >ORUFI03G24690.1 pep chromosome:OR_W1943:3:19938570:19939151:-1 gene:ORUFI03G24690 transcript:ORUFI03G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALERPWKRRRRRRRGGGASAPPVKEARSEMGIGMRRGETRDRGFHVWIRVTIRLVDGVQIALVAAKLGALRYLVFERRVIGAFWSQPTGETQASATAGRQGRPVMAWDTVISVSHALLDEEDGGGGSDLWPPHHDGVSTEA >ORUFI03G24700.1 pep chromosome:OR_W1943:3:19939094:19942954:1 gene:ORUFI03G24700 transcript:ORUFI03G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRGAASSSSSMAFPAPSFYPSSNSASLKCTVVAALDGGAQRRFQHSLEVGDLAAASEPLAQGFGSGGCCWRSQRFKVAAALPTKIDWQDEVVVVLPQTRPRSPLNLQGIGLLHDNSCLMLILGEATHYAQLMDLQEWSCQHLIDKQIQGKILHAHQRLMLFYELVLISLGCTVLGWSTPVQFFCLPNKLTNTKPVECCNVQIKQYGLCSLLSRKTI >ORUFI03G24710.1 pep chromosome:OR_W1943:3:19956337:19956762:1 gene:ORUFI03G24710 transcript:ORUFI03G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKGQRGGGGSDAGEGVTWRRWRWPRRGAEEEAGPPAGACGCGSVVTAGCRASARLRRPGAARTSGSAQEEEPDDEVAATMMSGQVGSNMNLDGELRKIKDQFATWKKDYKSRLKETKVNIQKVGEEKCRKRWRGKKSSK >ORUFI03G24720.1 pep chromosome:OR_W1943:3:19968286:19968904:-1 gene:ORUFI03G24720 transcript:ORUFI03G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHAEVATAHVPNGVLLGARCGVGTRIVIATTSILMIHGSRSFPSHDMIKINRDKKLENVVGSGGVHEHGLGFLLAGGILVRVDTTRGGHAAAVGPMEDEEGIGEHVRKGIVERVDGPGSRAKEPRILVPPLGTEQIKSLDRYNVHVSTICGRVAKVMLCIHATPIFFPNRWSVSEHEPL >ORUFI03G24730.1 pep chromosome:OR_W1943:3:19969608:19972573:1 gene:ORUFI03G24730 transcript:ORUFI03G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMIKPAPAPASSEEEKEVVATAATGERRHEEATAGREEQEEEEEEEEAPVVLKKGPWTTAEDAVLVQHVRQHGEGNWNAVQRMTGLLRCGKSCRLRWTNHLRPNLKKGSFSPDEELLIAQLHAQLGNKWARMASHLPGRTDNEIKNYWNTRTKRRQRAGLPVYPPDVQLHLAFAKRCRYDDFSSPLSSPQQSAGSNVLSMDAADAAGAASSGYTSARPPPLDLAGQLAMGSRPVQLLAATPFSAPSSPWGKPFARNAQFFQFPHSSPVSPTTPTGPVQVHPVTPELSLGYGLHAGDRARLPPVSPSPGARAELPSSQLRPSMAPTTAAAAATGGLVGGALQDHPNAASLEAMLQELHDAIKIEPPAPPENRGTEEEGGGGGGNLRGFLHEQIFDKLMPIKSEDFCIVGLHSVLISLQFPGDGKPEVELKDDIETLFDLIIPATFPAAAPEPAAAATAASAAPNHSSSVSQHSSDDQDHSNGADVVLDLPILTGGGGGSSEQDDWSLDGAACQWDNISGGIC >ORUFI03G24740.1 pep chromosome:OR_W1943:3:19981600:19982850:-1 gene:ORUFI03G24740 transcript:ORUFI03G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRITTHLSPTLKPPPPLSLHPHLPLLRRHRHPSSRPISVSAAAPSDLLASVESVASAASVLAAIVLVHESGHFLAATSRGIHVSQFSVGFGPALARFRLGPVEYALRAIPLGGYVGFPDDDPDSGFPPDDPDLLRNRPVPDRLLVVSAGVAANLLFAFLIVYAQALTVGVPVQAQLPGVLVPEVIPGSAAARAGLLPGDVILSVPGLAPDPSVPVLVDLIKASPNKDVSVTVSRTGPGPGDRRSIDLTVVPDTSVDGTGRIGVQLSPYFRVTRVHPNNLAEATVLALREFTALSATVLDGLRQTFLNFSQTAEKVSGPVAIIAVGAEVARSSAEGLFQFAAVINLNLAAINLLPLPALDGGTLALILLEAARGGQKIPREIEQRIMSSGILVVLMVGMFLIVRDTLNLDFIKDIL >ORUFI03G24750.1 pep chromosome:OR_W1943:3:19985547:19990207:1 gene:ORUFI03G24750 transcript:ORUFI03G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSPAAGVEGMKGAAATRELEPMATATLHIDGDDVILDVGHLAGQASGDNGDAVDNALMRLPCALNAVGVLTGTMAAAAARSGGGVGRDVDGRGLPTARGELDGARLPGVMMHHDNPVHRGTQGDHSSSPLCICVSRQATADGHRQPPHAARVVPVRAGFGGGVWRSPASSERASQRREDGTGPGEEGSAAACSGCVQFAKQSGAWLRWRLEQPGGKETIEGGSSDPVSDTTTLGSHDAEISNFGLVLCYNKVSVPRGYY >ORUFI03G24760.1 pep chromosome:OR_W1943:3:19990863:19995026:1 gene:ORUFI03G24760 transcript:ORUFI03G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLISSGIIKWTASKLSSLVSASPGASASNEQSSALRDVRTLQRTMARIQRTLATTDEHSIRDASERLHLRELQQFAYDAQDAIDLYKFELLRRRMDDPNSHGDGGSSRKRKHKGDKKEPETEPEEVSIPDELAIRVRKILERFKEITKAWDDLRLDDTDTTMQDEEHSMLPLPTTPYVDEPTIFGRDEDKEKIIKMLLSVGGANEGDVSVLPIIGMGGVGKTALVQLVYNDRRILNRFDLMGWVHVSENFDLKSIMRKIIMSFTKKPCQMTQMDQLQYMLIEQVVGRKFLLVLDDVWNERKDIWDALLSAMSPAQSSIILVTTRNTSVSTIVQTMHPYNVSCLPFEESWQLFKQMAFLHQDESMKTDFEVIGRKIVQKCAGLPLAVKAIASALRFEENEEKWNDILESEQWELPTTEDTVLPALKLSYDQMPIHLKRCFVFFALFPKRHVFLKENVVYLWISLGFLKRTSQTYLETIARCLNDLMQRTMVQKILFDGGHDCFTMHDLVHDLAASISYEDILRIDTQHMKSMNEASGSLRYLSLVVSSSDHANLDLRTLPVSGGIRIFQVVNSMDDNRRYFSSFFKNNRRCFSKLFSHHINLTIDNELWSSFRHLRTLDLSRSSMTALPDSIRGLKLLRYLSIFQTRISKLPESICDLLNLKILDARTNFLEELPQGIQKLVKLQHLNLVLWSPLCMPKGIGNLTKLQTLTRYSVGSGNWHCNIAELHYLVNIHGELTITGLGRVTKVDDAQTANLINKEHVQTLRLDWSDGFYSSECDHNSSHIDVKATPELAEEVFESLKPTSNLEELEVADYFGYKYPSWFGGSAYSQLAKITLWKQGCKFLPTLGQLPQLRKLVVIRMEEVERIGQEFHGENSTNRFPVLEELEFENMPKWVEWTGVFDGDFPSLRELKIKDSGELRTLPHQLSSSLKKLVIKKCEKLTRLPTIPNLTILLLMGNLSEEIHNSLDFPMLQILKVCFTQKLVCLELDNKNLPILEALAISGCRGLFSVVGLFSLESLKLLKIKDCPNLQCPLQPLQQQLQQCIITNCPQLQEWIEWQQSLIDKEDKQQPEFDNASYDQEALGALSDNSEDDVEVFNEDEDDDFYDEMLEVGQSSGTAINDDDGSNDAV >ORUFI03G24770.1 pep chromosome:OR_W1943:3:19995911:19997923:-1 gene:ORUFI03G24770 transcript:ORUFI03G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLASSVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIKKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPAVPAQTAAAPKKSKK >ORUFI03G24780.1 pep chromosome:OR_W1943:3:20023042:20027749:1 gene:ORUFI03G24780 transcript:ORUFI03G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLASGIIKWTTNKLSSLVSPQIVSSSSDEQSASFRDLRDLQRTMARIQRTLDAMDEHNIRDEAERLRLWELQQLAYDAQDAVDEYRYELLRRRMEDQSNQRQSSRSRKRKRKGDKKEPEPSPIKVPVPDDLAARVRKILEKFNEITKAWDDLQLNESDAPIREEAYDIKISTTPHVGDFDIVGREEDKENIIEILISDEAAQANMSVVSIVGMGGLGKTTLAQMVYNDERVSRYFQLKGWVDVSEGHFDVKAIARKIIMSFTRNPCDIEDMGNLQNMITAQVQDMKFFLVLDNVWNVQKEIWDALLSLLVGAQLGMILLTTRDETISKMIGTMPSYDLSFLTSEESWQLFKQMAFGFIDQHMDQQFEGFGRKIVGKCGGLPLAIKAIGSSLRGETNEETWKDVSESDQWGLPAEEDRVLPALKLSYDRMPVQLKRCFVFLSLLPKGYYFWKEDMINLWMCLGLLKQYCTGRHENIGRMYFDDLIQRAMIQRAESDEKLECFVTHDLIHDLVHFVSGGDFLRINTQYLHETIGNFRYLSLVVSSSDHTDVALNSVTIPGGIRILKVVNAQDNRRCSSKLFSSSINVKIPTETWQNLKQLRALDFSHTALAQVPDSIGELKLLRYLSFFQTRITTIPESISDLYNLRVLDARTDSLRELPQGIKKLVNLRHLNLDLWSPLCMPCGIGGLKRLQTLPRFSIGSGGWHSNVAELHHLVNIHGELCITGLRRVINVDDAQTANLVSKNQLQILRLDWSDGVCPNNCSHPSSQNDVATPDPEHEEEIFESLRPHKNIEELEVVNYSGYKYPSWFGASTFMHLAKIILCQQSCKFLPPLGELPRLRILSMECMTDVEHVRQEFRGNITTKAFPAVEELEFQEMLKWVEWSQVGQDDFPSLRLLKIKDSHELRYLPQELSSSLTKLVIKDCSKLASLPAIPNLTTLVLKSKINEQILNDLHFPHLRSLKVLLSRSIEHLLLDNQNHPLLEVLVISVCPRLHSIMGLSSLGSLKFLKIHRCPYLQLPSDKPLSTQLQRLTITKCPLLADWLEVQISHQQCQLHESKDAWYEEQQALNELNDASEDEHREEFGLLYEDDNGEDNDEQDHEQSEDEEIQYGSDDSSEEDE >ORUFI03G24790.1 pep chromosome:OR_W1943:3:20029304:20035631:-1 gene:ORUFI03G24790 transcript:ORUFI03G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGNNAIAAASFAIVVLVAAAASSLAAAVPAVYVLGDSLADVGNNNHLLTLLKADFPHNGIDYPGGKATGRFSNGKNFPDFLAENLGLATSPPYLAISSSSSANYVNGVNFASGGAGVFNSTNKDQCISFDKQIEYYSKVQASLVQSLGEAQAASHLAKSLFAITIGSNDIIGYVRSSAAAKATNPMEQFVDALIQSLTGQLQRLYDLGARRVLFLGTGPVGCCPSLRELSADRGCSGEANDASARYNAAAASLLRGMAERRAGLRYAVFDSSAALLRYIERPAAYGFAEARAACCGLGDMNAKIGCTPVSFYCANRTGYVFWDFYHPTEATARMLTAVAFDGSPPLVFPVNIRQLAAM >ORUFI03G24800.1 pep chromosome:OR_W1943:3:20045970:20046767:1 gene:ORUFI03G24800 transcript:ORUFI03G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGVEEMDWGFGVRHKTAPYYKLPVIVSPVAHLLLIKPFDSLQVQVRETSHLALGALSLGNCYPATQCHGSSLISTYRYDPYKVVSWRNQRPGLAPTT >ORUFI03G24810.1 pep chromosome:OR_W1943:3:20052350:20053476:-1 gene:ORUFI03G24810 transcript:ORUFI03G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRAKKRKQERDLLSIQEEGTIVQSPQTGEISVRGADLESGEDSSDSVTSDAGSAKAAPDDVLHIAHALCKVCAKSPRAVIDFVRRVSPATVGRSIDWDVVREEESSKSM >ORUFI03G24820.1 pep chromosome:OR_W1943:3:20054750:20063567:-1 gene:ORUFI03G24820 transcript:ORUFI03G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASSSSSWCALKQSCVALLMALACCLTTCARGAVEALYVLGDSQADVGNNNYLPASLLKANYPHNGVDYPGGKPTGRFSNGYNFVDLLAYTCMHTRCERRSERATMGSCTLSSGGVCVVVVLLCLAACCLTCARGAVPAIYVLGDSQADVGNNNYLLHSLLKANFPHNGIDYPGGKPTGRFSNGYNFVDLIAISLGVPSPPPYLSISSKPMNSSVYLKGVNFASGGAGVSNLTNLAQCISFDEQIEGDYHRVHEALGKQLGIPGAKAHLAKSLFVVAIGGNDIINDLLLSPVSELLRSRDEIVSNLENTLKRQLQTLYDLGMRRLFFVGIAPLGCCPLIRELNPTKECDAQANYMATRLNDAAVVLLRDMSETHPDFTYSFFDTYTAVLQSIRYKEVKAACCGLGDNNAMFLCSPASVYCDNRTSYMFWDVVHPTQAAVEKLMKIAFDGSAPLVSPKNIKQLTES >ORUFI03G24820.2 pep chromosome:OR_W1943:3:20054750:20063567:-1 gene:ORUFI03G24820 transcript:ORUFI03G24820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASSSSSWCALKQSCVALLMALACCLTTCARGAVEALYVLGDSQADVGNNNYLPASLLKANYPHNGVDYPGGKPTGRFSNGYNFVDLLAISLGVPSPPPYLSISSKPMNSSVYLKGVNFASGGAGVSNLTNLAQCISFDEQIEGDYHRVHEALGKQLGIPGAKAHLAKSLFVVAIGGNDIINDLLLSPVSELLRSRDEIVSNLENTLKRQLQTLYDLGMRRLFFVGIAPLGCCPLIRELNPTKECDAQANYMATRLNDAAVVLLRDMSETHPDFTYSFFDTYTAVLQSIRYKEVKAACCGLGDNNAMFLCSPASVYCDNRTSYMFWDVVHPTQAAVEKLMKIAFDGSAPLVSPKNIKQLTES >ORUFI03G24830.1 pep chromosome:OR_W1943:3:20074801:20075025:1 gene:ORUFI03G24830 transcript:ORUFI03G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVAGGGTVLASFRRGDGEAGEGDGVAEPREATARPDGARARRERRLEAAGGTGERGGRRGEVGRPRESAGGG >ORUFI03G24840.1 pep chromosome:OR_W1943:3:20081049:20085716:1 gene:ORUFI03G24840 transcript:ORUFI03G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLILQAKQSAAAMQLLRDELVVLGDKLNEMVPEKELSQIEEFESYLGCSIPSQIEIHPPNDTRSRGRIKRIKGHNDKEKKQNKKRKKKERVPRRCKKCKQVVLHDSRNCPNKEPQHLLGAGSPSCEIITLARVTIDRGNHLVVTSLSPLSTQ >ORUFI03G24850.1 pep chromosome:OR_W1943:3:20100086:20103587:1 gene:ORUFI03G24850 transcript:ORUFI03G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWRRCTPSTSACAGVCVVALLLVALACCPTRARGAAPAVYVLGDSQADVGNNNYLPATLPMPTTLTRVDYPGGKPTGRFSNGYNFVDYLADSLGVASPPPYLSISNTSVYLRGVNFSSGGSGVSNLTNMGQCISFDEQIDQHYSTVHATLVEQLGPRQASTHLAESLFSVAIGGNDIINRVLLSQLVGTQDQFISSLANSLKRQLQRMYDLGTRRLLFVGAAPLGCCPMLREQSPTKECHAEANYLSARYNNAVTMLLRDMSAMHPGMSYAFFDTYTALLQYIRQPEAYGYTEVKAACCGLGDNNAMFQCTPASSYCANRTSYMFWDIVHPTEITAKRLTKVAFDGSPPLVYPINISQLTAS >ORUFI03G24860.1 pep chromosome:OR_W1943:3:20104581:20108217:1 gene:ORUFI03G24860 transcript:ORUFI03G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSRRWASASVTDLSSAGRSPLPAAALSPVRPSARRSPAVSRPDPAPSIARTIWPSSSSSNSGNTSTRKASPSPLSPAPAASTPSSSSSVATTLADHLAEDSLDAPPAALSRQRSCTELPRFADADAEARKVVVARSGGHASAIGRSMRLLPSTRPAGVTLTPGRVAPSDLRRLDAGADVASSGSECSDASRGGGGSTPRTTTKLPKPPPSPLIARTNSTRLLGSSNTQWALSPGRRSGSPLKTTLATVPELKGKTKSLIGLGWGHLFSRRKAAAAETATGAQATATLSSPASRRSGGGGNREIGHQMKMMHCRLLQWRFANAKAEAVSKNKLSIFEVEFMGAWARISELQGKVARRRVQLEKEKLKIKLNSVLSSQMRGLESWGQLESKHAVALDSTVVCTQAAICKLPLTNGAKAHDTTLLITELVAVAREEHALLQECLELLGRVSALQTIVALEINELVNDIIVSCRRGHSALALPPPPDLTYRRRR >ORUFI03G24870.1 pep chromosome:OR_W1943:3:20110109:20115090:1 gene:ORUFI03G24870 transcript:ORUFI03G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAAATRRSLSALLLSSRALQRRFAPLAAAASSAYLAPWAPPSRGAKTASSGGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPTDPKPSEEDMVAAYVKTLAAVVGSEEEAKKKIYSVCTTTYTGFGALISEELSYKVKGLPGVLWVLPDSYLDVPNKDYGGDLFVDGQVIHRPQFRFTERQQVRSRPRPRYDRRRVTMQTEQKEAMQKGPSNLQQ >ORUFI03G24880.1 pep chromosome:OR_W1943:3:20117224:20121946:1 gene:ORUFI03G24880 transcript:ORUFI03G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRWNIVKFLQIFFRSVSNLRLQLSSAPQSANPSFSSIEEWKEEMALYPSAPPTSPPPPARPPLLLLRAAPSKGIGKMLSVLRLRGLTNCQPIVPMPYTA >ORUFI03G24890.1 pep chromosome:OR_W1943:3:20123834:20128064:1 gene:ORUFI03G24890 transcript:ORUFI03G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVAAAAASSSSSPLARLVSRRGLAGAADHHGPPKVNIWQEPMNPANWKEEQFVLASLAMWGGIFYGVGRLFSGKKEDKTTEAAPAQA >ORUFI03G24900.1 pep chromosome:OR_W1943:3:20131765:20135836:1 gene:ORUFI03G24900 transcript:ORUFI03G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTSIRRHSSTASQRNSWVSCTHRTREREREREREREREREHRSTPPLRRRTQRKREGRRGRAEEGMEEQKHTDKAKAKLSMLKSKVICCKLYISESQNAKVVDAITRIGQKDPEVVLLSKFEDDHYNRVRYTLASYIINENSTGEVKFSPMRRVLLEMIEKAFSTINLETHTGTHPRIGVIDDMSFHPLNQATMEDAAQLAKTVASDIGNFLQVPVFLYGAAHPTGKPVTAVRRELGYFQPNYMGIQWMGQVLPDILPVKPDEGPDHVSRERGAIMIGAAPLPLNYNVPVLSKDIPTIRRITRRVTGRGGGLPTVQALALSHGDDCTEIACFLDPDHVSADQVQQQVEQIAAEQGLEVEKGYFTDFSKDAMLEKYFKIVLSVD >ORUFI03G24910.1 pep chromosome:OR_W1943:3:20135423:20137174:-1 gene:ORUFI03G24910 transcript:ORUFI03G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKQPKQHEAAPQGQG >ORUFI03G24920.1 pep chromosome:OR_W1943:3:20143735:20145699:-1 gene:ORUFI03G24920 transcript:ORUFI03G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLPPPPTPTPPCASRDPLHAAPRRSRSPSTSRPPSRHRRTASARSTLPAAARDLLADDPTPRAFSALLKAASSSASPPRRPSSLGLGAQLHAQAVVRGFLGGDDSTILATAVLSFYASCREPDLARKVFDGMPRRNAVTWNALIKGYAQAGRREEAILLFRDMKREGSHVAPDRYTFPALLSGIGREGGSGRTLELGGALHAHVIKAGLERDPFVGASLVSLYAARRTLEDAKVAFDQVGSSDPIVWSSMISAYVNCEEEEGALLIFFNMLCQDIKPTQFVYSTVFSVCGRMGILEMGKQVHAHSLKSNTEKDAAMFNALLTMYSDCGCINDAQKVFSSNDCVNVISYNSMISALGQHGYPKEALEHFRQMKFAGLMPDEVTLLNLISSFNHAGLVHEGLQMFNSMVDIEGIKPMYQHYACVVDMLARSGEIGKAMKTINEMPFEAEAPLWRIVLGACSKHRDIETGKRIAEMLFEMEPYEATNYILLGNIYARLGRWTEAEKVRSLMGERGVYKDDAFSWIEMGQRTYRFGVDDRSHPISREIYRNLDRLISTIKVAGYVPDISFAAHNIQRDRKEESLYYHCEKLAFAFGDLAAPSGGTLRIMKNLRVCGDCHCAYKYFSLVTGREIILRDNQRFHHFNSGFCSCGDYW >ORUFI03G24930.1 pep chromosome:OR_W1943:3:20150912:20154419:-1 gene:ORUFI03G24930 transcript:ORUFI03G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSRYDNPFEEGGGDEVNPFADKASKGGSAGQSSYSGGAFYTTQSRPSAPPATHLSPLPPEPADFYNDFSTPVDIPMDTSKDMKTREKELLAKEAELNRREKEIKRREEAAARAGIVLEDKNWPPFFPIIHNDIGNEIPVHLQRTQYVAFASLLGLVLCLFWNIICVTAAWIKGEGPKIWFLAVIYFILGCPGAYYLWYRPLYRAMRGIFPAISLIGNTVIVGVFYFLGFAMFCLESLLSMWVIQRVYLYFRGSGKEAEMKREAARSAARAAF >ORUFI03G24930.2 pep chromosome:OR_W1943:3:20150912:20159910:-1 gene:ORUFI03G24930 transcript:ORUFI03G24930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRWGHSDNPFEEDKASKGGSAGQSSYSGGAFYTTQSRPSAPPATHLSPLPPEPADFYNDFSTPVDIPMDTSKDMKTREKELLAKEAELNRREKEIKRREEAAARAGIVLEDKNWPPFFPIIHNDIGNEIPVHLQRTQYVAFASLLGLVLCLFWNIICVTAAWIKGEGPKIWFLAVIYFILGCPGAYYLWYRPLYRAMRGIFPAISLIGNTVIVGVFYFLGFAMFCLESLLSMWVIQRVYLYFRGSGKEAEMKREAARSAARAAF >ORUFI03G24930.3 pep chromosome:OR_W1943:3:20154751:20159910:-1 gene:ORUFI03G24930 transcript:ORUFI03G24930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRWGHSDNPFEEHPRPTPLPHEPVAFYNDPGASVDPLDRLVICLFWNIICVSAIAIMWGDPRAWFLAAIYFITGCPGAYFSWYRPLYRAMRGIFQAINVIGYNGAVGILFFLGFAMFVLETLLSIWVMQKRTRSLSGWRRGGGKVREKH >ORUFI03G24940.1 pep chromosome:OR_W1943:3:20162965:20163714:-1 gene:ORUFI03G24940 transcript:ORUFI03G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKVKLQRIINDAKRRATFKKRLKGLMKKASELATLCNVDTCLMVYGEGEAQATVVWPSESEVMRVLERFKTLPQLDKYKKMTDLEGFIQERIDKFQEQLDKVRRDADESETKLLLIEALEGRRPGLEGITIEQLTSLGWLVDARLNIVNDQLQKLHEQGLLPASISLPTMGVLPYTTAGYTVAQEAPIQRGGWLMGVVRGIGSLGYSLFRGSGRSNTAGPSGDMVQPFNIGAGSSLANQGISFPPK >ORUFI03G24950.1 pep chromosome:OR_W1943:3:20187348:20188388:1 gene:ORUFI03G24950 transcript:ORUFI03G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSKKAASARAGAVADKEAAAPLPPEKEVVALPPPVVVEEEEVKEVLSETAAPVSRPRPPPEPEKEVVKRKEEEEEEVSESASVASATAEKAKAKGGGEGEQEVEKKAIGGMEKGRARRRTPEQRRPKEAAGNGRARSPSPASAQRRYGGGEHLAPPTRPRREQPAVVSGIGCRSGRFSPSAARRAAESAVRRTNSAREADMMLPHSSRTPAAAKRSLNGNGGAYGGGAAKRDPGERSGRRPDSPTSKHIPPASPAANGAISRQQSLNGGATRKTAKDDTTLEQTKPQCHGGGGGGRSPEEGRDGSDESALEEEGHEQEKQTAEGGALGPINPSVAMECFIFL >ORUFI03G24960.1 pep chromosome:OR_W1943:3:20191737:20195374:-1 gene:ORUFI03G24960 transcript:ORUFI03G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEGWRRLRRCSSYRGCSSSTNGGGGRGVGGCVLFYKRAAGTAGCTSEQGRSGTCQSLRSESSCKKLAWIYWGYTKFLDWLSSNKKMVGKETTGQIALDDITPMDMALMV >ORUFI03G24970.1 pep chromosome:OR_W1943:3:20196444:20201878:-1 gene:ORUFI03G24970 transcript:ORUFI03G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMIRRAQLMGMAGEGAPGHRNYSAWRAWKAAARSFFLLAAPPPARRGRGDADEVPHCREKWRRRGGVGWRWIRHPHGRIWRGSVARGGARLGAVTVARRRNAAGGGGGSPVAGSGTWQGGGGSGGAPLGSGVG >ORUFI03G24980.1 pep chromosome:OR_W1943:3:20206480:20213789:-1 gene:ORUFI03G24980 transcript:ORUFI03G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRHAVLMQLRMLLRSRRPFSTISATDEAKPLISEAMEEGNFDILVDPDIGDDYDENKMMRMMECAAAAVRQSAHLRPSMVQANILKELDELKTKTKKAIIEDVEKVKRLESEDRNALNRLLTSCGMPRGAFRDKLVFGCHVVALFAAFSAVGIWKAGRDAPHKDAKFATDASI >ORUFI03G24990.1 pep chromosome:OR_W1943:3:20216516:20218181:-1 gene:ORUFI03G24990 transcript:ORUFI03G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAATGPAASATTANVIQPQPLLQRCAHLVILVTFDVVYVRDQPPLPFVFMQQHHHHPTAPQTSGGTFSDAGSERPHSISIDGGSLSYDQLAAATGGFSPDNVIGQGGFGCVYRGRLQDGTEVAIKKLKTESKQGDREFRAEADIITRVHHRNLVSLVGYCISGNDRLLVYEFVPNKTLDTHLHDFGLAKYQPGNHTHVSTRIMGTFGSVHCSRVPV >ORUFI03G25000.1 pep chromosome:OR_W1943:3:20222894:20226728:1 gene:ORUFI03G25000 transcript:ORUFI03G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYEALTGSLDDFGFEDYLNLPQDSDSFHALDMHHGMEVRLGRGVRLPNLAPSRSDPACPALEADPQATASGEVTARTWRWQSEVERARRRAIDGGHARAVRSVEKGGSGAPARSGQWRRPTRRGDARGRCRQVDAGGTSGSRRLAWTASGGWRDNDKEASLTDGVKVDARANGKEAGLTDGMEVNTVMTGSPMMVVCATRHAETD >ORUFI03G25010.1 pep chromosome:OR_W1943:3:20229857:20232458:1 gene:ORUFI03G25010 transcript:ORUFI03G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRLEEQPEGPIGGSQVDIGGLAFQGDMGGGGFAGGSGGAGAGGGDGNKMLDRGINTAIVLGASTYALTKLLTVDHDYWHGWTIFEILRYMPEHNWSAYEEALKTNPVLAKMMISGVVYSLGDWIAQCYEGKPIFEFDRARMFRSGLVGFTLHGSLSHYYYHFCEALFPFKDWWVVPAKVVFDQTAWSAIWNSIYFVVLGFLRLESPATISSELKSTFWPMLTAGWKLWPFAHLVTYGLVPVEQRLLWVDCVELIWVTILSTYSNEKSEARNSEDASTSNASNV >ORUFI03G25010.2 pep chromosome:OR_W1943:3:20229857:20232458:1 gene:ORUFI03G25010 transcript:ORUFI03G25010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRLEEQPEGPIGGSQVDIGGLAFQGDMGGGGFAGGSGGAGAGGGDGNKMLDRGINTAIVLGASTYALTKLLTVDHDYWHGWTIFEILRYMPEHNWSAYEEALKTNPVLAKMMISGVCYEGKPIFEFDRARMFRSGLVGFTLHGSLSHYYYHFCEALFPFKDWWVVPAKVVFDQTAWSAIWNSIYFVVLGFLRLESPATISSELKSTFWPMLTAGWKLWPFAHLVTYGLVPVEQRLLWVDCVELIWVTILSTYSNEKSEARNSEDASTSNASNV >ORUFI03G25020.1 pep chromosome:OR_W1943:3:20231347:20248281:-1 gene:ORUFI03G25020 transcript:ORUFI03G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLTAAGGRGDGGAKAVEPLRPPPPPDPKTMARWYQLEALERAVRGNTLAFLETGSGKTLIAVMLLRAYAHRVRRPDSRRFAVFLVPTVVLVGQQARARVVEQHTDLVVKQFCGEMGVDFWDAATWRSQLEDGEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHHARGNTPYACIFKEFYHPQLNSSASDPLPRIFGMSASLIYSKDLNPHNYSKQISEIENLMNSKVRPSLSEYIPFASTKIVDFDDSNISSELHANILSCLNRLNKKHIEALDRKLHGSSLENAKQRISKLHHTFVYCLYNLGVWLAAKAAEVQSYEENSLSFWGETLDKNVEGFIRNYSEEVHRELSCFLKNADSQDGILTPKVHCLIRTLLQYRYFKPLPRFDIDKASGTCTLHLPKSSPVQTTVNVEGEGSILKETVCLKACQELHAIGALTDSLLPELDVPCDEEPDIVVENKIEQPSYFPEEFVDNWRSFSRLGIYYCYKISLEGCPKTASPTDILLALKCDLGSDFTSSSFKLPGGQDNASVTMKYVGIIHLNQEQVIIARRFQTTILSFLIGDDHLEVSNGIKYFHEMQVPIGVVYLLLPLVSGRIDWCSMKFSSSPIYEANNKHMTHCHSCKDIDLLQTKDGPFCRCILKNSIVCTPHNNIFYVISGFLDLDANSCLPQHDGTVVTYKDYFKTRHGLTLTFENQPLLAGSKHVKVRNFLHNCYSKKEKEPGDRYSVELPPELCRIIMSPVSANNLHIFSYVPSIMFRIQCMLLSVKLKVQLGPTVQQFDVPILEALTTKKCQEEFSQESLETLGDSFLKYVTTRHLFSEYRLQHEGILTKMKKNLISNAALCQLACSSNLVGYIHAEEFNPRDWIIPCLDYDERGNKKISFLAPNGMYSQRKMSIKSKRIADSVEALIGAYLSTAGEKAAFLLMKSLGMNIEFHTEIPVERKISMKAEEFINVRSLEGMLGYKFNDSLLLLEALTHGSYQTSGPTSCYQRLEFLGDAILDHLFTEYYYSKYPDLSMGQNVDLSSLEIVAGDSKRRNPKTTK >ORUFI03G25020.2 pep chromosome:OR_W1943:3:20231347:20248281:-1 gene:ORUFI03G25020 transcript:ORUFI03G25020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLTAAGGRGDGGAKAVEPLRPPPPPDPKTMARWYQLEALERAVRGNTLAFLETGSGKTLIAVMLLRAYAHRVRRPDSRRFAVFLVPTVVLVGQQARARVVEQHTDLVVKQFCGEMGVDFWDAATWRSQLEDGEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHHARGNTPYACIFKEFYHPQLNSSASDPLPRIFGMSASLIYSKDLNPHNYSKQISEIENLMNSKHIEALDRKLHGSSLENAKQRISKLHHTFVYCLYNLGVWLAAKAAEVQSYEENSLSFWGETLDKNVEGFIRNYSEEVHRELSCFLKNADSQDGILTPKVHCLIRTLLQYRYFKPLPRFDIDKASGTCTLHLPKSSPVQTTVNVEGEGSILKETVCLKACQELHAIGALTDSLLPELDVPCDEEPDIVVENKIEQPSYFPEEFVDNWRSFSRLGIYYCYKISLEGCPKTASPTDILLALKCDLGSDFTSSSFKLPGGQDNASVTMKYVGIIHLNQEQVIIARRFQTTILSFLIGDDHLEVSNGIKYFHEMQVPIGVVYLLLPLVSGRIDWCSMKFSSSPIYEANNKHMTHCHSCKDIDLLQTKDGPFCRCILKNSIVCTPHNNIFYVISGFLDLDANSCLPQHDGTVVTYKDYFKTRHGLTLTFENQPLLAGSKHVKVRNFLHNCYSKKEKEPGDRYSVELPPELCRIIMSPVSANNLHIFSYVPSIMFRIQCMLLSVKLKVQLGPTVQQFDVPILEALTTKKCQEEFSQESLETLGDSFLKYVTTRHLFSEYRLQHEGILTKMKKNLISNAALCQLACSSNLVGYIHAEEFNPRDWIIPCLDYDERGNKKISFLAPNGMYSQRKMSIKSKRIADSVEALIGAYLSTAGEKAAFLLMKSLGMNIEFHTEIPVERKISMKAEEFINVRSLEGMLGYKFNDSLLLLEALTHGSYQTSGPTSCYQRLEFLGDAILDHLFTEYYYSKYPDLSMGQNVDLSSLEIVAGDSKRRNPKTTK >ORUFI03G25020.3 pep chromosome:OR_W1943:3:20231347:20248281:-1 gene:ORUFI03G25020 transcript:ORUFI03G25020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLTAAGGRGDGGAKAVEPLRPPPPPDPKTMARWYQLEALERAVRGNTLAFLETGSGKTLIAVMLLRAYAHRVRRPDSRRFAVFLVPTVVLVGQQARARVVEQHTDLVVKQFCGEMGVDFWDAATWRSQLEDGEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHHARGNTPYACIFKEFYHPQLNSSASDPLPRIFGMSASLIYSKDLNPHNYSKQISEIENLMNSKHIEALDRKLHGSSLENAKQRISKLHHTFVYCLYNLGVWLAAKAAEVQSYEENSLSFWGETLDKNVEGFIRNYSEEVHRELSCFLKNADSQDGILTPKVHCLIRTLLQYSPVQTVNVEGEGSILKETVCLKACQELHAIGALTDSLLPELDVPCDEEPDIVVENKIEQPSYFPEEFVDNWRSFSRLGIYYCYKISLEGCPKTASPTDILLALKCDLGSDFTSSSFKLPGGQDNASVTMKYVGIIHLNQEQVIIARRFQTTILSFLIGDDHLEVSNGIKYFHEMQVPIGVVYLLLPLVSGRIDWCSMKFSSSPIYEANNKHMTHCHSCKDIDLLQTKDGPFCRCILKNSIVCTPHNNIFYVISGFLDLDANSCLPQHDGTVVTYKDYFKTRHGLTLTFENQPLLAGSKHVKVRNFLHNCYSKKEKEPGDRYSVELPPELCRIIMSPVSANNLHIFSYVPSIMFRIQCMLLSVKLKVQLGPTVQQFDVPILEALTTKKCQEEFSQESLETLGDSFLKYVTTRHLFSEYRLQHEGILTKMKKNLISNAALCQLACSSNLVGYIHAEEFNPRDWIIPCLDYDERGNKKISFLAPNGMYSQRKMSIKSKRIADSVEALIGAYLSTAGEKAAFLLMKSLGMNIEFHTEIPVERKISMKAEEFINVRSLEGMLGYKFNDSLLLLEALTHGSYQTSGPTSCYQRLEFLGDAILDHLFTEYYYSKYPDLSMGQNVDLSSLEIVAGDSKRRNPKTTK >ORUFI03G25020.4 pep chromosome:OR_W1943:3:20231347:20248281:-1 gene:ORUFI03G25020 transcript:ORUFI03G25020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLTAAGGRGDGGAKAVEPLRPPPPPDPKTMARWYQLEALERAVRGNTLAFLETGSGKTLIAVMLLRAYAHRVRRPDSRRFAVFLVPTVVLVGQQARARVVEQHTDLVVKQFCGEMGVDFWDAATWRSQLEDGEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHHARGNTPYACIFKEFYHPQLNSSASDPLPRIFGMSASLIYSKDLNPHNYSKQISEIENLMNSKHIEALDRKLHGSSLENAKQRISKLHHTFVYCLYNLGVWLAAKAAEVQSYEENSLSFWGETLDKNVEGFIRNYSEEVHRELSCFLKNVWNCQNSLGWWTWSDPMTITVNVEGEGSILKETVCLKACQELHAIGALTDSLLPELDVPCDEEPDIVVENKIEQPSYFPEEFVDNWRSFSRLGIYYCYKISLEGCPKTASPTDILLALKCDLGSDFTSSSFKLPGGQDNASVTMKYVGIIHLNQEQVIIARRFQTTILSFLIGDDHLEVSNGIKYFHEMQVPIGVVYLLLPLVSGRIDWCSMKFSSSPIYEANNKHMTHCHSCKDIDLLQTKDGPFCRCILKNSIVCTPHNNIFYVISGFLDLDANSCLPQHDGTVVTYKDYFKTRHGLTLTFENQPLLAGSKHVKVRNFLHNCYSKKEKEPGDRYSVELPPELCRIIMSPVSANNLHIFSYVPSIMFRIQCMLLSVKLKVQLGPTVQQFDVPILEALTTKKCQEEFSQESLETLGDSFLKYVTTRHLFSEYRLQHEGILTKMKKNLISNAALCQLACSSNLVGYIHAEEFNPRDWIIPCLDYDERGNKKISFLAPNGMYSQRKMSIKSKRIADSVEALIGAYLSTAGEKAAFLLMKSLGMNIEFHTEIPVERKISMKAEEFINVRSLEGMLGYKFNDSLLLLEALTHGSYQTSGPTSCYQRLEFLGDAILDHLFTEYYYSKYPDLSMGQNVDLSSLEIVAGDSKRRNPKTTK >ORUFI03G25030.1 pep chromosome:OR_W1943:3:20256065:20258690:-1 gene:ORUFI03G25030 transcript:ORUFI03G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTWRPEDLFLETLKDSLARQRAWRHRGIAWRWPGVRGQSGRADYTAGTQHCLGAFEGIGSEIFTPGKYSNMLVVLRVAKGGDGGGAAAEAEEAEEAHPADYTAGTQHCLGAFEGIGSEIFTPGKYSNMVN >ORUFI03G25040.1 pep chromosome:OR_W1943:3:20273515:20273712:1 gene:ORUFI03G25040 transcript:ORUFI03G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLRDAAGHLRVPQPSPPPLPRPRPPTKPKSLPAEMDSEPELKAPPSPPLLALPQPQADGAARP >ORUFI03G25050.1 pep chromosome:OR_W1943:3:20276426:20279342:1 gene:ORUFI03G25050 transcript:ORUFI03G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFYVTIPYGAAVLVGGVAGYLKRGSKASLAAGGGFGGALLLAGALSARAFARGHPSGSLFAAVLQTVCAVALTVVMGIRYIKTRKVMPAGIIAAVSALVLIFYIYKISNGGNKSPISYPSNAE >ORUFI03G25060.1 pep chromosome:OR_W1943:3:20279418:20281007:-1 gene:ORUFI03G25060 transcript:ORUFI03G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPLAAAAAAASAPSPAAGAQHGRVLDAYKKALATAASVAAYAVLARSMARELLPDELRAAARWGAAFVRARLGASEKERHTVVIRRQLDGGYSENQLFEAARAYLATKIDPRALRRLSLARSRCKEADGSSSWTTLLCLEPGDSTTDVFDGVEFRWTSMETGGGDDGKRGGKGGGDRGHRAPRESLELSFDAEHTDTALERYVPFVMATAEQLQRRERVLRIFMNEVRSWHGFNHHHPATFDTIAMEPDLKKSIVDDLDRFLKRKEYYRRIGKAWKRGYLLHGPPGTGKSSLVAAMANYLRFNLYDLDLSEVRVNAALQRLLISMPNKSILVIEDIDCCFDANPREAHKITTAALDQAEDFDFSSSDSDDAVGAPPRARRAGDLQQQKLTLSGLLNFIDGLWSTSGEERVIVFTTNYKERLDPALLRPGRMDMHVYMGYCGWEAFKTLAHNYFLVGDHPLFPEIRQLLAGVEVTPAEVSEMLLRSEDADAALRGLVEFLRERTRRRARQEAAIDDNQVVAEKGNAA >ORUFI03G25070.1 pep chromosome:OR_W1943:3:20281848:20282165:1 gene:ORUFI03G25070 transcript:ORUFI03G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRGTGGAGSAAAAAAAASSWRHADAGFWQHADAGSWRHADAGRRYLARPDPSCGGGRQRGAPDSPPDLRVVAADVVAAAEADGATPTGSRGEEEAGAWWRDY >ORUFI03G25080.1 pep chromosome:OR_W1943:3:20284422:20285871:1 gene:ORUFI03G25080 transcript:ORUFI03G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWAEITLKPWGQHGELGTGKTHVEVDVKNEVKLARADGGGEELELEDGTLGRRSRVGQAGVVDRSSSSRTACGCCCLLVPGGRRRAGGDTAEVKAGGGAPAWDYGRVRGNPDYLADKPPLSPDPGSNHPLYHVAPLSSLQDPRVPLPFISGHALSLPYFGSY >ORUFI03G25090.1 pep chromosome:OR_W1943:3:20290443:20290830:-1 gene:ORUFI03G25090 transcript:ORUFI03G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLRFNIFDLNLSQVHINSNLQRLLIDMSNRSILVIEDIDCCFDANPREHHHKTSPPAFQTKRGKLVYPWVDTLTGARLVGPVKET >ORUFI03G25100.1 pep chromosome:OR_W1943:3:20314546:20315968:1 gene:ORUFI03G25100 transcript:ORUFI03G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDPSSTVVEVLHGMTIPIELSNHKTGLTNSSRRQSLTRRPRPSCPSRGRFLAAPAANAASSPPLFGITSSLAQAVDRRRLVASSALCHHPRRVGTARACGIGGGRTAGEEEEGRALLHPTRRRRTLTSPGEQPQHILELEKLLEEQAVLTQPELNLSPEY >ORUFI03G25110.1 pep chromosome:OR_W1943:3:20327811:20328935:1 gene:ORUFI03G25110 transcript:ORUFI03G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGDDAVVPRKGAGGGGTTTPPPPPPAQQQQQQPLPPPPPQEQGLRCPRCDSPNTKFCYYNNYSLSQPRHFCKTCRRYWTKGGALRNVPVGGGCRKNKRSRSAAAASRLSLNLPTVEGIGGAAADPAAAAAARLGFLGGGTTMMMSSSTSPLGGAAAAVADFQQGGAVGMLPLPRLQSPAGGGVGHHQYVPFGEWPSGDIAGGNAVNGGGGHGAVSSTIASSIESLSFINQDLHWKLQQQRLATMFLSPPGSASAAASAAQANDGGGNGAQATASGHAAGGGGGAFMHMAGSVPSMEAAMPSATSWFMDSCSYGLPSPPPPATAAVAATTSSNLNSGGRSSGGGGGDDNATSNCGSAIPAWGDISTFAMLP >ORUFI03G25120.1 pep chromosome:OR_W1943:3:20340449:20341390:1 gene:ORUFI03G25120 transcript:ORUFI03G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHKPLSPKNAITVNSTPILPIPIPGGVSARAGWWRCPWRLYASGPRVRRGRARRGRLGQRRGRAPREGCGEEEQDGDAAGRGKEEQGGGGAGRREEVHGDEVHGGAKVTGCGAGAVGASEGEERPTAKVAEVAEVAEEAAVLADFAGKEGKSRSGEEARRLLSDGGSAVVHGGGTAMPAVHGGGAAGEHRAASQRGGQGRRCGEEAQGAARRPVALARQGAARRPVAAALQGTSTWFTAVRRS >ORUFI03G25130.1 pep chromosome:OR_W1943:3:20347537:20357024:-1 gene:ORUFI03G25130 transcript:ORUFI03G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWCPADSTKPVFVGIYGAVIGGFAVSALFFLLSSFSLAAPPLPFPPASAAAANLSGAVSGVAQPETMYNRPIWKPPPRRERMPAPRAFRLTREMVGARARGGAIVVTFGNHAFLDFILTWVRHLTDLGVDSLLVGAMDTKLLRELYLRGVPVFDMGSRMATEDAVWGSPTFHKMGREKVLLINALLPFGYELLMCDTDMVWLKNPLPYLARFPDADLLTSSDQVIPTVTDDSLENWREVTGAYNIGIFHWRPTEPAKRLAKDWKDLLLSDDTLWDQNAFNDLIHKKFGYPVVGEDELVYSYDGKLKLGVLPASIFCSGHTYFVQGMYQQLRLEPYAVHTTFQYAGTAGKRHRLREAMLFFDQPSYYDSPGGFLSFRPNIPKSLLLDGAHTVKSHFALVNYQLKQIRTALAIASLLKRTLVMPPLWCRLDRMWFGHPGVLEGTMTRQPFLCPMDHVFEVHVMLKDLPKEEFGPHIDFREYSFLENPSLPKQVKESFLEVELCNEHSTRCSTTNRTNKGAPVLLPRNSTEQTLLDIFKPYKDIKILQFSSMVNAFGGFSDALREIGHIYYDMYWDEKPGWKPLPPQTKVEDHPPWLEVRDLDGLGLDADALRLPAHLFSVFDGHGNAEVVNYCRERIHVVLSEELRIQMVPHGCLTHGAARALAPPHPAALLQDARLGGGVAREAAAALRDNGGSGKLALWEQEAPAVERQRRRSVTDERAGEER >ORUFI03G25140.1 pep chromosome:OR_W1943:3:20359719:20361995:-1 gene:ORUFI03G25140 transcript:ORUFI03G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPHRRNNCQGKYIIPSAPHALALPPPLSPSTTRIPQRRPSPAPLPCTQALLDLDREPSSLDQSLRLTAVVGQWRRGDPVYMHCYSCPTAYGELSGLQCDTGFNLPKKENGSCGGQYNLASKKGDLPTPTIQVVLPFSAVTEDEFQKQLTQDSGNLSFPKEKQMEALVARLKGQ >ORUFI03G25150.1 pep chromosome:OR_W1943:3:20363014:20366251:1 gene:ORUFI03G25150 transcript:ORUFI03G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSCSRLSTGGGAVQRRPRRPASAATITCHRSSSSSSSARVVRTGAAAAPAAATAPAVPQTNECSLPTWAEFELGKAPVYWKTMNGLPPSAGEGLILFYNPAATKMTPNAQFGIAFNGGFNQPIMCGGEPRQMTLQERGSADPPIYTIRIRVPQHAMTLVFSFTNGVDWDGPYTLKFRVPKPWLNKPLSFFNEGLADELNREGACDRAIFPDENVVITSCEMGSYYEEGGDRCKLDIVSGCMDPNSHMFDPLATVDDGSCPMDSDSEE >ORUFI03G25160.1 pep chromosome:OR_W1943:3:20366136:20369446:-1 gene:ORUFI03G25160 transcript:ORUFI03G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYNDMDMGYEDEPPEPEIEEGAEEEPENNEDGPDEVVGAEAEDKEQEKTQRPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGG >ORUFI03G25170.1 pep chromosome:OR_W1943:3:20376084:20388043:-1 gene:ORUFI03G25170 transcript:ORUFI03G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEEQVAPEQVISTRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQAGSLRVHGVAMPTMKGIVNVLNHIGAQKKGKQTQVLWHSLREEPVIYINGRPFVLRDVERPFSNLEYTGINRERVEQMEFRLKEDILQEASRYGNKILVTDELPNGQMVDQWESVVTDTVKTPLEVYEELQHQGYLVDYERVPITDEKAPKEGDFDNLVRRISQVDIETEIIFNCQMGRGRTTTGMVIATLIYLNRIGSSGIPRTSSIGKVFHSGNDVDDYMPSSEEAILRGEYSVIRSLGGVEGKRQVDKVIDKCDTMQNLREAIATYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYVHSVCSAQQSNFSEEVSFSDWMRARPELYSILRRLLRRDPMGALGYSSSKPSLAKIVEYADGRPHEMDIVAAMRNGEVLGRQTVLKSDHCPGCHNLHLPERVEGAPNFREIPEFPVYGVANPTVDGIRAVIQRISTSKGGRPILWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRDRVERMEARLKEDILREAERYSGAIMVIHETDNGEIFDAWENVNNEAVLTPLEVYKLLESEGLPIKYARVPITDGKAPKSSDFDTITLNVAAAHKDTAFVFNCQMGRGRTTTGTVIACLLRLRIDHGRPIRLPACEYNHEDPNELGYSSGEETTDHNGHLNSSSPRPHTVTEQHPRFGIDDILVLRKITRLFDNGIECRQTLDNVIDKCSALQNIRQAVLQYTKVINQQHVEQRVKRVALNRGAEYLERYLKLVAFSAYLWSEAFDGFCGQGEAKMSFKAWIHQRPEIQSMKWSIRLRPGRFFTVNDESKASFQPSQGDVMMEAIVKARNGSVLGKGSILKMYFFPGQKRSSTINFCGAPQVFKVDGYPVYSMATPTVDGAKEVLSYLGSKDTGRSIPQKVVVTDLREEVVVYIKGTPFVLRELDQPVDTLKHVGISGPMVENIEARLKEDILSEVKQQGGRLLLHQEEFNSSTGQSSVVGFWEHIGTEDVMTPAEVYSVLRNQGYCIDYKRIPLTREREALASDVDAIQSSVDENARYYLFISHTGYGGVAYAMAITCLGLGADEKFIMEQTAETHFISTSLTKSVSIKTSADIALKQGDYRDILNLTRVLVHGPKCKEEVDTVIDRCVGAGHLREDIMHYRKALQDCSLDDDETWSYLMDMGTKALRRYFFLITFRSYLYCSSLREPTFASWMEARPELGHLCDNLKLDK >ORUFI03G25180.1 pep chromosome:OR_W1943:3:20393580:20401496:-1 gene:ORUFI03G25180 transcript:ORUFI03G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGSSGLEEKLAGLSAGGGEEPQQLSKNAKKREEKRKKQEEERRLKEEEKKKKAAATAAASGEPPKESAADDEEMDPTQYYENRLKALDSLKATGVNPYPHKFLANITIADYIEKYKSMNVGDKLVDVTECLAGRIMTKRAQSSKLLFYDLYGGGEKVQVFADARTSELEDNEFIKFHSTLKRGDIVGVCGYPGKSKRGELSIFPKKIVVLSPCLHMMPRQKSEGSAVPTPWAPGMGRNIEKYVLRDQETRYRQRYLDLMVNHEVRHIFKTRSKVVSFIRKFLDGLDFLEVETPMMNMIAGGAAARPFVTHHNELNMRLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYDDLMKLTETMLSGMVKELTGGYKIKYHANGVEKPPIEIDFTPPFRRIDMIEELEGMAKLNIPKDLSSDEANKYLIDACAKYDVKCPPPQTTTRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSRPGLTERFELFVNKHEVCNAYTELNDPVVQRQRFEEQLKDRQSGDDEAMALDETFCTALEYGLPPTGSSSIPGYEASRLDMSTKQEPVRRIKAD >ORUFI03G25190.1 pep chromosome:OR_W1943:3:20401772:20408481:1 gene:ORUFI03G25190 transcript:ORUFI03G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSGGGGGGGGSSGKSGTGRMVSLQEFVSSMAPLIDLEKAAEISAESATSSKTLERRGCVMANLKCTDAQTGLMGKTLLEFQPNKGDVLPPHKFGTHDVVALKPNKADAGSAALGQGVVYRLKDSSITVAFDDIPEDGLNSPLRLEKLANEVTYRRMKDALVQLSKGIQTGPSANLIPVLFGENPPMSSKDVAKFSPFNKNLDESQKDAISKALRSRDVFLLHGPPGTGKTTTIIEIILQEVKRGSKILACAASNIAVDNIVERLSRYRTKLVRLGHPARLLPQVLDSALDAQVLRADNSSLAGDIRKEMKVLNSKLLKAKDKNTKRDIRKELRTLAKEERKRQQLAVADVIKNADVVLSTLTGASSKKLDGITFDLVIIDEAAQALEMACWIALLKGPRCVLAGDHLQLPPTIQSAEAEKKGMGKTLFERLTEAYGDQITSMLTIQYRMHELIMNWSSKELYNNKIKAHSSVADHMLYDIEEVKRSSSTELTIILIDTTGCDMEEVKDEEESTMNEGEAAVSIAHAKLLVESGVRASDIGIITPYAAQVTCLKMMRNKDTKLKDLEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCLVCDVETVSNDKFLKRLVEYFEENGEYLSASEYQS >ORUFI03G25200.1 pep chromosome:OR_W1943:3:20410031:20416766:1 gene:ORUFI03G25200 transcript:ORUFI03G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQFLAVAVDAAKNAGEIIRKGFYQTKNVEHKGQVDLVTETDKACEDLIFNHLRKHYPDHKFIGEETSAALGATADLTDDPTWIVDPLDGTTNFVHGFPFVCVSIGLTVGKIPTVGVVYNPIMNELFTAVRGKGAFLNGSPIKTSSQNELVKALLVTEVGTKRDKATLDDTTNRINKLLFKIRSIRMCGSLALNMCGVACGRLDLCYEIGFGGPWDVAAGALILREAGGFVFDPSGGEFDLMARRMAGSNSYLKDQFIKELGDTS >ORUFI03G25200.2 pep chromosome:OR_W1943:3:20410031:20416766:1 gene:ORUFI03G25200 transcript:ORUFI03G25200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQFLAVAVDAAKNAGEIIRKGFYQTKNVEHKGQVDLVTETDKACEDLIFNHLRKHYPDHKFIGEETSAALGATADLTDDPTWIVDPLDGTTNFVHGFPFVCVSIGLTVGKIPTVGVVYNPIMNELFTAVRGKGAFLNGSPIKTSSQNELVKALLVTEVGTKRDKATLDDTTNRINKLLFKIRSIRMCGSLALNMCGVACGRLDLCYEIGFGGPWDVAAGALILREAGGFVFDPSGGEFDLMARRMAGSNSYLKDQFIKELGDTS >ORUFI03G25200.3 pep chromosome:OR_W1943:3:20413644:20418859:1 gene:ORUFI03G25200 transcript:ORUFI03G25200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSSVVAGLVSGLGLARSSLTPSDGRSPSLSPLHPPWPAAPRLVCRSSGARPPRERAVAAAAAAANSPRQQQQLGEHEHEEAVAAAAMEPERSSPHEVREEIARCFDLVRRLGRGAVYLGSSRIARLLDCTTWSGAGPGFMDAATQGALEAGKPVGGFKIGKEAGEWTTSNFHPYLPSESYLTCRFFSARKHGLVDAAVRNCPTDRTAIIALPGGIGTLDELFEMMALIQLERIGSTLPVPFLLLNYDSYYSKLLDFLNDCEEWGTVAPGEVASLWKVCNGNHEALEYLAQFYNVPLAERNYCISPQLKQQATS >ORUFI03G25200.4 pep chromosome:OR_W1943:3:20413644:20416766:1 gene:ORUFI03G25200 transcript:ORUFI03G25200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSSVVAGLVSGLGLARSSLTPSDGRSPSLSPLHPPWPAAPRLVCRSSGARPPRERAVAAAAAAANSPRQQQQLGEHEHEEAVAAAAMEPERSSPHEVREEIARCFDLVRRLGRGAVYLGSSRIARLLDCTTWSGAGPGFMDAATQGALEAGKPVGGFKIGKEAGEWTTSNFHPYLPSESYLTCRFFSARKHGLVDAAVRNCPTDRTAIIALPGGIGTLDELFEMMALIQLERIGSTLPVPFLLLNYDSYYSKLLDFLNDCEEWGTVAPGEVASLWKVCNGNHEALEYLAQFYNVPLAERNYCISPQLKQQATS >ORUFI03G25200.5 pep chromosome:OR_W1943:3:20413644:20416766:1 gene:ORUFI03G25200 transcript:ORUFI03G25200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSSVVAGLVSGLGLARSSLTPSDGRSPSLSPLHPPWPAAPRLVCRSSGARPPRERAVAAAAAAANSPRQQQQLGEHEHEEAVAAAAMEPERSSPHEVREEIARCFDLVRRLGRGAVYLGSSRIARLLDCTTWSGAGPGFMDAATQGALEAGKPVGGFKIGKEAGEWTTSNFHPYLPSESYLTCRFFSARKHGLVDAAVRNCPTDRTAIIALPGGIGTLDELFEMMALIQLERIGSTLPVPFLLLNYDSYYSKLLDFLNDCEEWGTVAPGEVASLWKVCNGNHEALEYLAQFYNVPLAERNYCISPQLKQQATS >ORUFI03G25210.1 pep chromosome:OR_W1943:3:20415675:20421133:-1 gene:ORUFI03G25210 transcript:ORUFI03G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLRRNLKRQASRSLSAFAVSSPRAGAVAAADADQENLHPNLAAASPPMSPAAKNSSAAPGASPRSSKPVPTSAAPPSKAAAEGEQASAPANEAPAVKVVVRVRPTVSRPVDGKDLFFVRKTSPCSVAVGDRSFAVDGFLDDRASQADAFDLIGVPMIESALAGFNSSLVCYGQSGTGKTYTMFGALAAMVDSSSDHADRGVVPRVFQNLFAQIQGRQESSPEKQTSYQCRCSFLEVHNEQINDLLDPSQRNLQIRENAGNGIHIENLTDEYVSTVEDVNQILMKGLSNRKVGTTSMNLKSSRSHVIFSCVIEAWSKGFSNGFSSSRTSRITFVDLAGPDNDELDGGNKHCTREERYVKKSLSKLGKLVNILSEAPETQKDDSPHKQSCLTHVLKDTLGGNSRVTFLCSISSEHRCRTTTLSTLRFGERAKLMSNKAVVNEISEDDVNGLSDQIRQLKDELIRTKSGDTEPCKNGYFSAQNARESLHNLRVSLNRSLILPHIEVDSEEEMDVDEEDVQELRDQIRKLHSSSEDTFDDFMDAESGDDTPCSKGNPKTSEEDDQPVIDDCEDPIQEEHEVLSSTKVDQDLVSDRKSFLSVSASPHLSPMQDPTLCSSPKIHNKARKSITSPGLSPSKLSVSDCPGDEVSRKSAVRSSLQSSKLSPTDSLAASLQRGLHIMEYHEQNQGPRKSFVGLSFDHFALNPRQSVAKVSSGVLASPERKGATSSALCSSCKKAIDTDGNQKDNINAEKQIVIATSVVPEVKDDITASTIASKRQTELEALCEEQADKIKELSNLVDQYKKCSEDAQNSDGTEPTKELVDEAKVGEQHGELNVNDREELLSEIQRLKDQLKQQAGESTNVSLLEHLRNGSTDQEYELDREREKWMESESKWICLTEELRVDLESNRMLAEKTEMELSNEKKCTAELDDALQRAIYGHARIIEHYAELQEMYNDLLERHRRVMEGISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERAQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTQEKERSAAMQQENDKLKKQLEKMKKKHEMEMETMKHFLADSRLPESALGGFYRQESEDVPEYNNHATSTCDDDQSWRAAFTSAYE >ORUFI03G25220.1 pep chromosome:OR_W1943:3:20426689:20427647:-1 gene:ORUFI03G25220 transcript:ORUFI03G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASSMASMRGEEAGGEDLEATLPLHLKRLARHGSISSSSLAPHLERALMPLEFEVGAVGFEEATATAASLTADTVSSTTMGESRELAVVIVSPSLEPSLRCRANPATGGG >ORUFI03G25230.1 pep chromosome:OR_W1943:3:20429776:20432227:1 gene:ORUFI03G25230 transcript:ORUFI03G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVQEQGEDEVMAPPSTVQVKEEPVEAAACASNTAGERAGVGQDGFVRPGGMAAAKMASPSKVQVKEEPVEVSPSPPAGATGLTAAACASMDAPRLTDVCKRAGGGGGQGLCARNGSGTAQSPPIPSCPVLQQQHTAGAPNGSHPVLRQHAANAMNAGHSAAFRPQQHMGKPRDTCDLRKAAAPNTGDRLPLQWRQQRVHANLTCPLPPPVVAGSSSSPPQQRVGVFPSPTPQIVGSSPPPPSQARVGEANVTNPSRQQFTASAPHAGDGQLQKRPPWQRLQRVGVANPMNAGDLPPQKPHFTANARNAGEHPFQEQQQPPPVAKPTDAAAGDLLPQQKQLMANAPNAGEHLLPEQQKQQPVTAAKPANAPPLQHAANATNPAVLRRQRQRQWVRLRPPTATNLPQTKQEQHHLFMADDGANARNPLSPPPPCGMAKPPNSGDPLTDQNNQQLMANTHSAPTPVSTPLVASNQSESSAMTTTTTTNSNQNSGGGRTGPQPVAAGAAPNPAGNQQQGQRKGGANRRGGRGQGNKNNNVANTNISNMSKTPWL >ORUFI03G25240.1 pep chromosome:OR_W1943:3:20436678:20453128:1 gene:ORUFI03G25240 transcript:ORUFI03G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADGLPPGLRFDPSDDELVSRYLLRRIQQKPLPLDGVIVDADPLSVPPWTPLADHTRGDEAFFFAGARAKNGKGKRQKRTVEGGGFWLGQRMAVDGERLVVPGDGGGGVDGSGGGGLEITWRKYVLSFFAEGEQGSSGWVMHEYAVTSSADLASSPLRLYRVQKRKREPQCLDSHDDEDGGDQERAAPRRGVALPAADGTDQGSYGVIDGEPSLVSHCLPDQIVPPAEEADATAGAVDEERWSPPQPASPTAALVKQNSYDLMVISSLLFSDLPDRIDDDDLSVSQTEGTELSEQGSSGVIDDDYWREADATGGAEREEIALLDEERCPQPQPAPPTDALVPPLQGQTSYDVMADSSLLFADLPGRIDDDELQRSLRVSDMPDLFLSQTEEAGAGGGGGAAPVLNKQSNSSPLGVMDSEVPIVLSDLEFPESIDEVLSYIDFATDDCLDFDMDELSRVRRS >ORUFI03G25240.2 pep chromosome:OR_W1943:3:20436678:20477848:1 gene:ORUFI03G25240 transcript:ORUFI03G25240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADGLPPGLRFDPSDDELVSRYLLRRIQQKPLPLDGVIVDADPLSVPPWTPLADHTRGDEAFFFAGARAKNGKGKRQKRTVEGGGFWLGQRMAVDGERLVVPGDGGGGVDGSGGGGLEITWRKYVLSFFAEGEQGSSGWVMHEYAVTSSADLASSPLRLYRVQKRKREPQCLDSHDDEDGGDQERAAPRRGVALPAADGTDQGSYGVIDGEPSLVSHCLPDQIVPPAEEADATAGAVDEERWSPPQPASPTAALVKQNSYDLMVISSLLFSDLPDRIDDDDLSVSQTEGTELSEQGSSGVIDDDYWREADATGGAEREEIALLDEERCPQPQPAPPTDALVPPLQGQTSYDVMADSSLLFADLPGRIDDDELQRSLRVSDMPDLFLSQTEEAGAGGGGGAAPVLNKQSNSSPLGVMDSEVPIVLSDLEFPESIDEVLSYIDFATDDCLDFDMDELFININMNVENARMTYIMKRREYQI >ORUFI03G25240.3 pep chromosome:OR_W1943:3:20454499:20477848:1 gene:ORUFI03G25240 transcript:ORUFI03G25240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNTTNSTVVLPPLSRCRSPGCRCRRNLPNPLYLATAATRPCERFININMNVENARMTYIMKRREYQI >ORUFI03G25240.4 pep chromosome:OR_W1943:3:20453036:20454739:1 gene:ORUFI03G25240 transcript:ORUFI03G25240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHILLFPVVLHFFFLWPYAASASGLHPVVLLPGATCSQLEARLTDAYLPPSPQCAAAAAAAPRGARWFRLWKNSTALDDPTVAPCVADQLSVVFDRVAGDYRDTGGVETRLLDFGSTRGFLADDPADRDLCMGRLVEALERVGYRDGETLFGAPYDFRQPPAAPGQPCRSFSRFQRRLRALVERASRTNGDRPVVLVSHSQGGYFALEFLNRSPMAWRRRHVKHFVMASTGAGGFVGSMRFLATRDDSPLGRVGRSSAIKFTPLPSPKVFDRHTPLVITRHKNYTAADMPEFMAAVGLPASEVALYETRALPVAMSFGAPVVPTTCVNGGGVPTTETLVYWDGDFGKDPRVVYGDGDGVVNSASILALDTVIGDDPKQEYYRSVKIAGASHVGVVSGAAALRRVIAVILQDNFV >ORUFI03G25250.1 pep chromosome:OR_W1943:3:20471591:20472641:-1 gene:ORUFI03G25250 transcript:ORUFI03G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLTAACLEVMRHGSCAAVCAPGAARWTPSRRGMASRPGEKVEGRSSTVRKGAWRRWRGTTGWVDSVGSGTPDSGSATAAEGRRWLAGLAHLAARQAGLVGWAETEQAHR >ORUFI03G25260.1 pep chromosome:OR_W1943:3:20474977:20479141:-1 gene:ORUFI03G25260 transcript:ORUFI03G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCTAAWTRAPRQEQAAEIPIVLFPSVVSGGAVLFKVSGRFPEILQLAKDDEIKLGGRWKSLDTVLLVRYKRSTGEYPSTMVETQNS >ORUFI03G25260.2 pep chromosome:OR_W1943:3:20474977:20479141:-1 gene:ORUFI03G25260 transcript:ORUFI03G25260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCTAAWTRAPRQEQAAEIPIVLFPSVEILQLAKDDEIKLGGRWKSLDTVLLVRYKRSTGEYPSTMVETQNS >ORUFI03G25270.1 pep chromosome:OR_W1943:3:20479338:20480276:-1 gene:ORUFI03G25270 transcript:ORUFI03G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASFHRYLPNNASPVPIASRRKSWTVSMGYGHGPCGHVGKPHPMPPVNFYPVSAPGAGDYHLKKTPPARPPVAAPVRRVGFALPSTPRSAPPRPAGFAPLCTGKTSTTRLAPSAWLRRPIGFGRNHSAAAAEEEENTALKTLQVPSSFARPINRSIIGSSSS >ORUFI03G25280.1 pep chromosome:OR_W1943:3:20481129:20486203:1 gene:ORUFI03G25280 transcript:ORUFI03G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAAAAGGGAGPKSDAAPSAPALHGLPVEMTTGEMEAAIAALPAKKEALREAFDVLAACSPFPLPFAWGDLDSYISSLQSSIDRRFGQLRVLEAARPALAGPAAASTSDGEKGGKQEEDSDEEEKEEEEEEVEEEEVEEEEEIEEEEVEEEIEEEEEEIEEEEEEVREEVQEAGEEVDEEQQGANEEMQKSKEDADESSKSAIPVQKQEEDEAEKEIIEAKDEEQHGDKLASQEHDIGENGDVDAQGVQQVADGETMEAKSEEQNEAKVTSMEHDIEEGDEKASREQGNRALPSCSDHLRGVCAGMDVRGLLKLVCKNQSICLWHEYPVVMRHAPDAAALVLQVVQGFLLSKKMKTTKVWGNCVGLIRCLPAVNASLSSDTMKQAKQLAKDWKEMIDSTGSSRDVLNLSSWGLLYFLISYNIVSEFSVDEIFCIFGTLSRKQQKKNCIELCKGLGLVNRITDLIDYLIGNGQQLEALLLTQAFNLIDKYTPLSLLKGYVERAKQNALDIINMNSPRKSLSPLITKEVDSLMVAQNIVQQQITDFNVRSGMLAEMKKLLDQYATKRSSGDACSASTSNSEQQQQQQQKHTNKKRKREQLEQQQHRGQEIQQQKQQIKPQGKKGQQQTKPEQKKQQQLNTNKPQEQQQQQQQKQQIKPQEKMGKEQTKPEEQQQQQKTNRPQEQQHKKPQKKQQQQQQQQQSKPQEKRPRPCTTKLPTPSIPASISPMVPHIVQVDSVGHSPYAAMPISHTYAAQLGWPGNQSAAFAQNVGVSQFMGMFNPQQPNYPFYRHPPFYPR >ORUFI03G25290.1 pep chromosome:OR_W1943:3:20493788:20494771:1 gene:ORUFI03G25290 transcript:ORUFI03G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEIMKKHSAWLKLLLGPKIASGSNSRIHRGMYGEQPVAVKIMHAPVGDDDDDVQVRREMEAQFDAEVSLLSRLRHPNVVRLVGVCREPEVYWIITELMRRGTLSAYLHGREPYSLPPETIVRLALDVARGMEYLHARGVVHRDLKPENLLLDGGGRVKVADLGTSCLEATCRGDKCSSKAGTFRWMAPEMIHDKRCNRKVDVYSFGLVLWELTTCLVPFQNLSPVQVAFSVCDRDARPPLSPSCPPAINSLIKRCWSTEPARRPEFKQIVSVLESYDRCLRQGLPMVALPEPSSSPLASLLGAFKIRSCTSTTRSSITDHRRVHP >ORUFI03G25300.1 pep chromosome:OR_W1943:3:20495256:20495630:1 gene:ORUFI03G25300 transcript:ORUFI03G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPTRSLEAPSPLRTYDECTADAGLKTAGLQLTPKKEAVSPPQGRMPSLPPAHVELIHKPDDCAKHFHRRTSPATRLDL >ORUFI03G25310.1 pep chromosome:OR_W1943:3:20496262:20499601:1 gene:ORUFI03G25310 transcript:ORUFI03G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSDSPKPQPTPSPPAPPPLPVPAAAGTTMGELEAAIEALAAKKLRLREAFDCLVACSPIPIPFRWDDIDAHVSSIQSSVAGRFRQFQALQTAATAGITAAPATSNASSRVERSVEHLVVVVEGQESHVARHEDGGNGEGEGEGEGGLGKEVAMDVESEEENGMVVEVASEAPRGEDGEVKEEDEKMGGPINASPPSEEIHGKGADMSRRWGNPMEMSADVDDAKTKTTATAAAAELTAMAISPIPGFTGRGGAEASLRRSLAAACASMDSSSLARILCSSGSSSSSSHATLAARHFRPALLAAAEPAALVVRAVRDLLAGTAPIRDSAWESCVELLSCVPKLAVAPSPGTMEQANRLAEDWKEMIGRTESCSMNLGRLAVWGLLNFLVSYNIVLEFDAEEIIHFFGTLPDDKKQCCISLCKYLGLIDKMADSVGHLIEHGQQLVAIRLACTLNLTDKYTPLSIMEDYIQNAKETAQEILSMESDSESLKLSMSKQVNALILSWRVVGECNIDSVHCDRIKAEITQLLHKYANKRHSLEELPSDTSSPHQKHHQMSQEKHHWQQKHREEQQQQFQNQSKEQEQERRMQKLRELRKKKNKRTQRRKRKQNAQVMKQHQFEKQRKLYHAGSFTNSQSYVRSEIHHHLSQHFSGTIGTPVAPYTPVAPYTGPFW >ORUFI03G25320.1 pep chromosome:OR_W1943:3:20504484:20511652:1 gene:ORUFI03G25320 transcript:ORUFI03G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNQAPPPPPPPPAPESPTAPQTAVHRPDLIPDLNRPSLESILMANVEEAVAAFPGRVDSLRQSYDRLVASSPFLIPFFWGNMEGYVGSAQGYLSRGLASLHGQGQVRHGDGDGAGPSGHAGIAVAAVEAGGEGMEGGASSVADEQGGGDGAAMEEEAVAPVEEEREIKAVAVGEVAADDKDSGEVAVKKERKEAMDLFPHQGDDDASVEPKVDALHLLATATAANADADADADADADADDFNHVGMESKGKGKSPDLDIKVEAMDPSPEQGADMQAVVTMAETEDAAKKAFDAIDKVGIPLMDRTEEEEEEGEAYLEVPLDQAMEMEVHPDQANEEAVMEEVSVQEDDAQEADMEICNDEEKMQVKKMEEEADDGAKRASPERSSGEIVAAGKKKAAMYVPRNQDGGAITDALVGEIKAEAKGKTKRASREEEEDGKVVRDRGGAANAGAERRRRREREPAPRRQLVAACERMDSFDMAELVLRSGRGIAGEFLPALRRAPDAPALALHAAGYVLSAGPRDVDSTSWDNLAALLRGVRRLATSGRAAPPLEARAKEATAMAKKWIAMVAGEAEREHQRVAWARSATWALLQFVAAYAIAGNLEVKEMMVFKTVGDRDGGAELIKSLGLPDRATESINRLMKRREHIDAVKVARAFNLIDKFPPVSVIKAYVEKVKEAAQDMVSKDAVSLQALDRAMQEDVAALRSAKEAIEAHDSGSDYRYTIMQEVHKLMRSYEKKKRSLSFGSTSSSHEHKNKRHRSNQAMPRWENQTIPGPPVYFPVPPPYFGHYNPYHPFGPQPRRN >ORUFI03G25330.1 pep chromosome:OR_W1943:3:20512882:20514180:1 gene:ORUFI03G25330 transcript:ORUFI03G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEALACLEGIQLATEWVNMPLILESDNASVVAELNATNVSRADWSGIILDVKAAMLCLVQVQVHKIKRDSNKIAHALAQLDGGEVNKDLRMDSMRTQYAIGALSRWRYLSSGSLRGYGGSLCQPSLSSHLSGLLLLFTSGSSTRYMSATMMSRTNKTTTSLQNEGSTEAICL >ORUFI03G25340.1 pep chromosome:OR_W1943:3:20548171:20548880:-1 gene:ORUFI03G25340 transcript:ORUFI03G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDMPPAVDDDLQELIDELMEDDAEDREHEEISATALSEATEYLVDPDPPSPEQVGWAEGAVISAQSAADNMASYVLDLRRALAVFAGTGRPEEALLI >ORUFI03G25350.1 pep chromosome:OR_W1943:3:20550781:20555262:-1 gene:ORUFI03G25350 transcript:ORUFI03G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQDGDAEGSGRGEHRSRGCRSPAETKTDRSPGIPRVLEVGFATVREHHGTKSTTGPQKSNNLQNKKIKSREDGREPEAAELRRGERRRDHEVVFAAAARDPPTLQRPDFDCVRQNKHPNANTENEMTGGDLEKASGSLLDQSKQPLNIKRDRRRLEEVSDKMWKDEAKEIGSRSPALTKGSTKESQMLQSLDGKAKNAQKRRKDAKQELRSKIPGVTLVSDDSLFGQAANYIEDLEGTSLDLEGLSGTAMIRKVLPGSNKIRGVTALFEAASRHITEVKKKKKIAPEEVEKEFRPGVDAHDGRAGKKLTNMIPIPHIPKINGDIPSAVEAFADHQRLLDRLVLYDLAEVKVKGDRNCQFRALSDQMYHTTEHHRFVRQQVVKQLESYPEIYAGYVPMDYREYLKKMTEDGEWGDHVTLQAAADLYGVKITLLTSCRDTFYIEVLPADQKPKGAS >ORUFI03G25360.1 pep chromosome:OR_W1943:3:20555431:20556948:-1 gene:ORUFI03G25360 transcript:ORUFI03G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWRRREATGRGVGAWARCDAARPSWSAGQDGIGRSNIMEAEGILKDLIDDKVNNVDAELHVLQEEHEKDLLEHDRTAQKKRRPRKWEAQSPDQIGKQQ >ORUFI03G25370.1 pep chromosome:OR_W1943:3:20578091:20579826:-1 gene:ORUFI03G25370 transcript:ORUFI03G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRASAEGHANMRRCPHLANCGFSSVMRAVPSPAIAGAATSVARAVSDPGDGGSRWDVAQGAVDMPPCWDALKVPGAVADTVEVPAEVIVPSIHDAFTSSRWSRS >ORUFI03G25380.1 pep chromosome:OR_W1943:3:20579991:20580170:1 gene:ORUFI03G25380 transcript:ORUFI03G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQMHVLLSDAAAERGRRAPLLLRRSHVNKACAIAMPEYVVAYVFYAAAADGWIDGS >ORUFI03G25390.1 pep chromosome:OR_W1943:3:20581664:20581873:-1 gene:ORUFI03G25390 transcript:ORUFI03G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTEASAPSTRFMRFKARFQPQHTKPRYVTKQGAKIMDQDSKMEASDKKQEESEKWREQSEERVGQSS >ORUFI03G25400.1 pep chromosome:OR_W1943:3:20582329:20583133:-1 gene:ORUFI03G25400 transcript:ORUFI03G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGPEEEADDRECEEITATALSEATEYLEDLEPPSPEQVGWAEGAVISAQSAADNMASYVLDLRRGLAVFAGTGRPEEAVLRKNVAWADARRAALAGEGAQLAALITAMRDSTKSLVLQDSGGDAVRSRKVGLLDSAIKFEDAVVGKMTALKEKLTRGAAAFVGEEEIVQALNKHAATAEAEIAESQAFSAVLLADANRAAILVVVVQKRPAPETETETEEEPPRQRRRTNADERRRSIEQSKNSGTPVKSYYH >ORUFI03G25410.1 pep chromosome:OR_W1943:3:20625655:20625969:-1 gene:ORUFI03G25410 transcript:ORUFI03G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTKASAPSTGFTRFKARFQPQHTKPRYVTKQRAKIMDQDSKMEASDKKQEESEKWREQSEEKSRAEFLTLQVKVESRICEMEKHDDLMQDLVEELQEKVGSC >ORUFI03G25420.1 pep chromosome:OR_W1943:3:20628436:20631962:1 gene:ORUFI03G25420 transcript:ORUFI03G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGQKAVAALPHLVKSLRSEPVSNAPRLRHLPSLRRTFSLYDQINLIDSVPEDQLRFQSYDDTGFNINKVKHIQLISPELHKFIQSTGMKLEAVDSRNAASTYNILNEEGRPVAAALLPCGVTS >ORUFI03G25430.1 pep chromosome:OR_W1943:3:20633364:20642660:-1 gene:ORUFI03G25430 transcript:ORUFI03G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDHPVIPELAALITAMRDSTKSLVLQDSGGDAVRSRKVGLLDSAIKFEDAVVGKMTALKEKLTREVTLREPGV >ORUFI03G25440.1 pep chromosome:OR_W1943:3:20646853:20648499:-1 gene:ORUFI03G25440 transcript:ORUFI03G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRNSTDCCFIINLQPPLAFVSHFASSPTVVQQELNLWPLPVAHATISDGSGGLHRASSAVGNQEDGGGHDMSPSQEIKDEWRKGDDQKGGTRGRQAMLGSRGAEAGENPNLEL >ORUFI03G25450.1 pep chromosome:OR_W1943:3:20648282:20650520:1 gene:ORUFI03G25450 transcript:ORUFI03G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPPPSSWLPTAEEARCSPPLPSEIVAWATGSGQRLSSCWTTVGEEAKWLTKASGGWRLMMKQQQQLKMPLICMDGPLALTLYYVSVILLLLLMTPTEFDDSDLMYTNKECKQI >ORUFI03G25460.1 pep chromosome:OR_W1943:3:20656517:20660029:1 gene:ORUFI03G25460 transcript:ORUFI03G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPATTWPATAAAVEGTTRAIRTMPLLLLMKTRSPSLVGGSTTSRRRSPASSSSRRTPAPRTTSSSTRPTTGAGSCSTLRWPTTWATPAAATTTTTTAATAASSRTTPARAPTMASRTTAAAGARSDPAEGGHRRDRRADEHGGRRGGGRRPEHGPHAWVPGRGLAGLHVLVRRVQVRLRRRAEARGRRRREEEGADEEDDADSQGDHPRRRQDGHPRRPRRGRPLPQVAQGGGQEARRAWLKQLARATTSTVKNLPTFTLWQIRRD >ORUFI03G25470.1 pep chromosome:OR_W1943:3:20708161:20710749:-1 gene:ORUFI03G25470 transcript:ORUFI03G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGFGPPVAGGVLRTCLVSLVTKLNKICMLISFLLHIILNQSSDPFNTIQQLMGICPNLQFDEAQWIIRIKRILDEEIEVHDSQPISIFDVPKPLLCTKPEAYTPQLVALGPYHHCREELRDMEMYKLSAARRAQRHLPGMSFQQLVAVFATLEFEIRAYYHSQDSSQRAALQRIPSRMSHLVDPSRRTSSHTMVLHDVVMLENQIPLFLLLKATEMRGSSRATAESVLSSVLSGFFQEVSSLVATGSPCTDTTRHAHLLDFLYSNMAPCYVEGLDLDDATEQADDDDDDDQSKHHMKSTLRSLTDLLIKRVTKFLSVLVDLGVRIILKLLTRIPCLSMIAQQLNSQPTQGQQPIKDFQNNKSCVSPLLEEIAVPCVAELAYSGVRFVPANGGISTIEFCAEAATLRLPVIRVDVNSEVVLRNMVAFEASTGRRALVLARYVELMNGIIDTDEDARLLRESGVILNHLKSDREVAELWNGMTRSVRLTRVPALDRVIDDLNRHHDSCWKVRINRFLKARVLGSRELVACITMALLILFMSLQAFCIARTKGAD >ORUFI03G25480.1 pep chromosome:OR_W1943:3:20712166:20716294:1 gene:ORUFI03G25480 transcript:ORUFI03G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVVHAGGSDGGIQAHIKACATLGAICSSVVTAHNTIVVQLIFYETNFRVFTAQYRQIIVPILSAIDEKRFN >ORUFI03G25480.2 pep chromosome:OR_W1943:3:20712166:20715774:1 gene:ORUFI03G25480 transcript:ORUFI03G25480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVVHAGGSDGGIQAHIKACATLGAICSSVVTAHNTIVVQLIFYETNFRVFTAQYRQIIVPILSAIDEKRFN >ORUFI03G25500.1 pep chromosome:OR_W1943:3:20720499:20721130:1 gene:ORUFI03G25500 transcript:ORUFI03G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAQIPSPTSLLYSSPFMTLVLPKRKAMFDHAPPAVKRAHSSWALLPSPSEDGLSDQEILDVVPLQAQPSSPEVTPAVLQIPMAPVKKRDGKTILYNPARRQSSRLLNSNQELKFDHRMGIGKPRGNKITESDFNVVEDEINSDSSPSDCSLSLLQKMGVDLCGLNPEEVAESSLGGEKRKKLPRPNMDD >ORUFI03G25510.1 pep chromosome:OR_W1943:3:20732041:20732598:-1 gene:ORUFI03G25510 transcript:ORUFI03G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEVMQKAQAEIRHALQGKSRVTKDDLINLKYPKNIIKETMRLHPLASLLVPRKCQESCKILGYDIPKGTILIVNVWTIGRDHRYWDDAEVFIPERFEDTTIDFKGTHFEFISFGAGRRMCLGMTFAHATIELALTALLYHFDWHLPHGVTHDGMDMEEQFSVTVSRKRDLYLHPIQHVGVEEI >ORUFI03G25520.1 pep chromosome:OR_W1943:3:20735813:20736043:-1 gene:ORUFI03G25520 transcript:ORUFI03G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRAIEQHQEHADDGGAHGNRECLLSTLLRIPKEGDNNDDGGDLTMANVKAVILLRLH >ORUFI03G25530.1 pep chromosome:OR_W1943:3:20736070:20736476:-1 gene:ORUFI03G25530 transcript:ORUFI03G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRLSELRVVVASTPDAAREVLKTHDAAMSTAVSANIGDGRWRHLRGICTLELLSAKRVRSFRPIREEQDTRLVGAVVAAAAPSGEPVNVRRLIGRPMTDLALRAIMGEHCTPSGPPPHPRCAT >ORUFI03G25540.1 pep chromosome:OR_W1943:3:20737698:20737910:-1 gene:ORUFI03G25540 transcript:ORUFI03G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSGTDELNLLWSCSLNLTLLLLLLVPAGIHIVSLKLRRRRENASTDGLRLPPRPWRLPIIGSLHYMPD >ORUFI03G25550.1 pep chromosome:OR_W1943:3:20755756:20755980:-1 gene:ORUFI03G25550 transcript:ORUFI03G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWRRSGGGRAQQWAKQRRASAAAGRAAEGELGEEEDSGWDGELPRARRPPPPCPSGCPTAPATKASRPSIAS >ORUFI03G25560.1 pep chromosome:OR_W1943:3:20759907:20761750:1 gene:ORUFI03G25560 transcript:ORUFI03G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALHQTTSFLGTAPRRDELVRRVGDSGGRITMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCVFPEILSKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAIWAVQVVLMGFVEGYRVGGGPLGEGLDKVYPGGAFDPLGLADDPDTFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVADPVANNAWAYATNFVPGK >ORUFI03G25570.1 pep chromosome:OR_W1943:3:20768058:20769112:1 gene:ORUFI03G25570 transcript:ORUFI03G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALQALLDPTALSLGLPTPVINKEEYLAICLTVLAGTRAGKALVGKAVLGVCVAPVRNLESPPTVELANRQSQRTRGVNDALPLSLVRSCRLTPSPPDTCHAPDLILSGPPCWWEWETSRRCSHVGLQAVVPEVASGGTHGAAVDWRPPSATLSTDLLPSSPPPARAAPPMLTCATSSLASLRRTPPPVSAPTRRHRRGADNATTADDNEDGLLRV >ORUFI03G25580.1 pep chromosome:OR_W1943:3:20770834:20771300:-1 gene:ORUFI03G25580 transcript:ORUFI03G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVDIMVVVDKLEGIAVVIVSVEIEDETIMNKLGYPALADEFQLQVLSSRTIAIVHEARSNKVTTTTMVLSLRNTMAQLIYA >ORUFI03G25590.1 pep chromosome:OR_W1943:3:20776801:20789320:-1 gene:ORUFI03G25590 transcript:ORUFI03G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSTSTAALLLASGRHPRLQFQSLRAPTKPPFHFPHLLLPSRSSSRRCCCVPVPAPAPGARSRGLPAPVSASALPLRVTPPPGVEVEGGVGRAVAAAAAVRRVAVALACGALAAAWCHRALAVAGAAGAAGASGAVEAAAGFGGMALRGGWPRVLQILQLLREQGIILAVLLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFRMLRSDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAILLLTEITPKSVAVHNATEVARFVVRPVAWLSLVLYPVGRIVTFLSMGMLQILGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAIDATATLIDFKNLWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMLDDGTFDVDANTSIDHLSEELGVKIPEGHQYETVSGFVCESFGYIPEEGGKMLVILEKDNREENDEYKEEGSDNQDDKERTQAYELEGVNRMISKKIIKRKKQNPGDSSDSDDEECTDTSENGCPAELLYYSDDNSAQLEDAGNSAATRSTASESPRSWKVLNSQSMVAGMTGSKVAAAMLRRGLHGYNSSGWKNHFTGTSLGAAVATTTAAAPLWERSERGGSIWMSLSSRSSLILSSSLNLCCSSAMEENMTAPSLLCIPISPRTMIGQKQLYDQRNSLSPLFEFPFVWLLCRMCFGGGGSVV >ORUFI03G25590.2 pep chromosome:OR_W1943:3:20777532:20789320:-1 gene:ORUFI03G25590 transcript:ORUFI03G25590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSTSTAALLLASGRHPRLQFQSLRAPTKPPFHFPHLLLPSRSSSRRCCCVPVPAPAPGARSRGLPAPVSASALPLRVTPPPGVEVEGGVGRAVAAAAAVRRVAVALACGALAAAWCHRALAVAGAAGAAGASGAVEAAAGFGGMALRGGWPRVLQILQLLREQGIILAVLLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFRMLRSDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAILLLTEITPKSVAVHNATEVARFVVRPVAWLSLVLYPVGRIVTFLSMGMLQILGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAIDATATLIDFKNLWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMLDDGTFDVDANTSIDHLSEELGVKIPEGHQYETVSGFVCESFGYIPEEGGKMLVILEKDNREENDEYKEEGSDNQDDKERTQAYELEGVNRMISKKIIKRKKQNPGDSSDSDDEECTDTSENGCPAELLYYSDDNSAQLEDAGNSAATR >ORUFI03G25600.1 pep chromosome:OR_W1943:3:20776933:20777343:1 gene:ORUFI03G25600 transcript:ORUFI03G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQSNDGAVMFSSIALLQQRFRELERIKEEREERLIQMLPPRSDRSHSGAAAVVVATAAPREVPVKWFFHPELLYPCRPLRNMAAATLLPVMPATIDCEFKTFQLRGDSLAVDLWPSKAYKHVSSEVDVDTSLHL >ORUFI03G25610.1 pep chromosome:OR_W1943:3:20813063:20814861:1 gene:ORUFI03G25610 transcript:ORUFI03G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTLLLLALISFFFLVKLIARYASPSGRESALRLPPGPSQLPLIGSLHHLLLSRYGDLPHRAMRELSLTYGPLMLLRLGAVPTLVISSAEAAAEVMRAHDAAFAGRHLSATIDILSCGGKDIIFGPYTERWRELRKVCALELFNHRRVLSFRPVREDEVGRLLRSVSAASAEGGAACFNLSERICRMTNDSVVRAAFGARCDHRDEFLHELDKAVRLTGGINLADLYPSSRLVRRLSAATRDMARCQRNIYRIAESIIRDRDGAPPPERDEEDLLSVLLRLQRSGGLKFALTTEIISTVIFDIFSAGSETSSTTLDWTMSELMKNPRILRKAQSEVRETFKGQDKLTEDDVAKLSYLQLVIKETLRLHPPAPLLIPRECRETCQVMGYDVPKGTKVFVNVWKIGREGEYWGDGEIFRPERFENSTVDFRGADFEFIPFGAGRRMCPGIALGLANMELALASLLYHFDWELPDGIKSEELDMTEVFGITVRRKSKLWLHAIPRVPYISTY >ORUFI03G25620.1 pep chromosome:OR_W1943:3:20845392:20849795:1 gene:ORUFI03G25620 transcript:ORUFI03G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLPLLIGVVLAFLLLFVLVNIKNSCRSWWPPPEKEKKKLRLPPGPWQLPLVGSLHHVLLSRHADLPHRALRELAGKYGPLMMLRFGAVPTLVVSSAEAAREVLKTYDAAFASRYLTPTLAVLSRGGRDILFSPYCDLWRQLRRICVHELLSARRVQSLRHVREDEAARLVRSVAAECAARGGAAVVNVGELISRAVNDSVVRSAVGARSARRDEFVRELDESVRLSGGFNLADLYPSSWLARRLSGAMRETERCNRSLMAIMDDIIREHGDGEEDLLGVLLRLQRNGDVQCPLTTDLITNVVLDMFAAGSETSSTTLEWALTELVRNPHIMEKAQSEVREIFRGENKLTEEMMDKLSYLRLVIRETLRLHLPVPFLLPRQCREPCSVMGYDIPVGTKVLVNAWAIARDNQYWDDPEVFKPERFENNRVDFKGIDFEFIPFGAGRRICPGIALGLANIELMLASLLYHFDWEFLDRDRNDEIDLSETFGITAKRKSKLMVYATQLVGECAPGGAGAVVPISEKISRMVNDSVVRPAIGSRCARRDEFLHVQARGLRQARGRVQLGRPVPIVVASELAQRRAAVGRPSVAAGAFARCGRPAETFFNMDNLRTHDTYRKKNHSGNSQHCTAFSALSFSELQLKMTIWQSHHYKLPINLRKYFQQGARQLNDTLVGNI >ORUFI03G25630.1 pep chromosome:OR_W1943:3:20851814:20852293:1 gene:ORUFI03G25630 transcript:ORUFI03G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGGAAAAWERWRQLDEEEERWRRPTTRRRGDNGGTRKGPTVAAERRGFAPAPARGGRSGGDGGGGSGSAPVPARQWSGGGGGGARIRASSSSWREGAAAAAEGHGSAPVLARQGKEWRRRDTVVHGSASVPTRGGSGGGSGGAWIHIGAGLRWRE >ORUFI03G25640.1 pep chromosome:OR_W1943:3:20854343:20857405:-1 gene:ORUFI03G25640 transcript:ORUFI03G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAADVAEDTASVYSGKLTLYVFLTCGVAATGGLIIGYDIGISGGVTSMDTFLGKFFPSVLHQEQTAQGTSQYCKFNSQPLTAFTSSLYLAALVASFFVASFTRALGRKWSMFGGGVSFLAGATLNGAARNVAMLIVGRILLGIGVAFCGLSTPIYLSEMAPPRLRGMLNIGLQLMITVGIFSANLVNYGAAKIRGGWGWRVSLGLAAAPACVIAVGSLFLPDSPSSLINRGRHEQARRVLRRIRGTDEVDDEYGDLVAAASEIEVYSGCSARRRPWRDVLQRRYRPQLAMAVLIPFFQQLTGINVIMFYAPVLFKTIGLGGDASLMSAVITGLVNIVATFVSIATVDSLGRRKLLFQGGCQMLVSQVIIGTLIGVVFGTSGDGNISRALAVCIVVFICVYVAGFAWSWGPLGVLLPSEIFPLEVRPAGQSISVAVNMLCTFAVAEAFLPMLCHMRFGLFYFFSGWVLVMTLFVSAFLPETKGVPIEKMTVVWRTHWFWGRFYCNQDADAHVQVANSKV >ORUFI03G25650.1 pep chromosome:OR_W1943:3:20862266:20862981:1 gene:ORUFI03G25650 transcript:ORUFI03G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGWIWKAGTDAAISVELTAADGSGFAVRDLERGFAVRDLERWGGLMGAEHDYYERATAASPPDRRTSSPRVVAAAASPPPHPRTPPRPPPPPLRRRIRGHRLALSPPSLSPVPPSPRRRSAVGPPCAGDLLPTAGRPCTESREE >ORUFI03G25660.1 pep chromosome:OR_W1943:3:20863065:20863997:1 gene:ORUFI03G25660 transcript:ORUFI03G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPPHQTKPLRDLDFRPSSSARRHRCRRSRHPHTRYPCWLAIDWQFAAILRGVVTAGSPDVVVGKETADQES >ORUFI03G25670.1 pep chromosome:OR_W1943:3:20872685:20876930:-1 gene:ORUFI03G25670 transcript:ORUFI03G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAACKKTNLISPRRSPRLKNIHVIYGEHSETNYPTLGPVKTEVIDLEEIASPSNPEFNDDSVGDEDFQNVSLKDLRAQCKAKNRRALKVDSERPDFKNQRQCGKRNLEDEVPKEEVDLDEPIIAFRQKRQKTSPTKSNRTMGKPISLNAVKLQDTTLRREETEPIKLPPLEVTSHDSMSTAEKMERSAADVKHSTIAAGNTEEIVGENILYAEMENTPLSTGAVISGRSPDIFCEIKTEDEDIYSDEQVGVSSPGKDSFQDSFAELHREPIEYDGCQQHSGVIPQPIELKDVSDDSCELANSIKAYCLDDIILQNKTNDSLSSLDITDEMSNCHKTSGNITNLDEDKSSVVNDYLVCSVNMSCEDHIDIDEYWYPRDLHGSTLESTKTIESSTDQCNAEVGSPSVVIQSDLCGSAESNFTSLAEVVQMKADGQFDSLVQHSVGTKDVLPIDVGHATIDCTLAFNKTLDSVKAANFTTQDGRLESIVYDALNNHAQRKSTETETPVGVSGAAIISSPFVSEGTDREPTGSKAPHGGQLLLPCVTEWLSKDTDQLKVTVDDDICKTNSDQGSREQFGLQPQLLQSCSDLDKVCVTSESSSPEETQEMPAGSLDSTAASLDTDGQSEKLQPFIDEGALEEHAPKKLLSKRKIMSPTSQEKLCSALTGIDLCGVQRLKRKILLEDCGKTRRPNGRSSLSPTSKGILKGTESPSPQKTTCTCMKAASVILDAEKAVEFSQRQMHDIENIASKLMRSLNHMRSIVDGNLLSESHSLLPTFNTAEIRAASEDALEVERTTRKWLTIMNKDCNRFCKILRLAGKKAVSHSEVPRKRKKITFADETGGKLCHVKMFTDGQNSLLSECHSE >ORUFI03G25680.1 pep chromosome:OR_W1943:3:20877910:20878125:-1 gene:ORUFI03G25680 transcript:ORUFI03G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSSAKKLTYGFVASSPPCKICRHVPLPLPRYKIRRHALSPCKIRQGHRGTLHLSFAVSTFERNQMGL >ORUFI03G25690.1 pep chromosome:OR_W1943:3:20885247:20887344:1 gene:ORUFI03G25690 transcript:ORUFI03G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTLPLLGAAVVLAAFLLFFLVKNNRCCWSPAAERRLRLPPGPWRLPLVGSLHHVLLSRHGDLPHRALRELAGRYGALMLLRFGAVPTLVVSSAEAAREVLKTHDACFASRHMTPTLAVFTRGGRDILFSPYGDLWRQLRRICVLELFSARRVQSLRHVREDEAARLVGAVAEECAIGGGGGAVVPIGDMMSRMVNDSVVRSAIGGRCARRDEFLRELEVSVRLTGGFNLADLYPSSSLARWLSGALRETEQCNRRVRAIMDDIIRERAAGKDDGDGEDDLLGVLLRLQKNGGVQCPLTTDMIATVIMLVRNPKVMDKAQSEVRKLFEGQDNLTEDDMSRLSYLHLVIRETLRLHAPAPFLLPRECREQCNVMGYDITEGTRVLVNAWAIARDTRYWEDPEIFKPERFNANLVDFKGNDFEYIPFGSGRRVCPGITLGLTSMELVLASLLYHFDWELPGGKRCEEIDMSEAFGITVRRKSKLVLHATPRVPCLH >ORUFI03G25700.1 pep chromosome:OR_W1943:3:20907240:20909686:1 gene:ORUFI03G25700 transcript:ORUFI03G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAKKSCDCESAAAVESELQAEAANPEREGKGRGRSTEASHLRGAPWPPLTLSRRKQSRHRRMLPRPPPLPPPKKKKTSGEKEEKIYLGPHGAPPSQAKQQEINIVGRKQRFRNKLKEADNKFTGNAQENKVETLRELMGARTHSKGVPKSSPRDWLDPHCHESEFDRKPH >ORUFI03G25710.1 pep chromosome:OR_W1943:3:20910091:20910879:-1 gene:ORUFI03G25710 transcript:ORUFI03G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVALSSLLAVGGAAAFAVQPSKKTGSGLFRNRVITVRRPRISANLGGDGEVKPAGNKKKFITREEEPEQYWQAAGERKGENPMKTPLPYIIIFGMSTPFVILAIAFANGWIKVPVR >ORUFI03G25720.1 pep chromosome:OR_W1943:3:20919695:20920733:-1 gene:ORUFI03G25720 transcript:ORUFI03G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVKLIGAFGSPFVHRAEVALRLKGVAYEFIHEDLNNKSDLLLAKNPIHKKVPVLLHGDRAVCESLVIVEYIDEAFNGPPLLPADPYHRAMARFWAHFIDHKAIFSCHSFKSYVSTRPSWLALWLEGEEQKGFLKETKENLALLEAQLGGKRFFAGDSIGYLDIAAGGLAHWVGVLEEVTGVSLVAGDDGDDEYPALRRWTNEYTANDAVKLCLPNRERIAAFFTPKDKYKIMARAMLRQQ >ORUFI03G25730.1 pep chromosome:OR_W1943:3:20927277:20932436:-1 gene:ORUFI03G25730 transcript:ORUFI03G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRRKEVVGFRGLGPHKTPLPIFHSFHLFRHHAAAAARAAQGKKKKKKKKKRKASCRMQAVRTLVLRHLRLACRRAPPSAAACGGARPAVYGVVGVAPGHRGMAASAGQEGGPPRDFSEGAVRARVVELVKKFDRIDADKVTETADFQRDLSLDSLDRVELVMAFEQEFSVEIPDDKADKLSCCADVAKYIISESQSNKGNAGSS >ORUFI03G25740.1 pep chromosome:OR_W1943:3:20934311:20934637:-1 gene:ORUFI03G25740 transcript:ORUFI03G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGNKGSLLAGFATFLQRIRGGGGGEDYQLPINHKHPDHKADILMYGDMVEAAYNYKAFAADEKEVYYGGGGGGYLYLATTNLYATIDAVPAPYRSRRLWPTSPSAP >ORUFI03G25750.1 pep chromosome:OR_W1943:3:20947777:20951946:-1 gene:ORUFI03G25750 transcript:ORUFI03G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSSEESDVSDSDIGVHEEKTYNQLRAGKVKVKHGNNTFRCPFCPGKKKQDYSSKDLLQHASGVGAASKHKAKVKATHLALARYLKVDLAGSLESSPQLATVEHQPPGSEEKYVWPWMGILVNLSTELKGKGFVGESEERLRAQFSRFKPLQVTILWNSTDNADYAIVKFAENWNGMKDALAFEKHFNVERYGKTDWNKRNCRRDDLYGWVARSDDYNSLEPMGKYLRKNGELKGVCDLEHEGQRKMGRHVGYYARQIEEKNKYLEELKLMNNQNGLKLERMMEEKDQLFEEHNRNIIELQQHACKDSKRIIDENLKLYEELQAKKHEIDRRCKELNYLATNTNIYRGKLVAEKEKNAKENELLNLANLKQKEADEELLRLVEKHKQEKEEALRKQVELELELDSRQKLELEKEQLRGKIEVMKMMGSEEDGTLKELDELRTKLEEKDDDMESMDSLNQALIIKNQRTIDELKEAKKELINGLEKMAGVRSIIGVKRMGELDQKTFYNACKNKMPNDKLKLALVCSKWEDEITKPEWHPFKVIETAGQIKEIIKEDDGKLQALRAQYGDEACNVVVKALVEMNEYNPSGRYPVPELWNFKQNRSAPMPEAASYLLKQWKTHKKRNT >ORUFI03G25760.1 pep chromosome:OR_W1943:3:20964495:20965247:1 gene:ORUFI03G25760 transcript:ORUFI03G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQFHDLKHCNPGSDARITRSSGVSQVAFVLAAIALISLVSPSSVEYTVFSSTLPAPLRALGSFVMSKKALFVLSNAIFLFLAADYYRCFFSLSPSTSDFTACGHTGVGDKQEQHHHQVGVEPSSATESCVPDHSEAPYRDNDDASEDCSHGERMDGEGSRRNIVRTPDDEMPSGEQKAHGDIAMPSQPEFFRLDEDDVILESAVVKEPSCGTTGQELDKLGIDELNKKFEEFIKSRRTKWEKEEASLS >ORUFI03G25770.1 pep chromosome:OR_W1943:3:20968083:20968301:-1 gene:ORUFI03G25770 transcript:ORUFI03G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLRGAKRRRRRLQWRRPLWRVARPEQIARRTDADAAPGESTALRARSYGCIRVFLVLSLLLLAVEIAAYL >ORUFI03G25780.1 pep chromosome:OR_W1943:3:20970501:20977221:-1 gene:ORUFI03G25780 transcript:ORUFI03G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAVANGGAADDVAAPDKDNDIKEKAGKGNEVAVENKNAEEQNKGGSENGTKGPSDGDVEMAEAETAKESDVKQLDTEDAKKDGAQDADANEEKNTKDTEGEDVKMAEAEAEAGNADVKDTAEKEDKDENTNTEKQELKDAKESGSEKQEELKEQDKSGSEKQEELKEQDKSGLAEYEEKKAEEESGAEKQGEEEAEEKGSADNDNEEGDKNANISDEHLKKDGGKDGNKDEAEEEEVSEADKKVEENKEETPKNKKARSARDRSQGKDKKQDGSKSREAKSLLNTASPYGTDRPQRERKTVERLVEVIEKEPNRNFVVEKGRGTPLKDIPSVAHRISRKKPADLKFLHNVLFGRKGKTVDFKGHILQFSGFVWHESDEKQRAKAKEKLDKCVKDMLLELCWILAIPTPKANIRKGSNSRKRKRGSTPKSSSKKFDDDDDITPSKKRNKALEYDTDEDEDDADPMKSDSEEDDYDSEKEKAKKKSSDAKDTASKKKAATGSSHKTGTPRTVSKSSSKTPSSKVSKEKESPEDSAKVFSRKKKSVTPKRPSSSEKEIKDKKSSGKKVTKGKGESAEVGLPSKDELRKTITEILKKVDFNTATFSDILKKLEDRYKIDLTPRKSAIKIMIQEELTRLSKEDDDEDEDEDGNEDAEKKKPQHQAKEVVA >ORUFI03G25790.1 pep chromosome:OR_W1943:3:20987197:20989425:-1 gene:ORUFI03G25790 transcript:ORUFI03G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVCAAPRAPPPPCPCHVGVGPLRPRWRASRHGPLRAAGQEQLLTALREQPDPDAALRMLNAALARDDFAPGPEVYEEIIRKLGAVGALDLMKVLVAEMRREGHQVKLGVVHSFLDSYEGQQLFDDAVDLILNQLQPLFGIQADTVVYNHLLNVLVEGSKMKLLESVYSEMGARGIKPDVVTFNTLMKALCRAHQVRTAVLMLEEMSSRGVAPDETTFTTLMQGFVEEGSIEAALRVKARMLEMGCSATKVTVNVLINGYCKLGRVEDALGYIQQEIADGFEPDQITYNTFVNGLCQNDHVGHALKVMDVMVQEGHDPDVFTYNIVVNCLCKNGQLEEAKGILNQMVDRGCLPDITTFNTLIAALCTGNRLEEALDLARQVTVKGVSPDVYTFNILINALCKVGDPHLALRLFEEMKNSGCTPDEVTYNTLIDNLCSLGKLGKALDLLKDMESTGCPRSTITYNTIIDGLCKKMRIEEAEEVFDQMDLQGISRNAITFNTLIDGLCKDKKIDDAFELINQMISEGLQPNNITYNSILTHYCKQGDIKKAADILETMTANGFEVDVVTYGTLINGLCKAGRTQVALKVLRGMRIKGMRPTPKAYNPVLQSLFRRNNIRDALSLFREMAEVGEPPDALTYKIVFRGLCRGGGPIKEAFDFMLEMVDKGFIPEFSSFRMLAEGLLNLGMDDYFIRAIEIIMEKVDLRESDVSAIRGYLKIRKFYDALATFGRFLEINNPQWSYR >ORUFI03G25800.1 pep chromosome:OR_W1943:3:20990284:20990814:1 gene:ORUFI03G25800 transcript:ORUFI03G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIMTGGVDCIAAANQWGQQDLREGGRRQRRFRSPPRGALPTLAMVRRRWWQRGTGRHGGTGNDAGTSQRWRRRRWQQGTGRRGERVTTRAPPSAGDGDGECPPHASRRRRARRRLDREPESSLHQQRFDGGGGGRGLDGVGNGAGGATETSTSAVGDGGDVGGGGARCSDGADA >ORUFI03G25810.1 pep chromosome:OR_W1943:3:20991497:20995596:-1 gene:ORUFI03G25810 transcript:ORUFI03G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERFVFRRDDDTRATVLRASGSGSHGTPFTIAFRIADPPAISRLYVRWPQGPDPEEMVACPLVATHRNLVLVCFCYIVESPSGPACPQDYFIFTASGSGDGDVDHHPVPSPLLKALPPCTYHDEGDGNDLSMRYPLEFRSVGILCRGEEFAVAELQVLRTASGRVKARLCVLRSAISSSKDEDEAEDGDHGGGGRRPWDIMELPIVYDGDEERCDIFYWTTDAVIAFQDHLCWVDYDRGMLLCDVLRTSPRVAFLRFPLDSSIIPTGRRSRRNFSQVYRGVSVTTAATGGAALKFADVSRHDGKLLGSLEQGRGGYTITCHTLRSTLGAAAIDDEWSWSKDFAIASDEILRSFEVAPELEPREVLLFPTVSMDTPSVMHFLTCDYDRMIRKMSVVTIDIASKIVLSVVPYVNGEEDLSGEDADMQAY >ORUFI03G25820.1 pep chromosome:OR_W1943:3:21006172:21009894:-1 gene:ORUFI03G25820 transcript:ORUFI03G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAVALHGGAGDIPRSLPPDRREPRLATLRRCLDLATAALRSGRAALDVVELVVRELENCPHYNAGVGSVLTADGTVEMEAAVMDGNTLRCGAVSGLSTVVNAVSLARLVMEKTPHIYLAFDGAEAFAREQGVETKDPSHFITEANKERLRQAKEANRVQVDYTQPIPKDDNSRVGTVGCVAIDSAGNLATATSTGGLVNKMAGRIGDTPVIGAGTYANALCAVSATGVGEAIIRHTVARDVAALLEHAPPAGLSLGDAAARVVAGVPPGKVGLVAVSAAGEVAMAYNTTGMFRACATEGGRHEVAIWPDDADGAN >ORUFI03G25830.1 pep chromosome:OR_W1943:3:21012843:21016609:1 gene:ORUFI03G25830 transcript:ORUFI03G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQNLHRLPEFCVIPATACSREPGLPRIVIRSNMGSDDNKRFDDFHVITNGPGSDAYSNEESINLDSYIAPSTSFCSKIYNPQLYVEKGNGTTDWCQTSGGDYPEKSSINSDITLSYIDKILMQEDIDDRGNEDTALQAMEEPFYELLGEKYPAFPQQQPLCVCDHLQNLSANTDKSNGHACNTWSVTRMTNISSSMNSNGNFQGFQFPWSLSSITRETEQFTHHSNRMVVGLKVDGLSISEKPSQDNCSLQIDAHYMRKHPLFEVHDRKSYPCIEDLDLLEGRSNKQYAIYYDEPIRDEMFDNVLLCSDHKPLDEGVSLSRAMTNNSSKSSQIGQGKTSARRKTTGKRIQKRDVVDLRTLLINCAQAVSVSNHSLASDILKIIRHHASPTGDDSQRLALCLAYCLDVRLTGTGSQIYHKFITKRRNVKDILKVFHVCLSTCPFLRASHYFSNRTIVDVSKGKPQVHIIDFGICFGFQWPSLFEELAKIEDGPPKLRITGIELPESGFRPYARSNNIGLRLADYAKTFNIPFEYQHISSNKWEALSPEDFNIEKDEVLIVNCIYRIKDLGDETISINSARSRVLNTIRMMKPKVFVQGVLNGSYGVPFFLTRFKEVMYHYNSLFDMLDKNIPRDNETRMIIERDIYQYIMLNVIACEGPERIERPESYKKWKVRNLKAGLVQLPLNPAIVRETQDMVRKGYHKDFLVDEEDQWLVLGWKGRILYASSTWQPNDSGDSD >ORUFI03G25840.1 pep chromosome:OR_W1943:3:21018069:21018413:-1 gene:ORUFI03G25840 transcript:ORUFI03G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAWLWTAEDATSSDELRRGRRRRAPGVAWLWMAEDAASGNELRRDAMHGQRRTWPLATSPAWRMAAEDATTSNKPGVGVVAKDVAPATTSDVGRGQQRTQPPATTFDVRRG >ORUFI03G25850.1 pep chromosome:OR_W1943:3:21019621:21020832:1 gene:ORUFI03G25850 transcript:ORUFI03G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIRGRGADGGVSPASKRKLGGRGGGNGLILIDLHKYTIEIVIRKRDTEVRNGKVRYRHCIALPMRESMMVSRVEWARRFELAVSLVGYAISTRYRVFIE >ORUFI03G25860.1 pep chromosome:OR_W1943:3:21032455:21035487:1 gene:ORUFI03G25860 transcript:ORUFI03G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDEGYGPTWDSDDEYDNFIRKMNPPRIEIDNDSCNDATIVRVDSANEYGILLEVIQVLIDLNLVISKAYITSDGGWVMDVFNITDKEGQKLKDKATIARIEDYICKSLGADSRYIPSRRRSVDVAASSDHNVIELTGTDRPGLLSEVSAVLASLKCNVVSAEIWTHNTRAAAVMRVTDEGTGSAVTDADRLERIRDRLSYLLRGGNLSRGAAMAVSTGTCSTHTERRLHQMMLDDGDHEQLHRHPPNQSQRPNVTVSNWNDKDYSVVTIRCKDRPKLLFDTVCTLTDLHYVVFHANIDAKDNQAYQEFYVRHVNGSPMHTEADRLRVIQCLEAAIERRVSEGVKLELCTNDKVGLLSEVTRIFRENSLTVTRAEVSTRGRMAVNTFYVRDSTGGTVDQKTIDSIRQAIGQNIQVKGQPEPSEPQKKESPTWFLFANLFRPRSLYSFGMFMR >ORUFI03G25870.1 pep chromosome:OR_W1943:3:21036994:21043271:-1 gene:ORUFI03G25870 transcript:ORUFI03G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARGAAAAAAAAAASGSNNPFEAIWSRRKFDVLGKKRKGEERRIGRARSEAIHKRENTLLKEFEQSAKSSVFQDRRIGERDETLPEFDKVILRQQREHMAKLKRESKYNLSDDEEDEVDVHLPHSLSGKDDFDEEVPLDDYSDEEGHMILSKNRIPLQSGDVPSEIGLPEGTNVHKSKKEVMSEIILKSKFYKAQKAKEREEDEHLVDKLDSDFAMLAQTQAMLSLTRSARMDANKYNSSTVQKDSFGLTAKEIFNKEKPDAYDKLVKEMVMDQRARPSDRTKTPEEIAQEEKERLEKLEKERQKRMLGTAESSDEDDDDDEEGDRSTKLDSSKPISGDDLGDSFSTDEPARKEKGWVDEIYEREGKKIGEDAEGSDNEESDDDGDDDDDDAEDGDDDAEDEDEEEDLSDNDFGNMSARDWEQSDDDEVTVEEDEMEGLKQKEQKISGKVVKKDLQNSKKESNAESQVKDDNLPFVIEAPSNLKDLCSLLDGWSETEIIEIISRIRTCNSIRLAAENRKKMQVFYGVLLQYFAVLATQSPVRFKLIDTLVKPLIEMSGETPYFAAICARQRLIHTRTRLCEDIKVSGKSCWPSLKTLLLLRLWSLIFPCSDFRHVVATPMLLLMCEYLMRCPIQSGRDVAVGSFLCSMVLVATKESKKFCPEAVVFLQSLLVTSLGGNLGSDLRKKINDQFLELKTMEPWLHIHEKVHEVNPANILEVICMDSDAPYFSSDNFKASVLLSVAECLRGFVIIHEELCSFPEIFLPISSLMQEIMDKSDLPGLLQYILHEVIELIKNRSDEVHASRQPLQMRKQKPEPIRQLNPKFEENYIKGLDYDPDRERAQMKKMKKRLKSEKKGAMRELRKDNYFLSAVKEKERIKQEQERAEKYGKAMAFLQEQEHAFKSGQLGKGRKRRR >ORUFI03G25870.2 pep chromosome:OR_W1943:3:21036994:21043382:-1 gene:ORUFI03G25870 transcript:ORUFI03G25870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPMAAAAAAAAADKKKGKGKKKKQGKNGPAAVAMKARGAAAAAAAAAASGSNNPFEAIWSRRKFDVLGKKRKGEERRIGRARSEAIHKRENTLLKEFEQSAKSSVFQDRRIGERDETLPEFDKVILRQQREHMAKLKRESKYNLSDDEEDEVDVHLPHSLSGKDDFDEEVPLDDYSDEEGHMILSKNRIPLQSGDVPSEIGLPEGTNVHKSKKEVMSEIILKSKFYKAQKAKEREEDEHLVDKLDSDFAMLAQTQAMLSLTRSARMDANKYNSSTVQKDSFGLTAKEIFNKEKPDAYDKLVKEMVMDQRARPSDRTKTPEEIAQEEKERLEKLEKERQKRMLGTAESSDEDDDDDEEGDRSTKLDSSKPISGDDLGDSFSTDEPARKEKGWVDEIYEREGKKIGEDAEGSDNEESDDDGDDDDDDAEDGDDDAEDEDEEEDLSDNDFGNMSARDWEQSDDDEVTVEEDEMEGLKQKEQKISGKVVKKDLQNSKKESNAESQVKDDNLPFVIEAPSNLKDLCSLLDGWSETEIIEIISRIRTCNSIRLAAENRKKMQVFYGVLLQYFAVLATQSPVRFKLIDTLVKPLIEMSGETPYFAAICARQRLIHTRTRLCEDIKVSGKSCWPSLKTLLLLRLWSLIFPCSDFRHVVATPMLLLMCEYLMRCPIQSGRDVAVGSFLCSMVLVATKESKKFCPEAVVFLQSLLVTSLGGNLGSDLRKKINDQFLELKTMEPWLHIHEKVHEVNPANILEVICMDSDAPYFSSDNFKASVLLSVAECLRGFVIIHEELCSFPEIFLPISSLMQEIMDKSDLPGLLQYILHEVIELIKNRSDEVHASRQPLQMRKQKPEPIRQLNPKFEENYIKGLDYDPDRERAQMKKMKKRLKSEKKGAMRELRKDNYFLSAVKEKERIKQEQERAEKYGKAMAFLQEQEHAFKSGQLGKGRKRRR >ORUFI03G25880.1 pep chromosome:OR_W1943:3:21049398:21050958:-1 gene:ORUFI03G25880 transcript:ORUFI03G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRLPAFCRGGRTVAVAAATTTTRVRKRVQRVAAAYHAASKLPPPPAVATKASLGGGCADAPCAGGWCHSYGDGAAAAAVAGAGGGGGGGKKDGGGRRVMVVADGRAEAAGALQWALSQAVRRNDAVLLLAVVRPAANASSDGGGGGGESSCVNISRTRCYQQLDAMRSMCESARPEVKVKVCVMEAAGRERAPAVVEAARRHGASLLVLGQRRRRRAAVARWLQLALWPAVAAAAAKSKYWRRRGARRSTTTVEYCIEHAPCVALGVRRRSSGGYLVSSKRHKDFWLLA >ORUFI03G25890.1 pep chromosome:OR_W1943:3:21061191:21068059:1 gene:ORUFI03G25890 transcript:ORUFI03G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPSKTNFGMVQKSFSGASLARPIEICDMLFFPILHLRHWFLFVVDLKDESFVFIDSLFEEEEEEDYQYNARCRLIIYPPRPRQTNRLDCGIFTLKFMEIWRPRVLLTNQFSQKDIPNIRIQYVNKLFFHPCNIVLNSATKKLVTDYYAKSPTKLNKR >ORUFI03G25900.1 pep chromosome:OR_W1943:3:21066233:21072807:-1 gene:ORUFI03G25900 transcript:ORUFI03G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEEEKDPPKHQSKIKMNSEEIKKRKIRLGKDQDPEKKEMSGKNIKSIKETGTKGQSKELQKKESKSRKSTKDKSKKNKDMTQVSANAEEFHKEYTTKVIRKESRTDSSSIEQVIGSSSIQEMETNEQVKSKDTSKDMTQVPANAEGIHKEYTTKVNRQESRTGNKDNGQSGRSISLDTKKGNKYNGQAGRSISMDTEIIGAKFS >ORUFI03G25910.1 pep chromosome:OR_W1943:3:21083723:21086667:1 gene:ORUFI03G25910 transcript:ORUFI03G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLCCLADDGRPVCCFCLPWPIFNAAHNHNHNSGSIARPRADTRVAPDQGRISLTAPSQHDSMDTFRCPPRPLPWDDPRFSHHTEHHPLVGGHDKASTTFHKSGSLGESKNADSISNSKAVKDDGPSTAVKDDGSSVKHHSDGLHIGKEQVHDLFDFEDDCPICLEEYDYENPKMTLQCNHNFHLCCIYEWMERSQACPVCSKVMLFHEDS >ORUFI03G25920.1 pep chromosome:OR_W1943:3:21088297:21089658:-1 gene:ORUFI03G25920 transcript:ORUFI03G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHSAIRNDPRINWLCKPVHKHRELRGLTSAGKKYRGLRGKGHTHHKARPSRRATWKRNQTVSLRRYR >ORUFI03G25930.1 pep chromosome:OR_W1943:3:21092772:21101821:-1 gene:ORUFI03G25930 transcript:ORUFI03G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRLLTFKVEHLLEELRWEAQHNKALVDGHRNRMMRNMYIPLVLPRSMKRKLKMITGQLNALGAEINGFINHVPLVMQNNIVGRVHEKQEIKQKLFCLDRYKHEGLKVLCVVGIEGVGKTALVQLIFDEVNVKEYFSLCIWVNVSRQFDAMRITKRIIEVATCEPLETQMDHKEEKELQSYLQNILHERRFLLVLDDVCDENTNGWEELRTSLASGASGSTVIVTTRELCVARTLEAPASGIIELGPMSDDEIWSIMRQRMLCGLDDKPELIQVGQSLVQKCHGIPLAAVTLGDLLRKKGTSNEWSSVIEAANEWLALAESDMLTTTAGVASVALQMSYEHLQPDTKRCFAFCALFPEAFEVDGDMLIQLWMANDMVWYDTEGMGAWMLDRLQSRSFLQDVSQPYNGVTIYKMHPLVHGIATSAAGKEIRILHQGHQLTEVMPELHHLSVVGSGLDVDMILPNARGIHTLLSQGEGCRISVSNPDFWKSNSLRALDLHGLLSASVPFSCQDMKHLRYLDLSRSWITSLPEDFFMIYNLQTLRLSDCFYLKQLPENMRFMENLRHIYIDGCFRLENMPSNMGQLQNLQTLTTYIVGNGDGYGIEEIKSMDLGGRLEIYNLKNMRDKSKAEAANLSLKTRMSNMLLCWGMFRDDEVNAYNAEEVMEALRTPMCVQTLKVWRYPGSILPIWWPGQTLANLVKLTIKDCARCKRLPPVQYFPSLEVLHLEGMDSLTLFCDNVSMDNIEVSYYRFFWRLKSLILCDMPSLEKWQEDEVIEVFTIPVLEEMKLINCPKLVTIPNVPMLRCFIVEGQNKQQLYSLAPSSSKSKGPSCRIYMASNAGKKLIQIDVSSDTVCPWCFVGKKNLEKAMEQNKDKFDFEVRWHPFFLNPNAPKEGIKKSDYYRMKFGPIQFEHATARMTEIFRGLGMEYDMSGLTGNTMDSHRLITLAGHQGYDKQSALVEELFQSYFCHGKFIGDRQVLLDAARKVGIEGAEELLQDSNKGVDEVKEELNKYSSGISGVPHFVINGKFQLSGGQPPNAFTRAFDVAAKDGAQ >ORUFI03G25940.1 pep chromosome:OR_W1943:3:21105678:21106471:-1 gene:ORUFI03G25940 transcript:ORUFI03G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFRGGFRPEKQRTKAASGGDDDDNDGGGQLTAILNSLVQKASDKFLRGFMGVLTIAREYSTLCESLQMLRQAAESAGRTGWGVLTV >ORUFI03G25950.1 pep chromosome:OR_W1943:3:21112876:21113411:-1 gene:ORUFI03G25950 transcript:ORUFI03G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMLSESRFPPPTIMMGLVAYEKKNINTMKAVLYPENGPPLMFEAELPPLVPSPGALPLALSVDMVAEQPKQKTMFTPTNRGRNTSSRAEALLKNLITRLPLQVLRRRCG >ORUFI03G25960.1 pep chromosome:OR_W1943:3:21121013:21122638:-1 gene:ORUFI03G25960 transcript:ORUFI03G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEMQMDLRTLHRYGGDGEDEDDVLDLDHIELCSFMEQIKSAVQLVRAALQEKVMRMTTNTRGGEDDDGGGDEAFPSGSGHLKSVLESLDPQLKQCALCLAVFPSGKAIKKRLLIHWWIGEGIVRSAAAGKACFQDLLSRGLLQPAMLRPHCHTAHYCRVHPSVRDLLVAAARSFSYFAFDRDGEPTDECLPGTTRRVTLCRTRGSSRHGGGGGEYVTVYNLSQRYVEMDEAWLGEQRGMGTLQLGRWQTSPEHHVEMVRPGGVLGAAAAAACRNLRYLSLRGISLVESLPESIGDLRDLVVLDLRACHNLETLPASMASLGKLEYLDASECYLLDQMPHGVCKLHRLQVLKGFVVASAAGGKKIPPCRLADLVALPLLRKLSVSTGRQLPVAPDDELPRLHGCAALESLSVRWGAAAAHAGGGGRMDLSLLPRLAKLDLRRVPAEELQEVVHPARGGGLRKLCVRGGRLRAFGDDVTWDVVETLRVRFLERLDCEWRQLRSTFGKLRFVDKRRCPKLSSWRCDAQGIWRREEDDGGDRN >ORUFI03G25970.1 pep chromosome:OR_W1943:3:21124806:21132179:1 gene:ORUFI03G25970 transcript:ORUFI03G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDDMLGGLRVAGVPTKSAIYLWGYNHSGQTARKGKECHLRIPKSLPPKLFKWQDGKNLRWIDVACGRGHTAAVASDGSLYTWGANDFGQLGDGTEESAKEPQKVKALETEFVKSVSCGAHCTAAVAEPRENNGTISRSRLWVWGQNQGSDYPRLFWGAFAPNTASGATEQSTITCASSQFLSLRCLSLEVIHQVSCGAVHVMALSEDGLLQAWGYNEYGQLGRGCTSEGLQGARVLNAYARFLDEAPELVKIVRVSCGEYHTAAISENGEVYTWGLGSMGQLGHCSLQSGDKELIPRRVVALDRTVIRDVSCGGVHSCAVTEDGALYAWGGGHVGQLGLGPQSGFFSCALNGSDMLLRNIPVLVIPSGVQLVTCGHSHTLVSMKDSRIYGWGYNSYGQAANEKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNMSNALLIEDVASRTGGDALARLCEKLREHLVEQGECELLENQMIEEVEAKA >ORUFI03G25980.1 pep chromosome:OR_W1943:3:21133550:21135700:-1 gene:ORUFI03G25980 transcript:ORUFI03G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVTVPSASVPSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPTKTIRVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYVFTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGAKTAVSHGLWLNIPDYDAPTQMVKPRERNSRYVDAVMTVPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCMKVICDHLSLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEDIIPFFQNATIPKECDTVQKCYLSLAEQVREKLGKIDPYFVKLADAMVTWIEAWDELNPSTAAVENGKAK >ORUFI03G25990.1 pep chromosome:OR_W1943:3:21151767:21152147:-1 gene:ORUFI03G25990 transcript:ORUFI03G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGPSLPQPPAAGGGGFGLDGLCTVAGSGWRCADPRLVVGSVAPAAGCGLNGCGLRCSINVTLPSNIFPPLSSLLFEALYNCDVSLATEPVLLLALTSLALIHHLGVARASPLSGSLLGLLLA >ORUFI03G26000.1 pep chromosome:OR_W1943:3:21166348:21170943:-1 gene:ORUFI03G26000 transcript:ORUFI03G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPVQKNTLYVGGLAEEVDEKILHAAFVPFGEIKDVKTPLDQATQKHRSFGFVTFLEREDAAAAMDNMDGAELFGRVLTVNYAFPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRLQAEQRAAMQAAEKLHREKLAAEKEGEKEEETDTNADPMAAAEAQALKQSS >ORUFI03G26010.1 pep chromosome:OR_W1943:3:21175075:21175517:-1 gene:ORUFI03G26010 transcript:ORUFI03G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLPLSLLSLFLSPSSPLAGGRAWAAVWRRPAGAEAVPTVEESTMARPALGEMGSASVVSSSKEGGILGRRDGGGVFQVESYGERCEHVAEEDGLDRRRFPRGYVDY >ORUFI03G26020.1 pep chromosome:OR_W1943:3:21175804:21176619:-1 gene:ORUFI03G26020 transcript:ORUFI03G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYLPNDTILHALRGTRIAVVLDAPGVRSLASNDATNASSSAAQAWVQANVRPYYPDVNIKYIAVGNEVKDGADKPKILPAMNNIRDALSAAGLGGHIKVSTAVEMSLVAGSPLPSGSAFADPPPSWVPSSSSWRANGSPLLANVYPYYAYKNDNGVDLNFALFRPSSTTIDDNGHTYTNLFDAMVDSIYSAMEKEGGSDVPVVISETGWPSADGRGASKDNARVYNQNLINHVGKGTPKRPVALETYIFAMFDENQKKGDAIERNKFTS >ORUFI03G26030.1 pep chromosome:OR_W1943:3:21179128:21185499:1 gene:ORUFI03G26030 transcript:ORUFI03G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSSIVFPFFFFFFFFFFFFFFFFFFLLLLLLLLLLLCSQEEQERQPAVKPVSTRSVGKEVDPRGDSRKRRVTPHRGGGRRRCGPCGWRSPDGVEDDRASGAVTEGRAPGVPGKEAGGELGDTEDDDGSEGDSVVSVTKA >ORUFI03G26040.1 pep chromosome:OR_W1943:3:21186675:21187910:-1 gene:ORUFI03G26040 transcript:ORUFI03G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAAGPCASPSLSDLPIDLLLIILERLELPHALAFAAVCTTWSSAATAAGVPRSRTPWIMSWGNHVDKRLDERRRSAVTCNLYHPGDAVDKIYRVSFPKGSFVACYGASHGWLVLANDLSNLVLHNPVTLAMIPLPPITDFACVEAVYGSEGGNLENYLLETNSRFEAYRLGIWFYQKAVLSCSPSRGGDYVVMIIHNNGERLSFAKAGQSRWQVASTLSGGDRYLDCAYHKGRFHAVTLHRMVEKWDLDGASNGPTREVIYAARPYGGLGCILTRHLVSTPWGDLLQVRVILARHYPDGIAFQICKVDPDGCKGVVQGNVLMDHALFLRLNHSACLPTQNLPGIRPHCIYFSAPVIIHAFDWLLGLRVWGGVRTYDVKTGKFERTVPFCDVKEQIYGLFPSEVWITQNL >ORUFI03G26050.1 pep chromosome:OR_W1943:3:21191067:21193206:-1 gene:ORUFI03G26050 transcript:ORUFI03G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLRRANPVAVGRLLYARPTTKTASLPASTAGARRLTHAVTAATTQSADSAVAPSPIHCRQVVSIIYPIHQCHHSHPASQPPPHSLIPVTVSSKALSPSGQGHSLVVANLPFHWKRRCRFKLIRQVT >ORUFI03G26050.2 pep chromosome:OR_W1943:3:21191067:21193206:-1 gene:ORUFI03G26050 transcript:ORUFI03G26050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLRRANPVAVGRLLYARPTTKTASLPASTAGARRCHHSHPASQPPPHSLIPVTVSSKALSPSGQGHSLVVANLPFHWKRRCRFKLIRQVT >ORUFI03G26060.1 pep chromosome:OR_W1943:3:21193205:21193531:1 gene:ORUFI03G26060 transcript:ORUFI03G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGDSCVGYGDGDGDPDQRRRTCGADEGCSDGGVGVDRGWLGAAAVEGERLRKRRWTIGGGGGGRTAEARWERGERSRKEMGVRGNCEITDEEITRGERGEVGATGW >ORUFI03G26070.1 pep chromosome:OR_W1943:3:21195548:21199933:1 gene:ORUFI03G26070 transcript:ORUFI03G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATGGEAPASAQECTSVGLGARLVRLDGNAITTVADLQLSGPIPSPPPQDIDTATIIYGEDIRPGTYLVIHAGVDILDIARLTFLEELPVAARKGYPTEAEEEKEAKAVALAGRKGTPTEMGEEEKGVVATPHQEKNMEKLEEKNKEAERLGMEEREEEEGNERLREEKPWHNQLWGETVREEKNWHNQLWGERLPKKKHCHHQLSLRLWPGFSRAVLVLHCQGSWKATKSYSLQWLRYDNKEALFGFINKEQNRNIKDAEILLSTMTFNHWKTGLMCKLEFEGHLPNLCDSMGMGLNPSMDNFKINKLLGKGRYGEVYECTYSNGQYAVKTIDVTNYFDHTEPREVSIMSCLQDANIVTFYQAWCENKKEENKFHGFGVHEPKYIYIHMEACARTLYDFLCGNNEGTIQDRWSLFERIVKGVRCIHATGIIHRDLKPWNIFLGPCGAVRIGDLGHGCWSKSYCDGRRGSPDCGTMLYSAPELRNGLLVTDKVDVYSLGVIYLEIFMPAAVSVNNRVDALIDLMERRYKPEWTAWSIDMEFLKDLTALNPCDRPSVGTILEYIAEHASDC >ORUFI03G26070.2 pep chromosome:OR_W1943:3:21195676:21199933:1 gene:ORUFI03G26070 transcript:ORUFI03G26070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPCRLLQVGKRRLRRRSALPWVWGLDWSALMATPLPQWPTFSCPVQFLLHLPKTLIQPPSSMERISGRVPTWLFMQELISLTLPDSLSLRNYRWLPGKGIPRKRKRRRKPKLWHWQAGRGLPLKWERRRKGWWQRPIKRKTWRNWKKRIKKLRGWEWRKERKKRGTKDCGRKNHGTTNCGAKQCGKKRIGTINCGVNQLRRKTIGTINCGAKQCGKESIGTINCGANHCRRKSIGTVNCGANHCGRKSIGTVNCGADHCRRKSIGTVNCGADHCGRKSIGTVNCGANHCGRKSIGTINCGVKDCQRKNIATTNCL >ORUFI03G26080.1 pep chromosome:OR_W1943:3:21203694:21206172:-1 gene:ORUFI03G26080 transcript:ORUFI03G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQIERATTRPRGVSSAATSSVGRSTSTSFAARSSLDEVGINFIGGRASPFIPSAWTGIHRPDPAHLQAKGPCASPSWSDLSIDLLLIILERLELPHALAFAAVCTTWSSAATAAGVPRSRTPWIMSWGNHVDKRLDERRRSAVTCNLYHPDDAVDKIYSVSFPKGSFVACYGASHGWLVLANDLSNLVLHNPVTLAMIPLPPITDFACVEAVYGSEEGNLEHYLLETNSRFEAYRLGIWFYQKAVLSCSPSRGGDYVVMIIHNNGEWLSFVKAGQSKWQVASTLSGGDRYLDCAYHKGRFHAVTLHGMVEKWDLDGASNGPTREVFYAARPYGGLGLILTRHLVSTPWGDLLQVRAILAHHYPDGIAFQICKVDPDGCKGVVQENVLMDHALFLGLNHSACLPTQNLPGIRPHCIYFSSPVIIHAFDWLLGLRVWGGVRTYDLETGKFERAVPFCDVKEQIYGLFPSEVWITQNLQ >ORUFI03G26090.1 pep chromosome:OR_W1943:3:21216854:21222381:1 gene:ORUFI03G26090 transcript:ORUFI03G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWVRPEVYPLMAAMMLASGMVVFQLGRNVCTNPEVKISKRNRRNAVPDSAAEAERYSMHGFRRFFGRRRPEVMPSINRFFSNSDRPNHDENNDD >ORUFI03G26100.1 pep chromosome:OR_W1943:3:21233094:21234511:1 gene:ORUFI03G26100 transcript:ORUFI03G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGVASVLAVLTLLVGLLAPSIQQVQSIGVCYGTHGDNLPAPGDVVKLYQSNHIDAMRIYLADDTILHALRGTSIAVIVDAPDVRSLANASSSAAQAWVQANVQPYYPDVSIKYIAVGNEVEGDDRHKILLAMQNIKDALSAAGLGGHIKVTTSVKMNVVASSPLPSKGAFAEPSVMGPIVKFLASNGSPLLANVYPYYAYMHNDHMDVNFTLFLPSSMTMDDNGHTYTNLFDAMVDSIYSAMEKEGGPDVPVVISETGWPSADGRGASKDNAMVYNQNLISHVGKGTPKRPVALEAYVFAMFDENQKTGDPIEKHFGLFNPDKSPVYCINFSGTSDSCPRSMGLASRPVYYAMVIVCLNLVLLFWPANRL >ORUFI03G26110.1 pep chromosome:OR_W1943:3:21254076:21254360:-1 gene:ORUFI03G26110 transcript:ORUFI03G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVASSSSSTLPSSPLSPGGGGGGCSVTYSSAILPSGPSLPVEEDRSGRPDPMATMERTAGPPRSGSDSGEDHGGHQQRWQPFSYASASPPQ >ORUFI03G26120.1 pep chromosome:OR_W1943:3:21263868:21264329:-1 gene:ORUFI03G26120 transcript:ORUFI03G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDEDNGRGAADVGEDDEMDAGDNGERDTGDEARMVATARRMRVRTTQAAVGLDENHSGGGSGRRGRGPQPRRSRWARAAAKADVGDEDGGHGG >ORUFI03G26130.1 pep chromosome:OR_W1943:3:21283151:21283396:1 gene:ORUFI03G26130 transcript:ORUFI03G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVIDTNALMQFLFSVSLFYIPDLMIDWIDPLTNKSTFKIKDTTTSFVEEKKNELEKAKSKLNVISSNKICDVPMVEYAN >ORUFI03G26140.1 pep chromosome:OR_W1943:3:21289112:21293654:1 gene:ORUFI03G26140 transcript:ORUFI03G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAIGVVVAAAVVVSSLLLRWNEVRYSRKRGLPPGTMGWPLFGETTEFLKQGPSFMKARRLRYGSVFRTHILGCPTVVCMEAELNRRALASEGRGFVPGYPQSMLDILGRNNIAAVQGPLHRAMRGAMLSLVRPAMIRSSLLPKIDAFMRSHLAAWSSSSSSAVVDIQAKTKEMALLSALRQIAGVSAGPLSDALKAELYTLVLGTISLPINLPGTNYYQGFKARKKLVAMLEQMIAERRSSGQVHDDMLDALLTGVEGTREKLTDEQIIDLIITLIYSGYETMSTTSMMAVKYLSDHPKALEQLRKEHFDIRKGKAPEDAIDWNDFKSMTFTRAVIFETLRLATVVNGLLRKTTQDVEMNGYVIPKGWRIYVYTREINYDPFLYPDPMTFNPWRWLEKNMESHPHFMLFGGGSRMCPGKEVGTVEIATFLHYFVTQYRWEEEGNNTILKFPRVEAPNGLHIRVQDY >ORUFI03G26150.1 pep chromosome:OR_W1943:3:21295481:21295819:-1 gene:ORUFI03G26150 transcript:ORUFI03G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRWPWNDTQGCNGTEMTHLPLWRMIVDTGIGFSKGEICDHTDPIERISAHAASSATLVGQHNQSAQCQIWCWFCKNM >ORUFI03G26160.1 pep chromosome:OR_W1943:3:21298281:21305639:1 gene:ORUFI03G26160 transcript:ORUFI03G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRMASLLLPPQFLCSLPCSTNSIRSHLHYKPHFLGNIMTKPKAKMRLLNRNVSSMAKKSSQDVAEGSSDDESDGETSKTKKRAPRRGRKKATIQASEGETQEGQVSTEEDESPEGTKKIKRRGRKKAATTASSSEEKDKAKEPKKRGRRKVKTVEELSDNEGEDLGEDLVPSNDRQEKISANDLESKIAALLLEDTDDNDINNLIPLVCCFGPAKYSFIPSGRPANRLIDHEIHEGMKDMFWSPDQFVRAPGGSSSNVALALAASGGRVEFMGKLGDDDYGQSTLYHLNVNGVQTRAIKMDPSAFTAMSLMKVTEDCFVQTDINPAVLKEAKMFYYNSSALLEPTTRSSLSKAIEVSKKFGGVTFFDLNLPLPLWSSSKETKSLVKEAWEAADIIEITKQELEFLCGIKPSEKFGTKDNDKSKFTHYSPEVVTKLWHENLKVLFVTNGTSKIHYYTKEHDGWVRGTEDAPITPFTGDMSQSGDAIVAALMKMLAINPHLVTDKDYLHTAMKHAITCGVIDQWLLARERGFLPRERADPTSEQFGVRFVTEKEYRTLPDSIHTEDSSESELLYVE >ORUFI03G26160.2 pep chromosome:OR_W1943:3:21298281:21303192:1 gene:ORUFI03G26160 transcript:ORUFI03G26160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRMASLLLPPQFLCSLPCSTNSIRSHLHYKPHFLGNIMTKPKAKMRLLNRNVSSMAKKSSQDVAEGSSDDESDGETSKTKKRAPRRGRKKATIQASEGETQEGQVSTEEDESPEGTKKIKRRGRKKAATTASSSEEKDKAKEPKKRGRRKVKTVEELSDNEGEDLGEDLVPSNDRQEKISANDLESKIAALLLEDTDDNDINNLIPLVCCFGPAKYSFIPSGRPANRLIDHEIHEGMKDMFWSPDQFVRAPGGSSSNVALALAASGGRVEFMGKLGDDDYGQSTLYHLNVNGVQTRAIKMDPSAFTAMSLMKVTEDCFVQTDINPAVLKEAKMFYYNSSALLEPTTRSSLSKAIEVSKKFGGVTFFDLNLPLPLWSSSKETKSLVKEAWEAADIIEITKQELEFLCGIKPSEKFGTKDNDKSKFTHYSPEVVTKLWHENLKVLFVTNGTSKIHYYTKEHDGWVRGTEDAPITPFTGDMSQSGDAIVAALMKMLAINPHLVTDKDYLHTAMKHAITCGVIDQWLLARERGFLPRERADPTSEQFGVRFVTEKEYRTLPDSIHTEDSSESELLYVE >ORUFI03G26160.3 pep chromosome:OR_W1943:3:21298281:21302986:1 gene:ORUFI03G26160 transcript:ORUFI03G26160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHRMASLLLPPQFLCSLPCSTNSIRSHLHYKPHFLGNIMTKPKAKMRLLNRNVSSMAKKSSQDVAEGSSDDESDGETSKTKKRAPRRGRKKATIQASEGETQEGQVSTEEDESPEGTKKIKRRGRKKAATTASSSEEKDKAKEPKKRGRRKVKTVEELSDNEGEDLGEDLVPSNDRQEKISANDLESKIAALLLEDTDDNDINNLIPLVCCFGPAKYSFIPSGRPANRLIDHEIHEGMKDMFWSPDQFVRAPGGSSSNVALALAASGGRVEFMGKLGDDDYGQSTLYHLNVNGVQTRAIKMDPSAFTAMSLMKVTEDCFVQTDINPAVLKEAKMFYYNSSALLEPTTRSSLSKAIEVSKKFGGVTFFDLNLPLPLWSSSKETKSLVKEAWEAADIIEITKQELEFLCGIKPSEKFGTKDNDKSKFTHYSPEVVTKLWHENLKVLFVTNGTSKIHYYTKEHDGWVRGTEDAPITPFTGDMSQSGDAIVAALMKMLAINPHLVTDKDYLHTAMKHAITCGVIDQWLLARERGFLPRERADPTSEQFGVRFVTEKEYRTLPDSIHTEDSSESELLYVE >ORUFI03G26170.1 pep chromosome:OR_W1943:3:21318440:21324376:-1 gene:ORUFI03G26170 transcript:ORUFI03G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFSYLDSTFLPLLATTMASPLHACLLLALLFLALAFFHPGGVAWALSSSGGHGAAAIPGPRGVLLAFAGPNPHRALASLAASTRGATRLMAFSVGLTQFVVASHPDTAREILAGAAFADRPVKEAAAELMFHRAMGFAPHGGYWRRLRRLASAHALAPGRLAARRRAIGEETVRRVAAAMARDGAVGVRRLLHLASLDNVMASVFGVGLGELGAGAVSELEEMVGQGYELLGTFNWGDHLPLLRLLDVHGVRRKSRALASRVKVFVSKIIEEHKTRRDAKYGGCDGDGDFVDVLLGLEGEERLEEEDMVAVLWEMIFRGTDTVAILLEWVLARMALHPDVQSKAQAEIDAAAVSGDAAALPYLHCVVKECLRMHPPGPLLSWARLATRDAHLDLGADAGGRAALVPAGTTAVVNMWAIARDGGLWRDPGVFRPERFLGDGEAAGVGVAGGAGGYDLRLAPFGAGRRACPGRALAMATVHLWLAQLLRSFRWVPSGDRGVDMSERLGMSLEMEKPLICLALPRTSST >ORUFI03G26180.1 pep chromosome:OR_W1943:3:21352985:21354190:-1 gene:ORUFI03G26180 transcript:ORUFI03G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGARPSAKASMSSRGGGGGGRAGRVGGGGGGGAGGGGGGGGGGAAAAAAGGGGDFGRAVARAAVARMLEAAGFACAHRSAVDALVDVLLRYICQLGRAATFHANLAGRAAANECDVIQFLEECGAAYYGFAGAASVSARCLANSAVVKDMAVFVGASKESPFAGRPLPRFPVQRVPLHSTTSFAALGRESGMSHVPEWLPAFPEPHTYVRSELWSEEVAKAGADEVERARQRRKAEKSLLSLQRRLALAGADGFRPGMLVDDAVKANGLDVVESKANPFHERALPYGEKAVSEVTMPGVGKTFSVVEAFAPAFEESKGGEFDEGMDQGQNDSQTQKRVVPKERPPVYFRIGIDKKSMVMALNSRALVELKDPWFFKEDKEQRAELILREAMDNPHELTQL >ORUFI03G26210.1 pep chromosome:OR_W1943:3:21374372:21378277:1 gene:ORUFI03G26210 transcript:ORUFI03G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFWLISIIVLVLLSGESNANPAASQQSQLDVNHRKPLQTFRPYNIAHRGSNGEIPEETTAAYLRAIEEGADFIESDILATKDGHLICFHDVILDATTDIANRTEFANRKRTYEVERQNVTGWFVVDFTLGELKSLRVKQRYNFRDQQYNGKYQIITFDEYILIALYADRVVGIYPELKNPIFINEHVKWSDGKKFEDKFVQTLLKYGYKGEYMSEDWLKQPLFIQSFAPSSLIYMSNMTNSPKIFLIDDTTVRTQDTNQSYYEITSDAYLAFIRKYIVGIGPWKDTIVPPINNYLGPPTDLVARAHALNLQVHPYTFRNENMFLHFDFHQDPYLEYEYWLGEIGVDGLFTDFTGTLHRFQECTTPYPKNEKNAEALLQKINYMLKDSGY >ORUFI03G26220.1 pep chromosome:OR_W1943:3:21382852:21387145:1 gene:ORUFI03G26220 transcript:ORUFI03G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSLASSSYRRRIVQSRDAVSSPAYWSRLPARARPPAHTRIGAGVLPAATSVHGVESSIITVLAMQRWETLSQMAYKSGKLDKAHGKLALRMLDSIVQQSGLDRITHIYCMAVHILVQAQMPSQAMSVLRHLALTGFSCSAIFSSLLRTISRCDPTNLFSVDLLVNAYVKEGKVLDAAAAIFFMDECGFKASLFSCNNILNALVGINKSEYVWLFLKESLDRKFPLDVTTCNIVLNSLCTQGKLSKAESMLQKMKNCRLPNAVTYNTILNWYVKKGRCKSALRILDDMEKNGIEADLYTYNIMIDKLCKLKRSARAYLLLKRMREVNLTPDECSYNTLIHGFFGEGKINLAIYIFNQMLRQSLKPSVATYTALIDGYCRNGRTDEARRVLYEMQITGVRPREVSKAKQILKCMLADGIDPDVITYSALINEGMIAEAEQFKQYMSRMKISFDVASFNCIIDSYCQRGNVLEAFSVYDNMVRHGWPPDICTYGSLLRGLCQGGHLVQAKEFMVYLLEKACAIDEKTLNTLLVGICKHGTLDEALDLCEKMVTRNILPDTYTYTILLDGFCKRGKVVPALILLQMMLEKGLVPDTIAYTCLLNGLVNEGQVKAASYMFQEIICKEGLYADCIAYNSMMNGYLKGGQINEIERLMRNMHENEVYPSSASYNILMHGYIKKGQLSRTLYLYRDMVKEGIKPDNVTYRLLIFGLCEYGLIEIAVKFLEKMVLEGVFPDNLAFDILIKAFSEKSKMSNALQLFSYMKWLHMSPSSKTYVAMVNGLIRKNWLQQSYEILHDMVESGLQPKHTHYIALINAKCRVGDIDGAFELKEDMKALGVVPSEVAESSIVRGLCKCGKVEEAIIVFSSIMRAGMVPTIATFTTLMHGLCKEFKIDDAFHLKQLMESCGLKVDVVTYNVLITGLCNKKCICDALDLYEEMKSKGLLPNITTYITLTGAMYATGTMQDGEKLLKDIEDRGIVPSYKHPESLEWRMENAIKRLNTIRNCRKGISFKNEVELLPVDHEAAN >ORUFI03G26230.1 pep chromosome:OR_W1943:3:21387543:21388922:1 gene:ORUFI03G26230 transcript:ORUFI03G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAAAATVPGEASSSSSAAATTTVLDSLGEDITRIVTPVSTCMLLVVLLVSLLSSPSSPSPFTAAFSAAAGPGGGGDDITTALITAVTFVVAVTAATFLLAFLFYLRCTPCLRAYLGFSSLSVLLLLGGHVALLLLSRLRLPLDAASFALLLPNAAAALALAALSPASVPIALHQAALVAIAVLTAFWFTLLPEWTTWALLVAMAVYDLAAVLLPGGPLRLLLELAIERNEEIPALVYEARPVDPRHGHNWRLWRERTQSGAELDANSTVEVLGEVLGTNLGASSAGNLGVSAIRSDERVGLAGDARNLRLGTSMPNLSSDSASAQVEVLPASPEISVSVPEMRVPLIQPRPERTRDEEDDEDGIGLSSSGAIKLGLGDFIFYSVLVGRAAMYDYMTVYACYLAIIAGLGITLLLLAFYRKALPALPVSIALGVVFYVLTRTLLETFVMQCSTNLVMF >ORUFI03G26240.1 pep chromosome:OR_W1943:3:21396664:21397035:-1 gene:ORUFI03G26240 transcript:ORUFI03G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQDIDYCEDPNEDDDAQSQTVQAAYYDWDFDNGAKSIDIQDNSVQGCHRGFGFLAFHPFKEVVFLHYSLERELAYNLNSFKVQDLGNLCPKDYGFDTEPYVESSFPYHAGWRCFLKNKFDI >ORUFI03G26250.1 pep chromosome:OR_W1943:3:21397116:21398426:-1 gene:ORUFI03G26250 transcript:ORUFI03G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHIPITRRHDRGGPPATPTVPLGSLAACRRICTSWRAAIDDRLREDLLPLSLAGIFLNLHDLFYPTQFFSCPTTTPPGGGGGAVSGNVDYTRRRSTLPDCHMPSINITDHCNGLVLVNNCVTYPATRRWERLPDCQLPRRHPRHVASTASARFAAVREHLVFDPAVYEVFLIPHVAPRERERRRRRRDDEFYPTSDGSEWPPSPFFLSVFSSETRQWEGRRFVRDGPPAGTVAAMRLHHASEVGTEYHCQVKYQVIGPPLSFDVCRYKEFHLGRSEKGVYFALLSSNQLLKNRVAR >ORUFI03G26260.1 pep chromosome:OR_W1943:3:21400304:21401752:-1 gene:ORUFI03G26260 transcript:ORUFI03G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPDVEVVVVDISAPRIEGWNSERLPIYEPGLDDVVRQCRGRNLFFSTDVERHVADAGIVFVSVNTPTKTRGLGAGKAADLTYWESAARIIADVSRSDKIVVEKSTVPVKTAEAIEKILAHNSKGGNIRYQILSNPEFLAEGTAIQDLFSPDRVLIGGRETPEGRAAVAALKSIYARWVPDDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGADVTEVANSIGKDSRIGPRFLSASVGFGGSCFQKDILNLVYICECYGLPEVANYWHQVIRINDYQKSRFVNRVVSSMFNTVAGKKVAVLGFAFKKDTGDTRETPAIDVCKGLVGDKAVVSIYDPQVTEEQVQRDLVMNKFDWDHPRHLQPMSPSSAKHVAVSWDAYEAARGAHAVCILTEWDEFRRLDYQRMYDAMHKPAFLFDGRNVVDPDKLRRIGFVVYSIGKPLDHWLRDMPAVA >ORUFI03G26270.1 pep chromosome:OR_W1943:3:21421987:21426032:-1 gene:ORUFI03G26270 transcript:ORUFI03G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDFSGSRRRQVARGGVPATAIATGVLVCGRGEITGGVPLHGEVGLGVAATHQHSSLIVGSRSLLGRLKGLQSHFMARVLRTPYQH >ORUFI03G26270.2 pep chromosome:OR_W1943:3:21422298:21426032:-1 gene:ORUFI03G26270 transcript:ORUFI03G26270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDFSGSRRRQVARGGVPATAIATGVLVCGRGEITGGVPLHGEVGLGVAATHQHSSLIVGSRSLLGRLKGVYYFPKNLV >ORUFI03G26280.1 pep chromosome:OR_W1943:3:21425382:21426293:1 gene:ORUFI03G26280 transcript:ORUFI03G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVQRNSTGDLATAADQDARSNGGSRNSSAGNLSQILSAKLRKCCKAPSPSLTCLRLDPEKSHIGVWQKRAGARADSNWVMTVELNKEVEPTEPAAQPTSTATASQVTMDDEEKIALQMIEELLSRSSPASPSHGEGEGSFVI >ORUFI03G26290.1 pep chromosome:OR_W1943:3:21426305:21434523:-1 gene:ORUFI03G26290 transcript:ORUFI03G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDGGSWIKSDSDEKSPVSSSPPLLARQDELRAAEQGRGADLHPASRAGEGRGSCFVDLSSNINRMEQEENHSADHLSAQPGNGNELEESSVKERCFEQREALVGEPRCVICGRYGEYICDQTDDDICSVECKTILLSKLSAETRPVVKAAKRVNLPVGDESFCIRDENFPKIPSMHDGQIASLRSKLDICVKGEDVPDPIMCFSSSGLPEKLVLNLEAAGYVMPTPVQMQVIPSSICNRSLLVSADTGSGKTASFLVPIIAHCSHVRSERCTDKQGPLAIVLAPTRELCLQVEEQAKVLGKGLPFKTALVVGGDPLAQQIYRIENGIELIVGTPGRLIDLLMKHNVDLNKVDVFVLDEVDCLLERGFRDQVMQIFQALSHPQVMMFSATVNSEVEKMSNSLAKNAIHISCGNPSRPNKSVKQVVIWVESKQKKQKIFEIMTSKQHFKPPAVVFVSSRIGADLLSEAITVATGLKVVSIHGDKTMNERRESLRRFLTGEVSVVVCTGVLGRGMDLLKVRQVILFDMPNSIDEYVHQVGRASRMGVEGMAIVFVNEEDRNLFRELVQILKTAGAPIPRELANSKYTTGIPLGGGKKRKLKSRKFWNHGLEW >ORUFI03G26290.2 pep chromosome:OR_W1943:3:21426305:21434523:-1 gene:ORUFI03G26290 transcript:ORUFI03G26290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDGGSWIKSDSDVSSPSFPRRKRKRNLPSRRLLRSLRAKTSCARLNRGGAPISIRRAEQGRGGDPVLWIYLPTSIEWSKKRIIQLITCLLNLERCFEQREALVGEPRCVICGRYGEYICDQTDDDICSVECKTILLSKLSAETRPVVKAAKRVNLPVGDESFCIRDENFPKIPSMHDGQIASLRSKLDICVKGEDVPDPIMCFSSSGLPEKLVLNLEAAGYVMPTPVQMQVIPSSICNRSLLVSADTGSGKTASFLVPIIAHCSHVRSERCTDKQGPLAIVLAPTRELCLQVEEQAKVLGKGLPFKTALVVGGDPLAQQIYRIENGIELIVGTPGRLIDLLMKHNVDLNKVDVFVLDEVDCLLERGFRDQVMQIFQALSHPQVMMFSATVNSEVEKMSNSLAKNAIHISCGNPSRPNKSVKQVVIWVESKQKKQKIFEIMTSKQHFKPPAVVFVSSRIGADLLSEAITVATGLKVVSIHGDKTMNERRESLRRFLTGEVSVVVCTGVLGRGMDLLKVRQVILFDMPNSIDEYVHQVGRASRMGVEGMAIVFVNEEDRNLFRELVQILKTAGAPIPRELANSKYTTGIPLGGGKKRKLKSRKFWNHGLEW >ORUFI03G26290.3 pep chromosome:OR_W1943:3:21426305:21434523:-1 gene:ORUFI03G26290 transcript:ORUFI03G26290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDGGSWIKSDSDEKSPVSSSPPLLARQDELRAAEQGRGADLHPASRAGEGRGSCFVDLSSNINRMEQEENHSADHLSAQPGNGNELEESSVKERCFEQREALVGEPRCVICGRYGEYICDQTDDDICSVECKTILLSKLSAETRPVVKAAKRVNLPVGDESFCIRDENFPKIPSMHDGQIASLRSKLDICVKGEDVPDPIMCFSSSGLPEKLVLNLEAAGYVMPTPVQMQVIPSSICNRSLLVSADTGSGKTASFLVPIIAHCSHVRSERCTDKQGPLAIVLAPTRELCLQVEEQAKVLGKGLPFKTALVVGGDPLAQQIYRIENGIELIVGTPGRLIDLLMKHNVDLNKVDVFVLDEVDCLLERGFRDQVMQIFQALSHPQVMMFSATVNSEVEKMSNSLAKNAIHISCGNPSRPNKSVKQVVIWVESKQKKQKIFEIMTSKQHFKPPAVVFVSSRIGADLLSEAITVATGLKVVSIHGDKTMNERRESLRRFLTGEVSVVVCTGVLGRGMDLLKVRQVILFDMPNSIDEYVHQVGRASRMGVEGMAIVFVNEEDRNLFRELVQILKTAGAPIPRELANSKYTTGIPLGGGKKRKLKSSNLNCLCTSRKFWNHGLEW >ORUFI03G26300.1 pep chromosome:OR_W1943:3:21443041:21447395:1 gene:ORUFI03G26300 transcript:ORUFI03G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNQRRHLLKKRGGSISEKKSLFVAPTISHRNPSKKNFQPGRIRRGKRQCATPRDIPVTNIPLPPPSPHYLSPSSPPPLLHPPCNFHFPLDPTQFDPNFRFSCVNLLERSMKFGKSLSSQIVEMQPEWRDNFLSYKDLKKRLNLISGGAAGERASKRRRVGGATAVTVTAAAAGGMTLEQAGFVGLLDAELDKFNFFFLEKEEEYVIKQKELRERKMASAEEVMRVRKEIVDLHGEMVLLENYSALNYTGLVKILKKYDKRTGSMIRLPFIQKVLQQPFFTTDLLYKLVKECEEMLDQLMPTNEHSVASEDGKDDSEGEEKGSKPSSSSSAHGGAVPGEAEDERSTDMKSTVTAALRALREIRSGSSTVSVFSLPPLHGSNGQDEPGR >ORUFI03G26310.1 pep chromosome:OR_W1943:3:21446397:21468552:-1 gene:ORUFI03G26310 transcript:ORUFI03G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPRELLAVVEAALLGPSPPSPAQRVELLHAVRDAAPAFRALLSYPGPKASDRTQVEAKEVRLPDMPPITLDDTDVQTALKLSDELNLNEIECVRLLVDANRESVVLDQGLDADLMYEIQNQMEALFIEGLGQRIITLVKELNREESTGVGQPSSEHYVLDFRGALVERRAIVSRERLSLSHCLALSALIKLMSPREVKDVFSLLKDCAAEVNENSSVELQITYGVLFSLVVTFVSDALSTSHEKPSLSSSDSSFRRDFHELVMRSDNNLTIEGFVGVVRLAWAVHLMLTQDRSSARDTLTSSSRDVTDIWACLEIICRQNSFQFLRERIMQTAAYKNDDEDIVYMYTGYMHKLMMCFLSHPTSRDKIKEIKEKTMNALSPYGSIRDHREDPSRTGEQIGQPTNQPFISLLELVREIYQKEPELVHGNEELWTFVISAGEDHTNTQTLVAFLGLLSTLASSEVGAAKVYELLQGKVYRSLGWSTLFDCLSIYEEKFKESLQSSASVMPEFPEADAQALVSYLAVLQKVVENGNTTERRKWFPDIEPLFKLLSYENVPPYLKGALRNSITAFIKVSPLLKDAIWSYLEQYDLPVVTPPLGQHNATQIYDMRFELNEVEAMRESYPSTISFLNLVNALIAEERNISDKGRRFMGIFKFVYEDVFGPFPQRAYADPREKWELAVACLEHFRMVLSMYDIKDDDIYAAVNASGPSTTSHASIDRQLPVLELLKDFMSGKVAFRNIMNIVSVGVETLINERTTQTYGILLEKTVHLSFEIFILVMERDLVLADVFRPLYQPLDVVLAQNHRHIIALLEFVRYDYLPQIQQCSIKIMGILSSRIVGLVQLLLKADVAKSVIEDYAACLEFRFDDFQVIENTKDDVGVLILQLLIDNICRPAPNITHLLLRFDVNGSIERTVLKPKSHYSCLKTILDNLEKVTKPDINALLHEFGFQLLYELCLDPLTCGPVMDLLSTTKYQFFSKHVGTIGVSPLPRRNNNQSLRISMLHERAWLLKMLALALHVSDISSSLYRESCLAILCHTFGHCAENLRSANLLQSPGSSNLAMNGNKVLDLLEVVQFRCPDTSIKYPQMLSNLRLESKIEEILRNSATSEFGGVYYYSERGDRLIDLDAFHEKLLQMSQLLNPQLSESEKSELKESFHQMLKWAWRYNKNLEEQAAQLHMLTGWSQIVEIAVSRRMSLLEDRSHLLFELLDASLSATTSPDCSVKMAYILTNVALTCMAKLRDERFICPTGADSDAVTCLDIISAKQLSNAACTSLLFKLTMAILRNESSETLRRRQYALLLSYFQYCRSTLDSDVPPPVLRFLLLEEQEGDDDELGLQKEYFLFLTLFFLKVLKEQNELARSNFSIIRKEAQAVIDLVAKDAIHGSEAGKAISFYVLDSLISIDHDKYFLNQIQSRGILRSCLSDVNNYLSKEASFSSESSQRFCTIDAQLSLLLRISHHYGKHGSQILLSMGALHNLSSCNLMGSQKKVDSVSVTNANSRLNSNVVKEQAGEIDKRRSLTAPILRIVTSFTSLVDSADFLEVKNKIVRELVDFAKQHQPVFNIILRESISGANIFNLERLNMVVSILGKVWAYEENDECSFVQDLFSMMQFLFSLDIGSLNFMQSSNMIENQKSELIVFGLCFSLISYLYVLATKKDMRFQISYDDTTEGQQQPTLQLISDLLNSITVAMERVAEEKYMLLNKIRDLNELSRKEVDDIIKLCMKQDCISPNDNIRKRRFIAMIELCCMAGNRGQLITLLLQIAECAVTILLVHFQDESCAKDISSFSDELLPILERLEHFTEPDASFHLLKIAYPELFAANKYGLSSCA >ORUFI03G26320.1 pep chromosome:OR_W1943:3:21473217:21474945:1 gene:ORUFI03G26320 transcript:ORUFI03G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMAVTTMVTRNNAVGGGAVAVVDRKGWFVPEVSFPWSSVEGECINSSSKRLEFPRRTAAPPLFASVGLSLPSAAKGRDNCDVARQLAAAEAEEAAGKKRQGRKMKGGGGLLSLRKVRVKIGNPHLRRLVSGAIAGAVSRTFVAPLETIRTHLMVGSCGAGSMAEVFRWIMRTEGWTGLFRGNAVNVLRHFTYDTAKKYLTPEDGEPAKIPIPVPLVAGALAGVASTLCTYPMELVKTRLTIEKDVYDNVLHAFVKIVREGGPGELYRGLAPSLIGVVPYAATNFYAYETLRRLYRRATGRADVGPAATLLIGSAAGAIASTATFPLEVARKQMQVGAVGGRQVYRHVLHAMYCILRGEGAAGLYRGLGPSCIKLMPAAGISFMCYEALKKVLVEEEAAPELEAECAEEIKEKVA >ORUFI03G26330.1 pep chromosome:OR_W1943:3:21476341:21478016:-1 gene:ORUFI03G26330 transcript:ORUFI03G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVGNLTIAAGEAAGAGGGAAEAHKKNRIQVSNTKKPLFFYVNLAKRYMQLHNEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDDSRSRPMQKAKIEIVLGKTDKFDELMAAAAEEREAAAAEAEAEEQS >ORUFI03G26340.1 pep chromosome:OR_W1943:3:21480794:21485147:-1 gene:ORUFI03G26340 transcript:ORUFI03G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAQPLQHEHDPSPPEPDADADDEEEESRVWSQIKAEARRDADGEPALASFVYATVLSHPTLAGSLAFHLANKLASSSTLLSSTLLYDLFAAAHAARPDLRAAAAADLLAAGARDPACAGRLSHCLLDYKGFLAVQAHRVAHALWAQGRRALALALQSRVAEVFAVDIHPAAAVGSGVLLDHATGVVIGETAVVGDGVSILHHVTLGGTGEAVGDRHPKVGDGVLIGAGATILGNVRIGAGAKIGAGSLVLADVPPGATARWIHDRNKKAMELVAKGWSALKEVDRVIDYADRNDKRLIPLLRGAKENFELALEIDNMNTHARLWLAKMHFKYHVPGAFASWSFSYFLFILIPRTCKHIAKASLVTASIVVLSGAALLVEAANMGDPDAQYELGCHLRIENDYVHSDQQAFYYIEKAVDQLHPGALYLLGAVYLTGDCVKRDIASAMWCFHRASEKGHSGAAIAYGSLLLKGAEVPEVITRFNSGKSPSTGKMRKRPIQQDPVKLAKEQFQIAAEAGCDLGLRWLKRLGDYEKQQEQPKQIQQ >ORUFI03G26350.1 pep chromosome:OR_W1943:3:21488721:21491311:-1 gene:ORUFI03G26350 transcript:ORUFI03G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGAVEAWDRPWTEGTKLLRVERSVAGAAAARLMPRAKACAQPSLRASIHPLKPKRSPGRDHRGGGGAGGGGGRQCPLIPGLPDDLAVACLIRVPRGDHWKLRLVCRRWSRLLAGNYFYGLRRRLGLAEQWVYAVKRDGEGRVSWDVLDPARLAWRALPPVPGEYAGAAGFGCAVLGGCHLYLLGGSDPRRGPMRRVVFYSARSNRWHRAPDMLRRRHGFGCCVMGNRLYVAGGEGCGVGGGGGGGLRSVEVFDPAKNRWSFVSDMAASLMPFVSAVHGGRWYVKGLGAQRQVMSQVYSPEADAWSAAHELDAMVTGWRSPSASLGGRLYAADCKDGCRLRAYDEAAGAWSGRVDGGQHAGSSHAVEAAAMVALHGKLCVVRNDMSVSVVDVAAASPRWETVVGKGQMKAFVANLLSAIAGGRGRAKNRVLHCQVLEA >ORUFI03G26360.1 pep chromosome:OR_W1943:3:21493386:21497000:-1 gene:ORUFI03G26360 transcript:ORUFI03G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRASLTDPLLPSPAARAPVKAKKLSWSMLHASSKDERRGQSGEAEAEASGGVHANPSSPARMQEQATSSRPSSSERSSSSGGHHMEIKEGKEAPLRSLLLPFLDFHFTVPLSGMESDEEIGRVPELGLEPGGASTSGRAAGGGGGGAERAQSSTAQASARRRGRSPADKEHKRLKRLLRNRVSAQQARERKKAYLNDLEVKVKDLEKKNSELEERFSTLQNENQMLRQAAPAGCGGLREAEGDEKFVLNGFTAANLSFDGMATVTPNGLLMLTNGTNQLKGHAFFPALLQFHRTPNSMAMQSFSTAFVIGIISAFEDQGSGSPAAAGGSGRAA >ORUFI03G26370.1 pep chromosome:OR_W1943:3:21501557:21501988:1 gene:ORUFI03G26370 transcript:ORUFI03G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRGRNTTAVAAVGESVIAARGQHGWCLEVGVGDGRDVGPTDSVGGQRGDGREASVVAAGKPVMVGMEHRHAEVGQQGGRFNSVHALSKDDGWWSDGVIASDDRGWAVGENLARPCWTVNDDIPSVVLRPSGGVVELSLFL >ORUFI03G26380.1 pep chromosome:OR_W1943:3:21509204:21510640:1 gene:ORUFI03G26380 transcript:ORUFI03G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQHFLVVAYPGQGHINPARALAARLARATGAHVTLSVAVSAHRRMFPSLAAPDEEVHDADAGGGGISYVPYSDGYDEGFRLFASDGEAAWRHSETFGRVGREAFAGVVDRLAARGRPATCVVYAFLMWWAADVARERGIPRVLYWIQPATMLAVYYHYLHGLEELVTEHAGEPEFTVDMPSLPPMAIRDLPSFFTDLADTRLAAAFHGVRTTIEQLDIDRRSSSKPPMVLVNTVEELELDVLAASFPDLDILPIGPAATSLDGGGAAAAARASHDLYRHDEKGYMEWLDAKPAGSVVYVSFGSMSVVSRRQKEELRRGLAATARPYLWVVRSDDRDDGDGDGDGGGMVVEWCDQVRVLSHGAVGCFVTHCGWNSTLEAVACGAPMVAVPQWSDQDTNARLVAGWGVGVRAATGADRVVEAGELARCVETVMADTEAAAAVRRSSVAWKAKVREAVAEGGSSDRNLKAFLDRIANVA >ORUFI03G26390.1 pep chromosome:OR_W1943:3:21510894:21512349:1 gene:ORUFI03G26390 transcript:ORUFI03G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIYNLRVTNAWWGVSVCAVTGADRVLETGKLARCVETVMVETEAAGHRRSPELGSVEGEAPWPRVACGTTKK >ORUFI03G26400.1 pep chromosome:OR_W1943:3:21512928:21513182:1 gene:ORUFI03G26400 transcript:ORUFI03G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLAAARSRSGSNRACLWQWRRDAAVGLGGLADGNGAGRGWLPCPDSRGGTSAAVGGGQRHLDLMGLVVFGSSDPATSAEQQ >ORUFI03G26410.1 pep chromosome:OR_W1943:3:21518554:21522228:-1 gene:ORUFI03G26410 transcript:ORUFI03G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDVEVDEGTGPEDSVLELVAARRTHAIGLFDRKRGHMDAVNVLASATQLVSAMLTAVGALEQAAADFAEAPRRLQVLEDFVSDLGLLMQQSKQKHAHKMHAPQLERQLQSLGKLMDQLHANITKARRVLKKGKGKKGLARVVWSSVTGDPLMKYVQLIRDDLNWWLELQKLTESVGNVIASTAKSTPSLVRVKSEHGYPVSKKCSYVRELLINDGSHRVVLIVGLSGIGKSCLARQIASDPPGNFVDGAIELSFGRWCSRAACNGNRDEYHKRLVRKICKFLVQIGSMTVNEDVGKDLEDVCYLLQTALVGRSMLILLDDVWEQDIVDRFTNLYDNDCRYLVTTRDEAIYEIAEAEKVEISKDDIKEIGKDILLYHSLLTVEELPPVAYDLLDRCGHHPLTVAVMGKALRKETRVEKWDRAISNLSTYATCAPGPVSYVNEKEVETTLTIFGSFEFSLEAMPENSRRFFMVLAAISWDEPVPEACLESMWSALMQDTLFPLVVSKLVEGSLIIKLEDQSMYHMHDMVSLYLESKTDNAVHTLLFGSFPEYAALVSPWLFIFGKESAKERAEQKIRSLFSLLEFMEIEILLGSTTQALMECKSISEFEASRLHFSKILSPRIAELISVGSTSLIVTVTKSITVIFFQGDYAKLAQSLETAGSVDKLIHVLRGCEDSSTLANVSTVLAKISEHVDATTADEILATIPMDQIAKLLSPENEEWHEIVFTTLASLIKVGKLRAVETMIESGIDKKLLVLLGSGSEISQHHAIIMLKTFCELGAPLQGCMGPGALTHLPWHARLSLERFVLFDQNVTPSPKPQQSFELILHKILHRDNKDNIEAIQGLLPLAERANDSRVQDLLLGSNMSNGLALLLQRRDIESNQVRSHTAFLVMKLACTGGEPYVHRFLEANIVHELIDMMQCNINDLQDSAYYALHQIIFAKGGSLVLQRFLQAGTIEKLVNLLDRKSSKTKELTMQLLVDIAVVGTKPCIERMLSSQIIEKFVALEKAGGSFSGAVSRYVQGLNMCKNVQSAERSVMKQQILRKVRSEIRGHDLEASLVASVEACISEKGASSSRRKK >ORUFI03G26420.1 pep chromosome:OR_W1943:3:21524974:21528007:-1 gene:ORUFI03G26420 transcript:ORUFI03G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGNSERMKRWHETAPVPRPIIADPVPTPRGAPAGAQGHRLVAGGDLTTRRDVAAAIIARHRIVSVAIQWGALLFGAGVMSGSLVCP >ORUFI03G26430.1 pep chromosome:OR_W1943:3:21540796:21542240:1 gene:ORUFI03G26430 transcript:ORUFI03G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQMEKLVRQCDMEVMKMAMLKHEETFKQQVYELHRLYRVQKQLMSDLNRSPPELTCRRRQRRKQHARRRALNLQLPADEYIVVADAGGQATPLPPPPPSSREDELALTLAVGGGGAAGRRNNKRRESSPFTSNCSGGSLTTATSTSTSSSTDSDGSLRQPPPCPRAMAFDVLHDGSTAAAAAAAPWLQQRLSLRMA >ORUFI03G26440.1 pep chromosome:OR_W1943:3:21544087:21548916:-1 gene:ORUFI03G26440 transcript:ORUFI03G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPPPPHPTPPEQVGDGVEARSCASPTPSLRRKGSPNRSGGSARKSSSSREFGSSILNSVNKSASQFKKSINRKSGSPIDWFPRKKTEPYLKRKIKRLQESNGMTASLDETLGSANPHYTRMAREKIAAREAARKAMEARKAAMVEASWCRILHAARIQKKDAEEVMEKAKFRATEAFEEARVIGVMMYDRPDCSSQQYEVESSSQTGGRSTHKVTASFQTGFEVDMEVAAAVKKAFIQLANSSISSNKEEFKELLWKISQNPDVTEIDANSEDEQHQGDCNSEDKRNLKFNKETLGTGIFPSDFDNTNVQQSNDLVNIMLERIKALHEDELASLAVIVATSGLNAVLQSDRGKYQETESVNSFTSQRAHSRRYSTAASFVDVLQPKKEVTSELPSLDKFLVKHLSKLEKEVHEAREAGRKASSVNSCAQGAQRQITGRNPKATDSASDLSSILVKHVSKLEKEILEAKKNNNTRIQLLEESCKKVEAHVEKDASKESEFYNAQSESFCNSGSVGSCNSRESYEKSKHGRDCSQDKENKILFSHQLPPSGAKGKQGGKRLTRIEAAKLEALNSFCTKDGNAFDVGLDKILIRPIHRLEREKKKALEHGQTNVQKDPQKNVDRTIVTGSLDEILVKHVSRLEREKIDYERRNALGEGLTNVPHDQRKHGNNATASESLDQVLVKHVSRLEREKIDYEKRNALEEVLTNVAHDQRKHDNNATASESLDQVLVKHVSRLEREKVEYAKRNTLGERTSEQNHQERHSNTNIASDSLDQILVKHVSRLEKEKMEHGKSGDMIFLKKNDSKCTNEEADLSDILVKRSMKLEQAKLASSAAEETLTGSFNPVQERRRAREKELMDAWGGVGLGNSMKPHLSKIEKDKVAWRKAEEEQKQMCAANEL >ORUFI03G26450.1 pep chromosome:OR_W1943:3:21557011:21557289:1 gene:ORUFI03G26450 transcript:ORUFI03G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGNLNTALTPKNDRWRIKVEAIRLCEAVNPTMADDFYGQLNTCLYLKAIGEPLQAKN >ORUFI03G26460.1 pep chromosome:OR_W1943:3:21578492:21580789:1 gene:ORUFI03G26460 transcript:ORUFI03G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLLVAVAVAMAAVVVAAEERATYIVHMAKSAMPAEYGDDHGEWYGASLRSVSGAGKMLYAYDTVLHGFSARLTAREARDMAAMDGVLAVNPEARYELHTTRTPEFLGIAGNDGLFPQSGTAGDVVVGVLDTGVWPESRSYDDAGLGEVPSWWKGECMAGTGFNSSACNRKLVGARFFNRGYEAAMGPMDTTRESRSPRDDDGHGTHTSSTAAGAAVSGASLLGFASGTARGMAPRARVAVYKVCWLGGCFSSDILAGMDAAVADGCGVLSLSLGGGAADYARDSVAIGAFAAMEQNVLVSCSAGNAGPGTSTLSNVAPWITTVGAGTLDRDFPAYVSLGNGKNYTGVSLYAGKALPSTPLPIVYAANASNSTAGNLCMPGTLTPEKVAGKIVVCDRGVSARVQKGFVVRDAGGAGMVLSNTATNGEELVADAHLLPAAGVGAKEGAAIKAYVASDPSPTATIVVAGTQVDVRPSPVVAAFSSRGPNMLTPEILKPDIIAPGVNILAAWTGKAGPTGIAADTRRVAFNIISGTSMSCPHVSGLAALLRSAHPEWSPAAVRSALMTTAYSTYAGAGDANPLLDAATGAPATPFDYGAGHVDPASAVDPGLVYDLGTADYVDFLCALNYTSTMIAAVARSKSYGCTEGKAYSVYNLNYPSFAVAYSTASSQAAESSGAAATTVTHRRTLTNVGAAGTYKVSAAAMPGVAVAVEPTELAFTSAGEKKSYTVSFTAKSQPSGTAGFGRLVWSDGKHSVASPMAFTWT >ORUFI03G26470.1 pep chromosome:OR_W1943:3:21586267:21588652:-1 gene:ORUFI03G26470 transcript:ORUFI03G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTANSNKNKFQFGNARSKLRNFTLEMHAVFDGIPQCRVEGGGCRRGCRRGGPRRGGAPAHLRAPRRPVSVGCLSHVTPPASGGGGCYGYRVTPPTSGGCSRPPRAPPSSVDSPYVRAKQAQVIEKDPNKAVPLFWAAINSGDRIESALKDMATKCDRTKEQIEMLTLKLIFVDEELASGRWKTKLSKSHGRVVYLSLRDEKAWLLGNLA >ORUFI03G26480.1 pep chromosome:OR_W1943:3:21589846:21593824:-1 gene:ORUFI03G26480 transcript:ORUFI03G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLETGRRSTPDADTTLDQRLTNRKRLAVAAHCMPAGRRACILARAALCCIIKRGVKKNDKDFGKALKKSGPHKKEHKKYRV >ORUFI03G26490.1 pep chromosome:OR_W1943:3:21596964:21597221:-1 gene:ORUFI03G26490 transcript:ORUFI03G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELHRSLSLSLLSLSFLASSASRRRQPRAADLAPKLADLALPRPDWDGDDGGAGNGGRGADPSTPQLLVKLIGRIGWWRCGWI >ORUFI03G26500.1 pep chromosome:OR_W1943:3:21611380:21611883:1 gene:ORUFI03G26500 transcript:ORUFI03G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLALVILLAVAAGSWQPAATSTPATVSPAPAVSPVAAPAGELGGGGAGARRDQDREFVRGCCARTLYPRLCTAALSPYAAAVGSSHARLAVPSANLTAGTINSLGGRIPSPSTTGTTESPAGALGDCAEAVASAADLAARAAGRLDGVERAVAGPEVLWRVRDAQT >ORUFI03G26510.1 pep chromosome:OR_W1943:3:21612453:21612914:-1 gene:ORUFI03G26510 transcript:ORUFI03G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSLERNIPLRFGTNRSGQNWRTNSSQVGRANGVNERARSLPCSRARPRETAAHLGDGGGGGLDDGGGRRLGDGGGGXXPPSSSPSRGCSARRPTPSPPVLGWVIPSVVVALLRPLVCDADAVISAVLVTQVPDQTKLIDAIKEAGGDHVRW >ORUFI03G26520.1 pep chromosome:OR_W1943:3:21614666:21615001:1 gene:ORUFI03G26520 transcript:ORUFI03G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPLPNPKRDAITTTTIRFFPLRIEKKAKEPLDPPTTPRPPRRRRKRRRSRMFFSRFDPWPVFFRREWKRCWPFLTGFAVTGAIITKMTAGFTEEDLKNSKFVQAHKKH >ORUFI03G26530.1 pep chromosome:OR_W1943:3:21619131:21621135:-1 gene:ORUFI03G26530 transcript:ORUFI03G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGGGGGGGGFFAALREEVARGLSPARARGRSRSDAAELAAAMRMSGGGGGGGEMLAPLMEGPDPESGDGEGGGGGGGGGGGGGGGGRGARGRRGEGWGQWVRGQLSRTPSSVAAAAAGAGAARDDPKLLLGVMGAPLAPVHVSAAEPLPHLSIKDTPIETSSAQYILQQYLAASGGQKLLASVRNAYTMGKVRMVATEFETAGRLVKNRNAARCAEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLTTASMFAGARCIGERKVNGEDCFILKLCTEPETLKARSEGLAEIIRHVMFGYFSQRTGLLVHIEDSHLTRIQSTTGGDAVYWETTINSFIADYRPVEGIMIAHSGRSAVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSISETVELSHGEKSKVGPPPGHRAKVAALEKAVDGKVAWSGTILEDHN >ORUFI03G26540.1 pep chromosome:OR_W1943:3:21632348:21632790:1 gene:ORUFI03G26540 transcript:ORUFI03G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCQPDLPAVKAAGATSDNCNEYDDCYNNYGCSKHGGGNDNDYGDGGVDVGIVQGVPQNRQGGGGPDV >ORUFI03G26550.1 pep chromosome:OR_W1943:3:21657259:21657678:1 gene:ORUFI03G26550 transcript:ORUFI03G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDPDSDGAPDDLLDVGADHGDLGHEPERAVRPVRVPAAAELGEVPPRGHPEARGEQLHEQAHGRGLEEQPEQRVAGGRAGLEVVLEVARVQERDAHQEPRPREQPQPAPREGRHGHAAAAGEGAVVVGVGVTGGRDD >ORUFI03G26560.1 pep chromosome:OR_W1943:3:21657460:21657822:-1 gene:ORUFI03G26560 transcript:ORUFI03G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENHASAAARAADADDHQHPVLCRSSFLPAYSDVDDGLEVEGSAFRPLIVSASGDSHSDDDRPFSGGGRVPVPPFSWRRLWLFTGPGLLMSVAFLDPGNLEDDLQAGAAAGDALLWLLL >ORUFI03G26570.1 pep chromosome:OR_W1943:3:21669690:21670166:-1 gene:ORUFI03G26570 transcript:ORUFI03G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPSPDPMEGRQRPHPPIHQICAKGKVVGGDAVASVMTAADQAEAMASAASTGDSGLSFARSNERGAVVAPTLFPPPDSASGKAAGSPPPDLVRGGGGSGSALSFARSSERGGNGLPTTAILLLSVPRLFSLSPASHCCSSPLHRGGAQPPVRRWLT >ORUFI03G26580.1 pep chromosome:OR_W1943:3:21670966:21671322:-1 gene:ORUFI03G26580 transcript:ORUFI03G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRAALLMLLLLVVVAAASWPQPCDAASGFCASKCAVRCGRGRGRGSGCLRSCGLCCEECNCVPTGSGSTRDECPCYRDMLTAGPRKRPKCP >ORUFI03G26580.2 pep chromosome:OR_W1943:3:21670968:21671322:-1 gene:ORUFI03G26580 transcript:ORUFI03G26580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRAALLMLLLLVVVAAASWPQPCDAASGFCASKCAVRCGRGRGRGSGCLRSCGLCCEECNCVPTGSGSTRDECPCYRDMLTAGPRKRPKCP >ORUFI03G26590.1 pep chromosome:OR_W1943:3:21676963:21680204:-1 gene:ORUFI03G26590 transcript:ORUFI03G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAADADAAGAGLGSRSAAEERSLLHPSLFSAYSDEDLVERAFEPAQKIVVSISSDPDDPDADDEHLYYGGHAPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGATAGDTLLWLLFWATAMGLLVQLLAARLGVATGKHLAELCRDEYPDWARRALWLMAEVSMVSADIQEVIGSAIAIKILSNGFLPIWVGVVITALDCFFFLSLENYGVRKLEAVFAVLIATMALSFAWMFFKTKPNGKDIIIGILVPRLSSRVISQAVGLVGCVITPHNVFLHSALVQSRKIDPHKEYQVLFAKGFYGTKEAGNIGLENAGHFLQEKFGEDFFPILYIWGIGLLAAGTSSTITGTYAGQFIMSGFLNWRLKKWIRALITRSFAIVPTITVAVYFNTSDSALDVLNEWLNVLQSIQIPFALIPLITLVSKEQVMGVFKIGPRTQIATWAVASVPIIINGCLLLDFFSSEVKGLLSGLVLFAAVLAYVSFLLYLIFRGIAVFS >ORUFI03G26590.2 pep chromosome:OR_W1943:3:21676963:21680204:-1 gene:ORUFI03G26590 transcript:ORUFI03G26590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAADADAAGAGLGSRSAAEERSLLHPSLFSAYSDEDLVERAFEPAQKIVVSISSDPDDPDADDEHLYYGGHAPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGATAGDTLLWLLFWATAMGLLVQLLAARLGVATGKHLAELCRDEYPDWARRALWLMAEVSMVSADIQEVIGSAIAIKILSNGFLPIWVGVVITALDCFFFLSLENYGVRKLEAVFAVLIATMALSFAWMFFKTKPNGKDIIIGILVPRLSSRVISQAVGLVGCVITPHNVFLHSALVQSRKIDPHKEYQVREALRYYTIESTMALVVPFMINLFVTTVFAKGFYGTKEAGNIGLENAGHFLQEKFGEDFFPILYIWGIGLLAAGTSSTITGTYAGQFIMSGFLNWRLKKWIRALITRSFAIVPTITVAVYFNTSDSALDVLNEWLNVLQSIQIPFALIPLITLVSKEQVMGVFKIGPRTQIATWAVASVPIIINGCLLLDFFSSEVKGLLSGLVLFAAVLAYVSFLLYLIFRGIAVFS >ORUFI03G26600.1 pep chromosome:OR_W1943:3:21681116:21686741:1 gene:ORUFI03G26600 transcript:ORUFI03G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASCLRPPLPHSSAAASASAARGLRVPLPPPPPPPPPRRRLAGVGVVAASASPFDELYARGRPAHGSSKKSILWNLIQDIEPLDLSVIQKDVPPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLSFERNLELSEEDSEGQNRDISEDNHHNINLGSPVTIFRLSEEDMLQDTEKNDEELPCETVGEDLGNLTPQAEDYIIQLQSRLDAMKKELHDLRRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTSPGVQEAIHSVVHGLLATLSPKIHSKAPPPLGNASGGVLNLGGEDDDCAELVENASLPFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELAQLLRISTDVESFPSGDDLII >ORUFI03G26610.1 pep chromosome:OR_W1943:3:21696599:21698839:-1 gene:ORUFI03G26610 transcript:ORUFI03G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVLPLLLLFVVLSGSTASVVDQHAAGVDEINYIVLETSSWLKPETVCSGLMSSPHPNITNWLPLTRPYGPCSSGSSAAPPAADLLWSDQHRADYIQWKLSAAAVGVSLATIPDEPSKSDVQMSNMLKVNTGNNDSPPQSKATALAATGGREQLPGVVQTVVLDTASDVAWLQCSPCPAPACYPQTDVLYDPSKSSASGIFSCNSATCRQLGPYANGCLNNQCQYRVQYPDGRSSSGTYIYDLLTLTPTASVPKFLFGCSHAVQGQFSGSAAGIMALGGGPESLVSQTASMYGRVFSHCFAATASRTGFFILGVPRVASWRYVLTPLLKIPALPPTYYMVRLQAITVAGQQIAVPPTVFAPGAALDSRTAITRLPPTAYQALRQAFRDRMTMYRPAPPKGPLDTCYDMTGVRTFALPRITLVFDRNAAVELDPSAVLYEGSGCLAFAAGPNDLVPGIIGYVQLQTLEVLYNIPAGLVGFRHAAC >ORUFI03G26620.1 pep chromosome:OR_W1943:3:21706833:21715639:-1 gene:ORUFI03G26620 transcript:ORUFI03G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAAAVSGRPPLLLLLLQPYHLAGLLLLSFLIIRPVELDLSVANGAVSVERPESPSAASLVKYKVTLRAYNPSGRAVVHFGGNSLVRLIYGAVAQTELTAFTLPTFVESHYVTKSAFLNSSALPASLAARLYDGETDQVVVQAVASLSFTIGGARGVSAGKRGHNFTFHC >ORUFI03G26630.1 pep chromosome:OR_W1943:3:21723750:21730240:1 gene:ORUFI03G26630 transcript:ORUFI03G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDADTGEPPAKRRAIDPIAPTVHPWNLPAGIPLLHCSSRPGWSWRRSPKRSSASPPLTMVEINESRSARDAYDGIASLQPHSTRYKLFPGDTIIGLGRQSREPFGLQANRGIYSVERWADLPRICPEMQRVTFINTFTAIGGPAINKNGSVIGILFHSLSFTPFLPSNVILKWWEYFKTTGKYCCPMISFVGCNLHNGRSSRWVNVPTSLHEGLDGILVEMASRELLSAGLQEKYLIIRCNGKRVTTRLQLFEVLVENIGQIVEVTIVKAENCNTQSIYLPVEEAVEKCFYH >ORUFI03G26630.2 pep chromosome:OR_W1943:3:21723750:21730178:1 gene:ORUFI03G26630 transcript:ORUFI03G26630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPASRRRSGGRSTPSRPRCTPGISLPESLSSTAPAAQMVEINESRSARDAYDGIASLQPHSTRYKLFPGDTIIGLGRQSREPFGLQANRGIYSVERWADLPRICPEMQRVTFINTFTAIGGPAINKNGSVIGILFHSLSFTPFLPSNVILKWWEYFKTTGKYCCPMISFVGCNLHNGRSSRWVNVPTSLHEGLDGILVEMASRELLSAGLQEKYLIIRCNGKRVTTRLQLFEVLVENIGQIVEVANLTGYY >ORUFI03G26630.3 pep chromosome:OR_W1943:3:21723750:21730240:1 gene:ORUFI03G26630 transcript:ORUFI03G26630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVEINESRSARDAYDGIASLQPHSTRYKLFPGDTIIGLGRQSREPFGLQANRGIYSVERWADLPRICPEMQRVTFINTFTAIGGPAINKNGSVIGILFHSLSFTPFLPSNVILKWWEYFKTTGKYCCPMISFVGCNLHNGRSSRWVNVPTSLHEGLDGILVEMASRELLSAGLQEKYLIIRCNGKRVTTRLQLFEVLVENIGQIVEVTIVKAENCNTQSIYLPVEEAVEKCFYH >ORUFI03G26630.4 pep chromosome:OR_W1943:3:21723750:21730240:1 gene:ORUFI03G26630 transcript:ORUFI03G26630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDADTGEPPAKRRAIDPIAPTVHPWNLPAGIPLLHCSSRPASLQPHSTRYKLFPGDTIIGLGRQSREPFGLQANRGIYSVERWADLPRICPEMQRVTFINTFTAIGGPAINKNGSVIGILFHSLSFTPFLPSNVILKWWEYFKTTGKYCCPMISFVGCNLHNGRSSRWVNVPTSLHEGLDGILVEMASRELLSAGLQEKYLIIRCNGKRVTTRLQLFEVLVENIGQIVEPSDGNRMEAIDGGGEAILSSSFRAFTVRHEQILSLARQLNQEQCLATRVTVQAAC >ORUFI03G26630.5 pep chromosome:OR_W1943:3:21723750:21730240:1 gene:ORUFI03G26630 transcript:ORUFI03G26630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDADTGEPPAKRRAIDPIAPTVHPWNLPAGIPLLHCSSRPGWSWRRSPKRSSASPPLTMVEINESRSARDAYDGIASLQPHSTRYKLFPGDTIIGLGRQSREPFGLQANRGIYRKYCCPMISFVGCNLHNGRSSRWVNVPTSLHEGLDGILVEMASRELLSAGLQEKYLIIRCNGKRVTTRLQLFEVLVENIGQIVEVTIVKAENCNTQSIYLPVEEAVEKCFYH >ORUFI03G26630.6 pep chromosome:OR_W1943:3:21723750:21730240:1 gene:ORUFI03G26630 transcript:ORUFI03G26630.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVEINESRSARDAYDGIASLQPHSTRYKLFPGDTIIGLGRQSREPFGLQANRGIYRKYCCPMISFVGCNLHNGRSSRWVNVPTSLHEGLDGILVEMASRELLSAGLQEKYLIIRCNGKRVTTRLQLFEVLVENIGQIVEPSDGNRMEAIDGGGEAILSSSFRAFTVRHEQILSLARQLNQEQCLATRVTVQAAC >ORUFI03G26640.1 pep chromosome:OR_W1943:3:21736986:21737264:1 gene:ORUFI03G26640 transcript:ORUFI03G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIQLLTTATCCLVAVLLAAGWMVAAADARRLLDDVEYTAGGTAMPPAPVMAPAAEPGMDVHGGRVLAEGRGLLAGGLRLAGRLLLGLGL >ORUFI03G26650.1 pep chromosome:OR_W1943:3:21738792:21739804:-1 gene:ORUFI03G26650 transcript:ORUFI03G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRCVKKNGLPAVNINTNTSFAPVTAAAAMYTVVPDSQIRNATIEEFLEEIAGEKPIRFTAQQLAGFTNNYSARLVAGGFGTVYKGMLPNGHMVAVKRLHVGGNGDGSTSQEQFMAEVGSIGRIHHINLVSLPARHPAVCSLPVGWPAIEECERERGEEGREEGKGKLMWHADRWGHVGPTRHVG >ORUFI03G26660.1 pep chromosome:OR_W1943:3:21745168:21747116:1 gene:ORUFI03G26660 transcript:ORUFI03G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLEDDDFLPDILLRLSRRTTPRASSGPPPSARPGAAFSGRPAAATARALHGPRAPVFGVLHNPTNGELDLFVPPTASSSFRPYVGDDRRHRHIIECRHRRVLLYDFAFPNSTCGGPGYFVWDPITGEQHRIPNVMGLRRRNPEKKRRWDAHASFYSSDTGEWSLDHVCIHLDRLRAGGVYTSRTTGRAAAHVVGDSLYFVGKSGVLLRYRYGRLLVIDSDVLSVIQPPPDAKRRLRLGYTVFMASPENELLSRPLVDAIKAELERLFLDKVSFRLAMFRPFVGEVLVGKISGYDEKGLHGELFIFLLTFKEFIFLLTFKELVGWQTLLSDSYLACLIIPFLL >ORUFI03G26670.1 pep chromosome:OR_W1943:3:21750985:21755275:1 gene:ORUFI03G26670 transcript:ORUFI03G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDPHRAFRIQCSAVRRARAAVQIRLGPPMVTACVSCINDGKLSSSSRQIGCRRRLALRQVIASIDRGPPMVTACISCISNGWRIVLILCFLDQLGCKVAVLDVVMFVDMDFQNKLIDSLSSLAADPAEVVVRVLGHSLAGPWKETQRLGLFGV >ORUFI03G26670.2 pep chromosome:OR_W1943:3:21751518:21755275:1 gene:ORUFI03G26670 transcript:ORUFI03G26670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDPHRAFRIQCSAVRRARAAVQIRLGPPMVTACVSCINDGKLSSSSRQIGCRRRLALRQLGCKVAVLDVVMFVDMDFQNKLIDSLSSLAADPAEVVVRVLGHSLAGPWKETQRLGLFGV >ORUFI03G26680.1 pep chromosome:OR_W1943:3:21752282:21755064:-1 gene:ORUFI03G26680 transcript:ORUFI03G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYKVESNDMIGNSSEEPNLALKKISEGSYDSLEIQPLKKAKISECNDFESNNQIDYSPSQTLNKSEPLLHETQKYNYLPQDYEMTENDLCTQITIETSSPTDTLVEIDGIIVRQDQLLCLLDGKKFLNDDVISAYIYCIRDQTHLRRGNNSNIYIETPFISGLLKRDGDIGVDEDSGIDNFMTKIARNYLKHELLQGLEYHFSILERQQNLISHNWKDLRITTWRIMEKLREPIQRDGKVWVRSSKPYSISLSLRKLQKILMEDQPMDRDCFNLAVRKFAYDDIQLMKKNRGTISKHYLDLQFWIITEFGRHPDYRQQLNIEQLVDSICSWPDIDYNVSKCKLILIPVQYCDTFILIVLDQDTRTLYVLDPTPIDPIYENNPNARYVKQLLCITEYLAKAMAKVCPGSRWNEDINLWRQIFLSNVPIQSRELSGYLVSLFMRTCRDDGTQFPILKDGFSYEVRKHFLAQLLEYAENECESNIPSGIRDLLRFYK >ORUFI03G26690.1 pep chromosome:OR_W1943:3:21760518:21764598:1 gene:ORUFI03G26690 transcript:ORUFI03G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHVEPCAAPPARRHEAAAPPRALIKPGPLPFSTPHPSPPFLLLSSFSTRRAVASKPPRRARGGAERRRLAPPPPPPPVSRPSPPPRVHRRRHPGGQGTERERGRKEPGGREEREKKKEKKKKRKRERRKKKKERKKGEIE >ORUFI03G26700.1 pep chromosome:OR_W1943:3:21767783:21769830:-1 gene:ORUFI03G26700 transcript:ORUFI03G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDACVLRPSIEWIDGAQPQANATVFVAKFFGRAGLVASLAAVPLHHRPALFRSLLYEACGRTINPVSGAIGLMWTGNWDLCQAAADAVLRGDSLRALSAVPAAFTDRDMAGLYGNVGAAAGTSSSSPDNDNSSASAAPRRKRPRNNGAGAGGVGQQQLPHAVAPVLQSCELDLCLTPVSPPAVQLVGGGGGGASDEHSTTTCEEGSDGDGAGAPTLLNLFS >ORUFI03G26710.1 pep chromosome:OR_W1943:3:21774777:21775244:1 gene:ORUFI03G26710 transcript:ORUFI03G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALHLSLPLLSLLPVTACSGGGGDAQSARPTRWPRHCFSRRCFGVAAVIHSKIRRRAVWWPGGRVGAGATRPPRCRRGSGRGGAITGASRRAVRPVRRSEAGSGSTSRPTRKRKNAGVVEDSGSGVGEVWLEDGAAVEEAAWWTATASGDADDE >ORUFI03G26720.1 pep chromosome:OR_W1943:3:21780493:21786699:-1 gene:ORUFI03G26720 transcript:ORUFI03G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVVLLRSCAISRLDRGGAPISIWRAKQGRGRGSCSLPGSHLGWTYGAMKHMKLGSKPDLFQTESGNIRFVATELATDIVISIGDVKFCLHKFPLLSKSSCLQRLVASSNVEGNEELDISDIPGGPSAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLTSSIFRAWKDSIIVLQSTKSLLPWSENLKVINHCIDSIASKALIDPSEVEWSYTYNRKKLPSENGHDSHWNGVRKQLIVPKDWWVEDLCDLEMDLYKRVIMMIKAKGRTSPIVIGEALRAYAYRRLLGSLEDAVSNGVDCTKRRAVLETIIFLLPTEKGSVSCGFLLKLLKAACLLEAGESCHDILIKRIGTQLDGASVSDLLIPANTSENTLYNVNLIIAIVEEFVSRQSDTGKMKFQDDDEIVEVENLTPVSSTSNLAVANLIDGYLAEIAKDTNLPLSKFIAIAEMVPPASRKNHDGLYRAIDMYLKEHPSLSKSEKKALCRLMDCKKLSQDACLHAVQNERLPLRVVVQVLFFEQIRASAASGRTDAAAELTSAVHSLLPRENGNSYGSSRSAATTTTEEDGTGVPTSSDINSFRSLRLANNSGGSERSSGSSDINNKSCDDKSSSKSKGSLMPKKILSKLWSGKTNASENSSSDTSESPGSLNPEEVKSTTSRNTRRLVT >ORUFI03G26730.1 pep chromosome:OR_W1943:3:21811707:21813553:1 gene:ORUFI03G26730 transcript:ORUFI03G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVTVVCKEGRGGGGGGAAAEEEARQHGRIELGGAAGCCQGWSSGSRHCLSLGRREFHCLPLLRRFHRNILCLTAATTTCSCVFHAATTISASFSPSPRPAAASGKAGMRERSSVATAGSFARPPVAAGKEVDPAKRQILQRGRGEEEAQIHGARGVEGCLGIRTSSYGSHGAALFLPPWCHSVREQQSLRVISPLRVVVVHPRKLPMRHFLLMASTSISRIRS >ORUFI03G26740.1 pep chromosome:OR_W1943:3:21827528:21828247:-1 gene:ORUFI03G26740 transcript:ORUFI03G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEVLDGAAPMLSSSPAASGEEVGARKPQQRCGGAEGWSKRKRSRRRHRDRAAAPPPHGSEEEHLALSLLMLARGHRDPSPAPQEQHGCSVCGRVFSSYQALGGHKTSHRPRTPPTMAAVVVVDEPAATTASPAASSSNSGSGSGGGGGNKVHECSVCKKTFPTGQALGGHKRCHYEGPIGSGGGAAVAGRGFDLNLPAVALPDIMTERCLPAAAEEEEVLSPLASFKKPRLMIPA >ORUFI03G26750.1 pep chromosome:OR_W1943:3:21837279:21837527:1 gene:ORUFI03G26750 transcript:ORUFI03G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFLLIVSAVWGRRSLAAVTEAAAVVDEAEEAAGAATVLAMVAALAEVVVAVLVEVAALVEEAAGTEARQRRVRRRGGSRI >ORUFI03G26760.1 pep chromosome:OR_W1943:3:21839017:21844344:1 gene:ORUFI03G26760 transcript:ORUFI03G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLRVSIAHQTSFALRLAAALSSPAHPAGGAGRNVAFSPLSLHVALSLVAAGAGGATRDQLASALGGPGSAEGLHAFAEQLVQLVLADASGAGGPRVAFADGVFVDASLSLKKTFGDVAVGKYKAETHSVDFQTKWLLLPSNLANIDWEHYLLLPSLEKKLEKYGKIFLVFTIVGIIYVIMWFPFTAILEIMSLKDDEPITHWTSGKAAEVASQVNSWVEKVTSGLIKEILPPGSVDHTTRLVLGNALYFKGAWTEKFDASKTKDGEFHLLDGKSVQAPFMSTSKKQYISSYDNLKVLKLPYQQGGDKRQFSMYILLPEAQDGLWSLAEKLNSEPEFLEKHIPTRQVTVGQFKLPKFKISFGFEASDLLKSLGLHLPFSSEADLTEMVDSPEGKNLFVSSVFHKSFVEVNEEGTEAAAATAAVITLRSAPIAEDFVADHPFLFLIREDMTGVVLFVGHVAAEVLGQVNSWVDRVTSGLIKNIATPRSINHNTKLVLANALYFKGAWAEKFDVSKTEDGESVQAPFMSTRKKQYLSSYDSLKVLKLPYLQGGDKRQFSMYILLPEAQDGLWSLAEKLNSEPEFMENHIPMRPVHVGQFKLPKFKISFGFGASGLLKGLGLPLLFGSEVDLIEMVDSPGAQNLFVSSVFHKSFIEVNEEGTEATAAVMVSMEHSRPRRLNFVADHPFMFLIREDVTGVILFIGHVVNPLLAV >ORUFI03G26770.1 pep chromosome:OR_W1943:3:21847521:21848417:1 gene:ORUFI03G26770 transcript:ORUFI03G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATATDLRVSIAHQTRFAFRLAAALSSPRAHPAAGGAAGAGGSNVAFSPLSLHVALSLVAAGAGGATRDQLVSLLGVPGRGTAEGLHAFAEQVVQLVLADSSPAGGPRVAFADGVFIDSSLSLMKSFKDVAVGKYKAETHSVDFQTKVLRDKQKEIESRVPVIR >ORUFI03G26780.1 pep chromosome:OR_W1943:3:21860154:21863027:-1 gene:ORUFI03G26780 transcript:ORUFI03G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPMHTEAGSSQFQGAFSGVPQVNMPVFSTGMNDQWQGAPTYNTSLHLQGMYQMSINENTPPQGPSFLEIEPYSYGGGSSTAQHEIGPSQLDESPPVTQRPKTTATLISAVLRWSVGLSASDIHLKDYLCQDAGLQPALVE >ORUFI03G26790.1 pep chromosome:OR_W1943:3:21868083:21872674:1 gene:ORUFI03G26790 transcript:ORUFI03G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAQCAGAPRCRMLNVQDRILQLLSKLRSRRSHGPRLPLDRIASSPRALRRRRSLLPSPVRNPPRAHGRRPGNFSMQQMAVSAFTANPSSSHGNTLSGLCSRRPEIWHRRRIGSRIRAQAQSQMQYRKLGDSDLVVSEVTLGTMTFGEQNTEKEAHDILSYSFDQGVNILDTAEMYPVPPRKETQGRTDLYIGSWMQSKPRDKIILATKVSGYSERSTFLRDNAKVVRVDAANIKESVEKSLSRLSTDYIDLLQIHWPDRYVPLFGEYCYNPTKWRPSVPFEEQLKAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKVQGLPKIVSIQNSYSLIVRCHFEVDLVEVCHPNNCNVGLLAYSPLAGGVLTGKYIDTNPDISKKSRLNLFPGYMERYNASLAKEATNEYVKLAKKHGLTPVQLALGFVRDRPFTASTIIGATTMDQLKENIDAFTSAPRPLAPEVLDDIESLFKRYRDPTLS >ORUFI03G26790.2 pep chromosome:OR_W1943:3:21868083:21872674:1 gene:ORUFI03G26790 transcript:ORUFI03G26790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAQCAGAPRCRMLNVQDRILQRNFSMQQMAVSAFTANPSSSHGNTLSGLCSRRPEIWHRRRIGSRIRAQAQSQMQYRKLGDSDLVVSEVTLGTMTFGEQNTEKEAHDILSYSFDQGVNILDTAEMYPVPPRKETQGRTDLYIGSWMQSKPRDKIILATKVSGYSERSTFLRDNAKVVRVDAANIKESVEKSLSRLSTDYIDLLQIHWPDRYVPLFGEYCYNPTKWRPSVPFEEQLKAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKVQGLPKIVSIQNSYSLIVRCHFEVDLVEVCHPNNCNVGLLAYSPLAGGVLTGKYIDTNPDISKKSRLNLFPGYMERYNASLAKEATNEYVKLAKKHGLTPVQLALGFVRDRPFTASTIIGATTMDQLKENIDAFTSAPRPLAPEVLDDIESLFKRYRDPTLS >ORUFI03G26800.1 pep chromosome:OR_W1943:3:21874576:21877524:-1 gene:ORUFI03G26800 transcript:ORUFI03G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLAGAAESGRSSAAAALRRSLHAGGREEVESVAYRMSMLRPPPVVPRRGLPRNSCSLIGRLDGPVRPCGGSSDERPMAYTFLSVSSSPSSPPSSSPSNFRVTLNLQGELAHVSLKHLKQNDLVYVSGLLNSYHKVDPSGEKHTFYKIHVTDLNYVLDQNQRPQNDENSSDKSSMLSTTDEILTEKKYIDRLRLWQVFFASPYEWWDNRQSKPYSYYPDFKHKDTGEKLWLRADDPPWVRRQLELQDQQLAENGHRDGSRTLKNHTWKSQDFDCSPSQDFGYSDDEELLHSSGA >ORUFI03G26810.1 pep chromosome:OR_W1943:3:21881590:21882180:-1 gene:ORUFI03G26810 transcript:ORUFI03G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTTRDVTKELSPSLPYHHSILTLTCRRFFLLITSSLRIDGNAVVSSPTLEHALHIAALTLSPFGVQWTIASRATMPSASPRPPLLLLPSTPSNGATILEIGIIFSNSGCRAPSSISPSPKSSHRRSSKVKRRCRDIPQAIVDDQALPTSSVRGDAAVCHRCWR >ORUFI03G26820.1 pep chromosome:OR_W1943:3:21881663:21882130:1 gene:ORUFI03G26820 transcript:ORUFI03G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGMSRQRRFTLDERRWLDFFKGLPCMHVFRVRDVHARLPWQGIGLGLTGEGEIEDGARQPELEKMMPISKIVAPLDGVLGSKRRGGRGEADGMVARLAMVHCTPNGDNVKAAMCRACSKVGDDTTAFPSIRYTREDVIKRKNLRHVKVSMEWW >ORUFI03G26830.1 pep chromosome:OR_W1943:3:21903029:21903263:-1 gene:ORUFI03G26830 transcript:ORUFI03G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVEALKDQARGCAGHRHAVPVSLSSQTAGNGVPSVLPRRCRRRMRQGHQAKEVGGEDAEGVSPRLLGT >ORUFI03G26840.1 pep chromosome:OR_W1943:3:21905313:21909824:1 gene:ORUFI03G26840 transcript:ORUFI03G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPCAACKLLRRKCTQGCVFAPYFPPDQPAKFANVHKVFGASNVTKLLNDLQPEQREDAVNSLAYEAEARLRDPVYGCVAYISILQLRIKQVRDQIVDARKELAAYIGPTAFAPVVAAAAPHTHYLPPADYHHQQLQAQGGGGGAGMGAAGYAHQVVQHQMAGLQVQNPHPHPHPHHHHHHQQMVNAQHMALVEVARDQDMLRARQAGHANAGATVAVEAPGSSSLNADTFDGGPFLLRQQPPSSVQTEPAMALPYHMEPSPPQPSSGHSHDEVSQQQQHHHHHRHHHTDGSDEGSGGAAPPG >ORUFI03G26850.1 pep chromosome:OR_W1943:3:21914256:21918693:-1 gene:ORUFI03G26850 transcript:ORUFI03G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCLRRAAAAAVGEALRRGASTAPWRRAASASYHHTIQALPRETAGPRAAARERRSGRVPAVLLALAGAGPGEGVAHRKLLTADRKQLGEILKQSPYFLSTPVRLQVRAGERSTAVVHSGTVLPIKFYPEKAGGTTDMLCVRTGSALSCIKWIVVDVDALVHRDETTGNILNLVLVEADEGTMLKVNLPVEFKGEDVCPGLKKGGFLQKIRTSLVYLCPAEHIPPKIEVDLANLDIGDRVSMNDIPVHPSLKLLSKNETMPVCKILASKPVE >ORUFI03G26850.2 pep chromosome:OR_W1943:3:21914256:21918693:-1 gene:ORUFI03G26850 transcript:ORUFI03G26850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCLRRAAAAAVGEALRRGASTAPWRRAASASYHHTIQALPRETAGPRAAARERRSGRVPAVLLALAGAGPGEGVAHRKLLTADRKQLGEILKQSPYFLSTPVRLQVRAGERSTAVVHSGTVLPIKVHRDETTGNILNLVLVEADEGTMLKVNLPVEFKGEDVCPGLKKGGFLQKIRTSLVYLCPAEHIPPKIEVDLANLDIGDRVSMNDIPVHPSLKLLSKNETMPVCKILASKPVE >ORUFI03G26860.1 pep chromosome:OR_W1943:3:21927921:21929210:-1 gene:ORUFI03G26860 transcript:ORUFI03G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSEGSSVSSRHTSASPILYRVGPLEYEPAVACRCGNKAVRWISRISDNPGRWYFKCVNARSGGCDYFAWVDGPLSSFLREVLNDLRDEVWKLRREKGDFPAAVEEGRFAQSELAVGEKEAIVGVLKDTNNSHGGSMRIDPGVLAFLDGAGPADVFFFSGS >ORUFI03G26870.1 pep chromosome:OR_W1943:3:21934515:21937750:1 gene:ORUFI03G26870 transcript:ORUFI03G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRWAASSPTSVPVSPRSETPAAAPTTGAHPKTPARPSCSKHRAPVPSPRQAATSSRRRGKKEAETPAAVPAAGAHPQDAAATHTRKERENQWEKNDRGKINMCSSLLHVTQNELQSEIKNMFLEKEEKKSSEKDLGEVDNLSTNNKQRTETTPGHQERMFSSSPVAGKDTSDELVHGVPRESLQELALGAGVVDDTDARVDGSADVLVPEGGGGGCAQXWSAAASSATARGWRRLRRMTASTALASADIAQARPASLAAAAAAGFEESGRGGGQRWKPAV >ORUFI03G26870.2 pep chromosome:OR_W1943:3:21934629:21937750:1 gene:ORUFI03G26870 transcript:ORUFI03G26870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRWAASSPTSVPVSPRCGRARRHPPGSPPSRFCHPIPPGLLDPDASFLEFSQVGNAGRRANHRGSPQDAGEALLLQAPCSCAFSSSSRNIKPPPRKERGGNAGRRKERENQWEKNDRGKINMCSSLLHVTQNELQSEIKNMFLEKEEKKSSEKDLGEVDNLSTNNKQRTETTPGHQERMFSSSPVAGKDTSDELVHGVPRESLQELALGAGVVDDTDARVDGSADVLVPEGGGGGCAQXWSAAASSATARGWRRLRRMTASTALASADIAQARPASLAAAAAAGFEESGRGGGQRWKPAV >ORUFI03G26870.3 pep chromosome:OR_W1943:3:21934515:21937750:1 gene:ORUFI03G26870 transcript:ORUFI03G26870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRWAASSPTSVPVSPRSETPAAAPTTGAHPKTPARPSCSKHRAPVPSPRQAATSSRRRGKKEAETPAAVPAAGAHPQDAAATHTLIQVTQNELQSEIKNMFLEKEEKKSSEKDLGEVDNLSTNNKQRTETTPGHQERMFSSSPVAGKDTSDELVHGVPRESLQELALGAGVVDDTDARVDGSADVLVPEGGGGGCAQXWSAAASSATARGWRRLRRMTASTALASADIAQARPASLAAAAAAGFEESGRGGGQRWKPAV >ORUFI03G26880.1 pep chromosome:OR_W1943:3:21946218:21946499:-1 gene:ORUFI03G26880 transcript:ORUFI03G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLRHPRAVADDAAADHPLVSSLKALRRLVFSPAAVLRPFLYAVRSEDAGAAVTSASLAALHEVMALMGPSLTSAALREWACRQLPVRGRG >ORUFI03G26890.1 pep chromosome:OR_W1943:3:21961957:21966532:1 gene:ORUFI03G26890 transcript:ORUFI03G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTGKMPARVKRIRFADSQNECEVVLPQTLASGGASSSREPPETKGHNLTCCSAALAAQACRALLAVHHEKLEEIGLEAVVRTVQESITDELGIHKKARLSAKQLIEVIKSQKDDP >ORUFI03G26900.1 pep chromosome:OR_W1943:3:21966551:21967539:1 gene:ORUFI03G26900 transcript:ORUFI03G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRSKLLVPTTDFYVPKGDVRVASDLDRVAAIDWSKTVFRALSDSIRCWRQNPASSIASCVVFLVMFTKDIVDKLVAADQEASRDGMPPFGNLPLRPLESTCYANKPADRGKGPMVEDIRAAAYTFPNMSTILGPHHPYPCTFIYDQTEPQTRPYPQDMWKYGSALQQRPEDRSL >ORUFI03G26910.1 pep chromosome:OR_W1943:3:21976755:21978336:1 gene:ORUFI03G26910 transcript:ORUFI03G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDVSLSVQLRDFLLTNGGRMDSVKLLEIDSSVAYGYDVLKSFSNGNLTEGLFIDPFSSILFKEDMRNRPDTFGKRIFIPTSVSVTRVDIMDSNNYLLIGTLESDHHRALSKRIVKGLSDALQEVAPKSFCRFGGFRRNMMKCPKMQICSNDCAFYIVRFMEAYDGNRESIETLSIPTNSSLVRSSILHQLMFSEYNQAAPLHPDIEMFRQSDVVDPVA >ORUFI03G26920.1 pep chromosome:OR_W1943:3:21995148:21995363:-1 gene:ORUFI03G26920 transcript:ORUFI03G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKQETESTKTHFVDPTHNPTTDATLQQDEHESDLPEEFGVTSPPPLSPPPYPLSPSMEDDGMIYAEDLG >ORUFI03G26930.1 pep chromosome:OR_W1943:3:21997683:21998084:-1 gene:ORUFI03G26930 transcript:ORUFI03G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSEKRRKENEDEQEKEDYQIDGVDARRTEETLHCSITGIPFFSLFVSLRGEKKQEAPGSGPPHHWCGYGAGNDQSSHPFDGIVAHLDGSDCTSHRMEEVNRTPGCGLLSAAVDSVISALSRTSTTIGRAGD >ORUFI03G26940.1 pep chromosome:OR_W1943:3:22035839:22036189:1 gene:ORUFI03G26940 transcript:ORUFI03G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEIARARGAWLCVGKEEETLPAIYRRQEAVADGSSQRRRATWPWWQRLGKGEHGAGLVHSLAGQGGVHTRRLQWSWAAEKEIHGTTTTCRGRQDGGGGRRTTGDGAMPWAAARP >ORUFI03G26950.1 pep chromosome:OR_W1943:3:22050794:22052199:-1 gene:ORUFI03G26950 transcript:ORUFI03G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSSFPTATATATASFPNWVMLERFVFRRDDDKSFPDDTKATLRASGSGSHKTPFTIAFRIADPPAISRLYVQWPQGPNPEEMVACHLVATHRNLVLVCFCYIVECPVPACPQDYFIFTASGDDPVSSPLLKALPPCTYQPKGGEPLPCTYQPEGGFPPSDVEGDGNLRYPLEFRSVGILCQGEEFAVAELQVLRNINANVKARLCVLRSAISSKGEDGDGGGRWDIMELPIVYGSGEEYWDIFYWTTDTVIAFQNYLCWVDYDRGMLFCDVLQKRPGIAFIRFPLDSFPNGRSRRHFSQCDGSGALKFADVNRLDSKLLGSLEPGRGYTITCHTLRTLGLDVGAIEWSKDFAITSKEIWSFKGPELVPHEVLLFLTVSMEMPNVMHFLTCDYEHVIRKMSVVTIDLASKTVLSVIPYVNGQEDLSGEDADMVRAKSSYPQSFLPSEFSKYFNSI >ORUFI03G26960.1 pep chromosome:OR_W1943:3:22052753:22054337:1 gene:ORUFI03G26960 transcript:ORUFI03G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMSDGSSSSRQWKSSPVPYRVGPLDYQPAVMCQCRCPAKTARWLSWSTDNPRVVVSGWGAWCDGPTSSFIRELLNDLRDMVNSLRRWKELLQKEVEDSRAKGERQRREIDYVRAMVAVKKEEIRSLKARNQKLEKEKKILKTYEHCLLPVEGMNSCPEDDREALKAPGYVKMPGRPRTETRREANEPAKPTKASRMGTVMRCKKCKQVGHNKTSCDRHHAVARGSTNTAPQQDNNLVLSVEIMDTPYPHGVDIRLGMGVPYTDRIYLVKDSIVMLTCVSRKYPIVLIGYDYNLSLHA >ORUFI03G26970.1 pep chromosome:OR_W1943:3:22058554:22059297:1 gene:ORUFI03G26970 transcript:ORUFI03G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGQGSAGYSNSVTVVGGGAGGGGEAGDGGHGRWFRRTPVSAAAMERRRYGGGKLEVSRRHSFVVRPRASVCGRVARAGRHELSASVHGIGARQQELARLGSAWLGLAV >ORUFI03G26980.1 pep chromosome:OR_W1943:3:22060987:22062415:-1 gene:ORUFI03G26980 transcript:ORUFI03G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSEGSSASSRRTSASPIPYRVGPLEYEPAVVCRYNNKAARWISWIPDNPGCRYFKCVNARSGCCDYFAWVDGPSNSFVREVLNDLRDEVWKLRREKGDFSAAVEEGRCVQLELVLARNELATSRKVVGEKEAVVGVLKDRNSRLKFEICVMLLVDLGLVVVVFAMLMDSHGGSMRIDPGVLAFLDGAGPVDVFFFAGS >ORUFI03G26990.1 pep chromosome:OR_W1943:3:22088359:22094289:1 gene:ORUFI03G26990 transcript:ORUFI03G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPPDGGGDRPWESYHTAYTNAKAGMEGVDKEKVQKVIYEMSKGSKYFENEQRKEAITKQKIDHLRAQCAKLTDNDISHFQKVAEHKILELEASRDLSKIWLHTDMDAFYATVEILENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPELVFVRPNFERYTYYSELTRKVFQRYDPNFVATSLDEAYLNITKVCFDRGITGEEVATELRGAIHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDQEAVTTFVSTLPIRKIGGIGKVTEQMLRQVLGISTCQEMLQKASFLCALFSESSADFFISVGLGLGGTETPEQRLRKSISCERTFRATDDCSMLFEKLDSLADNLADDMQKECLKGRTLTLKLKTAAFEVRTRAVTTQNYINSKEDILIYARKLLKAELPLSLRLMGLRMSQLRDEKDDSSTQTQKTLDRFFRTPDNSNVIGANSPSIGNTTGGDNYCTNVMTKVDYLEHDSMDDQALFLHEKNLFVPEGRSSDNYNNDAASSNPLMCDGVGGKELDDDSSSSKGTHTVKFDGQLTSSNATTSSSKPDQLFWINGYICSICGFELPPGFEEERQEHSDFHLAEMLQQEEAVDSTGPLSKERLAERPCSSTPTPKKKLKSSKEGKHIPIDAFFHKVNKNL >ORUFI03G26990.2 pep chromosome:OR_W1943:3:22088359:22094289:1 gene:ORUFI03G26990 transcript:ORUFI03G26990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPPDGGGDRPWESYHTAYTNAKAGMEGVDKEKVQKVIYEMSKGSKYFENEQRKEAITKQKIDHLRAQCAKLTDNDISHFQKVAEHKILELEASRDLSKIWLHTDMDAFYATVEILENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPELVFVRPNFERYTYYSELTRKVFQRYDPNFVATSLDEAYLNITKVCFDRGITGEEVATELRGAIHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDQEAVTTFVSTLPIRKIGGIGKVTEQMLRQVLGISTCQEMLQKASFLCALFSESSADFFISVGLGLGGTETPEQRLRKSISCERTFRATDDCSMLFEKLDSLADNLADDMQKECLKGRTLTLKLKTAAFEVRTRAVTTQNYINSKEDILIYARKLLKAELPLSLRLMGLRMSQLRDEKDDSSTQTQKTLDRFFRTPDNSNVIGANSPSIEGRSSDNYNNDAASSNPLMCDGVGGKELDDDSSSSKGTHTVKFDGQLTSSNATTSSSKPDQLFWINGYICSICGFELPPGFEEERQEHSDFHLAEMLQQEEAVDSTGPLSKERLAERPCSSTPTPKKKLKSSKEGKHIPIDAFFHKVNKNL >ORUFI03G26990.3 pep chromosome:OR_W1943:3:22088359:22094289:1 gene:ORUFI03G26990 transcript:ORUFI03G26990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPPDGGGDRPWESYHTAYTNAKAGMEGVDKEKVQKVIYEMSKGSKYFENEQRKEAITKQKIDHLRAQCAKLTDNDISHFQKVAEHKILELEASRDLSKIWLHTDMDAFYATVEILENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPELVFVRPNFERYTYYSELTRKVFQRYDPNFVATSLDEAYLNITKVCFDRGITGEEVATELRGAIHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDQEAVTTFVSTLPIRKIGGIGKVTEQMLRQVLGISTCQEMLQKASFLCALFSESSADFFISVGLGLGGTETPEQRLRKSISCERTFRATDDCSMLFEKLDSLADNLADDMQKECLKGRTLTLKLKTAAFEVRTRAVTTQNYINSKEDILIYARKLLKAELPLSLRLMGLRMSQLRDEKDDSSTQTQKTLDRFFRTPDNSNVIGANSPSIGNTTGGDNYCTNVMTKVDYLEHDSMDDQALFLHEKNLFVPEGRSSDNYNNDAASSNPLMCDGVGGKELDDDSSSSKGTHTVKFDGQLTSSNATTSSSKPDQLFWINGYICSICGFELPPGFEEERQEHSDFHLAEMLQQEEAVDSTGPLSKERLAERPCSSTPTPKKKLKSSKEGKHIPIDAFFHKVNKNL >ORUFI03G27000.1 pep chromosome:OR_W1943:3:22095042:22100726:1 gene:ORUFI03G27000 transcript:ORUFI03G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGVNGCCPLRLPAAAAVHGRRIPPLLPPRGAWPGCIAAPALHRKPGRGGGGALSSCRRASHHEKLQVAALPSKATLEFEHGVSLRSAYIVPEDVQAAGFQIDADELASIVESRDTKKLTVHGQLNGIADKLGTSLTNGIVTDKDLLNQRQDIYGVNKFAETEIRSFWEFVWEALEDTTLIILSACAIFSLVVGITTEGWPQGAHDGVGIVASILLVVSVTGTSNYQQSLQFRDLDKEKRKILVQVTRNGLRQRVLIDDLLPGDAVHLAVGDQVPADGLFISGFSVLVDESSLTGESEPVFVNEDNPYLLSGTKVLDGSCKMLVTAVGMRTQWGKLMAVLTDGGDDETPLQTRLNGVANTIGKIGLFFAVLTFIVLSQGIIGQKYLDGLLLSWSGDDVLEILDHFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRQLAACETMGSATVICSDKTGTLTTNRMTVVKACICGNTIQVNNPQTPNMSSNFPEVAVETLLESIFNNTSGEVVTNQDGKYQILGTPTETALLEFALLLDGDCKEKQLGSKIVKVEPFNSTKKRMSTILELPGGGYRAHCKGASEIVLAACDKFIDERGCIVPLDDKTSSKLNDIIKAFSSEALRTLCLAYREMEEGFSTQEQIPLQGYTCIGIVGIKDPVRPGVRQSVATCRSAGISVRMITGDNIDTAKAIARECGILTKDGIAIEGAEFREKSAEELHDLIPKMQVLARSSPLDKHTLVKHLRTAFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFTSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPNNNLMKKAPVGRKGKFITNVMWRNIVGQSLYQFAVMWYLQTQGKHLFGLEGYHADIVLNTIIFNTFVFCQVFNEISSREMEDINVLRGMAGNSIFLGVLTGTIFFQFILVQFLGDFANTTPLTQQQWLISILFGFLGMPIAAAIKLIAVEPHEKADTRRTP >ORUFI03G27010.1 pep chromosome:OR_W1943:3:22105053:22105331:1 gene:ORUFI03G27010 transcript:ORUFI03G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTVDTNLVVMTHDVAVDWMSIESSPSMPSCRRITLPKILDGSRRKGENRMDIPESITWELIKPPAGGRTALPPRPYDSMDHVGVSASPPN >ORUFI03G27020.1 pep chromosome:OR_W1943:3:22106965:22114182:-1 gene:ORUFI03G27020 transcript:ORUFI03G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARRRRRTAAEVDGEAVPSSAADLLALAATLFPAAADAPLRDPPHLKHLVHSLPDSHPVLLSLPGALAPPLSDGGAPGYPPRAAAVLLHLLLTHPSHPPRWGDLLPPLARLHDRLAQLATDDPPLAALAVACFELAWRAAAPGREAVVAQTLPYLFAEALSCGSATARPVLRRLLALRDALALLDYDDDDSISDFKMLLLRCFVSPLFLKAEEGRKLLSLVLGVSEGLAREGLELIRAQVGMPGVKRAALVAYGEVVFRAWKDGGWVRGEVGEAFLQGMLEGAVHARSKELAKAARKLLSAFVEQRMVAGVEKLIFQLAEPVLFRDSNVANSNVRHNSLHLLLDLFPLEDPDVTKDVNDPLLEKQYFLLDKLLMDDCPEIRTVAIEGLCRILNQFWEVIPSLTISKFLSKIVDDMSKDSCTEVRVSTINGLIYLLDNPQSHEILKVLLPRLSDMVSDPALSVRSSAVDLLLAIRDLRSFQFNKVVGLGTLLSSLSNDHPRVAQKITKLLIPSYFPTKLPLKEACARCIALIKRSPTAGARFCEFALSEGSPPRSLVELIKVSITLALAPTGMNSEQTDGLVIASANLIKSLSEERSSLASLREFFANAKLKLLFKTEISEGARSALLSMAPVVSPDDLSALHDECMNVVMNAAGVSTQQGCQEAVLAAHKLVFSSGWSDEMFEALTNILQSKVSCFAEIYDIEPPICPVATSKRKKGKSLKKTPAKSGHDIGNGSSSEDFDIVAGASWQINDILKDEEKRVAFLQSSYSDVAFSSLKVICQVYIEQCLQFDSLNATPLLAYLSLATHSALQDIDQTDISTSESTTINHSLDHLLNCFDKLLNESVTGSTNSLKLKQNKKSARQKHHHGVPEGNALRGTVNVYMLGTSILKFIVDTITIKLISDNKVGCLNFALSFTKYASSAIKMHQEQSSSFKGNDLKDILMLIRSSFTYAAKLLHLVLANSIESQSPPEEAFFLANNLLDLVPSVESAAGSKFALSLVSVVKQWLPVVIMGLGCRWLIGPQAEGNMCDFGGSCLPLWVVALAKNELLDDEKPRDDDQSEQASEDSQSSRKLAEMMVILLKKGSPKILDSVAGVFLSTLKLALQRAEYGVVLGLTRFVCVRLLGSDSSASEKLHLAHDSLRENFFEIDKHVMDDLVDSEESRQQLESAKALIRSILSDV >ORUFI03G27030.1 pep chromosome:OR_W1943:3:22123339:22139378:-1 gene:ORUFI03G27030 transcript:ORUFI03G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKSKTRRDLVPDGAEGGGRGLGGEVGGVDLAWRRKRRGVARGLPHPDLEVYFFHENGRFDLRSTAWLAARGVQRAASAGSQAAREVTARPRLLLRLLISSHRKKSLGFGRCCSSPPHPPPPGVGAGSPPSPAPSVAPPQIRRLPAFSSTVKSSFSPLSPSSSSVFFLTPSPLRFSPSSSPLELLCEKLYNSRDSAERAHAESILKCFSENSDYISQCQYILDNASTPYALMLASSSLLNQVNDRSLSLQLRLDIRNYVINYLATRGPKLQTFVIKSLIQLVCRITKFGWFDDDKFRDIVKEAADFLSLASQDHYFIGLKILYHLVGEMNQANAMPLTLHRKIACSFKDQFLLQIFQISLTSLHQLKSEVPDDFRRDPLLLALRCLSYDFVGCPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTCVSKEALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTTKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGDTPSMLDETVPKITEGFITSRINSVQASFANDSSDDTLDNVDVLQEQLESLPYLCRFQYQNSSIYIINIMEPLLQAYMERSRLPAPGDANELSVIEGQLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQQLYARLSELLGLNDHLVLLNVIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESTKFIIANHSRETFPFLEEYRCARSRTTFYYILGCLIFMEDTPVKFRSFMEPLLQVAVNLEATADAAFRTDVVNRRTYGLLFDWLYPSRMPLLLKAISLYADEPEVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSPLCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDILAFKKLSKAFYGYIEVLFSNHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQCASAIDSLAAFYFNNFTAADGPPSPAALNLARHIGEFPTLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSNLRAQILASQPVDQQQRLSQCFDKLMTDVATNLEPKNRDKFTQNLTTFRHDFRVKNIQA >ORUFI03G27030.2 pep chromosome:OR_W1943:3:22123339:22136501:-1 gene:ORUFI03G27030 transcript:ORUFI03G27030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLELLCEKLYNSRDSAERAHAESILKCFSENSDYISQCQYILDNASTPYALMLASSSLLNQVNDRSLSLQLRLDIRNYVINYLATRGPKLQTFVIKSLIQLVCRITKFGWFDDDKFRDIVKEAADFLSLASQDHYFIGLKILYHLVGEMNQANAMPLTLHRKIACSFKDQFLLQIFQISLTSLHQLKSEVPDDFRRDPLLLALRCLSYDFVGCPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTCVSKEALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTTKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGDTPSMLDETVPKITEGFITSRINSVQASFANDSSDDTLDNVDVLQEQLESLPYLCRFQYQNSSIYIINIMEPLLQAYMERSRLPAPGDANELSVIEGQLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQQLYARLSELLGLNDHLVLLNVIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESTKFIIANHSRETFPFLEEYRCARSRTTFYYILGCLIFMEDTPVKFRSFMEPLLQVAVNLEATADAAFRTDVVNRRTYGLLFDWLYPSRMPLLLKAISLYADEPEVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSPLCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDILAFKKLSKAFYGYIEVLFSNHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQCASAIDSLAAFYFNNFTAADGPPSPAALNLARHIGEFPTLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSNLRAQILASQPVDQQQRLSQCFDKLMTDVATNLEPKNRDKFTQNLTTFRHDFRVKNIQA >ORUFI03G27030.3 pep chromosome:OR_W1943:3:22136553:22139378:-1 gene:ORUFI03G27030 transcript:ORUFI03G27030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKSKTRRDLVPDGAEGGGRGLGGEVGGVDLAWRRKRRGVARGLPHPDLEVYFFHENGRFDLRSTAWLAARGVQRAASAGSQAAREVTARPRLLLRLLISSHRKKSLGFGRCCSSPPHPPPPGVGAGSPPSPAPSVAPPQIRRLPAFSSTVKSSFSPLSPSSSSVFFLTPSPLRFSPSSSPNLVAY >ORUFI03G27040.1 pep chromosome:OR_W1943:3:22170021:22171496:-1 gene:ORUFI03G27040 transcript:ORUFI03G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDEYSAGCSFSLMCQEDSTDLDDDGGGGGCFAGDGRADLLLVYNAAAAADDEDEEEVEEYMDHLVSKESSFCSSSSSTSSSSCCFSDAGGESAAAAAPMDWFALARRATVKWILETRGCFGFCHRTAYLAIAYFDRFCLRRCIDRSVMPWAARLLAVACVSLAAKMEEYRAPALSEFRAGVGDDGYEFSCVCIRRMELLVLSTLDWRMAAVTPFDYLPCLSSRLRRHVGGGGGAGASAALIFSAAEAASVLDHRPSTVAAAAVLAATHGALTREALESKMSGLSPSFLLDKEDVFACYSAMLSQPTSPASKSTTTTTGKRSSSSSCSESTDAASSYDATAASFPAAASCGSKRMRLELPGGILR >ORUFI03G27050.1 pep chromosome:OR_W1943:3:22176392:22176799:1 gene:ORUFI03G27050 transcript:ORUFI03G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTTTGVDPFLADLSRSPHPGHRALPPVPGKDEDGGSCNRGRRPEQSGTMVAATTEDGAAAGVIAARGHSGGTAAGDGLMNKVAQLMDGVDGARSGKETVRERRERERGKIRGPTHGAHDWQGNFGWPTLASA >ORUFI03G27060.1 pep chromosome:OR_W1943:3:22183750:22184163:-1 gene:ORUFI03G27060 transcript:ORUFI03G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFGEQEEGCDDGDNEGESGGQRPRPCQARSASPPWSPHLAKIAEPSDSFASASSSSSYTSSSLSSSAKSTRRRISLRDLLLSGTANSNSAIAAGAAAAAERSSGFWHLSFWPSSRSKKTTMLALPYSCPFPPPL >ORUFI03G27070.1 pep chromosome:OR_W1943:3:22192609:22194005:-1 gene:ORUFI03G27070 transcript:ORUFI03G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGVIAEAGWSSLDMSSQAEESEMMAQLLGTCFPSNGEDDHHQELPWSVDTPSAYYLHCNGGSSSAYSSTTSSNSASGSFTLIAPRSEYEGYYVSDSNEAALGISIQEQGAAQFMDAILNRNGDPGFDDLADSSVNLLDSIGASNKRKIQEQGRLDDQTKSRKSAKKAGSKRGKKAAQCEGEDGSIAVTNRQSLSCCTSENDSIGSQESPVAAKSNGKAQSGHRSATDPQSLYARKRRERINERLKILQNLVPNGTKVDISTMLEEAMHYVKFLQLQIKLLSSDEMWMYAPIAYNGMNIGIDLNLSQH >ORUFI03G27080.1 pep chromosome:OR_W1943:3:22197838:22201367:-1 gene:ORUFI03G27080 transcript:ORUFI03G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTALGGRAPARLGLAPKDGVFGSNLKQCGGFMLKTTPKVGSSSVRVRASVASSPQKQHSPKTSGVKSGEEIVRLLANHPQFRIKVMTADRKAGEQFGSVFPHLITQDLPNLVAVKDADFSNVDAVFCCLPHGTTQEIIKGLPQELKIVDLSADFRLRDINEYAEWYGHSHRAPELQQEAVYGLTEVLRNEIRNARLVANPGCYPTSIQLPLVPLIKAKLIKVSNIIIDAKSGVSGAGRGAKEANLYTEIAEGIHAYGIKGHRHVPEIEQGLSEAAESKVTISFTPNLICMKRGMQSTMFVEMAPGVTANDLYQHLKSTYEGEEFVKLLNGSSVPHTRHVVGSNYCFMNVFEDRIPGRAIIISVIDNLVKGASGQAVQNLNLMMGLPENTGLQYQPLFP >ORUFI03G27090.1 pep chromosome:OR_W1943:3:22204940:22205996:1 gene:ORUFI03G27090 transcript:ORUFI03G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLTFSPPDHELITKFLRPKIADDTIKLPFIHDADVYSAAPPDLAARHVPARGTDRGDGAGAVYYFFCPVHRGGGGVGARRRQRAAVLDAGGRRVGHLRRLSYGVRERGSGRRLTRLGWCMTEFGVDHGGGGGGEADAGGLVLCKMYRSPRAAQVEARLQAAAASTSGSKRKQAADDLIHAPASSRHRHADVMPAGVNGDEVGSIHPSVQFPPPPEEQTLVQTRDGPRTDHEVIMALAMGATVDELLGPKHGEPGESSPFPAPAAEPCSISGGGDIFWTASGVVPCPDMAMAFPAPPAGEFSWDKELAWIRELLSGSRPSSCSAV >ORUFI03G27100.1 pep chromosome:OR_W1943:3:22209679:22211385:-1 gene:ORUFI03G27100 transcript:ORUFI03G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSMDQAFVQAPEHRPKASVAEADGIPVIDLSPLLAAGDGDADGVDALAAEVGRASRDWGFFVVVRHGVPAEAVARAAEAQRTFFALPPERRAAVARSEAAPMGYYASEHTKNVRDWKEVFDLVPRQTPPPPTTAVADGDLVFDNKWPDDLPGFREAMEEYGEAVEELAFKLLELIARSLGLRPDRLHGFFKDDQTTFIRLNHYPPCPSPDLALGVGRHKDAGALTVLYQDDVGGLDVRRRSDGEWVRVRPVPHSFIINVGDIIQVWSNDRYESAEHRVAVNVEKERFSIPFFFNPAGHTMVEPLEEVVSDESPARYNPYNWGEFFSTRKNSNFKKLDVENVQITHFRKN >ORUFI03G27110.1 pep chromosome:OR_W1943:3:22221388:22221756:-1 gene:ORUFI03G27110 transcript:ORUFI03G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSLTHKALEARARPKSPDNKQAGPTSWTNTSHPPKDSTTKVWRQTGQQKGGASRKARRSSLLEEELKVEDRATETSESSMFPTRSKKSFVAEATSPPRTAGLCRKAPLATATTTTNAEKS >ORUFI03G27120.1 pep chromosome:OR_W1943:3:22223171:22224311:-1 gene:ORUFI03G27120 transcript:ORUFI03G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATPYGAVSLLGGVIMAFFFIAINLQVKAVFWFPDGRWRHFTSYPSWGHRLGEPYPCIDYCWRTPVSARSIRFLGFGEAFSFLAPFLYWWHPASSFVSVRWSQSCYVVGAAAAVAVMARDMSASGVSEIESRRWLMPDGDDHSVRRHGVPGGVAAAGVVQAGPALKPI >ORUFI03G27130.1 pep chromosome:OR_W1943:3:22224420:22224908:-1 gene:ORUFI03G27130 transcript:ORUFI03G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRNHGDASSLARGRRGGAWRARRREARSTAREAGDPAPRWPDPVLPRPDLAARCRATAPSWARSAAAEGRWRRQQRRSEGRTATTAAEGGPCFDDDSGARAALRWWRCSSRAVLRRRRWREGRVPARGGLPPVGGVLLPLSARPGGVRGEELWGGGLG >ORUFI03G27140.1 pep chromosome:OR_W1943:3:22230700:22231734:-1 gene:ORUFI03G27140 transcript:ORUFI03G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLHNICIVVCSLLNIRLVIDIGDTTIQVPLNGPTVVQNIGRQAAAAVAGDSSAGGVSEKTGGAGGGGGEEWMQEQDGLLCMRGWLMAVATLFAAMARRCGRRGGCLPRPRDWFAALLAADPAAAAVTRDQAGKAMLYLIVNTCTFATSLAVLPDAVRRRRRLRVEEGHRVADHQHDDGRRAVRRGDVRALRRRRLPAHGPFVGTVVAAVTVVVVRCNLALPFRGGDAGHGCSWVSRL >ORUFI03G27150.1 pep chromosome:OR_W1943:3:22233308:22233832:-1 gene:ORUFI03G27150 transcript:ORUFI03G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQVMEAALQQLPASMASGSLLLPPACLQHPLPAAAAASGGVGGSSREQCPRCASHDTKFCYYNNYNTMFP >ORUFI03G27160.1 pep chromosome:OR_W1943:3:22239978:22240355:1 gene:ORUFI03G27160 transcript:ORUFI03G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGEAKDKHITASREAIISVVESPETRTLDVGPSAVPASHIGDSGMVDEGSRGEVAQRQVRSEHDLQHRHIPLEERMEWDLLAGEGIHDLGSLTIVEGEPTIAGERIVASLWWRAMEIREDTH >ORUFI03G27170.1 pep chromosome:OR_W1943:3:22240853:22246306:1 gene:ORUFI03G27170 transcript:ORUFI03G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKDDAVEEKGERARMAAFIGAMAIADLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGYRMAVECARNALLERTMDNKENIDKFRSDLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLEAIQILKIPGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGDIASTFDNPESVKLGHCNVIEEIMIGEDKLIHFSGVAMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLFGGGWPEMVMAKAVDDLARKTPGKKSHAIDAFSRALQAIPTIIADNAGLDSAELISQLRAEHHKENCTAGIDVITGSVGDMQKRGISEAFKVKQAILLSATEAAEMILRVDEIITCAPRRREDRM >ORUFI03G27180.1 pep chromosome:OR_W1943:3:22248863:22251843:1 gene:ORUFI03G27180 transcript:ORUFI03G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSCMKKSCVCCQKYLEHLDGKMNCFVRRMTADSRRSMIMPCKFVNHFGGDFSGTIKLQSPNGILYVVEVTKCKNKTVLRCGWEAFVDAHHIEENDSLLFRRVENSRFEVLIFDSDDCEKERTVPLNMNLLSQVISFCYAEVHFPHKSVTVTLQRPCKSKKWHPRFYKRKDARMNILRGSWVEFVKDNRVQEQDICVFVPTKDARRNFTFTVHLLRVAAAYSRGGTGVDRAGSSLGRTDVKSASEISIKEEPIDQEENVSSRNRNGVSDESEEDEDSEGPAHPPYIVPCKSRLSRLQKKIVEEKVRSFQSKFPVYVAIMKKSNVERSASRCQLELGARFAAAVHLPDRRQTVVLQRRGERWATVMQIRSGTRRLLISGWHRFVRDNRLRVGDICLFEFKTHERWRLTMAVHAIFREQCC >ORUFI03G27190.1 pep chromosome:OR_W1943:3:22252714:22266238:1 gene:ORUFI03G27190 transcript:ORUFI03G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPRRKSCSALGASNGDALGHRNTHRKKSCACCKEYLEHLGGKMRCFLRRMAADSMHSMIMPDRFVSHFGGKIPGTIKLESPNGILYVVEVTECMNKTLLQCGWEAFVDAHNIKEGESLLFRHIENSRYEVLILDSDDCEKVFSCAGIRNGSCVQDKTVDPVDSSGSSSNDTTQSSRSRNTENLTAMCSSSEKSGEDSPSGYEFHESVEPQTPSGSDYVLSRRTYLSEAQKERVVTHIQDIQPEITVFVAVMKKCNLQSPAPYLVISSRYASVHFPRETATITLQRPSKRKKWYPRFYKRIDKSDHMLRGQWQNFVHDNCLQEEDICLFVPTKGGRNFAFTVHLLQAEVTHSRDGTDVHKIGSSQNKRNSKMASQVHIKEAPGGDVSSESNKHGVSHESLESEDSDGPSEPPYISSMRRRLSQLQKKTVEEKVRAIQSEIPICVATISKLAGSGGKGKFRGLELSSRYAASYLPDKNHQTLVLQCKGMIWQINLVVRRRYTKGKRWFLTAGWRKFAHDNRLRVGDFCLFELKKKKKLTMEVHIISNLQLHMAGGGSRMKKSCACCKRYLEHLGGKMSCFLIRMTTDSMHSMIIPDRFVNHFGGKIPGTIKLESPNGILYVVEVTECMNKTVLQCGWEAFVDAHHIKVGDSLLFRHIENSCFEVMILDSDGCERVFSCAGIKTSSCVHDKTVDPVDTSGRSSDDTAQPSRSERFARCQRDTSNDRRNTASLTAVSSSSEESENVSLKSNRNGVSDESQESEDSEGPAGPPYILSWKSKSRLSSLQKKIIKEKVRSIQSEVPIYVAIMNKSNIGLTSSPCQLELGARYAAAVHLPDRRQAVVLQRMGQRWDTVMQTKSGRCTTRRFLINGWSRFVRDNRLCVGDICLLELKKHESKLTMTVHTIFSQQS >ORUFI03G27200.1 pep chromosome:OR_W1943:3:22277505:22292682:1 gene:ORUFI03G27200 transcript:ORUFI03G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGPTYSPVFPFLLTSFFFPSYCHSPGRRWRRRGRQRAGEAVGGGRSCLAAQEGAETGLNELARPRGRMLIALRTTSLKQRYIRIPEFLMQFTCMAGQGSQKKKSCDWSKRYVDHLNGKMKCFHLQMSANFGHSMTIPNKFLDHFGGTLSRTIELVSPKGIMYIVKVTEHMNKTILQCGWEAFVDAHHIEENDSLLFRHIENSRFEVLILDSDGCEKVFTCAGIKKTSSVQERNAAPVDISRSTHDETTQSSGSKKFVRCQRASDSQRGKTAKLAETSSSGESGEEGTDSSTSEDESSYELDDPQMPPGRNYVLSRWTSLSEAQEEKVDMLVQDIQPEIPVFVAIMKHSNVNSRRACLVIPKRYASAHFPLESQTITLQRQGKNKKWYPMFYIRKDGSGYMLYGCWKNFVRDNHVKEGDMCIFHLTKFTGGEFGATVHLLRETKSGSLGSFHTSHKRFDLRDGRTWPKVTGVRRVSSRPYLTADRVSLTEEQVRKVEEVVHSIQSEGPMYVSIMNKSNVGTDGLYIIIFGRQFATRYLPEGEQTLTLLMTGKSNAWQVKMRPRSGDAQMITTGWRHFVHDNHLQIEDICLFQLMNDETNQISDLASPGASLGLGKLGTHQASSSTSGPEKRNCCSGKSPFKTTIPNPEMKKYCDCCKRYVDHSNGKMKCFHRQMSANFEHSMIIPNKFLDQFGGKISRTVELESPKGNVYVVKVSKHMNKTVLQCGWEAFVDAHQIEENDSLLFRHIENSRRASGVQERNADPIDVSSSTHDDTVQSSGGERFARSESGSDSQHREEAKESSSSEHESSYDLVDPQIAPMPGYVLSRGTNLSQAHEEKLDMLVQEIRPEIPLYVTTMKHSNVNSHHASLVIAKHYACAYFPHTSQTITLKWHGKNRKWHPKFYIRKDQVGYILHGRWIDFVRHNHVKEGDICIFHLKNFNGRKFRATVHLLRETIPHSFGALHIPKRFESRNGRMRLKMTDDRRVSSTECRRGTMEPSTTNVKKEADNEQCNNGQGKRQEPLNFDVSVGSSKPYLTADRVSLTEEQFMKVEENVHSIQSEGPIYVSIMNKSNVGTDGLYIITLGRQFAIRYLPEGEQTLTLLTTGTGKAWQVKMRPRSGDARMFTLGWRDFVRDNRLQTEDICLFQLMKNSERGLAMKVHIIRHNERS >ORUFI03G27200.2 pep chromosome:OR_W1943:3:22277505:22292682:1 gene:ORUFI03G27200 transcript:ORUFI03G27200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGPTYSPVFPFLLTSFFFPSYCHSPGRRWRRRGRQRAGEAVGGGRSCLAAQEGAETGLNELARPRGRMLIALRTTSLKQRYIRIPEFLMQFTCMAGQGSQKKKSCDWSKRYVDHLNGKMKCFHLQMSANFGHSMTIPNKFLDHFGGTLSRTIELVSPKGIMYIVKVTEHMNKTILQCGWEAFVDAHHIEENDSLLFRHIENSRFEVLILDSDGCEKVFTCAGIKKTSSVQERNAAPVDISRSTHDETTQSSGSKKFVRCQRASDSQRGKTAKLAETSSSGESGEEGTDSSTSEDESSYELDDPQMPPGRNYVLSRWTSLSEAQEEKVDMLVQDIQPEIPVFVAIMKHSNVNSRRACLVIPKRYASAHFPLESQTITLQRQGKNKKWYPMFYIRKDGSGYMLYGCWKNFVRDNHVKEGDMCIFHLTKFTGGEFGATVHLLRETKSGSLGSFHTSHKRFDLRDGRTWPKVTGVRRVSSRPYLTADRVSLTEEQVRKVEEVVHSIQSEGPMYVSIMNKSNVGTDGLYIIIFGRQFATRYLPEGEQTLTLLMTGKSNAWQVKMRPRSGDAQMITTGWRHFVHDNHLQIEDICLFQLMNDETNQISDLASPGASLGLGKLGTHQASSSTSGPEKRNCCSGKSPFKTTIPNPEMKKYCDCCKRYVDHSNGKMKCFHRQMSANFEHSMFLDQFGGKISRTVELESPKGNVYVVKVSKHMNKTVLQCGWEAFVDAHQIEENDSLLFRHIENSRRASGVQERNADPIDVSSSTHDDTVQSSGGERFARSESGSDSQHREEAKESSSSEHESSYDLVDPQIAPMPGYVLSRGTNLSQAHEEKLDMLVQEIRPEIPLYVTTMKHSNVNSHHASLVIAKHYACAYFPHTSQTITLKWHGKNRKWHPKFYIRKDQVGYILHGRWIDFVRHNHVKEGDICIFHLKNFNGRKFRATVHLLRETIPHSFGALHIPKRFESRNGRMRLKMTDDRRVSSTECRRGTMEPSTTNVKKEADNEQCNNGQGKRQEPLNFDVSVGSSKPYLTADRVSLTEEQFMKVEENVHSIQSEGPIYVSIMNKSNVGTDGLYIITLGRQFAIRYLPEGEQTLTLLTTGTGKAWQVKMRPRSGDARMFTLGWRDFVRDNRLQTEDICLFQLMKNSERGLAMKVHIIRHNERS >ORUFI03G27210.1 pep chromosome:OR_W1943:3:22294768:22296715:1 gene:ORUFI03G27210 transcript:ORUFI03G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANQEAMAPRTGNIATTNPGCPNWEGAKEVEDTPNKWAPSEEGREKDDASKNNIVSVEELQICDELEADNKEDLE >ORUFI03G27220.1 pep chromosome:OR_W1943:3:22299383:22301351:-1 gene:ORUFI03G27220 transcript:ORUFI03G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRKKQLDSIVRMLHLNQQLQGSPDGVGGGVGSAEEEEAYKILVMDSPCVALLAPVLRVGELRRHGVTLHLNIDKARQQVPDAPAVYLLRPTAANVDRVAADAAAGLYASFHLNFSTCVPRALLERLASATAASRSAHRVARVADQYLDFVCLEEGLFSLAQPRAYVALNDPAAAEADITALVDAIALGLFCVVATLGAVPVIRCARGGPAEMVAAALDARLRDHLIAKPNLFTEAASTAVASFQRPLLCLFDRNFELSVGIQHDWSYRPLVHDVLGLKSNKLKLPEKYDLDDTDPFWVANSWLQFPKVAEEIEAQLAKYKQDVDEVNQRTGGGRDGVEFDGTDLIGNTRHLMNAVNSLPELTERKKMIDKHTNIATALLGHIKGRSLDGYFECENSMLVDGTLDRTKLMNLLRGNGTKEDKLRLAVTYLLSFETPVPSDLEQVEAALRESEVDMSAFQYVKRIKSLNSQFAGASNTASKVNIVDWAEKLYGHSISAMTGVRNLLSDGKQLAVTRAVEALMEGKPNPEVDNYLLFDPRAPKSGTAGQFRGPFREAIVFMIGGGNYIEYRSLTELTQRSQTTKQVIYGATEILNGVEFIQQLSELGQKAGLGGVSSSLPPQ >ORUFI03G27230.1 pep chromosome:OR_W1943:3:22308564:22310265:-1 gene:ORUFI03G27230 transcript:ORUFI03G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQAAVEALVVDIAARVGGGSGSDGEVLVRDVVHDALFPVAAWFCFGERDVRDLQRVLREFELDVVVEGFGGSMLANLVHWWRLRRFVASGRWQAEVFLPLISQRRRTQHRGEHKFRPYVDSLLDLRVPVGDNAAAGEGKEEHRLSHCALTDDEMVGLVSEFLGSGTESARHGVRRVEHPSLPSGSVRVHFILGDIGRDGKAWIDPDEFRPDRFMASGEAEGVSLLPRPKERHGVRRVEHPSLPSGGVRVHFILGDIGRDGKAWIDPDEFRRDRFMASGEAEGVSLLPRPKEGVSLLPRPKEVRMMPFGAGRRYCPSMGLGVAHACLLVAALVREFEWAAAATSGVELMEGER >ORUFI03G27240.1 pep chromosome:OR_W1943:3:22310481:22317616:1 gene:ORUFI03G27240 transcript:ORUFI03G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELPFPANIEVMRHTPRFKLMQAAFHGDLRGLKRQAKILDMGRGRLRKAVEDVRVEGVPGEEGTGVLHMAASHGHMEMCKYLVETLQVDVDDADDKGRTSLLKAVHSGHRGIAKYLLNHDANPDLAMCCGLTPLHSAAGLGDCESVKLLLAKGAYVDPMSTFGTPLHLAAKEGQDGTMKILLDNNADCNKMVNGITPLLLAMKAASAKCMELLVEAGADATYSDVIWNYMSTTFMDDEDSGSSVFSDSEPEEIDANHHIPVNDKPVNRRKIAEFKSLGLEAVEKKDYLSAAGFYSEAMDLDPDDATLLSNRSLCWLYLGEGGKALVDAHECRKMRPDWPKACYRQGAALMLLKDYVSACEALFDGFKLDPEDVEIENALREALEFLKVSQSTSAN >ORUFI03G27250.1 pep chromosome:OR_W1943:3:22322132:22331864:1 gene:ORUFI03G27250 transcript:ORUFI03G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVENKRGNRSWGTDAKRTHPNSIFNQLVRYGYIGTGFPDMNCSLTWSLIGGPSLPSFFPSAHLLLSLALFFFLCIQSNHRMSKSGCERCRGRGFWDTDDQDTYFFKVMIGGFRRQMTIPYKFAENFRDQIQGTIKLKARNGNTCSVLVDKCSNKLVLTKGWAEFANSHDIKMGDFLVFRYTGNSQFEVKIFDPSGCVKAASHITEHASSSEDDQETPTAEVHRMKVEEMVRAIHSNHPVFVAVMKKSNVTRQPCYVAISRKYANEYFPGGDQMLTLQRHGKRWQVKFCISKRKLRMLSKGWRKFTRDNELQGIVDCMKLTRCGQKMRKLNTRSTARDDQEKYFFKVMIGDFHKRMTIPDKFARHFKGVISKTIKLEPRSGYTFDVQVTKKLNILVLGSGWESFVNAHDLNMGDFLVFKYNGDFLLQVLIFDPSGCEKSTSCSMENAIDHVGQGWKEHNDISTSYHDQPKGNKHWMQKDSSSKGNKIGNTRSSNTPSKFSGCILPRGTCLPVVQEKKMKEKIQAIHSKTPMYGNVMTKCNVSGSPCVLEITQLYDDAYLPFNNGQELMLRHRDKSWKVRFYRFKNKSRKLTQASSLYKMRRPGARCREGHAHFNGNHIDGQYKNFFKVMIGRFRERMIIPNEFLQYFRGKIPRTIKLQLRDGCTYDVQVTKNLGKISLQSGWKAFVTAHDLQMGDFLVFSYDGISKLKVLIFGPSGCEKVHSRPTLKNATHCGEKWEEPLHISSNSHDLPVKSPQNVSKSEKQWDSSEQENDTANIEEVALQGDDLQGHPVLNCILPKHTRLTDMQKQQLESKVGAIHSEIPIYGCILRKSRVHGKSQTVDICREYADVYLPFKELNMTLQRHGKNWEVLCRTKDTRTKRLSTGWSRFAQENNLQVGDICLFELLKKKEYSMNVHIIPKK >ORUFI03G27260.1 pep chromosome:OR_W1943:3:22332666:22333060:-1 gene:ORUFI03G27260 transcript:ORUFI03G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGDGFGFGPFQAGPTPARSVGKWRAPSFIREYGQLMRLPPCISLDEALRLHTPPAHRTQMTAAGEGDRRKKKLWRRRRKVASFLDFSPLR >ORUFI03G27270.1 pep chromosome:OR_W1943:3:22336525:22343160:-1 gene:ORUFI03G27270 transcript:ORUFI03G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFVGGAVPSSTTSDPLAAITNTNGLGFTNRRGKGRAKSLCVVRRNDEDHLRMTAMHPSVHPAICLCAQEQKDERNKKDRERRKRKKEESQVLNKSATNSGVAPLGKLSNISAADLMTCQLEVNDSSTLHKERSDASHLNITPRRLPFTIINNVAHYGPNEVPMSCVIQTTQNRDVKLRNATLTPEQKQAKVDRQRTRRQALTNEQRLEMNDRRRVARQTLPDVEIHDMNARQRSRRQSVTPGERSAHLARHNELYATRRDKPCAQSIALECPEDCSSSFLNPTPSFETTGDVPATSSLQTELAADHLARSSNFDDVNAMRLF >ORUFI03G27270.2 pep chromosome:OR_W1943:3:22336525:22343160:-1 gene:ORUFI03G27270 transcript:ORUFI03G27270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFVGGAVPSSTTSDPLAAITNTNGLGFTNRRGKGRAKSLCVKRRRVSPALKKTCDNSEQNTPIASNVVHPIVMPNSQPFADDRDASFSTPSNLPMCTGAHGDVTNLTHAEVVRKRARNWYASLTQEQKDERNKKDRERRKRKKEESQVLNKSATNSGVAPLGKLSNISAADLMTCQLEVNDSSTLHKERSDASHLNITPRRLPFTIINNVAHYGPNEVPMSCVIQTTQNRDVKLRNATLTPEQKQAKVDRQRTRRQALTNEQRLEMNDRRRVARQTLPDVEIHDMNARQRSRRQSVTPGERSAHLARHNELYATRRDKPCAQSIALECPEDCSSSFLNPTPSFETTGDVPATSSLQTELAADHLARSSNFDDVNAMRLF >ORUFI03G27270.3 pep chromosome:OR_W1943:3:22336525:22343160:-1 gene:ORUFI03G27270 transcript:ORUFI03G27270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFVGGAVPSSTTSDPLAAITNTNGLGFTNRRGKGRAKSLCVVRRNDEDQPFADDRDASFSTPSNLPMCTGAHGDVTNLTHAEVVRKRARNWYASLTQEQKDERNKKDRERRKRKKEESQVLNKSATNSGVAPLGKLSNISAADLMTCQLEVNDSSTLHKERSDASHLNITPRRLPFTIINNVAHYGPNEVPMSCVIQTTQNRDVKLRNATLTPEQKQAKVDRQRTRRQALTNEQRLEMNDRRRVARQTLPDVEIHDMNARQRSRRQSVTPGERSAHLARHNELYATRRDKPCAQSIALECPEDCSSSFLNPTPSFETTGDVPATSSLQTELAADHLARSSNFDDVNAMRLF >ORUFI03G27270.4 pep chromosome:OR_W1943:3:22336525:22342748:-1 gene:ORUFI03G27270 transcript:ORUFI03G27270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCILLSCLIVSHLRMTAMHPSVHPAICLCAQEQKDERNKKDRERRKRKKEESQVLNKSATNSGVAPLGKLSNISAADLMTCQLEVNDSSTLHKERSDASHLNITPRRLPFTIINNVAHYGPNEVPMSCVIQTTQNRDVKLRNATLTPEQKQAKVDRQRTRRQALTNEQRLEMNDRRRVARQTLPDVEIHDMNARQRSRRQSVTPGERSAHLARHNELYATRRDKPCAQSIALECPEDCSSSFLNPTPSFETTGDVPATSSLQTELAADHLARSSNFDDVNAMRLF >ORUFI03G27270.5 pep chromosome:OR_W1943:3:22342885:22343160:-1 gene:ORUFI03G27270 transcript:ORUFI03G27270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFVGGAVPSSTTSDPLAAITNTNGLGFTNRRGKGRAKSLCVVRRNDEECHRL >ORUFI03G27280.1 pep chromosome:OR_W1943:3:22346825:22347282:1 gene:ORUFI03G27280 transcript:ORUFI03G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGGRCSKEGDDAYFDWNRTNGEDKHFFKVMLGDFHERVGPIPGLSLGHVASCIALCFNSQLKNMPRIRTISQPLDGISTVKETLR >ORUFI03G27290.1 pep chromosome:OR_W1943:3:22350879:22352128:1 gene:ORUFI03G27290 transcript:ORUFI03G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSYDIAMRNSQDEKKKRKQRDISRQGTVKPSEEGLKAELVPGCILPSRTDLTRLQKNILIEKVKAINSETPIYGYVMNNSSINGIPCTVEISKKYADVYLPFEDGTVVLQHHGKSWNVRCCLTKQNSKRFLKGWRQFAGDNKLHLGDICLFDLLKDKKKYVMDVHIIRRK >ORUFI03G27300.1 pep chromosome:OR_W1943:3:22356233:22357631:1 gene:ORUFI03G27300 transcript:ORUFI03G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRALPCVGLVRCGRNRACGAAAARARAQRGGGGESPRACARRRRPRAWGRHASASSRAGGRPRISTSRRCGGGVPRPTSTPAEAGRSSASSSPTPLQQGDCLEVLISVRPIQEVASRRQRLFHADM >ORUFI03G27310.1 pep chromosome:OR_W1943:3:22363753:22370396:1 gene:ORUFI03G27310 transcript:ORUFI03G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLKIGKNCSVCKEWQEHCYWSHMADDCKHFLTYMVGDFTESMIVPSRFANNFNGHISEVVNLKSPSGKTWSIGVAYSDTGELVLRSGWKEFVDANGVQENDCLLFRYSGVSSFDVLIFDPSGCEKASPHFVENRGFGREEKSAGAEGGGRDGDKNGHHQHQLEMTPHKNSSSPCIIEMKWGRNQSRLCIIDMYAAMGIIVVTTNIGTYMHERACLAEQDHREEKKEGDDEDEDEDEDEDEDKDGEDRYYFCRHGGRVTEYNLSKGDKEEISRVPVPVEPGNPVLVKVIHASHLLSSRYSTVGVSPEFAGRYLGPAMAREVVMERGGGGGGGDQWHVRFVRRESSRGFHGTGWRRFARDNGLLAHDVCLFELRLVDGAGAGDRLRRRPRPTMAVHVLRRARTAAFARSGKNTATGAIWPTTTVPARFANNFNGHISEEVNLRSPSGETWSIGVANSDAGELVLQPGWKEFVDGNGIEEGDCLLFRYSGVSSSFDVLIFDPSGCEKASPHFVGSHGFGRAENSAGAEQGGRNGRRTPPIVDGDNGHRHHLEMTLHRNSCRSIPRACKRSLFSDETEAKENDGEDEDVVAAAEGGRYGEYYFSRHGRVAEYNLREEDREEISRVPVPVQPGNPVFVQVIHSSHVRSSKYCIVGVSPEFAGKYLGAVEREVVLERASRGGEWHVPFVHRQNTRGFYGAGWRQFAGDNRLVAHDVCLFELTMVDAAASGGGNRRRRWSRRPTMTVHVLRRVRGRFVLLR >ORUFI03G27320.1 pep chromosome:OR_W1943:3:22368765:22374264:-1 gene:ORUFI03G27320 transcript:ORUFI03G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGAASRCFLAAVRGRAASASRVRAAPAPLPSAPPRRAAFSPFAAAAARPMAAAAMMGSPAAVAARLTGHPSASVRACCELSQDTLFATRSSKSKSRGHQCVQEEETRAAAIAGDLTLMKLFLWSVISRWWRWPLSPSTIGGVRRPFLPPCSAPAEFSALPNPWLPTKCGDAFSQPDGSKMSTSKEEETPLYLKSRQSPSSMPLPSTNSFQPG >ORUFI03G27320.2 pep chromosome:OR_W1943:3:22368765:22374264:-1 gene:ORUFI03G27320 transcript:ORUFI03G27320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGAASRCFLAAVRGRAASASRVRAAPAPLPSAPPRRAAFSPFAAAAARPMAAAAMMGSPAAVAARLTGHPSASVRACCELSQGTHFCRACQDRSSKSKSRGHQCVQEEETRAAAIAGDLTLMKLFLWSVISRWWRWPLSPSTIGGVRRPFLPPCSAPAEFSALPNPWLPTKCGDAFSQPDGSKMSTSKEEETPLYLKSRQSPSSMPLPSTNSFQPG >ORUFI03G27330.1 pep chromosome:OR_W1943:3:22374864:22375340:-1 gene:ORUFI03G27330 transcript:ORUFI03G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIHRERDLDLDGSSKKLAVTTKRKVVAKKRSSQRRSSPIPATLEKIMTGTTVSVRTRVGKLRGGRQLVLWLSAVVVSAAEEGYLTILYTGDFQPPEATMRVARKETKKMPPAAASPALADIASSAPTVYSKNAAAPCPTTAGKSVVVLKRVYPEAF >ORUFI03G27340.1 pep chromosome:OR_W1943:3:22390303:22391412:1 gene:ORUFI03G27340 transcript:ORUFI03G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLPPRPKLGRHTPLDGRHHEARRRRVRRVQPSVGPIARVAAVIRFLRWRSSWTCWLEINGKLQPAALAPLSGDDVRRLTCLLLSPVVGLQRRLLLSPVAGLQRRDAPAGAGVTPLKPHQSSPTKPPALATAMDVVSSLSSSPAPSPSVLKSLLLSYAYVSVWITFSFSVIMYNKYILNPTMYNWPFPVSLTMVHTAFCASLTVVLIRVLRVVAEPTSPPMTPSLYAVSVVPIGVLYALSLWFSNSAYIYLSVSFIQMLKALMPVTVYCLAVAFRTDSFRHASMLNMLGISAGIAVAAYGEARFDAFGVILQLVAITAKATRLVLIQILLTGATPPPAPTQADRDVEMGLLGGESSASRPAMKPQPGS >ORUFI03G27350.1 pep chromosome:OR_W1943:3:22392768:22393130:-1 gene:ORUFI03G27350 transcript:ORUFI03G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAASRSFLAAVRGRAASSAPRIRAAPLPSAPRRRVPSSAFSPFAAARPMSAMMGSPAAMAVRLTGHPSASVRACCELSQGTHFCRTCQDR >ORUFI03G27350.2 pep chromosome:OR_W1943:3:22392768:22393130:-1 gene:ORUFI03G27350 transcript:ORUFI03G27350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAASRSFLAAVRGRAASSAPRIRAAPLPSAPRRRVPSSAFSPFAAARPMSAMMGSPAAMAVRLTGHPSASVRACCELSQGTHFCRTCQDR >ORUFI03G27360.1 pep chromosome:OR_W1943:3:22394979:22397745:-1 gene:ORUFI03G27360 transcript:ORUFI03G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTTVSVRTRVGKLPGGRQLVLWLSAVVVSAAEEGYLTVVYKGDFPPGDPFQTVRVARKDTKKITAGAAAAAAATITDPAAAAARPSSNNVAAPAPLNAPRHGQEVVRAGASAPPPLCLEHPIASSAASEKITVGMAVSVRTRVGKLRGGRRQLVLWLSAVVVSAAEEGYLTVLYKGNFPPEDPFKTVRVAAREEAKRMAAPAAAIATSTTALPSGNNAAAPRPTTAGKSVAVLKRVFSEAF >ORUFI03G27370.1 pep chromosome:OR_W1943:3:22397807:22398001:-1 gene:ORUFI03G27370 transcript:ORUFI03G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRRSLSSFSSTAAMRNIQRDLHGTRMKLAVTPINTSSAQLQLQQVPPLSRSASFDHPIAAP >ORUFI03G27380.1 pep chromosome:OR_W1943:3:22405548:22407699:1 gene:ORUFI03G27380 transcript:ORUFI03G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAASHQPAASVMARVDRLDLVVGHLEEMRRGGGGGRRSSCGGSPSTTTTTVSSNESGSSSVASTPRGMSCRPAKEALEEARAKGSLVDRIASLETRVLKMEEEMEVTSSDVRNTGSDEKQQRSAAGNKKAEKRKRLKSLVKSCVRGKLNTND >ORUFI03G27390.1 pep chromosome:OR_W1943:3:22410624:22411185:-1 gene:ORUFI03G27390 transcript:ORUFI03G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILQLQRSICITPEQWTGEGVSFICAVWKRGRSRIDCYLKKWNGSDYPLASTLTLLTTPTLSFAFPYSCTHVYFYLYDTDIAIDLSLVPNAELAAVPNDQCLWGFPSLQLSPVSPMCWALNTFQDPVLVGRRFFTFVKVVLRWNRTTLLGFRVQRAKLKRISSPLL >ORUFI03G27400.1 pep chromosome:OR_W1943:3:22440959:22443161:1 gene:ORUFI03G27400 transcript:ORUFI03G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGRTNYIYNEIASLPIQAKSATFGHLDPLVTLSFLATPPLDLSHSTLRSQRWGALEAHEGSDSSWELWRPQPGAKSQPSSRWKIRHEGACHLTLMFKRGRRNERSSFCWMGSEQELDSNGISGKDENADSDSICPSQELGRWVLMF >ORUFI03G27410.1 pep chromosome:OR_W1943:3:22445919:22455921:1 gene:ORUFI03G27410 transcript:ORUFI03G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAATAPDLSLHISPPSPLDMAAGGETMEQLAEPKLCLGFGTAAAAAAEQYNNGGCNLQQQQRLHQPSQIQRFKKSASGGSPVCSGGATGTGGVAAARSGNGGGGGKRSSRAPRMRWTTALHAHFVQAVELLGGHERATPKSVLELMNVKDLTLAHMYRTVKGTTDRTCAEGHGQMRDMGFLRRGGGGVDGFDVLGNTSSIAIANIRRQAAGSPGEHHQETMMSSAWCQPQFAQQQTTACGLPLPCPYIVSTHHYLIKQNQLGGWRGSSGQQLAVQQDAAAHSSLGIKNMGQQRLPAAAAAAAGSHDDEIVVVASRIGRRSSGTAGFARSSPPAASGCWTPTTTTWSPPPPLTPQTTSTTTTMRGSSVVVAAAAQACMKQQQQQQTPSRVPSLEISLGRQGWQSGSSLEQQQQHQQRHHQQQQRQRSVESSASKELTLLKCL >ORUFI03G27420.1 pep chromosome:OR_W1943:3:22457083:22457751:-1 gene:ORUFI03G27420 transcript:ORUFI03G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAADGGRRARTVVGGGHRRRQPTTWWRADSPPLASRRAAWSTGDGRRWLPRPPPRCSGSGLEHEAGGALPRPPPCGSSGGRHGGHRRQRQGGSGWERHPWPHDSWIHAAPTAADDEKEVEEVARSNAHARRPDPRCPSDEEDEEEAAESGAAGLAHTRAGRIHAGPTNDNDEEAVARSGAASPSCTRAGWIHAALADDDEEEAAAGQNGIASPLRMRVG >ORUFI03G27430.1 pep chromosome:OR_W1943:3:22467645:22467962:1 gene:ORUFI03G27430 transcript:ORUFI03G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLQQQQQQQQPQSPPSLVGAWLCQISSGLRQREARRRGGHAEVDDEQSSPKNAATAAGRNKAAREEANNKASSTAVTASCRAGAAMPEATVCLLLDRFAPS >ORUFI03G27440.1 pep chromosome:OR_W1943:3:22484905:22486885:1 gene:ORUFI03G27440 transcript:ORUFI03G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLPPGFRFHPTDEELVTYYLARKVSDFGFATRAIADVDLNKCEPWDLPSKASMGEKEWYFFSMRDRKYPTGIRTNRATDSGYWKTTGKDKEIFHGGALAGMKKTLVFYRGRAPKGAKTSWVMHEYRLQSKFPYKPAKDEWVVCRVFKKLQCHLAKPRPPHDDVDGDGASPPEMVDASSLGELGELDVSSILLGGFAPPSGELCHGGGGGDGFGAHRLHVGAYMSWLQAAAAANQGMFQWPAATQAGLVGGTVFAAAHKAAGTMPFGGGCSQQQARDVGVSLANVGGGDALFGGAPLAKGDMECGEQAPQLDMDDSTWRAF >ORUFI03G27450.1 pep chromosome:OR_W1943:3:22490944:22496464:1 gene:ORUFI03G27450 transcript:ORUFI03G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPLAALLRRLSSHPFDSPAIHANLVKSSSISSPIPATALLTAYANAGLPAAASRLFDEMPTRDAVAWNALLACLVFHARPCAAVAAFRDMATAGFTPTATTLCTMAKACATSRALRPGRQVHARSILACQGDVIMATTLVDLYMSCGLVEEALRLFMCTDCPKDVALYNAVISGCVENGRFREAFFILGRIELNGITLTCALTACSATANLMYGMQVHCKALRGGFTLETILCNALIDMYAKCGRTTAARMVFDRMACRNVVSWSSMIDAYSHHGHGEAALDLFKRMDETVPVVLPNAITFLAVLSACGQSGLVDEGRAMFHLMKRQYGINPGPEHYACFIDLLGRAGQIDEAWDLYCSFSTTRSELSGSICVAMLNACRANMDVVRGNKVALHLLEVDPENPGSHVLISNFHAAARQWFESDESRRIIIDKGLRKEAARKTGLAPVLW >ORUFI03G27460.1 pep chromosome:OR_W1943:3:22505006:22505353:-1 gene:ORUFI03G27460 transcript:ORUFI03G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVVVNAEELTGAPVGAEAVEVTTDGARVEGALASGASVGGVGGGTMGEGDVAVGEVATGMGAVARDLVGGAGSGAILSAGMRAAPGACTAAVTARRVTMAATTAKRAIRATY >ORUFI03G27470.1 pep chromosome:OR_W1943:3:22505022:22505516:1 gene:ORUFI03G27470 transcript:ORUFI03G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAVVAAIVTLLAVTAAVQAPGAALIPALKMAPLPAPPTRSLATAPMPVATSPTATSPSPMVPPPTPPTDAPDANAPSTLAPSVVTSTASAPTGAPVSSSAFTTTDAPIVGMEEEMGKKKEGQCRKIELTCGSHVQEADKKRDGVARFEFSKFPVARSRYAK >ORUFI03G27480.1 pep chromosome:OR_W1943:3:22527744:22528053:-1 gene:ORUFI03G27480 transcript:ORUFI03G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGHRQGKGGLEAGRIEGDGEVLQLWIDLLDLAPTYAICLVTIELVNDTPLLLCVSRNSKSFQFPLRKCCTGYQHFSSN >ORUFI03G27490.1 pep chromosome:OR_W1943:3:22528809:22530290:1 gene:ORUFI03G27490 transcript:ORUFI03G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGHRKLIHLLRAEQAAAAASAASASFSPKSFSSSSASDDDGCSSSSWQTNDGAGGYGSAASSPSRCSASTPPKSPWAAHLPGLGGGGVGTGAGATGLVASLVKEDGHVYSLAAAGDVLYTGTDSENVRVWRDRRELAGFRTGSGLVKAIVVADDGRIFTGHQDGKVRVWRADAGDPAVHRRVGSLPRLADYVRSSVNPSSYVETPRRRRGRRREVWLRHSDAVSCLSLDEGAGLLYSASWDGSFKVWRVSDSRCLESVCAHDDAINTVAAAGFDGVVFTGSADGTVKVWRREEEPAASGGEAKTRHVLETVLREDESAVTAIAVSAEGRVVYVGSSDGDVTYWHWIDGEARYGGALRAHGTAVMCLAVAGNVVVSGSADRTLCAWRRGGGEHSRLAVLAGHTGPVKCVAVDEEETSSCSSDGERRFVVYSGSLDGSVKVWRISDIEPTNPPPRLPSPHVWKREDQPAAATAAAARAWSPYQTSEMNSVAAA >ORUFI03G27500.1 pep chromosome:OR_W1943:3:22537181:22540849:-1 gene:ORUFI03G27500 transcript:ORUFI03G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQHNCIQGQMDSYIKRIDIALQNHTGGWVKRFRFELQFFHELSAHHINHWLDAAIPGIEELTLELPRDDKMKYRFPCKLLFEKGCSIQSLCLYSCAFRPDLGACSFRNLKRAYFSLVHITTEELWTFLYNSLSLEHLELGFCHEIDCLKYLAHCSCLISCGSDDATCCIAPNVETLFLMSANEVGYFYPLIVQPHGRFLHLKYLELAIVGPRDYGFGYQYAYLVTFLRASPVLETFILHVEESAKEPYPLVFNPKYLKIHLSPEHSHQSIKHVTVTGFCHKQELVELIFYILENATSLQCLTLDNRIRGFGKDLVACITQDTGTHDYQEWWNNFGVKERILHFFRREFYPKSDWEAYCSYVAIRKYIIERVPSSVELKIPVVPSDEIISRLSKVESELLKD >ORUFI03G27510.1 pep chromosome:OR_W1943:3:22542220:22543169:1 gene:ORUFI03G27510 transcript:ORUFI03G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRETAVGPIPSSHSWHVGFWNWPRCRPFHRSSVVDGCGVAGPWDDDSGAWWVPLHTTLHVIVARFPTVSKLALKCDYRAEGVTNPTFVLLVDRLDPTLQRLKLRSLRLVTDYGVVVLAVAATSLRKLSIASCTFGAKGIEVVLRSYLQLKELFVNAASHLQDNGADWSSADSK >ORUFI03G27520.1 pep chromosome:OR_W1943:3:22545269:22556036:-1 gene:ORUFI03G27520 transcript:ORUFI03G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDAELRRACAQAVAASGARGEEVSFSIRVAKGRGIFEKLGRLAKPRVLALTVKQSTKGEAAKAFLRVLKYSSGAVLEPAKLYKLKHLTKVEVISNDPSGCTFVLGFDNLRSQSVAPPQWTMRNIDDRNRLLFSILTMCKEILSYLPKVVGIDFVELALWAKENTVTLDNQSSTQDGQEKSVTTQTERKVTVTVENDLVSQAKDEEEDMEALLDTYVMGIGEADAFSERLKQELVALEAANVYQLLQSEPLIDEGLDAASATVDDMDEWLRIFNMKLRHMREDIASIESRNNGLEMQSVNNKGLVEELEKLLDRLRIPQEFAASLTGGSFEESRMLKNVEACEWLTGAIRSLEVPNLDPCYVNMRAVREKRAELEKLKTTFVRRASEFLRNYFSSLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKSCLGPLRKAYCHSLNLLLRREAREFANELRASTKAPKNPAVWLEGSNSGGQNGSSADTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPAGAPNAKSRSGGNDPDDDLNLMDPDGNDLKPDDTSAELGTLNDALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRRLLHDLESRISTQFSRFIDEACHQIERNERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLIDKAYTKLVSTMFTTLDKIAHSDPKTADIVLIENYAAFQNSLYDLANVVPTLAKFYHEASESYEQACTRHISSLIYLQFERLFQFSRKVDELTYTIAAEEIPFQLGLSKTDLRRVIKSSLSGIDKSIGAMYRRLQKTLTSDELFPSLWDKCKKEFLDKYESFVQMVTRIYGNEPIMSVADMKDVLANF >ORUFI03G27530.1 pep chromosome:OR_W1943:3:22560117:22564366:1 gene:ORUFI03G27530 transcript:ORUFI03G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRRYYYFEEDDGDGEEEVEWLAMEADEDDVGLLEEDDLHLRLPDDRPADCWAITQESLPAAQQQDLSMVMNLLNIKQHLARTLLIHHRWKMHCIYDHLDRKGRDRMLSEACIILPKNSMSAASSTSVTCNVCFEDFSMTDVSTMDCGHCFCNDCWTEHFFASINTGNKQIRCMEVKCKAICDEDIVRRLLSLKYPAASKRFDLLLLESYLEDNDSVKWCPSAPHCGRAIQVGTGERYCEVACPCGVSFCFNCAGQVHSPCPCAIWEKWKAKGHGDSDSVKWILANTKSCPKCSKPIEKNGGCNLVHCKCGQCLCWLCGGPTGREHTWDSISGHSCNRYKEENGDKVDTSRQQMQRYTHYWDRYNIHAGSYKVEQKDLGPAVEEQVKKLESNLTGPKMNWDGSWLAMAYQSLLASRQVLSRSYAFAYYMFGGGEVKTHPSERASLAVAQNLFEDRQEQLERHVEHLSKELATDLLGLPEEEIVLKKVEIANLAKIVQAICGQLYRCIQDELLPLLVQPMNIAAYQPDGPDKAKEFIGA >ORUFI03G27540.1 pep chromosome:OR_W1943:3:22565884:22571794:-1 gene:ORUFI03G27540 transcript:ORUFI03G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRKRVSRPKPRATSRGRGGGDEDPFFESEPKRRRGGGRDEDIESEDSDLEGVAAAAAGGVGDDGEEEEEEEEEQETAGEKKMRIAKELLKKVTDAARRRREDDEDEDEGEEAGRRRVADILLKRQFEESGRKRMELADRILQPDPEDGFKMLVKHRQPVTAVVLSKDSDKGFSASKDGVIVHWDVETGKSEKYLWPSENVLVSHHAKPPLSAKRSKQVLALAVSADGRYLASGGLDRHIHLWDVRSREHIQAFSGHRGAISCLSFGPDSSELFSGSFDRKIMQWNAEDRTYMNCLFGHQNEVLTMDALSKDRLLTVARDRTMHLWKIPEESQLLFRAPATASLECCCFIDDKEFLTGSDDGSVELWSIMRKKPTHIIRNAHPVFRNNLNSLENNVEENGIHKPESVSSAQSWVSAIAARRGSDLAASGAANGSVRLWAIEPDSKGIRPLFSLRLDGFVNSLAIPKSGRFIVAGVGQEPRLGRWGRVRSAQNGVVIHPIRLKEESEDL >ORUFI03G27550.1 pep chromosome:OR_W1943:3:22575175:22587392:1 gene:ORUFI03G27550 transcript:ORUFI03G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDEECFYDYDEEEEEEEEEEPGWDDGGGGDTMLVEEEAALPERPVDCWAITEESLPAAQLVLQQLVLVTNYIVFLDMLDLPALYVCTQQQDLSMVMNLLYIKQHQARALLIHHRWKMESILDHFDRKGRDRMLRETGVVIQQQAEEKNGGGMAMAASPSPPPRPRSSVTCYVCFEDVSSDAVSTMDCGHCFCNDCWTEHFFACVNGGQKQIRCMAVGCAAVCDEDVAQRLLGGRYPGAARRLRGALLASYVEDNAAARWCPSAPHCGRAVRVDGGGGRWCCEVSCPCGASFCFGCAAPAHSPCPCAMWERWEAKCRGESMNVDWILANTKSCPNWLCGAATGLAHNWTSIDGHSCNRYDDAAEKRKVDGARRKVLRYAHYYERYKAHGDSRRAEAEKLGPAIEARARRLREDPDPATAPASGDAAEALAAAHRALLASRDVLSRSYAFAYHMFGGEERTLKAAAPESEVATAQALFEDHQEMAERHVEKLSGLLAADAPPAPATAGDAALRRAKQDAVALTAVVEKHCGEMHKCIQDELLPMLVEPISDDAAAMDGSDDECCYYYDAVDSDGDEEEEEEIIMLDEDDVGLLDGAALPPPEEEVEHRAICWAITKESLAAAQEQDLSMVMNLVNVERHNARALLAHHRWKMERIYDRLDMMGRDALLRDAGVVVLPEKSSSSGSSMAMAKTNPPGSVAVTCNVCFEEYPLGSVSAMDCGHCFCNDCWTEYFAAAVSDGSKQMRCMEVKCTVICDEAVVRRLLHGKHPGAAARLDRRLLEAYVEASDAVRWCPSAPHCGRAIRVDGGGGGEERYAEVSCPCGAIFFFRCGGGAHSPCPCPMWDKWGAMRGGGEVDNLKWIVANTKSCPKCSKPIEKNGGCNHVTCTCGQHLCYACGAATGTLYMHICNRYKEEGGGGGVKVEMTAGGRQRLRFMHYYERFEIHTESYKEEQGKLGPAIDALARRLEADATLPWSGTRDARWPSAAHRRLLRCRQVLPRSYVLAYYMFGGGAATRRQREEAAAQNRFEDLQGQLEHHVEVLSRTLAAAARPADAAEVVKAKRDADNLARVVEGLCAGMYRCVQDELLPLLVEPMNIAAYHPDGPAMAKEFPPATSVTGGAPPATRH >ORUFI03G27550.2 pep chromosome:OR_W1943:3:22575175:22587392:1 gene:ORUFI03G27550 transcript:ORUFI03G27550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDEECFYDYDEEEEEEEEEEPGWDDGGGGDTMLVEEEAALPERPVDCWAITEESLPAAQQQDLSMVMNLLYIKQHQARALLIHHRWKMESILDHFDRKGRDRMLRETGVVIQQQAEEKNGGGMAMAASPSPPPRPRSSVTCYVCFEDVSSDAVSTMDCGHCFCNDCWTEHFFACVNGGQKQIRCMAVGCAAVCDEDVAQRLLGGRYPGAARRLRGALLASYVEDNAAARWCPSAPHCGRAVRVDGGGGRWCCEVSCPCGASFCFGCAAPAHSPCPCAMWERWEAKCRGESMNVDWILANTKSCPNWLCGAATGLAHNWTSIDGHSCNRYDDAAEKRKVDGARRKVLRYAHYYERYKAHGDSRRAEAEKLGPAIEARARRLREDPDPATAPASGDAAEALAAAHRALLASRDVLSRSYAFAYHMFGGEERTLKAAAPESEVATAQALFEDHQEMAERHVEKLSGLLAADAPPAPATAGDAALRRAKQDAVALTAVVEKHCGEMHKCIQDELLPMLVEPISDDAAAMDGSDDECCYYYDAVDSDGDEEEEEEIIMLDEDDVGLLDGAALPPPEEEVEHRAICWAITKESLAAAQEQDLSMVMNLVNVERHNARALLAHHRWKMERIYDRLDMMGRDALLRDAGVVVLPEKSSSSGSSMAMAKTNPPGSVAVTCNVCFEEYPLGSVSAMDCGHCFCNDCWTEYFAAAVSDGSKQMRCMEVKCTVICDEAVVRRLLHGKHPGAAARLDRRLLEAYVEASDAVRWCPSAPHCGRAIRVDGGGGGEERYAEVSCPCGAIFFFRCGGGAHSPCPCPMWDKWGAMRGGGEVDNLKWIVANTKSCPKCSKPIEKNGGCNHVTCTCGQHLCYACGAATGTLYMHICNRYKEEGGGGGVKVEMTAGGRQRLRFMHYYERFEIHTESYKEEQGKLGPAIDALARRLEADATLPWSGTRDARWPSAAHRRLLRCRQVLPRSYVLAYYMFGGGAATRRQREEAAAQNRFEDLQGQLEHHVEVLSRTLAAAARPADAAEVVKAKRDADNLARVVEGLCAGMYRCVQDELLPLLVEPMNIAAYHPDGPAMAKEFPPATSVTGGAPPATRH >ORUFI03G27560.1 pep chromosome:OR_W1943:3:22596040:22600589:1 gene:ORUFI03G27560 transcript:ORUFI03G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAPQLERPSPEPEGQRWASWSLEVAAAAAHSQLLTSRLGPLIFREKNAEGLSDLHCKGMVPIDEDETHEGIKTIRIQKACEFTTSSVLCVCIITWNMNGKMSVEDVTKLVSSNRKFDLLVFGLQEVPKCDVAQVLQETMAETHILLCQKTMQSLQMFLFGAKSSEKYIRELKVDKHAVGGCGGIIGRKKGAVAMYINFSGIRMVFVSCHLAAHENKVEKRNSECQHISHSLFSKNDIQYTKSADITVWLGDLNYRLQGISSIPARKLIEENRQSKLRGKDQLLQEAEKGEVFNGYCEGTLLFKPTYKYNIGSSNYDTSYKIRVPSWTDRILFKVDHTSGLDAVLNSYEALDCIRSSDHKPVRAHLCLKVHGDSA >ORUFI03G27560.2 pep chromosome:OR_W1943:3:22596310:22600589:1 gene:ORUFI03G27560 transcript:ORUFI03G27560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRRNGLNRGLSDLHCKGMVPIDEDETHEGIKTIRIQKACEFTTSSVLCVCIITWNMNGKMSVEDVTKLVSSNRKFDLLVFGLQEVPKCDVAQVLQETMAETHILLCQKTMQSLQMFLFGAKSSEKYIRELKVDKHAVGGCGGIIGRKKGAVAMYINFSGIRMVFVSCHLAAHENKVEKRNSECQHISHSLFSKNDIQYTKSADITVWLGDLNYRLQGISSIPARKLIEENRQSKLRGKDQLLQEAEKGEVFNGYCEGTLLFKPTYKYNIGSSNYDTSYKIRVPSWTDRILFKVDHTSGLDAVLNSYEALDCIRSSDHKPVRAHLCLKVHGDSA >ORUFI03G27570.1 pep chromosome:OR_W1943:3:22602927:22609912:1 gene:ORUFI03G27570 transcript:ORUFI03G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTPEFRLESGGQDPGLDFVEFAVVRGSSFPLQTYSVRIQKGTSGSRLGKCRYKDFRLPILENMGWLSKIFKGSVNRVSRGHYNGNTHEGHSAWHTKAYEHDSDHEDIDRAIALSLSEEDQRKGKAVDEVDIDHRLHEDEQLARALQESLNDEPPRQNVPVKDVHSESTPATFMPPYIFPSTGLRVCAGCKTPIGQGRFLSCMDSVWHPQCFRCFACDRPISEYEIPTNKDGHIEYRAHPFWMQKYCPAHETDRTPRCCSCERMEPKDSKYITLDDGRKLCLECLNTSIMDTDECQPLYIDIQEFYEGLNMKVEQQIPLLLVERQALNEAMEAEKTGHHLAETRGLCLSEEQIVRTILRRPVIGPGNKIVDMITGPYKLVRRCEVTAILILYGLPRLLTGSILAHEMMHAYLRLKGYQTLDPKVEEGICQVLAHMWLESEITSGSSSIIASIAASSSSSSSSSAPSSKKGVQTDFEKKLGEFFKHQIETDPSDVYGDGFRDGIKAVERYGLRKTLDHMKLTGVFPC >ORUFI03G27570.2 pep chromosome:OR_W1943:3:22602927:22614533:1 gene:ORUFI03G27570 transcript:ORUFI03G27570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTPEFRLESGGQCRYKDFRLPILENMGWLSKIFKGSVNRVSRGHYNGNTHEGHSAWHTKAYEHDSDHEDIDRAIALSLSEEDQRKGKAVDIDHRLHEDEQLARALQESLNDEPPRQNVPVKDVHSESTPATFMPPYIFPSTGLRVCAGCKTPIGQGRFLSCMDSVWHPQCFRCFACDRPISEYEIPTNKDGHIEYRAHPFWMQKYCPAHETDRTPRCCSCERMEPKDSKYITLDDGRKLCLECLNTSIMDTDECQPLYIDIQEFYEGLNMKVEQQIPLLLVERQALNEAMEAEKTGHHLAETRGLCLSEEQIVRTILRRPVIGPGNKIVDMITGPYKLVRRCEVTAILILYGLPRLLTGSILAHEMMHAYLRLKGYQTLDPKVEEGICQVLAHMWLESEITSGSSSIIASIAASSSSSSSSSAPSSKKGVQTDFEKKLGEFFKHQIETDPSDVYGDGFRDGIKAVERYGLRKTLDHMKLTGLVNV >ORUFI03G27570.3 pep chromosome:OR_W1943:3:22602927:22609912:1 gene:ORUFI03G27570 transcript:ORUFI03G27570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTPEFRLESGGQCRYKDFRLPILENMGWLSKIFKGSVNRVSRGHYNGNTHEGHSAWHTKAYEHDSDHEDIDRAIALSLSEEDQRKGKAVDIDHRLHEDEQLARALQESLNDEPPRQNVPVKDVHSESTPATFMPPYIFPSTGLRVCAGCKTPIGQGRFLSCMDSVWHPQCFRCFACDRPISEYEIPTNKDGHIEYRAHPFWMQKYCPAHETDRTPRCCSCERMEPKDSKYITLDDGRKLCLECLNTSIMDTDECQPLYIDIQEFYEGLNMKVEQQIPLLLVERQALNEAMEAEKTGHHLAETRGLCLSEEQIVRTILRRPVIGPGNKIVDMITGPYKLVRRCEVTAILILYGLPRLLTGSILAHEMMHAYLRLKGYQTLDPKVEEGICQVLAHMWLESEITSGSSSIIASIAASSSSSSSSSAPSSKKGVQTDFEKKLGEFFKHQIETDPSDVYGDGFRDGIKAVERYGLRKTLDHMKLTGVFPC >ORUFI03G27580.1 pep chromosome:OR_W1943:3:22618307:22623997:-1 gene:ORUFI03G27580 transcript:ORUFI03G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVSKSWQESKLLWHIAFPAILTAVFQFSIGFVTVGFVGHIGQVELAAVTVVENVIEGFAYGVLLGMGSALETLCGQAVGAGQVSMLGVYIQRSWIICGATAVILTPTYVFTAGILIGLRQPTDIAAVAGTYTRWVIPQLFAYAANFPLQKFFQSQSKVWAMTAISGIALALHVVLNYIFLTRLGHGLVAAALIGNVTWWLIILAQFIYLVSGCFPEAWKGFSMLAFKNLAAFVKLSLASAIMLCLELWYYTAVLILVGLLKDAKLQVDVIVRVSNELGANRPKAAKFAVAMAVSTSAIVGAVFMAVFFIWRTQLPRFFSDDADVVRESAKLGYLLAATIFLNSIQPVLSGVAIGAGWQSLVAFINIGCYYLVGIPLGVLFGFKLKLDAMGIWVGMSLGTLLQTAILAFISFRTKWERQAMMAEERIREWGGRNDDALPSTTTPTADDHNLNSGVIYTHITKAE >ORUFI03G27590.1 pep chromosome:OR_W1943:3:22636450:22643135:1 gene:ORUFI03G27590 transcript:ORUFI03G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGVKQLCGVLLKCCDLDLKQPKGLEDPEILARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKTFEEADTKHDGKIDKEEWRNLSI >ORUFI03G27600.1 pep chromosome:OR_W1943:3:22647207:22647766:-1 gene:ORUFI03G27600 transcript:ORUFI03G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPRIKHHGCVVDMLDRAGRLDEAEELVAAMPAHPDALIWGSRLVACRAHGDVERAERVMRRRTTDADADAGDYVLMSNTYTSNGRHGEAVKVRRQMRRNEIDKWSWFSVPETAALSAGTKTPVSWSGTHASSGPPSTVSSSGSASTFLWFSAASTCAPATPPPPWTPFCSSSG >ORUFI03G27610.1 pep chromosome:OR_W1943:3:22647983:22648195:-1 gene:ORUFI03G27610 transcript:ORUFI03G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERAATARQERPTARRRTRSGREREGGGVEREQEAAAREAGGGGGGDGGAEHEQEVAVRKEAVERWPI >ORUFI03G27620.1 pep chromosome:OR_W1943:3:22649137:22649448:-1 gene:ORUFI03G27620 transcript:ORUFI03G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLAKLVRALVMAIMLVILLATAAPAYCPGGVVAARPLHDDEEAGRQASPSTLLIAVGHDQQQHGGRRRRLVVASPDGDDVVGESKGSGPSDHTNNPNIHH >ORUFI03G27630.1 pep chromosome:OR_W1943:3:22654832:22660389:1 gene:ORUFI03G27630 transcript:ORUFI03G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIASRAGLLGGRRCYSAAAKSGPSIAGVSDIIAVASGKGGVGKSTTAVNIAVALAKKFQLKVGLLDADIYGPSIPTMMNLHAKPEVSEDMRMIPVDNYGVQCMSIGFLVDKDAPIVWRGPMVMSALEKITRGVAWGNLDILVVDMPPGTGDAQLSMSQRLRLSGALIVSTPQDIALIDARRGANMFRKVQVPILGLVENMSCFKCPKCGEKSYIFGEGGGQRTAEEMDMKLIGEIPLEIDIRTGSDEGTPIVISSPDSASAQAYIQVAEKVIHRLKELAEERQMGPEILL >ORUFI03G27640.1 pep chromosome:OR_W1943:3:22672793:22681435:1 gene:ORUFI03G27640 transcript:ORUFI03G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVENFDADDLGEMPQNHYNEEQLIPYSDVSHPYNEEPDNMDNVEEGNPYIQQVSLYSEEPENQYNEEPSNPYQEESDNAYNGEVKQQDSLPVEADKKWPGWPGESVFRILIPAQKVGAIIGRKGEFIKKMCEESKARIKILDGPPGVPERTVMISAKDEPDAPISPAMDGLFRVYKRITDGSDGDSGQPERNISNVGPTRLLVPASQAGSLIGKQGATIKSIQDSSKSIVRIVETLPLVALNDDRVVEIQGEPVGVQKALESIASHLRKFLVDRSVLPLFEGQMKMHNAQREQAMAAPQPWGPPQPWGPPPSHLPPGGPGYGGHPQFMPPRPQDNYYPPPDVPSMEKQPHYGISAYGREAPTGVSASGNQPPSHVASQVTHNMQIPLSYADAVIGAAGASISYIRRHSGATVTIQESRGAPGEMTVEIIGSASQVQTAQQLVQNFMAEAPQGPPPPASNPPAPAVDPSYGSYPPPYGASYGSAASGAGPAPHNGGSYGGTTYPSYGY >ORUFI03G27640.2 pep chromosome:OR_W1943:3:22672808:22681435:1 gene:ORUFI03G27640 transcript:ORUFI03G27640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVENFDADDLGEMPQNHYNEEQLIPYSDVSHPYNEEPDNMDNVEEGNPYIQQVSLYSEEPENQYNEEPSNPYQEESDNAYNGEVKQQDSLPVEADKKWPGWPGESVFRILIPAQKVGAIIGRKGEFIKKMCEESKARIKILDGPPGVPERTVMISAKDEPDAPISPAMDGLFRVYKRITDGSDGDSGQPERNISNVGPTRLLVPASQAGSLIGKQGATIKSIQDSSKSIVRIVETLPLVALNDDRVVEIQGEPVGVQKALESIASHLRKFLVDRSVLPLFEGQMKMHNAQREQAMAAPQPWGPPQPWGPPPSHLPPGGPGYGGHPQFMPPRPQDNYYPPPDVPSMEKQPHYGISAYGREAPTGVSASGNQPPSHVASQVTHNMQIPLSYADAVIGAAGASISYIRRHSGATVTIQESRGAPGEMTVEIIGSASQVQTAQQLVQNFMAEAPQGPPPPASNPPAPAVDPSYGSYPPPYGASYGSAASGAGPAPHNGGSYGGTTYPSYGY >ORUFI03G27640.3 pep chromosome:OR_W1943:3:22672681:22681435:1 gene:ORUFI03G27640 transcript:ORUFI03G27640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVENFDADDLGEMPQNHYNEEQLIPYSDVSHPYNEEPDNMDNVEEGNPYIQQVSLYSEEPENQYNEEPSNPYQEESDNAYNGEVKQQDSLPVEADKKWPGWPGESVFRILIPAQKVGAIIGRKGEFIKKMCEESKARIKILDGPPGVPERTVMISAKDEPDAPISPAMDGLFRVYKRITDGSDGDSGQPERNISNVGPTRLLVPASQAGSLIGKQGATIKSIQDSSKSIVRIVETLPLVALNDDRVVEIQGEPVGVQKALESIASHLRKFLVDRSVLPLFEGQMKMHNAQREQAMAAPQPWGPPQPWGPPPSHLPPGGPGYGGHPQFMPPRPQDNYYPPPDVPSMEKQPHYGISAYGREAPTGVSASGNQPPSHVASQVTHNMQIPLSYADAVIGAAGASISYIRRHSGATVTIQESRGAPGEMTVEIIGSASQVQTAQQLVQNFMAEAPQGPPPPASNPPAPAVDPSYGSYPPPYGASYGSAASGAGPAPHNGGSYGGTTYPSYGY >ORUFI03G27650.1 pep chromosome:OR_W1943:3:22684621:22685175:1 gene:ORUFI03G27650 transcript:ORUFI03G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLRVVQLAIVIAAALVIVITSSGSHYRDSVGVTDHEMFVSAKNDDICQIVVCMVSD >ORUFI03G27660.1 pep chromosome:OR_W1943:3:22685501:22686001:-1 gene:ORUFI03G27660 transcript:ORUFI03G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVERRRGRRLMGRRTWRRWREEAMRRRGLMASRQRRVEARRRDATHWRRGRGRRTVMEGAHKAPIAGEADGDEGGSQAGVETWAGEKAARAAKKGFDGGSGEGDGAGGGGDARTGDGGGEGDERRRSRKHRSGTHTNRGRDKDYLLPTPERAGRDIYMHANID >ORUFI03G27670.1 pep chromosome:OR_W1943:3:22685904:22686411:1 gene:ORUFI03G27670 transcript:ORUFI03G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLRRIASSRHRRHVLLPINRRPLLLSTAVAIDLAAIAALPSPSTATPFSTVPLPPAPPASAAPFSTRSVYKTVACAKGIRMPAKGFSVFRCDIEADARR >ORUFI03G27680.1 pep chromosome:OR_W1943:3:22686116:22701544:-1 gene:ORUFI03G27680 transcript:ORUFI03G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVILHLSPHQKEAIEDSGLGNLLKINKIHIDRNLCNEITRSYDKEKKAFNINGTFVTMTLDDIDCLLGLPSKGDEIFEAPKINNHELFNLYKKEGQTIITLQALWEAIINSSSYDDHFIRRFIIFSIGSFICPTTQRYVRSEYLNLVDDIDKMRELNWSSLTLNQLLKCILKFREKKTNIEGNVCLLQVVDNISGTIDCNEIPDEKEQDKDLKTLHSITSTEQSADITLQESFTKDFWPRMRSLILDCMENDSKCPDQKDTTHVFEDVEQEQIDPRELISNHHEEAYINQNVNMVCETKCNQSRKRLTGPTGRTCKPTNRTDFIYETRDKKDIVRTQTQTKKTIAQTNKEAIMPDTDELRGEKKRKQNNQTPNEISEYKKVPMANLNSYHLATWADSAKTRNPTGPGSSHENMWLHVPHVSVTGEKASSSCSITRPGRALAMAGPAAPARRRRRRSSATAHGGCGKQRRRQRRSRPTRWRSTELRDCVEMAHGDERRTPELRWREKRRHERERGLSLGALPAAAAREGDGDDDGAAPDGGTKQRWRRLLTVEMAAALEKGEKGAVADELTKKEHPFITYINKTEVNKVMVHIEEVEVNQISMKVLTQPQFLNDDVMDAYIQCLRYKEKEIRGDGKAFLELALKIGLLNVEGAHVELLAIGRGLDGTENQHPQLKHDWPDFNITEWEYNKVQKLPKQGDGWPRRRLPEGDAEEIGTGSLVARRRST >ORUFI03G27680.2 pep chromosome:OR_W1943:3:22686116:22701544:-1 gene:ORUFI03G27680 transcript:ORUFI03G27680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVILHLSPHQKEAIEDSGLGNLLKINKIHIDRNLCNEITRSYDKEKKAFNINGTFVTMTLDDIDCLLGLPSKGDEIFEAPKINNHELFNLYKKEGQTIITLQALWEAIINSSSYDDHFIRRFIIFSIGSFICPTTQRYVRSEYLNLVDDIDKMRELNWSSLTLNQLLKCILKFREKKTNIEGNVCLLQVVDNISGTIDCNEIPDEKEQDKDLKTLHSITSTEQSADITLQESFTKDFWPRMRSLILDCMENDSKCPDQKDTTHVFEDVEQEQIDPRELISNHHEEAYINQNVNMVCETKCNQSRKRLTGPTGRTCKPTNRTDFIYETRDKKDIVRTQTQTKKTIAQTNKEAIMPDTDELRGEKKRKQNNQTPNEISEYKKVPMANLNSYHLATWADSAKTRNPTGPGSSHENMWLHVPHVSVTGEKASSSCSITRPGRALAMAGPAAPARRRRRRSSATAHGGCGKQRRRQRRSRPTRWRSTELRDCVEMAHGDERRTPELRWREKRRHERERGLSLGALPAAAAREGDGDDDGAAPDGGTKQRWRRLLTVEMAAALEKGEKGAVADELTKKEHPFITYINKTEVNKVMVHIEEVEVNQISMKVLTQPQFLNDDVMDAYIQCLRYKEKEIRGDGKAFLELALKIGLLNVEGAHVEPRRRLPEGDAEEIGTGSLVARRRST >ORUFI03G27690.1 pep chromosome:OR_W1943:3:22704475:22707736:1 gene:ORUFI03G27690 transcript:ORUFI03G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRKYWSDQVVHVHMFIRPIVPATVQIEMDSRKVAICYYAFLVVARLDTVMKPADRQESPGEDVGSDAPDWGFFSAVVSGLQTQILLSLGNLSSQFCETQKMLITMVHDNAKFLENINNRMTNVEHNQQLQLQQVTDLTHGEQHFPRKRYIKVEYPTIIGKRVRGVNGRAVAYPYDHYEKNISTKIINVFVKHFGQTRSIKRHKHIYQAYLENPSVVPMLINYGYYDGVELGNTNQNMYKSAAVNYVNNDMIFLPIRTSIGCWYLGVLDCIRKEVCVLDSIDTTEDDLKELKFLMKGIRKCVRLVLDEKIVENPRWEDYNVPTWKIRIRFTCLAKRAGCLPSGLKMLPLKILPMKLLRFKVSHIIVVACEKCLDLALKYVECCLKHNQHFEQNEPHSNSIYLSSSSTVLAAAISAATAVQFQQQQQHSSLSSGITVQFHSSNSSSVSFQQQQSSSSSSTISSSSSTTK >ORUFI03G27700.1 pep chromosome:OR_W1943:3:22713771:22714290:1 gene:ORUFI03G27700 transcript:ORUFI03G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAACERWLAAVEANALLRASLPDAGQHLNQQGGVTWANSNNQCLLLVGDIDRTNKSYICTLCSMWLAAEDRVESVGDGDDGCMLLHNTDLISIPHRYILT >ORUFI03G27710.1 pep chromosome:OR_W1943:3:22724218:22724484:1 gene:ORUFI03G27710 transcript:ORUFI03G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRKLIRLTVILALLVAAVAVSQCAAAEAAAAAGGMMRPRPPRRAAADWHVAAVSASPPANVTANLGAGPSNCTNNPNNHGSNCHGS >ORUFI03G27720.1 pep chromosome:OR_W1943:3:22728194:22728433:1 gene:ORUFI03G27720 transcript:ORUFI03G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIVVAVARGLLGGLAAQCAGAADRRSGRNWLEEAAAAAADWHVAVRVVVDGEDQLGLRRVELLVRPTQPRRRQLPPQ >ORUFI03G27730.1 pep chromosome:OR_W1943:3:22735826:22744165:1 gene:ORUFI03G27730 transcript:ORUFI03G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSAAMQQLKDDGSSARQLQLLVAALSTAGAVAAAAVVRRRHGRKAAAAAAAAAAAPPPVVMREMPRLVMAESGRVEHIEKFSHYVARQMGFQDINECPQLCKLANNYLKRTKNCMDDIDDFFANILDSESLYVKFIEELDKCILGYFAFHWDHATALISQALTVDCGTASKKKLRNLVLEATRKQRFERVTRDLKVTRVFSTLVEEMKAIGVPTAAMNGDGEEEPHCTDVMAPVAHDERSPVLLLMGGGMGAGKSTVLKEILQEPLWSKDEANAVVVEADAFKETDVIYRAISSMGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVILDGTLSWEPFVQQTIAMARDVHRRRYRMGPGYKVDPDTGDITENYWEPADADAASPPPTRKPYRIEVAGVVCDAYLAVARGIRRAIVTGRAVRVRSQLVSHRRFAAAFRRYAGAVDGARLYSTNTMGAARLIARKDGVAGSLLVEPAEFACLDAVGGLNENATGVHDLYRGGATACGARSIWDDMIASPARADIQRELREAFRSVEHAPPNTNGA >ORUFI03G27740.1 pep chromosome:OR_W1943:3:22745867:22749730:-1 gene:ORUFI03G27740 transcript:ORUFI03G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGDGTEAQVTWEDQQNINRFGRLNNRLHELHDEIKLAKEANENLDDAGNELILSDEDVVRFQIGEVFAHMPRDDVETRLEQMKEDAAKKLERLEQEKESIVSQMAELKKILYGKFKDAINLEED >ORUFI03G27750.1 pep chromosome:OR_W1943:3:22762318:22763622:-1 gene:ORUFI03G27750 transcript:ORUFI03G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILTVMVILLAAASTGSSARMAGAGARAEEAACEPPCGGLVVGAGGAPGKGAPGHSIAAGAKDHLAAVEHQPSPSVTNGVFEPTEGEAPDQDHPCDADSLANDMLSFCINQSFPPPNCCQAVTVTVDLSSCLCMVASRSSLRNSSLSAFTILALYANCGGLRAVRERDAAACYGAGDAPEDPGRVPVTIPASPTTIITRERPPAMEMSVEEHFASFDRFILSVMEWMTVLVGFMKFHWSVQRARKNTANRVVLPGLPPLPALPAPPAPLALLPAAPVLPPPPAVPNLLNGHDDV >ORUFI03G27760.1 pep chromosome:OR_W1943:3:22766330:22771127:-1 gene:ORUFI03G27760 transcript:ORUFI03G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHYDVTQLLSDDALADVLARLAPRWLAVSRCVCKAWRAVVDTRHLLRTDLLPLTLTGIYFNFHDIHHSVFLSRPSTRPGPAISGMFTDYTPDNNLVKDHCNGLLLLESGVANPATRQWVPFPPAPPQPVWMKDFYRFDSYLVFDPTISTHYEIFKIPRVPSIRFHVLDPMLKSSQWPPSLCVLQVFSSRTREWGERLFVRDGAAAGTVTDMALAFSYDHYNGVYWHGALYVHCQGDFVMIISLTNNKYQVLKLPMNTEVCQYKNHFLGRSMRGVHYALIDNEHRLRVWFLNELYGQMTWELKHDNDLSFLLRCQEICIQNDGPWTLHYHNYFGYPSQNDNYYEAYKKHIVRKYCYEYDSASYKNDCEDIKKDVVVRVNKFEWDSDNDDILDTGNSNEGGCHGYFSILGFHPYKEVIFLNQNKERGLAYHFNSSKKSLAPPLSTTGTHSKARKAGRSHIQDKMIPCIPPSISLGFFAGLDGDELLEEEERQLVLDKEHVAVDIRHHVQRAVGPNTGSLCMYPPPPITFAILIIFSRKHPSVNITCKNLSPRHSPARSTAATSSSTGLRGDGCSPDGCVADGCSPGCGGSSWTDDGGAPLLSSRDGGSAQPAQPATGLSSSPAARGHLRAHPPPA >ORUFI03G27770.1 pep chromosome:OR_W1943:3:22792916:22794564:1 gene:ORUFI03G27770 transcript:ORUFI03G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTNMVMVTMVVLLFLVTPVRSSLMDKELFPRNWRRPPMKFLRSEFSTETFEAILQRHTSTISLSSSSLDIDYTKILRIQTGYYEQPASWKMSHLIGRGEDEIMVAIRDDNLYVAGFADQTGQWHAFPRFVNLIPGSIPLPIEDDYVSLLGVAGYGKESKEVADKEDGDGLVIVKN >ORUFI03G27780.1 pep chromosome:OR_W1943:3:22795506:22796346:1 gene:ORUFI03G27780 transcript:ORUFI03G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVVGGDGSWWRWRCEIQRPVDESTEGAGSLRRRRRLHRRLRPGGCHPVPSVACGDFSWLASSGSAVARSLLLVVHDDSPSTRWRFESFQCQGSIRQDSQDFSSPSPLRRGNRMGIAGLSSVLHAEGGPRLHSSRHYRSSLSMCNFSVVWFQYEDCSPSAVFRSLETDGFMLSLSVWQCTGIYSSELCRFILMEV >ORUFI03G27790.1 pep chromosome:OR_W1943:3:22798699:22802862:-1 gene:ORUFI03G27790 transcript:ORUFI03G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTRRRSRTSAASAAVADERGVSGDGRGRARRRRRRMRTSAATAAAAADADEGGGGGGRGRGRRRTTHADGSPSDSIIEEAGGVAPLQSHMLNHPDQSLPSIRICIAMIYDPYRPVSTTISPSPPRKHREKTTPPRYSLLACTAPLPHSTAAPARAEFFSSRRRRTPPLPRRSRGLPQPPPEPETTLDGTEVVPSRHRTPPQPAPTGTMVVPSSAGPVPGIAPDGAEVIPSRCRTLPQPAPTSHEVCPYRCQSPSAANHIRIPPQHAPAGAEVVPPSAGATPGTSPSEAEVVPPSTGGWSCVWACPSGAEVVPRPPLPPTFFCYLFPKVPIQLKLTFWTLDHLTSRRDITTVLIIEFLGKIDHGDI >ORUFI03G27790.2 pep chromosome:OR_W1943:3:22799981:22802862:-1 gene:ORUFI03G27790 transcript:ORUFI03G27790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTRRRSRTSAASAAVADERGVSGDGRGRARRRRRRMRTSAATAAAAADADEGGGGGGRGRGRRRTTHADGSPSDSIIEEAGGVAPLQSHMLNHPDQSLPSIRICIAMIYDPYRPVSTTISPSPPRKHREKTTPPRYSLLACTAPLPHSTAAPARAEFFSSRRRRTPPLPRRSRGLPQPPPEPETTLDGTEVVPSRHRTPPQPAPTGTMVVPSSAGPVPGIAPDGAEVIPSRCRTLPQPAPTSHEVCPYRCQSPSAANHIRIPPQHAPAGAEVVPPSAGATPGTSPSEAEVVPPSTGGWSCVWACPSGAEVVPRPPLPPTFFCYLFPKVRYAGTVDAR >ORUFI03G27800.1 pep chromosome:OR_W1943:3:22808199:22810747:-1 gene:ORUFI03G27800 transcript:ORUFI03G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYEGKHGPARYGYGPCLGQGIRQVGDLDAVSTSMLYLILDDWIFRKIDLSSNQPDGRQVPGGIAREAASSLPPPFFRWNAQRGMPLHFVAIGSKILAMPPMEEKRDTDHLDVGGACFDVRTGCVVFVPRHGGDQHGDPVYFQIGSRLFTLGCSRFQLLDLLPLALDGDPRSTRRQQWSWRDLPMPPFLHSMRALSHVLLPQEDQTILVGVGFLSPSSSSTYSFRIAEDGSSAWKCLGNWGLPFHGRGYFDPKLNAMIGLSMDGRICSGQLVSDHCPDVKYCRENLFSRDARRRHPRLHGTEKQILPG >ORUFI03G27810.1 pep chromosome:OR_W1943:3:22813837:22819465:-1 gene:ORUFI03G27810 transcript:ORUFI03G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAPASPPKADGGEDEEEECSRAVVKEEPHHQQEEDDDDAAAAADGGEDEKEKVEEEEVEERGRRRRGRPGRKRGRRSGGGGGSAAAAAAARGGVVMVKRELLARCMTCPLCGRLLRDATTVSECLHTFCRKCIYEKLNDEEVESCPVCKIDLGCTPVEKLRADHNLQDVRSKIFPFKRKKISADEVAAPVLLPSKRKERSISSLVVDTPTVTPTGLTGRRTRAVTRKAAALRGLGPGIDDPVKKEIDNGEKHAQNSSLPTNLGKVPQTRRQMSSNAEASNHSSNKDTEGDRKDLADKTDELWRPLNCLVEAANRTKSSRSSSQSPFVKREQLSDSPGSTSVNKTKSREYMQKSKIEDDKKDVPLLKRKNQRTGRRRELHAQSDSKPEAAATQNEKKFSSIWFSLVASFEQEGDPPLPQIPSHYLRIKDGNIPASSIQKYLMQKLGLPNEAEVEINCCGQPVNPTQPLCNLVEVWLRGRSTQTTQTMIGSPAKEFVMVLTYGRPKAITP >ORUFI03G27820.1 pep chromosome:OR_W1943:3:22828738:22836645:1 gene:ORUFI03G27820 transcript:ORUFI03G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPKPPPPPRPKTRGSYNCGRCGLPKKGHVCAAGGPAPTPSPSSSSGAATTTTSGGGGGGGEGTKLRRALSFEDAATASASATPSSPEKKPRVVPDADAVGRGGEGEVEMVEGQGGEEELKEEEEAVELGGRAVPRELMAEVLRRLGPRGVMAAAAVSRGWRDCAGRVWRAAEELRLRAAGVSLVGALLPRCPALSRLSLRMESDVDATILACLAFSCPSLGTLEISMSDNAVNRMTGEELSRFVSEKHSLSVLKIGGCCNLGFLNLNSSSLSILWLSDLCSLSKSVINCPNMSEISLCFTQQSNDCTDLVTLMDGLGRTCPNLKNMHISSAQLSNEAVFALEGASLRGLCMLSLILGSKITDAAVASIVRSCASLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTCGIQFATAQLPLLQLMDCGMTLCASLQNEKQGPYFGEINGAIRFCPKLPTSKKQSTNQKLIIKHGNLKKLSLWGCSAIDALYLNCPELNDLNLNSCTNLNPERLLLQCPKLKNVHASGCHDMLIGAIRNQVLNEFAAAEPHLPCKRLADGSKRVQLPQFAQQEPSQDKKGIDLRRNQCTVHLD >ORUFI03G27820.2 pep chromosome:OR_W1943:3:22828738:22836645:1 gene:ORUFI03G27820 transcript:ORUFI03G27820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPKPPPPPRPKTRGSYNCGRCGLPKKGHVCAAGGPAPTPSPSSSSGAATTTTSGGGGGGGEGTKLRRALSFEDAATASASATPSSPEKKPRVVPDADAVGRGGEGEVEMVEGQGGEEELKEEEEAVELGGRAVPRELMAEVLRRLGPRGVMAAAAVSRGWRDCAGRVWRAAEELRLRAAGVSLVGALLPRCPALSRLSLRMESDVDATILACLAFSCPSLGTLEISMSDNAVNRMTGEELSRFVSEKHSLSVLKIGGCCNLGFLNLNSSSLSILWLSDLCSLSKSVINCPNMSEISLCFTQQSNDCTDLVTLMDGLGRTCPNLKNMHISSAQLSNEAVFALEGASLRGLCMLSLILGSKITDAAVASIVRSCASLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTCGIQFATAQLPLLQLMDCGMTLCASLQNEKQGPYFGEINGAIRFCPKLPTSKKQSTNQKLIIKHGNLKKLSLWGCSAIDALYLNCPELNDLNLNSCTNLNPETDKPKDNITKKSVSIGIYKGVSTSRLKVLNEFAAAEPHLPCKRLADGSKRVQLPQFAQQEPSQDKKGIDLRRNQCTVHLD >ORUFI03G27830.1 pep chromosome:OR_W1943:3:22838064:22841180:-1 gene:ORUFI03G27830 transcript:ORUFI03G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGFDETELRLGLPGAGELAARSSGKRGFAETIDLKLKLQPAAPAAVSGEEGAQEDKEDADAAAAAADEKMSMKRSASQSSVVTAEPDPDKPRAPKAQVVGWPPVRSFRKNVLAEKCKAAALVKVSMDGAPYLRKIDVAMYKSYPELSMAFQNMFTSFTIGKCGSHQQLKESNKLRDDLEYVPTYEDKDGDWMLVGDVPWDTKGSGEMQELKLIKEN >ORUFI03G27840.1 pep chromosome:OR_W1943:3:22851732:22853129:1 gene:ORUFI03G27840 transcript:ORUFI03G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGYAADSLIKATELRLGLPGTADDLPSTPRGKKRAAAAEDNNANAAAADDDEHDAVEAAPPVAKAQVVGWPPVRSYRKSCFQQQSAAASKSKAAVSSCNNKDEPITKNAAPAPAASSAAAANGGSLVKVSMDGAPYLRKIDLRMYKGYRELREALEAMFVCFSGAADGANPSEFAITYQDKDGDLMLVGDVPFDMFTSTCKKLRIMKRSEATGLGSPRQMKI >ORUFI03G27850.1 pep chromosome:OR_W1943:3:22854782:22858579:-1 gene:ORUFI03G27850 transcript:ORUFI03G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLAAAAVGPHKTLNPTLSPPSSGGRRPRLRFPLPLPRGGHLRCSAGYREAAAAAASTSSTTTTPRPTEIPWSRELCNSVRLIGTVGTEVELRQLPSGGSVARGRLAIWKSATETTWVTLAFWDDLAVVASEHVKKGDRIFVSGRLVSDTVDEGPEKRQVYYKVVVQQFNFIESFQQVQLYEPEAGLDTLGGKHGDYVGSTSGSSEGKSRDHVDSSSRSTEQLWQAFFANPFDWWDNRTNKKNPRYPDFKHKHTGEALWVDGRNNPNWVISQLAILDSRMGSLQGNDRKPVAFMYADDFMTPDTDTEAHM >ORUFI03G27860.1 pep chromosome:OR_W1943:3:22859437:22861379:-1 gene:ORUFI03G27860 transcript:ORUFI03G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAPVATYPSSSSSSTLARPPCAAAGRAAAAGRARVAAAGMSSRASSFVTGGAGGLAVAVAARTRAGSGAGSRGGGAMGCKCLFGLGVPELVVIAGVAALVFGPKQLPEIGRSIGKTVKSFQQAAKEFETELKKESDDGGDQPPPPTETAVSDGGEEKKELEASSSKEST >ORUFI03G27870.1 pep chromosome:OR_W1943:3:22865976:22867319:-1 gene:ORUFI03G27870 transcript:ORUFI03G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKSKAAKKGAPLLGKYELGRLLGRGTFAKVYHARSLAPGADPVAVKVLDKPDLAAAGAGMATRVLREVAAMRRLRHPNVLRLHEVLATRSKVYLVMELAPGGDLLSRLASLPSRRLPEHAAQRVFLQLVSALIYCHARGVSHRDVKPQNVLLDAHGNLKVSDFGLAALPDSLRDDGRLHTACGTPAFAAPEVLRRKAYDGAKADAWSCGVILFVLLAGHLPFDDSNIADMCRKAHRREYALPRWVSQPARRLVSRLLDPNPATRLAVAELATHPWFKRSLSLDSQLGSLLGGQPERELAFQAPPPLNAFDIISMSPGLDLSGLFGESKRRREKRFVTTASPERTVERLGQAGAKLGYFMVGKKGVERLPLGGLSGLVAMSMEMSEVSPSMMLVELRLEGGDDGDGDGGAEEFGWEELRAELGDDVVMAWHGCDGGKKDKEGILL >ORUFI03G27880.1 pep chromosome:OR_W1943:3:22879503:22879934:-1 gene:ORUFI03G27880 transcript:ORUFI03G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWMIAGGWHGQCGEIGVTGNGREVKVQWMGDDGASSVMAFLEVSSRRSLVPQHHRLFSV >ORUFI03G27890.1 pep chromosome:OR_W1943:3:22890792:22892363:-1 gene:ORUFI03G27890 transcript:ORUFI03G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACSSPSSPSPSPASSRPLLPSSASISAFLASHPALTLLHTQCASMAHLRQLHAALVKSGLARDPIAASRAVAFCAGDGRDAAYAARLVRHHPRPNAFMWNTAIRALADGPGPGAAVALFVDMLGSPTPPERRTFPSLFAAYARLGRAGDGAGLHGMVVKLGLGGDAYVRNSVIAMYASRGAADEAIALLARCEAFDAVACNSAIVALARAGRVDEARAVFDGMPARTVATWSAMVSAYSRDSRCHDAVELFSAMQAEGVEPNANVLVSVLGCCASLGALEQGAWVHAYIDKHDVAMNALVVTALVDMYCKCGDIRKAREVFDASRSRGQAKLSSWNSMMLGHAVHGQWREAAALFSELRPHGLRPDNVTFIAILMAYGHSGMADEAKAVLASMASEHGVVPGVEHYGCLVDALARAGRLREAEGAIAAMPVAPDAAVWGALLSGCRLHGDAEAAARAAREAVRCDPRDSGAYVLAASALARGGEARRGAAVRGRMREEGVGKVPGCSMIEVDGVVHEFVS >ORUFI03G27900.1 pep chromosome:OR_W1943:3:22895973:22896829:-1 gene:ORUFI03G27900 transcript:ORUFI03G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGGGGDAGDTRGRHRIQAELKKLEQEARFLEDLYQSPEKQKCSSVSSNDWGLTVYSRVKTASGILLNTDAPSWGPV >ORUFI03G27910.1 pep chromosome:OR_W1943:3:22902177:22902689:1 gene:ORUFI03G27910 transcript:ORUFI03G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWPSARARLGDGRGEASHRGEALARARPAIRKRRRPGALVNRRRATPGFARTEAMRLYMTANARSRLHPSYPCGYLGNAICRVSAGHSTPKRGVGCPPARARSGTGRGESGHRGEAPAGGRRGRPPMEREGGDLARGKREGGGDLVKERDVRGGEGIRIRMREGEGVK >ORUFI03G27920.1 pep chromosome:OR_W1943:3:22905864:22906586:1 gene:ORUFI03G27920 transcript:ORUFI03G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIVGEGENEEGGGKAGPWAQRRWRNASEARSVVKRRQTMGGTEIGAGNEGGGDCGVGQRMGIETGTGTTMWRAWNFLQAQTSWANFKSALGWPVTSIGPLGW >ORUFI03G27930.1 pep chromosome:OR_W1943:3:22913191:22918870:-1 gene:ORUFI03G27930 transcript:ORUFI03G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHHHHHHPRRGSRHAAAGDLRPPEPPLDPLEFLSRSWSASASALDAPRPPPPAPSPSAVLGIGPIAEDASSAATAACEVVDDGSAFAAAGSSFSFASAATSQLIMERILAQSEVAPLTSGRLSHSSGPLTGGGSITDSPPVSPEIDDAKYCRAASTPKPQMYRGGNKTVGRWLKDRKEKKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASGSGKDDRAARTDMAMASAATLVAAQCVEAAESMGAEREHLEAVIGSAVNVRTPGDIVTVTAAAATALRGAATLKARALKEVWNIAAVIPVEKGTMGGGHHHKQNMQKQHRKLESNGSSISDDLSLEEENNFLGICSQELLARGTELLKRTRKGALHWKVVSVYINRMGLVMLKMKSRHVAGTITKKKKSVVIDVCKDVAAWPGRHLLEDGEHRRYFGLRTAEHRVIEFECTSQREYEMWTKGVARLLIIASERKRAP >ORUFI03G27940.1 pep chromosome:OR_W1943:3:22925591:22925812:-1 gene:ORUFI03G27940 transcript:ORUFI03G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRQQQMPHPEEPRGGGDLHGGRRTAPPVLAEDEGAEGGGGARAHRSLLAKAAELGVDGLGAWRRRLKNGR >ORUFI03G27950.1 pep chromosome:OR_W1943:3:22944329:22944841:1 gene:ORUFI03G27950 transcript:ORUFI03G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHDEVRGEARTREREKSRGGAEEEIDGHTCCRSMWAAGVHLRGAKAAGRSGDDGDACRGRGRGRGELPLLPSLGRRLPGAHPTDKGGEPERRSEERRARGRPRRGLADLADAVPSSSSFSAAGDDDSDPILPAGFVSARPSSERERERVEGEKGLQCCVIWGRRVR >ORUFI03G27960.1 pep chromosome:OR_W1943:3:22950885:22952457:-1 gene:ORUFI03G27960 transcript:ORUFI03G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVVSEVSHAAEKGNDGLRHGAGQRRRLRVAAAWLTTVADGGETVFKRTFDVTWCNLNTSLWLILLPSRSRKPSEFAWVHASKIDQEFFCWIWVSLHVIYVRPGRRMNQASPGKSEEQPEETEEMEGGNKADDAIQKASEQEADMGRGRRSRRPPSVRVVGPEWAK >ORUFI03G27960.2 pep chromosome:OR_W1943:3:22950883:22954542:-1 gene:ORUFI03G27960 transcript:ORUFI03G27960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAESTEADEQGRGGEGGDREAGDLLYSSSLPSLSSLSTGKRNKTGRAERDVRGEGKGGISSLLCICCLRATVVIERSSGDGVKGMETGELDRGRGGEADRIGRGGRCSNWLILLPSRSRKPSEFAWVHASKIDQEFFCWIWVSLHVIYVRPGRRMNQASPGKSEEQPEETEEMEGGNKADDAIQKASEQEADMGRGRRSRRPPSVRVVGPEWAK >ORUFI03G27960.3 pep chromosome:OR_W1943:3:22953150:22954542:-1 gene:ORUFI03G27960 transcript:ORUFI03G27960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAESTEADEQGRGGEGGDREAGDLLYSSSLPSLSSLSTGKRNKTGRAERDVRGEGKGGISSLLCICCLRATVVIERSSGDGVKGMETGELDRGRGGEADRIGRGGRLYFGPYASEDPSSATGAEGMETGVINRSKGVRRDGTGQR >ORUFI03G27970.1 pep chromosome:OR_W1943:3:22977405:22978797:-1 gene:ORUFI03G27970 transcript:ORUFI03G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQTLLHSNLLSLIRFLYLNLLLYPFNQASRWMTTFLQQFRKRALLPPLHAPKNARLEHRSESYLHVFLLAPLLIYDATTGGAGYYAQ >ORUFI03G27980.1 pep chromosome:OR_W1943:3:22983209:22986768:1 gene:ORUFI03G27980 transcript:ORUFI03G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVPGDARLGWIRQAVLLLQRARPSTPPPKQADAKGTRPDGVGEQQGVKRPVDHQIRVFFSVAASSVAENDLPDYFYSLSNEEIRNEAKMRRGRLEQSWLLIPKSYKEKQALAARQKYKQALIRIPFPDGVILQGVFLPTEPISSLYEFAASALKQPSLEFDLICPAGPRTRVTPPFPQPGERAHTLLDEDLVPSARLTFKPK >ORUFI03G27990.1 pep chromosome:OR_W1943:3:22989479:22990987:1 gene:ORUFI03G27990 transcript:ORUFI03G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGRWLRSFLPGKKDRARAPAPEKEQAVAVVTTPGAKEKRRWSFRRPAVKDGGGGFLEPRVDPDQHAVAVAIATAAAAEAAVAAKQAAAAVVRLAGSSRRGVVVVGIEEAAAIKIQCVFRSYLVSVSVSRLAAIVVVESEALARKALCALRGLVKLQALVRGHLVRRQASNTLRCMQALVAAQHRARAARLRLLDDDKEKPLLHTPRMMPTRRSPHHPRFRHQQQQQEAEENVKIVEVDTGFGGGGGSGEAHCTPRTSSRRSSCYATPLCRTPSKVELYQKVSPTPSALTDASARTYSGRYDDFSFSTARNSPWHHHHASDAPCKPHHPHHGNGDHPLFFPNYMANTESSRAKARSQSAPRQRASVSSSASEASSVRWERQASARRRASLEAQAASAPPNKCGAAMMARVQRCPSQASAPASCPWGSRLPDHDSECGSTSTVLTAATTTYCWSLATDNAAAAMA >ORUFI03G28000.1 pep chromosome:OR_W1943:3:22994109:22998051:-1 gene:ORUFI03G28000 transcript:ORUFI03G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKRATGDHFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTRDQSIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMTALRPAFKAFDMQALISKITKSIVSPLPTRYSGAFRGLIKSMLRKSPEHRPSAAELLKHPHLQPYVLQVHLKSSPARNIIPSHQSPIDKVKKMTFPTESMCRSKGRRNSLGNERIVTFSKPSPERKFTSSIQSIKDYSTTRSVKDLSIDVSLVEEVSSKTTFTTRTSSIVKTPKRTPSKTITTPQLEPPKVSYNRVNRSELLSRTPVNRSARVIRRASLPLPLPSSETPKRGVSSISILEQLESPDVSVNSPRIDRIAEFPLASSEDPPFLKLHGRRSPTPTPQHCVIDQSITKDKCMVEAFHIIDVDDDDGRSDSSSGRNNAAAAASSRAGSSESTRQRRFDTSSYQQRAEALEGLLEFSAQLLQQERYDELGVLLKPFGPEKVSPRETAIWLTKSFKETGL >ORUFI03G28000.2 pep chromosome:OR_W1943:3:22994109:22998051:-1 gene:ORUFI03G28000 transcript:ORUFI03G28000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKRATGDHFSEEKLCKWLVQLLMALDYLHANHILHRDVKVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMTALRPAFKAFDMQALISKITKSIVSPLPTRYSGAFRGLIKSMLRKSPEHRPSAAELLKHPHLQPYVLQVHLKSSPARNIIPSHQSPIDKVKKMTFPTESMCRSKGRRNSLGNERIVTFSKPSPERKFTSSIQSIKDYSTTRSVKDLSIDVSLVEEVSSKTTFTTRTSSIVKTPKRTPSKTITTPQLEPPKVSYNRVNRSELLSRTPVNRSARVIRRASLPLPLPSSETPKRGVSSISILEQLESPDVSVNSPRIDRIAEFPLASSEDPPFLKLHGRRSPTPTPQHCVIDQSITKDKCMVEAFHIIDVDDDDGRSDSSSGRNNAAAAASSRAGSSESTRQRRFDTSSYQQRAEALEGLLEFSAQLLQQERYDELGVLLKPFGPEKVSPRETAIWLTKSFKETGL >ORUFI03G28010.1 pep chromosome:OR_W1943:3:23041180:23042364:-1 gene:ORUFI03G28010 transcript:ORUFI03G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLILLLSKPRTLQLFPLLLCLLLLPCLSQPLPSPSPSPSPSPSASPAPPPSLPLSPFNERLEAAYVAFQAWKHAITEDPKNLTEDWCGPFVCNYTGVYCAAAPDDPHVLTVAGVDLNHGDIAGCLPDHLGLLADVALLHLNSNRFRGTLPPSMQHMRLLFELDVSNNLLAGAFPAFLTSLPGLKFLDLRFNAFDGELPAAVFGRRLGLDAIFANDNRFNVSLSSASLTNSTASVIVLANTRLAGCLPPSIGDMADTLVELILLNTSISSCIPPEIGKLKKLRVLDLSHNELAGELPASVGDMESLEVLNVGHNMLAGEVPEAICELPRLRNLTIAGNYFCDEPVSCLHVPLRDDRMNCIPDWPHQRSPEECIAFAHRPPPHCAADGCIVIPPP >ORUFI03G28020.1 pep chromosome:OR_W1943:3:23055484:23059275:1 gene:ORUFI03G28020 transcript:ORUFI03G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAKVREEKERNREDRRIQFSHLPSPPTSPSHPASRIQCPPPLSSPPLTPHTTTTHPRPLLLFPGDPARLRRRRRRCRRAMPVAPALPRKASLLGTWSSSPLPRLPRRRHSPRLAGPPPPLLRDPSKFQEMDIVPVVALCCCLVLLPSWAYGLGSMASIAVSYGEDGPVFCGLNSDGSHLVTCFGADASVVYGAPSRIPFVGVTAGDGFACGLLLDTNQPYCWGSNSYVKIGVPQPMVEGAMYSELSAGDNHLCALRTSVKGFHSVNGDTSVIDCWGYNMTATHTVTGAVSAISAGSVFNCGLFARNRTVFCWGDESVSGVIGLAPRNVRFQSIGAGGYHVCGVLENAQVFCWGRSLEMQQMSTPSSTDDGDVNIVPMDAMVSVVGGRFHACGIRSLDHQVACWGFTLQNSTLAPKGLRVYAIVAGDYFTCGVPAETSLKPMCWGHSGPLALPMAVSPGICVSDSCSHGYYEYANHGEVGSGSKTCKPANSRLCLPCSVGCPDDSYESSPCNATADRVCQFDCSKCASDECVSFCLSQKRTKNRKFMAFQLRIFVAEIAFAVILVFSVTAIACLYVRYKLRHCQCSKNELRLAKNTTYSFRKDNMKIQPDVEDLKIRRAQEFSYEELEQATGGFSEDSQVGKGSFSCVFKGILRDGTVVAVKRAIKASDVKKSSKEFHTELDLLSRLNHAHLLNLLGYCEDGSERLLVYEFMAHGSLYQHLHGKDPNLKKRLNWARRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEDHNARVADFGLSILGPADSGTPLSELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVVLLEILSGRKAIDMQFEEGNIVEWAVPLIKAGDISALLDPVLSPPSDLEALKKIAAVACKCVRMRAKDRPSMDKVTTALERALALLMGSPCIEQPILPTEVVLGSSRMHKKVSQRSSNHSCSENDLVDGDDQRIEYRAPSWITFPSVTSSQRRKSSASEADMDGRTTTDGRNVGSSIGDGLRSLEEEISPASPQENLYLQHNF >ORUFI03G28030.1 pep chromosome:OR_W1943:3:23066674:23073364:-1 gene:ORUFI03G28030 transcript:ORUFI03G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSPTNMRRKYSWWWNSHICPKNSKWLQENLTDMDSKIKMMIKIIEEDAESFAKRAEMYYRRRPELMALLEELYRAYRALAERYDHAAGELRQAHRKIAEVFPEQVLVDLDDDLPAETASIETEMDNPDMAPYFLSFINASDSKKQAKDNQDNERLQKELESLSEENKDLKSRISSLLEQTNKAELEVVCLKEALAQQNTEKEAIVLQCQQSTARLQNLKSEILHTQEKFNRLKEEMQSGFQPFTTADERSVLLEKANQEMNLELNKLKHMLKQKHEELNEKQAELEKLNISTEEEHLKCMQAEMAQLSLEKQLILAQDKMRLLALEKQIEVSKAKDTETEKVMLEKELEKIQKESTSLNDQIHSSSSMIIRLQDEIITMKNAQRRLEEDVCRHVDEKKTLQNELCHLKEDRSDLDKKHSSIKEQIQAVDLNVESLQALVQELKDGNVELKGIIRNHESTEVLHIENLRRLERMSEKNSYLEKSLSAVTTELEVLREKKAELEESCKHLSSKISSHQSERAVLVAQIEAISQTMAELFEKNVFLENSLSDANAELESLRGKLKELEESSEALYSQNSALQHEKSTLACQVDRISDTLQNLEAHYAELEKRHSDLQEEKGSVLDEVIKLQEQIRFERKEHNDLEHSRKSQLDALHEKINVLSQEGWNREEQLEEEEQNIVKAQTEIFIWKQCLEDIADANSDFLAQLKMKQEVCQVLEEKMEYLSENNQKLTKCIGSVLKVLHLEEKYESLDQMKLDSIVHLILHEINCLLNTISDAQDVKQNELVEKSLVVTLLEHFGQEVADLRSERNTLKQEQQAKSEELLQLQREKQELVNITDEFWEEVETRNRKVDELRAEAKFLVGQLSELQGSRRSLQSEIIKLIQENSMLSDELCDSREKERVFEDDFSILISEVMSKDILSVVFRSLHEERTLQLVSLHSDFAQLQAAGSELYQDIKMMNMKLGDLEKESNECNKELSRTISICNSTSTENAIGSGYPVGRDTDHLNSGRSQLEYHVNMETGEIEVDMAGLEKSNEMLQEEVHKMQSEMEVLTSKENSAIDIKSCDEDIKRLLANMQMAIVNAALFKEKVLELIITCESFEISSMVQKEVLKEEITRRNSYVDELKDKLNAVEIENRRLKVDLNGDFTVLGSLQNEVSALEKQTLSLANDCLQSNKLRMEENALSTQVLKTNMRSSGDQNTVRTVKDMELQKLHGTIKALQKVVTDTAVLLDQERLDFNANLQEARKQIEVLKLKEILDDDLIEMNYEQMLKDIQLDLIQISSGNKTGSLGQANKTVAQANEKMLDSHGIVGASSSHVRNDLRPPQSESFERDNYKRPPSELMVVKELSIDKQELPRSITTEPHQEWKNKVIERLASDAQRLNALQSSIQELKTNTEASEGLELESVRYQIREAEGFITQLIDSNGKLSKKAEEFTSEDGLDGDNIDLRSRHQRKIMERARKMAEKIGRLEVEMQKVQEALLKYEEQTSTRTSKTMHRRSKVQLVDFLYGRRRDSRKQQRCSPCGCMKANAIDD >ORUFI03G28040.1 pep chromosome:OR_W1943:3:23083269:23083753:-1 gene:ORUFI03G28040 transcript:ORUFI03G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSQTRHGGGDDGDGRRRRAHRRRPRRSCVPVYAEEKPRAPAESRRTSSHGAPPVQLGKAAQLVGNYGAVEVCDDGDHPVPMGSIKRRAPTPLDWADDAADVGGDGYGPSGGEADSDLAAGDDDKLGAGPPGWRPQRHDGTRHPHSADEEGRR >ORUFI03G28050.1 pep chromosome:OR_W1943:3:23088330:23088560:1 gene:ORUFI03G28050 transcript:ORUFI03G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPRHCLHPSPYATAFPNLLELVLGYVVMVEGDLPLLLATSPALETLAVFDILNTVQARLSNGSLRSMFASSSYS >ORUFI03G28060.1 pep chromosome:OR_W1943:3:23089080:23093836:1 gene:ORUFI03G28060 transcript:ORUFI03G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYTTDEALEFMGFGKFQLLVLAYAGMGWVVESMEIMLLSFVGPLVREEWNISAENESLLSSVVFAGMLIGASGWGFVSDKYGRRICLLFSTLFASGMGFLSAFSPNYSCLLALRFLVGIGVGGAHVFTSWFLEFVPAQNRGTWMVIFSCFWTIGTILEASLAWVVISVLSWRWLLALTALPCSLLIPFFGTTPESPRYLCGQNRTSDAMLVLERIAITNQAALPPGVLIYHQEAKVDHSDLTSEKEDLLPVSEKECTFDNAMSFKYGGGIAALLRLLSRKLLRSTLLLWFAFFANSFAYYGLVLLTAQLSDANRSCTSGQTNVVPQKDVNLYKDTFITSLAEVPGLILSAVLVDWFGRKASMWFMLFTCCAFIGPLVLQQNELLTTVLLFGARAVAMGSFTVLCLYAPEVYPTSARSTGVGIATAIGRIGGVVCPLVAVGMLRSCHQMEAILVFELVLFLAGVACFLFPIETKGRGMD >ORUFI03G28060.2 pep chromosome:OR_W1943:3:23089003:23093836:1 gene:ORUFI03G28060 transcript:ORUFI03G28060.2 gene_biotype:protein_coding transcript_biotype:protein_coding METYTTDEALEFMGFGKFQLLVLAYAGMGWVVESMEIMLLSFVGPLVREEWNISAENESLLSSVVFAGMLIGASGWGFVSDKYGRRICLLFSTLFASGMGFLSAFSPNYSCLLALRFLVGIGVGGAHVFTSWFLEFVPAQNRGTWMVIFSCFWTIGTILEASLAWVVISVLSWRWLLALTALPCSLLIPFFGTTPESPRYLCGQNRTSDAMLVLERIAITNQAALPPGVLIYHQEAKVDHSDLTSEKEDLLPVSEKECTFDNAMSFKYGGGIAALLRLLSRKLLRSTLLLWFAFFANSFAYYGLVLLTAQLSDANRSCTSGQTNVVPQKDVNLYKDTFITSLAEVPGLILSAVLVDWFGRKASMWFMLFTCCAFIGPLVLQQNELLTTVLLFGARAVAMGSFTVLCLYAPEVYPTSARSTGVGIATAIGRIGGVVCPLVAVGMLRSCHQMEAILVFELVLFLAGVACFLFPIETKGRGMD >ORUFI03G28060.3 pep chromosome:OR_W1943:3:23089535:23093836:1 gene:ORUFI03G28060 transcript:ORUFI03G28060.3 gene_biotype:protein_coding transcript_biotype:protein_coding METYTTDEALEFMGFGKFQLLVLAYAGMGWVVESMEIMLLSFVGPLVREEWNISAENESLLSSVVFAGMLIGASGWGFVSDKYGRRICLLFSTLFASGMGFLSAFSPNYSCLLALRFLVGIGVGGAHVFTSWFLEFVPAQNRGTWMVIFSCFWTIGTILEASLAWVVISVLSWRWLLALTALPCSLLIPFFGTTPESPRYLCGQNRTSDAMLVLERIAITNQAALPPGVLIYHQEAKVDHSDLTSEKEDLLPVSEKECTFDNAMSFKYGGGIAALLRLLSRKLLRSTLLLWFAFFANSFAYYGLVLLTAQLSDANRSCTSGQTNVVPQKDVNLYKDTFITSLAEVPGLILSAVLVDWFGRKASMWFMLFTCCAFIGPLVLQQNELLTTVLLFGARAVAMGSFTVLCLYAPEVYPTSARSTGVGIATAIGRIGGVVCPLVAVGMLRSCHQMEAILVFELVLFLAGVACFLFPIETKGRGMD >ORUFI03G28070.1 pep chromosome:OR_W1943:3:23094823:23101535:-1 gene:ORUFI03G28070 transcript:ORUFI03G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETNKEGARGGGQFEVVATTCEVTIVVGCMKEMSAGQFYCKGEERVDGGAGIKRRGAPQRKGDSPFLNFVKSLSPISSSQPLDAVPNLQMIKSSDLVHIPSIFTPPEEYFCKTLSRRLVSLLSHDSNRKIKFIDRSLSQAYHDSPDNASILPTNLAQRIQLSSDTLGSDKRHGIAGKTDHETAQKHAKLSCFDQRCLDKMKQLTSGMNVQKRDLAKTHNDEITACDWDYLGTQYDSSVVPESDLRFETAELLLETPKNGDAMPGKSFLPIVEANLENSRRKLFQGSADCYSQSAVDNIHAYCTSRGKEVATNHVSGILPCPRESQLIPDHHFSDSLEVPSDYMAMNPSAVSQHLRGLHRRSLFNDKVRDPTMGVQSVSNLGASTCATRHRSIPDDNYSKLVGSPVCALPNVDLHLVRMTEEMLAPNTSEMNTHNQGDYSSQATMPTSAESCSCRGCFNDHSHEETVLSTRNRIESRNPLAFAPKVIRTCGPGLEFGEDSNATPASSRHKRGIERFETKEIERADRKMKDHPKEEQSEMDKYHALCEIWGVRSTENLFTTPSMDSRRAFALFPSECPKSSLTSSTRTSSHLHSPTRTDVLLSPFGSYTQMLLGNEASDMLLQQGDSSCTASLRIASPNKKRVSPLRTGNTLSPTCRKELGLKSIIPPFPSLTGDANSELQ >ORUFI03G28070.2 pep chromosome:OR_W1943:3:23094823:23101535:-1 gene:ORUFI03G28070 transcript:ORUFI03G28070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETNKEGARGGGQFEVVATTCEVTIVVGCMKEMSAGQFYCKGEERVDGGAGIKRRGAPQRKGDSPFLNFVKSLSPISSSQPLDAVPNLQMIKSSDLVHIPSIFTPPEEYFCKTLSRRLVSLLSHDSNRKIKFIDRSLSQAYHDSPDNASILPTNLAQRIQLSSDTLGSDKRHGIAGKTDHETAQKHAKLSCFDQRCLDKMKQLTSGMNVQKRDLAKTHNDEITACDWDYLGTQYDSSVVPESDLRFETAELLLETPKNGDAMPGKSFLPIVEANLENSRRKLFQGSADCYSQSAVDNIHAYCTSRGKEVATNHVSGILPCPRESQLIPDHHFSDSLEVPSDYMAMNPSAVSQHLRGLHRRSLFNDKVRDPTMGVQSVSNLGASTCATRHRSIPDDNYSKLVGSPVCALPNVDLHLVRMTEEMLAPNTSEMNTHNQGDYSSQATMPTSAGNSGQENPKRKSYCECFASKVYCSESCSCRGCFNDHSHEETVLSTRNRIESRNPLAFAPKVIRTCGPGLEFGEDSNATPASSRHKRGIERFETKEIERADRKMKDHPKEEQSEMDKYHALCEIWGVRSTENLFTTPSMDSRRAFALFPSECPKSSLTSSTRTSSHLHSPTRTDVLLSPFGSYTQMLLGNEASDMLLQQGDSSCTASLRIASPNKKRVSPLRTGNTLSPTCRKELGLKSIIPPFPSLTGDANSELQ >ORUFI03G28070.3 pep chromosome:OR_W1943:3:23094823:23101535:-1 gene:ORUFI03G28070 transcript:ORUFI03G28070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETNKEGARGGGQFEVVATTCEVTIVVGCMKEMSAGQFYCKGEERVDGGAGIKRRGAPQRKGDSPFLNFVKSLSPISSSQPLDAVPNLQMIKSSDLVHIPSIFTPPEEYFCKTLSRRLVSLLSHDSNRKIKFIDRSLSQAYHDSPDNASILPTNLAQRIQLSSDTLGSDKRHGIAGKTDHETAQKHAKLSCFDQRCLDKMKQLTSGMNVQKRDLAKTHNDEITACDWDYLGTQYDSSVVPESDLRFETAELLLETPKNGDAMPGKSFLPIVEANLENSRRKLFQGSADCYSQSAVDNIHAYCTSRGKEVATNHVSGILPCPRESQLIPDHHFSDSLEVPSDYMAMNPSAVSQHLRGLHRRSLFNDKVRDPTMGVQSVSNLGASTCATRHRSIPDDNYSKLVGSPVCALPNVDLHLVRMTEEMVPYNMTCTVNSTLSISEHNTEISTVVPVNQLAPNTSEMNTHNQGDYSSQATMPTSAGNSGQENPKRKSYCECFASKVYCSESCSCRGCFNDHSHEETVLSTRNRIESRNPLAFAPKVIRTCGPGLEFGEDSNATPASSRHKRGIERFETKEIERADRKMKDHPKEEQSEMDKYHALCEIWGVRSTENLFTTPSMDSRRAFALFPSECPKSSLTSSTRTSSHLHSPTRTDVLLSPFGSYTQMLLGNEASDMLLQQGDSSCTASLRIASPNKKRVSPLRTGNTLSPTCRKELGLKSIIPPFPSLTGDANSELQ >ORUFI03G28080.1 pep chromosome:OR_W1943:3:23106223:23107447:-1 gene:ORUFI03G28080 transcript:ORUFI03G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERPQQRRPSVFPSDTHPGKWSPSEGVRGGGRNGDGRSSTPAIREGGGGEGKGEKWILLVEANAMAQGNRKRINGGGDRPVVGDGQPWQERERRASSSDVGEHRATLPTIYRSRRGVCRGGRRFGHGDGNLEEEKTAPVCFLSGEVCGGVRVWNTRTGRREAAGLWAELGSGSERKRRKNSAPL >ORUFI03G28090.1 pep chromosome:OR_W1943:3:23115511:23120520:1 gene:ORUFI03G28090 transcript:ORUFI03G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRCPRAGRPPQLYWSSGLLRLSSSLLFSDLLSSRSHPGTAALLPSRSPPPARRQPPPPSPTPPEGTVFDALLLLLPFCAAHAPPATSRVWRVRRPAGEMASSTSDIPEGREKLKRSGSLGSSDTAYVRADKIDLTSLDIQLEKQLTKTWGKANLKAHGPKEDWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAVKLLDWGEDGFATEAETAALRTSFKQEVAVWHKLSHPNVTKFVGASMGTTDLKIPTNNSNAGARTNLPARACCVVVEYLAGGTLKQYLIKNSRRKLAYKVVVQLALDLARGLSYLHSRKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDVPRCCPSAFANIMRKCWDANPDKRPDMDEVVQLLEALDTSKGGGMIPDGQSSGCLCFTKARGP >ORUFI03G28090.2 pep chromosome:OR_W1943:3:23115563:23120520:1 gene:ORUFI03G28090 transcript:ORUFI03G28090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSDIPEGREKLKRSGSLGSSDTAYVRADKIDLTSLDIQLEKQLTKTWGKANLKAHGPKEDWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAVKLLDWGEDGFATEAETAALRTSFKQEVAVWHKLSHPNVTKFVGASMGTTDLKIPTNNSNAGARTNLPARACCVVVEYLAGGTLKQYLIKNSRRKLAYKVVVQLALDLARGLSYLHSRKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDVPRCCPSAFANIMRKCWDANPDKRPDMDEVVQLLEALDTSKGGGMIPDGQSSGCLCFTKARGP >ORUFI03G28090.3 pep chromosome:OR_W1943:3:23115550:23120520:1 gene:ORUFI03G28090 transcript:ORUFI03G28090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSDIPEGREKLKRSGSLGSSDTAYVRADKIDLTSLDIQLEKQLTKTWGKANLKAHGPKEDWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAVKLLDWGEDGFATEAETAALRTSFKQEVAVWHKLSHPNVTKFVGASMGTTDLKIPTNNSNAGARTNLPARACCVVVEYLAGGTLKQYLIKNSRRKLAYKVVVQLALDLARGLSYLHSRKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDVPRCCPSAFANIMRKCWDANPDKRPDMDEVVQLLEALDTSKGGGMIPDGQSSGCLCFTKARGP >ORUFI03G28100.1 pep chromosome:OR_W1943:3:23127843:23128727:-1 gene:ORUFI03G28100 transcript:ORUFI03G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRTTRSTPPPQWAELPTDCLVHVFRRLDLDELASAAPLVCRGWRRAAADPSLWRALDLRRDHLARFMPWGALAGALARLHGVHRFTLAGFLRLCVARAAGTVADLALPPLLSSSELDHVAAECPALRRLALPELPPADDLRLPSLLPRWRRLTHLELDSKPSSFPAVAAALALHCPDLAVLRVTSGSVKPEDAAAMAAASPLRGRLRSLCLDRCYLPRQELLAILAGCGGAAPLREFTARFCVGFDDKDEEVLARGAAIERFDIGGSRLLDEPDGDATNGDDYCDSSYVDVI >ORUFI03G28110.1 pep chromosome:OR_W1943:3:23141452:23141805:1 gene:ORUFI03G28110 transcript:ORUFI03G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMTSKDAAIAHLKKDIVFNQRSSSRKGEGNPRQRPKEVTKPEDVAAAGLVNHRLGFRLGPYTLDCRSPSTNSEPPTQTNGSTQHPPHRTDAPPSADFIEPPPLRASLEPLRSTTR >ORUFI03G28120.1 pep chromosome:OR_W1943:3:23141771:23142411:-1 gene:ORUFI03G28120 transcript:ORUFI03G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPTAARGRGGRVPRPPRCRRGALRGQVGGVARQPGDGGAGGLRRNRSSSSVTGVGASPDGSVKGADGGESSSSLPVGTLTLLGTPPLLCGEFLGWIEAAARQRGKLRMPKQCHLVPGSLSAKTGEAASGWWNGGVLG >ORUFI03G28130.1 pep chromosome:OR_W1943:3:23152721:23153359:1 gene:ORUFI03G28130 transcript:ORUFI03G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSIRGFAGAAALGGLLLLALLLCPPPPCAAARPLATTTTTSSEEEAMTIIALAPSAWSPGGGGRRQWRAAGAAAAKWLPFVAGGAAGRYPYGRPLWGLPAPAAGRMVPWAAATAAPGLAFRTGGQLTEEEAPRGGEDAAAAARQEQAAMWASLLNPAQVRPAPAWPMAGNGEAEAPPADAEPTAEGMDAGDEPPAGGMLVGQPKWPVSP >ORUFI03G28140.1 pep chromosome:OR_W1943:3:23156332:23172886:1 gene:ORUFI03G28140 transcript:ORUFI03G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRKVRNVNKRYAKINEDWQDKDATNVHKSKVRKKKLSDMLGSQWSKDELERFYGSYRKYGKDWRKVASSIRDRTSEMVEALYNMNKAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDHESNGSPKTSRKPRKRGRAKFQSVSKASDTQHPDQLQSQPASSSYGCLSLLKKKRSGGNKPRAVGKRTPRVPVASMYQRDEKIGPTNRQAKPDGNGDDEGAHVAALALAEVFQRGGSPQDSQTPGRSGDRMFLSPVKSTDRKNADSEMGSSKLHGFQVDADFPEGSLGSREAETGDYPKYASYLMNNEGSASGKSQQKVKRTQRRRKKAARKTDDQLEDDREACSGTEEGHSAKKTKDESEVNGLGRKGRWPSKKSNKRNRQLFFGDESSALDALHTLADLSVNILQPSSIVESVLLKLRPMTFPESSAQIKDENKDNDSDEKPSMPAAVSVLEKKDKSKSTVKKVKRQSELASADMAARKKARIAKVPNRDGIAISETKQLDSKFGVQTEKKKRKPSAAKISKDEKSALKDVEKTEWMEISDLDNVSAEEGKVSSNKGMHTHVSPVSNHMINSTAHTDFGNVAMDTVDTTQGATTQQADLASKGRSRRKIGILKALAPECRPTDGADDLRSDKFSYAVNNVIDLKDSLSHCLSSRLLRRWCTFEWFYSAIDFPWFEKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLQEEREKLAQYRESVRQHYAELRSGVREGLPTDLARPLGVGQRVIACHPRTRELHDGNVLNVDHNRCRVQFDRPELGVEFVMDIDCMPLHPLENFPESLRRQNIVNKYYNSFSEAKFEDRSKELGTGGPTRFTSNVCFDGGDATSNIPSNYPINTLMKQAKGDTVDSIAQAKVAVNEVAVAAQQSMYSQPCTLSQIQEREADIRALAELSRALDKKASLKGLVLMFSVEALLVELRHMNEEVYGRQKDGEAFRDFEHFRKQYAMVLVQLRDSNDHVASALLSLRQRNTYHGHPAQSYPKPMENGALTGTPDLYNLFGYINQESGSQVMEVIETSRSRAKLMVDVAIQAMCSVSEGEDAYAKVGEALDNLNNRSTGSGSSILGIRRIPPDSGQANSSHQDNTTSGHFDPATNNISSPRLPNGCDSEPQFPSELISSCVATILMIQNCTEKQYHPAEVAHILDSALSRLQPCSSQNVTIFREIEMCMGIIKNQMLALIPTPSG >ORUFI03G28140.2 pep chromosome:OR_W1943:3:23156644:23172886:1 gene:ORUFI03G28140 transcript:ORUFI03G28140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRKVRNVNKRYAKINEDWQDKDATNVHKSKVRKKKLSDMLGSQWSKDELERFYGSYRKYGKDWRKVASSIRDRTSEMVEALYNMNKAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDHESNGSPKTSRKPRKRGRAKFQSVSKASDTQHPDQLQSQPASSSYGCLSLLKKKRSGGNKPRAVGKRTPRVPVASMYQRDEKIGPTNRQAKPDGNGDDEGAHVAALALAEVFQRGGSPQDSQTPGRSGDRMFLSPVKSTDRKNADSEMGSSKLHGFQVDADFPEGSLGSREAETGDYPKYASYLMNNEGSASGKSQQKVKRTQRRRKKAARKTDDQLEDDREACSGTEEGHSAKKTKDESEVNGLGRKGRWPSKKSNKRNRQLFFGDESSALDALHTLADLSVNILQPSSIVESVLLKLRPMTFPESSAQIKDENKDNDSDEKPSMPAAVSVLEKKDKSKSTVKKVKRQSELASADMAARKKARIAKVPNRDGIAISETKQLDSKFGVQTEKKKRKPSAAKISKDEKSALKDVEKTEWMEISDLDNVSAEEGKVSSNKGMHTHVSPVSNHMINSTAHTDFGNVAMDTVDTTQGATTQQADLASKGRSRRKIGILKALAPECRPTDGADDLRSDKFSYAVNNVIDLKDSLSHCLSSRLLRRWCTFEWFYSAIDFPWFEKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLQEEREKLAQYRESVRQHYAELRSGVREGLPTDLARPLGVGQRVIACHPRTRELHDGNVLNVDHNRCRVQFDRPELGVEFVMDIDCMPLHPLENFPESLRRQNIVNKYYNSFSEAKFEDRSKELGTGGPTRFTSNVCFDGGDATSNIPSNYPINTLMKQAKGDTVDSIAQAKVAVNEVAVAAQQSMYSQPCTLSQIQEREADIRALAELSRALDKKASLKGLVLMFSVEALLVELRHMNEEVYGRQKDGEAFRDFEHFRKQYAMVLVQLRDSNDHVASALLSLRQRNTYHGHPAQSYPKPMENGALTGTPDLYNLFGYINQESGSQVMEVIETSRSRAKLMVDVAIQAMCSVSEGEDAYAKVGEALDNLNNRSTGSGSSILGIRRIPPDSGQANSSHQDNTTSGHFDPATNNISSPRLPNGCDSEPQFPSELISSCVATILMIQNCTEKQYHPAEVAHILDSALSRLQPCSSQNVTIFREIEMCMGIIKNQMLALIPTPSG >ORUFI03G28150.1 pep chromosome:OR_W1943:3:23182409:23187644:1 gene:ORUFI03G28150 transcript:ORUFI03G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWSNMGDASRTLGEDDNLIELLWCNGHVVMQSQNHHRKLPPRPPEKAAAAAVQEDEAGLWFPFALADSLEKDIFSDLFYEAPVAATAEAAPAGPGAGADGEGKTCKGDAAMAEEERGGPGAASEAPRELMPPPKSTNASCSRQQTMSLADGGDNAGDLSELVRARRSSGGAARRKAEAGGGGGGASSSMLSAIGSSICGSNQVQVQQRTASEPGRRGAPPSAVGSANAIPCGGRDHGHGHEATTVASSSGRSNCCFGTTTTTEPTSTSNRSSKRKRLDTTEDSESPSEDAESESAALARKPPAKMTTARRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWMGSGMAPPVMFPGVHQYLPRMGVGMGAAAAAMPRMPEWGIIRWGPRPCSSSKIHHFTIINKKE >ORUFI03G28150.2 pep chromosome:OR_W1943:3:23182457:23183095:1 gene:ORUFI03G28150 transcript:ORUFI03G28150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSYLKAHRLCQAKPGPPCSASASSSSSLLCFCSLASLLPIGSFFSSEGEIVVSSSMVAAI >ORUFI03G28160.1 pep chromosome:OR_W1943:3:23203176:23203757:-1 gene:ORUFI03G28160 transcript:ORUFI03G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSLALSFLVLLVLATFTTTTVLATNNLPPSSAPALSPASSAAAKEFLRATCTSKSELPELCFDILLPYASSFNGSQGKVARASAAIAIERHRGLLDELRGLKPGPGDVGAERRMLVMLLSDCVRDFDATYMFADETLARIDFLVSGRGSEEQRASDKLRANVWLTSAMDSGLTRKEAMAAPPRRPWGRR >ORUFI03G28170.1 pep chromosome:OR_W1943:3:23205340:23205900:1 gene:ORUFI03G28170 transcript:ORUFI03G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKTIKERVVPKRKEGEGEADMAGEEPARAEAGAELQEGTGGREQAAASTIQVRTTQASTQATASIVPSIAQATTSIVPGTAQAIAEAEATATQGAEMEAMHQNMIRLQDTLSQMQEQHQVYEAALQAKTTLSTSASPNPSSTPATAAQAIQASTAIAQANQASTPLLLLFCKFYFFVNKPILL >ORUFI03G28180.1 pep chromosome:OR_W1943:3:23212931:23217449:-1 gene:ORUFI03G28180 transcript:ORUFI03G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRAEPRLVVLLPNPTLLAIPPPRRRRRREEPPPCCWCSVDRRAVGALPFPGATTTTTHCRRGVGGLRCGGLVSFLLLLLLRRSQIVCHGCRSVLRYPSGAPSVCCALCQAITTVPPPAPVMEMAHLICGGCRTLLMYTRNADTVRCSCCSTVNLVRPVNNIAHVSCGQCRTTLMYPYGAPSVKCAICHYITNTGMNTVAPTPSPMPTSSGSSYNAPPSGSSYNAPPPTSAPTSRPQNVTVVVENPMTVDEKGKLVSNVVVGVTTGK >ORUFI03G28180.2 pep chromosome:OR_W1943:3:23212931:23217449:-1 gene:ORUFI03G28180 transcript:ORUFI03G28180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRAEPRLVVLLPNPTLLAIPPPRRRRRREEPPPCCWCSVDRRAVGALPFPGATTTTTHCRRGVGGLRCGGLVSFLLLLLLRRSQIVCHGCRSVLRYPSGAPSVCCALCQAITTVPPPAPVMEMAHLICGGCRTLLMYTRNADTVRCSCCSTVNLVRPVNNIAHVSCGQCRTTLMYPYGAPSVKCAICHYITNTGPTSRPQNVTVVVENPMTVDEKGKLVSNVVVGVTTGK >ORUFI03G28190.1 pep chromosome:OR_W1943:3:23229725:23236890:1 gene:ORUFI03G28190 transcript:ORUFI03G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVAASSRFGPAHLLPRSRRKGRAPAPSARATACGAVGRGRRLRCEFVAGGGNGALSGEDDPRLIDRQKALDAAMNDINSSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLMFLNQIRYKIGVFYGNPEVTSGGIALKFFASVRLEIRPIGKIKSAKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCVLDCAELMEVVAKKGSWYSYKEMRLGQGREKALQYLRESPTICDEIEKAVRAMIPEGARHMSLLAFGRSSLTEEEQLLRCGEVKPVSSPGRQLQQRIQAPHIEVPAYIA >ORUFI03G28190.2 pep chromosome:OR_W1943:3:23229725:23236890:1 gene:ORUFI03G28190 transcript:ORUFI03G28190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVAASSRFGPAHLLPRSRRKGRAPAPSARATACGAVGRGRRLRCEFVAGGGNGALSGEDDPRLIDRQKALDAAMNDINSSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLMFLNQIRYKAKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCVLDCAELMEVVAKKGSWYSYKEMRLGQGREKALQYLRESPTICDEIEKAVRAMIPEGARHMSLLAFGRSSLTEEEQLLRCGEVKPVSSPGRQLQQRIQAPHIEVPAYIA >ORUFI03G28200.1 pep chromosome:OR_W1943:3:23235449:23238615:-1 gene:ORUFI03G28200 transcript:ORUFI03G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKKKVDPKEALRTSKREMSVATRGVEREIGSLQMEEKKLVAEIKKTAKTGNEAMYAGTSISAGMKGASKAMAAMNKQMEPTKQIKVMREFQKQSNQLDMTLEMMSDAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIAASNRKAESNQARNAAPPRNNVEPESSAEVDDLERRLASLRRI >ORUFI03G28210.1 pep chromosome:OR_W1943:3:23248215:23248745:-1 gene:ORUFI03G28210 transcript:ORUFI03G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPFASGGRRRRWRPGGASLGLGRGGPPLSGDPAKGYGGRYDDHDEGNYRDPNDDCEYGDHDDDRGFRARGFTSASLRSNVIEGEVRSILGGADPPLLLKRWRGAMAAASRAISTIMGADNDVGMGARGFGFCFFFGNFIFLSPDFVFADGRQNAPYAEISFSHAIQLPIWKKF >ORUFI03G28220.1 pep chromosome:OR_W1943:3:23255264:23256610:-1 gene:ORUFI03G28220 transcript:ORUFI03G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWALAMASSPMAMDADKLSYEIFSLLESKFLFGAGGGGCLSSGPCTPARPFLGGGGGMDGRVRVLAIDGCGSSGAGDALLAAAALARLEAGLRKRTGDSDARVADFFDVAAGAGAGGVLAAMLFLRGPDGRPRYTAEEALEFVAASVGRDWAGRRGRWARLFRGGARGAERSFRRVFGDATLKDTVAPLLVPCYDLATAAPFMFSRADAVESDSYDFALRDVCAATCAAGSTAAAVRSVDGRTAIAAASGGVAAMGNPAAAAITHVLHNKQEFPLATTVDDILVLSIGTGASTSATATPMPTRSPSPREMARVTAEGVADMVDESVAMAFGHTSGSSSNYVRIQASKAATALHGAAAAGAMLSQRNVESVLFRGRRMSERTNAEKVDAAAAEVVKEHERRRRSPLPNVVIKQVGTPRVSSATTASSGTARTAASTLASPASYGSRQ >ORUFI03G28230.1 pep chromosome:OR_W1943:3:23260320:23267993:-1 gene:ORUFI03G28230 transcript:ORUFI03G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKATAAEEEEFRAEVEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDRAEPAGKDHSVQKMVLGTHTSDNEPNYLMLAQVQLPLDDAEADARHYDDDHAEIGGFGAASGKVQIVQQINHDGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYGLSWSIFKEGHLLSGSDDAQICLWDIKANSKNKTLDALQIFKYHDGVVEDVAWHLRHEYLFGSVGDDHNLLIWDLRSPVSTKPVQSVAAHQGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDTSLHTFDCHKEEVFQVGWSPKNETILASCCLGRRLMVWDLSRIDQEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDVPTDDPAKAP >ORUFI03G28240.1 pep chromosome:OR_W1943:3:23269031:23271250:-1 gene:ORUFI03G28240 transcript:ORUFI03G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPLAWFRSLVNNEDVVAIKQMQHLILGRLQDSNAVLTHFNEYSEQCFAEVSNDFAGKTRLLKSMKADLDHIFLKLRGMKSRLAATYPDAFPTGAMAETMDQRPDLESPLD >ORUFI03G28250.1 pep chromosome:OR_W1943:3:23269892:23270098:1 gene:ORUFI03G28250 transcript:ORUFI03G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQHGSARQSTRRKYHIQNHKGSYLKVQQNWTGGSSIQVASRVLANGSYSKISIGLPNFVLNQLRGS >ORUFI03G28260.1 pep chromosome:OR_W1943:3:23271266:23274033:-1 gene:ORUFI03G28260 transcript:ORUFI03G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQSRLIDTQVKKEKERKGDMEEWSPPSSPSLVVRSPRQTVSLLRNRHPWRESRSPPTSTSTSLAGGPKLSEVYGFVGSITTVIATTVYLVWAYMPERCLRSLGITYYPSRYWALAVPSFVIVATALCMVVYVGFNFLATPPPTSFNTIFDEYSRERTMFDPANANATGEEEEVERPIEPISDISIDQINSLMRDFCGS >ORUFI03G28260.2 pep chromosome:OR_W1943:3:23271266:23272502:-1 gene:ORUFI03G28260 transcript:ORUFI03G28260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWSPPSSPSLVVRSPRQTVSLLRNRHPWRESRSPPTSTSTSLAGGPKLSEVYGFVGSITTVIATTVYLVWAYMPERCLRSLGITYYPSRYWALAVPSFVIVATALCMVVYVGFNFLATPPPTSFNTIFDEYSRERTMFDPANANATGEEEEVERPIEPISDISIDQINSLMRDFCGS >ORUFI03G28260.3 pep chromosome:OR_W1943:3:23271736:23272502:-1 gene:ORUFI03G28260 transcript:ORUFI03G28260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWSPPSSPSLVVRSPRQTVSLLRNRHPWRESRSPPTSTSTSLAGGPKLSEVYGFVGSITTVIATTVYLVWAYMPERCLRSLGITYYPSRYWALAVPSFVIVATALCMVVYVGFNFLATPPPTSFNTIFDEYSRERTMFDPANANATGEEEEVERPIEPISDISIDQINSLMFGDLQKRAQQF >ORUFI03G28270.1 pep chromosome:OR_W1943:3:23277100:23280029:-1 gene:ORUFI03G28270 transcript:ORUFI03G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPPETAAAAAEVAARFRSLVDTGDIGAIRQTQHLILGRLQDSNAVLTHFNEYSEQCFAEVSNDFASKTRLLKSMKDDLDHIFLKLRSMKSRLAATYPDAFPDGAMAKTMDQRPDLESPLD >ORUFI03G28280.1 pep chromosome:OR_W1943:3:23290520:23295815:-1 gene:ORUFI03G28280 transcript:ORUFI03G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMVAAVHGVGRQDRSSPGGGGAPQVDTGKYVRYTPEQVEALERVYGECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSRLVYENGYMRQQLHNPSVATTDTSCESVVTSGQHHQQQNPAATRPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDVLHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWILRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFVRAEVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEMPYGGGRQPAVLRTFSQRLSRGFNDAVNGFPDDGWSLMSSDGAEDVTIAFNSSPNKLVGSHVNSSQLFSAIGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAAALRASPYAVPGLRAGGFMGSQVILPLAHTLEHEEFLEVIRLEGHSLCHDEVVLSRDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLPSGFRVIPLDGKTDAPSATRTLDLASTLEVGSGGTTRASSDTSSTCNTRSVLTIAFQFSYENHLRESVAAMARQYVRTVVASVQRVAMAIAPSRLGGQIETKNPPGSPEAHTLARWIGRSYRFHTGADLLRTDSQSTDSSLKAMWQHSDSIMCCSLKAAPVFTFANQAGLDMLETTLIALQDISLEKILDDDGRKALCTEFPKIMQQGFAYLPGGVCVSSMGRPVSYEQAVAWKVLSDDDTPHCLAFMFVNWSFV >ORUFI03G28290.1 pep chromosome:OR_W1943:3:23297669:23297977:1 gene:ORUFI03G28290 transcript:ORUFI03G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTDVFLSWFATVLDVDMVEKVRSSLLRVLGLLRGGQASMLGKRACFCSNSARSDSEIAAMEAKAVDGFTAEKETCGKASFAMCPTIFHSKDCLLVPIVL >ORUFI03G28300.1 pep chromosome:OR_W1943:3:23302415:23302738:-1 gene:ORUFI03G28300 transcript:ORUFI03G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQVEALEQPSDVLETPAGALEQPAVALEKPAVPLEQLADMLKKPAVVETAAMSGASSSATSNGTRVAREEEEKSMRRRRRRARTRPIARENESKGQRTPILRART >ORUFI03G28310.1 pep chromosome:OR_W1943:3:23327242:23336204:-1 gene:ORUFI03G28310 transcript:ORUFI03G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDGGGGGVRRRGCGCSKEDFFPEESFSSWEAYGRALRSTGARLADRLTARSLDATELHEVRARSGADMKRDLTWWDLAWFGVGAVIGAGIFVLTGQEARNAVGPAVVISYVVSGVSAMLSVFCYTEFAVEIPVAGQRWNQPSVAFFTVKLIGLVRDPLTLILESCSPDTDCGSFAYLRVELGDFMAFVAAGNILLEYCIGGAAVARAWTSYFATLLNHHPNDFRIHAASLAADYSRLDPIAVAVIAIICLLSVLSTKASSRFNYVLSVLHVAVIAFIIVAGLTKADAANLTRHFMPYGPRGVFAASAVLFFAYIGFDAVSTMAEETRDPARDIPVGLVGAMALTTALYCALAVTLCLMVPYGEIDPDAPFSVAFADRGMGWAKYVVAFGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLARVHPGTGTPVNATVAMLLATAVIAFFTDLNVLSNLLSISTLFIFMLVAVALLVRRYYVSGETSRADRNRLAACIAAILASSVATATCWGLDRGGWVPYAVTVPAWLAATASLWALVPQARAPKLWGVPMVPWLPSASIAINVFLLGSIDSKSFMRFGIWTAALLVYYLFVGLHASYDTAKALAADAVAGKVEDGDAKTSAPPML >ORUFI03G28320.1 pep chromosome:OR_W1943:3:23339552:23344283:-1 gene:ORUFI03G28320 transcript:ORUFI03G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVKLGSKPDAFRRQGQAWFCTTGLPSDVTVEVGDMSFHLHKFPLLSKSAFLERLIEETSDQDECVIILNDIPGGAKSFELVARFCYGVKIELSSENVVYLRCASEHLQMTEEIAEDNLISQSEIFLYQVIIRNWKDSLKALETCEDLLPHAENLQIVKRCIESLASKATTDPNLFGWPIREHGIMQSPGGSVLWNGISTGARPRNFSSNWWYEDASALSFHMYKRLISTMESRGIRPEIIAGSLTYYAKKYLPGLNRRHSMGAVPLTATLSEVEQKNLLEEIDRLLPVQKGLASTRVLLGLLRTAMILKASPTCISNLEKRIGMQLDHATLEDLLLPNFSYTMETLYNVECVQRILDHFLAMDQANGAASPCLDDVMASPSLAPITTVAKLIDGYLAEIAPDINLKLPKFQALASAVPEYARPLDDGLYRAIDIYLKAHSWLSEAEREQLCRLMDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLLVSDNLEGSRPLRSSITTSGEAGGWATAVRENQVLKVGMDNMRMRLSELEKECSTMRQEIQKLGRGKSGGWASRVPKKFNLKLKSQMCSAQEGSVSEQHKSMSAKLDKLQAKVSRQKKQLAGDA >ORUFI03G28330.1 pep chromosome:OR_W1943:3:23357627:23358571:-1 gene:ORUFI03G28330 transcript:ORUFI03G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVYPFFLLPLSSPYLSFPLFGSSASRGQHGGRVAAASRETSQSSRGPSARHPKLAGAPSGRRQQTPAGHALGALGGSDRMELGEGALGRWRQQMAVTERESTTGGGDDGSGTELGARRKRRCNRRDQRDARCKRRSNQRDQSPLQGCRTPPKPPLDLPPHSSTSLLPTHATEVAAHCRGRYSTRRVPLPLIERLRRRRPAPVAAAAGFHEAPRPRPRRRSPSTPLQANRLRIDATSAPPGRRRCPSPPTISRSIPPARERPCLPTASRGEEKGDQDVEREGRSGRRKKRKREMTCGPHMSVGPTTFCVCE >ORUFI03G28340.1 pep chromosome:OR_W1943:3:23363472:23365400:1 gene:ORUFI03G28340 transcript:ORUFI03G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIAAVMLAAAAPAPAPAGTTCEQLESVARSCTGYLKRSLIFLNDACCDGAESVYDALTTDAAVDLGFVCRCLRGFVISESLRPYLYRVANLPRLWRDESRQRMFDHLGWGVPDRAVDC >ORUFI03G28350.1 pep chromosome:OR_W1943:3:23369151:23374690:1 gene:ORUFI03G28350 transcript:ORUFI03G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHLIKSCLSSSGPTRHNPFGGLLVTLPPCPPLPPPAIPIHPASEQGAVVLPATSILVSTSSATADPQPSRERASDRRSSCCFRHIGGPWTCTLQMSGFNRMGSDGNFGKGPRELTGAVDLISRYKLLNHHSFFCKKPLPLAISDTNYLHNVVGDTEIRKGEGMELDQLFQDAYLREKTSYIQPFDMETLGQAFQLRETAPIDLPSAEKGTPTISGKSKIKSKDKVKKHKRHKEKDKDKYKDQKKHKHRHKDRSKDKEKEKEKEKEKEKKKDKSAHHDSGADRSKKHHEKKRKQEGLEDLASGHNPKKVQKRKNQ >ORUFI03G28350.2 pep chromosome:OR_W1943:3:23369151:23373994:1 gene:ORUFI03G28350 transcript:ORUFI03G28350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHLIKSCLSSSGPTRHNPFGGLLVTLPPCPPLPPPAIPIHPASEQGAVVLPATSILVSTSSATADPQPSRERASDRRSSCCFRHIGGPWTCTLQMSGFNRMGSDGNFGKGPRELTGAVDLISRYKLLNHHSFFCKKPLPLAISDTNYLHNVVGDTEIRKGEGMELDQLFQDAYLREKTSYIQPFDMETLGQAFQLRETAPIDLPSAEKGTPTISGKSKIKSKDKVKKHKRHKEKDKDKYKDQKKHKHRHKDRSKDKEKEKEKEKEKEKKKDKSAHHDSGADRSKKHHEKKRKQEGLEDLASGHNPKKATCNGNFIPSW >ORUFI03G28350.3 pep chromosome:OR_W1943:3:23369151:23373751:1 gene:ORUFI03G28350 transcript:ORUFI03G28350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHLIKSCLSSSGPTRHNPFGGLLVTLPPCPPLPPPAIPIHPASEQGAVVLPATSILVSTSSATADPQPSRERASDRRSSCCFRHIGGPWTCTLQMSGFNRMGSDGNFGKGPRELTGAVDLISRYKLLNHHSFFCKKPLPLAISDTNYLHNVVGDTEIRKGEGMELDQLFQDAYLREKTSYIQPFDMETLGQAFQLRETAPIDLPSAEKGTPTISGKSKIKSKDKVKKHKRHKEKDKDKYKDQKKHKHRHKDRSKDKEKEKEKEKEKEKKKDKSAHHDSGADRSKKHHEKKRKQEGLEDLASGHNPKKVTLIF >ORUFI03G28360.1 pep chromosome:OR_W1943:3:23386323:23387708:1 gene:ORUFI03G28360 transcript:ORUFI03G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASPTSSSSLPPKPPNSAAMLVEQQPLSYHDVDAASTPSSSVSSSSTASVGGRSSTFSLDSAATATPTSSPPRPHRAADVAWAPIRAAAAPLGPRDFTLVRRVGAGDIGTVYLCRLDGKRGAGSPSPCEYAMKVVDRRALAKKGKLGRAAAEKRVLRRLDHPFLPTMFADFDAGQDYSCVVMEFCPGGDLHSLRHRVPGRRFPVASARFYAAEVLLALEYLHMMGIVYRDLKPENVLIRADGHIMLTDFDLSLESTASPALEDARNGADDDPATPTCLPEVQLFRLRRWRRRAAPRRRPRFVAEPVDARSSSFVGTHEYVAPEVARGGGHGAGVDWWAYGVFLYELLYGRTPFVGATNEATLRNIVRRPLEFPPDAAGGGSPHDAAARDLIARLLDKDPRSRLGSRRGAADVKSHAFFKGLNFALLRSSAPPVVPPPAVAAAQCSKAADVPQLFDLF >ORUFI03G28370.1 pep chromosome:OR_W1943:3:23392205:23393778:-1 gene:ORUFI03G28370 transcript:ORUFI03G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSYRGNCICFGRYALQALEPTWITARQIEAGRRAMTRYARHDNSGARKLMCIRVIGAASSQRYARIGDVIVAVIKDAVPQMPLERSESLMGKDTIADLLTSVRNVDMNKKGTVRVVSTNITENIVKILLREGFIESVRKHQESNRYFLVSTLRHQKRKTRKGIYRTRTFLKRISRPGLRIYANYQGIPKVLGGMGIAILSTSRGIMTDREARLNKIGGEVLCYIW >ORUFI03G28380.1 pep chromosome:OR_W1943:3:23395409:23395780:1 gene:ORUFI03G28380 transcript:ORUFI03G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAGAAATSASAAAATSATVVAAEWIRLPAGVWRPDPSPAAAERPDLWPATAGRPDPSLAAAGRPDPWPTTAERPDPSPATAGMTAVDIGQGGDDDDGGSDDGGGGSGDGGSGSGGGVDNG >ORUFI03G28390.1 pep chromosome:OR_W1943:3:23396518:23396937:1 gene:ORUFI03G28390 transcript:ORUFI03G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTESSTASDPTNHTSLTSHRAPLPSTETASAIIAASSLSPRRAIIAASPISSAPPPTIRHRPHTVPPPLPQQPSLTNRRRRPHTDAVL >ORUFI03G28400.1 pep chromosome:OR_W1943:3:23396955:23398365:1 gene:ORUFI03G28400 transcript:ORUFI03G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSSPNGAGLSASEEIMERSRAAARAVPHHPGHLDLPPSNRDEAKSASLLPPGYGHMLSLRLGLQLRQLEDRQLLKVLFLGQSISQPLLFVQSKKHRRP >ORUFI03G28410.1 pep chromosome:OR_W1943:3:23401636:23402998:-1 gene:ORUFI03G28410 transcript:ORUFI03G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCLLVIQLQRRKHIQEKQQYFKQNGGLRLFDEMVSRQVDTVRVLTEDELKKATNNFSDDQVIGCGGHGTVYRGTLDDLREVAIKRSKAAVDGGGGGGCEDEFVNEIIVLSQINHRHVVRLLGCCLEVHVPMLVYEFVPNGTLFDLLQGGTAARRRPVSLGLRLKIAAQSAEALAYLHSSASRAILHGDSAEALAYLHSSASRAILHGDVKSLNILLDGALDAKVADFGASVLRSAMGEGESFIEYVQGTLGYLDPESFVSRHLTDKSDVYSFGVVLAELATRRKAVYDDDDASCSGHGGQKRSLSTAFLAALRHGELWSVLDRELVRRPDDDGDGDDKAAVDVVRELAELAARCLGPSGDERPAMKEVAERLQVLRRRAEMRAVAGAGRDSNGGEVDRSWIMCGGGGGGAVGRGHLDTNTTASYQSTETDKMPLTLSVNDLAR >ORUFI03G28420.1 pep chromosome:OR_W1943:3:23404729:23405828:-1 gene:ORUFI03G28420 transcript:ORUFI03G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDPGAQADVIDFSPERGELRLYAGLSYACYASSATSPSTNATFAFSLVGTPFRVSPSRNRLTVVGCSALGLVVGTASGGGGDDDDLYATGCFTYCAELNAAGADGAPCAGAGCCQVPISPDIPYLGAAFRTGNWTNTAWRFNPCFYAMVAEDGWYSFRRRDLVGVLAYYNETVDAGRGVPVVIDWAVRDGWCPATAEERARRKYACVSGNSDCVNSSNGMGKL >ORUFI03G28430.1 pep chromosome:OR_W1943:3:23405879:23420628:-1 gene:ORUFI03G28430 transcript:ORUFI03G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAGDAALPPPPPPPPPSSVIFLGTGCSGALPDTRCLLRPSAPPCAVCSLGVSLPPEQNPNYRALGHQPWIRKRVVAGRKPSLGSFEPRQTAAAAFPSLLFLKTSRSRLGSFVGLAAVGHAKRQPVRISHRLVRVVQKKNVGELNVMFSFRCNTSLLIDYCQDDGTHEYIIIDVGKTFREQFLRHPHQHKYTTNQESIQRSMESSSSSVIFLGTGCSRTLPNTRCLLRPSAPPCVIILTHEHADAVLGLDDVWMVQPKGCSNDFRRVPIFLTQFTMDSVVARFPYLLKNKLEEGDEVSQVAQLDWRIIEGDIDKPFVSSGLEFVPLPVMHGEGNICLGFLFGRKAKIAYLSDVSRFLPETEYAISKSGAGQLDLLILETNTLHGKTLDAVKRISPKRALLIGMRHEFEHYRENQNLAEWSSREGIPVQLAHDGLRFRFLLLLLFLSTATSSADAAVSSTSGRAMSLPGCPDKCGDVSIPYPFGVGDRCAAVGLNPXAPSPAATAAPPPA >ORUFI03G28430.2 pep chromosome:OR_W1943:3:23405879:23420628:-1 gene:ORUFI03G28430 transcript:ORUFI03G28430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAGDAALPPPPPPPPPSSVIFLGTGCSGALPDTRCLLRPSAPPCAVCSLGVSLPPEQNPNYRALGHQPWIRKRVVAGRKPSLGSFEPRQTAAAAFPSLLFLKTSISHRLVRVVQKKNVGELNVMFSFRCNTSLLIDYCQDDGTHEYIIIDVGKTFREQFLRHPHQHKYTTNQESIQRSMESSSSSVIFLGTGCSRTLPNTRCLLRPSAPPCVIILTHEHADAVLGLDDVWMVQPKGCSNDFRRVPIFLTQFTMDSVVARFPYLLKNKLEEGDEVSQVAQLDWRIIEGDIDKPFVSSGLEFVPLPVMHGEGNICLGFLFGRKAKIAYLSDVSRFLPETEYAISKSGAGQLDLLILETNTLHGKTLDAVKRISPKRALLIGMRHEFEHYRENQNLAEWSSREGIPVQLAHDGLRFRFLLLLLFLSTATSSADAAVSSTSGRAMSLPGCPDKCGDVSIPYPFGVGDRCAAVGLNPXAPSPAATAAPPPA >ORUFI03G28440.1 pep chromosome:OR_W1943:3:23420765:23436833:-1 gene:ORUFI03G28440 transcript:ORUFI03G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSVIFLGTGCSGALPDARCLIHPSTPPCPVCSQSLSLPPERNPNYRCNTSLLIDYCQHDGIHKYILIDVGKTFREQVLRWFSHHKIPYVDSIILTHEHADAVLGLDDVWVIQPSGCGNGFGQLPIFLTQFTMDSVAARFPYLMKNNLEEGDEGSQVIQLDWRIIEGDIDKPFVSSGLEFVPLPVMHGEDYVCLGFLFGRKARIAYLSDVSRILPRTEHAISKSGAGQLDLLIIETNELHGEGDAGSCHLTLSQTLNAVKRLSPKRALLIGMNHEFEHHKENQTLAEWSSREGIPVQLAHDGLLSSSSGVSSAAAGRAMSLPGCPDKCGDVSIPYPFGVGDRCAAVGLNPYFNITCDDAARPPVPKLGDPGMQAEVIDITLERGEVRMNGFISYVCYTSNTSSTNATGQFVVGGTQLRVSPSRNQLTVIGCSTLGLTGGAYSGPGGRSEPDDDVYYATGCYTYCGSLNSTGADGAPCAGTGCCQVPITADLASVGARFPSNWVSSSWRFNPCFYAMIAEVGWYSFRRSHLVGVLGIVNDSNIMRRVPVVLDWAVRDGWCPATAEEKARRKYACVSGNSHCVNSSNGMGYTCSCLQGYEGNPYLEDGCQGSYRCKCKTGTKPDGTNFGCQQVLPMAAKVIIGLSACSIFVMALSCLLVIQLQRRRHIIEKQEYFRRNGGLRLYDEMVSRQVDTVRVLTVDELKKATDNFSDARVLGRGGHGTVYRGTLDDLREVAIKRSKAASAEALAYLHSSASRAILHGDVKSLNILLDGALDAKVADFGASALRSMGEGEFIEFVQGTLGYLDPESFVSRELTDKSDVYSFGIVLAELITRKKAVYDDGGGGGSGEKRSLSSTFLAASSRGELWRVVDRDIMDGDGDADAAVVRELARVAEECMGARGEERPAMKEVAERLQVLRRVEMQAAAAGVEVVDGFNGGGLVGRHGHLDTTMTTTTTSYYQSMETDKLQLDVDDLQNSSKESVGPAMEPPAGDAASSSLIFLGTGCSTVVPDTRCLIRPSSTPPCPICSQALSLPPHRNPNYRCNTSLFIDYCDNDGTHRYIFFDARTHKYIIIDVGKTFREQVLRWFVCHKIPWVNSIIPTHEHADAILGLDDVWMIRPSDGRNDFGQVPVFLTKFTMDSKIPLLSEGDEASQVAQLDWRIIEGDIEKPFISSGLEFVPLPVMHGEGYVCLGFPIWEALDAVKRISPKRALLTGMAHEIKYCKENQNLAEWSSREGIPVQLAHDGLRVFINLQVLKRKMENDFPPIGTIAGGCKCNTNSIKSQR >ORUFI03G28440.2 pep chromosome:OR_W1943:3:23420765:23436833:-1 gene:ORUFI03G28440 transcript:ORUFI03G28440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSVIFLGTGCSGALPDARCLIHPSTPPCPVCSQSLSLPPERNPNYRCNTSLLIDYCQHDGIHKYILIDVGKTFREQVLRWFSHHKIPYVDSIILTHEHADAVLGLDDVWVIQPSGCGNGFGQLPIFLTQFTMDSVAARFPYLMKNNLEEGDEGSQVIQLDWRIIEGDIDKPFVSSGLEFVPLPVMHGEDYVCLGFLFGRKARIAYLSDVSRILPRTEHAISKSGAGQLDLLIIETNELHGEGDAGSCHLTLSQTLNAVKRLSPKRALLIGMNHEFEHHKENQTLAEWSSREGIPVQLAHDGLLSSSSGVSSAAAGRAMSLPGCPDKCGDVSIPYPFGVGDRCAAVGLNPYFNITCDDAARPPVPKLGDPGMQAEVIDITLERGEVRMNGFISYVCYTSNTSSTNATGQFVVGGTQLRVSPSRNQLTVIGCSTLGLTGGAYSGPGGRSEPDDDVYYATGCYTYCGSLNSTGADGAPCAGTGCCQVPITADLASVGARFPSNWVSSSWRFNPCFYAMIAEVGWYSFRRSHLVGVLGIVNDSNIMRRVPVVLDWAVRDGWCPATAEEKARRKYACVSGNSHCVNSSNGMGYTCSCLQGYEGNPYLEDGCQGSYRCKCKTGTKPDGTNFGCQQVLPMAAKVIIGLSACSIFVMALSCLLVIQLQRRRHIIEKQEYFRRNGGLRLYDEMVSRQVDTVRVLTVDELKKATDNFSDARVLGRGGHGTVYRGTLDDLREVAIKRSKAASAEALAYLHSSASRAILHGDVKSLNILLDGALDAKVADFGASALRSMGEGEFIEFVQGTLGYLDPESFVSRELTDKSDVYSFGIVLAELITRKKAVYDDGGGGGSGEKRSLSSTFLAASSRGELWRVVDRDIMDGDGDADAAVVRELARVAEECMGARGEERPAMKEVAERLQVLRRVEMQAAAAGVEVVDGFNGGGLVGRHGHLDTTMTTTTTSYYQSMETDKLQLDVDDLQNSSKESVGPAMEPPAGDAASSSLIFLGTGCSTVVPDTRCLIRPSSTPPCPICSQALSLPPHRNPNYRCNTSLFIDYCDNDGTHRYIFFDARTHKYIIIDVGKTFREQVLRWFVCHKIPWVNSIIPTHEHADAILGLDDVWMIRPSDGRNDFGQVPVFLTKFTMDSKIPLLSEGDEASQVAQLDWRIIEGDIEKPFISSGLEFVPLPVMHGEGYVCLGFPIWEALDAVKRISPKRALLTGMAHEIKYCKENQNLAEWSSSQVLKRKMENDFPPIGTIAGGCKCNTNSIKSQR >ORUFI03G28440.3 pep chromosome:OR_W1943:3:23420765:23436833:-1 gene:ORUFI03G28440 transcript:ORUFI03G28440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSVIFLGTGCSGALPDARCLIHPSTPPCPVCSQSLSLPPERNPNYRCNTSLLIDYCQHDGIHKYILIDVGKTFREQVLRWFSHHKIPYVDSIILTHEHADAVLGLDDVWVIQPSGCGNGFGQLPIFLTQFTMDSVAARFPYLMKNNLEEGDEGSQVIQLDWRIIEGDIDKPFVSSGLEFVPLPVMHGEDYVCLGFLFGRKARIAYLSDVSRILPRTEHAISKSGAGQLDLLIIETNELHGEGDAGSCHLTLSQTLNAVKRLSPKRALLIGMNHEFEHHKENQTLAEWSSREGIPVQLAHDGLLSSSSGVSSAAAGRAMSLPGCPDKCGDVSIPYPFGVGDRCAAVGLNPYFNITCDDAARPPVPKLGDPGMQAEVIDITLERGEVRMNGFISYVCYTSNTSSTNATGQFVVGGTQLRVSPSRNQLTVIGCSTLGLTGGAYSGPGGRSEPDDDVYYATGCYTYCGSLNSTGADGAPCAGTGCCQVPITADLASVGARFPSNWVSSSWRFNPCFYAMIAEVGWYSFRRSHLVGVLGIVNDSNIMRRVPVVLDWAVRDGWCPATAEEKARRKYACVSGNSHCVNSSNGMGYTCSCLQGYEGNPYLEDGCQGSYRCKCKTGTKPDGTNFGCQQVLPMAAKVIIGLSACSIFVMALSCLLVIQLQRRRHIIEKQEYFRRNGGLRLYDEMVSRQVDTVRVLTVDELKKATDNFSDARVLGRGGHGTVYRGTLDDLREVAIKRSKAASAEALAYLHSSASRAILHGDVKSLNILLDGALDAKVADFGASALRSMGEGEFIEFVQGTLGYLDPESFVSRELTDKSDVYSFGIVLAELITRKKAVYDDGGGGGSGEKRSLSSTFLAASSRGELWRVVDRDIMDGDGDADAAVVRELARVAEECMGARGEERPAMKEVAERLQVLRRVEMQAAAAGVEVVDGFNGGGLVGRHGHLDTTMTTTTTSYYQSMETDKLQLDVDDLQNSSKESVGPAMEPPAGDAASSSLIFLGTGCSTVVPDTRCLIRPSSTPPCPICSQALSLPPHRNPNYSKIPLLSEGDEASQVAQLDWRIIEGDIEKPFISSGLEFVPLPVMHGEGYVCLGFPIWEALDAVKRISPKRALLTGMAHEIKYCKENQNLAEWSSREGIPVQLAHDGLRVFINLQVLKRKMENDFPPIGTIAGGCKCNTNSIKSQR >ORUFI03G28450.1 pep chromosome:OR_W1943:3:23438036:23442156:-1 gene:ORUFI03G28450 transcript:ORUFI03G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVRRSGAGLARALARGRGMCSATAAERAALTSEELMRMERERSAHNYHPIPVVFSKGEGSHILDPEGNKYIDFLSAYSAVNQGHCHPKVLRALKDQAERLTLSSRAFYNDKFPIFAEYLTSMFGYEMMLPMNTGAEGVETAIKLVRKWGYEKKKIPKNEALIVSCCGCFHGRTLGVISMSCDNDATRGFGPLVPGHLKVDFGDIDGLEKIFKEHGERICGFLFEPIQGEAGVIIPPDGYLKAVRDLCSRHNILMIADEIQTGIARTGKMLACDWENIRPDVVILGKALGAGVVPVSAVLADKDIMLCIKPGEHGSTFGGNPLASAVAVASLKVVTDEGLVERAAKLGQEFRDQLQKVQQRFPQIIREVRGRGLLNAVDLSNEALSPASAYDICIKLKERGVLAKPTHDTIIRLAPPLSISPEELAEASKAFSDVLEHDLPQLQKQIKKTESAAEKQSCDRCGRDLY >ORUFI03G28460.1 pep chromosome:OR_W1943:3:23445477:23446163:-1 gene:ORUFI03G28460 transcript:ORUFI03G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKKSVVLINCAVSMYGNRVRIALARKGVAYEEKPENLAAKSALLLSSNPVHGQVPVLLVGGKPVCESLVILEFIDEAFAGVGEPLLPAGPYERAQARFWASYIDAKLAPCAARVWRSPAGAAAVVEAARDELVAAMRTLEAELGGKRYFGGEVVGYVDVALAPFTAWFATYERFGGFSVAAECPALAAWAARCARENACVAASLPDPEFVYQFACGMRKHFGLDG >ORUFI03G28470.1 pep chromosome:OR_W1943:3:23448141:23453990:1 gene:ORUFI03G28470 transcript:ORUFI03G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCNVKRREYLNRLFFPAQPTRPTHAHNPYTGRALTARAHPHKLHTLRKRTPTRTLPLSLPTPEPPPPRPPARRLSAHVSAPSPPSPHGLCPPPESHLLRLKPPHPAPPPPRGVSAPCALRIVGGLRSRPALSGGDMKPPASAAAAAGDKGGGVDPSLPRFKCQECQRALVVVGVESFTDKLPAHAVSGMNVSSVQGSVMGASRMDNSYVVLSKQNRSHSHGIPPRPPSAGIPRTEPNQPTRAMEGSYIVLPPAAASIYKTSASEGGGAQLSPTSMNPGSPLPGNNFHSSVTVLKRAFEIATSQTQIEQPLCLDCMRLLSDKMEKEIEDVNTDNKAYEACLQRLEQETYNILSETDFQKERQKVIEEEEKKLKAAIEEAEKQYSEICSEMKCLETKSKQFEELEERYCHDLNSFQFQWTSHQEERDAVLAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFFPKFDLFAGHSLEKYRIKIHPMGSYPKVTDINQNTYELFGPVNLFWSTRFDKAMTWFLTCLQEFADFAVSLDKENNVPPDKSLKLPYKIEGDKVGSYTIFLSFNKLDNWTKALKYMLCNLKWVLYWFIGNTSFAPPSGSLHVAQSSKG >ORUFI03G28470.2 pep chromosome:OR_W1943:3:23448141:23453990:1 gene:ORUFI03G28470 transcript:ORUFI03G28470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCNVKRREYLNRLFFPAQPTRPTHAHNPYTGRALTARAHPHKLHTLRKRTPTRTLPLSLPTPEPPPPRPPARRLSAHVSAPSPPSPHGLCPPPESHLLRLKPPHPAPPPPRGVSAPCALRIVGGLRSRPALSGGDMKPPASAAAAAGDKGGGVDPSLPRFKCQECQRALVVVGVESFTDKLPAHAVSGMNVSSVQGSVMGASRMDNSYVVLSKQNRSHSHGIPPRPPSAGIPRTEPNQPTRAMEGSYIVLPPAAASIYKTSASEGGGAQLSPTSMNPGSPLPGNNFHSSVTVLKRAFEIATSQTQIEQPLCLDCMRLLSDKMEKEIEDVNTDNKAYEACLQRLEQETYNILSETDFQKERQKVIEEEEKKLKAAIEEAEKQYSEICSEMKCLETKSKQFEELEERYCHDLNSFQFQWTSHQEERDAVLAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFFPKFEYPLYSDIIFATVYLLVILLRNTGSKFTLWEAIQKFGPVNLFWSTRFDKAMTWFLTCLQEFADFAVSLDKENNVPPDKSLKLPYKIEGDKVGSYTIFLSFNKLDNWTKALKYMLCNLKWVLYWFIGNTSFAPPSGSLHVAQSSKG >ORUFI03G28470.3 pep chromosome:OR_W1943:3:23448141:23453990:1 gene:ORUFI03G28470 transcript:ORUFI03G28470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPCNVKRREYLNRLFFPAQPTRPTHAHNPYTGRALTARAHPHKLHTLRKRTPTRTLPLSLPTPEPPPPRPPARRLSAHVSAPSPPSPHGLCPPPESHLLRLKPPHPAPPPPRGVSAPCALRIVGGLRSRPALSGGDMKPPASAAAAAGDKGGGVDPSLPRFKCQECQRALVVVGVESFTDKLPAHAVSGMNVSSVQGSVMGASRMDNSYVVLSKQNRSHSHGIPPRPPSAGIPRTEPNQPTRAMEGSYIVLPPAAASIYKTSASEGGGAQLSPTSMNPGSPLPGNNFHSSVTVLKRAFEIATSQTQIEQPLCLDCMRLLSDKMEKEIEDVNTDNKAYEACLQRLEQETYNILSETDFQKERQKVIEEEEKKLKAAIEEAEKQYSEICSEMKCLETKSKQFEELEERYCHDLNSFQFQWTSHQEERDAVLAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFFPKFEYRIKIHPMGSYPKVTDINQNTYELFGPVNLFWSTRFDKAMTWFLTCLQEFADFAVSLDKENNVPPDKSLKLPYKIEGDKVGSYTIFLSFNKLDNWTKALKYMLCNLKWVLYWFIGNTSFAPPSGSLHVAQSSKG >ORUFI03G28470.4 pep chromosome:OR_W1943:3:23448141:23453990:1 gene:ORUFI03G28470 transcript:ORUFI03G28470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPCNVKRREYLNRLFFPAQPTRPTHAHNPYTGRALTARAHPHKLHTLRKRTPTRTLPLSLPTPEPPPPRPPARRLSAHVSAPSPPSPHGLCPPPESHLLRLKPPHPAPPPPRGVSAPCALRIVGGLRSRPALSGGDMKPPASAAAAAGDKGGGVDPSLPRFKCQECQRALVVVGVESFTDKLPAHAVSGMNVSSVQGSVMGASRMDNSYVVLSKQNRSHSHGIPPRPPSAGIPRTEPNQPTRAMEGSYIVLPPAAASIYKTSASEGGGAQLSPTSMNPGSPLPGNNFHSSVTVLKRAFEIATSQTQIEQPLCLDCMRLLSDKMEKEIEDVNTDNKAYEACLQRLEQETYNILSETDFQKERQKVIEEEEKKLKAAIEEAEKQYSEICSEMKCLETKSKQFEELEERYCHDLNSFQFQWTSHQEERDAVLAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYRIKIHPMGSYPKVTDINQNTYELFGPVNLFWSTRFDKAMTWFLTCLQEFADFAVSLDKENNVPPDKSLKLPYKIEGDKVGSYTIFLSFNKLDNWTKALKYMLCNLKWVLYWFIGNTSFAPPSGSLHVAQSSKG >ORUFI03G28480.1 pep chromosome:OR_W1943:3:23456640:23458487:-1 gene:ORUFI03G28480 transcript:ORUFI03G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCCPASPPPPIQASSSSLRTNSLSPTVRGGNAAPRRPLARSFSAKNRSPRARNRHAAAGDGGGYGSPEQEGRGESSLPDAEALASSLRDCGGADGVRRVHAVAVRSLDSLGTFVANNLISAYARFDEVSDARKVFDEMPERSVVSWTAMMNAYLKLGHYGEVVRLFFDMVGSGVQGNSLTFVCLLKSCGERCDAKLGQQVHCCIVKGGWSNVIVDSAIAHFYAQCGDVASASAIFDKMASRDVISWTTMITAYVQHGHGGQALRMFSEMVSEGFRPNEFTVCSVLKACAEEKAVRFGKQLHCAVLKKMYKNDIHIGSALVTMYARCGEVFDAQAVFDMMPRRNTITWTSMISGYAQSGHGEKAIFLFRKMKMRRVFVNNLTIVGLLSACGSLQSLYLGKELHAQIIKNSMEDNLQIGSTLVWFYCKCGEYTYAARILEAMPDRDAISWTALISGYNNLGHNVEALKSLDDMLWDGVKPNTYTYSSALKACAKLEALQYGRKIHGFVNKTQDFSNVFVGSSLIDMYMRCGKVDEARRVFDAMPEHNLVTWKVIITGFAQNGLCEEALKYMYLMQQEGHEVDDFVLSTVLTSCGDLQWKSISFSDSVAGSVSARQ >ORUFI03G28490.1 pep chromosome:OR_W1943:3:23459518:23459718:-1 gene:ORUFI03G28490 transcript:ORUFI03G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENINNGNRGMDPKILVERWGDAAWAREGEPGRRDRCGVRKGRRLRERIWSIRLGACKGERVPCGG >ORUFI03G28500.1 pep chromosome:OR_W1943:3:23463715:23466886:-1 gene:ORUFI03G28500 transcript:ORUFI03G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHQLDEENQRAALFHSSAPSSSLGADGEERETVPLLSCKMADDKSDTVQVSEDTAHQISIDPWYQVGFILTTGVNSAYVLGYSASIMVPLGWIGGTCGLILAAAISMYANALLAHLHEVGGKRHIRYRDLAGHIYGRKMYSLTWALQYVNLFMINTGLIILAGQALKAIYVLFRDDGVLKLPYCIALSGFVCALFAFGIPYLSALRIWLGLSTVFSLIYIMIAFVMSLRDGITTPAKDYTIPGSHSDRIFTTIGAVANLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTVGSLPLYAVTFMGYWAYGSSTSSYLLNSVKGPIWIKTVANLSAFLQTVIALHIFASPMYEFLDTRFGSGHGGPFAIHNIMFRVGVRGGYLTVNTLVAAMLPFLGDFMSLTGALSTFPLTFVLANHMYLTVKQNKMSIFRKCWHWLNVVGFSCLSVAAAVAAVRLITVDYSTYHLFADM >ORUFI03G28500.2 pep chromosome:OR_W1943:3:23463715:23467430:-1 gene:ORUFI03G28500 transcript:ORUFI03G28500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGPRDLSRGEGDRILTRKTGKSPASPPISPARRGAAPPPLPTHSTTTTTTTTTRTRAATTSLLPILPIWHGVWVPPIPPPRHSSPLPTQPPSSTAADADADPSSQSADSGGGPPLPRRRPVVVVVGEGSQRTSRAARLPERSLSLSLSLQIPSSIESLIFGGSDSTQHRIQHQLDEENQRAALFHSSAPSSSLGADGEERETVPLLSCKMADDKSDTVQVSEDTAHQISIDPWYQVGFILTTGVNSAYVLGYSASIMVPLGWIGGTCGLILAAAISMYANALLAHLHEVGGKRHIRYRDLAGHIYGRKMYSLTWALQYVNLFMINTGLIILAGQALKAIYVLFRDDGVLKLPYCIALSGFVCALFAFGIPYLSALRIWLGLSTVFSLIYIMIAFVMSLRDGITTPAKDYTIPGSHSDRIFTTIGAVANLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTVGSLPLYAVTFMGYWAYGSSTSSYLLNSVKGPIWIKTVANLSAFLQTVIALHIFASPMYEFLDTRFGSGHGGPFAIHNIMFRVGVRGGYLTVNTLVAAMLPFLGDFMSLTGALSTFPLTFVLANHMYLTVKQNKMSIFRKCWHWLNVVGFSCLSVAAAVAAVRLITVDYSTYHLFADM >ORUFI03G28510.1 pep chromosome:OR_W1943:3:23476793:23477623:-1 gene:ORUFI03G28510 transcript:ORUFI03G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQQQKRKVASVSSALVMSLLPILYASLLRLPPAALARDTTFWFLLSNSIIAIIAAADSAAAAPITTSSSSSSHGHDDVDEPALLAAAVVPAAPPPAAGDDQLPAAAPVAVRNDDEINEPPSAPAVTSSATPSSDNPPAFIASDDVATEGERPDQQPQEAATDGETHGEAVKGDDDEDEAASDKTTTTNKSLPSSSSEELAIVTSNNDDDYDDDSASFGEEDEGTTIVPATATTRGGGGKQYWQLSDEELNRKVEEFITRFNREMRLQVLQEAGV >ORUFI03G28520.1 pep chromosome:OR_W1943:3:23493791:23494288:1 gene:ORUFI03G28520 transcript:ORUFI03G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVRVSFATRPRCSEKTRLPVRGRAAERRCGSQRHVCKPRVDHRPNPMAAWLASLTISFFLFFLHGAVAMSTAAQLAGEAPPA >ORUFI03G28530.1 pep chromosome:OR_W1943:3:23494325:23494582:1 gene:ORUFI03G28530 transcript:ORUFI03G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLGDPTWPQLHRRPCTDTPWPGLQCELAPDDARHLRATRLHFGPDVATPPCRPGARLAAPVLLGLPHLKTLSLFGCFVVADC >ORUFI03G28540.1 pep chromosome:OR_W1943:3:23494843:23496250:1 gene:ORUFI03G28540 transcript:ORUFI03G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAAAAELPPALFTNASSLEQLVLKSNPGLTGRIPATLSDLRSLRVLSLSQNGFRGEIPPELGRLAALQQLDLSYNNLTGEIPEEIGGMESLSILDLSWNSLAGGLPAALGSLRMLEKADLSHNELAGPLPASMAGLGKLQYLLLQENPIGTAVPAAVVGSLRRLQVVGMSGCGLTGPIPRGAFAALASLAALSLDRNRLDGPIPASLAALPRLGRLNLSQNRLAGEIALPAEFVARLGRRLDVRGNDELCVGRGRYGGAQASYLGAPPCAAAGGGSGAAVGGTSSSPVESSAGAAATAGRGFRVYGIAGMFGGMVYHLLMLSCIPFYDTMF >ORUFI03G28550.1 pep chromosome:OR_W1943:3:23499344:23502529:1 gene:ORUFI03G28550 transcript:ORUFI03G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAPRVASAASGSASATRGVRVARDKSGGGKLEERTAVAVAVACLLIRVVGFISYCNVLNSAVFLSGQVKVVVVEDPQRLRMAAFEPHRVQHMASRLQLLYFIAITVLASVFQPCTSIELHRELSGWSNGIATWYGDPNGAGSEGGACGYQYAVDQPPFSSRIAAGSPYIYDSGKGCGSCYRVVCAGNEACSGIPVTVVITDQGPGGPCLEELVDGQCMNEAAHFDMSGTAFGAMARPGQADQLRGAGLLQIQYTRVECEWTGVGLTFVVDSGSNPNYLALLVEYDDNDSDLAAVDIMPIGAGASGSWIPMQQSWGAVWRLNSGSALQGPFSVRLTFSSGQMFVASNAIPEGWNPGMAYQPGGVAMRVRGRNGGRRGYEAVGMLGGLCHLLLLLLLMLFEL >ORUFI03G28560.1 pep chromosome:OR_W1943:3:23506549:23509711:1 gene:ORUFI03G28560 transcript:ORUFI03G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQSIFKILTQWIKIQRPRSKPKSTPHHVHRPGPQPIVSLSISRPLLSYLTSFSPPPPPPPPFLHRRHPKTSPHLYIADHQPIACASSREREREREREIPTSTMAAASSLHAAPRVGSSSSFSSSSSAGRRSASAARSVRVAAAAGSCAARRAGGRMVARAAVASKAESPASAASSKSDGHEVLLFEALREALIEEMKEDPTVCVFGEDVGHYGGSYKVTKGLAEMFGDLRVLDTPIAENSFTGMGVGAAMKGLRPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYVLCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAAPLEDATVVQPAQIVAAVEQICQ >ORUFI03G28570.1 pep chromosome:OR_W1943:3:23510441:23515259:-1 gene:ORUFI03G28570 transcript:ORUFI03G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRPPRSSSGGVEPKIRQVGFVTPDASSSAPPAEPPAAAAAAAAAAAAAASSAAAAAAQAQASSEGSPPASELSPGSLSPVMIPPPRHADHLAPGSPSPAASPSELGDDESWSRAPSAAELESNKNDLAEIRNDNVPASIPQKQKTSKAERRAIQEAQRAAKAAAKEAGLSGKSAGTGSGANPAMPKQAKSSKVSQKKDVPQAASTAASEKKVTERPSERDRKKDAPHPRMQFDDVHRVEKAKKRAIVNQSEARNRVELFRHLPQYVHGTQLPGLESKFFQLEPMHPSVYKVGLQYLSGEVSGGNGRCIAMLLAFQVAIKDYSTPPKKTLSRDLTAKISSYVSFLIECRPLSISMGNAIRFLKNRIAKLPLTLSESEAKASLQSDIDRFINEKIVVADKVIVSHAITKVRDNDVLLTYGSSSVVEMILDHAHELGRKFRVIVVDSRPKLEGQGLLRRLVEKGINCTYTHINAISYIMHEVTRVFLGASSILSNGTVYSRVGTASVAMVAHAFGIPVLVCCEAYKFHERVQLDSICANELGDPDVILKVPGKADLGHLKNLADNENLQLLNLTYDATPSDYVSMIITDYGMLPPTSVPVIVREYRKEQLWI >ORUFI03G28580.1 pep chromosome:OR_W1943:3:23516101:23516900:1 gene:ORUFI03G28580 transcript:ORUFI03G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSLAADAQEDGTEARSTSSSPSSRRMRTSQNVVPAASPRLHVLSRHYGLIELPLAPWPPASPTRSGGWPPFTLKEMEMTPDPAINAFNVRQCVISSLPSSGSSVTKLDDATMDVDLEFKVTRAAESYSKYGCCRLGRLIKRRSRCCLTPHLPDAAPLQLAASIEIMRKKEERWKDGEERRLEEEDIVFDMCFPGGSHFIFLT >ORUFI03G28590.1 pep chromosome:OR_W1943:3:23562601:23564427:1 gene:ORUFI03G28590 transcript:ORUFI03G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTPGYAHIQRQHGRCSTTAGRRGASNSVRFSARAVSSVPHAAAASSAPAFLPVPFVPGADAPSPSGKSAIGVPKAPRKGEEGKRLNFFQRAAAMALDAFEEGFVANVLERPHGLPSTADPAVQIAGNFAPVGETPPARALPVSGRIPPFINGVYARNGANPHFDPVAGHHLFDGDGMVHAVRIRNGAAESYACRFTETARLRQERAMGRPMFPKAIGELHGHSGIARLALFYARAACGLLDPSHGTGVANAGLVYFNGRLLAMSEDDLPYQVRVTADGDLETVGRYDFDGQLGCAMIAHPKLDPATGELHALSYDVIKKPYLKYFYFAPDGTKSADVEIPLDQPTMIHDFAITENYVVVPDHQVVFKLQEMLRGGSPVVLDKEKTSRFGVLPKHAADASEMVWVDVPDCFCFHLWNAWEEADTDEVVVIGSCMTPADSIFNESDDRLESVLTEIRLNTRTGESTRRAILPPSSQVNLEVGMVNRNLLGRKTRYAYLAVAEPWPKVSGFAKVDLATGELTKFEYGEGRFGGEPCFVPMDAAAATPRGEDDGYILSFVHDERAGTSELLVVNAADMRLEATVQLPSRVPYGFHGTFITGDELTTQA >ORUFI03G28600.1 pep chromosome:OR_W1943:3:23588548:23588790:-1 gene:ORUFI03G28600 transcript:ORUFI03G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARMTAATATPANDHLGDDGWRGADDGWQRRNDALEDLATARRRRRPATADLATATAPTAAASGNDDFNCSNGISTTW >ORUFI03G28610.1 pep chromosome:OR_W1943:3:23589813:23594310:1 gene:ORUFI03G28610 transcript:ORUFI03G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAPQLPCCTRLAPPCPGKAAAEARTLARSRFRCCAGAARPRSFQKKDSFLDLHPEVTLLRGGDEAAVVATRKGSPNGSPLEGLGAPPDHGDYDGAKIKVVGVGGGGSNAVNRMIESSMNGVEFWIVNTDVQAIRMSPVLPQNRLQIGQELTRGLGAGGNPDIGMNAAKESVESIQEALYGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNSVDTLIVIPNDKLLSAVSPNTPVTEAFNLADDILRQGIRGISDIITVPGLVNVDFADVRAIMQNAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGADMTLFEVNSAAEIIYDLVDPNANLIFGAVIDPSLNGQVSITLIATGFKRQDEPEGRTTKGGQQTQGDNGRRPSSAEGSMIEIPEFLRRRGPSRFPRV >ORUFI03G28620.1 pep chromosome:OR_W1943:3:23595402:23596742:-1 gene:ORUFI03G28620 transcript:ORUFI03G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLPRLPRRQRRPGPELRVPARGLLEARVPWVRDRALDHVVERERHLVPFLLAKDALLSAAPPPHAVPLHSLPSTIPFPFRPLRFLRLYPSAFALSPHPVAVSPTPRLAGIHSAEAQVLDSTRADAADRLLRLLMLAPARALPLHLVARLRLDLGLAPDFPRSLLPNYPDYFALSRDGALLELVCYRKDLAVSAMQSYAQRTGGYKVGDAVPFPLSFPRGFELDKKVRKWLDDWQRLPYISPYEDGSHLTPRSDITEKRTAAVLHEVLSLTVGKKMEKEVLVKLGEALRLPPGFRKVLARHPGIFYLSHKLRTQTVVLRESFRRHMLVDKHPMMGIRYQYLHLMHMGQEEAGKRKGKGRKTVRGEQMIGEEFGAEGENDDEEDEEYDDDEEEDMEAGVASGDEDSDDDDDDEEGEKEDMEAGVASRDEDSDDEDADDTDHAAKG >ORUFI03G28630.1 pep chromosome:OR_W1943:3:23596970:23601513:1 gene:ORUFI03G28630 transcript:ORUFI03G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYASGGGGGGERATKRSAFHIDYGGGVSLRRLAQPEALARGMITQGSAQLRTLGRSLRTGAAMAVVFQEDLKNTSRKIFDPQDRLLVRLNRSFVVSCIVSIAVDPVFFYAPQVTANGGNLCVGISRDLAISASVVRTVVDLFFAARIVLQFRTAYIAPSSRVFGRGELVIDTAQIAARYFRRFFAADLLSVLPLPQIVIWKFLHRSKGAAVLSTKDALLIIVFLQYIPRVVRIYPLSSELKRTSGAFAESAYAGAAYYLLWYMLASHIVGASWYLLSIERVSDCWKKACNEFPGCNKIYMYCGNDHQKGFLEWRTITRQYINETCEPRDGVMPFNYGIYTPAVRSDVIKSNDFTSKLLYCLWWGLANLSTLGQGLQTSIYTGEALFSIFLATFGLILMAMLIGNIQTYLQSMTVRLEEMRVKRRDSEQWMHHRLLPQELRERVRRYDAYKWVNTRGVDEEALVANLPKDLRRDIKRHLCLGLVRRVPLFANMDERLLDAICERLRPALYTERTFIIREGDPVDQMLFIIRGCLESITTDGGRSGFFNRSLLEESDFCGEELLTWALDPKAGLSLPSSTRTVRALSEVEAFALHSDELKFVAGQFRRMHSKQVQHTFRFYSQQWRTWAATYIQAAWRRHLKRRAAELRRREEEEEEAAAIRSSTGLKTTMLVSRFAANAMRGVHRQRSRRADEVLMMPMPKPSEPDFGADY >ORUFI03G28640.1 pep chromosome:OR_W1943:3:23602034:23603674:-1 gene:ORUFI03G28640 transcript:ORUFI03G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPLFDAQVVLPRGLYPAPLVGIHRWFAAEFGHYLVADPPPFFRGLVWLDLAFLWPVCVANLYGILARRPWSAATSIMAGVYVLTYMSAIFGEMLGSGRTTPKLIQLYVPFALAAFCVVSAHAQHRLLLLHLMPQLLGRKGSRSDAIRWERQPSVMVLAPNCLLVTLKMVGP >ORUFI03G28640.2 pep chromosome:OR_W1943:3:23602943:23603674:-1 gene:ORUFI03G28640 transcript:ORUFI03G28640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPLFDAQVVLPRGLYPAPLVGIHRWFAAEFGHYLVADPPPFFRGLVWLDLAFLWPVCVANLYGILARRPWSAATSIMAGVYVLTYMSAIFGEMLGSGRTTPKLIQLYVPFALAAVTAVLRGFCSCSAQATAVASHAPTARKKRL >ORUFI03G28650.1 pep chromosome:OR_W1943:3:23621733:23631609:1 gene:ORUFI03G28650 transcript:ORUFI03G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEYEEGMEMEMGGHHHPHHGGGYGAEEYGAVGGEEADGDAPDEEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGRQAEFAETLHKISFGQIYLSKPMMTEADGETATLFPKSARLRNLTYSAPLYVDVSYRVMKKGHDCEEVTETMEYPKVFIGKVPIMLRSSYCTLFQQSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAENQNRPASSMFVRMLSRAGAKGGSSGQYIRATLPYIRADIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTKEKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLMCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMCVDNGKEVNLQFAIKAKTITSGLKYSLATGNWGQANQAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSHWGMMCPAETPEGQACGLVKNLALMVYITVGSAANPILEFLEEWGTENFEEISPAVIPHAAKIFVNGCWVGIHRNSDLLVKTLRRLRRQIDVNTEVGVVRDIRLKELRLYTDYGRCSRPLFIVENQRLLIKKRHIRALQQRETPEEGWHDLVAKGFIEYIDTEEEETTMISMTINDLIGARHNPEEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQLRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEEFGRPNRENTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTSPIPQDDAQGQATRYTKRDHSTSLRHSESGMVDQVLLTTNADGLRFVKVRMRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRKLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAFFLKERLFDQSDAYRVHVCEKCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTQDNKTGKDQKKR >ORUFI03G28660.1 pep chromosome:OR_W1943:3:23631311:23639357:-1 gene:ORUFI03G28660 transcript:ORUFI03G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSRMTTESDSDSDAAATAAASASVAAQGGLASETSSSSSASAPSTPGTPTVAPAPAAAGATGPRPAPGYTAVSAVIEKKEDGPGCRCGHTLTAVPAVGEEGTPGYIGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVLSNKWSRLTPQGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRIGRYSADAAGGDAAVELTRRCRHAAAAVGDQIFIYGGLRGGVLLDDLLVAEDLAAAETTTAANHAAASAAATNVQSGRTPGRYAYNDERARQTAPESAQDGSVVLGTPVAPPVNGDMYTDISPENAVLQGQRRLSKGVDYLVEASAAEAEAISATLAAVKARQVNGEMEQLPDKEQSPDSASTSKHSSLIKPDSILSNNMTPPPGVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETMTLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHIEDTWMQELNANRPPTPTRGRPQVAANDRGSLAWI >ORUFI03G28670.1 pep chromosome:OR_W1943:3:23649963:23650553:1 gene:ORUFI03G28670 transcript:ORUFI03G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASSSAPPPPPQPAEPAADPPAKEEPQNTTADAAAPVSDAGAAVAAEEGETVILDAAAGEGDAEGEEEGECGFCLFMKGGGCREEFVGWEKCVEDAEKAGDDVVERCYEVTAALHKCMEAHAEYYHPILSAERAMAADLEAAKADQAAEASSDAAASSSQQQQPPPTEEEAAGEKKQAEEEAVVPEKQDVAA >ORUFI03G28680.1 pep chromosome:OR_W1943:3:23651335:23655184:-1 gene:ORUFI03G28680 transcript:ORUFI03G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGRKDRGEGLGRALTRQRNKAAAAAKERGHALALARRARQPLESVIEVSDIDAVLQRAAEEDLLLGGGGGDGAGDVALSASLGSGLIDLDGTVKTEEERRWLREEQEALHAGSLKVPRRPPWTPQMTVEELDANEKRAFLEWRRNLARLEENEKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEVYAQEIDEHKRTLLLVNKADLLPLNVRQRWAEYFKQHDILYLFWSAKAATADLEGKKLSSYSMENWNTADLDTKIYGRDELLETTDLEGSDTAVGATTDHCASDEQDEEISQADPNISHVLSDLESFDLASEVSKNSTKKKKEASYKHHKKPQRKKDRSWRVGNDGADGSAVVRVFQKPAVNFATVTDT >ORUFI03G28680.2 pep chromosome:OR_W1943:3:23651335:23655184:-1 gene:ORUFI03G28680 transcript:ORUFI03G28680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGRKDRGEGLGRALTRQRNKAAAAAKERGHALALARRARQPLESVIEVSDIDAVLQRAAEEDLLLGGGGGDGAGDVALSASLGSGLIDLDGTVKTEEERRWLREEQEALHAGSLKVPRRPPWTPQMTVEELDANEKRAFLEWRRNLARLEENEKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEVYAQEIDEHKRTLLLVNKADLLPLNVRQRWAEYFKQHDILYLFWSAKAATADLEGKKLSSYSMENWNTADLDTKIYGRDELLVRLQGEAEYIVNQKGALRAEEGHESSRSDSVSTRPKHVVVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLVISEELILCDCPGLVFPSFSSSRHEMVACGVLPIDRMTKHRGAIQVVANRVPRNVLEQIYKITLPKPKAYEQLSRPPTAAELLRAYCTSRGHVSHAGLPDETRAARQILKDYLDGKIPHFELPPGDTDSETDPEETTDLEGSDTAVGATTDHCASDEQDEEISQADPNISHVLSDLESFDLASEVSKNSTKKKKEASYKHHKKPQRKKDRSWRVGNDGADGSAVVRVFQKPAVNFATVTDT >ORUFI03G28690.1 pep chromosome:OR_W1943:3:23658025:23662218:-1 gene:ORUFI03G28690 transcript:ORUFI03G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFKQSHEGFGHVAAAGAGPQQQQQPWWAGSQLLYGEASPEEAALRDGGQFQVVPGGRAALDPAAPEPEKTAVPAMPKRGGGGGAPEVLTFSVFSGNLEPGDTGEKNREHSATIAMQSPLPEYNGHFELGLGQSMSGGRMLLPLNAPADAPIYVNAKQYEGILRRRRARAKAQRENRLVKGRKPYLHESRHRHAMRRARGSGGRFLNTKKEATAAGCGGSSKTPLASLVSPADVAHRPGSRGGGGRASSLSGSDVSSPGGGMYDHHRHDDADAADHYNSIDHHLRTPFFTPLPIIMDSGGGGGDHASHSTAAVAAPFRWATAAGDGCCELLKA >ORUFI03G28700.1 pep chromosome:OR_W1943:3:23663059:23663316:1 gene:ORUFI03G28700 transcript:ORUFI03G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLLCFFCAKWWLLPLLLLDHTLSSSSPLPTSWGVWANLAPAIGVAVHLY >ORUFI03G28710.1 pep chromosome:OR_W1943:3:23679043:23682508:-1 gene:ORUFI03G28710 transcript:ORUFI03G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFASASAPPHSLLLAAVALAALASVAAARDENGVYDPCSDTRIQRGDGFSFGIAFASLGAFYSGGSVQLSPCDRRLSLASSGQLAVFRPKVDEISLLTINTTTGFNPATAGGYMVAFAGRKYAARSVPTFVSNSSYTVSSFTLVLEFNKGRLQNLHWKKDGCGACAKSSSLVCIGKQTCAFRTQSCKSQGPVDCSIGIQLAFSGTDKHESVLNSWYEVSNLRQYSLYGLYSNLKDTLSGQFNKFF >ORUFI03G28720.1 pep chromosome:OR_W1943:3:23684586:23689549:-1 gene:ORUFI03G28720 transcript:ORUFI03G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPATTYASPFVLSVLLLVSIPVIFLLAPRLLPPKTLPAIPDADESDDLALFRRAILSSSSPSSATPTPSSAASYFFRRRPAPKVAFLFLTNSDLVFSPLWEKFFRGHHHLFNLYVHADPFSALTMPPTPSFRGRFVPAKATQRASPTLISAARRLIATALLDDPSNQFFALLSQSCIPLHPFPTLYNTLLSDNAGPHGRHRSFIEIMDDAYMIHDRYYARGDDVMLPEVPYDQFRFGSQFFVLTRKHAIMVVRDMKLWRKFKLPCLIKRRDSCYPEEHYFPTLLDMQDPEGCTGYTLTRVNWTDQVEGHPHTYRPGEVSASLIKELRKSNGTYSYMFARKFAPECLEPLMEIADSGCDVMNKHRVSIYALELLREANSGS >ORUFI03G28730.1 pep chromosome:OR_W1943:3:23696925:23703336:-1 gene:ORUFI03G28730 transcript:ORUFI03G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSKWFKSLVGIRKQEKARNAEKQEKAQNAESCETSTPAAQLLHKRKHSLDTERAILVEELAVQSEPLTDDTNTQTVSDSISSDSTLLGVHISQTEEHKTKEDVAATLIQSAFRAFLARRALRALKGIVILQALVRGHIIRKQTSETLQCMQALVRAQARVRARQVRVSLENQVARKKVPEQDDHENHVREIEERWCGSIGSVEELQAKVLKRQEAAAKRERAMAYALTHQRQAGSRQQKPTTPQGLELDDSHWGSNWLERWMAVRPWENRLLDSNTKETMPLCDDKQDMETKSQITPKGKVQVSSALSNGSNKKKGINHKKSYSDVTCASFGRSPNIPSTSLGSCKQKSKLSDEALEEVSSQPTDLASLSTCQPKAKLVQANTPVKKRLSLPTNVGGGAAKGATNSNSICRSISAKSDPKPRANASNQARKQVELQA >ORUFI03G28740.1 pep chromosome:OR_W1943:3:23707272:23711629:1 gene:ORUFI03G28740 transcript:ORUFI03G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPKTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGSHVDPFDIFSSFFGPSFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVLCAKCKGKGSKSGASMRCPGCQGSGMKITIRQLGPSMIQQMQQPCNECKGTGESINEKDRCPGCKGEKVIQEKKVLEVHVEKGMQHNQKITFPGEADEAPDTVTGDIVFVLQQKDHSKFKRKGDDLFYEHTLSLTEALCGFQFVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFTVEFPDSLAPEQCKALEAVLPPKPASQLTEMEIDECEETTMHDVNNIEEEMRRKAQAAQEAYDEDDEMPGGAQRVQCAQQ >ORUFI03G28740.2 pep chromosome:OR_W1943:3:23707272:23711499:1 gene:ORUFI03G28740 transcript:ORUFI03G28740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPKTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGSHVDPFDIFSSFFGPSFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVLCAKCKGKGSKSGASMRCPGCQGSGMKITIRQLGPSMIQQMQQPCNECKGTGESINEKDRCPGCKGEKVIQEKKVLEVHVEKGMQHNQKITFPGEADEAPDTVTGDIVFVLQQKDHSKFKRKGDDLFYEHTLSLTEALCGFQFVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFTVEFPDSLAPEQCKALEAVLPPKPASQLTEMEIDECEETTMHDVNNIEEEMRRKAQAAQEAYDEDDEMPGGAQRVQCAQQ >ORUFI03G28750.1 pep chromosome:OR_W1943:3:23711273:23711782:-1 gene:ORUFI03G28750 transcript:ORUFI03G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGACLRLVVNPQQPTTLLAVFLLLLVAAAVAPPHVLAADHVVGGSIWSIPPRPGLYRAWADNRTFVAGDNLVFRFETGMYNVVQVGRREFDDCTADDPYRDWTDGPAVVTLGSAAVRYFICTVGNYCSLGVKVYVASQNAP >ORUFI03G28760.1 pep chromosome:OR_W1943:3:23715579:23716097:1 gene:ORUFI03G28760 transcript:ORUFI03G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEEAVHLVVVGVVAVGAVVFLLAAAASGACGCAAAFPAARWRKRAQVGDDDDDVESALGGATTVTTYEQAAAASSSSPAAGAAAEGADTCAICCQEYSGADKVRRVVRCSHFFHAGCVDGWLREKRNCPLCRAVLSSLPPLPNPGCRRPMPPRTSRPAVSAAAAATVVVG >ORUFI03G28770.1 pep chromosome:OR_W1943:3:23719159:23720130:-1 gene:ORUFI03G28770 transcript:ORUFI03G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRSRILRTLQSFPNAVNVQPGLLLPAPDVQPSPPPPPKAPCRSQEEQPDGGGGGGDDKENVSPEVAPRKAKKMRVSLGAAEDEAAAYYRRPDPATATLFDPDLLAAFRGAVDAYARALQEAKRRDDDDNDGFFLLDEEEGCGVAGGVGFGVDEDPLEGFETRCPPGGERAVVLYTTSLRGVRKTFEDCATVRRLLEGLRVAFLERDVSMHAPYRDELRALLVGLDDAAVPPRLFVDGRYLGGANEVVTLHEQARLRPVLRRAPRRGAGDAACAVCGGAWFVVCGACSGSHRLYDAAAAAGGRVPCTGCNENGLVPCPLCS >ORUFI03G28780.1 pep chromosome:OR_W1943:3:23722059:23726130:1 gene:ORUFI03G28780 transcript:ORUFI03G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMTSPPLLSPSSSSSRLLLLRLLLSRRRSPASRSPPPPLRRRLPLLAASMSSSSSTAATRNPGSVVADADGLARKVIADFDGTLTRYWYDGSRGQSSHGLLRQGNEEYDAKREELFEHYHPIEICPDIPLPEKAKLMEEWWEKTHALLIEGGLTYEAIRQSVADAKITFRDGVVKLFEFLEERDIPVLVFSAGLADIIEEVFRQKLHRSFKNIKVVSNRMVFNEEGRLVSFKGKTIHVLNKNEHALDMAAPVHDNLGDPNGYTDDYSLVKKRTNVLLLGDHIGDLGMSDGLNYENRIAVGFLNNNIEKSLKDYSEAFDIVYLNDAPMVGVVELVSELCP >ORUFI03G28790.1 pep chromosome:OR_W1943:3:23725969:23730919:-1 gene:ORUFI03G28790 transcript:ORUFI03G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMMRWPRPPAARKFRVRLVVRRAEGLQQPCAAAAEHAASAEAEAPPTRVAAEVRWKGPKASPLSSLRRTAVRRNRTREAEAEAAAGGACATAADEMPLPPRAPETRRAWCTVTLAAASHREHAAFQPWELAFSVFTAANRGPKIKPSILGTASLNLADYASAAEENIEIILPLSVPNGSAESAPSLHLTLGMVELRAFQETSDASQRSAMAAPLSPSSGDSAPVGKDEVSVIRAGLRKVKILTDLVSTRRSKKTSQDDESSEEKCYVNSDGAEYPCDIESLDDDLDDRAQQDEVGDSTVRKSFSYGSLQSVNYVGGLVYAHAKIDGEHEDWIYYSHRKSDAGYHVEGKPSSTVEETMLPTVKRSILPWRKRKLSLRSLKAKGEPLLKKAYGEEGGDDIDYDRRLLTSSDGSVSEGSRGEDGSINGMVSEFGDDNFVVGNWELKEIVSRDGHLKLSSHVFFASIDQRSERAAGESACTALVAVIADWFQSNQDIMPIQSQFDSLIREGSLEWRNLCENLMYRERFPDKHFDLETVLQAKIRPLTVSSSKSFIGFFQPEGADDMHRFDFLDGAMSFDSIWAEISKAAEYSSSDNPNLYIVSWNDHFFLLKVERDAYYIIDTLGERLYEGCNQAYILKFDNDTMIHKLPEKAPSSPNSSGPLKDSSRSSSVEQDSEDGTEENILVSKGKESCKEYIKSFLAAIPIRELQVDIKKGLMASTPLHHRLQIEFHYTASSPKEITSAPQILTIEAPFEFSWPEPPPAMEIALAPAVAVT >ORUFI03G28800.1 pep chromosome:OR_W1943:3:23734709:23735143:-1 gene:ORUFI03G28800 transcript:ORUFI03G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQCRAVGQPEQQRCQLRINVGLDLMLELLCLSVASLSPSDFLRRASPLLVRPPRLSSSSRRGAEWGGQGKVALEVAQRRIDDGSVLVFVASPPPCPLCDEVEATQSGVERSRGSPAAAWSSELLRLHCLPYQAGEPPAALLP >ORUFI03G28810.1 pep chromosome:OR_W1943:3:23765877:23766831:1 gene:ORUFI03G28810 transcript:ORUFI03G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCSSFSRGHTTKLRGERRTRRGAGSDTYVTHAHATSHMHKAGMHACASSTTVARCCAGPRPPATAHACALLRHGGGDGDSWADPAAPNPRRRICPPGDQIGQGRGCSGRAVAAAVAGSHRRDENLRRHGARSDDGVSGWPTGGAQQLVGWPAATGDRGTTGGCVGRRGADNVGGVGRRRQWLVQREMRGVCRCSAVGASSGRCSERRQGVADRGMRRLAGGAPVQWCPRAGGGLDGNGASLRQWWIGRQLMANENPARL >ORUFI03G28820.1 pep chromosome:OR_W1943:3:23776103:23786392:1 gene:ORUFI03G28820 transcript:ORUFI03G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQIVPAPEHVCYVHCNFCNTILAVSVPSNSMLNIVTVRCGHCTSLLSVNLRGLVQALPAEDHLQDNLKMHNMSFRENYSEYGSSSRYGRVPMMFSKNDTEHMLHVRPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHFPNIHFGLGSHESSKKLDEAIGAPSPQKVQRLY >ORUFI03G28830.1 pep chromosome:OR_W1943:3:23791592:23792306:1 gene:ORUFI03G28830 transcript:ORUFI03G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATFIDCHACYCLWPIGEALVAAIPVSSSVKKAAYEEAGAGAVVVAAVSPASLDVAAAALLRSLTRFLALTRLSERESERTSAGVIQGPREVVPVLHHQVSLASSAALSGSNHDLAFHLQLLHPGDLTRVEQDCRNAQAYHAYHARAAASVRVAVHDALFVCDLAAIPEDRWAHDGDYFERPLDDARGGGGVLFRVLARSAVAGGMVKGPKDYGKRKV >ORUFI03G28840.1 pep chromosome:OR_W1943:3:23800641:23802284:-1 gene:ORUFI03G28840 transcript:ORUFI03G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPALSLSMAMSSWVATIVTLLIGVAVVSLRGRRRRTKARLNLPPGPRGWPVFGSLGALAGALPPHRALAALAARHGPLMHLRLGSFDAVVASSAGAARLVLKTHDAAFADRARTAAGELVAYNYKGIVHTPYGAYWRMARKLCATELFSPRRVDSYERIRAEEIGALARDLFGRAGRAVAVRERLASATLRNILRMSVGDKWSGVYGSADGEAFRRTLDEAFEVSGAVSNVGEWVSLLGWLDVQGFRRRMKRLSKMYDRFLEQILHEHEASMAAAGDGGQPAAAACDLVDVLLQLSGEEEEGSAGAGADSEARLTRDGVKAFILDIIAGGTESSAVAMEWAMAELLRRPDAMAAATDELDRVVGTARWVTERDIPDLPYVDAVVKEALRLHPVGPLLVPHHAMEDTVVAGGYVVPAGARVLVNAWAIARDPASWPDRPDAFLPERFLPGGGAAAAGLDVRGQHYELLPFGSGRRVCPATNLAMKMVALGVASLVQGFAWRLPDGVAAEDVSMEELVGLSTRRKVPLVAVAEPRLPAHLYAGTAA >ORUFI03G28850.1 pep chromosome:OR_W1943:3:23808566:23809000:-1 gene:ORUFI03G28850 transcript:ORUFI03G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQRWDSEQVRQPRCGEVAGGTAAGCAAVCCCLPCAVVEVVVLATVRAPAALCRRAVRGRRGGRGTRRSASAGQAGEIYELLVDEGGAVDSGEKKAPVVWPVAAITAAAVPSEEAGELEKEVWARFYGAGFWRSPSQLSDHMR >ORUFI03G28860.1 pep chromosome:OR_W1943:3:23814657:23821080:1 gene:ORUFI03G28860 transcript:ORUFI03G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMAAPALAAAHLLDSPMRPQVSRYYSKKRGSSHSRNGKDDANHDESKNQSPGLPLSRQSLSSSATHTYHTGGFYEIDHEKLPPKSPIHLKSIRVVKVSGYTSLDVTVSFPSLLALRSFFSSSPRSCTGPELDERFVMSSNHAARILRRRVAEEELAGDVMHQDSFWLVKPCLYDFSASSPHDVLTPSPPPATAQAKAPAASSCLLDTLKCDGAGWGVRRRVRYIGRHHDASKEASAASLDGYNTEVSVQEEQQQRLRLRLRLRQRREQEDNKSTSNGKRKREEAESSMDKSRAARKKKAKTYKSPKKVEKRRVVEAKDGDPRRGKDRWSAERYAAAERSLLDIMRSHGACFGAPVMRQALREEARKHIGDTGLLDHLLKHMAGRVPEGSADRFRRRHNADGAMEYWLEPAELAEVRRLAGVSDPYWVPPPGWKPGDDVSAVAGDLLVKKKVEELAEEVDGVKRHIEQLSSNLVQLEKETKSEAERSYSSRKEKYQKLMKANEKLEKQVLSMKDMYEHLVQKKGKLKKEVLSLKDKYKLVLEKNDKLEEQMASLSSSFLSLKEQLLLPRNGDNLNMERERVEVTLGKQEGLVPGEPLYVDGGDRISQQADATVVQVGEKRTARKSSFRICKPQGTFMWPHMASGTSMAISGGGSSSCPVASGPEQLPRSSSCPSIGPGGLPPSSRAPAEVVVASPLDEHVAFRGGFNTPPSASSTNAAAAAKLPPLPSPTSPLQTRALFAAGFTVPALHNFSGLTLRHVDSSSPSSAPCGAREKMVTLFDGDCRGISVVGTELALATPSYC >ORUFI03G28860.2 pep chromosome:OR_W1943:3:23814771:23821080:1 gene:ORUFI03G28860 transcript:ORUFI03G28860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMAAPALAAAHLLDSPMVSRYYSKKRGSSHSRNGKDDANHDESKNQSPGLPLSRQSLSSSATHTYHTGGFYEIDHEKLPPKSPIHLKSIRVVKVSGYTSLDVTVSFPSLLALRSFFSSSPRSCTGPELDERFVMSSNHAARILRRRVAEEELAGDVMHQDSFWLVKPCLYDFSASSPHDVLTPSPPPATAQAKAPAASSCLLDTLKCDGAGWGVRRRVRYIGRHHDASKEASAASLDGYNTEVSVQEEQQQRLRLRLRLRQRREQEDNKSTSNGKRKREEAESSMDKSRAARKKKAKTYKSPKKVEKRRVVEAKDGDPRRGKDRWSAERYAAAERSLLDIMRSHGACFGAPVMRQALREEARKHIGDTGLLDHLLKHMAGRVPEGSADRFRRRHNADGAMEYWLEPAELAEVRRLAGVSDPYWVPPPGWKPGDDVSAVAGDLLVKKKVEELAEEVDGVKRHIEQLSSNLVQLEKETKSEAERSYSSRKEKYQKLMKANEKLEKQVLSMKDMYEHLVQKKGKLKKEVLSLKDKYKLVLEKNDKLEEQMASLSSSFLSLKEQLLLPRNGDNLNMERERVEVTLGKQEGLVPGEPLYVDGGDRISQQADATVVQVGEKRTARKSSFRICKPQGTFMWPHMASGTSMAISGGGSSSCPVASGPEQLPRSSSCPSIGPGGLPPSSRAPAEVVVASPLDEHVAFRGGFNTPPSASSTNAAAAAKLPPLPSPTSPLQTRALFAAGFTVPALHNFSGLTLRHVDSSSPSSAPCGAREKMVTLFDGDCRGISVVGTELALATPSYC >ORUFI03G28860.3 pep chromosome:OR_W1943:3:23814657:23821080:1 gene:ORUFI03G28860 transcript:ORUFI03G28860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMAAPALAAAHLLDSPMRPQVSRYYSKKRGSSHSRNGKDDANHDESKNQSPGLPLSRQSLSSSATHTYHTGGFYEIDHEKLPPKSPIHLKSIRVVKVSGYTSLDVTVSFPSLLALRSFFSSSPRSCTGPELDERFVMSSNHAARILRRRVAEEELAGDVMHQDSFWLVKPCLYDFSASSPHDVLTPSPPPATAQAKAPAASSCLLDTLKCDGAGWGVRRRVRYIGRHHDASKEASAASLDGYNTEVSVQEEQQQRLRLRLRLRQRREQEDNKSTSNGKRKREEAESSMDKSRAARKKKAKTYKSPKKVEKRRVVEAKDGDPRRGKDRWSAERYAAAERSLLDIMRSHGACFGAPVMRQALREEARKHIGDTGLLDHLLKHMAGRVPEGSADRFRRRHNADGAMEYWLEPAELAEVRRLAGVSDPYWVPPPGWKPGDDVSAVAGDLLVKKKVEELAEEVDGVKRHIEQLSSNLVQLEKETKSEAERSYSSRKEKYQKLMKANEKLEKQVLSMKDKYKLVLEKNDKLEEQMASLSSSFLSLKEQLLLPRNGDNLNMERERVEVTLGKQEGLVPGEPLYVDGGDRISQQADATVVQVGEKRTARKSSFRICKPQGTFMWPHMASGTSMAISGGGSSSCPVASGPEQLPRSSSCPSIGPGGLPPSSRAPAEVVVASPLDEHVAFRGGFNTPPSASSTNAAAAAKLPPLPSPTSPLQTRALFAAGFTVPALHNFSGLTLRHVDSSSPSSAPCGAREKMVTLFDGDCRGISVVGTELALATPSYC >ORUFI03G28870.1 pep chromosome:OR_W1943:3:23830748:23833855:1 gene:ORUFI03G28870 transcript:ORUFI03G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPADPRRVRNTCILAHVDHGKTTLADHLVASCGDGLVHPRLAGRLRFMDYLDEEQRRAITMKSAAVVLHHGGHRVNLIDSPGHIDFCSEVSSAARLSDSALILVDAVEGVHIQTHAALRQAFLERLRPCLVLNKLDRLISEPPPHPRRGLTPAEAYTRLHRIISDVNSIHSALRSHSYFSLLSSLEDQPSSASSSSPDELPEDVDEDEEDAFQPQKGNVVFACALDGWGFRIHQFAEFYAAKLPNINANALLKGLWGPRYFHKKKKMIVGKKGMEGGDAQPMFVEFVLKPLWQAYQGVLSENGELVKKVITNFSLQVQQRELQNKDPKVVLQAVMSRWLPLADAVMTMVVECTPDPVAAQGVRVARLMPKREVAPEDAAGSPDIVVDAERVRSCVEACDARADAPVVVYVSKMFAVPYKTLPFRGVDGELLNHQGANESEECFMAFARVFCGVLRAGQKVFVLSPLYDPMKGEAMQKHVQEVELQYLYEMLGQGLRPVSSVCAGNVVAIQGLGHHILKSATLSSTKNCWPFSSMMFQVSPMLKVAIEPSNPADLGALVKGLKLLNRADPFVEYTVSQRGEHVLAAAGEIHLERCKKDLEERFAKVKLVVSDPLVSFKETIEGEGLALIESLKAPREFVERTTPNGRCTVRVQVLRLPNALIKVLEESEQLLGQIIEGKTAKRNGVLDPHLSQDDGDSAATLRQRLINAIDSELEAFSEQVDKEKLERYRNTWLGYLQRIWSLGPWQVGPNLLLLPDVKSSDSVITSQDGRQGILVRGRSHVSERLGFVCGSDAEANNDLDDSEPSADTPESLHLESVALRNCIVSGFQLATNAGPLCDEPMWGLVFVVEPYIFCDHSDAANHSEQYNIFSGQVITAVKEACREAVVQNKPRLVEAMYFCELTTPTEQLGATYAVLSRKRARVLKEEMQEGTSLFTVHAYLPVAESVGFSNELRSVTAGAASALLVLSHWEAIPEDPFFIPKTHEEIEEFGDGSSIGPNLAKKLMNSVRRRKGLHVEEKVVEHGTKQRTLAKKV >ORUFI03G28880.1 pep chromosome:OR_W1943:3:23843708:23847570:1 gene:ORUFI03G28880 transcript:ORUFI03G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDHDCDPDQPPAGAAAGASPCSCCSTPCAVATWRRSVKRKLGEEKGDGEGEGEGAVVLARVEAEEEAAALREAVAAAQETAAALRSEVEEERLAAASAASEAMAMMLRLQREKAEVQMELRQFRRFADEKMALDAAEIDHLRAALARRARHLARLRSTLREYRHTCLRLGIPLAEGDQADELALDDGFVLEGEDGDGAGYYPELRCYDGEYYYEDGQKEGEEEDDPVVVDLERRIYLLEHDHKNHGVELCLEEEEGAPLYADEPLPDSSEQELNSVYVDEALPEGTVQERNQCSDDDDELPESPAARNGSEEEGSDSDGGRSGSGSDRVYTIDKVHQGATAPAARVLENYQDGEVEPDIKKLYMRLEALEADRESMRQALVAMHSEKAQLVLLREIAQQLAKDATPANTGGFGVVPTVHHFPGKQDGFRDQRFRENRKMAIAKRLSMVALCKWIVALFRSQKRNPSQSRYTFGLSGNNVGLLVLLDKYPRIQKTLTRRK >ORUFI03G28890.1 pep chromosome:OR_W1943:3:23848210:23852959:-1 gene:ORUFI03G28890 transcript:ORUFI03G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVWAPRPGGGPIYSEPDPSPRRHPPRKETKRGFRSAASAFAAAGTTPIKTGSALSLSLSLSLSLSVALVSSSRRAAAPRPHDRRLAAPPPPPTHAGDDIVGKMKFGAIYEEYLREQQDKYLTKCSHVEYKRLKKVLKKCRVGRSLQEDCPNGDQQEGNNESPDICKCNSCTLCDQMFFTELTKEASEIAGCFSSRVQRLLNLHVPSGFLRYIWRVRQCFIDDQQIMVQEGRMLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTDHIELLQSPWLIELGAFHLNCNSSDIDETVGFLKNEFFKNFSCDLTEARPLMTMAISETMKYEYSLTCPICLDTLFNPYALSCGHLFCKGCACGAASVYIFQGVKSAPPEAKCPVCRSDGVFAHAVHMTELDLLIKTRSKDYWRQRLREERNEMVKQSKEYWDSQAMLSMGI >ORUFI03G28890.2 pep chromosome:OR_W1943:3:23848210:23852959:-1 gene:ORUFI03G28890 transcript:ORUFI03G28890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVWAPRPGGGPIYSEPDPSPRRHPPRKETKRGFRSAASAFAAAGTTPIKTGSALSLSLSLSLSLSVALVSSSRRAAAPRPHDRRLAAPPPPPTHAGDDIVGKMKFGAIYEEYLREQQDKYLTKCSHVEYKRLKKVLKKCRVGRSLQEDCPNGDQQEGNNESPDICKCNSCTYDQQIMVQEGRMLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTDHIELLQSPWLIELGAFHLNCNSSDIDETVGFLKNEFFKNFSCDLTEARPLMTMAISETMKYEYSLTCPICLDTLFNPYALSCGHLFCKGCACGAASVYIFQGVKSAPPEAKCPVCRSDGVFAHAVHMTELDLLIKTRSKDYWRQRLREERNEMVKQSKEYWDSQAMLSMGI >ORUFI03G28890.3 pep chromosome:OR_W1943:3:23848210:23852959:-1 gene:ORUFI03G28890 transcript:ORUFI03G28890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVWAPRPGGGPIYSEPDPSPRRHPPRKETKRGFRSAASAFAAAGTTPIKTGSALSLSLSLSLSLSVALVSSSRRAAAPRPHDRRLAAPPPPPTHAGKMKFGAIYEEYLREQQDKYLTKCSHVEYKRLKKVLKKCRVGRSLQEDCPNGDQQEGNNESPDICKCNSCTLCDQMFFTELTKEASEIAGCFSSRVQRLLNLHVPSGFLRYIWRVRQCFIDDQQIMVQEGRMLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTDHIELLQSPWLIELGAFHLNCNSSDIDETVGFLKNEFFKNFSCDLTEARPLMTMAISETMKYEYSLTCPICLDTLFNPYALSCGHLFCKGCACGAASVYIFQGVKSAPPEAKCPVCRSDGVFAHAVHMTELDLLIKTRSKDYWRQRLREERNEMVKQSKEYWDSQAMLSMGI >ORUFI03G28890.4 pep chromosome:OR_W1943:3:23848210:23852959:-1 gene:ORUFI03G28890 transcript:ORUFI03G28890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVWAPRPGGGPIYSEPDPSPRRHPPRKETKRGFRSAASAFAAAGTTPIKTGSALSLSLSLSLSLSVALVSSSRRAAAPRPHDRRLAAPPPPPTHAGDDIVGKMKFGAIYEEYLREQQDKYLTKCSHVEYKRLKKVLKKCRVGRSLQEDCPNGDQQEGNNESPDICKCNSCTLCDQMFFTELTKEASEIAGCFSSRVQRLLNLHVPSGFLRYIWRVRQCFIDDQQIMVQEGRMLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTDHIELLQSPWLIELGAFHLNCNSSDIDETVGFLKNEFFKNFSCDLTEARPLMTMAISETMKYEYSLTCPICLAVLVELLLCTSFKVLSLHLLRRSVLYADRSKDYWRQRLREERNEMVKQSKEYWDSQAMLSMGI >ORUFI03G28900.1 pep chromosome:OR_W1943:3:23855991:23859316:1 gene:ORUFI03G28900 transcript:ORUFI03G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLHLSPLSQREEATGRRMEHAWASETARMECFIGCFKEWEIGKSSIFHINTGETSKRYAPLPSLYS >ORUFI03G28900.2 pep chromosome:OR_W1943:3:23855804:23859316:1 gene:ORUFI03G28900 transcript:ORUFI03G28900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAWASETARMECFIGCFKEWEIGKSSIFHINTGETSKRYAPLPSLYS >ORUFI03G28910.1 pep chromosome:OR_W1943:3:23860211:23862178:1 gene:ORUFI03G28910 transcript:ORUFI03G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAASAIPRLRWAAPPRNQSARNQWLLLRRRSLSSSPPYVTPGIPAAAAAAGGGGSLAGRGQHTSLSLLSLDEKKLSVTEADDALLVVPAEEFAPKIRQVVDWFGQLQAVDLESVEPSLRAGTAAGNSLREDRPETFTNRDAIIESVPSYDDPYIKVPRVLNKE >ORUFI03G28910.2 pep chromosome:OR_W1943:3:23860288:23862178:1 gene:ORUFI03G28910 transcript:ORUFI03G28910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAFPLLLTSLRDARYPGGGGGGRGGGVSRRKRSAHFSISLAEEFAPKIRQVVDWFGQLQAVDLESVEPSLRAGTAAGNSLREDRPETFTNRDAIIESVPSYDDPYIKVPRVLNKE >ORUFI03G28920.1 pep chromosome:OR_W1943:3:23862437:23869803:-1 gene:ORUFI03G28920 transcript:ORUFI03G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNVITEAGISTRLNQWWGSIPFITSGVILICGAIYLVCLLTGYDSFAEICFLPSVVASRFQVYRFYTSVVFHGSLLHVLFNMLALVPLGTELERIMGSVRLLFLMFLLATTNAIFHLIIAFLAAYNPLYPLHFLVDECSIGFSGVIFSMIVIETSLSGVQTRSFWQAMFRCWATCVASCQDTYGLFNYLLPGPSFYSSIEGLSVLSVCVRRPGFILCTGGTTYGQLPTFSNTSTAPSALINANFLRNISSWIPSRQTTTTQGNTQEQDPRFPGRARTLSSAGTEPTAREASANLHASLLDSTTPSDPLTSSQHPAANTVRADATVAADQVDTFDEELKKLVGMGFEKTQAEVALAAADGDPNVAIEILMSQQGRLRFRLSYRNAFDSGFMLGVFDQKYRPAERLFCDIREEVGVWREAGLFKICNG >ORUFI03G28920.2 pep chromosome:OR_W1943:3:23862439:23869803:-1 gene:ORUFI03G28920 transcript:ORUFI03G28920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNVITEAGISTRLNQWWGSIPFITSGVILICGAIYLVCLLTGYDSFAEICFLPSVVASRFQVYRFYTSVVFHGSLLHVLFNMLALVPLGTELERIMGSVRLLFLMFLLATTNAIFHLIIAFLAAYNPLYPLHFLVDECSIGFSGVIFSMIVIETSLSGVQTRSFWQAMFRCWATCVASCQDTYGLFNYLLPGPSFYSSIEGLSVLSVCVRRPGFILCTGGTTYGQLPTFSNTSTAPSALINANFLRNISSWIPSRQTTTTQGNTQEQDPRFPGRARTLSSAGTEPTAREASANLHASLLDSTTPSDPLTSSQHPAANTVRADATVAADQVDTFDEELKKLVGMGFEKTQAEVALAAADGDPNVAIEILMSQQGRLRFRLSYRNAFDSGFMLGVFDQKYRPAERLFCDIREEVGVWREAGLFKICNG >ORUFI03G28930.1 pep chromosome:OR_W1943:3:23881751:23885504:-1 gene:ORUFI03G28930 transcript:ORUFI03G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQGVVVGGGGEITQAGSGSGSIAGSATAPAASPHQASSRQNPTYKPFANFVPLASSVIVSIIAGCFWVILAVINPPKAIKTSLWAAPVLALACDVVILLVGNGAALGIGVLIVVVAIAVALYSCWASGPRLQHATAVLSTSLNAAHLPPTASCLVVFVILAAFGYMSFWTVAISCIAAAEGYFMNFKMAYVVALLVSMAWTMQVLRYFVYVAVAKLAHTRLVYGVRMPGGTVEAFCGTMMGPSFGDICMGAVAVPVIAAVRSFARAINAVTKGNDEFCQGCCLAISDKLMGRVNRWGFVHVGVRGKAFCVASRDVWSLFVLRGISKLVDSDLTGSFCFLSAVTGGALASLVAGSWALAMDKEHKELALPVAIYSFLIGYYMCRMIIAWPQACVATYHVAYAENPQNPHLGTLIPDHLRELQALATD >ORUFI03G28940.1 pep chromosome:OR_W1943:3:23887312:23888549:1 gene:ORUFI03G28940 transcript:ORUFI03G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTWASHVSLFIPSPLSSPLSSPLFSVSICSLPWEQLSRGERSRWSGGARSRRDGEGRQWAEWGDGEVERRWVEKATREMAVETAVARWKASEEMGSALHAVASRRRCSTHCSSFPLCIVGGKNRWSPRTAVTKEKLSRREPGRRTTSSSTLSRAACRLAVPHRMASSSDDDDAATPSGTVKRLADDDDGFEEEEHHLFLFPISSIFAPLGSRVAPCLRTAPTPLRVVGPDGEWCAPLSATAPTTASPRRAGPSAVGSGTKREEWPPPSHLLPSRSIPTSPSPAAPTPSLDGGCGLCYHCFLFSHVAGRHHSLPLPLRPAGSTPPASQPTRRQRREREGEEGKERG >ORUFI03G28950.1 pep chromosome:OR_W1943:3:23911057:23914233:-1 gene:ORUFI03G28950 transcript:ORUFI03G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEGARRRVVVEVCNARNLMPKDGQGTASAYAVVDFDGQRRRTATRPRDLNPQWGERLEFLVHDPDAMCAETLELNLYNDKKAIAATGGGGRRGGTFLGKVKVAGASFSKAGDEVLVYYPLEKRSVFSQIKGEIGLKIWFIDEPPPPPPPAAPADGKADAAAEKKEAAEGGKEEKEKAPAAAAAAAAASAAEEKKPEAPAEEKKAEEAKKEEKKSAEADKKEEKDDKKKSPEKGKKDGEKPKEEGKAKDETKKEVAPVPPSPSKAPPPSPSKMELAAAGVAGDLEIRPQSAAERSMAASAGNASYDLVDRVPYLFVRLLKAKHHGGGDKQPLYAQLSIGTHAVKTRAATAAGEWDQVFAFHKDSLTATSLEVTVHEEAKKPAAEGEATPPDTNLGYVSFDLHEVPKRSPPDSALAPQWYTLEGHANDGTAACDVMLAVWVGTQVDEAFQEAWQSDSGGYLVHTRSKAYLSPKLWYLRLSVIQAQDLRLPAPPDAKAKPMGPAFPELYVKAQLGAQVFKTCRVALGSAATGTSNPSWNEDLLFVAAEPFDPFLTVVVEDIFSGQPVGQARVPLSTVHRRSDNRVEPPSRWLNLCGDEARPYAGRVHVRVCLEGGYHVLDEAANVASDVRAASKQLSKPPVGMLEVGIRGAANLVPMKIAKDGASGSTDAYVVLKYGPKWARTRTILDQFNPRWNEQYAWDVFDPCTVLTIAVFDNVRYRSAEASGDAGKLPKDARIGKLRIRLSTLDTNRVYANTFALTAVHPVGVRKMGELELAIRFTCPSWLTLMQAYGSPLLPRMHYVKPLGPAQQDVLRHTAMRIVSGRLARSEPPLGPEVVQYLLDTDTHSWSMRRSKANWFRVVGCLSHVATAVRWANRVRTWTHPTTTVLVHALLVAVVLCPEMILPTVCLYLFLVLLWRYRARPREPTGMDPRLSHVDSVSPDELDEEFDGLPSARPADVVRMRYDRLRAVAGRAQTLLGDVAAQGERIEALLSWRDPRATAVFAVVCLLAALVMYAVPFKLLLLAMGFYYLRHPRFRGDMPSAGFNFFRRLPSNSDRVL >ORUFI03G28960.1 pep chromosome:OR_W1943:3:23918656:23929974:1 gene:ORUFI03G28960 transcript:ORUFI03G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKAETRDWLNNVVSDLENQIDNFEAEVEGLSIKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFSDVEELYSTLPMEKVEALEDMVSLAPSSLVKGVASVSTTAVLSTKSSVATSPTQATVSAAPSLSVSQDQAEETASQESNPESAPQTPPSKVGSQPSVPVVPTTISTSTAAVSVSAETISSPVRPIVPTTTAAVLPASVTARSAPENIPAVTSAPANSSSTLKDDDNMSFPSRRSSPAVTEIGLGRGITRGLTSQGLGSAPISIGPVSGNGSVSALTDLSKRNMLNTDERINSGGISQQLISPLGNKAQPQQVLRTTDTISSDSSNTNESTVLGGRIFSPPVVSGVQWRPQNTAGLQNQSEAGQFCGRPEISADQREKYLQRLQQVQQQGSLLNVSHITGISQKQFPSQQPNPLLQQFNSQSSSISSQAGIGLGQVQVPESGHTKSEEQQQSFAEDVSVESVATAGANKHMSEDDTKIPFSNPSASITEGTQLSRDPDLPAGQPLQPGMSSSGVGVIGRRSVSDLGAIGDNLSVASASTSHDLLYNLQMLEAAFHRLPQPKDSERVKNYIPKHPAVTPASFPQIQAPVVSNPAFWERMGGDSLSTDLLFFAFYYQQNTYQQFLSARELKKQSWRFHRKYNTWFQRHVEPQVTTDEYERGSYVYFDFHVIDDGTGSGWCQRIKNDFTFEYNFLEDELAVQTN >ORUFI03G28960.2 pep chromosome:OR_W1943:3:23918656:23929974:1 gene:ORUFI03G28960 transcript:ORUFI03G28960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKAETRDWLNNVVSDLENQIDNFEAEVEGLSIKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFSDVEELYSTLPMEKVEALEDMVSLAPSSLVKGVASVSTTAVLSTKSSVATSPTQATVSAAPSLSVSQDQAEETASQESNPESAPQTPPSKVGSQPSVPVVPTTISTSTAAVSVSAETISSPVRPIVPTTTAAVLPASVTARSAPENIPAVTSAPANSSSTLKDDDNMSFPSRRSSPAVTEIGLGRGITRGLTSQGLGSAPISIGPVSGNGSVSALTDLSKRNMLNTDERINSGGISQQLISPLGNKAQPQQVLRTTDTISSDSSNTNESTVLGGRIFSPPVVSGVQWRPQNTAGLQNQSEAGQFCGRPEISADQREKYLQRLQQVQQQGSLLNVSHITGISQKQFPSQQPNPLLQQFNSQSSSISSQAGIGLGQVQVPESGHTKSEEQQQSFAEDVSVESVATAGANKHMSEDDTKIPFSNPSASITEGTQLSRDPDLPAGQPLQPGMSSSGVGVIGRRSVSDLGAIGDNLSVASASTSHDLLYNLQMLEAAFHRLPQPKDSERVKNYIPKHPAVTPASFPQIQAPVVSNPAFWERMGGDSLSTDLLFFAFYYQQNTYQQFLSARELKKQSWRFHRKYNTWFQRHVEPQVTTDEYERGSYVYFDFHVIDDGTGSGWCQRIKNDFTFEYNFLEDELAVQTN >ORUFI03G28970.1 pep chromosome:OR_W1943:3:23930126:23930491:1 gene:ORUFI03G28970 transcript:ORUFI03G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATWCGADPPKPSPPLWGVARSRALSHSLPFPSLPPFLWRPVRSGVAGATGDPGRDRDGAGQVHRAGEGGPEGGAWLKYVDAKGLPMANDYTHLTTPTQIKLDKMLAKAMTLRRCRRRR >ORUFI03G28980.1 pep chromosome:OR_W1943:3:23939745:23940493:1 gene:ORUFI03G28980 transcript:ORUFI03G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGASLEPEEGAAAVAGAREEGVLGRQWSSASMSGVYRVIPGWYRVIPDRYHVIPDKYHPIARKYHLICGKNRMIPDRYHLICREYHLKTW >ORUFI03G28990.1 pep chromosome:OR_W1943:3:23941089:23942324:-1 gene:ORUFI03G28990 transcript:ORUFI03G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHCNGLFLLFSLLVVNPATQRWACLPPLPSHSTKLDFWFLYNQGLIVFDPIVSPHYEVFMIPFVNPEQYCIEIADLVLKESEWPPSPLILHVFSSAAERWEERSFVREGDSAGTVAYAQRQCHLDNHGNFYWRGALYVNSYFLMRISISDGSYQVIHYPIEVYKSRPHVYVGKSEKGVYLASLTIDGRLSIWVLDESCGQFKWVLEHQNNLKPLLLRLNRSKQVYGPWILRDINYHLYSQKFPGEWNLYNQNYDPSHFHSPNDEAPTENNFEWHSDDDDIVDNQCNSEERNSGDYLTFLGFHPYKEVVFMSSGSMKGFAYHLKSSKLQCLGNLYPKHYEHFAEHEHICQSFPYTPCWVDELPETSISVDNLCQD >ORUFI03G29000.1 pep chromosome:OR_W1943:3:23949709:23950002:-1 gene:ORUFI03G29000 transcript:ORUFI03G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRAAIGAGKLPRTTSASGTGSQPVMAMEGSAGGTGGHRWRHARAVPAAREASAREASMAGSVDGDPPSGEDGESAERRGWRPSGRPLAPAGSPG >ORUFI03G29010.1 pep chromosome:OR_W1943:3:23959642:23962234:-1 gene:ORUFI03G29010 transcript:ORUFI03G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMKFAASATPHPRRVLAVALALMVAFAVGPMVALAKCEQQAHAVASLCGGTGIYARCCFALKRSLDGGDPLCLCSLANNREVAEMGLNSTRILSLYRKCEGNVFPVLPAGGCEEVPALSPSPPPLHGSVMPPPLPPATVEPVISAPMVEPPPPPAMITPLPPSTPVFTLPPPPPVTTAPSTALPAGASAAAPSTGVLRRIYQALIGLLCLVAGFLLVAVFVVVRKYWKPQLNNDVEMGSSNAADQSAIENAKKAAAEAQSSAEAAASAAAGSLATAQAASGAAQAAQAATEEVVTVQANAAEQAVLFVEALGRAAQQISTCTITLGQLLQLVSQIIQAVRAAQGGAASAAAAITGLFARFGEIFGRVGAATAFVEAQAVAAQGAPSDLIDIDCINRPGGDRGVSSFDEGGSNEDEDEANVERSTSRTSNRIRKSNVRYSEDVWVLDPPARASITEGTQLSRDPDLPDAAYHRLPQPKDSERVKNYIPKHPAVTPASFPQIQAPVVSNPAFWERMGGDSLSTVLFFAFYYQQVNFHIFFFNCFHLPCLPLETFNEF >ORUFI03G29020.1 pep chromosome:OR_W1943:3:23971956:23972312:1 gene:ORUFI03G29020 transcript:ORUFI03G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSRLKYSLALSILAVLAASASSVAVQPMLTCPDRHITDPAAYQLREHMSPGAGKDDPYRMLHGSSLSRAPGSSRLPWLRTSATAMISCARVATPPVLGFDAATDVRKELKVGNMNP >ORUFI03G29030.1 pep chromosome:OR_W1943:3:23974680:23976368:1 gene:ORUFI03G29030 transcript:ORUFI03G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMEEAPLAARGVEVVLPEDVLAEILRRLPPHSLAALRCVCMDWRSTIDSRRLLRADLLPLSLAGIFIDFWGLRFPDFFSRLPPTPISGVFDFFPLEEGPDIMDHCNGLFLLFSLLVVNPATQRWACLPPLPSHSTKLDFWFLYNQGLIVFDPIVSPHYEVFMIPFVNPGQYYIERTDLVLKESEWPPSPLILHVFSSAAERWEERSFVREGDSAGTVAYAQRQCHLDKRGNFYWHGALYVNSYFLMRISISNGSYQVIHLPIEVYKSRPYVYFGKSEKGVYLASPTIDGRLSIWVLDESCGQFKWVLEHQNNLKPLLLGLNRSKQVYGPWILRDINYHLYSQKFPGEWNLYNQNYDPSHFHSPNDEAPAENNFEWHSDDDDIVDNQCNSEERNSGDYLTFLGFHPN >ORUFI03G29040.1 pep chromosome:OR_W1943:3:23977231:23977649:1 gene:ORUFI03G29040 transcript:ORUFI03G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFVNPGQYCIERTDLVDPATPPSWILGLIVFDPIVSPHYEVFMIPFVNPEQYCIEIADLVLKESEWPPSPLILHVFSSAAERWEERSFVREGDSAGTVAYAQRQCHLDKRGNFYWRGALYVNSYFLMRFHL >ORUFI03G29050.1 pep chromosome:OR_W1943:3:23984959:23985503:-1 gene:ORUFI03G29050 transcript:ORUFI03G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASERWPAALEAKALLQASLPQHLLQIDAEETSSPWRTSLTSIGLSTYLGVLLYSPLHGGDPVCDVYDSEAVPTTTATSIFGSVAGSGSYRGRKGQT >ORUFI03G29060.1 pep chromosome:OR_W1943:3:23993257:24002022:1 gene:ORUFI03G29060 transcript:ORUFI03G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMEEAPLAARGVEVVLPEDDIHFRWKLPKKGVYLASLTIDGRLSIWVLDESCGQFKWFKWVLEHQNNLKPLLLGLNRSKQVYGPWILRDINYHLYSQKFPGEWDLYDRNYDPSHFHSPNDEAPAENNFEWHSDDDDIVDNQCNSEERNSEASSACFTFRHPPVTLGKTGVLGDIIGVIAAHSGESPAASAVLAVAYMWRCFAGILFSLLHLRWGRRRHAELLLPAQERAARR >ORUFI03G29070.1 pep chromosome:OR_W1943:3:24003035:24003217:1 gene:ORUFI03G29070 transcript:ORUFI03G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMDEPPAARGDEVMLPEDVLAEILRRLTLAASPRREALAQTGVLPIDSRRLLRAELLP >ORUFI03G29080.1 pep chromosome:OR_W1943:3:24039883:24040116:1 gene:ORUFI03G29080 transcript:ORUFI03G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCNGLLLLFSLLVVNPATRRWVSLPLLPCHFPELEFGFFDKECIVFDPTISPHYEVFKILFVNTGRYSVERWIPS >ORUFI03G29090.1 pep chromosome:OR_W1943:3:24051193:24056007:-1 gene:ORUFI03G29090 transcript:ORUFI03G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALEPLVRCRGLPRSPVGEPVAPGGLSAAALVDLAISEPVYAFRRCNGNRMEARGGLKLNRGMHPRVAAKACMEARRGLKHNRCSTSTSMKEIISTNLLILVALSYLVHAVSAQSLDEPFRGTGAEIYEMTYDLKQDQQASFIVSFIDCATKESEFHLGTLKVLRNQTDTDREVPFGWILPRLIARKGSVTLAFRTDNLYLIGFTDKYGGWYSFNGYKVLIPGSTELEINGGYGEGGMGGFRKLGDLPLSRRHALDAVDILWDYDPSTTPKEVLQNATATLLLVIPESARFKEVFEPVIADWDSKEGISLKKKIKSIGLLHNWGKLSSVGMIGLPWDSSEVQGYVKKMKKEKVYINSKEDALRPLKVLLMSRAMRPKELVIKRINDPQS >ORUFI03G29100.1 pep chromosome:OR_W1943:3:24069304:24069964:-1 gene:ORUFI03G29100 transcript:ORUFI03G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFYSLLMCIWIIMLDVARSGDVVLAQTVICDSSDMSYQMESVCFPNTTPIMDCCIFVIHVIDMAILNNLECLCGLKDERAFFDNGLSLQDMVDFYSSSTCQGQNLRNAQIVVDACEGREQHSKMPSMSQQLHESRVRVEASPADSPTPLVSP >ORUFI03G29110.1 pep chromosome:OR_W1943:3:24074620:24098811:-1 gene:ORUFI03G29110 transcript:ORUFI03G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAEVSSSSAETTGSSAVSAPSTAAAAAAAATSTSHSSYRRTAPPLLLLASLAALLIISTGDDTAAFDSAAVGRSIKDVSLENPEVTFVPSSLGGQFCERVRLSGIPKLHIGSYANQIRVKMNVSQSMPEKFHWKIEICFHGNASMGLCQCETGEWQNLQNGMWNAVKSPYGNKYVDVKVADKTSTRFSISIQEEFQKWRLACLGIGFILLFLSPIVSKWAPFYYSSSMALGVLLVVLIVLFQGMKLLPMGRKSLFYLTIYGSVVGVGSYAVHYFSTLVASILENFGLSEEMHNPVSIFLLVAIVLTGAGFGYWMVRRFILSKDGSVDAGIAQFVKWAMRVVAICFVMQSTLDPLLALFALAASWWICSVFTAYRAPKSMTLKQKQSKASTQPMYNKGSPNPRQIQFLSPSKRDIGRTTSNSSATQYGWSNLANGGLVSPTLTKRVVPDNQDEDHYSTFHNIQPRKYSKEEWDDFTQKSTRKALMECTATPEFARWVADNAHRLRVEQQDDASEDELIESSSNSSEETAQEADTGLFSETLHVDRYKILLPLALLLASPHSGRRFQREGERERGEETKRARAEEAVELSQPRRLNSPPLAFFPSFLNLQASISVFFSDRIRIPRFFVARATARGNLGGSWAIVMALDGGGEVGRRRRRRGWGGGFPSLMRRKQVDSDRVRAAEGEGQPQLAKELNIPALVAIGVGSTIGAGVYVLVGTVAREHAGPALTISFLIAGIASALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALVLEYTIGGSAVARGISPNLALFFGGPDSLPWILSRHQLPWFDVIVDPCAAALSSAVQELITVLNACVMIFVIVAGSYIGFQIGWVGYKVTDGYFPHGINGMLAGSATVFFAYIGFDTVASTAEEVKNPQRDLPLGIGAALSICCCLYMMVSVVIVGLVPYFAMDPDTPISSVFAKHGMQWAMYIVTSGAVLALCSTLLGSLLPQPRILMAMARDGLLPSFFADVNKRTQVPVKSTVVTGLCAAALAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYIPPDEVPLPSSLQETFCLSQEYDEERVSSILGDERCKTSETKDVILAESMEDPLIEKKITRKMDEMKRRKVAAFSIGSVCVGVMVLTSAASATWLPFLPMCIGCIVGALLLVAGLGLLCWIDQDDGRHSFGQSGGFTCPFVPLLPVLSILVNTYLLINLGGEAWMRVGIWLLIGVLVYILYGRTNSSLKDVIYVPVAQADEIYKSSSGYELLSVDPFHQYVRGDDGGGSTGRRGGAAATGGNPRRLPPRSLAASRCVCTDWRSTIDSRRLLRADLLPLSLAVIFIDFWGLRFPDFFSRPTSSTSPSTISGKLDFLPIKIDLHSIYAITGHCNGLLMLPGVVVNPATRRWARLPPLPRHFTVPQGLFYNEFIIFDPTISPHYEMFKIPYGGTTDYVDRMDPVLKESEWPPSSLVLCVFSSATGQWDERSFTREGDATGTHADAQRQPWPWIQRGQAYWRGVLYVNTCHVMRISLSDGKYQVIKHPTVYYKKFKPNFLIGKSEKGVYLASLEFDHNISIWVLNESCGHFEWLPKHQNNLMPLLLRLNCGKQARRPWILQNVNYHLYCQKFPGEWNLYDWEYDPSHPDYQNDSDDDDSDEALDENNFKWNFDDDSVVDTQECFENYKSGSLDFLGFHPYKEMDWHIIGIAQSSNIWEIYARNIVNVVVAQTVLCDNMDMSFEMENACFPNTMPSIDCCIFAVHVIDMAILNDLECLCGLKDERSFFDNGLSLQDMADFYSSSICQGQDLINAQVVVDACEVDDTAYHVNMSALFDEKKKRTNTEKYDKKGVYLASLEFDQRLSIWILNESCGHFEWLLKHQNNLMPLLLRLNGGKQARGPWILQDVNYHLYCQMFPGEWNLYDWEYDPSHPDYQNDSGEALDENNFKWNSDDDNVVDTQGY >ORUFI03G29120.1 pep chromosome:OR_W1943:3:24099532:24106848:-1 gene:ORUFI03G29120 transcript:ORUFI03G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAYLPLRAQAQVGLAPLRPSGSAAAGARLPGRTARRRLAARGGPEAAGIRAEAVPGDGGVARRAAMVPPYNVLITGSTKGIGYALAKEFLKAGDNVVICSRSAERVESAVTDLKKEFGEQHVWGIVCDVREGKDVKALVDFARDKMKYIDIWINNAGSNAYSYKPLVETSDEALMEVITTNTLGLMICCREAINMMRNQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMNEVNNVMVHNLSPGMVTTDLLMSGATTKQVADYLVPNIRAIPTNQSMKPTYIRFLTGLKAYSRIFSRIAFGARRNKIMSNSQPAQEDDCMSSILVSRLIRAMQPTRSYLRWSDDLHKMFVEAVAYHGGPYEAKPTAVKETMQAMGVTGLTTHNIKSHLQKYRESFSSGVGSLHDHDLLRTTSPSKEALDLASEMVRDNDAAMAEIEMLNDLLLDHDIEMMERELMSEIKLIEHNFEISESALDEYMDDLANYAFDLTGPANSSSP >ORUFI03G29130.1 pep chromosome:OR_W1943:3:24108754:24112576:-1 gene:ORUFI03G29130 transcript:ORUFI03G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARPVAFTSPRCSSIGSLALPDHSWLVPMAGISACASFPVVAVDSALRPEAVEGQGAAATAVAAPKTVTESESSASASASMEPEEQVEEPAKKRKRDPAPDVGSSSMDGTDGQGSDDDKNDDGEEAPVLQAVSPPRQNALQRLVDECRVLLDGSSKSTQPPNSTTVSRIVALLTKNTKLVKKVLDHVVEAGCGTWVLYPSTGGNLHRFVAGVDGPCAFLDVLTPPYSEGRLRRCTFYRDYPFQLHRNHRFARNLSAQEKSQFAWLRPINASAPPDLRIVPLTYSGPPVV >ORUFI03G29140.1 pep chromosome:OR_W1943:3:24121074:24122323:1 gene:ORUFI03G29140 transcript:ORUFI03G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTHHHQRRHSARGERAWLPPARTVEGRGERARTGCSSLSRTASTRLAAPGVGGSCSLQVSSTCTNARRLALRETTHMARAGPSTVVLDAEHHGGGDDEAAEEEQERRRPARDGVHGVDAPQSSVDATEEHVHIEDMRQRAAARCLIRCLARRRDSGERAQPQQPKPASDDGANTGQGRSALHLPVRAEADGAICLSELADGGERVHVLPACGHSSRRRRGDDELPERYLQPYPLPPSSTLSSLQSQGVTRRAEHHRGVRRRRVLAGGERPRAQHVVVHEASVEGAVEAVVDPVLPELAAGALPDDARGRGEGERRLGEVPAGLADHLDAGEVSEVALERVVIPRLAFAPVKETVATKSRAQPSYGANKPYYGIQFLVLSLRW >ORUFI03G29150.1 pep chromosome:OR_W1943:3:24122015:24122607:-1 gene:ORUFI03G29150 transcript:ORUFI03G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYIQSRNDHALERYFGDLPCVEVIGEPGRYFAETAFTLATPTRVIGKGTRGELREYWIDDGLYGPLN >ORUFI03G29160.1 pep chromosome:OR_W1943:3:24128652:24130442:1 gene:ORUFI03G29160 transcript:ORUFI03G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVDQGSSGSVEAKRRREAKGRRRSGGGGGGGGGGGGGGGAVVRRTRRRVLLRTPEPAPLLKRLFAACRDVFRGPGTVPAPDDVALIRGILDKIGPGDVNLSAEHNFFKATDAAALPHPLAITRTTIYTCTNFSIVIFFLPPTAVIPLHNHPGMTVFSKLLLGSLHIKSYDWAEPAVFAAGSGDRLRLAEVVRDGGFSAPSDTLVLYPAAGGNMHQFTAATPCALLDVLGPPYSEDRDCTYYQDFPYSHCPSDDIAELRRHGGGMDDEQISRMRQLGWLKETAMPKDLEMYEMPYRGPPIL >ORUFI03G29170.1 pep chromosome:OR_W1943:3:24133778:24137672:-1 gene:ORUFI03G29170 transcript:ORUFI03G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGGGGGGGGATLSEMYQSARRLLLSARDGVARVERLASAPTSSSYSSAPLVGGGGGAGDSAAAEEVRREVAQIQGLCAQMDRLWRSIPAKGQRDLWKRLLVYTGLRKVEQLSEEVDSLKETLDRHSLRQKKRVLEAKERAELFERANGESSHVLRIFDDEAQAMQSARSSSRMLDEAYETGVAILHKYADQRDRLKSAQRKALDILNTVGLSNSVLKLIERRHRVDKWIAYAGMMITVVRYKGFLWGGIKAAFLFQRGFPACQYICHYVYVDQILFCMHITIYILHMYRKTDRVTVCGF >ORUFI03G29180.1 pep chromosome:OR_W1943:3:24138037:24142337:1 gene:ORUFI03G29180 transcript:ORUFI03G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQPEVLWAQRSEKIYLTISLPDAKDVVLKTEPQGLFSFLAVANGEPFSFTLELFDSVLPEGSKTKTKMGLRNIICSIQKEKKGWWKRLLKSEEKHPYIKVDWNKWCDEDEESDAPVDSDDAFDEGNDRDETDDDDDGMLYLPDLEKLRGK >ORUFI03G29190.1 pep chromosome:OR_W1943:3:24144879:24145178:1 gene:ORUFI03G29190 transcript:ORUFI03G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIIHKIEEKLHMGGGEHKKEDEHKKEGEHHKKEGDHHKKDGEHKEGVVEKIKDKITGDHGDGGEHKEKKDKKKKKEKKHGEEGHHHDGHSSSSSDSD >ORUFI03G29200.1 pep chromosome:OR_W1943:3:24152446:24154116:1 gene:ORUFI03G29200 transcript:ORUFI03G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVIPEPTNEVVVRVEPGRPARGELTLRNAMHTMPVAFRLQPAVRSRFAVRPHTGILAPLAAVTVEVVYLASAAPEGPGGGGGAGRGEDAFLLHSVVAPGAAVREPVTALDSVNPEWFSARRKQVFVDSGIRACFVGAAVAARLVEAGAVEALREVLDRSEPEWRAADAVDESGRTLLDLAVGLGRADIVQVLLEYGADADKPSRGRTPLETAAASGECLIAELLLANGATPAGSDALHVAAAAGHNDVLKLLLGKPASASPSSASSASFSCSFTSIDAAGRDGKTPLRLAAEAGRRDAVKALLAAGARADARCGADGGTALHAAARRGDEVIARLILANGAAGTAAVRDAAGKTAFEIAAEECHGGRIMDFLGLGEAILAAARKGEARAVRRAADGGASVEGRDAHGWTPLMRAAFKGRADTVRDLVDRGADMDATDAEGYTALHCAAEAGRADVVDLLLKSGANAKTTTVKGRSAAEVAAAAGKSRVVRLLEKAGGVGRKEVAEKTSPAAVVGKAGSLDRRRRGRKGSSGAIRFGGGKDGFETAAVAVGWSH >ORUFI03G29220.1 pep chromosome:OR_W1943:3:24167822:24172166:1 gene:ORUFI03G29220 transcript:ORUFI03G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQANPLIKTLALSPRRRMAPPAPATARRPMAAVRCSAAKRSYNVTLLPGDGIGPEVVAVAKDEKLMGGAAVDAYGVPLPEETLAAAQASDAVLLGAIGGYKWDNNEKHLKPETGLLQIRAGLGVFANLRPAAVLPQLVDASTLKKEVAEGVDIMVVRELTGGIYFGQPRGFGKNDKGEDTGFNTEVYSASEIDRITRVAFEVARKRRGKLCSVDKANVLEASMLWRKRVTSLASEFPDIELSHMYVDNAAMQLIRNPKQFDTIVTNNIFGDILSDEASMLTGSIGMLPSASVGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLRYGLGEENAAKRVEAAVTETLNNGFRTGDIYSPGTTLVGCKRMGEEVLKTVESQSAVALNS >ORUFI03G29230.1 pep chromosome:OR_W1943:3:24173974:24177615:-1 gene:ORUFI03G29230 transcript:ORUFI03G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSYSNVGSPTAAGYVQAPELPLHLCFFLVVLLVFLGFSWYMSYESAAERFANKARLLLMASPLALLLTPRTLRHSSRRCSSRRWAQPGTVFTIPVFPEQGHCRHLRLPAHPIPASPPRRPHLLQRPARAVEAESEVAWSRRGCNTAPRSRLAVRGALHDGGGGGAGGEFAGASRHVEECAGAAERALLAVGSSPPPPLTSQVGVNGTTAACDVVLFCYCRVRLRRPACAAPAGRAARRLERRGYFLKLGFITQRQHACTQYFNAATAAAAAAPPPPRRHGYANVDPRCEWTRTEDADTLVVDVSGFRKEELKVLYNTSRKLKVAGERRADGGQWARFLKMFPVPRSCDAGAIRAVMDNEEALLYVILPKGSSSSSSSSRDKKEDEHNVNSQPQGEAAMAPMADGPSSSSGGGGNLYIAQEDEEMGKLDEKEEVIATQDVPRTHGDVDDGNGRWFHLGVFAGLETAVRVHLEDVGVKHGKHLVDAVRDLLGGGDPGGVDVIHTLAEDGQELLIGSGVLDGAPG >ORUFI03G29240.1 pep chromosome:OR_W1943:3:24180779:24181754:-1 gene:ORUFI03G29240 transcript:ORUFI03G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRGVIRTFEEYDAAVEWGRSAEADAVKISLPGKNTINSIHLSLDQLGFKREEIRVLVDNHGHLRTRGERPVGGNRWSRFQKDFQLPADCNVDGIRAKFENEALTITLPKKTPSPLTPSPTPSPVTPPPQQPPQPEPRRPPAAPLPGVRTPPSPPRRTPAPAPAPLTPALSQRFPAERRPAPVQEPVTRKRSDLGTLMKPKEDKVEETTKPLPPPAAAAEAAEEEEERMAREARGKMEEDKKKANEEVTDMAQLRRPASASRRQLVNVAVAAVVLLGITLYVWNTLRNAATGGGGNGHGASYSDEM >ORUFI03G29250.1 pep chromosome:OR_W1943:3:24182789:24184887:-1 gene:ORUFI03G29250 transcript:ORUFI03G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSARLRAPPASAAPRRGRGSLPLPGWFASARPASRAVSAKIRAGATYDLQRNKSNLESLFCYDKSVPEEDIGTPAGLDLEKKNVGKNPPCISCETKGAVLCATCAGSGLYVDSILESQGIIVKVRCLGCGGTGSIMCSKCGGRGHT >ORUFI03G29260.1 pep chromosome:OR_W1943:3:24199223:24200947:1 gene:ORUFI03G29260 transcript:ORUFI03G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRRRGYSAAVPSACCFLLLLLVLSASHLLPTRRGHGGVLEGLALRGSASRSRSGSSSSSSAGEEQGSCQELQSIEGGEARCLYLRTHPPCAPAGYVDYLRLFYCGFAHAPAAGYAAAVLWLAVLFYLLGDTASEYFCASLEGLSAELRLPPAIAGVTLLSLGNGAPDVFASVVSFAAGDGGGVGLNSALGGALFVSTVVAGVVALAAASRAGRGGVVVELRGFVRDICFLLLALCSLLAILVTGTVTVWVSASFVSLYVAYVLLVWTSHCCSEPGKPPQADLAAPLLDDDGGVTPLPSYSKNSAPSKKRAYLHCLLSAILIPLYLPRRLTIPDIAGHRWSRPCAVASLALAPVLLAATWASSCRHALAVLLGGALLGLLLAALAAATTEAASPPRGRWRRVPWLAAGFLMSVLWAYTLARELVALLVAIGYMVGVRASVLGVTVLAWGDSLGDLVSNVAMALHGGAGGAQTAVSGCYAGPLFNTVVGLGLSLTLAAGSQYPAPFAIPAGGAVYEAVGFLGAGLAWALLVVPARGMRLDRVYGMGLIAIYLAFVTIRVFDSLGLWTHSWWPA >ORUFI03G29270.1 pep chromosome:OR_W1943:3:24209199:24216465:1 gene:ORUFI03G29270 transcript:ORUFI03G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSGDRRLAVVAFAAAVLLSAAEGLGVNWGTMASHPLPPRAVVRMLQDNGISKVKLFDADAGTMEALAGSGVEVMVAIPNNLLDLLTDYDAARDWVHENVSRYSFDGGVNIKYVAVGNEPFLSSLNGTFLNVTFPALQNIQRALYDAGHGDTIKATVPLNADVYNSPENMQVPSAGRFRPDIAGLMTEIVQFLNQSGAPFTVNIYPFLSLYGNDNFPLDYAFFDGTTSPVVDTNGIQYTNVFDANFDTLVSALVAAGVGGLPVVVGEVGWPTDGDKHARADLAQRFYAGLLRKLASNAGTPLRPNQYVEVYLFSLVDEDAKSVAPGNFERHWGILRYDGQPKYAMDLAGQGRDTALVAARGVAYLPRAWCVLNPSATPDAMSRVGDNVNYACTYADCTSLGYGSTCNGMDAAGNASYAFNAYFQVQNQVEESCGFQGLAVQTQQDPSTNACNFTIQIEPSAAAGRRPAAVAVTVATAMLISVLAAMVTTP >ORUFI03G29270.2 pep chromosome:OR_W1943:3:24209946:24216465:1 gene:ORUFI03G29270 transcript:ORUFI03G29270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFALQICCRRERAIPVVFEWHVPERDLPCSAEHPASPLRRRPRRHHQGHRAAERRRVQLAGEHAGAVGGEVPAGHRRADDGDRAVPEPERRALHRQHLPLPEPVRQRQLPARLRLLRRHDQPRRRHQRHPVHQRVRRQLRHARVGAGRRRRRGPPRRRRRGRVANRRRQARQGGPRAAVLRRAAPEAGVQRRDAAAAEPVRGGVPVQPRRRGRQERGAGQLRAPLGHPPVRRPAQVRHGPRRAGPGHGARGGARRRLPPARVVRAQPERHAGRHEQGRRQRQLRLHVRRLHLAGLRLDVQRHGRRRQRLLRLQRLLPGAEPGGGVVRFPGPRRADAAGPVHERLQLHHTDRAVCGRRPTAGGGGRDGGDGHADLGSRRHGHDALIGVRT >ORUFI03G29280.1 pep chromosome:OR_W1943:3:24220415:24223127:1 gene:ORUFI03G29280 transcript:ORUFI03G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYICMLVCAAKRNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKVQQCVLYKYRNNT >ORUFI03G29290.1 pep chromosome:OR_W1943:3:24224202:24226760:-1 gene:ORUFI03G29290 transcript:ORUFI03G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGVEMSEEEGAFEAFVCPLTKQVMRDPVTIETGQTFEREAILKWFRECRDNGRRPTCPLTQRELRDTEVSPSVALRSVIHEWRARNEEKDLDRACASLVGGFAGHAGDEEEEESALRALVHVSQICQRSAASKDLVRRRGVLRAVAEMLKSGSRRLRLKSLQVLRVLVEDNDDNKVANPIHPPNFNLPPNQARIDRFLVLILQEELGKGDTIRTIIKFLSNEHVQERELAVSLLHELSGHEPTCERIGAVYGAILLLVGMGSSKSESAVAVDKAESTLRNLDRFDANVKQMADNGRLQPLLTRLLRGEPDTRVAMADYLGELALANDDKAAVAEQAGPLLVGMLRTGATPAKEATLKALREISSSEASAKLLLQRAGVLPPLVNDVLFSTGHLPMKLKELAATILANLVASGADFRSIPLDDDEDDDGGGGGRGRRRTLLSEDVVHSQLHLISNTGPAIGCRLLSVLAGLTSSRATVADVVAAVKSSGATISLIQFIEAAHRDIRVESLKLLRNLAPYMGAELADALGGSLSSLLRAISSDGGGVTEEQAAAVGLLGDLPEGDSSLTRQLFDLGAFRALAPKLAELRRGTIRGGNRYVTPLTEGVVKVMYRVTCALEEDAEYVEFAREAGLAPLFVELLHTNGMDTVQLYSAMALEKLSLQSSHLTAIPAPPSPPAGFGCACLGRRPAAAAVPAGVCRVHGGFCSLRETFCLAQADGGKAVERLVACLDHLDGRVVEAALAALSTLVCDGVDAREGVVVLGEADGLRPVVDIMVESRTEALQRRAVWAVERILRVEEIAGEVAADQTVASALVEAYRNGDPRTRQTAERALRHLDRIPNFSAAFQSKRS >ORUFI03G29300.1 pep chromosome:OR_W1943:3:24228465:24229928:1 gene:ORUFI03G29300 transcript:ORUFI03G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGLPQPVPLTTRKRRAFEAKAAAVGRARYPNVTHLCAYYHETDEKLLPYYRLRRQALASASPVSSPTLTRRRSRRSSAEWRARCVARARLYRWLGAGVHRIGVAVVTQKGNVFALGIMLLEAVTVARVDEERGSAESWRCRHNTSSRRSGCRRRSST >ORUFI03G29310.1 pep chromosome:OR_W1943:3:24233760:24235008:1 gene:ORUFI03G29310 transcript:ORUFI03G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSRPHWRVPLWSLFFLAVPSLPDLPPSSVGKETEGMGKKFGKAAQLQLNDGPNPQEAAAAARVRAHRARRMYKILKEEDFVC >ORUFI03G29320.1 pep chromosome:OR_W1943:3:24235833:24236353:-1 gene:ORUFI03G29320 transcript:ORUFI03G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRGAGGGRGQGDDEEPTPTPPPAPPPETAPSTVGGGGGDGVQLVMPEDGYEWKKYGQKFIKNIQKNRSYFRCRDQRCGAKKKVEWHPHDPGLNLRVVYDGAHHHGSPSSAAGEGGASAAAAANQYDLSTQYFGGAGGPRSQ >ORUFI03G29330.1 pep chromosome:OR_W1943:3:24268172:24272815:-1 gene:ORUFI03G29330 transcript:ORUFI03G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPVAVAIPWFALAAATAVLAGAAWLIIRACKADESCCRLPPGSRGLPLLGESLEFFARSPSLELLPFLKQRLERYGPIFRTNIIAQDMIVSLDPELNNLVFQQEERLFQCWYPNSFMRVFGADSIITTFGSSHRHVRNLVLRLFGPENLRRAMLQEMQKTAQASLLSWLDRPSIEVKEEVSSMIIFSIIAKKLISYDSSASNGKLWKQFDAFLQGLLAEYVYTRSIMTINSITGRKNVMRMLRELLDERKKKTAHQLESIDFFDALIDELKQEKPAVSENVALDLLFLLLFASFETTSSGITAILRFLTDNPMALEELTEEHDRILKRKADPNSQITWEEYKSMKFTSHALRLANIAPVVFRKARQDVHIKGYTIPKGSKIMLSPSNIHLNPTVYKDPNEFNPWRWKVCGEIQSNL >ORUFI03G29340.1 pep chromosome:OR_W1943:3:24322545:24325306:-1 gene:ORUFI03G29340 transcript:ORUFI03G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQDLIVCLDPEVNSFVFQQEERLFQCWYPDSFMRIIGADNIITTLGSSHKYIRNLILRLFGPENLRRAMLQDVHRTAQASLLSWLDRPSIELKDAVSSMIFSVTAKKLISYDSLASDGKMWKQFDAFIRGLLAFPIGIPGTAFYKCMQGRKNIMKMLKELIDERKEASGRRGSIDFIDVLLEELNEEKPLISENVALDLIFLLLFASFETTASAITAVVRFLTDNPEALQELAEEHDNIQKRRVDLNSEITWEEYKSMKFTSHVIHEALRLANIAPVMFRKATEDVHIKGFFIPKGSKIMICPSTVHLNPMIYKDPNIFNPWRWKDTAEPTGGASKDFMAFGGGLRLCVGADFAKLQTAIFLHCLVTKYRWKAIKGGTMVLGPGLRFPEGFHIQLFPKP >ORUFI03G29350.1 pep chromosome:OR_W1943:3:24336744:24336974:-1 gene:ORUFI03G29350 transcript:ORUFI03G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSWLVLTAAMAVLASWLIRLVSLKWNSSHPCKADEGSRLPPGSRGLPLLGESLEFFTSSTSLELPVFFKRRLNR >ORUFI03G29360.1 pep chromosome:OR_W1943:3:24370524:24370967:1 gene:ORUFI03G29360 transcript:ORUFI03G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASLLHLATSACSPPRVRLVGPTTGRRSPLRAAPAPPPPRAYKVTIEHGGESRVVEVEEGETILSRALDEGIDVPHDCKLGVCMTCPARLVAGEVDQSDGMLSDDVVAQGYALLCASYPRSDCTIRVIPEDELLKVQLATADD >ORUFI03G29370.1 pep chromosome:OR_W1943:3:24371800:24374435:-1 gene:ORUFI03G29370 transcript:ORUFI03G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQLNHVARETDDVRRLAAFYEEVLGFERVASPNYPAFQVAWLRLPGTPGVALHIIERDPAAAPAAVAPGAAGAPPAQLPRRHHLAFSVADYDGFLTGLKARGTDVFEKTQPDGRTRQVFFFDPDGNGLEALGVG >ORUFI03G29390.1 pep chromosome:OR_W1943:3:24401742:24405392:1 gene:ORUFI03G29390 transcript:ORUFI03G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTARRGKSELVAPARATPNERKYLSDIDNQHSLRFYATAVEFFQLCTFDGYKPHDPVKAIRSALAEALVHYYPIAGRLRELPQGKLVVDCTVEGVVFVEAYADVRLEELGKPLLLPYPCVEEFLCDPGDTKVVVGKPLLFLQVTRLKCGGFVIGLHMCHNISDGFGMAHFIKAVGDIARGEALLTISPLWNREMLTMCYPPQITHTHLAYEPLRDGDPTNDIMQSTTPDTMVGQYFLFGPREISAMRNHVPVHLRQSYTTFELIAAAVWKCRTAALGYSLDQHVRLMFTLNSRGNWKRNPPIPQGYYGCCLVFPVAETTVADLCGNPLGYALDLVRKAKLEVTDEYVKSTVDFLASRKWPSLVVDRTYIVSDITSVGDDKLDFGWGKRMGGGIPMAGDIMSKLISYFTKCKNADGEDCIVVPMYLPSITMDRFAAEISVWSMKQGSKFIAD >ORUFI03G29400.1 pep chromosome:OR_W1943:3:24407435:24408030:1 gene:ORUFI03G29400 transcript:ORUFI03G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPIVVVDGRAARRARRGNQLALSSLHIQVHDLIPYTKIRKRGRDQKPFVGSTKAQADSVPHP >ORUFI03G29410.1 pep chromosome:OR_W1943:3:24412961:24416130:1 gene:ORUFI03G29410 transcript:ORUFI03G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVVVAVGGGTKAASRQQGQGGVTLAAAAAGSGSGSAASPCAACKLLRRRCAAGCVFAPYFPPGEPHKFANVHKVFGASNVSKLLQEIPVQHRGDAVSSLVYEANARVRDPIYGCVGAISSLQQQVEALQAQLALAQAEMVRLRMSNDYIGRRLRARGCGGGGGGGGGSTTTTTGSPSSMSSPAKTAEPEPLCKPTPELDMVVDQPDFGFWSY >ORUFI03G29420.1 pep chromosome:OR_W1943:3:24415911:24418518:-1 gene:ORUFI03G29420 transcript:ORUFI03G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAEGEFALPDEVLAVMPRDPYEQLDLARRITALAVAGRVTGLEREAARLRESAADKDRENGELRERVALLDRALQETNSRLRAALEDNIKLSKERDSLAQTSKKLARDLQKLESFKRHLMQSLRDDSPSPQETVDITTCDQSISSKASSCGDGDSITHTTTNLLSTSLDVGSTVQEGTVSKPPIQKYALSSHITPRLTPEATPKIMSTSASPRRMSTTATPKLMSGTTSPSKTRIEGYMSMTPWYPSSKQSSAANSPPRGRPNPGRTPRIDGKEFFRQARSRLSYEQFGAFLANIKELNAHKQSREIQS >ORUFI03G29430.1 pep chromosome:OR_W1943:3:24420933:24427647:-1 gene:ORUFI03G29430 transcript:ORUFI03G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFLRVHARLSGMLSQLLTPRIRLALEYLYLAGAVALFCLLVVMHTNFVQQPGCSSEFSGIEFGEAQLVQIKIISGGLWVSKGASYIMDLQNLGRSAEKILEVNGDRFNILASKFWSTWVGPGARRSKIMFRTWKGDKEFEPQPENAADTAITATTSGVSDSKTTVEGSAYHPLSAKESFKAAVMYLFRKWYFRVVSFWRNIKQLSDNTFQLMFRSNWNDFLHTIKGIQLPSVDHLVSTIVQWFERRSKAFEPTYLYGVEKGYFLLSEGAKVRHGVRTINITISARNPCFGNRWQQLLINSIVGYDTILTNSLVNSPGHGYLYNFQTKELYDLSYGHEPPAGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHQLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTSISMQFFPRFFLLYFLVFHIYFFSYTYGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFIRSRAHLHQQTGVQITSSTIYTSTLHIARVNMRDPGAMNEGLGAAREADALLVPDEPNRNQQEGQPIENAELVANNPLHYQDQNPQQPGNAPAGSGSLNPFGSLLLWLLGGGASDGIVSFFSMFRDVRDHGQDYTDPPRNENGQVT >ORUFI03G29440.1 pep chromosome:OR_W1943:3:24435813:24436852:1 gene:ORUFI03G29440 transcript:ORUFI03G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIASCFGGEILDLSGSASAEPQAPVEEPHGTGRRGERGCRRHRRQEEERVGRAGSAGGAEEVRVQMQVQVQSWPMTTTTMPVAARTLAPGGFLEGESGISPSVYGARTRCVEEPKPTAQATPVFLAARGLVKAWYRVTMFGGEGPSLVAIPGST >ORUFI03G29450.1 pep chromosome:OR_W1943:3:24439778:24440376:-1 gene:ORUFI03G29450 transcript:ORUFI03G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPTHTATPTPLATDHPLPAAELSISPQSQVCVSGIDEAAHPAALPRRRLLRRRRLVVVAGEAAGRRDEEEGGGGRGAGTKKKAAAAGVPPEGHVPVDVGEEGEEATERFLVRAELLGRPALAELLGRAAQEYGYDHRGPLRIPCSPAAFRRALAGAGDGDHDDDG >ORUFI03G29460.1 pep chromosome:OR_W1943:3:24460433:24473849:1 gene:ORUFI03G29460 transcript:ORUFI03G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRKSSSSGGVSPAGASPRHGGDDNDECNVFPRGYVPIVAGSGDGERVLVPVSLLGDPCIAELLDMAVQQYGYGQPGVLRVPCDGERLRRVVEGALRKGGGQSKSGGGGASPSRANGGEDDQVPRGHVPMVAGCGGGDGDGGERVMVPVRLLGDPCIAELLDMAAQQYGYGQPGVLRVPCDAGHFRRVVERALRKDGGRAKLGAKTLICTRLPTTLEEDLTSVIVMHGHEP >ORUFI03G29470.1 pep chromosome:OR_W1943:3:24474024:24474344:1 gene:ORUFI03G29470 transcript:ORUFI03G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRRKSGGGSGGASPCRENGEDEVPRGHVPMVAGGGGDCGDGGGERVMVPVRLLGDPSIAELLDMAAQQYGFGQPGVLRVPCDAGHFRRVVECALRRGAAGGQTA >ORUFI03G29480.1 pep chromosome:OR_W1943:3:24483880:24485190:-1 gene:ORUFI03G29480 transcript:ORUFI03G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGALGYMARAADGGRAAELVTRDFLGGCVAADDARDAAAAAAATARHDSVSGKLSLQKQTCPATPTDLNLFPVPGAAASAAKPCPSSTAAAASGAGGTTTTTTTYHSVCTIEKVKTALERFERGKHQHHQHQQHSAGASPSSSSVTTSSVKRRGGGGVGDGAVEQGDGCDSPSAAGGGGMVAAACPRCFLYVLISRSDPRCPRCESHVPPPPSPAPKKKPRIDLNVGFLGT >ORUFI03G29490.1 pep chromosome:OR_W1943:3:24487439:24487702:-1 gene:ORUFI03G29490 transcript:ORUFI03G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTLQGRWEKRRWPRQPPRLAGKAATAMVAASVKVAPSRQVRGRGGCQESGGGSGKRGKTTGGSCKAGFDEASAIRCGPSSTLPP >ORUFI03G29500.1 pep chromosome:OR_W1943:3:24497887:24498370:1 gene:ORUFI03G29500 transcript:ORUFI03G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGVDSQGGKVGGMGTRGGKVGGMALAATRAEARPTNLSENQGLDVLLFSQNF >ORUFI03G29510.1 pep chromosome:OR_W1943:3:24508057:24508458:-1 gene:ORUFI03G29510 transcript:ORUFI03G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATVRDDEDCGGSEMGEAGPTAASSPISLAPPTSFPRSLASRAHHPLFACPHRLQRRDPPLLPRLVRWPPSSSPGVRPGRATVEAVAAEEAVVGSGASFGQAQRRHHRQWRLRPRAASVDGWREADGAEDDG >ORUFI03G29520.1 pep chromosome:OR_W1943:3:24515027:24515257:-1 gene:ORUFI03G29520 transcript:ORUFI03G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPPIAAPGIEFTAPPPGRDHTQDCSLTYAQWKEVDASTRHRLSLDARAALGYTAQRIFARFMAITKLTLRYA >ORUFI03G29530.1 pep chromosome:OR_W1943:3:24518368:24522519:1 gene:ORUFI03G29530 transcript:ORUFI03G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICGEHGVDPTGTYTGTSPQQLERINVYFNEASGGRHVPRAVLMDLEPGTMDSLRSGPIGGIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGRMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPVGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTSEGMDEMEFTEAESNMNDLVAEYQQYQDATAEDDYDEADDAAAADEA >ORUFI03G29540.1 pep chromosome:OR_W1943:3:24535696:24536985:1 gene:ORUFI03G29540 transcript:ORUFI03G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLKERPAGRLGRLLAALRPARAGPLPVQTGFPTSLADLVVKNHGRLKKPSASASRRKKRGGPEAPPSPSPSPSPSPSPPPQPSSPPPPPPSPPPAAAVSVSPPTQPRPRPELPPVEAVLRRQPKGRVFGLGLGFVSLVGVVSLALLVIWSKKVVAAVTVASFSLFLLESVRSSALSRRPRRPAANNKLDLDGRGYVSPIREVEPARASFSDSSRRSEFSILTIEERSEVGDDSIVAIEERIAAGGDDSSNAKVKTKKRSWRKLIPRKLQKGMKGKEAEDSSGSFRSSEGNRGDATATDSSDSRRGMRTKAADAFVARSMDSSPSFRGNGGDTDADAYSNATRVEIDAPADVLAGDGDAVGGTRSSVALLVVAVVLVGLVAGKLPAVVFTVLCGVFISSVQRLPAGGDGNGDRSFTWWFRIKPKDVN >ORUFI03G29550.1 pep chromosome:OR_W1943:3:24548676:24549374:-1 gene:ORUFI03G29550 transcript:ORUFI03G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLATSSTLALFLLVAVSIADAATFAITNRCQYTVWPAAVPSGGGTKLDPGQTWTINVPAGTTGGRVWARTGCGFDGSGNGQCQTGDCGGKLRCTAYGAAPNTLAEFALNQWNNLDFFDISLIDGFNVPMAFLPAGSGAGCPKGGPRCATAITPQCPSELRAPGGCNNACTVFRQDRYCCTGSAANSCGPTNYSEFFKRLCPDAYSYPKDDASSTYTCPAGTNYQVVFCP >ORUFI03G29560.1 pep chromosome:OR_W1943:3:24561126:24567379:-1 gene:ORUFI03G29560 transcript:ORUFI03G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVVALSPIPSFAWGGGKPRKASPPAAVKLRPSGIAASTLIPSASVGVQAARTHQKRRLHPNPTSVGLRPVRVPIRRLHRNRLRCRRPAGALRTGKDEIAQGAVDFQGTDQKNGELRRPREPLLGPCRYAAAVVCAMPTTVDWWHWDGVKPGAPPFAAVPGKDTIAAPEWEGIIAVAAAPLGTEGEGEDTITAHEWEGIIAVAATPLGRGGMPGEGTIVADEWEGIIRTCPERIPSLPKKKMYWFARSREANSVQVSRPGEASYPEATKGKPEYNLHVLKSMKKKKKKKKKRGKRNKRGQGGSEDKSQEKQEVAQEHLGSVSSSYSSPLREPQAPLVPMWTSPSGEVVYGITDDPTAAEAYHWAFHEYKNKRARQELLPTVRSSISAAIEHYNPEQKKTLLNASKSIVSLSAYHDGREINQGTGIIIECDEVKNSAIILTSAWLICIKKPFDDWSHKDYAPEAKVTVHMLDDTISVCRLLYFSKHLDIALFETVGGLTIPIMPLKSDLEYGQDFCVLTRDINIDLICTTVKVKYLDPYEHQHNHYMFIGGSIPKCGTGGALADFSGNTVGMLFCTLPMVAFLPSSLILTCLRLWKKFGQILGLKFKTVDFQEMTLIELLSRKYNITSGLIVGEVSAECAAEKLGIRVGDIILSLSRERAFQLENILLSIGERYLEEGNDSSSKVDIKVNFRVLNEYT >ORUFI03G29570.1 pep chromosome:OR_W1943:3:24569217:24572239:1 gene:ORUFI03G29570 transcript:ORUFI03G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKRRPLATWPTYQRVQQRLIRHCSNYPLKSGSLVGSSSSASPLRRPLLFSLLLPAATASCSLRSGFSAAVVSEGNEVSPAPWFLRMAAPDMPNRFSHIAHQLVHAEKRESIFFVKMVRVPHCHAKIVEHLQNKFHMKPSEAEGTIKEPFFATGSMIYQDENFGYILTCAHILEDFYSANIVLSKEQANRWFKFLILCKHNEDHMKTIHPDLYESERDKRNYTVATVLKIDQRKDLMLLQFNLSTLYATQYAQRCRLPHQSLKLAENPSSAPNDVVMISWPPNRPDTVVIGQVSNQCRLFNQLTTKRDKGYNMQFIELKINGEKGASGSPILNHAGDILAVYHGRIEGKGYAISHDDIYEFLYTRKILSQNLLKVLIYSFGSEAIDFQGQESVYLEVPVITVRPVSWCIPICLDQRERCLPWHMPPFCPYECDTAAVQNVFYRVLMLQKLHVSCIPYTRSLFPADTSQKTTV >ORUFI03G29580.1 pep chromosome:OR_W1943:3:24577343:24581730:1 gene:ORUFI03G29580 transcript:ORUFI03G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGKNSSTSIRDRVEHFTTNLGGFTAFIVRQSLILHTMGLNYQIDLAAMMAQKDNVPAKKEQDVKSLPEVTIDEKKIVTYCLLRRFFLERARSEVSVVEFASDIVPSMDGQIRKPFKHMLEKQKMKFMLKTMLVESRVFWTLLMTNVKRVHTTGDAIPGPMLAHKAEEDPLPVLNSSQEKVASFGKIEEQVKASGVAYQVGKSSLLAHRCSKAIDDAEELVKVMAEKQ >ORUFI03G29580.2 pep chromosome:OR_W1943:3:24577343:24581437:1 gene:ORUFI03G29580 transcript:ORUFI03G29580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGKNSSTSIRDRVEHFTTNLGGFTAFIVRQSLILHTMGLNYQIDLAAMMAQKDNVPAKKEQDVKSLPEVTIDEKKIVTYCLLRRFFLERARSEVSVVEFASDIVPSMDGQIRKPFKHMLEKQKMKFMLKTMVVGVDASGSCVKLTVEPAAGGEQSILDVVDDKC >ORUFI03G29590.1 pep chromosome:OR_W1943:3:24585772:24586885:1 gene:ORUFI03G29590 transcript:ORUFI03G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNGLKIRIFGPVKCIEIGKNTNGESTLLSRSREDNKDKEQQARGGIGATVGRWRSWRSSLGTNGDTPLDSAAGGRWGLRARDHDGLAPGSNGLGAREANKAYDAFFVLPCEKSFADHVGMRGSRPVPTPDGIPVDRNPKIIILLEFIGT >ORUFI03G29600.1 pep chromosome:OR_W1943:3:24592751:24593173:1 gene:ORUFI03G29600 transcript:ORUFI03G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVASSPLLWSLLRCSPPQAGDADLAVRDDLGTAAELWVVRRRHALPVPHDRRRRSLRCHLLHSAVSILTRPTFSPKNRWPSLDTRTSFRWAQMQRWHVPLSFAYVGWQERMPPHRTVKALSRNGCYGGAEVTAAGKA >ORUFI03G29610.1 pep chromosome:OR_W1943:3:24599493:24600107:1 gene:ORUFI03G29610 transcript:ORUFI03G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPKSFSRSSFPLRCLIRAAPPGQEGMRSRTAGSESGVAASADSPKQLLFPAVEEELAALSFCVEVYLDMRRRMLLPSSGCAPARAARSLAAAARSHPPLDATPPEPEPEPDGVCDSMSRGSGDELDDMICSSPDRTWEPSRVVTGNAIGIVAATVTAPVVVVVGVGGVTFHRCISQSSRRSPRRKRRWRTPTCPGQYSQIPV >ORUFI03G29620.1 pep chromosome:OR_W1943:3:24603355:24604023:-1 gene:ORUFI03G29620 transcript:ORUFI03G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLANSSVHLLLLVVVLAAAADAVTFTIVNKCGYTVWPAALPSGDGNQLDPGQSWAVYVPAGTKGARVWGRTGCGFISGGSLGQCQTGDCGGTLRCAAVGAPPVTVAEFSLGQASKDDYFDISLVDGFNAPMAIVPAPAGGRRCPRGGPRCAAEITLQCPGELRAKAGCSNPCRGNSTCGPTKDTEFFKKLCPETVTYARDGQGTTFTCPAGTDYQIVFCP >ORUFI03G29630.1 pep chromosome:OR_W1943:3:24604904:24605593:-1 gene:ORUFI03G29630 transcript:ORUFI03G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAILRLLPLLLLAAAANAATFTITNKCQITVWAAAVPSGGGQQLDPGQQWVIDVPAGTTGGRVWARTGCSFDGSGNGRCQTGDCGGVLRCAAYGQPPNTLAEFALNQFSNLDFFDISLIDGFNVPMDFLPAGDGAGCAKGGPRCEADVAGQCPSELRAPGGCNNACTVFKQDQYCCTGSAANNCGPTNYSQFFKGLCPDAYSYPKDDQTSTFTCPAGTNYQVVFCP >ORUFI03G29640.1 pep chromosome:OR_W1943:3:24631138:24636718:1 gene:ORUFI03G29640 transcript:ORUFI03G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQSRPRCPVENQFLRERCMQIQEKQNRVFVATWNVGGRSPSSHLNLEDWLHTSPAADIYVIGLQEIVPLNAGNVLLTEDNGPAKKWVALVRKTLNNIDQGSVVYNYHTPSPVPDPIVELNVDFERSSRRPRNSSFFHRRSFQSFNRSSRIDMMDPHSLVDRRFSVCDRISFGSRPSDVDTSMRYGGSSDDENIDEESPSGIYISPMPYGYGAPLCYDDNKRQLINTSRYCLVASKQMVGVFLMVWVRSDIREHVKNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCTHLTSGQKDGDELRRNADVVEILRKTRFPHVHGVGDEKSPETILDHDRIIWLGDLNYRIALSYRSVKALVEMHNWKQLLEKDQLRIEQRYGRVFSGWKEGRIYFPPTYKYSYNSDRYAGDDMRPNEKRRTPAWCDRILWYGRGLNQLCYVRGESRFSDHRPVYSIFTAEVQIPSQTQFCSFARSTSLMGVDELPYPTYPRSYTDINFY >ORUFI03G29650.1 pep chromosome:OR_W1943:3:24637853:24639962:-1 gene:ORUFI03G29650 transcript:ORUFI03G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRARATILLLLAAVLFAAAAAASGEDRRRETSLRRCLQRCEQDRPPYERARCVQECKDQQQQQQERRREHGGHDDDRRDRDRRGEGSSEEEDEGRERGSRRRPYVFGRRSFRQVVRSDQGSVRLLPPFHQASSLLRGIKNYRVAVLEANPRSFVMPTHTDAHCICYVAQGEGVVAIIENGEKWSYAIRQGDVFVAPAGTINYLANTDGRRKLIISEEKLEKLLGKQDKGVIIRASEEQVRELRRHASEGGHGPHWPLPPFGESSRGPFNILEQRPRFANRHGRLYEADARSFHDLAEHDIRVAVVNITAVRLLRRPRAMAARDRGSMNAPFYNTRSVKVAYVLDGEGEAEIVCPHLSRGGRGGESEERRRERGKGKWREEEEEEEEQQKGQEEEEEEQVGQGYETIRARLSRGTVFVVPSGHPIVVTSSRDSTLQIVCFDVHANNNERMYLAGMNSVLKKLDPQAKELAFAASAREVDELLNAQQESAFLAGPEKSGRRGEESEDEDRRRRRSHRGRGDEAVETLLRMAAAAV >ORUFI03G29660.1 pep chromosome:OR_W1943:3:24641101:24643516:-1 gene:ORUFI03G29660 transcript:ORUFI03G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSHLAVLLGLLAFAAGVPAAAAATAVEGAQAATAEASCEPSILATQVSLFCAPDMPTAQCCEPVVASVDLGGGVPCLCRVAAEPQLIISGLNATHLLTLYAACGGLRPGGARLAAACEGPAPPASIVTAPPPPVAFRRKPPAREAPPPPPAAEKLSPPPQQHDDSDHNKRVGPLPRGSPPPYAQSVPVGPAAAPPPPRSGASSSLQAPLAATTTIVAITLIAAAQY >ORUFI03G29670.1 pep chromosome:OR_W1943:3:24645773:24648216:1 gene:ORUFI03G29670 transcript:ORUFI03G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLLPDDVLANILGRLPPRSLAAAWCVCADWRAVIDDRRLLRTDLLPLSELNPIVQELEWPPSRLITRVFSSATKRWEDRPFVREGEAAGTVGHLQKLSEYGEYRAVYWPGALYVHHFSYVIRLSMSDGKYRVIKLLPAIDIRYYQNFYFGKSEKGQVHGPWILRDVNYNLYCEKIAGSWFYNADGEDISLEENTEALLEDKFEWYSDNDDVVEAQGGGENAMKIMRFQDSILIKRSSF >ORUFI03G29680.1 pep chromosome:OR_W1943:3:24658725:24660237:1 gene:ORUFI03G29680 transcript:ORUFI03G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTTARSMTINYLLPDDVLADVLGRLPPRSLAAARCVCAAWRATIDDRRLLRTDLLPLSLAGIFIHFDDLRFPEFFSRPSTPTTPAISGKLDYMPNKYALYAVNDHCNGLLLLYTHVVNPATRRCVTLPLLPPSRGTFSDNYIVFDPTVSPHYEVIRISYLMCNMRLDPIIRESEWPPSPFLLNVFSSSTKQWEDRLFVREGEAAGTIGDLVKLYSRQHYAAYWHGALYVHRCNYVTRLSLTDGKYKVIKNPQDIDMSKCLKFYLGKSENGVYLASLEQELDLQLSVWILNESCAKAKWVLKHRNNLKPLLSRWGYHQVNGPWILHDVNYDLYRKNFGGPWFYNVTYDDLLLEGNNEVPVEDKYEWYSDNDDVDHDTQDGVEEQSHVSISLLGFHPYREIVFLSLSCERGVAYHLNSSKMQDLGSIFPQNFNQVSEVGGGIEASFPYTPCWIGEFPEISSEDHLYRN >ORUFI03G29690.1 pep chromosome:OR_W1943:3:24660926:24661896:1 gene:ORUFI03G29690 transcript:ORUFI03G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSMARRRRGTSFTASWQLGLAVVVAAIMASSAQPQQQQQQPPQPPGQPANAPSCPPVQASLSPCVSYFIGNSSTPSDACCEQMRAMFQSQAPCLCAAVASAPSPLAPVLGGVQSLLPTACNLPPNACADATGSTSGSAPAGGSSATPSTGATAAAPAMEPAGMDPAMTAGGGSKSVPGMPYSAAAGVHGGGASAAVAVLISSMLAYACMI >ORUFI03G29700.1 pep chromosome:OR_W1943:3:24681491:24682519:1 gene:ORUFI03G29700 transcript:ORUFI03G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGHGVVGLALAVVVAAAATLCAAQTTMTTAAASVQPTALTMPSCPAAPLSLSPCIGYAFGVGSATLSSCCSELRAFFRSQGPCLCAASRLAAAGPFGLFLGQAQAIVPNVCNLPSNPCDDVAAKSSEPDSATPAALAPAAAPDTPAMTPSAAPAEPEASEAPPVPADDSPAATVTAPGDAGSSAGSQVASKLPELLHSAGVRNSRNMAAAAVITLFLVYVSAMYV >ORUFI03G29710.1 pep chromosome:OR_W1943:3:24684349:24686196:-1 gene:ORUFI03G29710 transcript:ORUFI03G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLAVLRDYAARGDLDKIIFSGDEVLFGSEYTFPANAPTAFTNKQSGRPYPLSAAVFLAQHNDLKHTDFIQAARLRRIPPVSLPDRKTFLDFLRHGHHSLPTDPLLPSALPSFAPEPQPAMPEEPEGDKASGAYIRALERTLKDRNALLDARGRDFLAVLQNATRREEERRRNKDSAPSSARHEPSSAAAAAAMAKPKVERSFGDGFVPIILVPSASQTLITIYNVREFLEDGVFVPSDERMRAMKGSGKPECVMVQKKLIRGERAGAGGGATTFEVRDKPASLKADDWARVVAVFVLGKEWQFKDWPFKDHVEIFNKVIGFYVRFEDDSVEAAKVVKQWNISKNKRHQDRTAALEVWERLEEFMRAHT >ORUFI03G29720.1 pep chromosome:OR_W1943:3:24686509:24688546:1 gene:ORUFI03G29720 transcript:ORUFI03G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAEAAEVTLREFTEADAEALFAWASDPRVVRFQRRDAYSHVDEARRYIVDKVLPHPWYRAICVAGADRPVGSISVKPADDLPLPEPESETGRLRSGCCRASVGYRVAHAHWGRGVATRAVRAVAEAVLAEWPWLERLEAVADVENPASQRVLEKAGFAREGVLRRYVVLKGRPRDMVMFSRVRADLEEKPAQAHGPSDGV >ORUFI03G29730.1 pep chromosome:OR_W1943:3:24697403:24697636:1 gene:ORUFI03G29730 transcript:ORUFI03G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDRGSRQDARGYKGDEEGFLNEDFACMVQRESSRVYLIVWEGVIGPHVKFRVQKFKFKN >ORUFI03G29740.1 pep chromosome:OR_W1943:3:24701004:24701948:1 gene:ORUFI03G29740 transcript:ORUFI03G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVDVDVQQRKDGSPPAVAVTLRPLGLADADDFMAWASDERVMRFLRRPLCAAREQAVAQIRDTVLGHPWFRAICVDDDDAGAGRRPVGQVSVWPYADEGGHRANLGYALSHGLWGRGIATAAITMVVARVFDELPGLERLEAVTDVENVRSQRALEKAGFRKEGVLRRYIVRRSGEVMDAVIYSFLASDRPSAHGATRGEAPITFYGKSVLV >ORUFI03G29750.1 pep chromosome:OR_W1943:3:24704366:24707472:-1 gene:ORUFI03G29750 transcript:ORUFI03G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWRRKKKKKKEKKKKQLLLLLLLSGDMLRNRSRRSVGAKQGGVTAMAQPEPPPPSSQSSSSSSSSSPVFPSPRPFMALPHPPQAGFLDGAAEGPSSSMSPTSILETKQFCCSSAMPPFLSERSLRKAHVEMAAAPPEPAGVGLADVLREHHGGGKAGGGKVVFGSQLRIQVPTGRAVELVSSPIEFGVKNRDAHLAAMSPARRFLPEVVSSPSARVFAAAVVSPGEMAMSEDYTCVISRGPNPRTTHIFDDCIVESCGDVLVEKVAGGGGDGDGDAVRTNGFLNSCYACNKQLGHGNDIFIYRGDKAFCSSECRYQEMLFDEAVDNLR >ORUFI03G29760.1 pep chromosome:OR_W1943:3:24736720:24737894:1 gene:ORUFI03G29760 transcript:ORUFI03G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQIIGLRMEALDNSKPNRLERRGADNEADKKNLSLIQEEEEENLQCDMIFPTITRPKSSWIMGANPKPHENAQFWDEHEVVAIRIGLNIECVEEQVSKAMWHWMAVKC >ORUFI03G29770.1 pep chromosome:OR_W1943:3:24741347:24741694:1 gene:ORUFI03G29770 transcript:ORUFI03G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESVKCECCGLREDCTQDYIASVRASFYGQWLCGLCCEAVRDEAGRKKAHPGVEEAVRAHMAFCRMFRSNPAVRVADGMRQMLRRRSGDMSKPDTSKKYSTVQVVDESSVSLY >ORUFI03G29780.1 pep chromosome:OR_W1943:3:24744730:24747818:1 gene:ORUFI03G29780 transcript:ORUFI03G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLQRRLSQLVLRRLLSPPPPPAARRPAPVAAEAVSGGGATALLRRGGGSGVAAGGWSGGGSGLRLARRLCTYDERDDRALEEEAEKKFGWILKIFFIGTAGLVGYQFFPYMGDNLLQQSISLLRVKDPLFKRMGASRLARFAVDDARRMKVVEMGGAQELLNVLEGAKDDKTRKQILKALHALSKSEEAAGFLDKAGAYVIVSSTPNSLEYAEIETYKTSLLKAFDELKS >ORUFI03G29790.1 pep chromosome:OR_W1943:3:24752359:24759202:1 gene:ORUFI03G29790 transcript:ORUFI03G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRLPGAAGIDPIAEEPPHSAAAAGDGGDAAGLACAISAEASAVLAVMRRSLRHPRAAADDAAADHPLVSSLKALRRLVFSPSAAAAPAGAVLRPFLDAVRSEDAGAAVTSASLAALHEVMALMGPSLTGAALREVVDAVASCRFEAGAEAAAEEAVLMRMLQSLLACLRAPAAPALGDQHVCTAVNTCFRVVHQAGAKGELLQRFSRHAMHELIRCVFARLPQIWSGDGPDGSVKPEMGGMDKNHPFGIGQMENGNGSYASEAVTSDENSADGSGIVVEPYGIPCMVEIFHFLCSLLNVVEQIGVDEDLPLFALKLINSAIELGGSSIRKHPKLLSLVQDELFRNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCIILRLAQPRFGATYHQQEVAMEALVDFCRQKNFMVEMYANLDCDITCRNIFEELANLLSKSAFPINCPLSSMHILALEGLISVIQGMADRIGNATSRPELLPVELDEYTPFWTVKCENFSDPQHWVKFVRQRKYVKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAQTFDFQEMNLDTALRLFLETFRLPGESQKIQRVLEAFSDRYYEQSPQAFANKDTALVLAYSIIMLNTDQHNMQVKKKMTEEDFIKNNRNINGGSDLPREMLSELYHSICRNEIKTTPEQGMGYFEMSPSRWIDLMRKSKSTSLYIVGDSQPFLDHDMFAIMSGPTIAAIAVVFDHSEHEEVLLACVDGFLGVAKISAFHHLEDVLDDLVVSLCKFTTLLNTSLVEEPVTAFGDDLKARLATETLFTIANRYGDYIRTGWRNVLDCILRLHKLGLLPARVASDAADDSEVSAETVQGKPTPSSISTSHIPVMGTPRKSSGLMGRFSQLLSLDSEEPRSQPTEQQLAAHQRTLQTIQKCRIDSIFTESKFLQPDSLLQLARALIWAAGRPQKVASSPDDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAIFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCENITQEVARLVKANAGHVKSQMGWRTVVLLLSITARHPDASEVGFEAIMYIMSEGAHLSLSNYAFCIEASRQFAESRVGLIDRSIRALDLMADSANSLARWSQETKGTGEETDKVLEAIREMWLKLLQALKKLSLDQREEVRNHALTSLQRCLTATEGVCLQSSTWSHAFDLVIFALLDDLLEISQNHSQKDYRNMEGSLVLAMKLVAKVYLQLLPDLFGLSSFCKLWLGVLSRMEKYIKIKVRGKRSDKLQELIPELLKNILIAMKNRGILAKRSTIGGDSLWELTWLHANNISTSLQSDVFPSQEYEQHSSAGSPRGPNGVESRD >ORUFI03G29800.1 pep chromosome:OR_W1943:3:24759288:24762813:-1 gene:ORUFI03G29800 transcript:ORUFI03G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSSPRARETESSLEKVKRQLSSGSGRYLLQGPLLKRSETLRKWNERWVILDPTSGKMEYKIRRNETAIKGTIIFDASSTITLSPIDIGTPQKKDYFLCAETPGAAKAWVSTLLATQLVLQAHKEAVNSLAGNGSPATLGTVATAVANANATALEATKEIEAAMKVSMRAALGLGANNPKEGQLDDLTIMKETLRVKDEELQNLAKDIRARDATIKEIADKLTETAEAAEAAASAAHTMDEQRRLLCSEIERLRQAMERQMEQSMLKLRQSEEKVISLSKEKDQLLKERDAALQEAHMWRTELGKAREQAVIQEATIARADEKVRASEADAAARIKEAAEKLHAVEKEKEELLSLVGILQSQVQREQSSTKQVCEERSESCSGTDNSPPLTKHVDASDDDVDKACVSDSRSVLVSNDNTEVQLAVDGVDIRPIGDAEWGSFQQSEALIADVREVSPESEGGSLDIPVVNPPPVSDHIQGGATHP >ORUFI03G29800.2 pep chromosome:OR_W1943:3:24759288:24762813:-1 gene:ORUFI03G29800 transcript:ORUFI03G29800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSSPRARETESSLEKVKRQLSSGSGRYLLQGPLLKRSETLRKWNERWVILDPTSGKMEYKIRRNETAIKGTIIFDASSTITLSPIDIGTPQKKDYFLCAETPGAAKAWAGELLPLYLGLILSILNSATQLVLQAHKEAVNSLAGNGSPATLGTVATAVANANATALEATKEIEAAMKVSMRAALGLGANNPKEGQLDDLTIMKETLRVKDEELQNLAKDIRARDATIKEIADKLTETAEAAEAAASAAHTMDEQRRLLCSEIERLRQAMERQMEQSMLKLRQSEEKVISLSKEKDQLLKERDAALQEAHMWRTELGKAREQAVIQEATIARADEKVRASEADAAARIKEAAEKLHAVEKEKEELLSLVGILQSQVQREQSSTKQVCEERSESCSGTDNSPPLTKHVDASDDDVDKACVSDSRSVLVSNDNTEVQLAVDGVDIRPIGDAEWGSFQQSEALIADVREVSPESEGGSLDIPVVNPPPVSDHIQGGATHP >ORUFI03G29810.1 pep chromosome:OR_W1943:3:24768207:24769330:1 gene:ORUFI03G29810 transcript:ORUFI03G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADVLLGQEEDEETMNAAMAASTAGSAMAAAAREYRRGNWTLPETMLLVEAKKRVSDGRRPAADQGLARWRWVEDYCWRRGCRRSQNQCNDRWDNLMRDYKKVRAHELAAAGGGGGGGPAESYWVMGRTERKEKGLPANLLREIYDAMGEVVERRPMSSGGGGGGAVFLAGASSSGSGGLADVPAMAMQASPLAQLLPRPLEATANCSSGSPERKRRRPSLDNEPPGGSTPPATTGRQGHQEHDDDDDEYAHHGADESSDDDGGLGGAIGRCAAILSVALENREASEERRHREVVAAEERRGRARQARREAGEQCMAGLATAVSQLAGSMLALAAKRRGPAAPK >ORUFI03G29820.1 pep chromosome:OR_W1943:3:24780260:24781560:-1 gene:ORUFI03G29820 transcript:ORUFI03G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLRVIISGSVNDVAREPGQQTTMINSNSHEGSNSVSCPTPSKRRGHKAGVECFICQEMGHYSWDCPQKVKTKPAQPTTILPNIFGSKGSKSPNSGSVSLTSPHVGQSRLNQVQVKTN >ORUFI03G29830.1 pep chromosome:OR_W1943:3:24784738:24792207:1 gene:ORUFI03G29830 transcript:ORUFI03G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAGNKIRNAKLESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMPLSPVQRNGFKNFKLKVTRVQWWLLLATKPIC >ORUFI03G29840.1 pep chromosome:OR_W1943:3:24788527:24789990:-1 gene:ORUFI03G29840 transcript:ORUFI03G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTNGAPACCDANADTPPLHLIFVPFLSRSHFGPVTAMAAEADACHRGGRTAATIVTTRHFAAMAPASVPVRVAQFGFPGGHNDFSLLPGEVSAAAFFAAAEEALAPALGAAVRGLLREGGSTATVTVVSDAVLHWAPRVARECGVLHVTFHTIGAFAAAAMVAIHGHLHLREAMPDPFGVDEGFPLPVKLRGVQVNEEALVHLPLFRAAEAESFAVVFNSFAALEADFAEYYRSLDGSPKKVFLVGPARAAVSKLSKGIAADGVDRDPILQWLDGQPAGSVLYACFGSTCGMGASQLTELAAGLRASGRPFLWVIPTTAAEVTEQEERASNHGMVVAGRWAPQADILAHRAVGGFLSHCGWNSILDAISAGVPLATWPLRAEQFLNEVFLVDVLRVGVRVREAAGNAAMEAVVPAEAVARAVGRLMGDDDAAARRARVDELGVAARTAVSDGGSSCGDWAELINQLKALQLTSSRDRRTDAVTRD >ORUFI03G29850.1 pep chromosome:OR_W1943:3:24791838:24794887:-1 gene:ORUFI03G29850 transcript:ORUFI03G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGYKYQAQELMRDYLLADPLVPYTSVLVGIALCKMAYDLTRVLSSFYFKGYSSLTKIQRVEWNNRGMSSAHAIFITAISLYLVMSTDLFSDRLKGPITFRNSIISTFALGVSVGYFIADLAMIFWLYPSLGGMEYIVHHTLSLVAIAYTMLSGEGQFYTYMVLISETTTPEINLRWFLDTAGLKKSSAYLVNGILMFVAWLVARILLFIYVFYHIYLHYSQVMQMHAFGYYLTFIVPSVLFVMNTMWFMKILKGVKKTLGKWS >ORUFI03G29860.1 pep chromosome:OR_W1943:3:24797321:24797566:1 gene:ORUFI03G29860 transcript:ORUFI03G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRSRWHLTCRHLALEVDDCLLKLERCSFLASKAEEDMRTNGRLLKHELCSFPASEATKVRRTGRSSGGCHETRDGRQP >ORUFI03G29870.1 pep chromosome:OR_W1943:3:24800421:24803558:-1 gene:ORUFI03G29870 transcript:ORUFI03G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRLFPTSSAASPTQNPCCRRRIIGLTSSIISPSTIASGASLHRRRFGVVLVPPGSGCSGGVGVGWSHEAQQGGILSSATRLLMLRAWRPGAQLAATQIPVVVILTVMNPFGAHMGCLPSMTESMA >ORUFI03G29870.2 pep chromosome:OR_W1943:3:24800421:24803558:-1 gene:ORUFI03G29870 transcript:ORUFI03G29870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRLFPTSSAASPTQNPCCRRRIIGLTSSIISPSTIASGASLHRRRFGVVLVPPGSGCSGGVGVGWSHEAQQGGILSSATRLLMLRAWRPGGALCPSQLAATQIPVVVILTVMNPFGAHMGCLPSMTESMA >ORUFI03G29870.3 pep chromosome:OR_W1943:3:24800255:24803558:-1 gene:ORUFI03G29870 transcript:ORUFI03G29870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRLFPTSSAASPTQNPCCRRRIIGLTSSIISPSTIASGASLHRRRFGVVLVPPGSGCSGGVGVGWSHEAQQGGILSSATRLLMLRAWRPGGALCPWHGDLEVHCAHINSSDSDDLRRP >ORUFI03G29880.1 pep chromosome:OR_W1943:3:24810652:24813212:-1 gene:ORUFI03G29880 transcript:ORUFI03G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSTISFSSSSPPSPPPPQPAPGDIDAVSLGRLSRNLENLLDPAFLNCADAEIVLASGGGDPGGGAVVGVHRCILAARSRFFYDHFSSAPAPAPATAGDKPQLDLDGLVPGGRHIGRDALVAVLSYLYTGRLRSAPPEAAACLDDGCSHDACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFVNKALAEDILPILVVASTCHLPELLNQCIQRVANSNLDNRYLEKRLPDDLYAKLKEFRVPDEPHSGILDPEHEKRVRNIHKALDSDDVDLVGMLLKESPVTLDDAFAIHYAAAYCEPKVLAELLKLESANVNLKNSSGYTPLHMACMRREPDIIVSLIEKGASVLERTQDGRDALTICKRLTREKDRNEKSEKCKERSKAYLCIGVLQQEIKRRPQILEDQMSAEESIATPLLVDNFHMRLLNLENRVAFARIFFPSEAKLVMRIAQADSTQEFAGLTSANFSKLKEVDLNETPTMQNRRLRERLDALTKTVELGRRYFPHCSEVLDKFLNEESTDLILLESGTAEDQQTKRMRFSELREDVRKAFTKDKAAGAAISSSTSASSSPRYETKLRPGNKKGKLSR >ORUFI03G29890.1 pep chromosome:OR_W1943:3:24821221:24822407:-1 gene:ORUFI03G29890 transcript:ORUFI03G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQTPVRIAFVFLVILAATDAHSDHRTPPPACGGAAVGGECHSVARALRLKLIAIPAILAASVAGVCLPLFARSVPALRPDGGLFAVVKAFASGVILGTGYMHVLPDSFNDLTSPCLPRKPWSEFPFAAFVAMLAAVFTLMVDSLMLTFHTRGSKGRASSAVAHHGDHGHCHAHALGQADVAALSTTEAADQGSGDVEAGNTTKAQLLRNRVIVQVLEMGIVVHSVVIGLGMGASQNVCTIRPLVAALCFHQMFEGMGLGGCILQAGYGGRTRSALVFFFSTTTPFGIALGLALTRVYSDSSPTALVVVGLLNAASAGLLHYMALVELLAADFMGPKLQGNVRLQLAASLAILLGAGGMSVMAKWA >ORUFI03G29900.1 pep chromosome:OR_W1943:3:24826519:24828731:1 gene:ORUFI03G29900 transcript:ORUFI03G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSAGHGRRPKKKLYHREPGLDKAMDLQKKPALLLRLREIILSQKTSSILVRDLEKEVGFVQKWNFLSLIERHPNIFHVSGGSASREPISVTLTEKARKISSEEIQARELMEPILVKNLRKLLMMSLDCQIPLEKIELIQSELGLPNNFKNNLIPKYPELFSVRDVKGLDHLCLESWDSSLAVTAREEKLNFEGFQMDYRGIPKDGNIVGPFAFRLKYPAGFRPNRNYLEEVVRWQKLAFPSPYLNARRVEPATPQARKRAVAVLHEILSLTMNRRLTSDKLEIFHNEYRLPCKLLLCLIKNHGIFYITNKGARSTVFLKEAYDDSNLVEKCPLLKFHDRFASLIGRTCSDSNDLLKA >ORUFI03G29910.1 pep chromosome:OR_W1943:3:24831014:24836497:-1 gene:ORUFI03G29910 transcript:ORUFI03G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRTLVPILPPVAALLLLLVAASSIPILAAAQPADACGGAPDQAAADGACHDVPRALRLKLIAIPTILVSSVVGVCLPLLSRSVPALRPDGGLFAVVKAFASGVILATGYMHVLPDAFNNLTSPCLPRKPWSEFPFAAFVAMLAAVSTLMADSLMLTYYNRSKPRPSSGGDVAAVADHGESPDQGHRHGHGHGHGHGMAVAKPDDVEATQVQLRRNRVVVQVLEIGIVVHSVVIGLGMGASQNVCTIRPLVAAMCFHQMFEGMGLGGCILQAEYGRRMRSVLVFFFSTTTPFGIALGLALTRVYRDNSPTALIVVGLLNAASAGLLHYMALVELLAADFMGPKLQGNVRLQLAAFLAVLLGAGGMSVMAKWA >ORUFI03G29920.1 pep chromosome:OR_W1943:3:24831195:24831482:1 gene:ORUFI03G29920 transcript:ORUFI03G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVGLLSLYTLVRARPRAMPNGVVVEKKKTSTDLIRRPYSACRMQPPRPIPSNIWWKHIAATSGRMVHTFCDAPMPRPITTECTTMPISRTCSI >ORUFI03G29930.1 pep chromosome:OR_W1943:3:24838182:24843844:-1 gene:ORUFI03G29930 transcript:ORUFI03G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNGIKKLNLLRASKVVDIGTPQKKDYFLCSETPGAAKAWISTLLGLLVNLPLPTAVPPPPPPPPPAIPIHPASEQGAVARPAASAASTLHMMAGPATLDPQRAEAANKHVRALNSQFASWVQSQLQNHPAELWEDGMRDYISHASEIMEKFKDVVNWIRQNKTGSAAVLSPGPPNDEKTISPAAVDSKFTVQSSPDNGQKGPVTAASSPAFQNSSSPNLFSFASHQKTPAFTGIFGDKKNMPGDSNKPSFQFGANNGFSTPSMPSIFSASGAQSFSMPSQTLFSVNQQPAISGNKSAAEASGDADEDAEPEQPSSPSVKKAEEKGIVVVYEAKCKVYVKHDDPANGWKDIGVGQLNIRCKEGAEKASKESTPTIVIRNDVGKILLNALIYKGIKMNVKKSTVASIFHTSDGQPSESGSATVVARTYLIRVKNDEAAAKLSAAIMENAPLE >ORUFI03G29930.2 pep chromosome:OR_W1943:3:24838182:24843844:-1 gene:ORUFI03G29930 transcript:ORUFI03G29930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNGIKKLNLLRASKLPSKRVMDRPSFGVHRAESSHQHMMAGPATLDPQRAEAANKHVRALNSQFASWVQSQLQNHPAELWEDGMRDYISHASEIMEKFKDVVNWIRQNKTGSAAVLSPGPPNDEKTISPAAVDSKFTVQSSPDNGQKGPVTAASSPAFQNSSSPNLFSFASHQKTPAFTGIFGDKKNMPGDSNKPSFQFGANNGFSTPSMPSIFSASGAQSFSMPSQTLFSVNQQPAISGNKSAAEASGDADEDAEPEQPSSPSVKKAEEKGIVVVYEAKCKVYVKHDDPANGWKDIGVGQLNIRCKEGAEKASKESTPTIVIRNDVGKILLNALIYKGIKMNVKKSTVASIFHTSDGQPSESGSATVVARTYLIRVKNDEAAAKLSAAIMENAPLE >ORUFI03G29940.1 pep chromosome:OR_W1943:3:24847033:24847409:-1 gene:ORUFI03G29940 transcript:ORUFI03G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTDGTNGKLASEPSVEMDDYGKLPWLEAERRCNIYSMLRVDETRLSIQAGMADGYRRRALMAASTLVPATCGSAGGMPCVPAARGGG >ORUFI03G29950.1 pep chromosome:OR_W1943:3:24856473:24857609:-1 gene:ORUFI03G29950 transcript:ORUFI03G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGQMVDLYVPRKCSTTNRIITAKDHASVQINIGHVDENGLYDGRFTTFALSGFIRAQGDADSALDRLWQKRKAELLDTMPVISAGTLKALRLDFPGVNPDNVPSELKLFITKSVS >ORUFI03G29960.1 pep chromosome:OR_W1943:3:24857811:24860349:1 gene:ORUFI03G29960 transcript:ORUFI03G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEPARPVAGAQWRGPDMPRWRVIMVRRRKRLRAGGPTTAVISRSRADSANEGWDAEAIQTNWKGGSSGGGFADLGRGDQSTSRCTRWRRAQGLGGNGRMISGTRSEKRWCLRGLRIGARQGVRILSSVGCYTYTSQWHFLGVQNEA >ORUFI03G29970.1 pep chromosome:OR_W1943:3:24861725:24867374:1 gene:ORUFI03G29970 transcript:ORUFI03G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAALPDDVLAEVLRRLAPRSLAACRCVCKPWRDLVDDRRLLRADLLPRSLAGIFVNFHCLYSSEFFARPPAAAAAISGNFDFLPPKDEYDQYRHHQVEGHCNGLLLIRFRDLVVNPATRWWDRLPPRPLPRDEMDRIDAAYLVFDPAVSPRHYEVFLTPSFRWKSESEKAELDPMVEASEWPPESYTLPVFSSRTGLWQERSFIRQGQAAGTIADMRSDWASDQRNGVYWRGALYVHCQTNFVIRISLNDDKYQVIKPPEYSGRYLNFYLGRSEKGVYLALSRDNCLKVWILDETCSKMKWELKHDKHIRHILLGRNNRQGLGPWILQDINHQKNPYIYEYDEIIEAPNQKKVECEQAALEKFEWISDDENVLDNEDIVTGGYHEYINIIGFHPYKEIIFLDESLKRGLAYHLSSSKVEDIGNLYPTNLEYELINEQFITASFPYTPCFM >ORUFI03G29970.2 pep chromosome:OR_W1943:3:24864957:24875430:1 gene:ORUFI03G29970 transcript:ORUFI03G29970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAALPDDVLAEVLRRLAPRSLAACRCVCKPWRDLVDDRRLLRADLLPRSLAGIFVNFHCLYSSEFFARPPAAAAAISGNFDFLPPKDEYDQYRHHQVEGHCNGLLLIRFRDLVVNPATRWWDRLPPRPLPRDEMDRIDAAYLVFDPAVSPRHYEVFLTPSFRWKSESEKAELDPMVEASEWPPESYTLPVFSSRTGLWQERSFIRQGQAAGTIADMRSDWASDQRNGVYWRGALYVHCQTNFVIRISLNDDKYQVIKPPEYSGRYLNFYLGRSEKGVYLALSRDNCLKVWILDETCSKMKWELKHDKHIRHILLGRNNRQGLGPWILQDINHQKNPYIYEYDEIIEAPNQKKVECEQAALEKFEWISDDENVLDNEDIVTGGYHEYINIIGFHPYKEIIFLDESLKRGLAYHLSSSKVEDIGNLYPTNLEYELINEQFITASFPYTPCFMNFEPTMAHDCPALGRQPAHYRGRVFVRSFDLESTSKLARTASLFASPPRRHLNLSIAWLDLPIDPCTTQRTVSSIDRLAFTSASTGSIMVAALPDDVLAEVLRRLAPRCLAACRCVCKPWRDLVDDRRLLLLLRAEELLPRPLAGIFLNFFGLFNSEFFARRPSTGAAAAISGDLDFLPTSTTYGSREYQIQDHCNGPLDRGRRHYEVLLIPSFRRKPGPNDYLYDKLRGEVDPVLEASTWPPASYAIPVFSSRTWLWQERSLAREGGEEAASTVAEMRSSWSSEFSDNRYSDFYLGKSKKGVYLAFCRDQCLKVWILDETFGEMKWELKHDKDMRHILLGCNNRQGIGSWILQDINYRKDSYTYEDDNMEELDQKKVECEPNKEAALEKFEWISDDENVLDNEDRVTGAYHGYIDIIGFHPYKEIIFLSESLKRGLAYHLSSSKVEDIGNLYPTSYNIHLINERFITASFPYTPCSM >ORUFI03G29980.1 pep chromosome:OR_W1943:3:24877559:24880667:-1 gene:ORUFI03G29980 transcript:ORUFI03G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGAAATCAAVLLLPPKWGRRLGTLLEYWLWRKRSEYVESGCSVQEGAPKPVDIEHSLGNKEIVRLLNSTVVKDTGYMWLSCHTCRHDLANTTRGGIAAAADTVITIEEKRGEEWCRKKLGHHAASPKGPCWRCHMTCLIVTFIATAGTGDRGMIAIVEEKRKMVERGWSKV >ORUFI03G29990.1 pep chromosome:OR_W1943:3:24885639:24890383:1 gene:ORUFI03G29990 transcript:ORUFI03G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTGVVSSLLSKLATLAEQKYGDVRRIRREITFLTDELSSMNALLLKLADMEELDSQLKEWRNKVRELAYDVEDCIDAFAHHHRLSRGDADPGGLIRRAARNMKKLRASYRAADQIHELKARIMEVSDRRLRYKLDEAASAAPAPALAIDPRLPALFAESKGLVGIEGPRSTLVSWLMDGEGQLKVISIVGFGGLGKTTLAKEVNHAVGAHFQLKAFVSVSRNLNPKKLICDVLSQIMDQKDYGKKDYGKLEVEQLIPILREHLADKRYLIIIDDIWRIQAWDLVKSALHDNSCQSRIITTTRISTVAESCCSTLKDRIYYIEPLNEVESRELFFKRIFATEHGCPPHLEEVSNEILKKCGGLPLAILSIASSLANKPDIKEQWEMVKKSIGFALEGTPTLEGMNKILLFSYYDLPTHLKACLLYLSIFPEDQVIESDKLVWRWMSEGLIVGEMGKNLEQAGQIYFNELINRSMIESVGVRYDGKVLACRVHDMVLDMIISLSAQENFVTILHGHEDKFAGEKIRRLSLRCNRPDVEVTQVTSKKFAQARSISLFGYKEMLDLQGFQALRVLDLGKNVLFKQVKNIGKCYQLKYLDLSDTDIVELPEEIGNVQSLETLDLRNCRRLTLPSTISGLRKLVRLLVDYTAALPEEISGLVALQVLSCASYNSVKFMQALGQLTELRSLAFKCWNPDWYFDAGMYKEVSVASLRELGKHKLQYLDICDDDAIPDALMCSSSESDCPFPHLQKLVLSNHNIQRIPRWIGSLVNLCHLEIVVKTTRQNDLGTLGNLPCLLYLKICRLYEPVESQQLIVPNRGFRCLKELCFQCWCPLGLEFAPGAMPWVQTFRLWFMPCWKSCDHGVSVGLGIEHLLELKLVDVKTGYGCGKREVKSFEAAITAVVANHPRRPALVLRRSGERSAVRKENWTAVETNMNKSLFDSSTVRQRLQKQSRFQT >ORUFI03G30000.1 pep chromosome:OR_W1943:3:24891523:24899591:1 gene:ORUFI03G30000 transcript:ORUFI03G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIRLEEHVSLISKTLPFIQHKAMSSYSTGHGHRPKKKLYHREPGLDKAMDLQKKPALLLRLRELILSQKTNSILIRYLEKEVGFVQKWNFLSLIKRHPNIFFVSGGSASREPISVTLTEKAKKISSEETLARELMEPILVKNLRKLLMMSLDCQIPIEKIELIQSELGLPKNFKSNLIPRYPELFSVREVKGLDHLCLESWDSSLAVTAREEKLNFDGFHMDCRGIPKDGNVFGPFAFKLKYPAGFRPNRKYLEEVVRWQKLAFPSPYLNARRVEPATPQARKRAVAVMHEILSLTMERRLTSD >ORUFI03G30000.2 pep chromosome:OR_W1943:3:24891523:24899589:1 gene:ORUFI03G30000 transcript:ORUFI03G30000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIRLEEHVSLISKTLPFIQHKAMSSYSTGHGHRPKKKLYHREPGLDKAMDLQKKPALLLRLRELILSQKTNSILIRYLEKEVGFVQKWNFLSLIKRHPNIFFVSGGSASREPISVTLTEKAKKISSEETLARELMEPILVKNLRKLLMMSLDCQIPIEKIELIQSELGLPKNFKSNLIPRYPELFSVREVKGLDHLCLESWDSSLAVTAREEKLNFDGFHMDCRGIPKDGNVFGPFAFKLKYPAGFRPNRKYLEEVVRWQKLAFPSPYLNARRVEPATPQARKRAVAVMHEILSLTMERRLTSD >ORUFI03G30000.3 pep chromosome:OR_W1943:3:24891523:24899589:1 gene:ORUFI03G30000 transcript:ORUFI03G30000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIRLEEHVSLISKTLPFIQHKAMSSYSTGHGHRPKKKLYHREPGLDKAMDLQKKPALLLRLRELILSQKTNSILIRYLEKEVGFVQKWNFLSLIKRHPNIFFVSGGSASREPISVTLTEKAKKISSEETLARELMEPILVKNLRKLLMMSLDCQIPIEKIELIQSELGLPKNFKSNLIPRYPELFSVREVKGLDHLCLESWDSSLAVTAREEKLNFDGFHMDCRGIPKDGNVFGPFAFKLKYPAGFRPNRKYLEEVVRWQKLAFPSPYLNARRVEPATPQARKRAVAVMHEILSLTMERRLTSD >ORUFI03G30000.4 pep chromosome:OR_W1943:3:24891523:24899591:1 gene:ORUFI03G30000 transcript:ORUFI03G30000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGQWPVDLAADVVLITVEVVHGITVRISEVLLDIGLAVDLLAGHALDVGLLAAEHRAAPCGRARRPGARRPRGNPRSRKPPAARWRSAWPRPATAAHLPQLAGCPYSSPRCGDQQQQQLTKTGAEHRHRRFRGRPGSCSIASGRADVNYAGVVWLKARRVAEAALVRALLAMSSYSTGHGHRPKKKLYHREPGLDKAMDLQKKPALLLRLRELILSQKTNSILIRYLEKEVGFVQKWNFLSLIKRHPNIFFVSGGSASREPISVTLTEKAKKISSEETLARELMEPILVKNLRKLLMMSLDCQIPIEKIELIQSELGLPKNFKSNLIPRYPELFSVREVKGLDHLCLESWDSSLAVTAREEKLNFDGFHMDCRGIPKDGNVFGPFAFKLKYPAGFRPNRKYLEEVVRWQKLAFPSPYLNARRVEPATPQARKRAGARSTVFLKEAYDDSKLIEKCPLLKFHDQFASLIGQTCSDSNDNLDTDSVVKQGGRQGCIVGMIAWGEYCRSLYSLSAVLPVDGLEATPAAAIANA >ORUFI03G30000.5 pep chromosome:OR_W1943:3:24893462:24899589:1 gene:ORUFI03G30000 transcript:ORUFI03G30000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLEEHVSLISKTLPFIQHKAMSSYSTGHGHRPKKKLYHREPGLDKAMDLQKKPALLLRLRELILSQKTNSILIRYLEKEVGFVQKWNFLSLIKRHPNIFFVSGGSASREPISVTLTEKAKKISSEETLARELMEPILVKNLRKLLMMSLDCQIPIEKIELIQSELGLPKNFKSNLIPRYPELFSVREVKGLDHLCLESWDSSLAVTAREEKLNFDGFHMDCRGIPKDGNVFGPFAFKLKYPAGFRPNRKYLEEVVRWQKLAFPSPYLNARRVEPATPQARKRAGARSTVFLKEAYDDSKLIEKCPLLKFHDQFASLIGQTCSDSNDNLDTDSVVKQGGRQGCIVGMIAWGEYCRSLYSLSAVLPVDGLEATPAAAIANA >ORUFI03G30000.6 pep chromosome:OR_W1943:3:24893462:24899282:1 gene:ORUFI03G30000 transcript:ORUFI03G30000.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLEEHVSLISKTLPFIQHKAMSSYSTGHGHRPKKKLYHREPGLDKAMDLQKKPALLLRLRELILSQKTNSILIRYLEKEVGFVQKWNFLSLIKRHPNIFFVSGGSASREPISVTLTEKAKKISSEETLARELMEPILVKNLRKLLMMSLDCQIPIEKIELIQSELGLPKNFKSNLIPRYPELFSVREVKGLDHLCLESWDSSLAVTAREEKLNFDGFHMDCRGIPKDGNVFGPFAFKLKYPAGFRPNRKYLEEVVRWQKLAFPSPYLNARRVEPATPQARKRAGARSTVFLKEAYDDSKLIEKCPLLKFHDQFASLIGQTCSDSNDGGRQGCIVGMIAWGEYCRSLYSLSAVLPVDGLEATPAAAIANA >ORUFI03G30010.1 pep chromosome:OR_W1943:3:24910860:24913090:1 gene:ORUFI03G30010 transcript:ORUFI03G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAHHLHRLPPPIQPAESMFSPRQPCFGAAAGEVVVGGAGGAVMAGLCQEEQLVQGYRQVFVGGGGVRQPAAAEVMRQYSQVCAAAADAAESGVTFGGGQEAAAPRKRKRAEVPVVLGAAGDVAVAAQARQQLVDVDRLVLHHAAKMWAELAEQRGRHARQMVATVEAAAARRLRAKDEEIERIGRLNWALEERLKGMYVEAQVWRDLAQSNEATANALRGELEHVLDAHARRGADHGDGDDAESCCYGENDVLARAAGDGEAASAERRCKGCGEAAAVVLLLPCRHLCACAPCAAAAAACPACGCAKNGSVSVNFS >ORUFI03G30020.1 pep chromosome:OR_W1943:3:24923109:24925339:1 gene:ORUFI03G30020 transcript:ORUFI03G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAPGEDDAAWERAIAAAVKNAPFSAPKTLTLDGAVKSTTGRLPSPSLLGRYPSLEELSVAGARLSSLAGLPRLPALRRLSLPDNRLSGAASLAAVAESCGATLRHLDLGNNRFADVAELAPLAPHGVESLDLYQCPVTKAKGYRDKVFALIPSLKFLDGMDAEGNDCLDSDDEEDEEEDEGEEGEGEGDEEEEEEGGEEGEGDEDDEEEGDEEEDEEEGEEEAEDEEDEAGADEEDESKVANGSKGSSGSAQPNKRKRDSEDDANGDN >ORUFI03G30030.1 pep chromosome:OR_W1943:3:24926456:24930803:-1 gene:ORUFI03G30030 transcript:ORUFI03G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKDVEVEELDEEVVAAAAAPAADGGEEQEAEPPARRPSTFAELGVVPELVAACDAMGWKEPTRIQAEAIPHALEGRDLIGLGQTGSGKTGAFALPIIQALLKQDKPQALFACVLSPTRELAFQIGQQFEALGSAIGLSCTVLVGGVDRVQQAVSLAKRPHIVVGTPGRLLDHLTDTKGFSLNKLKYLVQPLKMKALVLDEADKLLNVEFQKALDDILNVIPKERRTFLFSATMTNKVSKLQRACLRNPVKVEVASKYSTVDTLRQEFYFVPADYKDCFLVHVLNELPGSMIMIFVRTCESTRLLALTLRNLRFKAISISGQMSQDKRLGALNRFKTKDCNILICTDVASRGLDIQGVDVVINYDIPMNSKDYVHRVGRTARAGNTGYAVSLVNQYEAMWFKMIEKLLGYEIPDRKVDNAEIMILRERISDSKRIALTTMKEGGGHKKKRRKNEDDEEEEERNAPVSRKSKSFNKSRRR >ORUFI03G30030.2 pep chromosome:OR_W1943:3:24926456:24930803:-1 gene:ORUFI03G30030 transcript:ORUFI03G30030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKDVEVEELDEEVVAAAAAPAADGGEEQEAEPPARRPSTFAELGVVPELVAACDAMGWKEPTRIQAEAIPHALEGRDLIGLGQTGSGKTGAFALPIIQALLKQDKPQALFACVLSPTRELAFQIGQQFEALGSAIGLSCTVLVGGVDRVQQAVSLAKRPHIVVGTPGRLLDHLTDTKGFSLNKLKYLVLDEADKLLNVEFQKALDDILNVIPKERRTFLFSATMTNKVSKLQRACLRNPVKVEVASKYSTVDTLRQEFYFVPADYKDCFLVHVLNELPGSMIMIFVRTCESTRLLALTLRNLRFKAISISGQMSQDKRLGALNRFKTKDCNILICTDVASRGLDIQGVDVVINYDIPMNSKDYVHRVGRTARAGNTGYAVSLVNQYEAMWFKMIEKLLGYEIPDRKVDNAEIMILRERISDSKRIALTTMKEGGGHKKKRRKNEDDEEEEERNAPVSRKSKSFNKSRRR >ORUFI03G30040.1 pep chromosome:OR_W1943:3:24939405:24940268:-1 gene:ORUFI03G30040 transcript:ORUFI03G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLGAICSRLISPLFNHHCRLRRFPQIHSPPPPFVPFSRHHRLPPRALSAVAMATATNGNASAAAAAADSAVQEPPHKIAKVAPLLKVKKLSENAVLPSRGSALAAGYDLSRYAPGKVSPAAEVVVPARGKAMVPTDLSIAIPEGTYARVAPRSGLALKHSIDVGAGVIDADYRGPVGVILFNHSDTDFAVKPGDRIAQMIIEVIVTPEVAEVEDLDATVRGEGGFGSTGV >ORUFI03G30050.1 pep chromosome:OR_W1943:3:24941783:24949110:-1 gene:ORUFI03G30050 transcript:ORUFI03G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAELKEKHAAATASVNSLRERLRQRRQMLLDTDVERYSRTQGRTPVSFNPTDLVCCRTLQGHSGKVYSLDWTPEKNWIVSASQDGRLIVWNALTSQKTHAIKLHCPWVMTCAFAPNGQSVACGGLDSACSIFNLNSQADRDGNIPVSRILTGHKGYVSSCQYVPDQETRLITSSGDQTCVLWDVTTGQRISIFGGEFPSGHTADVLSLSINSSNSNMFVSGSCDATVRLWDIRIASRAVRTYHGHEGDINSVKFFPDGQRFGTGSDDGTCRLFDVRTGHQLQVYSREPDRNDNELPTVTSIAFSISGRLLFAGYSNGDCYVWDTLLAEVVLNLGNLQNSHEGRISCLGLSSDGSALCTGSWDKNLKIWAFSGHRKIV >ORUFI03G30060.1 pep chromosome:OR_W1943:3:24951066:24953341:-1 gene:ORUFI03G30060 transcript:ORUFI03G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSASVPWVVVIAVFTLHATLGRRVHIFFVRLLLLLLLLSHFSFLLWARRELESMEAESRKLLLALAVSLCCFVAASRAQSYIGVNYGEVADNLPAPEETAKLLKSTTISKVRLYGVDPGIMRALAGTGISLVVGVANGDIPSLAADPAAASRWLAANVLPFVPASTISVVAVGNEVLESGDASLAAALLPAMQNLRAAAAAAGDGAARIKFSTVNTMAVLAQSDPPSTGAFHPDISPQLTQILGFLSKTTAPFMINPYPYFAYQSDPRPETLAFCLFQPNAGRVDAGSKIKYTNMFDAQVDAVKSALGRAGYGDVEIVVAETGWPTRGDAGEAGATADNARAYVSNLVSHLRSGAGTPLMPGKPVDTYLFALYDEDLKPGPTSERSFGLYHTDLTMAYDAGLTSSSGGAASPSNGGASQQQPRGGGGGWCVASAGATEADLQADLDYACAQVGVDCGAIQAGGACFEPNTVRAHAAYAMNQLYQAAGRHPWNCDFRSSATLTSDNPSYGSCVYTGGQ >ORUFI03G30070.1 pep chromosome:OR_W1943:3:24963038:24963866:1 gene:ORUFI03G30070 transcript:ORUFI03G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRCHRHHFGLVALLLVAAGVAEAQAPQPPWEENAVEARCELDTVATQLALHCLPAADADDGGGGAPPNEQCCKTVGYAVHLDAGFRCLCAAAAEPQLVIGGGLNSTRLLALYASCGGRRPVDSRLATACGVMAETGDMSEAACDPVNLADQVARYCRTDAPTAECCEPVVASVDLAGGDPSCLCRVLADPQLAAAGANNATALLAMYTACGGLRAVGPDIADGCIHPRTPSTPPAPVIISAGRPSP >ORUFI03G30080.1 pep chromosome:OR_W1943:3:24967196:24969111:1 gene:ORUFI03G30080 transcript:ORUFI03G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSKLVEQLRDYQIRSQHKRDIIGAVSWGLLCCFLIISSYMTLYFRHFWLSAVIISLGILLPAGLYILRQRKLAKKRERRLLLPLSMVRRVCLGHHTFVTDTDCFDTEFVSHIHPSYLYSNLFLD >ORUFI03G30090.1 pep chromosome:OR_W1943:3:24972125:24974478:-1 gene:ORUFI03G30090 transcript:ORUFI03G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAALPEDVLAEVFVRLDPRSLAASRGVSKAWRAAIDARRRQLCGGLLPRSLAGIYIGHQSTASASRHVPRFFARRPSSISGSLHFLPAVTAGGDIPVPPPGRHEIHDHCNGLLLLGGDPDPDTHRPAIVVVNPATRWCSPPLPPRRPPRMGASTFPADFLAYDPAASSRYEVLSVTCFRRRCSACSCCLPPPGSGTSSSSGEERVLLDEFSEWPPSLQTLDVYSSSTGRWEERTFHRQGEAARTTIADMRMDFSGHKFSLSDDKYQVIKMPTVRSNGHSHFCLGRSEKGVYLALITKPRSLQVWVLNESCDEMEWVPKHENNLDSVFPRQTRRRWMLLQDLDKKDSTTFRKEHDEEIDFEWSSDGDDDSDHRGNVPEYRLPATIFQGYHGNVDNNALGFGNFPQPPIPMFYHGYHGNIDVLGFHPYKEIVFLCEAMQTGLAYHLKTSKMEILGKLPLVSSCEEILSNKSFTGVSLPYTPCWM >ORUFI03G30100.1 pep chromosome:OR_W1943:3:24978429:24978870:1 gene:ORUFI03G30100 transcript:ORUFI03G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSDGSITSFGLLCFVCCSLALAAAEIEVKIRAKERNLSELAFLVREVRDLLSGNREFLLRKTNRDQNLAAHVLANKARCEVISKVWVGSECDALSQIVMNDYIPE >ORUFI03G30110.1 pep chromosome:OR_W1943:3:24978936:24985303:1 gene:ORUFI03G30110 transcript:ORUFI03G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDPQQAKIRQGPSIKARFEVLILKGKFNHVKFLLFAGGRVLFVLVNMLEKIGLPPKPSMRGASWVVDATHCQGCSVQFSLFTRKHHCQRCGGLFCSNCTQQRMVLRGQGDSPVRICDPCKKLEEAARYELRYGHKNRASKANAKAASNPEDDVLSEILGGDGMQTKFSRRESLDPELPGASSSSSSSRRTSGAFSMDGNGGESLSIEAQNYELNNTGSIFTPEELRQQAVEEKKKYKTLKSEGKPEEALRAFKHGKELERQAAALGLELRKNRRMATKAPSVSAVVSTKNLEGSDEAESKKSLPGKRVRKEKNDLASELKDLGWSDADLHDETRTTAMSVEGELSQILREVTPKSSEGNKTSSIDKSQVNALKRQALLLKREGKLAEAKEELKKAKILERQLEEQEILGEADESDDDLAALIRNMDDGNQDDILLDNPRFPDFNFEKLLGTSDDLLIDGNFDITDDDMNDPDMTAALKSFGWSEEDEIQMEGHGPVSSLNQEALKEQVLALKREAIAQKKAGNVAEAMSLLRKAKLLEKDLETEQSESKVPSPQGHRSTRTEDITVAEMNTRPVSAPKSKLAIQRELLALKKKALALRREGKVDEAEEELKKGSVLEKQLEDLENSSARPVVKENRNFGSTPPYKVEPPTLDLADEGYEPEVTDNDMQDPALLSVLKNMGWEDEDADTASIINMPSNSSRIVSQKPTKSKGQIQKELLAIKRKALAFRREGKNTEAEEELEKAKVLEQQLSEMEESVNLTASQQSARSAGQIRGNKSGALLDPASSPDTSAHLPKLRNATEGVISLPVHAAELAASLDAQASSQSIPPTELIIPKPDHASKVHSEGTRSTLSRPSFTDPLVTAERLHSPSDVHDHKEPQIPHGHDTLKDEILHHKRKAVAFKREGKMAEAREELKQAKLLEKRLEVSQENSANGRDESMKPVVQETNLIQQSASAKSCTDDISSAPPAQEIKPVQPPKALSSRDRLKIQRESLAHKRNALKLRREGKTAEADAEFELAKSLESQLEESESQVSGGKSSDANDAAVEDLLDPQIMSALKSIGWSDADLSAQSSNAQPSKKAEAKPTVAATTKPQSEKTQLEEQIKAEKLKALNLKREGKQTEALEALRSAKRLEKKLASLA >ORUFI03G30120.1 pep chromosome:OR_W1943:3:24986413:24988267:-1 gene:ORUFI03G30120 transcript:ORUFI03G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERAGSKRAAKGTEGTTAAAAAAALQLHGDVLGEVLRRLAPRWLAACRCVCKAWRDAIDGGGLLRADLLPLSLAGFFLNFSCHDYSEYFSRPTTTTTTTTCHRHLITGKLDLLPSEGEWQMALDHCNGLLLLESGCVVNPATKAWMDLTPYPPPPPLIEEEQEVKTYPEEHLVFDPTLSPHFEVLIIPHLLPFDKDRSKKQPPQNSAWPPSPLVLNVFSSRTREWEERPFVREGEAAGAMADVASVRGTHYAAYWRGTLYVRCQSNFVMRLSLLDNKYRIIHRPVDAEAREFSSRYLGKSKQGVYFASLSKDRLLVWLLDEPCGDMKWVLMHQTDLGPSLATSHKLDGHWILHDINYECSTWLFPKGRKPLTLARENFEWNSDDDDIVNDAAEDTVKRRYDEYALILGFHPYKEILFIESMDRGYAYHLSSSKFEELGKLHPVSLHGIPNEHLDVELSFPYTPCWIEEFPQPELDCKLNSIWKP >ORUFI03G30130.1 pep chromosome:OR_W1943:3:24988489:24988866:1 gene:ORUFI03G30130 transcript:ORUFI03G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPLRRLLLRLGKPPPLPIPLLPHITPQTAQAAGDPAAPPCPGAIPSQAPARNLRDVISSFHPGLQIYPSLDPRFGEVEEGVDGEGGGAEVWADSVKKKRKRKMNKHKLRKLRKRLRRQT >ORUFI03G30140.1 pep chromosome:OR_W1943:3:24991024:24993992:-1 gene:ORUFI03G30140 transcript:ORUFI03G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSIPAALRHPHAHAAGLRLLRSSGDPCPPCTLPFRLCGVPLKKGHVYAGAVSATRSPGLGNAENLRESPSLSRSWGMNGQIGDDHDILIECRDVHKSFGNKKVLNGISFKIRHGEAVGIIGPSGTGKSTVLKVMAGLLAPDKGDVIICGRKRHGLVSDEDISGVRIGLVFQSAALFDSLTVRENVGFLLYENSSLPEERIATLVTETLAAVGLKGVEDRMPSELSGGMKKRVALARSIIYDDTKETIEPEVILYDEPTAGLDPIASTVVEDLIRSVHVTGKDALGKPGKIASYVVVTHQHSTIKRAVDRLLFLHEGKVVWEGMTQEFTTSTNPIVKQFASGSLDGPIRYF >ORUFI03G30150.1 pep chromosome:OR_W1943:3:24994175:24996830:1 gene:ORUFI03G30150 transcript:ORUFI03G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVTLPRVMLAAAAVRAAMLALGEWQDAHLEVRYTDVDYLVFSDAAASVAAGGSPFARATYRYSPLLAYLLVPNSLLHPTWGKLLFSAADLLVGLFIDTILKLRGVPDSTRIWSVVAWLFNPFTFTIGTRGNCEPIVCAVILWILICLMNGASFWYGLIVHFRIYPIIYAIPFVIVLGKNYAGPAGRPILTQWTSKQHLQSDKSSPSVEGATSLLTNLWNFLTSLITRNTILFGLLSGSMFFLWTGVFFYLYGWEFLNEALLYHLSRTDPRHNFSIYFYHIYLHHQQGFSSIQKLASFLPQLIVQLALILRFSRDLPFCLFLQTVAFVAFNKVMTAQYFVWFFCLLPLILPWTTMKLKWKGLACILVWMGSQLHWLMWAYMLEFKGQNVFIPLWAAGLMFLAANIFVMLMVINHHKFTPLFSSSTVKSASKIAAKKE >ORUFI03G30160.1 pep chromosome:OR_W1943:3:25005064:25006189:1 gene:ORUFI03G30160 transcript:ORUFI03G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDVEYRCFVGGLAWATDDRSLEAAFSTYGEILDSKIINDRETGRSRGFGFVTFSSEQSMRDAIEGMNGKELDGRNITVNEAQSRRSGGGGGGYGGGGGGYGGGRGGGGYGGGGGGGYGRREGGYAVAAATAATPAGTGGTDWWGPSRPVILSYPCQNHLIIESSRYRVQWLSRVEKPSIHPSSVRCSSSVMLP >ORUFI03G30160.2 pep chromosome:OR_W1943:3:25005064:25007100:1 gene:ORUFI03G30160 transcript:ORUFI03G30160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDVEYRCFVGGLAWATDDRSLEAAFSTYGEILDSKIINDRETGRSRGFGFVTFSSEQSMRDAIEGMNGKELDGRNITVNEAQSRRSGGGGGGYGGGGGGYGGGRGGGGYGGGGGGGYGRREGGYGGGGGYGGGRGGGGGYGGSRGGGYGGDSGGNWRN >ORUFI03G30170.1 pep chromosome:OR_W1943:3:25007290:25009417:-1 gene:ORUFI03G30170 transcript:ORUFI03G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRRPSPHRSLAAVLLSLRALTGKPGSSGREEGCREGEGRKAMGGSTVAARRDMRNFGPKLFRHIGIARYKGRKRESESWLEVGKRVKTEEVWKPTKTKARASSSLNDYNECKKERVVCLAKCIKHFCCILNYNSWNSLAKDFWSLSSKDSTLC >ORUFI03G30180.1 pep chromosome:OR_W1943:3:25011202:25012209:1 gene:ORUFI03G30180 transcript:ORUFI03G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSLFLQWAVSTLQHQHPAAVAVVADDDATFFSFQELCDAEEVVVVPVQEEVITEAHGGGASRIGLAVAVDEHGGWSRSPNPGARPPSGGCGSNNLPLMSWDFSAASVAVQVQPNGGGGGGAPEMAYGSPPAAGGSTTRKTSAPTVAAAAAYAQLEHVVDERKRREKINQRFMELSAVIPKLKKMDKATILSDAASYIRELQEKLKALEEQAAARVTEAAMATPSPARAMNHLPVPPEIEVRCSPTNNVVMVRIHCENGEGVIVRILAEVEEIHLRIINANVMPFLDQGATMIITIAAKASSSLLY >ORUFI03G30190.1 pep chromosome:OR_W1943:3:25042626:25042886:1 gene:ORUFI03G30190 transcript:ORUFI03G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQQGEGGSGGGGPVGSGGLGIKAEDLLDGGGYNPRGGGSLGTGARFSMMRRRIPSGVESLGVRVEEDFLSGSGGPRGSGGLEI >ORUFI03G30200.1 pep chromosome:OR_W1943:3:25042923:25045080:1 gene:ORUFI03G30200 transcript:ORUFI03G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGWQRPRNPTKRKQILSACVWSSSSDWMMTNEGGLTGNAWHNFHSPNLGISREDVEKQGCAEVVVQSAIFRQGNTAQARLRSMPRVKADELHRKWHILMDRDDMPLPAWPCCAKLYKKGIIQPFPICTHVPAEAEKEGTVAKAEEFGSVGKVVS >ORUFI03G30210.1 pep chromosome:OR_W1943:3:25046209:25050341:-1 gene:ORUFI03G30210 transcript:ORUFI03G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSLFMEWAMETLQHLHPLPATPPPAGGGYAGDNATFPSLQALRESSVSQNGMAPPEPTAHEGHRASNSWSSGDTDSVSGGGGGAVMEHDGWSTSPNSVRCAAGGGGGGGGGGLWPVSWNFSSAMTQPCNDQATPPNPPTTTRARYGGGGVRYLPAAVSPSPSAQTRRASSKGNGGGGSGSSSAAPYAQEHIIAERKRREKINQRFIELSTVIPGLKKV >ORUFI03G30220.1 pep chromosome:OR_W1943:3:25051517:25053244:-1 gene:ORUFI03G30220 transcript:ORUFI03G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSLSLTMLTGGGGNGKVEMRWCWRMRMRHHGTWKKWIYQRLGWELLPFFLCNHCDTDRQALLRRIHRQQPWKHRIYLLWAAEWRSAAKEDLVFALEEDLHAKQLITSSNFKRRGQVLGGGSGGGLDAAVLLPHDGADELADGVASLVASGSPNSGASSLDLAAGATTAAVPLPLPSSRALAADPDAGSTPPSSSPHDDAEELIDGGLAGSRCRLAGSGGEGHNGGGSSPPPFFTSVGGEEQRWRRCDRTRQRTGLRLRQRVASGCGFALLEPKRDASSGSSASAKSVCGATVPTAMDARVRHGGLRPQARATLCTWPDKKSKVLSPAVT >ORUFI03G30230.1 pep chromosome:OR_W1943:3:25062622:25063985:-1 gene:ORUFI03G30230 transcript:ORUFI03G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLIPGKATAPSDDDDEEEKDNGKDYIVYCADEIGPSQLDNAPQPSQPTQQYNTCCNQSIKLNTLQIIRLPFTFSKAWHQPHHVPTSTPWHQRPLEAGGEVASRGENSDSSWDPPPASPDSIARAITAGPSILDIGCDEQTGSPGGKVGAADQRRRNGNQGGGGGGEPLGDCCLQPHRGVGGMATFYVWWEVDAK >ORUFI03G30240.1 pep chromosome:OR_W1943:3:25066075:25069849:-1 gene:ORUFI03G30240 transcript:ORUFI03G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAAAASTLPYLVAAASSSAAARRRGAHRIRASSAAAEVEGAMDVVSEAELREKGFMGLRKTKLVCTVGPACVGALPALARGGMGVARVNLCHGGRGWHRAVMREVRRLNEEEGFCVSLMVDTEGSQLLVADHGGAASVKAEDGSEWLFTSKRTDESHPFTMHVNFDKFSEDILVGDELVIDGGMATFEVIEKVGNDLRCKCTDPGLLLPRAKLSFWRNGKLVERNFGLPTLSAKDWADIEFGIAEGVDCIALSFVKDANDIKYLKTYLSRKSLEHIKIFAKVESLESLKNLKDIIEASDGVMVARGDLGVQIPLEQIPAIQEAIVDLCRRLNKPVIVASQLLESMVEYPTPTRAEVADVSEAVRQYADAVMLSAESAIGAYPQKALAVLRAASERMESWSREENMQKLLPQHQLAIALPDRISEQICTSAAEMANNLAVDAIFVYTKYGHMASLLSRNRPNPPIFAFTDNANSRKSMNLYWGVIPLQLPLSNNMEDNFNQTIKLMKSKGSVKSGDTVLVVADSDLNRPRAATSVFQSIQVRIVD >ORUFI03G30250.1 pep chromosome:OR_W1943:3:25070875:25073429:-1 gene:ORUFI03G30250 transcript:ORUFI03G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATARSPSPGPGARPGCAVRRSADSSPAASPCDLAALRSSAVCRNASPRASWCAEKENSGQRDAAARAAAAPKPARPAAGAAKNFMAPTISAASKASPRKKVLGERNEQQQLYPVASSPVKPMASEAGAPRRLRLSFDGAPNTAPPAASTPVTAAAGSRHSFGGEEERRVENPPCKNHHHATAFDDAASAEADQGAAPYDPRTNYLSPRPRFLHYKPNPRIDLYRQGSSGGVRRLEDGFASESSEETVTTTTTTSSEEEVVLVDEEQQAHLSSELGDGAAVPAADACALPLEPASGSPRARVLTPEPATRSPRARVQTPEPEPTASSERARRPRKRSSLRFLVAPLALVVFMAAALICVPPPPVDSPVMPLTALSKVSDFLSVQELHPVELAAWLKQWSSSSLNLVTSYWESLVWAQEQEFFGPHFAANLSAATASAHEGVDLYCNFVETRPVLMEDIGASAFEQDSKIQEAVSASDSELISEISDVEQEDITDKGDVIDDGFLAEELNVEMPEEDAEMSQEVSGSNGEEMASFSQDLEPSQPAGEAEPLENIETSTSSLKQDVQTDDSEGDRAADGEESPEADHGMKSELGMWPSYLDKISKPAAAGAALAAVIVPAALAFLLMSKKQDQAVANAAAEAPADQAEPVVEKTLSGSGSSEGHLRVKGSQLQTPPVADETERFGGGSGASMYSSSLSSGYGRRKSAKEDESLSLDPVSRRDSAAQPTSSYGSFTTYEKIPAKKRNKDDEAVTTPVRRSSRLRNQVKSPEA >ORUFI03G30260.1 pep chromosome:OR_W1943:3:25081146:25081621:-1 gene:ORUFI03G30260 transcript:ORUFI03G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHLLLLLLLALLLASSVLAKAAAGFTEEKGVAGIRGVIGSRPPSCEGRCRSCGHCEAVQVPITPQQLQKKRGQGDDRRRKKKQLLGHGDRAAAAGGRAMPDSYDDHSNYKPLSWRCKCGGMILNP >ORUFI03G30270.1 pep chromosome:OR_W1943:3:25085561:25094493:-1 gene:ORUFI03G30270 transcript:ORUFI03G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNPFLREGSPSETSSSLTSEAECEETSFDDQRSSEVYPHGNFVNEHTGDCALPQSALLSEDTCKETNPESTSSQVPCENGDGCLNGLEQEALPSEVACSPSLKDSHNLLLEGSEEDAICRRTRARYSLANKSLEELETFLQESDDDGDLQNVDEEEEYRKFLAAVLSGGDDGTQACQGDENQDEDENDADFELEIEEALESDGDENAENYEDTNIMKEKDGRRRQTRKNRPCTELSGAANEHYGSTKSSLRPILPYISPELLASGQPYGWQYPSQSTFIPSSLMPVNGAALANGFSDQQLGRLHMLIYEHVQLLIQTFSLCVLDPSKQQLATDVKKMIVELVGCCDRALASRSTIHRQFCFEPQHLRSSFGFSSSETLQYQWMPLIKSPVMSILDVSPLHLALGYLKDVSDAVVKYRKSHVDGTADKNRFKKEPLFPTTVFNTCKDANKVSQGRSNSVSSSPDTSGKSQQKKTLAATLVENTKKESVALVPSDIARLAERFFPLFNSSLFPHKPPPTAMANRVLFTDAEDGLLALGLLEYNNDWGAIQKRFLPCKSKHQIFVRQKNRSSSKAPDNPIKDVRRMKTSPLTNEEQQRIQEGLKAFKNDWALVWRFVVPHRDPSLLPRQWRSATGVQKSYNKSEAEKEKRRSYEAKRRKLKASMPNSQAVHGQEADNNGSEGAENDDDDDLYVNEAFLADTENRSINYQPYQLSLPRNAGNGMMMQSGSSLCEESGVAGDSAEQQKGNSTNFDVTASYFPFSSCTSDGLSSKRKVQGGSLDQPQASQFSKEKGSCVVKLAPDLPPVNLPPSVRVISQVAFHQNATQLNGTSDNAAKDLFPVPPPTFSESVYRQLNLFPDHSTNVRLHQSGISNGNTTEDGAEQDFQMHPLLFQYPREVLSSYNHPVQNLINHSRDLFPFEKVQTEKSNNQTTDCIETRTPVNANTIDFHPLLQRTEVDMHGEVPGDDCNRPYNQSECNMREAPADDQSTARKKSTGPCEKENNIDLDIHLCSSRDYMNGNDTGGTSSKLNDWAEVSRKDKASVSELEDGNVCSHHGIEEPNEESMQGIVMEQEELSDSEEDSQHVEFEREEMDDSDEDQVQGVDPLLAQNKEVSTSVGCGEYEGSNNQSQNQQRLVQVGGKQGAATQKPQRLSNARPAREKLKGDNAKRPGSRTTQRSSTSPTTEPSQTKTRRPKAQQIVLDVAHAVEVSTGGGGHIGNEYQRSPVIDGGGGD >ORUFI03G30280.1 pep chromosome:OR_W1943:3:25098270:25098744:-1 gene:ORUFI03G30280 transcript:ORUFI03G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFHVMDNAHFDNLVCQALFGDGALVVVIGADPVVATAGGSGGERPLFELVHVTRTLIPETGGAILGLLREVGLMFSLISEAGLLKMVSGAGVDFTDDDDRNALFYAVHPGGRAILDKVEGVRGLRLEKTRASRKVLADYGNMGSACA >ORUFI03G30290.1 pep chromosome:OR_W1943:3:25101879:25104351:1 gene:ORUFI03G30290 transcript:ORUFI03G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGDAGQVVGDGGAGAGDDNIILNPEFDSGLDNWSGSGCKIELHDSLDDGKVLPVSGKYFVAATGRTDTWNGVQQDVTSRLQRKLLYEVAATVRLSGAAATPSPCEVRATVAVQNTDGRQQYISVAKSPAVSDKEWVQLQGKFLLNGTVAKAAIYIEGPPAGVDLLLDSLVVKHAQKATPAPAPDFKNLEYGANILQNSDLDDGVNGWFGLGSCALSVHGGAPRVLPPMARQSLSPLDGDDGDGGEPLNGKHIHVTNRAQTWMGPAQVITDRVTPYATYQVSAWVRVGGQQAAGKPQNINVAVAVDSQWLNGGQVMALDERWYEIGGSFRVESSSTPPSRVMLYVQGPDPGVDLMVAGLRVFPVDRKARAKHLRKLTDKVRKRDVVVKVTAAAGGAAAADGVEVRVRQVSNSFPLGACIMRTNMDNEDYVDFFTKHFNWAVFGNELKWYWTEPEKGQLNYADADDLLKLCADHGMCVRGHCIFWEVDSAVQQWVKALPADELSAAVASRINGLLTRYKGKFRHYDVNNEMLHGSFYQDKLGAGARAAMFRAASELDPDALLFVNDYNVEGACVDVRATPEAYIAQVTGLQEQGAAVGGVGLQGHVTAPVGAVVRAALDRLAVLGLPLWFTELDVSSANEHVRADDLEAMLREAYAHPAVDGVVLWGFWELSMSRDDAHLVDAEGEVNEAGRRLLQLKREWLTRAHGRADGNGEFRFRGHHGAYHVDVVTPAGAKISQEFTVDKDDAPLVLNITV >ORUFI03G30300.1 pep chromosome:OR_W1943:3:25106896:25111704:-1 gene:ORUFI03G30300 transcript:ORUFI03G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLPLLAPDSKAATSSPLCLTLDNPTSTSTSPAVPSSAPPPAAALEPSRQSFHERETDAIKAKIMSHPLYPALLRAFIDCQKVGAPPEVVGRLSALAGELDSRAEDMHLQGQSSDPELDEFMETYIDMLVSYRQELTRPIQEADQFFRNMEAQIDSFTLDDNGSEGGNSSEDEQEAGGGDMASAGLPEITSPCAEDKELKSHLLNKYSGYLSSLWRELSKKKKKGKLPRDARQKLLHWWQLHYRWPYPSELEKAALAESTGLDAKQINNWFINQRKRHWKPTPPAMEYRSLQPAGAASYGGASAGASTSGGGSAVVRGMEGQHFTGGGAYPRGDP >ORUFI03G30310.1 pep chromosome:OR_W1943:3:25129009:25129251:1 gene:ORUFI03G30310 transcript:ORUFI03G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRQVKCETCGKSTWAGCGRHVASVHSQIADGQHCACRAWPGVATAAGEKDLAVTAAAAAAETAGGKTTSAAAGERSSSA >ORUFI03G30320.1 pep chromosome:OR_W1943:3:25129995:25136670:-1 gene:ORUFI03G30320 transcript:ORUFI03G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFHLLASGGGSKASTTIIPLCLSRDHASTSSPSPAAAVAPPAPPPPAASEQSSHGSGAGLQSSEAMIKAKIMSHPLYPSLLRAFVDCKKVGAPPEVVGRLSSLAVVTDELESYSGDRWQPAQQPAADPELDQFMETYCYMLTRYGQELARPIQEAEEFFRGIEEQIDSLALDEDVSYDYEDEVAGGLPEKSAAFGENEVTTTTRRHLMNKYSGYLNSLWTEISNKKKNSTGHLPRDARHKLLQWWHLHYRWPYPSEAEKAALAESTGLDKKQVTNWFINQRKRHWKPKPAAAMDAGFLQMHPRWLNIPVWKYRFLQATLLRRLPMKIIFTNSYDARYK >ORUFI03G30330.1 pep chromosome:OR_W1943:3:25153404:25153619:1 gene:ORUFI03G30330 transcript:ORUFI03G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYQVKCGTCGKSTWAGCGRHVASVHSQIADGQHCACRAWPGVADKAAGAGAGAATDAAGEASSSSVCTIL >ORUFI03G30340.1 pep chromosome:OR_W1943:3:25154223:25161095:-1 gene:ORUFI03G30340 transcript:ORUFI03G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGALLTSAGINISLCILFLSLYSVLRKQPQNVKVYFGRRIAEENSRLREAFILERFVPSASWILRSLRCTEDELLATAGLDAVVFNRILVFRYAPCTDSFSIVGDIYNWKYAREIKMAYIIESHRYLLDSFYTLAITAGSGSIVLRCTSYLEYKHIARLRLLHVSRASTNPSHFTVLVRGVPKSTKESISCTVESFFTKYHASSYLSHQIIYKVGKLQKIVTGAKKAYKKFKHFKGTTVDQRCGPITYRCGLCGASSKTFELLPVEPEQEMKKHDVKDSELSLPDKDCGAAFVFFKTRYAALVVSEIVQTSNPMEWVTSLAPDRDDVYWSNLWLPYKQLWIRRIVTLSGSIVFMFLFLIPVTFIQGLTQLEQLQQRLPFLNGILKKKYITQLVTGYLPSVILQIFLYTVPPTMMFFSTLEGPVSHSERKRSACCKVLYFTIWNVFFVNVLSGSAISQVNALSSPKDIPMVLARAVPVQATFFTTYVLTSGWASLSSELMQLFGLTWNFIMKYVLRMKEDSYFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYVVYRNQFLNVYCTKYDTGGLYWPIAHYTTIFSIVLTQIICLGVFGLKESPVAAGFTVPLIILTLLFNQYCSNRLRPLFKTLPAQDLIDMDREDEQSGRMDDIHHRLHSAYCQFADTDDIPLKGVHVDRDAEASGSSGESSCREDTNQPTTSDISHPTLEGLPVNRLRHVVRSLSSIIRLQKRGLSPQPAGPSADVNPQTA >ORUFI03G30350.1 pep chromosome:OR_W1943:3:25167772:25168520:-1 gene:ORUFI03G30350 transcript:ORUFI03G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVHDSDFLVVSRVFSLLSISPLLWSDPFLVMGNARGRVEALACYRSLRWMDGISRVAKGPSLPLVTIKRKPCRAFGRFDNDGARGHRFPLWRHCHGLLSYPHKSPGENLVPIFGRAAAALRVVSSLGASLRRSYNASMTVDGPFRFKSFHTLCSARLHLLGSASFLWWATRSSSKLLVDGGVATLGNDDMLQSLPWSSSAGRVKEVAPRWLG >ORUFI03G30360.1 pep chromosome:OR_W1943:3:25168528:25168815:-1 gene:ORUFI03G30360 transcript:ORUFI03G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAARPSWSSPPSLAGSGRPRPDPSPPCRMAAGRQSGYVWALHGSAGSGERGGGSLAASLLFGRGLLLPVVDLVTHGRRCADVELVGRRLVLA >ORUFI03G30370.1 pep chromosome:OR_W1943:3:25168894:25169502:-1 gene:ORUFI03G30370 transcript:ORUFI03G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEDDVKARNCIVLRRPPCRSKGSGDTGGDHRRLPPSSASPPLEQTARNRAAARKVAAGPSFSLEVADRCPRPRPDGGGDGVTASASVSGWARGGSWVWNGWAWRWLGAGAAATTLDLAPLARSGGLSPSRDDGDTQGGGEGRWQAAGKRWRLAAGERPAPRQRKDRRQAGAVSQ >ORUFI03G30380.1 pep chromosome:OR_W1943:3:25171813:25177716:1 gene:ORUFI03G30380 transcript:ORUFI03G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRLASAPTHRLVSLSSRFHFYFSSLPKSRLAQLARAAQRRAHHAASLPLPSPLNSAGAAGSGERERREEAAAEEEAVVRGGGGGGEWRRRRRMAVEYHCCGAAFFEHVVIIVVLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTDQDRKHAAKILPVVKNQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEILPQSICSRYGLAIGASVAPLVRVLVWVCFPVAYPISKLLDHLLGKGHTALFRRAELKTLVTLHGNEAGKGGELTHDETTIIAGALELTEKKAKDAMTPLCQTFAIDINAKLDRDLMQKVLDKGHSRVPVYYEKKTNIIGLILVKNLLSINPDDEIPIKRVTIRKIPRVSEDMPLYDILNEFQKGHSHMAVVIRQTNANYAVEPPANDGGTLAFYLTIFSLFSFFLSMWNKEVAISIDDKHGEKVVKNLPPLRRWKSYPNSQNSNRGNRNRKWSKDQSDVLQIHEEPLPTLNEDEEAVGIITMEDVIEELLQEEIYDETDVHVEEQ >ORUFI03G30390.1 pep chromosome:OR_W1943:3:25197095:25199790:1 gene:ORUFI03G30390 transcript:ORUFI03G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADFSAAAMELGEVLGLQGLTVPSTKEGDLSLIKRAAAGSFTQAAAASYPSPFLDEQKMLRFAKAAHTLPSGLDFGRENEQRFLLSRTKRPFTPSQWMELEHQALIYKYLNAKAPIPSSLLISISKSFRSSANRMSWRPLYQGFPNADSDPEPGRCRRTDGKKWRCSKEAMADHKYCERHINRNRHRSRKPVENQSRKTVKETPCAGSLPSSVGQGSSKKAKVNEMKPGSISYWTDSLNRTMANKEKGNKAAEENNGPLLNLTNQQPTLSLFSQLKQQNKPEKFNTAGDSESISSNTMLKPWESSNQQNNKSIPFTKMHDRGCLQSALQNFSLPKDEKMEFQKSKDSNVMTVPSTFYSSPEDPRVSCHAPNMAQMQEDSISSSWEMPQGGPLGEILTNSKNPDDSIMKPEARPYGWLLNLEDHAM >ORUFI03G30400.1 pep chromosome:OR_W1943:3:25205266:25205733:1 gene:ORUFI03G30400 transcript:ORUFI03G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAGAVAAPAPASGGAVAFAWEHEPGVSKLLQGTATTAAGEADKLPAAPAKKEVVPHRIRVRPPPGAAGRGGRRGGGGAAVRPEEDPFLAAFLACTERGNRGAPKGGSKLLGLGLGLGLGSGLGLGLSCKGPGGVVQSVVRLAKMPPPQALNDD >ORUFI03G30410.1 pep chromosome:OR_W1943:3:25207613:25208029:-1 gene:ORUFI03G30410 transcript:ORUFI03G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPHRPAGGPGKRWAKWATARAGAASRRSRPMMGRRAAGEEGHTGRRRSTSTIWRTEREEWGEGRAEADGADVERDGESAAAIAVIVHGVGEAAAAPPVACLPAAEPPPPLPPRPVGFLPNPPAGLPTQTRRGERER >ORUFI03G30420.1 pep chromosome:OR_W1943:3:25216325:25217620:-1 gene:ORUFI03G30420 transcript:ORUFI03G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRLSELLQEQQEPFLIEAAKIRRLRRGGGRGGGGGGACCPVAACRRLLRLCNHGFKKRRGCGGVGGGGGVGGGGVRGLRSALSKALCGKAVRRVLRWDSLGCFPGGVDREFRRLRRSTGDSGECDPRAMDFSGHSNDERPPARWKAPGIGMDMDVDESSRQLSPVSVLDLHSDGDSPVHCRWEDEKPSTSGSSPPSEGFIGATSPCFTYNIHGKIIPMEVEEDEEDGDEEEMARAGKSIEQQISSWERIAEDISNIPRMVEMDFSQSIQQWSELKLEAAMEIGTRIETLIFDEIRRETVCDMLASHCTLAAAATSC >ORUFI03G30430.1 pep chromosome:OR_W1943:3:25226454:25226948:-1 gene:ORUFI03G30430 transcript:ORUFI03G30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMHLLAPPAQAFVVAPPRVAPAFDGAAAPPREDDPAADQPPEREADADERRLRRKISNRESARRSRARKQRHLDELRARAERLRRCNRELAARGHAARGRAGLVRLANAWLRAEAAALPRRLAAARRAIALGQLYRPAAAAAAAAAGGGVGTFEQTIASLIA >ORUFI03G30440.1 pep chromosome:OR_W1943:3:25232443:25232817:-1 gene:ORUFI03G30440 transcript:ORUFI03G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLASPRCAPFCGRSGAGAGGAPRARRQQGGGCGRPLDRVAGWVGGGIAAAFFASLERCSCVNVRTHDELDDETRDSEALLIMFDVVDGSEDAASAAAGAGGRRGRRSEKSKRGGGGCGGQLW >ORUFI03G30450.1 pep chromosome:OR_W1943:3:25236405:25237467:-1 gene:ORUFI03G30450 transcript:ORUFI03G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDDGDVDLAGGRELVGNTVSGTLEEENCRSEHEEDEGCVIACAGTEDGCFPALNSPRIDLAGGAS >ORUFI03G30460.1 pep chromosome:OR_W1943:3:25241162:25242031:1 gene:ORUFI03G30460 transcript:ORUFI03G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMCVQPIYHGKIEPLDIRKTGRSLISIIMKKYEHTPEMESSARRRPAKLITELGAVL >ORUFI03G30470.1 pep chromosome:OR_W1943:3:25242854:25246289:1 gene:ORUFI03G30470 transcript:ORUFI03G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGKQSAATATTTHTVCHQAYPSYNRSAKMPSVPQWWMINDDRATMMTLATGQAGCISRTIFVASMDGHYLSLGCEENGQHEVPPAHFAVSDRRNRGEKIARAKKQKNPRGEGERGDQEFRMRLSFPLVAGAVVIGVISGNVIFGPPLQKYWAEKQQQQQQEGAKEGQTGTT >ORUFI03G30480.1 pep chromosome:OR_W1943:3:25247435:25247803:-1 gene:ORUFI03G30480 transcript:ORUFI03G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIEAHLGHLLHGDSSSVGGSAVATVPAASVAHAERRLHQLMSPDRDQEERATTSPRPAVSVQSCVERGYSVVTVQCRYRPKLLLDVVCTLTDMDYVVFHSTIDTTGDQAHQESHNTFFVM >ORUFI03G30490.1 pep chromosome:OR_W1943:3:25248801:25249695:-1 gene:ORUFI03G30490 transcript:ORUFI03G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGFVRDKAEGGKSGGVAPLRHPAAHVPCSLAARSASSKKRVEECLREEVYEKAPTPARGGKKCSRHPCDTIQSSANAYAKLPFLQCDLALITHLSSRQQVSRENHHWPLRRRGPRRRRTKFALVFKDGGGVISGIAVEGGDSIRGKS >ORUFI03G30500.1 pep chromosome:OR_W1943:3:25251343:25251870:1 gene:ORUFI03G30500 transcript:ORUFI03G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAAPKSAAAAAGNCKYWLLKTEPGEWSWSDQARAPGGGVAPWDGVRNRQAVNGLRAMRVGDRCLFYHSGAGAASRRVVGVVEVAREWYEGEGEAASGGAVDVRAVGEFRRPVALGEIKKAAGGGGGEVEGMREFALLRQPRLSVMPVPAKVWDWICEMGGGFVQDGEDEDDS >ORUFI03G30510.1 pep chromosome:OR_W1943:3:25257303:25257797:1 gene:ORUFI03G30510 transcript:ORUFI03G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTARVAAALFLLLLGLSATAPLAARDLMSAAPSAKKQPAGRKPSVQPGYPGTNPGGGGGGGIPTIPGFGSIPGMGGGMGGFNVPGMGGGWGGGYGTPSGGYSRGGVVVPTVVCSDKGPCYRKKVTCPKKCFSSYSSSGKGYGGGGGGGGCTIDCKTKCTAYC >ORUFI03G30520.1 pep chromosome:OR_W1943:3:25262732:25263163:-1 gene:ORUFI03G30520 transcript:ORUFI03G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGGERRSAGAYEAALRAVQRPAAKPWRGGGGGGGAGGSAGAAGAAPPKVYRVAPRDFRELVQRLTGAGTAAPAVAMGASQAVPAPPPPYAGVPAHGQSDAAAAADMFDYASWFSVPLLSPASMPAAGYDGQLHHGHGALL >ORUFI03G30530.1 pep chromosome:OR_W1943:3:25264943:25265320:1 gene:ORUFI03G30530 transcript:ORUFI03G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETGSASTSAQPSPDRSSNLDLSPSNDHDSTDACTDPTATPPVGATHLPPISSLHTAPTLTTIATPLVGAQHTRHHAAQNLHHLGAVHRYNVHGRHDEKRKVQPLPDEKKATPAVGLELGATS >ORUFI03G30540.1 pep chromosome:OR_W1943:3:25266226:25266900:-1 gene:ORUFI03G30540 transcript:ORUFI03G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSHPPLLPPLYPTPLYSLSLPSPLSSPTAEERCGARQPGEEGRCHSAGHRGRSGGRLNNPYQDLNIPYKQLYDLPTSLEFLFQESLAQRCSWGPLHGEHAQGHRPALCREPGIESGMVTVRDRDDWINSVTVGLSTGALFRAANGSRSAAITSAIGGVLTGAAMAGKQAAKRYVPAL >ORUFI03G30550.1 pep chromosome:OR_W1943:3:25287851:25288909:1 gene:ORUFI03G30550 transcript:ORUFI03G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGERRGARKSRVAGAAVLRALRRARDLYVRGARGFGKFVVAANPRAGVVGRPTSRVFGVGELNSEQELRELVRGAGAMRATRAAAADAGAGGKKAEAGAGAPAAFYP >ORUFI03G30560.1 pep chromosome:OR_W1943:3:25289773:25290644:-1 gene:ORUFI03G30560 transcript:ORUFI03G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEYLYDRYNGVYWQGALYVLCQTDFVMRSMRGVHYALIDNEHRLRVSFLNKSCGCQEICIQNDGPWTLHYKNYFGHSSQNGAEEYYEAYKEYITRRYWYEYDNASYKNHCEDIEKDVVVRVNKFEWDSDNDDIYS >ORUFI03G30570.1 pep chromosome:OR_W1943:3:25290934:25293989:-1 gene:ORUFI03G30570 transcript:ORUFI03G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHHDVTQLLPDDVLAGVLARRAWRAVIDTRRLLRADLLPLSLAGIYINFHEMHRSVFLSRPSSTRPAISGMFTDYTPNDNLVEDHCNGLLLLKSGVANPATRQWVPSPPAPPQPVWMKDFYRFDSYLVFDPTISTHYEIFKIPQVPSTGFRVLDPMLKSLQWPPSLISLSDNKYQVIKLPMNTEVCQYKNHFLGRSMRGVHYALIDNEHRLRMTWELKHDNDLSFLLRCQEICTQNNGPWTLQYHNYFGYPRQNDMDNYYEAYKKHIVRKYHYEYESVWYKNHCEDIKKDVVVRVNNFEWDSDNDDILDTANPNEGECHGYLSILGFHPYKEVIFLNQKRERGLAYHFNSSKVQNLGNSMEEHHDDVTQLLSDDVLADVLARLAPRWLDRRVPLRLQGMARHRRHPPPAPR >ORUFI03G30580.1 pep chromosome:OR_W1943:3:25295036:25296411:1 gene:ORUFI03G30580 transcript:ORUFI03G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSDQLGFLLRVVLASLHETEDEQPNLPPPAGNGVGTATVKQPKMIPDPGDNFSNWDRYIMAILEVATGVQIIYALFREIVNRLPTILARNNIPRQV >ORUFI03G30590.1 pep chromosome:OR_W1943:3:25299062:25300283:1 gene:ORUFI03G30590 transcript:ORUFI03G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSCCSVAVACWRSFWCGRVCRVKLGFALSLMPRMTTVRKEGSSQSTHLQNSPADGDGRLKRARGSTTRPMPLVVPAADARRAAQPSSQYPCSFAGAGAFADEVKREVLWARVFRDGNWRGAAVANVGGGSRIAGERAREGENFPRMNANFFTKHPWKIKFCSYVL >ORUFI03G30600.1 pep chromosome:OR_W1943:3:25314251:25315793:1 gene:ORUFI03G30600 transcript:ORUFI03G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQDDATQLLPDDLLAEILGRLAPRWLAASRCVCKAWQAIIDSRRLLRADLLPLSLGGIYFNFHDERHSVFFSRPSTRPIISGMFTDYTPNADRVEDHCNGLVLLWGGVANPATRQWAPFPEPPPPCTEIKGVCQLSNYLMYDPTISSYYEIFQIPRALDRYHDELDPMDDTSQWPPSPSVLNVFSSRTGEWEKRSYVREGEAAGTVADMALSFPYDHFNGVYWQGALYVHCEADFVMRISLSDKTYQVIMLPITTEVSEYKSHFFGRSKNGVHYALMDRDQRLRIWFLNESCGQKMWELKHDKNISFLLKRHDKYGQNDGPWTLHYFDYCENYDQNDIDAHYEGYINEDYNEEYIAAQDCKHFEAASYEDRNGNSIRNVIVPINKFEWDSDNDSILDIENMNDEHRDTFFSILGFHPYKEVIFLNRQMERGLAYHFNSSKIQYLGKTFPECYHSEVHEMYASFVYTPCWIGELSEST >ORUFI03G30610.1 pep chromosome:OR_W1943:3:25317614:25317976:-1 gene:ORUFI03G30610 transcript:ORUFI03G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWHGRLGHLEGSHGDSDLLGRAVVIDPYLHSLAVARQCQVMEAMVAGVAAIVVVAGAGDVDADMVAIDVAELVVLVNVELNADEVVRGIAVADVVEEADVLAGDEEDDAVAAGVGVGA >ORUFI03G30620.1 pep chromosome:OR_W1943:3:25320719:25321544:-1 gene:ORUFI03G30620 transcript:ORUFI03G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWNNSATLCSGPVTLIQKSLSRVMVSSTRTVRDLHDGTPDAAEASARGRTRRRVGDGDGEEEGGNEGTSLGGAGCGGDADGDDLLSSGAGGCGSEPASMADWL >ORUFI03G30630.1 pep chromosome:OR_W1943:3:25324873:25329612:1 gene:ORUFI03G30630 transcript:ORUFI03G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDDVIATSSQLQIDNRYILSEQTPNRAPVDWKMGHLIGRGDDNAMLSIRADNLYVTGFANRTGHWHVYPKFADQIPEPKTLLTFGDDYHSLLGDGSSQNLPKINLGLHATLNAIETLSNYQPSSDNTAIKIALTTLIVTLPEAVRFRPIRYRLLDGWFTGTRLTSHLAKEVVSWRDMSCAVLIFDKYGRWWASAEAGILQGKFQIRSKFDTLQYLDVTLHSTMIYYPLNKKTLTFGFAKSRIQRPPTPSPRSSFSRPHRRQGRPQLLLRHLNPQRHGTDGTARVARRRGGRDPGRERSSSMSGGRLDTSVANNPTGDRTSHAPRSASPQPQAAADDWLARLPILRAAPPSDNYPSCLRPPVRRLITSSSTTSAVPSTSTRYTEDEDDMIGFTGFYNVDIFFSHDPNSLPRGYEKHKNKLGKEPHIMTSKSLQEMKIAWPQSRPPTN >ORUFI03G30640.1 pep chromosome:OR_W1943:3:25334355:25335959:1 gene:ORUFI03G30640 transcript:ORUFI03G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSVGGDDHHHHQQARYPLDAGSYRLLCKIGSGVSAVVYKAACVPLGSAVVAIKAIDLERSRANLDEVWREAKAMALLSHRNVLRAHCSFTVGSHLWVVMPFMAAGSLHSILSHGFPDGLPEQCIAVVLRDTLRALCYLHEQGRIHRDIKAGNILVDSDGSVKLADFGVSASIYETAPSTSSAFSGPINHAPPPSGAALSSSCFNDMAGTPYWMAPEVIHSHVGYGIKADIWSFGITALELAHGRPPLSHLPPSKSMLMRITSRVRLEVDASSSSSEGSSSAARKKKKFSKAFKDMVSSCLCQEPAKRPSAEKLLRHPFFKGCRSRDYDYLVRNVLDAVPTVEERCRDSTQLCGCARGARCVSPCRHASSGSNVVAAKNRRISGWNFNEESFELDPTDKPPEQQQQQPCFPFHHDNDDDMVEHEQEQRRRQDGNDGSSDVAVPHLVTILGSLEMQRDMVMQVLEGDGGGGGETAGREEMLVGYVRELEKRVQELSTEVEEEMARNAHLQELLHERACENHTDSSHTSGSR >ORUFI03G30650.1 pep chromosome:OR_W1943:3:25361613:25362767:1 gene:ORUFI03G30650 transcript:ORUFI03G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDITMAVDGGGQRRLRRRRYFGPRYRTPDNTLTSKEVFTWAKSNNRRLFHVGDIDKTSKNGVVQWGVFMQMWEAESKWKTPQSKFITF >ORUFI03G30660.1 pep chromosome:OR_W1943:3:25363567:25366006:1 gene:ORUFI03G30660 transcript:ORUFI03G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEKDDGVPRFASLLAVECGKKDPSTRATPEVFAATILLFVCCHLIDISNLSNDNKIIVYSTYEREAFGIACKMMRLSLVLPKNVMRHAIASWKILDETNNVHRYISNILEIISKFVPLNSLV >ORUFI03G30670.1 pep chromosome:OR_W1943:3:25366954:25368645:1 gene:ORUFI03G30670 transcript:ORUFI03G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPRATPNFILLVLPPRGRVQVIVVASPRLAFPRVASPSDRATPPPRRGSPRSPRGGGANVGESTSSGTNGDAAGGSFECNICFELPQEPIVTLCGHLFCWPCIYRWLHIHAHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNIPEADIPNRPTGQRPATAPQADPNNNFAHANPNANANPWFMGTGVPLANARWGNYAFSAAFGGLFPMLSFQVHGFPDANPYAQPAGFHYGYGHGHGFHGGHMGHAAHGVPRQGPLEQPQQADIYLKALLIMVGFLVVASLLAF >ORUFI03G30680.1 pep chromosome:OR_W1943:3:25372568:25376544:1 gene:ORUFI03G30680 transcript:ORUFI03G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARLRVAAGSFLEREGETRRTRLVGSISFVVDELKSVDEQSFLDGTYDRKFQRALLVTVLIVKGSKFEPSPFHARSVPVANPGCIAACSWRRQQQQLVQGMESHTGINGVALKISHYLLNYAAMSRGVNDSI >ORUFI03G30690.1 pep chromosome:OR_W1943:3:25382481:25383563:1 gene:ORUFI03G30690 transcript:ORUFI03G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMAKLAQMPRAPLLVLLLMLGVGAAVAVPEYREAPHFTNSAAARCPPPLPATDADAACSPHAAVHVAMTLDAPYLRGTMAAVLSVLRHASCPESVHFHFLASSSSSPEAAAAVRELRDTVRASFPSLAFRVYPFDESRVAGLISTSIRGALDRPLNYARSYLATTLPACVRRVVYLDSDVVVTDDIAALAATPLPGEAAVAAPEYCGANFTAYFTPGFWASRALSEAAFAGRRACYFNTGVMVLDLPRWRRAGYTAQIEEWMELQRRVRIYELGSLPPFLLVFAGRIAAVDHRWNQHGLGGDNYRGLCRGLHAGAVSLLHWSGKGKPWDRLDAGKPCPLDAVWAKYDLLRPAAAIETS >ORUFI03G30700.1 pep chromosome:OR_W1943:3:25386335:25390140:1 gene:ORUFI03G30700 transcript:ORUFI03G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKQQVVSSTRGARRRRRQQRRYISLLMSCVGGGSPPLPGHISPMFDGALIGVDVNNDNTTHGFPMVEVQQPESPMGSPRTPNGSQKKPVLGKVKSKAKKWMHLLHHKKKPQEDMQDPVQTAAAAMSTTMQTTDPQAQLDIHPHSSCESACAPEVYMEASPRQNSPLPSPTAHNEQQYFKISSRFKSEMKEAMLRESKQLRVNTTKPKTVTFAPILEQGAETVKNDWHRKELWETATEIFSHAYAIVYEAVLRMVSIIQDAMLSYNIGRRQMLEKIISFNRYLMLKLAPGEGDKVLSKVITEAALDMFYAWCVNVERPLVQRAKEVSSWFLPERREELPPSCCTVHPSLCL >ORUFI03G30710.1 pep chromosome:OR_W1943:3:25388341:25392600:-1 gene:ORUFI03G30710 transcript:ORUFI03G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRQAGTAAAERCTIDLRLPNPSRLRWRRRSPAPAASSLTGEHPNPSRPASNFGLLARRLCRTTPIRRAEVGAHGPPAVLLPPHRRSPVSILHKTSNQPRRRRRPPPRMPVRVVDTATPSSQPSSGQDANAGHPSPPSCSLLSAGRCYAGTQNVSNIQKEEAWKVNVRIHGCDLEQGYLCGTMEALNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLSQIETDGGKSVDLSNYAYIFMRWKEQYFVNVGVDCGLTIAGFYYVCFSCSDGSISGYYYDPNSSPFQKLELKCTNEKDSGFTFSSYELQCQLQHQIGLNKGHESPRGLA >ORUFI03G30710.2 pep chromosome:OR_W1943:3:25388341:25392181:-1 gene:ORUFI03G30710 transcript:ORUFI03G30710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVDTATPSSQPSSGQDANAGHPSPPSCSLLSAGRCYAGTQNVSNIQKEEAWKVNVRIHGCDLEQGYLCGTMEALNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLSQIETDGGKSVDLSNYAYIFMRWKEQYFVNVGVDCGLTIAGFYYVCFSCSDGSISGYYYDPNSSPFQKLELKCTNEKDSGFTFSSYELQCQLQHQIGLNKGHESPRGLA >ORUFI03G30720.1 pep chromosome:OR_W1943:3:25392418:25392621:1 gene:ORUFI03G30720 transcript:ORUFI03G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREQENAGATSASRMEIGAGGRQRRRESSTAAGDETGWIGEAEVDCAALCCRSARLPMGHIICTGP >ORUFI03G30730.1 pep chromosome:OR_W1943:3:25392799:25393366:1 gene:ORUFI03G30730 transcript:ORUFI03G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTWTPHVSRQFSSTSLLFLPISTQTSRAAMNRWGERRWGKAPNGLERSRHGLVHARYGQVPTRSQLQQQRRLHLCARGLGLLPHHAGQAPIPRAQGHPCRATQKSMCSGVPELCLSSAEEHARLRRIIFEVNSIYTTMWLHHPRCLRPSPCRPPLSSFSTAASLLPAHRWSSLSSFYLPLPATE >ORUFI03G30740.1 pep chromosome:OR_W1943:3:25393420:25393751:1 gene:ORUFI03G30740 transcript:ORUFI03G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVVLVTVLRHLNEILSPIPMVWLTRDQDADARELPHSGVAVCPEGTTCREPFLLRFFALFTELGRSLHAHVHDAAVSHNFSPHMLPTVLEFWVEMGRDRR >ORUFI03G30750.1 pep chromosome:OR_W1943:3:25398584:25400542:-1 gene:ORUFI03G30750 transcript:ORUFI03G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAFQSLETIAGEVSIAPIPGKRVEHQGVKIELLGQIELYHERGHFYDFTSLVRELDVAGEIYERKTYPFEFSTVEMPYDSYNGTNVRLRYILKVRIGRPYATIVVEYRDFCVSNYTPLPSINTPIASS >ORUFI03G30760.1 pep chromosome:OR_W1943:3:25402420:25402623:1 gene:ORUFI03G30760 transcript:ORUFI03G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPWAGVGGLRLAARGSKGGQENGAAAGSGEPSAVAFLREAVGAVEDAGGRRDGGLWRRMWQRTAMT >ORUFI03G30770.1 pep chromosome:OR_W1943:3:25421484:25428150:-1 gene:ORUFI03G30770 transcript:ORUFI03G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVASRARRSSGPRGVAFCRWTNGLLHPRFVFPEAAAVAGSGAGSAQGAFCVKRVLRMVLNKVLELFCVKKKDSKKKVAAPHPTANVSTNNSLLDPFSTGNGTVLSVQKHEPECSSVISSMTRTEYGFESDGCNLFSHFDVVQDFSDHYYAKNSPGKTSKDWVKTIQNEWRLLQKDLPGQIDLLRAAIVGPAETPYHDGLFFFDVCFPSEYPQSHRLTHVHIPSLMSYESPMHACHGKWSSIPSSDCKEKHPNVKQVSCGAFVLLCCINCYNVCSDLVDLVVTSASPCLML >ORUFI03G30770.2 pep chromosome:OR_W1943:3:25422420:25428150:-1 gene:ORUFI03G30770 transcript:ORUFI03G30770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVASRARRSSGPRGVAFCRWTNGLLHPRFVFPEAAAVAGSGAGSAQGAFCVKRVLRMVLNKVLELFCVKKKDSKKKVAAPHPTANVSTNNSLLDPFSTGNGTVLSVQKHEPECSSVISSMTRTEYGFESDGCNLFSHFDVVQDFSDHYYAKNSPGKTSKDWVKTIQNEWRLLQKDLPGQIDLLRAAIVGPAETPYHDGLFFFDVCFPSEYPQSHRLTHVHIPSLMSYESPMHACHGKWSSIPSSVQLQA >ORUFI03G30770.3 pep chromosome:OR_W1943:3:25421484:25428150:-1 gene:ORUFI03G30770 transcript:ORUFI03G30770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVASRARRSSGPRGVAFCRWTNGLLHPRFVFPEAAAVAGSGAGSAQGAFCVKRVLRMVLNKVLELFCVKKKDSKKKVAAPHPTANVSTNNSLLDPFSTGNGTVLSVQKHEPECSSVISSMTRTEYGFESDGCNLFSHFDVVQDFSDHYYAKNSPGKTSKDWVKTIQNEWRLLQKDLPGKDCKEKHPNVKQVSCGAFVLLCCINCYNVCSDLVDLVVTSASPCLML >ORUFI03G30770.4 pep chromosome:OR_W1943:3:25422415:25428150:-1 gene:ORUFI03G30770 transcript:ORUFI03G30770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVASRARRSSGPRGVAFCRWTNGLLHPRFVFPEAAAVAGSGAGSAQGAFCVKRVLRMVLNKVLELFCVKKKDSKKKVAAPHPTANVSTNNSLLDPFSTGNGTVLSVQKHEPECSSVISSMTRTEYGFESDGCNLFSHFDVVQDFSDHYYAKNSPGKLDACAHPFFDELREPNARLPWQMVVHSLLCSTSSMK >ORUFI03G30780.1 pep chromosome:OR_W1943:3:25429411:25429992:-1 gene:ORUFI03G30780 transcript:ORUFI03G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRARREGAGGRRRRDGCSSEVDEADTEAHVAIDTVQQREGRGSGWDFIGEEALDWRSASERRWRFVGNLKSSDGVDAFGWRGRWIGRHELNRECGRGSSASSRWGATSWRLASAASGHSASKSKETKVGERNQCPGGKKKWRTVERGACSFRFGEVRQARRVGAVWGTRRRRRRRDMAAFNSTTCPRLIPP >ORUFI03G30790.1 pep chromosome:OR_W1943:3:25446408:25452131:1 gene:ORUFI03G30790 transcript:ORUFI03G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSLKLPKTAVLPGFGGLPKIRKEWIDRREKLGGPRYTQMYYAKQGIITEEMLYCATRENLSPEFVRSEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKISAHAADLAKGHPYAQAWDDTLSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVIQGMNAMSAEFSAARKTISGEQHGEAGGEIYVPESYTARK >ORUFI03G30790.2 pep chromosome:OR_W1943:3:25446408:25452147:1 gene:ORUFI03G30790 transcript:ORUFI03G30790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSLKLPKTAVLPGFGGLPKIRKEWIDRREKLGGPRYTQMYYAKQGIITEEMLYCATRENLSPEFVRSEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKISAHAADLAKGHPYAQAWDDTLSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVIQGMNAMSAEFSAARKTISGEQHGEAGGEIYVPESYTARK >ORUFI03G30800.1 pep chromosome:OR_W1943:3:25453500:25483213:1 gene:ORUFI03G30800 transcript:ORUFI03G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKDKGRHRGRDGGGGGGGGGGGGGGGVDRGGGGSGGGGPGMGRRGSDARGQQAEAAAAAISGIATRLGFGCTINVGGGMPPQMEFLMACTDGDVARLKEVVDSMDEDDRQSLASVRMEGYEPLFEAASSGKIDLCKYLVEELGFDVNAEANHDSGMTPLFCAVLDGQEITVKYFLDKGADPNKKDAAGFAPLHEAAKKGHVGIARLLLSKGASVDVSSSEGTPLHVAASNGKSSTVQILLEHRANIQRSQVDAKSFRNRVQVFYVPALPNVILPDCYTPLTAVLSATPEIVNESECLKCMKLLVKAGAIYNLATPDTPLKIATRNGLTECVAYLLEITTVKLSEHDKGSDGDRKSKLKLHGGKAFEEGDYAGAIIFYTEAMKLDPADATLYSNRSLCHLRSGAAQEALLDANDCIKLKPEWTKGHYRKGCAHMALKEYEEACTAFMAGTKLNPLNDEMQDAFCDEDLEEQDVGGGMPPQMEFLMACTDGDVARLKEVVDSMDEDDRESLATVRMEGYGPLFEAASSGKIDLCKYLVEELGFDVNAEASRDSGNAIVMGAVVCEASLDRVRNRLYLTMKSLTIVTALGMTPLFCAVLDGQEITVKYFLDKGADPNKKDAEGFAPLHEAAKIGHVGIARLLLSKGASVDVSSSEGTPLHVAASNGKSSIVQILLEHHANPNVMTPDCYTPLTAVLSATPEIVNESECLKCMKLLIKAGARYKLATPDTPLEIATRNGLTECVAYLLEISTVVKLSEHDKGSDGDRKSKLKLHGGKAMKLDPADATLYSNRSLCHLRSGARTQEALLDANDCIKLKPEWTKGYYRKGCAHMALKEYKEACTAFMAGTKLDPLSDEMQNAFWEAAKAMKNEYMAGRRVSSRKTPNSNPKP >ORUFI03G30810.1 pep chromosome:OR_W1943:3:25492538:25499065:1 gene:ORUFI03G30810 transcript:ORUFI03G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPWRRPPEEVSKSAFLSWLTYRMFGSGGSRESAFLKAVAEGNVRRLKGECLAPSSSSHRPPTLGAEMVNRMGAKDREKLADMNIDVIGLLEVAADLGKIDVIRYFVEELGFDVNAGCLSADYVKQPSGLTPIEIAASVGRRDHVEILFPFTSPVRAVTNWTVEGIIAHGKSRRLIPKDESCSKVSDRKAELKSQGEKAVKRKDYLAASKIYTKLDIEHLPNFLPQEHKKAFEAFLNALKLDPANAEIEKVM >ORUFI03G30820.1 pep chromosome:OR_W1943:3:25505297:25510470:1 gene:ORUFI03G30820 transcript:ORUFI03G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDESDFFGMCKAACQGLAGADVNYACPNTPLVVATTEGLTDCMKYLLQVHADPNIPDKQSGRTPIEIAASLRRRNHVEILFPFTSPVRAITNWTVEGIITHGKSRFSMPKIKDEPCSKVNDRKIELKSLGGKAVKRKDYLGASRIYSEALELDYFDATLYSNRSLCYLRIGEVQKALLDTEMCIKLRPEWVKGHYREGAALMLLKEHKKAFEVFLNALKLDPTNANIEKVLWEALEAMKKDDAAEEKTLKSVD >ORUFI03G30830.1 pep chromosome:OR_W1943:3:25511731:25514120:-1 gene:ORUFI03G30830 transcript:ORUFI03G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHKPFRPSLVSGAPEADVSRTPYNLALLQQLVVPLVLQAPAMDNIYTSRKPLHVPLNISFWVHALYACYAKPNVCECDPHAAKTILVKYNIFHIDQSEASGRSKRMSIEFQKMVYSIDGIMKSNQPHVLCIVFIIL >ORUFI03G30830.2 pep chromosome:OR_W1943:3:25511731:25514120:-1 gene:ORUFI03G30830 transcript:ORUFI03G30830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHKPFRPSLVSGAPEADVSRTPYNLALLQQLVVPLVLQAPAMDNIYTSRKPLHVPLNISFWVHALYACYAKPNVCECDPHAAKLNHLNKVDEDKVLDIQTILVKYNIFHIDQSEASGRSKRMSIEFQKMVYSIDGIMKSNQPHVLCIVFIIL >ORUFI03G30840.1 pep chromosome:OR_W1943:3:25514540:25521760:1 gene:ORUFI03G30840 transcript:ORUFI03G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGIGPLQAAARLGEMESCRCMVEELGFDINAGSQLGHDEVARLLLSRGASIDIAYFHGTPLHIAAAYGKAGVMKVLLEHHADCGALPIQLAASYGRRKDVELLFPLTSPIRAVSNWTVEGILAQAKSEHAKSKCSKPKDKQDGQNEKAQLKLCGDKAVKRKDYRGASNFYSQKHEKACDAFMAGLKLEPGNAEMEKALREAIEAMKKHHVATKSFKPSD >ORUFI03G30850.1 pep chromosome:OR_W1943:3:25524219:25535694:1 gene:ORUFI03G30850 transcript:ORUFI03G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTMGEKDRTKFTDMNIDGNGLLQVAAHLGKIEVIRYFVEELGFDVNAGCLSDGVTALASAAMFGEAYVVRYLLEHGADPNKTGYEEVVRLLLSSGARTGIVVAHGTPLHIAVFYRRIGVVKILLDHHDESCGKVNDRKAELKSQGEKAVKRNDYLAASKIYSEEHKKAFEAFLNALKLDPANAEIEKVMW >ORUFI03G30860.1 pep chromosome:OR_W1943:3:25537323:25543115:1 gene:ORUFI03G30860 transcript:ORUFI03G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPNPSFGFRVGLVFGRALPYLNDGGPQSQFFEAATHGDVSRLRELASGEDAEGKARLADDISFSGIGPLQAAARLGEVDACRCMVEELGFDINAGRELGHDEVERLLLSRGASVDIAYFHGTPLHIAAAYGKASVMKVLLEHDADAGADVNFSDRDTPLVVAITNGLTNCIKYLLKAGADPNIPTCHCGALPIQLASSYGRRKDVELLFPLTSPIRAVSNWTVEGILAHAKSKHARSKCSKPKDKQDDHNKKAQFKLRGEKAIKDKHDEQDKKAQLKLQGEKAVKRKDYHGASIFYTEAIELDPTDATLYSNRSLCHLQMTEALFDADYCIKSRPEWLKGYYRKGAALMLLKEYEKACDAFLAGLKLDPLNAEMEKVFREAVEAMKKHHVTTKSFKPSD >ORUFI03G30870.1 pep chromosome:OR_W1943:3:25544101:25553875:-1 gene:ORUFI03G30870 transcript:ORUFI03G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSSPGNERDSQAMYPADSGNSSYPVPSAIGNMLYPGNGSSGPYTEFSGIIQHQQNFMELPGHPTAISQDSSSREPNMVASYTDQRSFGPAKDMRNEMLMHLMDGAHNAGADLIHNDTHSSAQIEFGLLNNHNSMSVAPAPGQGLSLSLNTHILAPSYPYWSAKTELLTPHSYHGDDNRMKNMQSEASQAIRNSKYLKAAQELLDEVVSVWKSIKQKAQKDQAEAGKSDNKEAEGGSKGEGVSSNPQESTANAAPEISAAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQIVVSSFDMVAGSGAAKPYTAVALQTISKHFRCLKDAINDQINVIRKKLGEEESSSGKEGKLTRLRYIDQQLRQQRAFQQYGLLQQNAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGEADLDSNSSSDNVPRSKDKIATSEDKEDLKSSMSQTYQPSQLGESKANIGMMSLGGAPAGFHNEGNQDDSFMNLMLKDQRPGEAEGSLLHDAVAHHSDENARFMAYHLSGLGRYGNSNVSLTLGLQHPDNRLSVQNTHQPGFAGAGEEIYNSTASLGVAAASSSDYESTNQIDQRQRSSCRIEAAVWLPQAQGLGSCIFFVRVNAPCCCHVGPGTMASLWVRLTMVASKQLHSQRCGGHYCQLHHHRLEEIAGAGAESHRRDGSSGCGGAGPMVVLTLGSGAAAAEDDGGGRSRCCCGAGGAAPATMVSALRGSRYLLPAQELLREAVSAAAASARGGDDDDEAVASFPHDGKSTGIGGGGGVQAKLLSLLSELESRHEHYFGELRRVSASFEPALGAGATAGYTALMAQAMSHHFGSLRRAILRKLRLHAAAAARTRSALLRLARDAMEEDDEGDGEEEEEVVNRVVRRTKQAAAARAEQAWRPLRGLPEDAVGVLRAWLFDHFLHPYPNDNEKLMLAVATGLSRTQISNWFINARVRLWKPMVEEMYNDEFDDDDAGSGGGGASSSS >ORUFI03G30870.2 pep chromosome:OR_W1943:3:25544101:25553875:-1 gene:ORUFI03G30870 transcript:ORUFI03G30870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSSPGNERDSQAMYPADSGNSSYPVPSAIGNMLYPGNGSSGPYTEFSGIIQHQQNFMELPGHPTAISQDSSSREPNMVASYTDQRSFGPAKDMRNEMLMHLMDGAHNAGADLIHNDTHSSAQIEFGLLNNHNSMSVAPAPGQGLSLSLNTHILAPSYPYWSAKTELLTPHSYHGDDNRMKNMQSEASQAIRNSNSMSQTYQPSQLGESKANIGMMSLGGAPAGFHNEGNQDDSFMNLMLKDQRPGEAEGSLLHDAVAHHSDENARFMAYHLSGLGRYGNSNVSLTLGLQHPDNRLSVQNTHQPGFAGAGEEIYNSTASLGVAAASSSDYESTNQIDQRQRSSCRIEAAVWLPQAQGLGSCIFFVRVNAPCCCHVGPGTMASLWVRLTMVASKQLHSQRCGGHYCQLHHHRLEEIAGAGAESHRRDGSSGCGGAGPMVVLTLGSGAAAAEDDGGGRSRCCCGAGGAAPATMVSALRGSRYLLPAQELLREAVSAAAASARGGDDDDEAVASFPHDGKSTGIGGGGGVQAKLLSLLSELESRHEHYFGELRRVSASFEPALGAGATAGYTALMAQAMSHHFGSLRRAILRKLRLHAAAAARTRSALLRLARDAMEEDDEGDGEEEEEVVNRVVRRTKQAAAARAEQAWRPLRGLPEDAVGVLRAWLFDHFLHPYPNDNEKLMLAVATGLSRTQISNWFINARVRLWKPMVEEMYNDEFDDDDAGSGGGGASSSS >ORUFI03G30880.1 pep chromosome:OR_W1943:3:25574274:25575508:1 gene:ORUFI03G30880 transcript:ORUFI03G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFFLVVGANGNLVDAVTKAPSVFAFALVQVTVHLGIVLAAGKLMGFERKPLLIASKRRRGRWRRPRRGVELADRTWDPGGHGASVDEAGGVEEGVKRSSAKRTPAPSQVPIDDGGEVDGDWGDRVAALPVVPLSSKAAAAPPEYEMSSGSSPVCSRLTSSDPAGELEAVELPSSLEVLQERLDFVLLLGLSTNDLSSYCSSSPAPSARTPPSPSTSPPLIDLHGGRTRWRRLLLALRRCPTPAAPAPYSASRRRPS >ORUFI03G30890.1 pep chromosome:OR_W1943:3:25581315:25603596:1 gene:ORUFI03G30890 transcript:ORUFI03G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVKFFSVFLAVSVVGWVVFTFASRLLAWFLSRVLHASVGFRVAGFNCLRDVTIKFSKGSLESISIGEIKLSFRKSLVKLSFGFISKDPKLQLLINDLEIVTRSSQGKKLSKPGKSRSTGKGKCGRCTCRGPKGYGRVPSGAVDIKELKVDTFKIAGPNHILGVKLHLVPLNVHYGDLGLTADPLGNCNQLDAFQSDQISLANSEKVVAPFVCEDLFVTCDFGHEKERGVKIVNLEVKCGVITANIDERLFHKKHTKPEGFSGSENGGDASLDASAMNQTSKSKSILPSLKKQILAFPDKISFSVPKLDVKFTHLGEGLSVDNNIMGIHFTSAKTVPQDDLEEATPHFDVQIDLSEIHLVREGSSSLLEVLKVAAGASLDIPVDPFLPIRAEIDAKLGGTQCNLMLSRLMPWMRLHYLKSKGMKISKENSHRGISQTKEIKLIMWTCTVSAPEMSVMLYNLNGLVLYHICSQSSHLYANNIASKGIQIHTELGELQVHMQDEYKEFLKGNVFGVDTYSGSLMHIARVSLDWGYRGPEIEDMVETSRLTLVFSIDISGICVKFGFKHLESVVLNLMTFRTLFKSLASSRGSSKEKNLEHREKRRKKGVEILKLSVQKLSITYCGDANVVNMPVADPKRVNYGSQGGQVVISVSADGTPRLASITSELPGRSRNLMFSASVAISHLSVCINKEKRSTEAELERVKAIYEEDLSSSVKVTLLDMQNAKIVRRSGGLPDVPACSLFRATDINLRWEPDAHLAILETFIRIKYFLHNNKPINAEVGDICENGPGSISTGPGKPQKSDKRGSIFAVDVEVLRVSAELADGVEANMHIQSIFTENIMIGVLSEGLCLSLNGARIMKSTRIQISCIPFGTSSLLDAKVESSSKRDWVVQGLDVHICMPYRLPLRAIEDAVEDMIRALKLISAAKKTMLFPDGKENPRKVKSGTTSFGSVKFVLRKLTAEIEEEPIQGWLDEHYHLMRNKVCELGVRLKFLEEAISGSVDPNNCSSKEKLLYDGIEVDMHDTAALQKLRDEIHKQAFQSYYTACQKMVHAEGSGACAEGFQAGFKPSSRRASLLSLSASELDVTLTRIDGGEVAMVEFIKGLDPVCQEKDIPFSRLYGSDIAVLAGSLVIQLRDYTSPLFSATSGQCQGRVILAQQATCFQPQIQQNVYVGRWHKVMMLRSASGTTPAIKMYSNLPIYFQRGEISFGVGYEPSFADISYAFQIALRRVNLSTRVKDSGPTNQPPKKERSLPWWDDMRYYIHGKIVLYFNETTWKFLATTNPYEKVDRLQIVSEYMEIQQTDGHVDVSAKEFKMYISSLASMMKNCTLKVPPGVPRPFIYAPFFSLNVVIDWQCESGNPLNHYLHALPIEGEPRKKVYDPFRSTYLSLRWNFSLKPLQVQYDNDALSPSYGNSSMQCGAISDNHSKLANVEFPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWPRYKIPRAARSGNLSLDKVLVEFFFRVDATPCCIRHATLTEDDATLTEDDPANGLTFKMSRLNRGKQKYTFDCKRESLDLVYRGLDLYKPEVYIMRDINLSSAETVSNLKTNTQLGKVIHNKGNMGNFQDKHEDGFLLSCDYFTIRRQSRKADPARLMEWQDAGRNLEITYVRSEFENGSESDHTLSEPSDDDDGFNVVLADNCQRIFVYGLRLLWTIENRDAVWSWVGGISKAFEPPKPSPSRQYVQRKMIEQRQTTEGSKLTQDATSSVHVGSPSGQHVEALGSTSPLHSKANLSYDIAGKHGLFDDSDKGGNLQFMVNVIKPQFNLHSEEANGRFLLAAASGRVLARSFHSVVHVGKEMLEQALGASSIQIPELQPEMTWQRADYSVLLEDVQAHVAPTDVDPGAGLQWLPRILGSSEKLKRTGALLERVFMPCEMYFRYTRHKGGTADLKVKPLKELIFNSPNITATMTSRQFQVMLDVLTNLLFARLPKPRKNSLQYSSDDEDVEEEADEVVPDGVEEVELAKISLEQKERERKLLLDDIRSLMGTGNNHTSNFLSVERDDCLWMINSGKSLLVERLKRDLENLKKSRKSASSTLRKALQKAAQLRLMEKEKNKTPSCAKRISMKISKVVWSMIADGNTFAEAEISEMVFDFDRDYKDIGVGRFTTKCFEVRNCISNAKCATLLSAWNTPPEKGVMLRVDLRQGAPKDGNSPVDLFELFQVEIHPLKIYLSETMYRMMWDYFFPEEDDSQRRQEVWRVSTSTGARRARRISTGADAVASTSYSVREHELPGRSGINVSTSTNVSSWQGSDNSQVSKLQSLKSNVVCGSHPELRRTSSFEMTLEESAVDSITNNNVVSLVNSNVSSRDTNNFMADNSVAAAEMFRSRTKDSKPTKSVRLSQDEKKVGKSHDEKRTRARKLIEFHDIKISQVELIVTYEGSRLAISDLRLLMDTFHREDFTGTWRRLFSRVKKFKAHNRETHDGAVPDHDLNLSDSDGDHHGKPDQFPVSWLKRPGEGAGDGFVTSIRGLFNSQRRKAKAFVLRTVRGDGDNECHDEWSDSDGEFPFARQLTITKKLLRRHTRKLRPRGQKNTGLTLQDSLPSSPRETTPYQSDSDSSSESPYEDFHE >ORUFI03G30890.2 pep chromosome:OR_W1943:3:25581315:25603596:1 gene:ORUFI03G30890 transcript:ORUFI03G30890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVKFFSVFLAVSVVGWVVFTFASRLLAWFLSRVLHASVGFRVAGFNCLRDVTIKFSKGSLESISIGEIKLSFRKSLVKLSFGFISKDPKLQLLINDLEIVTRSSQGKKLSKPGKSRSTGKGKWLVTSSMARLLSISVTDLLIKVPSGAVDIKELKVDTFKIAGPNHILGVKLHLVPLNVHYGDLGLTADPLGNCNQLDAFQSDQISLANSEKVVAPFVCEDLFVTCDFGHEKERGVKIVNLEVKCGVITANIDERLFHKKHTKPEGFSGSENGGDASLDASAMNQTSKSKSILPSLKKQILAFPDKISFSVPKLDVKFTHLGEGLSVDNNIMGIHFTSAKTVPQDDLEEATPHFDVQIDLSEIHLVREGSSSLLEVLKVAAGASLDIPVDPFLPIRAEIDAKLGGTQCNLMLSRLMPWMRLHYLKSKGMKISKENSHRGISQTKEIKLIMWTCTVSAPEMSVMLYNLNGLVLYHICSQSSHLYANNIASKGIQIHTELGELQVHMQDEYKEFLKGNVFGVDTYSGSLMHIARVSLDWGYRGPEIEDMVETSRLTLVFSIDISGICVKFGFKHLESVVLNLMTFRTLFKSLASSRGSSKEKNLEHREKRRKKGVEILKLSVQKLSITYCGDANVVNMPVADPKRVNYGSQGGQVVISVSADGTPRLASITSELPGRSRNLMFSASVAISHLSVCINKEKRSTEAELERVKAIYEEDLSSSVKVTLLDMQNAKIVRRSGGLPDVPACSLFRATDINLRWEPDAHLAILETFIRIKYFLHNNKPINAEVGDICENGPGSISTGPGKPQKSDKRGSIFAVDVEVLRVSAELADGVEANMHIQSIFTENIMIGVLSEGLCLSLNGARIMKSTRIQISCIPFGTSSLLDAKVESSSKRDWVVQGLDVHICMPYRLPLRAIEDAVEDMIRALKLISAAKKTMLFPDGKENPRKVKSGTTSFGSVKFVLRKLTAEIEEEPIQGWLDEHYHLMRNKVCELGVRLKFLEEAISGSVDPNNCSSKEKLLYDGIEVDMHDTAALQKLRDEIHKQAFQSYYTACQKMVHAEGSGACAEGFQAGFKPSSRRASLLSLSASELDVTLTRIDGGEVAMVEFIKGLDPVCQEKDIPFSRLYGSDIAVLAGSLVIQLRDYTSPLFSATSGQCQGRVILAQQATCFQPQIQQNVYVGRWHKVMMLRSASALRRVNLSTRVKDSGPTNQPPKKERSLPWWDDMRYYIHGKIVLYFNETTWKFLATTNPYEKVDRLQIVSEYMEIQQTDGHVDVSAKEFKMYISSLASMMKNCTLKVPPGVPRPFIYAPFFSLNVVIDWQCESGNPLNHYLHALPIEGEPRKKVYDPFRSTYLSLRWNFSLKPLQVQYDNDALSPSYGNSSMQCGAISDNHSKLANVEFPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWPRYKIPRAARSGNLSLDKVLVEFFFRVDATPCCIRHATLTEDDATLTEDDPANGLTFKMSRLNRGKQKYTFDCKRESLDLVYRGLDLYKPEVYIMRDINLSSAETVSNLKTNTQLGKVIHNKGNMGNFQDKHEDGFLLSCDYFTIRRQSRKADPARLMEWQDAGRNLEITYVRSEFENGSESDHTLSEPSDDDDGFNVVLADNCQRIFVYGLRLLWTIENRDAVWSWVGGISKAFEPPKPSPSRQYVQRKMIEQRQTTEGSKLTQDATSSVHVGSPSGQHVEALGSTSPLHSKANLSYDIAGKHGLFDDSDKGGNLQFMVNVIKPQFNLHSEEANGRFLLAAASGRVLARSFHSVVHVGKEMLEQALGASSIQIPELQPEMTWQRADYSVLLEDVQAHVAPTDVDPGAGLQWLPRILGSSEKLKRTGALLERVFMPCEMYFRYTRHKGGTADLKVKPLKELIFNSPNITATMTSRQFQVMLDVLTNLLFARLPKPRKNSLQYSSDDEDVEEEADEVVPDGVEEVELAKISLEQKERERKLLLDDIRSLMGTGNNHTSNFLSVERDDCLWMINSGKSLLVERLKRDLENLKKSRKSASSTLRKALQKAAQLRLMEKEKNKTPSCAKRISMKISKVVWSMIADGNTFAEAEISEMVFDFDRDYKDIGVGRFTTKCFEVRNCISNAKCATLLSAWNTPPEKGVMLRVDLRQGAPKDGNSPVDLFELFQVEIHPLKIYLSETMYRMMWDYFFPEEDDSQRRQEVWRVSTSTGARRARRISTGADAVASTSYSVREHELPGRSGINVSTSTNVSSWQGSDNSQVSKLQSLKSNVVCGSHPELRRTSSFEMTLEESAVDSITNNNVVSLVNSNVSSRDTNNFMADNSVAAAEMFRSRTKDSKPTKSVRLSQDEKKVGKSHDEKRTRARKLIEFHDIKISQVELIVTYEGSRLAISDLRLLMDTFHREDFTGTWRRLFSRVKKFKAHNRETHDGAVPDHDLNLSDSDGDHHGKPDQFPVSWLKRPGEGAGDGFVTSIRGLFNSQRRKAKAFVLRTVRGDGDNECHDEWSDSDGEFPFARQLTITKKLLRRHTRKLRPRGQKNTGLTLQDSLPSSPRETTPYQSDSDSSSESPYEDFHE >ORUFI03G30890.3 pep chromosome:OR_W1943:3:25581315:25603596:1 gene:ORUFI03G30890 transcript:ORUFI03G30890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVKFFSVFLAVSVVGWVVFTFASRLLAWFLSRVLHASVGFRVAGFNCLRDVTIKFSKGSLESISIGEIKLSFRKSLVKLSFGFISKDPKLQLLINDLEIVTRSSQGKKLSKPGKSRSTGKGKWLVTSSMARLLSISVTDLLIKVPSGAVDIKELKVDTFKIAGPNHILGVKLHLVPLNVHYGDLGLTADPLGNCNQLDAFQSDQISLANSEKVVAPFVCEDLFVTCDFGHEKERGVKIVNLEVKCGVITANIDERLFHKKHTKPEGFSGSENGGDASLDASAMNQTSKSKSILPSLKKQILAFPDKISFSVPKLDVKFTHLGEGLSVDNNIMGIHFTSAKTVPQDDLEEATPHFDVQIDLSEIHLVREGSSSLLEVLKVAAGASLDIPVDPFLPIRAEIDAKLGGTQCNLMLSRLMPWMRLHYLKSKGMKISKENSHRGISQTKEIKLIMWTCTVSAPEMSVMLYNLNGLVLYHICSQSSHLYANNIASKGIQIHTELGELQVHMQDEYKEFLKGNVFGVDTYSGSLMHIARVSLDWGYRGPEIEDMVETSRLTLVFSIDISGICVKFGFKHLESVVLNLMTFRTLFKSLASSRGSSKEKNLEHREKRRKKGVEILKLSVQKLSITYCGDANVVNMPVADPKRVNYGSQGGQVVISVSADGTPRLASITSELPGRSRNLMFSASVAISHLSVCINKEKRSTEAELERVKAIYEEDLSSSVKVTLLDMQNAKIVRRSGGLPDVPACSLFRATDINLRWEPDAHLAILETFIRIKYFLHNNKPINAEVGDICENGPGSISTGPGKPQKSDKRGSIFAVDVEVLRVSAELADGVEANMHIQSIFTENIMIGVLSEGLCLSLNGARIMKSTRIQISCIPFGTSSLLDAKVESSSKRDWVVQGLDVHICMPYRLPLRAIEDAVEDMIRALKLISAAKKTMLFPDGKENPRKVKSGTTSFGSVKFVLRKLTAEIEEEPIQGWLDEHYHLMRNKVCELGVRLKFLEEAISGSVDPNNCSSKEKLLYDGIEVDMHDTAALQKLRDEIHKQAFQSYYTACQKMVHAEGSGACAEGFQAGFKPSSRRASLLSLSASELDVTLTRIDGGEVAMVEFIKGLDPVCQEKDIPFSRLYGSDIAVLAGSLVIQLRDYTSPLFSATSGQCQGRVILAQQATCFQPQIQQNVYVGRWHKVMMLRSASGTTPAIKMYSNLPIYFQRGEISFGVGYEPSFADISYAFQIALRRVNLSTRVKDSGPTNQPPKKERSLPWWDDMRYYIHGKIVLYFNETTWKFLATTNPYEKVDRLQIVSEYMEIQQTDGHVDVSAKEFKMYISSLASMMKNCTLKVPPGVPRPFIYAPFFSLNVVIDWQCESGNPLNHYLHALPIEGEPRKKVYDPFRSTYLSLRWNFSLKPLQVQYDNDALSPSYGNSSMQCGAISDNHSKLANVEFPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWPRYKIPRAARSGNLSLDKVLVEFFFRVDATPCCIRHATLTEDDATLTEDDPANGLTFKMSRLNRGKQKYTFDCKRESLDLVYRGLDLYKPEVYIMRDINLSSAETVSNLKTNTQLGKVIHNKGNMGNFQDKHEDGFLLSCDYFTIRRQSRKADPARLMEWQDAGRNLEITYVRSEFENGSESDHTLSEPSDDDDGFNVVLADNCQRIFVYGLRLLWTIENRDAVWSWVGGISKAFEPPKPSPSRQYVQRKMIEQRQTTEGSKLTQDATSSVHVGSPSGQHVEALGSTSPLHSKANLSYDIAGKHGLFDDSDKGGNLQFMVNVIKPQFNLHSEEANGRFLLAAASGRVLARSFHSVVHVGKEMLEQALGASSIQIPELQPEMTWQRADYSVLLEDVQAHVAPTDVDPGAGLQWLPRILGSSEKLKRTGALLERVFMPCEMYFRYTRHKGGTADLKVKPLKELIFNSPNITATMTSRQFQVMLDVLTNLLFARLPKPRKNSLQYSSDDEDVEEEADEVVPDGVEEVELAKISLEQKERERKLLLDDIRSLMGTGNNHTSNFLSVERDDCLWMINSGKSLLVERLKRDLENLKKSRKSASSTLRKALQKAAQLRLMEKEKNKTPSCAKRISMKISKVVWSMIADGNTFAEAEISEMVFDFDRDYKDIGVGRFTTKCFEVRNCISNAKCATLLSAWNTPPEKGVMLRVDLRQGAPKDGNSPVDLFELFQVEIHPLKIYLSETMYRMMWDYFFPEEDDSQRRQEVWRVSTSTGARRARRISTGADAVASTSYSVREHELPGRSGINVSTSTNVSSWQGSDNSQVSKLQSLKSNVVCGSHPELRRTSSFEMTLEESAVDSITNNNVVSLVNSNVSSRDTNNFMADNSVAAAEMFRSRTKDSKPTKSVRLSQDEKKVGKSHDEKRTRARKLIEFHDIKISQVELIVTYEGSRLAISDLRLLMDTFHREDFTGTWRRLFSRVKKFKAHNRETHDGAVPDHDLNLSDSDGDHHGKPDQFPVSWLKRPGEGAGDGFVTSIRGLFNSQRRKAKAFVLRTVRGDGDNECHDEWSDSDGEFPFARQLTITKKLLRRHTRKLRPRGQKNTGLTLQDSLPSSPRETTPYQSDSDSSSESPYEDFHE >ORUFI03G30900.1 pep chromosome:OR_W1943:3:25604357:25608974:-1 gene:ORUFI03G30900 transcript:ORUFI03G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPSRKVLSKIACNRLQKELAEWQVNPPSGFKHKVTDNLQRWVIEVAGAAGTLYAGETYQLQVDFPEHYPMEAPQVIFLHPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPADNDRYVRNCRNGRSPKETRWWFHDDKV >ORUFI03G30910.1 pep chromosome:OR_W1943:3:25612723:25618758:1 gene:ORUFI03G30910 transcript:ORUFI03G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSVILATASYDHSIKFWEAKSGRCYRTLQHTESHINRLEITPDKRFLAAAGNPHIRLFDINSNSNHPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTATCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLEKTLVASSDTTARLWTMSTGEAIRVYTSHHKPVVCCALHDGAESAPS >ORUFI03G30910.2 pep chromosome:OR_W1943:3:25613091:25618758:1 gene:ORUFI03G30910 transcript:ORUFI03G30910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSVILATASYDHSIKFWEAKSGRCYRTLQHTESHINRLEITPDKRFLAAAGNPHIRLFDINSNSNHPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTATCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLEKTLVASSDTTARLWTMSTGEAIRVYTSHHKPVVCCALHDGAESAPS >ORUFI03G30910.3 pep chromosome:OR_W1943:3:25612738:25618758:1 gene:ORUFI03G30910 transcript:ORUFI03G30910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSVILATASYDHSIKFWEAKSGRCYRTLQHTESHINRLEITPDKRFLAAAGNPHIRLFDINSNSNHPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTATCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLEKTLVASSDTTARLWTMSTGEAIRVYTSHHKPVVCCALHDGAESAPS >ORUFI03G30920.1 pep chromosome:OR_W1943:3:25628552:25632410:-1 gene:ORUFI03G30920 transcript:ORUFI03G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEGRIFVGGLSFHTDERKLADAFRRFGKVVDAQIMLERHTQRHRGFGFVTFSDPEAVDSAIKEMHCQELDGRTISVNKAEPKMNTDDTRYESGGGRGEYRGGRGDGPPPGNCFECGRAGHWARDCPNPGGGRSARYSSSKFSAGGRGDRFSGSDRFGDRYMDDRYDGGYREPVDVRDRYGGGRDRYANDRYPSGGDRYVPDRYGGPDRYQPSSYGRERERSYERDGVRGNGGYDRSGPRGGGSYDRDGPRGGISGGYDRDGPRGGGVDRYGGGGPARYDGGSYRDRSGPYDRPSRGGRFDDRFQ >ORUFI03G30930.1 pep chromosome:OR_W1943:3:25633266:25633812:-1 gene:ORUFI03G30930 transcript:ORUFI03G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRWRAPSHLRDGRSGGRGGGGGGVGDERGGEEWLRGAGAAATAAGDLHAPVPAAHVGSLERRLAARSEILHTKCHFLDVRTSRRLKALRCREVSIDGSLLGLSQFDSLAKSKAGTTGSADTARIAEGLKSLCASMDLAVFFTFMVARRKEVDALRAELPDALKHCVNPARR >ORUFI03G30940.1 pep chromosome:OR_W1943:3:25635674:25639147:-1 gene:ORUFI03G30940 transcript:ORUFI03G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGVSGPGSGDGVAAAARFGAHVVRGRWFMFFASILIMAAAGGTYIFGIYSKAIKTSLGYDQQTLNTLSFFKDVGANVGVLPGLINEVTPPSVVLAAGAAMNLAGYLMIYLAVSGRTPRPPVWLMCLYIAVGANSQSFANTGALVTAVKNFPEDRGVVLGLLKGFVGLSGAIFTQLYRAIYGADDDGASLVLLMAWLPAAISLLFIPTIRIMPRDAAAAGADARRRRERKAFFYFLYASIVLAVYLLVMNVVELEVVGFPKPAYYVTATVLLLLIFFPLVIVVKQELNTYLQPPPPPTTTSSTVDEKKEHDGGGGEDDKPVACMQDVFRPPARGEDYTILQALFSVDMAVLFVATICGIGGTLTAIDNMGQIGQSLGYPQRSISTFVSLVSIWNYAGRVAAGFGSEYVLAAYKLPRPLALTAVLLLATAGHLLIALGVGNGLYAASVILGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGAVASPVGSYILNVRVTGHLYDREAERQLAAAGGGAAARRGSRDLTCAGVRCFRVSFLIIAAVTLLGAAVSLLLAWRTRKFYRGDLYGKFREVAMAGGEEGGARQVKVDDEASGSSGGGGNGTTKRDNSLNLPEWSERPKCGCRDRYQVATSVAEEIFGRRYFVYPNIEVANSRRYKFTLWINMVNPTYDSGRVTEAETQIEYM >ORUFI03G30950.1 pep chromosome:OR_W1943:3:25647018:25654583:-1 gene:ORUFI03G30950 transcript:ORUFI03G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKMVVSGGAPPAGQGSSLAVAQGTDNVKREPSQVAAPAPAPPPATLPPSSSKAVTFPARPDVGTIGRRCRVRANHVLVQVADKDFHHYDRMLLSASFLSLATRDVVGFSIIQVVITPESTSRERNRSIINKLVALHKQFLDGRLPVYDGRKSIYTAGPLPFKTKDFVVKHINPLRGNQREEEYKVTIKQASKIDLYSLKQFLVGRQRELPQDTIQALDIALRECPTSKYVSISRSFFSQSFGHGGEIGSGTECWRGYYQSLRPTQMGLSLNIDISATAFYKAQPVMDFAVQYLNIRDVSRRLSDQDRIKLKKALKGVQIVATHWKEKSIRYKITGIPSAPMNELMFDLDGNRISVVQYFKKQYNYSLKHVNWPCLQAGSDSRPKYLPMEVCSILEGQRYSKKLNEHQVTNILRMTCERPAQRESSIIEIVNTNSYGNDDCAKEFGIKVANQLAVVDARVLPTPRLKYHDSGREKVCNPSVGQWNMINKRMVNGGCINHWTCLSFASRMHVNDIRMFCEDLVGMCNNIGMQMNTRPCVDIIQGQQRNIEGAIRNIHRQSSEKLDQQGLTGQQLQLLIVILPEISGSYGRIKRICETEVGVITQCCAPKSLQKGGKQYLENLALKMNVKVGGRNTVLEDALHKKIPILTDRPTIVFGADVTHPSPGEDASPSIAAVVASMDWPEVTKYKCLVSTQSHREEIISNLYTEVKDPLKGIIRGGMIRELLRSFYQETGQKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLQEGYLPPVTFVVVQKRHHTRLFPENRRDMMDRSGNILPGTVVDTMICHPSEFDFYLCSHSGIKGTSRPTHYHVLLDENGFKADTLQTLTYNLCYTYARCTRAVSIVPPAYYAHLGAFRARYYMEDEHSDQGSSSSVTTRTDRSTKPLPEIKENVKRFMFYC >ORUFI03G30950.2 pep chromosome:OR_W1943:3:25647018:25654583:-1 gene:ORUFI03G30950 transcript:ORUFI03G30950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKMVVSGGAPPAGQGSSLAVAQGTDNVKREPSQVAAPAPAPPPATLPPSSSKAVTFPARPDVGTIGRRCRVRANHVLVQVADKDFHHYDRMLLSASFLSLATRDVVGFSIIQVVITPESTSRERNRSIINKLVALHKQFLDGRLPVYDGRKSIYTAGPLPFKTKDFVVKHINPLRGNQREEEYKVTIKQASKIDLYSLKQFLVGRQRELPQDTIQALDIALRECPTSKYVSISRSFFSQSFGHGGEIGSGTECWRGYYQSLRPTQMGLSLNIDISATAFYKAQPVMDFAVQYLNIRDVSRRLSDQDRIKLKKALKGVQIVATHWKEKSIRYKITGIPSAPMNELMFDLDGNRISVVQYFKKQYNYSLKHVNWPCLQAGSDSRPKYLPMEVCSILEGQRYSKKLNEHQVTNILRMTCERPAQRESSIIEIKTFYLYSQIVNTNSYGNDDCAKEFGIKVANQLAVVDARVLPTPRLKYHDSGREKVCNPSVGQWNMINKRMVNGGCINHWTCLSFASRMHVNDIRMFCEDLVGMCNNIGMQMNTRPCVDIIQGQQRNIEGAIRNIHRQSSEKLDQQGLTGQQLQLLIVILPEISGSYGRIKRICETEVGVITQCCAPKSLQKGGKQYLENLALKMNVKVGGRNTVLEDALHKKIPILTDRPTIVFGADVTHPSPGEDASPSIAAVVASMDWPEVTKYKCLVSTQSHREEIISNLYTEVKDPLKGIIRGGMIRELLRSFYQETGQKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLQEGYLPPVTFVVVQKRHHTRLFPENRRDMMDRSGNILPGTVVDTMICHPSEFDFYLCSHSGIKGTSRPTHYHVLLDENGFKADTLQTLTYNLCYTYARCTRAVSIVPPAYYAHLGAFRARYYMEDEHSDQGSSSSVTTRTDRSTKPLPEIKENVKRFMFYC >ORUFI03G30960.1 pep chromosome:OR_W1943:3:25654655:25675246:-1 gene:ORUFI03G30960 transcript:ORUFI03G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGGVGGRRGGPGGASSVRGGERGRKRGRGALDAVEPRVPLPRGTGSGPGAGRDGAAAPVPALQPAEADVLSGEVETEMAAGMEAREGASSSSSASAPAVGEGEPPSRAVGALPPTSSKAVVLQARPGFGTVGTSCRVRANHFVVQLADKEIYHYDVAIAPKLRSRERNRNIINELFRSHKKYLDGRRSPAYDGRKGMFTAGELPFKNREFVVKIANDPERGNQGEKEFKVTIKCAAKLDMYSLKQFLAGRQRELPQDTIQALDIALRECPSSRGTYQLDLAELKLSAAVATWAADQLNLAELKLSAVAATWADVGTLVDLSSLPLLLPPAFSLSLIKMGQGYQLSSTSIANTAGSDSRPTYLPMEVCRIVKGQRYSRKLNECQVTRMLRLARETPEERENSILEIANENNYGNDYHAREFGIGVTNQLALVDARVLPAPMLKYHDSGQEKVCNPSIGQWNMNNKRMLNGGSINYWACLTFASCVRLAEVRTFCKELQITGEPCVHIRQACQDHLDTAVRDIHRQSAEFISQKGVIGQQLELLVIVLPDANDTVFYEFHVEISPLVFLQKKKNVQNFREQYLRNLALKINVKVGGRNTVLEDALNRRIPLLTDMPTMIFGADVTHPPAGEDSSPSIAAVKESTCTQTRTLKNTMLLHRWIGQKCQNTNARFLRKAIGKRSFTEVKDSQNRLVYGGMIRELIESFRKANGSCKPGRIIFYRDGVSEGQFSQVLLSEMDAIRKACASIEEGYLPPVTFVVVQKRHHTRLFPEDHHARDQMDRSRNILPGTVVDTKICHPSEFDFYLCSHSGIQGTSHPTHYYVLFDENNFSADALQTLTYNLCYTARHYLEEGSLPDHGSSSASAAGGSRRNDRGVPVKPLPEIKENAAAVGEAAVVGGKEAEVEVAGGVARVGAISASSASGKAVVEGRVSAEAVTTRRAAVAASLWAPGLGGSSSSHSTPRPRHLAAADEAACRCSPMRRRVDP >ORUFI03G30960.2 pep chromosome:OR_W1943:3:25654655:25675246:-1 gene:ORUFI03G30960 transcript:ORUFI03G30960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGGVGGRRGGPGGASSVRGGERGRKRGRGALDAVEPRVPLPRGTGSGPGAGRDGAAAPVPALQPAEADVLSGEVETEMAAGMEAREGASSSSSASAPAVGEGEPPSRAVGALPPTSSKAVVLQARPGFGTVGTSCRVRANHFVVQLADKEIYHYDVAIAPKLRSRERNRNIINELFRSHKKYLDGRRSPAYDGRKGMFTAGELPFKNREFVVKIANDPERGNQGEKEFKVTIKCAAKLDMYSLKQFLAGRQRELPQDTIQALDIALRECPSSRFDQDGTRVSVVQYFNRQYSYSLKYINWPCLQAGSDSRPTYLPMEVCRIVKGQRYSRKLNECQVTRMLRLARETPEERENSILEIANENNYGNDYHAREFGIGVTNQLALVDARVLPAPMLKYHDSGQEKVCNPSIGQWNMNNKRMLNGGSINYWACLTFASCVRLAEVRTFCKELVRVCNSIGMQITGEPCVHIRQACQDHLDTAVRDIHRQSAEFISQKGVIGQQLELLVGGRNTVLEDALNRRIPLLTDMPTMIFGADVTHPPAGEDSSPSIAAHVHANKNIEEHNVNLEKTTQTRTYRPLIGKRLNWNLHATISCFQYCPLLKFTDTSNELLHRWIGQKCQNTNARFLRKAIGKRSFTEVKDSQNRLVYGGMIRELIESFRKANGSCKPGRIIFYRDGVSEGQFSQVLLSEMDAIRKACASIEEGYLPPVTFVVVQKRHHTRLFPEDHHARDQMDRSRNILPGTVVDTKICHPSEFDFYLCSHSGIQGTSHPTHYYVLFDENNFSADALQTLTYNLCYTARHYLEEGSLPDHGSSSASAAGGSRRNDRGVPVKPLPEIKENAAAVGEAAVVGGKEAEVEVAGGVARVGAISASSASGKAVVEGRVSAEAVTTRRAAVAASLWAPGLGGSSSSHSTPRPRHLAAADEAACRCSPMRRRVDP >ORUFI03G30970.1 pep chromosome:OR_W1943:3:25678710:25688474:1 gene:ORUFI03G30970 transcript:ORUFI03G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPSDSSILASPPVESVARMESTGRRRCPLLLRPPPLPSSPLPLHENLAQCHEKGRENAHLLRSQAPSPPQLPSPLPCCHRRSLSAVSNMARGPSDSSILASPPVESVARMESIGCRRCPLLLRPPPLPSSPLPLHENLAQCHEKGRESVGLARTTMKTAVAPRQ >ORUFI03G30980.1 pep chromosome:OR_W1943:3:25689580:25693440:-1 gene:ORUFI03G30980 transcript:ORUFI03G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPTHAPRAVAYASRRLAASLHIASCHDGGFDTDRRRGSGTTTCTRARPGDASASGAAIPLPAKKVRRSAAHLGLGCRRGRAGGGPRLARSPARGHKLPLPQVKHQRRSKLPFCAGAEDSVGQGLARAIPRSRREYPHPRWRTTRLHRRRPLAA >ORUFI03G30990.1 pep chromosome:OR_W1943:3:25703681:25704283:-1 gene:ORUFI03G30990 transcript:ORUFI03G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWCDGAGRWEAALGGGRLRGEAAGKQREVARGGRRRTRWEAVVDDLDDDPDDDLDDGAPDDDLNDGAPDDDDGGLGSGAEVRRWRRLGTGAAEAGGAAWGGGREVAGKGGRRREAWGGGRRTRWEAALDDLDDDPDDDLDDNDGGPDDPDDDDLNNGDLDDDDGDGDDDDGAPGDDDDGGLGTGHWGRRVGKTRGAHD >ORUFI03G31000.1 pep chromosome:OR_W1943:3:25709465:25710853:1 gene:ORUFI03G31000 transcript:ORUFI03G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVESSRIVKPLYDAAAPAPEWMPLSVFDTATYDESIAIIYAFRPPNPPSAAMELGLARTLAVYREWAGRLGVGPDGRRSVLLSDAGARLDEAAVDAPLAAAAPFIIRRRPSPEVKRLHPSVDGAPAEEELLRVQVTRFSCGSMVLGVAAHHRVADGQATAGFLVAWGLATRRGGLLPAVGVPVRDRATRFVPRDPPLVEFPHRETEYKAPPPPAKIKSGVAGEDDDDDELGAAPAHDKIKMHKVHYTKDFVARLKSRASSGLPPSRRGRGYTTFESLVAHLWRAVTAARGLGAAATTTRVRIAVNGRARMRPPVPRDYFGNLVLWAFPRCDAGELVARPSHHAAELIHRAVAGIDDAYFRSFVDFASSGAVEAEGLVPTADAGEVVVCPDMEVDSWLGMSFYDLDFGGGCPLYFMPSYLAMEGTIFLVPSFLGDGSIDVYVPLFENHLEEFKKICYNIA >ORUFI03G31010.1 pep chromosome:OR_W1943:3:25720623:25724899:-1 gene:ORUFI03G31010 transcript:ORUFI03G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLPLLVAASLFSPAAALLAGGEHGVVVVEPRQVEIMFDLATQSWYHELYRPLKAALTDDGAGPELMGHAVVDLLDDDQPPSKQITVRLFASGGGGDEAKLLVAEDDAYVAGFANRTGHWHTFRGGRCHHPVIIPAGGAAPCTELPFGGTYRELIGGVANLRAVPLGRASAESAMRVLSRYDPATTPAADAKMVVAKFMVMVTEPMRLKAVSRAVGGRWEEESYLSSDEAKYVPYWGEISAMLVEWNSTGRWGELGPRSKMDRARGHWHALRGNEHLFRVAAATPLPFGSSYGDLVGGVKNLPDLPLEEDPATVVISAYDPAAAAADDDDEVELKRALATLTVVICETQRLRPVMDTVLATGGGRRGAARVAAEHLPYIEHWDAMWDELKRWRRTGEWGGGPFAGELRERAKIGSAKEALAVIGWTFRHILLRRDGSMPERRTEDVPRYGTFV >ORUFI03G31020.1 pep chromosome:OR_W1943:3:25725903:25726897:-1 gene:ORUFI03G31020 transcript:ORUFI03G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRAEAGGCSAASLQSAALPPPLAWERMRARMAASSLSSPLSIITRGGPLLLAGLACSSAASSLCLVHDTSASTDDDYFLSDDVHDSAFLIQSSSSSVARQGSRGRENAEKERGEKSMVMGPTIPRKSVDKISQNLFREASRFRLNERRGQLREKY >ORUFI03G31030.1 pep chromosome:OR_W1943:3:25730475:25731236:1 gene:ORUFI03G31030 transcript:ORUFI03G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFFVLLIPLILLAGGGQVAVESAATSRGKLIMVDLLEYGSGAGTLAMRVDTIHAAGFANRSGHWHALRGNGHLFDALGLAAARLPFGNTYADLVGGVANLRGLPISMPFTNRAATVLSGYDPATAAAGGDGEAALKRALATLTVAIGEAQRLRPVMDTLLFGGLGARVADEHLPYIEHWDAMWEELTRWRRSGGGAWGGPFTGVLRERANIGSAEDALAVIGVAFRDHLLRGATMPDLSPRSMGYSDGDL >ORUFI03G31040.1 pep chromosome:OR_W1943:3:25732162:25733371:-1 gene:ORUFI03G31040 transcript:ORUFI03G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVPIPRVDLPLEHDGDCHGRGYCAERALASRHCVQLSDGKFRCVDMGSASDGVTTKVPMHTQIDPGTKVWTLEYAVSFADIWASESYKATGMSEKAPVLALVHPKNPNMVYFFVEVTSLRTTDFYGLYADTEKGR >ORUFI03G31050.1 pep chromosome:OR_W1943:3:25733844:25748588:1 gene:ORUFI03G31050 transcript:ORUFI03G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDFHLAEGFTVAQMTLYGYLVRCHRQPTDFYGLYESESRPPPPATTLPDSPSAAASDQRHRRRRRAMLAESDLGENVVELLQRYRRDRHVLLNYMLSGNLIKKVVMPPGAISLDDVDIDQVSVDYVLNCAKKGEALDLGDAIRLFHDSLDYPYVNNSGTVEEFFLLTKPEYSGPAPAREPPPVPAIAPSPVVIPAPIVDPPPVAVHSPVSTTNLSKSQSFDSPTEKELTIDDIEDFEDEEDEFDSRRASRRHQSDANDLSLRLPLFETGITDDDLRETAYEILVAAAGASGGLIVPQKEKKKEKRNKLMRKLGRSKSESTQSQTQRQPGLVGLLETMRAQLEITESMDIRTRQGLLNAMVGKVGKRMDNLLIPLELLCCISRAEFSDMKAYLRWQKRQLNMLEEGLINHPVVGFGELGRKVNELRNLFRKIEESESLQPSAVEVQRTECLRSLREVATSLSERPARGDLTGEVCHWADGYHLNVALYEKMLGSEQGLLKVVIEHLRKIPLKEQRGPQERLHLKSLRSSVDAEDSFQDFTFFQSFLSPVQKWVDKKLNDYHLHFSEGPSMMADIVTVAMLIRRILGEENNKGMESPDRDQIDRYITSSVKSAFVKMAHSVEAKADTSHEHVLASLAEETKKLLKKDTTVFSSVLSKWHPQSAVVSASLLHKLYGSKLKPFLEHAEHLTEDVVSVFPAADALEQYIMSVMASVVGDDGLDSICRQKLAPYQIESKSGTLILRWVNGQLERIETWVKRAAEQETWDPISPQQRHGASIVEVYRIIEETADQFFAFKVPMRTGELNSLCRGFDKAFQVYTQLVTGPIVDREDLIPPVPVLTRYKKELGIKAFVKKEIHEVRTVDERKASEIIQLTMPKLCVRLNSLYYGISQLSKLEDSINERWARRKSESINIRRSMSEKSKSAVSSQKNQFDGSRKEINAAIDRICEFTGLKVIFWDLQQPFIDNLYKNNVSQARLDAIMEVLDTVLNQLCNVIVEQLRDRVVTGLLQASLDGLLRVILDGGPTRVFSPSDATLLEEDLEILKEFFISGGDGLPRGTVENLVSRVRPVIDLIKQETRVLIDDLREVTQGAKSKFGTDSKTLLRVLCHRNDSEASHYVKKQFKIPSSAPAT >ORUFI03G31050.2 pep chromosome:OR_W1943:3:25734999:25748588:1 gene:ORUFI03G31050 transcript:ORUFI03G31050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRPPACSDSRGRARIAWRAMLAESDLGENVVELLQRYRRDRHVLLNYMLSGNLIKKVVMPPGAISLDDVDIDQVSVDYVLNCAKKGEALDLGDAIRLFHDSLDYPYVNNSGTVEEFFLLTKPEYSGPAPAREPPPVPAIAPSPVVIPAPIVDPPPVAVHSPVSTTNLSKSQSFDSPTEKELTIDDIEDFEDEEDEFDSRRASRRHQSDANDLSLRLPLFETGITDDDLRETAYEILVAAAGASGGLIVPQKEKKKEKRNKLMRKLGRSKSESTQSQTQRQPGLVGLLETMRAQLEITESMDIRTRQGLLNAMVGKVGKRMDNLLIPLELLCCISRAEFSDMKAYLRWQKRQLNMLEEGLINHPVVGFGELGRKVNELRNLFRKIEESESLQPSAVEVQRTECLRSLREVATSLSERPARGDLTGEVCHWADGYHLNVALYEKMLGSEQGLLKVVIEHLRKIPLKEQRGPQERLHLKSLRSSVDAEDSFQDFTFFQSFLSPVQKWVDKKLNDYHLHFSEGPSMMADIVTVAMLIRRILGEENNKGMESPDRDQIDRYITSSVKSAFVKMAHSVEAKADTSHEHVLASLAEETKKLLKKDTTVFSSVLSKWHPQSAVVSASLLHKLYGSKLKPFLEHAEHLTEDVVSVFPAADALEQYIMSVMASVVGDDGLDSICRQKLAPYQIESKSGTLILRWVNGQLERIETWVKRAAEQETWDPISPQQRHGASIVEVYRIIEETADQFFAFKVPMRTGELNSLCRGFDKAFQVYTQLVTGPIVDREDLIPPVPVLTRYKKELGIKAFVKKEIHEVRTVDERKASEIIQLTMPKLCVRLNSLYYGISQLSKLEDSINERWARRKSESINIRRSMSEKSKSAVSSQKNQFDGSRKEINAAIDRICEFTGLKVIFWDLQQPFIDNLYKNNVSQARLDAIMEVLDTVLNQLCNVIVEQLRDRVVTGLLQASLDGLLRVILDGGPTRVFSPSDATLLEEDLEILKEFFISGGDGLPRGTVENLVSRVRPVIDLIKQETRVLIDDLREVTQGAKSKFGTDSKTLLRVLCHRNDSEASHYVKKQFKIPSSAPAT >ORUFI03G31060.1 pep chromosome:OR_W1943:3:25749447:25753758:1 gene:ORUFI03G31060 transcript:ORUFI03G31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMAAAAAVLVSLLLVAAAAGQQAALVPGVMIFGDSVVDAGNNNRLATLVRADFPPYGRDFPETHGAPTGRFCNGKLATDYTVDNLGLTSYPPPYLGQLAQSDNRSLLHGANFASGASGYLDTTASLYGAISLSRQLGYFKEYKTKVEAVAGGKKAAALTSESIYVVSAGTSDFVQNYYVNPMLAATYTPDQFSDVLMQPFTTFIEGLYGQGARRIGVTSLPPMGCLPASVTLFGGGSGGGCVERLNNDSRTFNAKLEAASDSIRKQHSDLKLVSRRACCGTGTIETSVLCNQGAVGTCANATGYVFWDGFHPTDAANKVLADALLLQGLQLIS >ORUFI03G31070.1 pep chromosome:OR_W1943:3:25754980:25765941:-1 gene:ORUFI03G31070 transcript:ORUFI03G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPPASHRQVSLRGSSAREITRDALLQKVSEERQLRSHLRRAAAAALSIQRVWRRYSVIRIVSEQLHEEWEALINQPDINLTKQWISSMMLRPFLFFITDTAMRLAVSLTDCKTWKKITSEDTRAADASVESLIEFIGASQSGTYSCLRRYIVNLGSHALEKKISSISTDDQFLITASAVTIALRPFHSMRAGRGADLNGASKEYFTLILTIPDLCKRLPPLLLPAIKHISILQPSLDILLISKDKIFEEITKLEKSGVSSGGSGTIPYCGWALGNLVTLATEHDDLSNSGCFVQGLDCCLYVDAINCVSQSLLKFFEENKEMLLSFGDSVDTSFIKENDTSDSCSRTLFMDLLKPIYQQWHLRKLLVLAKEDAVCKRQNNHDPDTQTHSRSLKLLDIVCFYYHMLRIFSLLSPSIGSLPILNMLSFTPGFLVDLWGALEIYIFGQAVHKLQGPKHERESATSSSGEHVSSMRQRRNFKDTSNKWSNVFQKITGKSNDAEDTNLVDNPLNSEQNGEALILWDIEAMRQGSECIGKDLMQMLYLFCATYGHLLLVLDDIEFYEKQVPFTLEQQRKIASSLNTFVYSTFIQNGGSSSKPLIDVTVRCLNLLYERDSRHKFCPISLWLAPARNGRIPIAAAARAHDAAFATLPGNQFFGIPIRSSVLTTIPHVYPFEERVQMFREFIELDKASRRVTGEVSGPGPGSIEIVIRRGHIVEDGYRQLNCLGSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDLSKAAFSPEYGLFSQASASDSSLIPSNSAKLLDNGIDMIEFLGRVVGKALYEGILLDYCFSPKLLGRYSFLDELSTLDSELYRSLMQLKHYEGDVEDLCLDFTLTEELGGRRIVHELRPGGKNISVTNENKLHYVHAIADYKLNRQILPFANAFYRGLGDLISPSWLSLFNANEFNQLLSGGLQDFDVDDLRNNTKYTGGYTESSRSVKLFWEVIKGFKPTERCMLLKFVTSCSRAPLLGFKYLQPSFTIHKVPCDVTLWATIGGQDVDRLPSASTCYNTLKESGFQNSELVSIESYLS >ORUFI03G31070.2 pep chromosome:OR_W1943:3:25754980:25762673:-1 gene:ORUFI03G31070 transcript:ORUFI03G31070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQITDTAMRLAVSLTDCKTWKKITSEDTRAADASVESLIEFIGASQSGTYSCLRRYIVNLGSHALEKKISSISTDDQFLITASAVTIALRPFHSMRAGRGADLNGASKEYFTLILTIPDLCKRLPPLLLPAIKHISILQPSLDILLISKDKIFEEITKLEKSGVSSGGSGTIPYCGWALGNLVTLATEHDDLSNSGCFVQGLDCCLYVDAINCVSQSLLKFFEENKEMLLSFGDSVDTSFIKENDTSDSCSRTLFMDLLKPIYQQWHLRKLLVLAKEDAVCKRQNNHDPDTQTHSRSLKLLDIVCFYYHMLRIFSLLSPSIGSLPILNMLSFTPGFLVDLWGALEIYIFGQAVHKLQGPKHERESATSSSGEHVSSMRQRRNFKDTSNKWSNVFQKITGKSNDAEDTNLVDNPLNSEQNGEALILWDIEAMRQGSECIGKDLMQMLYLFCATYGHLLLVLDDIEFYEKQVPFTLEQQRKIASSLNTFVYSTFIQNGGSSSKPLIDVTVRCLNLLYERDSRHKFCPISLWLAPARNGRIPIAAAARAHDAAFATLPGNQFFGIPIRSSVLTTIPHVYPFEERVQMFREFIELDKASRRVTGEVSGPGPGSIEIVIRRGHIVEDGYRQLNCLGSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDLSKAAFSPEYGLFSQASASDSSLIPSNSAKLLDNGIDMIEFLGRVVGKALYEGILLDYCFSPKLLGRYSFLDELSTLDSELYRSLMQLKHYEGDVEDLCLDFTLTEELGGRRIVHELRPGGKNISVTNENKLHYVHAIADYKLNRQILPFANAFYRGLGDLISPSWLSLFNANEFNQLLSGGLQDFDVDDLRNNTKYTGGYTESSRSVKLFWEVIKGFKPTERCMLLKFVTSCSRAPLLGFKYLQPSFTIHKVPCDVTLWATIGGQDVDRLPSASTCYNTLKESGFQNSELVSIESYLS >ORUFI03G31070.3 pep chromosome:OR_W1943:3:25763441:25765941:-1 gene:ORUFI03G31070 transcript:ORUFI03G31070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPPASHRQVSLRGSSAREITRDALLQKVSEERQLRSHLRRAAAAALSIQRVWRRYSVIRIVSEQLHEEWEALINQPDINLTKQWISSMMLRPFLFFVTQPSSCL >ORUFI03G31080.1 pep chromosome:OR_W1943:3:25767603:25771496:-1 gene:ORUFI03G31080 transcript:ORUFI03G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAAAAAAAAQDADAVMRDAPADAAAGGGDNDDDDGDDGTEEDEEEDDDEEGDEEELPPAEDPAAPEPVSALLPGSPNQLTLLFQGEVYVFESVTPEKVQAVLLLLGRSEMPPGLANMVLPNQRENRGYDDLLQRTDIPAKRVASLIRFREKRKERNFDKKIRYAVRKEVALRMQRRKGQFAGRANMEGESLSPGCELASQGSGQDFLSRESKCQNCGTSEKMTPAMRRGPAGPRTLCNACGLMWANKGTLRNCPKAKVESSVVATEQSNAAVSPSGIDNKELVVPNPENITASHGEVMGDSTPANEAEIGAPKAQSQ >ORUFI03G31090.1 pep chromosome:OR_W1943:3:25774190:25779875:-1 gene:ORUFI03G31090 transcript:ORUFI03G31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRPSVIYQKARPGYKPEHFLLASGVERTAPASAHEAGKKPQTRVADAVAGTLAFASPTTKPPPMAPGSSSTSSPGGKAAAVGGEAGKEGGAVPSPAAAASDHAPVAPDGSPGGGALPAGGEAGKEDEGVASTGSRTPLAPGSSSGEGALGECGEARNDDEAPAPAPRLIASPTAAAAAAATTDYPHEGGEAEQEGGNASSPCEEQEEDDDDDEEEEAPTHLPFAPSSESELPDDKSTVDPSFTISLIRKLVPQGPDVDKELSVKQGRTEEKDASSDVGEPKQPHDKDLWDNEGCKLWDLSVIEPQAELMVNNLVLEVLLANLHVRQFLRAKEICIGIIGNLACHKSLANAITSHNGLIATVVDQLFLDDPGCLTETFRLLSTIFQSNASMSWAEALLPDEILSRIMWIIGNTVHATLLQKILEFLSALVDDQDVITILIEPLIKVGLVDCAIGLLLNELEKSMDGNNLDRSDSLDSILRLIEELSAIDNCSKVMSSNDQLIKALSNIVKLPDKFEVEGYCASVVIIIANVLSDGEHLTPILSHDLPLLEGLFDILPLISDDNEARNAFWCILTRLLQQVEEGETITNSSKLEQFVSIFLAKFTLMKDDIERHGIQTEADSSVEGVSLKNGLRTSLKAICSITERWIADKSSLGKEDASLTENTIENAKELLTFCRRAMGIADL >ORUFI03G31100.1 pep chromosome:OR_W1943:3:25780574:25783827:1 gene:ORUFI03G31100 transcript:ORUFI03G31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGAQPVGGAAAAAAAPKRNNMDYTLAALKLFGCQLAGATEAPPSESDGTSQAQMLYGIRFQRVWLQGVVVLADYRDGAGHILVDDGSCVAEITLTPKEAEGQPWREGMYVMVLGSYSGKESLPRANRPVIKVHKLVDLSAQPDRESMWYMEVVEAFNFFYLQFSAASPLMKR >ORUFI03G31110.1 pep chromosome:OR_W1943:3:25785320:25792388:1 gene:ORUFI03G31110 transcript:ORUFI03G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSSPSYSSQAAVLLLLHQPPHQHGHGGACLRYRGSQSQGRGNAVATSLGLSAAGRGGAGGLLLLPPLPALRAAEGKDGRAVTKDEEEEAAAAAVEEEGEVEVRREEDKPGDDGSREAAARGSGSGRFSADYISLGIREPVYEVIEVKSNGRMSTKKISRRQLLKSSGLRLRDTRSVDPSLWLMNSMPSLLVREQAILVNLGSLRAIAMHERVLIFNYNSPGGKAFLDSLLPRLNPRNINGGPAMPFQLEVVEAALLSRIQRLERRLMRIEPRVGALLEVLPNRLTADVLEQLRLSKQALVELGSRAGDLKQMLIDLLDDPHEIRRICIMGRNCTLDKLSDNMECSVPLEKQIAEEEEEEIEMLLENYLQRCESIHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAIGALIAGIFGMNLKSYLETNAWAFWATTGGIVVGAVAGFFIMYSYLKTRKIL >ORUFI03G31120.1 pep chromosome:OR_W1943:3:25795253:25800618:1 gene:ORUFI03G31120 transcript:ORUFI03G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRPAATAAAHRRGLIQRPPSAQAYLSAAAALLVLAAVAFSRAGHRFPHPPATRRCRPDAEGSWSAGVFLGDSPFSLEPIEHWGISKADGAAWPVANPVVTCAEVEDAGFPSSFVAKPFLFLQGDAIYMFFETKNPITSQGDIAAAVSEDAGVTWQQLGVVLDEEWHLSYPYVFTYKNKVYMMPESSKNGDIRLYRALDFPLKWELEKVLLEKPLVDSVIINFQGSYWLLGTDLSSYGAKRNREISIWYSNSPLSPWIPHKQNLIHNTGKMLSTRNGGRPFIYNGNLYRVGKGQGGGSGHGIQVFKVEILKSNEYKEVEVPFVINKQLKGRNAWNGARSHHLDVQQLPSGKPWIGVMDGDRVPSGDSVHRLTIGYMIYGVVLILVLVTGGLIGTINCSLPLRWSLPHTEKRSGLFNVEQRFFLYHKLSSLISNLNKLGSLICGRINYRTCKGRVYVVVVMLILVVLTCVGTHYIYGGNGAEEPYPIKGKYSQFTLLTMTYDARLWNLKMFVEHYSNCASVRDIVVVWNKGQPPAQGELKSVVPVRIRVEDRNSLNNRFNIDSEIKTKAVMELDDDIMMTCDDLERGFKVWREHPDRIIGYYPRLSEGSPLEYRNERYARQQGGYNMVLTGAAFMDHGLAFKKYWSKEAEVGRQIVDSFFNCEDILLNFLFANASLTSTVEYVKPAWAIDMSKFSGVAISRNTQAHYHVRSKCLAKFSEIYGNLTAKRFFNSRERKPSPR >ORUFI03G31120.2 pep chromosome:OR_W1943:3:25795253:25799974:1 gene:ORUFI03G31120 transcript:ORUFI03G31120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRPAATAAAHRRGLIQRPPSAQAYLSAAAALLVLAAVAFSRAGHRFPHPPATRRCRPDAEGSWSAGVFLGDSPFSLEPIEHWGISKADGAAWPVANPVVTCAEVEDAGFPSSFVAKPFLFLQGDAIYMFFETKNPITSQGDIAAAVSEDAGVTWQQLGVVLDEEWHLSYPYVFTYKNKVYMMPESSKNGDIRLYRALDFPLKWELEKVLLEKPLVDSVIINFQGSYWLLGTDLSSYGAKRNREISIWYSNSPLSPWIPHKQNLIHNTGKMLSTRNGGRPFIYNGNLYRVGKGQGGGSGHGIQVFKVEILKSNEYKEVEVPFVINKQLKGRNAWNGARSHHLDVQQLPSGKPWIGVMDGDRVPSGDSVHRLTIGYMIYGVVLILVLVTGGLIGTINCSLPLRWSLPHTEKRSGLFNVEQRFFLYHKLSSLISNLNKLGSLICGRINYRTCKGRVYVVVVMLILVVLTCVGTHYIYGGNGAEEPYPIKGKYSQFTLLTMTYDARLWNLKMFVEHYSNCASVRDIVVVWNKGQPPAQGELKSVVPVRIRVEDRNSLNNRFNIDSEIKTKAVMELDDDIMMTCDDLERGFKVWREHPDRIIGYYPRLSEGSPLEYRNERYARQQGGYNMVLTGAAFMDHGLAFKKYWSKEAEVGRQIVDSFFNCEDILLNFLFANASLTSTVEYVKPAWAIDMSKFSGVAISRNTQAHYHVRSKCLAKFSEIYGNLTAKRFFNSRGDGWDV >ORUFI03G31130.1 pep chromosome:OR_W1943:3:25797399:25800846:-1 gene:ORUFI03G31130 transcript:ORUFI03G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQRPGMLGIGCSNVLARARVQQCLAAASHSNMPCLPVLLHVNGSKKQGSSFLSSQRIGLSRRRRRRDLSGVVVAEVSAAAGVTPASSPGGISVSDVLWPSAGAFLAMAVLGRMDQMMAYKGVSLTIAPLGAVCAVLFTAPGSPAAKKYNMFVAQIGCAAFGVLALSLFGPGWLARGAALSASIAFMTITGASHPPARGGDLPEEEFQVLIQARVPILNSDPNRND >ORUFI03G31140.1 pep chromosome:OR_W1943:3:25800952:25801929:1 gene:ORUFI03G31140 transcript:ORUFI03G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLGGREGKRRRLSSSAPWRVADVDAWNKNKGEAWIRCCSLAASCSSLSGHSRWYQLLSHAVHVVSGDRLHGRHESKRNLIIFWCEDHHWPQCWQVLEMVYLPQVLTTSPTAPKKPPRRPSVR >ORUFI03G31140.2 pep chromosome:OR_W1943:3:25800952:25801929:1 gene:ORUFI03G31140 transcript:ORUFI03G31140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLGGREGKRRRLSSSAPWRVADVDAWNKNKGEAWIRCCSLAASCSSLSGHSRWYQLLSHAVHVVSGDRLHGRHESKRNLIIFWCEDHHWPQCWQVLEMVYLPQVLTTSPTGKKEKNLSIL >ORUFI03G31140.3 pep chromosome:OR_W1943:3:25800952:25801327:1 gene:ORUFI03G31140 transcript:ORUFI03G31140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLGGREGKRRRLSSSAPWRVADVDAWNKNKSYAGWITGERHGSVAAPWQLLAHRYLATADGTSCFHMLFMWSVVTGYTGGMNPSEI >ORUFI03G31150.1 pep chromosome:OR_W1943:3:25806016:25809426:1 gene:ORUFI03G31150 transcript:ORUFI03G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLTQPRASPRPKPTNCLLARWSSHTAPAAARVRPSSLPLIRSAPQPRPDSPLVISPFRSLAPLPTGHHRARDKQPSCSGCQAIAMAPCPAATTTRIGAPPFAATTARRPARGATTTTKARASGLRQVEGPVSERAYSSSSPAPTHKVTVHDRQRGVVHEFVVPQDQYILHTAEAQDITLPFACRHGCCTSCAVRIKSGQIRQPEALGISAELKDKVYWLQFGRYFARGPVERDDYALELAMGYTVVQFCVEPT >ORUFI03G31160.1 pep chromosome:OR_W1943:3:25810592:25816246:1 gene:ORUFI03G31160 transcript:ORUFI03G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARAPPPPAMPSAVSSPPQRESILRPYCVPWTRGSVATAAVAKAAPFRGEQNHKQVASVANPLVKHCVRLRLSSAYRRSCRRILLVGLIPILEMCSFEFSTIETLLLLDGIELPEELYGFSGNVVYVSAAVMKKVSGMQSVDSTEAIAIIHMPKYFRDLDSDQGGAVLDEWLGSAKRILVLDGIQDPGNLGTLIRSACAFRWDGVFLLPACCDPFNEKALRAARGASLQLPVVSGNWSDLHALMAKSDMKMLAGHPESSSNGSERTHVLSKELADSLMSESVCLVLGSEGNGLSADTLQACELVNIPMQGTFESLNVSVAGGIFLFIRVQGIAMWLL >ORUFI03G31170.1 pep chromosome:OR_W1943:3:25830264:25835246:1 gene:ORUFI03G31170 transcript:ORUFI03G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGPLENAVVDAAAEAVAAKAAVGGVGVGGSYAVLQCGEDSEYVRKAYGGYFEVFRALLAEDGERWRVYRAVRGELPGEEEAAGIDGFVISGSCSDAHADDPWIVALVDLIRRQNAAGKRILGVCFGHQVLCRALGGKTSRSKKGWDIGVNCIHPTAAMARLFSPIKLPVHMPIIEFHQDEVWELPPQAEVLARSDMTGVEMFRLGDRAMGVQGHPEYSKDILMSIADRLLRNDLILDHQVDKAKASFDLRQPDKDLWKKVCRGFLKGRLQSSQQPQQQQHQKQQKAAQLVL >ORUFI03G31180.1 pep chromosome:OR_W1943:3:25836261:25836688:1 gene:ORUFI03G31180 transcript:ORUFI03G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRGKEEGRMGGGEGGVVAMPTMARQGGTGEKRGRERKREGGGKKRVGVAIASGGDASGTWPANLLSGLVGSRVYLGERHDHPNSVRAIVWSD >ORUFI03G31190.1 pep chromosome:OR_W1943:3:25840047:25843068:-1 gene:ORUFI03G31190 transcript:ORUFI03G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSARSRPAPRAAPVRNPPQPARQAPPPAPAQNGGGSILGGIGSTIAQGMAFGTGSAMAHRAVDAVMGPRTIQHETVVSEAAASATPMANATPSDSCSIHSKAFQDCINNYGSDISKCQFYLDMLNECRRGGASA >ORUFI03G31200.1 pep chromosome:OR_W1943:3:25843975:25847513:-1 gene:ORUFI03G31200 transcript:ORUFI03G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISGEVPAGEGSDGEEVFINEEDIIHEIPIDEEDLPDRDDDEDDDGMDEIFAVACSPTDASLVASGGKDDRGFLWKIGSAEDVLELAGHTDTVCTVAFSSDGNLLASGSFDGRINVWNTATRTLQGTLEGSGSGFEWLKWHPRGHLIIAGSEDCNLWMWNADHNAILNTFAGHSSTVTCGDFTPDGKLICTGSDDASLRIWDPRTAQSRHVVRGHGYHTDGLTCLSVTSDSQTIVSGSKDNSVCVVNINSGQVVGSLDGHTGSIECVGISPSYNWVATGSMDQKLIIWDLGRQSIRCTCNHDEGVTSLAWLGPSRFVASGCIDGMVRIWDSLSGECVRAFAGHGDVVQSLAVSADGNSIVSVSTDGSALIFDISMFK >ORUFI03G31210.1 pep chromosome:OR_W1943:3:25847986:25861224:1 gene:ORUFI03G31210 transcript:ORUFI03G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIAAINESDTGGQFLTLKNLASVFLQQGSAFYDNALRCYLQAVELDANDSVVWNHLGTLSCSMGNCMEKLLEVLIAICDEVACLSVAKLILRSWPSHHRALHVKKTIEDAEPVPFAPRGIDILEPKHAKLKFCNKRKSGDDETHHETVTKKSRQNAKLQLTEAKWMALLDGILSFLSSNNTKSNEDHGANTESQCDTKRSINGFAYNMMDVSLSTETLKTMESAGGNEHDLYHDGESVLSHDCRTAVKEKDTNSDREHPHERRSTRLERLRSRKSGKDEHESNGKDISHAITQFLDSFILKRTSIPEKIDCSGNGDASTPEALTYTPDREASDVKQFLSKISKNCGPLHIGYMLLEEIAQTNIPFQDYFVKFIELDKITRGWAQDRSAQCSLFLAELYYDQALCSGSPLASSELSNSSYHLCKVIQSVALELPFRTSDGAAKSTNLDLNMESHMEEVCSSDKTEKNASNMSRNSVNSVNSVSSNILCDETSECDSSSNTNCVFWIRFFWLSGCLSLSSDCKEKAYKEFNIALSLMRSSNEAKINREFVLLPHNKLVKLLTADRILREINLVKLESLLWHNDENINKITHTEFMELLPPLLLSTKDVYVGSAYGPPRESEKVISLELGALDVLISACENAKPMNIQVYLDSHRRKMQVLTVAAGMVGSVTTNEGKKSSDIEFMETMNRNRLESVVEAVKDVSRNASKAKAFVDQCDNPVDLIVAVHDLLAEYGLCCAGRDGEGEEGTFLKFTIKHLMALDVKLKSQLNPNGMEEDAAENDRAEDVTTDEASVCDNKHNSEDEEESELDEIQSSIDSALDQAFFCLYGLKINPDSCSEDDLAVHKNTSRGDYQTKEQCADVFQYVLPYAKALSKTGLVKLRRVLRAIRKHFPQPPYDLLVNNPLDNFLDGPDSCEKILSEIYETNGSKEAVLNVLFPGENGYEAFKKLSNASSEPYSEVYGNLYHYIAQVEDISASDKYTGFVLKKEGGEFVQQSANLFKYDLLYNPLRFESWQKLANLYDEEVDLLLNDGSKHISILDWRTNTTLIQRVEMGRRHSRRCLLMSLALAKTASDKAQMHEMLALVYYDSLQNVVPFYDQRATLPVKDSTWETFCRNSMKHFQKAFELKAEWLYAFYLGKLCEKLGHSPAEAFSYYNKAVVLNPTAVDPVYRMHASRMKLLYTQGKQNLDAIQVVADYTYKQSTKEDVLSMLQSINNVKNSPSDHNDKCVLDSTAENKFVDPDLLDKVWHILYDDCLCALGTCVEGELKHFHKARYKLAQGLYRRGEAGDLERAKEELSFCFKSTRSSFTVNMWEIDGSVRKGRRKNPNIGGSKKNLEVSLSESSRKFITCIRKYMILYLNLLEKNRDLWTLERAYTYLRTDKRFALCLGDIVPVGLGKYLQVLTSAIRNPEIRRVSGDASVENLLEKMFGVFMDHANLWADISTIPEVNSPELSESNLYSYIHQYIHLLESDVRLDVLEGLNEKIRKRFKTPKLSNSNFAKICKHAFLAWCRCILIKLASITPLPESMETTDQPAPLSSGLVLYIDLQPDELLISSPDGPAQFKGLDMNWFETFNRIKNIPIRQTSEDNMETAVTVMKSTYNFYRESSCGTFPSGINLYTVTPSQPPVEGLQQAPDAIENLDLSIPRKLLLWVYTLVHGRYSNISAVVKYCDEMKSRSKRGAPTSTATASQQTTVSPQVGSKEKSTHIDPSEAQEAAAPTPAPAAAIAPSQQEAGVAVASSPHEAQKTAAAAAASQLTRSSSSRAMESTGQDGGRGNDGTA >ORUFI03G31220.1 pep chromosome:OR_W1943:3:25861419:25865554:1 gene:ORUFI03G31220 transcript:ORUFI03G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVMEFAENLILRMMEDPGKRDAAQREHVYRMKERCERTKAAWSLPLRPYGFWTFDRFNSQLSWDPQISHAPGRRDPYDDMPFVRKCGRNKKPVSIQMTSLKILSLNYRALVICFLFG >ORUFI03G31230.1 pep chromosome:OR_W1943:3:25866630:25869458:-1 gene:ORUFI03G31230 transcript:ORUFI03G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAKGYNIMTTPKDVPKKMLNEEGQGDFVLLFAIDWPLVDISEFMYSTGKKKRIAGLVDGIRQGCVNNILLLPFKCMNISLRTLFPPIFTFLKYILYAGDDDGTIPIPRSNLNGQLDDIADRADGSDVSFSVGSETFHAHRAVLAARSPVFKMELLGSMAESTMPCVTLHNIDPATFKALLHFVYMDALPSPTEAVRIGEVEADVCTEAVGERVGGDGGDDSRLRAYAERYHCPELKSKCLSFLMAEINFKKVAATFILGGISR >ORUFI03G31230.2 pep chromosome:OR_W1943:3:25866630:25869176:-1 gene:ORUFI03G31230 transcript:ORUFI03G31230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKTKLEPKKMLNEEGQGDFVLLFAIDWPLVDISEFMYSTGKKKRIAGLVDGIRQGCVNNILLLPFKCMNISLRTLFPPIFTFLKYILYAGDDDGTIPIPRSNLNGQLDDIADRADGSDVSFSVGSETFHAHRAVLAARSPVFKMELLGSMAESTMPCVTLHNIDPATFKALLHFVYMDALPSPTEAVRIGEVEADVCTEAVGERVGGDGGDDSRLRAYAERYHCPELKSKCLSFLMAEINFKKVAATFILGGISR >ORUFI03G31230.3 pep chromosome:OR_W1943:3:25866630:25868783:-1 gene:ORUFI03G31230 transcript:ORUFI03G31230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEEGQGDFVLLFAIDWPLVDISEFMYSTGKKKRIAGLVDGIRQGCVNNILLLPFKCMNISLRTLFPPIFTFLKYILYAGDDDGTIPIPRSNLNGQLDDIADRADGSDVSFSVGSETFHAHRAVLAARSPVFKMELLGSMAESTMPCVTLHNIDPATFKALLHFVYMDALPSPTEAVRIGEVEADVCTEAVGERVGGDGGDDSRLRAYAERYHCPELKSKCLSFLMAEINFKKVAATFILGGISR >ORUFI03G31230.4 pep chromosome:OR_W1943:3:25866630:25868613:-1 gene:ORUFI03G31230 transcript:ORUFI03G31230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFKMLNEEGQGDFVLLFAIDWPLVDISEFMYSTGKKKRIAGLVDGIRQGCVNNILLLPFKCMNISLRTLFPPIFTFLKYILYAGDDDGTIPIPRSNLNGQLDDIADRADGSDVSFSVGSETFHAHRAVLAARSPVFKMELLGSMAESTMPCVTLHNIDPATFKALLHFVYMDALPSPTEAVRIGEVEADVCTEAVGERVGGDGGDDSRLRAYAERYHCPELKSKCLSFLMAEINFKKVAATFILGGISR >ORUFI03G31240.1 pep chromosome:OR_W1943:3:25870869:25878167:1 gene:ORUFI03G31240 transcript:ORUFI03G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKEFIDGKHCLAGDKDLEEVSNSVVIRRHYGNQRRVRREGSAGNKAATKGQEEAFSKS >ORUFI03G31250.1 pep chromosome:OR_W1943:3:25871714:25884470:-1 gene:ORUFI03G31250 transcript:ORUFI03G31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNWDRATWFGALDSSNLGTPVNIIVGSHVWVEDPILAWIDGEVVSIKDNEVHVQTSNGKKVKTNKSKVFPKDMEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAVNPFQRLPHLYDTHMMEQYKGADFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINTPERNYHCFYFLCAAPPEDTQRYKLADARSFHYLNQSSCIEVEGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAILHLGNINFAKGTEIDSSVIKDDKSRFHLNTAAELLKIVEKINVSIGQDPNSKQLIGVLDIYGFESFKINSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFKNNKRFAKPKLSRTDFTIVHYAGDVTYQADFFLDKNKDYVVAEHQDLLNASSCPFVAALFPALPEETAKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAIFENTNVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLAPEVLEGSNDDKIACQKILEKMGLENYQIGKTKVFLRAGQMADLDARRAEVLGRAARIIQRQISTYIARKQFVSLRRSATQLQSFVRGTLARKLYECMRREASAVKIQKNVRRHKARVSYLQLQEAAITLQTGLRAMSARKEFRFRKETKAAVHIQARWRCHRDYAHYKNLQGAALTYQCAWRQRLARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDLEEAKAQEIAKLQETLHDMQQQVEEAKAMIVKEREAARKAIEEAPPVIKETPVLVEDTEKINSLTAEVEQLKALLQTERQATETAKKEYAEAERRNEELIKKFEGAEKKIEQLQDTVQRLEEKATNMESENKVLRQQAVAISPTAKSLAAYPKSPFQLKTPENGVAPYGEVKPLPDITPISLNPKEPETEEKPQKSLNEKQQENQDMLIKCVSQDLGFSSGRPIAACLIYRCLLHWRSFEVERTGVFDRIIQTIGTAIEAQENNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSSAASFGRVFSGMRASPQSAGRPFLASRLMGGIGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNVLKANYVPSFLISKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIYATEEYAGSSWEELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHTVSSEVISSMRIMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMKEIEVTDVDMPPLIRENSGFTFLH >ORUFI03G31250.2 pep chromosome:OR_W1943:3:25871714:25884470:-1 gene:ORUFI03G31250 transcript:ORUFI03G31250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNWDRATWFGALDSSNLGTPVNIIVGSHVWVEDPILAWIDGEVVSIKDNEVHVQTSNGKKVKTNKSKVFPKDMEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAVNPFQRLPHLYDTHMMEQYKGADFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINTPERNYHCFYFLCAAPPEDTQRYKLADARSFHYLNQSSCIEVEGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAILHLGNINFAKGTEIDSSVIKDDKSRFHLNTAAELLKIVEKINVSIGQDPNSKQLIGVLDIYGFESFKINSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFKNNKRFAKPKLSRTDFTIVHYAGDVTYQADFFLDKNKDYVVAEHQDLLNASSCPFVAALFPALPEETAKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAIFENTNVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLAPEVLEGSNDDKIACQKILEKMGLENYQIGKTKVFLRAGQMADLDARRAEVLGRAARIIQRQISTYIARKQFVSLRRSATQLQSFVRGTLARKLYECMRREASAVKIQKNVRRHKARVSYLQLQEAAITLQTGLRAMSARKEFRFRKETKAAVHIQARWRCHRDYAHYKNLQGAALTYQCAWRQRLARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDLEEAKAQEIAKLQETLHDMQQQVEEAKAMIVKEREAARKAIEEAPPVIKETPVLVEDTEKINSLTAEVEQLKALLQTERQATETAKKEYAEAERRNEELIKKFEGAEKKIEQLQDTVQRLEEKATNMESENKVLRQQAVAISPTAKSLAAYPKSPFQLKTPENGVAPYGEVKPLPDITPISLNPKEPETEEKPQKSLNEKQQENQDMLIKCVSQDLGFSSGRPIAACLIYRCLLHWRSFEVERTGVFDRIIQTIGTAIELSLNSYFPKAQENNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSSAASFGRVFSGMRASPQSAGRPFLASRLMGGIGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNVLKANYVPSFLISKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIYATEEYAGSSWEELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHTVSSEVISSMRIMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMKEIEVTDVDMPPLIRENSGFTFLH >ORUFI03G31250.3 pep chromosome:OR_W1943:3:25871714:25884470:-1 gene:ORUFI03G31250 transcript:ORUFI03G31250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAVNPFQRLPHLYDTHMMEQYKGADFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINTPERNYHCFYFLCAAPPEDTQRYKLADARSFHYLNQSSCIEVEGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAILHLGNINFAKGTEIDSSVIKDDKSRFHLNTAAELLKIVEKINVSIGQDPNSKQLIGVLDIYGFESFKINSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFKNNKRFAKPKLSRTDFTIVHYAGDVTYQADFFLDKNKDYVVAEHQDLLNASSCPFVAALFPALPEETAKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAIFENTNVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLAPEVLEGSNDDKIACQKILEKMGLENYQIGKTKVFLRAGQMADLDARRAEVLGRAARIIQRQISTYIARKQFVSLRRSATQLQSFVRGTLARKLYECMRREASAVKIQKNVRRHKARVSYLQLQEAAITLQTGLRAMSARKEFRFRKETKAAVHIQARWRCHRDYAHYKNLQGAALTYQCAWRQRLARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDLEEAKAQEIAKLQETLHDMQQQVEEAKAMIVKEREAARKAIEEAPPVIKETPVLVEDTEKINSLTAEVEQLKALLQTERQATETAKKEYAEAERRNEELIKKFEGAEKKIEQLQDTVQRLEEKATNMESENKVLRQQAVAISPTAKSLAAYPKSPFQLKTPENGVAPYGEVKPLPDITPISLNPKEPETEEKPQKSLNEKQQENQDMLIKCVSQDLGFSSGRPIAACLIYRCLLHWRSFEVERTGVFDRIIQTIGTAIEAQENNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSSAASFGRVFSGMRASPQSAGRPFLASRLMGGIGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNVLKANYVPSFLISKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIYATEEYAGSSWEELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHTVSSEVISSMRIMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMKEIEVTDVDMPPLIRENSGFTFLH >ORUFI03G31250.4 pep chromosome:OR_W1943:3:25871714:25884470:-1 gene:ORUFI03G31250 transcript:ORUFI03G31250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAVNPFQRLPHLYDTHMMEQYKGADFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINTPERNYHCFYFLCAAPPEDTQRYKLADARSFHYLNQSSCIEVEGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAILHLGNINFAKGTEIDSSVIKDDKSRFHLNTAAELLKIVEKINVSIGQDPNSKQLIGVLDIYGFESFKINSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFKNNKRFAKPKLSRTDFTIVHYAGDVTYQADFFLDKNKDYVVAEHQDLLNASSCPFVAALFPALPEETAKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAIFENTNVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLAPEVLEGSNDDKIACQKILEKMGLENYQIGKTKVFLRAGQMADLDARRAEVLGRAARIIQRQISTYIARKQFVSLRRSATQLQSFVRGTLARKLYECMRREASAVKIQKNVRRHKARVSYLQLQEAAITLQTGLRAMSARKEFRFRKETKAAVHIQARWRCHRDYAHYKNLQGAALTYQCAWRQRLARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDLEEAKAQEIAKLQETLHDMQQQVEEAKAMIVKEREAARKAIEEAPPVIKETPVLVEDTEKINSLTAEVEQLKALLQTERQATETAKKEYAEAERRNEELIKKFEGAEKKIEQLQDTVQRLEEKATNMESENKVLRQQAVAISPTAKSLAAYPKSPFQLKTPENGVAPYGEVKPLPDITPISLNPKEPETEEKPQKSLNEKQQENQDMLIKCVSQDLGFSSGRPIAACLIYRCLLHWRSFEVERTGVFDRIIQTIGTAIELSLNSYFPKAQENNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSSAASFGRVFSGMRASPQSAGRPFLASRLMGGIGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNVLKANYVPSFLISKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIYATEEYAGSSWEELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHTVSSEVISSMRIMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMKEIEVTDVDMPPLIRENSGFTFLH >ORUFI03G31260.1 pep chromosome:OR_W1943:3:25897819:25900358:1 gene:ORUFI03G31260 transcript:ORUFI03G31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLASYAPPRRKRSDLERRRASSCLRRAARATRHFPPPAVSSGSRPPSPPKPRMEGRGAASASAPGKVLERPNAGLVLITTARFYAVVLPPRDQLTHDESWAWAWTNVKVTSPQLSREATYKLSPKKSTSSRESANPFVEQDSAILVTVADKEGKDALDKLCFCKVATIFYSYREQIEARGLPLTPDQKYCFRCLHSVQLHLIQKLLMELWNNDWSKPEVAKTGLGSSAAMTTSIVAALLHYLGAVSLLCLGELSSDSAAARDLDLLHAVLMQFPH >ORUFI03G31270.1 pep chromosome:OR_W1943:3:25903829:25910594:1 gene:ORUFI03G31270 transcript:ORUFI03G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSDKPGAFPTRAELVAAGRADLAAAVDACGGWLSLGWSSGGAEAGRASSSVGVHPDYPPEAGAAAAAGGASDLAQGAVWASSREAEASPSGRQPETEEEETETKFGTGLDGMLTRLQRERERVRPPLPRSSDGAGGERDNVALMGQSGAPSHSATGGRYTPKVPDNGNIHSYHPQNGALEHNKSSKSLTNDAWRTWSLDKGGFSDFQAAEIHSTNSRKSFRHDGLDILAQDDVHGPSNGVAVHDYDINDVDSERDDIHARLQNLELDLTAALHTLRSRFDKVISDMSEGDGAKAPNGLSDDWEFEETKVMQAQEELRSIRAKIAVLEGKMALEIIEKNKIIEEKQRRLDEAEKALSELRTVYIVWSNPASEVLLTGSFDGWTSQRRMERSERGTFSLNLRLYPGRYEIKFIVDGVWRNDPLRPLVSNNGHENNLLTVT >ORUFI03G31280.1 pep chromosome:OR_W1943:3:25909106:25913984:-1 gene:ORUFI03G31280 transcript:ORUFI03G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGGGEEEAGQKLKSMDVDKLENGGDKPALKYHGWRAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMRSVDAATLLNGLNGTTSLAPIIGAFLSDAYLGRYLALAIASVASLIGMFLLTMTAAADGLHPAECGVGETCSKATSGQFAVLFMSFAFLVLGSAGIRPCSMPFGADQFDPHTESGKRGINSFFNWYYFTFTSAMLVSATVIIYVQSNVSWPIGLGIPTALMLLACVLFFMGTRLYVRVTPEGSPFTSIVQVFAAAARKRSLKQPKDPKQDLFDPPHTSAIVTKLAHTDQFRCLDKAAIVSGPDDVRAGGAAPSNPWRLCSVQQVEEVKCLIRIVPVWSTGIIYYVAVVQQSTYVVLSALQSDRHLGRSFQIPAASFTVFAMLAQTLWIPIYDRLLVPRLRRVTGKDEGLTLLQRQGIGIALSTVAMVMSAVVEDRRRHIALTQPTLGTTITGGAISAMSSLWMVPQLMVLGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLVTIVHRTTGAGSNWLAQDLNKGRLDLFYWMIAGIGIFNIIYFMICAKWYRFKGAAAN >ORUFI03G31280.2 pep chromosome:OR_W1943:3:25912312:25913984:-1 gene:ORUFI03G31280 transcript:ORUFI03G31280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGGGEEEAGQKLKSMDVDKLENGGDKPALKYHGWRAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMRSVDAATLLNGLNGTTSLAPIIGAFLSDAYLGRYLALAIASVASLIE >ORUFI03G31280.3 pep chromosome:OR_W1943:3:25909106:25911089:-1 gene:ORUFI03G31280 transcript:ORUFI03G31280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAPLVLVGAVGQLLDSEGHFYWNVFPGMFLLTMTAAADGLHPAECGVGETCSKATSGQFAVLFMSFAFLVLGSAGIRPCSMPFGADQFDPHTESGKRGINSFFNWYYFTFTSAMLVSATVIIYVQSNVSWPIGLGIPTALMLLACVLFFMGTRLYVRVTPEGSPFTSIVQVFAAAARKRSLKQPKDPKQDLFDPPHTSAIVTKLAHTDQFRCLDKAAIVSGPDDVRAGGAAPSNPWRLCSVQQVEEVKCLIRIVPVWSTGIIYYVAVVQQSTYVVLSALQSDRHLGRSFQIPAASFTVFAMLAQTLWIPIYDRLLVPRLRRVTGKDEGLTLLQRQGIGIALSTVAMVMSAVVEDRRRHIALTQPTLGTTITGGAISAMSSLWMVPQLMVLGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLVTIVHRTTGAGSNWLAQDLNKGRLDLFYWMIAGIGIFNIIYFMICAKWYRFKGAAAN >ORUFI03G31290.1 pep chromosome:OR_W1943:3:25927440:25931182:-1 gene:ORUFI03G31290 transcript:ORUFI03G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHLALRSSVGSHSSALPPSYHHHRRLPPPQQQHPDPLNSVWIRRLHLLPHQPPPPPPPPPLPQPQHHHDAVSTDESRTPPPPPPPMGAPGFGPFRWSPRPLRGAPLAAWDAASPVRSGGGGGGGTGPPMLSPFFRLPAPSPSPPVTDFGEFSPTMPLFEVGSSSGSGGFPGPSSRMIPGGSSSPFAMGVAAAAYPSHAVDMVPIRTLQDIHDRQQSVIPRNFAMRSPSSGSQHDGFSYWNMGRFRRNTTTSLVSPTGVTPSSFGKKRNADSSNFLPLKFRKMSGAT >ORUFI03G31300.1 pep chromosome:OR_W1943:3:25935955:25936701:-1 gene:ORUFI03G31300 transcript:ORUFI03G31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSFFLLLLLLPAPLLLLPLAGNLPAAVLGEVRVERDLILVDLQDYGSGVGTLAVRPDVFSVAGFANRTGHWHALRGNDHLFRGDLVATPLPFGSSYGDLVGGVNNLLGLPLGSPFTSYATVVLSGYDGGGGGEAAAVKRALATLAVVICEGQRLHPILETILTRGRGARVAAEHLPYIEHWDAMWEELKRWRRTGEWGGGPFAGELRERASIGSAEEALAVVGWTFRQLLLGDGSIPAMCRAEL >ORUFI03G31310.1 pep chromosome:OR_W1943:3:25939497:25940231:-1 gene:ORUFI03G31310 transcript:ORUFI03G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFQAALLLLHLRFLLNLAGHRGEVLSVSAAQQQDPPGDDLVFLKLRSGDGDGTVLALHRHDISFAGFTNGSHHWHVFRGDEDAIPNARRLPFRNTYRDLIGGLHHVPGLPLGKAAAARAAGVLASYDPDAEEGTAAVKRAVAALSVMFTQALRLEPIRETVSSGWESGEARVAAEHLPYIEHWDTMSFEVLRWRRTGEWDGPFTEVLRRRAGIRSAGEALAIAKLLANRSFVQLLQDHSHSA >ORUFI03G31320.1 pep chromosome:OR_W1943:3:25943711:25944967:-1 gene:ORUFI03G31320 transcript:ORUFI03G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKCLELNLSRCPTVQHRPTPPDGGAPPKKVVRLWRSLPNPTHSSPVAQLHPGGRWWKRPRWRRTPAVSL >ORUFI03G31320.2 pep chromosome:OR_W1943:3:25940382:25943702:-1 gene:ORUFI03G31320 transcript:ORUFI03G31320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSREQRRWETRWMRYGAFGGAMEIDGIQVTVGLICLICFFSLSRALPATCSWDHHIAVDLSGHVELSPTSLRGKLSMVDLLDFGSGAGALAVRVDTMFVAGFANRSGHWHALRGSDHLFHRGDHHHQRARPLLLRPIARAVLEASRMGRAPAGEQARIADEHLPYIEHWDAMWHELGRWRRRGEWGGPFTGVLRERANIGSAEEALAVVGWTFRQSAKAARRRLGGGDV >ORUFI03G31330.1 pep chromosome:OR_W1943:3:25945049:25945776:-1 gene:ORUFI03G31330 transcript:ORUFI03G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFQAAFFLYFLLDLGGYRSDVLSVSDLEPPSDGLFVVGLTGGLDADELAAVALQGHDLSLAGFANRTRHWHAFRGREGLVPSAASVLPFGGDTYRDLIGGIQNLPGVPLGRDAMVRAARVLSSYDPAAFAAEGNEVEELGRALAAVTVMISEAARVKPINETRVGGEARVAAEHLPYIEHWDTMSFELLRLRRTGRWDGPFTELLRKDAGIGGAEEAGAVAGVLIDRDLEELQLAHGI >ORUFI03G31340.1 pep chromosome:OR_W1943:3:25949191:25950204:-1 gene:ORUFI03G31340 transcript:ORUFI03G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLSNATRIRMHATTTLSSPQLCTQFALDRVARSTTTIPLSKSKSSSHHPAAACRRRGRRKSSRSGLLLLLFFALAVYLLDLSAGRGGGRRLRGGGGSVAAAAARSAARQAGISGDDVFLIDLDGGEATLAAHRHDLSFAGFANRSGHWHVFRGDEGAIPNACRRRLPFRSTYRDLIGPSLPLGRAAAAEATEAIASYDADAAGEEEAAAAALRRGVAAPVGDARGSHMRLKPIGETRVERVAGERRGACVAAAEHLPYIEHWDTMSFEVLRWRRTGRWDGAVHRAAEEARRHPQRRRGARHCQRAGESHLGAADPGSLHHLMQNCNLSLFYCLLA >ORUFI03G31350.1 pep chromosome:OR_W1943:3:25952907:25958469:1 gene:ORUFI03G31350 transcript:ORUFI03G31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTGSSSSAPAAAAAAAAEATDGPTLSVVSKRLRALRKKHNRILQMEESLAGGRKLNKEQEEVLRSKPAVVALIDELERMRAPLAAALAEELSSRPAPSSSAAPPPPASSSAAGAADSSVVEDLLALIYFGTLFDLKPQTEFVATMVARAQERDCCITYDYVTEDAADLLHQSDLDKVSALAALAASRPAAAVGVSHRDALQSCAQHARLWLRRADEPIHPESSITYAAVRAKLDKIMASDYYTAQPEMPEMGAAVDLSAAVQESMVVSPEAPAVEESQAEGHKDEKEASEATEIYNDNQPNVADAQNVEDEAPVNPSEEFSAAEVEQEKFEADVEEQERNADQQFTSRRPYQNQRGGGGRGGGRRGYQNGGRGGRGGRGMGGGGYQNGRGGGGGGGYQNGRGGGEGGGYYYNEPGYYQQRGYSNRGRGGRSGGGNSYYNNQGGGSQGGGHAHPGRVELGANA >ORUFI03G31360.1 pep chromosome:OR_W1943:3:25962085:25967477:1 gene:ORUFI03G31360 transcript:ORUFI03G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCCVAPATTDEVGAPPRDHHHAAKKSPAPSATTTTATRQRHGQEPKPKPKPRARAKPNPYDWAPPRVLPARGGAAASAVRVLEGVVPHHPRLRVTDKYQLGRELGRGEFGVTHLATDRATRERLACKSIPKRRLRTAVDVADVRREVAIMASLPDHPALVRLRAAYEDADAVHLVMELCDGGELFDRIVARGRYTERAAAAAARTVAEVVRACHAHGVMHRDLKPENFLYAGKAEDAQLKAIDFGLSVFFRPGERFREIVGSPYYMAPEVLRRDYGPEVDIWSAGVILYILLCGVPPFWAETEQGVARAILRGAADFDREPWPRISRAAKSLVRQMLDVDPRRRPTAQQVLDHPWLHHAARAPNVPLGDVVRARLKQFSLMNRLKKKAMRVIAEHLSVEEVEVIKDMFALMDTDNNGRVTLQELKDGLTKVGSKLAEPEMELLMEAADVDGNGYLDYGEFVAVTIHLQRLSNDNHLRTAFLFFDKDGSGYIDRAELADALADDSGHADDAVLDHILREVDTDKDGRISYEEFVAMMKSGTDWRKASRQYSRERFKTLSNSLIKDGSLTMAR >ORUFI03G31360.2 pep chromosome:OR_W1943:3:25962085:25967477:1 gene:ORUFI03G31360 transcript:ORUFI03G31360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCCVAPATTDEVGAPPRDHHHAAKKSPAPSATTTTATRQRHGQEPKPKPKPRARAKPNPYDWAPPRVLPARGGAAASAVRVLEGVVPHHPRLRVTDKYQLGRELGRGEFGVTHLATDRATRERLACKSIPKRRLRTAVDVADVRREVAIMASLPDHPALVRLRAAYEDADAVHLVMELCDGGELFDRIVARGRYTERAAAAAARTVAEVVRACHAHGVMHRDLKPENFLYAGKAEDAQLKAIDFGLSVFFRPGERFREIVGSPYYMAPEVLRRDYGPEVDIWSAGVILYILLCGVPPFWAETEQGVARAILRGAADFDREPWPRISRAAKSLVRQMLDVDPRRRPTAQQVLDHPWLHHAARAPNVPLGDVVRARLKQFSLMNRLKKKAMRVRGVRALLLMSSPATASPFLCLTAQRCTQVIAEHLSVEEVEVIKDMFALMDTDNNGRVTLQELKDGLTKVGSKLAEPEMELLMEAADVDGNGYLDYGEFVAVTIHLQRLSNDNHLRTAFLFFDKDGSGYIDRAELADALADDSGHADDAVLDHILREVDTDKDGRISYEEFVAMMKSGTDWRKASRQYSRERFKTLSNSLIKDGSLTMAR >ORUFI03G31370.1 pep chromosome:OR_W1943:3:25968409:25969101:1 gene:ORUFI03G31370 transcript:ORUFI03G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFHAALLLLFFLLSAVAGRDHHLVVEKYGVSMKGADGDVVHRAPGRAQTRLLLRWLRQPKRPLARLPRRLPFRNTYRDLIGGLENIPGLPLRRAASLRVIGALSSYDAGATGEEAMRRGVATLSMVLTQALRLRPVGETVSSRWESGEARVAAEHLTYIEHWYTMSFEVLWWRRTGRWDSPFTELLRRRAGEALAVVRVIANKSFVQLLRAHSHGA >ORUFI03G31380.1 pep chromosome:OR_W1943:3:25981139:25998240:1 gene:ORUFI03G31380 transcript:ORUFI03G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGNGRADSITIGVCVMEKKVFCSPMEQILERLRAFGEFEIIIFGDKVILEDPIEIWPKCDCLIAFYSSGFPLKKAEAYAALRRPFLVNELEPQHLLHDRRKVYEHLEKYGIPVPNYALVNREYPYQELDYFIEQEDFVEVHGKRFMKPFVEKPVNGDDHRIMIYYPNSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMSRDVCSAFRQMVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSTIPPSLPWKSNEPVQPTEGLTRQGSGIIGTFGQSEELRSVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRQLVPPTRSGRESDSDAEDLEHIEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIRVPKRCGDGEEERPIEALMILKYGGVLTHAGRKQAEELGRFFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASIEMDEAKARLHEIIITNAKAKNTNEPVEFPWMVDGAGLPANASQLLPKMAKLTKEVTAQVKLLAEDEDEKLALTNSFSRYDQAKAFGKTTIDVARIAAGLPCGSESFLLIRFDITQIPDVYDSCKYDLLHNAHLNLEGLEELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAISVSDPKFTEDEATFLPTKESEYQQKLQTRNEDGRRSSSTSEKSLDQEDEDDRETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDECLQGEDSLVCQSALDRLHRTRELDYMSNIVLRMFENTEVPLEDEKRFRIEMTFSRGADLSPLEDKTSENTSLLQEHTLPIMGPERLQEVGSCLTLDKFEKMVRPFAMPPEDFPPAAAPQAFSGYFKGSGMLERLASLWPFHKGATNGK >ORUFI03G31380.2 pep chromosome:OR_W1943:3:25981558:25998240:1 gene:ORUFI03G31380 transcript:ORUFI03G31380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGNGRADSITIGVCVMEKKVGGILPPFGSRTLVFCSPMEQILERLRAFGEFEIIIFGDKVILEDPIEIWPKCDCLIAFYSSGFPLKKAEAYAALRRPFLVNELEPQHLLHDRRKVYEHLEKYGIPVPNYALVNREYPYQELDYFIEQEDFVEVHGKRFMKPFVEKPVNGDDHRIMIYYPNSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMSRDVCSAFRQMVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSTIPPSLPWKSNEPVQPTEGLTRQGSGIIGTFGQSEELRSVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRQLVPPTRSGRESDSDAEDLEHIEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIRVPKRCGDGEEERPIEALMILKYGGVLTHAGRKQAEELGRFFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASIEMDEAKARLHEIIITNAKAKNTNEPVEFPWMVDGAGLPANASQLLPKMAKLTKEVTAQVKLLAEDEDEKLALTNSFSRYDQAKAFGKTTIDVARIAAGLPCGSESFLLIRFDITQIPDVYDSCKYDLLHNAHLNLEGLEELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAISVSDPKFTEDEATFLPTKESEYQQKLQTRNEDGRRSSSTSEKSLDQEDEDDRETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDECLQGEDSLVCQSALDRLHRTRELDYMSNIVLRMFENTEVPLEDEKRFRIEMTFSRGADLSPLEDKTSENTSLLQEHTLPIMGPERLQEVGSCLTLDKFEKMVRPFAMPPEDFPPAAAPQAFSGYFKGSGMLERLASLWPFHKGATNGK >ORUFI03G31380.3 pep chromosome:OR_W1943:3:25981558:25998240:1 gene:ORUFI03G31380 transcript:ORUFI03G31380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGNGRADSITIGVCVMEKKVGGILPPFGSRTLVFCSPMEQILERLRAFGEFEIIIFGDKVILEDPIEMYVMTTQHLEKYGIPVPNYALVNREYPYQELDYFIEQEDFVEVHGKRFMKPFVEKPVNGDDHRIMIYYPNSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMSRDVCSAFRQMVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSTIPPSLPWKSNEPVQPTEGLTRQGSGIIGTFGQSEELRSVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRQLVPPTRSGRESDSDAEDLEHIEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIRVPKRCGDGEEERPIEALMILKYGGVLTHAGRKQAEELGRFFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASIEMDEAKARLHEIIITNAKAKNTNEPVEFPWMVDGAGLPANASQLLPKMAKLTKEVTAQVKLLAEDEDEKLALTNSFSRYDQAKAFGKTTIDVARIAAGLPCGSESFLLIRFDITQIPDVYDSCKYDLLHNAHLNLEGLEELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAISVSDPKFTEDEATFLPTKESEYQQKLQTRNEDGRRSSSTSEKSLDQEDEDDRETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDECLQGEDSLVCQSALDRLHRTRELDYMSNIVLRMFENTEVPLEDEKRFRIEMTFSRGADLSPLEDKTSENTSLLQEHTLPIMGPERLQEVGSCLTLDKFEKMVRPFAMPPEDFPPAAAPQAFSGYFKGSGMLERLASLWPFHKGATNGK >ORUFI03G31390.1 pep chromosome:OR_W1943:3:26004242:26011809:1 gene:ORUFI03G31390 transcript:ORUFI03G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKGGLDAVLKESVDLVLENIPIEEVFQNLKCCRQGLTSEEAQLRLQLFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGNCGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRNGSWTEEEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPATKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKARLRSLTSVHVLTAIGNFCICSIAVGMFVEIIVMYPIQHRPYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEIFERGVTQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMYRVSKGAPEQILNLAHNKTQIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKASPGGPWRFVALLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESVAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDLVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFFWAAYKTNFFPRIFHVESLEKTAQDDYQKLASAVYLQVSTISQALIFVTRSRSWSFIERPGFLLVFAFFVAQLIATLIAVYANWAFTSIKGIGWGWAGIVWLYNLVFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAHRTLHGLQPPDAKPFPEKTGYSELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIHQSYTV >ORUFI03G31400.1 pep chromosome:OR_W1943:3:26012220:26015833:-1 gene:ORUFI03G31400 transcript:ORUFI03G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLDAFASSLARILAETAKEEVEALLGVPGEISRLEATLGDLRAVLSDAERARDRDAAVDRWVRELRDAMYDADDILDECQAAAGGEAATPVAMAGCCCCFRGVRVPALSCFRDPVRAREIGKRVRALNRRLDGIERRSSRFGFVSQTRIISSSPSPCCSRRADSGDGRRTALGLIRSDVVGEKIAEDTRMLADILVSKTTDLDDAGGGCNLIPTIAVTGAGGIGKTTLARMVFGDATVQESFDARIWLFVGRDADEVTMLRSAIAHAAGAASCEGLAVAGDKALLERALQRAVTHRKVLLVMDDVWSDAAWNELLRVPLSHGAPGSRILVTTRNDGVAHRMKVRYLHRVDKLRRQDAWSLLKKQIVLNKSDEAELDELEDIGMQIVDRCDGLPLAIKMVGGLLLSKSRTRGAWMEVSRHSAWCKHEVNDEINKVVCLSYEELPSHLKQCFVYCSLFPRGEVIESRTIVRMWIAEGFVQDSTGSGLPEAVAAQYYKELVLRNLLDPSDGYYDQLGCTMHDVVRSFAQHVAKDEGLSINEMQKQTIGDALGTLKFRRLCISNKQVEWDALQRQVSLRTLILFRSIVTKHKNFLNNLSCLRVLHLEDANLIVLPDSICHLKHLRYLGLKGTYISALPNLIGNLRFLQHIDLCGCINVSELPESIVRLRKLRSLDIRHTMVSSVPRGFGKLENLVEMLGFPTDLDDSTHDWCSLEELGSLPNLSALHLEVLEKATLGQMAARSKLSSKQNLTQLELRCTSRISANGTVQGGISEEDCERIENVFEHLRPPPSIDRLTIAGYFGHRLPQWMATATAFRSLRRLVLEDYACCDRLPGGLGQLPYLDYLWIEHAPSIEHVSHDFILPPVGIAVDGNAPSTTTTTTKTEGAGIAFPKLKRLGFQGMLRWASWDWDEHVQAMPALESLTVENSKLNRLPPGLVYHTRALKALVLTNAVSLESVENLHSLVELYLADNPKLEMVVNCSSLTKIEILRCPELKAFDRLPAVRSIVWEDLDADTLPGYLQEAKVKRLHINCNLSLLNLISLQDASSEEWRSVRHVQQLKVFGFKPQSETSECYFLYTKEPYHVETNISKA >ORUFI03G31410.1 pep chromosome:OR_W1943:3:26030922:26037252:1 gene:ORUFI03G31410 transcript:ORUFI03G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALEAFAAKLANVLVGMAKKEVETLLGVPGAIAKLETTLADLSSILADADRRRIHDPGVERWVRELKDAMYDADDILDLFRAMEGGEDPGSPPRAAPAPSACWSALCRRSPAATRKIGRKIQELNRRVEEIAKRSSRFGFVSQVIHSSSALRLTDRPMCSCSSESSRNRKTGPSIIRSDVVGDKIDLHTRNLVDLLIGNKLADGRTRARSSGDVIAVAITGAGGIGKTTLARMVFNDAVLESHFDKKVWLSVNQEVNEVHLLHGVIAAFGGSYHGCAGDKALLEDTLKHAVRQKRFLLVMDDVWSDRVWSDLLRAPLGACAPGSRVLVTTRNDGVARGMRAQHLHRVEKLDLGDSWSLLKKQVVLNEGDESEIDGLEDIGLKIVERCDGLPLAIKVVGGLLLNKGKTRDAWVNVSNHFAWSMTRSNDDINKAVYLSYEELPPHLKQCFVFCSLFPKDELIIRGVIVRMWIAQGYGHDIMRSTLPEDLGVEYYNELVSRNLLEPYKRSYDLSASTMHDVIRSFAQQIVKDEGLLVNDRQDVHGIAGASKLRHLSVSKTAIERVAIQKQVSLRTLLLFGRCITELTYFRNNISCLRVLHLQGVDLVDLPDYICHLKHLRYLGLANTGISAIPRGIGNLKFLQFIDLMGCRNFHQLPDSILKLQNMRFLDFRGTRLTSIPPGMGKLENLVNMLGFPTYLDDRGHAWSSLEELRSLSNLKWLDLRGLELASSGSMAATAMLNSKKHLKILDLTFASRLTDNGMIEGTSNVIEEQERAEVVLSNLCPPPCVECLTVNGYFGYRLPRWMRTMSDFPSLRRLELKDYVCCKQLPVGLGQLPFLDYIWIDHAPSIVSIGHDLLFLSSSSADDQKVTTGTRITRKLQLHGLSRGDAENFPSLVELTSADNPKLQRISNSPRLRHIVVIRCPGLKVVKDLQSLRSVIWKDLDADALPEYLRETELNKLDVYCSLRLLKLISLQDGSYEWEKIQHVQLLKAYGKRSTEDKVDRHIFYTKDPYSFEADMGEDL >ORUFI03G31420.1 pep chromosome:OR_W1943:3:26038018:26038379:-1 gene:ORUFI03G31420 transcript:ORUFI03G31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKISLFRIPELRAGPCWPSLPRHSTAIGPGQHGYNPTPESNRVVPGTGQITGPWVRPSGLGPYGHLYLKVLFFVIFIYSLHTLVVPHPLGYGRALNPVQVSLLSILQSASLFCIW >ORUFI03G31430.1 pep chromosome:OR_W1943:3:26040474:26044248:-1 gene:ORUFI03G31430 transcript:ORUFI03G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAREGEGEVRRDMWGQEYRTASGGCAAALDEHYAHTMSFGRGRGHAVLRAAAADPRCALASSLAAHHVAPRDPARAAAFLAAAADNLGAATEYERAVFRALSALIGEERDEQVAIERHFELLKEFPRDLMSLKRAQLICFYMGRPDTSLKFVEQVLPENQDQNYIYGMLAFPLLELGRMDDAEKAARKGLAINKNDCWSQHNLCHVFQQECHFKEATEFMKSCSPSWAACSSFMLTHNWWHVAVCYLEGEFPTSKVLEIYDHNFMTELEKSDCEAAEVYLNALGLLLRLHIRGQVDLAKDRLAALLDALTNEKIWHVEWLLDLLVLWALSSMGEITRADNLLESLRSRVSSMDTKKQQVMQKAIQLAEAVYEYGKGEHKKVFDILGPDFDALSCKMIGASDEQVDVFNEVWYTVLINTGESSRAIEVLEKQIRKREGAPFLWRLLEKAYSLHGRAADASVASEKANALQAAYSR >ORUFI03G31440.1 pep chromosome:OR_W1943:3:26046064:26048803:1 gene:ORUFI03G31440 transcript:ORUFI03G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWRDVKTSRCDAATRKSSAAGYVHLTRSSSDRTAHAPRTRRLEPLQLLFHLSPIPFLPRPALLLLRTQKYQRTQKRREAKNRGDAMNVEEEVGKLKEEIQRLGQKQPDGSYKVTFGVIFNDDRCANIFEALVGTLRAAKKRKIVKYDGELLLQGAHDNVEITLLPPPAVAAA >ORUFI03G31450.1 pep chromosome:OR_W1943:3:26063368:26064302:-1 gene:ORUFI03G31450 transcript:ORUFI03G31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIKQKQMDGWMWSSDFMDALGWLLVLAWRVRADEADSLEVVGMLTQIGVNRSIYGTMIQDLKNMLKTYFLGNEGPMGAAIGE >ORUFI03G31460.1 pep chromosome:OR_W1943:3:26074231:26075117:-1 gene:ORUFI03G31460 transcript:ORUFI03G31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSTKLALGHDANNVVGRRRRERRRGLRRDVGNSRITVADFLTHYDDKGLDGCPAMHHLSLPAASLD >ORUFI03G31470.1 pep chromosome:OR_W1943:3:26078119:26079240:-1 gene:ORUFI03G31470 transcript:ORUFI03G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGYDDDRLRELKAFDDTKAGVKGLVDAGVTAVPRIFHHPPDPTPVASAADAADADAIPVIDLARADADRDRVVAQVRSAAESVGFFQVVNHGVPARLTDGMLAAVRRFNERPAAAKAAFYTRDAARRRVRFNSNFDLFESPAANWRDTLFCQAAPDPPAPEELPADVRGVLPEYAGAARRLASSVLELLSSALGLETGRLGGMGCADGVSVVSNYYPPCPEPEATVGTARHSDPAFLTVLLQDGMGGLQALLGGRWVDVPPVAGALVVNVGDLLELVSNGRMRSVEHRVVANRSRDAARVSVAAFCNVDLGRESSRSGRLYGPIAELTAGGDPPRYRSTTVAEFLAHYDGKGLDGRPALHHFRLPAAASLD >ORUFI03G31480.1 pep chromosome:OR_W1943:3:26081849:26088118:-1 gene:ORUFI03G31480 transcript:ORUFI03G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDNNFGELSGMFCGLSYDGYTDHGSQSDYFRFADPQPAIVPQMDAGPSSAASSTASRAAVSSGTDNPEDWEFISDESLNYISRMLMEEDIDEKVSMYQEESAALRAAAKPFYDILGHKFPPSPDRQLVAWPLDSPSESSTSSYPHSLASSVTSSNISGAVDSSQRRYVGHSEYRSLSGHSSQPPVGPSSDVRNAMETLEDPLISNGRIPEYLFESFPTWDFRRGVDEAQKFLPGSDKVVIDLEAGGVAKRQEAGKAISLNVSKAEVLKVKKNRQSEDLDVMEGRNSKQSAFCSDEPDWIEMFDVLLRQTEKKATDLRKMMRFEASKNSQVAQPKGPSGTRSRGRKPTKKDVVDLRTLLIHCAQAVAADDRRTANELLKQIRQHAKPNGDGSQRLAYCFADGLEARLAGTGSQLYHKLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSLTKNASKVHIIDFGIYFGFQWPCLIRRLFKREGGPPKLRITGIDVPQPGFRPTERIEETGQRLAEYAEKIGVPFEYQGIASKWETICVEDLNIKKDEVVIVNCLYRFRNLIDETVAIDSPRNRVLNTIRQVNPAIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLETTVPRDDAQRALIERDLFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQSPLNQDIVLKAKDKVKDIYHKDFVIDEDSGWLLQGYVYCCCFSPFHLPASVIFYRDPN >ORUFI03G31490.1 pep chromosome:OR_W1943:3:26095892:26099459:1 gene:ORUFI03G31490 transcript:ORUFI03G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALPIVETQSGDVSAYIPTNVISITDGQIFLSVDLFNAGIRPAINVGIFVPRVGSAAQIKAMKQVAGKSKLELAQFAELQAFAQFASALDKTSIRAPQASTVHQAFTRDHRARDIAQTTVDINLTAFEGLIFGCPHPGRCGMQPVVGNEVAELTFCLLPADDDGQIRLNMFMEMMMGCFFIEPYPLSGTSADGLGGGMNDGNNGIGALISLPGWWR >ORUFI03G31500.1 pep chromosome:OR_W1943:3:26127836:26130238:-1 gene:ORUFI03G31500 transcript:ORUFI03G31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATSSSSRAPRPKTEELDAALHAMGFEIERVSPAEVTGRLLVTPTCCQPFKVLHGGVSALIAEGLASMGAHMASGYSRVAGVQLSINHFRSAALGDTVLVRAAPLHVGRSTQVWAVKLWKLDPSTKEKGAQISESRVTLLCNLPVPESVKNAGEALKKYSKL >ORUFI03G31510.1 pep chromosome:OR_W1943:3:26135366:26138731:1 gene:ORUFI03G31510 transcript:ORUFI03G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMCREMDELRSEVEALTAECRAKAELAEGLKRAGAEQAARLREARAEAERQAREVAARDEEISSSGEARRELEARLAEKEQALRHLCAAHEGLRSSARERSDALEAEKRELVAALEESEARRLEQEAAARSCGEEVARLRRLLSEKEKKCSEAEQRALAPKEVMMRDDMLLKMEDQKAAVEGKLKWKSEQFRHLEDALKKVQDEFRAAKKEWGSDRSMLVDQIGTLEVNLDSKTRMAEDFRSRLEMCSQALAHEEGRRKLLEAEMSELKHLYGNVVSDYEEARSTIESLAAKRDGEIASLRSSLAEKVTLLKEMEYGKARLEQENEDMRSSLKEHQEAQIGGADAVVSLKVLQQKFRALEQTHRNCIDKLRDKEAEWKTQMEKLGSELDGCLSQLDSKDTLIKQMQIELLSSYSSLEMQAVQNWEASVALVIVESKLYDSCSYFETIQLDMQKNCAQLEHNFAAARKQLEEDNCAIAQSQAERAQQVEVIATLHQRIEQLEHMEKEREEMQRQLDTYNLDNASRDVHCLKGESSEEEKGLHEKLQKALSDLDEAYSAVSERESELSQIEINLHKQKQAMEHLEELKLSMENELKGYMDENNVLKRDLIATTEIEKSLREEKEKLLGALNEANSALSEKNCELRQSEIILHQQKQALEHLEELRVNMETEIKGYIDEICVLKRDLDATHMAKIEAEKTYSEENEKLLCALDEVNCCLLDKKNELDQVTENLHQQMQAVEEFEKLRVSMETELGRYMDENSVLKSDLVSALNSKMDAEESLREEKDKLCSIIDERCRNIDELQQHIAVLEEENLDKKLDVAGLIKSEADRSIQEVNRKYSEIVEVFDKKLLELETRLSFFEQKYTCREQELMEMFDQEEADWYTLIAEKENAISEIQENVESAQVDIKHLVESASEKLAEVQVEVRQLYCLAGNLNSLNLIQEHDNLFKDMLIEECERELKAVQVNLALEKQQSNNLKNDLEQLKAKATAEMLENVKEHLEVANKLRSLEERKEVLDEHVGELKSRTKNMCNAFVQERKNLFDELTGLVDTIGAAIHVDEDLMTSLTKIMHKVNNEEAFRNSSSKEMLSSENINARNSAPLVRNKSVQLPDRRLPLKEHNY >ORUFI03G31520.1 pep chromosome:OR_W1943:3:26138863:26146350:1 gene:ORUFI03G31520 transcript:ORUFI03G31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLILHFLFSLVYRGSETDKIRPESPGLKNLWPVFFNYSFSVYMVTEFFSCIFICMIVAAYCPFITDVPVISSPMFIVRLNVAEDNMTNICFRKEGLHWSKQPRTVQACCKEVLVADIRLANRHAGIVDKEFHIPLFCEKRHGYWLQRLSPNYHSNSQQALRLSISMATMAAFAASWPPAGRAPSTSCALRARRMGGGAAGSPAASPISLRARRIIIGGAATGGCGRRRCWTPAAASTAVETNTRKLADGRVALRRSYSSRMDTARHGEGARLAADVPEAAAGAARNEAATVAAEQPHHRLLLLRRRHRNDSIDDGSISECQQYLWFKEVKRLNPSYHSNSQQALRFSNSKTTGPVAFAAADTAGAACRAPLTFLDMAAGSWRTGLAGCGTAAHWSRRRWQHQQQLWRRRRSSKHWREWPPPAASSCALCTRRTDGAAALRPAGGQGRRARRPASSCALRARRIIIGGATGWRRCCWTPAAVETNTRKLADGRVALRRSYSSRMWASSSSEVTDTSRSSSRPPSARRYLTAVTASPPAPAFSASRAVSSRKGAPRGTARARVSPPTYRRPPPGPHGTRRPPWLQSSLIVVSSAAPISINGWMDGSISERSSRRARRIAGRIYRRAYACARNRRRVVRNPNRIRVLDVDSVGSWVR >ORUFI03G31530.1 pep chromosome:OR_W1943:3:26140426:26146169:-1 gene:ORUFI03G31530 transcript:ORUFI03G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEETTMRLLCSHGGRLVPCGPGGGLRYVGGETRALAVPRGAPFRELTARLAEKAGAGGDAVTAVRYRLADGGLDEDLLVSVTSDEELAHMRDEYDRLRATRPSASFRVFVSTAAGVQQQRRQPVAPPMMMRRARSAQELAGRLARRPCPPAGRSAAAPSVRRVHSAQELAAGGGHSRQCFDDRRRLQSCCWCCHRRRDQCAAVPQPARPVRQLPAAMSKNVNGARQAAPAVSAAAKATDRSIIDRIVPMAAAKEEEAMMRLLCSHGGRLVPCGPGGGLRYVGGETRALAVPRGYDRLRATRPSASFRVFVSTAVDAAAGVQQRRRPHPPVAAPPMMMRRARSEMGLAAGLPAAPPPMRRARSAQELAAGGSHSHHVSWGNDSSGNSIKQADSGASLDCSWLFAPVQTLFSEANIGHVVFSYLKLQLSPVQEHKGYDQTS >ORUFI03G31540.1 pep chromosome:OR_W1943:3:26152104:26157568:1 gene:ORUFI03G31540 transcript:ORUFI03G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKSLLLCWCSLLLLLLAAAAPPALALPLCTDSRAPVPLNGTTLGFCGGGGSGSSSCCGAADDAALRKRFEAMNVSDAACAGVVKSVLCAKCNPYSAELFNSSSKIRMVPVLCNGSASASSTQSKDSTQDYCKLVWETCKNVTILNSPFQSPLQGGATLPSSSSKLTDVWQSENDFCTSFGGSSDNQSVCLNGNEVSFSTSEPSPSPKGVCIERIGNGTYLNMAPHPDGSNRVFLSSQAGKIWLATVPEQGSGGILQFDEASPFIDLTDEVHFDSEFGLMGIAFHPKFATNGRFFVSYNCDRTQSSNCAGRCSCNSDVNCDPSKLGSDNGAQPCQYQVVVAEYSAKVSSSNVSEATSANPSEVRRIFTMGLPYTAHHGGQILFGPTDGYLYLMMGDGGNKGDPFNFSQNKRSLLGKIMRLDVDGVQSQSQIINQSLWGNYSVPKDNPFSDDRDLQPEIWALGLRNPWRCSFDSERPSYFYCADVGQDLYEEVDLISKGGNYGWRAYEGPYIYHPEWTPGGNTSLNSINAIFPVMGYSHSAINKNTGSASITGGFVYRGSSDPCLYGRYIYADLYASAMWTGTETPESSGNYTSTLIPFSCSKNSPIPCESASGSNQPSLGYIFSFGEDNNKDVFLLTYKGVYRVVRPSLCGYTCAAEKPETNNNGTSPSGSSSFASGRRIGKLAVVMAFVLCALFF >ORUFI03G31550.1 pep chromosome:OR_W1943:3:26161555:26164738:1 gene:ORUFI03G31550 transcript:ORUFI03G31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHAVPRAAATVDLRWLLSVAAGAVFALLLLLAASPPFPLRPASLFTTTSPRRALPPLFVESSSTLSAPPPTPPPSPPRFAYLISGSAGDAPMMRRCLLALYHPRNSYILHLDAEAPDDDRAGLAAFVAAHPALSAAANVRVIRKANLVTYRGPTMVTTTLHAAAAFLWGRGGGRGADWDWFINLSASDYPLVTQDDLMHVFSKLPRDLNFIDHTSDIGWKAFARAMPMIVDPALYMKTKGELFWIPERRSLPTAFKLFTGSAWMVLSRPFVEYLIWGWDNLPRTVLMYYANFISSPEGYFHTVACNAGEFRNTTVNSDLHFISWDNPPMQHPHYLADADWGPMLASGAPFARKFRRDDSVLDRIDADLLSRRPGMVAPGAWCGAAAAADGDSNSTTTGGAVDPCGVAGGGGEAVRPGPGAERLQRLVASLLSEENFRPRQCKVVEAN >ORUFI03G31560.1 pep chromosome:OR_W1943:3:26172940:26174718:1 gene:ORUFI03G31560 transcript:ORUFI03G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVEECLCVDDVGIDMYPNVYSSRAVKKLEPRELLELDSSIAQFKLQTTRDELSPTICQSSQPLRLAPKNSRSCWLKP >ORUFI03G31570.1 pep chromosome:OR_W1943:3:26174910:26176245:1 gene:ORUFI03G31570 transcript:ORUFI03G31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGGGGGQMVVVVLLVCVVLVSSAAMAGGARTGPVHLAGGTASSSSAPGPAVATPRGDAAGATTMTATTTTMTAAATTATFAADPYKDSKRKVPNGPDPIHNRFCKRGCRSLKKTRFGVEWKVSCQVDDDDDDDHIMDDGE >ORUFI03G31580.1 pep chromosome:OR_W1943:3:26183664:26184799:1 gene:ORUFI03G31580 transcript:ORUFI03G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMEGWWLFPPLSIEPLKRQLDGGGGFVCGREQGVGEVGAGAVPRPGQPAPATARHGEEQRGEAERRRRRMQDRLNMDGDGARVGDDSRGD >ORUFI03G31590.1 pep chromosome:OR_W1943:3:26185421:26186945:-1 gene:ORUFI03G31590 transcript:ORUFI03G31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSSSSSSSSFRLLDLVRPFVPLLPEVREPDGRRVPFRRKLACTAAALFAFLACSQLPLYGLHRAAAAGGGADPFYWVRAILASNRGTVMELGITPVVTAGTLVQLLVGSNLVRADSSNPDDRALLSAAQKLLSIVITAGEATAYVLSGAYGSVGVLGAGNAVLVVLQLVLGGMVAIFLDELLQKGYGFGSGISLFTAANTCEGVVTRALSPATMDRGRGAEFVGAVTAAAHLLATRASKLSAVREAFFRGGGGGGLPDLRGLAATCAVFLAAVYLQGVRVALPVRPRNAPRSHRGGAYSVRLLYTSGMPVVLLSSAVSSLYLVSQALYRRFGGSLLVDLLGKWTPDAAVPVGGIAYYVTAPASAASAAANPLHAAMYVAFVLAACAALSRAWVVVSGSSSRDVARRLREQQMVMPGMREASMQRELERYIPAAAALGCVCVGALTVAADLMGAVGSGAGMLLAVTTVYQCYEAFEKEKTY >ORUFI03G31600.1 pep chromosome:OR_W1943:3:26188007:26191528:-1 gene:ORUFI03G31600 transcript:ORUFI03G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGRRPRSPERQRPAARKVPVVYYLTRSRHLEHPHFVEVPVSSPEGLYLRDVISHLNMVRGKGMAAMYSWSCKRSYKNGFVWHDLGEDDLVHPATDGEYVLKGSELLDQSSSGQFYQGTNGNQKQQSRLKEGARLPLPREASYSSSPPSVIVREAKPRRSPSVPSLEEDDSPVQCRVTSLENMSPESEPQRTLLSRAGSASPAEFRVYKPTGCVDAATQTDDLGRRSVRKVPEMHKKSLSTDHDSVVREITEYRQSQPRRSAELQGIAREAMSQCHTPLSIPSSRGKSESLESLIRADNNALNSFRILEEDDIIMPTCPKLRPANVLMQLITCGSLSVKDHENIRLVEGYKPRFPNMKFPSPLISRTMMMGELDYLSENPRLMGMRLEDKEYFSGSLIETKMQRDVPADRYSALKRSSSYNAERSNETLDCARPDEDTVNTSRARCLPRTPILSSFLHPKSEAMKSPISDCRRSSSAGPDCSLASSGDGSKRFIDATGAPGSRIDSFRKEEKLVKIEESCRQELES >ORUFI03G31610.1 pep chromosome:OR_W1943:3:26197764:26202462:-1 gene:ORUFI03G31610 transcript:ORUFI03G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRRAAAGCGCGRRLRPLLMLLPFAALLSVATFSLHSPVGLVVPAAVTVATSTDTDTDTASSHHHHHGLVGDAVSGIDIRALNATPPLHAAAVRAFRSGGRLLREAFLPGAAPPPAVGGGPDPSPPRCPPFVALSGAELRGAGDALALPCGLGLGSHVTVVGSPRRVAANAVAQFAVEVRGGGDGDGDEAARILHFNPRLRGDWSGRPVIEQNTRFRGQWGPALRCEGWRSRPDEETVDGLVKCEQWGGNYGSKLNELKKMWFLNRVAGQRNRGSMDWPYPFVEDELFVLTLSTGLEGYHVQVDGRHVASFPYRVGYSLEDAAILSVNGDVDIQSIVAGSLPMAYPRNAQRNLELLTELKAPPLPEEPIELFIGILSAGSHFTERMAVRRSWMSSVRNSSGAMARFFVALTRVVSAKYIMKCDDDTFVRLDSVMADVRKIPYGKSFYLGNINYYHRPLREGKWAVSFEEWPREAYPPYANGPGYIVSSDIANFVVSEMEKGRLNLFKMEDVSMGMWVGQFVDTVKAVDYIHSLRFCQFGCVDDYLTAHYQSPGQMACLWDKLAQGRPQCCNPR >ORUFI03G31620.1 pep chromosome:OR_W1943:3:26206651:26208550:-1 gene:ORUFI03G31620 transcript:ORUFI03G31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQALLLAAAAAIVVAGAASAAGGGVQPNGAANDLLPKYGLPRGLIPDSVASYSFDEATGEFEIHLAGTCYVWFGSHLVYYERSVRGRLSYGAISDLSGIQAKKLFLWVSVTGIVAHPDQGTVEFQVGFVSEALPASQFDAVPACGAGAQLRGAAGVIRELGLLPVAEA >ORUFI03G31620.2 pep chromosome:OR_W1943:3:26209006:26211270:-1 gene:ORUFI03G31620 transcript:ORUFI03G31620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPLTTILLLAAAVGATCATSATASAAPLHGIANDLLPEYGLPRGLIPETIASYTFDNDTGDFEIRLTSTCYIWFGSHLAYFEDAIRGRIAYGTITGLSGIQAQKFFVWVSITTIVAHPDQGTVEFRAGFISEALPESDFAEVPVCGAGARLRGAAGLARQLGLQLPAVAECCL >ORUFI03G31620.3 pep chromosome:OR_W1943:3:26206651:26211270:-1 gene:ORUFI03G31620 transcript:ORUFI03G31620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPLTTILLLAAAVGATCATSATASAAPLHGIANDLLPEYGLPRGLIPETIASYTFDNDTGDFEIRLTSTCYIWFGSHLAYFEDAIRGRIAYGTITGLSGIQAQKFFVWVSITTIVAHPDQGTVEFRAGFISEALPESDFAEVPVCGAGARLRGAAGLARQLGLQLPAVAEA >ORUFI03G31630.1 pep chromosome:OR_W1943:3:26217499:26222018:-1 gene:ORUFI03G31630 transcript:ORUFI03G31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCVSRPSACVGGKPHTPRSGEAARAGGGARRRRRRGKSGRRKAPSRAASMETIQEGDVVPGSPPPPAAAAAAASSGAAGDHRTYSNPAFQVSGSIEEAWYDSFAMSESDGEDDFHSVQDDAFSLNGFENDAALSTRDANGGSFNGSSHSSEQHYRKPRSSELSRGNLENGVRSSVSHEDVASVSAEDSAHGGGGRILDDCGLLPNNCLPCIASAVGVNEKKRPLSTSPTHSMKMPSLKLSFKKKSGEAHPSSTLLSTKDFLERPLAGSQVQLCLLEKKILNSWSHIDPGTFRVRGSNYFRDKKKELAPNYAAYYPFGVDVYLSPQKLNHISRYVQLPDVQISSKLPPLLVVNVQVPLYPASLFQNEIDGEGMSFVLYFRLSDAYSKELPPSFIENIRKLVDDHVEKVKAFPMETTIPFRERLKILGRVANLEDLPLSAAERKLMHAYNEKPVLSRPQHEFYLGDNYFEVDIDMHRFSYISRKGFETFLDRLKICMLDVGLTIQGNKAEELPEQILCCVRLNGIDYTQYKPLMTHGA >ORUFI03G31640.1 pep chromosome:OR_W1943:3:26236971:26237932:-1 gene:ORUFI03G31640 transcript:ORUFI03G31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGISTVVTTHSHLSHPLRNRHTLLVSRKSAPPKLRRANPPSAIPVAVGRLLLAFIIHLRTAGEGHNGREHLRASKLNGSHA >ORUFI03G31650.1 pep chromosome:OR_W1943:3:26241687:26244807:-1 gene:ORUFI03G31650 transcript:ORUFI03G31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPSAIAINPAWQSKLSRETCACLVVSLLPKPPHQCRLPSSSSSSSSAAPPRRRSPRRRPPPPLRRTRTRRSPRSSRATACLRGCSPPASPPSPSPPTAASPSTSGAPATPTTSTSPTSSPASRGSSATAPSPASPGSRSAASSSGSTSSASRSTSRRPRATSTSTSAGSPASSPPTSSSPPTSARTPRNAASCPRSPRSPRGSRSDFGPSTSVVIASIQLQKNKHWLRYFSTASILS >ORUFI03G31660.1 pep chromosome:OR_W1943:3:26249211:26252280:1 gene:ORUFI03G31660 transcript:ORUFI03G31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLVVPYPSQGHMNPMVQFARRLASKGVAVTVVTTRFIARTSGGGLDAGPGVRVEAISDGHDEGGVASAASLEDAVYHYVHEGKLAVPAPATRSAALAGLPEMERRELPSFVLGDGPYPTLAVFALSQFADAGKDDWVLFNSFDELESEVLAGLSTQWKARAIGPCVPLPAGDGATGRFTYGANLLDPEDTCMQWLDTKPPSSVAYVSFGSFASLGAAQTEELARGLLAAGRPFLWVVRATEEAQLPRHLLDAATASGDALVVRWSPQLDVLAHRATGCFVTHCGWNSTLEALGFGVPMVALPLWTDQPTNALLVERAWGAGVRARRGDADADDAAGGTAAMFLRGDIERCVRAVMDGEEQEAARARARGEARRWSDAARAAVSPGGSSDRSLDEFVEFLRGGSGADAGEKWKTLVREGSEAAASEM >ORUFI03G31670.1 pep chromosome:OR_W1943:3:26253144:26253827:-1 gene:ORUFI03G31670 transcript:ORUFI03G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECFKTTLAGVVLVVLLLQQAPVLRANDPDPLQDFCVADLDSEVTLNGYPCKPTPAAGDEFLFSSRLATGGDVNANPNGSNVTQLDVAGWPGVNTLGVSMNRIDFAPGGTNPPHVHPRATEVGIVLRGELLVGIIGSLDTGNRYYSRVVRGGETFVIPRGLMHFQFNVGKTEATMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLVKALRVDAGVVELLKSKFTGGY >ORUFI03G31680.1 pep chromosome:OR_W1943:3:26253883:26262425:-1 gene:ORUFI03G31680 transcript:ORUFI03G31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSFKTIAAGVVIVVLLLQQAPVLIRATDADPLQDFCVADLDSKVTVNGHACKPASAAGDEFLFSSKIATGGDVNANPNGSNVTELDVAEWPGVNTLGVSMNRVDFAPGGTNPPHIHPRATEVGIVLRGELLVGIIGTLDTGNRYYSKVVRAGETFVIPRGLMHFQFNVGKTEATMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLVKALRVDAGVVELLKSKFTGGFKTITAGVVFVVLLLQQAPVLIRATDADPLQDFCVADLDSKVTVNGHACKPASAAGDEFLFSSKIATGGDVNANPNGSNVTELDVAEWPGVNTLGVSMNRVDFAPGGTNPPHVHPRATEVGIVLRGELLVGIIGTLDMGNRYYSKVVRAGETFVIPRGLMHFQFNVGKTEATMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLVKALRVDTGVVELLKSKFTGGCHFITQRN >ORUFI03G31690.1 pep chromosome:OR_W1943:3:26255577:26285233:1 gene:ORUFI03G31690 transcript:ORUFI03G31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTRENNDFSTLITFEEDKYNIQLRSHWETMCLVQEGSTSRRVDTCAGSGSGATDMVEDGEAQLLRQRADQIHTGGETMLEPDSPRCFHTIVDASMRCQFGGRESKGWVDFGGRDLLSKLVIVDRKRNEMERETKMASNYRLQFTSNLENPLFTDCKMKLEVRMINCDGNVIKSGPLSSAKIELLVLRDDFAWDVGNWTAEQLDEKEVKTRDGHISVLKGVVARRLVEGTCSFPGIQFREGSLRRTFTIAARVNRNEATGGHRVQEAFMGPVVVQTNRNKLTCKQ >ORUFI03G31700.1 pep chromosome:OR_W1943:3:26263251:26264274:-1 gene:ORUFI03G31700 transcript:ORUFI03G31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGFKAAGLVFVVLLLQQAPVLIRATDADPLQDFCVADLNSEVTVNGHACKPASAAGDDEKFDRDSN >ORUFI03G31710.1 pep chromosome:OR_W1943:3:26297122:26302676:1 gene:ORUFI03G31710 transcript:ORUFI03G31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKAEELVAFVPKEQYDGVDYCITSPPPWLTAVLLAFQHYLVMLGTTVIVATILVPLMGGGHVEKAIVVQTILFLAGINTLLQVHLGTRLPAVMGASYAYIYPAVAIILSPRFAIVVDPFERFVYTMRSLQGALIIAGVVQAIIGFFGIWRIFIRFLSPLAAVPFVTLSALGLFYFAFPGVAKCIEVGLPALILLLLFTEYAAHFFARGSFLFGRCAVLATVLVVWIYAEILTAAGAYNERSLVTQFSCRADRSGLIHGAPWAYLPFWTGCVTYTWFLHETAAAGLCFLQYCNLNTLRTKFILSISLFLGLSIPQYFREYEVFYVFGPVHTHSPAFFFRELVCDSCEPHPPRMANSRLSTVSSWLPIGMDPIATYLLEIKLAGDRKNARVEYDWFIFNKVVDSNVLRYNDFVDDIAKSYPWGPNETVTIGYMDLVDKTSHHVKTDQDMIVMFEKFIDIKVIPMIIRIHGIDENIDELDHTLDKFNVIVNVIFSSPATVAAILAYLLDCTHTYWDGPVWKDRGFHWWEKFKSYRHDPRSEEFYSLPYGLSKYFPSF >ORUFI03G31720.1 pep chromosome:OR_W1943:3:26302859:26304094:-1 gene:ORUFI03G31720 transcript:ORUFI03G31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAASATSSRPKRDSPRIPPNYVSLRDLQELRRKEREEEEEQEMQQRRREVEAAAADKAEEEWRGSSEKSRGGSERSRGGERWAPVPHRASPPPPQARTEVAATARKVDGAIGAMAVAHRDAPPPPARVEAAAKKMDMEIGVVAVAHRVAPSPSRSSHGAVKKMDGAVGVLAAPQSEAPLPLPPPRREDAAKKKGRAIRGDAVRKGADEAAATPASAFQGRPKPKEKGKVAAGTKQPTAPAETATASSPGGTPEEKMKSKGKKASGDQGTAPVTSDAPRAPAEAAGASSRGRDNPASRRNRKKGAVSNSPDGKAPQPAPISNSPAAELGGNRRSGGALGTNGETKPEPVAEKPPVVEAKSTAPAASVVVGPTRPPSIGGPRRQHAGVWVPKVVAIPGPSRHSVVSVRKNN >ORUFI03G31730.1 pep chromosome:OR_W1943:3:26307156:26309870:-1 gene:ORUFI03G31730 transcript:ORUFI03G31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKEKIEGRSSRNATHTLGGCGGGGGREPAMQALARAARGILPATAAAPAARVQQARGIVVHVKDGNLERALGVMARKMRSSGIERLIRARSQIHHHVKDSEKRVLARKALMQRVRSQELGKKLRDILIKKIRGQ >ORUFI03G31740.1 pep chromosome:OR_W1943:3:26309966:26312429:1 gene:ORUFI03G31740 transcript:ORUFI03G31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTNNFVSAQVIHTPSATVACSASSQEKLLRPSMESTRDVAAAAKIGKLLGERLLFRGIPAVSVSMSRDQTYHGKVRAVMDSLRAAGVKLL >ORUFI03G31750.1 pep chromosome:OR_W1943:3:26313790:26322286:1 gene:ORUFI03G31750 transcript:ORUFI03G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTPAADAQPLDEKELERKLKKDQKAKEKEEKRLKAKAKEAARLQAQAASDGPKKSEKKQRKKAVEDENPEDFIDPDTPHGQKKFLASQMAKQYSPTAVEKSWYSWWESSGYFGADAASSKPPFVIVLPPPNVTGALHIGHALTVAIEDSMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHDIGREEFVSEVLKWKDEYGGTILNQLRRLGASLDWSREVDYLEIKEETMLKVPGYNTTVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPEDGRYKHLHGRYAIHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKINNNGGAQFVGMPRFTARVAVIEALKAKGLYKETKKNEMSLGVCSRTNDVVEPMIKPQWFVNCNTMAQAGIDAVRSKRIEIIPQQYEQDWYRWLANIRDWCISRQLWWGHRVPAWYVILEDDQEKILGSDNGRWIVARNESEANLEAQQKYPGKKFELHQDPDVLDTWFSSGLFPLTVLGWPDDTADLKAFYPGSVLETGHDIIFFWVARMVMMGMQLGGDVPFEKVYLHPMIRDAHGRKMSKSLGNVIDPVDVINGISLDGLLKRLKEGNLDPNELNIATEGKKKDFPDGIAECGTDALRFALVSYTSQSDKINLDIKRVVGYRQWCNKLWNAIRFAMGKLGNHYTPPATISVTTMPPICKWILSVLNKAIGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQEFESARAASRDALWVCLDTGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMVSEYPSVVKEWTDDKLENEIDIALDTINKLRSLKPSSDTNERRPAFALCRGQEITATIQCYQSLVVSLSSTSSLKILTENDETPPDCATAVVNKDLSVYLQLQGALNAEVELEKLRKKREEIQKLQHALSQKMEASGYKEKAPQNVQEEDMRKLTSFFEQLEIISEAEKKLDAKTGNN >ORUFI03G31750.2 pep chromosome:OR_W1943:3:26313790:26322286:1 gene:ORUFI03G31750 transcript:ORUFI03G31750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTPAADAQPLDEKELERKLKKDQKAKEKEEKRLKAKAKEAARLQAQAASDGPKKSEKKQRKKAVEDENPEDFIDPDTPHGQKKFLASQMAKQYSPTAVEKSWYSWWESSGYFGADAASSKPPFVIVLPPPNVTGALHIGHALTVAIEDSMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHDIGREEFVSEVLKWKDEYGGTILNQLRRLGASLDWSREVDYLEIKEETMLKVPGYNTTVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPEDGRYKHLHGRYAIHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKINNNGGAQFVGMPRFTARVAVIEALKAKGLYKETKKNEMSLGVCSRTNDVVEPMIKPQWFVNCNTMAQAGIDAVRSKRIEIIPQQYEQDWYRWLANIRDWCISRQLWWGHRVPAWYVILEDDQEKILGSDNGRWIVARNESEANLEAQQKYPGKKFELHQDPDVLDTWFSSGLFPLTVLGWPDDTADLKAFYPGSVLETGHDIIFFWVARMVMMGMQLGGDVPFEKVYLHPMIRDAHGRKMSKSLGNVIDPVDVINGISLDGLLKRLKEGNLDPNELNIATEGKKKDFPDGIAECGTDALRFALVSYTSQSDKINLDIKRVVGYRQWCNKLWNAIRFAMGKLGNHYTPPATISVTTMPPICKWILSVLNKAIGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQEFESARAASRDALWVCLDTGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMVSEYPSVVKEWTDDKLENEIDIALDTINKLRSLKPSSDTNERRPAFALCRGQEITATIQCYQSLVVSLSSTSSLKILTENDETPPDCATAVVNKDLSVYLQLQGALNAEVELEKLRKKREEIQKLQHALSQKMEASGYKEKAPQNVQEEDMRKLTSFFEQLEIISEAEKKLDAKTGNN >ORUFI03G31760.1 pep chromosome:OR_W1943:3:26323304:26324080:1 gene:ORUFI03G31760 transcript:ORUFI03G31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAEVGSHGCDPPKTMANAVKSKRRYGDNAAFVSPPCAVGPCPYSAPFSYAAPGVAATTTTARDNVVAFASGGGGVAATTPAPAKKRARAQGQFLGADHVVVDLDPVVNQANHQHQQQQQQHGLRRRTAEAAELERWRRHAMASLVDAAKRAAVMKDMEIERAWGLNRALVARLRGVQAQALAWRDAALSNRAEATALRAELERALQPPPPPPPPPAEPGDAESCCYGDNGDLLGGGEDEVGSDRLIHEAGVPVLR >ORUFI03G31770.1 pep chromosome:OR_W1943:3:26325346:26326872:-1 gene:ORUFI03G31770 transcript:ORUFI03G31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVPPLLVILVLLQSATRLPVAETSTADEEYMHRLPAATGAERLLGWKADSDPCNGSWVGVTCAPFDGNRIIQIDVRGLLRGGGTLPELDRQAGSLSHLRMLDLGDNNLTGPVPTLFLDRLLTLRLDGNAFSGLPHSFFRGMPELHYFSISDNPRLEEWGLWSDLLSLTELRVFNASNANINGTLQVFLGNLGAFPALAEVSLARNRLTGVVPEKLVSQSIAKLDLSSNGLSGSINFINNLASSITDLRLDHNHFSGPFPADLSGLYLLSVFSVAHNRLTGVVPPSLARVWRLSWVSVSDNLLQGPVPELPDSVKTDFAEAAVKGSFCRLDVHGPCDQETSSLLSVAAAFHYPEILAVSWRRDDPCDGWLGIHCGDGDGGGGGRNKVTGVNLSRLGLNGTIDPAFASLLYLEAIILAGNNLTGTVPASILQMPSLRVLDVSNNALEGTVLSVRHDVLILADGNRGGLNVTAIAASGSFSSSRFQLSEATTPFLTFAAVFVALFGY >ORUFI03G31780.1 pep chromosome:OR_W1943:3:26330508:26332764:-1 gene:ORUFI03G31780 transcript:ORUFI03G31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTAINASRGGLVASLNGTDLSKLAFLSDLDLSFNELDDDLPVLPAPLPRLLSLDLRSNSFYSIPDGFFAGFPALQTFAFDDNAMLIKDIPNDVVTCSNLRSFTANNASIYGTFPDYFGNATLFPRLERLNRLTGPIRDGFGRKSSIKYLDIGGQRDFDGGGESSLNSRIDLFIPDMESLVEARLDHNAFTGPVPDATRLVNLRVFDASYNDLCAPLLPPSSLAAAEPPAAELPPPPPQFELLDLRYFTVDLSPDHITEVKSTGHCCSTFDVCVAKTWQARTCALIAAGDAEQERRRRGNCFYPVLATGSSGEVAGADIVDVVRIIRDAKARLAATKLPAAELPPSPPPSSPPPSSLAVVELLRHR >ORUFI03G31790.1 pep chromosome:OR_W1943:3:26335227:26341032:1 gene:ORUFI03G31790 transcript:ORUFI03G31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAAFLYLAGFLSDSSGGSVLTETDKTTVLKLQKELDQIAEKADTSTPAGLSYVLTETTLALLRHPDCCISAYSSVDVKRSIDDGEKRFNQLSIEERGKFDEETLVNVNSIKRQKAGSQRSSGFSNEYIVITILVAAEGVHKLPSINGSGDLKTALQKLGAIPSRKILAVEVLWTPQNENDTLSERELLEDYPLLRPL >ORUFI03G31800.1 pep chromosome:OR_W1943:3:26339274:26341343:-1 gene:ORUFI03G31800 transcript:ORUFI03G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVIGVVGRDFAVVAADTSAVQSILVHKTDEDKVMVLDSHKLMGASGEPGDRVQFTEFIQKNLHLYQFRNNIPLSTAATANFTRGELATALRKNPYYVNVLLAGYDSDVGASLYYIDYIATFHKIEKGAFGYGSYFCLSLMDKLYRPDMSVEEAVDLVDKCIKEIRLRLVVAPQNFIIKIVDKEGAREYARRAYTDSPPEAATSEAATVAA >ORUFI03G31810.1 pep chromosome:OR_W1943:3:26342581:26352287:-1 gene:ORUFI03G31810 transcript:ORUFI03G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDQDLPDGIGMARLAWTRLPTADGAGAGAEGPGPVPASPSSAGYELFAGGVESLDYEVIENYAYREEQAQRSKFWVPYYVMLKWLFSLLIGVGTGLAAIFINLAVENFSGWKYAATFAIIQHSYFVGFFVYIVFNLALVFSSVYIVTNFAPAAAGSGIPEIKGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLSSRWVRIFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMNWCKSGKCGHFGSGGFIIWDISGGQEDYSYQELLPMAIIGVIGGLLGALFNQLTLYITKWRRTYLHKKGKRVKIFEACLISLVTSTISFVLPLMRKCSSCPQLETNSGIECPRPPGTDGNFVNFYCSKDNEYNDLATIFFNTQDDAIRNLFSAKTFHEYSAQSLITFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKFYKKLNVEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDFFNEGLYEVQAQLRGIPLLDSRPKQVMRNMSAKDACKNQKVVSLPRVSRIVDIISVLRSNKHNGFPVVDRGQNGESLVIGLILRSHLLVLLQSKVDFQNSPFPCGPGILNRHNTSDFVKPASSKGKSIDDIHLTEDELGLYLDLAPFLNPSPYIVPEDMSLAKVYNLFRQLGLRHIFVVPRPSRVVGLITRQDLLLEENGNNVTTELQSTSVRGQLNGKMLSGSTHLGHPLLDSIVVEE >ORUFI03G31810.2 pep chromosome:OR_W1943:3:26342581:26352287:-1 gene:ORUFI03G31810 transcript:ORUFI03G31810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDQDLPDGIGMARLAWTRLPTADGAGAGAEGPGPVPASPSSAGYELFAGGVESLDYEVIENYAYREEQAQRSKFWVPYYVMLKWLFSLLIGVGTGLAAIFINLAVENFSGWKYAATFAIIQHSYFVGFFVYIVFNLALVFSSVYIVTNFAPAAAGSGIPEIKGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLSSRWVRIFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMNWCKSGKCGHFGSGGFIIWDISGGQEDYSYQELLPMAIIGVIGGLLGALFNQLTLYITKWRRTYLHKKGKRVKIFEACLISLVTSTISFVLPLMRKCSSCPQLETNSGIECPRPPGTDGNFVNFYCSKDNEYNDLATIFFNTQDDAIRNLFSAKTFHEYSAQSLITFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKFYKKLNVEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDFFNEGLYEVQAQLRGIPLLDSRPKQVMRNMSAKDACKNQKVVSLPRVSRIVDIISVLRSNKHNGFPVVDRGQNGESLVIGLILRRIVLSLVDLVYSTEDELGLYLDLAPFLNPSPYIVPEDMSLAKVYNLFRQLGLRHIFVVPRPSRVVGLITRQDLLLEENGNNVTTELQSTSVRGQLNGKMLSGSTHLGHPLLDSIVVEE >ORUFI03G31820.1 pep chromosome:OR_W1943:3:26358227:26362602:1 gene:ORUFI03G31820 transcript:ORUFI03G31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQPPPAREPLLPSSASPPPYLDARAGADANADSYVLLVPVRLRRLRRGCRCRCLGPLLSTLALLSLAGFLLWPADPDVSVARLRLAHVSVSARPTVRVTISAALKVRVRNPDLFALDYGRLDVDIGYRGAPLGRVTSGGGRVRARAVSYIDANLSLDGISVVEDAIYLLEDLARGSVPFDTVAEVEGHVHLFFLSIPVKGRISCVVHINPHNQTIVHQDCYPEA >ORUFI03G31830.1 pep chromosome:OR_W1943:3:26363713:26364075:-1 gene:ORUFI03G31830 transcript:ORUFI03G31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPWVAAAVRRSMQEAAAGAGAVSYAQAQQGSPAAPGPRSTARSVETLVVIVAAIVLVAVLAGVVARACGGRHVAPSGDRDVEGWVERRCRSCLDSGLPPPAATAQQPQGSSKASDAK >ORUFI03G31840.1 pep chromosome:OR_W1943:3:26371274:26374336:1 gene:ORUFI03G31840 transcript:ORUFI03G31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFLVGPGVIVGGFKWFMLVSSSEHSESRPGGTNLVEPRGQGALPSGIPIQQPWWTTSAGVGAVSPAVVAPGSGAGISLSGRDGGGDDAAEESSDDSRRSGETKDGSTGQEKHHATSQMTALASDYLTPFSQLELNQPIASAAYQYPDSYYMGMVGPYGPQAMSAQTHFQLPGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKSRKPYLHESRHQHAMRRARGTGGRFLNTKKNEDGAPSEKAEPNKGVFPFLMNSFVYPKGEQNSGYRRIPPDLQLLQKET >ORUFI03G31840.2 pep chromosome:OR_W1943:3:26371274:26375040:1 gene:ORUFI03G31840 transcript:ORUFI03G31840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFLVGPGVIVGGFKWFMLVSSSEHSESRPGGTNLVEPRGQGALPSGIPIQQPWWTTSAGVGAVSPAVVAPGSGAGISLSGRDGGGDDAAEESSDDSRRSGETKDGSTGQEKHHATSQMTALASDYLTPFSQLELNQPIASAAYQYPDSYYMGMVGPYGPQAMSAQTHFQLPGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKSRKPYLHESRHQHAMRRARGTGGRFLNTKKNEDGAPSEKAEPNKGEQNSGYRRIPPDLQLLQKET >ORUFI03G31840.3 pep chromosome:OR_W1943:3:26370423:26375040:1 gene:ORUFI03G31840 transcript:ORUFI03G31840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPVHAAELNHRNNYGPLSSPNPISLRIRARLSLARAREPVAAAAASSSSSEEPEPQRRRAPPPIGRPLGEREREREIDRSSPIGRRLRALAAHWPGGTNLVEPRGQGALPSGIPIQQPWWTTSAGVGAVSPAVVAPGSGAGISLSGRDGGGDDAAEESSDDSRRSGETKDGSTGQEKHHATSQMTALASDYLTPFSQLELNQPIASAAYQYPDSYYMGMVGPYGPQAMSAQTHFQLPGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKSRKPYLHESRHQHAMRRARGTGGRFLNTKKNEDGAPSEKAEPNKGEQNSGYRRIPPDLQLLQKET >ORUFI03G31840.4 pep chromosome:OR_W1943:3:26370423:26374336:1 gene:ORUFI03G31840 transcript:ORUFI03G31840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPVHAAELNHRNNYGPLSSPNPISLRIRARLSLARAREPVAAAAASSSSSEEPEPQRRRAPPPIGRPLGEREREREIDRSSPIGRRLRALAAHWPGGTNLVEPRGQGALPSGIPIQQPWWTTSAGVGAVSPAVVAPGSGAGISLSGRDGGGDDAAEESSDDSRRSGETKDGSTGQEKHHATSQMTALASDYLTPFSQLELNQPIASAAYQYPDSYYMGMVGPYGPQAMSAQTHFQLPGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKSRKPYLHESRHQHAMRRARGTGGRFLNTKKNEDGAPSEKAEPNKGVFPFLMNSFVYPKGEQNSGYRRIPPDLQLLQKET >ORUFI03G31850.1 pep chromosome:OR_W1943:3:26387018:26388048:1 gene:ORUFI03G31850 transcript:ORUFI03G31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGVGTSTQGSQAGRAHTQPGLTIGRGFRTSPPDVFAGVGTSAHGLHRAWKSEQEASLGTGVWRINDCKES >ORUFI03G31860.1 pep chromosome:OR_W1943:3:26397630:26397818:-1 gene:ORUFI03G31860 transcript:ORUFI03G31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGGRRWLRRRHCFGPLHQTLGNTLTSKEVFTWANSNNQWLLHVSDIDGTSK >ORUFI03G31880.1 pep chromosome:OR_W1943:3:26427464:26427883:1 gene:ORUFI03G31880 transcript:ORUFI03G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGRPVDGKTAARRRRSALSRIVADTSDVTWHSPNHSRLRDMRMPLRSLEALVAFLVACFPYLPTWEALQYLRLANADLLAAARLVEEDRNTKAFSLASRTIKTALRCAPSRRGNPSPERSSTGRSPSPLGWSRSPGS >ORUFI03G31890.1 pep chromosome:OR_W1943:3:26431205:26433375:1 gene:ORUFI03G31890 transcript:ORUFI03G31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREFLQRRYHRGLLKAGHCYGPFMNPAHNIVLNTVWYDTMFPAEEEYSEVAMICSRTLVSTACRSLLGLVAYLRACFPTVSRQQAIRYLLLAEVNLQRAIEMAGQEGHAMKDKFDRGIGFKAAATAAHHPDRDALVNFYLSAFFGPLPLKACGSFDVQLLSLMLSQEPSTSPHCSFETVPVLTEGASRLLSNIKQDFEAEQNFICSKVNAALKKYTQRTKGPEYDLHVICGLNPYVIKSGVSPLHYGDSSCKIRYKSKYSHVNFLASPRGSHSSDTVIPTLFFAECCNDNDITDEPLCWPIMGHPGRCFHCEYEGVKVVHPESQKYHGRDIDFEEMACKSHSNGIVNEDLVSSGESVTYSVGISQEDCIYFDFRRDVKCANFLNAHARMLEQRHCF >ORUFI03G31900.1 pep chromosome:OR_W1943:3:26455074:26458196:1 gene:ORUFI03G31900 transcript:ORUFI03G31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNRSKLLGAINGFYAAALDRLPVEAMPALLPRLLKAGLCVGFLDPVSNIVVNTITYKKRVPVVAGGDPDGKSAAIPTRRRRRKALSRIVADTSNVGGCGPSHRLLRDMGVAARSLQALVAFLTNYFPYLRTCEALEYLRLADADLSVAVRLIEQDRSSDAFSFASLTTETALTCAAIAGWHPNPKSLVERLYSIASQIGEASNLLSMEGCLSCRAVKNISRLVKHQQQEPVDLVGATFLPRSLEIKEKQPPFVRMKSLKSILLDKIYGLYLDVIACLPMDGLRMRYHRGLLKAGHCYGPFENPVHNIVLNTVWYEIMFPPQEEVSVQMICSRSLVRVACRSLNALVAYLRACFCTISEQQAMRYLFLTGANLWGAVEMARQECHAERNMLGLDLACMVAATAAHHPNPDALVKFFMSTFSMKPLPLQTDPFMFQTGGILNVPLLVHNLMRFCPSSCGSVQTVPVLSERASMTLSCIQEEFKAEQSFICGKVNDALKKYNQRTRLIILCLADIMDLDIAGIDPNLSILTSTFWRAQEICILLRQSPYFFFAECCNKEGVIDELTCCPVMGHPGRCYHCECEGAKIVHPDLEKYNGRTDFLEMARDKSSGTTTEDVISRCEYLHDAVDICEEDCVCFDASRDVECAEFLNSRAANKIRLE >ORUFI03G31910.1 pep chromosome:OR_W1943:3:26458782:26460212:1 gene:ORUFI03G31910 transcript:ORUFI03G31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNSGDRARARGHHGDDDEEGVAVVGEDYPYCSDSDSDDDDDVDRYVFLARQPAPPVDRDDAEADAGAEGTGCCKRKRRVVDDDDDDDGFSPRQPALLDGSGGQAAAEEEDDEGSSDDDDAMAPRGEAGGGGRGRGVGKVSRKKARLVDLIRSPLLPAELDGSDKAAPPPPRGVCPKKIAVVVQAKNRKRRRFVDGGEEQEPQPQPPVRGKRSRGPDLEASEGLQTASAAAAAAAPEAAERASKRFVCSICGRCFGSHQALGGHVLGHKKKAKNAAIAAAARDATTTAVTEAVAPASQRQSFFAADINGQDERGCDGAEGSRYDDDEEKSPVVVDDVAACHDADVDESRGHGKAESASAIDAGGDAGNNKVAHGDGEENDKIAGVVVASSHDGDSDVGKMKIVQHKCDECGKVCLTGQALGGHMSKHRRTRPAANGGEGPATATVADGGAQTVRLIGDDVCLQRAVAIAGFHSAQ >ORUFI03G31920.1 pep chromosome:OR_W1943:3:26461076:26466257:-1 gene:ORUFI03G31920 transcript:ORUFI03G31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRAATAALALGAGAGGAAIAYSDDPSATLKICAHLPPRLLRDAATAATIAFDYQYSLWGLDPGTPAWVSAKHDAHLRSANRLQELCFRNGGIYIKLGQHIAQLEYVVPEEYVQTMRASMLKRCPVSSYEQVCRVFGKDMGESPETVFVEFDPVPLASASLAQVHAARTHDGQKVAVKVQHDHLTDTSVIDIATVGLIVNTLHYIFPSFDYRWLVDEIRESAPKELDFLNEAKNSEKCVQNFRRLSPHIAGSIYVPKVYWTLSSSRILTMEFMDAKEVTDVKGIKELGIRPVDVSNLVSKAFSEMIFKHGFVHCDPHAANMMIRPLPQDSKKTFGWRRPQLILLDHGLYKELDYATRISYASLWKALVFADEKSIKENSVKLGAGEDLHALFAGVLTMRPWKSVIDPAVDHLVLDGSSNDRSELQMYASLYFPQISELLRRLPRVILLMLKTNDCLRSVNHALVGGSSMESFVIIGRISSEAVLEAKRMSSRSILNKLMIWLEEILLEARFFSLKLLLCFMQLKKLLAC >ORUFI03G31930.1 pep chromosome:OR_W1943:3:26471526:26475275:1 gene:ORUFI03G31930 transcript:ORUFI03G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVMHLEGKVYSCKHCHTHLGLSSDIISKSFHCKHGKAYLFNKVVNVTSGVKEDRMMITGMHTVSDIFCVGCGSIVGWKYEAAHEKSQRYKEGKFILERYKVSGPDGSHYFVTHDAHVGGSDVDDV >ORUFI03G31930.2 pep chromosome:OR_W1943:3:26473784:26475275:1 gene:ORUFI03G31930 transcript:ORUFI03G31930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVGSVNVTSGVKEDRMMITGMHTVSDIFCVGCGSIVGWKYEAAHEKSQRYKEGKFILERYKVSGPDGSHYFVTHDAHVGGSDVDDV >ORUFI03G31930.3 pep chromosome:OR_W1943:3:26471526:26475275:1 gene:ORUFI03G31930 transcript:ORUFI03G31930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVMHLEGKVYSCKHCHTHLGLSSDIISKEAAHEKSQRYKEGKFILERYKVSGPDGSHYFVTHDAHVGGSDVDDV >ORUFI03G31940.1 pep chromosome:OR_W1943:3:26476091:26478009:-1 gene:ORUFI03G31940 transcript:ORUFI03G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGEPSTSDSLVLATAINDRASCVGLVELLSENPAALARYPVGTEYDWEDEVTPASDVGDAEGAGSDFDLDFAFAPPVSAAKLVPADDIFAHGRIVPAYPVFDRNFLDLSPGDVAEPASTAAPSTDTYCAWTPRSAPSSPSLDIAARSTRGNCNSETTAKGVPAASTVVEREGKGKEKRGEEGGAHVMSNRYNRDFTLYMNLTLA >ORUFI03G31950.1 pep chromosome:OR_W1943:3:26482979:26485228:1 gene:ORUFI03G31950 transcript:ORUFI03G31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTPISASAAAGVDGVGAAVALAAATKKSAAAAAAVAEMAKTLTVDTDDAFAGLLELAADDDAEGLRRALERAPPAAADEAGLWYGRRKVLEHRTPLMVAATYGSLAVLRLLLSLPSVDVNRRCGSDGTTALHCAASGGSPSCVEAVKLLLAAGADADATDASGYRPADVISVPPKMFDAKIALQDLLGCPKAGHGVLRVVTRAANSMLSPVSSPTAEDARSPSAAVMMTTKFADLPRVVTSEKKEYPVDPSLPDIKNSIYASDEFRMYSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGVCRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHTTDELRPLYVSTGSAVPSPRASATATMEMAAAMGLMPGSPSSVSAVMSPFTPPMSPSGNGMPPSLGWQQPNVPTLHLPGSSLQSSRLRTSLSARDMPADDYSLMQDIDSQLINDLCYSRIGSSTGNHTSRTKSLNPSNLDDLFSAEMVSSPRYSNADQGGMFSPSHKAAFLNQFQQQQQALLSPINTVFSPKSVDNQQLPSHSSLLQASLGISSPGRMSPRCVESGSPMNSHLAAALAQREKQQQTMRSLSSRDLGPSAARASGVVGSPLSSSWSKWGSPSGTPDWGVNGEELGKLRRSSSFELRSGGDDPDLSWVHTLVKESPPEKQVTTAESINSVGPSPLMPPSVSNGEGPSLNAPLDGHDQAAVIGALLEQMQLDQHIGSLAT >ORUFI03G31960.1 pep chromosome:OR_W1943:3:26488972:26491455:-1 gene:ORUFI03G31960 transcript:ORUFI03G31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSMVSSFWGPVTSTTELCEENYAHSSYIAEFYNTVSNVPCVLLALVGLVNALRQGFEKRFSVLHISNMILAIGSMIFHATLQHVLQQSDETPMVWEILLYLYVLYSPDWHYRSTMPTFLFLYGAAFAVVHFLVRFQVVFKLHYVGLCLLCIPRMYKYYIQTKDMAAKRLAKLWVLTLSLATLCWLFDRMFCKKLSHWYVNPQGHAWWHILMGFNSYFANTFLMFCRAQQRGWEPKITHLFGFLPYVKIQKPQKRE >ORUFI03G31970.1 pep chromosome:OR_W1943:3:26491493:26492487:-1 gene:ORUFI03G31970 transcript:ORUFI03G31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSTEEKARASRAESATKGKQGNLAVLSLHPCPSTRRAAYEREPHLEVFICCTHDLAPAEVTSETAR >ORUFI03G31980.1 pep chromosome:OR_W1943:3:26495832:26496350:-1 gene:ORUFI03G31980 transcript:ORUFI03G31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRDRAGQYYQQQRGQVGETVKGILPEKAPSASQALTVATLFPLGGLLLVLSGLALAASVVGLAVATPVFLIFSPVLVPAALLIGLAVAGFLTSGALGLGGLSSLTFLANTARQAFQRTPDYVEQARRRMAEAAAHAGHKTAQAGHAIQGRADQAGTGAGAGGGAGTKTSS >ORUFI03G31990.1 pep chromosome:OR_W1943:3:26496831:26500105:-1 gene:ORUFI03G31990 transcript:ORUFI03G31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPRSSPSFFGGLRARELGGGRVPLPRASAARLPYLADLSSYPGGRGSGVIAVEHAGDPAIPFAISFCKAEQISHLLAVADEDGYVGIYDTRRRLPSSSSSLEKSAETKMSDWVAHNNAIFDVCWIKDGSQLLTASGDQTVKIWSVENKKCLGVLSGHTGSVKSLSCHSSNPELIVTGSRDGSFALWDLRIDPKTPNGHREACLMSSLVVKQAHSPTQRNRTRSRAKAASTSITSVLYLKDDISIATSGAADNIVKIWDTRNIKLSLSNRSSQAAMQPLEGVKHGISCLSQDSYGAYIAASCMDNSALHMDKGPIKAYTGSKIESFFVKSAISPDGTHILGGSSDGNVYLWQVDQPERGPIILEGHEGEATSVDWCASEVGKIATSSDDSKVRVWNTERRVFPNTSSPTVIRKRITAPNTGSRSASHELATTSRDVGVAACTSADGELPTGSRSPLQPRVLEFGTPESAKKRAFRLFQEDSLDIRKSPEAQMNSPSSVLSPPHSLKRRTIRDYFASSSSCEHTKHVHDLALLAHSSTVKNLKNAQYSYRCLKK >ORUFI03G32000.1 pep chromosome:OR_W1943:3:26502953:26508754:1 gene:ORUFI03G32000 transcript:ORUFI03G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHYRPAGKKKEGNAAKYITRTKAVKYLQISLATFRKLCILKGVFPRDPKKKVEGNHKTYYHMKDIAFLAHDPLIEKFREIKVHRKKVKKAFAKKNKDLADRLLNRPPTYKLDRLILERYPTFVDALRDLDDCLTMVHLFAALPAVEGERVQVQRIHNCRRLSHEWQAYISRTHSLRKTFISVKGIYYQAEVQGQKITWLTPHALQQVLTDDVDFNVMLTFLEFYETLLGFINFKLYHSINVNYPPVLDPRLEALASELYALCRYMSSGRVPGNSEPAGLIEDKEGEDNKESSKTDESELRLAQLQHQLPTNEPGALMHLVQESTAADADDADAKECRSLFKNLKFYLSREVPRESLLFIIPAFGGTVSWEGEGAPFDETDEDITHQIVDRPTQSHVFLSREYVQPQWIYDCVNARIILPTEGYIVGRVPPPHLSPFVDNDAEGYIPEYAETIKRLQAAAQSQVLPLPSLGDEDMENSLVEAIIDRSESNEIADKKRKLEMLEKQYHDELRMEYEGKTFSNRTADNQPDVVDKSDTKEADDHMEDSHKQAEKDAADISKTLMSRKQRGLLQAIEINQERKKDKVNLLKKRKKNADSSASAKGR >ORUFI03G32010.1 pep chromosome:OR_W1943:3:26509141:26513939:1 gene:ORUFI03G32010 transcript:ORUFI03G32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSPPCLDPAAAAAASLSFLPAAAARPPAPCAVAPRSRRALRVAASVATAPESAAAQGRLESLSQGGANAGHTIYNSEGKKFSLHLVPSGILNEKTMCVVGNGAVVHLPGFFKEIDGLESNGISCEGRILVSDRAHLLFDFHQTVDGLREVELGNSLIGTTKRGIGPCYSNKVIRNGLRVSDLRHMDTFGAKLNTLLRDAALRFEGFEYSTKTLKEEVEKYEKFAERLGPYITDTVHFMNQSILQNKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRSIGDLIGVVKAYTTRVGSGPFPTELLGKTGDLLRASGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLTGLKEVKLGIAYCTEDGKEIESFPADLDLLEKIKVKYEVLPGWEDDISSVRNYSDLPETARLYVERIEELVGIPVHYIGVGPGRDALIYK >ORUFI03G32020.1 pep chromosome:OR_W1943:3:26513200:26515798:-1 gene:ORUFI03G32020 transcript:ORUFI03G32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIRRFCCDDLLRFSSVNLDHLTETFNMSFYMTYMARWPDYFHAAVSPGDRVMGYIMGKVEGQGESWHGHVTAVSVATEFRRQKLAKKLMNLLEEISDKMDKAYFVDLFVRASNMPAIRMYEKLGYVVYRRVLRYYSGEEDGLDMRKALSQDVEKKSIIPLKRPITPDELEYD >ORUFI03G32030.1 pep chromosome:OR_W1943:3:26519308:26527243:1 gene:ORUFI03G32030 transcript:ORUFI03G32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLKDKLTGKNGNKIKGLAVLMSRKLLDPRDFTASLLDNVHEVFGNSITCQLVSATVADQNNEGRGIVGSEANLEQGLTDLPSVSQGESKLTVRFNWEMDKHGVPGAIIIKNHHSTKFFLKTITLHDVPGCDTIVFVANSWIYPVGKYHYNRIFFANNIFLSCLRLSQMPEALRPYREDELRYLRGEDRQGPYQEHDRIYRYDVYNDLGEPDRDNPRPVLGGSQKHPYPRRGRTGRIPTKKDPNSESRLSLLEQIYVPSDERFAHLKMSDFAGYSIKAIVQGILPAIRTYVDLTPGEFDSFEDILKLYRGGLKLPSIPALEELRKSFPVQLIKDLLPVGGSYLLKFPKPDIIKENEVAWRTDEEFAREILAGLNPMVIRRLTEFPPKSTLDPSKYGDQTSTITPAHIEKNLEGLSVQQALDSNRLYILDHHDHFMPFLIDINSLDGIFTYATRTLLFLRDDDTLKPLAIELSLPHIEGNLTSAKSKVHTPASSGIESWVWQLAKAYVAVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVYKLLQPHYRDTMTINALARQTLINGGGIFEQTVFPGKHALAMSSAVYKNWNFTEQGLPDDLIKRGIAIKDPSSPSKVKLLIKDYPYATDGLAIWQAIEQWVTEYCAIYYPNDGVLQGDVELQAWWKEVREVGHGDLKDADWWPKMQSLPELTKACTTIIWIASALHAAVNFGQYPYAGYLPNRPTISRRPMPEPGSKEYTELDENPEKFFIRTITSQFQTILGVSLIEILSKHSADEIYLGQRDTPEWTSDPKALEAFKRFSRQLVEIESKVLNMNKDPLLKNRVGPANFPYTLMFPNTSDNKGAAEGITARGIPNSISI >ORUFI03G32030.2 pep chromosome:OR_W1943:3:26519308:26527243:1 gene:ORUFI03G32030 transcript:ORUFI03G32030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLKDKLTGKNGNKIKGLAVLMSRKLLDPRDFTASLLDNVHEVFGNSITCQLVSATVADQNNEGRGIVGSEANLEQGLTDLPSVSQGESKLTVRFNWEMDKHGVPGAIIIKNHHSTKFFLKTITLHDVPGCDTIVFVANSWIYPVGKYHYNRIFFANNSYLPSQMPEALRPYREDELRYLRGEDRQGPYQEHDRIYRYDVYNDLGEPDRDNPRPVLGGSQKHPYPRRGRTGRIPTKKDPNSESRLSLLEQIYVPSDERFAHLKMSDFAGYSIKAIVQGILPAIRTYVDLTPGEFDSFEDILKLYRGGLKLPSIPALEELRKSFPVQLIKDLLPVGGSYLLKFPKPDIIKENEVAWRTDEEFAREILAGLNPMVIRRLTEFPPKSTLDPSKYGDQTSTITPAHIEKNLEGLSVQQALDSNRLYILDHHDHFMPFLIDINSLDGIFTYATRTLLFLRDDDTLKPLAIELSLPHIEGNLTSAKSKVHTPASSGIESWVWQLAKAYVAVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVYKLLQPHYRDTMTINALARQTLINGGGIFEQTVFPGKHALAMSSAVYKNWNFTEQGLPDDLIKRGIAIKDPSSPSKVKLLIKDYPYATDGLAIWQAIEQWVTEYCAIYYPNDGVLQGDVELQAWWKEVREVGHGDLKDADWWPKMQSLPELTKACTTIIWIASALHAAVNFGQYPYAGYLPNRPTISRRPMPEPGSKEYTELDENPEKFFIRTITSQFQTILGVSLIEILSKHSADEIYLGQRDTPEWTSDPKALEAFKRFSRQLVEIESKVLNMNKDPLLKNRVGPANFPYTLMFPNTSDNKGAAEGITARGIPNSISI >ORUFI03G32030.3 pep chromosome:OR_W1943:3:26519308:26521808:1 gene:ORUFI03G32030 transcript:ORUFI03G32030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSNDFPGDLLRAVLQRLPPPDLARAACVCRLWRGVASDRAVLEAAFASPWGVRRVVGEPETRAFWRAASLARFALSHTVRRGDTVPGIALKYSIQVTDIKRFNNMMSDHGIYLRERLLIPISNPEILQGSTCYIEMDYNARREVAVFYPQGRPSGKAESSTNTAAAERRSRRILESVKRSLHTDDRTAAYYLSVTDGDPRAAMMEYSEDLRCTSLEEICCMRTESKSC >ORUFI03G32040.1 pep chromosome:OR_W1943:3:26527836:26537025:-1 gene:ORUFI03G32040 transcript:ORUFI03G32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGSGNMSSTVEVRSAAGRGDTGTGGCGGGDRSARTPVAKRWRRQVIIGETGDRRRVDEKSADRRRVDGQSVGQLRVDRESAGRRRVKGSPATGTSMGSRRRLAGRLGKIDLGVDGGASSPRVVACVVVALLLLSFAVEPLEAVAAAAAPATATKPIRCRKCDHACKKSCKGYGRNSDCSVPCGDPSNKAGCKSCLQAYYSKCLNYCGQACRAIRS >ORUFI03G32050.1 pep chromosome:OR_W1943:3:26537404:26542849:-1 gene:ORUFI03G32050 transcript:ORUFI03G32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEFRSGRRAVALVVVICAVLLLSSAVERAAAQVPCSKCDHACKKSCKGYGRDSSCSLPCGDPSNKAGCESCLDAYYLKCLNYCGQSCHQIMAAASGRRRAAAFAVVICAALLLLSSAVERAAAQVPCSQCDQACKESCKGYGRDSSSCSAPCGDPSNKDGCESCLEAYYSKCVNYCGPSCHVTCTSG >ORUFI03G32060.1 pep chromosome:OR_W1943:3:26547935:26548881:-1 gene:ORUFI03G32060 transcript:ORUFI03G32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIPNSFTVRVASAGLQVVAAATRGQRAAGRRSGAGQRAAESGAGREVRRRQRRGWRARREVRRSRGCTRRDGLWRRRDEDDGEEEDIAVDERDSLGLPLPVSRLVLLTGTKDPRALTRPDMESTGTKNLFLVPVFNGTGTIVDLGRPTKDGFSTSGTQAMMAAMAAVADEADARDGESATAVVDDGERMRQRRMQWTIVAAMADDDG >ORUFI03G32070.1 pep chromosome:OR_W1943:3:26549347:26550030:-1 gene:ORUFI03G32070 transcript:ORUFI03G32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLPRPLSMLSHTGYGLLVWGWGRGDGWGLVRCADGREKRGAGVEDAAWKEKKTRDERWGRREYERRGQAMKVTAKPARDTGDECDDGRGWSPRRT >ORUFI03G32080.1 pep chromosome:OR_W1943:3:26551753:26553748:1 gene:ORUFI03G32080 transcript:ORUFI03G32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCGQRVRGKWFHRESGRCLEEGKNVIFDTQDGPDMDEYEFWPDLDDEGGDFVFEDWFIDVVPKKKMHDGVAMEKKRGGKIGKLMSSNVTYGMGRPSSTITKATFSSNASYPQGGDLWQGTMANHSAEPSKHFVVESSNISEQSKEVSIQFMPNEEEIPSEEKMPSDKENGDGQFVGVDLWVDSQEEEYELIDDTKLEMLRLLIPGYTECFQVKKK >ORUFI03G32090.1 pep chromosome:OR_W1943:3:26560018:26565516:1 gene:ORUFI03G32090 transcript:ORUFI03G32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGIIDTITGSSKQSRLKGTVVLMRKNVLDLNDFGATVIDGLGEFLGKGVTCQLISSTAVDPNNGNRGKVGAEASLEQWLTSSLPSLTTGESRFGVTFDWDVDKLGVPGAIIVKNHHSNEFFLKTITLDDVPGRAGAVVFLANSWVYPADKYRYDRVFFANDAYLPSQMPAALKPYRDDELRNLRGDDQQGPYEEHDRVYRYDVYNDLGSPDSGNPRPILGGSPDTPYPRRGRTGRKPTTTDPDSESRLSLVEQIYVPRDERFGHLKMADFLGYSIKAIAEGIVPAIRTYVDTTPGEFDSFQDILDLYEGGLKLPDVPALEELRKRFPLQLVKDLLPATGDYILKLPMPQIIKQDKEAWRTDEEFAREVLAGVNPMMITRLTEFPPKSSLDPSKFGDHTSTITAAHIGSNLEGLTVQQALDSNRLYILDHHDRFMPFLIDVNGLEGNFIYATRTLFFLRGDGTLAPLAIELSEPMIQGDVTAAKSTVYTPASTGVEAWVWQLAKAYVAVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLSPHYRDTMTINALARQTLINAGGIFEMTVFPGKYALWMSSMVYKNWNFTEQGLPADLIKRGVAVEDATSPYKVRLLIKDYPYAADGLEIWHAIEQWVGEYLAIYYTDDGVLRGDAELQAWWAEVREVGHGDLKGAAWWPRMDAVSELRDACTTIIWIASALHAAVNFGQYPYAGYLPNRPTVSRRRMPEPGTEAYGELGRDPERAFIRTITSQLQTIIGISLIEVLSKHSSDEVYLGQRDTPAWTSDARALEAFRRFSDRLVEIEGKVVGMNGDAGLKNRNGPAEFPYMLLYPNTSDVTGAAAGITAKGIPNSISI >ORUFI03G32100.1 pep chromosome:OR_W1943:3:26565025:26568532:-1 gene:ORUFI03G32100 transcript:ORUFI03G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRSLDGLVAFLTCLFPYLPEVEALRYLDAAGADALVAARLIVNRRGMEQSFVVDSGATALLDNTMMNHATVKDDEILHLKQSWELAESRLDHHNTKTKLAKSWERLAKSLLLRAKPQPVLPHARAAKKRMLFATIRGFYLQAMARLPADELRARYHRSMLKAGHCYGPLDPVSNIIVNTIWYDQAAFSQSKPCTLQMISTKCLMRIVARSFYGLLSFLCTRYPDCSPDQAMGWLQMANADLRIVDPALGYMSNKITRTDNISMSFCCNLPLQHGDRLCSDDVTLISLLFRKRHFELRHQQQPEPKRLCNDAYIALCHRRFKFWLHHDLVCKNVEVALATFNLDKVHKYRLHFICGVNECVSGLEYGPVRSNSPWRIYKYNHSHINFLAICDDPQSANDPATLFFAECSNYSVHEESWCIPVVSPHRDTELVRCIYCESKGTRIVHPGEKSFHGRDTEFEKVMRGERLFPGLQRGSYSNIRLAERTDADWVDNLEEDCIYITACAADNDRRVNPLNYPPMYRERVLPCCEAASDRS >ORUFI03G32110.1 pep chromosome:OR_W1943:3:26577222:26583704:1 gene:ORUFI03G32110 transcript:ORUFI03G32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQGFFDRLTGRNKEAWKEGRIRGTAVLVKKDVLGLGDFHASLLDGVHNILGHKEGVAFRLVSATARDPSNGGRGKLGKPAHLEELVVTMKSTAAGESVFRVAFEWDESQGIPGAVVVTNSNRSEFFLKTLTLDGVPGKGTVVFVANSWIYPADNYQYERVFFANDTYLPSKMPAPLIPYRQEELNILRGDGKIGPYKEHDRIYRYDYYNDLGQPDKGSKLVRPVLGGSQELPYPRRGRTGRAPTKTDPNTESRLPLLDLNIYVPRDERFGHLKMSDFLGYSLKAIVEGVLPIIRTYVDTTPKEFDSFQDIMELYEGGLKVANASALAEIKKRVPFELIKSLLPVAGDQVLKLPLPHVIKEDKFAWRTDEEFAREMLAGVNPVMIKRLTNFPAKSTLDPNVYGDHTSKITEAHIKHNMEGLTVQNALKGNRLFILDHHDHFMPFLDKINKLDGNFIYASRTILLLKDDGTLKPLAIELSLPHPDGQQHGAVSKVYTPANTGVESQIWQLAKAYASVNDSAWHQLISHWLNTHAVIEPFVIATNRQLSVVHPVHKLLSPHYRDTMNINALARQTLINADGIFEKTVFPGKYALEMSSVVYKNWKFTEQALPVDLVKRGVAVPDPTSPYNVRLLIKDYPYAVDGLVIWWAIERWVGEYLAIYYPNDGVLRGDEELQAWWKEVREVGHGDLKDQDWWPKMDTVQELTRACTIIIWIASALHAAVNFGQYPYAGFLPNRPTVSRRPMPEPGTEEYAKLERGGDEADLVFIHTITSQFQTILGISLIEILSKHSSDEVYLGQRDTPEWTSDAKALDAFKRFGSRLVDIENRIKDMNGNSALKNRNGPVKMPYMLLYPNTSDVTKEKGQGLTAMGIPNSISI >ORUFI03G32120.1 pep chromosome:OR_W1943:3:26586976:26595118:1 gene:ORUFI03G32120 transcript:ORUFI03G32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRGIESLATGDGWHHLSRTLGPVLLISMGYIDLGKWVATIDAGSRFGYDLVILVLLFNLSAILCQYLSMCIGMVTGKNLAEICREEYSPSICVILGIQAGLSLLTAELTMLSGISVGFNLVFEYDDPIAGLYFASVVVNLLPYTMSYLGKRMAGTLNACVAGFALLCFVLGLLVSQPKIPVDMNAMFPKLSGESAYSLMALLGGNGQRQSTTLSLGALFHDHLFSILFIFTGVFLVNYVLMGSAAVESNNTLVTFQDSVDLMNQMFMNPMAPIVFLVILIFSSHVISLTSIIGSHAILKNFFGVNLPHSAHHLLLKAVAMVPTMYYAKVAGSEGIYQLLIICPVIQAMFLPSSVIPVFRVSSSRVIMSRYKISLYVEILAILAFLLLLFTNIIFAAEILFGDSTWTNNLKGNTGSPVVLPHAIVVLISCASITFTLFLAVTPLKSASNEPETQELSEHSQREDPDTTYQREASNEPETQELSEHSQREDPDTTYQIEVSNERETQQLSEHSQIEDPDTFYHREELSLVEQKEDHTTSTINAIPRISSESYQTSALEHNDFPDITVESGHGTQQLTAFVPIIPEVSSSIKHKEPKSVVIDQTEPVPKVCTATVVEHNTAENIKMKSTTSKHVQEEAGASMDYDTEASYNAEVSRSSGNKAPPISDDPTSLTLSKGRDSDAGYRGSNLSRLPGLGRAARRQLAAILDEFWGHLFDYHGKLTQEANAGRFNFLLGPYPKAVRSDNQAIEASRSPLMRDAIRGSATIQKSWDSRAKEVSSPGFNFVLQMGRIGSSNWSESMRLSNADIPRPTSTLFEQNTQFYSNYNVPSYPDNQFYQPATIHGYHLATSLKSMNASHSTHSSISLDPRRLPRSSESAGSNYADSARYARNQDVIGSQGTASQNTTMSCLDTMTVERAFYNPASVNEIEGVGSSAYSKKYHSSPDISALIAASRNYLPNEVNLGGAAGSSSYFSNLACERSQYVNLGSSSTAQFALSKHSQPNFHRDTSSMQSSVNPSTESIWAQQPFEQLLGVSRAELNKGEGNTDQRSSGVTKHDFSNKEYEVKLLQSLRFCIMKLLKLEGPGWLFEQNGGCDEKLIDQVAVAERVSQHTTENQLSADLQLHSSDEDLQPLQRNDNRDANCMSLLPKCGDDCVWQAPLIVSFGVWCIRQILNLCLVESRPELWGKYTYVLNRLQGILDPAFSKPRKPMKGCVCLQKVAKPISGTFTTAGMILEMIKDVEQAISSRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSNKTSAGQ >ORUFI03G32120.2 pep chromosome:OR_W1943:3:26586965:26595118:1 gene:ORUFI03G32120 transcript:ORUFI03G32120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRGIESLATGDGWHHLSRTLGPVLLISMGYIDLGKWVATIDAGSRFGYDLVILVLLFNLSAILCQYLSMCIGMVTGKNLAEICREEYSPSICVILGIQAGLSLLTAELTMLSGISVGFNLVFEYDDPIAGLYFASVVVNLLPYTMSYLGKRMAGTLNACVAGFALLCFVLGLLVSQPKIPVDMNAMFPKLSGESAYSLMALLGGNGQRQSTTLSLGALFHDHLFSILFIFTGVFLVNYVLMGSAAVESNNTLVTFQDSVDLMNQMFMNPMAPIVFLVILIFSSHVISLTSIIGSHAILKNFFGVNLPHSAHHLLLKAVAMVPTMYYAKVAGSEGIYQLLIICPVIQAMFLPSSVIPVFRVSSSRVIMSRYKISLYVEILAILAFLLLLFTNIIFAAEILFGDSTWTNNLKGNTGSPVVLPHAIVVLISCASITFTLFLAVTPLKSASNEPETQELSEHSQREDPDTTYQREASNEPETQELSEHSQREDPDTTYQIEVSNERETQQLSEHSQIEDPDTFYHREELSLVEQKEDHTTSTINAIPRISSESYQTSALEHNDFPDITVESGHGTQQLTAFVPIIPEVSSSIKHKEPKSVVIDQTEPVPKVCTATVVEHNTAENIKMKSTTSKHVQEEAGASMDYDTEASYNAEVSRSSGNKAPPISDDPTSLTLSKGRDSDAGYRGSNLSRLPGLGRAARRQLAAILDEFWGHLFDYHGKLTQEANAGRFNFLLGPYPKAVRSDNQAIEASRSPLMRDAIRGSATIQKSWDSRAKEVSSPGFNFVLQMGRIGSSNWSESMRLSNADIPRPTSTLFEQNTQFYSNYNVPSYPDNQFYQPATIHGYHLATSLKSMNASHSTHSSISLDPRRLPRSSESAGSNYADSARYARNQDVIGSQGTASQNTTMSCLDTMTVERAFYNPASVNEIEGVGSSAYSKKYHSSPDISALIAASRNYLPNEVNLGGAAGSSSYFSNLACERSQYVNLGSSSTAQFALSKHSQPNFHRDTSSMQSSVNPSTESIWAQQPFEQLLGVSRAELNKGEGNTDQRSSGVTKHDFSNKEYEVKLLQSLRFCIMKLLKLEGPGWLFEQNGGCDEKLIDQVAVAERVSQHTTENQLSADLQLHSSDEDLQPLQRNDNRDANCMSLLPKCGDDCVWQAPLIVSFGVWCIRQILNLCLVESRPELWGKYTYVLNRLQGILDPAFSKPRKPMKGCVCLQKVAKPISGTFTTAGMILEMIKDVEQAISSRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSNKTSAGQ >ORUFI03G32130.1 pep chromosome:OR_W1943:3:26596159:26596746:-1 gene:ORUFI03G32130 transcript:ORUFI03G32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASFVDDDLAPPPSLMTTAGATTRRPGGAQWCRGLDGGGGERGRRREQVAVKAEEVPAVSRWAVVVAAASKAWLPVSARGLRRSERKEGGEARGGVGGESGGGGGEVVEGHLQERRERGDGDGRREGGEDGQRERGEMDGHRRHRPCCAAAADLAVLDAGQKKGERRGRRKRGRRLRGDIKRDGSGMVSILKI >ORUFI03G32140.1 pep chromosome:OR_W1943:3:26599203:26608372:1 gene:ORUFI03G32140 transcript:ORUFI03G32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPALPARHNPPLLPRPAQLPHRPSYSPPSHPPADPAGLTALLGDLTSPANEARSRAEGMFHALRASHPDALALRLAHLLLSPAHPSAPMAAVLLRRLISPGSQAFVYPGLSPATQSSLRALLLSAASATGLSKSISKKLSDAVAELASFLLPSNAWPDLLTFLYKSIASPSSPPALQESALNILARLASHLAAGFPNLHALLLSALSHPSSADVRVAGLNAAISLIQSLPSAADRDQFQDLLPAMMRALAESLNCGNEGSAQEALEMMIELAGAEPRFLRRQLPDVVGSMLQIAEAPGLEDGTRHLAVEFVVTLAEARERAPGMMRKLPRYVGRLFAVLMTMLLDVQDEPAWHAAVSEEEDAGETGSYVFAQECLDRLAIAVGGNTILPVAAELLPSFFASEEWKRRHAALVTIAQIAEGCARVMIKNLEQVVGMVLNSFRDPHPRVRWAAINAIGQLSTDLGPELQNKLHHVVLPALASSMDDFQNPRAHAASAILNFSENCRPDILTPYLDGIVGKLLSLLQTGNQMVQEGALTALASAADSSQEHFQKYYDAVMPYLKSILMNATDKSNRMLRAKSMECISLVGMAVGKQKFKDDAKQVMEVLMTLQGSQMEADDPITSYMLQAWARLCKCLGQDFLPYMNVVMPPLLQSAQLKPDVSVTSAGPEDENGESDDEGVETITLGDKRIGIRTSLLEEKATACNMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAIEKSQSQGRDESYLKQLSDYIVPALVEAIHKEPDTQICASMLESLNESIQLSGTLLEEGQVRSIVDGIKEVITASALRRRERTDRAKAEDFDSEEEDLLREENEQEDEIFDQIGDCLGTLVKTFKTYFLPFFDELSVYLTPMLAKDKTVEERRIAICIFDDVAEHCREAAVRYYDTYLPSLLEACTSENPDIRQAAVYGIGICAEFGGSAFRPHTGEALSRLYNVIKHPNALDLDNAMAYDNAVSALGKICQFHRDGIDASQVVPAWLSCLPIKNDLIEAKIVHEQLCTMLEKSDRELLGHNNQYLPKIVSIFAETASDYIASFSTGVNMVFFAAAATACPTVCVVIIAEQLKSNRFDSSMAIVVRGRLRLDSVGHWENLKKEPVFTF >ORUFI03G32150.1 pep chromosome:OR_W1943:3:26609380:26611914:-1 gene:ORUFI03G32150 transcript:ORUFI03G32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEPIDLPKTNAQKLSIHPPIRHAEPPIRLSKIQSKANPLCECATATHPHSGEPMDVLKRELQRKRQLLDADFGGRKLLRRAEIERREMERIREAERRLLLQKQLRSSSSHHRAASRSTTTPGAPDGDESSRAEHRGDGEEKEGAPLLPREEVIRRLRVLRQPATLFGEDDAARLRRLHAVLEDPAALADVEAAEIGEGQTNDFLRDIQALRAKAVAASASAAAKPKAGAATAESGGETREEVPFEELCDEDKIMTFFKRLMSEWSQEMDEMPEAERRTAKGKAAVATCKQCARYLEPLFKLCKKKHEDVDRQKLTLPEDVRGSLLEVVRCCMRRDYLAAVDNYIKLAIGNSPWPIGVTMVGIHERSAREKIYANSVAHIMNDETTRKYLQSVKRLMTFCQRKYPTDPSRSVEFNSLANGSDLQSLLAEQNAKNSEETLRLVAAS >ORUFI03G32150.2 pep chromosome:OR_W1943:3:26609380:26611914:-1 gene:ORUFI03G32150 transcript:ORUFI03G32150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEPIDLPKTNAQKLSIHPPIRHAEPPIRLSKIQSKANPLCECATATHPHSGEPMDVLKRELQRKRQLLDADFGGRKLLRRAEIERREMERIREAERRLLLQKQLRSSSSHHRAASRSTTTPGAPDGDESSRAEHRGDGEEKEGAPLLPREEVIRRLRVLRQPATLFGEDDAARLRRLHAVLEDPAALADVEAAEIGEGQTNDFLRDIQALRAKAVAASASAAAKPKAGAATAESGGETREEVPFEELCDEDKIMTFFKRLMSEWSQEMDEMPEAERRTAKGKAAVATCKQCARYLEPLFKLCKKKTLPEDVRGSLLEVVRCCMRRDYLAAVDNYIKLAIGNSPWPIGVTMVGIHERSAREKIYANSVAHIMNDETTRKYLQSVKRLMTFCQRKYPTDPSRSVEFNSLANGSDLQSLLAEQNAKNSEETLRLVAAS >ORUFI03G32160.1 pep chromosome:OR_W1943:3:26612805:26616826:1 gene:ORUFI03G32160 transcript:ORUFI03G32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAASSPFDCVLFDLDDTLYPGSAGIGLATKRNIDEFLIARCGVTAERAAALRVELFRSYGSSLAGLIAIGYDVHPDEYHSYVHGRLPYDRIAADPQLAGLLRSIPQRKILFTNSDRAHMRKALQRLSVDEGCFDAVVCFETMNPHLFGEAPCASGDDRPGVILKPSPDAIVAALRIAGTNPHRTLFLDDSERNIAAGKALGLRTVLVGKRVRSKEADYALESIGSLRRAIPEIWGGVAVAVAGEQLDHGAAEKTKGMRSDLDSIIQPTSVLA >ORUFI03G32170.1 pep chromosome:OR_W1943:3:26618915:26621357:1 gene:ORUFI03G32170 transcript:ORUFI03G32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCLLPSTPPCCSCGAAGGGGSPSRTPRLARTAAPFASSSSSSSGAASSGGGLQLACRRRRAGVARGGGGKGDGGASGGAEFFGEDGVVEDMDGYLNYLSLEYDSVWDTKPSWCQPWTILLSGAVAIAGSWLPIHSAVITGGVSFVICAWWYIFLYSYPKAYTEMIAERRRKVASGAEDTYGMEKSQ >ORUFI03G32180.1 pep chromosome:OR_W1943:3:26622186:26631381:1 gene:ORUFI03G32180 transcript:ORUFI03G32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVRTKRPPPQPLPRRPPPPKPSAESKPARARAPATSLLESLKSFKSRLAAGPPLAPTPKSFKSYAETCASILRLCSAAAAASGTAAASSNLPLVLSIHAHALVSGLTADGSVASHLLTAYAAFARAADRDGAFRDCVSVVGAASPFAYDFMVREHVKAGDIVSARRLFDGMPERSVVSYTTMVDALMKRGSVRDAVELYRQCPLCSVPFFTAMIAGFVLNELPKDALGVFHEMLSCGVSPNEITLVSVIKACIGAGEFDLAMSIVGLAMKSNLLDKNLGVRNSLITLYLRKGDADAARRMFDEMEVRDVVSWTALLDVYAELGDLEGARRVLDEMPERNEVSWGTLVARHEQKGNAKEAVSLYSQMLADGCRPNISCFSSVLGACASLQDLRSGRKIHNQTLKMACSNNVFVSSALIDMYCKCKQLPDAQMIFYSLPQKNIVCWNSLISGYSNNSKMVEAEELFKKMPARNVASWNSIISGYAQNRQFIDALKSFHAMLASGQSPGEITFSSVLLACASLCSLEMGKMVHAKIIKLGIKESIFVGTALSDMYAKSGDLDSSKRVFYEMPKRNDVAWTAMIQGLAENGFAEESILLFEDMISAGITPNEQTFLAILFACSHSGLVEHAMHYFEMMQACGISPKAKHYTCMVDVLARAGHLAEAEDLLLKIESKSEANSWAALLSACNIYRNKEMGERAAKRLQELDKDNTAGYVLLSNMYASCGKWKDAAEMRILMKGINLKKDGGCSWVQIRGQYQAFFSWETKHPLLPDKIQLCIYCIVTILTCLLNLVLPPLIWNSNEDYCKRCPGCENPKHELCYELALVITFEYELSGVTVEVGKECLSFRYSSLIENQYSQLDLRSCSVEKSQKCTVYAVSATCIWLDWGTDSSLPVEVAGAAGMEPLEVAITIIFDALLLVFMVKLFFAMFQMKLVVILFYLVILLFAMAFSGRAPSGAVEVEEEREQRRVEDGVERPPGHPLAPAGGEVPPQHHRRRREAEEELRDLQLRDGALPPRRPPEAEAAAEVVAVHGDVHGGVGGERDGHQRLPRGEPEVAHGDDGGVVVDVEQRQRAAAEEDEQRVAELVHLGENTCAQKKTGPDAAVAPPGGKQKAHHAEGAVAATESTHPAAMAAESARRTALWSAETARSARGCGDGSGTTRRWRRNTVAR >ORUFI03G32180.2 pep chromosome:OR_W1943:3:26628952:26637610:1 gene:ORUFI03G32180 transcript:ORUFI03G32180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPSHKQQSQSKGQSGVPPASDLPNPSSSAEYIASPSAVRRRGRFSSTSSSSSVSLPLLPFLALLLFLAPSDLSLGFLARTDSSSPCCCCCLRFLPDDLATKTQDPFFPPPSVYGISAISSSESNRELQEAHIGRALLGNTGLALELAEDGEVVGEASAEDNVLRPQPLGLLPVHRRGGDAVVGRAVAAAVLDGVASHLRDLHERVRHLQGDLVRHRRRRLDRPPAARSPGLADDGTAGGEGREEDGEVNPRAKHAT >ORUFI03G32180.3 pep chromosome:OR_W1943:3:26622186:26628119:1 gene:ORUFI03G32180 transcript:ORUFI03G32180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVRTKRPPPQPLPRRPPPPKPSAESKPARARAPATSLLESLKSFKSRLAAGPPLAPTPKSFKSYAETCASILRLCSAAAAASGTAAASSNLPLVLSIHAHALVSGLTADGSVASHLLTAYAAFARAADRDGAFRDCVSVVGAASPFAYDFMVREHVKAGDIVSARRLFDGMPERSVVSYTTMVDALMKRGSVRDAVELYRQCPLCSVPFFTAMIAGFVLNELPKDALGVFHEMLSCGVSPNEITLVSVIKACIGAGEFDLAMSIVGLAMKSNLLDKNLGVRNSLITLYLRKGDADAARRMFDEMEVRDVVSWTALLDVYAELGDLEGARRVLDEMPERNEVSWGTLVARHEQKGNAKEAVSLYSQMLADGCRPNISCFSSVLGACASLQDLRSGRKIHNQTLKMACSNNVFVSSALIDMYCKCKQLPDAQMIFYSLPQKNIVCWNSLISGYSNNSKMVEAEELFKKMPARNVASWNSIISGYAQNRQFIDALKSFHAMLASGQSPGEITFSSVLLACASLCSLEMGKMVHAKIIKLGIKESIFVGTALSDMYAKSGDLDSSKRVFYEMPKRNDVAWTAMIQGLAENGFAEESILLFEDMISAGITPNEQTFLAILFACSHSGLVEHAMHYFEMMQACGISPKAKHYTCMVDVLARAGHLAEAEDLLLKIESKSEANSWAALLSACNIYRNKEMGERAAKRLQELDKDNTAGYVLLSNMYASCGKWKDAAEMRILMKGINLKKDGGCSWVQIRGQYQAFFSWETKHPLLPDKIQLCIYCIVTILTCLLNLVLPPLIWNSNEDYCKRCPGCENPKHELCYELALVITFEYELSGVTVEVGKECLSFRYSSLIENQYSQLDLRSCSVEKSQKCTVYAVSATCIWLDWGTDSSLPVEPR >ORUFI03G32180.4 pep chromosome:OR_W1943:3:26622186:26629108:1 gene:ORUFI03G32180 transcript:ORUFI03G32180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVRTKRPPPQPLPRRPPPPKPSAESKPARARAPATSLLESLKSFKSRLAAGPPLAPTPKSFKSYAETCASILRLCSAAAAASGTAAASSNLPLVLSIHAHALVSGLTADGSVASHLLTAYAAFARAADRDGAFRDCVSVVGAASPFAYDFMVREHVKAGDIVSARRLFDGMPERSVVSYTTMVDALMKRGSVRDAVELYRQCPLCSVPFFTAMIAGFVLNELPKDALGVFHEMLSCGVSPNEITLVSVIKACIGAGEFDLAMSIVGLAMKSNLLDKNLGVRNSLITLYLRKGDADAARRMFDEMEVRDVVSWTALLDVYAELGDLEGARRVLDEMPERNEVSWGTLVARHEQKGNAKEAVSLYSQMLADGCRPNISCFSSVLGACASLQDLRSGRKIHNQTLKMACSNNVFVSSALIDMYCKCKQLPDAQMIFYSLPQKNIVCWNSLISGYSNNSKMVEAEELFKKMPARNVASWNSIISGYAQNRQFIDALKSFHAMLASGQSPGEITFSSVLLACASLCSLEMGKMVHAKIIKLGIKESIFVGTALSDMYAKSGDLDSSKRVFYEMPKRNDVAWTAMIQGLAENGFAEESILLFEDMISAGITPNEQTFLAILFACSHSGLVEHAMHYFEMMQACGISPKAKHYTCMVDVLARAGHLAEAEDLLLKIESKSEANSWAALLSACNIYRNKEMGERAAKRLQELDKDNTAGYVLLSNMYASCGKWKDAAEMRILMKGINLKKDGGCSWVQIRGQYQAFFSWETKHPLLPDKIQLCIYCIVTILTCLLNLVLPPLIWNSNEDYCKRCPGCENPKHELCYELALVITFEYELSGVTVEVGKECLSFRYSSLIENQYSQLDLRSCSVEKSQKCTVYAVSATCIWLDWGTDSSLPVEVAGAAGMEPLEVAITIIFDALLLVFMVKLFFAMFQMKLVVILFYLVILLFAMAFSGRAPSSF >ORUFI03G32180.5 pep chromosome:OR_W1943:3:26630526:26641137:1 gene:ORUFI03G32180 transcript:ORUFI03G32180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAKDGVEAGVRPGSHRKEATAGWSTSAHRARRSSAVAAISQGTVHPPPRPRRPVERTKQQSQSKGQSGVPPASDLPNPSSSAEYIASPSAVRRRGRFSSTSSSSSVSLPLLPFLALLLFLAPSDLSLGFLARTDSSSPCCCCCLRFLPDDLATKTQDPFFPPPSVYGISAISSSGFDSSIKQKVYLQGRLH >ORUFI03G32180.6 pep chromosome:OR_W1943:3:26628604:26641137:1 gene:ORUFI03G32180 transcript:ORUFI03G32180.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLEVAITIIFDALLLVFMVKLFFAMFQMKLVVILFYLVILLFAMAFSGRAPSNQDPRSILPATFSVYLQGRLH >ORUFI03G32190.1 pep chromosome:OR_W1943:3:26630673:26631512:-1 gene:ORUFI03G32190 transcript:ORUFI03G32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALLRRARWALVDHPAVASFRWEPGRTPASTPSFAAAVICAYLATVFLLHRRVVPLPSPHPRALRAVSALHSAVLLALSAAMAAGCVLSVAATAPSAWWAFCFPPGGATAASGPVFFWAHVFYLSKVYELGDTLLILLGRRPLTLLHVYHHAAVIAMCYLWLATRQSLMPIALATNAAVHVAMYGYYLCCSLGLRWPPRWKRAVTELQIAQFLFSFAASAVMLWRHFAAGGCEGMAGWAFNAVFNASLLALFLDFHGAAYAAAKGKKSRSEVVKEE >ORUFI03G32200.1 pep chromosome:OR_W1943:3:26634127:26634615:-1 gene:ORUFI03G32200 transcript:ORUFI03G32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSQKKKRPSGPNPSIKSKASSSDQKPKPSKPTEEEREEKAAAAVAAEKPKKKKATNEIDKIFQATKSSGKKRKQQQQQGEEESVRAKKPKERSEGAKKSNKAKKGSKGRDTDDDDEVEEKRPRRRTADGLAIYSADELGFGKSDAGGTPLCPFDCDCCF >ORUFI03G32210.1 pep chromosome:OR_W1943:3:26635286:26637746:-1 gene:ORUFI03G32210 transcript:ORUFI03G32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKIPLEVAHTLVEIAEVARYAIEHRRGHGPAHDGVSPPAVDGEEAERLRAENVILRARLADDLSILRELQGEPCVSQECPADLHNRLVAAVNNASFLAQLEKIRDESRHQQTELSPDNMTELDIADIPYTEGGGKNGSWVLVACDKPGANMEEISGIDNENYVLVNDDDIIDGMTSFIARCILEDPKSKSISPVELQKAVAMALSTLNDKWKWMSIWEAGKVLYILATWGITIVGLYRSRHVLKIAAKGAVVSAKFVMKAL >ORUFI03G32210.2 pep chromosome:OR_W1943:3:26635286:26637746:-1 gene:ORUFI03G32210 transcript:ORUFI03G32210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKIPLEVAHTLVEIAEVARYAIEHRRGHGPAHDGVSPPAVDGEEAERLRAENVILRARLADDLSILRELQGEPCVSQECPADLHNRLVAAVNNASFLAQLEKIRDESRHQQTELSPDNMTDIPYTEGGGKNGSWVLVACDKPGANMEEISGIDNENYVLVNDDDIIDGMTSFIARCILEDPKSKSISPVELQKAVAMALSTLNDKWKWMSIWEAGKVLYILATWGITIVGLYRSRHVLKIAAKGAVVSAKFVMKAL >ORUFI03G32220.1 pep chromosome:OR_W1943:3:26640260:26643501:-1 gene:ORUFI03G32220 transcript:ORUFI03G32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDCIEPLWPTDELLIKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTTHTKTVAMVMTVAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEQLDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGGTLGLEECALWMPSRSGSSLQLSHTLRHQITVGSTVSINLPVVNQVFSSNRAIIIPHTSPLARIRPLAGRYVPPEVAAVRVPLLHLSNFQINDWPELSAKSYAIMVLMLPSDSARKWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKSSNLLATLINDVLDLSKLEDGSLELEIKAFNLHAVFKEVMSFIKPIAAIKRLSVSVMLAPDLPLCAIGDEKRLMQTILNISGNAVKFTKEGHITLVASVVKADSLREFRTPDFHPTASDDNFYLKVQIKDTGCGISPQDLPQVFTKFAQSQPGGNRGYSGSGLGLAICKRFVTLMGGHIWLDSEGTGRGCTVTFVIQLGICDNTNAYQQKLIPLVWPSSGDADFVGPVPNAPNEEKGQASLKSRYQRSI >ORUFI03G32230.1 pep chromosome:OR_W1943:3:26643529:26644585:-1 gene:ORUFI03G32230 transcript:ORUFI03G32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRSAGREATIASTRRAVGFFFSPPPLLPFPGGGLVVADLTAPHWLPPCRAACLCASGWLSYLPFLRRVLLSLLSPRALAPPPLGHRRSPPPPPTTREGVW >ORUFI03G32240.1 pep chromosome:OR_W1943:3:26650123:26657943:1 gene:ORUFI03G32240 transcript:ORUFI03G32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAETSKGSIQRGNTLPNGDIYVGSFDGLVPHGPGKYMWTDGALYDGEWDKSKMTGRGLIQWPSGASYEGDFRGGFIDGAGTFKGVDGSVYKGSWRMNKKHGMGTMVYSNSDTYEGFWNEGLPDEFGKYTWADGNVYIGRWKSGKMNGSGVMQWINGDTLDCNWLNGLAHGKGYCKYASGACYIGTWDRGLKDGHGTFYQPGSKIPCNLEVSDCLTSHDGTSASSSSNEKITIGLLFLLQKLCKNWRLRRFLHRPRRISNGTTPVFDDNSGSHLCQDVSSKSFSADDQCLQDSEVDKDSVYEREYVQGVLIMEQPKNEDSRMSESGIAQENNWEKQAKGPMETIYKGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIKMYFPCEGSQYTPPHYSVDFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKPGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQNINENTTLKDLDLSYVFHVDKPWREALFRQIATFLLLFFLNILLSSFMIALDCMFLESQSIIDYSMLLGIHFRAPNHLKRITSCQNALESTGISAETECSVALHHEETISSKGFLLVAADEPGPAVRGSHIRGSMVRAAEGGYEEVDLVLPGTGRFRVQLGVNMPARARKVQEDVNVEVENRDTIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNLYSRRFISFLEKVFPEQD >ORUFI03G32240.2 pep chromosome:OR_W1943:3:26650123:26657943:1 gene:ORUFI03G32240 transcript:ORUFI03G32240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAETSKGSIQRGNTLPNGDIYVGSFDGLVPHGPGKYMWTDGALYDGEWDKSKMTGRGLIQWPSGASYEGDFRGGFIDGAGTFKGVDGSVYKGSWRMNKKHGMGTMVYSNSDTYEGFWNEGLPDEFGKYTWADGNVYIGRWKSGKMNGSGVMQWINGDTLDCNWLNGLAHGKGYCKYASGACYIGTWDRGLKDGHGTFYQPGSKIPCNLEVSDCLTSHDGTSASSSSNEKITIGLLFLLQKLCKNWRLRRFLHRPRRISNGTTPVFDDNSGSHLCQDVSSKSFSADDQCLQDSEVDKDSVYEREYVQGVLIMEQPKNEDSRMSESGIAQENNWEKQAKGPMETIYKGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIKMYFPCEGSQYTPPHYSVDFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKPGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQNINENTTLKDLDLSYVFHIALDCMFLESQSIIDYSMLLGIHFRAPNHLKRITSCQNALESTGISAETECSVALHHEETISSKGFLLVAADEPGPAVRGSHIRGSMVRAAEGGYEEVDLVLPGTGRFRVQLGVNMPARARKVQEDVNVEVENRDTIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNLYSRRFISFLEKVFPEQD >ORUFI03G32240.3 pep chromosome:OR_W1943:3:26650123:26657943:1 gene:ORUFI03G32240 transcript:ORUFI03G32240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLHVVSFLVVLLLQLRGNTLPNGDIYVGSFDGLVPHGPGKYMWTDGALYDGEWDKSKMTGRGLIQWPSGASYEGDFRGGFIDGAGTFKGVDGSVYKGSWRMNKKHGMGTMVYSNSDTYEGFWNEGLPDEFGKYTWADGNVYIGRWKSGKMNGSGVMQWINGDTLDCNWLNGLAHGKGYCKYASGACYIGTWDRGLKDGHGTFYQPGSKIPCNLEVSDCLTSHDGTSASSSSNEKITIGLLFLLQKLCKNWRLRRFLHRPRRISNGTTPVFDDNSGSHLCQDVSSKSFSADDQCLQDSEVDKDSVYEREYVQGVLIMEQPKNEDSRMSESGIAQENNWEKQAKGPMETIYKGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIKMYFPCEGSQYTPPHYSVDFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKPGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQNINENTTLKDLDLSYVFHVDKPWREALFRQIATFLLLFFLNILLSSFMIALDCMFLESQSIIDYSMLLGIHFRAPNHLKRITSCQNALESTGISAETECSVALHHEETISSKGFLLVAADEPGPAVRGSHIRGSMVRAAEGGYEEVDLVLPGTGRFRVQLGVNMPARARKVQEDVNVEVENRDTIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNLYSRRFISFLEKVFPEQD >ORUFI03G32240.4 pep chromosome:OR_W1943:3:26650123:26657943:1 gene:ORUFI03G32240 transcript:ORUFI03G32240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLHVVSFLVVLLLQLRGNTLPNGDIYVGSFDGLVPHGPGKYMWTDGALYDGEWDKSKMTGRGLIQWPSGASYEGDFRGGFIDGAGTFKGVDGSVYKGSWRMNKKHGMGTMVYSNSDTYEGFWNEGLPDEFGKYTWADGNVYIGRWKSGKMNGSGVMQWINGDTLDCNWLNGLAHGKGYCKYASGACYIGTWDRGLKDGHGTFYQPGSKIPCNLEVSDCLTSHDGTSASSSSNEKITIGLLFLLQKLCKNWRLRRFLHRPRRISNGTTPVFDDNSGSHLCQDVSSKSFSADDQCLQDSEVDKDSVYEREYVQGVLIMEQPKNEDSRMSESGIAQENNWEKQAKGPMETIYKGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIKMYFPCEGSQYTPPHYSVDFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKPGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQNINENTTLKDLDLSYVFHIALDCMFLESQSIIDYSMLLGIHFRAPNHLKRITSCQNALESTGISAETECSVALHHEETISSKGFLLVAADEPGPAVRGSHIRGSMVRAAEGGYEEVDLVLPGTGRFRVQLGVNMPARARKVQEDVNVEVENRDTIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNLYSRRFISFLEKVFPEQD >ORUFI03G32250.1 pep chromosome:OR_W1943:3:26656597:26660228:-1 gene:ORUFI03G32250 transcript:ORUFI03G32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEKTAEEIRRELQELQRQHREISERLRDPRGLRRGAPAAAGPGGPRPLRGFPRPAVDLVDQSAPKRRILSAVVKVEDTEAKEDVKKEAEAEGPEGGSAAAEGGERRDGGFRRDGSQRMPRREFDMSLPEPLPREFPKDEDQSLVKRNKRMLGKLLVGTLEKFQQEDKKLSNTEAYMRRSEVQRKADQKAREESERLRQQEREQAIEKRKRDMMLRARVAAKAEEKRLELLYMQWAEHHKKLSNFLRTTAEPPIYYMPAKPIIDDPAIAEENKEKAFQEWKSERRAELTQFQKQVEEQYMSNVERQLERMQNARNARRGNGPSNMQEMDKELDTHRAEHGPKTRRVLEGGNDDEDDMDDMAVEDELMDEVLGINEPISDEQTKPSEEAADGVPVSEEVQ >ORUFI03G32260.1 pep chromosome:OR_W1943:3:26664253:26666727:-1 gene:ORUFI03G32260 transcript:ORUFI03G32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVICPLLAFGHLLPCLDLAQRLACGHRVSFVSTPRNISRLPPVRPSLAPLVSFVALPLPRVEGLPNGAESTHNVPHDRPDMVELHLRAFDGLAAPFSEFLGTACADWVMPTSSAPRQTLSSNIHRNSSRPGTPAPSGRLLCPITPHSNTLERAAEKLVRSSRQNARARSLLAFTSPPLPYRDVFRSLLGLQMGRKQLNIAHETNGRRTGTLPLNLCRWMWKQRRCGKLRPSDVEFNTSRSNEAISPIGASLVNLQSIQSPNPRAVLPIASSGVRAVFIGRARTSTPTPPHAKPARSAAPRAHRPPSSVMDSGYSSSYAAAAGMHVVICPWLAFGHLLPCLDLAQRLASRGHRVSFVSTPRNISRLPPVRPALAPLVAFVALPLPRVEGLPDGAESTNDVPHDRPDMVELHRRAFDGLAAPFSEFLGTACADWVIVDVFHHWAAAAALEHKVPCAMMLLGSAHMIASIADRRLERAETESPAAAGQGRPAAAPTFEVARMKLIRTKGSSGMSLAERFSLTLSRSSLVVGRSCVEFEPETVPLLSTLRGKPITFLGLMPPLHEGRREDGEDATVRWLDAQPAKSVVYVALGSEVPLGVEKVHELALGLELAGTRFLWALRKPTGVSDADLLPAGFEERTRGRGVVATRWVPQMSILAHAAVGAFLTHCGWNSTIEGLMFGHPLIMLPIFGDQGPNARLIEAKNAGLQVARNDGDGSFDREGVAAAIRAVAVEEESSKVFQAKAKKLQEIVADMACHERYIDGFIQQLRSYKD >ORUFI03G32270.1 pep chromosome:OR_W1943:3:26681593:26683175:1 gene:ORUFI03G32270 transcript:ORUFI03G32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAHSSQSPLHVVIFPWLAFGHLLPCLDLAERLAARGHRVSFVSTPRNLARLPPVRPELAELVDLVALPLPRVDGLPDGAEATSDVPFDKFELHRKAFDGLAAPFSAFLDTACAGGKRPDWVLADLMHHWVALASQERGVPCAMILPCSAAVVASSAPPTESSADQREAIVRSMGTAAPSFEAKRATEEFATEGASGVSIMTRYSLTLQRSKLVAMRSCPELEPGAFTILTRFYGKPVVPFGLLPPRPDGARGVSKNGKHDAIMQWLDAQPAKSVVYVALGSEAPMSADLLRELAHGLDLAGTRFLWAMRKPAGVDADSVLPAGFLGRTGERGLVTTRWAPQGRKLGVAVPRNDEDGSFDRGGVAGAVRAVVVEEEGKTFFANARKLQEIVADREREERCIDEFVQHLTSWNELKNNSDGQYP >ORUFI03G32280.1 pep chromosome:OR_W1943:3:26686695:26687294:-1 gene:ORUFI03G32280 transcript:ORUFI03G32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHHHHHHHSHQIKAPAPTWLIVKATPPPRDGAKKLAAAAYSPLLLSPSVWQKAQDAKKGKADGGDGALPASPRITCMGQVKGRPRRCSGARRGDRPAARAGSSGLLERLTLGLFGRRRRGRTSSRACSKVRDVPTCSSAQSRGKIYCGRGGAAAVCTLDPPLPVVIRRPAADDEAPTLWERRRGGGGKALETLRLT >ORUFI03G32290.1 pep chromosome:OR_W1943:3:26690867:26696074:1 gene:ORUFI03G32290 transcript:ORUFI03G32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISRQLVLTYLYLLIYVCLSSGVILFNKWVLSPKYFKFPFPITLTMIHMAFSGVVTFFLVRVFKVVAPVKMTFQIYATCVIPISAFFASSLWFGNTACLYISVAFIQMLKALMPVATFIMAVLCGTDKLRWDLFLNMVLVSVGVVVSSYGEIHFNIIGTLYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFIFLFVPWFLLEKPEMDVSQIQFNYWIFFFNAVAAFALNISIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESIITSLNIIGYAVALSGVVMYNYLKMKDVRANQLPADIAPDRATKDKKISNIYKPDNFMDSNDETTVGSLASEGAGVDEEAPLIPSSRLSYVTRTQTGSFNSR >ORUFI03G32300.1 pep chromosome:OR_W1943:3:26698065:26701464:1 gene:ORUFI03G32300 transcript:ORUFI03G32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGQETDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREILHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKTLEMGAVETLIVWENLDVNRYVLKNSATGETAIKHLNKEQEADQSNFRDTSTNAELEVQDKTSLLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDEGVYEDSD >ORUFI03G32310.1 pep chromosome:OR_W1943:3:26701396:26702049:-1 gene:ORUFI03G32310 transcript:ORUFI03G32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPVKIVVLAAAVSAAVALSFFYRFRCVHLPTPYVAGGGRRLIVVSNQQEVGEEMDLADGNVQLLCHGYGEHDHSRSVWSARADIPGGAAARLSLPAVRGDEVFEVLCSYRGANRCWAHGVRVFANPGHDNLFCSEHAGGCEVRFRKDGGVEKQYGSTSTPPIFMGFVPDFDNARDGACASSSCVGRTINRVIGQESCCDDSCGGWEKASPKKSS >ORUFI03G32320.1 pep chromosome:OR_W1943:3:26702648:26715921:-1 gene:ORUFI03G32320 transcript:ORUFI03G32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAPAAHYLPGGGWRRLLVVVVALVVLDRAGARVRAADDDTGGLSRAAFPKGFVFGTATSAFQVEGMAASGGRGPSIWDPFVHTPGNIAGNGNADVTTDEYHRYKIITYIVFLLAQEDVDLLKSLNFDAYRFSISWSRIFPDGEGKVNTEGVAYYNNLIDYVIKQGLIPYVNLNHYDLPLALQKKYEGWLSPKIVGVFSDYAEFCFKTYGDRVKNWFTFNEPRIVAALGHDTGTDPPNRCTKCAAGGNSATEPYIVAHNIILSHATAVDRYRNKFQASQKGKIGIVLDFNWYEPLTNSTEDQAAAQRARDFHVGWFLDPLINGQYPKNMRDIVKERLPTFTPEQAKLVKGSADYFGINQYTANYMADQPAPQQAATSYSSDWHVSFIFQRNGIPIGQQANSNWLYIVPTGMYGAVNYIKEKYNNPTIIISENGMDQSGNLTREEFLHDTERIEFYKNYLTELKKAIDDGANVVAYFAWSLLDNFEWLSGYTSKFGIVYVDFTTLKRYPKDSANWFKNMLQASGPGSKSGSGTSDSQVGSATSASHPVGSAISSSHRLLLPLWPLCVRGCVCLRYCLVSLCEQLLPPPAGGVLRPRAVVMAARRANCALVLVLALALLAARDAGAAAVPKPNWLGGLSRAAFPKRFVFGTATSAYQVEGMAASGGRGPSIWDAFAHTPGITPYVNLYHYDLPLALEKKYGGWLNAKMADLFTEYADFCFKTFGNRVKHWFTFNEPRIVALLGYDQGTNPPKRCTKCAAGGNSATEPYIVAHNFLLSHAAAVARYRTKYQAAQQGKVGIVLDFNWYEALSNSTEDQAAAQRARDFHIGWYLDPLINGHYPQIMQDLVKDRLPKFTPEQARLVKGSADYIGINQYTASYMKGQQLMQQTPTIAKNGKPIGPQANSNWLYIVPWGMYGCVNYIKQKYGNPTVVITENGMDQPANLSRDQYLRDTTRVHFYRSYLTQLKKAIDEGANVAGYFAWSLLDNFEWLSGYTSKFGIVYVDFNTLERHPKASAYWFRDMLKH >ORUFI03G32320.2 pep chromosome:OR_W1943:3:26702648:26715921:-1 gene:ORUFI03G32320 transcript:ORUFI03G32320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAPAAHYLPGGGWRRLLVVVVALVVLDRAGARVRAADDDTGGLSRAAFPKGFVFGTATSAFQVEGMAASGGRGPSIWDPFVHTPGNIAGNGNADVTTDEYHRYKIITYIVFLLAQEDVDLLKSLNFDAYRFSISWSRIFPDGEGKVNTEGVAYYNNLIDYVIKQGLIPYVNLNHYDLPLALQKKYEGWLSPKIVGVFSDYAEFCFKTYGDRVKNWFTFNEPRIVAALGHDTGTDPPNRCTKCAAGGNSATEPYIVAHNIILSHATAVDRYRNKFQASQKGKIGIVLDFNWYEPLTNSTEDQAAAQRARDFHVGWFLDPLINGQYPKNMRDIVKERLPTFTPEQAKLVKGSADYFGINQYTANYMADQPAPQQAATSYSSDWHVSFIFQRNGIPIGQQANSNWLYIVPTGMYGAVNYIKEKYNNPTIIISENGMDQSGNLTREEFLHDTERIEFYKNYLTELKKAIDDGANVVAYFAWSLLDNFEWLSGYTSKFGIVYVDFTTLKRYPKDSANWFKNMLQASGPGSKSGSGTSDSQVGSATSASHPVGSAISTLLYTPNSWPLCVRGCVCLRYCLVSLCEQLLPPPAGGVLRPRAVVMAARRANCALVLVLALALLAARDAGAAAVPKPNWLGGLSRAAFPKRFVFGTATSAYQVEGMAASGGRGPSIWDAFAHTPGITPYVNLYHYDLPLALEKKYGGWLNAKMADLFTEYADFCFKTFGNRVKHWFTFNEPRIVALLGYDQGTNPPKRCTKCAAGGNSATEPYIVAHNFLLSHAAAVARYRTKYQAAQQGKVGIVLDFNWYEALSNSTEDQAAAQRARDFHIGWYLDPLINGHYPQIMQDLVKDRLPKFTPEQARLVKGSADYIGINQYTASYMKGQQLMQQTPTIAKNGKPIGPQANSNWLYIVPWGMYGCVNYIKQKYGNPTVVITENGMDQPANLSRDQYLRDTTRVHFYRSYLTQLKKAIDEGANVAGYFAWSLLDNFEWLSGYTSKFGIVYVDFNTLERHPKASAYWFRDMLKH >ORUFI03G32320.3 pep chromosome:OR_W1943:3:26702648:26715921:-1 gene:ORUFI03G32320 transcript:ORUFI03G32320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAPAAHYLPGGGWRRLLVVVVALVVLDRAGARVRAADDDTGGLSRAAFPKGFVFGTATSAFQVEGMAASGGRGPSIWDPFVHTPGNIAGNGNADVTTDEYHRYKEDVDLLKSLNFDAYRFSISWSRIFPGLIPYVNLNHYDLPLALQKKYEGWLSPKIVGVFSDYAEFCFKTYGDRVKNWFTFNEPRIVAALGHDTGTDPPNRCTKCAAGGNSATEPYIVAHNIILSHATAVDRYRNKFQASQKGKIGIVLDFNWYEPLTNSTEDQAAAQRARDFHVGWFLDPLINGQYPKNMRDIVKERLPTFTPEQAKLVKGSADYFGINQYTANYMADQPAPQQAATSYSSDWHANSNWLYIVPTGMYGAVNYIKEKYNNPTIIISENGMDQSGNLTREEFLHDTERIEFYKNYLTELKKAIDDGANVVAYFAWSLLDNFEWLSGYTSKFGIVYVDFTTLKRYPKDSANWFKNMLQASGPGSKSGSGTSDSQVGSATSASHPVGSAISTLLYTPNSWPLCVRGCVCLRYCLVSLCEQLLPPPAGGVLRPRAVVMAARRANCALVLVLALALLAARDAGAAAVPKPNWLGGLSRAAFPKRFVFGTATSAYQVEGMAASGGRGPSIWDAFAHTPGITPYVNLYHYDLPLALEKKYGGWLNAKMADLFTEYADFCFKTFGNRVKHWFTFNEPRIVALLGYDQGTNPPKRCTKCAAGGNSATEPYIVAHNFLLSHAAAVARYRTKYQAAQQGKVGIVLDFNWYEALSNSTEDQAAAQRARDFHIGWYLDPLINGHYPQIMQDLVKDRLPKFTPEQARLVKGSADYIGINQYTASYMKGQQLMQQTPTIAKNGKPIGPQANSNWLYIVPWGMYGCVNYIKQKYGNPTVVITENGMDQPANLSRDQYLRDTTRVHFYRSYLTQLKKAIDEGANVAGYFAWSLLDNFEWLSGYTSKFGIVYVDFNTLERHPKASAYWFRDMLKH >ORUFI03G32320.4 pep chromosome:OR_W1943:3:26707244:26715921:-1 gene:ORUFI03G32320 transcript:ORUFI03G32320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAPAAHYLPGGGWRRLLVVVVALVVLDRAGARVRAADDDTGGLSRAAFPKGFVFGTATSAFQVEGMAASGGRGPSIWDPFVHTPGNIAGNGNADVTTDEYHRYKIITYIVFLLAQEDVDLLKSLNFDAYRFSISWSRIFPDGEGKVNTEGVAYYNNLIDYVIKQGLIPYVNLNHYDLPLALQKKYEGWLSPKIVGVFSDYAEFCFKTYGDRVKNWFTFNEPRIVAALGHDTGTDPPNRCTKCAAGGNSATEPYIVAHNIILSHATAVDRYRNKFQASQKGKIGIVLDFNWYEPLTNSTEDQAAAQRARDFHVGWFLDPLINGQYPKNMRDIVKERLPTFTPEQAKLVKGSADYFGINQYTANYMADQPAPQQAATSYSSDWHVSFIFQRNGIPIGQQANSNWLYIVPTGMYGAVNYIKEKYNNPTIIISENGMDQSGNLTREEFLHDTERIEFYKNYLTELKKAIDDGANVVAYFAWSLLDNFEWLSGYTSKFGIVYVDFTTLKRYPKDSANWFKNMLQASGPGSKSGSGTSDSQVGSATSASHPVGSAISSSHRLLLPLYRCSAAIYSQ >ORUFI03G32320.5 pep chromosome:OR_W1943:3:26707244:26715921:-1 gene:ORUFI03G32320 transcript:ORUFI03G32320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAPAAHYLPGGGWRRLLVVVVALVVLDRAGARVRAADDDTGGLSRAAFPKGFVFGTATSAFQVEGMAASGGRGPSIWDPFVHTPGNIAGNGNADVTTDEYHRYKEDVDLLKSLNFDAYRFSISWSRIFPDGEGKVNTEGVAYYNNLIDYVIKQGLIPYVNLNHYDLPLALQKKYEGWLSPKIVGVFSDYAEFCFKTYGDRVKNWFTFNEPRIVAALGHDTGTDPPNRCTKCAAGGNSATEPYIVAHNIILSHATAVDRYRNKFQASQKGKIGIVLDFNWYEPLTNSTEDQAAAQRARDFHVGWFLDPLINGQYPKNMRDIVKERLPTFTPEQAKLVKGSADYFGINQYTANYMADQPAPQQAATSYSSDWHVSFIFQRNGIPIGQQANSNWLYIVPTGMYGAVNYIKEKYNNPTIIISENGMDQSGNLTREEFLHDTERIEFYKNYLTELKKAIDDGANVVAYFAWSLLDNFEWLSGYTSKFGIVYVDFTTLKRYPKDSANWFKNMLQASGPGSKSGSGTSDSQVGSATSASHPVGSAISSSHRLLLPLYRCSAAIYSQ >ORUFI03G32320.6 pep chromosome:OR_W1943:3:26702648:26715921:-1 gene:ORUFI03G32320 transcript:ORUFI03G32320.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAPAAHYLPGGGWRRLLVVVVALVVLDRAGARVRAADDDTGGLSRAAFPKGFVFGTATSAFQVEGMAASGGRGPSIWDAFAHTPGITPYVNLYHYDLPLALEKKYGGWLNAKMADLFTEYADFCFKTFGNRVKHWFTFNEPRIVALLGYDQGTNPPKRCTKCAAGGNSATEPYIVAHNFLLSHAAAVARYRTKYQAAQQGKVGIVLDFNWYEALSNSTEDQAAAQRARDFHIGWYLDPLINGHYPQIMQDLVKDRLPKFTPEQARLVKGSADYIGINQYTASYMKGQQLMQQTPTIAKNGKPIGPQANSNWLYIVPWGMYGCVNYIKQKYGNPTVVITENGMDQPANLSRDQYLRDTTRVHFYRSYLTQLKKAIDEGANVAGYFAWSLLDNFEWLSGYTSKFGIVYVDFNTLERHPKASAYWFRDMLKH >ORUFI03G32320.7 pep chromosome:OR_W1943:3:26702648:26707131:-1 gene:ORUFI03G32320 transcript:ORUFI03G32320.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRANCALVLVLALALLAARDAGAAAVPKPNWLGGLSRAAFPKRFVFGTATSAYQVEGMAASGGRGPSIWDAFAHTPGITPYVNLYHYDLPLALEKKYGGWLNAKMADLFTEYADFCFKTFGNRVKHWFTFNEPRIVALLGYDQGTNPPKRCTKCAAGGNSATEPYIVAHNFLLSHAAAVARYRTKYQAAQQGKVGIVLDFNWYEALSNSTEDQAAAQRARDFHIGWYLDPLINGHYPQIMQDLVKDRLPKFTPEQARLVKGSADYIGINQYTASYMKGQQLMQQTPTIAKNGKPIGPQANSNWLYIVPWGMYGCVNYIKQKYGNPTVVITENGMDQPANLSRDQYLRDTTRVHFYRSYLTQLKKAIDEGANVAGYFAWSLLDNFEWLSGYTSKFGIVYVDFNTLERHPKASAYWFRDMLKH >ORUFI03G32330.1 pep chromosome:OR_W1943:3:26707782:26727600:1 gene:ORUFI03G32330 transcript:ORUFI03G32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLSARDVEKRPGVFRLASQGGGLDDLFGMPKLLDAVYWFGSLVIHVSPCRIAFGPSRSPERKYLELVTVGSNRIGSEGGRYGRQRRVRRGANDAGVGRGSCTVCSSPRERPAVTHHGGTVLLVSESDGRTQSSSAGGELDGFLVEDGVLAPVEALLHPLVVLPPRHLHLLPLLPPLRESFPFQHPHHLRHGRPVLRRRLCAQQRDLYHHLHLLPVVVAAQAKVTNNWLKILIKQDVGSLDISMYDLGITVLVQAWTVVNRNHIPPTKRTSISLGVRVCGLCSSCHHVLLENSTINENKSGNGVEAFASSQILSPSF >ORUFI03G32340.1 pep chromosome:OR_W1943:3:26716663:26721828:-1 gene:ORUFI03G32340 transcript:ORUFI03G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCHTGLACLLTGLARDSGDALYDMKLKLNATGNQLSDWNQNQVNPCTWNSVICDNNYNVVQVFFVCSKLVCLMTDALLQNIGIYGIHWSSITTNWRASVFECFIFGGSCDEIATVILEETRSWSLPGNKITGGIPEQIGNLSSLTSLDLEDNLLVGPIPASLGQLSKLQILRLAYNKLSGSIPGSLFQVARYNFSGNNLTCGANFLHPCSSSISYQGSSHGSKVGIVLGTVVGAIGILIIGAVFIVCNGRRKSHLREVFVDVSGEDDRRIAFGQLKRFAWRELQLATDSFSEKNVLGQGGFGKVYKGALPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLRDSILEDFNMYLIVFNDAEFKPGEPILDWSARKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGQLGAIVDRNLSSNYDGQEVEMMIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQDYERMQQRFDWGEDSIFNQEAIELSAGR >ORUFI03G32350.1 pep chromosome:OR_W1943:3:26722842:26728126:-1 gene:ORUFI03G32350 transcript:ORUFI03G32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAQQQQQKQQGGVVRVDQASPASSFRELDDAFLQTQTKIWLGEVLHLRFDEAVIVADLLADGELLFQVSKVLWKRLVRMNKEQMKQSKVYIYERTSSGKSNGKYMPYPKVDSFLKICQILGLAGIDLFTPSDVVEKRNVRKVCMCIRLLSKKARTMRLTVPDFDIVTHTIAMPNYIVGGIRRSLEQPQCSSSGSSGYSPSANSKALNQQRVFGAENDQQCETHYDSDEAESKLSALEPEDSVSEDNISTLLKSGNMPKEEKEGYGDSEHGMHEEKSLSESVGSIDFGNMESDSVGSTPLFHKNESYCCIESPTDQCSRTRTIRCSLSSEESDSISSHLVVDSSKAKRTHGEHLEPLNGNGKRFANDPEKESDALQKVTFDQQCDLLACDGESVCSNCDSTPYSSLTPIDSACGKLPAVSEDDSACRGLELEFRCGNETDVSQKEDKQVESEYKAENDSSAQMNENDVPKSGKGMLKSVAGGITLVGAVFFIAHLRRSKDRSFAGVIAPFSEKSVQGDSRAKKVEKTKAGAVYPGEWLKV >ORUFI03G32360.1 pep chromosome:OR_W1943:3:26732345:26737422:-1 gene:ORUFI03G32360 transcript:ORUFI03G32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSRGGGGEGSGSGSRGRSSRLERRNAVKHIDYEAAGAGAGAGASSVPACASWSSSMSADRSLGLRPSRSLDLAVGGGGTDIRISGSVEGEVDELCRSLGLSGPEEFAIPVAAWEARKERSNSDLLPRSRFVSSPPVDDPSPMARTISAPEVIQCDLPPSFPASIPEESLNSSSNSTATDSAEEPTAAALGQESPKAAPAVAAVAPLAGLPLLSPKRGGGEVGIRGARPPVLSPPQPLMALAPPPMRRSIVAKDMSGVSAWDIVNSFAPSEEKSEVRTDDERVDASHMSDTEEEEEFADEGVAGVDGELKELRIGETFEGFTGTSSLSTTNDDDASSTTTEAMFIISPNGKFKRKIKSWMRGALLGSGSFGMVYEGISDEGAFFAVKEVSLLDQGSNAQQSILALEQEIALLSQFEHENIVQYYGTDKEESKLYIFIELVTQGSLSSLYQKYKLRDSQVSAYTRQILNGLVYLHERNVVHRDIKCANILVHANGSVKLADFGLAKEMSKINMLRSCKGSVYWMAPEVVNPKKTYGPQADIWSLGCTVLEMLTRNIPYPNVEWLLTKDNLVAAKFWLNSTFF >ORUFI03G32370.1 pep chromosome:OR_W1943:3:26747884:26748677:1 gene:ORUFI03G32370 transcript:ORUFI03G32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVTTKEKNVIVTAYEEVPPAPRRRRPGSGRAGATSSSSSTTTAEVTTWQQAKAAPAAYQAGVAAGARYRGSNRRALLLAYAQHLRRRDQRGASGERPQVLMEWGKWKTQGHPGAGAGGDAVRRRRSLYCRFRLWTRAFLRRVRRIGENALCKNKEISQPANVG >ORUFI03G32380.1 pep chromosome:OR_W1943:3:26750959:26752457:-1 gene:ORUFI03G32380 transcript:ORUFI03G32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAESSSQSHETRGQGDAEEKHPQPAAATAAGGAGGGVEIRAAKRFKLSARFADSEGTEDEDEDEDEYKSWIVSIHNDQCRQYDPKQRRFNSYRTFVGHAATPELLKHLDEDATIGPERRPLDALTEQELVESPYVLHVKILESDIGFPINLFGTVLIREHLKCVYIFRRDRDDCQLIKSSGEILNLIAPYVGPTDESIDFEINLKIRGNMGESNDRIFSNGFTEAPETSNSGQTKRVLLSSWLSTLELAYTTAHFTVQVAIGINILKGSSNFLGIIKACGTKNEGDAVLYDSEVSGTRIALGDDGSIALSRNVVVLHVDEMLLLKFFVYDDDMISKSAPIILTLGHNDESFNIEQGSYKLRVKLDWTKINLLGNIN >ORUFI03G32390.1 pep chromosome:OR_W1943:3:26752715:26755966:1 gene:ORUFI03G32390 transcript:ORUFI03G32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSCPLTIDDLLHVLLHLDPRSIVRCAAVSKYWRRAVIGNASQVRRHANRQADRRLLLGYHYREVYPGRLRFSARSTWSPSTGHQHWSDHLPVPSFAPAAAAADGGHPERMYAQLACSDGLLLACRGILEEVSVYSPLTGFHATMPRYDELLTDTYFLHSVHGDEMNPTPNSFQVLAVEVEPEGELALQNYSSETGAWGPVIRPVNDKVLMPRVIHYPVAAIECRGAIHWLCGCWPSCDEPRRRCSLEGYDNITHSVAVDISTGHAWMTISTTRLPKQCVMSSDNVSNNKMLVLVTAADGRLALLRREDACTKVTIWVHAEEDSGRGSCGSDGDDGEARWVLSRSFDVRKLVEHAGLAHFRPEHKDWADLEVRLEWFCRRSRCVVIWVPYLGLFVLDLENMQMQRAAGDSQTHVWPYEMDLTVCYSSLKQYH >ORUFI03G32400.1 pep chromosome:OR_W1943:3:26757640:26760763:1 gene:ORUFI03G32400 transcript:ORUFI03G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPRRDIEAAGAGSGDDDSPAAKRGKPEAAGARPSLTRTEAAAAASVLALFLVGIFCVFRAAPRREFEQILRLPRSLADVRLLKDNLAVYARDYQANFILGYCSIYIFMQTFMIPGTIFMSLLAGALFGVVKGGILVVFTATAGASSCYFVSKLIGRPLISWLWPEKLRYFQSEIAKRKEKLLNYMLFLRITPTLPNTFINMASPIVDIPFHIFFAATLIGLIPASYITVKAGRALGDLRSVRELYDSKTLVILFLIGTVAVAPTILKRKRIYE >ORUFI03G32410.1 pep chromosome:OR_W1943:3:26761539:26763769:1 gene:ORUFI03G32410 transcript:ORUFI03G32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSMVSVPIATSSLPLSARGRSSSVSFPAPKKGGIGHGGLRIECIRIGGVEIPNHKRVEYSLQYIHGIGRSRSRQILLDLNFDNKVTKDLSEEEVITLRKEVTKYMIEGDLKRFNRVAIERLKEIRCYRGIRHKLGLPVRGQRTKNNCRTLKGRRASVAKKKSPASQEE >ORUFI03G32420.1 pep chromosome:OR_W1943:3:26765401:26768597:1 gene:ORUFI03G32420 transcript:ORUFI03G32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVSLSLPLPCAVPALSLPPRAEPDASSSFHPPASSSSSPRGGRLALAAAQPGSGRRWVGRWRAGVSSFSFLAPFFAGNKEKENREKAERLKEELLAAIRPLDRGVDATAEDKERVEKIVQQLEEVNQVKEPLKSDLLNGKWELLYTTSESILQPQRPKFLRPFGTIYQAINTDTLRAQNMETWPYFNQVTANLVPLNSRRVAVRFDYFKIFNLISIKAPGSGKGELEITYLDEELRASRGDKGNLFILKMVDPTYRVPL >ORUFI03G32430.1 pep chromosome:OR_W1943:3:26768997:26772515:-1 gene:ORUFI03G32430 transcript:ORUFI03G32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPTIRLMVRLVLRRKLQDDKAIPSSGTDNYNLVDALESHISEVDKNQLVLYAQMANLVQLILPSFELDLKEITHTFSKFACNAHTICDPELRPLGTGLYPVLSIINHSCVPNAVLIFEGRTAYVRALQPISKNEEVSISYIETAATTMKRQDDLKHYYFTCTCPRCVKDSEEDALLEGYRCNDQKCDGFLLPNAVDNSEVGSMYKTIEELERKLYHPLSITLLHTRETLLKIYMELQDWQTALMLLEYTEDALMSLTRAADILRITHGTKSEFMKELLGKLEEVRAEASFRLSAGDEQ >ORUFI03G32440.1 pep chromosome:OR_W1943:3:26779726:26785435:1 gene:ORUFI03G32440 transcript:ORUFI03G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRDNFLQPPAAAAAPAAGGGKGLPVPATGDATNLTSSSSSTSSLTLSPPDFLRQVQAALKRHRPTGSMQSNQPRATRVLVSRGEGSTKAVANPSVAQNPEGKVMQQRRGLLGASRLRNAAPDQNKAVVSSQDELLLTTPLTLGTITDTHDQNGGQNHQPKSDTDLLVDRKKSSMEVSSSQMASANALVGEDFKKDLFYLTSDPQLTSQTGDNFPVAQVADDQGKNHKEIGIASAAVEMDIKYDAANLSRRIDEACDQNHGEPMTRCSAMGSSVTAVSLYSGPTIQSKSAAQIDQYASPAQMPQCGRESSGVSGHGSQKLHGVAMNHADCNTNKQQVDTNGGMDKPVSSSAVCLPSQGLSGNDQSLSAKDDGAPRRSKVEKERRKKNYDPDVFFKVNGKLYQKLGKIGSGGSSEVHKVISAECTIYALKKIKLKGRDYPTAYGFCQEIEYLNKLKGKSNIIQLIDYEVTDKSLLQDDSLSPRDGRIKDDHYIYMVLEYGEIDLANMVAQEWKERNTSNMKIDENWLRFYWQQMLKAVNTIHEERIVHSDLKPANFLLVRGALKLIDFGIAKAIMNDTTNIQRDSQIGTLNYMSPEAFMCNEQDSGGNVIKCGRPSDIWSLGCILYQMVYGKTPFADYKNFWAKFKVVTDKNHKIKYEPVDNPWLIDLMQRCLAWDRNDRWRIPQLLEHPFLVPLVPRDLPSIDQDPCRLLMERVRVHWANPKLHSFIAELEKDQCRPATQM >ORUFI03G32450.1 pep chromosome:OR_W1943:3:26796230:26805722:1 gene:ORUFI03G32450 transcript:ORUFI03G32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVPPVPVSPAASAAAAATPAASSAVAGAPAVMPPPPPPLPPPPPRSNSAPPERRALRWAAGGGSGGGNSPPEGVKLNEIVGGGISGILYKWVNYGRGWRPRWFALHDGVLSYYKIHGPDRIVLSRETERGAKVIGEDSLRRLSRPSTSSSSSAHSNGHHLPRKPIGEIHLKVSSVRESRSDDRRFSIFSGTKRLHLRAETREDRAAWVEALQATKEMFPRMSTSEMVGPGDTAAAVAVSTERLRQRLQQEGVSEAAIADSERIVRTEFEVLHKQLMLLKQKQTLLLDTLRQLETEKVDLENTLVDESQRQSKEYGSTSRSKNEKYSEGSASESDDYNEPQDPAEDETDDDENIYFDTRDFLSSSSFKSSGSDFQRSEAGSDDEDDYPMDGIDTSMKSVGISYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDRAYEWGKRGNSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNNEYSCKLKFKEQSIIDRNPHQRDYVISMVVTLKQQGTQKKARQCLALPHRRMKGARAKENGMEGSRMLIKVALTTLESLVVAVDSEVQGVVQDRSGRTVATLFGKWDESMHYVMGDCFGKGKGSENFSEAHLLWKRSKPPKFPTRYNFTRFAITLNELTAGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDKATDTYRYLGGYWESREKGSWEGCPDIFGQVPNDLMITD >ORUFI03G32450.2 pep chromosome:OR_W1943:3:26796230:26805722:1 gene:ORUFI03G32450 transcript:ORUFI03G32450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVPPVPVSPAASAAAAATPAASSAVAGAPAVMPPPPPPLPPPPPRSNSAPPERRALRWAAGGGSGGGNSPPEGVKLNEIVGGGISGILYKWVNYGRGWRPRWFALHDGVLSYYKIHGPDRIVLSRETERGAKVIGEDSLRRLSRPSTSSSSSAHSNGHHLPRKPIGEIHLKVSSVRESRSDDRRFSIFSGTKRLHLRAETREDRAAWVEALQATKEMFPRMSTSEMVGPGDTAAAVAVSTERLRQRLQQEGVSEAAIADSERIVRTEFEVLHKQLMLLKQKQTLLLDTLRQLETEKVDLENTLVDESQRQSKEYGSTSRSKNEKYSEGSASESDDYNEPQDPAEDETDDDENIYFDTRDFLSSSSFKSSGSDFQRSEAGSDDEDDYPMDGIDTSMKSVGISYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDRAYEWGKRGNSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNNEYSCKLKFKEQSIIDRNPHQVQGVVQDRSGRTVATLFGKWDESMHYVMGDCFGKGKGSENFSEAHLLWKRSKPPKFPTRYNFTRFAITLNELTAGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDKATDTYRYLGGYWESREKGSWEGCPDIFGQVPNDLMITD >ORUFI03G32450.3 pep chromosome:OR_W1943:3:26796230:26805722:1 gene:ORUFI03G32450 transcript:ORUFI03G32450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVPPVPVSPAASAAAAATPAASSAVAGAPAVMPPPPPPLPPPPPRSNSAPPERRALRWAAGGGSGGGNSPPEGVKLNEIVGGGISGILYKWVNYGRGWRPRWFALHDGVLSYYKIHGPDRIVLSRETERGAKVIGEDSLRRLSRPSTSSSSSAHSNGHHLPRKPIGEIHLKVSSVRESRSDDRRFSIFSGTKRLHLRAETREDRAAWVEALQATKEMFPRMSTSEMVGPGDTAAAVAVSTERLRQRLQQEGVSEAAIADSERIVRTEFEVLHKQLMLLKQKQTLLLDTLQGSASESDDYNEPQDPAEDETDDDENIYFDTRDFLSSSSFKSSGSDFQRSEAGSDDEDDYPMDGIDTSMKSVGISYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDRAYEWGKRGNSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNNEYSCKLKFKEQSIIDRNPHQVQGVVQDRSGRTVATLFGKWDESMHYVMGDCFGKGKGSENFSEAHLLWKRSKPPKFPTRYNFTRFAITLNELTAGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDKATDTYRYLGGYWESREKGSWEGCPDIFGQVPNDLMITD >ORUFI03G32460.1 pep chromosome:OR_W1943:3:26811908:26818823:1 gene:ORUFI03G32460 transcript:ORUFI03G32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIPFESSNASVRAAWKNVVDCLLKLKRFKLLPPSVVDQDGGASTVSSSTERLGHRAKSESGVIFPSSHRGAGTSRHVFGMIGRFSQFLSLDAGGESLLFMGSEFENNLKIIQQCRIGSIFTESEKLPDESVQNLGRALIFAAAGKGQKFSTPVEEEETVGFCWDLIVLVSSANVHRFTTFWPQLHDCFTVVSQLPLFSPCPFAEKAIVALFRIAVRLLSGGGGVDRMAEELVVTRFNYAACIEAAFGFAALKISPLDISTKILQLMADSVNWLILWHKSGYSNPGNTWSSSSSSSSSVVAAAATMMMMEDASRMGNLATSMFIKLAEALRKTSLVRREEIRNQAVVKDVQTKMKAQLISGSNPHRTPEVVRTKIVSHINVIDCLLKLKRLKLPPPSVVDQDGGASAVSSSTERLGHRAKSESDIIFPSSHRGAGTSRHVSGMIGRFSQFLSLDAGGESLLSFENNIKIIQQCRIGSIFTESRKLPDESVQNLSRALIFAAGGKGQKFSTSVEEEETVGFCWDLIVLVSSANLPLFSPCLFAKKAIVALFRIAVRLLSGGSGDRMAEELVVTRFNCAACIEAAFRFAALKISPLDISPRIL >ORUFI03G32470.1 pep chromosome:OR_W1943:3:26818827:26820759:1 gene:ORUFI03G32470 transcript:ORUFI03G32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVNWLIQWHKSGYSDPGNAWSSSSSSSSSSSVVAAAATMMMMEDASRMGDLATSMFIKLAEALRKTSLVRREEIQNQAVAELGRAIRPHAAWL >ORUFI03G32480.1 pep chromosome:OR_W1943:3:26827705:26831431:-1 gene:ORUFI03G32480 transcript:ORUFI03G32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAPAMIAAAAGGGGGCDGEEADAGAAVVEKALPNGDVYRGGFAGGAPHGKGKYVWADGCMYEGEWRRGKASGKGRFSWPSGATFEGEFRGGRIEGQGVFVGPDGATYRGAWAADRRHGVGAKSYANGDYYEGQWRRNLQDGHGRYVWANGNQYVGEWRAGVISGRGVLIWANGSRYDGVWENGVPRGTGVFTWPDGSRYVGSWPRSCVDMPAISGTFFPPVGAGGGGAVRKRSSVEGVGDKASAVPRICIWESEGEAGDITCDIVDALEASMLYREATTVGGGVPYVRTQRSTRRVASGGTCWAPSAAATPEGKRPGQTISKGHKNYELMLQLQLGIRHSVGKSAAVPMRELSPADFDPKEKFWTRFPPEGSKVTPPHSSSDFRWKDYCPMVFRHLRKLFSVDPADYMIAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKLLIRMLPSYYQHVSQYRDSLITRFYGVHCVKPLNGQKVRFIVMGNLFCSEYRIHRRFDLKGSSYGRTADKFDDEIDETTTLKDLDLNFVFRLQRTWFRDLHEQLRRDCEFLESEGIMDYSFLVGVHFCDDLSASKTGLSTFTASPMRLPIHLSSLTNDRYSEFSMKRESFQGGGGMPELCFSDSDFDRIPDCRKPLIRLGAHMPARAEQASRRSEFDPFLLNGGGFLAPNQTGEVYDVILYFGIIDILQDYDITKRLEHAYKSLQTDPNSISAVDPKLYSKRFQDFIGRIFVEDG >ORUFI03G32490.1 pep chromosome:OR_W1943:3:26833138:26834124:1 gene:ORUFI03G32490 transcript:ORUFI03G32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTKHGTNTERGRQVMLENRKGVCPYYRHGSTSSLLVELWVKDSTDHCINPDAHKHHTHMGIIRVRIERRLSQYNMG >ORUFI03G32500.1 pep chromosome:OR_W1943:3:26841764:26842672:1 gene:ORUFI03G32500 transcript:ORUFI03G32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSAPAPVVATRFWVAATTSITISQHLTRHGVDLIVVMLHVTDAGSSPFGCSDPTSLLLSPSVYHRHRMLSSAGHLHGVLADTLRGYSAIATGSIYRLRLHGGSSNSLPWTKRAWRLLDSSSNTGGGGGGMLRQGAKSKCGMKREEARLPPWKRVGEHTVEGTGAGGMLSATATTMWGQEQPEEKEPASVT >ORUFI03G32510.1 pep chromosome:OR_W1943:3:26860790:26862094:1 gene:ORUFI03G32510 transcript:ORUFI03G32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVCRGPAMPAFEPSTWLRAAAENTYSKPEVAVDDRPAQADIWNAIQADVVDKSATTGAKKAAAKPYVHPLVRRSSSLMSQKSLEVCTESLGSETGSGDFTASLDDVDMASLFGAPAAPASKRADQAAEDSFWQQSAAPEEAWERKELAAVNYHCSGGTRSPPRSFPPPLPSMSSRDAPCLQMRPRRQDGRLIVDAVVVRPRGYLHARRQGGRLLLSFVDCSAREQSAASKAAVATEKAPYFPVVDAKLDQDEEVAARVEEDDEVEEEEEEVEVVDRGTVVEVKVSTQPQTPTAAKVHRSTLVINKFVGSTPLTVADLQPRCNADAACAAAAAAEATTDAPAPALRRVPSSTSTTTLAAAVAVASTSTDGDDDDDEPHHPPGAAAPAADTKQLLLFTSRCRDKQELLQSVRQCRQLRQKPLFILEPYCIATS >ORUFI03G32520.1 pep chromosome:OR_W1943:3:26870044:26870787:1 gene:ORUFI03G32520 transcript:ORUFI03G32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFSAFLGCKTAGLRVTYSRSASARAPDRPRWSRERPTCGYGLVAVIFAFRRKRKGRPWFPLRAASGGRHRRVVISFDSYKGMLVKASVIALLVDATATVVVWSLRIVTA >ORUFI03G32530.1 pep chromosome:OR_W1943:3:26875177:26875431:1 gene:ORUFI03G32530 transcript:ORUFI03G32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTGVRSSSSASLPLAPPSPLAATPPPPPLLVAPPLSLMPSFPPPPFSWPGVARRCPRGESFLRIFTHRFRVAQGAEERGLG >ORUFI03G32540.1 pep chromosome:OR_W1943:3:26878263:26878622:1 gene:ORUFI03G32540 transcript:ORUFI03G32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGLEGVDPAVLDSGAADSPLLTSVTSTMRMTVVARATATIATSHARVDLAAPDSGAADPPPPSSAMMMTIMTTRARMTTMTMASRARADPSGAELGRGRFANVGLRSCGDCCGDRVP >ORUFI03G32550.1 pep chromosome:OR_W1943:3:26880226:26883115:1 gene:ORUFI03G32550 transcript:ORUFI03G32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPAPSLPPSSSSPRISPHSTPVSRTSATPSGVHRPPGCGRLDTVGEGSELLDPIPEVAPVEGFGSVAAFVAATEHFMVFIQETRAKAEEACRLAVLMQKAAAAAAGGGSDVAVALEICKKAAAATAAVGGGSSDAAATSEVCKVTNVMHKEVAASTDLIQEGAAEEEAYQPQPPILIPALIARDFGGNMRGLTQSTMLANDSDHMTLFEKKASVGQIGIEEMRGKAKDVSSEEGSSEEMEASDDDVSMVIGGDAQDPYDDSGIEELVQDQGALEKLHTKPTKLANPNSLLRIPKGITESPSPPISRGAVGASRLAESPLSTFGNGMVFRHGEVGCAVRALGTSSWEELAGCSDGRAATHRWGTSSLAGTTTAVRQGPCRSRGQQLRKLQRCLQQACNL >ORUFI03G32560.1 pep chromosome:OR_W1943:3:26891282:26899296:1 gene:ORUFI03G32560 transcript:ORUFI03G32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGEHREVWLPRPLLLDSTGDGLDWRSVYVRARLEERIRHVSSCERTATTVGTTPSSAGAAVVTGVYQAITVYHVRCTARLRTPHDHVVQSGGRHLSRQPHGCPRLARLSPSPLSTGPSSLSSTPAAVAAAAYRGEEPHIQTPSYNLY >ORUFI03G32570.1 pep chromosome:OR_W1943:3:26899926:26900888:1 gene:ORUFI03G32570 transcript:ORUFI03G32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFMDLELEPHGQQLAAAEEDGAGGQGVDAGVPFGVDGAAAAAAARKDRHSKISTAGGMRDRRMRLSLDVARKFFALQDMLGFDKASKTVQWLLNMSKAAIREIMSDDASSVCEEDGSSSLSVDGKQQQHSNPADRGGGAGDHKGAAHGHSDGKKPAKPRRAAANPKPPRRLANAHPVPDKESRAKARERARERTKEKNRMRWVTLASAISVEAATAAAAAGEDKSPTSPSNNLNHSSSTNLVSTELEDGSSSARHNGVGVSGGRMQEISAASEASDVIMAFANGGAYGDSGSYYLQQQHQQDQWELGGVVYANSRHYC >ORUFI03G32580.1 pep chromosome:OR_W1943:3:26915760:26916068:-1 gene:ORUFI03G32580 transcript:ORUFI03G32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTKVAPLVSPSPFSVLSLPAAVDPRPTLRAPPLLHPGLGVTPSCRTRSSGTLEWTPVKTLALMAKVVVIDDGWSHSVSAFQKWAVLAENLAGSYFVAATS >ORUFI03G32590.1 pep chromosome:OR_W1943:3:26918525:26926875:-1 gene:ORUFI03G32590 transcript:ORUFI03G32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRAQTWAGVGKTAQAAAAHAALFCFTLLLALKVDGRTAYSWWIIFIPLWLFHGIVARGRFSMPAPSLPHGRHWAPCHSIVAAPLLIAFELLLCIYLESSRVKSKPTVDLKIVFLPLLAFEVIILVDNFRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFLIAATTFTLLKLSGDVGALGWWDLFINYGIAECFAFLVCTRWFNPMIHKSPNPGEASSSSAAIRYRDWESGLLLPSLEDHEQERLCGLPDIGGHVMKIPLVIFQVLLCMRLEGTPPSAQYIPIFALFSPLFILQGAGVLFSLARLLEKVVLLLRNGPVSPNYLTISSKVRDCFAFLHRGSRLLGWWSIDEGSKEEQARYNTFCGYPPEVVRKMPKRDLAEEVWRLQAALGEQSEITKCTKQEFERLQNEKVLCRICYEGEICMVLLPCRHRTLCKTCSDKCKKCPICRVPIEERMPFK >ORUFI03G32600.1 pep chromosome:OR_W1943:3:26929456:26929761:1 gene:ORUFI03G32600 transcript:ORUFI03G32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRTVLLSEYSDSGHDNSGRNGAVAGVVGHGVGAGGRGLHGYIACAVVLLASTPYAPLHIQGDELQHGVWTAKDNCRWRQAPAWDVDYEPTSNDASVPNS >ORUFI03G32610.1 pep chromosome:OR_W1943:3:26931321:26933703:-1 gene:ORUFI03G32610 transcript:ORUFI03G32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGARAVGDNGGGGVGGSPPAPASLPLPPRHSSSPRRRTPASPIGLLPTSRLRCQYSTGSLPESAAGHAVATPRSPSRRPGADAADEGEVPGLSSAAAVWMGAQARSGCEGNAESMAVALSACPYAGDLALAKGEATHGCGVMKGVIHGYVFITNSLVCMYGKLGEMDNAKKAFRDATEKNIVTWNTLITSYATAGLCDEALDVLAQMEQIGGKVAPNVVSWSAVISGFGKRERKNEREKNKEGGVEKDSEKRKRKKIEG >ORUFI03G32620.1 pep chromosome:OR_W1943:3:26935161:26938320:1 gene:ORUFI03G32620 transcript:ORUFI03G32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLASASWVVLPPVQARVAAAVAVGPTCRVLLAYTPLLSGSARRRVVGRPLAPPRCSALEDPGPSDGGEGNGRVVEEGEEEVVRRKEQPRRRQRRRPVWRRILFASKKTRSIIILNALTVIYASDIPVLKEVEALTDPAVFNMVRFVVSAIPFIPFAIRAIGDRHVRNSGLELGLWVSLAYLCQAIGLISSDAGRASFLTAFTVIVVPLIDGIFGATIPKLTWFGAIVSLLGIGLLECGGSPPCVGDVLNFLAAVFFGIHMLRTEQISRSTDKNKFLALLSFEVLVVAFSSVLWFLFKDSYVDVNDTSFESWTFGMFLDTATSFPWIPALYTGVFSTVLCMWAEMVAMGDVSATETAIVYGLEPVWGAAFAWFLLGERWDNAAWVGAALVLCGSLTVQLFGSAPEKSKKVKTRSCNTLETPLKRQDYLSLSAIPVDSRKNIGSRLERKDKTL >ORUFI03G32630.1 pep chromosome:OR_W1943:3:26938956:26947224:-1 gene:ORUFI03G32630 transcript:ORUFI03G32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPFSAPVDFNNENHRAGNELTRTNMPLSVGDYGLQNGDATTFAVNTDTLVRHQLQGASLQNDLTAEDSITRLMDPETKGLYFRSRSQEEEILLLRKQIADASVKELQLLSEKHILERKLFDLRMAVDEKQEDAISGALKQLSQKKGHVEENMRLANDLKGEEEELYFFTSSLLSMLAEYNVRPPQINASAITAGTKRLYHQMQWKIKYLNDSLGEITQPGHIYNNPNHQQATPLRHEPSSSYNTDATRNNFHQYAQDPNDRNTGQMYHGSNYHQEIVAATPSNYFEENNGPREVRLDDSQFYRQDNQEYSADDDPLPGIEGFQIVGEPRPGFTLTACGFPTNGTTLCNFQWVRYLDNGTRQSIEGATMYDYVVTADDVDTLLAVDCTPMDDNTRQGELVTEYANNGSKITCDPEMQNTIDMHISNGRAHFNLLVLGYSSDEWELAILTLKRTGYHIKVKDEVLTEEKYSSNLQTKIPNGRTTQFVLVSSGGVNIPFNTQGISEPNNEDSDVRLRDLIVLVLRTFQSKALDAKRKGKV >ORUFI03G32630.2 pep chromosome:OR_W1943:3:26938956:26947224:-1 gene:ORUFI03G32630 transcript:ORUFI03G32630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPFSAPVDFNNENHRAGNELTRTNMPLSVGDYGLQNGDATTFAVNTDTLVRHQLQGASLQNDLTAEDSITRLMDPETKGLYFRSRSQEEEILLLRKQIADASVKELQLLSEKHILERKLFDLRMAVDEKQEDAISGALKQLSQKKGHVEENMRLANDLKGEEEELYFFTSSLLSMLAEYNVRPPQINASAITAGTKRLYHQMQWKIKYLNDATRNNFHQYAQDPNDRNTGQMYHGSNYHQEIVAATPSNYFEENNGPREVRLDDSQFYRQDNQEYSADDDPLPGIEGFQIVGEPRPGFTLTACGFPTNGTTLCNFQWVRYLDNGTRQSIEGATMYDYVVTADDVDTLLAVDCTPMDDNTRQGELVTEYANNGSKITCDPEMQNTIDMHISNGRAHFNLLVLGYSSDEWELAILTLKRTGYHIKVKDEVLTEEKYSSNLQTKIPNGRTTQFVLVSSGGVNIPFNTQGISEPNNEDSDVRLRDLIVLVLRTFQSKALDAKRKGKV >ORUFI03G32630.3 pep chromosome:OR_W1943:3:26938956:26947224:-1 gene:ORUFI03G32630 transcript:ORUFI03G32630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPFSAPVDFNNENHRAGNELTRTNMPLSVGDYGLQNGDATTFAVNTDTLVRHQLQGASLQNDLTAEDSITRLMDPETKGLYFRSRSQEEEILLLRKQIADASVKELQLLSEKHILERKLFDLRMAVDEKQEDAISGALKQLSQKKGHVEENMRLANDLKGEEEELYFFTSSLLSMLAEYNVRPPQINASAITAGTKRLYHQMQWKIKYLNDATRNNFHQYAQDPNDRNTGQMYHGSNYHQEIVAATPSNYFEENNGPREVRLDDSQFYRQDNQEYSADDDPLPGIEGFQIVGEPRPGFTLTACGFPTNGTTLCNFQWVRYLDNGTRQSIEGATMYDYVVTADDVDTLLAVDCTPMDDNTRQGELVTEYANNGSKITCDPEMQNTIDMHISNGRAHFNLLVLGYSSDEWELAILTLKRTGYHIKVKDEVLTEEKYSSNLQTKIPNGRTTQFVLVSSGGVNIPFNTQGISEPNNEDSDALDAKRKGKV >ORUFI03G32640.1 pep chromosome:OR_W1943:3:26958115:26958983:-1 gene:ORUFI03G32640 transcript:ORUFI03G32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSPVDRCESTGSSWTRQRPWRGWGWWGHRGGRLHLPGRSVHRGPRSPALEFLSYRRTAMRWRMNWTGRNRYAYPAIRSVGADGNIFFKAVFGRYV >ORUFI03G32650.1 pep chromosome:OR_W1943:3:26967000:26968877:1 gene:ORUFI03G32650 transcript:ORUFI03G32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREYQETGGSSGGGSSADMGSCKDKVMAGAAGEEEDVDELLAALGYKVRSSDMADVAQKLEQLEMAMGMGGVSAPGAADDGFVSHLATDTVHYNPSDLSSWVESMLSELNAPLPPIPPAPPAARHASTSSTVTGGGGSGFFELPAAADSSSSTYALRPISLPVVATADPSAADSARDTKRMRTGGGSTSSSSSSSSSLGGGASRGSVVEAAPPATQGTAAANAPAVPVVVVDTQEAGIRLVHALLACAEAVQQENFAAAEALVKQIPTLAASQGGAMRKVAAYFGEALARRVYLFRPADSTLLDAAFADLLHAHFYESCPYLKFAHFTANQAILEAFAGCRRVHVVDFGIKQGMQWPALLQALALRPGGPPSFRLTGVGPPQPDETDALQQVGWKLAQFAHTIRVDFQYRGLVAATLADLEPFMLQPEGEADANEEPEVIAVNSVFELHRLLAQPGALEKVLGTVHAVRPRIVTVVEQEANHNSGSFLDRFTESLHYYSTMFDSLEGGSSGQAELSPPAAGGGGGTDQVMSEVYLGRQICNVVACEGAERTERHETLGQWRNRLGRAGFEPVHLGSNAYKQASTLLALFAGGDGYRVEEKEGCLTLGWHTRPLIATSAWRVAAA >ORUFI03G32660.1 pep chromosome:OR_W1943:3:26987503:26994865:-1 gene:ORUFI03G32660 transcript:ORUFI03G32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAANLWVLLGLGIAGVLLAAKRLRRPARPDNGAFIARLELLPPPQPPPPQARHPLTGLCFAIADAFHVTGYITSFGSLEWAKTHDAATQTSLVVSSLVDGGAMCVGKTVIDEMAFSIHGENKHFGTPTNPAAPDRVPGGCSSGSAVAVAAGIVDFSLGIDTIGGVRVPGSYCGVLAFRPSHAVVPNNGVIPVAPSLDAIGWFARDPSVLRRVGHLLLRLPYADIRQPRHFYIADDCFEMSKVHARRLTQVVTKSVEKLFGRQVLGHVNLENYLASRIPSLRNNSNGHGDSKFSSLLALSRAMQFLHKHEFKDQHMEWINSVKPAVDACIVGNLSDDGESTINNSQDARKEVRAALGALLKDDGILVIPTVLGCPPKLNARELSSQDYNVQTSCLTSLASMSGCCQVTVPLGTHDKCPVSVSLIARHGGDRFLLDTIQTIYATIQEQVDALAKSNVSSKQAMSEEAAEAAKEKGNIAFKEKQWQKAINFYTEAIKLNNKVATYYSNRAAAFLELASYRQAEADCTSAIDIDPKIVKAYLRRGTAREMLGYYKEAVDDFSHALVLEPMNKTAGVAINRLKKLFP >ORUFI03G32670.1 pep chromosome:OR_W1943:3:27001968:27003864:1 gene:ORUFI03G32670 transcript:ORUFI03G32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGSFSRLRLRAGVVVAAAAAALLLFAVVAPPAAALNIGLQSAGDGASKAGLCSRTCESDHCTTPPLLRYGKYCGILYSGCPGEQPCDELDACCMHHDNCVQAKNDYLSTACNEELLECLARLREGSSTFQGNKCMIDEVIDVISLVIEAAVVAGRLLHKP >ORUFI03G32680.1 pep chromosome:OR_W1943:3:27005976:27009020:-1 gene:ORUFI03G32680 transcript:ORUFI03G32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGSLTDEQLRFFDANGYLVLGSFSSAEEVRAMRDRMAELVDGFDGAGDVFSTKDHRQVKNDFFFKSAENISFFFEEKAFGDDGCLKQAKELSINKVGHALHELDPVFKKFSFGANVSSLFSSLRYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTDPPSCTGLWLALEDATKTNGCLWAIPGSHKNGLKRRMIRDEIDTHFDHPSPTYDLKEFVPLEVKSGDLVVIHGDLIHQSFENLSLVSRHALSLHVIDTEGCEWSKQNWLQRKIPPQPLYEN >ORUFI03G32680.2 pep chromosome:OR_W1943:3:27005976:27009020:-1 gene:ORUFI03G32680 transcript:ORUFI03G32680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGSLTDEQLRFFDANEKAFGDDGCLKQAKELSINKVGHALHELDPVFKKFSFGANVSSLFSSLRYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTDPPSCTGLWLALEDATKTNGCLWAIPGSHKNGLKRRMIRDEIDTHFDHPSPTYDLKEFVPLEVKSGDLVVIHGDLIHQSFENLSLVSRHALSLHVIDTEGCEWSKQNWLQRKIPPQPLYEN >ORUFI03G32690.1 pep chromosome:OR_W1943:3:27010503:27011699:1 gene:ORUFI03G32690 transcript:ORUFI03G32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWSGIHDDMLLLVVGRLPALDLLRFRAVCASWRAAAAIFVDGRGRPRPDRPWLLLPADAPDPDDGCRFVVSRDREVPVVALPARLGRDGGRGFVPLGSSRGVIVAADDRGEMHLLDPVTGKRRALPPVISLPLVDGVEGGPAGLNVRHGGGTVSRIDGLIHKAVPVPAPDGGLLVVVIYRQVHHRNQWATARPGDRAWKSVKPTSIPAVVDVAVHRGQLYANTRYGMVYAFPELRGLGSASPEIIPSVTRRPNAYVERSFLVESPPGSAGGRRGLMQVELLRPVAASGGGEDEEEGFVVRVLDECGETWEEADDIGDVAVLVDASGAVAASTRECPGLRPSTVYFAVDLAGETRVCAYSLAAAAKGKHKRIEVIESIPMAEGYKPPCFWFAPVYTP >ORUFI03G32700.1 pep chromosome:OR_W1943:3:27012279:27012980:1 gene:ORUFI03G32700 transcript:ORUFI03G32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNIRFGIGRFYHVSGDTCEVSGDTYQVSCDTYQVSCDSYHIEGDTCDVSGDTYQVSSDTYQVGITCQVSRDIYPTYSDDHCRPSAPSFLAPTTAAAPSSNSDATPPPPPPSPRSGYHHRCPSLPWLRPPSPLPPPTPVTSAALSLGSGHRRRPLPRLSGSSHRCLSLSLGSGTHRRPLPRFSGSSHRRRSPPTIGSAVVPRALAADGGGTTLIRSSLTGSYPYL >ORUFI03G32710.1 pep chromosome:OR_W1943:3:27013221:27013850:1 gene:ORUFI03G32710 transcript:ORUFI03G32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFTVISTQDRITKIIAIIFIWPKNKITSHSQSFGHKTKLQKSSQSYSSGGGAVVEEAANGQEGGGGRIRARIRRLAVRWRRRKRLTVGGEAAMAGGREVGRRRRPTVGGEAAAAGSAPRRPDPATGGREAGRRRRPTVRRQGRVSGRRSGDGEAAATDGRGRGRGGPIRASYARSSDRRSGGARAAAGRETGRRQRPALGRAPWPDL >ORUFI03G32720.1 pep chromosome:OR_W1943:3:27014483:27018697:1 gene:ORUFI03G32720 transcript:ORUFI03G32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDSHFLALTAIVTVGYQLVFFIITALLRFDKVTDFAGSTNFIILAILTLALKGAWHFRQVVLTVLVVIWGLRLGLFLLMRILQWGEDKRFDEMRDNLGKLAVFWIFQAVWVWTVSLPVTIVNASDSDPSIEARDIIGWIMWLIGAGMEAIADQQKLTFKNSPSNRGRWCNVGLWSYTRHPNYFGEIFLWWGIFVASTPVLSGAEWLVILGPVFLTLLLLFVSGIPLLEASADKRFGQNEEYRTYKNTTSPLIPLPPAVYGALPGWFKMGFLFELPLYNRVPQRDPVS >ORUFI03G32730.1 pep chromosome:OR_W1943:3:27019465:27020404:-1 gene:ORUFI03G32730 transcript:ORUFI03G32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLGSSPAFLARPVAAKPHLSCAQSPRPPSAQPPPEQQPPTPTPTQQQPMQAQPQARPRRAPAAAASGADSTDWVATSLTRRFGIGAGLAWVGFLAFGVVSEQLKTRFEVAQQLANTKDVEQEQEVVLPNGIRYYEMRVGGGDVPRPGDLVVIDLKGRVTGGEAFVDTFGDGKRPLALVMGSRPYTRGMCEGVEYVLRSMRAGGKRRVVVPPALGFGDDGADFGDAAAQVPPGATLEYVVEVDKVSIAPA >ORUFI03G32740.1 pep chromosome:OR_W1943:3:27022702:27024912:-1 gene:ORUFI03G32740 transcript:ORUFI03G32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAADGMEKPKFLTREEREKLALERRQAAVTDQRRSALDLLQSLPRPPPPPPPPLSNPPRDSSSSHHRDSSDRDRDRDRDRDRDRDRDRDRERRRDDDSRRDRDRDRDRDRGDSSRRDRDRERGDRDRDRDRERGDRDRERGDREKDRLEKIAEREREKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQSPHEARLLYGRGFLAGIDRREQKKVAAAHEKETRAEQRRKAGLDDRPEDDAVDKKEADAAAKYDAFDMRVDRHWTQKSLDEMTERDWRIFREDFNISYKGSKVPRPMRKWSESKLGTELLRAVEKAGYKEPSPIQMASIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYITRLPPISEENEAEGPYAVVMAPTRELAQQIEEETVKFATYLGIKVVSIVGGQSIEEQGFKIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDAKTIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQNVIMTKESEKMSRLQKILTDLGDKPAIVFCNTKKSADARAKDLDKAGFRVTTLHGGKSQEQRETSLDGFRNRRFTVLVATDVAGRGIDIPDVAHVINYEMPSSIDTYTHRIGRTGRAGKKGLATSFLTLENTDIFFDLKHMLIQSNSPVPPELARHEASKFKPGSVPDRPPRRNDTVYATH >ORUFI03G32750.1 pep chromosome:OR_W1943:3:27026496:27030760:-1 gene:ORUFI03G32750 transcript:ORUFI03G32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEAPMAAGAVVVTAALCKRSNRVARVLAYALLEWILIALLLANGVFSYLISRFAAFFGLAPPCALCSRLGVDSLFEPRGEGQGAGAEPLRRVLCDSHAAEVSRLGYCRAHRRLADAGDMCEDCVSASAAAAASSWMRRSELGERDLACACCGVALESGFISPPFLFPAPAACDVDCGHRRDAAMANLNRDVVFVSEEGPVIELFDEKPLEEDPIGAMAGLAAQCAEIVGNVLQLVPLESADLSNVRKSAVSYESRGEGNDAMDHVTSKQRNVVLKNMANTSEDKSAVSSDDDDKVGDMVSKMIDEEITALVLSQDCIEDGFSCEIDGETTESLAADHQQFCEKHSGLKDNNQEISIGSEIPENEQGAVKQELLCVLTNPRGNEFGIDNLEGNTETVHQADLNNGWNSMPVEAGVHASETSTENNEEWIQPGELSQKSNLMPIYSREHADEEIKEDRISLTEIKQGLDSVTIDSWEEVHLISNDGTKENQAEQSELNHQSTFMTVRAIEYVTDLFDANISAGNVNPTEAALPSLHQFSYGPSTSLNKLCPDYNDVESESAPDTPIHIEDIDGLHELPDHKAMTSDTKSVDLESIELVSVDQLKSALASAHKSLSTLYTELENERSAAAIAADETMAMINRLQEQKAAMQMEAIQYQRLMEEQSEYDQDALERLNELVVKREKEKQDLERELELYRRKVHLFEVKERRKMSRHKADDHNGSSSASSSAEDSDDHSQSFYEGDESAHGLNGSNGSIPTDAVLQETARHLGTLGCSLADFEEERLSILEQLKLLEERLFDLEDEDSDSVKMDKRLSEENHLMGASNGFSDDDSNFKLHDKRKGVSYRGKKLLPLFDDTTVEDGNDLLTRQDPEADHSTENVVLEPANEQDKLAIAHEIDQVHERLHALEADKEFIKQCVRSLKKGDKGFDLLQEILQHLRDLRRIEQHQEGKEIHLVLEFSSWFGIAVWMADHGAILPSKGPGFFSRTAFHVLFRLVSLYEGIGGGGGLHELCFVAKTMACEKALEFINTKHMPLPALMPGRVSIKKRLKKKGLSAFLSCSVFFPL >ORUFI03G32750.2 pep chromosome:OR_W1943:3:27027264:27030760:-1 gene:ORUFI03G32750 transcript:ORUFI03G32750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEAPMAAGAVVVTAALCKRSNRVARVLAYALLEWILIALLLANGVFSYLISRFAAFFGLAPPCALCSRLGVDSLFEPRGEGQGAGAEPLRRVLCDSHAAEVSRLGYCRAHRRLADAGDMCEDCVSASAAAAASSWMRRSELGERDLACACCGVALESGFISPPFLFPAPAACDVDCGHRRDAAMANLNRDVVFVSEEGPVIELFDEKPLEEDPIGAMAGLAAQCAEIVGNVLQLVPLESADLSNVRKSAVSYESRGEGNDAMDHVTSKQRNVVLKNMANTSEDKSAVSSDDDDKVGDMVSKMIDEEITALVLSQDCIEDGFSCEIDGETTESLAADHQQFCEKHSGLKDNNQEISIGSEIPENEQGAVKQELLCVLTNPRGNEFGIDNLEGNTETVHQADLNNGWNSMPVEAGVHASETSTENNEEWIQPGELSQKSNLMPIYSREHADEEIKEDRISLTEIKQGLDSVTIDSWEEVHLISNDGTKENQAEQSELNHQSTFMTVRAIEYVTDLFDANISAGNVNPTEAALPSLHQFSYGPSTSLNKLCPDYNDVESESAPDTPIHIEDIDGLHELPDHKAMTSDTKSVDLESIELVSVDQLKSALASAHKSLSTLYTELENERSAAAIAADETMAMINRLQEQKAAMQMEAIQYQRLMEEQSEYDQDALERLNELVVKREKEKQDLERELELYRRKVHLFEVKERRKMSRHKADDHNGSSSASSSAEDSDDHSQSFYEGDESAHGLNGSNGSIPTDAVLQETARHLGTLGCSLADFEEERLSILEQLKLLEERLFDLEDEDSDSVKMDKRLSEENHLMGASNGFSDDDSNFKLHDKRKGVSYRGKKLLPLFDDTTVEDGNDLLTRQDPEADHSTENVVLEPANEQDKLAIAHEIDQVHERLHALEADKEFIKQCVRSLKKGDKGFDLLQEILQHLRDLRRIEQRTRNSGELSPHYLHPYTD >ORUFI03G32760.1 pep chromosome:OR_W1943:3:27039415:27040542:-1 gene:ORUFI03G32760 transcript:ORUFI03G32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQTLPVPVSVELPPAAPNPPDPTAPLLPHADDPSAPPAAAAAARKLPVKRRSPPPRPSSPSSSDPASSDPAAKQQPQQPPPPFKFQRIWSESDELRFLQGLLGCGAQGLVFPRDLNVFYDRFSESMPSPYTRSQLSEKLRRLKNKFRGMSARVARGLDPARLAPHDRDVLHLCSRLWDPANAATSPFAAAGDASSGNKRRRAAPRAGPLMPPDPSGDSNSHDYNGGISAGTPGAFGDGHGGEEMMYLEQESGHFGYHGDVAIAADGSLDGIVKVQPETLPALPSIGDIAVHSENGNGKAVVPRSNEHHMANAVLDIFEECLREVKANGITYGANVNGGSELARRWRAQRIDELDVLSRRLRLLVEDAAAAGR >ORUFI03G32770.1 pep chromosome:OR_W1943:3:27042502:27047643:1 gene:ORUFI03G32770 transcript:ORUFI03G32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKLLALTLTSSFLRSSLLPAPSRRLPTGPLLSLRFCSAAGDVADAPAAAAAPDHPWPEWGDFLEKLRAKGYFEQPTLASRADAAEGEVAATAAAAAAAGEDPGASADNYPSKDLNRLKNACLKFGRERFDLLSVLPKQDIRAIVECGCPNIFRKPVNSAKRLREFLQIDEGDACSACKLRGSCDKAYVIPNAEDEARTVDVMRILLNYAIDPTSLSGENSVNGGVQESARKLLSELTMLSDTTIDPSIPKPVFQTSSKTKTFDKGIAKPKFSAGKGRETTETEMKKGDWLCPKCHFMNFARNKMCFKCEESRPKRQLIPGEWECPSCSFVNFRRNKVCLKCKHEGPENDTHDSQHGHNRWRNTRGADRSRSFDDSFDREDDGSDPDEGERRRAKVRTRAASTLGRSTGKSKIFDSIHEDGNGREDDSEDVLPYEGQRRHIVSKRATPAQRRFTAGRSK >ORUFI03G32780.1 pep chromosome:OR_W1943:3:27048635:27050147:-1 gene:ORUFI03G32780 transcript:ORUFI03G32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIELTKEYGYVVLALVAYAFLNFWMSFQVGKARRKYKVFYPTMYAVESENKDAKLFNCVQRGHQNSLEMMPLFFVTLLVGGLQHPLVAAGLGVFYAVARFFYFKGYATGIPDNRLKIGSQLLVGTTLMKLPKFTYLVFHYSFQEGAELLGDLWVDHLHGFVRHQPGPEGIHLSSSVDP >ORUFI03G32790.1 pep chromosome:OR_W1943:3:27052333:27052837:-1 gene:ORUFI03G32790 transcript:ORUFI03G32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGSAMRGAVAVAFLAVVVSCIFLSGCGVADAATYYVGDSLGWSLGSGSWPSGKKFHAGDILVFRYLPWMHNVVAVDEDGYADCNPPPFSRYYTSGSDSVRLARGDNFFVCTRYGHCNLGMKMVVTAV >ORUFI03G32800.1 pep chromosome:OR_W1943:3:27054440:27056411:1 gene:ORUFI03G32800 transcript:ORUFI03G32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAFLSSLVDRLSTTALSGITSLWGVKEQVDSLIHELQAVECFLKDADLREIRRQATSNNNWFWLHSLRDAAYDAEDLIESVELHEGRYHTLNPLLQPLNSYRFAKQINEIKSRFQSIIDGWAKNASMLRELRDMSSSSSSVTSAADSLWRRSSCHLGDDVVVGREEEAGMIIDLCCLFTRTHTKGFKRVRIHGLLCELARSEARESRFFYCENGDAVSRAEGKYYRRLALHTKLIAFHELSNSEKLRSLLIFPGVIESCVITVGHQALRPFSRAFCHAFFLFPLWGFQHNILEQLTSMQYIRVLELEGHERLACDLKNVQSNLNHLRYMSLRNTNLGEFPFPESNFPLLQTLDIRGTFIRKLPGILESLDTLRHIYLNWRVSLDIRRLTNLQTLHGVIILPNSQAERNLMALTNLRKLRFRTWRGVEYRPESPNGFDIDRYNAQSSMGNENHALAESLRQLGNLHSIFIMMPFASFQPITSDIVQAVTSHEQLHKLKLQGRVHRNLLLEDPHFSCIKSITLSGSWIVLSPMESLGSLTTLYELKLKDDALRCSEVSCLQNSFPELRYLKISGLKKLRVFHVGNGSFPNLTRFSIHNCTEFLSTVEVMEHATRLQVLKIKEMPSVLPDVTDFCHSRNINLIS >ORUFI03G32810.1 pep chromosome:OR_W1943:3:27057426:27059176:-1 gene:ORUFI03G32810 transcript:ORUFI03G32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAAGRRNAAVLAIVLLCVLLHGELAESAVYTVGDRGGWGFNSGGWLRGKRFRAGDVLGEFDRSIQFLVDRVV >ORUFI03G32820.1 pep chromosome:OR_W1943:3:27081261:27082956:1 gene:ORUFI03G32820 transcript:ORUFI03G32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSEEDGGGADLEQRRRERTTAAGGFWSGAARASSCSCSWSSSLERSSERSWRAAAAAAHPRPALLTDGALLTACPNAKRRRHPRDLAARIWSVLAVLLNDGGGGGASSPALTGDGGADWSWSRSRRSGGSSCSGIKVGLLHGAPAKNAVSERIQRGTRATRSVKVGVPRFRAASAVRRRGVGCAVAWRRRASRSGRRELWHAGNNPISLVTWADW >ORUFI03G32830.1 pep chromosome:OR_W1943:3:27085445:27087084:1 gene:ORUFI03G32830 transcript:ORUFI03G32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLDVLLGRTTKQTARLKSMLGLAVTRLGVLRGHRQVRCAQARGDVEQLLRLGHPDRALLRAEQVIREQNTLDAFLMLESYCNLITERAALVDSHRECPEELREAAAGLIYAAARCGDVPELQEVKRLMAAKFGREFVSAAAELRSGCGINAKIVQKLSTKQPSLESRQMVLQEIAAEKGIAGVVVHVVHEPSSHDEDSGLSHRRRRRDGERRHRVHQPQVDLEEDGSSRYRNVEAAAQAAFESAATAAAAAKAAMELSRGESGGRDDDRRRPGGARFDRADEETLAGGEVSGDGKKPGRIRRASLGRNYSSEIEDSAEDDEAVSHESTAESEVKQRGLTRSVPVSVRTKRVS >ORUFI03G32840.1 pep chromosome:OR_W1943:3:27087787:27097483:-1 gene:ORUFI03G32840 transcript:ORUFI03G32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEAARSHLSRRKHRRSPRDDDDEDAAEDGASSLKRHKRDHHRHRHRGEGDLEGAAGVATVSPAAAGPAEGEAEDGEILDQATAAVGVDVGVGVGRGLDADAGEAGSVRGVLPAPEHGDNSDADLNIHVNELHTSQLARNPSQEHDYPAKSDHAAHEAIVEYHSRRSPGLRNHNEAHSKDCLRSCHASRETGFQTDGSRNSVRLDYEHGIDDRHGELDRYSTRRWETEERGCYKKRKNSGCHIGRHTDICNSEEKHLDERKHGSLVEKKVDLHGLAYHERRSGDGRFDQKASAHHGHGEGREMDRWSSSTRKKDEEWRNRKNDTARNSYKETDRVGSRYGEEKLTDSIDKRDKRGFRGKEMDACWSRAVNGNEGSISYTHANYGMSGIYKDGSSFGGDDTKAKCKRRPEEEKKEPYMEEDEENYLEKIEDRLAKTKEHESEKIKDESKKGTEDILEKQQEKSAHCIDNKEITKINKEPAATKQRLNNLRAKEEIAKNHELSNVFVGAKFCNVRKSPTLPKISISLEILDNKRATSASGLQEGSLGVSHNKRMANASGLQEGIPMRGKQVIVGNKFDGQQRIGRKLGNENNMLAKKNTLHNNWEDEEGYYIYHFGEVLQGRYEITARRGKGVFSTVVNAKDLKAQKDGCGEVAIKIICNNIEKSGKREISILEKMATADCEDKRHCKFGHGNGLKLTAVRVYARQIFIALKHLRHSGVLHCDIKPDNILVNKDGNWLKLCDFGSAMSAGNNEITPYLVSRFYRAPEIILGLPYDHPLDMWSAGCCLSELYTGKILFDGSTNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQNMNFLARKKDPITKTVVNRLLLNIKPKGVGSAISSCPGDDPKMISSFKDLLEKIFVLDPKKRITVSEALSHPFITGNEAAMPPKSDSVEGIVLSFVNEQNRPLNSQNAADALQKFSLKKTAVQKALDALADSGQISFKEYGKQKIYLARQDQFNIPNGEELEEMKKANIKLQEELADQKKAIGEVESEVRGLQSNLTLAEIKSKEAKLQREVHEMEEKLNKLRSGVILVKPEDKKIIEESFSEKVNQWRKRKRMFKELWDNITENSPKDQKEFKEELGIEYDEDVGVNLQSYSDMLTSLSKRRKVSR >ORUFI03G32840.2 pep chromosome:OR_W1943:3:27087787:27097483:-1 gene:ORUFI03G32840 transcript:ORUFI03G32840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEAARSHLSRRKHRRSPRDDDDEDAAEDGASSLKRHKRDHHRHRHRGEGDLEGAAGVATVSPAAAGPAEGEAEDGEILDQATAAVGVDVGVGVGRGLDADAGEAGSVRGVLPAPEHGDNSDADLNIHVNELHTSQLARNPSQEHDYPAKSDHAAHEAIVEYHSRRSPGLRNHNEAHSKDCLRSCHASRETGFQTDGSRNSVRLDYEHGIDDRHGELDRYSTRRWETEERGCYKKRKNSGCHIGRHTDICNSEEKHLDERKHGSLVEKKVDLHGLAYHERRSGDGRFDQKASAHHGHGEGREMDRWSSSTRKKDEEWRNRKNDTARNSYKETDRVGSRYGEEKLTDSIDKRDKRGFRGKEMDACWSRAVNGNEGSISYTHANYGMSGIYKDGSSFGGDDTKAKCKRRPEEEKKEPYMEEDEENYLEKIEDRLAKTKEHESEKIKDESKKGTEDILEKQQEKSAHCIDNKEITKINKEPAATKQRLNNLRAKEEIAKNHELSNVFVGAKFCNVRKSPTLPKISISLEILDNKRATSASGLQEGSLGVSHNKRMANASGLQEGIPMRGKQVIVGNKFDGQQRIGRKLGNENNMLAKKNTLHNNWEDEEGYYIYHFGEVLQGRYEITARRGKGVFSTVVNAKDLKAQKDGCGEVAIKIICNNIEKSGKREISILEKMATADCEDKRHFLESLHMNLREVIKKFGHGNGLKLTAVRVYARQIFIALKHLRHSGVLHCDIKPDNILVNKDGNWLKLCDFGSAMSAGNNEITPYLVSRFYRAPEIILGLPYDHPLDMWSAGCCLSELYTGKILFDGSTNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQNMNFLARKKDPITKTVVNRLLLNIKPKGVGSAISSCPGDDPKMISSFKDLLEKIFVLDPKKRITVSEALSHPFITGNEAAMPPKSDSVEGIVLSFVNEQNRPLNSQNAADALQKFSLKKTAVQKALDALADSGQISFKEYGKQKIYLARQDQFNIPNGEELEEMKKANIKLQEELADQKKAIGEVESEVRGLQSNLTLAEIKSKEAKLQREVHEMEEKLNKLRSGVILVKPEDKKIIEESFSEKVNQWRKRKRMFKELWDNITENSPKDQKEFKEELGIEYDEDVGVNLQSYSDMLTSLSKRRKVSR >ORUFI03G32840.3 pep chromosome:OR_W1943:3:27087787:27098115:-1 gene:ORUFI03G32840 transcript:ORUFI03G32840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQANGSFAFEEVRLNGEAEDGEILDQATAAVGVDVGVGVGRGLDADAGEAGSVRGVLPAPEHGDNSDADLNIHVNELHTSQLARNPSQEHDYPAKSDHAAHEAIVEYHSRRSPGLRNHNEAHSKDCLRSCHASRETGFQTDGSRNSVRLDYEHGIDDRHGELDRYSTRRWETEERGCYKKRKNSGCHIGRHTDICNSEEKHLDERKHGSLVEKKVDLHGLAYHERRSGDGRFDQKASAHHGHGEGREMDRWSSSTRKKDEEWRNRKNDTARNSYKETDRVGSRYGEEKLTDSIDKRDKRGFRGKEMDACWSRAVNGNEGSISYTHANYGMSGIYKDGSSFGGDDTKAKCKRRPEEEKKEPYMKNLLIALTIKRLNNLRAKEEIAKNHELSNVFVGAKFCNVRKSPTLPKISISLEILDNKRATSASGLQEGSLGVSHNKRMANASGLQEGIPMRGKQVIVGNKFDGQQRIGRKLGNENNMLAKKNTLHNNWEDEEGYYIYHFGEVLQGRYEITARRGKGVFSTVVNAKDLKAQKDGCGEVAIKIICNNIEKSGKREISILEKMATADCEDKRHFLESLHMNLREVIKKFGHGNGLKLTAVRVYARQIFIALKHLRHSGVLHCDIKPDNILVNKDGNWLKLCDFGSAMSAGNNEITPYLVSRFYRAPEIILGLPYDHPLDMWSAGCCLSELYTGKILFDGSTNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQNMNFLARKKDPITKTVVNRLLLNIKPKGVGSAISSCPGDDPKMISSFKDLLEKIFVLDPKKRITQNRPLNSQNAADALQKFSLKKTAVQKALDALADSGQISFKEYGKQKIYLARQDQFNIPNGEELEEMKKANIKLQEELADQKKAIGEVESEVRGLQSNLTLAEIKSKEAKLQREVHEMEEKLNKLRSGVILVKPEDKKIIEESFSEKVNQWRKRKRMFKELWDNITENSPKDQKEFKEELGIEYDEDVGVNLQSYSDMLTSLSKRRKVSR >ORUFI03G32850.1 pep chromosome:OR_W1943:3:27110846:27113591:1 gene:ORUFI03G32850 transcript:ORUFI03G32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDKHSALIVAAFVLLCSGCLCGVADGAKGGRKTKGPVIGIDLGTTYSCVGVYRNGHVDIVANDQGNRITPSWVAFTDDERLVGEAAKNQAALNPDRTIFDIKRLIGRRFDDEEVQRDVKYLPYKVVDKGGKPYVEVRVKAGEVKVFSPEEISAMILAKMKETAESYLGQRVTDAVVTVPAYFNDAQRQATKDAGTIAGLNVPRIINEPTAAAIAYGLDRKGAGEMTNVLVYDLGGGTFDVSVLSLDHGVFEVLATSGDTHLGGEDFDRRVMDHFIRLVKRRHGRDIGGDGRALGKLRRECERAKRALSSQHQVRVEIEALFDGVDFSETLTRAKFEELNMDLFKKTLGPVRKAIADAKLKKSDIDEIVLVGGSTRIPKVQELLKEMFDGKEPTKGINPDEAVAYGAAVQGSIISGEGGAETKDILLLDVTPLTLGIETAGGVMTKLIPRNTRIPVKKSQVFTTYEDHQTTVSIKVFEGERSLTKDCRELGRFDLSGIAPAPRGVPQIEVTFEVDENGILHVTAADKAVGRSKSITITNDKGRLSQEEIDRMVREAEEFAEEDRRVRERVDARNRLENYVYRMRSAVRDGGMAGKIGDDDKERMEAALTEALEWLEDNDGGGCTAEKEDYEEKLKEVEQVCGPIIKQVYEKSGGGASTGAGDDDDVNEL >ORUFI03G32860.1 pep chromosome:OR_W1943:3:27114052:27114309:-1 gene:ORUFI03G32860 transcript:ORUFI03G32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAESAAQVVSLLAPLLVVVLVAAVLASASGGGSAREREEAVAEAEARAREWARFVFGPEADDERAPPPPSRRVLVVARHDGCG >ORUFI03G32870.1 pep chromosome:OR_W1943:3:27115463:27116990:-1 gene:ORUFI03G32870 transcript:ORUFI03G32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTSPTPFGGAAVRRRAPPASAAAATRLDLPLVGSRAALHVARARRGGVSSRTQRRLEERGKNKRRGGGSVTAPAPPDMDEDAAAGEGVDWEGEPLGFEVSTTPMPELPDPEKPDFWEGPQWDALGFFVQYMWAFGVFFGLVACGFAVATYNEGATDFRETPSYKESVQTQEFPEESESSGSDVFEGNPTEVAPALE >ORUFI03G32880.1 pep chromosome:OR_W1943:3:27120195:27121826:-1 gene:ORUFI03G32880 transcript:ORUFI03G32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFRSQTPWSGAGAEPICGDAAAAVVAARKGMETPLTAVAEAFEELARRMEADGGELRLGPFGDTCALVSVLFSCLGMAFRFAEIEYVAKVNDLIGAAKSYGTLNDILDKDVENDCVKKQGSHSRNLRRVRLGLGLIKVLFEQFLSTQECSLYDAATTAYGQVCAPFHSWAVRKAVGAGMYTLPSREQLIVRLNETDCSVQKEMRRYIDASSPIIDYIDNLFLSRSISLDW >ORUFI03G32890.1 pep chromosome:OR_W1943:3:27128406:27132772:1 gene:ORUFI03G32890 transcript:ORUFI03G32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEASREENVYMAKLAEQAERYEEMVEFMEKVAKTTDVGELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEAYVASIKEYRSRIETELSKICDGILKLLDSHLVPSATAAESKVFYLKMKGDYHRYLAEFKSGAERKEAAENTLVAYKSAQDIALADLPTTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDDAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDNAEDGGDEIKEAAKPEGEGH >ORUFI03G32890.2 pep chromosome:OR_W1943:3:27128693:27132772:1 gene:ORUFI03G32890 transcript:ORUFI03G32890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEASREENVYMAKLAEQAERYEEMVEFMEKVAKTTDVGELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEAYVASIKEYRSRIETELSKICDGILKLLDSHLVPSATAAESKVFYLKMKGDYHRYLAEFKSGAERKEAAENTLVAYKSAQDIALADLPTTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDDAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDNAEDGGDEIKEAAKPEGEGH >ORUFI03G32890.3 pep chromosome:OR_W1943:3:27130091:27132769:1 gene:ORUFI03G32890 transcript:ORUFI03G32890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEASREENVYMAKLAEQAERYEEMVEFMEKVAKTTDVGELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEAYVASIKEYRSRIETELSKICDGILKLLDSHLVPSATAAESKVFYLKMKGDYHRYLAEFKSGAERKEAAENTLVAYKSAQDIALADLPTTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDDAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDNAEDGGDEIKEAAKPEGEGH >ORUFI03G32900.1 pep chromosome:OR_W1943:3:27135377:27143405:1 gene:ORUFI03G32900 transcript:ORUFI03G32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPAAAERDRSPPPPPPPPPPSSSAAAAAAMSSPLAVVSSFWKDFDLEKERGGLDEQGLKIAENQETSQKNRRRLAESTRDFKKASSDDKLSLFNSLLKSYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASMAEQDQKLSELETENRKMKLELEEYRAEAAHLKNQQATIRRLEERNRQLEQQMEEKVREMVEMKQRSLAEDSQKTLEALKDRERALQDQLRQATESVKNMQKLHESAQSQLFELRTQSEEDRAAKETEVNLLLDEVERAQARLVSLEREKGDLRSQLQTTNEDATNSSDYVDSSDILESSLNAKEKIISELNAELRSIENTLSSERETHVNELKKLTALLSEKENALTELKKELQERPTRRLVDDLKKKVQILQAVGYNSIEAEDWELATNGEEMSKLEALLLDKNRKMEHELTQLKVKISEKSNLLEEAEKKIAELTAKAEEQQKLILKLEDDILKGYSSTDRRTSLLNDWDLQEIGSNEVAEGTDPRHAPQDQDQSSMLKVICNQRDRFRTRLRETEEELRRLKEKYEMLVVELEKTKADNVQLYGKIRYVQGYSHEKIVSRGPKKYAEDVESGSSDVETKYKKMYEDDINPFAAFSKKEKDQRYKELGLRDKITLSSGRFLLGNKYARTFIFFYTIGLHLLVFTLLYRMSALSYLSTTPANDEIILDAGNQTLPHAL >ORUFI03G32910.1 pep chromosome:OR_W1943:3:27151311:27154546:1 gene:ORUFI03G32910 transcript:ORUFI03G32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAATGAALGARTARACDGCMRRRARWHCPADDAFLCQACDASVHSANPLARRHHRVRLPSASSSPASSPRSAAAPRAGSDDPDAPAWLHGLKRRPRTPRTKPGGGGKHDASAATVAAAAASAVPDLEAEESGIVGDTDHDVGEEDDEDLLYRVPVFDPMLAELYNPVAADEEEQQIEQKPAARVVPFSEPSPEFASGSVEADGLSGFDVPDMELASFAADMESLLMGVDEGFDDLGFLDDEKPHVKLDLDMDMDFASISPAPAPEREERKRKRPDMILKLDYEGVIDSWSRDGASPWFHGERPRFDPSESWPDFPAGSRGGLGAAVTAVTGGEREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRAAALPPLPLPRHQHPPPPPPRALPPVPMMLAPRGTSTGATVFESYRSRSASDAHARVV >ORUFI03G32920.1 pep chromosome:OR_W1943:3:27155387:27170140:-1 gene:ORUFI03G32920 transcript:ORUFI03G32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNELCDLVAAHPDLLLADKLAWLASRCGAPPASASASAQRASRAHLHSLLALARLLPAGAGAGSSLPAPLLAFLASHAFLSPSFWPQSFAPAPFLSRLLPLLAAAPTCPALSSALSTALLAALDVADPASAPLARAFLSAAAASPPTLLPADAAPVASRLLLEFAGSEEAPPKAKGKGEYAAGEENGGVREVVQKFEEEEVEELERKEVAFRLIVHVLGGEGGLESDNVAKVRNAAAKQVRSLSEFLKIRKRDWREQGAQLKTRINTKLLCCQAAVVVLVRSVSAMDVDSKASKDMLQQTLAWFIEATKSCILSSWRKLKICEELFCTLLNGISQITVSRGGQLLPVLLIPLKPLVADMTGSSPGALFDAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRERNDYEEEDGKEKDAVPVMRLNVIRLLAELCVCLKKWEVVDMILPLFIEHLEEGDASSPSLLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKAVGSAENNTVPSEATTERIETLPAGFLLVATNLTSTKLRSDYRHRLLSLCSDVGLAAESKSGRSGADLMGPLLPAVAEICSDFDPVSTVEPSLLKLFRNLWFYIVLFGLAPPIQSNQTPAKPVSTSLNTMESISAIALQAVSGPYMWNSEWCVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGNSNEKAAVGQRTALSAALGSRVEVAAMTTISGVKATYLLAVAFLEILRFSCNGGILSATSTLNKSNSAFSCVFEYLLTPNLTPAVSQCLTAVVHRAFETVLSWMEDRICDIGEGADIRESVISVHACFLIKSMSQRDENVRDVSVKLLSQLKEKFPQVLWNSSCVDLLLISVHNELTSGPVSDPAWVATVRSLYQKIAREWITSALSYAPCTTQGLIQENFCKPSGAQRSQHTADVVSLLSEIRICSGKNDWNGIRTANVPAVMDSAAAASGAKKEAPDITLEVLSTAVVTATVKCNHAGEIAGMRRLFSSMGGMNTGMSPLGMQSAQPNQSFDEVFLSRFVHLLQDFVVTAEKNQIDNTVFRETCSQSTALLLDHMVSDSRANLDGFSQLIRLLCWCPAYICTPDAMETGIFIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMNYCGPDAKLRPHLISGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSATHFSHHPAATGTFFTAMLLGLKFCSCQSQSNLQKCNMGLQLLEDRVYRAALGWFAYAPEWYESQNKSFAQREAQSVSIFVHCLQNERPSGSADSAPKSQGREGEPNMLDQNHPVWGSVDNYTTVREKRKQLLLMLSQNEADRLEVWAQPINTKDAATFRGKISSDKWIDHARTAFAVDPRIAFSMIMRFPTNSALSSEITQLVQTHILELRTIPEALPFFITPKAVDENSSLLQQLPHWAPCSVTQALEFLTPPYKGHPRVMAYVLRVLETYPPETVTFFMPQLVQSLRYDDDKLVEGYLLGAARRSNIFAHILIWHLQGECVPDEPGKEAAAPKATAFHSLLPAVREKIVDGFTPEARDMFEREFEFFDKVTSISGVLFPLPKEERRAGIKRELEKITVPGDDLYLPTATNKFVRGIQVDSGIPLQSAAKVPIMITFNVVDRDGDPNDVKPQACIFKVGDDCRQDVLALQVIALLRDIFQAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRNQMGETTDGGLLEIFQQDYGPVGSPSFEAAREIHGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWNQFLRLCVKGYLAGRRHMNGIITTVNLMVDSGLPCFSRGEPIANLRKRFHPEMNEREAANFMVRTCVDAYNKWTTAGYDLIQYLQQGIEK >ORUFI03G32920.2 pep chromosome:OR_W1943:3:27155389:27170140:-1 gene:ORUFI03G32920 transcript:ORUFI03G32920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNELCDLVAAHPDLLLADKLAWLASRCGAPPASASASAQRASRAHLHSLLALARLLPAGAGAGSSLPAPLLAFLASHAFLSPSFWPQSFAPAPFLSRLLPLLAAAPTCPALSSALSTALLAALDVADPASAPLARAFLSAAAASPPTLLPADAAPVASRLLLEFAGSEEAPPKAKGKGEYAAGEENGGVREVVQKFEEEEVEELERKEVAFRLIVHVLGGEGGLESDNVAKVRNAAAKQVRSLSEFLKIRKRDWREQGAQLKTRINTKLLCCQAAVVVLVRSVSAMDVDSKASKDMLQQTLAWFIEATKSCILSSWRKLKICEELFCTLLNGISQITVSRGGQLLPVLLIPLKPLVADMTGSSPGALFDAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRERNDYEEEDGKEKDAVPVMRLNVIRLLAELCVCLKKWEVVDMILPLFIEHLEEGDASSPSLLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKAVGSAENNTVPSEATTERIETLPAGFLLVATNLTSTKLRSDYRHRLLSLCSDVGLAAESKSGRSGADLMGPLLPAVAEICSDFDPVSTVEPSLLKLFRNLWFYIVLFGLAPPIQSNQTPAKPVSTSLNTMESISAIALQAVSGPYMWNSEWCVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGNSNEKAAVGQRTALSAALGSRVEVAAMTTISGVKATYLLAVAFLEILRFSCNGGILSATSTLNKSNSAFSCVFEYLLTPNLTPAVSQCLTAVVHRAFETVLSWMEDRICDIGEGADIRESVISVHACFLIKSMSQRDENVRDVSVKLLSQLKEKFPQVLWNSSCVDLLLISVHNELTSGPVSDPAWVATVRSLYQKIAREWITSALSYAPCTTQGLIQENFCKPSGAQRSQHTADVVSLLSEIRICSGKNDWNGIRTANVPAVMDSAAAASGAKKEAPDITLEVLSTAVVTATVKCNHAGEIAGMRRLFSSMGGMNTGMSPLGMQSAQPNQSFDEVFLSRFVHLLQDFVVTAEKNQIDNTVFRETCSQSTALLLDHMVSDSRANLDGFSQLIRLLCWCPAYICTPDAMETGIFIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMNYCGPDAKLRPHLISGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSATHFSHHPAATGTFFTAMLLGLKFCSCQSQSNLQKCNMGLQLLEDRVYRAALGWFAYAPEWYESQNKSFAQREAQSVSIFVHCLQNERPSGSADSAPKSQGREGEPNMLDQNHPVWGSVDNYTTVREKRKQLLLMLSQNEADRLEVWAQPINTKDAATFRGKISSDKWIDHARTAFAVDPRIAFSMIMRFPTNSALSSEITQLVQTHILELRTIPEALPFFITPKAVDENSSLLQQLPHWAPCSVTQALEFLTPPYKGHPRVMAYVLRVLETYPPETVTFFMPQLVQSLRYDDDKLVEGYLLGAARRSNIFAHILIWHLQGECVPDEPGKEAAAPKATAFHSLLPAVREKIVDGFTPEARDMFEREFEFFDKVTSISGVLFPLPKEERRAGIKRELEKITVPGDDLYLPTATNKFVRGIQVDSGIPLQSAAKVPIMITFNVVDRDGDPNDVKPQACIFKVGDDCRQDVLALQVIALLRDIFQAVGLNLYLFPYGVLPTGPERGIIEQDYGPVGSPSFEAAREMFMISSAGYAVASLLLQPKDRHNGNLLFDSHGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWNQFLRLCVKGYLAGRRHMNGIITTVNLMVDSGLPCFSRGEPIANLRKRFHPEMNEREAANFMVRTCVDAYNKWTTAGYDLIQYLQQGIEK >ORUFI03G32920.3 pep chromosome:OR_W1943:3:27155387:27170140:-1 gene:ORUFI03G32920 transcript:ORUFI03G32920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNELCDLVAAHPDLLLADKLAWLASRCGAPPASASASAQRASRAHLHSLLALARLLPAGAGAGSSLPAPLLAFLASHAFLSPSFWPQSFAPAPFLSRLLPLLAAAPTCPALSSALSTALLAALDVADPASAPLARAFLSAAAASPPTLLPADAAPVASRLLLEFAGSEEAPPKAKGKGEYAAGEENGGVREVVQKFEEEEVEELERKEVAFRLIVHVLGGEGGLESDNVAKVRNAAAKQVRSLSEFLKIRKRDWREQGAQLKTRINTKLLCCQAAVVVLVRSVSAMDVDSKASKDMLQQTLAWFIEATKSCILSSWRKLKICEELFCTLLNGISQITVSRGGQLLPVLLIPLKPLVADMTGSSPGALFDAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRERNDYEEEDGKEKDAVPVMRLNVIRLLAELCVCLKKWEVVDMILPLFIEHLEEGDASSPSLLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKAVGSAENNTVPSEATTERIETLPAGFLLVATNLTSTKLRSDYRHRLLSLCSDVGLAAESKSGRSGADLMGPLLPAVAEICSDFDPVSTVEPSLLKLFRNLWFYIVLFGLAPPIQSNQTPAKPVSTSLNTMESISAIALQAVSGPYMWNSEWCVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGNSNEKAAVGQRTALSAALGSRVEVAAMTTISGVKATYLLAVAFLEILRFSCNGGILSATSTLNKSNSAFSCVFEYLLTPNLTPAVSQCLTAVVHRAFETVLSWMEDRICDIGEGADIRESVISVHACFLIKSMSQRDENVRDVSVKLLSQLKEKFPQVLWNSSCVDLLLISVHNELTSGPVSDPAWVATVRSLYQKIAREWITSALSYAPCTTQGLIQENFCKPSGAQRSQHTADVVSLLSEIRICSGKNDWNGIRTANVPAVMDSAAAASGAKKEAPDITLEVLSTAVVTATVKCNHAGEIAGMRRLFSSMGGMNTGMSPLGMQSAQPNQSFDEVFLSRFVHLLQDFVVTAEKNQIDNTVFRETCSQSTALLLDHMVSDSRANLDGFSQLIRLLCWCPAYICTPDAMETGIFIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMNYCGPDAKLRPHLISGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSATHFSHHPAATGTFFTAMLLGLKFCSCQSQSNLQKCNMGLQLLEDRVYRAALGWFAYAPEWYESQNKSFAQREAQSVSIFVHCLQNERPSGSADSAPKSQGREGEPNMLDQNHPVWGSVDNYTTVREKRKQLLLMLSQNEADRLEVWAQPINTKDAATFRGKISSDKWIDHARTAFAVDPRIAFSMIMRFPTNSALSSEITQLVQTHILELRTIPEALPFFITPKAVDENSSLLQQLPHWAPCSVTQALEFLTPPYKGHPRVMAYVLRVLETYPPETVTFFMPQLVQSLRYDDDGECVPDEPGKEAAAPKATAFHSLLPAVREKIVDGFTPEARDMFEREFEFFDKVTSISGVLFPLPKEERRAGIKRELEKITVPGDDLYLPTATNKFVRGIQVDSGIPLQSAAKVPIMITFNVVDRDGDPNDVKPQACIFKVGDDCRQDVLALQVIALLRDIFQAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRNQMGETTDGGLLEIFQQDYGPVGSPSFEAAREIHGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWNQFLRLCVKGYLAGRRHMNGIITTVNLMVDSGLPCFSRGEPIANLRKRFHPEMNEREAANFMVRTCVDAYNKWTTAGYDLIQYLQQGIEK >ORUFI03G32930.1 pep chromosome:OR_W1943:3:27173983:27180078:-1 gene:ORUFI03G32930 transcript:ORUFI03G32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTDMGCCSCFGFLRKPRVSVSRPRDADGILSEDLLNHKSAEDPDGSFYTGDDPDRSFYDRDDLDRSFYNGDDPDRSFYDGDDPDHLYGSDDGQPRKRSEDIILSRAQNGFACRESLVKETKKVFRSEDENGSKMVNQYVHLGKIGSGSYGKVVLYRSMKDGKLYAVKVLNKSYMMKVRVVRSETAMTDVLREVSIMKMLDHPNIVNLIEVIDDPNADKFYMVLEYVEGKMVCDNGLGEATSRNYLRDIISGVMYLHSHNIIHGDIKPDNLLVTSTGSVKIGDFSVSQIFEDDDDLLWRSPGTPVFTAPECCQGSAYHGRAADTWAVGVTLYCMITGHYPFLGDTLQETYDKIVNDPVQIPDNMNPQLADLLERLLCKDPANRITLQAVGEHPWVAGDQGPVVEYFCRCGFGRRKRDDLKGEVQ >ORUFI03G32940.1 pep chromosome:OR_W1943:3:27184419:27199880:-1 gene:ORUFI03G32940 transcript:ORUFI03G32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHECPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDISALRKKSVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKIWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILSAHPEMNLLAAGHDSANKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITRVIGNNIFCLDRDGKNKLVTVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYMDKVGFMCKIAGXEGGLDATGKAELEEDDEAAGADWGDEGLDIVDASEAMANGGDGFDAEEGEANEEDGEEGGWDLEDLELLPEAETPKNAGNARSAVFVAPPPGMPVSLIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFLDLHMGSHSYLHALATAPIIPVAVEKGWSESASPNVRGPPALVFTFPQMEDRLKAAYKATTDGKFPEALRQFLSILHTIPLIVVDSRREVDEVKELIEIVREYVLGLRMELKRKELRDDVNRQQELAAYFTNCKLQRVHMRLVLGSAMGLCYKQKNFATAEHFARMLLENNPNEAQARRARQVQQQCSGKKDSSELNYDYRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELAVVAARGFAAAAAVAPGGRLEKMLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILSAHPEMNLLAAGHDSVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVTIFDLQQRLILGELQAPSVKYVVWSSDMESVALLSKHAVVIANKKLVHRCTLHETIRNNNLMGQFHNALYLGDALKRVEILENAGQLPLAYITATTHGLTEIADRLAAELGENIPSLPEGKARSLLIPPAPLTASGDWPLLRVMHGIFEGGLDATGKAELEEDDEAAGADWGDEDLDMVDASEAMANGGDGFDAEEGEANEEDGEEGGWDLEDLELPPEAETPKNAGNARSAVFVAPPPGMPVSLIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFVDLHMGSHSYLRALATAPIIPIAVEKGWSESASPNVRGPPALVFTFPQMEDRLKAAYKATTDGKFPEALRQFLSILHTIPLIVVDSRREVDEVKELIEIVREYVLGLRMELKRKELRDDVNRQQELAAYFTNCKLQRVHMRLVLGSAMGLCYKQKNFATAEHFARMLLENNPNEAQARRARQVQQQCSGKKDSSELNYDYRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELAVVGADASGLLCSPTQSR >ORUFI03G32940.2 pep chromosome:OR_W1943:3:27184419:27199880:-1 gene:ORUFI03G32940 transcript:ORUFI03G32940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILSAHPEMNLLAAGHDSVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVTIFDLQQRLILGELQAPSVKYVVWSSDMESVALLSKHAVVIANKKLVHRCTLHETIRNNNLMGQFHNALYLGDALKRVEILENAGQLPLAYITATTHGLTEIADRLAAELGENIPSLPEGKARSLLIPPAPLTASGDWPLLRVMHGIFEGGLDATGKAELEEDDEAAGADWGDEDLDMVDASEAMANGGDGFDAEEGEANEEDGEEGGWDLEDLELPPEAETPKNAGNARSAVFVAPPPGMPVSLIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFVDLHMGSHSYLRALATAPIIPIAVEKGWSESASPNVRGPPALVFTFPQMEDRLKAAYKATTDGKFPEALRQFLSILHTIPLIVVDSRREVDEVKELIEIVREYVLGLRMELKRKELRDDVNRQQELAAYFTNCKLQRVHMRLVLGSAMGLCYKQKNFATAEHFARMLLENNPNEAQARRARQVQQQCSGKKDSSELNYDYRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELAVVGADASGLLCSPTQSR >ORUFI03G32940.3 pep chromosome:OR_W1943:3:27184419:27199880:-1 gene:ORUFI03G32940 transcript:ORUFI03G32940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILSAHPEMNLLAAGHDSVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVTIFDLQQRLILGELQAPSVKYVVWSSDMESVALLSKHAVVIANKKLVHRCTLHETIRNNNLMGQFHNALYLGDALKRVEILENAGQLPLAYITATTHGLTEIADRLAAELGENIPSLPEGKARSLLIPPAPLTASGDWPLLRVMHGIFEGGLDATGKAELEEDDEAAGADWGDEDLDMVDASEAMANGGDGFDAEEGEANEEDGEEGGWDLEDLELPPEAETPKNAGNARSAVFVAPPPGMPVSLIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFVDLHMGSHSYLRALATAPIIPIAVEKGWSESASPNVRGPPALVFTFPQMEDRLKAAYKATTDGKFPEALRQFLSILHTIPLIVVDSRREVDEVKELIEIVREYVLGLRMELKRKELRDDVNRQQELAAYFTNCKLQRVHMRLVLGSAMGLCYKQKNFATAEHFARMLLENNPNEAQARRARQVQQQCSGKKDSSELNYDYRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELAVVGADASGLLCSPTQSR >ORUFI03G32950.1 pep chromosome:OR_W1943:3:27205622:27206426:-1 gene:ORUFI03G32950 transcript:ORUFI03G32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSTGHRAADLMIVEAIASLAEENGSSQAAIARRIEAARGGGGLLPPSHPALVAAHLSRMSAAGELVAVAGGKYALRPTPPPPPPADPAAAAAVAEEEEEEEEFLADDDSADDLPPPPPPKRGRGRPPKVRPPGYPAVPAAAAPVPVPVGAPVDGSVAAAAPAVPRRRGRPPKPRDPHAPPKIPRPRGRPRKNPLPEGMVPPPPTRPGATAKPRPQFAEVGFV >ORUFI03G32960.1 pep chromosome:OR_W1943:3:27209991:27212455:1 gene:ORUFI03G32960 transcript:ORUFI03G32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWQARDFLFCGVCGTLLKFDSVRSASCPLCGFKRKAKDIEGKETRYTVTAEDIRRELKLDPYVILETTLKEEDVIVERATVNKECEKCKNPELQYYTKQLRSADEGQTVFYKCANCRHEFNENQ >ORUFI03G32970.1 pep chromosome:OR_W1943:3:27214458:27225568:-1 gene:ORUFI03G32970 transcript:ORUFI03G32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSPTDGSNSPRTPTSAPPAAGSPAAASSSSSSRSDKKKPKSETPRKRDKLFGGSASGSAASKGGAGAAPASASSSPSADGRKAAAAQLRDGGAGGASAAALSPILASSLGLNRIKTRSGPLPQEGHRIAAALGSSNLSRGQAQADPSAASAGGGGGGGGGYRGAPQQRAWKERALQKCAYDPCETPKESESPRFKAIMQATSAPRKRVPADIKSFSHELNSKGVRPFPFWKPRGIYNLKEVLKVIQVRFEKAKEEVNSDLAVFAGDLVGVMEKYADSHPEWKETLEDLLILARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVMDQRDKIIQSADRQILAQPGDDTTTRGSKSDVRKSYSQEQHNLKWKRSQEIKPVKFLSPLDTTDVKKEVESPTRERISSWKPFPSPVPKPPKDPTPIKEESPNKKTDTPPAVSSQAELNSPVESTSHQSLPPKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICASADRCDQKGVSVDERLIRVAEALEKLVESYTQKDLPNAVGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDYLQEADSTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINESDDLPQIVELADIARCIATTPLDEERALSLLVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLLLCDSVDMDKVDSASTVMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARIYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGSSLYGDDEPQMSEFEEMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSSEAQDLIDKLLTEDPHQRLGANGASEVKQHQFFKDISWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEFEDSSDNGSLSGSSSCVSNHQDDMGDESSGFTEFESSSNVNYSFSNFSFKNLSQLVSINYDLLTKGLKDDPPTKSET >ORUFI03G32970.2 pep chromosome:OR_W1943:3:27214458:27225568:-1 gene:ORUFI03G32970 transcript:ORUFI03G32970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSPTDGSNSPRTPTSAPPAAGSPAAASSSSSSRSDKKKPKSETPRKRDKLFGGSASGSAASKGGAGAAPASASSSPSADGRKAAAAQLRDGGAGGASAAALSPILASSLGLNRIKTRSGPLPQEGHRIAAALGSSNLSRGQAQADPSAASAGGGGGGGGGYRGAPQQRAWKERALQKCAYDPCETPKESESPRFKAIMQATSAPRKRVPADIKSFSHELNSKGVRPFPFWKPRGIYNLKEVLKVIQVRFEKAKEEVNSDLAVFAGDLVGVMEKYADSHPEWKETLEDLLILARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVMDQRDKIIQSADRQILAQPGDDTTTRGSKSDVRKSYSQEQHNLKWKRSQEIKPVKFLSPLDTTDVKKEVESPTRERISSWKPFPSPVPKPPKDPTPIKEESPNKKTDTPPAVSSQAELNSPVESTSHQSLPPKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICASADRCDQKGVSVDERLIRVAEALEKLVESYTQKDLPNAVGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDYLQEADSTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINESDDLPQIVELADIARCIATTPLDEERALSLLVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLLLCDSVDMDKVDSASTVMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARIYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKVGLINSTDDLSGPAVSGSSLYGDDEPQMSEFEEMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSSEAQDLIDKLLTEDPHQRLGANGASEVKQHQFFKDISWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEFEDSSDNGSLSGSSSCVSNHQDDMGDESSGFTEFESSSNVNYSFSNFSFKNLSQLVSINYDLLTKGLKDDPPTKSET >ORUFI03G32980.1 pep chromosome:OR_W1943:3:27227607:27228212:-1 gene:ORUFI03G32980 transcript:ORUFI03G32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEIKRKHMVGTSLEITSRKLTVGTSMEITSNLVILLISRCCFFSHCFIENPFFLGRRE >ORUFI03G32990.1 pep chromosome:OR_W1943:3:27227999:27228241:1 gene:ORUFI03G32990 transcript:ORUFI03G32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLNIALDDGDEHAIPNLNEAVAEETAAADQEDDQVGGDLHGGANRELPGGDFQGGANHVLPFDLNLHASDQRRNTSR >ORUFI03G33000.1 pep chromosome:OR_W1943:3:27230123:27232375:1 gene:ORUFI03G33000 transcript:ORUFI03G33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCAAECALSLACARWALRRLSLSGADDSASWPAASPSSFAPVPRACRSALAAWQQGHDEAEQAPTPAPSRLCPPYRLSHNCARGDVVLAVCRLGLARLEDYRVLLDAGGPEPFAGGHAHRGLLRAAVWLLDREGPAIRRMVAEAGPAGCRLVFVGHSLGAGVAALAAVVADDFLPRTPAPLQHIFGSIFCLPCLLCFICMRDTFVSEEKLKDASKLYAPGRVFHIVERENCRCGRLPPQVRTAVPAEGRFEHVVLSCNATSDHGIIWIEKEAQKALDLMEQEELTLPPSQQKMLRVKETESLADHQKLSAGNPQEDDTLSSSSPFSSPRTSTTSSLRSESSSTRSEWDELVEIFLSDHEEDGDGRTNMCNRAGCLPCCK >ORUFI03G33010.1 pep chromosome:OR_W1943:3:27233330:27235487:1 gene:ORUFI03G33010 transcript:ORUFI03G33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGKSDYESVRDARISENLARMEMLGLRRCAGEFSAIASASASASAAASRRAGIGGTTPRRNPKPPRVLTPLRRSGRLVAIAAAAPAGSASGPRRSARLNGQTTEHKALPLKGSLSKFAAEEAEEEEEEEEQEEKKAMVVIDKERVRILQERRCDSKGRGAVYDPVLGICCHFCRQKKLCGEEGCKRCGEGDLNQPCIGKTDCSSCHSSYGILCRACLKVRYGEDMDEVRKNKNWMCPHCIEEKGTKKFWICNSSICLKKRKLSPTGIAIYDAREQGYESVAHLLMDKLKCRAF >ORUFI03G33020.1 pep chromosome:OR_W1943:3:27237955:27240931:1 gene:ORUFI03G33020 transcript:ORUFI03G33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRVGPAAAAAAALRRFSHLRPPAPPDPRLAFLRSEFDGREPGRQPPSPETREEPKGGERARSPVAVDIAHPWPEWVALMELLLQRGHLDASVFAGGAAGSPSKDSNVIRTACLRFGRERPELIRHLSRWDIQVALRYGCPSIDRKVVNSGKRLRAHVGLDEGEVCSQCNLRGGCERAYVKARKEEIGRTVDVMRILLTYGLDTVTGNVENRACLNKTVKESIKSLLNEIVGFDSMGPGSSTEKPTHRMPKGQSSIPMKQGDWNCPKCNFLNFAKNIKCLRCNGEFEERYQLLHENQEHLPLKKGDWICKRCNFLNFAKNTRCLQCHEKPTNRQLNPGEWECVSCNYLNFKRNAFCLKCGWKRPKSLNNQDSIESQRDLDDNKHSAISFIQDGIQSRRQILQNKAPLSDEDSDFWSSEEEGAYHSDKNIPPIKKDYKFLESFPIIGGRTAMSQDPLEREKWKDEMSRRNKGLLTEVSEESNRPSCPVRIPRSIEQIESGDDDDDDISSWFSGGTSNINMKKA >ORUFI03G33030.1 pep chromosome:OR_W1943:3:27244774:27250599:1 gene:ORUFI03G33030 transcript:ORUFI03G33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSAGVVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPPNTVHEGRIYQLKLFCDTDYPDRPPTVRFQTRINMSCVNQETGMAMKIKE >ORUFI03G33040.1 pep chromosome:OR_W1943:3:27260256:27263053:-1 gene:ORUFI03G33040 transcript:ORUFI03G33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAWRPASGRRVALAAMVVLSVVVAAAMADDLAGDARALLAFRDAVGRHVAWNGSDPGGACSWTGVTCEGGRVAVLRLPGAALAGRVPEGTLGNLTALHTLSLRLNALAGALPGDLTSAAALRNVFLNGNRLSGEFPRAFLALQGLVRLAIGGNDLSGSIPPALGNLTRLKVLLLENNRFSGEIPDLKQPLQQFNVSFNQLNGSIPATLRTMPRSAFLGTGLCGGPLGPCPGEVSPSPAPGEQPVSPTPANNGDKGGNGGESGKKSKKLSGGAIAGIAIGSAVGAALLLFLLICLCCRSGRTKTRSMEMPPPPSSAPAVVAAGRKPPEMTSAAAVAPMATVGNPHAPLGQSTSGKKLIFFGSAAAVAPFDLEDLLRASAEVLGKGAFGTTYKAVLESGATVAVKRLKDVTLTEPEFRDRIADIGELQHEFIVPLRAYYYSKDEKLLVYDFMPMGSLSAVLHGNRGSGRTPLNWETRSSIALAAARGVEYIHSTSSSASHGNIKSSNVLLNKSYQARLSDNGLSALVGPSSAPSRASGYRAPEVTDPRRVSQKADVYSFGVLLLELLTGKAPSQAALNDEGVDLPRWVQSVVRSEWTAEVFDMELLRYQNVEEQMVQLLQLAIDCVAQVPDARPSMPHVVLRIEEIKKSSERLEGRDPQQQASNLEAGDDQTSKPESAEGLNPFAP >ORUFI03G33050.1 pep chromosome:OR_W1943:3:27268984:27274496:-1 gene:ORUFI03G33050 transcript:ORUFI03G33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPEATGSAPRGAWRLDTGCRPSLSVILSPIVTGPRQPRDGRVGLAHLAMMITMNPNKALANKPCNYTICPMMFYAAYCHGCIQRVLADGLSFSQVAAAVEMLLSEIGLEKRHHVPAQEYKHETYKDQFVVKFGLRRKHTCHVDVDVDGWVRFCAASRARHIAFDFTPGAKNIFKGLPDDKYIFPLHVFSGPDSSPSHVRSLNLAYVCLNTTTTGFAGFANLKKLTLHKVLFLIMLPECTALEWLSIICCSYTELTLCKPLLRLRYLCLHYCNLEKIELEAPNLTSFDLINRPIPLALSESPKVMKFKLLHKSVRYGDNLDYICTELPAVLPGLKRFAKTSVRFINLRHLNLSVDGILRLAYLLEVAPVLEELELHLLIKHHQPDCKHSERICHRIGMIKLRRVVMSGACHWQGLIELAHCILRCAIRLDCMIMDPMVRIKGLPVVDCIYG >ORUFI03G33050.2 pep chromosome:OR_W1943:3:27268984:27274496:-1 gene:ORUFI03G33050 transcript:ORUFI03G33050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPEATGSAPRGAWRLDTGCRPSLSVILSPIVTGPRQPRDGRVGLAHLAMMITMNPNKALANKPCNYTICPMMFYAAYCHGCIQRVLADGLSFSQVAAAVEMLLSEIGLEKRHHVPAQEYKHETYKDQFVVKFGLRRKHTCHVDVDVDGWVRFCAASRARHIAFDFTPGAKNIFKGLPDDKYIFPLHVFSGPDSSPSHVRSLNLAYVCLNTTTTGFAGFANLKKLTLHKVLFLIMLPECTALEWLSIICCSYTELTLCKPLLRLRYLCLHYCNLEKIELEAPNLTSFDLINRPIPLALSESPKVMKFKLLHKSVRYGDNLDYICTELPAVLPGLKRFAKTSVRFINLRHLNLSVDGILRLAYLLEVAPVLEELELHFDISDFVIRQVIRADMPPYRHDKAQEGGHVWSLSLAGADRASTLHSSFIYG >ORUFI03G33060.1 pep chromosome:OR_W1943:3:27277532:27285343:1 gene:ORUFI03G33060 transcript:ORUFI03G33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFSVTKKATTPFDGQKPGTSGLRKKVTVFQQPHYLQNFVQSTFNALPADKVKGATIVVSGDGRYFSKDAVQIITKMAAANGVRRVWVGQNSLMSTPAVSAVIRERVGADDFGIKYNMENGGPAPESVTDKIFSNTTTITEYLIAEDLPDVDISVVGVTTFSGPEGPFDVDVFDSTIDYIKLMKTIFDFESIKKLLASPKFTFCYDALHGVAGTYATRIFVEELGAAESSLLNCVPKEDFGGGHPDPNLTYAKELVDRMGLGKSSNAEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVQSIPYFSSGLKGVARSMPTSAALDVVAKNLNLKFFEVPTGWKFFGNLMDAGMCSICGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKDNLGGDKLVTVEDIVRQHWGTYGRHYYTRYDYENVDAGAAKELMANLVSMQSSLSDVNKLIKEIRSDVSDVVAADEFEYKDPVDGSVSKHQGVRYLFGDGSRLVFRLSGTGSVGATIRVYIEQYEKDSSKTGRDSQDALAPLVRTGGVTLEIGRSDRMDEPRVAPVPCLALKHGADSDKPVLFSISDATAIDNNGGVDIPGLTNGNAWVTPQGWILVRSASDASTFLQNPQDPDGKISLPHLPRELPSTCSCRLSGKPNGSESCIVLLVETEEDVTVLWYCRFGGGGGGGEGEGWVRHEYDVGTQWDIRPGKEGQREKVPIRSIAACRGKFYFNATPESVGVLEFTPTPTTPVFGSIAIADPLPGGYGVLGAALGFLVEAEDDLYMVRLLLDRDFETVYDLIVYKMDFSEQQWHEVDDIGGRAFLLAPAYFGASRAADECGLEKDSVYVPYAHKKCFEVCKVEEKGDIDVVNLIEAPDAKIGMWIMPTD >ORUFI03G33070.1 pep chromosome:OR_W1943:3:27286673:27290191:-1 gene:ORUFI03G33070 transcript:ORUFI03G33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLTDLVNLDLSESTDKVIAEYIWVGGTGMDVRSKARTLSGPVDDPSKLPKWNFDGSSTGQATGDDSEVILHPQAIFRDPFRKGKNILVMCDCYAPNGEPIPTNNRYNAARIFSHPDVKAEEPWYGIEQEYTLLQKHINWPLGWPLGGYPGPQGPYYCAAGADKSYGRDIVDAHYKACLFAGINISGINAEVMPGQWEFQIGPVVGVSAGDHVWVARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRSNGGYEVIKKAIKKLGMRHREHIAAYGDGNERRLTGRHETADINNFVWGVANRGASVRVGRDTEKDGKGYFEDRRPASNMDPYLVTAMIAETTILWEPSHGHGHGQSNGK >ORUFI03G33080.1 pep chromosome:OR_W1943:3:27294925:27301393:1 gene:ORUFI03G33080 transcript:ORUFI03G33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAPIRGLASAAAARAGAGAPLSGLTDALLAARLANHLLTTPHIPPELLPAAPLPLPVRLHVLRHPALPPTSKLSFFLAATPPSCPLLAATFPVLVRALATHSPPLLDALLPFALSSSCPSELLPALLSALLSASRVDAALALLDAAPPDLLPRLAAAAIPSLIASPDPISAVPAIRRLLPIASHPPPVRATNRLLLALSKENLYDDFRHVFGEMSRRGLPSNIRFYNICIHAFGKWRRLDMSLKLFAAMKTASPPLVPDICTHNSLIRALVVGARVADALVVYDEMKSFGIEPDVFTYRAIVDGCCKSFRMDDALRLFQEMRGSYGVKGDAVVYNSLLDGLFKAKKLDEACAKGKLSVACKLFEIFTTLGRKGTSYTYNSLMTSFVKKGYLKQLCLVNQVTFIITSIKLQVRRVKKSRS >ORUFI03G33090.1 pep chromosome:OR_W1943:3:27303572:27309120:1 gene:ORUFI03G33090 transcript:ORUFI03G33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVAPETLQRQSGYLVRGRGEEGIGEGEAEAVGGDAAGGLGAMEYLTSVLSSKVYDVAIESPLQLATKLSERLGVNLWIKREDLQPVFSFKLRGAYNMMAKLSREQLERGVICSSAGNHAQGVALSAQRLGCDAVIVMPVTTPEIKWRSVERLGATVVLKGDSYDEAQSYAKQRCEQEGRTFIPPFDHPDVISGQGTIGMEIVRQLQGPLHAIFVPVGGGGLIAGIAAYVKRVRPEVKIIGVEPSDANAMALSLCHGQRVMLEQVGGFADGVAVKVVGEETFRLCRELVDGIVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYGLKGENVVAITSGANMNFDRLRLVTELADVGRKREAVLATFLPEEQGSFKKFAELVGRMNITEFKYRYDCNAKDALVLYSVGIYTDDELKAMVERMESSKLRTVDLTDNDLAKDHLRYFIGGRSEVTDELVYRFIFPERPGALMKFLDAFSPRWNISLFHYRAQGETGANVLVGIQVPPEEFDEFKSRADNLGYEYMSEQNNEIYRLLLRDPKI >ORUFI03G33100.1 pep chromosome:OR_W1943:3:27310976:27316940:1 gene:ORUFI03G33100 transcript:ORUFI03G33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGSLIGLVNRIQRACTVLGDHGGGGEGGSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEGGQEYAEFLHAPRKRFTDFAAVRKEIADETDRITGKTKAISNIPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQQESIVQDIENMVRSYVDKPNSIILAISPANQDIATSDAIKLARDVDPSGDRTFGVLTKLDLMDKGTNAVDVLEGRQYRLQHPWVGIVNRSQADINRNVDMLAARRKEKEYFESSPDYGHLAHKMGAEYLAKLLSQHLEAVIRAKIPSIIAMINKTIDEIEAELDRLGRPIGGDAGAQLYTILDMCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDKHLSLQNVRKVISEADGYQPHLIAPEQGYRRLIDSSLHYFRGPAEASVDAVHLVLKELVRRSIAATEELKRFPTLQTDIAAAANESLERFREDGRKTVIRLVEMEASYLTVEFFRKLPTEPDKGANNNTPANDRYQDNHLRRIGSNVSSYINMVCETLRNTIPKAVVHCQVKEAKRNLLNRFYAHVGSKEKKQLSAMLDEDPALMEKRDSLVKRLELYKSARNEIDSVAWK >ORUFI03G33110.1 pep chromosome:OR_W1943:3:27316755:27317508:-1 gene:ORUFI03G33110 transcript:ORUFI03G33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLERYSEGARDQALLVKARVDDEVEVVLVFKGFSSRLSGRTAADPAMSVLPERAIIQTVDVVRGPFNPTNIEYLEKDLPWDDFKSRLH >ORUFI03G33120.1 pep chromosome:OR_W1943:3:27320183:27324177:-1 gene:ORUFI03G33120 transcript:ORUFI03G33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFARAIRHSSPYLRSRAPPPPAVAASCRWISPTAAAGSPEAGAAVAPADPETPPPREPVGGARVELPSNPEDALEVFVDGHAVRIPKGFTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTNTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGADRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFASEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRVDSRGPEVMRIVPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGTDGRFKAVTWRDALAVVAEVLQQVKPEEITGVAGKLSDAESMMALKDFVNKMGSDKVLCEGNGPSPPADLRSNYLMNTSIAGLEKADVFLLVGTQPRVEAAMVNARIRKTVRATQAKVAYIGPPTDFNYDHEHLGTGPQTLVEIAEGRHPFCSTLQSAKNPVIIAGAGLFDREDQDALFSTIETVAKKFNVVRPDWNGLNVLLLHAAQAAALDLGLVANPTESIKSTKFLYLMGADDVDLDKVPDDAFVVYQGHHGDKAVYRANVILPSSAFSEKEGTYENTEGCTQWTIPAVPTVGDARDDWKILRALSEVAGAQLPYDSLSGVRDRISMVAPNLVHVDEREPCTISSEVKPPVKQQVSSTPFKTVVENFYMTDAITRASKIMAQCSATLLKK >ORUFI03G33130.1 pep chromosome:OR_W1943:3:27325985:27330677:1 gene:ORUFI03G33130 transcript:ORUFI03G33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIREKRFSQMNLSLHVPSRVPFQDAAAAARRQCPPPVAAASTSSTPASRASQFRLADFERVAVLGRGNGGTVYKVRHRETCALYALKVQHSAGGGELAGVEADILSRTASPFVVRCHAVLPASASASGDVALLLELVDGGSLDAVARRRAGAAFPEAAVAEVAAQALSGLAYLHARRVAHLDVKPANLLASTDGEVKLADFGIARVLPPRAGDHRAPPYAGTAAYMSPERFDPEAHGGRYDPFAADVWGLGVTVLELLAGRYPLLPAGQKPSWAALMCAICFGEPPALPDGAAASPELRGFVAACLRKDHRERASVGELLAHPFVAGRDVAASRRALRRLVAEASSPSSERRLPQLHISLDLPSCAGAANFRAAPASTSAAAAARAGELRMSDFDRVAVLGRGNGGAVYKVVHRRTSAVYALKVLHGGVGGGDHGAAAATEADVMRRAASPHVVRCHSVVAAAAAATSCPGDVALLLELVDGGSLASVAARAGAFPEAAVAEVAAQALSGLACLHARRVVHRDIKPGNLLVSVDGEVKIADFGIAKVVPPRRGGEHRAAYEYEGTAAYMSPERFDSELHGDGADPFAADVWGLGVTVLELLMARYPLLPAGQKPSWAALMCAICFGELPPLPDGAASPELRAFLAACLHKDHTKRPSAAHLLTHQFVAGRNVAASKLALRRLVAGA >ORUFI03G33140.1 pep chromosome:OR_W1943:3:27337540:27341018:-1 gene:ORUFI03G33140 transcript:ORUFI03G33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRTVKVSNISLNASKREITEFFSFSGDIEYVEMQSESERSQLAYVTFKDSQGADTAVLLSGATIVDRSVIITPVVNYQLPPDARKQSAGEKSSSAESVVRKAEDVVSSMLAKGFVLSKDALNVARSFDERHNILSNATATVASLDRQYGVSEKISLGRAIVGSKVKEVDDRYQVSELTKSALAAAEQKASIASSAIMNNQYVSAGASWLTSAFGMVTKAAGDMSSMTKDKVDRAEEERKAIMWEERNGLVSDYAKIHLDEPSSWEPAVLPLESVDEQKLQAV >ORUFI03G33150.1 pep chromosome:OR_W1943:3:27343651:27349915:1 gene:ORUFI03G33150 transcript:ORUFI03G33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSEDGGRRRRREAHAAGYGELGRALLDLQAAADQVFDAVTARTAEEREKLSAISRRISAAKAKIKTLSQSEEPLTIVSPAQHPSSCTNQEDFRPLFHDKYDDNSGGPSIATISVNGGFNREYGLEGTLELFQFFSEENCDYTPKEGRLKVKNKPAEAKDDTYLGSLMDKSNFPTPQNILMSGKDMKIEELPPPPPSLISKHLAKNQRSDDVRFESSWSPAHSDIPSAENRL >ORUFI03G33150.2 pep chromosome:OR_W1943:3:27343651:27349915:1 gene:ORUFI03G33150 transcript:ORUFI03G33150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSEDGGRRRRREAHAAGYGELGRALLDLQAAADQVFDAVTARTAEEREKLSAISRRISAAKAKIKTLSQSEEPLTIVSPAQHPSSCTNQEDFRPLFHDKYDDNSGGPSIATISVNGGFNREYGLEGTLELFQFFSEENCDYTPKEGRLKVKNKPAEAKDDTYLGSLMDKSNFPTPQNILMSGKDMKIEELPPPPPSLISKHLAKNQRSDDVRFESSWSPAHSDIPSAENRL >ORUFI03G33160.1 pep chromosome:OR_W1943:3:27350558:27350902:1 gene:ORUFI03G33160 transcript:ORUFI03G33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSACNCKASLLLAVLLAATLLRRGLGAAPPFHPAGGGDHARRLIGDGAAARPLLHGSAAAANGASAPGNAGAGSVLRRRPVARRVLLRGVNGEASKSKPSCGSNYEPPCRPD >ORUFI03G33170.1 pep chromosome:OR_W1943:3:27364101:27364481:1 gene:ORUFI03G33170 transcript:ORUFI03G33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCTHASLCFFALTSSLLVQSSGGARPSQGEELMQGRPAAAAAASPVGSSPTTEELVDAGIAAGREADAAAPPATTPAAILGETRHSARRFLVVAAGEDAATDDGAGASCHSNNVHITCAPPSPR >ORUFI03G33180.1 pep chromosome:OR_W1943:3:27367994:27368404:1 gene:ORUFI03G33180 transcript:ORUFI03G33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCTNASLCFFALTSSLLVQSSGGAMPSREVMQGRPSSSSSSAAAAASPVGSSPTESAELVVVDTGSIAAGAARDADAAAPPSPPSPAATGMGDDAAQVPRHSARRLLVDAATDGAGASCHSNNVHITCAPPSPR >ORUFI03G33190.1 pep chromosome:OR_W1943:3:27369055:27375082:1 gene:ORUFI03G33190 transcript:ORUFI03G33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRTIHMVNLDPAAEHFSYPVSTDIRELLISLDDVMEELGMGPNGGLIYCMELLKLLTDPSENHRHLEDNLDDWLDEQLEGYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFNVCAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLNPEAQVLLSQLNRQMAPKFGKLNKSLAELVDDYSMVNFIPLDLRKESSIQYVLSHIDNCIQYGEDADVKVRDFDPEED >ORUFI03G33190.2 pep chromosome:OR_W1943:3:27369055:27375740:1 gene:ORUFI03G33190 transcript:ORUFI03G33190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRTIHMVNLDPAAEHFSYPVSTDIRELLISLDDVMEELGMGPNGGLIYCMEHLEDNLDDWLDEQLEGYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFNVCAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLNPEAQVLLSQLNRQMAPKFGKLNKSLAELVDDYSMVNFIPLDLRKESSIQYVLSHIDNCIQYGEDADVKPLHMRHLKAS >ORUFI03G33190.3 pep chromosome:OR_W1943:3:27369055:27375024:1 gene:ORUFI03G33190 transcript:ORUFI03G33190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRTIHMVNLDPAAEHFSYPVSTDIRELLISLDDVMEELGMGPNGGLIYCMEHLEDNLDDWLDEQLEGYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFNVCAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLNPEAQVLLSQLNRQMAPKFGKLNKSLAELVDDYSMVNFIPLDLRKESSIQYVLSHIDNCIQYGEDADVKVRDFDPEED >ORUFI03G33200.1 pep chromosome:OR_W1943:3:27382315:27382605:1 gene:ORUFI03G33200 transcript:ORUFI03G33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGIKKGHDQVAAAAAALLMVFLVAATFHFQALHARPVDASGTLHPSSHDDNTGASPPPPTAAAAAGGRGLPKRSSSPSGCTNYGPGGGTVCPPR >ORUFI03G33210.1 pep chromosome:OR_W1943:3:27385604:27386609:1 gene:ORUFI03G33210 transcript:ORUFI03G33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPLFLSLLFFSPSPQFGSRQGSQPVGKVGVRRPATGDEAAADLNATSPAPTRHPPTTSPAHSRLQCNIHIGAKSIKKTSNAINGGVFVLLLFGVAFVSLGGGGGVLTVEVGGDGAAAGEVEDEATDVRIKTACGSFQSAAGAFAWMRESGVDAKAVAAGATTVDVLSLLSPASPPNHLALLPALRDPAPGLALPRCRHGAPTPASAAAPAPASTAVVAAEPPPPRPAPPPPAAGRAHLRPRRPCPALPPPVASRA >ORUFI03G33220.1 pep chromosome:OR_W1943:3:27387124:27415434:1 gene:ORUFI03G33220 transcript:ORUFI03G33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKERIEERSDESSVMKGHQVAAAAALMVLLVAAAFHLHPLHARPVARAATAGPLPPARRSSSHDANSTGSSQPRPRPPAAEVEVAAADGISLPKRSSSPSGCTNYGPAGGGSTYTSTTRLVPRRRRKRHAGSHLISPVAAAAATGGGGLKRSSSPSGCTNYDPGGGGAGIWSLFSGASIAVFQSIIQH >ORUFI03G33230.1 pep chromosome:OR_W1943:3:27421324:27421602:1 gene:ORUFI03G33230 transcript:ORUFI03G33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGGAARAAGSAVTVGKLVKLLLVLALVVSAAAAHGADAARAVPGTGSASAGRGGGGAGARSLLSRPQPSCCTHDGNTVGTGCCPGKRHR >ORUFI03G33240.1 pep chromosome:OR_W1943:3:27424384:27424596:1 gene:ORUFI03G33240 transcript:ORUFI03G33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAVRKLLLLILALVATAAHAARAAPGTGANGDAVTRAARSLLSRASCCTHDGNTVGASCCPQQQLRP >ORUFI03G33250.1 pep chromosome:OR_W1943:3:27426009:27431452:1 gene:ORUFI03G33250 transcript:ORUFI03G33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSATRQLSFELLGEGGLAAADDADDDLSPRSLPDTTSDGQRRRRRRSKRKRGLRSPPIEEEEKEGTPRRGGVVGVSDLVSVSVVERESSDAERSAASCVTYVGVGVELRQRSVSGSGRVVSREDATSSCGSSARESAAAAAAVPEAAPAAWRPEANGGGKKLEKEDSLDWERYMKENGNVLGEVERLDNSPFRYFLGELYGGNSLRGTISAGNDKKRQRVYNTMFHVPWRCERLIVAGFFVCLDSFLSLLTIMPARIAITVWRVLKTRQFLRPNAADLSDYGCFVVLALGVASLQMIDISLIYHVIRGQGTIKLYVVYNVLEIFDKLCQSFGEDVLQVLFNSAEGLSTCSTDNATFELMRFILDEAIAAITLSTCIIAHNNALLALLVSNNFAEIKSNVFKRVSKENLHNLVYYDIIERFHITSFLLFVLAQNILEAEGPWFDSFLINASLVFMCEVLIDAIKHSFLAKFNEIKPVAYSEFLEDLCKQILNDKTDDRQKDLTFIPLAPACVVIRVLTPVYATLLPAGPFIWRVFWILLWSVLTYFMLAVFKILVGLVLRCLATWYVNLRLKNKQHVD >ORUFI03G33260.1 pep chromosome:OR_W1943:3:27431590:27442099:-1 gene:ORUFI03G33260 transcript:ORUFI03G33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSAYDAVELVSGVPGDIVAVAAYAGRLLVAGKDGSLRIYASPGHAGGGIPRDGPYALERQQPSLWRRGTPLAMEVSAGRELLLSLAECVNLHRLPGLETVAVIGKTKGANLFAWDDRRGLLAVGRWKRLTIFRLDSGREFVEVKEFGVPDTVKSMAWCGDNICLGIRKEYMIINSMTGALTEVFSSGRNAPPLVVALPTGELLLGKTVVLRDVQKLVETENCILAVLARSVYGLLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSNLRAAKESSIHIRYGHFLFDNGSYEEAMEQFSDSHVDITYVLSLYPSLVLPQTHIIGEHDRLQDLPELARESSDVTDDMEPYSLQLHESDDKSPLEIKKMSHNALIALVKYLHKKRNGIIERATAEVTEEVVSGAVHHSSILSESYRSKKPNKKRAQTHTSSIAREMATVLDTSLLQALILTGQSSGAIELLKGLNYCDLKICKEFLEERSDYMVLLELYKSNEMHREALQLLNQLVEESKAEMGKNDFNKKFNPQMIIEYLRPLCRSDPMLVLESSLYVLERNPSDTIELFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMSVSGINPNLQNELVQLYLSEVLDWHKILKEEGNWTEKTYSPTRKKLITTLENNSGYNTDILLKRLPQDALFEERAILYGKINQHLRALSLYVHKLQMPERAVAYCDRVYEEGAQQPSKSNIYFNLLQIYLNPRKAEKEFEQKIVPVASQYPGIQKVTKVRGARMGKKVVEIEGADDVRFSPSGTDSGRSDGDGDGDDVSDGGPIMLNEALELLSQRWDRINGAQALRLLPRDTKLQDLVLFLEPLLRNSSEHRRNYMVIKNLIFRANLQVKEDLYKRRQAVLKIDGDSMCSLCHKRIANSAFAIYPNGQTLVHFVCFRESQQIKAVRGVNSVKRIA >ORUFI03G33270.1 pep chromosome:OR_W1943:3:27444664:27447511:1 gene:ORUFI03G33270 transcript:ORUFI03G33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRRTAAASATATVTKVIIMEAPETGPRAVAAAVVAAARRSTRPIISYLRFLLNRLRDTSDEQVINRSNDAWNLYHRLTGSSRRPAIAGHGAFPTGDTLDCLLRPRYFIPRRPLFQNCSLYRVLCQVRRASPSWKELAIGLGLAAAVVGATLLVRYYGEEAKRRLVADLYTTAYLKGEFDRFDRNDDGFITSEELGELLSCLGLNHTEAELQAMIEEAAPDGNGAIDFHEFLTIAHNWVIRDYHDAEEESIEAFQLFDRELIY >ORUFI03G33280.1 pep chromosome:OR_W1943:3:27456380:27460660:1 gene:ORUFI03G33280 transcript:ORUFI03G33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGGQTYGFPIYCASWLPLAHILNPPPPVEEGDADADAGAASSESPATPPPPPPLMAALGGGGGEGRSGVPNALVVAALDTSAGAAAAAALSPDPVLRMGTEEQVPYRMGVHPRGDGVLCAFPNGCRLFRWESQEGEGPDNIALRADQEALTELSDAGLQLAVSFSGEGSILATGGEDGHLRVFKWPSMDSILEEPDTKTSVKDLTFSSDEHFLAVNRSSGPCRVWDLKSAEVVANLPREAGEIFGFCRFSNQTDNSQILFVTAMQGDYGKIISWNTTSWTRIGSNKITREAISAFAVSPDCTLLAIGTIEGSIIVLSSKNMRSLVTVKKAHLGIITTLAFSQDSRTLLSTSFDSTARVTSIGSPKSRGISIWTMILVIILAILAYYYMQHKEDLLARFLH >ORUFI03G33290.1 pep chromosome:OR_W1943:3:27463445:27464689:-1 gene:ORUFI03G33290 transcript:ORUFI03G33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEPDKDKPLPKPKPPKPKPKPPKPEPEPNTRSAPERDQTAAPYGTVPPDPFSCATDCSPDCVFYHLCPSPPPPVAPAAEGGAPVHLRSSRLPTPLIALSASLLGVSVVLLVALLVCRLMRGRGRRGRRRRGGRNALAPQEAPLTQQPQQGDEEGGAAGAAMAAEEVEGDDDDDDDDDGGGGVHHVWYIRTVGLDERAIAAITALVYDAKKTGGGIGLAGGGGGSCAVCLTEFRDGETLRLLPRCRHAFHRGCIDTWLRAHVNCPLCRAPVQISDKSAAAAAAAAAANAAPGAAAAVPGGAPAPNPRNAAATEADRGELQGSPERGVRRAASMVTLPRRPWPEVSLRSPASNSGRMGEMGLAKIARLMKFSEVLEMAGIGATRSVSFGGHGRSGQSAAAAAAAGNNADEISR >ORUFI03G33300.1 pep chromosome:OR_W1943:3:27471326:27474004:1 gene:ORUFI03G33300 transcript:ORUFI03G33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEQLLADGTLRPLSEKMRPGETPEAPGQRPTVAARGSRTVHRWFDTDGAVFGLYHINQNSSIFRHNLSRSPIREEPTKPQALNTNLTINLPSSASVSGSNKKSNTTHSPFPQFAEVIKKCVVERGEVLEEDDGIVDAGTLMPIQEGLSMVDEVGGGGGVADEHLPPHDVDRLVLVADEGGHGSYRWLQELGAEEEQVGASAVADGKGAFNGDAKNDREAPLQGAGASAVADDKSGLPDAKNGDKKRGLPDASNNNAGRQLQGAGAAVEDKGGAALLVAGEQPPPQEVAAQAGEAAPDAHWLQPPLQEAVAHVGDGEAAPDAHWLQPPLQEAVAHAGEVAPDAHLLQPPMHDDDDADDADWPDWDAFDQDWEGFDQDWDADTDKTAALQEEDEEPPVSIVAGETPPQHGPLLSFFVECDQAGRGEKPCTSCFEGSKPRSLSSGSMRPHYCTCHRKLMRSKDRVECSYCHNFFQNLADYYRHKKNIHGK >ORUFI03G33310.1 pep chromosome:OR_W1943:3:27474956:27476494:-1 gene:ORUFI03G33310 transcript:ORUFI03G33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKLYPAHSQIGALLEIAEHCNERKCAGHCAEEAGQKLYMWALIKRKELLVADAIVLGLRTKFMYVYVPKLTMERRIHYDGVESLSIEWLEATGTLVLEACRNRPPQRRGNQVNWCSIELLRKSSW >ORUFI03G33320.1 pep chromosome:OR_W1943:3:27483242:27486247:-1 gene:ORUFI03G33320 transcript:ORUFI03G33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMRALERLASWPTPPPPPPPPPPPAKAAAAEAERPCPPSSAATTSSSSSSSASAAPAAAGAPRVYPLRDFPGREAAGLGGAFRDNVRWLLRQWGGASPASPAAGGPGSASAAVAVAWRVLLSDDRTGVVVPIFAVEEPVASSPKPLCDYCRWAGWSHHWVSKRKYHFIIPAPADWDRQLAADVILGRTDHLLHGLIHSNGFGHLVMLRGRDGGSTALSGRDIMDIWDCLCSALRARAVSVVDFSQKRSLDLRLLLSVAHGDTWFTRWGYCLARGCFCVSTSTYAASVEALAALPVDYLRSRHVRRVVTIYRRLSNKPLVTVREFLRCLLDWKHLEPPLQLPPVKTCTRLQFMLPKPSVMKRHRQQPCQRFEDVIDLLQCRWSKKRLLDAAEVVVDRLLEHGSGAEMTRQAVRDAARGAIGDTGLLDFVIKSLGDTIVGNYIVRRVPDAETRVLHFSLEEYEEPTPALLDVEVECTPLPPVVRWPSTVEVERDLRAVYRAMVEVRSEAAQAVLDCKHWVKWWGLGDESDDQLRFFVEWQPQPWEAAELIRPMPLGEIVVVPLHASMGELLVESEHALRDTYYFFEEFQAEGLHGIAGEKWDPVMLGGAENGDTISVYGNGADMETELRCHGGLDLWEVRCVCGAQDDDGERMIACDACDVWHHTRCVGIADSEPVPPLFLCVLCGGALMAAGPINS >ORUFI03G33330.1 pep chromosome:OR_W1943:3:27490800:27493373:-1 gene:ORUFI03G33330 transcript:ORUFI03G33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTLRPSGDAAKKIADALRKTRGVFSNAYRTVRIRVKGTKVEEILDKLEKLLNLYKIVHSDTSDSTRTQNRAGHPRLPARHPSVRPKLVFVFNGRALKLWIERLRLKLASYATPSKRMFLIVSAGVAVSVFALYAVHCNYRGRLGGGGGGGGGGGGGDVGGDGGGGGDGNVGGGGGGGGGGGGGGGGGGGGDGVARDDDAPKGLRLILVAAPSSMPWPRYLAVKNSGEKLVPRQDGGGGGGGDQVKIDKLRTAAGEFVSQKSSSVFGKKKVEPVVKDAAVPGETSSISTLFAKKNVGAVLMDKEAPWKASPVAIESQYSRTGDPDCF >ORUFI03G33340.1 pep chromosome:OR_W1943:3:27497214:27497757:-1 gene:ORUFI03G33340 transcript:ORUFI03G33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAAVSFGMHVHVELRLVSSVCMCFYGVPGCSLEVVCAPAELERRRQAEELSGGDGWRRLAPVFGLPRKGGRQLKTYLRMPHVRIIAPKLTRERGIEGISRQPEMRKASSIFKTAATSLAQWLGQRSTGWMGTAMRRKAALWTPGATTSSTQGVRPVPY >ORUFI03G33350.1 pep chromosome:OR_W1943:3:27510822:27514403:-1 gene:ORUFI03G33350 transcript:ORUFI03G33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRRRGGGGGGGGGGVAVAVALAVMLVVGAAAGETAASDAAAMRAVARALGADKALGWSTGDPCSSPRAWAGVTCDSAGRVTAVQVGNRSLTGRLAPEVRNLTALARLELFDNSISGELPSLAGLSSLQYLLVHNNGFTRIPPDFFKGLTALAAVSLDNNPFDPWPLPADLADCTSLTNFSANTANVTGALPDFFGTALPSLQRLSLAFNKMSGPVPASLATAPLQALWLNNQIGENQFNGSISFISNMTSLQELWLHSNDFTGPLPDFSGLASLSDLELRDNQLTGPVPDSLLKLGSLTKVTLTNNLLQGPTPKFADKVKADVVPTTERFCLSTPGQPCDPRVNLLLEVAAEFQYPAKLADNWKGNDPCDGYIGVGCDAGNITVLNFARMGFSGSISPAIGKITTLQKLILADNNITGTVPKEVAALPALTEVDLSNNNLYGKLPTFAAKNVLVKANGNPNIGKDAPAPSGSGGSGGSNAPDGGNGGDGSNGSPSSSSAGIIAGSVVGAIAGVGLLAALGFYCYKRKQKPFGRVQSPHAMVVHPRHSGSDPDMVKITVAGGNVNGGAAASETYSQASSGPRDIHVVETGNMVISIQVLRNVTNNFSDENVLGRGGFGTVYKGELHDGTKIAVKRMEAGVMGNKGLNEFKSEIAVLTKVRHRNLVSLLGYCLDGNERILVYEYMPQGTLSQHLFEWKEHNLRPLEWKKRLSIALDVARGVEYLHSLAQQTFIHRDLKPSNILLGDDMKAKVADFGLVRLAPADGKCVSVETRLAGTFGYLAPEYAVTGRVTTKADVFSFGVILMELITGRKALDETQPEDSMHLVTWFRRMQLSKDTFQKAIDPTIDLTEETLASVSTVAELAGHCCAREPHQRPDMGHAVNVLSTLSDVWKPSDPDSDDSYGIDLDMTLPQALKKWQAFEDSSHFDGATSSFLASLDNTQTSIPTRPPGFAESFTSADGR >ORUFI03G33360.1 pep chromosome:OR_W1943:3:27522627:27525431:1 gene:ORUFI03G33360 transcript:ORUFI03G33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGGIIGGGGHHSAVAARVAAAAHVLFLTTAVLMLVWLLHFRGGINIQSDDPEQIFNVHPFVMCWGFILLIGEAILAYTTIPMDHRTQKMVHMLVHLVALILAIFGVYAAFKFHDAAVAPDLVSLHSWLGILAVALFGLQWLFGFFAFWLPGTHERTRAAAAPAHVAAGLAIFMLAVCAAETGLVQKSAAAASAGEAKLINVTGIFILLYAVAVAVAVALRKAFFY >ORUFI03G33370.1 pep chromosome:OR_W1943:3:27526066:27528363:1 gene:ORUFI03G33370 transcript:ORUFI03G33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYIDAATLNAVNSFDWPHGGKTLQRRIIQGGLIRVQRAGGQRPSPPPSPANAFFPLRARSPASEEGCQEGERGSEEASVAPFPVVHSSVDREDGTPRNEEVR >ORUFI03G33370.2 pep chromosome:OR_W1943:3:27525993:27527081:1 gene:ORUFI03G33370 transcript:ORUFI03G33370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRQKEKRVGPTILFIHGRLDDGRGSDRRLLSLPPGILPCWPASAHGEGKRHRPARGAETAAGRRPLRSTRVAEVGGRQEDGVDDSEEGADELVREVGAVGLELGVAVGNSISKVLWMATLHPTSLPNWNRMRISVNIITQNRAKSLRRLLASLRNACYVGDEVVPISFNMDSRVDAATLNAVNSFDAEPVLIHVHGDEPALHKATPGLRPLLIHHSWKMESILDHMLREAGVVIQQQQAEEKNSSGGTAMVPPPPCPGSSVTCYVCFEEVSPDADQL >ORUFI03G33380.1 pep chromosome:OR_W1943:3:27533954:27539783:-1 gene:ORUFI03G33380 transcript:ORUFI03G33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLEATRAKHEDMERLERLAVRELQREPANARDRLYQSHRVRHMLDLVISTSGKLVEIYEDKDNARKDEISNHLSSTVQAEIFPKFYDRLKEIRDYHRRNPSARFVSATDDFEELLKEEPAIEFTGEEAFGRYLDLHELYNEFINSKFGTPMEYSAYVGTFSHVEKMAQNLKTSRQYREYLEHILEYLTSFLYHTEPLQDIEKIFAKLESEFEEQWINGEVPGWESKDPEKESAQESVIDLDYYTTVEELVELGPEKLKEALAARGLKSGGTVQQRAERLFLLKHTPLEQLDRKHFAKGSHSSVSNATSNGNNFKDNLKKEIALMEVKMRRLCELLDEIIVRTKENAEKKLTLTYEEMEAEREEEEVQADSESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIQEAKELWEKIQQRQGLNKWRPDLEEEYEDQEGNIYNKKTYTDLQRQGLI >ORUFI03G33390.1 pep chromosome:OR_W1943:3:27542101:27543584:-1 gene:ORUFI03G33390 transcript:ORUFI03G33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDTIYLLKITDIYKHQPLSPPHLSQHLLSLSLQLSFPSAATLPGPRRQWWRQIELLRQRIKARRRRIKALRRRIKLLRWQIEQRRRWIEQRRQRIEAVTSRGPGLGVADRAVAVTGEEKLPDVSGPSLGVADRAVAVAAPRPCLALKLPKLCGPLLGVAALCRGAVPAELAGIFPSGALAGCSYGRAGGNIPLGCGETTLHAGALNMALG >ORUFI03G33400.1 pep chromosome:OR_W1943:3:27546450:27553010:1 gene:ORUFI03G33400 transcript:ORUFI03G33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGGGGGGGGEAAAAVAVEGDEAGKGRRWWRVKVKLSTVAVVAWVLASAALWAGLHWRFRRAALHKAEEALVCMCEERARMLQDQFAVSVNHVHALAILVATFHYDKHPPALDQDTFAVYAARTSFERPLLSGVAYAQRVVHADRESFERQQGWIIKTMKHEPSPAQDEYAPVIYSQETISYIEGLDVMSGEEDRENILRARATGKAVLTRPFRLMSNHLGVVLTFPVYLVDLPNDTAVEDRVAATAGYLGGAFDVESLVENLLRQLAGNQELVVNVYDVTNHSNPLVMYGSEVPLGIPSPSHTYTLDFGDPLRKHQMVCRYRNKLHVSWSAITTPSGVFVICMLVGYIIYAAWSRYDNVKEDCRKMEALKKRAEAADIAKSQFLATVSHEIRTPMNGVLGMLDMLLDTELKSTQRDYAQTAQVCGKALISLINEVLDRAKIEAGKIDLESVPFDLRSILDDVISLFSSKSREKGIELAVYVSERVPEILLGDPGRFRQIITNLVGNSIKITIFTLSQFTERGHIFVQVHLADHSNLATEAKIEPVVNGMNGHKDEAIAIPTSGSHNTLSGFEAADSRNNWENFKLLLSYEKNEMPYESDSDKVTLVVSVEDTGIGIPLHAQGRVFTPFMQADSSTSRNYGGTGIGLSISKCLVEIMGGQINFVSRPLVGSTFTFTAVLRRCDKNAISDSKTVALHPLPSSFKGLSALLVDKRPVRATVTKYHLQRLGITSEVVGTIDPTFGVLSGRNGSSLTSIGKKQPCMLLIESDSWGPQMDVSLHARLQEMKQSDRIHVLPKVFLLSAAESDKVKKIHAVDSVIPKPLKASALAACLFQALGITQPSHEKRDDSGSLHGRDGSGSLHGLLLGKNILVVDDNKVNLRVAAGTLKKYGAKVECVESGKDALSLLQVPHKFDLCLMDIQMPEMDGFEATRQIRAMEGKANEQADDSESGSEIAAKTAKWHLPILAMTADVIQATHEECTKCGMDGYVSKPFEEKQLFQAVQKFLGPCVSS >ORUFI03G33410.1 pep chromosome:OR_W1943:3:27559618:27562684:1 gene:ORUFI03G33410 transcript:ORUFI03G33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRPRLPNPTNQPPPRQQKDPQFPRKTLEFDPASRRVGCSPMEAAAAAAAALLSPPAAAAPSRRPGTPGATSLPFARRRGVAAVKGLGRQQLTCRRRGVVVRAASWSPSGPESLPPPPPSSIAPLQMESPVGQFLSQILATHPHLLPAAAEQQLEQLQTDRDAAKDNGGDKPAPSDGDIVLYRRIAEVKEKERKRALEEILYALVVQKFVEAGVSLVPALSHSISSSGRVDQWAESVEGKLEKMHSQEAYEMIENHLALILGQRQADATVAAISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMKTLPWGSEEEDKLNQVMTTDSRPSPQTSTSHPEMSSWTSPNFSAGGPSQSVKPCRLRSYVMSFDSETLQSYATIRSKEAFGIIEKHTEALFGKPEIVITPEGTVDSSKDEHVRISFAGLRRLILEAVTFGSFLWDVESFVDTRYHFVAN >ORUFI03G33420.1 pep chromosome:OR_W1943:3:27562629:27566051:-1 gene:ORUFI03G33420 transcript:ORUFI03G33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARLLPKIQSPASPAVAEARRRRPSSLRLGVTSGPARTLKQKLVAKSAVSVVEGENAFDGVKQDTRPIIVIDNYDSFTYNLCQYMGEVGANFEVYRNDDITVEEIKKISPRGILISPGPGTPQDSGISLQTVQDLGPSTPLFGVCMGLQCIGEAFGGKVVRSPYGVVHGKGSLVHYEEKLDGTLFSGLPNPFQAGRYHSLVIEKDSFPHDALEITAWTDDGLIMAARHRKYKHIQGVQFHPESIITTEGRLMVKNFIKIIEGYEALNCLP >ORUFI03G33430.1 pep chromosome:OR_W1943:3:27569578:27577937:1 gene:ORUFI03G33430 transcript:ORUFI03G33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYDQEMETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >ORUFI03G33450.1 pep chromosome:OR_W1943:3:27586592:27587308:1 gene:ORUFI03G33450 transcript:ORUFI03G33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQERPREVYRECMRNHAAKLGTYANDGCCEYTPDDGHPAGLLCAACGCHRNFHRKDFLDGRATAAAGGAGGAGVGVAPMLPAPGGGGPPGYMHMAAMGGAVGGGGGVDGGGGSGGRRRTRTKFTEEQKARMLRFAERLGWRMPKREPGRAPGDDEVARFCREIGVNRQVFKVWMHNHKAGGGGGGGGSGGPGAGGGAQTSSSTTRGGGDVGVGLSPAMGGDGEDDEEVRGSEMCM >ORUFI03G33460.1 pep chromosome:OR_W1943:3:27596817:27599497:-1 gene:ORUFI03G33460 transcript:ORUFI03G33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPSLARLHQRLSLSLLRGRSPPAAADAFLRRGLASSASSSSSAAAAAAVAAAAAGREKSSRRTLAYLLGVAAAMVGASYAAVPLYRRFCQATGYGGTVQRRESVEEKISRHARDGTTTSREIIVQFNADVADGMPWKFIPTQREVKVKPGESALAFYTAENRSSAPITGVSTYNVAPMKAAIYFNKIQCFCFEEQTLLPGEQIDMPVFFYIDPEFETDPKMEGVNNIVLSYTFFKVNDS >ORUFI03G33470.1 pep chromosome:OR_W1943:3:27600397:27603795:-1 gene:ORUFI03G33470 transcript:ORUFI03G33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMADRLTEEQIEQLKEVFTFFDKNNDFLCCCCVVSRERYRGEEQPMEAFQLFDKDGDGYISAAELREVMIGLDKGTTDQEIGEMIKDMTTAVPATRSLSN >ORUFI03G33480.1 pep chromosome:OR_W1943:3:27606402:27606773:1 gene:ORUFI03G33480 transcript:ORUFI03G33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSRLLAIFLAVNLVALHAGVARGQQSPPSSTRGNPCPTSALADLKVCADVLVLLKLKINVPASQQCCPLLGSLVNLDAAACLCAAIRLSVLGIPVNLPLDVPLVLNYCGRNASAAGANCS >ORUFI03G33490.1 pep chromosome:OR_W1943:3:27610913:27615899:-1 gene:ORUFI03G33490 transcript:ORUFI03G33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAAELLYGMPAMRLSWPGPDGISGGGGGAEAAGGCGALLAELRQLWGEIGKSREERERTVRELEMECMRVYRRKVDEATAERASLHQSLAAGEGEIAALTAALGADSSPQLKVNKWTMSLNDRVSAATNLLEELRAMKAERSKQFTAIRSEIDKISTEISGRSYGYDNSPRASEFDEHDLTIRRLNEYRARLSSLQKEKSDRLHRVLEHVTEVHSLCDVLGEDFIAIVNEVHPGLHETADPGKPTSISDSTLASLSQVVAMLTSEKTKRAAMLREAVVPLVELWDLMDSPEEERRSFRKATAVLRPVREEALSSGVLSIATIKKTEEEVERLTRLKAGRMKELVLKRRLELESICRSMHVEPDTSTVPEKSIALIDSGLVNPSELMASIDEQIAKAKEEQQSRKEIMDKINKWLLACEEEKWLEEYNLDENRFNTGRIARLNLKRAEKARLIINKIPAMIDNLMSRTLVWETERNKPFLYDGARLVAVLEEHKQARLRQEEERRRLREQKKLRTLFSEKEAMPHLKRPGSSFGRATEPCNMSRKRVDAAPPSVRSSSGSSGSSGGGAADPFRPRSSAAAGSGAGHCGEFFRSGGARRLSAAAPFNYVAVSKGGGGGGGLSSSMMS >ORUFI03G33500.1 pep chromosome:OR_W1943:3:27621458:27631294:1 gene:ORUFI03G33500 transcript:ORUFI03G33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFTKGWLAISGQLELKYKLNHFRIKELKDVLHQLGLPKQGRKQELVDKIIAVLSDQQEQDSRLNGLPNKKMVGKETVAKIVDDTFAKMNGSTNAVPASRNQTDSGHIVKPKRKSDDSAQLDVKVRCPCGYSMANDSMIKCEGPQCNTQQHVGCVIISEKPADSVPPELPPHFYCDMCRITRADPFWVTVNHPVLPVSITPCKVASDGSYAVQYFEKTFPLSRANWEMLQKDEYDLQVWCILFNDSVPFRMQWPLHSDIQINGIPIRVVNRQPTQQLGVNGRDDGPVLTAYVREGSNKIVLSRSDSRTFCLGVRIAKRRSVEQVLSLVPKEQDGENFDNALARVRRCVGGGTEADNADSDSDIEVVADSVSVNLRCPMTGSRIKIAGRFKPCVHMGCFDLEAFVELNQRSRKWQCPICLKNYSLDNIIIDPYFNRITALVQSCGDDVSEIDVKPDGSWRVKGGAELKGLAQWHLPDGTLCMPTDTRSKPNIRIVKQEIKEEPLSEETGGRLKLGIRRNNNGQWEINKRLDSNNGQNGYIEDENCVVSASNTDDENSKNGIYNPEPGQFDQLTSNIYDLDSSPMDAHFPPAPTEQDVIVLSDSDDDNVMVLSPGDVNFSSAHDNGNAFPPNPPEASGICGEQPRGAGPDVTSFLDGFDDLELPFWESSSSQDAAGTQVTDNQCEMQNFIVNHQFLHEPILGVNLGGTAASNTLECEHDGALQACQSSDQDGDQNQTCHDGHSGDLTNLSIISTQDSLTNGKNASQKRTNCEDGTAGLDGSVVRSANGLRGEMPPLGQEQDRTVWTYCLHLCVPCHLLQLQGRGSEDR >ORUFI03G33500.2 pep chromosome:OR_W1943:3:27621458:27630920:1 gene:ORUFI03G33500 transcript:ORUFI03G33500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFTKGWLAISGQLELKYKLNHFRIKELKDVLHQLGLPKQGRKQELVDKIIAVLSDQQEQDSRLNGLPNKKMVGKETVAKIVDDTFAKMNGSTNAVPASRNQTDSGHIVKPKRKSDDSAQLDVKVRCPCGYSMANDSMIKCEGPQCNTQQHVGCVIISEKPADSVPPELPPHFYCDMCRITRADPFWVTVNHPVLPVSITPCKVASDGSYAVQYFEKTFPLSRANWEMLQKDEYDLQVWCILFNDSVPFRMQWPLHSDIQINGIPIRVVNRQPTQQLGVNGRDDGPVLTAYVREGSNKIVLSRSDSRTFCLGVRIAKRRSVEQVLSLVPKEQDGENFDNALARVRRCVGGGTEADNADSDSDIEVVADSVSVNLRCPMTGSRIKIAGRFKPCVHMGCFDLEAFVELNQRSRKWQCPICLKNYSLDNIIIDPYFNRITALVQSCGDDVSEIDVKPDGSWRVKGGAELKGLAQWHLPDGTLCMPTDTRSKPNIRIVKQEIKEEPLSEETGGRLKLGIRRNNNGQWEINKRLDSNNGQNGYIEDENCVVSASNTDDENSKNGIYNPEPGQFDQLTSNIYDLDSSPMDAHFPPAPTEQDVIVLSDSDDDNVMVLSPGDVNFSSAHDNGNAFPPNPPEASGICGEQPRGAGPDVTSFLDGFDDLELPFWESSSSQDAAGTQVTDNQCEMQNFIVNHQFLHEPILGVNLGGTAASNTLECEHDGALQACQSSDQDGDQNQTCHDGHSGDLTNLSIISTQDSLTNGKNASQKRTNCEDGTAGLDGSVVRSANGLRGEMPPLGQEQDRTVRQKLILTIESDSD >ORUFI03G33500.3 pep chromosome:OR_W1943:3:27621458:27630920:1 gene:ORUFI03G33500 transcript:ORUFI03G33500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFTKGWLAISGQLELKYKLNHFRIKELKDVLHQLGLPKQGRKQELVDKIIAVLSDQQEQDSRLNGLPNKKMVGKETVAKIVDDTFAKMNGSTNAVPASRNQTDSGHIVKPKRKSDDSAQLDVKVRCPCGYSMANDSMIKCEGPQCNTQQHVGCVIISEKPADSVPPELPPHFYCDMCRITRADPFWVTVNHPVLPVSITPCKVASDGSYAVQYFEKTFPLSRANWEMLQKDEYDLQVWCILFNDSVPFRMQWPLHSDIQINGIPIRVVNRQPTQQLGVNGRDDGPVLTAYVREGSNKIVLSRSDSRTFCLGVRIAKRRSVEQVLSLVPKEQDGENFDNALARVRRCVGGGTEADNADSDSDIEVVADSVSVNLRCPMTGSRIKIAGRFKPCVHMGCFDLEAFVELNQRSRKWQCPICLKNYSLDNIIIDPYFNRITALVQSCGDDVSEIDVKPDGSWRVKGGAELKGLAQWHLPDGTLCMPTDTRSKPNIRIVKQEIKEEPLSEETGGRLKLGIRRNNNGQWEINKRLDSNNGQNGYIEDENCVVSASNTDDENSKNGIYNPEPGQFDQLTSNIYDLDSSPMDAHFPPAPTEQDVIVLSDSDDDNVMVLSPGDVNFSSAHDNGNAFPPNPPEASGICGEQPRGAGPDVTSFLDGFDDLELPFWESSSSQDAAGTQVTDNQCEMQNFIVNHQFLHEPILGVNLGGTAASNTLECEHDGALQACQSSDQDGDQNQTCHDGHSGDLTNLSIISTQDSLTNGKNASQKRTNCEDGTAGLDGSVVRSANGLRGEMPPLGQEQDRTVRQKLILTIESDSD >ORUFI03G33510.1 pep chromosome:OR_W1943:3:27631303:27631695:1 gene:ORUFI03G33510 transcript:ORUFI03G33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKERLDPIEGACINHRRGAQWWRQPPAILPPALDPPTDGGEMYGPGRRKADKGGEAHAPQTLSKLAFADAAAVIRAHLDHRIRDWGTKIVSGLGPSRGGVSEPASSTAAASNFRSGELSGAIIRGSVSA >ORUFI03G33520.1 pep chromosome:OR_W1943:3:27633924:27641402:-1 gene:ORUFI03G33520 transcript:ORUFI03G33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGKKLINDPNDVVTEFIEGLVETYPGLQYLDGFPQIKVVLRADVVQGAYDKVAVISGGGSGHEPTHAGFVGPGMLTAAVSGDVFTSPPVDSILAAIRAVTGPMGCLLIVKNYTGDRLNFGLAAEQAKSEGYKMEMVIVGDDCALPPPRGIAGRRGLAGTILVHKVAGAAADAGLSLAEVAAEAKHASEVVGTMGVALSVCTLPGQVTSDRLGPKQMELGLGIHGEPGVAVVELQPIDVVVEHVLKQILSQHLVSQGRLGATPIMELMIAARKAVPELQLEYGIAVDRVYTGTLMTSLDMAGFSITIMRSDENILQRLDAPTKAPAWPVGSEGNRPPAKIPVPVPPSPSGKDDEILTEPQELSKQGCILEAAIEAGAKEIINIKDNLNEWDSKVGDGDCGTTMYRGATTILEDLKKRYPMNDAAGTINEIGSTVRRVMGGTSGILYDILCKAAYASLKQNTSIGANEWADALEASVAAVSKYGGASAGYRTMLDALIPACTVLKQFDELIVLKQSLKAGDDPVTAFIASSEAASAGAESTKQMQAKAGRSSYIAPDLVASVPDPGAVAAAAWYRAAAHAVKSKLHASDS >ORUFI03G33530.1 pep chromosome:OR_W1943:3:27646316:27649195:-1 gene:ORUFI03G33530 transcript:ORUFI03G33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMQAAEAAGRISALLSLLALRRLIALLQPLALLLLLPFRWRGARPGAAAAAAAADAVAASVASGGKKGKAAVVLRVPAGSPIVAARRQASARREIAARRAREAGREYELIPTHRGETLFTQCWWPHGSSSAIKPRALVVVMHGLNEHSGRYDHLARRLNDIGVKVYGMDWTGHGGSDGLHGYVQSLDHAVSDLKMYLKKILAENPGLPCFCFGHSTGGGIILKAMLDPEVDSCVEGIFLTSPAVRVQPAHPIIKVMAPVFALIAPRYQFTASHRNGPPVSRDPEALKAKYSDQLVFTGSIRVRTGYEILRLTSYLQQHLHRITVPMLVMHGADDMVTDPQGSQKLYEEASSSDKSLNLYNGLLHDLLIEPEKEKIMDNIVDWLSPRI >ORUFI03G33540.1 pep chromosome:OR_W1943:3:27654168:27660270:-1 gene:ORUFI03G33540 transcript:ORUFI03G33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENIDLVLEFLQKNRFTKAEAALRGELNGRGDASNGPTVQRRVAEPKEDDEQDGSEAGSNAGPRGAASVRSADSSREFIVKEIDVGGLPNGSDGRKGLGIGLAQENNTGDLYPWNFSIANSTMEQLAELLVSEEVPRHRRGAVVAEKRDRGVGTEQPGPVLKQKVSFGRGKGKVDVAGTGRNEINEPGHSRDKNLVPEKEELFNGCTVKTVLPFPAENPSSSYHTAHHDGNERKDAKKSIDADCVGKATKGQLDEGNRQYYSGKSQENADQIADRCFDLQLIGDNQREELPKLPPVRLKSEDKLVNMNWEEKVNHNGSGAKHPSADHAFMIGSYLDVPIGQEITPSGGRRTISNNWFSVSQGIAEDTSDLVSGFATIGDDSLEYPNEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKATGHTSGPDRHDRPTKDDDDDQSFVEEDSYISGEQYFHGKNIAQIGTSEGPMGHGIPDNDLIAQYDGQLLDPEELNLMHSEPVWQGFVSQNSELGMLGNGKFLNDSERPHPDDPFVEDDQHGSVRSIGVGISSDAADIGSEVRESLIGGSSEGDIEYFNESNLSVSGKRNSQQETEKKRINAKGAKQEQLNFIDNQKGVLPPGASYADGGFSFPPPLHSGKNVESDVKPLWSKKVDMYTINDPDDLQNGMVSDDMLATWRKKNSESSLRSSRDEMASDVVRSRNSSASSALNNTYDEVDDTMNSRHHKLDDAQEEDTGTTLDDEEAAALQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHLLRLYDYFYYREHLLIVCELLKANLYEFQKFNRESGGEVYFTMPRLQSIAIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCAYVQSRSYRAPEVILGLPYDKKIDMWSLGCILAELCTGNVLFQNDSPATLLARVMGIIGSIEQAMLAQGRETYKYFTKNHMLYERNQESNRLEYLIPKKTSLRHRLPMADQGFIEFVAYLLEVNPKKRPSASEALKHPWLSFPYEPISS >ORUFI03G33550.1 pep chromosome:OR_W1943:3:27662768:27670346:1 gene:ORUFI03G33550 transcript:ORUFI03G33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRGLRKALALLGPKTRGAWRAWREERGVVVRFGQGRSIGRAASAFSFSHFPLLPSCPASSAGAAPHVRGSTDGDRRPYPYRPRRTSPSGSGIIQQFDSKEKQRDHRGKKKGLGYKHIQIQAGPDVLQIL >ORUFI03G33550.2 pep chromosome:OR_W1943:3:27662768:27669443:1 gene:ORUFI03G33550 transcript:ORUFI03G33550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRGLRKALALLGPKTRGAWRAWREERGVVVRFGQGRSIGRAASAFSFSHFPLLPSCPASSAGAAPHVRGSTDGDRRPYPYRPRRTSPSGSGIIQQFDSKEKQRDHRGKKKGLGYKHIQIQAGKEGTRYTSRSGRRS >ORUFI03G33560.1 pep chromosome:OR_W1943:3:27664966:27668952:-1 gene:ORUFI03G33560 transcript:ORUFI03G33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPTQCSSSSSRTRQSSRARILAQTTLDAELNAEYEEYGDSFDYFKLVEAQRTTGPEQQARSEKVIAYLHHIQRAKLIQPFGCLLALDEKTFNVIALSENAPEMLTTVSHAVPSVDDPPKLRIGTNVRSLFTDPGATALQKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVVDFEPVKPTEFPATAAGALQSYKLAAKAISKIQSLPGGSMEVLCNTVVKELFDLTGYDRVMAYKFHEDDHGEVFAEITKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRARSIKIIEDESLHLDISLCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNENEDDDEVGADQPAQQQKRKKLWGLLVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELERQVREKSILRMQTMLSDMLLRESSPLSIVSGTPNIMDLVKCDGAALLYGGKVWRLQNAPTESQIRDIAFWLSDVHRDSTGLSTDSLHDAGYPGAAALGDMICGMAVAKINSKDILFWFRSHTAAEIRWGGAKHDPSDKDDSRRMHPRLSFKAFLEVVKMKSLPWNDYEMDAIHSLQLILRGTLNDDIKPTRAASLDNQVGDLKLDGLAELQAVTSEMVRLMETATVPILAVDSNGLVNGWNQKVAELTGLRVDEAIGRHILTVVEESSVPVVQRMLYLALQGKEEKEVKFEVKTHGSKRDDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLVMDKFTRVEGDYKAIIHNPSPLIPPIFGADEFGWCSEWNAAMTKLTGWHRDEVINKMLLGEVFDSTNASCLVKNKDAFVSLCILINSALAGDETEKAPFSFFDRNGKYIECLLSVNRKVNADGVITGVFCFIQVPSHELQHALHVQQASQQNALTKLKAYSYMRHAINNPLSGMLYSRKALKNTGLNEEQMKEVNVADSCHRQLNKILSDLDQDSVMNKSSCLDLEMVEFVLQDVFVAAVSQVLITCQGKGIRVSCNLPERYMKQTVYGDGVRLQQILSDFLFVSVKFSPVGGSVEISCSLTKNSIGENLHLIDLELRIKHQGKGVPADLLSQMYEDDNKEQSDEGMSLAVSRNLLRLMNGDVRHMREAGMSTFILSVELASAPAK >ORUFI03G33570.1 pep chromosome:OR_W1943:3:27676905:27679132:-1 gene:ORUFI03G33570 transcript:ORUFI03G33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCCSGAEEELHGAPAANPAAPPPRAPGPPRGPNAPRAGGAPAKVLPIDVPAVALAELNRLTGNFGDRSLVGEGSYGRVYRATLSTGEAAAVKMFDNNGGSGQSEADFCAQLSVVSRLKCDHFTQLLGYCLELNNRIVLYEFATKGSLYDILHGKKGVKGAEPGPVLTWSQRARIAYGAARGLEYLHERAQPPIVHRDIRSSNVLVFDGHDAKIGDFNLTNQSPDSAARLHSTKVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNDEYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLISARPGDH >ORUFI03G33580.1 pep chromosome:OR_W1943:3:27681288:27684630:-1 gene:ORUFI03G33580 transcript:ORUFI03G33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTVVRAEREEESTLEQGLLAIPEESNQLTYTGDGSVDFSGNPVVKERTGRWRACPFILGNECCERLAYYGISTNLVTYLTKKLHDGNASAASNVTAWQGTCYLTPLIGAILADAYWGRYWTIATFSMIYFIGMAVLTLSASVPTFMPPPCEGSFCPPANPLQYTVFFLGLYLIALGTGGIKPCVSSFGADQFDDTDPVERIQKGSFFNWFYFSINIGALISSSFLVWVQDNIGWGIGFGIPTIFMGLAIISFFSGTSLYRFQKPGGSPITRVCQVVVASFRKWNVHVPEDSSRLYELPDGASAIEGSRQLEHTDELRCLDKAATITDLDVKADSFTNPWQICTVTQVEELKILVRMFPVWATTIVFSAVYAQMSTMFVEQGMMLDTSVGPFKIPPASLSTFDVVSVIIWVPLYDSILVPIARRFTGNPRGFTELQRMGIGLVISIFSMAAAAVLEIKRLDIARAEHLVDQNVPVPLNICWQIPQYFLVGASEVFTFVGSLEFFYDQSPDAMRSLCSALQLVTTALGNYLSAFILTLVAYFTTRGGNPGWIPDNLNQGHLDYFFWLLAGLSFLNFVIYVICANKYKSKKAA >ORUFI03G33590.1 pep chromosome:OR_W1943:3:27697531:27705898:1 gene:ORUFI03G33590 transcript:ORUFI03G33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSHGVSGSDESVHSTFRMPEQSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGDSETAVGVGTVGSSEAIMLAGLAFKRRWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSDGYYVMDPAKAVDMVDENTICVAAILGSTLNGEFEDVKLLNDLLTKKNAETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWCIWRSKEDLPEELIFHINYLGADQPTFTLNFSKGSSQGYKNIMENCQENAMVLKQGLEKTGRFNIVSKDNGVPLVAFSLKDSARHNEFEISDFLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVLDVEKVLHELDALPARVVANGGDAAASASEREMEKQREVISLWKRAVLAKKKTNGVC >ORUFI03G33600.1 pep chromosome:OR_W1943:3:27705982:27706350:1 gene:ORUFI03G33600 transcript:ORUFI03G33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADSSERNPPQLPRLRACDQEIINRLFALIPKNTSIRVDQPKEVSTTRRRQRLQNWLVLETSSSKKKREHDKLSGKSILLRSPTAKIESPVLRKAALTEGNPKA >ORUFI03G33610.1 pep chromosome:OR_W1943:3:27706653:27713133:1 gene:ORUFI03G33610 transcript:ORUFI03G33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGVWAFHIFISEGRFRKKKNTTVAAHPPHPSLCTPHPLRRRGHRPGAPPGHHHSPSSPPQLPAVQSSGMRQCVWRPGGCATAAKIQINRRISCAPPHGGLTVAAHPSRRAIPPPVYEGIPCASHFIKCSNSASFQADDEFHLSSGDRSVPMAACSIARIINLGDLAKCPKNLCSLLFRVVSKFIALSPSLLKEVEKDDGDQPSMTESVMANLPELHQDILMEIFALLEIPDLVRAGSVCNSWRSAYNGLRSLGIYKLSQTPCLLYTSESAGDSVLSLYSLVEKREYKITLPEPPVRSRFLIGSSLGCLVTVDDVSEMHLVNPITGEQIALPSAITIEHVNPIFNESGAIHMYEYSWYSASRVYHSEPSIFSLDELREYLLVKAFVFSDTSTENYLVVLIHNPRWQLSFARVGDDKWTWLPPHTHYADCIYKDGILYAVNKVGEIHAFDLSGPVVTMKTIIEMVPGYACDKMYIVEAPWGDLLQVWRSYEYIEGDYEADLHDADPAISVVNTGEIKIFSVDTVEKKRVEIKNLDGHVLFLGHNQSLCLSTEQYPHLKENYTYFTDDNDLSLFGHKNNRRDIGLFDLKHNSREELVSPQLWSNFPAPVWITPSFTKYDTEAMYFDEDHTCPAFKKMLEHLHHVVLNKFKSDLDQSLRSGGGFAASALWDTTEVRGKLEHHIEAHATSVREKTLGCACWTCIVNLETGEKNSWACIRRLYRHATENAILAFSASLSEFELDQITIHKMVKELREHARSIVEEKARKEAGNVLMHFPLLSRDKDSMPRTWKGNEDISAITREARLAALRLMSVMAANRLDNKPDKIDRTLTTALLDGRPLSRKRSIEFASDPIVSSTWEECKSIWRNAETEYAVAEAISMQLSGLLTITSGFLPTIMDIITAVINISHNQKSSINSPL >ORUFI03G33610.2 pep chromosome:OR_W1943:3:27708983:27713133:1 gene:ORUFI03G33610 transcript:ORUFI03G33610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYDTEAMYFDEDHTCPAFKKMLEHLHHVVLNKFKSDLDQSLRSGGGFAASALWDTTEVRGKLEHHIEAHATSVREKTLGCACWTCIVNLETGEKNSWACIRRLYRHATENAILAFSASLSEFELDQITIHKMVKELREHARSIVEEKARKEAGNVLMHFPLLSRDKDSMPRTWKGNEDISAITREARLAALRLMSVMAANRLDNKPDKIDRTLTTALLDGRPLSRKRSIEFASDPIVSSTWEECKSIWRNAETEYAVAEAISMQLSGLLTITSGFLPTIMDIITAVINISHNQKSSINSPL >ORUFI03G33610.3 pep chromosome:OR_W1943:3:27706653:27708709:1 gene:ORUFI03G33610 transcript:ORUFI03G33610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGVWAFHIFISEGRFRKKKNTTVAAHPPHPSLCTPHPLRRRGHRPGAPPGHHHSPSSPPQLPAVQSSGMRQCVWRPGGCATAAKIQINRRISCAPPHGGLTVAAHPSRRAIPPPVYEGIPCASHFIKCSNSASFQADDEFHLSSGDRSVPMAACSIARIINLGDLAKCPKNLCSLLFRVVSKFIALSPSLLKEVEKDDGDQPSMTESVMANLPELHQDILMEIFALLEIPDLVRAGSVCNSWRSAYNGLRSLGIYKLSQTPCLLYTSESAGDSVLSLYSLVEKREYKITLPEPPVRSRFLIGSSLGCLVTVDDVSEMHLVNPITGEQIALPSAITIEHVNPIFNESGAIHMYEYSWYSASRVYHSEPSIFSLDELREYLLVKAFVFSDTSTENYLVVLIHNPRWQLSFARVGDDKWTWLPPHTHYADCIYKDGILYAVNKVGEIHAFDLSGPVVTMKTIIEMVPGYACDKMYIVEAPWGDLLQVWRSYEYIEGDYEADLHDADPAISVVNTGEIKIFSVDTVEKKRVEIKNLDGHVLFLGHNQSLCLSTEQYPHLKENYTYFTDDNDLSLFGHKNNRRDIGLFDLKHNSREELVSPQLWSNFPAPVWITPSFTKLNFA >ORUFI03G33620.1 pep chromosome:OR_W1943:3:27713163:27713991:-1 gene:ORUFI03G33620 transcript:ORUFI03G33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRQRAASDQRRECAPEAPQPAVTSPSTEVATVAPPTDSGWITTAVERMMSFFGGGHARRSSEVAPTPAHGKGTTTGRTGLAHGKAGGKGATTNRTGNQAWIHLPQKKHTPPAPPQAPPQPQAQRQHRVVNGEEVPFEVEDQYIHYCRESRTIVHKTICHICFFQEQNFTGSNRVLGSQLLLHSHTQHNRFPLVPCQAEDCRIYVTTARDLQLHNYFCHTLPAGWWEE >ORUFI03G33630.1 pep chromosome:OR_W1943:3:27732924:27735435:1 gene:ORUFI03G33630 transcript:ORUFI03G33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRGHWRPSEDEKLKELVARYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFTEEEEELLLASHRAHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRERMRLSNRRGGAAAAGAAKGDESPARISNGEKTATRPPATNGSGMAMASLLDKYRRECGAAGLFAIGRHHNSKEDYCSSTNEDTSKSVEFYDFLQVNASSSDTKCGSSIEEQEDNRDDDQAEGQVQLIDFMEVGTTSRQ >ORUFI03G33640.1 pep chromosome:OR_W1943:3:27746194:27769598:1 gene:ORUFI03G33640 transcript:ORUFI03G33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQEASAEEALRTAAAEVSTSSTTRRLRLFRHTLPHLLAKASESPSDTTLLVDLIFQTLLIYDDRASRKAVDDMVIQALGESTFMKPFAASLVQCMEKNMKVTSPLACFKLLRWSCYLLNLSQFAMLSKGGFSRLANAQAVLCQVLMDGSFRQRRTCKQLFTRLFSESMGTYKMYIDEIRDSRIPVRDSPAFLNIMLDFAITSPSLYAEYKPLFLDLYVKTILGSKDRPSQASAEAFKPLFLDMGHEDFKNIVVPSCIKMLKRNPEIVLQSIGYLLNTVRLDLSKYCMEFLPVVLHQARHSVEERRIIALSTVGTLSGKSSDPDTLLSMFNAIKAILGGSEGKLSIPYQRIGMINALEQLSRSPPKQISRLAPSLSSFLLTCYKDDGIEEVKLAVLSALGSWASVSTETVQADVVSFIAAGLKEKDTLRKGHLKLIRAICKKSDSLTKVTSLLDQLIQLSKTGFTKATQRLDGIYALFSVSRLAAIDTKAGSKSFSLCSHYCSWRENVYFETKRLQRRLKQQKIFFIELISPNISVICKELLSLNGLFSSNKQVQCAALNSLSTLMTITPSDAFLEFEKHFIGLPDLTLHDGFSENDIKILYTPEGQLSTEQGIYVAEAVASKNTKLAKGRFRAYDDQDTDSAQSGAPTKSDRRESSSIGKRETGKSTKKTAPADKAKTAKEEARDLLLKEEASVREKIGHVQKNLSLMLDALGELAIANPIFTHGQLPSLVNYVEPLLSSPIVSDAAFRAMLNLARCTAPPLCNWAPEIAAAIRVIAVDDFKMVMDLMPVIVEEDSNKKSSPGLFEQIVTGLTVACKAGPLPADSFTFVFPVLYHVLSTVPAYHPSVGPMLNELCLGLKSNDLAQALVGVYAKEVHVRLACLTAIKCIPSHSVQRDLQVSTSLWIAAHDPEKVVAELAEELWDRFGFDVFTDYSGIFDALSHKNYNVRAAAAEALAAALDENLDKMQDTLSTLFSLYIRDLGAGVEFGDIHWLGRQGVALALHSLADVLGSKDLPVVMTFLISRALADPNVDVRGRMINAGILIIDKHGKENVPLLFPIFESYLNKKASDEEKYDLVREGVVIFTGALAKHLSKDDPKVHSVVEKLLDVLNTPSEAVQRAVSDCLSPLMVSKQEEAQALVSRLLDRMMKCEKYGERRGAAFGLAGVVKGFGISSLKKYGIAAILQQGLEDRASAKSREGALLGFECLCEKLGKLFEPYVIQMLPLLLVSFSDQVLAVRESAECAARAMMSQLTGHGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQAAGQTALQQVGSVIKNPEISALVPILLSALTDPNNHTKHSLDILLQTTFINSIDAPSLALLVPIVHRGLRERGVDTKKKAAQIVGNMSSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRAVAARALGSLIIGMGEEIFPDLVPWLLDTLKSDSSNVERSLPLLLPAIEDGIFSDNWRIRQSSVELLGDLLFKVAGTSGKAILEGGSDDEGASTEAHGRAIIDVLGREKRNEVLAAIYMVRSDVSLTVRQAALHVWKTIVANTPRTLKEIMPVLMDTLISSLASSSSERRQVAGRSLGELVRKLGERVLPSIIPILSQGLKDPDASRRQGVCIGLSEVMGSAGKHQLLSFMDLLIPTIRTALCDSTQEVRESAGLAFSTLYKSAGLQAIDEIVPTLLRALEDDETSATALDGLKQILSSFNAHALGALAEVAGPGLNSHIGTVLPALILAMDDEDADVQNSARKAAETVVLVIDEEGIETLIPELLKGVNDSQASMRRGSAYLIGFLFKNSKLYLADEAPDIMSTLITLLSDTDKATVSVSTCHPAALEAFSRVVSSVPKEQLPTHIKLVRDAVSTARDKERRRRKGVPILVPGLCLPKALQPFLPIFQQGLISGSAETKEQAAEGLGELIDVTSEKTLKEVVVPITGPLIRILGDRFPWQVKSAILSTLTIIISKGGLALKPFLPQLQTTFVKCLQDNNRLVLLSFRFAVCSIVLPPLTQNLNNRSVRTRAASALGKLSALSTRVDPLVSDLLSMLQSGDDAVKESVLSALKGVVRHAGKSVSPVVRSRGCDLLKDLLQADADDVRSSAAKAIGTLCQYMEENETSDLVQTLLNMGTLPDWCTRHGALLTFCSISMHCSSKLCRSMSFPSIVDLLKDSLKDDKFPVREASTKTLGRLLCYQLQSEASTLQLIQLLALALRDDSSEVRRRSLSRLKAAAKINNPALATHLSILGPAIAEALKDTNTPVRVAAERCALHVFQLTKGADNVTIAQKHLNMTGLEVRKIAKLPEESDGSESSDDDKRK >ORUFI03G33650.1 pep chromosome:OR_W1943:3:27769874:27771933:-1 gene:ORUFI03G33650 transcript:ORUFI03G33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRLGIGGRAAEETEPARFAFADALPAWPQGGGFATGRICVGELELAAVTAFEKICALSATKAGGGGVTFYRPAGVPEGFSVLGHYCQSNTRPLHGHLLVAKAVAGKPESESLPPLRPPHDYELVCAFRADGVGEDRKSCRGYGRTGAYFWLPVPTDGYRALGLLVTAEPDKPPLREVACARADLTDECEPHGSLLQLQLVGQSACWSSSTVPAAFALRGIRPTHRGMWGRGIGAGTFCCGAVGLSPREQGMACLKNVDLDLSAMPTLEQAHAVIRHYGPTLYFHPKEVYLPSSVSWFFKNGAALCKKGEDAAVELDVEGSHLPCGECNDGEYWIGLPDGKRGESIIYGDIDSAELYAHVKPAMGGTCTDVAMWVFCPFNGPARFKLGPITIPLGKTGQHIGDWEHFTLRVSNFTGELMAVYFSQHSGGRWVDASALEYTAGNKPAVYSSRNGHASYPFPGVYLQGSAALGIGIRNDAARSELAVDSSAKYRIVAAEYLGEGAVEEPRWLNFMRVWGPTVVYKSRQRMERMTSAMHRRLRSPAERMLNKLPNELSREEGPTGPKEKNNWEGDERW >ORUFI03G33660.1 pep chromosome:OR_W1943:3:27772788:27775715:-1 gene:ORUFI03G33660 transcript:ORUFI03G33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALSSLRYGDSLSVVAISGATAVLCEAISWLLIYRTATYNSLRATIERHSRKLDAMKAGASNSSSSSSAGAGASGSSQPAGSSSSRAKKMDRVETSLKDAARELSLSKIKSGAVVAAVLFVVFGLLNSLFEGRAVAKLPFAPVPLVQRMSHRGVPGNDPTDCSMVFLYFLCSISIRTNLQKLLGFAPPRAAAAAGGGLFPMPDPKVN >ORUFI03G33670.1 pep chromosome:OR_W1943:3:27778584:27778862:1 gene:ORUFI03G33670 transcript:ORUFI03G33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHLRETLAKAARHVEKTHHIRMEYEERVLRRFVLHGYVDVTDNEDDQVGDDDDDDGDDKDDPPASLIRPLSSAPVDTVADPRISLRPGQS >ORUFI03G33680.1 pep chromosome:OR_W1943:3:27779861:27782971:-1 gene:ORUFI03G33680 transcript:ORUFI03G33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAEENPVADGRLGRPRPRRSAAVVCERPPHRTGPAGGSCFLPRQARLHAGDVSPCAYQMWAPHPGAGVGLNSICFASSGDGPARLGVAPFENGQYVRLLNRGRGGYLFADESGVRVRTDCRRGLINTVWCVQILGGDTPHILLRGAYGRYVAGTPLGADEGHIGILVTQRVLETMDTNVMWRTVPGPRGGGVVLINASSFNGGLRALRTNGKYQRWNTGVSLQCIDRFNARFSSMMEWEVQVIPTRVQRPPFQVGGAAWLCGLQRRGSGEIQVGVRVADDDGNFNIPGPQNLSVPGRSLIELGSVLEDRLGSNFRFRNMSIFIRAGSLGQPFPLLTDLPSELDYFEVVVFMVGTPGYRRLRFPDIDAA >ORUFI03G33690.1 pep chromosome:OR_W1943:3:27786296:27787761:1 gene:ORUFI03G33690 transcript:ORUFI03G33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARPAPSWGLLDSVVRLHKVGERTEPDWAVIECSETRAYVTLYNWHDRPNGTSPFRLSPFDGLELLVRVADDPPYPTALSIRLHGDPDKDP >ORUFI03G33700.1 pep chromosome:OR_W1943:3:27788025:27790768:1 gene:ORUFI03G33700 transcript:ORUFI03G33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALMASSSAMFVSTAPEKDGSGIGSSVIWDSRTRLLVASTERASMVSAALQYMHSAARVACVFSSSEFVGPSRQCATIRSTGWNSSHGNGTLRNFSSAAAGSEAAATRGLSSSLLRFPVQATQNSQNSILEESGMMASLPW >ORUFI03G33710.1 pep chromosome:OR_W1943:3:27789542:27790555:-1 gene:ORUFI03G33710 transcript:ORUFI03G33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDASPQPIQSAKSAVESLAAVLGAALPGTLASADDPANALLHDAGVARAVVGRLRREGSGAGNDGLCRWLYDAFQSNLPEIQLAVLRFVPALAGVYMSRAVSRKPLAGFEAVLLALYAHAAAQRGSGEAETVSLPNLANPSVYHDAKVPPKTKAAELDVAVLSPALEPHGTMRATRRARIVGAVLELYHGKLAIMPLSSKMEFCEFCVAWTGNRSKLDDKPRVAAASEPAAAEEKLRRVPLPWELFQPVLRIVAHCLLGPTNSDELKTQATRAAECMYWRAAETMDARSVLATRSLVRLSQMTEEPIPEPSFSGAVETNMAELEAMRANILSNKN >ORUFI03G33720.1 pep chromosome:OR_W1943:3:27792420:27798828:1 gene:ORUFI03G33720 transcript:ORUFI03G33720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSSASAAHQGGDAPAEAPRRRGGGGKRKSGGSSFTPSKRHAKERNAAFHVPPHLLHSGPLTRAARQSPHKLAEEAAAAAAAGAGGSEAGGGKGGGDVIRLEGEEAPTEETPLVDEVFEAVRSRGAGVHVVPTFAGWFSWKEIHPIEKQMLPSFFNGKSDKRTPEIYLGIRNFIMLKFHANPQLQLESKDLAELSIGEADAHQEVLKFLDHWGLINFHPFLPAGQEESKPEEAHGKSHSEEKASVLEQLFKFESVQSYMIPLPKKGEVETPAPLPSLLPDPALIEDVVSAAEPSVEYHCNSCSVDCSKKRYHCRTQADFDLCSDCYNEGKFNIGMAKTDFILMDSSEVSGASGTSWTDEETLLLLEALEIFGGKWTEIAEHVATKTKAQCMLHFLQMQIEDRFHGDEDINQNIQENTEQASAEKGAAEIPDKMEVEEKAEGKDTAGEKTPEKAEGNSVEAQTEDGNAIENKDANNSGGTDSVKSLNTDEPKKSSDADPPKSSSDAEPVVKENSVDVDTSRENASNFAIDTLKSAFEAVGYFPEHEGSFADAGNPVMALASFLAGLVEDDTATNSCRSSLKAISEVSPALQLATRHCFILEDPPSDVKDMSGNASTTSTDGDKRKDKDKTQDSIDSEVEGINKKGETVLSVEGKKSSPISPKGQDTDKKDECDEDPSVHPKHNNGKESDDPVSLDKSVSNNKKGNTMETSNPEMIEDKASSEVNPADDSSLEGKVEMKKTKDAVANATTAQEQKQSQILENGKMEGNANTAKMVKTVNFNSGPLTYDTCPAEPKSTEDVAADEENSSRVTANLTDSITRLKRAAATAISAAAVKAKLLADHEEEQIRQLAALMIDKLYRKVEAKVSFLTEVEHLVQRTREYTEKTRKKLLMERNAIIAARMGSLPSRPNQPGAAGNRLPAGYGGPIVRPPPNAMPRPSS >ORUFI03G33730.1 pep chromosome:OR_W1943:3:27801919:27808206:1 gene:ORUFI03G33730 transcript:ORUFI03G33730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGMVVAVANGTKVEAAPAAGAGAAANADSPTSVLEDEKISECKNGDASDTVEAIKQEDDHLKVLAEERADDFVDASSSLTVELAANNGDLSPHPVPVKEEDQLLEPVKEEKADDFVDATSSLPIDLEAKNGDASLITDAMKEEEDKLHEARVKADEEEEARKRAEAARLAFDPNARFNKLDELLTQTQLYSEFLLEKMETIADVEGVDTPDEEEPVEEKKKGRGRKRKATSAPKYNNKKAKKAVAAMLTRSREDCSPEDCTLTEEERWEKEQARLVPLMTGGELKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGVIYHGDKAARAEIRRKFMPKTTGPNFPLIVTSYEMAMSDAKQLAHYKWKYVIVDEGHRLKNSKCILLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFCAKGGEEEQEESEEKRKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTNHQKEIQNHLVEQTFDEYLHEKSEIGMYPPVEKLLEQCGKFQLLNRLLNLLLARKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKLEERRRQIAEFNDLNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQDEEDRMIQTDITDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >ORUFI03G33730.2 pep chromosome:OR_W1943:3:27801919:27808008:1 gene:ORUFI03G33730 transcript:ORUFI03G33730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGMVVAVANGTKVEAAPAAGAGAAANADSPTSVLEDEKISECKNGDASDTVEAIKQEDDHLKVLAEERADDFVDASSSLTVELAANNGDLSPHPVPVKEEDQLLEPVKEEKADDFVDATSSLPIDLEAKNGDASLITDAMKEEEDKLHEARVKADEEEEARKRAEAARLAFDPNARFNKLDELLTQTQLYSEFLLEKMETIADVEGVDTPDEEEPVEEKKKGRGRKRKATSAPKYNNKKAKKAVAAMLTRSREDCSPEDCTLTEEERWEKEQARLVPLMTGGELKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGVIYHGDKAARAEIRRKFMPKTTGPNFPLIVTSYEMAMSDAKQLAHYKWKYVIVDEGHRLKNSKCILLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFCAKGGEEEQEESEEKRKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTNHQKEIQNHLVEQTFDEYLHEKSEIGMYPPVEKLLEQCGKFQLLNRLLNLLLARKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKLEERRRQIAEFNDLNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQDEEDRMIQTDITDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >ORUFI03G33740.1 pep chromosome:OR_W1943:3:27809208:27813124:1 gene:ORUFI03G33740 transcript:ORUFI03G33740.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHPSRHSLRAALVVVGTWPVRRAPHHHLILASSKRSIPGGAARRGVSSRPIPPPPTQLRKRKRWPSDRLSCGTTTCLAYLVFASSSIWKQETDLEREREPTAISYLAKQPSNLVCLSLQEAAFLRVRVRERERERDGREEAASASSSSLGILAAHSSSLFSVAVLHRHQLRRRRRQPPAAGVHRAPPPVDDHHQGAPLRHRPGRHLRLRRHGHLPPPRRRQRRHPQLRVLAGRGRRVGRRASPVHLVAGDLRRLLGQRGPLRRHVAGVAARPGAAEHPRRAAAQLQREGVHRARHGRAGELRPALLGGVQAGARRRARPAPGLPEQDRRAVPHQPVPLLRVPERPAAGDAGVLPVPAQRRAARRRLGAHLHQHVRRAGGRRPRRAGRQGVQGRGDRRRRDRVAAQRRGRRGRRHRGERARVRLGARVAPPVHGRHAARAGEARGHVPVRRVRRGPQAREAVGEVVRAVPDHHPRRDVPDGADEERHRRRSGTSHGAGAGGADPSGQAITCSGETAGATTTGDSIAAGLGGGGRAFSPVRAGDGDDDGEGSSSCCL >ORUFI03G33750.1 pep chromosome:OR_W1943:3:27812648:27817238:-1 gene:ORUFI03G33750 transcript:ORUFI03G33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALVERLEAAVARLEAAVASGASLSAAPRDLGDGLDAAAAASDPAIVAYDEFVAGAVGRLTAAAEKIGGKVLDATKVLAEAFAVSKDRLVQAKQLQKPASMADAQDFFKPLNDVIAKAIAMTEGRRPDYFNHIKSVADSLTALAWVGFLGKDCGMSFPTAHVEESWQMAEFYNNKVLVEYRNKDADHVEWAKALKELYMPGLRDFVKKHYPLGPSWGPVGGAPVSQPKATAPAPKAPGAKAPPPPALPSAPLFTTEKSPKSAQPKEGMSAVFQEISSGKAVTTGLRKVTDDMKTKNRSDRSGVVSSSTAAPAAAPEKTSRAGSFSFKSGPPKLELQMGRKWVVENQVGKKNLAIDDCDARQSVYVYGCKDSVLQVNGKVNNITVDKCTKVGIVFKLLKLSIAMVLRCNASNDSLGASITSAKSSEINVMVPSGATDGDWVEHALPQQYIHSFKDGQFITSPVSHSGA >ORUFI03G33760.1 pep chromosome:OR_W1943:3:27820329:27823138:1 gene:ORUFI03G33760 transcript:ORUFI03G33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGGYERSARPPPRPPPLAITTSSSSPIHAAAAAAAASAPPLESRNLPFPNLAAKFSGETAMEVPPFARPNRASPLAWEADFLERTNLTMSTVEYALPTAIMTSPSFVAEGAGGSQICAMYSFQAFSEMINERNAEPGMIDVFLLPHLPLNDVSDVYDQIGSGDAPHRISNGPMLLNKVNAYRELIRVGKELAPLEGLMKSLEDELLVRLNSIVSILKSDGEDIPLPLDMSAATQLLFKWESDFVEACEMATKARRRLMLATLLAMFWSSSDDFVENEFSVREGDAISMSHVQETLQRLAPDLYQNVFGALNEVHYTEDGRPPKSVVVSLKRLITEITSEMTPTEFVAFSLETSRRDLIHQLSDVSHQEFRLKVLRSLQSVASALIHLHMLDKEMLEPHLSRPTLLGCKDDSPLNQDPYWPHFQVLSDEELSVEVRRGSLPMF >ORUFI03G33770.1 pep chromosome:OR_W1943:3:27825823:27832570:-1 gene:ORUFI03G33770 transcript:ORUFI03G33770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCTDACGQAMKSLHFLVASAPGHCCSTSYAIKLEVSGSGNQVLPSLTSQALRFGQGQWRPRVPPSTTDIVSSSQASPAAQRKCWSGIWRLGIATGDLQARSRRTTEGSREGKKLSGGKWSNKSVPMTKPEALQLHHLPDDVLHRILSRLTFRESSRMGLLSRKWQKLWRSCCPKLIFTRATMFKPGNKTIRRTRTNFARRVNSLLRQLCAPPTLNKFVVKFGLRRKHTCHVNRWVGFCSKLRARHITFDFTPGVKGIFRGLADEKYIFHLHVFSVPDRSPAHIKSLHLSYVWLNTATTGFTGFANLKKLTLHKVSFLNDFQHLMLSECTALEWLSISCSSFTELTLCKPLRRLRYLSLHYCYMEKVELEAPNLTSVDLTNRPIPLALSESLKVMEANIKLLHKSVLYGDNLDYICTELPAALPHVQKLSITSTLCIYDELQSFAKTSVRFINLRHLSLYLPLYGDGRSVGGILRLAYLLELAPVLEELELHFRFSDFVIRQAIRVDMLPYRHDKLKRVVMSGACHWQGLIELAHHIRRCASRLDFMIMDPMVRIKGLPTVDWLEERGRRIAKELLKRQEFQGVLTVL >ORUFI03G33770.2 pep chromosome:OR_W1943:3:27825823:27832676:-1 gene:ORUFI03G33770 transcript:ORUFI03G33770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRASAPGHCCSTSYAIKLEVSGSGNQVLPSLTSQALRFGQGQWRPRVPPSTTDIVSSNKSVPMTKPEALQLHHLPDDVLHRILSRLTFRESSRMGLLSRKWQKLWRSCCPKLIFTRATMFKPGNKTIRRTRTNFARRVNSLLRQLCAPPTLNKFVVKFGLRRKHTCHVNRWVGFCSKLRARHITFDFTPGVKGIFRGLADEKYIFHLHVFSVPDRSPAHIKSLHLSYVWLNTATTGFTGFANLKKLTLHKVSFLNDFQHLMLSECTALEWLSISCSSFTELTLCKPLRRLRYLSLHYCYMEKVELEAPNLTSVDLTNRPIPLALSESLKVMEANIKLLHKSVLYGDNLDYICTELPAALPHVQKLSITSTLCIYDELQSFAKTSVRFINLRHLSLYLPLYGDGRSVGGILRLAYLLELAPVLEELELHFRFSDFVIRQAIRVDMLPYRHDKLKRVVMSGACHWQGLIELAHHIRRCASRLDFMIMDPMVRIKGLPTVDWLEERGRRIAKELLKRQEFQGVLTVL >ORUFI03G33770.3 pep chromosome:OR_W1943:3:27825823:27832570:-1 gene:ORUFI03G33770 transcript:ORUFI03G33770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCTDACGQAMKSLHFLVASAPGHCCSTSYAIKLEVSGSGNQVLPSLTSQALRFGQGQWRPRVPPSTTDIVSSNKSVPMTKPEALQLHHLPDDVLHRILSRLTFRESSRMGLLSRKWQKLWRSCCPKLIFTRATMFKPGNKTIRRTRTNFARRVNSLLRQLCAPPTLNKFVVKFGLRRKHTCHVNRWVGFCSKLRARHITFDFTPGVKGIFRGLADEKYIFHLHVFSVPDRSPAHIKSLHLSYVWLNTATTGFTGFANLKKLTLHKVSFLNDFQHLMLSECTALEWLSISCSSFTELTLCKPLRRLRYLSLHYCYMEKVELEAPNLTSVDLTNRPIPLALSESLKVMEANIKLLHKSVLYGDNLDYICTELPAALPHVQKLSITSTLCIYDELQSFAKTSVRFINLRHLSLYLPLYGDGRSVGGILRLAYLLELAPVLEELELHFRFSDFVIRQAIRVDMLPYRHDKLKRVVMSGACHWQGLIELAHHIRRCASRLDFMIMDPMVRIKGLPTVDWLEERGRRIAKELLKRQEFQGVLTVL >ORUFI03G33780.1 pep chromosome:OR_W1943:3:27848219:27849946:1 gene:ORUFI03G33780 transcript:ORUFI03G33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCADSGNLMAIAQQVIQQQQQQQQRHHHHHHLPPPPPPQSMAPHHHQQKHHHHHQQMPAMPQAPPSSHGQIPGQLAYGGGAAWPAGEHFFADAFGASAGDAVFSDLAAAADFDSDGWMESLIGDAPFQDSDLERLIFTTPPPPVPSPPPTHAAATATATAATAAPRPEAAPALLPQPAAATPVACSSPSPSSADASCSAPILQSLLSCSRAAATDPGLAAAELASVRAAATDAGDPSERLAFYFADALSRRLACGTGAPPSAEPDARFASDELTLCYKTLNDACPYSKFAHLTANQAILEATGAATKIHIVDFGIVQGIQWAALLQALATRPEGKPTRIRITGVPSPLLGPQPAASLAATNTRLRDFAKLLGVDFEFVPLLRPVHELNKSDFLVEPDEAVAVNFMLQLYHLLGDSDELVRRVLRLAKSLSPAVVTLGEYEVSLNRAGFVDRFANALSYYRSLFESLDVAMTRDSPERVRVERWMFGERIQRAVGPEEGADRTERMAGSSEWQTLMEWCGFEPVPLSNYARSQADLLLWNYDSKYKYSLVELPPAFLSLAWEKRPLLTVSAWR >ORUFI03G33790.1 pep chromosome:OR_W1943:3:27853358:27855244:1 gene:ORUFI03G33790 transcript:ORUFI03G33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMRLVVDLMVKRGWYFSEATFGDGILAKPQPDDGKMSIFSNIWAVKEDLESTAHDTMGPLSVLSTGNRTQAFLWETGKKMLEPAAISLNKIVLNHYMENGTPTFAASLQQINAAKASFCLPMDKSPPTADFSPLPTYRHLSSHSPAPAHRVGKPRRAAVEELLGRHGDELPGGTGRCLSVNPSTTPPPLEIRHWGDGRRRRDPRAVVAGDGCGHRRADGREARSWRAAVAVVDGLMGGRSATAAVAQSWWAPDDGHAWRHGSLFL >ORUFI03G33800.1 pep chromosome:OR_W1943:3:27863408:27865239:1 gene:ORUFI03G33800 transcript:ORUFI03G33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLRLASSGSTGLGFALGRLGGRSGGGGGGGGLAPASASSSSVVSARTRRTVSVTPNAAAPTPGEQGVAMEQGKQQHQPPPPSQPQPQQEKRGSRDDDMHKTTGDVMTHSFGEGYSTRSDEEGFGGVYGQNDPVFNPGTEYDTSQGSEVKEKEKARHHKDDKHAT >ORUFI03G33810.1 pep chromosome:OR_W1943:3:27870439:27874345:1 gene:ORUFI03G33810 transcript:ORUFI03G33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKRQRSVSSSRLSTLSSSPAPHTAAAAASASSPPLSFPNADLVLRLHLDPCPDDDADLDAGEDHRPSLDLHVSSASLLRSRYFAALLSDRWSPAPTSAAGGHGHLSLAVAAPRSASHPFHAHVEVVRLLHTLDFAGAIHSPADALDILPVALQLLFDACVEACTRFLEAVPWSPDEEARVLEIAPLLPADEAADLLARITPPPAASASAAGGEAARSPSEAMLHGLIHSAIHGHPVPAATKAFVAMLLKDYPSRDCVQKVLDEAFLSRLETVKELMGKYASPDFRVAVDSDERDAIQRLNLQSAVLNVRHLLWLIERMVEMRVAGNAVKLWSEQAALTADLQKLLNDVDMWKNITPGLPVLVTRCTLRFANSVVNGETIVPRQVRTKLVRSWLPVLNVCRNMVQPMQCGYKSPNCQELEETFLQIISTLPVPDAQELLQQCLRFSTRNVDDCPHLIAAFKTWFRRAGRAPQGAEN >ORUFI03G33820.1 pep chromosome:OR_W1943:3:27875092:27881372:1 gene:ORUFI03G33820 transcript:ORUFI03G33820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDELRRRRADGTVRAMACNGELVEALHRPPAVGIGEPRRALLLLVLLVLAAAAVLAVDLGLLLAVGALGVATNERAYVSLFAGACPTNSPSPSRPSSRRVIRAQHKAVWSLVAIRFGPVNMGMQHGRASVDTSIWVTAVLVGNHEERKERGPIYRLQNPQRAYPT >ORUFI03G33830.1 pep chromosome:OR_W1943:3:27884692:27885045:-1 gene:ORUFI03G33830 transcript:ORUFI03G33830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAERWVPQADILVHRTFLNETFLVDVLRVGVRVREAASNAATEAVARAVVRLMNDDDNDAAAARKVRVAELNVTARGAVAESR >ORUFI03G33840.1 pep chromosome:OR_W1943:3:27896221:27898476:1 gene:ORUFI03G33840 transcript:ORUFI03G33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIELCQEQKKSCLALGKSMPLASIQMTLSNKKQRSKSSELHLGRCLPLPEISVESETGKMYRASFVDREGRTVVIMRPAKQNTSSHEGQVRFLVYTLENAILSLPEDQEKMVWLIDFTGWTLANATPIKTARECANILQNHYPERLAIGILFNPPKVFEAFWKVVKHFLDPKSIQKVNFVYLKNEESMKILHKYIDPEVLPVEFGGKNNVVYSHEEYSKLMVKDDIKMASFWASDTKTDHVNKVINEHSVPEVTQQSSLVAAKAS >ORUFI03G33850.1 pep chromosome:OR_W1943:3:27899699:27903383:-1 gene:ORUFI03G33850 transcript:ORUFI03G33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEMMRAGGCCCGGGGVWARLLLLVAVVAAPGAVVAQQGNLTSRADLSGLYALRGSLGLRARDWPRRADPCTAWAGVRCSGGRVVSVDLAGLRRTRLGRLAPRFAVDGLRNLTRLEAFSAPGFGLPGSLPAWLGAGLAPTFQLLDISGCAVTGEIPASAIAGLSNLTTLNLAGNLLSGQLPGSALAGLARLKTLNLSGNAFSGELPKAVWSLPELSVLDVSRTNLTGALPDTGLALPSNVQVVDLSGNLFYGGVPGSFGQLFGRTKLANISGNYFDGKLGVSNGDGGNFSFELNCFVDVTGQRSQAECQQFYAARGLPYNVSGPAPTPQPAMPASPGRKKGHKNLKYILIGAICGGVLLVAVIAAILYCLVCSGSRRNGSRNDQRESGVRNTQLGASGTGGGAVTAGTQPSASPANLAKVGDSFGYDQLVEATTDFGDDRLIKHGHSGDLYLGALHDGTSVVVKRITSSMAKKDAYMAELDLFAKGLHERLVPIMGHCLDKEEEKFLVYRFVRNGDLSSALHRKSGEEEEGLQSLDWIKRLKIATGVAEALCYLHHECNPPMVHRDVQASSILLDDKFDVRLGSLSEVCPQEGEGHQNVITKLLRFSSTADQGSSGSPSASCSYDVYCFGKVLLELVTGRLGISASNDAATNEWLDHTLRYINIYEKELMSKIIDPSLIIDEDHLEEVWAMAIVAKSCLNPRSSKRPPMKYILKALENPLKVVREDNGGSSSARLRATSSRGSWNAAFFGSWRHSSSDIGPSRDDNLLKRSETIKSSGGSNGDHSSSRRRQSKEIFPEPSGSRDTED >ORUFI03G33860.1 pep chromosome:OR_W1943:3:27921046:27926414:-1 gene:ORUFI03G33860 transcript:ORUFI03G33860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGGVKAAAEAEAEGEIVAAPAEKVACFKKTAGEEADATFMERVKDYYNQLKESSAEKHWICIKDKFRAAGEYVSQKSSSVFGKKKVEPVVKDAAVPGEASSVTVESQLRMESIKTQSQGSASSVPKNPAMSSCRKKKSDDATFLEDLKDHIDEFIHASMDEHKHCFKNTIQKMFGMSKVVAERSAEAKEAEVESALPLQTSVSQ >ORUFI03G33870.1 pep chromosome:OR_W1943:3:27927875:27930757:1 gene:ORUFI03G33870 transcript:ORUFI03G33870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMASSMAKNSSPFKVVLGSSSPARREILADMGYEFTVMCADIDERAIRREKPEELVKALAEAKAEAIKLKLHGEDSAQERDQPTILITSDQVMVSKGMIRERPKGQEEAREFIKGYSGDKAFAVNYVLVTNLSNGASKGGWDIPEIYFHHIPEDFIQSVVKEGHMTCVAGGLKLTHPSVLPFIKQLIGTMDSVRGLPRELTERLIQEVLGAK >ORUFI03G33870.2 pep chromosome:OR_W1943:3:27927875:27930081:1 gene:ORUFI03G33870 transcript:ORUFI03G33870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMASSMAKNSSPFKVVLGSSSPARREILADMGYEFTVMCADIDERAIRREKPEELVKALAEAKAEAIKLKLHGEDSAQERDQPTILITSDQVMVSKGMIRERPKGQEEAREFIKGISISNLCLGIVPVNLLYLAKKFWLCVSLLLVGYSGDKAFAVNYVLVTNLSNGASKGGWDIPEIYFHHIPEDFIQSVVKEGHMTCVAGGLKLTHPSVLPFIKQLVSNSFETTTIASITTLRTANLYWTVSLQRLNNNNIESIALILRFLPMLHRSAQWIVFEDSRGSSLRGLFKKFLEPNDLHLECHLQLARRALLNGPYDALVL >ORUFI03G33880.1 pep chromosome:OR_W1943:3:27930063:27931519:-1 gene:ORUFI03G33880 transcript:ORUFI03G33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAARSLPPWVVLDRNAFLHKPDDEEEEDPGWATIRCETTAYVTLRANVEGLRPVDELAPCSRSSPIPPRPSRLSIRLDGDPEEEDRRGFRSSVLLADAGFIVLSSCLPDTRGHKSYLVCDVAAADNAASLKMLPTLPMRFLPSVTYCPHPADGYLPQVLCLLPSSAPFDRRRWGTRRPIFPSEKPKSFTAHQTFSFQGSAYWVDLGQGILFCSCHDLMSGTNNINNNDDDDLQFGYIQLPDGCYVGFDSLYLTHLPSQYRDIRCISDSIRFVSIEGYNTDPPYDMLLSMWDLTPSSRQWHKVGSIHVGSLWEQEGFRRSGLPTNTSPTQPMLSSEEDGVVYLMAGDFYEEDEKHRSLHVFSVDVTTCEFVSAWRLPPWRHSGPPSLIGSDIFKHLKMDNLCQLVPPNTRAKVLPRPPKRDRGEGNVITVRPRKVQRVHHQGENV >ORUFI03G33890.1 pep chromosome:OR_W1943:3:27931871:27933674:1 gene:ORUFI03G33890 transcript:ORUFI03G33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEARSLPPWVVLDPVVRLHEPDGEEENPGWAAIRCETTAYVTLRADVEGLRRDDELSPLDDDGLKLLALVADPPRPSRLSIRLDGDPDDDEEEGRRGFLGGVLLADPDDDGYLPQVLCLLPSSAPFDRHCWGTRRPIFRSEKPKKFNAHQTFSFQGSSYWVDLGQGILSCSCHDLISNTNDDVQFRYIALPTGCNVDFDSLYLTAPPSQYRDIRCVGNSIRFVSIEGYNTLPGYNMLLSMWELMMPSSGQWRKVGSIRVGRLWEQEGFRRSGLPTNTSPTHPMLSTEDDGVVYLLMGEFYAEDEKDRSLYAFSVDMVTCKFVSAWHLPRWRHAGSPSLMGSDIFKHIKKHNLCQLIPPNKRDRGEASVLTVRPRKMQRDHLGTGSSRVQEKMR >ORUFI03G33900.1 pep chromosome:OR_W1943:3:27935860:27938292:1 gene:ORUFI03G33900 transcript:ORUFI03G33900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVFRFLKLVGVGFKARTEREGRELFLKLGYSHENRVHNFAGAVRNCKPPEVYKGKGILYIDEVIKLKPGKKQKK >ORUFI03G33910.1 pep chromosome:OR_W1943:3:27940392:27950828:1 gene:ORUFI03G33910 transcript:ORUFI03G33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDEFGGLYTDILVHAPPAPSNPAPPAAAAAETLAPAPPAPNPNPTPTPASTKAAAAADEEEDDDWLLGGSDPVVGVDPTGDWADEEEDGGAAQPPPKREAAAAAAKPAPVVAEEADPLMGGVAGDAGAAIPGLSSSAAASAAAAGSEEWDSDSEDDIQIVLNETDGRRRLGEDEGDDEDGEDLVIVADGPIPGMEEQDWGEDAAAAGAEGERKEGGEPGKAAAAPGGRIGYSGGGQGFHPQHHSMFKYVRPGATLGAPLGGAPTATGQFRPPGPPGPFAGRGRGDWRPGAGRGMNKGFGYGMPPWGGSGRGFGGLDFTLPPHKTIFDIDVDTTFEEKPWKYPGADISDFFNFGLDDEKWKDYCKQLDQLRLESTMQSRIRVYESGRSEQDYDPDLPPELAAATGHHDISADSRNKTDNGHTDVNTQGRVPTSMRPPVHRQNLCSRWGLAHPYPLSTPFSPSTPSSTCKRKKRRRSCVTIAVPAPLPALTRKPSGTACFCGAQCATADGRGWNSGEVMTGRPIQVETGYGERLPSIDTRLPRMRESDSVIEIVCQGQSDDPLVDDSTVDQTEKDSQRGDKRTHGAEEGRPYTSEMNSSSALGKEEHKKRLPVSSEGDNATDVNGRSSPSYRTRGSPRGVRSSKGSSAREVESSNEILPRQTTSLKRNNDSQREKNPDEGSESKDGPEGSPAAADEAADKLSADHFDGNDGSLALVDSAEVDGDDVISDPHTVSETTTTDGDNLSHSGKKQKLISRAEQPTGHNSSDQDELRTRNSDNSRGRSGSSKDNQKRLESGEEVLQDRRSRRINDARRHHDGEDRNSRRKDEYLRDIKPDVERSHLASRSRDDTYHPYANRDRDMRGRSYDRVRDTEIWQRREESVHNRRAKEEDVRLEHNAEVGARNRNKMRPPVDRNDRIEDPHARKRLDDGDWRGSRPRERGDVVLNRRENIDDSHMKRKKDEENMRRMKPENEDIVHGQHGYRGRDDPNRRKRERDDGIDQKRRDDNARMREKADDRYHTKHKEDNWRQREREDRHRPKHDNTVTLQRDEGRGSGRGGRILDDKLVTSGRKQDESRSAGLSKEAQERSRQNDPLRRDQGAEENNMQNRGRSDVHPRDENPNNSERNTRQEKPNNTHDGNRLSSNSGARQVSRDRYRESTRKGRSSDINEHDLPKSSKRRREDHESHRGGKVDVKGVSEQENSRDHTVSSKKGQNPQRESFVKQAEEDPMSDDENHEDSRRGRSKLERWTSHKEIDYSSIDNENAPTFSSIKSDVQAPTADELGKSEAAAAGNSELKSGGDNGQTSEKNAEERDRHLDTVERLKRRSERFKLPMPGEKDAPQSKKVDTEVQPPQIESASADLEVKPERPARKRRWTGTGS >ORUFI03G33910.2 pep chromosome:OR_W1943:3:27940392:27950828:1 gene:ORUFI03G33910 transcript:ORUFI03G33910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDEFGGLYTDILVHAPPAPSNPAPPAAAAAETLAPAPPAPNPNPTPTPASTKAAAAADEEEDDDWLLGGSDPVVGVDPTGDWADEEEDGGAAQPPPKREAAAAAAKPAPVVAEEADPLMGGVAGDAGAAIPGLSSSAAASAAAAGSEEWDSDSEDDIQIVLNETDGRRRLGEDEGDDEDGEDLVIVADGPIPGMEEQDWGEDAAAAGAEGERKEGGEPGKAAAAPGGRIGYSGGGQGFHPQHHSMFKYVRPGATLGAPLGGAPTATGQFRPPGPPGPFAGRGRGDWRPGAGRGMNKGFGYGMPPWGGSGRGFGGLDFTLPPHKTIFDIDVDTTFEEKPWKYPGADISDFFNFGLDDEKWKDYCKQLDQLRLESTMQSRIRVYESGRSEQDYDPDLPPELAAATGHHDISADSRNKTDNGHTDVNTQGRVPTSMRPPVMTGRPIQVETGYGERLPSIDTRLPRMRESDSVIEIVCQGQSDDPLVDDSTVDQTEKDSQRGDKRTHGAEEGRPYTSEMNSSSALGKEEHKKRLPVSSEGDNATDVNGRSSPSYRTRGSPRGVRSSKGSSAREVESSNEILPRQTTSLKRNNDSQREKNPDEGSESKDGPEGSPAAADEAADKLSADHFDGNDGSLALVDSAEVDGDDVISDPHTVSETTTTDGDNLSHSGKKQKLISRAEQPTGHNSSDQDELRTRNSDNSRGRSGSSKDNQKRLESGEEVLQDRRSRRINDARRHHDGEDRNSRRKDEYLRDIKPDVERSHLASRSRDDTYHPYANRDRDMRGRSYDRVRDTEIWQRREESVHNRRAKEEDVRLEHNAEVGARNRNKMRPPVDRNDRIEDPHARKRLDDGDWRGSRPRERGDVVLNRRENIDDSHMKRKKDEENMRRMKPENEDIVHGQHGYRGRDDPNRRKRERDDGIDQKRRDDNARMREKADDRYHTKHKEDNWRQREREDRHRPKHDNTVTLQRDEGRGSGRGGRILDDKLVTSGRKQDESRSAGLSKEAQERSRQNDPLRRDQGAEENNMQNRGRSDVHPRDENPNNSERNTRQEKPNNTHDGNRLSSNSGARQVSRDRYRESTRKGRSSDINEHDLPKSSKRRREDHESHRGGKVDVKGVSEQENSRDHTVSSKKGQNPQRESFVKQAEEDPMSDDENHEDSRRGRSKLERWTSHKEIDYSSIDNENAPTFSSIKSDVQAPTADELGKSEAAAAGNSELKSGGDNGQTSEKNAEERDRHLDTVERLKRRSERFKLPMPGEKDAPQSKKVDTEVQPPQIESASADLEVKPERPARKRRWTGTGS >ORUFI03G33920.1 pep chromosome:OR_W1943:3:27953049:27957800:1 gene:ORUFI03G33920 transcript:ORUFI03G33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAVEGCMHGELDKVYDTLRELEKAEGVKIDLLLCCGDFQAVRNENDLQCLNVKPRFREMKSFWKYYSGQAVAPYPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGHYERPPYNENTIRSVYHVRHYDVLKLMHVKEPLDIFMSHDWPLGITEYGNWQKLIREKRFFEEEVNKRTLGSEPAARLLNKLKPPYWFSAHLHCKFPAVIQHGEGGPTTKFLALDKCLPRRGFLQVIDIPSGPGPHEIQYDEEWLAITRKFNNVFSLTRMPFTMLDEQVDTQDDLQWVRNKLNARGAKPIDFVQTAASYDPSCQASNPSITVHCRNPQTESFLQLLNLPYLLDSSNSYGVSRNESSSQTGQALDSDDIELPDDEDDPADDDD >ORUFI03G33930.1 pep chromosome:OR_W1943:3:27958892:27963477:1 gene:ORUFI03G33930 transcript:ORUFI03G33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDNPGYALRATLAGHRRAVSAVKFSPDGRLLASASADKLLRVWSTSDLASPVAELAGHGEGVSDLAFSPDGRLIASASDDRTVRIWDLGDGGGGGGGGGGGGATAARIRGHSLRQPPRQHARLGLLRRDRPRLGGQPVTSVDFNRDGAMIVSGSYDGLCRIWDSATGHCIKTLIDDESPPVSFAKFSPNGKFVLAATLDSKLDLCLLVMSATLVGVMSVLLEVKMYIRSFQQSYQPPSMLETILGTISQEIGVGLSARRLWNFSAGKFLKTYTGHVNTKYCIPAAFSITNGKYIVSGSEDKCVYIWDLQSRKILQKLEGHTDTVIAVSCHPNENMIASGGLDGDKTVKVWVQKEEDQMEV >ORUFI03G33940.1 pep chromosome:OR_W1943:3:27964855:27967728:-1 gene:ORUFI03G33940 transcript:ORUFI03G33940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTMEDKDEELSGPAVVAAVERLVRYEEMGSIWRQIETVVVYLMNMPLNATMQVPKLQARTASEASEML >ORUFI03G33950.1 pep chromosome:OR_W1943:3:27990244:27992744:1 gene:ORUFI03G33950 transcript:ORUFI03G33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQWFSNMVMDEPSFFHQWQSDGLLEQYTEQQIAVAFGQAGEADAAAAAAATMVQQQQYAAAAAAEHRPRKAAKVNTSWDSCITEQGSPADSSSPTILSFGGHADAAAAAAFASAGQAQSAPYYGGASAAALKPKQELDAAAAPFSQARPVKRSYDAMVAADVAKAPAAAASRPASQNQEHILAERKRREKLSQRFIALSKIVPGLKKMDKASVLGDAIKYVKQLQDQVKGLEEEARRRPVEAAVLVKKSQLSADDDDGSSCDENFDGGEATAGLPEIEARVSERTVLVKIHCENRKGALITALSEVETIGLTIMNTNVLPFTSSSLDITIMATAGENFSLSVKDIVKKLNQAFKLSL >ORUFI03G33950.2 pep chromosome:OR_W1943:3:27989453:27992744:1 gene:ORUFI03G33950 transcript:ORUFI03G33950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQWFSNMVMDEPSFFHQWQSDGLLEQYTEQQIAVAFGQAGEADAAAAAAATMVQQQQYAAAAAAEHRPRKAAKVNTSWDSCITEQGSPADSSSPTILSFGGHADAAAAAAFASAGQAQSAPYYGGASAAALKPKQELDAAAAPFSQARPVKRSYDAMVAADVAKAPAAAASRPASQNQEHILAERKRREKLSQRFIALSKIVPGLKKMDKASVLGDAIKYVKQLQDQVKGLEEEARRRPVEAAVLVKKSQLSADDDDGSSCDENFDGGEATAGLPEIEARVSERTVLVKIHCENRKGALITALSEVETIGLTIMNTNVLPFTSSSLDITIMATAGENFSLSVKDIVKKLNQAFKLSL >ORUFI03G33960.1 pep chromosome:OR_W1943:3:27994327:27995778:-1 gene:ORUFI03G33960 transcript:ORUFI03G33960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAPLPSWVVLNSTVRIAPGAVENEPEWAIKCSHRQAYPYAWRGVKEASASMARDVTLLARLVEPPDLSSLYIRLPADELRRPRFPMPDSGNGDDDEIRDGDVSLSEGPLLRASVRAADEKLVILTSTLPDCDRASFYLIYNATKTSLSMIPLLPSYCSPSFTMRPLPMRRRSGGDGGDGDYSLAIMARTSVLDEQTRDPIDRDVLCLWPPPASAKPLPLSGRRGIEPWRVKQPHFPSQTPGSFVADTAFWADLAHGVLYCNCDDVLAGGYDVQFHYLGLPMECRLDDVDSCTGRGNPAEHRTMSYVGDSIKFVSIGDGLHPELKVWALLPATMEWKKLHELSMATLWGLEGFKNAGLPENLPIHPILSTQQDGVLYLVLPAEEKVEEDIVVAVEEEDVAVTEQRYLFGLDVCNKRTLSSRHLPDSGYLLGFDMFRCLDERCLCPHAAPSTDENGARPIPATRKRKLPSSPSPP >ORUFI03G33970.1 pep chromosome:OR_W1943:3:27999707:28003033:1 gene:ORUFI03G33970 transcript:ORUFI03G33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPSDRTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGCYRQLFHPEQLINGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPSGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESDENEDGDDGDEY >ORUFI03G33970.2 pep chromosome:OR_W1943:3:27999682:28003033:1 gene:ORUFI03G33970 transcript:ORUFI03G33970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPSDRTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGCYRQLFHPEQLINGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPSGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESDENEDGDDGDEY >ORUFI03G33980.1 pep chromosome:OR_W1943:3:28009394:28015770:1 gene:ORUFI03G33980 transcript:ORUFI03G33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGRVGGGEGEAGEAAEVAVAMVDNEEEVAQAQAPPAAAVAARELVVGYALTSKKAKSFLQPKLRGLARKKGILFVAIDQKRPLSDQGPFDIVLHKLTGREWQQLLEEYREEHPEVTVLDPPGAIEHLLNRQSMLQEVSELDLSDCHGRVGVPKQLFVNTDPSSIPAAVMRAGLSLPLVAKPLVAKSHELSLAYDPISLTKLEPPLVLQEFVNHGGVLFKVYIVGDAIRVVRRFSLPNVDVGDLSNNAGVFRFPRVSCASANADDADLDPHVAELPPRPLLEILARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGYGKMPGYEHVFTDFLLSLVQKEYKRRPSYSSCEG >ORUFI03G33990.1 pep chromosome:OR_W1943:3:28017645:28022463:-1 gene:ORUFI03G33990 transcript:ORUFI03G33990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSALLTSAGINIGLCALLLSLYSVLRKQPHNYGVYFGRRLAEEKFRQQVDYFSLERLLPTAGWIVKAYWCTEEEIRRVAGLDSVVFLRLFIFSIRIFSITSLVCIFGVLPVNYHGKETNHGRIPAESLNVFTIANLKEGSRMLWVHCVALYVITISACILLYYEYKYISRKRLAHITGSPPDPGHFSVLVRSIPKSDNELLDDTIRNFFVNYHGSSYLSHQMIYRKGSMQKFVDNAERVYRKFVRVKMSSFGQSRRSDLSRCGLCGVRASSFQQYRNKFINSKKPDLSDPEVIEAQKDCPGAIVFFKTRYAAIVASRILQSSNPMLWVTDFAPEPRDVYWSNLWIPYRQIWLRKIATLAASVAFMFVFIVPVAFVQSMMQLDQIEQLFPSLKNMLKKPFFVKLVTGYLPSVVLLLSLYTVPPLMMFFSSIEGSISRSGRKKSACCKILFFTIWNVFFVNVLSGSVLNQLNVFTRPRDMPSMLAELVPKQATFFITYVLTSGWASLCSEILQVYNLVYNFFRKCIFCYRDDPEYGYSFPYHTEVPKVLLFNLLGFTFSIMAPLILPFLLVYFCLGYLVYRNQILNVYYPKYEMGGKLWPIMHSTLVFALVLTQTIALGVFTIKHATISSGFTILLIIGTVLFHQYCSHRFSSIFNSFSAQDLIEMDRDDEQSGRMEEIHKHLLDAYSQGTTNMDNSSSSRNGGAPIEMIMEDPAQDAQDSNQELCDAVKEVTGSIQEHADEM >ORUFI03G34000.1 pep chromosome:OR_W1943:3:28031560:28034181:1 gene:ORUFI03G34000 transcript:ORUFI03G34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEMKHDENDEDPIDEPDLDKDDDLPEPGEHSADKDERSEDVGVFEDEERKERSQEDQEKTFHGDNVSSAVSHDPPSAEQDELLRHAQDKILYVDDASTAVPHDNQEAERREEEARKAREMTFRGDDVSSSVDHDAQVTKPLPEEQLKSMDSIFEGTTNLSNGITFRGPGVNESTAARELGAIPADASSHPNTSTVSESNTDTAPVNLDGNHTGSDQANSTSLKGQQEQQANSTAVLNNQIKLFIDLTSAAELNYRPNGTLASASTDAENATSVDRGHDGNTGHDGNTGTNKAEEGDTGTDLDLSTKIMNKAISEDEVVLE >ORUFI03G34010.1 pep chromosome:OR_W1943:3:28035861:28040428:-1 gene:ORUFI03G34010 transcript:ORUFI03G34010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGLYGRLNRSSTRGFLAYVAAGAACAAVLACFVIPAAEPRAGDANGGLRLSSRSVRVWPDLAFNWRVVVATVVGFLGSAFGTVGGVGGGGIFVPMLNLLVGFDTKSAAALSKCMIMGASASSVWYNLQVSHPTKEAPVIDYKLALLFQPMLMLGITIGVELSVIFPYWLITVLIIILFIGTSSRSFYKGILMWKDETRIQWLLTRVVKSPSYASLSPRRNLLWWKNILVLMTVWSSFLVLQIFKNNSQSCSTFYWVINILQVPVALSVFLWEGVQLCRESRARRMDGNWECVCEASIEWSPAQLIFCAFCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVASATATFVMMFSSSLSVVEFYFLNRFPIPFAVYLICISILAGFWGQSLVRKLVHVLKRASLIVFILSSVIFASALTMGVVGTQKSISMINNHEYMGFLDFCEK >ORUFI03G34020.1 pep chromosome:OR_W1943:3:28048770:28048958:-1 gene:ORUFI03G34020 transcript:ORUFI03G34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAARGDATTAYAGLGPLDRQRGAGITGSRECMTTRDEEAAAASSACSSSCCRCCRRHRRR >ORUFI03G34030.1 pep chromosome:OR_W1943:3:28056760:28058473:-1 gene:ORUFI03G34030 transcript:ORUFI03G34030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLCSSSLLLLLLSSHGLPSTEGGELHHHQIKEPPPEVEQTGGKGWAAMSEALIGSRPPRCEGKCAPCGRCEAVQFCTNSAIASAPPLKFSTKINLCLPPWVQENDSAESPLNTYPWEGIHGPRCEGVHSATQNIADRKRESNPADN >ORUFI03G34040.1 pep chromosome:OR_W1943:3:28059905:28064728:-1 gene:ORUFI03G34040 transcript:ORUFI03G34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEGEGGEKKSQRRRLRAACLPRPGCFTVSAADEGPSGSGGGGGGSRPAPAHLVVTVNGIVGSAENWRYAAKHFIKKHPEDVVVHCSGCNGAVRTFDGVDVMGTRLAEERRPELQKISFVAHSLGGLIARYAIALLYKSATEIDSHEEHEKQITDVSSNQLIDRGKIAGLEPINFITFATPHLGTRSHKQIPLLRGSYKLEKMAYRISWIAGRSGKHLFLKDIEDGKPPLLLQMVTDYGRLCVLSNVVLLTQTSVTIPQNFINHVKYPHVVYVEKPKVQDTDFSDSMIYQAKNTSEMEELMLKGLNRIPWERVDVSFKKSRQRIFAHSTIQVKTYFFNSDGADVIFHMIDHFLY >ORUFI03G34050.1 pep chromosome:OR_W1943:3:28066885:28069186:-1 gene:ORUFI03G34050 transcript:ORUFI03G34050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHATSSRDSVRAAAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLVADVPYLPELQWSKGSRLKDVVCQFQEHLKILQDYWSIMDDIDKVLWVVDPTKPTFAMSHRRIALDHYQSKFNFITDSQYFKHIIVDGQFFSGSADKKFHENLSTVLDFALPPPPSVNIEDDEQVDCGICYAKHLPIDDELGTHSGGTTDYTCENPSCSRAFHSVCLRDWLRAITTTRQSFDVLFGNCPYCSDPVAVKITDR >ORUFI03G34050.2 pep chromosome:OR_W1943:3:28066885:28069186:-1 gene:ORUFI03G34050 transcript:ORUFI03G34050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHATSSRDSVRAAAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLYIPPDLRCFFLMFHVMSGMDVPYLPELQWSKGSRLKDVVCQFQEHLKILQDYWSIMDDIDKVLWVVDPTKPTFAMSHRRIALDKKFHENLSTVLDFALPPPPSVNIEDDEQVDCGICYAKHLPIDDELGTHSGGTTDYTCENPSCSRAFHSVCLRDWLRAITTTRQSFDVLFGNCPYCSDPVAVKITDR >ORUFI03G34050.3 pep chromosome:OR_W1943:3:28066885:28069186:-1 gene:ORUFI03G34050 transcript:ORUFI03G34050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALHATSSRDSVRAAAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLVADVPYLPELQWSKGSRLKDVVCQFQEHLKILQDYWSIMDDIDKVLWVVDPTKPTFAMSHRRIALDSQYFKHIIVDGQFFSGSADKKFHENLSTVLDFALPPPPSVNIEDDEQVDCGICYAKHLPIDDELGTHSGGTTDYTCENPSCSRAFHSVCLRDWLRAITTTRQSFDVLFGNCPYCSDPVAVKITDR >ORUFI03G34050.4 pep chromosome:OR_W1943:3:28066883:28069186:-1 gene:ORUFI03G34050 transcript:ORUFI03G34050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALHATSSRDSVRAAAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLVADVPYLPELQWSKGSRLKDVVCQFQEHLKILQDYWSIMDDIDKVLWVVDPTKPTFAMSHRRIALDKKFHENLSTVLDFALPPPPSVNIEDDEQVDCGICYAKHLPIDDELGTHSGGTTDYTCENPSCSRAFHSVCLRDWLRAITTTRQSFDVLFGNCPYCSDPVAVKITDR >ORUFI03G34050.5 pep chromosome:OR_W1943:3:28066885:28069186:-1 gene:ORUFI03G34050 transcript:ORUFI03G34050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALHATSSRDSVRAAAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLVADVPYLPELQWSKGSRLKDVVCQFQEHLKILQDYWSIMDDIDKVLWVVDPTKPTFAMSHRRIALDKKFHENLSTVLDFALPPPPSVNIEDDEQVDCGICYAKHLPIDDELGTHSGGTTDYTCENPSCSRAFHSVCLRDWLRAITTTRQSFDVLFGNCPYCSDPVAVKITDR >ORUFI03G34060.1 pep chromosome:OR_W1943:3:28070370:28079448:-1 gene:ORUFI03G34060 transcript:ORUFI03G34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISHHFGVVGASGVHGGHQHQHQHHHHPWGSSLSAIVAPPPPPQPQQQQTQAGGMAHTPLTLNTAAAAVGNPVLQLANGSLLDACGKAKEASASASYAADVGAPPEVAARLTAVAQDLELRQRTALGVLGAATEPELDQFMEAYHEMLVKYREELTRPLQEAMEFLRRVETQLNTLSISGRSLRNILSSGSTTHPSILPSSSIHSKPMFSAPYISSSSEEDQEGSGGETELPEIDAHGVDQELKHHLLKKYSGYLSSLKQELSKKKKKGKLPKDARQQLLNWWELHYKWPYPSESQKVALAESTGLDLKQINNWFINQRKRHWKPSDEMQFVMMDGYHPTNAAAFYMDGHFINDGGLYRLG >ORUFI03G34060.2 pep chromosome:OR_W1943:3:28070370:28079448:-1 gene:ORUFI03G34060 transcript:ORUFI03G34060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISHHFGVVGASGVHGGHQHQHQHHHHPWGSSLSAIVAPPPPPQPQQQQTQAGGMAHTPLTLNTAAAAVGNPVLQLANGSLLDACGKAKEASASASYAADVGAPPEVAARLTAVAQDLELRQRTALGVLGAATEPELDQFMEAYHEMLVKYREELTRPLQEAMEFLRRVETQLNTLSISGRSLRNILSSGSSEEDQEGSGGETELPEIDAHGVDQELKHHLLKKYSGYLSSLKQELSKKKKKGKLPKDARQQLLNWWELHYKWPYPSESQKVALAESTGLDLKQINNWFINQRKRHWKPSDEMQFVMMDGYHPTNAAAFYMDGHFINDGGLYRLG >ORUFI03G34070.1 pep chromosome:OR_W1943:3:28097696:28100967:1 gene:ORUFI03G34070 transcript:ORUFI03G34070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWLDVRSSQLLLQHSSIFCKAKLFMYTDLYNQILTSEVHDLGSVACLHCIYTVTRACVCLIHSRPELYIWQGQFRLIPDGGNGRIEQRLCAPHAMIRPGGVHGRCRSRRRRSDEAGVDVDVERRRGIGALVVVGLHDGELHLLRGLPVALPLVDEPVVDLLLLQPRRLGEGDLLHLRRVRPPVMELPPGEQLLPRLLGELPFLPLLRQLLPQASQVAAVLPQQVPLQRFVVGARVDDAEVLVFRGLHHLHAAAVFVFVVVRRIEAGFGFCMPLSSQRY >ORUFI03G34070.2 pep chromosome:OR_W1943:3:28097968:28100241:1 gene:ORUFI03G34070 transcript:ORUFI03G34070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFELFSLYREWQEEKAKKISETQEEIENKIETADALSIKLLQRFNYSVTSMRSTSHNLAEVRPLQVEVGELKGRLTEVISNCDALCKRIAAEGPESLRSSVQPFTTSKMEPRESETLDLKTQS >ORUFI03G34080.1 pep chromosome:OR_W1943:3:28100393:28105760:-1 gene:ORUFI03G34080 transcript:ORUFI03G34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEGHRGEGRLPPPPPLLPFPKVSVQVYTVPSSSTAASAAAAGGARQAVAPATRDGGDGGGRAAGVLDDPVKARIVGCPAEAAEEIAAAARVREARQRAAAAASRMPPAPEDPELDQFMEDYCKLLVECKEELSRPLQEAEEFLRTVESELNSINSGPPLTALISESKAGLDSSDDDEHEDGSGMEMMEAAEDEDLGIIDPRSDDKALKRHLLRKYSGYLGGLRKELSKKRKKGKLPKEARQKLLTWWELHYRWPYPSEMEKIALAESTGLEQKQINNWFINQRKRHWKPTEEMEFAVMEAYHHQSTDAAAAFYVDVDARLVGATAAAPASAVYTARPDHGVWRA >ORUFI03G34090.1 pep chromosome:OR_W1943:3:28110018:28111315:-1 gene:ORUFI03G34090 transcript:ORUFI03G34090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCFGDLTARSAPLRLYSGDLHLQIHHLSSALLRVGEVGTKLILRIMHEVLMAINRGDGNGGAQAAARPTREVDLSLMTDD >ORUFI03G34100.1 pep chromosome:OR_W1943:3:28134433:28136187:-1 gene:ORUFI03G34100 transcript:ORUFI03G34100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQVVAEEKPQLLSKKAGCNSHGQDSSYFLGWQEYEKNPFDPVSNPSGIIQMGLAENQLSFDLLEEWLEKNPHALGLRREGGGASVFRELALFQDYHGLPAFKNALARFMSEQRGYKVVFDPSNIVLTAGATSANEALMFCLADHGDAFLIPTPYYPGFDRDLKWRTGAEIVPVHCASANGFRVTRPALDDAYRRAQKRRLRVKGVLITNPSNPLGTASPRADLETIVDFVAAKGIHLISDEIYAGTAFAEPPAGFVSALEVVAGRDGGGADVSDRVHVVYSLSKDLGLPGFRVGAIYSANAAVVSAATKMSSFGLVSSQTQYLLAALLGDRDFTRSYVAENKRRIKERHDQLVDGLREIGIGCLPSNAGLFCWVDMSHLMRSRSFAGEMELWKKVVFEVGLNISPGSSCHCREPGWFRVCFANMSAKTLDVAMQRLRSFVDSATGGGDNAALRRAAVPVRSVSCPLAIKWALRLTPSIADRKAER >ORUFI03G34110.1 pep chromosome:OR_W1943:3:28150028:28150516:1 gene:ORUFI03G34110 transcript:ORUFI03G34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHNTGMPSQNLRRRSSPVETGREMDYAYLLRFECSLNHKYTIEIAVRKRDTEVRYGKARYRHGIALPMRESVTVSCVEWARRFELAVSLVGYAISTRYRVSTERERHVIPIWEQQLEWCYRSRLLAARERDRYRV >ORUFI03G34120.1 pep chromosome:OR_W1943:3:28158812:28160143:1 gene:ORUFI03G34120 transcript:ORUFI03G34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVVVRRARVRARSQSPPGEFDSARRTRPRMEGEEEMRGRGGKASARARSQSPPGGSGSAARVEVEGMGASAVPDDMLLEVFKRLSPLADIVRAAAVCRRWRLLVSGAGGLPAPPPYFGFFRNYAPSALPPFVPAAGVGLGLDHGALSVSPACGALLVDCRGRRLLLRELGAGSARELKLLVCDPLRKTSVSLPSRFVAGHKVACCALLPGAGAAFRVAVVLFGAAAHFDILVYSSAASAWEAATGALKKSMNPHQGPTVVIGDVVYKLQSEEDKYVMAVDATKMTLSAVPLPNTGMLLYAGNHWIGKTHDGRLCFFALREQLVLAKWVLESPGKWVEQPAVDLRALMNPATVGDLSRIKLSAKISDQLRGCKLVSFGGFCEGTGALFFVMADWVVSLDLATWRFERMWRNTDESRPLGDIFPVEMMVWPPVRRGDLGEKE >ORUFI03G34130.1 pep chromosome:OR_W1943:3:28167921:28183640:-1 gene:ORUFI03G34130 transcript:ORUFI03G34130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAVSRLRAHLHPHPHAHHHHGLPSVTPAPLRSLSTRWGKRSSPTASPPADSDDEGSPRGLSLLPRDPERPPRLLVVQPRLRPGSLLDSKLAEALNLANSLEEPRDGFYKEGLAAKGAPPHLVVQNPSSRGRSHVDKFFGPGTVDNVKCYLRTSESEVAWGKPVLDRVGLIIEIFNAHAETKEAKLQSELAALMYMKTRLVRVRGPGGRLTFGSSGEAEVVSARGRGSGGRGFISGAGETELQLQRRRIQERRVRLLAQIEDVRRTRAIQRSNRKRHGNSFGQELVTVAVVGYTNAGKSTLVSALSETDLYSDDRLFATVDPRLRSVILPSGYLNRFFIHLLRKALLSDTVGFISDLPVQLVEAFHATLEEVVEADMLVHVLDSSAPNIEEHRSTVLQVLQQIGVSQDKINSMIEVWNKIDIVDNNDNDVTDDIEDEIFLTEGEEDKEEELFSENDVPAEESSFESLDDGTDSEYLSEENLEGNNGEISSSLEPSEMRAMNSVSSSSKDCFGELCGPETISTDSCSSTQPMSTCHVKTSAVTGTGLQELLELIDKKLTERQTIVERSYGPFDRKWRPSSSVVGEKAAEQ >ORUFI03G34140.1 pep chromosome:OR_W1943:3:28186037:28191737:1 gene:ORUFI03G34140 transcript:ORUFI03G34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREDDRAGRGGGGGRTEQERRPSKAWGILIFGLIGATTATFAVAQVRRSVDWVYSQFNKMQTTSWRNASNSSNRGSFSEDARRRYYQRMQQEYEEEQERVQRIRHMQSVFNRERNKFRRSYEAWRENGPPGGYNYVPRDDWYWQTDTSHSEHKNRRTYTPAGPRVYSMSHHYTVLGLNRSRTTPYTDAEVKNAFRTKAMEVHPDQNQDNREAAEERFKEVVKSYEAIKLERKNDAS >ORUFI03G34150.1 pep chromosome:OR_W1943:3:28192095:28193900:1 gene:ORUFI03G34150 transcript:ORUFI03G34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLSAPLRRRLCTAAVAAAAPDPALASSAELAYRLLRRHHSDPKRLTAALSGSGLDPTSPRLLDAVLRRCGAASALALHFFHWCSPSLPPPGPLPSSLALLAKSFSRASSAPSPSLLAPLPAQLLSPSLLSPVLRRLPPPRLLPFALSLLSARPNHDHPSLFLSLLESLAKTGHVAVAEQLVEELQPRLPLSLRHYTALLYGWCRMGKLDEAKHVLARMKAAEVAPDVVVFNTLLAGFVADGRFEDAFELAREMERRGCPPNAVSYTTLMQGLGARGRVDEAMRVFVEMRRKGCAPDSVTYGTLVTAFCKAGRISQGYEFLDVMAREGLRVDAGVYLGFFVAHEKKEQLEECLELMERMRECRCPPDLSIYNVVIRLACKLGETKQAVALWNEMETNELSPGVDTFAIMVTGLVGQGVLVEACGYFKDMVGRGLFVAPQYGVLKDLLNSLVRDQKLELAKDVWGCIMTKGCELNFGAWTIWIHALYAKKHVKEACMYCLDMLDAGLMPQPDTFAKLMKGLKKLYNRQIAAEITEKVRKMAEERHVSFKMYKRRGVRDLEEKPKAKRKKGQKRSRLRQAGQNQSNRHADKTNLFDDFDDE >ORUFI03G34160.1 pep chromosome:OR_W1943:3:28196320:28197738:1 gene:ORUFI03G34160 transcript:ORUFI03G34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPPPVLTLQVKKGRRGGETRQWRAGAVLRVGRVATGNDIAVRDAGASQRHLSIEFLPPPASRWAVSDVGSSNGTLLNGSPLVPTVPSPLSDGDVIKIGESSMLVVSIAPDSDPNPGPRRSSRQSAAVVGEQEKPPAVTRRGGRKNAAAAAVAVEPPIAEKEEPEPEEAPVVTRRGARKKAAQPPKAEEHEEGEEEVVAAVVTRRCGRKKAAEPPKPDEEEEQEKGKDEEQEEKEEEEVPVVTRRGRSRKAAPEAAVAPPPPRARSTRAAARRGKAVDTSLDERESEMAGKGRGRATRSNARKCRMAVPEDDDDDGEQQEGATAVAEEQIKDQPRAMAVTDGEEEDDKVEAMDGEVEQNDKASEEEEVPVARRGRARRAPKGKATASSNAHAASDNAVEEEDGGRGEGAAVEVEGESSGSSSLETMTLREWFQRMNVYLPRMINEAAEEALSALRERHRRIDEYISTLED >ORUFI03G34170.1 pep chromosome:OR_W1943:3:28200267:28202124:-1 gene:ORUFI03G34170 transcript:ORUFI03G34170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVDFASGDAAEWRAALAAYDRRLAALGKPDLVEVDSFYRRDLPDLLRRRDPEPFLAKPELVRLLQWKLSRGKWRPRLMDFVKGLEDAVVESASCKAFAALPDLRKAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMVAALGNAKEYTLKQYLAFAEKLQTKSKELSSGEEVFTTSDVERALWSSAVASKSLKAPPGNDLENKSKTHGKRKR >ORUFI03G34180.1 pep chromosome:OR_W1943:3:28204169:28217949:-1 gene:ORUFI03G34180 transcript:ORUFI03G34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCEGKSIGSWKQQCKLGFLSIVALRVPSSGLCTQELLARFPLHFSLSSISDDEQPITMEIIYFFYKVLMCCTFPLHFSLSSIGDDEQPTTMEINYFYKRLEKISMVVQIGDDEQPKTMEIKRVKIVVHVASITSMILAFRLMFIIYVPMSYVKPLSYRLMFVGEFVPTFLWFYSELIWRVCSMLLFTKIISYHD >ORUFI03G34180.2 pep chromosome:OR_W1943:3:28204169:28217949:-1 gene:ORUFI03G34180 transcript:ORUFI03G34180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCEVPSSGLCTQELLARFPLHFSLSSISDDEQPITMEIIYFFYKVLMCCTFPLHFSLSSIGDDEQPTTMEINYFYKRLEKISMVVQIGDDEQPKTMEIKRVKIVVHVASITSMILAFRLMFIIYVPMSYVKPLSYRLMFVGEFVPTFLWFYSELIWRVCSMLLFTKIISYHD >ORUFI03G34180.3 pep chromosome:OR_W1943:3:28204169:28217949:-1 gene:ORUFI03G34180 transcript:ORUFI03G34180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCEGKSIGSWKQQCKLGFLSIVALRGTHVLHVRFPLHFSLSSIGDDEQPTTMEINYFYKRLEKISMVVQIGDDEQPKTMEIKRVKIVVHVASITSMILAFRLMFIIYVPMSYVKPLSYRLMFVGEFVPTFLWFYSELIWRVCSMLLFTKIISYHD >ORUFI03G34190.1 pep chromosome:OR_W1943:3:28210990:28211211:1 gene:ORUFI03G34190 transcript:ORUFI03G34190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGDGAGLRRTVAGLLVSRRRHWMRDGSGDDPYKFKAATKWRRGVLKARRLSIGFTNPTTNPSANPTHNVGR >ORUFI03G34200.1 pep chromosome:OR_W1943:3:28221453:28229616:1 gene:ORUFI03G34200 transcript:ORUFI03G34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSSMAKRKQRKAATEQEVENHDEATVAAEAGPENDGHTAHAAEEAAAAEEGVEREGGGEGGAEGEEGPDAAARGGEEGKEEEEREVSFDELGLDEQLKRALRKKGLDKATPIQREAIPLILEGKDVVAKAKTGSGKTFAYLLPMLHELLKLSAEGRIRKSAPNVFILVPTRELCQQVHNEASSLLEFCTSKLKVVQVNASMSDKDIKVALSGPPNILVTTPACVASCISKGIIRGSSIKESLSMMILDEADLLLSYRCEDDIKALVPHIPRSCQSILMSATSSADIEKLTKLLLHNPFILTLTEVGHAKDDLIPKNISCDAKDKMLYILVLLKLELIQKKVLIFVNSIDSAFKLRLFLEKFGIRSSVLNAELPQNSRLHIIQAFNARLFDYLIATDDNKSKEERQANKGNKKDSRVSRKQLQQTLDAEFGVVRGIDFKNVFTVVNYDMPPDPAGYVHRVGRTGRANKTGASISLVSPKENGIFEDIENMLKDVENRDTSCISPFPLLTKNAVESLRYRAQDVARSVTTRDIKEARRQDIKNEILNSEKLKAHFDENPRDLDLLKHDKLLSNKEIPAHLRDVPEYLIDPTTKEASNVVKLSRAAMDIDKPRRRKRMGFKGGSGRSSDPLKTFSAEGKSRRRGRKERDGEQDRRKRKKVES >ORUFI03G34210.1 pep chromosome:OR_W1943:3:28230493:28233474:-1 gene:ORUFI03G34210 transcript:ORUFI03G34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRGQLEMYSGQQSDQCPGPNSGKEFLEVNWDSVALHQKMGYNSGAFGFQAYPMVLEDREGLYRSPNGTFCQNIQLSDDHSSGAKRRKGIDDHIALLNPSASSRIQNVGDQQTEVSSQQERISMEEDNQKSCSKMQSKEDSSDGDGTKEDYVHVRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELSFDIEQILSKQMMLSQDRHLAFYGVDPGSSALVAHFNQGIMQPEMLCNVSNPADVLQGTTIQDISTVNQIPAMWEGLQNIPHLNYNPGGAMAEGSTNNSGSMKIEK >ORUFI03G34220.1 pep chromosome:OR_W1943:3:28240931:28243029:-1 gene:ORUFI03G34220 transcript:ORUFI03G34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLVTSLLFSSSSSSNTATSTSSRRSFSLFSKNQYCKPRPLRRSSSRLLVRCSLQQQQEEKAAPAAESHHAGGGQDDAATASHHAVEGENGVADADGGGVKKSKEELEEEEQQEVDWRSDEEFKRFMGNPSIEAAIKLEKKRADRKLRELDREPDANPLAGLLRGLARGQLAREKERLELAENTFKALDLNKLKSCFGYDTFFAVDVRRFGDGGIFIGNLRKPVEEVRPKLEKKIAEAAGTDVTLWFMEEKNDDITKQVCMVQPKAEIDLQLEITKLSTPWGYLSAVALAVTTFGTIAIMSGFFLKPGATFDDYVSDVLPLFAGFLSILGVSEIATRLTAARYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARAASAYLTSVALAVSAFVSDGSLNGGKNALFVRPEFFYNNPLLSFVQAVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGIVVTSLNLLPCGRLEGGRIAQALFGRGAAAVLSFATSVALGAGAIIGGSVLCLAWGLFATFVRGGEEIPAQDEITPLGSERYAWGLVLAVVCLLTLFPNGGGTYSSDFLGAPFFRGGI >ORUFI03G34230.1 pep chromosome:OR_W1943:3:28245503:28247206:-1 gene:ORUFI03G34230 transcript:ORUFI03G34230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSQAANGSDPVLVGVTDEGVPLEGVIQFDKPGDAAAESKLVSYAKLGLLASGDVLCLLVFSAIGRFSHGLPVLDAETFKTADPFIAGWLLSAYLLGGFGDDAKGRNGVGNAVVVAAKSWAVGIPLGLAIRALSSGHIPPTPFILVAMGSTWVLLTGWRALVSLLFSTGQSQQDDVYRRGSPFELFEVKKVLQIIGIFFYTCN >ORUFI03G34230.2 pep chromosome:OR_W1943:3:28245503:28247206:-1 gene:ORUFI03G34230 transcript:ORUFI03G34230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSQAANGSVSARRLPSKQPGRHGGSGSSSVPNPYPLFATTRLLPHRRRRRLALSGADARRGALAAAGEGPSGSPATTTATEDPVLVGVTDEGVPLEGVIQFDKPGDAAAESKLVSYAKLGLLASGDVLCLLVFSAIGRFSHGLPVLDAETFKTADPFIAGWLLSAYLLGGFGDDAKGRNGVGNAVVVAAKSWAVGIPLGLAIRALSSGHIPPTPFILVAMGSTWVLLTGWRALVSLLFSTGQSQQDDVYRRGSPFELFEVKKVLQIIGIFFYTCN >ORUFI03G34230.3 pep chromosome:OR_W1943:3:28245503:28247206:-1 gene:ORUFI03G34230 transcript:ORUFI03G34230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSQAANGSVSARRLPSKQPGRHGGSGSSSVPNPYPLFATTRLLPHRRRRRLALSGADARRGALAAAGEGPSGSPATTTATEDPVLVGVTDEGVPLEGVIQFDKPGDAAAESKLVSYAKLGLLASGDVLCLLVFSAIGRFSHGLPVLDAETFKTADPFIAGQENVTAFSPCLSFFGGRIAYRMGCCAGWLLSAYLLGGFGDDAKGRNGVGNAVVVAAKSWAVGIPLGLAIRALSSGHIPPTPFILVAMGSTWVLLTGWRALVSLLFSTGQSQQDDVYRRGSPFELFEVKKVLQIIGIFFYTCN >ORUFI03G34240.1 pep chromosome:OR_W1943:3:28250274:28256571:-1 gene:ORUFI03G34240 transcript:ORUFI03G34240.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVASLSRAALAGAPAATRATASRVNRAVVPAASRPRGGRLCCRRSLTAVSAAAGASPPVSPSPSPDGGSPGVWDALGGVSVLAAGTGEAVQLRDLWDPTEGVAVVALLRHFGCFCCWELASVLKESMAKFDAAGAKLIAIGVGTPDKARILADGLPFPVDSLYADPERKAYDVLGLYHGLGRTLISPAKMYSGLNSIKKVTKNYTLKGTPADLTEGKSCCTHGKTKARVIMLLWMMSSTLAAIELLEGFATSHAAMAAAAASTSLPVPRVSLPPSARPAAAPRHGLLIPGRRGCFRLRGSPAAPAAAASGSPSVPSSSPEAGSGIGDALGGVAIYSAATGEPVLFRDLWDQNEGMAVVALLRHFGCPCCWELASVLRDTKERFDSAGVKLIAVGVGTPDKARILAERLPFPLDYLYADPERKAYDLLGLYFGIGRTFFNPASASVFSRFDSLKEAVKNYTIEATPDDRASVLQQGGMFVFRGKELIYARKDEGTGDHAPLDDVLNICYYELVNYIS >ORUFI03G34240.2 pep chromosome:OR_W1943:3:28250274:28256571:-1 gene:ORUFI03G34240 transcript:ORUFI03G34240.2 gene_biotype:protein_coding transcript_biotype:protein_coding METVASLSRAALAGAPAATRATASRVNRAVVPAASRPRGGRLCCRRSLTAVSAAAGASPPVSPSPSPDGGSPGVWDALGGVSVLAAGTGEAVQLRDLWDPTEESMAKFDAAGAKLIAIGVGTPDKARILADGLPFPVDSLYADPERKAYDVLGLYHGLGRTLISPAKMYSGLNSIKKVTKNYTLKGTPADLTEGKSCCTHGKTKARVIMLLWMMSSTLAAIELLEGFATSHAAMAAAAASTSLPVPRVSLPPSARPAAAPRHGLLIPGRRGCFRLRGSPAAPAAAASGSPSVPSSSPEAGSGIGDALGGVAIYSAATGEPVLFRDLWDQNEGMAVVALLRHFGCPCCWELASVLRDTKERFDSAGVKLIAVGVGTPDKARILAERLPFPLDYLYADPERKAYDLLGLYFGIGRTFFNPASASVFSRFDSLKEAVKNYTIEATPDDRASVLQQGGMFVFRGKELIYARKDEGTGDHAPLDDVLNICYYELVNYIS >ORUFI03G34250.1 pep chromosome:OR_W1943:3:28278748:28282651:1 gene:ORUFI03G34250 transcript:ORUFI03G34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGGVGVVMAAVDGGGGGELGGGGLLGSRLMKHGRGNAGDQEHGWRPPAKQARGGDASSAAAAVAAAAAVSEAVKVAAPFLLGASCSPGHGGEQMLSFSSSASSCSSGGGGAAVAAAAAAGGAMPLYYGTPASCSGLSSVSLSSSMQGAMARVRGPFTPSQWIELEHQALIYKYLAANSPVPHSLLIPIRRSLTSPYSPAYFGSSTLGWGSFQLGYSGSADPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVEGQPGHAAKAMPAAVAAAAASATQPSAPAAHSGGAVAGLAINHQHQQMKNYAANTANPCSLQYSRDLANKHNESEQVQDSDSLSMLTSISTRNTGSLFPFSKQHNPFEVSNSRPDFGLVSPDSLMSSPHSSLENVNLLTSQSLNEQQSSVSLQHFVDWPRTPAQGALAWPDAEDMQAQRSQLSISAPMASSDLSSASTSPIHEKLMLSPLKLSREYSPIGLGFAANRDEVNQGEANWMPMFRDSLMGGPLGEVLTKNNNMEARNCLSESLNLLNDGWDSSSGFDSSPVGVLQKTTFGSVSSSTGSSPRLENHSVYDGNSNLRDDLGSVVVNHPSIRLV >ORUFI03G34260.1 pep chromosome:OR_W1943:3:28284367:28288756:1 gene:ORUFI03G34260 transcript:ORUFI03G34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSGVATSRRTRRAAEQEVQPPPLFAANPIFLCEDDGSAGRLIKPGGGVRGYGPGSLHALVRGRGRDVAADDDDDDGGNKMLPLPLPPCRAHREGRGGTRVPTAWHVGPTIVVGPHGAVVRIRAPPPPQQHDPFLAAYVACTKGRAGAGNKKKKKKAKAAARGGCGMWNGWASGARYARVMSCRHGGAVTVLQGATPLPAVAGYAESPAHPTLDLSRLPAVLPGRRRGEEVRIQGDEFWHMTRVLRLGINDRVELFDGAGGLVEGSIQKVDKGGSDVKLLEDARLIAPDGIQWHVYAAFGTLKGGRADWLVEKCTELGACSVTPLLTERCHTVAENRVDRLQRLVLAAVKQCQRIHGMLLNPPIQIADLQPVVSQSKLAFIASAESPPLLSTLPKSCNEESGLLIVGPEGDFTEEEVNVLKASGAVPVGLGPCRLRVETATISLLSALMLWSDAHRQETLKCR >ORUFI03G34270.1 pep chromosome:OR_W1943:3:28292520:28295416:1 gene:ORUFI03G34270 transcript:ORUFI03G34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVSGPYFEPDFDPLLYRFGTPGVVVDNETREDCTLVKVDSVNRDGVLLEMVQLLTDLDLVISKSYISSDGGWLMDGKNTTSFGSLFHVTDQMGRKLTDPSLPEFIQRALVPCQRPGGNGPSPRFTTCLGNVVGPGGPDVSDCAALEFTVHDRPGLLSSITQVLADNGCHVASGQAWTHSGRAAGVLYVTTAGGGAAAEAAAPAPSRWEHIEGLVDAVMGAREKLTGERHWVSMSAPVEGRVHTERRLHQLMHDDRDYESGPAATPVDEEHFSMGDKAATTARLARRVETRVSIESWEERGYAVVKMTSRDRPKLLFDTVCALTDMHYVVFHATVGSQGPLAIQEYYIRHKDGRTVDSNAERQKVSRCLVAAVERRASHGAKVEVRAADRSGLLSDFTRMLREHGLSLLRVELKRRKDEAVGTFYLVTDAGGEVRAEALHAVRARVGKVGISFEVAKDAPGWPPVRKTSVPAPPAEAAPAVATPAAPPAAEGQERPRSSLGSLLWSHLGKLSNNFGYIKS >ORUFI03G34270.2 pep chromosome:OR_W1943:3:28292520:28295416:1 gene:ORUFI03G34270 transcript:ORUFI03G34270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVSGPYFEPDFDPLLYRFGTPGVVVDNETREDCTLVKVDSVNRDGVLLEMVQLLTDLDLMGRKLTDPSLPEFIQRALVPCQRPGGNGPSPRFTTCLGNVVGPGGPDVSDCAALEFTVHDRPGLLSSITQVLADNGCHVASGQAWTHSGRAAGVLYVTTAGGGAAAEAAAPAPSRWEHIEGLVDAVMGAREKLTGERHWVSMSAPVEGRVHTERRLHQLMHDDRDYESGPAATPVDEEHFSMGDKAATTARLARRVETRVSIESWEERGYAVVKMTSRDRPKLLFDTVCALTDMHYVVFHATVGSQGPLAIQEYYIRHKDGRTVDSNAERQKVSRCLVAAVERRASHGAKVEVRAADRSGLLSDFTRMLREHGLSLLRVELKRRKDEAVGTFYLVTDAGGEVRAEALHAVRARVGKVGISFEVAKDAPGWPPVRKTSVPAPPAEAAPAVATPAAPPAAEGQERPRSSLGSLLWSHLGKLSNNFGYIKS >ORUFI03G34270.3 pep chromosome:OR_W1943:3:28292912:28295416:1 gene:ORUFI03G34270 transcript:ORUFI03G34270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVSGPYFEPDFDPLLYRFGTPGVVVDNETREDCTLVKVDSVNRDGVLLEMVQLLTDLDLVISKSYISSDGGWLMDGKNTTSFGSLFHVTDQMGRKLTDPSLPEFIQRALVPCQRPGGNGPSPRFTTCLGNVVGPGGPDVSDCAALEFTVHDRPGLLSSITQVLADNGCHVASGQAWTHSGRAAGVLYVTTAGGGAAAEAAAPAPSRWEHIEGLVDAVMGAREKLTGERHWVSMSAPVEGRVHTERRLHQLMHDDRDYESGPAATPVDEEHFSMGDKAATTARLARRVETRVSIESWEERGYAVVKMTSRDRPKLLFDTVCALTDMHYVVFHATVGSQGPLAIQEYYIRHKDGRTVDSNAERQKVSRCLVAAVERRASHGAKVEVRAADRSGLLSDFTRMLREHGLSLLRVELKRRKDEAVGTFYLVTDAGGEVRAEALHAVRARVGKVGISFEVAKDAPGWPPVRKTSVPAPPAEAAPAVATPAAPPAAEGQERPRSSLGSLLWSHLGKLSNNFGYIKS >ORUFI03G34280.1 pep chromosome:OR_W1943:3:28295617:28300034:-1 gene:ORUFI03G34280 transcript:ORUFI03G34280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSLATSLNIPEDDPGADDDPDSYAAAAAPSPSARNPHPPQSVHPHPPHSAAAEGVKEDLTELSKTLTRQFWGVANFLAPPPGETSPSPSPPSAEARYGGGTAQTPPEISGIRSDFAEIGGRFKSGISRISNHKAMSGFSRIASNFFADEDEEEEELVDAVRGYGVEEQREELRFRAEEVATDRVRHEADDYEVRHGWEESVRHRVDDDEARHEVDDDEGRHDEWEERLKHEADGGEVMHKELDDHELELETVRHEEEEEEVEEEWDVIGITEEVLAFATNIARHPETWLDFPLLPDDDDSDGPFSYFDMSNAQQEHALAIEQLAPRLAALRIELCPIHMSEECFWKIYFVLLHPRLNKHDAELLSTPQIVEARAMLMQCLQHQSKFETEQLFHRKDDFGMHSEEDTSKDIPEVFPSMLQQTASVIPITDFETEKHPIQVTEVAVVDKSVIKEQLTKDGSKTPNVLQESFDDDIDDWFDEEAELSGHTTIPIGDEEDVSFSDLEDDDGK >ORUFI03G34290.1 pep chromosome:OR_W1943:3:28302058:28303538:-1 gene:ORUFI03G34290 transcript:ORUFI03G34290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGRPSSSSVARAVVLLAAAMLLSTLSPCCCASAGGGGGARRREKQQQLHPVILIPGAGGNQLEARLTEEYAPSSLACRVWPVVRGRGGWFRLWFDPSVVVAPLTRCFADRMTLFYDSVADDYRNAPGVETRVSDFGSTSTLRYLDPNLKLLTGYMNVLASTLEKAGYEEGFDLFGAPYDFRYGLAGPGHPSRVGSAYLERLRKLVESACAANGGRPAILVAHSLGGLYALQMVARSPPAWRAANVKRLVTLSAPWGGSVQEMLTFASGNTLGVPFVDASLIRDEQRTAESNLWLLPAPRVFGNTTLVVSRHHNRSYSAKNMTQFLRDIGFAEGVEPYRERIRPLVEVLPEPGVPVTCLVGTGVDTVESLVYGEGGFEAGPEKVVYGDGDGTVNLDSLVGPIKAWSDSPEQVVEVVELPEVSHSGILKDKSALDQILRIIDAINLNATTSSSSINRSSQDVLYN >ORUFI03G34300.1 pep chromosome:OR_W1943:3:28308314:28308772:1 gene:ORUFI03G34300 transcript:ORUFI03G34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGTRGMRALAILGRCVRAPFRVLVRARDLYVSRMAACAGGGGGGAPVGLVAVPRCQSHGFYRSAAGGSTDDDIRELIRLASRAGGPPRPPGVGPRSQSVAIGRIDEDEPCEFGLDAEARALAMAPKSKSCTVGPTARTAHRVGPVA >ORUFI03G34310.1 pep chromosome:OR_W1943:3:28315098:28343209:1 gene:ORUFI03G34310 transcript:ORUFI03G34310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELLTMAAHRVGRCMLLQPPRLATCVLFLLLPLLLPCSASSSVITHLPGFHGRLPFHLETGYVGVDEETGTELFYYFVESERSPSTGPVILWLTGGPGCSGFSGVVFEVGPMKYVLEPYNGSLPRLVYNQYSWTQMASILFLDTPVGSGFSYAHDPKGYNVGDISSSLQVVTFLKKWFNDHPRYLSNHFYVGGSSYAGKVIPIIMKFISEGIEQRQQPLVNLKAWHSVSFKQLLQGYIVGNPITGSKIDDNFKIPYSHGVGIISDQLYEAAVANCNGDYVTTTNELCAKALNAIDNLMSEVDYGNILDDKCVRATPKPINEVSRSRSLQEDYIRLSEPTGTVGEWIRCKTGLPYVQDVASSIKYHFDLTTGGYRALVFRFTILYANNLTFATVKSNKRKPAATLLLDLLTMGSHRHRSAGQCSLVQPPRLITCLLLLLLLLSPPALPCSASSSSSSSEKTGTELFYYFVESETNPDTDPLVLWLVGGPRCSAFSGLAYEWLNDHPRYRSHNFYVGGASYAGKVVPVIVQYISEGCGNALQRRFCEPNKPILMSEVSDGNILEDKCVKAAPKPTIDVSASRALLEEYSRLSKPPIRPSMDCASYGYYLSYCWMNDNTTRDALKIKKGTIGEWLRCNRGVFPYAKDIPNALDYHFNLTTRGYRALVMSGDHDLKVPFLSTQAWIRSFNFFIVDDWRAWHVDGQAAGFTITYANNLTFATVKGGSHVATVNRPKESFAMGKRLLQLQPLRTCILFLLLLLLLLPCSASSSVITHLPGFHGRLRFYLETGYVSVDEETGTELFYYFVESERSPSTDPVILWLTGGPLCSGFTALVFEVGPMNFVLAPYNGSLPRLVNNQYSWTKIASIIFLDTPVGSGFSYARDPKGYNVGDISSSLQVVTFLKKWFNDHPSYLSNHFYVGGSSYAGKGYMVGSPLTDPKYDRNSIIPYAHGVGIISDQLYEAAVANCKGDYVNPTNEICANVLNAVDNLMSELDNGDILLDKCAGRLIPKPINGVSSRALLEEYSRLSEPTARPTINCFSYRFYLLNIWMNDKATRDALKIKKGTVGVWTRCNTEVFPYARDVPSTIQYHLNLTTRGYRALLESYSTSYNYYQLSWCSGDHDLMVPFLGTQAWIRSLNFTIIDDWRAWHLDGQAAGFTVMYDNNLTFATLKGSGHAPISYKPKQGFAMGQRWLDRKPL >ORUFI03G34310.2 pep chromosome:OR_W1943:3:28315098:28343209:1 gene:ORUFI03G34310 transcript:ORUFI03G34310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELLTMAAHRVGRCMLLQPPRLATCVLFLLLPLLLPCSASSSVITHLPGFHGRLPFHLETGYVGVDEETGTELFYYFVESERSPSTGPVILWLTGGPGCSGFSGVVFEVGPMKYVLEPYNGSLPRLVYNQYSWTQMASILFLDTPVGSGFSYAHDPKGYNVGDISSSLQVVTFLKKWFNDHPRYLSNHFYVGGSSYAGKVIPIIMKFISEGIEQRQQPLLQGYIVGNPITGSKIDDNFKIPYSHGVGIISDQLYEAAVANCNGDYVTTTNELCAKALNAIDNLMSEVDYGNILDDKCVRATPKPINEVSRSRSLQEDYIRLSEPTGTVGEWIRCKTGLPYVQDVASSIKYHFDLTTGGYRALVFRFTILYANNLTFATVKSNKRKPAATLLLDLLTMGSHRHRSAGQCSLVQPPRLITCLLLLLLLLSPPALPCSASSSSSSSEKTGTELFYYFVESETNPDTDPLVLWLVGGPRCSAFSGLAYEWLNDHPRYRSHNFYVGGASYAGKVVPVIVQYISEGCGNALQRRFCEPNKPILMSEVSDGNILEDKCVKAAPKPTIDVSASRALLEEYSRLSKPPIRPSMDCASYGYYLSYCWMNDNTTRDALKIKKGTIGEWLRCNRGVFPYAKDIPNALDYHFNLTTRGYRALVMSGDHDLKVPFLSTQAWIRSFNFFIVDDWRAWHVDGQAAGFTITYANNLTFATVKGGSHVATVNRPKESFAMGKRLLQLQPLRTCILFLLLLLLLLPCSASSSVITHLPGFHGRLRFYLETGYVSVDEETGTELFYYFVESERSPSTDPVILWLTGGPLCSGFTALVFEVGPMNFVLAPYNGSLPRLVNNQYSWTKIASIIFLDTPVGSGFSYARDPKGYNVGDISSSLQVVTFLKKWFNDHPSYLSNHFYVGGSSYAGKGYMVGSPLTDPKYDRNSIIPYAHGVGIISDQLYEAAVANCKGDYVNPTNEICANVLNAVDNLMSELDNGDILLDKCAGRLIPKPINGVSSRALLEEYSRLSEPTARPTINCFSYRFYLLNIWMNDKATRDALKIKKGTVGVWTRCNTEVFPYARDVPSTIQYHLNLTTRGYRALLESYSTSYNYYQLSWCSGDHDLMVPFLGTQAWIRSLNFTIIDDWRAWHLDGQAAGFTVMYDNNLTFATLKGSGHAPISYKPKQGFAMGQRWLDRKPL >ORUFI03G34320.1 pep chromosome:OR_W1943:3:28345924:28366883:-1 gene:ORUFI03G34320 transcript:ORUFI03G34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAYAKSVAEVLAAFGVDPTKGLSDEQVASGSKLLVKSCCWWSSMLGFTAKTSCPKKKNITNTPSVYMSVLILTDQARGTPFWKLVLKQFDDLLVKILIAAAVISFLLARMNGETGLAAFLEPSVIFLILAANAAVGVITETNAEKALEELRAYQADVATVLRNGCFSILPATELVPGDIVEVGVGCKVPADMRTIEMLSHQLRVDQAILTGESCSVAKELESTSTMNAVYQDKTNILFSNITNRDNLHLNEYGHFLLSIRFIAVILYLLSAFFASKGTVVVAGRARAVVIGVGSNTAMGSIRDAMLRTEDEATPLKKKLDEFGTFLAKKHRFLKLHRTLFSFQVAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVRSVHQRPITDEYSISGTTFAPDGFIYDAGGLQLEFPPQSSCLLHIAMCSALCNESTLQYNPDKKCYEKIGESTEVALRVLVEKVGLPGFDSMPSALNMLTKHERASYCNRYWENQFRKISVLEFSRDRKMMSVLCSRKQQEIMFSKGAPESVMARCTHILCNDDGSSVPLTMDIRNELEARFQSFAGKDTLRCLALALKRMPEGQQSLSYDDEANLTFIGLVGMLDPPREEVRNAIHSCMSAGIRVIVVTGDNKSTAESLCRQIGAFEHLEDFTGYSYTASEFEGLPPLEKANALQRMVLFSSFTGCCRVEPSHKRMLVEALQLHNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVSEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPDTLVPVQLLWVNLVTDGLPATAIGFNKPDSNIMTVKPRKVNEAVVNGWLFFRYLIIGAYVGLATIAGFVWWFVYSEDGPRLPYSELARKPLLHALLLARKWDKMMWSNNHTSSYQQKPISLIKEIPSIGPQVNFDSCSTRQTSYPCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLLAIHPWSNLWLVGSIVLTMLLHISVLYIEPLSALFSVILIDEVLKFFSRSSRGRRFPLRLRRREILPKESRDN >ORUFI03G34330.1 pep chromosome:OR_W1943:3:28383058:28385885:1 gene:ORUFI03G34330 transcript:ORUFI03G34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRVASMDDVKDARPAALPPLQPQGRVFPTGMLKVFLGFLLLGVCLSAAGMYMARHTLAAAAPALFRPCLGAWGAAGAPPPPPPPPEGLERWTRGPDRVEHAMADGELLWRASFVPRVPGYPYRRVPKVAFMFLTRGPLPLAPLWERFFRGHDGLYSVYVHALPSYRANFTTDSVFYRRQIPSKVAEWGEMTMCDAERRLLANALLDISNEWFVLVSESCIPIFNFNTTYRYLQNSSQSFVMAFDDPGPYGRGRGGAHPATFGRGDITEEFLRRVQEGRTCLYNGQNSTMCFLFARKFAPSALEPLLELAPTVLGFG >ORUFI03G34340.1 pep chromosome:OR_W1943:3:28386808:28388519:1 gene:ORUFI03G34340 transcript:ORUFI03G34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQGKLVDTIRRPFTAASTFHRSATRHLQPLAMLAQRNGISRRGLLTFLTSTAAIPEAGESRKALLQEYLKKSKENKEKNDKERLDDYYKRNYRDYFGLIEGPARQKNEDELTESEKGILEWLDKNK >ORUFI03G34350.1 pep chromosome:OR_W1943:3:28388366:28389060:-1 gene:ORUFI03G34350 transcript:ORUFI03G34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKEEEYQMMCMALQNENRHYERFKIQSIVAIDEKFYFDISASELGVIKLNPNPTFTTIQVKTLKVSRNCWELAFPHLVVESRGRLYLVVYDRHCIRDMCLFKMDFSRLEWCSVDRLYDQIFFVGKLHFTASYCARQLGLKQGLPVCFSI >ORUFI03G34360.1 pep chromosome:OR_W1943:3:28392588:28402227:1 gene:ORUFI03G34360 transcript:ORUFI03G34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRASPGGGVCGRVLRLASVQIILILFLTQGACSSSRDGKTSPPQGLDAGEKDIYLSHSCIHDEILHQRRRAGRKEYSVMPQVYHERRENMERLRGRHLLGVSSWHASQKNVKKPIRIYLNYDAVGHSPDRDCKTVGDIVKLGEPPVPSIPGTPVCDPHGDPPLVGDCWYNCTVEDIAGEDKKQRLRKALGQTVEWFRKALAVEPVKGNLRLSGYSACGQDGGVQLPHAYIEDGVANADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRSQVTSQILDEKLGRMVTRVVLPRVVMHSRHHYGAFSQNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMAEHLDWGRNQGTEFVISPCNLWKGAYRCNTTQLSGCTYNREAEGYCPIVSYSGDLPKWAQYFPQANKDGSCTDVNSARAPDRMLGEVRGSNSRCMASTLVRTGFVRGSMTQGNGCYQHRCTNNSLEVAVDGIWKSCPQTGGPVQFPGFNGELICPAYHELCNTVPVPVSGQCPKSCSFNGDCIDGTCHCFPGFHGHDCSRRSCPAKCTGHGICKANGICECESGWTGIDCSTAVCDEQCSLHGGVCDNGKCEFRCSDYAGYTCQKGSAILPSLSMCHDVLVRDADGQHCAPSELSILQQLEAVVLVPNYNRLMPSGRTFLNFFNNANCAAAAKRLACWISIQRCDEDGDNRLRVCYSACELYNTACGAGLDCSDQTLFSKREEEEKGVPCTGYGEKKSFWLMTITSPGVSSL >ORUFI03G34370.1 pep chromosome:OR_W1943:3:28402442:28402868:1 gene:ORUFI03G34370 transcript:ORUFI03G34370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAREVEAEKDEAGDLSLSGHSRRGAPTTGRGGKGNGEGRRVRRGPMVLAGTGRWCLQAAGMASAESAKAAAVIPVRRPRGSRRRSPPDLRLLAGSRGWPAVVVGDGG >ORUFI03G34380.1 pep chromosome:OR_W1943:3:28403716:28405543:1 gene:ORUFI03G34380 transcript:ORUFI03G34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAGLRQHCALGRAIAVVAICLLVIVGTYLLSSPAAGDGDTEEEFFSVRRRRRSSVDAGDDDLEAAVRGAAYANGTLIVSVLNRAYADEDGGLLDLFLRSMREGEGTEQLIAHVLLVAMDRPAFLRCRRLGGVRCYQLPAAQDGADDLSSEQLYMSDGFIRMMWRRIRLLGDVLKLGYSFIFTDLDVMWLRNPLPRLEYRAEEEDLLISSDQFNGRPGDIAGNELNTGFFFVASNNRTAALFDEWHAARDRSAGMKEQDVLNDMKRRGALRRLGVRARVLDTARFSGFCQDSRDAREVATVHANCCRTMRAKVADLAAVLAAARRRLDGDGASPVLRWPPHSQCVKSWE >ORUFI03G34390.1 pep chromosome:OR_W1943:3:28405790:28408543:-1 gene:ORUFI03G34390 transcript:ORUFI03G34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTQLRSALLSPAASPSRRARRAPSSVRCDSSAASSPSASASLDADFDKKQFRHNLTRSDNYNRKGFGHKKETLELMSQEYTSMISSPFPFFFFSLLDWGLTGGGVFLVSGDVIKTLKENGNQHTWGPVTVKLAEAYGFCWGVERAVQIAYEARKQFPDDRIWLTNEIIHNPTVNKRLEDMGVQNIPVDAGIKDFDVVEQGDVVVLPAFGAAVEEMYTLNEKKVQIVDTTCPWVSKVWNMVEKHKKGDYTSIIHGKYSHEETVATASFAGTYIIVKNIAEASYVCDYILGGQLDGSSSTKEEFLEKFKNAVSPGFDPDVDLVKVGIANQTTMLKGETEEIGKLVEKTMMRRFGVENVNDHFIAFNTICDATQERQDAMYQLVKEKVDLILVVGGWNSSNTSHLQEIGELSGIPSYWIDSEQRIGPGNKISYKLNHGELVEKENWLPEGPITIGVTSGASTPDKVVEDALQKVFEIKRQEVLQAA >ORUFI03G34400.1 pep chromosome:OR_W1943:3:28411766:28416776:-1 gene:ORUFI03G34400 transcript:ORUFI03G34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFARPGHHLPLVLPPLPQPPATTTSLSLNHLAQRRRGTPRRHVVVVSSAGGGGSSSPPAPDDDPGNFDKHEYRRRMIRQGNYNRKSFGHEILGAINLEYTSELISEMERNGGEYVTMDGLVRLILAEAHGFCLGVDNAVRLAYDARVKFPDRRLWLTNQIIHNPTVSERLDEMGIKIIPVVSGVKDLSVVEDGDVVIFPAFGFTVDEMVTLNRKNVHIVDTTCPLVLKVVHMIERHIKGNYATIIHGKYAHEETVATASFADKYIIVKDITEAKYVCDYILEGQLDGSSSTKEKFLKKFRDAVSPGFDPDIDLERVGVVNQTTMLKGETKEIGILIEQTMMNKYGLDQNNKQEHFVRVGTICNATQERQDAMYKLVEKEVDLILVVGGWNSSNTSHLQEIGELSGIPSYWVDGEQRIGPGNKISYKQKNDELVEKDKWLPHGAITIGVTSGASTPDKVVEDVLQKVFEIKRQELGEATEQ >ORUFI03G34410.1 pep chromosome:OR_W1943:3:28445758:28447141:1 gene:ORUFI03G34410 transcript:ORUFI03G34410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVDGRTDGWMGWTDGWNPAQRPTSPAIAWLDHQPPPLGSSQHDEERCNIRRPSKDYSSTDHVS >ORUFI03G34420.1 pep chromosome:OR_W1943:3:28447815:28458414:1 gene:ORUFI03G34420 transcript:ORUFI03G34420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRSRRQQAFHPVAAEEEEEEGLVVVGRRSTADDGDSGAAGMGIAAPPCQPGQTTTFVISQPTSSKSSPAAIVVRPPATASSSSMSHSQGFHQPSSGAVFGFSSDGFDGRPGSGQDHQQHEQQQQQHVAQQSRRDKLRVQGFDPAAAAAGHGLLPIEGDEHGAEPGAMYDHAEAAAAGASNMLSEMFNFPSQPPTGPSATELLASQMNANYRFGFRQAAGLAGGEGGWFGGGGAAGRTGLVLGGASLGSLGETSSPKQQASGMAGLAADPAAAMHLFLMNPQQQQSRSSTSPPPSDAQSAIHQHHEAFQAFGGAGAAAFGGGAAAGVVEGQGLSLSLSPSLQQLEMAKQAEELRVRDGVLYFNRQQQQQQAAAAAASVQQQLPMALHGQVGVLGQQLHGGGYGGPAGVAGVLRNSKYTRAAQELLEEFCSVGRGQIKGGGGGGSAPNNPNSSKAAASSSGAAQSPSRYNHYCDQMQMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIAAQLRGTCEALGEKDAGTGSGLTKGETPRLRAIDQSLRQQRAFHHMGIMEQEAWRPQRGLPERSVNILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMIEEMYQQECKELEGSSGAGDDPSGADDTHSPTTTAAAHHQHRHGQLMVEHGGASSGGGAAMSSHKHEPGVVAGPSSSSAAAVADAAFVGIDPVELLGGDGAAADDLYGRFDPAGAVRVRYGPAGAAAGAAAAAAGDVSLTLGLQHAGAGNAGPDGSGRFSLRDYSGC >ORUFI03G34420.2 pep chromosome:OR_W1943:3:28450447:28458414:1 gene:ORUFI03G34420 transcript:ORUFI03G34420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYSYSPKRRWASSVVGAFVDRRYNHYCDQMQMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIAAQLRGTCEALGEKDAGTGSGLTKGETPRLRAIDQSLRQQRAFHHMGIMEQEAWRPQRGLPERSVNILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMIEEMYQQECKELEGSSGAGDDPSGADDTHSPTTTAAAHHQHRHGQLMVEHGGASSGGGAAMSSHKHEPGVVAGPSSSSAAAVADAAFVGIDPVELLGGDGAAADDLYGRFDPAGAVRVRYGPAGAAAGAAAAAAGDVSLTLGLQHAGAGNAGPDGSGRFSLRDYSGC >ORUFI03G34420.3 pep chromosome:OR_W1943:3:28447815:28449713:1 gene:ORUFI03G34420 transcript:ORUFI03G34420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRSRRQQAFHPVAAEEEEEEGLVVVGRRSTADDGDSGAAGMGIAAPPCQPGQTTTFVISQPTSSKSSPAAIVVRPPATASSSSMSHSQGFHQPSSGAVFGFSSDGFDGRPGSGQDHQQHEQQQQQHVAQQSRRDKLRVQGFDPAAAAAGHGLLPIEGDEHGAEPGAMYDHAEAAAAGASNMLSEMFNFPSQPPTGPSATELLASQMNANYRFGFRQAAGLAGGEGGWFGGGGAAGRTGLVLGGASLGSLGETSSPKQQASGMAGLAADPAAAMHLFLMNPQQQQSRSSTSPPPSDAQSAIHQHHEAFQAFGGAGAAAFGGGAAAGVVEGQGLSLSLSPSLQQLEMAKQAEELRVRDGVLYFNRQQQQQQAAAAAASVQQQLPMALHGQVGVLGQQLHGGGYGGPAGVAGVLRNSKYTRAAQELLEEFCSVGRGQIKGGGGGGSAPNNPNSSKAAASSSGAAQSPSSASKEPPQLSPADRFEHQRKKAKLISMLDEARIHLSSPPACMAFSIYSPPPTHRRHASADVDDDDVELPLQWRRRRCHWQPFLGCRHGASPKR >ORUFI03G34430.1 pep chromosome:OR_W1943:3:28466794:28468170:-1 gene:ORUFI03G34430 transcript:ORUFI03G34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFVLTVAAVGAAALLFTTDIRKSGAMFRRNARQIRAWLEEESASAASRSAKDAPPKKLNGDIPKEKPKEDGH >ORUFI03G34440.1 pep chromosome:OR_W1943:3:28470432:28472066:-1 gene:ORUFI03G34440 transcript:ORUFI03G34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLERTWCLEIAQPEESDHHIKLDISIYTKACMKDNYSTEKQCEKEEEEEQKQGLFGCVHVRISVLLMRDFSLIGVSPAVKRQQDENTHIQDAELLVTCTFEKYQYSDLEIA >ORUFI03G34450.1 pep chromosome:OR_W1943:3:28476377:28477039:-1 gene:ORUFI03G34450 transcript:ORUFI03G34450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAPVEDGGGGGGEERATGRSSCHVAWLAWWREQVRCLVASPPPPPPPPPPTVVRGTLFLPSTGGDRRVRLFLHEHDPSPSPDENHQAILVLDLPPGLSGADIAAAGRVVLECQRQWNNGGGALLESAKWLVYCNGRRVGFAARRGEASDAEGWVLEKLWAVTAGAGRLPGGAGVEYMRGRFERTVASSDAESFHLVDPIGWLGFNGNDGLSIFFHRI >ORUFI03G34460.1 pep chromosome:OR_W1943:3:28477925:28478113:-1 gene:ORUFI03G34460 transcript:ORUFI03G34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDGAALKPVLSGLSMKPVPSGERLREARATAERALAAAEAEGDDPAAVDVNLILAFIAA >ORUFI03G34470.1 pep chromosome:OR_W1943:3:28478176:28479068:1 gene:ORUFI03G34470 transcript:ORUFI03G34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPARERTAAVGKEKTGGGEGGDSYRCTMKKPPLAEEQRDLGVSDDGEVVVAASPVGSGDDESDAYVASAVSQWMPTAVPAAVVRDLLPRFLCSTWWAHLDDGEAGGSGSVPRPRDPDEELLPGVQRGTVHRRGRRLQLAIQCAAVPRVTSGGDGDADRAVAEARRLPRRLRLWFFFRRD >ORUFI03G34480.1 pep chromosome:OR_W1943:3:28480098:28480688:-1 gene:ORUFI03G34480 transcript:ORUFI03G34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSRWQRMAAACFVLAALAMWAAPAACGARAVPRGAGAGVAAQQANASSSSSAAADEFLAPHNQARAAVGVAPLRWSGDLAAAAARTTSQQQGGQGRRCGFADMSGSPYGANQGWASYPARPAEVVASWVAQGRYYAHANNSCAPGQQCGTYTQVVWRRTAEVGCAQATCTTGATLTICLYNPHGNVQGQSPY >ORUFI03G34490.1 pep chromosome:OR_W1943:3:28489304:28492353:-1 gene:ORUFI03G34490 transcript:ORUFI03G34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQHLMQMNQGMMGGYASPTTVTTDLIQQYLDENKQLILAILDNQNNGKVEECARNQAKLQHNLMYLAAIADSQPPQTAAMSQYPSNLMMQSGARYMPQQSAQMMAPQSLMAARSSMMYAQPALSPLQQQQQQQAAAAHGQLGMGSGGTTSGFSILHGEASMGGGGGGGGAGNSMMNAGVFSDFGRGGSGGGKEGSTSLSVDVRGANSGAQSGDGEYLKGTEEEGS >ORUFI03G34500.1 pep chromosome:OR_W1943:3:28502435:28502659:1 gene:ORUFI03G34500 transcript:ORUFI03G34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLKLLLVLVLLVSSSDGSDASRHLKGDAIERSGAAAGEAVMMRAVMVSKAKAGHSGCTYDPNTTIGRRCRP >ORUFI03G34510.1 pep chromosome:OR_W1943:3:28506784:28510098:-1 gene:ORUFI03G34510 transcript:ORUFI03G34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQEPAPAANGAAPAPAPAAAAAAAGGKRRKRGRWAAAAGVGALLVALLAVAVSSRSFPAASSSSRGGDCGCPGTRKYTGMVEDCCCDYETVDAINEEVLHPILQELVTLPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPENEFPEPFKKPYSGLSPDSMICQEGKPQATVDRTLDAKVFKGWIETDNPWTYDDETDNAEMTYVNLQLNPERYTGYTGDSARRIWDSVYKENCPKYPSEEMCQEKKALYKLISGLHSSISVHIAYDYLLDESANLWGHNLPLLYDRVLKYPERVQNLYFTYLFVLRAVTKAADYLEQAEYNTGNPEEDLKTQSLVKQLLYNPKLRSACPLPFDEAKLWQGENGPELKQEIQKQFRNISAIMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGENHLNQSLQLQRNEVIALVNLLNRLSESVNFVHEKGPSIEDVIKQQSSSTVKPVFPI >ORUFI03G34520.1 pep chromosome:OR_W1943:3:28514261:28514694:1 gene:ORUFI03G34520 transcript:ORUFI03G34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSIKLPLTLVLLISGLVMLGKIESSEAAAAACNMLCARGTYITCCNRPGEALYGCACKCAPPGGKDCVVHYADGSTSKC >ORUFI03G34530.1 pep chromosome:OR_W1943:3:28515483:28516291:1 gene:ORUFI03G34530 transcript:ORUFI03G34530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKLSLACVLLISGLVMLERIEHTEAVCTLFCAKGTYITCSNHPYEQLYGCACRCAPPDGVDCVVHLADGSTQQC >ORUFI03G34540.1 pep chromosome:OR_W1943:3:28518886:28519667:1 gene:ORUFI03G34540 transcript:ORUFI03G34540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKLSLAVVFLLSAVVVMSAMGGAEEARCSVVCIQGGYITCDNYPYQKLDGCACECAPKDGQNCVLHLEHGPPSNCPPQA >ORUFI03G34550.1 pep chromosome:OR_W1943:3:28520337:28521188:1 gene:ORUFI03G34550 transcript:ORUFI03G34550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSKKLSLTFVVLLLAGLVVLGEMAGAATAAAADCSTVRCIQGGYITCKNYPGKKLDGCVCLCAPTDGERCVLHLHDGSSYKCRAPN >ORUFI03G34560.1 pep chromosome:OR_W1943:3:28522088:28523105:1 gene:ORUFI03G34560 transcript:ORUFI03G34560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKLALPMALLLCGLMVIGSIQSAEAQGGKFCPQFCYDGLEYMTCPSTGSQHLKPACNCCIAGEKGCVLYLNNGQVINCT >ORUFI03G34570.1 pep chromosome:OR_W1943:3:28524813:28525331:-1 gene:ORUFI03G34570 transcript:ORUFI03G34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLHLRRLLPSARPSAGGGGGAVAAFSTVTPTPRVSALVDEICGLTLIEASSLTDALRGRLGVDQLPPLAILTGGAAPLAGGGAATGAAGEEAKAKEEKMAFDVKLEGFDAAAKLKIIKELRAFTNLGLKEAKELVEKAPAVLKAGVPKEEAESIVEKMRAIGAKIVLE >ORUFI03G34580.1 pep chromosome:OR_W1943:3:28526466:28526780:-1 gene:ORUFI03G34580 transcript:ORUFI03G34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYVELLDMGVRVAARFHSHCPQTARMYYKPPQTTTTADGRRRRGDGAAGKQAAAGFDAVSSATAARPFAAAGEELGGFRATAPSGFDFEFDTAQAVVYDVVA >ORUFI03G34590.1 pep chromosome:OR_W1943:3:28527912:28528647:1 gene:ORUFI03G34590 transcript:ORUFI03G34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPWVLLPACGLLLHFANAAPVARRGAAAGLRGRWWSDGSAKGAGGARSGEVAGGWWNGRVLGQLLGMADWMTGRGASVGCGGSHVPPMFRWWIRMERRTTAVKGSERKLSPILWASNGYAFKRGNPPEGIVEVPLPPRQEALGENLVQDFGRMMTASFGVATLMRALF >ORUFI03G34600.1 pep chromosome:OR_W1943:3:28529817:28533953:-1 gene:ORUFI03G34600 transcript:ORUFI03G34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGASPAASAEAWTWENAAAGAAAGFATVATLHPLDVVRTRFQVSGGRGCYDLPPYRNTAHAVYTIARSEGLRGLYAGFYPAVLGSTVSWGLYFFFYNRAKQRYLQGKDDQLRPVHHLVSAAEAGALVCLFTNPIWLVKTRLQLQTPSHHTSRYSGFSDALRTILKEEGWLALYRGIGPGLLLVTHGAIQFTAYEELRKALIFAKSRQTRTDNRSCDDSLNSIDYAALGAGSKQRPGSDGTPKYKDSWHVVKETARHEGVRGFYRGITSNLLKNLPAASLTFVVYENVIKLFKAAKEKT >ORUFI03G34600.2 pep chromosome:OR_W1943:3:28529817:28533953:-1 gene:ORUFI03G34600 transcript:ORUFI03G34600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGASPAASAEAWTWENAAAGAAAGFATVATLHPLDVVRTRFQVSGGRGCYDLPPYRNTAHAVYTIARSEGLRGLYAGFYPAVLGSTVSWGLYFFLSIMTVITELNKDTYKGKMISSVQFTILSQLQKQVCLFTNPIWLVKTRLQLQTPSHHTSRYSGFSDALRTILKEEGWLALYRGIGPGLLLVTHGAIQFTAYEELRKALIFAKSRQTRTDNRSCDDSLNSIDYAALGAGSKQRPGSDGTPKYKDSWHVVKETARHEGVRGFYRGITSNLLKNLPAASLTFVVYENVIKLFKAAKEKT >ORUFI03G34610.1 pep chromosome:OR_W1943:3:28538445:28542964:-1 gene:ORUFI03G34610 transcript:ORUFI03G34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPPADGRDPPADDGAAGDGAVESAAAEALLSAASEQLTLVYQGEVYVFDPVPPQKVQAVLLVLGGSDMPPGLVSMAVPTTFDEKSTTVAARRVASLMRFREKRKERCFDKKIRYSVRKEVAQKMKRRKGQFAGRADFGDGSCSSAPCGSTANGEDDHIRETHCQNCGISSRLTPAMRRGPAGPRSLCNACGLMWANKGDTDDSKANLCAEHNQTTMKTDTEMVPEQEQKADVLPPTKEEDSMATS >ORUFI03G34620.1 pep chromosome:OR_W1943:3:28543622:28547924:-1 gene:ORUFI03G34620 transcript:ORUFI03G34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDLRVAAPASVAAAARCGTSLARPWPARAVGGGGGGGGRGRRLSARDSKTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHLSRAYNFSNGVAFGDGFVEVLAATQTPGSEGKRWFQGTADAVRQFDWLFDDAKAKDIDDVLILSGDHLYRMDYMDFVQSHRQRGADISICCLPIDDSRASDFGLMKIDDTGRVIAFSEKPKGDDLKAMQVDTTVLGLPQDEAKEKPYIASMGVYIFKKEILLNLLRWRFPTANDFGSEIIPASAKEINVKAYLFNDYWEDIGTIKSFFEANLSLAEQPPRFSFYDANKPMYTSRRNLPPSMINNSKITDSIISHGCFLDSCRIEHSVVGIRSRIGSNVHLKDTVMLGADFYETDLERGELLAEGKVPIGIGENTKIQTCIIDKNARIGKNVTISNSEGVQEADRTSEGFYIRSGITIVLKNSIIADGLVI >ORUFI03G34630.1 pep chromosome:OR_W1943:3:28553497:28558649:1 gene:ORUFI03G34630 transcript:ORUFI03G34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRVHRCGGGAVSWSPSPIVALVASPCKSQVAAARADGSLELWLVSPGSVGWHHQLTIQGDAESRVTSLVWARSGANGRLLSSSVDGSVAEWDLFHLRQKTVLDSVGVPVWQMAIEPSVDLMDSENKGSKVTANGHANGRTDSDSSSVDDGENTDDEDDSANTSLPYRANELQRLALACDDGSVRFYNVPESGALTYYRSLPRVSGRMLSVAWSNDAKFIFSGSSDGLIRCWDSTSFYEKYRITAGLGGAGSGNELCIWSLLFLRCGTLVSGDSSGSVQFWDSRHGTLLQAHTYHKGDVNALATVPGQNRVFSAGSDGQVILYKISKDELVADKEVAKEQVRKWVYVGYVRSHTHDVRALTMAVPICREDALPEEKTKKIRRREKPLEFSYHKWAHLGVPMLISGGDDTKLFAYSAREFTQFAPHNFCPAPQRPLINLARESIVNGDSVMLVQSANWLDVLLVVVQNKLTPSTSSRGDATVRHLARLKSKGSRKIISSATSTNGTMLAYSDCVKPCLFALRHKGGKKFTLDKLELPKGLLNSQCMLFSIDSSSLILAGRDGKIYAFVYSLLFLKANVLHFAKQVVDIATREISNVFQPMRKMDGASKEPPVTKMFLSADGQWLAAVNCSGDIYIFNLEVQRQHWFIPRMNDGSVTSGGFCPKNNALVITTSKNEVYVFDVEAKQLGDWSKRNTHHLPRRFQEFPGEVIGLSFPPLSSSSVVVYSARAMCFIDFGLPVVQDGQLPNGVVAEKIDSQKGSNKKLKRKAREEELRQEIRNNFDFFAFKDPVLFVGHLSDNSVLMVEKRWMDIVEGFGAPVHRHIYGT >ORUFI03G34640.1 pep chromosome:OR_W1943:3:28559059:28560718:-1 gene:ORUFI03G34640 transcript:ORUFI03G34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPASPLPPPDHLSFPFALSAAAAVSPSPGTQLHALLVKNGLFPSDHYVATALLQLHAARPDDARRVFDELPRREAIHYDLVIGAYARAGMAAEGLGGFRAIMYAKCGCLEQAVRVFDGMPERNDYVWGTMVGAFAVHGRAEEAVSCLDRMAREDGVRPDGVAVLGALSACAHAGKVDDGLRLLREMSRRYGVAPGHEHYACTVDMLCRVDRLEDAVALIETMPMAPLASVWGCGAGGGGRRRARQARRRPRRGRLAEVAAAELGKLGAGPDEGVYVQLSNIYLDANRKDDARRVRKLIGSRGIRKVPAYSEVEVDGVVSSFVADDQAHPQRVEIWEVLRLLADQMGGELDEGETMAELS >ORUFI03G34650.1 pep chromosome:OR_W1943:3:28563679:28566813:-1 gene:ORUFI03G34650 transcript:ORUFI03G34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVERPRPESAIEENEIRITAQGLIRNYVSYATSLLQDRRIKEIVLKAMGQAISKSVAVAEIIKKRVPGLYQDTNISSVSITDVWEPIEEGLTRDDSSCFDDFNYLISQRSGQELSWFMLNNPGNSRASSRRHHHHSAKFVNHHLIMKTPMSGVVAEEGGVDVEGVGVEEVMVVMVDMETIKVGTTKVVGTMITKVGMVAMIIREDMVAMIIKGDMVVVDMATTKADMETTKKMVDITEDGVACAGGAIGITVAGTNEAEVAVFLVEGDMAAAGGEEWVAVVDEETEDPSSEQMGEENPWATGDI >ORUFI03G34660.1 pep chromosome:OR_W1943:3:28592431:28594073:1 gene:ORUFI03G34660 transcript:ORUFI03G34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWPVAEIESLRGNHVNVTDKLVRGGGGGNYFEVSGLATPAQADVEATFQVMDLDKAEPFDPAWRGTDAQAVCAARGADARGGVGPFGLWVLASDELKERTAVFFSVFKRDDADVARVDGGKKHVVLTCNDPSRSSYAEQLYKPTYAGFVDIDHSVVESFGGHGKTAILARVYPTKAVGDKARLFVFNNGESDVKVTNLNAYDMGSAKITTDA >ORUFI03G34670.1 pep chromosome:OR_W1943:3:28594551:28596508:-1 gene:ORUFI03G34670 transcript:ORUFI03G34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDATMIASPFPAVEKCSSKDRGGDTIVADLDGTLLCGRSSFPYFAHMAFETGGVLRLLALILLAPLAGVLYYLVSESAGIQVLIFASMAGARVADVEAVARAVLPKFYCSDIHPESWRVFSACGRRCVLTANPRMMVEAFLKEYLGADIVVGTELVVWRGRATGLVRSPGVLVGENKAAALREAFGDASPEIGIGDRRTDYPFMRLCKEGYVVPVSPTPRPVPREELPKPVVFHDGRLVQKPSPALALLTVLWIPIGFVLACLRIAAGALLPMRVVYHAFRALGVRVTVKGTPPPPASRETGQSGVLFICSHRTLLDPIFLSTALGRPITAVTYSVSRLSEILSPIRTVRLTRDRAADAAMIRRLLAEGDLVICPEGTTCREPFLLRFSALFAELTDEIVPVAMENQMSMFHGTTARGWKALDPFYFFMNPSPGYVVTFLSKLPGELTCNGGGGGGGGRSSHEVANYIQRLIASTLSYECTSFTRKDKYRALAGNDGTVVSKPNIDEKKAMGC >ORUFI03G34680.1 pep chromosome:OR_W1943:3:28600777:28616887:-1 gene:ORUFI03G34680 transcript:ORUFI03G34680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSLAQRNAAMSGLPSSGVQQPGGSISARFASNNLPVGMSQLPHGHSGVSSRVNVGGGPAFSSSLNIGGTIQGLSSNLGAGGNRNSVPGMSVSPALGNLGPRITGSVGNIVGGSNIGRNISSGGLSVPSIASRMNLSGNIGSGGLNVQGSSRMMNGILQQGSPQMMNMMGSSYPTSGGSLSQNQIQGGNNSLGSMGMLHDANDSAPYDMNDFPQLTGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGYKGSTSDYAMELHHKEQLHDNVPVMQAQQYPMSRSVGFNLGSNYPPNRQQHQQGANSVQNAGPQNIGLRSSASQTSSLGSYEQLIQQYQQPQTQNPFRLQQVSSATQSYRDQSLKSIQGGQTPPDPYGLMGLLGVIRMNDADLASLALGMDLTTLGLNLNSPDNLYKTFGSPWSNEPAKGEPEFHIPACYNAEQPPPLQPIHFQKFQTLTLFYIFYSMPRDEAQLCAASELYNRGWFYHKEVRVWLTRIPNVEPLVKTPHYERGSYGCFDPNNWETIRKDNFVLHYDQIEKKPAIPSSSQTVRGNISW >ORUFI03G34690.1 pep chromosome:OR_W1943:3:28619629:28620849:1 gene:ORUFI03G34690 transcript:ORUFI03G34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSRLVPQLLVALLQRRRFDATLRPSPAFRGFSPPTIAAALAAIPRLLLPRSSRCLCPQRPFPSPSSAPTRRLAAALTLAFLSWSHSDANPRRPAHLTEPPLRAAALSLARARALPALFRLLRDHAPLVSTAALTDVIRALGEEGLPRHALAAFHRGRQFHCSPDAQCYNTLLAALCRNGRFKDARFLLDQMERPGARCGPDSYTYTVLISSYCRIGVETGCRKAARRRIYEAGRLFRRMGEKGLEPDVVTYNCLINGLCKTYRVERAHEVFDEMLRKGCSPNRVTYNSFVRYYSVVNEVDKAVKWMREMVARGHGGATSSTYTPIIHSLCESGRISEARQFIIEMAESGHLPREHTYKLVKDEIEKAHEEALPVELCQSIDAGIKERFQQVLRMKPIMRSVTR >ORUFI03G34700.1 pep chromosome:OR_W1943:3:28621720:28624950:-1 gene:ORUFI03G34700 transcript:ORUFI03G34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRDPWGGPLEISNADSATDDDRSRDLDRGALMRQLDETQQSWLLAGPGDQAGKKKKKYVDLGCMVLDRKIFMWTVGTILGVGLFIGFVMMIVKLVPHKRPPPPPPDQYTQALHKALMFFNAQRSGPLPKHNGVSWRGNSCMKDGLSDSTVRKSLVGGFYDAGDAIKFNYPMAWSMTMLSWSVIEYKAKYEAIGELDHVKELIKWGTDYLLKTFNSSADTIDRIVAQVGVGDTSKGGAQPNDHYCWMRPEDIDYPRPVTECHSCSDLASEMAAALAAASIVFKDSKTYSDKLVRGAKALYKFGRLQRGRYSPNGSDQAIFYNSTSYWDEFVWGGAWMYFATGNNTYLSVATAPGMAKHAGAYWLDSPNYGVFTWDDKLPGAQVLLSRLRLFLSPGYPYEEILRTFHNQTDNVMCSYLPMYNSFNFTKGGMIQLNHGRPQPLQYVVNAAFLASLYSDYLDAADTPGWYCGPTFYTTEVLRKFARSQLDYVLGKNPLKMSYVVGFGNKYPKRAHHRATLQGHVH >ORUFI03G34700.2 pep chromosome:OR_W1943:3:28622117:28624950:-1 gene:ORUFI03G34700 transcript:ORUFI03G34700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRDPWGGPLEISNADSATDDDRSRDLDRGALMRQLDETQQSWLLAGPGDQAGKKKKKYVDLGCMVLDRKIFMWTVGTILGVGLFIGFVMMIVKLVPHKRPPPPPPDQYTQALHKALMFFNAQRSGPLPKHNGVSWRGNSCMKDGLSDSTVRKSLVGGFYDAGDAIKFNYPMAWSMTMLSWSVIEYKAKYEAIGELDHVKELIKWGTDYLLKTFNSSADTIDRIVAQVGVGDTSKGGAQPNDHYCWMRPEDIDYPRPVTECHSCSDLASEMAAALAAASIVFKDSKTYSDKLVRGAKALYKFGRLQRGRYSPNGSDQAIFYNSTSYWDEFVWGGAWMYFATGNNTYLSVATAPGMAKHAGAYWLDSPNYGVFTWDDKLPGAQVLLSRLRLFLSPGYPYEEILRTFHNQTDNVMCSYLPMYNSFNFTKGGMIQLNHGRPQPLQYVVNAAFLASLYSDYLDAADTPGWYCGPTFYTTEVLRKFARSQLDYVLGKNPLKMSYVVGFGNKYPKRAHHRGASIPHNGVKYGCKGGFKWRETKKPNPNILIGALVAGPDRHDGFKDVRTNYNYTEPTLAANAGLVAALISLTNIHVKSGIDKNTIFSAVPPMFPTPPPPPSAWKP >ORUFI03G34710.1 pep chromosome:OR_W1943:3:28628675:28631613:-1 gene:ORUFI03G34710 transcript:ORUFI03G34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSALASSPFLPPLSTPNPRALSLRLPARRLPVASSAAPSGAAAAASARERRRFLERYGLNPDDFEDDAEAEPREERRRDRRNRRSGRGEAEDAPAKAAAEPRETHKMLQVLGGKVRRRKLLSPKDRNVRPMMEVVRGAAFDILQSAGGFPASLRPGRWLDLYSGTGSVGIEAMSRGCSEAHFVEMDPWVVSEVLKPNLECTGFLDVSHIHMIRVENFLANAEKSSGKYPSFDYISVTPPYLEVNYSTLLDQLARSPLVGEDCFILVEYPLKTDMAESCGSLIKVADRRFGMLITGKERCLDSVTALLNSNEWLSSSRNYQQ >ORUFI03G34710.2 pep chromosome:OR_W1943:3:28628675:28631613:-1 gene:ORUFI03G34710 transcript:ORUFI03G34710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSALASSPFLPPLSTPNPRALSLRLPARRLPVASSAAPSGAAAAASARERRRFLERYGLNPDDFEDDAEAEPREERRRDRRNRRSGRGEAEDAPAKAAAEPRETHKMLQVLGGKVRRRKLLSPKDRNVRPMMEVVRGAAFDILQSAGGFPASLRPGRWLDLYSGTGSVGIEAMSRGCSEVFPRTEVKAHFVEMDPWVVSEVLKPNLECTGFLDVSHIHMIRVENFLANAEKSSGKYPSFDYISVTPPYLEVNYSTLLDQLARSPLVGEDCFILVEYPLKTDMAESCGSLIKVADRRFGMLITGKERCLDSVTALLNSNEWLSSSRNYQQ >ORUFI03G34720.1 pep chromosome:OR_W1943:3:28633077:28634012:1 gene:ORUFI03G34720 transcript:ORUFI03G34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTKSFMSYVDLKKAAMKDLEAGGDGVELPEVGVTDERLKGFFQETEAVEEEMAAIRDALARLNAANEEGKSLHQPDALRALRGRVNADIIAVLRRARDIRARLEAMDRANAAQRRLSAGCREGTPLDRTRTALTAALRKKLKDLMLDFQALRQRIMSEYKDTVERRYYTLTGEVPEEEVIERIISEGRSEELLCAAVAEHGKGAVLATVHEIQDRHDAAREVERSLLELHQVFLDMAVVVESQGEQLDDIERHVNSATTYVQGGNKELRKAREHQRSSRKWLCIGIIILLLLVLLVIVPIATSFKRS >ORUFI03G34730.1 pep chromosome:OR_W1943:3:28637200:28640652:1 gene:ORUFI03G34730 transcript:ORUFI03G34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAVRTARTTHQSPASRANGLGERGSVGAHGGARCCRWCRRPCGCCPPASCRTAPAPSLVLHGRRRRPATGARRDVSSRVQTQEKKKAEIRERKLDTHTGRGGGARWPGGRSRRGGLRRVRRLGAALTLRVRVPRRRRSPRRRHVAARLITITKRSIRDRSNNRSRGPVAQMLPFLPSVRCRLDQSNPTWGRFFSVAFTFACSWRRGERDSTIEGQMQLMLRRLHRSRIFWALRPRTSSTPAAAPTR >ORUFI03G34740.1 pep chromosome:OR_W1943:3:28639192:28639749:-1 gene:ORUFI03G34740 transcript:ORUFI03G34740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVARLAQGTKVYAEGGHDRVFTQAFGVVPGEQLRKAYACYLSTSSGPVIGTLYISTARLAFCSDSPISYHAPAVAVAGAAPAHPPEAIYKATTFFIASVVLPLNQVKSVNPSASMTNRGERYIQIMTTDNHEFWFMGFVSYDKALKNLYEALQRRA >ORUFI03G34750.1 pep chromosome:OR_W1943:3:28643937:28644683:1 gene:ORUFI03G34750 transcript:ORUFI03G34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAAPPVRGYCPAAASTSSVARVRMPASFVSAPRRVSVRLRAAAVAEVPRGLQLRREEERGLGFFGGVFGGEEEEVVEKVGEERVEGWMRESIAEIVRHIGEAPFLVHLFNDDDGGSGRGGAGRVTVRRETASAESWPDVRRRWGPGGMRRPDGIILVEQIAAAVEEGGASAGAGAAEAARQVWGLVVQARGMECASCYVLDTCRVRSPAGLCTHFCLARAQCFGDPLELQLRNAWLNRLSGRR >ORUFI03G34760.1 pep chromosome:OR_W1943:3:28646638:28650256:-1 gene:ORUFI03G34760 transcript:ORUFI03G34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPHRAREGRAHHHASRLTSYQVSSFLLILPNHCIRVGVLLLLLLLAECNGQFKLSGHMAVSSSLLFCDTSVLQNHLFYLLYIHAPFSSAQIEESGFETATVADILKSKGKSADGSWLWCTTDDSVYDAVKSMTQHNVGALVVVKPGQDKSIAGIVTERDYLRKIIVQGRSSKSTKVGDIMTEENQLITVKPDTRVLQAMQLMTEKRIRHIPVIDGTGMVGMVSIGDIVRAVVSEHREELNRLNAYIQGGY >ORUFI03G34760.2 pep chromosome:OR_W1943:3:28646638:28650029:-1 gene:ORUFI03G34760 transcript:ORUFI03G34760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGSVLHPDFQSSSQAHDFVGVKMQRAIQAIGSHGSLLKSAVLRHISAPKPSILPAVYSRSMSVSSAQIEESGFETATVADILKSKGKSADGSWLWCTTDDSVYDAVKSMTQHNVGALVVVKPGQDKSIAGIVTERDYLRKIIVQGRSSKSTKVGDIMTEENQLITVKPDTRVLQAMQLMTEKRIRHIPVIDGTGMVGMVSIGDIVRAVVSEHREELNRLNAYIQGGY >ORUFI03G34760.3 pep chromosome:OR_W1943:3:28646638:28650029:-1 gene:ORUFI03G34760 transcript:ORUFI03G34760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGSVLHPDFQSSSQAHDFVGVKMQRAIQAIGSHGSLLKSAVLRHISAPKPSILPAVYSRSMSVSSAQIEESGFETATVADILKSKGKSADGSWLWCTTDDSVYDAVKSGRSSKSTKVGDIMTEENQLITVKPDTRVLQAMQLMTEKRIRHIPVIDGTGMVGMVSIGDIVRAVVSEHREELNRLNAYIQGGY >ORUFI03G34770.1 pep chromosome:OR_W1943:3:28654330:28663595:-1 gene:ORUFI03G34770 transcript:ORUFI03G34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPASHAAVVVNLTGRRRRRRASSPIPARAPQRPPPRYPPPPPPARSLAFSPDCCCSSRGYHSLPRPSAEQPDGAAALGGRRSNGEVAQSSARVDQSEMEQNALEQLASIDLIELCKEARIEHCRATRDLSSCGRYVQHVLNSCGHASLCAECSQRCDVCPICRSPIPDTGNRVRLRLYYKCLEAGLISKQHDERFQEKEDHSDPVNLDVQRLHSLFDVALQNNLASLICHYTTDVCLDENAVSSDPLLAFLLDEVVIKEWCKKAVNALISEINMIYRSGLEMMMSKLSQLQKFAVQLAGISSVVEVMITSFSEAVSAHVNDLHQLMEGTLKAKQHLEAMMWCIRHKFLEDIPSRHTNLASWSSDVIKRKADAKERKWPEFSDKSSAYNEANQGILFIEQALQNLGIQESNSGSEEGVEIVCLQSEQSSSMFCSTIDQFSVDKYPFKDLREAVDVLFLHGSSDMFLYYLFDRHWTRPDSEWRYLVDDFAATFGISRRTLLECLEACSLLPKISCKETHPKIAQVLLERHKPDVALVVLKCTGRDTFSSAANIEKDDTESLSEAVTAVRVRIEYGHLTEAFMYHRSYCSKVKEQRSADMSHVEDANSYKSSWMYHVEVMMTEFCNICIERNFVDRMIDLPWDSEEGKHLHKSLLDCAREMPMEPCGSLLVVFYLQRYRYLEAYEVDRSLQSFEQNALETASEEKASKIRTIAQWRQSLVTKCIEMLPEAEREDMRTIGSAERNQFAVQTMQNSSPANHMVKSPNPVIAFSLSATPIPQKKSSPLQSRNINVLNDSVGLNSSARSEFGRKVPSILQCRPVPLSSPISNVRSTAGGLFPSMGQNGEGPYLKGTKELSFTKGESGFKKGTRPAGYDSLPMYFNMGSVDTPMKEYRSSLLKTEVNKTTPFQVKDSVGKGEFDFGSRAEKPFILSGTGAGQNGHSKISDNAGFHEVHIQKTKVPPKENVLRNLQLMKHHLGKVCQGGDPMSLVKTKMIKEQVGIWRVELHLLLEDEPDFLEDDIFHLVQKWEMAVLQKMKMRSDQMRDRVVEERTRKMSPSF >ORUFI03G34780.1 pep chromosome:OR_W1943:3:28666179:28671874:-1 gene:ORUFI03G34780 transcript:ORUFI03G34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVKAEALQILGLFQALPRLVVFDLDYTLWPFYCECRSKRESPSLYRHAKGIMFALREKGIDMAIASRSPTPDIAKVFIDKLEIKSMFVAQEIFSSWTHKTEHFQKIQRKTGIPYKSMLFFDDEDRNIESISKMGVASVLVENGLNLDMFKLGLSNFATNVAASSTIYKKSSRARPHVVTKAKVPKACVLDAAGGGLGLYCGAGDAGVLHGKAHEGAPSMALPPPAPSAAAMLSPPVPSKERTLNPTRARGDEPDLLPPPPPPPPP >ORUFI03G34780.2 pep chromosome:OR_W1943:3:28664201:28671874:-1 gene:ORUFI03G34780 transcript:ORUFI03G34780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVKAEALQILGLFQALPRLVVFDLDYTLWPFYCDCCSIGDSPRLFRHAKGIMCALKEKGIAMAVASRSSTPDIANAFLDKLELQPMFVTKEIFDSWTHKTEHFQRIQRTTGIPYESMLFFDDEHRNFATVSKMGVTSILVDWDGGVNLEMFKLGLNNFAAKFAASSTDKDEQTSFNG >ORUFI03G34780.3 pep chromosome:OR_W1943:3:28664203:28666159:-1 gene:ORUFI03G34780 transcript:ORUFI03G34780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVKAEALQILGRFEALPRLVVFDLDHTIWPLYCDCCSIGDSPRLFRHAKGIMCALKEKGIAMAVASRSSTPDIANAFLDKLELQPMFVTKEIFDSWTHKTEHFQRIQRTTGIPYESMLFFDDEHRNFATVSKMGVTSILVDWDGGVNLEMFKLGLNNFAAKFAASSTDKDEQTSFNG >ORUFI03G34790.1 pep chromosome:OR_W1943:3:28676917:28682253:1 gene:ORUFI03G34790 transcript:ORUFI03G34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFRTSTHRERWIFQTNDLMDRWGAANQRATETLVQYGTTRLKVDPVDGSLSYPEPAPDHGSSGVKPLSCEEERLMRVFYEQKIQEVCSAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCIYSSCKVEENHVSAEELGKGIQQDHQIILNNEMIVLKSLDFDLIVYAPYRSIEGFVDDMELAQTDLRQTAISQVDKMMLTDAPLLYTPGQLALAALHKSNDMHKILNFERYLESVFSRQHSDCPIEQFVGSINMINYLVEQLKIPTPKDMRHIDRKLKHCLDPSSQDEHKKKEKKSKHKSKRAANEAQLDS >ORUFI03G34790.2 pep chromosome:OR_W1943:3:28676917:28682253:1 gene:ORUFI03G34790 transcript:ORUFI03G34790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADFRTSTHRERWIFQTNDLMDRWGAANQRATETLVQYGTTRLKVDPVDGSLSYPEPAPDHGSSGVKPLSCEEERLMRVFYEQKIQEVCSAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCIYSSCKVEENHVSAEELGKGIQQDHQIILNNEMIVLKSLDFDLIVYAPYRSIEGFVDDMEDLRQTAISQVDKMMLTDAPLLYTPGQLALAALHKSNDMHKILNFERYLESVFSRQHSDCPIEQFVGSINMINYLVEQLKIPTPKDMRHIDRKLKHCLDPSSQDEHKKKEKKSKHKSKRAANEAQLDS >ORUFI03G34800.1 pep chromosome:OR_W1943:3:28685508:28688109:-1 gene:ORUFI03G34800 transcript:ORUFI03G34800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPAAAMPLAAEPDEVVVEVEEEEERGVKGGGGVAGLDEVEGLVVGSYCHDVLLRGGRVVGETLGGAAAFVSNVLDAASPAGASLAVVSKVGHDFAYATAAAPARHPPVLCASPTTSFHARFSDDAASAHAPDRQLRRVHACDPIYPADLPDRRFAYGLAVGVAGEVLPETLERMIRLCRAVLVDAQALIRAFDGEAKGGGAVRHVALEATPYARLLPRVAFLKASSEEAPYVGVETARRRCCVIVTEGRDGCRLYWDGGEARVAPFPAVQVDPTGAGDSFLAGFASGLLWGLSATDAALLGNFFGAAAVSQVGVPTFDPKMLQAVKQILEKAVKRPCTHINGNTFTFQRSSIHDELHKSLQEAAMLVCEQKQANSPATDNGDVCSINELTSLPS >ORUFI03G34810.1 pep chromosome:OR_W1943:3:28689845:28699711:1 gene:ORUFI03G34810 transcript:ORUFI03G34810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLVQQSNLEALLQRHVKMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKTNIVGMEANMEQLLSKITSVQSRSDTVNTSLFDKRENIEKLHRTRNLLRKVQFIYDLPTRLNKCIKTEAYADAVRFFTGAKPIFEAYGDTSFHDCKKASEEAIDLVTQHLKTKLYSDSEPIESRAEAVVLLKQLNFPVDSLKSNLLEKLEDCLLNFQKEPTQASIGDISKTFRAYLIIFPDSEKRLIELAQALFSNRYETVRENLRKRIPSTYLLAMLRSLWEDATAIDEVIPEAALPAFSLETTRDIIRQHIATAFLHLQSEISDVLARTPSTSNGKLEESQLQTAMETSKVKVLQGCIDLLQEFHHLIDGNTELLVKLRDLVIDWVQEGFQDFFQKLDGHFHMLSGRSKSPSQEMESVQIDKVPTALVLMLAQLCVFIEQTAIPKVTEELASSFSGGGARSYEYGPPFVPGEICRLYRSSGEKFLHHYINMKTQKISKLLNKRFTTPVWIKHKEPREVNMFVDLLLLEFNGVVSEVKQVLPGLIRRHRHSDSTGSTTSSRSNPMREDMLNRSNTHRARSQFLENHLAKLFEQKMEIFTKVEYTQESVISAVLKLCLKSLQEFVRLQTFNRSGFQQIQLDMEFLKTSLKEFVDDEAAISFLLKEVNNAAHERCLDPIPLEPPILDKLISAKLAKIKEQSPNMQ >ORUFI03G34810.2 pep chromosome:OR_W1943:3:28689903:28699711:1 gene:ORUFI03G34810 transcript:ORUFI03G34810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGAAAAAAPQAMDEKARRTRDLLASFYNTDPSAAAAAAAASSAARPSPTAASASPLDTINSASFDPDVYMNVLVQQSNLEALLQRHVKMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKTNIVGMEANMEQLLSKITSVQSRSDTVNTSLFDKRENIEKLHRTRNLLRKVQFIYDLPTRLNKCIKTEAYADAVRFFTGAKPIFEAYGDTSFHDCKKASEEAIDLVTQHLKTKLYSDSEPIESRAEAVVLLKQLNFPVDSLKSNLLEKLEDCLLNFQKEPTQASIGDISKTFRAYLIIFPDSEKRLIELAQALFSNRYETVRENLRKRIPSTYLLAMLRSLWEDATAIDEVIPEAALPAFSLETTRDIIRQHIATAFLHLQSEISDVLARTPSTSNGKLEESQLQTAMETSKVKVLQGCIDLLQEFHHLIDGNTELLVKLRDLVIDWVQEGFQDFFQKLDGHFHMLSGRSKSPSQEMESVQIDKVPTALVLMLAQLCVFIEQTAIPKVTEELASSFSGGGARSYEYGPPFVPGEICRLYRSSGEKFLHHYINMKTQKISKLLNKRFTTPVWIKHKEPREVNMFVDLLLLEFNGVVSEVKQVLPGLIRRHRHSDSTGSTTSSRSNPMREDMLNRSNTHRARSQFLENHLAKLFEQKMEIFTKVEYTQESVISAVLKLCLKSLQEFVRLQTFNRSGFQQIQLDMEFLKTSLKEFVDDEAAISFLLKEVNNAAHERCLDPIPLEPPILDKLISAKLAKIKEQSPNMQ >ORUFI03G34820.1 pep chromosome:OR_W1943:3:28700158:28703155:-1 gene:ORUFI03G34820 transcript:ORUFI03G34820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEQPPAAAAAEAAAAAEQQAGSGGGGGWGGWGLSIFSEISRNAVEVAKSAIADIQQPPEQDVGTGDGEEKEKEKAAEGGGEEDEQRKAALDKLENASEDSLLGQASWKSFTAKGMEVLERVGKETMEFIIEETGMDVDKGTGEGDPQTEEEQFEEVSFDRCFYIYGGPDQLEELEALSSHYALLFNRKKGKLVAGQKTYYDGKLKEIQQIFSLSTKIDEDGPDSNKGKKIEAADSDSDAEMKKLCDSSVSKAAKMAAGFTTALGGLSPNEIVKRTTNRLETIHSEGVHRLSEMCCLAVSQLLLLGKSVISASSKPKNEDDENDVKIEWPEDPISKAKIIRWKAQSISVDIEKVSASFATGISDVAEAYMAAIQNALADKKDDLSNQNSVQEKAKYISNHLNTDQTSAVSKLQDALQYLAYVVVCSSMPSV >ORUFI03G34830.1 pep chromosome:OR_W1943:3:28703494:28706758:1 gene:ORUFI03G34830 transcript:ORUFI03G34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAAPECTDAMGSEAAAGDVAETEEVVVASEAEYSWPQLRFDLPPRRLYHFAGQFRSAAAAASASAGNFLKGVKWSPDGSSFLTSCDDNSLRLFYLPEEAYSTEAEHPAEAAVGGEDSYGASIQVNEGEPVYDFCWYPYMSVSDPATCVFATTSRDHPIHLWDATTGELRCTYRAYDAMDEITAALSISFNSTGTKLFAGYNKAIRVFDVHRPGRDFEQHSLLKGCEGPTGIISSISFSPHNGMLAVGSYSQTTAVYAESNMEPLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTVDIVYKLYRSSDTTNQRIHFDIEPCGRHLATGGQDGMVHIYDLQGGQWVTAFQAAADTVNGFAFHPYLPLATTSSGHRRFGMEDEIEEELSLADGDTNT >ORUFI03G34840.1 pep chromosome:OR_W1943:3:28707836:28726519:1 gene:ORUFI03G34840 transcript:ORUFI03G34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPRPPYAAHHQLQQHLASLLSAAAGEPPHPSDDASRAAALSTLRLSLLHPPNRPLLPSLAHFIAPPISVLLADDASYAVRRAAVSAYAALCAVLCAHEAPGGLPDRFVAWGLPLLGEPASAALLAEGLRELLATGDVPAVERFVPPLLAACRDVLEDERTSLTVLRCLLGLLTLVAAKFPHCFRPQFVDIVDLLLGWVFVPDLAESDRSTIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNSLKELIAGPLEGLAPQLLRCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYGMFVDVLAQSLEVASSVQLVAALKTNLQVLSLQNLGLRASAVEALLDFSSFLSRLRLHPNHTVVTNVATTYLFVLQHGLEDVVDQAISSLMKELELLKSLLETGRASYPDIQNLSLGSNSETQSKSNSSTFSLVGYSEHQLLSLMKFDLKILLATISVDTKKRNDKAASLTSFISAKLDPFGTPFHDFLEMQFHIFSILHRLSSMDLSSTIAPSKANGSGDSGSQTQLVIESRKSFCDCKNKFMHKYGKLVVWGLNASSSMTLKLEALAWIDTFGNLVLGMERDVDKRNISYEVHEGATLLNTILFAILDCAYDREPKVRLHVATSLEILFLGRLINPMDFSVVTQVLLDKLSDPDNAVKKGFSRLLSIALPITTYTFGLLENRWSCQHSPDTANMSKHCMSWRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLFFSYRGKKDMFSGQTDVSGDSDGNELFKGPDVDRTIIDRIYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVPNLLAPEATEGEGRYIAPSDTSLLPMRLLLDFVEALKKYVYNAYEGSFVLPAPPKASSLFFRANKRVCEEWFSRICDPMLNAGLALHCNDAVIHYCSSRLLDIRNLAASSLKDNSRMGGATESHHAFRERLEADFLKVLRHASLALCRCHETDALVGLQRWAISTFYTYFEQDKQLVRGVSDSQNHFSWMSGLIYQSQGKYEKAAAHYSHLLQFEEGLASMESDGIQYIIARVIECYTSLSDWKCLEGWLSELQVLRAVHAGKPYSGALTSAGNELNAVHAMACFDGGDFHSAWGYLDLTPKSSSELSLDPKVAVERSELMLLRAMLQSHSKPDKAREELNKAKLMLDEALSVVPLNGLTEAAACAGQLYCIFAFEETTELACPNRTNQSPALMDYLLRLLQDPIDRINQDCNIWLKIFKVYCTTQPSSLPTLLLCQKLASLARKQSNLKLASRLNQYIINHPLSSSDEMEKEMLTLNIKYEGALLKHDQGKKEEALTDLWSLVRATVLSTVSDSSGAGTPLIAKACLKFSTWMERENSTHIMNMILPKVIEDINDSGGFRNGAEKLLLGDNGSVSASNSHVVSQEIIGIARKTSWQLCPSMGKAWHSYASWCITHANYSLSGTDSKLQNSLFPALQSELSPDRFHLTDNEKSEVQEIIRNFCADKDGNYVDCSISPTAGCSYNSEGNPIVSLIEQTICLLETAAGAPGSEACDGEGPSVRLSSELTVLFCKCDSAKDSSMTLIGKLIEIWWTLRRRRVSLFGHAAHAYFQYLSHSSTGLQPSYHRDVLKGKTKSYTLRALLYLLHIILNYGVELKEIVESGLSTVPLLPWQEIIPQLFARLSFHPEKIVRKQLESILVKLGKLSPCSIVYPTLVDINACEGEPSDELQRILDFLVKQYPKLVKDVKLAIEELGMVTVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAIMTPIVVALERRLASTSREPKTSHEVWFHKEYNAQLKSAITALKTPPGSPSALGEIWRPFDSIAASLATHLRKSCISLSEIAPQLAALSTSNIPMPGFEKQIFSSSESSFADSHGTITISSFCKEVTVLSTKTRPKKLVLQGSDGQKYTYLLKGREDLRLDSRIMQLLEAINSFFYSSSDTRSRNMAIRFYSVTPISGRAGLIQWVENVSSIYNVYKTWQKRSQLAQAQLSSVNTVNNSIHKSVPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPLDVKRKVLLELMKETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMIGHVLGLGDRHLDNILMDFSSGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVTCEEVMAVLLRNKDIILMLLEVFLWDPLMEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHQDLLVTNLPATLSSLKKFLDTLEQYEVISAMFYHAEKERSSALQSETSAKSMLAEASSLAEKSRTSFELHAHELAETKAAANDEANKLAVWVEKHGRILEAIRDNSIAGVESCMQLNSKDDALSLISAVLESEVPLTVVPEPTRAQCSELDREVSQLILELQGGLSAALESLGEYALVLQQVLPVNYITTSPVTGWAQALQLSVSSGSEDLLPLAKRQAAEVIAKVQGEGINLVQQRYRDLLNQMESYVVCIERLARERSELMNSIGFENEVRSKEWILSAFMNSIQLPSPKRDMGNIPFLQLGNVGVKTPAHEDIQDETGIVLSILGIAVGQLYSDVRAKVSELSSKVTGIAKFRTDEAGLQADAGTSLQLFDQQVEKCALISGFVGEVHGVMEAKLVEMNTAYAKPQHGQWASTFQRILCSSTNMIEQMTEVFLPEIIRSFISYNSEVMEAFGSVSQIRGSVDTALEKLVRIELERASMTEFEQSYFMKVGRITEQQIALEEAAMMGRDHLSWEEAEELASQEEACRAQLEQLQETWSQKDMTISSLMKVGSSAMNSLLSSKQYFSSLVNVDQESEFHLRRSKALLSILTKPLADLEALDHMLSACGLFPYHDHCFFVWKLSLLDSILDLCMHEISSSVEHSFTTNQLYTALKTKLTNHVEKQVYRYIMERIAPAFILQLDKEISDLLQLSQGRRESGQPKRDSAAVGRIAVMLEEYCNAHETARAARTAVSLMQRQSNDLTEALRKIVLEIIQVEWLHDLSSPHAQKSKVLSQNILSDDKFISVLLNISRGNLLDKIQSSVSLVTRSIECLQACENTSVSAEGQLERAMGWACAGPNTSGAGSSTTKASGIPSEFHDHLLKRRKLLRVIQEQASDLANICTSVLEFEGSRDGIYLIPEDKSSGQSTDRGRTWQQTFLNLLTRLDAAYRSFTCAEQEWKLSQFNMESAGKSLYSVTNQLSVVSSRAKSALECSVYIFPVNLQDTLVSMYERASEVTASLSGFKHVSQDRTALTSECGSLLEEVLAIAEGLHDVYIVGKEAAVMHNSIMSNLSKANTILLPLEASLSADLAVMSEAISKEREKNNTSMPLIHGKALYQSYIIRIRDAYKNLEPLVPPLADDAKELHSLMTKLGRLSSIHAGSLHKALEVLGESETVKSQDMPSTHADILQSDSSIEKDKGSSGSREGGSQDLVTTTDLSLQDECWISPPEHSYTSSSGYTTELTQITSSENIENMDPLLVDRPVIEAPGANDQERGADSESDSSSNKQLFINNVTLTNVNSVDEVEISLSKERKSENENTNLPFKQIRGQECDNSDPKSYPDSVTRLTRGKNPFALSILKQVEHKLHGWDIDGTRSLKVSEQVDHLLKQATSIDNLCNMYEGWTPWI >ORUFI03G34840.2 pep chromosome:OR_W1943:3:28707836:28726519:1 gene:ORUFI03G34840 transcript:ORUFI03G34840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPRPPYAAHHQLQQHLASLLSAAAGEPPHPSDDASRAAALSTLRLSLLHPPNRPLLPSLAHFIAPPISVLLADDASYAVRRAAVSAYAALCAVLCAHEAPGGLPDRFVAWGLPLLGEPASAALLAEGLRELLATGDVPAVERFVPPLLAACRDVLEDERTSLTVLRCLLGLLTLVAAKFPHCFRPQFVDIVDLLLGWVFVPDLAESDRSTIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNSLKELIAGPLEGLAPQLLRCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYGMFVDVLAQSLEVASSVQLVAALKTNLQVLSLQNLGLRASAVEALLDFSSFLSRLRLHPNHTVVTNVATTYLFVLQHGLEDVVDQAISSLMKELELLKSLLETGRASYPDIQNLSLGSNSETQSKSNSSTFSLVGYSEHQLLSLMKFDLKILLATISVDTKKRNDKAASLTSFISAKLDPFGTPFHDFLEMQFHIFSILHRLSSMDLSSTIAPSKANGSGDSGSQTQLVIESRKSFCDCKNKFMHKYGKLVVWGLNASSSMTLKLEALAWIDTFGNLVLGMERDVDKRNISYEVHEGATLLNTILFAILDCAYDREPKVRLHVATSLEILFLGRLINPMDFSVVTQVLLDKLSDPDNAVKKGFSRLLSIALPITTYTFGLLENRWSCQHSPDTANMSKHCMSWRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLFFSYRGKKDMFSGQTDVSGDSDGNELFKGPDVDRTIIDRIYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVPNLLAPEATEGEGRYIAPSDTSLLPMRLLLDFVEALKKYVYNAYEGSFVLPAPPKASSLFFRANKRVCEEWFSRICDPMLNAGLALHCNDAVIHYCSSRLLDIRNLAASSLKDNSRMGGATESHHAFRERLEADFLKVLRHASLALCRCHETDALVGLQRWAISTFYTYFEQDKQLVRGVSDSQNHFSWMSGLIYQSQGKYEKAAAHYSHLLQFEEGLASMESDGIQYIIARVIECYTSLSDWKCLEGWLSELQVLRAVHAGKPYSGALTSAGNELNAVHAMACFDGGDFHSAWGYLDLTPKSSSELSLDPKVAVERSELMLLRAMLQSHSKPDKAREELNKAKLMLDEALSVVPLNGLTEAAACAGQLYCIFAFEETTELACPNRTNQSPALMDYLLRLLQDPIDRINQDCNIWLKIFKVYCTTQPSSLPTLLLCQKLASLARKQSNLKLASRLNQYIINHPLSSSDEMEKEMLTLNIKYEGALLKHDQGKKEEALTDLWSLVRATVLSTVSDSSGAGTPLIAKACLKFSTWMERENSTHIMNMILPKVIEDINDSGGFRNGAEKLLLGDNGSVSASNSHVVSQEIIGIARKTSWQLCPSMGKAWHSYASWCITHANYSLSGTDSKLQNSLFPALQSELSPDRFHLTDNEKSEVQEIIRNFCADKDGNYVDCSISPTAGCSYNSEGNPIVSLIEQTICLLETAAGAPGSEACDGEGPSVRLSSELTVLFCKCDSAKDSSMTLIGKLIEIWWTLRRRRVSLFGHAAHAYFQYLSHSSTGLQPSYHRDVLKGKTKSYTLRALLYLLHIILNYGVELKEIVESGLSTVPLLPWQEIIPQLFARLSFHPEKIVRKQLESILVKLGKLSPCSIVYPTLVDINACEGEPSDELQRILDFLVKQYPKLVKDVKLAIEELGMVTVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAIMTPIVVALERRLASTSREPKTSHEVWFHKEYNAQLKSAITALKTPPGSPSALGEIWRPFDSIAASLATHLRKSCISLSEIAPQLAALSTSNIPMPGFEKQIFSSSESSFADSHGTITISSFCKEVTVLSTKTRPKKLVLQGSDGQKYTYLLKGREDLRLDSRIMQLLEAINSFFYSSSDTRSRNMAIRFYSVTPISGRAGLIQWVENVSSIYNVYKTWQKRSQLAQAQLSSVNTVNNSIHKSVPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPLDVKRKVLLELMKETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMIGHVLGLGDRHLDNILMDFSSGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVTCEEVMAVLLRNKDIILMLLEVFLWDPLMEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHQDLLVTNLPATLSSLKKFLDTLEQYEVISAMFYHAEKERSSALQSETSAKSMLAEASSLAEKSRTSFELHAHELAETKAAANDEANKLAVWVEKHGRILEAIRDNSIAGVESCMQLNSKDDALSLISAVLESEVPLTVVPEPTRAQCSELDREVSQLILELQGGLSAALESLGEYALVLQQVLPVNYITTSPVTGWAQALQLSVSSGSEDLLPLAKRQAAEVIAKVQGEGINLVQQRYRDLLNQMESYVVCIERLARERSELMNSIGFENEVRSKEWILSAFMNSIQLPSPKRDMGNIPFLQLGNVGVKTPAHEDIQDETGIVLSILGIAVGQLYSDVRAKVSELSSKVTGIAKFRTDEAGLQADAGTSLQLFDQQVEKCALISGFVGEVHGVMEAKLVEMNTAYAKPQHGQWASTFQRILCSSTNMIEQMTEVFLPEIIRSFISYNSEVMEAFGSVSQIRGSVDTALEKLVRIELERASMTEFEQSYFMKVGRITEQQIALEEAAMMGRDHLSWEEAEELASQEEACRAQLEQLQETWSQKDMTISSLMKVGSSAMNSLLSSKQYFSSLVNVDQESEFHLRRSKALLSILTKPLADLEALDHMLSACGLFPYHDHCFFVWKLSLLDSILDLCMHEISSSVEHSFTTNQLYTALKTKLTNHVEKQVYRYIMERIAPAFILQLDKEISDLLQLSQGRRESGQPKRDSAAVGRIAVMLEEYCNAHETARAARTAVSLMQRQSNDLTEALRKIVLEIIQVEWLHDLSSPHAQKSKVLSQNILSDDKFISVLLNISRGNLLDKIQSSVSLVTRSIECLQACENTSVSAEGQLERAMGWACAGPNTSGAGSSTTKASGIPSEFHDHLLKRRKLLRVIQEQASDLANICTSVLEFEGSRDGIYLIPEDKSSGQSTDRGRTWQQTFLNLLTRLDAAYRSFTCAEQEWKLSQFNMESAGKSLYSVTNQLSVVSSRAKSALECSVYIFPVNLQDTLVSMYERASEVTASLSGFKHVSQDRTALTSECGSLLEEVLAIAEGLHDVYIVGKEAAVMHNSIMSNLSKANTILLPLEASLSADLAVMSEAISKEREKNNTSMPLIHGKALYQSYIIRIRDAYKNLEPLVPPLADDAKELHSLMTKLGRLSSIHAGSLHKALEVLGESETVKSQDMPSTHADILQSDSSIEKDKGSSGSREGGSQDLVTTTDLSLQDECWISPPEHSYTSSSGYTTELTQITSSENIENMDPLLVDRPVIEAPGANDQERGADSESDSSSNKQLFINNVTLTNVNSVDEVEISLSKERKSENENTNLPFKQIRGQECDNSDPKSYPDSVTRLTRGKNPFALSILKQVEHKLHGWDIDGTRSLKVSEQVDHLLKQATSIDNLCNMYEGWTPWI >ORUFI03G34840.3 pep chromosome:OR_W1943:3:28707836:28726519:1 gene:ORUFI03G34840 transcript:ORUFI03G34840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPRPPYAAHHQLQQHLASLLSAAAGEPPHPSDDASRAAALSTLRLSLLHPPNRPLLPSLAHFIAPPISVLLADDASYAVRRAAVSAYAALCAVLCAHEAPGGLPDRFVAWGLPLLGEPASAALLAEGLRELLATGDVPAVERFVPPLLAACRDVLEDERTSLTVLRCLLGLLTLVAAKFPHCFRPQFVDIVDLLLGWVFVPDLAESDRSTIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNSLKELIAGPLEGLAPQLLRCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYGMFVDVLAQSLEVASSVQLVAALKTNLQVLSLQNLGLRASAVEALLDFSSFLSRLRLHPNHTVVTNVATTYLFVLQHGLEDVVDQAISSLMKELELLKSLLETGRASYPDIQNLSLGSNSETQSKSNSSTFSLVGYSEHQLLSLMKFDLKILLATISVDTKKRNDKAASLTSFISAKLDPFGTPFHDFLEMQFHIFSILHRLSSMDLSSTIAPSKANGSGDSGSQTQLVIESRKSFCDCKNKFMHKYGKLVVWGLNASSSMTLKLEALAWIDTFGNLVLGMERDVDKRNISYEVHEGATLLNTILFAILDCAYDREPKVRLHVATSLEILFLGRLINPMDFSVVTQVLLDKLSDPDNAVKKGFSRLLSIALPITTYTFGLLENRWSCQHSPDTANMSKHCMSWRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLFFSYRGKKDMFSGQTDVSGDSDGNELFKGPDVDRTIIDRIYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVPNLLAPEATEGEGRYIAPSDTSLLPMRLLLDFVEALKKYVYNAYEGSFVLPAPPKASSLFFRANKRVCEEWFSRICDPMLNAGLALHCNDAVIHYCSSRLLDIRNLAASSLKDNSRMGGATESHHAFRERLEADFLKVLRHASLALCRCHETDALVGLQRWAISTFYTYFEQDKQLVRGVSDSQNHFSWMSGLIYQSQGKYEKAAAHYSHLLQFEEGLASMESDGIQYIIARVIECYTSLSDWKCLEGWLSELQVLRAVHAGKPYSGALTSAGNELNAVHAMACFDGGDFHSAWGYLDLTPKSSSELSLDPKVAVERSELMLLRAMLQSHSKPDKAREELNKAKLMLDEALSVVPLNGLTEAAACAGQLYCIFAFEETTELACPNRTNQSPALMDYLLRLLQDPIDRINQDCNIWLKIFKVYCTTQPSSLPTLLLCQKLASLARKQSNLKLASRLNQYIINHPLSSSDEMEKEMLTLNIKYEGALLKHDQGKKEEALTDLWSLVRATVLSTVSDSSGAGTPLIAKACLKFSTWMERENSTHIMNMILPKVIEDINDSGGFRNGAEKLLLGDNGSVSASNSHVVSQEIIGIARKTSWQLCPSMGKAWHSYASWCITHANYSLSGTDSKLQNSLFPALQSELSPDRFHLTDNEKSEVQEIIRNFCADKDGNYVDCSISPTAGCSYNSEGNPIVSLIEQTICLLETAAGAPGSEACDGEGPSVRLSSELTVLFCKCDSAKDSSMTLIGKLIEIWWTLRRRRVSLFGHAAHAYFQYLSHSSTGLQPSYHRDVLKGKTKSYTLRALLYLLHIILNYGVELKEIVESGLSTVPLLPWQEIIPQLFARLSFHPEKIVRKQLESILVKLGKLSPCSIVYPTLVDINACEGEPSDELQRILDFLVKQYPKLVKDVKLAIEELGMVTVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAIMTPIVVALERRLASTSREPKTSHEVWFHKEYNAQLKSAITALKTPPGSPSALGEIWRPFDSIAASLATHLRKSCISLSEIAPQLAALSTSNIPMPGFEKQIFSSSESSFADSHGTITISSFCKEVTVLSTKTRPKKLVLQGSDGQKYTYLLKGREDLRLDSRIMQLLEAINSFFYSSSDTRSRNMAIRFYSVTPISGRAGLIQWVENVSSIYNVYKTWQKRSQLAQAQLSSVNTVNNSIHKSVPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPLDVKRKVLLELMKETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMIGHVLGLGDRHLDNILMDFSSGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVTCEEVMAVLLRNKDIILMLLEVFLWDPLMEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHQDLLVTNLPATLSSLKKFLDTLEQYEVISAMFYHAEKERSSALQSETSAKSMLAEASSLAEKSRTSFELHAHELAETKAAANDEANKLAVWVEKHGRILEAIRDNSIAGVESCMQLNSKDDALSLISAVLESEVPLTVVPEPTRAQCSELDREVSQLILELQGGLSAALESLGEYALVLQQVLPVNYITTSPVTGWAQALQLSVSSGSEDLLPLAKRQAAEVIAKVQGEGINLVQQRYRDLLNQMESYVVCIERLARERSELMNSIGFENEVRSKEWILSAFMNSIQLPSPKRDMGNIPFLQLGNVGVKTPAHEDIQDETGIVLSILGIAVGQLYSDVRAKVSELSSKVTGIAKFRTDEAGLQADAGTSLQLFDQQVEKCALISGFVGEVHGVMEAKLVEMNTAYAKPQHGQWASTFQRILCSSTNMIEQMTEVFLPEIIRSFISYNSEVMEAFGSVSQIRGSVDTALEKLVRIELERASMTEFEQSYFMKVGRITEQQIALEEAAMMGRDHLSWEEAEELASQEEACRAQLEQLQETWSQKDMTISSLMKVGSSAMNSLLSSKQYFSSLVNVDQESEFHLRRSKALLSILTKPLADLEALDHMLSACGLFPYHDHCFFVWKLSLLDSILDLCMHEISSSVEHSFTTNQLYTALKTKLTNHVEKQVYRYIMERIAPAFILQLDKEISDLLQLSQGRRESGQPKRDSAAVGRIAVMLEEYCNAHETARAARTAVSLMQRQSNDLTEALRKIVLEIIQVEWLHDLSSPHAQKSKVLSQNILSDDKFISVLLNISRGNLLDKIQSSVSLVTRSIECLQACENTSVSAEGQLERAMGWACAGPNTSGAGSSTTKASGIPSEFHDHLLKRRKLLRVIQEQASDLANICTSVLEFEGSRDGIYLIPEDKSSGQSTDRGRTWQQTFLNLLTRLDAAYRSFTCAEQEWKLSQFNMESAGKSLYSVTNQLSVVSSRAKSALECSVYIFPVNLQDTLVSMYERASEVTASLSGFKHVSQDRTALTSECGSLLEEVLAIAEGLHDVYIVGKEAAVMHNSIMSNLSKANTILLPLEASLSADLAVMSEAISKEREKNNTSMPLIHGKALYQSYIIRIRDAYKNLEPLVPPLADDAKELHSLMTKLGRLSSIHAGSLHKALEVLGESETVKSQDMPSTHADILQSDSSIEKDKGSSGSREGGSQDLVTTTDLSLQDECWISPPEHSYTSSSGYTTELTQITSSENIENMDPLLVDRPVIEAPGANDQERGADSESDSSSNKQLFINNVTLTNVNSVDEVEISLSKERKSENENTNLPFKQIRGQECDNSDPKSYPDSVTRLTRGKNPFALSILKQVEHKLHGWDIDGTRSLKVSEQVDHLLKQATSIDNLCNMYEGWTPWI >ORUFI03G34840.4 pep chromosome:OR_W1943:3:28707836:28726519:1 gene:ORUFI03G34840 transcript:ORUFI03G34840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPRPPYAAHHQLQQHLASLLSAAAGEPPHPSDDASRAAALSTLRLSLLHPPNRPLLPSLAHFIAPPISVLLADDASYAVRRAAVSAYAALCAVLCAHEAPGGLPDRFVAWGLPLLGEPASAALLAEGLRELLATGDVPAVERFVPPLLAACRDVLEDERTSLTVLRCLLGLLTLVAAKFPHCFRPQFVDIVDLLLGWVFVPDLAESDRSTIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNSLKELIAGPLEGLAPQLLRCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYGMFVDVLAQSLEVASSVQLVAALKTNLQVLSLQNLGLRASAVEALLDFSSFLSRLRLHPNHTVVTNVATTYLFVLQHGLEDVVDQAISSLMKELELLKSLLETGRASYPDIQNLSLGSNSETQSKSNSSTFSLVGYSEHQLLSLMKFDLKILLATISVDTKKRNDKAASLTSFISAKLDPFGTPFHDFLEMQFHIFSILHRLSSMDLSSTIAPSKANGSGDSGSQTQLVIESRKSFCDCKNKFMHKYGKLVVWGLNASSSMTLKLEALAWIDTFGNLVLGMERDVDKRNISYEVHEGATLLNTILFAILDCAYDREPKVRLHVATSLEILFLGRLINPMDFSVVTQVLLDKLSDPDNAVKKGFSRLLSIALPITTYTFGLLENRWSCQHSPDTANMSKHCMSWRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLFFSYRGKKDMFSGQTDVSGDSDGNELFKGPDVDRTIIDRIYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVPNLLAPEATEGEGRYIAPSDTSLLPMRLLLDFVEALKKYVYNAYEGSFVLPAPPKASSLFFRANKRVCEEWFSRICDPMLNAGLALHCNDAVIHYCSSRLLDIRNLAASSLKDNSRMGGATESHHAFRERLEADFLKVLRHASLALCRCHETDALVGLQRWAISTFYTYFEQDKQLVRGVSDSQNHFSWMSGLIYQSQGKYEKAAAHYSHLLQFEEGLASMESDGIQYIIARVIECYTSLSDWKCLEGWLSELQVLRAVHAGKPYSGALTSAGNELNAVHAMACFDGGDFHSAWGYLDLTPKSSSELSLDPKVAVERSELMLLRAMLQSHSKPDKAREELNKAKLMLDEALSVVPLNGLTEAAACAGQLYCIFAFEETTELACPNRTNQSPALMDYLLRLLQDPIDRINQDCNIWLKIFKVYCTTQPSSLPTLLLCQKLASLARKQSNLKLASRLNQYIINHPLSSSDEMEKEMLTLNIKYEGALLKHDQGKKEEALTDLWSLVRATVLSTVSDSSGAGTPLIAKACLKFSTWMERENSTHIMNMILPKVIEDINDSGGFRNGAEKLLLGDNGSVSASNSHVVSQEIIGIARKTSWQLCPSMGKAWHSYASWCITHANYSLSGTDSKLQNSLFPALQSELSPDRFHLTDNEKSEVQEIIRNFCADKDGNYVDCSISPTAGCSYNSEGNPIVSLIEQTICLLETAAGAPGSEACDGEGPSVRLSSELTVLFCKCDSAKDSSMTLIGKLIEIWWTLRRRRVSLFGHAAHAYFQYLSHSSTGLQPSYHRDVLKGKTKSYTLRALLYLLHIILNYGVELKEIVESGLSTVPLLPWQEIIPQLFARLSFHPEKIVRKQLESILVKLGKLSPCSIVYPTLVDINACEGEPSDELQRILDFLVKQYPKLVKDVKLAIEELGMVTVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAIMTPIVVALERRLASTSREPKTSHEVWFHKEYNAQLKSAITALKTPPGSPSALGEIWRPFDSIAASLATHLRKSCISLSEIAPQLAALSTSNIPMPGFEKQIFSSSESSFADSHGTITISSFCKEVTVLSTKTRPKKLVLQGSDGQKYTYLLKGREDLRLDSRIMQLLEAINSFFYSSSDTRSRNMAIRFYSVTPISGRAGLIQWVENVSSIYNVYKTWQKRSQLAQAQLSSVNTVNNSIHKSVPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPLDVKRKVLLELMKETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMIGHVLGLGDRHLDNILMDFSSGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVTCEEVMAVLLRNKDIILMLLEVFLWDPLMEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHQDLLVTNLPATLSSLKKFLDTLEQYEVISAMFYHAEKERSSALQSETSAKSMLAEASSLAEKSRTSFELHAHELAETKAAANDEANKLAVWVEKHGRILEAIRDNSIAGVESCMQLNSKDDALSLISAVLESEVPLTVVPEPTRAQCSELDREVSQLILELQGGLSAALESLGEYALVLQQVLPVNYITTSPVTGWAQALQLSVSSGSEDLLPLAKRQAAEVIAKVQGEGINLVQQRYRDLLNQMESYVVCIERLARERSELMNSIGFENEVRSKEWILSAFMNSIQLPSPKRDMGNIPFLQLGNVGVKTPAHEDIQDETGIVLSILGIAVGQLYSDVRAKVSELSSKVTGIAKFRTDEAGLQADAGTSLQLFDQQVEKCALISGFVGEVHGVMEAKLVEMNTAYAKPQHGQWASTFQRILCSSTNMIEQMTEVFLPEIIRSFISYNSEVMEAFGSVSQIRGSVDTALEKLVRIELERASMTEFEQSYFMKVGRITEQQIALEEAAMMGRDHLSWEEAEELASQEEACRAQLEQLQETWSQKDMTISSLMKVGSSAMNSLLSSKQYFSSLVNVDQESEFHLRRSKALLSILTKPLADLEALDHMLSACGLFPYHDHCFFVWKLSLLDSILDLCMHEISSSVEHSFTTNQLYTALKTKLTNHVEKQVYRYIMERIAPAFILQLDKEISDLLQLSQGRRESGQPKRDSAAVGRIAVMLEEYCNAHETARAARTAVSLMQRQSNDLTEALRKIVLEIIQVEWLHDLSSPHAQKSKVLSQNILSDDKFISVLLNISRGNLLDKIQSSVSLVTRSIECLQACENTSVSAEGQLERAMGWACAGPNTSGAGSSTTKASGIPSEFHDHLLKRRKLLRVIQEQASDLANICTSVLEFEGSRDGIYLIPEDKSSGQSTDRGRTWQQTFLNLLTRLDAAYRSFTCAEQEWKLSQFNMESAGKSLYSVTNQLSVVSSRAKSALECSVYIFPVNLQDTLVSMYERASEVTASLSGFKHVSQDRTALTSECGSLLEEVLAIAEGLHDVYIVGKEAAVMHNSIMSNLSKANTILLPLEASLSADLAVMSEAISKEREKNNTSMPLIHGKALYQSYIIRIRDAYKNLEPLVPPLADDAKELHSLMTKLGRLSSIHAGSLHKALEVLGESETVKSQDMPSTHADILQSDSSIEKDKGSSGSREGGSQDLVTTTDLSLQDECWISPPEHSYTSSSGYTTELTQITSSENIENMDPLLVDRPVIEAPGANDQERGADSESDSSSNKQLFINNVTLTNVNSVDEVEISLSKERKSENENTNLPFKQIRGQECDNSDPKSYPDSVTRLTRGKNPFALSILKQVEHKLHGWDIDGTRSLKVSEQVDHLLKQATSIDNLCNMYEGWTPWI >ORUFI03G34850.1 pep chromosome:OR_W1943:3:28727721:28732677:1 gene:ORUFI03G34850 transcript:ORUFI03G34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATALRKLSSDALRRQPLSRITPLYYMASLPATEERSGVTWPKQLNAPLEEVDPEIADIIEHEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPANFHVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGLIDYDQMEKSAVLFRPKLIVAGASAYARLYDYDRMRKVCDKQKAILLADMAHISGLVAAGVVPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKGVNKQGKEVMYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVMSNCAKFAQSLTAKGYELVSGGTDNHLVLVNLKSKGIDGSRVEKVLENVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDAAVNLALKVKAAAGGTKLKDFVATLQSDSNIQSEIAKLRHDVEEYAKQFPTIGFEKETMKYKN >ORUFI03G34860.1 pep chromosome:OR_W1943:3:28735071:28735508:-1 gene:ORUFI03G34860 transcript:ORUFI03G34860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAIPDLIRYEAEITSSRGLFGKRMTVAPGVLVSGGSPNEEGQDWKQIEDGRRRPVAVSYSHGRRLRCIAEGRREEEEEEVGRSRRGKDLDLDDSEGIWRDCHPSRPPARWQGGGLP >ORUFI03G34870.1 pep chromosome:OR_W1943:3:28743364:28746904:1 gene:ORUFI03G34870 transcript:ORUFI03G34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGIIGGLTGNKNARLKGSLVLMRKNALDINDFGATVIDGISEFLGRGVTCQLVSSSLVDPNNGNRGRVGTEASLEQWLTSLPSLTTGESKFGVTFEWEVEKMGIPGAIIVKNNHAAEFFLKTITLDNVPGHGAVVFVANSWIYPASKYRYNRVFFSNDTSLPSKMPAALKPYRDDELRNLRGDDQQGPYQEHDRVYRYDVYNDLGEPDSGNPRPVLGGSPDRPYPRRGRTGRKPTKTDPTAESRLSLLENIYVPRDERFGHLKMADFLGYSIKALVDGIVPAIRTYVDLTPGEFDSFKDILKLYEGGLKLPSIPALEELRKRFPLQLVKDLIPAGGDYLLKLPMPHVIREDKKAWMTDDEFAREILAGVNPMVIARLTEFPPRSRLDPARYGDQTSTITAAHVERGLEGLTVQQAIDGNRLYVVDHHDHFMPYLLDINSLDDNFIYATRTLLFLRGDGTLAPLAIELSLPHLQDDGLITARSTVYTPAARGGTGAGAVEWWVWQLAKAYVNVNDYCWHQLISHWLNTHAVMEPFVIATNRQLSVAHPVHKLLLPHYRDTMTINALARQTLINGGGIFEMTVFPRKHALAMSSAFYKDWSFADQALPDDLVKRGVAVPDPASPYKVRLLIEDYPYANDGLAVWHAIEQWTTEYLAIYYPNDGVLQGDAELQAWWKEVREVGHGDIKDATWWPEMKTVAELVKACATIIWIGSALHAAVNFGQYPYAGYLPNRPSVSRRPMPEPGTKEYDELARDPEKVFVRTITKQMQAIVGISLLEILSKHSSDEVYLGQRDTPEWTSDAKALEAFKRFGARLTEIESRVVAMNKDPHRKNRVGPTNFPYTLLYPNTSDLKGDAAGLSARGIPNSISI >ORUFI03G34880.1 pep chromosome:OR_W1943:3:28747439:28748908:1 gene:ORUFI03G34880 transcript:ORUFI03G34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSDGEGAGGGLPRSHPSNLPLPAPHSDPNLQFSGTDDDFSNRHSSSSATGGASPGYYSDYPSSFSGECSPYNMSPWNQTMASPWSHHSDASMAGLGGAPAMAPGTSLIGSLVREEGHIYSLAAKTDTLYTGSDSKNIRVWRKQKDSGGFKSSSGLVKAIVISGERIFTGHQDGKIRVWKVSPKNGLHKRVGSLPRLRDFLRGSLNPSNYVEVRKNRTALWIRHSDAVSCLSPTDSAQGLLYSGSWDRTFKVWRINDSKCLESVVAHDDNVNAIVAAFDGLVFTGSADGTVKVWKRELQGKGTKHVAVQTLLKQEHAVNALAVSAVAPVLYCGSSDGLVNFWEGERHLVHGGVLRGHKKAVFCLAAAGSLLLSGSADNTIYVWRRDGGVHSCLSVLTGHTEPIRCLAIVEDNKDNAAVPVDAVDSSFASGSSTRWIVYSGSLDKSIKVWRVAEDAPDALLRGPGGGDAPQMFDRYPGDPFGASSSSFR >ORUFI03G34890.1 pep chromosome:OR_W1943:3:28752451:28755814:1 gene:ORUFI03G34890 transcript:ORUFI03G34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVRGGATRGQAWFCTTGLPSDVVFEVQDMSFHLHKFPLMSKSRKIHRMVMEHEAEQPAGQRRRRRRRRRGSEGSNAGEEGDEQTEIEEAEEEEEDEEEEEEGQAFCIAFPDFPGGPGTFETAAKFCYGVRVELTAWNVAPLRCAAEYLEMTEEHAEDNLAARAEAFLEQAVLRHPGEATKALKSCEELLPHAEELGIVGRCVEAIAARSSAASRSWFDDLAVLGLHMYKRVMAAMAARADVRTEARESCLVSYARGTIPGLSRSMRRRLASAPVSSEVEQRDLLEAVVASLPADKCSGRVVTAKFLFALLRTAHILRASDAACAALERKAATQLEHATLEDVLIPSYSGATETLYDVDCVERVVRHFLAEEEDHGEAEASTSAAAAITEEAPAPAATTLSRPSAVAMVHVGKLVDSYLAEIASDANLKPAKFCELALALPDHARVYDDGVYRAVDIYLKAHPRLAAEERDRVCGVVDCRKLTVEACTHAAQNERLPLRAVLQVLFFEQLQLRRAITGTLLSSAGAGATQTRLHLHRYQQPRPAAMAARHSADAGGGRGEAAWRSTATQDSQVLRLDMDSMRNRVQDLERECSSMRRAIKKIDGRSAAASPRHSDAGDDDAASADGSSRPANWRSRYGCKFSTQVCDSHARNVVASRASRMGMSP >ORUFI03G34900.1 pep chromosome:OR_W1943:3:28760091:28761110:1 gene:ORUFI03G34900 transcript:ORUFI03G34900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALLVMSCVARLDDKDMGAGGGVEEAWVASRWRPSRTDEVRHLMVHESMHDVVGNLSVFLLLSSADGLTPSISIPPHMAVSLPVAPFPRAGDTLSPSRRLSRGAYTLPLPLLATLRQGCLVPFS >ORUFI03G34910.1 pep chromosome:OR_W1943:3:28776683:28778767:1 gene:ORUFI03G34910 transcript:ORUFI03G34910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGGGGGGGSGRLLRGATAKAFHGDGSSHHRMMPSSSSSVAAGGGGGVAGPCRIPSLKFPSLWESKRQGGGVGSRAAERKAALIALGAAGVTALERERGGGVVLLPEEARRGADLLLPLAYEVARRLVLRQLGGATRPTQQCWSKIAEATIHQGVVRCQSFTLIGVAGSLVGSVPCFLEGCGAVVRSFFVQFRALTQTIDQAEIIKLLIEAIDMFLIGTALLTFGMGMYIMFYGSRSIQNPGMQGDNSHLGSFNLKKLKEGARIQSITQAKTRIGHAILLLLQAGVLEKFKSVPLVTGIDMACFAGAVLASSAGVFLLSKLSTTAAQAQRQPRKRTAFA >ORUFI03G34920.1 pep chromosome:OR_W1943:3:28783426:28784046:-1 gene:ORUFI03G34920 transcript:ORUFI03G34920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRIIFCVVIAAAVLAVVLLATVSPLPGRHKGGGGGRDHQWTLTVYIHTTTAAAAAAAGTVSPPQRQQQASAFVFRHRMTAGPESASRTVGAATGFVLPAEAAGSAMSVFDTVHLAFDVAGMSGSVCVQAAAGDGGGGEKAPRPTRRRGECGDPEVLRVVGGTGDFAFAAGGDGVLRVLCAPRLFGGAAAAKVLRLELSVAHAKG >ORUFI03G34930.1 pep chromosome:OR_W1943:3:28797126:28797638:1 gene:ORUFI03G34930 transcript:ORUFI03G34930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACNSCEATAVAAVNGRSASGEATAARVVLADGALRRFPGGTRASQAVKAAGGGGGGSSWFLCSADGLELGAAVAAVGDGDDEELQPGQLYFVLPAAMRRRPLQAEEMAALAVRASAALVGDHDGPLVFPEAAASAADPRAAGKGCRRSRTRRHSRGRDFVPDLGAIAE >ORUFI03G34940.1 pep chromosome:OR_W1943:3:28803692:28804423:-1 gene:ORUFI03G34940 transcript:ORUFI03G34940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMRLGSIDPMTMEVAIGVEEATQKLPPIPLSAPTAHPLLEAQSGFEAQEELAAQEEMAAQASKEVVPDVRLALGC >ORUFI03G34950.1 pep chromosome:OR_W1943:3:28809311:28813758:1 gene:ORUFI03G34950 transcript:ORUFI03G34950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGGGVRDMDALEGVRSIVLKPSESLDEGRFTRIAGADFNDAGLGLPGLLASLATTGFQASNLGDAVDVVNQMLDWRLSHEKPREDCDEAELDPTYRESVKCKIFLGFTSNLVSSGIRDVVRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGEFSLPGTLLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLQEQSTENVWTPSKVIARLGKEINDENIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLIVAATFARKFHGAKQAN >ORUFI03G34950.2 pep chromosome:OR_W1943:3:28809311:28813758:1 gene:ORUFI03G34950 transcript:ORUFI03G34950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGGGVRDMDALEGVRSIVLKPSESLDEGRFTRIAGADFNDAGLGLPGLLASLATTGFQASNLGDAVDVVNQMLDWRLSHEKPREDCDEAELDPTYRESVKCKIFLGFTSNLVSSGIRDVVRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGEFSLPGTLLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLQEQSTENVWTPSKVIARLGKEINDENIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKGTLHGS >ORUFI03G34960.1 pep chromosome:OR_W1943:3:28814401:28819690:1 gene:ORUFI03G34960 transcript:ORUFI03G34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVKFIPREQIGEDALGGAGSAGSDSSEDRRRRKKRGRKGRDKEERRDRRRRRRRSKYGSDSEEGSDSGDSIDEEEEKGLSRSKHRRKHQRRRHEFSDDDDDDDEESSGSRKSKLGGAAGDDDDDEEEDEGIGGEELRASDIVRKEMGLEWMLKSASSGRAESSQARGADKDEEEVAPEEVKKANPKELNPYLRDNGSGYPDESSPSNAGNQLLASSVVGDGGASWRLKALKRAKEQAAREGKQLEEVVGERWGSLGHLAASVSASRAAPSHAHLHAIRGRKAGQAGSSEEHSKENPKEGQQGGDSGRREYLKDVSSRHHAMRKPKPDSVPWKRNRQNISSEDQALISSAIAGINKFSNDGSFLEKINNLESKTVNVLTAEVDELKSDKGSSKKAPSVSTQKLNANQLAAKILQLRMKGKHEEAEQLSREMEAVLENEDTAVEEPRHEVRSSTRNTIKPSAADRRKREEDADRHLANKIMHNKQYNMSKSIEDEYDFGDAPSKKGKRRNKDAHEERRSTHRLTTQKERCMYCFENPSRPKHLVVAIGNFTYLMLPQLEPVVPGHCIILPLQHESATRTVDRSVWEEIRNFKKCLLKMFAQQDKDIVFMETVISLAKQRRHCMIECIPIPSEVSNNAPMYFKKAIDEAEEEWTQHDMKKLIPTKGNLHQVIPENFAYFHVEFGLDRGFVHVIDDESKFSAGFGLNVIRGMLQLREEDMHRRRRHESMDNQKQAVANFMKDWEPFDWTKQLD >ORUFI03G34970.1 pep chromosome:OR_W1943:3:28820107:28820529:-1 gene:ORUFI03G34970 transcript:ORUFI03G34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERNDVRSEDRRPQAVASDQASFPMTHGTHTIAVPLGTGCLFWMNFASLDWMHRLVLPTYLLLLAWHADAGMLHLLAFRASLEHKATKD >ORUFI03G34980.1 pep chromosome:OR_W1943:3:28823265:28829410:1 gene:ORUFI03G34980 transcript:ORUFI03G34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTAAPRHSCAKLSVAVEDPKAGGGGAVFVKATWHPTRFSLAVTDGGAAWVAQASDAEVRLRAEQWDQPVADYLALAERYLAFQQPSSTYSFHDAANGNRRLSWTFEKQGTKLEWRWKLQPAPNTQQTIAEILDFLMDANIRLSEEVVRKTQSFDKLKQESEKCLQQSERFNIEKAEFEQSTFSKFVAVLNSKKAKLRQLKDKLTEFESADKAPKEEDENSTDKTELFEEASDKDASVNDEPSETGGGDLHSSPEKTAATSRGGRAVQALDENVLIKLYFCGPNKRLHSGIHAAKHFLLLTTTSPPIGWGKKRRSRAEQEGAEMEEKRIKKQRRREFLGGNPVTGGETWRVCLVHAKIGSLVEIGTM >ORUFI03G34980.2 pep chromosome:OR_W1943:3:28825822:28837469:1 gene:ORUFI03G34980 transcript:ORUFI03G34980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITGSQFCGPNKRLHSGIHAAKHFLLLTTTSPPIGWGKKRRSRAEQEGAEMEEKRIKKQRRREFLGGNPVTGGETWRGDKNSIVQSAAIYIHELKVARDQLQRRNEELKAQIMGHDEQQPCVTVQFEVDEPSSSIDSMIAALRRLKGMSVKARGIRSSMSGNRLWTEMNVETTIAACEVEKAVEEALKEVERNQPDSDAPFPGSKGWTQTSHVQNVF >ORUFI03G34980.3 pep chromosome:OR_W1943:3:28823265:28826298:1 gene:ORUFI03G34980 transcript:ORUFI03G34980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTAAPRHSCAKLSVAVEDPKAGGGGAVFVKATWHPTRFSLAVTDGGAAWVAQASDAEVRLRAEQWDQPVADYLALAERYLAFQQPSSTYSFHDAANGNRRLSWTFEKQGTKLEWRWKLQPAPNTQQTIAEILDFLMDANIRLSEEVVRKTQSFDKLKQESEKCLQQSERFNIEKAEFEQSTFSKFVAVLNSKKAKLRQLKDKLTEFESADKAPKEEDENSTDKTELFEEASDKDASVNDEPSETGGGDLHSSPEKTAATSRGGRGRKRTRK >ORUFI03G34980.4 pep chromosome:OR_W1943:3:28825822:28829410:1 gene:ORUFI03G34980 transcript:ORUFI03G34980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEITGSQFCGPNKRLHSGIHAAKHFLLLTTTSPPIGWGKKRRSRAEQEGAEMEEKRIKKQRRREFLGGNPVTGGETWRVCLVHAKIGSLVEIGTM >ORUFI03G34980.5 pep chromosome:OR_W1943:3:28834547:28837469:1 gene:ORUFI03G34980 transcript:ORUFI03G34980.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQMESYYGAFHADEAAFFFPHHVPASPELPFGLIASPEPEPEPEQAAAEARQSAFQEYGGAVHAGAPAAAGAVTTGGTNIHRRVMDVLGRMGGGGGGGEKGEGEEMEEEEEVPQRRRRGQGADVESSRGFRHMMRERQRREKLSQSYADLYAMVSSRSKGDKNSIVQSAAIYIHELKVARDQLQRRNEELKAQIMGHDEQQPCVTVQFEVDEPSSSIDSMIAALRRLKGMSVKARGIRSSMSGNRLWTEMNVETTIAACEVEKAVEEALKEVERNQPDSDAPFPGSKGWTQTSHVQNVF >ORUFI03G34990.1 pep chromosome:OR_W1943:3:28829720:28830457:-1 gene:ORUFI03G34990 transcript:ORUFI03G34990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYCCSSRRRRHGSRRTWCPTWRRSARTGSTQRALSSGSALRGVPDAHLVCLVGNMMTEEALPTYQSMANRFESARDVTGADGTAWARWICRWSAEENHHDDVLNRYMYPSGRLDMRQVERTVHRLISSGMAMHALVSPDHGFSYVAFQERATAATRHATSAPMATTRSPASAAPSPPTRAPRGRLHPRRRDAPRRCPRHLRHDRRRLVLLRWLEQWQQVLLHPWLHLLKHLQPRRRRCRPVRLW >ORUFI03G35000.1 pep chromosome:OR_W1943:3:28838254:28838496:1 gene:ORUFI03G35000 transcript:ORUFI03G35000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIVTARDSNLDDDEGCDRAWARRIRHTELRKGAQLQRRGEATAIEEGHEGDGSVEVDEACFYLMGLDYGPKRDGFGPR >ORUFI03G35010.1 pep chromosome:OR_W1943:3:28846871:28853800:1 gene:ORUFI03G35010 transcript:ORUFI03G35010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEEANREAVQSCHRVLTLLSSPHSQLVPNKDLAAATGEAVAKFCSVASRLNNGNGLQGHARVRKIKKPLPIFDSNLFLESPALAVATAAKTPNSSPITSLQLFPTYHQMEGSSSKDPVRIPAQFPKRLLLDNPAVDSDGPSRGPPLQLIQPVSVAPPAGTPHPALPSAHLHFIQQHQSYQRFQLMQQMKMQSEMIKRSGLGEQGGSNGGGKGVNLKFDSSNCTASSSRSFLSSLSMEGSIASLDGSRSSRPFQLVSGSQTSSTPELGLMQRRRCTGREDGSGRCTTGSRCHCAKKRKLRIRRSIKVPAISNKVADIPADEFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPSMLIVTYEGDHNHNRVLAAQPA >ORUFI03G35010.2 pep chromosome:OR_W1943:3:28847185:28853800:1 gene:ORUFI03G35010 transcript:ORUFI03G35010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEEANREAVQSCHRVLTLLSSPHSQLVPNKDLAAATGEAVAKFCSVASRLNNGNGLQGHARVRKIKKPLPIFDSNLFLESPALAVATAAKTPNSSPITSLQLFPTYHQMEGSSSKDPVRIPAQFPKRLLLDNPAVDSDGPSRGPPLQLIQPVSVAPPAGTPHPALPSAHLHFIQQHQSYQRFQLMQQMKMQSEMIKRSGLGEQGGSNGGGKGVNLKFDSSNCTASSSRSFLSSLSMEGSIASLDGSRSSRPFQLVSGSQTSSTPELGLMQRRRCTGREDGSGRCTTGSRCHCAKKRKLRIRRSIKVPAISNKVADIPADEFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPSMLIVTYEGDHNHNRVLAAQPA >ORUFI03G35010.3 pep chromosome:OR_W1943:3:28846871:28851243:1 gene:ORUFI03G35010 transcript:ORUFI03G35010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRRARDPAAESPALAAETDLVVPVAVGWGPPSGRGPGPHRGDSISDSSLPRRVAGWFAGLQRLQGRKARIGCGGLRVRPIGLLGLVLDSTSTAAALPCLYQPRESERERERGSHSLSSSSSSSPPVEVSALAPARVGGE >ORUFI03G35020.1 pep chromosome:OR_W1943:3:28858844:28860784:1 gene:ORUFI03G35020 transcript:ORUFI03G35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYFFFLQSLLLCVAAVALLQLAKVAATMRRRPRTPPGPWRLPVIGSMHHLVNALPHRALRDLAGVHGPLMMLGLGETPVVVASSRGAARAVLKTHDANFATRPVGNKHVMPTRPRLLAGEIVGYGWADILFSPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVTARVEEIRAAAAPSTPVNLSVLFHSTTNDIVARAAFGRKRKSAPEFMAAIKAGVGLSSGFKIPDLFPTWTTALAAVTGMKRSLRGIHKTVDAILQEIIDERRCVRGDKINNGGAADDQNADENLVDDMFAGGTGTSASALEWAMSELMRNPAVMKKLQGQIREAFHRKAVVTEADLQASNLSYEFIPFGSGRRMCPGFNYGLASMELVLVAMLYHFDWSLLVGVKEVDMEEAPGLGVRRRSPLLLCATPFVPAAVSADY >ORUFI03G35030.1 pep chromosome:OR_W1943:3:28864493:28865290:1 gene:ORUFI03G35030 transcript:ORUFI03G35030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSTSSAAAQPAPASAAMYGSYASPSSGAGGYAKIPTYPPPPSAYPAAPPPPVMGQPVPPPPAQLHDPTAPPSPIAKAAELVTRFREQGQALIAARRPWGEVFRAPAFSRPPSVGEAVARARRNAAYFRANYALAVLAVVAASLLWHPGTLFALLALCAAWFFLYFARPASSAGQPLRLLGMEFEDGTVLAALTGVTVIALLFTNVGWNVIGSVMIGAALVAAHATLRSTDDLFLTEQEAAGDGLVAAGMSAAGPILPTYVRIA >ORUFI03G35040.1 pep chromosome:OR_W1943:3:28868210:28872830:-1 gene:ORUFI03G35040 transcript:ORUFI03G35040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARGGVRRVRREALVACMTCPLCKGLLREATAITECLHTFCKECIMEKIDDEEVDHCPVCNIDLGCDPEEKLRPDHNVQDIRNKVFPLKVKKVGAPKAPTVTLPVKRKQRSLSSLVVDTPRVAVQTGLTGRRTKTARRTAVSHVNSPGNNGTIKLANKSEGRDHKTQKISAAQSAKMTKTGNKKKNNTDVDVTIQSSSEDRKDDHTIDKEDLKKPLNSLVDTANRTKFFRSGPKGQAAKEDKIKNSIKLLAEDDTEDKLVVTGRKVMPCSNKLKVKEENNRSPSQSASSKDKTTSDYELRKGQHADSQQGQIGSTRTGALHDGITRPVWFLLVPSPDQKQDPRLPQLPTYYVRIKDGSLQTSLIQRYIMNKLDLASEDEVEITCHGEAISPSTTLQGLLELWLKSSPVEQVQASLGAQAKEFVMELGYRRPQRPPSS >ORUFI03G35050.1 pep chromosome:OR_W1943:3:28876834:28877941:1 gene:ORUFI03G35050 transcript:ORUFI03G35050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSGGCGISAYATTRSPARYLTRSAIAPSSTSRTSTTTTSIVGGVPWWLDALPNLTVLRLSHNSLSGHIPPSLANLTKIVQLEFDQNLMESSIPDGLSRLPALRMLALSQNSLTGEIPPSFFNMTSLRGLTLANNVFRGELPADRRRRMLPWRASARARETMKGAPTPGRGGSAGAGHPSRRSRTPTDDGGAWEFLNNLTNCNALAEIFLDGNMFADVMLSSVVVPSTIGRLATSRSYSSST >ORUFI03G35060.1 pep chromosome:OR_W1943:3:28876872:28877898:-1 gene:ORUFI03G35060 transcript:ORUFI03G35060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGTTTELNITSANMLPSRNISAKALQLVRRRRHRSAYGSDEMDAPLLRSLPSLGWALLSLSPAPARMLSTAASDALVKIKPGEISMVSGIPKEHLRRKVLLWTYSDLCSVDRFASPRRSVWESLEMSRFELGRNVLVRARPRRSAGSSPRNTLFASVRPRNDVMLKKLGGISPVRLFCDKANMRSAGRRDKPSGMELSIRFWSNSSCTIFVRFASDGGMWPESELWLSRSTVRFGNASSHHGTPPTIEVVVVEVRDVEDGAIAERVRYLAGERVVA >ORUFI03G35070.1 pep chromosome:OR_W1943:3:28879237:28880970:1 gene:ORUFI03G35070 transcript:ORUFI03G35070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMIRVLLVEDEEINRVVARAALKAAGGGDVVDEAENGEVAVQRVRDAAAPYDLVLMDKQMPVMDGHEATRRIRGMGVTTPIVAVSSDGLPADVDAFITAGADDFTSKPLSKEKLGVILAKFRLA >ORUFI03G35080.1 pep chromosome:OR_W1943:3:28881738:28886550:-1 gene:ORUFI03G35080 transcript:ORUFI03G35080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVVAGEAAAAAAAAGAGGKKRGASRSWILFDAAGEERVLDADKYAIMHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITAEEVLLRDPLDDNVIPVVEELRRRLAPSSATQHDVEGAEEDESPFEFRALEVTLEAICSFLGARTTELESAAYPALDELTSKISSRNLDRVRKLKSGMTRLNARVQKVRDELEQLLDDDDDMADLYLSRKLAGAASPVSGSGGPNWFPASPTIGSKISRASRASAPTIHGNENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYSLVAGIFGMNIPYTWNDNHGYVFKWVVLVSGLFCAFMFVSIVAYARHKGLVGS >ORUFI03G35090.1 pep chromosome:OR_W1943:3:28897371:28903088:1 gene:ORUFI03G35090 transcript:ORUFI03G35090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAELEAVEGLRWPWHAWPPTASAAASLVVPTSVLCTPLHPAAPDLLPLLPYAPLRCGGGGGGGGCGAALNPFSRVHHATARWACPFCGASANPFPRLLAPDALPAELFPTHSSVEYALPPDAAEAGGGPGPPSVVFVVDAATSGPELAALKAEVLRVVQGLPEGVRVALVSFAASVWVHDLGFEGCTRVVVMNGERELESEKIQEFLGVRDARYNKLAMPRSTKVQRFLLPVSECEFNITSAIEDLRSMSACPRGHRPLRATGAAISTAVALLEGCCSPNAGGRIMVFTSGPTTVGPGLVVETDLGKAIRSHRDIFNGNAPLIDKAREFYKKVANRLTAHALVLDLFACSLDQVGAAELRYPIEVSGGLMVHTESFESEQFKSCLRHIFNREGVGYLNMNFNATIEIVTSREVKICGALGPCISLHRKNSSVSDKEIGEGGTNYWKMSTVDSKTCIVFFFRVDCSHNTEPPTVFFIQFMTRYRHGDGSYRLRVTTVARRWAGPRSPEIAAGFDQEAAAAVMARLAVHRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVFNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDAEALLVDRFPVPKLIKCDQHGSQARFLLARLNPSVTQKTQLSDGSEVIFTDDVSLQVFIEHLQELAVQG >ORUFI03G35090.2 pep chromosome:OR_W1943:3:28897371:28904473:1 gene:ORUFI03G35090 transcript:ORUFI03G35090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAELEAVEGLRWPWHAWPPTASAAASLVVPTSVLCTPLHPAAPDLLPLLPYAPLRCGGGGGGGGCGAALNPFSRVHHATARWACPFCGASANPFPRLLAPDALPAELFPTHSSVEYALPPDAAEAGGGPGPPSVVFVVDAATSGPELAALKAEVLRVVQGLPEGVRVALVSFAASVWVHDLGFEGCTRVVVMNGERELESEKIQEFLGVRDARYNKLAMPRSTKVQRFLLPVSECEFNITSAIEDLRSMSACPRGHRPLRATGAAISTAVALLEGCCSPNAGGRIMVFTSGPTTVGPGLVVETDLGKAIRSHRDIFNGNAPLIDKAREFYKKVANRLTAHALVLDLFACSLDQVGAAELRYPIEVSGGLMVHTESFESEQFKSCLRHIFNREGVGYLNMNFNATIEIVTSREVKICGALGPCISLHRKNSSVSDKEIGEGGTNYWKMSTVDSKTCIVFFFRVDCSHNTEPPTVFFIQFMTRYRHGDGSYRLRVTTVARRWAGPRSPEIAAGFDQEAAAAVMARLAVHRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVFNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDAEALLVDRFPVPKLIKCDQHGSQARFLLARLNPSVTQKTQLSDGSEVIFTDDVSLQVFIEHLQELAVQG >ORUFI03G35100.1 pep chromosome:OR_W1943:3:28901951:28904021:-1 gene:ORUFI03G35100 transcript:ORUFI03G35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADVDVGTELRLGLPGGGGGAAEAAAKAAKRGFEETIDLKLKLPTAGMEEAAAGKAEAPAAEKAKRPAEAAAADAEKPPAPKAQAVGWPPVRSFRRNIMTVQSVKSKKEEEADKQQQQPAANASGSNSSAFVKVSMDGAPYLRKVDLKMYNSYKDLSLALQKMFGTFTATGNNMNEVNGSDAVTTYEDKDGDWMLVGDVPWQMFVESCKRLRIMKGSEAIGLAPRAKDKYKNKS >ORUFI03G35110.1 pep chromosome:OR_W1943:3:28908300:28909164:1 gene:ORUFI03G35110 transcript:ORUFI03G35110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVNSTGSTPLEADGSINPPPLSLSLLSVPTDSGSVLHKTGTINAATTGVTTINVAARMHIGSGSIIPEAGIVVVAASEVVGCR >ORUFI03G35120.1 pep chromosome:OR_W1943:3:28923190:28928083:1 gene:ORUFI03G35120 transcript:ORUFI03G35120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGAGRPPWDPTVWLRLGHPALVLLERCGGGARPFKAILAHMLRLRLAFETFPMSRLLHFATVSFPHHARAAETLFEHFTPRPNLYIYNLMLSSAAAAAAAASSSPSRRPAALYMSMLASSIHPDEQTFLSLLKSVDAERRSVGKQVHAHVVVTGLHSRVYLRNSLIKMYLDAGDVEAAEAMFRCAPTADAVSCNIMLSGYVKGGCSGKALRFFRGMASRGIGVDQYTAVALLACCGRLKKAVLGRSVHGVVVRRISVADRGLILSNALLDMYAKCGEMNTAMRVFDEAGERDGISWNTMVAGFANAGLLDLASKYFGEAPARDIISWNALLAGYARYEEFSATMILFHDMLASSVIPDKVTAVTLISAVAGKGTLNSARSVHGWVVKEHGTQDSFLASALVDMYCKCGSIKLAYAVFEKAVDKDVTLWTAMISGLAFHGHGDVALDLFWKMQAEGTEPNGVTLVAVLSACSHAGLFDEGCKIFDTLKQRFNIEPGVEHFGCMVDLLARSGRLSDAVDLARRMPMKPSRSIWGSILSASSACQNTEVAEIASKELLCLEPAEEGGYVLLSNMYAAEGQWNYSNEVRENMERKGVRKSAELSMVTNFPYFSSPPEHIKCISALTICIIMLASPLGKCIRAVEKTDVLLNFHSLHTTPSILDKYKHS >ORUFI03G35120.2 pep chromosome:OR_W1943:3:28923190:28928083:1 gene:ORUFI03G35120 transcript:ORUFI03G35120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGAGRPPWDPTVWLRLGHPALVLLERCGGGARPFKAILAHMLRLRLAFETFPMSRLLHFATVSFPHHARAAETLFEHFTPRPNLYIYNLMLSSAAAAAAAASSSPSRRPAALYMSMLASSIHPDEQTFLSLLKSVDAERRSVGKQVHAHVVVTGLHSRVYLRNSLIKMYLDAGDVEAAEAMFRCAPTADAVSCNIMLSGYVKGGCSGKALRFFRGMASRGIGVDQYTAVALLACCGRLKKAVLGRSVHGVVVRRISVADRGLILSNALLDMYAKCGEMNTAMRVFDEAGERDGISWNTMVAGFANAGLLDLASKYFGEAPARDIISWNALLAGYARYEEFSATMILFHDMLASSVIPDKVTAVTLISAVAGKGTLNSARSVHGWVVKEHGTQDSFLASALVDMYCKCGSIKLAYAVFEKAVDKDVTLWTAMISGLAFHGHGDVALDLFWKMQAEGTEPNGVTLVAVLSACSHAGLFDEGCKIFDTLKQRFNIEPGVEHFGCMVDLLARSGRLSDAVDLARRMPMKPSRSIWGSILSASSACQNTEVAEIASKELLCLEPAEEGGYVLLSNMYAAEGQWNYSNEVRENMERKGVRKSAGIIMLASPLGKCIRAVEKTDVLLNFHSLHTTPSILDKYKHS >ORUFI03G35130.1 pep chromosome:OR_W1943:3:28927319:28928940:-1 gene:ORUFI03G35130 transcript:ORUFI03G35130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGLARWCLGGTDLGDSCAGYGDGDGDPDQRRRTYGADEDCGDGGVGVDRGWLGAAAVEGERLRKRRWTIGGGRRAEARWERGERSRKEMGVRGNREITDEEITRGGRWERRDGEEIAIGVRQRSGWRGGGAASGFCGQRHGGSGGVRVRERRVLVFVEDGGSGMQRMKIQQDIRFFNCTDAFPKRRCQHDDTCNRQRNMLEHKSATPPKQQHTVK >ORUFI03G35140.1 pep chromosome:OR_W1943:3:28928512:28931881:1 gene:ORUFI03G35140 transcript:ORUFI03G35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAKTGRRPAATPTTTLPHANRDLLPIPSLPPPPSRDLLIRDFAISPHSHLFAGSLPSLPSRLSPSATADGPTPLPQPLPLNSSRTQPPTIHADAAVAAVLIRSDSGSEKVTMVPSLSSGLSAAAAFLIPVAVSSKASSPSSQGRSLIVANLPFHWKRRCRFKLIRQVT >ORUFI03G35150.1 pep chromosome:OR_W1943:3:28934833:28940820:1 gene:ORUFI03G35150 transcript:ORUFI03G35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKSAEKDKGKKAPVSRSSRAGLQFPVGRIHRQLKQRTQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKEVVDFVVHGLPGLCSYDVVLSADWIQHRYTVLYIAGIILEDLHNTGGGACMEGLTSEQMVAFQEAFLLFDKNGDGCITLEELAAVTRSLGLEPTDQELNDMMREVDTDGNGIIDFQEFLSLIARKMKDGDGDEELKEAFEVLDKDQNGFISPTELRTVMTNLGEKMTDEEVEQMIREADTDGDGQVNYDEFVIMMKNAERKISG >ORUFI03G35160.1 pep chromosome:OR_W1943:3:28940999:28941541:-1 gene:ORUFI03G35160 transcript:ORUFI03G35160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAKQAASPPSLSSPPTPRRRRQSWAAARTAAAGLSLSTACSGVGRGAGKAPTTRKNRRRRPDPAPGCTDPVPPWPDLLLRAAASRVGKSQHRRRGGGAFGGRRAASEAGGPHRRPEGGLGGVELAAGRRTRRHDRGCGDKRRAWPDLAGRLAAALAAVVQLRRRWMATVAAAGEGSNG >ORUFI03G35170.1 pep chromosome:OR_W1943:3:28943542:28951573:1 gene:ORUFI03G35170 transcript:ORUFI03G35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGSGGGAEAHARSRKYDYVENSNLVLGSGSGSRPRGGADEHTGEPETLRGRIDPRSFGDRAVQAKPPVEPPRRRKARDAADHDIGHRLDAKLRRRAASACTAQREVSVLSLIDDVVYRPRTKETRAAYEALLSVIQRQLGGQPPDVLGSAADEVLAILNNDKIKSPEKKRGIDKFLDPIYDQMFHQLVSIGKLITDFHDTAVCDSASALDENFGVAVEFEQNEDDEGSDSDQVLDDLDEDDGDTMLNAAGAMQIGDELDDDDMHNSNEELAINAQDIDAYWLQRKVSQAYEDIDPQVSQELSLEIMSFLSESDDKDVENRLVTLLGYDNFDFIKLLVRNRLKIFWCTCLARAEGQEKRKMIEENMLSDPTLSPILEQLHATRVSAKDRLKNMEKSIRDEAKRLTEKQNAGINGARDQRVVKRDMESGWLKGQKQLLDLENLTFHQGGLLMVNKKCELPPGSFRTPHNGYEEVHVPALKAKPYETGEKVVKISDMPDWAQPAFAGMTQLNRIQSKVYDTALFKPDNIILCAPTGAGKTNVAVLTILQQIGLHMKDGEFDNTKYKIVYVAPMKALVAEVVGNLSARLKEYNITVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRIYTQMVKLLIIDEIHLLHDNRGPVLESITETTKEHIRLVGLSATLPNYQDVAVFLRVHSGGLFHFDNSYRPCPLAQQYIGITVKKPFQRFQLMNQICYEKVMAAAGKHQVLIFVHSRKETAKTARAIKDIALSNNKLTCFPKVESTSLEILADHANHVKSNDLKDLLPYGFGIHHAGLTRVDRELIEGLFADKHLQVLVSTATLAWGVNLPAHTVIIKGTQVYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTEQSELQYYLSLMNQQLPIESQFISRLADHLNAEIVLGTIQNVREACTWLGYTYLYIRMLRNPTLYGLPADIMETDNTLDERRVDLVTDLGRIASYYYVSHRTISTYNECLKPTMGDIELCRLFSLSEEFKYVSVRQDEKMELAKLFNCVPIPVKESLDEPSAKINVLLQAYISRLELEGLSLSSDMVYIRQNAGRLLRALFEIVLKRGWAQLAEKALNLCKMIDKHIWNVQIPLHQFPSIPKEILMKLEKKELAWERYFDLSSQEIGELIRTPKMGMQLHKCIHQLPKLNLSAHVQPITPTVLGFELTITADFQWDDELHGYVEPFWLIVEDNGGDNILHHEYFMLKKQYVDEDHTLNFTVPIYEPLPPQYFIRVVPDKWLGSQTILPVSFRHLTLPEKYAPPTELIDLQPLPVTALRNARYESLYCAFKHFNPIQTQVFTASYNSDDSVLVAAPTGSGKTICAEFAILRNHHKAVSGDSNMRVVYVAPIEALAKERYKDWESKFGELARVVELTGETAADLKLLDKGEIIISTAEKWDALSRRWKQRKNVQQVSLFIFDELHLIGSENGHILEIIISRMRHMANHIGSNIRIVALSASLANGKDLGEWIGTSSHSLFNFPPAVRPLPLEIHIQGWDVAIFEARMQAMSKPTYTAITQHAKHGKPALWICVHTQVLSGGTPFLLGSEDEMDTFTSGVNDEALKYTLKCGVGYLHEGLSDFDQELVTQLFLGGRIQVCVASSKMCWGRSLPSHLVVVMGTQYYDGRGNSHTDYPVTDLLQMMGHANRPLQDDSGKCVILCYAPRKEYYKKFLFEAFPVESHLQHFLHDHMNAEVVAGLIENKQDAVDYLTWAFMYRRLAKNPNYYNLQDVSHRHVSEHLSELAETVLNDLESTNCLAIEENIYLKTLNLGLIASYYYVTYTTIERFSSMLTQKTKLKGLLEILASASEYADLPSRPGEQKSIERLVHHQRFSVEKKVRYDDPHVKANALLQCHFSRRTVEGDLAADQREILLPAHRLLQALIDVISSNGWLTLALNAMELSQMVTQGMWDRDSVLLQLPHFTEELARRCQENEGKAIETIFDLAEMSTHEMQDLLQLPSSQLQDIVGFLRRFPNIDMAFQVLEGDGGSVTVQVTLEREMADLLQSEAGPVHAPRFPKPKEEGWWLVIGDRSTDQLLAIKRVKLQKRARVKLEFAAPAEAGRKDYMVYLMSDSYLGCDQEYEFAVDVKDAGGD >ORUFI03G35180.1 pep chromosome:OR_W1943:3:28952838:28955814:-1 gene:ORUFI03G35180 transcript:ORUFI03G35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQAAFAASMFPQLAQRRGSDRAVVVAPPAPVRVAMRSGGAAAAAAAARGVRCRASLIEPDGGRLVELVVPEEGGRREAARREAAALAHRVRLGRVETEWLHVLSEGWASPLRGFMREAEFLQALHFNAIRGGDGAMVNMSVPIVLPLGDAQRRAIEASGARRVALVDAADRPLAVLSDIEIYKHNKEERIARTWGTTAPGLPYVDEAITNAGDWLIGGDLEVIEPIKYNDGLDQYRLSPAQLREEFARRNADAVFAFQLRNPVHNGHALLMTDTRKRLLEMGYKNPVLLLHPLGGFTKADDVPLSWRMKQHEKVLEEGVLNPESTVVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDADHGKKVLSMAPGLEKLNILPFKVAAYDTKQKKMDFFDPSRKDDFLFISGTKMRTLAKNCQSPPDGFMCPGGWKVLVEYYDSLTPSADSSKLREAVAA >ORUFI03G35190.1 pep chromosome:OR_W1943:3:28964311:28965322:-1 gene:ORUFI03G35190 transcript:ORUFI03G35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEANPSVAHSASPSPTTLSASSCSPPNTTTASTSSPSRRTSMVMASWIWPATRGKKKAGDDVVDRPSTMWWIGRQPRFLVSIKAIASAVRRGVVHAMSHMTASRLARLRNLIAVSDMSGKDGEVDLRGGAAAKTGWAEPARAVADRMMGVSAELAVRWRQ >ORUFI03G35200.1 pep chromosome:OR_W1943:3:28969813:28976598:-1 gene:ORUFI03G35200 transcript:ORUFI03G35200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAADPDADDPPARRSDATDVAGNTWDLAALPPPPPAARGGGGEVYIYRNTYNLVPRSIGGCRGSVRSLKFFGNDVEVLPPEAGELDQLESLQVKVSAPRVSGAPLRRMRALKELELSIVPPRPSACSILVEVAALKCLTKLTICHFSIRYVQASGQIGPGSVLFSKVLQFVHNGVFYVIEQRYAMYLPPEIGSLRKLQELDLSFNKLKNLPNCITELGALKFLKVTNNKLVDLPSGISSLRCLESLDLSNNRLTSLGSVKLISMLTLQYLNLQFNRISNSCVIPAWVCCDMRGNGENNMKPGKLKSIAVVSNTSAESRSMNHTCNASRLCSHPEASANLKVHPTQKTKKGWKRRDCLQQQARQERLESSRSKLNDDYVDEMAVNMTEDESPLHDMENKSEMKGIDEEASLQDLPKETSSISEDLSCIVDDDSYGHIKDSGMMLQDHNEEEKPGLSMKSHGNCSCISGNTDILSRRRIRSVENELEDSASSVHDAAVVVEENPSETSKHSWKSKRHPDMDCNPKPSKCPRPFDECSKLSYKYSVQSFCSIDDHLPDGFYDAGRDMPFMPLEEYERSIGLYAREVILLDREQDEELDAIASSAQILLSNLKMPSCFVADEDAGQDLLRASVLALFVSDCFGGCDRSASLSRTRRAIVSLRKEQPFVCTCSAGSICDSTEASKRINNLYGHFDFTGLCDKSIHIIKERRNSGIVPIGALQFGVCRHRAVLMKYLCDRADPPIPCELVRGHLDYTPHAWNVVPVRKGNTWVRMIVDACYPTNIKEETDPEYFCRYVPLSRLQIILDDQGYTPRSPFPSVSLCKEIETTASSSVYYCKIGAVDAAAKVRYLDTRCASSDEVKNFEYKLLAEVRMLGALRKHQSIVEIYGHQLYSKWVQADDDKEYKILQSTIMMEYVKGGSLKGYLTKLLKEGKKHAPIDLAFYIVREVACALLELHKKLVIHRDIKSENVLVDLDLERSDGTPVVKLSDFDNAIPLHSLSHTCCIAHLGTYPPNVCVGTPCWMAPEVLRAMRDKNQYGLEVDIWSFGCFLLEMLTLRIPYQGLPDSEIYDLIMRKKQRPRLTQELEAFWTLDKPITRLELGITSDAHAEKLRLLIDLFYQCTKGIASERPKAEAEPVDETASQIGLLQDGKLCWVVLAGQQIWPRLV >ORUFI03G35210.1 pep chromosome:OR_W1943:3:28981565:28984065:-1 gene:ORUFI03G35210 transcript:ORUFI03G35210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDPTVAKCPEGLRSPPVAGGAVAAGGAGALMKGFAGAHADAVTVSLGPAGALAYSAANQSPLVPRLFGAVNDIFCLFQGHIENIASLKQHYGLSKTATEVTILIEAYRTLRDRGPLPASQVVRDLSGKFAFILYDTLSKSTFVAADADGSIPFFWGVDSENHLVFSDNVDLLKASCGNSFAPFPKGCFYTTSGGLQSFEHPLNELKPVPRVDSQGQMCGSNYKVDSKAKKDSGIPRVGSAADWSNHF >ORUFI03G35220.1 pep chromosome:OR_W1943:3:28985642:29002483:-1 gene:ORUFI03G35220 transcript:ORUFI03G35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSTLLSKVVFAGQQEQEQPPPPPPPPPGSSSSPLNRQKQGDPGQATPRLSAADEGGSVGGGGVAAASGSSPSAVSASPASSSFPDYIHVPIGNKGKNELELDFRRFWEEFRSSSSEKEKERALNLAVDVFCRLVKEHSSVAELVTKLVEAHVFAFVIGRAFVTDVEKLRIHSKGRSLRVADVIGFFSEITELGICPGSNLLYAVEVLVTQTIDKQPLLDSGILCCLIYILNSLLSPDESSQKSSPVGQEVSTSEKSKDWGPMLSRRLEIEASVVHTMKALASHPSAAPSLIEDDALQVLFHMVANGSLTVFSQFREGLVPIHTIQLHRHAMQIKVLLMAVKDFNPQNGDAAYTMGIVDLLLECVELSYRPEAGSVRLREDIHNAHGYQFLVQFALTLCSLHKNQVLQSLPKLASEDGVNPPHRSEQDTFTSDLSPQLSRLLDVLVNLSQTGLSENYVGKSMKSSHGKGTGHNRSRTPSVDKFADEILEINSPKVKDLEAIQMLQDIFLKADNLEVQAEVLNRMFKIFSSHLENYKLCQQLRTVPLFILNMGGFPPALQEVILKILEYAVTVVNCIPEQELLSLCCLLQQPISTSLKHTILSFFVKLLSFDQQYKKVLREVGVLGALLDDLKQNKLFFGEEPQNRTPKSAQRMSSASSFRKTVDNKDAILSPKLMASGSTKFPMFEDEGTITVAWDCLFYLLKRAEPNQQTFRSSNGVNTILPFLVSESHRSGVLRLLSCLIIEDSLQAHPEEIGSLIEILKSGMVSTSSGSQQKLDNDAKCDTFGALWRILGANSSAQRIFGEATGFSLLLTTLHSFQNDSENEETESSLLTHMKIFGFLMRAMTAAVYSNPVNRIRLHTILSSNTFYDLLSESGLLCVDCEKHVILLLLELALEVVLPPTSNLQVESISSENPEDESGFLSATSFGLSRLDKERIYNASAVVVLIRSLLVFTPKVQLELLRFIEKLANAGPFNQENLTSVGCVGLLLETINPFLEGSSPILNHALRIVEVLGAYRLSSSELRLLVRYILQLKVKCSGHLFVNMMDKLIQIEDVRQGSISLAPFIELDMSKAGHSSIQVSLGERTWPPVSGYSFVCWFQFQNFFRSHPKEAEKTSKGSYSKRNGQVMRIFSVGAVDDANTLYAELYLHDNGVFTIATSNSSSLSFPGIEMEEGKWHHLAVVHSKPNALAGLFQSSVASLYLDGKLRHTGKLGYSPSPFGKSLQVTLGTPAIRAKVSDLSWRLRCCYLFEEVLTPGSICFMYILGQGYRGLFQDTDLLRFVPNWACGGEVMAILDSLELEVIAPSGSQRVDSAMKQGNSRLESSGIVWDMERLRNLSLQLSGKKLIFAFDGTSSDAFRASGTLSLLNLVDPTSAAASPIGGIPRYGRLSGDVYVCNQCTIGDTVQTVGGIPVVLALVEAAETRDMLHMALELLALSLQQSHQNVKDMQSLRGYHLLALFLHRRMSLFDMQSLDIFFRIAACGASFPEPQKSNMNRTASYASGISPESSLDDLTLPKFGDDMSSIGSHGDLDDFSAQKDSFSHLSELENADLAGETSEFIVLSNADMVEHVLLDWTIWVTAPISVQITLLGFLERMVSMHWFRNHNLTILRRINLVQHLLVTLQRGDVEIPVLEKLVVLLGVILEDGFLASELELVVRFVIMTFDPPELTPNRQIVREAMGKHVIVRNMLLEMLIDLQVTINAEDMLEQWHKVVSSRLVTYFLDEAVHPTSMRWIMTLLGVCLTSSATFALKFRTSGGFQGLNHVLPSFYDSPEIYYILFCLIFGKPVFPRVPEVRMLDFHSLMPSDENCGELKFVDLLDTIIAMAKATFDSLIMKSMLAHQNNNLSHLNGTLVADLVESTPDMGGDLQGEALMHKTYAARLMGGEAAAPAVATSILRFMVDLTKMCPPFSAVCRRHDFLESCVDLYFSCVRSDCAVKMAKDLTSAATDEKCMHDDDNESLKDTFSNLPQDQEQSAKTFSIASFPQEQKSLSSGSSGMHNSFETAEVKADDSSNQASSTTFLNGQANQVVQSAHDQGQMSAPSSNGIADSHQPADSPTSASMNNIGSPVLSERSAHKAASTPTASPMAPFASWPGSAGSYSDGRQLTASPSMSSTISGIDLDSSPDLKTNIQGSPAVNTLFPINSNLLLDIDDLGYGGGPCSAGATAVLDFIAQILADIISEQLKATLFIESILECVPLFVDIDSALVFQGLCLSRLMNFLERKLLLDDEEDGKKLDKSRWSANLDPLCWMIVDRVYMGCFPTPVGVLRTLEFLMSMLQLSNKDGRIEDAVPSGKGILSIARGGRQLDPYIHAILKNTNRMVMYCFLPTFLKNMGEDDLLANLAFLTETGRSLGIFKPSQEDYTVDICTVLQLLIANKRLVLCPSNVDNDLMCCFCINLMALLRDKRLTAQNLAVDLLKYLVVHRRPSLEDLLVSKPNQGQQMDILHGGLDKLLTGSTTAFFEWLQSSQQTISKVLDQCALIMWVQYITGSAKFPGVRIKGMEVRRKKDMGRKLREIAKLDSRHWEQINERRYNLDLVRDVMSTELRAIRQDKYGWILHGESEWQSQLQQLVHERGIFPVRQLSTEPAWQLCAVEGPYRMRKKLEPSKFKIDTIHNVLASNLGLDDVKITKKEDGHMVMTSGSDTMSGLNLLTYDTERKDLDAADFASFKDEDDIFKGGSTVSPPIGWTDDKSSINEQSLHSATEHGAKSSSFSYHMTESVHGKSEFNSPRRAPSVKGTDTRTSEDKSEKELLDNGEYLIRPYLEPSEKIRHKYNCERVAGLDKHDGIFLIGELSLYIIENFYIDDSNCIYEKGNEDELSVIDQALGVKKDVLGSCDSHQKSPSTWGATAKVLLGGRAWAYNGGAWGKEKLCSSSNLPHPWHMWKLDSVHELLKRDYQLRPVAIEIFSMDGCNELLVFHKKEREDVFKNLTAMNLPRNSMLDTTISASSKQDSGEGSRLFKIMAKSFSKRWQSGEITNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDTLDLRNPQTFRKLDKPMGCQTEGGEEEFRKRYDSWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSMENQKLQGGQFDHADRLFNSVKDTWVSAAGKSNTSDVKELIPEFYYLPEFLENQFNLDLGEKQSGEKVGDVVLPPWAKGSSREFIRKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGNVDIDSVSDPTMKASILAQINHFGQTPKQLFQKPHPQRRTDRKVLPHPLRYSTYLTHQEIRKTTSSVSQIVTYNDKILIAAANSFLKPVNYSEYISWGFPDRSLRILTYDQDKLVSTHENLHGGSQIQCTGVSHDGNILTTGGDDGVVAVWRFFKDGTRRLLRMEKALCAHTAKITCIYVSQPYSLIVSGSDDCSVILWDLTSLAFVKQLPRFPASVSALHVNNLTGEILTGAGVLFAVWSVNGDCLAVVNTSQLPSDLILSVASTTNSDWQDTNWYVTGHQSGAVKVWKMVHYTSDEAANSKSKSPPSTLGGMSLNGQTQEYRLLLQKVLKAHKHPVTALCLPPDLKQLLSGDASGHLFSWSLKDDSFKVS >ORUFI03G35230.1 pep chromosome:OR_W1943:3:29014032:29016842:1 gene:ORUFI03G35230 transcript:ORUFI03G35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRFLSSRSPVATHPVHGTPPRIYIFHFNRFIPFFLLRIPAAAAAAVLPSRADEHPDMLTLHGTALFIRPSAYQEVKSSPKHAIVPHNNLLGCSAFYNPVEGHHVQKPHIVPSCKVNFTGASQYLYRSLSERTTRHWLHRFHVNASSDEDFRSSRNIAISLFKRYKNVIDRGGGDNLKEFVSAGVNAYALGCTEEGLRKELMDIEDSGLEIEALRSNGGGTSLTFKVHSFEMKSTKSHWQDMKLYVRECILWLSIVFITILCTPQPTIIRWSTTPPVSADVLHQWKGFCALIANAYYTKGMAWLPVKTLQLEQMAVTGSSEEPSVVASRMQLVFSTLEVVSPQWPRV >ORUFI03G35230.2 pep chromosome:OR_W1943:3:29014032:29016842:1 gene:ORUFI03G35230 transcript:ORUFI03G35230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRFLSSRSPVATHPVHGTPPRIYIFHFNRFIPFFLLRIPAAAAAAVLPSRADEHPDMLTLHGTALFIRPSAYQEKPHIVPSCKVNFTGASQYLYRSLSERTTRHWLHRFHVNASSDEDFRSSRNIAISLFKRYKNVIDRGGGDNLKEFVSAGVNAYALGCTEEGLRKELMDIEDSGLEIEALRSNGGGTSLTFKVHSFEVRECILWLSIVFITILCTPQPTIIRWSTTPPVSADVLHQWKGFCALIANAYYTKGMAWLPVKTLQLEQMAVTGSSEEPSVVASRMQLVFSTLEVVSPQWPRV >ORUFI03G35230.3 pep chromosome:OR_W1943:3:29014032:29016842:1 gene:ORUFI03G35230 transcript:ORUFI03G35230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRFLSSRSPVATHPVHGTPPRIYIFHFNRFIPFFLLRIPAAAAAAVLPSRADEHPDMLTLHGTALFIRPSAYQEVKSSPKHAIVPHNNLLGCSAFYNPVEGHHVQKPHIVPSCKVNFTGASQYLYRSLSERTTRHWLHRFHVNASSDEDFRSSRNIAISLFKRYKNVIDRGGGDNLKEFVSAGVNAYALGCTEEGLRKELMDIEDSGLEIEALRSNGGGTSLTFKVHSFEVRECILWLSIVFITILCTPQPTIIRWSTTPPVSADVLHQWKGFCALIANAYYTKGMAWLPVKTLQLEQMAVTGSSEEPSVVASRMQLVFSTLEVVSPQWPRV >ORUFI03G35240.1 pep chromosome:OR_W1943:3:29017466:29020092:-1 gene:ORUFI03G35240 transcript:ORUFI03G35240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGWRPAMLLVVVAVAAWRGEALSVTVTDTECIHEFVPYEGDTVSGNFVVVDHDIFWSSDHPGIDLTVTSPGGNTVYTLKGKSGDKFEFKAPRGGMYKFCFHNPYGAPETVSFYIHVGHIPNEHNLAKDEHLDPINVKIAELKEALESVTAEQKYLKAREARHRHTNESTRRRVMFYTIAEYLAFMGASALQVVYIRRLFSKNVAYNRV >ORUFI03G35250.1 pep chromosome:OR_W1943:3:29023616:29023966:-1 gene:ORUFI03G35250 transcript:ORUFI03G35250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMVVNSHAKATRERHYIVEAERCRDCLESAKEEYWARGRELQVPRSAPQGGGCRGAHQGCRLQCRQAPPRSSLPSLHAREAEMGNMGEGGDGSKHWGRDDYTMRTRRASSMST >ORUFI03G35260.1 pep chromosome:OR_W1943:3:29025057:29027206:-1 gene:ORUFI03G35260 transcript:ORUFI03G35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLRVIVKEEELDFAAAAAAAAAGEGSPSSWAVGVMDLPRPMEGLGEAGPPPFLCKTYEVVDDPGTDTVISWGFAGNSFVVWDANAFAAVLLPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGKKELLKTIKRRRPPPSSPPSSSSSSSSSQHQQQPAAACLEVGQFGRDGVVNRLQRDKSVLIAEVVKLRQEQQTTRAQMQAMEERISAAEQKQQQMTVFLARAMKNPGFLQMLVDRQAGQHGARNRVLEDALSKKRRRPIEYLLTRNGETCAAGESAAMLAADGVAEPDGDTTPRGDGGGGGGGDTESFWMQLLSLGLEEKQREDGVAGGVQESNSGGADVDNDEEDDDDDVDVLVQSIYHLSPNIKKVVPSSSNLGVLRGRSIRLHLYLVS >ORUFI03G35260.2 pep chromosome:OR_W1943:3:29025995:29027206:-1 gene:ORUFI03G35260 transcript:ORUFI03G35260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLRVIVKEEELDFAAAAAAAAAGEGSPSSWAVGVMDLPRPMEGLGEAGPPPFLCKTYEVVDDPGTDTVISWGFAGNSFVVWDANAFAAVLLPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGKKELLKTIKRRRPPPSSPPSSSSSSSSSQHQQQPAAACLEVGQFGRDGVVNRLQRDKSVLIAEVVKLRQEQQTTRAQMQAMEERISAAEQKQQQMTVFLARAMKNPGFLQMLVDRQAGQHGARNRVLEDALSKKRRRPIEYLLTRNGETCAAGESAAMLAADGVAEPDGDTTPRGDGGGGGGGDTESFWMQLLSLGLEEKQREDGVAGGVQESNSGGADVDNDEEDDDDDVDVLVQSIYHLSPK >ORUFI03G35260.3 pep chromosome:OR_W1943:3:29025995:29027206:-1 gene:ORUFI03G35260 transcript:ORUFI03G35260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLRVIVKEEELDFAAAAAAAAAGEGSPSSWAVGVMDLPRPMEGLGEAGPPPFLCKTYEVVDDPGTDTVISWGFAGNSFVVWDANAFAAVLLPRYFKHSNFSSFVRQLNTYVDPDRWEFANEGFLRGKKELLKTIKRRRPPPSSPPSSSSSSSSSQHQQQPAAACLEVGQFGRDGVVNRLQRDKSVLIAEVVKLRQEQQTTRAQMQAMEERISAAEQKQQQMTVFLARAMKNPGFLQMLVDRQAGQHGARNRVLEDALSKKRRRPIEYLLTRNGETCAAGESAAMLAADGVAEPDGDTTPRGDGGGGGGGDTESFWMQLLSLGLEEKQREDGVAGGVQESNSGGADVDNDEEDDDDDVDVLVQSIYHLSPK >ORUFI03G35270.1 pep chromosome:OR_W1943:3:29030717:29032183:-1 gene:ORUFI03G35270 transcript:ORUFI03G35270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAIGGGGRRRRLRVFFLPFFAKGHLIPMTDLACRMAAAGPEEMDATMVVTPGNAALIATAVTRAAARGHPVGVLCYPFPDVGMERGVECLGVAAAHDAWRVYRAVDLSQPIHEALLLEHRPDAIVADVPFWWATDIAAELGVPRLTFSPVGVFPQLAMNNLVTVRAEIIRAGDAAPPVPVPGMPGKEISIPASELPNFLLRDDQLSVSWDRIRASQLAGFGVAVNTFVDLEQTYCHEFSRVDARRAYFVGPVGMSSNTAARRGGDGNDECLRWLSTKPSRSVVYVSFGSWAYFSPRQVRELALGLEASNHPFLWVIRPEDSSGRWAPEGWEQRVAGRGMVVHGCAPQLAVLAHPSVGAFVSHCGWSSVLEAASAGVPVLAWPLVFEQFINERLVTEVVAFGARVRGGGRRSAREGEPETVPAEAVARAVAGIMARGGDGDRARARARVLAERARAAVGEGGSSWRDIHRLIDDLTEATASPEPQLQ >ORUFI03G35280.1 pep chromosome:OR_W1943:3:29034926:29036932:-1 gene:ORUFI03G35280 transcript:ORUFI03G35280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHPPPNSSPKTHTPIRSRIPPSRILPCTLFDLVRGAERRTTTMPTAAAMAGGMATGPGSRVTRYAKSTAASVTPVRPGKTHALSALDNAMERHAVYLVLYYRAAPGLDREPLKESLSDVLSQYPAMTGRLTRPAAAAAGGGGEGGEGGGATAAVHHGWIVKCNDAGVRTVDATAAATLDEWLATASGEEEMDLAYFEPMGPDPYIWSPFYVQLTEFADKSYALGLSCTHLHNDPTAAVLFLNAWAAAHRRDSPYPPFLHSPALAAKSAAPPPEHPLLAAKSRGSPDTGGEMSSATFRFSAAAMRALLSAVEPGTTPFAALAALFWLRVAAAAADAAAGGGAAEERELTLALDFRKRMQAPLPTGYYGTAVHFATARADLSSGLASVAAAVERRAAAVPEEELWPAIEWLHARQAAGGEPFQMYGPELTCMALDHVPLYGAEFAAGAAPARAACRVGGASGEGLVIVLPSAEGESARDVAVTLPAAVTARICRDGEVLRYGADVVFGPKVDTQAS >ORUFI03G35290.1 pep chromosome:OR_W1943:3:29045444:29051393:1 gene:ORUFI03G35290 transcript:ORUFI03G35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEVLGDGAPRGAVVLSRQCRFREGGAEHEAAVHATRGSDNGGEGEVRVSIDGKRVAEVSCVGWGFRSNRATMPFPWTIRSCAWATSIHPFFPNARAYRVVGSLGAEPSTSPTRPLVSSPSSSSRPLAPPDSLTACFLPPFSRPSPPNPKAVSLPPPPRSPSTRGVLRGSFGRGGVGDGRCGLNADEFDDDAEAEPTVGLFGRSIRGLAEVNFTAVAGGREEGKAIVMAGSGLSLLSGSGNVLPFVLASPSSSQKIPHCWLHR >ORUFI03G35290.2 pep chromosome:OR_W1943:3:29046771:29051393:1 gene:ORUFI03G35290 transcript:ORUFI03G35290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPWTIRSCAWATSIHPFFPNARAYRVVGSLGAEPSTSPTRPLVSSPSSSSRPLAPPDSLTACFLPPFSRPSPPNPKAVSLPPPPRSPSTRGVLRGSFGRGGVGDGVPSLSRAVRPQRRRVRRRCRGGTHGREEGKAIVMAGSGLSLLSGSGNVLPFVLASPSSSQKIPHCWLHR >ORUFI03G35290.3 pep chromosome:OR_W1943:3:29046771:29048747:1 gene:ORUFI03G35290 transcript:ORUFI03G35290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPWTIRSCAWATSIHPFFPNARAYRVVGSLGAEPSTSPTRPLVSSPSSSSRPLAPPDSLTACFLPPFSRPSPPNPKAVSLPPPPRSPSTRGVLRGSFGRGGVGDGVPSLSRAVRPQRRRVRRRCRGGTHGREEGKAIVMAGSGLSLLSGSGNVLPFVLASPSSSQKIPHCWLHR >ORUFI03G35290.4 pep chromosome:OR_W1943:3:29045444:29046544:1 gene:ORUFI03G35290 transcript:ORUFI03G35290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEVLGDGAPRGAVVLSRQCRFREGGAEHEAAVHATRGSDNGGEGEVRVSIDGKRVAEVSCVGWGFRSNRATVLIEPMGWAVFGAPMGCHYCYFYDALHITI >ORUFI03G35300.1 pep chromosome:OR_W1943:3:29052359:29056429:1 gene:ORUFI03G35300 transcript:ORUFI03G35300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAVVSLCIAWLTIKNIKYHLPLLNRRTPPTAAAAERHPPPPPVRLPRPCASSRDPAPPPTQPFAPALEAVGASGCATKGDEGERKGEKLGFRLESSRDSDRRRIRFFAVPVLNRKEFRGISPHRRASSRRTPPRKHWICIEDKFRAAGDYVSQKSSSVFGKKKVEPMVKDAAAPGKGGRLKMESSNAQPQDSAYSVPKNPSMTCCRKRTDGATFLEDLKDHIEEFIHASMDEHKTCFKHHPKDVWDVKGCRGAFGGCQGS >ORUFI03G35310.1 pep chromosome:OR_W1943:3:29058458:29059605:1 gene:ORUFI03G35310 transcript:ORUFI03G35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRPPPKGPEWAGGDAEAGMARPLYPMMLESPQLRWAFVRKVYAILSIQMLLTIAVASVVVFVRPVALFFVSTPAGFALYIFLIILPFIVICPLYYYYQRHPVNLLLLALFTAAISFAVGLTCAFTKGEVILESAILTAAVVVSLTAYTFWAARRGHDFSFLGPFLFAAVMILMVFALIQVFFPLGRVSLMIYGGLAALVFCGYIVYDTDNLIKRYSYDEYVWAAVALYLDVINLFLSLLTLFRASDS >ORUFI03G35320.1 pep chromosome:OR_W1943:3:29060195:29064718:1 gene:ORUFI03G35320 transcript:ORUFI03G35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGGAGCSRSHSFSGISSAAATGGAGAGADVFVRAADNEMYVRADKIDLKNLDVQFEKTRSKVWLEQHRSSSAASPLPLLEWEIDLAKLDIQNQVAHGTFGVVYRGTYDGHDVAVMEGQESTAKHREAFEKEVAVWQKLDHPNVTKFVGASMGTSHLKIPSAKAESRSSSVGGGSAGGGGGQRCVVVVEYQHGGTLKTLLYKHRDKKLPYKKVVQLALDMARGLRYLHGEKIVHRDVKAENMLLDRKKTLKIADFGVARVEAGADGDDMTGQTGTIGYMAPEVLQGRAYDHKCDVYSFGVLLWETYCCAMAYPNYSLADISYHVVKLGIRPDIPRCCPKAMADIMARCWDANPDNRPEMSEVVALLEKIDTSRGKGGMTPVPEHASQGCSCFGFSRGSA >ORUFI03G35330.1 pep chromosome:OR_W1943:3:29064998:29065678:-1 gene:ORUFI03G35330 transcript:ORUFI03G35330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHSQCREQRKSGERCGEARDPALKSTFTQSFYSTALASNGVYVNHGPEVPEVVGDFEAVGREERNGEPATAVKQQLRRRRDKNQAAVQHQGIDWLGVQAIKPSSTWRASVRGETTPLSAAAPAPSRALTKSTAQEAVRSRLGDAVTCIATAQEAGGRSGARNIVERRRRERAQARRAVRWICIAREPQPCSGPTILGLLVSHNTDPAHRAEQTQKLNFYTDVCK >ORUFI03G35340.1 pep chromosome:OR_W1943:3:29078035:29078274:-1 gene:ORUFI03G35340 transcript:ORUFI03G35340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGAALGCAPQLAKQEPLDGRHRTRHQLAGPPLPSFRLLIAGEEGDRERRIWELGFCPSRRFIRHEDDRGRLSDRTA >ORUFI03G35350.1 pep chromosome:OR_W1943:3:29080155:29081363:1 gene:ORUFI03G35350 transcript:ORUFI03G35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSHETLRLIHELLPSPVDWRHMGQVVQMDSICLEFVQTLIVNQRIFMQQLSHRIQAADAALDAHAPPPAAGDNLPPPALVQPPVAGFLAGAAPPPQQQQP >ORUFI03G35360.1 pep chromosome:OR_W1943:3:29083146:29090017:1 gene:ORUFI03G35360 transcript:ORUFI03G35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPMLQQHRRELPDAVFVLGAGAAEAKKGGATSSGNNERHQHHHNQAMLSAAARERRSCHASSPHRQNEQHRRPPDTSGSSQTLAYPIAEDAAVAAMVAVSLSDDLEPAIARKRMVAAAWPRPPSPTIWSPPPSAPASSEHVIMGAWVKTEWEQGKGSFAFLELKKGGAVFIQ >ORUFI03G35370.1 pep chromosome:OR_W1943:3:29086103:29087003:-1 gene:ORUFI03G35370 transcript:ORUFI03G35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRARQLKVILAVIMHVLTCSVVWPRQPRRDSWLSDSTRDNKIRCKVEGWYLNLFFFRATKAIRARTVGQMRHGLGAWEDPKQVNGPRRRAWGWEEKKAAQGRARKEQVQRAKGSPNPPLRMVSMVLTTLPRRTFSLCGTILIKRESEERKKLGLIQDSFNTRTYALDTIKFYIGRE >ORUFI03G35380.1 pep chromosome:OR_W1943:3:29099114:29107228:-1 gene:ORUFI03G35380 transcript:ORUFI03G35380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAALLRRRALYSALASPSWLHDTSSCYICSISGTHSLVNHPNLRLQRGYHNSGKFDLTDLTHPHIWYPNAREKKRNVFLHVGPTNSGKTHNALKRLEASSSELLMNSVGVYCGPLRLLAREVAQRLNKANVPCNLITGQEREEIEGAKHSSVTVEMADMTTEYQCAVIDEIQMVGCRSRGFSFTRALLGLCSDELHVCGDPAVVPLIQRILEPTGDVVTIIFQVNSRNCFFSIEFVELSNVYFGVSTDTRIMSSNIPICWLYVSMQVQYYERLSPLVPLKTTLGSFSNIKAGDCVVTFSRRSIYMLKRRIEMGGKHLCSVVYGSLPPETRTKQATMFNDQDSNLNVLVASDAIGMGLNLNISRIIFSTLEKFDGICNRELTVAEIKQIAGRAGRYGSKFPVGEVTCLNSDHLPLLHSALKSPSPIIERAGLFPTFDVLSLYSRLHGTDFFQPILERFLDKAKLSPDYFIADCEDMLETISLIFFEMQFAENYAKKGIVRLKEIFTPGTLQVPKSHNQLKELESIHKVLELYVWLSFRLEDSYPDRELAASQKSICSM >ORUFI03G35390.1 pep chromosome:OR_W1943:3:29107577:29111567:1 gene:ORUFI03G35390 transcript:ORUFI03G35390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVEAEAGKEAIRRALRSLRRRHLVEEGAHRPAIEALARPFAAQAVEWKEKAEKHELELQQCYKAQSRLSEQLVTEIEEGKASKALLKEKETLITTMQTELEQTREENTQLKQSLEEKTSALDLIIQEHQAVKAELEQALTKQKVAEDENRNLIDRWMLEKMKDAERLNEANAMYEEMVLKLKSAGVGGIQHNALQEADGIIRRSEAGYMDIMETPIPSTCRITIRAHDGGCGSIIFQHNTDKLISGGQDQTVKIWSAHTGALTSTLQGCLGSVNDLAVTNDNKFVIAACSSNKLFVWEVNGGRPRHTLTGHTKNVSSVDASWVKSCVLASSSNDHTIKIWDLQSGFCKSTIMSGSNANSLAFIDGDTLCSGHRDGHLRLWDIRSAKCTSQTFAHLDVSSVSVSRNRNFILTSGKDNVHNLFDPRTMEVCGKFKAMGNRVVSSWGRPCISPDENSIAAGANDGSVYIWSRLKKDGVPTILQGHSSSVVSSSWCGLGPLATADKHHIYIWT >ORUFI03G35390.2 pep chromosome:OR_W1943:3:29107577:29111567:1 gene:ORUFI03G35390 transcript:ORUFI03G35390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVEAEAGKEAIRRALRSLRRRHLVEEGAHRPAIEALARPFAAQAVEWKEKAEKHELELQQCYKAQSRLSEQLVTEIEEGKASKALLKEKETLITTMQTELEQTREENTQLKQSLEEKTSALDLIIQEHQAVKAELEQALTKQKVAEDENRNLIDRWMLEKMKDAERLNEANAMYEEMVLKLKSAGVGGIQHNALQEADGIIRRSEAGYMDIMETPIPSTCRITIRAHDGGCGSIIFQHNTDKLISGGQDQTVKIWSAHTGALTSTLQGCLGSVNDLAVTNDNKFVIAACSSNKLFVWEVNGGRPRHTLTGHTKNVSSVDASWVKSCVLASSSNDHTIKIWDLQSGFCKSTIMSGSNANSLAFIDGDTLCSGHRDGHLRLWDIRSAKCTSQTFAHLDVSSVSVSRNRNFILTSGKDNVHNLFDPRTMEVCGKFKAMGNRVVSSWGRPCISPDENSIAAGANDGSVYIWSRLKKDGVPTILQGHSSSVVSSSWCGLGPLATADKHHIYIWT >ORUFI03G35400.1 pep chromosome:OR_W1943:3:29112838:29113668:-1 gene:ORUFI03G35400 transcript:ORUFI03G35400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRSKVQEMILRRRSRSMNSGGGGVQQSHLSGQHASSTTVSCDGGGGGAGGKSATAACALLGSPRLLHCASLPSGSHAKNGGGGGSEPETPYSMSPTSVIDAAAAFAPSPDAGGSKRRPWCDWGAGTHGLADALDCTGDDDDGHRQSVLAAASRAVKLQAQPQQQHPLLRSCSLDRRVEFGVKNKSSWLPLRVAGGEAAAAAAESPAEMQMEPSSEDYTCVISRGPNPRTVHIYGDRVVEGGGGATTAVALAGESSPRPINLPAPAREARGFLSL >ORUFI03G35410.1 pep chromosome:OR_W1943:3:29113296:29120015:1 gene:ORUFI03G35410 transcript:ORUFI03G35410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIDRGRV >ORUFI03G35420.1 pep chromosome:OR_W1943:3:29118905:29122791:-1 gene:ORUFI03G35420 transcript:ORUFI03G35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAVEEAEVSGGAAEFAPALVAAHPLGRSVAVAVGPELRVFDLKASSAVSLSDNSGGGSHSDAIRAISFSANGALFASAGDDKLVKVWKTDSWCCIRTITSEKRVSAVAISNDGTYVTFADKFGVIWLVTMGESGGEQEPTDNKPVSIFGHYCSIITSMKFSPDGRFIATADRDFKIRITSLPKKPLRGAHEIQTLYRALPSHAFQKVRAFFCLEAVRLWDYINGCLLDTCQVRDKVGELLEPNETEDNNLSVADICPTNDGLLVAVAIQSLNGVMLLACDLIAKKLSFLKVVTTEKCYIPTSLSSSFSADLLWTVMGASNMPNQATSQLCTRLKIIPHFKKDPLAGCDHVPTVLEDSEVPHGEKLLLALQGSLDIAKQEEVLASVLAALKVSMHKMLVKKHYSEERREQRKRGRNDKKIKNRNARRGIFAASAITGLSPASDLPVEFHRKTMQFELDLDEILFPGSKNRYRCCLLSLLSALLLVSSLMRKGNDRELEFIPNPGEDG >ORUFI03G35430.1 pep chromosome:OR_W1943:3:29126241:29126651:1 gene:ORUFI03G35430 transcript:ORUFI03G35430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMVAVVMSCECCGLEEECTGEYVGGVRAYFGGRWLCGLCSEAVKYEAGKSSPRAPVDVEEAVRAHMAFCRMLKRGGPAERVAEGMCQMLRTASWKQRRRASGSSSSSSSPSPRAAPERHHHHRAPSTLSVQLI >ORUFI03G35440.1 pep chromosome:OR_W1943:3:29134555:29136814:1 gene:ORUFI03G35440 transcript:ORUFI03G35440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEREMAARRAPSAATTPPTTATRCSTTASSCSSNSNPSVSAAAARTPPPTIVVPWDGVAGGGGCYYPGCRKDANCACEMCLASINATRDLLPPEAASARRWFAAAARDRKPAPRPLFGGADTTPHGSSVTEPWTPPMRSTAKSRRPRQQQEAAGGGGGGAGRKTPGGSHDWALYTATVLGFLLLLWVDSGLVPEIAARGFGPKLSPEAVARLATEARLAPGGLSHKLRALERMLGQLVGGEKGISNCSSHDSVWQFEQNDQRVFYWRCAVYKSAAEEVTVWGSPLRTSGLLPRALPARHLTILSGKITEWSDGRVWPTVRASNGSSWSYGGRSSPAVRLEAETWVVEYQRSVVFEGTRLIPAAAELVASRCSAVARRARQRLARRRFHGGAGGIQANPT >ORUFI03G35450.1 pep chromosome:OR_W1943:3:29138341:29144173:-1 gene:ORUFI03G35450 transcript:ORUFI03G35450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYLIDALLHQQAIGNRGEGRFHSAAFDSIISGVAERFGVAIDRSNIKNRLKSIKENFHECENLFQNQSGFKWSAMNKKFYADPTVWREYIERKPEARKWINKPIDHYDRLLELFGKDRERCLASGSPKSPPAKKARRDPPKEKPQRTPTNGLVSPIVKSLKDMESQRTPTNVLVSPIIIKSSKEMVNENEVPSEAVTEINIAEEQDLSEKFTSENGAMPVEGMPYAPENWPCPGDQWSWKVGNRASATGHWLDRYLTPPSRFRDATGKKTSFTSRLKVEEFIKTEFPDMDPNTFFSMFIWKIPAKGHCIQRGGGEVRRVFCPYARQADPAGPCKARNNLCKLEREGFIESSPAQDCDLCCKMPDFCRECCCVFCRRVVDYSFGGYSYIKCEAVLEENKICGHIGHLDCALRTFMAGTVGGCIDLDMQYCCRRCDNKTNLMLHVEKFLEICQSLQSRDDIEPILNTGLCLVRGSRQTRAKSLESIMRSAMAKLKCGVDLAEVWKMEDNGINSTPSAEVSPATGGVTVLGIQQAPEEDAPPGFPYYVDLADNDLQRAVENLPAYITEDQHTLSVRFEDSIDHALKELKRSQEAEYKLAEQKLYSQKDHVLSLYRQLDSERSVLADPMPLADDDGSLYSTLITNVMKRVDQVKSEEEKLKVMLGIADGFGKTPSGVIQEHFGLPADTAN >ORUFI03G35450.2 pep chromosome:OR_W1943:3:29140767:29144173:-1 gene:ORUFI03G35450 transcript:ORUFI03G35450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYLIDALLHQQAIGNRGEGRFHSAAFDSIISGVAERFGVAIDRSNIKNRLKSIKENFHECENLFQNQSGFKWSAMNKKFYADPTVWREYIERKPEARKWINKPIDHYDRLLELFGKDRERCLASGSPKSPPAKKARRDPPKEKPQRTPTNGLVSPIVKSLKDMESQRTPTNVLVSPIIIKSSKEMVNENEVPSEAVTEINIAEEQDLSEKFTSENGAMPVEGMPYAPENWPCPGDQWSWKVGNRASATGHWLDRYLTPPSRFRDATGKKTSFTSRLKVEEFIKTEFPDMDPNTFFSMFIWKIPAKGHCIQRVFPSGAVQPPDQRLGSDISSLAVVRQRALGCSGFSQAWS >ORUFI03G35450.3 pep chromosome:OR_W1943:3:29138341:29140553:-1 gene:ORUFI03G35450 transcript:ORUFI03G35450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFARTNSLRRIHERYLLPPSESNTDAGGGEVRRVFCPYARQADPAGPCKARNNLCKLEREGFIESSPAQDCDLCCKMPDFCRECCCVFCRRVVDYSFGGYSYIKCEAVLEENKICGHIGHLDCALRTFMAGTVGGCIDLDMQYCCRRCDNKTNLMLHVEKFLEICQSLQSRDDIEPILNTGLCLVRGSRQTRAKSLESIMRSAMAKLKCGVDLAEVWKMEDNGINSTPSAEVSPATGGVTVLGIQQAPEEDAPPGFPYYVDLADNDLQRAVENLPAYITEDQHTLSVRFEDSIDHALKELKRSQEAEYKLAEQKLYSQKDHVLSLYRQLDSERSVLADPMPLADDDGSLYSTLITNVMKRVDQVKSEEEKLKVMLGIADGFGKTPSGVIQEHFGLPADTAN >ORUFI03G35460.1 pep chromosome:OR_W1943:3:29147480:29151328:1 gene:ORUFI03G35460 transcript:ORUFI03G35460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSQEGSVTAFQISGGDVQVLQVMVKSQEKLTVKPGTMCYMSGNIQTDNNYLPENDGGVWQWIFGKSISSSVFFNPGSDDGYVGISAPFPGRILPMDLANFGGELLCQADAFLCSVNDVSVTSTVEQRPRNIEIGAEVILKQKLRGQGMAFLKILAPREVITVDAACIVAMTTTINFQLKTPNQPRRVVFSGGNQLTASLTGPGVVFIQSLPFHRLSQRIASRSVAAPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV >ORUFI03G35460.2 pep chromosome:OR_W1943:3:29147480:29151270:1 gene:ORUFI03G35460 transcript:ORUFI03G35460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSQEGSVTAFQISGGDVQVLQVMVKSQEKLTVKPGTMCYMSGNIQTDNNYLPENDGGVWQWIFGKSISSSVFFNPGSDDGYVGISAPFPGRILPMDLANFGGELLCQADAFLCSVNDVSVTSTVEQRPRNIEIGAEVILKQKLRGQGMAFLVGGGSVMQKILAPREVITVDAACIVAMTTTINFQLKTPNQPRRVVFSGGNQLTASLTGPGVVFIQSLPFHRLSQRIASRSVAAPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV >ORUFI03G35470.1 pep chromosome:OR_W1943:3:29151882:29152792:-1 gene:ORUFI03G35470 transcript:ORUFI03G35470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQPRRPPPDGSGARPVMYGDVFDVSGELAGQPVAPRDAAKLQSAEEAVLGEAQKGGPAAAMQSAAAINARAGHVGRAQVTGAIADEGVAVAVAETELPGRRVVTESVAGQVVARLATPPRVVATQPSGALDKDAVTIGRALEWRPRPPRRRAAEMLATGRTVTVPGGVAAAAQAAADHNAAPAARDEDRIKLRDVLTGARGKLPADKAATREDAERVPSAEVRNRPDMATTPGSVADAVTAAARLNQERPTRSF >ORUFI03G35480.1 pep chromosome:OR_W1943:3:29154251:29154986:-1 gene:ORUFI03G35480 transcript:ORUFI03G35480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQARRPRGGECQGHQVVQPDGGDGDAERRLIAPADASGQAAHCGGPVVEVDDAYDSKVKIGEALEAAARAVGDQPVRGSDAAAISATEASAGAGVVPGGVAEQAQAAADANAGAAPPAEDTAITIGDVLAWNATAMLPTEKAVTAEDAAAAAGAEVEKDPGEGTRPYGVSAALAAAAKHNREDAECQSKRSAQPRAADECTPALAEQFDQI >ORUFI03G35490.1 pep chromosome:OR_W1943:3:29157559:29165764:1 gene:ORUFI03G35490 transcript:ORUFI03G35490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNGSKRSPVPETKDGAMKDLEKSTSLEVDDSTIDGDVDLGGGLTVVGRKRKDGRGSVDENGASTKRILRSNSMKMHVDAETAGGVALDVCKGDILEKKQCDIIAEGDRGGVLTVDTCNAEEDGEVTGAVVSDAVVEAVRCSANNVESLGLAEVEIAEGNGLDADIQESDGEMDKADDKLSTPSEEQNESGGGTSVGGINDSQENKGVNGLCQGEVIDPLATANGDELSMGLSRSASGRESIEQEDTVMCASDDQKVENHCQFDDKHKEAEISQIELAVDNHIMLTDCTNQKKGIDSPVNETKGDSTPDIVFIRRKSITRKTCEAKQVKSEDEVRFEKRVTRSATVRQREVSASMCVGATNDANLESKERKEDVHHYTRKVGSTVRSKVHHTGVAECDTDTKKKLKGTVTTRRNSDAIANDDPPSITQNKESKTQMKIDIKSQPLTRRGSIVNKTEDAVSGLDQNICSSAITDKNDIELTDSEGVKSENKAAVRKSILSVGAKIVASKKRILESGLDKASGESPVAIPSLKKARDTSSDTELEQPKMSSGKKLTRNNCGSSKKGMSTRRQHQSQTAKLSTSVNCSNKNESKLSQNESDDDGTGSDTSLKNTCVRRTRSGGVVPKKQEDSSESEEPIILRKNHQRGKYSGKRAGSTPRKVKAPKGNRKEVKASSLKSSGPSEQINTGSLREEKQKISDHIKGMLLDAGWTIDLRPRNGRNYLDSVYIPPSGKGSYWSVTKAYAVFLEGMESEKKGRAKDQRPSKKSVGSPGKSHVSEEILSKLKRIVVNKRRTKVELQKLKKRKHGLLKKQKTSKRNSRGSKNKISNSRKLHLGSERKKRGGCALLARGSNKDGGSSTNGFVPYEWKHTVLSWLIDLDIIDINAKLKCVDETHSKVLLEGVTTRDGINCRCCSKVFTVLEFVAHAGGPVSKPYRNVLVDGLDTDLLHCLINAWDKQSDSERQAFFPISTETDDPNDDTCGICGDGGNLICCDGCPSTFHMSCLELEEHSRQDAQDIAEVDSSLCTCSQCEEKYHPGCSPETTNTSNVSSQACDLFCQQSCRLFSIRFLGGNDIYGTVNSALMQSLRYIYSLFSCDIHYRGKLFEGLRNLLAVKKDLEPEFSCRIIQRIHENVPETVVALDERVECNSKIAVALSLMDECFLPIVDQRTGINLIRNVVYNCGSNFVRMDFRGFYIFVLERGDEIIAAASVRIHGTKLAEMPFIGTRNMYRRQGMCRRLLDGIEMILSSLNVEKLIIPAIAELVDTWTSKFGFSPLDVSEKQEVKSTSMLVFPGTGLLQKPLLKKTSPGENSSSQEVDGVFSELESGKTSNVANEDSLCSANAETQGSAAPCYGDNSKDASACNGNVSQ >ORUFI03G35500.1 pep chromosome:OR_W1943:3:29166926:29168296:-1 gene:ORUFI03G35500 transcript:ORUFI03G35500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPHGPMSLMASRCYCCSSPPSPSPKQRRDAESKQQQQQHIRGARLAVTTRRALLAAAIVVVGSGTAGRARATEEGGGGEREGVVGAIKSIFDPNERTKSGKVLPKAYLKAAREVVRTLRESLGEDDGGDVAKFRRGADAAKESIREFLGGWRGQQAVAAEESYVALEKAIRSLAEFYSKAGPFASLPKDVKDKILANLNTADAFL >ORUFI03G35510.1 pep chromosome:OR_W1943:3:29170815:29174401:1 gene:ORUFI03G35510 transcript:ORUFI03G35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGQSIASDVTALIGNTPLVYLNKVVDGCEAQIAAKLEIMEPCSSVKDRIGYSMITDAEEKGLITPGKSVLIEPTSGNTGIGLAFMAAAKGYKLILTMPASMSMERRIILKAFGAELVLTDPLLGMKGAIQKADELAAKMPNSYILQQFENPANPKIHYETTGPEIWKATAGKVDILVSGIGTGGTVTGTGKYLKEQNPEIKIYGVEPTESAILSGGRPGPHKIQGIGAGFVPGVLDVNLLDEVVQVSSDEAISMAKQLALKEGLLVGISSGAAAVAAIRVAQRPENKGKLVVVVFPSFGERYLSSVLFESIKREAENMVFEP >ORUFI03G35510.2 pep chromosome:OR_W1943:3:29170874:29174401:1 gene:ORUFI03G35510 transcript:ORUFI03G35510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGQSIASDVTALIGNTPLVYLNKVVDGCEAQIAAKLEIMEPCSSVKDRIGYSMITDAEEKGLITPGKSVLIEPTSGNTGIGLAFMAAAKGYKLILTMPASMSMERRIILKAFGAELVLTDPLLGMKGAIQKADELAAKMPNSYILQQFENPANPKIHYETTGPEIWKATAGKVDILVSGIGTGGTVTGTGKYLKEQNPEIKIYGVEPTESAILSGGRPGPHKIQGIGAGFVPGVLDVNLLDEVVQVSSDEAISMAKQLALKEGLLVGISSGAAAVAAIRVAQRPENKGKLVVVVFPSFGERYLSSVLFESIKREAENMVFEP >ORUFI03G35510.3 pep chromosome:OR_W1943:3:29171536:29174401:1 gene:ORUFI03G35510 transcript:ORUFI03G35510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGQSIASDVTALIGNTPLVYLNKVVDGCEAQIAAKLEIMEPCSSVKDRIGYSMITDAEEKGLITPGKSVLIEPTSGNTGIGLAFMAAAKGYKLILTMPASMSMERRIILKAFGAELVLTDPLLGMKGAIQKADELAAKMPNSYILQQFENPANPKIHYETTGPEIWKATAGKVDILVSGIGTGGTVTGTGKYLKEQNPEIKIYGVEPTESAILSGGRPGPHKIQGIGAGFVPGVLDVNLLDEVVQVSSDEAISMAKQLALKEGLLVGISSGAAAVAAIRVAQRPENKGKLVVVVFPSFGERYLSSVLFESIKREAENMVFEP >ORUFI03G35520.1 pep chromosome:OR_W1943:3:29175245:29184688:1 gene:ORUFI03G35520 transcript:ORUFI03G35520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLAYLHEPGVLHNLACRYGINEIYTYTGNILIAVNPFRRLPHLYDVHMMEQYKGAAFGELSPHLFAIADACYRAMINEQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCSAPPEDVKKFKVGDPRSFHYLNQTNCYEVANVDDAREYLETRNAMDVVGIGQEEQDAIFRVVAAILHLGNINFSKGQEIDSSKLRDEKSVYHLKIVAELLMCDEKALQDSLCERVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDCMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCPFVANLFPPIPEETSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNAVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFIDRFGMLAAELVDSSDEKAACAAICDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLANAARLIQRRIKTHLTRKEFINLRKASIQSQKFWRARLARIFFEHMRRNAASIRIQKHARTHSARKSYLQMYESAIVIQTGLRAMAACNEHRFRRETKASIIIQEARETGALKEAKDKLEKRVEELTWRLDVEKHLRIDLEVAKGQEISNLKSVLQEMQEKLSEAHAAIEKEKEDAKLAIEQAPPKIVEVPVVDNAKVELLTSQNKELEDELVTFRTKAEDLEKRLLEVQKESDELSREILEKDSKLNQLQEMIERLETNLSSLESENQVLRQQSLLASADDDKSKQIESLESKIAILESENQLLRSKSSVAVQAVITPEVIQPSAMEEEVVVPPIKNLSKQKSLTDRQQEYHDVLIKSLAEDRRFDNGRPAAACIVYKSLLHWHSFEAEKTNIFDRIIHTISTLKVLQNWLIGCRLHQLFSTYYKILLSLAVQQNARSSSLGSGISSGYSGMVGRPDTASKVEAKYPALRFKQQLTAYVEKIYGMIRDNLKKEINPFLIMCIQVPPMIIRKTFNQAFAFMNVQLFNSLLLRRECCSFSNGEFLKAGLQELEQWCSVTTEEYAGTSWDEFQHIRQAVGFLVLHQKTHKTLEEITDELCPVLSITQIYRIGTMFWDDKYGAQGLSQEVIGKMRTMATDDSITTPNSSFLLDDDSSIPISLDDIARLMLDIDLSDVEPTPLLRQNSQFHFLLQHHATQTDGIVC >ORUFI03G35530.1 pep chromosome:OR_W1943:3:29188432:29192714:1 gene:ORUFI03G35530 transcript:ORUFI03G35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPGAGDQATDMMQKLSLESKKEGATPDATKKPAGMPYGSASTGDAQNAASPVDRSITPLLQEAVNANILYQTNGYGPSAYYYPTGYDGSANEWDSRYAAHDGTEMTPSVYGDMYGYGYAPYGPYPSGSPVPTVGHDGQSYGAQHYQYPGQYYQQPAPTNASHGVNAVNSQSEMPSVAAHQARVPVESAKASANGTANGMANTNSSSLARKQTHQNVSVANNGSYGGGTLQGGPSANNYGHSGLHSPVQWYDGPVYSNGHQRSNTNSTSYGSNSYSAKNQSQRPTANLMGMHAQIPSSGMGLTSPSYHTRMYPDNRLYGQYGQYGNALKTGLGFGSNMYNSRNNGRWGIVDSKYKPRGRASFGFGSENQDGFTELNRGPRSGGFKHQKQFGPSVTIAVKGQALPSVGKQENSAIPDKGQFNQEGFPVTYKDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAGFREAQEKSSECPVFLFFSVNTSGQFVGVAEMVGPVDFEKTVDYWQQDKWNGCFPIKWHVVKDVPNNILKHITLDNNDNKPVTNSRDTQEGSLDDASHEKEKNAIDGKSTAQKQALSKEGTPIVGEMLNASKSAVESSVTNGN >ORUFI03G35540.1 pep chromosome:OR_W1943:3:29198715:29198945:-1 gene:ORUFI03G35540 transcript:ORUFI03G35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEEDANPLPSSITAVAVALRALKPHLLVAGWEGRGPSELTAAEARERRELAMVELKLPATVADLRLDPGGAVEL >ORUFI03G35550.1 pep chromosome:OR_W1943:3:29199630:29200433:1 gene:ORUFI03G35550 transcript:ORUFI03G35550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVMAPLMLHGRVAIVTGGAGGIGSAVSRHLASLGARVAVAYIGDPAPANELVSGINDGYLRAEEEEKRGPRAIAVEADVSDAARVRALFDAAAAAFGGEIHILVTTAAVLDFAYPALAETSEAAYDAMFGVNARGTFLCCREAANRLARGGRGRIVTFSSSGVGSLRPGYAAYAASKAAVEVMTKILARELRGTGITANAVAPGSTGTPMMYTGKTEEDMARYIAEAPLGRLGMPDDIAPLVGFLASDAGGWINAQVIRCNGGTI >ORUFI03G35560.1 pep chromosome:OR_W1943:3:29202794:29208258:1 gene:ORUFI03G35560 transcript:ORUFI03G35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARTGVYVDDYLEYSSTLAGDLQRILSTMRELDERAHGIMGQTKEQIKYLLGVPSHGFDRSNMDDDESASERMKKDIEASQDNALSLCTEKVLLARQAYDLIESHIKRLDEDLGQFAEDLKQEGKIPPDEPSILPAISAFSRDDKRRPGFSTPQATKKFREREWDRERGMDFDLMPPPGSNKKTTAPMDVDQTIDPNEPTYCICHQISYGDMIACDNDNCEGGEWFHYTCVGLTPETRFKGKCAKQDSGSTAHVLVRRSERFQKMHEGILSNEYSLTHFLNR >ORUFI03G35570.1 pep chromosome:OR_W1943:3:29207903:29209490:-1 gene:ORUFI03G35570 transcript:ORUFI03G35570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCTPTATTPPHATPPPLTTSWKQLAFCNSSRLAAAAAAGGQRARPAGASVEARALAPASTAADAAAAAAAAAPAPPNVDYLAAEFAGHGVSFEAVGGSCAVKMELRNGSAAHVLLPGGLVTSYKPAMWHGAPTEVIHTTVAEGLGGRAVIRGGVSLDLRCGGAAGGGGDGMPPWSPSGAWSLRDVRGSPTGSIEVELASAAPPEASGVEARCVVTLHPEALATEFTARNAASPSPVALSAAVSTHLRVSTPDATYAVGLQGSDYRAIDPVLSEFAIVPPDFMSRSSSATTLARRWATKGFDAVLSGGGGGGAGAQEADGEEDDDYKRMTEEMCRIYSHAPRQFTIIDRGRRNSICVQRRGFEEVYVFSPGSKYQWYGKYAYVCVGPAMLEPIVLSPGATWSGAQYLRNPNL >ORUFI03G35580.1 pep chromosome:OR_W1943:3:29214648:29219237:1 gene:ORUFI03G35580 transcript:ORUFI03G35580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARATRRRAEEAEMEAEAGTEGDDGDGGGSSDYTSEDEGTEDYRRGGYHAVRVGDSFKQGAYVVQSKLGWGHFSTVWLAWDTGHSRYVALKVQKSAQHYTEAAMDEIKILKQIADGDPDDSRCVVKLLDHFKHSGPNGNHVCMVFEFLGDNLLTLIKYTDYHGIPLPMVKEICRHVLIGLDYLHRTLSIIHTDLKPENILLESTIDPSKDPRKSGVPLVAPSARTDDPPPKAHAPSVNGGLTRNQKKKIRRKAKRAAAATSEGSGTVASGETDGSDDRGNLSTANEGSPNQDGDKKEEGEGSRRGSKGTRKKMALEADLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDSYDRDEDHLALMMELLGMMPRKIALGGRYSREFFNRYGDLRHIRRLRFWPLNKVLVEKYEFSDIDANGMAEFLVPILDFVPEKRPSAAQLLQHPWLDVGPLRRQPKRPSDLTQNSSDDGVSEKQRIENEERDAMAVNLGNIAIDGASSKTTEDPQASTMQNKTNATPAK >ORUFI03G35590.1 pep chromosome:OR_W1943:3:29219146:29220002:-1 gene:ORUFI03G35590 transcript:ORUFI03G35590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCIPSKRHRAAAAAAAPASHSHQRERTSIPAPAAAPRPVRIYVVFYSMYGHVRLLARAVARGVGSVPGARAILFRVPETLPPAVLARMEADDGGGGGDGEDVIPVVDPDGLPDADGFLFGFPARFGAMPAQMQAFFDSTVPLCRHQRLAGKPAGLFVSTGTQAGGQETTAWTAITQLAHHGMLFVPIGYTFGEGMLEMGELRGGSPYGAGVFSGDGSRPPSELELALAEHHGKYMATLVKKMVHGAS >ORUFI03G35600.1 pep chromosome:OR_W1943:3:29220323:29222473:1 gene:ORUFI03G35600 transcript:ORUFI03G35600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPDEPEKAASGAGAGDPPPPPFLEVKCRSSGEVRRFAFGTTARYALHAVNRKLAPGAPAALHVEAVKDGEEPVSFGPAAPLADYGDGWKLQTITEQDAPGYYQTPASDTRRDDTKQSAKNPRDQETMAAYITKIVLAFVFIFLLGGLFTYLLETLPDMFQPASEPQPL >ORUFI03G35610.1 pep chromosome:OR_W1943:3:29221907:29225910:-1 gene:ORUFI03G35610 transcript:ORUFI03G35610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSASSRFSPALQASDLNDFIAPSQDCIISLNKGPSARRLPIKQKEIAVSTNPPEEAVKISLKDCLACSGCITSAETVMLEKQSLGDFITRINSDKAVIVSVSPQSRASLAAFFGLSQSQVFRKLTALFKSMGVKAVYDTSSSRDLSLIEACSEFVTRYHQNQLSSGKEAGKNLPMLSSACPGWICYAEKTLGSFILPYISAVKSPQQAIGAAIKHHMVGKLGLKPHDVYHVTVMPCYDKKLEAVRDDFVFSVEDKDVTEVDSVLTTGEVLDLIQFRSVDFKTLEESPMDRLLTNVDDDGQLYGVSGGSGGYAETVFRHAAHVLFDRKIEGSVDFRILRNSDFREVTLEVEGKPVLKFALCYGFRNLQNIVRKIKMGKCEYHFIEVMACPSGCLNGGGQIKPAKGQSAKDLIQLLEDVYIQDVSVSNPFENPIAKRLYDEWLGQPGSENAKKYLHTKYHPVVKSVASQLQNW >ORUFI03G35620.1 pep chromosome:OR_W1943:3:29227273:29233744:-1 gene:ORUFI03G35620 transcript:ORUFI03G35620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLRRGLGILLLPLSSSSSRTPRPPVPLAALLVYHRRLDVFARRSFCSSGGGYAVEQFSDDEYDHEYEDHRPSSSVANIDEWRWKLSMLQRNAEEQEIISRDRRDRRDYDQIANLAKRMGLYSEMYGKVIVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEHLDRALLPDKCGTGNGSEMAEKAENVNLDEQQDSLLDRSVMEKILQRKSIRMRNFQRSWQESPEGVKMLEFRKSLPAYKEKERLLAAIARNQVIVISGETGCGKTTQLPQFVLESEIESGRGAFCNIICTQPRRISAMAVAERVSTERGENLGESVGYKVRLEGIKGKDTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLSRRRDLRLILMSATLNAELFSSYFGGAPTIHIPGFTYPVRAHFLEDILERTGYKLTSSNQLDDYGQDKVWKTQRQLLPRKRKNQITTLVEDALKTSSFETYGSRTRDSLSNWNPDCIGFNLIEAVLCHICRKERSGAVLVFMTGWDDISCLKDQLKAHPLLGDPNRVLLLACHGSMATAEQRLIFEKPPPNVRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPRCIKSLQVGSIGEFLSAALQPPAPLAVQNAVEFLKMIGALDENENLTDLGRYLSMLPVDPKLGKMLIMGAVFRCIDPILTVVAGLSARDPFLLPQDKRDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFSYILKDAGLVDSDANTNNSLSHNQSLVRGIICSGLFPGITSVVHRENSMSFKTMDDGQVLLYANSVNAKYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSILILFGGAVTKGSMAGHLKMLDGYIDLFMDPSLCECYLQLKEELDKLVQKKLEDPSFDIHKEGKYILYAAQELAAGDLCEGRFVFGRETSRARLSSSDDTKGNIIKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAIVEFKGMQFAGKPKRNKQLAERDAAIEALGWLTQTSGTKLQDDGDDSPLDLTDNMLKLLSRPRRRSRNNSRK >ORUFI03G35620.2 pep chromosome:OR_W1943:3:29227273:29233744:-1 gene:ORUFI03G35620 transcript:ORUFI03G35620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLRRGLGILLLPLSSSSSRTPRPPVPLAALLVYHRRLDVFARRSFCSSGGGYAVEQFSDDEYDHEYEDHRPSSSVANIDEWRWKLSMLQRNAEEQEIISRDRRDRRDYDQIANLAKRMGLYSEMYGKVIVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEHLDRALLPDKCGTGNGSEMAEKAENVNLDEQQDSLLDRSVMEKILQRKSIRMRNFQRSWQESPEGVKMLEFRKSLPAYKEKERLLAAIARNQVIVISGETGCGKTTQLPQFVLESEIESGRGAFCNIICTQPRRISAMAVAERVSTERGENLGESVGYKVRLEGIKGKDTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLSRRRDLRLILMSATLNAELFSSYFGGAPTIHIPGFTYPVRAHFLEDILERTGYKLTSSNQLDDYGQDKVWKTQRQLLPRKRKNQITTLVEDALKTSSFETYGSRTRDSLSNWNPDCIGFNLIEAVLCHICRKERSGAVLVFMTGWDDISCLKDQLKAHPLLGDPNRVLLLACHGSMATAEQRLIFEKPPPNVRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPRCVYDAFADYQLPELLRTPLNSLCLQIKSLQVGSIGEFLSAALQPPAPLAVQNAVEFLKMIGALDENENLTDLGRYLSMLPVDPKLGKMLIMGAVFRCIDPILTVVAGLSARDPFLLPQDKRDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFSYILKDAGLVDSDANTNNSLSHNQSLVRGIICSGLFPGITSVVHRENSMSFKTMDDGQVLLYANSVNAKYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSILILFGGAVTKGSMAGHLKMLDGYIDLFMDPSLCECYLQLKEELDKLVQKKLEDPSFDIHKEGKYILYAAQELAAGDLCEGRFVFGRETSRARLSSSDDTKGNIIKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAIVEFKGMQFAGKPKRNKQLAERDAAIEALGWLTQTSGTKLQDDGDDSPLDLTDNMLKLLSRPRRRSRNNSRK >ORUFI03G35630.1 pep chromosome:OR_W1943:3:29236450:29240722:1 gene:ORUFI03G35630 transcript:ORUFI03G35630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGRTGITASEVEFAKRTARERKRKRRKVFRSRGGQPTNQPNQPTRIASRAPNPTRIASAATHQAFPPTLLLLLAPGFLARLLFAVGVAPSATMEGERRAVRTVRVRNISDLAGEREVREFFSFSGEIEHVDIRCDGVATGRTAYVTFKDPKALEIALLLSGATIVDRVVNITPAEDYIYIPVTDQQLVVSEVVGEVTSTAPNADLDQPTEANASPTTGRVYVSKAHDVMTTVIARGSAMRQDAVNKAKAFDEKHQLRANASAKINSFDKRVGISEKINSGITVVNEKVKSVDQRLHVSDKTMAALLAAERKLNDTGSAVKTNRYVSAGTSWLNGAFSKVAKAGHVAGSRTREKFQIAVSNLTAKGPAVVA >ORUFI03G35640.1 pep chromosome:OR_W1943:3:29242219:29243057:1 gene:ORUFI03G35640 transcript:ORUFI03G35640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGQVGPRTEKDREAFPPNNVLLTLAGAGLLLWMGWTGFNGGAPYAANVDASVTVVNTHLCTATSLLVWLLLDSFVFGRLSVISAVQGMITGLVCVTPAARLVLHKRSRLLARVDDTLAVLHTHGVAGSLSGVLTGLLLLAEPRFARLFFGDDPRYVGLAYAVRDGRAGSGLRQVGVQLAGIAFVVALNVAVTSAVCLAVRVAVPQLAAGGDAIHGEDAYAVWGDGETYEQYSVHGGGSNHGGFPMTANPVASKADEMIWI >ORUFI03G35650.1 pep chromosome:OR_W1943:3:29246276:29249415:1 gene:ORUFI03G35650 transcript:ORUFI03G35650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPTTMWRSPAAPVCLLVAAVLLSAVAAATAGEEYVKYKDPKKPIGERVDDLLSRMTLAEKIGQMSQIERANATSAVIEKYFVGSVLSGGGSVPSEKATAKEWQQMVAKMQKAALKTRLGIPIIYGIDAVHGHNNVHNATIFPHNVGLGATRDPKLVKRIGQSTAHEARATGIPYTFAPCVAVCRDPRWGRCYESYSEDTKLVQLMTSAMVPGLQGDAPARYPKGTPFVAGGMNVAGCAKHFVGDGGTRDGINENNTVLSFHDLMRIHMPPYDDAVIKGVASVMISYSSWNGVKMHENRFLITDILKNKLKFRGFVITDWQAVDRITTPPHKHYYHSIQETIHAGIDMVMIPYDYPEFVADLTTQVSNGSIKLDRINDAVSRILRVKFAMGLFENPLPDPRLAGELGDKEHRQIAREAVRRSLVLLKNGKHGEKPVLPLSKKADKILVAGSHAHNLGFQCGGWTVSWQGQGGNNVTAGTTILEAIKAAVDESTVIDYTEHPDKSSIAESAKEYDYAVVVVGEEPYAETEGDNLNLTIPSPGPKVIKDVCGLVKCVVVLVSGRPLVVEPYIGAMDAFVAAWLPGTEGHGVADVLFGDHGFTGKLPRTWFKSVDQLPMNFGDKHYNPLFPFGFGLTTKPSHSQS >ORUFI03G35660.1 pep chromosome:OR_W1943:3:29264044:29268847:1 gene:ORUFI03G35660 transcript:ORUFI03G35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHKFSVILLMLCFATLGSAQYVKYKDPKQPVSVRVKDLLGRMTLAEKIGQMTQIERENATAEQIAKYFIGSVLSGGGSVPAPQASAQAWASMVNEMQKGALSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGATRDPDLVKRIGEATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPKVVQSLTTLISGLQGDVPSNDVGRPYVGGSKKVAACAKHYVGDGGTVKGINENNTIIDTHGLLTIHMPPYYNSIIRGVSTVMVSYSSWNGVKMHANHHLITDFLKNKLRFRGFVISDWQGIDRITSPPHKNYSYSIEAGIGAGIDMIMVPYTYTEFIDDLTEQVNNKIIPMSRIDDAVYRILRVKFTMGLFESPFADSSLADELGKQEHRELAREAVRKSLVLLKNGKSSYSPVLPLPKKAGKILVAGSHADDLGRQCGGWTITWQGQPGNNITAGTTILSAIKATVDPSTTVVYSENPDSSVVTGDKYDYAIVVVGEPPYAEGFGDNLNLTIPEPGPTVIQTVCKSIKCVVVLISGRPLVVEPYIGGIDAFVAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMNVGDAHYDPLFPFGYGLTTQAHSS >ORUFI03G35660.2 pep chromosome:OR_W1943:3:29264125:29268847:1 gene:ORUFI03G35660 transcript:ORUFI03G35660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHKFSVILLMLCFATLGSAQYVKYKDPKQPVSVRVKDLLGRMTLAEKIGQMTQIERENATAEQIAKYFIGSVLSGGGSVPAPQASAQAWASMVNEMQKGALSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGATRDPDLVKRIGEATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPKVVQSLTTLISGLQGDVPSNDVGRPYVGGSKKVAACAKHYVGDGGTVKGINENNTIIDTHGLLTIHMPPYYNSIIRGVSTVMVSYSSWNGVKMHANHHLITDFLKNKLRFRGFVISDWQGIDRITSPPHKNYSYSIEAGIGAGIDMIMVPYTYTEFIDDLTEQVNNKIIPMSRIDDAVYRILRVKFTMGLFESPFADSSLADELGKQEHRELAREAVRKSLVLLKNGKSSYSPVLPLPKKAGKILVAGSHADDLGRQCGGWTITWQGQPGNNITAGTTILSAIKATVDPSTTVVYSENPDSSVVTGDKYDYAIVVVGEPPYAEGFGDNLNLTIPEPGPTVIQTVCKSIKCVVVLISGRPLVVEPYIGGIDAFVAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMNVGDAHYDPLFPFGYGLTTQAHSS >ORUFI03G35670.1 pep chromosome:OR_W1943:3:29274234:29274955:1 gene:ORUFI03G35670 transcript:ORUFI03G35670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGKLTWQTAETSGGGGTRVHSARDGFQLQAPVRRTVAGEGTRRAAAARRHCSSQGTRLTGHWPLGPGGAGRQQGNQAGRGARAGWRGDAAARRHSSSQRGNKASRQQRRAATRQAASRTAPTRQPPRQQGKQQRQEARQQLNN >ORUFI03G35680.1 pep chromosome:OR_W1943:3:29280547:29285562:1 gene:ORUFI03G35680 transcript:ORUFI03G35680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAAPVVAAVLLLTWAAYGEAQYVLYKDATKPVEARVTDLLARMTLAEKIGQMTQIERQVASPQVLKDYFIGSLLSGGGSVPRKQATAAEWVSMVSDFQKGSLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHRIVQAMTELIPGLQGDVPANFTSGMPYVAGKNNVAACAKHFVGDGGTQNGVNEDNTIIDRRGLMTIHMPAYLNALQKGVSTVMISYSSWNGIKMHANHDLVTRYLKDRLNFKGFTISDWEGIDRITTPAGSNYSYSVQAGVLAGIDMIMVPNNYQSFISILTSHVNNGIIPMSRIDDAVTRILRVKFTMGLFENPMPDSSMADQLGKKEHRDLAREAVRKSLVLLKNGKTSDKPMLPLSKKAPKILVAGSHADNLGYQCGGWTIEWQGDTGRITVGMTILDAVKAAVDPSTTVVFAENPDADFVKNGGFSYAIVVVGEHPYTETKGDSLNMTIPDPGPSTVATVCGAAQCATVLISGRPVVVQPFLGAMDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGFGLTTQPRTY >ORUFI03G35680.2 pep chromosome:OR_W1943:3:29280644:29285562:1 gene:ORUFI03G35680 transcript:ORUFI03G35680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAAPVVAAVLLLTWAAYGEAQYVLYKDATKPVEARVTDLLARMTLAEKIGQMTQIERQVASPQVLKDYFIGSLLSGGGSVPRKQATAAEWVSMVSDFQKGSLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHRIVQAMTELIPGLQGDVPANFTSGMPYVAGKNNVAACAKHFVGDGGTQNGVNEDNTIIDRRGLMTIHMPAYLNALQKGVSTVMISYSSWNGIKMHANHDLVTRYLKDRLNFKGFTISDWEGIDRITTPAGSNYSYSVQAGVLAGIDMIMVPNNYQSFISILTSHVNNGIIPMSRIDDAVTRILRVKFTMGLFENPMPDSSMADQLGKKEHRDLAREAVRKSLVLLKNGKTSDKPMLPLSKKAPKILVAGSHADNLGYQCGGWTIEWQGDTGRITVGMTILDAVKAAVDPSTTVVFAENPDADFVKNGGFSYAIVVVGEHPYTETKGDSLNMTIPDPGPSTVATVCGAAQCATVLISGRPVVVQPFLGAMDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGFGLTTQPRTY >ORUFI03G35680.3 pep chromosome:OR_W1943:3:29280547:29285562:1 gene:ORUFI03G35680 transcript:ORUFI03G35680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAAPVVAAVLLLTWAAYGEAQYVLYKDATKPVEARVTDLLARMTLAEKIGQMTQIERQVASPQVLKDYFIGSLLSGGGSVPRKQATAAEWVSMVSDFQKGSLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVALGATRQAALLSPRFAKLLPFFTNREIRFCLMFLSRDPNLVKRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHRIVQAMTELIPGLQGDVPANFTSGMPYVAGKNNVAACAKHFVGDGGTQNGVNEDNTIIDRRGLMTIHMPAYLNALQKGVSTVMISYSSWNGIKMHANHDLVTRYLKDRLNFKGFTISDWEGIDRITTPAGSNYSYSVQAGVLAGIDMIMVPNNYQSFISILTSHVNNGIIPMSRIDDAVTRILRVKFTMGLFENPMPDSSMADQLGKKEHRDLAREAVRKSLVLLKNGKTSDKPMLPLSKKAPKILVAGSHADNLGYQCGGWTIEWQGDTGRITVGMTILDAVKAAVDPSTTVVFAENPDADFVKNGGFSYAIVVVGEHPYTETKGDSLNMTIPDPGPSTVATVCGAAQCATVLISGRPVVVQPFLGAMDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGFGLTTQPRTY >ORUFI03G35680.4 pep chromosome:OR_W1943:3:29280644:29285562:1 gene:ORUFI03G35680 transcript:ORUFI03G35680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAAPVVAAVLLLTWAAYGEAQYVLYKDATKPVEARVTDLLARMTLAEKIGQMTQIERQVASPQVLKDYFIGSLLSGGGSVPRKQATAAEWVSMVSDFQKGSLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVALGATRQAALLSPRFAKLLPFFTNREIRFCLMFLSRDPNLVKRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHRIVQAMTELIPGLQGDVPANFTSGMPYVAGKNNVAACAKHFVGDGGTQNGVNEDNTIIDRRGLMTIHMPAYLNALQKGVSTVMISYSSWNGIKMHANHDLVTRYLKDRLNFKGFTISDWEGIDRITTPAGSNYSYSVQAGVLAGIDMIMVPNNYQSFISILTSHVNNGIIPMSRIDDAVTRILRVKFTMGLFENPMPDSSMADQLGKKEHRDLAREAVRKSLVLLKNGKTSDKPMLPLSKKAPKILVAGSHADNLGYQCGGWTIEWQGDTGRITVGMTILDAVKAAVDPSTTVVFAENPDADFVKNGGFSYAIVVVGEHPYTETKGDSLNMTIPDPGPSTVATVCGAAQCATVLISGRPVVVQPFLGAMDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGFGLTTQPRTY >ORUFI03G35680.5 pep chromosome:OR_W1943:3:29280644:29285562:1 gene:ORUFI03G35680 transcript:ORUFI03G35680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAAPVVAAVLLLTWAAYGEAQYVLYKDATKPVEARVTDLLARMTLAEKIGQMTQIERQVASPQVLKDYFIGSLLSGGGSVPRKQATAAEWVSMVSDFQKGSLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHRIVQAMTELIPGLQGDVPANFTSGMPYVAGKNNVAACAKHFVGDGGTQNGVNEDNTIIDRRGLMTIHMPAYLNALQKGVSTVMISYSSWNGIKMHANHDLVTRYLKDRLNFKGFTISDWEGIDRITTPAGSNYSYSVQAGVLAGIDMIMVPNNYQSFISILTSHVNNGIIPMSRIDDAVTRILRVKFTMGLFENPMPDSSMADQLGKKEHRDLAREAVRKSLVLLKNGKTSDKPMLPLSKKAPKILVAGSHADNLGYQCGGWTIEWQGDTGRITVGMTILDAVKAAVDPSTTVVFAENPDADFVKNGGFSYAIVVVGEHPYTETKGDSLNMTIPDPGPSTVATVCGAAQCATVLISGRPVVVQPFLGAMDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGFGLTTQPRTY >ORUFI03G35690.1 pep chromosome:OR_W1943:3:29286666:29296481:1 gene:ORUFI03G35690 transcript:ORUFI03G35690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAGRSKPMAASSSERGRRWSARLTARSKVGDIRAAPAERYLADEQPRKQPIFNRTTGHQPKSSHYACEHQEVAKEHGPIWTGEVQSNWQKAEPRSSLTQSMVQKSTGKVSTLTNRFQLPENLQQRFLHKSPPYMRDSAKGPPYIKNKVYTINGSKH >ORUFI03G35700.1 pep chromosome:OR_W1943:3:29287972:29296835:-1 gene:ORUFI03G35700 transcript:ORUFI03G35700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSAAGEDIVQHLSSNSNPSSSKLAKLEARMAGKAAPVPSPPPPHHLVVPSAPATTFMDQEELPESSSSDDDNGEEFLIQKNILKRPRSPDGDHGLAVGNFEGSANEAVKHSEVMDTRPSIDISNRKKQGRGRGRGGAGRGRGSKTVDQTRATSTSSAVVANGRHDILTNMESRSSAVLGNDDKAALQEELSLLRGKVAILEEELSKSRQESTEYRQLSDRLAKELKDLKEQDQQKKSKQLKVLSDLLIAVSKAERQEARIRIKQESFRLGNVGVMRAGTVISETWEDGQAIKDLNSHLKSLLETKETIERHRKSLKKRQSDKGDGSDAETSMSEEDVLLQDEICKSRLTSIKREEEQYLRERDRYELEKGRLIREMKRLRDEDGSRFNNFQILHNRYALLNLLGKGGFSEVYKAFDLVEYKYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHPNIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKATPILPEKEARIIIVQIFQGLVYLNKRTQKIIHYDLKPGNVLFDEVGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFDLSKTPFISSKVDVWSAGVMFYQMLFGRRPFGHDQTQERILREDTIINARRVEFPSKPAVSNEAKELIRRCLTYNQAERPDVLTIAQEPYLSYAKR >ORUFI03G35710.1 pep chromosome:OR_W1943:3:29297442:29299325:1 gene:ORUFI03G35710 transcript:ORUFI03G35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVEERVRGEVRGEEDDRPQLSAAAAEALREFLLEQGRDGGEEGEEGGGGVELVAEDWRLSQFWYDERTARALAEEVARLVSLSGPASSAAVACVACPTLYTYLKTSSPDVTAQLLEYDVRFGQYGGDFTFYDYNQPEELPAAMKHAYRIVVADPPYLSKECLEKVAKTVSFLAHPEGSFLLLLTGEVQRDRAFELLNVRPCGFKPQHSNKLGNEFRLFTNYDPEDRLGGWEQNDGATV >ORUFI03G35720.1 pep chromosome:OR_W1943:3:29299523:29300360:-1 gene:ORUFI03G35720 transcript:ORUFI03G35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKGASPAAAAATSGEPGAAAGTMKVVVAVDASEESLNALSWALDNVIGRRAGAVSVVVVHAQHGPDHFVYPVAAHGIGIHFAFHETDTACTCACVTEAAIAYAPASAIESMRKAQEEISRKVVSRALDVSATGAIVEGDAKEAICQAVEEMHADMLVLGSRGLGKIKRAFLGSVSDYLVHHACCPVLVVKPTKAHDK >ORUFI03G35730.1 pep chromosome:OR_W1943:3:29301667:29304420:-1 gene:ORUFI03G35730 transcript:ORUFI03G35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMDPGAGGPLTESEKADLDAIAAIKESAAAEYKEKGNRLVKMGRSHYADAVDCYTKAIAQMEPLPPPPVPSPDASVLFANRAHVNLLLGNHRRALDDAARAVQLSPSNVKAYYRAAKAAIALGLLPEAAAFCRRGIEQDPANEELKKLLAQVDAQQSEQDRHRAKVAQAVSAAKDIVAAIEKRGLKLGKAAYQELTGLKKPKLDEQGVLHWPVLLLYPEVMSSDFIEDFPETDTFVPHLDAGVGTVLSKSEILKILLEGTMDSKSLPESLLDEEDGENDDGKSSTITSSDKGSVKWINVKEGKTLQEVLQHKDFIIPAIPVFFVVSRKSTFYREFKAGNWSLP >ORUFI03G35740.1 pep chromosome:OR_W1943:3:29308284:29317221:1 gene:ORUFI03G35740 transcript:ORUFI03G35740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDCGDDDHGGGSAPAGFELQEDPSFWKDNNVQVVIRVRPLSSGEISVQGQKRCVRQDSCQSITWTGHPESRFKFDLVADEYVTQENLFKVAGVPMVDNCMAGYNSCMFAYGQTGSGKTHTMLGDIENGTRRNNVNCGMTPREKEIRKEEKLRFTCKCSFLEIYNEQILDLLNPNSVNLQIREDAKKGVHVENLTEHEVSNAREAMQQLVEGAANRKVAATNMNRASSRSHSVFTCLIESKWESQGINHHRFSRLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVITNLIAVSNKKSHHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSSCCAAETLSTLKFAQRAKYIRNNAIINEDASGDVLSMRLQIQHLKKEVSRLQGLVNSDKAECTSSSGFICESPSTLKWNQGQGSFSPLMFDKRAMQRKDYDAALVAAFRREQETEAKLKAMIAAKLVAEQLATQRAEEVRSFKMRLRFREDRIKRLEQVTSGKLSAESHLLQEKEDLVKEVDALRGLLDRNPEVTRFAMENLQLKEDIRRLQTFVDEGEREMMHEQIIVLQDKLLEALDWKLMHEKDPINKDLSFLGESADEEMEFLRLQAIQNEREIESLRKNLSFCLESKEKLERRVDELTLELEAAKKYHEESEAVELQVQTEVDLHDLPDAQTELKTLVDAIATASQREAEAHETAIGLAKANEELRTRLTVLIEDNKRLVELYEHAIANGEVNQDGGHPAIPQIEGVNEQQSSHSYGGAAANGVLPDDKPESATILPADNSSSEVSDSKIMDGQCNHKDNFSRSELTDLQLQLDEMHEENDKLMGLYEKAMQERDEFKRKFFEGSNSLTTVDTQYEDVEMRDATDDEDLEVKHVHDSAISTFKEILRLVRVKLKNVHDKLVTTQDAVEYFKLLEMASTKAEELSASIQHHCLELKHDQEDMNALKAELSQSQESKEALESKYFSPVASCWNLDLKTKALVGSKFDVSLELLNQKKEQLSHLQTLKKEFSVASTKARESETALRSKIDGLKVKLRSFEAQRKEAERVLFAIDNIDTSTPTLSKPVNFGKASELLRSEEERTKLLSELKKSREQLIMVQKEIKSMNRHDDIDCKIASLESEVENCCLTLLEADVEKFVRDNTLTEIWKEEQKDMDCLLVDYQECVFKVNLKEEKIRACEESLQHQTRSLDDMNSKLNQAMRDLGEHLRDRTPCDLDASMLHVSDKVKGDLDAMALHVAEAVQLLLVQGENQTNP >ORUFI03G35740.2 pep chromosome:OR_W1943:3:29308284:29317221:1 gene:ORUFI03G35740 transcript:ORUFI03G35740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDCGDDDHGGGSAPAGFELQEDPSFWKDNNVQVVIRVRPLSSGEISVQGQKRCVRQDSCQSITWTGHPESRFKFDLVADEYVTQENLFKVAGVPMVDNCMAGYNSCMFAYGQIREDAKKGVHVENLTEHEVSNAREAMQQLVEGAANRKVAATNMNRASSRSHSVFTCLIESKWESQGINHHRFSRLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVITNLIAVSNKKSHHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSSCCAAETLSTLKFAQRAKYIRNNAIINEDASGDVLSMRLQIQHLKKEVSRLQGLVNSDKAECTSSSGFICESPSTLKWNQGQGSFSPLMFDKRAMQRKDYDAALVAAFRREQETEAKLKAMIAAKLVAEQLATQRAEEVRSFKMRLRFREDRIKRLEQVTSGKLSAESHLLQEKEDLVKEVDALRGLLDRNPEVTRFAMENLQLKEDIRRLQTFVDEGEREMMHEQIIVLQDKLLEALDWKLMHEKDPINKDLSFLGESADEEMEFLRLQAIQNEREIESLRKNLSFCLESKEKLERRVDELTLELEAAKKYHEESEAVELQVQTEVDLHDLPDAQTELKTLVDAIATASQREAEAHETAIGLAKANEELRTRLTVLIEDNKRLVELYEHAIANGEVNQDGGHPAIPQIEGVNEQQSSHSYGGAAANGVLPDDKPESATILPADNSSSEVSDSKIMDGQCNHKDNFSRSELTDLQLQLDEMHEENDKLMGLYEKAMQERDEFKRKFFEGSNSLTTVDTQYEDVEMRDATDDEDLEVKHVHDSAISTFKEILRLVRVKLKNVHDKLVTTQDAVEYFKLLEMASTKAEELSASIQHHCLELKHDQEDMNALKAELSQSQESKEALESKYFSPVASCWNLDLKTKALVGSKFDVSLELLNQKKEQLSHLQTLKKEFSVASTKARESETALRSKIDGLKVKLRSFEAQRKEAERVLFAIDNIDTSTPTLSKPVNFGKASELLRSEEERTKLLSELKKSREQLIMVQKEIKSMNRHDDIDCKIASLESEVENCCLTLLEADVEKFVRDNTLTEIWKEEQKDMDCLLVDYQECVFKVNLKEEKIRACEESLQHQTRSLDDMNSKLNQAMRDLGEHLRDRTPCDLDASMLHVSDKVKGDLDAMALHVAEAVQLLLVQGENQTNP >ORUFI03G35750.1 pep chromosome:OR_W1943:3:29324678:29326857:-1 gene:ORUFI03G35750 transcript:ORUFI03G35750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGCRCEVVDACVREVRLASPYRIHQIFRFTTPRRLALAHRGDTYKARDEGQCHWRDKAGSATRREGGESEGGERRRRRLMASPAVVAFAVAVAVAALAAFCGTDPLRTGSMVDFPGFVPHVVELPDASEMPPHADTRERLRGAEIRFRGEVQGPESVAFDPLGRGPYTGVADGRVVRWDGARWVYFAHSSPNWTAELCGHKASPLDYLKDEHICGRALGLRFDRRTGDLYIADAYFGLLKVGPDGGLATPLATEAEGVRFNFTNDLDLDDDGNVYFTDSSIHYQRRHFMQLVFSGDPSGRLLKYDPNTKKATVLHRNIQFPNGVSMSKDGLFFVFCEGSRGRLSRYWLKGEKAGTVDLFAILPGFPDNVRTNDKGEFWVAIHCRRSIYARMVSRNVRLRKFLLSLPIPAKYHYLMQIGGKLHALIIKYNPEGEVLDILEDTTGQVVRAVSEVEEKDGKLWIGSVLMPFIAVFDYANAS >ORUFI03G35760.1 pep chromosome:OR_W1943:3:29333184:29339865:-1 gene:ORUFI03G35760 transcript:ORUFI03G35760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGVPNSGDDETNHRSKRRRVASSGDAPDSLSAACGGAGEGGGKKALYHCNYCNKDISGKIRIKCSKCPDFDLCVECFSVGAEVTPHRSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWAEVAEHVGTKTKAQCIDHYTTAYMNSPCYPLPDMSHVNGKNRKELLAMAKVQGESKKGTSVLPGDLTPKDESPFSPPRVKVEDALGEGLAGRSPSHIAGGANKKASNVGQFKDGANVAKVEDGHVDRSIGVKKPRYSADEGPSLTELSGYNSKRHEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLFPNPLEKDLTNEDKEVYHRYKVFMRFLSKEEHEALVRSVLEERKIRRRIQELQECRSAGCRTLAEAKIHIEQKRKKEHEVNAQKAKESGQLLSNTKVVHKTNRPMKIESDGNLDQKKGGASLDSTGRDSPKTTGHAGTKHWDDWDIVGFPGAELLSTSEKNLCCQNRLLPNHYLKMQEVLMQEIFKGSVAKKEDAHVLFKVDPAKVDNVYDMVTKKLGTNEEAPTV >ORUFI03G35760.2 pep chromosome:OR_W1943:3:29333184:29337302:-1 gene:ORUFI03G35760 transcript:ORUFI03G35760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYQRARAQAPPSRATPLQSRRVTPACWWTSSYLGTPRTSVLPGDLTPKDESPFSPPRVKVEDALGEGLAGRSPSHIAGGANKKASNVGQFKDGANVAKVEDGHVDRSIGVKKPRYSADEGPSLTELSGYNSKRHEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLFPNPLEKDLTNEDKEVYHRYKVFMRFLSKEEHEALVRSVLEERKIRRRIQELQECRSAGCRTLAEAKIHIEQKRKKEHEVNAQKAKESGQLLSNTKVVHKTNRPMKIESDGNLDQKKGGASLDSTGRDSPKTTGHAGTKHWDDWDIVGFPGAELLSTSEKNLCCQNRLLPNHYLKMQEVLMQEIFKGSVAKKEDAHVLFKVDPAKVDNVYDMVTKKLGTNEEAPTV >ORUFI03G35760.3 pep chromosome:OR_W1943:3:29337570:29339865:-1 gene:ORUFI03G35760 transcript:ORUFI03G35760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGVPNSGDDETNHRSKRRRVASSGDAPDSLSAACGGAGEGGGKKALYHCNYCNKDISGKIRIKCSKCPDFDLCVECFSVGAEVTPHRSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWAEVAEHVGTKTKAQCIDHYTTAYMNSPCYPLPDMSHVNGKNRKELLAMAKVQGESKKGAWGGGRGKEGG >ORUFI03G35770.1 pep chromosome:OR_W1943:3:29340785:29342897:-1 gene:ORUFI03G35770 transcript:ORUFI03G35770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKPAKNLPVPPAAAAAAAAKNGSGGKLPGLSRKLFQKGSSEPKKKALTEVKNGGNTRTLAMVLRSERELLTQSKEQEDEIAALRLQLEQKDTEVERLKDLCLRQREEIRTLKDAVKADKHTPRSCFDDEYCSSPRTPVLNEETAFSLECSIGEDDTPNYGSPDEMFSKDLNPCLTPCISKSKSEEYEQPINSHRSGTKAGQDSLSCGSRSRPMSKSSDHHKPTSGTNSKRRVYRSDQDKFHQNLF >ORUFI03G35780.1 pep chromosome:OR_W1943:3:29346763:29347980:1 gene:ORUFI03G35780 transcript:ORUFI03G35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMAMKDPSLWHKVAAISGVAALGLGTYGAHMFRPKNPAYKEVWHTASLYHLVHTAALLGAPITKRPDVCLSSLQFGGLLTAGIVLFSGTCYTVAYLEDRKYSSTAPLGGFAFIAAWASLLF >ORUFI03G35780.2 pep chromosome:OR_W1943:3:29346763:29347980:1 gene:ORUFI03G35780 transcript:ORUFI03G35780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMAMKDPSLWHKVAAISGVAALGLGTYGAHMFRPKNPAYKEVWHTASLYHLVHTAALLGAPITKRPDVFGGLLTAGIVLFSGTCYTVAYLEDRKYSSTAPLGGFAFIAAWASLLF >ORUFI03G35790.1 pep chromosome:OR_W1943:3:29351633:29356935:1 gene:ORUFI03G35790 transcript:ORUFI03G35790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKMITRKLSFNQKDMDVTEIDLQDYKDVDSIAFYQVPTNVGSGMSMESERLVRVHACTDHNGVSFLHKLLHRLLEHKEMYSNVVNLLFHGIEWQTEGVQLLCSFLGPGSSVKQVEFQKNVFGTKSSAALVPLSEMIQRNNTIKAIVFSECRIGASGVKLLASALAYNRSVEEVQLLDDSIGAKGAEEFSKMIEVNCVLKLLVILDNSSIAAAPIFSAVLARSRRVEVHVWGHCRDTRGGMNSCKIAEFQAGTGSLRIYNNINSTGLQRIACAMAWNTTVTTLDMSGVPLKSKWTKELRGVLERNRMLKTVKLTKCCLRDKAVVYIAAGLFKNSYLESLSLDGNRFGGVGLEHLLCPLSTFSPLQRQANLTLKVLSFGGRQTNIGRYGITAILQMLETNQSLLQLAICDDVNPWIEEIDLHETPLHVAGKTREIYEKLGQNGSSVVPNDLLDLPLSAPTCCQVFLCGQELSGKSTLCSSIKHCMNSMKLPRMDEIRTSKTPIEQMSHTNEYGMNIIFDGNTKLTMCNIGGPEESIPLHDFMFVVHGGPRIFMIVSSLIGKPADKYPKSIDVIEQELIYWLKFVASNSRRRVSHSFIPCVTIVLTHYDKVSHLAEGLQLIVAAVQRLREDFCSYAEIYPTVFVVDSRSQVSVSKLTHHLRNTTKTVLQQAPQVYEVCNDLIRYLHNWRLKNDKSVVKWSEFCEICQLSIPVLRLRSRHDNAEKLDTRRHAVAKSLHDLGEIIFFEELGVLIMNCEWFCQDILSQLGALKSIKIENSGFVRKQDLEKILQEKLCNQIQRSNWRAGASLQSGDIINMLLKLELCYEQDPGNPNTLLLVPAMLEESKEGIQRCQLTMPECRYAGRHMECEDTHMFLTNDFFPRLQVRLHNKIMCPGNQQGAVYNLEKNLIYTVIDGVHVRVELGMKLGSSIDVLACSTRNVTDMVRLLHKSVITTILNMSPSMTFKESIIRPDCVKYLIPQRFRTTQLLPVKKIKHILLSLPAESFYDYQHTWSAVENNKRVILMSGLDHARDLLSDDDFHDVLHRRYYDLQHLATELAVTPDNLQQSETIAESDAVDPSILGIAKGVEMVLQRLKRIEQGIQDLKEEIARLRYYEYHLVTELHRKMDYVMNYSIQLEDRKVPQLFYLVSLDSRSKKLVTRILPGMRSLRVHMLCEFRQEMHVLEDQVGCDLIQVDNRAVQSLLPYMSKFMKLLTFALKIGAHFIVGMGEMIPDLSREVVHLLDSSVMYGATTSALSLGALGAAAMYGKARNNGSQSGTNDMEDDMKTARQWLVDFLKGQGILTGMDIAQRFGLWRVRYRDDGHIAWICRKHIVARADEIFELPL >ORUFI03G35800.1 pep chromosome:OR_W1943:3:29357151:29360685:-1 gene:ORUFI03G35800 transcript:ORUFI03G35800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKSPVAAEEQAATAAAAAAKGEGERCPVEEVALVVPETDDPTTPVMTFRAWTLGLASCVVLIFLNTFFTYRTQPLTISGILAQILVLPAGQFMAAVLPSREVRLLGGRLGSFNLNPGPFNIKEHVIITIFANCGVSYGGGDAYSIGAITILGYGWAGMLRRYLVDPADMWWPSNLAQVSLFRALHEKEGGDGGKGSSSRGPTRMRFFLIFFFASFAYYALPGYLLPILTFFSWACWAWPHSITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWSSIANTAAGFVMFIYLIVPLCYWKFDTFDARKFPIFSNQLFTASGQKYDTTKVLTREFDLNVAAYESYGKLYLSPLFAISIGSGFLRFTATIVHVALFHGGDIWRQSRSAMSSAAAKMDVHAKLMRRYKQVPQWWFLVLLVGSVAVSLVMSFVYREEVQLPWWGMLFAFALAFVVTLPIGVIQATTNQARIHPSIDLTMNHRRFVVQPGYDIIAQFMIGYALPGKPIANLLFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLGSIDNICDVEALHPDSPWTCPKYRVTFDASVIWGLIGPARLFGRHGLYRNLVWLFLAGAVLPVPVWLLSRAFPEKKWIALINVPVISYGFAGMPPATPTNIASWLVTGTIFNYFVFKYRKGWWQKYNYVLSAALDAGTAFMGVLIFFALQNAHHELKWWGTAVDHCPLASCPTAPGIAVKGCPVF >ORUFI03G35810.1 pep chromosome:OR_W1943:3:29377755:29381352:1 gene:ORUFI03G35810 transcript:ORUFI03G35810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLSLHHPCNSRTGFLGKRQGICLHVIPAGRVGFVRKTIECKESRIGKKPIEVPSNVTLTLEEQFIKAKGPLGELSLNYPGEVKVVKKESGKLRVSKTVETKRANQMHGLFRTLTDNIIVGVSKGFDKKLQLVGVGYRAAVEGKDLVMNLGFSHPVRMAVPEGLKVKVEENTRIIVSGYDKSEIGQFAASIKKWRPPEPYKGKGIRYADEVVRRKEGKAGKKK >ORUFI03G35820.1 pep chromosome:OR_W1943:3:29386576:29388866:1 gene:ORUFI03G35820 transcript:ORUFI03G35820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTIIVKVDLECERCYAKIDRVLTRIRDKGEFVIDDIEFDVKHNKVIVSGPFDPDKLADKLCCKACKIIKEIEIVDLPPPPPPPAPEPEPEPPKKEEPQPPPPKEEEKPEPPPAVIIVEPPAPAPEPEPEPPKKEPPPPPPPKQEPCPPPPKVVEVPYPWPYPYPFPSWPSDCCCHHGHGGCHCCSCGKAPEPAPAPAPPPPQYIPCYPPQQPYPCGGYRIVCEEDPSYACAIM >ORUFI03G35830.1 pep chromosome:OR_W1943:3:29389016:29392982:1 gene:ORUFI03G35830 transcript:ORUFI03G35830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCHRQRCATTALSLQLASRGPCRIGCVPFRVHDSPANGYPRGGRSDVLSGGQGADQSKSLRRMKRLYSHGKSSTAGADREWLEDHEWIEHKGGDYLAIATTHLLPQ >ORUFI03G35840.1 pep chromosome:OR_W1943:3:29391467:29393345:-1 gene:ORUFI03G35840 transcript:ORUFI03G35840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSIQCVALEVGIAAAAASLPPSSPLREGEGLSGDQRQRNHSIRVDGNPRHLEGLRSIWHNFATGEEDVLLQWPNNHLLYARSTHDLPTILCLRLQCCFFHESTASSFFSATCSGQHLNSSSIFDPHVMAGFELYSGFC >ORUFI03G35840.2 pep chromosome:OR_W1943:3:29391467:29393195:-1 gene:ORUFI03G35840 transcript:ORUFI03G35840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFELYSGFC >ORUFI03G35840.3 pep chromosome:OR_W1943:3:29391467:29393362:-1 gene:ORUFI03G35840 transcript:ORUFI03G35840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSIQCVALEVGIAAAAASLPPSSPLRATLGLPSSPCILTLPLTHLSSSLGDDASGEGEGLSGDQRQRNHSIRVDGNPRHLEGLRSIWHNFATGEEDVLLQWPNNHLLYARSTHDLPTILCLRLQCCFFHESTASSFFSATCSGQHLNSSSIFDPHVMAGFELYSGFC >ORUFI03G35840.4 pep chromosome:OR_W1943:3:29391467:29392967:-1 gene:ORUFI03G35840 transcript:ORUFI03G35840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCNGQIITSFMLDPLMIFQPFSVCACSAAFSMRVQPLHSSQRLALNSSSIFDPHVMAGFELYSGFC >ORUFI03G35850.1 pep chromosome:OR_W1943:3:29396026:29396379:-1 gene:ORUFI03G35850 transcript:ORUFI03G35850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDVEAGGVLRLVAAERAAEDRGGVAAEGAAERQGRTRRGGGGEAVGGGEVGGGGGELVEGAREGEGIGIGDSGEAREEASVDGGGGGGIGRRRSPEEQRRGGSKLGRRTAASGGE >ORUFI03G35860.1 pep chromosome:OR_W1943:3:29400613:29406691:1 gene:ORUFI03G35860 transcript:ORUFI03G35860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSNNRATCSRSSSARSKHSARVVAQTPMDAQLHAEFEGSQRHFDYSSSVGAANRSGATTSNVSAYLQNMQRGRFVQPFGCLLAVHPETFALLAYSENAAEMLDLTPHAVPTIDQREALAVGTDVRTLFRSHSFVALQKAATFGDVNLLNPILVHARTSGKPFYAIMHRIDVGLVIDLEPVNPVDLPVTATGAIKSYKLAARAIARLQSLPSGNLSLLCDVLVREVSELTGYDRVMAYKFHEDEHGEVIAECKRSDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCSATPVKIIQDDSLTQPISICGSTLRAPHGCHAQYMASMGSVASLVMSVTINEDEDDDGDTGSDQQPKGRKLWGLMVCHHTSPRFVPFPLRYACEFLLQVFGIQINKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQFWVLGSTPSEAEIKNIVAWLQEYHDGSTGLSTDSLVEAGYPGAAALGDVVCGMAAIKISSKDFIFWFRSHTAKEIKWGGAKHEPIDADDNGRKMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANKNNNAKSIVTAPSDDMKKIQGLLELRTVTNEMVRLIETATAPILAVDITGSINGWNNKAAELTGLPVMEAIGKPLVDLVIDDSVEVVKQILNSALQGIEEQNLQIKLKTFNHQENNGPVILMVNACCSRDLSEKVVGVCFVAQDMTGQNIIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNEAMQKITGIKREDAVDKLLIGEVFTHHEYGCRVKDHGTLTKLSILMNTVISGQDPEKLLFGFFNTDGKCIESLMTATKRTDAEGKITGALCFLHVASPELQHALQVQKMSEQAAMNSFKELTYIRQELRNPLNGMQFTRNLLEPSDLTEEQRKLLASNVLCQEQLKKILHDTDLESIEQCYTEMSTVDFNLEEALNTVLMQAMPQSKEKQISIDRDWPAEVSCMHLCGDNLRLQQVLADFLACTLQFTQPAEGPIVLQVISRMENIGSGMQIAHLEFRLVHPAPGVPEALIQEMFRHSPGASREGLGLYISQKLVKTMSGTVQYLREAESSSFIVLVEFPVAQLSTKRCKASTSKF >ORUFI03G35870.1 pep chromosome:OR_W1943:3:29406848:29412100:1 gene:ORUFI03G35870 transcript:ORUFI03G35870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREKRRRVAALDGEERRRRQEEAATLLHRIRGLVRWVVAEVAAGRSPTVALHRYQNYCSSASAAAASPCACSYDVPVGTDVLSLLHRGSHASRLNVLLRVLLVVQQLLQQNKHCSKRDIYYMYPSIFQEQAVVDRAINDICVLFKCSRHNLNVAFSIPVSIEAIKDVVSVADYILIVEKETVFQRLANDKFLRYLVEQLHLPVYCLVDADPYGFDILATYKFGSLQLAYDANFLRVPDIRWLGVFTSDFEDYRLPDCCLLHLSSEDRRKAEGILSRCYLHREAPQWRLELEAMLQKGVKFEIEALSACSISFLSEEYIPKKIKQGRHI >ORUFI03G35880.1 pep chromosome:OR_W1943:3:29411945:29414236:-1 gene:ORUFI03G35880 transcript:ORUFI03G35880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNSIQQSLLADNPNVLQRKPSEGVNRFRRCRSTPSTDPLQGPPEKGSSVKAKELFKEMRPSFRLVGLLLFIYLLVGVLAFYAVMDEISGKRTNRVLDALYFCVVTMTTVGYGDLVPNNDTTKLLACAFVFMGMAVVALFVSKVADYLVEKQEVLFFKALHTNLKGGETKMLRAIETNRIKYKFYTNALLLVLSIISGTVFLWKVEKLSLVDSFYCVCATITTLGYGDKSFSSKLGRVFAVFWIITSTIIMAQFFMYLAEIYTERRQKMLANWVLTRKMTKMDLEAADLDDDRQVGAAEFVVYKLKELGKINQEEISSFLEEFEKLDVDHSGTLSPYDLTLAQSAQ >ORUFI03G35890.1 pep chromosome:OR_W1943:3:29414053:29414280:1 gene:ORUFI03G35890 transcript:ORUFI03G35890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARAPLLLRACGVEGSGSWLQHHTGQDSARRCRVRGYSILRRPANSTGTVDVVNVGASPNLSTSSAYAAAGVF >ORUFI03G35900.1 pep chromosome:OR_W1943:3:29418890:29419546:-1 gene:ORUFI03G35900 transcript:ORUFI03G35900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDFCGSLRVHQQQLKRQPMERIRVDIRRKFKDGIEVSEKFRDKRLQHDKENGSAEEYNLLQVYHCWSLKLNGFIGESRE >ORUFI03G35910.1 pep chromosome:OR_W1943:3:29420313:29421730:1 gene:ORUFI03G35910 transcript:ORUFI03G35910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTFVLAVLVMSGAAALGRELAGDGAAAAAAADVAMASRHEKWMAKHGKTYKDEEEKARRLEVFRANAKLIDSFNAAAEKDGGGGHRLATNRFADLTDDEFRAARTGYQRPPAAVAGAGGGFLYENFSLAAAPQSMDWRAMGAVTGVKDQGSCGCCWAFSAVAAVEGLAKIRTGQLVSLSEQELVDCDVRGEDQGCEGGLMDTAFQYIARRGGLAAESSYPYRGVDGACRAAAGRAAASIRGFQDVPSNDEGALMAAVARQPVSVAINGAGYVFRFYDRGVLGGAGCGTELNHAVTAVGYGTASDGTGYWLMKNSWGASWGEGGYVRIRRGVGREGACGIAQMASYPV >ORUFI03G35920.1 pep chromosome:OR_W1943:3:29424372:29428553:1 gene:ORUFI03G35920 transcript:ORUFI03G35920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGRFHRAPFPSGIPAMTRCSRHQPPAPPTVVVIDEDDDDLGDGPSDEEVFIIDGDAAKGRASSGCKTKRGNSSCSNVINLDDDDDEEEEEGGGGDRAGPSSAGAAAGSPAVTTPGRVSPRNRYGLDYVSDSYESDSSEGHSDGDDGSDCEILDDASGSARKQWEKAASRKSMPQGFRKSTSTSSAESSTQPDESEENDAECDINEYIRKYFSEDVLKKGGPISTSGANAKPSVPDAHDCSKGNASNANEAEDCNATSRIDPDPACNDEATHSQNGSVPEKTAERSQSPHIDETFEPEQCTDYSFISANRVFPACSSANWKDQSPTFVSTPEKLDEKLSDGTYARKDETLIDAHNKSTTKNKEMCPEPDNGSLDGRLTEDPPLSSRCDCSKQSEKKSAHLDANCCASAASSNKNPSANVILGGCMPPQKDLVDGPEKSGQPAVAQVAADIQDGLIGAREKHKESDEYKRAQEEEWASRQRQLRIQAEEAQRLRKRKKAEAMRLLDMEKRQKQRLEEVRESQRKNEADIQLKEKYRGVVRLELESMERRYIDMASILRALGIAAEGGEVKAAYKQALLKFHPDRVSRSDMYQQVKAEETFKFISRLKEKMLRV >ORUFI03G35930.1 pep chromosome:OR_W1943:3:29428919:29444122:-1 gene:ORUFI03G35930 transcript:ORUFI03G35930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLKRIENKINRQVTFSKRRSGLLKKANEISVLCDAEVALIIFSTKGKLYEYATDSCMDKILERYERYSYAEKVLISAESDTQGNWCHEYRKLKAKVETIQKCQKHLMGEDLESLNLKELQQLEQQLENSLKHIRSRKSQRMLESINELQRKEKSLQEENKVLQKELVEKQKVQKQQVQWDQTQPQTSSSSSSFMMREALPTTNISNYPAAAGERIEDVAAGQPQHVRIGLPPWMLSHING >ORUFI03G35930.2 pep chromosome:OR_W1943:3:29428919:29444122:-1 gene:ORUFI03G35930 transcript:ORUFI03G35930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLKRIENKINRQVTFSKRRSGLLKKANEISVLCDAEVALIIFSTKGKLYEYATDSCMDKILERYERYSYAEKVLISAESDTQGNWCHEYRKLKAKVETIQKCQKHLMGEDLESLNLKELQQLEQQLENSLKHIRSRKSQRMLESINELQRKEKSLQEENKVLQKENPCSFLQLVEKQKVQKQQVQWDQTQPQTSSSSSSFMMREALPTTNISNYPAAAGERIEDVAAGQPQHVRIGLPPWMLSHING >ORUFI03G35940.1 pep chromosome:OR_W1943:3:29451485:29457578:-1 gene:ORUFI03G35940 transcript:ORUFI03G35940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLQRIENKISRQVTFAKRRNGLLKKAYELSILCDAEVALVLFSHAGRLYQFSSSSNMLKTLERYQRYIYASQDAAAPTSDEMQNNYQEYVNLKAHVEILQQSQRNLLGEDLAPLATNELEQLESQVVRTLKQIRSRKTQVLLDELCDLKRKEQMLQDANRVLKRKLDEIDVEAAPPQPPWNGNCSNGHGGGGGVFSSEPPQPEHFFQALGLHAVDVNQPPAPPPGGYPPEWMA >ORUFI03G35950.1 pep chromosome:OR_W1943:3:29462738:29463012:1 gene:ORUFI03G35950 transcript:ORUFI03G35950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAACGSAGPAHPACGSVAALTTPAGPAWYRIPGLRDRLLVLTPAQPFRGLGIFLQISHSQRK >ORUFI03G35960.1 pep chromosome:OR_W1943:3:29466958:29468672:-1 gene:ORUFI03G35960 transcript:ORUFI03G35960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRSPPPSSPWPSWVLLDPNVSDYRFCIGPTAKGWKVVDFTNKNYGAGKKYGQLMAECLKLYVRLADGDAGLDQSELAITANDGVIRSIIEEELTEQTGCLKMDLHGIVHASCVTGYVEIADKNLIILAVSYSFARDNYYLIYDATLRSLSMIPHVSAHPYCQAYYPCDPLPVRYGDEYTLVLFARNLEYQKEEQGCNYYHRDVLCLWPPPPSSEKPPLLLRDTPGPSIEPWHLKEPVFPEGKTPSEFRHHVKFTSTSHAFWADLTKGVLCCRCSDLFDSYHVNFSFIELPHGCECDALDMPDTAPAEIYRTMGCTSGSSIKFVSISFEDSMPVDEKTMTVWTLDTASWGWTKDVELSLGSLWELEDFKKNGLPETQPVYPFLRKEEDEDNALYFTLSSSLLGPISECGEPAVHHMCRFDMRSMRLESSPLSFPPDMIVPQRLFGSEFFRYLDRHVQVPGCGKGKRKLNEDYILMLICPSEFLGLCAQQWSEWKSMQK >ORUFI03G35970.1 pep chromosome:OR_W1943:3:29480868:29482754:-1 gene:ORUFI03G35970 transcript:ORUFI03G35970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASRCVLLDPGVPDVFSIGPTEQGWASIDLKKKRYQGCGRYGPLLAESVKLYARLAEEHPTLSRLAVCADKNIIHFIVAEELKKKQASKAAESSPPGLMVPDLAIRAIEFDKIDEISGVTARVEVADKNLMVLSLSFVFTSSKHYLLYDAIHASMSMIPIPNWCRDIYLPSNPLPVRYGDEYALVLFAKNYPYKREGRSTCIDLLYLWTPPKSSSPSTSPPPPPPPPPPREKMYPNPSGEPWHTRKPRFPKETPASFCHHVKFTSSSHAFWADLTKGVLCCRIKDLLDSFFVHFDFIELPPGCKSDALDDSDTGPAEMFRTMGCSTGDLIKFVSISFDDSVPEDDKTVTEWTLDMGTLQWTKGEELRFGTLWELDDFKKDGLPETEPVYPLLSMEEGDGGDLYFILSRPIMRWEDPAVHHVCRFNMTSKKLVSNPLSWRPDKIVPSGLLGCEFFRHLDSQRLVPDNRKMDAGKVQSWVEMESALEEVDESMQREISRKQMVWSGSQLDLFCQAN >ORUFI03G35980.1 pep chromosome:OR_W1943:3:29485605:29489493:-1 gene:ORUFI03G35980 transcript:ORUFI03G35980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSLRRTETCLETGNSEAKAAADSILVESCKILSAGCINPPHPGLPLDHHPHPAAASIVDSASPVRSPHPRRQSVAYATSRNWIRSSPSISTTVPFAPHRKLPASPLIVAGCCRRGIKETIPH >ORUFI03G35990.1 pep chromosome:OR_W1943:3:29491504:29495111:1 gene:ORUFI03G35990 transcript:ORUFI03G35990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRPGPPPPQYPQPGPPAVVHGEPMPALHHQASYQPGAVYRAPSPGVPVPLGGYARSTPVTIRAPPPLHSSAPAPYQPAAAPASSSSSSAPSATALAKEVEQKLFVSETALAPPAAAASAAAAPAGEASVASDKDRAPVSKKGLAHPARPGFGAAGKKVMIRANHFLANVADNNLFHYDVSINPESKSRATNREVPNDERTSLGGKLPVFNGTKSLYSTCSLPFESEEFVVANCLSLKRAGRTDLYHFQFLLFSEKQIDCAVFLVWPDQLPYVQEKLMCAYQPPPSTGGSSSPAGSLNGYPISNTVQMHELLRSKHS >ORUFI03G36000.1 pep chromosome:OR_W1943:3:29497245:29497937:1 gene:ORUFI03G36000 transcript:ORUFI03G36000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTRLSRSTSRGCPGGSSRLRRRTGTRATPRPKKGDASAPVSTDSAAAAANKFVAVVKEAIKKQRDDDDAAAENSPAASDELVRRFKGSRVKTAMEKRSEEEQPRRREMARWSNDVIEEARSKLLEKRQCSRVKALVGAVETVMDAKSAGDGAAASPASRSTTTPAAYPNEEKREREEEKGRAGEEGRR >ORUFI03G36010.1 pep chromosome:OR_W1943:3:29512117:29512392:-1 gene:ORUFI03G36010 transcript:ORUFI03G36010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTLPLLLVHRSTPPTPRPTAPPLLHSRRLALPPRPASLPATTVVVHPHKDVRLSKLHAASCCDSANSSFLRCPNMSYSKIRIIRVSN >ORUFI03G36020.1 pep chromosome:OR_W1943:3:29512298:29516966:1 gene:ORUFI03G36020 transcript:ORUFI03G36020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMRLGLRRGLLGRRVSPEVQNPNEVAVAVLLPRQAAVDSSRSLVILGRRRLEAAEQREREEEMPTLTKLYSLEDAARHNTADDCWVVVDGKIYDVTKYLDDHPGGADVLLEVTGKDAKEEFDDAGHSESAKELMQDYFIGELDPTPNIPEMEVFRKEQDVNFASKLMANAAQYWPIPATVVGISVVIAVLYARQKKVANTIQNAKSGSVNGCFVRGLALGNHGYASGGGMTQLSPLKYTRWGKAHPLSKVKAIESFRRSSSDCAFPSGPACMSKSL >ORUFI03G36020.2 pep chromosome:OR_W1943:3:29512298:29516966:1 gene:ORUFI03G36020 transcript:ORUFI03G36020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTKLYSLEDAARHNTADDCWVVVDGKIYDVTKYLDDHPGGADVLLEVTGKDAKEEFDDAGHSESAKELMQDYFIGELDPTPNIPEMEVFRKEQDVNFASKLMANAAQYWPIPATVVGISVVIAVLYARQKKVANTIQNAKSGSVNGCFVRGLALGNHGYASGGGMTQLSPLKYTRWGKAHPLSKVKAIESFRRSSSDCAFPSGPACMSKSL >ORUFI03G36030.1 pep chromosome:OR_W1943:3:29516312:29519118:-1 gene:ORUFI03G36030 transcript:ORUFI03G36030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGAGSARSVAWGASALLVAALLLSAPSATEAYDSLDPNGNITIKWDVMQWTPDGYVAVVTMFNYQQFRHIQAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNPPHCCKKDPTIVDLLPGTPYNMQIANCCKAGVINTFNQDPLNAASSFQISVGLAGTTNKTVKLPKNFTLKAPGPGYTCGRAMIVRPTKFFTNDGRRATQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVNCPTCSCGCQNNGTSPGSCVNENSPYLQSAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKEYWRVKVTITNFNYRMNYTQWNLVIQHPNFNNITQLFSFNYKPLTPYGGRINDTAMFWGVKFYNDLLMQAGPLGNAQSELLLRKDSMAFTFDKGWAFPHRVYFNGDNCVMPPPDAYPWLPNASPLTKQPLTLPLLAFWIVLATFLAYA >ORUFI03G36030.2 pep chromosome:OR_W1943:3:29516310:29519118:-1 gene:ORUFI03G36030 transcript:ORUFI03G36030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGAGSARSVAWGASALLVAALLLSAPSATEAYDSLDPNGNITIKWDVMQWTPDGYVAVVTMFNYQQFRHIQAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNPPHCCKKDPTIVDLLPGTPYNMQIANCCKAGVINTFNQDPLNAASSFQISVGLAGTTNKTVKLPKNFTLKAPGPGYTCGRAMIVRPTKFFTNDGRRATQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVNCPTCSCGCQNNGTSPGSCVNENSPYLQSAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKEYWRVKVTITNFNYRMNYTQWNLVIQHPNFNNITQLFSFNYKPLTPYGGRINDTAMFWGVKFYNDLLMQAGPLGNAQSELLLRKDSMAFTFDKGWAFPHRVYFNGDNCVMPPPDAYPWLPNASPLTKQPLTLPLLAFWIVLATFLAYA >ORUFI03G36040.1 pep chromosome:OR_W1943:3:29522680:29526198:1 gene:ORUFI03G36040 transcript:ORUFI03G36040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTTRAKIPSLHHQTEINWDNLDKTKLYVVGAGMFSGVTVALYPVSVIKTRMQVATGEAVRRNAAATFRNILKVDGVPGLYRGFGTVITGAIPARIIFLTALETTKAASLKLVEPFKLSEPVQAAFANGLGGLSASLCSQAVFVPIDVVSQKLMVQGYSGHVRYKGGLDVAQQIIKADGIRGLYRGFGLSVMTYSPSSAVWWASYGSSQRIIWSAFDRWNDKESSPSQLTIVGVQATGGIIAGAVTSCVTTPIDTIKTRLQVNQNKPKAMEVVRRLIAEDGWKGFYRGLGPRFFSSSAWGTSMIVCYEYLKRLCAKVEEV >ORUFI03G36050.1 pep chromosome:OR_W1943:3:29526963:29541535:1 gene:ORUFI03G36050 transcript:ORUFI03G36050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVQEAKLLRQVNALIVAHLRDHNLTQAAAAVAAATMTPLAPPEPDGDDSVPANQLLRLVAKGLAVEREETGRGGGAFDSAAAAAGYGGLLPPLGTNAVDFSTQDVKGSSKSFPKHEARHVSDHKNVVRCAKFSPDGKYFASGSGDTSIKFFEVAKIKQMMLGDSKDGPVRPVIRTFYDHTQPINDLDFHPESPILISAAKDNTIRFFDFSKTVARKAFRVIQVMLCGRMNWGARREGEAAAALPPRSLGTDHPVAHLYDINTFTCYLSANSHDSNAAINQVRYSSTGSLYVTASKDGSLRIWDGISAECVRPIIGAHASAEVTSAIFTKDERYVLSCGKDSCIKLWEVGSGRLVKQYVGAVHRQFRCQAVFNQTEEFVVSTDEQNSEVVVWDALTAENVARLPSGHTGAPRWLGHSPAEPAFVTCGNDRSVRFWKQTHVPRPPPPPHLAWHAHGLLPALAVVALQEARRVLHRRRGGGGGGRHALAEDDEEEDPSSLPPLLQRLPKDFGGGASFDEYDDPYSSDLDDASLSATVVVKRGAPASTSASSRSPFLDLRRSSPRAAEVDPYSTFVVHGTARSGGASSPRESASGTFVRRSGGSSSPRESVSGTFIRRTGSPSSPHESISGTFIHHTSGASSPRDTAQGGGGFGSSFWSPAVGQSEELRQPALLVQQQHQQQQNSRRKPSVSSVPESVTREDPSTKYELLHELGKGSYGAVYKARDLRTQELVAIKIISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEEYLWIVMEYCGGGSVADLIGITEEPLDESQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGMPPRSTVHPMRVIFMISSEPAPMLEDKEKWSLLFHDFIAKCLTKDPRLRPAASEMLKHKFIEKCNPGASKMLAKIKEAKKIRAKVAAETELSGPDSDATVRINDDFGETVPTNPQQQTNHETYDGGAGDFGTMIVHPEDGDEVDESPIFPSSEFIPGLGSINSFTHDPKRAELISNFWAENTADIEANKEQYLDEHPDMQEAKTMPPSTGTVKKLKVAEGTMPRHGNQVSSASPGVASTMTKLNSSPSRKAFSVQDKLWSIYAAGNTVPIPFLKAIDISPLALVSDSVVGNGLAGSNRTDALEAVRELFSGDGQTKKGRKGQNEVPLPPGVHHRLTTSPTLMNLAQALAYHKTCYEDMPLQDSQATQEQQTIQNLCDTLRTILRL >ORUFI03G36050.2 pep chromosome:OR_W1943:3:29526963:29541535:1 gene:ORUFI03G36050 transcript:ORUFI03G36050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVQEAKLLRQVNALIVAHLRDHNLTQAAAAVAAATMTPLAPPEPDGDDSVPANQLLRLVAKGLAVEREETGRGGGAFDSAAAAAGYGGLLPPLGTNAVDFSTQDVKGSSKSFPKHEARHVSDHKNVVRCAKFSPDGKYFASGSGDTSIKFFEVAKIKQMMLGDSKDGPVRPVIRTFYDHTQPINDLDFHPESPILISAAKDNTIRFFDFSKTVARKAFRVIQVVRYSSTGSLYVTASKDGSLRIWDGISAECVRPIIGAHASAEVTSAIFTKDERYVLSCGKDSCIKLWEVGSGRLVKQYVGAVHRQFRCQAVFNQTEEFVVSTDEQNSEVVVWDALTAENVARLPSGHTGAPRWLGHSPAEPAFVTCGNDRSVRFWKQTHVPRPPPPPHLAWHAHGLLPALAVVALQEARRVLHRRRGGGGGGRHALAEDDEEEDPSSLPPLLQRLPKDFGGGASFDEYDDPYSSDLDDASLSATVVVKRGAPASTSASSRSPFLDLRRSSPRAAEVDPYSTFVVHGTARSGGASSPRESASGTFVRRSGGSSSPRESVSGTFIRRTGSPSSPHESISGTFIHHTSGASSPRDTAQGGGGFGSSFWSPAVGQSEELRQPALLVQQQHQQQQNSRRKPSVSSVPESVTREDPSTKYELLHELGKGSYGAVYKARDLRTQELVAIKIISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEEYLWIVMEYCGGGSVADLIGITEEPLDESQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGMPPRSTVHPMRVIFMISSEPAPMLEDKEKWSLLFHDFIAKCLTKDPRLRPAASEMLKHKFIEKCNPGASKMLAKIKEAKKIRAKVAAETELSGPDSDATVRINDDFGETVPTNPQQQTNHETYDGGAGDFGTMIVHPEDGDEVDESPIFPSSEFIPGLGSINSFTHDPKRAELISNFWAENTADIEANKEQYLDEHPDMQEAKTMPPSTGTVKKLKVAEGTMPRHGNQVSSASPGVASTMTKLNSSPSRKAFSVQDKLWSIYAAGNTVPIPFLKAIDISPLALVSDSVVGNGLAGSNRTDALEAVRELFSGDGQTKKGRKGQNEVPLPPGVHHRLTTSPTLMNLAQALAYHKTCYEDMPLQDSQATQEQQTIQNLCDTLRTILRL >ORUFI03G36060.1 pep chromosome:OR_W1943:3:29543184:29548739:1 gene:ORUFI03G36060 transcript:ORUFI03G36060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNLRIKTGNRAPLLAQGETSRALSDLEEGSNVQPENVGFCRVIKLARHDAGKLVIATMALLVASLSNILVPKYGGKIIDIVSRDVRRPEDKAQALDDVTGTILYIVIIVEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNITTTSIGLGFMFATSWKLTLLALVIVPVISIAVRKFGRFLRELSHQTQAAAAVASSIAEESFGAIRTVRSFAQESHEVLRYGEKVDETLKLGLKQAKVVGMFSGGLNAASTLSVVIVVIYGANLTINGYMTTGSLTSFILYSLTVGSSVSALSGLYTTVMKASGASRRVFQLLDRVSSMANSGDRCPTNENDGEVELDDVWFAYPSRPSHMILKGITLKLTPGSKVALVGPSGGGKTTIANLIERFYDPLKGRILLNGVPLPEISHQFLHRKVSIVSQEPVLFNCSIEENIAYGLEGKASSADVENAAKMANAHNFICSFPDQYKTVVGERGIRLSGGQKQRVAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVKSADTVAVISDGQIVESGTHDELLSRDGIYTALVKRQLQGPRFEGTSNATAEIEPISNGQ >ORUFI03G36070.1 pep chromosome:OR_W1943:3:29553163:29553744:1 gene:ORUFI03G36070 transcript:ORUFI03G36070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWPASRCVLLDPGVPDYVFSIDQTKQGWASIDLKKKRYQGCGRYGPLLVESVKLYARLAEEHPALSRLAVCADKNIIRDIVAEELKKKQAFKVADLPIRAIEFDNVDEISGVTARVEVADKNLMVLSLSFVFTSSKLKHYLLYDAIHASMSMIPIPNWCCDIYLPSNPLPVRYGDEHALVLFAKDYPYKRGG >ORUFI03G36080.1 pep chromosome:OR_W1943:3:29554158:29560202:1 gene:ORUFI03G36080 transcript:ORUFI03G36080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTLDMDTLQWTKGEELRFGTLWELDDFKKDGLPKTEPVMEEGDGGDLYSILSRPIIRWEDPAVHHVCRFNMTSKRLVSNPLSWRPDKIVPSDGFGQSAILGRDGVSIGSEEVDENMQREISRKQMVWCGSQAADLRDQSQHLVPVVHGQGNRKLNEN >ORUFI03G36090.1 pep chromosome:OR_W1943:3:29562968:29585528:1 gene:ORUFI03G36090 transcript:ORUFI03G36090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLQGGGGAASSSSSKRRNQQRRQRRKRAAARKKRGGSPASENNGEEHADAQGCLPDISTSKSENYKERAFSTQGDLPQKAGCDLEANLCAESVQSSSSQLVHSNENSNPKRPEVKNANSAGNSEHCMLDTRKTTDHDTSSMPLQDSRFDANVRIDGLHSTTEERVCQSTVGDGFTGMSNASYNPYSVQENQRIGPFMREPYTLHSHFLHPSHVRGYIGNKFMGFPPVHPMNAFDPFNQGFNFFHTGNIPPYGVSDVHRGLNIYGLSTMGKWEYDYGRHMDYTNVERNELRMTEEAYLSTHNSANFIRPSSLPLTYQQKPPITLLPRVSLTGFRKKKLLILDLNGLLADINQDYHNSHMADAKDMSKCTFTGHKTLENIHKPLVLKELRKLWNKEEPDLPWEQGYYSPSNTLLVDDSPYKALRNPPYTAIFPQPYSYLNSNDNSLGPGGDLRVYLENLTLRRLRRLQERRGKSKMVSGSGVCAPRVVVDARHHMLGRLASIIAKELLNGQRVVVVRCEEICMSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRSPAKILWRTVRGMIPHKTKRGEAALARLKAYEGVPPPYDRTKRMVIPDALKVLRLQPGHKYCLLGQLSKEVGWNYYDTIRELEEKRKEKAKVAYDRRKQLAKLRVKAEKAAEEKLGPQLEILAPIKY >ORUFI03G36090.2 pep chromosome:OR_W1943:3:29562968:29566762:1 gene:ORUFI03G36090 transcript:ORUFI03G36090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLQGGGGAASSSSSKRRNQQRRQRRKRAAARKKRGGSPASENNGEEHADAQGCLPDISTSKSENYKERAFSTQGDLPQKAGCDLEANLCAESVQSSSSQLVHSNENSNPKRPEVKNANSAGNSEHCMLDTRKTTDHDTSSMPLQDSRFDANVRIDGLHSTTEERVCQSTVGDGFTGMSNASYNPYSVQENQRIGPFMREPYTLHSHFLHPSHVRGYIGNKFMGFPPVHPMNAFDPFNQGFNFFHTGNIPPYGVSDVHRGLNIYGLSTMGKWEYDYGRHMDYTNVERNELRMTEEAYLSTHNSANFIRPSSLPLTYQQKPPITLLPRVSLTGFRKKKLLILDLNGLLADINQDYHNSHMADAKDMSKCTFTGHKTLENIHKPLVLKELRKLWNKEEPDLPWEQGYYSPSNTLLVDDSPYKALRNPPYTAIFPQPYSYLNSNDNSLGPGGDLRVYLENLTVAEDVECYVRNNPFGQPFITQSDPHWSFYAQIASYVNEKCRRRRRLQERRGKSKMVSGSGVCAPRVVVDARHHMLSRLASIIAKELLNGKRVVVVRC >ORUFI03G36090.3 pep chromosome:OR_W1943:3:29566551:29585528:1 gene:ORUFI03G36090 transcript:ORUFI03G36090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKPSHGPIHFRSPAKILWRTVRGMIPHKTKRGEAALARLKAYEVVTPPYDRTKRMVIPDALKVLRLQPGHKYCLLGQLSKEVGWNYYDTIRLRRLRRLQERRGKSKMVSGSGVCAPRVVVDARHHMLGRLASIIAKELLNGQRVVVVRCEEICMSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRSPAKILWRTVRGMIPHKTKRGEAALARLKAYEGVPPPYDRTKRMVIPDALKVLRLQPGHKYCLLGQLSKEVGWNYYDTIRELEEKRKEKAKVAYDRRKQLAKLRVKAEKAAEEKLGPQLEILAPIKY >ORUFI03G36100.1 pep chromosome:OR_W1943:3:29577438:29577921:-1 gene:ORUFI03G36100 transcript:ORUFI03G36100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLMKGRTVLVIAHRLSTVKSADTVAVISDGQIVESGTHDELLSRDGIYTALVKRQLQGPRWTPFPDDPLNVNGYEFIHSENATQSRTTVQTHSNCHELLLCLTQLTRLFFIECNYALMA >ORUFI03G36110.1 pep chromosome:OR_W1943:3:29587763:29592118:-1 gene:ORUFI03G36110 transcript:ORUFI03G36110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARRSAASSSFFLLLLVLAVRVRASSDRVQERDRSALLELRGAAGLLGRWPTGSAVADHCSWPGVTCDASRRVVAVAVAAPPASGSSELAGELSPAVGLLTELRELSLPSRGLRGEIPAEIWRLEKLEVVNLAGNSLHGALPLAFPPRMRVLDLASNRLHGEIQGTLSDCKSLMRLNLSGNRLTGSVPGVLGSLPKLKLLDLSRNLLTGRIPSELGDCRELRSLQLFSNLLEGSIPPEIGRLRRLQVLDISSNRLNGPVPMELGNCMDLSVLVLTSQFDAVNLSEFNMFIGGIPESVTALPKLRMLWAPRAGFEGNIPSNWGRCHSLEMVNLAENLLSGVIPRELGQCSNLKFLNLSSNKLSGSIDNGLCPHCIAVFDVSRNELSGTIPACANKGCTPQLLDDMPSRYPSFFMSKALAQPSSGYCKSGNCSVVYHNFANNNLGGHLTSLPFSADRFGNKILYAFHVDYNNFTGSLHEILLAQCNNVEGLIVSFRDNKISGGLTEEMSTKCSAIRALDLAGNRITGVMPGNIGLLSALVKMDISRNLLEGQIPSSFKELKSLKFLSLAENNLSGTIPSCLGKLRSLEVLDLSSNSLSGKIPRNLVTLTYLTSLLLNNNKLSGNIPDIAPSASLSIFNISFNNLSGPLPLNMHSLACNSIQGNPSLQPCGLSTLANTVMKARSLAEGDVPPSDSATVDSGGGFSKIEIASITSASAIVAVLLALIILYIYTRKCASRQSRRSIRRREVTVFVDIGAPLTYETVVRATGSFNASNCIGSGGFGATYKAEIAPGVLVAIKRLAIGRFQGIQQFQAEVKTLGRCRHPNLVTLIGYHLSDSEMFLIYNFLPGGNLERFIQERAKRPIDWRMLHKIALDIARALGFLHDSCVPRILHRDVKPSNILLDNEYNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLQKGRAREFFIEGLWDVAPHDDLVEILHLGIKCTVDSLSSRPTMKQEAMEHQRDEVGDLDQMICYQIQGMRA >ORUFI03G36120.1 pep chromosome:OR_W1943:3:29596633:29598599:-1 gene:ORUFI03G36120 transcript:ORUFI03G36120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPRLLLMALMAATLAGRSEGAWCICRQDMPDSTLQKTLDYACGDGADCKPIQQSGACFSPDTVKAHCSYAVNSFYQRNNQNSQACVFSGTATLVTTDPSSNGCMYPASASAAGTGTPTSGTGGSTGVDGPPGMGLGPSSFNDNSGASLLPEVGTAMWILILACSIMVLNFS >ORUFI03G36130.1 pep chromosome:OR_W1943:3:29600852:29603466:-1 gene:ORUFI03G36130 transcript:ORUFI03G36130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLAEGVMELAVGAPESNSSFSSSPSGATPSSGGPMWWVSGCHGTVYSLAVMLPSLAFVGFLAWQARRSFRRLSYGRSHVVVVAYYALLWAVAVLNLLWCFLQAWQCMPDKAFSWNVLSLFTKSGMLFLEVSLIAFLLQGNETSGFESLARTFVISGAVVAADVLLKTIYVFGFGVSLFIDVDQGTGGKWGLWFLHKLVLTGVYGLIVFMYHSRWRDRLPAKPAYYNYVCAMLLLNGISLFGCFLVATGAGFGLWLYNLTSVCYHSLYLPLLYVTFLADFFQEEDMLLENVYYSEMKDAGFFDADWD >ORUFI03G36140.1 pep chromosome:OR_W1943:3:29605388:29611755:-1 gene:ORUFI03G36140 transcript:ORUFI03G36140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGWGTGKGDVEEEVVVEEEEEEDPEEYLAHDGDDATSGGDRGSGGGGGDGGQLQDRRLASPAAEVVEEEYGLEDNGHGGDQWEEGQGESQGSSGMAQEIEGDSHDVELGNQSTQVHMKGVNTAEGGHVKRTITSETRSTENEEDHEVLHDAFDDNDEDGLAPYGSRDDNKHAHESLMNDEGPYEELLPEDMVDEDKHYEPDENIEHELKDKPLGPPLNLVVPRMLPPGQPDRMNVIKVSNIMGVNPKPFDPETYVEEDALTDESGGRKKIRLEDNIVRWKIVKNADGTESRESNARFVKWKDGSIQLLIGNEVLDISVNDSNHDNSNLFLRNGKAIIQSLPLPLLSIDHHHVHKLTTDFFSGAYAITRKASTEDEKTIKMQTWIDENDPEKVKEEREKAEGENIRASSSLQRKREQVKRKYSQPLRKRRKLTPGFLEDALEEDEAPGVGYNQRRGPGHAHFEDSLEAEALSKRHVTNAKKANVGKAVPSPSVPKHQVNEYSKSESEESEYETDVEDIDNSPTNGREDDMDEEEEDPEEVIGDTSMSDENNEEQEHVKERKGFNSDDESPPRKQPLNRRKTVVFDSDDE >ORUFI03G36150.1 pep chromosome:OR_W1943:3:29620382:29625316:-1 gene:ORUFI03G36150 transcript:ORUFI03G36150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKVNESGIKDTSVNCSTSGFQQDANYEFSNRALQHGYSVPGPVFEEKSFSAAQEFVQNSHQFDHFLRPFRPGQCEGMQMPNDNLDITQRSILSNASCLDHAEEITSYDTDGYDDRTISFGSSCSTIPASYPYISPLQRNHLISDTRDCTWTALMQESLEASNSNNGLNEDCSDLTFSNTEFSGGNKMQNQVVWDNGCLTSPSFTSNFLPFPGDAESAFTSASTVCNLQNFVDLPHDMNNNEQDKPSSELRAPQQKGATRSHICQHRDEMHSAEWGTYPGNEDSDLMPAAQDKQNKVLHAQFNSSVINIDGSAGSGMEKLHGLYECEEQMEIDSLLNSFSAPSDAFSQSYEIFQKSESFVGLDKKVKLEESVSATCFSNTVPCMQSGAPESAISDGSSCHQQYNSTSQVTDLFYTSASQWATTSSSVLPLPFCGSNPVSCLGANGEDHLLTDDHTLLHEQRRAVCGTSYDLTDNVANPVLEFTNILDGQSSLNKAYISHDGLVATNGVWKGHRDVMENHPLGVYSSSHARHPQMELPMTCTSHVLLPPPNLSNNPNSSFVRGTELKKAELMGAYSTTENYLDLDNSERKGIICPKSSEQNVAENICNKAAEYQCNDYSQIVCNQQTVLLPLNKASHFGGLPTKKFDGKLVSRQKKRKRATSLLSWHAQVMSGCSKTHHTRKPELDWAHATRRLVEKVVDAENMKTKNSTFVSQAQKRLAFTTKLMQYILPVLPDRLLAANAIDSCETIVYRTSRLALPDAFNPAISSVSDANNFIPTESMPQNQTSTSEKEDDKLVPEVLETFTMRFDELQNSFSRAERATTFQDLATETRDLERWSILHHFIKLHKYSRLHEDDVSNIRPKPCRSTIRKHAGPDQVPVDFLNSVRCRLLN >ORUFI03G36160.1 pep chromosome:OR_W1943:3:29625556:29626173:1 gene:ORUFI03G36160 transcript:ORUFI03G36160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEATSSGGGGGGGAGVNDPRQPSTARQYTPPKLSPQDLPIDYAGFLAVVFGVLGVMLRYKVCSWIAIIFCAQSLVNMKNFENDLKQLSMAFM >ORUFI03G36170.1 pep chromosome:OR_W1943:3:29649976:29650491:1 gene:ORUFI03G36170 transcript:ORUFI03G36170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHVLVLPMPCQGHVIPFMELSHRLADEGFEVTFVNTEVDHALVVAALPPGGAAELRQRRIHLAAIPDGLAGDEDRKDLNKLIDAYSRHMPGHLERLIGEIEAGAGGGRPKVRWLVGDVNMGWSFAVARRLGIRVVSFWAASTACLAIMLKIPKLIEDGVLNEKGKHVV >ORUFI03G36180.1 pep chromosome:OR_W1943:3:29657139:29657345:1 gene:ORUFI03G36180 transcript:ORUFI03G36180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLSSSHSPLPMMMASAPSSPRADAVGLPDDLNHSDIAGYMPSELGLLADLALLYLNSNRFCASSR >ORUFI03G36190.1 pep chromosome:OR_W1943:3:29660317:29661729:-1 gene:ORUFI03G36190 transcript:ORUFI03G36190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGESHVLALPFPAQGHVIPLMELAHCLVEHGVKVTFVNTEVNHGRILGALDDASHGGELGGVDMVSISDGLGHGDDRSDLGRLTESLLLAMPSELEKLVGRINASASAAGGGGREVTWMVADVNMAWAFPVAKKLGLRVAGFCPSSAAMFVTRIRIPELVRDGVLDESGMPRWRGAFRLAPAMPPVDTAEFSWNRAGDPRGQPAIFRLILRNNAATHLAEAIACNSFEELESGAFAVDVPGRVLPVGPLASGGKPVGGFWPEDASCAAWLDAQPAGSVVYVAFGSIAALGAAQLAELAEGLALTSRPFLWVVRPGTASERCLDGLRRRAAPRGRVVGWCPQRRVLAHASTACFVSHCGWNSVVEGVSNGVPFLCWPYFADQFLNQSYICDVWRTGLRMAAPAPATAPADEASARLVARQLIRRKVEELIGDQETKARAIVLQDAASLAVGDGGSSRRNLTRFLDLIRS >ORUFI03G36200.1 pep chromosome:OR_W1943:3:29663160:29665643:-1 gene:ORUFI03G36200 transcript:ORUFI03G36200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHVLVLPMPCQGHVIPLMELSHRLADEGFEVTFVNTEVDHALVVAALPPGGAAELRQRGIHLTAIPDGLAEDEDRKDLNSSSTPTRSTCRATLSGSSGRSRPAAGGPRRLGIRVVYFSPASTACIAFMRKIPKLIEDGVLNEKGWPERQETLQLAPGMPPLHTSLLSWNNSGAAEGQHIIFDLVCRNNKFNDDLAEMTVCNSFHEAEPAVFKLFPDLLPIGPLVADRELRRPVGHFLPEDGGCLDWLDAQPDGSVVYVAFGSMAIFDARQFQELAVGLELTGRPFLWVVRPDFTPGLSTAWLDAFRCRVAGRGVIVEWCSQQRVLAHAAVACFVSHCGWNSTLEGVLNGVPFLCWPYFCDQFLDRSYITAVWRTGLAVAAGEEDGVVTRDEVRSKVEQVVGDGEIRERARLLRDTARACVSEGGSSHKNFRKFIDLLSE >ORUFI03G36210.1 pep chromosome:OR_W1943:3:29667533:29672916:1 gene:ORUFI03G36210 transcript:ORUFI03G36210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPRPQPHVMVLPFPAQGHVMPLMELSHRLVGLGFEVEFVHTDFNRDRVINAMANETGAIPDGIHMVSFPDGMDPAGDRANIAKLGDGLPAAMLGGIEEMIRSEGIRWVIADVSMAWVTELAATVGVHVALFSTYSAAVVAHRLQVPKLIQDGVLDEIGNVRRNEMIQLRPTMPPVLAVELPWVTLSGTPDGRRMVIQNVFKTNPTISSAEVIICNTFQDIEPGALALVPNVLPVGPLEAPATSRLAGHFWPEDTTCLAWLDEQDACSVVYVAFGSFTVFDMARIQELADGLVLSGRPFLWVIRQNFTNGAGEGWLEEFRHRVSGKGMIVGWAPQQSVLSHPSIACFVSHCGWNSTMEGLRHGVPFLCWPYFADQYCNQSYICNVWGTGVKLQADERGVVTKEEIKNKVEQLVDDKEIKARAAKWKHAACTSIAEGGSSHENLLKFLLVDIDNSKKTTSMAAATQPHVMVLPFPAQGHVIPLMELSHRLADQGFKIDFVNTEFNHDRVLKALAEKGAIPGGIRMLSIPDGLDPADDHTDIGKLVQVLPAAMLSPLEKMIRSEKIKWVIVDVSMSWALELATTMGVRIALFSTYSAAIFALRMNLPKLIEDGILDETGNVKKHEMVQLMPPIDAAEIPWVSLGSTQERRRYNIQNVFKTNRLMALAEMIICNTFREIESEALELLSNALPVGPLLAPASGPTGHFLPEDMTCLTWLDTQAPGSVIYVAFGSSTIFDVAQFHELANGLAVSDQPFLWVVRPNFTNGIQEDWFNEYKDRIKGKGLVISWAPQQRVLSHPSIACFMSHCGWNSTMEGVLHGVPFLCWPYFSDQFCNQSYICNVWKTGIKLCRDKQGVVTQEEIKNKAAQLLEDKEIKERAVTLKTTARASIQEGGSSHQNFLELVNLLREQ >ORUFI03G36220.1 pep chromosome:OR_W1943:3:29667793:29673496:-1 gene:ORUFI03G36220 transcript:ORUFI03G36220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRGGGRRSPGELGALPGWTPAGEPEASRRRRRRRRRRASRLAQTPCRARAGGHIGRAAGGAAARSRERQGYLGMVHPAMEPYCHEDCQLKGDILGNLLGIKWSHLRASAKIPPVFFGAAFVQHAIDGCESTLCWGAQPTIIPLPLTRCLNSSSQPSPAPLVKFCLITHRNGRPESTSPSASSWIRAMSKTDAILDQLGDLQPVRHNGGGVSREQGDVDTHRGGQLRHPGHGHIGDHPPDPLRSDHLLDAAEHGGRQAVTQLGDVGTVTGRVHAVREGHHVDPIRDRPRLVRHGVDDAVAVEVGVHEFDLEAEADEPVRELHERHDMALRREGKHHDMRLRTRRRSRHGCQWSVLVCDFTARTF >ORUFI03G36220.2 pep chromosome:OR_W1943:3:29667793:29674102:-1 gene:ORUFI03G36220 transcript:ORUFI03G36220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATARSPLARSPAAAPDGERQASATSLPFFDADRLSHASSPRAGRRARPSQKKRERREKREEKEKKREKKSVADLWANEASDRPYKHRSSVPDLPCRCVAELFADAAPRRGAAESRGVGGAAWVDAGRGARGFEEEEEEEEEASQPPRSNPVPRAGGGAYRSRRGWSRRAEWCILRWSHTAMKDAILDQLGDLQPVRHNGGGVSREQGDVDTHRGGQLRHPGHGHIGDHPPDPLRSDHLLDAAEHGGRQAVTQLGDVGTVTGRVHAVREGHHVDPIRDRPRLVRHGVDDAVAVEVGVHEFDLEAEADEPVRELHERHDMALRREGKHHDMRLRTRRRSRHGCQWSVLVCDFTARTF >ORUFI03G36220.3 pep chromosome:OR_W1943:3:29667793:29673496:-1 gene:ORUFI03G36220 transcript:ORUFI03G36220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRGGGRRSPGELGALPGWTPAGEPEASRRRRRRRRRRASRLAQTPCRARAGGHIGRAAGGAAARSRERQGYLGMVHPAMEPYCHEDCQLKGDILGNLLGIKWSHLRASAKIPPVFFGAAFVQDAILDQLGDLQPVRHNGGGVSREQGDVDTHRGGQLRHPGHGHIGDHPPDPLRSDHLLDAAEHGGRQAVTQLGDVGTVTGRVHAVREGHHVDPIRDRPRLVRHGVDDAVAVEVGVHEFDLEAEADEPVRELHERHDMALRREGKHHDMRLRTRRRSRHGCQWSVLVCDFTARTF >ORUFI03G36230.1 pep chromosome:OR_W1943:3:29685113:29686555:1 gene:ORUFI03G36230 transcript:ORUFI03G36230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDISKPRIDAWNSEQLPIYEPGLDEVVKECRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIDDLFKPDRVLIGGRETAEGRKAVQALKSVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVTEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRDLAMGKFDWDHPMHLQPTSPTAFKQVSVVWDAYEATKNAHGLCILTEWDEFKTLDYQKIYDNMQKPAFVFDGRNVVDPEKLREIGFIVYSIGKPLDAWLKDMPAVA >ORUFI03G36240.1 pep chromosome:OR_W1943:3:29691965:29694633:-1 gene:ORUFI03G36240 transcript:ORUFI03G36240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNSLPAAAATATAKKGQKRARQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSDDPSVVITTYEGQHCHHTASFQRGVGGAAVAAHIHGAAAVALAEQMSAFVSPPPQPHMLYGLPRLHPPSSETAVSCSMPTTTSLQELNNGEGLQRPGYNNSPQAAVTIAQRPPSPSVPPAVSFDKGLLDDIVPPGVRLG >ORUFI03G36240.2 pep chromosome:OR_W1943:3:29691965:29695260:-1 gene:ORUFI03G36240 transcript:ORUFI03G36240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSDDPSVVITTYEGQHCHHTASFQRGVGGAAVAAHIHGAAAVALAEQMSAFVSPPPQPHMLYGLPRLHPPSSETAVSCSMPTTTSLQELNNGEGLQRPGYNNSPQAAVTIAQRPPSPSVPPAVSFDKGLLDDIVPPGVRLG >ORUFI03G36250.1 pep chromosome:OR_W1943:3:29700704:29707483:-1 gene:ORUFI03G36250 transcript:ORUFI03G36250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAPLQLATASRPLPVGVGCGGGGGGGGGGGLHVGGARGGGAAPARRRLAVRSVASDRGVQGSVSPEEEISSVLNSIDSSTIASNIKHHAEFTPVFSPEHFSPLKAYHATAKSVLDTLIMNWNATYDYYDRTNVKQAYYLSMEFLQGRALTNAVGNLELTGQYAEALQQLGHSLEDVATQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKHGLFKQIITKDGQEEVAENWLEMGNPWEIVRTDVSYPVKFYGKVVEGTDGRMHWIGGENIKVVAHDIPIPGYKTKTTNNLRLWSTTVPSQDFDLEAFNAGDHASAYEAHLNAEKICHVLYPGDESPEGKVLRLKQQYTLCSASLQDIIARFERRAGDSLSWEDFPSKVAVQMNDTHPTLCIPELMRILIDVKGLSWNEAWSITERTVAYTNHTVLPEALEKWSLDIMQKLLPRHVEIIEKIDGELMNIIISKYGTEDTSLLKKKIKEMRILDNIDLPDSIAKLFVKPKEKKESPAKLKEKLLVKSLEPSVVVEEKTVSKVEINEDSEEVEVDSEEVVEAENEDSEDELDPFVKSDPKLPRVVRMANLCVVGGHSVNGVAAIHSEIVKEDVFNSFYEMWPAKFQNKTNGVTPRRWIRFCNPELSAIISKWIGSDDWVLNTDKLAELKKFADDEDLQSEWRAAKKANKVKVVSLIREKTGYIVSPDAMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMSAKDRINSFVPRVCIFGGKAFATYVQAKRIVKFITDVAATVNHDPEIGDLLKVVFIPDYNVSVAEALIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEENFFLFGAEAHEIAGLRKERAQGKFVPDPRFEEVKRFVRSGVFGTYNYYDLMGSLEGNEGYGRADYFLVGKDFPSYIECQEKVDKAYRDQKLWTRMSILNTASSSKFNSDRTIHEYAKDIWDIKPVILP >ORUFI03G36260.1 pep chromosome:OR_W1943:3:29712559:29718812:1 gene:ORUFI03G36260 transcript:ORUFI03G36260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSFLRFLSGRGGLDWGMMKAGTRRLRWNRWILLARAAALAVDPLFFYALSIGRAGQPCVYMDAGLAAAVTALRTAADLAHLAHVLLQFRVAYVSRESLVVGCGKLVWDPRAIAAHYARSLKGLWFDLFVILPIPQVIFWLVIPKLIREEQIKLIMTILLLLFLLQFLPKVYHSIYIMRKMQKVTGYIFGTIWWGFGLNLFAYFIASHIAGGCWYVLAIQRVASCLQEECKIKNTCNLISLACSKEMCFHLPWSDKNGLACNLTSFGQQNIPDCLSGNGPFAYGIYKGALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVIFSIINVLSGLMLFTLLIGNIQVFLHAVLARKRKMQLRFRDMEWWMRRRQLPSRLRQRVRKYERERWAAITGDEEMEMIKDLPEGLRRDIKRYLCLELVKQVPLFHGMDDLILDNICDRLRPLVFSSGEKVIREGDPVQRMVFVLQGKLRSTQPLAKGVVATCMLGAGNFLGDELLSWCLRRPFLDRLPASSATFECVETAQAFCLDAPDLRFITEQFRYKFANEKLKRTARYYSSNWRTWAAVNIQLAWRRYKARTTTDLASAAQPPSAGGPDDGDRRLRHYAAMFMSLRPHDHLE >ORUFI03G36260.2 pep chromosome:OR_W1943:3:29712559:29718812:1 gene:ORUFI03G36260 transcript:ORUFI03G36260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSFLRFLSGRSLADVCDGVKRRLGLGDDEGRDEEAGLAGGSSRPAAAAAVAGPPGECYACTQPGVPSFHSTTCDQVHSPDWDADAGSSLVPVQAQPSAAHHAAAAAAARWVFGPVLDPRSKRVQRWNRWILLARAAALAVDPLFFYALSIGRAGQPCVYMDAGLAAAVTALRTAADLAHLAHVLLQFRVAYVSRESLVVGCGKLVWDPRAIAAHYARSLKGLWFDLFVILPIPQVIFWLVIPKLIREEQIKLIMTILLLLFLLQFLPKVYHSIYIMRKMQKVTGYIFGTIWWGFGLNLFAYFIASHIAGGCWYVLAIQRVASCLQEECKIKNTCNLISLACSKEMCFHLPWSDKNGLACNLTSFGQQNIPDCLSGNGPFAYGIYKGALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVIFSIINVLSGLMLFTLLIGNIQVFLHAVLARKRKMQLRFRDMEWWMRRRQLPSRLRQRVRKYERERWAAITGDEEMEMIKDLPEGLRRDIKRYLCLELVKQVPLFHGMDDLILDNICDRLRPLVFSSGEKVIREGDPVQRMVFVLQGKLRSTQPLAKGVVATCMLGAGNFLGDELLSWCLRRPFLDRLPASSATFECVETAQAFCLDAPDLRFITEQFRYKFANEKLKRTARYYSSNWRTWAAVNIQLAWRRYKARTTTDLASAAQPPSAGGPDDGDRRLRHYAAMFMSLRPHDHLE >ORUFI03G36270.1 pep chromosome:OR_W1943:3:29722250:29725235:1 gene:ORUFI03G36270 transcript:ORUFI03G36270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATMDIDGAAASAAAGGGRHSEKELFSAAESGDAAAFSSLSPADLAAALALRDEDGRSLLHVAAASGHAQVVRVLAAMGGDAAASVVNGKDEEGWAPIHTAASSGKAEIISILLDQGANVDLTTDAGRTALHYAASKGRLNIAETLIAHSANVNKKDKFGCTPLHRAASTGNAELCEFLIEEGADVDAVDKTGQTPLMHAVISEDKAVALLLVRHGADVDIEDKEGYTVLGRASDSFRPALIDAAKAMLEG >ORUFI03G36280.1 pep chromosome:OR_W1943:3:29727332:29727964:-1 gene:ORUFI03G36280 transcript:ORUFI03G36280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTRRRAALLVVAMCACAALPSTTTANKFSINWKPNTNYSDWPAQHGPFYKGDWLVFYYTAGQADVIQVDAAGYNTCDATNAISNYSKGRTYAFELNETKTYYFICSYGYCFGGMRLQIKTEKLPPPSPPAAAKDKSAAAFTASRASLFYAAAAAVLAAILRMF >ORUFI03G36290.1 pep chromosome:OR_W1943:3:29742242:29749574:1 gene:ORUFI03G36290 transcript:ORUFI03G36290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVPARLFVLRMLRVVRLNPLQRGAASVVESRIVYFRCVDSPIFDLVHLMRRLQNTHRLVPFHGNGGSLARMGAKVEGDNYMLGYYAMGDFNMDANGRWSPYHDEKMSNGHMCNGFMTKPANGYSEYDKEMLTRTMLEHEAIFRQQVYELHRVYKIQRDLMKQYQNKDIYAYPMLEDASKTNSPSQLPPNGAKMSWPIQTPPMSITYKKASIAEHGVMNHPLKFLREGSVQSSPNGFPPSDVALNARQGTFDLQLSADHYVDDDNASDNGPIDFLGVAPDKKPQNNADLTLVSPEGLGRFSDNSSTSGLHATNNVGGRQVVDLNEPITGTYMGRANGSVSRGLSYTLENSWHQSILKPSTANFNYNKEYSKEKHLDEGTSSNFFAANAKTKQEEKQLIDKGKQVSSIHVFTPRYSDANPQMSMKGVDGRSASNNQFFHQGQNGSIGWFARSPLEAPAINNFPRLDRSHNSSLGALAPPMSIPRIDHPSGASPIGSCTVDPRSSAINNATFQPIPSFKGSSTVNQSIGTSILKVKKNEDLDGNRPGFALDPFCASRPQHQVAISSDEEQTECLMFEHSARHRENPHFANDKGPKNFNLNEALSDGQEDYLVEQDGGSVSSLPQSKASGFPWLIKTTDTCTRPSDLQNPRKVFAHSNRIVIDLNSNTDRKEAALTIHSLSDSASTSLDCGVKKESQDCGIKKDEAFGDITTRTEVACNTTQESATCLPVLCQEYVPGDDKAANGGDKKSSAPVRNFIDLNDDAPNEDNSESSVVSHECHVVSLQNNHGKRKFVIDLEVPACEEGVAWDFNQECSPSGKLDVTQEADDAHFTCTKIAAESIIALSMHVPTIAETPDDMLQWFADLALSSTDDHVEQAEAHDCVNNSSDDGLDSFESLTLKLEETKIDEYWSRPQAPEIPNDEQAGLSVNLLTKPKRGQQRRRRQKRDFQKDILPGLTSLARPEIIEDIQLLEGLVQASGGSWQSSLTRRGRYGGRPRGRKPRKNLSETIEEEEVPVSPPAKPDTAKPDAAEIEASDRGIIGWGRTTRRCRRPRCPSGYNISAAS >ORUFI03G36300.1 pep chromosome:OR_W1943:3:29749980:29754888:1 gene:ORUFI03G36300 transcript:ORUFI03G36300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRRREGGGAVAGAASRLRFAPSSNHLLVSSWDSGLRLYDADACELRMEAKSEAALLDCCFQDEAVALTGGSDGSITRYDLHSGAQGTIGQHHEVVSCIEFSQITGQVVTATLDKKLMFWDSQTRNVNPNSIKNLDSDVASLSVCEMYILAAIEREVYIYDMRNLIGPVKVKDSPVEYHLRSLHSSPEWKGYAAGSVDGVVAVKYFDRGTDGDMGYVFRCHPKSRDGRSSMVPINSIGIHPFDKTFVTGDNEGYVIAWDAQSKKKLHEFPIYSGSVASIAFNHNGQIFAVASNSNYQESDKMVEEHQIFFEMKQHF >ORUFI03G36300.2 pep chromosome:OR_W1943:3:29749980:29754887:1 gene:ORUFI03G36300 transcript:ORUFI03G36300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRRREGGGAVAGAASRLRFAPSSNHLLVSSWDSGLRLYDADACELRMEAKSEAALLDCCFQDEAVALTGAQGTIGQHHEVVSCIEFSQITGQVVTATLDKKLMFWDSQTRNVNPNSIKNLDSDVASLSVCEMYILAAIEREVYIYDMRNLIGPVKVKDSPVEYHLRSLHSSPEWKGYAAGSVDGVVAVKYFDRGTDGDMGYVFRCHPKSRDGRSSMVPINSIGIHPFDKTFVTGDNEGYVIAWDAQSKKKLHEFPIYSGSVASIAFNHNGQIFAVASNSNYQESDKMVEEHQIFFEMKQHF >ORUFI03G36310.1 pep chromosome:OR_W1943:3:29756038:29758604:1 gene:ORUFI03G36310 transcript:ORUFI03G36310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLIHKCLAELRPSGTLVAGSRLSHPLAHPPPTTSVGFWGRLEMSDSEEHHFESKADAGASKTYPQQAGTIRKNGHIVIKNRPCKWSGNHASLLVDTKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTESGGTKDDLRLPSDEALLTQIKDGFAEGKDLIVTVMSAMGEEQICALKDIGPKN >ORUFI03G36320.1 pep chromosome:OR_W1943:3:29759088:29768741:1 gene:ORUFI03G36320 transcript:ORUFI03G36320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVDKYQQVGFFQEEKAFALRYQTAGMLETVLRAGVLGEDDTGEESPKNLKIPSRKPSIVCENCLYSREGNGRVRAFHIMDPKGVLDMLIIFHEKQGSEVPLMYSSDDADITNSDRIAPLLGRWEGRSVTKRSGVYGATLSEADTVVLLEKDRNGQLILDNMSTKSGSSTTTTVHWTGSANNNLLQFDGGYEMTLLPGGMYMGYPTDIGKIVNDMDSFHLEFCWMESPGKRQRLVRTYDSAGLAVSSTYFFETKRLSSLKPHHHLRRRVGDHGRTPDLSNANRVAGEGEGGDRFRRRRVAAVTAAAIDRAKSPEEGDSFRWFWKYSAQAVGASQSNPNTSRSDPLSWSAAVVVIDREALPLHKQRKLTRAAAVAMADRRRSDGGGGMQQQPFTSPGQERVFDGGGVPGQVAAPYGSDFDQSSYMALLAAGAVGVGVGVQPTAAPWAVEEDVAAAPPGISLAPQFSMANYAPPPSYQHPATLVSPPLAAGLHPYPPYLHGVDAPPPQWPPRPAPPPSFSVLDLAAAAAPHEQRHSMQQLLLRAAAFGGGMHAAAAPAPAAAAAIEQPAKDGYNWRKYGQKQLKDAESPRSYYKCTRDGCPVKKIVERSSDGCIKEITYKGRHSHPRPVEPRRGGAASSSSSAMAAGTDHNAGAAADDAAAADEDDPSDDDDTLLHEDDDDGEEGHDRGVDGEVGQRVVRKPKIILQTRSEVDLLDDGYRWRKYGQKVVKGNPRPRSYYKCTADGCNVRKQIERASADPKCVLTTYTGRHNHDPPGRPPAAANLQMPGPAAMRLAGGGTAHQQPSGGAHQMKEET >ORUFI03G36320.2 pep chromosome:OR_W1943:3:29759013:29761916:1 gene:ORUFI03G36320 transcript:ORUFI03G36320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVAVLRLAASAAATARPQSRSGRHGSCAARVPCPGPSPFRRGRLCARAAVAGPPEVDDDDAMTIDNLRRFFDVNVGKWNGAFYVGFFQEEKAFALRYQTAGMLETVLRAGVLGEDDTGEESPKNLKIPSRKPSIVCENCLYSREGNGRVRAFHIMDPKGVLDMLIIFHEKQGSEVPLMYSSDDADITNSDRIAPLLGRWEGRSVTKRSGVYGATLSEADTVVLLEKDRNGQLILMIIPISVLAKIDLKHSRKPMGDGFQNLHAMGGQAGVSALDNMSTKSGSSTTTTVHWTGSANNNLLQFDGGYEMTLLPGGMYMGYPTDIGKIVNDMDSFHLEFCWMESPGKRQRLVRTYDSAGLAVSSTYFFETKV >ORUFI03G36320.3 pep chromosome:OR_W1943:3:29763152:29768741:1 gene:ORUFI03G36320 transcript:ORUFI03G36320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRRSDGGGGMQQQPFTSPGQERVFDGGGVPGQVAAPYGSDFDQSSYMALLAAGAVGVGVGVQPTAAPWAVEEDVAAAPPGISLAPQFSMANYAPPPSYQHPATLVSPPLAAGLHPYPPYLHGVDAPPPQWPPRPAPPPSFSVLDLAAAAAPHEQRHSMQQLLLRAAAFGGGMHAAAAPAPAAAAAIEQPAKDGYNWRKYGQKQLKDAESPRSYYKCTRDGCPVKKIVERSSDGCIKEITYKGRHSHPRPVEPRRGGAASSSSSAMAAGTDHNAGAAADDAAAADEDDPSDDDDTLLHEDDDDGEEGHDRGVDGEVGQRVVRKPKIILQTRSEVDLLDDGYRWRKYGQKVVKGNPRPRSYYKCTADGCNVRKQIERASADPKCVLTTYTGRHNHDPPGRPPAAANLQMPGPAAMRLAGGGTAHQQPSGGAHQMKEET >ORUFI03G36330.1 pep chromosome:OR_W1943:3:29767141:29769566:-1 gene:ORUFI03G36330 transcript:ORUFI03G36330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSTTRRRRRSRKLSVAARKFRRKVSAAIADAPIARSGGGGGAGGEVAAANCFARHEVVHVEAPVSNVTLHLTQLQWQHSQMDAGSVICEEAWYDSVSILDSADSEDDDLDNDFASVSGDPLPDVTATATSTSTSLLDAVHRLRSIASAEACQDDDPPGKAEESNAAAAAAAAADECCSSSGGGLKESAASSTRPPFPPSIPSNKIQPMPIVSVSPHSQKKKSAVVRLSFRRRSYEGDEMTEMSGSTNYLYRPRAGSSLPCSTGEKLSDGCWSAIEPSVFRVRGESFFKDKRKSPAPNCSPYIPIGADMFACTRKINHIAQHLALPSLKAHETFPSLLIVNIQMPTYPATVFGENDGDGISLVLYFKLSDSFDKEISPQLKESIKKLMGDEMERVKGFPVDSNVPYTERLKILAGLVNPDDLQLSAAERKLVQTYNQKPVLSRPQHKFFKGPNYFEIDLDVHRFSFISRKGLEAFRERLKHGVLDLGLTIQAQKAEELPEHVLCCMRLNKIDFADSGQIPTLIMSSDE >ORUFI03G36340.1 pep chromosome:OR_W1943:3:29777860:29780388:1 gene:ORUFI03G36340 transcript:ORUFI03G36340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPVTPRRTTRSSASASPSPAPASPTSPPKSRPKPSPRRQLLAAAAAPPKEDGSSADALLAELPGRRAQAMGILRLLAPAPALPLMLHGGAATGKTRALLLALRYLRPSQRLVYAALRSLPSPRALFASLLSQLSATPFSTSSRHRVPDKPSDFVAALRDALNGIVSQGEVVYLVFDNLEVVRSWDKGGQLLPLLLRLHDLLQLPQVVLVYVSSATPDAYYSMTGSVEPNYVYFPDYTVDEVRDILMHDHPNPKLYSSFLSVALKPLFRVTRRVDELSAVLEPLFRRYCEPLGDLKAVPDEGMKRRLFEHVQSHLAVALNETFNVPMRASMDEIKDGGSAGKGSAKRQFAGKDGLSSELEFHMSVSAKYLLLSAFLASRNPATLDAALFDSTGGLDNRKRKRKSSQASMHMKDTIVEEMLMKGPGTFPLERLLAIFQCITSVSEDILDEIDCPGNMASESGTTGLMSDVLLQLSTLCNSNFLSKSRSCPLEGSARYRSNIDEDLALKVARSVNFPLSKYMYRR >ORUFI03G36350.1 pep chromosome:OR_W1943:3:29781506:29784037:-1 gene:ORUFI03G36350 transcript:ORUFI03G36350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMLGWKRVPLFSILLILSITNIATTYAIASQADRFVPRDNYLLSCGAPAAVQLDDGRTFRSDPDSASFLSTPVDIKITAKNSLASGAPSSQLYLTSRVFSDISTYSFFISQPGHHWIRLHFLPIPDDHYNLTTATFSVSTDDMVLLHDFSFIATPPNPVLREYIVATQGDTLKIIFTPKKDSIAFINAIEVVSAPPSLIPNTTTGMAPQGQLDISNNALQVVYRLNMGGPLVTAFNDTLGRIWLPDAPFLKLQAAANAAWVPPRTIKYPDDKTNTPLIAPANIYSTAQQMASTNTSDARFNITWEMVTEPGFSYFVRLHFCDIVSKALNSLYFNVYINGMMGVLNLDLSSLTVGLAVPYYRDFIIDSSSIINSTLIVQIGPGTTDTSNPNAILNGLEIMKISNQENSLDGLFSPKRSSQLGKKTMTGIGLAMAVMAAALAVVMCCRRRHRPGWQKTNSFQSWFLPLNSTQSSFMSTCSRLSSRNRFGSTRTKSGFSSIFASSAYGLGRYFTFVEIQKATKNFEEKAVIGVGGFGKVYLGVLEDGTKLAIKRGNPSSDQGMNEFLTEIQMLSKLRHRHLVSLIGCCDENNEMILVYEFMSNGPLRDHLYGGTDIKPLSWKQRLEISIGAAKGLHYLHTGAAQGIIHRDVKTTNILLDENFVAKVADFGLSKAAPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPAINPTLPRDQVNLAEWARTWHRKGELNKIIDPHISGQIRPDSLEIFAEAAEKCLADYGVDRPSMGDVLWKLEFALQLQEKGDIVDGTSNQFPMKSLEVTSGDSMEKSGNVVPSYVQGR >ORUFI03G36360.1 pep chromosome:OR_W1943:3:29782890:29793889:1 gene:ORUFI03G36360 transcript:ORUFI03G36360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEEIITEAAGARGYMEMLGLGEEAADYLMCLSPSSYLSSPAASTTTAVASPTCASYLAPHPYHHLLSFSGQDQYHGDDVFGLQYYGGDQVIPAVVPQKSSPTTECSSSVSSMSSSPTATAISSSKSPAFKKKGSRGCDQRKATAPAAATTTNKRPRVRRERLGERIIALQQLVSPFGKSDTASVLHEALGYIRFLHDQVQVLSSPYLQRLPPSARVPEQERGTPAAEEQPPALRPSDLRSRGLCLVPISCTEHVAGAGAGTGHGNGADLWSVAAGMAKATATVTAAVERSKEAAAAAAATAALLRADRPGQQLA >ORUFI03G36360.2 pep chromosome:OR_W1943:3:29789034:29793889:1 gene:ORUFI03G36360 transcript:ORUFI03G36360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEEIITEAAGARGYMEMLGLGEEAADYLMCLSPSSYLSSPAASTTTAVASPTCASYLAPHPYHHLLSFSGQDQYHGDDVFGLQYYGGDQVIPAVVPQKSSPTTECSSSVSSMSSSPTATAISSSKSPAFKKKGSRGCDQRKATAPAAATTTNKRPRVRRERLGERIIALQQLVSPFGKSDTASVLHEALGYIRFLHDQVQVLSSPYLQRLPPSARVPEQERGTPAAEEQPPALRPSDLRSRGLCLVPISCTEHVAGAGAGTGHGNGADLWSVAAGMAKATATVTAAVERSKEAAAAAAATAALLRADRPGQQLA >ORUFI03G36370.1 pep chromosome:OR_W1943:3:29797501:29797752:1 gene:ORUFI03G36370 transcript:ORUFI03G36370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSIPTPRHRVRRRPRPAIEPPSTPAFRSTLHHTFTSTPPAPPRATVDSRLSTSTLTLIVIESRLQIDVADAPTSASIPASR >ORUFI03G36380.1 pep chromosome:OR_W1943:3:29799603:29800949:1 gene:ORUFI03G36380 transcript:ORUFI03G36380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTRRTCLQHCPGINFQHDQRVPRGRQTHCLQYGASIDMCSIEKFLWLLEVLYKEEGDQ >ORUFI03G36390.1 pep chromosome:OR_W1943:3:29805022:29811355:-1 gene:ORUFI03G36390 transcript:ORUFI03G36390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGMISSTTCLNSWASTDMSVSGSVSGRVALVWAMVSMSTLRETSNSLRFSWMRAAPAGDAAAARYILRTMARTSPAMSPDMRPTRCAESSCTATIRRRLRHAAPLNETSSCDSNLGRFAKVTSCSVKHSLAHPGDDTTTARRDPRRSENTGPYRSARRDMVACIGFLTRWSYSGAPVLYPGLHRKDRQV >ORUFI03G36390.2 pep chromosome:OR_W1943:3:29803478:29811355:-1 gene:ORUFI03G36390 transcript:ORUFI03G36390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGMISSTTCLNSWASTDMSVSGSVSGRVALVWAMVSMSTLRETSNSLRFSWMRAAPAGDAAAARYILRTMARTSPAMSPDMRPTRCAESSCTATIRRRLRHAAPLNETSSCDSNLGRFAKVTSCSVKHSLAHPGDDTTTARRDPRRSENTGPYRSARRDMVACIGFLTRWRWPMIGTAGRPGGSRIPLFLPLLVPPPRRRRR >ORUFI03G36400.1 pep chromosome:OR_W1943:3:29806403:29822821:1 gene:ORUFI03G36400 transcript:ORUFI03G36400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQNSVVLTCDGYGSALYFSPWDSVPLPATASPDDGFLLPRFPDVCVQRSQFTNHLAPANGTGGGGSRTGVKEEASEADALSQIAAVHQSHRNTSHIRELSLAMDNAYIIAILSVAILFLLHYYLLGRGNGGAARLPPGPPAVPILGHLHLVKKPMHATMSRLAERYGPVFSLRLGSRRAVVVSSPGCARECFTEHDVTFANRPRFESQLLVSFNGAALATASYGAHWRNLRRIVAVQLLSAHRVGLMSGLIAGEVRAMVRRMYRAAAASPAGAARIQLKRRLFEVSLSVLMETIAHTKATRPETDPDTDMSVEAQEFKQVVDEIIPHIGAANLWDYLPALRWFDVFGVRRKILAAVSRRDAFLRRLIDAERRRLDDGDEGEKKSMIAVLLTLQKTEPEVYTDNMITALTANLFGAGTETTSTTSEWAMSLLLNHPDTLKKAQAEIDASVGNSRLITAADVTRLGYLQCIVRETLRLYPAAPMLLPHESSADCKVGGYNIPRGSMLLINAYAIHRDPAVWEEPEKFMPERFEDGGCDGNLLMPFGMGRRRCPGETLALRTVGLVLGTLIQCFDWERVDGVEVDMTEGGGLTIPKVVPLEAMCRPRDAMGGVLRELAYIAVFSIAILFLLVDYFRCRRRRGSGSNNGENKGMLQLPPSPPAIPFFGHLHLIDKPLHAALSRLAERHGPVFSLRLGSRNAVVVSSPECARECFTDNDVCFANRPQFPSQMPATFYGAGFGFANYGAHWRNLRRIATVHLLSAHRVRGMAGVVSGEIRPMVQRMYRAAAAAGVGVARVQLKRRLFELSLSVLMEAIAQTKTTRPEADDADTDMSVEAQEFKNVLDELNPLLGAANLWDYLPALRVFDVLGVKRKIATLANRRDAFVRRLIDAERQRMDNGVDGGDDGEKKSVISVLLSLQKTEPEVYKDIVIVNLCAALFAAGTETTAMTIEWAMSLLLNHPKILKKAKAEIDASVGNSRLINGDDMPHLSYLQCIINETLRLYPVAPLLIPHESSADCKVNGYHIPSGTMLLVNVIAIQRDPMVWKEPNEFKPERFENGKSEGLFMIPFGMGRRKCPGETMALQTIGLVLGALIQCFDWDRVDGAEVDMTQGSGLTNPRAVPLEAMCKPREAMSDAYIAIFSIAVLLLIHFLFRRRGRSNGMPLPPSPPAIPFFGHLHLIDKPFHAALSRLAERHGPVFSLRLGSRNAVVVSSPECARECFTDNDVCFANRPRFPSQMLATFNGTSLGSANYGPHWRNLRRIATVHLLSSHRVSGMSGIISGQARHMVRRMYRAATASAAGVARVQLNRRLFELSLSVLMEAIAQSKTTHREAPDADTDMSMEAQELRHVLDELNPLIGAANLWDYLPALRWFDVFGVKRKIVAAVNRRNAFMRRLIDAERQRMDNNDVDGGDDGEKKSMISVLLTLQKTQPEVYTDTLIMTLCAPLFGAGTETTSTTIEWAMSLLLNHPEILKKAQAEIDMSVGNSRLISVVDVHRLGYLQCIINETLRMYPAAPLLLPHESSADCKVGGYHIPSGAMLLVNVAAIQRDPVIWKEPSEFKPERFENGRFEGLFMIPFGMGRRRCPGEMLALQTIGLVLGTMIQCFDWGRVDDAMVDMTQSNGLTSLKVIPLEAMCKPREAMCDVLRKFM >ORUFI03G36400.2 pep chromosome:OR_W1943:3:29803523:29822821:1 gene:ORUFI03G36400 transcript:ORUFI03G36400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHATLSRLAARHGPVFSLRLGSRRAVVVSSPGCARECFTEHDVAFANRPRFESQLLMSFDGTALAMASYGPHWRNLRRVAAVQLLSARRVGLMSGLIAGEVRAMVRSLCRRPAAAAPVQLKRRLFELSLSVLMETIAQSKATRPETTDTDTDMSMEAQEYKQVVEEILERIGTGNLCDYLPALRWFDVFGVRNRILAAVSRRDAFLRRLIYAARWRMDDGEKKSMIAVLLTLQKTQPENLFGAGTETTSTTSEWAMSLLLNHPDTLKKAQAEIDASVGNSRLITAADVTRLGYLQCIVRETLRLYPAAPMLLPHESSADCKVGGYNIPRGSMLLINAYAIHRDPAVWEEPEKFMPERFEDGGCDGNLLMPFGMGRRRCPGETLALRTVGLVLGTLIQCFDWERVDGVEVDMTEGGGLTIPKVVPLEAMCRPRDAMGGVLRELAYIAVFSIAILFLLVDYFRCRRRRGSGSNNGENKGMLQLPPSPPAIPFFGHLHLIDKPLHAALSRLAERHGPVFSLRLGSRNAVVVSSPECARECFTDNDVCFANRPQFPSQMPATFYGAGFGFANYGAHWRNLRRIATVHLLSAHRVRGMAGVVSGEIRPMVQRMYRAAAAAGVGVARVQLKRRLFELSLSVLMEAIAQTKTTRPEADDADTDMSVEAQEFKNVLDELNPLLGAANLWDYLPALRVFDVLGVKRKIATLANRRDAFVRRLIDAERQRMDNGVDGGDDGEKKSVISVLLSLQKTEPEVYKDIVIVNLCAALFAAGTETTAMTIEWAMSLLLNHPKILKKAKAEIDASVGNSRLINGDDMPHLSYLQCIINETLRLYPVAPLLIPHESSADCKVNGYHIPSGTMLLVNVIAIQRDPMVWKEPNEFKPERFENGKSEGLFMIPFGMGRRKCPGETMALQTIGLVLGALIQCFDWDRVDGAEVDMTQGSGLTNPRAVPLEAMCKPREAMSDAYIAIFSIAVLLLIHFLFRRRGRSNGMPLPPSPPAIPFFGHLHLIDKPFHAALSRLAERHGPVFSLRLGSRNAVVVSSPECARECFTDNDVCFANRPRFPSQMLATFNGTSLGSANYGPHWRNLRRIATVHLLSSHRVSGMSGIISGQARHMVRRMYRAATASAAGVARVQLNRRLFELSLSVLMEAIAQSKTTHREAPDADTDMSMEAQELRHVLDELNPLIGAANLWDYLPALRWFDVFGVKRKIVAAVNRRNAFMRRLIDAERQRMDNNDVDGGDDGEKKSMISVLLTLQKTQPEVYTDTLIMTLCAPLFGAGTETTSTTIEWAMSLLLNHPEILKKAQAEIDMSVGNSRLISVVDVHRLGYLQCIINETLRMYPAAPLLLPHESSADCKVGGYHIPSGAMLLVNVAAIQRDPVIWKEPSEFKPERFENGRFEGLFMIPFGMGRRRCPGEMLALQTIGLVLGTMIQCFDWGRVDDAMVDMTQSNGLTSLKVIPLEAMCKPREAMCDVLRKFM >ORUFI03G36400.3 pep chromosome:OR_W1943:3:29803523:29806543:1 gene:ORUFI03G36400 transcript:ORUFI03G36400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHATLSRLAARHGPVFSLRLGSRRAVVVSSPGCARECFTEHDVAFANRPRFESQLLMSFDGTALAMASYGPHWRNLRRVAAVQLLSARRVGLMSGLIAGEVRAMVRSLCRRPAAAAPVQLKRRLFELSLSVLMETIAQSKATRPETTDTDTDMSMEAQEYKQVVEEILERIGTGNLCDYLPALRWFDVFGVRNRILAAVSRRDAFLRRLIYAARWRMDDGEKKSMIAVLLTLQKTQPEVYTDNMITALCSNLLGAGTETTSTTIEWAMSLLLNHPETLKKAQAEIDASVGNSRLITADDVPRITYLQCIVRETLRLYPAAPMLIPHESSADCEVGGYSVPRGTMLLVNAYAIHRDPAAWEEPERFVPERFEGGGCDGNLSMPFGMGRRRCPGETLALHTVGLVLGTLIQCFDWERVDGVEVDMAEGGGLTMPKVVPLEAVCRPRDAMGGVLREL >ORUFI03G36410.1 pep chromosome:OR_W1943:3:29823118:29825830:-1 gene:ORUFI03G36410 transcript:ORUFI03G36410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAAEAWEGPTAAELKAAGAEAIPGGVRVKGWVIRSHNGPILNSASLQLFEDKLQTTHLPEMVFGESFLSLQHAQTGIRLYFNALDALKAWKHEALPPVEVPAAAKWKFRSKPSDQVILDYDYTFTTPYNGSDALVQNPDSIQTSLDEPRNLCWEDSEDRIDLVALSAKEPILFYDEVILYEDELADNGISFLTVRVRVMPTGWFLLLRFWLRVDGVLMRLRDTRVYCSFGSDEAKPIVLRECCWREATFASLSAKGYPSDSAAYGDPNLIAHKLPVVMQKIQKLKLPN >ORUFI03G36420.1 pep chromosome:OR_W1943:3:29827637:29830838:1 gene:ORUFI03G36420 transcript:ORUFI03G36420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAAVHRPHLLGAYPGGGRARRPSSTVRMALREDGLSVAIVGATGAVGQEFLRVISSRGFPYRSLRLLASERSAGKRLPFEGQEYTVQDLAAPGAFDGVDIALFSAGGGVSRAHAPAAVASGAVVVDNSSAFRMDPEVPLVIPEVNPEAMAHVRLGKGAIVANPNCSTIICLMAATPLHRHAKVVRMVVSTYQAASGAGAAAMEELKLQTQEVLAGKAPTCNIFSQQYAFNIFSHNAPIVENGYNEEEMKMVKETRKIWNDKDVKVTATCIRVPVMRAHAESVNLQFEKPLDEDTAREILRAAEGVTIIDDRASNRFPTPLEVSDKDDVAVGRIRQDLSQDDNKGLDIFVCGDQIRKGAALNAVQIAEMLLK >ORUFI03G36430.1 pep chromosome:OR_W1943:3:29831691:29832763:-1 gene:ORUFI03G36430 transcript:ORUFI03G36430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNTTTTLALLLLLLLASSSLQVSMAGSVAVMCSGSNTGSELKVENCGIQKYNLLSIVS >ORUFI03G36440.1 pep chromosome:OR_W1943:3:29835906:29836637:1 gene:ORUFI03G36440 transcript:ORUFI03G36440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARDDDLGNGDLDDDLSNPRRRSRGRTEAEAVRPPIHAASPEAARLAETGGGERRTAGRIWRGRRSHRRRRHLDEAKTSTALSSSTIGGGGEPPVAGAAGETRVAGSEGGAWRRRAAVATFTKVAPSALLTASAAQGGRYRSSLRSPRWPSPVPVPHLAGGNKAKALLSDGDDDGELGQRMQASDDAPPSPWWQQHERRELVHGGDMQRQEGHEQGSGDGAAGGERGGKRWWGPQMASG >ORUFI03G36450.1 pep chromosome:OR_W1943:3:29837449:29838941:-1 gene:ORUFI03G36450 transcript:ORUFI03G36450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASMLSAASLSLSPSPLAALRPPRPAASFALPRRAAAAAVAVRAAAAASNSPAAAAQKKRAATGLTKPRLVSPALQAVVGAAEIPRTEALKRLWAYIKQHNLQDPADKKVIVCDEKLKVLFAGQERVGFLEVAKLLNPHFVK >ORUFI03G36460.1 pep chromosome:OR_W1943:3:29839732:29841853:-1 gene:ORUFI03G36460 transcript:ORUFI03G36460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVARCCGHWPPGAAAADGMLWQTELRPHAAGEFSMAAAQANLAMEDQAQVLASPAATLVGVYDGHGGGAGSSPMSNEQGGMSTEVIRRAFGAAEEEFLQQVRQAWRQRPKMAAVGSCCLLGAISGDTLYVANLGDSRAVLGRRVVGGGVAVAERLTDEHNAASEEVRRELTALNPDDAQIVVHARGAWRVKGIIQVSRTIGDVYLKKQEYSMDPVFHGLWEHLSDDAAVQIVFKNPRTGIANRLVKAALKEATRKREVSFRDLKTIEKGVRRHFHDDISVIVVYLDRHRGRRHTRVVDSSSNCTNAPVDIYSSNSGQSVETLQAHRGSGW >ORUFI03G36460.2 pep chromosome:OR_W1943:3:29839732:29841853:-1 gene:ORUFI03G36460 transcript:ORUFI03G36460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVARCCGHWPPGAAAADGMLWQTELRPHAAGEFSMAAAQANLAMEDQAQVLASPAATLVGVYDGHGGGAGSSPMSNVRHLSLFLSGSLPFLRFEKEQGGMSTEVIRRAFGAAEEEFLQQVRQAWRQRPKMAAVGSCCLLGAISGDTLYVANLGDSRAVLGRRVVGGGVAVAERLTDEHNAASEEVRRELTALNPDDAQIVVHARGAWRVKGIIQVSRTIGDVYLKKQEYSMDPVFRNVGPPIPLKRPALSAEPSIQVRKLKPNDLFLIFASDGLWEHLSDDAAVQIVFKNPRTGIANRLVKAALKEATRKREVSFRDLKTIEKGVRRHFHDDISVIVVYLDRHRGRRHTRVVDSSSNCTNAPVDIYSSNSGQSVETLQAHRGSGW >ORUFI03G36470.1 pep chromosome:OR_W1943:3:29841624:29843714:1 gene:ORUFI03G36470 transcript:ORUFI03G36470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDPAPPPCPSYTPTSVAAGEASTCAWSSMARFACAAAIENSPAACGLSSVCHSIPSAAAAPGGQCPQHLATALNISSPLRSNTSFARFFFLLVSASNPVRLCTRSVGKARLEEEEEDEGKRAEGRGEESTE >ORUFI03G36480.1 pep chromosome:OR_W1943:3:29844521:29847860:-1 gene:ORUFI03G36480 transcript:ORUFI03G36480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAESKAGKSPEELLCAAAESGDAEAITGLLAEGADPTHFDASGLTPLMHAATGGHAAVVQLLLDAGAPWNALSPTGISAGDLASDSATFDLLLDHALRSELVLGTVARRQAGPADSPAESYLESRVSFSEERVMDADSKAVMMEWERPLMEAHARAVCSGGKVLNVGFGMGLVDTAIQRYEPEEHTIVEAHPEVYARMLKLGWGEKKNVKVVFGRWQDVLPQLGSYDGIFFDTYGEYYEDMREFHQHLPKLLKPGGIYSYFNGLCGDNAFFHVVYCQLVALELANLGYSTQFIPLPVKDCLSEEIWNGVKQKYWQLDTYHLPVCQAESEPEQ >ORUFI03G36490.1 pep chromosome:OR_W1943:3:29848169:29849623:1 gene:ORUFI03G36490 transcript:ORUFI03G36490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVRPTLFDARKVFVRMLSSGVGGGDALVDTADPAKRLFKLIISCRKASAVEHELDHSGVRVTPDVAERVLERLDNAGMLAYRFFEWARRQKRGGCAHTVRSYHTVVASLAKIRQYQLMWDVVAVMRREGAVNVETFGIIMRKYARAQKVDEAVYTFNVMEKYGVVPNLAAFNSLLGALCKSKNVRKAQEIFDKMNSRFSPDAKTYSILLEGWGRAPNLPKMREVYSEMLDAGCEPDIVTYGIMVDSLCKTGRVEEAVRVVQDMTSRGCQPTTYIYSVLVHTYGVEMRIEDAVATFLDMEKDGIVPDIVVYNALVSAFCKAKKFENAFRVLNDMEGHGITTNSRTWNIILNHLISLGRDDEAYKVFRRMIKCCQPDCDTYTMMIKMFCENDKVEMALKVWKYMRLKQFLPSMHTFSVLINGLCDKREVSQACVLLEDMIEKGIRPPGSTFGKLRQLLLKEGRKDVLDFLVEKMNILIQEPLFD >ORUFI03G36500.1 pep chromosome:OR_W1943:3:29856169:29858463:-1 gene:ORUFI03G36500 transcript:ORUFI03G36500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRHGERCVILAVAAVALVAAATATAAAAVGGERRTYIVHMSRSAKPNDFVEHGEWYAASLQSVSDAATVLYTYDTIVHGYSARLTRAEAEALESQPGVLLVNPEVRYELHTTRTPEFLGLDRTDALFPQSNTGSDVIVGVLDTGVWPERPSYDDAGLGPVPAGWKGKCEEGNDFNASACNKKLIGARFFLTGYEAAKGPVDTSKESRSPRDNDGHGTHTSSTAAGSAVRGADLLGYAAGTAKGMAPHARVATYKVCWVGGCFSSDILKAMEVAVNDGVDVLSLSLGGGTADYYRDSIAVGAYSAMERGIFVSCSAGNAGPGSATLSNGAPWITTVGAGTLDRDFPAHVVLGNGKNYSGVSLYSGKQLPTTPVPFIYAGNASNSSMGALCMSGSLIPEKVAGKIVLCDRGTNARVQKGFVVKDAGGAGMVLANTAANGEELVADAHVLPGAGVGQKAGDTMRAYALSDPNPTASIVFAGTQVGIQPSPVVAAFSSRGPNTVTPGILKPDLIAPGVNILAAWSGSVGPSGLAGDSRRVGFNIISGTSMSCPHVSGLAALLRAAHQDWSPAAIRSALMTTSYNGYPNGNGILDVATGLPATPLDVGAGHVDPSKAVDPGLVYDIAAADYVDFLCAISYGPMQIAALTKHTTDACSGNRTYAVTALNYPSFSVTFPATGGTEKHTRTVTNVGQPGTYKVTASAAAGSTPVTVSVEPSTLTFTKSGEKQSYTVSFAAAAMPSGTNGFGRLVWSSDHHVVSSPIAVTWT >ORUFI03G36510.1 pep chromosome:OR_W1943:3:29864410:29869114:1 gene:ORUFI03G36510 transcript:ORUFI03G36510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAELRRLVVLLRGEAALPLPLAWRGRPVSTAARDDELAGKSAYEVLGVGETSSSAEIKASFHRLAKETHPDVAAAAGSSRFLQILAAYEILSDSQRRAHYDIYLRSQKRVLQKHPRPSHHVYPNPSGSGIVVTRESNVVEWLKWYRLTIDDIVTKKRVATGSGYFDKLESELYTAIHAAYYGPDVRSVDLLPDCFEAEERSVYETPELLHLVSGRDLFGIVSLADRVQQLPDAFQEKQTLPGFKTYGISQHVSTNLEKGTVHASPVYIHKREIDKNDGPHSDAYKDIELWICGKLVATATRSPKCNCIDKSDVEDHIHVFLVPNEVASSDFTQELPILGTITGLATTGEEGSCCVYDSRGIKTHLIVKHRTLMVKHMHWYQVGDEISPCECRCSRARLPPSRYWLFEPRCYMHDTGGWYIETFGRDKKGRKILSPRQWDGSNEHSERRLHPAMYLAALVYRSLDIEDAQRKKWSITTFLELQSSHICQLCKKIFNGGKESS >ORUFI03G36510.2 pep chromosome:OR_W1943:3:29864410:29869114:1 gene:ORUFI03G36510 transcript:ORUFI03G36510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAELRRLVVLLRGEAALPLPLAWRGRPVSTAARDDELAGKSAYEVLGVGETSSSAEIKASFHRLAKETHPDVAAAAGSSRFLQILAAYEILSDSQRRAHYDIYLRSQKRVLQKHPRPSHHVYPNPSGSGIVVTRESNVVEWLKWYRLTIDDIVTKKRVATGSGYFDKLESELYTAIHAAYYGPDVRSVDLLPDCFEAEERSVYETPELLHLVSGRDLFGIVSLADRVQQLPDAFQEKQTLPGFKTYGISQHVSTNLEKGTVHASPVYIHKREIDKNDGPHSDAYKDIELWICGKLVATATRSPKCNCIDKSDVEDHIHVFLVPNEVASSDFTQELPILGTITGLATTGEEGSCCVYDSRGIKTHLIVKHRTLMVKHMHWYQVGDEISPCECRCSRARLPPSRYWLFEPRCYMHDTGGWYIETFGRDKKGRKILSPRQWDGSNEHSERRLHPAMYLAALVYRSLDIEDAQRKKWSITTFLELQSSHICQLCKKIFNGGKESS >ORUFI03G36520.1 pep chromosome:OR_W1943:3:29871856:29876460:1 gene:ORUFI03G36520 transcript:ORUFI03G36520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGVIRSGGSVGGIGVGGGGGGGGAGGGLMRTRLRLPVVLLSCSLFFLAGFFGSILFTQDPQGEEELDTPMRRERLMEAAWPGMAYGESGEPEPSLIPYQILSWQPRALYFPQFATSQQCENIVKTAKQRLMPSTLALRKGETEESTKGIRTSSGTFLSSDEDPTGTLAEVEKKIAKATMIPRHHGEPFNILRYEIGQRYASHYDAFDPAQYGPQKSQRVASFLLYLTDVEEGGETMFPYENGENMDIGYDYEKCIGLKVKPRKGDGLLFYSLMVNGTIDPTSLHGSCPVIKGEKWVATKWIRDKSKAV >ORUFI03G36520.2 pep chromosome:OR_W1943:3:29871856:29876460:1 gene:ORUFI03G36520 transcript:ORUFI03G36520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGVIRSGGSVGGIGVGGGGGGGGAGGGLMRTRLRLPVVLLSCSLFFLAGFFGSILFTQDPQGEEELDTPMRRERLMEAAWPGMAYGESGEPEPSLIPYQILSWQPRALYFPQFATSQQCENIVKTAKQRLMPSTLALRKGETEESTKGIRTSSGTFLSSDEDPTGTLAEVEKKIAKATMIPRHHGEPFNILRYEIGQRYASHYDAFDPAQYGPQKSQRVASFLLYLTDVEEGGETMFPYENGENMDIGYDYEKCIGLKVKPRKGDGLLFYSLMVNGTIDPTSLHGSCPVIKGEKWVATKWIRDKSKAV >ORUFI03G36530.1 pep chromosome:OR_W1943:3:29877521:29882749:-1 gene:ORUFI03G36530 transcript:ORUFI03G36530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYADVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTEALNAYLNKYHIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEIFLISFYVMITKIGSLHVKLWHIRTSSK >ORUFI03G36530.2 pep chromosome:OR_W1943:3:29877523:29882828:-1 gene:ORUFI03G36530 transcript:ORUFI03G36530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAPPPRSRPHPPSSSVAVPAAAAAVIAAALASSFLALLQPPRRAPVAAGSRVGMSKARVYADVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTEALNAYLNKYHIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEIFLISFYVMITKIGSLHVKLWHIRTSSK >ORUFI03G36530.3 pep chromosome:OR_W1943:3:29877732:29882828:-1 gene:ORUFI03G36530 transcript:ORUFI03G36530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAPPPRSRPHPPSSSVAVPAAAAAVIAAALASSFLALLQPPRRAPVAAGSRVGMSKARVYADVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTEALNAYLNKYHIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEAVDFLDKLLRYDHQDRLTAREAMVTGLLTRIANFNPI >ORUFI03G36540.1 pep chromosome:OR_W1943:3:29885266:29886082:-1 gene:ORUFI03G36540 transcript:ORUFI03G36540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPMEQVFEHYDKDTLKMAMLKHEETFRQQVHELHRLYRIQKLLMRDLKRELKNQSNMSTSSPNGFAEYSRAALDAMRSYEQCYGAATRRGAAVHHAAAAARAALSLVPAVEYAQSPEEEDAEETDDEEAAAAAELELTLAVGAAASAKKRYSRNEHHSPGQSFSSSSTESDVLVTGVRDADAASPPYHHKRRPGPAAAFDVVQVDDGGVQAAPPPPPLLFHWLSLRMA >ORUFI03G36560.1 pep chromosome:OR_W1943:3:29899678:29902866:1 gene:ORUFI03G36560 transcript:ORUFI03G36560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGIRILVVMLAVAAAGSGVVAQLRRDYYASVCPDVETIVRDAVTKKVQETSVAVGATVRLFFHDCFVEGCDASVIVVSSGNNTAEKDHPNNLSLAGDGFDTVIKARAAVDAVPQCTNQVSCADILVMATRDVIALAGGPSYAVELGRLDGLSSTASSVDGKLPPPSFNLDQLTSLFAANNLSQTDMIALSAAHTVGFAHCGTFASRIQPSAVDPTMDAGYASQLQAACPAGVDPNIALELDPVTPRAFDNQYFVNLQKGMGLFTSDQVLYSDDRSRPTVDAWAANSSDFELAFVAAMTNLGRVGVKTDPSQGNIRRDCAMLI >ORUFI03G36570.1 pep chromosome:OR_W1943:3:29906974:29908651:1 gene:ORUFI03G36570 transcript:ORUFI03G36570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQHQRSIEHCSIGCFLASPPPRFFPARTRSAPGELRMKLVVFLIRGCPGEVLLRPIVPAKEGLRTRTKWHILQRFCKLEIISIETETMITISSRSIIKSRCKKSNKKILVFFLSMSVKFLLITTRRSLSVQKRSSTFSQLLH >ORUFI03G36580.1 pep chromosome:OR_W1943:3:29912542:29913453:-1 gene:ORUFI03G36580 transcript:ORUFI03G36580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRKLARVDIAELKQRLVKRLGRQRAGQYFAHLTRLLNLKLTKVEFDKLCYATIGRENIALHNALIRGIISNALSGVPPPSRQAVTGQSGTTTAPSGQCVGIALQSARNVGAVVDSGDGDFARERAVAGKVLSVEDGEEVEQVRSAPCVQSRSPITAPLGISTTPSYGARTWRLDDPMVSCYDSHHLLDTGSLFKGLQRRLESDGIGVSVQGVEVLNRGLDEFLRRLIKPCMELSRSRSSGRRVTKGNAMFAARMNGLQQANHGHCTTLQDFAVAMESDPHLLGTNWPTQLEKIQATSFGE >ORUFI03G36590.1 pep chromosome:OR_W1943:3:29922929:29927211:1 gene:ORUFI03G36590 transcript:ORUFI03G36590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGAAAAAAAAPRVNPSPSPHRRRASSALSPSKSANSNANANADAARGGGGGGGKPKGKAVPSRYLLAPSSKSTSTSSSSTTTTNSSATSNSTSTSASTPSRRFASPLPRRSSSVDRPRPTSNAAAAGGDALGPNGATTTTTRSLSVAFQGRAYFLETSKAKPATSPSPVRRPVAAASTTPERRRPSMGTVPERGKVFEGGHSQQRWPMSARAAHGFEGNPLTKSLDCSLDKRGAAVLAAVRSLRQSMVFEEGVRRASFDSGDYLMSSDTESVSSGSNSGSQDAGMGRARSSPKGMSVPARFLQDAAASRPNRLADPSTPFMTHSSGFASSPRTAPVKKSLLNGFVSSPLNRPIRQPSPSKLVGSRRMSSPSQPRGSVGVSASYGDQHGRSSSGYGLDSQVKRRWLGCSKVDCEHLLRILCNRHLQWRCVNAQADAALAAQKMTAEKYLSDAWITTLGMRKSVALKRFQLQLFRNNWKLMTVLKGQMDFLEEWSFLERDHANSLSGIVEALTATILCLPVTDGAKADIQDVKNAVGSAVDIMQTIGSSICTLLAKLSGTSILVSDLAKIATQERTLMDQSRELLSTLASMHVKYCSLQGQRVQTTTHRRRVLIAELSYAKPM >ORUFI03G36600.1 pep chromosome:OR_W1943:3:29932140:29936599:1 gene:ORUFI03G36600 transcript:ORUFI03G36600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCAARSRLLPLATAPQLLRRLLLSSAAAPRYAHPHHHHSNSRRRRRPLAPTVYAAAAAAAAEAPLPMPPRIGRATRHPGGAASVARVYADANSQRPKEYWDYESLDIEWGEQDGYEVLRKLGRGKYSEVFEGFRPGGDERCVIKILKPVKKKKIKREIKILQNLYGGPNIVKLLDVVRDDESKTPSLIFEYVNNTDFKVLYPTLLDYDIRYYIYELLKALDYCHSRGIMHRDVKPHNIMIDHEKRQLCLIDWGLAEFYHPKMEYNARVASRSYKGPELLVDLLDYDYSLDLWSLGCMFAAMIFRVDPFFNGQDNYDQLVKITEVLGTEDFYNYLEKYGLELDPQLERLVGRHNRKPWSMFVNSGNRHLASPEAIDFVDRLLRYDHQERPTAKEAMAHPYFNPVRST >ORUFI03G36610.1 pep chromosome:OR_W1943:3:29957694:29957927:1 gene:ORUFI03G36610 transcript:ORUFI03G36610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEARWGDAMAAEVREDEEKWQRLRTRHAMAAGLRGGCKGQRWLGWRTRSRKCREGARDGGGLVTSFPRPQAQPPL >ORUFI03G36620.1 pep chromosome:OR_W1943:3:29963153:29965839:1 gene:ORUFI03G36620 transcript:ORUFI03G36620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVPTTFEGAREMEVVEVREYREDRDRAAVEEVERECEVGSSGGGEAKMCLFTDLLGDPLCRIRNSPAYLMLVAETANGGGGGNGREIIGLIRGCVKTVVSGGSVQAGKDPIYSKVAYILGLRVSPRYRRKGVGKKLVGRMEEWFRQSGAEYSYMATEQDNEASVRLFTGRCGYSKFRTPSVLVHPVFGHALQPSRNAAIRKLEPREAELLYRWHFAAVEFFPADIDAVLSKELSLGTFLAVPAGTRWESVEAFMDAPPASWAVMSVWNCMDAFRLEVRGAPRLMRAAAVATRLVDRAAPWLKIPSIPNLFAPFGLYFLYGVGGAGPASPRLVRALCRHAHNMARKGGCGVVATEVSACEPVRAGVPHWARLGAEDLWCIKRLADGYNHGPLGDWTKAPPGRSIFVDPREF >ORUFI03G36640.1 pep chromosome:OR_W1943:3:29976334:29977526:1 gene:ORUFI03G36640 transcript:ORUFI03G36640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDELQQPGQVQWTPAPEEKSEIAVQFFTAPYPCQNGQLDHGEHHALGGIGACSSVHWQPDRGTCYWPPPLSGDGGGGSGSGSSGTGEGSYIGERCYYVGEPDVPIGLNLLVGDNDGAGVVLRDAAPQAKRRTQAGHGGDLGRQKKKARVSDKRNQESMQSGSCSDNESNCSQVNRRKVDRVAGGGNGKVPARRRSATIAQSLYARRRRERINGRLRILQKLVPNGTKVDISTMLEEAVHYVKFLQLQIKVEVQIVCHDQMLSSDELWMYAPIVYNGMDLGIDLNISPPR >ORUFI03G36650.1 pep chromosome:OR_W1943:3:29978604:29985329:-1 gene:ORUFI03G36650 transcript:ORUFI03G36650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWKRSVSPSPSPSSSSASASTPASPARASTSRVGGGVPSRRRDVVGFGWGGGSDPQPRLTRQRRLRHVDDIEVGVSALGLDSSPSPAAPSSCPSSRDSVGFGLLTASSTPISRTASNMEVAPPRSSSSPVLLPHPLPLPDEGDSPCRGSGRSLPSPKLFEGDCNGSAVESNLLGVSEIGSDRASLFPRVMAKTVQKNPEHGDLRSNGTNGINCGQRRKAFKEKLQDKSSAETLTFRLNIPAKSAPSSGFSSPVQSPRRLSSVDFLSTATSTQGANLSSAQSVWSPDLYGSSPRCASPEKIMGSQERSPRSSPLRSPVLRSKNPSAPPSPMHPKLFPENHVSRPEGNGSVNFHPLPLPPASVSPKQTNFSHQPVPKVDAPSMAGQWQKGKLIGSGTFGCVYEAANRHTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSEYIEDRFYIYLEYVHPGSINKYVNQHCGAMTESVIRSFTRHILKGLAFLHSQKIMHRDIKGANLLVDVNGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEVVQATLVKDVGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLHKDPSIPDSLSPEGKEFLRCCFRRNPAERPTASKLLEHPFVHNSNNFNQHSALHSPTGLKSTDTGHNARDKKSCKIVSCMRGKNMITTGETSSARSPGSLSNRVAVGLTALPNLETRSLSPTPMSLRSSPGSAAHTPSMHFSIAYHQPSPLPRPNGKEAINLLN >ORUFI03G36650.2 pep chromosome:OR_W1943:3:29978852:29985329:-1 gene:ORUFI03G36650 transcript:ORUFI03G36650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWKRSVSPSPSPSSSSASASTPASPARASTSRVGGGVPSRRRDVVGFGWGGGSDPQPRLTRQRRLRHVDDIEVGVSALGLDSSPSPAAPSSCPSSRDSVGFGLLTASSTPISRTASNMEVAPPRSSSSPVLLPHPLPLPDEGDSPCRGSGRSLPSPKLFEGDCNGSAVESNLLGVSEIGSDRASLFPRVMAKTVQKNPEHGDLRSNGTNGINCGQRRKAFKEKLQDKSSAETLTFRLNIPAKSAPSSGFSSPVQSPRRLSSVDFLSTATSTQGANLSSAQSVWSPDLYGSSPRCASPEKIMGSQERSPRSSPLRSPVLRSKNPSAPPSPMHPKLFPENHVSRPEGNGSVNFHPLPLPPASVSPKQTNFSHQPVPKVDAPSMAGQWQKGKLIGSGTFGCVYEAANRHTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSEYIEDRFYIYLEYVHPGSINKYVNQHCGAMTESVIRSFTRHILKGLAFLHSQKIMHRDIKGANLLVDVNGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEVVQATLVKDVGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLHKDPSIPDSLSPEGKEFLRCCFRRNPAERPTASKLLEHPFVHNSNNFNQHSALHSPTGLKSTDTGHNARDKKSCKIVSCMRGKNMITTGETSSARSPGSLSNRVAVGLTALPNLETRSLSPTPMSLRSSPGSAAHTPSMHFSIAYHQPSPLPRPNGKEAINLFTLKHDELPT >ORUFI03G36660.1 pep chromosome:OR_W1943:3:29988977:29996417:1 gene:ORUFI03G36660 transcript:ORUFI03G36660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSELVERLREVLRSSDLNTTTTAILRRRLEEDFGVDLSDKKLFIREQVDLLLSEVAGKAEQEEAEVPKEEEPETGAEAAGGEPGGAEGEGEEEEEEEEEEEEEEEEEDSSGSRKKRRSDGANTDGKRKGGGFTKLCSISPTLQEFVGASELARTEVVKKLWAYIRENNLQDPSNKRKILCDERLKKIFNVNSIDMFQMNKALTKHIWPLNSDGPVTSASPERSTPKEKPQKRERNEGKKQKGGSSGSGSGFLVPLQLSDDLVKFIGTGESMLSRSDVVKRMWDYIKENKLQDPSDRRKIICDEKLKDLLQVESFNGFTVSKLLAPHFTKTK >ORUFI03G36670.1 pep chromosome:OR_W1943:3:30006357:30009005:1 gene:ORUFI03G36670 transcript:ORUFI03G36670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVGEIGMGLDLSLDLKMFAARSAVRMAAAAAKEATGVEACIRSLEEERRKIEMFRRELPLCARLLADVIELMKEEAGKRRKDGDDAEAKAEDGDKTKWMSTAQLWVDSRGSDADSENDRRSGSTSPASRLLGGAEESSSRAVAPPPYFRREERVVLRPAMPLLPPASHRSPPPAAAAAATAAGDDHRHVVASSFATAVPSPVPAALSLQAQAQQQQQQARKSRRCWSPELHRQFVAALQQLGGPQVATPKQIREVMKVDGLTNDEVKSHLQKYRLHNRKSPGTASASHSIVLVGDLWASQEVSCSQSGSPQGPLQLSGSGVAVSAATAGDSCCEDDDKSEGYVRK >ORUFI03G36680.1 pep chromosome:OR_W1943:3:30015495:30021004:1 gene:ORUFI03G36680 transcript:ORUFI03G36680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGAGAPDRAALTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDRRTMELVAVKYIERGEKIDDNVQREIINHRSLKHPNIIRFKEARYFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKTADVWSCGVTLYVMVVGAYPFEDPEEPKNFRKTIQRILNVQYSIPENVDISPECRHLISRIFVGDPSLRITIPEIRSHGWFLKNLPADLMDDDSMSSQYEEPDQPMQTMDQIMQILTEATIPPACSRINHILTDGLDLDDDMDDLDSDSDIDVDSSGEIVYAM >ORUFI03G36690.1 pep chromosome:OR_W1943:3:30025387:30026436:-1 gene:ORUFI03G36690 transcript:ORUFI03G36690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLKGASALNHASLPVVLQPIVSNPSPTSSSSTSSRSSAQATQQRSSSATSSPHGQGQGGGAAEQAPLRCPRCNSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKPRPMPAPVAKPPMSCKAAPPLGLGGGPVSWASGQQAATAHLMALLNSARGVQGHGGSNVHRLLGLDTMGHLQILPGAPNGAGAGTAASLWPQSAPRPVTPPPPHMDSQLGMGTLGHHDVLSSLGLKLPSSASSSPAASYYSDQLHAVVSNAGRPQAPYDVATASLPCTTAVTSLPSALSSVSAAAPTSNTVGMDLPPVSLAAPEMQYWNGPAAMSVPWPDLPTPNGAFP >ORUFI03G36700.1 pep chromosome:OR_W1943:3:30037153:30041287:1 gene:ORUFI03G36700 transcript:ORUFI03G36700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPEWSMDDFEIGKYIGEGKFGKVYLAREKQSGYVVALKVTFKAKLDKYRFHAHLRREIEIQHGLDHPNVLRLFAWFHDAERVVLVLEYAARGELYKLLRTVRRFSERTAATYVASLAGALAYCHKKQVIHRDIKPENLLLDIEGRLKIADFGWAVRSNAKRHTLCGTIDYLAPEMIEKKAHDHAVDNWTLGILCYEFLYGSPPFEAAEQDDTLRRIVKVDLSFPSTPYVSADAKDLICKVTPCH >ORUFI03G36710.1 pep chromosome:OR_W1943:3:30041748:30047559:1 gene:ORUFI03G36710 transcript:ORUFI03G36710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPLPLLCLLLLMLLPSPSSSASFSFATASSNASLSTFSDSDPEPELAREPTFLEEVIDAVSEKYDWDPDAEVRVWPLDADAARVGAVQRYEFRARAGSASALVRLADESVEWRRPAAPAVEEVDGPDGLDVVPGDGALGFRPGVRDVDLVGPVEVRVASGGDGGSIELQLPSRNATYAGLKRVIVAAGVALKVIGAQKVIFTHPHSIGLLTNGSLLASNNDPSRIWPLSYATCAPILQVSVVGSVMIVVNESNVLGRRRSHDTVELLSEKCEVDVANRLISVCVFCSISSRLPRLDKILKTWFSNKTQDSKSMQFIQAKVTSIPLIKFRLELERDITEEDGIWENISEWKTVPMVQRVALDVVAKVEEEGRLKAMSVKKVKKPYPVVDASSWSSLTSNISFTKFMSFVLPPEPLTLDVKW >ORUFI03G36720.1 pep chromosome:OR_W1943:3:30045244:30047368:-1 gene:ORUFI03G36720 transcript:ORUFI03G36720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITMSYSGYVAQSLASSFGLRCTAAAAASSGAAPGAGARFLQDALSRPFCLFASSRHSEYHHDADDHNHPKPKPKPKAKALPAASAIAANGGGHSLLLSRSCATKAPVNDPPSLLAVGLLTVFTSGMGSATGRVGASSLSASPSISSAFNPAALLPFLQATKWLPCSDLITSAAPSRKSARPVDVAKAPTAAPAATPVSRTKPAPAPSPRPAHVPSPAVAAPSKVGVKALVGSGVINSGVINSSGASSNVGVGVKPLVGSGAINSGAAGMVRKSSPALGAAAEVSRRNWLSRWVSSCSDDAKTVFAAVTVPLLYRSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYVFREPNILDIVIFRAPPVLQALGYSSGDVFIKRIVAKGGDTVEVRDGKLLVNGVVQDEEFVLEPLNYEMDQVTVPQGYVFVLGDNRNNSFDSHNWGPLPVKNILGRSVLRYWPPSKITDTVFEHDAVHCTAGIS >ORUFI03G36730.1 pep chromosome:OR_W1943:3:30052146:30059395:-1 gene:ORUFI03G36730 transcript:ORUFI03G36730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTALRPLAQPATATATATAFSASRTAAAAGRRGSAAGVVVRAVRNYDSIPKREPFSSSRSVLDEFLRQEKPLVQRTKDQITDYCTTLEGDECCSCWDAYFELNKLEQELPKEEIARMVKDSEGDPRYLINSIHHRSDLRKKMAEKSHNSLSSNSLGQAAKPRPFPVPDGLPKTQEELAEEQEALMPESSYTRLLRRMGRFPDWSSPQDSDSSFPVWEAARQQSQQLRLYTVEGRAAGYGTKWVELHPQSQELLLHIENKMREYKHESDLLDQCSRLYDPSVSSRSFELYATQISQEIGSTSTIMDREMVSIRSLMAVVEEMMRNTDSAIRSYQKLRPNFIRRYSGTANTGFAHHAGPSGAPTYFNQPSAIVPTFDFYSGVAMRPSPFMQHTVSKFENRLEECSRMVGELEQLIQIKNDKNYSNAFESLSTVVPNVYDYLIHVATQVENLHQYAEVMRTHYRNAWRLMGDCSDPFLEADRREAAKQEATARIVHPTGVDVSVLASQPLQSSSPTGVTSSSTRAILRTPLSALPWFSIQTSPAPSPSPFSSSGSMLQPTPFGSASTLALGSTPARFASSALGGTSLFRTPPGDALVFQTYSTQSVNCDYCTILSLTMPEKCLPTLTKSVTRQ >ORUFI03G36730.2 pep chromosome:OR_W1943:3:30052146:30059395:-1 gene:ORUFI03G36730 transcript:ORUFI03G36730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTALRPLAQPATATATATAFSASRTAAAAGRRGSAAGVVVRAVRNYDSIPKREPFSSSRSVLDEFLRQEKPLVQRTKDQITDYCTTLEGDECCSCWDAYFELNKLEQELPKEEIARMVKDSEGDPRYLINSIHHRSDLRKKMAEKSHNSLSSNSLGQAAKPRPFPVPDGLPKTQEELAEEQEALMPESSYTRLLRRMGRFPDWNKMREYKHESDLLDQCSRLYDPSVSSRSFELYATQISQEIGSTSTIMDREMVSIRSLMAVVEEMMRNTDSAIRSYQKLRPNFIRRYSGTANTGFAHHAGPSGAPTYFNQPSAIVPTFDFYSGVAMRPSPFMQHTVSKFENRLEECSRMVGELEQLIQIKNDKNYSNAFESLSTVVPNVYDYLIHVATQVENLHQYAEVMRTHYRNAWRLMGDCSDPFLEADRREAAKQEATARIVHPTGVDVSVLASQPLQSSSPTGVTSSSTRAILRTPLSALPWFSIQTSPAPSPSPFSSSGSMLQPTPFGSASTLALGSTPARFASSALGGTSLFRTPPGDALVFQTYSTQSVNCDYCTILSLTMPEKCLPTLTKSVTRQ >ORUFI03G36740.1 pep chromosome:OR_W1943:3:30060398:30061527:-1 gene:ORUFI03G36740 transcript:ORUFI03G36740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPTATLLPLPLARAAPARLFFPAVSSRARGRVVRVGRHGAPEREAALSWRGAADESVNKEKHLVEHTKDRMSGTSSGELFLMELPRAELETVVKDAGGDMGHLISAIHRRAQARKTAAESSSSPGDDHSTKTKPYFPAPDELPKTAEELEGETEAAMPESTHTRLLRRMADHD >ORUFI03G36740.2 pep chromosome:OR_W1943:3:30060398:30061527:-1 gene:ORUFI03G36740 transcript:ORUFI03G36740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPTATLLPLPLARAAPARLFFPAVSSRARGRVVRVGRHGAPEREAALSWRGAADESVNKEKHLVEHTKDRMSAELETVVKDAGGDMGHLISAIHRRAQARKTAAESSSSPGDDHSTKTKPYFPAPDELPKTAEELEGETEAAMPESTHTRLLRRMADHD >ORUFI03G36750.1 pep chromosome:OR_W1943:3:30072059:30074866:1 gene:ORUFI03G36750 transcript:ORUFI03G36750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPLANCATLLKNRPPRPPAAGGAAGGSGRRLQESKLREALEEASEDGSLAKSRDAALLDDGGGGGDGGGEEGSGVGRSRSLARLNAQREFLRATAVAAERAFLSPDALPALEEALATFLSMYPKYSSAADVDRLRADEYPHLDKVCLDYCGFGLFSYLQSCNPSDSTASFTLSEITANLSNHALYGAAEKGTCEHDVKARIMEYLNIPESEYCLVFTVSRGSAFRLLAECYPFGTNKRLLTMFDHESQSVNWMAQSARDKGAKAYSAWFKWPTLKICSTELRKLISTKKRRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNHWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVMSCLQSPNGGTGTGMVRIMPVFPQYLSDSVDGFDGVLDGLEDDTIIPIEEGSASNSLHATHLPAFSGAYSSAQVREVIEDEMDQDSSDRDGASTIYEENESVSVGEVMKSPVFSEDESSENSFWVDLGQSPLGSDHSEQSSKGKLGSPLPASWFSGRKNVKKTSPKVPSKLRRSPIPDNHVVSFDAAVRSVSQELEHGKDFTEEDCSQNGIKNVVPIKVSEIEEDQDGKQNKRFVKFSCANGPAEGSSTSVFGGCTARGNGSTSEICSEAKDSAIRRENEGDFRLLGRREAHNSRFNGGRFVGVEEAERVSSMGRKVSFSMEDSRLCRNSETAETSGYAMGDEDDDEEYSDYDDIQDGRREPEIICKHLDHVNQLGLSKTTLRLRYLINWLVTSLLQLRLPDSGDGEGVPLVYIYGPKIKYERGAAVAFNIKDCSTGTSLINPETVQKLAEKEGLSLGIGFLSHIRIMDNQKQGVVDVGLSSSLCRPTSNGRREKKSSKNDIIGIEVVTASLGFLTNFEDVYRLWAFVAKFLDSSFLEQQRLSSIPEDSER >ORUFI03G36760.1 pep chromosome:OR_W1943:3:30078278:30079596:1 gene:ORUFI03G36760 transcript:ORUFI03G36760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSMATTLPSLAGAAPAARKRSGVTYVEGMNAYSGLKALNKVTLLGVRKTADYSFAKVVAKLSPAGGKSRGGAFGAQCNAAGEIFRIAVIMNGLVLVGVAVGFVLLRVEAAVEESE >ORUFI03G36770.1 pep chromosome:OR_W1943:3:30079568:30083526:-1 gene:ORUFI03G36770 transcript:ORUFI03G36770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYTSKIFALFALIALSASATTAITTMQYFPPTLAMGTMDPCRQYMMQTLGMGSSTAMFMSQPMALLQQQCCMQLQGMMPQCHCGTSCQMMQSMQQVICAGLGQQQMMKMAMQMPYMSEYDTIGTCVKAAVVYLGTALVKLVCLATLLKVPENDSFDPYQELMKIFIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEYIFQGLEANANLLLEEIIRVADSKGGWLRAVLLTGHGFHQLAAVLSLSETNVRNEFANCRRFTLLRWLLLYFHRIRMRLFSLDTIFSGGSIIELII >ORUFI03G36780.1 pep chromosome:OR_W1943:3:30086197:30086403:-1 gene:ORUFI03G36780 transcript:ORUFI03G36780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYKILALFALLALSASAATTITTMPYLQPTIAMGNMDPCRQYMMQTTGTDSYATMFMPQPIALLQQ >ORUFI03G36790.1 pep chromosome:OR_W1943:3:30088886:30089290:-1 gene:ORUFI03G36790 transcript:ORUFI03G36790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYKILVVFALLALSASAATAITTTIPYFPSTLAMGTMNPCKLYMMQTLGMGSYATMFMSQPIALLQQQCCMQLQGMIPQCHCGASCQMMQNMQNAICGGLGQQQMMMKMVMQLPYVCNMAPANFQLFPYGCC >ORUFI03G36800.1 pep chromosome:OR_W1943:3:30098601:30099212:1 gene:ORUFI03G36800 transcript:ORUFI03G36800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTATCSVSLLLLSVSLLFLPPTAGLLRPPPKVAASFALRPIVAAAVSPPPRHRLLRPPPTGRCLLRPPPTVAASFTHCPRSPPCLHHSRSGSPPPSRLHHARSGSYRAKDEDNAGVRTIGERGTTPLPNAIDKPLRPSPLISGRRRASTSPTLPQTVPATMNSGAPDPDTLNSEVADPTIPSLGAPDPAVLASATPDPFVR >ORUFI03G36810.1 pep chromosome:OR_W1943:3:30102607:30108479:1 gene:ORUFI03G36810 transcript:ORUFI03G36810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRNLPNLSLRISPPAVSSAAAPVSSGTPTTAARTTLPTGVITDAEGGGEVAAFFGNPSSGSEPPGLSLGLGPTTPAHADAGGGRPGDHSQLPAGSKRSVRAPRMRWTTALHARFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDRSSHIASGEAQLQQQAGMEVAMEAAAGGGGNGGGGGGGVVLPMMPACDDMVGICSSPAPPAAATSSAAAYFLCATTTSTATAPLAVVPSPPAPTIPTRRTDQTPALEKGVAIVDSLHRCQKHNYSPVLQDALHQGAEEDHLITGNLPMGGASAQASIEAMATTNSSSPASSSPSLASLEQLLPEDSFAPNLEISLGRQNWNMDHPEELSLKYL >ORUFI03G36820.1 pep chromosome:OR_W1943:3:30110234:30120510:1 gene:ORUFI03G36820 transcript:ORUFI03G36820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAVVEGESFSPDCSTLLMPALSIGNVGQLAVDLLVSSSRARRVAYLDEPSVLPCAGNDAFGPDAVGDLALALEAYESPSHRLTFIQQRSPIITGMVVSFAKNVANFISSIEKDHVVILSSLDSGKRRIIDASSDMQVYYLSSCNEDGSDPKCENLGWKKLEEYDPSQQRWKCLASLVEGGHLSEDMTGDPEEMTINDYYSSLPFAALFSACKAKGLKVTCVLCYCSEGDNMPESFQLAEAACKLVAQGPEQFHGNGSNGWTIPLSWKSLRELGRVQFCGCADRQAAEPDERLRTPCPQEICKSIKIPSKNYCGVKKKRTENKMTGRRRL >ORUFI03G36820.2 pep chromosome:OR_W1943:3:30110540:30111478:1 gene:ORUFI03G36820 transcript:ORUFI03G36820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNAPAVLAHRYSNTVHNNEVRDHDMAFLHLTKHSSTIRSGLIPRIKYHSTPRRFSIPPRHLRIRSPDRGSPTGGISTLIPRALLARAPTSHTMAPKPPSNQTRVAPCLWLGEFAKMGRGAAPAADAGVGQRAQSEGESCKSKVGDGVVQEITTRTRDSRILLSDSEATVCMLMDRFAPA >ORUFI03G36830.1 pep chromosome:OR_W1943:3:30118308:30119846:-1 gene:ORUFI03G36830 transcript:ORUFI03G36830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAACISFASPSPARVVIRRQTRASASASATDRQEVVSPKRRLPLRKVPGDYGPPVVGAIRDRYEYFYGPGGRDGFFAARVRAHRSTVVRLNMPPGPFVARDPRVVALLDAASFPVLFDTSLVDKTDLFTGTFMPSTDLTGGYRVLSYLDPSEPNHAPLKTLLFYLLSHRRQQVIPKFREVYGDLFGLMENDLARVGKADFGVHNDAAAFGFLCQGLLGRDPAKSALGRDGPKLITKWVLFQLSPLLSLGLPTLVEDTLLHSLRLPPALVKKDYDRLADFFRDAAKAVVDEGERLGIAREEAVHNILFALCFNSFGGMKILFPTLVKWLGRAGARVHGRLATEVRGAVRDNGGEVTMKALAEMPLVKSAVYEALRIEPPVAMQYGRAKRDMVVESHDYGYEVREGEMLFGYQPMATKDPRVFARPEEYVPDRFLGEDGARLLRHVVWSNGPETAAPTLHDKQCAGKDFVVLVARLLLVELFLRYDSFDVEVGTSTLGSSVTVTSLKKATF >ORUFI03G36840.1 pep chromosome:OR_W1943:3:30129204:30129398:-1 gene:ORUFI03G36840 transcript:ORUFI03G36840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGKLVAVAEQAHGGGGTAELASTSSAATAREHGATATVATARISWRRWRQRLVDGDSTMAG >ORUFI03G36850.1 pep chromosome:OR_W1943:3:30131639:30134180:-1 gene:ORUFI03G36850 transcript:ORUFI03G36850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQISRWAFVRLCSVPVLPLRDCGRRGGASATHIIIVSTSGLLTRANVGILGGEMAVVASRCTGLLLPDLGASLAGFRRRRSTPASSLSFRPRRARRRLGSLSCIAPPDSAEPQTDEPAAKDDSTEDKAEASSASQDAGNPTFPNKDLSRRIALASTIGAVGLFAYQRLDFGGVSLKDLAANATPYEEALSNGKPTVVEFYADWCEVCRELAPDVYKVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGRLPKQYFLDNVVALASGEPTVPHARVVGQFSSAESRKVHQVADPRSHG >ORUFI03G36860.1 pep chromosome:OR_W1943:3:30134890:30136602:-1 gene:ORUFI03G36860 transcript:ORUFI03G36860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAGAMAWPGGHTKGEKGLKGNNASSYDNLNFARILQQIVQDAEAGNLGETRHGAMDYMGSEAEVKNSQSRKVTAGPDDGDKQSDKPRLARKRACVHALHACMANANGCIDRWTE >ORUFI03G36860.2 pep chromosome:OR_W1943:3:30134890:30136602:-1 gene:ORUFI03G36860 transcript:ORUFI03G36860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAGAMAWPGGHTKGEKGLKGNNASSYDNLNFARILQQIVQECITVQKLAILARQGMEPWTIWGGPDDGDKQSDKPRLARKRACVHALHACMANANGCIDRWTE >ORUFI03G36870.1 pep chromosome:OR_W1943:3:30138130:30141694:1 gene:ORUFI03G36870 transcript:ORUFI03G36870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHLHKFPLMSRSKKLHDLITNRESRAAGGGEQDEEEEEDAGEIREEEVVLEVDEDSDVHRIRLPDFPGGAEAFEQAAKFCYGVKLDLTPATAAPLRCAAERLGMSDDHSDDNLISRADRFMSHTVLRNPRDAIRALRSCEGLLPLADDLGLVSRCVDAIAAKAAASTPTALFGWPINDAAAAAAAAAGDRPRRKNNAGAGATWFDDLAGLSLATFTRVIAAMKERNVGPEIIEGALIAYAKRSIPGLSRSGRHVGGATAAAAAAPSSDGEQKALLETVIANLPEETIKSNAHTGTAVGATTARVLFGLLRTTSILQASESARDMLERRIAARLPDAALDDLLIPSYSYLVETLYDVECVERIVRYFLEGRDADDGNDDGSELETPGREASRRAMLAVGRLMDAYLGEIAADANLKPDKFCDLAWALPDGARVYDDGLYRAVDIYLKAHPGLREEEKEKVSGVVDGRKLTLEACTHAAQNERLPLRTVVQVLFFEQLQLRRAIARTIMANEGGAAGQGEEEGDSDAGGTWRVATRGNQMLRLDMDSMRNRVQELERECTSMRKAIEKMDRRGGGSTPGERGATPAMEGRWGSMVTKRFGCKFPAQVCQSQQRTVVARPRRPRIEQSP >ORUFI03G36880.1 pep chromosome:OR_W1943:3:30140807:30141697:-1 gene:ORUFI03G36880 transcript:ORUFI03G36880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISPMLAAPPPPAAAASPRCRGFLPAAAATGSRRHRGGCRLLRRSAPPRVGAVTSTVRTRREEGRLDRDELRRLCQEPNPEAAVNLLDEMLVRGGAGALADLAPEEQAAVLQACGEARSLASLRRAHRLLSKSLPGIATPILHMISTLYCKLGARGDARRALEGASRPRGKDHEQEQEHGDEAKRREAYEKVRELHEEIRAAGYVPDTRYVLHDIDEDAKARALMYHSERLAIAFGLVSTPPGTPLRVIKNLRICGDCHNAVKLIAKVTGREIVVRDNKRFHHFKDGACSCGDYW >ORUFI03G36890.1 pep chromosome:OR_W1943:3:30142940:30145844:1 gene:ORUFI03G36890 transcript:ORUFI03G36890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFMSYLAMKTDAAGGEAAQAALIDADLQELGVAARKLANHALVLGGGLGFGTTFLKWLAFFAAVYLLILDRTNWKTNMLTALLVPYIFFTLPGGLFSLLRGEIGKWIAIIAVILRLFFPRHFPDWLELPGAVILLIAVAPNLFASTFRGDLVGIFICLIIGCYLLQEHIRASGGFRKCPTALASSCSSSTLSGPWC >ORUFI03G36900.1 pep chromosome:OR_W1943:3:30152563:30154206:1 gene:ORUFI03G36900 transcript:ORUFI03G36900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRERAGAGAVGSAGSLGLRVGQAVFSSASLLFMSVGVEFFSYTAFCFLVTIMGLVIPWSCTLAMIDVYSILVGCPLRVPGVMVIVVIGDWIEIKNFACNLFQVLAILSLAAASSSAAVIDLLLQFHGSHCSPRFCGRYQLSAMMAFLSWFLTAASSLFNLWFIASR >ORUFI03G36910.1 pep chromosome:OR_W1943:3:30161897:30162427:1 gene:ORUFI03G36910 transcript:ORUFI03G36910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICVSCDAVAEGAATARVVMPGGELREYSQPATAAMALEEAGEGWFLCDADGVGFEGRVVAVPGDEELRPGQIYFVLHPEARRRSLTREEVAALAVKASAALVKAAAAAAASSPAGGRRRRGAVAPLVFPLPEEDDTAVAAAAPKPAVARKRRVASRGVRASKFSPDLTAIPESE >ORUFI03G36920.1 pep chromosome:OR_W1943:3:30163432:30167000:-1 gene:ORUFI03G36920 transcript:ORUFI03G36920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAMEKKGHRAFAKAIKSFGSSERHKRSKSDLEDMCAKDALYASDKTCVQPKPDAVKVKVKSDINAEVQPGRGAQSFLRKEILQLEKHLKDQQVMRGALEKALGPNATAAPVNVSNENPMPKDAKELIREIATLELEVKNMEQYLLTLYRKAFEQQVPTFSPPDHRGAPKPPVPAMAAVSSQPVQLQKSPSVKASRKNNRADAMLRSSYPPPSRRTLNDPVMTDCSTSGCSSRLGESDVLRCQSAVSYRGICSSRISPSEDSLARALRSCHSQPFSFLEEGESTAAGVISLAEYLGTNVADHIPETPNNLSEEMVRCMAGVYCKLADPPLVHHGSSSSPTSSFSSTSAISPQYLGDIWSPNYKRESTLDSRLINPFHVEGLKEFSGPYNTMVEVPLICRDSRRLKEVEDLLQTYKLILYRLETVDLRRMTNEEKIAFWVNIHNAQLMHAYLKYGVPQNNLKKTSLLVKAACKIAGRSINVAVIQSMVLGCNTYCPGQWLRTLLHPRIKSKVGKVGHVWQAFAVAQSEPLLRFALCSGSHSDPAVRVYTPKRLFHQLEAAKEEFIRATVGIWKEQKILLPKLVEAYAKDVKLSSQGLVDMVQRYLPESLRMAMQKCQQSRSSKIIEWVPHNLNFRYLLSRDLAFPHLN >ORUFI03G36930.1 pep chromosome:OR_W1943:3:30169962:30170686:-1 gene:ORUFI03G36930 transcript:ORUFI03G36930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPMAGGEQRRWSELESGGGGGGGGRSRGRERRRWSEPRRRWLEQESGGMGRRRWPEPES >ORUFI03G36940.1 pep chromosome:OR_W1943:3:30176842:30180506:-1 gene:ORUFI03G36940 transcript:ORUFI03G36940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSSSLIHGISISVSDDEEASGRVRVRVRRKRHRHPASAARRRLLRRAARLCAPLLLATLAVSLLLYESYRLSPSSPTPPPAANLTRVDHTGAVRAADGARKPCLKILGPEKLQNLELPEVPEQNLSVQKVVYKSSLLHLGDDDSSRTEISRFNSFTGYQTLNEREESFKMKELTTLHCGFYNENGGFKVSDVDKDYMRSCSVVVATCAFGGGDDLHQPIGMTEVSIKKVCYVAFWDEVTRAAQEEEGNKIGENLMIGLWRIILVRDLPFSDQRLNGKIPKLISHRLFPMARYSIWVDSKSQFRRDPLGVLEALLWRSNSSLALSEHGARSSLYDEGKAIVKKHKATPEEVKIQLDQYRQDGIPDEKRFNGKKALAEASVIVRDHAPLTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLRMPGVHLFPVCARKDLVNSFGHRRKAKPLARERR >ORUFI03G36950.1 pep chromosome:OR_W1943:3:30181749:30183282:-1 gene:ORUFI03G36950 transcript:ORUFI03G36950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLTTAFSHLSLPSTSKFHPLPLLHLRFPSSSSSRRAARLALAASAAEAAEPVEVEEAPAEDGADEVVAVEDELSGPALRKYVKQRLPGGFAAQRITATGRRKTAIARVVLQEGTGRVFINFRDAKEYLQGNPMWMEYCKVPLVTLGFENSYDVFVKVHGGGLSGQAQAICLGVARALVKISTANKVTLRGEGLLTRDTRIVERKKAGLKKARKRPQFSKR >ORUFI03G36960.1 pep chromosome:OR_W1943:3:30185418:30186029:1 gene:ORUFI03G36960 transcript:ORUFI03G36960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAWQRRSEGRYTTSTPPTTTPCPPTKKLTMVTAMTMAGMPNPHPHQRANVDGRDARRGGGEVVEVEDSAVLVDEAAAAAAASSRSGGWRLPLPATAIRHHKGGGEVAEVASWQRRLALQLASSCCRLSTASLSASFAGKARQQLLLPLHRIPLRLRHWRGHGAAPSLPSPARPWGRFEILAAIVDRGEVTSEMSSLTAAR >ORUFI03G36970.1 pep chromosome:OR_W1943:3:30189863:30194010:1 gene:ORUFI03G36970 transcript:ORUFI03G36970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFKVGSLDARATKIRNVPIAVTPEGFWCCPSQAVLQKTMKNQNQQAKPKGGASPLASKASSVQRAPTISSERRAHSTPTRSRINSDEQKCAPAENSTPNPPKVANDRPQKQHKISVGFGQLEMSDLKVVLYGKDGVAVKMSVHRNILAENSTWFADKLSRQSPMSSMEVPDCEDVEIYVETVGLMYCNDAKQRLIKQSVPRVLRILKVAELLGFQACVLSCLEYLEAVPWVGEEEENVVSSVQHLQSGNYGVSPILKRVCSDLTSPPNDTFVHIIELVLKSGEDRGRREMKSLVLKLLKENSSCTSTSVDIYAETLYSSCQNCLESLLTLFRQATADDFAEQSLDLKEPVFRQIALEADNLLWLTEILADRNAAGEFAVMWSNQGELAELHSKLPTKSRHLVSCVTARLFVAIGKGDMLPSKDTRKLLLDVWLQPLMDDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDSCPNLQKAFEVWWKRTFVRPYVEQGNQSQPGRS >ORUFI03G36970.2 pep chromosome:OR_W1943:3:30190327:30194010:1 gene:ORUFI03G36970 transcript:ORUFI03G36970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFKVGSLDARATKIRNVPIAVTPEGFWCCPSQAVLQKTMKNQNQQAKPKGGASPLASKASSVQRAPTISSERRAHSTPTRSRINSDEQKCAPAENSTPNPPKVANDRPQKQHKISVGFGQLEMSDLKVVLYGKDGVAVKMSVHRNILAENSTWFADKLSRQSPMSSMEVPDCEDVEIYVETVGLMYCNDAKQRLIKQSVPRVLRILKVAELLGFQACVLSCLEYLEAVPWVGEEEENVVSSVQHLQSGNYGVSPILKRVCSDLTSPPNDTFVHIIELVLKSGEDRGRREMKSLVLKLLKENSSCTSTSVDIYAETLYSSCQNCLESLLTLFRQATADDFAEQSLDLKEPVFRQIALEADNLLWLTEILADRNAAGEFAVMWSNQGELAELHSKLPTKSRHLVSCVTARLFVAIGKGDMLPSKDTRKLLLDVWLQPLMDDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDSCPNLQKAFEVWWKRTFVRPYVEQGNQSQPGRS >ORUFI03G36970.3 pep chromosome:OR_W1943:3:30190466:30194010:1 gene:ORUFI03G36970 transcript:ORUFI03G36970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFKVGSLDARATKIRNVPIAVTPEGFWCCPSQAVLQKTMKNQNQQAKPKGGASPLASKASSVQRAPTISSERRAHSTPTRSRINSDEQKCAPAENSTPNPPKVANDRPQKQHKISVGFGQLEMSDLKVVLYGKDGVAVKMSVHRNILAENSTWFADKLSRQSPMSSMEVPDCEDVEIYVETVGLMYCNDAKQRLIKQSVPRVLRILKVAELLGFQACVLSCLEYLEAVPWVGEEEENVVSSVQHLQSGNYGVSPILKRVCSDLTSPPNDTFVHIIELVLKSGEDRGRREMKSLVLKLLKENSSCTSTSVDIYAETLYSSCQNCLESLLTLFRQATADDFAEQSLDLKEPVFRQIALEADNLLWLTEILADRNAAGEFAVMWSNQGELAELHSKLPTKSRHLVSCVTARLFVAIGKGDMLPSKDTRKLLLDVWLQPLMDDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDSCPNLQKAFEVWWKRTFVRPYVEQGNQSQPGRS >ORUFI03G36980.1 pep chromosome:OR_W1943:3:30194864:30195421:-1 gene:ORUFI03G36980 transcript:ORUFI03G36980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASVPKPAKRLSRKRSFRLGLPLLCGQSDVASPRGGGGAAAAARSSSSGRRQGELHRIFQHFDRDNDGKISGAELSAFFASMGDEMPAPSPGGGGAAAGYMLDFAGFVALMERGDSQEEDLRSAFEVFNAVESAGRITARGLQRVLAQLGDERSVADCEAMIRAYDVDGDGGLDFHEFQRMMS >ORUFI03G36990.1 pep chromosome:OR_W1943:3:30196970:30199362:-1 gene:ORUFI03G36990 transcript:ORUFI03G36990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTCYLLLNPAASRSHHRPRLPLPAAAPPRRLVHVSCDARRTGGGGGGGGVKREAIPAGTGKAKKQVVFFDAAPPVSQRGGGGGGEGEGEGEGKVARRKKENAALGLVRRLTKRTLSLLSNLPLAISEMFAIAALMALGTVIDQGEAPSYYFEKFPEDNPVFGFITWRWILTPGFDHMFSSPVFLGLLALLAASLMACTYTTQIPIVKVARRWSFMHSAGSIRKQEFAESLPRASIQDLGVILMGYGYEVFTKGPSLYAFKGLAGRFAPIGVHIAMIFIMAGATLSATGSFKGSVDVPQGLNFVIGDVMKPKGVLSFAPDVFNTEVHVNRFYMEYYDSGEVSQFYSDLSLFDLDGKEVMRKTIKVNDPLRYGGVTIYQTDWGFSALQVKKNGEGPFNLAMAPLKLNGDKKLFGTLLPLENSGSSNVKGISMLARDLQSIVLYDQEGKFVGVRRPSSKLPIEIDGNEIVIEDAIGSTGLDLKTDPGIPIVYAGFGALMLTTCISYLSHSQIWALQDGSTVVIGGKTNRAKLEFSEEMNRLLDKVPELISVNEKKIDSKQSAT >ORUFI03G36990.2 pep chromosome:OR_W1943:3:30196970:30199362:-1 gene:ORUFI03G36990 transcript:ORUFI03G36990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTCYLLLNPAASRSHHRPRLPLPAAAPPRRLVHVSCDARRTGGGGGGGGVKREAIPAGTGKAKKQVVFFDAAPPVSQRGGGGGGEGEGEGEGKVARRKKENAALGLVRRLTKRTLSLLSNLPLAISEMFAIAALMALGTVIDQGEAPSYYFEKFPEDNPVFGFITWRWILTPGFDHMFSSPVFLGLLALLAASLMACTYTTQIPIVKVARRWSFMHSAGSIRKQEFAESLPRASIQDLGVILMGYGYEVFTKGPSLYAFKGLAGRFAPIGVHIAMIFIMAGATLSATGSFKGSVDVPQGLNFVIGDVMKPKGVLSFAPDVFNTEVHVNRFYMEYYDSGEVSQFYSDLSLFDLDGKEVMRKTIKVNDPLRYGGVTIYQTDWGFSALQVKKNGEGPFNLAMAPLKLNGDKKLFGTLLPLENSGSSNVKGISMLARDLQSIVLYDQEGKFVGVRRPSSKLPIEIDGNEIVIEDAIGSTGLDLKTDPGIPIVYAGFGALMLTTCISYLSHSQIWALQDGSTVVIGGKTNRAKLEFSEEMNRLLDKVPELISVNEKKIDSKQSAT >ORUFI03G36990.3 pep chromosome:OR_W1943:3:30196970:30198816:-1 gene:ORUFI03G36990 transcript:ORUFI03G36990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSPVFLGLLALLAASLMACTYTTQIPIVKVARRWSFMHSAGSIRKQEFAESLPRASIQDLGVILMGYGYEVFTKGPSLYAFKGLAGRFAPIGVHIAMIFIMAGATLSATGSFKGSVDVPQGLNFVIGDVMKPKGVLSFAPDVFNTEVHVNRFYMEYYDSGEVSQFYSDLSLFDLDGKEVMRKTIKVNDPLRYGGVTIYQTDWGFSALQVKKNGEGPFNLAMAPLKLNGDKKLFGTLLPLENSGSSNVKGISMLARDLQSIVLYDQEGKFVGVRRPSSKLPIEIDGNEIVIEDAIGSTGLDLKTDPGIPIVYAGFGALMLTTCISYLSHSQIWALQDGSTVVIGGKTNRAKLEFSEEMNRLLDKVPELISVNEKKIDSKQSAT >ORUFI03G37000.1 pep chromosome:OR_W1943:3:30203223:30208745:1 gene:ORUFI03G37000 transcript:ORUFI03G37000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYKRQPYPPPVRALRLQPNSIVFNPTQPKHHHEQGEEQAELAVEGAGEGAGEGAGHVRAGDDGVRALRAGGRRVRLPGVRAVLRRRLDEEQQLRVGLAVRRRRRRRPPGADPRGVAEARRGAGAGGAGRGQEPEHGVGDLHGADRRGRAVRGVRRRRRDALPQEPELRRRRRREDRSLSQEGGGLGLSCCPPVVLATVFIAINGLLWRTAVRLPPSPRCLSVLSSAASQPFPSQLSTSPLYVEHHDRRRRGRRIP >ORUFI03G37000.2 pep chromosome:OR_W1943:3:30203265:30208745:1 gene:ORUFI03G37000 transcript:ORUFI03G37000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRSRLSWLWRAPARALGRARDMYVRGMTGCARCVPADAAFGYPVFVPSSAAASMRSNSFGSDSRFGGGADDDLRELIRAASQRRAAEQEREARAVARSQSMASGISMARIDEDAPCEEFGDAGVMHYPRSQSCVGGVGGRIAHCHRKVAALA >ORUFI03G37010.1 pep chromosome:OR_W1943:3:30212025:30213128:1 gene:ORUFI03G37010 transcript:ORUFI03G37010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRLVAFSHRRRRWILLAAGGAAAVFGAYKIYHHPAVAARRRRLARLAGAVAAFLDAAAVSADAAALVASDLSDFVRSGSDELPRSVTQLAKLAASPEVSATVSAISEAITAGILRGVGSDSGPGSGGGVALSDRLVDRLFSESGERLAAAIAGSFARHLVAAIYSASSTPGETSSPMKWVNLIATGKGQKAISNWVEVFVSTAVGVFVDKTIHINTYDQLFQGLTNASHDAKVKELLVSVCNGAVETMVKATHHVMSNANYKSVGSGSNGAGEGWVETVSSTLAVPSNRKFVLDVTGRVTFETVRSFLEFALWKMHAGAKKGGNTVMDSGLRAMQYMTDKSMVIATICITLCLHVLNGTRLLVTA >ORUFI03G37020.1 pep chromosome:OR_W1943:3:30221329:30221865:1 gene:ORUFI03G37020 transcript:ORUFI03G37020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVQEALDFDLDAMTSGFGFTPWAADTCPTLEQLMASSASPTPSSSLDDNAAAAEEENGEVEEEEERRRQRRKVSNRLSARRSRARKQQRLEELRGESARLRAENRELAARLHAVARHGLAARCQNARLRAEAAALARRLLALQRLPRGRHMMITASPPPPPPTAPGAAAVLASLMA >ORUFI03G37030.1 pep chromosome:OR_W1943:3:30223709:30231037:1 gene:ORUFI03G37030 transcript:ORUFI03G37030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEERKLFVAGLPQQTREGDLRGHFARYGEVVHTRVVLDMASGNSRGFGFVEFADEAATLRALADDEMPNHVFRGRKILLNRFHNLNGRDVEVKIAVPKSPTYSEAKYYHMRMDMSLSPITYYDGMVHVHPYTPYTFGCVTPLAHLTHSGYGYGGPIDYSCYAYGGPIGHQHDLIIAKLLPSTSIPQIPQRNDLLTVRLVPTPTRNGVVSAKPAAERGGGCEAVSVQQERGGAEECEVARPRDVLEAPVPYFLCHQHNPLRRRLGDRAEASQDDDASCSFLPWLRLGRYETVTDEDVGSGGGDPAGGRPLRPLAEEATTQRADSGGSESIQGKRDRGRRHGPH >ORUFI03G37030.2 pep chromosome:OR_W1943:3:30223709:30231037:1 gene:ORUFI03G37030 transcript:ORUFI03G37030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEERKLFVAGLPQQTREGDLRGHFARYGEVVHTRVVLDMASGNSRGFGFVEFADEAATLRALADDEMPNHVFRGRKVMVMEDLLIIHVMLMEDQLDISMILWVLTIMLKIIAKLLPSTSIPQIPQRNDLLTVRLVPTPTRNGVVSAKPAAERGGGCEAVSVQQERGGAEECEVARPRDVLEAPVPYFLCHQHNPLRRRLGDRAEASQDDDASCSFLPWLRLGRYETVTDEDVGSGGGDPAGGRPLRPLAEEATTQRADSGGSESIQGKRDRGRRHGPH >ORUFI03G37040.1 pep chromosome:OR_W1943:3:30233961:30235269:-1 gene:ORUFI03G37040 transcript:ORUFI03G37040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIEFRQRVQARGDSRYSRRVGREGASGRGMHLRDDTVGREEPHQPGMSPGQGRCRRTKTTSGGSRAFLTVDGGCAVEPLRLGARKGAIFSVPAGDALTRAACRDVCQNMHTPVHDESMKPMEIASETAACNAHRRRFYVCIVCPGLASCGEVVW >ORUFI03G37050.1 pep chromosome:OR_W1943:3:30257555:30261311:1 gene:ORUFI03G37050 transcript:ORUFI03G37050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNSSSSSGSMAATAGGVGGWLGFSLSPHMATYCAGGVDDVGHHHHHHVHQHQQQHGGGLFYNPAAVASSFYYGGGHDAVVTSAAGGGSYYGAGFSSMPLKSDGSLCIMEALRGGDQEQQGVVVSASPKLEDFLGAGPAMALSLDNSAFYYGGHGHHQGHAQDGGAVGGDPHHGGGGFLQCAVIPGAGAGHDAALVHDQSAAAVAAGWAAMHGGGYDIANAAADDVCAAGPIIPTGGHLHPLTLSMSSAGSQSSCVTVQAAAAGEPYMAMDAVSKKRGGADRAGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLEDYQEELEEMKNMSRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKILESSTLLPGELARRKGKVGDGGGAAAVADAAAALVQAGNVAEWKMATAAALPAAARTEQQQQHGHGGHQHHDLLPSDAFSVLQDIVSTVDAAGAPPRAPHMSMAATSLGNSREQSPDRGVGGGGGGGVLATLFAKPAAASKLYSPVPLNTWASPSPAVSSVPARAGVSIAHLPMFAAWTDA >ORUFI03G37060.1 pep chromosome:OR_W1943:3:30266489:30269663:-1 gene:ORUFI03G37060 transcript:ORUFI03G37060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSGLWGGKLAAAAGGGGGDHYRGTPVVVKMENPNWSISEISSPDDDDDEEFLVGGRRKGGRGKNAKQITWVLLLKAHRAAGCLASLASAAVALGAAARRRVAAGRTDADASAAAAAGESPVLRSRFYAFIRAFVVLSVLLLIVELGAYINGWDDLAASALALPVIGVESLYASWLRFRATYVAPFIQFLTDACVVLFLIQSADRLIQCLGCFYIHLKRIKPNPKSPALPDAEDPDAAYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNFLVQVLDDSDDPTTQTLIREEVLKWQQNGARIVYRHRVLRDGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPNPDFLKRTVPHFKDNDELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALDDSGGWMERTTVEDMDIAVRAHLRGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKCKIVFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSLLNILPSPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGNAYEWVVTKKSGRSSEGDLISLAPKELKHQKTESAPNLDAIAKEQSAPRKDVKKKHNRIYKKELALSLLLLTAAARSLLSKQGIHFYFLLFQGISFLLVGLDLIGEQIE >ORUFI03G37070.1 pep chromosome:OR_W1943:3:30278103:30280436:-1 gene:ORUFI03G37070 transcript:ORUFI03G37070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEIPSSKRDMARGAEDDGEEEEEEEYDRVFYEDIEAPKFVDLTAPDAARPSDDPSWFCLRIGCDQNHEHVDPEALHRSFFMRVMAARSPNVRLQKAIRRRNQSSMLKCPHSAPPKPPRSRIARLSTATEAAAKPRLKTHRICTLRASPTRTKAAKVEASGARKKALTTPRSKPVRPRQDPFLSVKHQKQEPVAAAARKGTVAKALFMATPKKDASKTPAKAQAAPPLSEVCSKMRKLNLACREVPSRYLCQSSNPKSSKKCDQTAVKSVKAAQNSRPDERKKKKILGCSLQHASSEAGRENRNGRENTAADENACPEAASSSEEPKEVMQESRIEVETSQADNCDDDKENLSYVDQPTEQMVIISHSDGENLQQLENNENVPRKVAKMQSKLNAEQGGKLKKTTNPKPFRLRTDERGVLKEANPERHQTLTENNSTAAVQQIGRCRDGKGRDKPTCGEKQKKQIRNVATGQVDEAKRVLNSIRCNKVKPAMTNGKTVGKSQGASRVASSTRSTKITSGSMAPSKVGKEKSTSVKLSRLQAAAA >ORUFI03G37080.1 pep chromosome:OR_W1943:3:30282141:30305472:1 gene:ORUFI03G37080 transcript:ORUFI03G37080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFASLAGGGSSSTTARLPELISPENPDHISPPPLLYQLLAGPESSARQHGHDGHHHGGGGGAAAAAAVQGQVSPAGAEAAVKAEIMSHPQYSALLAAYLGCKKVGAPPDVLTKLTAVPAAQQLDEADGHPRRRHEPQRDDDPDQLDQFMDAYCSMLTRYREELERPIQEAAEFFSRVETQLDSLAESNCEGTGSSEEEQDQDTSCPEEIDPSDKQLKHQLLRKYGGSLGDLRQVFSKRTKKGKLPKEARQKLLHWWELHYKWPYPSVRTHHIPFDQFNHIFCTKLTRLKMREIYGVVYEQEMEKMTLAQTTGLDQKQINNWFINQRKRHWKPTPVAGTAFPTMEAAGGGFRHSGHGGGLAAAAALPLYMGRPFVVDGMYRLGS >ORUFI03G37090.1 pep chromosome:OR_W1943:3:30288519:30289777:-1 gene:ORUFI03G37090 transcript:ORUFI03G37090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKSYIEQNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDRIILSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLFGKQSRKDQRQQQHLARQAAAAASDLQIKQEASRGANEADGLAAGANYTWHHHHAMAVPVHPMSAPMVVEGGRVGDDVDESIRKLLFKLGGNPFAASPAPPCIPPPPMYEEAPSFVPPLAHGVPLNEGGMQCSSVLPALELDESFHFNHVKLDGLECLFGMGDHQNMRWNEVSPLVCPNNAVASSSQGMQQYCLVEEPADLGMQ >ORUFI03G37100.1 pep chromosome:OR_W1943:3:30309039:30309509:-1 gene:ORUFI03G37100 transcript:ORUFI03G37100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVPLLGDAFLSAPCQLFVGSRCVGGLGRSWTRLLHLRFPLLPSTSSLGGGRRRWGGTRGGCEREKEATVTATTAPPSPPLEAPVLATEDHEDDVVVVDAAVATSSALASSARRRERVEKAVAAVAAGEGDEGDGAGARGREREGKDGDGAERRE >ORUFI03G37110.1 pep chromosome:OR_W1943:3:30312116:30314829:1 gene:ORUFI03G37110 transcript:ORUFI03G37110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVWVDYDGATTQISVFMAPLKMSKLAFEATGFSSLAALGGTGIRVVGGAPNYDLPALAHGGTASTAAWIQAYPMMLFRFVIVGNEVAGADTQLLVPAMENVHAALAVAGLGHIKVTTSISQATIGIHIPPSASEFTDEAKSSFLSYVIPFLEWTHAPLLANLYPYFIYSYNPGGMDISFALFTASERAAAGGGGVRARGGRRSGVERRRARSGWPQAGERAAG >ORUFI03G37120.1 pep chromosome:OR_W1943:3:30320683:30321343:1 gene:ORUFI03G37120 transcript:ORUFI03G37120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFAGLAGGGSSSTTARLPELIPPENPDRISPPPLLYQLLAGSASSARHGHGHHHGGGGGAAAAAVQGLQVSPAGAEAAMKAEIMSHPQCSALLAAYLGCKKVGAPPDVLTKLTAVPAAQQQLDAADGHPRRRHEPRRDDDVPDHQLDQFMVST >ORUFI03G37130.1 pep chromosome:OR_W1943:3:30335637:30337673:1 gene:ORUFI03G37130 transcript:ORUFI03G37130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNCEGTGSSEEEQDTSCPEAEEIDPSDKQLKHQLLMKYGGSLGDLRQAFSKRTKKGKLPKEARLKLLHWWELHYDKWPYPSVRTHIYASHLINSTTFFCTKLDLMKMRELIGCTCVYEQEMEKMTLAQTTGLDQKQISNWFINQRKRHWKPTPVAGMTFPTVEAAGGGFRHSGHGGGLAAAAALPLYMGSWPFVVDGMYRLGS >ORUFI03G37140.1 pep chromosome:OR_W1943:3:30353689:30355722:1 gene:ORUFI03G37140 transcript:ORUFI03G37140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKGVGSLLPGLAVVLVGFVVGGAGSDDRFVYAGFTGAPLALDGTAAITASGLLELTNGTAQLKAHAVHPAALRFHGGGGGAGAVRSFSTSFVFGIIPPYSDLSGHGIVFFVGKNNFTAALPSQYLGLLNNTNNGNTTNHIFGVELDTIVSSEFQDPNDNHVGIDINSLKSVAVNTAGYYDDKTGAFHDLSLISGKAMQVWVDYDGATTQISVFMAPLKMSKPTRPLVSAVYNLSQVLVDPVYVGFSSATGTVRSLHYVLGWSFAMDGPAPAIDIAMLPKLPFYGTKARSKVLDIVLPIATAVFVLGVVVVVVLLVRRRLKYAELREDWEVEFGPHRFTYKDLFRATEGFKAKMLLGIGGFGRVYKGVLPKSNMEVAVKKVSHESRQGMKEFIAEVVSIGRLRHRNLVQLLGYCRRKGELILVYDYMPNGSLDKYLYDDKNKPTLDWTQRFRIIKGVASGLLYIHEDWEQVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPELARSGKASPLTDVFAFGAFLLEVTCGRRPVEQAMQDNQLMLVDWVLEHWQKGSLTKVIDARLHGNYNIDEAILVLKLGLLCSHPLPGARPSMRQVVQYLEGDMPFPELTPTHLSFSMLALMQNEGFDSFIMSTSLPSETMMTIGTMSGLSGGR >ORUFI03G37150.1 pep chromosome:OR_W1943:3:30357323:30357709:-1 gene:ORUFI03G37150 transcript:ORUFI03G37150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVRNNCGIMITEWAPTWACLEGVRIATEWVHMPMIIESDNGNVVADLNTTSASRAEWGGIISEVQVHNIKRDSNRIAHALAQMALRSGIDAEWKLSALAEILDLLNQECNPMFNH >ORUFI03G37160.1 pep chromosome:OR_W1943:3:30358449:30360486:-1 gene:ORUFI03G37160 transcript:ORUFI03G37160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVATLLLLLLLDLAVANGAVDEFAYNGFAGAGDGELVLDGAASVTPDGLLRLTGGSGEKGHAFYARPLGFRNGSGGGGGGVRSFTSTFVFGIMSSFTVLAGHGIAFAVSSTRDFSGAAAAEYLGLFNRATNGDPASGRVLAVELDTMYTPEFRDIDDNHVGVDVWVEYDAGDARLDVTLHQLTKPKPARPLLSVKPANLSAAFSDQMYVGFSSSTGSDDTSHYVLGWSFSLSGIAQDLDYAKLPSLPPVTATAASTKHMPVKIWLPVSLSVTVVAAIVMFLLFRRQRRAIYVELVEDWEVEFGPHRFAYKDLHKATKGFHDDMVLGVGGFGKVYKGVMPGSGIDVAIKKICHDSKQGMSEFIAEIVSLSRLRHRNIVQLLGYCRRKGELLLVYDYMINGSLDKYLYGEGKPILNWAQRINIIKGAASSLLYLHEEWEQVVIHRDIKASNVLLDSNMNGRLGDFGVARLYDHGAEPSTTTIVGTMGYLDPELTRTGQATTSSDVFAFGAFVLEVVCGRRPVQPRAAAGGERLVLVDWVLRSWWSGEIAGAVDARLGGGFAAGEAEAMLKLALLCTHRLPAARPGMRRVVQWLDGGGGDVLDQLSPGHMDVAAPAFLCHDDDDDDDFVAMSFPSASTATSPTTRFTG >ORUFI03G37170.1 pep chromosome:OR_W1943:3:30362883:30364841:1 gene:ORUFI03G37170 transcript:ORUFI03G37170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAARSGLRSLAARAKATAAPAARRRMSSSAHDDAHETAKWEKITYAGIVTCTLLAAYNLSKGHPHFDEPPAYPYLHIRNKEFPWENAVIITTSFVIIIFLRHFCALALYGMKPVPKIDAYLCL >ORUFI03G37180.1 pep chromosome:OR_W1943:3:30365152:30365874:-1 gene:ORUFI03G37180 transcript:ORUFI03G37180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQPSSRCHRSKKLLRPKHILQVVLLVAVSVWLVYQLTRNRRRAVAVEGGGAAMDGEVTRRRLGRKGFIVFAGDASDGDGVRRSIGGRSNVATEAEMERGVTSDQVGDGDRGGEGDVETGEEEEEEDDDGDGYIADDGLPGDEDDDGGDLRHLQADEMDVISFGPHTNSSDSIAAGPLVNGVADDMNRTAVINTSVNDSGVSLNPPVTGSLRYNHRKATGNIEALGGLEPTITNDMEED >ORUFI03G37190.1 pep chromosome:OR_W1943:3:30371422:30372376:1 gene:ORUFI03G37190 transcript:ORUFI03G37190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQKNISRRKNTRLKHSSQSTYWWYGKYVVVAAISARASPAALSAARIVFSAINRSNRTQQHHAGLDETPYPSIWP >ORUFI03G37200.1 pep chromosome:OR_W1943:3:30373679:30375013:-1 gene:ORUFI03G37200 transcript:ORUFI03G37200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALRMPVAPPAAGAGAGVHPSTAPCYCKIRLGRMPWQVAAAPLVVADGGEQAPSGALAAAFHLSKADLEWFARKPSLLFSSSSSSRGPATLKVAVYAGRKGTTCGVSSGRLIGKATIPVDLKGAEAKAAVVHSGWICVGKKSGGKGGSAAAELSLTVRAEPDPRFVFEFDGEPECSPQVLQVRGSMKQPMFTCKFGCRSNSDLRRSVVQTERDAAAAAGKERKGWSVTVHDLSGSPVALASMVTPFVASPGTDRVSRSNPGAWLILRPAGDGSWEPWGRLECWRERGGAGASDSLGYRFDLLLPGVDHAVPLAESSIAASKGGKFAIDLTSMQPQSRGGTPGCSPRGSGDFSQWPLASYSYRGFVMSSSVQGEGRCSKPTVEVGVPHVGCAEDAAAFVALAAAVDLSMDACRLFSHKLRKELSHLRSDVLR >ORUFI03G37210.1 pep chromosome:OR_W1943:3:30380535:30388560:-1 gene:ORUFI03G37210 transcript:ORUFI03G37210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKADNLAGYNRQRPFGQRRAELQASHSIARAELEPNNPQELQSPDSALSLFRLARSSSARSPPFGPKFPSAAHRHPLHLTSSGGEHALASSPSQSQPPRAGLDPEATPPASIASRFESKDQEKLGVQLSSMPQVRPASAEKPTRQWAAWTRQEEQSFFNALRLEGKNFEKITLRVQSKNKDQVRHYYYRLVRRMKKLLGPEFSLDAKNSKDTVAAMLRWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKTRKKCPRGDECLSSSSPILNRTAGNESSSVKLLPVDVSNGSKVASSKGAVFKRGAEPNSNNKSGSTKWDLSATITVKQKRRAGGGIASTAYKKWERDAMAGVSLVADAAEELERNTVNVDARTLSPSSNNVCTVDDPGTNRMNEADQQAPAKLKLQLFPINEATRKALEKDEHNPHLELTVSARKKISSVLEHLNRKWGSSNIASGELLLFPYCAHREDLATYQRWTTRDTVVVADVFLSVNSPPVFRLRYGWFSLAELEGGSGISSTHFKNCMMPEDIHAKSPSDACVQKDGTFLNSCAPEEHLCNSKDRPALFLAMTFSTGKSAKGQEQHSDFPASWFSRQKQEKDSTNQVLEANLGMDCAIISEGEWADTLTDISVGYLLTEASIGTNTDCPGTAIAKNTLFLENPCSYDSFDAAVALHASRHKTVEQPALASHSTIWGAEETCDEFSFNLAASRKQESSNTSASSCPGSDSGVLPSTSQGFQGFLQDLAGAKVADNPCMDDAKDMEALCANSPPRSKSDSGLKDQSLADLYWADSLGPLDLEIPSVRYQAEDLLLGDSQNSWNRMMVNSLDAFRNLSFFMADKNDSIPSIM >ORUFI03G37210.2 pep chromosome:OR_W1943:3:30380535:30388560:-1 gene:ORUFI03G37210 transcript:ORUFI03G37210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKADNLAGYNRQRPFGQRLQSPDSALSLFRLARSSSARSPPFGPKFPSAAHRHPLHLTSSGGEHALASSPSQSQPPRAGLDPEATPPASIASRFESKDQEKLGVQLSSMPQVRPASAEKPTRQWAAWTRQEEQSFFNALRLEGKNFEKITLRVQSKNKDQVRHYYYRLVRRMKKLLGPEFSLDAKNSKDTVAAMLRWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKTRKKCPRGDECLSSSSPILNRTAGNESSSVKLLPVDVSNGSKVASSKGAVFKRGAEPNSNNKSGSTKWDLSATITVKQKRRAGGGIASTAYKKWERDAMAGVSLVADAAEELERNTVNVDARTLSPSSNNVCTVDDPGTNRMNEADQQAPAKLKLQLFPINEATRKALEKDEHNPHLELTVSARKKISSVLEHLNRKWGSSNIASGELLLFPYCAHREDLATYQRWTTRDTVVVADVFLSVNSPPVFRLRYGWFSLAELEGGSGISSTHFKNCMMPEDIHAKSPSDACVQKDGTFLNSCAPEEHLCNSKDRPALFLAMTFSTGKSAKGQEQHSDFPASWFSRQKQEKDSTNQVLEANLGMDCAIISEGEWADTLTDISVGYLLTEASIGTNTDCPGTAIAKNTLFLENPCSYDSFDAAVALHASRHKTVEQPALASHSTIWGAEETCDEFSFNLAASRKQESSNTSASSCPGSDSGVLPSTSQGFQGFLQDLAGAKVADNPCMDDAKDMEALCANSPPRSKSDSGLKDQSLADLYWADSLGPLDLEIPSVRYQAEDLLLGDSQNSWNRMMVNSLDAFRNLSFFMADKNDSIPSIM >ORUFI03G37210.3 pep chromosome:OR_W1943:3:30380535:30388560:-1 gene:ORUFI03G37210 transcript:ORUFI03G37210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKADNLAGYNRQRPFGQRLQSPDSALSLFRLARSSSARSPPFGPKFPSAAHRHPLHLTSSGGEHALASSPSQSQPPRAGLDPEATPPASIASRFESKDQEKLGVQLSSMPQVRPASAEKPTRQWAAWTRQEEQSFFNALRLEGKNFEKITLRVQSKNKDQVRHYYYRLVRRMKKLLGPEFSLDAKNSKDTVAAMLRWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKTRKKCPRGDECLSSSSPILNRTAGNESSSVKLLPVDVSNGSKVASSKGAVFKRGAEPNSNNKSGSTKWDLSATITVKQKRRAGGGIASTAYKKWERDAMAGVSLVADAAEELERNTVNVDARTLSPSSNNLFPINEATRKALEKDEHNPHLELTVSARKKISSVLEHLNRKWGSSNIASGELLLFPYCAHREDLATYQRWTTRDTVVVADVFLSVNSPPVFRLRYGWFSLAELEGGSGISSTHFKNCMMPEDIHAKSPSDACVQKDGTFLNSCAPEEHLCNSKDRPALFLAMTFSTGKSAKGQEQHSDFPASWFSRQKQEKDSTNQVLEANLGMDCAIISEGEWADTLTDISVGYLLTEASIGTNTDCPGTAIAKNTLFLENPCSYDSFDAAVALHASRHKTVEQPALASHSTIWGAEETCDEFSFNLAASRKQESSNTSASSCPGSDSGVLPSTSQGFQGFLQDLAGAKVADNPCMDDAKDMEALCANSPPRSKSDSGLKDQSLADLYWADSLGPLDLEIPSVRYQAEDLLLGDSQNSWNRMMVNSLDAFRNLSFFMADKNDSIPSIM >ORUFI03G37220.1 pep chromosome:OR_W1943:3:30389417:30393462:-1 gene:ORUFI03G37220 transcript:ORUFI03G37220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRCLVAVAVLLLVASHAARGEERRRLLLARQDVLALHGLRGSIGVRAEYWPVKRYPCSWTGVVCRAGRVAELRLTGLRRTRAGARSQGFTVDPLRELTALEVFNASGFPLPGRIPAWFGTGLPASLEVLDLRSTSVNSTLPADLGMSGNLTTLDLAGNSISGSIPATLFLIKGLKFLDLSDNNLTGELPNVSISAGDGTGVFNASGNSLYGAIGDVLGPLRKRFRQVDLSRNYFTEVIGTGFGNSSDGAVDINMNCLSGSSQRSQGDCEAFYKRIGVSLPEPPNALPSPSKKGVKWKYVLAGVLAAAAFLVVLFLVALVFCLMKSGGRRPRGRGLEQNEEGIRSGRRSSSVNPVMLSSMAASPAANGAVKGLPTIVDEFTYEQLHNVTGGFGDDNLVKHGHSGAIYLGELESGFNVVVKKVDLKSSNKNLGELGFLAKNSHGRIVPLLGHLATDEEELLVYKYMAKGDLTSALHRKSVDAEEGLRSLDWITRLKIAIGVAEALCFLHDECSPPLVHRDIQASSVLLDDKFEVCLGSLSDVCTQQSEGSRSFFSRMLRSSKSLDKNASGPPASCSYDVYCFGKVLLELITGNFGVSGSNDTGSEEWLARTLDFIDAHDKEGLSNIVDPSLVVDEDHLEEVWAVSIVAKTCLNPKPSRRPLARYILKALENPLRVVREELQSNSSLTSTSSRSSWHFAFHGDSRRSSELRPTLGQSARKQSVKSQGSDEDEDEEEDSFSFKRASREILPDPVELEKDAVV >ORUFI03G37230.1 pep chromosome:OR_W1943:3:30405262:30412873:1 gene:ORUFI03G37230 transcript:ORUFI03G37230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRAPASKQTATLQVAVKCRPLTDSEQRRSRHIIQVIDDKNVVVLDPDLSKDYLELIQNRTKERRYSFDHVYAPGCSNADVYKNISSTIAGVVQGLNATVFAYGSTGSGKTYTMVGTHSDPGLMVLSFRTIFDLVKKDDSKDTFEVSCSYLEVYNEVIYDLLEKSSGHLELREDPVHGIMVAGLRSIKVHSADKILELLNIGNSRRKTESTEANSTSSRSHAVLEITVKRKQKGQYGSQVLRGKLALVDLAGSERASETNNFGQKLRDGANINRSLLALANCINALGKQNKKGLAYVPYRNSKLTRILKDGLSGNSRTVMVATISPADDQYHHTTNTLKYADRAKEIKTHVHKNIGHLDTHVEDYKRMIDNLQVEVSQLKKELAEKEHQLSVKPTEKAADNELSWLNILSQETGENVQERINLQKALFELEETNKRNRMELQHLDDAIARQQVKDKDSAVLQALTSRRQVILDNIRDNDEAGAGYRKDIELNESRKRQLQDMIEEATSNNGNRTYLHILSQYRLLGMTNAELQIEMAMRDQVIYNQRESLRSLWNIIYGTGLNQKQISKLAAKQGLTIEGCPLPVSSPDVTTPPSFSPHGRLSPFMSFPSPQSQPYSPSACFVQHGFSTMSYLRNQHETPTVCRQEHLSSYYMMSECSPFDGDGKQKTNGRSMPYFSTPGKPKEMYNFSSGTESERTPYSKEYPTSYSRNGDSRVQIKDSWYTEERQ >ORUFI03G37240.1 pep chromosome:OR_W1943:3:30419971:30424364:1 gene:ORUFI03G37240 transcript:ORUFI03G37240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRLLLLLVGVAAAAADADADADALLAAKAAMSDPTGALASWGGNGTRTNTTAAAAAHCAWAGVTCSSRGAVVGLDVSGLNLSGALPAELTGLRGLMRLSVGANAFSGPIPASLGRLQFLTYLNLSNNAFNGSFPAALARLRGLRVLDLYNNNLTSPLPMEVVQMPLLRHLHLGGNFFSGEIPPEYGRWGRMQYLAVSGNELSGKIPPELGNLTSLRELYIGYYNSYSGGLPPELGNLTELVRLDAANCGLSGEIPPELGKLQNLDTLFLQVNSLAGGIPSELGYLKSLSSLDLSNNVLTGEIPASFSELKNLTLLNLFRNKLRGDIPDFVGDLPSLEVLQLWENNFTGGVPRRLGRNGRLQLLDLSSNRLTGTLPPELCAGGKMHTLIALGNFLFGAIPDSLGECKSLSRVRLGENYLNGSIPKGLFELPKLTQVELQDNLLTGNFPAVSGAAAPNLGEISLSNNQLTGALPASIGNFSGVQKLLLDRNSFSGVVPPEIGRLQKLSKADLSSNALEGGVPPEIGKCRLLTYLDLSRNNISGKIPPAISGMRILNYLNLSRNHLDGEIPPSIATMQSLTAVDFSYNNLSGLVPGTGQFSYFNATSFVGNPGLCGPYLGPCRPGVAGTDHGGHGHGGLSNGVKLLIVLGLLACSIAFAVGAILKARSLKKASEARVWKLTAFQRLDFTCDDVLDCLKEENVIGKGGAGIVYKGAMPNGDHVAVKRLPAMGRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLDSDFEAHVADFGLAKFLQDTGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRMMTDSNKEQVMKVLDPRLSTVPLHEVMHVFYVALLCIEEQSVQRPTMREVVQILSELPKLAPRQGEVLSHAVDGFASNPPAPVPSGSAEALTGDAKDQQQQQTNSESTTPPDLISI >ORUFI03G37250.1 pep chromosome:OR_W1943:3:30428157:30431353:-1 gene:ORUFI03G37250 transcript:ORUFI03G37250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDSASHRIARVAAHLSPSPRPQMEEGVRPAPCRAKGGAPGFKVAVLGAAGGIGQPLSLLMKLNPLVSVLHLYDVVNTPGVTADVSHMDTTAVVRGFLGPNQLEAALTGMDLVIIPAGLPRKPGMTRDDLFNKNAGIVRSLCEGVAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVARANTFVAEVLGIDPKDVNVPVVGGHAGVTILPLLSQVHPPCSFTPDEISYLTKRIQNGGTEVVEAKAGAGSATLSMAFAAAKFGDACLRAMRGDAGVVECSYVASAVTELPFFATKVRLGRAGAEEVLPLGPLNDFERAGLEMAKKELMESIQKGIDFMNK >ORUFI03G37260.1 pep chromosome:OR_W1943:3:30434121:30436945:-1 gene:ORUFI03G37260 transcript:ORUFI03G37260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLARQAAQALRARQMAQLGPSATAMQGHIRTYMGAGKPTRFKGQDEEKEKLAKEIAKDWNAVFERSINTLFLTEMVRGLMLTLKYFFEKNINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAANLESESLYR >ORUFI03G37270.1 pep chromosome:OR_W1943:3:30438561:30445078:-1 gene:ORUFI03G37270 transcript:ORUFI03G37270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFARRPLATAASHLAPFSPPLLLFFASASSSCSSAASPSSASGPRGCSAVRMETGAVEPASTGAIWSTPSVEPRTISVGKEIFCNRSLNMRNITAVGFDMDYTLAQYKPETFEALAYHGTIEKLVKDLGYPEELLTWQFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFREMSKEEKVSAYGSTLIRDSFDEPDYALIDTLFSLGEAYLFAQLVDFMDNNPGKVPSGTDYPLMYRDVRSAVDLCHRDGTLKRMVAKDPSRYINEDLAIVPMLEMIRKSGRSTFLVTNSLWDYTDVVMNYLCRPYTSDVSSSHNHKWLGYFDVVITGSAKPGFFHDGNRAGLFEVEPDSGKLLNADLHIGSPRSGQQPSRPIHKIYQGGNVGHLHRLLSVASSSQILYVGDHIYGDILRSKKVLGWRTMLVIPELEQEVKLLSESKSTRKELRHLRMERDSIEDKIHRLEWSLKFENLTEDEKEKLFSEHDILLQKKEHVRRLHQEAQRQHHHKFHKVWGQLMKTGYQNSRFAHQVERFACLYSSQVTNFALYSPNKYYRPSEDYMPHEFDVLGL >ORUFI03G37270.2 pep chromosome:OR_W1943:3:30438561:30444312:-1 gene:ORUFI03G37270 transcript:ORUFI03G37270.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGAVEPASTGAIWSTPSVEPRTISVGKEIFCNRSLNMRNITAVGFDMDYTLAQYKPETFEALAYHGTIEKLVKDLGYPEELLTWQFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFREMSKEEKVSAYGSTLIRDSFDEPDYALIDTLFSLGEAYLFAQLVDFMDNNPGKVPSGTDYPLMYRDVRSAVDLCHRDGTLKRMVAKDPSRYINEDLAIVPMLEMIRKSGRSTFLVTNSLWDYTDVVMNYLCRPYTSDVSSSHNHKWLGYFDVVITGSAKPGFFHDGNRAGLFEVEPDSGKLLNADLHIGSPRSGQQPSRPIHKIYQGGNVGHLHRLLSVASSSQILYVGDHIYGDILRSKKVLGWRTMLVIPELEQEVKLLSESKSTRKELRHLRMERDSIEDKIHRLEWSLKFENLTEDEKEKLFSEHDILLQKKEHVRRLHQEAQRQHHHKFHKVWGQLMKTGYQNSRFAHQVERFACLYSSQVTNFALYSPNKYYRPSEDYMPHEFDVLGL >ORUFI03G37280.1 pep chromosome:OR_W1943:3:30448430:30451707:1 gene:ORUFI03G37280 transcript:ORUFI03G37280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHTKTKQIPELPMSGPMRAIHSLAAAPAAPAPATETATRPLRRRTSAARASRESSRQPQALPDLPSRRAALLALVLAGSTPRPPTAAAAFSLGIRTNTSLDSALVAARRRPCSHLFSSPVVLAVVVCWDAAAGPKELLREQKKKSARFLLAPIAASREILLKAQSLLASANVGADDVEEVGRMLAAAGRDCVPRQRNSLVSLQSRSGVEVFDGITLQVCTFSLILKNAASLLTDKDPLKVEADARLAELIQSFSDLGTVVDNSNIELTADREKMKDGLLSTVSAIDKFEQSVKDCLGI >ORUFI03G37280.2 pep chromosome:OR_W1943:3:30448430:30451368:1 gene:ORUFI03G37280 transcript:ORUFI03G37280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHTKTKQIPELPMSGPMRAIHSLAAAPAAPAPATETATRPLRRRTSAARASRESSRQPQALPDLPSRRAALLALVLAGSTPRPPTAAAAFSLGIRTNTSLDSALVAARRRPCSHLFSSPVVLAVVVCWDAAAGPKELLREQKKKSARFLLAPIAASREILLKAQSLLASANVGADDVEEVGRMLAAAGRDCVPRQRNSLVSLQSRSGVEVFDGITLQVCTFSLILKNAASLLTDKDPLKVEADARLAELIQSFSDLGTVVDNSNIELTADREKMKDGLLSTVSAIDKFEQSVKDCLGI >ORUFI03G37290.1 pep chromosome:OR_W1943:3:30454761:30455256:1 gene:ORUFI03G37290 transcript:ORUFI03G37290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREICRGKVGMQRGAPGTRMPPGHRICTPPSSVSAFRFCTVMMLLADLTANRSRFDCPID >ORUFI03G37300.1 pep chromosome:OR_W1943:3:30455567:30456587:-1 gene:ORUFI03G37300 transcript:ORUFI03G37300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYRWECLLAHILKKRIIIADDWENSYGKIKILFHEFIMEDYDDGKPIFMGVCDGQFIQLFKADGTPEEFGLATLAYILWKRNILIAARVLKGVLCPNNNVAESLACSALMQDCLLREDVDHLSILTDSGAVHRAISGEPVSEHGPNSDEYALLKFLASKFKTCTSTQQPREVIFPVDQLIREMEESTNVRDTLEKVLAKFAPHFGGQPLFRLSQNSSAKDIINSLAALTSPVLPHKAQEKSYIHVDENFKLQAYIHITNDLFPLKVVLVFDSFEKKPLSFQNEVNKLLPRGTVKLVEGECTTFTICRVSFLGVCANAMFFSD >ORUFI03G37310.1 pep chromosome:OR_W1943:3:30460634:30465791:1 gene:ORUFI03G37310 transcript:ORUFI03G37310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTVMPFRQLASLKCHSHARATRCFARRYAAGSEDFGMSQGCSFPARLPVGSSDLPLIRCPRCGAAVVECRSMRHGGKVFFKCEENEQDVPNCCKFFKWIESYRKMVEGMSEHVVDEGPSDVALVDGSIEMKRSSVDDGKIDKLINLIEVLVMINIGLLVLGFIGAALGVSERGDCSGGGGGAAVSYSWVRATGHCGGGWNGDADEIDLGRCREQEPTHLDPLSLTDLKNDPRAHWSQHS >ORUFI03G37320.1 pep chromosome:OR_W1943:3:30479174:30481721:-1 gene:ORUFI03G37320 transcript:ORUFI03G37320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEEEREKVTAAAAASEVVVVNGGGGEEEGEGVRALHARVEAEWGPVMQSACQTAAARALWGRAVRDPAAGVLAGGRFLAALRERMRRDEEAGAREVHGVMIAVRTLWFDARVEAAVASLGGAAQVVLLGAGMDARAYRLSCLKECTVFELDFPELLEMKTDLLHEAMSSANNQKLTMMAKSLTRVPADIRDGDWITKLQSYGYVPERNTIWVLEGILYYLHHVHAMQVLETIVACRTSVHTVLLADFMNKNAVSLSRAMYHFYHDSPDLLLPSIGFSQVTLSQIGDPQAHFGLLSHPQNLFDKLRRLPRSVETNPEDGTPCCRLYLVEASAFPDDQITKQGI >ORUFI03G37330.1 pep chromosome:OR_W1943:3:30484152:30488935:1 gene:ORUFI03G37330 transcript:ORUFI03G37330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSRGPPGRPAASSGCLGCWRRRPPLGFGAKVTIAIALGLSFAIVWTSLSPTSSSQQISTERSSFAADIVDPPPASHNHTATTTTAAAAAGGHRKPRPTTSRSHKKRHPPAGSHPHRPNATASPDSTPANADHAVQSKPAEPEPEPGTELEEEEVEQDQEEKEAELPMPEESGDGKAPEEESEKAPELELDELSEGDGYGEEAEEGQKKAPKKTKKQPPLFSSSAHYHWKHCGAKSGHHYIPCVDFDGDGSQRHRERSCPRLPATCLVSMPKEYKPPAPWPERKEKVWYGNIGHPRLSSYVKGHGWLNRTGDYLMFPPDEWEFKGGSRHYVEAIDEMAPDIDWGKNIRVVLDIGCKSAGFGVALLEKDVITLSLGLTNDQTDLAQVALERGIPATVGSLGSKRLPFPSGAFDAIHCGDCNIPWHSNGGKLLLEINRILRPGGYFIISSKHGDLESEEGISASMTAICWNVIAYNSDDVSEAGVKIFQRPPSNDEYDLRAKKDPPFCKEDQNKAPAWYTLIRHCLHKAPVGIEERGSEWPEEWPKRIETFPEWLGDLQTRVEADHKHWKAVVEKSYLDGLGIDWSNIRNVLDMRAVFGGFAAALASKKVWVMNVVPVHAPDTLPIIYERGLIGVYHDWCEPFSTYPRSYDLLHADHLFSRLNNRCKQPVSIVVEMDRILRPGGWAIIREKLEILDPLEKILKSLHWEIVMAFRKDKAGIMSVKKTTWRP >ORUFI03G37340.1 pep chromosome:OR_W1943:3:30489471:30494868:1 gene:ORUFI03G37340 transcript:ORUFI03G37340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPFPTATAAASTTSHLALLLLLSSSSVFFLYKSLRLRRNNPPSPPPGQGPAPTPTLLYASATGTSKALAAGLSRRLAEAGVTAHPADAAAFDPDDLPSLPLLLLVLPTHDGGAPPPAAAFLARWLEESAADFRAGAALLSGLRFAVFGVGSRAYGETFNAAARSFSRWLRALGAAEVVAVGEGDVDGGDLEVVFEEWCGRVVRVVKGEEIGEGHNGESDGFDELEEEESDDDDDEEEVDGGEVDMEDIAGKAPAARRRNGKVEGALSNGGENGVRDMVTPIIRTSLEKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWKWKMDDPLDIVNAAIDQHTKMVKQMKGVPGVKPERLAEGLSPRHCALSLVGEPIMYPEINVLIDELHRRHISTFLVTNAQFPDKIKTLKPITQLYVSVDAATKESLKAVDRPLFSDFWERFLDSLKSLHDKDQRTVYRLTLVKGWNAEEIDGYAKLLSLGQPDFIEIKGVTYCGSSATSKLTMENVPWHSDVKDFSEALALKSGGVYEVACEHAHSCCVLLAKVDKFKINGKWHTWIDYDRFHELVTSGKPFRSQDYMALTPSWAVYGAEEGGFDPDQSRYKKERRHGAAALKD >ORUFI03G37350.1 pep chromosome:OR_W1943:3:30493169:30495583:-1 gene:ORUFI03G37350 transcript:ORUFI03G37350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAAALELHAADAAAAARPAMVAASDHYARLLQLCQTAANPGAGRAIHARAVKAGLLASAYLCNNLLSYYGETAGGAGGLRDARRLFDEIPLARRNVFTWNSLLSMFAKSGRLADARGVFAEMPERDAVSWTVMVVGLNRAGRFGEAIKTLLDMTADGFTPTQFTLTNVLSSCAVTQAGAVGRKVHSFVVKLGLGSCVPVANSVLNMYGKCGDSETATTVFERMPVRSVSSWNAMVSLNTHLGRMDLAESLFESMPDRSIVSWNAMIAGYNQNGLDAKALKLFSRMLHESSMAPDEFTITSVLSACANLGNVRIGKQVHAYILRTEMAYNSQVTNALISTYAKSGSVENARRIMDQSMETDLNVISFTALLEGYVKIGDMESAREMFGVMNNRDVVAWTAMIVGYEQNGRNDEAIDLFRSMITCGPEPNSYTLAAVLSVCASLACLDYGKQIHCRAIRSLLEQSSSVSNAIITMYARSGSFPWARRMFDQVCWRKETITWTSMIVALAQHGQGEEAVGLFEEMLRAGVEPDRITYVGVLSACSHAGFVNEGKRYYDQIKNEHQIAPEMSHYACMVDLLARAGLFSEAQEFIRRMPVEPDAIAWGSLLSACRVHKNAELAELAAEKLLSIDPNNSGAYSAIANVYSACGRWSDAARIWKARKEKAVRKETGFSWTHIRSKIHVFGADDVVHPQRDAVYAMAARMWEEIKGAGFVPDLQSVLHDVDDELKEELLSRHSEKLAIAFGLISTPEKTTLRVMKNLRVCNDCHAAIKAISKVTDREIIVRDATRFHHFRDGLCSCKDYW >ORUFI03G37360.1 pep chromosome:OR_W1943:3:30495963:30499673:1 gene:ORUFI03G37360 transcript:ORUFI03G37360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGMINANPVVHERPERAAHPHPADAIDALDVFDTVRDIKDPEHPYSLEQLSVLSEESVSVDEKLGRIQITFTPTVQHCSMATVIGLCLRLKLMQNFPPHFKIDIKVAPGSLANEESVNKQLNDKERVAAALENPNLRQLVDECLCSNDSHSH >ORUFI03G37370.1 pep chromosome:OR_W1943:3:30502344:30505759:1 gene:ORUFI03G37370 transcript:ORUFI03G37370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVAFETARKIIMHPLYTPRSSPWLDLKVFYVRVSNCEVDESAPDRLTLNHIPLSPDTVIEVNGQRSSMHTEFISSSLRRDRVDKMTEEATFVSTDSIRMTGSVRFQVFDKNDLLLTGDLELCSANGVVGESKNSSKRWNMKCQPASSCNGFLKGKPSTASESVHPVIEVYLAGTFCGTPIILTKTVQHISRRKSQMKLKLDSIPENEATEQQKEELNEDSLQIQRIMITDMSTYCKVLISQIFDSLYPFLPYRSFQVSESQNPKSEQDVDVDYNSLYSRQDFIEGEDGELSWFNAGVRVGVGIGLGICVGVGLGVGLLVRTYQSTSRNFRRRLP >ORUFI03G37380.1 pep chromosome:OR_W1943:3:30507026:30510799:1 gene:ORUFI03G37380 transcript:ORUFI03G37380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVPDLGSDFAQKLLLDLRRRRERLGFDSPAPPQRTSSSNAAVLPRDSHSSSQRPHRSQKPQQAAPRAGRAEATANRSHPQSGNAIAGAGKPRHRATPVANSHQIVPFQGGGSAKQKPANSSIDVQMALAIALSNRGKLQNIELVSRNGSIFFRDTDHAMPESHLVSPSAHVGKVAIGVKKLNDILMAYSSGGGARDTGRRSSVDIGRELFGGAMDLEESLSMLVMLQEASDYMEGSGNGKVLLLEGKENLKNSARSPSSARLVEIVDEDSEAEQAKNSKSLSMQIVPHKNSQGFSTPNSSSVLQVSTVTGNNSRSNSSKADKDDAKVRMPNVIAKLMGLDNLPSTKVANKGMEKFVKPEALSRKDRRTNLVGGRLPIQIIGSERVSSKGHNMNLLPGEWKIGLKNYEVYKSANLQVSNSSSIPAADKQTRQTMRQMLSKMENAERRGSLGQQLHEEKKLTEETNQQKVANVGCRTDAGKKMDFLKRIRKISGSRQVTEEKHIIQEESTTGKKQTTSMKQLLGTDSEDKSKITRVKFNKENLATTEIKNAARGKNGKTDQRKRQSYNKQTDVHSMPKKSQKYREMKSKEGIQNLEHKRSTKSEATQLKILPYTAEIQQENGKHEEDNDIVKPSDSHGDGGISEQFAGMVEDSRTTGMASPDQFMKQITEVTSIDVHASDRSNVVDQSVTQTNDDTSSNTASETTQTPETFTEGEHHQNLQLVEFKEKPLDDLGDAKETSNPTDLQDQKMHVVSCDSFTENQLLLMRMLLKDQYLLETAKALVRNPVPVHFVNASADRWSEKGSSLFSDIAREVIRKKGKRTEATVEVSMANTTNMKLQYLDDLIMELDGDIESLNISKKSQHLGEDCTAENLRMILHRDMQNNHPDANSMWDFGWNRISDLPIERNEVVRDLEKNILGGMITDVARELIELSVRHGCCACEA >ORUFI03G37390.1 pep chromosome:OR_W1943:3:30511408:30512427:-1 gene:ORUFI03G37390 transcript:ORUFI03G37390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRRRACVCPNEVTTSTGSTGDLHACHETGAAAGDRSSSPSLLDLPLDVLLKIVARCDAATVLSLAATCKALRRIILGDDFRRRLALQAAANGGFDPALLLGVSYRLHEHGGAEDDRRLVQAYGRRARFDESLLGSFTPVASRDGILVLRREHRDASSGGGGAGQLCAIKTRPLELLVCNTLTGNTSSPPTLSFCDDDFTYPPALLTVAGAGAGCSFELLVADSHLTTQTYQSQNGKWGARRAIAMPPDHPRLGARVDGCSAAVTGRTVHWLCHKSRPNSGEHAFVVLSVDADTARGTVTELPRECIGGKTGAFDVHGLHLAGSAADGRLRLVAAGM >ORUFI03G37400.1 pep chromosome:OR_W1943:3:30512743:30514146:1 gene:ORUFI03G37400 transcript:ORUFI03G37400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLRDGSCFDENAMQPAAKRLRARAAATAEARTTTTLPVDLLLEIVARLDAATTVRCAAAGKSIRRAILDPSFRRIRLALRAAANGAGFDPTLLFAVSYKLARLDDPPVLIVEDPQSAAGGAAAPFAISGKFLGHIVEPPPPSSYRPVLPIYKSYDSELKHSETVASRDVLVVLRERPVGVRAFCTVPRQQLCICNSLTGDTTRLPMSDVVDDYPPAFLATGGAGRSYELLVMDKRLQTQTFSSEDGKWGAIRAMEELPHPISSPLYAHRPLVVSRRNAVYWLCPQRLGGVTADLHILAVDVGADRRRASRIELPPDCLSRMKPFGWQSDGIILAPSPSPDGELSLIVAEVLVISQWTLLPSSSSSSSEGGSPAARWSRQVVISRLAIDRQAGHDMFMGVVCFHGLGLVSGAVLMQVRVLDTVLIALLHLASKECLILRRWDKMSRPSELCLHEIDLASVLQSM >ORUFI03G37410.1 pep chromosome:OR_W1943:3:30517285:30524357:1 gene:ORUFI03G37410 transcript:ORUFI03G37410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALICDTEQWKGLQAHVGEIQKTHLRHLMHDVERCKAMTAEYEGIYLDYSRQRATGETMEKLFKLAEAAKLKEKIEKMFRGDKINSTENRSVLHVALRAPRDEVINSNGVNVVPEVWGVKDKIKQFSETFRSGSWVGATGKALTNVVSVGIGGSFLGPLFVHAALQTDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIVSSLGPDAVAKHMIAVSTNLELVEKFGIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGAASIDKHFRSSSFEKNIPAILPYSQALEKFAPHIQQLSMESNGKGVSIDGVQLPFESGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPEHLIPHKTFQGNRPSLSLLLPSLSAYEIGQLLAIYEHRIAVQGFLWGINSFDQWGVELGKSLASQVRKSLHASRVEGKPVLGFNSSTTSLLTRYLAVEPSTPYNTTTLPKV >ORUFI03G37410.2 pep chromosome:OR_W1943:3:30517284:30524357:1 gene:ORUFI03G37410 transcript:ORUFI03G37410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALICDTEQWKGLQAHVGEIQKTHLRHLMHDVERCKAMTAEYEGIYLDYSRQRATGETMEKLFKLAEAAKLKEKIEKMFRGDKINSTENRSVLHVALRAPRDEVINSNGVNVVPEVWGVKDKIKQFSETFRSGSWVGATGKALTNVVSVGIGGSFLGPLFVHAALQTDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIVSSLGPDAVAKHMIAVSTNLELVEKFGIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGAASIDKHFRSSSFEKNIPAILPYSQALEKFAPHIQQLSMESNGKGVSIDGVQLPFESGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPEHLIPHKTFQGNRPSLSLLLPSLSAYEIGQLLAIYEHRIAVQGFLWGINSFDQWGVELGKSLASQVRKSLHASRVEGKPVLGFNSSTTSLLTRYLAVEPSTPYNTTTLPKV >ORUFI03G37410.3 pep chromosome:OR_W1943:3:30517782:30524357:1 gene:ORUFI03G37410 transcript:ORUFI03G37410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALICDTEQWKGLQAHVGEIQKTHLRHLMHDVERCKAMTAEYEGIYLDYSRQRATGETMEKLFKLAEAAKLKEKIEKMFRGDKINSTENRSVLHVALRAPRDEVINSNGVNVVPEVWGVKDKIKQFSETFRSGSWVGATGKALTNVVSVGIGGSFLGPLFVHAALQTDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIVSSLGPDAVAKHMIAVSTNLELVEKFGIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGAASIDKHFRSSSFEKNIPAILPYSQALEKFAPHIQQLSMESNGKGVSIDGVQLPFESGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPEHLIPHKTFQGNRPSLSLLLPSLSAYEIGQLLAIYEHRIAVQGFLWGINSFDQWGVELGKSLASQVRKSLHASRVEGKPVLGFNSSTTSLLTRYLAVEPSTPYNTTTLPKV >ORUFI03G37420.1 pep chromosome:OR_W1943:3:30525220:30527246:-1 gene:ORUFI03G37420 transcript:ORUFI03G37420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPPPKSSPPLMAASPSPTNSSSSSSPAPSANPQGGGGGAPPPSPARVPSPPSRSSGGGSGSEDVARSALASARRGGYNAMVEIVFAAVGAAALLVLLVAACLCCSRKTAPRRKRKKKPHNPITHFDADTSGSKGGGGRDTSGPKPPPPPPWLAEPRAAASTSDAAGMSKGTFTYEQLAAATGGFAEENLVGQGGFGYVHKGVLAGGKAVAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAGARRVLVYEFVPNKTLEFHLHGKGLPVMPWPTRLRIALGSAKGLAYLHEDCHPRIIHRDIKSANILLDNNFEAKVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELVTGRRPIDAGAADHPWPASFMEDDSLVEWARPAMARALADGDYGGVADPRLEGSYDAVEMARVVASAAASVRHSAKKRPKMSQIVRALEGDMSLEDLNEGMRPGQSMVFGTAETGGSISEASGSYTFDMDRIIQEATAARLESGRRDDVSFSGEMSAEWKQPPHRVSR >ORUFI03G37430.1 pep chromosome:OR_W1943:3:30529394:30531292:-1 gene:ORUFI03G37430 transcript:ORUFI03G37430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWVILSDGESNTAAVVLDGSYDSDGTASSDDIDTAASDVYNYMTRSRPLVSHFGGGGGVDGGAPPPPLFYDGPLRAVVAAAAAAAPPAPPKFVKEVRYSYGEALSIGGGDEIKQRPAASEIEATTERSIDAASQEDTSCEIGNAGVVICDGDVVEHTADVDGGGATTDDISVVDVTDVAEQSADVDDGGATTDDNSVVEVTDVVDNTDMAHVDDGGATTDDDSVVDVTDVGEHTADVDGGGATTDDISVVEVTDVVDNADMAHVDDGGAATDDNSVVKVTDVAEHTADVDDGSASTDDGIAVVEVTDVVDHTATAHVDDGSTSTDDGIAVVDVTDVVDHTAKSHVDDGGAAADVISVVEVPPLTTVSAAPPPPPTTSEVDGEHESSIRSPPTPAVVAASRATNPPPPRRRTSRAPRLPWRYRPVIDEHKSKSGDAPPTPPAVMVSPAATSPPPLDARTSGEVDKSVVVINDEPVHVLTAAAAAAAAAAMKATASDSGGKKDTAHGGGSRCMVIREVGEEEEASHHAAYEARRRAEAFHATIKAATAAEAPSQPPPADRRHGSGLSAALEARTQPPPATTNAAAEARTKVATERRRGSEPSAADMADFAIAYLFSSSCMILYSFLLASYFY >ORUFI03G37440.1 pep chromosome:OR_W1943:3:30531993:30534318:-1 gene:ORUFI03G37440 transcript:ORUFI03G37440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFITVSYRQAFSGIASELVAASSHAPVLDAAEEDKEDITEVSPAIAGGEHENAEISDVAERNNDHVDSNIAAAEDTTFSGEEDLDDETDGDIECFDEDDGICEENPDDEIFDDDEEESDPEEDDTGSSDLETDSDEYTESTDEESDYEEEDTTDLESDSDEDTESTDEESDYEEEIDDEEIDDESVEEDINLDDDLMGFASGLFGDDDTESSHDEEDLDDDDDESLDDDGSECFDEEDIICEENPDDEIFDDESVDTGSSDEEDSYSDEEIDDEEESDCDEEIDEEEEEEHGGNKYDAIDNESFGEEESVCMEQSYAEEEWPEFTGVPVSYDDIDTDSDMEIDGGKYDDIDSESLYEEESVSDEQSDDEEEPEEFAGGGYDDIDYESLNGDDFEEYLQVLADGGIDNENFGEEESVLDDEVMDFFHGLSDEFLDFFYGDTLYDYETESSCDEECEHVCVCGRCMELIDGEEFYQLTGDEFDATQLGEEIGGDASGVDGEEPSDAGESDHDTAPDAGDGEAHGNSADMAGENSAAATAEPASTPSQFRQAMQQAAARDQAAEAMVRAADVIDSYMRAAAGGLAAHDVEALSQGATSLRAMAAAPSFAVGVDVSASNAAAATAAAFLPDTLARQDGVVSLAVFYLLFGVVYLLLRICALN >ORUFI03G37450.1 pep chromosome:OR_W1943:3:30535243:30536520:-1 gene:ORUFI03G37450 transcript:ORUFI03G37450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEAESREASRQRRRRERAAAVERFVGVPLADVVRENALVHLPPAAAARLRVVHPSWASHMSSPLFAVAHAAAPRRVSGVFVPSAGFLPFDGDDDAVPSPSLSFVPASSELVVLSSSRGVACCFSPADDAYAVCNPATASWTAVPSPPWRSWPRPAIVVLFDTSAYNFRGDFTLVCPFESEPGSSGAYCFQVFTSGTGAWWVTDAMSPAEGLVAASGVAAGATAWWRTSIGTAVGYTPVTGRVDLVTCPGDSDQWEIGLAAGMLHCAVIDGGDVVVFRLHEHGIWEVTTRVAVAEILQPSQPPPPARATTSTEIVASQQHDESGAEEEEGSRAVVAAANAPLRLDDGVRLLGFQGAEVEVVVLAGRRLVAFDARTRRRREVAVPDEVDAAWDGAEHAAHINTLALIAPAALAAEPPLAKPPADP >ORUFI03G37460.1 pep chromosome:OR_W1943:3:30538916:30540037:1 gene:ORUFI03G37460 transcript:ORUFI03G37460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTILPDDLMPEIAVRSDIVSIVRCAATCKSLRGRILEEEFCRRHNNAATSLLRGVSYRFRCDLNTFVGVTQAASSPSSSPLPRFDAGILNTFEPMVSRDGLVVVLEDYVYAGPDRFNMCVCNTITGDVTSLPAMDPAMKVKRRLIYPPALLDVGDAGRSFELLVADNELHTQTFTFSSKDGGGGWGAARTIRMDAGHRKPSIPMPFHHVPGSRRARRALARRHGAVLGAPSPRRGHLLPARRRGVRRDDGATAGLRRQDAGLQEGQPSAHARRVAGRHGVEPGRGGARADLGVDVGGRIIGGGDDNGGEVEPAGGDHEAGDRPERGSRENVQQRLLRGVRGEERCRALALASFWARAAQPGDERGARCAPR >ORUFI03G37470.1 pep chromosome:OR_W1943:3:30543107:30551594:1 gene:ORUFI03G37470 transcript:ORUFI03G37470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSTLPDDVLLEIFVRSDAASIVRSAATCKSLRRRILHQQFRHRHRAGNGNASLLLGVSYRLRTDLNTFVGVTSSSSPLRFNASLLESFEPMASRDGLLVLKQRVANNAGDGGEHRSNGFFFKGRSYSFNVCVCSIFTGGGDVTTFLPPMDPAMHVNMESHKNIYPPALLAVGAAGGGGGRSSFELLVMDCNLRTQTFSSEKGGWNAVRAAHLAPGHHQRRPRMPVPNSLPAISMSELMLVVSRGGAVLSLFMAEREVISVWTLEEEAAAAERWSRQVVIARVAIDRSVEARRLYQTVFFEGFGERSGTVLMRIVNVGLVQLAVATKKAIVVRDSGADSETSTIKADQT >ORUFI03G37480.1 pep chromosome:OR_W1943:3:30543119:30543810:-1 gene:ORUFI03G37480 transcript:ORUFI03G37480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSELGTGIRGRRWWWPGARIHGREERGDVAAAGEDAADAHIETVAPPLEKEAVAAVLAAVAGIVGDALLEDEEAVARGHGLERLQEAGIEAERRGRRRNAHEGIKIGAEPVGDAEEEAGVAVAGAVPVAELLVKDAAAERLAGGGGADYGCSVGAHEDLEQHIVRER >ORUFI03G37490.1 pep chromosome:OR_W1943:3:30552297:30554640:1 gene:ORUFI03G37490 transcript:ORUFI03G37490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSLRTASPCISRTKKEATPLVAGLSVAAAAMGSRYMLQAWQAFRTRAAMPRVWRFYPGGFEREMTRREAALILGVRERAAFDKIKEAHKRVMVANHPDAGGSHYIASKINEAKDMLMGKGKSGSMF >ORUFI03G37490.2 pep chromosome:OR_W1943:3:30552297:30554640:1 gene:ORUFI03G37490 transcript:ORUFI03G37490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLVAGLSVAAAAMGSRYMLQAWQAFRTRAAMPRVWRFYPGGFEREMTRREAALILGVRERAAFDKIKEAHKRVMVANHPDAGGSHYIASKINEAKDMLMGKGKSGSMF >ORUFI03G37490.3 pep chromosome:OR_W1943:3:30553490:30554640:1 gene:ORUFI03G37490 transcript:ORUFI03G37490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYMLQAWQAFRTRAAMPRVWRFYPGGFEREMTRREAALILGVRERAAFDKIKEAHKRVMVANHPDAGGSHYIASKINEAKDMLMGKGKSGSMF >ORUFI03G37500.1 pep chromosome:OR_W1943:3:30577136:30579823:1 gene:ORUFI03G37500 transcript:ORUFI03G37500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSKEFARDQAAMDQKIKSDVGEVVLAGDEEEDGDVVLPGFRFHPTDEELVTFYLRRKVARKSLSIEIIKEMDIYKHDPWDLPNASTVGGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSAAVNSNSGESIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPAIAAADASPCMQEAEVWTICRIFKRSITYRKQQQQQAWRPPAPAPATVTVKAPPPGDSSSNTGSFESDGGGDEFMNCGLTPAISQQQQHGGRHQMMSTMSCNGGYFFNDGIHHSHSYHKLHSQWGSLQMAPPEPKPEPEQKPLSSPAMTIAFHQNDHGFPAAAADFYKDGYLEEIARMMEVADPSPTGFYDCRY >ORUFI03G37510.1 pep chromosome:OR_W1943:3:30579633:30583962:-1 gene:ORUFI03G37510 transcript:ORUFI03G37510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKAEACQTPSGSHQSSILPDAMSSSWKECDASNQNDSEAVCKSIEVEVVGNDDSAINCGNKLTALDSPSFTCRDDINLVESKSSPISTPSEATAEIQTPATTHAPDQEELRNENNTRTCSEHTYEAVSSVEASGSCEKLRLESCKPNISDEDFKYAKNDSLVSVELSISNECSLFQSSEGSVSSCNKRRENSSTESVEKCLKSEPLVHSSRKKVLKGNDSEVEFPSLSQWLKPPNPKVFRDEPLTSDRSHSAKSSEEDRPIIGLVAAHWRDTEPDTFTPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSDEKLLSQRKCSSGNTSQLSGLEGEENDTAASTSNYLFLLPSAVLQQV >ORUFI03G37510.2 pep chromosome:OR_W1943:3:30579635:30583971:-1 gene:ORUFI03G37510 transcript:ORUFI03G37510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLLGCFRVAGYSGEGRRERERDQLVSSPSVSPPVDAPKVGERKRPPSKNALSAVFLREDEGSRVEHSTSLGANGITERTKVDQEHKNEVRLLIHRDALVETTNEIIKGPENTDQSQTHLTCLPAISDDLQFMEGLKAEACQTPSGSHQSSILPDAMSSSWKECDASNQNDSEAVCKSIEVEVVGNDDSAINCGNKLTALDSPSFTCRDDINLVESKSSPISTPSEATAEIQTPATTHAPDQEELRNENNTRTCSEHTYEAVSSVEASGSCEKLRLESCKPNISDEDFKYAKNDSLVSVELSISNECSLFQSSEGSVSSCNKRRENSSTESVEKCLKSEPLVHSSRKKVLKGNDSEVEFPSLSQWLKPPNPKVFRDEPLTSDRSHSAKSSEEDRPIIGLVAAHWRDTEPDTFTPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSDEKLLSQRKCSSGNTSQLSGLEGEENDTAASTSNYLFLLPSAVLQQV >ORUFI03G37520.1 pep chromosome:OR_W1943:3:30585460:30586960:-1 gene:ORUFI03G37520 transcript:ORUFI03G37520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSAPRTGRPRRPQSRAERPGESGGRRRRLAPPSAAYALLPVAGLHPLPPPHPRNPHLRREAQPPLARSGSAPLPPCVGHHRLHGDLAVGGGHRRPRRSSPCHRAPYPLPLPSSPPPPQQRERCQPCRHARPSSASTAVRNGLVELYLAHGELASAWALVSCTAMVTSHARHGFLDEAVVLFFAMADDSGVCIDIVAAAAVAFSACTQIGDLALGREAHRRVAERKVALGFVAWNALVDMYTKCGDAAAAHRCFRRDARADQLVEDVEIDVEETRIMAPSCIVRETPPATALPSAFPPPSAGGDGRRRHRHLPRRGAAVPQKWGREQHAPAANAERQHAGDRASIERRRSRRHRGRRTRGDMQPPRGGHPGSRSRRHHGLHLATPRSTTTRPRFLGRNNGVASPDQIKSIDRTPPWTLGTRARWQEDETPSATCGGGGVPAAAARVGGEARAGVTGKAGLPAYPVQREEWGREREKGGRRKGEEEGRGCLADVAS >ORUFI03G37530.1 pep chromosome:OR_W1943:3:30585889:30586624:1 gene:ORUFI03G37530 transcript:ORUFI03G37530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPSSLNRCTVAGVLPLGIRGGRMLLAPPLLWNSGAATRKMAVATAAVATGGSAVAGGVSRTMQLGAMILVWYLLNIYFNIFNKLVCTGIPPEAPVRRRRVAALRVHVNQRVPRDETQSNLPFRDAPVRLPTQRKVSNLRARRERDGGGGDDVDAHATIIRHGEEKHHSLVQEPVPRVACHHGRTRNERPRGGELAVCEVELDEPIADGGRGRARPRVPARLAPFSLLRRRRRRR >ORUFI03G37540.1 pep chromosome:OR_W1943:3:30588210:30590122:-1 gene:ORUFI03G37540 transcript:ORUFI03G37540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSTSSSSSWGPSPALVTAVVALLGLGLAAYIVGPQLYWHASEALGRSTGACPACDCDCDARPLLALPEDCSKQFKDVKSRASGEETEKSFTELLIEELKQREEEATQAQQQADVKLLEAKKLASQYQKEADKCSSGMDTCEEAREKSSEALVEQRKLTALWEERARELGWKPGNIKPHQT >ORUFI03G37550.1 pep chromosome:OR_W1943:3:30592068:30595657:1 gene:ORUFI03G37550 transcript:ORUFI03G37550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPGLQRRPAAAAAAAVRGEGAEPPRGQRVLHGDIDPPPRARPAVQKLAIAAIVVLGCLQFLPATHFRDPADPQRNWIPFDRSRKPVDLPDEVGSVNVFSWISCLDLRTLAVLTNSTISSSSDPHNISFNFLIPERGTDKSPYYKLKAVLPDSNVTVASQKKIEDKLNVATPEGNLFWSFPNELSPIIIGTTQFSQKRYVYISADSIVKGKIEDLGRIDLGTYAIAAVEDCTKRIGDYVSIDVLNAVQKTAPKNLVYTEPYDKDACLLDFDVLVVEPRKLRKDLIDSIMVWFRAFSLANPRDQIRLAITLALYDNYLKLPSNWKRADANSDILNYNGPKNVCSEGGRQLQEEGNGDEWQLYLDQKSLAVLS >ORUFI03G37570.1 pep chromosome:OR_W1943:3:30608660:30616926:1 gene:ORUFI03G37570 transcript:ORUFI03G37570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRERPLEVVAPPATPLSPSKRLRRAGLVVMWLRRKPKPVGVEQMAARLIRQMQVQMVKLFMLLMFLVARLGNVERLLLEQPYLIRRLLEEHFGIFQRSLMESIQDTVRKAVHSEASILDLIHMKERQTTLLSGGAYQHPRPNISEGFPQTGGSTRMVKLFFVDVERPEDPLFTGSPVQWQNGANAKVAIFENGSQITQGGLSKLQIEILPVHDDFFTERGPANFTKEEFNKQIYMCKGKESVLKTVNLTNGEANLGSFFFTESSHGKRLRLAARVKYQDLAVRVQEATSYSFVVKDRRSKLNKKSNSPSKEEGIHCLKKISLKGKRCNDLAGKYITKVKHLMRCYHRDPAGLQKLTGMKNEDWNTMISHATTSDPGDEIHSYRVEKNTIIFFNDFFALVGMSVDGSYAPYHANNLNQLQQRKMNKWKESAYQKFEELEKLGCLIPDHVMINGQPVPVSPKNDASHSIQANPTSFNHQIALEESGREGPSMQHNGTSYSLTEENILNGLGSGLVQSTILSQNTAVVPGADPRHSGYASTSTADAAGTSCPVTDGVGPWDYPIFSDLYPNVMFQEPSGLIYGHVVEADQAFLPDSHELANADNQFTGGNDDSAPFD >ORUFI03G37580.1 pep chromosome:OR_W1943:3:30616421:30617131:-1 gene:ORUFI03G37580 transcript:ORUFI03G37580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAASTAFAAKPRLARAPSSPAARFSVSCSASGNNGGAGEMAQSLAASAKTFSAALALSSVLLSSAATSPPPAAADIAGLTPCKESKAFAKREKQSIKKLQSSLKKYAPDSAPALAINATIEKTKRRFENYGKFGLLCGADGLPHLIVSGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAISGEKKPAMREIIIDVELAVKLLPRGFIWPVAAYRELITGNLVVDDADIGY >ORUFI03G37590.1 pep chromosome:OR_W1943:3:30625741:30626471:-1 gene:ORUFI03G37590 transcript:ORUFI03G37590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGKTSCKAAVFLAALVVMATAFSSSHAAQGQGNVGEEKMACKPLQGCNTEMCMGYCQTLSYQGGTCIHNDPDMCCCPY >ORUFI03G37600.1 pep chromosome:OR_W1943:3:30628560:30630469:1 gene:ORUFI03G37600 transcript:ORUFI03G37600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTTMLPAHCHHMILSQSRTKPIWALYERWCEAYEKERDHAEMTRWFEMFKNNAEYIYSLNSEITSEAEQLILGPYCDGFNEKDKAEFLNDFGHFNGVPQTGAAVGFGSALAARSSAPGRPIGSEMQTSSSTYFLDTSHSETIT >ORUFI03G37610.1 pep chromosome:OR_W1943:3:30644677:30645926:1 gene:ORUFI03G37610 transcript:ORUFI03G37610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADALVVAGQAKARHPLSQIAESGTHRLLLKQWLKEEDLLARRVALREARLDGARKEIAFLYCAFFAFHAASILLLFLSASASTSAACRRSWIPCLVSLLSSLAMLWALRYKADTEAVLERLLAREREDALLLGKCVAELKRKGLEFDLLKEVDALRRAKSLRVEAKGGAGGERPKRWAARDLAVFLLFGAACGVLVLTRGSDVQNYLPWDKDFLLEQKIVMHYYQSVSGPGLSTSANFL >ORUFI03G37620.1 pep chromosome:OR_W1943:3:30649944:30657861:-1 gene:ORUFI03G37620 transcript:ORUFI03G37620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQQAISPRLCVLLIEPIATVIWYCRIGDDEWMRYEYDIGTQPLDPPFEGKDHEKVPICQIAACRGKFYFNSNFEKIEVLELTPTPTFSSIEIADSIAGGLGVIGGAYVYLVESEDELYMVCLRLDHDFTIYDMTVHRMDFFSHQWRRVYEIGGRAFFLAPFYFGASCSADEYGLEKDSVYASYALDKCFEVSKVEDDATDVHELIDAPDSRRGMWILPVDNTTPAMDKPSGRVSVPCLSMEQHDESAADKPALFSISDKKAIDGDIPGLTNTNAWFTPQGWILLRQSTATFLQNPQDPQDKIHLPEGLSTRCSCQLSGKPSLPGCIVLLVEPVATNIWYCRIGDDEEWTRHDDDIGTQPLDPPMDGKDHEKVPICEIAACRGKFYFNCFFESIGVLEFTPTPVFSTIEIVDPIPGGLGVIGGAVVFLVESEDELYMICLRLDHDFAIYDMTVHRMDFLSLQWRRAYEAVPPLYFGASCSADEYGLEKDSVYVSYALDKCFEVSKVEDDATEVHELIDAPDSRRGIGINGVSPQDLGRRRATGFGSVVGCGIRVNGVHEADGGGDGLQDAKRRRWAAGSTRHVPVPCNALKHGADSDKPALFSISEKKAIDAYIPGMTNSNAWPTQQGWILIRDDATITHRILTKRFICRTYRKSCTADVLACSLSDKPTIPGCIVLLVEPEDTIIWYCHVGEDEEWVRHEYDIGTQHLDPPMNGKDYEKVLICSIAACQGKFYFNARFHNISVLEFTPEPTFSSIAITDPMDFVGAACIFLVESESELYMVCQLLEYDFKTVYDVTVYKMDFSKHQWCIAEDIGGRTFLIAPCYFGASRSADECGLEKDCVYAIFARDKYFEAAIRGASGRDCCDRLVIHRLYSATSDLVTRLQPASNPLHKRGFGSVADPTKRIGDRSALITMDKTSRVPVPCLALYLKHGADTDKPALFSISEKKAINGGDIPGLTNTNSWVTSQGWILVRDTASATTFLQNPRDFDDKIQLPHLPQDVHISSTCLLSCKPTMPGCVVLLVEPVGTIIWYCHIGDDEKWVEHDYDIGTQVLDPPLDGKDHEKVPICWIAACQGKFYFNGGFESIGVLEFSPSPTFSSIAIIDPIIGGLGVMGMASLYMVESLDELYMVCQMYDSDMKTIYDVTVYRMDFLKQQWCIAEDIGGRAFLVASCYFGASRSADECGLEKDCVYSIFARDKYFEVCKVEDGETEEYDLIEAPDSQGGMWILPVEKK >ORUFI03G37630.1 pep chromosome:OR_W1943:3:30657887:30659756:-1 gene:ORUFI03G37630 transcript:ORUFI03G37630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQKLSRQSLHLVLNEGRGLFSLRHTDVSKLFYPSTAEALEAEAKAKKKKKNGTNKIGSIGRLPTPSIHYQPYTWSASNPYSSLCALALFGERSKNKILCTDMAGHTSIYNPELRSFMRMPDLNSPKRYNSCVAVSIPGASAHAMSNFDIDTDHSLYIMDIDPTYWCSSEVLAYDPVEECWCWGPLPQPPFFQDREYKVPLKPRFMVVDSTKICVSTTTATYSFDMVTRDWNKVGDWVLPFNAEYVPELGHCLGMSDGGPFDLCILDNLSTAAGSPPPVVRHVGMEFELPENWSQVYRDLVNLGSLRFCIVNGYTIENERYECDFNPVTVFTGVEVLPTSSEQGLLMIKHKSKCIMTYIMFLPRAVQIFAKTAEEEEEEHHPIMDNTSRVSVPCLSLEQRDDSADKPALFSISDKKAIDGGIPGLTNTNAWFTPQGWILLRQSTTITHRIPKIRSVYLIC >ORUFI03G37630.2 pep chromosome:OR_W1943:3:30659759:30666089:-1 gene:ORUFI03G37630 transcript:ORUFI03G37630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTNRVPCLALYVKHGADSDKPALFSISEKKAINGGDIPGLTNTNSWVTPQGWILVRDTVSTATFLQNPHDSTDKIQLPHLSQDVHSSSTCLLSCKPTIHGCVVLLVEPVGTIIWYCHVGDDEKWVKHEYDIGTQPLDPPLDGKDHEKVPICSIAAFQGKFYFNGDFESIGVLEFSPAPTFSSITDPIIGGLGVMGMANVYLVESLDKLYMVCQMYDSDMETIYDVTVYRMDFLKQQWRVAEDIGGRAFLIASCYFGASRSADEYGLEKDCVYAIFARDKYFEVSKVEDGETEEHELIEAPDSKGGTCHKAIGRTSGGRLADAGWILIRHDTTTVLQNPQDPDGKIQLPHLPEVLHSRCACVLSGKPTIPGCVVLLVEPEDTIIWYCHLGGEDEEWARHEYDIGTQPLLPLVDGKGNEKAPITSIAACQGKFYFNGRFNSIRVLEFTPEPTFSFIAITEPLDFWGAASVFLVESEGELYMVSQLFDYNLEYICDVTVHKMDFSKHQWCTAEDIGGRTFLIAPCYFGASRSADECWLEKDCVYAIFARYKYFDVSKVEDGETEEYDLIEAPNSDIRMWILPIDGSRKREC >ORUFI03G37640.1 pep chromosome:OR_W1943:3:30666150:30675564:-1 gene:ORUFI03G37640 transcript:ORUFI03G37640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCGGVRLPCLALEHAGGGSDKPVLFSISERKAIDGGGDIPGLTNANAWATPQGWILVRDAAAAATFLQNPRDSYDTIPLPHLPQDDLPPRRCTCLLSGKPAGGDGCVVLLVHPFSTVFWHCRVGGDGGGEWAKHEYDIGTQTVDDASLRVEKVPICAVTAAARRGAFYFNAYAAGNLGVLDLASSSAAAAPAFASLDVDAGELGDVDHAHFFLVESEGELYMVSLVYELGGAGMTDCETRVHRLSEHEQPPRRRWRRARDLGGGRAFVMAPWYFAASCDAGECGLEADCVYMFYPGEDACVKISSVRERGGEIGGEKAILSLCVPIGSTLGEQQDTMRNDATRLKLVAKELLFQFGWRKAGDVTHFHYLKKKRARRGGVRRSSTGEQSVVDSNTMFGMDPLPVPCLALQQQQHGPESVKTTLFNIFEGQDIACDIDALTNNSSKFWATPQGWILVRDNTSLSTFLFSPQNPDEKVPLPYLPEDMPRTCTCLLSDKKPTLPGCIDLLVEPNATVIWHCRVDGKEWARHEYDIGTQLFDPVSDLHEKVPICPIAACRGNESLADIGVLEFSPTPVFSSLELGGELEAADRAKVFLVGSEEELYMVSLVYGFGCDMIDGESQVHKMDFSEQRWCRADDLGGRAFLLAPGYFGASCSADDCGLEADCVYMFYPGDKACLKISNVKDGGVEFMEVPTARRALWNASPVVSVPVPCLSMEQRDEPAHKPAVFSISDKKAIIGGDIPGLTNANAWFTPQGWILLRLSTATFLQNPQDSQDKIHLPHLPDGLSTRCSCQLSGKPSLPGCIVLVVEPVATVIWHCRIVDDEWTRHEYDIGTLPFDPPIDGKDHDDVVICQIAACQGKFYFNSFFDTIGVLEFTPTPVFSSIEIVDPIPGGLGVTGAAHVYLVESEDELYMVCLRIVYEFTIYDMTIHKMDFLSRQWRRADEIGSRAFFLAPLYFGASCSVDEYGLEKDSVYVSYAVDKCFEVSKVEDDETERWLGTVAADPTN >ORUFI03G37650.1 pep chromosome:OR_W1943:3:30675652:30675873:-1 gene:ORUFI03G37650 transcript:ORUFI03G37650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLVISLPFIFFSILLGFGCYFLGKHKGREEMRTGVGAQVYGTPLPPPGVGAPPPPPEPFTAKKDGPENLV >ORUFI03G37660.1 pep chromosome:OR_W1943:3:30678346:30680995:-1 gene:ORUFI03G37660 transcript:ORUFI03G37660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGWILVRDDAAAATFLQNPRDSGDKIHLPHLPEDLPSKSTCVLSGKPTIPGCVALLVEPFATVIWYLHVGEEDGEWTRHEYDIGTQRLDPPIDGEDHEKVPICSIAACRGKFYFNGGLSDIGVLEFSPSAAAASPVFSSLELAGEFEVVYRAKVFLVESGEDLYMVMLVYHSFRCDKTDYETRVYRMDFSEQPPRWRAAGDLTGGAFLLSPWYFGATCSAAELGLHEDCVYAFVPGDDEVPTCLKMSSVKDGWDDFVDVPAAHRALWMPTDS >ORUFI03G37670.1 pep chromosome:OR_W1943:3:30681097:30684860:1 gene:ORUFI03G37670 transcript:ORUFI03G37670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIFSVHRGRVPVLVGEGKEMERTVIHMEELHHPYFFVLLELAAMEFGHEQEGVLRIPCSIKQFQAIVELIRSKSKQRNR >ORUFI03G37680.1 pep chromosome:OR_W1943:3:30682710:30685315:-1 gene:ORUFI03G37680 transcript:ORUFI03G37680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRSHSNASSGMGVAPDIRDTFLELQMKKAFRYVIFKIEEKQKQVVVEKTGATTESYDDFLASLPENDCRYALYDFDFVTGENVQKSKIFFIAWSPSTSRIRAKMLYSTSKDRIKQELDGFHYEIQATDPTEVDLEVLRERAH >ORUFI03G37690.1 pep chromosome:OR_W1943:3:30687432:30692887:1 gene:ORUFI03G37690 transcript:ORUFI03G37690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSADLADDGFPAPRLFSQGVSYTYDDVIFLPGYIGFPADAVDLSTRLSRRIPLSIPCVASPMDTVSEAAMAAAMASLGAAAVVHCNTEPHLQASIVRAAKSRRLPFVSSVPLFSPASTPSLSDFAGHDYGLVTERGDSLSKLIGVAVAAETSSRQAPLPVSEYMRPAPRSVSASFDFEQAAAFLADEGLDYAPLVSDDSEVIDLITVNDVERIRSYPKLGKPSLGADGKFVVAASIGTREDDKRRLEQLVKAGANAIVVDSSQGNSIYQIDMIKYAKKMYPEVDLIGGNVVTIAQAQNLVASGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVASYAKDHNVPVIADGGISNSGHIVKALSLGASTVMMGSFLAGSHEAPGTYEYKDGHRVKKYRGMGSLEAMTKGSDARYLGDTLKLKVAQGVVGAVADKGSVLRFIPYTMQAVKQGFQDLGASSLQSAHELLRSDTIRLEVRTGAAQVEGGIHGLVSYEKKAF >ORUFI03G37700.1 pep chromosome:OR_W1943:3:30693304:30696472:1 gene:ORUFI03G37700 transcript:ORUFI03G37700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGVDATGRYAGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPRGLKMAATFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEYEDEEEEEAEAE >ORUFI03G37710.1 pep chromosome:OR_W1943:3:30697531:30700356:1 gene:ORUFI03G37710 transcript:ORUFI03G37710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQEFTVDLNKPLVFQVGHLEERYQEWVHQPIVSKEGPRFFGNDVLEFLTRTKWFAVPTIWLPVVCWLLVKSIRMGHTIQEVGLMALFGIFIWTLIEYTLHRFLFHIETKTYWANTAHYLLHGCHHKHPMDSLRLVFPPTATAILCVPFWKLVAFFATPTITPALFGGGLLGYVMYDCTHYYLHHGQPSKEPAKNLKRYHLNHHFRIQNKGFGITSSLWDYVFGTLPPSKTTGKNN >ORUFI03G37720.1 pep chromosome:OR_W1943:3:30701580:30703935:-1 gene:ORUFI03G37720 transcript:ORUFI03G37720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGFDSEGREFSSATEMWAHEIGAAADAPVSAAVAEPAPAPAAGSNGVAGEEEAGGGGKREEWYSKAIAYWQGVEASTEGVLGGYGCVNDVDVKGSDAFLRPLLAERFGAARRHLVALDCGSGIGRVTKNFLLRHFNEVDLVEPVSHFLEAARENLTECMEVGEDTHKAANFYCVPLQDFTPDEGRYDVIWIQWCIGQLPDDDFISFLNRAKIGLKPNGFFVLKENIARNGFVLDKEDNSITRSDAYFKELFKKCGLYIHSIKDQSDLPKELFAVKMYALVTEKPKIQKNGKRRRPKNSPRMIRS >ORUFI03G37730.1 pep chromosome:OR_W1943:3:30705294:30708252:-1 gene:ORUFI03G37730 transcript:ORUFI03G37730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRALGSAFAGFTRTPAMTPTATLPSSCASPARLLRWRRSAGVGARRFASGRNARISMSLRAGIVGLPNVGKSTLFNAIVRNLPSASSEEFRAVMNSFLAGSNSRRKKAILIICAFEEFFSIHGLVLVEDEVENGKAQAANFPFCTINPNVGVVAIPDARLHVLSKLSKSKETIPTSIELVDIAGLVKGASKGEGLGNQFLSNIREVDSILQVVRCFEDDDIVHVSGKVDPKSDIDVINLELIFSDLDQIEKRLDKLKKSKTKDQQVKVKIPTVLTTQEQAERTGLEKIQTVLMDGKPARSVDLADHEKEAIQHFCLLTMKPVIYVANVTESDLAEPGNNPHVKEVAKLATDLESGMVTISAQVEAELAELPLEERVEYLKSLGVTESGLGNLVKATYDLLGLRTYFTTGDKETKAWTILAGMTAPQAAGVIHSDFQKGFIRAETVSYDDFVAAGSLGVAREKGLLRLEGKDYIVQEGDVMLFRFNV >ORUFI03G37740.1 pep chromosome:OR_W1943:3:30709240:30711798:1 gene:ORUFI03G37740 transcript:ORUFI03G37740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPQRRLLIRRVELTPPPRPCCLRTTTFSTTAAAASPDRRLLAVLRGCVSPSHLSLGLQVHGRAVTAGLHATDTALQTRLVGMYVLARRFRDAVAVFSSLPRGAAACALPWNWLIRGLTMAGDYRSALLFYLKMWAHPSAPLPDSHTFPYVVKSCAALGAIALGRLVHRTARTLGLDGDMFVGSALIKMYANGGLLWDARQVFDGMAERDCVLWNVMMDGYVKAGSVSSAVELFGDMRASGCEPNFATLACFLSVSATESDLFFGVQLHTLAVKYGLESEVAVANTLVSMYAKCKCLDDGWKLFGLMPRDDLVTWNGMISGCVQNGFVDQALLLFCDMQKSGIRPDSVTLVSLLPALTDLNGFNQGKELHGYIVRNCVHMDVFLVSALVDIYFKCRAVRMAQSVYDSSKAIDVVIGSTMISGYVLNGMSQEAVIMFRYLLEQGIRPNAVAIASVLPACASMAAMKLGQELHSYALKNAYEGRCYVESALMDMYAKCGRLDLSHYIFSKISAKDEVTWNSMISSFAQNGEPEEALNLFREMCMEGVKYSNVTISSVLSACASLPAIYYGKEIHGVVIKGPIRADLFAESALIDMYGKCGNLEWAHRVFESMPEKNEVSWNSIIASYGAYGLVKESVSLLRHMQEEGFKADHVTFLALVSACAHAGQVQEGLRLFRCMTEEYQIAPRMEHFACMVDLYSRAGKLDKAMELIVDMPFKPDAGIWGALLHACRVHRNVELAEIASQELFKLDPHNSGYYVLMSNINAVAGRWDGVSKVRRLMKDTKVQKIPGYSWVDVNNTSHLFVAADKSHPDSEDIYMSLKSILLELREEGYIPMPDLCCPTHLDSSTQVQQQ >ORUFI03G37750.1 pep chromosome:OR_W1943:3:30713909:30714596:-1 gene:ORUFI03G37750 transcript:ORUFI03G37750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELVLGRIQAICRLSGHRQLQLFHGEGLRRLSPASYQSFCSSACGQVDTTIKDQYRFDRFSDPQVAHEDRQFIEFLDRMLDAIRNPQSLARIQREKLPKDLKILDDDI >ORUFI03G37760.1 pep chromosome:OR_W1943:3:30715493:30717343:-1 gene:ORUFI03G37760 transcript:ORUFI03G37760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAGASVSSSSARLRPCPRPRRIGNVAPATVRRRSRRRAVTCAAAADADVVGLFDAAKLTVDRFVESGMVVGLGSGPASGLAIQYLGTRLRRGSLTGILGIPSSTISASEAEKAGIQVSSYEEGTQIDFAFTDADIIEEDTMTAVIGRRKTESGEPSFMVEKGIVKSADKLAFIIGHEKYVKGIEGSIPVLVKSANWIDTAEEIDDLFLGDAEVWRRPSIGTAGPLGGDFPLVTKEGHHVLDVIFTTPIPDLGKVAESLEKIAGVVDHGIVSSIPSYVVVALNGEVQVLDEKSSVIP >ORUFI03G37760.2 pep chromosome:OR_W1943:3:30715493:30717343:-1 gene:ORUFI03G37760 transcript:ORUFI03G37760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAGASVSSSSARLRPCPRPRRIGNVAPATVRRRSRRRAVTCAAAADADVVGLFDAAKLTVDRFVESGMVVGLGSGPASGLAIQYLGTRLRRGSLTGILGIPSSTISASEAEKAGIQVSSYEEGTQIDFAFTDADIIEEDTMTAVIGRRKTESGEPSFMVEKGIVKSADKLAFIIGHEKYVKGIEGSIPVLVKSANWIDTAEEIDDLFLGDAEVHSIAHIFIILSNYWICGDVNLSTFHHWSSLHDNLMKVWRRPSIGTAGPLGGDFPLVTKEGHHVLDVIFTTPIPDLGKVAESLEKIAGVVDHGIVSSIPSYVVVALNGEVQVLDEKSSVIP >ORUFI03G37760.3 pep chromosome:OR_W1943:3:30715493:30717343:-1 gene:ORUFI03G37760 transcript:ORUFI03G37760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAGASVSSSSARLRPCPRPRRIGNVAPATVRRRSRRRAVTCAAAADADVVGLFDAAKLTVDRFVESGMVVGLGSGPASGLAIQYLGTRLRRGSLTGILGIPSSTISASEAEKAGIQVSSYEEGTQIDFAFTDADIIEEDTMTAVIGRRKTESGEPSFMVEKGIVKSADKLAFIIGHEKYVKGIEGSIPVLVKSVSNLLANWIDTAEEIDDLFLGDAEVHSIAHIFIILSNYWICGDVNLSTFHHWSSLHDNLMKVWRRPSIGTAGPLGGDFPLVTKEGHHVLDVIFTTPIPDLGKVAESLEKIAGVVDHGIVSSIPSYVVVALNGEVQVLDEKSSVIP >ORUFI03G37760.4 pep chromosome:OR_W1943:3:30715787:30717343:-1 gene:ORUFI03G37760 transcript:ORUFI03G37760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAGASVSSSSARLRPCPRPRRIGNVAPATVRRRSRRRAVTCAAAADADVVGLFDAAKLTVDRFVESGMVVGLGSGPASGLAIQYLGTRLRRGSLTGILGIPSSTISASEAEKAGIQVSSYEEGTQIDFAFTDADIIEEDTMTAVIGRRKTESGEPSFMVEKGIVKSADKLAFIIGHEKYVKGIEGSIPVLVKSANWIDTAEEIDDLFLGDAEVWRRPSIGTAGPLGGDFPLVTKEGHHVLDVIFTTPIPDLGKVAESLEKIAGVVDHGIVSSIP >ORUFI03G37760.5 pep chromosome:OR_W1943:3:30715787:30717343:-1 gene:ORUFI03G37760 transcript:ORUFI03G37760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAGASVSSSSARLRPCPRPRRIGNVAPATVRRRSRRRAVTCAAAADADVVGLFDAAKLTVDRFVESGMVVGLGSGPASGLAIQYLGTRLRRGSLTGILGIPSSTISASEAEKAGIQVSSYEEGTQIDFAFTDADIIEEDTMTAVIGRRKTESGEPSFMVEKGIVKSADKLAFIIGHEKYVKGIEGSIPVLVKSANWIDTAEEIDDLFLGDAEVHSIAHIFIILSNYWICGDVNLSTFHHWSSLHDNLMKVWRRPSIGTAGPLGGDFPLVTKEGHHVLDVIFTTPIPDLGKVAESLEKIAGVVDHGIVSSIP >ORUFI03G37760.6 pep chromosome:OR_W1943:3:30715787:30717343:-1 gene:ORUFI03G37760 transcript:ORUFI03G37760.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAGASVSSSSARLRPCPRPRRIGNVAPATVRRRSRRRAVTCAAAADADVVGLFDAAKLTVDRFVESGMVVGLGSGPASGLAIQYLGTRLRRGSLTGILGIPSSTISASEAEKAGIQVSSYEEGTQIDFAFTDADIIEEDTMTAVIGRRKTESGEPSFMVEKGIVKSADKLAFIIGHEKYVKGIEGSIPVLVKSVSNLLANWIDTAEEIDDLFLGDAEVHSIAHIFIILSNYWICGDVNLSTFHHWSSLHDNLMKVWRRPSIGTAGPLGGDFPLVTKEGHHVLDVIFTTPIPDLGKVAESLEKIAGVVDHGIVSSIP >ORUFI03G37770.1 pep chromosome:OR_W1943:3:30717359:30719991:-1 gene:ORUFI03G37770 transcript:ORUFI03G37770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKWKKKRMRRLKRKRRKMRQRSKAIGDSTTEHMRPEENKDNRLVLNLLRTPYPLRQCAIHPMYATVKLARPAS >ORUFI03G37780.1 pep chromosome:OR_W1943:3:30720720:30724790:-1 gene:ORUFI03G37780 transcript:ORUFI03G37780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRENLGDSTERKVRYDKMLEHSESATEKIMSSIMDTIAENLPKQKSGKFDVGAASDKMKEKLFGRQKTIHRVLGGGKPMCDALSVLVAADVLLWRNKKISSSVLALATAIWVFFEWLDYHFLTIVSFALVLGMVVQFVWSNFSSALSGAHTLPVLYEKYEDQVDDFLYNILGLLRDQYQKLDQGVLSKIPKGNMKFKKSERPRKPKRRNAMEKKLSILVCLLLLAVSGHGLRILHDVDGDFGQGFAFGSKAAAADETEPLDPLLDDYENEISHLEFEPVDAGSTPYAAGDADAAAPAPGPAAEAGSAAGSDSMKWWLPPSTIPSFPLFPGMPGLGMPLPGIPFKPIGWGSPAAPGQYAPDPPAGAGADGDADPSAASQVIN >ORUFI03G37790.1 pep chromosome:OR_W1943:3:30724875:30728539:1 gene:ORUFI03G37790 transcript:ORUFI03G37790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQKFSRQSLHLALNEGRGLYSLRHMDVSKLFYPSMAEALEAEAKAKKKKNGANKIRSIGRLPTPSIHYQPHVSATSNPYNFTNVFALFGENKNKILFSDMEGHSSTYNTELHSFMIMPDLNSPKGPNCLAAHITRTAAHARYDFDIRPDVDYDFFAYNPHGEHTDSLYLMDMDQGKPSSFELLAYYPVGEWQWCSLPLPPFFDDPEHKACSNISYAVIDGTRICISSATATYSFDTVALEWSKIGDWVFPFHAKAEYIPELKLWLGLSASSPSDLCALDLSTAAMDSCDVLPMVHHVGLDADLPEG >ORUFI03G37800.1 pep chromosome:OR_W1943:3:30742638:30743300:1 gene:ORUFI03G37800 transcript:ORUFI03G37800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSSSLAVATMVAVVLLLGATTQAARLLDELVPGIPMPTIPGVPAVGPTIPAIPTIPGVPAVGPTIPTIPTVPTIPGVPAVGPTIPAIPTIPGVPAVGPTIPTIPTIPTIPGVPAVGPTIPTIPGVPTIPTVPGVPELPVNPGGVVPTIPKVPLPPVNPGAVVPAVPALPVPPIPGAAGGVVPTLPVPPLPAVPGVPLPEVPGVPLPPVPSVVPPVP >ORUFI03G37810.1 pep chromosome:OR_W1943:3:30744963:30745412:1 gene:ORUFI03G37810 transcript:ORUFI03G37810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSSLAVAAMVAVVLLLLGATATSTQAARLLDEELPTAAIPAIPGVPGVPAVGPGIPVIPGVPGVPVVGPGIPFVPVIPGVPVIVPIIPGVPMIAGMTTLPVPPFVPPIDPGAGAGFPGVPPASSTTVQEDPQPPMPSVVPPVP >ORUFI03G37820.1 pep chromosome:OR_W1943:3:30746260:30746679:-1 gene:ORUFI03G37820 transcript:ORUFI03G37820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSCLAAGCGGLPFCRRPPSILRKGGLTLCRGPLVKEQRGPWKHILETAPRTVLNRLSKGGKGAAYKKGGKGGLAFFAGGLLHSVKPPSLYGWQGGRLQNGMPPLLAVTCEATAVCHVIKIAPSQIRGWWATTIVNF >ORUFI03G37830.1 pep chromosome:OR_W1943:3:30747335:30747775:1 gene:ORUFI03G37830 transcript:ORUFI03G37830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSFMATAMAMACVLLAASSSRTCYAARMLADTPATAAAAAAPPAALPVLPAVPALPTTLPPMPAIPAVPQAALPPMPAVPTVPAVVPNAAALPPMPAVPAIPAVPKVSLPPMPAVPAVTLPPMPSIPTVNVPMPFQAPPPSA >ORUFI03G37840.1 pep chromosome:OR_W1943:3:30751050:30755203:1 gene:ORUFI03G37840 transcript:ORUFI03G37840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICSTDNELVELLWHNGGVVAQPQAAQARVVSSSGRGQSASVLTGDDTETAAWFPDTLDDALEKDLYTQLWRSVTGDAFPAAAAAGPSSHHAPPPDLPPPAARPPMRSGIGSSWTGDICSAFCGSNHIPETAAQRCRDAGAALPPERPRRSSTHDGAGTSSSGGSGSNFGASGLPSESASAHKRKGREDSDSRSEDAECEATEETKSSSRRYGSKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDEAIEYLKSLQMQVQIEIVESVPQIMWMTTGMAPMMFPGAHQFMPPMAVGMNSACMPAAQGLSHMSRLPYMNHSMPNHIPLNSSPAMNPMNVANQMQNIQLREASNPFLHPDGWQTVPPQVSGPYASGPQVAQQNQIPKASASTVLPNSGAEQPPTSDGI >ORUFI03G37840.2 pep chromosome:OR_W1943:3:30751050:30755203:1 gene:ORUFI03G37840 transcript:ORUFI03G37840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAICSTDNELVELLWHNGGVVAQPQAAQARVVSSSGRGQSASVLTGDDTETAAWFPDTLDDALEKDLYTQLWRSVTGDAFPAAAAAGPSSHHAPPPDLPPPAARPPMRSGIGSSWTGDICSAFCGSNHIPETAAQRCRDAGAALPPERPRRSSTHDGAGTSSSGGSGSNFGASGLPSESASAHKRKGREDSDSRSEDAECEATEETKSSSRRYGSKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDEAIEYLKSLQMQVQIEIVESVPQIMWMTTGMAPMMFPGAHQFMPPMAVGMNSACMPAAQGLSHMSRLPYMNHSMPNHIPLNSSPAMNPMNVANQMQNIQLREASNPFLHPDGWQTVPPQVSGPYASGPQVAQQNQIPKASASTDKEFNVGFAQRVVFLEIAENIDMMNRLHPYCCYVSQSVSV >ORUFI03G37840.3 pep chromosome:OR_W1943:3:30751050:30755203:1 gene:ORUFI03G37840 transcript:ORUFI03G37840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAICSTDNELVELLWHNGGVVAQPQAAQARVVSSSGRGQSASVLTGDDTETAAWFPDTLDDALEKDLYTQLWRSVTGDAFPAAAAAGPSSHHAPPPDLPPPAARPPMRSGIGSSWTGDICSAFCGSNHIPETAAQRCRDAGAALPPERPRRSSTHDGAGTSSSGGSGSNFGASGLPSESASAHKRKGREDSDSRSEDAECEATEETKSSSRRYGSKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDEAIEYLKSLQMQVQIMWMTTGMAPMMFPGAHQFMPPMAVGMNSACMPAAQGLSHMSRLPYMNHSMPNHIPLNSSPAMNPMNVANQMQNIQLREASNPFLHPDGWQTVPPQVSGPYASGPQVAQQNQIPKASASTDKEFNVGFAQRVVFLEIAENIDMMNRLHPYCCYVSQSVSV >ORUFI03G37840.4 pep chromosome:OR_W1943:3:30751050:30755203:1 gene:ORUFI03G37840 transcript:ORUFI03G37840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPSSLLASPSPPHRNSSSSSSISIISTPATIQPDAAHGPCSLLTTSKYALRDTRGSKSSYTRLCCCCTAAPKAEQEEETLQQELLLFGLVQVVNFLGGHKNRGMDGNARSAANQTKQIVTDNELVELLWHNGGVVAQPQAAQARVVSSSGRGQSASVLTGDDTETAAWFPDTLDDALEKDLYTQLWRSVTGDAFPAAAAAGPSSHHAPPPDLPPPAARPPMRSGIGSSWTGDICSAFCGSNHIPETAAQRCRDAGAALPPERPRRSSTHDGAGTSSSGGSGSNFGASGLPSESASAHKRKGREDSDSRSEDAECEATEETKSSSRRYGSKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDEAIEYLKSLQMQVQIEIVESVPQIMWMTTGMAPMMFPGAHQFMPPMAVGMNSACMPAAQGLSHMSRLPYMNHSMPNHIPLNSSPAMNPMNVANQMQNIQLREASNPFLHPDGWQTVPPQVSGPYASGPQVAQQNQIPKASASTVLPNSGAEQPPTSDGI >ORUFI03G37840.5 pep chromosome:OR_W1943:3:30751050:30755203:1 gene:ORUFI03G37840 transcript:ORUFI03G37840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPSSLLASPSPPHRNSSSSSSISIISTPATIQPDAAHGPCSLLTTSKYALRDTRGSKSSYTRLCCCCTAAPKAEQEEETLQQELLLFGLVQVVNFLGGHKNRGMDGNARSAANQTKQIVTDNELVELLWHNGGVVAQPQAAQARVVSSSGRGQSASVLTGDDTETAAWFPDTLDDALEKDLYTQLWRSVTGDAFPAAAAAGPSSHHAPPPDLPPPAARPPMRSGIGSSWTGDICSAFCGSNHIPETAAQRCRDAGAALPPERPRRSSTHDGAGTSSSGGSGSNFGASGLPSESASAHKRKGREDSDSRSEDAECEATEETKSSSRRYGSKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDEAIEYLKSLQMQVQIMWMTTGMAPMMFPGAHQFMPPMAVGMNSACMPAAQGLSHMSRLPYMNHSMPNHIPLNSSPAMNPMNVANQMQNIQLREASNPFLHPDGWQTVPPQVSGPYASGPQVAQQNQIPKASASTDKEFNVGFAQRVVFLEIAENIDMMNRLHPYCCYVSQSVSV >ORUFI03G37840.6 pep chromosome:OR_W1943:3:30751050:30755203:1 gene:ORUFI03G37840 transcript:ORUFI03G37840.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAICSTDNELVELLWHNGGVVAQPQAAQARVVSSSGRGQSASVLTGDDTETAAWFPDTLDDALEKDLYTQLWRSVTGDAFPAAAAAGPSSHHAPPPDLPPPAARPPMRSGIGSSWTGDICSAFCGSNHIPETAAQRCRDAGAALPPERPRRSSTHDGAGTSSSGGSGSNFGASGLPSESASAHKRKGREDSDSRSEDAECEATEETKSSSRRYGSKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDEAIEYLKSLQMQVQIMWMTTGMAPMMFPGAHQFMPPMAVGMNSACMPAAQGLSHMSRLPYMNHSMPNHIPLNSSPAMNPMNVANQMQNIQLREASNPFLHPDGWQTVPPQVSGPYASGPQVAQQNQIPKASASTVLPNSGAEQPPTSDGI >ORUFI03G37840.7 pep chromosome:OR_W1943:3:30751050:30755203:1 gene:ORUFI03G37840 transcript:ORUFI03G37840.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAICSTDNELVELLWHNGGVVAQPQAAQARVVSSSGRGQSASVLTGDDTETAAWFPDTLDDALEKDLYTQLWRSVTGDAFPAAAAAGPSSHHAPPPDLPPPAARPPMRSGIGSSWTGDICSAFCGSNHIPETAAQRCRDAGAALPPERPRRSSTHDGAGTSSSGGSGSNFGASGLPSESASAHKRKGREDSDSRSEDAECEATEETKSSSRRYGSKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDEAIEYLKSLQMQVQIMWMTTGMAPMMFPGAHQFMPPMAVGMNSACMPAAQGLSHMSRLPYMNHSMPNHIPLNSSPAMNPMNVANQMQNIQLREASNPFLHPDGWQTVPPQVSGPYASGPQVAQQNQIPKASASTVLPNSGAEQPPTSDGI >ORUFI03G37840.8 pep chromosome:OR_W1943:3:30751050:30755203:1 gene:ORUFI03G37840 transcript:ORUFI03G37840.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAICSTDNELVELLWHNGGVVAQPQAAQARVVSSSGRGQSASVLTGDDTETAAWFPDTLDDALEKDLYTQLWRSVTGDAFPAAAAAGPSSHHAPPPDLPPPAARPPMRSGIGSSWTGDICSAFCGSNHIPETAAQRCRDAGAALPPERPRRSSTHDGAGTSSSGGSGSNFGASGLPSESASAHKRKGREDSDSRSEDAECEATEETKSSSRRYGSKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDEAIEYLKSLQMQVQV >ORUFI03G37850.1 pep chromosome:OR_W1943:3:30761273:30763198:-1 gene:ORUFI03G37850 transcript:ORUFI03G37850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPATSRFLLLPPRLAAARGISGQPPPSPHAAAPTSTPQAFHAHLASLAAPSRAAHPATLRSILAALSRARAAGLPLLPATRVLAASALLRHGRLPDALAHFGLVPDSAALPAPLCNSLLAALASSGSLVSARKVFDRMIGRAVELDTVGFGVFVKNVGRRHGLAEVLRLVQAVRHQGDRVDRSVVAVMVADGLCREGRIEDAWQALEDMRFQGWKPDFVAYRVVSEAFREAGRTEEEGRILKQKRKLGVAPRKEDYREFMFALLSSRQIGEAKEIAEAIVLGDFPINDDVLNALICSVSEIDVDAAVMFCKFMIGKEIFPSSDMLIHLCESLCTNGKGDDMWEFFRVLLDKGYCTDVRDYHLVVSFLGKAGKVREAYDVLKEVKRKRLEPDISSYNSLMESLCRKNLLRPAKKLWDEMFTSGCSPNLQTYNILITKFAEINESEQAQQLFDRMFQKGVSPDVTTYTSVINMLCQEKKYEQAVEIFTKCVVQDTEVASSVLTVFILALCKQGNFKGALSVLSCIPSNVESVNSHVILLKCLIDVGEVDLAIEHIKSIRSNFSSSFQNIINELMASLSTSASLQPVTRLISYLNSQGIVHDVGPWMGLMEHNYA >ORUFI03G37860.1 pep chromosome:OR_W1943:3:30763352:30768892:1 gene:ORUFI03G37860 transcript:ORUFI03G37860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVVDAGSKLLKAGIALPDQSPSLVMPSKMKLEVEDGQMGDGAVVEEVVQPVVRGFVKDWDAMEDLLNYVLYSNIGWEIGDEGQILFTEPLFTPKVIGFVVHLNVFIVLRCKPVDLADNWSKLILTHYFTCRNVKLRIFRVICMFPHLLLCSLQALREQLAQLMFEKFNVSGFYDSEQAVLSLYAVGRISGCTVDIGHGKIDIAPVCEGAVQHIASKRFDIGGTDLTNLFAEELKKSNSSVNIDISDVERLKEQYACCAEDQMAFEAIGSSCRPERHTLPDGQVITIEKERYIVGEALFQPHILGLEDYGIVHQLVTSVSNVTPEYHRQLLENTMLCGGTASMTGFEDRFQREANLSASAICPSLVKPPEYMPENLARYSAWLGGAILAKVVFPQNQHVTKGDYDETGPSIVHKKCF >ORUFI03G37870.1 pep chromosome:OR_W1943:3:30776628:30777624:1 gene:ORUFI03G37870 transcript:ORUFI03G37870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVVLSDSDGDSVELHDGSDVGGSDSESGFAVVRGRAAAAVGADTPAALPHTTIAVEAVPLQPSPSPPGFFKTTVSYRQAFSGKLPSSSQLLLTLRCSTQPKRTRRTLPEVSPAIAGGEHENAEISDVAERNNDHVDSNIAAAEDTTFSGEEDLDDETNDDIECFDEEDGICENPDDEIFDDEEELDDDDESLDDDDIECYDVEDKICEENPDDEIFDDEEEIDCEEDLDDDDDDCESLDDDNIECFDAEDIICLETRTMKSSTNIAM >ORUFI03G37880.1 pep chromosome:OR_W1943:3:30790203:30794734:1 gene:ORUFI03G37880 transcript:ORUFI03G37880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVVLSGSDGGDSVELHDGSDVGGSDTESSFAVVQVRGRAADTPAIAVEAVPSQPSPSPPGFFKTVSYGQAFSGIASEHVAASSHAPVLDAAEEDIAEVSPVIVGGEHENAEISDVVESNNDHVDSNIDAATEVTTFSGEEDLDDETDGDIECFDEEDGICEENPDDEIFDDEEEESDPEEEDIGSSDLETDSDEYIESTDEESDYEEEDTTDLESDSDEDTESTESSHDEDDLDDDDESLDDDGSECFDEEDKIGTENPDDESVDTGSSDEEESDDEEDSYSDEEIDDEEESDCDEEIDEEEEEEHGGNKYDAIDNESFGEEESCMEQSDAEEEWPEFTGVPVSYNDIDTDSDMEIDGGKYDDIDSESLYEEESVSDEQSDDEEEPEEFAGGGYDGIDYESLNGDDFEEYLQVLADGGIDNESFGEEESVLDDEVLDFFHGLNDEFLDFFYGDTLYDYETESSCDEECEHLIDGEEFYQLITGDEFDGTQLGEEIGGDASGADEEEPSDAGESDHDTAPDAGDGEAHGDSADMAGGNSAAAAAEPASTSSQFQQAMQQAAARNQAAAAMVRAADAIDSYMQAAAGGLAAQDVEALSQGATGLRAMAAAPSFAVGVDVSASNTAAAAFLPDTLALQDGVVSLAVFYLLFDFTTIASILRSTHAAAAAAEEEEESATPAMEDWVVLSGSDGGDSVELHDGSESSFAVVHENAEISDATESNYDHVDSKIAAAKDTTFSGEEDLDDETDDDIDQCFDEEDGICEENPDDEIFDDDEEIDCEEELDDDDDESLDADDVECFDAEDIICKENPDDEIMSHLLLT >ORUFI03G37890.1 pep chromosome:OR_W1943:3:30802129:30802672:-1 gene:ORUFI03G37890 transcript:ORUFI03G37890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSAAGGVGGCAATLGGRRQLAGQGQAAARRRGRAAVRPREAGGQRSRAAGNKHACPSTHGSQNVAVEMEEEEGQMEEHVVQELGEERVEENFEGITKFNPDYIGCV >ORUFI03G37900.1 pep chromosome:OR_W1943:3:30826907:30827440:-1 gene:ORUFI03G37900 transcript:ORUFI03G37900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSAQAAGSTLSWSSPWPGQDLAIGEEGLHEEWFAQLAGKTGHHHRQPLPRAHHQARERQLLPHAPLTHAAELGVTTPPLAAAVPAARSSGHRLHVALTPRQPPPPPRGPCAAATASERPSHRRLRHRAAPLPTRAAQPRSSQGGPNSNSTASDLAIVTGAALSSSGLVGAPTG >ORUFI03G37910.1 pep chromosome:OR_W1943:3:30830027:30832453:-1 gene:ORUFI03G37910 transcript:ORUFI03G37910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVLGLRWMNFLPFAVVGLLQINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLILTRGPKLCNFVEWKGYKVVYRRYASLYFCMCIDAEDNELEAYYILDEILIAASDINEKL >ORUFI03G37920.1 pep chromosome:OR_W1943:3:30840557:30841697:1 gene:ORUFI03G37920 transcript:ORUFI03G37920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRAVCRCWRSATDDPRGASARDPRFRPRHWAMLDEVFQTDARLFANAATGRFLRMDLPLLGRGRRYRLVASAPGGDLVLAEASPPARDGQKDRADLQDEHGRGEGDRSLEEVKSIGGGRAIFVGATRCISINADKFNAIDGNRIYYQERDDLTSADIYMYELESEETTKIGGAIDSLNPVFLVSTEPPFSPIQLFCSYADEALRFRLEWEKIVQSLPERLPDDIMASMGADLMGDFEDEFEDFEYEFND >ORUFI03G37930.1 pep chromosome:OR_W1943:3:30843039:30843356:-1 gene:ORUFI03G37930 transcript:ORUFI03G37930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTVFVAILAVAAAAAAAAVSTTTAHGVAVGRRALDEYRSVLRVIVPLEVAGAPSSGSLDDDAAAALGPDLPEFGAAPAAGPAAAACGGDEVDCDNKVPVYGP >ORUFI03G37940.1 pep chromosome:OR_W1943:3:30848981:30857522:1 gene:ORUFI03G37940 transcript:ORUFI03G37940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAAASAANVAVIGGGISGAVCASLLAARGVAVTLFDSGRGAGGRMAQRREVMEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGLVAEWKAMFACFDREAGKFTDFEKEGTIKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWIQDRSSWLLASLDGRDLGHFDYVVATDKNIASPRFSGLTGRPPPLDLSLLPQLSMMIQDIPVRSCFALMLAFSEPLTKVPVQGFSFNNSDYLSWAFCDSSKPGRAHVPLNSQSWVLHSTAEYASKVINNIGPRKPSADALAKVAEELLKEFQATGLNIPQPIFMKAHRWGSAFPAIAISGDDKCVWDKSMKLAICGDFCTSPSVEGAVLSGMRGASKILRYHY >ORUFI03G37940.2 pep chromosome:OR_W1943:3:30848980:30855891:1 gene:ORUFI03G37940 transcript:ORUFI03G37940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGLVAEWKAMFACFDREAGKFTDFEKEGTIKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWIQDRSSWLLASLDGRDLGHFDYVVATDKNIASPRFSGLTGRPPPLDLSLLPQLSMMIQDIPVRSCFALMLAFSEPLTKVPVQGFSFNNSDYLSWAFCDSSKPGRAHVPLNS >ORUFI03G37940.3 pep chromosome:OR_W1943:3:30849090:30857520:1 gene:ORUFI03G37940 transcript:ORUFI03G37940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGLVAEWKAMFACFDREAGKFTDFEKEGTIKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWIQDRSSWLLASLDGRDLGHFDYVVATDKNIASPRFSGLTGRPPPLDLSLLPQLSMMIQDIPVRSCFALMLAFSEPLTKVPVQGFSFNNSDYLSWAFCDSSKPGRAHVINNIGPRKPSADALAKVAEELLKEFQATGLNIPQPIFMKAHRWGSAFPAIAISGDDKCVWDKSMKLAICGDFCTSPSVEGAVLSGMRGASKILRYHY >ORUFI03G37950.1 pep chromosome:OR_W1943:3:30857748:30859363:-1 gene:ORUFI03G37950 transcript:ORUFI03G37950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAAAAAGQLDVDKLTYEIFSILESKFLFGYDDPKLVFSGGDEPQGAVKGTPARGPAVVERGKVCVLSIDGGGRAADGLLAGAALVSLEASLRRRTGDETARLADFFDVAAGSGAGGVLAAMLVARGGDGRPMFSAEDALAFLMRSLRRGWSGGGGGGAAGGIRALFRRPGAAFRKVFGDLTLRDTVRPVLVPCYDLASAGPFLFSRADAVETAAYDFRLRDVCAATCAGSDGSASAVEVRSSDGATRIAAVGGGLALGNPTAAAITHVLNNKREFPLAAGVEDLLVISIGSGECDNRPTGGAAASTSEIVRIAAEGVADMVDQAVAMAFGHNRTNNYIRIQAMGSPRASRGGMRCGGGGGGDGAGWGVAEEMLSQKNVESVLFRGKKLAEQTNAEKLEWFAHELVKERDRRRTAGALAPAVVKQQPSESAPATAAAADGHTPPPTSYSNLVSQMFTTIL >ORUFI03G37960.1 pep chromosome:OR_W1943:3:30868117:30871262:-1 gene:ORUFI03G37960 transcript:ORUFI03G37960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKRAVSSSRLVSSPAKLTDDATPPQPPPQPQPGDRRRRPRLPDPPRPHGGKAVPLSGLSPQEGEEEWPRCVGCGRRVKTLYMQYSPGNIRLMKCDTCKAVADPYIECEFMIILIDLILHKTRAYRHLLFNKLRIYSSLDKRFSIAARYREVLLAVIISSYFKLFLMAMMVWEFPSSVIFFVEISVLSSNTVALRVVTEFSKAHCFGVCFGAHAARYLTERWLLGAP >ORUFI03G37960.2 pep chromosome:OR_W1943:3:30868117:30870740:-1 gene:ORUFI03G37960 transcript:ORUFI03G37960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKEGEEEWPRCVGCGRRVKTLYMQYSPGNIRLMKCDTCKAVADPYIECEFMIILIDLILHKTRAYRHLLFNKLRIYSSLDKGVLVLSILTHLFLDACNIADGDSSINIFSAILNCSKVLGDALLGNIVFMTMLFLEVQFILKLSFDIRRYREVLLAVIISSYFKLFLMAMMVWEFPSSVIFFVEISVLSSNTVALRVVTEFSKAHCFGVCFGAHAARYLTERWLLGAP >ORUFI03G37960.3 pep chromosome:OR_W1943:3:30868117:30870740:-1 gene:ORUFI03G37960 transcript:ORUFI03G37960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKEGEEEWPRCVGCGRRVKTLYMQYSPGNIRLMKCDTCKAVADPYIECEFMIILIDLILHKTRAYRHLLFNKLRIYSSLDKVLGDALLGNIVFMTMLFLEVQFILKLSFDIRRYREVLLAVIISSYFKLFLMAMMVWEFPSSVIFFVEISVLSSNTVALRVVTEFSKAHCFGVCFGAHAARYLTERWLLGAP >ORUFI03G37960.4 pep chromosome:OR_W1943:3:30868117:30870740:-1 gene:ORUFI03G37960 transcript:ORUFI03G37960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKEGEEEWPRCVGCGRRVKTLYMQYSPGNIRLMKCDTCKAVADPYIECEFMIILIDLILHKTRAYRHLLFNKLRIYSSLDKRFSIAARYREVLLAVIISSYFKLFLMAMMVWEFPSSVIFFVEISVLSSNTVALRVVTEFSKAHCFGVCFGAHAARYLTERWLLGAP >ORUFI03G37960.5 pep chromosome:OR_W1943:3:30870786:30871262:-1 gene:ORUFI03G37960 transcript:ORUFI03G37960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKRAVSSSRLVSSPAKLTDDATPPQPPPQPQPGDRRRRPRLPDPPRPHGGKAVPLSGLSPQLFWVVICLSPD >ORUFI03G37970.1 pep chromosome:OR_W1943:3:30871325:30878517:1 gene:ORUFI03G37970 transcript:ORUFI03G37970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIPSLKNFNAFPHAEDHLLKKTYSGAIVTIFGLIIMVTLFAHELKFYLTTYTVHQLRLDKYGHIIGTEYLNDLVEKEHGTHNHDHDHEHEDEQKKQEHTFNEDAEKMVKSVKQAMENGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAEKIFDGSSHVNVSHIIHDLSFGPKYPGIHNPLDETTRILHDTSGTFKYYIKIVPTEYRYLSKQVLPTNQFSVTEYFVPKRATDRSAWPAVYFLYDLSPITVTIKEERRNFLHFLTRLCAVLGGTFAMTGMLDRWMYRLIESVTKSKTRSVLR >ORUFI03G37980.1 pep chromosome:OR_W1943:3:30877844:30881574:-1 gene:ORUFI03G37980 transcript:ORUFI03G37980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQPPVLSDRLNPLIHHRSAFPASVVQPQDHGDSVPGLCSGSFIDTRGRLSSGSMTSEDSPALTPRWLSIKSNSSSDNCFEGSKRAVSWSDRHVFNPNGQVNYAEFMDLMKQELDTQLDRLKGDVTGLENFALPDNGYIIGTHLGMSLDVMLIEIDERFNALKLLLATVFRKAREMDSSSVSDLQWEHELQLEVINITIGEFISGLQEEMERKLYEQISMTNSMSKNWQDAIAQFASMRDDLGALSKLLLPSLQESHISHSKHETSSNRSNRWKYNIFGKKNKEDHSSRAEENKSFRKQKSMVVSEKSDFRHLNEDDLLRQIAKIKEEYEDLQIEAGVRDGVYQTVTRKLLDDSMNSMHDAATNFSTELSSLEAMISEKEKALCLSNEENRMLKEKIAELEQCLIQDKQEDTEVIKQESTEIILRDIEVAPHISPRRSHETPKQDMQYDELVKLNSSLEIASAALKEVENKNIDYNGIFTKNEQEKQLECILISIMKLSKEFVEIEQKLSVERSASRSEDLSDHCNHMVRQAVVLTKIGLWYKQMLETRRSELQKAEAKVVILGDKVNSHLNLLQKIYVTLDRYSPTLQQYPGLLDAFLKTCKLVAAFD >ORUFI03G37990.1 pep chromosome:OR_W1943:3:30883548:30886050:-1 gene:ORUFI03G37990 transcript:ORUFI03G37990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVASQVAVSAPAGSDRGLRSSGIQGSNNISFSNKSWVGTTLAWESKATRPRHANKVLCMSVQQASESKVAVKPLDLESANEPPLNTYKPKEPYTATIVSVERIVGPKAPGETCHIVIDHGGNVPYWEGQSYGIIPPGENPKKPGAPHNVRLYSIASTRYGDSFDGRTTSLCVRRAVYYDPETGKEDPSKNGVCSNFLCNSKPGDKVKVTGPSGKIMLLPEEDPNATHIMIATGTGVAPFRGYLRRMFMEDVPKYRFGGLAWLFLGVANTDSLLYDEEFTSYLKQYPDNFRYDKALSREQKNKNAGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKKVAEQRGESWEQKLSQLKKNKQWHVEVY >ORUFI03G38000.1 pep chromosome:OR_W1943:3:30887193:30892570:-1 gene:ORUFI03G38000 transcript:ORUFI03G38000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPPSLSIGAASFAAPSPPPPSPGASSSSSSAAAAAPGAAKDRKMASAEQLVLDLCDPELRENALLDLSKKREIFQDLAPLLWHSYGTIAALLQEIVSIYPSLSPPTLSPGASNRVCNALALLQCVASHSDTRIPFLNAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDSEVIGFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVASVLSQMVQALADQPSPRLLKHIIRCYLRLSENSRACTALNSCLPNALKDGTLNNFLQDDHVTRRWLQQLLHNMTMAGMGGAPHGGLDHIMGM >ORUFI03G38010.1 pep chromosome:OR_W1943:3:30894575:30895447:1 gene:ORUFI03G38010 transcript:ORUFI03G38010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICGPAEQPASAPLNPRRRHRRGGGGGAELCSPHRRIPIRSQITARSKPEIFFSSLAAEDIPAPVQVAAPVLGDNGEPSL >ORUFI03G38020.1 pep chromosome:OR_W1943:3:30898812:30901006:-1 gene:ORUFI03G38020 transcript:ORUFI03G38020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDGDKPLRRIAASFEELEAVAKQQAPGPAMEVGSFARACSNVSVLFGCLGIAFKFAEMDYVDDLLEASKSISKLPEMVELDIQKGTVRQAGSHTRNMLRVKRGIDMVKILFEQILVTEGNSLRDAASKAYAQVFAPHHGWAIRKAVAAGMYALPSKSQLLKKLNEDENSAKVQMQTFIRASGPVILYVEDLFTSRNLGMDW >ORUFI03G38030.1 pep chromosome:OR_W1943:3:30904206:30904718:-1 gene:ORUFI03G38030 transcript:ORUFI03G38030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELTAAAPVGSGSAARLTGRRPCRRGAGGGGRAASSTTPLPPCLFLGRGTVAPVPSRGRLLLAAVSNRSPLLTPTSTAFARSAAGPAHPLLLAAAAAARGLPRRGRRGGGVPRSSLRHRASRRCRGRLLAAASRRRRGEGGEGGAARDREGGEGGKAAAPPPRQRGGNC >ORUFI03G38040.1 pep chromosome:OR_W1943:3:30907268:30915757:-1 gene:ORUFI03G38040 transcript:ORUFI03G38040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVRSSSGAAAEPPPTPVARYDRGGALIFGGRFAGVAVGVVGESGSRCLDRLCRGWVLEVFVGFVTGAAIARRDFGLVYGERSEAVSVDVWLNPRAGASYWPASITVLLSGEIYIPLGGNRAIFGPSSPAMQANVASIDWSGSRQASRVDSSSHVAPHAHQPSHSFDATGTALDSAPSCRPWERGDLLRRLATYKPTTWASRPKAASSLACARRGWVNVDMDKIECESCGAHLIFSTLTSWSPAEVSNAGEAFAEQLDASHHNSCPWRGNSCADSLVQLHLTQSALIGGFKDRCDGLLQFTSLPVIASSAIEHMKLTRSSQIDRLLSQSITFLSGELSYKAESTTGIDIQQDSSCSYSKARKLISLCGWEPRWLPNVQDCEENSTHSAKNADSVEPFFPRFAEHQKNSFSGSAKKDKGKGKRPLKDSGCSMRSPLLDCSFCGSTVKIWDFRSVSRPCRFSPNNIDAPETGKKLALTRGISAASGINEWVTDGMERDPAEGRDEEATNEGKSLSNAGVDLNLTMAGGLPSIQSSIPIASERFNGGLGRDLMIGQPTGSEVGDHATSYESRGPSSRKRNHEEGGSTVDKPQDRLQHADSIEGSVIDRDGEEVDDAAQDSDIPNKRSRGFDLFGSYLPSSSGAGPSRNFCFDPDADAGKFSHARAAGLAAVDRDSMRESSVAAMDTVHSADEDSMESVEYYPGDGNDIDMPSSSAHRNIEMDDVLGLNYSNQAQQSACVQPASGSDGREIGGSSTNEGEEVLDAVTAPAFARDQLSVGISGGSVGMGASHEAEIHGIDVSLQRAESVVGDAEPNTELTETMGHTGESVPGPGLMDEFVPDEVDRQEPHGDSQDMVSQSVGQADSGSKIYGSTKADSVESGEKIGGHAVGHASRMHPSLSCNAGMQTGLDVSKEEVTQAGKLLIAGDVPMGLDYDPQNGLGSILLNLVICFSGATNGENDFESGLPEFDPVKHHNSYCPWVNGTVAAACCSNTESSSSSSPLSGWQLTVDALDTFQSLGQAQNHAMRSDSAASLYMDDHVTPNHKLARRASDYYCKQARFLACGPEGLCFHALCDLFLLPESDHPMSAAQSATEDDDEVARAAMPLCSFYASTSLPVAKPHSLPSSSSSKPYTATPAPTPTAASASASAAALSLHLPELPSRVKDKILSLELMGVDYGRALALNPALRDAAPESIHAVVTFLQSRGLHFKDLGRVFGMCPSLLTASVRADLRPVFAFLTDDLGIPDTAYRRVVVKCPRVLACSVRDQLRPALLYLRRLGFRDARALAFQDPILLVSSVERTMIPKLDFLAGLGMHRDDAVAMVLRCPALFTFSIERNYKPKFEYLVAEMGGGVHDIKAFPQYFTFSLDKRIAPRHRAAADAGVSLPLPDMLKATDEEFMEMLDKEIELQKQAA >ORUFI03G38050.1 pep chromosome:OR_W1943:3:30916060:30919391:1 gene:ORUFI03G38050 transcript:ORUFI03G38050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEASTSASGGGGAAANGGQRGFPPARSGVFYRFTQQNLPAWKPAMTPGCVITIFLMIGVTFVPVGLVCLHASNHVAEIAHRYDIDCVPNAYKRNRQAYIKDSSISKNCTQEVKVKYLMRAPIYVYYELDNFYQNHRRYVKSRSDKQLRYGQKYTHSSCDPIERNDGLPIVPCGLIAWSLFNDTYGFTCGSTEIKVNRKNISWKSDREHKFGKDVYPFNFQNGSLIGGGKLDPALPLNQQEDLIVWMRTAALPQFRKLYGVIEEDLQADEIITMHIANNYNTYSFGGKKSLILTTSTWLGGKNDFLGYAYLITGSLSLFLTILFALIHVKNPRPHGDANYLSWNRPNSNS >ORUFI03G38050.2 pep chromosome:OR_W1943:3:30916060:30919430:1 gene:ORUFI03G38050 transcript:ORUFI03G38050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEASTSASGGGGAAANGGQRGFPPARSGVFYRFTQQNLPAWKPAMTPGCVITIFLMIGVTFVPVGLVCLHASNHVAEIAHRYDIDCVPNAYKRNRQAYIKDSSISKNCTQEVKVKYLMRAPIYVYYELDNFYQNHRRYVKSRSDKQLRYGQKYTHSSCDPIERNDGLPIVPCGLIAWSLFNDTYGFTCGSTEIKVNRKNISWKSDREHKFGKDVYPFNFQNGSLIGGGKLDPALPLNQQEDLIVWMRTAALPQFRKLYGVIEEDLQADEIITMHIANNYNTYSFGGKKSLILTTSTWLGGKNDFLGYAYLITGSLSLFLTILFALIHVKNPRPHGDANYLSWNRPNSNS >ORUFI03G38060.1 pep chromosome:OR_W1943:3:30920206:30920577:1 gene:ORUFI03G38060 transcript:ORUFI03G38060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNLIVFYLNVTKRIVGVNLIYDTIDESLSMIPMLSSHKATIHTTQMLVTRRRTTTAIDDDEGDYALTYLGKMAVEEKPADKPGDMPVISWPDVVYQWRPLSSLPSWKMIKRAKPSRRVDGR >ORUFI03G38070.1 pep chromosome:OR_W1943:3:30931157:30935077:1 gene:ORUFI03G38070 transcript:ORUFI03G38070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGDGEGGGGGGGRGKRGRGGGGGEMVEAVWGQTGSTASRIYRVRATGGKDRHSKVYTAKGIRDRRVRLSVATAIQFYDLQDRLGFDQPSKAIEWLINAASPAIDTLPSLDPAAFAAIPHAAADAAPTRRRSQQQQQQLSNKSGCSSTSETSKGSDKEVTVASAPAQAASFTELLIAGVAASSAGGGAIGNGADCVGIAHPGKGGAEGASTYGFSAASSFGDAPPIGMVPAPPFNFSAPGADMAAHYSLAQDQLAAPPPPAGGDYNLNFSMSSGFLGANRGTLQSNSPSNMSGHHHHHHQQQLQRLDGSTISFLLGHAAAAAHPAASEGQITSTAALQLN >ORUFI03G38080.1 pep chromosome:OR_W1943:3:30936222:30936917:-1 gene:ORUFI03G38080 transcript:ORUFI03G38080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKELVLLDFWVSPFGQRCRIAMAEKGLEFEYREEDLGNKSDLLLRSNPVHRKIPVLLHAGRPVSESLVILQYLDDAFPGTPHLLPPANSGDADAAYARATARFWADYVDRKLYDCGSRLWRLKGEPQAAAGREMAEILRTLEAELGDREFFGGGGGGRLGFVDVALVPFTAWFYSYERCGGFSVEEVAPRLAAWARRCGRIDSVVKHLPSPEKVYDFVGVLKKKYGVE >ORUFI03G38090.1 pep chromosome:OR_W1943:3:30941340:30944878:1 gene:ORUFI03G38090 transcript:ORUFI03G38090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNPPPKPWERAGTSSGPAPFKPPSGGSTSDIVEASGTAKPGEVVSAAESNVASNVNSTISRPVPPRPWQQQGYGNSYGGYGSSLYSSLGGFGGPYSSGGLYGNNMYSSYGGGYGGMYGSSGMYGGSMYNSGMGGPYGGYGMGMGAYNQGPNSFGPPAPPPGFWMSFLRVMHGVVNFCGRVAFLFSQNTQAFHMFISALLQLCDRTGMLYGELARFVLRLLGIKTKAKKGGVQGAETSSFEGPGHHFAEAPKANNSWDSVWTDDGSGK >ORUFI03G38100.1 pep chromosome:OR_W1943:3:30945283:30949326:1 gene:ORUFI03G38100 transcript:ORUFI03G38100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVMEYQAIAKQKLPKMIYDYYASGAEDEWTLKENREAFSRILFRPRILIDVSKIDMSATVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPYLTLKNFEGLDLAEMDKSNDSGLASYVAGQIDRTLSWKDVKWLQSITSLPILVKGVITAEDARLAVHSGAAGIIVSNHGARQLDYVPATISALEEVVTAAAGRIPVYLDGGVRRGTDVFKALALGAAGVFIGRPVVFALAAEGEAGVRNVLRMMREEFELTMALSGCTSLADITRAHIYTDADRLARPFPRL >ORUFI03G38110.1 pep chromosome:OR_W1943:3:30963406:30964332:-1 gene:ORUFI03G38110 transcript:ORUFI03G38110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSPMAAQAADLSLTLAPSGGGGGGGGGGGGGGSSSACIDGKDVRLFPCLFCNKKFLKSQALGGHQNAHKKERSIGWNPYFYMPPTPHPAGNAAAAAAAATPGGMSSVTTPSGSYGVVGGAAAAAAAVVGATAGVGGGGGVGGGLLPAHAYAGHGYAAVPTSFPIASHSSSVVGSGGLQYYAGTDCGAAAAGAAKTTTTAAAAATTAVAGSESGVQVPRFATHQHHLLAVVSSGRAMLAAPDQPGAGRDDMIDMLNWRRGSHGPTASAAATTPSPASTTTTLTTFASADGSNNGEENEELDLNLSL >ORUFI03G38120.1 pep chromosome:OR_W1943:3:30973739:30978030:-1 gene:ORUFI03G38120 transcript:ORUFI03G38120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPDEEEDEAFLLAVAATEEAALASSDSSKRRRLSMTSSTSSSPTSATPPPAAVPEGPYLAALKGSHSSAWKQQQETLSQARKRPGGSQTLATPGSGSGSGGAQVARGGACFKCGDSSHWARECPQSVPATGGGGGGGAFGGSGGGGGGYGDAGGAVEEKACPCGAGSCLVLTSNTPRNPGRKFYRCPMRDNGGCNYFEWCDNPSPGPANVSGNTVFQSDTSVAHMLCPCGAGACLILTTKTGKNVGRQFYRCPANQGISYLFLNVRSGHISREVALAAISSGVMNNSLGQLLHCKLQHNIILMLLQVAKFPARGAPPPASNAGKRTIGQRTAQINLQILILTKVGEHLLLQALPMRVSSVVRAGTGPVIAPPQIVVLVPSPAMSSPLLLWAHGTATDTDSRYL >ORUFI03G38130.1 pep chromosome:OR_W1943:3:30979907:30981121:-1 gene:ORUFI03G38130 transcript:ORUFI03G38130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLAIRRREARLLPPLAVLVRSMAYVDVKMRWKKDASFDAVPVLSQARDLRPLVSLAGLLSPSPTPVSAVSKLRIPLEVPDRRVISFLRRFPAAFVESVGPEHNHPWFRLSGSAAGLLQEEREVFAARRADITSRLGRLLLMAPRRRLPLRAAQGMLWHLGLPEDYFRCRDYDIAQDGFRILTIGDSVCREEEDDGRELVLIDNGEQQEMPKSVLQMDAIRRFGSMETVPIPLFQSKGLRLKQKIEAWLEGFQKLPYVSPYEDFSGIDRDSDVSEKRVVGVLHELLSLFVTCSAERRRLLCLRQHLGLPQKFHRVFERHPHVFYLLLKEKTCFVVLKEAYLARGDTAIEEHPMLVVRRKYAGLMEESREIIRCRRSGKPFPSKHKDHEQIEDSEGANSAPILS >ORUFI03G38140.1 pep chromosome:OR_W1943:3:30983166:30988448:1 gene:ORUFI03G38140 transcript:ORUFI03G38140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVENKLKDYEIVREGEAEIIINKKIAANEVFYNPVQVHNRDMSVALLRTFIAKREEEHKAMMDKRDKAHNKVSQSKSSGPNGENGSTGQHDEMDVDAEKETNKVADETEDLSTEATKTPSRKVARELKAPVVLEALAASGLRSIRYAREIDVLEKVVALDIDKACIEACKRNIKFNGASAMSKIEPHLTDARVYMLTHPKEFDVVDIDPYGAPSIFLDSAVQAVADGGLLMCTATDMAVLCGPNGEVCHSKYGSYPTKGKYNHEMALRILLASIESHANRYKRYIVPVLSVSMDFYIRVFVRVFTSANEVKKTPQKLSYCLGRTITKNNSVKNAPAIGPVVPQECSACGKKFTIGGPIWSAPIHDQEWVVSTLTEVKSMKDRYPAYDKITSVLTTISEELHDIPLFFSLHNICANVKCTSPSAVLFRSAVLNAGYRISSTHVNPLGLKTDAPWDVIWDIMRCWVKNHPVKEQPHDSVGTAILSKSPKLEANFSRAAAALSRAQAKKVKRFLPNPERHWGPKIRAGRKITSKHASLLGPDVVNRVINGAASTEDEKVAEPNNPTTETGGDATNEEDEPSTKRQKNGDVGLATEP >ORUFI03G38140.2 pep chromosome:OR_W1943:3:30983367:30988448:1 gene:ORUFI03G38140 transcript:ORUFI03G38140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVENKLKDYEIVREGEAEIIINKKIAANEVFYNPVQVHNRDMSVALLRTFIAKREEEHKAMMDKRDKAHNKVSQSKSSGPNGENGSTGQHDEMDVDAEKETNKVADETEDLSTEATKTPSRKVARELKAPVVLEALAASGLRSIRYAREIDVLEKVVALDIDKACIEACKRNIKFNGASAMSKIEPHLTDARVYMLTHPKEFDVVDIDPYGAPSIFLDSAVQAVADGGLLMCTATDMAVLCGPNGEVCHSKYGSYPTKGKYNHEMALRILLASIESHANRYKRYIVPVLSVSMDFYIRVFVRVFTSANEVKKTPQKLSYCLGRTITKNNSVKNAPAIGPVVPQECSACGKKFTIGGPIWSAPIHDQEWVVSTLTEVKSMKDRYPAYDKITSVLTTISEELHDIPLFFSLHNICANVKCTSPSAVLFRSAVLNAGYRISSTHVNPLGLKTDAPWDVIWDIMRCWVKNHPVKEQPHDSVGTAILSKSPKLEANFSRAAAALSRAQAKKVKRFLPNPERHWGPKIRAGRKITSKHASLLGPDVVNRVINGAASTEDEKVAEPNNPTTETGGDATNEEDEPSTKRQKNGDVGLATEP >ORUFI03G38150.1 pep chromosome:OR_W1943:3:30989015:30996290:1 gene:ORUFI03G38150 transcript:ORUFI03G38150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPHATAPKRPGHFSSSSAAASSPTSPAQPHMKKAKFPGSSSSSSSAAAPGVTEKNGLHVDPTAAAARTGGRTNGEEDAEMVLADQEELAAPSASAPAGGAANLFRKKATLPQPSAARKPLRIKIGQPKLPTNFEEDTWAILKDAITAIFLKQKLSCDVEKLYQAAGDLCLHKLGANLYERIKKECEVHISAKISALVGQSPDLVVFLSLVQRTWQDFCDQMLIIRGIALLLDVKYVKNVANICSVWDMGLKLFRKHLSLSPEIEHKTVTGLLRLIESERLGEAIDRTLLSHLLKMFTALGMYSESFEKPFLECTSEFYATEGVKYLQQSDIPDYLKHVETRLQEEHERCILYLEANTRKPLITATEKQLLQRHTSAILEKGFTMLMEANRVKDLSRMYTLFQRVDAIELLKQALSSYIRGTGQGIIMDEEKDKELVPFLLEFKASLDRILEESFAKNEAFSNTIKESFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGILDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVKLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQSVVLMLFNDAQKLSFLDIKESTGIEDKELRRTLQSLACGKVRVLQKMPKGRDVEDKDEFVFNEEFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKTLSHTLLITELFQQLKFPIKPSDIKKRIESLIDREYLERDRSNPQIYNYLA >ORUFI03G38160.1 pep chromosome:OR_W1943:3:30996926:31008669:1 gene:ORUFI03G38160 transcript:ORUFI03G38160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLRSYLGRLLLEEITPVVMVLTTPLAEAACRKSGLSVVDMLSPFSLFKKIDGDSPPRCSPLPIIVCMTLVAFHSIGFCDLMGNPLYAVPVRTASDQPYRLQMFKIRMVYASDVRKQDYEVADQRIKPVVSEANESALPDLLSDPPQLEDVLSKPEAELCPLWIKKFNRALMRTLSFSEHETFDHPVACLLVVSSKDNEPISKFVDLFNTNQLPSLLNEGIMDPQILKHYLILHDQQDGPQEIAMNILAEMKSTLGLNDCKLLCINSSTEADGADAENSWLPYIKDFMQDLASNHIIPYMEQKIRVLNQQVATTRKGFRNQIKNLWWRKRDDVPEAANGPMQVFAVTLFFFFNRYTFTSIESQIRVLGDYAFMLRDYELALSNYRLLSTDYKLDKAWKRFAGVQEMSGLCYFMLDQSRKDAEYCMDSAFSTYLRIGSSGKRNATRCGIWWAEMLKTRGQYREASSVYYRVSNEEPSLHSAVLLEQAACCYVLSKPPMLRKYGFHLVLAGNSYYISDQKQHAVRAYRNALFVYKQHPWSYINDHVHFNVGRWYGVLGIFDVAIKHLLEVIACSHQSLTTQSMFLNDFFHFVQSMGKKFDVYKLQLPVINMPSLRVIYEDHRTYASDADVNVSESIWQELEEEMIPSSSIVRTNWLEKSPDLRKYKDSCVCVVGEAVKVRIELRNPLQIPVVVSCISLICQLSTSLDASSAVNSVLTTGAGEDIANTKPAISTFEDDGNNFTVSKLDIVLGGSETKSVQLEVTPKVEGILKLHGIRWTLSDLLVGYQYFEFDTKRKTKKGKKGPRRTLSNTLIVIKGLPKLTGCIDHLPTNAFAGDLRLLKLNLRNQSEYAVKVCSNEHNFWSKFNGTTQFEPTTTNHTFKEGFQKTTRSSEPQNYFFYEFTLVRLLTETISKVYVVICVPYGPHTRSWSMDDLLVNVAKNIKMKLSHPRFVIPGDLSEVDFEFPQCLRKHVQSEISTVSTKRTQGDAKGLLFTFSQVFPSLNVSFAIRMCSSRLKEYIVRMDILNRTPSESFILHQLSCNDSKWAISSLPLCDSIRSIETVSANQSVSCFFKIKDLGTNSCKEAENSSCRSDMLFLSREGNSNTEEFDVSQSPITNFHYQERYQQGRLAKGPRDLLDFILISKAVGGNYSKSDPDVQLLSHHVCHCSAIDQSPIWWFMEGPRTVTHDFSKSYCEANIQLVIHNTVQHNISARVVTYDSVPDKSQTVNLQDSNSNQGGWYDVSLENDIKAISTAKGTHYQKQPSESISPFVWCSLSSSQVDLKPDTSTKVPLKVCIFMPGTYNLSNYQLQWKVHSSEVGQVDENQRSGGGQGHPFYVTVLQDA >ORUFI03G38170.1 pep chromosome:OR_W1943:3:31011960:31012952:1 gene:ORUFI03G38170 transcript:ORUFI03G38170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFSSSWKRTGGGGGGDGDIESGGGVEMAPPPGAAAGASLDRFFEDVESIKDELRDLERIQRSLHDANEGGKSLHDAAAVRALRARMDADVAAAIKKAKVVKLRLESLDRANAANRSVPGCGPGSSTDRTRTSVVAGLRKKLRDSMESFSSLRARISSEYRETVARRYYTVTGEQPDEATLDNLAETGEGERFLQRAIAEQGRGEVLGVVAEIQERHGAVAELERSLLELHQVFNDMAVLVAAQGEQLDDIETHVGRARSFVDRGREQLVVARKHQKSTRKWTCIAIIILLVLILVVVLPIVLKFVNNNKSSSSSPAPATPSPPPPTA >ORUFI03G38180.1 pep chromosome:OR_W1943:3:31013879:31015817:-1 gene:ORUFI03G38180 transcript:ORUFI03G38180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATLSSRSRRACAEAASVLLVLLVIAPSVAAGGDTNGVYEPCADAAVQRGDGFTFGVAFAARGDFFSGGVQLSPCDGRLSLASKGAKLAVFRPEVDEISLLTVNTSAAGGFDPLNIMKPLVFLIGHYFAMCQATSGGYMVAFAGRKYAARSPPVFVSNSSYTVTSFTLVFEFNKGTLQNLYWKANGCSACSGQPSFTCVDQNCAISTANCTGKGGSVDCSPGIQLAFSGTDKHEAVLNSWYEVSKLRQYSLVGLFSNLKDSLTSQFSIFF >ORUFI03G38180.2 pep chromosome:OR_W1943:3:31013879:31015817:-1 gene:ORUFI03G38180 transcript:ORUFI03G38180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATLSSRSRRACAEAASVLLVLLVIAPSVAAGGDTNGVYEPCADAAVQRGDGFTFGVAFAARGDFFSGGVQLSPCDGRLSLASKGAKLAVFRPEVDEISLLTVNTSAAGGFDPATSGGYMVAFAGRKYAARSPPVFVSNSSYTVTSFTLVFEFNKGTLQNLYWKANGCSACSGQPSFTCVDQNCAISTANCTGKGGSVDCSPGIQLAFSGTDKHEAVLNSWYEVSKLRQYSLVGLFSNLKDSLTSQFSIFF >ORUFI03G38190.1 pep chromosome:OR_W1943:3:31018124:31021155:-1 gene:ORUFI03G38190 transcript:ORUFI03G38190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISARWLKSLVGMRKVEKQQQQSKEDGDGGRVAQKRDGANHFHCQNQHGQDHDNLGAPEEFPDENGPSEGDSNALSCSEPAFSSPNVPVPQTEEELKEIWAATVIQTVFRAFLARRARRALKGLVRLQALVRGHIVRKQAAITLRCMQALVRVQARVRARRVRIALESQTDQQAILQEKINETHVREIEDGWCDSIGSVEDIQAKLLKRQEAAAKRERAMAYALTHQWQARQHAAITAFQPDKNSWGWNWLERWMAVRPWESRFLGSYAADGIPVSSGAMQDEENAVYTPHKKHVRRQTSTLHSNILNQKTCLPNSEGGGSSSNRSGGSASAKSKLKLSSREGCDEISSRPSGLGTRSSSNPKERTGHLDPQGNKRFSLPASCVEAGKRMTNKSAVNRSLKVTKHHLASSIELPRRVELQT >ORUFI03G38200.1 pep chromosome:OR_W1943:3:31025830:31029962:1 gene:ORUFI03G38200 transcript:ORUFI03G38200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTRYYEVLGVPKDASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGPGGGMHDPFDIFSSFFGGGFGGGSSRGRRQRRGEDVVHPLKVSLEELYNGTSKKLSLSRNVLCSKCNGKGSKSGASMKCSGCQGSGMKVQIRQLGPGMIQQMQHPCNECKGTGETISDKDRCPGCKGEKVAQEKKVLEVVVEKGMQNGQKITFPGEADEAPDTVTGDIIFVLQQKEHPKFKRKGDDLFYEHTLNLTEALCGFQFVLTHLDNRQLLIKSKPGEVVKPDSFKAVNDEGMPMYQRPFMKGKLYIHFSVEFPDSLNPDQCKALETVLPPRPVSQYTDMELDECEETMPYDVNIEEEMRRRQQQQQQEAYDEDEDMHGGGAQRVQCAQQ >ORUFI03G38210.1 pep chromosome:OR_W1943:3:31032834:31033489:-1 gene:ORUFI03G38210 transcript:ORUFI03G38210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPAPLLLLSSISLRSPFLMRARRLEATAAATRSSRLVPPPATASTGDDGRPSRRIHRRGGLGPSDLAASDLHGDGLTATAGCRIWGIVLGDWGIEESMKRIGLNKMAQFEPVKVFSV >ORUFI03G38220.1 pep chromosome:OR_W1943:3:31048474:31048755:1 gene:ORUFI03G38220 transcript:ORUFI03G38220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTADPRASARWCGSALGCAGANSGRGVAPARTAVSGGVEAGIGHEGNRCWRWKRSRLPQLNPSCLWAVRDASNGVVIFDSLNIYIASLIKI >ORUFI03G38230.1 pep chromosome:OR_W1943:3:31049230:31050302:-1 gene:ORUFI03G38230 transcript:ORUFI03G38230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSRPGRVGRTRVLLFLFDRSSLASLASLARDVSAARDAARLAAAAASRAARSSAVASSSPCARSAAVVAARRAARSAAAAASRAARAVSRIPSYNLDDYSDYEPTPAPPSPLPSYGPEPADDETDKDFETDLVDSVTDKDFESDEAIWAFYERWCKAYDKERDHAEMAHRFKIFKETAELVHRSNKDAPEEEKLCFGPYCDGFDEQQRAEFLLKFGHFHGIHEFVEQWKIDFPKPRKIDSPNQSP >ORUFI03G38240.1 pep chromosome:OR_W1943:3:31053357:31053983:1 gene:ORUFI03G38240 transcript:ORUFI03G38240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGAPPGAPGMMTPGDGNSVGMFSSDRIGGFGYGVGVSVGILLLITTITLASYFCTRAPVTAADAAAAAGSSRRHRGGGGGGGGGGGGAGHEHDDVELGIDEATLKGYPEVVYGQPRKEAAKAASAAATKKGGATTCSCCSICLDNYGDGDVLRMLPECGHLFHRECVDPWLRQHPTCPVCRTSPLPSPLPTPLAEVTPLAMARPS >ORUFI03G38250.1 pep chromosome:OR_W1943:3:31056776:31059846:1 gene:ORUFI03G38250 transcript:ORUFI03G38250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDHRRFPVFLAAALLTLLLLPASAAATDVEYCRQGRDYPVKVSGVEIVPDPVVSGQPATFKISASTDKSITKGKLVIDVKYFFFHVHSESHNLCEETSCPVTGEFVLAHEQTLPSITPPGSYTLTMRLLDDGNKELTCISFGFSIGFISPLALI >ORUFI03G38250.2 pep chromosome:OR_W1943:3:31056776:31059846:1 gene:ORUFI03G38250 transcript:ORUFI03G38250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDHRRFPVFLAAALLTLLLLPASAAATDVEYCRQGRDYPVKVSGVEIVPDPVVSGQPATFKISASTDKSITKGKLVIDVKYFFFHVHSESHNLCEETSCPVTGEFVLAHEQTLPSITPPGSYTLTMRLLDDGNKELTCISFGFSIGFISPLALI >ORUFI03G38260.1 pep chromosome:OR_W1943:3:31060633:31067042:1 gene:ORUFI03G38260 transcript:ORUFI03G38260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPYGGVGGKIRRRPASRAAATPYERPPAAAAARRLAAAAAAAAAEAEDAYPPQGGGGGGGWVSRLVDPASRLIAGGAARLFSTVFRKRLDPAPAPAPPKSTPPGSNNEPNQGLPESTQTGSKPILEKGKNPTGASDNKALSEVEHLVEFDRLTDLLRARTVESDLSAPADNLDNKNEARNTMDGIGGSTSHGMAADHSIAADDPVCGASSPAELAKQYMNSRYSKENRPNSLRSQVLLKNKAEASNIAYDRRRPGGPFVQELSQFSNENSELPVNGYVTPGLHGRSAIYRMSCSPFFKGPSSSNDINMSPFSSSQTRANSLVSGCRQVLKRRGAELENELGSIGPIRRIRQKSNMMSTFRDARSSPRGNFLPSRTIGSDLTDGGSPIRDSPSSKRLLLGTGQSVEPAEARRNDEDGKISSDNVLAASPQSNKMAEKIFEQLNIIVPSPKEKLSLPQFAAGNASCSMSKQPVRQGNEPNGTSDPSSSQKFQPMDSVKHSLDPELNGSPSSKDKLRKDGSSKLLSHSFKDLGNKDIRSDNVALSSVAATTSSKPGFKMAVFEDLPEFDDDQEAPIPSKNSMGKTEVKTTDKKIDLKKEQKVEPILFKQKVESNSVQKAVSSPVSEKPIASALKDARPLGLFSPNDPENRATHDVPSDNNNGFKFPHVPSGTLLESSVSQLPLASNKDDKLISASSSIFGLKQSSTPDSEQTNTADVKTEARLGESVTKPTTLDITNLEGGNERERTEDVHKSSDKVLPSAAPFHFASAASTTASLSNGFSLPSSSKLSNVTPIDKPEVSLAPSTVSTTFAPSSTSPPVSSPIPAIPTFNFGSSTSMVAATKSDSTNTVAKPASSLLFGTGDAIAEAKSTAQDTANKASSNLSTTPISSNISSSPVTSSSAFSSIATFSSSMVAASNDGIASTTSASTAPFTFSSSGNNTFGFNSPAQPAGFSTSVDGSTTQPSATSTIFGSKLPQSEGTMSQPSKSSPVQFSSPFQTVTTTTGASSSGSGSVAFGVGTASTGSGITSFGTGASSSWPSTVSFGLGASSSGTGALLFGAGASSSGTGALPFGAGASSSGTGALSFGAGAGTSSSGPGTELLSLALEVDSHFHLLALLLGHL >ORUFI03G38260.2 pep chromosome:OR_W1943:3:31060633:31068190:1 gene:ORUFI03G38260 transcript:ORUFI03G38260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPYGGVGGKIRRRPASRAAATPYERPPAAAAARRLAAAAAAAAAEAEDAYPPQGGGGGGGWVSRLVDPASRLIAGGAARLFSTVFRKRLDPAPAPAPPKSTPPGSNNEPNQGLPESTQTGSKPILEKGKNPTGASDNKALSEVEHLVEFDRLTDLLRARTVESDLSAPADNLDNKNEARNTMDGIGGSTSHGMAADHSIAADDPVCGASSPAELAKQYMNSRYSKENRPNSLRSQVLLKNKAEASNIAYDRRRPGGPFVQELSQFSNENSELPVNGYVTPGLHGRSAIYRMSCSPFFKGPSSSNDINMSPFSSSQTRANSLVSGCRQVLKRRGAELENELGSIGPIRRIRQKSNMMSTFRDARSSPRGNFLPSRTIGSDLTDGGSPIRDSPSSKRLLLGTGQSVEPAEARRNDEDGKISSDNVLAASPQSNKMAEKIFEQLNIIVPSPKEKLSLPQFAAGNASCSMSKQPVRQGNEPNGTSDPSSSQKFQPMDSVKHSLDPELNGSPSSKDKLRKDGSSKLLSHSFKDLGNKDIRSDNVALSSVAATTSSKPGFKMAVFEDLPEFDDDQEAPIPSKNSMGKTEVKTTDKKIDLKKEQKVEPILFKQKVESNSVQKAVSSPVSEKPIASALKDARPLGLFSPNDPENRATHDVPSDNNNGFKFPHVPSGTLLESSVSQLPLASNKDDKLISASSSIFGLKQSSTPDSEQTNTADVKTEARLGESVTKPTTLDITNLEGGNERERTEDVHKSSDKVLPSAAPFHFASAASTTASLSNGFSLPSSSKLSNVTPIDKPEVSLAPSTVSTTFAPSSTSPPVSSPIPAIPTFNFGSSTSMVAATKSDSTNTVAKPASSLLFGTGDAIAEAKSTAQDTANKASSNLSTTPISSNISSSPVTSSSAFSSIATFSSSMVAASNDGIASTTSASTAPFTFSSSGNNTFGFNSPAQPAGFSTSVDGSTTQPSATSTIFGSKLPQSEGTMSQPSKSSPVQFSSPFQTVTTTTGASSSGSGSVAFGVGTASTGSGITSFGTGASSSWPSTVSFGLGASSSGTGALLFGAGASSSGTGALPFGAGASSSGTGALSFGAGAGTSSSGPGTVSFGAGTSSGPGTVSFGVTTSSSGSLFGNSPFGSGTTFSGPGSGFAFSSPSSSAGSSLTMASTSMFSTSSTASSSPAFSNPFGSSSSPPSMFTFGQSASSGGGFSFGAQSSPAFSSQAPVFSFTSASMNSSTPQPAFGMTNANTSFGMGSPGNDQMNVEDSMADDTNQAAPAPAPAPIFGSSPFGQPASSPAAPVFGAPAVPSAGVFQFGGQQGSMQQNAAFPPAGGSLEFQGGNFSLGSGGGGGDKSSRRVIKVKRNPKKR >ORUFI03G38270.1 pep chromosome:OR_W1943:3:31068691:31072902:1 gene:ORUFI03G38270 transcript:ORUFI03G38270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSISKNGFFQSVSTVLWKARQDGDDALPGANGAPDGGGQGRLPAPPPPTSDAPLAVQNKPPEHVKIVSTTDTASAEQDASKSSAGSDSGEAARPRPRVPPVKRVSSAGLLVGSVLKRKTESLKDKYSLGRKLGQGQFGTTYLCVERATGKEFACKSILKRKLVTDDDVEDVRREIQIMYHLAGHPNVISIRGAYEDAVAVHLVMELCAGGELFDRIVQKGHYTERKAAELARVIVGVVEVCHSMGVMHRDLKPENFLFADQTEEAALKTIDFGLSIFFRPGQVFTDVVGSPYYVAPEVLKKKYGQEADVWSAGVIIYILLCGVPPFWAENEQGIFEEVLHGRLDFQSEPWPSISEGAKDLVRRMLVRDPKKRLTAHEVLRHPWVQVGGLAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAENLSEDEIAGLKEMFKMIDTDNSGQITFEELKVGLKKVGANLQESEIYALMQAADVDNSGTIDYGEFIAATLHMNKIEREDHLFAAFQYFDKDGSGYITADELQLACEEFGLGDVQLEEMIREVDEDNDGRIDYNEFVAMMQKPTMGLPAKKSGGLQNSFSIGFREALRMS >ORUFI03G38280.1 pep chromosome:OR_W1943:3:31074399:31077391:-1 gene:ORUFI03G38280 transcript:ORUFI03G38280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVRGALVFLLLLAGAAAETAPEEPTLPSAAADGAASSGTTSGAGAGTGINSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKHNVTIFAPRNEALERDLDPEFKRFLLEPRNLKSLQSLLLFHVLPARLPSGSWSAVSHPTLSGEEVELAAAANDGAMRVAHAAVTRPDAVLRPDGVIHGIERLLVPRSVQEDFNRRRSLADISAVLPTGAPEVDPRTHRLKKPAPPVPPGAPPVLPIWDAMAPGPSIAPAPAPGPGSGKHHFDGHSQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGHGEGSAYLFDPDIYTDGRIAVQGIDAVLFPPDDTKTAPDTAPVRRAPAVTGTRKKNKLRRGKLLEATCQMAGILGQRLRFASCQ >ORUFI03G38290.1 pep chromosome:OR_W1943:3:31093603:31096802:-1 gene:ORUFI03G38290 transcript:ORUFI03G38290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVCGALVCFFVLLVARAAAETAPVERPTLPSADVAIDGNGNGARAGAGKAAGAGAVGGGIVSNSVLVALLDSRYTEMAELVEKALLLQALEDAVAEHNVTILAPRNEALERDIDPEFKRFLLEPRNLNSLQSLLLFHVLPARLPSGSWPAAATHPTLAGEDVELAAADGGGGMRVAHAAVSRPDAVVRTDGVIHGIDRLLVPRSVQDEFNRRRSLADISAMPPTAAPEVDPRTDRLKKPAPPGDSPVLPISDATVPDPSVALASEPSDHYDGDSQVKDFIQTLLKYGGYNELADIFVNLTSLATELAQLVSEGYALTVLAPNDEAMARLTADQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGHGEGSAYLFDPDIFADGRISVQGIDAVLFPPAETKTKTKPATARIRTAPAITGVSKIKHRRGKLLEAACQMVGILGQRSQFTRCHKSGGQYKQVPVGADDAELGERIIQQLAACALSLLPC >ORUFI03G38290.2 pep chromosome:OR_W1943:3:31094618:31096802:-1 gene:ORUFI03G38290 transcript:ORUFI03G38290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVCGALVCFFVLLVARAAAETAPVERPTLPSADVAIDGNGNGARAGAGKAAGAGAVGGGIVSNSVLVALLDSRYTEMAELVEKALLLQALEDAVAEHNVTILAPRNEALERDIDPEFKRFLLEPRNLNSLQSLLLFHVLPARLPSGSWPAAATHPTLAGEDVELAAADGGGGMRVAHAAVSRPDAVVRTDGVIHGIDRLLVPRSVQDEFNRRRSLADISAMPPTAAPEVDPRTDRLKKPAPPGDSPVLPISDATVPDPSVALASEPSDHYDGDSQVKDFIQTLLKYGGYNELADIFVNLTSLATELAQLVSEGYALTVLAPNDEAMARLTADQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGHGEGSAYLFDPDIFADGRISVQGIDAVLFPPAETKTKTKPATARIRTAPAITGVSKIKHRRGKLLEAACQMVGILGQRSQFTRCQ >ORUFI03G38300.1 pep chromosome:OR_W1943:3:31098187:31100957:-1 gene:ORUFI03G38300 transcript:ORUFI03G38300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKVKMESKLSSAAAFVEGGVQDACDDACSICLEAFCDNDPSTVTSCKHEYHLQCILEWCQRSSQCPMCWQPISMKDPMSQELLEAVEQERNIRANRLNTAAVFHHPVLGDFEVPVGADDAELEERIIQHLAAAAAMRRSHRHGRRDGHRSRSGSHSRPQIVVFSRNEAIPGGSLHASSGQDEDHEQSSDLGSAHPFAALAAVDQGHMSGGSQLYVGHSDQGASNPSLHDERAMSRYKDSITKSTRGWKERWFSRSNTISGLGTEVRREVNAGIAAVSRMMERLETKDDTGPSAVPAASACSPSDANNQRTVSPNHAAVVNETSSTTCASGSGSQ >ORUFI03G38310.1 pep chromosome:OR_W1943:3:31102951:31104420:1 gene:ORUFI03G38310 transcript:ORUFI03G38310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYNKHMLHPIDLESLSAGRMVLNLGLPDDERVAKQYTLSSLTDICNERNHITFQQKELSATSLLSKIKEEAKTWALAGGEKC >ORUFI03G38320.1 pep chromosome:OR_W1943:3:31105349:31109195:-1 gene:ORUFI03G38320 transcript:ORUFI03G38320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNCVGPSAAGQNGFFANVALWRPRPADAAPPALPPPSSAPSDQAPEPVTIPPSEHSSHHSSRSTDPSTPTSAAEQPANKAAPKVKRVQSAGLLADSVLKRDVNTARLKDLYTIGKKLGQGQFGTTYLCVEKATGREFACKSIAKRKLLTQEDVEDVRREIQIMHHLAGHANVVSIVGAYEDAVAVQLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIVGVIEACHSLGVMHRDLKPENFLFIHQKEDSPLKAIDFGLSIFFKPGETFTDVVGSPYYVAPEVLMKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSEPWPNISESAKDLVRKMLIRDPKKRLTAHEALCHPWVCVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMLDTDNSGHITLEELKTGLQRVGANLMDSEIDALMEAADIDNSGTIDYGEFIAATLHINKVEKEDKLFAAFSYFDKDGSGYITQDELQKACEEFGIGDTRIEDIIGDIDQDNDGRIDYNEFVEMMQKGNNAMGKMGQHSTGNFGLGEALKLRCC >ORUFI03G38330.1 pep chromosome:OR_W1943:3:31112197:31112700:1 gene:ORUFI03G38330 transcript:ORUFI03G38330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTVAMPSSSSSRRGGLRGPRPLPLKVSSSSSRGSSPSSSASKGASKKAAAAPVIVYEHTPKVVHARPQEFMTVVQRLTGKPPAAPPVMMPAYDAPAMVEQGAAAACGAGGDPLLLTLGQRQAAASAPAQPPAVPSPMMAAGMLLSPGFVFSPNTMQSIQELSPLF >ORUFI03G38340.1 pep chromosome:OR_W1943:3:31114608:31116538:-1 gene:ORUFI03G38340 transcript:ORUFI03G38340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIGDPSPSSRRSVKRRPPARSPELSPKAWGGEAPELIRRLEELEEAAARLRGEKEAAEEAARELQAELDAERASAETATSEAMLMIERLQREKAAAQMEARQFRRYAEGREDREREVQEELASLSDLAASYHSRLQSHGIDPDSFSDDGEEEQHDEEDGEEVEQIDTAALQTDGSSGGDSIGGMQVKAMVDDDEEEQFTPVEKEFEYTVDVRCASSTTKVSGAVVVGEFMGEGNAAAGGLYARVEALEADRAAMRREIAALRAERAQLVMARAMARRLCREVVAEQKAKKVAASPRSFSVLGVCKVYLRSVNYVPWLPTAARQIHHVESLASSA >ORUFI03G38350.1 pep chromosome:OR_W1943:3:31114635:31121589:1 gene:ORUFI03G38350 transcript:ORUFI03G38350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLSSSSRKPRNVVDRPKRPNRSLVLGAPFALTRKETTRRLPKYKKEEKPCTWASRAAGELDHDSIALSELEPWMVAGSGKLEAAALDLGQQTTDPGQGGAGRYSGEPATSARVVLRGPMDELKSSMSERAQGCRQ >ORUFI03G38360.1 pep chromosome:OR_W1943:3:31123606:31124772:-1 gene:ORUFI03G38360 transcript:ORUFI03G38360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKITQRKKRKKEPQPQLNTPARWCGGAWLRAAAAWQRRGVLNGARRCCGAAARRSGERRLRGLHPRPPGATSIPQLTGATSDRLALDPSSTRSGDADPATGRRLLRPPAASPTDECRTADSPVGCFRVQKAKRAASRSSNRDEVIRKEEEQGRLQAELKKVIDELLACHGCFMCDEMFVKTFLCDEMLCVMNAMATTQKHFFFVFFYRNLHQ >ORUFI03G38370.1 pep chromosome:OR_W1943:3:31124922:31125344:-1 gene:ORUFI03G38370 transcript:ORUFI03G38370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYCDGGGGRGDPSGGGGGGGAGAPPYRPAAGSVWSLPGMTPRPPGPPPKYQQPGHQPAVVYRAPSAKEVEQKLFVSETALAPPAAAASASAGEAPVSKKGLAHPARRPGFGAAGNEVMIRANRFLVNVADNNHYSRTS >ORUFI03G38380.1 pep chromosome:OR_W1943:3:31126472:31134035:1 gene:ORUFI03G38380 transcript:ORUFI03G38380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLIFPVDDNGTRKTVVQYFWDRYNYRLKYASWPCLQSGSDSRPVYLPMEVCKIVEGQRYSKKLNNKQVTNILRATCQRPQQREQRIHEMVLHNKYTDDRFAQEFGIKLKYHDSGREKTCAPSVGQWNMINKKMINGGTVDNWTCLSFSRMRPEEVQRFCGDLIQMCNATGMSFNPRPVVDVRSSNPNNIENALRDVHSRTSELLAREGKGGLQLLIVILLEVSGSYGKIKRVCENDLGIVSQCCLPRHASRPNKQYLENVALKINVKVGGRNTVLERAFIRNGIPFVSEVPTIIFGADVTHSPPGEDSASSIAAVVASMDWPEITKYRGLVSAQSHRQEIIEDLFSVGKDPVKVVNGGMIREFLIAFRKKTGRRPERIIFYRDGVSEGQFSRVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRRDMTDKSGNILPGTVKDRQICHPTEFYFYLCSHAGIQGTSRPTHYHVLYDENHFTADELQTLTNNLCYIYARCTHAVSVVPPAYYSHLAASHAHCCIKGHSSGSGSTPGNEHDIVKNSAPTLQILVKVLDFQIVPLTMKLKSSAEDIVALALSKHRVSLHDVYVYHGRRVIAKSLTLESLKADRDSTFLIMPRMRGGCNDTIGGFKCIPLEQHIRSLGDSLFEIIWIPPDLRVSGFCSYLIILGKPARKIICQLLKLLEIIHAANRFASRFTIADLVFLPDLGCIAFKKGVKIRWNLRREEYKLNMGDVASIISCWFRFNRRKLEALEAGIHELRPGQGDSPMFVDILVKDLRSPTHETGLSANYRGFYKNCSALRSCSAHMNLFTSLDIRKDFMVGSADWGNFVKALGDIKLPGWYRTAMRSPEMRKVLFFEFNDPHTGELRGKRYRALSVFSWLEFARIFIKHMKKGLCTDKQATALLCVIFSNIVPVVEKKLTYSYRPPAKEKSNESFTVEEILDPS >ORUFI03G38390.1 pep chromosome:OR_W1943:3:31138345:31139107:1 gene:ORUFI03G38390 transcript:ORUFI03G38390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCTVSTSLPPFSPFLISLSLSLSLPLYRMPGGWGARRGKVGEDIRRAGDAAAVSSTMREKGERHRLTARATSGSSRQRGSGGGGEDAKARDSGWRRRRTTRRVCRGAERIRARPSSPDNGGEAKEGRRGEEGSTKKMRSVTLQEAMAGLPEHGDSRMRYSDVWRRANEGGEGEPAAAVAKETAETRLRSGRRRAGAGRRRQGSWARHQAMQWKASAVSRLVPAAHRRRWKASVVSRRSLDAAIAVA >ORUFI03G38400.1 pep chromosome:OR_W1943:3:31140110:31143341:-1 gene:ORUFI03G38400 transcript:ORUFI03G38400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVCRSEVASKKIGSSALAFPSFGNDLHAPNYSGRCHVPIELSRDQCHSVYIPVQLVCPSATFKLLALHALLYACIAYLMPFLDDIMCSMLRYIQNFILLAHNKDKITHAMNGPLIYFMTQLIISIHFIRSAMLRHCNHCTGIVFQLPAAMREVLSS >ORUFI03G38400.2 pep chromosome:OR_W1943:3:31140110:31141757:-1 gene:ORUFI03G38400 transcript:ORUFI03G38400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSLHISVCLQGDQCHSVYIPVQLVCPSATFKLLALHALLYACIAYLMPFLDDIMCSMLRYIQNFILLAHNKDKITHAMNGPLIYFMTQLIISIHFIRSAMLRHCNHCTGIVFQLPAAMREVLSS >ORUFI03G38410.1 pep chromosome:OR_W1943:3:31143890:31145651:-1 gene:ORUFI03G38410 transcript:ORUFI03G38410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLFPSWSVLDGDASRDAAAYADQRPPLRCLRLATKSRHAWGFDALGDNVQAGFDAESLVWCLDLEARIADAPKLSSFSLRGGESSSGERLFPFVHAVDKNIVVFTSDLPQAPCSAYIIYDTIGKVLSMIPCEPSCCEVSRTTRLLIARRHPGYNDQSYALVLMAKMPKFAGEDDAKFAGGDDAKGKGKMPEFAEEDVLTGDEEDVLTGEDVFLGKAKKVEFAGGEDDGDNDINWQDVLLLWPSSSSSPWELTKTANLPNQWLDDESSFVADLTFSFEGHGFWADLLCGVLFCSCDDLLSDKVDRVDFSFINLPMGYQADVRYTGQVAAPEVYRTMGYAGGSIRFISIDGFLEYINPGDRYVTLWRLLLKSNTWVKEYEISLKELWNQQEFCNANLPMSMTPMYPILSSLEEHIIYFMLGEFNQDRDGIAFPVGAYYLLQVDMSCGRIVSSAPLPSACSLAPVVGGSDFISYLPHDTLYDRDVLSSVVSQSMSGCYQFGPATGMKGLQSGGGLGLLGNCSNLEGRLLVTILKGKF >ORUFI03G38420.1 pep chromosome:OR_W1943:3:31152385:31153797:1 gene:ORUFI03G38420 transcript:ORUFI03G38420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSTPPSWCLLLQKVHCSDYIDGDYAKKQGLLSLDLLCESKRAWGFDDSDLVDGIDTESMMEYVKVQVRVTDAPNLSFLTMTLGGDEEEKAVFPILHAMDRNLLVFDLSFPDKIDGVYLIYDTIGKTLSMIPALSSLSSPDGMAHTTQVLIARRHAAVDDGSYALALLGKMGVVDKPGEMPVISWPDVIYQWRPSSSISPWKLIKNANLPQQWMADKSAFSADMAFSFEGHAFWVDLIHGVLFCSCADLLSDDVKDVDFDSIDLPLDCLKFTPHSWTMAERQAYRTVGCTGNSIKLISMHFSGCVKRGAPKVTVWRLEVYAKIWVKEHVLNLKTLWTQPAFLAANLPMDMAAMYPVLSMHEEHVICFMLGEYDLSASGVVLPSNVRHFLRVDMLSATLVSSAPIPSAYSYAPVVVPSDLTSYIPPTAAVAFPTVAPTVSTAAIATVVSPPNVPASPASNAFALPDKAP >ORUFI03G38430.1 pep chromosome:OR_W1943:3:31155770:31156451:-1 gene:ORUFI03G38430 transcript:ORUFI03G38430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSTPAPYVVEDCGPNLQLLSDGTVVVATGDPWLSESSADFGRVFISGDQAWRLALPQLGLIRVQLLKKMPVLGGDDGETAATGSTDGAYERGGGGACGSKVLTARREWDDSTRTGCNRFMASIVSN >ORUFI03G38440.1 pep chromosome:OR_W1943:3:31158002:31159019:1 gene:ORUFI03G38440 transcript:ORUFI03G38440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTPAPYVVEDCGPNLQLFSDGTVIRFEDYNILPPPVLPPALSTVQWKDVVYDAGRGVKLPVLVYFHGGGYFIGSFEMDNFHACCLRLAHELPAVVLSADYRLAPEHRLPAAHDDAATAMSWVRDQAVASGDAADPWLAESADFGRVFVSGDSAGAGIVHHVALRLGSGQIAVDPARVAGCALLFPYFGGEERTRSEAEYPPGPFLTLPFSDQGWRLALPRGATRDHPLANPFGPESPAMDAVALPPLLVVVAQLDLLRDRDVDYAARLRAMGKQVEMVEFEGQHHGFFAVEPLGDAGSELVRVVRRFVYGNGGDAAAAAAAASK >ORUFI03G38450.1 pep chromosome:OR_W1943:3:31165480:31175468:1 gene:ORUFI03G38450 transcript:ORUFI03G38450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALTRALRCALLAARVALAREKARRAFASHGEMLSQPAAALARLPPASVRVLVGFGAALLVSLVVLHRRPAARGAAGGGGPAEYDPAARFLALSEGANATIAADLRALTAGPHLAGTGAAAGAAARVLSGFRAAGLRTLTREYTPLLSYPGHASLALLRADRTLLAHLSLDEPADVGRRLVRPYHAYAPSGGAVAEAVFVNLGREEDYLTLERLGVSVRGRVAVAIRGGGYRGGVVRRAAERSAAAVLIAGHADGGVERGTVILGGPGDPLTPGWAATAGAERLDFDHEDVKRRFPAIPSMPVSGKTASAIIRTLGGPALPADWQTGVGLPVDVGGVGPGPTLVNFTYQEDRKMGMIQDIFAIIKGYEEPDRYVILGNHRDAWTYGAVDPNSGTSALLDIARRLGIMLQSGWTPRRTIILCSWDAEEFGMFSKISFICVPFISFLHFEGSFFQIGSTEWVEENLEDLQSKAVAYLNVDCAVQGIGLFAGSTPQLDNLLVDVTRQVKDPDVEGKTVHDTWNKMTGGINIERLARTDSDFAPFLHHAGIPCMDLYYGKEFPGYHTALDSYHWMEKHGDPLFLRHVAIVEIWGLLALRLADDPVLPFDYQTYASQLQEHANAFSSMMENSKWVHLLNRSIEDLSDAGLEFLKEAKKLQDQNISDGYSLMRRRLLNDRLLLAERSFLQADGLQGRGWFKHLMYSPPEDYESKLSFFPGVADAISRSSNRSAKEQQAAVRHEQLPVQERGRAADSMAAEAYRRVQDPVYGCAGVINRLQDQIRAAQCELAWTHAQIAMHSAAAAHARTTLPPGQRDGGGGGAPSTQQATTSAAWQLEDFASEFSFP >ORUFI03G38460.1 pep chromosome:OR_W1943:3:31175945:31183781:-1 gene:ORUFI03G38460 transcript:ORUFI03G38460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAAAAARAVVVAVNGERYEAVGVDPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVVVSKYDAVADEVTEFSASSCLTLLGSLHHCAVTTSEGIGNSRDGFHAVQRRLSGFHASQCGFCTPGMCMSIYSALAKADKASGRPAPPTGFSKITAAEAEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNAFWKKGVDDEHADINKLPAYSGGAAVCTFPEFLKSEIRSSMGQANGDTSAVVVTGDGWFHPKSVEEFHRLFDSNLFDERSVKIVASNTGSGVYKDQDLHDKYINISQILELSAINRSSKGVEIGAVVSISQAIDILSDGGAVFRKIADHLSKVASPFVRNTATIGGNIIMAQRLSFSSDIATVLLAAGSTVTIQVAAKRMCITLEEFLKQPPCDSRTLLVSISIPDWGSDDGITFQTFRAAPRPLGNAVSYVNSAFLARSSVDGSSGSHLIEDVCLAFGPFGAKHAIRAREVEKFLKGKLVSAPVILEAVRLLKGVVSPAEGTTHPEYRVSLAVSYLFKFLSSLTNGLDEPENANVPNGSFTNGTANGIVDSSPEKHSNVDSSYLPIKSRQEMVFSDEYRPIGKPIEKTGAELQASGEAVYVDDISAPKDCLYGAFIYSTHPHAHIKGVNFRSSLASQKVITVITLKDIPTNGKNIGSCSPMLGDEALFVDPVSEFAGQNIGVVIAETQKYAYMAAKQSVIEYSTENLQPPILTVEDAVQHNSYFQVPPFLAPTPIGEFNQAMSEADHKIIDGEVKLESQYYFYMETQTALAIPDEDNCITLYVSAQLPEITQNTVARCLGIPYHNVRIITRRVGGGFGGKAMKAIHVATACAVAAFKLRRPVRMYLDRKTDMIMAGGRHPMKVKYSVGFKSDGKITGLHVDLRINCGISPDCSPALPVAIVGALKKYNWGALSFDIKLCKTNVSSKSAMRAPGDAQGSFIAEAIVEHIASTLSVDTNAIRRKNLHDFESLKVFYGNSAGDPSTYSLVTIFDKLASSPEYQQRAAVVEHFNAGSRWKKRGISCVPITYDVRLRPSPGKVSIMNDGSIAVEVGGVEIGQGLWTKVRVIQADTLSMIQGGFTGGSTTSETSCEAVRKSCAALVERLKPIKEKAGTLPWKSLIAQASMASVKLTEHAYWTPDPTFTSYLNYGAAITSGEPPLLLASSVHCAMREAIRAARKEFAGAGGSSLTFQMDVPATMPIVKELCGLDVVERDLESFAAKA >ORUFI03G38470.1 pep chromosome:OR_W1943:3:31185926:31192246:-1 gene:ORUFI03G38470 transcript:ORUFI03G38470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAAAARPVVVTVNGERYEAVGVDPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVVVSKYDAVADEVTEFSASSCLTLLGSLHHCAVTTSEGIGNSRDGFHAVQRRLSGFHASQCGFCTPGMCMSIYSALAKADRCSSRPSPPPGFSKLTAAEAEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNAFWKKGADDERADVGKLPAYSGGAAVCTFPEFLKSEIRSSMGQANGGAPAVAVTGDGWFHPKSVEEFHRLFDSNLFDERSVKIVASNTGSGVYKDQDLHDKYINISQILELSAINRSSKGVEIGAVVSISKAIEILSDGGAVFRKIADHLSKVASSFVQNTATIGGNIIMAQRLSFPSDIATVLLAAGSTVTIQVAAKRMCITLEEFLKQPPCDSRTLLVSISIPDWGSDDGITFESFRAAPRPLGNAVSYVNSAFLARSSVDGSSGSHLIEDVCLAFGAFGAEHAIRAREVEEFLKGKLVSAPVILEAVRLLKGVVSPAEGTTHPEYRVSLAVSYLFRFLTSLANGLDEPENANVPNGSCTNGTANGSANSSPEKHSNVDSSDLPIKSRQEMVFSDEYKPVGKPIEKTGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHIKDINFRSSLASQKVITVITAKDIPTGGENIGSCFPMLGDEALFVHPVSEFAGQNIGVVIAETQKYAYMAAKQAVIEYSTENLQPPILTIEDAVQHNSYFPVPPFLAPTPIGDFNQAMSEADHKIIDGEVKLESQYYFYMETQTALAIPDEDNCITLYVSAQLPEITQNTVARCLGIPYHNVRIITRRVGGGFGGKAMKAIHVAAACAVAAFKLRRPVRMYLDRKTDMIMAGGRHPMKVKYSVGFKSDGKITGLHFDLGMNGGISPDCSPVLPVAIVGALKKYNWGALSFDIKVCKTNVSSKSAMRAPGDAQGSFIAEAIVEHIASTLSVDTNAIRRKNLHDFESLKVFYGNSAGDPSTYSLVTIFDKLASSPEYQQRAAMVEHFNAGNRWKKRGISCVPITYDVRLRPTPGKGLWTKVKQMTAFALGQLCDDGGEGLIDKVRVIQADTLSMIQGGFTGGSTTSETSCEAVRKSCAALVERLKPIKEKAGTPPWKSLIAQASMASVKLTEHAYWTPDPTFTSYLNYGAAITSGEPPLLLASSVHCAMREAIRAARKEFAGAGGSPLTFQMDVPATMPIVKELCGLDVVERYLESFAAKA >ORUFI03G38480.1 pep chromosome:OR_W1943:3:31204172:31204507:-1 gene:ORUFI03G38480 transcript:ORUFI03G38480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFFPILHIIAKVNVNTKYFFVGQVEGAFVQGIGFFTNEEYATNSDGLVIHDSTWTYKIPTVDTIPKQFNVELINSARVHKRVLSSKGEIIVFFL >ORUFI03G38490.1 pep chromosome:OR_W1943:3:31208891:31209393:1 gene:ORUFI03G38490 transcript:ORUFI03G38490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRITLELAAVVVVVAAAVAGSLPATTASATAYRVGDDSGWDNGVDYDAWAHGKRFKVGDTLDVEFLYAEGAHNVVVVEDEGSFEACVAPANAPTLSSGDDTVALNQAGRWLFICSFDGHCQSGMKLAVAVTH >ORUFI03G38500.1 pep chromosome:OR_W1943:3:31213108:31213744:-1 gene:ORUFI03G38500 transcript:ORUFI03G38500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMLAVAAAAVALAVLLPARGAAATEHMVGDGNGWILGFDYAAWAATKQFRVGDTLVFRYKGTNHTVVEVGGADFKACNKTASANEWSSGEDRVALDKEGRRWFFCGVGDHCAKNMKLKITVIAAGAPAPGASEAPPPPSSAAGKARARVAHAAAAAAVTAAAAAMLAL >ORUFI03G38510.1 pep chromosome:OR_W1943:3:31215898:31216970:1 gene:ORUFI03G38510 transcript:ORUFI03G38510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGRRLADARRPGSAAAELRRREAAADAQLAAARARLAEALAELERARARAAELQRRLEETYGKRRGLKRVAAAARERIQETRARLQDRQQQQQQEDDEMSPAESDPTAAAASSSAS >ORUFI03G38520.1 pep chromosome:OR_W1943:3:31217600:31221192:-1 gene:ORUFI03G38520 transcript:ORUFI03G38520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMVARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRMYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >ORUFI03G38520.2 pep chromosome:OR_W1943:3:31217600:31220838:-1 gene:ORUFI03G38520 transcript:ORUFI03G38520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLAFAVVSGLLDKMVKLTMVARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRMYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >ORUFI03G38520.3 pep chromosome:OR_W1943:3:31217600:31220838:-1 gene:ORUFI03G38520 transcript:ORUFI03G38520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLAFAVVSGLLDKMVKLTMVARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRMYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >ORUFI03G38520.4 pep chromosome:OR_W1943:3:31217600:31220838:-1 gene:ORUFI03G38520 transcript:ORUFI03G38520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLAFAVVSGLLDKMVKLTMVARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRMYADKAKDLNRQRLIYGMHKPFGFQALIRKYAPVAIVIGVVLMLFWLKNKIW >ORUFI03G38520.5 pep chromosome:OR_W1943:3:31217600:31221192:-1 gene:ORUFI03G38520 transcript:ORUFI03G38520.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMVARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRMYADKAKDLNRQRLIYGMHKPFGFQALIRKYAPVAIVIGVVLMLFWLKNKIW >ORUFI03G38520.6 pep chromosome:OR_W1943:3:31217600:31220838:-1 gene:ORUFI03G38520 transcript:ORUFI03G38520.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLAFAVVSGLLDKMVKLTMVARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFQYPYVLCDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRMYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >ORUFI03G38530.1 pep chromosome:OR_W1943:3:31225800:31226225:1 gene:ORUFI03G38530 transcript:ORUFI03G38530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSGPSHLVKDRGAVVASEEHITGNSKTEGKTMEAAATSSIKCRDPGILVKPFFVPSSSSSWICPSSSTRLLASWIWRCGADGRQSEPLKKRGIDRDDGDRATTNGVGERTNETREARGCFDPSASPASTHPTLAPARV >ORUFI03G38540.1 pep chromosome:OR_W1943:3:31231816:31239425:1 gene:ORUFI03G38540 transcript:ORUFI03G38540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASPMAGASHHLVVFLAALLALLPGSSQLQYSQTWTLFKIQQMLNHPPVLSHWRRTTDFCGGGGTAAPSAAVVCYGDTVTQLHIAGVRGAPPLPMNFSIGALVMALSRLPDLKVLTLSGLGLWGPLPDKIGRLAALEIVNMSGNYLYGGVPGGLSQLTGLQTLILDDNLLAGELPAWIGELPQLAVLSLRNNSLGGAVPASVGRMESLRSLVLASNNLTGNLPDMSGLTNLQVIDVGDNWLGPAFPALGRKVVTVVLSRNRFTGGLPGEITSFYLLERLDVSWNRFVGPFMPALLSLPAIRYLNVAGNRFTGVLSDKVACGDNLQFVDLSSNLLTGSEPACLRPDKKPATVVLVNANCLEATGGDASQHPSPFCQNQALAVGITHGGKVRKKLTHHAGFLAGIAMAALAAASAIAVVAVVAVRRKNKKGVMVRPPAMLGEDNSSSTSGYPSKMFADARYISQTVKLGALGIPPYRTFSLVELEAATDNFENSLLLGQDSFGEMYRGRLGNGTLVAIRSLKVKRNQSSLSFSRHIETISRLRHRNLVSALGHCFEYDLDDSTVTQLYLVFEYVQNGNLRSRISQGTEGRKLTWAQRISAAIGIANGIQFLHAGMMPGLFGNNLKINNILLDQNHVAKISSYNIPILGEAMKSEKCYDVCQSKKGGPGGKHHTESPLLNDKTDIFDFGVILLEIVSGKPITSLYEVEIMKELMLWAVADEDLVRRRSFADQEVSKGCSDESLRTIMQICLRCLAKEAVQRPSIEDVLWNLQFAAQVQDDWEGDNRSSDGSMVSSSSRITKSSRFQNEQTRSGREKECEDSSARGSVWLQAATEDGNFETGRRQAEDERY >ORUFI03G38550.1 pep chromosome:OR_W1943:3:31236771:31241579:-1 gene:ORUFI03G38550 transcript:ORUFI03G38550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPVVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >ORUFI03G38560.1 pep chromosome:OR_W1943:3:31251382:31252979:-1 gene:ORUFI03G38560 transcript:ORUFI03G38560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKWKYEVYIVDLDARKTTLVHGLNGRALFVGLSRALSVCPKTTLVHGLNGRALFVGLSRALSVCPKVFPSISADTIYQGFELDDKEETEAYHLTDATTEPSTFTRTDTLISIGR >ORUFI03G38570.1 pep chromosome:OR_W1943:3:31252999:31255209:-1 gene:ORUFI03G38570 transcript:ORUFI03G38570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLHMQSEGDDGVVDHFVMEWRKQLRELAYYSEDCIDLSLLHIRRCDTSGVASPRRVPNPMAKRAQSSSSSGSQLIPYRRRTKRPTRERDWYNLTDGPAGLIAERVLAGDVADYMRFRAVCTAWRQCSADPREHNSLDSRFHPRRWFMLREWPERAAPHRRRFINAATGQCVAVDLPEIEGHRSFGPTAEGLLVLVDDRTLFVRVLNPFTRRLTELPSLATLLPRDRYLVGGDLSVCGAGLAGGDGCLIALYFSNTRKLAVAKPGDERWALVDHRVPRLASSLSFAGRFYCVSDDADAVMTVKTSENQPPRLVAAAELAIQYSPMMDTLHLVDNIWRRADAGAPKAPLYIRELYHRRR >ORUFI03G38580.1 pep chromosome:OR_W1943:3:31255722:31271464:1 gene:ORUFI03G38580 transcript:ORUFI03G38580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALASSPMVHLTASRLRLPRPARSPAAATPSPSPASAACCSRGAACGLEWRPKSGLRALRRCEDRLRCFSIDGGGGGGGGGGGGTGGEDGEKRGEEEAAAAAEAKVGGAVEEMRSERTRSGSFSSSSSSSSGTPGISNEPPFLSFSVDNIDTVKLLELLGPEKVDSADVKAIKEKLFGYTTFWLTREEPFGDLGEGVLFIGNLRGKREEIFAKLQQQLRELTGDKYNLFMVEEPNSEGEDPRGGPRVSFGLLRREVSEPGPTTLWQYVISLLLFLLTVFSCVELGIASKISSLPPEIVTYFTDPNATGPPPDMQLLLPFVESALPVAYGVLAIQLFHEVGHFLAAFPKKVKLSIPFFIPNFTLGTFGAITQFKSILPDKKTMFDISMAGPLAGAALSFSMFSVGLLLSSNPAGASDLVEVPSKLFQGSLLLGLVSRATLGYRAMHAATVAIHPLVIAGWCGLTTTAFNMLPVGCLDGGRALQGAFGKDALFGFGLTTYSLLGLGVLGGPLSLPWGLYVLICQRTPEKPCLNDVSDVGTWRRAALIVSVFLVVLTLIPLWDELAEDLGVGLDEGAGGGGIGGDASPVHVGSATEVGVGRDIVPSPTSSRSVMQTVNGSHMFVIQGYSLAKGMGIGKYIASETFTVGGCQWAIYFYPDGKNPEDNSAYISVFIALISDGIDVRVLFELKLLDQSGKAKHKGHSQFDRSLESSPYTLKNRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTMDYSKPHSIHVPESDIGYHFGTLLDNQEGVDVICNVAGEKFHAHQLVLAARSSFFRSELFEHESDEEKNEVDTSNEIKEIVIDDMEPKVFKAVLHFMYRDNLVGDDELSASSSDCSIFDTLAGKLLAAADRYELPRLRLLCESYLCKHISVNSVATTLALADRHHAMELKSVCLKFAAENLSAVIRTDGFDYLKDNCPALQSEILRTVAGCEEECSSGGKSQSVWGQLSDGGDTSGRRVRPRGHRQ >ORUFI03G38580.2 pep chromosome:OR_W1943:3:31255722:31262352:1 gene:ORUFI03G38580 transcript:ORUFI03G38580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALASSPMVHLTASRLRLPRPARSPAAATPSPSPASAACCSRGAACGLEWRPKSGLRALRRCEDRLRCFSIDGGGGGGGGGGGGTGGEDGEKRGEEEAAAAAEAKVGGAVEEMRSERTRSGSFSSSSSSSSGTPGISNEPPFLSFSVDNIDTVKLLELLGPEKVDSADVKAIKEKLFGYTTFWLTREEPFGDLGEGVLFIGNLRGKREEIFAKLQQQLRELTGDKYNLFMVEEPNSEGEDPRGGPRVSFGLLRREVSEPGPTTLWQYVISLLLFLLTVFSCVELGIASKISSLPPEIVTYFTDPNATGPPPDMQLLLPFVESALPVAYGVLAIQLFHEVGHFLAAFPKKVKLSIPFFIPNFTLGTFGAITQFKSILPDKKTMFDISMAGPLAGAALSFSMFSVGLLLSSNPAGASDLVEVPSKLFQGSLLLGLVSRATLGYRAMHAATVAIHPLVIAGWCGLTTTAFNMLPVGCLDGGRALQGAFGKDALFGFGLTTYSLLGLGVLGGPLSLPWGLYVLICQRTPEKPCLNDVSDVGTWRRAALIVSVFLVVLTLIPLWDELAEDLGVGLALARLASKQNPHFLLLSKP >ORUFI03G38580.3 pep chromosome:OR_W1943:3:31262202:31269271:1 gene:ORUFI03G38580 transcript:ORUFI03G38580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDAGGGGGGGEASPPHAGSAAAMAGAGRDIAASPTSSRSVTQTVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKAKHKVHSHFDRSLESGPYTLKYRGSMCKRNTHPKNDEGAGGGGIGGDASPVHVGSATEVGVGRDIVPSPTSSRSVMQTVNGSHMFVIQGYSLAKGMGIGKYIASETFTVGGCQWAIYFYPDGKNPEDNSAYISVFIALISDGIDVRVLFELKLLDQSGKAKHKGHSQFDRSLESSPYTLKNRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTMDYSKPHSIHVPESDIGYHFGTLLDNQEGVDVICNVAGEKFHAHQLVLAARSSFFRSELFEHESDEEKNEVDTSNEIKEIVIDDMEPKVFKAVLHFMYRDNLVGDDELSASSSDCSIFDTLAGKLLAAADRYELPRLRLLCESYLCKHISVNSVATTLALADRHHAMELKSVCLKFAAENLSAVIRTDGFDYLKDNCPALQSEILRTVAGCEEECSSGGKSQSVWGQLSDGGDTSGRRVRPRV >ORUFI03G38580.4 pep chromosome:OR_W1943:3:31262202:31271464:1 gene:ORUFI03G38580 transcript:ORUFI03G38580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDAGGGGGGGEASPPHAGSAAAMAGAGRDIAASPTSSRSVTQTVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKAKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTMDYSKPHSIHVPESDIGYHFGTLLDNQEGVDVICNVAGEKFHAHQLVLAARSSFFRSELFEHESDEEKNEVDTSNEIKEIVIDDMEPKVFKAVLHFMYRDNLVGDDELSASSSDCSIFDTLAGKLLAAADRYELPRLRLLCESYLCKHISVNSVATTLALADRHHAMELKSVCLKFAAENLSAVIRTDGFDYLKDNCPALQSEILRTVAGCEEECSSGGKSQSVWGQLSDGGDTSGRRVRPRGHRQ >ORUFI03G38590.1 pep chromosome:OR_W1943:3:31269200:31272002:-1 gene:ORUFI03G38590 transcript:ORUFI03G38590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVDKYRPKTLDKVGWPPPPPSLATPVAEQDCPHLLFYGPSGSGKKTLVMALIKQMFGAGADKVKMENKTWKIDTGSRNIEIELAMLSSAHHVEMNPSDAGFQDRYVVQEVIKEMAKNRPIDAKGKRAFKVLVLNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAVRSRCLNVRVNAPSEDQIVQVLEFIGKKENLQLPFGFAARIAAQSNRNLRRAILFFETCKVQQYPFTSNQVAPPLDWEQYVSEIAADIMKEQSPKRLFAVRQKFYELLVNCIPPESILKKLLAELLKKLDSDLKHEICHWAAHYEHKMRLGSKAIFHLEAFVAKFMSIYKEFLVSTFG >ORUFI03G38600.1 pep chromosome:OR_W1943:3:31275098:31277758:1 gene:ORUFI03G38600 transcript:ORUFI03G38600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVLQWWLGAMVAMAAAASWSGGVLPAAEALGMNWGTQASHPLPPKIVAQLLQDNGIKKVKLFDADQDTLSALAGTGIEVMVAIPNVMLDSITDYDTAKEWVRRNVSRYNFDGGVTIKYVAVGNEPFLAAYNGTFDKVTLPALMNIQNALNDAGLGDSIKATVPLNADVYDSPQDQQVPSAGRFRADIADLMTQMVQFLANNSAPFTVNIYPFISLYLNDDFPVDFAFFDGGATPVVDNGISYTNVFDANFDTLVAALKGVGHGDMPIVVGEVGWPTDGDKHATATYAQRFYNGLLKRLAANAGTPARPGQYIEVYLFGLLDEDAKSVAPGDFERHWGILRFDGQPKYPVDLTGQGQNTMLVPAKGVTYLPRTWCVINTNAKDTSKLADNINFACTFADCTALGYGSTCAGMDANGNASYAFNAYFQVQNQKDDACDFQGLAMPTQTDPSTPACNFTIQIAATSAGHRRRAGAAVLALLALFRLFLLH >ORUFI03G38610.1 pep chromosome:OR_W1943:3:31279047:31280733:-1 gene:ORUFI03G38610 transcript:ORUFI03G38610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQLVFLTMAQESWKKEAEETGVHTPEAPILCVNNCGFFGSRMTENMCSKCYRDTVKAKTVATVVEKKPLASLSSTPLVTEVTDGGSGSVADGKQVMEEDTPKPPSNRCLSCRKKVGLTGFKCRCGGTFCSMHRYADSHKCTFDYKQVGREQIAKQNPLVKADKITKI >ORUFI03G38620.1 pep chromosome:OR_W1943:3:31286866:31287375:-1 gene:ORUFI03G38620 transcript:ORUFI03G38620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKRKCPDDETACGSGAGAAMCVTGCGFFGSEATNNMCSRCYREHSADNDAVEEAAAANSDLELVGVAETTTKKARMSAVVPVAVASSSSAAAEQPAAKAATAPNRCAACRKKVGLTGFKCRCGGNFCGGHRHADAHGCGFDYKSAGKEQIAKQNPLVVADKLATRI >ORUFI03G38630.1 pep chromosome:OR_W1943:3:31291334:31292221:-1 gene:ORUFI03G38630 transcript:ORUFI03G38630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRKCPANGDDGGVADLEPVAGGSFASPPPEKKAKLTVAVAVAVAPSSSSSATTAAAGEATAKREHGGFFAFARPENNTRLSVAVASSSSSASAAAEKAMAKLTVAGVAPSSSASAAAAGKATAKREYGGFCAFARPDDKTRWRVAVASSAAAAADASYSSSSPATGEQPEANRCATCRRKVGLTGFKCRCGGTFCGGHRYADEHGCGFDYKSAGKEQIAKQNPLVVADKLAARLRLRLQELREGADRQAESGRRRRQAGLQDLIKNPQPLSMRSNRSSRSKINDLFFNLVGS >ORUFI03G38640.1 pep chromosome:OR_W1943:3:31292350:31297481:1 gene:ORUFI03G38640 transcript:ORUFI03G38640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGESDSEQASDSDSDSACSRRSPTGAAAAVVPALSSSPFPRLRAARCRGLTRCRQADRKISSRSHGGHLAISFLHRDGEAARSKLKHADDAPPLNTDSNHWHYRRRSREDEAAPFSPSSIRGSPIRRNISIQIPSPGTRFSHQCLVLP >ORUFI03G38650.1 pep chromosome:OR_W1943:3:31293232:31294113:-1 gene:ORUFI03G38650 transcript:ORUFI03G38650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETIAWKIDLDLELRLASPSRVPPPPPISSEASRATVGTNGAASRTVSDLVVFAASLTLAAPDSDGVVDTAIGQDAVLPGGDAASKKRAKTDPDEETDGETSCRRRPPPPTAVAAAAAAVVSEPAWVRAELFPLHGLPMDMPPLRFIVAKLLQRSDFYPQQARFLLPSSAADNLRAFLSAQEGEACGLNETSRRRRRRREKLAEATRGGGEKRREEPPRYEGVPVTVYLRGGLVCELKLSKFNGTKATVINGGGYAKFMADGGLVRGDRVEVLAFRRPPNYRLCFVIAKNDG >ORUFI03G38660.1 pep chromosome:OR_W1943:3:31298350:31298715:-1 gene:ORUFI03G38660 transcript:ORUFI03G38660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVELEATAGSAGAARVGWAAGAWYGWWRFCGVMGLLHVNFNDRVAANFNDELFSGRRIRKSAPHHSYSEDNEDYNFLLVVNVGISSSVI >ORUFI03G38670.1 pep chromosome:OR_W1943:3:31305308:31312276:1 gene:ORUFI03G38670 transcript:ORUFI03G38670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRGGVWRARLRSKKVYDVQDADPAASPVSPAPRGRTGRRGGAAAGRGNKTVAEGGGRKALKPRGKGCRAVDLCKDQPCKDLPEVIARKAVTGKAQEDLGLNKVADRAANLMMDGESGDKFAAAEDESTTTPVPERVQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGGSRTGPDAQEVALKFEHRSSKGCNYGPPYEWQVMDMLGPSLWDVWNSVGQAFVHGDVKPENFLLGHPGSVDEKKLFLIDLGLASRWKEASSGQHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDNKSFLVCKKKMATSPELLCCFCPAPFKHFLEMVTNMKFDEEPNYPKLISLFDGLIEGPASRPIRIDGALKVGQKRGRMVVNLDDDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLHQHIEKGNEDGLYISCVSSSANFWALIMDAGTGFCSQVYELSQVFLHKDWIMEQWEKNYYITAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNAGYSHQVVELDFLYPSEGIHRRWETGYRITSTAATPDQAAFILSIPKRKPMDETQETLRTSSFPSNHVKEKWSKNLYIASVCYGRTVC >ORUFI03G38680.1 pep chromosome:OR_W1943:3:31315803:31319748:1 gene:ORUFI03G38680 transcript:ORUFI03G38680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREESNKKSKLSWSKSLVRKWFNIKSKANDFHADYDASQDREMMKRSQTMTILFSFCCLAQFGLNLLGDPGRNGHGDRMPKKNADCIRRGRTESDVSRLTEVQDYRIFASTWNVGGKSPSKGLDLDEWLHSSPPADIYILGFQEIVPLNAGNVLGTEDNVPAKKWVSLIRRTLNRNPGASSYGGYHTPSPVPDPVVELDADFEGSSRRHDNLSFFHRRSFQNLSQSLRVEGNYMSSQPRLDRRFSVCDPVSLGGRPSDFDGNFPCAGSPDDEYIEEDGSNGTYFSPFPYGYGTSIAMEENDEQPNTSRYCLVASKQMVGIFLTVWVRSELRNDVKNLKVSCVGRGLMGYLGNKGSISISMSLHHTSFCFICCHLTSGEKEGDELRRNSDVMEILRKTRFPRVRGAGDIKSPETILEHDRIIWLGDLNYRISLSYCSAKALVEMHNWKQLLEKDQLSYVRGESRFSDHRPVYSIFMAEVEIIRQRRRNMGCFNSRVEVEELLPYSYSFGDIKFN >ORUFI03G38690.1 pep chromosome:OR_W1943:3:31325363:31328258:1 gene:ORUFI03G38690 transcript:ORUFI03G38690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKTSSSMARSQLAALLISLCFLSLASNAVGWSRRGEREEEDERRRHGGEGGRPYHFGEESFRHWTRTRHGRFSVLERFPDEQVVGAAVGGYRVAVLEAAPRAFLQPSHYDADEVFYVKEGEGVIVLLREGRRESFCVREGDAMVIPAGAIVYSANTHSSKWFRVVMLLNPVSTPGHFEEYFPVGGDRPESFFSAFSDDVLQAAFNTRREELEKVFERQREGGEITTAPEEQIRELSKSCSRGGGGGSGSEWEIKPSSLTGKSPYFSNNHGKLFELTGDECRHLKKLDLQIGLANITRGSMIAPNYNTRATKLAVVLQGSGYFEMACPHVSGGGSSERREREREHGRRREEEQGEEEHGERGEKARRYHKVRAQVREGSVIVIPASHPATIVASEGESLAVVCFFVGANHDEKVFLAGRNSPLRQLDDPAKKLVFGGSAAREADRVLAAQPEQILLRGPHGRGSVSDM >ORUFI03G38700.1 pep chromosome:OR_W1943:3:31328524:31329538:-1 gene:ORUFI03G38700 transcript:ORUFI03G38700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAAGVSGLAVGCLVAATAALLVAGASAQTGCTAALINLYPCLNYISGNETSPTRTCCSQLATVVQSQPQCLCAAISGDSSSSIGGVTIDKTRALELPKACNVVTPPASRCNSAGGNTPGAATTTSPATQTPGATGAGTGVGSKTTPTAPYLINGGASLRGATGLVLALAAVAVYAV >ORUFI03G38700.2 pep chromosome:OR_W1943:3:31328524:31329159:-1 gene:ORUFI03G38700 transcript:ORUFI03G38700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVSGLAVGCLVAATAALLVAGASAQTGCTAALINLYPCLNYISGNETSPTRTCCSQLATVVQSQPQCLCAAISGDSSSSIGGVTIDKTRALELPKACNVVTPPASRCNSAGGNTPGAATTTSPATQTPGATGAGTGVGSKTTPTAPYLINGGASLRGATGLVLALAAVAVYAV >ORUFI03G38710.1 pep chromosome:OR_W1943:3:31331538:31332437:-1 gene:ORUFI03G38710 transcript:ORUFI03G38710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAALVVVVLAVVVSPASGQVATSCTASLITTFTPCLNFVTGSTNGGGSPTQQCCGSLAEMTLTTLCCILVICRYCKPNPTSSTIAAGNVSAAEFGR >ORUFI03G38720.1 pep chromosome:OR_W1943:3:31333107:31334647:1 gene:ORUFI03G38720 transcript:ORUFI03G38720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRGSNGAVLGIGVAVVTALLAWRCAAAAAQAPPVASTDGGGSGCMPELVSLSPCMGYMSGNATAPAAACCSALSGVLRSSPRCLCMVLGGTAASLGVAVDTARAALLPGACSVGEGAPGGRVEAEGGGAPGFIGHDGKGG >ORUFI03G38730.1 pep chromosome:OR_W1943:3:31336195:31337524:-1 gene:ORUFI03G38730 transcript:ORUFI03G38730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALPEWIREGEESEWEEVECTAWRASGCGDGDGDAAAEALARGLTLLVRLADPPAVSALAIRPADGVFLNAASVDVADGNLVILSAAFYGFPRRYYLIYDVAEASLAITPHLPRFCKPSFTLKPLPVRRRRRPRVGEAVVVDDGDDHRNYVLVLVAINFNKDDIICLWPPDPSWSSSSSLPWQRKETRFPVEMNRPWEQYGFSADSVFTLNGIAYWVDLALGVLYCKTSDLLLSDRDVVVEFSFIDLPPGYRADRNLFRPKMFRTLGYVGGSIKFVSVDGYHKREETYFNTEDEEEEEDGDDCIIEPVAAAERKITMWSLIPGGNLGWKKDAEFSVGDLWMWEEFQSIGLPRQQPINPILDPQEDGMLLLLIGDYYNDENDVLRCRDQHMITVDMKNQSIVCSTLLPCWLHLMVPDLVSSDLPQYLKSLR >ORUFI03G38740.1 pep chromosome:OR_W1943:3:31339803:31342522:1 gene:ORUFI03G38740 transcript:ORUFI03G38740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSQEPPHAAAARGAVEVSLRRFDLADVDAMMVWASDPQVAAVCRWEPYESTEPLLAYLRDTVLPHPWFRAICVAAAFDGDGGGEDRPVGAVSVSPTADACRAELGYVVARAHWGKGVATAAVKRVVAAVFGEVEGLERVEALVDVRNAASQRVLEKAGFRREAVLRSYCVLKGEVRDMVIYSFISTDPLQPIAPSPAAVRRRAPMEVTLRRFELSDVDAMMAWASDPAVAAFCRWEPYQSTEPLLAYLRDTVLPHPWFRAICLATGAGAGDGDGRPVGAVSLAPTADACRGELGYVVARAHWGKGVATAAVRRAVAAVLGGEVSGLARVEALVDVDNRASQRVVEKAGFRREGVLRRHYWHKGRVRDLVMYSFVSSDQLAEGRAAHY >ORUFI03G38750.1 pep chromosome:OR_W1943:3:31342580:31343104:1 gene:ORUFI03G38750 transcript:ORUFI03G38750.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVTLRRFELADADAMMAWASDPEVTAFMTWEPYESVDSLRAFIRDTVLPHPWFRAICLAGDGDGGATPVGAVSVTPTADRCRAEVAVAVARAHWGKGVATAALRRALAAAFADLDGVERVEALVDVGNAASRRALEKAGFQQEAVLRSYCVVKGQLRDMVIYSFISTDPLVE >ORUFI03G38760.1 pep chromosome:OR_W1943:3:31343486:31346337:-1 gene:ORUFI03G38760 transcript:ORUFI03G38760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGDLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLISEAGGKVIAISDVTGAVKNSNGLDIAKLMKHSSENRGIKGFDGGDAIDPRSLLTEECDVLIPAALGGVINKDNANEIKAKYIIEAANHPTDPEADEASTSDSIWQTNTPTATKCTICLKCSLSEFLLQILSKKGVLILPDILANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKTYMTRGFRDVKEMCRSHHCDLRMGAFTLGVNRVARATVLRGWEA >ORUFI03G38770.1 pep chromosome:OR_W1943:3:31351464:31352794:-1 gene:ORUFI03G38770 transcript:ORUFI03G38770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGEDFQHILRLLNTNVDGKQKIMFALTSIKGVGRRFSNIACKKADIDMNKRQEPPPPPSRRQISVMVGGAGELTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >ORUFI03G38780.1 pep chromosome:OR_W1943:3:31353226:31365934:1 gene:ORUFI03G38780 transcript:ORUFI03G38780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQLQSYIQAAVEPNPVPVRRDTSHASPLLIALPPHRGDPPRLSSPLQIEPRLRERLGSRRTPPPPSREELPEAPPRRRRRRRERRRERGGSGEMGVPAFYRWLADRYPQTVSDAVEEEPVELEPGAFVPVDLRRPNPNGLEFDNLYLDMNGIIHPCFHPEGRPAPTTYDEVFKSIFAYIDHLFGLVRPRKLIYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLRKEFEAEGRTLVAKEKSEAIDSNVITPGTPFMFVLSSALQYYIQLRLNHTPGWQSVKVMLSDSNVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLSLATHEVHFSILREVITMPGQHEKCFLCGQVGHLAAECRGSGQADKTVELPPIHKKKYQFLNIWVLREYLEKDLEIVDPPFKINFERVVDDFVFMCFFVGNDFLPHMPTLEIREGAINLLMNIYRREFTSMGGYLTDGGEVLLDRVEHFIQSVAVHEEQIFQKRARIQKAIQNNEERNRVQTENSEDNQYVDKVKLGEPGYRVRYYAEKFKEEAELKPIDQVQRDVVQKYVEGLCWVMRYYYQGVCSWQWFYPYHYAPFASDLKCLAELEITFFLGQPFKPFDQLMGTLPAASSNALPKYYGDLMNDPNSPLKSFYPKDFEIDMNGKRFAWQGIAKLPFIDERRLLAETQKLEETLTDEERFRNRTMFDILYVRETHPLAAQIAFLYQMCSQSPNASYIIPIDPAASGGMNGFLCLSERNCYSIVVTSPVKGFNGIAQNRVLNATYLNPQYHKHIPEPPEGVIIPAKILKPSDFKPFPILWHEDNSRRQPRERPQVSGALSGSVLGEAAHRLVKNSLQIKSGYSAGLLDMPYRGAPYGPGNRPRPAGPLGYERGFVENSYNGHMSRSVPNSHPQFFGDAQANRQNVRILERPNYRNNDSAIHSGMSQLTIQDGPRMHQNNRMQNSGFSPNQPHPNQYAGFPPQRPMQNSGFTPQRPAQYSGFPHQRPVQIGLQHQPAVNGIQPPLPPSAWIGRPISGVPAGVPAKQDPRMAMDRQPKQDNSRSQHDKRQQATKVVYRVKGQGPNGLSE >ORUFI03G38780.2 pep chromosome:OR_W1943:3:31353226:31365934:1 gene:ORUFI03G38780 transcript:ORUFI03G38780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMGVPAFYRWLADRYPQTVSDAVEEEPVELEPGAFVPVDLRRPNPNGLEFDNLYLDMNGIIHPCFHPEGRPAPTTYDEVFKSIFAYIDHLFGLVRPRKLIYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLRKEFEAEGRTLVAKEKSEAIDSNVITPGTPFMFVLSSALQYYIQLRLNHTPGWQSVKVMLSDSNVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLSLATHEVHFSILREVITMPGQHEKCFLCGQVGHLAAECRGSGQADKTVELPPIHKKKYQFLNIWVLREYLEKDLEIVDPPFKINFERVVDDFVFMCFFVGNDFLPHMPTLEIREGAINLLMNIYRREFTSMGGYLTDGGEVLLDRVEHFIQSVAVHEEQIFQKRARIQKAIQNNEERNRVQTENSEDNQYVDKVKLGEPGYRVRYYAEKFKEEAELKPIDQVQRDVVQKYVEGLCWVMRYYYQGVCSWQWFYPYHYAPFASDLKCLAELEITFFLGQPFKPFDQLMGTLPAASSNALPKYYGDLMNDPNSPLKSFYPKDFEIDMNGKRFAWQGIAKLPFIDERRLLAETQKLEETLTDEERFRNRTMFDILYVRETHPLAAQIAFLYQMCSQSPNASYIIPIDPAASGGMNGFLCLSERNCYSIVVTSPVKGFNGIAQNRVLNATYLNPQYHKHIPEPPEGVIIPAKILKPSDFKPFPILWHEDNSRRQPRERPQVSGALSGSVLGEAAHRLVKNSLQIKSGYSAGLLDMPYRGAPYGPGNRPRPAGPLGYERGFVENSYNGHMSRSVPNSHPQFFGDAQANRQNVRILERPNYRNNDSAIHSGMSQLTIQDGPRMHQNNRMQNSGFSPNQPHPNQYAGFPPQRPMQNSGFTPQRPAQYSGFPHQRPVQIGLQHQPAVNGIQPPLPPSAWIGRPISGVPAGVPAKQDPRMAMDRQPKQDNSRSQHDKRQQATKVVYRVKGQGPNGLSE >ORUFI03G38790.1 pep chromosome:OR_W1943:3:31366645:31371624:1 gene:ORUFI03G38790 transcript:ORUFI03G38790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAESAVVGVGVDSATSAAVRDGFAELERQQQLLATCTRLYQQLTEHFGSLERRLAARSETLRTKRRFLDVRTSRRLEALRRREASIDGSVSLALSRLDSLAKGDAGTTGSASADAAGIAEGLRSLCASMDSAGFFTFVVARRKEVDALRAELPDALKRCVDPARFAMDAVSEVFPIDKRAVRSPTDLAWACVLILEAVVPSLADPDPEIGAARPMVPQAARERARGMAREWKDAAEKKGGVEGAKPPDAHAFLQHVATFAVAEKEDKELYRRIVVSFSWRRQMPRLAITLGLEDEMDDIIEELITKGQQLDAVNFAYEAGLQEKFPPAPLLKAYLEDSKKIPSNSDNLSTSTGQSGSNANKKEQSALRAVIKCVEDHKLEAEFPLEDLRERLEELEKAKTEKKKAASSSSSGGSSGPANKRIRASTGGPMPPAKAGRLTDYTGTPSSPATTTTNATFIRSPSHASYGTASPYSYDRPAAHPLYCGQNTLAMREPYAYHHPSEVSSVGLGMSYPSPPITYPAYAGYSNGIGYSNAMAPAFHHQAYYR >ORUFI03G38800.1 pep chromosome:OR_W1943:3:31371323:31376491:-1 gene:ORUFI03G38800 transcript:ORUFI03G38800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAKKEAQLCTGGLGKAPEEAYFSSGLDAFGPGPFPVAEREKEGERRRREVGREKARWSGVRRKRKERRGAEEAKLSPRARVDDEMMAFGSLLLSPPPPPPPPPSLPLPARPSAASYLVAVRRRRPPEPRCRSQEMASSGGGGSSGGLGSEGESAAASAIGSLDLDGAAASSDNRPGETSSNGDSERWQTRQHSTDDISRSKSKPRYIKAFGVDLSADNVAVAIVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVISGLSALPWLVKPLYGFISDSIPLFGYRRRSYLILSGLLGALSWSLMATIVDDKYSAALSIILGSLAVAIADVVVDSMVVERARGEPQSTSGSLQSLCWGSSAIGGVMSAYFSGSLVDTYGVRFVFGVTAFLPLMTSTVAVLVNEKRLPLGENATSSSDSGLIESSKEHIMQIWSSVKQPNIFLPTLFIFLWQATPQSDSAMFFFITNKLGFTPEFLGRVTLVTSIASLVGIGVYNSFLKEVPLRKIFFVTTIFGSALGMTQVLLVTGLNRVLGISDEWFSIGDSLIITVLGQASFMPVLVLAAKLCPPGVEATLFATLMSISNAGGVTGGLLGAGLTQFLGVTKDNFQNLALLIVVCNLSSLLPLPLLGLLPDESPGVDNEQTKVD >ORUFI03G38800.2 pep chromosome:OR_W1943:3:31371323:31376491:-1 gene:ORUFI03G38800 transcript:ORUFI03G38800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAKKEAQLCTGGLGKAPEEAYFSSGLDAFGPGPFPVAEREKEGERRRREVGREKARWSGVRRKRKERRGAEEAKLSPRARVDDEMMAFGSLLLSPPPPPPPPPSLPLPARPSAASYLVAVRRRRPPEPRCRSQEMAYIWFISVAMVGQAALRDSIPLFGYRRRSYLILSGLLGALSWSLMATIVDDKYSAALSIILGSLAVAIADVVVDSMVVERARGEPQSTSGSLQSLCWGSSAIGGVMSAYFSGSLVDTYGVRFVFGVTAFLPLMTSTVAVLVNEKRLPLGENATSSSDSGLIESSKEHIMQIWSSVKQPNIFLPTLFIFLWQATPQSDSAMFFFITNKLGFTPEFLGRVTLVTSIASLVGIGVYNSFLKEVPLRKIFFVTTIFGSALGMTQVLLVTGLNRVLGISDEWFSIGDSLIITVLGQASFMPVLVLAAKLCPPGVEATLFATLMSISNAGGVTGGLLGAGLTQFLGVTKDNFQNLALLIVVCNLSSLLPLPLLGLLPDESPGVDNEQTKVD >ORUFI03G38810.1 pep chromosome:OR_W1943:3:31377742:31379697:-1 gene:ORUFI03G38810 transcript:ORUFI03G38810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSHCESYCGPPLCYIPCLPKSKDAAGDAAHKSKDAAAADAVPVAAAEDKPPPVQKIEAAVAEKDGDDSKEVDGDEEEEEDDDDVKTAVAVAAPPPAPLKSNLKKADCADSKCAEKGNVKWLDLLGKDLTEVKEFEPSESGDSMDEDDIAECVCAIQ >ORUFI03G38820.1 pep chromosome:OR_W1943:3:31384290:31386125:1 gene:ORUFI03G38820 transcript:ORUFI03G38820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPLTATPSPLLPAKSKNPPPHHHHHNPLLAYLPHCTSLRALAQLHAVAVKAGGGLQAHPAFVTRLLTLCTEQGAEAPAHLAYARQVFDRIPHPGDVVWYNTLLRGYARGGGGGGGAEEAARVFVRMMEEGVAPDTYTFVSLLKACASARAGEEGRQAHGVAVKAGAAEHEYVAPTLINMYAECGDVRAARVMFDRMDGECVVSYNAMITASVRSSLPGEALVLFREMQAKGLKPTSVTLISVLSACALLGALELGRWIHDYIRKMRLDSLVKVNTALIDMYAKCGSLEDAIGVFQDMESRDKQAWSVMMVAYANHGYGREAISMFEEMKKQGMKPDDVTFLGVLYACSHSGMVSEGLQYFDSMREYGIVSGIKHYGCVTDLLARSGQLERAYKFIDELPIKPTAILWRTLLSACAGHGDVDMGKRVFERILELDDSHGGDYVIFSNLCANTGRWEEMNMVRKLMSEKGVVKVPGCSSIEIDNMVHEFFAGDGSHPHSQEARRMVDEVIEQLKLVGYVPNTSHVFHVEMGEEEKATSLRYHSEKLAISFGLLNTAPGTTLRIVKNLRVCPDCHSMAKLVSMVFNRRIILRDLNRFHHFEDGVCSCGDYW >ORUFI03G38830.1 pep chromosome:OR_W1943:3:31386129:31390905:-1 gene:ORUFI03G38830 transcript:ORUFI03G38830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMRVSAAAAAALFVAVVVASPLLASSQQPAMAPAAAAAPTNNSRLEKAYVALQALKRAITDDPKNLTHSWCGPEVCGYFGVYCAAAPDDPCARTVAGVDLNHGDLAGTLPEELGLLTDLAVFHLNSNRFCGSLPDSLRNLHRLHEIDVSNNHLSGSFPSQLLCLPDLKYVDLRFNNLCGEVPAAIFEKKIDALFINNNNFDFKLTESFSNSTASVIVLANLPKLGGCLPSSIGDMAETLNELVLLNSGISSCIPPEIGKLDKLTVLDLSFNDFAGALPETIGHMRALEQLNVAHNGLAGEIPDSICALPHLKNFTYSHNFFCGEPHRCLEVPHVDDRQNCIAGRPDQRSGEECIAFLHRPPVHCDAHGCIAPLSPPPPPPPVHYAPPPPLCS >ORUFI03G38840.1 pep chromosome:OR_W1943:3:31396939:31399125:1 gene:ORUFI03G38840 transcript:ORUFI03G38840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVQSRMWFARPHLLWSRLMCCSFSDAPAARASAAGGGGGGGARGCSYEFDSAIRSLRINPQPERLAHILDSASDFNLALRIFRWASYQRMPIHTVDTYARMIAKLGDAGNHDEIGGFLKEMVRLDVPGLEKVMNDLVQFLSGKNRFDEALLVIQHASSGNFKISVSSCNGVLCGLVKEGRGLRPFMRAYMEVVKAGVLPDVETLNWLIEVLCEAGHLELALVQFDKMSKKRCIPNSRTFKILITALCSHGRADESADAFDKMLQLRCIPDSSFCVQVLPLFCKFNKLKEARKLHQMMKEYKLQSDQHLYSALIRCLCENQLLDDAVTTVNDMIASGHALMRSTFVNIVDCYCTLGQFHKAVNFLEENDVAEIEAYNVLLRSLCKTGRVQDSVNYLTELHSRGLVNCQSWNIVIAQFCNNGNIRRASELICRMIVSSFTADESTYSSVVSCYCKLGLYKNALDMFRRLDVSNLSLNSESFSQLVESLCHMKKIQEAAEVFKYHCKRGCNLTSESLEMLIQESCMVGMIREAIKMRSLAVCTGTSCTFTTYNTIFRALLHLKKEKDVLLLFAHMLMEGCLLNEYTYNCILRYFLTKETIFEAAILFNRMVKDGFVPDQETFELLVPEMALSSLLNMISESLLTVVNMDGMMSPRISNIIIYGLIKEGFKSEACKFLDQMIEKGWVPDSRTHSILLSSSGREEPRESDEVNHTVDDDNVSNILLEGLD >ORUFI03G38850.1 pep chromosome:OR_W1943:3:31401473:31405301:1 gene:ORUFI03G38850 transcript:ORUFI03G38850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATNAAAAAAAAPAPAPATPVPRGQVDLVDFIDWSGVECLNQDPAHSIVNALKQGYRDDEGLYLASDSDEQLLIHIPFMQVVKLHSALFKGPEEDGPKTIKLFCNKEHMGFSNVNDYPPSDSLDLSSNHLSESKPMQLKYVKFQNVRSLTIFIEDNQSGSDVSKILKIALYGTTVDTTNMKDLKKIEEH >ORUFI03G38860.1 pep chromosome:OR_W1943:3:31405048:31406824:-1 gene:ORUFI03G38860 transcript:ORUFI03G38860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHGKCDVEACYPGGAPGGGMYPYMIENAQLRWAFIRKVYVIVSVQLLVTVAVAGAVNLVEPIKTFFQARTPEVLVAYVIIIISPLIMMLPMIYFRNKHPINLFFLLLFTVCISFSVGLGCLSKNGTVIFQAAGMTAAIVIGLTCYTFWAAKRGYDFEFLGPFLFAATLVLFLYAIITIFLPMGRTGKLVYGCVAALIFSGFIIYDTDNLIKRYTYDEYVAAAITLYLDIINLFMALVTALQAADG >ORUFI03G38870.1 pep chromosome:OR_W1943:3:31408723:31410688:-1 gene:ORUFI03G38870 transcript:ORUFI03G38870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKGHDLEAGGSSEPLYPGMVESPDLRWALIHKIYVILSVQLAMTAAVAAFVVKVRGVSEFFVSSNAGFALYIFLLFLPLIVLCPLRYYHQKHPVNLLLLGLFTVAISFAVGMTCAYTSGKVIFEAAALTAVVVISLTAYTFWAAKRGHDFNFLGPFLFSAVMVLILFSLIQIFFPLGKISEMIYGGLASLVFSGYIIYDTDNIIKRYTYDEYVWAAVSLYLDVINLFLALLRVLRAADN >ORUFI03G38880.1 pep chromosome:OR_W1943:3:31411328:31414677:1 gene:ORUFI03G38880 transcript:ORUFI03G38880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRVVNPVKVESGPSTGVANGQPPRPMDGLADGGPPPFLTKTYDMVDDPTTDAVVSWSATNNSFVVWDPHLFGNVLLPRYFKHNNFSSFVRQLNTYGFRKVDPDKWEFANEGFLRGQKHLLKSIKRRKPPNSSPSQQSLGSFLEVGHFGYEGEIDQLKRDKHLLMAEVVKLRQEQQNTKSDLQAMEQKLQGTEQKQQHMMAFLARVMHNPEFIRQLFSQSEMRKELEEFVSKKRRRRIDQGPELDSMGTGSSPEQVSQVMFEPHDPVDSLFNGVPSDLESSSVEANGGKAQQDVASSSSEHGKIKPSNGELNEDFWEDLLHEGGLDEDTRNPAIDDMNLLSQKMG >ORUFI03G38900.1 pep chromosome:OR_W1943:3:31420314:31423722:1 gene:ORUFI03G38900 transcript:ORUFI03G38900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDRAVAPSPEGLRHPGAAGDGAAGLAERFRDARPGAVTVALGPGGANSLAYSSHGQSPLLPRLFAATDEIFCLFQGTIENIAVLKQQYGLHNKGSTEINIIIEAYRTLRDRGPYPADQVVRDINGKFAFVLYDCSNNSVFMATDADGSVPFYWGVDPDSRLVVSDDDEIVNKACGKSSAPFPKGFFFTTSGGLQSYEHPMNEVKPVPRLDSKGEVCGTTYTVDAKAKKDSSIPRVGSAADWSSQY >ORUFI03G38910.1 pep chromosome:OR_W1943:3:31443695:31444138:-1 gene:ORUFI03G38910 transcript:ORUFI03G38910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTTPLPLLRGAAAAAAARSCLHRPQVGAAAARRAAVGVRCGGTPPAEVPGTDRSLEELPSIDTPPEFEAPPGLDVPMPPPGAPTPGPEQPGPSIPSPPMPEVPDVPRNPDVPPPKPPELDPPRPPPEVVPEPTPPDVEPPTFIV >ORUFI03G38920.1 pep chromosome:OR_W1943:3:31444974:31446263:-1 gene:ORUFI03G38920 transcript:ORUFI03G38920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKNRAEEIFRDGDLIFTSERNLGARNVQMDYDIVRANQPAVVQTRCRWIIGDVTEVLDRNTWKLGKIAKMLKNNYFVIRLADCIQLKEFHISSLRVPASPHSNNQYSVADKKSEAKLAQRGQIPSDALPGRTNKKRKSTADTSFNPRRRTSRPENASRACVLDGSTTQNRFQFIREEAECSVASCSINDPDTTFTNAKKLQSLGFPDDAMSACPCTSGMEDDDAAAAAADEDDDDEPAAIAVHELELEAYQSTMRALYASGPLTWEQESLLTNLRLSLNISNEEHLLQLRRLLSSR >ORUFI03G38930.1 pep chromosome:OR_W1943:3:31449941:31452108:-1 gene:ORUFI03G38930 transcript:ORUFI03G38930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPLVSVKALEGDMATDSAGIQMPQVLRAPIRPDVVTFTHKLLSCNRRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRRVNIRLRRIAVASALAATAVPSLVLARGHRIEGVPEFPLVVSDSIESIEKTAQSIKVLKQIGAYADAEKAKDSVAIRAGKGKMRNRRYINRKGPLIVYGTEGSKVVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTECAFKKLDEVYGGFDTPALKKKGFVLPRPKMANADLSRLINSDEVQSVVKPINKEVKLREARRNPLKNVAAVLKLNPYFGTARKMAALAEAARVKARTEKLDSKRTKLSPEESSKIKAAGKAWYKTMISDSDYTEFENFSKWLGVTQ >ORUFI03G38940.1 pep chromosome:OR_W1943:3:31456416:31466653:-1 gene:ORUFI03G38940 transcript:ORUFI03G38940.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSYYSHPAHYHHQFITTKKLIKSSIGCRGRAKLPVHQLTGLPLEAEDHHGNSCHGGADGRSSIHHRPRDPAPCRGPQVSEQPPSRPPQRRPWPWPSPSRQRRERPREGIHQEAVHPSLGQEHQKGAKPQQVIEGPGV >ORUFI03G38950.1 pep chromosome:OR_W1943:3:31479644:31482617:1 gene:ORUFI03G38950 transcript:ORUFI03G38950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMPFQLKNGHHHHGAMEGKPPVTPMQQQQQHAPPPRVSRFRRLLVRVSASERLAGDGKERGEKEAEKVSASAAGGEVEAGSVGLDRMVLSFMEDSAAVERPQRGRCNCFNGSNYEESDDEEGFFLPSDHSSASAPAAAGDALESLKGLVQSASVAERNLLADASRIAERCCKGSKGKAECRRAVADGLRALGYDAAVCRSRWEKTSSYPAGEHEYIDAVVGEEVRLIVEVDFRSEFEVARSTKAYRAALQALPPLFVGTPDRLGQIVAVVAEAARQSLKKKGLHFPPWRKPEYMRAKWLSPHVRSGDKAAAAAKTVTSTTSATATPVSAASFSGAFELLFDRKQSGEIPAAEKITVVVSPSPWRPTEEASKKKPPPSPSPPQLWQQHQQPPKAKVVTGLAAVL >ORUFI03G38960.1 pep chromosome:OR_W1943:3:31490890:31496720:1 gene:ORUFI03G38960 transcript:ORUFI03G38960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWAAGICAVALVWLAAAAAGDLEPDELERAFPIVEPDYGHTKLRLSQQGLDAIRRIETPIAVVGVIGPYRSGKSFLLNQLLSLSCEKGIWIWGTPVEMDIDGSKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETVREADISRLSFAVEIAEEFYGRFVCLFFAPFLSLTIFLKILMCIMVSRVKGQDVAFEPAKLLWLIQRDFLQGKSVQQMVNEALQRVNQIRDSLAVMGNNSTAFSLPQPHLQRTKLCDMDDRELDPLYVKRRDELKQVVASITRPKIVQGKTLNGKEFVSFLQQILEALNKGEIPSTGSLVEIFNKAILDRCLKVYRDKMDGLRLPVPVDRLQQVHETAIDQARMLFDKQHFGKHHAAQSILKLDDEIKKVYRNFLLANEYQSSKLCEARFSECEDKMDHLQVLKLPSMAKFNAGFFHCNRSFVRECVGPAKESYERRMSKMLVKSRALFIKEYNNKLFNWLVTFSLVMVVIGRFVIKFFLLEIVAWVMFIFLETYTRMFWSAESLYYNPAWHIIVSSWETIVYSPILDLDRWAIPIAKKTGQPIVTPATPQQDLPQELNAPEIRLI >ORUFI03G38960.2 pep chromosome:OR_W1943:3:31490890:31496720:1 gene:ORUFI03G38960 transcript:ORUFI03G38960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWAAGICAVALVWLAAAAAGDLEPDELERAFPIVEPDYGHTKLRLSQQGLDAIRRIETPIAVVGVIGPYRSGKSFLLNQLLSLSCEKGIWIWGTPVEMDIDGSKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETVREADISRLSFAVEIAEEFYGRGKMLLLNQQSFFGLSRGISCKENLFSKWSMKPSNGYLTKVVNQIRDSLAVMGNNSTAFSLPQPHLQRTKLCDMDDRELDPLYVKRRDELKQVVASITRPKIVQGKTLNGKEFVSFLQQILEALNKGEIPSTGSLVEIFNKAILDRCLKVYRDKMDGLRLPVPVDRLQQVHETAIDQARMLFDKQHFGKHHAAQSILKLDDEIKKVYRNFLLANEYQSSKLCEARFSECEDKMDHLQVLKLPSMAKFNAGFFHCNRSFVRECVGPAKESYERRMSKMLVKSRALFIKEYNNKLFNWLVTFSLVMVVIGRFVIKFFLLEIVAWVMFIFLETYTRMFWSAESLYYNPAWHIIVSSWETIVYSPILDLDRWAIPIAKKTGQPIVTPATPQQDLPQELNAPEIRLI >ORUFI03G38970.1 pep chromosome:OR_W1943:3:31497655:31501569:-1 gene:ORUFI03G38970 transcript:ORUFI03G38970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVFSVEEISDPFWVPPPPPQSAAAAQQQGGGGVASGGGGGVAGGGGGGNAMNRCPSEWYFQKFLEEAVLDSPVPNPSPRAEAGGIRGAGGVVPVDVKQPQLSAAAAATTSAVVDPVEYNAMLKQKLEKDLAAVAMWRASGTVPPERPGAGSSLLNADVSHIGAPNSIGGNATPVQNMLSGPSGGSGSQLVQNVDVLVKQPTSSSSREQSDDDDMEGEAETTGTARPADQRLQRRKQSNRESARRSRSRKAAHLNELEAQVSQLRVENSSLLRRLADVNQKYNDAAVDNRVLKADVETLRAKVKMAEDSVKRVTGMNALFPAASDMSSLSMPFNSSPSEATSDAAVPIQDDPNNYFATNNDIGGNNNYMPDIPSSAQEDEDFVNGALAAGKIGRPASLQRVASLEHLQKRMCGGPASSGSTS >ORUFI03G38980.1 pep chromosome:OR_W1943:3:31503153:31507544:1 gene:ORUFI03G38980 transcript:ORUFI03G38980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDSGRGVVGAGKRGVAETFSRLREQGKTAFIPFITAGDPDLATTSKALKILDSCGSDVIELGVPYSDPLADGPVIQAAATRALKKGATFDSVIAMLKGVIPELSCPIVIFTYYNPILKRGVSNFMAIIKQASVHGLVVPDLPLEETALLRNEAVMHGIELVLLTTPTTPTERMKEIAKASEGFIYLVSSVGVTGARSNVNLRVEYLLQEIKKVTDKPVAVGFGISTPEHVKQIAGWGADGVIIGSAIVRQLGEAASPEEGLKRVEEYAKNMKAAMP >ORUFI03G38980.2 pep chromosome:OR_W1943:3:31503153:31506780:1 gene:ORUFI03G38980 transcript:ORUFI03G38980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDSGRGVVGAGKRGVAETFSRLREQGKTAFIPFITAGDPDLATTSKALKILDSCGSDVIELGVPYSDPLADGPVIQAAATRALKKGATFDSVIAMLKGVIPELSCPIVIFTYYNPILKRGVSNFMAIIKQASVHGLVVPDLPLEETALLRNEAVMHGIELVLLTTPTTPTERMKEIAKASEGFIYLVSSVGVTGARSNVNLRVEYLLQEIKKVTDKPVAVGFGISTPEHVKQIAGWGADGVIIGSAIVRQLGEAASPEEGLKRVEEYAKNMKAAMP >ORUFI03G38990.1 pep chromosome:OR_W1943:3:31511546:31514627:-1 gene:ORUFI03G38990 transcript:ORUFI03G38990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDSGRGVVGAGKRGVAETFSRLREQGKTAFIPFITAGDPDLATTSKALKILDSCGSDVIELGVPYSDPLADGPVIQAAATRALKKGATFDSVIAMLKGVIPELSCPIVIFTYYNPILKRGVSNFMAIIKQASVHGLVVPDLPLEETALLRNEAVMHGIELVLLTTPTTPTERMKEIAKASEGFIYLVSSVGVTGARSNVNLRVEYLLQEIKKVTDKPVAVGFGISTPEHVKQIAGWGADGVIIGSAIVRQLGEAASPEEGLKRVEEYAKNMKAAMP >ORUFI03G39000.1 pep chromosome:OR_W1943:3:31521249:31524061:1 gene:ORUFI03G39000 transcript:ORUFI03G39000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKNIVGAPRQHMAVSKEGFARYFNYMGMLAAEGTYDKIEALLNQDIHPAAGGRGCGAASCSRRRFASCARRRVAGCVASCSRCRVAGRPGDLLPTRPGLPERREVRRKALHDEATEIIEAKVRLQSEKIKTTVPKWLPPEEGKQKGEAQTIGQCPMDVYTLGLFATCHCETYSLDERKTHRVVECVCNVGTRVTVRQKKQGTQKPHIIYSIRPKKKIHS >ORUFI03G39010.1 pep chromosome:OR_W1943:3:31524932:31543199:-1 gene:ORUFI03G39010 transcript:ORUFI03G39010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAHGAAVGVVHGGGVGTRRGAWRRRPPSSSQRGGWGGATAFGVITAHSSGVMQHGDGGGIRARQGSRSVYKASSPSSPAASSSSSSAPAKLGAAPGRVAVRKLTAAATSLRLDRAPAAPATERGLSSVSRTMSRLMEKGKTAFIPYITAGDPDMGTTAEALRLLDACGADVIELGVPFSDPYNDGPVIQASAARALAAGATMDGIMSMLAEVTPELSCPVVLFSYLGPIVRRGPANFTAAAKEAGVQGLIVPDLPYLEACSFRSEVIKNNLELVLLTTPTTPPDRMKAITAASGGFVYLVSVNGVTGSRQDVNPRVEHLLQEIKQVTDKAVCVGYGISTPDHVRQIAEWGADGVIIGSAMVRQLGEAASPKQGLKRLEKSLGTVKHLVCVEVGSMAFTTMKASPMSASSSSAPVLRRCVAPPARVAAARRLAAAAASVALEASPVPAAAAAAVERRMSVSQTMSKLKEKGKTAFIPYITAGDPDMGTTAEALRLLDACGADVIELGVPFSDPYADGPVIQASASRALAAGATPEAVLSMLKEVTPELSCPVVLLSYLGPILRRGAANFTAAAKEAGVQGLIVPDLPYVDTCTFRSEAIKSNLELVLLTTPATPGERMKIITEASGGFVYLVSVNGVTGPRPKVNTRVEHLLQDIKLVTDKAVCVGFGISTPDHVRQIAVWGADGVIIGSAMVRQLGEAASPKQGLKRLEEYARRMKDALP >ORUFI03G39010.2 pep chromosome:OR_W1943:3:31524934:31545114:-1 gene:ORUFI03G39010 transcript:ORUFI03G39010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVKASSPSSPATTRLTGALHGGAARVAARKLPAAAVAASLTLDRAPAPAGAERGMSSSVSRTMSRLREKGKAAFIPYITAGDPDMGTTAEALRVLDACGADVIELGVPFSDPYTDGPVIQASAARALAAGATMDGVMSMLAEVTPELSCPVVLFSYFGPIACSFRSEVIKNNLELVLLTTPTTPPDRMKAITAASGGFVYLVSVNGVTGSRQDVNPRVEHLLQEIKQVTDKAVCVGYGISTPDHVRQIAEWGADGVIIGSAMVRQLGEAASPKQGLKRLEKSLGTVKHLVCVEVGSMAFTTMKASPMSASSSSAPVLRRCVAPPARVAAARRLAAAAASVALEASPVPAAAAAAVERRMSVSQTMSKLKEKGKTAFIPYITAGDPDMGTTAEALRLLDACGADVIELGVPFSDPYADGPVIQASASRALAAGATPEAVLSMLKEVTPELSCPVVLLSYLGPILRRGAANFTAAAKEAGVQGLIVPDLPYVDTCTFRSEAIKSNLELVLLTTPATPGERMKIITEASGGFVYLVSVNGVTGPRPKVNTRVEHLLQDIKLVTDKAVCVGFGISTPDHVRQIAVWGADGVIIGSAMVRQLGEAASPKQGLKRLEEYARRMKDALP >ORUFI03G39010.3 pep chromosome:OR_W1943:3:31543459:31545114:-1 gene:ORUFI03G39010 transcript:ORUFI03G39010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVKASSPSSPATTRLTGALHGGAARVAARKLPAAAVAASLTLDRAPAPAGAERGMSSSVSRTMSRLREKGKAAFIPYITAGDPDMGTTAEALRVLDACGADVIELGVPFSDPYTDGPVIQASAARALAAGATMDGVMSMLAEVTPELSCPVVLFSYFGPITSTFRSEAIKNNLELVLLTTPATPADRMKAITAASGGFVYLVSVNGVTGSIQNVNPRVEHLLQEIKQVTDKAVCVGFGISTPDHVRQIADWGADGVIIGSAMVRQLGEAASPKQGLKRLEKYARSLKDALP >ORUFI03G39020.1 pep chromosome:OR_W1943:3:31549812:31561611:1 gene:ORUFI03G39020 transcript:ORUFI03G39020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYAVLGWRGNCWADYTAVEIFCALLMSEYYGSLIDLCIAELLVNQDLPNDIRVAQEKKLEELKRQQELQNQLAIQRTVQLRDRKIKFFERRKIERMIRRLEKQQRSNADDASNKLSKLKEDLEYVRFFPKNEKYVSLFSGGNTPDMLEKRNKWRKQIKENLMAAAENGKDLEETASDDDTVDVSDDDFFMSGSSSDEEADDEWTDKSAKEPASSASGKAASGMSSDEKNQRQRDARVLMPPPRSLAPNRTRPGVKHVLSSSSNTSNSTSGGTFKNRRAANQPGDHNSNLSSNSDAHKPRRKRRHRKKKKLA >ORUFI03G39020.2 pep chromosome:OR_W1943:3:31549812:31561611:1 gene:ORUFI03G39020 transcript:ORUFI03G39020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYAVLGWRGNCWADYTAVEIFCALLMSEYYGSLIDLCIAELLVNQDLPNDIRVAQEKKLEELKRQQELQNQLAIQRTVQLRDRKIKFFERRKIERMIRRLEKQQRSNADDASNKLSKLKEDLEYVRFFPKNEKYVSLFSGGNTPDMLEKRNKWRKQIKENLMAAAENGKDLEETASDDDTVDVSDDDFFMSGSSSDEEADDEWTDKSAKEPASSASGKAASGMSSDEKNQRQRDARVLMPPPRSLAPNRTRPGVKHVLSSSSNTSNSTSGGTFKNRRAANQPGDHNSNLSSNSDAHKPRRKRRHRKKKKLA >ORUFI03G39020.3 pep chromosome:OR_W1943:3:31556899:31562665:1 gene:ORUFI03G39020 transcript:ORUFI03G39020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRAAAVRRPKSSSASSAGAAAERKRKRAAAAKTVSLKNQIRSTERLLRKDLPNDIRVAQEKKLEELKRQQELQNQLAIQRTVQLRDRKIKFFERRKIERMIRRLEKQQRSNADDASNKLSKLKEDLEYVRFFPKNEKYVSLFSGGNTPDMLEKRNKWRKQIKENLMAAAENGKDLEETASDDDTVDVSDDDFFMSGSSSDEEADDEWTDKSAKEPASSASGKAASGMSSDEKNQRQRDARVLMPPPRSLAPNRTRPGVKHVLSSSSNTSNSTSGGTFKNRRAANQPGDHNSNLSSNSDAHKPRRKRRHRKKKKLHQ >ORUFI03G39030.1 pep chromosome:OR_W1943:3:31563187:31563932:-1 gene:ORUFI03G39030 transcript:ORUFI03G39030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESIDAELRLGLPGSGGGDGAAAKKRRSASSTVKSEASGTACCGGAGASPASKVQVVGWPPVGSYRRSTFQSSSSSTAAAAKGKGGGETDQGRKNKGGGLYVKVSMDGAPYLRKVDLRMYGGYRELRDALDALFGCFSADASASAAHFAVAYEDKDGDLMLAGDVPWDMFISSCKKLRIMRGSEAR >ORUFI03G39040.1 pep chromosome:OR_W1943:3:31569250:31569640:1 gene:ORUFI03G39040 transcript:ORUFI03G39040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCCVFLRWPLTLPSLLGYRSLDNSKVAGLSSPAVTLPIPAAPREAVRKEESKATLFVDVAILFLSVLCAKYVTTDT >ORUFI03G39050.1 pep chromosome:OR_W1943:3:31571506:31576733:-1 gene:ORUFI03G39050 transcript:ORUFI03G39050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGSIAGGFEIGQGLWTKVKQMTAFALGQLCDDLDKVHVILIQADTLSMIHQGEGWYPTMEILDCPGGIKARSCKGSASSRMMSTRPTPMGWSSTTARGVDTILKQFNVELINSARDHKRVLSSKASGEPPPLLASSVHCAMREAIRAARKEPVGFSDATLDITGADRLLLNH >ORUFI03G39050.2 pep chromosome:OR_W1943:3:31571506:31575691:-1 gene:ORUFI03G39050 transcript:ORUFI03G39050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAVKEGEGGIKARSCKGSASSRMMSTRPTPMGWSSTTARGVDTILKQFNVELINSARDHKRVLSSKASGEPPPLLASSVHCAMREAIRAARKEPVGFSDATLDITGADRLLLNH >ORUFI03G39050.3 pep chromosome:OR_W1943:3:31575906:31576733:-1 gene:ORUFI03G39050 transcript:ORUFI03G39050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGSIAGGFEIGQGLWTKVKQMTAFALGQLCDDLDKVHVILIQADTLSMIHQGEGWYPTMEILDCPGGSGYADRSNHHPKERPHLKDLKQAHLKDLMQSPRSCILLGDVAP >ORUFI03G39060.1 pep chromosome:OR_W1943:3:31583489:31588881:1 gene:ORUFI03G39060 transcript:ORUFI03G39060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGATPGDAAGEEVGGGGDVVMVRRASVAACLTCPLCGRLLRDAATISECLHTFCRKCIHEEFVDKESCCCPTCNIDLGCAPLEKLRVDHSMQFVRSRIFPFKRRKVENPEIICPVASPVKRKERSLSSLTIPAPQVSIQKCLTKRRTKASCLRNFPLHSTSRGSKDTSKKLGGWRPLGCQLKLGKDKKSLKSSVKDTNRTKSKSGDTDDGAPASKAKAREPFTRYGRAAKRTGSKKLLMLKNKKKRFKAKQPSKKRRFRALWFYLLAAFDQRGVPTLPQLPAKYLRIKDVDLPASIIQKYLAQKLNLSSETEVEVLCGGKVVNQGMTLHDLADCWLEKGPKSRMRSSVGSPATGFMVTLFYRRPDVDVSSSPAPPQPDTESCHS >ORUFI03G39070.1 pep chromosome:OR_W1943:3:31589828:31598905:1 gene:ORUFI03G39070 transcript:ORUFI03G39070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPRSVVTTGTKRRRAKVHKEDEPVENENLESEFDVSKKESNGATELGNEPVASKRPKRAAACSNFKEKSLDLSEKDSIITIKESRVEEKEIEAVNLTRTGPEDGQPCRKIIDFILHDGDGNLQPFEMSEVDDIFITALIMPLDDDLEKDRGKGIRCSGFGRIENWAISGYDEGAAVIWVSTETSDYKCVKPASSYRSYFEHFSEKARVCVEVYKKLARSVGGNPQVDLEELIAGVVRSINSNRSFNGTVTKDFVISSGEFIYKQLIGLDHTAGNDDEMLATLPVLVALKDECKSRAGFTHLPAMPSNGTLRIKDGQDKGLTEDEDAKLARLLQEEEEWKMMKQRGKRGTSQKNIYIKICETEIANDYPLPAYYKPYNQEMDEYIFDSDIGMYSDDVPVRILDNWALYNSDSRLISLELIPMKAGAENDIVVFGSGFMREDDGSCCSTAELAQLHSSSSKSGREDPGVPIYLSPIKEWVVEFGGSMICITIRTDVAWYKLRQPTKQYAPWCEPVLKTARLAVSIITLLKEQSRASKLSFAEVIKKVAEFDSRHPAFISSKAPTVERYVVVHGQIILQQFADFPDESVKRCAFITGLLAKMEESRHTKLAIKKKSQQMRGENLNPSAKMGPILRKKLMRATTTMLISKIWGEYYATYFPGDTKEEDQNEPKEIDDDQEENEDNDAEEEVNVQDEKATRTPPSTRSRKSSADTHKEIKWEGQTAGKTVSGEVLYKCVIVQDLSISVGATVTIEDDSGETIMCFVEYMYEKLDGKNMIHGIILQEGSQTVLGNAANDREVFLTNDCLEFEASDIKELVTVNIQSLPWGHKYRKENSEAKRIEKAKAEERKRKGLSVEYICKSLYWPEKGGFFSLPYDKIGNGTGICSSCERKPVGNEFKLLSESSFVFENITYNIHDFLYIRPEFFSQGEGHETYKAGRNVGLKPYAVCHLLSVHGPAGSRKANPESTKVKVRRFYRPDDISSTKAYSSDIREVYYSEDIISVPVVMIEGKCEVRLKDDLPNSDLPAVVEHVFCCEYLYDPANGALKQLPPNVRLVTLTRKVPASKKNKGKQICDIELGGSDKPKDGQSENCLATLDIFAGCGGLSEGLQRSGLSLTKWAIEYEEPAGDAFGENHPEAAVFVENCNVILKAIMDKCGDSDDCISTSEAAERAAKLSEDKIKNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVAQSRKRAFIWAAAPGETLPEWPEPMHVFASPELKITLPDGKFYAAVKSTAAGAPFRSITVRDTIGDLPAVENGAGKPTIQYGSGPVSWFQKKIRSDMASLNDHISKEMNELNLIRCKHIPKRPGCDWHDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDSYRFAGNIQNKHRQIGNAVPPPLAYALGRKLKQAIDAKR >ORUFI03G39070.2 pep chromosome:OR_W1943:3:31589828:31598905:1 gene:ORUFI03G39070 transcript:ORUFI03G39070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPRSVVTTGTKRRRAKVHKEDEPVENENLESEFDVSKKESNGATELGNEPVASKRPKRAAACSNFKEKSLDLSEKDSIITIKESRVEEKEIEAVNLTRTGPEDGQPCRKIIDFILHDGDGNLQPFEMSEVDDIFITALIMPLDDDLEKDRGKGIRCSGFGRIENWAISGYDEGAAVIWVSTETSDYKCVKPASSYRSYFEHFSEKARVCVEVYKKLARSVGGNPQVDLEELIAGVVRSINSNRSFNGTVTKDFVISSGEFIYKQLIGLDHTAGNDDEMLATLPVLVALKDECKSRAGFTHLPAMPSNGTLRIKDGQDKGLTEDEDAKLARLLQEEEEWKMMKQRGKRGTSQKNIYIKICETEIANDYPLPAYYKPYNQEMDEYIFDSDIGMYSDDVPVRILDNWALYNSDSRLISLELIPMKAGAENDIVVFGSGFMREDDGSCCSTAELAQLHSSSSKSGREDPGVPIYLSPIKEWVVEFGGSMICITIRTDVAWYKLRQPTKQYAPWCEPVLKTARLAVSIITLLKEQSRASKLSFAEVIKKVAEFDSRHPAFISSKAPTVERYVVVHGQIILQQFADFPDESVKRCAFITGLLAKMEESRHTKLAIKKKSQQMRGENLNPSAKMGPILRKKLMRATTTMLISKIWGEYYATYFPGDTKEEDQNEPKEIDDDQEENEDNDAEEEVNVQDEKATRTPPSTRSRKSSADTHKEIKWEGQTAGKTVSGEVLYKCVIVQDLSISVGATVTIEDDSGETIMCFVEYMYEKLDGKNMIHGIILQEGSQTVLGNAANDREVFLTNDCLEFEASDIKELVTVNIQSLPWGHKYRKENSEAKRIEKAKAEERKRKGLSVEYICKSLYWPEKGGFFSLPYDKIGNGTGICSSCERKPVGNEFKLLSESSFVFENITYNIHDFLYIRPEFFSQGEGHETYKAGRNVGLKPYAVCHLLSVHGPAGSRKANPESTKVKVRRFYRPDDISSTKAYSSDIREVYYSEDIISVPVVMIEGKCEVRLKDDLPNSDLPAVVEHVFCCEYLYDPANGALKQLPPNVRLVTLTRKVPASKKNKGKQICDIELGGSDKPKDGQSENCLATLDIFAGCGGLSEGLQRSGLSLTKWAIEYEEPAGDAFGENHPEAAVFVENCNVILKAIMDKCGDSDDCISTSEAAERAAKLSEDKIKNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVAQSRKRAFIWAAAPGETLPEWPEPMHVFASPELKITLPDGKFYAAVKSTAAGAPFRSITVRDTIGDLPAVENGAGKPTIQYGSGPVSWFQKKIRSDMASLNDHISKEMNELNLIRCKHIPKRPGCDWHDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDSYRFAGNIQNKHRQIGNAVPPPLAYALGRKLKQAIDAKR >ORUFI03G39070.3 pep chromosome:OR_W1943:3:31589828:31598060:1 gene:ORUFI03G39070 transcript:ORUFI03G39070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPRSVVTTGTKRRRAKVHKEDEPVENENLESEFDVSKKESNGATELGNEPVASKRPKRAAACSNFKEKSLDLSEKDSIITIKESRVEEKEIEAVNLTRTGPEDGQPCRKIIDFILHDGDGNLQPFEMSEVDDIFITALIMPLDDDLEKDRGKGIRCSGFGRIENWAISGYDEGAAVIWVSTETSDYKCVKPASSYRSYFEHFSEKARVCVEVYKKLARSVGGNPQVDLEELIAGVVRSINSNRSFNGTVTKDFVISSGEFIYKQLIGLDHTAGNDDEMLATLPVLVALKDECKSRAGFTHLPAMPSNGTLRIKDGQDKGLTEDEDAKLARLLQEEEEWKMMKQRGKRGTSQKNIYIKICETEIANDYPLPAYYKPYNQEMDEYIFDSDIGMYSDDVPVRILDNWALYNSDSRLISLELIPMKAGAENDIVVFGSGFMREDDGSCCSTAELAQLHSSSSKSGREDPGVPIYLSPIKEWVVEFGGSMICITIRTDVAWYKLRQPTKQYAPWCEPVLKTARLAVSIITLLKEQSRASKLSFAEVIKKVAEFDSRHPAFISSKAPTVERYVVVHGQIILQQFADFPDESVKRCAFITGLLAKMEESRHTKLAIKKKSQQMRGENLNPSAKMGPILRKKLMRATTTMLISKIWGEYYATYFPGDTKEEDQNEPKEIDDDQEENEDNDAEEEVNVQDEKATRTPPSTRSRKSSADTHKEIKWEGQTAGKTVSGEVLYKCVIVQDLSISVGATVTIEDDSGETIMCFVEYMYEKLDGKNMIHGIILQEGSQTVLGNAANDREVFLTNDCLEFEASDIKELVTVNIQSLPWGHKYRKENSEAKRIEKAKAEERKRKGLSVEYICKSLYWPEKGGFFSLPYDKIGNGTGICSSCERKPVGNEFKLLSESSFVFENITYNIHDFLYIRPEFFSQGEGHETYKAGRNVGLKPYAVCHLLSVHGPAGSRKANPESTKVKVRRFYRPDDISSTKAYSSDIREVYYSEDIISVPVVMIEGKCEVRLKDDLPNSDLPAVVEHVFCCEYLYDPANGALKQLPPNVRLVTLTRKVPASKKNKGKQICDIELGGSDKPKDGQSENCLATLDIFAGCGGLSEGLQRSGLSLTKWAIEYEEPAGDAFGENHPEAAVFVENCNVILKAIMDKCGDSDDCISTSEAAERAAKLSEDKIKNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVAQSRKRAFIWAAAPGETLPEWPEPMHVFASPELKITLPDGKFYAAVKSTAAGAPFRSITVRDTIGDLPAVENGAGKPTIQYGSGPVSWFQKKIRSDMASLNDHISKEMNELNLIRCKHIPKRPGCDWHDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDSYRFAGNIQNKHRQIGNAVPPPLAYALGRKLKQAIDAKR >ORUFI03G39080.1 pep chromosome:OR_W1943:3:31598379:31599059:-1 gene:ORUFI03G39080 transcript:ORUFI03G39080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTASWSRYGTVPPPAAAAPPLKREEVVVGGDGEAAPSPSPATAAEAGVAFFSRARAVAGAAAGRPRAWREVLDATAFSRPESCGEARARARRNLAYFRANYALAALVLVFLGLVYRPVSMLAFLALFAAWLGLYFGRGDGEPPLACMGREVDDRVVLAALSVATVLAVALSRAGLNLLVSLVLAAAAIGAHAAFRMNVYLDERDAYDGSSFMGSSYGGYALPR >ORUFI03G39090.1 pep chromosome:OR_W1943:3:31602756:31605135:1 gene:ORUFI03G39090 transcript:ORUFI03G39090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNTHCSIATPRVLEFYSVFAMDGVEEANMAAVESSKKLVAILSKSGDPFRLMAAVAETDEAVSRFGKVVTILSNRVGHARARLGKRRSSPPVDPGCLMDHPLAAAASSPAPSNGRLHFSSSAATASPSPATAAAASSAANVTPAVVDRSLFLETTLLDLNSRGAPAPAASMAAAAKNSSKLAPAPMVNSSSSANHIQFQQPMKSFQFEQTPISDKFHIEMPRGVGGGGGKEVISFSFDNSVCTSSAATSFFTSISSQLISMSDAATNSAAAAAAPTTKKPSSCARKATADDDAGGKCHCPKKKKPREKKVVTVPAISDKVADIPSDNYSWRKYGQKPIKGSPHPRGYYRCSSKKDCPARKHVERCRSDPAMLLVTYENEHNHAQPLDLSVVQQATANPQT >ORUFI03G39090.2 pep chromosome:OR_W1943:3:31603335:31605135:1 gene:ORUFI03G39090 transcript:ORUFI03G39090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVEEANMAAVESSKKLVAILSKSGDPFRLMAAVAETDEAVSRFGKVVTILSNRVGHARARLGKRRSSPPVDPGCLMDHPLAAAASSPAPSNGRLHFSSSAATASPSPATAAAASSAANVTPAVVDRSLFLETTLLDLNSRGAPAPAASMAAAAKNSSKLAPAPMVNSSSSANHIQFQQPMKSFQFEQTPISDKFHIEMPRGVGGGGGKEVISFSFDNSVCTSSAATSFFTSISSQLISMSDAATNSAAAAAAPTTKKPSSCARKATADDDAGGKCHCPKKKSVSISPPKPREKKVVTVPAISDKVADIPSDNYSWRKYGQKPIKGSPHPRGYYRCSSKKDCPARKHVERCRSDPAMLLVTYENEHNHAQPLDLSVVQQATANPQT >ORUFI03G39100.1 pep chromosome:OR_W1943:3:31607500:31609985:-1 gene:ORUFI03G39100 transcript:ORUFI03G39100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEVEAEVAAAGAPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >ORUFI03G39110.1 pep chromosome:OR_W1943:3:31616345:31617383:-1 gene:ORUFI03G39110 transcript:ORUFI03G39110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSLAGGDMKPLATSDDLDTIILFSYRWPLPSAMTSTRATTGCLVLGEEEAEVDPISGGNPKGGGGMGFLVEEVILSDSGGGLRFRQKYVDSSRGGGPRGGGGLGVWEAEEDPYDSDGPMGSGDLAI >ORUFI03G39120.1 pep chromosome:OR_W1943:3:31620750:31622485:1 gene:ORUFI03G39120 transcript:ORUFI03G39120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVAATEPEVAAEEAAAAAPETTATAGDSKPAKEAKAKKAAAPRKARSTATHPPYAEMISEAIATLKERTGSSQYAIGKFLEDKHKDHLPSNFRKQLLVQIKKLVAAGKLTKVKNSYKLPPTRAPAAAKPKAKPAAAAKPKPKPKAAAKPKAAAKPKAKAPAKSKAAAKPKAAAKPAAKPKAAAKPKSPAKPAAKPKAAPKAKAKPAAKPKAKAAPKPKAAAVTKTKATSAPARRPAKAAKTSAKDTPSKKAAPAAKKPAAAAKKAPAKKAAPAKKAAAPARKVPARKAKK >ORUFI03G39130.1 pep chromosome:OR_W1943:3:31626482:31631224:1 gene:ORUFI03G39130 transcript:ORUFI03G39130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGEGGGDPAARRRWDLTNKGAESIPMVKEAVEMSTDEESDGVVICPPDGNNDDREEAISSNNHDNCQEGEVTCVKDPVIDSETQEDKCVNQDSVKLIDQEKSGPPKSPSKPGISGSDRSKRTVPQPFALSSQRKSHGGNSKAAHPSGNGENSGDKSNSSPASLTKKTAPITPKKIAQPDHMLHHQEEDSCSVTSSTTTSTRAGKTKATVGVAPSFVCADRADKRKEFYTKLEEKHKALEAEKNEAEARKKEEQETALKQLRKSLVIRAKPMPSFYQEGPPPKAELKKVPPTRAKSPKFTRRRSCSDAPPTPEAANTTAASSRSHRHSIANPKDANRVQCSPKNGVAAKTRAVKPVS >ORUFI03G39130.2 pep chromosome:OR_W1943:3:31626482:31631224:1 gene:ORUFI03G39130 transcript:ORUFI03G39130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGEGGGDPAARRRWDLTNKGAESIPMVKEAVEMSTDEESDGVVICPPDGNNDDREEAISSNNHDNCQEGEVTCVKDPVIDSETQEDKCVNQDSVKLIDQEKSGPPKSPSKPGISGSDRSKRTVPQPFALSSQRKSHGGNSKAAHPSGNGENSGDKSNSSPASLTKKTAPITPKKIAQPDHMLHHQEEDSCSVTSSTTTSTRAGKTKATVGVAPSFVCADRADKRKEFYTKLEEKHKALEAEKNEAEARKKEEQETALKQLRKSLVIRAKPMPSFYQEGPPPKAELKKVPPTRAKSPKFTRRRSCSDAPPTPEAANTTAASSRSHRHSIANPKDANRVQCSPKNGVAAKTRAVKPVS >ORUFI03G39140.1 pep chromosome:OR_W1943:3:31631911:31636040:1 gene:ORUFI03G39140 transcript:ORUFI03G39140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTSTVITWRYQQLFVVLSSVILEWVLMLLLLFEGLLSYLVTTFASLCKLHPPCPMCTRLDHVFGTAQPGFYRDLMCNSHKAEASSWAFCHIHQKLVDVHSMCESCLLSFATNKKSNLATYRSLAGKLGVGIGNEGFRPSFSLDNSSEASVIKEDITNTLCSCCSSPLKVKSYPSMVLQNIASAIDTEVNTRHVSRDQLIEEISLVRYSELKTSDSESEPWQHGGVASLLDDAVDNLKEDFTLSHPKTKFAGVIPTDDIAQDQVAKNSDLIQLQNGGSDSKNSQVSAELYHFRADGNADLQSTDFSSKTVQHPTEDSDTTDKSEDDVWHNALDSISELSVTDKPAETSTAENEPKAEFTDRTAMKDSFKAHEDLQLLLSQVSPNDAINIPGVQEQAILNNITRALSLDRNYSGSISESMAIDEAEEHCTVDQLKKQIELDRKSISLLWKELEEERNASAIATNQTMSMITRLQEEKAAMQMETLQYQRMMEEQSQYDREDLQKMAAMVQELEAEIEGYKTKLRDQSLVNEIRDAMRISRSEECETSMSRTARSLSLFEDEKAYISKHLKKLRQNLHQFSNNGKFIDPKKIDDKEDTFDVTNSEDVYQDADEDSEMTNSENSEMTNVIRNGRNFRYLSNGTEGLTNGKDDPEGQYYAMVSENDLVNFEDEISELTAKLKALEADRSFLEHSINSLRNGQEGKELIHGIACSLRELRKMGITWKDCD >ORUFI03G39140.2 pep chromosome:OR_W1943:3:31631911:31635164:1 gene:ORUFI03G39140 transcript:ORUFI03G39140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTSTVITWRYQQLFVVLSSVILEWVLMLLLLFEGLLSYLVTTFASLCKLHPPCPMCTRLDHVFGTAQPGFYRDLMCNSHKAEASSWAFCHIHQKLVDVHSMCESCLLSFATNKKSNLATYRSLAGKLGVGIGNEGFRPSFSLDNSSEASVIKEDITNTLCSCCSSPLKVKSYPSMVLQNIASAIDTEVNTRHVSRDQLIEEISLVRYSELKTSDSESEPWQHGGVASLLDDAVDNLKEDFTLSHPKTKFAGVIPTDDIAQDQVAKNSDLIQLQNGGSDSKNSQVSAELYHFRADGNADLQSTDFSSKTVQHPTEDSDTTDKSEDDVWHNALDSISELSVTDKPAETSTAENEPKAEFTDRTAMKDSFKAHEDLQLLLSQVSPNDAINIPGVQEQAILNNITRALSLDRNYSGSISESMAIDEAEEHCTVDQLKKQIELDRKSISLLWKELEEERNASAIATNQTMSMITRLQEEKAAMQMETLQYQRMMEEQSQYDREDLQKMAAMVQELEAEIEGYKTKLRDQSLVNEIRDAMRISRSEECETSMSRTARSLSLFEDEKAYISKHLKKLRQNLHQFSNNGKFIDPKKIDDKEDTFDVTNSEDVYQDADEDSEMTNSENSEMTNVIRNGRNFRYLSNGTEGLTNGKDDPEGQYYAMVSENDLVNFEDEISELTAKLKALEADRSFLEHSINSLRNGQEGKELIHGIACSLRELRKMGITWKDCD >ORUFI03G39150.1 pep chromosome:OR_W1943:3:31637193:31638815:1 gene:ORUFI03G39150 transcript:ORUFI03G39150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVTFFAAVLVAIFLTSGGGRMSSTAARPTAVGGAGAPPAAGGRGRHWRPSLQSISEHLRQQRRRPVPSHSRRWPLARAVHVAAIKSLKVKSRIKDQDITIHKAEPMERFSWAMGFFGPYGAGGRGDGDSRAREAMANGSDASWEPKATAGT >ORUFI03G39160.1 pep chromosome:OR_W1943:3:31640280:31640618:-1 gene:ORUFI03G39160 transcript:ORUFI03G39160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKYAAYGDLLGMTARVAVRAYSHCPQTARMYYKPPPTATATTAASGDKRSASAATASSSRSSSFGADNAGSSTGAAASPCASTKQQAAAAARVAFDGAGFILYGVERAA >ORUFI03G39170.1 pep chromosome:OR_W1943:3:31641095:31643390:1 gene:ORUFI03G39170 transcript:ORUFI03G39170.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDRSQPAPIDPRRARFPCCIVWTPLPLISWLIPFIGHIGICREDGVILDFAGPNFVSVDNFAFGAVARYIQVNSDECYKLLEPEGASTWDDALRKGVQEFQHRGYSLFTCNCHSFVVNNLNRLFYSGHDKWNVVSLAAVMFLRGRWVSTASVVKTFFPFALVITIGTLLGGATFLIGLLAFAAVMTGWFLVGTYCIKSLVEL >ORUFI03G39180.1 pep chromosome:OR_W1943:3:31644216:31649372:1 gene:ORUFI03G39180 transcript:ORUFI03G39180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRQPQKRVLESFTIKGPDGVIKPGDTVLMMAPDSSKKPYVARVEEIEATGPQASQVKIKSADTIEGKCYVHTFRDYTKLRSVSAEDFFCRFEYKSATGSFVPDRIAVFCKCEMPYNPDNLMIQCEDCSDWFHPSCVEITIKEAKKLEHFYCKSCIAENGKDLQKSNGATAQSEEKVQSKRRRR >ORUFI03G39180.2 pep chromosome:OR_W1943:3:31644216:31649372:1 gene:ORUFI03G39180 transcript:ORUFI03G39180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRQPQKRVLESFTIKGPDGVIKPGDTVLMMAPDSSKKPYVARVEEIEATGPQASQVKIKVRWYYRPEESIGGRRPFHGSKEVFLSDHYDSQSADTIEGKCYVHTFRDYTKLRSVSAEDFFCRFEYKSATGSFVPDRIAVFCKCEMPYNPDNLMIQCEDCSDWFHPSCVEITIKEAKKLEHFYCKSCIAENGKDLQKSNGATAQSEEKVQSKRRRR >ORUFI03G39190.1 pep chromosome:OR_W1943:3:31650527:31654683:1 gene:ORUFI03G39190 transcript:ORUFI03G39190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLHPRFPSSHAAACAHRAAAAHRDARPALRLPELHATRRRRNNVACRATRAREAPPQQQNTAAALSKEAHKYFDHAVVTVRAGDGGHGAVLAMPASPSTDAPKSPRRRSDKGKRSGVKKVSYKRNYDGSVALPMGGHGGDVVVYADEAEETLLRFHEKARYCAKRGGNVGATGTLSSRMHNGFAGETLRIPVPVGTVVKRKKGAVLADLAHPGDEVIVARGGQGGISLIDVPEYRRRKAMALSPNIMRDVSDRVLIHGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLSAITLARPDIADYPFTTLMPNLGRLGGDPALGALQFSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRVIVHVVDAAADDPVDDYKIVREELRMYNPQYLERPYVVVLNKIDLPKAQDRLSSLAFEISSIGCEECDGNNTSEDSLNGNTGEHNTSSETKVEGGEKELRDYPRPQAVVGASVLKHIGIDEMLKEIRAALRKCFDHRLPEP >ORUFI03G39200.1 pep chromosome:OR_W1943:3:31655103:31657222:1 gene:ORUFI03G39200 transcript:ORUFI03G39200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVRSLASRRSAPGALTQLQPKPAATVISPRLLSHGGLLRREALPPPLSPYRFFSSGGFESPRTGPSPSSTEPGTDTESCTNKQGPVAAEENNKKCCPRKQASMALGTEIEERKKELLKLMLQMKNIPHCDECFPNKEEQWIRFLQGSQASEQQRQPAFGKSVDVLVIVLYVLNIGFMLRD >ORUFI03G39210.1 pep chromosome:OR_W1943:3:31660158:31660664:-1 gene:ORUFI03G39210 transcript:ORUFI03G39210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAARIPVLSSPALVNDMARQPFLSPVHADDVVAGDCGADEKQKTHIAQNFEGMTMIMHDEVAQQCVTLMISYMLFENDWPPDLTFKIQITQFSSFHF >ORUFI03G39220.1 pep chromosome:OR_W1943:3:31662233:31666055:1 gene:ORUFI03G39220 transcript:ORUFI03G39220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVGIGRATCAAWARRRGGGGPARLLVVYGRASTASSPPRIDLHAFDAGASAVAADPLVSFVMGHEEDDAPRAIAVHPSGDEFVCATAKGCRLFKLVTEESSVHLISKDAPTLQSIGPQKCLSFSTDGAKFAVGGEDGHLRIFHWPDLNLLLGEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWNIDEGAPLVNLSRSSDEKIECCCFSRDGNKPFLFCTLVKGHNVVTVVLDISNWKRIGYKRLLEKHISTLSVSLDGKYLALGSHDSDFCVVDVKKMEVLHLSKKVHLGSPISSIEFCPTERFLKYCWIVTVAIVCLYCRVVISTSHKWGAECGKCG >ORUFI03G39230.1 pep chromosome:OR_W1943:3:31676044:31677930:1 gene:ORUFI03G39230 transcript:ORUFI03G39230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAESGVAGGGRGAAALLREVATARFARQVVLGRWFMVFACLLILSASGATYIFGIYSKVLKSSLGYDQRTLNTLSFFKDLGANVGVISGLINEVTPPWVVLAMGAAMNLAGYLMIYLAIDGRTARPPVWLMCIYICVGANSQSFANTGALVTCVKNFPESRGIVLGLLKGFVGLSGAIFTQLYVAIYGDDAKSLVLLIAWLPAAISILFVHTVRIMPYLPSRRRRADGELEASAATSNDAFFCFLYISIALATYLLTMIVVQNQTNFSHTAYVVSATALLLVLFLPLVVVIKQEYQIKKELDDSLREPPTVTIEKPAAAAMQMSAITTKPKTETPSSSSPAPAPPSCCLGSCLKHMFNPPAQGEDYTILQALVSVDMLVLFLATICGVGGTLTAIDNMGQIGQSLGYPAKSIKTFISLISIWNYAGRVTSGFASEMFLARYRFPRPLMLTAVLLLACVGHLLIAFGVAQSLYAASVIIGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGSVASPVGAYVLNVRVAGYLYDVEAARQHGGSLAGGDKTCLGVQCFRKAFLIITAATVAGALISLVLVWRTRNFYKGDIYAKFRENTATDEATSNGNSADTAAEKRSTLVNDEDSKKG >ORUFI03G39240.1 pep chromosome:OR_W1943:3:31679565:31686290:1 gene:ORUFI03G39240 transcript:ORUFI03G39240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKERDSESSRRRRRRRQRSRSPSDSEEASDSSGSPRRSRSRNRRKSRRRDTPSSSDASDSQASDSGSDSGGRVRRRSGSRKKGEVTEEQIVEYMAKKAQKKAEKVAKKMKANAVSGYSNDSNPFGDPNLTEKFVWRKKIERDVSQGQKVDISVKGEKKKQRERMAEIEKVKKRREERAIEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDVLLKNLNFSEEFDVELNEPYLVFKGLTVKEMEELRDDIKMHLDLDRESQINVKYWEALMVVCDWELGEARKRDALDRARVRGEEPPPDVLAEERGLHASIEGDVKDLLEGKTSTELEEMQSQIESQMRSGTAKVVEYWEAILKRLHIYKAKACLREIHASILRKHLHRLEHPDSIEQDKESEDEIDAKEKDATHIDDDDDDDDEDDKRYSPEPIAEQTESHLDEEDGSFSPELMHGNEDEDAIDPEEDKAELDRKREAVVMEHQKKVQQAIAVKTRVPDEMEMKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKSKAPTYTIEKDGSTGETCLIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHLYFNFKRYRYRR >ORUFI03G39250.1 pep chromosome:OR_W1943:3:31687124:31695864:-1 gene:ORUFI03G39250 transcript:ORUFI03G39250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRPGPPQPQYPRPGPPAVVYGAPMPAAHHQGAYQPGGVYRAPSPGVPVIGGYARSTPVTIRAPPPSHSSAPAPYQPAAAAPAPSSSSTAPSATALAKEFEQKLFVSETALAPPAAAASAAAAPAGEASVASDKDRAPVSKKGLAHPARPGFGAAGKKVSINPESKSRATNREVLNELIKLHGKTSLGGKLPAYDGRKSLYTAGSLPFESEEFVVKLIDPEKKDKERAEREYKITIRIAGRTDLYHLQQFLLGRQRDMPQETIQVLDVVLRESPSWNYVTVSRSFFSTQFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVEEFLNIRDTSRPLSDRDRVKIKKALRGVRIETNHQEDQIRRYKITGITPIPMSQLIFPVDDNGTRKTVVQYFWDRYNYRLKYASWPCLQSGSDSRPVYLPMEVCKIVEGQRYSKKLNDKQVTNILRATCQRPQQREQSIHEMVLHNKYTEDRFAQEFGIKLKYHDSGREKTCAPSVGQWNMINKKMINGGTVDNWTCLSFSRMRPEEVQRFCGDLIQMCNATGMSFNPRPVVDVRSTNPNNIENALRDVHRRTSELLAREGKGGLQLLIVILPEVSGSYGKIKRVCETDLGIVSQCCLPRHASRPNKQYLENVALKINVKVGGRNTVLERAFIRNGIPFVSEVPTIIFGADVTHPPPGEDSASSIAAVVASMDWPEITKYRGLVSAQPHRQEIIEDLFSVGKDPVKVVNGGMIRELLIAFRKKTGRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRRDMTDKSGNILPGTVVDRQICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADALQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGESSDGGSTPGSSGQAVAREGPVEVRQLPKIKENVKDVMFYC >ORUFI03G39260.1 pep chromosome:OR_W1943:3:31702655:31703977:-1 gene:ORUFI03G39260 transcript:ORUFI03G39260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPSYVVLDRVVHLDKEAVKEESEWAIMECRDRKTYLRNDHVGDEVVYGLSLLVQIAEPPDLSKLSIRLSEPPVQVAARPEEILDDGSSVLQLLDLPKRALNLHTSVQSVADDLIVFTSCLRNRTHRYLVYDAIGKSLSMIPCLPNRCDPSATFQPLPLRAGAGGDYTIALLGRDMRSDRETTRRFFQDVLCLCPPPPSSRPPPPSSFSAVTTPWQFKNPLFPPETPNRFKAHMVFSSGGQAFWANLAQGVLYCSCHDVLTGGYDVPFRYIPLPPECHLDPMKNQLRLCRTMNCVKDSIKFASIDMVPPDEAMMTTWTLTLATTQWHKDGELRVASLWELEGFKKAGLPKVKPTSPVLSMEENGVICFMLNAAEEGVYMVSLNMHTKNIMSSTRLSSCPTQPLGVEFPKHIQNPVPPKRDRADLEECSTAIKRRLSRT >ORUFI03G39270.1 pep chromosome:OR_W1943:3:31705107:31707830:-1 gene:ORUFI03G39270 transcript:ORUFI03G39270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVLAASSSSSPPPLALASWRWRPPPPPPLLAVAGAARGATNPRLALRLSAAASPPVTGESRAVAGTGRCLVAPMGGDETERDATAATAPDWGALARRLALGALGCAVLCCGGAAVAAEDSIKASGFGLRVAASLRRLGWADEAVVFTLATLPVIELRGAIPVGYWMRLDPIRLTVLSVLGNMVPVPFIILYLKKLAAFLSQRSTSATRIMDLLFERARQKAAPVEEFQWLGLMLFVAVPFPGTGAWTGAIISSVLGMPFWSGFSANFVGVVLAGLLVNLLMNLGLKYAIITGLVLFFLSTVMWGVLRSLKKSLNAK >ORUFI03G39280.1 pep chromosome:OR_W1943:3:31708529:31710357:-1 gene:ORUFI03G39280 transcript:ORUFI03G39280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFFSTMFTPPPAADDGGDSRVVAVHSTATWDEQWGAHKSNPNKLIVIDFSATWCGPCRFIEPAFKDMAGRFADAVFFKIDVDELSEVARQWKVEAMPTFVLIKGGKEVSRVVGAKKDELERKVNMFISSSSS >ORUFI03G39290.1 pep chromosome:OR_W1943:3:31711458:31719077:-1 gene:ORUFI03G39290 transcript:ORUFI03G39290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAAATSSAIWLVEDDILLKNAVETGASLEALAKGAVCFSRKFTLKEIQDRWNSLLYDPEISTQASARMVEYENDLSTSNPLKAKVINAKQKDLSFQKRKIDSVKNQYYAMRKRVRNEPCSTVDLGFLIDPCSCTMNGGQCVCGGLDKHSQGHHVVHNTEPGVSTMNCFGQQDGSYNGGQTMFVGMNGHSFPAKHAETDSMVKGGDIANSVPYGYSDVSQIYEQDAYTRKDPDTNEGNNVSLKGITDFQGSMQFQNLGSSNQCGSKVTESKTIVIADHCGVEHVHFPVNSSSRMQEPGSLQVIGQPEGSQTPVGSIWTEVDERGTFTLDDDKKIKTDNSDPLALQPNLDGGICAAGLDHAAITEGDFMDFPYFSNSEDLDLLNGENFLNIPHETNQEDLDDPDHVKNLLHPDEANICYDQTDPDHVKHNVDVSGIISVPTSLEVPYPGRFVECVLNTEDPEIPCNDDVIFPGESPLQCSATDFGQNSEHNTCLVSPATSPASNVEHSNVSDKALIKREDTTNTEPSSQPMNLSPPTSEQKEGSTAPSKGCVPLGAEPSEGPSTAGTLVHCHVDTNDANSCASNLPSISAAVFAEGSPCHLEQQNNFDDSLSFPLPNSVEVPDHMNYNSHDNQPELGDGAPLQNCIPPHELPDLGLQDPITTVPVSNQVEECSDNENDVPNYYDLEALILDQDLIPWVQDSEQHPGVSRFQHPESRKSLIRLEQSARSYMNRAIVSKGAFAVIYGLHLRYYMKDSEVTLGRETEDIKVDVDLGKEGRANKISRRQAVIKMDEAGSFHIKNIGKCSIFVNSKEVPSCKRIILSSDSLIEIKDLRFIFHVNQDAVTQFVTRTPKPEH >ORUFI03G39290.2 pep chromosome:OR_W1943:3:31711458:31719077:-1 gene:ORUFI03G39290 transcript:ORUFI03G39290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAAATSSAIWLVEDDILLKNAVETGASLEALAKGAVCFSRKFTLKEIQDRWNSLLYDPEISTQASARMVEYENDLSTSNPLKAKVINAKQKDLSFQKRKIDSVKNQYYAMRKRVRNEPCSTVDLGFLIDPCSCTMNGGQCVCGGLDKHSQGHHVVHNTEPGVSTMNCFGQQDGSYNGGQTMFVGMNGHSFPAKHAETDSMVKGGDIANSVPYGYSDVSQIYEQDAYTRKDPDTNEGNNVSLKGITDFQGSMQFQNLGSSNQCGSKVTESKTIVIADHCGVEHVHFPVNSSSRMQEPGSLQVIGQPEGSQTPVGSIWTEVDERGTFTLDDDKKIKTDNSDPLALQPNLDGGICAAGLDHAAITEGDFMDFPYFSNSEDLDLLNGENFLNIPHETNQEDLDDPDHVKNLLHPDEANICYDQTDPDHVKHNVDVSGIISVPTSLEVPYPGRFVECVLNTEDPEIPCNDDVIFPGESPLQCSATDFGQNSEHNTCLVSPATSPASNVEHSNVSDKALIKREDTTNTEPSSQPMNLSPPTSEQKEGSTAPSKGCVPLGAEPSEGPSTAGTLVHCHVDTNDANSCASNLPSISAAVFAEGSPCHLEQQNNFDDSLSFPLPNSVEVPDHMNYNSHDNQPELGDGAPLQNCIPPHELPDLGLQDPITTVPVSNQVEECSDNENDVPNYYDLEALILDQDLIPWVQDSEQHPGVSRFQHPESRKSLIRLEQSARSYMNRAIVSKGAFAVIYGLHLRYYMKDSEVTLGRETEDIKVDVDLGKEGRANKISRRQIKDLRFIFHVNQDAVTQFVTRTPKPEH >ORUFI03G39300.1 pep chromosome:OR_W1943:3:31719550:31722994:1 gene:ORUFI03G39300 transcript:ORUFI03G39300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAAAAAAAAAAKKVIATAGKRRGSELFCPSPPLNFAMFHRFLLPGSTRYYHSLMKEKTICINPHKLLLMTMVEDTITKKVAGNICSSLSNARDMALVLHAAFKGWKTKFQDIPPMKKYVMLLGLACLTLHLALHYKMKKMETNLKQDMIKFRAEVKLEIEAAANAMQKEIASELAKSAQFRADSVVAFQKCKARPDVWSEYYCLTLLEALMSCVSHSDAHRSDQRISYIPST >ORUFI03G39300.2 pep chromosome:OR_W1943:3:31719550:31722472:1 gene:ORUFI03G39300 transcript:ORUFI03G39300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAAAAAAAAAAKKVIATAGKRRGSEVHAWRRISRCMSRSVFPISLFCPSPPLNFAMFHRFLLPGSTRYYHSLMKEKTICINPHKLLLMTMVEDTITKKVAGNICSSLSNARDMALVLHAAFKGWKTKFQDIPPMKKYVMLLGLACLTLHLALHYKMKKMETNLKQDMIKFRAEVKLEIEAAANAMQKEIASELAKSAQFRADSVVAFQKCKARPDVWSEYYCLTLLEALMRSRIDGK >ORUFI03G39300.3 pep chromosome:OR_W1943:3:31719550:31722472:1 gene:ORUFI03G39300 transcript:ORUFI03G39300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAAAAAAAAAAKKVIATAGKRRGSELFCPSPPLNFAMFHRFLLPGSTRYYHSLMKEKTICINPHKLLLMTMVEDTITKKVAGNICSSLSNARDMALVLHAAFKGWKTKFQDIPPMKKYVMLLGLACLTLHLALHYKMKKMETNLKQDMIKFRAEVKLEIEAAANAMQKEIASELAKSAQFRADSVVAFQKCKARPDVWSEYYCLTLLEALMRSRIDGK >ORUFI03G39310.1 pep chromosome:OR_W1943:3:31723312:31724107:1 gene:ORUFI03G39310 transcript:ORUFI03G39310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSISDSAATPARSIGDSATTRGAVATGNPATTAWWFIGRSSSSLRTRTVSIMAEVSGLPAVTWRQTVQRWQLLTRILDRRWCLVNESRPQRPVETRTAPCPMVI >ORUFI03G39320.1 pep chromosome:OR_W1943:3:31725800:31726258:-1 gene:ORUFI03G39320 transcript:ORUFI03G39320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAIDCPPAPRPTPASTPSTGLSSCPRDALKLRVCANVLGLVKAKVGAVAPYEPCCSLLDGLVDLDAVVCLCTRPCLLLRAQHRGARPRWAASPPAATQRRRRHSRPAAALAFSRVTAAAAAASSSPASPRQPLPSRRPALPREDKRREE >ORUFI03G39330.1 pep chromosome:OR_W1943:3:31728863:31730815:1 gene:ORUFI03G39330 transcript:ORUFI03G39330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRSLASKSRMGLRALPKIQPQPAATVIPPRLLSHGGLLRRKHLTPPPPPPLTPYRFFSSSVSESRGTSPSPKQESSRAPRQTEEGEELFRMQDEEKLKLLSLIKNLKESEAIKREDPLFDITMKLVISSMAMLLVWTVSDILLME >ORUFI03G39330.2 pep chromosome:OR_W1943:3:31728931:31730815:1 gene:ORUFI03G39330 transcript:ORUFI03G39330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRSLASKSRMGLRALPKIQPQPAATVIPPRLLSHGGLLRRKHLTPPPPPPLTPYRFFSSSVSESRGTSPSPKQESSRAPRQTEEGEELFRMQDEEKLKLLSLIKNLKESEAIKREDPLFDITMKLVISSMAMLLVWTVSDILLME >ORUFI03G39340.1 pep chromosome:OR_W1943:3:31732856:31741166:1 gene:ORUFI03G39340 transcript:ORUFI03G39340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDGGADDEERWLAEGIAGVQQNAFYMHRALDSNNLKDALKYSAQMLSELRTSRLSPHKYYDLYMRAFDEMRKLEMFFREETRRGSCSVVDLYELVQHAGNVLPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPLRGLFLRSYLSQISRDKLPDIGSEYEGDADSINVAVEFVLQNFIEMNKLWVRMQHQGPVREKEKRGKERNELRDLVGKNLHVLSQIEGVDLDMYKETVLPRILEQVVNCKDELAQFYLMDCIIQVFPDEYHLQTLETLLSAFPQLQPNVDIKTVLSQLMDRLSSYAAASPEVLPEFLQVEAFAKFSNAIGKVIEAQVDMPVVGAVTLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGNAKLEDSRATKQIVALLSAPLEKYSNIVTALELSNYPRVMDYLDNSTTKVMALVIIQSIMKNTTCISTSDKIEALFDLIKGLIKDMDGAQNDELDDEDFKEEQNSVARLIHMLHNDDHEEMLKILCTVQKHILQGGPKRLPFTVPSLVFSALKLVRRLQGQDGDVIGEEVPATPKKIFQILHQTIEALSCVPSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEIADSKAQITAIHLIIGTLQRMNIFGVENRDTLTHKTTGYSAKLLKKPDQCRAVYACSHLFWTDDQDGIMDGERVLLCLKRALRIANAAQQMANVTRGSSGSVALFIEILNKYLYFFEKGIPEITNTVIQDLIELIRTEKQSENTVADPSTEAFFASTLRYIEFQKQKGGSIGEKYEQIKTT >ORUFI03G39350.1 pep chromosome:OR_W1943:3:31741941:31744708:-1 gene:ORUFI03G39350 transcript:ORUFI03G39350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPLPFPFPDVPGRAPLAASPRLPLPLPLAWPGLGPARGGRRGTAVIPAATMPALQILQSKQASRHEQRAKQMLSGISLNNEDLVKDKLLIDCGEDQDCVIDGIVALGKFDALHIGHRELAMYASKAGTPFLLSFVGIAEVLGWEYRPPIVAQCDRKRVLTSWAPYCKNVVPIEYQVEFSKVRYLTPRQFVERLSRDLKIQGVVAGENYRFGYRASGDAAELVKLCEEFGLSAFIVRSVMDTARSYNGVTTSVNSSDKGQVSSSRVRHALAMGDMEYVSELLGRKHRLVLTVKENHLQERKRIMLPKSCMLNMPPADGLYENCDLLNGGHLGLCRVIINSETIVIEMKDENSLLPNTIQENQQLGIEFG >ORUFI03G39350.2 pep chromosome:OR_W1943:3:31741941:31744608:-1 gene:ORUFI03G39350 transcript:ORUFI03G39350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAAAAGTLSCTFRSPSPSPTSPAAPHWRPLLGFRSRSRSRGRGWGQRAVVAGAPRLFLPPPCRRFRYCSQSKLLGTNKGQNRCSLATFSSFGQSGISLNNEDLVKDKLLIDCGEDQDCVIDGIVALGKFDALHIGHRELAMYASKAGTPFLLSFVGIAEVLGWEYRPPIVAQCDRKRVLTSWAPYCKNVVPIEYQVEFSKVRYLTPRQFVERLSRDLKIQGVVAGENYRFGYRASGDAAELVKLCEEFGLSAFIVRSVMDTARSYNGVTTSVNSSDKGQVSSSRVRHALAMGDMEYVSELLGRKHRLVLTVKENHLQERKRIMLPKSCMLNMPPADGLYENCDLLNGGHLGLCRVIINSETIVIEMKDENSLLPNTIQENQQLGIEFG >ORUFI03G39360.1 pep chromosome:OR_W1943:3:31745733:31754026:1 gene:ORUFI03G39360 transcript:ORUFI03G39360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKQRGGGGGGGGAATEGGAATGGGAGGHSPSTVFVSNLPYTFKSSDLEAVFSEVGPVRRCFMVAPKGSETSRGFGFVQFATVQDAERSIQQKDGFSVAGRKIRVKLATHRAPLKERLQKKENAVQAKDADATNEAKDADATNEAKDANATNEAYATSTAKHKETSHKTDTEPLQLLKKETTLSKEVSISNTAKVKSSEKQRVAKTVIFGGLRDFAMASEVFRLAGEIGTVVSVSYPLPKEEMELHGLERDGCTTDAAAVLFASVKSAWDSVVHLHRKEVKGAVVWARQLGGELFPQLPAVVSNLLAIQGSKIRKWRVIVRNLPFKITVKEIMDIFSLAGFIWDVSIPQKSDDGASKGFAFVSFTRKQDAENAIKNVNGKVVAKRTVAVDWAVPKKVYIVAAKSSTKDDELANVSDRGSDEESEDNLVGEDDSYELEQETSNCPADDFKTEMDISRKVLENLIKSSERAEPSGNEGSDIDTDTETEQDTSEKKQKQTHLPASVPAADKLENSKRVAQEENTLPATKFKKQDAGLDRTLFISNLPFDLSNEEVTERFSAFGKVESFFPVLHKLTKRPRGTGFLKFSTPEAADAAVSAANAAPGLGIFIKSRALKIMKALDKESAHKKELEKAKNEVEDRRNLYLTKEGEILAGTPAAEGVSDADMNKRSWLARRKAEMLQSPKFHVSKTRLIIYNLPKTMTINDVKKLCREAVISRAHKQNPVIRKVNILKNEKKSSSTAQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALENVEKVRLQKIWKDRRDKLREAAQDKARPLGDQSATDGPDANNRRAFNKGNKRKSHDRSSKLPYAGEGPAEDLSAAGDGGTVESMVEDKRKDQRPAKRARKSNKGTTALDGDRQDATPTADRNRTLSSKHNPADALAKRKNRNDSHSEQKRGRAQRKTKKELAGEGSVDKSLVEQYRSKFLQHGLNKTKG >ORUFI03G39360.2 pep chromosome:OR_W1943:3:31745733:31754026:1 gene:ORUFI03G39360 transcript:ORUFI03G39360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKQRGGGGGGGGAATEGGAATGGGAGGHSPSTVFVSNLPYTFKSSDLEAVFSEVGPVRRCFMVAPKGSETSRGFGFVQFATVQDAERSIQQKDGFSVAGRKIRVKLATHRAPLKERLQKKENAVQAKDADATNEAKDADATNEAKDANATNEAYATSTAKHKETSHKTDTEPLQLLKKETTLSKEVSISNTAKVKSSEKQRVAKTVIFGGLRDFAMASEVFRLAGEIGTVVSVSYPLPKEEMELHGLERDGCTTDAAAVLFASVKSAWDSVVHLHRKEVKGAVVWARQLGGELFPQLPAVVSNLLAIQGSKIRKWRVIVRNLPFKITVKEIMDIFSLAGFIWDVSIPQKSDDGASKGFAFVSFTRKQDAENAIKNVNGKVVAKRTVAVDWAVPKKVYIVAAKSSTKDDELANVSDRGSDEESEDNLVGEDDSYELEQETSNCPADDFKTEMDISRKVLENLIKSSERAEPSGNEGSDIDTDTETEQDTSEKKQKQTHLPASVPAADKLENSKRVAQEENTLPATKFKKQDAGLDRTLFISNLPFDLSNEEVTERFSAFGKVESFFPVLHKLTKRPRGTGFLKFSTPEAADAAVSAANAAPGLGIFIKSRALKIMKALDKESAHKKELEKAKNEVEDRRNLYLTKEGEILAGTPAAEGVSDADMNKRSWLARRKAEMLQSPKFHVSKTRLIIYNLPKTMTINDVKKLCREAVISRAHKQNPVIRKVNILKNEKKSSSTAQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALENVEKVRLQKIWKDRRDKLREAAQDKARPLGDQSATDGPDANNRRAFNKGNKRKSHDRSSKLPYAGEGPAEDLSAAGDGGTVESMVEDKRKDQRPAKRARKSNKGTTALDGDRQDATPTADRNRTLSSKHNPADALAKRKNRNDSHSEQKRGRAQRKTKKELAGEGSVDKSLVEQYRSKFLQHGLNKTKG >ORUFI03G39370.1 pep chromosome:OR_W1943:3:31755895:31759606:1 gene:ORUFI03G39370 transcript:ORUFI03G39370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFARAQVVRLKSHHDKFLYADEDEVHVTQDRNGAATNARWTVERVPHSPGVVRLRSRYGRYLSASNEPFLLGMTGRKVLQAPPPGGRATDSSLEWEPVKDGFQAKLKTRYGHYLRANGGPPPWRNSVTHDVPHRTATQDWVLWDVEIVQVLTPGHDRAPTSAAPPSPSHAPELKKPPPPPEAHHRPTKSYTGHPPPPPLEKDAPPQPPRPQEVHHRPTKSYTGNPPQPLEKDASPQPPPPKPAPSRLEEINTETSLCCQSSLSFSAPLHKVEGRAIYYHIADDKGDVDEDDETRSFTFNGSNLEELTHKLQEETGLHDIIICTRSPITGKLAPLRLQLPPNNAAMHIVLVQESSKVDNELLIK >ORUFI03G39370.2 pep chromosome:OR_W1943:3:31755895:31759606:1 gene:ORUFI03G39370 transcript:ORUFI03G39370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFARAQVVRLKSHHDKFLYADEDEVHVTQDRNGAATNARWTVERVPHSPGVVRLRSRYGRYLSASNEPFLLGMTGRKVLQAPPPGGRATDSSLEWEPVKDGFQAKLKTRYGHYLRANGGPPPWRNSVTHDVPHRTATQDWVLWDVEIVQVLTPGHDRAPTSAAPPSPSHAPELKKPPPPPEAHHRPTKSYTGHPPPPPLEKDAPPQPPRPQEVHHRPTKSYTGNPPQPLEKDASPQPPPPKPAPSRLESSLSFSAPLHKVEGRAIYYHIADDKGDVDEDDETRSFTFNGSNLEELTHKLQEETGLHDIIICTRSPITGKLAPLRLQLPPNNAAMHIVLVQESSKVDNELLIK >ORUFI03G39380.1 pep chromosome:OR_W1943:3:31762525:31763832:1 gene:ORUFI03G39380 transcript:ORUFI03G39380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLLLAAAVAAAALPPPAAARRHASPPPPQPQHPDKAGGGNGVLTTALVAAAVLLVVLLLYLCVAIAVRRYRGRGPAPAAGPTNAAARAAAFLRRNGLQQHRPSFTYEQLRAATAGFDPSRKLGDGGFGTVFLAYLPPGGRPAAVKRLHVPPSPSPSFPSASATITKSFCNEVLILSALRHPHLVRLHGFCADPRALLLVYDFVPNGTLSHHLHRRCGVTAAAPPPPPLPWRTRLAMAVQIASALEYLHFGVKPAVVHRDVTSSNIFVEADMRARLGDFGLSRLLSPPDACATGAGRELVCCTAPQGTPGYLDPDYHRSFQLTEKSDVYSFGVVVLELVTGLRPVDVGRERRDVTLADWVVAKIQVGELREVVDQPVLGEGAGVMASVEAVAELAFRCVAPDKDDRPDAREALAELRRIQGMLPEVSGLKGS >ORUFI03G39390.1 pep chromosome:OR_W1943:3:31763899:31768219:-1 gene:ORUFI03G39390 transcript:ORUFI03G39390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLVGRAVRLSFAVARIFSKAAAFFFFYAITESSNKEVTVGERKFPKEVVVAYVDYCKRASVCEENQRVCHMCVFIEQRCQNYIVKQSKKPGKLYATNYFGSSIITLAFDIVHLLPILDEATG >ORUFI03G39400.1 pep chromosome:OR_W1943:3:31769570:31775897:1 gene:ORUFI03G39400 transcript:ORUFI03G39400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCIVASIMSIGRLATALCSAILGALHKLSALPHIEEEYVGVLPSMVEAELPHLPEDLLVQILSRLEIPDLLRASSVCSSWHSAYTTVHSLGQYKRHQTPCLFYTSESAGKNVGCIYSLAEQRTYKITLPDPPIRDRYLIGSSDGWLVTIDDKCEMHLLNPVTREQMALPPVITMEQVNPTYDESGAIVKYENRSQFWHDGVMFSSRSMGSIISPRWQQLFLTGRAFVFSETSTGKLLVVLIRNPFGQLSFARVGDDEWDYLPEYGRYEDCTYKDGLLYAVTTLGEIHAIDLSGPIAMVKVVMGKVMDIGDADRNTYILHAPWGDVLQIWKTEEDDYIHPSEDDYDAILKNTASIEVYKSDLVEEKLVKINRLQDHVLFVGHNQTLCLRAEEFPSLKANHAYFTDDSQNWITEFKNNRRDIGVFNLEDNSRDELGSPQLWSNWPSPSNANLRTREWQHGGNLTHLVVGLLTRVQSLGLTKKKQSMETGIRLRNSPKFRANGDCHNRDHVGGSQNHEPECQIIWTLKGLYYGLRRKLKMSDPKYAYPYPAQGYYQGPYQGPPVMAPPQYAAPPPRRQPSFLEGCLAALCCCCLIDECCCDPSIIFVS >ORUFI03G39400.2 pep chromosome:OR_W1943:3:31768371:31769565:1 gene:ORUFI03G39400 transcript:ORUFI03G39400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKREREERKGKKREREEKKSSLWKKGCTLLSLDAARCLVVAQTQWQRAAKKPKMVKVSSQASGSASAVAESGQETGVGFFSGEWWQRAAKKPEMAVVSSSPASGGASAAKKPRTLLGVRVCKERLCNGMGKNGRRTEEGRNRMHLIILESDNWWWLLRRAVAQWRWQSESATTPPRSASPATILGRHIKQANAQAGLSPSMYWARSPTRVGDDDKPRPAAGGGGRIGVGGAAATEREEMRAGWRPWPTQPSQVAARPNQLRRRCALVGWLLLASGMAQFCYYCCFRRHRERGTQHSSDSDSLRQQKQ >ORUFI03G39410.1 pep chromosome:OR_W1943:3:31777686:31783291:-1 gene:ORUFI03G39410 transcript:ORUFI03G39410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLSVSYSRFRFALFRLGGVRNSGGETDEIPPAAAAAALAPRRTTRGASGVRPRPLARMAAAQLLLGEDGRGYDLARRLEACGAWRAWLGDAAHAALAQHLQTPSTWDAFLFPSSGGGSAAPPPRPLLLLQLRVRALLFDKASAALLPRAPPPAGLNSVNANYLQLHADDIYFSLEDEQEDINQHHMQSRTSFSPSRENTMLSQRHNRYEELPDTWYKQYAEKFRTWHGKFRSGDKDIPKRTSEGMSNYLKVCSVHKRKRAVFMDDQGHNISVPMSENGPSSKNAGDYSNLTDDTFIPEIRFPADCVPESAIPRTSETSRIYKIEVHGVLDNLPAPVSRNTAMLERFGMMPEYYKKGNKYRGKDGSRVEGKSLSQEQAMLMTRKLVARYLANAGFESGTAVCIDVLSEIIIKHISKLGRNLKLLTDSYRKQFSSIELLKMFLQTVGYSNIGPLMEITKTTNRGANYPMQQDAQVQNQNALLHAQQLSRQFAPQMGINTQNLTPQQQQQLLQQQWLRRNQLASPRGPLTMADKNQAMHQQQQQPQQLQQQHQQQLAMSGGQNAQLAQQLAMSGGQNAQLAQQLAMSGGQNAQLAQQLAMSGGQNPQLAQQYKQMPSMSAYGMRMPPVKVEAFHELVSGDSSLKPDSDSNKLMSPK >ORUFI03G39420.1 pep chromosome:OR_W1943:3:31785447:31787009:1 gene:ORUFI03G39420 transcript:ORUFI03G39420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSSSSSLLNGLNSGVVLSLIAVLWTVVWQNLQRLQLQTLVGRHMNRHARRLAALVDPYLSVTIHEYEGGRMKRSAAYEEVKAYLSASSARDVRHLRAEGAKDADKLVLSMVDGEEVSDVVAADDSTDVTVWWCAYSTPPPRTDGGGYYGWGGGGRAQENRRYYRLFFLDRHRELVINTYLPSIRRQGRAVMVQNRQRKLFTNISTHNWSDVDGLVRSAWSHVVFEHPKTFDTLAMDPAKKKEIMDDLDMFKNGKDYYARVGKAWKRGYLLHGPPGTGKSAMIAAMANYLDYDIYDIELTSVHSNTDLRKLFIETTSKSIIVIEDIDCSLDLTGARKKKKEAADDDDGGSKDGGAPPKPDMKKDASSKVTLSGLLNFIDGLWSACGGERLIVFTTNHVKKLDPALIRRGRMDKHIEMSYCCFEAFKFLAKTYLDVDSHRLFAAVDELLSEVDMTPADVAENLTPKSLDDNADTCLAALVKELEKAKENKSKGKNAHGEDKDEDEDEEDDDVEVVEKDK >ORUFI03G39430.1 pep chromosome:OR_W1943:3:31790435:31793160:1 gene:ORUFI03G39430 transcript:ORUFI03G39430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAWFGPVNSGLVLLILTMLLRNLQNFQLMQTFVARQLNRRARRLAALIDPYLSITIHEYDAGRMTRSDVFAETKAYLDGAVGTRDDVRHLNAEDARGGGGGGGAGEGGGGGAGSSSSKGLVLSMADGEEVEDHFRGATLWWSAHCEQDDDKGRRGGGGRASQRRSYRLVFHECHRDLVRSAYLPHVRDQGRAFMAMSRQRKLYTNIPSSRWGDDGSYMCSLWTEVVFKHPKTFETLAMDPEKKREIIDDLDMFKNGKEQHRRVGKAWKRGYLLHGPPGTGKSTMVAAMANYLGYDVYDMELTSVHTNTDLRKLLIQTTSKSIIVIEDVDCSSNLTGRRKATGDGEDDDDDAKTTTKKVIDRGGGGGGVGGDSKVTLSGLLNFIDGLWSAFGEERLIVLTTNHVEDLDPALIRTGRMDKKIEMSYCDFETFKSMAKIHLDVDDHEMFAAVERLLPEVDLVPADVGEHLTAKNPRDDAGACLARLVNALQEAKAKKDAAERQDEDNGVVV >ORUFI03G39440.1 pep chromosome:OR_W1943:3:31792841:31796517:-1 gene:ORUFI03G39440 transcript:ORUFI03G39440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPATTSSSKRSKKRKQPVAPPPESDSESEELSYDTAAADEEEGEEEAPNQMEELEEEQEEEKKEKKQKKEMSKEKKRKKEKGNEGGSGILTNMLFSELGVSEPTARAIREMNYTYLTQIQARSIPHLLNGKDVMGAAKTGSGKTLAFLIPAIEMLHHAHFMPRNGTGVVVVCPTRELAIQTHNVAKELMKYHSQTLGYIIGGNGRRGEADQLAKGVNLLVATPGRLLDHLQNTKGFIYRRLKCLIIDEADRLLEQNFEEDMKQIFKRLPLNRQTVLFSATQTEQVKEFAKLSFEKNEESTSKPVYVGVDDAETNATVEGLQQGYCVIDSARRFLVLYAFLKKKQNKKVMVFFSSCNSVKFHAELLNFLQIECSDIHGKQKQQKRTTTFFNFCKAEKGILLCTNVAARGLDIPDVDFIVQYDPPDEPKDYIHRVGRTARGEKGKGEALLFLLPQELKFLIYLKAAKISLTELVFNENKVPNLQSHLENIVGENYFLNQSAKEAYRSYILAYDSHSMKDIFDVHNLNLKDVAASFCFKNPPKVNIDLESSASKHRRKMRKVDGGRRHGISAANPYGRKGGDDKRQFARF >ORUFI03G39450.1 pep chromosome:OR_W1943:3:31797592:31803324:-1 gene:ORUFI03G39450 transcript:ORUFI03G39450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPPRGRQQRRGEEGEGEGEDAVVELTPRRTRLPRACNSRPKVPARPPAGAAAGEEEETTPKCRVVTPLVAEPEAPAELPRWRLRGMWELASVINFLHVFRPLLNITVEFTAEELEEAILSPNNTLDDVHMPLLKSIPPVTRMAMGRGTWITVLCRKLKYWWNWVAEGDLPIVASHGAEIEMYKALEPATRLVILKAICDIRVEQEDIRNFIDSSLKRGYELPVFRKERIGGDSYGISYWYDEDPVLGHRLYREIRQVEYEKDPTKKAKGKGISNAPVVSYQWETVACNFIEFELAAEKLFSSRNRTEVSLGKKLKNNYLPEMEKIHKKKERLLKKQQREALLLDNRLTVNGFTSVRSRRERKRVTYTFDDYERSINEAIKPTKKSENSSEFITTSNRRVHPKRETATNGRLAGPSPLCNGFYGEYPLRSHGYQGSEWEKKPETLDRRQRKRSRRYTQDFVEAISDVDPNFDSDDDIIGEAVYDEEYLRSRKQYKARLLELDKEFQLEQVADDGNDEVEYPSSTSEDEKEPQRYKRLATCNPRGTNLRTIDGFQTCITRSKRSTRPHMKYHQYDLSGTDTELGKPGKIKVPDPDAGSDALNDMELSTTSQDQEEEGAEVNKERPPLLSPSRNNGSDGRRFLDLNEVAPVGGFDETQSRNGERRPPG >ORUFI03G39460.1 pep chromosome:OR_W1943:3:31804184:31807861:-1 gene:ORUFI03G39460 transcript:ORUFI03G39460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPTLAAELWRTPYLGGGGGGGGGGRGLEAAASGVTEQSNGSRGGGGGGGAGRRRQREAPALEDDSSRIVSTSGGGGGGQDLTDSEAKRFKASKSDNSSLRTEAETDSRNASKSGDQNPPPPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKFGAQVYNTAPGLTFDPQTPREYAQGSTPSEWLHMQIGGTYERVT >ORUFI03G39470.1 pep chromosome:OR_W1943:3:31810019:31813868:1 gene:ORUFI03G39470 transcript:ORUFI03G39470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVILAEYTEFTGNFTTIASQCLMKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQIPIAFLDRVKDDFTKRYAGGKAATAAANSLNRDFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQAGTQVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCK >ORUFI03G39480.1 pep chromosome:OR_W1943:3:31816272:31816682:-1 gene:ORUFI03G39480 transcript:ORUFI03G39480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWCSFGVASSLLLCLAMAHAAAAARAVPGGDGSTTAASLPAAAAAGTGAGVEDKKNLFVGVGGMGDLPGFPAVGGGYGGGFGNNGGAVFSGVTGPLGGVGGGMGSVGPVGGFGGAGGGTPFGWFGGGGAGGVTP >ORUFI03G39490.1 pep chromosome:OR_W1943:3:31820547:31821767:-1 gene:ORUFI03G39490 transcript:ORUFI03G39490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPAGSSSAAALAMAILLGVLVLMALVMDGGEKTGAPAIAAGRRMLVGAADAGQMRTLEDFKADDPFQDSKRRVPNGPDPIHNRGTGKSGRSPGRA >ORUFI03G39500.1 pep chromosome:OR_W1943:3:31823196:31827540:-1 gene:ORUFI03G39500 transcript:ORUFI03G39500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRTLVIFLLLLLALVPALSRPDGGGGGFYDPARVTQLSWRPRAFLYSGFLSHDECDHLVNLAKGRMEKSMVADNDSGKSIMSQVRTSSGTFLSKHEDDIVSGIEKRVAAWTFLPEENAESIQILHYELGQKYDAHFDYFHDKNNLKRGGHRVATVLMYLTDVKKGGETVFPNAAGRHLQLKDETWSDCARSGLAVKPKKGDALLFFSLHVNATTDPASLHGSCPVIEGEKWSATKWIHVRSFDNPPDVSLDLPCSDENERCTRWAAVGECYRNPKYMVGTKDSLGFCRKSCGSLSYRSARPCPPTATAAAGGRQSGRQRVGECERYSRYSPMQVEFWLEFDIASSSPKSKAPLPNLQLFYCGLQRSPLLRFSAIPGARCRGPARAATRCFEKSMLYINDSSEIGCGNKSHLILDWEGQTMEMRRLLVLFALLSVTAVVPVFLWPDKKGGASDVAVVVAAPPFNASSVTIISWKPRIFFYKGFLSDDECDHLVKLGKEKLKRSMVADNESGKSVMSEVRTSSGMFLDKQQDPVVSGIEERIAAWTLLPQENAENIQILRYENGQKYDPHFDYFQDKVNQLQGGHRYATVLTYLSTVEKGGETVFPNAEGWESQPKDDSFSDCAKKGLAVKAVKGDSVLFFNLQPDGTPDPLSLHGSCPVIEGEKWSAPKWIHVRSYDNASSMKQSEECSDLSENCAAWAASGECNNNAVYMIGTEDAPGQCQKSCNACSL >ORUFI03G39510.1 pep chromosome:OR_W1943:3:31828886:31831706:-1 gene:ORUFI03G39510 transcript:ORUFI03G39510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPKRACRLALLAAGGAYLLFLLLFELPSVSISVSTASPAAAAAATTHRPRRRELEAASSSSSSSSSPLRPLKTAFPSRRSPLAVSSIRFRRRNSSSIDASAASAFAAARPLMHHLLSSFSSPSPSSSPSPSPSTSDSCPSTISVPTHRLTSGGGGGNGGGVTVELPCGMGVGSHVTRWIRDDNSKSEESNAQLWLNRLIGRGNEVAADRPYPFEEGKLFALTVTAGLDGYHVNVDGRHVASFPYRTGYSLEDATGLSLKGDLDIESILAGHLPNSHPSFAPQRYLEMSEQWKAPPLPTEPVELFIGILSAANHFAERMAVRKSWMIDTRKSSNVVARFFVALNGEKEINEELKKEAEFFSDIVIVPFMDSYDLVVLKTIAIAEYGVRIVPAKYIMKCDDDTFVRIDSVLDQVKKVEREGSMYIGNINYYHRPLRSGKWSVSYEEWQEEVYPPYANGPGYVISSDIAQYIVSEFDNQTLRLFKMEDVSMGMWVEKFNSTRQPVKYSHDVKFFQSGCFDGYYTAHYQSPQQMICLWRKLQFGSAQCCNMR >ORUFI03G39520.1 pep chromosome:OR_W1943:3:31833459:31847550:-1 gene:ORUFI03G39520 transcript:ORUFI03G39520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRDNHQWPPPHRGYDPRDPAAAWQGDASTSAAAAAAAAGVNPYIYVPNPQYAPNPLNLVLNHVLHNPAALAAYHHQQQQQYHHHQQLLHSSAYHQNPTHNLQHRAAVPAPAAPAAAPSIQHRPVAPATAAAAPGQPQPKKKKKQKEPAPQAHPPPPLPPPQQQQQPKPQQQPGALERAQAAARKVRDEIIKAGEGVTGWKIAQAVLVALKVDSWGSLGVQLHEVPLLRDLFLVEGKVNTFIHCYVAARKIVSVYDLESEICKNESIGQFEELGLGPFLQHPLVAHYFSVPADLSLVPKLSSDEIINWLQKFMDNSKKKITVENFLDYLAEQKSVSGKENLGVRIQSLRLHISFLRQARRTEVSAVKVQGNTSGSGDGSCEKDLVKNRKFHLSKQALDERFSAITSRIKKLPGINKHIHFDSTDDETDGDSSSEGDAVDNSESKTGSAAIDNKDVDKCVSSCPYPSKTEEMERLGLKSETSKKPPLDSSKVKESSKKGYTREKRKSEENGSPTSSCKRPKKKQKVQMQKHELSPNCFLSIGKLEKFITTWKEACREHPVQQKEVLELLANYYAETPKEKKKIIKFFSEYPGIGFLNVAVRAMGCGLLDSLYDAINVFNENKSSSNIPDTTTELMEVEPPPSVTADDVIRRITEFFESNRGVSRTDASQVRKSTFLRTLLDCETCITAKFSANQFSALGHGTFLEFLGKHEQHLPPKLSSFLKVGKLTHSSVEVSVLQQQIEVLLCQAGGNWLEDGEFSEDSFSKLLKRQFPTISFDIVQDKSGEGLLDSIERQRKNVEINNIMFSMSLLEKRWSGIVPGPVQDLACIVTTDGRFIRVDPSATVDQFLEGIIQCSPFQVAVKLLSLLHVYNGSTNTPISLLKCYAQRAIGIIMDNGNDLLNTKSEGKSFSARNIWSDMSKDIDDIVHLVAKFVLDCLGHLPSEFRSLAADVLLAGLRTITKNCYSAILLEATETGQLCMLHDIGLSLGVAEWAEDCRRLCLTDEIHANIEMHASSRHPSTASGVAICENSNLLNATDVDIMKRSKSLPGKDNQIVAVSKNQNVLNIVTAKLDTAEFITNKSPTLGEVNPEEATLVIETIRREEFGLDQSLSCTENSLLKKQHARLGRALHCLSQELYSQDSHLLLELVQNADDNTYVEDVEPTLAFILQDNGIVVLNNESGFSAENIRALCDIGNSTKKGSNQGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITEGQIGFVLPTAVAPYNTDSVSRMLSVEDVKDSSSFWNTCIVLPFRSKFKEGTGMHSIASMFSDLHPSLLLFLHRLKCIKFKNMMNDTLLVMRRKALGNGIVRISNGNDTMSWLVVSKKLQGTIVRNDVCSTEIAVAFTLQETQMGEYEPYLKQQPVFAFLPLRNYGLKFILQGDFVLPSSREEVDADNAWNQWLLSEFPSLFVSAQESFCALPCFQGCPGKAVTTFMSFVPLVGEVHGFFCQLPHLILSKLRLTRCMVLEGSSSRWVYPCNTLRGWDEQTRILISDSLLLEHLGLGYLSKDIIISDTLSRALGIHEYGPKVLIDIISSICRVDGCIESLGLEWLCAWFISLHLSLMHHSSKNLPLTTSPEDLLCVLRKIPCIPLSDGSFSSIADGPIWLPYDVLNSKPDSRSSMLNFPVLYSNLRTINPRLLSVSCQNKYLTEEMRANDLMDILLKMGVRKLSGHDIIKNHILVSLSNSTEANVANTMMIEYVSFIMLHLQSPCASCNFEKEEIMSELRRRPILLTNHGYKCPYDEPIHFSKEYGNSVDLCKLLLNVEIKWIELDSCYLMNRGSDSLPPFELKKWRQFFEEMGVTDFVQVVKVEKNISQADSSLAGRLSQGHHSGTPCIVYDWESPELVLDKFWDAHYSAKARIHADATHSGENIAVESSFMNSIRTFKWIASAMDEDLHYATDLFYNTEDVRSILGSVAPYAVPQVCSRSLGKDIGFKIKVSHSDALMILKSWIASQTSFSASMDQMCKFYTFVSEGFATATIDIKREFLSCSSIFTPLNRARSNELVPGKFLSPKDLYWHDPTGCSEIITEKVISMKNKISMFPRKMLSSAYPSLCEFFTEACGVPKVPKTSDYVDILLGLSNAALPSEVANQLFPANYCFYNKTPFYMILSCKVFRVFARWANDLHSANDNMNDILFLEGSLQKLETTILPTLGDKWVSLHPSFGLVCWVDDNELMQHFEDYNGVNFIQFGELSYEDKQLLYGRIAALLKSLGIPALSKVIYREAIFYGTVDNREKVTVISWLLPYMQRYIYKMHRDTYVNFQQNEITKLSNLQVIVVEKLFHKYKLKERESSCKRRFKCNCLLQGNNLYATQEADSHSLFLELSRLFFDGSPDLHFANFLHMVKTMADSGTTAEQIESFIVNNQNVPDLPEHEAVWSFSSLIIADQDVDCQRTEFQSICDSQKTEIRSTCELNISKHQRTSGVASSWPPNDWKTAPDFITSHNSQFTPNQETNLNNVVPSLDLTKTQCENSEDIVGPVDLEGDWITEDDFGSENTVLAERIGATGDEPHMVMSINSANLPAYLDLETGSSANSVVDIELTEFNDKLANVSEKRDRLCMKAPDRDKLLRIGKQGEAAAHQHFVDHFGSNNVRWVNQENETGLPYDIVVTHKSGFTEYVEVKATTNSYKNWFYITLREWQFALEKGNAFTIARVVLKDSKKANDKSNVLILKNPYKLCLNKSVYLALIIPQQYQTKRRYFEGNSDLQSEVNH >ORUFI03G39530.1 pep chromosome:OR_W1943:3:31849548:31853910:-1 gene:ORUFI03G39530 transcript:ORUFI03G39530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPAAGATCRRFAIRGFVAVFLVYVLAALALESPLLVVPTPVPGAGAATAASRPLHLDGSGERGRGSAPARPLKRPHRETLSAAGRSSRRLPGIVSGLDLLRRLNATRSGSLRKVAAEAAAAGARVFSELQTLAGTVTELDATGEEERSRCPHSIVLTGDEFRVKGRTVELPCGLTLGSYITVAATPRAAHADRDPKITLVREGDEPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLRGDWSGKPVIEQNTCYRMQWGTSLRCEGWRSRADEETVDGMVKCEKWIRDDEERSEQSKTSWWLNRLIGRTKKVSVDWPYPFVEDRMFVLTLTAGLEGYHVNVDGRHVTSFPYRTGFVLEDATGLSLNGDLDVQSVFAGTLPTAHPSFSPQKHLEMLPIWQAPPLPDEPIEIFIGILSAGNHFAERMAVRKTWMSAAQKSSNVVARFFVALNGRKEVNAELKKEAEFFGDIVIVPFMDSYDLVVLKTVAICEYGVRVVSARYIMKCDDDNFVRLESVKDELKKIPRGKSLYVGNMNYHHKPLRTGKWAVTYEEWPEEDYPTYANGPGYVISSDIAASIVSEFTAHKLRLFKMEDVSMGMWVERFNNTRHVQYVHSIKFCQFGCIDDYYTAHYQSPRQMLCLWDKLQSGKAQCCIAAARVFIRINLKCTYI >ORUFI03G39540.1 pep chromosome:OR_W1943:3:31856539:31859433:1 gene:ORUFI03G39540 transcript:ORUFI03G39540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPHPLPSPCRRRRCLLLLLLSRLLLSSASSLEEGRVLTVGEELMGETMPLRHGSRLYRLDGTRPSAWYEVKISYPASIPSSFSIRLVDDPHSVEDLGSMNRRLLNTEKIIFKAQSSRPVYVLVTVEPEGVVAKPNVPERELAMFNIVCDELMLGIPHFAWWVGIGSLFCIALASVAPYFLPLHKLLNYEATELSDDFAAKLS >ORUFI03G39540.2 pep chromosome:OR_W1943:3:31856539:31859519:1 gene:ORUFI03G39540 transcript:ORUFI03G39540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPHPLPSPCRRRRCLLLLLLSRLLLSSASSLEEGRVLTVGEELMGETMPLRHGSRLYRLDGTRPSAWYEVKISYPASIPSSFSIRLVDDPHSVEDLGSMNRRLLNTEKIIFKAQSSRPVYVLVTVEPEGVVAKPNVPERELAMFNIVCDELMLGIPHFAWWVGIGSLFCIALASVAPYFLPLHKLLNYEATELSDDFAAKLS >ORUFI03G39550.1 pep chromosome:OR_W1943:3:31866664:31869106:1 gene:ORUFI03G39550 transcript:ORUFI03G39550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARWWVAAVVVAVAAMAGAAKGDFAADKAECADKLMALATCLTYVEEKATARAPTRDCCAGLGQVVAGSKKCLCVLVKDRDEPALGFRINVTRAMDLPSGCSIAATFSDCPKMLNMSPDSKEAEIFKQYAREHESNNATKPAPAAAAAATGSAGKATAATGDAGVGRRQRSSLAARAVAAAVLAAVFGLTVA >ORUFI03G39560.1 pep chromosome:OR_W1943:3:31873826:31879107:-1 gene:ORUFI03G39560 transcript:ORUFI03G39560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSAGATMAWNVFRFCTALRGLGSIMILLVLSIVGVTYYAVVVYNYGPALFAGGASTLLALVVLLLFHFLLVMLLWSYFSVVFTDPGSVPPNWNLDFDEERGETAPLSGLDFNSQVNSQQSIAHNDTGHPRARYCRKCNQMKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLLPHFIAFFSDIDIPGSPAALATTFLTFVLNLAFSLSVLGFMIMHAYEKKTTPRWMYDIGRKRNFIQVFGNDKRYWFIPAYSEEDLRRMPVLQGLDYPVRTDLDGQEL >ORUFI03G39570.1 pep chromosome:OR_W1943:3:31882217:31888028:1 gene:ORUFI03G39570 transcript:ORUFI03G39570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKSSRSRPAGHSGVLPVNATAGGGDGGVPLADKMKIFKTDNFDPDAYVQSKCHAMNEKEIRHLCSYLQDLKKASSEEMRRSVYANYAAFIRTSKEISDLERELLSVRNLLSTQSALIRGLSEGVQIDSLTTGSEGSAEEGTDEDQEPSEIQNWCTDFPEMLDVLLAERRVDEAVDALDEAERVVADEKQKQTLTTADILAVKRAISDNRLKLANQLAEAACQSSTRGVELRASASALKRLGDGPRAHSLLLSAHNQRLQCSMQTIHPSSTSHSGAYTASLARQVFSVIAQALSDSLELFGDEPSYLSELITWATEQAMSFALLVKRHALAACAAAGGLRAAAECIQISLGHSSLLETRGLSLSSVLMKQFKPSVEQALESSLRRIEESTAALAAADDWVLTYPPSGIRTFARSSASSLLLQPKLSNSGHRFNSMVQDFFEDVGPLHSLQLGGSAMDGLLKIFNSYVNLLISALPHSLDDEANLEGLGNKIVRVAETEEQQLALFANASLLAEELLPRAAMKLSSVNQTGVNDIRKKSVDRQNRVAEQREWKKKLQRIVDKLKDSFCRQHALDLIFTEDDDTRLSAEMYINMDNTVEEPEWVPSLIFQELYAKLNRMASIAADLFVGRERFATFLLMRLTETVILWLSEDQSFWEEIEEGPRALGPLGLQQFYLDMQFVILSGRGRFLSRHVHQVILKIIDRAMAAFSATGMNPDSVLPSDDWFIDVANDTISRISGNPRTANGDREVNSPTASVSAQSISSVRSHGSS >ORUFI03G39580.1 pep chromosome:OR_W1943:3:31891597:31892268:-1 gene:ORUFI03G39580 transcript:ORUFI03G39580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTSSSAPLLVLTPDGVSTCVADPEPVQDFCVAVVPCAGDAAAGSGLPGLPPESTAVISDDFFFAGNTENRFGFNATLGNVQAFPGLNTLGVSINRGDFAPVGLNALHSHPRAAELVHYTRRVREHGGEVLSRVLGEGETFVIPRGMIHFQYNVGDVAAQVITAFNSQLPGVVAAAPSLFGSDPEIPDAVLAENYQVDVKIIRLLKSKF >ORUFI03G39590.1 pep chromosome:OR_W1943:3:31895652:31901134:1 gene:ORUFI03G39590 transcript:ORUFI03G39590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGAMPGYGIQSMLKEGHKHLSGLDEAVLKNIDACRELSAITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGRAQQEEIGDGANLTISFAGELLEKAEELIRMGLHPSEIIIGYTKAINKTVEILEDLVEKGSENMDVRNKEEVVLRMRSAVASKQFGQEDILCPLVADACMQVCPKNPANFNVDNVRVAKLLGGGLRNSSVVRGMVLKNDAVGSIKRVEKAKIAVFAGGVDTSATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGAKVIVSGAAVGDMALHFCERYKLMVLRISSKFELRRFCRTTGSIALLKLSRPNADELGYADSVSVEEIGGARVTVVKNEEGGNSVATVVLRGSTDSILDDLERAVDDGVNTYKSMCRDSRIIPGAAATEIELARRLKEFSLKETGLDQYAIAKFAESFEMVPRTLAENAGLSAMEIISSLYAEHAGGNTKVGIDLEEGACKDVSIMKIWDLYVTKLFALKYSADAACTVLRVDQIIMAKPAGGPRRDAQPGMDED >ORUFI03G39600.1 pep chromosome:OR_W1943:3:31902252:31904181:-1 gene:ORUFI03G39600 transcript:ORUFI03G39600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDKAESSLKLWTPTTHTDTRCYLAIGEPVCSSCSGRDAAVAPAGTMGDGGGGGLDVVVFPWLAFGHMIPYLELSKRLAARGHDVTFVSTPRNVSRLPPVPAGLSARLRFVSLPMPPVDGLPEGAESTADVPPGNDELIKKACDGLAAPFAAFMADLLAAGGRKPDWIIIDFAYHWLPPIAAEHNVPCAVFLIVQAAAIAFLGPRWANAAHPRAPLDFTAPPRWFPPPSAMAYRRNEARWVVGAFRPNASGVSDIERMWRTIESCRFTIYRSCDEVEPGVLALLTDLFRRPAVPAGILLTPPPDLAAADDDDVDGGSSADRAETLRWLDEQPTNHASAADADELLPDGFEERTRGRGVVWTGWVPQVEVLAHAAVGAFLTHCGWGSTIESLVFGHPLVMLPFVVDQGLVARAMAERGVGVEVAREDDDEGSFGRHDVAAAVRRVMVEDERKVFGENARKMKEAVGDQRRQEQYFDELVERLHTGGGEINDEKYC >ORUFI03G39610.1 pep chromosome:OR_W1943:3:31908433:31915827:1 gene:ORUFI03G39610 transcript:ORUFI03G39610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSRPEEVLPLVKLRVAAGRIKRQIPPEEHWAFAYTMLQRVSRSFALVIQQLGPDLRNAVSIHHLFLLLPLLPSAVPEFSCVFTVSRARGDSDGRCADSAEDDTSIPAAVKVPILKEFHRHIYNRDWHYSCGTKDYKLLMDKFRLVSTAFLELGQGYQEAIEEITRLMGAGMAKFICKEFHVQFLAVLSVETVDDYNEYCHYVAGLVGYGLSRLFHAGGTEDLASDSLSNSMGLFLQDLKYEENSEKAVQCLNDMVTNALSHAEDCLQYMSALKDHAIFRFCAIPQIMAIGTCAICYNNVNVFRGVVKMRRGLTARVIDETNTMSDVYTAFYEFSSLIESKIDNNDPNASLTRKRVDAIKRTCKSSCSLKRRGYDLEKSKYNSMLIMVVLLLVAIVLGMIYAK >ORUFI03G39610.2 pep chromosome:OR_W1943:3:31908433:31915196:1 gene:ORUFI03G39610 transcript:ORUFI03G39610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSRPEEVLPLVKLRVAAGRIKRQIPPEEHWAFAYTMLQRVSRSFALVIQQLGPDLRNAVSIHHLFLLLPLLPSAVPEFSCVFTVSRARGDSDGRCADSAEDDTSIPAAVKVPILKEFHRHIYNRDWHYSCGTKDYKLLMDKFRLVSTAFLELGQGYQEAIEEITRLMGAGMAKFICKEFHVQFLAVLSVETVDDYNEYCHYVAGLVGYGLSRLFHAGGTEDLASDSLSNSMGLFLQDLKYEENSEKAVQCLNDMVTNALSHAEDCLQYMSALKDHAIFRFCAIPQIMAIGTCAICYNNVNVFRGVVKMRRGLTARVIDETNTMSDVYTAFYEFSSLIESKIDNNDPNASLTRKRVDAIKRTCKSSCSLKRRGYDLEKSKYNSMLIMVVLLLVAIVLGMIYAK >ORUFI03G39620.1 pep chromosome:OR_W1943:3:31915609:31920463:-1 gene:ORUFI03G39620 transcript:ORUFI03G39620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAQTGSGKTAAFCFPIISGIMSSRPPQRPRGSRTAYPLALILSPTRELSVQIHEEARKFAYQTGVRVVVAYGGAPIHQQLRELERGVEILVATPGRLMDLLERARVSLQMVKYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGVRQTMLFSATFPKEIQRMASDFLADYIFLAVGRVGSSTDLIAQRVEFVLEADKRSYLMDLLHAQKANGTHGKQALTLVFVETKRGADALENWLYTNGFPATSIHGDRTQQEREYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGNLSLARPLCELMQEANQEVPQWLERYSARSSFGGGGGRNRRSGGARFGGRDFRRDNRGGGGGGYGGGGGGYGGGGYGGGGGYGGGYGGGQGSTSSWD >ORUFI03G39620.2 pep chromosome:OR_W1943:3:31915609:31920463:-1 gene:ORUFI03G39620 transcript:ORUFI03G39620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLERARVSLQMVKYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGVRQTMLFSATFPKEIQRMASDFLADYIFLAVGRVGSSTDLIAQRVEFVLEADKRSYLMDLLHAQKANGTHGKQALTLVFVETKRGADALENWLYTNGFPATSIHGDRTQQEREYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGNLSLARPLCELMQEANQEVPQWLERYSARSSFGGGGGRNRRSGGARFGGRDFRRDNRGGGGGGYGGGGGGYGGGGYGGGGGYGGGYGGGQGSTSSWD >ORUFI03G39630.1 pep chromosome:OR_W1943:3:31924698:31929460:1 gene:ORUFI03G39630 transcript:ORUFI03G39630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLQISVIYPPSLAPFQTFCPPPLLRLLHTRARPPTPRRDLGLGALDAPPAARRMSSPHGGLDDQIERLMQCKPLPEPEVRALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTRRTPDYFL >ORUFI03G39630.2 pep chromosome:OR_W1943:3:31924698:31929535:1 gene:ORUFI03G39630 transcript:ORUFI03G39630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLQISVIYPPSLAPFQTFCPPPLLRLLHTRARPPTPRRDLGLGALDAPPAARRMSSPHGGLDDQIERLMQCKPLPEPEVRALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTRRTPDYFL >ORUFI03G39640.1 pep chromosome:OR_W1943:3:31930474:31932795:-1 gene:ORUFI03G39640 transcript:ORUFI03G39640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAVAGGGAGLTRWQAAALSAVAGWVWAASSFDLTRRSRALVQPWVTRRVLAETPSIVRFQKVHHKLLDSFFSVLSCVVSVPFYTGFLPLLFWSGHSKLARQMTLLMAFCDYLGNSVKDAVSAPRPSSPPVRRVTATEDEKENAMEYGLPSSHALNTVCLMGYLLHYVLTYGSHDNVMVVTGLSLAFLLVMLVGIGRIYLGMHSLIDVIAGICFGVVILAFWLAVHNHVDAFVVSGQNVTTFWASLSLLLCFAYPKPEFPTPSFEYHTAFNGVAFGIVYGIQQTYFHFHNPDVPLIFSPQLPLIVFVGRVLVGIPTILVVKFCSKALSKWLLPVMCNTLGIPIVSTCYVPALKASEKCKDKSDAKQGGYLQKVFSLFPQKAYDVDTGIRFVQYASLAWSVVDLVPAIFTHLSL >ORUFI03G39650.1 pep chromosome:OR_W1943:3:31935122:31939520:-1 gene:ORUFI03G39650 transcript:ORUFI03G39650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARGSVWEIQQRDVEAAGLADADAGAFVAALRSAAAVATGGPDAAWEAVAAGVLRPEHPHALHQLVYYSVYAGWDRAARGPPPYWFPSQIDTKQTNLGRLMEAHGPRLLGSSYKDPVSSFHLFHKFSVEHQEVYWSMVLKEISIKFQQEPKSILDTSDKSRKGGTWLQGAVLNIAECCLLPWPSQNRTDDSTAIVWRDEGLDNHPVNRMSLKELRNQVITVATALDTMFQKGDRIAIDMPMTCNAVIIYLAIVLGGFVVVSIADSFAPQEIGTRMSVSKAKAIFTQDFIIRGGKKVPLYSRVVQGTSSKAVVIPATGGFLGVSLRNGDMSWKDFLSCAAGRSSIYPTVYQPSDALTNILFSSGTTGEPKAIPWSQLSPIRCTCDTWAHLDIQPQDIFCWPTNLGWVMGPILLYSCFLSGATLALYHGSPLGRGFCKFVQDAGVTILGSVPSLVKSWKAGNFTEGLHWTKIRVLSTTGEASDIDDDLWLSSRASYKPIIECCGGTELASSYIQGSLLRSQAFGAFSGASMSTGFVILDEQGTPYPDDVPCAGEVGLFPLYFGATDRLLNADNNKVYFDGMPIYNGRQLRRHGDIIQRTVGGYYIVQGRADDTMNLGGIKVDPPISNVFNSLLSPLNFMKLNKYCSSYKQTSSVEIERICNRADEALLETAAVSIKPAGGGPEQLAILAVLKDRSPPCDANVLKSKFQRAIQKNLNPLFKVSYVKIVPEFPRTASNKLLRRVLRDQLSKELSNRSKL >ORUFI03G39660.1 pep chromosome:OR_W1943:3:31943688:31966442:1 gene:ORUFI03G39660 transcript:ORUFI03G39660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGNQRVLSMAPLSLLLRSGARPASLPLRVDGAASQRIASLAAPPRRPWIGRGVALRLPTSVSAVSAAETETETPPVAEEVRAPAAGEERFDWLDQWYPVAPVCDLDPRKPHGKMVLGLRVVAWFDGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRTEPEYRDVLQRKRPPYFPDLDDPSFNTVFGVRDFPYGYRNAQAILLRNGHIGMQLDILCRGSIAMQNLMDPAHVPYAHKGLFPQFQDKEDPGRYNIHLLSTVEFDQERGGPVKMKIEEANIDGFLSIQGENWGHFRFIAPCTINRSELPLETLAHFDQEKQQQQPQGMLVFLCIPVAPGRSRVIWAFPQSVSAWPDKFIPRWLHHMVTNTVLDSDLYLLHIEERNFAAVGVDKWQKACYVPTSSDNMIITFRNWFRKYCKHQVGWATPMVNQLPTTPTKDQLMERYWSHVMQCTSCSAALKWMRAMEVALQVASVAVVGFLTAGKGTTVVTSAVQRAAVVAAAALCFAASRWLANFIEKSFYFQDYVHAYNAMAPVSLPLLSRARPPLLLRDAGVKLSTVRLPPPWRQQWKHTSGERRRRLSMPASAVAAETPPPHARAEEEEEAAPAVGGGEEGRFEWLDQWYPVAPVCDLDPRKPHGKMVMGLRGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRSEPDKACVASYPSVVQNNILWFYPRSEPEYKEILQRKRPPYFPDLDDPSFNTVFGVRDLFYGTKKIQADMFIIYHDMISFNSSVYCTHEHATELCSTVHLLSTVESDQEGGYPVKIRTEQAKIDGFLSVQEDDVCYMKFDAPCTLYGKPFRTKEPQIDQGKEKKKKKQPEAMTVFLCVPVAPGRSRLIWAFPRNVDAWLDNIIPRWLYHIVTNIVLDSDSYLLHIEERNFATVGLDNWHKACYVPTSSDNMVITFRNWFRKYCKHQIGWATPMANQLPPTPTKDQVLERYRSHVMQCTSCSAALKKMKALEVALQVASVAIVGFLAVAKGSLAPSVVRRAAAVSTAVLCFAASRWLASFIEKSFYFQDYVHAYNTPRIPPWRRQWISPTDARRRRLSMPVSAVAAEAPLPRAVDEKETPAAGEERFDWLDQWYPVAPVRDLDKRKPHGKMVMGISVVVWFDGGGGEWRVVDDACPHRLAPLSEGRVDGKGCLQCAYHGWCFDGHGSCQFIPQAPALGPPGHKNSKACVASYPSVVQNNILWFYPRSEPEYKEILQRKRPPYIRELDDPSSVINSGVRDLLYGYELLVENFMDPAHVPYAHRGQFPHEGGLPIKMNIEELNIAGFHSNPEENWGYFKFIAPVTLIGSPFRAKPVVDQDNNNTNNKKQPEVTTVFFCIPVSPGRCRVIWANGYNLDGWFDKMIPRWWLHIKTNQVLDSDSSVLHIEERNYAAFGLDNWHKACYVPTSSDNLIIAFRNWFKKYCNNQVGWLTPMVNQLPPASTRVEVYERYWSHVMQCTSCSAALKWMRALEVALQVASVAVVGFLAAGKGTVVTSGVQRAAVVAAAVLCFAASRWLAGFIEKTFYFEDYVLADK >ORUFI03G39660.2 pep chromosome:OR_W1943:3:31941474:31943925:1 gene:ORUFI03G39660 transcript:ORUFI03G39660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSLLLLPAARPARPALHLRDAAAAAAARPRLLSTSVAAVAAETPRAEDAPSASGKEERFDWLDQWYPVAPVCDLDPGAPHGKTVLGLRIVAWFDRTTAAADGGGGEWRVFDDSCPHRLAPLSEGRVDDKGRLQCVYHGWCFDGRGACQFIPQAPALGPAVHKNSKACVASYPCVVQNNILWFYPRSEPEYKEILQRKRPPYIPQIDDPSFVTVYGVRDLPYGYDVLVENLMDPAHVPYAHKGLMRTRKKEDPGRYFDKEGGGPLKMEIEETNVEGFLSMLDRGFFKFDDQGKEKKKKQPTVMLVFLCIPVSPGRSRLVWAFPRNVGVWMDKIIPRWYYHIGQNAILDSDIYLLHIEERNFATVGLDNWQKACYVPTSSDNMIITFRNWFRKYCKHQIGWATPITNQLPPTPSKDQLLDRYWSHVMQCTSCSGALKKMKALEVALQVASVAVVGFLAVAKGTVVTSVVQRSAVVAAAVLCFAASRWLANFIEKNFYFQDYVHAYK >ORUFI03G39660.3 pep chromosome:OR_W1943:3:31941474:31943925:1 gene:ORUFI03G39660 transcript:ORUFI03G39660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSLLLLPAARPARPALHLRDAAAAAAARPRLLSTSVAAVAAETPRAEDAPSASGKEERFDWLDQWYPVAPVCDLDPGAPHGKTVLGLRIVAWFDRTTAAADGGGGEWRVFDDSCPHRLAPLSEGRVDDKGRLQCVYHGWCFDGRGACQFIPQAPALGPAVHKNSKACVASYPCVVQNNILWFYPRSEPEYKEILQRKRPPYIPQIDDPSFVTVYGVRDLPYGYDVLVENLMDPAHVPYAHKGRRAAQDGDRGNQRRGVPVNARQGLLQVRRAVHDDQGKEKKKKQPTVMLVFLCIPVSPGRSRLVWAFPRNVGVWMDKIIPRWYYHIGQNAILDSDIYLLHIEERNFATVGLDNWQKACYVPTSSDNMIITFRNWFRKYCKHQIGWATPITNQLPPTPSKDQLLDRYWSHVMQCTSCSGALKKMKALEVALQVASVAVVGFLAVAKGTVVTSVVQRSAVVAAAVLCFAASRWLANFIEKNFYFQDYVHAYK >ORUFI03G39660.4 pep chromosome:OR_W1943:3:31941474:31943681:1 gene:ORUFI03G39660 transcript:ORUFI03G39660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSLLLLPAARPARPALHLRDAAAAAAARPRLLSTSVAAVAAETPRAEDAPSASGKEERFDWLDQWYPVAPVCDLDPGAPHGKTVLGLRIVAWFDRTTAAADGGGGEWRVFDDSCPHRLAPLSEGRVASVAVVGFLAVAKGTVVTSVVQRSAVVAAAVLCFAASRWLANFIEKNFYFQDYVHAYK >ORUFI03G39670.1 pep chromosome:OR_W1943:3:31975436:31976662:1 gene:ORUFI03G39670 transcript:ORUFI03G39670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFSLHLLFRARTSLPLRHGVPRPRAASLAPRRRLRQPRCTSTGRLSSMPAPSAETETVAAPPVVGAEERFEWLDQWYPVAPVCDLDPRRPHGKMVMGLSVVAWLDGGGEWRVVDDACPHRLAPLSEGRVDGRAASSAPTMAGASTATAPASSSPRLPPSALLYFFSSSPRSISKVHKNSKACVASYPSVVQNNILWFYPRSEPEYCDVLKRKRPPYVPEIDDDDPSHFMSLYTQEIN >ORUFI03G39680.1 pep chromosome:OR_W1943:3:31979379:31981333:1 gene:ORUFI03G39680 transcript:ORUFI03G39680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRIEEASIDGFHSNLDGDWGYFKFVAPCTLYGTPFRTDLEADEVKKKKKKKPEVRVVLFTVPVAPGRSRFIWASRYKVGGWLDKILPRWFYHMTSNTILDSDTYLHVEDRNITTVGLDNWHKACYVPTSSDNLVIAYRNWFRKYCNHQIGWANPNPTVKQQLPQTPTRDQLLERYWSHVMQCTSCRAALKGMRALEITLQVAAVAVVGFLAAGKETAVMSESFAASRWLANFIEKTFYFQDYRPGRGYNHFPCLKIKEVKQQPKASMKRLHQPNPIIHSTSH >ORUFI03G39690.1 pep chromosome:OR_W1943:3:31981842:31985810:-1 gene:ORUFI03G39690 transcript:ORUFI03G39690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILRRKLLEASRRLPFYFPSAPTAHYRGHAHAVATLAAVLRDQTAGSLAAAPWAAIQRRGAKMLGSEVKLGNVIQRRVLWITTYPIPLVNLQGRIYQVIKAQHSHQGRGGATIQVELRDVDTGNKITERFRTDEALERVFVEEKSFTYLYQEGDNVTLMEPETFEQLEVSKELFGKTAAYLKDEMKVTLQYFDGRPMSASVPPRVTCTVVEAQPHSKGITAQPQYKRVLLDNGLTVLVPPFVEAGEKIVVSTADDSYMTRA >ORUFI03G39700.1 pep chromosome:OR_W1943:3:31986597:31992137:1 gene:ORUFI03G39700 transcript:ORUFI03G39700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCAVVAAVAAVLLVAGAAAAGGGEEEEAPSTCARRGPGFVDALASRCPCIRIEPSPPVEVRGEAIDKELNLRHRGVTYSVLFYAAWCPFSSKFRPIFEALSTMFPQIYHFTVEESSAMPSLFSRYGVRGFPAILLVNETTMVRYWGPKDLSSLVDFYKETTGFDPIAYFDVDHQDSTGDFRPVTPGDRSLRKIAKDEPFVLLAVLFIILKVAAHFVPIVVSHLKTFLVVRVQNLNLGIRRGSSQLLERALNVLDVKRLCSKLRLSNKTRDLRKGASNARAWASSGTASMDAISLDEWELLPDNKSSYFMEEFTSDHGTVVDDETKNIFLPIHLSEEVYVGDPVIKFKDIDVVKIESYREEFVPKVTEIFDAEEEAEMIKSPVSAEEVDVDDDDEVMAMVAPDQCVEEEEGAQKDKEHNGFSVGKLRVNGVGALCSFGVAAATLCIFLLGGRQQQLHKTQNQKTPFQMYADNERIQQVVQQASRLNQAVSTVMGGASTRASISFGGYYDGF >ORUFI03G39700.2 pep chromosome:OR_W1943:3:31986597:31990167:1 gene:ORUFI03G39700 transcript:ORUFI03G39700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCAVVAAVAAVLLVAGAAAAGGGEEEEAPSTCARRGPGFVDALASRCPCIRIEPSPPVEVRGEAIDKELNLRHRGVTYSVLFYAAWCPFSSKFRPIFEALSTMFPQIYHFTVEESSAMPSLFSRYGVRGFPAILLVNETTMVRYWGPKDLSSLVDFYKETTGFDPIAYFDVDHQDSTGDFRPVTPGDRSLRKIAKDEPFVLLAVLFIILKVAAHFVPIVVSHLKTFLVVRVQNLNLGIRRGSSQLLERALNVLDVKRLCSKLRLSNKTRDLRKGASNARAWASSFTSVSLGESSSSRQA >ORUFI03G39700.3 pep chromosome:OR_W1943:3:31990430:31992137:1 gene:ORUFI03G39700 transcript:ORUFI03G39700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTASMDAISLDEWELLPDNKSSYFMEEFTSDHGTVVDDETKNIFLPIHLSEEVYVGDPVIKFKDIDVVKIESYREEFVPKVTEIFDAEEEAEMIKSPVSAEEVDVDDDDEVMAMVAPDQCVEEEEGAQKDKEHNGFSVGKLRVNGVGALCSFGVAAATLCIFLLGGRQQQLHKTQNQKTPFQMYADNERIQQVVQQASRLNQAVSTVMGGASTRASISFGGYYDGF >ORUFI03G39700.4 pep chromosome:OR_W1943:3:31990430:31992137:1 gene:ORUFI03G39700 transcript:ORUFI03G39700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTASMDAISLDEWELLPDNKSSYFMEEFTSDHGTVVGKDDQFLFGASLIMINMDHFTPASHPSPYDCILDDETKNIFLPIHLSEEVYVGDPVIKFKDIDVVKIESYREEFVPKVTEIFDAEEEAEMIKSPVSAEEVDVDDDDEVMAMVAPDQCVEEEEGAQKDKEHNGFSVGKLRVNGVGALCSFGVAAATLCIFLLGGRQQQLHKTQNQKTPFQMYADNERIQQVVQQASRLNQAVSTVMGGASTRASISFGGYYDGF >ORUFI03G39710.1 pep chromosome:OR_W1943:3:32000951:32001826:1 gene:ORUFI03G39710 transcript:ORUFI03G39710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQIADASSSSSSAGKGAAQNLVTCLYQAQFSGRPCVISVTWSKSLMGQGLSIGVDDLSNQCLCKADIKPWLFSKKKGSKRLDVEDGKIEIFWDLSGAKFGAGPEPMEGFYVAVVFDLELILLLGDMKKDAYRKTGANRPMLNAAFVARREHIYGKKIYTAKAQFCENGQFHDVVIECDTVSLKDPCLEIRVDKKPVMQVKRLAWKFRGNQTILVDGLPVEVFWDVHSWLFGSTTSNAVFMFQTCQAPEKSMPWSYSQVFRESQLQGLGFSLILYAWKLE >ORUFI03G39720.1 pep chromosome:OR_W1943:3:32005455:32006434:1 gene:ORUFI03G39720 transcript:ORUFI03G39720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHSLFSPLRKLWVRAHSARRNRRGMHILYKDVKSCQDEDVHVLWSILVDSHRHPALMKLKL >ORUFI03G39730.1 pep chromosome:OR_W1943:3:32006982:32009061:1 gene:ORUFI03G39730 transcript:ORUFI03G39730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPPSSAHLAYYNSQIWLIFPNPPYDLGPRLVSSLFPPQKRGREGGRRRRREGREGEIAGSPPAARRPPPPRCRRCX >ORUFI03G39740.1 pep chromosome:OR_W1943:3:32010535:32018071:1 gene:ORUFI03G39740 transcript:ORUFI03G39740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPGWAVAVARASAAAWQRVACNPETLPADQVLGLLCCAPLHLLARLAAFLCIPFVPVQAMPRLLSPRLQGHPRRLLLLPPQEFVEVEPMYSPFPSSSSSSSDDDDDSDIEDGEIPVHGGTRAPPAGIQCCDRRSRRACEREREAKSSRVRSHHVRWDPLVSGWGGGTSAGCSHVPGRGWGVGPRHSGQVGGGPREWPE >ORUFI03G39750.1 pep chromosome:OR_W1943:3:32019562:32023962:1 gene:ORUFI03G39750 transcript:ORUFI03G39750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARGGGGVGWLVVVVAVVCLSCAAAAARSPAGRAHRHLKRLNKPAVKSIESPDGDIIDCVHISHQPAFDHPFLKNHTIQMRPNYHPDGLYDESKSGGGGEKPMVQLWHQGGRCPEDTVPIRRTKRDDLLRASSMRRYGKKRHPAPNPMSVDPNLLNEGGHQHAIAYVQGDKYYGAKATINVWAPKIEQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNVLCAGFVQINSEIAMGASIFPISSYSGSQYDISIMIWKDPKEGNWWMQFGKDYVLGYWPSFLFSYLGDSASMIEWGGEVVNSQLDGVHTSTQMGSGHFPEEGFSKSSYFKNIQVVDSTNNLKAPKGVGTFTEQSNCYDVQNGNNADWGTYFYYGGPGRSSNCP >ORUFI03G39760.1 pep chromosome:OR_W1943:3:32025227:32027699:-1 gene:ORUFI03G39760 transcript:ORUFI03G39760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEVKDSRPSRSPGEPNLFLQWGSRKRLRCVKTRDDGSPSPARTDVLRRTIPRVNRPLGGDVAPFRSPRRPSTLNRRKTEPFVSDNRHSMSLSPEKDRYYSTRGSPFPFEGNGFDFGGGMEEKGTTALPRFFISLSNKEKEEDFMAMKGCKLPQRPKKRPKLMQKCLLMVSPGAWLSDLSHERYEVREKKCARKRARGLKALCNETQTLKAPRSLVTTEVKAPRQAAEIEHFVGRAEMVVVGRNWEPMKRNPACHGLPRSMPPQEFGPPFGLPVNGPLLPVGCTREPKRRNAAHLTNLGSFWPTKKSVLGLEWSRRAISRAEKWWLSDYFGPPNGLLRKWVVVGRF >ORUFI03G39760.2 pep chromosome:OR_W1943:3:32026393:32027699:-1 gene:ORUFI03G39760 transcript:ORUFI03G39760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEVKDSRPSRSPGEPNLFLQWGSRKRLRCVKTRDDGSPSPARTDVLRRTIPRVNRPLGGDVAPFRSPRRPSTLNRRKTEPFVSDNRHSMSLSPEKDRYYSTRGSPFPFEGNGFDFGGGMEEKGTTALPRFFISLSNKEKEEDFMAMKGCKLPQRPKKRPKLMQKCLLMVSPGAWLSDLSHERYEVREKKCARKRARGLKALCNESDSE >ORUFI03G39770.1 pep chromosome:OR_W1943:3:32032536:32038616:-1 gene:ORUFI03G39770 transcript:ORUFI03G39770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLHPPPFPSLLLLHKPYHFPSKLRHGRLQEPRVMASPQAPPLPLSSLQESRSARIRIPRDEPRPTPPARSSSREEPRFVAETKLITVHSCAGRLGDAREVFDGMGRRDLLAWSAMIGAYAIRGMYSDVLALAVTMVEEGVLPDRFLITRILQACAYAEDLELGRALHSMAIRRGFMGRVKDVPVGNSVLVMYAKCGDLGWARKVFDKMVCRDLGTWNSMIFGYCRSAEWEEARHLLDSMRQEGTQPGVVTWNTLISSYARFGDLDVAMELLGQMEESGVAPDVVTWTSLVSGFVHMDRSDEALRCFIRMRLAGVEPNGMSIACAISACASLKLLNQAKELHSHAIKVGSVNNVLSGNSLVDMYAKCGEIVAAWRIFSGIPDKDIFSWNSMISGYAQAGYCGKAYELFCKMENYGVRRNVITWNTMISGYIRNGDDERAFELFQMMESHGVKRDTATWNILIAGSVHNGYYDRAIRIFRQMQALLRRPDYITILSIIPAFTNLVASWKVREIHACIFHHNLELDGKIANALINAYSKSGNLASACAVFDMHSSRNIISWNCIILAHVLHGSPNEALDLFCQMKQEGVVPDHTTLVTVIKAYGLTGKVSEGSQTFFNMANDYNITPELDHYAAMVDLLGRSGRLKEAYELIDEMPLIPNSTVWDTFLTAAVMHGNVRLAHLAARELSAIDPGDPRVQRLGSSLQDLTGKTVDVPEEMTPNKGRNLDEVESCSIEIRNKVYVFSNGDNVGLEDTVAELKSMMFKMGHSMLDIGIGTLDVEEEKEEVVGVHFYVYWVKYLAKCPFAGLHAANLLNRISLAIKLIHQVDLRVGSHREYYHIEDGQTTLSKGTEVQKHEHGNVTLRVIDLNGKNEILQVVPIYTLLEQIRIILVDFNSTINIRDNGWEDRWVKSEWKKEDNTVGEWNHTAGKWNGDTNNKGIQTSEDYRFYAISAEYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGETPYSILIDNTEKRTGSIYGDCDNLPPKKKDPEAKKVDVMGKD >ORUFI03G39780.1 pep chromosome:OR_W1943:3:32041084:32043942:1 gene:ORUFI03G39780 transcript:ORUFI03G39780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMNSVLVLMLGLAMAATSSAAVYKVGDTSGWTILGNVNYTDWAVKKTFHVKKADYDSCTNSSPIATHTSGDDKIAIKAAGHRFFICGVPGHCAAGQKVNIRVLKPQRSSSSDAPSPAPAASKRGAAAAPSPAASSSPPESSSPTTDSSSSSTTTAPAPNASAAAGGGGAKAAFAAVALALVAATAMLQ >ORUFI03G39800.1 pep chromosome:OR_W1943:3:32053825:32055852:1 gene:ORUFI03G39800 transcript:ORUFI03G39800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRGALLLVLLAVAAAASSAGATLAVKAPVPVPVPAPAPAHAPPQPKDAEGLLINGNFETAPRKVNKTLIVGRHSLPGWTLRGHVEYVSGGPQPGGMFFAVPHGVHALRLGGRASASQNVSVRPGALYALTFAATRTCAQDEALRVAVAPSLSPPADVAVRTLYSADTADTWAWGFRASSAAAQVTFSNPGVQEDASCGPLLDAVAIKELPTPYPTKDNLIKNEGFEIGPQVFKNSTVGVLLPPKQKDATSPLPGWIIESLKAVRFIDAAHFSVPAGQYAVELVAGRESAIAQVIRTVANRAYNLSFVVGDAKNGCHGSMLVEAFAGNVTQKVPFESAGNGGFKPASFRFVAAGVRTRVTFYSSYYHTKVSDGVSLCGPVLDQVKVQPLKA >ORUFI03G39810.1 pep chromosome:OR_W1943:3:32057170:32059306:1 gene:ORUFI03G39810 transcript:ORUFI03G39810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGERGGERGGFGRGFGRGGRGDRGGRRGGRRGPRQEEEKWVPVTKLGRLVKEGRFSKIEEIYLHSLPVKEHQIVETLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDNNGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWRDTKFVKSPFQEYTDLLAKPTKALMIDAPVENVEA >ORUFI03G39820.1 pep chromosome:OR_W1943:3:32059908:32061792:1 gene:ORUFI03G39820 transcript:ORUFI03G39820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQVMPAVGAVATAEGSCRAAGQRRGRGVSSLFAGDWRRRPRRAACTARVRGRRQQQQQQGLAVVCNLGGTYDEGFEDIHVQLINVFTYKAVKTVLTQLYEMNPPSYRWLYNFVAVNKPTDGKVFLRALGKEKQELAERVMITRLHLYSKWIKKCDHAMMYERISDENLALMRERLMETVIWPTDDTNTEKIG >ORUFI03G39830.1 pep chromosome:OR_W1943:3:32065370:32070221:1 gene:ORUFI03G39830 transcript:ORUFI03G39830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGARKLVRSSFMASVRALLALTFLLSGGAATAAAAMVRNGGSPSIYGGGGGEGAAVIGRGGRSLLQAAAAAAATTQSAVFSLDSYGAHGDGERDDTAALARAWSAACASAAPAVVLVPESRSYLLRQVTLSGPCESTIKLMVKGTLVASPDMSNWNESNRRYWIVVRGVDGLAVGGGGTIDGNGEGWWENSCKINRALPCKGAPTALSFHTCDNLSVNGLKMVNSQQIHMSVEDCTGVELAHLFLLLISAGDDCVSIEDGTHGLHVTRLVCGPGHGISIGSLGDDNSRAEVSDIFIDTVHLYGTTNGARIKTWQGGSGYAKDIVFQNMVMNSVKNPIIIDQNYCDSAKKCETQEGSAVEISNVVFKNIAGTTISKSAITLNCSKNYPCYDISLQDINLEMVDDNGATGSTCQNAKWRKSGTVVPQPCTSTN >ORUFI03G39840.1 pep chromosome:OR_W1943:3:32068019:32073885:-1 gene:ORUFI03G39840 transcript:ORUFI03G39840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAKSGKQCHVCQICGDGVGTAADGELFTACDVCGFPVCRPCYEYERKDGSQACPQCKTKYKRHKGSPPILGDESDDVDADDASDVNYPTSGNQDHKHKIAERMLTWRMNSGRNDDIVHSKYDSGEIGHPKYDSGEIPRVYIPSLTHSQISGEIPGASPDHMMSPVGNIGRRGHPFPYVNHSPNPSREFSGSLGNVAWKERVDGWKMKDKGAIPMANGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPISSSRINPYRMVIVLRLIVLCIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWSPINRETYLDRLALRYDREGEPSQLAPVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFCKKYSIEPRAPEWYFAQKIDYLKDKVQASFVKDRRAMKREYEEFKVRVNALVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYLLNLDCDHYINNSKALREAMCFLMDPNLGRRVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGLQGPVYVGTGCVFNRTALYGYEPPIKQKRPGYFSSLCGGRKKTKKSKEKSTEKKKSHKHVDSSVPVFNLEDIEEGIEGSGFDDEKSLLMSQMSLEKRFGQSSVFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKSDWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSIPLLLYCILPAICLLTGKFIIPEISNFASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTSFTVTSKASDEEGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQKCVQSDGTLGNCCPCDVLEDHIANLHC >ORUFI03G39850.1 pep chromosome:OR_W1943:3:32075783:32077264:1 gene:ORUFI03G39850 transcript:ORUFI03G39850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATADGHGGRRRLRVFFLPFFARGHLIPMTDLACLMAAASTNAVEVEATMAVTPANAAAIAATVAGNAAVRVVCYPFPDVGLARGVECLGAAAAHDTWRVYRAVDLSRPAHESLLRHHRPDAIVADVPFWWATGVAAELGVPRLTFNPVGVFPQLAMNNLVAVRPDIVRGGADGPPVTVPGMPGGREITIPVSELPDFLVQDDHLSMSWDRIKASQLAGFGVVVNTFAALEAPYCDEFSRVDARRAYFVGPVSQPSRAAAAAVRRGGDGDVDCLRWLSTKPSQSVVYVCFGSWAHFSVTQTRELALGLEASNQPFLWVIRSDSGDGGGERWAPEGWERRMEGRGMVVRGWAPQLAVLAHPSVGAFVTHCGWNSVLEAAAAGVPALTWPLVFEQFINERLVTEVAAFGARVWEDGGGKRGVRAREAETVPAGVIARAVAGFMAGGGGRRERAAAMATALAERARVAVGENGSSWRDIRRLIQDLTDATASQP >ORUFI03G39860.1 pep chromosome:OR_W1943:3:32079101:32080450:-1 gene:ORUFI03G39860 transcript:ORUFI03G39860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEQHAPPPQPEPEVSLQLSAPATAADDVAAGDDEEVTVVTTYRDIHPLTPPSPTTTTTPPTRLGSAAYSWDTASSHRSVSSEEQFMTMSREFTAMVAAGTTMQTGPNDGNNGGDQLTSIGEDELEETNPLAIVPDSHPIATPARSRASQLEVVPAAGPSPAPPVEARQVKKEEVETKVSAWQTAEVAKINNRFKREEVVINGWETEQVEKASAWLKKIERKLDEQRAKALERTQNDIAKARRKAEEKRASAEAKRGLKLAKVLELANFMKAVGRVPTKRSFF >ORUFI03G39870.1 pep chromosome:OR_W1943:3:32084932:32086012:-1 gene:ORUFI03G39870 transcript:ORUFI03G39870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMVRTLRGDRVALDVDGATTTVAQVKGMVMARERIAVAMQRLFFAGRCLDDDHRTLADYGVRHDSVVFLSLRLATDAYQYVQISQRRRRAFLRFCFDEPIDRSIYGRTEMHNVRLMQPETATAKQEMHQQQQQQLHVHVAADDEEKAIKRKPVSRRALRKILSRLQVDAWTSQHDAKFLDLLLRHTGGGGGARNVGELTGEDWSSIRAELNAATGSGFPVEELQRRLGEFRREFEAASRIKNHPRFSYDPRRRVVVAKQADWKNYILENPEAAAYEGRSPRHLGRLRAIFSGDGGGGGGGGGAKCRETKARSCLRKLLRNFRLRFKL >ORUFI03G39880.1 pep chromosome:OR_W1943:3:32087123:32088116:1 gene:ORUFI03G39880 transcript:ORUFI03G39880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRSPSGRFNTRLQSCSACRKWRRAWRITNLLDLPHLQKWPPLLTSSSALTHTLTRNAFVQSIGRHRERTMASARRSRGVAAVGIAVFVAVVVVAAGAGGAAAVSCGDAVSALAPCGPFLLGGAARPGDRCCGGARALRGMAGTAEARRALCRCLEQSGPSFGVLPDRARRLPALCKLGLAIPVGAATDCSKVTPTYITSTEQS >ORUFI03G39890.1 pep chromosome:OR_W1943:3:32094178:32098668:1 gene:ORUFI03G39890 transcript:ORUFI03G39890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGTPATAEEGGKRRRRGKQKKANPFTVAYNRAPSSAGAAAGRPGLMVLRDPTGRDLGARYELGGELGRGEFGITYLCTEAETGDRYACKSISKRKLRTPVDVEDVRREVEIMRHMPSHPNIVSLRAAYEDEDNVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQMCHRHGVMHRDLKPENFLYANKKDSSPLKAIDFGLSVFFRPGERFTEIVGSPYYMAPEVLKRHYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKREPWPRVSEPAKDLVKRMLDPNPMTRLTAEQVLEHPWLHDSKKMPDIPLGDAVRARLQQFAAMNKLKKKALKVIAEHLSAEEAADIKDMFDKMDVSKNGQLTFEDFKAGIRKLGNQMPDSDLKILMDAADIDKNGILDYQEFVAVSIHVRKIGNDEHIQKAFSYFDQNKSGYIEIEELREALVDEIDGNDEDIINSIIRDVDTDKDGKISYDEFAVMMKAGTDWRKASRQYSRQRFSNLSLKLQKDGSISDDTQ >ORUFI03G39900.1 pep chromosome:OR_W1943:3:32100337:32105405:1 gene:ORUFI03G39900 transcript:ORUFI03G39900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVSSMKHITEMALKIKHAVETVQRNKEDCLQIRRRVMRVSILSLLQETENILSNPAISAARENGQKWERRRWHRHAVGASAVVAARGGSGGSRGGGERRPWQRAVGEAVASATTGNMGDGRSGGGVGPRREQRRRAAGAAVVVAARGR >ORUFI03G39910.1 pep chromosome:OR_W1943:3:32107648:32112455:1 gene:ORUFI03G39910 transcript:ORUFI03G39910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPRITVAEGRLVAHGRTILTGVADNIALTHASGAGLVDGAFVGATADEPKSLHVFTFGTLRDLRFMCCFRFKLWWMTQRMGTSGRDVPLETQFMLLESRDGGGGGEAVYVVMLPLLEGQFRAALQGNDRDELEICIESGDKAVQTAQGTYMVYLPSFLDWFGWCTWDAFYTDVTADGVKQGLQSLAEGGTPPRFLIIDDGWQQIGSENKEDAGNAVVQEGAQFASRLIGIKENTKFQKTTTTAMADGGETAASAAGLKALVEEAKKEHGVKYVYVWHAMAGYWGGVKPAAEGMEHYESAVAFPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRMALAFYGELHAYLASCGVDGVKVDAQNIIETLGAGHGGRVSLTRAFHRALEASVARSFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPLDPASHTIHISSVAYNTLFLGEFMQPDWDMFHVYASSPLLLNLLLYDDDGGGGDVMNLQSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLKKLVLPDGSVLRARLPGRPTRDCLFVDPARDGASLLKIWNVNKCTGVVGVFNCQGAGWCRITKKTRVHDAAPGTLTGSVRADDVDAIADVAGTGWTGDAVVYAHRSGELIRLPKGATLPVTLKVLEFELFHVCPVMTVAPGGGGGGVTFAPIGLLDMFNSGGAVEECDVVRALDAAGEAEAEAEAAVVRLRARGCGRFGAYSSRRPARCALDAAEVEFSYDADTGLVALDVPVPAHELYKWTVEIQV >ORUFI03G39910.2 pep chromosome:OR_W1943:3:32107648:32112455:1 gene:ORUFI03G39910 transcript:ORUFI03G39910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPRITVAEGRLVAHGRTILTGVADNIALTHASGAGLVDGAFVGATADEPKSLHVFTFGTLRDLRFMCCFRFKLWWMTQRMGTSGRDVPLETQFMLLESRDGGGGGEAVYVVMLPLLEGQFRAALQGNDRDELEICIESGDKAVQTAQGTYMVYLPSFLDWFGWCTWDAFYTDVTADGVKQGLQSLAEGGTPPRFLIIDDGWQQIGSENKEDAGNAVVQEGAQFASRLIGIKENTKFQKTTTTAMADGGETAASAAGLKALVEEAKKEHGVKYVYVWHAMAGYWGGVKPAAEGMEHYESAVAFPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRMALAFYGELHAYLASCGVDGVKVDAQNIIETLGAGHGGRVSLTRAFHRALEASVARSFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPLDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLKKLVLPDGSVLRARLPGRPTRDCLFVDPARDGASLLKIWNVNKCTGVVGVFNCQGAGWCRITKKTRVHDAAPGTLTGSVRADDVDAIADVAGTGWTGDAVVYAHRSGELIRLPKGATLPVTLKVLEFELFHVCPVMTVAPGGGGGGVTFAPIGLLDMFNSGGAVEECDVVRALDAAGEAEAEAEAAVVRLRARGCGRFGAYSSRRPARCALDAAEVEFSYDADTGLVALDVPVPAHELYKWTVEIQV >ORUFI03G39920.1 pep chromosome:OR_W1943:3:32113286:32113846:-1 gene:ORUFI03G39920 transcript:ORUFI03G39920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSFFFLSLLLLLLLIHISIHCNAADSQLGSEKVTNLLFYLHDTLSGKDPTAVPVARAENAVPKPDNPVPFSTIYVVDDLLTEGPQRESKVVGNAQGMYISTAKKGLTLVLGIDFELTDGPYKGSSFVVYSRNPVMQGNGRELAIVGGRGLFRMARGFALLQTVYLDNVNGDAIIEYNVTLLHH >ORUFI03G39930.1 pep chromosome:OR_W1943:3:32116700:32119890:-1 gene:ORUFI03G39930 transcript:ORUFI03G39930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKKMFFGVLALQYDRPAVLAIGTVFWAVSTGAVGVSQYFQQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGSIGGIGGSILATIMAGRDYWGLPGWRFAFLMVAFLSLLIGLLVYFYTVDPRKVSPSHFGDDEDHHERSHLIGNGIFPPQSIWKDSWIAARSVMKVRTFQIIVLQGIVGSLPWTAVVFFTMWFELIGFDNSSSAALNSMFAIGCASGSFLGGVIADRLSKYYPDSARIMCAQFSAFMGIPFSWILLTVIPQSVDYWSAFAVTLFLMGITISWCATCANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGMVTEKIYGYNAKTVNLENGSVAGAYALSRGLLTMMIVPFGLCFLFYSPLYFVFKRDRENVRRLPSVKEQELI >ORUFI03G39930.2 pep chromosome:OR_W1943:3:32116700:32119890:-1 gene:ORUFI03G39930 transcript:ORUFI03G39930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKKMFFGFSVSLILINLASIMERADENLLPAVYKEVSAAFNAGPTDLGYLTFLMNFLKSIASPLAGVLALQYDRPAVLAIGTVFWAVSTGAVGVSQYFQQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGSIGGIGGSILATIMAGRDYWGLPGWRFAFLMVAFLSLLIGLLVYFYTVDPRKVSPSHFGDDEDHHERSHLIGNGIFPPQSIWKDSWIAARSVMKVRTFQIIVLQGIVGSLPWTAVVFFTMWFELIGFDNSSSAALNSMFAIGCASGSFLGGVIADRLSKYYPDSARIMCAQFSAFMGIPFSWILLTVIPQSVDYWSAFAVTLFLMGITISWCATCANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGMVTEKIYGYNAKTVNLENGSVAGAYALSRGLLTMMIVPFGLCFLFYSPLYFVFKRDRENVRRLPSVKEQELI >ORUFI03G39940.1 pep chromosome:OR_W1943:3:32121240:32123997:1 gene:ORUFI03G39940 transcript:ORUFI03G39940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAASPSSSKSDDERRQDGDRDTRDPAASSSAAAAAAQTHAEWAASMQAYYAAAAAAAGGHPYAWPPPQSVPPMAGCAVPSAAAEGKSKRKTSGGPSGEDSSGSGDGGSEDSSERRDDADEKGLSPAKWRKLGHPDIEGETSQAAAMSEQNPVKAAPNLNIGMDIWSNSTMAAMPSGQVEVNAGTHLRRDKALSQMDERELKRERRKQSNRESARRSRLRKQERPTSFCHPPNYPVTFYGLTNQECEELSQKVTELTAVNSTLMTELDKLKKDCEDMEAENSQLMDEMVQSEGSSVIATLSIKIDTSKDRHGSSSQLNKHTNDDSKG >ORUFI03G39950.1 pep chromosome:OR_W1943:3:32124642:32127817:-1 gene:ORUFI03G39950 transcript:ORUFI03G39950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGGSRVSGGGGGGGFNLASYKYKDPLLGRGGRSFLFGNTWFLLSTYPARLLHTADRRAPAAFFAAINRTPCVRTHCTGQSLLQRGIVMAACGYAFRRAELGAAKRQPEKDSSVGTRISCVVAMGSAGSTPRPEVSFRHRGVEYCKKVGVSLKCREPWGPSRAFWTNAIGPSYKLSFSVEPWLRDFSTSCVAPYSAGATEHQLSLDEAVQDKQMDNSTVGPDGKPRAPGPLKLVSGSCYLPHPAKEATGGEDGHFICVDEQAIGVADGVGGWADHGVDAGLYAKELMSNSMSAIKDEPQGTIDPSRVLEKAYTCTKARGSSTACIVALKEQGIHAVNLGDSGFIIVRDGRTVLRSPVQQHDFNFTYQLESGGGSDLPSSAQTFHFPVAPGDVIIAGTDGLFDNLYSNEISAIVVEALRTGLEPEATAKKIAALAQQKAMDRNRQSPFAAAAQEAGYRYFGGKLDDITVIVSYVTSASAT >ORUFI03G39960.1 pep chromosome:OR_W1943:3:32128784:32133160:-1 gene:ORUFI03G39960 transcript:ORUFI03G39960.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEPFDEAELLALPASPVASPPRRLKRLKKSSQIATAAHPVVGSPPPPSPPPPPPLDEETLAQFPSPPTNPSPPPPPPLDADAAAEAAPSPALTSPPPNPSSSPLPPTDTTEEEEEEEEEDDGLDPLFSETFGAAGWDPLGMPRMEGEGDEEEMLGGGLIEELRREKSAKKRLDMDEGEEGGGEIAMDAEVKGKRSKRRKKDEAPKDSARGKKRSEKERRVQLDSIHAESQRLLRETRSVSFKPSAQPVYKPISSVLEKIRLRKLEILKKSVSLLFLSATPNDDDDEEEDDVSSDPVSGTAGDLGAPQVKEVDAEGKDPKIDDIENEGGMNSGDVNQCDSVPENKDALNCDKDLDNCGSKDLDKELLENSQDNLEDKAQSSDNPNNAADEIQSPPSSSPTESTDDISSEDEEYNDKENIAPSTPKDDVNVHEPLQRALAGDSCPDDAILKDFLDVEAEEEDDSDDDMMRFKDNEEDDGSDENEVFNDLIEAGYEEGEIDHEKRNALHQKWLQQQDAAETNKFMQKLKFGHQEQKKVMDQDEDDAEDCEDESENEMSYDLTPTNVVRQNSEKAKQMIARMFTDDNDTYEHSDDEEIEEHLARQRISKREVHNSSFISPLENDSSREVFSLIKKLNIAPQPKRRGKQVTSNHELLTVGRDNSASSKSSFLGRTASGSLASSHRSAYRTYVFGRDDSNSSNKSCLSTSESNADTYQTNSSQPKKAKFSSSQSKQAATKTNSKGDNSSGVSLIEVLRRSSSTSDKQEYTRQESCAVITESQAAHQFSAFKLSRRFSRVGARN >ORUFI03G39970.1 pep chromosome:OR_W1943:3:32151549:32159355:1 gene:ORUFI03G39970 transcript:ORUFI03G39970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPLAVSGVAVATLAILGLAVFACRRWRRGASPAPPPPASSQDDDINMPLISDNLDDYSVSSNSSTVDESGIRIDRIITSPKTHGIVGKGATYPTESHVIEGETHVIDVTNSKTEELYLGNTLKRPAVANGPTPDVKHIRRDSGESNHNGTIPDIIVGSNLALEVIAGPSHGINHYMQSGNKSMLPMTLGRVPPSHLVLKDSEVSGKHAQIDWNANKLKWEIVDMGSLNGTFLNSRSVNHPDVGSRRWGEPAELADGDIITLGSSSKVSVQIELQNQQPVGVGIASDPMTARRTGKKLHMEDVSCCQYPLIGVEKFGLFGIFDGHGGDGAAIAASRILPQNIANILSQQETKERVLSCHSASDVLRHAFALTEAALHHQYEGCTATILLIWFDQNEDCFAQCANLGDSACIMSVNGEIITMTEDHRVVSTTERARMANSGQPLKDGESRICGLNLGRMLGDKFLKEQDSRFSSEPYVSQAVRMTKACLASALIASDGLWDVISANRAAQLVLEGKQKYSEQKTSADKVAHHVLSEARKLRTKDNTSVIFVDLDTLRSDP >ORUFI03G39980.1 pep chromosome:OR_W1943:3:32156547:32159316:-1 gene:ORUFI03G39980 transcript:ORUFI03G39980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSPSAASSSGGSGGNTAEGEMAAASSACACPICLDSFLDEAYLDTCFHSFCYKCICQWVKIVSTKHAEPLSSVQCPLCKTVNVSIIHGFNGESFEQHYINQDPRKRHLSDAHDLITQFYSIRDIIGNTSSVQQFWKQRKYLRKNIWLQTWLRQEIQALTRDENVDAIIYHIHGVIESFMKRQEKGHASKMAPPEKRREEFKSLLMEAARPFLLGQTERFVAEVELFLVSHLNIDAYSRLRVQRLKESTSHVSREQDVLPQDRSLEDHYLYFLGDEIDCNDEIWHNRTRGSLGICIQGEL >ORUFI03G39990.1 pep chromosome:OR_W1943:3:32159484:32164663:1 gene:ORUFI03G39990 transcript:ORUFI03G39990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEDVEFVDYDRDEEEEEDAMDEDDRGGGRGGRALPVPHIVSQGVMRSRGRLLGRSTSVLASNRDRFDSLADAGNPGHGPQRSIEGWILLVSGVKEDAEEDDLYNTFSDFGHVKDLHLNLERRTGYAKGYALVEYESFEEAQTAIKAMNGTQLLTRTVYVDWAFSRETHQYKAITSAISDSTSQACCLDMLTGSYYVRNPECSRLQL >ORUFI03G39990.2 pep chromosome:OR_W1943:3:32159484:32164663:1 gene:ORUFI03G39990 transcript:ORUFI03G39990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEDVEFVDYDRDEEEEEDAMDEDDRGGGRGGRALPVPHIVSQGVMRSRGRLLGRSTSVLASNRDRFDSLADAGNPGHGPQRSIEGWILLVSGVKEDAEEDDLYNTFSDFGHVKDLHLNLERRTGYAKGYALVEYESFEEAQTAIKAMNGTQLLTRTVYVDWAFSRGPIQKLTSTRCAITSAISDSTSQACCLDMLTGSYYVRNPECSRLQL >ORUFI03G40000.1 pep chromosome:OR_W1943:3:32170469:32171527:1 gene:ORUFI03G40000 transcript:ORUFI03G40000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYMAVAAAPAPPASLTLLPRTTTVIRDRERFDAAVPVAPLVLRHGAGVKHKAVVVMGATGTGKSRLAVDLALRFGGEVINSDKMQIHSGLDVVTNKVTEEECAGVPHHLIGVARPDDEFTAADFRREAARAAAGAVERGRLPIIAGGSNSYVEELVDGDGRAFRERYECCFLWVDVDLEVLRGFVARRVDEMCRRGLVGEVAAAFDPRRTDYTRGIWRAIGVPELDAYLRSRGDGADEEERARMLAAAVAEIKSNTFRLACRQHRKIERLDRMWRARRVDATEVFRRRGHAADDAWQRLVAAPCIDAVRSFLFEDQERSSIAAGKPPLFAAGKATSGNISVFAMAAAAAI >ORUFI03G40010.1 pep chromosome:OR_W1943:3:32183101:32186863:-1 gene:ORUFI03G40010 transcript:ORUFI03G40010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYEDGRRLVAGCIPFRYRTSNDETSDDEPKKIVEVLMINSQSGPGLLFPKGGWENDETVEQAAAREAVEEAGVRGDIVQFLGFYDFKSKTHQDACCPEGMCRAAVFALHVKEELDSWPEQSTRRRTWLTVPEATSQCRYQWMQEALLTGFSDWHDNWSKGGGGDTNYDSL >ORUFI03G40020.1 pep chromosome:OR_W1943:3:32193671:32199309:-1 gene:ORUFI03G40020 transcript:ORUFI03G40020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAANLAGKQGVRVVVIGDPGTGKSSLVVSVATEAFPENVPRVMPPTRLPADYFPDRVPITIVDTSSSPEHRAKLIAECQAADAVVLTYACDRPATLERLSTFWLPELRRLQLKAPVIVVGCKLDLRDEQQVSLEQVMAPIMQTFREIETCIECSALRQIQVPEVFYYAQKAVLHPTAPLFDQEAQSLKPRCVRALKRIFILCDHDRDGALSDVELNDFQVKCFNAPLQPTEIAGVKRVVQEKMPEGVNDNGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNEIKLRDDLIPTIKRAPDQTLELTGQAIDFLRGIFNMFDTDNDDALLPAELDDLFSTAPENPWSSNPYVDCAERNVLGGLSLEGFLSKWALMTLLDPANSFANLIYVGYSGDFGSAFTTTRKRRVDRKKQQTQRNVFQCYVFGPRGAGKTALLQSFLGRQPSDALPMNGERFAANTVELSGSRKTLVFREIPEDDVRPLLADRESLAPCDVAVFVYDSCDEFSWQRTRDLLVEVATHGENTGYEVPCLIVAAKDDLDQSPLALQESTRVSQDMGIEMPIPISVRLRDLNNIFCRIVHAAQQPHLSIPETEAGKTRRQYRQLLNRSLMVVSVGAAVAVVGIAAYRVYAARKNTSS >ORUFI03G40030.1 pep chromosome:OR_W1943:3:32207168:32209029:-1 gene:ORUFI03G40030 transcript:ORUFI03G40030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRDAPKPPTKKPYRGRRGGDAMGVAWSSRAAAKRDVRVAVIGDQGTGKSSLVATIATGRFPADQDGGVAHVLPPARLPVDYFPARVPVTIVDTSSSPDGNKVLIAECQTADAVVLTYACDRPSTLERITTFWLPKLRRLLQSKVPVILAGCKVDLSDKQQQAGLENVLDFIMCTFREVEIYLECSALHRIKVDEVLYCAQMAVLHPTTPLFDKATRSIKPRCMMAFQRIFSLYDHDKDGAVSDAEMNAFLVRCFKVSLQPAEIADMKRVVQQHMIGGVNDNGLITFIGFLYLHVVFIAKGREETTWTVLRKFGYDNELVHGFSWWPRALALQRRPIS >ORUFI03G40040.1 pep chromosome:OR_W1943:3:32210181:32212361:1 gene:ORUFI03G40040 transcript:ORUFI03G40040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAASSSSTAPRLESKVALVTGGASGIGEAIVRLFREHGAKVCIADIQDEAGQKLRDSLGGDQDVLFVHCDVSVEEDVARAVDATAEKFGTLDIMVNNAGFTGQKITDIRNIDFAEVRKVIDINLVGVFHGMKHAARIMIPNKKGSIVSLGSVSSVIGGLGPHSYTATKHAVVGLTKNVAGELGKHGIRVNCVSPYAVPTALSMPYLPQGERKDDALKDFFAFVGGEANLKGVDLLPKDVAQAVLYLASDEARYISALNLMVDGGFTSVNHNLRAFED >ORUFI03G40050.1 pep chromosome:OR_W1943:3:32212808:32216218:-1 gene:ORUFI03G40050 transcript:ORUFI03G40050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGEASLGGFKIGPSTLVGRGVAIRVLLLSSLWRLRERAYAAASRVRGAALPVVAPWLHLRNTHGILLVVVLFALFLRRLSGARSRAALARRRLQCKKAMRYAASYEEWARAAKVLDKMSEQVSESDFYDEELIRNRLEELRRRREEGSLRDVVFCMRGDLVRNLGNMCNPELHKGRLEVPKLIKDYIDEVSAQLKMVCESDTDDLLLEEKLAFVQETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIVAGSSVGSIICSIVATRTWPEIQSFFVDSLQTLQFFDRIGGIFAVTKRVMTYGALHDISQMQRLLRDLTGNLTFQEAYDMTGRVLGVTVCSPRKNEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKNRFGEIVPFHAPFSTDPEQGPGASKRRWRDGSLEMDLPMMQLKELFNVNHFIVSQTNPHISPLLRLKEIVTTYGGRFAGKLARLAEMEVKYRCNQILEIGLPLGGLAKLFAQDWEGDVTMVMPATAAQYLKIIQNPTYAELQMAANQGRRCTWEKISAIRTNCAIELALDESIAVLNHKRRLKRSMERVASASQGYTCSSVIRTPRRVPSWSCISRENSTGSLSEDCFATTSSSTHQGIQVVATPNVIHNDGSESESETIDLNSWTRSGGPLMRTSSADMFINFIQNLEIESEFNTGNSSGSTVSKDSCPNNNSGVTAQGTDRSTDTSETGSCNTGNNIASQPSTSTSIAVSEGELLQPERSTNGILINVVKRKSVFGEHESEAETESYVDTTNLDTSDCPGDNKDAADSNDLSAAHTDSVTSQHSSADE >ORUFI03G40060.1 pep chromosome:OR_W1943:3:32222104:32228912:1 gene:ORUFI03G40060 transcript:ORUFI03G40060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTALSASLPRLLPPRRRRFPTPSSSSPSAASTSTSRVVRLRAAAASAPSEVLDSTNGAIPSGKGGGGQQYGREYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHAMLPPIEVVVGSIANADPNYPEEWEEGLANQVQYDADGNLKTEIIKTPFVQIPLGITEDRLIGSVDVEASVKSGTTVFQPGLLAEAHRGVLYVDEINLLDEGVSNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPMSFDDRVAAVDIATQFQESSKEVFKMVEEETEVAKTQIILAREYLKDVAISTEQLKYLVMEAIRGGCQGHRAELYAARVAKCLAAMEGREKVELVILPRSILSDNPQEQQDQQPPPPPPPPPPQDQDSQEDQDEDEEEDQELISCTLGQDDDEENEQQDQQIPEEFIFDAEGGIVDEKLLFFAQQAQRRRGKAGRAKNLIFSSDRGRYIGSMLPKGPIRRLAVDATLRAAAPYQKLRREKDRDKTRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDFAEVLLPPSRSIAMARNRLEKLPCGGGSPLAHGLSTAVRVGLNAEKSGDVGRIMIVAITDGRANVSLKKSTDPEATSDAPRPSSQELKDEILEVAGKIYKAGISLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISAATKTALSDLKSS >ORUFI03G40070.1 pep chromosome:OR_W1943:3:32229288:32234929:1 gene:ORUFI03G40070 transcript:ORUFI03G40070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACGYHSPRFSEDIAFLPQWLQPHRPLAVGEHGKDSAAVSSPSCVNCAFIGGPAQEQHSCLNTMVNAASCSGFSLHLSGDEGTPTGTTPSNGNVVPFSLHLSSESTSKLSSTQANGLNSVTCKDVLGGFCIDDQAQEIKTVPQNQSEAKDLREICKMSSEEINKTCDSKGHRRQQLSGRKVDVRKLRSADVNDAVELSIAASEAMVIAEMILLDSQSDKLATASLEAALHVKEARKQCFLEELEHSCGSSESDLDETDGLSELDETEMLDAFQDVGLSLVQTACASQGQNISGLKQEISHASSHPCDAEAHVLESSPEKQNIRWNSHDADTNDHVSDSLASHNKEGGVVAVQTNVGTRKHVKGLFNKETSFISESMDGMDEFPSPSRIASMEMAASSRASFLHKIKGSCEENQGAEAAQLCSQVVCSNLSLVDPLCSIVPCSISFNEGPPSQAPECIQSKGDKELISTKEFPSKQDLEGEAGPSCTPVSNILLRRRKYSSLRPFSTIAPRPYVSKSTEPHNDVDEAVCQQGSFAAVTLNKKIRRVQASKVCVENNFEAGNLHEFSKVLKNPSYAQGVSEHQNSMKSLKRKKAQFSEAKISTRKTKNIRRTQTKSRFSWSDSRLIDTIEPREYIDNKEALFHGLDFLLTGFQSHKEKEIEPLIRKFGGYVLSRVPSCPLDKRSKLAELARCKPPIVLSPKKVSTAKFLYGCAINSWILNPSWLFDSIQAGVMLPPGKYFIRQVHSMQGISMFDQSLHLRKNTLLFDGVGFLILGKISFCSKFSNVIKHGGGQVFASLQGLVQSLKDRSSSHGIILVASEASASRHLSYCGLEHDIKTAPASWVIGSLYSGKLIPLKKDRCASFRKIKMPSFQQPQAFDMSQEI >ORUFI03G40070.2 pep chromosome:OR_W1943:3:32229288:32234929:1 gene:ORUFI03G40070 transcript:ORUFI03G40070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSACGYHSPRFSENCAFIGGPAQEQHSCLNTMVNAASCSGFSLHLSGDEGTPTGTTPSNGNVVPFSLHLSSESTSKLSSTQANGLNSVTCKDVLGGFCIDDQAQEIKTVPQNQSEAKDLREICKMSSEEINKTCDSKGHRRQQLSGRKVDVRKLRSADVNDAVELSIAASEAMVIAEMILLDSQSDKLATASLEAALHVKEARKQCFLEELEHSCGSSESDLDETDGLSELDETEMLDAFQDVGLSLVQTACASQGQNISGLKQEISHASSHPCDAEAHVLESSPEKQNIRWNSHDADTNDHVSDSLASHNKEGGVVAVQTNVGTRKHVKGLFNKETSFISESMDGMDEFPSPSRIASMEMAASSRASFLHKIKGSCEENQGAEAAQLCSQVVCSNLSLVDPLCSIVPCSISFNEGPPSQAPECIQSKGDKELISTKEFPSKQDLEGEAGPSCTPVSNILLRRRKYSSLRPFSTIAPRPYVSKSTEPHNDVDEAVCQQGSFAAVTLNKKIRRVQASKVCVENNFEAGNLHEFSKVLKNPSYAQGVSEHQNSMKSLKRKKAQFSEAKISTRKTKNIRRTQTKSRFSWSDSRLIDTIEPREYIDNKEALFHGLDFLLTGFQSHKEKEIEPLIRKFGGYVLSRVPSCPLDKRSKLAELARCKPPIVLSPKKVSTAKFLYGCAINSWILNPSWLFDSIQAGVMLPPGKYFIRQVHSMQGISMFDQSLHLRKNTLLFDGVGFLILGKISFCSKFSNVIKHGGGQVFASLQGLVQSLKDRSSSHGIILVASEASASRHLSYCGLEHDIKTAPASWVIGSLYSGKLIPLKKDRCASFRKIKMPSFQQPQAFDMSQEI >ORUFI03G40080.1 pep chromosome:OR_W1943:3:32235950:32238374:1 gene:ORUFI03G40080 transcript:ORUFI03G40080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFVLLISRQGKVRLTKWYNPYPQKERSKLFRTVCRYASLYFCMCIDAADNELETLQIIHHFVEILDRYFGNVCELDLIFNFHKAYFILDEVLIAGELQESNKKAVLRLITTQDNLVEAAKEEASSLRNIIAQATK >ORUFI03G40090.1 pep chromosome:OR_W1943:3:32240723:32242050:1 gene:ORUFI03G40090 transcript:ORUFI03G40090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVEVAAAAGRMQGEAVVPMMLPPFFMDSGIWPAAAGVVDVAASAEEEAAAAAAAQDRALAASRNHREAEKRRRERIKSHLDRLRAIDKASLLAKAVERVRDLKQRMAGIGEAAPAHLFPTEHDEIVVLASGGGGVGGAGGAAAVFEASVCCDDRSDLLPELIETLRALRLRTLRAEMATLGGRVRNVLVLARDAGGAGEGGDGDDDRAGYSAVSNDGGDFLKEALRALVERPGAAAGDRPKRRRVVSDMNMQAAA >ORUFI03G40100.1 pep chromosome:OR_W1943:3:32254836:32257540:-1 gene:ORUFI03G40100 transcript:ORUFI03G40100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGNPPLNAAEAVLKRPRSVASRKPRSKEQLISEFKDLSCTPSRSISPEDDAGVEGSGHRRKELYLNGPEARGSVPHRSDASRKIKRDDNRAAGDHDEQNKSSKSKDGKHTSEGVLALACTRNSGSPDGQHLPPKDTTSMPGLRKVKLKVSGITRPLHTKNIQEASDGGTLGTSDGSSQRHKQKDSGGHKHHQDKHDVSPSSDLVRKSKRIPKKRTLDGDSGDEDGGSGYLVKFKIAKVVPEQSIATDHAGEYDESLEDDIKKKRLSKVSKNKSLPYEVDEDFTMYRSGRDGRKKLKLVDSDDFIEEEPEMDEPKKRLSEADSHSDVKNETTGLITRQRALQGRGGNGQKDKASEVEAQAKKAEAAQRRKLQVEKAEREQQAEAVRKILGIDIEKKKEERKQKERDEKEKQEKTEEYRRNCIQCVMGPEGTVVTFPDKMGLPSIFDSKPISYPPPREKCAGPSCTNPYKYRDSKTKLPLCSLQCYKAVQGSSEAAQGSSETLTC >ORUFI03G40110.1 pep chromosome:OR_W1943:3:32257565:32258311:-1 gene:ORUFI03G40110 transcript:ORUFI03G40110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETTRPFHHETPPTPTPPPRSPSPPNPPHLIVATGPSPIPYSPPPNPNPSSPSSSSSFPPLAASAAAAGAGGSCWIEVPRVAKSVGA >ORUFI03G40120.1 pep chromosome:OR_W1943:3:32260004:32260948:-1 gene:ORUFI03G40120 transcript:ORUFI03G40120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTPSSPLSRATPPRSPTAGGTPSRLAVAPPSPTTPQCAIPASPHTPGRGRAPPATPPPATPRTPRPEITLRQPSSQAQQKQKRAPPAAARRPSRALRAIRALLRSLPFVAPAACRPSSALPRRHNRPHDGHAGGGTRVTGTFYGHRRARITLAVQERPGSLPSLVLELGVPTAKLMQEISTGGGHVRVALECEKKPKKSPSAPPEQASVSLLEEAMWTAYVNGRRVGYAVRREASEGDLAVMQLLSTVSVGAGVLPGDVLAEPAGAEGDGEVTYMRAGFDRVAGSKDSESFYMVNPDGGGGTELSIFFVRV >ORUFI03G40130.1 pep chromosome:OR_W1943:3:32261667:32264249:-1 gene:ORUFI03G40130 transcript:ORUFI03G40130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGAAISAGPTPPSASSVDWHLRPPNPKNPVVFFDVTIGSIPAGRIKMELFADIVPKTAENFRQFCTGEHRKSGLPQGYKGCQFHRVIKDFMIQGGDYMKGDGTGCTSIYGTKFDDENFIAKHTGPGLLSMVRSYYIYIKLEQDIEASSKANSGVNSNGSQFFITCAKCEWLDNKHVVFGRVLGDGMLAVRKIENVATGPNNRPKLACVISECGEM >ORUFI03G40140.1 pep chromosome:OR_W1943:3:32264567:32264959:1 gene:ORUFI03G40140 transcript:ORUFI03G40140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSLRKSNARLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRLGNAKDTRGTAFVVYEDIYDAKNAVDHLSGFNVANRYLIVLYYQPAKMSKKSDVKKKEEEITRLQEKYGLGSKTPSSAPDA >ORUFI03G40150.1 pep chromosome:OR_W1943:3:32266887:32267940:-1 gene:ORUFI03G40150 transcript:ORUFI03G40150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRASVKRLCAYCKVVKRRGIVFIQCKANAKHKQRQGFSTLAEAAAAACHHPAPPLLTNTSPAVAAAVAEASKVAKQEPAMKFNWPLGLAALLKNSDK >ORUFI03G40160.1 pep chromosome:OR_W1943:3:32268607:32271263:-1 gene:ORUFI03G40160 transcript:ORUFI03G40160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINPVAGEVAAATAKAPPPAMATVRAPLPANHYSPYHSASAAGSYAANTQSTSSPVSPASPPAMISSSSSSSSLPPQQQCSWQPQPTTFFEANPGYAYQQDHLPAVAGRRFFPPPAMKMQYYHHHQPAGVAMAAPVHSSPLAATSGSNHAVVPDAPPQEPAKRRRRNTAAAATARRGRGRPRGATASRAHSAPPPPPPTTTAPAITAQRNDDVNQEDDNQRSKNSVEEAVAVAGGEPPAATSALAIVPRHGDVGDADRPVFPYSDVPGVRFTPTDQKLIIHFLKPKYTLGDATPTNIIIIKQLDVCKLNLDELHGDLGLGKSLDGAWYVFSPRSRYKERGVRPARGIKTTAVGYWKSNSAEADVVDDDGEVIGRVNSLTLALGHQPRGKATHWRMKEYRIPQFQIPLGQEDSNRLVYNVILLRMKVLDEWVLCKLYHSFAYKQKGKCKVHEEGTKSDRGVQDLSIDDDRKTCDIEANKPNKGVDNCNVNICNIEDSKPDGGLQDQSADDYNVHICDIEDSKPDEANQMEAYKT >ORUFI03G40170.1 pep chromosome:OR_W1943:3:32272518:32275414:1 gene:ORUFI03G40170 transcript:ORUFI03G40170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >ORUFI03G40170.2 pep chromosome:OR_W1943:3:32272518:32275414:1 gene:ORUFI03G40170 transcript:ORUFI03G40170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >ORUFI03G40180.1 pep chromosome:OR_W1943:3:32276372:32289756:-1 gene:ORUFI03G40180 transcript:ORUFI03G40180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAALRLPLPRLLWGPTGSLLAAAAAASRRRAAVVAVPAVRFLSSSSSSSDGSRSVQPLRAGRDERAAAGEGGAAVKERVVPVELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILYAMHEMGLASRRPFRKCARVVGEVLGKFHPHGDSAVYETLVRMAQDFSMRYPLVQGHGNFGSIDADPPAAMRYTECRLDSLTEAMFLTDLELNTVDFVPNFDNSQKEPSLLPARVPSLLLNGSSGIAVGMATNIPPHNLGELVDVLSVMIENPEATLQELLECMPGPDFPTGGTIVGNQGILEAYKTGRGRVVMRGKTDIETIDVKSKRSAIIIKEVPYQTNKSTLVERIAELVEEKVLEGISDIRDESDRSGMRVVIELKRGADPAIVLNNLYRHTALQSSFSCNMVAILDGQPKLMGLKEILQAFIDFRCSVIERRARFKLSQALERKHIVEGIVIGLDNLDSVIQIIRGTSNHAMARESLIKEFGLSDKQAEALLDITLRKLTSLERKKFVDEAKSLSEEISKLNELLSNKKLIFQLILQEATDLKNKFATPRRSFIEDSASTEVDDLDIIPNEEMLLILSEKGYVKRMKPNTFNLQNRGTIGKSVGKMRMNDNTSDFIVCQTHDHVLYFSDKGIVYSARAYKIPECTRAATGTPLLLSLSDGERITSIVPVNEFGEDQYLVMLTVNGYIKKVPLNAFSAIRTSGIISIQLAPGDELKWVRRCGDDDLVALASQNGMVIVNTCNKLRALGRKTRGVLAMKLKEGDKMASMDIIPATSHNMPETYSRVRDLSPPWLLFIADNGIGKRVPLNAFRQGNFNRVGLQGYKMTVKVTNRIKVKDISIRSRSARGVILMRLEHAGKIQSASLISAAEEEEEQDPESASLISEAEEPEKQDPEVSA >ORUFI03G40190.1 pep chromosome:OR_W1943:3:32291281:32291679:-1 gene:ORUFI03G40190 transcript:ORUFI03G40190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSVTSDGAPHRRWHPRSVCFSSPRGALGGDDDKGKPHSARNYPTSHHRLLRSNSFLLHLVADEYLDTQCSDGDGEVENGHDITFLLPPPPLPTERERKNVLGRGGASASVGRRAERLQMPAFSSPAVHR >ORUFI03G40200.1 pep chromosome:OR_W1943:3:32296579:32300261:1 gene:ORUFI03G40200 transcript:ORUFI03G40200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGQGDRYWCHHCEEVIEPVEPDMKCPSCDSGFVEEMGSAGFEPSTNLRSDRISLWAPLLLGMMGGSSRRARRLRRQIMEDDDDDDDDEDDEDDSDHELEDLFRRQRRGSSLVRLLQTLRDDLRGLDDIGRDSDRDRERERRERERLRERERERERMRERERERRRERTESLILINSNNEAIILQGTFGPDDNQDDSSNTSSGVSLGDYFLGPGLDILLQRLAESDLNRSGTPPAKKEAVEALPTVNIQEVLGCSVCLEDFEMGTEAKEMPCQHKFHSQCILPWLELHSSCPICRFQLPTEESKNPCESAGGIVSVNDDGDDAGTSSDVDSANQPGSPIFSALSALFSNPSSSSSSSSDDNAPHSSES >ORUFI03G40200.2 pep chromosome:OR_W1943:3:32296579:32299666:1 gene:ORUFI03G40200 transcript:ORUFI03G40200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGQGDRYWCHHCEEVIEPVEPDMKCPSCDSGFVEEMGSAGFEPSTNLRSDRISLWAPLLLGMMGGSSRRARRLRRQIMEDDDDDDDDEDDEDDSDHELEDLFRRQRRGSSLVRLLQTLRDDLRGLDDIGRDSDRDRERERRERERLRERERERERMRERERERRRERTESLILINSNNEAIILQGTFGPDDNQDDSSNTSSGVSLGDYFLGPGLDILLQRLAESDLNRSGTPPAKKEAVEALPTVNIQEVLGCSVCLEDFEMGTEAKEMPCQHKFHSQCILPWLELHSSCPICRFQLPTEESKNPCESAGGIVSVNDDGDDAGTSSDVDSANQPGSPIFSALSALFSNPSSSSSSSSDDNAPHSSES >ORUFI03G40200.3 pep chromosome:OR_W1943:3:32296579:32300261:1 gene:ORUFI03G40200 transcript:ORUFI03G40200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGQGDRYWCHHCEEVIEPVEPDMKCPSCDSGFVEEMGSAGFEPSTNLRSDRISLWAPLLLGMMGGSSRRARRLRRQIMEDDDDDDDDEDDEDDSDHELEDLFRRQRRGSSLVRLLQTLRDDLRGLDDIGRDSDRDRERERRERERLRERERERERMRERERERRRERTESLILINSNNEAIILQGTFGPDDNQDDSSNTSSGVSLGDYFLGPGLDILLQRLAESDLNRSGTPPAKKEAVEALPTVNIQEVLGCSVCLEDFEMGTEAKEMPCQHKFHSQCILPWLELHSSCPICRFQLPTEESKNPCESAGGIVSVNDDGDDAGTSSDVDSANQPGSPIFSALSALFSNPSSSSSSSSDDNAPHSSES >ORUFI03G40200.4 pep chromosome:OR_W1943:3:32297435:32300261:1 gene:ORUFI03G40200 transcript:ORUFI03G40200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGQGDRYWCHHCEEVIEPVEPDMKCPSCDSGFVEEMGSAGFEPSTNLRSDRISLWAPLLLGMMGGSSRRARRLRRQIMEDDDDDDDDEDDEDDSDHELEDLFRRQRRGSSLVRLLQTLRDDLRGLDDIGRDSDRDRERERRERERLRERERERERMRERERERRRERTESLILINSNNEAIILQGTFGPDDNQDDSSNTSSGVSLGDYFLGPGLDILLQRLAESDLNRSGTPPAKKEAVEALPTVNIQEVLGCSVCLEDFEMGTEAKEMPCQHKFHSQCILPWLELHSSCPICRFQLPTEESKNPCESAGGIVSVNDDGDDAGTSSDVDSANQPGKDLAYSLCWVILHQLEA >ORUFI03G40200.5 pep chromosome:OR_W1943:3:32297435:32300175:1 gene:ORUFI03G40200 transcript:ORUFI03G40200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGQGDRYWCHHCEEVIEPVEPDMKCPSCDSGFVEEMGSAGFEPSTNLRSDRISLWAPLLLGMMGGSSRRARRLRRQIMEDDDDDDDDEDDEDDSDHELEDLFRRQRRGSSLVRLLQTLRDDLRGLDDIGRDSDRDRERERRERERLRERERERERMRERERERRRERTESLILINSNNEAIILQGTFGPDDNQDDSSNTSSGVSLGDYFLGPGLDILLQRLAESDLNRSGTPPAKKEAVEALPTVNIQEVLGCSVCLEDFEMGTEAKEMPCQHKFHSQCILPWLELHSSCPICRFQLPTEESKNPCESAGGIVSVNDDGDDAGTSSDVDSANQPGKDLAYSLCWLCSGNFGTIST >ORUFI03G40200.6 pep chromosome:OR_W1943:3:32297435:32299666:1 gene:ORUFI03G40200 transcript:ORUFI03G40200.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGQGDRYWCHHCEEVIEPVEPDMKCPSCDSGFVEEMGSAGFEPSTNLRSDRISLWAPLLLGMMGGSSRRARRLRRQIMEDDDDDDDDEDDEDDSDHELEDLFRRQRRGSSLVRLLQTLRDDLRGLDDIGRDSDRDRERERRERERLRERERERERMRERERERRRERTESLILINSNNEAIILQGTFGPDDNQDDSSNTSSGVSLGDYFLGPGLDILLQRLAESDLNRSGTPPAKKEAVEALPTVNIQEVLGCSVCLEDFEMGTEAKEMPCQHKFHSQCILPWLELHSSCPICRFQLPTEESKNPCESAGGIVSVNDDGDDAGTSSDVDSANQPGKDLAYSLCWVILHQLEA >ORUFI03G40210.1 pep chromosome:OR_W1943:3:32301447:32314365:1 gene:ORUFI03G40210 transcript:ORUFI03G40210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFVATIEYCSLAVSVSSLLIRFVLHQLVRDAIVLVMSRAGASSLSCALLGLLAHDDTALFAADDRRCAAVVEPPPPLRRECELCARRGGAGLSRRDVAAVVASLGMVAAGEDDDDDDGDDDEACRACEAVAAVEEMTEGKVAGDSELREAFYVFDRDEDGYVSAAELWNVLRRLGMEEGARYGDCVRMIAAYDGDGDGRISFQEFRAMMENTNYTLQRRHSQRTRTHPYEHTHANSTPTSIFEDWPANSGEIDEQLVMASIDRGLVVSVSSLLIVCRGVRAVSRALVRLALLLLVRVVDEDDDFRYCAAAAAGDDAVVQPPRPRCCERCAAAPWLSRHDVAAVVESLGLVAAAAADEDDEACGACEAVAAVEELAESKVAGEGELRGAFRVFDRDGDGYVSAAELRSVLRRLGMEEGARHGDCVRMIAAHDGDGDGRISFQEGGEDDDDAAAARLSRHDVAVVVASLGLVGAADEDDEACGTCEAVAVVEELAESKVAGEGELREAFRVFDRDGDGYVSAAELRRVLRRLGMEEGARHGDCVRMITAHDGDGDGRISFQEFTLTRDRFLQRQEQCTVPCLSARGDAGELASRCLDWETRMQDAEVESLRNLDISLAYLEEFGTIDA >ORUFI03G40220.1 pep chromosome:OR_W1943:3:32316808:32320063:-1 gene:ORUFI03G40220 transcript:ORUFI03G40220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRTSKIFEQDSELRKALLNSVDHFYNKVIKPLLESDGGGGGGGGGSGLRGLLGGGGGGGSSGGGGSEVLERRVTEVLQVYGLPGKLPELRLPGVLPKPEPRLPDEPKPPSWMVFVEAPLPPPSLSYEDGGNGDSNHTITMVVADVHSEPPLSGWWLARILLRWRRKIEELPRHVIYVIGAAAIVGTGYIIYLLVKRRRRPRDARPPLPGNGGQPPPGGDHPQAPKLKHLPDDRAASGGDDAEYDEDQGPGDGDETGGEGSAAYGLHDIAVFAVAFSNSPTGPTLAVENNPAFLALQQIKVAREICNNKAVRLLQLLNPEKSHFSIPWFERLTIFDVCPRPNLVESTSGSRDLQMVRPGLGVLTRPLPTKYRSLGDNFCERVLTSLMHETLKAVVAQYNASQLIIPRESFRKEFTHAIETKQVDEQEAQRAKFIVEKAEQHKRKAVITEQACLNRVKLRVHWSSLQLEEEELGIFEKVTYLTRCSHGSPVILDVGFTTTEENNTSRDEFSLLK >ORUFI03G40230.1 pep chromosome:OR_W1943:3:32320762:32322546:1 gene:ORUFI03G40230 transcript:ORUFI03G40230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEDGEEKMAGKNKHGFPLGFRFVPEDQELLDIPDDKLRGAPLDRAHDAVFHEARILDFHTAKLYGATMGLAAMPFAAGMRHAWGRLFRWRGREALRAWQLPANRRLWGDPVSSASGWASSPRLTLCLLSSKPHAVVGVGHVAAAAAAEEGAGGWQEKGHLDALALALAPSTSPSASPGSPPAATPSSAPRHDAHPAHGGFAHRQYPAFLTGEQERWTRGGHDTKAVSDVVDIVVAARRVGVGEDSCGAGGAAPFDDDRYVEGHVGVFKLGLFSAQSETIRVLEFYVKGVRTNWGMHEFIRIIGPDNEVFTMLFQANGESREGHLAANGGESTNQRGQASATDYYQYATSQAYAYAPSYIQPGWSQ >ORUFI03G40240.1 pep chromosome:OR_W1943:3:32323868:32324526:-1 gene:ORUFI03G40240 transcript:ORUFI03G40240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKKELMVLGHEVAARRRDAVLVHPLLVFPHLPVHAPATLVRSAGLGRREAERVSRHGGGGGDPS >ORUFI03G40250.1 pep chromosome:OR_W1943:3:32327479:32328120:1 gene:ORUFI03G40250 transcript:ORUFI03G40250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQQLVMASIDRGLAVSVSSLLIISRGVRAVSRALVRLALLLVHVVDEDDDYRYCAAAAAGDAVVQPPRLRCCERCADRIRGGEDDDAAAAARLSRHDVAVVVASLGLVAADEDDEEGDHQEPCGACEEVAELAESKMAGEGELRPAGGVPRVFDRDGDGYVSAAELRSVLRRLGMEEGARHGDCVRMIAAHDGDGDGRISFQEFRAMMENAA >ORUFI03G40260.1 pep chromosome:OR_W1943:3:32334871:32336067:1 gene:ORUFI03G40260 transcript:ORUFI03G40260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAALVAWLARDVSTARAAAHLAAAAASRAARSSAVASRPCARSAAVAARRAARQAAVAASRAAHAVCYLDDYEPSDDGPDPGAFLTDFDESGDDFTDKDFESDEAIWALYERWCKAYDKKRDLAEMTHRFKIFKQNAEALHRSNEGASKYEKIYCGPYCDGFDEQERAEALLKFRHFPRVCEYIESLEIVFPKSREVDSPNQSP >ORUFI03G40270.1 pep chromosome:OR_W1943:3:32355258:32355563:1 gene:ORUFI03G40270 transcript:ORUFI03G40270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGRNPRTGTEWSLTSWRAPDDPMMGDCRRVMDTRRLPDNISWRSADKKYRTGQWNGMWFSGVPEMASYSSMFANQVVVKPDGDRLRLLRRRPLLLPRAD >ORUFI03G40280.1 pep chromosome:OR_W1943:3:32365364:32366185:-1 gene:ORUFI03G40280 transcript:ORUFI03G40280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVSRDVRIVRAKAGEGVPTSADATARLAAANPSITFRLLPAPPSPDAGAHPARRALDTLRAWANPVLREFLRSLPDAVDALLLDVAAYFFFPSGASALTALLHLPYYYPEACGNFGSKTRNTPHGACSPATRPRLSTTAATSWRESRAAATSSSPPAMTQLLDCFMSQKGRVSRRCRVCVLCGDWRGATWTRISLFDPFSGVLFEAGAL >ORUFI03G40290.1 pep chromosome:OR_W1943:3:32367947:32370421:-1 gene:ORUFI03G40290 transcript:ORUFI03G40290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVRTAKIFEGKDPVRWLSNLYDFYNKAAKPEQGESGGGADEHQRRVAEVLEALRLPPVEAVKLREVLEGHRLPDDPAPPSWMEFIEVPSPPPNLEYGVDLTNYLGAVGDEPEQTWWAALAAHRWVFLFGGLAILGLFGYGVYWMIKRRNRRGEAAPPGATGADPPDNPPPATAAASVQGGADSPARGGRGSPPPASEPPGGATSLDEEDVPPQEVYPEGTQFMILLFERPIIYDVRARPNLVESTSGSRDLQMVKIGLRVLTRPLPEKLPTIYRSLGENFNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERASNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKAEQDKRSAIIRAQGEAKSAQLIGEAINNNPAFLALRQIEAAREISHTMARSNNKVYLDSKDLLLGLQQLNVDSKNKK >ORUFI03G40290.2 pep chromosome:OR_W1943:3:32367947:32370421:-1 gene:ORUFI03G40290 transcript:ORUFI03G40290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVRTAKIFEGKDPVRWLSNLYDFYNKAAKPEQGESGGGADEHQRRVAEVLEALRLPPVEAVKLREVLEGHRLPDDPAPPSWMEFIEVPSPPPNLEYGVDLTNYLGAVGDEPEQTWWAALAAHRWVFLFGGLAILGLFGYGVYWMIKRRNRRGEAAPPGATGADPPDNPPPATAAASVQGGADSPARGGRGSPPPASEPPGGATSLDEEDVPPQEVYPEGTQFMILLFERPIIYDVRARPNLVESTSGSRDLQMVKIGLRVLTRPLPEKLPTIYRSLGENFNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERASNFNIALDDVSITSLSFGKEFTHAIEAKQGEAKSAQLIGEAINNNPAFLALRQIEAAREISHTMARSNNKVYLDSKDLLLGLQQLNVDSKNKK >ORUFI03G40300.1 pep chromosome:OR_W1943:3:32372076:32377055:-1 gene:ORUFI03G40300 transcript:ORUFI03G40300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMVQSCGVGGIGDRTPCGGSEDNCTPIPTGHVGLSEDNAGRSSVGREAQRAGKEQVVDSPPPKKTKNMEYYVERILESMLEKSRNESSVIRGEQEEVTELLLQVEQDGVAQGSELYYIATDLFRSPARRAAFRCIRAPEHRIGWLRWTWDNARKKMSSSETSSSTSSSGTHFRDEWSTWWDMGAIVGVLAALASSSSGGCDLGTKDTLRVNLFLPLVSVEPPPTRRQLARPHCHLGRLVILPAHMHSILARTGPGAQAKPKFHEPTSWATPVPPSQQPTS >ORUFI03G40310.1 pep chromosome:OR_W1943:3:32384012:32385760:1 gene:ORUFI03G40310 transcript:ORUFI03G40310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMRRERDAEAELNLPPGFRFHPTDDELVEHYLCRKAAGQRLPVPIIAEVDLYKFDPWDLPERALFGAREWYFFTPRDRKYPNGSRPNRAAGNGYWKATGADKPVAPRGRTLGIKKALVFYAGKAPRGVKTDWIMHEYRLADAGRAAAGAKKGSLRLDDWVLCRLYNKKNEWEKMQQGKEVKEEASDMVTSQSHSRTHSWGETRTPESEIVDNDPFPELDSFPAFQPAPPPATAMMVPKKESMDDATAAAAAAAAIPRNNSSLFVDLSYDDIQGMYSGLDMLPPGDDFYSSLFASPRVKGTTPRAGAGMGMVPF >ORUFI03G40320.1 pep chromosome:OR_W1943:3:32386381:32392138:-1 gene:ORUFI03G40320 transcript:ORUFI03G40320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIEKIQEAAADGRTVFSFEYFPPKTEEGLDNLFERMDRMVAHGPNFCDITWGAGGSTADLTLEIANRMQNMVCVETMMHLTCTNMPIEKIDDALTTIKSNGIQNVLALRGDPPHGQDKFVQVAGGFACALDLVQHIRAKYGDYFGITVAGYPEAHPDAIQNTEGATPEAYSNDLAYLKQKVDAGADLIITQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPAEITAALEPIKDNEEAVKAYGIHLGTEMCKKILATGIKTLHLYTLNMEKSALGILMNLGLIEESKISRSLPWRPPTNVFRVKEDVRPIFWANRPKSYISRTLGWDQYPHGRWGDSRNPSYGALTDYQFTRPRGRGKKLQEEWAVPVKSVEDINERFVNFCQGKLTSSPWSELDGLQPETKIIDDQLVKINQKGFLTINSQPAVNGERSDSTNVGWGGPGGYVYQKAYLEFFCSKEKLDQLIEKSKAFPSLTYIAVNKDGESFSNIPTNAVNAVTWGVFPGKEIVQPTVVDSASFMVWKDEAFEIWSKGWACLFPEGDSSREILDKVQKSYYLVSLVDNDYINGDLFAAFKEI >ORUFI03G40330.1 pep chromosome:OR_W1943:3:32394982:32397012:1 gene:ORUFI03G40330 transcript:ORUFI03G40330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEPNRQSKTLSDSKPSGVATRHHLSSRSRSPSSASLLPSPSLAMAAFATATPSPAISVSPWSMSSLRAALPSSARASPAGKLRSSFSPAAAATAASVGCLSSFSGLALISPLLSLGEETSSFEHRLFGIDARGRIVAMRHGRRVPKLSRPPDQRKALLRGLTTQLLKHGRIKTTRPRAKAMRKYVEKMITLAKDGSLHKRRQALAFIYEKHIVHALFAEVADRYGEREGGYTRIIPTFPRRGDNAPMAYIELV >ORUFI03G40340.1 pep chromosome:OR_W1943:3:32403833:32408282:1 gene:ORUFI03G40340 transcript:ORUFI03G40340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYSPGFSPARNLSPQIRSNPTDVDSQYLAELLAEHQKLGPFMQVLPICSKLLSQEIMRVSSIVHNHGFGDFDRHRFRSPSPMSSPNPRSNRSGNGFSPWNGLHQERLGFPQGTSMDWQGAPPSPSSHVVKKILRLDVPVDSYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDPGKEDKLRGKPGYEHLSDPLHILIEAEFPASIIDARLRHAQEVIEELLKPVDESQDFYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKTGQ >ORUFI03G40350.1 pep chromosome:OR_W1943:3:32410220:32410945:-1 gene:ORUFI03G40350 transcript:ORUFI03G40350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNPSLHELAAGAAPRGRVVRILVRDADATDSSSSEDEAVAVAQPRPRRRGKVGGGGGGGGGVKRRVMEAGAGEARPTARFRGVRQRPWGRFAAEIRDPHLRRRLWLGTFDTAEEAAAAYDAASVRLRGSSAATNFASVRCYSLPPELPKPTISPPEAAVRPITLPIGTAKPTLLPRVKEEGESCGGRVKEEASSCEVQVLAPEPMWTMISGKRKKRSGCGTRVRAFHAVSACVEEVGGA >ORUFI03G40360.1 pep chromosome:OR_W1943:3:32412920:32419296:-1 gene:ORUFI03G40360 transcript:ORUFI03G40360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPGAHRAASSSGALAPAAFPAAAHFASRAAAPFLRQQPHPGGGGDSDGDNAVEEVDEGDDDEDDEEEEAELADGAPCSSQQRCASTPGIGRAGMNRGNGMRQIEEEQQWQHSHIYNCGNEQYGHASSREDEPSTIPREMRVENGYGVIGRREGGPASSYWDLLRAHLSDPLTGILMDDAMILSCGHSYGSNGMQHIYRMKACGKCGQPITEDSIRPNLALRLAVQAFKREEESAKSLKRRRERLEQDKCGNDEPNPTEISRGKGVQFPFAVFDRVIIKGNKRTPERFVGRVAVVTAQCLNGWYVVKTLDNAESVKLQYRSLAKFTDGGQSSAMVGKRLRYLTKHPHSDIQSMATDLLGYWKKVVIEEGKKNGTTENVGSTNSAARAEKAQPMKVDKSSASGSVKPEKREVNVRGQKPESIKVEKITNNDSKNQQVKVERAPKEATRTPDTKKPSSVPNGPPKLTSLVKCNDPTRDKIRELLADAFSRVHGETSKDDREEVRNILDEVDARDPFRVAVTVESALFERLGRSTGAHKAKYRSIMFNLRADNNTDFRRRVLLGQVRPERLVDISPEEMASDARKLENKQIKEKALFDCERGGAPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >ORUFI03G40360.2 pep chromosome:OR_W1943:3:32415525:32419296:-1 gene:ORUFI03G40360 transcript:ORUFI03G40360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPGAHRAASSSGALAPAAFPAAAHFASRAAAPFLRQQPHPGGGGDSDGDNAVEEVDEGDDDEDDEEEEAELADGAPCSSQQRCASTPGIGRAGMNRGNGMRQIEEEQQWQHSHIYNCGNEQYGHASSREDEPSTIPREMRVENGYGVIGRREGGPASSYWDLLRAHLSDPLTGILMDDAMILSCGHSYGSNGMQHIYRMKACGKCGQPITEDSIRPNLALRLAVQAFKREEESAKSLKRRRERLEQDKCGNDEPNPTEISRGKGVQFPFAVFDRVIIKGNKRTPERFVGRVAVVTAQCLNGWYVVKTLDNAESVKLQYRSLAKFTDGGQSSAMVANNAQNANWFRPIGLVPEESSSESTATRVGF >ORUFI03G40360.3 pep chromosome:OR_W1943:3:32412920:32415365:-1 gene:ORUFI03G40360 transcript:ORUFI03G40360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERELLETFEAAKKAADAAAGADDSPEADRCLDAMRRLRGLRVTTDVLVSTQVGKRLRYLTKHPHSDIQSMATDLLGYWKKVVIEEGKKNGTTENVGSTNSAARAEKAQPMKVDKSSASGSVKPEKREVNVRGQKPESIKVEKITNNDSKNQQVKVERAPKEATRTPDTKKPSSVPNGPPKLTSLVKCNDPTRDKIRELLADAFSRVHGETSKDDREEVRNILDEVDARDPFRVAVTVESALFERLGRSTGAHKAKYRSIMFNLRADNNTDFRRRVLLGQVRPERLVDISPEEMASDARKLENKQIKEKALFDCERGGAPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >ORUFI03G40370.1 pep chromosome:OR_W1943:3:32420184:32423358:-1 gene:ORUFI03G40370 transcript:ORUFI03G40370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGSDGGCRDGGGSEEFRRPRPSKVAAGDLVEPARCSDAAASPASWIDKKLLVDPKNLFIGSKIGEGAHGKVYKGKYGEQIVAIKVLNNGTTPEEKATLEARFIREVNMMCKVKHDNLVKFIGACKEPLMVIVSELLPGMSLKNYLNSLRPSQLDIHTAIGYALDIAHAMECLHANGIIHRDLKPDNLLLTANRKKLKLTDFGLAREETVTEMMTAETGTYRWMAPEVLYSTVTLQRGEKKHYTNKVDVYSFGIVLWELLTNKMPFEGMSNLQAAYAAAFKQARPPLPEETPQELVFIVQSCWVEDPAMRPSFSQIIRMLDAFLMTIPPPPPSESNEDVESEETASSLNGKNSAVSSIVSRATSKLSVVRHLFASKKAGNGRT >ORUFI03G40380.1 pep chromosome:OR_W1943:3:32425341:32431211:1 gene:ORUFI03G40380 transcript:ORUFI03G40380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWGKKVGQRWRRSRSGSISGVGVGLDGSAKGAGGSGSSSSLPIGTLALLGAPPLLCGEFLGALGENLVQFLGRMTTASFGVATLPQKARLNKRPKLGTLARVERRKEIR >ORUFI03G40390.1 pep chromosome:OR_W1943:3:32432158:32434680:1 gene:ORUFI03G40390 transcript:ORUFI03G40390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKRRNGGRNKHGRGRGHDKAINRFLVRNIVEQAAVLRYARGLCHVLPKLYAKVHHCVSWNAIHAHIVSVRSCENRRNCELPQHFREVVLRLLHQLLPVPEFQLCAP >ORUFI03G40390.2 pep chromosome:OR_W1943:3:32432158:32434886:1 gene:ORUFI03G40390 transcript:ORUFI03G40390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKRRNGGRNKHGRGRGHDKAINRFLVRNIVEQAAVLRYARGLCHVLPKLYAKVHHCVSWNAIHAHIVSVRSCENRRNCELPQHFRCRTFTVIGRPPTV >ORUFI03G40400.1 pep chromosome:OR_W1943:3:32435781:32442253:-1 gene:ORUFI03G40400 transcript:ORUFI03G40400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDADADELRLVLYLPMDMAKGHGARRPAHLLPPLAGAPPPPPPFRPPPLCAAASNAEARVSFRGWLGGPRHWDLWVAKLRPLHDRLWRHLGILDAIVASTYRFKRDAALVLHLASFWSPATSSFAFPWGEATVSLLDVALLGGLPANGGPVLAPLPSHWRADEAALNAVRLGFNRSACKKAHHSAWISHFLTGPAADPVVEHAAFLSLWLTRFVLPGHPESTMRQSLFPLAVRMARGDRVALAPAVLASIYRDLRELKAFLSSSSAAATGELLSPLSVYAPFYLLQLWAWERFPAIRPAKANPIKAGEPRAARWNDVSNKIDPAVLRKALNSGSSFVWQPYTISVQPCGWVRGCHVSGNDELTSLAHCLRACELVGMDCIEQYLPHRVAMQFGLDQDVPGDVQRANDDCRVAWETYHLEGKNVAFFIPQSEPGVTARYAEWWRQPLPHSHLDVGAASTVVESKVSKRKVKKTLVAIEAEEEKERKLKKARVLPSNNDKKRKLQELYDAKLSDCLAAARDEGAGSCDRGESEKALLSHVETINDDIVLLVPRKQTAAPDVNLIKDNMNLATGDRGSLEATPPVGMEEKDEMPKAQQTCNVEHPTHQPYCQETKAAPSTEITKGESSGIVLANVNELDRGRTPDVPNWHEEAVPSEAMEKEESRYHLSDVVCNDESIKEVVTVDKPLDVSSEPEGGATAMPEEKMLNVSVDMSLDATDRPEEGTTIMLELENEANLSVDESCRVSNSPEEVSATVGGDKEEKVAIDEADEGNGTSEDVGTAALGSICSIEVAPGSKQEVDTGVINISHDAVTLPDEVLPVQQPNDGETTRRDFVTEEQRKACCIEEIGGENSQMVEKASKQKPHEAHQVNMVECGEDINPMKNDNEDEHDKIPQPLENAISDSNMTSVFSGVPEAENADTDKGLFLAKKDSEDMPKEVVGAEGSQQDQFTTSTHEVVDEHNEVAEVEPVLAEPNMHGQCDGEKPDEGKVLREKDTEENAKNALGVEQIERQDKALTESCIHEVEQVDGQSERLTRTGVEEKHAEITQEQENEFDNGVMETSKVSVNGAMPYSTASIQSEGEQKEASDKDMAEKQSNQDIESIDERDSLSDAAATVFEGADDHITLDTNEEATRKHTHDCGSICENKGTQMFQEGCKLDSGVKSDIDIMEIETQATEGIQNQETMELDKQEMEEEQNPGTTIENNKMKIPEEDASTFSCGEIQTDPHSTDVNEVEFTTGTQNDEHLDIKEELIMDRRLDCEIKYGNERPLEEANTFGGCDGGVDNIGVALDVNEENSIKEMQNQEIRSTEEASEFQAGETNLNNGAENVDEKRILEDASTLDIRDSTNAVVNGAESTEGTQILCAFNTEKELEVQEKQDQGTENENRNQNLVNTDSFECGVEPHGTLKTTHETLPTVQVVSTSGDTFSSKNEQNDVPWEDQNKSDAEVSESNQTAPKESERTIPPEHEDREEEKEENMENKIEISIGRENDEVSEQETSTEECIVAPSGMDDRDENNKGWAEESVQTYGRYASDPVNTSWQPSKFGKPGMEESRRTHSGRSIYLRDIKESQGRTRSETSNKLHINSAGYYSRHAVPEPVSVTREIKVPLYDSTRASGRDRGPELVVTGPPEETSRWRQEQYALQILEDVQNARVAEKTRMEMEIRILKAQVSSMQRQAMNLDRVGDFAVQRRFQKRVHLKKFRAIQPSSSTISYVEDNLLGLRRLNTLPQMEDSIPDCIEQD >ORUFI03G40410.1 pep chromosome:OR_W1943:3:32444583:32448301:-1 gene:ORUFI03G40410 transcript:ORUFI03G40410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHVRIREKTSIASLLSSRRNWSGGGVDGGKKSGKREGAKTKGTRTTGRNKSEKREEHKEARGNAGQRRRWSDTNGQSDAKKNASQAVRRKRKGDHDSWNGDHSDTPYSKSKLTRNGPSTMTRGKASARKGDRFRSETLDEDDLHSRKRSNSMVSSVSRGGRSNSMVSGITREGKSQSVFSRNAEASSKGKKFDTPTRVSRQKEAATDANLDDHGAESKKSDDSGQIADEKPRPRRTRVLDKTGKKIRVANKDPVSDIEETLPPKKRKRMKLDPYDTSNKRLEDSTAKQDVCSPEKIPEKSPPEETETSINAKFRAIQPSSSIISYVEDNLLGRRRLNEIKNAGYNVKLSAPLDNVPFSTSPERERIEENVFRNKLEFFAAAKISSSFPPPTLPEIAFAGVSNVGKSSLLNALTRQWGVVRTSDKPGLTQTINFFRLASKLCLVDLPGYGFAYAKDEVKDSWQELVKEYVSTRVGLERVCLLVHTKRGMKPLDYELVDLMERSKTPYQIVLTKTDLVFPIDVARRAVEIQESLKKNKSVVRPVMMVSSKTGAGVRNLRGVLGKIARFIKP >ORUFI03G40420.1 pep chromosome:OR_W1943:3:32448330:32448972:-1 gene:ORUFI03G40420 transcript:ORUFI03G40420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESPWPLDRPNRVAHKAFCSCRLVEFGHSPTRTPQPLPPPALGLLPRRRRAPPTPPAVRRAGQVI >ORUFI03G40430.1 pep chromosome:OR_W1943:3:32451086:32455155:1 gene:ORUFI03G40430 transcript:ORUFI03G40430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGGGHGVRTPANFPPRQKARLPRGPVHEKSLEQQKKGPSSSSPSVSSNKSPLQLAAAIVQPQKPLESPQHMVTPVRLQESPGPRTIPCSSGSVGSGSGAAPFDICIKRDDKCSIKLSRSLLEINREKRREREQLSKEAAPLQYLRPGMVLLKKFLKHDDQVDIIRRCQKLGIGSGGFYTPGYRDGGKLSLQMMCLGKNWDPNSRSYGDTRPFDGAQPPSIPEVFSKIVKDAIQASNEFLRQKARPANDVEELPPLSPDICLVNFYTSSGKLGLHQDKDETKPSLHKGLPVVSFSLGDTAEFLYGDVNDVDKASKVDLESGDVLIFGGKSRLIFHGVSRIKPKTAPNWLTDEAKLRPGRLNLTFRQH >ORUFI03G40440.1 pep chromosome:OR_W1943:3:32455224:32458418:1 gene:ORUFI03G40440 transcript:ORUFI03G40440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSSPQEKVKHSPSRSHLFTSNRTAALRRRWSTATALHPAAGISPATAGDGRGAAPVRALSLRSMVGLVALSLDTVATKDEFVRLCATGRLRDALRRPFRGVLWSDAARLFSHLFRACRALRPLRQLHAFAATSGAATDRFTANHLMLAYADLGDLTAARELFERIPRRNVMSWNILFGGYIKNGDLGGARKLFDEMPERNVATWNAMVAGLTNLGFDEESLGFFLDMRREGMHPDEFGLGSVFRCCAGLRDVVTGQQVHAYVVRSGLDRDMCVGSSLAHMYMRCGCLQEGEAVLRMLPSLSIVSCNTIIAGRTQNGDSEGALEYFCMMRSVGVAADVVTFVSAISSCSDLAALAQGQQIHGQVMKAGVDKVVPVMTCLVHMYSRCGCLGDSERVFFGYCGSDTFLLSAMISAYGFHGHGQKAIELFKQMMNGGAEPSDVTFLALLYACSHSGLKEEGMDCFELMTKTYGMQPSVKHYTCVVDLLGRSGCLDEAEALILSMPLTPDGVIWKTLLSACKTQKNFDMAERIAKRVIELDPHDSASYVLLSNIRATSRRWGDVSEVRKAMRDNNVRKEPGVSWVELKGHIHQFCTGDESHPRQKEIDECLEEMMAKIRQCGYSPDMSMVLHDMEDEEKEVSLSHHSEKLAIAFAFLSLPEGVPIRVMKNLRVCDDCHLAIKLMSQDKDDENKPKP >ORUFI03G40450.1 pep chromosome:OR_W1943:3:32459624:32460193:-1 gene:ORUFI03G40450 transcript:ORUFI03G40450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAHFIIEVYFNTRSPQRRRAERKPTTRMAAAAAPTVVVVDARHCAAEATAFAVARVIGTTERDFAVTDAAGAVVMRLEGAVFSLRKRTLLLDAARRPVLTMTDSTYLMSSMWHAFRGDSTSRRSVLFSVVKESVVQVRTKIFVYLGGYRSADQVPDFVIGGNYYGGACTVFAGNSDSDADAAIAQVS >ORUFI03G40460.1 pep chromosome:OR_W1943:3:32462029:32462835:-1 gene:ORUFI03G40460 transcript:ORUFI03G40460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAVPALAVVDARFVAGDAAALSVAKTLSLSGSDFTVTDAATGAVVLRVDGVLFSLRRRCLLADADRRPVLTVQESVRATLDSPPLLSSLLPHRANLIGGGELRWVQAMVMNRRWKVFRGESTSRRDLLFTVVKPSAIQLWGSTKVSVFLASNDAEQASDFRVTGSYHDGACAVSLGDSDTVIAKASKATPLSPPCSNHFRHFIEHLVGVLLDLTQKLFRAQIDRRFSVASALLGKNAYSVTVNAGIDYAFIVALVVVLDEMHFQP >ORUFI03G40470.1 pep chromosome:OR_W1943:3:32468322:32477794:1 gene:ORUFI03G40470 transcript:ORUFI03G40470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYQIRNEYGLSDPELYAPGEKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEDVMATASRGHGLMLRLRQLEAEFPAVEKAIISQSDHSNYPHDDGVEWHTNLQIDQNMITQGDMPRFILDSYEECRGPPRLFTLDKFDVAGAGASLKRYSDPSFFKTEHSSDMIETDAVIEKKPRKIKKKALRWRKGETLESLLIANSESHTTSKDRSSRKVPPRTTKLKYRYPRESDHKNISRICREHLQEIISSQQKIFSNYSSRYYHPKFRLTESSETASSFGEIDNFSARAQSSAKLELTKVVPINEFDTKGIAPTHINGSDCLEALEADDRQLQATQHEPDKVEDVCKRSLVEQNAMLSNSDRMQSVQEENLLSAMVPADQNDDRCRPDDTGSDQENFVDALNNMESEGEAHAEMKIKKDPGAKMELDELNFHRDEGENERHTEFSELGHVIDSSPWLNDSYNGGEPNHAISSNTNFSGVDCTNDEEPSNDVDLMEMDVSSSSSVFSDDNDVFRTNGNMNGFQQYQEASLSNDHHAVIAHSSDKQSSQKSSGLDGSSIESNDFIEKPFHSLEDDKNFAPDGTSVILGRPNDVSQCEEEIEVGNADDSLLQPTISNQEVHRSNNQLEGVAMHASISSGKVASFPDMDPGMCTKDLELDNVLVPKETVANTPPTGLGTDHIHEHVDELDSGVAPINSSIQSDSTYESDDDDMAEDLNSLPEDDLYKHDVEDLYKHVLEDDGIIALGKGPCSTRANMHQEDPMEVSDVRGDFSNGGEEDLADEVVVISSRDLNDEKKPSLAEVPLACGDASLLDSSASCLEHDESTETGEIAKSDEVLVNVEVAEESITGRFTDDMTPFQEDLPDGAKYSEDAEFLANPRVDNSRHDVQLQSSSPCREELETVKAPCENLCALDESREHIFEKSVLQINNLPQHIETKNTGEACSDIDDIQHLSALHCPKNPVCQEELPDETNLSADVQYHCDVEKGGAVILNSKMVEEQPENIDLVREPRAQDSFGTNPFMDPGYKANHALADPCPSYQPCFSEEEQDFISELLIPHGNMGIEDLNPVPVADSLWEPATPPDEVPLPSEVMTEEDFRSFCHEYHEMDLTATPESIDDKPASDSNVVSSSLVTSESEFLYCVSAVRTGVDQEESRDAPDDTLMHFSAKADPDDKAANSDLKSDEPFIDEKIHELGVPSVPMELEVEQHALHEVDSHGDSQLLDNDMIDETCSSPSGNSIAVKDKQETCANLVSRAFINERTDELEVPVSNSVLLEPSEEVHDSDEYNYQDVPWSSTDEGRDEVDAHPLSKRIQTQGSEALVLGELDSRAVPSCSVNEMADHVDAPPLSTVLEAEQEPEDCISGEHNSQVTKSSLVDEKIGELDDASPLSNTLLAEMEREVCVPGKSASQIASCSPTPSNEKIDELNAPPLSSSGLIELESEDSVSGDLDSQIIPCSSPNDKTNEPDGATSTHVLPVELEQEVCSFPELDSLVAPCSLNDDKVCELDEPPCKQLESENGSYCLPQVDCQIEPCYSESVVLSEASTMSSANAMPSTEETYRLSSPVPPPNEPFSNVSYEDPQKPPPLPPLLWRLGKPRLGIASTKGHMLEPERGKGPVLHTSDAGMDNMPGCLSGMTESIEPVSSQEIKERHLDPILDNNERGVEFRRLATPPTANDVAVTEHVQLFSDACENIKHQERVSSSETEAEEHQNGTGITDVMDSHPPKPLFLVPSISQQGLQGSVFPSDTSDNGEHSSYTSRAVSEDEKTVDDHNAACAMDLHITSSSASSHVSENGCNQQSHGESLPVTSVDKVHTSDASCEDNKLKNHFITSEVCSDATNLSASGLLTEEENIHNVEDQYEGPLPSEESSGCLDYPHDDHNSEKEDIHQPDGYAASPGNNNHFDSSHEGGYLHAEQPPVMGWTVRPQMLHPNYGISMEENQFEPKVEDHLLIKKPVSIRNIPRNPLVDAVAAHDRSTMRKVSELVAPTDKSKPNERNLLLEQIRNKTFNLKPVSSAKQPTIRTPPRASTRNLKVAAIIEKANAIRQAVGSDDEDGDNWSESSDT >ORUFI03G40470.2 pep chromosome:OR_W1943:3:32468322:32477794:1 gene:ORUFI03G40470 transcript:ORUFI03G40470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYQIRNEYGLSDPELYAPGEKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEDVMATASRGHGLMLRLRQLEAEFPAVEKAIISQSDHSNYPHDDGVEWHTNLQIDQNMITQGDMPRFILDSYEECRGPPRLFTLDKFDVAGAGASLKRYSDPSFFKTEHSSDMIETDAVIEKKPRKIKKKALRWRKGETLESLLIANSESHTTSKDRSSRKVPPRTTKLKYRYPRESDHKNISRICREHLQEIISSQQKIFSNYSSRYYHPKFRLTESSETASSFGEIDNFSARAQSSAKLELTKVVPINEFDTKGIAPTHINGSDCLEALEADDRQLQATQHEPDKVEDVCKRSLVEQNAMLSNSDRMQSVQEENLLSAMVPADQNDDRCRPDDTGSDQENFVDALNNMESEGEAHAEMKIKKDPGAKMELDELNFHRDEGENERHTEFSELGHVIDSSPWLNDSYNGGEPNHAISSNTNFSGVDCTNDEEPSNDVDLMEMDVSSSSSVFSDDNDVFRTNGNMNGFQQYQEASLSNDHHAVIAHSSDKQSSQKSSGLDGSSIESNDFIEKPFHSLEDDKNFAPDGTSVILGRPNDVSQCEEEIEVGNADDSLLQPTISNQEVHRSNNQLEGVAMHASISSGKVASFPDMDPGMCTKDLELDNVLVPKETVANTPPTGLGTDHIHEHVDELDSGVAPINSSIQSDSTYESDDDDMAEDLNSLPEDDLYKHDVEDLYKHVLEDDGIIALGKGPCSTRANMHQEDPMEVSDVRGDFSNGQELPVLTETASPQGELVGGGELPLLTETASPQGGEEDLADEVVVISSRDLNDEKKPSLAEVPLACGDASLLDSSASCLEHDESTETGEIAKSDEVLVNVEVAEESITGRFTDDMTPFQEDLPDGAKYSEDAEFLANPRVDNSRHDVQLQSSSPCREELETVKAPCENLCALDESREHIFEKSVLQINNLPQHIETKNTGEACSDIDDIQHLSALHCPKNPVCQEELPDETNLSADVQYHCDVEKGGAVILNSKMVEEQPENIDLVREPRAQDSFGTNPFMDPGYKANHALADPCPSYQPCFSEEEQDFISELLIPHGNMGIEDLNPVPVADSLWEPATPPDEVPLPSEVMTEEDFRSFCHEYHEMDLTATPESIDDKPASDSNVVSSSLVTSESEFLYCVSAVRTGVDQEESRDAPDDTLMHFSAKADPDDKAANSDLKSDEPFIDEKIHELGVPSVPMELEVEQHALHEVDSHGDSQLLDNDMIDETCSSPSGNSIAVKDKQETCANLVSRAFINERTDELEVPVSNSVLLEPSEEVHDSDEYNYQDVPWSSTDEGRDEVDAHPLSKRIQTQGSEALVLGELDSRAVPSCSVNEMADHVDAPPLSTVLEAEQEPEDCISGEHNSQVTKSSLVDEKIGELDDASPLSNTLLAEMEREVCVPGKSASQIASCSPTPSNEKIDELNAPPLSSSGLIELESEDSVSGDLDSQIIPCSSPNDKTNEPDGATSTHVLPVELEQEVCSFPELDSLVAPCSLNDDKVCELDEPPCKQLESENGSYCLPQVDCQIEPCYSESVVLSEASTMSSANAMPSTEETYRLSSPVPPPNEPFSNVSYEDPQKPPPLPPLLWRLGKPRLGIASTKGHMLEPERGKGPVLHTSDAGMDNMPGCLSGMTESIEPVSSQEIKERHLDPILDNNERGVEFRRLATPPTANDVAVTEHVQLFSDACENIKHQERVSSSETEAEEHQNGTGITDVMDSHPPKPLFLVPSISQQGLQGSVFPSDTSDNGEHSSYTSRAVSEDEKTVDDHNAACAMDLHITSSSASSHVSENGCNQQSHGESLPVTSVDKVHTSDASCEDNKLKNHFITSEVCSDATNLSASGLLTEEENIHNVEDQYEGPLPSEESSGCLDYPHDDHNSEKEDIHQPDGYAASPGNNNHFDSSHEGGYLHAEQPPVMGWTVRPQMLHPNYGISMEENQFEPKVEDHLLIKKPVSIRNIPRNPLVDAVAAHDRSTMRKVSELVAPTDKSKPNERNLLLEQIRNKTFNLKPVSSAKQPTIRTPPRASTRNLKVAAIIEKANAIRQAVGSDDEDGDNWSESSDT >ORUFI03G40480.1 pep chromosome:OR_W1943:3:32478690:32479472:-1 gene:ORUFI03G40480 transcript:ORUFI03G40480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGPPAGDGRDDASGPGPAGAAAAADGSVPVSRSIVERWKMEPAAARARLLLRAVAWLFSLLALVVMASNKHGHGGAQDFDNYPEYTYCLGISIIAVLYTTAQVTRDVHRLSWGRDVIAGRKAAAVVDFAGDQVVAYLLMSALSAAAPVTDYMRQAADNLFTDSAAAAISMAFLAFLAAGLSALVSGYNLAMEVLV >ORUFI03G40490.1 pep chromosome:OR_W1943:3:32482030:32483956:1 gene:ORUFI03G40490 transcript:ORUFI03G40490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAKAPLLEGRRGATPAQTLGNIVVSIVGTGVLGLPYAFRTSGWLAGALGVAGAGAATFYCMLLLLDCRDKLREQEEEVDHDGNYTYGDLGEKCFGAIGRYFTEVTIILSQTGGSVAYLVFIGQNICSVFPTTAAGGEEPPRRVSPAAVVLAVLLPAEAALSFIRSLSSLAPFSILADACTVLAVATVVREDVQLLAGRGGSPFQGRSALAGLWGVPFACGVAVFCFEGFCLTLALEASMSDRRRFRPVLLHAIAGVTAVYVCFGVCGYLAYGDVTRDIVTLNLPNNWSTAAVKIVLCVALALTFPVMMHPIHEIVEARLFPSAGGWARKRAAVQASRVAVVGAVTAVACFVPAFGEFAAFVGSTVCALLSFVLPALFHLRLVGAAASAWRRAVDGGFLLLGLAFAAHGLYTVVSGL >ORUFI03G40500.1 pep chromosome:OR_W1943:3:32499728:32502462:-1 gene:ORUFI03G40500 transcript:ORUFI03G40500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGSTPPRKNRSNVTGGEGGSLEEYAWRAAGEAAAAKKATRAWGVSVSLRSHFSSLVLLLLLLLVALAVSATTKNGDPAETPHAPPLPPPASIKLPSSSSSGGGECDLFSGRWVYDEAAYPLYRESACRVMSEQSACEKYGRTDLRYQHWRWQPHGCDLPRFDAEKFLGKLRNKRLVFVGDSLNRNQWASMLCLIDTGAPELHTSINSSRSLTTFKIHEYNASVDFYWSPLLVESNSDHPLRHRVADRTVRAASINKHAAHWTNADVLLITSLSLTDAWGSFDNPAAVVAAAAEEGDEYAVSKVIDSLRAYELAVRTWADWMEFHVDRARTQLFFMTMSPTHLRSDEWEDAAAAAAGGNHGCYGETEPIAAEEYRGTSGTDMAFARAVEAEARRLGERGVAVRLINVTRLSERRKDAHPSVHRRYWDPVTDEQRRNPSSYADCIHWCLPGVPDVWNQLLYAHIVS >ORUFI03G40510.1 pep chromosome:OR_W1943:3:32508305:32509888:1 gene:ORUFI03G40510 transcript:ORUFI03G40510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGASEQQRKKSKGRRRNRIFFVVDEKRLDTGGNGIYFVFTLNLKPMFADAGDDDDWATMRALPPPIAPFDSLERCAERLDFALVGSNVVAVSTQKRTLLYDTAAAVVSNGPELRHATIGGTALILLGTRLYAMDNRPCEPDPCFQVLLPPATPVAGSGGRRRRHWSWRALPDPPADFSMVRPAPAMIFCNTTAFVAAGARIWVSAPDRGTYSFDTTAHGNAMAWRKVGDWELPWVRRAVFVPELNLCFAMCRTRYCLCAFDVPSAEPAAAAPVTRYAWVEETYPRECLERGYFPHGPASLAYLGDGRLCIGWTIIVEFGEQYGYSNMPTRFALLLMAVQVVAVAGEEGQLRLVKHKARCYLMSNRAQEIFLLQPSLRLVELDGGVVVLGPEDAEQVLTPEQRVYCPRPPRPREEVGSPATVIIASPALLAAARVAARWRGGEEEVGGGALAWSPPWG >ORUFI03G40520.1 pep chromosome:OR_W1943:3:32511237:32512038:-1 gene:ORUFI03G40520 transcript:ORUFI03G40520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKRQIPSPEVEGWYVGMPKIYHHLIVQPVNKYAGDGVIRMNNNVIHQIAFGFEQAL >ORUFI03G40530.1 pep chromosome:OR_W1943:3:32514749:32515261:1 gene:ORUFI03G40530 transcript:ORUFI03G40530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSIPHPIARTLSLSHSHLRRIPNPAPARADAGNCSAISAPITTASPICPAGAGSPQSTSPPTPSSSNPSSGRNHVAADALCADLFLTVTDPRCRLALHRPPAGSPSVARSPPMHSTPSCSSPPPIPAARSLPTPSAPSCSSPRRHDDDDRLLSKSLLPTT >ORUFI03G40540.1 pep chromosome:OR_W1943:3:32521290:32524471:-1 gene:ORUFI03G40540 transcript:ORUFI03G40540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRALAVVALLAAAAAIAAAQGESPELLPFAVGAAPEGCDVGEGEWVFDEAARPWYAEEECPYIQPDLTCQAHGRPDAAYQRWRWQPRDCSLPSFNATGMLEMLRGKRMLFVGDSLLRGQYTSLLCLLHRGAPGGGGGSRSFETVDSLSIFRAKDYDATIEFYWAPMLAESNSDGAAVPDDRLIRGAPMNKHSSFWKGADVLDMSKDIVEMEAAEAYRLVLHQVTRWLEGNVDPKSARVFFVTASPSHAGAGGECYDQTTPVGAADAASYRGSTSRRMVQVAGEVLGASRVPVGVVNVTRMSELRRDAHTQVYREQRWAKPTAEQLAADPRSYADCTHWCLPGVPDAWNELLYWKLFFPARDEAI >ORUFI03G40550.1 pep chromosome:OR_W1943:3:32530027:32538467:1 gene:ORUFI03G40550 transcript:ORUFI03G40550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLNLGLMRPAVIPHLLDHGILPHEEDEVEDAEEFEEVFTVEDLIAEDDIFEEIVAEGFKADMDREASTVAVEYQDVSSLPKPGMLFKGCPPYNSIRNTSKAKIRMRPDSNSTPTGPPSSSELSLNQDQIRRKRIDAMLGKARHCSQEEQQESSMRIATRLHQVAAARRAVAPSPHEHVSLSRTKPTAHRPPPAMPYRSPHLTYTHPPA >ORUFI03G40560.1 pep chromosome:OR_W1943:3:32535294:32539425:-1 gene:ORUFI03G40560 transcript:ORUFI03G40560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPQHGGMAGHGGGRTRSPFLTSYALTLAFITFVSVLYFKDFSSTLHQPFLTRPPPHRRQIARPRAPSHHHGGGSSSGGGDVVPPFAVGAAAAAGCDVGVGEWVYDEAARPWYEEEECPYIQPQLTCQAHGRPDTAYQHWRFNATLMLEMLRGKRMMFVGDSLNRGQYVSLVCLLHRSIPESSKSMETFDSLTVFRAKNYNATIEFYWAPFLAESNSDDAVVHRIADRIVRGTALEKHARFWKGADILVFNSYLWWMTGQKMKILQGSFEDKSKDIVEMETEEAYGMVLNAVVKWVENNMNPRNSRVFFVTMSPTHTRSKDWGDDSDGNCYNQTTPIRDLSYWGPGTSKGLMRVIGEVFSTSKVPVGIVNITQLSEYRKDAHTQIYKKQWNPLTPEQIANPKSYADCTHWCLPGLQDTWNELLYSKLFFP >ORUFI03G40570.1 pep chromosome:OR_W1943:3:32541008:32547278:1 gene:ORUFI03G40570 transcript:ORUFI03G40570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGPHVIKLHDSAPALLGRAPMPPPPGPRDELPPPSAVLVHHPRGGLAPHPAVAALEDRLAVRDRDIQELLVDNQRFAATHVALQQQLIAAQHELRAVSIAATRARAEREDEVRALAEQAARIEAEARAAVAARAEVDQVHADVQVLAGARTELVDRLQDLRGQLARFQAEAGKTESVRAQVETMRREIQKGRAAVEFEKKAHADNLEQSKAMEKNMIAVASEIEKLRGDLANAEKRATAVTATAPVANPGFPTTYGNSEATYPAPAAYGNSETTYAPTYGNTEAAYASTYGSSEAAYAAAYGNSDAYSTNQAHTRTDGNPHYMAPPVHYAQYDSQHTNVQR >ORUFI03G40580.1 pep chromosome:OR_W1943:3:32548787:32558175:1 gene:ORUFI03G40580 transcript:ORUFI03G40580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRTPLPLVLLLVSAALLAAAPLSPAAETGAAAFDVRRHLSTVTRYDVARGSNSVSSAPSMSDECRVIHLNLVARHGTRAPTKKRIKELDRLAVRLKALIDEAKQGPESDSLKKIPSWMKGWESPWKGRVKGGELVSEGEEELYNLAIRVKERFQGLFDEEYHPDVYSIRATQVPRASASAVAFGLGLLSGKGKLGPVKNRAFSVLSESRASDICLRFFDSCETYKDYRKRKEPDVEKQKEPILEHVTSALVNRYHLNFTPKDVSSLWFLCKQEASLMNITNQACQLFNEAEVYFLEWTDDLEGFVLKGYGESINYRMGLPLLKDVVQSMEEAIVAKEENHPDGTYEKARLRFAHAETVVPFSCLLGLFLEGSDFAKIQREESLDIPPVPPQGRNWKGSVVAPFAGNNMLALYQCPGKTDGGKISRDQKSSYFVQVIHNEAPVSMPGCGNKDFCPFEEFKEKIVEPHLKHDYDALCKIRPVAREEPSSFSSRMSNFFLGLFSQKGYRVSAQDVKSEL >ORUFI03G40590.1 pep chromosome:OR_W1943:3:32557650:32560746:-1 gene:ORUFI03G40590 transcript:ORUFI03G40590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAQVASNPRTVEDIFKDYSARRGALVRALTSDVDEFFGLCDPDKENLCLYGLANGSWEVALPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDSWLVSVAFFFAARLNGNERKRLFNMINDLPTVYEALVDRKHVRDRSGVDSSGKSKHSTKRTGEGQVKRSRVVAEEYEDDDEEHNETFCGTCGGLYNANEFWIGCDICERWFHGKCVRITPAKAEHIKHYKCPDCSSSSSKKTRL >ORUFI03G40600.1 pep chromosome:OR_W1943:3:32561670:32563367:-1 gene:ORUFI03G40600 transcript:ORUFI03G40600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >ORUFI03G40610.1 pep chromosome:OR_W1943:3:32564584:32564940:-1 gene:ORUFI03G40610 transcript:ORUFI03G40610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELATVQSRWALGPGAHVLARLVDLAAVDAGADLHGGGGGELPLEGGVLVILDGVVGAAGEEPRDGGPPVAEAVTVQFLVVQSFAPCRSTSRHSASSSSGLHGPFILSHSASTPISK >ORUFI03G40620.1 pep chromosome:OR_W1943:3:32565710:32566401:-1 gene:ORUFI03G40620 transcript:ORUFI03G40620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATGPRFQRRRSTMWKRRGGRGGKGGGGRRRWLRGGRGDPAPGDAAPGDEAVAGAPSPSSSSATQRSPTTHAHGRCTPTSFPPRYASPGPSSPPPTLRRAAAGVSVLDLARTTSPSPSPTSPPPPMPTPSLLPRHRPRRCRRHGAALLSRLAPTGRPSQRQRSVRKRRGEREGGRERRLMWIV >ORUFI03G40630.1 pep chromosome:OR_W1943:3:32566782:32568110:1 gene:ORUFI03G40630 transcript:ORUFI03G40630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCSCSGGLIDWCASADDLCKDDAKNAHLVSLDVAAERLRLVHTLAAAVTGTTNVRKACSEARLGLGRVVVVSYVSAAMGVTPGRCAAAPSSAAPPRRHAAGHQALRPSALVEEKEEKEERSSKERRRKRKRYGGGRDKAREEIEYINCSSSSSI >ORUFI03G40640.1 pep chromosome:OR_W1943:3:32571149:32575461:1 gene:ORUFI03G40640 transcript:ORUFI03G40640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDLNVESPERSGTSSSSVLNSGDAGGGGGGGGGGGLFRFDLLASSPDDDECSGEQHQLPAASGIVTRQLLPPPPPAAPSPAPAWQPPRRAAEDAALAQRPVVAKKTRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFNLSDYEDDLKQMRNWSKEEFVHILRRQSTGFARGSSKFRGVTLHKCGRWEARMGQLLGKKYIYLGLFDTEVEAARAYDRAAIRFNGREAVTNFEPASYNVDALPDAGNEAIVDGDLDLDLRISQPNARDSKSDVATTGLQLTCDSPESSNITVHQPMGSSPQWTVHHQSTPLPPQHQRLYPSHCLGFLPNLQERPMDRRPELGPMPFPTQAWQMQAPSHLPLLHAAASSGFSAGAGAGVAAATRRQPPFPADHPFYFPPTA >ORUFI03G40640.2 pep chromosome:OR_W1943:3:32571149:32575461:1 gene:ORUFI03G40640 transcript:ORUFI03G40640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDLNVESPERSGTSSSSVLNSGDAGGGGGGGGGGGLFRFDLLASSPDDDECSGEQHQLPAASGIVTRQLLPPPPPAAPSPAPAWQPPRRAAEDAALAQRPVVAKKTRRGPRSRSSQYRGVTFYRRTGRWESHIWAYDRAAIKFRGLEADINFNLSDYEDDLKQMRNWSKEEFVHILRRQSTGFARGSSKFRGVTLHKCGRWEARMGQLLGKKYIYLGLFDTEVEAARAYDRAAIRFNGREAVTNFEPASYNVDALPDAGNEAIVDGDLDLDLRISQPNARDSKSDVATTGLQLTCDSPESSNITVHQPMGSSPQWTVHHQSTPLPPQHQRLYPSHCLGFLPNLQERPMDRRPELGPMPFPTQAWQMQAPSHLPLLHAAASSGFSAGAGAGVAAATRRQPPFPADHPFYFPPTA >ORUFI03G40650.1 pep chromosome:OR_W1943:3:32579599:32580301:-1 gene:ORUFI03G40650 transcript:ORUFI03G40650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTFPPYYPLPSHPQPLVQLLLSVSTLHMRPRCHQPVPVPPPPPQMAGLPSRMAGFWRRRRRSSAASCGRRGGRVPGGTGNGGAGRRQTATTARRCSSPFPDDGWKRKRGVVLVASEDYHALERWPVQQFRRRDAVSAGNHVGGNGAAQRKCLRRHHLRGQHYRVLHSIETSSIKW >ORUFI03G40660.1 pep chromosome:OR_W1943:3:32590911:32595247:1 gene:ORUFI03G40660 transcript:ORUFI03G40660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVDPHSYTDGDHPVTAKAALAFYLDFAASTIHASALLTLSAPHSGDLLLDTRALAVHSASTASDPPSPIPFSLADAADPVLGSALTLTLPPDTTSFLLTFSTSPSASALQWLSPPQTASSLPFVFSQCQSIHARSVFPCHDTPAARITFDLLLNVPTQLSAVAAARHVSRRDPLPSDHRGACDDALWCAPGRIVEEFQMEQSVPPYLFAFAAGGIGFRDLGPRTRVYAEGGDKVLDEAAREFAGVEEMVKVGESLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDAAGAQVVAHELAHSWTGNLITNKTNEDFWLNEGFTTYAERRIVEVVQGEERAALNMGIGWRGLNRMMERFKDNMEFTKLKPKMAGIDPDDVYSEVPYEKGFQFLWRIERQIGRPAFDEFLKNYISTFKFKSIDTETFLEFLKTNVPGIENQIDLQLWIEGTGIPPDAMEPESAIYKKICSLAAEFKSGKLPSEDEVADWSGQEWELYLENLPTDVEASQVTALDERYKLSESRDYEVKVAFLQLAIPTGCRCYFNEVEKCLKQVGRMKYLRPLYSSLARCSGEEKMLAHRIFSEAHEFYHPIARSVAESILSKHG >ORUFI03G40670.1 pep chromosome:OR_W1943:3:32595329:32596671:1 gene:ORUFI03G40670 transcript:ORUFI03G40670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDNHDSSNADKGFHGAYPSGYPGAYPLMQGYPNSPGQYPTPGGYPSAPPGQYPPAGGYPGAQYPPGGYPPSQGGYPPGAYPPSGYPQQPGYPPAGYPGHGHGPPMQGGGMLGGGHGAGASGYGALLAGGAAVAAAAVGAHMVRPGGGGGHGMFGHHGGKFKKGKFKHGKYGKHKKFGRKWK >ORUFI03G40680.1 pep chromosome:OR_W1943:3:32599029:32600928:-1 gene:ORUFI03G40680 transcript:ORUFI03G40680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFKDMKSLSCSSPASTAICPSLERQPMVRPHKGGAIAASPLCQVAGEPRTVHRQDCRRGQQQQQHKAAVANGGELVSPAGSSRYLLSSRAAAAEEIQEVEASAAPAVDAKVVREEQAGSDAKNTLTQEQVVVLKVSLHCKACAGKVKKHLAKMEGVTSFNIDFAAKKVTVVGDVTPLGVLNSVSKTDESVCDYISALSVVIA >ORUFI03G40690.1 pep chromosome:OR_W1943:3:32607654:32608622:-1 gene:ORUFI03G40690 transcript:ORUFI03G40690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSEVEVDGVVFPPVARPPASARTHFLAGAGVRGVEIAGNFIKFTAIGVYLEEGAAVPALAKKWAGKSADELAADAAFFRDVVTGDFEKFTQVTMILPLTGEQYSDKVTENCVAAWKAAGVYTDAEGAAADKFKEAFKPHSFPPGASILFTHSPAGVLTVAFSKDSSVPEDAVAAAAIENRALSEAVLDSIIGEHGVSPAAKRSIAARVSQLLKAESTGDVAPAEPAPVSA >ORUFI03G40700.1 pep chromosome:OR_W1943:3:32612016:32614835:-1 gene:ORUFI03G40700 transcript:ORUFI03G40700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQGGGGGRRWTQRSCRTGGGGVVRRTVAAPAKEQGAARPTEGTGLSLVGKQRCIRGVSNFRSNTGSTTENDYICSDSRVFNHRKSSGNSTRCSAGEQLKNGVGSCHSQPSVLAELMHFDAAKAETSFTSSRRSKFSYNRKLLHGSSTTSSYGSPCQPMFNLSKHSTNPKPPPPLKNSARMSNFSYQLVRSAGNPKSAKYSLSEKMSHLLKPPYSSSHQNGNSTVGALKTRHNIAHFGGAINKLLKHEVHRQPTPSEGRHWQTLMDNALIRHNKLYCSEPRNEESTEQSWSSTDSESEKAVCFSSSGSIADLHASVSTDTSDSSDHSMSSLCLSVNDRWKMTFQKVHCALAANLDSVTNHKELEQPSPVSVLEIPDEDFSVTKSIKLDLHPESELVRCPSVESAAEVGEIGISDYSLGVDGLDASQNDEAIQLVEDIFEEFGDEEEREFSYVLDILIVSGIHGTAEDQLYKVCQSLDCPAGYDVFEKLEKKYMKVAEWSRSDRKLIFDMVNTILSEILAPCLDMHPWVKSARKMAPVWGSEGLLEKILQMLVQRREELGLSKTKPEKKALDRKWPDLSDCIDRVGRDVENMIKDDLLEEMLLDLFS >ORUFI03G40710.1 pep chromosome:OR_W1943:3:32616705:32620450:1 gene:ORUFI03G40710 transcript:ORUFI03G40710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTDIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLSETDSKPEDRTIKIKSEGEAEAAAAQKSACCGS >ORUFI03G40720.1 pep chromosome:OR_W1943:3:32620744:32621279:-1 gene:ORUFI03G40720 transcript:ORUFI03G40720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLTFGAEPEMVDSASIAQEALGGHRASHKRPRGGGAAAAVVAAAGEGEAGVALSLAAGTPPVKASRAHGCAVCGVEFALGQALGGHMRRHRVAGAEANEAVSARGGEPATERNPREARGVVGLDLNAAPADDTGLLLVDCL >ORUFI03G40730.1 pep chromosome:OR_W1943:3:32622658:32629593:1 gene:ORUFI03G40730 transcript:ORUFI03G40730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFAEPKTKYDRQLRIWGDQGQAALEKASICLLTCGPTGTEAMKNLVLGGVGSVTVVDVDAECLGQSRAKSVCSFLQELNDAVNAKFVEESPLALIDTNPSFFSQFTVVIATQLPERSLLKLDDICRKANIVLVAARSYGLTGLVRISVKEHNVIESKPDHFLDDLRLHNPWVELKQFAKSIDINDKDPVVHKHTPYIVILVRLAEKWADAHDGRLPSTRQEKNEFKALIREHMLNLDEENYKEAVESSYKVSVTPGISDEIRQIIDDSSAEVNSSSSDFWVLVAALKEFIANEGNGELPLEGTIPDMTSLTEYYVSLQKIYQAKAESDCLALEHHVKDILKRIDRDPDSISRAYIKTFCKNARKLRVCRYRSMEEEFSSPVLSEVQKYFTDEDYCFAMNFYVLLRAVDRLAANYNRYPGIFESEIDEDVPRLKTAAVSVMSEMGMNGAPLSEDLITEMCRFGGAEIHPVAAFIGGVASQEVIKELKQSKIDRLPREMF >ORUFI03G40740.1 pep chromosome:OR_W1943:3:32633811:32637227:1 gene:ORUFI03G40740 transcript:ORUFI03G40740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPRQEEEVSLALALSTDCSSAASDSSAAAAGGAARRKRARRRSVVATSGEGEFVCKTCSRAFPTFQALGGHRTSHLRGRSNGLDLGAIGDKAIRLHRAADKEHRDKHECHICGLGFEMGQALGGHMRRHREEMAAGGGGSSADDWVWRCDARPEGIAAEPPVLLELFAYSIRSVSFLTMTKHPRDGEVISLSLSLTLGAAADGGERKKPRRGSSPAASGSGDFVCKTCSRAFPSFQALGGHRTSHLRGRHGLALGLAAATAKETTKKVQEKPAAAAAICGLGFEMGQALGGHMRRHREEAAAAAAAVHAPPVLLELFV >ORUFI03G40750.1 pep chromosome:OR_W1943:3:32639190:32644308:1 gene:ORUFI03G40750 transcript:ORUFI03G40750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATSGVAVSEECKARFQELRAGRAHRFVVFKIDDAMRQVVVDRVGPRDAGFDELTASLPADGCRYAVYDHDFTVSDATATAAAGEGGEAPRSKIFFVSWSPAAADVRSKMVYASSNEGFKKELDGVQIDLQATDPSELTLDRLAFPFCCCCRCAAASAAAADTAPLPFELRNDPTDSIDLMGISLANSSSGVAIHDDCKLKFNELQSKRMHRFITFMMDNKGKEIIVDKIGDRTTSYEDFTSSLPEGDCRFAIYDFDFLTAEDVPKSRIFYILWSPDNAKVRSKMLYASSNERFKKELNGIQLEVQATDAGEISLDALKDREDNMRTQNNEDNSTQQSGGRSKLEGVK >ORUFI03G40750.2 pep chromosome:OR_W1943:3:32639190:32644308:1 gene:ORUFI03G40750 transcript:ORUFI03G40750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANATSGVAVSEECKARFQELRAGRAHRFVVFKIDDAMRQVVVDRVGPRDAGFDELTASLPADGCRYAVYDHDFTVSDATATAAAGEGGEAPRSKIFFVSWSPAAADVRSKMVYASSNEGFKKELDGVQIDLQATDPSELTLDANHLGAVDAAATPSTQDTRPKAPARCSSSSVSLSPFAAAAAAPPPPPPPPIPRPSRSSSEMANSSSGVAIHDDCKLKFNELQSKRMHRFITFMMDNKGKEIIVDKIGDRTTSYEDFTSSLPEGDCRFAIYDFDFLTAEDVPKSRIFYILWSPDNAKVRSKMLYASSNERFKKELNGIQLEVQATDAGEISLDALKDREDNMRTQNNEDNSTQQSGGRSKLEGVK >ORUFI03G40760.1 pep chromosome:OR_W1943:3:32644603:32647218:-1 gene:ORUFI03G40760 transcript:ORUFI03G40760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVCRCYNESIKEQEQQQEQKQEQEQEQEQEQESQQEQEPKPKPSARKAADLICKNCRRPGHFARDCQSTATCNRCNLPGHFAAECTSETVCWNCKQSGHIATECKNDALCHTCSKTGHLARDCPSSGSSKLCNKCFKPGHIAVDCTNERACNNCRQPGHIARECTNEPVCNLCNVSGHLARNCRKTTISSEIQGGPFRDITCRLCGKPGHISRNCMTTMICGTCGGRGHMSYECPSARMFDRGLRRF >ORUFI03G40760.2 pep chromosome:OR_W1943:3:32644603:32647218:-1 gene:ORUFI03G40760 transcript:ORUFI03G40760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVCRCYNESIKEQEQQQEQKQEQEQEQEQEQESQQEQEPKPKPSARKAAHFAAECTSETVCWNCKQSGHIATECKNDALCHTCSKTGHLARDCPSSGSSKLCNKCFKPGHIAVDCTNERACNNCRQPGHIARECTNEPVCNLCNVSGHLARNCRKTTISSEIQGGPFRDITCRLCGKPGHISRNCMTTMICGTCGGRGHMSYECPSARMFDRGLRRF >ORUFI03G40770.1 pep chromosome:OR_W1943:3:32650100:32654312:-1 gene:ORUFI03G40770 transcript:ORUFI03G40770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAWIARTIITMASSSKTFQSSSKPGSDRKYQGALVASPAKAISPKTVKQIVPGKHLILSGESTSHLASFLVKVIALEVVRRFSKARCPFIWNSIQALQVLGYPPFRWIQQWAPLKFIVQGIQKLSTPLLFLSVTTTLCDRSSKRNDELGSNTEAPDVPSESDETASTSGIRDVADGTKDTEPENWLILLFKELEKQGITLPERFNEDELRRFYIAANGDFSSLLSSVKKTIRWRETFHILTLHELEKWSHLVFWHGFDTMLRPCLIVRLGLACSSLAPSDRPRFGQAVVSQIDNGIVHLTNEEDPRITVLLDCHGISPFRFPMQMMRSFITIVQENYPNRLGVLFIVRLPPVVRVIAQTLIQVLKPSTKQKLRFEGESYKKTLAEFLQIVPTFLGGKCSCSQCEKPRNISVIQAGEGSKSQPRQITIDDGSPVASMDFDEAELPSPYSCENAIRAAIIGVLMLWVFIAFLAGMNDPESISSHAP >ORUFI03G40770.2 pep chromosome:OR_W1943:3:32650100:32653594:-1 gene:ORUFI03G40770 transcript:ORUFI03G40770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKTFQSSSKPGSDRKYQGALVASPAKAISPKTVKQIVPGKHLILSGESTSHLASFLVKVIALEVVRRFSKARCPFIWNSIQALQVLGYPPFRWIQQWAPLKFIVQGIQKLSTPLLFLSVTTTLCDRSSKRNDELGSNTEAPDVPSESDETASTSGIRDVADGTKDTEPENWLILLFKELEKQGITLPERFNEDELRRFYIAANGDFSSLLSSVKKTIRWRETFHILTLHELEKWSHLVFWHGFDTMLRPCLIVRLGLACSSLAPSDRPRFGQAVVSQIDNGIVHLTNEEDPRITVLLDCHGISPFRFPMQMMRSFITIVQENYPNRLGVLFIVRLPPVVRVIAQTLIQVLKPSTKQKLRFEGESYKKTLAEFLQIVPTFLGGKCSCSQCEKPRNISVIQAGEGSKSQPRQITIDDGSPVASMDFDEAELPSPYSCENAIRAAIIGVLMLWVFIAFLAGMNDPESISSHAP >ORUFI03G40780.1 pep chromosome:OR_W1943:3:32657092:32662017:1 gene:ORUFI03G40780 transcript:ORUFI03G40780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDIKMWPYKVIPGPGDKPMIVVQYKGEEKQFSAEEISSMVLIKMREIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLFEGVDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQDAEKYKSEDEEHKKKVDAKNSLENYAYNMRNTIQDEKIASKLPAEDKKKIEDAVEQAIQWLDNNQLAEVEEFEDKMKELEGLCNPIIAKMYQGAGADMGGGMDDDAPAAGGSGAGPKIEEVD >ORUFI03G40790.1 pep chromosome:OR_W1943:3:32666576:32667690:1 gene:ORUFI03G40790 transcript:ORUFI03G40790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYVSTASSPRSASKWRQRRRRRRRRRWRWSGRAPPPPPXALRNVPVGGGCRRNKRTKSSKSSSSTSAAGSASATGGTSSSTSSTATGGSSSAAAAAAMMPPQAHAQLPFLASLHHPLGGGDHYSSGASRLGFPGLSSLDPVDYQLGGGGAAAAIGLEQWRLPQIQQFPFLSRNDAMPPPMSGIYPMFDAEAAADAAGFAGQLLAGTKVPGSSGLITQLASVKMEDSNAQSAAMNSSPREFLGLPGNLQFWGGGNGAGAGGNGGGATGGSGAGVAPGGGGGGGGWADLSGFNSSSSGNIL >ORUFI03G40800.1 pep chromosome:OR_W1943:3:32682952:32684384:-1 gene:ORUFI03G40800 transcript:ORUFI03G40800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPSVPPGRHSYLAATPLRRLNPSAAAAAVAIAVLTLRRRKLTGTVRWRRRRRRAALFVGLRSIGYGLMAMTIGICK >ORUFI03G40810.1 pep chromosome:OR_W1943:3:32686118:32689321:-1 gene:ORUFI03G40810 transcript:ORUFI03G40810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLACFCCGGGAGGRGGRHVAPAALPSDPAYDEGLGHSFCYVRPDKFVVPFSADDLVADAKAAAAAEGEATTFRAISGAALSANVSTPLSTSVLLLMPEESSASATASSGFESSESFAAVPLQPVPRFSSGPISAPFSGGFMSGPLERGFQSGPLDAALLSGPLPGTATSGRMGGAVPALRRSLSHGGRRLRNFTRALLARTEKFQDSADLGSPDAAAAAVAACGGDPCGLQWAQGKAGEDRVHVVVSEERGWVFVGIYDGFNGPDATDFLVSNLYAAVHRELRGLLWDQREQNVQHDQRPDQPGSAPSTTASDNQDQWGRRRRTRRSRPPRGADDDQRRGEGEGCSNLKPPTQQRLRCNSENDHVAVLKALTRALHRTEEAYLDIADKMVGEFPELALMGSCVLAMLMKGEDMYIMNVGDSRAVLATMDSVDLEQISQGSFDGSVGDCPPCLSAVQLTSDHSTSVEEEVIRIRNEHPDDPSAISKDRVKGSLKVTRAFGAGFLKQPKWNDALLEMFRIDYVGSSPYISCNPSLFHHKLSTRDRFLILSSDGLYQYFTNEEAVAQVEMFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPHGDRRRYHDDVSVIVISLEGRIWRSCV >ORUFI03G40820.1 pep chromosome:OR_W1943:3:32693838:32697644:1 gene:ORUFI03G40820 transcript:ORUFI03G40820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVCATEAAFAALHHGKASKQATGAQQQKMDWETTHRRWQFSSNSPTAGRPQPRSKGKSRADTIISQLNLQQEADQLMIESRISQSTSYTHSACKKSELEKLSGQRHQITDMHSRSTDN >ORUFI03G40830.1 pep chromosome:OR_W1943:3:32698810:32699043:1 gene:ORUFI03G40830 transcript:ORUFI03G40830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAVTSAVVGQITNRTISTVGRRLQAPATAHEKLQRLEVLLLRIRSAIESSSLLQWRQKLREAVEEGYRALRGFR >ORUFI03G40840.1 pep chromosome:OR_W1943:3:32699162:32699674:1 gene:ORUFI03G40840 transcript:ORUFI03G40840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALFASDQDMEKLNGSLERLGELSPDIQEFILEVSPKLEKRPSKRMKRSQHIEFDRRTKCSTLTLPIRKLQVSTEKTEESSEPIVMSLHRLLSTQTLPMQNLKISTKKTEETITSEELENTEVLVEDQKELAEMLEQVLICMGRAVKKADERNLDDFKWRTVGSCSAGG >ORUFI03G40850.1 pep chromosome:OR_W1943:3:32703154:32703540:1 gene:ORUFI03G40850 transcript:ORUFI03G40850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPFDNYDSVAQVDGVSLPEGADSWKLHELEERLQQALVDIGESVEIVPVLDIRRMEWLARWADVLKEAERQGYGVLDAVRAIADKEIMECDLEIDQLRSFVHSMESLAEDMEYFDSLVNLCPQKI >ORUFI03G40860.1 pep chromosome:OR_W1943:3:32704928:32706739:-1 gene:ORUFI03G40860 transcript:ORUFI03G40860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPVSSPPAPRLSPSAARAAEQHCLRLLAASSTPTSLLQSVAFLLKSGLHANPLVLTRLFAASASAAPALLDPLVAALLRPSVPLDAFLANTLIRAHATSPIPSVRLRAAAFFPLMLRGAVVPNKFTFPFLLKACAALPGSPDVGFQAHAAALKFGFATDQYVSNTLIHMYSCFGGGFLGDARNVFDRMPKESAVTWSAMIGGYVRGGLSSDAVELFREMQANGVQADEVTVIGVLAAATDLGALELARWVRRFVEREGIGKSVTLCNALIDTLAKCGDVDGAVAVFEGMQQRSVVSWTSVIDALAMEGRGKEAVRVFEEMKVAGVPPDDVAFIGVLTACSHAGMVDEGCGYFDAMKVEYGIEPKIEHYGCMVDMFGRAGMVERAMEFVRTMPIQPNPVIWRSLVSACRAHGRLELGESITRSLLHEYPAHEANYIMLSNVFALTQRWKEKSEIRREMSKRGIKKVPGCSIVELDGEVHEFIAGDESHPQYKDIYRMVEEMARELRRIGHIAATSEVLLDLDEEDKEGALQWHSEKLAIAFALLRTPPGTQVRVVKNLRVCSDCHAAIKCISQVYRREIVVRDRSRFHRFKDGSCSCKDFW >ORUFI03G40870.1 pep chromosome:OR_W1943:3:32709227:32711977:-1 gene:ORUFI03G40870 transcript:ORUFI03G40870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGWPLSSSVADLLPASLSLTLLLASLVVVVVLGAAAFFFEHIRKIGCTHSLERTEVSASFFEDPNSLNKVPCPSIYDPAEKYISLIIPAYNEEHRLPEALTETLNYLKQRSAVEKSFTYEVLIVDDGSTDHTSKVAFEFVRKHKIDNVRVLLLGRNHGKGEAVRKGMLHSRGELLLMLDADGATKVTDLEKLEAQVRALAKKAESSPALSNSPSQRLSDAEIAVFGSRAHLEKQALATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELVYLCKHLRIPMAEVSVNWTEIPGSKVRMTSILHMVFELLLIKVGYGLGIWKIYS >ORUFI03G40870.2 pep chromosome:OR_W1943:3:32709229:32711977:-1 gene:ORUFI03G40870 transcript:ORUFI03G40870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGWPLSSSVADLLPASLSLTLLLASLVVVVVLGAAAFFFEHIRKIGCTHSLERTEVSASFFEDPNSLNKVPCPSIYDPAEKYISLIIPAYNEEHRLPEALTETLNYLKQRSAVEKSFTYEVLIVDDGSTDHTSKVAFEFVRKHKIDNVRVLLLGRNHGKGEAVRKGMLHSRGELLLMLDADGATKVTDLEKLEAQVRALAKKAESSPALSNSPSQRLSDAEIAVFGSRAHLEKQALATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELVYLCKHLRIPMAEVSVNWTEIPGSKVRMTSILHMVFELLLIKVGYGLGIWKIYS >ORUFI03G40870.3 pep chromosome:OR_W1943:3:32709227:32711977:-1 gene:ORUFI03G40870 transcript:ORUFI03G40870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGWPLSSSVADLLPASLSLTLLLASLVHPLPPSAPFLLRLLALLIPSPRPSRAQVVVVVLGAAAFFFEHIRKIGCTHSLERTEVSASFFEDPNSLNKVPCPSIYDPAEKYISLIIPAYNEEHRLPEALTETLNYLKQRSAVEKSFTYEVLIVDDGSTDHTSKVAFEFVRKHKIDNVRVLLLGRNHGKGEAVRKGMLHSRGELLLMLDADGATKVTDLEKLEAQVRALAKKAESSPALSNSPSQRLSDAEIAVFGSRAHLEKQALATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELVYLCKHLRIPMAEVSVNWTEIPGSKVRMTSILHMVFELLLIKVGYGLGIWKIYS >ORUFI03G40870.4 pep chromosome:OR_W1943:3:32709229:32711977:-1 gene:ORUFI03G40870 transcript:ORUFI03G40870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGWPLSSSVADLLPASLSLTLLLASLVHPLPPSAPFLLRLLALLIPSPRPSRAQVVVVVLGAAAFFFEHIRKIGCTHSLERTEVSASFFEDPNSLNKVPCPSIYDPAEKYISLIIPAYNEEHRLPEALTETLNYLKQRSAVEKSFTYEVLIVDDGSTDHTSKVAFEFVRKHKIDNVRVLLLGRNHGKGEAVRKGMLHSRGELLLMLDADGATKVTDLEKLEAQVRALAKKAESSPALSNSPSQRLSDAEIAVFGSRAHLEKQALATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELVYLCKHLRIPMAEVSVNWTEIPGSKVRMTSILHMVFELLLIKVGYGLGIWKIYS >ORUFI03G40880.1 pep chromosome:OR_W1943:3:32714290:32714538:1 gene:ORUFI03G40880 transcript:ORUFI03G40880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVEVPTADAVADLLSPPEGRCRGLEKKVKFMTPSLALVRACLAANVDGTTAPWEEEQGSLVTAASGGRWSEKPELSKAAQ >ORUFI03G40890.1 pep chromosome:OR_W1943:3:32716160:32724312:1 gene:ORUFI03G40890 transcript:ORUFI03G40890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGWGARIKDGSPQPGASGMFSRSGAKDGSRLSGCSSRASSASMPPTAKTECEILQSANVKIFSFSDLRIATRNFRPDSVLGEGGFGSVYKGWIDENTLSACKPGTGIAVAVKRLNQESLQGHREWLAEVNYLGQFCHPNLVKLFGYCLEDEHRLLVYEFMPRGSLENHLFRRGSHFQPLSWNLRMKVALGAAKGLAYLHSSEAKVIYRDFKTSNILLDTDYSAKLSDFGLAKDGPVGEKSHVSTRVMGTYGYAAPEYLSTGHLTAKSDVYSFGVVLLEMMSGRRAIDKNRPQGEHNLVEWARPYLTHKRKIFRVLDTRLEGQYSHVGAQTVATLALECLSYEAKMRPSMEAVVTILEELQESSHVDRKPAAERRQESTTGTGKKAPTANASKNSGKPRRKSLGETREKIGPNPTPLVRSH >ORUFI03G40900.1 pep chromosome:OR_W1943:3:32722010:32724141:-1 gene:ORUFI03G40900 transcript:ORUFI03G40900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPRVLVLVVATVVALQVSPAAGRIPGAYGGGEWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNNAALSTALFNSGQSCGACFEIKCVNQPGWEWCHPGSPSILITATNFCPPNYALPSDNGGWGNPPPPRHAHYRAGIVPVSYRRVPCRKKGGVRFTINGFRYFNLVLITNVAGAGDIVRASVKGTSTGWMPMSRNWGQNWQSNSVLVGQALSFRVTGSDRRTSTSWNAAPAGWHFGQTFEGKNFRV >ORUFI03G40910.1 pep chromosome:OR_W1943:3:32735143:32739595:1 gene:ORUFI03G40910 transcript:ORUFI03G40910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALGLPACGGAAPRRIEPAAVAPSGGGSGVGAAPPRIEVAAAAASASRCAPPRVEAAAAGRAPSVLLPSPTPPKTEPGPGGFHGWTGWWKLVNAQTKDLDIDESGPG >ORUFI03G40920.1 pep chromosome:OR_W1943:3:32740211:32742461:-1 gene:ORUFI03G40920 transcript:ORUFI03G40920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAARPTVLVTGAGGRTGNIVYNKLKERSDQFVVRGLVRTEESKQKIGGGNDVYIADIRDRDHLVPAVQGVDALIILTSAVPKMKPGFDPSKGGRPEFYYEDGMYPEQVDWIGQKNQIDTAKAAGVKHIVLVGSMGGTNPNHPLNSLGNGNILVWKRKSEQYLADSGVPYTIIRPGGLQDKDGGVRELIVGNDDELLQTDTKSIPRADVAEVCVQALQYEETKFKAFDLASKPEGTGTPTKDFKSLFSQVTARF >ORUFI03G40930.1 pep chromosome:OR_W1943:3:32742651:32745032:1 gene:ORUFI03G40930 transcript:ORUFI03G40930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGGTADFFYREAQRLGYVARSAFKVSAFLLTPLARTVCQLLPSHARSRLLCPSQLIQIQKQHKLIAPGAAVLDLGCAPGAWLQVACQNLGPLEKGGVIVGVDVKERGFSVILSDMCPVVSGITTKDAAISCELGMRALSLAVGKMKAKDSDCIAILEKFQSSTEPDPDEDGILRRGGSLVIKFLENEDIPGFGKFCKEKFKKVSLLRPKATRSSSREIFMVCEGLR >ORUFI03G40940.1 pep chromosome:OR_W1943:3:32746718:32746987:1 gene:ORUFI03G40940 transcript:ORUFI03G40940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMGHGEEVAAAMEEEELQGWETPRREECRIPVVPPQCPAPPRKRPVALPELGKERREPPKGGYFQPPDLESLFVLAPPRRQASSCA >ORUFI03G40950.1 pep chromosome:OR_W1943:3:32749717:32752753:1 gene:ORUFI03G40950 transcript:ORUFI03G40950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGGGPDWNGLLKWSLAHGDGTAKPRSLSEEDKKWFMEAMQANTMDVVKRMKEITQVMKTPDDVLQSQGVTPENIEDMLDELQEHVESIDMANDLHSIGGLDPLLGYLKNSHAGIRAKAAEVVSTIVQNNPKSQQLVMESNGLEPLLTNFSSDASTNSRTKALGAISSLIRHNQPGVAAFRLGNGYSALRDALGSDDARLQRKALHLLQYLLHDNKADRSVATELGLPKLMMHLASSDDSGVREAALGGLLELARDNTSGAGNALPDQDKLKDVLKSRIEGISTMDADDLSAHREERQLVDSLWKECYNEPSSLREKGLVVLPGEDAPQQPPPDVVGSMFEPPLRAWAASRPPPKEDSESESAKKDPPLLLGPGPSS >ORUFI03G40960.1 pep chromosome:OR_W1943:3:32752946:32754754:1 gene:ORUFI03G40960 transcript:ORUFI03G40960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLQAATPHPPLPLRSSRRRFAAPPSPLVLRAVASSRDGPSTRLSSPAPAPPRRRRRASVRARAGAGRGGRGESPYDVLGVPPSAPPEEIKRAYRRLALKFHPDVNKEPNAQEKFLRIKHAYNTLMNSESRSKYASTSSNTYYSSRTYGKSSTTSADEEEPFYGFGDFLRDLQAEFQNWEAGLNSEQKPKSLWEELAAIGEEFVEFLENELKIDDSSDAEDNANDPYTQSGGKNKQDVNTSTSSFDDSVSEIEAALEKLKKELGLS >ORUFI03G40980.1 pep chromosome:OR_W1943:3:32759168:32761273:-1 gene:ORUFI03G40980 transcript:ORUFI03G40980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAVLAVLRWRQRRWLVELVALLLLLARGGAAAVDEFLFPGFSGDGVATSGAAAVTSTGLLQLTNETKEVFGHGFYPKPVSLRNASTGAAASFSTTFVFAIVPKYPDAHGHGLAFALAPSVAVPGAVAGKYLGLFNTSDSTGQIKNKIVAVELDTARDDEFADIDDNHVGIDDNSLKSVNSSPAGYHDAATGGKLASVNLIGGEPLQVWIEYDGDSAWLEVTVSPAGMLRPAAPLVSCTVNLSSAVAGDTYVGFSAANGAAASSHYVLGWSFRLGGGGRAQDLDLAKLPRLPSPSKPKKTLPPLIILTILLLSVVILLLAAAAVAALVVRSRRYAEEEEEWEIEYGPHRISYKDLHGATKGFRDVIGAGGFGSVYHGVLPRSGVEVAVKKVSHDSRQGLREFVSEIASMSRLRHRNLVQLLGYCRRRGELVLVYDYMANGSLDKHLFAGGERPALSWEQRGKIVRDVAAGLLYLHEGWEQVVVHRDIKASNVLLDADMNGKLSDFGLARLYDHGANPQTTRIVGTLGYLAPELSKTGKATTSTDVFAFGAFLLEVACGRRPMEFTVDDDSPGLVELVLEHWKAGEITAARDPRIGDCDEDDLEVVLKLGLLCSHPDPRRRPSMRQVVQILEGAAPAPETLPEDLECGVGQFYGESFDEFVTGFPSTSEITTSTTQSTDEQQRLVGCVQLSTADFLKTT >ORUFI03G40990.1 pep chromosome:OR_W1943:3:32761608:32772896:1 gene:ORUFI03G40990 transcript:ORUFI03G40990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRQNKDSQLRSIGASHTIHADHRQSSTLARAQRVQTVPCLTVQILTEAITVGTSRLQSPGVPSIKQGACLRRPKDPVVAAAELRRSESKGTDLAVFLIFFPNATNQVGPSSRPSLP >ORUFI03G41000.1 pep chromosome:OR_W1943:3:32768037:32773580:-1 gene:ORUFI03G41000 transcript:ORUFI03G41000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVGLFPSSSLTTSPHAIAAAPTNAPRPRGARGLASPGRSAAAMDAFHVSSQAAEPLLRTTGAAAAAAAAATATSNPRLRVRTHAQGGEAPSSGGAGDGAAGKEFSWSSIILPFLFPALGGLLFGYDIGATSGACISLQSAELSGTTWFSLSSIQLGLVASGSLYGALGGSLLAYRVADFLGRRIELVTAAALYISGALVTGFAPDFVLLIIGRLLYGIGDARCTSLYCRDFSFANTWDIDIFKGVVYCIRNTVQGKASVEDNKKKAIQALRSLRGRFRSDRVLADEIDDTLLSIKAAYAEQESEGNIWKMFEGASLKALIIGGGLVLFQQITGQPSVLYYATSILQTAGFAAASDAAKVSILIGLFKLLMTGVAVFKVDDLGRRPLLIGGIGGIAVSLFLLAAYYKILNSFPFVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEFLGPANIFLLFGAISLLSLVFVILKVPETKGLTLEEIESKLLK >ORUFI03G41000.2 pep chromosome:OR_W1943:3:32768037:32773580:-1 gene:ORUFI03G41000 transcript:ORUFI03G41000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVGLFPSSSLTTSPHAIAAAPTNAPRPRGARGLASPGRSAAAMDAFHVSSQAAEPLLRTTGAAAAAAAAATATSNPRLRVRTHAQGGEAPSSGGAGDGAAGKEFSWSSIILPFLFPALGGLLFGYDIGATSGACISLQSAELSGTTWFSLSSIQLGLVASGSLYGALGGSLLAYRVADFLGRRIELVTAAALYISGALVTGFAPDFVLLIIGRLLYGIGIGLAMHGAPLYIAETSPSRIRGTLISLKELFIVLGILTGYLVGSLEIDVVGGWRYMFGFGAPLAVIMAIGMWNLPPSPRWLLLRAVQGKASVEDNKKKAIQALRSLRGRFRSDRVLADEIDDTLLSIKAAYAEQESEGNIWKMFEGASLKALIIGGGLVLFQQITGQPSVLYYATSILQTAGFAAASDAAKVSILIGLFKLLMTGVAVFKVDDLGRRPLLIGGIGGIAVSLFLLAAYYKILNSFPFVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEFLGPANIFLLFGAISLLSLVFVILKVPETKGLTLEEIESKLLK >ORUFI03G41000.3 pep chromosome:OR_W1943:3:32768037:32773580:-1 gene:ORUFI03G41000 transcript:ORUFI03G41000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVGLFPSSSLTTSPHAIAAAPTNAPRPRGARGLASPGRSAAAMDAFHVSSQAAEPLLRTTGAAAAAAAAATATSNPRLRVRTHAQGGEAPSSGGAGDGAAGKEFSWSSIILPFLFPALGGLLFGYDIGATSGACISLQSAELSGTTWFSLSSIQLGLVASGSLYGALGGSLLAYRVADFLGRRIELVTAAALYISGALVTGFAPDFVLLIIGRLLYGIGIGLAMHGAPLYIAETSPSRIRGTLISLKELFIVLGILTGYLVGSLEIDVVGGWRYMFGFGAPLAVIMAIGMWNLPPSPRWLLLRAVQGKASVEDNKKKAIQALRSLRGRFRSDRVLADEIDDTLLSIKAAYAEQESEGNIWKMFEGASLKALIIGGGLVLFQQVLSSSAHLEATHLNMKSDRALIILLNLKLCALSSVKITGQPSVLYYATSILQTAGFAAASDAAKVSILIGLFKLLMTGVAVFKVDDLGRRPLLIGGIGGIAVSLFLLAAYYKILNSFPFVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEFLGPANIFLLFGAISLLSLVFVILKVPETKGLTLEEIESKLLK >ORUFI03G41000.4 pep chromosome:OR_W1943:3:32768037:32773580:-1 gene:ORUFI03G41000 transcript:ORUFI03G41000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVGLFPSSSLTTSPHAIAAAPTNAPRPRGARGLASPGRSAAAMDAFHVSSQAAEPLLRTTGAAAAAAAAATATSNPRLRVRNPDPNPPRPNYSRRRFFYPGARCGHMRRAARRPPAVAPEMARPGRSSRGRPSSFRLLFGYDIGATSGACISLQSAELSGTTWFSLSSIQLGLVASGSLYGALGGSLLAYRVADFLGRRIELVTAAALYISGALVTGFAPDFVLLIIGRLLYGIGIGLAMHGAPLYIAETSPSRIRGTLISLKELFIVLGILTGYLVGSLEIDVVGGWRYMFGFGAPLAVIMAIGMWNLPPSPRWLLLRAVQGKASVEDNKKKAIQALRSLRGRFRSDRVLADEIDDTLLSIKAAYAEQESEGNIWKMFEGASLKALIIGGGLVLFQQITGQPSVLYYATSILQTAGFAAASDAAKVSILIGLFKLLMTGVAVFKVDDLGRRPLLIGGIGGIAVSLFLLAAYYKILNSFPFVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEFLGPANIFLLFGAISLLSLVFVILKVPETKGLTLEEIESKLLK >ORUFI03G41000.5 pep chromosome:OR_W1943:3:32768037:32773580:-1 gene:ORUFI03G41000 transcript:ORUFI03G41000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVGLFPSSSLTTSPHAIAAAPTNAPRPRGARGLASPGRSAAAMDAFHVSSQAAEPLLRTTGAAAAAAAAATATSNPRLRVRNPDPNPPRPNYSRRRFFYPGARCGHMRRAARRPPAVAPEMARPGRSSRGRPSSFRLLFGYDIGATSGACISLQSAELSGTTWFSLSSIQLGLVASGSLYGALGGSLLAYRVADFLGRRIELVTAAALYISGALVTGFAPDFVLLIIGRLLYGIGIGLAMHGAPLYIAETSPSRIRGTLISLKELFIVLGILTGYLVGSLEIDVVGGWRYMFGFGAPLAVIMAIGMWNLPPSPRWLLLRAVQGKASVEDNKKKAIQALRSLRGRFRSDRVLADEIDDTLLSIKAAYAEQESEGNIWKMFEGASLKALIIGGGLVLFQQVLSSSAHLEATHLNMKSDRALIILLNLKLCALSSVKITGQPSVLYYATSILQTAGFAAASDAAKVSILIGLFKLLMTGVAVFKVDDLGRRPLLIGGIGGIAVSLFLLAAYYKILNSFPFVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEFLGPANIFLLFGAISLLSLVFVILKVPETKGLTLEEIESKLLK >ORUFI03G41000.6 pep chromosome:OR_W1943:3:32768037:32773580:-1 gene:ORUFI03G41000 transcript:ORUFI03G41000.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVGLFPSSSLTTSPHAIAAAPTNAPRPRGARGLASPGRSAAAMDAFHVSSQAAEPLLRTTGAAAAAAAAATATSNPRLRVRTHAQGGEAPSSGGAGDGAAGKEFSWSSIILPFLFPALGGLLFGYDIGATSGACISLQSAELSGTTWFSLSSIQLGLVASGSLYGALGGSLLAYRVADFLGRRIELVTAAALYISGALVTGFAPDFVLLIIGRLLYGIGIGLTGYLVGSLEIDVVGGWRYMFGFGAPLAVIMAIGMWNLPPSPRWLLLRAVQGKASVEDNKKKAIQALRSLRGRFRSDRVLADEIDDTLLSIKAAYAEQESEGNIWKMFEGASLKALIIGGGLVLFQQITGQPSVLYYATSILQTAGFAAASDAAKVSILIGLFKLLMTGVAVFKVDDLGRRPLLIGGIGGIAVSLFLLAAYYKILNSFPFVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEFLGPANIFLLFGAISLLSLVFVILKVPETKGLTLEEIESKLLK >ORUFI03G41000.7 pep chromosome:OR_W1943:3:32768037:32773580:-1 gene:ORUFI03G41000 transcript:ORUFI03G41000.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVGLFPSSSLTTSPHAIAAAPTNAPRPRGARGLASPGRSAAAMDAFHVSSQAAEPLLRTTGAAAAAAAAATATSNPRLRVRNPDPNPPRPNYSRRRFFYPGARCGHMRRAARRPPAVAPEMARPGRSSRGRPSSFRLLFGYDIGATSGACISLQSAELSGTTWFSLSSIQLGLVASGSLYGALGGSLLAYRVADFLGRRIELVTAAALYISGALVTGFAPDFVLLIIGRLLYGIGIGLTGYLVGSLEIDVVGGWRYMFGFGAPLAVIMAIGMWNLPPSPRWLLLRAVQGKASVEDNKKKAIQALRSLRGRFRSDRVLADEIDDTLLSIKAAYAEQESEGNIWKMFEGASLKALIIGGGLVLFQQITGQPSVLYYATSILQTAGFAAASDAAKVSILIGLFKLLMTGVAVFKVDDLGRRPLLIGGIGGIAVSLFLLAAYYKILNSFPFVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEFLGPANIFLLFGAISLLSLVFVILKVPETKGLTLEEIESKLLK >ORUFI03G41000.8 pep chromosome:OR_W1943:3:32768037:32773580:-1 gene:ORUFI03G41000 transcript:ORUFI03G41000.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVGLFPSSSLTTSPHAIAAAPTNAPRPRGARGLASPGRSAAAMDAFHVSSQAAEPLLRTTGAAAAAAAAATATSNPRLRVRNPDPNPPRPNYSRRRFFYPGARCGHMRRAARRPPAVAPEMARPGRSSRGRPSSFRKIAPLSISLSAELSGTTWFSLSSIQLGLVASGSLYGALGGSLLAYRVADFLGRRIELVTAAALYISGALVTGFAPDFVLLIIGRLLYGIGIGLTGYLVGSLEIDVVGGWRYMFGFGAPLAVIMAIGMWNLPPSPRWLLLRAVQGKASVEDNKKKAIQALRSLRGRFRSDRVLADEIDDTLLSIKAAYAEQESEGNIWKMFEGASLKALIIGGGLVLFQQITGQPSVLYYATSILQTAGFAAASDAAKVSILIGLFKLLMTGVAVFKVDDLGRRPLLIGGIGGIAVSLFLLAAYYKILNSFPFVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEFLGPANIFLLFGAISLLSLVFVILKVPETKGLTLEEIESKLLK >ORUFI03G41000.9 pep chromosome:OR_W1943:3:32768037:32773580:-1 gene:ORUFI03G41000 transcript:ORUFI03G41000.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVGLFPSSSLTTSPHAIAAAPTNAPRPRGARGLASPGRSAAAMDAFHVSSQAAEPLLRTTGAAAAAAAAATATSNPRLRVRTHAQGGEAPSSGGAGDGAAGKEFSWSSIILPGTTWFSLSSIQLGLVASGSLYGALGGSLLAYRVADFLGRRIELVTAAALYISGALVTGFAPDFVLLIIGRLLYGIGIGLTGYLVGSLEIDVVGGWRYMFGFGAPLAVIMAIGMWNLPPSPRWLLLRAVQGKASVEDNKKKAIQALRSLRGRFRSDRVLADEIDDTLLSIKAAYAEQESEGNIWKMFEGASLKALIIGGGLVLFQQITGQPSVLYYATSILQTAGFAAASDAAKVSILIGLFKLLMTGVAVFKVDDLGRRPLLIGGIGGIAVSLFLLAAYYKILNSFPFVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEFLGPANIFLLFGAISLLSLVFVILKVPETKGLTLEEIESKLLK >ORUFI03G41010.1 pep chromosome:OR_W1943:3:32778063:32778551:-1 gene:ORUFI03G41010 transcript:ORUFI03G41010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSALFLAFVLLAVFLAALPFAESSGRHHHHHHSHLHGRGTSKSRGIERYLLSWSDFLLGSGAKLKEKYLGFFSGEGERGGEARSLAAKGAAAAWPCCDNCGGCIKSIPPQCQCMDARPAGCHPACKSCVKSSLSVSPPVYQCMDRIPNLCQRRCTAAAR >ORUFI03G41020.1 pep chromosome:OR_W1943:3:32785214:32787481:-1 gene:ORUFI03G41020 transcript:ORUFI03G41020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRGGGHGEAAVDGGDERWVEDSSVDYQGRPPLRAATGSWKAAMFIILIEFSERLSYFGLATSLMIYLTKVLQEEMKFAAKNVNYWTSVTTLMPLVGGFLADGYLGRFSTVLFSTLIYLSGLILLAISQLSPRLKPERNLHLHETLFFVAIYLVSVGTGGHKPALESFGADQFDDGHAAERVQKMSYFNWWNCALCAGVLLGVTVIVYLQEKVGWGAAAVVLAAVMAASLAVFLAGWRHYRYRVPEGSPLTPLVRVLVAAARKRHLHLPADANELYEVKPQNIKRRLLCHTDQLRFLDKAAVVEHDGGEERRGAWRLATVTQVEETKLVLAMVPIWVATLPFGITAAQVSTFFIKQGSVMDRRMGPHFTLPPASTFAMAAIGMIVAVAVYDKVLEPYLRRLTGGERGLSILKRIGVGIAFTIVAMAVAATVERRRLRSASPASMSVFWLVPQFLLMGIGDGFALVGLQEYFYDQVPDSMRSLGIGLYLSVIGAGSFLSSQLITAVDRVTSHGGAAAGWFGKDLNSSRLDLFYWLLACIGVANLVFYVVVATRYSYKTVMAGGKVVDDKAGDIECAAAAAAAAY >ORUFI03G41030.1 pep chromosome:OR_W1943:3:32803812:32806478:-1 gene:ORUFI03G41030 transcript:ORUFI03G41030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGQTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENIQRWLRELRDHADSNIVIMMVGNKSDLNHLRSVPEEDGQALAEKEGLSFLETSALEALNVEKAFQTILKDIHQIISKKALAAQEAAGSGPPTQGTTINVTDSAVNTKRGCCSS >ORUFI03G41030.2 pep chromosome:OR_W1943:3:32803812:32806445:-1 gene:ORUFI03G41030 transcript:ORUFI03G41030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGQTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENIQRWLRELRDHADSNIVIMMVGNKSDLNHLRSVPEEDGQALAEKEGLSFLETSALEALNVEKAFQTILKDIHQIISKKALAAQEAAGSGPPTQGTTINVTDSAVNTKRGCCSS >ORUFI03G41040.1 pep chromosome:OR_W1943:3:32807537:32811263:-1 gene:ORUFI03G41040 transcript:ORUFI03G41040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPKQEELQPHAVKDQLPAISYCLTSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNEEKARVIQTLLFVAGINTLIQSFLGTRLPAVIGGSYTFVAPTISIILAGRYNGIADPHEKFVRIMRGTQGALIVASTLQIIMGFSGLWRIVVRLLSPLSAAPLVALVGFGLYELGFPSVAKCVEIGLPQIILLVALSQYIPKLVPLLGTAFERFAIIMSVALVWLYAFFLTVGGAYKNAAPKTQFHCRTDRSGLVGGAPWISVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPCPPSVMSRGIGWQGVGILLGGLFGTANGSSVSVENAGLLGLTRVGSRRVVQISAGFMIFFSILGMAGVGFLQFCNLNSFRTKFIVGFSVFMGLSVPQYFNEYTSVAGYGPVHTHARWFNDMINVVFSSKAFVGGAVAYLLDNTLQRHDSTARKDRGHHFWDRFRSFRTDPRSEEFYSLPFNLNKRPKKEVEQSASN >ORUFI03G41040.2 pep chromosome:OR_W1943:3:32807944:32811263:-1 gene:ORUFI03G41040 transcript:ORUFI03G41040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPKQEELQPHAVKDQLPAISYCLTSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNEEKARVIQTLLFVAGINTLIQSFLGTRLPAVIGGSYTFVAPTISIILAGRYNGIADPHEKFVRIMRGTQGALIVASTLQIIMGFSGLWRIVVRLLSPLSAAPLVALVGFGLYELGFPSVAKCVEIGLPQIILLVALSQYIPKLVPLLGTAFERFAIIMSVALVWLYAFFLTVGGAYKNAAPKTQFHCRTDRSGLVGGAPWISVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPCPPSVMSRGIGWQGVGILLGGLFGTANGSSVSVENAGLLGLTRVGSRRVVQISAGFMIFFSILGMAGVGFLQFCNLNSFRTKFIVGFSVFMGLSVPQYFNEYTSVAGYGPVHTHARWFNDMINVVFSSKAFVGGAVAYLLDNTLQRHDSTARKDRGHHFWDRFRSFRTDPRSEEFYSLPFNLNKFFPSF >ORUFI03G41050.1 pep chromosome:OR_W1943:3:32813383:32818379:-1 gene:ORUFI03G41050 transcript:ORUFI03G41050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRQLEESSGSRAEAWGPLAQPNLGLGARRRPTATGSPSIRWSLPSASISPPLSLRTRLEPPWLCLCGWLSDLVPVCGLPSCRMITEVMQKSSIEKLFMAIEPLIRRMVKEEIESAFANHAIMMARTVMDVVPPTSKNFQLQFMTKLSLPIFTSSKIEGESSLSITIALVDTVTREVVASGDESLMKVEIVVLEGDFEGGEGDDWTAQEFNNNIIRAREGK >ORUFI03G41060.1 pep chromosome:OR_W1943:3:32820704:32824596:-1 gene:ORUFI03G41060 transcript:ORUFI03G41060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSTTATVASPSYHYCDLLFPPARRWCCRARRRGGGGGRVAVVAARAPREAAAAAVVVENGGHSYEVESLIDRLSNLPPRGSIARCLETARHRLTLQDFAAVYREFSRRGDWQRSLRLFKYMQRQSWCRPDEHIHAIVIGVLGRQGPALLDKCLEVFHDLPSESRTALSYTSLIAAYARNALHEEARELLDQMKASGVAPTAATYNTVLAACARATDPPVPFDMLLGLFAEMRHDPSPSVRPDLTTYNTLLAAAAVRALSDQSEMLLRTMLEAGVLPDTASYRHIVDAFAGAGNLSRVAELFAEMSATGHTPDPSAYLGLMEAHTLVGATAEAVAVLRQMQADGCPPTAATYRVLLDLYGRQGRFDGVRELFREMRTTVPPDTATYNVLFRVFGDGGFFKEVVELFQDMLHSEVEPDIDTCENVMVACGRGGLHEDAREVLDYITTEGMVPTAKAYTGLVEALGHAAMYEEAYVAFNMMTEIGSLPTIETYNALANAFAKGGLFQEAEAIFSRMTNNAAIQKDKDSFDALIEAYCQGAQLDDAVKAYMEMRKSRFNPDERSLEGVLNAYCIAGVIDESKEQFEELQSMVTVPSIIAYCMMLSLYARNDRWTDAYDLLEEMKTNRASSTHQVIASMIKGEYDDDSNWQMVEYVLDNSNLEGCDYSLRFFNALLDVLWWFGQKGRAARVLDQALKYGLFPELFRDTKLVWSADVHRMSVGGSLVAMSVWLNKLYDRLKGDEDLPQLASVVVFSLIARRGQMEKSTVTRGLPIAKVVYSFLNDTLSSSFHYPKWNKGRIICLKSQLKKLQAAIDSSNGAAISGFVPMTNSHLPSPGSKLYTRESQADNGSAHLTDETLVEEKESELLALCDVIWPNKGR >ORUFI03G41070.1 pep chromosome:OR_W1943:3:32824840:32827211:1 gene:ORUFI03G41070 transcript:ORUFI03G41070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDSAAVSAVEGRLSELSTNSDEKGLPRRGKSLSGRTLNTAQIQLVASHPEVYEPCDDSFALVDALLSDKAQLLTLKPRLCMEIGCGSGYVITSLAIMLRQLGSGTQYLATDINQHAVETTQATLEAHGVHADVIATDIVSGLEKRLHGLVDVVVVNPPYVPTPEEEIESNGIASSWAGGINGRQVIDRILPAVRELLSERGWLYMIALEDNDPLDICHLMNEKGYASRVLLKRCTEEESLYVLKFWQDASTGTNASHAARSPRSESSWLSQLPFRSLWHKNA >ORUFI03G41080.1 pep chromosome:OR_W1943:3:32828226:32840878:1 gene:ORUFI03G41080 transcript:ORUFI03G41080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKQRGGGGAATEGDAAAGGGHSPSTVFVSNLPYTFKSADLEAVFSEVGPVRRCFMVAPKGSETSRGFGFVQFATVKDAERSIQQKDGFSVAGRKIRVKLATHRAPLKERLQKKENAMQAKDADVTNEAKDADSTNEAQDADATNEEDDTSTAKHKETSHKKDAEPLQLLKKDITVSKEVSIFNTDKVKSSEKQRIAKTVIFGGLRDFAMASEVFRLAGEIGTVVSVNYSLPKEEMELHGLERDGCTTDAAAVLFASVTSAWDSVVHLHRKEVKGAVVWARQLGGEGSKIRKWRVIVRNLPFKITVKEIMDMFSLAGFVWDVSIPQKSDNGTSKGFAFVSFTRKQDAENVWSVPCSAIKNVNGKVVAKRTVAVDWAVPKKVYTVAAAKSSAKDDELVNVSDKGSDEESEDNLVGEDDSYELDQEASNRPADDDFETEIDISRKVLENLIKSSEKAEPSGNEGSDVDTDTETEQDTSEKKQKQTHLPASVPAADKLENSKRVAEEENTLPASKFKKQDAGLDRTIFICNLPFDLSNEEVTERFSAFGKVESFFPVLHKLTKRPRGTGFLKFSTAEAADAAVSAANAAPGLGIFIKSRALKIMKALDKESAHKKELEKSKNEVEDRRNLYLTKEGEILAGTPAAEGVSDADMNKRSWLARRKAEMLQSPKFHVSRTRLIIYNLPKTMTINDVKKLCREAVISRAHKQNPIIRKVNILKNEKKSNSTAQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALENIEKVRLQKIWKERRDKLQEGAQDKARPLGDHSATDGPQANNTRAFNKGHKRKTHDRSSKLSNSGKGSAEDLSAAGDGGSGIMESMVEDKRKAQRPAKRARKPNKTSDGDRQDATPPTADGNQTLSSKHNQAGTPTKRKNRKDSHSEQRRGKAPKTKKEPAGEGGVDKSLVEQYRSKFLQHGLNKTKG >ORUFI03G41080.2 pep chromosome:OR_W1943:3:32828226:32835890:1 gene:ORUFI03G41080 transcript:ORUFI03G41080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKQRGGGGAATEGDAAAGGGHSPSTVFVSNLPYTFKSADLEAVFSEVGPVRRCFMVAPKGSETSRGFGFVQFATVKDAERSIQQKDGFSVAGRKIRVKLATHRAPLKERLQKKENAMQAKDADVTNEAKDADSTNEAQDADATNEEDDTSTAKHKETSHKKDAEPLQLLKKDITVSKEVSIFNTDKVKSSEKQRIAKTVIFGGLRDFAMASEVFRLAGEIGTVVSVNYSLPKEEMELHGLERDGCTTDAAAVLFASVTSAWDSVVHLHRKEVKGAVVWARQLGGEGSKIRKWRVIVRNLPFKITVKEIMDMFSLAGFVWDVSIPQKSDNGTSKGFAFVSFTRKQDAENVWSVPCSAIKNVNGKVVAKRTVAVDWAVPKKVYTVAAAKSSAKDDELVNVSDKGSDEESEDNLVGEDDSYELDQEASNRPADDDFETEIDISRKVLENLIKSSEKAEPSGNEGSDVDTDTETEQDTSEKKQKQTHLPASVPAADKLENSKRVAEEENTLPASKFKKQDAGLDRTIFICNLPFDLSNEEVTERFSAFGKVESFFPVLHKLTKRPRGTGFLKFSTAEAADAAVSAANAAPGLGIFIKSRALKIMKALDKESAHKKELEKSKNEVEDRRNLYLTKEGEILAGTPAAEGVSDADMNKRSWLARRKAEMLQSPKFHVSRTRLIIYNLPKTMTINDVKKLCREAVISRAHKQNPIIRKVNILKNEKKSNSTAQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALENIEKVRLQKIWKERRDKLQEGAQDKARPLGDHSATDGPQANNTRAFNKGHKRKTHDRSSKLSNSGKGSAEDLSAAGDGGSGIMESMVEDKRKAQRPAKRARKPNKTSDGDRQDATPPTADGNQTLSSKHNQAGTPTKRKNRKDSHSEQRRGKAPKTKKEPAGEGGVDKSLVEQYRSKFLQHGLNKTKG >ORUFI03G41090.1 pep chromosome:OR_W1943:3:32836188:32841245:-1 gene:ORUFI03G41090 transcript:ORUFI03G41090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYSRLPPPASSAIAGAPTRPRTRSLRPPLSPARDPTRALGDDAAGDDEQGGRREVSSRSCFATARSIEMYRAAAWARSITRKKQKRKKKLLSRALARRRLPEPLAASLHAPAGPPGAAANSERAPAAAAPPTPPPPRACPTLALPRRCRLLAAAGESGSPSAGAFSTTAAASSRVASGVLGSPSAGSSSRAPAGELWWAQGGNNPIQERWDGILAQGSPSGSYAWSGPCHVAVIMLQRYALPGLSQFCIAIGMVVFIRILNVKSKGGANL >ORUFI03G41090.2 pep chromosome:OR_W1943:3:32836220:32841245:-1 gene:ORUFI03G41090 transcript:ORUFI03G41090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYSRLPPPASSAIAGAPTRPRTRSLRPPLSPARDPTRALGDDAAGDDEQGGRREVSSRSCFATARSIEMYRAAAWARSITRKKQKRKKKLLSRALARRRLPEPLAASLHAPAGPPGAAANSERAPAAAAPPTPPPPRACPTLALPRRCRLLAAAGESGSPSAGAFSTTAAASSRVASGVLGSPSAGSSSRAPAGELWWAQGGNNPIQERWDGILAQGSPSGSYGREDLSPTGNLEWSVPCCCDNATEY >ORUFI03G41090.3 pep chromosome:OR_W1943:3:32836188:32841245:-1 gene:ORUFI03G41090 transcript:ORUFI03G41090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYSRLPPPASSAIAGAPTRPRTRSLRPPLSPARDPTRALGDDAAGDDEQGGRREVSSRSCFATARSIEMYRAAAWARSITRKKQKRKKKLLSRALARRRLPEPLAASLHAPAGPPGAAANSERAPAAAAPPTPPPPRACPTLALPRRCRLLAAAGESGSPSAGAFSTTAAASSRVASGVLGSPSAGSSSRAPAGELWWAQGGNNPIQERWDGILAQGSPSGSYGREDLSPTGNLEWSVPCCCDNATAFSVKSQFCIAIGMVVFIRILNVKSKGGANL >ORUFI03G41090.4 pep chromosome:OR_W1943:3:32836188:32841245:-1 gene:ORUFI03G41090 transcript:ORUFI03G41090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYSRLPPPASSAIAGAPTRPRTRSLRPPLSPARDPTRALGDDAAGDDEQGGRREVSSRSCFATARSIEMYRAAAWARSITRKKQKRKKKLLSRALARRRLPEPLAASLHAPAGPPGAAANSERAPAAAAPPTPPPPRACPTLALPRRCRLLAAAGESGSPSAGAFSTTAAASSRVASGVLGSPSAGSSSRAPAGELWWAQGGNNPIQERWDGILAQGSPSGSYGREDLSPTGKILNVKSKGGANL >ORUFI03G41100.1 pep chromosome:OR_W1943:3:32841021:32844718:1 gene:ORUFI03G41100 transcript:ORUFI03G41100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAGGGRREYSIIVPTYNERLNVALIVYLIFKHLPDVNFEIIVVDDGSPDGTQDIVKQLQQIYGENRVLLRARPRKLGLGTAYLHGLKHASGDFVVIMDADLSHHPKYLPSFIRKQKETGADVVTGTRYVQNGGVHGWNLMRKLTSRGANVLAQTLLQPGASDLTGSFRLYKRNVLEDLISSCVSKGYVFQMEMIVRATRKGYHIEEVPITFVDRVFGTSKLGGSEIVEYLKGLVNLKEDWEQLFSLKKKRLGPYLISHIEADE >ORUFI03G41100.2 pep chromosome:OR_W1943:3:32841021:32844227:1 gene:ORUFI03G41100 transcript:ORUFI03G41100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAGGGRREYSIIVPTYNERLNVALIVYLIFKHLPDVNFEIIVVDDGSPDGTQDIVKQLQQIYGENRVLLRARPRKLGLGTAYLHGLKHASGDFVVIMDADLSHHPKYLPSFIRKQKETGADVVTGTRYVQNGGVHGWNLMRKLTSRGANVLAQTLLQPGASDLTGSFRLYKRNVLEDLISSCVSKGYVFQMEMIVRATRKGYHIEEVPITFVDRVFGTSKLGGSEIVEYLKGLVYLLLTT >ORUFI03G41110.1 pep chromosome:OR_W1943:3:32843979:32845417:-1 gene:ORUFI03G41110 transcript:ORUFI03G41110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADVAFKALTAGLGVATLYLAGTFSVNVYRGLSWHSEQSVSKTLARLDHQTLDFSPPLAGEPLIRDNETFQDLQNAILI >ORUFI03G41120.1 pep chromosome:OR_W1943:3:32847189:32849754:1 gene:ORUFI03G41120 transcript:ORUFI03G41120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPSPAVEGGAAAAGHGGRRRHVLLFPLPYQGHINPMLRLAGVLRARGFAVTVFHTHFNAPDAARHPEHRFVAVPDGMSGRPPPVSVGDVVKHIRALNAACEAAFRDRLAAVLAEYSRDAVACLVADAHLLRMLDMPVSELPPYRVRDLMHIGRDGHELMCELLARAVAAVKLSSGLILNTFDALEAHELAKLRRDLAVPVFDIGPLHRFSPAADGSLLHQDRSCLAWLDAQTAESVLYVSFGSLASMGARELVETAWGIAGSGVPFLWVVRPGLVRGRRAAPGEPTRLLPEGFEAATRRRGVVVAWAPQEEVLRHRAVGGFWTHNGWNSTTESLAEGVPMLCRPSFGDQMGNARYVEHVWKAGFEVVGGELERGAVEEAIRRLMAESDGGEMRARARELKKAAAEGKNDKT >ORUFI03G41130.1 pep chromosome:OR_W1943:3:32849264:32864046:-1 gene:ORUFI03G41130 transcript:ORUFI03G41130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLLVVVGSSAAAWADVPAGSRVQVVQVACGARRPATTSMAVRCVGRGGGKTGPVLGKPSDDDDDDALSRCVSWGSFLFLPVHDAFLSQIEPSMCFLGSGVEQTKLVKIAFRMAVVTAVFLRRVQANSNCADMSLQVSSLQEECARFLDSFVAGEEIAGHDAVRMLVGCHDKLTVVLSAYGGAGRDDVDEGQAPPVVVPPGGQIDTHLLDLFLIGTSELPTNWSDRTLVAQLTCSLYRAIEAVTKFIATTEKGVPLEQADAEGSHQSLHVASKAAGLTSSSSRSKDVKLRAILHEDSVTGVRPWFSLFGMSFSDLYDHFPESVWDICEDSPAEAFEKKEHKGWMQFRSEMNSRYNKAVDISFDDRFADSIVFDKTLYKYAILGCKVNADGIMNQLDYDASKLRSYHGSISCSNDGVMLLRTSYPENQMFSKLSSMASFAESINNCIPNHLRQLLEKVLSRRNILLLTPNGETTIYDTVLSKFGSIEQTRHHGDVAISKCLNIGFGNVRESPQTCEIEDAKHYLFSSTKSVVEILDLSIQLVLRILFGMEKAQNSLPRGYHDVMSGRAGDLSSSAAAAAAWYQSSTVMRACCYYQPLRPPCPSIPLGHKPQQHHLFLSSRSKDVKLRSILDEDRLTDQEHKGWMQLRNAMNNRYIRALDTNFDESFVDSIVFDKTLYKYAILGCKVNADGIMNEGIISSSERNKIIHALERIEDDIEIGKFKWRDGADVHTSIVEALADMIGDQAKGLAVESKCDSCLMILETWSKNSIDHIMTQLKQLQAALVLLAIKNDGFVLPGEKEIEGTSLLMRIVKALDSDASKLRNCLGGICSTDGVIFMRLSSPEDYTLSKLSYIAWFANSINYRIPNLLRQLLEKVLSLRNAETAIYETTLGKLSSIEQMRRHGDVAISKCLNLRFGKACGSVQTCEIEDAKHHLFSSTKSVVEILDLSIQLVKSISFDMEKAQNCLPRGYDDIMRFAHFLTTKGIDSVTAYALVHLCLDKQLQPSELTLEKRELKQIDFHCERAHYLLEYKGGIFGDSTDLDACKQMLKWCSKLRIDPAATICS >ORUFI03G41130.2 pep chromosome:OR_W1943:3:32849264:32864046:-1 gene:ORUFI03G41130 transcript:ORUFI03G41130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLLVVVGSSAAAWADVPAGSRVQVVQVACGARRPATTSMAVRCVGRGGGKTGPVLGKPSDDDDDDALSRCVSWGSFLFLPVHDAFLSQIEPSMCFLGSGVEQTKLVKIAFRMAVVTAVFLRRVQANSNCADMSLQVSSLQEECARFLDSFVAGEEIAGHDAVRMLVGCHDKLTVVLSAYGGAGRDDVDEGQAPPVVVPPGGQIDTHLLDLFLIGTSELPTNWSDRTLVAQLTCSLYRAIEAVTKFIATTEKGVPLEQADAEGSHQSLHVASKAAGLTSSSSRSKDVKLRAILHEDSVTGVRPWFSLFGMSFSDLYDHFPESVWDICEDSPAEAFEKKEHKGWMQLRNAMNNRYIRALDTNFDESFVDSIVFDKTLYKYAILGCKVNADGIMNEGIISSSERNKIIHALERIEDDIEIGKFKWRDGADVHTSIVEALADMIGDQAKGLAVESKCDSCLMILETWSKNSIDHIMTQLKQLQAALVLLAIKNDGFVLPGEKEIEGTSLLMRIVKALDSDASKLRNCLGGICSTDGVIFMRLSSPEDYTLSKLSYIAWFANSINYRIPNLLRQLLEKVLSLRNAETAIYETTLGKLSSIEQMRRHGDVAISKCLNLRFGKACGSVQTCEIEDAKHHLFSSTKSVVEILDLSIQLVKSISFDMEKAQNCLPRGYDDIMRFAHFLTTKGIDSVTAYALVHLCLDKQLQPSELTLEKRELKQIDFHCERAHYLLEYKGGIFGDSTDLDACKQMLKWCSKLRIDPAATICS >ORUFI03G41130.3 pep chromosome:OR_W1943:3:32849264:32864046:-1 gene:ORUFI03G41130 transcript:ORUFI03G41130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLLVVVGSSAAAWADVPAGSRVQVVQVACGARRPATTSMAVRCVGRGGGKTGPVLGKPSDDDDDDALSRCVSWGSFLFLPVHDAFLSQIEPSMCFLGSGVEQTKLVKIAFRMAVVTAVFLRRVQANSNCADMSLQVSSLQEECARFLDSFVAGEEIAGHDAVRMLVGCHDKLTVVLSAYGGAGRDDVDEGQAPPVVVPPGGQIDTHLLDLFLIGTSELPTNWSDRTLVAQLTCSLYRAIEAVTKFIATTEKGVPLEQADAEGSHQSLHVASKAAGLTSSSSRSKDVKLRAILHEDSVTGVRPWFSLFGMSFSDLYDHFPESVWDICEDSPAEAFEKKEHKGWMQFRSEMNSRYNKAVDISFDDRFADSIVFDKTLYKYAILGCKVNADGIMNQLDYDASKLRSYHGSISCSNDGVMLLRTSYPENQMFSKLSSMASFAESINNCIPNHLRQLLEKVLSRRNILLLTPNGETTIYDTVLSKFGSIEQTRHHGDVAISKCLNIGFGNVRESPQTCEIEGIDSVTAYALVHLCLDKQLQPSELTLEKRELKQIDFHCERAHYLLEYKGGIFGDSTDLDACKQMLKWCSKLRIDPAATICS >ORUFI03G41140.1 pep chromosome:OR_W1943:3:32873841:32878672:1 gene:ORUFI03G41140 transcript:ORUFI03G41140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKLSVCWWPPHFKSPLLENGAAADDGSGVPVFAEYSLDELRVATDGFSPERIVSEHGEKAPNVVYRGTLFSTGRTVAIKRFGRSAWPDSRQFLEEARAVGQLRSVRLANLIGCCCENGERLLVAEFMPHETLAKHLFHWETKPLSWAMRVRAAFYVAQALEYCSSKGRALYHDLHAYRVLFDVDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLKTGRVIPESVVYSFGTILLDLLSGKHIPPSHALDLIRGKNYLVLMDSCLEGHVSSSDGTELIRLASRCLQYEGRDRPNLKSVVSALGNLQKDASAPSHALLGIQHDKENTERILLSAIAKVYARADLDEVHEMLENDGYCEDERATFEVSFHSWTGQQVSDSILVKKHGDSAFQSKDFATAVECYSRFIDTGVMVSPTMLARRSFVYMVLGKLQEGLADAKKAADISPEWPTAHYLQGMAYLAMGMEPEGHEELKQGAALEAERNAR >ORUFI03G41150.1 pep chromosome:OR_W1943:3:32879645:32881595:-1 gene:ORUFI03G41150 transcript:ORUFI03G41150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRPIKTSSFSSGGALLFAASQFPPPHREISGLFSFREQRAAIMAAKPEPTQLEKEQMFGMMEKEMEYRVDLFNRLTQTCFDKCIEKRYKEAELNMGENSCIDRCVSKYWQASQMLGNRPQM >ORUFI03G41150.2 pep chromosome:OR_W1943:3:32880154:32881595:-1 gene:ORUFI03G41150 transcript:ORUFI03G41150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRPIKTSSFSSGGALLFAASQFPPPHREISGLFSFREQRAAIMAAKPEPTQLEKEQMFGMMEKEMEYRVDLFNRLTQTCFDKCIEKRYKEAELNMGENSCIDRCVSKYWQAS >ORUFI03G41160.1 pep chromosome:OR_W1943:3:32881703:32887591:1 gene:ORUFI03G41160 transcript:ORUFI03G41160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAYPLLRLPCRCSLAAAAVPAPPRASPGPTISVSMSVDGGEGELTGRERRKQRGERRELRARDWKEEVQERLIHEPARRRKKPPKRTWRENLNLDFLAEHGPQWWLVRVSMAPGTDYVDLLTKAISRRYPELSFKIYNPSIQVKKRLKNGSISTKSKPLHPGLVFLYCTLNKEVHDFIRDTEGCYGFIGATVGSIKRQIKKPKPIPVEEVESIIREEKEEQERVDREFEEMENGGIVESFNKPVEDSELMLMNKIKRQFKKPISKGGSNHNAFTPGASVHVLSGPFEGFTGSLLEVNRKNKKATLQLTLFGKESFVDLDFDQIEAVDT >ORUFI03G41170.1 pep chromosome:OR_W1943:3:32885787:32887268:-1 gene:ORUFI03G41170 transcript:ORUFI03G41170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKAVTIGDLIHRVATSCLSNRLPGSYAVSDSGDTDFDDDDDDDPFADAISGAGGERRRTPSSSEEAEAEAEADDEGEDGGEGGEEEDEENLKIWEEKRKVKAAAAVAASGAERAREAETLMAEVFDAVSGVRRAYSDLQGAHCPWDPDKMRSADAAVVAKLRHLARLRDRFRRSVATGGHIPGPIPTAPPLREAVAPYEAALDDLRRQLQAKQAEVDGLKEKLAVASNRRNSRHHPSKHNASGGGGGAPTAELFAACAEQARAAIRAFAGHLLQLMRAAGLDLAAATRSLTKIPVSSPQLAKHALEAHVTRVLLVGFEHESFYLDGSLSSLLDPAAFRRERYTQFRDMRGMEPAELLGLLPTCPFGRYTASKFAALLPPRVEQAVLGDGEHRRAVEGGAHPRTPFYGEFLRAAKAVWMLHLLAFALETPPSHFEAGRGAEFHPDYMESVAGGRGGGAAGMVVGFAVAPGFRLGNGAVVRARVYLVPRGGRP >ORUFI03G41180.1 pep chromosome:OR_W1943:3:32890378:32903233:1 gene:ORUFI03G41180 transcript:ORUFI03G41180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLAFAAVCALLVAAAAPAAAEEEKANKFRQREATDDMLGYPHLDEDALLKTKCPKHVELRWQTEVSSSIYATPLIADINSDGKLEVVVPSFVHYLEVLEGSDGDKLPGWPAFHQSNVHSSPLLYDIDKDGTREIVLATYNGVVNFFRVSGYMMMDKLEVPRRKVHKDWYVGLNTDPVDRSHPDVHDSSIAKKAASEESHPNIQDKPVVNESSKESQSRSTNDSTTRGVDSMKHASKEEPVESKPNSTRGQENMDVLNNLNSTDAGNNSSLSTTTENASHVQRRLLQTDEKSNQAGSSETDASDTGTAKAATVENSEPLEADADASFNLFRDVEDLPDEYNYDYDDYVDETMWGDEDWKEQQHEKAEDYVSIDAHILSTPVIADIDRDGIQEMVISVSYFFDHEYYDKPEHLKELGGIDIGKYIASSIVVFNLDTRQVKWTAELDLSTDSGNFTAHAYSSPTVVDLDGDGNLDILVGTSFGLFYVIDHRGKVRNKFPLEMAEIHAPVIAADINDDGKIEMVTADVHGNVAAWTAEGEEIWERPTVGDVNGDGRTEVVVPTVSGNIYVLSGKDGSKIQPFPYRTHGRIMSPVLLLDMSKHDEKSKGLTLATTSFDGYLYLIEGSSGCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKEWRSSNQGRNNAAYRYNREGIYVKHGSRTFRDEEGKHFWVEFEIVDKYRVPYGNQAPYNVTVTLLVPGNYQGERRIVVNAAYNEPGKQRMKLPTVPVRTTGTVLVEMVDKNGFYFSDEFSLTFHMHYYKLLKWLVLLPMLGMFSVLVILRPQEGAPLPSFSRNID >ORUFI03G41190.1 pep chromosome:OR_W1943:3:32903285:32905726:-1 gene:ORUFI03G41190 transcript:ORUFI03G41190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPAAKRQFSTTSQGKEVSGISSCKPTYQRYQSCPPEVYRRQASSYSVPSSEISRSSVRSSGSFRAAAQSLAGVFSCFVPRKSRNEDELEISRTTISQGSRSTGYQVSIDPALDKHEYPPPCENQNGEMTNLSAAGTGYPQESTELTVAEIFKATSNFSDKNIIKQGSYSSIYRGKLRDGSEIAIKCARKVWKQREYAYSAIFHELNSQYASAELRRELEILQKIDHKNLVRFLGFFEREDESLTVVEYVSNGSLREHLDESCGNGLELAQRLNIAIDVAHAITYLHEFKEQRIIHRNVRSSNVLLTDTLTAKLAGVGLARMAGGESSESEDTQGKSAAGYVDPEYLSTYELTDKSDVYSFGVLLVELVTGRPPIERRRDLDPRPTTKWALQRFRGGEVVVAMDPRIRRSPASVATVEKVMELAEQCVAPARKERPSMRRCTEALWSVRREYHRRQDAPAAAAAVAAAPTQDRSSDWVKVEFNHNHSKLITANYSLRPILSAVVGFRI >ORUFI03G41200.1 pep chromosome:OR_W1943:3:32908131:32910669:-1 gene:ORUFI03G41200 transcript:ORUFI03G41200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSWARSAGAGGLWNTRGRARAHVQPMREVILGARRERTVLAADDPASPYNEPRVAAATTLSWGRCGTPRSAKTMREAVLQAPAHDPASPYLEVQEVEVSKEFGCTQ >ORUFI03G41210.1 pep chromosome:OR_W1943:3:32910688:32911659:-1 gene:ORUFI03G41210 transcript:ORUFI03G41210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSDLPPGGSGQKPPGGPPGNRKGKRGAEAPPATSSSTPTTARRSKRLAGAPPDHPAEAGPSSTNARRSSRLAGAPPATPGAAAAAPTSSSPTAARRSNRLAGAPPDPPAAVAAPPTSSSPTTAMRSNRLAGAPSDPPAAATAGPTSPSPTTARRSNRLAGAPPDPPAAATAGPTSKTARRSKRLAGEAPETPVEAGQTSPSSTTARQSKRSAGKSPAIPKGSGQPSSAEKSKRTADASSADPAEARPSSLSPTTAPVRTTAVSVSVRKAAEGQRRTTTSGRGTTTSGRGDAAEQEAMREAVLYVRRERSVVAPDDLTSPHN >ORUFI03G41220.1 pep chromosome:OR_W1943:3:32916609:32920108:-1 gene:ORUFI03G41220 transcript:ORUFI03G41220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISLEHRYKECRPRRNNSCPTKTLVGKDQLKELEHRRPSPSVIAKLMGLDVLPPAYVAHNQHQEFKDVFEVSEEPQEAVTKERSHNFPKGLPSLKRSALKLRKLMPSKSPYGDETFDNNVVNQDGFDRLNSLEINNPLFEKHPYDVNCSPNYRYEKDSTSSTFRKYPVGLGNSSLKEIVVLELGLGEVQHSGNAFSTPEPSDVNKNFRRKMKQAEFSTTNRGSQNLLGTKDINVPRIKGERHLTSNAVDSLLKRQDSSLDQYNTVDTDNTGSSQKCVSSEVNSRKSNRSSSNSSPWKIRRKYEEGAIGSKTLAEMFALSDSERLKRDSDSHVQIQDNKLNRGNNNDKEGCFIVLPKHAPRLPPHSLLDKNSSCERSPHDIFFSNTSISHNSGQFHFDSFWDKPTRQQISSPTQDDLRNASCARYHTLEKHRSSFPSYDNTRNNSWHLTDDFSTFACINEKVLFTTDEDLLRKPTETVHSSFGSRLSGEQKVSASPFHCGVYEAITISDHTCAAKSRRSLKEVDRPSPVSILEPPTDEDSCCSGYLKNDSQVMPSIDKQIYGCELRYEQEVSLSSDNDNDSSDQSLEAFEVEEEKEFSYLLDILISSGVIVADSQLLFKSWQSSGYLVGPHVFDKLEGKYSKVATWPRPQRRLLFDLANSVLSEILAPCIDTHPWAKLSRNCCPVWGPEGPVEVVWQTMVRQQEELAVAHPDDKILDPEWLEFGEGINMVGWHIARMLHGDLLDDVILEFLSGFVAS >ORUFI03G41230.1 pep chromosome:OR_W1943:3:32922623:32922982:1 gene:ORUFI03G41230 transcript:ORUFI03G41230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGFGSRVAAAGVAPSASSSSAGRRRPSRVAMAVGATRGKPAPAEEEKSLADFIFGFIFKKDQLVETDPLLNKVDGAPPSGSTVSRKAPAKKPAASAADEEGGGGGFNLGALFAKKG >ORUFI03G41240.1 pep chromosome:OR_W1943:3:32924185:32926826:-1 gene:ORUFI03G41240 transcript:ORUFI03G41240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLFDLRSDMVSAASMSLDYRPEYDDIEEAKSPNTSVAAVAVKNADASVYKIIHGFLKQKNNSIIKVAANVARKAASNKLSRKTSDVFDSLIQKQQSKWGNKTGPLLSGICYCIASCSMILLNKVVLSNYNFNAGISLMLYQNLISVIILLVLELFGVISTEKLTWKLIKVWIPVNLIFVGMLVTGMYSLKYINVAMVTILKNMTNILTAVGEIYIFRKGQNKKVWAALCLMVISAVCGGITDLSFHPVGYMWQLFNCFLTAGYSLTLRRVMDVAKQSTKSGSLNEVSMVLLNNALSIPLALILIVIFDEWQYVYEVEVTRDPMFWAFATASGLLGLAISFSSVWFLHRTGPTTYSLVGSLNKIPISVAGILLFNVPVFLLGYSLQRQKCPNVYL >ORUFI03G41250.1 pep chromosome:OR_W1943:3:32928429:32930753:1 gene:ORUFI03G41250 transcript:ORUFI03G41250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTQVKRTQVINQKEALLGIGEDGSWHAKFKDSAYVFVGGIPYDLTEGDLLAVFAQYGEVVDVNLVRDKGTGKSKGFAFLAYEDQRSTILAVDNLNGAKVLGRIVRVDHVSKYKKKEEEDEEELQKKREARGVCYAFQKGECNRGASCRYSHDEQRNANTGWGSKEESKARWEHDRHHEPPMSHKKFPSSAGEQRFPDRAKEENKSTGREGQSSRSEAYKDRDSRLRHSDRGSKDHDRYRHDRSPERSRGDRQRNNDRYAQGRDEKSERYRSEVKHDEGDQKRSRRDTDSSGHYERRGNEDSERYRKSRR >ORUFI03G41260.1 pep chromosome:OR_W1943:3:32931332:32936433:-1 gene:ORUFI03G41260 transcript:ORUFI03G41260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVLSLRIAPSTPPLGLGGGRFRGRRGAVACRAATFQQLDAVAVREEESKFKAGAAEGCNILPLKRCIFSDHLTPVLAYRCLVREDDREAPSFLFESVEQGSEGTNVGRYSVVGAQPAMEIVAKANHVTVMDHKMKSRREQFAPDPMKIPRSIMEQWNPQIVEGLPDAFCGGWVGFFSYDTVRYVETKKLPFSNAPEDDRNLPDIHLGLYNDIVVFDHVEKKTHVIHWVRVDCHESVDEAYEDGKNQLEALLSRLHSVNVPTLTSGSVKLNVGQFGSALQKSSMSREDYKKAVVQAKEHILAGDIFQVVLSQRFERRTFADPFEVYRALRIVNPSPYMAYLQARGCILVASSPEILTRVEKRTIVNRPLAGTIRRGKSKAEDKVLEQLLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNVERYSHVMHISSTVTGELRDDLTCWDALRAALPVGTVSGAPKVRAMELIDQMEGKMRGPYSGGFGGVSFRGDMDIALALRTIVFPTGSRFDTMYSYTDKNARQEWVAHLQAGAGIVADSKPDDEHQECLNKAAGLARAIDLAESTFVDE >ORUFI03G41270.1 pep chromosome:OR_W1943:3:32939674:32942823:1 gene:ORUFI03G41270 transcript:ORUFI03G41270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGRERRGGGRLLVGVLLLTLVVSGHCLESTHHRGLKRRRRKHEIHSPIKTVVVVVMENRSFDHILGWLSRTRPDIDGLNGTQSNRLNASDPSSPEIFVTDEAGYVDSDPGHGFEDIREQIFGSADTSAVPAPMSGFAQNARGMGLGMPQNVMSGFKPESVPVYAALADEFAVFDRWFASVPTSTQPNRLYVHSATSHGLTFNARKDLIHGFPQKTIFDSLEENGLSFGIYYQNIPATLFYQSLRRLKHLVKFHQYSLKFKLHAKWGKLPNYAVIEQRYFDCEMFPANDDHPSHDVARGQRFVKEVYETLRASPQWNETALIITYDEHGGFYDHVPTPVVGVPQPDGIVGPDPYYFKFDRLGVRVPSFLISPWIEKRTVIHEPNGPQDSSQYEHSSIPATVKKLFNLHSNFLTKRDAWAGTFENYFKIRKTPRTDCPEKLPEVTKSLRPFGPKEDSSLSEFQVELIQLASQLNGDHVLNTYPDIGRTMTVGEANRYAEDAVARFLEAGRIALRAGANESALVTMRPALTSRASPSSDLSSEL >ORUFI03G41280.1 pep chromosome:OR_W1943:3:32944009:32946969:-1 gene:ORUFI03G41280 transcript:ORUFI03G41280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLPSETEMSWSPATARKRALQSQDEQRDPKLHKPTPTPTPMETALFSAASLFRDADDDQDEMQVDADEQVQSVQYEERAHKFPGMELKIREFSSHQLNANMLWPGTFLFADWLVKNKSILHGRRILELGSGTGALAIFLRKAFQVDITTTDYDDGEIQENIAYNCKANDLGVLPHIRHTWGDQFPVLIPDWDIVIASDILLYVKQYPNLTRTLSFLLKEYKGCSQNAGSSASTAITNKSGTQVPVKFPIFLMSCRRRIGKDQSLFFEECEKAGLEVQHLGALVYLIYTKQ >ORUFI03G41290.1 pep chromosome:OR_W1943:3:32949214:32949896:-1 gene:ORUFI03G41290 transcript:ORUFI03G41290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSPVLGDENRVLARRNKSLTREEVEAFWKQHGGEMMSGSPLGSPAGGGMARSAPVSRSKAHASSPRGGRIDPATRVEGFFPHDDAAAAAAESPSKSHDWWTRSNWAFLNEPPQEEIAGKAQSYAPQFHVARIATGNA >ORUFI03G41300.1 pep chromosome:OR_W1943:3:32954896:32955875:-1 gene:ORUFI03G41300 transcript:ORUFI03G41300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWNSPVLGDEKKVRLMRNRSLTREEVDAFWRRQQRKQPPSSSTSSEPNATTSPLASPRAAANVVSPLASPRAAGDISPLAASPGRAQQEMSSSRCTLALRRLERMNSMPSPLARTVMTRADDHPYQSYSHSEPPSPAAPHAGDHRHQRSSFAADHDDDDDDVASTSSECWWTRSSWAFLNETPSPEQQMFGKSQTYACVQFHVSRVVTGNA >ORUFI03G41310.1 pep chromosome:OR_W1943:3:32970442:32973513:1 gene:ORUFI03G41310 transcript:ORUFI03G41310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKDFRSHLEETLPAWRDKYLAYKSLKKLIKNLPPDGDPPPVAAAAEVPAGDGDGDGGIALGNWFARVLDMELQKLNDFYIEREEWYVIRLQDL >ORUFI03G41320.1 pep chromosome:OR_W1943:3:32973933:32977204:-1 gene:ORUFI03G41320 transcript:ORUFI03G41320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAAAGYTAAALVCAAAATVIALVHIYRHLLHYAEPIYQRFIVRLIFMVPVYAVMSFLSLILPDNSIYFNSIREIYDAWVIYNFFSLCLAWVGGPGAVVFVILKPILVVITFILYAKGKYADGNFSVKQSYLYITIIYTVSYSMALYALAVFYAACRDLLRPYNPVPKFIMIKSVVFLTYWQGVLVFLAAKSRFIKNAEKAADLQNFVLCVEMLIAAIGHLFAFPYNEYAGPNGRPSGDFKGSLLHALKFNDFYHDTVHQFAPTYNEYVLYNHNEGDSTQRKYPSVSTVPSGRDVELSGISVMPANSAVTSSVSSNQADQEETMSTPIKDRVDNVGLYDLTDLLDVDLSNYPAKVPAISDVRKQ >ORUFI03G41320.2 pep chromosome:OR_W1943:3:32973933:32977204:-1 gene:ORUFI03G41320 transcript:ORUFI03G41320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAAAGYTAAALVCAAAATVIALVHIYRHLLHYAEPIYQRFIVRLIFMVPFVILKPILVVITFILYAKGKYADGNFSVKQSYLYITIIYTVSYSMALYALAVFYAACRDLLRPYNPVPKFIMIKSVVFLTYWQGVLVFLAAKSRFIKNAEKAADLQNFVLCVEMLIAAIGHLFAFPYNEYAGPNGRPSGDFKGSLLHALKFNDFYHDTVHQFAPTYNEYVLYNHNEGDSTQRKYPSVSTVPSGRDVELSGISVMPANSAVTSSVSSNQADQEETMSTPIKDRVDNVGLYDLTDLLDVDLSNYPAKVPAISDVRKQ >ORUFI03G41330.1 pep chromosome:OR_W1943:3:32980274:32987422:1 gene:ORUFI03G41330 transcript:ORUFI03G41330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPRHINPRLPTTSRPAARFISYHFPPLSLSPPSSFLPPLLSNGFSPPPPPPPPLSLSNPSASAAAAGAGAAPSLRLRAAFRCWALRRAGGGRWAAAGAIASPNSVLSEHAFKRLQLSDEEEEEEEGAYGSDEEGVEAVGGGEGDEDELAIARLGLPEQLVSTLEKRGITHLFPIQRAVLIPALDGRDLIARAKTGTGKTLAFGIPMIKQLMEEDDGRSVRRGRIPRVLVLAPTRELAKQVEKEIKESAPKLSTVCVYGGVSYNVQQNALSRGVDVVVGTPGRIIDLINGGSLQLGEVKYLVLDEADQMLAVGFEEDVETILQQLPAERQSMLFSATMPGWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLYAIPLTSTSKRTVLSDLITVYAKGGKTIVFTKTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKAGTAILMFTNSQRRTVRSLERDVGCRFDFISPPAIEDVLESSAEHVIATLRGVHTESIQYFIPAAERLQEELGPNALASALAHLSGFSQPPSSRSLISHEQGWVTLQLTRDPGYGRGFFSPRSVTGFLSDVSSAAADEVGKIFLTADEKVQGAVFDLPEEIARDLLSMELPPGNTITKVTKLPALQDDGPATDSYGRFSNSDRGFRNRRSRGGGSRGGRGGWDSDGEDRFRRGGRSFRSDNDSWSDDDFGGGRRSNRSSSFGGRGSSYGSRSSSSFGGRSSSFGSRDSSRSFSGACFNCGESGHRASDCPNK >ORUFI03G41330.2 pep chromosome:OR_W1943:3:32980274:32987422:1 gene:ORUFI03G41330 transcript:ORUFI03G41330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPRHINPRLPTTSRPAARFISYHFPPLSLSPPSSFLPPLLSNGFSPPPPPPPPLSLSNPSASAAAAGAGAAPSLRLRAAFRCWALRRAGGGRWAAAGAIASPNSVLSEHAFKRLQLSDEEEEEEEGAYGSDEEGVEAVGGGEGDEDELAIARLGLPEQLVSTLEKRGITHLFPIQRAVLIPALDGRDLIARAKTGTGKTLAFGIPMIKQLMEEDDGRSVRRGRIPRVLVLAPTRELAKQVEKEIKESAPKLSTVCVYGGVSYNVQQNALSRGVDVVVGTPGRIIDLINGGSLQLGEVKYLVLDEADQMLAVGFEEDVETILQQLPAERQSMLFSATMPGWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLYAIPLTSTSKRTVLSDLITVYAKGGKTIVFTKTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKAGTAILMFTNSQRRTVRSLERDVGCRFDFISPPAIEDVLESSAEHVIATLRGVHTESIQYFIPAAERLQEELGPNALASALAHLSGFSQPPSSRSLISHEQGWVTLQLTRDPGYGRGFFSPRSVTGFLSDVSSAAADEVGKIFLTADEKVQGAVFDLPEEIARDLLSMELPPGNTITKVTKLPALQDDGPATDSYGRFSNSDRGFRNRRSRGGGSRGGRGGWDSDGEDRFRRGGRSFRSDNDSWSDDDFGGGRRSNRSSSFGGRGSSYGSRSSSSFGGRSSSFGSRDSSRSFSGACFNCGESGHRASDCPNK >ORUFI03G41340.1 pep chromosome:OR_W1943:3:32990076:32991086:-1 gene:ORUFI03G41340 transcript:ORUFI03G41340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQCILESFSSCRSDRDYTKVLACHRWIQDEFLRKATHKWAFLSDMSPRKFVIFLAGRCSNQLKMKLQGWHAQMMASCHICSADASNFSCLN >ORUFI03G41350.1 pep chromosome:OR_W1943:3:32992982:32993350:1 gene:ORUFI03G41350 transcript:ORUFI03G41350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVRVAAKAPAAAAAWPYVEYMARWERQVERRQLFLRSYHFSRDVELSPRARARRVVWVGLRRLRRAAATGLRRLRARLRLCFAWVSRRRNIHRRGARYGRLSGGAAHAPAPAASSSVCFW >ORUFI03G41360.1 pep chromosome:OR_W1943:3:32997976:32998608:1 gene:ORUFI03G41360 transcript:ORUFI03G41360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDEIALEPGYVFHPSDDGLITLFLRPSIAKIPFEDRLINHADVYSADPAELVGEHRPAPGTHGSSSVWYFFCSPPFTSKRKTSGRRQRAVGGGGGESVWKSEGGKKAVIGADGRRVGYLQKFSYGVYESSSSGSARTFTRLGWCMTEYGLDDDAXSHCRGLRRWRLPAAETNSSRRRTDLAWAKRRSSSGWLREKPSTTFLARTLIK >ORUFI03G41370.1 pep chromosome:OR_W1943:3:32999698:33003751:-1 gene:ORUFI03G41370 transcript:ORUFI03G41370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHHGTP >ORUFI03G41370.2 pep chromosome:OR_W1943:3:33002559:33003751:-1 gene:ORUFI03G41370 transcript:ORUFI03G41370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLEGKGRNCSR >ORUFI03G41370.3 pep chromosome:OR_W1943:3:32999700:33002543:-1 gene:ORUFI03G41370 transcript:ORUFI03G41370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPHILFLSLLLLVPEDPNFAAGASANESFITLGSSINTSSTQYWSSSSGRFAFGFYPNGEGFSIGVWLVIGVSRTIVWTANRDEPPIAGGSIIFGHGGALQWSRTPSTPGSQLNPISDSSTPAASAAMLNTGNFVLYDMNRQVIWSTFSFPTDTLLAGQNLRPGRFLLSGVSQSNHASGKYRLENQQDGNLVMYPTGTIDSGSAYWSTWTFNMGLLLTLSLDPNGTIWMFDRKNSYTKVLFHANQPSNASPDMEIYYRLTFDPDGILRLYSHVFFKLGRAPTTEVEWLEPGSDRCLVKGVCGPNSFCHLTVTGETSCSCLPGFEFLSTNQSTLGCWRALPTGGCVRNSSNDETRVTTTMVEVKNTTWLENPYAVLPATTSIEACKLLCLSDCACDIAMFSDSYCSKQMLPIRYGRMPGNTTLFVKIYTYQTISGTRQRAMSIHANSALISGVSLAIFSLFVLLVASLLLICRHRRSLAHMTMTAPRQEDSRIDGNIVGLRSYSFQELDLATNGFGEELGKGAYGTVFKGVVADTNQDIAVKRLEKMAEDGQREFNREVRVIARTHHRNLLRLLGFCNEGIHRLLVYEYMPNGSLANLLFHSDASPAWSKRVAIALDVARGLQYLHSEIEGPIIHCDIKPENILIDSLGIAKIADFGLAKLLIGNQTKTFTGIRGTRGYLAPEWSKNRAITVKADVYSYGIMLLEVISCKKSMDLKRAGEEYNISEWAYECVMFGDAGKVADGVDEAELVRMVNVGIWCTQSEPVMRPAMKSVALMIEGAIEKNDEVCLKRCKVQQGSSVYHQFKYMSLHHGTP >ORUFI03G41380.1 pep chromosome:OR_W1943:3:33005182:33009909:-1 gene:ORUFI03G41380 transcript:ORUFI03G41380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATSEGAWQGDNPLRFSLPLLIVQICLVVVFTRGLAYALRPLRQPRVIAEIIGGILLGPSALGRNKRFLDNVFPKDSLTVLDTLANVGLLFFLFLVGLELDPASLRRTGRTALAVAAAGISLPFALGVGASLVLRAAIAPDAPRGPLIVFMGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDITAWVLLALAIALSGSGSPLVSIYVLLCGVAFVGFATVAVRPVLVFMARRSPEGEPVKESFVCAILVIVLAAGFATDAIGIHALFGAFVIGVLVPKEGACAGALTEKVEDLVSSLFLPLYFVSSGLKTDVTTISGAKSWGLLVLVMTTACAGKIGGTVAASLLMRVPLREALALGMLMNTKGLVELIVLNIGRDRKVLNEEAFAILVLMALVTTFMTTPAVTAVYKPARRQASYKHRTVERADADSELRVLACFHASRGIPTLINLVEASRGTRRSKLTMYAMHLVELSERSSAISMVQRARRNGLPFASRRGHEGGGGGGEVVVAFEAFQRLTAVTVKPMTAISDLDTIHDDIVASALDKRAAIILLPFHKMLCHDGTLEPVDRAFHQVNVRVLRDAPCSVAVLVDRALGGAAQVSAPDVSYSVLLLFFGGADDREALAYASRMGEHPGIALTVARFTAAADDAAEDDDAIQKHISNVRKAGNDGAFKYDEVSAHGRQEVAFAIKTLGRGKNLVVAGRSAAVATPLVDKTDCPELGHVGSYLATPEFSTTSSVLVVQKYDSRGDTGTSSSSHAGGEATVEESGVPIRRP >ORUFI03G41390.1 pep chromosome:OR_W1943:3:33012138:33022993:-1 gene:ORUFI03G41390 transcript:ORUFI03G41390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTVRPRPGAAAIIIAAVVFGAAAAAAGGGDGGMVGVDGTQFVVEGGRTIYFSGFNAYWLMMMASDPARRAAVVAAFTQASARGLNLARTWAFSDGGDQPLQSSPGVYDEAMFQGLDFVIAEARRHGIYLLLCLTNNFDDFGGKRQYVRWAADAGHNLTAGDDFFTSSVVKSYYKNHVKAVVTRVNTVTGVAYKDDPTIFAWELMNEPRCDADPTGGMVQAWVEEMAPYVKRVDGGRHLVTAGLEGFYGDGEHESKELNPWGIYYGTNYVATHRAAGVDFATIHLYPDVWLWGSTADEQAAFFRNWTRSHVHATAAFLGKPLLVTEYGKFLWKGGGANKTQRNYFLDVVLDAIYASASRGGPLVGGAFWQLLLNDDVVAGMDDLRDGYEIILAEDSRAASIIGEHSEQLASLNGQDAEALRRRRRRPASSHQKTRFGSGGDSDALRLPRTLLIRFISSFIQDNFLASFSLVQRPPPWRAAVAPGDGMVAVDGTQFVVDCGRTIFFSGFNAYWLMMMAADPALRGAVATAFQQASAHGLNLARTWAFSDGGDQPLQSSPGVYNETMFQGMDFVIAEARRHGIYLLLCLTNNFDNFGGKRQYVRWARDAGHNLTADDDFFTSTVVKSYFKNHVKTVLTRVNTLTGVVYKDDPTIFAWELMNEPRCYADPTGAMVQAWVEEMAPYVKRVDGRHLVTPGLEGFYGDGEHESKELNPWGIYYGTNYVATHRAAGVDFATIHLYPDVWLWGSTADEQAAFFRNWTLSHIDATAAYLGMPLLVTEYGKFLWKEVGANKAQRNYFLDLVLDAIYASASRGGPLVGGAFWQLLLDDDIVAGMDSLRDGYEIILAEDSRAASIIGEHSEQLAALNGQDADVLCRRASSHRKTRLGNSLSCGGGGDTLELLLRMVLACFVSLSRSISSFIVQNFILL >ORUFI03G41390.2 pep chromosome:OR_W1943:3:33011264:33022993:-1 gene:ORUFI03G41390 transcript:ORUFI03G41390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTVRPRPGAAAIIIAAVVFGAAAAAAGGGDGGMVGVDGTQFVVEGGRTIYFSGFNAYWLMMMASDPARRAAVVAAFTQASARGLNLARTWAFSDGGDQPLQSSPGVYDEAMFQGLDFVIAEARRHGIYLLLCLTNNFDDFGGKRQYVRWAADAGHNLTAGDDFFTSSVVKSYYKNHVKAVVTRVNTVTGVAYKDDPTIFAWELMNEPRCDADPTGGMVQAWVEEMAPYVKRVDGGRHLVTAGLEGFYGDGEHESKELNPWGIYYGTNYVATHRAAGVDFATIHLYPDVWLWGSTADEQAAFFRNWTRSHVHATAAFLGKPLLVTEYGKFLWKGGGANKTQRNYFLDVVLDAIYASASRGGPLVGGAFWQLLLNDDVVAGMDDLRDGYEIILAEDSRAASIIGEHSEQLASLNGQDAEALRRRRRRPASSHQKTRFGSGGDSDALRLPRTLLIRFISSFIQDNFLASFSLAIQATTIRKNVGLPNFLHFL >ORUFI03G41390.3 pep chromosome:OR_W1943:3:33011264:33022993:-1 gene:ORUFI03G41390 transcript:ORUFI03G41390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTVRPRPGAAAIIIAAVVFGAAAAAAGGGDGGMVGVDGTQFVVEGGRTIYFSGFNAYWLMMMASDPARRAAVVAAFTQASARGLNLARTWAFSDGGDQPLQSSPGVYDEAMFQGMDFVIAEARRHGIYLLLCLTNNFDNFGGKRQYVRWARDAGHNLTADDDFFTSTVVKSYFKNHVKTVLTRVNTLTGVVYKDDPTIFAWELMNEPRCYADPTGAMVQAWVEEMAPYVKRVDGRHLVTPGLEGFYGDGEHESKELNPWGIYYGTNYVATHRAAGVDFATIHLYPDVWLWGSTADEQAAFFRNWTLSHIDATAAYLGMPLLVTEYGKFLWKEVGANKAQRNYFLDLVLDAIYASASRGGPLVGGAFWQLLLDDDIVAGMDSLRDGYEIILAEDSRAASIIGEHSEQLAALNGQDADVLCRRASSHRKTRLGNSLSCGGGGDTLELLLRMVLASIQATTIRKNVGLPNFLHFL >ORUFI03G41400.1 pep chromosome:OR_W1943:3:33028884:33030431:-1 gene:ORUFI03G41400 transcript:ORUFI03G41400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPALAGARAFAATVSGNLGIPIPAISAPSPSQARRRASLVVVAKVKVSTPQADRIARHVRLRKKVSGTTERPRLSVFRSNKHLYAQVIDDTKSCTLVSASTMHKSLSKDLEYSAGPTVEVAQKIGEVIAKSCLEKGITKVVFDRGGFLYHGRIKALADAARENGLDF >ORUFI03G41410.1 pep chromosome:OR_W1943:3:33031510:33032160:-1 gene:ORUFI03G41410 transcript:ORUFI03G41410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDEIALEPGYVFHPSDDGLITLFLRPSIAKIPFEDRLINHADVYSADPAELVGEHRPAPGTHGSSSVWYFFCSPRFTSKRKTSGRRQRAVAGGGGGESVWKSEGGKKAVIGADGRRVGYLQKFSYGVYESSSSSGSARTFTRLGWCMTEYGLDDDAXSHCRGLRRWRLPAAETNSSRRRTDLAWTKRRSSRGWLPEKSSTTFLARTLMKQVFD >ORUFI03G41420.1 pep chromosome:OR_W1943:3:33033589:33036112:-1 gene:ORUFI03G41420 transcript:ORUFI03G41420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAQRLLAASTKIVGVGRNFVAHAKELGNPVPKEPVLFLKPTSSFLHAGVAGAAIEVPEPVESLHHEVELAVVISQRARDVPEASAMDFVGGYALALDMTARELQSAAKSAGLPWTLGKAQDTFTPISAVIPKSDVANPDDLELWLKVDDELRQKGSTSDMIFKIPSLISYISSIMTLMEGDVILTGTPEGVGPVRPGQKIKAGITGLIDVEFDVQKRKRSFST >ORUFI03G41420.2 pep chromosome:OR_W1943:3:33033591:33036112:-1 gene:ORUFI03G41420 transcript:ORUFI03G41420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAQRLLAASTKIVGVGRNFVAHAKELGNPVPKEPVLFLKPTSSFLHAGVAGAAIEVPEPVESLHHEVELAVVISQRARDVPEASAMDFVGGYALALDMTARELQSAAKSAGLPWTLGKAQDTFTPISAVIPKSDVANPDDLELWLKVDDELRQKGSTSDMIFKIPSLISYISSIMTLMEGDVILTGTPEGVGPVRPGQKIKAGITGLIDVEFDVQKRKRSFST >ORUFI03G41430.1 pep chromosome:OR_W1943:3:33036836:33039371:-1 gene:ORUFI03G41430 transcript:ORUFI03G41430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRHRTVEANGISMHVAEAGPGGGTAPAVLFVHGFPELWYSWRHQMGHLAARGYRCVAPDLRGYGGTTAPPEHTSYTIFHLVGDLVALLDALELPQVFVVGHDWGAIVSWNLCLLRPDRVRALVNLSVAFMPRRPAEKPLDYFRGAFGDDYYVCRFQEPGVEKELASLDLKRFFKLALIVQTTGSSAMSIKKMRANNREVTLPPWLSEEDISYLASVYAKTGFAGGINYYRCFDLNWELMAPWTGAKVLVPTKFIVGDGDLAYHLPGVKSYIHKGGLKKDVPMLEEVVVIKGAGHFIQQERAEEISDHIYNYIKKFNTGVSSPKLSRL >ORUFI03G41440.1 pep chromosome:OR_W1943:3:33040136:33043320:-1 gene:ORUFI03G41440 transcript:ORUFI03G41440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVAAAAAVIRHRTVEANGISIHVAEAGGEGGAGAAAVLFLHGFPELWYSWRHQMEHLAGRGFRCLAPDLRGYGDTDAPPEIESYSAFHVVGDLVALLDALGLAKVFVVGHDWGAIIAWYMCLFRPDRVTALVNTSVAFMRHVFIRSGADAIKTTDHFHKAYGPTYYICRFQEPGVAEEEFAPAHARHIIRRTLCNRFSVHKAGKPESEESPPLPAWLTEEDVDYFAAAFERTGFTGGINYYRNMDRNWEMAAPWADAKVQVPTKFIVGDGDLTYHYAGIQDYLHKGGLKAEVPLLEDVVVIPGAGHFIQQERAEEVSDLIYNFITKFTPQSN >ORUFI03G41450.1 pep chromosome:OR_W1943:3:33045392:33046060:-1 gene:ORUFI03G41450 transcript:ORUFI03G41450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSMAMFVVVLMCASAMAAQARLPPGSSPLVAACTAGPFSKLCVKDLGHRLLDIQTVLTSVSNHGAAIAGAPGQVDFRSLVAVAMEAATESGAVAATVFEGKLPGFNKSVPDFKACLDNCSVTVSSAMKEIHGAAAALKAGDDDVAKTLVLRAINDVTMCTYSCRELNGDMAVILEHSLVQFQKMMRIAVNFISKMKKSPLPPPPRSTPPAPPTPHRLH >ORUFI03G41460.1 pep chromosome:OR_W1943:3:33049751:33060953:-1 gene:ORUFI03G41460 transcript:ORUFI03G41460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIASTNQIEADTLSYQINSPLAHYIKRPPIPSTSSNSIDHILAAAAGNCVHRRRRRRRRRSMAKPSAAPVTGVPVGSAAWSSGLFDCFDDCGLCCMTCWCPCITFGRVAEIVDRGSTSCGASGALYALLAMVTGCQCIYSCTYRGKMRAQYGLADAACGDCCVHCWCESCALCQEYRELVARGYDPKLGWHLNADRAAAAGAAPAVHAEIVSRGERTCCAAGVLCVLLGFFAHCHCLYSCCYRGKMRDSFHLPEDPCCDCCVHALCLQCALCQEYRHLKSLGYKPSLGWLGNNQHPDKPTAGPVSGVPVGSAPWSSGLFDCFDDYGLCCMTWWCPCITFGRLAEIVDMGSTSCGNSGALYVFLAVVTGFQWIYTCTYRGKMRAQYGLSGEPCGDCCIHCWCEPCALIQEYRELAARGYDPKLGWHLNMERRAAAAAAAPAVQHMGR >ORUFI03G41470.1 pep chromosome:OR_W1943:3:33050049:33071137:1 gene:ORUFI03G41470 transcript:ORUFI03G41470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISASLPNVYRSPRAVCAEARTAAAAKSADSPCSGSKRKADDGADHPEAPPSARPRQEEAGSEHGEQPAILPELDLDALLSAPMDDSLGVEFDTATTEQYMRYLMNDEPLPWAPTMEIRMNHHGTVAGGENGNVHRPQSSKQSKRPEDQAAEPTGTPTEGVGNMKQRVSITHSHNNLIMGVWKNLQRVGEFSRPV >ORUFI03G41480.1 pep chromosome:OR_W1943:3:33065617:33066276:-1 gene:ORUFI03G41480 transcript:ORUFI03G41480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSAAAWSTGLLDCFDDCGLCCMTCWCPCITFGRVAEMVDRGSTSCGTSGALYALLATVTGCQFVYSCVYRGKMRAQYGLGDDAACADCCVHFWCNKCALCQEYRELVARGYDPKLGWDLNVQRGAAAAAAPAVQHMGR >ORUFI03G41490.1 pep chromosome:OR_W1943:3:33073189:33073794:-1 gene:ORUFI03G41490 transcript:ORUFI03G41490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRGSVRLLLLILLLRPAAAGSPLMMTCAKTPHPDVCITVLGAIPECRNTGDPRVLAENAVRSAATIGAAAGTFARAELDIVKDTDMWQCLDECAQDIEEAVSHLDDTEGGVVDLDAKFKDVRLFMDVAERDTWSCEESCRDAPDSTVKATLLDKNEAFEKFMRVTGALIEMVIGTAGEPAPEPSADEHSDELIPDVQL >ORUFI03G41500.1 pep chromosome:OR_W1943:3:33077402:33077947:-1 gene:ORUFI03G41500 transcript:ORUFI03G41500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLRLVVVAAAVAISLRGAAATAVTVEDACRHTRHEAYCVKALSARPESRAAALDMPALAEAALSMAAESGAAATSFVRNLAKMPGGMPPECLEGCVAKFQEAVAELRRSEAAMEVRHDAAGAKAWVTEARADGETCMDECRMTEGGAAPEIADRIDELAKLCSIALALTNASMSKHP >ORUFI03G41510.1 pep chromosome:OR_W1943:3:33078247:33082695:-1 gene:ORUFI03G41510 transcript:ORUFI03G41510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVAAAGAAAASGTALLAYILLACCRPQPAPEAGEEEEEESRLLSSGAEARGREAGDGGEEEEEWPCRPPSTCCEAAAVAARTARRTWDLTVGRWGLHGIAFGIKRHMKRQGDLQHEYSGNDCLQLKGHDAHTEVAYLLEHLKICMFYSKKTFSAFLQFGGYNQEDILIHKARARLMQPSFALVRDKKSKCFLLFIRGAISTKERLTAATAAEVPFHHIVLSEGQISNVVLGYAHCGMLAAARWIANLAKPHLHKAVQEFPDYQIKVIGHSMGAGIGAILTYILHEHHEFSSCTCLAFAPPACMSWELAESGKEFVTSLINRNDVVPAFSKVSAENLRAEVMVSSKLDDEQDQAHFSLFTAISKRVAFIKSHMLSVSHPTEKNTDPDSSISEPLLKHVPEITQPVTNGLSTDCNQHQTDLVANTEQDFSAVSVITSEEKIVLSSNDNVISTKSVAGSGFAAQGDVNINGSLDTEQEQSSLTGQEEPESLKQNCDIKDKLKEPLPTCSSRQFFPPGRIIHMVAMASPDPNPGEGSSSNEIISIYETPRDLYGKIRLAPNMIKEHYMPSYISTMESLLEQLLKDDNVDTITNDL >ORUFI03G41520.1 pep chromosome:OR_W1943:3:33086672:33088237:1 gene:ORUFI03G41520 transcript:ORUFI03G41520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADGGGGSKAQAVREVCAASAAFSACTHRRRQRSPPFVDWYLVLAVADAATEDAVRRRYRQLALQLHPDKNTHAKAEVAFKIVSEAHACLTDGARRRAFDDERAASYCAACHDRFRHRAERRTPAAATATGGAQHGKHRGGGGGGRRMPVAAQALREVQNRLRDECRVIDSCLKANGGGGARRRQSFPLFDPSDRLRFPGYPHTRPPPPPPFAAEFCRFEENLAADRNQRWCGSGAGESPVYQIRTAPERAARTKRHW >ORUFI03G41530.1 pep chromosome:OR_W1943:3:33088865:33094137:-1 gene:ORUFI03G41530 transcript:ORUFI03G41530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNISGPLVPPNSSGSEQKPSSSYSDRDRVAVSEIAMAGGGDLQSPKKRKRDAAGKPKTLAKGGDDGKKRKRPSDANMAQGGGGGEVKVKKEPVTAKEKRLAAKEMAESRKKKRKRNYSLEKELTVLWEKMRCHNVSSTERSKLVSEALRKMDGKYSEIAGSHVTARVLQTCVKLCSQSERDAIFEALQPDLLTLSLKKYAVFLVKKLIKRATKKQFEWFISSLHGRVAKLLRHTIGASVVDFAYQLATPPQKRRLLLELYSTELQLFTDLTGQKTHSLLETISNLGLQKSSVLQHMTTVIYPILEKGIVEYPIVHTAVLEYFTIADKTSATDVIRQFIPLLTQGSSAIDGDEPSVAPELQKKLKAKKKRLTEPLLVRIMNTREGLKIGVSCLKHGSAKDRKKIIKSLKGHIMKLALSDYGCILLVAILSVVDDTKLVTKIVIQELAKHLKQLIFDKDEASESAAEDIPENKVLIQTLIENVGELLRTNFGKDVLHEVAVGGEDNILEGITDRIHSLHNAIASDAARPKAEDTEHAFDNYHSSRLIRRLILESPAFAAILWKKALEGKCKTWADGHSSKVVAAFLESPDSKVRDLAKAELQPLVDRGILKISDHKAVEK >ORUFI03G41530.2 pep chromosome:OR_W1943:3:33088865:33094137:-1 gene:ORUFI03G41530 transcript:ORUFI03G41530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNISGPLVPPNSSGSEQKPSSSYSDRDRVAVSEIAMAGGGDLQSPKKRKRDAAGKPKTLAKGGDDGKKRKRPSDANMAQGGGGGEVKVKKEPVTAKEKRLAAKEMAESRKKKRKRNYSLEKELTVLWEKMRCHNVSSTERSKLVSEALRKMDGKYSEIAGSHVTARVLQTCVKLCSQSERDAIFEALQPDLLTLSLKKYAVFLVKKLIKRATKKQFEWFISSLHGRVAKLLRHTIGASVVDFAYQLATPPQKRRLLLELYSTELQLFTDLTGQKTHSLLETISNLGLQKSSVLQHMTTVIYPILEKGIVEYPIVHTAVLEYFTIADKTSATDVIRQFIPLLTQGSSAIDGDEPSVAPELQKKLKAKKKRLTEPLLVRIMNTREGLKIGVSCLKHGSAKDRKKIIKSLKGHIMKLALSDYGCILLVAILSVVDDTKLVTKIVIQELAKHLKQLIFDKDEASESAAEDIPENKVDVATNKEQDGSEGMQTENKVDAATNKEQDGSESMQSASDSKKDPFQRRHELLIKSELAEVLIQTLIENVGELLRTNFGKDVLHEVAVGGEDNILEGITDRIHSLHNAIASDAARPKAEDTEHAFDNYHSSRLIRRLILESPAFAAILWKKALEGKCKTWADGHSSKVVAAFLESPDSKVRDLAKAELQPLVDRGILKISDHKAVEK >ORUFI03G41540.1 pep chromosome:OR_W1943:3:33095121:33097020:1 gene:ORUFI03G41540 transcript:ORUFI03G41540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSVEKGSGSIDPDERTASGEPKACTDCHTTKTPLWRGGPSGPKSLCNACGIRYRKKRREALGLDAGEGGAERQEKKKSKRERGEEVTMELRMVGFGKEVVLKQRRRMRRRRRLGEEEKAAILLMALSSGVIYA >ORUFI03G41550.1 pep chromosome:OR_W1943:3:33100585:33104454:1 gene:ORUFI03G41550 transcript:ORUFI03G41550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSGGATSSSSRNSVERFYLPPHSRRQQQQQQQRLRSPTSPSLSPSPSPRSGRHKAAAAAPPAVVAAAAVGAGVLTDGDSRVDSDDSSSTSSKPSVASTATATTTAADVNVTAVEESGNLERFLTSTTPSVPFQYLPKTSLKMWRTGDCTNTSPYFCLEDLWESFREWSAYGAGVPLLLNGSDSVTQYYVPYLSAIQLYADPSRSVSRTRRLGDESDGEYLDASSESSSETDVDRLRVSSVEATHGMANGSLRTDDADGYASASSPIFQYMERDPPFCREPLTDKVSILASRFPALKAFKSCDLLPSSWMSVAWYPIYRIPTGPTLEDLDACFLTFHCLATPSKDSDSTTPACPGFGGISPCANATGKLSLPAFGLASYKLRSSIWASDGTQGQRVTSLMEEAGNWLSCVQVEHPDFRFFVSRSAALSTSAYGT >ORUFI03G41560.1 pep chromosome:OR_W1943:3:33105419:33105643:1 gene:ORUFI03G41560 transcript:ORUFI03G41560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLEEFRGGSAPPRDGDERTVASSSATPWWSGDPEAKRRRRVAAYKAYAVEARVKASLRRGFRWIKDRFARRW >ORUFI03G41570.1 pep chromosome:OR_W1943:3:33106260:33108733:-1 gene:ORUFI03G41570 transcript:ORUFI03G41570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADRLLHLLRAAPASPAPAAVPSSRTRATFYHQPRSLRLAAGASGMRRVAVACSSAGAGGSGVEGDEEGMTYKGAGVDIDAGTELVRRIGRLAPAIGGFGGLFPFGDQFLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYYATSKLDVDLAEKVIKGIVDGCRQSDCALLGGETAEMPDFYKEGEYDLSGFAVGAVKKDKVIDGKNIMEGDIIIGLPSSGVHSNGFSLARRVLEKSGLSLNDQLPRNDGMITTVGEALMAPTVIYVKQVLEIISKGGVKGIAHITGGGFTDNIPRVFPSGLGAKIFTAAWEVPPVFRWIQEVGKIEDAEMRRTFNMGIGMVLVVSKEAADGILEGTHGPNHAYRIGEVISGEGVHYV >ORUFI03G41580.1 pep chromosome:OR_W1943:3:33109677:33110440:-1 gene:ORUFI03G41580 transcript:ORUFI03G41580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKELDYFKEYAARLQSFRGDDDAAAAATLSEALYIVSMGTNDFLENYYAMARAQAAEYSTAVAYGDYLVGIGASPSRTRSSASCTRSVPARSTLTASRPWAASRWSAPRGAAARRFNAGLQDMIARLNDELGDGEMIVYGDVYRSVAAGGVRGGERRQQHHAHAGQPAAAHLCHLLRHVRPR >ORUFI03G41590.1 pep chromosome:OR_W1943:3:33114729:33119885:1 gene:ORUFI03G41590 transcript:ORUFI03G41590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKPTSKGHGFFSLFDWGKKSKKRLVSGNGSDSPAPRVSENFRESDGGTPSTRPNSFLEDAPSLKESSEHSCSSSVVDDEALVRRGPTVVARLMGLDSMPAASSSGSYTMPLTVQQSPQNSTIHDEFIGRSYVGSPHKMPGSPHKMPGSPIDRFRMEALPPRFAKRTLSVAQNKLSPMKNPNHISSRNAADIMEAASRIIGAGVEVISPYRVRDVGYANTVRVYSQREIAIVQQRPPRMNEALKKHDGLTSYRLPTGKPLDGSLKSSGNTSASVVSQSNGGAPVGPKVKASSRSSPDSRATNVQGREDISKISRKLATRDPERRMVERNGINQGKNNNQVGMASSSNVLVQNNRKQNAMVKHKVNSKPPTPNRQRSNTHSINGTMRKVGTAGTPSENNTQGNRNMELRSTGHANRRQNSTAKSIPKPGRLPDGRIHSVKTRPSDKDIADRSQRRVRHNIVIDEQSPFSMNKKKISTDIVSFTFTAPVDKPLSGYRLPNHLVEKQFMKNASSVPNSSETSSAKFDSIDGDYLGLLLEQKLRELTSGVRSPYCKPAKDVRIYAPSSVLEDSQSACETSSIASTDYDRESVQSYKDGKGSFTQTDLASKSGQSSQSVKYDNDAMDQMEIERLHLSPLSTWDASVSTETGSSTESWRSANGTKVFSSTEGATTSNSACFSKFLEADAFSEYSDTASSITVTTTDIPPSDSSSSSRMDCRQEIDFIREILNTSPLNGQICSGLERFINSDILDLQLLEDLNGDIRLAVGVAEGKTLRMNRRLLFECVNEILSVRCAYYFNAGYGSWFLGMAILKKLTAEEIYAEMTDLKVAEEWMVDELVYKEMSSPLGSWVDFKLESYESGIDITTELLGSLIDEMVADLLLVSDTSL >ORUFI03G41600.1 pep chromosome:OR_W1943:3:33122493:33127065:1 gene:ORUFI03G41600 transcript:ORUFI03G41600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKIELDHKDMVHDSAIDYYGKSLATASSDSTVQISSIGGASAPSQLLATLSGHYGPVWRVAWAHPKFGSILASCGYDGRVVVWKEGAAGQWSQAHVFDNHKSSLNSIAWAPYELGLCLACGSSDGSISVMTMRPDGGWDSTTIEQAHPVGVMAVSWAPATALGSIVGSGELVQKLVSGGFDCVVKVWAFVNGSWKLDSVLPSDMHTDCVRDVSWAPVLGLAKFTIASASEDGKVVIWTKGKEGDKWEGKVMHDFEAPAWRVSWSLTGNILSVAAGSGDITLWKEASDGQWEKVTKLLGSGQSNMSSNPVGVDNTFRRKFDKEEYLERARQREREEKEEARKGKEKGPPVQRQPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCKVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQKRFESLKKRKDPDLDERILKQQQEDEERKRQRKEKKKEKKKELAAQNEPEEDIDPDVAAMMGFGGFRSSKK >ORUFI03G41610.1 pep chromosome:OR_W1943:3:33128180:33129274:1 gene:ORUFI03G41610 transcript:ORUFI03G41610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGKGLVFSPSDDQLTDGYLRSYLVRTSLDDLPSAATSYFHVADVYSAPPDQLVAGLAPAPGTGDGDGRVWYVFTPVRVLGSRGARKARTVGGGCGECWHAEGGPKDVKGSAAGGKLQKFSYKIKTALGAVVKPGWLMVEFSFPGSDHLALCKVYRSPRTSRYGAPSPPSSAASSPSRAAPPPVSSTSGRKRKAEEESDHPEAPASSAPRRTLPASEQHVDVDAAAASEPDQGGYLSTDQLDSVAAFVQEHEAFVQEHEGDEEFCKSLGFDERSDPQCWTNFFLSALEEFGPAPETDAAAVAVAAVEPGPCPEYEEHDDTATTAASSHAYDSATAELVNLSDKEFYDIIFSGDQQGGAAVAG >ORUFI03G41620.1 pep chromosome:OR_W1943:3:33129532:33133399:-1 gene:ORUFI03G41620 transcript:ORUFI03G41620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRRSAAAVAAVVALASVAAVAGEVFFQEKFDDGWEDRWVKSEWKKDDNRAGEWNHTSGKWYGDADDKGIQTSEDYRFYAISAKYPEFSSKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGETPYSIMFGPDICGYATKKVHAILTKNGKNHLIKKDVPCKTDQLTHVYTLIIRPDAKYSILIDNTEKQTGSIYDDWNIIPPKNKRDPEAKKPEDWDDNEYIPDPEDKKPEGYDDIPKEITDPEATKPEDWDDEEDGEWTAPTIPNPEYKGPWNQKKLKNPNYKGKWKAPLIPNPDYKDDPYIYAFDSLNHIGIELWQVKSGTLFDNILITDDPEYAKKFAEETWAKHKDAEKAAFDEAEKKRLEEESANSKIDDSDDDASDDEDEADDDKADVVAEQTKDKGDEKPQDIKK >ORUFI03G41630.1 pep chromosome:OR_W1943:3:33134844:33136908:-1 gene:ORUFI03G41630 transcript:ORUFI03G41630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDESAAAAAAAAAAAGGAAAGEAAVDSKDLQQQSKALDKLTDRVEDRQLDSSRVQSAMAALASSKEADWNAMRLREKELAAVKINPADVEIIANELELDKKIAERTLREHKGDAVAAVRFLLR >ORUFI03G41640.1 pep chromosome:OR_W1943:3:33138566:33142045:1 gene:ORUFI03G41640 transcript:ORUFI03G41640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALLRLLSACGGVWPTSPAPPARSSSSSSAAAAADQAAAEGRDGLLWWRDLARCHAGELSVAVVQGNHVLEDQCRVESGPPPLAATCIGVFDGHAGPDAARFACDHLLPNLREAASGPEGVTADAIRDAFLATEEGFLAVVSRMWEAQPDMATVGTCCLVGVVHQRTLFVANLGDSRAVLGKKVGRAGQITAEQLSSEHNANEEDVRQELMAQHPDDPQIVALKHGVWRVKGIIQVSRSLGDAYLKHSQYNTEQIKPKFRLPEPFSRPILSANPSIIARCLQPSDCFIIFASDGLWEHLSNQQAVEIVHNHQRAGSARRLIKAALHEAARKREMRYSDLMKIDKKVRRHFHDDITVIVLFINYDQLAKGHSQGQSLSIRCALDH >ORUFI03G41650.1 pep chromosome:OR_W1943:3:33143068:33150332:1 gene:ORUFI03G41650 transcript:ORUFI03G41650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAASADGGAFLEFVDYAISMLSSSSSGGNGDESPGAGPAPARPPWGWAVAQVLKSCCAYSSGVTAAILLSDLFQSWTEQSKSLTAKRKVELTNLLKTRNRRRRLPNTITIDSIHEKNFLSPKSVLEAVVIDVFVIPGTNIYMLTLGDMWSTSTIDLYLHRRYYNYIGQHDVLKKGREVMLTGCCLRTAMEGSGHARILPTEYMVMLLDEEEDEDAMLLAAQFCTYSFSSMMLDENRNNISYSFYARIEKIESLEPFGSTERKQIVLVDNDDAKIKFVLWGEQVLLANLFSVGSMLALHRPFIANYVHNNHEESQELCLEYGSATQVYLVPIAQQEEQVLLTPTQIRSQGSRLSCVPSDHMASQVTLPRDLHGSVDFSKYPFRVYVSDLHDKMVGVSLFGMVTSVCKASTSGTYFYLEIEDATGVVLMKLNFIGLWSLGRVGVGHMVYMSGLTCTLSSTNKLEVAWTEKEPGSLFVNISLLPALLNSTCLHNLSLLSDLPHSTNRTHICHVRLDHIDVNSLKLHLTIADDSEKVFAWCIGQTAVEFLQISPDEYMELPEDERAMYLYTLQNENFTVAIANTSQRIEAYIEGEKFLPVWEITRAQKCE >ORUFI03G41650.2 pep chromosome:OR_W1943:3:33143068:33150332:1 gene:ORUFI03G41650 transcript:ORUFI03G41650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAASADGGAFLEFVDYAISMLSSSSSGGNGDESPGAGPAPARPPWGWAVAQVLKSCCAYSSGVTAAILLSDLFQSWTEQSKSLTAKRKVELTNLLKTRNRRRRLPNTITIDSIHEKNFLSPKSVLEAVVIDVFVIPGTNIYMLTLGDMWSTSTIDLYLHRRYYNYIGQHDVLKKGREVMLTGCCLRTAMEGSGHARILPTEYMVMLLDEEEDEDAMLLAAQFCTYSFSSMMLDENRNNISYSFYASVGSMLALHRPFIANYVHNNHEESQELCLEYGSATQVYLVPIAQQEEQVLLTPTQIRSQGSRLSCVPSDHMASQVTLPRDLHGSVDFSKYPFRVYVSDLHDKMVGVSLFGMVTSVCKASTSGTYFYLEIEDATGVVLMKLNFIGLWSLGRVGVGHMVYMSGLTCTLSSTNKLEVAWTEKEPGSLFVNISLLPALLNSTCLHNLSLLSDLPHSTNRTHICHVRLDHIDVNSLKLHLTIADDSEKVFAWCIGQTAVEFLQISPDEYMELPEDERAMYLYTLQNENFTVAIANTSQRIEAYIEGEKFLPVWEITRAQKCE >ORUFI03G41650.3 pep chromosome:OR_W1943:3:33143068:33150332:1 gene:ORUFI03G41650 transcript:ORUFI03G41650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAASADGGAFLEFVDYAISMLSSSSSGGNGDESPGAGPAPARPPWGWAVAQVLKSCCAYSSGVTAAILLSDLFQSWTEQSKSLTAKRKVELTNLLKTRNRRRRLPNTITIDSIHEKNFLSPKSVLEAVVIDVFVIPGTNIYMLTLGDMWSTSTIDLYLHRRYYNYIGQHDVLKKGREVMLTGCCLRTAMEGSGHARILPTEYMVMLLDEEEDEDAMLLAAQFCTYSFSSMMLDENRNNISYSFYARIEKIESLEPFGSTERKQIVLVDNDDAKIKFVLWGEQVLLANLFSVGSMLALHRPFIANYVHNNHEESQELCLEYGSATQVYLVPIAQQEEQVLLTPTQIRSQGSRLSCVPSDHMASQVTLPRDLHGSVDFSKYPFRVARQGRCWSYGVHVWFDLYLEFNKQICHVRLDHIDVNSLKLHLTIADDSEKVFAWCIGQTAVEFLQISPDEYMELPEDERAMYLYTLQNENFTVAIANTSQRIEAYIEGEKFLPVWEITRAQKCE >ORUFI03G41660.1 pep chromosome:OR_W1943:3:33152171:33157938:1 gene:ORUFI03G41660 transcript:ORUFI03G41660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVPGGGGAAAAAEAEVVPTFSSLEPIYGDGSPLDEARLRLARLADKFHAAYAARPALFARSPGRVNLIGEHIDYEGYSVLPMAIRQDMIVAIRRAEGKEVRVANVDDKYPICVYPADPDKEIDIKNHKWGHYFMCGYKGVYEYCRSKGIDMGGPVGLDVVVDGTVPTGSGLSSSAAFVCSATIAIMGVLEKNFPKKEVAQFTCQSERHIGTQSGGMDQAISIMAKPGFAELIDFNPIHATDVQLPPGGTFVIAHCLAESKKAETAATNYNNRVVECRLAAIVLAIKLGMETKKAVSSVTTLSDVEGLCVSFAGKEGSSDPGVAVKKLLHEESYTTEEIEKITGQSLTSIFQSSQTSLDVLRAAKHFKLFQRAFHVYSEARRVYAFRDTVLSKLSAEDMLQKLGDLMNESHYSCSVLYECSCPELEELVKVCRDNGALGARLTGAGWGGCAVALVKEGIVPQFILNLKETYYKSRIDRGVINQKDLGLYVFASKPSSGAAIFKL >ORUFI03G41670.1 pep chromosome:OR_W1943:3:33162717:33168284:1 gene:ORUFI03G41670 transcript:ORUFI03G41670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKAFTKSLLFHSNKIVRRLKSFVLHAHPPPPPPHVVRRPPSSDELPAAADVTVCKVEGGLLMSPSTFPYFMLVALEAGGLFRGLLLLLLYPVLLLLGHDRATKLMVMVSFAGVRKEKDGSPSFRVGRAVMPKLFLEDVSAEVFDAAARRRRLVCVSSMPREMVEPFLKEYLAVDAVVAPELRAFRGYYLGLAESDGEVMQRLDMEEVIGMKERGGAGDGDGDGQVTVVGIAGLGNSFHQLFQNYCKEVYVASEWARRRWRPLHPRRYAKPLIFHDGRVAFRPTTSATLAMFVWLPLAVPLALLRVALIVVVLPFSLAAPIAAALGIHCRCIAASTLRAAAVLDLFVCNHRSLLDPLYVSAVAGRADLAAATYSISRLSEILAPIRTFRLTRDRAADRAAMQAHLSRSRRGGGGGGLVVCPEGTTCREPFLLRFSPLFTELGADVQPVALHSEVAMFHGTTAGGWKMLDPFFLLMNPSPAYVVHFLDPVAGGGGGPEVANEVQRRIAETLGYTCTALTRRDKYLVLAGNDGVVANNNKSN >ORUFI03G41680.1 pep chromosome:OR_W1943:3:33168940:33173277:-1 gene:ORUFI03G41680 transcript:ORUFI03G41680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGSQSKSVLNHASPNRQNAANCEVLNTPESDVMDGENRSSHVQGGSNVSGVNYGQKTKGIKKNNRSNGISSSGKSDDRASKKQSVDTNYDIGNSGENDNELSSSTSKARRDSKRSSRRGCGKNSSIEQTPMPVFAEKVLEKTRCIACMAASIFRASVMYIIEESKLLLERNRPAITTFMAIVHKGHDYVRSKIKYTYPICRAWMFSAGKLILLLLAVWFNCNIRGFDSLLRLGTNSLLTVLWCSTLSVFAMIGLKKMLILMVIAAAVVAFVGLGFAVLVIAVAAVVILWLYGSFWTTSGIIILGGASFFLKHERFALLVTCLYSMYCAKNYVGWLGLLLSLNLSFISSDVLVQLLKNNVDNNKSAGSSRNSEQNSGKSGFFGEFRQSSADNTSQSEYAQPSDRGPGDPSTSGAEKELTSEDEVSRLLNCTDHYSALGFHRYENIDVSLLKREYKKKAMLVHPDKNMGNDKAADAFKKLQNAYEVLLDSLKRKTYDDELRREELLNYFRRFQSASQKKGGSGIFRQGFSPSEGVDEGPYGLSRRIACKKCGDFHLWIYTGRAKSQARWCQDCNDFHQAKDGDGWVEQSFQPVLFGLLHKPELPHAYVCAESIIFDVTEWFTCQGMRCPANTHKPSFHVNASLLKQNSGKGSTSAQRGGGIPNGVNMDGGIDEEEFFEWLQNALQSGMFESFGAQNEPPSPGSGSNAKGSNSSSKKKKKGKKQW >ORUFI03G41690.1 pep chromosome:OR_W1943:3:33179308:33180981:1 gene:ORUFI03G41690 transcript:ORUFI03G41690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKADTKQLLHCIMSKTRMDGKVAIVTGGASGIGEAAARLFASCGATVVIADVQDELGEAVAASVAGGGCRYVRCDVTDEAQVEAAVAAAVAEHGRLDVMVSNAGVLLPTGPVVDMDLAALDRVMSVNFRGAAACVKHAARAMVSRGTRGAIVCTASVASCQGGFGPAAYTASKHAVLGLVRAAAGELGRHGVRVNCVSPGGVATPLSCGLTGMSPEEMEAAAEPHNVLRGKVLKAADVAEAMLFLASDQAAFVSGHNLVVDGATTAVNYAVLQSVGL >ORUFI03G41700.1 pep chromosome:OR_W1943:3:33181191:33184431:1 gene:ORUFI03G41700 transcript:ORUFI03G41700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPAKRKPSSPPPPPPPGRCHFWLPNKRRHCANTPLPTSQYCGNHLPDSASDAGAPFRRLVPCPVDPSHTVLEENLEAHVGKCPLKKQAAALAAQPFYSKGINSGGGEGGGGVTSAAKRALVHKLTKDELRALIEKIKLAHASAAMAMRDSFLVTDACDNWMRNQVDRKVPYQEKHVTQQASIIGNMEAFGLLQKGGEVAEENGVKNAPAVVEFGAGRGYLTQMLADCYGIRNVFLVERRSYKLKADRSLRQNEGVTLKRLRIDIEDLNLQGIEALSGLHYLAIGKHLCGPATDMTMMCCLHERYNQAHEKEYGKSNLCGLALATCCHHLCQWNHYANKTFLSGLGITEEDFHTMTWFSSWAVDGDHSSPDSSLEVEDSSVEDRCGKAEKSDVEVSGIDRLIRSIPAGERAALGFMCKDIIDTGRLLWLREKGLDADLVSYVPSNISPENHLLIAKCTSLSG >ORUFI03G41700.2 pep chromosome:OR_W1943:3:33181191:33184431:1 gene:ORUFI03G41700 transcript:ORUFI03G41700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPAKRKPSSPPPPPPPGRCHFWLPNKRRHCANTPLPTSQYCGNHLPDSASDAGAPFRRLVPCPVDPSHTVLEENLEAHVGKCPLKKQAAALAAQPFYSKGINSGGGEGGGGVTSAAKRALVHKLTKDELRALIEKIKLAHASAAMAMRDSFLVTDACDNWMRNQVDRKVPYQEKHVTQQASIIGNMEAFGLLQKGGEVAEENGVKNAPAVVEFGAGRGYLTQMLADCYGIRNVFLVERRSYKLKALSGLHYLAIGKHLCGPATDMTMMCCLHERYNQAHEKEYGKSNLCGLALATCCHHLCQWNHYANKTFLSGLGITEEDFHTMTWFSSWAVDGDHSSPDSSLEVEDSSVEDRCGKAEKSDVEVSGIDRLIRSIPAGERAALGFMCKDIIDTGRLLWLREKGLDADLVSYVPSNISPENHLLIAKCTSLSG >ORUFI03G41710.1 pep chromosome:OR_W1943:3:33185204:33191910:-1 gene:ORUFI03G41710 transcript:ORUFI03G41710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARVGAQSRHLYGGGLGEPDMDRRDKRLFGWDLNDWRWDSDRFVATPVPAAEASGLALNSSPSSSEEAGAASVRNVNARGDSDKRKRVVVIDDDDVEDDELVENGGGSLSLRIGGDAVAHGAGVGGGADEEDRNGKKIRVQGGSPSGPACQVEGCTADLTGVRDYHRRHKVCEMHAKATTAVVGNTVQRFCQQCSRFHPLQEFDEGKRSCRRRLAGHNRRRRKTRPEVAVGGSAFTEDKISSYLLLGLLGVCANLNADNAEHLRGQELISGLLRNLGAVAKSLDPKELCKLLEACQSMQDGSNAGTSETANALVNTAVAEAAGPSNSKMPFVNGDQCGLASSSVVPVQSKSPTVATPDPPACKFKDFDLNDTYGGMEGFEDGYEGSPTPAFKTTDSPNCPSWMHQDSTQSPPQTSGNSDSTSAQSLSSSNGDAQCRTDKIVFKLFEKVPSDLPPVLRSQILGWLSSSPTDIESYIRPGCIILTVYLRLVESAWKELSDNMSSYLDKLLNSSTGNFWASGLVFVMVRHQIAFMHNGQLMLDRPLANSAHHYCKILCVRPIAAPFSTKVNFRVEGLNLVSDSSRLICSFEGSCIFQEDTDNIVDDVEHDDIEYLNFCCPLPSSRGRGFVEVEDGGFSNGFFPFIIAEQDICSEVCELESIFESSSHEQADDDNARNQALEFLNELGWLLHRANIISKQDKVPLASFNIWRFRNLGIFAMEREWCAVTKLLLDFLFTGLVDIGSQSPEEVVLSENLLHAAVRMKSAQMVRFLLGYKPNESLKRTAETFLFRPDAQGPSKFTPLHIAAATDDAEDVLDALTNDPGLVGINTWRNARDGAGFTPEDYARQRGNDAYLNMVEKKINKHLGKGHVVLGVPSSIHPVITDGVKPGEVSLEIGMTVPPPAPSCNACSRQALMYPNSTARTFLYRPAMLTVMGIAVICVCVGLLLHTCPKVYAAPTFRWELLERGPM >ORUFI03G41720.1 pep chromosome:OR_W1943:3:33196544:33197271:1 gene:ORUFI03G41720 transcript:ORUFI03G41720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCRPIARLFLWEKLAVAYAYARLVWIGPHVTHMHDLRSRNAQVKCNDVFVRVHMSVTKSRVFDRKRDVSGVFVIL >ORUFI03G41730.1 pep chromosome:OR_W1943:3:33202533:33205710:1 gene:ORUFI03G41730 transcript:ORUFI03G41730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSWSVAFTIFFSCILLLETISSVASLDCSYGSAQVKILSSSVVSEQQNVYLFLKPFQSTRSCSARRLAGEFVNGVVVPNLRLNVTGVVVTANERQLGALRCTLESVQAELAVAGLGRSVKVSPELSLPSLRAMAKCRRRGEKHWRRVMEFVRRSGSFVVVEMGAEEKADLAVADVAAAFEEGVGVAFRISGRAARSAAEMARLIGDADKGRRWTGVLAEVASPSPRRELAAAARTTARDVFAPVTNPTTTPATNPVTVPATNPAMNPVTPGIVTVPSTNPATGYSNNPNLPPLYPEPTPVTMPDPTTTTTPTPFMNPVTAPTMPSPVTNPATTPAVTNPTTMPYPYPPQQGGVMPTTPTYQPPATMPAAGGQTWCVAKAGLMDAALQSGLDYAYGAGYSPGVTGTVPVGGGAGAGAGVGVGVTPMGPAVGGTGGAGVTPMGPAVGGGSGSTVLNANSPGGNSMYGSDSNPTSLTGAAAAALSSGWVLCLVWIFTFAYVKEKHKHIQCAY >ORUFI03G41740.1 pep chromosome:OR_W1943:3:33206047:33208365:-1 gene:ORUFI03G41740 transcript:ORUFI03G41740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDKARRLSGSRHFRQRVVLATLTSTAITIDDIRSGGAAPGLRPHEVSLLHLLHKISDHHSLDLNETGKDARFLPRAYLWTKLRYKPGVIVGGRDLEHDCGVHRGIGYFLEPLILLGLFARAPISIRLKGITNDTKDPSVDTFRMVTLHMLKQFGVPLEGLELKIESRGAPPLGGGEVFLRVPNIKSTLKAANWADEGMVKRIRGVSFSTRVSPQIENRIIYAARGIFNRFIPDVHIFTDHRSGSAGGRSAGYGVSVVAETTTGCLLSADATVSYPNVDEMNEESENLELTSPEDLGVQVASMLLEEVAQGGVVDSAHQGLLFILCALSPPDVSKVRVGQLTPYAIETLRNIRDFLDVKFIIKPDPNSNTVTLKCVGAGVKNLARKTS >ORUFI03G41750.1 pep chromosome:OR_W1943:3:33214025:33218298:1 gene:ORUFI03G41750 transcript:ORUFI03G41750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSASLLRVLLVFITMVGTQWSNVSSTYCKDMASSVYRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAEIIGSPDSSDWPVIDPLPSYGRGANGTIDGQGAIWWDWFHSNTLNYTRPHLVELMYSTDVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIDPDSSTNVCIDHCYVRNGDDVIVIKSGWDEYGISFARPSTNISISNITGETRGGAGIAFGSEMSGGISEVRAEGLRIVNSMHGIRIKTAPGRGGYVKNVYISDVSMDNVSMAIRITGNFGEHPDDKYDRNALPMISNITIENVVGVNVGVAGILEGIEGDNFSSICLSNVSLSVQSMHPWNCSLIEGYSNSVIPESCEQLRTDCGQTPICYDGGSSSAIHAQAARHRLSSASRLLNPLLKLAML >ORUFI03G41750.2 pep chromosome:OR_W1943:3:33214025:33218298:1 gene:ORUFI03G41750 transcript:ORUFI03G41750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSASLLRVLLVFITMVGTQWSNVSSTYCKDMASSVYRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAEIIGSPDSSDWPVIDPLPSYGRGANGTIDGQGAIWWDWFHSNTLNYTRPHLVELMYSTDVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIDPDSSTNVCIDHCYVRNGDDVIVIKSGWDEYGISFARPSTNISISNITGETRGGAGIAFGSEMSGGISEVRAEGLRIVNSMHGIRIKTAPGRGGYVKNVYISDVSMDNVSMAIRITGNFGEHPDDKYDRNALPMISNITIENVVGVNVGVAGILEGIEGDNFSSICLSNVSLSVQSMHPWNCSLIEGYSNSVIPESCEQLRTDCGQTPICYDGGSSSAIHAQAARHRLSSASRLLNPLLKLAML >ORUFI03G41750.3 pep chromosome:OR_W1943:3:33215399:33218298:1 gene:ORUFI03G41750 transcript:ORUFI03G41750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTQWSNVSSTYCKDMASSVYRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAEIIGSPDSSDWPVIDPLPSYGRGANGTIDGQGAIWWDWFHSNTLNYTRPHLVELMYSTDVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIDPDSSTNVCIDHCYVRNGDDVIVIKSGWDEYGISFARPSTNISISNITGETRGGAGIAFGSEMSGGISEVRAEGLRIVNSMHGIRIKTAPGRGGYVKNVYISDVSMDNVSMAIRITGNFGEHPDDKYDRNALPMISNITIENVVGVNVGVAGILEGIEGDNFSSICLSNVSLSVQSMHPWNCSLIEGYSNSVIPESCEQLRTDCGQTPICYDGGSSSAIHAQAARHRLSSASRLLNPLLKLAML >ORUFI03G41760.1 pep chromosome:OR_W1943:3:33218833:33221477:-1 gene:ORUFI03G41760 transcript:ORUFI03G41760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQVVESKDGTISVASAFAGHQEAVQDRDHKFLSKAVEEAYQGVDCGHGGPFGAVVVRNDEIVVSCHNMVLDYTDPTAHAEVTAIREACKKLGKIELSDCEMYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTAYYQKANLEIRRADGNGALIAEQVFENTKEKFRMY >ORUFI03G41770.1 pep chromosome:OR_W1943:3:33223293:33231936:1 gene:ORUFI03G41770 transcript:ORUFI03G41770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLAEHAPGAAVRRRVEDYRGRVVAIDTSLSIYQFLIVVGRKGTEVLTNEAGEVTRSLKRDGSSEDLNRAIEVGDEDLIEKFSKRTVKVTKKHNEDCKRLLSLMGVPVVQAPGEAEAQCAALCENHKVFAIASEDMDSLTFGARRFLRHLTDLSFKRSPVTEFEVSKVLEELGLTMDQFIDLCILSGCDYCENIRGIGGQRALKLIRQHGYIEEVVQNLSQTRYSVPEDWPYQEVRALFKEPNVCTDIPDFLWTPPDEESVEKIKAANDKFSLGRGKLLAPVANLTGSTSTAGKEPKCILGGPGQVMKARSPLQLGISSVRGALGSWNAISRVLASTPFIRSLQAFIVLRMEAIVEGSKYECLLFDLDDTLYPFSSGINLACRKNIQDYMRRHLRIEESQIADMCLELYKEYGTTMAGLKALGYEFDNDEFHANVHGTLPYDNLHFDPVLRTLLLSIPQRKIIFTNSDKAHAEEVLCRVGIQDCFEGIICFETLNPPTPTCHGLHKPLSSISDELSSDLDDLDESDGFRPKSPILCKPSIEAMEAAIRIANVDPEKTIFFDDSVRNIASGKAAGFHTVIVGRPTLVPGADHALESIHNIKEALPEIWDGWSESDVVLASTASETTISENFEK >ORUFI03G41770.2 pep chromosome:OR_W1943:3:33223293:33232386:1 gene:ORUFI03G41770 transcript:ORUFI03G41770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLAEHAPGAAVRRRVEDYRGRVVAIDTSLSIYQFLIVVGRKGTEVLTNEAGEVTRSLKRDGSSEDLNRAIEVGDEDLIEKFSKRTVKVTKKHNEDCKRLLSLMGVPVVQAPGEAEAQCAALCENHKVFAIASEDMDSLTFGARRFLRHLTDLSFKRSPVTEFEVSKVLEELGLTMDQFIDLCILSGCDYCENIRGIGGQRALKLIRQHGYIEEVVQNLSQTRYSVPEDWPYQEVRALFKEPNVCTDIPDFLWTPPDEESVEKIKAANDKFSLGRGKLLAPVANLTGSTSTAGKEPKCILGGPGQVMKARSPLQLGISSVRGALGSWNAISRVLASTPFIRSLQAFIVLRMEAIVEGSKYECLLFDLDDTLYPFSSGINLACRKNIQDYMRRHLRIEESQIADMCLELYKEYGTTMAGLKALGYEFDNDEFHANVHGTLPYDNLHFDPVLRTLLLSIPQRKIIFTNSDKAHAEEVLCRVGIQDCFEGIICFETLNPPTPTCHGLHKPLSSISDELSSDLDDLDESDGFRPKSPILCKPSIEAMEAAIRIANVDPEKTIFFDDSVRNIASGKAAGFHTVIVGRPTLVPGADHALESIHNIKEALPEIWDGWSESDVVLASTASETTVIA >ORUFI03G41780.1 pep chromosome:OR_W1943:3:33233447:33235272:-1 gene:ORUFI03G41780 transcript:ORUFI03G41780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTNMLSPSQLSKIKTMLQSRPDICKRNSHLKFCYSLRNRNVFVPKTHKPRLGPLQYKKGKEPVYDGSWQTPTCAKVALEHYNRSNEDEYEMVKALDSVSSFFNGVWVHVNFLAKLKGATQCPDLGSQVLFFAEVKSDFDGRSCVSCVKIDTGNPEATPIRGCGICQNNEIYHPAVGGHRGDRKSAS >ORUFI03G41790.1 pep chromosome:OR_W1943:3:33238127:33252971:1 gene:ORUFI03G41790 transcript:ORUFI03G41790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENERPQNYIGSGHPEGDRLIDIGRIKSMTSRQVTSGKHRNDLLKKAYELALLCDAEIGLIIFSSRGRLCCIATDKLLSSSPVLQIPERTNNKTMLGDDSDHMAMFEKKASVTQTDMKEKRGKAKDVSIDEDKSSDDDVDMQLAPLHTWY >ORUFI03G41800.1 pep chromosome:OR_W1943:3:33253511:33257131:1 gene:ORUFI03G41800 transcript:ORUFI03G41800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPIRARLSALDDDDDDAATVSSSRSSSAATSPSRSPSPLVPRAAVLGAPRVAAQLSSTEDDNGGGEDEVFDESTDYGDDEAAGEVLDELSNGFFRIARVPPPSEDSSPISGGEPVVSVSSPTESGYFGAAEGSLKEEGFVGARNFADVFDAGSRVGFEDANGVTAGAKNTGVESSLEGSFQSSGSVVGVFDDTDVTTIGDLVSASDGSPLNVDKQGDQDSGAEVVNDEPVDAEVVNGIEPEPLVGESVDAEVVNGVVPEPLVGESGGSDGSGMHVEDELEMEGGKSDNGIAELPPVVSALEKQDTDLELRNDDSGAKDGADNHEDATNFVDSSTGYVATGDGASELLEVPANVDDLHFVTDDGHNDDAEETDGGYEASDGYVSMPTFGNNNAVEIPVNESEHNVPASKGRRFGLGDSDDEFHDDGVEEEEGEVNGKEIEFFDYAALAELLRAATPGQGMAKVFPIESSMPLQVPPTTVSVPRKNVASSPVLEVAPNPENEMTEEERKLYRKVDVARIKYLRLIHRLGYDTEHHIAIQVLYRLSLVEGFRRIRVANHSSELESAWKKALQLEAEGTEDLEFSCNVLVLGKTGVGKSATINSIFGEDKSRTSAFLPATTAVKEISGVVGGVKFRVVDTPGLGTTHMDEKSNRKVLNSVKKYIKRCPPDVVLYVDRIDTQRQDANNLSLLQCITSVLGSSIWSKTIITLTHSSAAPPEGPSGIPLNYEMFVTQRTHAIQQSIRQATNDPRFENTSALVENHHLCRRNTEGEKVLPNGLIWRRLLLLLCYSVKTVETNSLSARVASPANLFSLRFRMPPLPHFLSSLLQSREHPRCAADQDVGDIDPDELINEDEEDDYDQLPPFKPLSKSQVAKLSKEQQKLYFDEYDYRTKLLEKKQLKEQLRRLKEMKIEGNNHDVLGDNDNPDDEYETERSVMPDWALPSSFDSDDPAYRYRCLDPKPNFLVRAITNPDGWDHDCGFDGVSLQYSLDAANAFPASLWVQVNKDKRESTIHLVSSISAKHRENVSSLAGFDIQTIMDQLAYTLRGESKFKNSKKNTTTGGLSMTFLGDTMVTGAKFEDKLSVGDRLTLLANTGAVSIRGDTAYGVNMEATLREKDYLMGQDLAILGASLVRWHKEWSMAAKLDSQFSMGRASNVAVHVDLTNKLTGRVSIKANTSEQLKIALLGVCSMTMYLWNRMHPFIDRNY >ORUFI03G41810.1 pep chromosome:OR_W1943:3:33260044:33260271:-1 gene:ORUFI03G41810 transcript:ORUFI03G41810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPHFTGEGSGSWAHEVKQALRDKLRRAYGTAGAARPAASAVSRGASHGGDDCRGSAAEDPIRRVMFLAPWGHT >ORUFI03G41820.1 pep chromosome:OR_W1943:3:33264089:33268095:1 gene:ORUFI03G41820 transcript:ORUFI03G41820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVVGALRRGTATAGGSSRSFARSLPRPVSTLVVAEHEGGFVKPSSLSALAAAEAIGKDDNRVSLLLGGSGPGLHKAAEHAASSHPLVSEVLVADSDVFAHPLAEPWAELLRSVQHKGGYSHVIASSTSFGKNLLPRAAALLDVSPVTDVTSISEPRVFVRPIYAGNALCTVRYTGEDPCMMSIRSTSFSPTEAMSEAKVAPITQVDLSFLSEGSSGKSAWVNLKSQDTERPDLANAPVVVTGGRGLKSAENFKVLEQLAEKLGAAVGATRAAVDAGFVPNELQVGQTGKIVAPELYMAFGVSGAIQHLAGMRDSKVIVAVNKDADAPIFQVADYGIVADLFEVLDELLKKLPDKK >ORUFI03G41830.1 pep chromosome:OR_W1943:3:33264180:33264557:-1 gene:ORUFI03G41830 transcript:ORUFI03G41830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGKKKLTGLGSDRAKDRELPPAVAVPLLNAPTTIAAMARNTGLPISCYACSLRSEGSREEAKSSSARARRREEARGRGRRRDGIKLMATSVLHRPCTTTPARERKTAASPATNQEESTRFKWA >ORUFI03G41840.1 pep chromosome:OR_W1943:3:33267913:33274772:-1 gene:ORUFI03G41840 transcript:ORUFI03G41840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLAYPDRFYAAAAYAGFGAGGATSSSAISRFQNDVALLLYGLYQQATVGPCNVPKPRAWNPVEQSKWTSWHGLGSMPSAEAMRLFVKILEEEDPGWYSRVPEFNPEPVVDIEMHKPKEDPKVILASTNGTSVPEPKTISENGSSVETQDKVVILEGLSAVSVHEEWTPLSVNGQRPKPRYEHGATVVQDKMYIFGGNHNGRYLSDLQALDLKSLTWSKIDAKFQAGSTDSSKSAQVSSCAGHSLISWGNKFFSVAGHTKDPSENITVKEFDPHTCTWSIVKTYGKPPVSRGGQSVTLVGTTLVLFGGEDAKRCLLNDLHILDLETMTWDDVDAIGTPPPRSDHAAACHADRYLLIFGGGSHATCFNDLHVLDLQTMEWSRPKQQGLAPSPRAGHAGATVGENWYIVGGGNNKSGVSETLVLNMSTLTWSVVSSVEGRVPLASEGMTLVHSNYNGDDYLISFGGYNGRYSNEVFALKLTLKSDLQSKTKEHASDGTSSVLEPEVELSHDGKIREIAMDSADSDLKKDDANELLVALKAEKEELEAALNREQVQTIQLKEEIAEAEARNAELTKELQTVRGQLAAEQSRCFKLEVDVAELRQKLQSMDALERELELLRRQKAASEQAALEAKQRQGSSGMWGWLVGTPPDKSES >ORUFI03G41850.1 pep chromosome:OR_W1943:3:33276247:33276785:-1 gene:ORUFI03G41850 transcript:ORUFI03G41850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDATSPTISISMLLISSLFGSATVGPFALVTRVSPVACLPIRAAWRSTSDAGHDRLNVQQCHAGVPRRAVEIAGDREGADMLRDIMDDDKRGEIRFDMLGLSREDVKVMVEDNMLVIRGEHSKEEKERGAPTMGGGRSAA >ORUFI03G41860.1 pep chromosome:OR_W1943:3:33277790:33283856:1 gene:ORUFI03G41860 transcript:ORUFI03G41860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQLQPKQMHWARADSSDFGGQIPAPRSGHTAVSIGKSKVVVFGGFADKRFLSDIAVYDVENRIWYTPECNGSGSDGQAGPSPRAFHVAIVIDCNMFIFGGRSGGKRLGDFWMLDTDIWQWSELTGFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYIMDTMSLEWTELSVTGSVPPPRCGHSATMIEKRLLVFGGRGGAGPIMGDLWALKGVTEEDNETPGWTQLKLPGQSPSPRCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNECIILDRVSVQWKLLATSNEPPPPRAYHSMTCIGSRFLLFGGFDGKNTFGDLWWLVPEGDPIAKRDLVPNVDSDSKPSNVTGGAQHSASQESQAGESPMIDLAKRLGISLSLEASASFVDEINDKELIELSSMLFGESPPTGDQHACIQALRDHWTSIPANSIQLQELGPLLRDYQRLILRRYLENSFTSFYEKEVHRFFHLKNASELRMDDIPILLMEYGKLLST >ORUFI03G41860.2 pep chromosome:OR_W1943:3:33277790:33283632:1 gene:ORUFI03G41860 transcript:ORUFI03G41860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQLQPKQMHWARADSSDFGGQIPAPRSGHTAVSIGKSKVVVFGGFADKRFLSDIAVYDVENRIWYTPECNGSGSDGQAGPSPRAFHVAIVIDCNMFIFGGRSGGKRLGDFWMLDTDIWQWSELTGFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYIMDTMSLEWTELSVTGSVPPPRCGHSATMIEKRLLVFGGRGGAGPIMGDLWALKGVTEEDNETPGWTQLKLPGQSPSPRCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNECIILDRVSVQWKLLATSNEPPPPRAYHSMTCIGSRFLLFGGFDGKNTFGDLWWLVPEGDPIAKRDLVPNVDSDSKPSNVTGGAQHSASQESQAGESPMIDLAKRLGISLSLEASASFVDEINDKELIELSSMLFGESPPTGDQHACIQALRDHWTSIPANSIQLQELGPLLRDYQRLILRRYLENSFTSFYEKEVHRFFHLKNASEYSFFAH >ORUFI03G41870.1 pep chromosome:OR_W1943:3:33285003:33287480:1 gene:ORUFI03G41870 transcript:ORUFI03G41870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCTLATSCVSLSNARTQASKVAAVKSLASLSFFSQGMQFPSLKASSKKLDVSAMATYKVKLITPEGQEHEFEAPDDTYILDAAETAGVELPYSCRAGACSTCAGKIEAGSVDQSDGSFLDDAQQEEGYVLTCVSYPKSDCVIHTHKEGDLY >ORUFI03G41880.1 pep chromosome:OR_W1943:3:33288627:33292068:-1 gene:ORUFI03G41880 transcript:ORUFI03G41880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRIVLDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDCLMKILTERGYSFTTTAEREIVRDIKEKLAYIALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >ORUFI03G41890.1 pep chromosome:OR_W1943:3:33294239:33297252:1 gene:ORUFI03G41890 transcript:ORUFI03G41890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFGAAVLVALAVTCGLIWSRSRRLSKEMRDIPGTMGWPVVGETFSFISGFSSPAGILSFMRDRQKRFGKVFKTYVLGRMTVFMTGREAAKILLSGKDGVVSLNLFYTGKQVLGPTSLLTTNGDEHKKLRRLIGEPLSIDALKKHFDFINDLAVQTLDTWLDRRVLVLEEASSVIINLFTLKVIANMLISLEPEGEEQEKFRANFKIISSSFASLPLKIPGTAFHRGLKKARNRMYAMLDSVIARRRDGGEVRNDFLQTLLRKHAKDGAAADEDDGGGGGDRDADKLTDAQLKDNILTLLVAGHDTTTAGLTWLIKFLGENPEALQKLRVMNETLRRATILPWFSRKAAQDFSIDGYEIKKGTSVNLDVVSIHHDPSVFADPYKFDPNRFDGTLKPYSFLGFGSGPRMCPGMSLARLEICVFIHHLVCRYSTPAGSQEIKQQELLRGKVTRNSYFSGKCDKEQQPRSIAQIFRSLKHDHSRAVTRNGTIQEATQQQQLRKGQGQWNNNQVAKPIRVTKKHYARQQWPSHAKPHMID >ORUFI03G41900.1 pep chromosome:OR_W1943:3:33297937:33299093:-1 gene:ORUFI03G41900 transcript:ORUFI03G41900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEEYRCFIGNLSWSTTDESLKDAFGKFGNLTEAKVVFDKYSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRAITVDKAQPQGPGRDRNGDRDYDRDRGSRYDRGRDFGGGGRAPRGSGGGGDCYKCGKPGHFARECPSGDGGGRGDRYGGRDDRYGGGGGGGGRYGSDRGGDRYSGRSRDGGGYGGGGGDRYSRDRSGPY >ORUFI03G41910.1 pep chromosome:OR_W1943:3:33299980:33311827:1 gene:ORUFI03G41910 transcript:ORUFI03G41910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPCAHDPTRWGPPLPACCRQAMVELGRLWADWPPRSTSSLSIQSPLTTIREARCLVSQPRLESTPAMAFWEDWGKGDCDCGWKKCLIWTAAIAGVGGLIVLLVFAFALVFPPKATADDAVLLRLALSPGSPPSNSTVSYNATVTLSLRNPNLYRGISYDPVAVAFSFNGTRFDESATVPAFYHRPRKTATFHVTVGGAGKPVPKLTAAGVAAFRAENATGRFEVEVRLDTVMQYKARKARCPLAVICPLQLQLVDPDVAATAFQRTKCTFVTATPTVVTVKAPTYVIIGGGGMCYESECCGGRGSYRNRRFALGFWFGLAILAAIAIIVVLAVGYGRVSSLRVAVDDASLTRFAVTATSVAYNLTVALVVRNPNWAMGVTYRSLEASYLFHGKRFDGAAAVVSSGYEQAARKTEVFRLSSGSDAAPAALGSGGEREYRKESDNGGVFDVEVDLSGEVKYALHSAWCRVEARCPLKLQLAAAGSVAFQETTCDMLRSNLPFYKRDQSGRTVTLYTENPGV >ORUFI03G41920.1 pep chromosome:OR_W1943:3:33310187:33313131:-1 gene:ORUFI03G41920 transcript:ORUFI03G41920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRGPAVFSLETSREARQSGADGEERHQWGHGRGGGGGGHGKEEEAAARGGKRRRCGSVKSKNPTTSVPPDSASIPQIGSRGQAEMFEWKQQVGEAEANPAATRRRQQVILREDAVKEKIILAQEKNIQQLNGLIQSLQLQLLHCRLSNSTAHTTSNKSTNNSAVEGHKMIDD >ORUFI03G41920.2 pep chromosome:OR_W1943:3:33310187:33312126:-1 gene:ORUFI03G41920 transcript:ORUFI03G41920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPSLPVVLIFLLLVLIITSQFEWKQQVGEAEANPAATRRRQQVILREDAVKEKIILAQEKNIQQLNGLIQSLQLQLLHCRLSNSTAHTTSNKSTNNSAVEGHKMIDD >ORUFI03G41940.1 pep chromosome:OR_W1943:3:33323101:33325847:1 gene:ORUFI03G41940 transcript:ORUFI03G41940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAATTLGRELLEAARAPEFAGWLRGLRRRIHQHTELAFQEHRTSALVRAELDALGVAYVWPVAQTGVVATVVGAAGPGPGKVKLVFQPAEEGYAGGYYVLEEGAVDDVQGIFGMHVDAGLPAGVVASRPGPFLAGSARFTATINGKGGHAAAPHHAVDPIVAVSSAVLSLQQIVARETDPLQGAVVSVTTIKGGEAFNVIEGQAAVNRCTAAVDFMEDKLPPYPATVNDEEMYAHAKAVAESMLGEANVKLSPQGMGAEDFGFYAQRIPAAFFGIGVGNDGGGMAETTKNQLHSPHFVVDEEALPVGAAFHAAVAIEYLNKNASGPSA >ORUFI03G41950.1 pep chromosome:OR_W1943:3:33327328:33331100:1 gene:ORUFI03G41950 transcript:ORUFI03G41950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLGRELLEAARAPEFAGWLRGLRRRIHQHPELAFQEHRTSALVRAELDALGVAYVWPIAQTGVVATVAGAAGPGPVFALRADMDALPIQEMVEWESKSLEDGKMHACGHDAHVAMLLVAAKLLQSRRDHFNGKVKLVFQPAEGGAGGYHVLKEGVLDDTQTIFAVHVATDLPAGVVGSRPGPFLAGSARFTATITGKGGHAAEPHLAVDPIVAASSAVLSLQQIVARETNPLQGAVVSVTTIKGGEAFNVIPESVTLGGTLRSMTTDGLSYLMNRIREVIEGQAAVNRCTAAVDFMEDKLRPYPATVNDEGMYAHAKAVAESMLGEANVTVSPMCMGAEDFGFYAQRIPAAFFGIGVGSNGNDGGGMAETTKNQLHSPHFVVDEEALPVGAAFHAAVAIEYLNKNASGRSA >ORUFI03G41960.1 pep chromosome:OR_W1943:3:33331514:33336589:-1 gene:ORUFI03G41960 transcript:ORUFI03G41960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGSGGGRWRRLNVRPDLASDQEGTRTLNLTVLRRLDPAVADILIIAAHVVLYSFDDNIHQWSRRPVEGSLFVVKRNTQPRFQFIVMNRKNTENLTEDLLGGFEYQVQVPYIMYHNAADEITGIWFYDPQECEQVGYLFSRIQKAFSRVSPKAKVSVTESEYEKPEVVPAVPSNEDTLKQPTSSIMLLDNAKYDFLSALLKGAACVGATMDEASAVQSNKSVGMVHSSTHASPHAIPPQSPAIQSNKSFGMVHSSTQAPLAIPPQSPAIRSNKSVGMIHSSTHASPFDIPPQSPAVQSNKSVGMIHSLTHASHLAIPPQSPALHGLHPSQISAVPVMPHDAHRSSSTSTIQPTSLANPLFFPPMPSLQTASHAASSLCSAAPLHPPITVQQLQSAPLHQPFSLPTASSIQPPYGMPLLQPFPPPNPSPFLTSGVSYGRPVITRDQLKDVLLSLCQPVD >ORUFI03G41960.2 pep chromosome:OR_W1943:3:33331514:33336589:-1 gene:ORUFI03G41960 transcript:ORUFI03G41960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGSGGGRWRRLNVRPDLASDQEGTRTLNLTVLRRLDPAVADILIIAAHVVLYSFDDNIHQWFIVMNRKNTENLTEDLLGGFEYQVQVPYIMYHNAADEITGIWFYDPQECEQVGYLFSRIQKAFSRVSPKAKVSVTESEYEKPEVVPAVPSNEDTLKQPTSSIMLLDNAKYDFLSALLKGAACVGATMDEASAVQSNKSVGMVHSSTHASPHAIPPQSPAIQSNKSFGMVHSSTQAPLAIPPQSPAIRSNKSVGMIHSSTHASPFDIPPQSPAVQSNKSVGMIHSLTHASHLAIPPQSPALHGLHPSQISAVPVMPHDAHRSSSTSTIQPTSLANPLFFPPMPSLQTASHAASSLCSAAPLHPPITVQQLQSAPLHQPFSLPTASSIQPPYGMPLLQPFPPPNPSPFLTSGVSYGRPVITRDQLKDVLLSLCQPVD >ORUFI03G41970.1 pep chromosome:OR_W1943:3:33336704:33338150:-1 gene:ORUFI03G41970 transcript:ORUFI03G41970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDPSLLACFVDLILKSRASTAGLAQLALLLDEIAACKCDGLAQLICHVTRTAGPTDHQCARQSGGERELFPFQNTALQ >ORUFI03G41980.1 pep chromosome:OR_W1943:3:33340137:33346541:1 gene:ORUFI03G41980 transcript:ORUFI03G41980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGEPGPKPVKHTNGQVCQICGDDVGLTPDGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRFKRLKGCARVPGDEEEEDVDDLENEFNWRDKTDSQYVAESMLHGHMSYGRGGDLDGVPQHFQPIPNVPLLTNGEMADDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGGGKDWDGDGDDADLPLMDEARQPLSRKIPISSSLINPYRMIIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQQSQLAPVDFFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYSLEPRAPEWYFQQKIDYLKDKVAPNFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKSKKPPSRTCNCWPKWCICCCCFGNRTNKKKTAKPKTEKKKRLFFKRAENQSPAYALGEIDEGAPGAENEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRAAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELTNIASLWFMSLFICIFATGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >ORUFI03G41990.1 pep chromosome:OR_W1943:3:33351678:33352802:-1 gene:ORUFI03G41990 transcript:ORUFI03G41990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLVGDGAAPAAAEPAPAAAACRWTRQKDKLLETLVARCAMNRQCVGGWDAIAAAFGDDRTAAQVEQRYGEIAAEVRRVMEEPWDAEDPAIAAAAAAVPAAPVKHAAAGPGSDGGGEEGKVVVEKKSGIWSEEEHRQCLRGIEEIGHGRWTQISIEYVPSRTPIQIASHTQKYFLRMAKPKEDRKRKSIHDTPYHLHLPNAADAHAHQQQQ >ORUFI03G42000.1 pep chromosome:OR_W1943:3:33353060:33359798:1 gene:ORUFI03G42000 transcript:ORUFI03G42000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAANGAGGGGGVPRPTNPMVTPLLTDLYQFTMAYAYWKAGKHLDRAVFDLYFRKNPFGGEFTIFGGLEECIRFIANFKLTDTEITFLRSAMPTCEDGFFEYLSSIDCSDVEVYAIPEGSVVFPKVPLMIIEGPVAVIQLLETPFLSLVNYASLVTTNAARHRLVAGKSKNLLEFGLRRAQGPDGGISASRYCYMGGFDATSNVAAGRLFGIPIRGTHSHAFVSSFMGLDDIIDRTLASSDGSNKCEDFVSLVQNWLARIKDAGSLRGTFRETNLSELAAFTSYALAFPNSFLALVDTYDVMRSGVPNFCAVALALNDMGYKAAGIRLDSGDLAYLSVETRKFFRAIEEEFGFIGFGKMNITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKRCYRLFGKEGYPLVDIMTGEDEPAPKVGERLLCRHPFNESKRAYVVPQHVEELLKCYWPGTTSEPREELPSINEIRSRCMGYLDRMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >ORUFI03G42000.2 pep chromosome:OR_W1943:3:33353060:33359697:1 gene:ORUFI03G42000 transcript:ORUFI03G42000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAANGAGGGGGVPRPTNPMVTPLLTDLYQFTMAYAYWKAGKHLDRAVFDLYFRKNPFGGEFTIFGGLEECIRFIANFKLTDTEITFLRSAMPTCEDGFFEYLSSIDCSDVEVYAIPEGSVVFPKVPLMIIEGPVAVIQLLETPFLSLVNYASLVTTNAARHRLVAGKSKNLLEFGLRRAQGPDGGISASRYCYMGGFDATSNVAAGRLFGIPIRGTHSHAFVSSFMGLDDIIDRTLASSDGSNKCEDFVSLVQNWLARIKDAGSLRGTFRETNLSELAAFTSYALAFPNSFLALVDTYDAAGIRLDSGDLAYLSVETRKFFRAIEEEFGFIGFGKMNITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVSIPCKKRCYRLFGKEGYPLVDIMTGEDEPAPKVGERLLCRHPFNESKRAYVVPQHVEELLKCYWPGTTSEPREELPSINEIRSRCMGYLDRMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >ORUFI03G42010.1 pep chromosome:OR_W1943:3:33360977:33368098:1 gene:ORUFI03G42010 transcript:ORUFI03G42010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHGEEAAGKAYKLAEDRFLVKDIAGALRAAREARRLFRSLPGLANAITAYEVHAPAATSRAGGRNWYAVLAVGDRSAKTSSGGGGVTHESLKRQYHRLCLVVHPDKNRSAAAAGAFRLLQKAWDELSLRHPPRAAGAAAVPGQARPSGTRTVQCPHCGCSFVALFGDLLLSGVQCVHCKQQATTPLAPGLFGNSQQCNSRLVRVYLATSSSPMATGRDAEEAYELAENRFLANDIAGALRVAREEQRLIYPAALPAGLANAVAAYEVHHAASRSDGGRWYAVLAVGDPSAPTTSSGINGAVITHKSLKQQYRRLCLVLHPDKNSSAAADGAFKLLQEAWGELSLLHPPGSGATPVSWSSPPPPPAAAEAPEWKAPRQAKPRRRAMRCPHCGCSFVAVVSDAVSGVNCLDCNRWVSTSSQSGPAPPPPPQPPPPPPPHQRETPSPSPPPQPQFPCPGNCSRCGAKFTATVSIGTLRASIDHSLRCNPSPMATGRNDEEEAEKAYELAENRFRANDIAGALHAAREARRLFPPLPGLPGVASAVAAYEVHHAAASRADAGDKWYAILAVGDDSSATTSSGTNGAAAVITHEDLKHQYHRLCLLLHPDKNAAAAAEGAFKLLREAWDNLSLLHPPGSAASPPVSCPPPPPPPPPGPRRISCRKCRGSFFTVVGDGVSGVNCVHCNRWVSLFPCPARCARCGVRFTETVSTGTRLLRCAACERSSYVFVLFG >ORUFI03G42020.1 pep chromosome:OR_W1943:3:33369007:33371694:1 gene:ORUFI03G42020 transcript:ORUFI03G42020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGRVEELAAENAYKLAENRFLADDITGALRAARAAQRVFPALPGLANAIAAYEVHAAATTSRANGGGKWYAILAVGDDSTTTSTGISGAAVITHESLKQQYRRLCLVLHPDKNSYAAAEGAFKLLREAWDKLSLLHPPGSAAAPVSCPPPPATAQPPDWMPRQPGPHRRTMFCPNCRCSFATVVDDGVSGVNCVNCNHWVSTLWQTGRAPPPQQQQQQSSSRFSCPTPCPGCDAKFTGTVSIGKHLLPCRACNKCFLVFVKSPNEAYAWIPTPKEKMPHLLAMAVANQEQAEEACRRAEEFFLAGNIASAHRLAWRAQRLCPSLPGVANALAAYDVHAAAAANPGRPNWYAVLGIDQPSSAAAAVTRDAIKRQFRRRSLLVHPDKNRSAAADGAFKLLRQACDALSDHHHPNAAAASAAGTAAAADTAAQTWWSEYWARHPEMAAAASRRRGAAADEEGPARRQGEAPMVIYCKRCDREFVREPDEFGVTCRWCRRPVRPPWVRRKPSSPTTKAPPPPRPKPEMFPCPGQCPRCGAQFASMVCAGKWHLRCKTCSKYTMVDVQGPDMATCSR >ORUFI03G42030.1 pep chromosome:OR_W1943:3:33372176:33374984:-1 gene:ORUFI03G42030 transcript:ORUFI03G42030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAKAKASGGGRRGGAKDPTDALRSDKKRRDMDDSDDAELDSVATEIQTIVQDAKGKFEKERQNYLKVLSKTSKECEGLLKNEYTKFQATHDKFCKEKAAHMQTLKDLFSKFEDAKEKLLIQYELQRKKEKATLSELEKTFSEKITNAEESLKKMKQDDKSIVNLRKSIGSFLDPDDEFGADDD >ORUFI03G42040.1 pep chromosome:OR_W1943:3:33376549:33387458:1 gene:ORUFI03G42040 transcript:ORUFI03G42040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRVLVSSSSSSSSAAAAAAFLPRIPNPCANPSHRGAPPCGAALWRHHSGRAVTTAAAAAATGDHWGAEYHGGGGGRGGKSAAGPGVQCDVDVVSWRERRVFASVAVAADVDTVWRVITDYERLAEFIPNLVHSGRIPCPHQGRVWLEQRGLQQALYWHIEARVVLDLKEVPDAVNGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLGALACRAENIYLGNQRHGTAKFSGAGSRFHNFRNATTENDAIAPSKFKETPPSGLGGVLASPPSELNSKWGVYGNVCRLDRPCVVDEIHLRRFDGLLEHEGAHRFVFASITVKAPVREVWNILTAYEKLPDSDILLYKYELTKLSVRFVPNLAISRIIRRDNNKVRILQEGCKGLLYMVLHARVVMDLREKLEREISFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYIEKAEAESGNSTSSSIVASNADTIAIDYAEGRQSEQASTSCSSSPVKQRPKVPGLQKDIEVLKSELEKFIAKYGQDGFMPKRKHLRLHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLQEEIRRFQKNWGMDPAYMPSRKSFERAGRYDIARALEKWGGVHEVSRLLSLELRRPRRRANSDDESKAGSSYAITNKHASKPNKPSVSPDKQKWLLKLKDLDANWIEY >ORUFI03G42040.2 pep chromosome:OR_W1943:3:33376549:33387458:1 gene:ORUFI03G42040 transcript:ORUFI03G42040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRVLVSSSSSSSSAAAAAAFLPRIPNPCANPSHRGAPPCGAALWRHHSGRAVTTAAAAAATGDHWGAEYHGGGGGRGGKSAAGPGVQCDVDVVSWRERRVFASVAVAADVDTVWRVITDYERLAEFIPNLVHSGRIPCPHQGRVWLEQRGLQQALYWHIEARVVLDLKEVPDAVNGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLGALACRAENIYLGNQRHGTAKFSGAGSRFHNFRNATTENDAIAPSKFKETPPSGLGGVLASPPSELNSKWGVYGNVCRLDRPCVVDEIHLRRFDGLLEHEGAHRFVFASITVKAPVREVWNILTAYEKLPEFVPNLAISRIIRRDNNKVRILQEGCKGLLYMVLHARVVMDLREKLEREISFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYIEKAEAESGNSTSSSIVASNADTIAIDYAEGRQSEQASTSCSSSPVKQRPKVPGLQKDIEVLKSELEKFIAKYGQDGFMPKRKHLRLHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLQEEIRRFQKNWGMDPAYMPSRKSFERAGRYDIARALEKWGGVHEVSRLLSLELRRPRRRANSDDESKAGSSYAITNKHASKPNKPSVSPDKQKWLLKLKDLDANWIEY >ORUFI03G42040.3 pep chromosome:OR_W1943:3:33376549:33387458:1 gene:ORUFI03G42040 transcript:ORUFI03G42040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRVLVSSSSSSSSAAAAAAFLPRIPNPCANPSHRGAPPCGAALWRHHSGRAVTTAAAAAATGDHWGAEYHGGGGGRGGKSAAGPGVQCDVDVVSWRERRVFASVAVAADVDTVWRVITDYERLAEFIPNLVHSGRIPCPHQGRVWLEQRGLQQALYWHIEARVVLDLKEVPDAVNGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLGALACRAENIYLGNQRHGTAKFSGAGSRFHNFRNATTENDAIAPSKFKETPPSGLGGVLASPPSELNSKWGVYGNVCRLDRPCVVDEIHLRRFDGLLEHEGAHRFVFASITVKAPVREVWNILTAYEKLPEFVPNLAISRIIRRDNNKVRILQEGCKGLLYMVLHARVVMDLREKLEREISFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYIEKAEAESGNSTSSSIVASNADTIAIDYAEGRQSEQASTSCSSSPVKQRPKVPGLQKDIEVLKSELEKFIAKYGQDGFMPKRKHLRLHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLQEEIRRFQKNWGMDPAYMPSRKSFERAGRYDIARALEKWGGVHEVSRLLSLELRRPRRRANSDDESKAGSSYAITNKHASKPNKPSVSPDKQKWLLKLKDLDANWIETANFVVATELKCRTGKNGIIKPRACSKLFIDI >ORUFI03G42040.4 pep chromosome:OR_W1943:3:33376549:33382409:1 gene:ORUFI03G42040 transcript:ORUFI03G42040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRVLVSSSSSSSSAAAAAAFLPRIPNPCANPSHRGAPPCGAALWRHHSGRAVTTAAAAAATGDHWGAEYHGGGGGRGGKSAAGPGVQCDVDVVSWRERRVFASVAVAADVDTVWRVITDYERLAEFIPNLVHSGRIPCPHQGRVWLEQRGLQQALYWHIEARVVLDLKEVPDAVNGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLGALACRAENIYLGNQRHGTAKFSGAGSRFHNFRNATTENDAIAPSKFKETPPSGLGGVLASPPSELNSKWGVYGNVCRLDRPCVVDEIHLRRFDGLLEHEGAHRFVFASITVKAPVREVWNILTAYEKLPDSDILLYKYELTKLSVRFVPNLAISRIIRRDNNKVRILQEGCKGLLYMVLHARVVMDLREKLEREISFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEIFHQTYVQSVIILKRQKLRADGFMPKRKHLRLHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLQEEIRRFQKNWGMDPAYMPSRKSFERAGRYDIARALEKWGGVHEVSRLLSLELRRPRRRANSDDESKAGSSYAITNKHASKPNKPSVSPDKQKWLLKLKDLDANWIEY >ORUFI03G42050.1 pep chromosome:OR_W1943:3:33383432:33386008:-1 gene:ORUFI03G42050 transcript:ORUFI03G42050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKAAGDAALVGGCFLLLLLPLLSHGADMPLGSSLSPANQALWSSPNNTFSLSFTASPTSPSLFVAAITYAGGVPVWTAGNGATVDSGGALRLSSSGDLQLVNGSGAVVWSSNTGGQGVTTAALQESGNLLLRNSSATLWQSFEHPTDTVVMGQNFTSGMNLTSASYQFSLDRNTGNLTLKWTGGGTVTYFNKGYNTTFTANKTLSSPTLAMQTNGIVSLTDGSLTSPVVVAYSSNYGESGDMLRFVRLDTDGNFRAYSAARGSNAPTEQWSAVADQCQVFGYCGNMGVCGYNGTSPVCRCPSENFQLSNPADPRGGCRRKIELQNCPGNSTMLQLDNTQFLTYPPEITTEQFFVGITACRLNCLSGSSCVASTALSDGSGLCFLKVSNFVSGYQSAALPSTSFVKVCFPGIPNPPLGGGGSPSGRASGVRGWVVAVVVLGAVSGLVLCEWALWWVFCRHSPKYGAASAQYALLEYASGAPVQFSYRELQRSTKGFKEKLGAGGFGAVYRGVLANRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDAFLFADAPGGRMPWPTRFAVAVGTARGITYLHEECRDCIVHCDIKPENILLDEHHNAKVSDFGLAKLVNPKDHRHRTLTSVRGTRGYLAPEWLANLPITAKSDVYSYGMVLLELVSGHRNFDVSEETGRKKYSVWAYEEYEKGNIAAIVDKKLPGEDIDMVQVERALQVSFWCIQEQPAQRPSMGKVVQMLEGIMDLERPPPPKSSDSFLSLTSATTATGVSGSGSTSMASTFTSSAAPPAPTPSPNVDEVQQEMAVGRSASARIRDRASRSLLGQEPYMTM >ORUFI03G42060.1 pep chromosome:OR_W1943:3:33393199:33397663:1 gene:ORUFI03G42060 transcript:ORUFI03G42060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSATVVPLAYQGNTSASVADWLNKGDNAWQLVAATLVGLQSVPGLVVLYGGVVKKKWAVNSAFMALYAFAAVWICWVTWAYNMSFGEKLLPIWGKARPALDQGLLVGRAALPATVHYRADGSVETAAVEPLYPMATVVYFQCVFAAITLILVAGSLLGRMSFLAWMIFVPLWLTFSYTVGAFSLWGGGFLFHWGVIDYCGGYVIHVSAGIAGFTAAYWVGPRAQKDRERFPPNNILFTLTGAGLLWMGWAGFNGGGPYAANSVASMAVLNTNICTAMSLIVWTCLDVIFFKKPSVVGAVQGMITGLRVDDTLGVFHTHAVAGLLGGLLTGLFAEPTLCNLFLPVADSRGAFYGGAGGAQFGKQIAGGLFVVAWNVVVTSLICLAINLLVPLRMPDDKLEVGDDAVHGEEAYALWGDGEMYDVTKHGSDAAVAPVVLNTDLSFAAHKQQSDRGEAPLRSFANLAMKPWTTAVSLLLAAAVAMAAAVAAGEGGAANYLVFVDPPPSGVVCTAYQLSILAAALGSEEKAKGAIIYNYKNVVSGFSARLTPSELEAVKKQPQVNRVLPSATLSLMSSNFDGIS >ORUFI03G42070.1 pep chromosome:OR_W1943:3:33402764:33403921:1 gene:ORUFI03G42070 transcript:ORUFI03G42070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSVDHFSNNSNNNQAMYLEQDEDFSQMIMELCDFDASSTTQARHGGEAAAAGDARAVLTYLTFLEQKIGHLRGIISSTPNPPPQIVAAELSCIVVQLVSISKNLAAARRGGDDAAADAKHDGSSDADEGGDGDGDGERAPPRGPYEVVQIEKEEILAPHVHFCGVCGKGFKRDANLRMHMRGHGDEYKSAAALAKPGGTGAPPSPSRSPARRRFYSCPYVGCKRNREHKSFQPLKTPTCVKNHYRRSHCDKSFTCRRCNVKRFSVVADLRTHEKHCGRDRWVCSCGTSFSRKDKLFAHVAIFDGHSPALPPEDYDDDAASGQLPHAAGEAASRTVDTNRFFSDGLMIKGSMDDERGSLSPMGLDYCEFDGIDLFAAAAFDF >ORUFI03G42080.1 pep chromosome:OR_W1943:3:33404624:33405691:-1 gene:ORUFI03G42080 transcript:ORUFI03G42080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQMRLMKQMCMGFFSHPVCDLLSSPNARFSKKKYGRRLTIQPNIELGKTQNSSTQRKWRTFSADQAQATIVDAGDNKTWEEAKQILTSLDYSIEDADKMLKKAFGWIHSPYWSEERKKEVPNAEVVNGVLNYIRTLGLSDDDLRKLLKKFPEVLGCDLDSEVKLNVGKLDSDWGINGKPLRSLLLRNPKVLGYNVDCRGDCMAQCTRCWVRF >ORUFI03G42090.1 pep chromosome:OR_W1943:3:33407533:33415871:-1 gene:ORUFI03G42090 transcript:ORUFI03G42090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRGRCFPAASRIRPLVRAFCDVPPSLQDAAAGVPSSQDHTEKVDDVKARPDELDIAIVGGGMVGLAVASNMPLTKHLRVGIIDSNPALKSRNYLKKDGVPDSRVSTITPATISFFRDIGAWDHVQQQRLAFFGKMQVWDYTGLGYTRYSARDVGKEYLGCVVENKVLCNSLLLRLQEENGDIEKMIYPTRLISLALPSKSRQAPTREAISSGYPPEELNRSNLVKLELSDGQTLYSKLVVGADGSKSNVRQTAGIKTTGWNYPQSAIICTVEHSTENDCAWQRFLPSGPIALLPIGDNYSNIVWTMSPEESLRHKSMSPEDFVKSVNNALDFGYGPHPHSGSLDYYMEKLFSDIGSTAASTKECFEVPPKAIGVVSERMAFPLSLKHSHDYISKRLALVGDAAHTVHPLAGQGVNLGFGDAAALAKVIAEGVSVGADFGDISLLKRYENDRKAANVAMAALLDGFQKMYSVDFGPLNVLRAAAFHGAQYISPLKKNIISYAMGDAKWPLFLGGGGVEMSNRIEAVAAESNSTSCPPKFLFSCRRRLGKKPRTPRPLEGGKGKGMSSSSASAVPPEDDVCSVCHDRFRIPCQANCSHWFCGECIIRVWNHGPAVQPCKCPICRRLINLLVPANVSIDNDDDPQIQHVLGEVQHYNRIFGGTPRNLTQRLQDLPFFIRRLFRELLDPQRTLPLVFRARMVMMVALSAIYVLSPVDILPENVLGLFGFFDDFLVLLIVFLHLAAVYRSLLLYRHGGH >ORUFI03G42090.2 pep chromosome:OR_W1943:3:33407533:33415871:-1 gene:ORUFI03G42090 transcript:ORUFI03G42090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLAVASNMPLTKHLRVGIIDSNPALKSRNYLKKDGVPDSRVSTITPATISFFRDIGAWDHVQQQRLAFFGKMQVWDYTGLGYTRYSARDVGKEYLGCVVENKVLCNSLLLRLQEENGDIEKMIYPTRLISLALPSKSRQAPTREAISSGYPPEELNRSNLVKLELSDGQTLYSKLVVGADGSKSNVRQTAGIKTTGWNYPQSAIICTVEHSTENDCAWQRFLPSGPIALLPIGDNYSNIVWTMSPEESLRHKSMSPEDFVKSVNNALDFGYGPHPHSGSLDYYMEKLFSDIGSTAASTKECFEVPPKAIGVVSERMAFPLSLKHSHDYISKRLALVGDAAHTVHPLAGQGVNLGFGDAAALAKVIAEGVSVGADFGDISLLKRYENDRKAANVAMAALLDGFQKMYSVDFGPLNVLRAAAFHGAQYISPLKKNIISYAMGDAKWPLFLGGGGVEMSNRIEAVAAESNSTSCPPKFLFSCRRRLGKKPRTPRPLEGGKGKGMSSSSASAVPPEDDVCSVCHDRFRIPCQANCSHWFCGECIIRVWNHGPAVQPCKCPICRRLINLLVPANVSIDNDDDPQIQHVLGEVQHYNRIFGGTPRNLTQRLQDLPFFIRRLFRELLDPQRTLPLVFRARMVMMVALSAIYVLSPVDILPENVLGLFGFFDDFLVLLIVFLHLAAVYRSLLLYRHGGH >ORUFI03G42100.1 pep chromosome:OR_W1943:3:33416853:33420545:1 gene:ORUFI03G42100 transcript:ORUFI03G42100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLQGKAQQQQGEGGKGGGAADDDGDDQPSVASELRELWGMAAPITALNCVVYLRAMVSVLCLGRLGPLDLAGGALAIGLTNITGHSVLFGLASGLEPLCAQAFGSKNYDLLTLSLQRAVLLLTLAALPIALLWLHVGPILVALGQDPTISASAAAYAAYALPDLAASAVLQPLRVYLRSQGITKPMAACSAIAVALHVPLNVLLVFGLGFGVRGVAAAQALTNTNMVLFLLAYIRWSRACDATWKGWARPAAVASGLAGLVRLAVPSCVGVCLEWWWYEVVTVLAGYLPNPAAAVGAAGVLIQTTSLMYTVPMALAACVSTRVGNELGGGKPRRARMAAMVALGCAVVIGVVHVAWTAAFSREWVELFTREAAVVRLAAAAMPILGLCELGNCPQTTGCGVLRGTARPAVGARINLLSFYLVGTPVAVTLAFGARVGFGGLWYGLLSAQAACVALVLLAVVWRTDWHLEALRAKKLTAAAGDDDECKRLIAPLPPPDGHDVAIVDVV >ORUFI03G42110.1 pep chromosome:OR_W1943:3:33441126:33441536:-1 gene:ORUFI03G42110 transcript:ORUFI03G42110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDESFKRPGTIPFKWELQPGVPKQQPHHGGCGGGDTVVGSSSSSSSPAPALLLPPRLLAPPPAAAASHGGCDTGANILASTTPSPSSSSHRRSMSARFTASLVLPFTRPRRGRSSNSKDEDDITFTVLYGDKIV >ORUFI03G42120.1 pep chromosome:OR_W1943:3:33444507:33448602:-1 gene:ORUFI03G42120 transcript:ORUFI03G42120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSKKHQHHQQGGGTTTMEEGAMDGAGGGVAEEGCSGEEREGVADVAVGGGGGEGEREGRTLVVGVRADAASRTLLTWTFINVAAPGDRIVAVHVVLASAPVAAATTAVDFDTMLAVYEGFCNLKQINLKLKICKDSSVRKALVREANLFGASKVIVGIAKKKRGISSLHSVAKYCSKKLPAKCAVLAVDSGKIVFRRESNVHSGKVSAELPGCGDNEMYCEVPFLARQCKEEPLPLHEPPRDGGGGAGEEEEEHDVGTKETGPVNAVSGEQQPSGVDPAELSPDQVQGDVDPSDKGEESTADQKDEISELPGEGASVLYCVLPERNGHSAASTSSRQSDDSTEPPTEGNGELYCILPPRNDHSGRSSGDSSRSTASRKHDDSASLSAEGDGELYCRLPRTGHSGRSSGGSKRSFGAKGLIRRSSSFSSDIQKDVSVCTTTTEQTSSMVSTEAEDSPKNAARDVDTPSSSPMSLRRMIEGRPDRCRLRRRIFNHQRSSSFEWAKISMVQWAMRLPSRYTSVSDNKSFKSDASPRLNCDSECESTSAVDTESMFSFSLYDISWPPNEVESLQEKYSSTCRLFTYEELKLATSNFSPDMLIGKGGTSQVYKAQLFDGTLSAVKILKPSVDAIQEFVTEVEIATSLQHDNIVSLRGFSSDNYSLVLVYDYMLQGSLDKALHGKHDSKDSLSWEKRNKIAIGIAKALEYLHHGSVTQSVIHGDVKSSNILLSEDFQAQLCDFGLAKQVSASTPHLTCTDITGTFGYLAPEYFSHGKVNEKIDVYAFGVVILEIISGRRPIRTGCSKGQESLVGWAKPLLSSGEIKQLVDPFLGNDYDCDEMERMTLAASLCTRTSSHSRPEMSQMLKLLEGDDETIHWARTQVTASFDGSDEEAVAAPDSNMQSHLNLALLGVEDDTLSHCSTEQTMDTSADGYWSRSSSFD >ORUFI03G42130.1 pep chromosome:OR_W1943:3:33459132:33460523:1 gene:ORUFI03G42130 transcript:ORUFI03G42130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNWSPDDEWIAFASDRHAPGSGSFAIYMVHPNGTGLRRVVHSGGSGRTNHPWFSPDSKSLVFTSDYAAVSAEPEYFRKEKH >ORUFI03G42140.1 pep chromosome:OR_W1943:3:33461301:33463367:1 gene:ORUFI03G42140 transcript:ORUFI03G42140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLAALLAAALLASPAAPAASASGGTIVFTTLGRSRYEFDIFSLPLAPSPHNPAAAAELRLTDGVSVNYNGNFAPASDSILFVSERNGSLNLYLSPVPSSRREALESASSSSSSAAATISPLLPWEPIALKDRPSLTPDGSRLVYVSTAVPAAEPRSSWAAVYSTELSTGRTRRLTPLGVADFSPAVSPSGEWTAAASPGAAGWSGEVEDLRTDIYVFRTADGSRRSLAIRDGGWPSWADETTVFFHRRDSDGWYGVYRAEISVTGDGVEAASVERITPPGFHAFTPAASPGAPGLVAGATRLAGSDYRHIEVIDVSSDGKNAYFEVTRPVAPRVHHFNPFISPDGARVGYHRCRGRGNGDSPLLLENIKSPGSPDTFSLFRIDGSFPSFSHDGKKIAFVGLPGMYVVNSDGSGGRRKIFSGNAFSTSWDWKRKGVVYTSIGPDFASETTEVDVVAISLGDDDDESTISMKKLTVGGENNAFPSPSPDGKWVVFRSGRSGNKNLYIIDAEDGEAGGIRRLTEGPWSDTMCNWSPDGEWIAFASDRHAPGSGSFAIYMVHPNGTGLRRVVHSGGSGRTNHPWFSPDSKSLVFTSDYAAVSAEPVSNPHHYQPYGEIFTVDIDGSNIRRLTHNSFEDGTPSWTPYFLEPRDVGETLQASGRCAFQDCHWLNIQDAAQPEELNYGKSC >ORUFI03G42150.1 pep chromosome:OR_W1943:3:33463415:33482432:1 gene:ORUFI03G42150 transcript:ORUFI03G42150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGNMAFQSPKKKRKLLKNPMPHQDYSQAPGILSPHLQKDEVPGIWMAKMSYGAWPGRGVLGSVAARGTRSRFTFEVGTAMERRRRRGCGQRRRGASARPRLLQPSRGVTPSMERRPLLRRRATALEGMRRRLRRGSDREGMRRREADRGIGGTHYEIFSVSYLANTAKEVNHARFEFHIQGKAIIWYRVLVTCQKRICCIGAAVGMPSGHIGRTMYTANCSFALILLAIFKASSTALYQTKISDLLLENAKLSAGIARNQHTVNT >ORUFI03G42160.1 pep chromosome:OR_W1943:3:33464637:33478205:-1 gene:ORUFI03G42160 transcript:ORUFI03G42160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAYRPGLFLRNNLLALYCRCGDMRHARLLFDGMPRRDAVSWNTLIAGYSGLGSCRLALDAFRDARASGDGVDRFTFAAALASCARVGDWRDGRVVHGLAVVSGLARTAFLTNSVIDMYAKCGMIDEVRLLFDRAEERGEASWNLLLSAYVRMGWPEVAVNVLVWMHRSGVKLDSFALGGILKACSELGDSEDVRRMLHSCVVKVGLDLDVFVGSAMVDMYAKNGGLEEAIKVFDCIPNQNVVVYNAMIAGFARLGNDPCPEIRIEAVTLFSNMLKKRIKPSKFTFKSVLEVCNLTNAVRCGRQIHAHVILSGLQGDEFIASVLINLYSKARCVNDSLRCFDMTVKEDVFIWTSMITAFVQNEHFEKALYLFRELLYTRKGTDQFTISSVMSACAALSVPTTCKQIHCHAVKTGLDQFTVSGNSQIAMYRNIGDLKASKQTFEQISCLDTFSWSAMILSYAVHGYESKALELFEKMKNLGVMMNESASLAALIACSHQGLGDEGLRYYENTIPDDGFSLDVKLKACMVDLLGRVGKIADAEDFIMSSGSENDPILWHALLRACRVHGDKERCTKIGEKLMELEPFSASSYVMLYNLYMDAGKISLAMRTRGLMRERGISNEAGISWTDFGGSIHNFIDGDNSCSHNTIHTTLEELLVRVKQKTEHGGTNIWELEFQSRKLSESSISRHGELLAVAFGLTTLPSVAPVRVMKNQRISWESHETLKLLSEGENREITVRDPTHFHHFTRGSCSCRGYCLWLCFMPRRRRRSIAVPTSKVNRLRVPRAATDPRTPRPYQRTSGHIAMIDDEADFGNITDATEGQAAAKFRPKARAKPRKTSLPSRSLAPHPTVESTDENVETLNKDSTSQEQSVDKKAASLGCHGSETDGNACASGGILDTPSEDVVTVSLGLVNNPDVALDSSTVFASSAHKVSQNEENNDDVSHVATHKENMVVSDTQAPPTCCSARTIDDLADFEGLCDDTHVEEERVAKFQPKFRVKTSKAASKSQRTNQKAGVSTVDVLSQNKEDGKDQAGCNDKQLHSPTRHQESVQISYSQAHLGTHNSTIDDVANSDSIMEEPAQEEMAAKFQPRLRPKAGGASPGVAETIDAACVATPEFGVSSVDVVSQDTEEDSHREGLSDDSCQKYIDEEAITTSGTGPPQDLDDTVDLDSHAEMVNPHPDGSPLIIEELSAGTTVKFQPYVRRKKGKGKSVSFVPPNVSHAHAPTDTNFETSNSSHFCKDIATGESLSNLPQQASEKICISGEHHPDDQECNDPENQYHEGEPYDHVIEQEPERDARETGTSMKLRNRRKLQKDGIPEHTADDIMDEDFGEPPSDEQDNDSGDEYTARGKQKGRRKSREKNINKEPSRGTKRTSGDSTIEESQKQKLQKNKSKASSGGQKKTSKDSSVEQPEKKLTHRIRRKRMEEVKTLLETPDHEIDRMKLSVTHLRLLQEAKERIKASFVGKEIPSGPSSSNHSTSQFGDMDDEYNEQDNWDNDRTENHVVENTTKLNYHSYMNRQTRAKWSKSETDKFYEGLQQFGSDFAMIQHLFPDKSRNQVRQKFKAEEKKHPMQVHDAIMHRSRDNLYFKEVIKKLNIEDVQPDINNTHEQEGTSNEEDPGNKNIPGGLINEEEENGLDWSDKELDMHRSEVEEKEHVSTNDDDDDDLGDVFDWY >ORUFI03G42160.2 pep chromosome:OR_W1943:3:33464637:33478205:-1 gene:ORUFI03G42160 transcript:ORUFI03G42160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAYRPGLFLRNNLLALYCRCGDMRHARLLFDGMPRRDAVSWNTLIAGYSGLGSCRLALDAFRDARASGDGVDRFTFAAALASCARVGDWRDGRVVHGLAVVSGLARTAFLTNSVIDMYAKCGMIDEVRLLFDRAEERGEASWNLLLSAYVRMGWPEVAVNVLVWMHRSGVKLDSFALGGILKACSELGDSEDVRRMLHSCVVKVGLDLDVFVGSAMVDMYAKNGGLEEAIKVFDCIPNQNVVVYNAMIAGFARLGNDPCPEIRIEAVTLFSNMLKKRIKPSKFTFKSVLEVCNLTNAVRCGRQIHAHVILSGLQGDEFIASVLINLYSKARCVNDSLRCFDMTVKEDVFIWTSMITAFVQNEHFEKALYLFRELLYTRKGTDQFTISSVMSACAALSVPTTCKQIHCHAVKTGLDQFTVSGNSQIAMYRNIGDLKASKQTFEQISCLDTFSWSAMILSYAVHGYESKALELFEKMKNLGVMMNESASLAALIACSHQGLGDEGLRYYENTIPDDGFSLDVKLKACMVDLLGRVGKIADAEDFIMSSGSENDPILWHALLRACRVHGDKERCTKIGEKLMELEPFSASSYVMLYNLYMDAGKISLAMRTRGLMRERGISNEAGISWTDFGGSIHNFIDGDNSCSHNTIHTTLEELLVRVKQKTEHGGTNIWELEFQSRKLSESSISRHGELLAVAFGLTTLPSVAPVRVMKNQRISWESHETLKLLSEAPSAPSLHRRPDLEGEPASSPSRRHRSQDSSTLPANKVRVLLDPVPVQEEAYEAGGHIAMIDDEADFGNITDATEGQAAAKFRPKARAKPRKTSLPSRSLAPHPTVESTDENVETLNKDSTSQEQSVDKKAASLGCHGSETDGNACASGGILDTPSEDVVTVSLGLVNNPDVALDSSTVFASSAHKVSQNEENNDDVSHVATHKENMVVSDTQAPPTCCSARTIDDLADFEGLCDDTHVEEERVAKFQPKFRVKTSKAASKSQRTNQKAGVSTVDVLSQNKEDGKDQAGCNDKQLHSPTRHQESVQISYSQAHLGTHNSTIDDVANSDSIMEEPAQEEMAAKFQPRLRPKAGGASPGVAETIDAACVATPEFGVSSVDVVSQDTEEDSHREGLSDDSCQKYIDEEAITTSGTGPPQDLDDTVDLDSHAEMVNPHPDGSPLIIEELSAGTTVKFQPYVRRKKGKGKSVSFVPPNVSHAHAPTDTNFETSNSSHFCKDIATGESLSNLPQQASEKICISGEHHPDDQECNDPENQYHEGEPYDHVIEQEPERDARETGTSMKLRNRRKLQKDGIPEHTADDIMDEDFGEPPSDEQDNDSGDEYTARGKQKGRRKSREKNINKEPSRGTKRTSGDSTIEESQKQKLQKNKSKASSGGQKKTSKDSSVEQPEKKLTHRIRRKRMEEVKTLLETPDHEIDRMKLSGKEIPSGPSSSNHSTSQFGDMDDEYNEQDNWDNDRTENHVVENTTKLNYHSYMNRQTRAKWSKSETDKFYEGLQQFGSDFAMIQHLFPDKSRNQVRQKFKAEEKKHPMQVHDAIMHRSRDNLYFKEVIKKLNIEDVQPDINNTHEQEGTSNEEDPGNKNIPGGLINEEEENGLDWSDKELDMHRSEVEEKEHVSTNDDDDDDLGDVFDWY >ORUFI03G42160.3 pep chromosome:OR_W1943:3:33464637:33478205:-1 gene:ORUFI03G42160 transcript:ORUFI03G42160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAYRPGLFLRNNLLALYCRCGDMRHARLLFDGMPRRDAVSWNTLIAGYSGLGSCRLALDAFRDARASGDGVDRFTFAAALASCARVGDWRDGRVVHGLAVVSGLARTAFLTNSVIDMYAKCGMIDEVRLLFDRAEERGEASWNLLLSAYVRMGWPEVAVNVLVWMHRSGVKLDSFALGGILKACSELGDSEDVRRMLHSCVVKVGLDLDVFVGSAMVDMYAKNGGLEEAIKVFDCIPNQNVVVYNAMIAGFARLGNDPCPEIRIEAVTLFSNMLKKRIKPSKFTFKSVLEVCNLTNAVRCGRQIHAHVILSGLQGDEFIASVLINLYSKARCVNDSLRCFDMTVKEDVFIWTSMITAFVQNEHFEKALYLFRELLYTRKGTDQFTISSVMSACAALSVPTTCKQIHCHAVKTGLDQFTVSGNSQIAMYRNIGDLKASKQTFEQISCLDTFSWSAMILSYAVHGYESKALELFEKMKNLGVMMNESASLAALIACSHQGLGDEGLRYYENTIPDDGFSLDVKLKACMVDLLGRVGKIADAEDFIMSSGSENDPILWHALLRACRVHGDKERCTKIGEKLMELEPFSASSYVMLYNLYMDAGKISLAMRTRGLMRERGISNEAGISWTDFGGSIHNFIDGDNSCSHNTIHTTLEELLVRVKQKTEHGGTNIWELEFQSRKLSESSISRHGELLAVAFGLTTLPSVAPVRVMKNQRISWESHETLKLLSEAPSAPSLHRRPDLEGEPASSPSRRHRSQDSSTLPANKVRVLLDPVPVQEEAYEAGGHIAMIDDEADFGNITDATEGQAAAKFRPKARAKPRKTSLPSRSLAPHPTVESTDENVETLNKDSTSQEQSVDKKAASLGCHGSETDGNACASGGILDTPSEDVVTVSLGLVNNPDVALDSSTVFASSAHKVSQNEENNDDVSHVATHKENMVVSDTQAPPTCCSARTIDDLADFEGLCDDTHVEEERVAKFQPKFRVKTSKAASKSQRTNQKAGVSTVDVLSQNKEDGKDQAGCNDKQLHSPTRHQESVQISYSQAHLGTHNSTIDDVANSDSIMEEPAQEEMAAKFQPRLRPKAGGASPGVAETIDAACVATPEFGVSSVDVVSQDTEEDSHREGLSDDSCQKYIDEEAITTSGTGPPQDLDDTVDLDSHAEMVNPHPDGSPLIIEELSAGTTVKFQPYVRRKKGKGKSVSFVPPNVSHAHAPTDTNFETSNSSHFCKDIATGESLSNLPQQASEKICISGEHHPDDQECNDPENQYHEGEPYDHVIEQEPERDARETGTSMKLRNRRKLQKDGIPEHTADDIMDEDFGEPPSDEQDNDSGDEYTARGKQKGRRKSREKNINKEPSRGTKRTSGDSTIEESQKQKLQKNKSKASSGGQKKTSKDSSVEQPEKKLTHRIRRKRMEEVKTLLETPDHEIDRMKLSVTHLRLLQEAKERIKASFVGKEIPSGPSSSNHSTSQFGDMDDEYNEQDNWDNDRTENHVVENTTKLNYHSYMNRQTRAKWSKSETDKFYEGLQQFGSDFAMIQHLFPDKSRNQVRQKFKAEEKKHPMQVHDAIMHRSRDNLYFKEVIKKLNIEDVQPDINNTHEQEGTSNEEDPGNKNIPGGLINEEEENGLDWSDKELDMHRSEVEEKEHVSTNDDDDDDLGDVFDWY >ORUFI03G42170.1 pep chromosome:OR_W1943:3:33479030:33483556:-1 gene:ORUFI03G42170 transcript:ORUFI03G42170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSADLSASSESAVLLHGDLDIWITEAKCLPNMDIMSERMRRFFTGYGACGSSCGGTGDNARRAGGGVRPKKIITSDPYVSVCLAGATVAQTRVIPNSENPRWEERFRVEVAHAVSRLEFHVKDNDVFGAQLIGVASLPVDRILSGAPAEGWFPIDGHCSSNPMRPPPELRLSVQYRPIDDNPLYRGGAGAVPNAYFPLRRGGGVTLYQDAHVADGGLPAIQIAGGRAYEHGRCWEDICHSIVEAHHLVYMVGWSIYHPVKLVREPTRALPGETPSTLGELLKKRAREGVRIVILLWDDKTSHDKFLLKTDGVMHTHDEETKKFFRHSGVHCVLVPRYASTKLSIFKQQVVGTLFTHHQKCVLVDTQATGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTAFNKDFHNPTFPVNSYGPRQPWHDLHCKIEGPAAFDILTNFEQRWRKATKWKVNLKKVASWHHDTLIKINRMSWIVTPAADEANAHVCEEKDPENWHVQVFRSIDSGSVKGFPKIVQEAESQNLVCAKNLKIDKSIHSAYVKAIRSAQHFIYIENQYFIGSSFLWSSHKSAGADNLIPVELALKIASKIKANEQFAVYIVLPMWPEGIPTAAPMQQILFWQSQTMSMMYKIIADALQMQGLVEAHPQDYLNFYCLGKREVAAGDSMSQTSLCNDNSTLRSAQKLRRFMIYVHSKGMVVDDEYVIIGSANINQRSMEGCRDTEIAMGAYQPHYKWSADHGQGPPRGQVYGYRMSLWAEHLGAVEECFGRPETGECVRRVREMAEENWRAYVSPEMEETKGHLMCYPLKVDKDGRVRSLPGHDCFPDVGGKVLGTQTSLPNALTT >ORUFI03G42180.1 pep chromosome:OR_W1943:3:33484733:33487640:-1 gene:ORUFI03G42180 transcript:ORUFI03G42180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPENAAPAAAPAPAPAPTPAPPPPPSSPPTKSGIPPRYDLDAKWDACLDISIRRVAYSTLGGTFAGLLLFRGRQVNAVWLDRSILMEVFWSVVELAISSSCLISPILVYSLSIASKLARAC >ORUFI03G42190.1 pep chromosome:OR_W1943:3:33489660:33492868:-1 gene:ORUFI03G42190 transcript:ORUFI03G42190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVLLSIIASTVMLVASGAPPPTAASLAPCPKTCGEVNIWYPYGIGPGCFRQGFELTCDTTSKPLKLFLGNTMTQVISLYPSGTVLASIMYTIPMIHGVDTYNLSWDSPGRNLNVETYNYLAFLGCGIGVYLFHPDTGNLVGHCTIKCASMEEMHMATEGGICNGMGCCTVTFPVLFRGFRVTIVKSNETIPQPFNNITIKAFLTFRPYIFSIADLLSNKINASTIGASMAYLSTVIADEPNCPTARLDNKTQFACGSNNCIDVANGGYSCACPGNSDDGNPYLLDDCKQEFNPTPKKNCSRSCGSTNIPFPFGLEPGCFAKRRFQLSCASNRTLIGRPPAKYEVTNISLDEGLLYVNKLSEFEDANTKYLSVYYGGSGYFGQQLIYGLEKSDLSEEYGVWKWSVTNLTCEDAKSKSAYACVSTNSECLDVTHGKLYIGYRCKCSLGFEGNPYVQNGCTDIDECSIPNYCNGTCYNFKGSYSCCPHGMSYDRVRRQCTSNKRQNIVLGLAIGISSGFGVLALTLIAAILFKRWKRSTRKKIRRAYFRKNKGLLLEQLISSSNNVTPNTRIFSLEDLEKATNNFDPTRILGYGGHGTVYKGILSDQRVVAIKRSKIVEQSEIDQFVNEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLHGLLHGDLSTNCLLTWDDRMRIALEAAGALAYLHSSAAMPIFHRDVKSTNILLDGTFTTKVSDFGASRSISIDQTRVVTIVQGTFGYLDPEYFYTSQLTEKSDVYSFGVILVELLTRKKPIFLNCLGEQKNLCHCFLQSLRDKTTMDILDSQVVEEASHREIDEMASVAEMCLKTKGAKRPKMKEVEIRLQLLRAARSRAYKEDLQRSSEIKPLLTPKYKCTSLNSTKNVEMGLVANPESQVVSRCYTMEREMMYSSQFPR >ORUFI03G42190.2 pep chromosome:OR_W1943:3:33489660:33493003:-1 gene:ORUFI03G42190 transcript:ORUFI03G42190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELLLWSILVIHISIPLRGCILYNIGYLLVSHLMGSTKETIIASMQLVLLSIIASTVMLVASGAPPPTAASLAPCPKTCGEVNIWYPYGIGPGCFRQGFELTCDTTSKPLKLFLGNTMTQVISLYPSGTVLASIMYTIPMIHGVDTYNLSWDSPGRNLNVETYNYLAFLGCGIGVYLFHPDTGNLVGHCTIKCASMEEMHMATEGGICNGMGCCTVTFPVLFRGFRVTIVKSNETIPQPFNNITIKAFLTFRPYIFSIADLLSNKINASTIGASMAYLSTVIADEPNCPTARLDNKTQFACGSNNCIDVANGGYSCACPGNSDDGNPYLLDDCKQEFNPTPKKNCSRSCGSTNIPFPFGLEPGCFAKRRFQLSCASNRTLIGRPPAKYEVTNISLDEGLLYVNKLSEFEDANTKYLSVYYGGSGYFGQQLIYGLEKSDLSEEYGVWKWSVTNLTCEDAKSKSAYACVSTNSECLDVTHGKLYIGYRCKCSLGFEGNPYVQNGCTDIDECSIPNYCNGTCYNFKGSYSCCPHGMSYDRVRRQCTSNKRQNIVLGLAIGISSGFGVLALTLIAAILFKRWKRSTRKKIRRAYFRKNKGLLLEQLISSSNNVTPNTRIFSLEDLEKATNNFDPTRILGYGGHGTVYKGILSDQRVVAIKRSKIVEQSEIDQFVNEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLHGLLHGDLSTNCLLTWDDRMRIALEAAGALAYLHSSAAMPIFHRDVKSTNILLDGTFTTKVSDFGASRSISIDQTRVVTIVQGTFGYLDPEYFYTSQLTEKSDVYSFGVILVELLTRKKPIFLNCLGEQKNLCHCFLQSLRDKTTMDILDSQVVEEASHREIDEMASVAEMCLKTKGAKRPKMKEVEIRLQLLRAARSRAYKEDLQRSSEIKPLLTPKYKCTSLNSTKNVEMGLVANPESQVVSRCYTMEREMMYSSQFPR >ORUFI03G42190.3 pep chromosome:OR_W1943:3:33492877:33493825:-1 gene:ORUFI03G42190 transcript:ORUFI03G42190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGDDGERLPVAVGVLPWQQQQQQQPTNFCSGRYWLSTFPFLSEDVYYIILGTFLFPILWVQQKKL >ORUFI03G42200.1 pep chromosome:OR_W1943:3:33494570:33499062:-1 gene:ORUFI03G42200 transcript:ORUFI03G42200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEIALEPGYAFRPSDDGLITLFLRPKIAKIPFEHRLINHADVYSADPTELVGEHRPAPGTHGSGSVWYFFCSPRYTSKRKASGRRQRAVGGESVWKSEGGKKAVIGADGRRVLCKVYRSPRAVCAEARTAAAKCSGSKRKADDGVDHPEAPPPSARPRQEEAGSEHDEQSSELLPELDLDALLSAPMDENLESTFSTAATEQYMRYLLNDEPLPWAPTTELVDGGGGGGDEFIQTANGPCMDGEVFTRLAAGETLDDILGSTSS >ORUFI03G42210.1 pep chromosome:OR_W1943:3:33501190:33502620:-1 gene:ORUFI03G42210 transcript:ORUFI03G42210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKTFVLFPSLGVGHLNPMVEMAKHLRRRGLAVVVAVIDPPDNDATSADATARLAAANPSITFRLLPAPPSPDAAAHPARRALDALRLANPVLREFLRSLPDAADALLLDAFCVDALDVAAELAIPAYFFFPSGASALAALLHLPYYYPDVPSFREMGMALVRLPGMPPLRAVDMVATVQDKESDATKVRLYQFKRMAEAKGVLVNSFDRLEPKALNALAAGVCVPDKPTPRVYCIGPLVDAAAGKNGERHPCLAWLDAQPRQSVVFLCFGSKGAFPAAQLKDIARGLENSGHRFLWAVRSPPEEQSTSPEPDLERLLPAGFLERTKHRGMVVKNWAPQAEVVRHEAAGAFVTHCGWNSTLEAIMSALPMICWPLYAEQAMNRVLMVEEMKVAVALDGGEVGGALVAVAAEEVEAKVRLVMETEEGRKLRERVVETRDMALDAINGGGSSEIAFDEFMRDLEKMNSLENGGGRSC >ORUFI03G42220.1 pep chromosome:OR_W1943:3:33503806:33505709:-1 gene:ORUFI03G42220 transcript:ORUFI03G42220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKGAKMPSPPPAAAAGRLVKVGLLGGAAIYAAFNSLYNVEGGHRAIVFNRLEGIKDKVYPEGTHFMIPWFERPIIYDVRARPNLVESTSGSRDLQMVRIGLRVLTRPLPEKLPTIYRSLGENFNERVLPSIIHETLKTVVAQYNASQLITQREAVSREIRKILTERASNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKAEQDKRSAIIRAQGEAKSAQLIGEAINNNPAFLALRQIEAAREISHTMASSNNKVYLDSKDLLLGLQQLNVDNKNKK >ORUFI03G42230.1 pep chromosome:OR_W1943:3:33506937:33511803:-1 gene:ORUFI03G42230 transcript:ORUFI03G42230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGLRHGGVGSSSRPGHGFKGPASSVECLGREMLEMQLRDSKPDVGDEKNTERDVVDGSSAEAGHIIATTIRGRNGLPKQSVTYIAEHVVGTGSFGVVYQAKCRETGEIVAIKKVLQDKRYKNRELQIMHMLDHPNIVGLKHYFFSTTERDELYLNLVLEYVPETVNRIARQYSRMNQRVPLIYVKLYTYQICRALAYIHNCVGICHRDIKPQNVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDLWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFQKRLPPEAVDLVSRFLQYSPNLRCTAMEACMHPFFDELRDPNTRLPNGRPLPPLFNFRTQELNGIPPEAIERLVPEHARRQSLFMALRT >ORUFI03G42240.1 pep chromosome:OR_W1943:3:33513862:33518757:-1 gene:ORUFI03G42240 transcript:ORUFI03G42240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALASRALPPPPRHCARRPRAPPTTAPRPRRGCAAAARPARCRAVAADERPADPSIPEGEDGALSGEAERPEADVVVIGSGIGGLCCAGLLARYNQDVLVLESHDRPGGAAHSFDIKGFNFDSGPSLFSGFQSRGPQANPLAQVLDALGESVPCASYDSWMVYVPEGQFLSRIGPTDFLKDLDEFVGADAVQEWKKLLDAVIPISAAAMALPPLSIRGDLGVLSTSAGRYAPSLLKSILQMGPQGALGATKLLRPFSEIVDSLGLKNPFVRNWIDLLCFLLAGVKSDGALSAEMVYMFAEWYKPGCSLEYPLEGSGAIIDALVRGIKKFGGRLALRSHVEKILIENGRAVGVKLQSGQIVRARKAVVSNASMWDTLDLLPPDAVPRSYQDKVKATPQCESFMHLHLGFDVENAREDLGIHHIVVNDWNKGVDADQNVVLISVPTVLGNGLAPPGKHVLHAYTPGTEPFSLWEGLDRKSAEYRRLKEERSEVMWKAVELALGPRFSREKCDVKLVGSPLTHKRFLRRNRGTYGPAIKAGEATFPGQATPIPQLFCCGDSTFPGIGVPAVAASGAIVANTLVSVSQHSELLDAVGRQICG >ORUFI03G42250.1 pep chromosome:OR_W1943:3:33522535:33523335:1 gene:ORUFI03G42250 transcript:ORUFI03G42250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCWQGGIGGPLVDLDGNVLGMNFYDKKIGTPYLRWHVITALLKLTSVVTLEGPLPCYAGVYLPGWNGGDNANLNRVFDQTACTSKYCFVLPQSPPVAPDWTIETGNSVTLNRWPVPLPYWRQPDDMEEEEPPRGFEDMYTYVDGVRLCNF >ORUFI03G42260.1 pep chromosome:OR_W1943:3:33524038:33526204:1 gene:ORUFI03G42260 transcript:ORUFI03G42260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTGNEAHKAQPNGLLWAYWEAHFKAFFFFSPRALPFVSTRKPRNQERKKSRTCDMDQEFHFDPFTGGDFDYPDGESFSGGAASGGFDYPGSCGRSSSAGEELHGHPYRDGYSFSGGAASDECHFLGRSGFPSSGGERLLVHRHPDRYRCGEPFSGGYARGHGDLLGWSSCHSSCSQLLQDPATIVIVINDGAHAHGGGLAQAGQASSMSVPLVKSKQGTSLVPQLKLGPSAAPNLLHWLMEHILWDALEFSISWTTLRRHQPKLPSEWSWWMAMGSPFIQIDGICSIAASFMCVEAQHRLAFEILHGIGRFPLKAKRLKGVKKKCINKKVWSPADGAFVEDVLKVVAKGRGVETIQGIFLPINEWISYKDVSHEAAVRLLLAHGPLLATLWVNDEYMICTTKNDLVYRGSSNREKDPNHTVVCFAYRFVGEELHLRVLDDHTEDGPVRWVLYECIDEIHLLTLKEPLTKELIDRYRKKGQTESFLSNSANKVKAMLIRRLMTKYSELESSQGSSSCGRQSWEK >ORUFI03G42270.1 pep chromosome:OR_W1943:3:33530343:33536664:-1 gene:ORUFI03G42270 transcript:ORUFI03G42270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGRPRAPAAAESAAVPGRRPARSYREKGLAARFRAGADVSCTDLAQVVAVGRSDQAWGVRRDGGDSEGGRNVDSRFSSCSAGMVFARGNGTACRVSRGYLYSCSLDGLLAGKPNMIAGAGGHKDEDGRKVKLPANVAVGKSVFRRRRAAGEMYAGAGPSAAPGLRLCVGGWLRSRCWNLELEAPIEMGIRRMASRDHQHSLKEATAKRGRRRGSAS >ORUFI03G42270.2 pep chromosome:OR_W1943:3:33530343:33536664:-1 gene:ORUFI03G42270 transcript:ORUFI03G42270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGRPRAPAAAESAAVPGRRPARSYREKGLAARFRAGADVSCTDLAQVVAVGRSDQAWGVRRDGGDSEGGRNVDSRFSSCSELKICSPWAVGVIVGSSRIERVANGQTLNPSMLTSLKPGLRLCVGGWLRSRCWNLELEAPIEMGIRRMASRDHQHSLKEATAKRGRRRGSAS >ORUFI03G42270.3 pep chromosome:OR_W1943:3:33526432:33536664:-1 gene:ORUFI03G42270 transcript:ORUFI03G42270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGRPRAPAAAESAAVPGRRPARSYREKGLAARFRAGADVSCTDLAQVVAVGRSDQAWGVRRDGGDSEGGRNVDSRFSSCSELKICSPWAVGVIVGSSRIERVANGQTLNPSMLTSLKISYKELKTVPNYLDW >ORUFI03G42270.4 pep chromosome:OR_W1943:3:33530343:33536664:-1 gene:ORUFI03G42270 transcript:ORUFI03G42270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGRPRAPAAAESAAVPGRRPARSYREKGLAARFRAGADVSCTDLAQVVAVGRSDQAWGVRRDGGDSEGGRNPGLRLCVGGWLRSRCWNLELEAPIEMGIRRMASRDHQHSLKEATAKRGRRRGSAS >ORUFI03G42280.1 pep chromosome:OR_W1943:3:33528233:33529263:1 gene:ORUFI03G42280 transcript:ORUFI03G42280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVSRLMATCVQEEKELEEKEEEEVEEEDENEEEEEEEEEDPQPYVPSRPLPSEEVRDGYSIREAHAALDYYNANHTGAEYELVKPLMAACVFFKRRMWYHVSLLARRKDQTTAPPIEYFFAELREGASDSFIVEACTMIENPQSCSGNKCSLCPTRYEIVHPSEEELLCGKEGDVKDFLRLRNLSPLPFTCPVTVPEIEIVVEK >ORUFI03G42290.1 pep chromosome:OR_W1943:3:33531105:33533364:1 gene:ORUFI03G42290 transcript:ORUFI03G42290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVHGKDGAEEAPAGASHHSSCYCSFSSSSPTSSDDRPGVRAIPCSSHHDEREIAEADCCAGAGAGVHLSGCSSSPENALAHGYISWKLDFPPVLILVASCPSDHVGFSGEQPIQGARIQVAPRDTASCAISSGEDHPSFIMTVISESAEFQSGHPRREVKAKQGTPQLKLGFNDCDENLAAPNLLHLLMDNILRDVLEFSISWVTLRRYQPKLPSEWSWWMAMGSPFIQIDGICSIAASVMCVEAQHRLAFEILHGIGSFPLKAKRLKGVKKKCINKKVWSPADGAFVEDVLKVVAKGRGVETIQGIFLPINGYHMYKNVQKDVSHEAAGASLTCPWSTAGNIVGE >ORUFI03G42300.1 pep chromosome:OR_W1943:3:33542048:33542923:1 gene:ORUFI03G42300 transcript:ORUFI03G42300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFYYYHRLQRLFPSHLSRVKKVRDGYSIWKARAALHHYNANHPGAEYDLVKPLMAACVIFRGKLWHHVSFLAHRRDQLVAPPVEYFFAELRDGCSDDDSIVEACTMIENPQSCSGNKCSFCPEQYQIAHPSEQELLCGKEEHAKDFIRLMNMSPMPFTCSTETPEIGIVIEQ >ORUFI03G42310.1 pep chromosome:OR_W1943:3:33544320:33547543:1 gene:ORUFI03G42310 transcript:ORUFI03G42310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTSPASRLDFYDFIGRMRRPAAADLFHSIRRPLPRVNSDLRFNGSFLASLSQGGEPNAEVDGGRVQTFFAEMETAIRDHPLWANATNQEIDNALEGLEKYIMTKLFDRAFASSAEDVKSDMEISEKIGLLQHFVRPHHLDIPKLLHNEAAWLLAVKELQKINSFKSPREKLSCIMSCCQVINNLLLNVSMSNDRTLSGADDFLPILIYITIKESVFQTHMESARLGNHISVASTNSSQGLGTSTPGQNEESGDTEGLKFPFMDSETESLTPAEVKQLHELYRQVVTRYTLLSKTLRKLSVDEDQLLNSVDD >ORUFI03G42320.1 pep chromosome:OR_W1943:3:33548828:33550283:-1 gene:ORUFI03G42320 transcript:ORUFI03G42320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVVNAVLDLVVPPASMVMLAFAWPTLSFLRGVEWVVKTLTVENMHNKVVLITGASSAIGEQIAYEYARRNANLVLVARREHRLFAVRENARALGAGQVLVIAADVVKEDDCRRLVGDTISFFGQLNHLVNTVSLGHDFCFEEAGDTVAFPHLMDVNFWGNVYPTYAALPYLRRSHGRVVVNAAVEIWLPMPRMTLYSAAKAAVIDFYESLRYEVGDEVGISVATHGWIGGEASGGKFMLEEGAEMQWKGEEREVPLAGGQVEAYARMVVAGACRGDAHVKHPNWYDVFLVFRAFAPDVLAWTFRLLLSTPSPSPPASARRHQLAALPAPPLHPLLEYPSARSPGRAAQQHKLE >ORUFI03G42330.1 pep chromosome:OR_W1943:3:33553004:33554379:-1 gene:ORUFI03G42330 transcript:ORUFI03G42330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPAPWEQGGDEYDYLFKIVLIGDSGVGKSNLLSRFTRNSFSLDSKSTIGVEFATRTIQVTMPASASFSSASPDLLCLLVEGKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKATTFENVKRWLKELRDHADSNIVVMLIGNKIDLKHLRSVSLEDAASFAEREGLSFVETSALDATNVDKAFQTVLTEIYRIISKKALAADEAGAGAGAVREGQSIQVSATDSSSFTSRCCSF >ORUFI03G42340.1 pep chromosome:OR_W1943:3:33556839:33563613:1 gene:ORUFI03G42340 transcript:ORUFI03G42340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAAYSAASAIVVVMAKGKGRGGRGGPSGANKVSERRPPRITSNVKQSLRILKFWKDYERRQTSGPQPATRYRKKKVIKEVLPDDTDFYEDASSTLHYTNQGLEIASPVILVDGYNVCGYWGKLKKDFMNGRQEIARQMLIDELVSFSAVREIKVVVVFDAAASGLSTHKETYKGVDVVYSGDLSADSWIEKEVEALVADGCPKVWVVTSDALEQQLAHGEGALIWSSKRLVKEIKESEKELDEELKETRSTSLQGKLFQHKLKPKVVHALKDLRNKLEEEERRKR >ORUFI03G42350.1 pep chromosome:OR_W1943:3:33560424:33563285:-1 gene:ORUFI03G42350 transcript:ORUFI03G42350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSENPTVTERGGGKDRRDDDGGEKKEGGGGFMEKVKDFIHDIGEKIEGAVGFGKPTADVSGVHIPHISLHRADLVVDVLIKNPNPVPIPLVDIDYLIESDGRKLVSGLIPDAGTIHAHGEETVKIPISLIYDDIKSTYNDIKPGSIIPYLVRVVLLIDVPIIGRIKLPLEKSGEIPIPYKPDVDVEKIKFHRFSFEETTATLHLKLENKNDFDLGLNMLEYEMWLGDDSVASAELTESATIEKQGITTMQVPFSFRPKDFGSAVWDMIRGRGTGYTIKGKIDVDTPFGNMKLPISKEGGTTRIKKDDDDDDELEENTFSAMLK >ORUFI03G42360.1 pep chromosome:OR_W1943:3:33564411:33568474:1 gene:ORUFI03G42360 transcript:ORUFI03G42360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMALSISSTAAATALLPTLPPSRSRLRVAPRRAAARVARVVSAGYAAGFYGGAAAAAGGENDEVGDEEGSSSGFGAGLGIGGGGLGMSAAEAALALEEREMPPCPPGLRQYETMVVLRPDMSEEERLALIQRYEELLVAGGAMYVEVFNRGVIPLAYSIRKRNSRTGLPSTYYDGIYLLVTYFTKPESLDALQMRLNADDDVIRSTSFKVRKRKAF >ORUFI03G42370.1 pep chromosome:OR_W1943:3:33566686:33572389:-1 gene:ORUFI03G42370 transcript:ORUFI03G42370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRKTATGNGDVREVSRKYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCPKVHSLQLRKDYEEVKAKGTENYDRELEEMIDRLIVECERKIQRALKRLADEDAKAAIAISVSEVTQTDEVLQLSKEIKEKMKEVDTYGKTDDKIKTMEVVEELRSKRADMQATLLLDAFNKDRASLPQPTPTPQMASVPAPAPPDARTQEMINEKIKKAEELGEQGMVDEAQKVMEEAEALKKLAARREPTSDPTKYTAADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQVLNVMRREVKEGRHLKMTGDQENTARTAMVRHPGIEIQKERTELNLEKAEETMIVIGIGATIGTAAMIAIGIEIMTVRVAMIQGEGNVLDPGSAGATIDTESGAP >ORUFI03G42370.2 pep chromosome:OR_W1943:3:33566686:33572389:-1 gene:ORUFI03G42370 transcript:ORUFI03G42370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRKTATGNGDVREVSRKYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCPKVHSLQLRKDYEEVKAKGTENYDRELEEMIDRLIVECERKIQRALKRLADEDAKAAIAISVSEVTQTDEVLQLSKEIKEKMKEVDTYGKTDDKIKTMEVVEELRSKRADMQATLLLDAFNKDRASLPQPTPTPQMASVPAPAPPDARTQEMINEKIKKAEELGEQGMVDEAQKVMEEAEALKKLAARREPTSDPTKYTAADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQGTVATTLVVTGTSQCCIIGISSMLHNWNLMCQSSLLSMGTTAVMRREVKEGRHLKMTGDQENTARTAMVRHPGIEIQKERTELNLEKAEETMIVIGIGATIGTAAMIAIGIEIMTVRVAMIQGEGNVLDPGSAGATIDTESGAP >ORUFI03G42370.3 pep chromosome:OR_W1943:3:33566686:33572389:-1 gene:ORUFI03G42370 transcript:ORUFI03G42370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRKTATGNGDVREVSRKYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCPKVHSLQLRKDYEEVKAKGTENYDRELEEMIDRLIVECERKIQRALKRLADEDAKAAIAISVSEVTQTDEVLQLSKEIKEKMKEVDTYGKTDDKIKTMEVVEELRSKRADMQATLLLDAFNKDRASLPQPTPTPQMASVPAPAPPDARTQEMINEKIKKAEELGEQGMVDEAQKVMEEAEALKKLAARREPTSDPTKYTAADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQVHSCIPDLPQVKRREVKEGRHLKMTGDQENTARTAMVRHPGIEIQKERTELNLEKAEETMIVIGIGATIGTAAMIAIGIEIMTVRVAMIQGEGNVLDPGSAGATIDTESGAP >ORUFI03G42380.1 pep chromosome:OR_W1943:3:33573538:33580492:1 gene:ORUFI03G42380 transcript:ORUFI03G42380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDPDSSPAPPHRDWFFPPAPPFLPSSRARTPRAPFPSTSRSSNPYSFPDRRPPPTPRSRSRSPLPPPEQQKQQQPPPTTPPPAPRRRDPRYAGVRRGDVRTLTAEKAAAAAAGPTAAQGPGGNDHPPMVRDGIRGGKKWAIVLCFSSLVRSNSSLHDQVHHLKAQLAEATTKLQSCITESSMDMSSILSYQSNNSTSQNRGLKNFSLLLSLSTLYAPLLILKYMDLFLKLRSSQDSEEEVPINKRLAYRVDIFLSLQPYAKPLVLLVATLLLIGLGGLALYGVNDDSLLDCLWLSWTFVADSGNHANAEGFGPKLVSVSISIGGMLVFAMMLGLVTDSISEKFDSLRKGRSEVIEQSHTLVLGWSDKLGSLLNQIAIANESLGGGTIVVMAEKDKEEMEADIAKMEFDLKGTAIICRSGSPLILADLKKVSVSKARAIVVLAEEGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLDGMQFEDVLISFPDAIPCGIKVASYGGKIILNPDDFYVLQEGDEVLVIAEDDDTYAPAPLPKVKEAVYIDIVHPERNPQKILLCGWRRDIDDMIVVMRGYLPKDFVVPKSPERILFCGWRRDMEDMIMACPFENVLDAFLAPGSELWMFNDVPEMDRERKLIDGGLDFSRLENITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPFREAMVSHVTRGSFCEGSWIGEMQQASDKSVIISEILDPRTKNLLSVSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEQGNEMQIRPADLYLREDEELNFFEVMLRGRQRKEIVIGYRLVDAERAIINPPDKVSRRRWSAKDVFVVITEKE >ORUFI03G42400.1 pep chromosome:OR_W1943:3:33587684:33589134:1 gene:ORUFI03G42400 transcript:ORUFI03G42400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIVDVAGGGRQQALDGSNPAVARLRQLIGGGQESSDGWSRCWEEGVTPWDLGQPTPAVVELVHSGTLPAGDATTVLVPGCGAGYDVVALSGPGRFVVGLDICDTAIQKAKQLSAAAAAAADGGDGSSSFFAFVADDFFTWEPPEPFHLIFDYTFFCALHPSMRPAWAKRMADLLRPDGELITLMYLAEGQEAGPPFNTTVLDYKEVLNPLGLVITSIEDNEVAVEPRKVIS >ORUFI03G42410.1 pep chromosome:OR_W1943:3:33590335:33594006:-1 gene:ORUFI03G42410 transcript:ORUFI03G42410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGDLRSILPYLPVVLRGGALFWPPAAQEALKALALGPDVSRVSSGDVLADALTDLRLALNLDPLPRRAAEGFALFFDDLLSRAQARDWFDHVAPSLARLLLRLPTLLEGHYRAAGDEARGLRILSSQDAGLVLLSQELAAALLACALFCLFPTADRAEACLPAINFDSLFAALCYNSRQSQEQKVRCLVHYFDRVTASTPTGSVSFERKVLPRRPESDGITYPDMDTWMKSGVPLCTFRVFSSGLIEDEEQEALEVDFANRYLGGGALSRGCVQEEIRFMINPELIVGMLFMVSMEDNEAIEIVGAERFSQYMGYGSSFRFTGDYLDSKPFDAMGRRKTRIVAIDALDCPTRLQFESSGLLREVNKAFCGFLDQSNHQLCAKLVQDLNTKDNCPSVIPDECIGVSTGNWGCGAFGGNPEIKSMIQWIAASQALRSFINYYTFESESLKRLEEVTQWILRHRWTVGELWDMLVEYSSQRLRGDTNEGFLTWLLPKDIPNGDVDYMCE >ORUFI03G42420.1 pep chromosome:OR_W1943:3:33594144:33600170:1 gene:ORUFI03G42420 transcript:ORUFI03G42420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPPTPRPRVLAAAPCHVPSRRRRRVCDGLCSAAAADNGCAESPDVVLECKRLNRLVKSGRLADALDLFDRMPRKNVVAWTSVMSGCTRNGRPEAALAMFADMVESGVAPNDFACNAALVACADLGALRAGEQVHSLAVRAGFAGDAWIGSCLIEMYSRCGSLPAAKEVFDRMDSPDVVGYTSLISAFCRNGEFELAAEALIQMLKQGLKPNEHTMTTILTACPRVLGQQIHGYLIKKIGLRSQSVYSSTALIDFYSRNGEFKLAKAVFDSLHCKNVVSWCSMMQLYIRDGRLEEALQVFGDMISEGVDPNEFALSIVLGACGSIGLGRQLHCSAIKHDLITDIRVSNALLSMYGRTGLVEELEAMLNKIENPDLVSWTTAISANFQNGFGEKAIALLCQMHSEGFTPNDYAFSSVLSSCADVASLDQGMQFHCLALKLGCDSEICTGNTLINMYSKCGQMGSARLAFDVMHTHDVTSWNSLIHGYAQHGDANKALEVFSKMRSNGVKPDDSTFLGVLMGCNHSGMVEEGELFFRLMIDQYSFTPAPSHYACMIDMLGRNGRFDEALRMINDMPFEPDALIWKTLLASCKLHRNLDIGKLAADRLMELSDRDSASYVLMSNIYAMHGEWEDARKVRRRMDETGVKKDAGCSWIEINNEVHTFASRDMSHPNSDSIYQMLGELVAVMQDFDELEPRHHSSSSSSINSGGGRRTHVAAVPPSLLPQHQQIENHGDLNSGSNQDVWAILSVEVASNLSKSVVSLTLSDGNTLIYACSGIAIECQEGSGTIFLTSASLVTAFYDTEEVYDNLKVIEVRHEGNEVLKGYLAKYDLDKNFAVVYTMESLDVHIVLTENSRDRYINKKLVAVGRDKHGVLMAKSVMVAGCRDSNRSEDSKEIRLISEDWEGGPLFDFDGKFVGMNRFSVMDRTSVLSWVSILIILKHYLPSLQNRILKRLQNVKRVRDGERPTGELPDYHQEAPVHRCGLNTEQFGYLNSMGYPKPPINVLDDGMILANSFEETFGDLCGEDLWSEINKKVPCDIHQNVVALASFKGKRRSFACTGCTTILTSASLVRESDDGNKIDENLRIEVLLPNKQLREGTLQHYSLHYNVALVSVKDKDFHARPANIQLDHNHGPGVAAVGRCFESGKLMAARTDVVDWSGTLDCEMFLIRSSCKITKAGIGGPLVDLEGKVIGMNFYDKKIGTPCLPWNVILMVLACFEKESGGEVGSGSDPCGAPGWKIPRDESVRLNRWPVPLPYWRPHDDVDEQEPPEGCEHIYTYINGERYCYR >ORUFI03G42430.1 pep chromosome:OR_W1943:3:33600996:33606316:-1 gene:ORUFI03G42430 transcript:ORUFI03G42430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWFCCNCHFDEEDDHGKERSNAQGNKMDAKQKSSKPPAGQPEPEIAPLTIDVPELSLEDLKQKTDNFGSNALIGEGSYGRVYHATLDDGRQAAVKKLDASENEPNDEFLKQVSQASRLKHENLVEMLGYCVEGNYRILAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWTQRVKIAIEAAKGLEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKSEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLQQKPAVPAASEPAPATEN >ORUFI03G42440.1 pep chromosome:OR_W1943:3:33610998:33615145:1 gene:ORUFI03G42440 transcript:ORUFI03G42440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATAGTYSPASAAGEKRRERKEELRRHLAEDADWPRADGRSFHDCRPAFMQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMLYSDTGRLNCNVSYTTFATPMRGQGTDNKEYSAMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMMYDLVTSVSVSCFGKNIIIDPTSDEEAWQDGSLMVAYMPARKEITQLTLTGEWSDGKITNAVELCMDACSKLCDILREHLKDASSLAE >ORUFI03G42450.2 pep chromosome:OR_W1943:3:33615717:33620437:1 gene:ORUFI03G42450 transcript:ORUFI03G42450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSPPLLPPQQNLEWRWREAPESYCWLVLTKLGDSMIKQILGRFPKKPSKSGDKDPIGSLLNLITMEQYPREDAQN >ORUFI03G42460.1 pep chromosome:OR_W1943:3:33620702:33623670:-1 gene:ORUFI03G42460 transcript:ORUFI03G42460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSDVILLLILLIACVAVPAAAAFETVYAFGDSFTDTGNTHSTTGPYSFGYVSSPPYGATFFHRSTNRYSDGRLVVDFLADRLALPGFLPPYLSPAAANATHGVNFAVAGATAIEHEFFARNNLSVDITPQSIMTELAWFEAHLRRSPAAARAVGDALFWVGEIGANDYAYSFMAATTIPQDQIRNMAVDRLTTFIEALLKKGAKYIIVQGLPLTGCLPLTMTLARPEDRDNISCAATVNQQSHAHNRRLQASLRRLRRQHPAAVIAYADYYAAHLAVMAAPARYGFTEPFKTCCGAGGGAYNFEIFSTCGSPEVTTACAQPAKYVNWDGVHMTEAMYRVVAGMFFQDGRYCHPPFSTLLARRNKGN >ORUFI03G42470.1 pep chromosome:OR_W1943:3:33631041:33637168:1 gene:ORUFI03G42470 transcript:ORUFI03G42470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHLLLLARPRARRPASARRVAPRRVVLRPVAALGGGGGFAEVGELFGRVEAFLYTVADAAVSASPEVVQGGGGGTKEAAGDWLSGITNSMETVLKVLKDGLSALHVPYPYGFAIILLTVLVKAATFPLTKKQVESAIAMRSLQPQVKAIQERYAGDQERIQLETARLYKLSDVDPLAGCLPTLVTIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAARQSGQGISWLFPFTDGHPPLGWSDTLAYLVLPVLLVISQYVSSQVMQPPQNNDPSQQGAQAVVKFLPLLIGYFALSVPSGLSLYWLTNNILSTAQQVWLQKLGGAKNPVKEYIDKLAKEESTNLGKPEPAIKSDPLPKVGKPPASQEPEPSGPQRGERFRKLKEEESRRKVFLEKAEQTEQAGTQAGIVDGKQNSDASGDNIDEQESHENEPIIANGNGGLSHSTNEMIPNGSMKEDIIQESTDSHSSVIDPTSHDAHKSRDEENEQDAV >ORUFI03G42470.2 pep chromosome:OR_W1943:3:33631041:33637168:1 gene:ORUFI03G42470 transcript:ORUFI03G42470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHLLLLARPRARRPASARRVAPRRVVLRPVAALGGGGGFAEVGELFGRVEAFLYTVADAAVSASPEVVQGGGGGTKEAAGDWLSGITNSMETVLKVLKDGLSALHVPYPYGFAIILLTVLVESAIAMRSLQPQVKAIQERYAGDQERIQLETARLYKLSDVDPLAGCLPTLVTIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAARQSGQGISWLFPFTDGHPPLGWSDTLAYLVLPVLLVISQYVSSQVMQPPQNNDPSQQGAQAVVKFLPLLIGYFALSVPSGLSLYWLTNNILSTAQQVWLQKLGGAKNPVKEYIDKLAKEESTNLGKPEPAIKSDPLPKVGKPPASQEPEPSGPQRGERFRKLKEEESRRKVFLEKAEQTEQAGTQAGIVDGKQNSDASGDNIDEQESHENEPIIANGNGGLSHSTNEMIPNGSMKEDIIQESTDSHSSVIDPTSHDAHKSRDEENEQDAV >ORUFI03G42480.1 pep chromosome:OR_W1943:3:33637172:33637519:-1 gene:ORUFI03G42480 transcript:ORUFI03G42480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHRTLLLLVAVLFAAAAVALADDAKPTILTPVANTPLGSFDGDSPADDAMDDEDAAPVGAPIGTTMTEPKPELTTTPGAAGEAAGGASAGYSLGVASRVGAAAAFVAGVFAF >ORUFI03G42490.1 pep chromosome:OR_W1943:3:33638720:33639607:-1 gene:ORUFI03G42490 transcript:ORUFI03G42490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGGGGGQPSCAAVSLSKYLQRKLWKRINGGKPRRKRRPEVRSASGGGEVPVSVELMTTSSWSSSTVRSPEAVVRVVMQGGVVEAYGGVVLACTVIRKHPPGLCLAYPDVFRNPHGARVRPLQPLFPGEKFYLLPERTIERLQRQIPESSVGAFDNADEEEEEEEDTQDYSSGAASSSSEEEAACDDDDGDECAARRWCCAREYFEAKERWEECQFKKMVARGLAVEQNTEKETAMKKKKKNGRRRKKKKRNSAAVPSTGCRTSRAPATTRRTWEPSLPSVEEERESSPPSERG >ORUFI03G42500.1 pep chromosome:OR_W1943:3:33640566:33643465:-1 gene:ORUFI03G42500 transcript:ORUFI03G42500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAAAALRPAPTTAAFSPSTARSPPPTLLSFAPPRSHQRFHLSATAEGAGTTAAQEGASASASAPPVDEARLAQFAADWQAARAERDQGKILKLQVIRANSGGLIVRFNSLQGFVPNPLLSPAHWCKDPKRPIQDVTKDLVGSSISVKVVEVNEEERKLVFSEKDASWFTHSSLVKIGAIYDGIVGSVFHYGAFVHLRFPDGNYHLTGLVHISEVSWDLVQDVRDFLNEGDTVKVIVVNIDMEKSRIALSIRQLEEDPLLETLDKVIPLEADQSPSAGIISSDSSPSEADLLPGLDGICNELLQEDGITDVQFGRRALEKRVVSQDLELWLSSVPAKDNQFKLLARAGRQVQELYLTTSLDQEGIKKAVQRVLGRVP >ORUFI03G42510.1 pep chromosome:OR_W1943:3:33644081:33645785:-1 gene:ORUFI03G42510 transcript:ORUFI03G42510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRSMMKFFSPSSSAIYTTLSSRLARINATRHTPPPPPKSSRAARSLTSFLLIRATMSSSSSSSDAVAAAAAATFEKPRTVVKKLLAESQPEGDGATVRRSIGRYELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLEGAFTHQDFAGHKGTIGTGDVQWMTAGRGIVHSEMPAADGVQKGLQLWINLSSKDKMIEPRYQELMSKDISCAEKDGVEVKIIAGEAFGVRSPVYTRTPTMYMDFTMQPGSQLHQPIPEAWNAFVYIIDGEGVFGREKASPATAHHCLVLGPGDGLSVWNKSGEPLRFALVGGQPLNEPVVQHGPFVMNTRAEIQQAMEDYYYGRNGFEKARHWSSTA >ORUFI03G42510.2 pep chromosome:OR_W1943:3:33644081:33645785:-1 gene:ORUFI03G42510 transcript:ORUFI03G42510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRSMMKFFSPSSSAIYTTLSSRLARINATRHTPPPPPKSSRAARSLTCTTASNPKSKSKSKAKHLLLLLLIVSLILLAAFLLIRATMSSSSSSSDAVAAAAAATFEKPRTVVKKLLAESQPEGDGATVRRSIGRYELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLEGAFTHQDFAGHKGTIGTGDVQWMTAGRGIVHSEMPAADGVQKGLQLWINLSSKDKMIEPRYQELMSKDISCAEKDGVEVKIIAGEAFGVRSPVYTRTPTMYMDFTMQPGSQLHQPIPEAWNAFVYIIDGEGVFGREKASPATAHHCLVLGPGDGLSVWNKSGEPLRFALVGGQPLNEPVVQHGPFVMNTRAEIQQAMEDYYYGRNGFEKARHWSSTA >ORUFI03G42520.1 pep chromosome:OR_W1943:3:33646111:33647536:1 gene:ORUFI03G42520 transcript:ORUFI03G42520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELERANDVLTSTLRHPSPDVTLASALGNRRVGCSLVSVRETVEATISAAHLLGMADSRLSSVPSWLPVGLVT >ORUFI03G42530.1 pep chromosome:OR_W1943:3:33648513:33655304:1 gene:ORUFI03G42530 transcript:ORUFI03G42530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRLSTVPSWLPVGMDPLTTYLLEIKLAGDRKNARMIDSNAMCYKDFVDDIAKSYPWGPNETVTIGYVDMLDHTLVKANICVPDTPSLATPSQVDFSQPSSSTLPSHVLVPSDTYLINPFPMAEHVGVDDECIYLDNEEAVAGQADETRDNEEAVAGQADETRGEGVANEESEDESWATSEDTSKDASEGDGVDESEDERLLLVKLMKLEVKGLPMKNPRMNLGLHLRIHLRMHLRVMVKTNPFPHECSSTRRSETIKAASKSRSLTGSNQPEPSNMIVALPCLGEQTPPVAPKKAKSKTKGKPPCSAVPNSPAMGTRSKNKSPAMGTRSKRKLMD >ORUFI03G42540.1 pep chromosome:OR_W1943:3:33662621:33665004:1 gene:ORUFI03G42540 transcript:ORUFI03G42540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLTTSGIKNVVLIGDDKQLQSLVKSPTAKEEKFGRSLFERLYELGFPKHTLNIQYRMHPSISKFPNERFYDRILIDGPNVKDYNNTYLDGHMYGPYSFIHIEDGFEENINQGSRNIVEAVVAANIVGRLSKACTKQKKKTSVGIISPYAAQVNLLEQKVQRYENHCFLSVKVCTVDSCQGGEKDIIILSTVRHNHDGNVGFLYCDKRTNVALTRAKNCLWILGHEPTLRNNNSTWSYLVKDAAGRDCLFNARDDNFLARTMDEFRGMQNSQVTDNVLRQNNECHCAPNAQLPGDNCAIRPDEAQVVEPAHAEQVEGAAEKTWQVNSRKRDQPAGQFARGEQLTDEEVVESAHVEQVHLSQFSPSPSRKRACLSQFASGAQLTDEVAALDEDPPVIVRLEGLSQLAEAVARTPVLDEGVNLYEQARSARDERFWSCVHMDLYNNIFNNKKCTDHKWINWTRTRRHPAMQHIEEACRDVGLHGLMAIKQVWHVETIKQFYSTFYVDPSRTSLTWMTGTNKKITVSKKFCQKVLHVPSEHTDKILDSLTETQKEWLTSRTNNEYLKLVNLIIRMTIDPKIGDGGKIYGLSAVLAYHILSRKRFDIIDMMFKQMEKNFRHSKKTMIYAPYIMLLINHAFEDKFVPESGGKEYSKHKKHNMELKLPKEPKGPRASKSKVIRVPLMNP >ORUFI03G42550.1 pep chromosome:OR_W1943:3:33667211:33667563:-1 gene:ORUFI03G42550 transcript:ORUFI03G42550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIEKFKGSQDSNFQKFTWKEDEYRDEFIKVYKLGLLPYLMNHKKNAATVPDAIAELISKSRKNQRKAEHSEANDKPIKKPKNN >ORUFI03G42560.1 pep chromosome:OR_W1943:3:33675655:33678543:-1 gene:ORUFI03G42560 transcript:ORUFI03G42560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPFDLLGEADGESGEAAVAILVGKKKAEADAFAAANPQPTKKGAKDVKGKNNGQENGSGGRNYDYDYYNRGYSNNNGGYQNYNYNGNNSHGYQNYNYNGNGQGHYNGGYQPIHQGNGQYQNNGNYHGDYGYDGQYAENYNNGQRQVYNGGQYRKKNLQYRPKEKQLSETASACSAENKSEDKLDSASETGKKESVAGDAVAKPVSGPEESTGDVAQDNSKKDGGDPEKKKVRNTLSGSAKRKLKKKHDSKVSGKTEKEAEKAEVLKEEERKDMTLEEYEKVLEEKRKALEDSKSEGRKVTAEVFEGMQLLEKKKLDDENASKKAENEQRKEPAKQVKAPKAINLNDLLKPADGQAYRPRPPPRRVQEDGFSQGYYNGGGGPGRGNGGFRQNSRDHSAEPHGNARGNGGYQERRDIGYNGGYQGRGNGGYQQRGYNGNNNGGYQQRGYNGNNNGRFQQERAVNSGNGGYRQGGYRGDGGYQQGRGYSGNGNGGYQQGQGYSGNGGYQQGRGYSNNGGYRRGGNQGNGRNESDSILSPAHFPALTGTIPATPEKVQSQSQASSSPAPAQAQPQAQTLSSSSSSGAAPAPAQAKSQAPPAQAKSQAPAQVKSQAKAPAPAARTKAPSMAQA >ORUFI03G42570.1 pep chromosome:OR_W1943:3:33679376:33679939:1 gene:ORUFI03G42570 transcript:ORUFI03G42570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGVTAAVNMWDLLTVDDPGHVPGTVGAAESKSKRKKNKKKNAAADDGDGEVVVASTAADEPCANAEAARGSEPAGKAAQMSSGNQQAAAASNDDVDGGAPPAAAAAGGGRRSPCLTVVGEMVKAVVAAGLVAFFYAVVTAPTTV >ORUFI03G42580.1 pep chromosome:OR_W1943:3:33680426:33689546:-1 gene:ORUFI03G42580 transcript:ORUFI03G42580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSASGQMAALCSPSPTLPTASLLLLRRRRLRLLHPPPSAAALLLLLPARRRGRHHHRRGGVLRCDAAAASPSAGSTLENPVRRKCSPLLESALLPGGNGLTTHDWMAVPDIWRTAAEKYADRVALVDPYHEPPSELTYKQLEQEILDFSQGLRAIGVAPDEKIALFADNSCRWLVADQGIMATGAINVVRGTRSSDEELFQIYTHSESIALVVDSPQFFNRLAESFISRINARFIVLLWGEKSCLNSEVVNGIPLYDFKDITQLGRESRNTLRHSHEQGQQVVFETITPDDVATLIYTSGTSGTPKGVMLTHRNLLHQIKNLWDFVPAVPGDRFLSMLPPWHAYERASEYFIFTYGIQQVYTTVKYLKEDLQRYQPQYIVSVPLVYEILYSSIQRQISSSSTARKFVALALIKISLLYMEAKRIYEGTVLSNNPVKPSFIVYMVNWLSARIVAALLWPLHNLAKTLVYKKIHSAIGISKAGISGGGSLPMHVDKFFEAIGVKVQNGYGLTETSPVVAARRPFCNVLGTVGHPVKHTEIKVVDMETGEVLPDGSKGVVKVRGPQVMKGYYKNPSATNKVLDQEGWFDTGDIGWIAPHCPTGPSRKCGGMLVLEGRAKDTIVLTTGENVEPAEIEEAASRSDLINQIVVVGQDKRRIGALIVPNYDEVLATAKRKSILDGNNELAKDKVLNLLYDELRTWMVDCSFQIGPILIVDEPFTVDNGLLTPTLKLRRDKTSLSF >ORUFI03G42580.2 pep chromosome:OR_W1943:3:33680426:33689546:-1 gene:ORUFI03G42580 transcript:ORUFI03G42580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSASGQMAALCSPSPTLPTASLLLLRRRRLRLLHPPPSAAALLLLLPARRRGRHHHRRGGVLRCDAAAASPSAGSTLENPVRRKCSPLLESALLPGGNGLTTHDWMAVPDIWRTAAEKYADRVALVDPYHEPPSELTYKQLEQEILDFSQGLRAIGVAPDEKIALFADNSCRWLVADQGIMATGAINVVRGTRSSDEELFQIYTHSESIALVVDSPQFFNRLAESFISRINARFIVLLWGEKSCLNSEVVNGIPLYDFKDITQLGRESRNTLRHSHEQGQQVVFETITPDDVATLIYTSGTSGTPKGVMLTHRNLLHQIKNLWDFVPAVPGDRFLSMLPPWHAYERASEYFIFTYGIQQVYTTVKYLKEDLQRYQPQYIVSVPLVYEILYSSIQRQISSSSTARKFVALALIKISLLYMEAKRIYEGTVLSNNPVKPSFIVYMVNWLSARIVAALLWPLHNLAKTLVYKKIHSAIGISKVLGTVGHPVKHTEIKVVDMETGEVLPDGSKGVVKVRGPQVMKGYYKNPSATNKVLDQEGWFDTGDIGWIAPHCPTGPSRKCGGMLVLEGRAKDTIVLTTGENVEPAEIEEAASRSDLINQIVVVGQDKRRIGALIVPNYDEVLATAKRKSILDGNNELAKDKVLNLLYDELRTWMVDCSFQIGPILIVDEPFTVDNGLLTPTLKLRRDKTSLSF >ORUFI03G42590.1 pep chromosome:OR_W1943:3:33690599:33692869:-1 gene:ORUFI03G42590 transcript:ORUFI03G42590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSRLPLQLLLVVGAAASETAHFLGVNYGRLGDDLPPPHRALELARSAGAAAVRFYDSNATFLSPAAASGLVFVPGVPNELIPSLAASRRAADEWVAATLLPFRRNRRLRYLFVGNEVLSDPTTKSRWFQLVPAMANLERALRRHGMRRVKVSTTLGMDALDGQNVFPPSAGVFRPDIADAVVRPLLAFLERTDSYLFVDAYTYFTWSANHTIVPLHYALLEPSPSPSPAYQYHDPGTGLSYTNLLDHMLDAVVAAMCRAGHCGVRLALAETGWPNAGDLDQFGANARNAATYNRNMARHLASGAGTPRRPGMRMPAFVFALFNEDLKTGPATERHWGLFHPNGSAVYEVDLTGRRPPASYPPLPPPATNDAPYPGKLWCVVGAAAANETAVREQMEAACADEAALCAPVRAGGECYLPNTVAAHASYVFSAHWNNFSKVYGGWCYFAGLAMETTTDPSHGSCKFPSVTPS >ORUFI03G42600.1 pep chromosome:OR_W1943:3:33695326:33700228:-1 gene:ORUFI03G42600 transcript:ORUFI03G42600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHQKVLIMTADDPIKYWINCDLRAHVCSVSSWAATCQGKIICQGWNCCGIIRGLDTTYPRLIAQFTESSSKKGRQGGMAEFLFEDIFTVTRLDPDGKKFDRVSRIEARSDQFDMYMQLDVATDVYPMHPGDRFTMVLVPTLNLDGTPDSAFFTQAGRKTLADKYEYVMHGKLYKISEDKDTSDQNAKKVEMYASFGGLLVMLKGDPSSAANFELDQRLFLLMRKV >ORUFI03G42600.2 pep chromosome:OR_W1943:3:33695326:33700228:-1 gene:ORUFI03G42600 transcript:ORUFI03G42600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHQKVLIMTADDPIKYWINCDLRAHVCSVSSWAATCQGKIIAQFQIICQGWNCCGIIRGLDTTYPRLIAQFTESSSKKGRQGGMAEFLFEDIFTVTRLDPDGKKFDRVSRIEARSDQFDMYMQLDVATDVYPMHPGDRFTMVLVPTLNLDGTPDSAFFTQAGRKTLADKYEYVMHGKLYKISEDKDTSDQNAKKVEMYASFGGLLVMLKGDPSSAANFELDQRLFLLMRKV >ORUFI03G42600.3 pep chromosome:OR_W1943:3:33695324:33699700:-1 gene:ORUFI03G42600 transcript:ORUFI03G42600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLFEDIFTVTRLDPDGKKFDRVSRIEARSDQFDMYMQLDVATDVYPMHPGDRFTMVLVPTLNLDGTPDSAFFTQAGRKTLADKYEYVMHGKLYKISEDKDTSDQNAKKVEMYASFGGLLVMLKGDPSSAANFELDQRLFLLMRKV >ORUFI03G42610.1 pep chromosome:OR_W1943:3:33703924:33704730:-1 gene:ORUFI03G42610 transcript:ORUFI03G42610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPTQCARIRSRWRVHPTSLEARKANQDATMSGSTEARCAEARRVEATGPKWEASAAVTTLGAKRGESSRWRRWEAGERIAARVSSMAVAAVATEWGWRVGGAMKGKR >ORUFI03G42620.1 pep chromosome:OR_W1943:3:33705810:33707154:-1 gene:ORUFI03G42620 transcript:ORUFI03G42620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVSIPEGFDITDVEEIPLCETLPSLHENIHLFSPEYCRITSGNIIGTTSDIAFQCNPCISEDSEFGAPLLNNSFELIGMSTGYGRLYLTAISSISIARAIERTQGRQFQVMHCLMSSIQYFAVSHKVPHFILSDDRESSMPCNIYEATGSRVAYAFTARWMTREYLAD >ORUFI03G42630.1 pep chromosome:OR_W1943:3:33716374:33719847:-1 gene:ORUFI03G42630 transcript:ORUFI03G42630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNLNTNPPQVDVERARQHRPSIVIVYPVKVIDGRRVVMHGAGSGFIISSTADGKCIVLTCRHVVKGSKGFDPATDLLRIRFLQGVEEDMQGQLILEDPFLDIAFILVSNMPIMLPALRFAPGVDLPVGTPVFLLGNCFLEQLPGCNIQTAIMPTIPTVSPGGISAPCKVEYGPHITRREIQFTCPNKAGYSGSPLLHEEKVIGILGRGAYQASLAVCTENLITFLETRLGVDEGTIPVEDLIQMLYQYRT >ORUFI03G42640.1 pep chromosome:OR_W1943:3:33724494:33729032:1 gene:ORUFI03G42640 transcript:ORUFI03G42640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLDVHVVLSEHLKDRYAKKKLVAVSRNKYGGLITKSVMVGSHHNSNRSEVCHDISVMAEDWEGGPLFDFDGKFVGMNKFLAMDTTFILSWMSILIIFKHYLPTLQNRILKRLQNLKRVRDGEGPGELSDCHPEAPVHRGGLDKEHVEYLNSLGYPKPPVNVLDDGLILAYSFEENFGFVYGEVIKKFPCDIHQSVVALASFKGERRFFACTGFFIEWKGCTIILTSASLVAESGDRNKIDENLRIEVLLPNEQRRQGTLQHYSLHYNVALVSVNDMDFHARPANMKLDNECPPQVAALGRCFESGKIMAVCGDLVDWTGTLDCNFLIRSSCKTTKAGIGGPLIGLDGKVIGMNFYDKKIGTPYMPWDVILKILACFENESSAAEVGNGSDPSDAPGWKIPGDGSVKLNRWPVPLPYWRPHDDVEEQEPPEGCEYQCTYRNGERWCYR >ORUFI03G42650.1 pep chromosome:OR_W1943:3:33733660:33734101:1 gene:ORUFI03G42650 transcript:ORUFI03G42650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEEDVDGDGDDGGEAAGAEEGSGPFFPILLFPRRHGKNREAINPIQYPFSNPNSQLLIETNKQRRTDGYRD >ORUFI03G42660.1 pep chromosome:OR_W1943:3:33739983:33740873:-1 gene:ORUFI03G42660 transcript:ORUFI03G42660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPAAPPLLEGEELMDIPVEKRARKSMVVVRDANGEYCSGCVLRSKGKYTYLLTQSSFASGREDTLKICFFDQIEREASAFASGDTFCLLRTKRHTDCRPIKKMRGQVMPEPMVVFAPSSATSAYHIPGFVISESTAALDLRRNHINGSECYFLGTCHYAEKGFNGHCRLVGSPVFSLKGKVMGLIAGTCVKELNLYLGGYEIKVALLTSHMDGMLNAMLPKSDAAKKKIASRKKGGKFP >ORUFI03G42670.1 pep chromosome:OR_W1943:3:33751382:33751721:-1 gene:ORUFI03G42670 transcript:ORUFI03G42670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRPSPEWWRGDPSVRGGVVMHPGEGDWWCRAASLLKVPDLKAGAGGHSSEPVRVAAAGYVVHGVPHGRFREHTSRRPVAVVALKQRSSWNGGEGCFPPCAAATVFRPGD >ORUFI03G42680.1 pep chromosome:OR_W1943:3:33754472:33759678:1 gene:ORUFI03G42680 transcript:ORUFI03G42680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVASASPLTAAAAPSMCCSPLAFLPLRAHRRRIFRAEAMKTQQEKKQTEVAVEESFPFRETAPPDEPLVTAEESWVVKLEQSVNIFLTESVITILDGLYRDRNYARFFVLETIARVPYFAFISMLHMYETFGWWRRADYIKVHFAESWNEFHHLLIMEGTTENCHSLTQEISQLQDLGSTLIELWNLMDTPTAEQKCFDHVTSLISVSPNTKMPQGCLARELIEKFTIDRPFYVLFALFGLSLKLT >ORUFI03G42680.2 pep chromosome:OR_W1943:3:33754472:33758520:1 gene:ORUFI03G42680 transcript:ORUFI03G42680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVASASPLTAAAAPSMCCSPLAFLPLRAHRRRIFRAEAMKTQQEKKQTEVAVEESFPFRETAPPDEPLVTAEESWVVKLEQSVNIFLTESVITILDGLYRDRNYARFFVLETIARVPYFAFISMLHMYETFGWWRRADYIKVHFAESWNEFHHLLIMEGTTENCHSLTQEISQLQDLGSTLIELWNLMDTPTAEQKCFDHVTSLISVSPNTKMPQGCLARELIEKFTIDRPFYVLFALFGLSLKLT >ORUFI03G42690.1 pep chromosome:OR_W1943:3:33762448:33767832:1 gene:ORUFI03G42690 transcript:ORUFI03G42690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKVIREIGDGTCGNVFRAYNTETNEIVAVKKMKRKFFQWEECISLREVKECNLYDVIRERQAAFSEEEIRNFMVQILQGLAYMHNNGYFHRDLKPENLLVTDGTVKIADFGLAREVSSSPPYTDYVSTRCETDQLYKICAVLGTPDHTVWPEGMNLPRSSSFNFFQIPPRNLWELIPNATLEAIDLIQVGNWVPRPLHASHTKTIETRPNPRLELNLWDFGTEPEDNYLDLTLSLKPSFPGTDFSNNVPEHTKEEILLYPGFENPPVQSGFWPLVASDRPMGDVPAMSSWPQAYVVDGQATLPAVGFSGSPFGLSPLQPNLFENRSFATPIRQVNFF >ORUFI03G42700.1 pep chromosome:OR_W1943:3:33771548:33777639:1 gene:ORUFI03G42700 transcript:ORUFI03G42700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKTARPDGQLASHLLEKYYFTGSKIPFPIQLDKDSTAEAIYDATYWLVVLMIYISVTAASEKHKEKKHKKDKKDKERKEGKDKKDKERSKDKHRDKKDRKEKHKDKKKDKSKDKSRELEEGTERHSEALHGQKVGESSRKSEEIKDPKSREDLVTRTQNEKGATNQSVQNFSVSNQRGREGFSAAPALENERTAANKMHSHSINASRKTEVLGQKSISINQQKNGTAIRRGDNITSSSQRTSDVFIAAPTAEKERVKVARPLSNSTDSAPKKDGMGQRINNISILVQKRTDSPNKETAKKEAGTNSPLLPSPANTMHKGNGKVGRPMEIPTQRFDSPSTSSATAGTDRGMPRSSIPSPSITIRRPNGLVRPPESISISSKKPDAGGASPAMGKEKEQGGRILQNNIIDPKQINSKPPTMEKITDGRTERMEKVRDGAPDVAKEDKKSDRHEKKKRKEKDKHKEKKRDKEAKKEKDEQNNNKEHDKLRENSINYQVDNSLHMKSSTPPLAPPADDAKAAQADENLKKRKNHEMNGYLQNHHDTMRPTKLPRPAHSNTPVENGTASHVAAPLSSVKPEAINIEKAIRQHKKEEKINGNQEGQRSSVEPRLHDPLVASENGAPTKKLPHPDSKYLSQIYSIPEAPQMMEWHGHDDQDWLFDHDGTQPKKTESETEADGASQVWAQPLKIDQADVIALPYVIPY >ORUFI03G42710.1 pep chromosome:OR_W1943:3:33777997:33778582:1 gene:ORUFI03G42710 transcript:ORUFI03G42710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTRLYMSSIAYAALEKWSGKWRLSVSKNIRTNLVISFLPNKNCLLPDFPGRRAEAEAEAGALGKAIVRSVLGKADSAYAIAQTHITQCNGNATARQPKREEETEK >ORUFI03G42720.1 pep chromosome:OR_W1943:3:33781268:33782782:1 gene:ORUFI03G42720 transcript:ORUFI03G42720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERRGSAGVFPFSIGCMSQSAVDVADPHDKKSTTTTQNDPSSSSASAAAMAAAAQSAEEEGGGEKVKGATAAAAAAVASSGIVATGVQRLIKGIKSLSQIFAMYDDEEEDEEEREMVIGYPTDVQHVGHIGWDGMNKVGGMVNAFSLPSSLSLRQLEMAMEAAHA >ORUFI03G42730.1 pep chromosome:OR_W1943:3:33784249:33790878:-1 gene:ORUFI03G42730 transcript:ORUFI03G42730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRVSLLLLAAAAVAAAAEAAPPSTLAGPTRPVTVPPRDRGHAVDLPDTDPRVQRRVKGWAPEQIAVALSAAPSSAWVSWVTGDFQMGAAVEPLDPTAVASVVRYGLAADSLVRRATGDALVYSQLYPFDGLLNYTSAIIHHVRLQGLEPGTEYFYQCGDPAIPAAMSDIHAFRTMPAVGPRSYPGKIAIVGDLGLTYNTTSTVEHMVSNQPDLVLLLGDVSYANLYLTNGTGTDCYSCSFANSTPIHETYQPRWDYWGRYMEPVTSRIPMMVVEGNHEIEEQIDNKTFASYSSRFSFPSTECGSFSPFYYSFDAGGIHFIMLAAYADYSKSGKQYKWLEKDLAKVDRSVTPWVIAGWHAPWYSTFKAHYREAECMRVAMEELLYSYAVDVVFTGHVHAYERSNRVFNYTLDPCGPVHISVGDGGNREKMATSYADEPGRCPDPLSTPDPFMGGGFCGFNFTSGPAAGSFCWDRQPDYSAYRESSFGHGILEVKNETHALWRWHRNQDLYGSVGDEIYIVREPDNLQPVQLGGGGRRRGGGGAGMVSLAAYSPCSTVAGVPKNKGNGAASSTHKESIMRHVVVQCATSWDTPRTSTTNGSHAEPSAVVKAGTAPLIQALKSTANQDVSCFHFPGHNRGKASPPSLSELIGSRTFLHDLPELPELDDLFSPKGVILDAQKRAAELFGSFKTWFLVNGSTCGIQASVMATCSPGDYLIIPRNCHISVISALVLSGAVPKYIVPEYNSGWDIAGGITPSQVDKVVKELEEDRKKVGAVLVTSPTYHGICSNIQGIVNVCHLQGIPVIVDEAHGAHFRFHRNFPSSATEQGADLVVQSTHKVLCSLTQSSMLHMAGDLVDADKVSQCLQLLQSSSPSYLLLSSLDAARAQLSENAESFDEPVSMALETKHQLRIIPGISVLDLSSFLSDFPAIDPLRITLSASDLQLSGYEADDFLAEEHQIVSELVGTQAVTFAVNLGTRRHDVQRLVHSVKHLSEKYFSENGSSSRKENPASSPLDKFSIKLTPREAFFLKKRRASIEDSLGEICGELICPYPPGIPVLIPGEIVTQDSLSYLMDVRDNGIAISGAADGELKSIMLSSPERKRKPQSEHSIRYKFAHLHGSPEAC >ORUFI03G42730.2 pep chromosome:OR_W1943:3:33784698:33790800:-1 gene:ORUFI03G42730 transcript:ORUFI03G42730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVEPLDPTAVASVVRYGLAADSLVRRATGDALVYSQLYPFDGLLNYTSAIIHHVRLQGLEPGTEYFYQCGDPAIPAAMSDIHAFRTMPAVGPRSYPGKIAIVGDLGLTYNTTSTVEHMVSNQPDLVLLLGDVSYANLYLTNGTGTDCYSCSFANSTPIHETYQPRWDYWGRYMEPVTSRIPMMVVEGNHEIEEQIDNKTFASYSSRFSFPSTECGSFSPFYYSFDAGGIHFIMLAAYADYSKSGKQYKWLEKDLAKVDRSVTPWVIAGWHAPWYSTFKAHYREAECMRVAMEELLYSYAVDVVFTGHVHAYERSNRVFNYTLDPCGPVHISVGDGGNREKMATSYADEPGRCPDPLSTPDPFMGGGFCGFNFTSGPAAGSFCWDRQPDYSAYRESSFGHGILEVKNETHALWRWHRNQDLYGSVGDEIYIVREPDNLQPVQLGGGGRRRGGGGAGMVSLAAYSPCSTVAGVPKNKGNGAASSTHKESIMRHVVVQCATSWDTPRTSTTNGSHAEPSAVVKAGTAPLIQALKSTANQDVSCFHFPGHNRGKASPPSLSELIGSRTFLHDLPELPELDDLFSPKGVILDAQKRAAELFGSFKTWFLVNGSTCGIQASVMATCSPGDYLIIPRNCHISVISALVLSGAVPKYIVPEYNSGWDIAGGITPSQVDKVVKELEEDRKKVGAVLVTSPTYHGICSNIQGIVNVCHLQGIPVIVDEAHGAHFRFHRNFPSSATEQGADLVVQSTHKVLCSLTQSSMLHMAGDLVDADKVSQCLQLLQSSSPSYLLLSSLDAARAQLSENAESFDEPVSMALETKHQLRIIPGISVLDLSSFLSDFPAIDPLRITLSASDLQLSGYEADDFLAEEHQIVSELVGTQAVTFAVNLGTRRHDVQRLVHSVKHLSEKYFSENGSSSRKENPASSPLDKFSIKLTPREAFFLKKRRASIEDSLGEICGELICPYPPGIPVLIPGEIVTQDSLSYLMDVRDNGIAISGAADGELKSIMVCNV >ORUFI03G42730.3 pep chromosome:OR_W1943:3:33784700:33790878:-1 gene:ORUFI03G42730 transcript:ORUFI03G42730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRVSLLLLAAAAVAAAAEAAPPSTLAGPTRPVTVPPRDRGHAVDLPDTDPRVQRRVKGWAPEQIAVALSAAPSSAWVSWVTGDFQMGAAVEPLDPTAVASVVRYGLAADSLVRRATGDALVYSQLYPFDGLLNYTSAIIHHVRLQGLEPGTEYFYQCGDPAIPAAMSDIHAFRTMPAVGPRSYPGKIAIVGDLGLTYNTTSTVEHMVSNQPDLVLLLGDVSYANLYLTNGTGTDCYSCSFANSTPIHETYQPRWDYWGRYMEPVTSRIPMMVVEGNHEIEEQIDNKTFASYSSRFSFPSTECGSFSPFYYSFDAGGIHFIMLAAYADYSKSGKQYKWLEKDLAKVDRSVTPWVIAGWHAPWYSTFKAHYREAECMRVAMEELLYSYAVDVVFTGHVHAYERSNRVFNYTLDPCGPVHISVGDGGNREKMATSYADEPGRCPDPLSTPDPFMGGGFCGFNFTSGPAAGSFCWDRQPDYSAYRESSFGHGILEVKNETHALWRWHRNQDLYGSVGDEIYIVREPDNLQPVQLGGGGRRRGGGGAGMVSLAAYSPCSTVAGVPKNKGNGAASSTHKESIMRHVVVQCATSWDTPRTSTTNGSHAEPSAVVKAGTAPLIQALKSTANQDVSCFHFPGHNRGKASPPSLSELIGSRTFLHDLPELPELDDLFSPKGVILDAQKRAAELFGSFKTWFLVNGSTCGIQASVMATCSPGDYLIIPRNCHISVISALVLSGAVPKYIVPEYNSGWDIAGGITPSQVDKVVKELEEDRKKVGAVLVTSPTYHGICSNIQGIVNVCHLQGIPVIVDEAHGAHFRFHRNFPSSATEQGADLVVQSTHKVLCSLTQSSMLHMAGDLVDADKVSQCLQLLQSSSPSYLLLSSLDAARAQLSENAESFDEPVSMALETKHQLRIIPGISVLDLSSFLSDFPAIDPLRITLSASDLQLSGYEADDFLAEEHQIVSELVGTQAVTFAVNLGTRRHDVQRLVHSVKHLSEKYFSENGSSSRKENPASSPLDKFSIKLTPREAFFLKKRRASIEDSLGEICGELICPYPPGIPVLIPGEIVTQDSLSYLMDVRDNGIAISGAADGELKSIMVCNV >ORUFI03G42740.1 pep chromosome:OR_W1943:3:33793469:33796726:1 gene:ORUFI03G42740 transcript:ORUFI03G42740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSIRISMKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >ORUFI03G42740.2 pep chromosome:OR_W1943:3:33793479:33796726:1 gene:ORUFI03G42740 transcript:ORUFI03G42740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSIRISMKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >ORUFI03G42750.1 pep chromosome:OR_W1943:3:33798006:33800107:-1 gene:ORUFI03G42750 transcript:ORUFI03G42750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVVLAEAAVALLLMVKVGPLREAAMRGVEQAKTGKGPATVKTLACTLSVILMSSVASILKIQNRGLKLGTVSPMDQVLWRTHLLEASLIGFTLFLAFVIDRLHHYLRKLITLRKAANTSREEVEKLQMENRLFREKEEKSSSEIKKLHQEIAKLNESMKKLKSESEDHERKALEAEAHVNALQKQSEELLLEYDRLLEDNQILQSQLHYKG >ORUFI03G42760.1 pep chromosome:OR_W1943:3:33803166:33806305:1 gene:ORUFI03G42760 transcript:ORUFI03G42760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRDSSSSSSSSYLFFFLSIPPALPSLFLLLLLLLSRERIRARSSVLRREGPTFAAHKGVPKFGSWEDEDRGEHLYTQYFENARKGKSPGRSVNPNNHHGDTEALSKASPLHAGSDPAMRKPKDDRRSNREGDLRQHETTVRKPYAESPNHRYGDHTNYDNAVRKTGIEKSPVHPRHQARAANKGGVSSPSRDRRGSLEGNRGSAPTTPGRSKFRSTGRGDETPDRGSAVPKFGEWDEKDPSTGEGFTDIFEKVREEKQSGTGNAPVMTSEADYIKRYQQRKYESTGCSCFSWFKN >ORUFI03G42760.2 pep chromosome:OR_W1943:3:33803166:33806305:1 gene:ORUFI03G42760 transcript:ORUFI03G42760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGVPKFGSWEDEDRGEHLYTQYFENARKGKSPGRSVNPNNHHGDTEALSKASPLHAGSDPAMRKPKDDRRSNREGDLRQHETTVRKPYAESPNHRYGDHTNYDNAVRKTGIEKSPVHPRHQARAANKGGVSSPSRDRRGSLEGNRGSAPTTPGRSKFRSTGRGDETPDRGSAVPKFGEWDEKDPSTGEGFTDIFEKVREEKQSGTGNAPVMTSEADYIKRYQQRKYESTGCSCFSWFKN >ORUFI03G42770.1 pep chromosome:OR_W1943:3:33808259:33817096:-1 gene:ORUFI03G42770 transcript:ORUFI03G42770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGRHGSLQVRSFLPMAELMATMVVGPLVSMVKEKASSYLMEQYKVMEGMEEQHKILKRKLPAILDVIADAEEQAAKHREGAKAWLEELRKVAYQANDVFDEFKYEALRRKAKANGQYKMLGMDVIKLFPTHNRIVFRYRMGNKLRMILNAIEVLITEMNAFRFKFRPEPPMSSMKWRKTDSKISEHSMDIANRSREEDRQKIVKSLLSQASNGDLTVIPIVGMGGMGKTTLAQLIYNDPQIQKHFQLLLWVCVSDNFDVDSLAKSIKNDNIRSTNKSPLDELKEVVSGQRYLLILDDVWNRAASKWEVLKSCLQHGGSGCSVLTTTRDTRVAQIMAPTKEVCHLKGLNESFIKEIIERSAFSSEEEKRQSELLGMVGDIAKKCSGSPLAATALGSTLRTKTTKKEWEAILSRNTICDEENGILPILKLSYNCLPSYMRQCFSFCAIFPKDHEIDVEILIQLWMANGFIPEQQGECPETIGKRIFSELVSRSFFQDAKGIPFEFHDIENSKITCKIHDLMHDVAQSSMGKECAAIGTELSKSEDFPYSARHLFLSGYTEVILNSSLEKGYPGIQTLIYSSRTEDLQNLSKYRSLRALKIRRGSLLIPKCHHHLRYLDLSGSLIEALPEDISILYHLQTLNLSHCSNLHRLPKGTKYMTALRHLYAHRCGRLKSMPPDLGHLTCLQTLTCFVAGTCSGCSDLGELRQLDLGGRLELRKLENVTKADAKAANLGKKEKLTELSLTWTGQKYKEAQSNNHKEVLEGLMPHEGLKVLSIYSCGSSTCPTWMNKLRDMVKLVLYGCKNLKKLPPLWQLSALEVLWLEGLDSVNCLFNSGTHTPFKFCRLKKLNVCDMTNFETWWDTNEVKGEELIFPEVEKLLIKSCRRLTALPKASNAISGEVSTMCRSAFPALKKWEAVDGTPREEVTFPQLYKLDIRWCPELTTLPEAPKLRDLNIYKVNQQISLQAASRYITSLSSLHLFLSTDDTETTSVAKQQDLSELVIEDEKWNHKSPLELMHLCGSNLLFSHPSALALWTCFVKLLDLKISQVDALVDWPERVFQGLVSLRKLHILQCKNLTGLTQARGQSTPAPSELLPRLESLEINNCDSFVEVPNLPTRCDGLEVLHLPPSIKKLDIASCEKLQSLSGKLDAVRALNISYCGSLKSLESCLGELPSLQHLQLTDCKSLVSLPKGPQAYSSLTSLDIQYCSGINLLPPSLQQRLDDIENKELDACYEGNLQFLNRVRFSGKSFERGV >ORUFI03G42780.1 pep chromosome:OR_W1943:3:33817772:33822973:-1 gene:ORUFI03G42780 transcript:ORUFI03G42780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVAAPPPTDLFGEPIEAHPPWFKPDSFLRPDFDPDAYVADLRSYVPLDSLAAELRSHLASLRAELVGLINRDYADFVGLSARLKGVDAAAARMRPPLAELRDKVASFRAAAAAGLAALRAGLEQRAAATAARELLELLLDTSHVVSKVEKLIKELPSAPSDSSNVEAVSGDKGYSGNVATPPNMDDGTDVRETQSILLERIASEMNRLKFYISHAQNLPFIENMEKRAQGATKLLDGSLERCFVDGLEHRDDKVIYNCLRAYAAIDNTSSAEEIFRTTVVSPLIQKIVPQNYAKVVAGVSSDDLEDDYEQIMQCVEKDCKFILEISSLANSGLHVFDFLANSILKEVHLAIQKGKPGATSPGKPKQFLKNYKASLRFLDFLEGYCSSKPAVTKFRSEPAYADFMRQWHVAVYFTLKFQDIAGGLDSVLTATITPAGMHDNQAKPQTLLLKQSVKLLESLQACWSDDVLVFSHSDKFLRLSLQLISRYTTWLSSGLAARKASDGGSSSPADAEWALSVPVEDFIYVMHDVNAVIGELLESGQFVEHVNQLLASCPTEVLALVKHSILQAVEPLKELLPAIMNVMIMVIVKRSNEDLKHLKGITATYRMTNKLPVRHSPYVSGILHPLKVFLEGDRIHYLSEDDKAKLRRGSTDKITSIYYDMVSEVVTVARKTESSLQRLRQGAQRRVGANTDTSDNIISDTDKICMQLFLDIQEYARNLRAIGIDAREIETFRALWQCVAPRDKQDNIQF >ORUFI03G42790.1 pep chromosome:OR_W1943:3:33826204:33829701:1 gene:ORUFI03G42790 transcript:ORUFI03G42790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIIDVRNCIQLLRSCSAVAGQQLHQLLLKSGHVPSSLPPSNSLLLMYARCSPLHQHDACRLFDEMPVKNCFSYNSVITSHLNSRDHHAALNIFRSMPERNTFSWNTIITGIVSTGNLDMARSLLIEMPVKDPVACNAVLHRYVRRGRADEAFALLRTVGQCSGADASSPWNDPFVLATIVGACADWMKYDFGRQAHARMVVSKIEQDLVLSCALVNMYCKCGDLDSARYVLNGLTQVDEFSLSALIYGYASCGHLHEAIRLFDRKEEPSIAMWNSLISGCAFACCGNDAFALFARMMRSDVLPDSSTYASILNVYGFSVMVNPGQQIHGCGLKCGAVNDIIVASALIDFYSKCGLWEDACRAFRELRFHDTIVLNSMITVYSNCGQIEEARRIFDMITGKSVISWNSMVVGLSQNGHARDALGLFCEMHRLGLRLDKVAIASALSASSSICSISFGEQIFSLATVLGLQSDHVVASSLIDLYCKCGSLANGCRIFEEIDKPDEVLWNSMLIGHASNGYGHEALELLELMKTKGIKPSERTFIAVLSACCHSGLVKEGLTWFHRMQADFSVSPSAEHYACVTDLLVRAGRLEESVEFIENMPFEADAVSWTTVIGGCKAQGNEAMMRKVAKKLMEMESSHPSFALSYFHVLCCQPIPGPHVGGSAAAKDVNKWLDEIIGGYDSSIREFHGGDDQKLLISLLKILCRHYGSSVRLLGLIHRRRNIEFLSNSWLQGIAGPEMAVTKLFSSCKSSGAHKGEYGAIVHCMKNIPSENQIQATAKEVQNPLVSGRRKEALQYAQAVLCGYCEENGLPPFCIWITFEDVVPSYCWTTCRCFQC >ORUFI03G42800.1 pep chromosome:OR_W1943:3:33839551:33848289:1 gene:ORUFI03G42800 transcript:ORUFI03G42800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLASSIKHLDRSIHLIVSMAPKVAVTEATGRQAASFVLGCVATLTVMLLFQYQAPPDYGRAARSPVQFSASRDQLLLHHCGGNGTAAPPPVIARGGEEANITGKPPTTATAVAEEQPSKPPASPPSSHHIPATSTDLEEEGGEFRGLAAAVARAATDDRTVIITCVNHAFAAPDSLLDIFLEGFRVGDGTPELLRHVLVVAMDPTALTRCRAVHPHCYLYTMPGLDVDFTSEKFFASKDYLELVWSKLKLQRRILQLGYNFLFTDVDIVWLRNPFKHVAVYADMAISSDVFFGDPDNIDNFPNTGFFYVKPSARTIAMTKEWHEARSSHPGLNEQPVFNHIKKKLVKKLKLKVQYLDTAYIGGFCSYGKDLSKICTMHANCCIGLQSKISDLKGVLADWKNYTRLPPWAKPNARWTVPDVIMSSAMIE >ORUFI03G42800.2 pep chromosome:OR_W1943:3:33834697:33839634:1 gene:ORUFI03G42800 transcript:ORUFI03G42800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGKMKGGETMGGGGGSGSSSISPLVSFVLGAAMATVCILFVMSASPGRRLADISAWSNADDAPPLPLPLQDAAADSNDSLAAAAAANVTVVAAPAPAPVQAPAPASPYGDLEEVLRRAATKDRTVIMTQINLAWTKPGSLLDLFFESFRLGEGGVSRLLDHLVIVTMDPAAYEGCQAVHRHCYFLRTTGVDYRSEKMFMSKDYLEMMWGRNKFQQTILELGYNFLFTDVDVMWFRDPFRHISMGADIAISSDVFIGDPYSLGNFPNGGFLFVRSNDKTLDFYRSWQQGRWRFFGKHEQDVFNLIKHEQQAKLGIAIQFLDTTYISGFCQLSKDLNKICTLHANCCVGLGAKMHDLRGVLDVWRNYTAAPPDERRSGKFQWKLPGICIH >ORUFI03G42810.1 pep chromosome:OR_W1943:3:33849424:33851488:-1 gene:ORUFI03G42810 transcript:ORUFI03G42810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDAAYAKRVLLTAAGDDVSRGIASTLATHGCRLVLVGDEGALAGTAEEARRGGGGGDAVAVVGLDLHGCDEAAVDAAVGTAWRCFDGLDAMVNCYSYEGEVQDCLNISEDEFKKTMKANVMTPWFLVKAIAKRLRDSESSCGGSVVFLTQIIGAERGLYPGAAAYGTSLGAIHQLVRLSAMELGKHKMRVNAVCRGLHLGDRFPVWVGKEKAEKATGEVMPLRRWLDPEKDVASTVLYLVGDESRYMTGSTIFVDGAQSIVRPRMRSFM >ORUFI03G42820.1 pep chromosome:OR_W1943:3:33852310:33855978:-1 gene:ORUFI03G42820 transcript:ORUFI03G42820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRKKGLARNATTEHINPPNSRKSSRSTQAAAAEHKVNDLITSSSKKQIGGGLLKKNRALRGGKKLNSIYDSAGTGNDVAGVPSSSFFNHKQSHENDEDRSCDSIFSPSFHNQKEDVTDCLSEGLVHEEVIHEPVQNAEYVTNSISCNTFDGLSKHSYDIHMQSACGSTLEDDEFSELGSLSPEVSAIYLAMQHSKLECIDEQSQDSTSTDGCADPDETVELDYFDPYAFIKDLPDLSLVVPKFRPVLLPKQTRSCPRTTLVLDLDETLVHSTLEPCEDSDFTFPVHFNLREHTIYVRCRPYLKEFLETVASMFEIIIFTASQSIYAEQLLNILDPKRRLFRHRVYRESCLFVEGNYLKDLSAFGFQLDNGVPIESWFDDRNDRELLTLLPFLQSLVGVEDVRPCIARKFNLREKVATAPSLSMHF >ORUFI03G42830.1 pep chromosome:OR_W1943:3:33857213:33860270:1 gene:ORUFI03G42830 transcript:ORUFI03G42830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSKLLALPQQWQLLLLLLLLPIASLLLVIGRNTGGRRRRRHLRLPPGPARLPVLGNLLQLGALPHRSLRDLARRHGPVMMLRLGAVPAVVVSSPEAAQEVLRTHDADCCSRPSSPGPMRLSYGYKDVAFAPYDAYGRAARRLFVAELFSAPRVQAAWRARQDQVEKLIGKLTRPEPEPVELNDHIFALTDGIIGAVAFGSIYGTERFAGGGRKRFHHLLDDVMDMLASFSAEDFFPNAAAARLFDHLTGLVARRERVFQQLDAFFEMVIEQHLDSDSSNAGGGGGNLVGALIGLWKQGKQYGDRRFTRENVKAIIFDAVIGGIGTSSVTILWAMAELMRSPRVMRKVQAEIRATVGDRDGGGMVQPDDLPRLAYLKMVVKETLRLPPPATLLMPRETMRDVRIGGYEVAARTRVMVNAWAIGRDAARWEEAEVFDPDRFEAKRVEFNGGHFELLPFGSGRRICPGIAMGAANVEFTLANLLHCFDWALPVGMAPEELSMEESGGLVFHRKAPLVLVPTRYIQL >ORUFI03G42840.1 pep chromosome:OR_W1943:3:33861490:33865574:-1 gene:ORUFI03G42840 transcript:ORUFI03G42840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGGVKAWLDGLGLSRYAPVFEIHEVDDEVLPLLTLEDLKDMGIGAVGSRRKLYAAIQKLQRSDSAACLAQQEYLALDKQKVAINGSLACWM >ORUFI03G42850.1 pep chromosome:OR_W1943:3:33869138:33874738:1 gene:ORUFI03G42850 transcript:ORUFI03G42850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALRFAAAVARDSPAAAAPPRVGRGEQQYLACAAAARPGGRCSRRRGLVARCQSGAAAVVLNKGDAASVAAVASSATGFTVAMKFGGSSVASAERMREVADLILSFPEETPVVVLSAMGKTTNNLLLAGEKAVSCGAPKASEIPELAVIKELHVRTIDELGLDRSIVSGLLDELEQLLKGVAMMKELTPRTRDYLVSFGECMSTRIFAAYLNKLGKKARQYDAFDIGFITTDDFTNADILEATYPAVAKRLQGDWIDDPAIPIVTGFLGKGWKSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNICANAIPVPYLTFEEAAELAYFGAQVLHPQSMRPAKEGGIPVRVKNSYNRRAPGTVITKTRDLSKSILTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWCRELIQQELDHVVEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVHDSEAKQCIQALHSAFFENGFLSEVEEEDLQHNGSPVSSNGVIYGN >ORUFI03G42860.1 pep chromosome:OR_W1943:3:33878514:33878825:1 gene:ORUFI03G42860 transcript:ORUFI03G42860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLPGEVEGLLKGLDAVGWRWLQLHTRWPRMAVAMQGPITVDGVRRLGIEVNVGVRFSSFHGVLDALASRRSSAASRSPPTSRNSLEKGVTPWRVVGSST >ORUFI03G42870.1 pep chromosome:OR_W1943:3:33895852:33896401:-1 gene:ORUFI03G42870 transcript:ORUFI03G42870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGTKAGLLGVWMVLFTVFAARKFQQPIKDDIGDKSVFMFNALPEEEKKALIQKLEMQTETDG >ORUFI03G42880.1 pep chromosome:OR_W1943:3:33899762:33903059:-1 gene:ORUFI03G42880 transcript:ORUFI03G42880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCKQQERDGHRDDGDVTEWKKVAELRAVVEAQDPACKFKRYVVYVLDRTCARLGGNGGQEKFAAVADLQGWGYYGNCDIRAYVAALEIMQNYYPERLGRVFLIHVPYVFMAAWKIIYPFIDDNTKKKFVFVADKDLHATLRDAIDDSNLAEDYGGKLKLVSPLINGATESNRRR >ORUFI03G42890.1 pep chromosome:OR_W1943:3:33906183:33908160:-1 gene:ORUFI03G42890 transcript:ORUFI03G42890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTAAPLLLAAALFLVVAGDGSEPTPTPTPWPAQFHAKLLMEFHGNLSLADLWYDWPGGRNLHVIRYQLAADEPFYDNEWNNGTSFFYTPARRACRSAAVGVGILPPNWLVPGSVYLGRHPADGFDCHVWAKADFITYYEDTLTKRPVKWVFYTGRTSHVMSFEEGAVLEDAEWQAPEYCFGKGDETETSNDNALISEPVAGHDKGFFPRREF >ORUFI03G42900.1 pep chromosome:OR_W1943:3:33909459:33909836:1 gene:ORUFI03G42900 transcript:ORUFI03G42900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSAMAAVGVLVLLCVLLHGEMAESAVFTVGDRGGWGMGAGSWANGKRFKAGDVLVFKYDSSAHNVVAVNAAGYKGCTAAPRGAKVYKSGNDRVTLARGTNYFICNFPGHCQAGMKIAVTAA >ORUFI03G42910.1 pep chromosome:OR_W1943:3:33910716:33913202:1 gene:ORUFI03G42910 transcript:ORUFI03G42910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKERLMKMAGAVRTGGKGTVRRKKKAVHKTGTTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFVNPKVQASIAANTWVVSGSPQTKSPDNMEHLKRIAEEMQKQVAAAGATAQAKEENDDDVPELVPGENFEEVAQETKA >ORUFI03G42910.2 pep chromosome:OR_W1943:3:33911051:33913202:1 gene:ORUFI03G42910 transcript:ORUFI03G42910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKERLMKMAGAVRTGGKGTVRRKKKAVHKTGTTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFVNPKVQASIAANTWVVSGSPQTKSPDNMEHLKRIAEEMQKQVAAAGATAQAKEENDDDVPELVPGENFEEVAQETKA >ORUFI03G42920.1 pep chromosome:OR_W1943:3:33913691:33914338:-1 gene:ORUFI03G42920 transcript:ORUFI03G42920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQFPNSRVKSTAAANKPSSISSHSPANKIMSRTTSAPNLPALAAPRVISPAAATAAQAKKIARATSSSNLAVAKPRPPPPPPSISKKPDAPSAARPWPPSSNPKTVVARKPARPASEFSEPPVAAVTRSVHPARRLTCGTAVYVRTRYVKITARCCLVIWLPARVVSSSDAYHYTVKYAADLHAMFAGRVVRVPVGHVRPAPHRAAAGERSIW >ORUFI03G42930.1 pep chromosome:OR_W1943:3:33915336:33918722:-1 gene:ORUFI03G42930 transcript:ORUFI03G42930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLRSHGARRILSYPTLRAAVISGPTALPDASAAAAAAPQQPPPLAGTLWARSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEAGKAKAVAFDEIDKAPEEKARGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNDEIGKNAILKLMDAVDEYIPDPVRQLDKSFLMPIEDVFSIQGRGTVVTGRVEQGTIKTGEDVEILGLTPSGPLKTTVTGVEMFKKILDHGEAGDNVGLLLRGLKRGDVQRGQVVCKPGTVKTYQKFEAEIYVLTKDEGGRHTAFLSNYSPQFYFRTADVTGKVVLPDGVEMVMPGDNVTAGFELISPVPLEPGQRFALREGGRTVGAGVVSKVYS >ORUFI03G42940.1 pep chromosome:OR_W1943:3:33918948:33921901:1 gene:ORUFI03G42940 transcript:ORUFI03G42940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAASVAAISPLPGASLPRPVSARVPLLPRASPPTWRLSVGSARARSTRCLAAAGGGGLAPEMRATLDKVVGSHKVVLFMKGTKDFPQCGFSHTVVQILRSLDVPFETLDVLANEALRQGLKEYSSWPTFPQLYIDGEFFGGCDITVAGFERFGACCLLRIFSVNKTTTRAWPPTRASDLVKTILVDSAAVNAHLRELLHLHGVGAVVLLREVVHDAARHHVVHRRNLSIHGADGHFVFMRDGGVLQLDIHDAGARRAALSRGEATQWPEASN >ORUFI03G42950.1 pep chromosome:OR_W1943:3:33922439:33926226:-1 gene:ORUFI03G42950 transcript:ORUFI03G42950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSTNLDAAIESLLNVEKQMRLAGDVAGTRKAAIDIVELCYKAGAWKTLNDQIVVLSKRRGQLKQAITAMVQKAMEYIDLTPDMDTRIELIKTLSSVSAGKIYVEIERARLIKRLAKIKEEQGQIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADPSKEKKKPKEGDNIVQEAPAEIPSLLELKRIYYELMIRYYSHNNDYLEICRCYKSIYEIPSIKEDPSKWIPVLRKICWYLVLAPHDPMQSSLLNATLEDKNLSEIPNFRLLLKQLVTMEVIQWTSLWEFFKDEYANEKNFLGGALGDKAAEDLKLRIIEHNILVVSKYYSRITLKRLADLLCLSLQEAEKHLSDMVNSKSLIAKIDRPMGVVCFRTAQDSNGILNSWAANLEKLLDLVEKSCHQIHKETMIHKAVLCLAFYGQILQSQDVKLFSAQASPVCFGNSCVNDLKYCDHRMMTYYLLLGFNRCPPICLTCVSSRILFEF >ORUFI03G42960.1 pep chromosome:OR_W1943:3:33928959:33938223:1 gene:ORUFI03G42960 transcript:ORUFI03G42960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPAAAASPTPMLLALTGLSTFSDRLVEFFNHWNSFIRYATAISDDLPPLPAADQPPNLADVAEPESTTPHSPVAVDEPESSTAPNPVAVAVAEPKPAPVPQPEEPRPNRGGDPSAEHMGRICERMGSGELLRFVISRMGDLSWLLRAVPPALRRAPNPAELVLRAIGRYYIRPGGRHTEAACELLLLSYVRAGCPLRPGQEAGDDHLRAEAREAALSWRSRLVRSKGRVAAAAANDARGLLLLMAAFGVPVEFPSQEIFELLHAAGGLACAEVLKCSKHFLDKLRDVVAHMLNRGIYHQTVATIIAFELQDAFPLSAIATCVIERVGRTKDQDSQEQHHLPGSKENDEEKLALLRLLSKYVEDPKQCSTENFSIADRIAMLEQSLAKPHQAFTGTKRKRTAQEDSVECTRGPKCSYTPAASSASRNKNLEG >ORUFI03G42960.2 pep chromosome:OR_W1943:3:33928959:33938223:1 gene:ORUFI03G42960 transcript:ORUFI03G42960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPAAAASPTPMLLALTGLSTFSDRLVEFFNHWNSFIRYATAISDDLPPLPAADQPPNLADVAEPESTTPHSPVAVDEPESSTAPNPVAVAVAEPKPAPVPQPEEPRPNRGGDPSAEHMGRICERMGSGELLRFVISRMGDLSWLLRAVPPALRRAPNPAELVLRAIGRYYIRPGGRHTEAACELLLLSYVRAGCPLRPGQEAGDDHLRAEAREAALSWRSRLVRSKGRVAAAAANDARGLLLLMAAFGVPVEFPSQEIFELLHAAGGLACAEVLKCSKHFLDKLRDVVAHMLNRGIYHQTVATIIAFELQDAFPLSAIATCVIERVGRTKDQDSQEQHHLPGSKENDEEKLALLRLLSKYVEDPKQCSTENFSIADRIAMLEQSLAKPHQAFTGTKRKRTAQEDSVECTRGPKCSYTPAASSASRNKNLEG >ORUFI03G42960.3 pep chromosome:OR_W1943:3:33928959:33932134:1 gene:ORUFI03G42960 transcript:ORUFI03G42960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPAAAASPTPMLLALTGLSTFSDRLVEFFNHWNSFIRYATAISDDLPPLPAADQPPNLADVAEPESTTPHSPVAVDEPESSTAPNPVAVAVAEPKPAPVPQPEEPRPNRGGDPSAEHMGRICERMGSGELLRFVISRMGDLSWLLRAVPPALRRAPNPAELVLRAIGRYYIRPGGRHTEAACELLLLSYVRAGCPLRPGQEAGDDHLRAEAREAALSWRSRLVRSKGRVAAAAANDARGLLLLMAAFGVPVEFPSQEIFELLHAAGGLACAEVLKCSKHFLDKLRDVVAHMLNRGIYHQTVATIIAFELQDAFPLSAIATCVIERVGRTKDQDSQEQHHLPGSKENDEEKLALLRLLSKYVEDPKQCSTENFSIADRIAMLEQSLAKPHQAFTGTKRKRTAQEDSVECTRGPKCSYTPAASSASRNKNLEG >ORUFI03G42970.1 pep chromosome:OR_W1943:3:33939169:33944443:1 gene:ORUFI03G42970 transcript:ORUFI03G42970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPPALKRPKLEKDDYESAYWPRPAASNASSASKPPQSSSSATATAATQEDEEDDIAEEAVLALIAHRERDVERCKLKLSHYQSLLDTAEKKLAEAQDRLARYRDRKPPPPPTHRDPKPSLPPTTTQRDPKPSPPQHKAPERPQLVIPGANNRPPPRPEPMPGLKKTAAPSSSSASVPPERPRALEKKPKLKIEQKEHQNLIQSVKKSSATVLRFYGGTVICSQHKRKLRCLELCPVNDQLVATSALDGIVTLWQVQPKGNLSNIRKTLWFDGKPVIATQPVISLLSTTDCFSPKHRWPEDVAWHPHGELIFAVYSADNGDSQVSVMNRNLSGQKKVSFLPVKPHTKGIINNINFMPWSDVCFVTGGSDHAVILWQEKDDSWNHKKVHKDLHSSAVMGVAGLQQKSTILSVGSDKRIISFDLAAGRTESKNLIDYKCMSVLPNPCDFNLYMVQTAAPGRQLRLFDVRLRQTEVHTLGWKQESSESQSALINQSWSPDGWYLSSGSADPMIHIFDIRHNGQNPCQSVQAHQKRVFKALWHRTAPVLTSISSDLNIGIHKYS >ORUFI03G42980.1 pep chromosome:OR_W1943:3:33945330:33948075:1 gene:ORUFI03G42980 transcript:ORUFI03G42980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEEKTSVKSEEASSAAEEQPPQAAAPPPRRGVPPANPFDFSTMMNLLNDPSIKEMAEQIAKDPAFTEMAEQLQKTVQSPPARGAAQEAAAAAAPALDPSKYVSTMQQLMQNPQFVAMAERLGSALMQDPAMSSMLGGLTNPAHKEQLEARIARMKDDPSLKPILDEIENGGPAAMMKYWNDPEALQKFGRAMGVGPSGEGAAAAGGEHEEAEEEGGEEGEYEDESGLKKALEEGVDKDEEDSEGRRGLHFACGYGELKCAQVLLEAGAAVDAVDKNKNTALHYAAGYGRKDCVALLLDHGAAVTVQNLDGKTAIDVAKLNNQEEVLKLLEKHAFV >ORUFI03G42990.1 pep chromosome:OR_W1943:3:33948673:33951704:1 gene:ORUFI03G42990 transcript:ORUFI03G42990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVGVARLAPVSPCPLLSDSSSPLIDWTTMAAPREPEVIRDKAAMRAWSRRRRAEGKTVAVVPTMGYLHQGHLSLISAAAAAASADPVAIVVTIYVNPSQFAPSEDLATYPSDFAGDLRKLASTGVVDAVFNPPDLYVRGAGRRGAASGGAISCLEEAAGDGHETWVRVERLEKGMCGASRPVFFRGVATIVSKLFNIIEPDVAVFGKKDYQQWRVICRMVRDLDFAIEIIGSEIVREADGLAMSSRNVHLSREEREKALSISRSLVDARTGALKGNTDCKQIKNKIVQTLTETGGQVDYVEIVEQESLVPVEQIDGPVVICVAAWFGKVRLIDNIEIDTRS >ORUFI03G42990.2 pep chromosome:OR_W1943:3:33948586:33951704:1 gene:ORUFI03G42990 transcript:ORUFI03G42990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPREPEVIRDKAAMRAWSRRRRAEGKTVAVVPTMGYLHQGHLSLISAAAAAASADPVAIVVTIYVNPSQFAPSEDLATYPSDFAGDLRKLASTGVVDAVFNPPDLYVRGAGRRGAASGGAISCLEEAAGDGHETWVRVERLEKGMCGASRPVFFRGVATIVSKLFNIIEPDVAVFGKKDYQQWRVICRMVRDLDFAIEIIGSEIVREADGLAMSSRNVHLSREEREKALSISRSLVDARTGALKGNTDCKQIKNKIVQTLTETGGQVDYVEIVEQESLVPVEQIDGPVVICVAAWFGKVRLIDNIEIDTRS >ORUFI03G43000.1 pep chromosome:OR_W1943:3:33948624:33948821:-1 gene:ORUFI03G43000 transcript:ORUFI03G43000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAASLPTITPCTAGSVKRRAPPKQLGDHREQRLHAPGASRATPTAIAMEAGDGIGGGVSTASR >ORUFI03G43010.1 pep chromosome:OR_W1943:3:33952759:33962276:-1 gene:ORUFI03G43010 transcript:ORUFI03G43010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSQLMHMYKLGDLTPAPSMTIPMAHSSRPTLGFPLGTALLIFVIFSLSGIFSCCYHWDKLRAFLWSRHPDVILQEGQHTVISIASPSKTTSDHKNEKAEKECGLPVIMPGDRIPKFFARPCPHEKCLPAAEEEEAEPIPGVALMHTSYWWHLAILKDLVSQGKLQHDIYQENVATQLDNLLRRLEQYEMEMEDYHARLSMWENTREKQRRRLLVQEAEDKQRDGVWIDEKRGFLDKLVSRKRRGNIEPGVGKWVSYLNREKKLDTLVGQKPVAPIAPKGIYLYGNVGSGKTMLMDMFYGATEGLIKHRRRFHFHEAMLEIHDHMHDVWKRRDEDKSIESSAFSWISSLPFDGKIKEWLIGEEKYKQNTQQKHILLAVADKFLVDRQANKSGASILCFDEIQDGMQREIFLDLLSKLDENCNKILVGTETDYRRLIPTDGLTQIHYFWPLTSDIRSMYEAMWHDITRQTGGNIISVTIPVMFGRYLEIPKSCNGVARFDFEYLCGRPVGAADYIAIARNYHTIFISDIPAMSMKIRDKARRFITLIDELYNHHCRLVCLAASSIDDLFQGTDEGPLFDLESFQFEGEAEGAKLRRDVLAEGNVGAAPSPTGLVAILSGQEEMFAFRRAISRLIEMQTSLYLERVERVHSSLQQQSSVLTKSSTVSQSAPSV >ORUFI03G43010.2 pep chromosome:OR_W1943:3:33952759:33962276:-1 gene:ORUFI03G43010 transcript:ORUFI03G43010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSQLMHMYKLGDLTPAPSMTIPMAHSSRPTLGFPLGTALLIFVIFSLSGIFSCCYHWDKLRAFLWSRHPDVILQEGQHTVISIASPSKTTSDHKNEKAEKECGLPVIMPGDRIPKFFARPCPHEKCLPAAEEEEAELRPPIPRHPPMIPRLHRAIARRSLLTSTALLPAVARHRDAPLPSAPGLPRLLHHAPGASDQARKSGPLTLYRDLVSQGKLQHDIYQENVATQLDNLLRRLEQYEMEMEDYHARLSMWENTREKQRRRLLVQEAEDKQRDGVWIDEKRGFLDKLVSRKRRGNIEPGVGKWVSYLNREKKLDTLVGQKPVAPIAPKGIYLYGNVGSGKTMLMDMFYGATEGLIKHRRRFHFHEAMLEIHDHMHDVWKRRDEDKSIESSAFSWISSLPFDGKIKEWLIGEEKYKQNTQQKHILLAVADKFLVDRQANKSGASILCFDEIQDGMQREIFLDLLSKLDENCNKILVGTETDYRRLIPTDGLTQIHYFWPLTSDIRSMYEAMWHDITRQTGGNIISVTIPVMFGRYLEIPKSCNGVARFDFEYLCGRPVGAADYIAIARNYHTIFISDIPAMSMKIRDKARRFITLIDELYNHHCRLVCLAASSIDDLFQGTDEGPLFDLESFQFEGEAEGAKLRRDVLAEGNVGAAPSPTGLVAILSGQEEMFAFRRAISRLIEMQTSLYLERVERVHSSLQQQSSVLTKSSTVSQSAPSV >ORUFI03G43010.3 pep chromosome:OR_W1943:3:33962281:33966818:-1 gene:ORUFI03G43010 transcript:ORUFI03G43010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRHQRLASLTKLLTSHVNVGRHRDALAFFSRMVSDPSLPPLSDPSFAYAFPLALKSSSALRLPSAAAAADSLHALAAKCGFLSSPFFASALVASYGACASPALARRLFDELPHRNAIVCSAMISVHIRSGDLAGALRELDLMDVAPTASCFNSVIAAVAESGEHPARAIDLYRRMQRMGVLPSLITLLALVPSCTALGALSSIKEVHGFATRHGMFASCHLGSSLIEAYGRCGSLAGARNVFDQVQERDVVVWSSIVSAYAFHGHADVAMSLFRHMELDNVRPDGIMFLGVLKACGHAGHADDALKYFDVLTKIFGVEACGDHYSCLVDVLGRAGRLRQAYDVIQTMPVKVTAKAWGALLAACRKYGEVGLAEVAGRALFEIEPENAGNFVSLANIYSGMGMHDKAEQVRREMEQRGVRRLPGSSWMIHCKSRQAVRSASSTTSGHQQMHVGHSTSQYHGWFRLPGRASQSTSHHPLSVQGALAASINTQSPYLASLGS >ORUFI03G43020.1 pep chromosome:OR_W1943:3:33967975:33972100:-1 gene:ORUFI03G43020 transcript:ORUFI03G43020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYRQMPIITRSYLTAAVVTTVGCTLEIISPYHLYLNPKLVVQHYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYISETFARILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSILVGSSTWVDLLGMIAGHVYYFLEDVYPRMTGRRPLKTPSFIKALFADDNVVVARPPNAGLGAGARFGAMGADPQAQ >ORUFI03G43030.1 pep chromosome:OR_W1943:3:33974235:33978338:1 gene:ORUFI03G43030 transcript:ORUFI03G43030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKKALTNPASPSASASASTPKKSTATSKDRSTPKPRKNPNPKEEAPPPPPANNKRLNPQGGSNRKKKADAGTPSKKPKRQPPEPKPRKHKGAKSEKPHRVSGEGEKPTPTKKKKKESSKEPKREKQQASAPMSTPSKKNKEAKRDTGGAGKPTPTKRKLGDVDPPQERPSGEGQASSPTPAKKRKDKAAAAEAVADHGAGSFPMARVRQIMRAEDATIRPSNEAVFLINKATEIFLKRFADDAYRNALKDRKKSIVYDNLSTAVCNQKRYKFLSDFVPQKVTAEDALKAPVSSQVNQPQ >ORUFI03G43030.2 pep chromosome:OR_W1943:3:33974235:33977696:1 gene:ORUFI03G43030 transcript:ORUFI03G43030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKKALTNPASPSASASASTPKKSTATSKDRSTPKPRKNPNPKEEAPPPPPANNKRLNPQGGSNRKKKADAGTPSKKPKRQPPEPKPRKHKGAKSEKPHRVSGEGEKPTPTKKKKKESSKEPKREKQQASAPMSTPSKKNKEAKRDTGGAGKPTPTKRKLGDVDPPQERPSGEGQASSPTPAKKRKDKAAAAEAVADHGAGSFPMARVRQIMRAEDATIRPSNEAVFLINKATEIFLKRFADDAYRNALKDRKKSIVYDNLSTAVCNQKRYKFLSDFVPQKVTAEDALKAPVSSQVNQPQ >ORUFI03G43040.1 pep chromosome:OR_W1943:3:33980144:33980803:-1 gene:ORUFI03G43040 transcript:ORUFI03G43040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALPCAAALFLVLLLAPLLASAESPISLPPASAPTASTPAADERLHPADAALAPSQPPSEASSSAAALSPPAPPETSPLPAPSHSPPVPHSAAPEPSPMEHSAASAPAPSAAKAKQGGDDEEDDDDKEKDKEEKPSTPSPAPAAEEIKAATAGDKAGEEDGETERHELNGGKKAGVVVGAFSAAAVVGLAAVVWKKRQANIRRSRYADYSARLELV >ORUFI03G43050.1 pep chromosome:OR_W1943:3:33981665:33983371:-1 gene:ORUFI03G43050 transcript:ORUFI03G43050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLRGGGGGSGGGGAGGMAWEVLKRHFSRKRAVDVRRINPKVPKEEAVAISGRLLQIFTDHGPLTVGNTWNHAKDAGISGLNSKTHMKILLKWMTGRRIVKLNCVGTGNSKKFLYSPYSADADKSEEAAAEELSKAAASQGGKATKGQQKKRATALH >ORUFI03G43060.1 pep chromosome:OR_W1943:3:33983662:33985258:1 gene:ORUFI03G43060 transcript:ORUFI03G43060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGALRLCHGAIKSPCARKLAAAAAPCARRGIPAPHQKKQATFCLMRASPSFRTNSRQMQWSIEAMTDDSADQSGDNNTRLFSAIQSFLSKLYGKLKKLRKGLPLKILFFLIGFYCATAFATVIGQTVTPGGAA >ORUFI03G43060.2 pep chromosome:OR_W1943:3:33983662:33985463:1 gene:ORUFI03G43060 transcript:ORUFI03G43060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGALRLCHGAIKSPCARKLAAAAAPCARRGIPAPHQKKQATFCLMRASPSFRTNSRQMQWSIEAMTDDSADQSGDNNTRLFSAIQSFLSKLYGKLKKLRKGLPLKILFFLIGFYCATAFATVIGQTGDWDILSAGLAVAIVEGIGALMYRASFAFLGRIRKMITIFNYWKAGLTLGLFLDSFKYEVDEFLESCNPFNIDINIFSLW >ORUFI03G43070.1 pep chromosome:OR_W1943:3:33985526:33987073:-1 gene:ORUFI03G43070 transcript:ORUFI03G43070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPATVSHTTCAIQRRRRSTAPARRRGPPSRRHPHSGAALTLRAAPPPATQPTLDRVLSDLGSHPRLLTPDLLDSLLAALPLHPSPRRNLARLRRLLSVSLLRRYPALARRLLHLHASLGLVSYAHHLFDHLLPARAREDAFPWNCLIAGYARLGRHEDALALYLQMEEETVPRDRFTFTSALQACAGVGSVELGHAIHRDVVRAGLASDVPVCDALVDLYATFGDVRRALQVFDAMPDRDGVSWNIMLAGCLRHGLSQQALELWRRMLREEHEPDSITLSTMLSILPLVCDNGKWGLEIHAWAIRHGLETELSVANALIRMYSDKNEQSHALLVFESMTMRDLQSWNAIISAHLQDYRILMIFRRMVDSGMRPDETTFALVFSACDNLGLVEGGMRLFSEMENEYRIPPTMEQYTCMVNMLGKAGMIHEAYEFMSKRKPLDNEQTVLRALLQACLMHRNARVGEIIAKRLIELEPDNEHNFVKLMEIYQNVGRLVEAEKVKKTMRDRGLSCQS >ORUFI03G43080.1 pep chromosome:OR_W1943:3:33990786:33994997:1 gene:ORUFI03G43080 transcript:ORUFI03G43080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGKIKTVVVLVMENRSFDHMLGWMKSLNPEIDGVTGDEINHLDAADPTSRAIRFGDGAEYVDPDPGHSMQAIYEQVYGTPFVDARATPITPPGVPSPPMAGFAQQAEKEKPGMADTVMNGFRPEAVPVYRELVRQFAVCDRWFASNPASTQPNRLFVHSATSHGLVSNDTKLLVAGLPQRTIFDSLHDAGFSFGIYYQYPPSTLFYRSLRQLKYAGNFHPFDLAFRRHCAEGKLPNYVVVEQRYFDLKMLPGNDDHPSHDVSEGQRFVKEVYEALRGGPQWEEALLVVTYDEHGGFYDHVPTPVDVPSPDGIVSAAPFFFEFNRLGVRVPALFISPWIEPGTVVHRPSGPYPTSEFEHSSIPATVKKLFNLKSFLTNRDAWAGTFDVVLTRDAPRTDCPATLPEPVKMRPATEAAEQAALTEFQEELVQLGAVLNGDHADEDVYPRKLVEGMTVAEAASYCNAAFKAWMDECDRCRKCGEDGSHIPTVVKPPPPPPSTSSSGSSSFASKLLSCFACGRPNKN >ORUFI03G43090.1 pep chromosome:OR_W1943:3:33992945:33995652:-1 gene:ORUFI03G43090 transcript:ORUFI03G43090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAAAAAPTAGGPPGKRQASGGREGDQLIITPLGAGNEVGRSCVYMSFKGRTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYRLLLSDYVKVSKVSVEDMLFEEQDILRSMDKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLKAAELPQFSPDICIIESTYGVQQHQPRHVREKRFTDVIHTTVSQGGRVLIPAFALGRAQELLLILDEYWANHPELHKIPIYYASPLAKKCMAVYQTYINSMNERIRNQFAQSNPFHFKHIESLNSIDNFHDVGPSVVMASPGGLQSGLSRQLFDKWCTDKKNSCVIPGYVVEGTLAKTIINEPREVTLANGLTAPLHMQVHYISFSAHADFPQTSTFLDELQPPNIVLVHGEANEMSRLKQKLISQFDGTNIKVVNPKNCQSVEMYFSSEKMAKTIGRLAEKVPEAGESVNGLLVKKGFTYQIMAPEDLRVYTQLSTANITQRIAVPYSGSFEVIKYRLKQIYESVESSTEESDVPTLIVHERVTIRLESESYVTLQWSSDPISDMVSDSVVAMVLNIGREGPKVVPVEEAVKTQEETERVAQKVVYALMVSLFGDVKVAEEGKLVISVDGQVAHLDGRSGDVECENATLRERIKTAFRRIQGAVRPIPLISS >ORUFI03G43100.1 pep chromosome:OR_W1943:3:34002029:34006914:1 gene:ORUFI03G43100 transcript:ORUFI03G43100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYIKAWLICLLGEREREEMGNIGMRMGVIEAGRFMRMSPERRARHLVGMGVVLTLTTSILILCTATRAPTHCTRGVFLWPCIAIGLFFMVVFILGLCAAKNNNEDLFACHLLGVFIGFIIFGYVAIGPGIDLSDVKAREYNLDDYKSGWLRARVDDAAYWATTSACLRGDRGAGCKAMTKLVRDPHSGLFVPDGGRWHVDMSPIQSGCCKPPSSCGFTYVNGTTWTPTPAAATNNVDCSRWSNDQQKLCFQCDSCKAGFLDHTRKAWSSAAFFPIFCLIAAILSCCSGLKYGGVLHE >ORUFI03G43100.2 pep chromosome:OR_W1943:3:34000320:34002080:1 gene:ORUFI03G43100 transcript:ORUFI03G43100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGLREQRAFARMVPEDRAWLIKGKSVVLTCLVSVLLLSVSSIKAPKTCTGGMFLGPIIAIGLFLIVMFVVGSCGLKKNDGDLYDCYLLGVFLAILLLLAFIIFGYVAVGGIDAGHAANAREYSLSECKRGWLRGRVTHSSHFWASTSACLRRSHVCNGMTNLVRNPDTGIFVPRPSSFERWAKRHGVDADPRVMSPIESGCCKPPSSCGLTYVNGTTWISTAASAGAPAAVAQVTNNNKDDDCSRWSNDHQTLCFQCDSCKAGFLRHTSQAWSVAAIYIVLAFIGLILSSLALCADQATGNNNTGRSR >ORUFI03G43110.1 pep chromosome:OR_W1943:3:34018550:34018844:-1 gene:ORUFI03G43110 transcript:ORUFI03G43110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKMQCKQGSPAGCNKNNNKKKKQQQQTKGGRKMKVSVLTGSLQVGVSSPAPAPARGVSWRRPPSSCLTQAMQASIVSSALAS >ORUFI03G43120.1 pep chromosome:OR_W1943:3:34018575:34030373:1 gene:ORUFI03G43120 transcript:ORUFI03G43120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACVKQEDGGRRQDTPRAGAGAGDDTPTCRDPVKTLTSQLKDMVMKLSGTSRHHGQQRRGGSPPPRGRTTSVYRSGYYRPGMVQDDMAVPPATYLGGGGTSMSSASSTPAWDFARPAEGEAREWVAQVEPGVQITFVSLAGGGGNDLKRIRFSREMYDKWQAQKWWGENNERIMELYNVRRFSRQVLPTPPRSDDGERESFYSQVGSTRGSPAATPSPAPLTPDRVTSWSAFVRPPSASRQQQQHSFRPLSPPPPSSSNPSERAWQQQQQPQRAGKSPAAASDAMDAARTTSCSSRDEVSISNASELEVTEWVIQDEPGVYITVRELADGTRELRRVRFSRERFAELNAKLWWEENKERIQAQYL >ORUFI03G43130.1 pep chromosome:OR_W1943:3:34021604:34023896:-1 gene:ORUFI03G43130 transcript:ORUFI03G43130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLALDGCLCIQQIATLQLRLHNAILHCYLIKTEEESKYDLFHTSHNWESWESIGEMAMDHATTVVKRPGQKRRETCFEVKGRYWPDD >ORUFI03G43140.1 pep chromosome:OR_W1943:3:34026671:34031018:-1 gene:ORUFI03G43140 transcript:ORUFI03G43140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPPPTPPPASSPAPPQDPATGGDALASYLGLSFAFFLASLPGGTPAARHLASLQSRGRVLATRLLAAEDQLRQLRARRRDDARANARAAEIFAGHRASWMDNERRLLARATAATDEAASLRARLAEAEADAAALRARVDRLEREAAERDDLINALLAATRAADADLRSTDDDPEPRDAEQHHHHQPAAAALDLDPAEPRGADADAEALAAAAALYAQQRQQQEGFGSDDFYTAAAAAAASGMQQPWMERSKGWQDLKYETAEPMYNTKHAVPRRESPWKVDVESSGVPAKLQLLEQELINLEKIGNGDLSKIPLVMRKQVKRYQTLAGKIDDLCKRMQTSDPCDSTLSSEFRTQRQTEYLLEAFHLQHRATETRQKLSALQAETAKGSFGDELTAEAKMSTRRALSSIRNNFKEIQRSLEIWLARILGDLEGMLARDGASRIREYFLSPYASAVR >ORUFI03G43150.1 pep chromosome:OR_W1943:3:34035782:34038949:-1 gene:ORUFI03G43150 transcript:ORUFI03G43150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYGPGLCWEAVSYWIRIQKPLRLPPIFLSLCTRISTLRTAGTLAAARRRRFFLPLVAAPSWRAGGMSSHRKNFRRRTDDAEDAYGDDSSNSKPTATKTQTPPVPKPRSPRRQGASRLSFVEDEDDDDAEEGPLSQRRRPAATVRQARTASPAAATLHRLTPARDRLKSSPAVAAAVPAPKPSNFQSHAGEYTPERLRELQKNARPLPGSLMRAPPPPPPPTAEAPRQRLPGAAASPAPATNTTAAAVEPVVILKGLVKPMSQASIGPRNPSQNEDKDEDESEEEEEEEEGPVIPDRATIEAIRAKRQQLQQPRHAAPDYISLDGGGVLSSREAAGGSSDEDDDETRGRIAMYAEKSDSQRSTKGVFGVINNRGPAASLGVINDGFREVEDEKDDDEDEEERKWEEEQFRKGLGRRVDDASAQRAANGGPAPVQVQPQPSGYSIDPRYQPSFSGVLPGTSIFASGSAEFLSIAQQADVASKALQENIRKLKETHKTTVDALVKTDTHLTEALSEISSLESGLQDAERKFVYMQELRNYISVMCDFLNDKAFYIEELEEHMQKLHENRVTAVSERRAADLADESSVIEAAVNAAVSVLSKGSSSAYLSAASNAAQAAAAAARESSNLPPELDEFGRDINMQKRMDLKRREEDRRRRKIRSESKRLSSEGRSANNEHIEGELSTDESDSESSAYLSSRDELLKTADLVFSDAAEEYSSLRIVKDKFEGWKTQYPLAYRDAHVALSAPSVFTPYVRLELLKWDPLHETTDFFGMEWHKILFDYGEQNSESGTDPNNVDKDLIPVLVEKVALPILHHRIMHCWDILSTQRTKNAVDAINMVISYLPTSSKALHQLLAAVNSRLTEAIADISVPAWGSMVTRTVPGASQYAAHRFGVAIRLLKNVCLWKDIFAKPVLEKLALEELLKGKILPHMKSIILDAHDAIARAERISALLKGVWSSPSQKLQPFIDLVVELGNKLERRHMSGISEEETRGLARRLKDILVELNEYDKARAILKTFQIRESL >ORUFI03G43160.1 pep chromosome:OR_W1943:3:34038984:34041603:1 gene:ORUFI03G43160 transcript:ORUFI03G43160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLRSASSLRGVLLRHCSVGTTSPPQVFSRVSDLQAPGCIAWKHFSTFKPNPLPKLDGLGSVTCLYSQARWASQAAAVKETENSGSKISIGPKSKQIKEDDKDDRLVYQGPISSTIRKVKLLSLSTCCLSVSLGPVITFMTSPDMNVILKGAVASTVIFLSATTTAALHWFVSPYIHKLRWRPGSDSFEAEIMSWLATPLTRTIKFADVRPPETNRPFVTFRAEGNFYFVDAEHFPNKALLARLTPKHPNESAFKNL >ORUFI03G43170.1 pep chromosome:OR_W1943:3:34041520:34043856:-1 gene:ORUFI03G43170 transcript:ORUFI03G43170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLLPLEKASLSSLSEPAAAAAAADCSAIMVGDRKRPAPFAGFSPFARSLLFSASSSKPLPPPEDPPAAAADEIPSGIAGSSRDMPPPKRAKRAEPSSDEERYSSDDESYSSDSDDSDDASEELDTVQADFAFYDPKPGDFHGVKLLLKTYLDSKPWDLTGFVDLILEQTTVGTVVKIADDEEDGEGNGADGSSTGGNDDLFGLISVLNLGRYSEHRCMKDLKDYLLAVCGDKDTKKKLKQMLGDKAPDVGLLVCRRFVNFPYELVPKMYESLFDEVSWATEDEPTQELRDSFRFKQYLMVVRVLERKTPAKQKAKNSTEEDEPIIYPKLEDEIFRELSSWSFTFPIRSEQSAQQEMKNYKEMGLVMAVKAEAIPKFRKKLEDLLSE >ORUFI03G43170.2 pep chromosome:OR_W1943:3:34041520:34043856:-1 gene:ORUFI03G43170 transcript:ORUFI03G43170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLLPLEKASLSSLSEPAAAAAAADCSAIMVGDRKRPAPFAGFSPFARSLLFSASSSKPLPPPEDPPAAAADEIPSGIAGSSRDMPPPKRAKRAEPSSDEERYSSDDESYSSDSDDSDDASEELDTVQADFAFYDPKPGDFHGVKLLLKTYLDSKPWDLTGFVDLILEQTTVGTVVKIADDEEDGEGNGADGSSTGGNDDLFGLISVLNLGRYSEHRCMKDLKDYLLAVCGDKDTKKKLKQMLGDKAPDVGLLVCRRFVNFPYELVPKMYESLFDEVSWATEDEPTQELRDSFRFKQYLMVVRVLELSSWSFTFPIRSEQSAQQEMKNYKEMGLVMAVKAEAIPKFRKKLEDLLSE >ORUFI03G43180.1 pep chromosome:OR_W1943:3:34044084:34044650:1 gene:ORUFI03G43180 transcript:ORUFI03G43180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAGDAAEQPPAAPEAKRAAAPRTLVFTYGTLKRGFSNHGLLQDLARDGDASFVGAATTAPRLPLVCGPYRVPFLLNLPGAGHRVSGELYAVTPRGLDRLDELEGVSRAHYERLPISVLLAEGAQVDAVAYYAHRGYADDLWARSGKKGYPEYSPAVADGYIRRKDRPQQLTFLEQIRVFVSSQSS >ORUFI03G43190.1 pep chromosome:OR_W1943:3:34046251:34049212:-1 gene:ORUFI03G43190 transcript:ORUFI03G43190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDAFTDKNAVFRRLKAKPENKMCFDCSAKNPTWASVTYGIFLCLDCSAVHRSLGVHITFVRSTNLDSWTPDQLKMMAFGGNNRAHAFFKQHGWTDGGKVDAKYTSRAAELYRQILQKEVAKSSADNVLPSSPVAASQPQNPSDDFPEFKLPEAPAENTNGKQEPDVTNLQKAPTQTPKAPTHPTFATSVKKSIGAKKIGGKTGGLGVKKLTTKPSESLYDQKPEEPKPAAPVMTTSTTKSGPSLHSRFEYVENEPAVDSRNGGTQMTGHVAPPKSSNFFQEYGMDNGFQKKTSTAATKTQIQETDEARKKFSNAKAISSSQFFGNQSREEKDAQMSLQKFAGSSSISSADLFGRRDMDDSNLDLSAADLINRISFQASQDLSSLKNMAGETGKKLTSIASNFISDLDRIL >ORUFI03G43200.1 pep chromosome:OR_W1943:3:34050648:34052779:-1 gene:ORUFI03G43200 transcript:ORUFI03G43200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRADGRNPNQLRPFSCTRNPLDRAHGSARWAQGDTIVLAAVYGPKPGTRKGENPEKASIEVVWKPMTGQIGKQEKEYEMTLKRTLQSICLLTVHPNTTTSVILQVVGNDGSLLPCAINACCAALVFAGIPLKHLAVAIGCGVLEDGEVILDTNKAEEQQLKSFAHLVFPNSRKSASSKEPNQKEEDSERGLITSITHGVMSEEDYFSCIERGLAASSRISDFMRTTLQKQAPGDV >ORUFI03G43210.1 pep chromosome:OR_W1943:3:34052971:34057439:1 gene:ORUFI03G43210 transcript:ORUFI03G43210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKNKEPASDDAAAIRTLFSADNPFRRKPSDDQPAPPAPTAAAPRGKHPEPEVAEPSAKSRRDEPRRKRKRDEVEAGHERRRLGGAPAPPLPVVGEKRKVPEEVAAAAGAGQEEEEAFDDESKLLRTVFVGNLPLKTKRKALTKEFAAFGEIESVRIRSVPLTDTKIPRKGAVIKGKINDSVDNVHAYIVFKDEQGARAALSHNMALFDGNHVRVDMACPPRKKLKGEGPLYDRKRTVFVGNLPFDIKDEEVYQKFCGSSGSEGDVEAIRVVRDPDTSLGKGIAYVLFKTREAANTVVRKQDFKIRDRLLRLAHAKSADATPKKTTDAGKTKGGSKHKTALTPSSKSHEGSDKTKRKASTLSYQGLRASKSGVVKKAKVSQRPSYQGKQQGRTSETGHDASSQKAKRPAVAARKAKQLAKKRKLDAQTPENTHRSKKAKK >ORUFI03G43220.1 pep chromosome:OR_W1943:3:34056733:34057263:-1 gene:ORUFI03G43220 transcript:ORUFI03G43220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLSLLVVILVAIPSSRSHALPSSSSPFDAALATLQNQIAYRFHAPDLLRRAMTHASYSRENGRALAVLGLAASQSAAALRALAADHDASASAVSRRARDASGEAACVAAAARVGIPSIVRVAAGTKPTAPPVVCGALRALIGAVAVDANSTHAAEEVFWKLHVLTAASAKAAM >ORUFI03G43230.1 pep chromosome:OR_W1943:3:34059707:34062585:1 gene:ORUFI03G43230 transcript:ORUFI03G43230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVAAAAAAAGAVTTAVAPPPGAAVSNGVATAPPPFLMKTYEMVDDPATDAVVSWGPGNNSFVVWNTPEFARDLLPNQKYLYRADTLCHGFRKVDPDRWEFANEGFLRGQKHLLKTINRRKPTHGNNQVQQPQLPAAPVPACVEVGKFGMEEEIEMLKRDKNVLMQELVRLRQQQQTTDHQLQTLGKRLQGMEQRQQQMMSFLAKAMHSPGFLAQFVQQNENSRRRIVASNKKRRLPKQDGSLDSESASLDGQIVKYQPMINEAAKAMLRKILKLDSSHRFESMGNSDNFLLENYMPNGQGLDSSSSTRNSGVTLAEVPANSGLPYVATSSGLSAICSTSTPQIQCPVVLDNGIPKEVPNMSAVPSVPKAVAPGPTDINILEFPDLQDIVAEENVDIPGGGFEMPGPEGVFSLPEEGDDSVPIETDEILYNDDTQKLPAIIDSFWEQFLVASPLSVDNDEVDSGVLDQKETQQGNGWTKAENMANLTEQMGLLSSHHTG >ORUFI03G43240.1 pep chromosome:OR_W1943:3:34064995:34066175:-1 gene:ORUFI03G43240 transcript:ORUFI03G43240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLAVLWACMMMMSLAPASLAASGFEEVPTIAFDEGFSPLFGEDNMVKSADGRTVSITLNRYTGSGFISSDYYHHGFFSASIKLPKDHTAGVVVAFYLSNGDVFEKTHDELDFEFLGNRYRHEWKMQTNVYGNGSTDRGREERYLMPFDPTADAHRFSILWHSRLIVFYVDGVPIREVPRTAAMGADYPSKPMALYVTIWDGSTWATDNGKYKVNYKRGPFTAVFSDLVLRGCTARSDIPLATTADDQDRCAAAEEDLMESDEYSSTMAMTARKRMAMRRFRQRQMLYTVCYDTNRYPEPFPECDVNMAERQMYWQWGESKVVRPRVRPRPGRRSKRRPSPEATAIPPPVLVSLQQAD >ORUFI03G43250.1 pep chromosome:OR_W1943:3:34071949:34075049:-1 gene:ORUFI03G43250 transcript:ORUFI03G43250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNAIALDEHVLDSTIRKRKRVGATQCAEANDAPNLSQNGPKQNLALFPDSTGHKKSKMMCSADDILERYNNFKISGMPVRVMSHQHGGWRDFPEDVVNSVQQSFQLKRPITSAVFQNRHILLDFMQMVCLDSVMAISKPIAWIDDHGKCFSPDSCAGVIPSEPLQHGKNEFLKSFHDLSSSYEAHEHDGMSAAESSSSASFDAVLSDVQEVNNVVEDKQKVLNESGEVSGENKKGHLSHMNETADGAMQAPRNNQSVQRADSAVRNLLFQGSGHLFTEKDIIGIYRTPMLDQLGRSRYSLFQKEVQVTKNQRGNANERYAWLACTKGTMEEMMMNGALEIAKPLQGPMYGVGAHLAPANSSNICVGLSDIDENGIIRMMLCRVIMGNVEVVFPGSNQCQPTSESFDSGVDDLQRPKHYIIWDANVHKHIYAEYAVIIKVPYMNNGDTASNISEIRNSGALDNPTKDDSLQTIASSGDEQQACMLGRAPSPRSPSSPWMPFSMLFAAISAKVPRSDMDLVHKYYEEFKRRKISRPDLVKQLRQIVGDKLLVSTVVRLQQKLPPMAATEQAPRAPGRGGGASP >ORUFI03G43260.1 pep chromosome:OR_W1943:3:34096098:34100747:1 gene:ORUFI03G43260 transcript:ORUFI03G43260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMEEEAANAATAQAAAAGDLADVVARANARAFLVSTPHHHPSPLHPLPPPPMPQAPHQYYPAPQITIPYHHHHHGELRRPTTIAYTDAPVPFETAGPPSTVVDSYHHLTPGDAGYGMPRPLALQISQHALCGGGDVVMGGGGAGAADDGEEAIRISPLTPSAHHQMMKRKNEVKKVVCIPAPPATSSRGGGGEVIPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCMARKQVERSRSDPNMLVITYAAEHNHPWPMQRNVLAGYARSHHSTHATASSSRHKQQQQQQTNQLQPALITSSSSSSSSPFNLYADVVLGGQQANMMMTTEGAGAGLGIQPSAADEVFAELEELEPDNPTMINANMQVYSTTSRPGVSSYDHQWHKF >ORUFI03G43270.1 pep chromosome:OR_W1943:3:34126442:34127560:1 gene:ORUFI03G43270 transcript:ORUFI03G43270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRRADLSVGWADLPPELLEGIMKLMSPLERVTVRLVCSSWRTCARASFPSDLAFEAPRLLLRRPGPPRGPLAFFSLRRAEILPFALPARLSAARCCGHMGGWLAMALDDDREIALCSVTSGESVGIPRPPVFPVAKVVLSAPPTTRGWVVAVLGRSGTIALLQPDAEGEGGRWMAMEDGAKHGGFEDMAIWRGRLCALGGDGAVVAYRVSLGARVAAARVLRAAQHPVGYAAGAGGQQRVRGRVCMYLVVDMSGSLVVVQREYSVRRDAVEVEVEVSRFAAEERKWEAVEELAAGEALFVGSVVSVAARATEGSGIRGNCVYMARREVELIAPHAIGVYSLADGEADGLAISGGHSLAAEPVWIAPSLA >ORUFI03G43280.1 pep chromosome:OR_W1943:3:34128175:34133298:1 gene:ORUFI03G43280 transcript:ORUFI03G43280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPRKYEDDGGGDDSNERRSARRIGGGGDWAKATVKGRYEGDKATAAATLAFTPSAADLRFKASATDAAFARGPSLEGLILTLEKPGSFLLDLKPHSKDVRFQFMNSALLLDRRVSLTYTHSTTLSPGPAKPPARTALDGSLTFDPANKLSLSHTLGSSGCRVKYSYAHGQDRLTTIEPCFDTANNAWDFAVTRKFQGGDAIKATYQASTKLLALDWTRDSKIGASFKVAASFDLSDQSKAPKLIAESTWNYEI >ORUFI03G43290.1 pep chromosome:OR_W1943:3:34135623:34147203:1 gene:ORUFI03G43290 transcript:ORUFI03G43290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGDGIGCCSLLHYAESPMTMARRWLHCWIGDSLLAFSLGGESCLPRLLLAKMDYSLLKDVTQESHRWRVRVRATRFSEFTTANEPDKILRLDLGDMMDAQIPGRRVVQFKPLLKEGAVYYIKYFEVAEARPQYRPVDRLLMAKFTAHTTVTEDTGPPSTFPSYACKILSFDELRARAYKKDIISDAIGIMTAIGPVQTVSYAGVMKAVLNDHITNGRETVVVALWGPHATQFHAENLQQQADNGHVVMLFVGLTVKFRDRQLALQGSTVCRWYPNAPIQETISLISSLHGNPQVVRMIEANFGQKEAINVKVSDICDLNPHEALGNSYVVNIIIRDLVPAEPWWYIACSTYKRGTAREGNAYKCPRCSTDAVETRPVLNLVASVHGARDIVPPEIKAIFGRQYVIRTSVSRGSLQRNRVSYQVDSLMLPHPDPSHAICLPSHDTCMGSSGHGSTSANAVEPHAIVLSSTQSMPPSTPFVLPDTKDTRDSHGDQHEATPPTPQASNIFYTHINYMHISSPDEHDRRKPSVVHTLFVDKLPPELPK >ORUFI03G43290.2 pep chromosome:OR_W1943:3:34135623:34147203:1 gene:ORUFI03G43290 transcript:ORUFI03G43290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGDGIGCCSLLHYAESPMTMARRWLHCWIGDSLLAFSLGGESCLPRLLLAKMDYSLLKDVTQESHRWRVRVRATRFSEFTTANEPDKILRLDLGDMMDAQIPGRRVVQFKPLLKEGAVYYIKYFEVAEARPQYRPVDRLLMAKFTAHTTVTEDTGPPSTFPSYACKILSFDELRARAYKKDIISDAIGIMTAIGPVQTVSYAGVMKAVLNDHITNGRETVVVALWGPHATQFHAENLQQQADNGHVVMLFVGLTVKFRDRQLALQGSTVCRWYPNAPIQETISLISSLHGNPQVVRMIEANFGQKEAINVKVSDICDLNPHEALGNSYVVNIIIRDLVPAEPWWYIACSTYKRGTAREGNAYKCPRCSTDAVETRPVLNLVASVHGARDIVPPEIKAIFGRQYVIRTSVSRGSLQRNRVSYQVDSLMLPHPDPSHAICLPSHDTCMGSSGHGSTSANAVEPHAIVLSSTQSMPPSTPFVLPDTKVIAIAHLDKKRKSSMADENLGHGGSSPDEHDRRKPSVVHTLFVDKLPPELPK >ORUFI03G43300.1 pep chromosome:OR_W1943:3:34147736:34148911:-1 gene:ORUFI03G43300 transcript:ORUFI03G43300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPVQEQRHLVHIRSKMLLSEILRSIGANEARYNCHAVTDGYVGSAEASVYGVRGGEEPFLVRAHGIPAIRPCDAEESAAHALIAVIKKECRVEIEDTNWLDMNCYHAEVFRLKRALGRVRKERNSLAKKARLLEIGWDRALDSLAFVNQICNDTCSFALGGPGADDLNHREVGVLYDVHRLGEYAESKMDEGLANLSSATDRCL >ORUFI03G43310.1 pep chromosome:OR_W1943:3:34151027:34151456:1 gene:ORUFI03G43310 transcript:ORUFI03G43310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWSESENARFEQALATYDSDNPNRWELIATAVGGGKTADDVRRHYDHLQHDVTTIDDDHSHAAGEALPNGNNNNNTNKGNRNQA >ORUFI03G43320.1 pep chromosome:OR_W1943:3:34154572:34155348:1 gene:ORUFI03G43320 transcript:ORUFI03G43320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFNLPLADKAAFAQQPEWIEGYGQAFVTSEDQTLDWSDLYFLTTQPPSYRDLRFWPPETSSTFRRSMDRYSLETQRVATELLRAMARTLGLRDADKMTRLAAAQSMRMNYYPPCPAKERDRVLGVSPHSDAVGLTLLLQVSPVKGLQIRRGDDWIPVDPIPGALVANVGDVVEMVTNGRYKSIEHRVVVDAAQERVSVAAFHNATFGSTYGPLEEMVGGGEARYRSISVEDYVRLVVSSKLQGKNILDAVKIITTT >ORUFI03G43330.1 pep chromosome:OR_W1943:3:34157695:34170598:1 gene:ORUFI03G43330 transcript:ORUFI03G43330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRNVKRPSPHAAHQRRPIKNLFLQMHDVPCSPNHSSSSSEGFFFRNPNRSIEQGTGQGRIVAGEEEREREREQRGRRRDKMILAVLFSNSDGNILIERFHGVPAEERLHWRSFLVKLGADNLKGAKNEELLVASHKSVSIVYTMIGDVCLYIVGKDEYDELALAEVIFAITSAVKDVCGKPPTERLFLDKYGRICLCLDEIVWKGLLENTEKDRVRRLIRLKPPEALVLLLDVGPSMHGVLQEVENICSTLLVYNRSDEIGVVLFGTKETSNELAKELGGYKHVVVARDIKVVDEETTNALQNLPRGTSPVLDAIVVGLDMLIRKFGNIKGKQRMCLVTDAQHPLRDPPQGTKKDQVDTIADQMKRHEIKMDCIIFRESGVRHNAVMDENDQLLYHFRERSVTKVVHVDSPTSLLGALRTRNVLPVTVFRGDLEVWVYKKTSEEKFPTLKKYSDKAPASDKFASHEVKVDYEYKSVLEPDTVVPPDQRIKGYLYGPQVVPISSAEWEAVKFKPEKGVKLLGFTDRSSISRHYFMKDVFSFVPEPGNTKAVAAVSALARAMSEMNKVAILRCVWRQGQGNVALGVLTPNISSAKNVLDSFYFNILPFAEDIREFQFRSFSSLPSSSQPTKEQQEAADNLVKMLDLAPPGREEILKPDFTPNPMLERFYRYLDLKSKQPDANVPPLDKCLKKITEPDPDVIDYQAPLIKKLGNVFELKENPKKKKARTQDRLTYTGADDQAKLLEEPSAEKVGVSEALYPPKKKAGEIGDHNPVQDFEAMLTQRSSSTWVQTAIEEMQKYITALIQDSCDRDNHQKALECLVALRKACIIEQEPNEYNGFVTKLCQKFRPAGDKIFLQLLSSKNASLISKEEAPDSDVTEEMARNFCLKPEPSSQ >ORUFI03G43330.2 pep chromosome:OR_W1943:3:34157695:34170598:1 gene:ORUFI03G43330 transcript:ORUFI03G43330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRNVKRPSPHAAHQRRPIKNLFLQMHDVPCSPNHSSSSSEGFFFRNPNRSIEQGTGQGRIVAGEEEREREREQRGRRRDKMILAVLFSNSDGNILIERFHGVPAEERLHWRSFLVKLGADNLKGAKNEELLVASHKSVSIVYTMIGDVCLYIVGKDEYDELALAEVIFAITSAVKDVCGKPPTERLFLDKYGRICLCLDEIVWKGLLENTEKDRVRRLIRLKPPEALVLLLDVGPSMHGVLQEVENICSTLLVYNRSDEIGVVLFGTKETSNELAKELGGYKHVVVARDIKVVDEETTNALQNLPRGTSPVTDAQHPLRDPPQGTKKDQVDTIADQMKRHEIKMDCIIFRESGVRHNAVMDENDQLLYHFRERSVTKVVHVDSPTSLLGALRTRNVLPVTVFRGDLEVWVYKKTSEEKFPTLKKYSDKAPASDKFASHEVKVDYEYKSVLEPDTVVPPDQRIKGYLYGPQVVPISSAEWEAVKFKPEKGVKLLGFTDRSSISRHYFMKDVFSFVPEPGNTKAVAAVSALARAMSEMNKVAILRCVWRQGQGNVALGVLTPNISSAKNVLDSFYFNILPFAEDIREFQFRSFSSLPSSSQPTKEQQEAADNLVKMLDLAPPGREEILKPDFTPNPMYLDLKSKQPDANVPPLDKCLKKITEPDPDVIDYQAPLIKKLGNVFELKENPKKKKARTQDRLTYTGADDQAKLLEEPSAEKVGVSEALYPPKKKAGEIGDHNPVQDFEAMLTQRSSSTWVQTAIEEMQKYITALIQDSCDRDNHQKALECLVALRKACIIEQEPNEYNGFVTKLCQKFRPAGDKIFLQLLSSKNASLISKEEAPDSDVTEEMARNFCLKPEPSSQ >ORUFI03G43330.3 pep chromosome:OR_W1943:3:34157858:34160441:1 gene:ORUFI03G43330 transcript:ORUFI03G43330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLASGVPLDNITYSTLITAARRCRQFDKAVEWFERMYASDGVLPDEVTYSAILDVYAQLGMKEEVLALFDRARGSGWKPDHVAFAVLAKMFGEAGDYDGIQFVFKEMLEVGIKPNIFVYNALLEALGKTGKPGLARNLFEEMTAEGVEPNARTLTALAKIYGRARWGRDALQLWEQMREKKLPADNILCNTLLSMCADVGLVGEAEQLFSEMKDPDLGDVPKPDKWSYTAMINIYGSSGDADRALQLFAEMVESGIEPNIMSYTIVIQCLGKAGRIQEAVDVLEAGMAKGLKPDDRLCGCLLSVVALSSGDETEVILACLEKVRSNLVKLIRMLGDARVGVEDLRVELKGILNSAAPEVRRPYCNCLIDICRNHGYPSERAVELLRLARHYGLYSKIHTRKEEEWSLDLRSLSVGAAKTAFDDWMKTIREHEEEEEALPQTFSVYTGSSTHKFAQGLATAVASHLEQVGAPFRASDSQLGSFISSRDDLLSWLHTTMSSPDVAV >ORUFI03G43340.1 pep chromosome:OR_W1943:3:34170473:34172502:-1 gene:ORUFI03G43340 transcript:ORUFI03G43340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPITAATSPLSPASRVQVMCSMLNPTSASFSRQTASFPSIRLRPVPSRFQALSCSAKQDTIDKVCEIVKNQLAVDEGTAVSGETKFVDHGADSLDTVEIVMGLEEAFQITVDESSAQVIQTVEDAAALIDKLVAEKDA >ORUFI03G43350.1 pep chromosome:OR_W1943:3:34174802:34185544:1 gene:ORUFI03G43350 transcript:ORUFI03G43350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHGADSAHDAGAVSTGASGVPTRFVWPYGGKRVYLTGSFTRWTEHLPMSPVEGCPTVFQAICSLSPGIHQYKFCVDGEWRHDERQPTITGDYGVVNTLCLTRDFDQINTILSPSTPGSRMNMDVDNDNFQRTVSLSDGIIQEGPQRISEAAIQISRCRVADFLNGQTGYDLLPDSGKVIALDVNLPVKQSFHILHEQGIPVAPLWDSFRGQFVGLLSPLDFILILRELETHGSNLTEEQLETHTISAWKEAKRQTYARNEGSWRANHHLVHATPYESLREIAMKILQNGVSTVPIMFSSSPDGSYPQLLHLASLSGILKCNLPILSQPVCTIPLGTWVPKIGDPNGRPLAMLRPNTSLSAALNLLVQAGVSSIPIVDDNDSLLDTYSRSDITALAKDKVYTHIRLDEMTIHQALQLGQDANSPFGFFNGQRCQMCLRSDTLLKVMERLANPGVRRVFIVEAGSKRVEGIISLSDIFKFLLS >ORUFI03G43350.2 pep chromosome:OR_W1943:3:34174802:34185544:1 gene:ORUFI03G43350 transcript:ORUFI03G43350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHGADSAHDAGAVSTGASGVPTRFVWPYGGKRVYLTGSFTRWTEHLPMSPVEGCPTVFQAICSLSPGIHQYKFCVDGEWRHDERQPTITGDYGVVNTLCLTRDFDQINTILSPSTPGSRMNMDVDNDNFQRTVSLSDGIIQEGPQRISEAAIQISRCRVADFLNGQTGYDLLPDSGKVIALDVNLPVKQSFHILHEQGIPVAPLWDSFRGQFVGLLSPLDFILILRELETHGSNLTEEQLETHTISAWKEAKRQTYARNEGSWRANHHLVHATPYESLREIAMKILQNGVSTVPIMFSSSPDGSYPQLLHLASLSGILKCNLPILSQPVCTIPLGTWVPKIGDPNGRPLAMLRPNTSLSAALNLLVQAGVSSIPIVDDNDSLLDTYSRSDITALAKDKVYTHIRLDEMTIHQALQLGQDANSPFGFFNGQRCQMCLRSDTLLKVMERLANPGVRRVFIVEAGSKRVEGIISLSDIFKFLLS >ORUFI03G43350.3 pep chromosome:OR_W1943:3:34183139:34185544:1 gene:ORUFI03G43350 transcript:ORUFI03G43350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATTAAMALAPPPSHHHHHHHVKQLQLPPSVSVSVPLRSGFLGRALPAAAHPQPLLAAESRRSSAVSVRMSWDGPLSSVRLIMQGRNLNEKVKEHIEEKAGRAVAKHSQLVKEVDVRLSARGGELSRGPKICRCEITLFTKRHGVIRAEEDAESTYASIDLASSIIKRKLRKIKEKETDVRHLKGTKPPVSDWPPSSLDNNDDDAQAQLKDLEEAVGAEDEDTVLTKVVRTKVFEMPPLSVEEAMEQLVNVDHNFYAFRDEKTGEMNVLYKRKEGGFGLIVPKGDGHLHKETIPNSDHHHPSLAA >ORUFI03G43360.1 pep chromosome:OR_W1943:3:34201440:34202677:1 gene:ORUFI03G43360 transcript:ORUFI03G43360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDILMLQEQEVVFDAAVLSGQTEIPSQFIWPAEESPGSVAVEELEVALIDVGAGAERSSVVRQVGEACERHGFFLVVNHGIEAALLEEAHRCMDAFFTLPLGEKQRAQRRAGESCGYASSFTGRFASKLPWKETLSFRYSSAGDEEGEEGVGEYLVRKLGAEHGRRLGEVYSRYCHEMSRLSLELMEVLGESLGIVGDRRHYFRRFFQRNDSIMRLNYYPACQRPLDTLGTGPHCDPTSLTILHQDHVGGLEVWAEGRWRAIRPRPGALVVNVGDTFMALSNARYRSCLHRAVVNSTAPRRSLAFFLCPEMDTVVRPPEELVDDHHPRVYPDFTWRALLDFTQRHYRADMRTLQAFSDWLNHHRHLQPTIYS >ORUFI03G43370.1 pep chromosome:OR_W1943:3:34216322:34216603:1 gene:ORUFI03G43370 transcript:ORUFI03G43370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLASSSLSPQSLFSSLSRCPPHPPPLATPPQSTPPPLATLAVALTLGWRAAVPRRKVVVAPSRCAGYLHRTVGHGDKGRCHRRQGGRGAP >ORUFI03G43380.1 pep chromosome:OR_W1943:3:34218624:34220730:1 gene:ORUFI03G43380 transcript:ORUFI03G43380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIRRAIKGVFRSFGQNCTVLTEANRTVMTATQRCGSRRESHGWSKARRKTGDRGRWAGSEATTSSPPTRIPSSPLLPSCVDPPLLRGSSTSDWILSSLWPLAAAAAFPTVSSHGGSTFLRSACSPQPAREPRLEQSEAADGQPRQVGGEGTDDFFPSHTHPVISPAPLLRGSSTDDWIPSSLWSLAASAAFPTVSGHGGSLHYFRWVDPAVAVAAAEGGSGGSRWWWRR >ORUFI03G43390.1 pep chromosome:OR_W1943:3:34220822:34225849:1 gene:ORUFI03G43390 transcript:ORUFI03G43390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGDSAAVGGGGVSDGHEELLRTSNGNNRIWAQEVSDCSCFLASYILSYLKNKYGKLAADGGQSTAPGDDAGGAVGGSCRFGGCAAHGGGRSTAPGDDAGGAAGGSHRFGGCVAHGGGRSTAPDDVASGAAGGSCQSGAGAAHGGRFTVPDDGASGAAGGSCRSGADPADGGWAPQIVAARRRSGGGERWWREGTGEESDDVLSAEIGNGAEETDEPGDGSRDICMIDLEKEKAEHTHFLAACKSKRSDRRWAG >ORUFI03G43400.1 pep chromosome:OR_W1943:3:34226300:34228287:-1 gene:ORUFI03G43400 transcript:ORUFI03G43400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAWLSPASRLFHPPRSSTAAFFSASGLPRLPRRSSCALATSTRGRRLRLGATDQQQQQGKQDGDEVVDSNVLQYCSIDGKGKKAEKRSLGEMEQEFLQALQAFYYDQKAIMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYAAGNPIMSDAEFDQLKLRLKKDGSDIVTEGPRCSLRSRKVYSDLTVDYLKMFLLNVPATTLALGLFFFIDELTGFEINIFQSITNAIVNDFLILKGPCPNCGAENLSFFGTILSVSSGGETNKVKCADCSTEMVYDSKSRLITLPEPSQ >ORUFI03G43410.1 pep chromosome:OR_W1943:3:34230244:34231783:-1 gene:ORUFI03G43410 transcript:ORUFI03G43410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLLLLLLLPAAAAAVSLPPSNKVVFILGGQSNMAGRGGVVGSHWDGMVPPECAPNPSILRLSPQLRWEEAHEPLHNGIDSNRTCGVGPGMSFANALLRSGQFPVIGLVPCAVGGTRMADWAKGTDLYSDLVRRSRVALETGGRIGAVLWYQGESDTVRWADANEYARRMAMLVRNLRADLAMPHLLLIQVGLASGLGQYTEVVREAQKGIKLRNVRFVDAKGLPLEDGHLHLSTQAQVQLGHMLAQAYLNYGTSTL >ORUFI03G43420.1 pep chromosome:OR_W1943:3:34232765:34233381:1 gene:ORUFI03G43420 transcript:ORUFI03G43420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDVVWGRGKRMWDVIVLGMMAIGPPAKGGGIDWSEGGIDWSEGVGRSKVDDGENESERKKEEKQIWRIRNKSRTNRI >ORUFI03G43430.1 pep chromosome:OR_W1943:3:34234843:34235643:1 gene:ORUFI03G43430 transcript:ORUFI03G43430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQLQLQVLALLLLLATTATATATPTPTLIFLLGGQSNMGGRGGATNGPWDGVVPPECAPSPRILRLSPELRWEEAREPLHAGIDVHNVLGVGPGMSFAHALFRAIPPSTVIGLVPCAQGGTPIANWTRGTELYERMVARGRAAMATAGAGAGARMGALLWYQGEADTIRREDAEVYARKMEGMVRDVRRDLALPELLVIQVGIATGQGKFVEPVREAQKAVRLPFLKYVDAKGLPIANDYTHLTTPAQVKLGKLLAKAYLSTL >ORUFI03G43440.1 pep chromosome:OR_W1943:3:34238995:34239273:-1 gene:ORUFI03G43440 transcript:ORUFI03G43440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTGGKEEEKEKLCRRCKATYAPSGNTRLSCRFHPSYFVCRRHDDQKRYYQLRDGDPPYAAKFYDCCGAEDPDAAGCTTDFHLSYDDADA >ORUFI03G43450.1 pep chromosome:OR_W1943:3:34249173:34249907:1 gene:ORUFI03G43450 transcript:ORUFI03G43450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSREQQPESSPANNNSKKKKKKKTASRFRRVCVFCGSSPGKKASYQVAAVQLGQQLVERGIDLVYGGGSVGLMGLVSRAVHGGGGHVVGVVPNGVLPRELIGETLGEVRAVGSMHQRKAEMARESDAFIALPGGYGTLEELLEVITWAQLRIHHKPVGLLNVDGYYDSLLAFIDKAVHEGFVSPPARRIIVAAPTASDLLCKLEEYVPPPHDATALKLTWEMSTVSEQHAGSIYSPKPDMAR >ORUFI03G43460.1 pep chromosome:OR_W1943:3:34258270:34267963:-1 gene:ORUFI03G43460 transcript:ORUFI03G43460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLRDLSQPIDVPLLDATVAAFYGTGSKEERNAADQILRDLQNNPDMWLQVVHILQNSHNLNTKFFALQVLESVIKYRWNALPTEQRDGIKNYISDVIVQLSSNEASFRQERLYVNKLNIILVQVLKHEWPARWSSFVPDLVAAAKSSEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQRPELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPMAAYRNLTLQCLTEVAALQFGDFYNVQYVKMYTIFMMQLQAILPPGTIPDAYANGSSEEQAFIQNLALFFTSFFKNHMRILEASPENRAALLLGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPAASVNMMGLQAQMLPGVDGTITAVQQRRQLYSGPLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLSSLATTIGDLEPHQIHTFYESVGHMIQAESDNTKRDEYLKRLMSLPNQKWAEIIGQASQSIDILKNQDVIRSVLNILQTNTSVASSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDLPHIGKQFVPPMMDPILADYARNVPDARESEVLSLFATIINKYKGEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSQQLKLVIDSINWAFRHTERNIAETGLSLLLEILKNFQASGFQNQFYKTYFLNIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCVVDGLTEPLWDASSVPYQYTDNAMFVRDYTIKLLGSSFPNMTPTEVTKFVDGLLSSKHDLPSFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLAIPGLIAPSELQDEMVDS >ORUFI03G43460.2 pep chromosome:OR_W1943:3:34258270:34267963:-1 gene:ORUFI03G43460 transcript:ORUFI03G43460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLRDLSQPIDVPLLDATVAAFYGTGSKEERNAADQILRDLQNNPDMWLQVVHILQNSHNLNTKFFALQVLESVIKYRWNALPTEQRDGIKNYISDVIVQLSSNEASFRQERLYVNKLNIILVQVLKHEWPARWSSFVPDLVAAAKSSEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQRPELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPMAAYRNLTLQCLTEVAALQFGDFYNVQYVKMYTIFMMQLQAILPPGTIPDAYANGSSEEQAFIQNLALFFTSFFKNHMRILEASPENRAALLLGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPAASVNMMGLQAQMLPGVDGTITAVQQRRQLYSGPLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLSSLATTIGDLEPHQIHTFYESVGHMIQAESDNTKRDEYLKRLMSLPNQKWAEIIGQASQSIDILKNQDVIRSVLNILQTNTSVASSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDLPHIGKQFVPPMMDPILADYARNVPDARESEVLSLFATIINKYKGEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSQQLKLVIDSINWAFRHTERNIAETGLSLLLEILKNFQASGFQNQFYKTYFLNIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCVVDGLTEPLWDASSVPYQYTDNAMFVRDYTIKLLGSSFPNMTPTEVTKFVDGLLSSKHDLPSFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLAIPGLIAPSELQDEMVDS >ORUFI03G43470.1 pep chromosome:OR_W1943:3:34269269:34269694:-1 gene:ORUFI03G43470 transcript:ORUFI03G43470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWMQHRRRSWTARLLSSASLPPVRLLVFFAIVIFFLSVSSYVDYKAIERRAEIGLRVFAAPLAAVTIFLLFLVLQHRRRYWTLRRQVHHHHAYADQAEAAGSGSPWVVALLLLLLLLMLSFQSSVHSIWFRPLWDSADY >ORUFI03G43480.1 pep chromosome:OR_W1943:3:34273355:34277864:1 gene:ORUFI03G43480 transcript:ORUFI03G43480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATAAASLVSLPLLSAIFGAAIALLFLSGYLRRKRAAIAHLPPSATAAAPDQPKQVRPSNQNQPKKGHQRSYHAVDKDAAKKHHHLDVNTLRGHTDSVTALHFSDDACNLATVCADGAVRVFRVDDVSSKSFKILRINLPAGAHPTAIAFSQGASSVVVAAQALLGSSLYMYADVGAPPAGGNKQQGKLSPPEIKWEHRKIHGKESVLNLAAARATYGTGDGSTILISCSEATDIKIWHGKSGKELGTVDTNQLKNNMADISPNGRFIAAAAFTADVKVWEIVYSKDSSVKEVNKVMQLKGHKSAVTCLCFALNSEQIITASKDGTIRVWNINVRYHLDEDPKTLRVLPIPLHDSKGSVCQYDHMSVSPDEKVLATTSGSTLQWLCVETGAVLDTAEKAHEGDITGIAWAPRTIPNGGTPAFVLATAGVDKKVKLWLAPKAIST >ORUFI03G43490.1 pep chromosome:OR_W1943:3:34284036:34285457:-1 gene:ORUFI03G43490 transcript:ORUFI03G43490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESWLTKVRSAIISSKPSPSSSSSSSWRSKSVGILALEVATLMSKLVHLWRSLADAALARLRHHLINLDGVRKLVSHHDAALLALACAELTDALRVAAHSVAALATRCADPFLRDFADAFADFADTGRDPHRWVSTWKDMDTRAHKMDKQVAATSALRTAMEDLADAEHGLRKLLQTSSSRRLSATNISLAAEQQQLIFAKKQEVKHLKQTSLWSSTFDAVVSSLARAAFTILARIKLVFGAAHDHRPTTTPLHRSLTLSSAVHPSSVDVQVQPPVSRKSMSMDMGMGEALYLERQRQSGLLERSAAALVPPPGTLGAAALAPRYAWVIISIERMARSPRLVGAEERDELYGMLTASVRAQLRARLSGTVAAAEPGLAGQWRAAVGGILEWLAPMAHATVRWQAERSLEQQRKTTREMETQTLVVQTLQMAERGKVEAAVAELLVGLNYLCRFHKEITTCRTRTCHHDDAP >ORUFI03G43500.1 pep chromosome:OR_W1943:3:34286152:34289603:-1 gene:ORUFI03G43500 transcript:ORUFI03G43500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPCCVLVVRCCSGSLPSPPPPRPSPSNNLRIARREFVLRSSELATLAAIFHLSGTKPRYLGVQKSPPSLALCPATNNCVSTCEDITDSIHYAPPWNYNPKDGRRAKPITKHEAINQLIQVVTQTKPDNFTPRLVEKTDDYLGCDVKTRIPAVPVAINIGGGGGLASEKDSNYIRMDTSIVYFMSTK >ORUFI03G43500.2 pep chromosome:OR_W1943:3:34286152:34289603:-1 gene:ORUFI03G43500 transcript:ORUFI03G43500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPCCVLVVRCCSGSLPSPPPPRPSPSNNLRIARREFVLRSSELATLAAIFHLSGTKPRYLGVQKSPPSLALCPATNNCVSTCEDITDSIHYAPPWAKPITKHEAINQLIQVVTQTKPDNFTPRLVEKTDDYLGCDVKTRIPAVPVAINIGGGGGLASEKDSNYIRMDTSIVYFMSTK >ORUFI03G43510.1 pep chromosome:OR_W1943:3:34289693:34292225:-1 gene:ORUFI03G43510 transcript:ORUFI03G43510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPSPPHERKTWAESVASEFRAQRGIAFPLIAMNLTWFAKLAVTTAFLGRLGDLQLAAGTLGFSFANVTGFAVLTGLCAAMDPICGQAHGASNGKLLRKTLVMATILLLGASIPIAFLWLHVDAVLLRFGQQADMSSNARSYVVCLLPDLAVTSFVNPLKSYLSAQGVTLPTLFASALALALHVPLTMWMARTRGIQGVATAVWVSDLAVAVMLAGYVLVSERRRKAGGGGGWVEQTRGEWVRLLRLAVPSCLNTCLEWWCYEILVLLTGRLPDARRTVAVMAVTLNFDYLLFAGMLSLSVSASVRVSNELGAGEAWAARRAGMVSIVGGAVGGVGGGVAMVAARRAWGSIYSSDAGVREGVGRAMEVMAVLEVVNFPLNVCGGIVRGTARPAVGMYAVVAGFYVLALPLGVALAFKARLGIQGLLLGFLVGAAASLAVLLTFIARMDWPAEAQKARTRTTATVAQFHQHDEEGRRVIGWGWAVLIT >ORUFI03G43520.1 pep chromosome:OR_W1943:3:34296636:34299500:-1 gene:ORUFI03G43520 transcript:ORUFI03G43520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKMKQQMMNKLGLLVVAVAVAMAPAGSFASSSGRGAPMVPAVIVFGDSIVDPGNNNNLKTQIKANHAPYGMDFANSEPTGRYSNGLIPTDFIVQGLNVKQLMPPYLGVELSPEDLKTGVSFASGATGYDPLTPVIVSVITLDQQIEYFHEYRKRLVGVVGEEETARIIDGALFVVCAGTDDIANTYFTTPFRSVEYDIPSYVDLLVSGAAKLLDQVAALGARRIGFVGLPPIGCVPSQRTLGGGPHRRCEEKRNYAAKLFNSRMEEVIAAKTNPATTRMVYVDIYTILQELVENGDKYGFTETTRGCCGTGTIEVTGLCDARFVDICDNVSNHVFFDSYHPTQRAYKIIVDYIFDNYLQFLLA >ORUFI03G43530.1 pep chromosome:OR_W1943:3:34306515:34311812:-1 gene:ORUFI03G43530 transcript:ORUFI03G43530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSASASADPPRRSPWRHPSNGGNPNPNGDAVIDTTSWPALSEAARNPPKPPPCIDSPSEGLGKQSSRHKPARRGGAGADHSPSPRDDRATSWDHGRHHHHHNSGGRRGSFGGRRRGGGGGGFDALYRAPIGPYVRGATAPPPPPPPPMAVAPPPFLPPPLRPFAAPLLFHHDMASPVSPVSPIYYVGPPPPPEALRPLPPFPPTMLAPPAYPYYHPQPQPDPEPEPDADPQQHRANLLKQIEFYFSKDNLCTDVFLRRNMDDQGWVNIALIAGFNKVQESTDDLQYIKDTIQSSSILEMQDDKIRRQNDWNKWVIPRESNTDVLPSPNINNLTAHLGSVGLQESAASSSSMVDENHHEILTNGPTSGNNQAPVVEDGAGKL >ORUFI03G43540.1 pep chromosome:OR_W1943:3:34313905:34318537:-1 gene:ORUFI03G43540 transcript:ORUFI03G43540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYRSSSSSASSPAAALAMGRRHYYLPARPARPISFEDSPDWADDDVDSIHLATASASASLPTTAYPSPSPTPSSSSAACRGGERKVAGATLVWKELSVSLTRSRSGSGSADRRVVKSSTGYALPGTLTVIMGPARSGKSTLLRAIAGRLRPAERMYGQVLLNATNTRLPYGSYLPGLFSSKTSIVEDAIAAMSLADYADNLIGGHCFINSLPAGERRRLSIARELVMRPHVLFIDEPLYHLDSVSALLLMVTLKKLASTGCTVIFTMYQSSTEVFGLFDRICLLSNGNTLFFGETLSCLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNLQDDQGDFSSVSMDTAVAIRTLEATYKSSADSVAVESLVAKLMEKLAQPQRLLHIFLDVVIMVYNLMLLFPLENFGCAPASNQDTASNREYGNLVVLEGPHLKSKGRASNTTRIGVLTWRSLVIMSRNRKYFWSRFALYMLLALSVGTIFNNAGHSLSSVMVRVSAIFVYVSFVILLSVSGVPAHIDEIKIYSHEEANQHSSTMVFLLGHFLSSIPFLFLVTISSLLVFYFLIGLRNEFNLFMYFVVTMFMCLLANEALMMIVAYIWLDTYKCTLTLICLYVIMMLVGGYFRIRGGLPCTVWKYPLSYVSFHVYAVEGLLENEYVGTSFAVGAIRTIPGVQAVGGSYDISSSANAKWVNLLVLFVMAVGYRVVLYVLLRLNVRKHMRLLGSWCCWSWTPQSDYYSSN >ORUFI03G43540.2 pep chromosome:OR_W1943:3:34313905:34318537:-1 gene:ORUFI03G43540 transcript:ORUFI03G43540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYRSSSSSASSPAAALAMGRRHYYLPARPARPISFEDSPDWADDDVDSIHLATASASASLPTTAYPSPSPTPSSSSAACRGGERKVAGATLVWKELSVSLTRSRSGSGSADRRVVKSSTGYALPGTLTVIMGPARSGKSTLLRAIAGRLRPAERMYGQVLLNATNTRLPYGSYLPGLFSSKTSIVEDAIAAMSLADYADNLIGGHCFINSLPAGERRRLSIARELVMRPHVLFIDEPLYHLDSVSALLLMVTLKKLASTGCTVIFTMYQSSTEVFGLFDRICLLSNGNTLFFGETLSCLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNLQDDQGDFSSVSMDTAVAIRTLEATYKSSADSVAVESLVAKLMEKEGPHLKSKGRASNTTRIGVLTWRSLVIMSRNRKYFWSRFALYMLLALSVGTIFNNAGHSLSSVMVRVSAIFVYVSFVILLSVSGVPAHIDEIKIYSHEEANQHSSTMVFLLGHFLSSIPFLFLVTISSLLVFYFLIGLRNEFNLFMYFVVTMFMCLLANEALMMIVAYIWLDTYKCTLTLICLYVIMMLVGGYFRIRGGLPCTVWKYPLSYVSFHVYAVEGLLENEYVGTSFAVGAIRTIPGVQAVGGSYDISSSANAKWVNLLVLFVMAVGYRVVLYVLLRLNVRKHMRLLGSWCCWSWTPQSDYYSSN >ORUFI03G43550.1 pep chromosome:OR_W1943:3:34321514:34324963:-1 gene:ORUFI03G43550 transcript:ORUFI03G43550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMPSTCASSSSLFLLLRRDRRSTRSASLPGPARRLGVVRASAKEIAFDQESRSSLQAGVEKLAAAVAVTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSIKKGIDKTVHSLVEELEKKSRPVKGSGDIKAVAAISAGNDDFVGTMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKSLVEFENARILVTDQKISSIKEIIPLLEQTTQLRAPLLIIAEDVAGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEFQAKDLGLLVESTTVEQLGIARKVTISQSSTTIIADVATKDEIQARIAQLKRELSQTDSAYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSKFVPAIKEKLDDPEERLGADIIQKALVAPAALIAHNAGVEGEVIVEKIKESEWEVGYNAMADRHENLVQAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKKKASAASGAPGGSLAMRAADADE >ORUFI03G43550.2 pep chromosome:OR_W1943:3:34321782:34324963:-1 gene:ORUFI03G43550 transcript:ORUFI03G43550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMPSTCASSSSLFLLLRRDRRSTRSASLPGPARRLGVVRASAKEIAFDQESRSSLQAGVEKLAAAVAVTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSIKKGIDKTVHSLVEELEKKSRPVKGSGDIKAVAAISAGNDDFVGTMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKSLVEFENARILVTDQKISSIKEIIPLLEQTTQLRAPLLIIAEDVAGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEFQAKDLGLLVESTTVEQLGIARKVTISQSSTTIIADVATKDEIQARIAQLKRELSQTDSAYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSKFVPAIKEKLDDPEERLGADIIQKALVAPAALIAHNAGVEGEVIVEKIKESEWEVGYNAMADRHENLVQAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKKKASAASGAPGGSLAM >ORUFI03G43560.1 pep chromosome:OR_W1943:3:34326639:34329313:-1 gene:ORUFI03G43560 transcript:ORUFI03G43560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYEQDPDVLRWSLHLLLPPAAALHYQQRTSSVDCDEMIAHALQEELSYAQSQSQSLSSSILHLPPSAPPLKEDEQDDAPPPPPPFSSCSTPADYTNTNNHNAHDCLIEFVDDFSALDGQVGKRLHDMIPIPHVPKTNGDIPSVDEAFSDHQRLLHRLELYDLAELKVEGDGNCQFRALSDQFYRTTEHHRFVRQQIVKQLESYPEIYAGYVPMDYREYLKKMIKNGEWGDHVTLQAAADSYGVKIFILTSFRDTCYIEILPVVQKSERGSLRVGFRIE >ORUFI03G43560.2 pep chromosome:OR_W1943:3:34326639:34329313:-1 gene:ORUFI03G43560 transcript:ORUFI03G43560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYEQDPDVLRWSLHLLLPPAAALHYQQRTSSVDCDEMIAHALQEELSYAQSQSQSLSSSILHLPPSAPPLKEDEQDDAPPPPPPFSSCSTPADYTNTNNHNAHDCLIEFVDDFSALDGQVGKRLHDMIPIPHVPKTNGDIPSVDEAFSDHQRLLHRLELYDLAELKVEGDGNCQHVSYTQFRALSDQFYRTTEHHRFVRQQIVKQCNIRHFHFVATKSTRNGEWGDHVTLQAAADSYGVKIFILTSFRDTCYIEILPVVQKSERVICLSFWAEVHYNSIYPEGGSLRVGFRIE >ORUFI03G43560.3 pep chromosome:OR_W1943:3:34326639:34329313:-1 gene:ORUFI03G43560 transcript:ORUFI03G43560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYEQDPDVLRWSLHLLLPPAAALHYQQRTSSVDCDEMIAHALQEELSYAQSQSQSLSSSILHLPPSAPPLKEDEQDDAPPPPPPFSSCSTPADYTNTNNHNAHDCLIEFVDDFSALDGQVGKRLHDMIPIPHVPKTNGDIPSVDEAFSDHQRLLHRLELYDLAELKVEGDGNCQHVSYTQFRALSDQFYRTTEHHRFVRQQIVKQCNIRHFHFVATKSTRNGEWGDHVTLQAAADSYGVKIFILTSFRDTCYIEILPVVQKSERGSLRVGFRIE >ORUFI03G43570.1 pep chromosome:OR_W1943:3:34332214:34337121:1 gene:ORUFI03G43570 transcript:ORUFI03G43570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYVTAGSTTPPPHLHQLLSGTGAAPPPSSHDDAHPHPHPQSRRTVPARRLRQRLEQEVSELKKQLRNEEAVHDILNRALQHSNTTKSSSSLSSPSVLHNIPAFIPHKAKELLAELVLVEEEIARLETQIRSMKKAAATTTTQNAINLTHDDTVNANVNSGGSAAGDHANIKSMFFISQAINGLDTSRHHHPLMTIVSNKPPTPSPKLNSLDDTSSSSNKKKKMVQQPNKLSERIVKCLICIFIRLLRSSRVADLQLQQQDNNNNNASSRSSSSSPSLTRQHQGGGGGGSFRIDTSLVMNKQQQQQDCRSGQQDHYGIFAIPDSIVRDIGPYKNLVSFTSSAFDLRGFSTSPLLTKLRGMLEALQHVDLRFLTHHQKLAFWLNIYNTCIMHGILHNGLPSNPEKLLALKNKATLNVSGQKLNALVIENFILRQPSSVKEEFWKCEVDVEEQQVRSRYGLNSSEPNILFALCCGNRSSPALRIYKADRVMMDLEKAKLEYLQASLVGGFGSTRLPRSPRRTPSRPWRSSTGRFTPSTESPTSPSRTTTLSPASSVRLEALTDFCWLCENPQKLVVPFDMRGYQGVYNLERRIYEGAVRGLSPVQGPLPVNFPLPDPTNPLSLNPGSLQLHSSRSAALDKSPSVTAAIAGARAAATQYSRNNTAITSTPTEETRQRFSRENHADNTSGPSIVHDRSPVLQNQNLPSLALTNPPYLKNQTMPSFVQNNLPNLQNHNLSYLPHQNLSADVSNRRVSLLQNQSPSSLLHSGQSYLQNQNAEPRRSPRLQNEPPSRVSAHYFNPFMD >ORUFI03G43580.1 pep chromosome:OR_W1943:3:34341859:34342524:-1 gene:ORUFI03G43580 transcript:ORUFI03G43580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHPHRRHGDGDVDGSASGSGSARLTAGLINFLESRRAGAMSTTNSSSSFSVPAMDAHGQEEEEEPMQVQQQQAFRGVRKRPWGKFAAEIRDSTRNGVRVWLGTFDSAEEAALAYDQAAFAMRGSAAVLNFPMEQVRRSMDMSLLQEGASPVVALKRRHSMRAAAAGRRRKSAAPAPADQEGGGGVMELEDLGPDYLEELLAASQPIDITCCTSPSHHSI >ORUFI03G43590.1 pep chromosome:OR_W1943:3:34355254:34356339:1 gene:ORUFI03G43590 transcript:ORUFI03G43590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGSDRLRDLQAFDDTKAGVKGLVDAGVTTIPAIFHHHPLLLDDAEEDADVIPVIDLQADVDRGHLVGQVRAAAQCVGFFQVVNHGIPGELLEEMLAAVRRFNEQPAEGKKAWYSRDSGRRVKFNSNFDLFQSPAANWRDTLLLELTPRPGPAAEEIPPACRGVVGEYVEAVQRLGGALLELLSEALGLPPEYLGGLGGGLATMAAHYYPPCPEPHLTLGTTRHSDPSFLTVLLQESKGLQVLMRQRQRWVDVPPVAGALVVNIGDLLQLVSNDLFRSVEHRVLATTAAAEPRLSVACFFRPDYACTRVYAPVTTTPPPPLYRSTTMPEFLSHYRAKGLDGRSALHHFRIPPPSSPPH >ORUFI03G43600.1 pep chromosome:OR_W1943:3:34356770:34372299:-1 gene:ORUFI03G43600 transcript:ORUFI03G43600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVEEINENDLVVNCTSGKKVTINVGSAYPKDTESPRGGVEDMTRLAYLHEPGVLQNLKSRYALNEIYTYTGNILIAVNPFQRLPHLYNNHMMGIYKGAEFGELGPHPFAIADRSYRLMINNRISQAILVSGESGAGKTESTKMLMQYLAFMGGKAQAEGRSVQQQILESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDDNGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPSEDCKKYKLGEAKTFHYLNQSNCIELDGLDDSKEYTDTRRAMSIVGISSDEQDAIFRVVAAILHLGNVEFAEGSEADSSMPKDEKSQFHLRTAAELFMCDEKGLEESLCKRVMATRGESITKNLDPRAAALSRDALSRIVYSRLFDWLVNKINSSIGQDPDSKILIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQKFKDNPHFSKPKFSRSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQILLNASKCSFVSSLFPPCEESTKSTKFSSIGSSFKQQLQSLLETLSAIEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFFEFINRFGILQPKVLGRSHDEVAATKMLLGKANLTGYQIGKTKVFLRAGQMAELDALRTEILGLSAKKIQTKVRSHVARKKYVMLQHFATQLQAVCRGTIARWRYETMRREAASLKIQTCYRKHCARKTYKEICSASTTIQSGLRGMAARHKLHFYRQTKAAVIIQSHCRCYLVLSNYKRMMKAIITTQCAWRGRVARRELRELKVAAKETGALQAAKSKLEKEVEELTWRLQLEKRIRADVEEAKAQENKKLQLQLQDLQMQLNDTKELLKREKESTKAEMEKTLVPEICVDTTQVNELTAENNRLKALVVSLETNIEEMKQKFGETDNVRDEWCKKATDAESQINELKSMMQSLQEKLNSTEAENHVLRQQAMRTRPDNMPLLNMHRKSNLANGSLPGDEQTPHGTSMEYGRTSYIERQQESVEALINCVVENVGFSEGKPVAAVTIYKCLLHWRTFEAEKTNVFDRLIQIFGSAMQKQESNADLAYWLSNSSSLLIILQKSLKPVGSSVTTPLKRTQTQTSFLGRMVFRASNITVDMDLVRQVEAKYPAFLFKQQLTAFVEGLYGMIRDNVKRDISSVLTLIIQVPSIFARKIFTQIFSFINAQLFNSNGEYVKQGLQELEAWCTQAKPEVIFKKFRISYDEIINDLCTALSVQQLYKICTQYWDDKYNTESVSEEVLNEMKTLMNGKDASDGTLKSLMNEKDASDGDSMDAKEFQNVVPPQQLLDNPAFQFLKS >ORUFI03G43600.2 pep chromosome:OR_W1943:3:34356770:34372299:-1 gene:ORUFI03G43600 transcript:ORUFI03G43600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVEEINENDLVVNCTSGKKVTINVGSAYPKDTESPRGGVEDMTRLAYLHEPGVLQNLKSRYALNEIYTYTGNILIAVNPFQRLPHLYNNHMMGIYKGAEFGELGPHPFAIADRSYRLMINNRISQAILVSGESGAGKTESTKMLMQYLAFMGGKAQAEGRSVQQQILESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDDNGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPSEDCKKYKLGEAKTFHYLNQSNCIELDGLDDSKEYTDTRRAMSIVGISSDEQDAIFRVVAAILHLGNVEFAEGSEADSSMPKDEKSQFHLRTAAELFMCDEKGLEESLCKRVMATRGESITKNLDPRAAALSRDALSRIVYSRLFDWLVNKINSSIGQDPDSKILIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQKFKDNPHFSKPKFSRSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQILLNASKCSFVSSLFPPCEESTKSTKFSSIGSSFKQQLQSLLETLSAIEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFFEFINRFGILQPKVLGRSHDEVAATKMLLGKANLTGYQIGKTKVFLRAGQMAELDALRTEILGLSAKKIQTKVRSHVARKKYVMLQHFATQLQAVCRGTIARWRYETMRREAASLKIQTCYRKHCARKTYKEICSASTTIQSGLRGMAARHKLHFYRQTKAAVIIQSHCRCYLVLSNYKRMMKAIITTQCAWRGRVARRELRELKVAAKETGALQAAKSKLEKEVEELTWRLQLEKRIRADVEEAKAQENKKLQLQLQDLQMQLNDTKELLKREKESTKAEMEKTLVPEICVDTTQVNELTAENNRLKALVVSLETNIEEMKQKFGETDNVRDEWCKKATDAESQINELKSMMQSLQEKLNSTEAENHVLRQQAMRTRPDNMPLLNMHRKSNLANGSLPGDEQTPHGTSMEYGRTSYIERQQESVEALINCVVENVGFSEGKPVAAVTIYKCLLHWRTFEAEKTNVFDRLIQIFGSAMQKQESNADLAYWLSNSSSLLIILQKSLKPVGSSVTTPLKRTQTQTSFLGRMVFRASNITVDMDLVRQVEAKYPAFLFKQQLTAFVEGLYGMIRDNVKRDISSVLTLIIQVPSIFARKIFTQIFSFINAQLFNSNGEYVKQGLQELEAWCTQAKPEVIFKKFRISYDEIINDLCTALSVQQLYKICTQYWDDKYNTESVSEEEIGDSMDAKEFQNVVPPQQLLDNPAFQFLKS >ORUFI03G43600.3 pep chromosome:OR_W1943:3:34356770:34367017:-1 gene:ORUFI03G43600 transcript:ORUFI03G43600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQKFKDNPHFSKPKFSRSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQILLNASKCSFVSSLFPPCEESTKSTKFSSIGSSFKQQLQSLLETLSAIEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFFEFINRFGILQPKVLGRSHDEVAATKMLLGKANLTGYQIGKTKVFLRAGQMAELDALRTEILGLSAKKIQTKVRSHVARKKYVMLQHFATQLQAVCRGTIARWRYETMRREAASLKIQTCYRKHCARKTYKEICSASTTIQSGLRGMAARHKLHFYRQTKAAVIIQSHCRCYLVLSNYKRMMKAIITTQCAWRGRVARRELRELKVAAKETGALQAAKSKLEKEVEELTWRLQLEKRIRADVEEAKAQENKKLQLQLQDLQMQLNDTKELLKREKESTKAEMEKTLVPEICVDTTQVNELTAENNRLKALVVSLETNIEEMKQKFGETDNVRDEWCKKATDAESQINELKSMMQSLQEKLNSTEAENHVLRQQAMRTRPDNMPLLNMHRKSNLANGSLPGDEQTPHGTSMEYGRTSYIERQQESVEALINCVVENVGFSEGKPVAAVTIYKCLLHWRTFEAEKTNVFDRLIQIFGSAMQKQESNADLAYWLSNSSSLLIILQKSLKPVGSSVTTPLKRTQTQTSFLGRMVFRASNITVDMDLVRQVEAKYPAFLFKQQLTAFVEGLYGMIRDNVKRDISSVLTLIIQVPSIFARKIFTQIFSFINAQLFNSNGEYVKQGLQELEAWCTQAKPEVIFKKFRISYDEIINDLCTALSVQQLYKICTQYWDDKYNTESVSEEVLNEMKTLMNGKDASDGTLKSLMNEKDASDGDSMDAKEFQNVVPPQQLLDNPAFQFLKS >ORUFI03G43600.4 pep chromosome:OR_W1943:3:34367033:34372299:-1 gene:ORUFI03G43600 transcript:ORUFI03G43600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVEEINENDLVVNCTSGKKVTINVGSAYPKDTESPRGGVEDMTRLAYLHEPGVLQNLKSRYALNEIYTYTGNILIAVNPFQRLPHLYNNHMMGIYKGAEFGELGPHPFAIADRSYRLMINNRISQAILVSGESGAGKTESTKMLMQYLAFMGGKAQAEGRSVQQQILESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDDNGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPSEDCKKYKLGEAKTFHYLNQSNCIELDGLDDSKEYTDTRRAMSIVGISSDEQDAIFRVVAAILHLGNVEFAEGSEADSSMPKDEKSQFHLRTAAELFMCDEKGLEESLCKRVMATRGESITKNLDPRAAALSRDALSRIVYSRLFDWLVNKINSSIGQDPDSKILIGVLDIYGFESFKTNRLFNHFNFEFSNQHIFH >ORUFI03G43610.1 pep chromosome:OR_W1943:3:34376911:34383637:1 gene:ORUFI03G43610 transcript:ORUFI03G43610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNWEADKMLDVYIYDYLVKRNMHNTAKAFMTEGKVATDPVAIDAPGGFLFEWWSIFWDIFDARTRDKPPQPQPQPPPPIPIDIKSREQQMRLQLLQQQQNAHQQRRDPPLNAAMDALNSDVSAVLASKMMQDRMRNPNPTDSDASHQLLDANRIALLKPATNQTGQLVQGASVNMSALQQIHSRNQQPDMKGDAAMSQRSMPTDPSTLYGSGMMQPKSGLVSTGLNQGVGSVPLKGWPLTVPGIDQLRSNLGVQKQLMASPNQFQLLSPQQQLIAQAQSQNDLARMGSPAPSGSPKVRPDESDYMMKLKMAQMQQPSGHRLMELQQQQQQLQQNTRKRKPTSSGAANSTGTGNTVGPSPPSTPSTHTPGGGLPVASNMNIVQKSSLICGADGTSGLASSSNQMDNLDSFVDFDENVDSFLSNDDGDGRDIFASLKKGSSEQDSLKGLSLSEFGNNRTSNNKVVCCHFSTDGKLLASAGHEKKVFLWNMDNLNMDTKIEEHTNFITDIRFKPNSTQLATSSSDGTVRLWNAIERTGAVQTFHGHSSHIFTRNLQRFYALVTTMEKFVSGKLVKVKFHMKQGGTGRVRFQPQIGQLLAVATGSIVNIVDVEKEASLHSLPKVHTNEVNCICWDEKGERVASVSQDTVKVWSVASGACIHELRSHGNQYQSCIFHPRYPNVLIVGGYQTMELWSLSDNHRNTVQAHEGLIAALAHSQFTGMIASASHDRSVKLWK >ORUFI03G43610.2 pep chromosome:OR_W1943:3:34376911:34383637:1 gene:ORUFI03G43610 transcript:ORUFI03G43610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNWEADKMLDVYIYDYLVKRNMHNTAKAFMTEGKVATDPVAIDAPGGFLFEWWSIFWDIFDARTRDKPPQPQPQPPPPIPIDIKSREQQMRLQLLQQQQNAHQQRRDPPLNAAMDALNSDVSAVLASKMMQDRMRNPNPTDSDASHQLLDANRIALLKPATNQTGQLVQGASVNMSALQQIHSRNQQPVIPFHLLKLHWFMYRLSLLTLCPTIFKDMKGDAAMSQRSMPTDPSTLYGSGMMQPKSGLVSTGLNQGVGSVPLKGWPLTVPGIDQLRSNLGVQKQLMASPNQFQLLSPQQQLIAQAQSQNDLARMGSPAPSGSPKVRPDESDYMMKLKMAQMQQPSGHRLMELQQQQQQLQQNTRKRKPTSSGAANSTGTGNTVGPSPPSTPSTHTPGGGLPVASNMNIVQKSSLICGADGTSGLASSSNQMDNLDSFVDFDENVDSFLSNDDGDGRDIFASLKKGSSEQDSLKGLSLSEFGNNRTSNNKVVCCHFSTDGKLLASAGHEKKVFLWNMDNLNMDTKIEEHTNFITDIRFKPNSTQLATSSSDGTVRLWNAIERTGAVQTFHGHSSHIFTRNLQRFYALVTTMEKFVSGKLVKVKFHMKQGGTGRVRFQPQIGQLLAVATGSIVNIVDVEKEASLHSLPKVHTNEVNCICWDEKGERVASVSQDTVKVWSVASGACIHELRSHGNQYQSCIFHPRYPNVLIVGGYQTMELWSLSDNHRNTVQAHEGLIAALAHSQFTGMIASASHDRSVKLWK >ORUFI03G43610.3 pep chromosome:OR_W1943:3:34376911:34383637:1 gene:ORUFI03G43610 transcript:ORUFI03G43610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNWEADKMLDVYIYDYLVKRNMHNTAKAFMTEGKVATDPVAIDAPGGFLFEWWSIFWDIFDARTRDKPPQPQPQPPPPIPIDIKSREQQMRLQLLQQQQNAHQQRRDPPLNAAMDALNSDVSAVLASKMMQDRMRNPNPTDSDASHQLLDANRIALLKPATNQTGQLVQGASVNMSALQQIHSRNQQPDMKGDAAMSQRSMPTDPSTLYGSGMMQPKSGLVSTGLNQGVGSVPLKGWPLTVPGIDQLRSNLGVQKQLMASPNQFQLLSPQQQLIAQAQSQNDLARMGSPAPSGSPKVRPDESDYMMKLKMAQMQQPSGHRLMELQQQQQQLQQNTRKRKPTSSGAANSTGTGNTVGPSPPSTPSTHTPGGGLPVASNMNIVQKSSLICGADGTSGLASSSNQMDNLDSFVDFDENVDSFLSNDDGDGRDIFASLKKGSSEQDSLKGLSLSEFGNNRTSNNKVVCCHFSTDGKLLASAGHEKKVFLWNMDNLNMDTKIEEHTNFITDIRFKPNSTQLATSSSDGTVRLWNAIEIFTRNLQRFYALVTTMEKFVSGKLVKVKFHMKQGGTGRVRFQPQIGQLLAVATGSIVNIVDVEKEASLHSLPKVHTNEVNCICWDEKGERVASVSQDTVKVWSVASGACIHELRSHGNQYQSCIFHPRYPNVLIVGGYQTMELWSLSDNHRNTVQAHEGLIAALAHSQFTGMIASASHDRSVKLWK >ORUFI03G43610.4 pep chromosome:OR_W1943:3:34376911:34383637:1 gene:ORUFI03G43610 transcript:ORUFI03G43610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNWEADKMLDVYIYDYLVKRNMHNTAKAFMTEGKVATDPVAIDAPGGFLFEWWSIFWDIFDARTRDKPPQPQPQPPPPIPIDIKSREQQMRLQLLQQQQNAHQQRRDPPLNAAMDALNSDVSAVLASKMMQDRMRNPNPTDSDASHQLLDANRIALLKPATNQTGQLVQGASVNMSALQQIHSRNQQPVIPFHLLKLHWFMYRLSLLTLCPTIFKDMKGDAAMSQRSMPTDPSTLYGSGMMQPKSGLVSTGLNQGVGSVPLKGWPLTVPGIDQLRSNLGVQKQLMASPNQFQLLSPQQQLIAQAQSQNDLARMGSPAPSGSPKVRPDESDYMMKLKMAQMQQPSGHRLMELQQQQQQLQQNTRKRKPTSSGAANSTGTGNTVGPSPPSTPSTHTPGGGLPVASNMNIVQKSSLICGADGTSGLASSSNQMDNLDSFVDFDENVDSFLSNDDGDGRDIFASLKKGSSEQDSLKGLSLSEFGNNRTSNNKVVCCHFSTDGKLLASAGHEKKVFLWNMDNLNMDTKIEEHTNFITDIRFKPNSTQLATSSSDGTVRLWNAIEIFTRNLQRFYALVTTMEKFVSGKLVKVKFHMKQGGTGRVRFQPQIGQLLAVATGSIVNIVDVEKEASLHSLPKVHTNEVNCICWDEKGERVASVSQDTVKVWSVASGACIHELRSHGNQYQSCIFHPRYPNVLIVGGYQTMELWSLSDNHRNTVQAHEGLIAALAHSQFTGMIASASHDRSVKLWK >ORUFI03G43620.1 pep chromosome:OR_W1943:3:34383387:34383698:-1 gene:ORUFI03G43620 transcript:ORUFI03G43620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMVRQRQQKQPVMVVVMVCWALLATAARGNCRDECLAGCQGWAIICHLSCNSACLGEVGISAMSTATPQSITDQDQQHPSQQQQQAQQSVSVLKGLDPDKI >ORUFI03G43630.1 pep chromosome:OR_W1943:3:34384439:34395500:1 gene:ORUFI03G43630 transcript:ORUFI03G43630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPETRLDSAVFQLTPTRTRCDLVVIANGRKEKIASGLLNPFVAHLKVAQQQIAKGGHSITLEVDPEIDAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQIEDAITGQGGDNLGLRSVEDYNEKLAECIGGSKTNYDLDGDKSLILYKPGIQPPPPVQNDNATQEENSKVQLLRVLETRKIVLRKEQAMAFARAVAAGFNIDNLGFLITFADRFCASRLMKACTQFTELWRRKHETGQWIEVEPEAMSARSEFPPFNASGIMFMGDNMKQNLETLSISNGDANGEDAAKADQRTAQHSGAPSEYLHGPYQSAYPPWAIHPPYPMQGMPYYPGVNPYYPPPYPPMDDPRYHHSERRVSRKHSSDSKDSETLDDESGQSGSEIESSHGHKLHKKGKRSGKKKPSVVVIRNINVTSKKHGSSESESQTSSDVASEDSDDSHTEYSKRKNKRSSSKKKESRKIILEPGDEYSRDEVAHRQDGDQGNWNVFQSFLLRTEEKTKDNDADLFATERGPPPARRKESRTTDDPLLLVERDSTDFNEGKTIGFNSAHGRIRSRKMLSGDELVISAEGRSFVDGDIKEIEAGGGGYRRGASEDFIVYGQEKPMDSGSYLDPLAEGQYKSPTLMEKNMHSVADESFMIPVRSNSQDNLGPESCTAIDIDVELPGTVKKTTDAKAGDQLFYEPDELMPEREYEDVTYGYDPAMDYDSQMQIQPAIMVEDANADDVSLGVEGEVKKLEKDKKLRLQECLDKKKDASARRLPSSKTRLTDAQKRAQNLRAYKADLQKAKKEQEEEQIKRLERLKQERQKRIAARSSTSNSISTPQQVKVKPSPKTSPSTYKSSKFSDAEPGSFSPLRKLPARTTAESDHQKTGKASKLSDSSTNAVSKSTSSLAAMKKEKNGRNELSSERLKKLAEPKSNALTDRPSNSKSASMDHSRRKSMPEDTQTKKISAIMQLDQRKSATLPELKVKSPRAPSISVKNKTIAREIRDGDPGGKSPPTLEVTDGKKADVEVSRISNSDDNVVVEKTVVILENEVVSTPPLILPPGRTSENETSSNDRTQKPSMELEYTAIRAPPSPAVLPEAENPTIHRHNDQGNYEVMTEHLKDETEELTLSAVEKPYQAPFARVTSLENDSATIHAYPHALPVESETPVHAESIRARVLDPVSTVSVEETPEANEKPRNKESKGFRKLLKFGRKSHTSGTMDSDASSVDGALAGDVHDESETYC >ORUFI03G43640.1 pep chromosome:OR_W1943:3:34397618:34398460:1 gene:ORUFI03G43640 transcript:ORUFI03G43640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGSGSNPKDYQDPPPAPLVDTGELGKWSLYRAAIAEFTATLLLVCISVSTVIGEKRQSGEGGAGVLGIAWAFGGLIFVLVYCTAGISGGHMNPAVTFAMVLARRVSLPRAALYTMAQCVGAVCGAGLARAMHGGGQYARHGGGANELAAGYSAGAGVVAEMVGTFVLVYTVFSATDPKRKARDSHVPVLAPLPIGLAVLVVHLATIPITGTGINPARSLGPALVLGLGTTKAWSHLWIFWVGPFAGAAAAMIYHHYILRGAAAKAFASSSYRSPHF >ORUFI03G43650.1 pep chromosome:OR_W1943:3:34403133:34404544:-1 gene:ORUFI03G43650 transcript:ORUFI03G43650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQVKLNDDKEEGGKEKNSKQVEEVAPAAEKKEEAAAAADAGSKEEEQVPPPPAPVILGVELHCTGCARRMRRCILRSKGVQGVEVDMGGNQLTVTGIVDPQALCARLRHKTLRNATVISPPPPPTSTEDQDQHQPSPRPPLVHSQVSDVTTVELLVNMHCEACAQQLHKKILKMRGVQTADTNLSTGKLTVTGTVSGDKLAEYIHRRTGKLATVVVPPPPKPKEEEEEKTNDDKPPPPPAAEADKKDEENKPAAEDGSSKLQNEAEGNANKEAAAAAEEEAPEEEKAAAIANNKQQQEDGSVVVEGFPPEEMMKRMHLYWPPYGHGYTSYYHHHHHHQGGQAHPCANLHSWVPPPPPPPPPPVYYSSYVMLDRPPPPPPPPPPPQLFSDENPNACVIS >ORUFI03G43660.1 pep chromosome:OR_W1943:3:34414083:34418747:-1 gene:ORUFI03G43660 transcript:ORUFI03G43660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAVHRFLGVFPAPSTPTPPPRQLSPRPHHIPPSLVRCCSSSPVCAATVAVAQPQEFVVVTFYKFVSIDDPRAEVSRHLHFLQGRDIHGRIYMNEQGINAQYSGPHKDAVAYADWLRKDHRFRDLLVQTSPSLCGHAFPRLKLRYKPSLVQLEGGCSHLPLVDPSMRATPLTPSEWRERLEARKCLDVSSSEAAGDSSGRRLLLLDVRNDYEWDIGHFQGAQRPNVDCFRSTSFGLSESEQEMDSSDPLNGIDKENTDILMYCTGGIRCDVYSTILRKKGFRNLYTLKGGVSNYLKEEGSAGWVGNLFVFDGRLSLPPATYKPGAGDDDDDEEEEGRNRSSSELGRCYACGSEVVELRHRNCANIDCNRLYLCCGRCMEELRGCCGEGCTAAPRLRPLLPSHQRYHKWHLYRHLDLGAPSSPS >ORUFI03G43670.1 pep chromosome:OR_W1943:3:34418902:34425953:1 gene:ORUFI03G43670 transcript:ORUFI03G43670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMNPYRSIDMCLRLRRSASSWAAAVADHARSGRHDAALTVFRRVLAVHPTTAAADELACSALLRCCDARLAYQIHAQACRRGLVASNPVLACSLLAFYAAAASSPTAAIPPACNLFDEMAHKDAVSYTAMISALVRAGAAHWRQALALYPCMLQAGAPPTQHTFAQLLSACASGRLHHQGTQLHAQLLRWGAGLNLVLKTALLHMYCNCGNMGYAHTVLHSTPQTDVVLWTAIITGYARSGDLQSALQMFRSMTRAAVLPNAFTYAALISACSSFRALQPGRQIHARLFKFGLEHDTSVCNALVDLYSKSSSRLLDLLHTFHAVDKPNVVSWTALIAGLACHGRDEEAFLAFSQMRLSGVLPNSFTVSTLLKGSSSSLAFLHARKIHGYILKTSFESLDAAVGNSLVDVYVRFARMDDAWAVATTMAFIRDRFTYTSLARGLNQMGLQQRTLEMFVRMFHEDVDIDGFSIASFLSSAASLASIETGKQLHSCSVKLGLSSDISVSNSLIDMYSKCKCMGDARSVFQSISEPKVVSWNALMSALVSNEYYNEALSAFEDMTLVGAKPDGITFSLMLFACNRSGLVDIGIKYFNSMGNLFGVLPQRSHYTLFLDMLGRSGRLTEAASTIDVIPIQPDLVNVQGSIGILKSL >ORUFI03G43670.2 pep chromosome:OR_W1943:3:34418902:34425953:1 gene:ORUFI03G43670 transcript:ORUFI03G43670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMNPYRSIDMCLRLRRSASSWAAAVADHARSGRHDAALTVFRRVLAVHPTTAAADELACSALLRCCDARLAYQIHAQACRRGLVASNPVLACSLLAFYAAAASSPTAAIPPACNLFDEMAHKDAVSYTAMISALVRAGAAHWRQALALYPCMLQAGAPPTQHTFAQLLSACASGRLHHQGTQLHAQLLRWGAGLNLVLKTALLHMYCNCGNMGYAHTVLHSTPQTDVVLWTAIITGYARSGDLQSALQMFRSMTRAAVLPNAFTYAALISACSSFRALQPGRQIHARLFKFGLEHDTSVCNALVDLYSKSSSRLLDLLHTFHAVDKPNVVSWTALIAGLACHGRDEEAFLAFSQMRLSGVLPNSFTVSTLLKGSSSSLAFLHARKIHGYILKTSFESLDAAVGNSLVDVYVRFARMDDAWAVATTMAFIRDRFTYTSLARGLNQMGLQQRTLEMFVRMFHEDVDIDGFSIASFLSSAASLASIETGKQLHSCSVKLGLSSDISVSNSLIDMYSKCKCMGDARSVFQSISEPKVVSWNALMSALVSNEYYNEALSAFEDMTLVGAKPDGITFSLMLFACNRSGLVDIGIKYFNSMGNLFGVLPQRSHYTLFLDMLGRSGRLTEAASTIDVIPIQPDLVNVQGSIGILKSL >ORUFI03G43670.3 pep chromosome:OR_W1943:3:34418902:34425953:1 gene:ORUFI03G43670 transcript:ORUFI03G43670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMNPYRSIDMCLRLRRSASSWAAAVADHARSGRHDAALTVFRRVLAVHPTTAAADELACSALLRCCDARLAYQIHAQACRRGLVASNPVLACSLLAFYAAAASSPTAAIPPACNLFDEMAHKDAVSYTAMISALVRAGAAHWRQALALYPCMLQAGAPPTQHTFAQLLSACASGRLHHQGTQLHAQLLRWGAGLNLVLKTALLHMYCNCGNMGYAHTVLHSTPQTDVVLWTAIITGYARSGDLQSALQMFRSMTRAAVLPNAFTYAALISACSSFRALQPGRQIHARLFKFGLEHDTSVCNALVDLYSKSSSRLLDLLHTFHAVDKPNVVSWTALIAGLACHGRDEEAFLAFSQMRLSGVLPNSFTVSTLLKGSSSSLAFLHARKIHGYILKTSFESLDAAVGNSLVDVYVRFARMDDAWAVATTMAFIRDRFTYTSLARGLNQMGLQQRTLEMFVRMFHEDVDIDGFSIASFLSSAASLASIETGKQLHSCSVKLGLSSDISVSNSLIDMYSKCKCMGDARSVFQSISEPKVVSWNALMSALVSNEYYNEALSAFEDMTLVGAKPDGITFSLMLFACNRSGLVDIGIKYFNSMGNLFGVLPQRSHYTLFLDMLGRSGRLTEAASTIDVIPIQPDLVNVQGSIGILKSL >ORUFI03G43670.4 pep chromosome:OR_W1943:3:34423901:34426241:1 gene:ORUFI03G43670 transcript:ORUFI03G43670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYLTKMPHYCESGFHSLRNLIDGKFEILSHCIHQSPEVVRSNQVFKTFAVLLVEGGVELLDHIG >ORUFI03G43670.5 pep chromosome:OR_W1943:3:34421883:34426799:1 gene:ORUFI03G43670 transcript:ORUFI03G43670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMNPYRSIDMCLRLRRSASSWAAAVADHARSGRHDAALTVFRRVLAVHPTTAAADELACSALLRCCDARLAYQIHAQACRRGLVASNPVLACSLLAFYAAAASSPTAAIPPACNLFDEMAHKDAVSYTAMISALVRAGAAHWRQALALYPCMLQAGAPPTQHTFAQLLSACASGRLHHQGTQLHAQLLRWGAGLNLVLKTALLHMYCNCGNMGYAHTVLHSTPQTDVVLWTAIITGYARSGDLQSALQMFRSMTRAAVLPNAFTYAALISACSSFRALQPGRQIHARLFKFGLEHDTSVCNALVDLYSKSSSRLLDLLHTFHAVDKPNVVSWTALIAGLACHGRDEEAFLAFSQMRLSGVLPNSFTVSTLLKGSSSSLAFLHARKIHGYILKTSFESLDAAVGNSLVDVYVRFARMDDAWAVATTMAFIRDRFTYTSLARGLNQMGLQQRTLEMFVRMFHEDVDIDGFSIASFLSSAASLASIETGKQLHSCSVKLGLSSDISVSNSLIDMYSKCKCMGDARSVFQSISEPKVVSWNALMSALVSNEYYNEALSAFEDMTLVGAKPDGITFSLMLFACNRSGLVDIGIKYFNSMGNLFGVLPQRSHYTLFLDMLGRSGRLTEAASTIDVIPIQPDLVNVQGSIGILKSLTEMDAWVR >ORUFI03G43680.1 pep chromosome:OR_W1943:3:34424237:34426466:-1 gene:ORUFI03G43680 transcript:ORUFI03G43680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMINSALTAASFQSPPTFIKLQVQLRPQKRRLQHQQQLLIVGNNGAITIGKQEFVLKPVQATLGPNSTGGRGGSPLPDVIQQFYSSLNEKDSKRLENLIAPDCIIDDNAYYKLLDIKSTQTYFRRLMDAMGKNFKFAIDEVSQGVEPTFAVMWHLEWNGKTIPFTKGCSFYICSRKEAALVIRKIHIFQESPVKPCKFSLEILNIATNLFDTFPNIAEGLLNNPEQAIQPFVRLYKSFVKPFIVPFLAYYTHFWTYLAKVLTMMLHLLYRIIKCIYEEPNR >ORUFI03G43680.2 pep chromosome:OR_W1943:3:34424237:34426466:-1 gene:ORUFI03G43680 transcript:ORUFI03G43680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMINSALTAASFQSPPTFIKLQVQLRPQKRRLQHQQQLLIVGNNGAITIGKQEFVLKPVQATLGPNSTGGRGGSPLPDVIQQFYSSLNEKDSKRLENLIAPDCIIDDNAYYKLLDIKSTQTYFRRLMDAMGKNFKFAIDEVSQGVEPTFAVMWHLEWNGKTIPFTKGCSFYICSRKEAALVIRKIHIFQESPVKPCKFSLEILNIATNLFDTFPNIAEAKKQSKNVSAHAGLLNNPEQAIQPFVRLYKSFVKPFIVPFLAYYTHFWTYLAKVLTMMLHLLYRIIKCIYEEPNR >ORUFI03G43690.1 pep chromosome:OR_W1943:3:34427129:34428328:-1 gene:ORUFI03G43690 transcript:ORUFI03G43690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLCFLFLLLQLLLFPRVAAIVLAATPVLLLAAFLLALVLVYSEPNNNNEPDHLIPIRIRSRNPHAHDHASSCTASGSSDDDDDAQDSDSESVSEPADDEKAAVWTAEDEKSIQNIGSLELERNAAVEKLMSSRSMHRYYAADRDLIDLDLDGDGHQLPPGSAPSMHRNPFFFHDDQQAAASTAKLFSRHESFRPYFVADKTQQPVVLESSGGGGSSSSSSSSSSASGDRAGQHMKQEAVADFSSSSKAMVVTVDAELPNPKSMVTVDVELISDSSDDDDDDIMSLPGQQITKVASSMSDDDDGESSFEVESITRQVNETLHAHAAAAAAAAAAAREGREEKEEKNKLASIEEDERRERDVFPSPSPFLRQTLPPLMATAVVLLLLPPPPPLPKQS >ORUFI03G43700.1 pep chromosome:OR_W1943:3:34431496:34438467:1 gene:ORUFI03G43700 transcript:ORUFI03G43700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASLVSSPPLACCLQLHRLLRPAALLPSPPRLRLRLRPLRADSSPSPDAFAGWSDGDEQDEQDKSPGPFRGLLGPGLAGLFFLAGLTFAAISFRSNAANGPKAQIDTSSTNSQEAPYSTDNTCKEDGLKDAQVSLPSDSKGETSLDDEAHSSTDPLPVQVNATAEGSTEHETQHPLQNSELVPPDKYVPSEDAGQAHNLVASDGAESLVSPLSNSTEPSIAAYDSPDKLYGVGPSEGTPYVEDTLNCEITLPENQHLGETLTSDTMLGSGDASLIQEISDTAASSDAKDKDTEQNPELHRKNGISPSRMPDYTEYGHADQLLSFGSNDVSTEANKPGNGVETLASNQNEGADELENQNSLYESTTPDKSFASSGIPAPTLLSAALRVRTGQIMVPAAVDPAQASALAALQVLKVIEPDAQAGDLCTRREYARWLVVASNCLSRNTSSKVYPAMYIENVTELAFDDITPEDFDFPFIQGLAEAGLISSKLSRSDMNVPLDVDNLHNLFSPECPVSRQDLVSWKMALDKRQLPEVDKTVRNEKILILLSWYKRPAGCSDVKTFCGQSMYKASGYMDVDKINAAAWPALVADLDAGDQSITALAFGFTRLFQPDKPVTKGQVALALSTGDSADVVMEELARIEAEKIAEDAVNAHGELVAQVEKDLNATFERELTKEREKIETLEKLAEEARVELDKLRAERVEENNALIRGRASVESEMEVLSKLRSEVEEQLQSVLSKKVEISFEKNRIEKLQTEIENDRQAVVQLQYELEVERKALSMARAWAEDEAKKAREHARALEEARNQWERHGIKVVVEGGLEDDASAGVTWANAGKEHQVDEAINRAGSLLEKLKSMSAEIKVRSCHSLERVIQHVRSFISILKQGAEEATQRFTDLGAAAALKAKKLSSEAQDNVYVFGSTIGDKSKRVVEDCKEGLEKFVHRFKTD >ORUFI03G43710.1 pep chromosome:OR_W1943:3:34439781:34444083:-1 gene:ORUFI03G43710 transcript:ORUFI03G43710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQIQQPSLPSPAAARAPSRRPPQQLGHVVATRPPSPPAGLSPEGPDLAGATTPAAEGGERGWRRWVVGRRHPGRLGTGATRTGISKTYVSSVVAKTLALQELKGNIRVFCRVRPLLPNESGDVAYPKSGENLGRGIELTHNGQMYFFTFDKVFEQSTSQEDVCIFAYGQTGSGKTYTMMGNPELHDQKGLIPRSLEQIFQTSQALISQGWKYKMQASMLEIYNEAICDLLATNHTTIQDGGASKYSIKHDANGNTHVSDLIIVDVLSINEVSSLLKRAAQSRSVGRTQMNEESSRSHCVFTLRIFGVNEGTNQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSCLSDVIFSIAKKEEHVPFRNSKLTYLLQPCLGGGSKTLVFVNLSPEVSSTGESICSLRFAARVNSCEIGIPRRQTQVRSLAQG >ORUFI03G43710.2 pep chromosome:OR_W1943:3:34439781:34444083:-1 gene:ORUFI03G43710 transcript:ORUFI03G43710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNPELHDQKGLIPRSLEQIFQTSQALISQGWKYKMQASMLEIYNEAICDLLATNHTTIQDGGASKYSIKHDANGNTHVSDLIIVDVLSINEVSSLLKRAAQSRSVGRTQMNEESSRSHCVFTLRIFGVNEGTNQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSCLSDVIFSIAKKEEHVPFRNSKLTYLLQPCLGGGSKTLVFVNLSPEVSSTGESICSLRFAARVNSCEIGIPRRQTQVRSLAQG >ORUFI04G00010.1 pep chromosome:OR_W1943:4:5581:10290:1 gene:ORUFI04G00010 transcript:ORUFI04G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLRWLIMAFLVCLLLLTPKDLEGLQLVGAIRNHLFWSTSSPLHHLPDLLEEESVQVNEMELWGDDDGRRRMMGEEVRRQAGAGRMSEVKMGGDRPLVAVMKKEKHGAKKKKDDDSSGMVVVGLSAACVALVTLVGICFCACRDSESSSSPYDLRDEKPLLSLNLSDGPSRKSCATTIDVSRLGALTAECEQHLHGGAGAGDHNTTNYNLRKPAGVGSMSMNKVSMQSQAMRMSSHEITTIAGAGRVENKVSTIAPSAAAAAVASAGGGQVPAAPPPPAGPPPPAPPPLPPSHHHHHGHHPPPPHPLPPGAGAGAGTGAPPPPPAHPAAPAPPPPAPSPSAAGAGSGPLPPPPPAAPAAPRPPGPGPGPPPPPGSAGRGGGGPPPPALPGGPRARGPPPFKKSPGAAAAAAQADPNKAKLKPFFWDKVTANPNQAMVWDQIKAGSFQFNEEMIESLFGAQSTEKKSTDAKKESGKEATQFVRILDPKKAQNLAISLKALSVSAEQVRAAVMEGHDLPPDLIQTLVRWSPTSDEELRLRLYAGEPAQLGPAEQFMRAIIDVPYLYQRLDALLFMAALPEEAAAVEQSFATLEVACEELRGSRLFKKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLADVKGVDGKTTLLHFVVQEIIRSEGVRAARAASGGGGGSSISSISSSDDLILLQSQSSIGSNSGRSSVDASSLEQEQDETERYRQLGLGVVSSLGDDLQNVRKAASFDADALTITVASLGHRLVKANEFLSTGMRSLEEDSGFQRRLASFVQQSQEQVTRLLEDEKRLRSLVRATVDYFHGSTGKDEGLRLFVVVRDFLGILDKVCREVKEQAAANAKAKKQQQPTPAPRSRQSSQSSFRDPRQQIQDRRAAALSRNNSSSSSSDSDD >ORUFI04G00020.1 pep chromosome:OR_W1943:4:28151:28531:1 gene:ORUFI04G00020 transcript:ORUFI04G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQASSGGGGGHLSLAPPPDRSAAGPREGEQSGGALEDAIDATIRVVATDHRHRRAPLHHARRPPAAVPQAAYAALSPLDAMFCLLASLPCAPNSFMLNTTLHTLASSPDPASALGFFSLLRCNG >ORUFI04G00030.1 pep chromosome:OR_W1943:4:36114:45072:1 gene:ORUFI04G00030 transcript:ORUFI04G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSNTNTNTRSGGGKDKHDETSPSFKEGERVLAYHGPLLYEAKVQKSENKEDEWRYHVHYLGLVSIIALMLFSCLSALLIQYSWDEWVTNDRLLKLTDENIRKQQELEKSQAVDKSVKSGRSAQHKPKGSNADAKTDKEDTKIIVKGKKRKNQPGGTEEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYTEEVKGDVSPSIIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQKNQSSFFLSAYDGGSKEGFLKYGTLIAFASVSSRMCPPPRPPPQATFRTLPHCKPWSLA >ORUFI04G00030.2 pep chromosome:OR_W1943:4:36114:45072:1 gene:ORUFI04G00030 transcript:ORUFI04G00030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSNTNTNTRSGGGKDKHDETSPSFKEGERVLAYHGPLLYEAKVQKSENKEDEWRYHVHYLGLVSIIALMLFSCLSALLIQVNGLLIMFIFNEYSVNPVEGLVSIIALMLFSCLSALLIQVNSLLIVFIFNEYSWDEWVTNDRLLKLTDENIRKQQELEKSQAVDKSVKSGRSAQHKPKGSNADAKTDKEDTKIIVKGKKRKNQPGGTEEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYTEEVKGDVSPSIIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQKNQSSFFLSAYDGGSKEGFLKYGTLIAFASVSSRMCPPPRPPPQATFRTLPHCKPWSLA >ORUFI04G00030.3 pep chromosome:OR_W1943:4:36114:45072:1 gene:ORUFI04G00030 transcript:ORUFI04G00030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSNTNTNTRSGGGKDKHDETSPSFKEGERVLAYHGPLLYEAKVQKSENKEDEWRWDEWVTNDRLLKLTDENIRKQQELEKSQAVDKSVKSGRSAQHKPKGSNADAKTDKEDTKIIVKGKKRKNQPGGTEEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYTEEVKGDVSPSIIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQKNQSSFFLSAYDGGSKEGFLKYGTLIAFASVSSRMCPPPRPPPQATFRTLPHCKPWSLA >ORUFI04G00040.1 pep chromosome:OR_W1943:4:47155:48803:-1 gene:ORUFI04G00040 transcript:ORUFI04G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMEVLVPLLGMGTTMGALALALVVVVVVHVAVNAFGRRRLPPSPASLPVIGHLHLLRPPVHRTFHELAARLGPLMHVRLGSTHCVVASSAEVAAELIRSHEAKISERPLTAVARQFAYESAGFAFAPYSPHWRFMKRLCMSELLGPRTVEQLRPVRRAGLVSLLRHVLSQPEAEAVDLTRELIRMSNTSIIRMAASTVPGSVTEEAQELVKVVAELVGAFNADDYIALCRGWDLQGLGRRAADVHKRFDALLEEMIRHKEEARMRKKTNTDVGSKDLLDILLDKAEDGAAEVKLTRDNIKAFIIDVVTAGSDTSAAMVEWMVAELMNHPEALRKVREEIEAVVGRDRIAGEGDLPRLPYLQAAYKETLRLRPAAPIAHRQSTEEIQIRGFRVPAQTAVFINVWAIGRDPAYWEEPLEFRPERFLAGGGGEGVEPRGQHFQFMPFGSGRRGCPGMGLALQSVPAVVAALLQCFDWQCMDNKLIDMEEADGLVCARKHRLLLHAHPRLHPFPPLL >ORUFI04G00050.1 pep chromosome:OR_W1943:4:65445:69870:1 gene:ORUFI04G00050 transcript:ORUFI04G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNRIWFGGLFTSSGRRRQINAEKTFELSPVQEQRLQKLKERLNIPYDQTRRDHQEALRALWSASFPDAELSSLISEQWKDMGWQGPNPSTDFRGCGFVGLENLLFFATTYPASYQRLLLKKQGMRATWEYPFAVAGVNVSYMLIQLLELNAERPKSLPGINFIKVLSEHEEAFDVLYCIAFEMMDAQWLAMRASYMQFKDVLEATKQQLERELSLEDVNGIRDIPAYNLLYK >ORUFI04G00060.1 pep chromosome:OR_W1943:4:65583:71946:-1 gene:ORUFI04G00060 transcript:ORUFI04G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRRRKKKGRIKREESLPERGGHIETASTYRTGYVVAKKRRFSSPTNPQPLKIKSTGYLQQLRYIHTYKRGDGDGGGRERGTGGEGREEDREDGELETSGAIAN >ORUFI04G00070.1 pep chromosome:OR_W1943:4:72059:75245:-1 gene:ORUFI04G00070 transcript:ORUFI04G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGWRRALCTSVRRDPEQQEVKRRGEAPSPRCGGGSRLGGFFSAISSSHSSSNPATTTPTLRCRTKPKPQSSSSDHQQQLPSSAPAPKKRMPLLQALSVPSSPRSPSRFALLKASILPNKSRCGVCSHGVKTGAAAVFTAECSHSFHFPCIAAHAHALAAATALSCPVCAAPWRQAPFINKRTTTTDDHKRKSYDDDEPLLAPKAAAGAFNPIPEDDEDDATEFRGFFPARPRSGLAVTLAPDAALVSAGRRHGKYVVAVRVKAPALRSSPSTRAPIDLVTVLDVSQGMMGDKLHMLKRGMRLVIASLGPADRLAIVAFSGAAKRLLPLRRMTRQGQRSARQIVDRLVVCAAAQGQEQPQAVCVGDALRKATKVLEDRRDRNPVATVMLLSDTQQQQQQQQQDAIRRPPAAPPATRFTHVEIPIGPGEEPARSALVAEEDDDQFSEHAFAKCLGGLVSVVMQEVQLELAFPTGEITAVYSCGHGQQAVALGGGGGGAAVSVSLGEMYAEEERELLVELRAPLSQSHPHSLSVRCSYREPASQETVRGAEQQLVVPALHGGSSSRRLHDLFVATRAVAESRRLAELNDYATAIHLLSSARAVVVQSAEQGLVGSLDTELSDMRWRRGQSAGRRRGGESEETPVGTPRARGGEPLTPTSAWRAAEQLAKVAIMRKSMNRVSDLHGFENARF >ORUFI04G00080.1 pep chromosome:OR_W1943:4:79104:79938:1 gene:ORUFI04G00080 transcript:ORUFI04G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLLIHGKKKNAQNLPICRNPLPFFLRARGGTVGHRCPSPPYVGGVSIVNLGSLQPPSASILEGRRRGPLVFPGLPLIPYPAWSWVLGLMD >ORUFI04G00090.1 pep chromosome:OR_W1943:4:127639:136823:1 gene:ORUFI04G00090 transcript:ORUFI04G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLLQHSHLHTVFAAATTTIGVGQFPRRRAKFPTSLVLLPRCTSSSSSSSSGAPMDANPPTTAMYPLHRCKTIYLVRHAQGVHNVEGEKDHSAYMSPQLFDAHLTPLGWNQVDCLREHVKKSGLAQKIELVITSPLLRTMQTAVGVFGGENSVDGIENDEDVLWEPNVREANSSVAARGMKFIDWLWTREEKEIAIVSHSGFLYHTLSMYSRECHPTIREEVGKHFANCELRSMVLVDTSMLGSDSPSYNYPGSIPAGLDLPSDAAP >ORUFI04G00090.2 pep chromosome:OR_W1943:4:127661:136823:1 gene:ORUFI04G00090 transcript:ORUFI04G00090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDANPPTTAMYPLHRCKTIYLVRHAQGVHNVEGEKDHSAYMSPQLFDAHLTPLGWNQVDCLREHVKKSGLAQKIELVITSPLLRTMQTAVGVFGGENSVDGIENDEDVLWEPNVREANSSVAARGMKFIDWLWTREEKEIAIVSHSGFLYHTLSMYSRECHPTIREEVGKHFANCELRSMVLVDTSMLGSDSPSYNYPGSIPAGLDLPSDAAP >ORUFI04G00100.1 pep chromosome:OR_W1943:4:133035:136498:-1 gene:ORUFI04G00100 transcript:ORUFI04G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSTWFRYAAHKFEYSISLSWKKYNVGQINSTQLTDAVWKNFFQGKLTYMHWNKGGEAMAPIESTTGGTLLVRKLVNLSPTQVFVGDVVLLKDPEKSDDLIVRRLAALEGYEMVSNDEKDEPFVLDKDQCWVLADNQSLKPKEARDSRLFGPVPMTDILGRVIYSLRTAVDHGPVENSRMAMNQDSPVLAVELDVEEMAKNNKV >ORUFI04G00110.1 pep chromosome:OR_W1943:4:138391:147206:-1 gene:ORUFI04G00110 transcript:ORUFI04G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPPRAMTPVEEVDLSAVRYQSPSLQAPHLTGFSLRAFVWLMESPLFGRLLTSVLKSQNNITRMLQDTVIPERPMYLPEYPPQEPEQGVLLLGDDRDPVDRVEEALHCLPPYDPSLRWPAGDKPPFLYWKIRDFAHAYRSGITTPSVVAEHIIAGVEEWSNKKPPMPMLVYFNADDLRKQAEASTKRFQQGNPISILDGIFIAIKDDIDCFPYPSKGATTFFDKIRSVEKDAVCVARLRKCGVLFIGKANMHELGLGVTGNNPNYGTARNPHSIDRYTGGSSSGPAALVSSGLCSAAIGTDGGGSVRIPSSLCGIIGLKTTYGRTDMTGALCDCGTVEVASPLAASVEDALLVYSAIAGSRPMDKLTLRPSPLCVPNLVSPDNNNILGSVKIGKYTEWFHDVSDRDISNTCEDALNLLCSSFGCQIEEIILPELEEMRTAHVVSIGTESFCDLNPHYRAGKRTEFTLDTRTSLALFGSFTSTDYVASQRIRRRIMYYHNEAFKKVDVIATPTTGITAPEIPQSSLKLGESNYVVSAYLMRFVIAGNLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEASLLRVASAIEELCLQKRKRPSAFHDILNA >ORUFI04G00110.2 pep chromosome:OR_W1943:4:138772:147206:-1 gene:ORUFI04G00110 transcript:ORUFI04G00110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPPRAMTPVEEVDLSAVRYQSPSLQAPHLTGFSLRAFVWLMESPLFGRLLTSVLKSQNNITRMLQDTVIPERPMYLPEYPPQEPEQGVLLLGDDRDPVDRVEEALHCLPPYDPSLRWPAGDKPPFLYWKIRDFAHAYRSGITTPSVVAEHIIAGVEEWSNKKPPMPMLVYFNADDLRKQAEASTKRFQQGNPISILDGIFIAIKDDIDCFPYPSKGATTFFDKIRSVEKDAVCVARLRKCGVLFIGKANMHELGLGVTGNNPNYGTARNPHSIDRYTGGSSSGPAALVSSGLCSAAIGTDGGGSVRIPSSLCGIIGLKTTYGRTDMTGALCDCGTVEVASPLAASVEDALLVYSAIAGSRPMDKLTLRPSPLCVPNLVSPDNNNILGSVKIGKYTEWFHDVSDRDISNTCEDALNLLCSSFGCQIEEIILPELEEMRTAHVVSIGTESFCDLNPHYRAGKRTEFTLDTRTSLALFGSFTSTDYVASQRIRRRIMYYHNEAFKKVDVIATPTTGITAPEIPQSSLKLGESNYVVSAYLMRFVIAGNLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEASLLRVASAIEVLCNYIINIKYRVWVSPAASLDWTVLSMNPDEYLNIFKTVHYIWPFHCKFTSNSCENLYIFL >ORUFI04G00120.1 pep chromosome:OR_W1943:4:145290:146019:1 gene:ORUFI04G00120 transcript:ORUFI04G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDDTVAVDGGDRRRLRRRHSLIGKEVFTWVKSNNRRLLHIGDVDRTSKYGNDTPICICILSFNFTSYICTSCSMWLAAGQDDGWLLLRNVEPISIPCCYILP >ORUFI04G00120.2 pep chromosome:OR_W1943:4:145290:146019:1 gene:ORUFI04G00120 transcript:ORUFI04G00120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDDTVAVDGGDRRRLRRRHSLIGKEVFTWVKSNNRRLLHIGDVDRTSKSYICTSCSMWLAAGQDDGWLLLRNVEPISIPCCYILP >ORUFI04G00130.1 pep chromosome:OR_W1943:4:154470:154679:1 gene:ORUFI04G00130 transcript:ORUFI04G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACCAGDEKEEWQGGSGDEEARAVDSIESRRASSGTSSGGSARMLAAAAQPPIHKLYASAATALSGIQ >ORUFI04G00140.1 pep chromosome:OR_W1943:4:166158:169470:1 gene:ORUFI04G00140 transcript:ORUFI04G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRPHSPAKQQHLLRHGHLGPFASSSPPSSPLRHSSSSSSPRSAAHHHHHLLAAAGHTSFRRPLPRFAAFFLLGSFLGLLHFLSHLPRPLGPIPNPNSHHRHRDPFPILQHPHPPSTPHSNHKLLIVVTPTRSRPSQAYYLTRMAHTLRLLHDSPLLWIVVQAGNPTPEAAAALRRTAVLHRYVGCCHNINASAPDFRPHQINAALDIVDNHRLDGVLYFADEEGVYSLHLFHHLRQIRRFATWPVPEISQHTNEVVLQGPVCKQGQVVGWHTTHDGNKLRRFHLAMSGFAFNSTMLWDPKLRSHLAWNSIRHPEMVKESLQGSAFVEQLVEDESQMEGIPADCSQIMNWHVPFGSESVVYPKGWRVATDLDVIIPLK >ORUFI04G00140.2 pep chromosome:OR_W1943:4:165924:169470:1 gene:ORUFI04G00140 transcript:ORUFI04G00140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRPHSPAKQQHLLRHGHLGPFASSSPPSSPLRHSSSSSSPRSAAHHHHHLLAAAGHTSFRRPLPRFAAFFLLGSFLGLLHFLSHLPRPLGPIPNPNSHHRHRDPFPILQHPHPPSTPHSNHKLLIVVTPTRSRPSQAYYLTRMAHTLRLLHDSPLLWIVVQAGNPTPEAAAALRRTAVLHRYVGCCHNINASAPDFRPHQINAALDIVDNHRLDGVLYFADEEGVYSLHLFHHLRQIRRFATWPVPEISQHTNEVVLQGPVCKQGQVVGWHTTHDGNKLRRFHLAMSGFAFNSTMLWDPKLRSHLAWNSIRHPEMVKESLQGSAFVEQLVEDESQMEGIPADCSQIMNWHVPFGSESVVYPKGWRVATDLDVIIPLK >ORUFI04G00150.1 pep chromosome:OR_W1943:4:170206:172805:-1 gene:ORUFI04G00150 transcript:ORUFI04G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVNTTEEEPMLAVVRFTAELAWADAGADVAEPEVTRLCVEAQQHILAARWLDMASLMLASADLLLQSTRLPDKDKDLECILAIICNLVTKARSQDEALQIAELICAKLTHQPQDKPALRLKVIGFVTMLCFHCMRPTQGSLFSLYNLLGSPYAKAFVYKKALDLAAAGKAADCIIPTFKNIDSFISDWGIGKVEQRDLFLAAARILKDQKGMNKEYFNFLNKYLATFDGSADDADAIGDAKEEAVAAIIEFVKSSDLYQCDLLNMPAVAQLEKDEKYQLVYELLKIFLTQRLDSYLEFQSANSALLKGYGLVHEDCITKMRLMSLLDLSSRCAGEIPYHAIIDALKINDDEVEYWIVKAISCKILDCKVDQLNQVIIVSRHTERIFGMPQWQSLRSKLGVWRGNIASAINTIQANKVTDDGSQGIQGLMIR >ORUFI04G00160.1 pep chromosome:OR_W1943:4:177279:179834:-1 gene:ORUFI04G00160 transcript:ORUFI04G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQALQDPVAEPHGAEPAAAGAPPAVVPGKEFTRTCKGLVVVLVGGYVLLQLLPSSLDYLAIIPAKTIPFVWTVFTAGYIEQVLPGAIGSSLGLLFCGKDIEPVWGRKEFLKFIILVNSICGVLAFCFAVALYYVTGKESFLVTPLSGFHGALAGFLVGLKQLLPNLELPMCFFWKIKAKWMPFFVLCFSTIMAFIVPDSINFLPTLLSGMYVSWIYLRYFQRNPLTGLKGDSSDDFSFPSLFPDAMRPVTDPVANLFDRMLCARSRPSELALPVSDPAKASRRRERGERVLEERLAADHAADTEAPALGHSTAED >ORUFI04G00170.1 pep chromosome:OR_W1943:4:177578:178743:1 gene:ORUFI04G00170 transcript:ORUFI04G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRFGKSCFRPTRKPARAPWKPESGVTLHNNQDQLSATAKQNARTPQMELTKMINFKNSLRPHTGSISFPQKRRPRELPIA >ORUFI04G00180.1 pep chromosome:OR_W1943:4:191350:199693:-1 gene:ORUFI04G00180 transcript:ORUFI04G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLYIFLGLLLFSLQAPPCPAATDTLKAGQVLSAGDKLVSRNGKFALGFFNPSANISKSSDNISSSWYIGIWFNKIPVFTVVWVANRERSIAEPDFKLTQLKISQDGNLAIVNHANESIIWSTRIVNRTEASMNTSVLLHDSGNLVIQSTSNAVLWQSFDYPTDVALPNAKIGWNKVTGLNRVGVSKKSLIDMGTGSYSVQLYTNGTRRVTLEHRNPSIEYWYWSPDESGMKIPALKQLLYMNPQTRGLVTPAYVNSSEEEYYSYNSSDESSSTFLLLDINGQIKFNVWSQDKHSWQSLYTQPVDPCRSYDTCGPFTICNGNSQPFCDCMENFTRKSPRDWDLGDRTGGCSRNSPLDCTRNTSSTDIFHPLIHVTLPRNPQTIQEATTQSECAQACLSSCSCTAYSYQNTSTCSIWHDELFSVNQDDGIEIHSQDVLYLRLAAKDLQSLRNNKRKPNVAVVIAASVIGFVLLMVGMFLLIWRNRFEWCGAPLHDGEDSSGIKAFRYNDLVHATKNFSEKLGAGGFGSVFKGMLIDLTTIAVKRLDGDRQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGRKRLLVYEHMLNGSLDAHLFQSNAGTLNWSIRYHIALGVARGLGYLHQSCHACIIHCDIKPQNILLDASFTPKIADFGMAAFVGRDFSRILTTFRGTVGYLAPEWISGVAVTPKVDVYSFGMVLLEIISGRRNSPNEYTSDNYHVSYFPVQAINKLHEGDVRNLVDPQLCDDFSLEEAERVCKVACWCIQDDEHDRPTMSEVVRVLEGMQELEMPPMPRLLAALTKCSDRKLPAILVSMRPQSYAFLGLVLLLFSLLPLAPCSAANLNNDTLLAGQALAVGDKLISNNGKFTLGFFQPDAGTSKSSDTSTNSPGWYLGIWFNKIPVFTTVWVANRERPLTIPELNLTQLKFSSDGNLVIFNHATESIIWSTRVIIDSHRTQETRSTNTSVVLLNTGNLVIESTTNVVLWESFDSPTDVVLPGAKFGWNKITGLNRQCISKKSLIDPGLGSYSVELDTNGTKGVILMLRNPPKVYWYGLTSPTLIPELRSLLAMDPRTRGLIIPTYVDNSQEEYYMYTLSNESSSSFLSLDMSGQIMLNVWSEANQSWQIIYAQPADPCNPFATCGPFTICNGNSNPVCECMESFTRKSSQDWDLGDRTGGCSRNTPLDCTISGNRTSSADMFHPIAHVKLPYDSESIQDATTQSKCAQACLSSCSCTAYSYQNNICSVWHGDLFSVNQNDGIENHFDDVLYLRLAAKDLQSLSKNKRKPIVGVVTTISIISLVLLIMLMVLVMVWRNRFKWCGVPLHRSQGGSGIIAFRYSDLDHATKNFSEKLGEGGFGSVFKGVLRDLTVVAVKRLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCQGDKRLLVYEHMLNGSLDTHLFQSNATILTWSTRYQIAIGVARGLSYLHQSCHECIIHCDIKPQNILLDESFTPKIADFGMAVFVGRDFSRVLTTFRGTVGYLAPEWISGVAITPKVDVYSYGMVLLEIISGMRSLPNVHSSNSHHAAYFPVQAISKLHEGDVQSLVDPRLSGDFNLEEAERVCKVACWCIQDNEFDRPTMGEVVLVLEGLQEFDMPPMPRLLAAITRSSNVAEM >ORUFI04G00190.1 pep chromosome:OR_W1943:4:201014:205527:-1 gene:ORUFI04G00190 transcript:ORUFI04G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANRSNKFYSLSPNQAQALGQSWVGRVYLREVDRSVRHRRPKTIFTGGEEDRRYHLDCEIRPFSQARPWPASENHPRKIKKNHGAPPARAPLHPSSSALSSSSSSPSSSLSARRRRGRGDEAAGRGGEEGSRRRTDLEPSSSLVVAGSGGMRRRADVVVEGSEASRLSSSSRREAAGGEEGCGGARTSLWREPAARTWKRREPAARGSGAEGAVGGVTVVVVVEEGGRRRRGGRRRRADVGEEGAGRFF >ORUFI04G00200.1 pep chromosome:OR_W1943:4:209915:214039:-1 gene:ORUFI04G00200 transcript:ORUFI04G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQEYIKQGGQQLVAAILGIGTAVPPYVLPQSSFPDYYFDISNSNHLLDLKAKFADICEKTMIDKRHVHMSDEFLRSNPSVAAYNSPSINNLTDVTVPQLGAAAARLAIADWGRPACEITHLVMCTTVSGCMPGADFEVVKLLGLPLTTKRCMMYHIGCHGGGTALRLAKDLAENNPGGRVLVVCSEVVSMVFRGPCESHMGNLVGQALFGDAAGAVVVGADPVEANGERTLFEMVSAWQDIIPETEEMVVAKLREEGLVYNLHRDVAARVAASMESLVKKAMVEKDWNEEVFWLVHPGGRDILDRVVLTLGLRDDKVAVCREVMRQHGNTLSSCVIMAMEEMRRRSAERGLSTAGEGLEWGLLFGFGPGLTVETILLRAPPCNQAQAV >ORUFI04G00210.1 pep chromosome:OR_W1943:4:218959:219403:-1 gene:ORUFI04G00210 transcript:ORUFI04G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKQSNIFSLSVMSLSLFGDVIFISYYWLHFWSTMLPQEEQDTMRNGAILLESVAKGLLFHYGWRSSIRIAS >ORUFI04G00220.1 pep chromosome:OR_W1943:4:263577:264300:-1 gene:ORUFI04G00220 transcript:ORUFI04G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPTRRGLSHRYPSSPELTLGDDVDSNHSRGDHGVAGGSGVGGDDSSAGRKRWRGLASSTDGEDDGRNSPSLSPTKPDPPLFHHRHLSRSGHYDVGSEIGGKKVKNVRYWSLGVIRTIAIVWGLF >ORUFI04G00230.1 pep chromosome:OR_W1943:4:265060:267729:1 gene:ORUFI04G00230 transcript:ORUFI04G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMTPAADGDDDETTCIRALELIFTFVVPMTLKATIKLGLLDALTGGGHALTADELAAAAQLPAEAASSVDRMLRLLASLDVVKCAPTDTGGEAAVRRYTPAPVCRWFAGERSLAPLAMFLLDDDYLSTWNQLPAAVAGGDGQVAFEKARGMPMFEYMGTNRRLNTLFNQAMVQQSTVVIGKLLERFQGFDGVSVLVDVGGGTGATMEMITSRYKNITGVNFDLPHVIAQAPSLPGVKHIAGNMFESVPNGDAIFLKSMLHLHNDEDCIKILKKCHQALTHNGKVIAVEILLPAIPEPVPTAQNPFRMDMIMLNNHWGGKERTEPEFAKLAVECGYTGVFQATYIFANYWALEFSK >ORUFI04G00240.1 pep chromosome:OR_W1943:4:269622:277720:1 gene:ORUFI04G00240 transcript:ORUFI04G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEDNKAPSPPSPMESDVAGEKRKREDDASSSAVLAAANNTGGAQHPMWKTSLCSFFRRRAASSADGCSHGDSCRYAHSEEELRPRPDGTWDPTSDRAKKLRKVAADEVEEEVVTIDDKALDKCLGISYATAKKKKGMTVGFVTFENIEQLKNAIEVLTENQSGGKEIKIADANRRSHQKLHTEKPVSDNGVTTENGTSVDVPPGETSAPEAAISNKKSVRDAVTPLAHMSYNDQLEHKKNSVAQILKRLTRNARKACPTGIPLPDWVFKSKEIGGLPCKLEGILESPVINGYRNKCEFSVGFSLEGKKTVGFMLGNFREGVTAVEEPVDCPNVSEISCKYALMFQDFLQSSSLPVWNRVDNCGFWRQFTVREGRCQAQAVAQNAETQISEVMLIVQVCSTGVDDAVMKDEFDKLTVALQQGAATCSPPLPLTTIVVQDHKGISNAAPADCPLIPLLVPKVDQSEGTVDKTRIHDHIGNLWFSISPTAFFQVNTLAAERLYTLAGDWANLNSGTLLFDVCCGTGTIGLTLAHRVGMVVGIEMNESAVSDAERNALINGVSNCRFVCGKAEDVMGSLLTEYLGSPQQDIPVSEGAVSGTVKDEEVIDSSKNSGENLDSSMQKNDNGESQQLGDAPADSSSSAIDEIKGNSNDRVGNGLEGSHDEYNEVAGEDIHGEASLINESVDLKVSDCLEDRKTSDDGSSISNNDVTAATACQFEDIVAIVDPPRVGLHPTVIKALRTHPRIRRLVYISCNPDSLVANAIELCTPSSEKQEKNKGNRGWRTMSSAGLARQRTKSMPNSEPFVPKRAMAVDLFPHTSHCEMVMLFER >ORUFI04G00250.1 pep chromosome:OR_W1943:4:281866:285370:1 gene:ORUFI04G00250 transcript:ORUFI04G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQLHLQGTSTATTVPLQGDPASDHHPHLSIDIPPAAASMSPAPTQAAADITPTPTTSILSTKASTPAGSCSSRSTSVAPKPQRSSSFMLRQTVKSLLPVGSFKSSVKFFNARISRTSSLPVTDVSQEQADKTSTTHAVDKAGHMYRSQSLPMNMKKLNNGKSFKRMNSLGGVYRVVPSTPSVPVTSSNVIPDIVPSEPGDEDGEDIAEEEAVCRICMVELSEGSDTLKLECSCKGELALAHKHCAMKWFTMKGTRTCEVCKEDVQNLPVTLVRVQSMQQPELQTNPANASRYDRLRMWQGAPILVIVSILAYFCFLEQLLVARDGIAALAISLPFSCILGLFSSLTTTSMVARRYVWIYATIQFLFVVFFTHLFYRYLHLQAVISIILATFAGFGVGMTGNSIIVEIIRWRAARAAAAPPAQTRHRRRRHGRRQQQPPPAQPAASSAAVADVENPPV >ORUFI04G00260.1 pep chromosome:OR_W1943:4:295098:295490:1 gene:ORUFI04G00260 transcript:ORUFI04G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSSNDTVNVASEVSSILSKLNDHLAGADEAKEPAGTSIITLAGENNGATMEVAGDVEDLVVVEAGGDEDDDEEEESVVSAYTNSNYQALNNSVLVAGSCAVKDPGVHVVIVEHVDEIRDYDDDVRDE >ORUFI04G00270.1 pep chromosome:OR_W1943:4:303063:304555:1 gene:ORUFI04G00270 transcript:ORUFI04G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVKNMVIAILVPLPSLLFFFSFVHPTTTPSPVSSWCAAHPLLVANLLFLFNVDLLFWLIGNLLSNHWLIDLYWTVIPVMLLHYYRAHPAAVADTARSAVAVALTWVWSARLTHNYLRREGWQWGKREDWRFAEMRGQYGRAWWWMSFFAVYLSQQVFLIGICLPMYAIHSTTQPWGAWDVVATMACLAGIVIAHFADTQLHRFVTTNEKLKKVGEPTVPTMEAGLWRYSRHPNYFGEQLWWWGLYLFAWNIGQPWMVVGPLVNSLCLGYVTVLVERRMVKQEHRAEAYKLYQKRTSVWIPWFRKPVPQPYNHKDSSNQNSLKISHS >ORUFI04G00280.1 pep chromosome:OR_W1943:4:306988:309575:-1 gene:ORUFI04G00280 transcript:ORUFI04G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAEVESSPAATGKLRYNSPLVQVSLIGLVCFCCPGMFNALTGLGGGGQLDHSTADNANTALYSCFAVFGVLGGAAHNLLGPRVTLLAGALTYPLYAASFLYYNHHPSRQAFPVTAGALLGVGAGLLWAAQGAIMTSYPPPSRRGSYISLFWCLFNLGGVLGGLLPFSLNYHRAADAASVNDATYIAFMAFMLLGAGLALLLLPASRIVRDDGSRATRMSYSSVSTEGWEILKLFANWRMLLVLPAAWASNFFYTYQFNNVNGRLFTLRTKGLNNVFYWGAQMLGSAAIGYFLDFGFGSSSRRRRGLCGVAAVAVLGTAIWAGGLANQLRYADGNWGERLIDFKDGRRYAGPFLLYFSYGLLDAMFQSLIYWIIGALANDTQILSRYVGFYKGVQSAGAAVAWQIDTHKTSLISQLIVNWALTTVSYPLLALLVFLAVKEEDSSVSSVEDGKEKDSKLSAPTSFH >ORUFI04G00290.1 pep chromosome:OR_W1943:4:311511:316318:-1 gene:ORUFI04G00290 transcript:ORUFI04G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRQVGALLLRHRSTPSSTLRHHLPLPVPDQSPPLASNLLLRLFTSQSGEGGDGATKPFIAFVLGGPGSGKGTQCVRIASDFGFAHLSAGDLLRSEISTGSEKGELILNIIKEGKIVPSEITVELIRKAMESSDAKRVLIDGFPRCEENRIAFERITGTEPDLVIFFDCPEDEMVKRLLGRNQGRVDDNIETIKKRLKVFESLNIPVVDYYTSRGKVHKINATGTEEEIFGAVHKLFSSLRDESRRRQAVVDEMINLNEHSSMEGEMRWIG >ORUFI04G00290.2 pep chromosome:OR_W1943:4:311572:316318:-1 gene:ORUFI04G00290 transcript:ORUFI04G00290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRQVGALLLRHRSTPSSTLRHHLPLPVPDQSPPLASNLLLRLFTSQSGEGGDGATKPFIAFVLGGPGSGKGTQCVRIASDFGFAHLSAGDLLRSEISTGSEKGELILNIIKEGKIVPSEITVELIRKAMESSDAKRVLIDGFPRCEENRIAFERITGTEPDLVIFFDCPEDEMVKRLLGRNQGRVDDNIETIKKRLKVFESLNIPVVDYYTSRGKVHKINATGTEEEIFGAVHKLFSSLSS >ORUFI04G00300.1 pep chromosome:OR_W1943:4:319985:321313:-1 gene:ORUFI04G00300 transcript:ORUFI04G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLGLGAIFQSGCPLLPPRPAVRRAPTRRRAVATKISCIGWDPEGVLGPPQGGHIARLEFRRRLERDSDAREAFERQVREEHERRRQEREARVIPDTDAGLVEFFLDTEAREIEVEIGRLRPRLNQPFFDYIQREIAQIKFSITRTAEMEDRLIELEAMQKVLLEGVEAYDKLQNDLVSAKERLTKILQSSDKKSTLLEMVERNELNMSILTLLDENIASAKTNNQEEAVAFMENVRSSILKYITV >ORUFI04G00310.1 pep chromosome:OR_W1943:4:324338:325609:-1 gene:ORUFI04G00310 transcript:ORUFI04G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGYYGATCPDADAIVRQVMERRFYNDNTIAPAIIRMLFHDCFVTGCDASLLIVPTPTRPSPERVAIPNQTLRALNIVNAVKSALEAACPGVVSCADALALMARDSVALLGGAAYDVALGRRDALHSNSWEVDLPAPFSSLDDTLRHFAAKGFTADETVLLFGAHTVGAAHCSSFRYRLARPDDGTMDESLRCDMVGVCGLADQPAAADDAMTFLDPVTPFAVDNAYYAQLMSNRSLLQVDQEAATHAATAGYVAYYAANPDAFLQRFSEVMAKLGTVGVLEGDAGEVRTVCTKYNTS >ORUFI04G00320.1 pep chromosome:OR_W1943:4:331330:333585:1 gene:ORUFI04G00320 transcript:ORUFI04G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETVRSAIVGEAIRGIFSGVISKYEDNSNEGDNIERLEMAQIKLEAAIKTSNKWQITDTPLLRWQKKLKRASEECDDTLRKCKQRALEEKEIEVQVKQSSFPRRVAHVTKSFIVSFIGHNNDDYSSSAIVRRFERIADSADSFLRFVQLGGRPRQYLFFDPLIAHLFAGKSLRYQTLHDGSQYHFFSIRPMSFEERGLEAMLFFVYEDCKVPKNSFRLGFILRLSESTDVMGITVKCLQSVTPHFKSTAEIVVRELTQLPTQDFSWLPPYHEYGSMEHWDNVQTTLTQWFRPDPLCCSKGYIPACSSSSYTKKYGTSSLEKFPLLKLWFLFMPHDSVEDLEPTNAAESYALEAIDGEKQQKGHVDVHPHQLDEMLLPKAINYLYHNAEATTYQMYWKSKHGSAHLSVEKTSMATPPQARRTTRRQGRMNKIRGLQMQEQIKNGQCWKQVQRLFSVLQMVSVIVKFHSSFIHPSCSFPVCIKMVAIDGLDHALLDRSGVHAGPVIRTLYVQQHVAMSALHGKDRDMQQKVTLLWPYKLNQISAFSGCWLAQYEPEIASHLISASLLWH >ORUFI04G00330.1 pep chromosome:OR_W1943:4:335762:336621:-1 gene:ORUFI04G00330 transcript:ORUFI04G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding M >ORUFI04G00340.1 pep chromosome:OR_W1943:4:342171:352786:1 gene:ORUFI04G00340 transcript:ORUFI04G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSIEIPFSIGCLADIPKVSSIELLQIPLPSSPRFFLSTTPKTLFSSSLHVPIRSLFTNVVEDIDDISPPRLVVDLNLETMILLVDLDGRGARYWWIHHVRRLSAAKVSADALERGQSRVIDASLTLIRERAKLKAELLRALGGVKASACLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMNVVSESVKTVMEEDPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDAFEGNIYSHASSFARIMEGGYARRLLQVGIRSITKEGREQGKRFGVEQYEMRTFSKDREKLESLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGDVVAGDVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >ORUFI04G00340.2 pep chromosome:OR_W1943:4:342171:352786:1 gene:ORUFI04G00340 transcript:ORUFI04G00340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSIEIPFSIGCLADIPKVSSIELLQIPLPSSPRFFLSTTPKTLFSSSLHVPIRSLFTNVVEDIDDISPPRLVVDLNLETMILLVDLDGRGARYWWIHHVRRLSAAKVSADALERGQSRVIDASLTLIRERAKLKAELLRALGGVKASACLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMNVVSESVKTVMEEDPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDAFEGNIYSHASSFARIMEGGYARRLLQVGIRSITKEGREQGKRFGVEQYEMRTFSKDREKLESLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGDVVAGDVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >ORUFI04G00340.3 pep chromosome:OR_W1943:4:342549:352786:1 gene:ORUFI04G00340 transcript:ORUFI04G00340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAAGTRWIHHVRRLSAAKVSADALERGQSRVIDASLTLIRERAKLKAELLRALGGVKASACLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMNVVSESVKTVMEEDPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDAFEGNIYSHASSFARIMEGGYARRLLQVGIRSITKEGREQGKRFGVEQYEMRTFSKDREKLESLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGDVVAGDVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >ORUFI04G00340.4 pep chromosome:OR_W1943:4:342549:352786:1 gene:ORUFI04G00340 transcript:ORUFI04G00340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAAGTRWIHHVRRLSAAKVSADALERGQSRVIDASLTLIRERAKLKAELLRALGGVKASACLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMNVVSESVKTVMEEDPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDAFEGNIYSHASSFARIMEGGYARRLLQVGIRSITKEGREQGKRFGVEQYEMRTFSKDREKLESLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGDVVAGDVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >ORUFI04G00350.1 pep chromosome:OR_W1943:4:356888:358735:-1 gene:ORUFI04G00350 transcript:ORUFI04G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSRHHWFSRTRSSSPPPPSRDQPPPPAFRHGRPTPDAHPGCMAIMSYLIFSPGAGCVGRPPNSSSSHVAVVTAASPPSSSLATPGEAGLQAPRNSLDLLDADLLRRDIQIGVQIEPAFDALARPRPSAPTSEAETPRTPSLVARLMGIDGLPDSPSPATSSNSKPREKKKRVIPESISLRQPLRDLSRSLPDTPRASTSSLRPAAAVAPTWDVVDHPRLSLQVLKDNVLDRARQYMSMPTSPTSLSKKKKTRSRRDATAAADGRSSKENAVREIVRQARETVTNRKSKKNAAAIGKENASPVHHHHHCGKENAPPAAKQAAAAPPMRAPLAEQQPHAPRLPLQPRPAPPPPPPQQQRAKPSRPPPPPPPLDPPPRAAAPPAKCKRPDGCERFATRIKKPAPPPILPAQPSPTSSTDVRDIVVSGERKITSSTPAVTAPPATVEEDPEYVYLRAVLERGGFMRARAAALKGHSVETPVDPLVFHLLELELPADEARLGPLRHRWNRKLLFQLTQEMLAEQLLGLDPTSPSTSSGAALVARLWRRARSFPAADCRVVEDILALVAADVEAAARARRVVERRLVAEEGEDVAEEVAERVLDALLDAEIAAVAGGE >ORUFI04G00360.1 pep chromosome:OR_W1943:4:368535:369486:1 gene:ORUFI04G00360 transcript:ORUFI04G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKFAGVTFLTDNATIVDAA >ORUFI04G00370.1 pep chromosome:OR_W1943:4:378080:379615:-1 gene:ORUFI04G00370 transcript:ORUFI04G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVAAPPATSGKRPVLLLRRINDRLAAELRSRFRVLNFYESSAPLLAFLAAAAAGPDPPLAAVVVAGGAIQVNAAFLDAVPSLGCVVTTGAGVDHIDLAECARRGVAVAGAGTVFSTDVADHAVGLLVDVLRRISASDRYVRRGLWAARGDYPLGSKLSGKHVGIIGLGSIGSLIAKRLQAFGCTISYNSRRPKDSVSYNYFPDVTDLAAASDVLIVACALNDETRHIVDSSVLEALGKDGVVVNIARGGIVDEAELIRALKEGRIAGAGLDVFEKEPDVPAELLSMDNVVLTAHEAVFTTESNWDLADLMIANLEAFFSGGPLLTPVLPK >ORUFI04G00380.1 pep chromosome:OR_W1943:4:380825:383108:1 gene:ORUFI04G00380 transcript:ORUFI04G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPVLLLCRLFPGTFTDVAHRFRLLDFYASALPIHAFLAAVAAHADPPRVVLVFGGGPIPVGAELLDAVPSLRCIITVSAGTNHIDLRECARRGVQVANAGGIYSTDVADYAVGLLLDVLRHVSAGDRFVRRGLCPEQRGGDFLPLGSKIGGRRVGIIGLGSIGSAIARRLEAFGCVVSYHNRRRREDVAYAYFPTATDLAASSDVLVVACALTAETRRIVDRGVLDALGERGVVVNVARGANIDEAELVRALAEGRVAGAGLEVFDDEPNVPPELWAMDNVVLTPHQAIFTPESMADLSRVVLANLDAFFAGEPLLTRVEASEGGIPNPLPDCVPAVYDAIESVFQKTIDHSLTWCW >ORUFI04G00380.2 pep chromosome:OR_W1943:4:384526:386610:1 gene:ORUFI04G00380 transcript:ORUFI04G00380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPATQDGSSSKPIVLLADPLIPEFEQELAPSYRLLPAADADEAAAASARALLTVDLPAVTAAQIDALPALELVVASSAGVDHINLGACRRRGIAVTNAQNAFSADAADYAVGLLVAVLRRVAAADAYVRRGAWAAAAGDYPLASKVSGKRVGIVGLGSIGGLVARRLAAFGCVIAYNSRSPKASAPYKFYPSVRELAAESDVLVLSCALTEETRRMVGREVMEALGKGGVLVNVGRGGLVDEAELVRCLREGVLGGAGLDVYENEPEVPPELWGMDNVVLSDHRAVITPESIQGVVDVVKANLDAFFSGKPLVSQVQL >ORUFI04G00380.3 pep chromosome:OR_W1943:4:382800:386610:1 gene:ORUFI04G00380 transcript:ORUFI04G00380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSPDAGKPLVILLRPLYPEFAAALDGRFRFVLAADADEGNAAEARAVLVPALTPVSADLVARLPKLEIVVATSTGVDHIDLDACRRRGISVTNAGEVFAPDVADYAVGLVVAVLRRVAAAEAYLRRGRWAADGDYPLATKVSGKRVGIVGLGSIGGLVARRLAAFGCVIAYNSRSPKASAPYKFYPSVRELAAESDVLVLSCALTEETRRMVGREVMEALGKGGVLVNVGRGGLVDEAELVRCLREGVLGGAGLDVYENEPEVPPELWGMDNVVLSDHRAVITPESIQGVVDVVKANLDAFFSGKPLVSQVQL >ORUFI04G00380.4 pep chromosome:OR_W1943:4:382800:385150:1 gene:ORUFI04G00380 transcript:ORUFI04G00380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSPDAGKPLVILLRPLYPEFAAALDGRFRFVLAADADEGNAAEARAVLVPALTPVSADLVARLPKLEIVVATSTGVDHIDLDACRRRGISVTNAGEVFAPDVADYAVGLVVAVLRRVAAAEAYLRRGRWAADGDYPLATKE >ORUFI04G00390.1 pep chromosome:OR_W1943:4:395957:397828:1 gene:ORUFI04G00390 transcript:ORUFI04G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKNYGQVYNILGWGDPYFTVNSHGHLAVKPHGRDTMSGQDIDVHSVIHRALATTITTNDGDKKPQFPMILRFPDVLKNRLDSLHAAFHGAVDSTGYASRYQGVFPIKVNQNKAVVQDLVTFGHGYSYGLEAGSKPELLIAMSCLAKAKPGAYLVCNGYKDADYVALALSARAMGLNAIIVLEMEEELDIVVEQSARLGVEPVIGVRAKLLTKIPGHFGSTAGKHGKFGMLADKIYEVAGKLKKMGKLHWLKLLHYHVGSMIPTTDIVYNAAAEAAGIYCALVKEHGATGMTTLDCGGGLGVDYDGTRSGSSDMSVAYGLEQYASSIVQAVRLTCDDNGVPHPVLCTESGRAMASHHSMIILEALSAIPEPQDEEDTHHRLLSKIQDLSSKQPRTAHTVNGGGGVDAMHSHAVELKKHGIEMYKLAKKLSKRVTGDANGIYNYHMNLSVFSLVPDFWGIGQLFPMMPVSRLNEKPTINGTLVDITCDSDGKVEKFIRDAVTLPLHPLDDAAAEHGGYYVAALLSGAYQEALACKHNLFSGPTLVRVESAGGGGAFKIVSVELGPTAEEVIGTMRYDVKNDISDVIEKVATENGVWPMVEPLMKKGLTTMPYLNDYKPPKTTF >ORUFI04G00400.1 pep chromosome:OR_W1943:4:404236:405730:-1 gene:ORUFI04G00400 transcript:ORUFI04G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPFRLPLAVVLLLAIICVLLASPSCHADDLTATMTNEHEKEHQLMMMMMDRFHRWMATHNRSYASADEKLRRFEVYRSNMEFIEATNRNGSLTFKLGETPFTDLTHEEFLATYTGDVRLPPERRGMQDDSDEEDAVITTSAGYVAGAGAGRRTAAVPESVDWRKEGAVTPAKHQGQCAACWAFAAVAAIESLHKIKGGDLISLSEQELVDCDDTGNGTCSKGYSDDAFLWVSKNKGIASDLIYPYVGHKESCKKQLLGVHNATVRGVVTLPENREDLIMAAVARQPVAVVFDAGDPLFQNYRGNGVYKGGTGCSTNVNHALTIVGYGTNHPDTGENYWIAKNSYGNLWGDNGFVYLAKDTADRTGVCGLAIWPTFPTIR >ORUFI04G00410.1 pep chromosome:OR_W1943:4:407026:408520:-1 gene:ORUFI04G00410 transcript:ORUFI04G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPPVVAAYRSVASCSTDFKTECGWDRHPQQALRQGRGGVGARYANVTSPMAAIGISRAWGPGRDATSLRWELS >ORUFI04G00420.1 pep chromosome:OR_W1943:4:409848:412467:-1 gene:ORUFI04G00420 transcript:ORUFI04G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVRNNMVSEPTIRGIPGQETRWMTHGGSSDVQRLDPSIGGGCTGGACQMFGEMPSWLGAGAGATLRVQVSQVLYPVTGEVLHQVYNGYGAVAVQVLATSCWGVEALIWFRSSCDAERARSDTNERNIYDGCCLLDVQHMQSFPGNGANVMPTKCSTLGPSYATTTSGAKSIPAATERVFPATKASLAPSTSSTTMATLAPSTETKELGAGMDNEVLKSEETTQDLYTKMMAMINKMLETCRNTREDYTMSVDCNGDATALSVNIDPVPILSELSMVTVKPTKGLTKSKKEKVDGDAGGMITDDCVEFTKVNTKLISVFRPFTDSLGQLVPSYNLAQSENENLLIQQVRSCVSEDVVYDVTWTPVMPSKWIHVVAIGRTWLLSSFALINFLEAETVQLAVKLVYVKIAEMTRIKSWDPALDDHLQLPWDLGGTDLELQLHQLGDKLIFKVGRMSCNWAGSLSLLLQPTADSQP >ORUFI04G00430.1 pep chromosome:OR_W1943:4:416811:419224:1 gene:ORUFI04G00430 transcript:ORUFI04G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDVQMAEKETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIRLVPDKPSKTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVVVTTKHNDDEQYVWESQAGGSFTVTLDTAGERLGRGTKITLFLKDDQLEYLEERRLKDLVKKHSEFISYPIYLWSEKTTEKEISDDEDDDIDDSKGKEKEGDIEEVKDKKKKKKVKEVSHEWVQINKQKPIWLRKPEEISREEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPRRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEWLGFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFFEIADNKEDYAKFYEAFSKNLKLGIHEDSQNRGKLADLLRYHSTKSGNELTSLKDYVTRMKEGQKEVYYITGESRKAVENSPFLEKLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDDDDDAKERKRSFEPLCKVIKDILGDRVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMGAYMSSKKTMEINPENGIMEELRKRADADANDKSVRDLVLLLFETALLTSGFSLDDPNTFAARIHRMLKLGLNIDDDDAAAADDDADMPALDDAAAEESKMEEVD >ORUFI04G00440.1 pep chromosome:OR_W1943:4:431962:434919:1 gene:ORUFI04G00440 transcript:ORUFI04G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLGVGGVAGAGAGLPLVLRRCNRSRTPPPPMVMTPLHRSCFFFRPQPSSLSHYPSPSPCAADDLFTVDYDPEEEEEEEDEEGSPWEGAVVYRRDASVHHLEYATTLERLGLGDLSSPHSRARAATMGILILSSPNLTGTKDETPVLVSLDVARRRGRLRLDGIVRTVITLGCYGCAEPAPQGIFANFSLLLTEGRVEEPDVVDLGTIFEEEQTKAPVLTGSQEDGDDEDIDWDDRLHFPAGEKEIDISKHIRDIIHLEITLDALCSPTCKGLCVGCGENLNTSSCSCNTEKQQAKAKNVQRRGPLKDLLKPLQR >ORUFI04G00450.1 pep chromosome:OR_W1943:4:448164:449031:-1 gene:ORUFI04G00450 transcript:ORUFI04G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEKNLTGSGEPIRLAFNGKTLPVHYEANQPNTICTARLASCFIKPHYQQNGCIDEIAVPCMRQTWELHPRWDNHDATSIS >ORUFI04G00460.1 pep chromosome:OR_W1943:4:450301:457125:1 gene:ORUFI04G00460 transcript:ORUFI04G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIFYQRTCVVLFVPEESIKERRDKLVTKVHCMIQGYTSSKIHLSHGMKIVDAIERLGVGYHFHEEIGMFMRVLNDTPARENDMAEAALRFRLLRQHHYNAPSDVFGCFLDKNGDFKETLRHDVDALLSLYEAAHLGKCDEDLLKSAVVFTTGCLSAMAENDQLPQPLLEKVEHALTSPTQRRMKRLEAKLYISIYENDEDSNHDILELAKLDFHILQQMHRDEARRFSLWYKELNVRSTLGPYIRERPVECYFWSLGVFYEPQYAKARMMFARLIKIFSLFDDTFDSYGTLEELHLFNNAVQSWDEGGAKQIGDYFGYVMSLLSKTLNEFVVDGASPLGIDCTKKTIKEASRCMLQEIIWREEGQVPLLHDHLKFSTISTLYWALACISFVDHRMDANDDVSIFCWAISSPKIIENSAMITRLMDDISGHEWEKDGGGVPTAVECYMKEYGVTVQEAKKALWCLVEEQWRSINQEFLRNTTVPVPLLTRVINLARLMETLYKTTNGYTHCSGVTDLISNVLDTCVSH >ORUFI04G00470.1 pep chromosome:OR_W1943:4:463703:464829:-1 gene:ORUFI04G00470 transcript:ORUFI04G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMKAFMTAYLGREALVRVYLSQYLEDVHLIRLDVFLKSRGRWSYEKGELLLIYEYMPNGSLDRQLFPKEKPGRVLGWRTRYSIVTDIAAGLHYVHHEHEHMVLHRDIKASNILLDAAFRGRLGDFGLARIVVGLDKNSYTDVGVAGTWGFIAPEYSVSHKATRKTDVYAFGVLLLEIVTGRRALCKFQGTFQLLVDWVWRLHREGKLLDAVDNGVASSSSSSSTEEFDADDAIRLLLLGLACSNPNPSDRPSMMEVVQVVARSAAPPDVPLVKPAFVWPPVGGVEVDSTGSDVDASLCEWDQEETSSSSDALAVRVQ >ORUFI04G00480.1 pep chromosome:OR_W1943:4:486575:487666:-1 gene:ORUFI04G00480 transcript:ORUFI04G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQYANAKHSPADDAAAAAAGLNNGLAFVIVPTINGLPPPGSTAAFAAEFDVRRQPDDNRRITVSITTGGNIIAAATTTTTNQTNCSVWIDYNGDKHRLLIYIDLQDRPKPEKPCLDVPLNLSSVVPDRAFIGFSASTTTTGGSSAMDELLLHRYSILSWSLTVKLPPSPHGLDFEWKVILPAVVGTVAITAIMNVIVAALYLNSKYNKLKMELVLTEALRRLPGTPREFKHAAIRKATNNFDEGRKLGNGGFGAVYRGTIRSSSSSAGKNGGAAAAVSSSSVEVAVKRFTRDENRCYDDFLAEVDIINRLRHRNVVPLVGNHLIFSSSSFHSTTYNSCTIAFRSKTRGKLIHCSLWSITCY >ORUFI04G00490.1 pep chromosome:OR_W1943:4:487715:488029:-1 gene:ORUFI04G00490 transcript:ORUFI04G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPNMLRLLFLVCCSSSLAASAAASSSSGSACSCSSSDETSYSFPSTRIEDTLALLDDAEISSNNGSTILHLTPAASWNKSGTALLPTPVTLWRRLDSQTTAA >ORUFI04G00500.1 pep chromosome:OR_W1943:4:496955:497278:-1 gene:ORUFI04G00500 transcript:ORUFI04G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSYGRRSPNVGLNITVSANRTAAPHGLTVWIEYSAVEHHLWVYVAAAGEARPAKSVIDLPLNLPGRRITQRAFVGFFAGTVRDAVLGIRDWNLTVDRIPGDGRLQ >ORUFI04G00510.1 pep chromosome:OR_W1943:4:497724:497963:-1 gene:ORUFI04G00510 transcript:ORUFI04G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLVLLLAVSGSPAMADVVSYSFAAVGGGRAASNGLVVATNSSILSPATFLFDAQLFPEKYQMRVGSAAVDALRVN >ORUFI04G00520.1 pep chromosome:OR_W1943:4:503694:504233:1 gene:ORUFI04G00520 transcript:ORUFI04G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPCRAAAGLGAASTRVWEGGEGRVGRENGGYRVGRSPGRVSDGSGGITSVSGRGREGDRSPRQLLVRTPASPARATAEGGEDGGSLRCSATDDDDESGTSGNKAAMVARRRGRFGGGKPTLAVKGCKGDELAVVDACSQGGGR >ORUFI04G00530.1 pep chromosome:OR_W1943:4:507493:509245:1 gene:ORUFI04G00530 transcript:ORUFI04G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGEAPARSFVVVVLAVIALSAIVAVAGTKKALSTVDVEDELDAARTAIRRAARQHHRRGGGGEGGDVGSANWLRFYGGEADYDLLSRVYRNPAAFYRSYVEMERRFKVYVYEEGEPPILHEGPCKNIYTIEGRFIEQLELMSPPDDGGGVRTWDPTRAHAFFLPLSVSQMVKFVYRPPSQDRAPLRAIVADYVRVVAARHPFWNRSAGADHFMLSCHDWGPYASRGQPELYTNAIRALCNANTSEGFRPGKDVSVPEINLYDGDMPRELLAPAPGLESRPLLAFFAGGRHGHVRDLLLRHWKGRDAATFPVYEYDLPAAGDYYSFMRRARFCLCPSGHEVASPRVVEAIQAECVPVVIADRYALPFADVLRWEAFSVAVAVGDIPRLRETLERIPAAEVERLRRGVRLVKRHLMLQQPPRRLDMFNMILHSVWLRRLNLRLHHHSTWVFGLNRQFGSVLFGCYKIRFTGFTTRSVLQKTENRKIRFRFFNFGFRF >ORUFI04G00540.1 pep chromosome:OR_W1943:4:521251:523109:-1 gene:ORUFI04G00540 transcript:ORUFI04G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVLIFNTTGTIVKSGRPTKDGFSTSGKSRMTPLQEDILPVDTIVQSSLIKHRALSTIAAAPASSSSSAGGEEMPPAAFAAVAELMLELLKYGATDTDDIIQQSSVICLCAMSLFHLQGCQSLVAAGAMLGVAKEAKMLCDWMKKENKLVIFSKSLSLPCCELEASRLIRIRALDVMMTILQQSSFPSSKDKVRSPRSEDTPKTKRRCSEKSSIEEDQESCLKSKLNEVLGNVEENA >ORUFI04G00550.1 pep chromosome:OR_W1943:4:525911:526831:-1 gene:ORUFI04G00550 transcript:ORUFI04G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVVLALLGVATAAAAAAGDVVSYSYPAFNATTTRDDSLVAATNASILTTARLLFDPDFPHGFNVSEGFLLLSGDIDVWRDGVGSAGAPAREASFNTTFTVVAAASPVAFVVLLDRYPPLLDQSGLRGSNVSSAADGDDGNATNSLVAVEVGTVKSYGRESPDVGLNVTVTPNRTTAPSGSTVWIQYDAVEHRLSVHVAAAGEPRPSNALLDVPLYLAGGRTTQTALVGFFGGTIGDIIAGVRGWELTVERLRGDDGGGGKKRTSWVVILLAVVGSVAGVAGVAAMVSVVVCRFVRKRRHMEPKH >ORUFI04G00560.1 pep chromosome:OR_W1943:4:532461:533057:1 gene:ORUFI04G00560 transcript:ORUFI04G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRRRHPDVHVKALEGIVSANTFFTVAVFIGITGTITPSSSIPPNCVAGDDIARNFFLFEILSFGFYLLSSLVAQGMKLAVTLLATDDFYGDGEQKPPPSDDCEEMPAWRAAAPRERRRAVLRFARPMMLLAAGCSIMGTFFLLLSMVDAIQLKFGLVSCNIPLAVGTTFALAVLVVAGLVFYGATVAYALTHYLP >ORUFI04G00570.1 pep chromosome:OR_W1943:4:534683:541320:1 gene:ORUFI04G00570 transcript:ORUFI04G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPPPPPAAATATYRRRGKPKPKPKRKAAKPPSPSPPPKRQARDDPPHTGPLTRHSPLNPAPEDAPPQLHAGERDPPPRAWIEPAKLPPVSEEVQAVLSRGAGVHVVPSFAGWFSWKEIHQIEKQALPSFFDGISLRRTPEIYLGIRNFIMKKFHSNPQMHLELKDLSELSDGEMDAQLKVLEFLSHWGLINFHPFPPAVQGSYLIPAPNQAEVTAPIHTPSLLSEPTLTEDSITQAESSVEYHCNSCSVDCSRKRYHCRTQADFDLCCDCYDKGNLDAGMSQTDFIIMESAEIPGFGGTSWTDQETLLLLEALEIFQAKWGDIAEHVATKTKAQCMLHFLKMPIMDPFLHDGDVNEISQETAEQVSAEQGTSRVTEKMEVEDKTKEIKTNDRKTAAKPKLNLTETEVNLDDNVVANNDTKSSGDINVDVCSNTGVSNRSSDTEPKKKETSGENTSNIVNDVLKYAFEAVGHIPKIEDLGSFTEAGNPVMALVAFLSGLVDHDDVTTLCCSSLKAISDMSPALQLATMHCFILQDPPNDLKDPPVSISFANTDCGQQKDKDATSNPSVTDNDDNLKEESALSVEEPNTTSTSSKNTRKLSNAKESKDESPQVEPKPSSANDCDNPISQVAKRASDNIRGHSSTVLPVSLNNTNEPCSISSQEASAGNTKDTSHTEHIEGDKPIYEDPPLEGKVELNKIEHEVTDLSAVQQHESIQTSLKKGYIQDHNSINKTVADDVSVQRLQRAAASAISAAAVKSKLLAKHEEYQIQRLAALVIDKQLHKMQAKMSVFTEADNLVLRAREHTERTRKKLLMERSAIIASRMAALPPRPNHQPGMPGSRLPVGYGVNQHLRRS >ORUFI04G00570.2 pep chromosome:OR_W1943:4:534683:541320:1 gene:ORUFI04G00570 transcript:ORUFI04G00570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPPPPPAAATATYRRRGKPKPKPKRKAAKPPSPSPPPKRQARDDPPHTGPLTRHSPLNPAPEDAPPQLHAGERDPPPRAWIEPAKLPPVSEEVQAVLSRGAGVHVVPSFAGWFSWKEIHQIEKQALPSFFDGISLRRTPEIYLGIRNFIMKKFHSNPQMHLELKDLSELSDGEMDAQLKVLEFLSHWGLINFHPFPPAVQGVSELVESITNADTEEKISVVDKLFQFETLQSYLIPAPNQAEVTAPIHTPSLLSEPTLTEDSITQAESSVEYHCNSCSVDCSRKRYHCRTQADFDLCCDCYDKGNLDAGMSQTDFIIMESAEIPGFGGTSWTDQETLLLLEALEIFQAKWGDIAEHVATKTKAQCMLHFLKMPIMDPFLHDGDVNEISQETAEQVSAEQGTSRVTEKMEVEDKTKEIKTNDRKTAAKPKLNLTETEVNLDDNVVANNDTKSSGDINVDVCSNTGVSNRSSDTEPKKKETSGENTSNIVNDVLKYAFEAVGHIPKIEDLGSFTEAGNPVMALVAFLSGLVDHDDVTTLCCSSLKAISDMSPALQLATMHCFILQDPPNDLKDPPVSISFANTDCGQQKDKDATSNPSVTDNDDNLKEESALSVEEPNTTSTSSKNTRKLSNAKESKDESPQVEPKPSSANDCDNPISQVAKRASDNIRGHSSTVLPVSLNNTNEPCSISSQEASAGNTKDTSHTEHIEGDKPIYEDPPLEGKVELNKIEHEVTDLSAVQQHESIQTSLKKGYIQDHNSINKTVADDVSVQRLQRAAASAISAAAVKSKLLAKHEEYQIQRLAALVIDKQLHKMQAKMSVFTEADNLVLRAREHTERTRKKLLMERSAIIASRMAALPPRPNHQPGMPGSRLPVGYGVNQHLRRS >ORUFI04G00580.1 pep chromosome:OR_W1943:4:542539:545468:1 gene:ORUFI04G00580 transcript:ORUFI04G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMARQPLRLLLAAVAAVAMLSFLLLLAPPPDALLSAFLSPSSPYAHRPKLLFLLAGQSNMAGRGALARPLPPPYLPHPRLLRLAASRRWVPAAPPLHADIDTHKTCGLGPAMPFAHRLLEAASEEVLGLVPCAVGGTRIWMWARGQPLYEAAVARARAAVADGGGAIGAVLWFQGESDTIELDDARSYGAKMERLVADLRADLHLPNLLVIQVGLASGEGNYTDIVREAQKNINLPNVLLVDAMGLPLRDDQLHLSTEAQLQLGNMLAEAYLKFNSSRGSML >ORUFI04G00590.1 pep chromosome:OR_W1943:4:546867:548462:-1 gene:ORUFI04G00590 transcript:ORUFI04G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLTLSSTALLRLIKSLSPAVHGAHLSASSIHCLLLKAGLLHVGAHLPTALLSVYAALGSPDHARRLFDEMPEQGLVPRTAMARAHVASGQAAQAIAVFGDMVADGVFPDNVALAVALGACHGAGSWTARRNPGKMIHALVVTSGIVPDVFVSTELIRVYGESGELPVSRRVFDDMPSRSTITWNAMLHQYARHGKVDTAYELFLAMPRRDVVSWNTVMAGYCVAGRCREALGLFRQMVSPSSCAVHPNVPTMSTILGACASAGCLETGIWVHAYIERNRMNDDGYLDRCLIDMYCKCGSIDNALQVFEKAPRKRDLFSWTTVICGLAMHGRATDALRMFDMMQDNGICPDDVTLVGVLNACAHGGLVDEGLGYFYSMEAKFRITPKIEHYGCMIDLLGRVGRLQEAYSMIRTMPMDPNTVIWGAFLSACKVHGNMELGKIAAEELTRLDPDDPWGRVMLSSMYAKAQDWIGLARERREMNSMQVKKTPGCSSIELKGEVHEFVAGGSQHPQYAEICSVLQDVEAQTNVG >ORUFI04G00600.1 pep chromosome:OR_W1943:4:549209:554472:-1 gene:ORUFI04G00600 transcript:ORUFI04G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAGSSTGGSGSGGGGGGGREGDWDCGGCGNRNYAFRSLCNRCKQPRLLVDPNTPPDSKWLPRAGDWICTGCSNNNYASRKNCKKCGLPKEEAAMPALSMAGMAMPAYANYIARMQGLAGFKMNMNFGMAGNSALQQQLLASANWPYALAGRYGMQAAGWPFGGNNANQFSAAPKDWRSGDWLCSCGFHNYSSRTQCSAPVPSGIPSTTMKTTVPDTSSTLGTKRLASEELANEWDNKRLNPGNASYPLSTAGTDNLFGGIEQGAGSSNGQTPYSKFDNGNSIALSSGQVSAMPGLIGKGAKWREGDWMCSNCNNHNYASRAFCNRCKTQKEASKHGAHNQMHT >ORUFI04G00610.1 pep chromosome:OR_W1943:4:560473:561208:1 gene:ORUFI04G00610 transcript:ORUFI04G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGIEGVVNGVASAKSRAREERRGRNGEEHDLREKEILIPYTGLVLAGAVDDAGVVHVHGEAEGVRRDVLHEAELLVEIVGPHEADLDAGDSDD >ORUFI04G00620.1 pep chromosome:OR_W1943:4:565212:575435:1 gene:ORUFI04G00620 transcript:ORUFI04G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGWAVSALGWITSPVATRLLREGLEFLGFNESERLQDLETRIIPRMAQLMEQADRIPPGQRAPLEQWATKLRSAFYDAEDILDVADYHRLEKQVISQSGVMPMLDRAKDIISGKTSELKKILNKLEKIIEEGSQFLPPPVGTTSNGTDGNNISNTANKMTGTVTTSSALTEVIIGRDKERDEILRMLHETADDCEPSSWNSKCYSVIGIYGIGGSGKTTLAQHVCNYERRDNHFCLIMWVHVSQSFSVNKIYREMLEAASGEPSHEFCNLDSLQMKLEAALTSKRFLLVLDDIWPEKDVNSQYKLDQLLSPLKVGKRGSKVLVTTRFADAAMSLGARDPLKVPDLIEKDFLLLFMHYALDGVRLDSRQVEQFQTIGEEIMKKLKGSPLAARLVGARLRKQLNLKFWRRVENQDLLTHTMGALWWSYRHLDGQVKRSFAYCSIFPPGHMFARNELVELWMAEGFIKTTSGDEQMEDIGQNYFDELVSCSFLQTTKTADGSENERFTMHDLLHELATMVSGNDCFRVEEGEKKEFPPDARHLFINLYDPVKATEQICKLKKLRTLIFTSAFGGSAMTIEALEGMLKKLRKLRVVQVCLDGDVMMIPASICGLKHLRCLTVHSFGWTKVNLPRDFDKLYHLQILEIPNRGVLSCSNVKSMGSLISLRHVRNPSRFLLSESSVLVFPWIGELKSLRELSHFAVMNKKGHELQQLKSLNNLHGTLSICGLQNVGSKERALEAKLTDKKHLTGLSLTWSGEQSCNNTDIDVEMIEGLCPPSQITELLIYGYHGWKYPTWLSQNQNCPVTNLQVLHLWNCFNMEALPNIAELFGNLRELRVFNLPRLNILPRLPDGLKRLYIRQCEALVVTCVEDVEMIRSMLIERISRTDLSVRITHPEEISVFASEQPEMFKAILCNIIGLSAPVPEKSENMLSSIMPFICCEIEQENYPQLLLPASLECLYLEECIITDTVLRNCLRSCTCLTVLELEGVPFCKAIPYDVLKPLVELRITDCVHFTHLQGLADLNNLRRLSIGNCPNLETLQESDKVQALDWLSVGDVTLVPQLLSREACSSLPRLLVVDSTELRGEEILEQLTSLKFLTFHSCEWDSLPQNLASLACLQQLALMYCKHIRSLPMLHESLQSFKLSFCDPPFMKSCQQRARKAEARHRSIMAEVAAVGWAISTLGWIASPITTRLLNHGFDLLGFDESDKLRDLEARILPRMALLMEQADRIPLGQRAHLEQWSSSLRSAFYDAEDILDLADYHRLEKQVISRPSPRPTLDRLKHIISGETGKLKKILKKLENIIEEGSQFLPPLTGTISNVTNGIDISNPANKITGIITTSSALTQVIIGRDKERDEIVRMLHETSSDYEPNSSNNKCYSVIGIYGIGGSGKTTLAQHVYCYERTQTNNYFCPIMWVHVSQSFNVGKIYQEMLEAASGKPSHEFSNLDTLQMKLGAELTGKRFLLVLDDIWAEEDVSTRYKLDQLLSPLNVGERGSKVLVTTRSADAAISLGAQSPMQITDLNDNDFFKVFMNYALDGVSLDSQELEELQMIGGEIAKKLKGSPLAARLVGARLRKELVAAWPRRASYTMFWRIVEEQDLLRDTMGALWWSYQQLDGHVKRCFAYCSMFPPGHEFERDNLVKLWMAEDFIEITRSVEQMENVGQNYFDELVSCSFLQARTNTDGSENEKFIMHDLLHDLAVRTSGSDCFRVEHGDQTKEFPPDVRHLYVSSYDPRKLTEICKLKRLRTLIISYGSAVTIEALECMMKKLKMLRVVQILDLPHGGVLEFSRVVNMSDLVSLWHIRNSPYHFSYSDISGFPGVGELKSLRELSDFTVRKEKGYELHQLVNLNKLRGSLRISGLQNVESKERALEAKLTEKKHLTALSLVWSNPTEQVCSPDLQLEIIESLCPPSLLKELEIFRYSGLKYPSWLTQSFRCLQRLEIKECFNLKALPDIGELFIHLRTLALLQLPKLEILPRLPDSLKRLDIEQCHSLVLTCVEDVEMIRSLLTEQASHIDRSLNIMIHPEEIDRFANEQPVKFHRIVLDIFGRCDKLPLRLIRGWIRVEDHSQFLFPASMDRLTISDCAITDTVLHNCLRGSTSLTWLFLSELPFIISIPSEVMNSLAMLQHLCITRCAQLTYLQGLNHLSCLRSLEINQCPNLRALQEDEKVQVVDAIYINDIPLLPQLLSREGFSSLGTLYFGQKELREEEEEEEILRQQFASLTSLRRIVFCKWNRLPDTLVNLTCLQSLGLKYCNIRSLPTLPASLRVLTFNTCDKSFVRTCQMVGHPNYQKIAHVPVKEFFSYE >ORUFI04G00630.1 pep chromosome:OR_W1943:4:583162:584088:1 gene:ORUFI04G00630 transcript:ORUFI04G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSLVIKRASQIEPSLNVKVHLEVIDRLADEQPDKLDTILLDIFGRCDELPTRLVRGQLRQEDYSLFPFPTSVERLVIADCAITDTVLQNYLRNSGSLTWLFLSGLPFITSIPSEVMKSLTMLQELFIISCAQITCLQGLNHLSSFRSLDISQCPNLMMDLKEDEKLRVLRALTTDDIHLVPQLLSREGFTSLQSLTFRFVGSEEQMEEEMLAQFSSLTSLSLCSCMWNRLPENLANLTCLQELRLHNCKNIMSLPTLPVSLRGLILNACDQSFVKSSQKVGHPNYQKIAHVPSTPSVSKCLTPLTF >ORUFI04G00640.1 pep chromosome:OR_W1943:4:585557:589857:-1 gene:ORUFI04G00640 transcript:ORUFI04G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAVGWAISILGWLASPITTRLFNHGFSLLAFHKSDKLRDLESRILPRLALLMEYAQRIPPEQEQIRADMEQWACRLRSAFYDLEDMLDVADYNRLENKGISPSGVMTTLDHAKNIISGKTSKLKKILTSLEKITEEGSQFLLLLERTIGSGTNGNGISNPANTSIRSTTSSPTQIIIGRDKERDEIVRMLRDTMGEPKSWIIWAMGLKDYGLRLVFTMLSLIWAKIGWAITGLGPRLDPARNEATGDCEQSCWNSKCYSVISIYGIAGSGKTTLAQHVCSYEKMDSYFFPVMWIYVSPGFSVDKIYQKMLEAATGKPSSEFSNLDTLQMKLEAELTGKRFLLVLDDIWHEKDATAQDKLNQVLSPLKVGKKGSKVLVTTRFKDVAMSLGSQRIIPVPNFKEEDFFNLFMHYALDDAVSLDGQERETFHTIGREIARKLKGSPLAARIVGARLGKHLDATVWTRVGDQHLLTDTMGALWWSYQHLNVQVRRCFAYCSMFPQGYDFKRDELVDLWMAEGFIKTTDSAEQMEYVCQSYFDELVSCSFLQPNDIFGSKNKWFTMHDLLHELATMVAGPDCFRVVSGDMKEIPLDVRHLFIRSNDQTKFVEKISKLKKLRTLILITTFGGLGITIEELEAVLKKLKKLRVVHVDVQGQMVSIPGCICELKHLRFLRIHSPWSEKVHLPKKLDTAYHLQILELCGAGVLDFSNVQNMSHLISLRDIRNSGFVFPNSDVPGFPSIGELKSLRELSDFRVKKDKGYELKQLKSINHLRGRLRISGLESVESKEDALEAKLTDKKFLTSLSLEWSQSSSVQHSCPPDLQVEILEGLCPPSQLTELEIQQYNGLRCPSWMSSENQNGLFMNLQDLQLCRCYNLQHLPEIGELFVSLRRLKLVGFPKLKRMPRLPGTLENLHIQQCKALVMTSSEDVNMIRSLFVETATQIEPSLNITATEVVEIDRFEKILCDIFGICCSLPGELIRGHIREQDYSKLALPATVVDRLIISYCFVTNTVLHRCLIGSANLVSLNLRCLPFLTEIPSEVMESMAKLSDLSIEDCIQFTHLEGLNNLSRLQHLTVAKCPNLRALGEDEKVRSLNGLAIDDIPLVPQLLSREGCSSLWSLRIDESEQLRGGDILEQLTSLTSLDFSCCSWDRLPENLVNLTSLENLRLDCCRKIQSLPELPASLQSFEVEDCDALFMKSCQKAGDQNCQKIAHVPVKRFSS >ORUFI04G00650.1 pep chromosome:OR_W1943:4:591387:595438:-1 gene:ORUFI04G00650 transcript:ORUFI04G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTHLHLPNPIPPRLHASPPARLRASASLAHPRLLSGLRLAAARPRPRHGRRAMSVTVRSSLIDPDGGALVDLVAAPERRAALRGEAEALPRVRLAAVDVEWAHVLAEGWASPLRGFMREHEYLQSLHFNCIRLPDGAGVVNMSLPIVLAIGDREKEEIGASPDVALQGPDGAVLAILRRVEIYPHNKEERIARTWGTTAPGLPYVDEAIAQAGNWLIGGDLEVIEPIKYNDGLDHYRLSPQQLRNEFDKRGADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGFKNPILLLHPLGGFTKADDVPLPVRMEQHSKVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYNPDHGKKVLSMAPGLEKLNILPFKVAAYDTVAKKMAFFDPSRSKNFLFISGTKMRAFAKSGENPPDGFMCPGGWKVLVDYYNSLQTEEAAVATIEQ >ORUFI04G00660.1 pep chromosome:OR_W1943:4:603015:603750:1 gene:ORUFI04G00660 transcript:ORUFI04G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGHPRRVMVPRSGETDEINQRKSRWILGLNMLQLPEKQRREHAVAEAFGLGEVLRGEDGNIKLDAWPCLALKCGWGGGGALKGLGGRHRARVGWPVAAACGETRRATGFHQNNCVPQP >ORUFI04G00670.1 pep chromosome:OR_W1943:4:607280:612896:-1 gene:ORUFI04G00670 transcript:ORUFI04G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTGEVICRLHMWNRDGLSTAANSHYECINRPPLSIRTNLTHFCSCPCDSSERSSPDNYASSVASDSNGGGIGARNGHPLRYSSNVHLLDENVNLISTESVAQLDTAMGRCGEIIGDHREELLMVFTNNSDMLSSLFYEYDTPWILGKNTKTSMDFSKCEDLGKDYLIMLKISTKVLQSMFCQFCGQNQPFFQTKKDYFQQFAKSPIKKMLEIALSFSESNWSEEHIRPMLLAYDTLQDVLPTIRELSPDEPDEFFTSILHNMRNASRGIIDNMKRFIQHKVQTWDNIAIHPTTCFLINAIKIFNVHKNLLHSTLVPGDGQDSFGYLINGVIACWKLKIKELSMLDDPDKNDSDGNNPNLFIFLLNNIKHFNRDTNGLLDGLLVHRELIEECKNEFQSDMENYTSRYMTASWGPAISCLNNHTGGSIRQSMNAFISKFEGTFDCQKVLKVPDSELKQKLRDDIENLIFPAYEISFEELQRNSNSGLFCSCFPRNLTCSMYTPEILRRSVQGLFEG >ORUFI04G00670.2 pep chromosome:OR_W1943:4:607280:612373:-1 gene:ORUFI04G00670 transcript:ORUFI04G00670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTGEVICRLHMWNRDGLSTAANSHYECINRPPLSIRTNLTHFCSCPCDSSERSSPDNYASSVASDSNGGGIGARNGHPLRYSSNVHLLDENVNLISTESVAQLDTAMGRCGEIIGDHREELLMVFTNNSDMLSSLFYEYDTPWILGKNTKTSMDFSKCEDLGKDYLIMLKISTKVLQSMFCQFCGQNQPFFQTKKDYFQQFAKSPIKKMLEIALSFSESNWSEEHIRPMLLAYDTLQDVLPTIRELSPDEPDEFFTSILHNMRNASRGIIDNMKRFIQHKVQTWDNIAIHPTTCFLINAIKIFNVHKNLLHSTLVPGDGQDSFGYLINGVIACWKLKIKELSMLDDPDKNDSDGNNPNLFIFLLNNIKHFNRDTNGLLDGLLVHRELIEECKNEFQSDMENYTSRYMTASWGPAISCLNNHTGGSIRQSMNAFISKFEGTFDCQKVLKVPDSELKQKLRDDIENLIFPAYEISFEELQRNSNSGLFCSCFPRNLTCSMYTPEILRRSVQGLFEG >ORUFI04G00680.1 pep chromosome:OR_W1943:4:629368:641550:-1 gene:ORUFI04G00680 transcript:ORUFI04G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGAISTVGPAISPGIKIAEIAESFVARKYRRLRDYRKDVAQLVGEVGKIAVAVDTVEEQEQFMSDRRIVGQLSELMDAIHEAEDILDAVDYRDSIWARKHKVRQVIHSIVEQAKRIVGIDGALNRLDKSMNDLPRMQQNQRDLVQLSNFRSSQGGGDGGASASRPRSATGLLPDGKLYGYKEEYDRLVSALLYDPPRRPGGNGNGGGQVVAVVGDGGVGKTALAQHALRHVDVQARFDHVIWASVPHKYRNKDLLAEIWMSGPGATATATATHRCADQMSFGALQAEFVRLVSLSSQRYLLVLDDVCNDESDGDDHQRSRKEWEDVLAPFKQGERGNRILVTTRASICCATLNAGTRIQLNGIAADELLLLLKKSAFGDHDKKHAPHLDEVLTSLAEKLKDCPSAAAVVAARVRNKARRKDWEEALALLDAGRVLSPRRHESRYREFRDLPHHLQSCLHFCSLFPNNWMFHPDKLVRMWIAHGIIVVGDAHAHAPIDGRSMEDEEQVGRRYIRDLVSRSFFHLQPAKNGSRTMYLAIRQHVHSMLLSVSASYFFRVTSSSLGRRIPPTVRHLSVAPVTVTDQDQGQGGCLDLDWLKKHPVLNKLRTLLVFDGRMSAMDGDILRQLEAVRVLDLTSTNITADFPKGIGKLKHLRYLGLPKSLKKLPEGVNKLLHLQALVSAEDKLQGSVELCADSGCRKPGHGLEALAHMNSLCGELSIKGLQAVSNREEAHRAHLERKEFLKVLKLEWEPSLQLQEPSESSHEQVLEGLKPPCKIEELHIRQYLGRTSPSWLESNLLSGLRYLYLRNCRNWQVLPTLSQLPHLKVICIKEMCSVQRIDHRLYGGDGVFKSLETLILDDMPNLVEWAAEATDEKFPHLQKISMLNCPKLEKLPRVPRTVRNMEIQKHPSCYLHLSPSSSSSSSISFTLDIRADAVHLLHEDFLHPDHIKAIGSIRIEHYTWSTEPNLNLLASVRSLSLTRCPVTDRSLSMCLHKLQSLERLEISDCPELHDFPDDAMPMMASLKSLEFNGCHPLLMKKLQGRTGAAWERIRSIQRLEIQVVLGIKGKGKTNSIAQIWGKLLRTAHILFLKRSKSPKNWPPSLVVRRPAMALPSRRWAGAVESGVDPVAEAWAKLLRSLSQAMARLRVQMESFDDAQMRWALAAGVRAKAQLLASRLAQILALFWDEGQRAALPACVRDALYGMEDMVDDLEYHMLKFQPHQQEVRCNLLISLVNLRYRLIISHASRSRFLKDLDFVASEAGSLLSAMHKLEPTAPSLPALLLADDDHQVVFGRHKEVTDIVRMLIDPPASHHHHPTYDILPIVGMGGVGKTTLAKLVYDDAKVKQHFELRLWASVSTSGGFHKIDITEQILRSANPTYPASIHSEPTLDMLQFHLSQLVASKRFLLVLDDIREESFTSMACQEILSPLSSAEKGSRILVTTTTASVPAMLGASCTYHLNVLDIEDLWSLLKKYAFHGGPTHDSTQELEEIGRNIASKLKGLPLAAKMLGGLLGATKSTKTWMNVLDKELYGDSILPVLELSYSYLPRRLKQCFSFCSLFPRNYKFNKRVLIQLWMAQGFVQSQNSADKNMEDLAEDYFEELLSRSFFDVRREACETHYVMHDLVHDLAQSVSADQCLRVEHGMISEKPSTARYVSVTQDGLQGLGSFCKPENLRTLIVLRSFIFSSSCFQDEFFRKIRNLRVLDLSCSNFVQLPNSIGELVHLRYLSLPRTLNMLPESVSKLLHLESLCFHKCSLEKLPAGITMLVNLRHLNIATRFIAQVSGIGRLVNLQGSVEFHVKKGVGCTLEELKGLKDLRGKLKIKGLDNVLSKEAASKAELYKKRHLRELSLEWNSASRNLVLDADAIILENLQPPSSLEVLNINRYQGAICPSWLQLSSLKQLQSLDLINCRNLEILPPLGLLPSLKYLCMKELCTVNQIGHEFYGDDDVPFPSLIMLVFDDFPSLFDWSGEVKGNPFPHLQKLTLIDCPNLVQVPPLPPSVSDVTMERTALISYLRLARLSSPRSDMLTLDVRNISILCWGLFHQLHLESVISLKIEGRETPFATKGLCSFTSLQRLQLCQFDLTDNTLSGTLYALPSLCSLEMIDLPNITSLSVPSDIDFFPKLAELYICNCLLFASLDSLHIFISLKRLVIERCPKLTAGSFPANFKNLTSLKVLSISHCKDFQSFPVGSVPPSLEALHLVGCHQS >ORUFI04G00690.1 pep chromosome:OR_W1943:4:654501:658722:-1 gene:ORUFI04G00690 transcript:ORUFI04G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAAIPPRPAPTPPAPAPAPPQVFLRRSVLPPPPAPHHAPPPPGAHVHYFRAASPIPIFRAAASSRPPRPPPPSTTTAPPPPAAPAVTPARPPPQQPAVAVAAPPPATTTATATEEVAAPATGNPMANAADNEEKNERETVQSEVDKGETVQGPDKECTTGAVKGIKRPRKPKGFKKGDAGPSLFSPNNCRYDSSLGLLTKKFINLLEGAEDGTLDLNKAAETLEVQKRRIYDITNVLEGVDLIEKTLKNMIRWKGFDMSKPKERERQISALKLLELNSITMTVTVPFYVPSKETMEEIESLYDEESRLDDEIMEAQEKLNALRVDEDRRKLLYVSKEDINAIPRFQGSTLIAVNAPRGTYIEVPDPNLDMDIYKDLDNQEKHYQIVFRSAMGPVDCFLISNHQETFNADQQMADNLDAAVTSGSSQAPQQMDYVQASEIGESNGVREHTSEPSKRDDPVPGIVKIVPSDDIAADYWLSSDADVSMTDTWGT >ORUFI04G00700.1 pep chromosome:OR_W1943:4:663303:669363:-1 gene:ORUFI04G00700 transcript:ORUFI04G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPREAWEGCSVLLDINDGDRLAFFRLTPAASAPPFLLDLASPPFPPKNPRPDFPLFRSCRTVKVGNRTCSLQPLVGRPFGSLFSVGPSGLVPCADAPSSRDDTTQDAADGPSQDETRDNRSLVDNNTAQNLSSDDIEAMKRDGVSGDEIVEALIANSSTFGKKTLFSQEKYKLKKQKKYAPKVLLRRPSTRSICETYFKKSPARTGFMRVDALSLLLSMANVGPYSDVLVVDMVGGLVVGAVAERLGGTGYVCSTYLGSAASSIDIIRMYNLSSDMTTRGPCEAFEVITKVGNTHYVVEVVLKSWAIAGNILISLPFRIVQAPLSDLCSLQNSVDVSSGLNDSIQGEAQEPTAVPVENTQPSVPQPTDTAVPDEKTQSPKEQSIDIDIPEPLLDEHINQDGNSSLDSKGDEDGSSIGPKSLKAGKAPSPERMKYWSEHGFSSLIVAAPGHDVESFVADLLPLLSYSAPFAIYHQYLQPLATCMHSLQVSKMALGLQISEPWLREYQVLPSRTHPHMQMNAFGGYILSGIRIHNGDACNGSK >ORUFI04G00710.1 pep chromosome:OR_W1943:4:670194:670625:-1 gene:ORUFI04G00710 transcript:ORUFI04G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAGISSRGRENDDGSTSDSDVVALVLSVVTGGRLLRLSLSRRRGSPQQQQQQKRRLKKDATASQSELQHSSMLQSQSEQQQQQSQSPQSQQSQSQSPQSMLMSSDMSAMGGGGRRREQLDRSSDGWMQIDEQVKSKSIDI >ORUFI04G00720.1 pep chromosome:OR_W1943:4:694049:695711:-1 gene:ORUFI04G00720 transcript:ORUFI04G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVKDWWLNGQLLHSLRQPPPSPGVSCSGTRVDADVAALQPPERRRPTRLLLFLLSALSLEQIREEEDERRREKKIEKIPLESIVFWMATYGISRIG >ORUFI04G00730.1 pep chromosome:OR_W1943:4:730319:730678:-1 gene:ORUFI04G00730 transcript:ORUFI04G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAKPTKPLDTFRHTHTLRHSTNKRRKWGPRNQPSLWTPFATPTPFATIPVRYGAKGWGENKLSPEAKMGAAKLTKPLDSFRHNHTLYHSTNKVRHQGLGAKMS >ORUFI04G00740.1 pep chromosome:OR_W1943:4:731565:732150:-1 gene:ORUFI04G00740 transcript:ORUFI04G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATIPKRYGVKGWGRKGFATPTPFATVPIRYGVGLRAQPSLWTPFTIPKPFAKIPMKYGVKGWGRKGFVAPMPFATVSIRYGVKGWGRKLVKSKQGGFEANLASGHLSPPQSLRQNTNKGWGRKGFARPMPFATIPIRYGVKGWERKLVKSKLGGFEANLASGHLSPHPHPSPQYQ >ORUFI04G00750.1 pep chromosome:OR_W1943:4:734640:738074:-1 gene:ORUFI04G00750 transcript:ORUFI04G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEDPETRMKGQEGHLRVQPVGAIAGESQAVAIYRSGVCVDMKE >ORUFI04G00750.2 pep chromosome:OR_W1943:4:734648:738074:-1 gene:ORUFI04G00750 transcript:ORUFI04G00750.2 gene_biotype:protein_coding transcript_biotype:protein_coding CPRIPAKRFSEPPRRRDATPRTGSGTRARAAGELAGNPNPPQNGGCSPSVQLQANRRPSPYIEVGFVWI >ORUFI04G00750.3 pep chromosome:OR_W1943:4:734878:738074:-1 gene:ORUFI04G00750 transcript:ORUFI04G00750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEDPETRMKGQEGHLRVQPVGAIAGESQAVAICALPSACYAFGAIT >ORUFI04G00750.4 pep chromosome:OR_W1943:4:733121:734641:-1 gene:ORUFI04G00750 transcript:ORUFI04G00750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAILREFGTIEGMENLLPEDVLSNIIHRLAPRYLAISRCVCKTWCTIIDAHNLLHVDLLPRPLCGIFINFNELSMSEFFSRPSKGPTVSGNFDYLPCSSCIIDHCNGLLLFHKYVVNPATRQSAPLPPCPYMVVEHIFHREYLVFDPTLSPHFEVFMIPEIRRSNVWYNMLNSDDKLDPAIEELEWPPLPCILHVFSSRTKVWEERSFVREGEAAGNVSDMRLDHPYVPDTSVYVPDTSVYCRGVLYVYCQNKYVMRISLSNGKYQVIKPPSDCEGMAYTNLYLGKSMKGVYCAVRHLASRFLIYILDESSDRMEWVCKDSCSIQPCQIIDGPGPWTLQDINNQERGFEYEDGNNEAVVEDRFEWDSDNDNVIETNSRGSGGYINFLVDTTRRGRYNSGGYIDFLGFHPYKEVIFLSDSLRRGLAYHLNSSKIQDLGSLRPTNYGTEVGIQPFIQKFFPYSPWMGRFPEDN >ORUFI04G00760.1 pep chromosome:OR_W1943:4:734793:737717:1 gene:ORUFI04G00760 transcript:ORUFI04G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPILEHPNGSRDGYVASMSGFLLDWAGASGDCPKCVASGGQCTYGDGLRFACNCTDGLHPEKCGARSNLKKIAIAQEEKQTRDLKDLMRSSSSMQSYSKYLELGGSPHIFTYEELEEATAGFSATRELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYRRVEQFLNEVDILSRLLHQNLVTLYGCTSRSSRDLLVVYEYIPNGTVADHLHGPRAGERGLTWPVRMTIAIETAEALAYLHAVEIIHRDVKTKNILLDNNFHVKVADFGLSRLFPFEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLIELISSKPAVDMSRSHSDINLANMALNRIQNHEVELVDPEIGCETDSETKRMLSGGRAGLSVLADGHR >ORUFI04G00770.1 pep chromosome:OR_W1943:4:754074:756634:1 gene:ORUFI04G00770 transcript:ORUFI04G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIPAHYPPNPRDNGPLPRLALPLLAPSRGAGAGASHRIRPRRRLLSPQMSPPPPVAAEVAAAAASRRICTCRLTGSAGAASLCSPVPAPPPFLPRCTTSAQPSLLPTARHRLFPNKNHELGISFIVRRYTLLASTMLLIRFLRDFIVKYGLRKHNSCLQHIKAKKRNHWANSWSLFFIELVSGMSRLVVLFSTKFPCYRISLPSYLLD >ORUFI04G00770.2 pep chromosome:OR_W1943:4:754074:756238:1 gene:ORUFI04G00770 transcript:ORUFI04G00770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIPAHYPPNPRDNGPLPRLALPLLAPSRGAGAGASHRIRPRRRLLSPQMSPPPPVAAEVAAAAASRRICTCRLTGSAGAASLCSPVPAPPPFLPRCTTSAQPSLLPTAR >ORUFI04G00780.1 pep chromosome:OR_W1943:4:777024:777620:-1 gene:ORUFI04G00780 transcript:ORUFI04G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPGSCEMCASCCTLLLLLVAALLILSYGVVFNVGVTVEDASLTRLDLAGANGTDLAYGISLTVAVHNPNMAVRAEYTRPLAAELRLATREELLHAVHLADAGQRVEAAETDRFGVTDEGVAAHLGGAALTELVKEMGARGGGGVVAGAEDHRRGEVPAGARRPDEEGGRDVPAEAVPTGDEHHAGQPQGHQMPLD >ORUFI04G00790.1 pep chromosome:OR_W1943:4:784242:784598:-1 gene:ORUFI04G00790 transcript:ORUFI04G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVVAVGWAIRTIGWIVSPMTTKAVNKGIDLLNCDQEAQLRNLVACLEPQLRRQMEHMTSMPYLDKWVQSLRSAFYDAEDIVDIHDYLNLALHMQLCRFGCKPNQVTFFPPSNSNY >ORUFI04G00800.1 pep chromosome:OR_W1943:4:784806:788170:-1 gene:ORUFI04G00800 transcript:ORUFI04G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFAWPEVGWGKFSTRSMPNPRYRKIVSETIFFFPSIHGAHLPRLLTALGLEAARGPGAAYLQPPLVSFPPSVTAGLHPATAAAARHLSFLASDSPVAGEGKVEGNSPDIKKQNSSVVNLLVPMLGSSFGLRADRPSNLVSQDCKSVLLRFNDKLRGNQLLKSGEAHTKIYDSTTNLQFVPFPWGNNPNPTWVDFPLD >ORUFI04G00800.2 pep chromosome:OR_W1943:4:784758:788170:-1 gene:ORUFI04G00800 transcript:ORUFI04G00800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFAWPEVGWGKFSTRSMPNPRYRKIVSETIFFFPSIHGAHLPRLLTALGLEAARGPGAAYLQPPLVSFPPSVTAGLHPATAAAARHLSFLASDSPVAGEGKVEGNSPDIKKQNSSVIASLMVHRPGLT >ORUFI04G00810.1 pep chromosome:OR_W1943:4:791113:794824:1 gene:ORUFI04G00810 transcript:ORUFI04G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRDRGGGSSSRNPHGAPVEEEEELEDDFDEFRLPMSHRPTENLETEGLEQASVDTQLTSSNVGFRLLQKMGWKGKGLGKNEQGITEPIKAGIRDAKLGVGKQEQDDFFTSEDNVQRRKLNIELEETEEHIKKRELLALHIDICILFMQELLASALMILEHFGHFLFGGSFSCLVQIMTIQVIAEREQKIRSEVKEIQKVFFCSLCNKQYKLAHEFESHLSSYDHNHRKDYISDKFTVVTTEVQGNERNAKQQQQQPADAHRKQQQQKQEPSESSSERITMKNLPNPSNQDQRKTLKFGFSKMAPSKQYLIVNLATLLHGQAPVGNGSDKAHGTPLYYCVGFYLVPFNSDLGLHLGNSWSGSCLAKFLESLSLRALFNCSWFLALVYEQLNT >ORUFI04G00820.1 pep chromosome:OR_W1943:4:798818:802862:1 gene:ORUFI04G00820 transcript:ORUFI04G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTLLLPSSSSSSSKTATATDTSDRRRHHHHHNHTKRKKKPPPPPLSPTPRTPPPAGSGSHRAMAASSKKSTKQVVVAAKTTTGHRPKKAAAAPTTPSRGAPSASAAPVSSSWEQLKSLLSCRSATAAARVHDPAAPSSALSRLRSHGAGACGASLCAIRDVVDAASSASAASTAAASLDRDTTPLTRSSRRAHRAATSSSGGGGGHHASLRGLSGCYECRAINVEPMSRRYPRPRELCACSQCGEVFNKADSLEHHQAIRHAVSELGPEDSGRNIVEIIFKSSWQKRDRPICQIDRILKVHNAARTVARFEAYRDAVRTRCRATAARAAADGNELLRFHPAALACPLGLNGATSLCDDDDACGVCAAIRHGFAPWAGAHPLGVRTTASSGRAHDCGAAAAAAQQAGGCRAMLVCRVIAGRVRRNDDDGGAEEEEGAFDSVAGDEAASSVYGNLEELFVANPRAILPCFVVIYRVVPE >ORUFI04G00830.1 pep chromosome:OR_W1943:4:806505:812874:-1 gene:ORUFI04G00830 transcript:ORUFI04G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADKWTRIRAGSIDEAAKKIIDFLDDTSNNRSTVIYFEAGYHGLGSSAVLKEVAKRLRWSSVPEKLKLGLDKIIHVDCSLWQSKRALQKAIAQELQLPRRVMAVFDQRDEEDDFDGVEHGARGVIPDVTQAIFNELAGHTCLVIFHNGSGGYIDVQECGVPAIMGLSSKRVLWTSQVRFGPWMITGDVHMVAGPSDVAMFADPMDDQPLLHEEAEEVARSTGVPKPGMSPEIVKECIMYYKVVRLLDGNHGIDWATHAANYWVCSGIIRSVGNTSAWEIAQALHTNLRLDWDDSLNNNKEKLDPLQVPCDSVKASFFWATSHSNKEATTSCKESLEARMFQHSSVDRLRVINLSQCTFSFTSPPFLGCSSLRFLLLDRCKDKDKLCSGSSPNSTSAGDTEKETSISSGACFQKLWVLDLSYTDWYWLLSVEAQDLMVELRELNVKGVKHWSISHLLRDDNNSSNGVGSSTKPLGLLNLVKLQVTTEPITEVQHQSQVLKEDQVAATLFPNLSRCKIVKTIILDGCFELTRIGPHDLPPSLESFSFSSNSNDNDVDVTAKIESISFRGCTLLKSVLLRGVFERLKQLDVSGTCIKTLDLRSMRGNWSLKELLLLGCKELRAILWPKQDVSLEVLHIDTSNTELGHATGVVESSSFSPVEFKWYISLRDDRRLLRSLNDTKYPLHAPRIEISSPPASVATATTDGSELGGTISKRRPIAISRAEQPWLMSTKSRRPAADNKKLYADVNSTIQHLQLQATMNGNWMWPYKQEGSTSHYISLQDDKRMQTKPLSSPSLPGSICERASGLHVHDSLSIASITSHSNEARKWNNLEWCRVERCPNIEGVVFTPPSTGSNSIFWYLKTFWASQLARARHIWDWSTTGQIHFEPADSSFWLKVLHLNCCPRLIYVLPLYDNRPSYAYCALETLEIVCCGDLKDVFRVDDNNQELLKTIKFQELKHIHLHELPSLQRICGHRIVAPKLETIKIRGCWSLTRLPAVGLDSTCKPKVDCEKEWWDGLQWDGLENGHHPSLYVPTHSLYYKKKLPRGSMLSDMILCFEVKPSAILQWRVACSKIWGVSQETLDLAREAISYTSRSSRSRSLATCRLHPAFSFISDG >ORUFI04G00840.1 pep chromosome:OR_W1943:4:820183:820602:1 gene:ORUFI04G00840 transcript:ORUFI04G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMPRRPVLWPPAGGRRTLLRASPDRRRVALVRAGPHALRLCSAPWPARGRRRWGKRKRTREREIMTCGTHIYLAHSNSLPMMIIPVHDAWVRMIRNLIKTNIKFTLHDKLDPLVIKTAGLALVNLGWSHVSANVSYG >ORUFI04G00850.1 pep chromosome:OR_W1943:4:827533:831783:-1 gene:ORUFI04G00850 transcript:ORUFI04G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAEEWTRIIADSIDEAAGRVIELLEDTSEGNVMYFHGWCGLGASAVLRAVAKRLTMRPSPSPGRRRWEKMIHVDCSVWQSKRALQKAIAQELQLPWSLMALFDQHDEEDDFSGVEQSARGVIQDVSQAILSILASRTFLVIFHNGSNGYIDLLECGVPVITGILNKTVLWTSRSSFRITDLYIDNVSKEDRDKLAGLSDVAIYADPTADNEDAVKFIGMLLHEEAEEVARYTGVPQSAGMSTELVKMCIMYQLMLRQQHVDYTQHWDTHAGNYWVCSGIIQTSSDTTSSTCCHSSSPWEIAQALYNNLILEFLTMDNYDSNPIFAEEILRDLRLPSDFVDESSFFWTCDAAGNNNVDATTACYRQKSLKAKMFQHPSARSLRVIHLFDCTFSFASPPFLSCSSLRFLLLDHCKDKHNLGSAPNSTSAGDTDKETGISSGACFQKLWVLDLSYTDWYWLLSVEAQDLMVELRELNVKGVKHWSINHLLRDGNNSSAGVGSSTKPLGLLNLVKLQVTTEPITEDQHQSQVWKEDQVAATLFPNLSSCKIVKTIILDGCFELTRIDPHDLPPSLESFSFSSSSNDNDVDVTANIESISFRGCTQLKSVLLRGVFERLKQLDVSGTCIKTLDLRAMRGNGSLKELFLLGCKELRAILWPTQDVSLEVLHIDTSSTELDHATGVVESSSFSPVEFKWYISVRDRRLLRSLNDTKYPLDAPCIEISSPPASVATATTDGSELGGTISKRRPIAISRAEQRWLMSTKSRRPAADNKKLYADVDSTIQHLQLQATMNGNWMWPYKQEGSTSHYISLQDDKRMQTKPLSSPSLPGSICERASGLHMHDSLSIASITSHSNEARRWYNLKWCRVERCPNIEGVVFTPPSTGSDRIFWYLKTFWASQLARARHIWDWGTRGQLHFEPVNRSFNLQVLHLDCCPRLIHVLPLYDNRPSYAYCVLETLEIVCCGDLKDVFRVYDNNQELLVATKEFSELKHIHLHELPSLQRICGHRIVAPKLETIKIRGCWSLTRLPAVGLDSTRKTKVDCEKEWWDGLQWDGLEKGHHPSLYELTHSRYYKKKLPRGSMLR >ORUFI04G00860.1 pep chromosome:OR_W1943:4:843147:843632:-1 gene:ORUFI04G00860 transcript:ORUFI04G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERFLAEPLKATTVTCIPRLRSGGGGGARRHRRAASSGGASAPPVSSSVLDRVRDVVLRLAMLSAASTTTKQGALPPQQQTATPIRTSLGRSAAATSRRSAAVRMSPAAPAYADSYRSEAVDECIEFLKRSAAGVGVGGAPASPAVGVMNSPSPLHACAM >ORUFI04G00870.1 pep chromosome:OR_W1943:4:853366:855484:-1 gene:ORUFI04G00870 transcript:ORUFI04G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGGGGGGGDGVTVVGRAEIDMRAPFRSVKEAVVLFGEKETPLLAGDGDVHGPGLHRLRLMNRAATTPPAIWPTFSQQQVSGGVVAVTRHVPAELEEARQELEKERSEKQKMAGCILSLQEELSNAMSELNKIKARDDVGDGDGGEAAAKVIDLQVEDLKFVEIDDDKPQPRQQSPSPGEFQKRRYVTFADPPPPMASAYDRAPPQAPLPDVVIELRHRHNHSSTPSPPQLREVRFMRQMSASHGMMKAAAVAAVDQEGRKKKKSLIPLVGALFMRKKKSSSCSCHNDDSALNPRTSF >ORUFI04G00880.1 pep chromosome:OR_W1943:4:857372:857785:-1 gene:ORUFI04G00880 transcript:ORUFI04G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDGSTATTMGEAGSATAMTGEASEEGNNGGGRVDTDPAAAAVLRRSSTTVKVVDPVAAAMTTMTAVLLQPPPLYCLATAAWASAGGGHGEMLRWKLAVLAAVVAGGTNFEIFYPKIVLSVIHFISPVTHCLSIVA >ORUFI04G00890.1 pep chromosome:OR_W1943:4:870532:873059:1 gene:ORUFI04G00890 transcript:ORUFI04G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAAPLWFTSLAGLGAAYLTVVFLRLLPYLALYLRRPKDLRRCYGEWAVVTGPTTGLGRSMAMELARRGFNLVLLDLDRDNLREVSEAIREAHAGAVATRTVVFDLSTVGTGAGEEGMRRLREAVDGVEVGMLVNNAAVARPGALYFHEADVERLVAMIRVNAMALTAVTAAVLPAMARRGRGAIVNVGSGSTVAVPSFPLYTVYSSTKRYVEQLSKSLYVEYKGKGIDVQLQVPFYVHTNMLSAAIKDRMLLPAFVATADDYTRAAARWVGHGHIAVPDAGQQLQWFLAAFVPDFAHDWYRLRKHLQHRAILWNLI >ORUFI04G00900.1 pep chromosome:OR_W1943:4:876716:877706:1 gene:ORUFI04G00900 transcript:ORUFI04G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLMPRFYLPSSRLPHAITNLHDHVPAWPYPRCCPASQGHESAFRSKPSKATVNGAHRMGTVKGPPGRKLPGITLACEVVLRKVYVRPPLKEWRSANLKIAANKGWPKMRYPRAPVHREMIINGHIYPVLKVAKWMQKYPSDSETSSPDLRSRYDFSSQFVGLSVIVTFQTPKRMLRQGKLVLPAKQSWSMNALWQVM >ORUFI04G00910.1 pep chromosome:OR_W1943:4:883475:884812:1 gene:ORUFI04G00910 transcript:ORUFI04G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMILVLVGVLLLLWRARRRRGGVYLVDYGCFLGEPRHRVPFATALEHGRLMTNLLDEESTNFMVRLHAKSGIGEETSVPDSFRYIPPESGIEASREEAELVIFSAVDKAFAAATGLVPADDIGTVILACSFTTPTPSLADVVVRRYGLRADVRSVNLSGMGCSGALIAIGLAKNLLRVAPPGSRVLIVATEILSSMLYTGRKREMLVPNVLFRMGAAAIIMSNSPEKARFRLGPIVRTLTAARDGDYRCAFQEEDDEGITGINLSKDLPVVAANALKGHLVAFGLAVLPTSELLRVAISFINHKIINKFFTTTKDSNNNYYRPGFHRLFQHFCIHPGGRRVLDEVQRGLGLTDDDMEASHMTLHRFGNMASSSLLYELAYIEAKGRMRKGDRVCMISFSPGIDCSSVVWECIKPTDHHLHHGPWAACIDRYPVQLPKIVKRTA >ORUFI04G00920.1 pep chromosome:OR_W1943:4:885895:888384:-1 gene:ORUFI04G00920 transcript:ORUFI04G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGVTVVGRAEIDTRAPFRSVKEAVVLFGEKVLAGELHAGAGRRLLATSDQLQQNRATTTPPARWPTVSQVKQVAGAVAAAAGATRHAPAMATAELEEAKQELEKERSEKKKMAGCILSLQEELSNAMSELNKLKARDNEDDDGGGEAAAKVIDLQVEDLKFVEIDDDKPQPRRQSPSTTVTAAAAAAAGSASPGEFQKRRYVTFADPPTVASAAYRAPPLPDVVVMEPHHHRPAAPPLYREVRFQRQMSAGHEAVKMAAAAEQEARKKKKKPLIPLVGALFMRKKKSSSRSCHDDSALNSRTAF >ORUFI04G00930.1 pep chromosome:OR_W1943:4:894351:896060:-1 gene:ORUFI04G00930 transcript:ORUFI04G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTPGPYSGVSTLALVARASALGVGVVYGAVKLSILKATKPNKKEAANAHH >ORUFI04G00940.1 pep chromosome:OR_W1943:4:896551:901415:-1 gene:ORUFI04G00940 transcript:ORUFI04G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGRGRGARVAAPAGDSGKGAQAEWLRIYDGIVAMLRKTQAQVEELVAERDHLAAFVKIQHDFMVSRVGRLQSSLQQARKADAIRKRYEAANMEILIGDKEREARSYQKIAELTENDLEDFRTSIAALAAENYELKEKLKEVERHAELAENTVDHHIHSPRDLRAELKKLKHAYKTLSSEKEKEVSALRAEKDFVWNQLRTMENDYTDLLKKKKIEAAQATEAAQKLQKNLEELQDQNKGNEIGRLQAEAVDAKMNISILEDKLQEMLSLVKEKDLEIEQLKHGQPMTSQINKKDINQKNRKCRSQDPPSRDKSTNLQATPPGRKVKISRQHASSSKQKQVQSRNNSRRQKLEGDKSEVGEKRKRALPSSRSATMFRKAAGEILCISSGPAITLLPNIPGSKGEDPDSSIATSSQFPPGPGHQEPATHAKV >ORUFI04G00950.1 pep chromosome:OR_W1943:4:903623:908107:-1 gene:ORUFI04G00950 transcript:ORUFI04G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAAVSDDDDDGPPAYTVPRGPRRDPRLKDLGISCMLNTEVAALLAVIRRRPDPYSYLPPAVAAAEEATFAGLIQSLKNLRGLLFQPRHGAWRCSDPAMYLKPFLDVVQSEESPPAATGVALSSVLKILRIDVFDECSPGARDAIQAVLTAVSSCRVERIADPGAEEAVLLRVLQVLAALLRARAAPLLSDHAVCNAVNTCFQVVQHAASGRGSELLQRTARHCMHEILQAVFARLPDIPAADAADADDLSVAGFGARCMADIFNFLCTLLLNAPDMVATGDGHGAFITEEDVQLFSLVLINSAIELGGEAIGKHPKLLRLIQDDLFYHLIHYATESSPLVLSMICSTALNLYHFLRRFLKLQLEAFFMYVLLRLCGGGGGAQLQEVAIEGLISFCRQPTFVIEMYVNYDCDPMLRNVYEEVGKLLCKAAFPASSPMTTVQLQAFEGLVNMLTTIADNVEVDKAPDHAAYAVDISDYRLFWVERWDAAAAGGSGNNETWVDFVRKRKLRKKKVAIAANHYNRDEKKGMEYLRLSQLVPTPPEPRSMAFFLRYSPGLDKNKIGELLGDPEEQSLRVLKEFTETFDFTGVILDTALRTYLETFRLPGESQKIQRILEAFSERFYEQQTAEVFATKDAAFILCYSLIMLNTDLHNPQVKKKMSEDDFIRNNRAINAGKDLPREYLSELFHSISGNAITVFSQASAAAEMTPTRWADLVKRSRAIDPFTPCDFKHKLTREVFVTVSGPAVATLAAIFDYTDDEDILNQCVEGLISVARIARYGLEDVLDELLCCLCKFTTLLNPYATTEETLFTFSNELKPRMATLALFTITNRFGESVRGAWKNVVDCLLKLKRLKLLPPSLVDQEGGAGAAVSTERLGHRAKSESGVIFPSSHRGAGTSRHVSGMIGRFSQFLSLDAGGESLLSVGSEFENNLKIIQQCRIGSIFTESGKLPDESVQNLGRALIFAAGGKGQKFSTPVEEEETVGFCWDLIAVVSSANVHRFTTFWPQLHDCFAAVSQLPLFSPCPFAEKAIVALFRVAVRLLSGGGGDRMAEELVFKSINLMWKLDKEILDTCCEGISECIVKLLMEYASNVQTPIGWKTVLHLLSVTGRHPETFDQSVAAMIKLMNDGAQVVTRFNYAACIEAAFGFAALKISPLDISTRILQLMADSVNWLILWHKSGYSDPGNAWSSSSSSSSSSAAAMMMMEDASRMGNLATSMFIKLAEALRKTSLVRREEIRNQAVAELGRAFALAAAGELELGPTGSLACFNLVIFAMVDDLHEKTLEYSRREGAERETRSMEGTLAAATELLADVFVLFLGTLAQGAGFRTFWLGVLRRLDTCIKSDLAAGGGAGVMQELVPRMLKRMIVEMKDKGVLVAMEGDELWEITHIQIQWIAPAVMEELFPD >ORUFI04G00960.1 pep chromosome:OR_W1943:4:908128:936600:-1 gene:ORUFI04G00960 transcript:ORUFI04G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWRAPVGRLWRCRREGSKSSARDQDMTLEPHSIHITKDPSLILESIEALERRTEVVEELTKVLRRRACSWRTTIPTDRLVRPCRGSCCDDGGGGGGSTNLSMKAPKSSAMASRRAAERLGLGCTAAANGGPISKTAEYSKIMLLPYTAGSRVRNMQSETGKAYDETGQSIRFALNDFLYYKVAYHLEGVGGFTDNEVGDVADDVGCEAHVEEHEGYAEQHLADVGGMQVAVADRGKRGDGPDYKLKIGDVHSLHSWFTFIPPYTRALPPCDRSN >ORUFI04G00970.1 pep chromosome:OR_W1943:4:914653:917339:1 gene:ORUFI04G00970 transcript:ORUFI04G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSELLRPAFGKVSPSLGRFVVNPHSCSYRWWHMFLIMLVLYLAWASPFELSMEKAASVALVVTDLVVDVFFAIDIAISFFVAYRDTSTGLLITDHKDYGGSSIFSVSSDYGASASSLRVYWSITTLATVGYGDLHATNIGEMLFSIAFMLFNMGLTSYIIGNITNLVVRETSNTFKMGHGAAGVGVREHEPAARGDKGADVGQCAAQVQDRGAAAVGGAVRAAQGCTVGRYEVSKMKAKLFPPKANVILENETSTDCYIIISGEVEALTTLADGTEKHVKRIGPRGMAGEIGVMFSIPQPFTIRSRRLTHVVRISHTHLLQAVWPNTADGYIMFSNFIQYLESLKVQTKEVAFVSGHLCNENSTVLGRATEFAVDESKDATHKLLPCKEPKRVVIHEQLPNETGTTLYPSPGKLVLLPDSMQELMKLSEKKFGKAARGILTVEGAEVEDIEVIRDGDHLFFSL >ORUFI04G00980.1 pep chromosome:OR_W1943:4:918100:923998:1 gene:ORUFI04G00980 transcript:ORUFI04G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRAATMAATAAAAAAAPPADLPDSSPAASSPPRPSPEELVARAVAPVKPAFLRPPPVRDAPKDEGKANGGGAVVAEKKSKRQLKRERKQEQKSSSHLCIEVGKSGNVSSCKYGDSCRFSHDIDAYLAQKPADLEGMCPFTSLDQLCPYGLTCRFLGTHKYIHAASGNLSEKHEINALNKDIQKLLWKNKYKFPKASAQIKLLGLKEVIKSKPDAANDDKKVNHDNLDGNGDEKKEPLCNPPVNAECDSTLCEELDRSEGEPLIDNSITCVEPRPTKKSKVESDEIDKHGAGTLNTKVESEDPNLSNGLEVPSNNASSCRTDLITTPHLREKKIIDFREKLYLAPLTTVGNLPFRRLCKTLGADITCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAYPDTVARTVELVDNECSVDFIDINMGCPIDIVVNKGAGSSLLTKPMRIKSIVQAASTVTEKPLTVKVRTAFFEGRNRADSIVSDIYDWGASAITVHGRSRQQRYSKLADWDYIYQCAQKAPDQLHVVGNGDVFSFTDWNKHVSGCSKISTCMIARGALIKPWIFTEIKEQRHWDITSGERFNILKDFVSFGLEHWGSDSKGVETTRYFLLEWLSYTCRYIPVGLLDVIPQRLNWRPPSYCGRDDLETLMISDSAADWIRISEMLLGKVPEGFTFTPKHKSNAYDRAENG >ORUFI04G00990.1 pep chromosome:OR_W1943:4:927845:933786:1 gene:ORUFI04G00990 transcript:ORUFI04G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERQRELELDMAMAGGGRGDYGAFMERFVLPPPPSQQLPLHGLTFAIKDIFDIAGRVTGFGNPDWARTHAPAAATSPVVLAALAAGATSLGTTIMDEMAYSINGENTHYGTPTNPCAPGRVPGGSSSGSAVAVAANLVDFSLGTDTGGSVRVPAAYCGIFGLRPSHGLVSAENVIPMAQMFDTVGWFSRDLSTLSRVTKVLLPLPDDIVKQPTQVTIPMDCFQILGSLNDRTYQIINASVAKRFDSQIIDNRNLGDFISDNVPSIGKFITDFSESELPSVPALSVISHVMRGLQRSQFKANHAEWVNTVKPNLGPGLRERILEAIASGDNESLEDFQAIRAEFKSALAALLKDHGILAIPTVPGPPPKVGMEAAPLENFRARAFSLLSIAGLSGFCQVSIPLGMRNGLPVSVSLVARHGADHFLLNVVEELYQTLIDEATKTWSS >ORUFI04G00990.2 pep chromosome:OR_W1943:4:924654:928178:1 gene:ORUFI04G00990 transcript:ORUFI04G00990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDFGAFMERFVLPPPPPPSSQQLPLHGLTFAIKDIFDIAGRVTGFGNPDWARTHAPAAATSPVVLAALAAGATSLGTTIMDEMAYSIYGENAHYGTPANPCAPGRVPGGSSSGSAVAVAANLVDFSLGTDTGGSVRVPAAYCGIFGLRTSHGLVSAQNVIPMAQMFDTVGWFARDLSTLSRVTKVLLPLPDDTVKHPTHVTIPMDCFQILGSPDDHTYQIVNASVAKKFGSHAIDNANLGDFVSDNVPSIGKFIADFSESELPSVPALSVISHVMFSLLRSQFKANHAEWVNSVKPNLGPGLRENIHGAVASGDDEPLEEFLAVRAEFKSALAALLKAQAAPLDNYQARAFSLLDIAVVSGFCQVSIPLGKRNGLPVSVSLVARHGADHFLLNVAEELYQTLIDEAAKAWAS >ORUFI04G01000.1 pep chromosome:OR_W1943:4:942253:947492:1 gene:ORUFI04G01000 transcript:ORUFI04G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMSDESLKLYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDTLLGDVQVYPEKGTVAFSAGLHGWAFTLSSFAKMYASKFGVDESKMMERLWGENFFDPATKKWTNKSTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVVMKADEKDLMGKALMKRVMQTWLPASNALLEMMIYHLPSPSKAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLHVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEADACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMTSDPLEVSSQANQLVLDIRKRKGLKEQMTPLSDFEDKL >ORUFI04G01000.2 pep chromosome:OR_W1943:4:942253:947492:1 gene:ORUFI04G01000 transcript:ORUFI04G01000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMSDESLKLYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDTLLGDVQVYPEKGTVAFSAGLHGWAFTLSSFAKMYASKFGVDESKMMERLWGENFFDPATKKWTNKSTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVVMKADEKDLMGKALMKRVMQTWLPASNALLEMMIYHLPSPSKAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLHVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEADACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMTSDPLEVSSQANQLVLDIRKRKGLKEQMTPLSDFEDKL >ORUFI04G01000.3 pep chromosome:OR_W1943:4:942682:947492:1 gene:ORUFI04G01000 transcript:ORUFI04G01000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMSDESLKLYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDTLLGDVQVYPEKGTVAFSAGLHGWAFTLSSFAKMYASKFGVDESKMMERLWGENFFDPATKKWTNKSTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVVMKADEKDLMGKALMKRVMQTWLPASNALLEMMIYHLPSPSKAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLHVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEADACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMTSDPLEVSSQANQLVLDIRKRKGLKEQMTPLSDFEDKL >ORUFI04G01000.4 pep chromosome:OR_W1943:4:943167:947492:1 gene:ORUFI04G01000 transcript:ORUFI04G01000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMSDESLKLYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDTLLGDVQVYPEKGTVAFSAGLHGWAFTLSSFAKMYASKFGVDESKMMERLWGENFFDPATKKWTNKSTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVVMKADEKDLMGKALMKRVMQTWLPASNALLEMMIYHLPSPSKAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLHVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEADACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMTSDPLEVSSQANQLVLDIRKRKGLKEQMTPLSDFEDKL >ORUFI04G01010.1 pep chromosome:OR_W1943:4:949339:951066:-1 gene:ORUFI04G01010 transcript:ORUFI04G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATETETVRASHILIKHEGSRRKASWKDPDGRVISATTRADAAARLADLRDQILSGRANFADLAARHSDCSSARRGGDLGTFGRRQMQKPFEDATFALKVGEMSDTVDTDSGVHIILRTA >ORUFI04G01020.1 pep chromosome:OR_W1943:4:960337:962901:1 gene:ORUFI04G01020 transcript:ORUFI04G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATSPPPPAPPPPTHPPPTSATVRSLTAAGNHAAALRALSSITMASPQQQLDHSALPPAIKSAAALRDARSARAIHAAALRRGLLHRPSPAVANALLTAYARCGRLAAALEVFGSISDSAHDAVSFNSLISALCLFRRWDHALAALRAMLAGGHPLTSFTLVSVLRAVSHLPAAAAAVRLGREAHAFALKNGLLHGHQRFAFNALLSMYARLGLVADAQRLFAGATPGRGDVVTWNTMVSVLVQSGMFDEAVQTLYDMVALGVQPDGVTFASALPACSRLELLDVGREMHAYVIKDDELAANSFVASALVDMYATHEQVGKARQVFDMVPDSGKQLGMWNAMICGYAQAGMDEEALRLFARMEAEAGFVPCETTMASVLPACARSEAFAGKEAVHGYVVKRGMAGNRFVQNALMDMYARLGKTDVARRIFAMVDLPDVVSWNTLITGCVVQGHVADAFQLAREMQQLEEGGVVPNAITLMTLLPGCAILAAPARGKEIHGYAVRHALDTDVAVGSALVDMYAKCGCLALSRAVFDRLPRRNTITWNVLIMAYGMHGLGGEATVLFDRMTASGEARPNEVTFMAALAACSHSGMVDRGLQLFHAMERDHGVEPTPDILACVVDILGRAGRLDEAYAMVTSMEAGEQQVSAWSTMLGACRLHRNVHLGEIAGERLLELEPEEASHYVLLCNIYSAAGQWTRAAEVRSRMRRRGVAKEPGCSWIEVDGAIHRFMARESAHPASEEVHAHMEALWGEMVARGYTPDTSCVLHDMDDGDKAAVLRCHSEKLAIAFGLLRAAPGATIRVAKNLRVCNDCHEAAKFLSKMVGREIVLRDVRRFHHFRNGQCSCGDYW >ORUFI04G01030.1 pep chromosome:OR_W1943:4:965412:968234:1 gene:ORUFI04G01030 transcript:ORUFI04G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVFGILCKIGSILSSHLTQAFVAHLGKEVSVFIEIESSIKQIRSEFRLMQAFLQDGQEKESHSRLAETFLHEVQQVSFEVEDILDEFVYLFGQKQTASLKSLRNCFPKSKSMMHWQRLAAELKEAQNRLQNLRNLKVQYNIDLSEESPSSIRYEDSQVHTIQHIKHNNKIVGFANERDCLQELLMTNEKSCSIISIWGMGGSGKTTLVKTVFERKAIKNRFDCLIWVTVSQTYDITEIMRKIIQCALKETCPADLESMCSEGVALKLQGTLQGRTYMMILDDVWDTNVWFNLEPFLDVNSRGSKVVITTRINDVASLADDKNRLQLRGLNEAESWDLFCMWAFRHTEDQTCPLRLERVARQIVGRCEGLPLAITAVGNLLSFKRLDSFEWDKFYNQLNWELHNRLDNQGLNMVTRLLGLSYRHLPAHLKNCFLLSSIFPEDYMIHGKWLSRLLIAEGLVEPRKNMTLEEIATEYIEKLVDRCLLQVVRRDKLGRIWQLQMHDIVRELAISISEKEGFCMIYTSKEAHTSVVGCEPRRLSVHENYDRVQQIINAQRIRSFYPYQLDSDYSVMSNVQWVSTSARYLKVLELSNIPITTLPRDIGSLFNLHYLGLRRTKVKQLPESIDRLQNLRTLDIYLTEIGKLPSGVTRLRLLRHLIAGKAEATYFGLADVYSGVQMPNGTWQSLDINVFTGISASNKLVEQLAKLTQLRSLKLSDVKSTHYAKLFVSISKMRLLQSLLIETANRDECVSLEALNPAPHHLELLFMKGKLHESVIGCHLFEVNRLSLRELNLQNSRLSIDPLPSLSNFCNLTLLGLFNTYSGESLLFQAGWFPKLQTLTLAELQNVNSIVIQEYSMPNLYNLALICLKNLEYLPQGMEFLKSVEEFNLVGMHHKFMEDVQAGSSYEKVKHIPVVDYFDQSKGRWDRLSRVYGKGNP >ORUFI04G01040.1 pep chromosome:OR_W1943:4:970480:974349:-1 gene:ORUFI04G01040 transcript:ORUFI04G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFVGNLDYDTRHSELDRLFYRYGRIDRIDMKSGFAFVYFEDERDGDEAIRALDGYPFGPGRRRLSVEWSRGDRGSRRDGYSKPPVNTKPTKTLFVINFDPINTRVTDIERHFEPFGKLSNVRIRRNFAFVQFETQEEATKALEATHSTYESDSPLLNLVEIPYAKLPDFLICHVNKLLDRVISVEYAFRDDTERGDRYDGARGGYGRRDDSPYRRSVSPVYRSRPSPDYGRQRSPVYGSYDRSPVNDRYRSRSPVRRSRSPLANRRAYD >ORUFI04G01050.1 pep chromosome:OR_W1943:4:974496:978104:-1 gene:ORUFI04G01050 transcript:ORUFI04G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPLTVSGRLGLPKQSMIAWAYQPMSSMAQPNEPKQKPTNTRHRPTPTKKPSSSKSPKSPSRLPLRPPLHETTTQVTLLLLLGARL >ORUFI04G01060.1 pep chromosome:OR_W1943:4:975286:976336:1 gene:ORUFI04G01060 transcript:ORUFI04G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADAILSSQVAGECLKINKLAAASPVKVVQVQKPSKETKNISGAPVAAAAVRVVVSKQVMKPRFAVELDGLNCFETLVPR >ORUFI04G01070.1 pep chromosome:OR_W1943:4:978996:979646:1 gene:ORUFI04G01070 transcript:ORUFI04G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIKTRSKMSNNLHLLEENFLYKLKDE >ORUFI04G01080.1 pep chromosome:OR_W1943:4:981691:985158:1 gene:ORUFI04G01080 transcript:ORUFI04G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSFTAAAKFLAPAVTREEALELYEDMVLGRIFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLNQADCVVSTYRDHVHALSKGVPARSVMAELFGKATGCCRGQGGSMHMFSEPHNLLGGFAFIGEGIPVATGAAFAAKYRHEVLKQSSPDGLDVTLAFFGDGTCNNGQFFECLNMAQLWKLPIVFVVENNLWAIGMSHLRATSDPEIYKKGPAFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPTLVECETYRFRGHSLADPDELRRPDEKSHYAARDPITALKKYIIEQNLATESELKSIEKKIDDVVEEAVEFADASPLPPRSQLLENVFSDPKGFGIGPDGKYRCEDPLFTQGTAQV >ORUFI04G01090.1 pep chromosome:OR_W1943:4:992383:994806:-1 gene:ORUFI04G01090 transcript:ORUFI04G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLDLLMGVFRQPTLGGVALELASLAAPLWLAALVGLLIGWAWRPRWALVVVGEAPPPPRAAAEDSCRKNELVAAVPRTAMAAAAPEEDEGLAVDTGDLMHLRRLVEEKDGGPAWIHMMDKTLPTMRYQAWRRDPEGGPPQYRSSTIFEDASPEVVRDFFWDDEFRIKNTWDDMLLQHDTLEECTKTGTMVLRWVRKFPFFCSDREYIIGRRIWASGKTYYCVTKGVPRPSVPRCNKPRRVDVYYSSWCIRPVESRNGDGSMTACEVLLFHHEEMGIPREIAKLGVRQGMWGCVKRIEPGLRAYQIARTAGEPLSKCAAMAHVNTKVDPDELITAEDKTEASSTNNAEAEKPKHWTGNIPKVILVGGAVALACTFDHGLLTKALIFGTARRFAGPGRR >ORUFI04G01100.1 pep chromosome:OR_W1943:4:998983:999738:1 gene:ORUFI04G01100 transcript:ORUFI04G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLTQRGTHVDPTCQAATSAHTLFPPLLSLSLCSLLPSSPSLSALARAATRAAGDGGSQVAARGLAGLPVPLVRLVMAACGCVHHVEIDSTTPPSSRCRRCRAVTAVSPAAIPLPRGPRGRRPAEEPARRSSPRAPLLPPRRPSPAAATLLVPAELEKGEREMRKGERGGGRERRLMWQPDMWGPRGSHAESAAT >ORUFI04G01110.1 pep chromosome:OR_W1943:4:1002914:1009064:1 gene:ORUFI04G01110 transcript:ORUFI04G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGTINSCLGKLQQLKYLNMERNFLMGEIAPNLLINLTKLETIHLGVNNLTGTFMLSWLANSSNLVDVVLSHNYNLKIETELVRWTPLFQLVYLNLSNCVINRRSNGVVPTFLSTQLSLSGIDLSHCSLQGRIPPWLFYNLSDFVLLNGNRMDVIDMDGLGENKISMSIPTNFGSIFQFLDYCDMSSNRLYGGIPSLAEATSLEHLSLENNRFSGWLSPLLSNSSNLKTLNVRNNHLSGIIPDGLLSFQQLGVILLGGNDFHGPIPLDLCFNNYLHFVDLSNNQFSGEIPNCFYNDFWTDLPMYFNDDPFSGNITERMSVDFTTKGENLTYMGEPLVLMTGIDLSMNQLSGAIPPPLGFLRQLKSLNLSHNQLVGPIPETFMYMQDMESLDLSYNHLNGSLPMQLANLSFLCSFNVAYNNLSGEIPFQQQLGTFDESAFEGNDNLCGEIINKNCSSVLHQNQGVFDAIDTSLVFWSYVFGCFALGFWGTVALLIWDEVCRRRLCDLMDALMFKLGWEFVP >ORUFI04G01110.2 pep chromosome:OR_W1943:4:1002914:1009064:1 gene:ORUFI04G01110 transcript:ORUFI04G01110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGTINSCLGKLQQLKYLNMERNFLMGEIAPNLLINLTKLETIHLGVNNLTGTFMLSWLANSSNLVDVVLSHNYNLKIETELVRWTPLFQLVYLNLSNCVINRRSNGVVPTFLSTQLSLSGIDLSHCSLQGRIPPWLFYNLSDFVLLNGNRMDVIDMDGLGENKISMSIPTNFGSIFQFLDYCDMSSNRLYGGIPSLAEATSLEVLDLSSNNLNEEILPTLIGNLSILTSLLLSNNDLTGPMPPFHWNLGSLKHLSLENNRFSGWLSPLLSNSSNLKTLNVRNNHLSGIIPDGLLSFQQLGVILLGGNDFHGPIPLDLCFNNYLHFVDLSNNQFSGEIPNCFYNDFWTDLPMYFNDDPFSGNITERMSVDFTTKGENLTYMGEPLVLMTGIDLSMNQLSGAIPPPLGFLRQLKSLNLSHNQLVGPIPETFMYMQDMESLDLSYNHLNGSLPMQLANLSFLCSFNVAYNNLSGEIPFQQQLGTFDESAFEGNDNLCGEIINKNCSSVLHQNQGVFDAIDTSLVFWSYVFGCFALGFWGTVALLIWDEVCRRRLCDLMDALMFKLGWEFVP >ORUFI04G01120.1 pep chromosome:OR_W1943:4:1006633:1050048:-1 gene:ORUFI04G01120 transcript:ORUFI04G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPLAAGDGNDCSEFEWWREVAEGGSIGHGSGRADGLSARMEIRTAPIEGLMTLRQSISGISGCRGEHVMVGTSDDISIIVSSLPKRSSDSKEQGRERCLGDEEGWIISRQDDCSASFGCHCRGDIRLCERTTSTRFELFASQESMNVPRVDSQISTTKG >ORUFI04G01130.1 pep chromosome:OR_W1943:4:1011892:1041343:1 gene:ORUFI04G01130 transcript:ORUFI04G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSRSWDFLGMDYRQPNGLLAKAKYGDGTIIGVIDTGVTPESASFADTGYDPPPKKWKGICQVGPSFEAISCNRKFIGARWYIDDEILSSISDNEVLSPRDVEGHGTHTASTAGGNIIHNVSFLGLAAGTVRGGAPRARLAIYKACWSGYGCSGATVLKAMDDAVYDGVDVLSLSIGGTKEDVGTLHVVANGISVVYAGGNDGPIAQTVENQSPWLVTVAATTIDRSLPVVITLGNGEKLVAQSFVLLETASQFSEIQKYTDEDTVKGKIAFCFMGEMLNNKQQTSYPDVTTAVAAKGGRAVILPLFYTETILQDDPIITDLDIPFIFFKPDIAAPGVSILAAAQIPYYKGVSYHFDSGTSMACPHVAGIIAGVALWGRATAPQPMGWWWAAGGAREIEIGRRRGDRNALTYDNNGMPIQANGRVQKIADPFDYGAGFVNPVMAADPGLIYDITASDYLKFFNCMGGLGSGDNCTTAKGSLTDLNLPSIAIPNLRTFQAMTRTVTNVGQVNAVYKAFFQAPAGVEMAVEPPVLVFNKNRRVQSFRVTFKATRKVQGDYRFGSLAWHDGGSHWVRIPIAVRIRRHDDADVVTGSHHDMLASVLGSKEVALESIVYSYRHSFSGFAARLTEAQASTIRDVISVRENQIHRLHTSRSWDFLGMDYRQPNGLLAKAKYGEDIIIGVIDTGITPESPSFADDGYGPPPSKWKGVCQVGPSFKAKSCNRKLIGARWYIDDDTLRSMSKDEILSPRDVVGHGTHTASTAGGNIIHNASILGLAAGTVRGGAPRARVAMYKTCWNGVGCSAAGQLKAIDDAIHDGVDILSLSLGGPFEDPGTLHVVAKGIPVVYSAGNDGPIAQTVENSSPWLLTVAAATMDRSFPVVITLGNNDKFVAQSFAISGKTSSQFGEIQFYEREDCSAENIHNTVKGKIVFCFFGTKFDSERDYYNITKATSEKGGIGVILPKYNTDTLLGDTLLTLPIPLVAVDYEITYRIYQYIKENDGTPKVKISLTQTTIGKVSAPKVAAFSSRGPSYIYPGVLKPDIAAPGVTVLAAAPKAFMDAGIPYRFDSGTSMSCPHVSGIIAVLKSLHPQWSPAALKSAIMTTALTYDNNGMPIQANGKVPKIADPFDYGAGVVNPNMAADPGLIYDIEPSDYFKFFNCMGGLGSADNCTTVKGSLADLNLPSIAIPNLRTFQATTRTVTNVGQANARYKAFLYTPAGVEMTVDPPVLVFSKEKKVQSFKVTIKATGRPIQGDYSFGSLVWHDGGIHWVRIPIAVRIVIEEIYSKIS >ORUFI04G01130.2 pep chromosome:OR_W1943:4:1011892:1041343:1 gene:ORUFI04G01130 transcript:ORUFI04G01130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSRSWDFLGMDYRQPNGLLAKAKYGDGTIIGVIDTGVTPESASFADTGYDPPPKKWKGICQVGPSFEAISCNRKFIGARWYIDDEILSSISDNEVLSPRDVEGHGTHTASTAGGNIIHNVSFLGLAAGTVRGGAPRARLAIYKACWSGYGCSGATVLKAMDDAVYDGVDVLSLSIGGTKEDVGTLHVVANGISVVYAGGNDGPIAQTVENQSPWLVTVAATTIDRSLPVVITLGNGEKLVAQSFVLLETASQFSEIQKYTDEDTVKGKIAFCFMGEMLNNKQQTSYPDVTTAVAAKGGRAVILPLFYTETILQDDPIITDLDIPFIFFKPDIAAPGVSILAAAQIPYYKGVSYHFDSGTSMACPHVAGIIAGVALWGRATAPQPMGWWWAAGGAREIEIGRRRGDRNALTYDNNGMPIQANGRVQKIADPFDYGAGFVNPVMAADPGLIYDITASDYLKFFNCMGGLGSGDNCTTAKGSLTDLNLPSIAIPNLRTFQAMTRTVTNVGQVNAVYKAFFQAPAGVEMAVEPPVLVFNKNRRVQSFRVTFKATRKVQGDYRFGSLAWHDGGSHWVRIPIAVRIRRHDDADVVTGSHHDMLASVLGSKEVALESIVYSYRHSFSGFAARLTEAQASTIRDVISVRENQIHRLHTSRSWDFLGMDYRQPNGLLAKAKYGEDIIIGVIDTGITPESPSFADDGYGPPPSKWKGVCQVGPSFKAKSCNRKLIGARWYIDDDTLRSMSKDEILSPRDVVGHGTHTASTAGGNIIHNASILGLAAGTVRGGAPRARVAMYKTCWNGVGCSAAGQLKAIDDAIHDGVDILSLSLGGPFEDPGTLHVVAKGIPVVYSAGNDGPIAQTVENSSPWLLTVAAATMDRSFPVVITLGNNDNAENIHNTVKGKIVFCFFGTKFDSERDYYNITKATSEKGGIGVILPKYNTDTLLGDTLLTLPIPLVAVDYEITYRIYQYIKENDGTPKVKISLTQTTIGKVSAPKVAAFSSRGPSYIYPGVLKPDIAAPGVTVLAAAPKAFMDAGIPYRFDSGTSMSCPHVSGIIAVLKSLHPQWSPAALKSAIMTTALTYDNNGMPIQANGKVPKIADPFDYGAGVVNPNMAADPGLIYDIEPSDYFKFFNCMGGLGSADNCTTVKGSLADLNLPSIAIPNLRTFQATTRTVTNVGQANARYKAFLYTPAGVEMTVDPPVLVFSKEKKVQSFKVTIKATGRPIQGDYSFGSLVWHDGGIHWVRIPIAVRIVIEEIYSKIS >ORUFI04G01140.1 pep chromosome:OR_W1943:4:1042930:1043446:1 gene:ORUFI04G01140 transcript:ORUFI04G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITATTYDNNRMPVRNIPKVADPFDYGAGFINPNMAADLGLIYDIAASNYLKFFNCIGGLATGDNCTTAKRSLADLNLPSIAIPNLKTF >ORUFI04G01150.1 pep chromosome:OR_W1943:4:1050246:1064610:-1 gene:ORUFI04G01150 transcript:ORUFI04G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAMAVAGGFSADNGAHRRGPILATTLTVALAGGTGGDIHRRDKARETVPIAVYDGLKSRLLASNKGKHVMVGISDEISIIVSSLPKLSGGGLGSGRDRQQLDRGATQAGERDGDELGPAAAGLGAALVGGSGHKRLQQG >ORUFI04G01160.1 pep chromosome:OR_W1943:4:1059124:1095177:1 gene:ORUFI04G01160 transcript:ORUFI04G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSRQSLFLTFLLQFLLQLPWSSHALKQGEPPTKRRHDDADLVTDSHHDMLASVLGSKEAALESIVYSYRYSFSGFAARLTKAQASIIRGLPDVVSVRENHIHQLHTSRSWDFLGMDYRQPNGLLAKANYGEDIIIGVLDTGITPESPSFADDGYGPPPSKWKGICQVGPSFEAKSCNRKLIGARWYIDDDTLSSMSKNEILSPRDVEGHGTHTASTAGGNIVHNASILGLATGTVRGGAPRARVAMYKICWSGSGCSAAVQLKALDDAVYDGVDVLSLSLGSPLEDLGTLHVVAKGIPVVYSAGNDGPVAQTVENSSPWLLTVAAATMDRSFPVVITLGDNHKFVAQSFVLSRQTTSQFSEIQVFERDDTVKGKTVFCFGTKLDPEPDINSIIKVTGEKGGTGVIMPKYNTDTLLQDGPLTLPIPFVVVDYEIAYRIYQYYTNENDGTAKVKISLTQTTIGKVTAPKVAAFSSRGPSSIYPGVIKPDIAAVGVTILAAAPKNVIDLGIPYHFESGTSMACPHVSGIVAILKSLHPEWSPAALKSAIMTTALTYDNDGMPIQANGRVQKIADPFDYGAGFINPNMAADPGLIYDISASDYLKFFNCMGGLGSGDNCTTVKGSLADLNLPSISIPNLKTIQVATRTVTNVGQANAVYKAFLQPPVGIEMAVEPPMLVFSKDRKVQSFKVTFKVTRRPIQGDYRFGSLAWHDGGNHWVRIPIAVRIIADRFNYGAGFVNPNMAADPGLIYDIEPSDYFKFFNCMGGLGSRDNCTTVKESIADLNLPFSKLQALFLVLLFQLNLQLQWSYGLQTDQSRLYIVYLGERQHEDADLVTASHHDMLTSILGSKEETLRSIVYSYRHGFSGFSAMLTQSQARKIAGLPGVLSVTENQIYKTHTTRSWDFLGLDYKPTNGLLAKARYGEGVIIGVVDTGITPESPSFDDAGYGTPPSKWKGICQVGPSFGTNSCNRKIIGARWYAYDVPNGTLDTEVLSPRDVHGHGTHTASTAGGNIVHNVSRLGLAAGTAHGGAPRARLAIYKACWATPDGTGCSGAGLLKAMDDAIHDGVDILSLSIGGPFEHMGTLHVVANGIAVVYSAGNDGPIAQTVENSSPWLLTVAAATMDRSFPVVITLGNNEKFVAQSFVVTGSASQFSEIQMYDNDNCNADNIDNTVKGMIVFCFITKFDMENYDRIINTVASKVASKGGRGVIFPKYSTDLFLREDLITFDIPFVLVDYEISYRIRQYIINNENGNIPKAKISLTKTMVGSENSAPKIAAFSSRGPSYIYPGVLKPDIAAPGVAILAASPNTPEFKGVPYRFDSGTSMACPHVSGIIAVLKSLHPEWSPAALKSAIMTTVHIVIEEIYSNIS >ORUFI04G01160.2 pep chromosome:OR_W1943:4:1059124:1095177:1 gene:ORUFI04G01160 transcript:ORUFI04G01160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSRQSLFLTFLLQFLLQLPWSSHALKQGEPPTKRRHDDADLVTDSHHDMLASVLGSKEAALESIVYSYRYSFSGFAARLTKAQASIIRGLPDVVSVRENHIHQLHTSRSWDFLGMDYRQPNGLLAKANYGEDIIIGVLDTGITPESPSFADDGYGPPPSKWKGICQVGPSFEAKSCNRKLIGARWYIDDDTLSSMSKNEILSPRDVEGHGTHTASTAGGNIVHNASILGLATGTVRGGAPRARVAMYKICWSGSGCSAAVQLKALDDAVYDGVDVLSLSLGSPLEDLGTLHVVAKGIPVVYSAGNDGPVAQTVENSSPWLLTVAAATMDRSFPVVITLGDNHKFVAQSFVLSRQTTSQFSEIQVFERDDTVKGKTVFCFGTKLDPEPDINSIIKVTGEKGGTGVIMPKYNTDTLLQDGPLTLPIPFVVVDYEIAYRIYQYYTNENDGTAKVKISLTQTTIGKVTAPKVAAFSSRGPSIPYHFESGTSMACPHVSGIVAILKSLHPEWSPAALKSAIMTTALTYDNDGMPIQANGRVQKIADPFDYGAGFINPNMAADPGLIYDISASDYLKFFNCMGGLGSGDNCTTVKGSLADLNLPSISIPNLKTIQVATRTVTNVGQANAVYKAFLQPPVGIEMAVEPPMLVFSKDRKVQSFKVTFKVTRRPIQGDYRFGSLAWHDGGNHWVRIPIAVRIIADRFNYGAGFVNPNMAADPGLIYDIEPSDYFKFFNCMGGLGSRDNCTTVKESIADLNLPFSKLQALFLVLLFQLNLQLQWSYGLQTDQSRLYIVYLGERQHEDADLVTASHHDMLTSILGSKEETLRSIVYSYRHGFSGFSAMLTQSQARKIAGLPGVLSVTENQIYKTHTTRSWDFLGLDYKPTNGLLAKARYGEGVIIGVVDTGITPESPSFDDAGYGTPPSKWKGICQVGPSFGTNSCNRKIIGARWYAYDVPNGTLDTEVLSPRDVHGHGTHTASTAGGNIVHNVSRLGLAAGTAHGGAPRARLAIYKACWATPDGTGCSGAGLLKAMDDAIHDGVDILSLSIGGPFEHMGTLHVVANGIAVVYSAGNDGPIAQTVENSSPWLLTVAAATMDRSFPVVITLGNNEKFVAQSFVVTGSASQFSEIQMYDNDNCNADNIDNTVKGMIVFCFITKFDMENYDRIINTVASKVASKGGRGVIFPKYSTDLFLREDLITFDIPFVLVDYEISYRIRQYIINNENGNIPKAKISLTKTMVGSENSAPKIAAFSSRGPSYIYPGVLKPDIAAPGVAILAASPNTPEFKGVPYRFDSGTSMACPHVSGIIAVLKSLHPEWSPAALKSAIMTTVHIVIEEIYSNIS >ORUFI04G01160.3 pep chromosome:OR_W1943:4:1059124:1095177:1 gene:ORUFI04G01160 transcript:ORUFI04G01160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSRQSLFLTFLLQFLLQLPWSSHALKQGEPPTKRRHDDADLVTDSHHDMLASVLGSKEAALESIVYSYRYSFSGFAARLTKAQASIIRGLPDVVSVRENHIHQLHTSRSWDFLGMDYRQPNGLLAKANYGEDIIIGVLDTGITPESPSFADDGYGPPPSKWKGICQVGPSFEAKSCNRKLIGARWYIDDDTLSSMSKNEILSPRDVEGHGTHTASTAGGNIVHNASILGLATGTVRGGAPRARVAMYKICWSGSGCSAAVQLKALDDAVYDGVDVLSLSLGSPLEDLGTLHVVAKGIPVVYSAGNDGPVAQTVENSSPWLLTVAAATMDRSFPVVITLGDNHKFVLDPEPDINSIIKVTGEKGGTGVIMPKYNTDTLLQDGPLTLPIPFVVVDYEIAYRIYQYYTNENDGTAKVKISLTQTTIGKVTAPKVAAFSSRGPSSIYPGVIKPDIAAVGVTILAAAPKNVIDLGIPYHFESGTSMACPHVSGIVAILKSLHPEWSPAALKSAIMTTALTYDNDGMPIQANGRVQKIADPFDYGAGFINPNMAADPGLIYDISASDYLKFFNCMGGLGSGDNCTTVKGSLADLNLPSISIPNLKTIQVATRTVTNVGQANAVYKAFLQPPVGIEMAVEPPMLVFSKDRKVQSFKVTFKVTRRPIQGDYRFGSLAWHDGGNHWVRIPIAVRIIADRFNYGAGFVNPNMAADPGLIYDIEPSDYFKFFNCMGGLGSRDNCTTVKESIADLNLPFSKLQALFLVLLFQLNLQLQWSYGLQTDQSRLYIVYLGERQHEDADLVTASHHDMLTSILGSKEETLRSIVYSYRHGFSGFSAMLTQSQARKIAGLPGVLSVTENQIYKTHTTRSWDFLGLDYKPTNGLLAKARYGEGVIIGVVDTGITPESPSFDDAGYGTPPSKWKGICQVGPSFGTNSCNRKIIGARWYAYDVPNGTLDTEVLSPRDVHGHGTHTASTAGGNIVHNVSRLGLAAGTAHGGAPRARLAIYKACWATPDGTGCSGAGLLKAMDDAIHDGVDILSLSIGGPFEHMGTLHVVANGIAVVYSAGNDGPIAQTVENSSPWLLTVAAATMDRSFPVVITLGNNEKFVAQSFVVTGSASQFSEIQMYDNDNCNADNIDNTVKGMIVFCFITKFDMENYDRIINTVASKVASKGGRGVIFPKYSTDLFLREDLITFDIPFVLVDYEISYRIRQYIINNENGNIPKAKISLTKTMVGSENSAPKIAAFSSRGPSYIYPGVLKPDIAAPGVAILAASPNTPEFKGVPYRFDSGTSMACPHVSGIIAVLKSLHPEWSPAALKSAIMTTVHIVIEEIYSNIS >ORUFI04G01160.4 pep chromosome:OR_W1943:4:1059124:1095179:1 gene:ORUFI04G01160 transcript:ORUFI04G01160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSRQSLFLTFLLQFLLQLPWSSHALKQGEPPTKRRHDDADLVTDSHHDMLASVLGSKEAALESIVYSYRYSFSGFAARLTKAQASIIRGLPDVVSVRENHIHQLHTSRSWDFLGMDYRQPNGLLAKANYGEDIIIGVLDTGITPESPSFADDGYGPPPSKWKGICQVGPSFEAKSCNRKLIGARWYIDDDTLSSMSKNEILSPRDVEGHGTHTASTAGGNIVHNASILGLATGTVRGGAPRARVAMYKICWSGSGCSAAVQLKALDDAVYDGVDVLSLSLGSPLEDLGTLHVVAKGIPVVYSAGNDGPVAQTVENSSPWLLTVAAATMDRSFPVVITLGDNHKFVAQSFVLSRQTTSQFSEIQVFERDDTVKGKTVFCFGTKLDPEPDINSIIKVTGEKGGTGVIMPKYNTDTLLQDGPLTLPIPFVVVDYEIAYRIYQYYTNENDGTAKVKISLTQTTIGKVTAPKVAAFSSRGPSSIYPGVIKPDIAAVGVTILAAAPKNVIDLGIPYHFESGTSMACPHVSGIVAILKSLHPEWSPAALKSAIMTTALTYDNDGMPIQANGRVQKIADPFDYGAGFINPNMAADPGLIYDISASDYLKFFNCMGGLGSGDNCTTVKGSLADLNLPSISIPNLKTIQVATRTVTNVGQANAVYKAFLQPPVGIEMAVEPPMLVFSKDRKVQSFKVTFKVTRRPIQGDYRFGSLAWHDGGNHWVRIPIAVRIVIEEIYSNIS >ORUFI04G01170.1 pep chromosome:OR_W1943:4:1065117:1092176:-1 gene:ORUFI04G01170 transcript:ORUFI04G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDIPGYTEDPGQACHEGTKFQSIDKISIFVLPLTECFDRVMTASSKAFNWTAAEQPLPDQQILYMATRARGAPPRTVPVARPRMLALWTMLPPAVEAITQN >ORUFI04G01180.1 pep chromosome:OR_W1943:4:1126826:1127672:1 gene:ORUFI04G01180 transcript:ORUFI04G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFGVGGGLKLMRARGLLVFSEMMALLLICLVMMSSSVNTCQGRSLLAVSVGEKKVPHFEQPVGCFEPPCR >ORUFI04G01190.1 pep chromosome:OR_W1943:4:1131426:1134052:-1 gene:ORUFI04G01190 transcript:ORUFI04G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGATLGRRSSPTENPAATTCATSHANMPSTPLQGTREFEASTQPLRAPLAARDTTGIPAHSLGERPAGEIATAHEAGISRPNPRGNPRERNPTSRGQEIFLSRSAALSLAQKRRPRAGAAVPPPPARRATTGLFLRREGHRSAAVTRRRAGSTGSQHRRRTGGRSKATPRTGGGGIEAQIQGSKEFVT >ORUFI04G01200.1 pep chromosome:OR_W1943:4:1136337:1142574:-1 gene:ORUFI04G01200 transcript:ORUFI04G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLLTALEPYYGFSHFTGVSMPAKRVVQGKQWIIEMHHDGERHALLRRIQPLIGPEFSSSGRLDWDEAVDCCRWEGVTCSVAGRRREAAAGGRRVVSLSLPGVGIAGAVDAAVLAPFTALEKLDLSGNQITSFSAANRSDMVVGAVLNNLTALTELHLAGNEITTTGWISNLTSLQVIDMSSNKVHELNGICGLHQLKYLSLGFNMIQGVINPCLGKLQYLVYLDMGSNFLTGEIGQYLLSNLTQVEEVHLGDNNLTGTFDFSSLANNSELHSIVLSNNCKLEIETELVRWTPLFQLEYLNLSNSIVNKRSNGIIPTFLSAQVSLSGIDLSICSLQGRIPSWMLLYNVSLGFLLLRGNSMDFLDTGNLGANVTSSMEVLDLSDNRISMKMPYNFGSLFPYLKYLDMSSNMLNGGVPSLAEAASSLQFLDLSFNMLNGEISPELIGNASILTSLLLSHNDLTGPMPPFHWSLGQLTHLSVENNQLSGRLPPLLTNCTNLENLNVRNNRLSGVIPVGLLSFEKLGALLLGGNQFHGVIPWDICLNNHIHFIDLSNNWFSGCLYSVFWSELPMNSEDDPFGNITQRRQTYVEFTTKGESLTYMGMPLELMIGIDLSMNRLSGPIPSSVGFLLQLKSLNLSHNKLVGSIPDTFMYLHEMESMDLSHNHLNGSVPVELANLSFLSFFSVAYNNLSGEIPFESQFCTLNGTAFEGNENLCGEIVDKICPMNSNCSHDSDDEMHQLLSTDTMDTPLIYWSFVAGSFAIGFWGIIALLIWNTAFRSRLCSFMDGCMSKMGWFLVP >ORUFI04G01210.1 pep chromosome:OR_W1943:4:1165147:1168866:-1 gene:ORUFI04G01210 transcript:ORUFI04G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLQYLSGTLLVVCTALFLLNDTTKSQSAAHSNYTDHDALLIFKSLITDDPMAALSSWNQGSSVCSWAGVRCNRQGRVSMLDVQNLNLAGQISPDIGNLSALQSIYLQKNRFIGNIPDQLGRLSLLETLNGSSNHFSGSIPSGLTNCTHLVTMDLSANSITGMIPISLHSLQNLKILKLGQNQLTGAIPPSLGNMSLLTTLDASTNTIAGEIPEELGHLRHLQYFDLSINNLTGTVPRQLYNISNLAFFAVAMNKLHGEIPNDISLGLPKLHIFIVCYNKLTGQIPPSLHNITKIHSIRISHNFLTGKVPPGLQRLSKLVWYNIGFNQIVHTTSILDDLTNSTKLEYLGIYENQIVGKIPDSIGNLSSSLENLYIGGNRITGHIPPMIGRLTRLTLLNMTDNLLDGEIPLEISYLKDLNVLGLSGNNLSGPIPTQFGNLTALTMLDISKNRLVSSIPKELGHLSHILSLDFSCNKLNGSIPDTIFSLTSLSSILNMSYNALTGVIPESIGRLGNIVSIDLSYNLLDGSIPTSVGKCQSVQSLSVCGNAISGVIPREIENLKGLQILDLSNNQLVGGIPEGLEKLQALQKLNLSFNNLKGLVPSGGIFKNNSAADIHGNRELYNMESTVFRSYSKHHRKLVVVLAVPIASTVILLIFVGVMFMLWKSKYLRIDATKVGTAVDDSILKRKLYPLISYEELYHATENFNERNLVGIGSFSSVYKAVLHATSPFAVKVLDLNKIGATNSWVAECEILSTIRHRNLVKLVTLCSSIDFSGNEFRALVYEFMTNGSLEDWIHGPRRHEDSERGLSAVEVLSIAIDIASALEYMHDGSCRAGQVVHCDIKPSNVLLDGDMTAKIGDFGLARLHTQTSARDEESVSTTHNMKGTIGYIPPEYGYGAKTSTSGDVYSYGIMLLEMITGKSPVDQMFGGEMNLEKWVRASIPHQADEVVDKRFMMTGSEESSADGQQQQQVDTVDSKLLLETLLVPMVDVALCCVRESPDSRISMHDALSRLKRINEKIFKSLAVSTP >ORUFI04G01220.1 pep chromosome:OR_W1943:4:1173889:1174585:-1 gene:ORUFI04G01220 transcript:ORUFI04G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEHEREIEREGEQSKNERERGGGNGGNNAPKKRELLLERRGSEKRLRVTVPVAAAAAAVAVGVGKALASPATQMPRKIVLVLLFLLRMSERVTVMESISQIGRMPAVFLLPVSFLYFDSASLLSRRLLLTGPLPPAAARMSSLARLLGSSAPLPGCCSRVLSRSGP >ORUFI04G01230.1 pep chromosome:OR_W1943:4:1210831:1212108:1 gene:ORUFI04G01230 transcript:ORUFI04G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLPFHPHARGNWPSDPHAPSAQRPTKSRDYHSKDEDVTIKTGYAAPLAEERQAELRKAADWAGTEKNTPLMDWAEERQAERRKAADWAGTEKEKIYWAFGPKQRKNIINFFNYNV >ORUFI04G01240.1 pep chromosome:OR_W1943:4:1213268:1215036:1 gene:ORUFI04G01240 transcript:ORUFI04G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFSGAEVVMIVAITICLYNFAFISGIILGCGLEKKPPRASNAAVDRPRLLSSINNGNNAEDHHGRQAAAAAVVPRAVSTRPTTTNPSSSPSSSTKIKLSADEQVTSVEGTIGRFRDVDEPVITSLTFRTNAGKTYGPYGGASDKQAGTPFSIPVDNGGVVMLEQLKSAPILFFQPPQDENTKPAPSSDYV >ORUFI04G01250.1 pep chromosome:OR_W1943:4:1244391:1244654:1 gene:ORUFI04G01250 transcript:ORUFI04G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVFSGISVVILVIVCTSLYTFAFLAGLGLSQNLERTRKRRHRRRDKPATGEDEEDDHGSSRRQAPAPAAETTAAGDYKLYSSAMI >ORUFI04G01260.1 pep chromosome:OR_W1943:4:1244766:1245678:1 gene:ORUFI04G01260 transcript:ORUFI04G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSTIPRRFNSIALFHSSGAIHSMESNNNSSALFHFDYYIQQQQQHGGRDRHGGGQLKLMNHGPWGQASSYNSIAVRDEIKLSAREQVTAVEGTVGNFRDVDEPVITSLTFYTNAGRKYGPYGGNGKQGTPFSIPVGKGCIVVGFWGRCGWLLDAIGVYVSPQS >ORUFI04G01270.1 pep chromosome:OR_W1943:4:1247439:1253619:-1 gene:ORUFI04G01270 transcript:ORUFI04G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPAAKRISRPRLHLVALFLLLPLLAARHEDVVAATTSTSPAVSFSFNFSNISAARLEADLRFQGDATSPPANGLVASFSTNFTFAIVPINGSCRGDGMAFFLAYYPSPEPPKDSNGGDLGLIAGEGVTGQTIATGKDRFVAVEFDTFSRPYDPSYDHIGIDINTVREANYTKVLPSNTTLEGNMTAYISFNSSTYTDAGRLPVVALGFSAATGSCVELHQIMSWSFNSTLALVKERNKIAGLLRGLIIGGVVVLALVLWILLSCWKWKRVQNFFHKGTAGARRFGYRDLAMATNNFSDDQKLGEGAFGKVYRGYLQELGRDVAVKKIVKELNELFLVYELMNNGNLHEYLHVSKEEAVQSWSTRYNIAKGIGSALSYLHHDCKPYILHRDIKPRNVLLDKEYNVKLADFGLSRIAKLDNDPTSLQTTVVGTVEGCVLTTAVGPVDYMDPQCKKDGKVKFNPYSDVFSFGLVLLEIACKDISREQICSLYRSKGDVVEATDQRVKIVGDSERREMESVIILGLWCSASDTQRRPTMQEAMKLLLEPDATLPDLNFITNSASVSSVHDASRASIANRYEEAPQAALLYS >ORUFI04G01280.1 pep chromosome:OR_W1943:4:1291195:1335197:-1 gene:ORUFI04G01280 transcript:ORUFI04G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRAAVNNDVRLSVMVESPKRQRSIYSEAHSSIKLRAEQRRFLSSSAAASTTRWATAAVKAPGEEDSHRRQPHPIRSGAVSTTLHRHVATTSRVADEAEAEWGTRRHREAILLVVWIRARDGCLRWVRAGDGPAEPVARRHRPPLPSPGAPRSRRRSVVDPLGALFHRRQAPSLPPSSPPPSSLAATELLRRRQAPSLAVAEPPTAELPAPPPRLELLDLRYFTVDLSPDHITKVKSTGHCCSAFDARTHALVAAGDAEQERRQRGSTATTSTRCRQRGAAARWPEPTSSTWCASSGTRRRGSPPSSHAATKLTAAELLHRRQAPSLAAAKLTTNELPHHRRAPSLAAAKLTANKLPHRRRAPSPPLSSPPSSSWWWSSRWSVAAADEAASWPVLGVDGSQPACTSPRDGQREDSHGHYRGRGHEESGIDRGTPSSRAELDKKTELLKTKSKLAKIGKKADQEENSGTDTYITSQCSESWGN >ORUFI04G01290.1 pep chromosome:OR_W1943:4:1325923:1326388:1 gene:ORUFI04G01290 transcript:ORUFI04G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGGNLGLVTNYNSTVYGNARFVAVEFDTFNNSYEQPAQAGDHIGIDVGSIEKSINTTRLRFSPNGTMKASITFDNISWMLVASVEFTEPPDSRYAPYRSVRNFLQIRGPCSRRRRWRLDFLQPPAEPSSCIRYCHGLSTLLLLLP >ORUFI04G01300.1 pep chromosome:OR_W1943:4:1326990:1327442:1 gene:ORUFI04G01300 transcript:ORUFI04G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSNGVGTEDSSSGLDGGSNSKQRRQAWTTEGKPRTEVAGGDGGGSPEWHRRMRKAMTAKGEPRTAVAGRDDSGRGAEDGGAARREQWRGTCRRRRSILSLSTLLSLSLPARGGSSHASPGMVAARHFLMPAAASTARTVVATGAP >ORUFI04G01310.1 pep chromosome:OR_W1943:4:1331521:1333360:1 gene:ORUFI04G01310 transcript:ORUFI04G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGAALLYSLTGRWRSTQAGWKNEKLPDKEQQKTKRKVRAGRVRRVSTGWGGHRRRIYLNITKTVGQSPKIDFPVPPEIFDHGRDNSKKTIVVGLSIGGALIVVLLVWCVLSWWKWRGTGRGFDKGTRGVRRFKYHQLVSATNQFSMENKIGAGAFGEVHKGYLTELGREVAVKKILKECREGNKDFFDEVQTISRAKQKNLVELLGWGIKRRWNIIDFMCWCRQKKSDLFLVYELVDNGNLHRHLHEEAAVVLPWTARYKIVKDIGCALIYLHHDRKPYILHRDIKPSNILLDKEFNAKLADFGLSRTADNGTIQSSMVVGTANYLDPECMKTGKFDRSSDVYSFGLVLLEIACKKDENSYAQVADDRLRGEFDERQMERVIFLGLQCCQPKASMRPTMQEAMGFLEDNSPLPELAKPEDSSSKSGN >ORUFI04G01320.1 pep chromosome:OR_W1943:4:1340397:1341126:-1 gene:ORUFI04G01320 transcript:ORUFI04G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAQPISKLLDNDQMEFLDKVVDPEATSKDCNGDNSVMRAGETTLQAIRIQQLSSESKKLSMNVEHIIAQVSQAMNLNCRAEENPVILPKHSDVVSARGLKHSEIKVFQELLKQRPVQKDVVLKKISINLDLWSNFFSKPPPELIRIMEGLRVLKGALPEEAPLPTTNLVLTQQDQTNKHVNMLQHCSRRGEFIMRCLGSTDESI >ORUFI04G01330.1 pep chromosome:OR_W1943:4:1354171:1364327:-1 gene:ORUFI04G01330 transcript:ORUFI04G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLLLLLLLLSISLDVSHLIAEAAAAAKPPVSFSFNFSDPSTYSLDDLLFEGDAAKPKDGLVDLTSGRSCYPYCPAGRMSYAHPVQLYDDTTGGEKVVVASFSTRFTFTIRPIDDGIRGDGLAFFLASYPSKLPANSFGGNLGLINNGTTTAFGSDRFIAVEFDTYNNTFDPKSINHIGIDINSVVSSLNTTLLPNFSLNGTMTAHIEFNGITQMLVASLWLAGRPWSAAPDYQVSLRLPDPITSLLLPQVAVGFTGATADLKELNQIMLWSFNSTLTLVNQDRRNKALLFGGPIIGGAVALALVLWFLISCLMQKRVRNTFGKGTGGARRFEYDDLAIATGNFSDDRKLGEGAFGVVYSGFLKRLEREVAVKKIVRESSQEHKDFFAEVSTISEAKHKNLVKFFGWCCRGHSWNILRFMYKIAKDIGSGLLYLHHECYPYIIHRDIKPGNVLLDDDFNAKLADFGLSRVANPNNATLKTTAIGSQGYIDPQCMKDGEVSFNRNSDVYSFGIALLEIVCARKHREQIWGLYKSGGDVVEAADSRLAIGVDGAERREMERAIILGLWCSVFETKHRPTMLQAMDVLERDAQLPDLNLIVNSNLSSTDASSSSPVEKRYDSEEAPLVAGSSSSQLAGR >ORUFI04G01340.1 pep chromosome:OR_W1943:4:1367193:1367957:1 gene:ORUFI04G01340 transcript:ORUFI04G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFNVYLHLEYLEGIVASGNKTSLGFKQVHLKACAKALNDHFNINLTSDQITNHIRTWKRKYSKIADLRKLSAALWDDDNFIISLDHKHYADHINDHKADAEYLNKPIHNYGKMLVIFGNSLATEKYAKGSGDPLAIESIPIDDDEEEEIGIGSAGRISCPCCYSLCPTQ >ORUFI04G01350.1 pep chromosome:OR_W1943:4:1380221:1380596:-1 gene:ORUFI04G01350 transcript:ORUFI04G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNLNFHDLFIYHFYFKPFLYHPIATKQPPWALYAFQGDVHVVLNPDVKPLIKLIPRSKCRSSHPTPRRGQCSEPHYSPNFDCTPHTSFRALCNPYRSAATHGCRKTLSFLQTHTNFPTH >ORUFI04G01360.1 pep chromosome:OR_W1943:4:1440312:1440569:1 gene:ORUFI04G01360 transcript:ORUFI04G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREVGVMLQPLESYSSTESNPGKSKIGSREVWIMVQPLESYSSTDIVNSYSLSEGYNNFDTESINSSEYTNDYTPLCPFTHPL >ORUFI04G01370.1 pep chromosome:OR_W1943:4:1442600:1443209:1 gene:ORUFI04G01370 transcript:ORUFI04G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGGAHRGGCCLLLFLVLLALSLRTSCVVGQQSQFKKIYIIYLGERRHDDPDIVTGSHHDMLASVLGR >ORUFI04G01380.1 pep chromosome:OR_W1943:4:1472558:1475595:1 gene:ORUFI04G01380 transcript:ORUFI04G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYMQPNSLLAKANYGDDIIIGVIDSGITPESPSFADDGYGPPPSKWKGICQVGPSFEGKSCNRKLIGARWYIDDDNLDSMSKNEILSPRDVHGHGMHMASTAGGNIVHNASIFGLATGTVRGGAPCARVAMYKACWSGGGCSTAGQLKAMDDAVHDGVDILSLSIGGPFENYGTLHVVAKGIPVVYSAGNDGPITQTVENSSPWLLTVTAATMDRSFPVVITLGNNDKFVAQSFATLSQFSEIQFYEREE >ORUFI04G01390.1 pep chromosome:OR_W1943:4:1481253:1481444:1 gene:ORUFI04G01390 transcript:ORUFI04G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVATAAAEAEAEAEAMAALRLLAGFLWFALPIATLATGRSHPAVLDAARHQSPTSASTARCS >ORUFI04G01400.1 pep chromosome:OR_W1943:4:1483940:1484392:1 gene:ORUFI04G01400 transcript:ORUFI04G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPGLIYDIEPSDYFKFFNCMGGLGSGDNCTTVKGSLADLNLPSIAIPNPRTFQATMRTVTNVGQANAVYKAFLQPPTGVEMTVNPSVLVFSKEKKVLSFKVTFKAMRRPIQGDYIFGSLTWHDGGSHWVQISIAVRIVIEDIYSKIS >ORUFI04G01410.1 pep chromosome:OR_W1943:4:1485721:1486834:1 gene:ORUFI04G01410 transcript:ORUFI04G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAATGSGWRERRLGGACARRWAGATARARTSAPRPDPAEAAAPRLDLAVAAVPRPDPAVVVASTAGAQRRPGAAVSAARAHCSGRPPLGRWGRHRIRYRDGDGDHNGDREQGGGGSFRSASFNDHGLSPIPSKWKGQCQAGEAFRSNQCNRKIIEARWYDKHLSAENLKGEYRSARDADGHRTRGIYCSWCPSTKH >ORUFI04G01420.1 pep chromosome:OR_W1943:4:1486921:1487505:1 gene:ORUFI04G01420 transcript:ORUFI04G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPSCKQLMTPYTMVSIFENAGSFHAVKNGITVVFAAGNSGPAHRTGQSLFYELDDKDDNRYKVYESRLDVPDPTD >ORUFI04G01430.1 pep chromosome:OR_W1943:4:1487817:1493313:1 gene:ORUFI04G01430 transcript:ORUFI04G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVYTITLSTRRRGECDDGGPLLQLGYSSQVSDLIKLGDHLSEREDADLCRIGITGESLGASIVVVRSSTAVQSAAPYAGPLTSRTFTTRAPGKSRSEVWGQCGRKTFASLCFDF >ORUFI04G01440.1 pep chromosome:OR_W1943:4:1505307:1505781:-1 gene:ORUFI04G01440 transcript:ORUFI04G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSPPPNGSSSSPSFFCSRFTLARHSLFSDLAPFLAADLWERRPRHGSSGARLHRRQRAAAARREIDHRLLQLRSSASVVDSHSTGEPFVDFLQ >ORUFI04G01450.1 pep chromosome:OR_W1943:4:1525143:1533579:1 gene:ORUFI04G01450 transcript:ORUFI04G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAACNEGSHCRLVIVVLLLILLLPLQTFCQQSTTKKLYVVYLGDKQHEDPEQTTASHHDMLTAILGRQEPPSKSNYIYALISVTVQDIYTIYSCISNVYNKEEAHDSMIYSYKHGFSGFSAMLTESQAQEIAELPEVHSIRPSILHPLHTTRSQDFLGLDYTQSAGLLHDTNYGDSVIIGIIDSGIWPESPSFKDDGLGPLPSKWKGKCLAGQAFGSNQCNRKIIGARWYDKHLNPDNLKGQYKSARDADGHGTHVASTAAGVLVPNVSFHGLAVGYARGAAPRARLAVYKACWGSPPSCDTAAVLQAFDDAIHDGVDVLSLSIGAPGLEYPASLQAVKNGISVIFSAGNEGPAPRTVKNASPWAMSVASATIDRAFPTVITLSDSTSSFVGQSLFYDTDDKIDNCCLFGTPETSNVTLAVGKIVLCNSPNSVSLISPTIQPEAGAKGIIFAAYAFDILDVVESCGSMPCVLVDFEVAQQIKQSADENTALVVKVAAAQTWIGGEVLAPKISAFSSRGPSPLYPEFLKPDIAAPGSNILAAVQDSYKFMSGTSMACPHVSGVVALLKALHPDWSPAIIKSALVTTASNEKYGVPILADGLPQKIADPFDYGGGFIDPNRAVDPGLAYDVDPNDYTLLLDCISAANSSFYKAVVKSPPGMKISVEPSVLQFSQSKKKQSFKVIFSMTRKFQGGYLFGSLAWYDGGTHYVRIPIAVRPIVSDNYADL >ORUFI04G01450.2 pep chromosome:OR_W1943:4:1525143:1533579:1 gene:ORUFI04G01450 transcript:ORUFI04G01450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAACNEGSHCRLVIVVLLLILLLPLQTFCQQSTTKKLYVVYLGDKQHEDPEQTTASHHDMLTAILGRQEPPSKSNYIYALISVTVQDIYTIYSCISNVYNKEEAHDSMIYSYKHGFSGFSAMLTESQAQEIAELPEVHSIRPSILHPLHTTRSQDFLGLDYTQSAGLLHDTNYGDSVIIGIIDSGIWPESPSFKDDGLGPLPSKWKGKCLAGQAFGSNQCNRKIIGARWYDKHLNPDNLKGQYKSARDADGHGTHVASTAAGVLVPNVSFHGLAVGYARGAAPRARLAVYKACWGSPPSCDTAAVLQAFDDAIHDGVDVLSLSIGAPGLEYPASLQAVKNGISVIFSAGNEGPAPRTVKNASPWAMSVASATIDRAFPTVITLSDSTSSFVGQSLFYDTDDKIDNCCLFGTPETSNVTLAVGKIVLCNSPNSVSLISPTIQPEAGAKGIIFAAYAFDILDVVESCGSMPCVLVDFEVAQQIKQSADENTALVVKVAAAQTWIGGEVLAPKISAFSSRGPSPLYPEFLKPDIAAPGSNILAAVQDSYKFMSGTSMACPHVSGVVALLKALHPDWSPAIIKSALVTTASNEKYGVPILADGLPQKIADPFDYGGGFIDPNRAVDPGLAYDVDPNDYTLLLDCISAANSSCEFEPINMNLPSIAIPNLKEPTTVLRTVTNVGQADAVYKAVVKSPPGMKISVEPSVLQFSQSKKKQSFKVIFSMTRKFQGGYLFGSLAWYDGGTHYVRIPIAVRPIVSDNYADL >ORUFI04G01450.3 pep chromosome:OR_W1943:4:1525143:1533579:1 gene:ORUFI04G01450 transcript:ORUFI04G01450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADAACNEGSHCRLVIVVLLLILLLPLQTFCQQSTTKKLYVVYLGDKQHEDPEQTTASHHDMLTAILGRQEPPSKSNYIYALISVTVQDIYTIYSCISNVYNKEEAHDSMIYSYKHGFSGFSAMLTESQAQEIAELPEVHSIRPSILHPLHTTRSQDFLGLDYTQSAGLLHDTNYGDSVIIGIIDSGIWPESPSFKDDGLGPLPSKWKGKCLAGQAFGSNQCNRKIIGARWYDKHLNPDNLKGQYKSARDADGHGTHVASTAAGVLVPNVSFHGLAVGYARGAAPRARLAVYKACWGSPPSCDTAAVLQAFDDAIHDGVDVLSLSIGAPGLEYPASLQAVKNGISVIFSAGNEGPAPRTVKNASPWAMSVASATIDRAFPTVITLSDSTSSFVGQSLFYDTDDKIDNWYEVYQSSCLFGTPETSNVTLAVGKIVLCNSPNSVSLISPTIQPVWNILLAVNALKEAGAKGIIFAAYAFDILDVVESCGSMPCVLVDFEVAQQIKQSADENTALVVKVAAAQTWIGGEVLAPKISAFSSRGPSPLYPEFLKPDIAAPGSNILAAVQDSYKFMSGTSMACPHVSGVVALLKALHPDWSPAIIKSALVTTASNEKYGVPILADGLPQKIADPFDYGGGFIDPNRAVDPGLAYDVDPNDYTLLLDCISAANSSFYKAVVKSPPGMKISVEPSVLQFSQSKKKQSFKVIFSMTRKFQGGYLFGSLAWYDGGTHYVRIPIAVRPIVSDNYADL >ORUFI04G01450.4 pep chromosome:OR_W1943:4:1533354:1543488:1 gene:ORUFI04G01450 transcript:ORUFI04G01450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIGSSHIALLLPLQTSYFVVAQPNNKASKKGKSSMKILKKQQLHTKICSPESLEGNKEAALESIIYSYKHAFSGFAAMLTESQAQTIAELPEVRSIKPSRVHPLHTTHSQDFLGLDYTKPTGLLHDAKYGDGIIIGIIDTGIWPESASFSDHGLSPIPSKWKGQCQAGEAFRSNQCNRKIIGARWYDKHLSAEDLKGEYRSARDTHGHGTHVASTAAGALVPNISFHGLAAGYARGVAPHAQLAVYKACWGLGASCHDAGIIKAFDDAIHDGVDVLSLSIGKSGDEFFSSFHAVKNGITVIFVAGNEGPAPRTVTNALPWVITVASATIDRAFPTVITLANGSSSIVPKDNNNWYEIHHSSCLIRNGEKINASLASGKIVFCYSPLSVSITSPFGYVSHAVKAAKEAGAKGIIIATYGLDILDYFEKCGAMPCIFVDFDAVGQINSSGDENTTPLVKIAPARTWVGGEVLAPKISTFSSRGPSPLLPQFLKPDVAAPGSNILAAVKDSYKFQSGTSMACPHVSGVAALLKALHPDWSPAIIKSALVTTASNDRYGLPILANGLPQKIADPFDYGGGFIDPNKATDPGLAYDVDPKDYDLVVNCESANSSCESIFQNLNLPSIAIPNLTMPTTVLRTVTNVGQDDAIYKAVVQCPPGVRISVEPSVLQFKQGKKKQSFKVTFSMTHKVQGSYLFGSLAWCDGAAHYVRIPIAVRPVISENYADL >ORUFI04G01450.5 pep chromosome:OR_W1943:4:1525143:1533579:1 gene:ORUFI04G01450 transcript:ORUFI04G01450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADAACNEGSHCRLVIVVLLLILLLPLQTFCQQSTTKKLYVVYLGDKQHEDPEQTTASHHDMLTAILGRQEPPSKSNYIYALISVTVQDIYTIYSCISNVYNKEEAHDSMIYSYKHGFSGFSAMLTESQAQEIAELPEVHSIRPSILHPLHTTRSQDFLGLDYTQSAGLLHDTNYGDSVIIGIIDSGIWPESPSFKDDGLGPLPSKWKGKCLAGQAFGSNQCNRKIIGARWYDKHLNPDNLKGQYKSARDADGHGTHVASTAAGVLVPNVSFHGLAVGYARGAAPRARLAVYKACWGSPPSCDTAAVLQAFDDAIHDGVDVLSLSIGAPGLEYPASLQAVKNGISVIFSAGNEGPAPRTVKNASPWAMSVASATIDRAFPTVITLSDSTSSFVGQSLFYDTDDKIDNWYEVYQSSCLFGTPETSNVTLAVGKIVLCNSPNSVSLISPTIQPVWNILLAVNALKEAGAKGIIFAAYAFDILDVVESCGSMPCVLVDFEVAQQIKQSADENTALVVKVAAAQTWIGGEVLAPKISAFSSRGPSPLYPEFLKPDIAAPGSNILAAVQDSYKFMSGTSMACPHVSGVVALLKALHPDWSPAIIKSALVTTASNEKYGVPILADGLPQKIADPFDYGGGFIDPNRAVDPGLAYDVDPNDYTLLLDCISAANSSCEFEPINMNLPSIAIPNLKEPTTVLRTVTNVGQADAVYKAVVKSPPGMKISVEPSVLQFSQSKKKQSFKVIFSMTRKFQGGYLFGSLAWYDGGTHYVRIPIAVRPIVSDNYADL >ORUFI04G01450.6 pep chromosome:OR_W1943:4:1525143:1533579:1 gene:ORUFI04G01450 transcript:ORUFI04G01450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADAACNEGSHCRLVIVVLLLILLLPLQTFCQQSTTKKEEAHDSMIYSYKHGFSGFSAMLTESQAQEIAELPEVHSIRPSILHPLHTTRSQDFLGLDYTQSAGLLHDTNYGDSVIIGIIDSGIWPESPSFKDDGLGPLPSKWKGKCLAGQAFGSNQCNRKIIGARWYDKHLNPDNLKGQYKSARDADGHGTHVASTAAGVLVPNVSFHGLAVGYARGAAPRARLAVYKACWGSPPSCDTAAVLQAFDDAIHDGVDVLSLSIGAPGLEYPASLQAVKNGISVIFSAGNEGPAPRTVKNASPWAMSVASATIDRAFPTVITLSDSTSSFVGQSLFYDTDDKIDNWYEVYQSSCLFGTPETSNVTLAVGKIVLCNSPNSVSLISPTIQPVWNILLAVNALKEAGAKGIIFAAYAFDILDVVESCGSMPCVLVDFEVAQQIKQSADENTALVVKVAAAQTWIGGEVLAPKISAFSSRGPSPLYPEFLKPDIAAPGSNILAAVQDSYKFMSGTSMACPHVSGVVALLKALHPDWSPAIIKSALVTTASNEKYGVPILADGLPQKIADPFDYGGGFIDPNRAVDPGLAYDVDPNDYTLLLDCISAANSSFYKAVVKSPPGMKISVEPSVLQFSQSKKKQSFKVIFSMTRKFQGGYLFGSLAWYDGGTHYVRIPIAVRPIVSDNYADL >ORUFI04G01450.7 pep chromosome:OR_W1943:4:1525143:1533579:1 gene:ORUFI04G01450 transcript:ORUFI04G01450.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADAACNEGSHCRLVIVVLLLILLLPLQTFCQQSTTKKEEAHDSMIYSYKHGFSGFSAMLTESQAQEIAELPEVHSIRPSILHPLHTTRSQDFLGLDYTQSAGLLHDTNYGDSVIIGIIDSGIWPESPSFKDDGLGPLPSKWKGKCLAGQAFGSNQCNRKIIGARWYDKHLNPDNLKGQYKSARDADGHGTHVASTAAGVLVPNVSFHGLAVGYARGAAPRARLAVYKACWGSPPSCDTAAVLQAFDDAIHDGVDVLSLSIGAPGLEYPASLQAVKNGISVIFSAGNEGPAPRTVKNASPWAMSVASATIDRAFPTVITLSDSTSSFVGQSLFYDTDDKIDNWYEVYQSSCLFGTPETSNVTLAVGKIVLCNSPNSVSLISPTIQPVWNILLAVNALKEAGAKGIIFAAYAFDILDVVESCGSMPCVLVDFEVAQQIKQSADENTALVVKVAAAQTWIGGEVLAPKISAFSSRGPSPLYPEFLKPDIAAPGSNILAAVQDSYKFMSGTSMACPHVSGVVALLKALHPDWSPAIIKSALVTTASNEKYGVPILADGLPQKIADPFDYGGGFIDPNRAVDPGLAYDVDPNDYTLLLDCISAANSSCEFEPINMNLPSIAIPNLKEPTTVLRTVTNVGQADAVYKAVVKSPPGMKISVEPSVLQFSQSKKKQSFKVIFSMTRKFQGGYLFGSLAWYDGGTHYVRIPIAVRPIVSDNYADL >ORUFI04G01460.1 pep chromosome:OR_W1943:4:1544124:1544749:1 gene:ORUFI04G01460 transcript:ORUFI04G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAPPLLPLLLSYPLLRSSSSFFLSLCKPVHYGDNDGGPAAREEAGGIIDRIRKTTFAHGCAACVEKCFNRM >ORUFI04G01470.1 pep chromosome:OR_W1943:4:1546330:1550202:1 gene:ORUFI04G01470 transcript:ORUFI04G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVLLCLAIAAATAVFAASSGAPPSPDAAAVAVGSCPTYSGSGYSSASDGGNQEEYDPNKENPCKRSCGSMSIPFPFALLSACSGSNRFLLNCTSNKTLIGIPPAQYQVINISLDDGVLFVNKHSNLGDIITTPTVANELHDFDFSGSQGIWRWAVANQTCHTARTDQLSYACVSINSSCVDRSTGYHCKCSFGYRGNAYIEDGCQDINECLLPNSCNGNCQNLPGNYSCSLCPRGSIFDPAKRVCIYGHGLGLLIGLGCGIGVLFLVVGLILFVRRWRRHMQRKIRREYFQKNKGLLLEQLMSSDENVAHDPKIFSLEELEKATNNFHSTRILGCGGHGTVYKGILLDQRVVAIKKSRIVEQNEIDQFINEVAILSQIVHRNVVKLFGCCLESKVPLLVYEFISNGTLYDLLHGEQSTTFSLTWEDSIRISLEVASALSYLHSAASIPIFHRDVKSANILLNDNYTLKVSDFGASRSISIDETRVVTIVQGTFGYLDPEYFHTCQLTEKSDVYSFGVILVEILTRKKPIIVNCFGENQNLGHCFLQTLQDGTIMEIVDPQIAKEANESEINEMASLAEICLRIRGEERPKMKEVELRLQLLRAMITERSRQELLRNNGIGPSVQSNSSTTSVTRSVVLRAGIGISTDQDATRCYTMEQELVSWTDLPR >ORUFI04G01480.1 pep chromosome:OR_W1943:4:1563073:1565630:1 gene:ORUFI04G01480 transcript:ORUFI04G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNITTTGMGFITPDASMTLPSRLINATLASGKIVFCYNPAPMTTISPIFYTSKVVKYAKEAGAKGIILATYAFDMLDAFEICGSMPCVLVDFDVATGLYYALVQNTELVVKVTPDLTWLGNGVLAPKISTFSSRGPSPLFQKFLKPDVAAPRSNILAAVKDPYTFKSGTSMACPHVSGVAALLKALHPDWSPAIIKSAIVTTASNDRFGLPILADGLPQKPVDPFDYGGGFIDLNRAVDPGLAYDVDPKDYIPFHDCFLAGNSSCESESRNLNIPSIAILNLKEPTTVLRTVTNVGQADAIYKAVVQSPPGMQILVEPSILKFSAGMNKQSFKVTFTTTHKVQGNYLFGSLAWHDGGAHYVKIPIAVRPVLSNNYYSDV >ORUFI04G01490.1 pep chromosome:OR_W1943:4:1578286:1583723:1 gene:ORUFI04G01490 transcript:ORUFI04G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERATAAHPASSSVSAAHWMATEDLGLRRNIINNMVKKFMTITNSQQDHHYREIQNYAIRCEQDALNKTTNKEDYLRCIAQRIMNMEMKVRRSQSLQAGTTPSTQRPSSQQQNVCTTPQNPGQVPDQHRASAPNSQIEASQEQTVMVAAPDCYLNFNTTAISPVAMCVHPSQQPQSQQHQQQAKQLHPTNVVGYNPTSLNQIQGQSVSGQNFQQNHVLGQNASGSGTQQRQLVETPEQHQLLRMKQQHMRGNQQQNFTQRNQILPAQQAHLGKMQIGHPAVQNNQQNVGMSCQHMTPPQCQVATAQQSSLGCDSPQTLEPIVIAGEVDWREEIFQKIKSFKDAYLSEVLEYDQIVHVPKLTEEQLRSLPVENAEKLRRIRHVKKIIAIMLDLLNTQKSNVRKGMQNIFPIFQQYLGQLRLSISKSKARKTVAKIGCQSQNCSENSHIVNLGSNTAPFTCDASRQQKQQEQVISAKTSRMEQAIMTRTPTPQQESHGCHLLGVPSSCFSPKALQPSSTNTIEECFTPSPVTQTVQPIQVASPHVTSPSAYGKSSVPKPSVARVVSHSASIKSRLASSPSRPEGAHAASPNITSVESTLPTPIAKPGTVRAASPCTPVKSTSQSQLSKPAVTEVDSCRACVTSKLKSPVGKPETAGAASPCASVKSTVSLDVDSVTEFLQHRVVAPTVANGGSSNQAIHTLVSAAPPKAAHQADDQVQNGAEEMEAKKPFSRLIETLLSSSPEALLHSSNSMRLAIWEADRIPAPSPLPYRPRNGKMKRDFDHVTSRPISSPLRSMDESCMTYECVAFEDESSGEYNAKRQKTQVNANDALVDEIKTINNKLVDTVMNFADENGTDEIIYQNGGGMLIKLSYISMSLSPSLKSLFAASEMTIVMPVKLLVPADYPKSSPILVDNDDEQRRLSDISYAVAVAFGCAVDELPEPRSIEAMAMAWDGCVRRAVTEVAHRHGGGTFSSRHNQWRAGYLHGPMMSL >ORUFI04G01500.1 pep chromosome:OR_W1943:4:1590852:1592294:-1 gene:ORUFI04G01500 transcript:ORUFI04G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLTLLLVLTLFLCLAVFRRTTSRARRAPVSLRQPTVEIHDGDVARRALLDHADAFVNRPAIGAEPRGRRSDNLTTVRYGPQWRVLWRNLTAGFLRPSRVGLLAPLQQKAVDALVADIAARGADGGEVGVRDVVHDALFPLAVRFCFGDDIDERHVRDLRRVMQELKLDVVVKGFSGSMLANLVHWWRLRRFIASGRRRAEIFLPLIAQRRRTQHRDADGGVFRPYVDSLLDLRVPVGHDADADAAGCEDNEGRNSGRALTDDEMVGLVAEFLSGGTETVVSCVEWTLAHLVIEPEIQDKLCRQVVAAADHHGGERGTTPAYLRAVILESLRMHPPVPLTMRDVRSPQAVEHLSLPDGGARVHFILGDIGRDGKAWTDPDEFRPERFMAGGEAEGVGPLPGPREVRMMPFGAGRRYCPGMGLGVAHACLLVAALVREFEWAPTAVAATGGVDLTEVNGFFKMMRTPLRARATPRGTSA >ORUFI04G01510.1 pep chromosome:OR_W1943:4:1593958:1597403:-1 gene:ORUFI04G01510 transcript:ORUFI04G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCADLANDGGSLRFGELGIKSSKNYSLYARILIRDEIPRGFRAAGNHQIALRDDKS >ORUFI04G01520.1 pep chromosome:OR_W1943:4:1598666:1602151:1 gene:ORUFI04G01520 transcript:ORUFI04G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGWMKASRSSIEYNIGVNKFIDFALSTSASDNRILCPCKTCGNRYWLGEHEVREHLICDGFLAGYTSWIHHGESMLNSKPSDASSSHCEEHNDDMDQMLLDGLGMYDIRTLGTNDGPNS >ORUFI04G01520.2 pep chromosome:OR_W1943:4:1599268:1601245:1 gene:ORUFI04G01520 transcript:ORUFI04G01520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYSSTKHNWNIMDKGWMKASRSSIEYNIGVNKFIDFALSTSASDNRILCPCKTCGNRYWLGEHEVREHLICDGFLAGYTSWIHHGESMLNSKPSDASSSHCEEHNDDMDQMLLDGLGMTRSLGKASAGSLSAIKFSNTQVLTTSRF >ORUFI04G01530.1 pep chromosome:OR_W1943:4:1603736:1605199:-1 gene:ORUFI04G01530 transcript:ORUFI04G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSVLLLMLFVFTLLCCLALLRRAARRARAPAAVQPPTIEISDAAVARRALVDYADVFANRPFLPFPVALFTGRCRRRSDNLTSVPYGPHWRVLRRNLTAGIFHPSRLVLLAPLQRDAVDDLVAGISESAAGGAVPVVVRDAAYAAVFRLAARMCFGDGVGERQVRALRRVIREFVLDVGVANNVFPVSTSTALARLRRWRRVRRLLSSRRRQAELYLPLIDERRRRMARRRDRDADGGMFRPYVDALIDLRVPGDGGSTPLTDDEMVSLLMEFLAASTESVVSCIEWTLAHLVIDAEAQSKLRREVGDVGDGEHVHGGLGGRTPYMRAVILESLRLHPPVPFVIREIVGGAAPPVLDELAAMPMPGGGARVHFVIGDIGRDGKAWKDPEEFRPERFMAGGEAEGVRPVPGPKEVRMMPFGAGRRSCPGMGVAMAHVGLFVAALVREFEWTPAAGGGVDLTQQDDFFNVMRTPLRARATPRPRAPA >ORUFI04G01540.1 pep chromosome:OR_W1943:4:1612968:1613526:-1 gene:ORUFI04G01540 transcript:ORUFI04G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAHPVEEAGLAVRRSCRWEWRGLRRTRLAGGGRRCRGFTCRQSLCGDGASVYQPWIRSLVLAPLSFDGRRRQFSVASLLEDVVLASPRGATSSVSLYWSSGGRSRLAAAVPVLAFSWVGVLAMLMCGWWFFFLFPGYDPPGL >ORUFI04G01550.1 pep chromosome:OR_W1943:4:1614740:1616155:-1 gene:ORUFI04G01550 transcript:ORUFI04G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDEHLRLPPRSGRGALHHRAAAAAPANAISLHVDAEADDGPAAAERSSSTTREKRLERFMEYSKRALVYEFTLATSLLQHRTASFTAGSAWAKAGVALLCAALFVDLMGSVYLALVTRLLDAEATDASCRWHVVRVYASAVLLMSMPFCLLMSLNALYSFLAVALVPPIYLVLLLFAKEHHHHRHRGGVLHEFPPPRGERTTVIISYEDYDGKLKSQFDASATVNTIATGAGLTGTFFGYSTSTDFSPNHAVTVSESLLFLTIVGAQFVMLVTAARPMFRKESSPARLAGFLSLLVGSLPVLLSLSAFAGAIDFLGGLALLAFSIDFLELVVFFKATFYKEALEEEPDAPPRPTSTTTTDGLQLLWLCVMYIYFTALEALYQEQAGRKTKLELLEKARVLVYFWAFCCCSLDGGGRGKLPLLPPLEELRKHHHHLSLGRARYAVMGLAALDVLWRVARMFLVVAPVKP >ORUFI04G01560.1 pep chromosome:OR_W1943:4:1617110:1617307:1 gene:ORUFI04G01560 transcript:ORUFI04G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVATGCSAKFEAAAVAEALPPVRASASLAGGRDDNLFRGGGWRSASEVGVPSEDASLSEKLPAT >ORUFI04G01570.1 pep chromosome:OR_W1943:4:1639348:1640702:-1 gene:ORUFI04G01570 transcript:ORUFI04G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQHSDRMDSLFSPQTSWVSGPIIVGAGPSGLAVAASLREQGVPFTMLERADCIASLWQKRTYDRLKLHLPKQFCELPRMAFPAHYPEYPTRRQFIDYLEDYAAAFDINPLFGHTVLSARYDETSGLWRVRASSSAGAEMEYIGSWLVVATGENAESVVPDIPGIDGFGGEVVHVADYKSGEAYRGKRVLVVGCGNSGMEVSLDLCDHGARPAMVVRDAVHVLPREVLGKSTFELAVLLMAWLPLWLVDKILVLLAWLVLGNLAKLGIRRPATGPLELKNTTGRTPVLDYGALARIRSGEITVVPGVARFGKGFAELADGRVIALDAVVLATGYRSNVPQWLQGNDFFNKDGYPKTAFPNGWKGESGLYAVGFTRRGLSGASADAMRAAKDLARVWKEATKPTKKSTACHRRCISVIF >ORUFI04G01580.1 pep chromosome:OR_W1943:4:1662455:1664655:-1 gene:ORUFI04G01580 transcript:ORUFI04G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGEPPASSSLGNAGEEEEEDDFYWDAEAEAELQAIEAAYAAAKRRRLPDWPSPNPVTASASASASGGCSPAPPWAPSPPAFRGNVKARYQPVMFNGSIVYCRTPSEVEKATRDILCKIETMKASGQVSLGFDLEWRPFPRRGDPPCKVAVMQLCMERTRCYVMHIIHSGVPPVLKSLLEDSSSVKVGICIDNDARKMFNDYDVHVQPLMDLSNLANAKLGFPPKRWSLASLTEMVTCRELPKPSNIRMGNWEAYVLSKQQLQYAATDAYISWHLYEVYECTTYL >ORUFI04G01590.1 pep chromosome:OR_W1943:4:1668102:1673448:1 gene:ORUFI04G01590 transcript:ORUFI04G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPSDPPMSALSHTEGDSSGFTRNNDRNDDAVGGEDSTSSYQPCNSMKCKDGTQIMSLANEDVSNLASDCQEPPSESNHAISHGSNTDSKEEMSSGSGYRRQQSCFSSSTCSWRSSSEVESVSSTPDGSGDVVGNMSVRSKTFERRPDHIASYPSASPDIRRLYAAEGKADFTLDYHSEERWSRRSNQSTAFRTSNGQSIEHHSEIVDIPRQANSMDETTSSSSQWSFDNWGPSLPRGMQYGDEIPSLSSQNYGARIPSLSSRQSYGDEIPSLSRNCNYATRQSYGDEIPSLSSRHCNSFSSRQSYGDEIPSLSRHCNARSSRQNYGDEIPSLSNSDYQCYQDRIPLHHRQWCHDAEAHPQLNYGRGASHGNRYSRDSFVSSIATNQRFKMGTGKHTVTRSDHHRTIKNDNVCKHSDDTLEQVRGPRANKLENATRSKTQEDIRSPLVRRDQFNRPDFIVEYEQAKFFMIKSYSEDDIHKGIKYNVWASTPHGNNKLDAAFREAQILIKEKGKKCPVFLFFSVNSSGQFVGLAEILGPVDFKKTMDFWKLDRWNGFFPVTWHIIKDIPNRLFKHITLENNDNRIVTFSRDTQEIGLLQGLKMLKIFKDYDQETSLLDDFNFYEEKESARCAKKGINAESTHEARLLFFGTGARHSDDFKSMENLEASMENTILY >ORUFI04G01600.1 pep chromosome:OR_W1943:4:1674352:1677217:-1 gene:ORUFI04G01600 transcript:ORUFI04G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKASGLEEIPGLRSLASRGSSNKLNCIAGFSLLKMPNAESSLFKMPTADANIAALHKEWDDALCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKMKVDHNDGSSQQSSSLPRDISSQNVPQRSRFDPTGEIQTGISESHEIFNHRDAIQSSAGLSGQQGENSYNQDLDLTLEAQQRESSSTVESSELTRLNQLACPLCRGTVKGWKIIKEAREYLDEKSRSCSRETCAFSGNYRELRRHARRVHPTTRPADVDPSRRRAWHRLEHQREYGDILSAIRSAMPGAVVFGDYVVEGGDMFSPDQEGGMPNEPSGSLLTTFFLFHMISSSPMRSGDEIRGSSRGLRRQRRRYLWGENLLGLQYEDEDEDDEEENLDEDVQRPRSRRRFVRSRSEERS >ORUFI04G01610.1 pep chromosome:OR_W1943:4:1678842:1679330:-1 gene:ORUFI04G01610 transcript:ORUFI04G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKQTGQQKGGASRKVRRFASPEEELKDEARLTETSESSMFRRDRGGGISTQNRRASREHLLQLRRSLPTLPPTQRRVGASRATEQLDNLEGGGNRGGGVEEGADATEEAPELPTRPADDSPTGPPRGGRAPPRMRQPPARACQPPPPTRAGLAADTPQPE >ORUFI04G01620.1 pep chromosome:OR_W1943:4:1683262:1690428:1 gene:ORUFI04G01620 transcript:ORUFI04G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPMGNDRPPQGVPGRPVSAFVPGAATAAPPPSSFGAASAPRAPFVPPPQAAASPAAPFAAAPPAAMAGYRGPPPPQRPFGAGPPQQGPFAAAAPPPQGPFTSAPSSQGPFAAAPQPPSQGPFGTAPPPSQGPFGTAPPPSQGPFGTAPPPSQGPFAASVPPSQGPFASAPPPFRPPPSLVQSPTASGMAPPSAYVRPPPPVQSQPPPMQGFYGGPPPANQQFPMSRPTFQQPVQTMPPPPMAGFGNQAAYATGGPPTGGTLQSLVEDFQSLSVSSAPGSLDPGVDVKGLPRPLDGDEEPTKVLEAYPLNCHPRYFRLTTHAIPASQSLVSRWHLPLGAVEVPVINFGSAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCGIDGSGRRYDADQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVAKTIKSCLDDLPGFPRTQIGFLTFDSTLHFHNFKSSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVDSFLDSLPNMFQDNVNVESALGPALKAAFMVMSQIGGKLLVFQSTLPSLGVGRLRLRGDDVRAYGTDKEHSLRVPEDPFYKQMAAEFTKNQIAVDIFSFSDKYCDIASLGSLAKYTGGQVYHYPSFQAVTHGDKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQTVYFQVALLYPFLPIWRIRVHTAAAPVVTDLGEMYRQADTGAIVSLLSRIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIYPESLRFLPLYILSICKSLALRGGYADVSLDERCAAGFSMMILPAKKLLNFIYPSLYRVDEVLSMEPDRIGGSLKRLPLTMQCLDTGGLYLLDDGFTFLVWLGRMLPPELVNNILGVSLANFPDLSKVQLRECDNEYSRNFMKILGTLRERDPSYHQLCRVVRQGEQPREGFLLLSNLVEDQMSGTSSYMDWILQIHRQTQS >ORUFI04G01630.1 pep chromosome:OR_W1943:4:1691320:1695153:1 gene:ORUFI04G01630 transcript:ORUFI04G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAARRFSAATPSSPLLLRRRLLSSQPQPTAAAAEADAGAGEWVRRAGALSLLGLTGAVAASAVSDLSVFLSCSSQAIEKASQNQQIVNAIGAPIVRGPWYSASIAVNHARHSVSCTFPVSAPQGNGLLKFKAVRSGDESWFSFLQPNDWEILLMDAILDVPTDDGKHQTIRVTIPDNTAPPPAIDCKACKSQPTLTPPPPPSPSPPQK >ORUFI04G01640.1 pep chromosome:OR_W1943:4:1696685:1697498:1 gene:ORUFI04G01640 transcript:ORUFI04G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSRRSPMESADAIVFLVGGALAGDLAGANTSAGGGFPTRCAMRGRWQSSTIVHARIDSAWAQGGEGGGQALPMASKTGGMQYRPVQRASTALGGGEGGGQAPPMASKTGGCSTGWCRGRR >ORUFI04G01650.1 pep chromosome:OR_W1943:4:1697785:1698298:-1 gene:ORUFI04G01650 transcript:ORUFI04G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLCTVADAVREFFHIIYAGEGEISTSTRATATTTAQRASLKSTRVSDDEPFLAEEMRVLEETKGINLPNVMLRSVLHNLLNQKIESIAHVPHDLVRQVWDYVEDLVVKVLQHHSWSYPQVQLSCRRAVQSLNVMDKACTETIGAARVRAIGLACSLRGIGLVF >ORUFI04G01660.1 pep chromosome:OR_W1943:4:1699677:1701893:-1 gene:ORUFI04G01660 transcript:ORUFI04G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRIDEEAAAGAEQEEMEEEDVKGGIARSAMAASYNDQIRPLLDAVDRLRQLNVSQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDAGDDDEPALRLEYSGGRVVATSEAEVADAINAATAEIAGCGKGISNAPITLVVRKKGVPDLTLVDLPGITRVPVKGQPEDIYDQIAGIIKAYIAPRESIILNVLSATVDFPTCESIRMSQQVDRTGNRTLAVVTKSDKAPEGLLEKVTVDDVGIGLRYVCVRNRIGDETYKEAREAEARLFAEHPLLSRIDKSMVGVPTLARRLTQIQASIIARSLPDIVKQINDKLSRSSDELGQMPPEPCTVADAVREFFHIVKQVRASLEKVLVRGEFDEYPNDRHHHGTARLAEMLEGFASRLPAAAATDGEPFLMEEMRVLKETKGINLPNFMPRSALHVLLNRKVESIAHVPHDLVRQVWDYVEDLVLKELQRHSRSYPQVQPSCRRAVQSLMDKARERSARYVNELIDMEMVELAMQAFDLKARLATYWNSVVLRVIDGSALHVLYSIKHLAEKDLEDELAAQVMGNNMDGVERMLVPTPAAAAKRDCLRKSIKLLQESKEVVANIMDRLTRQAPTMSDNYYST >ORUFI04G01670.1 pep chromosome:OR_W1943:4:1703143:1703948:-1 gene:ORUFI04G01670 transcript:ORUFI04G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIHAVVAMAGDEGAGRRGEHNVERFGAYDSVADDNAPVVVPGLARTIKVTRAQAPGFFRVARWDKFADDVERR >ORUFI04G01680.1 pep chromosome:OR_W1943:4:1740933:1741484:1 gene:ORUFI04G01680 transcript:ORUFI04G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHTCTACCYRLLVAVQSVLICRLLPIASATSRRMLDADASQLPCGHVVVGRLGTPTASIGEAAASPARAAPPAFNVHYYRKRFCIRAESIFTCGWPRSSHAVT >ORUFI04G01690.1 pep chromosome:OR_W1943:4:1763071:1764990:-1 gene:ORUFI04G01690 transcript:ORUFI04G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTPQNSTTYNLSPTQPQDFGITHSSLLKSNPTMHKAEHTFQNDDIKLASSAREQFHEEFGFKRKNLEKEIYRDMMKRLNEFHAKEVVEHQTDGARNLENTEETLEGHHMAKKDIVHVNKSPCFSNTSDKKIQSAINEGQLNFATPGMSHAKDDHLVKQIGLGGLVRRSLRHRWEFDEEANLDAKVYRSKKRAYLLVHKKQLHKLSVEKLRERGMAWVPKGSVQVQNEKDAKVEVEAKKVRRVRSHAPNQWFVSSHQVPLPPYCIYSSPMQPMHMSWIQFSGMYRYPSCPYYNSWISYESLYYGGMLPYSFAY >ORUFI04G01700.1 pep chromosome:OR_W1943:4:1768266:1768721:-1 gene:ORUFI04G01700 transcript:ORUFI04G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLAQGGGTVQIHATWWWWWQRRFEALAAAEESARSAPGTGGVAGGGGVRWQGWRRHGHGGGGEEPVGAARDLTMVGRPRRVPSELVNGKKVREFGEMATGMEGQ >ORUFI04G01710.1 pep chromosome:OR_W1943:4:1771313:1771620:-1 gene:ORUFI04G01710 transcript:ORUFI04G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPPNSLFPEPPPHAIGWPSHLVRCGDGASKRTNHHAGESPSARAARVEKGGCSRIRDAATIVSSLTPITSPRTNTRSA >ORUFI04G01720.1 pep chromosome:OR_W1943:4:1772877:1773605:-1 gene:ORUFI04G01720 transcript:ORUFI04G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGPSHGDAPPSAWGRRTRVSDGGQAATSPAGGSGSGPPASRSDAPAQIWPFSSQHSAGASGGAQRGWGRRGAAWRKAVDYMARKEGVDNATELAAARGWHRRQQSGHRGGVGGRRHCSGRLVWVVPAAAGRPAWVAPPVADVAACAGAGGCGWPARLGDVADNRHGEGVATGNGGTRVGVAGGVQDGQCVMAAQREVMSVEVEPGEVVACGDWPGEGAGTVCPRTGGGLDGGGALVYMW >ORUFI04G01730.1 pep chromosome:OR_W1943:4:1776544:1778471:1 gene:ORUFI04G01730 transcript:ORUFI04G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFDVPGCFCFHIWNAWDEPAVVIPARARARLNLEAGTVNRSLLGRRTRFAYLAVAEPWPRCRGVAKVDLGTGELAAVHEYGEGRFSGEPTFVPATSATSGTGTGGREDDGHVVVMVHDEAAGTVELVVLDAGKMEVAATVAALSCRVPYGFHGITKRV >ORUFI04G01740.1 pep chromosome:OR_W1943:4:1780169:1804905:-1 gene:ORUFI04G01740 transcript:ORUFI04G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAEEAKGVEEGGGGASANGGDNPATATASASAAAAASSSSPADDRGLPRSSTMPGGINNVEITNETAGPSNLERSRTERRRQNNPADDPTKQLFDDKISLKKKLEMLNRIATVKDDGTVVVDVPSSLETSTTDGVAYDGYSDVTVEEPLDGADISVRPPMDIVILIVGTRGDVQPFIAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFFPLGDMVKNKGFLPSGPSEIPIQRKQMKEIIFSLLPACKEPDPDTGIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQAAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPEPLVKWLEAGDKPIYVGFGSLPVQDPAKMTEVIVKALEITGQRGIINKGWGGLGTLAEPKDFVYLLDNCPHDWLFLQCKAVLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPYGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPEPLVKWLEAGDKPIYVGFGSLVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWVDRVHARGVGPLPIPVDQFSLQKLVDAINFMMEPKVKEKAVELAKAMESEDGVSGAVRAFLRHLPLRAEETTPQPTSSFLEFLGPFSFYDIFHVMALQAIVALYMCQYHLEASLSVSSRVIILVDPTAEGIC >ORUFI04G01740.2 pep chromosome:OR_W1943:4:1780169:1804905:-1 gene:ORUFI04G01740 transcript:ORUFI04G01740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAEEAKGVEEGGGGASANGGDNPATATASASAAAAASSSSPADDRGLPRSSTMPGGINNVEITNETAGPSNLERSRTERRRQNNPADDPTKQLFDDKISLKKKLEMLNRIATVKDDGTVVVDVPSSLETSTTDGVAYDGYSDVTVEEPLDGADISVRPPMDIVILIVGTRGDVQPFIAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFFPLGDMVKNKGFLPSGPSEIPIQRKQMKEIIFSLLPACKEPDPDTGIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQAAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPEPLVKWLEAGDKPIYVGFGSLPVQDPAKMTEVIVKALEITGQRGIINKGWGGLGTLAEPKDFVYLLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWVDRVHARGVGPLPIPVDQFSLQKLVDAINFMMEPKVKEKAVELAKAMESEDGVSGAVRAFLRHLPLRAEETTPQPTSSFLEFLGPFSFYDIFHVMALQAIVALYMCQYHLEASLSVSSRVIILVDPTAEGIC >ORUFI04G01740.3 pep chromosome:OR_W1943:4:1780169:1804905:-1 gene:ORUFI04G01740 transcript:ORUFI04G01740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAEEAKGVEEGGGGASANGGDNPATATASASAAAAASSSSPADDRGLPRSSTMPGGINNVEITNETAGPSNLERSRTERRRQNNPADDPTKQLFDDKISLKKKLEMLNRIATVKDDGTVVVDVPSSLETSTTDGVAYDGYSDVTVEEPLDGADISVRPPMDIVILIVGTRGDVQPFIAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFFPLGDMVKNKGFLPSGPSEIPIQRKQMKEIIFSLLPACKEPDPDTGIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQAAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPEPLVKWLEAGDKPIYVGFGSLVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWVDRVHARGVGPLPIPVDQFSLQKLVDAINFMMEPKVKEKAVELAKAMESEDGVSGAVRAFLRHLPLRAEETTPQPTSSFLEFLGPFSFYDIFHVMALQAIVALYMCQYHLEASLSVSSRVIILVDPTAEGIC >ORUFI04G01750.1 pep chromosome:OR_W1943:4:1820313:1821467:1 gene:ORUFI04G01750 transcript:ORUFI04G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGNPCPRAYYRCTVAVGCPAAVAKSSSANAATTEMLELLRDFSDYSSFNSDISSELERLAAAVTPRSDAPQVAAVDLNGGSSSSSRLTTTTPPPLLQLGCRQALPFIVPTGRCASLAAVVEEISGRRASPPWMSAAGDAVAVIPSYENHVTEVSTCVKHSSSHTDIDRLRLSITTPFHDYDVITLIDKIINPLFWC >ORUFI04G01760.1 pep chromosome:OR_W1943:4:1821714:1822540:-1 gene:ORUFI04G01760 transcript:ORUFI04G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNQELEAELLAGIGEVRFKLELKRLIQGDAISLSMALPLQILIWQLIEMYSLVALGKTFANSASRSASCTWGLLGIGERSAHFSRAVGASPQGATGTMTFLSWNCRGMGGHLGRRKMQYLRSLISSTLAQVIFISETKNDSFTSSDLTDAFHVDNSYIVPVVHASGGLWLLWNNEVDLTAMSSCANYIVAVGVSYP >ORUFI04G01770.1 pep chromosome:OR_W1943:4:1822922:1830260:1 gene:ORUFI04G01770 transcript:ORUFI04G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGAAAAVDPEAATELVRKGATLLLLDVPQRTLLGVDTQVFSVGPKFKGIKMVPPGPHFLYYCSPNSYGQNNLHEKPHIDYSSTICDPFRHANEFAPTVGFFLTTHPSEVIVRKWHAQEERLIKLPEEEEIRYSEAVRHFEFDSQLGPYNLDSFGDWKQLSSYLPQSVIERLEPIGGEITIAWESSWMDKAPQTDMERRLMDQLKDGKFAKNAPVQSERRGCYYTTIPASIKHSNISGDELTALNLDKTCLLESVLAKNYQGQEDLLLGELQFAFIAFMMGQSLEAFMQWKALVSLLLSCSEAFIRAIYYQLKHGFQHTQDNRSGEEMGNSLFLDEAWFSRDIFLYRLSKTRKLKSLLETTFGWDLDNNTVNLIDEDDEFAPVVVEMDGS >ORUFI04G01780.1 pep chromosome:OR_W1943:4:1838153:1842623:1 gene:ORUFI04G01780 transcript:ORUFI04G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPLPPLLPSPMHFLLLLLHLLSFSSSTAASASSEVAFLTQWLNTTAARPPDWSPSASSPCKWSHVGCDAATGSVTSVTFQSVHLAAPLPPGICAALPSLASLVVSDANLTGGVPDDLHLCRRLAVLDLSGNSLSGPIPASLGNATAMASLALNSNQLSGPIPASLGNLAASLRDLLLFDNRLSGELPASLGELRLLESLRAGGNRDLGGEIPESFSRLSNLVVLGLADTKISGALPASLGRLQSLQTLSIYTTMLSGSIPAELAGCGNLTNVYLYENSLSGPLPPSLGALPRLQKLLLWQNSLTGPIPDTFGNLTSLVSLDLSINAISGAIPASLGRLPALQDLMLSDNNLTGTIPPALANATSLVQLQLDTNAISGLIPPELGRLAALQVVFAWQNQLEGSIPASLAGLANLQALDLSHNHLTGAIPPGIFLLRNLTKLLLLSNDLSGVIPPEIGKAASLVRLRLGGNRLAGTIPAAVAGMRSINFLDLGSNRLAGGVPAELGNCSQLQMLDLSNNTLTGALPESLAGVRGLQEIDVSHNQLTGGVPDAFGRLEALSRLVLSGNSLSGAIPAALGKCRNLELLDLSDNALSGRIPDELCAIDGLDIALNLSRNGLTGPIPARISALSKLSVLDLSYNALDGGLAPLAGLDNLVTLNVSNNNFTGYLPDTKLFRQLSTSCLAGNSGLCTKGGDVCFVSIDASGRPVMSADEEEVQRMHRLKLAIALLVTATVAMVLGMVGILRARGMGIVGGKGGHGGGSSDSESGGDLAWPWQFTPFQKLSFSVEQVVRNLVDANIIGKGCSGVVYRVGLDTGEVIAVKKLWPSTRNGADKDDVAGGGRVRDSFSAEVRTLGCIRHKNIVRFLGCCWNKTTRLLMYDYMANGSLGAVLHERRHGGHGGGGAQLEWDVRYRIVLGAAQGLAYLHHDCVPPIVHRDIKANNILIGLDFEAYIADFGLAKLVDDGDFGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGQHVVDWVRRRKGAADVLDPALRGRSDAEVDEMLQVMGVALLCVAPSPDDRPAMKDVAAMLNEIRLDRDDYANVDLLLKSGAAAASPPRAAAAATSTSSSTPPSSSSFSGSSAMIYNSSSKAKSPFD >ORUFI04G01790.1 pep chromosome:OR_W1943:4:1839372:1841441:-1 gene:ORUFI04G01790 transcript:ORUFI04G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCRMHPSVLTSAENESRTRPPPATSSLSAPLRVLGQSFLTAMTSPVSRPTRYTTPEQPLPMMLASTRLRTTCSTLKLSFWNGVNCHGHARSPPDSESLLPPPCPPFPPTMPIPRARRIPTMPSTIATVAVTSSAMASLSRCIRCTSSSSALITGLPLASMLTKHTSPPLVQSPELPARHDVDSCRNSFVSGSVTRLSRPASGARPPSSALYDRSSTESLLSAEILAGIGPVSPFRLRLSAMSRPSMAQSSSGMRPERALSLRSRSSRLRHFPSAAGMAPESELPLRTRRLSASSLPNASGTPPVSWLCDTSISCRPRTPASDSGSAPVSVLLLRSSIWSCEQLPSSAGTPPARRLLPRSRKLMLLIPATAAGIVPASRFPPSRSRTRLAALPISGGMTPERSLERRSSLVRLRSKKIPGGMAPVRWLCERSSAWRLARPASDAGMEPSSWFCHANTTCSAARRPSSGGMRPEMALVSSWSWTSDVAFASAGGMVPVRLLSLSMRSCSAGRRPSDAGMAPEMALMERSRETREVRLPKVSGMGPVSEFCHSSSFCSRGSAPSDGGSGPESEFS >ORUFI04G01800.1 pep chromosome:OR_W1943:4:1849359:1850829:1 gene:ORUFI04G01800 transcript:ORUFI04G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRATRRGYTGDPPTKVLERENADAHKDQVKGLNGRISKLNDTIKELNDTIEALERQVQNLTRYKEEKQKQHANLQKEFAELERKYRDLDAAHRNCGPTVRFPVFTVGQPYYHRHN >ORUFI04G01810.1 pep chromosome:OR_W1943:4:1851964:1857730:1 gene:ORUFI04G01810 transcript:ORUFI04G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGQATEVLEKGNIRLQDREIAGLEEQIRTLRMKLQNHESYEEQKRREYYNLESEYNKLHSSYRNLQACHHNLQTSYHNLEARHNALRWQMSRPGCCG >ORUFI04G01820.1 pep chromosome:OR_W1943:4:1879505:1880706:1 gene:ORUFI04G01820 transcript:ORUFI04G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARSVASPSAAQVGGGSSARDVEQQKSAAAVGASSPVSSSKQHNLAKEENGTITANGDKQQKPAADRNGFVRCMDTAARSEVMNHSLQKYVIHLDGCHPLSMRNPRKRCAWCSLRYIRAACDVNFRSNETTRGNSNGCEHVSKENM >ORUFI04G01830.1 pep chromosome:OR_W1943:4:1884926:1888474:-1 gene:ORUFI04G01830 transcript:ORUFI04G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQISSLAGGCVADNVESSSMENSMNAAPAMATMNSTNPTLVRTFAILQQVRMFQVVVALVLFSLEPFMLLKQERCISGSSYLVLQYQIPKKQVASRRILEKKFNRTMTVHEQIVFKTAFIIFVVTKFLAPQSVNNHISIRYMKALVDVENIHKYNWAEFVLHDIKDAAAALQHKIRHRKSIGYINGCIILPQLFYLDNLDFGTDTPEQENIPRIGVYNDSMIAEFIEKDVILKNRNPFPAYGKMKKLQTIDIFIGFQLRNKHDEKYNIGHHTGATEAIHVDRTYDARSDIEPPSFNLGITQDIEEVNMVACTPGHDISNVAEDSYKEQELNFLARTPDQPISKSVDASDKSGEVGREEYACTKLPPKSKRRIIGGPSDILFDRPKRSIKPSHLVKSPFLSKQHSFVRHDQKALDDLCTYAISIADAEALKKIWVHISQPVPMSLSLHDIQQAIRLDTQMQEETFNVAVQVLVADAIQRFGGTDFVGWRHFLNQDFAMFATAGDDQWNPEDHLPSFKDDSLIPYVVPSCHLECMKLAFPDWGEDIPNWVSKFPSVIPALNNKFQLDCAFHVLYYMRNWDGTRLVNPPKSDQRDLRKEFLSNLLSFKNNEAILPDFVVHCLKLSNKI >ORUFI04G01840.1 pep chromosome:OR_W1943:4:1912068:1930026:-1 gene:ORUFI04G01840 transcript:ORUFI04G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGSLVDELLSSMGGFHIDEPPSAASELEKRQDDMANLLATFKEHLQERSAHSIAPTLGTVGRINEESRTRRNAGYPINFEFDFGPVIEFLNMRLNNAGDPFMECNYGIHSKKFEIAVLDWFARLWELPKDQYWGYVTSGGTEGNMHGLLVGRELFPEGIIYTSCDSHYSIFKAAKMYRVQCIKIDTLFSGTTMKGAVDDLDEIVMILENCGFANRFYIHCDSALVGLMMPFIKQAPKLTFKKPIGSICISGHKFIGCPIPCGVLITRLMDINHVMSTNIEYISSNDTTIEGSRNGHAPIFLWYALKRIGYNGLGKMVENCLKNAQYLALRLREMGVSVFLNALSITVVFERPKDETFVRKWQLACQGKIAHVVVMPNVSLERINMFLEEFTKSRIALLQDKCVAGDVGQENCLCSLHLDRKKEAV >ORUFI04G01850.1 pep chromosome:OR_W1943:4:1935715:1937188:-1 gene:ORUFI04G01850 transcript:ORUFI04G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHGGALIVGGADGNEWLGRGARVATIRGVKGGDGGHGDSCGHGFGERRRKEKAATGARGRRRGAGSTILASLTRPGMHGFFEAGTSSKPVHEDEWTVVHSA >ORUFI04G01860.1 pep chromosome:OR_W1943:4:1939193:1949280:-1 gene:ORUFI04G01860 transcript:ORUFI04G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPAAKRISRPRLHLVALFRLLLPLLAARHEAVVAATTSPAVSFSFNFSNISAARLEADLRFQGDATSSLANGSVNLTTSSVGGRGRMSCRGMAFFLAYYPSSEPPRDSNGYNVGLSSEQGLARAQTNKATGKDRFVAVEFVVTYNYENDTSNDRICIGISSVREPNYSTVLPSNTRLEGSMTSYISFNSSTRMLVASLWLHDHPSVDPVQGTAGARRFEYRDLATATKNFSDDNKLGEGAFGVAYRGFLKQLDREVAVKKIVNELNVGHKDFFSEARHKNVVKFYGWCIRGHSWNIIHFMCGWFWNTENKELFLVHELMKNGNLHEYLHNSEIAAVQSWPTRIANPDNNAVLQTTVVGSAEEHVDVQTTAVGTEGYIDPQCKKDGKVRFNCPSDVYSFGIVLLEIACRGKRREEICGLYRNKGDVVEAADTRLEIGGDFERREMERLIILGLWCSAFETQHRPTMQKAMDVLERNAPLPDHNFITNSALASSDHDASGASTANI >ORUFI04G01880.1 pep chromosome:OR_W1943:4:1988969:1991848:1 gene:ORUFI04G01880 transcript:ORUFI04G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLHAGYLLLLLSMPVELDASRLMAEEAAATPPVSFNFNFSDPSTYSLDDLMLEGDATKPKDGLVDLTASRSSGRMSYAHPVQLYDNTTGSDEVASFSTRFTFAISPIENYTRADGLAFFLASYPSRLPANSFGGNLGLNNDGTTTAFGSDRFIAVEFDTYNNTFDPDPERSIDHIGIDISSVVSSFNTTILPYFSLNGTMTAHIEFNGTTQTLVASLWLTGHPRSADHDYQVSARLPDPVKSLLPPQVAVGFSAAIARGTEQNQIIY >ORUFI04G01890.1 pep chromosome:OR_W1943:4:2009198:2010474:1 gene:ORUFI04G01890 transcript:ORUFI04G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGAFGLALVLWFLLSCLKQKRIRNTFEKGTGGARRFGYNDLATATDNFSENRKLGEGAFGVVYSGFLKRLDREVAVKKIFREPSGENHKDFFAEVSTISEAKHKNLVKFFGWCCRGHSRNILCFMCSCWWKKRNMELFLVYELVNNGNLNNHLHNSDAVAVLPWPTRYKIVKDIGSALLYLHHDCKPYILHRDIKPGNILLDKSFNAKLADFGLSRIANMDSATLLTTAVGTVGYIDPQCIKDGKVRFNRSSDVYSFGILLLEIVCTGNSREHIWDLYEGGGNFVVESADKRLLATEGGFDNIEMERVIVLGLWCSSSEKDRRPTMWDVMDILNHGAPLPDRDSIVNSTLASTNDVQDTGSNHDEAPLFSLGMQ >ORUFI04G01900.1 pep chromosome:OR_W1943:4:2016545:2017129:-1 gene:ORUFI04G01900 transcript:ORUFI04G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIPAISGKGGGLGGLQCGKGSPRVAADEVARGCATGGGLIFGIELNLDQRNYLKGEMHFATN >ORUFI04G01910.1 pep chromosome:OR_W1943:4:2023914:2025939:-1 gene:ORUFI04G01910 transcript:ORUFI04G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGGEFPNQNPRINEGLATWVKQDRSLEETNIVLWYVFGVTHVPRLEDWPVMPVEHIGFMLKPDGFFDCSPAIDVPPGSEVYTKEAERPRRFK >ORUFI04G01920.1 pep chromosome:OR_W1943:4:2026135:2032750:-1 gene:ORUFI04G01920 transcript:ORUFI04G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCIGEETISSVEIIARTQRSHPLDPLSAAEIAVAVTTVKAAAKFSKVNADSDTRGGHQTGGKLVSSEVVPDVQPAMDAMEDVECEATVKSYPPFIEAMTKRGIDDMDLVTIHGYYSDADAPSRRIARPLVFCRTESDNPFENGYARPVEGIHIIVDVQNNTVIEFEDRKLVPLPPPDHLRNYTSGETRGGVDRTDVKPLIINQPQGPSFHVNVIHSVAYVDGNRGHRPIAHRLSFVELVVPYGDPNEPHYRKNAFDVGEDGLGKNAHSLKKWNFRIGFTPKEGLVLHSVAYVDGNRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNANSLKKGCDCLGVIKYFDAHFTNFTGGVETIEKAVCLHEEDHGILWKHRDWRTGLAEVRRSRRLTVSFICTIANYEYGFYWHFYQDGRIEAEVKLTGILSVGAPMPREQRKYGTTIAPSLYAPVHQHFFVARMDMAVDCKPNEDYNQVVEVNVNAECAGPNNMHNNAFYAEEKLLKSELQAMRDCHPSSARHWIVRMWERSTVSFFYNSLATVHRN >ORUFI04G01930.1 pep chromosome:OR_W1943:4:2058820:2059341:-1 gene:ORUFI04G01930 transcript:ORUFI04G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVEVALLEPEKNVVALADAYLFSPFQPSLLPRNGNALIIPIKLPPRRARLVVYNRQTNETIALLEPEKNVVALPDAYLFSPFQPSLLPRNGNALIIPTKLPPRRARLVVYNRQTNET >ORUFI04G01940.1 pep chromosome:OR_W1943:4:2060944:2063884:-1 gene:ORUFI04G01940 transcript:ORUFI04G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVETISGIEIFARTQRSHPLDPLSAAEIAVAVTTVKAAASTPEVRDGMRFVEVALLEPEKNVVALADAYFFRPFQPSLLPGNRNAPIIATKLPPRRAKLVVYNRQTNETSIWIVEFSEDAMEFVECEATVKSHPPFIEAMRKRGIDDMDLVTVDPWCAGYYSDADAPSRRIAKPLVFCRTESDNPIENGYARPVEGIHIIVKTELLTAIARSSADGCVAATTPPRAHGSSRL >ORUFI04G01950.1 pep chromosome:OR_W1943:4:2080135:2081367:1 gene:ORUFI04G01950 transcript:ORUFI04G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRHLESVLVAPGVKGKKVLAFKRDGLKKNETVTGLIHDIVASSSARSAFHVLDLAKVVDLYAGWRRALPGVRPFYVVKCNPDTALLGALAALGAGFDCASRAEIEAVLALGVPPAAIVYANPCKPGAHVAFAAEAGVNVTTYDSEEEVAKVKRCHPSCELLLRIKAPDCGGVKVDLGLKYGANPDEVLPLLRAARRAGLGVAGVSFHVGSGASRAAVYRGAIEAARAAFDAAAGLGMPPMRVLDIGGGFVAGAAFGDAAAVINRALGRYFGDLLPTVEVIGEPGRYFAETAFTLAARVIGKRRRGDVREYWIDDGVYGSLNCILLDSYVPRPRPLAGARPGEETHASTVFGPTCDSIDTVVTGYQLPEMSVDDWLVFDDMGAYTTAAGSSFNGFATSAINTYLAYSS >ORUFI04G01960.1 pep chromosome:OR_W1943:4:2089285:2089821:1 gene:ORUFI04G01960 transcript:ORUFI04G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREWGREILRDLTDGALAKEALKHAPAESPPPRQRAVKHHEQQAPGDSRSGRSRPQEAVAAVARNGAATSQTTTTKPLTPPQGASFFRRFVGTATSRTLTTKQLAPLQGAGFSRSPTDVAAAAARNQPFPSIRPLVFGSRTSAATNRRRLRPHWHPWSSPAKDQLGTNPKSITNSLPI >ORUFI04G01970.1 pep chromosome:OR_W1943:4:2093072:2095831:-1 gene:ORUFI04G01970 transcript:ORUFI04G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPFAAAAAAVQGGLALRPVAPPRLSSSDVARNGGVAAWRAPRRRMVAAGAAVGDLRPAIDEYPEGILSGEWPQNFSLLSYADLRAYLESQIVTTDQMSPTAKLGEVMSRPVQVAMADQRLADIDAFFGAQSGLPVLDEEGRCIGVVSKKDKAKASDGLDSTVGEVMSSPAITLTPEKTVLEAAALMLKEKVHRIPVVNEQQQVIGIVTRTDVFKALEASKV >ORUFI04G01980.1 pep chromosome:OR_W1943:4:2096109:2132863:-1 gene:ORUFI04G01980 transcript:ORUFI04G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGNLVPAALRVGFPCVATQKPDHDREEIEELNEKKKKVDVVVMPQYRDLGDTMAPDSATEDGNSGLLQQKMTNLAYWTDHVAQQQKMGILQQKMTNLAYWTDHVAQQQKMTNLAYWTDHVAQQQKMTLLQENKNIKNLSLPRPMT >ORUFI04G01990.1 pep chromosome:OR_W1943:4:2105536:2108461:1 gene:ORUFI04G01990 transcript:ORUFI04G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASDKQLASTVKKVTSRELPKKGADIVNMSQGTSPLPKDKGTATEPGKTVGTKRSDAPSSPGYHNVYVRRKVENDHSKVSSSQEVKGNGRDKTKEQETQQNVQHDQTNKPEVSSSVASNKPDLSSSVAVQHDQTNKPELPSSVAVQHDQTNKPELPSSVAVQHDQTNKPELPSSVAESGAIVSPKSPEKTNEQIVNKKNEPPVAPGTTVQDDTHKSSNQYWNVRFNRLQTYLESCDRSTQEGYMRMLRSLSAADRSMHAIDLEKRAIHLLVEEGKELQRMKALNVLGKVSPNGPSKQAPL >ORUFI04G01990.2 pep chromosome:OR_W1943:4:2105500:2108461:1 gene:ORUFI04G01990 transcript:ORUFI04G01990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASDKQLASTVKKVTSRELPKKGADIVNMSQGTSPLPKDKGTATEPGKTVGTKRSDAPSSPGYHNVYVRRKVENDHSKVSSSQEVKGNGRDKTKEQETQQNVQHDQTNKPEVSSSVAVQHDQSNKPDLSSSVAVQHDQSNKPDLSSSVAVQHDQTNKPEFPSSVAVQHDQSNKPDLSSSVAVQHDQTNKPELPSSVAVQHDQTNKPELPSSVAVQHDQTNKPELPSSVAESGAIVSPKSPEKTNEQIVNKKNEPPVAPGTTVQDDTHKSSNQYWNVRFNRLQTYLESCDRSTQEGYMRMLRSLSAADRSMHAIDLEKRAIHLLVEEGKELQRMKALNVLGKVSPNGPSKQAPL >ORUFI04G02000.1 pep chromosome:OR_W1943:4:2139733:2145385:1 gene:ORUFI04G02000 transcript:ORUFI04G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAARRWAAALVLLVLLTGTVELIGGGGGSGGRRLLAGLRAGSTAAASGTRRWLRDSSWPATAAAAAAASRGDDGDGDEASSAAMTVPGAVDDPEEVVSQVHMSIRNSTARRKLGYLSCGTGNPIDDCWRCDPDWHKNRQRLADCGIGFGRNAIGGRDGKIYVVTDPSDDDAVNPKKGTLRYAVIRDEPLWIVFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACITIQYVTNVIIHGLHIHDCRPTGNAMVRSSPSHYGWRTMADGDAVSIFGASHIWVDHCSLSNCADGLIDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYVKDKAMQVTIAFNHFGEGLIQRMPRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQTIWKGWNWRSEGDLLLNGAFFTPSGAGASASYSRASSLGAKSSSMVGTITSGAGALSCRGGSAC >ORUFI04G02000.2 pep chromosome:OR_W1943:4:2141718:2145385:1 gene:ORUFI04G02000 transcript:ORUFI04G02000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDSAEYSYLTGACRSDPARRSRSIRNSTARRKLGYLSCGTGNPIDDCWRCDPDWHKNRQRLADCGIGFGRNAIGGRDGKIYVVTDPSDDDAVNPKKGTLRYAVIRDEPLWIVFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACITIQYVTNVIIHGLHIHDCRPTGNAMVRSSPSHYGWRTMADGDAVSIFGASHIWVDHCSLSNCADGLIDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYVKDKAMQVTIAFNHFGEGLIQRMPRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQTIWKGWNWRSEGDLLLNGAFFTPSGAGASASYSRASSLGAKSSSMVGTITSGAGALSCRGGSAC >ORUFI04G02000.3 pep chromosome:OR_W1943:4:2139733:2141541:1 gene:ORUFI04G02000 transcript:ORUFI04G02000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAARRWAAALVLLVLLTGTVELIGGGGGSGGRRLLAGLRAGSTAAASGTRRWLRDSSWPATAAAAAAASRGDDGDGDEASSAAMTVPGAVDDPEEVVSQVHISDRVAAVSK >ORUFI04G02010.1 pep chromosome:OR_W1943:4:2147747:2148076:1 gene:ORUFI04G02010 transcript:ORUFI04G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGALVDSPLLGPNVGAGLGQGAAMPVVHLDSGRLQQPPSGFCLHNVRNLPLQFTSTHLEGSRIRTPPPFCLHDERSQPLSTFWLSHAGTITHSYRLVLRRLSAPLGS >ORUFI04G02020.1 pep chromosome:OR_W1943:4:2154536:2155425:1 gene:ORUFI04G02020 transcript:ORUFI04G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAANAAGPSRDGSATVGRRWRRIAKAHRRRRRHMAASRRTTHDMAMHGGTKLSGSLAAAQWRLVRQGYGGDGECLKSRGPSPSVRRGAVSFSEAQDLVVSCFFDPIEVKKWLNVGTECCLRKAITDVHAFAMDIVRARRQSSSVQDRDDVLSRFVASDEHNDEVLRDIVLSFLIAGRETTSSGLSWFFWLLSSQPDVMACITNEVRAVRKATGTCPDEPFGRQACAADDTLPDSTLVHADWSVTYNAYAMGRLAAIWGEDCLEYRPERWLSEDGVFQPANPRKRY >ORUFI04G02030.1 pep chromosome:OR_W1943:4:2163166:2163909:-1 gene:ORUFI04G02030 transcript:ORUFI04G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHPLLHHLQQPTLAYTWDPQLAPFDHVAGAGDQVAFSTALELRQALLRALAELDAARAAHQAELRRMESEAARLAALVASAAAERDELRRHCHSLLLLLHHQSQPAAPPTPTPQVSSLPAAHVVAVPAVADELAALDAADEAELEMALARRLPEKGRLVEAVVSAGPLLQTLLLAGPLPRWRHPPPPAPADIPPFNPTKAADADNNSFSSASATSSSPESNCSGGGGHAPLVSPALPYHMIPFCM >ORUFI04G02040.1 pep chromosome:OR_W1943:4:2172470:2176178:1 gene:ORUFI04G02040 transcript:ORUFI04G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPSIPTNSSALIAIIADEDTVTGFLLAGVGNVDLRKKTNYLIVDNKTTVKQIEDAFKEFTTREDIAIVLISQYVANMIRFLVDSYNRPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >ORUFI04G02050.1 pep chromosome:OR_W1943:4:2182234:2182740:1 gene:ORUFI04G02050 transcript:ORUFI04G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVGLSKYGERIHALRCNKLSNIWSSPKEVYRNNQLLHYLQDRDHRIREEEELSLQYAHSLHHICKRGIVNFVIEEPLDVEKSEGSRTKILIKKMLNEKDRKTHIKMWKVIEMELSLMYDILYTKAGVIHSWFGYSIRVLSPVTIFSSFILFIVSGRGGSSCCSKAG >ORUFI04G02060.1 pep chromosome:OR_W1943:4:2194364:2195592:-1 gene:ORUFI04G02060 transcript:ORUFI04G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLMYDILYSKAAVIHTWYGRCIRVFSLPATVAALLLFHFSRRDAYHIVDFAVTYALLIGAILLEITTLLRTVGSSWTCAFLHTRKWDWPCNSVMFTRQIVKAGRSRLWLDSIGQYNLLDFCTRDMTDLRGRIAMKVGLENWFNKLHYSNTTSISSDIKEFVLKEIQKRGRGDIRNARRMCILYENKMDEELSWSTVDIDFEKSILVWHVATDVYLCCFKEEVEHTEKPVVKVIKEISNYMLYLLLQHPDMLPGPIRIGLYPKEHSTSSSEGGDNNRSKSKKLASLLFQKFGSESTDNEHGQVYLDGTAVAGYLLRNECNVPNMLGLIAGVWFEMLCYAAHHCSEESHARQLSTGGEFLTAVWLLVEHIKFPKSEDDGGPSHVSTEISQQQLDNVV >ORUFI04G02070.1 pep chromosome:OR_W1943:4:2199646:2203570:-1 gene:ORUFI04G02070 transcript:ORUFI04G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGGPGGEDNRLWLCHLLCKFWVLLVFSTLVEAIVLMFERSSARHRYLELDRKEKLHADLGNVLLGTHYLFNVCKSLFVDDQA >ORUFI04G02080.1 pep chromosome:OR_W1943:4:2219922:2226510:-1 gene:ORUFI04G02080 transcript:ORUFI04G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLRTGYLGLLFTMLLDASDHLIAGAAAPPVFSFNFSAAPWPSTYSQDLVFQDDADEPRTAGPVDLTCTWDTQVCRNGGRMSYAHPVQLYQAANGRISKVASFSTSFTFAIRPIVVTESAGGNLGLISDNKAATDIAPDDRFIAVEFDIGNKYDNDPKTDHIAIDINSVVGSDNTTYLPRNVTLNGTMIADIVFDSSTRMLVASLGFLDHPSSSPPPPPVQVAVGFSAATAECAESCQILSWSFNSTLPLLHQDTHNIARLLVELIIGGALVFALVLWFLLSCWEQKRIRNVFDKGTGGARRFEYRNLAAATDHFSEDRKLGQGAFGAVYSGHLKLLDHQVAVKKIVRESSEGHKDFFAEVRTISEAKHKNLVKFFGWCSRGHSWNILRFMCSCFWSKKNSELFLVYELMTNGNLNDYLYKSESSEVLSWQTRYKIAKDIGSGLLYLHHECDPHILHRDIKPGNVLLDENFNAKLADFGLSRMANQDNATLLTTAIGSEGYLDPQCLKHGKVPFKRSSDVYSFGIALLEIACARRHREQIWDLYRSGGNVVEAADTRLTMGGGLDMREIERVIVLGLWCSALQTQHRPSMRQAMDVLERDGPLPDLNSLIVVNTTLASTTEEDASSAPAAGNRYDCDEAPLLIPG >ORUFI04G02090.1 pep chromosome:OR_W1943:4:2247361:2249902:1 gene:ORUFI04G02090 transcript:ORUFI04G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLQLQVFLLLVCLLFDAPHLSSAAATVPTPPFSFNFDFSNMSTYKPDDLRFEGNATVHGSFVDLTCNANGLDISQCTAGRMSYSHPVPFYDETTKEVASFSTQFTFKIIVPKFNNDKAKGDGMAFFLAGYPSRMPPGSGGGNLGLITNDNYSSIGPNRFVSVEFDTYNNTWEQPKQTGDHMGIYINTVTYSTNTTNVSSFSPNETMMKAYITFDSKTSMLVASLQYTGNYSNYAPVNVSAKLPDPTTLLPSEVAVGFSAATGAAFEFHQIHSWSFNSTIAAPQLTPICRNIDADHKKAIAVGVSIGGGLILVLLVWSILSWWKWRKTNREFDKGTRGACRFNYHHLAAATNHFSMDNRIGAGAFGEVHKGFLTQLGREVAVKKILRESRAGNKDFFDEVQTISRAKQKNLVELLGWGMKGSSIIDFVMCWRRQKNTDLFLVYEFVDNGNLHMHLYEKEALLSWRIRYKIVKGIISALVYLHHDRHPYILHRDIKPSNILLDKNFNARLADFGLSRTADNGTIQSSMVVGTENYLDPECRKTGKFNRSSDVFSFGLVLLEIACKKDENTYAQVWERYIDKTLMQAADDRLQGAFDKRQMERVIVLGLWCCQPNIEMRPTMEKAMDFLESDGPLPKLAKPEITSSSAASN >ORUFI04G02100.1 pep chromosome:OR_W1943:4:2262666:2263307:1 gene:ORUFI04G02100 transcript:ORUFI04G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGRAVPLWDRATNEVASFATEFAFKIVTPDNVARGDGMAFFLSSYPSRVPPNPSGQSFGLIAGDADHAGDGPDRFIAVEFDTYDDTFERPRPAGDHIGIDVSSVADSINTTSLNFSRNGAMRASITFDNVTRMLVATVQFTEPPGSRSAPPVQVSAKLGDPRALLPSEVAVGFSTANGATFQLDQILSWSFNSTLASPHPVVKGTQQRLSL >ORUFI04G02110.1 pep chromosome:OR_W1943:4:2282687:2283767:1 gene:ORUFI04G02110 transcript:ORUFI04G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHLLLRFFLLLLAFSLDDAPHRHCTEAAATTLPPPPPPPFSFKFDFSNTYTYRLEDLRFEGTAAVHGATVDLTCNVAQCTTGRMSYGRACTTGRMSYGRAVPLWDRATNEVASFATDFVFKIVTPDNVARGDGMAFFLSSYPSRVPPNPSGQNFGLIAGDADDAGDGPDRFIAVEFDTYDDTFERPRPAGDHIGIDVSSVADSINTTSLNFSRNGAMRASITFDNVTRMLVATVQFTDQTTASRAAPVQVSAKLGDPRALLPSEVAVGFSTANGATFQLDQILSWSFNSTLASPHPVVKATQQRLSL >ORUFI04G02120.1 pep chromosome:OR_W1943:4:2286189:2286728:1 gene:ORUFI04G02120 transcript:ORUFI04G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSSVRYKIVKDICAALVHLHHERRPFVLHRNIKPNNILLDKEFNAKLADFGLSRTADKVGKARYLDPEYIKTGKFKRSSDIYSFGIVLLEITCKKDENSYAKVWSRYMEKSLMQVADDRLRGEFDERQMERVIVLGLWCCQPNIDMRPTMQQAMDFLETDGPLPELAEPETSSKIGN >ORUFI04G02130.1 pep chromosome:OR_W1943:4:2298375:2303326:-1 gene:ORUFI04G02130 transcript:ORUFI04G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAALSTANDVSAPLFVSTFFPNAFHRTPCDHSLTTARDHHCEASRPELEVGGSGGRVVLPPSPLKEPRTAGHWEPRVEIFRASPTASRLLFVRTAPCVAALCRLSIPGLDSTRWPQSSRILRGLPPLLQNIK >ORUFI04G02140.1 pep chromosome:OR_W1943:4:2344925:2346688:-1 gene:ORUFI04G02140 transcript:ORUFI04G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSIKKKKEEEEKMSRRRRSLRYVWRASSLLEQDCEEEALLVAHGLLDITKGAFVDSSVDEHRIPEYVAKRREIFPKSGWEMLYNVVDMELSLMYDILYTKAAMVHTWHGYAIRFVSPLITTTAFLLFWFDSKQGQRMADVLITYVLLASTILLDIIWLLRAVVSTWTYSFLNDRPRLWFHHALLCSGKWRFLRRLVFSLDLPQFLAEGPSSYRKWSGKIGQYNLLHECTRDKHKRSSNYLSSLVKKVVSEDQWMEYEYHNLRGTHISPGVKKKLFDCIWEFMRLAYPVEDGAEEKMKKEEEEKKKKKEKEEEKKKPDEHHRVEAVRKLEEVLDFLPEFQESILILHIATDIFLLYTKSEQSPSSKNDVEVIKASSNYMMFLVAVRPGMLPGLKLRSLYEATDDALAKVWPKQESSSRCKSGSRKKCLADILLDKEKHDITSDTREKPDKWRQGYRTKNWKPKYITELYTLSIVLSDGIKLANILLHWLRCSYGVKFPKSDYESKFQQMFPKLTEILKVEMYDDPCKFAKLLEHIFLEWVRLLINASVKCTRDSQAKQLSRGGELTTIVWILVEHAGIFHVDRHQR >ORUFI04G02150.1 pep chromosome:OR_W1943:4:2379612:2380804:-1 gene:ORUFI04G02150 transcript:ORUFI04G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKLTKLKVRGANDVEVKSVLRHEFKESVDQDNFKVKVDGSSLKVDVPGTVDVGKLYESLKKMSSSVKIESVVPDDLMAKMDRYKKDLQNMKKQKEAVESKQIKQEEGYKLLQQEQRKWKRDKENLNSKLEKKTKETKDAKEELKITKREKEYLNTKLETKREENKRLDEENKKLQREIKDLQEMQKVFLCC >ORUFI04G02160.1 pep chromosome:OR_W1943:4:2387549:2391404:-1 gene:ORUFI04G02160 transcript:ORUFI04G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAHYRRMAAVGVGSPVKYNDNVAVQFVNAWEIEFIVVSSFLLQFEVCKSALVDSSSAKAKNISYLRRTIFSDVWEWEKRWTVFQMEVSLLYDIMYTKAGVIHTWYGYCLRVFSPLATAAALLLFHLSRSSTSSVGATSIAAMNSPPVLVDVAITYALLVGAILLDMVSLLSAAGSSWAFAYLVLGMPRRRHGWPYRAAVHSGMWLHRWLEYLRELINAHDRRRWSGAIGQYNVLQFCTATSEKRNYTTTTEEIPEGVMKLVFEELTRVILRTNMEGNSGTGNKDMSKEGIGLAKKDLTNNPSDQMEGIGSDLGDHSSHHVKWIGTSNKDLTNKSSDHVEGNESDLNDNSSGHGEGIGSGNKHLSNNSLNHVEGIGFDLSDNSSDHEEGIGSGKKDMMNKSLDRMEGIGFDLRDNYSRDETFGSSRKDTSNKSLDHVEGIEPDLRDNSSGDETFASYGEDMSNKSLDDVEEIGYDLSDNSSDHEEGIRSGNKSSDQMKNEYVTVEIKQKLRRGVRRQTPKVSKPSAELTKLEGAATDSVGLIKAERGQLALRNLMAKKEGLVISIGDLKRYLRDEIQEGILIWHIATDVFLRTSEARRQH >ORUFI04G02170.1 pep chromosome:OR_W1943:4:2429158:2430796:1 gene:ORUFI04G02170 transcript:ORUFI04G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWKTALSFRQRVNVLLLQPIGKPDNDQILLVAHGNLHVTKGAFIDNLQYEHDAEEQEILPKTWDENRTLYKVDEMELSLMYDILYTKAAMVHTWGGYAIRVAFPFTGATAFLLFWFHSEDDVSKHLFESIWKPLKSAYPPTVPIDKAKATLPPAPFPLQHTGRADPAEMMPPTKQIVRQRELEDADLNFSPACQESILIWHIAMDVFLLCSHQYSSLSKEVQAIKLLSDYMVFLVAVRPNMVPGLKLRSLYVAVGYALTNDDEILPKEGYHCNLTEKKEKLANRLVEMEQKPSSKNAPRSKWRPGVSGHVLVQARKGKYSLRQEYNSLRRNEFRARAAKSYRPEPIYPA >ORUFI04G02180.1 pep chromosome:OR_W1943:4:2431771:2434459:-1 gene:ORUFI04G02180 transcript:ORUFI04G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASLIVQIFTSAAYFWRKWTIEFVVVFSFVLQLVLLLFAGIRRRRAGGVRVVFVWLSYQLANSTAIYGLGHLSINCTLVSRERQQLLAFWAPLLLVHLGGPDNISAYAIQDNQLWSRLLVTTFFAKILAAGYAILVASSSGSGSSSLAMLPAASWLMFVVGVVKYGERIWALYNGHLSTIRSTIEKQKQEEAKREKKRGDSEQGGARDPPTPPAVSNEDPDYALLQAHANFGACKAALVDISWDEKATIDKWRWETWVVLQMELSLLYDIMYTKAGVIHTWHGYCIRVFSPLATAGALVMFHLSLHGALGHGAMLVDVAITYTLLVGAVLVDTWWLLMAAGSTWAYAFLIRMPRRGWLYHTAICGGRWRQVRRVLTWIRWLVNAEDSRRWSRTIWQHNMLQFCTRDDSKDFWYNLAKKIHVEWRKKDNTYSGTTVIHDCVMKQVFNYLIDILRIDDKYEDDEAQKDKDEDATPTGQSGTPVDSTGLLKAERGWRILKKLAEKDDKVRGLFGRLLRDEIQQQIIIWHIATDIYLRTSEKEESTEYVKAINLISNYMMFLVVERPYMVPGLALRTIYSKTIEDIIQSRIGSSVQSLAEKLSVNNRKHNKVSLSALPLALLLVKRLNEYNGARLEFLFKVWVEMLHYVSHRCSRESHAKQLSSGEASKAAGESFVRALGGA >ORUFI04G02190.1 pep chromosome:OR_W1943:4:2435627:2438139:-1 gene:ORUFI04G02190 transcript:ORUFI04G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRRAVWSWSTGIVGGDLSSKAKIFGALYLMQNYHMAETGGHRRLRRVANEEVAAGIAVFDGQQAATSGRCRLWRLWRPSDAAQGGILAAAGGDKWPNGRRHLRRRPSDAAEGGILAEARMAGRIARGEANPKESEGDGRPIQELREKAKESEGEGRPIWSYGGEGGGEADPSGGR >ORUFI04G02200.1 pep chromosome:OR_W1943:4:2441137:2448043:1 gene:ORUFI04G02200 transcript:ORUFI04G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDLLSKGRFVFRDITNRLNVRQITPCNEVENKKEETSRKQDAHSPITLAIPAEPTASVSVKHETGNSQPAKLSNEQREERNRKERKYRKRKRDEMNNVDISVLSNTPMQLLTISSSMQSDGGNICRDEKFEKQREDRNRKQREYRASKKAESNNVILANFDATTPIIDGVTQEETIHVQDAKVHIPDDTYVEFDSGLFEPPLIDFVHEGEL >ORUFI04G02210.1 pep chromosome:OR_W1943:4:2483770:2484594:-1 gene:ORUFI04G02210 transcript:ORUFI04G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLTYDILYTKAVKVHTWGGYAICFTSHFVTSAMFLLFWSQSREGPQQPDVLITYIVLGGTVILDIKWLLRAVASTWTYSFLDDRPRSWLHHSLLCLGKWRMIHGSIFSLDPSRFFSKDPTTSYRRWLGISGQYNLFDECTRDMTWKSKMFKSMVELVSLDDHWMEYRYQNSMGFHMLCYRSSDVRNLLFECIWECIKSAYPPIYDKLLPMAPALEGELPAAAVSVVKPQPAIHRVLEEALDFAPAFQMTILILHITTDIFLLISGEYASSS >ORUFI04G02220.1 pep chromosome:OR_W1943:4:2494363:2499640:1 gene:ORUFI04G02220 transcript:ORUFI04G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGPSNPTTTDYFVYTALLAPAAAKPVSYATPFYYCRIHVSTPTINHPMKFKLRRYDSDVGLWKSMAVSVQEPAERGRLLPITHTPSEVLFHCTTKVITLGNAAVGWVDLWRGILLCDDVLDQHPVLRDLLLPKPVRSNRKSWIKYVQDTASSCIKYVEMVTRPGDPPPRQRRRPPQHSEMTLSYSKMRWHRFRTGFTLLPF >ORUFI04G02230.1 pep chromosome:OR_W1943:4:2501401:2505803:-1 gene:ORUFI04G02230 transcript:ORUFI04G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSWRRRRGGGGEGQGEYEVEEEEKEEAVLVVMAAVAADRPNKTLLFGGPIFGGAVALLLVLWFLISWLMQKRIRHTFGKGTGGTRRFEYDDLAIATDNFSEDRKLGQGAFGVVYSGFLKWLNREVAVKKIFRKPSGENHKDFFAEVSIISEAKHKNLVKFFGWCCREYSWNIARFMCSCFSKKNKELFLVYELMKNGNLNDYLYKSQSAAVLSWQTRDIKPGNIVLDDNFNAKLADFGLSRVANPNNAALRTTDIGSEGYLDPQCRMASFGIALLEVACARRHREQIWDLYRSGGDVVEAADSRLAIGGNGTERREMERVIILGLWCSAFETKHRPSMRQAMDVLERDTPLPDLNLIVNSTLASTDQDASSSLVGNG >ORUFI04G02240.1 pep chromosome:OR_W1943:4:2527925:2528710:-1 gene:ORUFI04G02240 transcript:ORUFI04G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLIISLDLSLLLGKEPSSYRKWSGKIGQYNLLHECTRDKQDMTTRNYLSSVVKKVASEDQWMEYEYHYLRGIHISECYVKEKLFGCIWKYMCSAYPVEQEKLKKEATKLKADPIDEGSRVKGLRDIEEVLEFIPEFQESILILHIATDVVFLHSEAEQHTASSETKQDMKVIKILSDYMMFLVAVRPGMLPGLKLRSLYDTTLAALEKLWSEKRSLSCISMTREKCLTDIMLKKEEDITRKPENREEWKLGLEARIYN >ORUFI04G02250.1 pep chromosome:OR_W1943:4:2542220:2547952:1 gene:ORUFI04G02250 transcript:ORUFI04G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTQVLLLVVFLLACLLDAPHLTSAAETDPTSPISFNFDFSKKYRGEDLGLEGSADPKVNKGFVDLTCSSSKTDFSKCKPGQMSYNHSSVPLWDRTTNELASFATKFTFKIVLSDYKNKSKGDGMAFFLANYPSRLPENSGGYALGLMNGAFPIAYDTDWFIPVEFDTSAPVQVSAKLPNPRTLLPPEVAVGFSTSTGSAFELHQILSWSFNSTLAAPLVQKGKLEPCHSVAFSIHFSIIPEELVAPCRLLPTTPPQQQANGMRWRRRSSPSRCPAGGIGRFVPGQVLAAGKSSRRRSSRRRSSVVGKELGGGEEAWRRPRSSAATKELAAKELSGRELGGRDLSGGTAARRRGIIIERVEVEARGDPCHSKAIAIGFSIGGGLILVLLLWSILSWWKWRKTNREFDKGTRGACRFNYHHLAAATNHFSMDNKIGAGAFGEVHKGFLTQLGREVADFFDEVQTISRAKQKNLVELLGWGMKGSSNIIDFMCWRRQKNTDLFLVYEFVDNGNLHMHLYEKEALLPWRIR >ORUFI04G02260.1 pep chromosome:OR_W1943:4:2577099:2579149:1 gene:ORUFI04G02260 transcript:ORUFI04G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGENELVVLTIIMYKIVKGIISALVYLHHDSDPYILHRDIKPSNILLDNNFNARLADFGLSRTADNGTIQSSMVVGMENYLDPECRKTGKFNCSSDVYSFGLVLLEIACKKDKNSYAQVWEDLCMATTNVRARLMRDLVGGLIPSSLNIIANSAKTFTP >ORUFI04G02270.1 pep chromosome:OR_W1943:4:2583658:2593034:-1 gene:ORUFI04G02270 transcript:ORUFI04G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQVRRSATVFFTSGRRRHCRFRLLRSGPLSSPSFPPLPAGGGGVSRPRGWRLGCGLTRARTRGGGGTGVAQGAAVGDGGRRRPRRAAAITAGQRATATGAAAGGDSSAPFQRLGIRKSSNRPPGRCLGYQ >ORUFI04G02280.1 pep chromosome:OR_W1943:4:2589818:2591247:1 gene:ORUFI04G02280 transcript:ORUFI04G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGHHKKKGAAGKFAIVGAPILVLLVWSILSWCKWRSSNRDIDKRTGGVRQFKYNELAAATNQFSSENRLIGAGPFGEGYKGFFKEMGRHVAIKKISKESRSEGSSKNRNFYDEVKTISSAKHKNLVELVGWCMKRRWNIFDFMCWCREKTHTIFLVYEFVDNSNLHVHLQEKEAVLPWTTRSSNRDIDKRTGGVRQFKYNELAAATNQFSSENRLIGAGPFGEGYKGFFKEMGRHVAIKKISKESRSEGSSKNRNFYDERRWNIFDFMCWCREKAHTIFLVYEFVDNSNLHVHLHEKEAVLPWTTRYVYIYLSDAV >ORUFI04G02290.1 pep chromosome:OR_W1943:4:2598179:2598661:-1 gene:ORUFI04G02290 transcript:ORUFI04G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPRFLAVAAVLAGAIYSAATVAADTPGGSCPYPCLPPPIAGGVVNSYPPPPPAGSSSSSGSGGGDGGAGGLFGGTYPPPPPGVMPGAFAPPFGGGFPYGPAPPPPNPILPWFPWYYQHNNPITGSTTSAAAVGRTPASMVTMVVLLALFLVALLRVL >ORUFI04G02300.1 pep chromosome:OR_W1943:4:2600418:2602686:-1 gene:ORUFI04G02300 transcript:ORUFI04G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAPMGNRVTQALLMDAAACGELGDGRTRGEEEEPKLRASPVGNNVTANSDIKVKWYCGLDDGDPWW >ORUFI04G02310.1 pep chromosome:OR_W1943:4:2604420:2609356:1 gene:ORUFI04G02310 transcript:ORUFI04G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTPNKSVQSFAGSRTEKSTGSSHHREAEQNDSFTRREYTCADFIEALRLIDQEAFKCSTVLNDFSMRANITEPSYRTVKLDGICSTFVSSVLAGGYTYTGEVATTDGDAKENAARVAIKSILVTRSNHMLESIRSNKPTGTTIQEEQSSQQTSAHPAVIFNPTASNYIPCAPHHHYMLHAPFAPLEQMQWRHPGTPQMVPVFPHEQIQWRHPTPVHMPFYPHEQMQCRQSPAPMPFLPSEQMQWRLPAAPTPTFLPHEQMQWHNPVAQMAYLPPEQMQRNIPIAHTPSEMMQMWQLPQSISSSNPVLQNGLYSNTGHDDDMVVEVGSAEETMTLSGTKRKMDQTEEAEGKQARTSK >ORUFI04G02320.1 pep chromosome:OR_W1943:4:2617596:2622399:1 gene:ORUFI04G02320 transcript:ORUFI04G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKTTTQSEPNDGVQAPHRPELLMAARHGDRDRLKRLLGTVSPPVALPVGEVVLRVEDVETVGVGVDDDDDTEPAAAVVTSAEAVTAALDSVLHVVASSGDEPAFLESATAVHARASHLLDAGNGKGDTPLHCAARAGMVRMVSHLLDLARRRVGGGVVGDAGDAAARAFARRRNSKGETALHEAVRLGSKAMVEALMSADPELARVVAADGCSPLYLAVSLGRRDDIARLLHEHDRGLSYAGPDGNNALHAAVQKGRETTKMILGWNKDLIKQADRHRGSTPLHVAASWGHHDVISLLLDADPSAAYQPDHDGAFPIHVAAYDGQVRAVSILLGLDNHHKCAGLCSGERRRRDLRGCAELRDGRGRSFLHVAVEEQRQSVVAYACKLGNLSPAVMNMQDDDGNTALHLAVKSGNMWIFNPLMERRQVELNLTNNKGETPLDISWIEKPVGVYFGLNQRVKIYKLLKDANAMEGNHRWDLFLKKHNKKVDEEVEGKKLTESTQTIGVGSVLIATVAFAAAFAPPGDYGDDGAPRLANRYAFDVFIIANTLAFICAGLSVISLTYAGVAAVDMRTRMISFVFSASFMACSARSLGVAFAFGMYVVLAPVARTTAIAACVITGLALADVAWFVFVVAAGEVMLLKRLGIARAWWRLPFAIMATLLMQFWPYIVIVVVVLYSKIRGVH >ORUFI04G02330.1 pep chromosome:OR_W1943:4:2623619:2651071:-1 gene:ORUFI04G02330 transcript:ORUFI04G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQMPNCSRGLLLLLLALLGCFSIPGHVHGTNLDYTRSASLNEGRNNVNINGLVPVPCNIFSPSKICCRNVCYPSLQACEANCKPNEEGDKFGKE >ORUFI04G02340.1 pep chromosome:OR_W1943:4:2655896:2656394:-1 gene:ORUFI04G02340 transcript:ORUFI04G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQMPNCSRGLLLLLLALLGCFSIPGHVHGTNLDYTRSASLNEGRNNVNINGLVPVPCNIFSPSKVCCRNVCYPSLQACETNCKPIV >ORUFI04G02350.1 pep chromosome:OR_W1943:4:2658238:2658736:-1 gene:ORUFI04G02350 transcript:ORUFI04G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQMLNCSRGLLLLLLALLGCFSIPGHVHGTNLDYTRSASLNEGRNNVNINGLVPVPCNIFSPSKVCCRNVCYPSLQACEANCKPIV >ORUFI04G02360.1 pep chromosome:OR_W1943:4:2661272:2662700:-1 gene:ORUFI04G02360 transcript:ORUFI04G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYIGDKKQVKLKVRGASETEVKNVLRQEFKESIDYRNCSKNPESSSLKVRGTMDVGKLYERLKKMASSVKIESVIPDDVKEEIERYKKDLERMKRQKEDLELKLREKREEKKVLQADKKAAEEELKRLKRDKEHLNLKVDTKRKENRRLEEENNKLQRKIKDLEQKHKGGTSIEYHGVEVHQKMNHMHQGVHMHEVVRKLKISDNDHGNANGRGHGQLLLQLGHGRH >ORUFI04G02370.1 pep chromosome:OR_W1943:4:2665923:2668178:1 gene:ORUFI04G02370 transcript:ORUFI04G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWMVRTVFLLNSWVIRALVVFSFAAHVTIVFLAGVRRRTAIGLPITILWAANQLGRWAATYALSKLALGSTPQELQLVTLWGAFLLLHAAGPDNITAYSLEDNVLSTRQKVEMILQVSGAVFAMYKNIVIRSGSSTMVWVSSFMFIMGIFKYWERAKAMQLANLENLRSSIKKKKETRRRRSLRNVRRPSSSKHDNDEEALLVAHGLLDITKGAFVDSSVDEHQIPEYAARRREIFPKSGWGMMYKVVDMELSLMYDILYTKAAMVHTWYGYAIRAASPFATSMAFMLFWFESKQGQRKTDVLITYVLLGGTVLLDIRWLLRAVASTWTYSFLNDRPHLWVHHLFLCSGKWRLLRRLIVSLDPSLILAKEPSSYRKWSGKIGQYNLLHECTGDKDERTRDYLSSVVEKVASEDTWMEYEYHNLRGIHISHDFKKKLLDCIWDYMYLAYPGEDVEEKKEEDEKKKKEAEKPEPPMMPVEHHNVENIRKLEEALDFLPEFQESILIMHIATDVVFMYTESEQNGASSKSKDNMEVIKALSDYMMFLVVVRPTMLPGLKLRSLYEATEDALAKIWSKKESSGCSSRTRQKCLSDILRCMENKRREKRPDKSDNWRLGYRTRNWQPNYTTDLYSVSIVLSDGIKLADHLLQWLHRDYWVKFPKSEYSYEAKFAQMFPKLRKILNRRPMYDHPDKWSQLLEHIFLEWVRLLINASVKCTRDSHAKQLSRGGELTTVVWMLVEHAGVFRVDRQKR >ORUFI04G02380.1 pep chromosome:OR_W1943:4:2671169:2673578:-1 gene:ORUFI04G02380 transcript:ORUFI04G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETFFFRSGEWVALSFIAHVVLVLFAGVCRRQATGGATFLLWVANEGARWAPTAALGIITIGSTVQERQQATLWAAFMLLHAARPDNIAAYALEDSVLSLRQKVDVIAQVFGPVSPAYILYLNMFATLGDSMLWISSFVCLMAIAKYFEGAYYALQRGNLENMRSSRKEEEKKKENMRRRSSSSSLQNTSRGGRKPDDEQILLIAHDMLYITKNAFMDFLDKRSDDEQEALSGTWDETLYKVVSMELSLMYDILYTKKVMVQTWGGYAIRFASPFLGATAFLLFWFHSKQGQATTDVVITYVLLGKWRMLRRFILSLNLFRFLANNKKPTRYRMWLGAIGQYNLLRECTREEDEKTSNFWSSWWKKNAPEEAWMEYEYHNSRGIHISRDFRNKLFDRVWKNMELAFPERIPPMQLSDPEWIHVEDPFPSATVAAEAAAKATIGTDQELNDALNFTPDLQETILVLHVATDIFLFHTESGQNQDQSEWGKAIKALSDYMMFLVAVRPTMLPGLALSSRYEALLDALGEQWEEIKNSSSFNNSMMREKCLAKSLLDKEMKKNGRTPMRTFKWYQGNKTEILSPGAYLSVLYDSSYILSDGARLANLLLNWKPGSKIEIGDNKVLADKLKRQFPDLMKSGEATETELEYQMPKEVRDIIFREWVRLLINVSIRCTRNSHAKQLARGGELTTVVWILAEHARILRVKKTTKRKPADSYDGLGIHVSGY >ORUFI04G02390.1 pep chromosome:OR_W1943:4:2682759:2693183:-1 gene:ORUFI04G02390 transcript:ORUFI04G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWMSTLMFIRGFIFSLRGVVTLSFVAHVVLVLFAGVRRRQATGGATFLLWVANQGARWAPTAALGMITIGSTVQERQQATLWAAFMLLHAAMPDNITAYSLEDSVLSLRQRIDVIVQVFGPVSPAYILYLNTLAMPGDSMLWISSFVCLMAIAKYLEGAYYALQRGNLENMRSSRKEEKKKEKEKEMISRRPRRRSSSSSLQNASRGGCRKLDDEQILLIAHDMLYITKNAFMDYLDKKNDDDDDEQEALSGTWDETLYKVVSMELSLMYDILYTKKVMVQTWGGYAIRFASPFLGATAFLLFWFHSKQGQATADVGVTYVLMGGAVILDIKWLLRAVMSTWTYSYLNDRPRSWLHHALLCSGKWRMIRRFILSLNLFRFLANNKNPTKYRMWSGTIGQYNLFSECTREEDQKTSNFWSSQWKKNAPEETWMEYEYHNSRGIAISRDFRNKLFDHVWKNMELAFPERIPVEHPLPPPYPMPLMGMAPPPPPPQPIITGFDQELNDALDFTPDLQETILVLHIATDIFLFHTESGPNQDQSEWGKAIKALSDYMMFLVAVRPTMLPGLAISSRYEALLDALGEQWEEIKNSSSFNNSMTREKCLAKSLLDKEMKKNGRTPMRTFKWYQGNKTEILSPGAYLSVLYDSSYILSDGARLANLLLNWKPGSKIKIEDEVLEDKLKRQFPDLMKSGEVTETELEYQMPKEVTDIIFREWTRTARENLGALPALLV >ORUFI04G02400.1 pep chromosome:OR_W1943:4:2699309:2699722:1 gene:ORUFI04G02400 transcript:ORUFI04G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTSPWSVSCESEDGSRRAWKGLSTRVSVGMPSSSLPWQGEGEVSHGWRLKEWMRPSAAELGSCWVATGRSKVGRWRKGLPSSGEATVAAAAARMRRSVSRVGNGERRIRDRERGLEDEEIALICGTHMGLTHHIS >ORUFI04G02410.1 pep chromosome:OR_W1943:4:2733149:2733844:-1 gene:ORUFI04G02410 transcript:ORUFI04G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVECAVAQVERLALESAGGRWRSVKGRDNCYVTWQFAAAEAEEAAAAGKKRQGRKIKGGGLLALRKVRVKIGNPQLRQLTIRTHLMVGSCGAGSMAEVFHWIIRTEGWTGLFHDNAVNVLHVAPSKAIEKCLTPEDGELAKIPIPVPLVAGVAPTLCTYPMELVNSPQPSPSRTLRC >ORUFI04G02420.1 pep chromosome:OR_W1943:4:2739337:2746204:-1 gene:ORUFI04G02420 transcript:ORUFI04G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPVTMDAHDGEADELPPPPPVPANVVPIKADDVESEVPANKPAKPKRFPMARPGLGRKGQPIQLLANHYKVSVKSSEEYFFHYNVILKYEDDRPVDGKGVGRKVIDKLQQTYRSELSSKDFAYDGEKSLFTIGALPQVTNEFTVVLEDVSTGKTAANGSPGGNDSPGGSDRKRVRRPYQTKTFKVELCFAAKIPMNAIAQAIKGQESENSQEALRVLDIILRQHSAKQGCLLVRQSFFHNNPNNFVDLGGGVMGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPDRIDWQKAKRALKNLRIRTTPVNSEFKIIGLSDRNCNEQMFSLRQRNGNNGDVDEVEVTVYDYFVKNKGIELRYSGNLPCINVGKPKRPTYFPIELCSLIPLQRYTKALSTLQRSSLVEKSRQKPQERMSVLNDALRHSNYDSDPMLRASGISIAQNFTQVEGRVLQPPKLKAGNGEDIFPRNGRWNFNNKKLIQTCSVDKWAVVNFSARCDVRNLIRDLIRNASAKGIQMAEPFDVFEESPSLRRAPVSRRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPQRVNDQYLLNLLLKINAKLGGINSLLQIEASPSIPLVSKTPTIILGMDVSHGQPGQSDRPSIAAVVSSRQWPLISKYRASVHTQSPKLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDHVIVFRDGVSESQFTQVINIELDQIIEACKFLDEKWSPKFTVIVAQKNHHTKFFQSGSPDNVPPGTVVDKQVCHPRNYDFYMCAHAGMIGTTRPTHYHVLHDEIGFSPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVGTFLKFEDMSDASSSQGGHTSVGSVPVPELPRLHEKVRSSMFFC >ORUFI04G02420.2 pep chromosome:OR_W1943:4:2739337:2744391:-1 gene:ORUFI04G02420 transcript:ORUFI04G02420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHDGEADELPPPPPVPANVVPIKADDVESEVPANKPAKPKRFPMARPGLGRKGQPIQLLANHYKVSVKSSEEYFFHYNVILKYEDDRPVDGKGVGRKVIDKLQQTYRSELSSKDFAYDGEKSLFTIGALPQVTNEFTVVLEDVSTGKTAANGSPGGNDSPGGSDRKRVRRPYQTKTFKVELCFAAKIPMNAIAQAIKGQESENSQEALRVLDIILRQHSAKQGCLLVRQSFFHNNPNNFVDLGGGVMGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPDRIDWQKAKRALKNLRIRTTPVNSEFKIIGLSDRNCNEQMFSLRQRNGNNGDVDEVEVTVYDYFVKNKGIELRYSGNLPCINVGKPKRPTYFPIELCSLIPLQRYTKALSTLQRSSLVEKSRQKPQERMSVLNDALRHSNYDSDPMLRASGISIAQNFTQVEGRVLQPPKLKAGNGEDIFPRNGRWNFNNKKLIQTCSVDKWAVVNFSARCDVRNLIRDLIRNASAKGIQMAEPFDVFEESPSLRRAPVSRRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPQRVNDQYLLNLLLKINAKLGGINSLLQIEASPSIPLVSKTPTIILGMDVSHGQPGQSDRPSIAAVVSSRQWPLISKYRASVHTQSPKLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDHVIVFRDGVSESQFTQVINIELDQIIEACKFLDEKWSPKFTVIVAQKNHHTKFFQSGSPDNVPPGTVVDKQVCHPRNYDFYMCAHAGMIGTTRPTHYHVLHDEIGFSPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVGTFLKFEDMSDASSSQGGHTSVGSVPVPELPRLHEKVRSSMFFC >ORUFI04G02430.1 pep chromosome:OR_W1943:4:2752662:2753620:1 gene:ORUFI04G02430 transcript:ORUFI04G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGCADSPAITVVEVTARRGRWAVRKRRAVEIDADSQQASGGSRGGCRRRGGRVLGAGGVHYLTEEQHACGYMSDAERHPSGGFIVDSDDEASGGVPNSDLPLGSGFVLDSKDEVCGGDPESELPPEGTFVPDSEGACNR >ORUFI04G02440.1 pep chromosome:OR_W1943:4:2760760:2764166:-1 gene:ORUFI04G02440 transcript:ORUFI04G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKSLSNACKVSFSPDGPISDEALERVRALLDEIRPIDVGLDNEAQIARNWNNSTRQPNGRRGRNGANQFTSPIKYLHIHESESFSMGIFCMPPSSVIPLHNHPGMTVLSKLLYGTLHAESYDWIDVTDPTDQLQELSVRPARLVRDREMSAPETTILYPNRGGNIHTFRAITPCALFDVLSPPYSAEKGRDCSYFRKSSVRETPPEVIWLEELEDHQPPEGFVVARERLVMLY >ORUFI04G02440.2 pep chromosome:OR_W1943:4:2761085:2765340:-1 gene:ORUFI04G02440 transcript:ORUFI04G02440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRLTESEEECPWSLEGFDNSDILNSTSKCKMPKIKSLSNACKVSFSPDGPISDEALERVRALLDEIRPIDVGLDNEAQIARNWNNSTRQPNGRRGRNGANQFTSPIKYLHIHESESFSMGIFCMPPSSVIPLHNHPGMTVLSKLLYGTLHAESYDWIDVTDPTDQLQELSVRPARLVRDREMSAPETTILYPNRGGNIHTFRAITPCALFDVLSPPYSAEKGRDCSYFRKSSVRETPPEVIWLEELEDHQPPEGFVVARGLYKGPVIRR >ORUFI04G02440.3 pep chromosome:OR_W1943:4:2761085:2764166:-1 gene:ORUFI04G02440 transcript:ORUFI04G02440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKSLSNACKVSFSPDGPISDEALERVRALLDEIRPIDVGLDNEAQIARNWNNSTRQPNGRRGRNGANQFTSPIKYLHIHESESFSMGIFCMPPSSVIPLHNHPGMTVLSKLLYGTLHAESYDWIDVTDPTDQLQELSVRPARLVRDREMSAPETTILYPNRGGNIHTFRAITPCALFDVLSPPYSAEKGRDCSYFRKSSVRETPPEVIWLEELEDHQPPEGFVVARGLYKGPVIRR >ORUFI04G02460.1 pep chromosome:OR_W1943:4:2807395:2811596:-1 gene:ORUFI04G02460 transcript:ORUFI04G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSRAAVDESGDSALDLHLGWCPSEIELDSLKTSLFVNDWAIRIVVLCSFAAHLVLTLFAGIRRRKATGVRALVLWLAYQLGGWAGTYALGSMSLGRTTQQQQQQLAFWAPFLLLHLAGPDNITAYSLEDTVLAGRQVLTVTVQILGASYVLYKQIYNRDGGGSALLWVSVVMFAIGIAKYVERAVAIRQANLGKMRSSNKKCKLGKRSFGDVKEVGNERTLLVAHGLLHITKGAFIDHSDDENPLKSDAARSQIFGHGWEEMCRVVEMELSLMYDILYTKVAVVHTWFGYIIRLASPVVSATAFLLFWFQGKDEQRRADVLITYILMVGTIILDVRWLLRAMVSTWTYSFLNNRPDCWFHAACLSSGRWRLLRRLVLCLDPCRLLGKEPTRYRMWSGTVGQYNLLHECTHGTIGMFGSLLKKVVSDDSWMEYQYHDARGLAISSEVRELLFQQIWEQLKTAYPAATVEKTNLLSCSSLGYAGSAWKNRELDEAMNFAHAFQETILIWHIATDIFLLVSRQFASPSNSKQVQVIMALSNYMAFLVAVRPSMLPGLKLRSLYEETHKALVEILLKEGYSGSLIERKEKLADRLIEMEKEKQVQISNAPKKVSNWRPGYSNHKSRPAKASVLYDENIILSDGAKFAEVLLSRAWVDSPHITAHIEISPERYERIKEMIPKLEEQVAFDISVMLDYIFKAWVRILMYASVRCTRDSHAKQLACGGELTTIVWILNEHAGIFRIPGDARDETGELGT >ORUFI04G02470.1 pep chromosome:OR_W1943:4:2816040:2816509:-1 gene:ORUFI04G02470 transcript:ORUFI04G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWELELLLFLLALRVSGSATAAAWPGLQVGFYHAKFPVAEDVVLGEMRMILEEDPTLAPLKLLPARPWLSII >ORUFI04G02480.1 pep chromosome:OR_W1943:4:2817617:2818794:1 gene:ORUFI04G02480 transcript:ORUFI04G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIGRLIMERSESMDRTCELAAASNSKNLKTSEHIRITAAGADQSNNVVVTFFDVNSADSKERGGVTTMDSKR >ORUFI04G02480.2 pep chromosome:OR_W1943:4:2817617:2820992:1 gene:ORUFI04G02480 transcript:ORUFI04G02480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILIGRLIMERSESMDRTCELAAASNSKNLKTSEHIRITAAGADQSNNVVVTFFDVNSADSKERGGVTTMDSKR >ORUFI04G02490.1 pep chromosome:OR_W1943:4:2817721:2821610:-1 gene:ORUFI04G02490 transcript:ORUFI04G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGDVTQQYCLVQPKEKAPQAGEAAAATPTPPEQQPQSANPRACCSRVWLDWIDLKKITKAKDEDGSYQLVLFK >ORUFI04G02500.1 pep chromosome:OR_W1943:4:2831005:2833007:1 gene:ORUFI04G02500 transcript:ORUFI04G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTEAEITRKLINQIRNPQQPMVMWKVMEMELSLLYDILYTKAAVIHTWIGYLIRAMTPVAIVSSFLLFHFSDSKDGQNVVDITVTYILLGGALMMEMTSLLSALGSSWALAFLCAIPWSSLRHAVLCAGRWHRLRRAVVTLRQVVMAMTGGFLGRSRKFGVKVHGIYTSIDPLISVGSKQPSVPILEPAQQLPHL >ORUFI04G02510.1 pep chromosome:OR_W1943:4:2841395:2843159:1 gene:ORUFI04G02510 transcript:ORUFI04G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVGVIKYGERTWALRCGNIDTIWSSLKKEPRTKCYFYLEDEPRQRSFKREADEEEFLVRHAHALFHICKFAVVDDSPTDDKVGDTREANIFNVLDDKEKYALMGIELSLLYDVLYTKLRVIHTCIGYSIRVVSPLATAASLLIFQFGDKDGQHIADIAITYVLLTGAVFLEVISVVRALGSSWTFTFLCSTRLNWLQHTVLCKGRWHCFRRKIVSLCHHFKVMGVNRYFIPSRRRFRSMGQYNMFHLCTRRGTSYTPILGWLVKLFRQDDLWERYHYSGDVEIPEKVKEMAFKHINRITEKGDVNTMGVIRKNWGQRTMERFRWEPSDTYMGAEFQEDVICNEEGRYR >ORUFI04G02520.1 pep chromosome:OR_W1943:4:2847980:2850649:-1 gene:ORUFI04G02520 transcript:ORUFI04G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSVAMYTLGHMSLNSGLPEQQLVAFWAPFLPLHLGGQDTITAYAVEDNRLWMHDLQSFAVQVAAAGGMDVIPGQRKSRRARGQSSDGDAEGLLLEAHLLFDICKRLIKGPGVAHFEKTSGTAWIGGDVQVDRDAALADLMHEVLYAKAEVIRTCRLLAVSLSSTAGQCHREQQQSQRFHHLHPVTRGQHPGDHFGAEGSLIRLDVGALVSTGMYRHSWFISIPAAVMRTEATNLDDEVGRHRGRVTLRRWGLFEELGWSVGNEDDGDQASSFASSILLWHIATDVYLKLYRKEIDQDEGQRALVEAIKTLANYMFFLLVVRPQMLPAGSVEQQQQYATKLRAVELGKSDLLAGTFCASFWLSVSRIVRGMIGRKLSTFEHAPNDRCTLGGVPVLCGLRKPNA >ORUFI04G02530.1 pep chromosome:OR_W1943:4:2854161:2860250:1 gene:ORUFI04G02530 transcript:ORUFI04G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACWVNQTSMFVNEWAIRIVVLCSFAAHLVLALLAGVRRRKATGVRVLLLWAAYQLGGFAGTGLMWVSVVMFVIGVAKYVERAVAMRQADLGSMRSSSKKSKLERRRSFSDVRELGNELALLVAHDLLYITKGAFVDHLDDEHPLDRDARRADIFFTYILMAGTILLDIRWLLRAMVSTWTYAFLIDRPCCWLHHGIPARWRVLRRFILSLDPCRLLGKEPTCSYRMWPGTIGQYNLFHECTRDRRSWMLISSLVKKLASEEEWMEYEYHYSRGIRVSPDIRKVLFDCIWEYMKLAYPVVQPKMETDEKRKKPCSDPLIVRELDEALAFLPEFQESVLILHIATNVFYGLCIEPDQNAASFKQLEAIKTLSDYMVFLVAVRPGMLPGLMLRSLYEATQKALEKIWSEQRRSCNCKRTKERCLAEILRCLEKKPGERVLKNHLYCNWRPGYRTRNREPGFISKLYDSSIILSDGVKLAEVILRWLSSGYRDNILYIKSEDKFQPIFPELMKIMKCKMYDDPTYDKRLSKLLEHIFKEWVRLLINSSVKCTRDSHAKQLSRGGELTTVVWILVEHAAVFHVDRARFPA >ORUFI04G02540.1 pep chromosome:OR_W1943:4:2861294:2876312:-1 gene:ORUFI04G02540 transcript:ORUFI04G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTFIELGKHRSSVPNNNPNRPHLLPRRRRRFLAVPVSLQRRGARHRGLGRGRCLARGWQRRPRAASGGGERWRKEPVLFRATYLLQSWAQLLKCDEEIKAKYITTHGVDITTSVKGKPGYSTMDMVSETQHVIHARASRGLPS >ORUFI04G02550.1 pep chromosome:OR_W1943:4:2870654:2872470:1 gene:ORUFI04G02550 transcript:ORUFI04G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVGTAKYGERTWALYRANFSSIQAALKKLPRTQLRGYQGYLREEDGHIGTGSEEFLLQRAHSLFHICERGIVDSVIDVDKTETESKKVINRLQKCKPEWMWRVMEMELSLMYDTLYTKARVIHSMFGYLVRTASPLAVVASFLLFHFSGKRGHSRVDITITYTLLAGALLIETASTLNAVGSSWALSYLCKTEWSWLRHAALCARRWHRLRRAVVTVRQFIKTMTGGSSSLYGRSRRSFTFLVKDLDNHSKKKIP >ORUFI04G02560.1 pep chromosome:OR_W1943:4:2881618:2882821:1 gene:ORUFI04G02560 transcript:ORUFI04G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWYLSGPRHHFLSFQLIEFPCMRRRWYDWVEELERQNTRLYNEKCNLEHRVGNLVYQNISLSNEKDDLKYKVQELENQNTKLSGELVKQREDMRKAGLMFMNAADTYQQLAKKQIRTKEEELVNTRKAGLLLINAADTYQELARKKIKAKVEDLEDARKAVLVVMNAADTYQHVAEKKIKDKVEELRVLGVQKVEMDARAASLESGLKAALVKNQELEADCDKMKIENNKLWLEVERLKMESIAVAHRKEGAANAFDAKKAETMEELEDHKMDVEEIPTTMDLMKGENDNIQLEVLTAAHRHSSSEAGVERLKMELDVLLEVKETCKIMKESRDLNGEVKEIPAAHFMKGEYDKLQLDILTAKQKHSLSEGQVDWLKENI >ORUFI04G02570.1 pep chromosome:OR_W1943:4:2889726:2904567:1 gene:ORUFI04G02570 transcript:ORUFI04G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVVAAILMSVVGTAKYGERTYALWWSNFSTIGNYLKLVQHDKHQHFYIKYEHPRHLGDNHGSNDDVGYIIRIMAPIAIVASLLLFHFNGKGGHNRIDVIITYVLLGGALVLETRSLLRSLWSTWGLVFLCDTRWSWLRHVALCSGRWHRLRQQQWREEG >ORUFI04G02580.1 pep chromosome:OR_W1943:4:2904961:2910605:1 gene:ORUFI04G02580 transcript:ORUFI04G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFAIGVLKYGERTWALKYANLSSIRSSVNVVETPPERRLRYYYPPSSLPRRDGEDADEEELLLVAHSHFHICKRAMADSSVEVDSGDYDSKIFSYGWKEMCRVVEMELSLMYDILYTKAAVMHTWFGFAIRVVSPLAVAAALGLFRLEDDLGSYRQIDVDITYALLVAAFVLETTSLCRAIGSTWIAALLQTTRWAWLRHEALCTGRWSRLRRAVASLRRLVHRDGHRYWSGTMGQFNVLHFCTRDGAAERLGAAAEKAGLGSWWNRHVNAGSIVISDEVKELVFGHIQNMLRGVDSMSTRDLDAIRTTRGQRALRRHGLDGDLAASLGEEFHQGILTWHVATDIYLASATTEHPNGWMIRQVFEWTSFLFIQINLIPMHICSMVPSLFSNTLSNIRCRGWWWHYYRMQELERQKSQLENQNWQLEQQNSGLSSEKRDLESRVRRLGYENTNLLDEKMRVAHESLRKVSALEYRVLELEHQNTKLSSELVKQRENTRKAGQLFMNAADTYQQVAEKQIRTKEEELANTRKAGLLLMNAADTYQEVARKQIKAKVEDLEDVRKAVLVVMNAADTYQLEAEKKIKDKVEELRKAEMDARAASLESGLKTASLESGLKTALGEESGIGG >ORUFI04G02590.1 pep chromosome:OR_W1943:4:2910619:2915037:1 gene:ORUFI04G02590 transcript:ORUFI04G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIENNKLWLEVERLMMELRVVAHKKEAAANAFDAEKAETLKELEDEMGQDIISIFENNPFPCTGWVLSQRVKELERQNTTLSSEKNNLERRVQRLEYENTELSNEKRWAVDVYSRKISTLEYRVLKLEHQNTKLSSELVRQREDTRTVGPLFMNVADRYQHVAEVQIRIKEEELVNMRKASMQLMNAADTYQEVARKQIKAKENDLEDARKAILMIMNVADTYQQVAEKKIKDKVEELRVLGVQKAEMDARIASLESRLEAALVKNQELESTYVKALIENDRLWSVIERLMMGALVEVKEAAAKASDSEKLEIMKELEDHNMKVEEIQTNKNMMKGENDKIQSEVLREKQKHSLFEARVERLKMELDALVKA >ORUFI04G02600.1 pep chromosome:OR_W1943:4:2916081:2916513:1 gene:ORUFI04G02600 transcript:ORUFI04G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTTAVQQNRGICHLHFTGLEVLDAMEGCQYNSLQPNYCRAGLASYRAEAAFNWGQFPTGLDRACPPFPVLAAYPASQSTPVIVKVTNELSPNRCVLPSLEFVVLMLKA >ORUFI04G02610.1 pep chromosome:OR_W1943:4:2916753:2917474:-1 gene:ORUFI04G02610 transcript:ORUFI04G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAQLAAICTIRGKQLGGGGKKRQEGSLILTVRQLRSGTVAVAWTMTGSKGMGDWGKSRSQDGRKASVLRVSSAEGWLDGRATVTSTQQYAAVWLE >ORUFI04G02620.1 pep chromosome:OR_W1943:4:2922038:2924419:1 gene:ORUFI04G02620 transcript:ORUFI04G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVLTRGNKLISSNGKFVLGFFQTGSSKSSDNTTLPNWYLGIWFNNIPKFTTVWVANRDKPITDPIFKQSELRVSRDGILVILNKVAKSMIWSSQIENRPKTSSNNSLVLLDNGNLVIRDASNPSNVWWQSFDHPTDVFLPEAKIGRNKITGQKYSFTSKKNSEDPALGLYCMELDPSGSRQYYDKLCNSSTVYFSTGEWNGRYFNSVPEMSSNVLFDSQFIDNDEEEYFTYTPFDKTLLWVEELQDWETVFIKPKASCDVSSVCGPYTICNDNALTLCNCMKGFSVKSPRDWELDDRREGCTRNIPLGCSSNKSTTGLTDKFFPVPSVRLPYDAQSISMETVASAHECMQVCLRNCSCTAYSYGRSGCTVWHEQLSNVKQYNGTRNTNEEILYLRLADAELPSWGHNRREKIIAAVVGASVSAFSFLAFLLLLMIWIKRRSRDYPINKIKEGAGIVAFRYAHLQCATKNFSEKLGGGGFGSVFKGILSNSTTIAVKMLDGARQGEKQFRAEVIEGCLFMNICNGTILNWSTRYQIAVGVAKRLSYLHESCHDCIIHCDIKPENILLDASFVPKVADFGMAKLLGRDFSRVLTTMRGTIGYLAPEWISGLAITQKVDVYSYGMVLLEIISGRRNTLNECKSSGDQTVYFPVQAARNLLKGDVQSLLDHQLKGDINMEEVERACKVACWCIQDEDFNRPTMGDVVQVLEGLVEPDMPQVPRLLESILGDVQEFKFDQISGISTE >ORUFI04G02630.1 pep chromosome:OR_W1943:4:2925537:2926163:1 gene:ORUFI04G02630 transcript:ORUFI04G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAKLFLGRVLMQSVVANANANANANAKPLAFNWFLPSPDRSSIRARQDGVAMDGDKMQLSSRKTAVKCPGLGWTVWPAISRDPVRAILHGPQENRCQSVKAYGPHVTVIPSPQRPFAMRYVASCLTSDSRRRVDCLCAPTEFLDLSHEDAASHFSTAGMDTRDTLKSFSRAKDTLCVSCIAIALRAPRKSK >ORUFI04G02640.1 pep chromosome:OR_W1943:4:2926561:2927803:-1 gene:ORUFI04G02640 transcript:ORUFI04G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCDNEKLEKGIVRGLVLAACAPWSGASRRLLLVRKDGDEATTAERVAPYGGDGFQVHEECLHEPTLGITGGREVGKKVVISLAARSPPPAMPSRASVVGLAMTLAI >ORUFI04G02650.1 pep chromosome:OR_W1943:4:2941321:2965915:1 gene:ORUFI04G02650 transcript:ORUFI04G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVVAAILMSVVGTAKYGERTYALWWSNFSTIGNYLKLVQRDKHQHFYIKYEHPRHLGDNHAHSLFHVCERGIVDSVIINDDDDSDNPDSKVIGDLLMQDKDHKSMWTVMEMELSLMYDILYTKAYAYVIHTSLGYIIRIMAPITIIASLLLFHFSGKGGHNRIDVMITYVLLGGTLVLETRSLLRSLWSTWGLVFLCDTRWSWLRHVALCSGRWHRLRYTILSFRRAIKIVFSRNSRRWSGRMGQYNMLHSCYHKITEATTSHHWFKTLNDLSTLVGFADWLDMQHCSSNLEIPDKHCSSNLEIPDKVKTTLQDMHERFAPNDLNTMGLLRHNWGMLAMGEDMGSGTRPEQFKNLKRFHATMAGGGVMHLLNEWAIEILLLVSFSLQLALLLFAGFRRVGASAGLGSWWNRHVNAGSNVISDEVKEHVFGYIQNMLRGVDSMSTTELDAIRTTRGQRALRRHGLHGDLAASLGEEFHQGILTWHVATDIYLAVSGGGDRSSAAIANAGDRAAAARRLAEAVRALSNYMMFLVAIRPDMLPGLVLRRLYQVTCEDMARIWRERKDTHESSSSSSSCRFIDVLSMVTKLFQLHVDDPTSASRTPERKKLAAMLHSVSFLFFWAYKSATPEHPNGWMIWQMICNQRGDILRVWWWHYHRVLELERQKSQLENQNWQLEQQNSRLSSEKRDLESRVRRLGYENTNLLDEKMRVAHESSRKVSALEYRVRELEHQNTKLSSELVKQRENTRKAGQLFMNAADTYQQVAEKQIRTKKEELANTRKAGLLLINAADTYQEVARKQIKTMVEDLEDARMAVLVVMNAADTYQLEAEKKIKDKMEELRVLGVQKAEMDARAASLESGLKTALAKIQELEAECDKVMIENNKLWLEVERLMMELRVMAHKKEVAANAFGAEKAETMKELENHLMNVEEIPTSMDLMKDENDKIQVRTLSHQVSTLGDRVWELEHKNTRLLGEKGKLEKQLEETKAAVQAISSEKEEVERSLKGENDKLRLEVLTAEEKYSQSAAEVEKLQKELVALAEVKEVAAKAFDDEKAKMMMESVDLKSRLEEIQGNMDMIKSENDKLRSEALVAEQKLNICEAEIERLKMELGALTEANEAAAKAFDTQNEEITKELEDLKTKLEEIKTNKDLAESENGKLRSELLSAEEKYSQSEAEVKYLKQVMGAVVEAKEAAAKAFAAEKEDIMKESDNLKRKVKEIQDSKLLVESENDELRSEILSMKQKHGQFEVEVTSLKKELGALEEAKEITTKAFEVEKTEILKELEDLKRKVVEIQTNKDLVEVENDKLRLDVLSAQQKQSILEVEANNLKMELGALVEAKEVATKAFDAEKAKITKELEDVKRKMEEIQVKKDLVEGEKDKLRLEILIAEQKHSMSELEVKRLKMELGALAEANETAVKSFDAEKEKFIREMGDLKRKIEEIQVSKEAAEEVGRNKNAEADRLRAELVKIQVSLSQLQASYNELDAKHSLLNDEKNSAQKALDVEKVEAYKLKSKFEELENYKAQKDEEAGKLKAALEEKKSEIDVLIKDNELLRLAIAEAQEKNKGSILSCLSPCGSK >ORUFI04G02660.1 pep chromosome:OR_W1943:4:3032955:3066729:-1 gene:ORUFI04G02660 transcript:ORUFI04G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDAFFSMVSGCFVIKIAGYSRTREEGRSLDSPPFSVGGYSWRTIYHPSLLFYPVRMSFALVLLDRIDGPMTMNARCKFTLLDRAGNPVPSHARDSPVVIAWSGAERSWRCSDLVTVDELERRRPELLGDDDRLAVRCDIVFMDVLGGAAARPLPPSDLHQHLGKLLSEKVGADVTFQVAGRGETFAAHRCVLAARSPVFRAQLFGPMKEGSTASGVIAIDDMEAEVFSSLLTFIYTDSLPPDAAADRYGLDRMKLVCEEKLRKHIDGSSVGSILSLADRHNCDDLKEACFDFLSSGAKLREFAGTDAFEELIGSSPAVVKELVANPSSRTASSIAPTAGRGSHCLKIDGFARTRGLPAGEHLRRGSSTQAPVFCSLYYNIERPRLICEENLGEYIDVVTVVTCCRGTASSIVADAVTGYHLLKIDGYSRTKGTPNGAALTSNQFVVGGHRWRIRYYPNGDIAMDEFEKSDDLRDDSFTIRCDIAVVGEIRTERTTEIAAETFVTKLGKLLDTEKGADVVFEVGGETFAAHRCVLAAQSPVFSAELFGPMKESDRAGVVRIDDMEAQVFKALLRFMYTDSLPEMEEEKDTMCQHLLVAADRYNLERLKLICEDRLCKHVGVGTVVNILTLAGQHHCDGLKKACLHFLGSPANLSAVLAGDGFEQLSRSCPSLVNELVAMLAPPIPPSSTVGNILALADQHHCAGLKKACFHFLGSQANLSAVIAADGFEHLSRSCPTLMKELNSGEQCPAMAGESPSRLGSASAIVADTETRYHLLKIGCYSRTKATTPTGSFLSSGQFTVGGHRWRIQYYPNGDRAESADYISLYLLLDDKATNSSVKVQAQFKFQISSTDQVKKPQPLAYNEASTYGEDSFWSWGQTKFIKREDFEKSNDLRDDSFTIRCDVAVIGEIRTEKTTEIPAATTFVTVPPSDLNHQLVDLLETEKGADVVFQVGDETFAAHRCVLAARSPVFSAELYGLMKEGDTAGVVRIEDMEAQVFKLLLRFMYTDSLLEMKEEEDVMCQHLLVAADRYNLPRLKLICEDRLCNYIGVSTVLNILVLADQHHCDGLKKACFSFLGSPENLSAVVTGDGLEHLSRTCPSLMKELFVVMALPPNHG >ORUFI04G02670.1 pep chromosome:OR_W1943:4:3066738:3067790:-1 gene:ORUFI04G02670 transcript:ORUFI04G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAWWPAAAFAGRGDPPRSSTSTIVAGAASGSHCLKIDGFSRTKGLPAGERLQSIPFTVGGHRWRLNLQPNGNAAEGHASLYLLLDEDVAKPVTAQFEFSIGAENRPSFFLLHVKRMKLKHAPFTPRVSTCNFASRAAWGFSKFLKWADLENQRYLEYDCFVIKCDVVVINEFRTVGGTTSAAATPAAPSFVSVPPSDLCQQLGVLLDTEKGADVVFRVGGETFAAHRALLRFIYTDSLPEMKKGEEDIMFQNLLVAADRYNIERLKLICEEKLCEYVGVGTVAAMLVLADQLGCDGLNKACFNFLKLQQT >ORUFI04G02680.1 pep chromosome:OR_W1943:4:3141154:3143893:1 gene:ORUFI04G02680 transcript:ORUFI04G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLKSLLPGGKDDKRRTLMHQVSTLGERVSELENKNAQLLDEKGKVEKQLEETKQEAQVISRQKEEVESSLKGQNEMLGLKVLNVEEKYNHMVEKLQMELCALVKEKGVEKEKMMMESEDLKRRLEEIQAKKDLMESEKDMLRSEAMITKQKQIMFEAEIERLNMELVVLTEAKKAAAKACEAQNDKIMKELEDLKRKFEELQTNKDLVQAKNDELLSNVLAIKEKYGQSEAEVKKLQMELSALVMEKEVAVKTFDDEKDKMMMESADLKRRLEEIQANKDLVESENDRLRSEALITKQKQIMFEAKIETLNMELVALTEAKEAAAKACEAQNDEITKELEDLKRKFEELQTNKDLVEGENDKLQSEVLAIEEKYGQSEAKVKWLNQILRVVVEAKDAAAKACEAEKVEILKESGNLKRRVEEILVNKDLVESENDVLRSDILTMKQKYNQFEVEVKSLKNELEAFEEEKEVTAKAFNVEKTEILKELEDLKMKVLEIQAKKDLVESENNVLQLDIVTTKQKYNQFEVEIKSLKNELKALEEEKEVTAKAFNVEKAEILKELEDLKRKVQEIQANKYLVEGENDKLRLDVSTAEQKESISEAEVKRLWKILDALMEAKEVTTKAFDAEKEKIMKELEDLKRKVEEIQASKDLAESEKDKLRIEILMAWQKFDAEKEKFIMEAEDLKRKVEEIQVGKKAAEKAVHDKDAEAHRLRDELVKIRVSLSELQASYNELDAKYSCLNDEKNSV >ORUFI04G02690.1 pep chromosome:OR_W1943:4:3151635:3156175:1 gene:ORUFI04G02690 transcript:ORUFI04G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMHVRLGRVTAARHLSFLASNSPVAGEGKVEGNSLDIKKHERHWRVGLAKGKLKELILLKVLGY >ORUFI04G02710.1 pep chromosome:OR_W1943:4:3219026:3228936:-1 gene:ORUFI04G02710 transcript:ORUFI04G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILSMDDPTRGELGGGTMAARPVRLWQQVPQIEVPLVSRSLGGRVGREGFPRSEAMAKCGGSWCSADGAEAWRRGEGMQGSARDAWFYLVDAAQHGDDGKSWWPLYFGGKRSDSDSESSNNTPTSSRRPQEVFIAFQETDEERRSAASNLNRRLNELRQRGCAAQDAILQTKLGERDVFTMPQNNKIAAKALLDNIQVPNDPAINTTIAQVRAMVEAATFQHAEVALTASIVGGSSSNRPSQQQHAGSKHIEASQAGSSRPSLRTSDLRDKIEARRRSPQRHE >ORUFI04G02720.1 pep chromosome:OR_W1943:4:3231444:3232664:-1 gene:ORUFI04G02720 transcript:ORUFI04G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEVFEWAKTSFYLFQIESIPVHVRSIIPSLFSYADRYSWEDGPYELERKNNRLSQEKSNLESRVRWLVNENTELSNEKSYLESRVRRLVNENTELSNEKRRAAYVSSTLEYRVRELEHQNTKLSAVLVKQREDTRKAGLLFMNAADTYQHVADRQIRTKEEELANTRKTGLLLMNAADAYQEVAKKQIKAMVEDLKDARKAVLVVMDAADTYQQVAEKQIKDKVEELRVLGVHKAEMDARAASLESELEAALAKNQELEAYYSKVLIENDRLWSRMELVEAKETSTNAFDSDEAEIMKELEDHKMKVEENHSSKDLRKGENDKIQLEVLTAEQKNSMFEAGVERLKMELDVLVEAKKAKSRSNQETLRGEVKEIQAAMDFVKRDNDKLWLEASEAGVERLKENI >ORUFI04G02730.1 pep chromosome:OR_W1943:4:3241353:3241712:1 gene:ORUFI04G02730 transcript:ORUFI04G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLSEGGSGDGIPWTESWVQGDRRRAIVKVALAWVSLTMCGGCRGTGKRCFGDGGERRDGGADGGLPRDGEVDAVVADVEGALVWVAQRQVHPRFSSVCLGQCRCEDGGDWRNRDEE >ORUFI04G02740.1 pep chromosome:OR_W1943:4:3273325:3282208:1 gene:ORUFI04G02740 transcript:ORUFI04G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRTMSCCISQLLRHRRRISGGVYCRGCHGMVFKWTKTSFSIFQKDTIPVHSQRVKELESQNTTLSNEKNDLERRVKRLEYENNKLSNEKRWAVDVYSRKISTLEYRVWELDHQNTKLSSELVRQTEDTRTVGLLFMNAADRYQHVAEVQIRTKEEELVNMRKASMQLMNAADTYQEVTRKQIKAKEDDLEDARKAILMIMNAADTYQQVAEKKIKDKVEELRVLGVQKAEMDARIASLESRLEAALVKNQELESTSVKALIENDMLWSVIERLMMGGLVEVKEAAAKASDSEKVEIMKELEDHNMKVEEIQTNKNMTKGENDKIQSKVLREMQKHS >ORUFI04G02740.2 pep chromosome:OR_W1943:4:3271318:3273542:1 gene:ORUFI04G02740 transcript:ORUFI04G02740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTPWFAELFTDDRVRTLSHQVMTLEDRVWELEHKNTQLLHEKGKLEKQLETKAAAQAISSQKEEVERSLKGENDKLQLEDLTMEENYSQSEAEVEQFQKELGALVEEKEVAAKTFDDDKEKMKMESEYLKRRLEEIHDNKDFMKTENNKLQSEALIVEKKQIMFEAEIKRLKMELGAVTEAKEVAAKAFNAQNEEITKKLEDLKRKLEEIQTNKDLVEGETNELQPEVFATEEKNSLSEAEIKCLKQILEVAMEVKEAAAESFDAEKEEIMKQSNNLKRKIEENQASKDLVESENDKLRSKMVTVKQKHNQFEADNKSLKIELGTLKEAKEATAKAFDVEMAGILKELEDPKRKVEEIQANKDLVERENDKFQLEVLTGEQKQSKSKAKAKSLKVELSALVEAKEATAKAFDVEKAKIMKELEDLKKKVEEIQGKKDLVEGEKDKLWLEILIVEQKHSMYELEVKRLKLELGALAEAKETAMNSFDTEKIKFITDVEDLKRKIEEIQVGKEATEEVGRDKDAEADRLRAELMKIGVSLSQMQASYNELDGKNSHLNDEKNSI >ORUFI04G02750.1 pep chromosome:OR_W1943:4:3275893:3276782:-1 gene:ORUFI04G02750 transcript:ORUFI04G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDQVELGFMAGRCNNGTMAMSNGSRVDDSGSPNDFQRRRHSTMPRGFQMLIRDRNWQSDEVALHPDQHLNYSLSAYMNVLIVDQT >ORUFI04G02760.1 pep chromosome:OR_W1943:4:3317800:3318328:-1 gene:ORUFI04G02760 transcript:ORUFI04G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAWAQRLWHWLQGMLVDRPRFMVCPWCLSAPLPVPGSAKIRLLRCPARDWGESQSQDGRKASVLRVAAAEGWLDGGATDTGTQQCAAVWLE >ORUFI04G02770.1 pep chromosome:OR_W1943:4:3336836:3343476:1 gene:ORUFI04G02770 transcript:ORUFI04G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVLILFGLLFSLQRNFPACSATNNGSTLMAGQVLTGGNKLISSNSKFALGFFQTGSSKQGGQSFDHPTDVFLPGVKIGQNKITGQKYSFTSKKNSEDPALGLYCMELDPSGSRQYYDMLCNSSIVYFSTGEWNGRYFNSVPEMSSNGFSVKSPRDWELDDRREGCTKNIPLGCSSNESTTGLTDKFFPIPSVRLPYDAHSISMETVASAHECIQVCLRNCSCTAYSHGRSGCSVWHEQLINVKQYNGTSNTNEEIFYHRLAAAEVPSWGQNRREKIIAVVVGASFLGLPPAIDDLEQKEVTYDHLQCATKNFSEKLGGGGFGSIFKGILSDSNTIAVKMLDGARQGEKQFRAEVVQLG >ORUFI04G02780.1 pep chromosome:OR_W1943:4:3345583:3345900:1 gene:ORUFI04G02780 transcript:ORUFI04G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKMFLGRVLMQSVVANANAKPLAFNWFLPSPDRWSIRASQDGVAMDGDKMQLPSRKTEIGTKGPEAEAVKCPGLGWTVWPAVSRDPVRAILHGPHENHSQSC >ORUFI04G02790.1 pep chromosome:OR_W1943:4:3346468:3347914:-1 gene:ORUFI04G02790 transcript:ORUFI04G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGEKYTAEKIEDDPTGCEVEWVERTLAAPVVGAQGRGRGDHGSYTQVHEECLHEPTLGIADGREVGKKVVVSLAARSPPLAMPLCVSVVGLSMTLAINREKRLRMEEADRCNEQEGYHPSMLAISSHRQKWHTIKNPFSPSTSKYKPKKRMNNCQT >ORUFI04G02800.1 pep chromosome:OR_W1943:4:3349781:3365608:1 gene:ORUFI04G02800 transcript:ORUFI04G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPLTDHDAVNTGCEFDCQRSSDQMCCEHSVAQFSSDQQLNPEENLALYCKPLELYNFIRHRAIENPPYLQRCLLYKIRAKQKKRIQITISLPGSNNKELQAQNIFPLYVLFARPTSNVPIEGHSPIYRFSQARLLTSFNDSGNNDRAEATFVIPDLETLIATQAYGLTFILVSRGTKKNKGQTGQNLCENDCSEKHVDYSSLRKLAGKCFWGKIPITLLNSSLETCADLILGHIVESPISICMNPGYLEPTFLEHDNCLSFCSRKADAMVPYQLQVKVSAAEAGAKDILKSPYNSFSYSDVPPSLLLRIVRLRVGNVLFNYKNTQMSEVTEDFTCPFCLVRCGNFKGLECHMTSSHDLFHYEFWISEDYQAVNVTLKKDNMRTEFVAAEVDNSHRIFYYRSRFKKSRTEILPVARADAHIMESGSPEETQAESEDDVQEENENALINDSKKLHGSNHSQSEFLAFGKSRKLSANRADPRNRLLLQKRQFIHSHKAQPMTFEEVLSDNDSEDEVDDDIADLEDRRMLDDFVDVTKDEKRIMHMWNSFIRKQSILADSHVPWACEAFSRHHGEELLENSALLWGWRMFMIKLWNHSLLSARTMDTCNRILDDIKNERSDPKKQ >ORUFI04G02800.2 pep chromosome:OR_W1943:4:3349781:3365608:1 gene:ORUFI04G02800 transcript:ORUFI04G02800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPLTDHDAVNTGCEFDCQRSSDQMCCEHSVAQFSSDQQLNPEENLALYCKPLELYNFIRHRAIENPPYLQRCLLYKIRAKQKKRIQITISLPGSNNKELQAQNIFPLYVLFARPTSNVPIEGHSPIYRFSQARLLTSFNDSGNNDRAEATFVIPDLETLIATQAYGLTFILVSRGTKKNKGQTGQNLCENDCSEKHVDYSSLRKLAGKCFWGKIPITLLNSSLETCADLILGHIVESPISICMNPGYLEPTFLEHDNCLSFCSRKADAMVPYQLQVKVSAAEAGAKDILKSPYNSFSYSDVPPSLLLRIVRLRVGNVLFNYKNTQMSEVTEDFTCPFCLVRCGNFKGLECHMTSSHDLFHYEFWISEDYQAVNVTLKKDNMRTEFVAAEVDNSHRIFYYRSRFKKSRTEILPVARADAHIMESGSPEETQAESEDDVQEENENALINDSKKLHGSNHSQSEFLAFGKSRKLSANRADPRNRLLLQKRQFIHSHKAQPMTFEEVLSDNDSEDEVDDDIADLEDRRMLDDFVDVTKDEKRIMHMWNSFIRKQSILADSHVPWACEAFSRHHGEELLENSALLWGWRMFMIKLWNHSLLSARTMDTCNRILDDIKNERSDPKKQ >ORUFI04G02800.3 pep chromosome:OR_W1943:4:3349781:3361503:1 gene:ORUFI04G02800 transcript:ORUFI04G02800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPLTDHDAVNTGCEFDCQRSSDQMCCEHSVAQFSSDQQLNPEENLALYCKPLELYNFIRHRAIENPPYLQRCLLYKIRAKQKKRIQITISLPGSNNKELQAQNIFPLYVLFARPTSNVPIEGHSPIYRFSQARLLTSFNDSGNNDRAEATFVIPDLETLIATQAYGLTFILVSRGTKKNKGQTGQNLCENDCSEKHVDYSSLRKLAGKCFWGKIPITLLNSSLETCADLILGHIVESPISICMNPGYLEPTFLEHDNCLSFCSRKADAMVPYQLQVKVSAAEAGAKDILKSPYNSFSYSDVPPSLLLRIVRLRVGNVLFNYKNTQMSEVTEDFTCPFCLVRCGNFKISEDYQAVNVTLKKDNMRTEFVAAEVDNSHRIFYYRSRFKKSRTEILPVARADAHIMESGSPEETQAESEDDVQEENENALINDSKKLHGSNHSQSEFLAFGKSRKLSANRADPRK >ORUFI04G02810.1 pep chromosome:OR_W1943:4:3371241:3372269:1 gene:ORUFI04G02810 transcript:ORUFI04G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQEEAALAAAVVKGKRSKRQRAHAAVVPIAASATAEEEESMESSLSLSGGAAAEGSSTTSPLLTTTAARGDEAVSGCVTEEEEDMALCLMLLASGGHGERAPDAEAVAAKEAKFRSRRPADGAGAGEFVYECKTCSKCFPSFQALGGHRTSHKKPRLVAPPAAMEPAADDKIKPTIPETAAAAAEEKPPKPSPPRPPASRPIATDPTVLAIPVIPKQEVLDATNAAAIASVSKQPRVHECSICGAEFASGQALGGHMRRHRPLIPASASSAVVSVLDAVDAPRQKEKSLLELDLNMPAPCDDATAETTTTSSAATSPAFAFAVSDRSPLLVPAALVGCHY >ORUFI04G02820.1 pep chromosome:OR_W1943:4:3389162:3390376:-1 gene:ORUFI04G02820 transcript:ORUFI04G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPPSPAVARTGFSDLQPDLMGEIHGRLSFLDRLAFVAVSSAASRDALKPEPPWLVLPGETPETATVFSLADRRSATVRASDPAMRGHVIIGSSGGWIVTADERGRMRLANPVTGEQGNLPAITTIPFVNATSPGGHHFIMDMEPFVHIRYRGEHESWPHPHGTFTHTAGDVRLWFYRKVVLSASPHPGDYAAMLLLDSYFGAPAFATAGDGRWRVAPSRDGVEDAIHHKGKFLSVTYTGIVEAWERDGVSGEYTSEAVTTPISCPPQRRKYLAAAPDGRLMIVLKNTNGGGVRKGYFEVQVFDEMTQRWEAAEDMGELAILVGVNSSLCVSTAKHPEINAGCLYYTDDEIGKAWLRREYGYSNSKPNVGVYSLKDGKVMSIPGLGEHLSWPPPAWFTPSFP >ORUFI04G02840.1 pep chromosome:OR_W1943:4:3410970:3415441:-1 gene:ORUFI04G02840 transcript:ORUFI04G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGWVMDIIWHTLLLCGDAMGGIWSSWSTEILLGLSFVAQLYAEKTMALRRANLANVRRTVERERRLQRRRSRTTKANLSFAGDDDEGGLLMKAHTLFPICKNSMVDSSVETASNTDDAAIVHAKETLFREENYKIAFRVMEMELSLMYDFLYTKAAIIHTWHGYAIRAVSPVFTAVSLVLVELSNVAGHHRRSDVVITRVLLVATFLLETLSLLRALASSWTGFWLDRELRPVWGCGWIRHEVLCRSRWTWLRRQVASIGRLAGAKDHRRWCGKMGQLSVLQLIITSEREDRSWDKECERYSKEKTIVVPQDVKELFFRRLLEQLIDLRKRMKADTGTETELRTMVANMRTKRGQLTLQNYDLWNQLRWSLGDELQLGILTWHIATDIYLSQSVKAIIAAVEDANKEKETRRLQKGGRLLK >ORUFI04G02850.1 pep chromosome:OR_W1943:4:3423011:3424237:-1 gene:ORUFI04G02850 transcript:ORUFI04G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPLPPLPAATTGFSDLQQELMGEIHGRLSFLDRLAFATASSAATRDAFKPEPPWLVIPGDTPGTATVFSLADRRSAARHHRLLRGWIVTADKRGWMRLANPVTGEHGDLPAITTIPFINATSPGGHHFIMDMEPFVHIRYRGELESWMYPYDTVTHIAADDMWLSFYRKVVLSASPRPGDYAAMLLLDDYFGTPAFATAEDGRWRVVPSVDGVEDAIHHEGKFLSVTYTGIVEAWERDIVSGEFTSNVVFDEMTQRWEAAEDIGELAILVGVNSSLCVSTAKHPEINAGCVYYTDDDMWVASMRRDFWCSNRKPNVGAYSLKDGKAMNIPGLGEHLSWPPPAWFTPSFP >ORUFI04G02860.1 pep chromosome:OR_W1943:4:3424711:3431873:1 gene:ORUFI04G02860 transcript:ORUFI04G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEKEKKKNKQIITEKMEINRLNSTKTNLPGLVSESESLKNTNWQNKETMKKEQSGHEAEQDEKRRSQESYKSYIMAKHIEETKSQNTEVVPGADRTKAEITRNSQSERIDSEELRRKNTVEGCHRLQAQVTGNTQSKTCVSDIIDSKELRSKKTIQGSHHLQDFEKCKKSGTIGSEIGKNKAQLLYDQYTFLNENERLVQGGQMSYTNLMQQIIQSPRVSLQANEGLLKQRRNQNRNRQQVPQAKGRSKLVKIRRIQQIRRLKRGDEDEWKKSINMKKEKN >ORUFI04G02870.1 pep chromosome:OR_W1943:4:3432063:3437001:-1 gene:ORUFI04G02870 transcript:ORUFI04G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMHKSRLGKAPIVIDSDDSDDDDQEHDSTKFDDFILGMQRKLIVQDVMKELMSKSYVLPKPHKANLQTAWDKFVCSPMDFRTFKTVFPPVPRQNLRSNFLVLAKQPSNERV >ORUFI04G02880.1 pep chromosome:OR_W1943:4:3441022:3442080:-1 gene:ORUFI04G02880 transcript:ORUFI04G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAFSDLPPELMAKIHDNLAFLDRLAFAAAVSAPSRDALKPEPPWLVLPGETQETARVFSLADQGGRYFVLIMEPFVQLRYRGELESWPLRPHPYGTFTLTDGDMRRWFYRKVVLSASPRPGDYAAMLLLGNYFGAPAFATAEDGRWRVAPSRDGVEDAIHHKGKFLSVTRGNATASTAMTTRMANGGDHRHCSKYLAAAPDGRLMIVLKNAKRVKDLFELQVFDETTQRWEAAADIGDLAILVSINSSLCVSTTKHPELKAGCVYYTDDKIGKASLRRGARCSSWYHRGDESHNDDSDRNVAMYSLKDGTAESIPELGGHLSWPPPAWFIPSFP >ORUFI04G02890.1 pep chromosome:OR_W1943:4:3445027:3446581:1 gene:ORUFI04G02890 transcript:ORUFI04G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPPSSSSSISAPRLTPAFTFPHPWRRMPQAGCCCRWPGTARGDGGSASAVGIRGQSRFVTDCDYSGCEISGDEDSNRRLLLHWSSKHDESNNDCDTNAGHF >ORUFI04G02890.2 pep chromosome:OR_W1943:4:3445027:3446581:1 gene:ORUFI04G02890 transcript:ORUFI04G02890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPPSSSSSISAPRLTPAFTFPHPWRRMPQAGCCCRWPGTARGDGGSASAVGIRGQSRFVTDCDYSGCEISGDEDSNRRLLLHWSSKHDESNNDCDTNAGSANHLTI >ORUFI04G02900.1 pep chromosome:OR_W1943:4:3454668:3456705:-1 gene:ORUFI04G02900 transcript:ORUFI04G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVGVAKYVERTMALRRANLANVRKSVQIQRRRRRAGGGSRRRTPNFEFLGDDEESALVMKAHALFHVCKNSMVDSFLSSESESSTDNKPVDYPKETLFCLEWSQMFRVMEVELSLMYDFLYTKASVIHTWHGYAIRAVSPVFTAVSLVLVELSNVGSPHRRSDVVITRVLLVATFFLETASLLRAVSSTRAGFLLYRGLPPGWIHHEALCRSRWLRFHRAVASIGWLANTQDHRRWSGKMGQLSVLRLITGGERVRSASMAEDRSWDKECERYSEKTARVIPQDVKEVVFRRVRDLLTRKKTEAAEMRADMDVRKKSMAANLRTKRGQITLQKRNLLSELGWSLGNEIQLAILTWHIATEIYLLLSPRTTSTAVEDDARRGSVSRAVDPSFGGGERKLVPPVDFRGLEEGAQQHRP >ORUFI04G02910.1 pep chromosome:OR_W1943:4:3478621:3480405:-1 gene:ORUFI04G02910 transcript:ORUFI04G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRANLASVRSSVERQRRRQQRHRTEGGGRRSPKLVFAGDDDDGALVMKAHALFHICKNSMVDSSVETASNTYDAAAADTKETLFQLEWPQLFRVMEMELSLMYDFLYTKAAVIYTWHGYAIRAVSPVFTAVSLVLVELSNVGGHHRRSDVVITRLLLVATFLLETASLLRAVGSSWTGFLLHRGLRHGWIRHEALCASRWLRFHHAMASVGRIANSQAHRRWCGKMGQLSVLQLITTGAGGDRESQDRSWDKECARYSEKNTMVIPPEVKEVVFRRVRQQLLDLRARMNREAADMDLRKMAANLRTKRGQLALQSRNLLGELRWSLGDELQLGILTWHVATEIYLLL >ORUFI04G02920.1 pep chromosome:OR_W1943:4:3495875:3498313:1 gene:ORUFI04G02920 transcript:ORUFI04G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSTLLCAMLLYCTVRLFRLGCGIEHTVMIGNISKDRLIFVPINFEAGERLQIALANAVDNAETVWISPIIST >ORUFI04G02930.1 pep chromosome:OR_W1943:4:3511249:3516859:-1 gene:ORUFI04G02930 transcript:ORUFI04G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPPMQSDAAAPAAVVDYSNLTTDLVIDIHGRLAFVDRLAVGAVFGAAGHAVKPEAPWLVLPGDTPETSRLYSVADRRVAAARAPDPAMRGCCVVGSSGGWVVTADTRARLHMANPVTGEQHELPAITTCPFFYVTNPTWPLFHVNILQDQLIRVRHGGGEKVPAARLPLCTLVADQMRGWVYRKVVLSASPRPGAYAAMLLLDVDSHRGNPAFATSDDPAWRFAPSPDGVEDAIHHRGKFYSITYSGVVEEWDRRGVDGVFTSRAVSRKLPAITDGGGGHHHRRYLVAAPGGGELMVVTKSFKVVEIGERYMDSERRVCFTVQVLDDGDEGGRWRRAASIGQAAVFVGASSNSVCVSTKAHPELRPDCVYFAADELVKGPFCRDEDRGFHSYHSCDDKKRVVGVYSLKDGGRAEGLPELGDHATWPPPASDATTTPATADDDAAVDYSNLPPDIVADIHARLTHLDRLTVAAVFGAAGHSMNLEEPWLAIPGGETTTTAPAPPTKLYSISDRRAAAARAGEAAMRGCFFLGGSGDGWLVTADKRSRLRMVNPVTGAHRALPAITTCPFFYTTSWAGRGSHVNLTAGPFMRARRRGPSLYTVTAGQVRQYVYRKVVLSAAAARPGSSYSAMLVLSPDLGAPMFATSDDPAWRVAPSRDGVEDAIHHRGRFYSVTYTGVVEEWDRGGGGFTSRTVATAPLKPDDLKNRKYIAAAPDGKLMVVVKFFKDIKYQTRGRDGYLRRTHTVTDKRVLFKLLVLDDEESGRWRKKEEEVGDAAAAALFVGANASMCVAATSGGDLAGGCIYFTDDDVARGRPFDKSKDDDHWRYREDDREIVAGVYSLEKHRAHKLPLLQRRRRTPSYGHDHDDDDDNYDGNADADDNGGGGDDDGEDEEEVQIGKIWPPPVWFKRCTSATSSSS >ORUFI04G02940.1 pep chromosome:OR_W1943:4:3519334:3532236:1 gene:ORUFI04G02940 transcript:ORUFI04G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRQGRKTTVRFSFPSSPHFSQRENLFNQLFIVRSKCHRFAFAFAFASPSPPESKPSQMQMLLHANSLLLAPTTSRLSASASPGRSGTARPLPPPQGHAHSARAAARGTRRPPTVAAASPRTPMAVGEECAAAVASQGFVSDARAYWVTRSLIAWNVNDQDTSLFLYASRDATMHVSDGAIHGYDSKIELEPEHASLPDNVAEKFPFIRSYRTFRVPSSVDVASLVKCQLAVASYDAHGRRQDVTGLQLPGVLDDMFAYTGPLGAVFSDKDVDLYLWAPTAQDVRVCFYDGPAGPLLQTVQLKELNGVWSVTVPRYRENQYYSYEVKVYHPSTSQVEKCLADDPYARGLSANGTRTWLVDINSETLKPASWDKLSDEKPNLESFSDISIYELHIRDFSAHDSTVDCNSRGGFRAFTFQDSAGIRHLRKLSAAGLTHVHLLPSFHFASVDDNKSNWKLVDEAQLAKLPPGSDEQQAAIVSIQQEDPYNWGYDPVLWGVPKGSYASNPDGPSRIIEYRQMVQALNRIGLRVVMDVVYNHLDSSGPFGVSSVLDKIVPGYYLRRNVNGQIENSAAMNNTASEHFMVDRLIVDDLLNWAINYKVDGFRFDLMGHIMKNTMFTVMSICIISTIIKIEDVFADTLIRAKSAIRSLTRDVHGVDGSKIYLYGEGWDFGEVAQNKRGINASQINMSGTGIGSFNDRIRDSVNGGNPFGNPLQQGFSTGLFLEPNGYYQGNEADTRRELATYADHIQIGLAGNLKDYVLRTHTGEAKKGSDIYTFDGSPVGYTSSPVETINYVSAHDNETLFDIVSIKTPIGLSIDEKCRINHLASSMIALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNKLDFTYETNNWGVGLPPRDKNEENWHLIKPRLENPSFRPSKNHILSVFDNFVDILKIRYSSPLFRLSTASDIEQRIRFHNTGPSMVPGVIVMSIKDAQNEKCEMAQLDKNFSYVVTIFNVCPHEVSIEIHDLASLGLELHPIQVNSSDALVRQSAYEASKGRFTVPRRTTAVFVQPRC >ORUFI04G02950.1 pep chromosome:OR_W1943:4:3533087:3534675:-1 gene:ORUFI04G02950 transcript:ORUFI04G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHLLIQLISMAGNGVGACRVQIIPAIIFLQLLFRALGADGASSFSFTNSCQYPVWVGVLHGASSPALARSGFYLAPSGTYHLAAPSSGTWSGTFWARTGCAVDSSTGRFTCATADCGSGDVACNGRGPSPPVTLAEITLAAPGSGGQDFYDVSLVDGFNVPVRLAPSSSGGGGDCHAVSCAGDVNAACPSDLRVVSGGGAVVACRSACDAYQSARYCCTGAYGSPAACGPTDYSQVFKAACPAAYSYAYDDASSTFTCFGASSYDVTFCPLS >ORUFI04G02960.1 pep chromosome:OR_W1943:4:3536766:3537062:1 gene:ORUFI04G02960 transcript:ORUFI04G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPLRRRRIYACGAERQPDLLGRKAVEDEGEPPRLVPAHFAFSSAAAPHEVVEPAKPATAAPQPCPHPQRVPQPHRPHDMRGERRKKMEKEGDGWKT >ORUFI04G02970.1 pep chromosome:OR_W1943:4:3540082:3541197:-1 gene:ORUFI04G02970 transcript:ORUFI04G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQEFQFFQGVEQEMLLLSHGGGGAAAAASDDVLAYHVGVLRDKVQQLEPLVGMVVVSPAAPHGQLRRDAAAMAASSACSVLQEITAAASAVAHRLEQASAASSASFRRGVAFADDAHAAGGEQMFAAGAHGGGQGGDDEAGASLFHHHGPPAVGAPTVFRAGATTTAAASSSGGGDDDGDGDGEVDVVELEASYLLARYTHYCQVCGKGFKRDANLRMHMRAHGDEYKTAAALTSTGAGMRAAARRCSYSCPAEGCRWNRRHPRFQALKSVVCAKNHYRRSHCPKMYVCGRCGGKQFAVLSDLRTHEKHCGELRWLCSCGTFFSRKDKLMGHVALFAAGHAPVPVAPEASPSTTTTGTTTDRSRTGLID >ORUFI04G02980.1 pep chromosome:OR_W1943:4:3548126:3554926:1 gene:ORUFI04G02980 transcript:ORUFI04G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDKEAAGEGATPRGADWEVVTLTASAYAAAPGLGGAGDRPAAETKGLDASQEGDQTKGLDGSQEGRGSESALFMSGHFVFPPSEHENLPIDAGFDDIQHEKDAQEASTSVEDEGFKNVGGNYGAGSERIQFYDEGRNLSANDVEMMMGDAAEHGSFHAQDEGRGLDDDNDFDDSHDKSDLPSESADSKSRDSGAPCKCWLKKHMSCLYHQAKETNALWSVVVAAALVGLVVLGRWHKDKLHLKNLKWRSGSTVRG >ORUFI04G02990.1 pep chromosome:OR_W1943:4:3553573:3555535:-1 gene:ORUFI04G02990 transcript:ORUFI04G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVDWLFCVALRGEAFRSKKSKPRFPSPPPPPICCGGAIRQPATSLRLLPDPSHPKSPTSSRRAHGRLRRFPRGADLLLAMYNNYGNSPGMQMPPIGQMPPAAGQMPSANPQPGQFGNPFYGASSGLIKTGLGAYGEKFLGSSSEFMQSNINRYFSNPQYYFHVNDQYVRNKLKVILFPFLHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGSFIILAGFTLGFMGKFTPEAINLQFSRGLIGWALQIVILKGLLYSMGGGEVPLLDLVAYGGYLFAGLSLAVVSRLLWAYSYFVMMPWMSLCMGVFLVRTMKRVLFTEMRSSERHSTRQHYFLLFLAIAQFPLFFWLGNIGA >ORUFI04G03000.1 pep chromosome:OR_W1943:4:3558156:3562091:-1 gene:ORUFI04G03000 transcript:ORUFI04G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHREGAGRAVHGEEERQLADANGVLGGIHMSKARNVLVATGLLAFAGAGLAFPFYFVKSKNKPIIDSSKPLPPQATFRGPYVNTGSRDIGPDYTDYPKK >ORUFI04G03000.2 pep chromosome:OR_W1943:4:3558156:3562091:-1 gene:ORUFI04G03000 transcript:ORUFI04G03000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHREGAGRAVHGEEERQLADANGVLGGINPEQGEAAVVRPAASWKSKNKPIIDSSKPLPPQATFRGPYVNTGSRDIGPDYTDYPKK >ORUFI04G03010.1 pep chromosome:OR_W1943:4:3564173:3567274:1 gene:ORUFI04G03010 transcript:ORUFI04G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPWPLLRSFLRNCVAGTLVVVTVNDRYASVVPVRGTSMNPTLESQQGDRALVSRLCLDARYGLSRGDVVVFRSPTEHRSLLVKRLIALPGDWIQVPAAQEIRQIPVGHCWVEGDNPDVSWDSRSYGPIPLGLMQGRVTHIVWPPNRIGPVERKMPEGRVMQR >ORUFI04G03010.2 pep chromosome:OR_W1943:4:3564173:3568147:1 gene:ORUFI04G03010 transcript:ORUFI04G03010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPWPLLRSFLRNCVAGTLVVVTVNDRYASVVPVRGTSMNPTLESQQGDRALVSRLCLDARYGLSRGDVVVFRSPTEHRSLLVKRLIALPGDWIQVPAAQEIRQIPVGHCWVEGDNPDVSWDSRSYGPIPLGLMQGRVTHIVWPPNRIGPVERKMPEGRSHTMEFWKSTEVIT >ORUFI04G03020.1 pep chromosome:OR_W1943:4:3569173:3573143:-1 gene:ORUFI04G03020 transcript:ORUFI04G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERALMSLMRRRSLLQSGGRAPPAMAAAAGGSPFFSTLQQAAAADPVQSPGILPGLKIRDSASQLIGRTPMVYLNKVTEGCGARIAAKLEFLQPSFSVKDRPAISMLEDAEKKGLITPGKTTLIEPTSGNMGIGLAFMAALKGYELILTMPSYTSLERRVTMRAFGAKLVLTDPTKGMGGTVRKAAELYENHPSAFMLQQFENPANVKVHYETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGPHLITGNGVGFKPEILNMDIMEKVLEVKGEDAVKMARELALKEGLLVGISSGANTVAALELAKKPENKGKLIVTVLPSLGERYLSSALFEELRAEAEAMQPVPVD >ORUFI04G03030.1 pep chromosome:OR_W1943:4:3583087:3594522:-1 gene:ORUFI04G03030 transcript:ORUFI04G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFYATSVEAAVKELIPYLEDTSSAAHQSIYFDGWGGLGASAVLRAIAENPAPSLRKKFDRIIHVDCSRWKNRRQLQRAIADQLKLPQHVMDLFDRQDEEDDFSGDEEDDFSGVEESSRAEIADIRREIYRTFMDLTCLLILNNGSDHTLDIASFGFPLNDWYNLRDNRLLWTFRGRLRLNPKIAHNVDSSHLNIFSDFYYYSTEIWKDFLPREAEEITQYSGELHLDGTKAAECCLGGHIMDYNWATHACNYWYKKKKEEVAYRRTKHGSLQLLCIRRYDEEDDFSGVEESSRAEVTDIGKEIYQTIKDLSCLLIFHNGSDDTVDTSKLGFPLYDWYIYNSNVNKVLWTFRGRLRLNPKIADNVDSSHLNIFSDYYYYSTKIWKNLLPREAEEITQYSGELHLDGTKAAECCLYLLSLNCRGGHIMDYNWATHACNYWVCDGIITVQEEKGGGSLQEDQAWELAAALHQEIRLEDYSSNRVPGFGYNLDTPPNRWFLVTQESDMKDKPTLDKTSLFIAFQSVVLLPDDMFHQANKVQEIRLEDYSSNSVTGFGYKLDTPPNRWVLVTQESDMKDKPTLETTSLFIAFRSVALLPDDIFHQANKVQVLRLCNCAFNFSGLDLSGTMIKMFDLRDIQDSCIGQIILLRCEKLCTILWPEKGFPNLSMLHIDSLVCHVETEQQQAYATAMDFRFAQSLVLRSNDKFCWNCNKTHINICNSSTPKDTTPKKKTMSYYSTQKVVGSPLHMPIVTTTQPAVCYKDVNLTMISAIDLEGSSAPRHEPLDIHVQIGEGISYANVVSEQALSARCHIERCHKLNTVFSTDYISTCFKTLEAFSAAELMMANCIWSRGRTTSYYWDSTAFAKLRSIHLHYCPRLTFVLPLSWRTPYSHLPSLETLHIVYCSELRQIFPVEADSRPIVDCEKDWWEKLEWEGMNVGHDPSLFEPRHSMYYKKALRPDALFSGLLWDQAGDAAAAQEERERLGCIVSRHFEPPMHGHCDAWPMPPNGRAVFLGLDKCQDHRTQEAGEDKSNTSSALEIFQRLWVLDICYTDWELPFPTESTREQQMTMNIREVHINMGRIWRRNFAWRRLKNLRKLRVIEPTHPWGNTGEMDEFADMLKLEILELSKNIMIQVLPSLCGASSLKTLILDDCVVLEQVGPQGLPPSLESFSFASREGNKAKISSISLAGCSSLVNFTLRYKEITWSCLKWCHIERCHKLNTVFSTDYTNIYFTRLETFSAAELMMANCIWSRGRTASPRDNSSFAELRSIHLHYCPRLTFVLPLSWPTSDSHLPSLETLHIVYCSELRQIFPVEAVALMEQPRGVLRFPKLKHIHLHDVPKLHQICEISRMVAPVLETIRVRGCWALKRIPAIDGSLRGQDSRPIVDCEKDWWEKLEWEGMNVGHDPSLFEPRHSMYYKKALPRCSLLRSNDNFCWNCNKTHINICISSTPKDATPKKKTMSYYSAQKVVGSPLHMPIVTTIQPVVNLAMISAIELEGSNSHLPSLETLHIVYCSELTQIFPVEAVALRGQPRGVLKFPKLKHIHLHDVPKLHEICEISRMVAPVLETIRVRGCWALKRIPAIDGSLRGQDSRPIVDCEKDWWEKLEWEGMNVGHDPSLFERRHSMYYKKALPRCSLLR >ORUFI04G03030.2 pep chromosome:OR_W1943:4:3584780:3594522:-1 gene:ORUFI04G03030 transcript:ORUFI04G03030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFYATSVEAAVKELIPYLEDTSSAAHQSIYFDGWGGLGASAVLRAIAENPAPSLRKKFDRIIHVDCSRWKNRRQLQRAIADQLKLPQHVMDLFDRQDEEDDFSGDEEDDFSGVEESSRAEIADIRREIYRTFMDLTCLLILNNGSDHTLDIASFGFPLNDWYNLRDNRLLWTFRGRLRLNPKIAHNVDSSHLNIFSDFYYYSTEIWKDFLPREAEEITQYSGELHLDGTKAAECCLGGHIMDYNWATHACNYWYKKKKEEVAYRRTKHGSLQLLCIRRYDEEDDFSGVEESSRAEVTDIGKEIYQTIKDLSCLLIFHNGSDDTVDTSKLGFPLYDWYIYNSNVNKVLWTFRGRLRLNPKIADNVDSSHLNIFSDYYYYSTKIWKNLLPREAEEITQYSGELHLDGTKAAECCLYLLSLNCRGGHIMDYNWATHACNYWVCDGIITVQEEKGGGSLQEDQAWELAAALHQEIRLEDYSSNRVPGFGYNLDTPPNRWFLVTQESDMKDKPTLDKTSLFIAFQSVVLLPDDMFHQANKVQEIRLEDYSSNSVTGFGYKLDTPPNRWVLVTQESDMKDKPTLETTSLFIAFRSVALLPDDIFHQANKVQVLRLCNCAFNFSGLDLSGTMIKMFDLRDIQDSCIGQIILLRCEKLCTILWPEKGFPNLSMLHIDSLVCHVETEQQQAYATAMDFRFAQSLVLRSNDKFCWNCNKTHINICNSSTPKDTTPKKKTMSYYSTQKVVGSPLHMPIVTTTQPAVCYKDVNLTMISAIDLEGSSAPRHEPLDIHVQIGEGISYANVVSEQALSARCHIERCHKLNTVFSTDYISTCFKTLEAFSAAELMMANCIWSRGRTTSYYWDSTAFAKLRSIHLHYCPRLTFVLPLSWRTPYSHLPSLETLHIVYCSELRQIFPVEADSRPIVDCEKDWWEKLEWEGMNVGHDPSLFEPRHSMYYKKALRPDALFSGLLWDQAGDAAAAQEERERLGCIVSRHFEPPMHGHCDAWPMPPNGRAVFLGLDKCQDHRTQEAGEDKSNTSSALEIFQRLWVLDICYTDWELPFPTESTREQQMTMNIREVHINMGRIWRRNFAWRRLKNLRKLRVIEPTHPWGNTGEMDEFADMLKLEILELSKNIMIQVLPSLCGASSLKTLILDDCVVLEQVGPQGLPPSLESFSFASREGNKAKISSISLAGCSSLVNFTLRYKEITWSCLKWCHIERCHKLNTVFSTDYTNIYFTRLETFSAAELMMANCIWSRGRTASPRDNSSFAELRSIHLHYCPRLTFVLPLSWPTSDSHLPSLETLHIVYCSELRQIFPVEAVALMEQPRGVLRFPKLKHIHLHDVPKLHQICEISRMVAPVLETIRVRGCWALKRIPAIDGSLRGQDSRPIVDCEKDWWEKLEWEGMNVGHDPSLFEPRHSMYYKKALPRCSLLR >ORUFI04G03040.1 pep chromosome:OR_W1943:4:3618370:3620980:1 gene:ORUFI04G03040 transcript:ORUFI04G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKPGKNTAEGPPPTRQGFPGPIRGETPGKGNFPLPIRRSLAQKRRPRAGAAVPPPVRRATTGTLPPARRAQKRGGDAAAPQQHGRTAPVEDRGEIQGGATHRRRRR >ORUFI04G03040.2 pep chromosome:OR_W1943:4:3618370:3620976:1 gene:ORUFI04G03040 transcript:ORUFI04G03040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKPGKNTAEGPPPTRQGFPGPIRGETPGKGNFPLPIRRSLAQKRRPRAGAAVPPPVRRATTGTLPPARRAQKRGGDAAAPQQHGRTAPVEDRGEIQGGATHRRRRR >ORUFI04G03050.1 pep chromosome:OR_W1943:4:3622130:3626422:-1 gene:ORUFI04G03050 transcript:ORUFI04G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGVVLSILSKLQSLGFCAHLRIGDAAASGPPSDLFDTVLAAFLREVYPGGREVRPLPAKLGDGSRVDLLRLFSAIRAAGGYAATSSSPAVWASAAESVCLDATLAAPVKLIYHKYLAALDRWIQRLVEAHGPFLDGNDGRKKPEPFFDSNGRENEEPLLECNGGDLQHPILKRKREDMVGMLDWVRELAENGGEAGTMAAGSANGYYSLALAVRKAVFAKRARRSSMTMNGALMQEIFPMDCKCCMSSSTTGIDTQEKCSKKIQLVIPQAGSDINELINVVENINVPSIGMEQENNIIGQAKYESRKHHNSDNWLFTSQQRNKIPVGSEFQAQVPQWTGELPVSYDNAETRKWLGTKVWPLENGNRKLSYFCNPVGKGREGVCGCNLPGSVECVRFHVAERRLQLRRELDSAFYAWGFDRMGEEIALSWTDKEEANFKACVQLNAPSSGRNFWKRLHMLFQSKGRKELVSYYFNCFLLRRRCYQNRMTPNNIDSDDEDETEFGFLGNRLGHNATKYDSSKYTLCIESTHCMDLNQ >ORUFI04G03060.1 pep chromosome:OR_W1943:4:3642585:3646526:1 gene:ORUFI04G03060 transcript:ORUFI04G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMETELCSSRVLSPPRYESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEPPTGNEDDDDIDGNNSFCSSSDMGDKDMDYSSIEYHKPTKPRVRHTRPWSSCIKSSNRGNFHPSTKLRTRVNLTKLGTPTLWRYWKHFNLVSMNPNPSKEQLFHGVQQHFQSQVILGFIQAAKRLKTLYRS >ORUFI04G03070.1 pep chromosome:OR_W1943:4:3656158:3658136:1 gene:ORUFI04G03070 transcript:ORUFI04G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPSLRDLSDELVGEILVRLPPDDPACLLRASLACKAWRRILADRALRRRLHRAPPVVGFLRIGMGEGDMRYGSRYTPNNGAASSRRPAAAGSRDLPLWLVLDCRHGRALFATPCPRRAAAATTSLGHDLVVWDPFTNEHRRLPQPSSPLAITGRGFNAAVLCAAGGGGGGCDHRSCHGGPFLVALIWSHSPSPFLPHLPGATSARVYSSDTGEWSDTTTVEHHDVFYYLEDRSPLPCRSVLVGDTLYFTWSSTHAFELRLGGGQRRLSIVYGPPRPPPLIESSSPIFMMSMGDDGVLRCVEVEPEDEKLCLRLRLRLWSRNVDDNGVAQWIRGRAIELEPLLPDGALQTPWIPSSVQLLGAVEGTDVIFVGTHSPDHPAAVYMVQLNSRRSRKVFDKCTSVVPYTSFRIPGIDAASTSEGAREGASSA >ORUFI04G03080.1 pep chromosome:OR_W1943:4:3658278:3670483:-1 gene:ORUFI04G03080 transcript:ORUFI04G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPDDGCSGGGAERAGGDVGDAGGGNDASNQIWLAVVAAADGGNDGGGGCGDIDCGGWLARQRPWWSRRRGGGRAASWVARPAVQASWRAVVWSRGRPHGARRRRQQVLVAASCDGGRLVAMNVATVDVAVAEEVRMATASIFLGIILFLSMAAPAERRSEPDWSSGLPRKLLEFIAKKLPSGRDAASFRLVCSPWCAALPLETFYPMLMLPFDPDSPDGDAAVVTFYCAMDDDDEATIDLRLPEVRGKVACGMSHGWLALMDGAAAVTLLNPFTGAAVALPPADRNVAMASYKTVSMVDGAWILHYISGATKPIKLSNMRDVFFREIVLSASPRNSRGVDCMAMAVLASSTLVAFCRLGDARWTLVDSKLEYPVTCVVHCRDRFVAIGSLGEISIFSVDNTDGVAPLTASLLLLMPPPAHICQRSYMDINGELYLVGAILRVTTWTRYEIVVYKCNLLDENPLWSKVEDSEDIAFFVSKYFNTGFGVASTSNIRWSCVYLSEPRFCTHEDQKCTVDSYLEMVDINTNESALQAYRPTKDQKRSMHSQSETEHNESPGLKLCNPRRMAVHSAEKESQRSSGILYGEQYATLSPNVKHAGEILCGFNPISGDEARIFALYYSRVYVSKNSEDIAFFVSKNFNTGFGVASTSNNGTAFTYLNLGRVLIKIKKAQSTIYYLEMVDINTNELELQAFHPSISGFRGSMLDSSESLELSIAGFSGGRMNDAENSKRSEEKNAFPGSRLYVDLTQSPEMSPPSSPRGMAVDFKRTTMGLAEFIYAQPDIKGNQPRVGRTR >ORUFI04G03090.1 pep chromosome:OR_W1943:4:3686418:3687494:1 gene:ORUFI04G03090 transcript:ORUFI04G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTRSTLIAFFWLGDSKWTLVDSKLEYPVTCVVHCRDSFVAIGSLREISMFSGNNTDGVALLTASPSLLMPPPAHIYQRSYLDMNNKMHLVSTILRVRYEIVTYKWFVAISSLKEISIFSGSNSDGVAPLTASPFLLVLPFAHIYQHSYLDMNGERYLFNGCHFACDQLDKNSWCSKVEDAEDTSFFVSNISISNWNRVYLYEPMLCHQDQEDTNDRHLQMVDITDIRFASLQPHHSCPCISPDTNVTFYPAMTRGGPRIGPQF >ORUFI04G03100.1 pep chromosome:OR_W1943:4:3691445:3693553:-1 gene:ORUFI04G03100 transcript:ORUFI04G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVRSPLDWSSGLPRELLEIIAKKLPSGHDAASFRSVCSPWRAALPFARFAPLLMLPFDPTPTSPSPPEEKTVSFYSLVEEKTVSLPLPELHGKVVVGASRGWLALVDEAAAVSLLNPFTGSRVALPPADQRVAAASSRSVEMVGVGNDGGGRWVLRCSSGDVSPVTLDKMREVFFSEIVLSTTPGSYGCAAMAVLADSSEVAFCRVGDTAWTLIETNVECCVSAIVHCHGSFLAIGCLGEVSIINIAGDNDATPSPARPVSSLPEPAQMCHRSYLEVNGELHLVGVAIRAFHGEWPFGHHAVVYKCDLAAGETPVWSMVTDAGDMAMFMSKNFNSGFGGASVSKIKSNCIYLSEPTYGSDHSPELVDISTGMSEKIACPTMKGSEALCWIRPNLWT >ORUFI04G03110.1 pep chromosome:OR_W1943:4:3720724:3724368:-1 gene:ORUFI04G03110 transcript:ORUFI04G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWPVSGSPATTNPIPRTRSIDGCFRRATPAAAAPAVPCVTLNSGHAMPVLGFGTGSSTPPADLAATIAHAVRLGYRHLDTAAVYGTEGAVGAAVAEAVRCGAVASRGELFVTTKLSMADAHPPRVVAALRESLSRLGLDYVDLFLIHWPVAIGKKDAAGELTWDDLSRGLVPFDMEGVWRGMEECHRLGLARAIGVSNFSAAKMSRLLALAAVPPAVNQVEMNVGWRQEKVREVCGEGGVVVAAYSPLGAHGAHWGSDAVMNSGVLHDVAAARCKTIAQVALRWLYEQGVCMVARSFNEGRMKQNMDIFDWELSDQDKAMIAGVPQRRACHGNYFVSPDGPYKSLHDLWDGEI >ORUFI04G03120.1 pep chromosome:OR_W1943:4:3727093:3732825:-1 gene:ORUFI04G03120 transcript:ORUFI04G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASAGVNMPGGDGDHPPAAAQECHRLRRRRYVPAAAAASEDGDNSSNGGGEKRSLPASSASPSPSPTSSAASSDCSSDRDDDGCSSTAGAAARRLPLPSGASTAAAVWPVAFGSVSLAGRMRDMEDAVSLRPSFCTWLDGSPMHFFAVFDGHGGPHVSALCREQMHVIVAEEMVAEAAALRQRQPAAMEEEEERAWRAALSRSFGRVDALAAVACACGRATVPACRCPLSGQTGAIIGSTAVVALLVRDRLVVSNCGDSRAVLCRAGDPLPLSSDHKPDRPDEKARIEAVGGRVVYLNGPRVRGILAMSRALGDKYLKPEVICEPDITITVRTVDDECLILASDGMWDVISNETASDVARQCLEDGSPTSGRRAAGSGEAASSSAGAPAAAVGQESEPRCYRAAALLARLALGRESSDNISVVVIDLKGRG >ORUFI04G03130.1 pep chromosome:OR_W1943:4:3734036:3736477:-1 gene:ORUFI04G03130 transcript:ORUFI04G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTEYMIHRCASIKIEIYRRSKERVVLMCKRSLYSSRGYRLRKYRQDIKGKGWSAAIRHNVLLRLLPFSHRLPLSRWLFLYFATPPSLRLSPYIAATASGGRGGGDWLWAATAAGLGSGDHGSSGFSARGNRRCGSIGGGLGRGGSAAEEVGDGDAGSTARGHGKGRSTGVGLGRGGSAAEEVGDGDVGSSTRGHGRGRSAAEEVGHGDARSTARGHGRGRSIDGSLERGGSATEEVEDGDAGSTARDHERGGSTGNGLGRGRSSAEEVGDGDAGSTARGHGRSGSTGGALGRGRSIAEEVGDGGSSVVEVGNNV >ORUFI04G03140.1 pep chromosome:OR_W1943:4:3753980:3754228:1 gene:ORUFI04G03140 transcript:ORUFI04G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSSSSLVSSLLSLPFRRPRGARAGAAAGAELATATEVGAGAAGGEIDRPCKCVSPGPGSLTGLDRHGAARWLRPGRPG >ORUFI04G03150.1 pep chromosome:OR_W1943:4:3756498:3756977:-1 gene:ORUFI04G03150 transcript:ORUFI04G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSAREHGHGHLHQQHQRPWSCSFGFSWPPLQRSPPPSSSSSSYTCGYCRREFRSAQALGGHMNVHRRERARLRQFPNPRPHPLPNLNLSPPPPPPPPPPQQQQCYCPGDRPAIVYSFFSTAAAAMAATKGLEVDLELGVGGGMEEGLDLELRLGCS >ORUFI04G03160.1 pep chromosome:OR_W1943:4:3773788:3774318:1 gene:ORUFI04G03160 transcript:ORUFI04G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRGGRANRKEGEDELRGWLMLLATLTASITYAAALNPPGGVWQADDAAKDFVAGYPVLLDKSPWRYYVFYYCNATSFASSVCIIVLLATNFYLSHTSVMVFNVLVALDMASLGAAFVAGSSSSKRFTAFNAGLMVCLVVLFLLWKLKFLMGNDQAGQNPASGGVANLQHGNSAL >ORUFI04G03170.1 pep chromosome:OR_W1943:4:3777982:3778924:1 gene:ORUFI04G03170 transcript:ORUFI04G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPASTPSRPPPLPPRRAAAASMPPDLAEVRSGRPPTHCPTHRLYVDVAVAATARDGGGSRHPASVARSPAAAEDDGDRVILTVRLNPTALNASKQTWATWAMCT >ORUFI04G03180.1 pep chromosome:OR_W1943:4:3784672:3785112:-1 gene:ORUFI04G03180 transcript:ORUFI04G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAQQKRAQNGPTKHQTLIPLPDPLLLTLGARCSTSLAARVCLVSAVRRFPAPPSDGIPALLPPAPLACERPVQPPPVGIPAPCTPASTNAATCRQHRPQLRVFPRAVSKLEAPVGNTKLRPQTSQAEPSQFLARRILMSQTELA >ORUFI04G03190.1 pep chromosome:OR_W1943:4:3802326:3802814:-1 gene:ORUFI04G03190 transcript:ORUFI04G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSNRGQVVIASLSITPDSLSNNTIKELALKYKINIQEQIEENIKIPKSIISLLPLDPPPPLVLSSSQPAPCSWSRIRPPTSMHQQIQPPLSRVDEGRRGGRDQAMMTRRTGPTPRPGRARPRLGRRVTSSSLAAQDLIESSLSGSRSSAFTSLRHNTLSS >ORUFI04G03200.1 pep chromosome:OR_W1943:4:3807111:3815133:-1 gene:ORUFI04G03200 transcript:ORUFI04G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMARLTSVEWSGGGVVHARENMKWRPEETALTGIPYLDSPETSASIEVLLSGICKLELEGR >ORUFI04G03210.1 pep chromosome:OR_W1943:4:3815165:3815416:-1 gene:ORUFI04G03210 transcript:ORUFI04G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGHGFVKLPPLEDQLCFDADASAANGLAYYSTAAIRLLGGANGGVIGSDDDLWSFMQSAPPAPLPSAPLFPSHTATAASRP >ORUFI04G03220.1 pep chromosome:OR_W1943:4:3821183:3825536:1 gene:ORUFI04G03220 transcript:ORUFI04G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIPSLWIRVFFSWLLLSLPAAAAADFSHCGGCDDGDGGGGIWSTDNILQCQRVSDFLIAMAYFSIPLELLYFATCSDLFPLKWIVLQFGAFIVLCGLTHLITMFTYEPHSFHVVLALTVAKFLTALVSFATAITLLTLIPQLLRVKVRENFLRIKARELDREVGMMKRQEEASWHVRMLTHEIRKSLDRHTILYTTMVELSKTLELQNCAVWMPSESGSEMILTHQLRQMETEDSNSLSIAMDNPDVLEIKATKDAKVLAADSALGIASRGKLEAGPVAAIRMPMLKASNFKGGTPEVMETSYAILVLVLPEDGSLGWGEEELEIVEVVADQVAVALSHAAVLEESQLMREKLAAQHRDLLRAKHETTMATEARNSFQTAMYDGMRRPMHSILGLVSMMQQENMNPEQRLVMDAIVKTSSVASTLMNDVMQTSTVNREYLSLVRRAFNLHSLVKEAISVVRCLTGCKGIDFEFEVDNSLPERVVGDEKRVFHIVLHMVGTLIQRCNAGCLSLYVNTYNEKEERHNQDWMLRRANFSGSYVCVKFEIRIRESRGNLLSSSSSRRLQGPNSTSSEMGLSFNMCKKIVQMMNGNIWSVSDSKGLGETIMLALQFQLQHVTPVSGASSDLFRSAPIPNFNGLQVILVDSDDTNRAVTHKLLEKLGCLVLSVTSGIQCINSFASAESSFQLVVLDLTMRTMDGFDVALAIRKFRGNCWPPLIVALAASTDDTVRDRCQQAGINGLIQKPVTLAALGDELYRVLQNN >ORUFI04G03230.1 pep chromosome:OR_W1943:4:3832107:3835796:1 gene:ORUFI04G03230 transcript:ORUFI04G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEQLMAPETAAMTVTTKLLAQELTKDLQVNSRRKFEKWDIAITTSEPNINTMLIAIGICNISCFPNDVVPEDHINDSDQSSTLAGCSACCDHATTSENTEDQICISIHVAPFHSSVVPEDHLNDSDQSSTIAGCSTCCDHAMTSENTEDQRFQSIANNMRLVDLKLRMVWFDTFGLHTRIFHVLQLSITHLQRRKGSEENL >ORUFI04G03230.2 pep chromosome:OR_W1943:4:3832105:3835873:1 gene:ORUFI04G03230 transcript:ORUFI04G03230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEQLMAPETAAMTVTTKLLAQELTKDLQVNSRRKFEKWDIAITTSEPNINTMLIAIGICNISCFPNDVVPEDHINDSDQSSTLAGCSACCDHATTSENTEDQICISIHVAPFHSSVVPEDHLNDSDQSSTIAGCSTCCDHAMTSENTEDQRFQSIANNMRLVDLKLRMVWFDTFGLHTRIFHVLQLSITHLQGPGVEAMQTCIMLMSS >ORUFI04G03230.3 pep chromosome:OR_W1943:4:3832105:3835872:1 gene:ORUFI04G03230 transcript:ORUFI04G03230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEQLMAPETAAMTVTTKLLAQELTKDLQVNSRRKFEKWDIAITTSEPNINTMLIAIGICNISCFPNDVVPEDHINDSDQSSTLAGCSACCDHATTSENTEDQV >ORUFI04G03230.4 pep chromosome:OR_W1943:4:3832105:3833907:1 gene:ORUFI04G03230 transcript:ORUFI04G03230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEQLMAPETAAMTVTTKLLAQELTKDLQVNSRRKFEKWDIAITTSEPNINTMLIAIGICNISCFPNDVVPEDHINDSDQSSTLAGCSACCDHATTSENTEDQICISIHVAPFHSSVVPEDHLNDSDQSSTIAGCSTCCDHAMTSENTEDQVGLLYFILVAL >ORUFI04G03230.5 pep chromosome:OR_W1943:4:3832105:3833907:1 gene:ORUFI04G03230 transcript:ORUFI04G03230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEQLMAPETAAMTVTTKLLAQELTKDLQVNSRRKFEKWDIAITTSEPNINTMLIAIGICNISCFPNDVVPEDHINDSDQSSTLAGCSACCDHATTSENTEDQDHLNDSDQSSTIAGCSTCCDHAMTSENTEDQVGLLYFILVAL >ORUFI04G03240.1 pep chromosome:OR_W1943:4:3851319:3853004:-1 gene:ORUFI04G03240 transcript:ORUFI04G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEPLVHKVLSMATSSSSSRKVKPVTTSSGKGGAAAAAAAAVEGVVDDGRVGILSFEVANAMSRAANLYRSLSDAEAARLLGPLCLGSQAVRALVPGDDARLLALALAEKLDALNRVAAVAARLGRRCTLPALLGFDHVYADLLAGRSDAAFAAASHSEATALVRKLDRLAAATAALYAELEALADLEQSARKLPTDEARRALEQRTRWRRHDARRLRDSSLWNWTYDKAVLLLARAVCAIYDRIRLVFGDPMRGLDLLAIGRSSRQCDQSRQLSGPASANNSGHVRTNFGDTKSGPIARIDMDTPRSVNFRSNCGASPGKMFMECLSLSSSVSWKDGFEDEFLEDASCISTIRSGMLLPFSGEQGVSTMATKSGKVGRRARFGPKSTVTSLAPPSTIGGSALALHYANIVIIIEKLLRYPHLVGEEARDDLYQMLPSSLRAALRKSLKTYVKSMAIYDAFLAHDWRETLEKTLTWLAPMAHNMIRWQAERNFEQQQIVLKGNVLLLQTLYFADREKTEAVICELLVGLNYICRYEQQQNALLDCSSSLDFDDCMEWQLQ >ORUFI04G03250.1 pep chromosome:OR_W1943:4:3872027:3872353:-1 gene:ORUFI04G03250 transcript:ORUFI04G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFITESNILQQAQQAHYNTRTDVADRCLCKGTEKPEIARGQARRPYPAAPELGPTECSVGAAAAAREWGGHRCSRVGGYRRRERCSLVRNAPSGLKSGEDFTTACR >ORUFI04G03260.1 pep chromosome:OR_W1943:4:3877038:3877361:-1 gene:ORUFI04G03260 transcript:ORUFI04G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGSLLYVLHGDQRPDRVVLDWPARMRIAVGVVRGMAYLHEKLGIPAMRLVSMDGADFDAIHSCRRGRLTSLAV >ORUFI04G03270.1 pep chromosome:OR_W1943:4:3888729:3893808:-1 gene:ORUFI04G03270 transcript:ORUFI04G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCILIIYYNIYQTSKRCTAGIPEVEAHPPKASPQATTVMRDQHKMFARELETIKLRVCWSLRRIPAVVDSRHQDSRPIVDYEKDWWEKTGHPNQAIRDGGKRPVTKKVIFVGPQKASSKMARERQNLSPLGPFQNRREHTTAASQGRALPPPPPPTAQTHRLHRRPPELALRSPADMVGRPRCRRPGRRAVRRLHRVNERSREGKGKNCRSRACGHPSSQPFLDDPSVCPMHHHSSLIHGGGSKKCGGERRLG >ORUFI04G03280.1 pep chromosome:OR_W1943:4:3900695:3909268:1 gene:ORUFI04G03280 transcript:ORUFI04G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPSSVNMREQINIIIISIAMTILLLVVFFCRMLGNMEGKNMRKKQPKLPPGSATMPVLGNIQQILMNKPVFRLLQGFSWSISPGANRIELQESSHTNMFFPSTANMREQNNTIIVSIAMTILLLVAFFCRIKKQAAMAAKNKRKKQPKLPPGPATMPVLGNIHQILMNKPVFRWIHRLLDEMDTEILCLRLGSVHVIAIASPEMAREALRKNDAVLTSRPVSFAWRAFSFGYKNTTGSTGDQWKKMRRVLVSEILSSAMERRMLGQRVEEADHLVNYVYSNCNNGTVDVRHVTRHFCGNIIRKLVFGRRHFDSGAGNIGPGRDEEAHIDALFTALDYLGAFPISDYFPSLVLNGLMNTFRRLHDPIIMLRMEEWRVLRRNGDERREVADFLDVLISLEDAQGKPLLSLDEVKAETLEIILNTVDNPSNAVEWALAEMVNNPKVMKKAVDELDMVVGKERLVEESDIHSLTYLKACIREAFRIHPYHAFNPSHVAIADITIAGFMIPKGSHIILSRIGLGRNPRAWDNPLEFRPERHLKNTDNVVLAEPELRFISFSAGRRGCPAVSLGTSITMMLFARLLQGFSWSISPGANRIELQESVTSLQLSKPLLMQAKPRLLLHLYEMDIAKQG >ORUFI04G03280.2 pep chromosome:OR_W1943:4:3900695:3909268:1 gene:ORUFI04G03280 transcript:ORUFI04G03280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPSSVNMREQINIIIISIAMTILLLVVFFCRMLGNMEGKNMRKKQPKLPPGSATMPVLGNIQQILMNKPVFRWIHRLLDEMDTEILCLRLGSVHVIAIASPEMAREALRKNDAVLTSRPVSFAWRAFSFGYKNTTGSTGDQWKKMRRVLVSEILSSAMERRMLGQRVEEADHLVNYVYSNCNNGTVDVRHVTRHFCGNIIRKLVFGRRHFDSGAGNIGPGRDEEAHIDALFTALDYLGAFPISDYFPSLVLNGLMNTFRRLHDPIIMLRMEEWRVLRRNGDERREVADFLDVLISLEDAQGKPLLSLDEVKAETLEIILNTVDNPSNAVEWALAEMVNNPKVMKKAVDELDMVVGKERLVEESDIHSLTYLKACIREAFRIHPYHAFNPSHVAIADITIAGFMIPKGSHIILSRIGLGRNPRAWDNPLEFRPERHLKNTDNVVLAEPELRFISFSAGRRGCPAVSLGTSITMMLFARLLQGFSWSISPGANRIELQESVTSLQLSKPLLMQAKPRLLLHLYEMDIAKQG >ORUFI04G03290.1 pep chromosome:OR_W1943:4:3911441:3912153:1 gene:ORUFI04G03290 transcript:ORUFI04G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGGGGGSGTRRTSIVEERRWDRSIHGGRGIDGRDANLARHGCGRGVGGWEVRRLHFYRRAAVGVTKADPAQHGCGHGDDGQLRAGGATAPPPSLIGGGRDVDGSSAARNFATRNFLNLTCHQRKNNRAGQRQCQAEWPKRRWHRAKRRWGLALVDASALPSPHPPKQR >ORUFI04G03300.1 pep chromosome:OR_W1943:4:3921223:3922530:-1 gene:ORUFI04G03300 transcript:ORUFI04G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVVTKSSPSVLVRPSEPTPAATIRPTSTDMTRLGMSFTSIHVFERRVDEPAETIRRALSRALVHYYPFAGRLAGGSDDGHDVVFSCTGEGVAFVRATANCTLEDVNFLGAPVVMPLADLAVRYGGPCRAASDPLMMMQVTEFACGGFVVAATWNHGVADACGLAQFLRAVGELARGLPSPSVVPVRYDESLPDIPQLATILLKRLAAGVKFEHVDFAYCDVIIPWSFVNSVKAEFGSRHAGDRPCSVFEAVTAAMWQCRTRAINGHGSGGAALAPLVFAANVRKHVGAKDGYYGNCIMSQVVVATADAVANGDVVDLVKLIKEAKERIPVLLSTKTLGLDDDGDDDGGGELVAALCGYGVLYASSWAGLGLDGIDFGGGRPARVIPDSEVKMLPSISPCAPCSMKDGHGVNVVASCVTDEHLEGFRAQLARLQ >ORUFI04G03310.1 pep chromosome:OR_W1943:4:3936477:3937154:-1 gene:ORUFI04G03310 transcript:ORUFI04G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTSTTTKAMAMAAAVLAAAAVAATNAQTCGKQNDGMICPHNLCCSQFGYCGLGRDYCGTGCQSGACCSSQRCGSQGGGATCSNNQCCSQYGYCGFGSEYCGSGCQNGPCRADIKCGHNANGELCPNNLCCSQWGYCGLGSEFCGNGCQSGACCPEKRCGKQAGGDKCPNNFCCSAGGYCGLGGNYCGSGCQSGGCYKGGMAAILSSNQSVSFEGIIESVAELV >ORUFI04G03320.1 pep chromosome:OR_W1943:4:3937613:3940362:-1 gene:ORUFI04G03320 transcript:ORUFI04G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSPPPTALAAGSDLHEAGDAQMPPPPSPPTGHLAAVECLFEIVRRLPDGCERGASTCVSRSWFVMEDDELSAKYYKMKSNEVS >ORUFI04G03330.1 pep chromosome:OR_W1943:4:3953203:3955724:-1 gene:ORUFI04G03330 transcript:ORUFI04G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKNNDTIMPSQLIAQNDHAYVLTFLMSIAMAILLLVALFYRIKKQAAAMAAKRKQQPKLPPGLATMQVVGNMHQMLMNKPVFRWIHRLLDEMDTEILCLRLGGVHMIAVASPEMAREVLRKNDAVLASRPSSFASRAFSFGYKNTIMSLAGDQWRKMRRVLASEILSPAMERRMLGRRVEEADHLVNYVYSNCNNGTVDVRHVTRYFCGNIIRKLVFGRRHFNSGDGNIGPGHEEEAHIDALFTALDYLGAFSVSDYFPALVVNGLMDTFNRLHDPIIMERMEEWKSLRTKGDKRREVADFLDVLISLEDAQGEPLLSLDEIKAETLEIILATVDNPSNAVEWALAKMVNNPKAMKKAVDELDMVVGRERLVEESDIHNLTYLKACIREAFRLHPYHPFNPPHVAIADTTVAGYMIPKGSHVMLSRIGLGQNPRAWDKPLEFRPERHLKSAGTVVLAEPELRFVSFSAGRRGCPAVSLGTSITMMLFARLLQGFSWSIPPGGDRIELQESATSLQLSKPLFMQAKPRLLLHLYEADILN >ORUFI04G03340.1 pep chromosome:OR_W1943:4:3962802:3967664:-1 gene:ORUFI04G03340 transcript:ORUFI04G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPLTLAAVGWGISTAGWIISPVVTKLVNYCASSLGGFDASKKLRDLETFILPRLVVALEALEKSRRHELENLVRELKSALYEVEDILDEAEYCRLEKQVSRWKKDKKRKFAACYEAGPSNQDVCKESSHTIPGPSRITLKELLKNIEKLIDEAEKIVGVARIMENDAHIPNVTSNYIQKPTTALHQNKKTTTSNPPLKVTGRDMHREQLKSMLRAIEHDDTCYSVIGIHGIAGSGKTTLAQYVCKAEKNDTYFDLVMWIHVTQNFSVDTIYREMFEAASENKKPCPAYNSLDVLQIELMGVLHGKRFLLVLDDVWYNEYDHKDQELQQVLSPLEVGKRGSKILLTSRRALPGIGDVSCTPYPLPEMDSEDFFQLFMYYALGGTTIDARDARKLIGKQIAKKLKGSPLLATIVGQRLRTHKDVKYWIEFNAREHLDDVMDFLWWSYQHFDEEVRRCFAYCSIFPRRYKLKRDEIVKLWVIQGFINAPKGEEKEVAAQRYFDDLLSALFLRPLHDHNPDHYPSKYFAMHDLLHDLAKKVAGSECFTIKNGGTEVVPQDVRHLFVEISGEENISKVLELEKLRTLIITRTGLARKLTHVEEFESVFAKLPKLRVLIMEVTCWFQNYEKVIFVPISIGRLKCLRYFSFSVWHMNNVELNLPHTFSHLYHLKVLDVEFRKLTFSSREDTSNLINLQHVITYRKALDFPYIGRLESLQTLKHFTIKKEKGYELYQLKLLNKLRGTLNIYGLENVSSKHDAINAELHEKVYLTKLELIWSRHTGTSAEERYLQLEVLEALHPPMHLQGLVIHNYNGSCYPGWMMRGGSEVPMCLQDLMLVSCTKLASIPKHSVIFSHLHTLRIFACTWDSLPDNMENLELLVELQIDYCNYIRSLPEVLPPSLEKLRVMAGCYRIRSLPILPQSLVYFELSSFHRELIRSCETPGDRNYENIRHIPNKKIKYLHVSYSSCSEGKAFKPFVKLRLGCFTIFLSVVESIYLDCSSF >ORUFI04G03350.1 pep chromosome:OR_W1943:4:4026317:4027541:-1 gene:ORUFI04G03350 transcript:ORUFI04G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKSIVVVVFALFAVAASLPPSAAARDAQVFKPTVAVDAVRQEQQQQQQPAVNAIADVSQPSTSLVGLPGLPPLPPLPTIQIPGLPPLPQLPAIQIPGLPPLQPLPTIQIPGLPPLPQLPTIQIPGLPTLQPIPAIQIPGLPPLQPLPTIQIPGLPPLPQLPTIQIPGLPPLPPLPSIPITPGSPGAAEEIPINSQSALATTSNTPQVITKCLSSLMQLMPCMEYLTKADEPAPPSICCDGFKSLVEKAPICLCHGINGDISKFMPAPIDFARMMSLPATCGVAPPVQALTKCFTGPVPPLMPAPTPAAAPSPGPSA >ORUFI04G03360.1 pep chromosome:OR_W1943:4:4043251:4045431:-1 gene:ORUFI04G03360 transcript:ORUFI04G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVLYDKQQQQREAVVGPGELIGLGREGRVKEAVEKLDKGARADPQAFYELAAACSNPKLLEELRKIHDYFLRSPFRADLQVNNKMLEMYAKCAAMNHARRTFDHMPDRNMDSWHIMIDGYAVNGLGDVALQLFEEMKTKYGIAPTAHTFTLVLNACANSEAIEEAFLYFDAMSRDHGIEPGVEHYVGIIEVLGKSGHLNEAVEYIEKLPFEPTVTVWESLLNLARMNGDIDLEDRAEELLVSLDPTKVNPKKLPTPPPKRRLGINMLDGRNKLVEYRLPPKIEKKVVNEQRYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >ORUFI04G03370.1 pep chromosome:OR_W1943:4:4051850:4060451:-1 gene:ORUFI04G03370 transcript:ORUFI04G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHARLHFLLFLVSASADCLLRPPLRLRRTSAPLRMSKRLELVCECLKHEVRCTAKCRCIECGNGLGIKRGK >ORUFI04G03370.2 pep chromosome:OR_W1943:4:4051813:4060451:-1 gene:ORUFI04G03370 transcript:ORUFI04G03370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHARLHFLLFLVSASADCLLRPPLRLRRTSAPLRMSKRLELVCECLKHEVRCTAKCRCIECGNGLGIKRGIENEKRAMVMRLNVI >ORUFI04G03370.3 pep chromosome:OR_W1943:4:4058829:4060451:-1 gene:ORUFI04G03370 transcript:ORUFI04G03370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHARLHFLLFLVSASADCLLRPPLRLRRTSAPLRMSKRLELVCECLKHEVRCTAKCRCIECGNGLGIKRGIVSC >ORUFI04G03380.1 pep chromosome:OR_W1943:4:4052336:4058097:1 gene:ORUFI04G03380 transcript:ORUFI04G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGSSSSGGWGSRRVEYGRTYIVRPKGRHQATIVWLHGLGDNGASWSQLLDSLSLPNIKWICPTAATRPVTAFGGFPCTAWFDVEDISVDGRDDIEGLDASAAHIANLLSSEPPDVKLGIGGFSMGAAAALHSAACYAHGKFANGMLYPITLSAVISLSGWLPCSRTLRSKTESSHMAARRASSLPILLSHGRVDEVVSYRNAERSVDTLRNSGFLYLNFKSYNGLGHYTIPEEMDDVGKWLSSRLGLDRSR >ORUFI04G03380.2 pep chromosome:OR_W1943:4:4054871:4058097:1 gene:ORUFI04G03380 transcript:ORUFI04G03380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAALHSAACYAHGKFANGMLYPITLSAVISLSGWLPCSRTLRSKTESSHMAARRASSLPILLSHGRVDEVVSYRNAERSVDTLRNSGFLYLNFKSYNGLGHYTIPEEMDDVGKWLSSRLGLDRSR >ORUFI04G03390.1 pep chromosome:OR_W1943:4:4063687:4065536:-1 gene:ORUFI04G03390 transcript:ORUFI04G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKDFLYYDLGVYCPCFSAYGYCSQNCHCTNCKNREYYEDFVEERVDMIKMKNPRAFDPKIVRVQDASEIEPHSSNAVPMPEVQVPRTFV >ORUFI04G03400.1 pep chromosome:OR_W1943:4:4067796:4068236:1 gene:ORUFI04G03400 transcript:ORUFI04G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAGVVDAAEDVVKPAAARQPIRRQGSLRLRALAPGSIDVRAGGAGDGSAVSEYCHDAAAEVIPLLTPLHAVPAAPAASDQVSGGRTARHLTEVIGGGGRCVAVEKTRLPAWWWHPAMPPFANDQPASASASAPAVGFGFQNCV >ORUFI04G03410.1 pep chromosome:OR_W1943:4:4078281:4079597:-1 gene:ORUFI04G03410 transcript:ORUFI04G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVVITKSSPELVGLSTKPAPPPPGDISLSSFDEALAFAAFTSFHIFTNGIVEPAMAIKRALSQALVYYYPIAGRANFAVGAAGERRLRISCTGEGVGFVAATASCALDDVRLFDPPFAAVLKELAVEYAAAEGGCGEDDPLLLVQVTEFACGGFVVGVTWNHVVADGLGIAQFLQAVGDLARGLPRPSVFPVSCGDGSLPALPPLVAAIEKTMLSLETKRFSYLDITIPSTMIERVKAEYAAAAGDVDSGEPCTVFEAVTAALWRSRTRAVISSDDPDAPAPLVFAANARKHVGAEEGYYGNCVTSQVAVPTSGEVANGDLKHVVRLIRRAKEEIPLQFKNAGGVGGGMNGKRVEQLAGVLFGYSAFYVASWRNIGFEAPDFGGGRAARVMCHFEPTGVPSCVACLPRDGGGASVLSLCVRDEHVDAFLAELATLG >ORUFI04G03420.1 pep chromosome:OR_W1943:4:4089411:4092336:1 gene:ORUFI04G03420 transcript:ORUFI04G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYTSRAPASGGIAAGDDDEEAACLQAFELMCIFTVPMTLKAAIELGLLDALAAAGDGRALTADELAAARLPDKAEAASSVDRMLRLLASYNVVKCSTEAGPGGEPPRRRYSPAPVCRLFTGGGNSHRGSLAPSVLFGVDEDYLCTWRQLAAAVGGGGPSAFERTHGMRMFEYMGTNRRLNTLFNQAMAQQSMIVINKLLDRFHGFDGVGVLVDVGGGTGATLEMITSRYKHITGVNFDMPQVISQAPSIPGVKHIAGNMFEGISNIGDAIFLKMILHMQNDEDCIKILKNCHQALPDNGKVIAVEIILPTIPELAQTARYPFQMDMIMLSNFRGGKERTELEFAKLATDSGFRGALRTSYILANYWVLEFSK >ORUFI04G03430.1 pep chromosome:OR_W1943:4:4109786:4110978:-1 gene:ORUFI04G03430 transcript:ORUFI04G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDNVVIDGGGRRWLRRRHCFGPRYRMLGNTLTSKEVFTWSYICTSCSMWLAAEYRVESASDGGCLLFFLLLVISIDMNENMMDGCYCATSSSSLYHTATSFHNSIVDYCSPPGMASYDVEAFMRVNLLLLNEQMWEAGSK >ORUFI04G03440.1 pep chromosome:OR_W1943:4:4113409:4121277:1 gene:ORUFI04G03440 transcript:ORUFI04G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGISRTLATGVAAAGGDDEEEATWLHALELISGFTVSMTLRAAIQLGLIDALTAAATDGRALTAGELVAQLPAVDDAGAASSVDRMLRLLASFNVVRCSTEAGPGGDPLRRYSPAPVCRWFTAGDNHQGSLAPRLMLDVDEDNLSTWHQMAAAVVSGGPSAFERAHGMPLFEYMGTNHRFNMLFNQAMSQQSMMVMNKLLDRFHGFDGISVLVDVGGGTGVTLKMIISRYKHITGVNFDLPHVISQAPSLPGVNHVAGNMFESVPKGDAIFLKSMLLRNDNGKVIVVDIVLPATPKPVPEAQNPLRMDVMMLNNLRGGKIRTEQEYAKLAMDSGFSGSFRTTYIFANFMAIELCK >ORUFI04G03440.2 pep chromosome:OR_W1943:4:4113409:4121277:1 gene:ORUFI04G03440 transcript:ORUFI04G03440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGISRTLATGVAAAGGDDEEEATWLHALELISGFTVSMTLRAAIQLGLIDALTAAATDGRALTAGELVAQLPAVDDAGAASSVDRMLRLLASFNVVRCSTEAGPGGDPLRRYSPAPVCRWFTAGDNHQGSLAPRLMLDVDEDNLSTWHQMAAAVVSGGPSAFERAHGMPLFEYMGTNHRFNMLFNQAMSQQSMMVMNKLLDRFHGFDGISVLVDVGGGTGVTLKMIISRYKHITGVNFDLPHSMLLRNDNGKVIVVDIVLPATPKPVPEAQNPLRMDVMMLNNLRGGKIRTEQEYAKLAMDSGFSGSFRTTYIFANFMAIELCK >ORUFI04G03450.1 pep chromosome:OR_W1943:4:4125211:4130294:-1 gene:ORUFI04G03450 transcript:ORUFI04G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTPATDVAAGDEEEAACLQAFELMCAFTVPMTLKAAIELGLLDALVTAADDDDGRALTADELAARLPDALDTAEAASSVDRMLRLLASCNVVKCSTEAGPAGEPLRRRYSPAPVCRWFTAGGNSHHGSLAPSVLFGIDEDYLSTWHQLAAAVGGGGAVAFERAHGAPMFEYMGTNRRLNTLFNQAMAQQSMIVINKLLDRFHGFDGVGVLVDVGGGTGGTLEMIMSRHKHITGVNFDLPHVISQAPSLPGVKHVAGNMFESIPNGDAIFLKSILHLQNDEDCIKILKNCHQALSDNGKVIAVEIVLPAIPEPVPTAQYPFQMDMIMLNNFRGGKERTELEFTKLAMDSSFSGTLRTTYIFANYWALEFNK >ORUFI04G03460.1 pep chromosome:OR_W1943:4:4145410:4151786:-1 gene:ORUFI04G03460 transcript:ORUFI04G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLEQCCLLLLLLCSCSSAAVAAASPAAMSSSRKAVDRLPGFAGPLPFSLETGYVAVGEARFFYYFIESERSPEEDPVLLWLTGGPGCSAFSGLIYEIGPLCFDFHGHKGGLPTLHYKANSWTKISNVIFVDSPPGTGFTYATTAEGLKSSDTIVVHQLYTFIQKWFDDHPQFSSNPLYVSGDSYSGIIIPTLTMEIAKGKESSDERHLNLKGYIAGNPLTDTTHDDNSKFPFLHSLGIIDDELYEVARKNCKGDYMTPPNSQCANSVQAIRDCIRDVNDLHILEPRCEEDGISLMSDNSASSHDRRTKLLESAVSPICRNATYVLSKIWANDEAVRESLGIHKGTVTTWERCNHDLLYKKQIVSSVEYHLSLITQGYRGLVYSGDHDSVVSLIGTQGWLRSLNLSITHGWRPWYVDSQVVGFTRTYSNNLTYATVKGAGHTAPEYMPKECLAMVDRWLSGEPL >ORUFI04G03470.1 pep chromosome:OR_W1943:4:4152552:4160857:-1 gene:ORUFI04G03470 transcript:ORUFI04G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFHDHVIRVSTGTSTSNLSINSTKLPNVLLNISPVCLQLLDYPLVAICRTVIVELISHILPIILSLEHGEGELTPSSNGYFNRHLLTHQLL >ORUFI04G03480.1 pep chromosome:OR_W1943:4:4152724:4161123:1 gene:ORUFI04G03480 transcript:ORUFI04G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEKAHQWYKNQSNQKKPFTLDYMWRELKDQPKWRSIIKKEENKNKRTQISELGAYTSSSNQETEEESSNKERRPEGQKKAKERSPCSRELGV >ORUFI04G03490.1 pep chromosome:OR_W1943:4:4179099:4185589:-1 gene:ORUFI04G03490 transcript:ORUFI04G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNLAVLLAIVVAVVCVARTTPPAFAQTVQVWSECSPQNYTAGSAYGTSLRGVLKDVVDAAVSGGGYAVANDAGGAAHGLAICYADAPPEVCRLCLAMAAGNLSLACPRAVGGAMLYNNCLLRYAGGAPFLARPDMAQEFSFYNPNMTSAGDAAQFGAALSRLMDRLALAAASSSSSSRGRRFAFGQTNITGDGGDSLYAFVQCVDDLSPDDCRRCLQSIAASLPMTRGGRAYSLTCYTRFEVVPFYRPPTATNLVVVASPAPAPESALLPTESRDGRPSKLRMRLALAASLLVISCFIVVKIRKSGKFQLRIIGKNSNPKENIEELLDNYGSLAPKRYKYSQLKDMTGSFSEKLGEGGYGMVYKGTSPDGHFVAVKFLHDLTRNGEEFVNEVISIRRTSHVNVVTLVGFCLEGSKRALIYEYMPNGSLEKFIYAENSKTTLGWDKLYDIAVGIARGLEYLHRGCNTRIIHFDIKPHNILLDHDFVPKIADFGLAKLCNPKESYLSMAGMRGTIGFIAPEVFSRRFGVVSTKSDVYSYGMMLLEMVGGRKNLKASVDNPSEMYFPDWIYRCLADVGSLHSFDMEHETEEIARKMASIGLWCIQVSPSSRPTMSKVLEMFERSADELEIPPKHCFYSAIQ >ORUFI04G03500.1 pep chromosome:OR_W1943:4:4191353:4197232:-1 gene:ORUFI04G03500 transcript:ORUFI04G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDWPESPSQAVLYADEIAAVRAVLGAGLDKSRVVAALSRCGGNTERAINALLDDDATAAGVEDESKKGKGAKPAAPPVKAERDAGGAAPKPPPPPVKVEVLDDEPLGSQESNGCSARVKKEREDELLVKAPPPPTPDRVKKEEDYRCDGVAAKRGAATANAAGISLVPRPKKRSRVDDEAETIDLTATHPVPYLNPRPIRAVPPPEAMEMLESRRVRARPPPPSSDLRMVVAPPDAEFGEFPEERDWFLVGRSYVTGLSTNRGRRRLDAGELVHFSFPSLERTYGGIKVSNKKAAALAEIVRFSTNRAGEIGKLSTEWTKCLVPLVNSSKVKIQGKIVFPTVELRLMEEILLYVSFYNHRSVFTASGNNSSWDLLAPANVDYSTNPLCRLFRLLKLRAFTKADITPEELAAGKRPRNLRGDDNDEDEPMAIVGLENRHTAGQTFPEQGTDEQAISEAALNKIVGTAETYDLEEAEPPSTLVSVLKPYQKEALFWMSQLEKGIDADQAKKTLHPCWSAYKIVDKRAPAVYVNVFTGEATTQFQSVTQSARGGGKFLLEYEYLHLPNLMVLYVPSFALQILADAMGLGKTVMTIALILSNPRGEIEQDKRGTRDRDTMAQTSRSSVRGGTLIICPMALLGQWKDELEAHSAPGALSVFVYYGGDRTTDLRFMAQHSVVLTTYGVLQSAHKNDGSSIFHRIDWYRVVLDEAHTIKSPRTKAARAAYELTSHCRWCLTGTPLQNNLEDLFSLLCFLHVEPWGDASWWNKLIQRPYENGDERGLKLVRAILRPLMLRRTKETKDKMGNPILVLPPANIEIVECEQSEDERDFYEALFRRSKVQFDKFVAQGSVLNNYANILELLLRLRQCCDHPFLVISRADTHKYTDLDELAQRFLDGVQRDSARRSAPPSQAYVEEVVEEIRQGATTECPICLESASDDPVLTPCAHRMCRECLLSSWRTPSGGPCPLCRSPITKSELITLPSQCRFQVDPENNWKDSCKVIKLIKILEGLQEKREKSIVFSQFTSFFDLLEVPFNQKGIKFLRFDGKLSQKHREKVLKEFSESKDKLVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRAVQVRRFIVKDTVEERMQKVQACKQRMISGALTDDEVRSARIEQLKMLFT >ORUFI04G03510.1 pep chromosome:OR_W1943:4:4200533:4202583:-1 gene:ORUFI04G03510 transcript:ORUFI04G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVSLSRRHHLTPRPAASASASTSTSLRRSRLPVGCAAAGLRCQAQAGDMDDDGVYIRRCVELARKAAGHTSPNPMVGCVVVRGGRVVGGGFHPEAGQPHAEVFALRDAGDLAENATAYVSLEPCNHYGRTPPCTEALINAKVKDVVVGMTDPNPIVASKGIERLQSAGIDVRVCMEEEALCRKLNEAYIHRMLAGKAFATLRTTLSMNGVVLINPEGITQLLKEYDGVIISGILANKSTLPTSHEAGAKQPLYIIIAQGENSQLKIPFLKEECASEAVVLTDSPVTVKPPGVEVLVLDRMSLEFILEILAQRGLCSVLVDFREAGGEFASLLKNFQEEKLVQKVVVELLPIWAVSKGPGHLAFGGSQSFPLKDVEHKEVNGCMLLEGYV >ORUFI04G03520.1 pep chromosome:OR_W1943:4:4215618:4229522:-1 gene:ORUFI04G03520 transcript:ORUFI04G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLKTVVPSQLMAERGANLVVINPGSANVRIGFASQDVPFNIPHCIARHITQRKDDTPTLSVRDKVMLNCHATPSQNAERERAYDIIASLLKIPFLDEEMPSANQALPPKMGRVDALSSQQNKDDSKFTWTDVMDRKRPVDKDADVDPLQRSTPDDTEPNSEENMYKEIIFGEDALKIPPSESYCLSHPIRRGHFNISQDYSLHQVLEDLRTIWNWILTEKLHINPRDRHLYSAILVLGETFDNREIKEMLSIVLCDLGFSTAVIHQEALAAAFGNGLSTSCVVNIGAQVTQVVCVEDGVALPHTALALPYGGDDISRCLLWVQRRHHTWPNFQTDPVNKPIDMLMLNKLKESYSQIRSGSFDAVSVVHSYEHERSVGHQKAKLSALNVPPMGLLYPRVLVPEEYPPPPRSWFQDYDDMLEDTWQTSDSLYSSGNGGFGMWDNYPMFPTRLKKFDNIGLVEAIVGGTASTAGLAPVLEQRVLNTIPSNQPIEKAEVLQSRSYPLFVPWKGGVILGVLDIGRDAWIHREDWAKNGVHIGSGRKYRDSYFLQAQAMREAA >ORUFI04G03530.1 pep chromosome:OR_W1943:4:4230199:4235731:1 gene:ORUFI04G03530 transcript:ORUFI04G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPVAGSEKGPTVRSYRTEDWLHKEVAAVMQTVVGSKRKNGDIGRRPPWGDADGGEEQRRRSGKGRSRL >ORUFI04G03540.1 pep chromosome:OR_W1943:4:4239083:4247261:1 gene:ORUFI04G03540 transcript:ORUFI04G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFTASFQCVTLFGQPASAADAQPLLQGQRPFLHLHARRRRPCGPMLISKSPPYPASEETREWKADGQHEHTDELRETTTTMIDGIRTALRSIGEGEISISAYDTSLVALLKRLDGGDGPQFPSTIDWIVQNQLPDGSWGDASFFMMGDRIMSTLACVVALKSWNIHTDKCERGLLFIQENMWRLAHEEEDWMLVGFEIALPSLLDMAKDLDLDIPYDEPALKAIYAERERKLAKIPRDVLHSMPTTLLHSLEGMVDLDWEKLLKLRCLDGSFHCSPASTATAFQQTGDQKCFEYLDGIVKKFNGGVPCIYPLDVYERLWAVDRLTRLGISRHFTSEIEDCLDYIFRNWTPDGLAHTKNCPVKDIDDTAMGFRLLRLYGYQVDPCVLKKFEKDGKFFCLHGESNPSSVTPMYNTYRASQLKFPGDDGVLGRAEVFCRSFLQDRRGSNRMKDKWAIAKDIPGEVEYAMDYPWKASLPRIETRLYLDQYGGSGDVWIGKVLHRMTLFCNDLYLKAAKADFSNFQKECRVELNGLRRWYLRSNLERFGGTDPQTTLMTSYFLASANIFEANRAAERLGWARVALLADAVSSHFRRIGGPKNSTSNLEELISLVPFDDAYSGSLREAWKQWLMAWTAKESSQESIEGDTAILLVRAIEIFGGRHVLTGQRPDLWEYSQLEQLTSSICCKLSRRVLAQENGESTEKVEEIDQQVDLEMQELTRRVLQGCSAINRLTRETFLHVVKSFCYVAYCSPETIDSHIDKVIFQDVI >ORUFI04G03540.2 pep chromosome:OR_W1943:4:4239419:4247261:1 gene:ORUFI04G03540 transcript:ORUFI04G03540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLISKSPPYPASEETREWKADGQHEHTDELRETTTTMIDGIRTALRSIGEGEISISAYDTSLVALLKRLDGGDGPQFPSTIDWIVQNQLPDGSWGDASFFMMGDRIMSTLACVVALKSWNIHTDKCERGLLFIQENMWRLAHEEEDWMLVGFEIALPSLLDMAKDLDLDIPYDEPALKAIYAERERKLAKIPRDVLHSMPTTLLHSLEGMVDLDWEKLLKLRCLDGSFHCSPASTATAFQQTGDQKCFEYLDGIVKKFNGGVPCIYPLDVYERLWAVDRLTRLGISRHFTSEIEDCLDYIFRNWTPDGLAHTKNCPVKDIDDTAMGFRLLRLYGYQVDPCVLKKFEKDGKFFCLHGESNPSSVTPMYNTYRASQLKFPGDDGVLGRAEVFCRSFLQDRRGSNRMKDKWAIAKDIPGEVEYAMDYPWKASLPRIETRLYLDQYGGSGDVWIGKVLHRMTLFCNDLYLKAAKADFSNFQKECRVELNGLRRWYLRSNLERFGGTDPQTTLMTSYFLASANIFEANRAAERLGWARVALLADAVSSHFRRIGGPKNSTSNLEELISLVPFDDAYSGSLREAWKQWLMAWTAKESSQESIEGDTAILLVRAIEIFGGRHVLTGQRPDLWEYSQLEQLTSSICCKLSRRVLAQENGESTEKVEEIDQQVDLEMQELTRRVLQGCSAINRLTRETFLHVVKSFCYVAYCSPETIDSHIDKVIFQDVI >ORUFI04G03550.1 pep chromosome:OR_W1943:4:4252183:4254021:-1 gene:ORUFI04G03550 transcript:ORUFI04G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSEATVTLVSVVTLPILLALLTRKSSSKKRRPPGPWNLPLVGGLLHLLRSQPQVALRDLAGKYGPVMFLRTGQVDTVVVSSPAAAQEVLRDKDVIFASRPSLLVSEIFCYGNLDIGFAPYGAYWRMLRKLCTVELLSTKMVRQLAPIRDGETLALVRNIEAAAGGKKPFTLATLLISCTNTFTAKAAFGQACGGELQEQFLTALDEALKFSNGFCFGDLFPSLRFIDAMTGLRSRLERLRLQLDTVFDKIVAQCESNPGDSLVNVLLRIKDQGELDFPFSSTHLMRNPEVMAKVQAEVRGVFDNKSPQDHEGLLENLSYMKLVIKETLRLNPVLPLLLPHFCRETCEIGGYEIVEGTRVLINSWAMARSPEYWDDAEKFIPERFEDGTADFKGSRFEYLPFGTGRRRCPGDIFAMATLELIVARLLYYFDWSLPDGMQPGDIDMELVVGATARRKNHLQLVASPYKPISMQS >ORUFI04G03560.1 pep chromosome:OR_W1943:4:4266248:4266994:1 gene:ORUFI04G03560 transcript:ORUFI04G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGFFDARFYDHPSLGHSTQPMFTYVQVKTITLHVFISTPMATPPVYAENEVPTRESTSMAPEMSSLPIRLVGKSLIKKSNEKINEQWCPIQNSNSNAVEGCRIIQQLKAELDSCNLHKIKRASPYKQSDDPQVGDNSSIESERVVGYIGLDPHKLSVLHGLDDHKSSGSNSIYDVSMINGRFEDREEDGHNIDYVEINS >ORUFI04G03570.1 pep chromosome:OR_W1943:4:4267511:4269814:1 gene:ORUFI04G03570 transcript:ORUFI04G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDYRRTLDHAAQSEEEAEIIIQGVITLITTIPHPLPHLLGMDPKDDIANSLLPAAPPPLAVDVKPFNLSCEKYDGHPNTDLAQLRIFNQVTLFQRGLEIQK >ORUFI04G03580.1 pep chromosome:OR_W1943:4:4281751:4282939:-1 gene:ORUFI04G03580 transcript:ORUFI04G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSHVSADARKYEKLVGKVAVITGGASGIGACTARLFVKHGARVVVADIQDELGASLVSELGPDASSYVHCDVTNEGDVAAAVDHAVATFGKLDVMFNNAGVTGPPCFRITESTKEDFERVLAVNLIGPFLGTKHAARVMAPARRGSIISTASLSSSVSGTASHAYTTSKRALVGFTENAAGELGRHGIRVNCVSPAAVATPLARAAMGMDMDDETIEAIMEKSANLKGVGLKVDDIAAAALFLASDDGRYLSGQNLRVDGGVSVVNSSFGFFRD >ORUFI04G03590.1 pep chromosome:OR_W1943:4:4287518:4288572:-1 gene:ORUFI04G03590 transcript:ORUFI04G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSHVSADARKLVGKVAVITGGASGIGACTARLFVKHGARVVVADIQDELGASLVAELGPDASSYVHCDVTNEGDVAAAVDHAVARFGKLDVMFNNAGVSGPPCFRMSECTKEDFERVLAVNLVGPFLGTKHAARVMAPARRGSIISTASLSSSVSGAASHAYTTSKHALVGFTENAAGELGRHGIRVNCVSPAGVATPLARAAMGMDDEAIEAIMANSANLKGAGALKADDIAAAALFLASDDGRYVSGQNLRVDGGLSVVNSSFGFFRD >ORUFI04G03600.1 pep chromosome:OR_W1943:4:4306181:4311632:-1 gene:ORUFI04G03600 transcript:ORUFI04G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMEAVARSSLVLAPRRRRALGLLPAAAAAAPFVLDCRRRHNGGMRRPHVSFACSAELDTGRRQLPSTGTRAVMSSCPGYVEGRMVGENTSQINMGREARILRHLENPEFLPSSYDIAWVAMVPLPGTDHLQAPCFPECVEWILQNQHSNGSWGVNEFDSSASKDILLSTLACIIALEKWNVGSEQIRRGLHFIAKNFSIVIDDQIAAPIGFNLTFPAMVNLAIKMGLEFPASEISIDQILHLRDMELKRLAGDESLGKEAYFAYIAEGLEESMVDWSEVMKFQGKNGSLFNSPAATAAALVHRYDDKALGYLYSVVNKFGGEVPTVYPLNIFSQLSMVDTLVNIGISRHFSSDIKRILDKTYILWSQRDEEVMLDLPTCAMAFRLLRMNGYGVSSDDLSHVAEASTFHNSVEGYLDDTKSLLELYKASKVSLSENEPILEKMGCWSGSLLKEKLCSDDIRGTPILREVEYALKFPFYATLEPLDHKWNIENFDARAYQKIKTKNMPCHVNEDLLALAAEDFSFCQSTYQNEIQHLESWEKENKLDQLEFTRKNLINSYLSAAATISPYELSDARIACAKSIALTLVADDFFDVGSSKEEQENLISLVEKWDQYHKVEFYSENVKAVFFALYSTVNQLGAMASAVQNRDVTKYNVESWLDYLRSLATDAEWQRSKYVPTMEEYMKNSIVTFALGPTILIALYFMGQNLWEDIVKNAEYDELFRLMNTCGRLQNDIQSFERECKDGKLNSVSLLVLDSKDVMSVEEAKEAINESISSCRRELLRLVVREDGVIPKSCKEMFWNLYKTSHVFYSQADGFSSPKEMMGAMNGVIFEPLKTRGN >ORUFI04G03610.1 pep chromosome:OR_W1943:4:4355028:4355752:-1 gene:ORUFI04G03610 transcript:ORUFI04G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQWWPAAVEAKTLLQASLPDAGQYLSQQGGVHLGQEQQPGATPRRRHKHKAKFASGYYRSPSRMIAQFALMGCTASL >ORUFI04G03620.1 pep chromosome:OR_W1943:4:4359787:4361958:1 gene:ORUFI04G03620 transcript:ORUFI04G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFAKQNCQVNLLTNNPSSNPRFIMEINSAATLTLVSLLTLPILRALLTRKSSSKKRRPPGPWNLPLVGGLLHLLRSHPQVALRELASKYGPVMFLRMGQIDTVVVSSPAAAQEVLRDKDVMFASRPSLLVSEIFCYDNLDVGFAPYGAYWRMLRKLCTVELLSTKVVRQLAPVRNDETLTLVRNIKAASSGHGGGGGKKPVTLARLLTTCTNTITAKAAFGQACGVELQEQFLTALDVGLKFSGGFCFGDLFPSLRFIDAMTGLRSRLWRARGQLDSVFDKIIAQCEEHQGDSLVNVLLRIRDQGDLEFPFGTTNIKAIILDMFTGGTETTSSAAEWVMSELMRNPEVMAKVQAEVRRVFDNKSPQDHEGLIDNLRYMKMVIKETMRLNPVLPLLMPHLCRETCDIGGYEVVEGTRVVINSWAMARSPEYWDDAEEFKPERFEDGMADYKGSRFEYLPFGTGRRRCPGDTFGMVLLELIVARLLYYFDWSLPAGMQPDDVDMDFVVTATTRRKNHLQLVASPYKLAPIQI >ORUFI04G03630.1 pep chromosome:OR_W1943:4:4373067:4389046:-1 gene:ORUFI04G03630 transcript:ORUFI04G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNPRAASSSAAAAVSSPDAGRKEREENTVPAVYDASADIEDDYRLFLENVRVYENEDFVLEYEGKVVRYGGDEAVSAGGGSRGEDPVMEEEKEKEKEVDVVVISSSSDDESTKIVSEPNPLDRGVFQRKMKKVVDKEKMDEKNEAAAPLVKGKGVGKVIGMEVEDEQLVLALPKPGTTTSLTNPSKRHETEPYTTSQSAGIIWPPHINDREESSFKQGLMEALSKPFCQEEYDNFKQGLIEVLSKPFCQEEHDELYGMATIREPSMSERRTRSGSKTYYSNLGWANHILIPTQVWTYVSSTCF >ORUFI04G03640.1 pep chromosome:OR_W1943:4:4390768:4391131:-1 gene:ORUFI04G03640 transcript:ORUFI04G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSSSALSVLAKAKDSSTIALQGLLPGTFVPHKEVEFTLLRNRFFLTGKINFYKRKDEPPATKGQRKLSFWLAGLIRL >ORUFI04G03650.1 pep chromosome:OR_W1943:4:4391274:4392323:1 gene:ORUFI04G03650 transcript:ORUFI04G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFRQSLPATAVTCLLLLLATRIDNLLRRELPAVDVPVAVRGESTCSIPASWSTGRRRPVPPALCSSTPARCCAKPAVEDVVDDEKEKETWRREDKPEGKDRGEEVLGRGWFMVDEIGMDILTIALPAVLALATDPITVLISTSFVGHVGKDLNCFLTTCNCPFIL >ORUFI04G03660.1 pep chromosome:OR_W1943:4:4400690:4403875:-1 gene:ORUFI04G03660 transcript:ORUFI04G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISFVGRVLFASIFLLSAYQEFSEFGTDGGPAAKALKPKFNLFMQQVSKSLGMAVPHIDIKTVIAATMFLKGFGGLLFIISSSFGAFLLLLYLAFITPVVYDFYNYEMESSQFVQLFVKFSQNLALFGALLFFLGMKNSIPRRHSKRRAGKTKTN >ORUFI04G03670.1 pep chromosome:OR_W1943:4:4409874:4415218:-1 gene:ORUFI04G03670 transcript:ORUFI04G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPSRRLSLLDRCDDGSEDPVVPPRVPTILNLASHHHLDRSKFLPLIHPAPARFISSTGSSFPPYSEPPPSAPMLEVGSVFGLEDLYSFFPNHFFSMESISLPPCDVVDVLPVIPLALRSGRSNQGLPSKLPLIEGHRRSHSDIPLGYSQEHPQMPPVASVKPEVTTIEGHQLEDVAAAALKDMGIQAWSPSGSIDKEVKSSGAGSTTHHCRSLSVDSFKMGNLNFGVVGQQMSSPPLLTTEVNVGGGEPIGSTASPFAAELANVKFTEDEKKKIVMDKSLSEIVLTDPRRVKRILNNRASATKSKEKKMKHVGELQRKLQVLQSETTTLGAQVTVMQRNNNELVSQNNELKTRLQAMDQLAQLGYALTSRLAAEAQHLRAVVSEISDPNLPSGPHQQLSSDMDQLQQLLTQRQTSQIQQNQPQ >ORUFI04G03680.1 pep chromosome:OR_W1943:4:4417241:4418202:-1 gene:ORUFI04G03680 transcript:ORUFI04G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKRKRKERLSPAVFRQVAGGRRVGCHTEVEHVAPVGRRRLVQVEVAVVLGGPRRVARVEPRLVAALAAATAAPATSAGYFATLKSFKTRIPLLWTQRNENAELVLAGGGRRARGGRLRCVEEGGGAEGGGRLGDEVEVGSSGDDASQGGDDV >ORUFI04G03690.1 pep chromosome:OR_W1943:4:4424079:4427704:-1 gene:ORUFI04G03690 transcript:ORUFI04G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRNNNGLVSLNNELKTRLQAMDQQAQWGDALTARLTAEAEHPRVVVGEISDPHVPSGSHQQQSSYMDKLQQLLTQRQPSQTQQNQPQ >ORUFI04G03700.1 pep chromosome:OR_W1943:4:4428009:4430712:-1 gene:ORUFI04G03700 transcript:ORUFI04G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFIFIKACAQLGTLQEGRHVRIVHAFLSSITSNTTVTFSMPWAPRVRPTCTPRGACSRKSSSSHRPPSARTREERIPGSGKLGLKGNLREELWGMLFKGGRGRIRLGCGEKELGKLGFFGEPSCRLSLLDRCGDGSENPVVPPRVPSILNLASHKCLDQSKFLPLIHPAPAHFTSSTVSALSPRSEPPLLASMVEVGSGFKPEDFYLFFPNTFFSVESIVPPPYDAIDVPPMTSLALHSTRSNQGPPSRLPLMVGHRRSHSYILLGYSHQNPQMLPIASVKTKVTTTEGHQSGGMVAAVLKDMGIQAWSPSGSRENEAKSSGAGSTTHHCHSSSVDSFMMGNLNFGAVGQQMSSPPLLTIEANGGEGESIAKCEIH >ORUFI04G03710.1 pep chromosome:OR_W1943:4:4442871:4445310:1 gene:ORUFI04G03710 transcript:ORUFI04G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASDPAPAAAYDRTAELRALDATLSGVRGLVASGATHLPRIFHNVVHGDQEPPEATAPSSATTTTTTVPVIDISGSRAAVVDAVRRAAAEWGFFQVTGHGVPLAAMDAAAGAARAFHESGGGEGSDKARLYSREPGRAVKYHCNFDLYQSPVANWRDTLYLRMAPDPPPAADLPEICRDALFEYAKQVKNLGNTLFELLSEGLGLKPSYLTDIECNQGQIILCHYYPPCPQPELAIGTSRHSDSGFLTILLQDDIGGLQILHEDRWVDVTPTPGAFIVNVADLLQLISNDNYKSVEHRVVAKNTEPRVSIACFFSTHFHPISTRMYGPIKELLSDENPPLYREALVRDYTARYYSVGLDGKFKTTLSEFRL >ORUFI04G03720.1 pep chromosome:OR_W1943:4:4449379:4451655:-1 gene:ORUFI04G03720 transcript:ORUFI04G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPIRPLLLLLPTILSALDLTAAAADDDDTLRTYLVVVCRMNGPKEGGEPLRAWHASLLASVLNSTTDAILYGAGAGGNRGAPVNGGERLVYSYQHVVSGFTARLRPREAAAMARLQWCVDAVPDSTYTLTTTDTPRLLGMSTPRTGAWSVAGNMGDGVIVGVLDNGVDPRHVSFGDEGMRPPPAKWRGKCDFGGAPCNNKLIGGRAKTLEDHGTHTSGTAVGAFVRDVMVEGSNLGTASGMAPRAHLAMYEVCLADMCSATEMLTATERGAFLDGVDVLSISASDNKQKPFYDDLIAVGSFSAVMAGVFFSTSAGNAGPTAETVTNCAPWQLTVGASTMGRRVISKVQLGNGLVINGEASRRYKRVQNKPIVYVGGRFADGALKAVDVRDKIVLCNRVESAAMLEKMVADAGGVGMIAISTQMQFLATTPLGANFMPLSRVSYPDGETIKAYINSTANPMASLRFAGVVLNASALPAIAEYSSRGPCDLPNIGVLKPDITGPGTNIVAAVPDKSPGANATAAPTRTFSAKSGTSMSAPHLAGIAAVIKKAHPEWSPAVIKSAMMTTADVTHRDGTPVIDLSTGAPASYFAMGAGLVNPTKALDPGLVYDLTADDLVPYICGLGYNDSFVNDMIAQPLKNVTCAKSKKIQGKDLNYPSFLVTLTAAAPVATARRTATNIGKQPLEVYRAEVVAPPGVAVEVVPNRLEFGGAALQRREFTVKFTRGRNAAVNGAAEGSLRWVSGKHSVRSPLAVLLKP >ORUFI04G03730.1 pep chromosome:OR_W1943:4:4453229:4455633:-1 gene:ORUFI04G03730 transcript:ORUFI04G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRAAERQRTYSLGSFSLRLRVRDREKGKPRSLPSGSRTKCSRWKGEVWVCYRYQRISYATNRYQSIPIGLSSITRYLVCLVMTSLHVGYEGNGKVVGVNVIDAEERVHF >ORUFI04G03730.2 pep chromosome:OR_W1943:4:4455633:4456353:-1 gene:ORUFI04G03730 transcript:ORUFI04G03730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDGQTTMMPKRRRSGACSRASSAWLAFRGLARDERGWPDDDDAEAAALRCVVGVACVPVAVMHKTNGSQQRKCSALCGKMGTRKRWRWEHEKDGGDLDSIGNCDGRLSRGR >ORUFI04G03740.1 pep chromosome:OR_W1943:4:4462276:4483606:1 gene:ORUFI04G03740 transcript:ORUFI04G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVGLWVVVLVVAAAVAGLVGASPASGLVLADEGGGGGGAGGRSFDGGAVRGEFPCGSHTARSRSCEEMNGSGSFDTTCVIGSSSSLDGDLCVYGDGSVVISPHVKIICPVAGCYIAINVSGSITIGEHVDLIAGSVSLYATNVSLDQRSTVNTTGLAGEPPPQTSGTPHSLEGAGGGHGGRGASCKVSNDTNWGGDVYAWSTLAWPWSYGSKGGSMAADHQFGGDGGGRVMLRASEFMNVDGDVLAEGGVGSLKGGGGSGGSIMIYAFKLYGNGTISAAGGNGWGGGGGGRISLDCYSIQQDLEITVHGGQSFGCPQNAGAAGTIYESSLQTLKVSNGNYTTHTETPLLGFPMTRLWSNVLVECNAKVLVPLLWSRVQVTGQIRLLSKGSISFGLSENPISEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSEIQIDGGGKDVVLASMLEARNLVVLRHGSVISSNAALGVYGQGLLNLTGPGDGIKARRLFLSLFYNIEVGPGSFVQAPLDDAVQSSLDALSRCESKTCPSELITPPDDCHVNNSLSFTLQICRVEDITVSGIVRGSIIHIHRARTVTVTNNGTISASELGCKEGIGKGKFLKYGAGGGAGHGGRVVGSMKWPLSKLLIYGSLSSDGESHRGTKKNSNGTYKGGIGGGSGGTILLFLQGLLLERNSSLSASGGNGGLIGGGGGGGGRIHFHWSNIATGDEYVQIASVNGLVASSGGSGNDDGHFGETGTVTGKKCPVGLYGTFCTECPIGTYKNVVGSDSSLCMPCSLDSLPNRADFIYVRGGVTEPSCPYKCISSKYKMPNCYTPLEELIYTFGGPWSFAIILSFTIILLALVLSALRVKIGESDITYRSTNAIHNDGCASFPFLLSLAEVPGASRAEETQSHAHRMYFMGPNTFREPWHLPYSPPDAIIGIVYEDAFNRFIDEINLVAAYEWWEGSIHSILSVLAYPCAWSWKQWRRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGLKVGSTPDLMVAYIDFFLGGDEKRLDVTSTIQKRFPMCLIFGGDGSYMSPYYLHSDTLLSNLLGQYVSTAIWNRLVAGLNAQLRTVRQGNIRSTLGPVVSWINSHGNPQLERHGVRVELGWFQATASCYYQLGIVVAVNEHFYKSLHQHDHVSEFIDRSRKNISSKKLNQDQPCTSYAVSRKRLTGGVNGGIINEGTLKSLECKRDYLFPFSMLLQNCRPIGYAIVAIIYGALYSGLSSLSVSSVPHALNTKSFKSREDNEWWILPIILFVVKSLQAGFVNWHLANLEIQDYSLFSPDPDRFWAM >ORUFI04G03740.2 pep chromosome:OR_W1943:4:4462276:4483606:1 gene:ORUFI04G03740 transcript:ORUFI04G03740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVGLWVVVLVVAAAVAGLVGASPASGLVLADEGGGGGGAGGRSFDGGAVRGEFPCGSHTARSRSCEEMNGSGSFDTTCVIGSSSSLDGDLCVYGDGSVVISPHVKIICPVAGCYIAINVSGSITIGEHVDLIAGSVSLYATNVSLDQRSTVNTTGLAGEPPPQTSGTPHSLEGAGGGHGGRGASCKVSNDTNWGGDVYAWSTLAWPWSYGSKGGSMAADHQFGGDGGGRVMLRASEFMNVDGDVLAEGGVGSLKGGGGSGGSIMIYAFKLYGNGTISAAGGNGWGGGGGGRISLDCYSIQQDLEITVHGGQSFGCPQNAGAAGTIYESSLQTLKVSNGNYTTHTETPLLGFPMTRLWSNVLVECNAKVLVPLLWSRVQVTGQIRLLSKGSISFGLSENPISEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSEIQIDGGGKDVVLASMLEARNLVVLRHGSVISSNAALGVYGQGLLNLTGPGDGIKARRLFLSLFYNIEVGPGSFVQAPLDDAVQSSLDALSRCESKTCPSELITPPDDCHVNNSLSFTLQICRVEDITVSGIVRGSIIHIHRARTVTVTNNGTISCKEGIGKGKFLKYGAGGGAGHGGRVVGSMKWPLSKLLIYGSLSSDGESHRGTKKNSNGTYKGGIGGGSGGTILLFLQGLLLERNSSLSASGGNGGLIGGGGGGGGRIHFHWSNIATGDEYVQIASVNGLVASSGGSGNDDGHFGETGTVTGKKCPVGLYGTFCTECPIGTYKNVVGSDSSLCMPCSLDSLPNRADFIYVRGGVTEPSCPYKCISSKYKMPNCYTPLEELIYTFGGPWSFAIILSFTIILLALVLSALRVKIGESDITYRSTNAIHNDGCASFPFLLSLAEVPGASRAEETQSHAHRMYFMGPNTFREPWHLPYSPPDAIIGIVYEDAFNRFIDEINLVAAYEWWEGSIHSILSVLAYPCAWSWKQWRRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGLKVGSTPDLMVAYIDFFLGGDEKRLDVTSTIQKRFPMCLIFGGDGSYMSPYYLHSDTLLSNLLGQYVSTAIWNRLVAGLNAQLRTVRQGNIRSTLGPVVSWINSHGNPQLERHGVRVELGWFQATASCYYQLGIVVAVNEHFYKSLHQHDHVSEFIDRSRKNISSKKLNQDQPCTSYAVSRKRLTGGVNGGIINEGTLKSLECKRDYLFPFSMLLQNCRPIGYAIVAIIYGALYSGLSSLSVSSVPHALNTKSFKSREDNEWWILPIILFVVKSLQAGFVNWHLANLEIQDYSLFSPDPDRFWAM >ORUFI04G03750.1 pep chromosome:OR_W1943:4:4489178:4492579:-1 gene:ORUFI04G03750 transcript:ORUFI04G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVAVKRVVDYAVKVRVRPDRTGVETASVKMSMNPFCEIAVEEALRLREAGAATEVVAATVGPSQSADTLRTALAMGADRAVHVLHDPDPSRPLLPLAVAKILRALALQENPGLVILGKQAIDDDCNQTGQMLAGLLNWPQGTFASKVILNKEKATVEREVDGGIETISLDLPAVITTDLRLNQPRYATLPNIMKAKSKVIKKVTPEDLDVDIRSDMEVVEVTEPPKRKAGVILSSVDELIDRLKNEARVL >ORUFI04G03760.1 pep chromosome:OR_W1943:4:4497525:4502259:1 gene:ORUFI04G03760 transcript:ORUFI04G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPALVVVVVVAAVALAGGGSLGFEFHEATVDAIQLGFSNGSLTSTALVRLRVPRGHRRRHPARLQQRQPHLHGARPLLPRVLDRIARLNTLLHAVIEVNPDALAQAARADAERATGHRCGPLHGVPVLLKDIIATRDRLNTTAGSLSLLGAVARRDAGVVARLRRAGAVVLGKANLPEWANFRSSPGLRGWSARGGQSRNPYVLSADPCGSSTGPAIAAAANMAAVTVGTETTASILCPAAANSVVGIKPTVGLTSRSGVIPFTTRQDTVGGRCCPCVLDAIVGYDALDAKATKAASKYIPAGGYVQFLRIDGLKGKRIGIPDGFFDFPNGTVRKMVYKQHLNTMRQQGAVVIENLEIANLSVIFDGTKSGLLTALLAEFKLNLNNYLSDLSYSPVRSLAEIIAFNNAHPVEEELKEHGQSILLMSENTAGIGPAEKAAIRRLNELSVNGVEKLMNDHQLDAIVTPDSAAAVVLAFHGLPGVVVPAGYDEKGVPFGVCFGGLKGYEPRLIEMAYAFEQVTKVRMPPMFKP >ORUFI04G03770.1 pep chromosome:OR_W1943:4:4507110:4513018:-1 gene:ORUFI04G03770 transcript:ORUFI04G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPKVGDGRQEREDGETAHELQSQGGNVVGWGEIVDAKLPCYPPTIRNRLVPALNRPSWRAVTKTVYASPSRVNFHLDRRKAVETVPAYPNICFSIDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPENTESKNPSSNVLSGVNTGSKQEKPPKRTLFSGYVSYQNVREAYDAGRSKFESLFSLGHDRTKLDKLYMRGPEGRGEVEVAVSGIADQSHERSKKDPGDSFRALVHSAASTASKLAKHAYEAASTNKRFDDELLPLKCCLMSVSLPWDYIAHDLLHKVNPDRSTGHQWIHGYGNYEQHQQEPP >ORUFI04G03780.1 pep chromosome:OR_W1943:4:4515312:4518702:-1 gene:ORUFI04G03780 transcript:ORUFI04G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPPHQPSSSGDVEVAVESGSGASSSRNKLLSMVKKHSDLIGWTVIDAEADASDVEMDDKFWHEILDLFFVHGRVSKGREEDDLVFFVNNMKLNGYRSSDNMENPPPFFVRRWAPKLQYADGFAGDADKVAPRDGSPVVAGDALRRPDQQRVPCAYLGTTPAGRGRHVGEAYCHDCVQCPRMPRPKILNAFDIIASSPSFDLSGLFQERGERMRFVSGASVADIIAKLEEIAGMVSFMARTKDCQVSIEATQNGQKGALAISAKVFELTWELVMVQVSMVRL >ORUFI04G03790.1 pep chromosome:OR_W1943:4:4527692:4548328:1 gene:ORUFI04G03790 transcript:ORUFI04G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRLQLLLLAAVVLVHAAADGCRVFQIEEATVDDIQLGFNNGSLTSRQLVFFYLDRIARLNPLLHAVIEDSIATRDRLNTTAGSLALLGSVVRRDAGVVRRLRRAGAVVLGKANLDEWANFRTIQGTGGWSARGGQSRNPYVLSAAPCGSSTGSAIAAAANMAAVTLGTETDGSILCPSSLNSVVGIKPTVGLTSRAGVVPISPRQDTIGPICRTVTDAVHVLDAIVGYDSRDAKATRAASKYIPPGGYRQFLKPDGLKGKRIGIPNGFFNFPNGTVQQIVYQQLLDTVRKQGAVVIENLDIANLAVIQDVLNNGEQIVLAAEFKSSLNTYLSDLSYSPVRSLADIIAFNNAHPIEERLKNFGQLIFLVAENTTGIGALEGAVIRQLNELSADGLEKLVQDEQLDAIITPNDLVSTVLAIGGMPAIIVPAGYAPAQNLAAIRDQARCRPPTATYRLLSPPLPPDPAEERAPLPAESRPAVSSCAAVTHHLLVRRSPASLLTPPARQLPRSAARSCGEIDGWMWPRVRIEEREMLSDGWMDGYSKREEKEREERGGKGGGKDEARMESSTAQLIYGSVDHWRLHDGGVAVVERWTSNIKVEEQRDMPLGSMAIAWWMIDSSCLDKQCRGEWCLLVYLVFIINSIPWITIPAWYDAPAATGRGHHGSRRRRRRRLLXNTTAGSLALLGSVVRRDAGVAARLRAAGAVILGKASLSEWSNFRPVKSGWSARGGQTVVFVKLPMENPYVLSADPCGSSSGPAVAAAANMAAVTLGTETDGSILCPASLNSVVGIKPTVGLTSRAGVIPISPRQDTVGPICRTVSDAAHVLDVIVGFDELDAEATGAASKYIPSGGYGRFLRMDGLKGKRIGIPNGFFTEGAYGKTQLRVKHGALVIENINITTNLSAAQDVLYSNENIALQAEFKLSLNAYLSDLLYSPVHSLADVVAFNNAHPVEERLQDFGQPDLIAAQKTNGIGPVEKAAIQRLNELSADGLENLMRMHQLDAIVTPNSHASSFFAIGGMPAITVPAGYDGHGVPFGICFGGLKGYEPRLIEMAYAFEQATKVRRMPSFKP >ORUFI04G03790.2 pep chromosome:OR_W1943:4:4527692:4548328:1 gene:ORUFI04G03790 transcript:ORUFI04G03790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRLQLLLLAAVVLVHAAADGCRVFQIEEATVDDIQLGFNNGSLTSRQLVFFYLDRIARLNPLLHAVIEDSIATRDRLNTTAGSLALLGSVVRRDAGVVRRLRRAGAVVLGKANLDEWANFRTIQGTGGWSARGGQSRNPYVLSAAPCGSSTGSAIAAAANMAAVTLGTETDGSILCPSSLNSVVGIKPTVGLTSRAGVVPISPRQDTIGPICRTVTDAVHVLDAIVGYDSRDAKATRAASKYIPPGGYRQFLKPDGLKGKRIGIPNGFFNFPNGTVQQIVYQQLLDTVRKQGAVVIENLDIANLAVIQDVLNNGEQIVLAAEFKSSLNTYLSDLSYSPVRSLADIIAFNNAHPIEERLKNFGQLIFLVAENTTGIGALEGAVIRQLNELSADGLEKLVQDEQLDAIITPNDLVSTVLAIGGMPAIIVPAGYAPAQNLAAIRDQARCRPPTATYRLLSPPLPPDPAEERAPLPAESRPAVSSCAAVTHHLLVRRSPASLLTPPARQLPRSAARSCGEIDGWMWPRVRIEEREMLSDGWMDGYSKREEKEREERGGKGGGKDEARMESSTAQLIYGSVDHWRLHDGGVAVVERWTSNIKVEEQRDMPLGSMAIAWWMIDSSCLDKQCRGEWCLLVYLVFIINSIPWITIPAWYDAPAATGRGHHGSRRRRRRRLLXNTTAGSLALLGSVVRRDAGVAARLRAAGAVILGKASLSEWSNFRPVKSGWSARGGQTVNPYVLSADPCGSSSGPAVAAAANMAAVTLGTETDGSILCPASLNSVVGIKPTVGLTSRAGVIPISPRQDTVGPICRTVSDAAHVLDVIVGFDELDAEATGAASKYIPSGGYGRFLRMDGLKGKRIGIPNGFFTEGAYGKTQLRVKHGALVIENINITTNLSAAQDVLYSNENIALQAEFKLSLNAYLSDLLYSPVHSLADVVAFNNAHPVEERLQDFGQPDLIAAQKTNGIGPVEKAAIQRLNELSADGLENLMRMHQLDAIVTPNSHASSFFAIGGMPAITVPAGYDGHGVPFGICFGGLKGYEPRLIEMAYAFEQATKVRRMPSFKP >ORUFI04G03800.1 pep chromosome:OR_W1943:4:4559676:4560486:1 gene:ORUFI04G03800 transcript:ORUFI04G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDKSSGAARALELTDRSDIHRNVMVTMWFSSGSIVTATIVKFVWKEMTKEQRWFTDDSCDDDDVVSELNILVAQIGAAGGHDVPTYANTT >ORUFI04G03810.1 pep chromosome:OR_W1943:4:4563501:4563773:1 gene:ORUFI04G03810 transcript:ORUFI04G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLREDEDGELRELTGNWKYSFLVDDQQMIKFMVDGKCNQLEIKWLTFAFDAAVHRLCEMRVWRRR >ORUFI04G03820.1 pep chromosome:OR_W1943:4:4565665:4566012:-1 gene:ORUFI04G03820 transcript:ORUFI04G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDIFKVAMLVPTEDCTANVDTCISNTCSYIRKALDGVVAVALPANKAETLEATSKQATVAASTLNMAKATGEKKKVAAVSIVYMIAADAVDAAAPADKLRVMDETFKAAAAPIA >ORUFI04G03830.1 pep chromosome:OR_W1943:4:4568893:4569597:-1 gene:ORUFI04G03830 transcript:ORUFI04G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVIALMLVVITMSTMLASPVECANKIAGIIPISASAYNFTTMIDTFKDCTANVDTCISNTCSYIRKALDGVVAVALPANKAETLEATSKQATVAASTLNMAKATGETKQVAAVSIVYMIAADAVDAAVPAASLGLTHANDLVTLEKSVVGRAIWLDKTY >ORUFI04G03840.1 pep chromosome:OR_W1943:4:4586833:4590777:1 gene:ORUFI04G03840 transcript:ORUFI04G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRLLLAIMALAAVAAATGFEFHEATVDAIQLGFSNGSLTSTALVRFYLDQITRLNPLLHAVIEVNPDALAQAARADDERATGRRCGPLHGVPVLLKDNIATRDRLNTTAGSFALLGSVVPRDAGVAARLRAAGAVILGKASLTEWSAYRPAPNGWSARGGQTLVFVTLSMKNPYVRSFTPCGSSSGSAVAAAANMAAVTLGTETDGSILCPASLNSVVGIKPTVGLTSRAGVVPISPRQDSVGPICRTVSDAVHVLDAIVGYDALDAEATGAASKYIPNGGYGQFLRMDGFKGKRIGIPNGFFTQEIFEKKQLRAYQKHIQLMRKHGAMVIENIDIAKNLTEVQNVLFSNEHIAMIAEFKLSLNAYLSDLLYSPVRSLADVIAFNKAHPVEERLKDFGQPYFIEAEKTNGIGPVEKASIQHLNKLSADGLEKLMRMHQLDAIVTPNDNGRVFFAVSGMPAITVPAGYDSQGVPFGTCFGGLKGYEPRLIEMAYAYEQATKVRMMPGFKM >ORUFI04G03840.2 pep chromosome:OR_W1943:4:4586833:4590777:1 gene:ORUFI04G03840 transcript:ORUFI04G03840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRLLLAIMALAAVAAATGFEFHEATVDAIQLGFSNGSLTSTALVRFYLDQITRLNPLLHAVIEVNPDALAQAARADDERATGRRCGPLHGVPVLLKDNIATRDRLNTTAGSFALLGSVVPRDAGVAARLRAAGAVILGKASLTEWSAYRPAPNGWSARGGQTLNPYVRSFTPCGSSSGSAVAAAANMAAVTLGTETDGSILCPASLNSVVGIKPTVGLTSRAGVVPISPRQDSVGPICRTVSDAVHVLDAIVGYDALDAEATGAASKYIPNGGYGQFLRMDGFKGKRIGIPNGFFTQEIFEKKQLRAYQKHIQLMRKHGAMVIENIDIAKNLTEVQNVLFSNEHIAMIAEFKLSLNAYLSDLLYSPVRSLADVIAFNKAHPVEERLKDFGQPYFIEAEKTNGIGPVEKASIQHLNKLSADGLEKLMRMHQLDAIVTPNDNGRVFFAVSGMPAITVPAGYDSQGVPFGTCFGGLKGYEPRLIEMAYAYEQATKVRMMPGFKM >ORUFI04G03850.1 pep chromosome:OR_W1943:4:4602810:4609159:1 gene:ORUFI04G03850 transcript:ORUFI04G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAERDERRSGRQWPDFNLRLTRYTSAVGQDKDGSHVANDGGHATNGSDRDEGGDGRGKRRRASRATAAVRWTQQMAATEPNDGGHAVDVADGGDRAGRLAVWRTRLRRRPRGTNAARRRKPICRTVSDAVHVLDNIVGYDALDAEATGASSKYIPPGGYGHFLRMDGLKGKRIGIPNGFFTKEKYGKKQLMVYQKHVALMREHGAMVIENIGTTENQTEVKNHLFEIDLVAMKAEFKLSLNAYLSDLLYSPVRSLADVIAFNNAHPVEERLKDFGQSFLTDSQKTNGIGPREKTVLKILKEISTDVLEKLMKKHQLDAIVTPNSDASTIFAIGGMPAIAVPAGYDNQGVPFAICFGGLKGYEPRLIEMAYAFEQATKVRRMPRYKH >ORUFI04G03860.1 pep chromosome:OR_W1943:4:4610029:4612539:-1 gene:ORUFI04G03860 transcript:ORUFI04G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESATPSKRAKTAAGVATPQKMGKAAAAAAALADQFLTPEKPTPKVAAAAAAAAEQIWTPEKPEQPSAAARRARSSGGVAFSVKGVRRAALELRRRSESGAASPAAAEDELEAVERQLGVGPAPVRSPVKRRAKLPESYEMLCEFFNCFESSTRLLRMKGSKASFPNICASIQHLSERRFTYSHLAQLKYIMPEAIVINKILLRDETTCCMKPDLQVNLLVDAVEGVTKQKGETGYSALRRIFRQRLVDFFRDHPEGDDIPEHELPHPFGPTRSSAPQAIQEDVPKTVSALPLSSATDRQPVAMSHMPHSFKRMFSQRSAAAAAATTSTTSLLSVVEPSITSPLSRKSLPGSPVSGSVSLVRESEGQEESVEGVAFKSGVSEGTPAKFASTPVRLMAETPVLQTPKRPVSGTGYDTPPLKMAKRSARTKLFVTPTKDASSMDEKKQSTSASTLDGDDELLSFLPKSLLQSVKDKENRALEEKQTGFADRIKREKLIASLPSIFDIIFLIYQSRQRTVMTKQELIHKIIASNPKIVDRGEVEDQLKLLEEIIPDWISEKTARTGDVLCCVDTAMSQAEIRQRLYAAE >ORUFI04G03870.1 pep chromosome:OR_W1943:4:4613244:4621805:-1 gene:ORUFI04G03870 transcript:ORUFI04G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTVGSGDPTAQGCGRGCPDGSAVGDSERRRGSSTSGGNKAVEEELTNGNIFSYGNITSGGNGEIGEELNSGDIFSDGCVTPTTIPTPTRGGNRSSGINHQRRRRKASQGNYAGLGGRVEMMAMVMGNEEGGGGWLASPDMRATACEEDEKAGGWASRQVVATVGGAHTGRWAEFQRPEASAAGTAGGGGTAWRASDGFAVLSDDAFERGCGVGVAVLWWAERDGGGGVQRTVADAIGEDCAADGEDDDDAAGGVGLERTMMTRLGLQRPDDNVADGKADDTTYVVVSHMLDFSAVPCRLRAADVATAPLRRRQRVVAPVLESVSG >ORUFI04G03880.1 pep chromosome:OR_W1943:4:4621902:4630955:1 gene:ORUFI04G03880 transcript:ORUFI04G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHRITMLSSSETCHLGSSSNNQAMDQQNLLPSNPTADEQNLLPNTLEDDDYPHYLLGSHEVEMPNGSVIGQQNTSLNLWDSAGSSSMGCVADHDSLFEAKREHFAPALSIRAPLIIGGRRHEGSSSLPSQSLNLDLNLNQADQFDSEDVDMIQSNGQPGINAFPLNRGLSIPEHVLRHTNSSSATGNPSQVASFSDGMTGQEVNLFGGHRSSCKRKNIDGSLAESSANGSSRNNQRNNIILEPSPSSHESTSGLTAPAPTNHVFSYSPVEQLNQNTNMSANAMLSDHYSLYGDHERERFLRNTRMRTSPNEYDQSSSNLLPEGSLRCSVYQPTQQQSLFIPVQPRASSSSTSSLSRPYVPAVTQFSQNLHRAPSSGNFGSRIGIFPSSADTTNQLSSQDPNRSSVRGNFPEPLLLGSSLFPSDSAELLSMPGGRSNQQNSSSTIRTAVNIGAQQIAGLNASQPTSSSRGSVDIVRRSLQAASVPQSRGSSITSQQQRGHSSTSHEIRSHQPGSSSRANQQHYVRAVPHSVDRQNSNYLDLQSFMQSIAASRDGIRTVSESANQLVHLRNVVEQIRQGRGGRFEDPNFERALFARRASLIDRHRDMRLDVDNMSYEELLALGERIGYVNTGLSEDKIRTGLKQWKYVSIPIEEPLTGVEPCCICQEEYAEGEDMGRLDCGHDFHTACIKQWLVIKNLCPICKKTGLGT >ORUFI04G03880.2 pep chromosome:OR_W1943:4:4624809:4630955:1 gene:ORUFI04G03880 transcript:ORUFI04G03880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHRITMLSSSETCHLGSSSNNQAMDQQNLLPSNPTADEQNLLPNTLEDDDYPHYLLGSHEVEMPNGSVIGQQNTSLNLWDSAGSSSMGCVADHDSLFEAKREHFAPALSIRAPLIIGGRRHEGSSSLPSQSLNLDLNLNQADQFDSEDVDMIQSNGQPGINAFPLNRGLSIPEHVLRHTNSSSATGNPSQVASFSDGMTGQEVNLFGGHRSSCKRKNIDGSLAESSANGSSRNNQRNNIILEPSPSSHESTSGLTAPAPTNHVFSYSPVEQLNQNTNMSANAMLSDHYSLYGDHERERFLRNTRMRTSPNEYDQSSSNLLPEGSLRCSVYQPTQQQSLFIPVQPRASSSSTSSLSRPYVPAVTQFSQNLHRAPSSGNFGSRIGIFPSSADTTNQLSSQDPNRSSVRGNFPEPLLLGSSLFPSDSAELLSMPGGRSNQQNSSSTIRTAVNIGAQQIAGLNASQPTSSSRGSVDIVRRSLQAASVPQSRGSSITSQQQRGHSSTSHEIRSHQPGSSSRANQQHYVRAVPHSVDRQNSNYLDLQSFMQSIAASRDGIRTVSESANQLVHLRNVVEQIRQGRGGRFEDPNFERALFARRASLIDRHRDMRLDVDNMSYEELLALGERIGYVNTGLSEDKIRTGLKQWKYVSIPIEEPLTGVEPCCICQEEYAEGEDMGRLDCGHDFHTACIKQWLVIKNLCPICKKTGLGT >ORUFI04G03890.1 pep chromosome:OR_W1943:4:4631271:4632847:-1 gene:ORUFI04G03890 transcript:ORUFI04G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDRKVLDALDTAKTQWYHFTAVVIAGMGFFTDAYDLFSISLVTKLLGRIYYFNPASKSPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICCLASGLSFGSSAKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIIVSAAFKSRFDAPAYRDDRAGSTVPQADYAWRIVLMFGAIPALLTYYWRMKMPETARYTALVAKNDKKAAADMARVLNVELVDEQEKAAAATAAAAEEEAARREQYGLFSREFARRHGHHLLGTTVCWFVLDIAYYSQNLFQKDIYTALGGFFLMTAFMLGLAVPYHHWTTPGNHVGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISSAAGKMGAIVGSFGFLYAAQSTDPSKTDAGYPRGIGVRNSLFLLAGCNVVGFLFTFLVPESKGKSLEELSGENEMEAEPAAATNSYRQTVPDSGQSE >ORUFI04G03900.1 pep chromosome:OR_W1943:4:4656923:4659980:1 gene:ORUFI04G03900 transcript:ORUFI04G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAEIGAMAGELKVLNALDSAKTQWYHFTAIVIAGMGFFTDAYDLFSISLVTKLLGRIYYFNPASKSPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICCLASGLSFGSSAKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIIVSAAFKSRFDAPAYRDDRTGSTVPQADYAWRIVLMFGAIPALLTYYWRMKMPETARYTALVAKNAKQAAADMTQVLNVEIVEEQEKADEVARREQFGLFSRQFLRRHGRHLLGTTVCWFVLDIAFYSSNLFQKDIYTAVQWLPKADTMSALEEMFKISRAQTLVALCGTIPGYWFTVFFIDIIGRFVIQLGGFFFMTAFMLGLAVPYHHWTTPGNHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGSFGFLYAAQSTDASKTDAGYPPGIGVRNSLFFLAGCNVIGFFFTFLVPESKGKSLEELSGENEDDDDVPEAPATADHRTAPAPPA >ORUFI04G03910.1 pep chromosome:OR_W1943:4:4661106:4662077:-1 gene:ORUFI04G03910 transcript:ORUFI04G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHGLELAKHGQPLATLSHWPSVGPTIFFPFLPTNRTPAPSARACRHHGEGGVPSLACIIRLSSSSSSSSLSGRSTSALLPPARWGIGTALPPLSGSHFSHKRDIDGARAQRWSGGGGWGRCPSDGSGGVGWGRSRSGSDGWRRMWTEPESGGGGGWIGTEPKRRRRMAVTDGDGARVEAADGGGAHAAVVDAGSGWGREGSAWLFTVSATSLVPPLPSSRSRNSTFTRAVAVATLSSNLMARPNSSSPSCRAPRRGRRAQRWSGGDGLGWSRSGSGAWRRWMGMEPERRRWKRAESMRRWWMPAADGGGWGRKMRRKGLRD >ORUFI04G03920.1 pep chromosome:OR_W1943:4:4668958:4669669:-1 gene:ORUFI04G03920 transcript:ORUFI04G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGDGAAAGEVEDEVEVAVDAAAEERAFAWMRKSGVNAKAVAAGATTVDALSLLSPASPPNHLALLPALRDLAPGLVLQRRRCGAPTPASAVAPAFTAAIATVPPPPRPAPPPPAAGRDHLRPRRPCPMLPPPVTGRAQLLPHRTPSTPRSLLSITVAVERDGRGREEERGREEEADMWVPRGSHAESAATSDKTGVKTAEGSSLHWFCKLGMCCIWFCGLRTIL >ORUFI04G03930.1 pep chromosome:OR_W1943:4:4669174:4670500:1 gene:ORUFI04G03930 transcript:ORUFI04G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNDLGVDGVRWGRSWARPVTGGGSMGHGRRGRRWSRPAAGGGGAGRGGGGTVAMAAVKAGATAEAGVGAPQRRRWSTRPGARSRRAGRRARWFGGEAGESKERASTVVAPAATALALTPLFLIHANAPAALWNEPHAPRLLRRPRPLPHEKAPAMWWAVGWIASLRRGGGHRVEIRCRLVTRPGDGGVDIGGELAFVVDVSEEVSDKRDGQEVVGIGE >ORUFI04G03940.1 pep chromosome:OR_W1943:4:4671637:4672625:-1 gene:ORUFI04G03940 transcript:ORUFI04G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDARWRRREEEPGDRDRAAVEAKSSRDQEGTLTIYIAYGECMLRQNISQTLPDPYVHSGMFSELQPKTSLNLCHAWTGIFCKQAQAALRHGKYSGRLRRVATKHALFVLMVKGYFIPEFVV >ORUFI04G03950.1 pep chromosome:OR_W1943:4:4685977:4688979:1 gene:ORUFI04G03950 transcript:ORUFI04G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQQLRVLHALDIARTQLYHFIAIVIAGMGFFTDAYDLFSISLVADLLGHVYYHGELPRNIHAAVTGIALCGTVPGQLVFGWLGDKMGRKRVYGITLLLMVVSSLASGLSFSKHEGMNIIAVLCFFRFWLGGFGNLAAGIIGMIVSAAFKHSSASKIDYAWRIILMFGAIPAALTYHWRMKMPETARYTALISKNAKKAAKDMSAVLNVNITPDDEVINELARQDEYGLFSFEFLHRHGLHLLGTTVCWFVLDVTFYSLNIFMKNIFTEVRLLPRLDSEYHHTLQRMITMTAVHTFIALCGALPGYFFTVAFVDRIGRVKIQLIGFTMMTLFMLCLAIPYDQWLRHKNKYGFAVMYGLTFFFANFGPNTTTFIIPAEIFPARLRSTCHGISGAVGKIGAIVGVFGFLYTEYHIRIFLFVLIGCNLVGFIFTLLLPELKGKSLEDLTGEIEELQEEDEGSEVALSRPIHNVPL >ORUFI04G03960.1 pep chromosome:OR_W1943:4:4715830:4717043:-1 gene:ORUFI04G03960 transcript:ORUFI04G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRFLSILPPGHALLGARIPPVAPVSNRSFLSPVMLRIIRDANIKNDTVLRIVLGTANPSLSQDIAITRKLRGYDVFLVQPTCPVNENLMDLLIMTDACMRASANSITAVSLTFVMPELTGR >ORUFI04G03970.1 pep chromosome:OR_W1943:4:4721183:4728529:-1 gene:ORUFI04G03970 transcript:ORUFI04G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCLACTGGLAAVVSVVRRLCGRRALGAVRHRRRACSGRLPVVEIRQSASTGDSKSAMTGSIKNHKNGTRRMQGIRFCNSMSKMQEDSRDFLYLLLSRSPVERRADNRYIRRCVSPEEREAPDTKYRQETPEDLKLLPSKLLQNISSPPTLARGAGIPNGQEMVTEYHWAIVVNLKLVDLIKTLIHTGILRSSKR >ORUFI04G03980.1 pep chromosome:OR_W1943:4:4734955:4738742:1 gene:ORUFI04G03980 transcript:ORUFI04G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSRSSLKTYSYSELFLEIR >ORUFI04G03980.2 pep chromosome:OR_W1943:4:4734955:4738742:1 gene:ORUFI04G03980 transcript:ORUFI04G03980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSRSSLKTYSYSELFLEIR >ORUFI04G03990.1 pep chromosome:OR_W1943:4:4740257:4740510:1 gene:ORUFI04G03990 transcript:ORUFI04G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRESGKGGGRGLGLSPRVRVNLDRPFDWTAPAAPASGRRPIGPTNGPHGRFQKDAKWTSTEKGNKLDTYNRHK >ORUFI04G04000.1 pep chromosome:OR_W1943:4:4745587:4745871:-1 gene:ORUFI04G04000 transcript:ORUFI04G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFFVGIVIGDVTQGNSEDLFLGRTDGHNHAAYGANTHSNSGVMNKGENSGADSNERKLMVSTTDGQTDGTMSPRHRSPDWYCQYIGKTEHCP >ORUFI04G04010.1 pep chromosome:OR_W1943:4:4752304:4760514:-1 gene:ORUFI04G04010 transcript:ORUFI04G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNNIMMSTARANLFALSIMALLFLGIVVGDAARGIPGTDFALGRMDGHNPTVAFGANTHSGSGDMNKGENSIAGHSSERKLGTTTDGARTVEAC >ORUFI04G04020.1 pep chromosome:OR_W1943:4:4772319:4772865:-1 gene:ORUFI04G04020 transcript:ORUFI04G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPAPAPATAGSADQFRGQAWLPRFVAPRRYELRLRPDLDTCVFTGDASVVVDVSAPTRFLVLNAADLTIDRASIRFQGLTPTEVSLFEDNEILVLEFDGELPLGEGVLAMDFNDTVNDQMRGFYRRLAYCFSIFDQYT >ORUFI04G04030.1 pep chromosome:OR_W1943:4:4777392:4777637:1 gene:ORUFI04G04030 transcript:ORUFI04G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPLLILAMVMANAFGAVTSRTAPGEEAPLAHGVVKTTTAEGTSIDNHHAIPRPEYDSWSSPGNMPGSGHDIGSEQAQP >ORUFI04G04040.1 pep chromosome:OR_W1943:4:4780284:4780553:1 gene:ORUFI04G04040 transcript:ORUFI04G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKLKARFQIIAVLLAFAMVAQAMAIRGTGTTAEQDNTGGSTSAKHTLPQAVGSGTSVDNHHAIPRDQYSNHGGDDGGGSTGTGDTNN >ORUFI04G04050.1 pep chromosome:OR_W1943:4:4795108:4796358:-1 gene:ORUFI04G04050 transcript:ORUFI04G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAALLMILVVAVSFNLCACNIPGNMEEEHGMYKDVRAGEDMRKLIDIDGRTAPTGHDYDHVCPRVFSCIPPFANLSITAKTIFNIRGIARENENNCVPLCWYDFCLFMH >ORUFI04G04060.1 pep chromosome:OR_W1943:4:4802394:4802648:1 gene:ORUFI04G04060 transcript:ORUFI04G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGAFLFVLLVFINLCTGMPRNMAKDGYHKTDLGLKVMRNLMSGTDGRTGPPSNDHQCPLGTYPNCQGVSQSTQRTEQDVGEN >ORUFI04G04070.1 pep chromosome:OR_W1943:4:4803757:4804308:-1 gene:ORUFI04G04070 transcript:ORUFI04G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVYSWSGYAAAAPFYTRELPGESMWTIAEALGSGAMRVARVQKQSSTAHGMQLRPYMQDN >ORUFI04G04080.1 pep chromosome:OR_W1943:4:4822925:4824906:1 gene:ORUFI04G04080 transcript:ORUFI04G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSGVGGDGDGSERGTGDHGAGSGTEQGATEPSVAGRGELRRCPVLAVVDLGMWRRASLPARATSEDIVVDGARMAAVPRRVGRDDNFLDRVAAIWRWR >ORUFI04G04090.1 pep chromosome:OR_W1943:4:4824049:4830537:-1 gene:ORUFI04G04090 transcript:ORUFI04G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADLASRRLRGEATGEGARTVKEAAVGAWGFQIQWSRTTWRPDMAPTTSRRPPATTTDRQGRRRSVGDLPHPAGAGGRRGGMGAADDGREGVGGVSGREAAGGAGGGGGVGGGRLARAEAEARLEASPQRRRSPDQTPKHRQVLLLVAITMMNAWHLAMAFGSRYKNMARYKPE >ORUFI04G04100.1 pep chromosome:OR_W1943:4:4828094:4828300:1 gene:ORUFI04G04100 transcript:ORUFI04G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVCAMLMLVIISSCTAATSKEKKGAEMNQLKDAVDQALAKAGQVNYAHSTNTDNNGKRTPCPLPC >ORUFI04G04110.1 pep chromosome:OR_W1943:4:4832853:4834538:1 gene:ORUFI04G04110 transcript:ORUFI04G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNLRLKYDSYKENNFNSLQDTKGSQSMKSALVTVLVVLLLITSSTALARKLAADDGQQKAESQAKSEVNIDGKPSSGYGEHVCPRDMYPNCFQRMKKLTSSNHLG >ORUFI04G04120.1 pep chromosome:OR_W1943:4:4835080:4835998:-1 gene:ORUFI04G04120 transcript:ORUFI04G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRKFFPTIVVLLLLVVTTAAQARECETPSNEFKGICMMVANCANVCLTEGFSGGKCSGFRRRCMCTKDC >ORUFI04G04130.1 pep chromosome:OR_W1943:4:4836515:4837618:1 gene:ORUFI04G04130 transcript:ORUFI04G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSIHAAASSIAVLRRRRLFHRAAPCPNPPRWPAVRDWSADIQFAAAARRRGDGHGPGRSDGEDGFTVVTTIMRQSTDSGETWHSKPKLENDLRL >ORUFI04G04140.1 pep chromosome:OR_W1943:4:4843208:4843426:-1 gene:ORUFI04G04140 transcript:ORUFI04G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVAEPKPEAKVEIVEEQATLEPMLPASSTSGCRPPPLHGPASSSSSSPPPPRVPPAACVGKVELQLPQHR >ORUFI04G04150.1 pep chromosome:OR_W1943:4:4863694:4864342:-1 gene:ORUFI04G04150 transcript:ORUFI04G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMRKFFLAIAILLLLVVATEALAPAQSSVRPCETKSLRFEGFCMVEDNCANVCRTEGFVDGRCSTFVRNCICIKPC >ORUFI04G04160.1 pep chromosome:OR_W1943:4:4864568:4865131:-1 gene:ORUFI04G04160 transcript:ORUFI04G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGSNATSLNSWRPPHMATISAMSESLRFTNATDRLNSGCNARVTWEMAPRETLAASRSGSPWSAHDEVSLGDHRWVPRDKLIDEALSRWETWDESNWNQLVRLEGRAQAFCGQ >ORUFI04G04170.1 pep chromosome:OR_W1943:4:4879142:4884946:1 gene:ORUFI04G04170 transcript:ORUFI04G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLCRCFAYMPRMLPQKSSAVHDRTHSVTPPVFSVTTAVSGGVRLYLLDGRGGEMVSVRTVLRVVLNFAFLSQTSLRRGARACRIVVPHHKRAAINLTALPGPLTSMEEGEEEEEAEAVAEKAADEAVENRVDTPGYTPTPSLGHNETRVESNSSPLHRKDLEGAKALVAFSAGKAVLTTKFGNISIGSEDEIEAQSEIRIAAGTE >ORUFI04G04180.1 pep chromosome:OR_W1943:4:4955479:4955835:-1 gene:ORUFI04G04180 transcript:ORUFI04G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRCSILALLGLVLLFSNVIAEGAGLNKAIFSRKGLKEERKLAVTGTASSLGGLQGQSSSTGVGGVSSNNAESTNSETGDSSSAYTPMSTATTTDSHHDMSMDQYRKIIHNSQMNKP >ORUFI04G04190.1 pep chromosome:OR_W1943:4:4961953:4962460:-1 gene:ORUFI04G04190 transcript:ORUFI04G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINIKPLRILNINKCALSSKFADHTKMKVSTVAVLVMVAMLMMSVAVYSDDASSHGVTEKQVAAAANAHGSNGGSVVTEAASTDSTAGASGTSSAGASGTNIDSNYYVTMKGYMEYMRKYGGKQP >ORUFI04G04200.1 pep chromosome:OR_W1943:4:4982422:4984644:1 gene:ORUFI04G04200 transcript:ORUFI04G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAIGGWIASACISKLVDKVCSYAGDQYEYQREDTKDKLRSLKESLLTVQELVCRAERLQGKETNIANQLRGIKDAAYQAEDVLDLFDYRVLEAQAEVMDKVTTKAQVMGKVTTNSISSAIAGSSSSCSSSSSSITTLSSDSTVKRSVRALKRFLFCDEDLTKLAAAVTIFNEIDSRMKTLLELLKLENRAPEHPVQWRTTTSMLDTTKFFGRVSEGKHLKKLLIQKNKKSSQPYDVISIVGIAGVGKTALAQKVYSIFYDKEKHFDFMAWLHVSNKFDVERLIKELVQSVHLCTAAELSSISSLDQAQRILKDKLKGSRILVVFDDVWNEMSSQWENLCKPLQSTSKGSKLIVTTRSQNVANINGATEIVHLDGLEDEDYWEHFLQCAFHDANPSQFPQLESIGQELVKKLAGSPLAAQTVGNLLKFKLDEKHWETVNGRKLWQIEQKEDGIMPALRLSYVRLPDHLKQCIIYFALFPKNYQLRGDALIRMWRAHGFVNKETPDETAYRYINDLLQISFIRKVANIEDHYVVHDLLHDFAESISNGEHFRIEDDFHVSIPTNVRHLYVNASNISTVYASLEENIEIKKNLRSLIICKADVASWSWTRTSNFNYALEETLKELGSLRVLVLRHPAGVLPNNIQHMVHLRYLDIKESREFTFLPTSLFGLYHLQALSLQSRYVNRLKIGLENGISRLTQLRYLDAPPGIISGIKLIGKLTFLQEFNHGNAKKRKRTASL >ORUFI04G04210.1 pep chromosome:OR_W1943:4:4989011:4992251:-1 gene:ORUFI04G04210 transcript:ORUFI04G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEVQQKQNRGGCSSTSREANAGAHIGCGFINKRIRSSGILIGVASGDPEIGQSKNGDVLAVDRRRRLLHPVARFDSSVFCVEEASCDGILVLWRKRAIGAHSSVRFSICNPTTRQSGDIPLPLLTGFMLMGLYRHRPTGEYRLLLYRIELPASERLVDVLIPGDRNACYVYTLGSGDLPRCIGWPEAEANGASVLLHGSLHWYKRIEDMILAFDITAESFRWMQSPFGKAVWRAFHGLFEINCMLGMYCCDDGATFVDIWVLEDYEREVWSLKYRVELPVLEIRRELDVKRDLYSVMVSSEDGDVLVLVNCKRAMLYIGTDGKLLASLPHDNDGLSITPVKLRASLVPHAFFPSLLDYVVNASPFV >ORUFI04G04220.1 pep chromosome:OR_W1943:4:4993411:4994026:1 gene:ORUFI04G04220 transcript:ORUFI04G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHPLGEVSDDNRWKPHLTESACPYAGYQYYYQRDDATDRLNKNATVQLVQYALMQEIKATVRAAERCQNKNSSIANWKSTYQVEYVPYLLAYLILEAICEAMDKVTNEFVHSSAACSPYSMMTTIITTTSVANSSSNSTVTHSSYILKCIFSPMKTLMA >ORUFI04G04230.1 pep chromosome:OR_W1943:4:4994900:4996102:-1 gene:ORUFI04G04230 transcript:ORUFI04G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATRGLPPVSPGLPLPEELVVWEILVRLPPKPLLRCRLVCRAWRRLTSTRDFLLAHHRHQPSLPLIAACDYADIMYLIDVLTLTLGRRRGAAARLHPVARYASTADYLDSSCDGLLLISWNTGPPQYSICNPTTRQFGDLPLISGFMFLGLYQHSPTGDYRILLYRAEKVVLEDLIPGHVERDASYVYTLGSNDMPRRIGWAEPEMSMLAGHSRRCRPAQLHGSLLHWYHSIKHMILVFDTTAESFRWMRAPIDKTENELNRELWADVLEMDSTLGLYCCNHDKTIVNIWALQDYEQEVWSIKYEVELPVTCIRGELDVGDSWSVMVSSEDGDEVVVVLVDCGQSVLCFDTDGKLLARLEHDGNDIMVTRMKLKQSLVPHAFFPLLKSYVVNDLPFI >ORUFI04G04240.1 pep chromosome:OR_W1943:4:5023911:5026912:-1 gene:ORUFI04G04240 transcript:ORUFI04G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLAIHVVGLVRQKFTNHQPKALKVKVGWTSEKSLASVDCNNIHARSSIRVECRLPLQLLSLDEDSDSDYSDKLHEPGQNKVNHVKTTLKGKEVMASTTVKRPRGRPPKRGKARK >ORUFI04G04250.1 pep chromosome:OR_W1943:4:5027019:5032462:-1 gene:ORUFI04G04250 transcript:ORUFI04G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPSVGVLHEDDCDEVKFFEKPRKNLQSDDENVDERPGWLPDGWIMEVYRGDDGTICRYYICPFSGITFTMKSEVLHYLFSEMDQRFMESKNCAVGCNLMRTHEWLPKGWLVEIRAGGDNMDKMYKRRHLRPPPPSMSLQRGLDNTRSSGDHRRLGRATDIVRDAAEAHRPLDAVAGVVTMLTDLRSSLVSGKDMAGCSNGPVREVSTTDGAGDMRGEPHVDAGGVEHMAAPGEEAELLAIVHKLGEADRALERPMPGLHRPRCRRKYTAKHLHMKDLLTIVAMLAAHFQTLLEESLRLLLHFYVYPPDRVRLFSKDDILAILEFNPEGLPQGWVKEVVFRKTNTSRIRRDRHYTDPIKNYVFRTMRSAALYLETRKVIIRAFVQKTSVHEVYSFEKYSEKRISSKPSTLKRGTNQLCTQSSKLQKLSLKEEILSDEQGSSSGKFSVVLCRAPSPILDLLVSSPWCGGVVVLLEVAIAGGRLGSCGFGGSASDGICGGFGYTVLEATLTVAVAVACSAVVTIIHEDSEFGEANVVPHCVGCLM >ORUFI04G04260.1 pep chromosome:OR_W1943:4:5030242:5030802:1 gene:ORUFI04G04260 transcript:ORUFI04G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHYAFVAHVIVLLSIAHAAPRPPLPRIVAVGAVLCTPARPFASSVGNIGGGTWGSTYATARAVKAGHGALECAVCLAEFVDDGEKLCLLPGCCHVFHTACIDVWLAAHVTCPVCRADLADRAVAAAGHVLAADQAAPQVGEHGHHARDRIKRAVGFGGIADNVGGASEPAMVAAAPCIVQATLE >ORUFI04G04270.1 pep chromosome:OR_W1943:4:5036187:5036915:1 gene:ORUFI04G04270 transcript:ORUFI04G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPFAALHRLLGCRLDLQRAIPPHHQERKEIPAFFSYSCLVLLSFGSKSVQGET >ORUFI04G04280.1 pep chromosome:OR_W1943:4:5043996:5045389:-1 gene:ORUFI04G04280 transcript:ORUFI04G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSPKITTAKSTSDQRKVSATCYDCGEQHLKNTRSSARKVTKLQVSLPTRRMAVRRYSQVTSTTHDTNRHLNVQPPPQRIIVKGTVRGRIVPPAIVSSLQHQRQQGKQCQENNSSLQLQRGSTLLRQHPQQVLSAPGRPTVVSSSNAPHIAPIRRPVCKSPLGNPMSKKIPPAIAVKRIAIPSPKINAQSNVSDATSISRN >ORUFI04G04290.1 pep chromosome:OR_W1943:4:5050713:5055442:1 gene:ORUFI04G04290 transcript:ORUFI04G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPSVAILDDDDRDEVKSSEEATKNLQSDDDDVDGRPGWLPDGWIMEVYCDDDGTIYRYYICPLSGTTFTTKSEVLDYLFSEMDQCFLESKNHAVGSNLTRTHEWLPKGWLVEIRAGGDNMDKMYKILAILEFNPEGLPQGWVKEVVFRKTNTGKIRRDRHYTDTIKNYVFRTKRSAALYVETGKVTIRAFVQKTSVHEVYSFEKFTHLHESLWKRLELGGTNQLRTRSSKLRKLSLKEEILSDEQGSTSEKLALVFALLAGLATTIYGHGAACVKQMCSTPRSPVGWTSKISLASVDCSSICVRSSIQAERSLPMRHLSLASQLVLLRFIGELRTEDCDSDNSDTAHGAGQNKVSHLASTTVKHPRGRPPKQGNARK >ORUFI04G04300.1 pep chromosome:OR_W1943:4:5106723:5158895:1 gene:ORUFI04G04300 transcript:ORUFI04G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPSFGILDDNDCDEVESSEEATKNLQSGDDDVDDRPGWLPDGWIMEVYHGDDGTIYQYYICPVSGSTFTMKSEVLHYLFSEMDQRFMTHEWLSKGWLVEIRAGGDNMDKMYKFYVYPPHRVRLYSKDDVLLYIKEMKISGFDTDGQCNTRLPQGWVKEVVFRKTNTGGIRRDRHYTDPIKNYVFRTMRSAALYVETGKVTIRAFVQKTSVHEVYSFEKFTHLHESLQKRLNLGRTNQLRTRSSKLEKLALKEEILSDEQGSSSAEDGDSDYSATLKEARQKKANRMKTSLKGKEVMASTTVVLEGGPQNEEMQEIGAKPGGVRAARVASATGGRGTRASLWRRSRRVRALVREARGSITTASLLVAWPREGKEVGLPSLSPSFRILDDNDCDEVKSSDESTKNLQSDDDDVDDRPGWLPDGWIMEVYLGDDGTIYRYYICPVSGRTFTMKSEVLHYLFSEMDQCFTESKNRAVGSNLTRTHEWLPKGWLVEIRAGGDNMDKMYKFYVYPPNRVRLFSKDDVLLYIKEMKISGFDTDGQCNTSTQENILAILEFNPEGLPQGWVKEVVFRKTHTGRIRRDRHYTDPIKSYVFRTKRSAALYVETGKVTIRAFVQKTSVHEVYSFEKFTHLHESLQKRLNLGRTNQLRTRSSKLQKLSLKEGILSDDQSSSSVLVAMVAQCGNFSKVVVCLLDDRLVWWLGWRQWPLFTRAANGNSDYSDTLQEAGQKKVNHVKATLKGKEVMASTTVKRPRGRPPKRGNARK >ORUFI04G04300.2 pep chromosome:OR_W1943:4:5106723:5158895:1 gene:ORUFI04G04300 transcript:ORUFI04G04300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPSFGILDDNDCDEVESSEEATKNLQSGDDDVDDRPGWLPDGWIMEVYHGDDGTIYQYYICPVSGSTFTMKSEVLHYLFSEMDQRFMTHEWLSKGWLVEIRAGGDNMDKMYKFYVYPPHRVRLYSKDDVLLYIKEMKISGFDTDGQCNTRLPQGWVKEVVFRKTNTGGIRRDRHYTDPIKNYVFRTMRSAALYVETGKVTIRAFVQKTSVHEVYSFEKFTHLHESLQKRLNLGRTNQLRTRSSKLEKLALKEEILSDEQGSSSAEDGDSDYSATLKEARQKKANRMKTSLKGKEVMASTTVVLEGGPQNEEMQEIGAKPGGVRAARVASATGGRGTRASLWRRSRRVRALVREARGSITTASLLVAWPREGKEDDNDCDEVKSSDESTKNLQSDDDDVDDRPGWLPDGWIMEVYLGDDGTIYRYYICPVSGRTFTMKSEVLHYLFSEMDQCFTESKNRAVGSNLTRTHEWLPKGWLVEIRAGGDNMDKMYKFYVYPPNRVRLFSKDDVLLYIKEMKISGFDTDGQCNTSTQENILAILEFNPEGLPQGWVKEVVFRKTHTGRIRRDRHYTDPIKSYVFRTKRSAALYVETGKVTIRAFVQKTSVHEVYSFEKFTHLHESLQKRLNLGRTNQLRTRSSKLQKLSLKEGILSDDQSSSSVLVAMVAQCGNFSKVVVCLLDDRLVWWLGWRQWPLFTRAANGNSDYSDTLQEAGQKKVNHVKATLKGKEVMASTTVKRPRGRPPKRGNARK >ORUFI04G04300.3 pep chromosome:OR_W1943:4:5106723:5158895:1 gene:ORUFI04G04300 transcript:ORUFI04G04300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPSFGILDDNDCDEVESSEEATKNLQSGDDDVDDRPGWLPDGWIMEVYHGDDGTIYQYYICPVSGSTFTMKSEVLHYLFSEMDQRFMTHEWLSKGWLVEIRAGGDNMDKMYKFYVYPPHRVRLYSKDDVLLYIKEMKISGFDTDGQCNTRLPQGWVKEVVFRKTNTGGIRRDRHYTDPIKNYVFRTMRSAALYVETGKVTIRAFVQKTSVHEVYSFEKFTHLHESLQKRLNLGRTNQLRTRSSKLEKLALKEEILSDEQGSSSAEDGDSDYSATLKEARQKKANRMKTSLKGKEVMASTTVVLEGGPQNEEMQEIGAKPGGVRAARVASATGGRGTRASLWRRSRRVRALVREARGSITTASLLVAWPREGKEDDNDCDEVKSSDESTKNLQSDDDDVDDRPGWLPDGWIMEVYLGDDGTIYRYYICPVSGRTFTMKSEVLHYLFSEMDQCFTESKNRAVGSNLTRTHEWLPKGWLVEIRAGGDNMDKMYKFYVYPPNRVRLFSKDDVLLYIKEMKISGFDTDGQCNTSTQENILAILEFNPEGLPQGWVKEVVFRKTHTGRIRRDRHYTDPIKSYVFRTKRSAALYVETGKVTIRAFVQKTSVHEVYSFEKFTHLHESLQKRLNLGRTNQLRTRSSKLQKLSLKEGILSDDQSSSSDGNSDYSDTLQEAGQKKVNHVKATLKGKEVMASTTVKRPRGRPPKRGNARK >ORUFI04G04300.4 pep chromosome:OR_W1943:4:5106723:5158895:1 gene:ORUFI04G04300 transcript:ORUFI04G04300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPSFGILDDNDCDEVESSEEATKNLQSGDDDVDDRPGWLPDGWIMEVYHGDDGTIYQYYICPVSGSTFTMKSEVLHYLFSEMDQRFMTHEWLSKGWLVEIRAGGDNMDKMYKFYVYPPHRVRLYSKDDVLLYIKEMKISGFDTDGQCNTRLPQGWVKEVVFRKTNTGGIRRDRHYTDPIKNYVFRTMRSAALYVETGKVTIRAFVQKTSVHEVYSFEKFTHLHESLQKRLNLGRTNQLRTRSSKLQKLSLKEGILSDDQSSSSDGNSDYSDTLQEAGQKKVNHVKATLKGKEVMASTTVKRPRGRPPKRGNARK >ORUFI04G04310.1 pep chromosome:OR_W1943:4:5115721:5116857:-1 gene:ORUFI04G04310 transcript:ORUFI04G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAARTGLPPRRRGLPEEIVVWEILVRLPPKPLLRCRQVCRAWRRLTSTGDFLFTHHRHQPSLPLVDRYKCNEEFLLGIVSLDRRAAAARLQPVAQLDDTCYMMNADASCDGLLLLSIGGIWNTCYVYTLGSSDVPRCIGWPETSASGATVVLHGSLHWYQRSQDRILVFDTTAESFRWMRAPSDRMKRTLDSGNLFDMDDIWVLQDYKREIWSLKYQVELPVPEIRGMLGGAYHWSAMVLSQEGDVLVLVSCDRWLFYIDTEGNLLASFQHYGDGLFTTGLKLKPSLVQHAFFPLLDSYAVNASPFI >ORUFI04G04320.1 pep chromosome:OR_W1943:4:5175788:5179534:1 gene:ORUFI04G04320 transcript:ORUFI04G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILVSASTGAMNSLLKKLAAMLRYEYKLHKDVYEDIEFMKDELEAMRAFLLKMSEVEDPDVQAKLQIRAVRELSYDIEDYIDKSMVLIDHEPSSRTRGFKEFIDKSKNLLTKLKTQHQIAKDIKVIKKQVIEVSERFTRYKVDEGTSKLQNSTIDPRVCAIYKDASDLVGIHEPVEDIIKCFTYEDELAKDLKVVSIVGFGGLGKTTLANQVYRKLAAKFECRAFVSISRNPDMRSILKSLLSQICNQEYVQTDAWDENQIISTIRKLLEKKRIFDSEENCPSHLVEASNAILRKCGGLPLAIITTSSLLAKKNKTDQWDRIQRSIEDFRIPRMHLIDRWIAEGFIQGDSRQNLYKLGNSYFYELINRSLVQPLEIRIDGQARSCRVHDTIHDFLLSRSIEENFAATINYPQLTCLSTPDMKIPTIFWGAKQLPTFSNFSTLRVLDLWFCAECGLENHHLETVTRLSQLRYLTIQGKKITELPRKFGDLKCLEVLDVIATSVKELPKSTTQLQRLAVLYVNDGTKLPDQFKNMQMLEEVVGIDVFRHSMEFLEGLCELKNLWRLSINWDIDRLEGNKVRYKEIIASSLCKLEACNLHDLSIHVHLRNNDDFSSLLLPLNSIKSFVLRGESISKVNIWLSSLVSIRRLALTIKDIDQDDLQVLGSIPSLTNLYLCLDPDQNERSIAISDIHGFQQLEPFTFQSTYTGLMFEAGSMPRLGQLSFGINVANFKSTYGGFCLGIQHLSCLTMVSVSTNRLGAKLGDVEAVEDAFRCMVEAHPNQPTLEIETDNLRE >ORUFI04G04330.1 pep chromosome:OR_W1943:4:5182104:5183216:-1 gene:ORUFI04G04330 transcript:ORUFI04G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSALPPRRRGLPEELVVWEILVRLPPKPLLRCRLVCRAWRRLTSTRGFLLAHHRHQPSLPLVVGYGCDGGSLLDILTLDRRDAARPRLHPVARLKNAAHFVSASCDGILILNMTNCGEFYYSVCNPTTRQFADLPMLTGFFVMGFYQHRPTGEYRLLLYYQFRPEGSEDRYACYVYTLGSSEMPRCIGWMEEVATCTAVVLLHGSLHWYNYKTDKILIFNTASESFWSMRQADKMNGNDLFEIDGTLGIYFCNDDATIVDIWVLQDYKTEFWSLKHRIELPVPDIKGKLDDGDDWSAMVLSEDGDVLVLVYYRQWLLYIGTDGKLLASFQHDVGCHYNTPLKLKQSLVPHAFFPLLKGYVVNARPFI >ORUFI04G04340.1 pep chromosome:OR_W1943:4:5192634:5193840:1 gene:ORUFI04G04340 transcript:ORUFI04G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDMRSTSRIGVSWSGVTAPLMFLPNEAEDVQVASTSVEKSVAKLMDGGTDNAARRAPGRELAVRARAAVGRASPSRSCTSPLRPRACRSPAPTWRWRGQAEWMAAQTMQLGGHTLESSL >ORUFI04G04350.1 pep chromosome:OR_W1943:4:5195712:5197037:1 gene:ORUFI04G04350 transcript:ORUFI04G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIVNKSSPELVGPPTTKPVAPTVADVINLSSFDKAIGSYLFTSFHVFDNGIVEPAMTIKGALSQALVYYYPIAGRLVITGAADGGGDQLCVSCTGEGVAFVSATASCALDDVKLFDPPFAALLKELAVAHPAAGEAEADPLLLMQVTEFACGGFVVGMTWNHVVADGKGIAQFLRAVGELARGLPRPSVLPVSCGDDSLPELPPLVAAMEKAMLTQESKQFAYLDVTIPSSVIGRVKAAFDDGGDVARSGGEPCTVFEAVTAALWRSRTRAVLISGDSDADTPAPLVFAANVHKHVGAKPGYYGNCVTSQVVAATSGEVANGDANDVVKLIRRAKELIPAQFENGGVAMNGAAARVERQLMSALFGYNAFYVASWRNIGFEAVDFGGGRPARVMCHVGPTAVPSCVACLPRDSGGASVLLLCVKEGHVDAFLAELESFK >ORUFI04G04360.1 pep chromosome:OR_W1943:4:5199240:5205577:-1 gene:ORUFI04G04360 transcript:ORUFI04G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGEAFRRLPPPLLLLLLLAAGVARGQQTTAPPVVPDALNNLTRDVADYISHRFSFCVADPTEDWNEAFNYTSDLGFVHNCLAETGGDLGGRLCTPDEVKFYFSSLYDRKGEKNLFLKTNINCNRSSWVQGCEPGWACSVGSGPNPQGNIPPRTANCQPCCEGFFCPRGLTCMLPCPLGSYCPRATVNTTTGLCDPYKYQITPNTTGCGGADTWADFGSTEEMFCPAGYYCPTPTKKEPCSEGHYCRLGSTTQDIIVSTIQQAEFIQNLQNGSCKEKTENENIVILGGCLVGMLFVFLLIIYNCSGQFLTIREKRKARSRENAIQLARQQLRAHEGWKAAKRLARKHVNDMQSHLSRTFSRRRSFRQHLDSENSGHRLQEAPLFMNQELSDSAAFSAHQSTGEISEVTPSVVVDVSDDGEIVAGKDRSAPKGKHRSTHTQIFKYAYGEIEKEKVRQQENKNLTFTGVLSMVSEQQKEITRPLLKVEFKDLTLSLGKKKLLRSINGELRPGRVTAVMGPSGAGKTTFLNAVTGKVAGYKVSGSVLVNGRHDNIRSYKKIIGFVPQDDVVHGNLTVEENLWFSAKCRLSATTAHRHKVLTVERVIDSLDLQGVRSSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTSGLDSSSSQLLLRALRHEALEGVNVCAVVHQPSYTLYNMFDDLILLAKGGLIVYNGPVKSVEDYFSTLGITVPERVNPPDHYIDILEGIVKPESGINAKHFPLHWMLYNGYEVPNDMKDDLKAIGEQRPHLGSSPSAGSTPHCLPHVRNAFAEERDRIEHHLSKPKDLSSRRTPGVIRQYKYYLGRVTKQRLREARLLAVDFLILGLAGICLGTIAKLSDPNFGMPGYIYTIIAVSLLCKIAALRSFSLERLQYLRERESGMSSLAYFLARDTIDHFSTIVKPIVYLSMFYYFNNPRSTITDNYIILLALVYCVTGIGYTFAICFNPGSAQLVSWSLAYNSLWVVVQKSFRHSSLYALYFGSVYVWSVLQNCCICGLDFSEEEMSRGQLHQLFTFDSFIILYSCKSVLILQMKYREYFTCVS >ORUFI04G04360.2 pep chromosome:OR_W1943:4:5199240:5205577:-1 gene:ORUFI04G04360 transcript:ORUFI04G04360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGEAFRRLPPPLLLLLLLAAGVARGQQTTAPPVVPDALNNLTRDVADYISHRFSFCVADPTEDWNEAFNYTSDLGFVHNCLAETGGDLGGRLCTPDEVKFYFSSLYDRKGEKNLFLKTNINCNRSSWVQGCEPGWACSVGSGPNPQGNIPPRTANCQPCCEGFFCPRGLTCMLPCPLGSYCPRATVNTTTGLCDPYKYQITPNTTGCGGADTWADFGSTEEMFCPAGTIADWVLPLKTGSCKEKTENENIVILGGCLVGMLFVFLLIIYNCSGQFLTIREKRKARSRENAIQLARQQLRAHEGWKAAKRLARKHVNDMQSHLSRTFSRRRSFRQHLDSENSGHRLQEAPLFMNQELSDSAAFSAHQSTGEISEVTPSVVVDVSDDGEIVAGKDRSAPKGKHRSTHTQIFKYAYGEIEKEKVRQQENKNLTFTGVLSMVSEQQKEITRPLLKVEFKDLTLSLGKKKLLRSINGELRPGRVTAVMGPSGAGKTTFLNAVTGKVAGYKVSGSVLVNGRHDNIRSYKKIIGFVPQDDVVHGNLTVEENLWFSAKCRLSATTAHRHKVLTVERVIDSLDLQGVRSSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTSGLDSSSSQLLLRALRHEALEGVNVCAVVHQPSYTLYNMFDDLILLAKGGLIVYNGPVKSVEDYFSTLGITVPERVNPPDHYIDILEGIVKPESGINAKHFPLHWMLYNGYEVPNDMKDDLKAIGEQRPHLGSSPSAGSTPHCLPHVRNAFAEERDRIEHHLSKPKDLSSRRTPGVIRQYKYYLGRVTKQRLREARLLAVDFLILGLAGICLGTIAKLSDPNFGMPGYIYTIIAVSLLCKIAALRSFSLERLQYLRERESGMSSLAYFLARDTIDHFSTIVKPIVYLSMFYYFNNPRSTITDNYIILLALVYCVTGIGYTFAICFNPGSAQLVSWSLAYNSLWVVVQKSFRHSSLYALYFGSVYVWSVLQNCCICGLDFSEEEMSRGQLHQLFTFDSFIILYSCKSVLILQMKYREYFTCVS >ORUFI04G04370.1 pep chromosome:OR_W1943:4:5219060:5219611:-1 gene:ORUFI04G04370 transcript:ORUFI04G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDLILYNLVPAQPLNPSAIPNPNPDLSIAAAEPPSSDGATPRRVRPRKSPSSSDRHSKVAGRGRRVRIPAMVAARVFQLTRELGHRTDGETIEWLLRQAEPSIIAATGTGVTPEEAPPAAVAIGSSSVAAAAAAAGHGGAFVHVPYYTALLMQPPNADEPPMASAASASGTTAADENNN >ORUFI04G04380.1 pep chromosome:OR_W1943:4:5222873:5226575:1 gene:ORUFI04G04380 transcript:ORUFI04G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQGLPPPICPQAKVQQNHEYKQRKDASIYNCHRSMILSWLPLQLQSNSGTLSLWEFHERYWSPDFKIGRSFRGCHFNCRSRELLWTHGRSCIHLIGDHVNAIFSCWP >ORUFI04G04380.2 pep chromosome:OR_W1943:4:5222953:5226575:1 gene:ORUFI04G04380 transcript:ORUFI04G04380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLLRSISGISSTTNIDSGSDKYSCIVVRSTLVYHDSPLQLGPSNSGTLSLWEFHERYWSPDFKIGRSFRGCHFNCRSRELLWTHGRSCIHLIGDHVNAIFSCWP >ORUFI04G04380.3 pep chromosome:OR_W1943:4:5222873:5226575:1 gene:ORUFI04G04380 transcript:ORUFI04G04380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQGLPPPICPSNSGTLSLWEFHERYWSPDFKIGRSFRGCHFNCRSRELLWTHGRSCIHLIGDHVNAIFSCWP >ORUFI04G04390.1 pep chromosome:OR_W1943:4:5228683:5229159:1 gene:ORUFI04G04390 transcript:ORUFI04G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLDHVLCFVFVVYAKLGLVASSAQYIVMWQLAPSMWIVDYFADYSIVPIILLFWCSSSGCRLSPFLMAPSFLARPSGRLVVLRPGSCLRRRRRLAAAPAIGLVAGDNLTVPLRCACPSLPQFAVVVAAALDARHDMACTRGEK >ORUFI04G04400.1 pep chromosome:OR_W1943:4:5235633:5242958:1 gene:ORUFI04G04400 transcript:ORUFI04G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALGTHNGTLHILDFQGNQVKEIAAHTATINDISFDADGEYIGSCSDDGTVVISSLFTDEKLKFEYHRPMKAIALDPNYYNNYRRFATGGLAGQVLVLTKKSWGGGYHKKVLRDGEGPIHSMKWRTDLLAWANDAGVKVHDMKTDKGIAFIERPKGIPRPEFLLPQLVWQDDTILVIGWGTSVKIAAIRTDSSQGLNGIQRSITASNEKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPEEEDRENSFSNSVPSRQGTAQRPEIHLVSWKNDELTTDALPIHGYEHYKAKDYALAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDAEDHISWLLQHGWHEKALAAVEAGQGRTELLDEVGSRYLDHLIIERKYAEAARLCPKLLRGSPSAWERWVFHFAHLRQLAVLVPYIPTENPQLSDTAYEVALVALTTNPSFHELLLTTVKKWPPTLYSASPVISAIEPQLNSSSMTDSLKEALAELYVINSQYDKALSLYAELLKPEVFEFIEKHNLHDAIHDKVVNLMILDSKRTVNLLIQHRDTIPPNEVVGQLLHASKSCDKRHLLHLYLHALFETDMNAGKDYHDMQVELYAEYEPRMLIPFLRTSQHYRLDKAYEIFAQKELVREQVFVLGRMGNAKEALSTIINKLEDIQEAVEFVMEQHDDELWEELIRQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPRLRDRLVKIVTDYRTETSLRHGCNDILKADCVNLLVKYYHEARRGVYMASMDEEVTGTRVAEGSSRANERSSSLRALEIKSRTRCGARCCLCFDPLSIQDISVIVFYCCHAYHTSCLEGGLDLMKSNSSTQDSDEDDEGTPSGESRMRCVLCTTAAA >ORUFI04G04400.2 pep chromosome:OR_W1943:4:5235458:5242958:1 gene:ORUFI04G04400 transcript:ORUFI04G04400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAARRGHPPPHENGVGGHADDEPDEDGEEEEEEEGEGEVEDDEEEEPRLKYQRLGGSVPAIVSTDAAAAIAVADRMVALGTHNGTLHILDFQGNQVKEIAAHTATINDISFDADGEYIGSCSDDGTVVISSLFTDEKLKFEYHRPMKAIALDPNYYNNYRRFATGGLAGQVLVLTKKSWGGGYHKKVLRDGEGPIHSMKWRTDLLAWANDAGVKVHDMKTDKGIAFIERPKGIPRPEFLLPQLVWQDDTILVIGWGTSVKIAAIRTDSSQGLNGIQRSITASNEKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPEEEDRENSFSNSVPSRQGTAQRPEIHLVSWKNDELTTDALPIHGYEHYKAKDYALAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDAEDHISWLLQHGWHEKALAAVEAGQGRTELLDEVGSRYLDHLIIERKYAEAARLCPKLLRGSPSAWERWVFHFAHLRQLAVLVPYIPTENPQLSDTAYEVALVALTTNPSFHELLLTTVKKWPPTLYSASPVISAIEPQLNSSSMTDSLKEALAELYVINSQYDKALSLYAELLKPEVFEFIEKHNLHDAIHDKVVNLMILDSKRTVNLLIQHRDTIPPNEVVGQLLHASKSCDKRHLLHLYLHALFETDMNAGKDYHDMQVELYAEYEPRMLIPFLRTSQHYRLDKAYEIFAQKELVREQVFVLGRMGNAKEALSTIINKLEDIQEAVEFVMEQHDDELWEELIRQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPRLRDRLVKIVTDYRTETSLRHGCNDILKVNIQEKDILKADCVNLLVKYYHEARRGVYMASMDEEVTGTRVAEGSSRANERSSSLRALEIKSRTRCGARCCLCFDPLSIQDISVIVFYCCHAYHTSCLEGGLDLMKSNSSTQDSDEDDEGTPSGESRMRCVLCTTAAA >ORUFI04G04400.3 pep chromosome:OR_W1943:4:5235458:5242958:1 gene:ORUFI04G04400 transcript:ORUFI04G04400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAARRGHPPPHENGVGGHADDEPDEDGEEEEEEEGEGEVEDDEEEEPRLKYQRLGGSVPAIVSTDAAAAIAVADRMVALGTHNGTLHILDFQGNQVKEIAAHTATINDISFDADGEYIGSCSDDGTVVISSLFTDEKLKFEYHRPMKAIALDPNYYNNYRRFATGGLAGQVLVLTKKSWGGGYHKKVLRDGEGPIHSMKWRTDLLAWANDAGVKVHDMKTDKGIAFIERPKGIPRPEFLLPQLVWQDDTILVIGWGTSVKIAAIRTDSSQGLNGIQRSITASNEKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPEEEDRENSFSNSVPSRQGTAQRPEIHLVSWKNDELTTDALPIHGYEHYKAKDYALAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDAEDHISWLLQHGWHEKALAAVEAGQGRTELLDEVGSRYLDHLIIERKYAEAARLCPKLLRGSPSAWERWVFHFAHLRQLAVLVPYIPTENPQLSDTAYEVALVALTTNPSFHELLLTTVKKWPPTLYSASPVISAIEPQLNSSSMTDSLKEALAELYVINSQYDKALSLYAELLKPEVFEFIEKHNLHDAIHDKVVNLMILDSKRTVNLLIQHRDTIPPNEVVGQLLHASKSCDKRHLLHLYLHALFETDMNAGKDYHDMQVELYAEYEPRMLIPFLRTSQHYRLDKAYEIFAQKELVREQVFVLGRMGNAKEALSTIINKLEDIQEAVEFVMEQHDDELWEELIRQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPRLRDRLVKIVTDYRTETSLRHGCNDILKADCVNLLVKYYHEARRGVYMASMDEEVTGTRVAEGSSRANERSSSLRALEIKSRTRCGARCCLCFDPLSIQDISVIVFYCCHAYHTSCLEGGLDLMKSNSSTQDSDEDDEGTPSGESRMRCVLCTTAAA >ORUFI04G04400.4 pep chromosome:OR_W1943:4:5235458:5242958:1 gene:ORUFI04G04400 transcript:ORUFI04G04400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAARRGHPPPHENGVGGHADDEPDEDGEEEEEEEGEGEVEDDEEEEPRLKYQRLGGSVPAIVSTDAAAAIAVADRMVALGTHNGTLHILDFQGNQVKEIAAHTATINDISFDADGEYIGSCSDDGTVVISSLFTDEKLKFEYHRPMKAIALDPNYYNNYRRFATGGLAGQVLVLTKKSWGGGYHKKVLRDGEGPIHSMKWRTDLLAWANDAGVKVHDMKTDKGIAFIERPKGIPRPEFLLPQLVWQDDTILVIGWGTSVKIAAIRTDSSQGLNGIQRSITASNEKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPEEEDRENSFSNSVPSRQGTAQRPEIHLVSWKNDELTTDALPIHGYEHYKAKDYALAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDAEDHISWLLQHGWHEKALAAVEAGQGRTELLDEVGSRYLDHLIIERKYAEAARLCPKLLRGSPSAWERWVFHFAHLRQLAVLVPYIPTENPQLSDTAYEVALVALTTNPSFHELLLTTVKKWPPTLYSASPVISAIEPQLNSSSMTDSLKEALAELYVINSQYDKALSLYAELLKPEVFEFIEKHNLHDAIHDKVVNLMILDSKRTVNLLIQHRDTIPPNEVVGQLLHASKSCDKRHLLHLYLHALFETDMNAGKDYHDMQVELYAEYEPRMLIPFLRTSQHYRLDKAYEIFAQKELVREQVFVLGRMGNAKEALSTIINKLEDIQEAVEFVMEQHDDELWEELIRQCLQKPEMVGMLLEHTVGNLDPLYIADCVNLLVKYYHEARRGVYMASMDEEVTGTRVAEGSSRANERSSSLRALEIKSRTRCGARCCLCFDPLSIQDISVIVFYCCHAYHTSCLEGGLDLMKSNSSTQDSDEDDEGTPSGESRMRCVLCTTAAA >ORUFI04G04410.1 pep chromosome:OR_W1943:4:5244983:5246131:-1 gene:ORUFI04G04410 transcript:ORUFI04G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRARLLSAAAGPVLPDELVWEILARLPARGLLRCHAVCRDWRRLATSADFLLAHHRHQPPRPLVFGCARWRSGAAADADAAVDSVDLIRQPAERRRVLGFSDYRQHQSFKIHSSCDGLLLFVSGRAFYICNPATRQVTPLPALTGGGSQVTLYPHPSSGDGEYRVLKWKYPDAVCILAVGSSEKPRRIGLPEAFLPPVFWIDEIGFLPPVLLHGCLHWHLRKPEDAILVFDTVAESFRWMVSPNVDGYGAHLVEIDGGMLGIGIVTQGMAKLWVLQDYETEVWSLRYHVKLPVARMRSIAREGFFSWKIVCHRGEILVYIQSSVFLFLCDTKGNLREKIHLDNMLPCAMRHCLKESLVNHAFFGRHGGAHVGQPQFFCGL >ORUFI04G04420.1 pep chromosome:OR_W1943:4:5248873:5253508:-1 gene:ORUFI04G04420 transcript:ORUFI04G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVACGESLDLTHFRPKPLSPYLAGIVVKGPLMPYLIGTMEKGVGVAKPLRTQPMTPYLISTMAKGGRVAKPLRPQHLTPCLIGTMAKGMGGRNLLDLTHFRPKPLSPYLAGTVVKGVGVAKGVPFRPFGLSSPRTAPAVVEWTTMPRRKAWFSQ >ORUFI04G04430.1 pep chromosome:OR_W1943:4:5257718:5260928:1 gene:ORUFI04G04430 transcript:ORUFI04G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEWPYPLLSKEIDARHRAKKISDGNSCSSQAVLIPRTAGWCGIDPRWKPRRPRHMTTTLTNNTWPSTHRRLASGCLHPRIPTSETDIAGELVRDAKTLWEKFRDGIVSTNQEVMAAVDSLRRKAQPEQVPPHSSTPITSQWQGGFALGNVNGPSRASYASCKATYDSSNGSRCANLTLARRICTICSQGIPTIPQLSADVIGQGGFTSLGGPTQSVPLHAPTYGTNPWQGQSMDYGGTSFGGGVQGYMDFLQQGDWLFGQYSSHPNKIPYMQALSTGLDFFCVIVVCSSFDNFIDIYIASVGSFRPKLMSGFRPYTAS >ORUFI04G04440.1 pep chromosome:OR_W1943:4:5278704:5279231:-1 gene:ORUFI04G04440 transcript:ORUFI04G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQELQIRWWLSSIALDPVAAVVGGGKNKTRLLPPLNGSAEDSGKGSNDLGGFHLFSDAAVDGIDFSTTVGGTVGVDPAVGDARLVGAWAILETVKEMDPAVASTTMTTDGLLQLPPLSQIRQAAGRGHLVVAARLEHGLRWGSDDGNHGSHGDVCIELPQSPTQLHDGNRRLED >ORUFI04G04450.1 pep chromosome:OR_W1943:4:5281005:5281463:-1 gene:ORUFI04G04450 transcript:ORUFI04G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAGMCGGGRGWWLGGVGAGGRRGCAGGGGRGWWQRLTMVQAAVVAGAGGGVHAFGPPDSAAARHGSYRSGGGWLQDHCIWLQRVAAGSPDKRMTPRHPSPGRTIPPPTHTLLRATVHRPSMVAC >ORUFI04G04460.1 pep chromosome:OR_W1943:4:5285383:5287673:1 gene:ORUFI04G04460 transcript:ORUFI04G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTEQELSTQDMLQGHIDLHHHLYGYHKSMALLCATDLGIPGAIHRRGGAATISDIVADTMIPPAKLPHLRRLMRVLSVSGIFAVEEDVYKLTPASRLLVGDKASCNFSPLVHLVVSPAMLTTFSSLSPWFRDGRNASPTALFEMAHGMPPWEMMKRDDTMNSALNDACVADSSFLMEIALRERGDVVFRGLRSLVDVGGGHGGAAMAIAKAFPDIKCSVLDLPHVISQAPDDGTHVLHCWDADDCVKILGQCKKAIPARGDGGKVILINPVIGYGVKQDSTLKETQVLADMNMIAIGGAEREEHEFKKIFLDAGFSDYRIMPVLGLMSIIEVYP >ORUFI04G04470.1 pep chromosome:OR_W1943:4:5288605:5289578:1 gene:ORUFI04G04470 transcript:ORUFI04G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFCSCMEDCVGFCWRICNLGVKPRARPRAGLGGGGEGLAPDPIFAGGLLYHMGRLMIFVGV >ORUFI04G04480.1 pep chromosome:OR_W1943:4:5299887:5306024:-1 gene:ORUFI04G04480 transcript:ORUFI04G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESTIEEKEGKGRKKKEKKKAASSHGLAATGARCRLAADATVPRLRKATAAPRPHPRRRTAALAPATPAPAPPHERTRPGHVLSRARRRSKAGPRRRLAVAPPRLATARRLATGGRRAAAARLLAWPRRHRRSPVAGRRSPSAATSHTWQEAIGVKQEALWKKNLGHQPPASHHLVRSSPWPPPSPDLEEKGGGWRKRMRKGPREKGGPASLLLLCHRRHQVRFNAGLLYHRRHH >ORUFI04G04490.1 pep chromosome:OR_W1943:4:5308045:5310491:-1 gene:ORUFI04G04490 transcript:ORUFI04G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGESKAATRGANRSSSSSSWKSRYIGYGLVLGFVLMLLYLMVNAQFSNSPNGYLGPATTSKTESIPATTYQGNQAWQEDGSRGLEEGHREEVASTHTERSTGQRQEKDDESEKQRTEKNSIEEQLGNDRSSNYWEEGRQSEKKDTIEFSEFGGGTDDFNHYLDGLKPVTVRSVPNPEDAPPCTTRLNVPAMVIELGGLTGNYWHDFTDVLVPLFIGARRFGGEVQLLVVNLLPFWVDKYRRIFSQISRHDIVDLEKDDDRGVVRCYPHVVVGYGSRKEFTIDPSLDDTGGGYTMVNFTEFLRQSYSLPRDRPIKLGTNHGARPRMMILERTNSRKLMNLPEVAAAARAAGFEVTVAGGRPTSTYDEFAREVNSYDVMVGVHGAGLTNCVFLPTGAVLLQIVPYGRLESIAQTDFGEPARDMGLRYIEYDIAADESSLMDVFGKDHPMIKDPVAVHLSGWGNVAEWYLGKQDVRVNIERFRPFLTQALEHLQ >ORUFI04G04490.2 pep chromosome:OR_W1943:4:5309249:5310491:-1 gene:ORUFI04G04490 transcript:ORUFI04G04490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGESKAATRGANRSSSSSSWKSRYIGYGLVLGFVLMLLYLMVNAQFSNSPNGYLGPATTSKTESIPATTYQGNQAWQEDGSRGLEEGHREEVASTHTERSTGQRQEKDDESEKQRTEKNSIEEQLGNDRSSNYWEEGRQSEKKDTIEFSEFVVKRGRVVDVVIN >ORUFI04G04500.1 pep chromosome:OR_W1943:4:5336037:5347720:1 gene:ORUFI04G04500 transcript:ORUFI04G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSNGEPWHESVVEVAEPMDFGEAERCSECGTERQSQRCWQSGAEGGGKRRSQRSGKQRRMRTVRGEWERAREARTSGSIVFLLVEVVAILFTGATSKDTTNPFTSLDCPSPPPSASPSPPSSAINSTFQSNVLALLDDLPSAAGPTGFASLSRGEGADRAFVRGMCRGDSTPDDCATYLRSAVLDINGHCNSNRRAAIWYDKCFLSYADTNASTAYENSYHAELYNVNNVTDKVGFERTYYALMSRLRARAANDTARMFAAGEAVYDPGADNGTMYGLVQCMRDRTAAECDRCLNDSVQQLPSCCWGHQGGVVLGYNYYVRVEIYTYYDLTVDAQPPSPGPSASSSKPSIGEGQGEPASILQLTALDEDATEATSVGDHGLMAVADLDREAVAEMEKGEGEAQSRGWLMTDDASVGWLLGHGKKGTNVIVATTVSIGTILLVAVFIAVFIYRRKTTKTMIPPDNTGNEEDNDYVDPPTLNLTVLRAATRNFSAENKLGEGGFGEVFKGILEDGEEIAVKRLSKTSSQGFHELKNELVLAAKLKHKNLVRLLGVCLQEEKLLVYEYMPNRSLDTILFEPEKRQQLDWRKRFMIICGIARGLLYLHEESSQKIIHRDLKPSNVLLDEDMIPKISDFGLARAFGGEQSKDVTRRPMVTGRRSNGMYASTKSDTYESADSTSLLSYVWEKWRTRSLADAVDASLGGRYPENEVFSCVQIGLLCVQENPADRPDISAVVLMLSSNSTSLQTPSKPAFFFGSGSIASLDAAGGHAFFGGRGSEVAAISSNKMSSNPISENEVTISELQPR >ORUFI04G04510.1 pep chromosome:OR_W1943:4:5360395:5361492:-1 gene:ORUFI04G04510 transcript:ORUFI04G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPFAEFLGAACAEDAGNKRPDWIIADTFHHWAPLVALQHKIPCAVLLPSASVVASSISQSQGQRVRLPCYEQKQNERIFTVNGASGMSVGERCTLAMERCTIMAMRSCPEWEPEPFRQVVAFMKKPLVPLGLLPPSPDGGRRRAAETDDSTVRWLDAQLPDSVVYVALGSEVPLRVELVHELALGLELAGTCFLWALRKPHGLDDSDVLPLGFLQRTHGHGHVAMGWVPQTTILAHAAVGAFLTHCGRNSLVEGLLFGNPLIMLPIIGDQGPNARSMEVNMVGLQVRRDDKDGSFDRHGVAAAVRAVMVEEETRRVFVANALKLQEIVADKELHERYIDGFIQQLIFHVADGNSDTAAPDPSS >ORUFI04G04520.1 pep chromosome:OR_W1943:4:5384486:5385912:1 gene:ORUFI04G04520 transcript:ORUFI04G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAVLLASGGVPRVGAQAEVGREGRTAARLLASRAQSSSCGIHFIRRRASRQGPGGTCGMRAAAHVPALSTEAGVGQDGGHSSSAGVQHQAATRSNPTSQAPITSSADSTMDDLSEAIKLWTA >ORUFI04G04530.1 pep chromosome:OR_W1943:4:5394905:5403761:-1 gene:ORUFI04G04530 transcript:ORUFI04G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHIFGDIHRCINGLDTSACEDRAVGGTGGHAWDEGGHGASAGGYTGVRRMSIGSSWCVSSMLFEYDDNGKRVKGTPQGERDNGIPEEELDFHGEVLTHMCGYHDNHLIRWLQFRSNRNRTFGPYGNLGEDRAGWTRFEVSMEHSGSIVGFCGRSGNFTDAIGVYVAVWNPERFYDSMRRQGVRVYRASPLRMDLRQIEEEKKKEEVERGRLQKEIKEGRESLRKLRLKLGVHEKLGGGHGNGDSPHSTFEDSKIGTTVGDALKGRGRKRKNGKQN >ORUFI04G04540.1 pep chromosome:OR_W1943:4:5423270:5424405:1 gene:ORUFI04G04540 transcript:ORUFI04G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDEDLPPAGPQPNPNGLDDDDDPNVGNIWQMGQPPVGPGDWDDLVQQQQAAEAEDASPSVPAVAIPNMGKKVQDPVQDPKIQEFLANLDKLTRSEYPRHPYFYPMSGLNEKIDLLCKEKGTMHQFLASSSVPAAIDVPPFSPVCEAPALPVLPKAPIKKRDGKTLLYNPYRRQSARLQQNKEEAELQVDPRMDIGKPRGKSAKKLKELAGLQMGVDMCGLTPEEEVESSMGSERRKKMPRPDMEEK >ORUFI04G04550.1 pep chromosome:OR_W1943:4:5440573:5443713:-1 gene:ORUFI04G04550 transcript:ORUFI04G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAKDLIDEQDEAADINGKDESSRDVINDVGIAVNDVLMSRRFLVVFHNGSDNELKDVTNFGLPLYQPYKGNKILWTFRGRFRLSTKIQDKVQGADVFLAAKFHNKIYGMEFQDQSHWWDILCEEAAEIASNTCSGVAKLHPTTIAKCWLYISKLNFVGRDIIDYDWAVHASNYWVCDGIIQEWEIADALQQEMWQEWDDPGLYHMMRNTDNWISTSHLILSNYGFLAASAVAQTVSSFFLAAHQIDTESKVTVELVEYFFKSKLNPAHLLQNYNEMFQHAENLRVLKLSLCTFRFASPPLTCCRGLRFLGLDNCLDLNIDAGEEVQSWNCFHGLWVLDLQYTQWVFSPQMIEEMNNVRELNFKGVKPHNLRHIWKWQHNKIRKLRVIKTIDQDYTATKDEKDPFTFTFSGMEKMEILDLSGNSTMQAFPDLSKATCLKTVTLDGCVGLESVSDSNLPVSLQEFSLVAASEQYPKAANITKISLFGCCQLKKLVLSGLPKLEELDLSGTILEKLDLDAMQAEKLNRLLLLGCLHLCAIKWSDVRKPQLDELHVDTVGVHLEGKRENSLSPVQDDDKLFQSHVVVMDTSLLRSLQLFAMQRHHVHFCMSPVFVNYCKDEGQTNSKGTVSNDAIDFPKLKHVHLHELPSLKGICEAKIMSAPMLETIMIRGCCSLRHLPDVQGLHEPRPIVYCEKDWWDNLVWPQKEGGYDQSLLYKRQSAQYYKKALPKGSILRSNLSTYLYKPISKKYSIDLHG >ORUFI04G04560.1 pep chromosome:OR_W1943:4:5445053:5445265:1 gene:ORUFI04G04560 transcript:ORUFI04G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAIPRQRVVSGTWEAGRSAVSRAEGGVLDGEGGRGSGTAVAGSGAPAAGSSCTVQGDGSIVGAESCG >ORUFI04G04570.1 pep chromosome:OR_W1943:4:5465859:5472366:-1 gene:ORUFI04G04570 transcript:ORUFI04G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGDGGGGGGEEEKREGDAGWGWDPMRSGSAPPTMEGAAAAAASAVAQQEVEGVYGGGGGGGGGSFFSGMDGFGARLDEVGRRRGAAAQDHFGSSESLSNGAPGLHLNGTREFNGQQFGPTRVHSVGAMLDHSAVNVGSPWIQTKTDDADFHRHAENRFMPNMGKMNAFARRDLQSTYLSDSDLSNALSRVNLSNHLEYDERGLAKDLLDEMEMRNHDRHFSSKIANDCRSPLSGNALCTLGCEHLDVHSLPSYGDGLLRRQNSIMDSPYVSRNNNHHMKNVDRLSLADQLALMQLSNLDENNYHSNADMVNIINPLRNRRNITDLDLIRSRNHFLEELIAQRCLQEDNVFQSKSGPSYNDNILYHDGPRFPYSRMQRSGSHAYSHLRGIPYLGDQQSRMLSSSRRTPGRNMGSQIYQDNPVANCLDLSSLDLANRSGACPLVLVNVVGHVMEVSMDQFGSRFIQQKLEVASADDREKIFPEILTNAIALTTDVFGNYVIQKFFEFATESQLSQLADQLRGHFLQLSFQMYGCRVVQKVIDVVDLERKISIVGELKNSVLRCISDQNGNHVIQKCIECVPEDHIPFVIEDILQKIYPLCTHQYGCRVIQRVLEHCHNPATQSAVMDEIVERAFDLTEDKFGNYVVQHVLEHGRPEERSSIIQKLSGQVVNLSQQKYASNVVEKCLSFGTPDEREGLIREIVSSGQTFQGLMKDQFGNYVVQRILQTCDDKFLVVILSSIKMHLNELKNYTFGKHIVARVEKLIITGENRVRMGSKTSQCQQSLSCTDVDANPF >ORUFI04G04580.1 pep chromosome:OR_W1943:4:5476168:5483801:-1 gene:ORUFI04G04580 transcript:ORUFI04G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSVSDRSFLIDSDEEDDAAAAVEDGKRRGHGGDESDDGSDSSSSCGTPRVAAAGGGGGGRGSQPSSYTQQWPQSYRQSIDMYSSVQSPNLSFLGTPTLSRLSNSFLTASFRGKPPEIISGLIKPLLPTTAAADDEGDRRQQQHEDGRKSSQYLLPPRRPSSLQKIPEDQKPLVVGHHEQGGWLGLIILALLAVLAWYTGILLKRCLDSKEGLETYPDIGHAAFGTTGRIVISACCIEYLILESDNLSKLFPNAHLTIGTFTINAHILFAILTTLIVMPTTWLRDLSCLSFISAGGVIASIVIVACLFWVGLVDHIEAKDEGTALNLPGIPIAVGLYGYCYSGHGVFPNIYSSMKKRSQFPAVIFTCIALSTVLFAGAAIMGYIMFGESTESQFTLNLPSNLVASKIAVWTTTYSNIVMLRSALVLSSLIVALSVPFFGLVMSLVGSFLTMFVAYILPCACFLAILRRTVTWYQVLLCVFIIVVGLCCAGVGTYSSLSKIIQNYK >ORUFI04G04580.2 pep chromosome:OR_W1943:4:5476168:5483801:-1 gene:ORUFI04G04580 transcript:ORUFI04G04580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSVSDRSFLIDSDEEDDAAAAVEDGKRRGHGGDESDDGSDSSSSCGTPRVAAAGGGGGGRGSQPSSYTQQWPQSYRQSIDMYSSVQSPNLSFLGTPTLSRLSNSFLTASFRGKPPEIISGLIKPLLPTTAAADDEGDRRQQQHEDGRKSSQYLLPPRRPSSLQKIPEDQKPLVVGHHEQGGWLGLIILALLAVLAWYTGILLKRCLDSKEGLETYPDIGHAAFGTTGRIVISNIGNIFIGPNVSLQACCIEYLILESDNLSKLFPNAHLTIGTFTINAHILFAILTTLIVMPTTWLRDLSCLSFISAGGVIASIVIVACLFWVGLVDHIEAKDEGTALNLPGIPIAVGLYGYCYSGHGVFPNIYSSMKKRSQFPAVIFTCIALSTVLFAGAAIMGYIMFGESTESQFTLNLPSNLVASKIAVWTTTYSNIVMLRSALVLSSLIVALSVPFFGLVMSLVGSFLTMFVAYILPCACFLAILRRTVTWYQVLLCVFIIVVGLCCAGVGTYSSLSKIIQNYK >ORUFI04G04580.3 pep chromosome:OR_W1943:4:5476168:5483801:-1 gene:ORUFI04G04580 transcript:ORUFI04G04580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSVSDRSFLIDSDEEDDAAAAVEDGKRRGHGGDESDDGSDSSSSCGTPRVAAAGGGGGGRGSQPSSYTQQWPQSYRQSIDMYSSVQSPNLSFLGTPTLSRLSNSFLTASFRGKPPEIISGLIKPLLPTTAAADDEGDRRQQQHEDGRKSSQYLLPPRRPSSLQKIPEDQKPLVVGHHEQGGWLGLIILALLAVLAWYTGILLKRCLDSKEGLETYPDIGHAAFGTTGRIVISNIGNIFIGPNVSLQACCIEYLILESDNLSKLFPNAHLTIGTFTINAHILFAILTTLIVMPTTWLRDLSCLSFISAGGVIASIVIVACLFWVGLVDHIEAKDEGTALNLPGIPIAVGLYGYCYSGLVMSLVGSFLTMFVAYILPCACFLAILRRTVTWYQVLLCVFIIVVGLCCAGVGTYSSLSKIIQNYK >ORUFI04G04590.1 pep chromosome:OR_W1943:4:5497080:5499521:-1 gene:ORUFI04G04590 transcript:ORUFI04G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLLFPMLLQLLSPTCAQTQKNITLGSTLAPQSPASSWLSPSGDFAFGFRPVEGNTSFYLIAVWFNKISDKTVVWYAKNTDQDPSIVEVPSDSFLQLTNDGALSLKDRSGQEGWNPQVTSVAYASMRDTGNFVLLGADGTTKWQTFDMPSDTILPTQVIPCNKTRNKSLRARLGIDDYSSGRFLLDVQTDGNLALYLVAVPSGSKYQQYWSTDTTGNGSELVFSETGKVYFALTDGTQINISSGAGIGSMADYFHRATLDPDGVFRQYVYPKKANAGILGGETWTAVSMQPQNICHAIVSDVGSGVCGFNSYCTFDGTRNQIASCQCPPWYKFFDEQKKYKGCKQDFQPHSCDLDEATALAQFELRPIYGVDWPLSDYEKYEPIGQDDCGRLCVIDCFCAMAVYNQSTSTCWKKKLPLSNGNMADYVQRTVLLKVPSSNSSQSMISTSSNKWKRNRKHWVLGSSLILGTSILVNFALISIFLFGTYCRIATKKNIPLSQASSKSQLPLKTFTYKELEKATAGFHEILGAGASGVVYKGQLEDELKTNIAVKKIDKLQPETEKEFMVEVETIGQTFHKNLVRLLGFCNEGAERLLVYEFMTNGPLNRLLFDNSGPHWNTRVHIALGVARGLLYLHDECSKLIIHCDIKPQNILLDDNLVAKISDFGLAKLLLTNQTRTNTGIRGTRGYVAPEWFKNIGISTKVDVYSFGVILLELVCCRRNVELEVVDEEQTIVTYWANDCYRSGRIDLLVEGDDEAIYNIKKVERFVTVALWCLQEDPSMRPNMLKVTQMLDGAVAIPSPPDPCSFISSLP >ORUFI04G04600.1 pep chromosome:OR_W1943:4:5515412:5518803:1 gene:ORUFI04G04600 transcript:ORUFI04G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSPHWQAIHLPKRDAHAGVPKFPSSSRRRRRRRFTNPSPPSACRGGGGRLPPRFSLPSCTCTSHKLKQDHSKAINVDLRSNPYVLEPLRCNISSSSPNAGVCLCLIHRKKLC >ORUFI04G04610.1 pep chromosome:OR_W1943:4:5515515:5527538:-1 gene:ORUFI04G04610 transcript:ORUFI04G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPDAVPSGYLYDPYWASNTVDNGSQLVFNETGRIYFTIINGSQVNITSAGVDSMGDFFHRATLDTDGVFRQYVYPKNIHARPLWPEQWTAVDVLPENICQSIQTMVGSGACGFNSYCTIDGTKNTTSCLCPQNYKFIDDKRKYKGCRPDFEPQNCDLDETTAMLQYDMAPIDRVDWPLSDYEQYNPIDQTECRRLCVIDCFCAVAVFDKASSTCWKKRFPLSNGKMDVNVPRTVLIKVPRSTNSPSVFSSGSSKWKEDKKYWILGSSLLFGSSVLVNFLLISVMLFGTYCSITSRKKIQLSQPSNNSGLPPKIFTYSELEKATGGFQEVLGTGASGVVYKGQLQDEFGTNIAVKKIEKLQQEAQKEFLVEVQTIGQTFHRNLVRLLGFCNEGTERLLVYEFMSNGSLNTFLFSDTHPHWSLRVQVALGVARGLLYLHEECNKQIIHCDMKPQNILLDDNFVAKISDFGLAKLLPVNQTQTNTGIRGTRGYVAPEWFKNIGITSKVDVYSFGVILLELVCCRKNVELEVLDEEQTILTYWANDCYKCGRIDLLVAGDDEAIFNIKKVERFVAVALWCLQEEPSMRPTMLKVWNPRVTDVGYARMLNTGNFRLLGTDGATKWESFGDPSDTILPTQVLPLGTALHSRLLATDYSNGRFQLNVQDDGNLVLYLVAVPSAYYHDPYWANNTVGNGSQLVFNETGRIYFTLTNGSQINITSAGVDSMGDFFHRATLDTDGVFRQYIYPKSKQARSLWQEQWRAVDALPENICQTIQTKVGSGACGFNSYCTFDGTKNTTNCLCPQRYKFFDNERTYKGCRPDFEPQSCDLDETAAMVQYEMTPIDRINWPLSDYEQYSPIDETECRRLCVIDCFCSVAVFNKPSNTCYKKKLPLSNGNMDSSLQATVLLKVPRSTNSPSMISSGSSKWKKDKNITSRKKTQLSQLPSNSGLPSKIFTYRELEKATGGFHEVLGTGASGIVYKGQLQDECGTNIAVKKIEKLQQEAQKEFLVEVQTIGQTFHRNLVRLLGFCNEGTEKLLVYEFMSNGSLNTFLFNDSHPHWSLRVQVALGVSRGLLYLHEECNKQIIHCDMKPQNILLDDNFVAKISDFGLAKLLPVNQTQTNTGIRATRGYVAPEWFKNIGITSKVDVYSFGVILLELVCCRKNVELEVADEEQTILTYWANDCYRCGRIDLLVASDDEAIFNIKKVERFVAVALWCLQEEPSMRPTMLDAANPTMHKVMQMLDGAVQIPTPPDPSSYITWHPENVKEARRLGLWGAYRYRYSWVERSAGAAGLPPRDMPMEEKGW >ORUFI04G04610.2 pep chromosome:OR_W1943:4:5528129:5539265:-1 gene:ORUFI04G04610 transcript:ORUFI04G04610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVIRTSNMAPPLFLLSLQLLVLLSSPSAQAQNISLGTSLTTQGPNNAWLSPSGDFAFGFRPIDGNSSFYLLAIWFNKISDKTATWYAKTSEQESQPIQVPSGSILQFTSTGVLSLRDPTNREVWNPGATGAPYASMLDTGNFVIAAAGGSTISWETFKNPTDTILVTQALSPGMKLRSRLLTTDYSNGRFLLNMETQRAALYTMAVPSGNLYDPYWSTPIDENVTNQVTNLVFNTTGRIYVSMKNGTQFNMTSGVIRSMEDYYHRATLDPDGVLRQYVYPKKPSSMSQAWTAVSIQPENICNAQTKVGSGTCGFNSYCMFDGSNNQTSCVCPEQYSFFDEVRGCRPDFELQSCDLDEAASMAQYEFNLVNNVDWPQADYEWYTPIDMDECRRLCLIDCFCAVAVFHENTCWKKKLPLSNGIMGSGVQRTVLIKVPKSNSSQPELRKSRKWKSDKKLWILGSSLLLGGSVIANFALSSVLLFGTYCTITRKDVQPLQPSRDPGLPLKAFSYAELEKATDGFKEVLGTGASGIVYKGQLQDELGTYIAVKKIDKIQHETEKEFAVEVQTIGRTYHKNLVRMLGFCNEGTERLLVYEFMVNGSLNRFLFSGVRPLWSLRVQLALGVARGLLYLHEECSTQIIHCDIKPQNILLDDNFIAKISDFGLAKLLRTNQTQTYTGIRGTRGYVAPEWFKNVGITAKVDVYSFGVILLELICCRQNVEMEAAEEEQSILTYWANDCYRCGRVDLLVDGDDEAKLNIKKVERFVAVALWCLQEEPTMRPSILKVTQMLDGADAIPTPPDSSSVYEQKVDQ >ORUFI04G04610.3 pep chromosome:OR_W1943:4:5515702:5527538:-1 gene:ORUFI04G04610 transcript:ORUFI04G04610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYPDAVPSGYLYDPYWASNTVDNGSQLVFNETGRIYFTIINGSQVNITSAGVDSMGDFFHRATLDTDGVFRQYVYPKNIHARPLWPEQWTAVDVLPENICQSIQTMVGSGACGFNSYCTIDGTKNTTSCLCPQNYKFIDDKRKYKGCRPDFEPQNCDLDETTAMLQYDMAPIDRVDWPLSDYEQYNPIDQTECRRLCVIDCFCAVAVFDKASSTCWKKRFPLSNGKMDVNVPRTVLIKVPRSTNSPSVFSSGSSKWKEDKKYWILGSSLLFGSSVLVNFLLISVMLFGTYCSITSRKKIQLSQPSNNSGLPPKIFTYSELEKATGGFQEVLGTGASGVVYKGQLQDEFGTNIAVKKIEKLQQEAQKEFLVEVQTIGQTFHRNLVRLLGFCNEGTERLLVYEFMSNGSLNTFLFSDTHPHWSLRVQVALGVARGLLYLHEECNKQIIHCDMKPQNILLDDNFVAKISDFGLAKLLPVNQTQTNTGIRGTRGYVAPEWFKNIGITSKVDVYSFGVILLELVCCRKNVELEVLDEEQTILTYWANDCYKCGRIDLLVAGDDEAIFNIKKVERFVAVALWCLQEEPSMRPTMLKTNQIVQNPINFTINSTMTDVFPHTLNH >ORUFI04G04610.4 pep chromosome:OR_W1943:4:5516756:5528126:-1 gene:ORUFI04G04610 transcript:ORUFI04G04610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLFLPILQLLLLYCTKSAQAQLNISIGSSLTPQGVNNSWISPSADFAFGFRAVDGNSSSYLLAVWFNKIADKTVVWYARTSSNGKDDTIPVQVQSGSVLKLADGALSLRDPSGNEVWNPRVTDVGYARMLNTGNFRLLGTDGATKWESFGDPSDTILPTQVLPLGTALHSRLLATDYSNGRFQLNVQDDGNLVLYLVAVPSAYYHDPYWANNTVGNGSQLVFNETGRIYFTLTNGSQINITSAGVDSMGDFFHRATLDTDGVFRQYIYPKSKQARSLWQEQWRAVDALPENICQTIQTKVGSGACGFNSYCTFDGTKNTTNCLCPQRYKFFDNERTYKGCRPDFEPQSCDLDETAAMVQYEMTPIDRINWPLSDYEQYSPIDETECRRLCVIDCFCSVAVFNKPSNTCYKKKLPLSNGNMDSSLQATVLLKVPRSTNSPSMISSGSSKWKKDKKYWILGSSLFFGSSVLVNFLLIFVLLFGTYCSITSRKKTQLSQLPSNSGLPSKIFTYRELEKATGGFHEVLGTGASGIVYKGQLQDECGTNIAVKKIEKLQQEAQKEFLVEVQTIGQTFHRNLVRLLGFCNEGTEKLLVYEFMSNGSLNTFLFNDSHPHWSLRVQVALGVSRGLLYLHEECNKQIIHCDMKPQNILLDDNFVAKISDFGLAKLLPVNQTQTNTGIRATRGYVAPEWFKNIGITSKVDVYSFGVILLELVCCRKNVELEVADEEQTILTYWANDCYRCGRIDLLVASDDEAIFNIKKVERFVAVALWCLQEEPSMRPTMLDAANPTMHKVMQMLDGAVQIPTPPDPSSYISSLA >ORUFI04G04610.5 pep chromosome:OR_W1943:4:5527565:5528126:-1 gene:ORUFI04G04610 transcript:ORUFI04G04610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLFLPILQLLLLYCTKSAQAQLNISIGSSLTPQGVNNSWISPSADFAFGFRAVDGNSSSYLLAVWFNKIADKTVVWYARTSSNGKDDTIPVQVQSGSVLKLADGALSLRDPSGNEAPMAQQSGSPLVTLLIPSYPHKCFHWGRHSTAVSSPQTIPMADFN >ORUFI04G04620.1 pep chromosome:OR_W1943:4:5521157:5533964:1 gene:ORUFI04G04620 transcript:ORUFI04G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASLDRSCAFLVSICDITHRARRRHGSGRPGYWASAATAYRRFWALAAAAGPRGKLVPTKRPEKAN >ORUFI04G04630.1 pep chromosome:OR_W1943:4:5535265:5537363:1 gene:ORUFI04G04630 transcript:ORUFI04G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRFGYFLLFLVSIWESGTLPTTHGRGAPVAVQGTGFQRLQPMGRFWVPAAAAGPVVSRGTRRASTSLHFHILPATDEFQKNDPKAVHIHLGSDPDVLEPLRGNISAVDDLCAAFLM >ORUFI04G04630.2 pep chromosome:OR_W1943:4:5535291:5539263:1 gene:ORUFI04G04630 transcript:ORUFI04G04630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLHGADHPRCHVELCSILHAHVYPTGSIEHQIGDLICDILIYGCGPIWVIKVSRWNCHGIKCSSLSFHVKKKPAIGVICGKESAAELHSRGQRLCDQDGVCWVLESFPADSRASCSSNHKIPGVEHAGIGCTSCSWIPYLSIGGISERKYTS >ORUFI04G04640.1 pep chromosome:OR_W1943:4:5578918:5581502:-1 gene:ORUFI04G04640 transcript:ORUFI04G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCGSPTKMLYVHQLTKIVSSDCVLSLLKQELAGYLLPIARGPELRAQWVVDWWLSTREHNARIFDQKTSTAAQLFADIKDGNLHLEGSWWSEQLF >ORUFI04G04640.2 pep chromosome:OR_W1943:4:5578918:5581227:-1 gene:ORUFI04G04640 transcript:ORUFI04G04640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSCFRVWRLLIELAGYLLPIARGPELRAQWVVDWWLSTREHNARIFDQKTSTAAQLFADIKDGNLHLEGSWWSEQLF >ORUFI04G04640.3 pep chromosome:OR_W1943:4:5579416:5581227:-1 gene:ORUFI04G04640 transcript:ORUFI04G04640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSCFRVWRLLIELAGYLLPIARGPELRAQWVVDWWLSTRRQAQQPNYLLTSRMEISIWKEAGMFKNISELYRVFVWSKFVPWPC >ORUFI04G04640.4 pep chromosome:OR_W1943:4:5579477:5581227:-1 gene:ORUFI04G04640 transcript:ORUFI04G04640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSCFRVWRLLIELAGYLLPIARGPELRAQWVVDWWLSTREHNARIFDQKTSTAAQLFADIKDGNLHLEGSWYV >ORUFI04G04650.1 pep chromosome:OR_W1943:4:5580569:5581745:1 gene:ORUFI04G04650 transcript:ORUFI04G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCCSIISLWNQPVAWHLETRQPEAREYQQSTQLVECGGGGERPSRQEPPRCQRGQWSAATAPGKNFAVGNHHGVGEGRLRCSAAEAGKDVVVGNHHGASGG >ORUFI04G04660.1 pep chromosome:OR_W1943:4:5581567:5585455:-1 gene:ORUFI04G04660 transcript:ORUFI04G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVALAAAVILFVCTVLQTVFAALGYKKQCDRVTGSFSSVLLACTVATASLLHCPLWSRLLPQPLRPEPRSSPSATYSTAAHAGADCRRRPQPPPPPPKSTAALAGADFFSSRRWRRGGSRRRRPFPPPPHYTAASPRRRRGGSLRRSSSPAPSRHSTAPAGTVVVPDG >ORUFI04G04670.1 pep chromosome:OR_W1943:4:5585463:5586860:-1 gene:ORUFI04G04670 transcript:ORUFI04G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDNAVGIIIETLLNEIARDETATASQSQAEEANNVIDTTFYISKVNNQIRDSANGEFEPCAVAIGPYHENWDSTAAVEVKKQMLRRALRQAGSSDHDQRAELSRYVNEINGLVERVKQCYSWTPENDERMATMLLLDGLFIVSWFVLGTGPSGRTSESQWWLDDATVVRDVLYILENQVPFFVVETIHELVERGESREDLLHSLTRYVRNLVKGLGYATGIDLAGEERPCHLLHLLHRQFRPVTLDQRQRDGDGDGLLRQIGGNAADPCRRLLQLVGNTCFSWITTRLRLATSPGDEPEIVLVPRWRTAVYYREHGVRLRARDVGGRDGARSILDVELRGDKLLIPCLTIDKETWIILRNLMALEQSNPKLGSYVTTYCLLMSQLASREKDVELLSDKGIIFHFLKSDGDVAACFGNLCNGIVLEINDPTQPQLPQSDMGGAGGDLPERTPQVHGVDAAKPVQ >ORUFI04G04680.1 pep chromosome:OR_W1943:4:5602610:5603977:1 gene:ORUFI04G04680 transcript:ORUFI04G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKALLFAILGCLCLCSAALAARELSDDAAMAARHERWMAQYGRVYRDDAEKARRFEVFKANVAFIESFNAGNDKFWLGVNQFADLSNDEFRSTKTNKGFIPSTTRVPTGFKYENVNINALPATVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKLSTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESNYPYAAADDKCKSVSNSVASIKGYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYKGGVMTGSCGTDLDHGIVAIGYGKASDGTKYWLLKNSWGTTWGENGFLRMEKDISDKRGMCGLAMEPSYPTA >ORUFI04G04690.1 pep chromosome:OR_W1943:4:5606418:5620695:-1 gene:ORUFI04G04690 transcript:ORUFI04G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMSTPAASANGGQVLLLPFPAAQGHTNPMLQFGRRLAYHGLRPTLVTTRYVLSTTPPPGDPFRVAAISDGFDDASGMAALPDPGEYLRTLEAHGARTLAELLLSEARAGRPARVLVYDPHLPWARRVARAAGVATAAFLSQPCAVDLIYGEVWAGRVPLPMDDGGDLRRRGVLSVDLATADLPPFVAAPELYPKYLDVSIRQFEDLLDADDVFVNSFNDLEPMEAEHMESTWRAKTVGPTLPSFFLDDSRLPANKNHGIDIFTGDAPCMEWLDKQAPCSVVLASYGTVYSLDGAELEELGNGLCNSGKPFLWVVRSSEGHKLSEELRGKCKEKGLIVSWCPQLEVLKHKATGCFLTHRGWNSTMEAIATAVPMVAMPQSADQPTIAKYVETAWEIGVRAQLDEKGSVTKEEVEISIKKVMDGKRAVEYKRNAAKWMQKAKEAAQVGGSSDKNIAEFDLVTPASHRHRRRSRWRTSNVGDDNHGGGRVLLLPFPAAQGHTNPMLQFGRRLAYHGLRPTLVTTRYVLSTTPPPGDPFRVAAISDGFDDDAGCMAAPPDYGEYHRSLEAHGARTLAELLVSEARAGRPARVLVYDPHLPWARRVARADGVGAAAFMPQPCAVDLIYGEVCAGRLALPVTPADVSGLYTRGALGVELGHDDLPPFVATPELTPAFCEQSVAQFAGLEDADDVLVNSFSDLEPKEAAYMEATWRAKTVGPLLPSFYIGDGPLPSNTAYGFNLFTSTVPCMEWLDKQPPRSVVFVSYGTFSSYDAAKLEEVGNGLCNSGKPFLWVVRSNEEHKLSRELREKCGKRGLIVPFCPQLEVLAHKATVYGELVGAWVSECGRKRVAAYKGKKLRGAFGSV >ORUFI04G04690.2 pep chromosome:OR_W1943:4:5608361:5616914:-1 gene:ORUFI04G04690 transcript:ORUFI04G04690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNDQHGGATAHVLLVPLPAQGHMNPMLQFGRRLAYHGLRPTLVATRYVLSTSPPPGDPFRVAAFSDGFDAGGMASCPDPVEYCRRLEAVGSETLARVIDAEARAGRAATVLVYDPHMAWVPRVARAAGVPTAAFLSQPCAVDAIYGEVWAGRVPLPMDDGGDLRRRGVLSVDLATADLPPFVAAPELYPKYLDVSIRQFEDLLDADDVFVNSFNDLEPMEAEHMESTWRAKTVGPTLPSFFLDDSRLPANKNHGIDIFTGDAPCMEWLDKQAPCSVVLASYGTVYSLDGAELEELGNGLCNSGKPFLWVVRSSEGHKLSEELRGKCKEKGLIVSWCPQLEVLKHKATGCFLTHRGWNSTMEAIATAVPMVAMPQSADQPTIAKYVETAWEIGVRAQLDEKGSVTKEEVEISIKKVMDGKRAVEYKRNAAKWMQKAKEAAQVGGSSDKNIAEFDLVTPASHRHRRRSRWRTSNVGDDNHGGGRVLLLPFPAAQGHTNPMLQFGRRLAYHGLRPTLVTTRYVLSTTPPPGDPFRVAAISDGFDDDAGCMAAPPDYGEYHRSLEAHGARTLAELLVSEARAGRPARVLVYDPHLPWARRVARADGVGAAAFMPQPCAVDLIYGEVCAGRLALPVTPADVSGLYTRGALGVELGHDDLPPFVATPELTPAFCEQSVAQFAGLEDADDVLVNSFSDLEPKEAAYMEATWRAKTVGPLLPSFYIGDGPLPSNTAYGFNLFTSTVPCMEWLDKQPPRSVVFVSYGTFSSYDAAKLEEVGNGLCNSGKPFLWVVRSNEEHKLSRELREKCGKRGLIVPFCPQLEVLAHKATVYGELVGAWVSECGRKRVAAYKGKKLRGAFGRNK >ORUFI04G04690.3 pep chromosome:OR_W1943:4:5608535:5616914:-1 gene:ORUFI04G04690 transcript:ORUFI04G04690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNDQHGGATAHVLLVPLPAQGHMNPMLQFGRRLAYHGLRPTLVATRYVLSTSPPPGDPFRVAAFSDGFDAGGMASCPDPVEYCRRLEAVGSETLARVIDAEARAGRAATVLVYDPHMAWVPRVARAAGVPTAAFLSQPCAVDAIYGEVWAGRVPLPMDDGGDLRRRGVLSVDLATADLPPFVAAPELYPKYLDVSIRQFEDLLDADDVFVNSFNDLEPMEAEHMESTWRAKTVGPTLPSFFLDDSRLPANKNHGIDIFTGDAPCMEWLDKQAPCSVVLASYGTVYSLDGAELEELGNGLCNSGKPFLWVVRSSEGHKLSEELRGKCKEKGLIVSWCPQLEVLKHKATGCFLTHRGWNSTMEAIATAVPMVAMPQSADQPTIAKYVETAWEIGVRAQLDEKGSVTKEEVEISIKKVMDGKRAVEYKRNAAKWMQKAKEAAQVGGSSDKNIAEFDLVTPASHRHRRRSRWRTSNVGDDNHGGGRVLLLPFPAAQGHTNPMLQFGRRLAYHGLRPTLVTTRYVLSTTPPPGDPFRVAAISDGFDDDAGCMAAPPDYGEYHRSLEAHGARTLAELLVSEARAGRPARVLVYDPHLPWARRVARADGVGAAAFMPQPCAVDLIYGEVCAGRLALPVTPADVSGLYTRGALGVELGHDDLPPFVATPELTPAFCEQSVAQFAGLEDADDVLVNSFSDLEPKEAAYMEATWRAKTVGPLLPSFYIGDGPLPSNTAYGFNLFTSTVPCMEWLDKQPPRSVVFVSYGTFSSYDAAKLEEVGNGLCNSGKPFLWVVRSNEEHKLSRELREKCGKRGLIFMEVEELMFSIIQQK >ORUFI04G04690.4 pep chromosome:OR_W1943:4:5616924:5620695:-1 gene:ORUFI04G04690 transcript:ORUFI04G04690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMSTPAASANGGQVLLLPFPAAQGHTNPMLQFGRRLAYHGLRPTLVTTRYVLSTTPPPGDPFRVAAISDGFDDASGMAALPDPGEYLRTLEAHGARTLAELLLSEARAGRPARVLVYDPHLPWARRVARAAGVATAAFLSQPCAVDLIYGEVCARRLALPVTPTDARGLYARGVLGVELGPDDVPPFVAAPELTPAFCEQSIEQFAGLEDDDDVLVNSFSDLEPKEAAYMESTWRAKTIGPSLPSFYLDDGRLRSNTAYGFNLFRSTVPCMEWLDKQPPRSVVLVSYGTVSTFDVAKLEELGNGLCNSGKPFLWVVRSNEEHKLSVQLRKKCEKRGLIVPFCPQLEVLAHKATGCFLSHCGWNSTLEAIVNGVPLVAMPHWADQPTISKYVESLWGTGVRVQLDKSGILQREEVERCIREVMDGDRKEDYRRNATRLMKKAKESMQEGGSSDKNIAEFAANQITK >ORUFI04G04700.1 pep chromosome:OR_W1943:4:5624644:5627264:1 gene:ORUFI04G04700 transcript:ORUFI04G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHVPASRATQRRKNETAEMENAPATTTSSTQDGGGGAGGHVFLLAFPEAQGHVNPILQFGRHLAAHHGFLPTLVTTRHVLSTVPPPPAPFRVAAISDGFDSGGMAACGDAREYTRRLADVGSETLGVLLRSEAAAGRPPRVLVYDPHLPWAGRVARGAGVPAAAFFSQPCAVDVIYGEVWAGRVGLPVVDGGALRGLLSVELGPEDVPSFVKAPESYPPFLEAVLGQFDGLEDADDVLVNSFQELEPKEADYLASAWRFKTIGPTVPSFYLDDDRLQPNKNYGFNISDSTSPCLAWLDNQPPCSVVYASYGTVADLDPTQLDELGNGFCNSGKPFLWVVRSCDEHKLSEELRDKCKERGLIVSWCPQLEVLSHKATGCFLTHCGWNSTTEAIVTGVPLLAMPQWTDQPTTAKYIESAWGNGVRVHRDKEGMVRKEEVERCIREVLESERKAEYRKNANRWMKKAKEAMKKGGSSNKNIAEFASKYASY >ORUFI04G04710.1 pep chromosome:OR_W1943:4:5627708:5629302:-1 gene:ORUFI04G04710 transcript:ORUFI04G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPYADDDGDRLSALPDCLLHTVMSFLSARQAVRTCVLSRRWRGLWLSMPCLNIDGDEYRPATAGGGGGATITERWERLENFTTSLLFAHNAPFLDRFRLHLPNFQRITATQQHHGRQMERWILRGFRYRPAALEIAVGVAAVAFKLPLLGTSSASRLKRLHLSGVVLDGGFGECIRSWCPVLEAMELKACIFEDLKEIVSSTIKSLAIVDCRSGHHTDALVCRMDSLVEASISGTRFGSDFDKTTSTLIGSLINVRELNVSWFQPVELKYGESANFQTFHELTTLNIYECDLGQNLHILLSFLQNTPNLKKVILQNCEISGHSRKRKRTPRANRNQIHSKRRSLITSKSEISKIMKMTYEDDGVSDLIELLLRNWRKLEDHTIIITKI >ORUFI04G04720.1 pep chromosome:OR_W1943:4:5638238:5639083:-1 gene:ORUFI04G04720 transcript:ORUFI04G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQPHAGEDGGDRLSALPDCLLHAIMSLLPARHAVQTCALSRRWRDLWRSMPCLDIVGDEFTSSTTGSVRWDKFESFATNLLLNHDAPFLDRFWLRLPSSWHVRGGVQQRDIKSHSQPDVRQIERWINRGVRFYRPVELEITIGVGYDLKLPILGAVVFSHRLKSLRLSRLVLDRGFGYTIRSWCPVLEAMELNSCIFEFDEITGNALRSLAIDGCSRRGLQVPDDALCVTAPKLTSLRLKFSIHDFSVFLVDRMGFLVDASICKMFSSTTNFGNNVCNL >ORUFI04G04730.1 pep chromosome:OR_W1943:4:5639468:5642549:1 gene:ORUFI04G04730 transcript:ORUFI04G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDRGDDYLGTLPDYLLHKVMSFLPARQAVQTCVLSRRWRDLWRSMPCIDIDGDEFGGAMAKVRWEKLMDFANNLLEFGDVHVIDFNPPFLERFRLHLAHSWTAPYIRAWKGSRLIECCILGGFRHRPAAAEIAVGVGVPLFRLPWLPSVSTSRLKRLHLSGLVLDGCFDECICSSCPILEAMELKSCSCEFNKIESATLKSLAIHGCRSCLLECTTLAIKTPRLTSLLLRITVYYELRVRLVDPMDSLIEASIREKSYEPINFDNDLCLSLGALASVRNLKLSWSRSMDSHHGEFPNFPTFQKLTTLHLYKCNMCLNLNILLSFLQKAPSLERVILQNCKFPVAPRKRKRSTKVDRHKIPPECKGLITSESRALKRIEITYQDDDFCNLIKLFSCNWRKLEEYTITLTKV >ORUFI04G04740.1 pep chromosome:OR_W1943:4:5648971:5649231:-1 gene:ORUFI04G04740 transcript:ORUFI04G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSMVLAGSLPDPGVASESATASQSCASNQLKGHMFTPPSSSLVSREPVTTEAGRAGDENEADMDDENDNNTYFEYLRHISLGKC >ORUFI04G04750.1 pep chromosome:OR_W1943:4:5653613:5654838:-1 gene:ORUFI04G04750 transcript:ORUFI04G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMINNKNIFYKFKNAPNLEKVILANCKIPDNSRKSKIMARLILHGPSKRESVIMFESQDLKLIMITYKDDDISDSIELLLYSRRKLENNTTILTKH >ORUFI04G04760.1 pep chromosome:OR_W1943:4:5654888:5655991:-1 gene:ORUFI04G04760 transcript:ORUFI04G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDCMPVASLRPGHPSSTIFCDRSCDDNTNTGDELDQPKADEDGDCLSALPDCLLEDFTTNLLIAHNAPYCLDRFQLHLPNYRDIVGQPDIYMPRHKRRWIISGFRCRPVVLEINHWRNRRHSPIVCGTLKSLAIHDCSGRDALAVTAPKLTSFRLWYSVIGLVVFLVDEMDSLVEASN >ORUFI04G04770.1 pep chromosome:OR_W1943:4:5655450:5656010:1 gene:ORUFI04G04770 transcript:ORUFI04G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPMVNLEHHGPAPEATDDPSSLVSRHIYVGLSYDVTVVWEMQLESVEAVRGVVGDEQVGGEILQLICPAQPARRGVCNRGPQLVAIDDDARHGAPQVAPPPGQRVRLHGLPRREEGHMIVWTRQSGNALRQSPSSSAFGWSSSSPVLVLSSQDRSQKMVELGCPGRRDATGMQSYAIRSNCM >ORUFI04G04780.1 pep chromosome:OR_W1943:4:5658010:5659366:-1 gene:ORUFI04G04780 transcript:ORUFI04G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRKKGKAKLKGRCKNVTPSPLGDQPCQDFVSYYEAIKVKAKAILKFAEARSKSAETKKEQTRMEKYHTHLKLLDKDTSNFSDAKLKGHEAVDE >ORUFI04G04790.1 pep chromosome:OR_W1943:4:5666319:5666869:1 gene:ORUFI04G04790 transcript:ORUFI04G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLAAAAVELPPLADVFAFSTTTSVARLALRDTTQTTTTMDTSSAAATESITASTSLGQLCHLPTVAPPLPHRSGQPPASIWSKDMTFSFGDILAAIEHFNDAYCIGKGSFGTVYRADLDGGRVVAVKRLDASETGDACCGS >ORUFI04G04800.1 pep chromosome:OR_W1943:4:5670319:5671433:-1 gene:ORUFI04G04800 transcript:ORUFI04G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKALLFAILSCLCLCSAVLAAREQSDHAAMVARHERWMEQYGRVYKDATEKARRFEIFKANVAFIESFNAGNHKFWLGVNQFADLTNYEFRATKTNKGFIPSTVRVPTTFRYENVSIDTLPATVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKLSTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESKYPYTAADGKCNGGSNSAATIKGYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIVAIGYGKDGDGTQYWLLKNSWGTTWGENGFLRMEKDISDKRGMCGLAMEPSYPTA >ORUFI04G04810.1 pep chromosome:OR_W1943:4:5693603:5693845:-1 gene:ORUFI04G04810 transcript:ORUFI04G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPTDVRPDVGTPVDAGSAAEEPAEAGSATGTPVDAARSSRRPIHAGDPADDTLPTDDAAPPVIDAFAIGSSLGEATVA >ORUFI04G04820.1 pep chromosome:OR_W1943:4:5694100:5699182:-1 gene:ORUFI04G04820 transcript:ORUFI04G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAGARRRPRPPRGSSSPAAASSTPELVGAGRVRAAGVSRICAAPTVACALASEGGERGALSRLRELHPIIVADAPTFMRIFKSIPKIFSSACITQPSGPGFDPSLYH >ORUFI04G04830.1 pep chromosome:OR_W1943:4:5696025:5697490:1 gene:ORUFI04G04830 transcript:ORUFI04G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTNLSKKLAVALVALAVACAHALAARDLVDAAAMAQRHERWMAKHGRAYADDAEKARRLEVFRDNVAFIESVNAAASQHKFWLEENQFADLTNAEFRATRTGLRPSSSRGNRAPTSFRYANVSTGDLPASVDWRGKGAVNPVKDQGDCGCCWAFSAVAAMEGAVKLATGKLVSLSEQQLVSCDVKGEDQGCEGGLMDDAFDFIIKNGGLAAESDYPYTASDDKCATAGAGAAAATIKGYEDVPANDEAALLKAVANQPVSVAIDGGDRHFQFYKGGVLSGAAGCATELDHAITAVGYGVASDGTKYWLMKNSWGTSWGEDGYVRMERGVADKEGVCGLAMMASYPTA >ORUFI04G04840.1 pep chromosome:OR_W1943:4:5699457:5702480:-1 gene:ORUFI04G04840 transcript:ORUFI04G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAPIIYLDPATVAEARRRGDDPRKLEECTKYLMTHIYGLLPGPPVPIAAARTHAACAAAASDGVDRISALPDAILRNIVSRLPVKDAARTAALSRRWRPLWRSTPLVLVDAHLFPRGRSFGVSASAPTRADTPGIVAAVSRILAAHPGPFRCVHLLCGFMGSYQAQLEHWLRFLAAKGVDDLILVNRPWPFEAALPAAILRISTLTRLYIGMWKFPDIAGLPTNTAFPNLRELGIYAVAMEKEGREVEFIVARSPVLETLNIQGGNTQVLRLRLEHRSLRCVQICSCCVENLAVVDAPCLERLVLYDSLSKDDSCVRVKIVHAPRLRLLGNLETGFHMLEIHDTFVSAGIRSSPSALFTSVKILGLNVNFGVRHDAQMLPNFLKCFPNAESLHVVCAKCSEATSLVSPNFWDDAGPIESIVSHVNVLTFREFKGEANAISFLKYFVQNAQMVKKVAVVLANPQFTSYSIDTLWTAKILKSVRWASKISSMQDRCICISTCKALFFVVGMCSRLDRIPGARHRGVEASSPAAASSPVHAPY >ORUFI04G04850.1 pep chromosome:OR_W1943:4:5705841:5712372:-1 gene:ORUFI04G04850 transcript:ORUFI04G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRVLPIPMDEATMAEFRQRGQVPEDVESSIGTVLSYIHFAIPDAPVSSHARLSALPPDDDDDGVDRLSLLPDALLRRIVSRLPVKDAARTAALSSRWRDAWRSTPLVLVDADLLPAGVSDADTDAAREEARAVTFAVSRVIAAHPGPFRRLHLTSSFMDQYQGLLASWLQVLAVKGIQELILVNRPFPADLTLPATFFGMATLTRLYLGLWKFPDTAALPRAACFPNLRDLGFSLIGITNHDMDFVLARSPVLETLCLQANTLQRVRVASRSLRCLMMMGFDQDVNVVNAPRLERLIMLYSCGSSMLVKIGRAPSLRAIGYLDLETHVLEIGDTIIKAGTRASPSTMVPSVKILGIIVCFGVRNEAKMLPSFLRCFPNVETLHVESRKTDELTGKLNLKFWQDAGAIECIQSHITVMIFRRFRATRGEINFLKFVLESARMLKKLIIVSPKGTFASTDEANFRLKPLFATKWASKCCSLVVLESDASAGESNWNFERGCDFSLMDPFAIIIRSSRLDISGSSTPTVLSFQQKTNVISDECCIDLALIIPHHTTPQTLVGSSPSLVPFRPRRRRAATMDTRVPPVPMGRAMAAEFRRRGDDPDDVQGTVARVLSYIHYALPDPPVSAAARLYALAPHDAVDRISALPDALLRRVVSRLPVKDAARTAALSRRWRPLWRSTPLVLVDAHLLSSSSAAPGAPDDSSKKAREAARGVTHAVSRVMGAHPGPFRCVHLTSSCMEEFQGMLANWLQVLAMKGIQELVLVNRPWPLDLGLPATFFGMATLTRLYLGLWKFPATADLPRGVSFPNLRELGLCSVVMDTQDMDFVLARSPVLETLCIKGNLFRMRIRLASRSLRCAQIIGSSYEEIAVVDTPFLERLIVSGTWRHDGASSGSVKIGNAPALRVFGYLDPAANVLVVGKTAIKSATKVSPSNMVPNVKILALEVRFGVRNDAKMIPNVLRCFPNIERLHIKSGKTDETTGKLNFKFWQESGPIECIRSSIQMIVFHDFHGTRSEVNFLKFFFETTRVLKIVVILFDTGSFSSMDEVRSKVEVLRAAKRPTGCLVLVTPSTEPEECNIWSFKRGSDFSRFDPFTDY >ORUFI04G04860.1 pep chromosome:OR_W1943:4:5734696:5735037:1 gene:ORUFI04G04860 transcript:ORUFI04G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVAAMAVVALLLLMALVPEMALADAAGEGRRQQPPRPRPPALLVPFRARSSSPHRRQQPPPALFRGRAANGCMPRGFRVPPSAPSRYANYHTLDAGLCDDHGGGGGRRKP >ORUFI04G04870.1 pep chromosome:OR_W1943:4:5744990:5745639:1 gene:ORUFI04G04870 transcript:ORUFI04G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGSGMACPQRAHRRQNGGRTGMATGALVSTELGEDIGARTYRGMEERRSSAALVERRRSCRHAHKVFEKMHTRERRQRGVDELVGALGAL >ORUFI04G04880.1 pep chromosome:OR_W1943:4:5745649:5746149:1 gene:ORUFI04G04880 transcript:ORUFI04G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGGREMGGGIYRVWEGWLNGRRNGRHMGLEDDMMARGARGRHDGARGSRATATRLVRGSGRRGARCDDGVGAAAGGSGAGLETSSDATATRGDATVTAMARQRRGARRGGGDGDVAAARWGGARCGSTRGARARRRQRHGRPTAMATKRRAVAVAKRGDGDAAR >ORUFI04G04890.1 pep chromosome:OR_W1943:4:5750562:5761798:-1 gene:ORUFI04G04890 transcript:ORUFI04G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPATSLPWWLSTTACSPPPPSSSSFSLSDRLAFLFLSPCPQRVVLGGAVDLAFLLAVVFVTVRARLSRSRREGIANGNGDHAEEEPLLAKPSVVAAVPPPPPRGGLRHALALAASVCFAAASLVLLVLAVVLLPRTAWLAAECAFLVAQFVAHLAAVGVVVAEKAAAARSHPAHLRLFWAGTAALAALFSGSAAARYAAREPILPDDAVAFAGLVMSLPLLYFSVTGSTGLGGAAIPDGEDRSCVPGHAAAAASYSTASWLSLATFSWINPLISKGSRAALAADDVPPVAPDDTAEATYALFVSNWAAPPAPGTKAGHPVVTALLRSFWPQFLLTAMLGLAHLSVMYIGPSLVDRFVNFVRRGGELTEGLQLVVVLLAGKAAEALASHHYEFQGQKLGMRIHAALLAAVYRKSLRLSTGARRAHGAGAIVNYMEVDAEEVANVTHELHNLWLMPLEIAVALTLLYTHLGPAVLTAVAAIAVVTVVVALANRRNLEYQFKFLGKRDERMKAITELLNYMRVIKLQGWEETFGGKIHELREAELGWLAKSMYFMCANTVVLWSGPLTMTVLVFGTCVLTGVTLDAGKVFTATAFFHMLDGPMQSFPEAIASVTQATVSLGRLDRYLLDVELDDTTVERVDDAGINPDGVVVEVRDGVFAWDVRGKKENEEGDDNEDDEEGEEEEEEKDVEETPVLETVLKGINIEVRRGELAAVVGTVGSGKSSLLSCIMGEMDKVSGKVRVCGSTAYVAQTAWIQNGTIQENILFGQPMDAERYKEVLRSCSLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQNCDIYLLDDVFSAVDAHTGSSIFKECLRGMLKGKTILLVMRDGMIVQSGKYDELLDAGSDFLALVAAHDSSMELVDQSRQVVKTEYSQPKAVARIPSLRSRSIGKGEKVLVAPDIEAATSKIIREEERESGQVSWRVYKLYMTEAWGWWGVVGMLAFAIVWQVTEMASDYWLSYETSGSIPFNPSLFIGVYVAIAAVSIILQVIKSLLETILGLQTAQIFFKKMFDSILHAPMSFFDTTPSGRILSRASSDQTTIDIVLSFFVGLTISMYISVLSTIIVTCQVAWPSVIAVIPLVLLNIWYRNRYLATSRELTRLEGVTKAPVIDHFSETVLGATTIRCFKKDKEFFQENLDRINSSLRMYFHNYAANEWLGFRLELIGTLVLAITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYFAISISCMLENDMVAVERVNQFSTLPSEAVWKIEDHLPSPNWPTHGDIDIDDLKVRYRPNTPLILKGITVSISGGEKIGVVGRTGSGKSTLIQALFRLVEPVQGKMIIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPIGQYSDAEIWRALEGCQLKDVVASKPQKLDALVADSGENWSVGQRQLLCLGRVILKRTRILFMDEATASVDSQTDATIQKITRQEFSSCTIISIAHRIPTVMDCDRVLVLDAGLVKEFDSPSRLIEQPSLFGAMVEEYANRSSNL >ORUFI04G04900.1 pep chromosome:OR_W1943:4:5761843:5774565:-1 gene:ORUFI04G04900 transcript:ORUFI04G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPGWMATTACSPPSGGSFPDLLAFLFLSPCPQRALLGAVDLVFVVASLVVLARPRGSGGGAGDGPEREALLPKPRASGRPFRVAVALGASGVFAAASAILLALALFLLPNTVWRVWESAFLAVHFVAHAVAAWTIASRRGAAGGALPLQLRVFWVVTALVGALFSASAAVRWAEDSLLFPDDPLAFAGLALSLPLVYVAITASSGEVAGTCEREPADVTTAAEPSTPYDAASWLSRATFSWINPLVSKGYASDSLAAEDVPPVSPAHRAEASYARFVSNWPAQGSRYPVGVALWLSFWPRVLLTAALGLVRLAAMYVGPSLINHFVDFISHGGTTWEGLRLVAILVAGKAVQTLASHHYNFQGQLLGMRIRGALLTALYRKSLRLSTGARRAHGSGAIVNYMQVDAGTVSYAMHGLHGLWLMPLQIVVALVLLYAYLGPSVLMTLAVITAVTVITAFANKLNLAYQLKFLGVRDSRIKAITEMLNHMRVIKLQAWEEKFGGKVRELRQTEMGWLTKIVLFMCANNVVFSSGPLAMTVLVFGTYLATGGELDAGKVFTATAFFSMLEGPMHNFPQTIVMCMQAFVSLGRLNKFLSDAEIDSTAVERIASSAGDAAAVKVQNGVFAWDVPVEGAEDARQGHGTENGREEGPEMEMVLKGIEVEVRKGELAAVVGTVGSGKSSLLSCIMGEMHKVSGTVSICGSTACVAQTAWIQNGTIQENILFGQPMHSERYREVIHACCLEKDLEMMEFGDKTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGSAIFKECLKGILKKKTVLLVTHQVDFLKNVDTVFVMKDGVVIQSGSYNQLLTSCSDFSVLVTAHHSSMEVPGAAEQMSHDQITEYSQDTTVPAKSPVKSNSSNENGGTSVAPSKEAGSSKLIEEEEKESGRVSWQVYKLYITEAWGWWGVLVILAVSVLSEGSSMASNYWLSYETSGGTIFDTSVFLGVYVSIVAASIVCDAISTLFVTFLGFKSAQVFFNKMFDSILRAPMSFFDTTPSGRILSRASADQMKIDTALVFYVGFATSMCISVVSSIAVTCQVAWPSVIAVLPLVLLNIWYRNRYIATSRELTRLQGVTRAPVIDHFSETFLGAPTVRCFGKEDEFYQINLDRINSNLRMSFHNYGANEWLGFRLELIGTLLLSITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYYTISMTCMIENDMVAVERVNQYSTLPSEAAWEVADCLPSPNWPRRGDIDVKDLKVRYRSNTPLILKGITISINSGEKIGVVGRTGSGKSTLVQALFRLVEPVEGHIIVDGVDIGTLGLHDLRSRFGVIPQEPVLFEGTIRSNIDPIGRYSEDEIWQALERCQLKDIVATKPEKLDALVADMGENWSVGQKQLLCFGRVILKRSRILFMDEATASVDSQTDATIQRIIREEFTDCTIISIAHRIPTVMDSDRVLVLDAGLVKEFDEPSKLMGRPSLFRAMM >ORUFI04G04910.1 pep chromosome:OR_W1943:4:5795563:5803355:1 gene:ORUFI04G04910 transcript:ORUFI04G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLSRLRPLQGNGIINGNNKKNDYDPQCDMSKEEIEWRCAQAEKVRQRMPQVEHQLRIELEKMRHYFLGDPSALKCWDLHMDNVHRAFSEKLPDSLSSPRYTPLMKRKARPKTFACVLSGGWYKLKEVSRNKMVRRSLPLAGVAAVFAAGLAVGYTLGKEQEINET >ORUFI04G04920.1 pep chromosome:OR_W1943:4:5825485:5828921:1 gene:ORUFI04G04920 transcript:ORUFI04G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLQPYIFFLSTKLSVFSGSGISSIHRLSTIQRRERASDPSPVMGVGVGEVVQVLGPLHRCHATAPSAASCSDASGERGRCWDLVSLASTGHDY >ORUFI04G04930.1 pep chromosome:OR_W1943:4:5843222:5847272:1 gene:ORUFI04G04930 transcript:ORUFI04G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNEHVMFKVFHSCYSLFVSQHKEMLLQILHDAQRYSAFRKGLAMIDICQLKNDPEEVLKWLKFSTGWSVLHNSELRIGLKRHMDLLKEHEGNKMNPLSMPEDENLVLEKINAELCSELEKMIGTFDWALMSQYYYKARFSNFARQCAIQARASGVILVKQALPIRETWKEQKQSTSPKVSKLSLEDCLGSLRRPLRRINEQFGRGAAREFSRDCISEIVTFVRLNVHDLLHIVGIAFYLEGNSWRNGSDGQLELVTKLGSWLIIGFQGRMTNKIRILADNWIPGKNDEQSACDSDTVRSFFEEDIANAILQIPISSHGWG >ORUFI04G04940.1 pep chromosome:OR_W1943:4:5847966:5848345:1 gene:ORUFI04G04940 transcript:ORUFI04G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGRGGRGGSGATTFTSAYSDTLPAPLAAATMTTVDATVAAKRRRRRRPRRSAAPVYQ >ORUFI04G04950.1 pep chromosome:OR_W1943:4:5849950:5850652:1 gene:ORUFI04G04950 transcript:ORUFI04G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWRRRRPGSTTRTRRRWGGWSALPAAADEADVVPLAAHPAPVQERRDDAAVRQHARQARFDQFKTFSGRLERQFSTLCGRPAQEHMTNGEGALLWQNPHTRDHLDKHKK >ORUFI04G04960.1 pep chromosome:OR_W1943:4:5850863:5851620:1 gene:ORUFI04G04960 transcript:ORUFI04G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATETTVLPKDEKWPFLLRFHTCSRSCSTLRRCGGSSTTRSAPTSSRRRAPGGDEGAPRRLVCAHDAMAEAAASWSGKRGCHDWGRRQRRSWSRPWDAAGLDGVLAAAASLPLPRPLQPATRMLTVAALPPPVRRRLPDLLLSPPPVASSPQRRPSPAERSEREREKRKEMRWHPDMWGPRGVPR >ORUFI04G04970.1 pep chromosome:OR_W1943:4:5870968:5877720:1 gene:ORUFI04G04970 transcript:ORUFI04G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTGTMHTLLPKLDSLLTGEYRLQRGLTDDIRFLKAELESMQAALTMVLPEAQTNWARIVRDLSYDVEDIIDTFMVRIEAHPSARLRGARGFLVRSMGLFTRLRIRHRIATDIRDIKTLVREAAARRDTYRLPDTAIGHPMAEAIDPRLHGIYEESTKLVAIGGPTEELSSLLMEQKGTSKRQLRVISIVGVGGLGKTTLANVVYQQLRHQFDCDAVVSVSLKPDLKRILSSILRQVCEEHYNIDEILELINKIRQVLGDKRYFIIIDDIWDESAWKIIKCALVENNFGSRIITTTRSVDVAESCCLGIDGTVYKLKPLFHDDSKQLFYKRVFGSEGGCHPELKEISEAILRKCSGIPLAIITIAGLLANKQVSLSEWIRVHESIGSGLEKSSNMDDLRQILSISYDGLPSVLKPCFLYLSVFPEDYSIPVDQLVRRWIAEGFVHGEHDSDDTVSLFGLGMSYLFELINRNLIQPEHITAWGEIEVCRVHDMVLDLITFLSNKENFVLLGRKRKENSVPTSDGDQYIPKKIRRLSFQINEEGHDAEHEEFPHVRSLIIFPGATSMMPPLSNFPVLRVLDLEHCRDLKNHQIITGLGKLFHLRYMGLRDTNITKLPRQLGNLHCLHTLDLSNTAVTELPSTIVFLNQLMHLYIEDSVKLPIGIGKLKSLQLLVSIGVSHSPNVARELGNLTELRVLHISLISGTDEWHKSYEKPLIDSLYNLKKIQELHIRSPGAPTEFLADLRWCPQQLRDFYGVEMSRLPTWISPSLLNLYKISMSMLKILRQEDLKNLGALPFLSYLYLTIEKIESTEERLVFGTDPTQFQCLQHLTFKSDAMGLMFSQGAMQKLESLYITLNARETKDIYGDFNFGLENLCSVTQVTVKIRCTGSRFREVDSADTAIRAAIIRNPNNPTFDVSICFEHRMVIDQAQRPVDDETIIEGEEIMPERVGPWGGGGDRNHDIMVAPRHLENVKVSSGAVVDGIRFSYLDKYGKQHTTPLWGGDGGHGRTVCHFSGN >ORUFI04G04980.1 pep chromosome:OR_W1943:4:5888216:5891762:-1 gene:ORUFI04G04980 transcript:ORUFI04G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRTSWYLLKDGKIALMTNIQKMEPTEWLITLGSKGHSQILTLLRNVKPASPSQYYSVSLLSLTTSQPTASPPAGWSASPPLASLALPLLLMAYGGDGGDYSHHVATTGGGGFSRVRRPRAMAAATSPVVRRRRAVVASLACDDHGRRWTLPCAATMGGGSGFSHRQIRWPTAAAPDLTMWWRRIDFGAKEGWVHWNRCIEPIFFVSSWLTPLTCYGHHKLALPCPTARPCPSQQAEG >ORUFI04G04990.1 pep chromosome:OR_W1943:4:5912703:5915206:-1 gene:ORUFI04G04990 transcript:ORUFI04G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFATHRDCFGKRVAVGELAKRIMIRQPSDVVFNVKNLIGKHFNDSCVQEMRKRLPFSIIEGPEGESCVEIHGIKLSPVEITTAIFTKLKDMVLMHQFHHKFKVVICVPSFFNEQQREDIMSAGRRAGLEILQLIDEPIAAALSSTTIKEGVVVVFGMGAGSYSVAVLHVSGMNIEMIAQCGDSCISGDQFDNILVDYCVTQMIKLHSVDVRGDKCAMRQLVEVAEQAKVKLSSQPTATISIPYLTSSGQGHGPAHLNITISRQEFEKLVNNLTEQIQEKCQIILKEAKIAAKDVDELVLFGGMTRVPKIQRIIYEVFGKHQSAKVNPEEALVIGSAMQAALIVEDQQEMSKDMIPLSIGIECEEGIFTKVIPRHTRIPTKRMVKIPAWCAQGECLHIRIFLGEHVIVDHNTLLGEVELINNRRSYEGGVDYELTFEVSRNYLVEVSVSNADDGSKTIKAFPIDEKVVCKHNVNRAVRNALRDWSMYAAEIYADMRNLARHTINTLSDALSARKDELPKDLYEDAVTALDDLLKAMGKDVSVLHDKIRAAMSVEFHGSYNCKRRRRAFPYRGR >ORUFI04G05000.1 pep chromosome:OR_W1943:4:5925133:5926419:-1 gene:ORUFI04G05000 transcript:ORUFI04G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSKKQKLAKEDEEDARIRDTESPSSVYLVVGHGVTRPSYSLFKVNPHLPANADDGGDTPLPLLPYLAHLTGKHYMSFVSVRSRRHAPWIVGVGGSSARNYGPDETIVFDTVMRKEISGPKLLSTKLCPILLPFGDKIYALARRPAVTGDVNFVPWFEVLDLSVARVDDDASDRLVGCEWRPLPRPPFFPWDLTPTDYIFPPVVTVKSHGTGTLYLGLSNTTNAITAYRIKVVSAVQPPPLQAQASQLQGACPASAVASPSITPTGCLSLSIVEIRMVTNFEDEEEIVSTGRFIFLDHLPDRPGFCSFTWSNDDPLQFSSLPEHTKELLTIRAYTTEGPLSQDYLESTRTLVISNQWKQVYSICDTVRGLSFPCLAGAISL >ORUFI04G05010.1 pep chromosome:OR_W1943:4:5936588:5950634:1 gene:ORUFI04G05010 transcript:ORUFI04G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPPLRTTSASSSTLSTDSPTSAPPGGVPQSITALLNNPLPSASSSSYYWLTWPPPTPLPDAPPPPPPHPCDVSRADFAPYLAAVADPFGRFADIRLHASAEELAESQDGAAAGPAASGLAACLREVPALFFKEDFALEDGATFKAACPLGDAALQERLGQHLDVVEAHLVREIARRSESFYEAQGRLRGLDGEIVAAVGRIRELREVVRVLTGDLVGAARQVQELNATRGNLVALQQKLTVILYVSQALAALKLLVAAADCAGALDVIDDLQNLLDTDELTGLYCFRNIRDQLGTSLDSVNSILSAEFVRAAVPDGKAVDALIQANVKRKASVPLNGTEHEVNIDEEESFILRDRLLPLIICLLRTDKLPAVLRIYRDTLITVMKASIKATVAELLPILVARTIDSDSVTGDRAADSDAGGQSLANKLRSLSSEGFVQLLSAIFRIVQVHLVQAAEVKRIVEWIMGNLEGSLSSDASNSVQKHSGSVSDFSQENDHGVTSRVSNTLTRSNSKFPFFQGKTNDMSSTNSIKNVRADVLRENTEAVFAACDAAHGRWAKLLGVRAALHPKLRLQEFLIIYNVTEEFVAATEKIGGRLGYNIRGIVQQQSKQFVDYQHTVRMAKIKAVLDQETWVAIDVPEEFQAIVLSLSSTYSVANGMEMPSTDDSSKLHENRVTSQEPVNSAENNTDNGNAVSTSPSTENNVGHARSTQQTIVHGGVGYHMMLSEYVDISKCLPSLSFEVVQRVVEILKLFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQIISFIYSLIPDIRRVLFLKIPEARKQLLMSELDRVTQDYKIHRDEIHTKLVQIMRERLLANLRKLPQIVESWNGPEDTDLQPSQFAKSVTKEVSYLHRILSQTLLEADVQLIFRQVVQIFHSHITEAFSKLELSTPQAKNRLCRDVQHILVCIRKLPAENFSAEAIPNYGLLDDFLAEKFGTKVDE >ORUFI04G05010.2 pep chromosome:OR_W1943:4:5936588:5950056:1 gene:ORUFI04G05010 transcript:ORUFI04G05010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPPLRTTSASSSTLSTDSPTSAPPGGVPQSITALLNNPLPSASSSSYYWLTWPPPTPLPDAPPPPPPHPCDVSRADFAPYLAAVADPFGRFADIRLHASAEELAESQDGAAAGPAASGLAACLREVPALFFKEDFALEDGATFKAACPLGDAALQERLGQHLDVVEAHLVREIARRSESFYEAQGRLRGLDGEIVAAVGRIRELREVVRVLTGDLVGAARQVQELNATRGNLVALQQKLTVILYVSQALAALKLLVAAADCAGALDVIDDLQNLLDTDELTGLYCFRNIRDQLGTSLDSVNSILSAEFVRAAVPDGKAVDALIQANVKRKASVPLNGTEHEVNIDEEESFILRDRLLPLIICLLRTDKLPAVLRIYRDTLITVMKASIKATVAELLPILVARTIDSDSVTGDRAADSDAGGQSLANKLRSLSSEGFVQLLSAIFRIVQVHLVQAAEVKRIVEWIMGNLEGSLSSDASNSVQKHSGSVSDFSQENDHGVTSRVSNTLTRSNSKFPFFQGKTNDMSSTNSIKNVRADVLRENTEAVFAACDAAHGRWAKLLGVRAALHPKLRLQEFLIIYNVTEEFVAATEKIGGRLGYNIRGIVQQQSKQFVDYQHTVRMAKIKAVLDQETWVAIDVPEEFQAIVLSLSSTYSVANGMEMPSTDDSSKLHENRVTSQEPVNSAENNTDNGNAVSTSPSTENNVGHARSTQQTIVHGGVGYHMMLSEYVDISKCLPSLSFEVVQRVVEILKLFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQIISFIYSLIPDIRRVLFLKIPEARKQLLMSELDRVTQDYKIHRDEIHTKLVQIMRERLLANLRKLPQIVESWNGPEDTDLQPSQFAKSVTKEVSYLHRILSQTLLEADVQLIFRQVVQIFHSHITEAFSKLELSTPQAKNRLCRDVQHILVCIRKLPAENFSAEAIPNYGLLDDFLAEKFGTKVDE >ORUFI04G05020.1 pep chromosome:OR_W1943:4:5954021:5955022:1 gene:ORUFI04G05020 transcript:ORUFI04G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQTQGSNLRALLVEDIKVNRMILSQMLRKFQVETTVVQNGKEAVELFLGGETFDIVLTDNLMPIMTGPEAISKIRAMGATDVMIVGVSVDANSMEEFKDAGADLCVPKLKLEILEHILQETRSKKNKSSA >ORUFI04G05030.1 pep chromosome:OR_W1943:4:5973436:5975925:1 gene:ORUFI04G05030 transcript:ORUFI04G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKDKSSGAARALELTDRSGNGGSSTDELPGWFVHCGLDSIEKDKMTLGNRRHRDQGQGSDIGLCVVVWSSPRSGPKKPVSRSGKARLQFPVGRYLKKGRYAQRVGIGAPVYLAAEASPIVYLFLYQSFQPSVVNRDLLMQVLELAGNAARGNKKNRIIPRHVLLAICNDDELGSCWLASPSRMVVCCRTSTRCCSRKKTTEKSDKLAKDKATKSPKKQGGADEEMNS >ORUFI04G05030.2 pep chromosome:OR_W1943:4:5976223:5976597:1 gene:ORUFI04G05030 transcript:ORUFI04G05030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRYSCSLSEDGARDENMPSLIFRTATIVSKIGQGEQGGALMFLCNGICTWRLPTSIMETWPQQDDVVDDAPVEEDGERRRGKVIVAWR >ORUFI04G05030.3 pep chromosome:OR_W1943:4:5975929:5976219:1 gene:ORUFI04G05030 transcript:ORUFI04G05030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRGDWKSWCCFHGETETMSVRLGLAIEKACAIWVGDGVMLVWSRGNSTSAAGRWRGCLRSWKWRCWA >ORUFI04G05040.1 pep chromosome:OR_W1943:4:5981506:5982393:-1 gene:ORUFI04G05040 transcript:ORUFI04G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENNQRKKLVIQDVPERQLSPDDADDEVNEYYTEGVTDVDNYIDSAFRSTRKNKAYIFIREENVVMNYGPATRDDKIISGLRYIGNTLQSLVGTAFAEHGIDAAFACHDNHGFLCARSEAMIFSANLCARINFAPRTTRDRIIQGPKTISQMFPFFKGTSFEKGIDAAFESTVTGEAYLFKGAEFALINYSRPILIEIRPIVDVFKCFRDCYLFATDIGAALASHVSKDVYLFKENDYLLFHLTPGETNHYIIGGPKEIVPRNWPSLKGILPRKNKALDIYESLQPNPVRDQDD >ORUFI04G05050.1 pep chromosome:OR_W1943:4:6015902:6020584:-1 gene:ORUFI04G05050 transcript:ORUFI04G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYFCRCSSSVTTTFCPLQQTQHFCGGYQRGIELGSIHNSSNEVRADTSNMIRISEANLPGTALGATRQSLKKGGCEEVGPEGRLDVSSTFPQLRHQNQSSHYHNNINYTTQTTTSSPPLDLPTGAADVVVTTAGSAGKAAAVGSAAAEAQDGGGGVRGPLDPPVGNTTVATSAGSARGSANATAAAAGEAWVRSGRRGRTTGSASINTFATAYTGSARGSFDAIVSPQWEKLGGGRAARWKRHCRRLLRIRKQELPTPPPPPDLRRSLGPDEEGEGEGVEDHRILPARTADAIAATSSTASELDERGGGGDERRE >ORUFI04G05060.1 pep chromosome:OR_W1943:4:6015972:6016214:1 gene:ORUFI04G05060 transcript:ORUFI04G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLAGRIRWSSTPSPSPSSSGPKLLRRSGGGGGVGSSCLRIRRRRRQWRFQRAALPPPSFSHCGETMASKLPRADPV >ORUFI04G05070.1 pep chromosome:OR_W1943:4:6019950:6022775:1 gene:ORUFI04G05070 transcript:ORUFI04G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRVYWVVQAPARGQACVRVGKTSWSLMSYNDTGRRTKRRWKEEEAAQWAIARVQQLWNEWEIQCLVIVSFLLQAFLLFATGFRKRHRSRVLRGLLWLAYLSADSVAVFVLGRLTLQTGDPRHQLTIFWAPFLLLHLGGQETISAFSMEDSALWKRHVLNLLTQSTLAIYVVAKQWRGDRRLLPPMLLIFVCGIGKYAERAWYLRRAGSRAPGSRSIAGHVTGARREFEREVFWYYDKLNCIFVENLQLHFELVLELATRGFQLSLDFLMDVIPAKSLRPETDWNEGLVARIKSSEKRADLVYKLAEVHLSLIYDYLYTKFGGFSGMVLLHCVLLLLRPAMFVLTSIAVSLFVVAQVDQEGTPTHNYYGTDVTISYILLAGAVALEMSSIFMWFKSSYWPYMTISYLKHRDRFRTLRTLLLFTVRLLGRQNIMEWSGMLAQYNMINTCNRENQAGSLEKMARSIGIDRDYTTHVLVSPEVKNVLLDQLLDIATTSGTPQDLDFANFHGQWARNGFDLSSEDEAAGQPRSSGGSAAQDALRISAIQHLDFVSSAILWHFVTEICLLAGDEEVASGISMLRRSSEELSNYIIYLIVKCNVMLGSDGHYVVKVARRDVKLFLGMVVSRREFVQKVRDGDPNVNLKEFPALDRAHRVSSKLFKMNAHDRWRLISLVCVEMICYVAQNCGAGFHAKHLSTGGEFITHVKMLLFIIGLPLRRHTKEQLFPSEEIEERKFLRRSHPWRRG >ORUFI04G05080.1 pep chromosome:OR_W1943:4:6023804:6024875:-1 gene:ORUFI04G05080 transcript:ORUFI04G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMMMRKKAKQEDRIISPDMEDVLNNRLISYHDIVRATDNFSETNFLGAGSFGKVFKGQLNDGTMVAIKVLNMELEQAVRSFDSECHALRMARHRNLIRILTTCSNLDFRALVLPYMPNGSLETQLHSEGGEQLGFLQRLNILLDVSMAMEYLHYHHCEVVLHCDLKPSNVLFDQDMVALVADFGIAKLLCGDDNSVISASMPGTVGYMAPEYGSVGKASRKSDAFSYGIMLLELFTGKRPTDPMFVGELSLRQWVTSAFPSNVMDVVDNQLLVQDSSSSLNNFIVPVFELGLLCSHELPDQRMTMSEVVVRLAKIKKDYMASV >ORUFI04G05090.1 pep chromosome:OR_W1943:4:6068567:6069171:1 gene:ORUFI04G05090 transcript:ORUFI04G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRPSSPINSRRAHPISSASELEVLSFSLHHGRLIPSPRSISSIGAPSAESLRYELRLDEVIPFLPFPLSFSHRHNSSPPPHKPAGTKVLSTPFRPSPSFPRLATTFPGCASLGNTLGHRRRDRSITGAPAPLLSLSLSLLFTGRREGRSRREEEEGEKKKKKIKRQPVVFRGSDNQVAYLRVICVGARQ >ORUFI04G05100.1 pep chromosome:OR_W1943:4:6068728:6089767:-1 gene:ORUFI04G05100 transcript:ORUFI04G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVGWDQKQHLGDHRTTTLALTPLQNSFSRERERERRGAGAPVMERSRRRWPSVFPSDAHPGKVVASRGKEGDGRNGVDKTLVPAGLCGGGDELWRWLKERGKGRNGITSSRRSS >ORUFI04G05110.1 pep chromosome:OR_W1943:4:6089947:6090489:1 gene:ORUFI04G05110 transcript:ORUFI04G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGGRRRLSTLTSKEVFTWTNSNNQRLLHVDGLHWSYICTSCSMWLAAEDRMESTGDVDMNENIFAIFSCLLDDGWLLLRNVELISVPHRYILS >ORUFI04G05120.1 pep chromosome:OR_W1943:4:6108719:6183434:-1 gene:ORUFI04G05120 transcript:ORUFI04G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSARKRKRKRRERIREKGRANLPNGLELSSSPPSLPTPPPPSPCALAAGLPISSLITRSPTCRSRARLSVHEYSEVRPQRQGAQRDQLSKHLRGISGCSGSVLGAIRTQRGVAKRFAHSKTRTGSALEQILLEMNKEREELLILLIPFFLNTSPDPLSSNPRFVDDEDGLMASSVNNDEDGASMSYGDSGFKQR >ORUFI04G05130.1 pep chromosome:OR_W1943:4:6203075:6210951:-1 gene:ORUFI04G05130 transcript:ORUFI04G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGGGGAGAVALPAGVGQCDGKSCRWEGAPLAVGRAPRLRCRLQRLVRRSPGKASCEGTVGRCEGGEGVGAAALLVWVEEVEGKVAGGEGNMDIWGKFRCIWKMVK >ORUFI04G05140.1 pep chromosome:OR_W1943:4:6212368:6212990:1 gene:ORUFI04G05140 transcript:ORUFI04G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVLMSFVSLVSPGDDGDPRPKRSQLARVARCTGLSERYGSQIPRADDTCDVAAAATEAGALMARVLLGYFALGIWSQIPRADDACDVAAGTEAGTLMVRTLLVMSCVARLDDEDIGAGGIVEKAWRRAGGQCPVPMQSTR >ORUFI04G05150.1 pep chromosome:OR_W1943:4:6236288:6246211:-1 gene:ORUFI04G05150 transcript:ORUFI04G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNLPKAVKPIGEWFLSGARAACIGVDVYPSHFASLLKECKSANTECKSANTVHQIHQQIIASGLLSLPTPLLSVSLPALPSEPFISPRSLGTGVVASYLACGATDYALLVLERVTPSPAVWWNLLIREHIKQGRLDSAINVSCRMLRAGTRPDHFTLPHVLKACGELPSYRRGSAFHGLICCNGFESNVFICNALVAMYSCCGSLEEASMIFDEITQRGIDDVISWNSIVSAHVKSSNAWTALDLFSKMTLIVHEKPTNERSDIISIVNILPACGSLKAVPQTKEVHGNAIRNGTFPDDEDLMVYNALIDMYSKCRSFKAARSIFDDIPLEERNVVTWTVMIGGHAQYGDSNDALKLFCGLMENAVKVFNMMEFKDVVSWNAMVAGYSQSGNFKAAFELFKNMRKENIPLDVVTWTAVIAGYSQRGCSHEALNLFRQMIFSGSFKAARSIFDDIPLEERNVVTWTVMIGGHAQYGDSNDALKLFVEMISEPYGVAPNAYTISCILMACAHLAAIRIGKQIHAYVLRHHRYESSAYFVANCLIDMYSKCGDVDTARHVFDSMSQKSAISWTSMMTGYGMHGRGSEALDIFDKMRKAGFVPDDITFLVVLYACSHCGMVDQGLSYFDSMSADYGLTPRAEHYACAIDLLARSGRLDKAWRTVKDMPMEPTAVVWVALLSACRVHSNVELAEHALNKLVEMNAENDGSYTLISNIYATARRWKDVARIRHLMKKSGIKKRPGCSWVQGQKGTASFFVGDRSHPLSPQIYALLESLIDRIKAMGYVPETNFALHDVDEEEKNNLLVEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHSAFTYISKIVDHEIVVRDPSRFHHFKN >ORUFI04G05150.2 pep chromosome:OR_W1943:4:6236288:6246211:-1 gene:ORUFI04G05150 transcript:ORUFI04G05150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNLPKAVKPIGEWFLSGARAACIGVDVYPSHFASLLKECKSANTECKSANTVHQIHQQIIASGLLSLPTPLLSVSLPALPSEPFISPRSLGTGVVASYLACGATDYALLVLERVTPSPAVWWNLLIREHIKQGRLDSAINVSCRMLRAGTRPDHFTLPHVLKACGELPSYRRGSAFHGLICCNGFESNVFICNALVAMYSCCGSLEEASMIFDEITQRGIDDVISWNSIVSAHVKSSNAWTALDLFSKMTLIVHEKPTNERSDIISIVNILPACGSLKAVPQTKEVHGNAIRNGTFPDDEDLMVYNALIDMYSKCRSFKAARSIFDDIPLEERNVVTWTVMIGGHAQYGDSNDALKLFCGLMENAVKVFNMMEFKDVVSWNAMVAGYSQSGNFKAAFELFKNMRKENIPLDVVTWTAVIAGYSQRGCSHEALNLFRQMIFSGSFKAARSIFDDIPLEERNVVTWTVMIGGHAQYGDSNDALKLFVEMISEPYGVAPNAYTISCILMACAHLAAIRIGKQIHAYVLRHHRYESSAYFVANCLIDMYSKCGDVDTARHVFDSMSQKSAISWTSMMTGYGMHGRGSEALDIFDKMRKAGFVPDDITFLVVLYACSHCGMVDQGLSYFDSMSADYGLTPRAEHYACAIDLLARSGRLDKAWRTVKDMPMEPTAVVWVALLSACRVHSNVELAEHALNKLVEMNAENDGSYTLISNIYATARRWKDVARIRHLMKKSGIKKRPGCSWVQGQKGTASFFVGDRSHPLSPQIYALLESLIDRIKAMGYVPETNFALHDVDEEEKNNLLVEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHSAFTYISKIVDHEIVVRDPSRFHHFKN >ORUFI04G05150.3 pep chromosome:OR_W1943:4:6236288:6246211:-1 gene:ORUFI04G05150 transcript:ORUFI04G05150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNLPKAVKPIGEWFLSGARAACIGVDVYPSHFASLLKECKSANTECKSANTVHQIHQQIIASGLLSLPTPLLSVSLPALPSEPFISPRSLGTGVVASYLACGATDYALLVLERVTPSPAVWWNLLIREHIKQGRLDSAINVSCRMLRAGTRPDHFTLPHVLKACGELPSYRRGSAFHGLICCNGFESNVFICNALVAMYSCCGSLEEASMIFDEITQRGIDDVISWNSIVSAHVKSSNAWTALDLFSKMTLIVHEKPTNERSDIISIVNILPACGSLKAVPQTKEVHGNAIRNGTFPDDEDLMVYNALIDMYSKCRSFKAARSIFDDIPLEERNVVTWTVMIGGHAQYGDSNDALKLFCGLMENAVKVFNMMEFKDVVSWNAMVAGYSQSGNFKAAFELFKNMRKENIPLDVVTWTAVIAGYSQRGCSHEALNLFRQMIFSGSFKAARSIFDDIPLEERNVVTWTVMIGGHAQYGDSNDALKLFVEMISEPYGVAPNAYTISCILMACAHLAAIRIGKQIHAYVLRHHRYESSAYFVANCLIDMYSKCGDVDTARHVFDSMSQKSAISWTSMMTGYGMHGRGSEALDIFDKMRKAGFVPDDITFLVVLYACSHCGMVDQGLSYFDSMSADYGLTPRAEHYACAIDLLARSGRLDKAWRTVKDMPMEPTAVVWVALLSACRVHSNVELAEHALNKLVEMNAENDGSYTLISNIYATARRWKDVARIRHLMKKSGIKKRPGCSWVQGQKGTASFFVGDRSHPLSPQIYALLESLIDRIKAMGYVPETNFALHDVDEEEKNNLLVEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHSAFTYISKIVDHEIVVRDPSRFHHFKN >ORUFI04G05160.1 pep chromosome:OR_W1943:4:6248687:6250941:-1 gene:ORUFI04G05160 transcript:ORUFI04G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGPARPPPRSSNHYVKWYLYIAPQTDSITGRSPPNGMTTLEKKGITNGYEINESSSASDC >ORUFI04G05170.1 pep chromosome:OR_W1943:4:6254865:6257926:1 gene:ORUFI04G05170 transcript:ORUFI04G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDALCSGLGTLKYLVASSVSEACNNSVLLLGPRGCEIRLNGMLHSDDNCATKASSDDNTEFMIDMLRKLWLSRRPCGRSPPTLPATTSSRPSPRRPSATTASTRTPPGTCPCAPASPRLLPLNVVSSYGPNSLGVVFDRGLIGTNHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKFVLLEMDRILRPTGYAIIRENAYFLDSVAIIAKGMRWNCDKHDTEYKADKEKVLICQKKLWSGKNTQH >ORUFI04G05180.1 pep chromosome:OR_W1943:4:6258076:6258453:1 gene:ORUFI04G05180 transcript:ORUFI04G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCLTSPSSTSSRYKKLALDATPKWPQRLAVAPERIATVPGSSAAAFKHDDGKWKLRTKHYKALLPALGSDKIRNVMDMNTVYGGFAASLIKDPVWVMNVVSSYGPNSLGVVFDRGLIGTNHDW >ORUFI04G05190.1 pep chromosome:OR_W1943:4:6263489:6269026:1 gene:ORUFI04G05190 transcript:ORUFI04G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEREASSEWGDGVGALGFRVKASSREPAAQKAANVLEPDLRSHWSTATNTKEWILLELSEPCLLSHIRIYNKSVLEWEITAGLRYNKPDTFVKVRPRCEAPKRDILYPANHTPCRYVRISCMRGNPIAIFFIQIMEMNFRLTVNNQVGEIEIKGDRDVDTQLVYMHAWRRFIKHLAPAGEEERRGSKRKATEEEVVRSRASSPTSASTTSPSSSGRSLPACATTSQIPSSRFARAARLPSATYSILPTTPLAATSASLVCAATLSPSSSSRCEAFSTYPRTYDLLHLDGLFTAESHRCEMKFVLLEMDRILRPTGYAIIRENAYFLDSVATIAKGMRWNCDKHDTEYKADKEKVLICQKKLWSGKNTQH >ORUFI04G05200.1 pep chromosome:OR_W1943:4:6269541:6269732:1 gene:ORUFI04G05200 transcript:ORUFI04G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRILRPTGYAIIRENAYFLDSVATIAKGMRWNCDKHDTEYKADKEKVLICQKKLWSGKNTQH >ORUFI04G05210.1 pep chromosome:OR_W1943:4:6269844:6270035:1 gene:ORUFI04G05210 transcript:ORUFI04G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRILRPTGYAIIRENAYFLDSVAIIAKGMRWNCDKHDTEYKADKEKVLICQKKLWSGKNTQH >ORUFI04G05220.1 pep chromosome:OR_W1943:4:6272479:6280225:1 gene:ORUFI04G05220 transcript:ORUFI04G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSKEAKPERAAGGRSRAVPVALLLVVLCAFSFYLGGIYSTGRSLLDVNGIVVKGASSSSSASAVAIQKDTNTKAVVVFPECPADYQDYTPCTDPKRWRKYGNYRLSFMERHCPPAVERKECLVPPPQGYKAPIRWPKSKDQCWYRNVPYDWINSQKSNQHWLRKEGDKFIFPGGGTMFPNGVGAYADLMAELIPGMTDGTVRTALDTGCGVASWGGDLLGRGRGILTLSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSAAFDMAHCSRCLIPWTEFGGLYLLEVHRVLRPGGFWALSGPPVNYENRWHGWNTTAAAQKADLDRLKKTLASMCFKPYSKKGDIAVWQKSTDPACYDKLTPEPCLLSHIRIYNKSVLEWEITAGLRYNKPDTFVKLIGIPIPGLEPDLQPLVNYLLPHITAHKQSSQNIHLQLLKDIASRLPPFLPQIEGFY >ORUFI04G05230.1 pep chromosome:OR_W1943:4:6281109:6296846:1 gene:ORUFI04G05230 transcript:ORUFI04G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAKVDLAIELLEDLLGIIQGVGQSLTRSRAALKYIALAISGHMDDVLTEYKVHSFFLLKRPAVLPSLELEWRRGAVATSVILSTLDPHMPLPPDIDLCKSSMPEIDQISLIVPNCPPHSCSAEDADGHFGSSDPSSLLCSYLQGFQAFIDGCETILVQNIELFGVHVLSERNQSTEFANSASPDDTMDNKKAQASVAQVQRRSTEYHENGENSKGVDSPHTVCIKEFCGALERLVSNLAPSIEGSWKWHLQLASRLSLSIAKCLLYAKCLKSIAEGETILVNQKKQCWQVASVMLDYMIKLPNILAWDNVLNVMSSAMKHLCSHAPRISWRLQTEIWLSILVSYGIEGLKNSENSLIDLFCTLLSHAEPEQRSVALQQLGRIIMSTTKVDYEYTTYKQNSLSSGSTVTSLLVTHTWDRVAALAFYDSSMLLRKHALALLTEYIPFVDRNHLQSFLGSSNSILNGVEQFSYAIEQGYLTRMSLLLLSRVVKELLTESTAKPVETDFKGIRESILQVLSSLSSVESYFEFFSTRSDQEYQELEEAEIELEIVKNEKARHSFIVHPQDTMIPDMSSYYKDGNEVNKQLQQIQEDIRERSKLREEIIARRQKKLLIRHTREKYLEETSSREMELLQELDRERAHEMEREIERQRQLDLERVKSRELQFNLDMEREKQTQRELQRELDQVELGRSSRREFSANTNSRSRERYRERDNGRGQQEGRSRGGGGVEAGGPATRSFSGNLPTILQQPRERTTSDERTSTGGNYYEENAEGSGDASSVGDPESAAALEAGTRAYSFSGQQVVVLNLNLNLKAGCSRTQGASRREMGEEAFLSRLWSNWLPVRTNCIDYLLVALYCTFLYYVCVRGAANENGYFDRWLRCSFSSLVFFTDICRTDKRCLFFTSNMSVLNATVQFYCL >ORUFI04G05240.1 pep chromosome:OR_W1943:4:6301922:6302236:1 gene:ORUFI04G05240 transcript:ORUFI04G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARWRAMGGGDDGAMGARWRAMGGGDDGGRQRGVFSR >ORUFI04G05250.1 pep chromosome:OR_W1943:4:6302253:6303701:1 gene:ORUFI04G05250 transcript:ORUFI04G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRTAEEERVDSVKNPAHECTTVRGRESARRKCVGDAGERGGKSETQDGGCGVISPFQVREGEEGERWAPDLSRTATADYAYTPPFASLSPPMGTTISEDAAASTSPLVLAAGHLFHL >ORUFI04G05260.1 pep chromosome:OR_W1943:4:6309902:6311729:1 gene:ORUFI04G05260 transcript:ORUFI04G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESALCHTAKANRSVEHGTMRSSSTEKTASVVSPWMGLNLLLRLSSALIANRTREQLVEGARGGGRSPWRGGGEVRAVEGEGEGEDGGDGGDGEQAGGESARGRRVVGGGGGGAMGARWRAMGGGDDGGCRRGVISR >ORUFI04G05270.1 pep chromosome:OR_W1943:4:6311748:6313447:1 gene:ORUFI04G05270 transcript:ORUFI04G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRTAEEERVDSVKNPAHECTTVRGRESARRKCVGDAGERGGKSETQDGGCGVISPFHVRGGEEGERWGTCSTSENH >ORUFI04G05280.1 pep chromosome:OR_W1943:4:6316811:6326646:-1 gene:ORUFI04G05280 transcript:ORUFI04G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIILALSKINSPFGLDPTNIAKSAVSKLYQKGKSLAELPGKVEEIRMELTTMENVIEQLDTAHLTDKVIKGWIAEVRKLAYHVEDVMDKYSYHALQMEEEGFLKKYVVKGSHYAIVFDGIVAEIVQIEQEIQRVIKLKDKWLQPSQLIRNKHSDFERKRSQGCLPELVKDEDLVGIEGNRMLLTGWLYSNELDSTVITVSGMGGLGKTTIVANVYERGKIRFHAHAWIVVSQTYDVEELLRKVLRKIGYADQAHLDGMDVHDLKEKFKENISDRRCLIVLDDVWDREAYNQIHDAFQNLQASRIIITTRRDLSNCFLYCSMFPEDCPMPRDNLVRLWVAEGFAARKENNTPEDVAEGNLNELINRNMLEVVETDELGRVSTCKMHDIMRDLALFVAKDERFGSANDSGTMMLMDNEVRRLSMCRWEDKGVYKAKFPRLRTLISVQTISSSSNMLSSIFSESTYLTVLELQDSEITEVPTILFSTLSNMPLLSTLLLSASSKTETLCFKTLEPISGRLHKLIVRGHWANGTLQCPIFQDCGRNLKYLALSWCHLGEDPLSLLASHVPYLTFLRLNRVYTTKTLVLSAGCFPELKTLVLKHMPDVNKVEIEDRALPRIEGLHIVSLYNVKKVPEGIEFLRSLKKLWLLHLHKDFNTYWESNGMHEKMAHANTAKTLILSAGCFPVLKTLVLMHMSDVNKVEVEAEALPHIEGLHIVKKVSEGIEFLRSLKKLWLLNLHKDFNTYSKRNGMHEKMVCGQEGEADRLMHGRGESVEVCFQGRRVRAASDRRLDALSIDLEVGFPGQRHWDLGFEERSPKAYQGDYINCICCQDTLCLALARDNPSRGTDYTTGNLVHGALTSLRLFTKQHRQPLLKVIDVSLSYDVSR >ORUFI04G05290.1 pep chromosome:OR_W1943:4:6335708:6336220:-1 gene:ORUFI04G05290 transcript:ORUFI04G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRWPTVVEGKEEVLSIEEGKEAAVIVETEELAAVVVEGGEEALGIKEGGEAAVLVEAKEETKEAAIVVEAKEKAAVVVEGKEAFSTIAFGPLKVDVKV >ORUFI04G05300.1 pep chromosome:OR_W1943:4:6339602:6345290:1 gene:ORUFI04G05300 transcript:ORUFI04G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGQGYFAGKPAKPNDKEQQAGDEETQAVDAQTPGGTCPCLAGVGGAASKKKSGRVLYAYNSAESFK >ORUFI04G05310.1 pep chromosome:OR_W1943:4:6353025:6363582:1 gene:ORUFI04G05310 transcript:ORUFI04G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISQLLLRESTITITITTTTNIPDKCGNVSIPYPFGIGRGCYLDLPGSGSFSITCNHKTDPPQPYTADALLVLNITLETAEMFVVSAGALAVVKYYPSVRARSAITSQFITTTQQEEEHSMQVKVTVGMPVTQQVNMTFLPSGYTLSAPYRLSPTGNMFTAVGCVTMAKLYGSVENSSSSSSTGATTTTAAAAGGQMIGERAYAYDAGCITYCPSLSDAAADGAPCKDLGCCESSITPGLTQFAVGWGRWPGASDDDYGELDPEQYYQYAFVAQDWYTFKQDHLTHWDIDNISVPFVLHWDIKDGPACRPETNYDSPFGACHSNHSKCANVTSGLDGYFCKCSEGYIGNPYIPDGCKDVNECENNSICGAGSTCKNTEGSYRCDCNFGQRRDNSSDNMGNCEPIFSRAAIAVIATVFIIALLVVLLMFILLERKKRKLRAYFNRNGGQLLKSIKIDIYTKEKLDQITKNYSTIIGKGGFGKVYMGTINGNVRVAVKRCITVSEARQRDFANEITIQSQINVPMLVYEFIPRGSLCDVLHGKEYNKKHPLSLLARLDIAINSADALAYMHSYASQKILHGDVKSGNILLDDNFVPKVSDFGTSRLMTIGKDHTTFVVGDMSYIDPVYMKTGLLTEKSDVYSFGIVLLELMTGKKARYNGNNSLPMNFMEAYMTESRAYEMYDKEIITTEEDIKCTANVGTIAVNCLKNSVDERMTEVVKDLQIVPSEWLQILGHREHDSTEPMGI >ORUFI04G05320.1 pep chromosome:OR_W1943:4:6368427:6376653:-1 gene:ORUFI04G05320 transcript:ORUFI04G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPDKKRKKIAAAAPAPAPAPVARLVRVRSGNKKRKGKGKEAPAEGSAAKEPAVYMVLAHGVEEEPTHSVIEVAAGATVRRLLHSTSGRGMSFAAVGTRIVGIGLDQTTVYDPKTSTVRAGCRLVFPKVNPVLVSHGGKLYAVSCCPSVVNGRDFEPWFLVLDSLGYFGWRELPPPPIVPCRLNPLEYRDPPAVRVAAYAIVGSHILLSVSVQQQGQDKGTCAFDMDAEQWEMVLDTNLPFTGQAVPLGDHRFVSCSMAKGGAASVYYMEVMVFPPGIAGSGTGKKELSIVELQVESKRIVPGHLLCTMGKGSFSSFDFRSTASPAKQDIVARIVHRTYSQAEAEVEADDSADTDLVITVKREDRQIYKLRDPHTWEKVDIPEYIPDISDEAEEVVLCSQGKEVQGINEVLYFVQKCGFIENTATNKQRLTCKSCGPLNRGWSLV >ORUFI04G05330.1 pep chromosome:OR_W1943:4:6377332:6377977:1 gene:ORUFI04G05330 transcript:ORUFI04G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAALRARRMARRGLGWGRRACSSLAWSASRTSTKVTQFSGTCSNLLLERSKSKRQPRLPGLVSGSPWARRKTKKRPAKARKGKRRLPTKARNSPRPAASPSTTEMSTSLVARMSMRSGALGMTTMV >ORUFI04G05340.1 pep chromosome:OR_W1943:4:6379347:6380964:-1 gene:ORUFI04G05340 transcript:ORUFI04G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNHQVPEAAGEIGSAGRTSVKGRRGIRRRPRSASASSSWGGGAAGCKKKKAQVGEEEKEASSTVPAVYDANADIEEEYRLFLENVRVYENDDFVVEYEGVVVRYGGEAVADHGAGRGAPPVKGVPDPNQLNGSVHRQKLVGAVGLGVGMAQQKEEQTR >ORUFI04G05350.1 pep chromosome:OR_W1943:4:6381774:6382413:-1 gene:ORUFI04G05350 transcript:ORUFI04G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTCCIGTSRAPTCSPTATGMLKIADFGLATFFDAARPQPLTSRVVTLWYRPPELLLGATEYGVAYWVKAKLPDVTLFKPQWPYRRKIAETFRDFSPPALDLLDTLLAIEPSDRATGAPRHRRRSPRQ >ORUFI04G05360.1 pep chromosome:OR_W1943:4:6403277:6404164:-1 gene:ORUFI04G05360 transcript:ORUFI04G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIHALHTLRRTSMAMQTSITEIAAGLDKVTELVLALRAGFEAKRAAVETTPTTPSPMPTLPKAEVQQPLATAPPSLPSSLFAATVEETVMTASSPLPLPHPAEVLQPLVAAPSSQMSFASKAAAVLPCLTTVGPALPGAPSTPSQPRETILSRHDRSLLRPRQQRGIFKQLPRASTAITLRAAQRRGESSAAPPAWDLGGPRKPHFRAAIAACAARAMWLLVAPSSLHGSGVLPLCASGWGPPELGCGRMAGTTNIILDLFFFPNNVRSPDVKGLIIGDESRCQNNHRVQTSL >ORUFI04G05370.1 pep chromosome:OR_W1943:4:6417518:6418652:1 gene:ORUFI04G05370 transcript:ORUFI04G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTVAQSWLAWIPATAAVVVTVLPIRRSPCCCCRRRAMLPPLFRSSFPQSFQRGCRIPS >ORUFI04G05380.1 pep chromosome:OR_W1943:4:6419467:6428087:-1 gene:ORUFI04G05380 transcript:ORUFI04G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPRNRMGVEIRVSLYSPPMKGGRLHRLERPPAAHITTAAAATTPAPAPADDWGDGSWTVDCSCGITYDDGEEMVSCDECNVWVHTRCARYVRGVHISFSCHNCKAKRAPSSADEAEVAELLAELPTHRPRPLYRRWAEVPLPSRVHVHGLPGGTDTALFRSAAPSPVFSSALWRCAGYVPKRFGFRYCEFPYWADDKDGANALFALAREKRKEKAETRFPLRLGAIHKDKNYVRTLSICGDKVDGVEHSVDDDAKRTSPPSDAKKRGTSISIVEPDTWDNGCEKKRDFDVPASEDQHAKTNMVNSDLHVLVEAKKKRASSSERSGDKKCSASKEITGMLKKYEPKESKRLVISSGVATTAAVAEQEVHSRFVKGSVYEGLDGNQNVGLQTGVRSNGVVKRDDVKRSNGDVQSDAAPEKMNEGLDLQKQSNQTSNLLVVGGVPDLQTNQSESLTIKKEASTDDHERTEAIQFVSDEHKSGKQDTAMLSTLQRHSSKLASDLVYQHPKSETQNPMHTIPEHPNSSLEPSSEETTVRLVKKDQTRLVSSADSENDFAKKSESSQDRTRSSDKVQLKGFVPSAPKSSQGSRTYVSSAKNRFIVPKEQLQKTSTEGNAPPGSLQASAELQSTETTASLSDEQLALLLHQQLNSSPRVPRVPRCHQASTMQMLHPTGASVLKKRNKDDAWRDNDETKKTGKVSSVERRHRDSSTERVLAAKDSCKFTENIESEQRNRGICSTGATSGVGNDAPIDRGVSHDLPGLIEEIISKNTNITYGELCNAIRQHWGDLSKPNVENNAYPNYLHAVNDCLRNRNDWAHLVDQAAMMNPNKRRKVEESDSLSADVLATEKTNKEAKRGPEDTNAESHHEILPRGKRKARKCRRLELKGRRVNDTRKRSSFDSASDDDDTTLSDSSSDRNDTPMDKSLEDSFVIAKNADSSS >ORUFI04G05380.2 pep chromosome:OR_W1943:4:6419467:6428087:-1 gene:ORUFI04G05380 transcript:ORUFI04G05380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPRNRMGVEIRVSLYSPPMKGGRLHRLERPPAAHITTAAAATTPAPAPADDWGDGSWTVDCSCGITYDDGEEMVSCDECNVWVHTRCARYVRGVHISFSCHNCKAKRAPSSADEAEVAELLAELPTHRPRPLYRRWAEVPLPSRVHVHGLPGGTDTALFRSAAPSPVFSSALWRCAGYVPKRFGFRYCEFPYWADDKDGANALFALAREKRKEKAETRFPLRLGAIHKDKNYVRTLSICGDKVDGVEHSVDDDAKRTSPPSDAKKRGTSISIVEPDTWDNGCEKKRDFDVPASEDQHAKTNMVNSDLHVLVEAKKKRASSSERSGDKKCSASKEITGMLKKYEPKESKRLVISSGVATTAAVAEQEVHSRFVKGSVYEGLDGNQNVGLQTGVRSNGVVKRDDVKRSNGDVQSDAAPEKMNEGLDLQKQSNQTSNLLVVGGVPDLQTNQSESLTIKKEASTDDHERTEAIQFVSDEHKSGKQDTAMLSTLQRHSSKLASDLVYQHPKSETQNPMHTIPEHPNSSLEPSSEETTVRLVKKDQTRLVSSADSENDFAKKSESSQDRTRSSDKVQLKGFVPSAPKSSQGSRTYVSSAKNRFIVPKEQLQKTSTEGNAPPGSLQGEVAPLHSRNKAMPLSFYQRKDKIHHRSIHITQDTSNSSASAELQSTETTASLSDEQLALLLHQQLNSSPRVPRVPRCHQASTMQMLHPTGASVLKKRNKDDAWRDNDETKKTGKVSSVERRHRDSSTERVLAAKDSCKFTENIESEQRNRGICSTGATSGVGNDAPIDRGVSHDLPGLIEEIISKNTNITYGELCNAIRQHWGDLSKPNVENNAYPNYLHAVNDCLRNRNDWAHLVDQAAMMNPNKRRKVEESDSLSADVLATEKTNKEAKRGPEDTNAESHHEILPRGKRKARKCRRLELKGRRVNDTRKRSSFDSASDDDDTTLSDSSSDRNDTPMDKSLEDSFVIAKNADSSS >ORUFI04G05380.3 pep chromosome:OR_W1943:4:6419467:6428087:-1 gene:ORUFI04G05380 transcript:ORUFI04G05380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPRNRMGVEIRVSLYSPPMKGGRLHRLERPPAAHITTAAAATTPAPAPADDWGDGSWTVDCSCGITYDDGEEMVSCDECNVWVHTRCARYVRGVHISFSCHNCKAKRAPSSADEAEVAELLAELPTHRPRPLYRRWAEVPLPSRVHVHGLPGGTDTALFRSAAPSPVFSSALWRCAGYVPKRFGFRYCEFPYWADDKDGANALFALAREKRKEKAETRFPLRLGAIHKDKNYVRTLSICGDKVDGVEHSVDDDAKRTSPPSDAKKRGTSISIVEPDTWDNGCEKKRDFDVPASEDQHAKTNMVNSDLHVLVEAKKKRASSSERSGDKKCSASKEITGMLKKYEPKESKRLVISSGVATTAAVAEQEVHSRFVKGSVYEGLDGNQNVGLQTGVRSNGVVKRDDVKRSNGDVQSDAAPEKMNEGLDLQKQSNQTSNLLVVGGVPDLQTNQSESLTIKKEASTDDHERTEAIQFVSDEHKSGKQDTAMLSTLQRHSSKLASDLVYQHPKSETQNPMHTIPEHPNSSLGNVKVCTASSGPNSTTFDLSCSLLSTEPSSEETTVRLVKKDQTRLVSSADSENDFAKKSESSQDRTRSSDKVQLKGFVPSAPKSSQGSRTYVSSAKNRFIVPKEQLQKTSTEGNAPPGSLQGEVAPLHSRNKAMPLSFYQRKDKIHHRSIHITQDTSNSSASAELQSTETTASLSDEQLALLLHQQLNSSPRVPRVPRCHQASTMQMLHPTGASVLKKRNKDDAWRDNDETKKTGKVSSVERRHRDSSTERVLAAKDSCKFTENIESEQRNRGICSTGATSGVGNDAPIDRGVSHDLPGLIEEIISKNTNITYGELCNAIRQHWGDLSKPNVENNAYPNYLHAVNDCLRNRNDWAHLVDQAAMMNPNKRRKVEESDSLSADVLATEKTNKEAKRGPEDTNAESHHEILPRGKRKARKCRRLELKGRRVNDTRKRSSFDSASDDDDTTLSDSSSDRNDTPMDKSLEDSFVIAKNADSSS >ORUFI04G05390.1 pep chromosome:OR_W1943:4:6436439:6438736:-1 gene:ORUFI04G05390 transcript:ORUFI04G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSALDWWDDWKLRILVLGSNTIQLFLFIYGGVRWHRISLWFRLCIWLAYIGGDSLAIYALATLFNRHKHEAPAASELEVLWAPILLIHLSGQDMIASYSIQDNYLWWRQVVTLVSQVTVAMYVFCLAWSGKKILLKAAALLFIVGILKFCAKPWALKRAIIRSIARHPPSVPRRKKLIGSGGGQLADYWRSCTTGFIPTVTMFVVSRELRERPQPNDETEAVKQGERREGGQFHENLERSTVKTAIEPVVEQQKKEEEEEEEEEEEEEEEEEDLINLNEYVERAKEIMNRQGQLSKPTLRRLQSVLQPELFMADAFVTYSKRLKILQFLMAINTNYSYRVIRAGLFDVYIRLYTRVRVTITPIASWLRLLSILLATTAAGLYARSHKDMYNKHDITVTYILFFSTALLELISSVTLCRLFFPLAVWPATDRRNQMVDQQSVIWCAARMTKKPNCLLWLSACIYCDALVNQRWYIKQTPSMDRIFEAVKHHVIFYGWSKYIKSAASYRRFNCMRGQLALKKFLPGSAKDHPAASKHLLVVGNSLSIPFDESVLLWHIATEIWFHHQTRTSNDDNTSLDSAVPLGLEISRYMMYLFTSQPEMLMPGTRSHLFANACDDITFMAKYSDIVGHSITLAQAAQQLAKGILHTARHRWVYGDCVGPLIPKACELADALIFFMEELLDHSTLEKMVRDVWIEMLCYTASRCGGYLHAVSLGSGIEPLTLVWFSQCYLGMETMADRLQRPVPEPEKEEEKTQGAQDSNKE >ORUFI04G05400.1 pep chromosome:OR_W1943:4:6460205:6461003:-1 gene:ORUFI04G05400 transcript:ORUFI04G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGIPGGGGGGGGNLDFRALVLPYMPKGSLETQLHSEGGEQLGFLQRLDILLDVSMAMEYLHYHHCEVVLHCDLKPSNVLFDQDMVALVADFGIAKLLRGDDNSVISASMPGTIGYMAPEYGSVGKASRKSDAFRYGIMLLELFTGKRPTDPMFVGELSLRQWVTSAFPSNVMDVVDNRLLVQDSSSSLNNFIVPVFELGLQCSHELPDQRMTMSEVVVRLAKIKKDYMASV >ORUFI04G05410.1 pep chromosome:OR_W1943:4:6461478:6462003:1 gene:ORUFI04G05410 transcript:ORUFI04G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGKAARWRLATARPAQHGREGGGRLRLGVEARGGGSSAAGARGGWLRLVVAGPVAEAAGSAACPERQRRPRSLARPGRSAPAHGAASVAAQLGGRAAGMPPRWPGEARRPDFGGAGGWRRSGAAAPPAARWQCKGSWRRWAVFLVAGRHPRPSWSSSPSLRGVSRLD >ORUFI04G05420.1 pep chromosome:OR_W1943:4:6462019:6462567:1 gene:ORUFI04G05420 transcript:ORUFI04G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAQAAEAMPPSPGFSFGQIWRGGRRVVERRSPRPAFRGGGSLKSTDGGASVRCGGCHVLPFVCVVVLSWWMAICSQGCRDPGESLVRWFTGPSAATSSGVVISLERCRGLPSPFLGELLWVKTTSFFMGDGGILDVVTTMVASFSEPRLCGVAVGLAAFGHAQRGSFGARFHPQRFVLGW >ORUFI04G05430.1 pep chromosome:OR_W1943:4:6462768:6467175:-1 gene:ORUFI04G05430 transcript:ORUFI04G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIMITLLLYLWFLASIAIASIAIASTPDSVTAGNGSDTDVTALLAFKAQLADPRGVLSNWTTATSFCHWFGVSCSRRRARVVALVLHDVPLQGSISPHLGNLSFLTVLNLTSTGLTGAIPADLGKLHRLEVLVFRRNSLSGVIPPVVGNLTRLEVVDMGHNSISGQIPLELQKLHNLTHIDFITNYLTGPLPNDLFSNNSKLQYLDFGNNSLTGTLPYSVGSLGMLQHLDFQANHFSGPVPTTILNMSKLQILSLGGNWGLTGTIPGNNNTFNLPMLQMISLFANRFTGQIPLGLANCKYIQIISIGENSFEGPVPTWLSKLPDLLLLDLGYNNLIGQIPSALGNITNLVSLGLQSCTLSGLIPQELGQLQQLNALYLDHNHFTGSIPTFFANFSELQVFLIGANSFTGSVPTALGSSRSIEWFNIGGNYQEGSLDFLATLSNCQNIWEVGFDLNDFTGKLPDYVGNFSSTLINFFAEGNKLSGELPSTLSNLSNLVWLDISNNQLTGTIPESIKLMDKLQLLNLSGNSLSGSIPRQIGQLWNLQTLILNNNNFSAASRAAVTSQSYAAASWRSHPSRSKAARRRRWPGQAWSQRMRPTVSPLRRRSLLSQNVGYNSLSGQIPRELQNLRNLRYIDLLVNYLTGPLPNDLFNNTPKLKYLNFRNNSLSGTIPVGIGTLPILQHLEIAYNHFSGPVPELIFNMSKLEMLHLGGNGYLDGSIPGNKSFNLPMLQKICLYENRFMGQIPLGLADCKYLQWIFIGHNLFEGPVPAWLGKLPDLVLLDLESNNLVGPIPSALGNLSNLDTLGLQSCNLTGQIPQELAQLRKIKGLFLDHNHFTGSIPTFFANFSELAVFLIGANSFTGAVPTAIGSTGSVEWFNIGDNYLQGSLDFLATLSNCQNIWEVGFDLNYFTGELPNYVGNFSSTLINFFAVGNRLSGDLPSTLLNLSNLVWLDLSNNQLTGTIPESIMLMDKLQVLNLSGNIMSGTIPRQIGHLRNLQTLILNNNNFSGVLPNDLGNLSNLQYLVLSKNHMSSTIPASLFHMNSLITVDLSQNSLEGALPVDIGQLNHIDRIDLSSNRLFGRIPESFGQFLMTTYLNLSHNSLNGSFPNSFDKLINLKSLDVSYNDLSGTIPQYLANFTDLSSLNLSFNNLHGPIPEGGIFANITLQSLMGNPALCGGVPRLGFMPCKSNNNSNKRQILKFLLPSVIIVVGVIATCMYMMMRKKAKQQDRIISPDMEDVLNNRLISYHDIVRATDNFSETNLLGAGSFGKVFKGQLNDGTMVAIKVLNMELEELEQAHGAGTSY >ORUFI04G05440.1 pep chromosome:OR_W1943:4:6493616:6494023:1 gene:ORUFI04G05440 transcript:ORUFI04G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFALGAPTLTQAPNFKSSHCKLLKFTSEEGSSAFFLWRSDCSFLSSSQLGIIVAQDSSRRGKANRSWLKSKGDRIRNTDVAFSITHWPSSSPSLPCQPWRRIRGGRRDADGGDDQWPHGDAIVSMEEEAGDGAR >ORUFI04G05450.1 pep chromosome:OR_W1943:4:6504354:6520850:-1 gene:ORUFI04G05450 transcript:ORUFI04G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPNLDNAPLNLAALREQSQKDLLNILKSIRGKKCVVIDPKLAGTLSLILQTSLLKEYGAELRLLSAEPLQTECAKILYLVRSELKFMKLIASQIKNDEPKGLQREFFLYFVPRRTVACEKILEEEKVHQKLTLGEYPLYLVPLDEDVICFELDHSLQECLIEGDTSSVWHVAKAIHKLEFAFGVIPNVRAKGVASTKAAELLNSMQQEDPVNMDDMGTPEINTVILLDREVDLVTPMCSQLTYEGLLDEMLQINNGSVEVDATIMGAQQDGKKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVKRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEICFEYIEEMIHKQEPIENVLRLLVLLSLTNAGLPKKNFDYLRREILHSYGFEHMPLLYNLEKAGLFKRQESRSNWIGITRALQLIVDVNDTAKRSIEELLKLLPGPHLDLKRGSSAINSSLDVHQGLGLQQSIDRVGHRSLVLVVFIGGVTFAEIAALRFLSSQEGMGYDFLVATTKVGNGNTILRPIITNSKEVMI >ORUFI04G05450.2 pep chromosome:OR_W1943:4:6504354:6520850:-1 gene:ORUFI04G05450 transcript:ORUFI04G05450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPNLDNAPLNLAALREQSQKDLLNILKSIRGKKCVVIDPKLAGTLSLILQTSLLKEYGAELRLLSAEPLQTECAKILYLVRSELKFMKLIASQIKNDEPKGLQREFFLYFVPRRTVACEKILEEEKVHQKLTLGEYPLYLVPLDEDVICFELDHSLQECLIEGDTSSVWHVAKAIHKLEFAFGVIPNVRAKGVASTKAAELLNSMQQEDPVNMDDMGTPEINTVILLDREVDLVTPMCSQLTYEGLLDEMLQINNGSVEVDATIMGAQQDGKKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVKRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEICFEYIEEMIHKQEPIENVLRLLVLLSLTNAGLPKKNFDYLRREILHSYGFEHMPLLYNLEKAGLFKRQESRSNWIGITRALQLIVDVNDTAKRSIEELLKLLPGPHLDLKREGMGYDFLVATTKVGNGNTILRPIITNSKEVMI >ORUFI04G05460.1 pep chromosome:OR_W1943:4:6527204:6529588:-1 gene:ORUFI04G05460 transcript:ORUFI04G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPVVDAEYMAEVERARRDLRALIASKSCAPIMLRLAWHDAGTYDKATKTGGPNGSIRFPQEYSHAANAGIKIAIDLLEPMKQKHPKITYADLYQLAGVVAVEVTGGPTIDYVPGRRDSSDSPEEGRLPDAKKGAAHLREVFYRMGLSDKDIVALSGGHTLGKARPERSGFDGAWTKDPLKFDNSYFIELLKENSEGLLKLPTDKALVEDPTFRRYVELYAKDEDAFFRDYAESHKKLSELGFTPPRSAFIYKSCQKPKSLLMQTAAGVAVAAAVVAWAYLCESNKRLG >ORUFI04G05470.1 pep chromosome:OR_W1943:4:6531688:6554926:-1 gene:ORUFI04G05470 transcript:ORUFI04G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVVIVGAGVSGLAACKQLLERGCRPVVFEADTGVGGVWAWTLEGTALQTPRPMYQYSDFPWPETVTEVFPDHRQVMDYLGAYARRFGVLDCVRFGHRVAGMEYVGVGKEDVAAWDEWAGNGDAFGSGSGEWRLEVADGEGHLETHTADFVILCVGKYSGVPNIPTFPACKGPEAFDGQVIHSMDYSKMGTKNCKEMIKGKRVTIVGYLKSALDIAAECAEVNGTEIPCTMVVRTKHWIIPDYYAWGVPISKLYLNRFSELIIHKPGEGLLLSILATLLTPLRWIFSKFAESYYSIPMKKYGMVPDHSLFEALITCLIAITPKDHYKRLEEGSIVLKKSKTFSFCNEGVLVEGESSPIKSDIVIFGTGFRGGQKIKEMFTSEYFQSIAVGSASTTIPLYREIIHPKIPQLAVIGYSESLANLYTSELRAKWLAHFMDGGFRLPSISVMQNDVQEWEKFMKRYSRAYFRRSCIGFLHIWYNDKLCRDMGCNPRRKNGFWADLFDVHGPELESSFASSSPLAGMEKKRVVIVGAGVSGLAACKQLLERGCRPVVFEADTGLGGVWARTPECTALQTPRPMYQYSDFPWPETVTEVFPDHRQVMDYLGAYARRFGVLDCIRFGHRVAGMEYVGVGEEAVAAWDEWAGNGDAFGSGSGEWRLEVVDGEGHIETHKADFVILCIGRFSGVPNIPTFPPGKGPEAFDGQVIHSMDYSKMGTKNSKEMIKGKRVTIVGYLKSALDIAAECAEVNGTEIPCTMVVRTKHWIIPDYFAWGVHISKLYLNRFSELLIHKPGEGLLLSILATLLTPLRWIFSKFAESYYSIPMKKYDMVPDHSLFEALITCLVAITPKDHYKRLEEGSIVLKKSKTFSFCKEGVLVEGQSSPIKSDIVIFGTGFRGDQKIKEMFTSEYFQSIAVGSASTTVPLYREIIHPKIPQLAVIGYSESLANLYTSELRAKWLAHFMDGGFRLPSISVMQNDVQEWEKFMKRYSRGYFRRSCIGILHIWYNDQLCRDMGCNPRRKNGFWADLFDVYGPSDYIDLFPKKE >ORUFI04G05470.2 pep chromosome:OR_W1943:4:6531688:6554926:-1 gene:ORUFI04G05470 transcript:ORUFI04G05470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVVIVGAGVSGLAACKQLLERGCRPVVFEADTGVGGVWAWTLEGTALQTPRPMYQYSDFPWPETVTEVFPDHRQVMDYLGAYARRFGVLDCVRFGHRVAGMEYVGVGKEDVAAWDEWAGNGDAFGSGSGEWRLEVADGEGHLETHTADFVILCVGKYSGVPNIPTFPACKGPEAFDGQVIHSMDYSKMGTKNCKEMIKGKRVTIVGYLKSALDIAAECAEVNGTEIPCTMVVRTKHWIIPDYYAWGVPISKLYLNRFSELIIHKPGEGLLLSILATLLTPLRWIFSKFAESYYSIPMKKYGMVPDHSLFEALITCLIAITPKDHYKRLEEGSIVLKKSKTFSFCNEGVLVEGESSPIKSDIVIFGTGFRGGQKIKEMFTSEYFQSIAVGSASTTIPLYREIIHPKIPQLAVIGYSESLANLYTSELRAKWLAHFMDGGFRLPSISVMQNDVQEWEKFMKRYSRAYFRRSCIGFLHIWYNDKLCRDMGCNPRRKNGFWADLFDVHGPGDYIDLHPKKEIGEFFCFKPSSPLAGMEKKRVVIVGAGVSGLAACKQLLERGCRPVVFEADTGLGGVWARTPECTALQTPRPMYQYSDFPWPETVTEVFPDHRQVMDYLGAYARRFGVLDCIRFGHRVAGMEYVGVGEEAVAAWDEWAGNGDAFGSGSGEWRLEVVDGEGHIETHKADFVILCIGRFSGVPNIPTFPPGKGPEAFDGQVIHSMDYSKMGTKNSKEMIKGKRVTIVGYLKSALDIAAECAEVNGTEIPCTMVVRTKHWIIPDYFAWGVHISKLYLNRFSELLIHKPGEGLLLSILATLLTPLRWIFSKFAESYYSIPMKKYDMVPDHSLFEALITCLVAITPKDHYKRLEEGSIVLKKSKTFSFCKEGVLVEGQSSPIKSDIVIFGTGFRGDQKIKEMFTSEYFQSIAVGSASTTVPLYREIIHPKIPQLAVIGYSESLANLYTSELRAKWLAHFMDGGFRLPSISVMQNDVQEWEKFMKRYSRGYFRRSCIGILHIWYNDQLCRDMGCNPRRKNGFWADLFDVYGPSDYIDLFPKKE >ORUFI04G05470.3 pep chromosome:OR_W1943:4:6531688:6554926:-1 gene:ORUFI04G05470 transcript:ORUFI04G05470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVVIVGAGVSGLAACKQLLERGCRPVVFEADTGVGGVWAWTLEGTALQTPRPMYQYSDFPWPETVTEVFPDHRQVMDYLGAYARRFGVLDCVRFGHRVAGMEYVGVGKEDVAAWDEWAGNGDAFGSGSGEWRLEVADGEGHLETHTADFVILCVGKYSGVPNIPTFPACKGPEAFDGQVIHSMDYSKMGTKNCKEMIKGKRVTIVGYLKSALDIAAECAEVNGTEIPCTMVVRTKHWIIPDYFAWGVHISKLYLNRFSELLIHKPGEGLLLSILATLLTPLRWIFSKFAESYYSIPMKKYDMVPDHSLFEALITCLVAITPKDHYKRLEEGSIVLKKSKTFSFCKEGVLVEGQSSPIKSDIVIFGTGFRGDQKIKEMFTSEYFQSIAVGSASTTVPLYREIIHPKIPQLAVIGYSESLANLYTSELRAKWLAHFMDGGFRLPSISVMQNDVQEWEKFMKRYSRGYFRRSCIGILHIWYNDQLCRDMGCNPRRKNGFWADLFDVYGPSDYIDLFPKKE >ORUFI04G05470.4 pep chromosome:OR_W1943:4:6531688:6554926:-1 gene:ORUFI04G05470 transcript:ORUFI04G05470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVVIVGAGVSGLAACKQLLERGCRPVVFEADTGVGGVWAWTLEGTALQTPRPMYQYSDFPWPETVTEVFPDHRQVMDYLGAYARRFGVLDCVRFGHRVAGMEYVGVGKEDVAAWDEWAGNGDAFGSGSGEWRLEVADGEGHLETHTADFVILCVGKYSGVPNIPTFPACKGPEAFDGQVIHSMDYSKMGTKNCKEMIKGKRVTIVGYLKSALDIAAECAEVNGTEIPCTMVVRTKHWIIPDYYAWGVPISKLYLNRFSELIIHKPGEGLLLSILATLLTPLRWIFSKFAESYYSIPMKKYDMVPDHSLFEALITCLVAITPKDHYKRLEEGSIVLKKSKTFSFCKEGVLVEGQSSPIKSDIVIFGTGFRGDQKIKEMFTSEYFQSIAVGSASTTVPLYREIIHPKIPQLAVIGYSESLANLYTSELRAKWLAHFMDGGFRLPSISVMQNDVQEWEKFMKRYSRGYFRRSCIGILHIWYNDQLCRDMGCNPRRKNGFWADLFDVYGPSDYIDLFPKKE >ORUFI04G05480.1 pep chromosome:OR_W1943:4:6567013:6579026:-1 gene:ORUFI04G05480 transcript:ORUFI04G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSGSSSPPAPAPSFRNRYWILRHGRSVPNERGIIVSSLENGTKPEFGLAPQGVEQARLAGESLRKELEELGVPLDSVQIRYSPFSRTMETAREVARVLGVPFDTPSCIPAVELRERYFGPSHELLSHEKYAEVWAVDEVDPLMAPDGGESVADVATRFSQFLSAAEMELHGSAILIVSHGDPLQIFQAVLKETKENPSSLDEVRWSNRRDISGQTDGIDPVRSAAPWRSDRWWRQATTVHQAVRPEQLHRSDRQIYSGYSSSSSPATKGTTAIEERKLQWQHGDKTNNTVASGLGAFSRIWNR >ORUFI04G05480.2 pep chromosome:OR_W1943:4:6567013:6579026:-1 gene:ORUFI04G05480 transcript:ORUFI04G05480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSGSSSPPAPAPSFRNRYWILRHGRSVPNERGIIVSSLENGTKPEFGLAPQGVEQARLAGESLRKELEELGVPLDSVQIRYSPFSRTMETAREVARVLGVPFDTPSCIPAVELRERYFGPSHELLSHEKYAEVWAVDEVDPLMAPDGGESVADVATRFSQFLSAAEMELHGSAILIVSHGDPLQIFQAVLKETKENPSSLDEGTTAIEERKLQWQHGDKTNNTVASGLGAFSRIWNR >ORUFI04G05480.3 pep chromosome:OR_W1943:4:6574269:6579026:-1 gene:ORUFI04G05480 transcript:ORUFI04G05480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSGSSSPPAPAPSFRNRYWILRHGRSVPNERGIIVSSLENGTKPEFGLAPQGVEQARLAGESLRKELEELGVPLDSVQIRYSPFSRTMETAREVARVLGVPFDTPSCIPAVELRERYFGPSHELLSHEKYAEVWAVDEVDPLMAPDGGESVADVATRFSQFLSAAEMELHGSAILIVSHGDPLQIFQAVLKETKENPSSLDEVSDLKKRGMITLSVLSQHRQFALLTGELRRLV >ORUFI04G05480.4 pep chromosome:OR_W1943:4:6567006:6572557:-1 gene:ORUFI04G05480 transcript:ORUFI04G05480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSCRRCPHPEEPTVTVPHHRALLAAAPRCGGPIGEISAVRPMASTRLDRRLHGGQTGGGDKQQQYIRRLDRNNYTGQTDRSTPVRSISINNRLFFLFVTGNEGNNGNRGEKTTMATRRQNQQHCRLRIGSTDPQGSCKIFPGFGIGNE >ORUFI04G05480.5 pep chromosome:OR_W1943:4:6566660:6572557:-1 gene:ORUFI04G05480 transcript:ORUFI04G05480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSCRRCPHPEEPTVTVPHHRALLAAAPRCGGPIGEISAVRPMASTRLDRRLHGGQTGGGDKQQQYIRRLDRNNYTGQTDRSTPVRSISINNRLFFLFVTGNEGNNGNRGEKTTMATRRQNQQHCRLRIGSDLESLWPRIKLT >ORUFI04G05480.6 pep chromosome:OR_W1943:4:6575854:6579026:-1 gene:ORUFI04G05480 transcript:ORUFI04G05480.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSGSSSPPAPAPSFRNRYWILRHGRSVPNERGIIVSSLENGTKPEFGLAPQGVEQARLAGESLRKELEELGVPLDSVQIRYSPFSRTMETAREVARVLGVPFDTPSCIPAVELRERYFGPSHELLSHEKKYGQ >ORUFI04G05480.7 pep chromosome:OR_W1943:4:6574269:6575836:-1 gene:ORUFI04G05480 transcript:ORUFI04G05480.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDGGESVADVATRFSQFLSAAEMELHGSAILIVSHGDPLQIFQAVLKETKENPSSLDEVSDLKKRGMITLSVLSQHRQFALLTGELRRLV >ORUFI04G05490.1 pep chromosome:OR_W1943:4:6600962:6607567:-1 gene:ORUFI04G05490 transcript:ORUFI04G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWRLRRAAAAIAASSAVVAAGAAWPSASASDPSPAALEAARRRVAQPGAAPPPRAAQRAALAGSTPADPLDVLVVGGGATGCGVALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALKERKQVVYYWFGLKFYDIVAGRRLLHLSRYYSVDESVELFPTLAKNSHDRSLRGTVVYYDGQMNDSRLNVGLACTAAVVGAAILNYAEVVSLIKDESGERIIGARIRDTLSGKEFDAFAKVVVNAAGPFCDSVRKMANNDVVPMISPSSGVHIVLPDYYSPDGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSSTAITMLPEPHEDEIQFILDAICDYLNVQVRRSDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDYPGLITITGGKWTTYRSMAEDAVNAAIRSGNLKPANGCVTDHLHILGGYGWDPASFTVLAQNYKRMKRTYGGKIIPGAMDSAVSKHLSHAYGTLATQVASIAQNEGLGKRLAHGYPFLEAEVAYCARHEYCESAVDFIARRCRLAFLDTDAAGRALPRIIEILALERKWDKARQKLELQKGKDFLETFKSSKNAQFRDGKHNGQ >ORUFI04G05500.1 pep chromosome:OR_W1943:4:6614073:6614708:-1 gene:ORUFI04G05500 transcript:ORUFI04G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEIEAYYDDGSGTYLLSFDEDFFDATLTKSGGKVESWLGETYRIHRSCGHPLVVGLDVEWRPAAPVPGPVAVLQLCVDRRCLVFQILHADYVPDALSRFLADPRFTFVGVGVRDDAARLRVGYGLEVPRAVDLRALAADTLGRPDLRRAGLRALVREVMGVQMDKPHHVRVSAWDKRNLSEDQFKYACADAFASREVGRRLYTCNCDGA >ORUFI04G05510.1 pep chromosome:OR_W1943:4:6615958:6616384:-1 gene:ORUFI04G05510 transcript:ORUFI04G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEASGGRGWAKAAAAGGRRVTEAGGGRAQGDGGRRKRARGGCRAAAGGALLPDLACDDDDGGEVGELRMRAGVRSL >ORUFI04G05520.1 pep chromosome:OR_W1943:4:6693559:6694899:1 gene:ORUFI04G05520 transcript:ORUFI04G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHPKSALKLPRGGRRGDEDPQKKRNPLPQRPHVSAAQGGGSHAAMSPEQYWRSILPDSTPMPISISQLLGDGYPYSPAVGLPKRGDRVQIRYGPNIYGLAASQQFFKDPTMGLFFLETNLQSSKSIKLHFANMMAGTKFLPRGEADAVPFSSKDLQEILARFGVRPGSVDASVVKNTLLECELPANKGEKKACATSLESMVDFVASSLGTRDIKAASTFLVGKDGDTPAQEYTVTGARRMPETGQLIACHPESYPYAVFMCHLTEATRAYKASLVGKDGAAVEAVAVCHTDTAEWNPKHAAFQVLGVKPGTVPVCHFVQPDVVVWTRRG >ORUFI04G05530.1 pep chromosome:OR_W1943:4:6695602:6697720:-1 gene:ORUFI04G05530 transcript:ORUFI04G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMARVAASGAGMWAAVTASMMVSEPDDSGFDIGGCRQQRISIPLPLPAIAACLLALRTSSPPHANPLPPAVHLPFGRHRGTAIHDLSVVAASKLRAPPSASMPPTSSLSEGSAECKGRHLRALAAVERQALHHNPSTQLNGSSSSVVFHGDAARAEDGSPGAGGRWGGAVEVPVLKLAHI >ORUFI04G05540.1 pep chromosome:OR_W1943:4:6708235:6711062:1 gene:ORUFI04G05540 transcript:ORUFI04G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKNNVKKGPWSPEEDAKLKEFIEKHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFTEHEDRIICNMFASIGSRWSIIASQLPGRTDNDIKNYWNTKLKKKLLGSSAVAAAAPPRIQRHQQSHHRSSLLLPNSPSLNPGGGYPGFFSGAGGFHPQEPAMPLTLPTTTQEFMLGTHSGLPMVSASALLNHLHGASHHHQLLVKEEISSNMIVFGSDQQSCSSSDGLAAPHSHNHHGNREFILDGYSYGVGYGSSCIDQVDNDKLFQHHHQQDQAQEQQQQLVYNYDEIKQLLMNTSTTSNNINAGQDHGGSMEAGLIGSQGKVTMM >ORUFI04G05550.1 pep chromosome:OR_W1943:4:6741648:6742316:1 gene:ORUFI04G05550 transcript:ORUFI04G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAILNLSSSSSFSPQHAIVLLVHLIILLSCHAVKQSAARSVNYSEMDRQALLSFKASTSSDPVGVLHSWSTSSLDFCNWSGVRCGTGSKSLRVVNLAFNSLAGGIPHSLASSSSLTVLNLTNNLFFGTIPASLFNGSSNLAILIFE >ORUFI04G05560.1 pep chromosome:OR_W1943:4:6742352:6745316:1 gene:ORUFI04G05560 transcript:ORUFI04G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQILNLAQNNLSGSIPPSLGKRFSMSNNKIEGFIPASLANASKLQWISLNNNKLVGTVPSLGSLSNLYILVLGNNYLESKDWAFLKSLSNCTRLQIVAMGGN >ORUFI04G05570.1 pep chromosome:OR_W1943:4:6751802:6759651:1 gene:ORUFI04G05570 transcript:ORUFI04G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPELIILCDYDMKGKLTHGKVAESRFDKRSYDSKPPPKNLPLPQNGLPQSVGKGSLLCFLKAKGWASSLSVGKPAAIQYGNGSVAGFFNEDSVTIGDLVVKDQKFIGVTKEPSHILSWLQNLVTILVSDFRK >ORUFI04G05570.2 pep chromosome:OR_W1943:4:6751802:6759651:1 gene:ORUFI04G05570 transcript:ORUFI04G05570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLTHGKVAESRFDKRSYDSKPPPKNLPLPQNGLPQSVGKGSLLCFLKAKGWASSLSVGKPAAIQYGNGSVAGFFNEDSVTIGDLVVKDQIQTYSFSLLLKIAYYYFPGAVLNFLNNAHILDVLVIANNVIAQQEVQGYLNICWQMISLDGPFVLVWINNMPWAADTGMAALGAKVLQKV >ORUFI04G05570.3 pep chromosome:OR_W1943:4:6751802:6759651:1 gene:ORUFI04G05570 transcript:ORUFI04G05570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLTHGKVAESRFDKRSYDSKPPPKNLPLPQNGLPQSVLSYALNCGKPAAIQYGNGSVAGFFNEDSVTIGDLVVKDQIQTYSFSLLLKIAYYYFPGAVLNFLNNAHILDVLVIANNVIAQQEVQGYLNICWQMISLDGPFVLVWINNMPWAADTGMAALGAKVLQKV >ORUFI04G05570.4 pep chromosome:OR_W1943:4:6751802:6759651:1 gene:ORUFI04G05570 transcript:ORUFI04G05570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHLINLLALCRLEPEDYIVVFHVIVAMFTLPILFRCLQTHIYIVSHVYSLHLSNYIASVPHKHSCMYTHIYIRNTRLPFKVIRSGPVICY >ORUFI04G05570.5 pep chromosome:OR_W1943:4:6751802:6759344:1 gene:ORUFI04G05570 transcript:ORUFI04G05570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLTHGKVAESRFDKRSYDSKPPPKNLPLPQNGLPQSVGKGSLLCFLKAKGWASSLSVGKPAAIQYGNGSVAGFFNEDSVTIGDLVVKDQFQLLPRRKL >ORUFI04G05570.6 pep chromosome:OR_W1943:4:6751802:6756921:1 gene:ORUFI04G05570 transcript:ORUFI04G05570.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLTHGKVAESRFDKRSYDSKPPPKNLPLPQNGLPQSVLSYALNCGKPAAIQYGNGSVAGFFNEDSVTIGDLVVKDQIQTYSFSLLLKIAYYYFPGAVLNFLNNAHILDVLVIANNVIAQQEVQGYLNICWQMMCSVLRILLHCFRLWFA >ORUFI04G05570.7 pep chromosome:OR_W1943:4:6751802:6759651:1 gene:ORUFI04G05570 transcript:ORUFI04G05570.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHLINLLALCRLEPEDYIVVFHVIVAMFTLPILFRCLQTHIYIVSHVYSLHLSNYIASVPHKHSCMYTHIYIRNTRLPFKVIRSGPVICY >ORUFI04G05570.8 pep chromosome:OR_W1943:4:6751802:6756921:1 gene:ORUFI04G05570 transcript:ORUFI04G05570.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLTHGKVAESRFDKRSYDSKPPPKNLPLPQNGLPQSVGKGSLLCFLKAKGWASSLSVGKPAAIQYGNGSVAGFFNEDSVTIGDLVVKDQIQTYSFSLLLKIAYYYFPGAVLNFLNNAHILDVLVIANNVIAQQEVQGYLNICWQMMCSVLRILLHCFRLWFA >ORUFI04G05580.1 pep chromosome:OR_W1943:4:6761280:6762207:1 gene:ORUFI04G05580 transcript:ORUFI04G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLKGKQAHTTSCYKETMKKVSYVDILKATNWFSPVNKISSSHTDPIAIKLFHLDELGAYNSFLTECEVLRNTRHRNLVKAITVCSTVDLENSEFKAIVLEFMANGSLDMWVHPKLHQNSPKRGLSLGQMIRIAADLTSPLTHCDLKPSNVLLDYDMTAIGDFGSAKFLNATLNAWLVSEEQSDISHLSMEWDTKSRPDVMCTVLECYYLKCSLE >ORUFI04G05590.1 pep chromosome:OR_W1943:4:6764325:6767421:-1 gene:ORUFI04G05590 transcript:ORUFI04G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLAVFVFLLLVCSSCRADDKLTPARPLSPGDELISSGGVFALGFFSLTNSTSDLYVGVWYNQIPVHTYVWVANRNTPIKKSSSVKLVLTNDSDLVLSDSNGGGGGGAVWTTANSNNVAAAGGGAGATAVLLDSGNFVVRLPNGSEVWRSFDHPTDTIVPNVSFSLSYMANSLDRIVAWRGPNDPSAGDFTMGGDSSSDLQIVVWNGTRPYWRRAAWTGASIFGVIQTNTSFKLYQTIDGDMADGYSFKLTVADGSPPMRMTLDYTGELTFQSWDGNTSSWTVFSRFPTGCDKYASCGPFGYCDGIGATATPTCKCLDGFVPVDSSHDVSRGCRRKEEEVGCVGGGGGDGFLTMPSMRTPDKFLYVRNRSFDQCTAECSRNCSCTAYAYAILNNADATEDRSRCLVWMGELVDTGKFSDGAGGENLYLRIPGSRGMYFDNLYANNKTKSTVLKIVLPVAAGLLLILGGICLVRKSREAFLSGNQPSKKVQSKYPFQHMNDSNEVGSENVELSSVDLDSVLTATNNFSDYNLLGKGGFGKVYKGVLEGGIEVAVKRLSKGSGQGVEEFRNEVVLIAKLQHRNLVRLLGCCIHEDEKLLIYEYLPNRSLDAFLFGILMMSDNKFHSTLILEDANRKNTLDWPTRFKIIKGVARGLLYLHQDSRLTIIHRDLKTSNILLDTEMSPKISDFGMARIFGGNEQQANTTRVVGTYGYMSPEYALDGYFSVKSDTYSFGVILLEVVSGLKISSAHLKVDCSNLIAYAWSLWKDGNARDFVDSSIVESCPLHEVLRCIHLGLLCIQDQPSARPLMSSIVFMLENETAVLPAPKEPIYFTRREYGTDEDTRDSMRSRSLNHMSKTAEDGR >ORUFI04G05600.1 pep chromosome:OR_W1943:4:6771775:6772906:-1 gene:ORUFI04G05600 transcript:ORUFI04G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLFFLLSSSLVHPPPPPPHDLWSKPGNGQGNGGKGPGLISGGQILSDTCRYLQGAAHGEWEARLHRVRPPHLMIPQQLDRDGVGEPVLLERCKQGNYRARARGGVGAHLLLGLRSKEIELELKEAASDLQHKEAACKMPPVRAHAKGMWRHCDVLAVLRRRKLGWAASACFNEGRSPGEKIVRGADCVRQEID >ORUFI04G05610.1 pep chromosome:OR_W1943:4:6774989:6793009:1 gene:ORUFI04G05610 transcript:ORUFI04G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGRGRRLAALRLRRAALAWEKRGEMSGGAGVSHPPLLIFLSCNTITPSSAQPSNRSETDLQALLCFKQSITNDPTGAFSSWNISLHFCRWNGVTCGRTSPAHVVSINLTSMKLSGVLPACMGNLTSLQTLVLDRNNLEGTIPESLARSLSLIELNLSRNFLSGQIPASLFNGSSKLVTVDLQMNSFSGIIPPPHKMATLRFLGLTGNLLSGRIPVSLANISSLSSILLGQNNLSGPIPESLSQIANLNKLDLSGNRLSGFVPVTLYNKSSLEFFGIGNNSLIGKIPPDIGHTLPNLKSLVMSLNRFDGSIPTSLANASNLQMLDLSSNLLSGLVPALGSLINLNKLFLGNNRLEAEDWSFFTALTNCTQLLQLSMEGNNLNGSLPKSVGNLSTNFEWFKFGGNQISGRIPDELGNLVNLTLLDINSNMLSGEIPLTIGNLRKLFILNLSMNKLSGQIPSTIGNLSQLGKLYLDNNNLSGKIPARIGQCKMLNMLNLSVNSLDGSIPDELVSMSSLSLGLDLSNNKLSGSIPQEVGTLSNLALLNFSNNQLSGQIPSSLGQCVVLLSLNMEGNNLIGNIPPALTSLHAIQRIDLSENNLSSEVPVFFENFISLAHLNLSYNYFEGPIPISGIFQRPNSVSLEGNKGLCANIHILNLPICPSSPAKTKNNKRLLLKVIPSITIALFSALCLIFALVTLWKRRMISFSWFNYGHRQCTDVLRQFSGMLNMLCSSNPKRREVPTTPINNETLKKVSYGDILKATNWFSSVHTISSTHTGSVYVGRFKSDKSLVAIKVFNLNQPGAYESYFIECEVLRSTRHRNLMRPLTLCSTLDKENHEFKVLIFKFMVNGSLERWLYSEQHYGIKDRVLCLGQRICIATEVASALDYIHNHLTPPLVHCDVKPSNILLDDDMTARLGDFGSAKFLFPDLVSLESLADIGGTIGYIAPEYGMGCQISTGGDVYSFGVLLLEMLTGKQPTDDTFADGVSIHNFIDSMFPDRVAEILDPYMMHEEHQVYPAEWFEACIKPLVALGLSCSMVSPKDRPGMQDVCAKLCAVKETFLQFGRRRSLPFHRSDGGQRSAGVGNLLLSPSRNRTTHCGATAASCGEHCHQPLQITKLVFESVLVSKVSLDSDITGFQFDIVNLLIFTFFSTAILAAAQSNKSESDRKALLCFKSGILLDLDGVLSSWMDDSLNFCSWRGVTCSSSYPSRVVHLELSSSHLTGRISGCIGNLTSLSPINLTDNHLSGAIPDELGKLPVLRTLGMPSMLGLPQPKRRRVPIPPSNNGTLKKVSYSDIIKATNWFSSNHKISSTQTGSFYVGRFKSEKRLVAIKVFNLNQPGAYESYFIECEVLRSTRHRNIMRPLTLCSTLDHENHEFKALIFKFMVNGSLERWLHSEQHNGIPDRVLCLGQRISIATDVATALDYIHNHVMPPLVHCDLKPSNILLDVDITALLGDFGSAKFLFPDLVSPESLADIGGTIGYIAPEYGMGSQISTGGDVYSFGVLLLEMLTGKQPTDDTFADGVSIHNFVDSMFPDRVAEILDPYMTHEEHQVYTAEWLEACIKPLVALGLSCSMVSPKDRPGMQDRAQVATEVK >ORUFI04G05610.2 pep chromosome:OR_W1943:4:6774989:6793009:1 gene:ORUFI04G05610 transcript:ORUFI04G05610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGRGRRLAALRLRRAALAWEKRGEMSGGAGVSHPPLLIFLSCNTITPSSAQPSNRSETDLQALLCFKQSITNDPTGAFSSWNISLHFCRWNGVTCGRTSPAHVVSINLTSMKLSGVLPACMGNLTSLQTLVLDRNNLEGTIPESLARSLSLIELNLSRNFLSGQIPASLFNGSSKLVTVDLQMNSFSGIIPPPHKMATLRFLGLTGNLLSGRIPVSLANISSLSSILLGQNNLSGPIPESLSQIANLNKLDLSGNRLSGFVPVTLYNKSSLEFFGIGNNSLIGKIPPDIGHTLPNLKSLVMSLNRFDGSIPTSLANASNLQMLDLSSNLLSGLVPALGSLINLNKLFLGNNRLEAEDWSFFTALTNCTQLLQLSMEGNNLNGSLPKSVGNLSTNFEWFKFGGNQISGRIPDELGNLVNLTLLDINSNMLSGEIPLTIGNLRKLFILNLSMNKLSGQIPSTIGNLSQLGKLYLDNNNLSGKIPARIGQCKMLNMLNLSVNSLDGSIPDELVSMSSLSLGLDLSNNKLSGSIPQEVGTLSNLALLNFSNNQLSGQIPSSLGQCVVLLSLNMEGNNLIGNIPPALTSLHAIQRIDLSENNLSSEVPVFFENFISLAHLNLSYNYFEGPIPISGIFQRPNSVSLEGNKGLCANIHILNLPICPSSPAKTKNNKRLLLKVIPSITIALFSALCLIFALVTLWKRRMISFSWFNYGHRQCTDVLRQFSGMLNMLCSSNPKRREVPTTPINNETLKKVSYGDILKATNWFSSVHTISSTHTGSVYVGRFKSDKSLVAIKVFNLNQPGAYESYFIECEVLRSTRHRNLMRPLTLCSTLDKENHEFKVLIFKFMVNGSLERWLYSEQHYGIKDRVLCLGQRICIATEVASALDYIHNHLTPPLVHCDVKPSNILLDDDMTARLGDFGSAKFLFPDLVSLESLADIGGTIGYIAPEYGMGCQISTGGDVYSFGVLLLEMLTGKQPTDDTFADGVSIHNFIDSMFPDRVAEILDPYMMHEEHQVYPAEWFEACIKPLVALGLSCSMVSPKDRPGMQDVCAKLCAVKETFLQFGRRRSLPFHRSDGGQRSAGVGNLLLSPSRNRTTHCGATAASCGEHCHQPLQITKLPSNILLDVDITALLGDFGSAKFLFPDLVSPESLADIGGTIGYIAPEYGMGSQISTGGDVYSFGVLLLEMLTGKQPTDDTFADGVSIHNFVDSMFPDRVAEILDPYMTHEEHQVYTAEWLEACIKPLVALGLSCSMVSPKDRPGMQDRAQVATEVK >ORUFI04G05610.3 pep chromosome:OR_W1943:4:6774989:6785944:1 gene:ORUFI04G05610 transcript:ORUFI04G05610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGRGRRLAALRLRRAALAWEKRGEMSGGAGVSHPPLLIFLSCNTITPSSAQPSNRSETDLQALLCFKQSITNDPTGAFSSWNISLHFCRWNGVTCGRTSPAHVVSINLTSMKLSGVLPACMGNLTSLQTLVLDRNNLEGTIPESLARSLSLIELNLSRNFLSGQIPASLFNGSSKLVTVDLQMNSFSGIIPPPHKMATLRFLGLTGNLLSGRIPVSLANISSLSSILLGQNNLSGPIPESLSQIANLNKLDLSGNRLSGFVPVTLYNKSSLEFFGIGNNSLIGKIPPDIGHTLPNLKSLVMSLNRFDGSIPTSLANASNLQMLDLSSNLLSGLVPALGSLINLNKLFLGNNRLEAEDWSFFTALTNCTQLLQLSMEGNNLNGSLPKSVGNLSTNFEWFKFGGNQISGRIPDELGNLVNLTLLDINSNMLSGEIPLTIGNLRKLFILNLSMNKLSGQIPSTIGNLSQLGKLYLDNNNLSGKIPARIGQCKMLNMLNLSVNSLDGSIPDELVSMSSLSLGLDLSNNKLSGSIPQEVGTLSNLALLNFSNNQLSGQIPSSLGQCVVLLSLNMEGNNLIGNIPPALTSLHAIQRIDLSENNLSSEVPVFFENFISLAHLNLSYNYFEGPIPISGIFQRPNSVSLEGNKGLCANIHILNLPICPSSPAKTKNNKRLLLKVIPSITIALFSALCLIFALVTLWKRRMISFSWFNYGHRQCTDVLRQFSGMLNMLCSSNPKRREVPTTPINNETLKKVSYGDILKATNWFSSVHTISSTHTGSVYVGRFKSDKSLVAIKVFNLNQPGAYESYFIECEVLRSTRHRNLMRPLTLCSTLDKENHEFKVLIFKFMVNGSLERWLYSEQHYGIKDRVLCLGQRICIATEVASALDYIHNHLTPPLVHCDVKPSNILLDDDMTARLGDFGSAKFLFPDLVSLESLADIGGTIGYIAPEYGMGCQISTGGDVYSFGVLLLEMLTGKQPTDDTFADGVSIHNFIDSMFPDRVAEILDPYMMHEEHQVYPAEWFEACIKPLVALGLSCSMVSPKDRPGMQDVCAKLCAVKETFLQFGRRRSLPFHRSDGGQRSAGVGNLLLSPSRNRTTHCGATAASCGEHCHQPLQITKLHPDCLEP >ORUFI04G05610.4 pep chromosome:OR_W1943:4:6774612:6785944:1 gene:ORUFI04G05610 transcript:ORUFI04G05610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVLGAKSPPAASHPASFLLCSLLIFLSCNTITPSSAQPSNRSETDLQALLCFKQSITNDPTGAFSSWNISLHFCRWNGVTCGRTSPAHVVSINLTSMKLSGVLPACMGNLTSLQTLVLDRNNLEGTIPESLARSLSLIELNLSRNFLSGQIPASLFNGSSKLVTVDLQMNSFSGIIPPPHKMATLRFLGLTGNLLSGRIPVSLANISSLSSILLGQNNLSGPIPESLSQIANLNKLDLSGNRLSGFVPVTLYNKSSLEFFGIGNNSLIGKIPPDIGHTLPNLKSLVMSLNRFDGSIPTSLANASNLQMLDLSSNLLSGLVPALGSLINLNKLFLGNNRLEAEDWSFFTALTNCTQLLQLSMEGNNLNGSLPKSVGNLSTNFEWFKFGGNQISGRIPDELGNLVNLTLLDINSNMLSGEIPLTIGNLRKLFILNLSMNKLSGQIPSTIGNLSQLGKLYLDNNNLSGKIPARIGQCKMLNMLNLSVNSLDGSIPDELVSMSSLSLGLDLSNNKLSGSIPQEVGTLSNLALLNFSNNQLSGQIPSSLGQCVVLLSLNMEGNNLIGNIPPALTSLHAIQRIDLSENNLSSEVPVFFENFISLAHLNLSYNYFEGPIPISGIFQRPNSVSLEGNKGLCANIHILNLPICPSSPAKTKNNKRLLLKVIPSITIALFSALCLIFALVTLWKRRMISFSWFNYGHRQCTDVLRQFSGMLNMLCSSNPKRREVPTTPINNETLKKVSYGDILKATNWFSSVHTISSTHTGSVYVGRFKSDKSLVAIKVFNLNQPGAYESYFIECEVLRSTRHRNLMRPLTLCSTLDKENHEFKVLIFKFMVNGSLERWLYSEQHYGIKDRVLCLGQRICIATEVASALDYIHNHLTPPLVHCDVKPSNILLDDDMTARLGDFGSAKFLFPDLVSLESLADIGGTIGYIAPEYGMGCQISTGGDVYSFGVLLLEMLTGKQPTDDTFADGVSIHNFIDSMFPDRVAEILDPYMMHEEHQVYPAEWFEACIKPLVALGLSCSMVSPKDRPGMQDVCAKLCAVKETFLQFGRRRSLPFHRSDGGQRSAGVGNLLLSPSRNRTTHCGATAASCGEHCHQPLQITKLHPDCLEP >ORUFI04G05610.5 pep chromosome:OR_W1943:4:6785332:6793009:1 gene:ORUFI04G05610 transcript:ORUFI04G05610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMLYMLCSLLIFTFFSTAILAAAQSNKSESDRKALLCFKSGILLDLDGVLSSWMDDSLNFCSWRGVTCSSSYPSRVVHLELSSSHLTGRISGCIGNLTSLSPINLTDNHLSGAIPDELGKLPVLRTLLLAANNLEGDIPDSLGTSLSLSYVNLANNTLTGVIPDSLASSPSLNMLILSRNNLSGQIPAKLFSNSSKLTKIDLQMNSLSGPIPAFENVTTLNVLCLTDNSLSGNIPPSIGNVSSLASILLSQNKLAGLIPMTLSHIPELIELDLSYNSLVGFVPLSLYNMSSLTYFSLGNNRLVGQIPSDIGNSLPKLQILKFQNSKFEGQIPTSLSNATNLIQLDLSNNLMHGSIPSLGLLANLNQVRLGKNSLEADHWAFLASMENCTELIELSLQWNLLDGILPSSVGNISTNLQALVLRGNQISGRIPSTIGKLHNLYILDLSINKLSGQIPSTIGNISHLGHFFLDDNNLSGNIPISIWQCTKLLELNFSINDLSGLIPSDLSSSPFYSRGSTLLVVDFSHNNLTGQIPESFGSNNMQQVNLCQNELSGPLPEFFRRMAMLELLDLSYNNFEGPIPTDCFFQNTSAIFLEGNKKLYSKSSTVSFPICGSTSDSTKSNNGASLTKKIHLPLRVIVLLILVPPLTILLFLVSWVLVTLWKRRVFSFSQCSDLFKRCNYVLNWCSGMPSMLGLPQPKRRRVPIPPSNNGTLKKVSYSDIIKATNWFSSNHKISSTQTGSFYVGRFKSEKRLVAIKVFNLNQPGAYESYFIECEVLRSTRHRNIMRPLTLCSTLDHENHEFKALIFKFMVNGSLERWLHSEQHNGIPDRVLCLGQRISIATDVATALDYIHNHVMPPLVHCDLKPSNILLDVDITALLGDFGSAKFLFPDLVSPESLADIGGTIGYIAPEYGMGSQISTGGDVYSFGVLLLEMLTGKQPTDDTFADGVSIHNFVDSMFPDRVAEILDPYMTHEEHQVYTAEWLEACIKPLVALGLSCSMVSPKDRPGMQDRAQVATEVK >ORUFI04G05610.6 pep chromosome:OR_W1943:4:6792884:6797374:1 gene:ORUFI04G05610 transcript:ORUFI04G05610.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVGAKSPPAASHPASFLLCSLLIFLSCNTITLSSAQPSNRSATDLKALLCFKKSITNDPEGAFSSWNRSLHFCRWNGVRCGRTSPAQVVSINLTSKELSGVLPDCIGNLTSLQSLLLARNNLEGTIPESLARSLSLIELNLSRNNLSGEIPPNFFNGSSKLVTVDLQTNSFVGEIPLPRNMATLRFLGLTGNLLSGRIPPSLANISSLSSILLGQNKLSGPIPESLGQIANLSMLDLSANMLSGYVPAKLYNKSSLEFFDIGSNKLSGQIPSDIGHKLPNLKLLIMSMNLFDGSIPSSLGNASNLQILDLSNNSLSGSVPKLGSLRNLDRLILGSNRLEAEDWTFIASLTNCTQLLELSMDGNNLNGSLPKSIGNLSTHLETLRFGGNQISGIIPDEIGNFINLTRLEIHSNMLSGKIPWTIGNLRKLFILNLSMNKLSGQILSSIGNLSQLAQLYLDNNSLSGNIPVNIGQCKRLNMLNLSMNNIGGSIPVELVKISSLSLGLDLSNNKLSGSIPQEVGTLSNLVLLNFSNNQLSGEIPSSLGQCVLLLSLNMEGNNLSGIIPESLNELKAIQQIDLSNNNLIGQVPLFFENLTSLAHLDLSYNKFEGPVPTGGIFQKPKSVNLEGNEGLCALISIFALPICTTSPAKRKINTRLLLILFPPITIALFSIICIIFTLIKGSTVEQSSNYKETMKKVSYGDILKATSWFSQVNKINSSRTGSVYIGRFEFETDLVAIKVFHLDAQGAHDSFFTECEVLKRTRHRNLVKAITLCSTVDFDNNEFKALVYEFMANGSLEMFVHPKLYQGSPKRVLTLGQRISIAADVASALDYLHNQLVPPMIHCDLKPSNILLDYDMTSRIGDFGSAKFLSSNCTRPEGFVGFGGTIGYIPPEYGMGCKISTGGDVYSFGVLLLEMFTAKRPTDTRFGSDLSLHKYVDSAFPNTIGEVLDPHMPRDEKVVHDLWMQSFIQPMIEIGLLCSKESPKDRPGMREVCAKIASIKQEFDKTIHHPLNARLTPRVVVPFRRRRALNERRGLPHRWSFPIHRGRPQVPIRRPEPTSSPTAAAGDKVFPMRRRRRSPPLPMRSFPSAAAAAVTAH >ORUFI04G05620.1 pep chromosome:OR_W1943:4:6782904:6783915:-1 gene:ORUFI04G05620 transcript:ORUFI04G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPWWLTAVASEWWLMAIEAKTLLRASLPDVGQHLNQCSLEPRATIGGCSTPATLTEQASKEISLLHLPSRNDAIDVGAFMGVNSLLLNEQMWDTRSKWKTPQSKFATFET >ORUFI04G05630.1 pep chromosome:OR_W1943:4:6797085:6797408:-1 gene:ORUFI04G05630 transcript:ORUFI04G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGAWEDLASGSVRGDGGSGGGRERPHGQRWTAAAAAHREDLVSGGGGWGRRRLRATDGDLRPAAVDGEAPAVGKTSPLVQCAPAAEGDDDARSESRVERVMTDEA >ORUFI04G05640.1 pep chromosome:OR_W1943:4:6801207:6801461:1 gene:ORUFI04G05640 transcript:ORUFI04G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSWVLRDAPSARNRPGLQRAANGGYSGAVLAGSREDDVSDELLTSFFTQTSALKRTRLGERSPRADPAAAAMLLRGGHPRR >ORUFI04G05650.1 pep chromosome:OR_W1943:4:6812161:6817111:1 gene:ORUFI04G05650 transcript:ORUFI04G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARHRVMSLLLVLVIGCCAWGCRPGAAQVPVPARTDGFVYGGKAPALGETVVVEAYFDPVCPDSRDAWPELKKAVEHYASRVTVVVHLFPLPYHSNAFISCRSIHAVNKINPSFVYPLLERFFKYQEGYYNQPTYGKTRATVDAEVAKNLVAPVIGEANLAAYKAGFNDSKSDQATRISFKAPKKL >ORUFI04G05660.1 pep chromosome:OR_W1943:4:6855515:6862297:1 gene:ORUFI04G05660 transcript:ORUFI04G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQLLLHPLLLLLVVGGVAGGGGGGGRLRAPSLEFHHRFSSPVQRWAEARGHVLPGGWPEHGSADYVAALNGHDRRRALSAAGGDGGGGGDKPPPLTFSEGNATLKVSNLGFLHYALVTVGTPGQTFMVALDTGSDLFWLPCQCDGCTPPASAASGSASFYIPSMSSTSQAVPCNSQFCELRKECSTTSQCPYKMVYVSADTSSSGFLVEDVLYLSTEDAIPQILKAQILFGCGQVQTGSFLDAAAPNGLFGLGIDMISIPSILAQKGLTSNSFAMCFSRDGIGRISFGDQGSSDQEETPLDVNPQHPTYTISISEITVGNSLTDLEFSTIFDTGTSFTYLADPAYTYITQSFHAQVHANRHAADSRIPFEYCYDLSSSEDRIQTPSISLRTVGGSVFPVIDEGQVISIQQHEYVYCLAIVKSAKLNIIGRYDTDSSNPLSINSRNSSGFSPSAPENYSPEETKGGNPASVTQLRPLSNSNPVMWYDSLVFRFLLVNLAALILF >ORUFI04G05660.2 pep chromosome:OR_W1943:4:6855695:6862297:1 gene:ORUFI04G05660 transcript:ORUFI04G05660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQLLLHPLLLLLVVGGVAGGGGGGGRLRAPSLEFHHRFSSPVQRWAEARGHVLPGGWPEHGSADYVAALNGHDRRRALSAAGGDGGGGGDKPPPLTLHYALVTVGTPGQTFMVALDTGSDLFWLPCQCDGCTPPASAASGSASFYIPSMSSTSQAVPCNSQFCELRKECSTTSQCPYKMVYVSADTSSSGFLVEDVLYLSTEDAIPQILKAQILFGCGQVQTGSFLDAAAPNGLFGLGIDMISIPSILAQKGLTSNSFAMCFSRDGIGRISFGDQGSSDQEETPLDVNPQHPTYTISISEITVGNSLTDLEFSTIFDTGTSFTYLADPAYTYITQSFHAQVHANRHAADSRIPFEYCYDLSSSEDRIQTPSISLRTVGGSVFPVIDEGQVISIQQHEYVYCLAIVKSAKLNIIGRYDTDSSNPLSINSRNSSGFSPSAPENYSPEETKGGNPASVTQLRPLSNSNPVMWYDSLVFRFLLVNLAALILF >ORUFI04G05670.1 pep chromosome:OR_W1943:4:6863160:6864722:-1 gene:ORUFI04G05670 transcript:ORUFI04G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAPLLSPASDDRFWDRLRTRVDTILEDRRLVAPPAATCGVESERGKRLREDSLMLVRGLDSVAASLAQLSDTLTAAQKGVNALATCSSQARECERGDEEEEPKAKRRCSLAETVSFDGETPVAGADSGEGSEKEELAADAKLRQENGGIQATSEVAKSTNLKRARNLAVSMAGRAAALARELKNIKSELHFMQERCGLLEEENKRLREGYDNGVPPEEDDLVRLQLEALLAEKSRLAQENANLSRENQSLIQLVEYHQLTSQDLAESYEDVMEGMRLDFSSPLGKIDSDEEGERENRRVAVTPSDKLEALESSDE >ORUFI04G05680.1 pep chromosome:OR_W1943:4:6865188:6870636:1 gene:ORUFI04G05680 transcript:ORUFI04G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLYNRHRKGAHKRYHKLCWLWCYQAYSGRVPIRDITNYAGFGATQTYSGTVILKGLLWVLEVLIHCFDQ >ORUFI04G05690.1 pep chromosome:OR_W1943:4:6875558:6876851:1 gene:ORUFI04G05690 transcript:ORUFI04G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSAATSCARFLALLATCLLWNEAASFTASGWNKAFATFYGGSDASGTMGGACGYGDLYSTGYGTNTAALSTVLFNDGASCGQCYRIMCDYQADRRFCISGTSVTITATNLCPPNYALPNDAGGWCNPPRQHFDMAEPAWLKIGVYVGGIVPVMYQRVPCAKQGGVRFTINGRDYFELVLVSNVGGVGSIQSVSIKGSRTGWMAMSRNWGVNWQSNAYLDGQSLSFKVTSSDGQTLTFLDVAPAGWTFGQTFSTSQQFS >ORUFI04G05710.1 pep chromosome:OR_W1943:4:6903614:6905352:-1 gene:ORUFI04G05710 transcript:ORUFI04G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPNHTQTVSGWAAMDESGKIVPFVFKRRENGVDDVTIKVKYCGMCHTDLHFIHNDWGITMYPVVPGHEITGVVTKVGTNVAGFKVGDRVGVGCIAASCLDCEHCRRSEENYCDKVALTYNGIFWDGSITYGGYSGMLVAHKRFVVRIPDTLPLDAAAPLLCAGITVYSPMKQHGMLQADAAGRRLGVVGLGGLGHVAVKFGKAFGLHVTVISTSPAKEREARENLKADNFVVSTDQKQMQAMTRSLDYIIDTVAATHSLGPILELLKVNGKLVLVGAPEKPVELPSFPLIFGKRTVSGSMTGGMKETQEMMDICGEHNITCDIEIVSTDRINDALARLARNDVRYRFVINVGGDSKL >ORUFI04G05720.1 pep chromosome:OR_W1943:4:6923783:6924275:1 gene:ORUFI04G05720 transcript:ORUFI04G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWSLAKCDASVRSSGHADDGAPTILGEEERATEVLLGGVIPTVASAWSGGDGISGWRCGNGGLPRVNGDEGLPGAFVGREMEAGLWLAVAVPTVEVAQLGDARGDDVTRPKIGGGGGQRRQAPRRL >ORUFI04G05730.1 pep chromosome:OR_W1943:4:6936758:6936949:1 gene:ORUFI04G05730 transcript:ORUFI04G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRLLANSLRPGLHLSIHCSHSLLPSQSYKWSGWGSRIDHLKEELTGVPSILPRSDEALRV >ORUFI04G05740.1 pep chromosome:OR_W1943:4:6939977:6940207:1 gene:ORUFI04G05740 transcript:ORUFI04G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAALRHAHPRAFYSSAVVDMCTGETAVSADDVDENPKHRSACCCMLPLAGVEFMMNITAPYIAAKFPALAIASN >ORUFI04G05750.1 pep chromosome:OR_W1943:4:6941926:6943501:-1 gene:ORUFI04G05750 transcript:ORUFI04G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRAGLRRCRPAASPPEFGGREAATTARLPIAAECREVRPAGGEEREYELKLGFKPATSLQPKSAYLVT >ORUFI04G05760.1 pep chromosome:OR_W1943:4:6985182:6986568:-1 gene:ORUFI04G05760 transcript:ORUFI04G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHDVTASLRAPGRHPVTKLSVLATGSEERRCQEVLASGDRYSHHEFCVIDGVLFEQAMRRTEELRVQIDCGNDDRSSLTYVYRLRLISSIPGDTIRILELASCRIELPPPDHAAPFPFPRLAVLRLERCSSPMRDLATLISAAPNLATLHIARHNFCWYPERDFDDGRFVLHCPSLTSLTLDNDITCIEGIRDAIASTFWKFLGSLRNTKSLKLNVPAMGSIPLLDNGDNIVFENLKHLEVECDPETDGWHHSKKGSAMSVATLLRCFPVIHELKLALESTSSKFMSFRSRRARGRKSLLFTEFNTSRDLFNNRRTMLDDDDESSEFEEVADLPGLTGCGFDCLQNHLKRVVLQFQMEDVNCFGVRLAKFFAETCKVLDVLQVDDGVHNFRRHINNNVDKWRDNESEKQKR >ORUFI04G05770.1 pep chromosome:OR_W1943:4:6986599:6986793:-1 gene:ORUFI04G05770 transcript:ORUFI04G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGGDLFSGLPDEVLVKIISFLSFTGAARTASLSRRWRPLWLCSPTLNLNLVCSGISYGH >ORUFI04G05780.1 pep chromosome:OR_W1943:4:7121287:7122412:-1 gene:ORUFI04G05780 transcript:ORUFI04G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVSEEPEHVRPKDYTDPPPAPLFDVGELRLWSFYRALIAEFIATLLFLYITVATVIGYKVQSSADQCGGVGTLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLLLARKVSVIRAVMYIVAQCLGGIVGVGIVKGIMKHQYNANGGGANMVASGYSTGTALGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSIGAAVIYNQKKAWDDHWIFWAGPFIGALAAAAYHQYILRAAAIKALGSFRSNPSN >ORUFI04G05790.1 pep chromosome:OR_W1943:4:7208749:7209298:-1 gene:ORUFI04G05790 transcript:ORUFI04G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQPRIPRAKTSLLLHPQLRRPRASLPRSLLQVSSQERLPAAAAAVLLTRCSCSPLPPLRRALAGAAVAVLLLPHRRAPLFPTSFFPDPAGAAVGADFGPFSAAGTDIDLSPRPSFFFPTATAVLLTDFSSAPLPALCHVLARAAAISFFSHSDPYKSPPPPSPLAPLSLSLCP >ORUFI04G05800.1 pep chromosome:OR_W1943:4:7226132:7226760:-1 gene:ORUFI04G05800 transcript:ORUFI04G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVCVCSFNPATKHHRAPPSVRCAAAAAIGEANGVGEVGWPDDVALGIFKQLWRDENGRVPPLSGGGAAKVYCDVELWLNLRTIHQSSDDKSEDGEALLVSAGLMVTKADSFARALREILLASKNLATAYSA >ORUFI04G05810.1 pep chromosome:OR_W1943:4:7230220:7232450:-1 gene:ORUFI04G05810 transcript:ORUFI04G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVAAASYTRGAATRSPACCAAMSFSQSYRPKAARPPSTFYGESLRVNTARSLPSGRQSKAASRAALSTRCEIGDSLEEFLTKATPDKNLIRLLICMGEAMRTISFKVRTASCGGTACVNSFGDEQLAVDMLADKLLFEALEYSHVCKYACSEEVPELQDMGGPVDGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTYIIALKDCPGTHEFLLLDEGKWQHVKDTTTIGEGKMFSPGNLRATFDNPEYDKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPTAKAKLRLLFEVAPLGFLIEKAGGYSSDGKQSVLDKVINNLDERTQVAYGSKNEIIRFEETLYGSSRLTAGATVGAAA >ORUFI04G05820.1 pep chromosome:OR_W1943:4:7234070:7236926:1 gene:ORUFI04G05820 transcript:ORUFI04G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGMGATKSAINCFKCSRDGHHHSTCPSPPLCYTCHNTGHLITLSDENMMKRDVKQCGFGIPRQVRGILIVNHGEASIQKVVTYLRHLFVGLNWDMQGEAWEKVCKPMKKVTMGIIDLRVQNKALLPKHLYKFNYKCPYPSEMAATLRGAGGEIFWQRRQCSRARVDSTRVAAATLADALGVTAALAGTSNNGDGSATAAATALTDRSGKTKASVLKTGMVEEAVKIYGIPKLARTEDAVKSTVELVGEFEDLYWASLRCNGPIVERATLHMRIDKTNLLMAMTWMIKRMMERKT >ORUFI04G05830.1 pep chromosome:OR_W1943:4:7241649:7245032:1 gene:ORUFI04G05830 transcript:ORUFI04G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFEGYSEKLKFPRQYFVYHYSFRSTFMCLPMIMDQMVPNLSKLLILSEGFAIVVEIPFSLREQSRPEEKEIPKFQNIRSIHSIFPFLEDKFLHLHYLAHIEIPYPIHLDILLQLLQYRIQDELIMNPLIAAAFVIAAGLAIGLASIGPGVGQGTAAGQAVEGIARQPEAEVQVGDGIALIIGLGEIMSGKLVEFAQATRGIALNFESKNVGIVLMGDGLMIHEGSFVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEIVASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTASKTVVATDTILNQKGQDVICAYVAIGQRASSVAQVVTTFHE >ORUFI04G05840.1 pep chromosome:OR_W1943:4:7245324:7247171:1 gene:ORUFI04G05840 transcript:ORUFI04G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKSKLELAQFAELKAFAQFASALDKTSQNQLARGRRLRELLKQFQANPLPVEEQIATIYIGTRGYLDSLEIGQVKKFLDELRKHLKDTKPQFQEIISSSKTFTEEAEILLKEAIQEQLERNGVVKLGSWVETGDILVGKLTPQIASESSYIAEAGLLRAIFGLEVSTSKETSLKLPIGGRGRVINVKWIQRDPLDIMVRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGTPVDMVFNPLGVPSRMNVGQIFESSLGLVGDLIKKHYRIAPFDERYQQEASGKLVFSELYEASKQTKIRGYLNPSTREKAEYLMEEQETPSNSLF >ORUFI04G05850.1 pep chromosome:OR_W1943:4:7247309:7247692:1 gene:ORUFI04G05850 transcript:ORUFI04G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWALEGFGVAHILQEILTYKSDHLIARQEILNATIWGKRVPNHEDPPESFRVLVRELRSLALELNHFPIACQEILNATIWGKRVPNHEDPPESFRVLVRELRSLALELNHFLVSQKNFQVNREEV >ORUFI04G05860.1 pep chromosome:OR_W1943:4:7249527:7250852:1 gene:ORUFI04G05860 transcript:ORUFI04G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDETLLVFTLVVSSVSVFLFGILLFMVLISATRDFRERTKSKLVKIMIWAGIVVITFAIAFWDTKQTSPYGNLKVADLPSFAISFATAPAALANCPPLPRVISMLCMAVPKGISIEVDSSFLSKNPFPNCTSFFQSIRLSRCI >ORUFI04G05870.1 pep chromosome:OR_W1943:4:7274195:7274887:1 gene:ORUFI04G05870 transcript:ORUFI04G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDNSGHNPSALSLTPAPVEANHGRSNVTKDVHKPLLVPVSAAKVHNQKPAPQDQASRLCRNCRKPGHRFSDCPLPRAAKAVRRDSQVTSTAHDTKRHLNVQPPPQRIIVKGTVKGRIVPPAIVSSLQHQRQQGKQCQENNSSLQLQRGGTLLRQHPQQVLSAPGRPTVVSSSNAPGIAPIWRPIDKSPLGNPTGKKILPVTADKIVAPPPSKINKQSNVPGETSGSRN >ORUFI04G05880.1 pep chromosome:OR_W1943:4:7297591:7298190:-1 gene:ORUFI04G05880 transcript:ORUFI04G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPANAEDSVAKALRYRDQLVRNRTQSRGIHGVVEEDEGDGHHCLPELHGSNSFQVVEDASSAARRGRRGGHDGGRGKRPTTQSISSAPSSAARGGRPRKAPYKQPRPSSSGAATGVTASADNLCAEGFVDSETCNGSGMC >ORUFI04G05890.1 pep chromosome:OR_W1943:4:7319248:7323479:-1 gene:ORUFI04G05890 transcript:ORUFI04G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGWRRRRRLPAREADRWRRRIPTRARRTAEATAAAAPRAREVDGDSGGGSPRARGGWRFPCARRTAEAVAAEETKMVALSGDLGSVDDGVGNGRRRGVLGSRGQPVAVSTADNGAPATDDDAAAFAANGGGPDRGRQRWRGRRWRRGAGALGSGEAEEESRQRESAGWDGRGKRRGTHILCTWGPQVIGLGRRIAAAEAELTGRRA >ORUFI04G05900.1 pep chromosome:OR_W1943:4:7325752:7326099:-1 gene:ORUFI04G05900 transcript:ORUFI04G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLSYISKMLCIKIPSEARQASEDGGSGGLTECSVCLSRIRVGEATRRLPCRHAFHRDCVDRWLLSCRRTCPLCRVYVVVDGNKPGVAAKHTGEPPLAEDMVIWFSAMLVPGF >ORUFI04G05910.1 pep chromosome:OR_W1943:4:7330654:7331773:1 gene:ORUFI04G05910 transcript:ORUFI04G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKQRATRRAWGAVEWLWTHGRASWTKVCEDALETKTVTQSRQSLRKITLKSRCSRTVKDETSCRVCQTRGQLGTNARNGVANTVRARLETAMNHTPMSEETIDEEEPEWLTMTSADDEVVDVQTAIKTTKAAKLRSEDNTAVAPCRCSGEKSTGDVAMLRRVPLSIAKT >ORUFI04G05920.1 pep chromosome:OR_W1943:4:7363347:7371741:1 gene:ORUFI04G05920 transcript:ORUFI04G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIRAAQALASRSLLLSSRALHGDAASTAAAAAGGGRLGVQPSPPSQASSSSSSRAMPAGIAGAVSFSLTFATMAAAEAKERPPMDLLPQNVVLYQYQACPFCNKVRAFLDYHDIPYKVVEVNPLSKKEIKWSEYKKVPILTVDGEQLQRVRPDDKATNEEEEKWRRWVDEHLVHVLSPNIYRTTSEALESFDYISKHGNFSFTERFAVKYAGAAAMYMVSKKLKKKYNITDARASLYDAANTWMEALDGRDFLGGSKPNLADLAVFGVLRPIRYLTAGKDMVEHTQIGDWYQRMEDAIGEPSRIQE >ORUFI04G05930.1 pep chromosome:OR_W1943:4:7374142:7381385:1 gene:ORUFI04G05930 transcript:ORUFI04G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGDAEAAAASKKARCKKEKKKKRKDDCGAAEASAADEEIVHDKKRKKQLQQKKNGDDVAVPNRKTTVSIAVAGSIIDNAQSLELATLLAGQIARAATVFRIDEVVVFDSNSSVENSGDDVESGARFLVRILQYLETPQYLRRRLFPMHNNLKFVGLLPPLDAPHHLRKHEWSEFREGVTLDGDRSMGTFVDVGLSKNVLVEQMLEPGKRVTIAMGTNRDITTACKRKIVSPSSPRDEMELYWGYKVRYASNLGGVFSDSPYKEGYDYIIGTSEHGKIISSSELILPSFRHLLIAFGGLAGLEECIEEDRNLKGKGVDDVFNTYLNTCPSQGSRTIRTEEALLISLQYFQDPIRRAG >ORUFI04G05930.2 pep chromosome:OR_W1943:4:7374142:7381385:1 gene:ORUFI04G05930 transcript:ORUFI04G05930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGDAEAAAASKKARCKKEKKKKRKDDCGAAEASAADEEIVHDKKRKKQLQQKKNGDDVAVPNRKTTVSIAVAGSIIDNAQSLELATLLAGQIARAATVFRIDEVVVFDSNSSVENSGDDVESGARFLVRILQYLETPQYLRRRLFPMHNNLKFVGLLPPLDAPHHLRKHEWSEFREGVTLDGDRSMGTFVDVGLSKNVLVEQMLEPGKRVTIAMGTNRDITTACKRKIVSPSSPRDEMELYWGYKVRYASNLGGVFSDSPYKEGYDYIIGTSEHGKIISSSELILPSFRVKV >ORUFI04G05940.1 pep chromosome:OR_W1943:4:7411335:7412079:-1 gene:ORUFI04G05940 transcript:ORUFI04G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVDVVSEFCSVPRTRRHLKKRKQFQTVEMKVRIDCEGCERKIKKALEDMKGVSSVEVTAKQNKVTVTGYVDAGKVMRRVAYKTGKRVEPWPYVPYDTVAHPYAPGAYDKKAPAGYVRNVVSDPSAAPLARASSTEVRYTAAFSDENPNACSVM >ORUFI04G05950.1 pep chromosome:OR_W1943:4:7419542:7435848:-1 gene:ORUFI04G05950 transcript:ORUFI04G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRRLFYRKPPDRLLEIADRVYVFDCCFSTETMEQFEYKNYLDNIVLQLREQFVDSSLMVFNFRDEGKSLVSGLFSLYGITVKDYPCQYLGCPLLPLEMVLHFLRLSERWLMLEGQQNFLLMHCEKGGWPVLAFMLAGLLLYMKQYNGEERTLVMVYKQAPKELLQMLTTLNPQPSHLRYLQYICKMDDELEWPIQPIPFTLDCVILREVPNFDGVGGCRPIVRVYGQDFLTVDKRCNVMLPPSKPRKHARRYKQADNISVKLNVGSCVQGDVVLECLHIDDSLEDERLMFRVMFNTYFIQSHILPLNFENIDVSWDAEQRFTKKFKAEVLFSEFDGESDASIEVASDYDDEVEVGSIDVFFEAVEIFSNLDSQEGQRDAEILSITSTECSPRAELMKTAPFSHFDMEIGLGGSQKNKIDGMVLSLEKSDEKCTSAEGDIIQNNITRVVRSSSANTTDGDRDTMNSSCYGGKVDGCIVEKNNSNKEILTDSNEDSGIENVLVKEVIISETNSLKDIQMIKEVIISEVTTSKPVIEVDTIGTELSDVVHNSETITHAEANNEEEVLVTLKQNEGDNLVEECIYYGNSIMIKPEKNRKKEKSIIGSTIGVVPDSTEENARVGLLLSVKPHLDSTGTYHDLNSPLQKIDLLNVSNTNCVEEQTKGMEASISNSYGQPSNLSSLNLQPQGSSFQANGDPTCANTSTDANESTQLELKRKSFLSLSTSSIFSPLSPRRNLLRSTSTDLPFLSPLQTKSNQHSIPCSSGRDDFASSYGPPPNIPCTSLRTSKVSLLVHPSLRPLRTVSSLSQSSFEEYLDISPPSPTFHEKHQQHFNLDPPSLIPPWQLRLAKTKENEIYPCTLSFLPLSPSNKYAHHPPFPPPPPPPHVLCTQNNSRTQISEYEQGRVEGPCPSSSYGQSILNSHDVSLSLPQKDSSCIAITNGPSSSNYVEEVPMETILNQPTLSIPLEACKDELLHCKENGGIPIPPPPPPLCDHAKKYTRIPLPPPPPEGSHGILATTSTELIDAGPQLPPLSHLEWKRCPHHPPERPHYLPGEVGGAPSPPSPPPPQRENTSVGIQGGIPPLPPPLPPTLGDYGVAPPPPSIGAGAPPPPPPPGGITGVPPPPPIGGLGGHQAPPAPPLPEGIGGVPPPPPVGGLGGPPAPPPPAGFRGGTPPPNAHGGVAPPPPPPRGHGGVGGPPTPPGAPAPPMPPGVPGGPPPPPGGRGLPAPPGGRGVVGHGLTRSLGLNSAATARRSTLKPLHWVKVTRAMQGSLWAEIQKQADANSHSEFDVKELESLFAIAPKTKGGSKSDGASKSLGSKPDKVHLIDLRRANNTEIMLTKIKMPLPDMMSAALALDDSVLDADQLENLIKFCPTKEEMELLKNYTGDKETLGKCEQFFLELMKVPRVESKFRIFAFKIQFQSQIRDVRKNLLTVSSACEELRGSEKLKVIMEKILFLGNKLNQGTPRGQALGFRLDSLLKLTDTRANNSRMTLMHFLCKGLADKSPHLLDFYEEFVNLEAASKTLKEFTDASGADVRSLSALYAEVGKSADALAYYFGEDPAKCPFEQVTSTLLNFVGLFRKAHEENIKQIEADKKKAQKEAEKEANQDRIFGM >ORUFI04G05960.1 pep chromosome:OR_W1943:4:7475995:7476613:-1 gene:ORUFI04G05960 transcript:ORUFI04G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYCSDRSSGSSHPSSRAPIPARVGPYDYQPAVMCRCGAKAARWISGSVDNPGRWYYRCRNRGAGCDFFDWYEPATSSFLRELLNDLHEAVLSLRREKNELQHCVEELRPKVEEQCLELGVAMNEVAQLRIVAAENEANMAAMRASNSRLEKQRVWLVLMSLGCMLVLFAMILVQL >ORUFI04G05970.1 pep chromosome:OR_W1943:4:7483109:7483601:1 gene:ORUFI04G05970 transcript:ORUFI04G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGNGVENELARKAAKPAVEAAQHSGGGSGGGPSGAVDGVRQCVARPAAQTARRGDGGSGQLEGRRRAAALGITWEERERARGVRESWGKGRGWPGE >ORUFI04G05980.1 pep chromosome:OR_W1943:4:7503300:7506683:-1 gene:ORUFI04G05980 transcript:ORUFI04G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPALHSGTDRDALLCLKSQLSDPSGALVSWRNESSTFCSWHGVTCSRQNASQVISLNLESLNLTGQIFPCIAQLSFLARIHMPNNQLNGHISPDIGLLTRLRYLNLSMNSLNGVIPYAISSCSHLKVISLQNNSLEGEIPQSLAQCSFLQQIVLSNNNLQGSIPSKFGLLSNLSVILLSSNKLTGMIPELLGGSKSLTQVNLKNNSISGEIPPTLFNSTTLSYIDLSRNHLSGSIPPFSHTSLPLRFLSLTENNLTGEIPPSIGNISTLSFLLLTQNNLQGSIPDSLSKLTNLRVLNLKYNKLSGTVPLALFNVSSLTNLILSNNKLVGTIPANIGVTLPNIIELIIGGNQFEGQIPNSLANSTNLQNLDIRSNSFTSDIPSLGLLSNLKILDLGTNRLQAGDWTFFSSLTNCTQLQMLCLDFNGFEGKIPSSIGNLSQNLKILLLTENQLTGDIPSEIGKLTSLTALSLQSNNLTGHIPDTIGDLQNLSVLSLAKNKLSGEIPQSMGKLEQLTILYLMENGLTGRIPATLDGCKYLLELNLSSNSFYGSIPYELFSISTLSIGLDLSNNQLTGNIPLEIGKLINLNSLSISNNRLSGEIPSTLGDCQYLQSLHLEANFLEGSIPRSFINLRGLIEMDLSQNNLTGEIPDFFGSFSSLMVLNLSFNDLNGKVPNGGVFENSSAVFMKGNDKLCASFPMFQLPLCVESQSKRKKVPYILAITVPVATIVLISLVCVSVILLKKRYEAIEHTNQPLKQLKNISYHDLFKATNGFSTANTIGSGRFGIVYRGHIESDVRTVAIKVFRLDQFGAPSNFIAECVALRNIRHRNLIRVISLCSTFDQTGNEFKALVLEHMVNGNLESWVHPKPYKKNPKETLSLVSRISIAVDIAAALEYLHNQCTPPLVHCDLKPSNVLLDDEMVAHVSDFGLAKFLHSDSSLASSTSYSIAGPRGSIGYIAPEYAMGCKISFEGDIYSYGIILLEMITGKYPTDEMFTDGMNLHKMVASAIPDKIGDIVEPSLTEDHLGEDKNYESVETPRFFMQLAELGLRCTMTSPKDRPKIKDVYTEIVAIKNMLSALQY >ORUFI04G05990.1 pep chromosome:OR_W1943:4:7510223:7510472:1 gene:ORUFI04G05990 transcript:ORUFI04G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGDRVGDGGRELALLGAWGPLLVWVRLALNLKGLSYEYVEVDLASKSDLLLAANPVHRKIPVLLHAGKPICESMLIVE >ORUFI04G06000.1 pep chromosome:OR_W1943:4:7510509:7510691:1 gene:ORUFI04G06000 transcript:ORUFI04G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLVEAYARAVTCFWATYVNDELLSRWMGIYNGGKTEEEKALEQTPVARSVPPPPFWLR >ORUFI04G06010.1 pep chromosome:OR_W1943:4:7519195:7522651:-1 gene:ORUFI04G06010 transcript:ORUFI04G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFATHEWPMLLLILVRLLIIFPALNSDTDRDALLSLKSQLSDPSGALASWRNDSSMFCDWHGVTCSRHNASQVISLDLESLNLTGQIFPCIAQLSFLSRIHMPNNQLNGHISPDIGLLTRLTYLNLSMNSLNGVIPHSISSCSRLEVISLQSNSLQGEIPQSLAECSFLQKIVLSNNNLQGSIPSKFGLLANLSVILLSSNSLSGSIPELLGSTRSLTEVNLNNNSISGKIPPSIFNSTTLSYIDLSYNHLSGSIPPFSKSSMPLQLLSLAENNLTGEIPVSLGNISSLSFLLLSQNNLQGSIPESLSKIVNLRVLNLKYNNLSGVVPPALFNISSLTDLILNNNQLVGTIPANLGSTLSNITELVIGGNQFEGQIPNSLANASNLQTLDIRSNLFSGHIPSLGLLSELKMLDLGTNMLQAGDWTFLSSLTNCPQLKSLSLDFNGFEGKIPISIGNLSKSLEELHLMANQLTGDIPSEIGKLTGLTVITLGMNGLTGHIPDTLQNLQNLSVLSLSKNKLSGEIPQSIGKLEQLTELHLRENELTGRIPTSLAGCKNLVQLNLSSNSFHGSIPQELFSISTLSISLDLSNNQLTGDIPMEIGKLINLNSLSISNNRLSGEIPSNLGNCLLLQSLHLEANFLNGHIPSSLINLRGIVEMDLSQNNLSGEIPEFFGSFSSLKILNLSFNNLIGPVPKGGVFDNSSAVCIQGNNKLCASSPMLQLPLCVESPSKRKKTPYILAILVPVTTIVMITMACLITILLKKRYKARQPINQSLKQFKSFSYHDLFKATYGFSSSNIIGSGRFGLVYRGYIESDVSIVAIKVFRLDQFGAPNNFIAECEAFRNIRHRNLIRVISLCSTFDPAGNEFKALILEHMANGNLESWLHPKRNKQIPKEPLSLASRLSIAMDIAVALDYLHNQCSPPLVHCDLKPSNVLLDDEMVAHVSDFGLAKFLYNDSSMASSTSYSMAGPRGSIGYIAPEYAMGCKISFEGDIYSYGIILLEMITGMYPTDEMFTDGMNLHKMVLSAIPHKITEILEPSLTKDYLGEDRDHELVELTMCTVMQLAELGLRCTVTLPKDRPKIKDVYTEIISIQSMFSALNN >ORUFI04G06020.1 pep chromosome:OR_W1943:4:7589509:7590238:-1 gene:ORUFI04G06020 transcript:ORUFI04G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFFRPTTFFQGSWGSEIESNHFFIAGEGGVAEAIHMLQHIRRPSICWEPQRRLWWERTPHIRSSTLPVASGGVLMAGTSHCLSAISLQLKRYDIGRHYW >ORUFI04G06020.2 pep chromosome:OR_W1943:4:7589509:7590238:-1 gene:ORUFI04G06020 transcript:ORUFI04G06020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFFRPTTFFQGSWGSEIESNHFFIAGEGGVAEAIHMLQHIRRPSICWEPQRRLWWERTPHIRSSTDIGRHYW >ORUFI04G06030.1 pep chromosome:OR_W1943:4:7594118:7594621:-1 gene:ORUFI04G06030 transcript:ORUFI04G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDNLYKHADYACKQAGASSVPSMLYIHVAAATTIGWQAGQKPSTSKHGDDGRGACFGTMHAARPEAAEARGRLAAVTTKKLTNVQRCNGVGDEGCRGLGTAAKKLADEHRQSSRTTADGDEEARRHLVAQWRGDETRYLRIEYHPQVYLYNDRK >ORUFI04G06040.1 pep chromosome:OR_W1943:4:7596105:7608643:-1 gene:ORUFI04G06040 transcript:ORUFI04G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLQTWEEEGKEKKGKEGSRGNRGFRSSVGSEYVWGSTDLFLNRVMPLRNATRVHLAIGEGNFGI >ORUFI04G06050.1 pep chromosome:OR_W1943:4:7672840:7683021:1 gene:ORUFI04G06050 transcript:ORUFI04G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLASMAVKWAVHKLSSLLAPARLGTTVASSSVTPGLEDLQMLERTMRRIHATLVDAEEHWNIREESAKLRLRELKEIAYGAEDVVEEYEYEMNRLRLEGQPAGSASPVGANNSNRKRKQAMTKARWRNGDGQDGGIAGIGGGNCGVDQVRSGKRMQEASTAACGQHRFTGADKVHSIQGGAVGRSAAATHEGRGGVAAVPVNDEHFTEAEFVPVPNELALRARELTKRFDEMKLYYKHFSLSDNDGERRIIPDIHSMRQTSHFVDEMSIVGRESDKETVIQKLMSGHGSNNASHISVLAIVGMGGLGKTTMAQLVYKDLTTCQLFDIHAWVYVSESFDSKNLTKKIVGSITKESSNLSELDDLQDKLAQVICNKRCLFVLDDVWNERRDCWETFCKPLLAAQKCKILVTTRNETVARLVQTMPHFTMDYLSPDESWELFEQTVSDQEYAIPGNLAEIGKKIVEKCDRLPLAIKTIGSMLRYETDERRWIDVLESELWHLDKAQHEILPALELSYKNMPIHLKRCFVALCLFPKDCPLEESDIIRLWKFLDIIQGDATNNEDEIGSRYFDELVQRSFLQLFFGSGIMHDLIHDLACHLSGNEFFRLEGDKPVQIPQNTRFMSILECDTYVQFSAASHPMWAIIVLGREGYSKVRNSEQFFQHCKNLRVLSIDYSNLCEALPRYISGLKLLRHLELTANRDDLEEPENAPSGIDVLINLHTLPNIHIRRCACSFNLRELRNLNKIRELRVSGLGDLSCIEDAKEVQLISKRHLGLLELDLSYEEHECVEEQCRSMLQLELESIDEEMLEPEYVSHKEILESLRPHKGLKKLIIKEYDCQSYPRWLGNASFSYLTEIVISGRGWVRQQRVPTLGELPFLKSLKIRSICSVEHIGREFCSLVPGNKGFPSLTSLEFVYMAGWTEWSGLDDGDFPCLQTLSMTTSLRLRHLPLDRFPSLDTVTLNVCDGIKTIPAGGTFKKLCISRCAVLHTISAQPSLQELELKHCPKLHEVGSMPNVIRLNIHECPNLSTVGSLPELTTVSATGALADGMLFSLLDYLPRLKSLYVSSGTVTRIPLEQQRLPSLAALQLWSCANLQYCDGLAGFTSLSTVNIWECPLLPSVLQDLHKVHVD >ORUFI04G06060.1 pep chromosome:OR_W1943:4:7684334:7684501:-1 gene:ORUFI04G06060 transcript:ORUFI04G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTGACRWTTRRSASRHAGATLGGNGGQAYDAVDVILRSPLMRDVVGGGDGVVG >ORUFI04G06070.1 pep chromosome:OR_W1943:4:7686125:7686543:1 gene:ORUFI04G06070 transcript:ORUFI04G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAAAASSQPPASCHGSRRHGRLVRLRLRAAAFAALASVAAAARDENRVYDPCSDSRIQRGDGFSFGIAFANLGAFYSGGSVQLSPCDRRLSLASSGQLAVFRPKVDEISLLTINTTTTS >ORUFI04G06080.1 pep chromosome:OR_W1943:4:7688300:7688951:-1 gene:ORUFI04G06080 transcript:ORUFI04G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVTADMDSDVATNVDNDVAANSDVAANVDNDVAAYMKQPDHFKTAQYPCKSNANNRKFHLCIRSMQIMSLRDAAQLATPGAQAQHKNNKSKGQRIGQLNHQHLESEQKLNQEHLASDQQLQVGGENSGCSPPVHAIL >ORUFI04G06090.1 pep chromosome:OR_W1943:4:7712535:7714790:-1 gene:ORUFI04G06090 transcript:ORUFI04G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEKSLDDKVENEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDEKWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGGKPESMKPLLDYLLTLNYRGEKLMINDTIDTVSKLQTALLLAEVFVSGLPKYTPYLKFEQRFQEWGLEKGWGDTAERCKETLNCLSEVLQAPDPTNMEKFFSRVPSIFNIVIFSIHGYFGQEKVLGLPDTGGQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIIDGVSGFHVNPINGREAGIKIADFFQKCKEDPSYWN >ORUFI04G06100.1 pep chromosome:OR_W1943:4:7718918:7721158:-1 gene:ORUFI04G06100 transcript:ORUFI04G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPAMFVICILAVPLLPALGSEPPSTPVPTVGVTAGGPPPLCIIIRKEKNTKFIEQVALHYDKEDNIIVGCLSGVRSELASADLIAAGFKNVKNMEGGYMAWVENGLAVNKPLVQEEL >ORUFI04G06110.1 pep chromosome:OR_W1943:4:7731708:7732386:1 gene:ORUFI04G06110 transcript:ORUFI04G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFLIDACRRTRNPYGLTEAMHVQAMGADRGGGVSRTMAANQRSINGPDAMRAPWMYEYDYCGRVFSKSATAWQRVSTSTHRRSGDDGGHHHRAFLVARRRFQLLITTVSMPMKTMHYFL >ORUFI04G06120.1 pep chromosome:OR_W1943:4:7741436:7741869:1 gene:ORUFI04G06120 transcript:ORUFI04G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVAAAAAPLRRSLLPSLNPSCLFSSLASSSHRLSLPRALRPAGPLPSDVEDSDDSNAGDGAGEALRKSRNDLKREARRAVQWGLRR >ORUFI04G06130.1 pep chromosome:OR_W1943:4:7741974:7742202:1 gene:ORUFI04G06130 transcript:ORUFI04G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVAAAAAPLRRSLLPSLNPSCLFSSLASSSHRLSLPRALRPAGPLPSDTRTIRMPETAPARPSGRAATT >ORUFI04G06140.1 pep chromosome:OR_W1943:4:7743025:7747949:1 gene:ORUFI04G06140 transcript:ORUFI04G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQATVVAARAISVAVAKAHRDSGEGDKEHIEIADRWFEGLLSKDISVTNEIYAIHNVEFDRQELRKLVRTVHMVQDNIENEHEEESTMKLLGAKKQLLCFLRSIAKEAYVKS >ORUFI04G06150.1 pep chromosome:OR_W1943:4:7757568:7763447:-1 gene:ORUFI04G06150 transcript:ORUFI04G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVLHISSFIKEHISNALNLRREEDTVTKANIEAALILLTQDIPYCGDPPCNEATGPVRWRSCCQTILLRGIRATPARSSSYLTITLPSRNRHHHEDDDCCLRVLGRWTLGMVAPLAIGLEGNKQMHQRELKYEELCGKNELAGSESCTEGIYV >ORUFI04G06150.2 pep chromosome:OR_W1943:4:7757462:7763447:-1 gene:ORUFI04G06150 transcript:ORUFI04G06150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVLHISSFIKEHLPVMMPLLGEKRTLDYPTHDKATQAALILLTQDIPYCGDPPCNEATGPVRWRSCCQTILLRGIRATPARSSSYLTITLPSRNRHHHEDDDCCLRVLGRWTLGMVAPLAIGLEGNKQMHQRELKYEELCGKNELAGSESWRFFATILCQARLRYSRFKFD >ORUFI04G06150.3 pep chromosome:OR_W1943:4:7761726:7763447:-1 gene:ORUFI04G06150 transcript:ORUFI04G06150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVLHISSFIKEHISNALNLRREEDTVTKANIEAALILLTQDIPYCGDPPCNEATGPVLVAKPFSFGV >ORUFI04G06150.4 pep chromosome:OR_W1943:4:7761726:7763447:-1 gene:ORUFI04G06150 transcript:ORUFI04G06150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVLHISSFIKEHLPVMMPLLGEKRTLDYPTHDKATQAALILLTQDIPYCGDPPCNEATGPVLVAKPFSFGV >ORUFI04G06150.5 pep chromosome:OR_W1943:4:7760457:7761653:-1 gene:ORUFI04G06150 transcript:ORUFI04G06150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAAFASSEDARTLGMVAPLAIGLEGNKQMHQRELKYEELCGKNELAGSESWNHDDHNDNNIG >ORUFI04G06150.6 pep chromosome:OR_W1943:4:7757568:7761653:-1 gene:ORUFI04G06150 transcript:ORUFI04G06150.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAAFASSEDARTLGMVAPLAIGLEGNKQMHQRELKYEELCGKNELAGSESCTEGIYV >ORUFI04G06160.1 pep chromosome:OR_W1943:4:7896528:7923255:-1 gene:ORUFI04G06160 transcript:ORUFI04G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAAYKMMHWPTGVDHCAAGFVTHSPSDAAAFFTAATVGPGPEGDIDSAAAASRPRRLGPSPNLVVAAANVLEVYAVRAETAAEDGGGGTQPSSSSGAVLDGISGARLELVCYYRLHGNIESMTVLSDGAENRRATIALAFKDAKITCLEFDDAIHGLRTSSMHCFEGPEWQHLKRGRESFAWGPVIKADPLGRCGAALAYGLQMIILKAAQVGHSLVGEDEPTCALSSTAVCIESSYLIDLRALDMNHVKDFAFVHGYIEPVLVILHEQEPTWAGRILSKHHTCMISAFSISMTLKQHPVIWSAANLPHDAYQLLAVPPPISGVLVICANSIHYHSQSTSCSLDLNNFSSHPDGSPEISKSNFQVELDAAKATWLSNDIVMFSTKAGEMLLLTVVYDGRVVQRLDLMKSKASVLSSAVTSIGNSFFFLGSRLGDSLLVQFSYCASKSVLQDLTNERSADIEGDLPFSKRLKRIPSDVLQDVTSVEELSFQNIIAPNSLESAQKISYIVRDALINVGPLKDFSYGLRANADPNAMGNAKQSNYELVCCSGHGKNGSLSVLQQSIRPDLITEVELPSCRGIWTVYYKSYRGQMAEDNEYHAYLIISLENRTMVLETGDDLGEVTETVDYFVQASTIAAGNLFGRRRVYGKGARVLDGSFMTQELNFTTHASESSSSEALGVACASIADPYVLLKMVDGSVQLLIGDYCTCTLSVNAPSIFISSSERIAACTLYRDRGPEPWLRKTRSDAWLSTGIAEAIDGNGTSSHDQSDIYCIICYESGKLEIFEVPSFRCVFSVENFISGEALLVDKFSQLIYEDSTKERYDCTKASLKKEAGDSIRIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAFSYEASESNVKRVPLSPQGSADHHNASDSRLRNLRFHRVSIDITSREDIPTLGRPRITTFNNVGGYEGLFLSGTRPAWVMVCRQRLRVHPQLCDGPIEAFTVLHNVNCSHGFIYVTSQGFLKICQLPSAYNYDSYWPVQKVVRPLNQVLSSMADQESVHHMDNDVTSTDALHKTYTVDEFEVRILELEKPGGHWETKSTIPMQLFENALTVRIVTLHNTTTKENETLLAIGTAYVLGEDVAARGRVLLFSFTKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGAELTAVAFYDAPLHVVSLNIASFFLETSEPAAPSPGPPDPLNLSAMVLFEQQQQQNSQQAVKNFVLFGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDCFATEFLIDGSTLSLVASDSDKNVQIFYYAPKMVESWKGQKLLSRAEFHVGAHITKFLRLQMLPTQGLSSEKTNRFALLFGNLDGGIGCIAPIDELTFRRLQSLQRKLVDAVPHVCGLNPRSFRQFHSNGKGHRPGPDNIIDFELLAHYEMLSLDEQLDVAQQIGTTRSQILSNFSDISLGTSFL >ORUFI04G06160.2 pep chromosome:OR_W1943:4:7896528:7923255:-1 gene:ORUFI04G06160 transcript:ORUFI04G06160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAAYKMMHWPTGVDHCAAGFVTHSPSDAAAFFTAATVGPGPEGDIDSAAAASRPRRLGPSPNLVVAAANVLEVYAVRAETAAEDGGGGTQPSSSSGAVLDGISGARLELVCYYRLHGNIESMTVLSDGAENRRATIALAFKDAKITCLEFDDAIHGLRTSSMHCFEGPEWQHLKRGRESFAWGPVIKADPLGRCGAALAYGLQMIILKAAQVGHSLVGEDEPTCALSSTAVCIESSYLIDLRALDMNHVKDFAFVHGYIEPVLVILHEQEPTWAGRILSKHHTCMISAFSISMTLKQHPVIWSAANLPHDAYQLLAVPPPISGVLVICANSIHYHSQSTSCSLDLNNFSSHPDGSPEISKSNFQVELDAAKATWLSNDIVMFSTKAGEMLLLTVVYDGRVVQRLDLMKSKASVLSSAVTSIGNSFFFLGSRLGDSLLVQFSYCASKSVLQDLTNERSADIEGDLPFSKRLKRIPSDVLQDVTSVEELSFQNIIAPNSLESAQKISYIVRDALINVGPLKDFSYGLRANADPNAMGNAKQSNYELVCCSGHGKNGSLSVLQQSIRPDLITEVELPSCRGIWTVYYKSYRGQMAEDNEYHAYLIISLENRTMVLETGDDLGEVTETVDYFVQASTIAAGNLFGRRRVIQLIYEDSTKERYDCTKASLKKEAGDSIRIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAFSYEASESNVKRVPLSPQGSADHHNASDSRLRNLRFHRVSIDITSREDIPTLGRPRITTFNNVGGYEGLFLSGTRPAWVMVCRQRLRVHPQLCDGPIEAFTVLHNVNCSHGFIYVTSQGFLKICQLPSAYNYDSYWPVQKVVRPLNQVLSSMADQESVHHMDNDVTSTDALHKTYTVDEFEVRILELEKPGGHWETKSTIPMQLFENALTVRIVTLHNTTTKENETLLAIGTAYVLGEDVAARGRVLLFSFTKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGAELTAVAFYDAPLHVVSLNIASFFLETSEPAAPSPGPPDPLNLSAMVLFEQQQQQNSQQAVKNFVLFGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDCFATEFLIDGSTLSLVASDSDKNVQIFYYAPKMVESWKGQKLLSRAEFHVGAHITKFLRLQMLPTQGLSSEKTNRFALLFGNLDGGIGCIAPIDELTFRRLQSLQRKLVDAVPHVCGLNPRSFRQFHSNGKGHRPGPDNIIDFELLAHYEMLSLDEQLDVAQQIGTTRSQILSNFSDISLGTSFL >ORUFI04G06160.3 pep chromosome:OR_W1943:4:7896528:7923255:-1 gene:ORUFI04G06160 transcript:ORUFI04G06160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAAYKMMHWPTGVDHCAAGFVTHSPSDAAAFFTAATVGPGPEGDIDSAAAASRPRRLGPSPNLVVAAANVLEVYAVRAETAAEDGGGGTQPSSSSGAVLDGISGARLELVCYYRLHGNIESMTVLSDGAENRRATIALAFKDAKITCLEFDDAIHGLRTSSMHCFEGPEWQHLKRGRESFAWGPVIKADPLGRCGAALAYGLQMIILKAAQVILSLCWSYFMSKSLHGLGNLPHDAYQLLAVPPPISGVLVICANSIHYHSQSTSCSLDLNNFSSHPDGSPEISKSNFQVELDAAKATWLSNDIVMFSTKAGEMLLLTVVYDGRVVQRLDLMKSKASVLSSAVTSIGNSFFFLGSRLGDSLLVQFSYCASKSVLQDLTNERSADIEGDLPFSKRLKRIPSDVLQDVTSVEELSFQNIIAPNSLESAQKISYIVRDALINVGPLKDFSYGLRANADPNAMGNAKQSNYELVCCSGHGKNGSLSVLQQSIRPDLITEVELPSCRGIWTVYYKSYRGQMAEDNEYHAYLIISLENRTMVLETGDDLGEVTETVDYFVQASTIAAGNLFGRRRVYGKGARVLDGSFMTQELNFTTHASESSSSEALGVACASIADPYVLLKMVDGSVQLLIGDYCTCTLSVNAPSIFISSSERIAACTLYRDRGPEPWLRKTRSDAWLSTGIAEAIDGNGTSSHDQSDIYCIICYESGKLEIFEVPSFRCVFSVENFISGEALLVDKFSQLIYEDSTKERYDCTKASLKKEAGDSIRIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAFSYEASESNVKRVPLSPQGSADHHNASDSRLRNLRFHRVSIDITSREDIPTLGRPRITTFNNVGGYEGLFLSGTRPAWVMVCRQRLRVHPQLCDGPIEAFTVLHNVNCSHGFIYVTSQGFLKICQLPSAYNYDSYWPVQKVVRPLNQVLSSMADQESVHHMDNDVTSTDALHKTYTVDEFEVRILELEKPGGHWETKSTIPMQLFENALTVRIVTLHNTTTKENETLLAIGTAYVLGEDVAARGRVLLFSFTKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGAELTAVAFYDAPLHVVSLNIASFFLETSEPAAPSPGPPDPLNLSAMVLFEQQQQQNSQQAVKNFVLFGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDCFATEFLIDGSTLSLVASDSDKNVQIFYYAPKMVESWKGQKLLSRAEFHVGAHITKFLRLQMLPTQGLSSEKTNRFALLFGNLDGGIGCIAPIDELTFRRLQSLQRKLVDAVPHVCGLNPRSFRQFHSNGKGHRPGPDNIIDFELLAHYEMLSLDEQLDVAQQIGTTRSQILSNFSDISLGTSFL >ORUFI04G06160.4 pep chromosome:OR_W1943:4:7896528:7923255:-1 gene:ORUFI04G06160 transcript:ORUFI04G06160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAAYKMMHWPTGVDHCAAGFVTHSPSDAAAFFTAATVGPGPEGDIDSAAAASRPRRLGPSPNLVVAAANVLEVYAVRAETAAEDGGGGTQPSSSSGAVLDGISGARLELVCYYRLHGNIESMTVLSDGAENRRATIALAFKDAKITCLEFDDAIHGLRTSSMHCFEGPEWQHLKRGRESFAWGPVIKADPLGRCGAALAYGLQMIILKAAQVGHSLVGEDEPTCALSSTAVCIESSYLIDLRALDMNHVKDFAFVHGYIEPVLVILHEQEPTWAGRILSKHHTCMISAFSISMTLKQHPVIWSAANLPHDAYQLLAVPPPISGVLVICANSIHYHSQSTSCSLDLNNFSSHPDGSPEISKSNFQVELDAAKATWLSNDIVMFSTKAGEMLLLTVVYDGRVVQRLDLMKSKASVLSSAVTSIGNSFFFLGSRLGDSLLVQFSYCASKSVLQDLTNERSADIEGDLPFSKRLKRIPSDVLQDVTSVEELSFQNIIAPNSLESAQKISYIVRDALINVGPLKDFSYGLRANADPNAMGNAKQSNYELVCCSGHGKNGSLSVLQQSIRPDLITEVELPSCRGIWTVYYKSYRGQMAEDNEYHAYLIISLENRTMVLETGDDLGEVTETVDYFVQASTIAAGNLFGRRRVYGKGARVLDGSFMTQELNFTTHASESSSSEALGVACASIADPYVLLKMVDGSVQLLIGDYCTCTLSVNAPSIFISSSERIAACTLYRDRGPEPWLRKTRSDAWLSTGIAEAIDGNGTSSHDQSDIYCIICYESGKLEIFEVPSFRCVFSVENFISGEALLVDKFSQLIYEDSTKERYDCTKASLKKEAGDSIRIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAFSYEASESNVKRVPLSPQGSADHHNASDSRLRNLRFHRVSIDITSREDIPTLGRPRITTFNNVGGYEGLFLSGTRPAWVMVCRQRLRVHPQLCDGPIEAFTVLHNVNCSHGFIYVTSQGFLKICQLPSAYNYDSYWPVQKVVRPLNQVLSSMADQESVHHMDNDVTSTDALHKTYTVDEFEVRILELEKPGGHWETKSTIPMQLFENALTVRIVTLHNTTTKENETLLAIGTAYVLGEDVAARGRVLLFSFTKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGAELTAVAFYDAPLHVVSLNIVKNFVLFGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDCFATEFLIDGSTLSLVASDSDKNVQIFYYAPKMVESWKGQKLLSRAEFHVGAHITKFLRLQMLPTQGLSSEKTNRFALLFGNLDGGIGCIAPIDELTFRRLQSLQRKLVDAVPHVCGLNPRSFRQFHSNGKGHRPGPDNIIDFELLAHYEMLSLDEQLDVAQQIGTTRSQILSNFSDISLGTSFL >ORUFI04G06160.5 pep chromosome:OR_W1943:4:7896528:7923255:-1 gene:ORUFI04G06160 transcript:ORUFI04G06160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAAYKMMHWPTGVDHCAAGFVTHSPSDAAAFFTAATVGPGPEGDIDSAAAASRPRRLGPSPNLVVAAANVLEVYAVRAETAAEDGGGGTQPSSSSGAVLDGISGARLELVCYYRLHGNIESMTVLSDGAENRRATIALAFKDAKITCLEFDDAIHGLRTSSMHCFEGPEWQHLKRGRESFAWGPVIKADPLGRCGAALAYGLQMIILKAAQVGHSLVGEDEPTCALSSTAVCIESSYLIDLRALDMNHVKDFAFVHGYIEPVLVILHEQEPTWAGRILSKHHTCMISAFSISMTLKQHPVIWSAANLPHDAYQLLAVPPPISGVLVICANSIHYHSQSTSCSLDLNNFSSHPDGSPEISKSNFQVELDAAKATWLSNDIVMFSTKAGEMLLLTVVYDGRVVQRLDLMKSKASVLSSAVTSIGNSFFFLGSRLGDSLLVQFSYCASKSVLQDLTNERSADIEGDLPFSKRLKRIPSDVLQDVTSVEELSFQNIIAPNSLESAQKISYIVRDALINVGPLKDFSYGLRANADPNAMGNAKQSNYELVCCSGHGKNGSLSVLQQSIRPDLITEVELPSCRGIWTVYYKSYRGQMAEDNEYHAYLIISLENRTMVLETGDDLGEVTETVDYFVQASTIAAGNLFGRRRVIQLIYEDSTKERYDCTKASLKKEAGDSIRIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAFSYEASESNVKRVPLSPQGSADHHNASDSRLRNLRFHRVSIDITSREDIPTLGRPRITTFNNVGGYEGLFLSGTRPAWVMVCRQRLRVHPQLCDGPIEAFTVLHNVNCSHGFIYVTSQGFLKICQLPSAYNYDSYWPVQKVVRPLNQVLSSMADQESVHHMDNDVTSTDALHKTYTVDEFEVRILELEKPGGHWETKSTIPMQLFENALTVRIVTLHNTTTKENETLLAIGTAYVLGEDVAARGRVLLFSFTKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGAELTAVAFYDAPLHVVSLNIVKNFVLFGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDCFATEFLIDGSTLSLVASDSDKNVQIFYYAPKMVESWKGQKLLSRAEFHVGAHITKFLRLQMLPTQGLSSEKTNRFALLFGNLDGGIGCIAPIDELTFRRLQSLQRKLVDAVPHVCGLNPRSFRQFHSNGKGHRPGPDNIIDFELLAHYEMLSLDEQLDVAQQIGTTRSQILSNFSDISLGTSFL >ORUFI04G06170.1 pep chromosome:OR_W1943:4:7942988:7960734:-1 gene:ORUFI04G06170 transcript:ORUFI04G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVLGYLFVIPATSIDAWAPGDSSLLAPPAIDPSKTHRPSPNGSIGRPPRTLPVIPLEEEASYHLPSPSLAVVDFLPTFPSPSLALPLRSPESSAPPESPPLLPNRWRGRGALTPNACCDISGCDKAVGASGDLIFRKWNPLLHPNRLRVFRVGGAAASPKPTACCDVTGGLEAVGVLRAAMSMDSVISSRSGADYRSFRQITRDRLLFEMLRSTKKSSKSAWKVLIMDKLTVKIMSFSCKMADVMEEGLSDKWVQPAFLQSHKDSSTGIGCHFESQYHYGLPCQIEGRNEKGKAKASSDSVVEDLYMRRQPLPLMDAIYFIQPTKENIRIFMSDMSGKIPLYKNSPVQRELVAQIKKDSNVRARIGALSEMNLEYFAIDSQGFTTDHDKALEELFSENAEGSLKYNSCLNMMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLAPTKLAAGVWNCLARFKAMIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQQVPSKSGSGTENKEVLLEDHDPIWLELRHASERLHEKMTNFVSKNKAAQLHQARNIYSGQQMITKVNSGNGGDLSTKELQKMVQALPQYSDQIDKLALHVEIAGKLNSTIKEQQLKDVGQLEQDLVFGDAGTKELINFFRTHLDISRENKLRLLMVYAAINPDKTRSDKGAKLMQLAGLSADDMIAVSNMRCLCGHDSKKSSAGGFTLKFDLRKKRHGIRKERIGEESKWMLSRFYPILEELIEKLSKGELPKDEYHYLNDPSPSFRGIPSASTQTSPAHQPAQSMRSRRTGGTWARPRDSDDGYSSDSVLKHTSSNSRKLGQRLFVFVIGGATRSELCAAHKLSSKLKREIILGSSSLDDPPQFITESMEKTSEEELVVPDSMDAAGEEVLVVTNSMDDEVREEVHVTVDYIDDEASEEGHVVVVAGEVAGEEVHVVADSMDVAAGKEVHGVADPMDDEAGEEVLVVADSMDEDEECPGTGDTNIEKRFYLFYFAKEECVAAGAGDNNDEEIEEQDLHKQKVHC >ORUFI04G06170.2 pep chromosome:OR_W1943:4:7942988:7960734:-1 gene:ORUFI04G06170 transcript:ORUFI04G06170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVLGYLFVIPATSIDAWAPGDSSLLAPPAIDPSKTHRPSPNGSIGRPPRTLPVIPLEEEASYHLPSPSLAVVDFLPTFPSPSLALPLRSPESSAPPESPPLLPNRWRGRGALTPNACCDISGCDKAVGASGDLIFRKWNPLLHPNRLRVFRVGGAAASPKPTACCDVTGGLEAVGVLRAAMSMDSVISSRSGADYRSFRQITRDRSYHGQTYGEDYVIFLQDGRCDGRSYLTNGSNLHSCNHTKIQALVLVVTSNPRSAGMYHYGLPCQIEGRNEKGKAKASSDSVVEDLYMRRQPLPLMDAIYFIQPTKENIRIFMSDMSGKIPLYKNSPVQRELVAQIKKDSNVRARIGALSEMNLEYFAIDSQGFTTDHDKALEELFSENAEGSLKYNSCLNMMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLAPTKLAAGVWNCLARFKAMIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQQVPSKSGSGTENKEVLLEDHDPIWLELRHASERLHEKMTNFVSKNKAAQLHQARNIYSGQQMITKVNSGNGGDLSTKELQKMVQALPQYSDQIDKLALHVEIAGKLNSTIKEQQLKDVGQLEQDLVFGDAGTKELINFFRTHLDISRENKLRLLMVYAAINPDKTRSDKGAKLMQLAGLSADDMIAVSNMRCLCGHDSKKSSAGGFTLKFDLRKKRHGIRKERIGEESKWMLSRFYPILEELIEKLSKGELPKDEYHYLNDPSPSFRGIPSASTQTSPAHQPAQSMRSRRTGGTWARPRDSDDGYSSDSVLKHTSSNSRKLGQRLFVFVIGGATRSELCAAHKLSSKLKREIILGSSSLDDPPQFITESMEKTSEEELVVPDSMDAAGEEVLVVTNSMDDEVREEVHVTVDYIDDEASEEGHVVVVAGEVAGEEVHVVADSMDVAAGKEVHGVADPMDDEAGEEVLVVADSMDEDEECPGTGDTNIEKRFYLFYFAKEECVAAGAGDNNDEEIEEQDLHKQKVHC >ORUFI04G06170.3 pep chromosome:OR_W1943:4:7942988:7960734:-1 gene:ORUFI04G06170 transcript:ORUFI04G06170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVLGYLFVIPATSIDAWAPGDSSLLAPPAIDPSKTHRPSPNGSIGRPPRTLPVIPLEEEASYHLPSPSLAVVDFLPTFPSPSLALPLRSPESSAPPESPPLLPNRWRGRGALTPNACCDISGCDKAVGASGDLIFRKWNPLLHPNRLRVFRVGGAAASPKPTACCDVTGGLEAVGVLRAAMSMDSVISSRSGADYRSFRQITRDRSYHGQTYGEDYVIFLQDGRCDGRSYLTNGSNLHSCNHTKIQALVLVVTSNPRSAGMYHYGLPCQIEGRNEKGKAKASSDSVVEDLYMRRQPLPLMDAIYFIQPTKENIRIFMSDMSGKIPLYKNSPVQRELVAQIKKDSNVRARIGALSEMNLEYFAIDSQGFTTDHDKALEELFSENAEGSLKYNSCLNMMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLAPTKLAAGVWNCLARFKAMIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQQVPSKSGSGTENKEVLLEDHDPIWLELRHASERLHEKMTNFVSKNKAAQLHQARNIYSGQQMITKVNSGNGGDLSTKELQKMVQALPQYSDQIDKLALHVEIAGKLNSTIKEQQLKDVGQLEQDLVFGDAGTKELINFFRTHLDISRENKLRLLMVYAAINPDKTRSDKGAKLMQLAGLSADDMIAVSNMRCLCGHDSKKSSAGGFTLKFDLRKKRHGIRKERIGEESKWMLSRFYPILEELIEKLSKGELPKDEYHYLNDPSPSFRGIPSASTQTSPAHQPAQSMRSRRTGGTWARPRDSDDGYSSDSVLKHTSSNSRKLGQRLFVFVIGGATRSELCAAHKLSSKLKREIILGSSSLDDPPQFITESMEKTSEEELVVPDSMDAAGEEVLVVTNSMDDEVREEVHVTVDYIDDEASEEGHVVVVAGEVAGEEVHVVADSMDVAAGKEVHGVADPMDDEAGEEVLVVADSMDEDEECPGTGDTNIEECVAAGAGDNNDEEIEEQDLHKQKVHC >ORUFI04G06170.4 pep chromosome:OR_W1943:4:7942988:7960734:-1 gene:ORUFI04G06170 transcript:ORUFI04G06170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVLGYLFVIPATSIDAWAPGDSSLLAPPAIDPSKTHRPSPNGSIGRPPRTLPVIPLEEEASYHLPSPSLAVVDFLPTFPSPSLALPLRSPESSAPPESPPLLPNRWRGRGALTPNACCDISGCDKAVGASGDLIFRKWNPLLHPNRLRVFRVGGAAASPKPTACCDVTGGLEAVGVLRAAMSMDSVISSRSGADYRSFRQITRDRSYHGQTYGEDYVIFLQDGRCDGRSYLTNGSNLHSCNHTKIQALVLVVTSNPRSAGMYHYGLPCQIEGRNEKGKAKASSDSVVEDLYMRRQPLPLMDAIYFIQPTKENIRIFMSDMSGKIPLYKNSPVQRELVAQIKKDSNVRARIGALSEMNLEYFAIDSQGFTTDHDKALEELFSENAEGSLKYNSCLNMMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLAPTKLAAGVWNCLARFKAMIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQQVPSKSGSGTENKEVLLEDHDPIWLELRHASERLHEKMTNFVSKNKAAQLHQARNGGDLSTKELQKMVQALPQYSDQIDKLALHVEIAGKLNSTIKEQQLKDVGQLEQDLVFGDAGTKELINFFRTHLDISRENKLRLLMVYAAINPDKTRSDKGAKLMQLAGLSADDMIAVSNMRCLCGHDSKKSSAGGFTLKFDLRKKRHGIRKERIGEESKWMLSRFYPILEELIEKLSKGELPKDEYHYLNDPSPSFRGIPSASTQTSPAHQPAQSMRSRRTGGTWARPRDSDDGYSSDSVLKHTSSNSRKLGQRLFVFVIGGATRSELCAAHKLSSKLKREIILGSSSLDDPPQFITESMEKTSEEELVVPDSMDAAGEEVLVVTNSMDDEVREEVHVTVDYIDDEASEEGHVVVVAGEVAGEEVHVVADSMDVAAGKEVHGVADPMDDEAGEEVLVVADSMDEDEECPGTGDTNIEKRFYLFYFAKEECVAAGAGDNNDEEIEEQDLHKQKVHC >ORUFI04G06170.5 pep chromosome:OR_W1943:4:7942988:7960734:-1 gene:ORUFI04G06170 transcript:ORUFI04G06170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVLGYLFVIPATSIDAWAPGDSSLLAPPAIDPSKTHRPSPNGSIGRPPRTLPVIPLEEEASYHLPSPSLAVVDFLPTFPSPSLALPLRSPESSAPPESPPLLPNRWRGRGALTPNACCDISGCDKAVGASGDLIFRKWNPLLHPNRLRVFRVGGAAASPKPTACCDVTGGLEAVGVLRAAMSMDSVISSRSGADYRSFRQITRDRLLFEMLRSTKKSSKSAWKVLIMDKLTVKIMSFSCKMADVMEEVVEDLYMRRQPLPLMDAIYFIQPTKENIRIFMSDMSGKIPLYKNSPVQRELVAQIKKDSNVRARIGALSEMNLEYFAIDSQGFTTDHDKALEELFSENAEGSLKYNSCLNMMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLAPTKLAAGVWNCLARFKAMIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQQVPSKSGSGTENKEVLLEDHDPIWLELRHASERLHEKMTNFVSKNKAAQLHQARNGGDLSTKELQKMVQALPQYSDQIDKLALHVEIAGKLNSTIKEQQLKDVGQLEQDLVFGDAGTKELINFFRTHLDISRENKLRLLMVYAAINPDKTRSDKGAKLMQLAGLSADDMIAVSNMRCLCGHDSKKSSAGGFTLKFDLRKKRHGIRKERIGEESKWMLSRFYPILEELIEKLSKGELPKDEYHYLNDPSPSFRGIPSASTQTSPAHQPAQSMRSRRTGGTWARPRDSDDGYSSDSVLKHTSSNSRKLGQRLFVFVIGGATRSELCAAHKLSSKLKREIILGSSSLDDPPQFITESMEKTSEEELVVPDSMDAAGEEVLVVTNSMDDEVREEVHVTVDYIDDEASEEGHVVVVAGEVAGEEVHVVADSMDVAAGKEVHGVADPMDDEAGEEVLVVADSMDEDEECPGTGDTNIEKRFYLFYFAKEECVAAGAGDNNDEEIEEQDLHKQKVHC >ORUFI04G06170.6 pep chromosome:OR_W1943:4:7942988:7960734:-1 gene:ORUFI04G06170 transcript:ORUFI04G06170.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVLGYLFVIPATSIDAWAPGDSSLLAPPAIDPSKTHRPSPNGSIGRPPRTLPVIPLEEEASYHLPSPSLAVVDFLPTFPSPSLALPLRSPESSAPPESPPLLPNRWRGRGALTPNACCDISGCDKAVGASGDLIFRKWNPLLHPNRLRVFRVGGAAASPKPTACCDVTGGLEAVGVLRAAMSMDSVISSRSGADYRSFRQITRDRLLFEMLRSTKKSSKSAWKVLIMDKLTVKIMSFSCKMADVMEEVVEDLYMRRQPLPLMDAIYFIQPTKENIRIFMSDMSGKIPLYKNSPVQRELVAQIKKDSNVRARIGALSEMNLEYFAIDSQGFTTDHDKALEELFSENAEGSLKYNSCLNMMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLAPTKLAAGVWNCLARFKAMIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQQVPSKSGSGTENKEVLLEDHDPIWLELRHASERLHEKMTNFVSKNKAAQLHQARNGGDLSTKELQKMVQALPQYSDQIDKLALHVEIAGKLNSTIKEQQLKDVGQLEQDLVFGDAGTKELINFFRTHLDISRENKLRLLMVYAAINPDKTRSDKGAKLMQLAGLSADDMIAVSNMRCLCGHDSKKSSAGGFTLKFDLRKKRHGIRKERIGEESKWMLSRFYPILEELIEKLSKGELPKDEYHYLNDPSPSFRGIPSASTQTSPAHQPAQSMRSRRTGGTWARPRDSDDGYSSDSVLKHTSSNSRKLGQRLFVFVIGGATRSELCAAHKLSSKLKREIILGSSSLDDPPQFITESMEKTSEEELVVPDSMDAAGEEVLVVTNSMDDEVREEVHVTVDYIDDEASEEGHVVVVAGEVAGEEVHVVADSMDVAAGKEVHGVADPMDDEAGEEVLVVADSMDEDEECPGTGDTNIEECVAAGAGDNNDEEIEEQDLHKQKVHC >ORUFI04G06170.7 pep chromosome:OR_W1943:4:7942988:7960734:-1 gene:ORUFI04G06170 transcript:ORUFI04G06170.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVLGYLFVIPATSIDAWAPGDSSLLAPPAIDPSKTHRPSPNGSIGRPPRTLPVIPLEEEASYHLPSPSLAVVDFLPTFPSPSLALPLRSPESSAPPESPPLLPNRWRGRGALTPNACCDISGCDKAVGASGDLIFRKWNPLLHPNRLRVFRVGGAAASPKPTACCDVTGGLEAVGVLRAAMSMDSVISSRSGADYRSFRQITRDLVEDLYMRRQPLPLMDAIYFIQPTKENIRIFMSDMSGKIPLYKNSPVQRELVAQIKKDSNVRARIGALSEMNLEYFAIDSQGFTTDHDKALEELFSENAEGSLKYNSCLNMMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLAPTKLAAGVWNCLARFKAMIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQQVPSKSGSGTENKEVLLEDHDPIWLELRHASERLHEKMTNFVSKNKAAQLHQARNIYSGQQMITKVNSGNGGDLSTKELQKMVQALPQYSDQIDKLALHVEIAGKLNSTIKEQQLKDVGQLEQDLVFGDAGTKELINFFRTHLDISRENKLRLLMVYAAINPDKTRSDKGAKLMQLAGLSADDMIAVSNMRCLCGHDSKKSSAGGFTLKFDLRKKRHGIRKERIGEESKWMLSRFYPILEELIEKLSKGELPKDEYHYLNDPSPSFRGIPSASTQTSPAHQPAQSMRSRRTGGTWARPRDSDDGYSSDSVLKHTSSNSRKLGQRLFVFVIGGATRSELCAAHKLSSKLKREIILGSSSLDDPPQFITESMEKTSEEELVVPDSMDAAGEEVLVVTNSMDDEVREEVHVTVDYIDDEASEEGHVVVVAGEVAGEEVHVVADSMDVAAGKEVHGVADPMDDEAGEEVLVVADSMDEDEECPGTGDTNIEKRFYLFYFAKEECVAAGAGDNNDEEIEEQDLHKQKVHC >ORUFI04G06180.1 pep chromosome:OR_W1943:4:7975017:7975451:-1 gene:ORUFI04G06180 transcript:ORUFI04G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIEPGKVQTPCHNNSQKGPNLISGDLATEGPRVAGIGGAKWPRRPGDGRTSCGGEAEDKRWGSWRASRRRGSVADRRCRGRVAGGKGVRWRGDLPEGGRGDLVRRHDAKEARRDGEVERVARRPDAEEAQCGRGCGGRGGHAR >ORUFI04G06190.1 pep chromosome:OR_W1943:4:7990594:7991827:1 gene:ORUFI04G06190 transcript:ORUFI04G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMAKPASRAAKPASAPKKQKAKPSAAAGGSSHPPYFEMIKEAITVLKERTGSSAHAIAKYMEEKHGASLPANYKKMLSIQLRGFASKGKLVKVKASYKLSDAAKDSPKAKPAAPAPKAAAPKPAKDAAKPKKDAAAAKPKKAPAAGTKRKAPEKKVVAKPKKSPAAKAKAKPKTVRSPAAKKTRKAPAA >ORUFI04G06200.1 pep chromosome:OR_W1943:4:8015848:8016240:1 gene:ORUFI04G06200 transcript:ORUFI04G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQLPPGNGDFSIPILSGAAAEMEAVATPQLSGQNPVTNPTDPAISTAAGGADIAAAAPVEGRNQPELSKEKWLNFFVRIVASLERVGNALGTVASLWCTVMVLSKSSTKLVKEDSLFTIALLLLEAFR >ORUFI04G06210.1 pep chromosome:OR_W1943:4:8029098:8033392:1 gene:ORUFI04G06210 transcript:ORUFI04G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGNPCSDSVPAGNYSPWRPSGDSELAAKQQAVVAVRSIGGCDPFGKVAFANGLLLALSVIFLNRRPPIIVDLVNRPSGRWLLGCGKMLAIVLPAFVSIYGVDCINQQPVLLSVSSGTSSVTVLVLSLGSLQSPVNSCFARLFDVAFILLFSVFFLVKFSNFASGPIISTGTAVGLVLLFIGNLQIPAAVARIVLPSLRLFNPVKTDYQHPDDANLVESLVVFYALMISQGGIYLLACICGLFSFVPRRGLVHLCSFKGKWGKKCVDLYYDHAYDKCLEGSVIKPEEMDLVSFAIESLNSSSREKRLAGLRILHGFLRYREGMPDSGSSESEVASKVIASEKAVPRLIGMLGWTIKADEETRLFASKVVAVLAGRIRVAGIPGAVQLISSLLDAQDQPIMQAAIALERARERDGSCWAHWFSIPEEEPSANQDLLPEIGMKILGRLTYDIHNCAEISKATDLISKIIGYTNLTAHQDQGILLAQSLNLVKILASTGGKAGIDIRRNISDNPLLVVNLADVLDDTGSPELRRPAIEIIAKLCMDEGKRQEIGSIHMIIPTLMDAFLNKHESTGMVAGEALAMLAKENAENCASILGQQGNKNIKGIAKMLEDDEYIYVSATLLNNMCASNGDGLRRRPDSSELAKALLPAVLTKLMAAKEKHLEVLVGLASQICNALPAVCAPLMLGSDPNLLTPALVQMLVDTLNAREKPIPECPRMRRAIVELAISIVEMCPPKAAIFREKGMVEALSKVERTPSRVENYWLCDEGLVLERGLPMPDLVAKAKRLIDSASAVLQEMSVANVHE >ORUFI04G06220.1 pep chromosome:OR_W1943:4:8035639:8037706:-1 gene:ORUFI04G06220 transcript:ORUFI04G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLIASSSSSAAVYCFVVLLINAMAMAPATATETAAAAAVDKCERDLDLLMGSCEEYLRFPAEAKAAPSRACCGAVRRVDVGCLCGMVTPEVEQYVCMDKAVYVITFPVRSCKINKDAFIAADDRRSSMKMLFGSPSISNFIIQLIFPFDY >ORUFI04G06230.1 pep chromosome:OR_W1943:4:8049376:8051345:1 gene:ORUFI04G06230 transcript:ORUFI04G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPHVSTSIFHLLSPLFPSQSKTPGGQRPKERIGGGWCSAGEACSGWQWHSLAAAVLAGKDNDAEPIKDEEAEAAFAHKDATWIQHRIFHSRTPSSRGAWDAVAGTGDGGYGGLLRCGDKDSGWDVALHRKTEHAAIIVVRAANEEGSQTLRRWMPTLMLTLAAERAYPWPVVVAVREKADRGKTMTNGSHTVFSPCVAT >ORUFI04G06240.1 pep chromosome:OR_W1943:4:8084477:8101464:1 gene:ORUFI04G06240 transcript:ORUFI04G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLYIKLSVCVPRLIPGRGFYAQISSEITSEFPGVTSKKRKVGRQRKNRMPSALEKGKGKATRQIKCPECGVFGHWKGSARCELTGTKRGRGTRKQQQRWEGRNQRVLVMNK >ORUFI04G06250.1 pep chromosome:OR_W1943:4:8105083:8108691:1 gene:ORUFI04G06250 transcript:ORUFI04G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLIASTGGAHRLAWKDAAALGPAPRLARPWPAAVAAPAPLLRISRGKFALQAITLDDYLPMRSTEVKNRTSTADITSLRVITAVKTPYLPDGRFDLEAYDSLINMQIDGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGAKVKVVGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSIEGLISHFEAVLPMGPTIIYNVPSRTGQDIPPAVIEAVSSFTNLAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLIPGLMHDLMYEGENKTLNEKLFPLMKWLFCQPNPIALNTALAQLGVVRPVFRLPYVPLPLEKRVEFVRIVESIGRENFVGENEARVLDDDDFVLVSRY >ORUFI04G06260.1 pep chromosome:OR_W1943:4:8110662:8111141:-1 gene:ORUFI04G06260 transcript:ORUFI04G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVLLVATHSAVAVYNLVQRARCAAAVFMPAALRRGSGVAEGQRRGSAPPAHLSRRPRLPLSYSHAAALRRGGGVAVLLRLFFLANLHSRRRWRRRASCGMLYTPAALWVAMRSTRADVDGVQEMRRQGWRDEEEGETDEWSPCHFSPWVATLAYDT >ORUFI04G06270.1 pep chromosome:OR_W1943:4:8164663:8164959:1 gene:ORUFI04G06270 transcript:ORUFI04G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRAVELAGACSSASKRSGDDGGAVAEGVYYSSWESAVVVTVAGRRRLVGPGSSPRDMPVPVPVRWLPPKLDLPGCVAIAALSCYLAGARAVEGERC >ORUFI04G06280.1 pep chromosome:OR_W1943:4:8166084:8166689:-1 gene:ORUFI04G06280 transcript:ORUFI04G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHDNLVSEPVTMNSDAEQVLHVTASNLLYPVTKDLLHRVFYAYGAKKICLYQMETRVEASVQFQSREDAEYARKTFHGHNIYHGCCQMDFQRELPSPVATNSSSAPAKRLSPIIMELKADIEELRVVPKELVTIIQEELVEEKEQSRTKQEVVVEEMHAEQEVAGGRVMSSITAPLTQSVLLKEIVPHCLPYPRQPHQ >ORUFI04G06290.1 pep chromosome:OR_W1943:4:8171289:8171477:1 gene:ORUFI04G06290 transcript:ORUFI04G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYELPPPARGGSALFARINTAAFDHVALASLVWELVMLVGPKPGDVGPTGRKPFYTPKASS >ORUFI04G06300.1 pep chromosome:OR_W1943:4:8171958:8178000:1 gene:ORUFI04G06300 transcript:ORUFI04G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVLEEWIKQRESWKPFWVRGINMVGYSINGLHPVLFSSQADGDDDGIRAGVAGPDAGPHRRLSCLCHIAVAPFPAPALEMWQQLGRLINGEHNALLLAIPLDGIGSFPPHPPPDPQEQEAAAPPPPPAEPAVPPRHRGHLHLFKKPLHRALSGLAATHGPVLLLHFGSRAVLHVTDPAVAEECLTDHDVTFANRPRLPSSCHLSNGYTTLGSSSYGPNWRNLRRIATVEVFSAHRLLRSADVRGGEVPHMARWLYLAAPAAGPSEPARADVKARAFELVLNVVARMVAGKQYYGGEGDAEAETEEAARFREMVREYFAMHGASNLQDFVLLLGLVDIGGAKRRAVKLSRERNTWAQRLIDEHRATATAAAATEARTMVGDLLKMQASEPEAYSDKVITALCLTGTDTSSSTIEWGMALLLNHPAAMAKARAEIDRFVGTGRVVEEADLPNLPYLQCIIRENLRLYPVGPLLAPHESSADCSVSVAGGGRYAVPAGTMLLVNVHAMHRDARFWGPDPESFSPERFEGGRSEGKWMLPFGMGRRRCPGEGLAVKVVGLALATLVQCFEWRRVGDEEVDMTEGSGLTMPKAVPLEALYWPRPEMVPALSGIFFIYNFFY >ORUFI04G06310.1 pep chromosome:OR_W1943:4:8224352:8224675:-1 gene:ORUFI04G06310 transcript:ORUFI04G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATRVVEAERDGHGEVSDGGDGWLWRRRGCPEMPVEAEAEETRGDERARGDAAGDRIGGGGRCRLRRRARRRKGEAHGGEGAREHEEIAALMVADAERARGEEMRR >ORUFI04G06320.1 pep chromosome:OR_W1943:4:8231219:8232476:1 gene:ORUFI04G06320 transcript:ORUFI04G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPLALCLVLLTSEVRRDALLAGDPMVLNNGDFNARFVRPDNLDNRRNCPFRRKIITYQALVPCFPFKSLPSEVCTSVAENVFLPLIISWNSPLLKQPIEYHWWLGFKPCLHVQIPRRVWYMAFGSSKDQVVFVRPAKRRRVDAEQTEVVKRPRAKLLHGTDNLTMSPSCSAQGEKSDLNQESPKKRSGVQPEPPTAHYDAMKNSIQPASPNSVENVHVNVSDVLPEDVSKVIVLDSDNE >ORUFI04G06330.1 pep chromosome:OR_W1943:4:8283561:8283947:-1 gene:ORUFI04G06330 transcript:ORUFI04G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKKEAASKYRGVRRRPWGKFAAEIRDPERGGSRVWLGTFDTAEEAARAYDRAAFAMKGAMAVLNFPGRTSSTGSSSSSSSTPPAPVTTSRHCADTTEKVELVYLDDKVLDELLAEDYSYRNNNNY >ORUFI04G06340.1 pep chromosome:OR_W1943:4:8387866:8389092:-1 gene:ORUFI04G06340 transcript:ORUFI04G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPALTDDVLAEILVRVPSSCDLARASAACSSFCRIATSPRFLRRFRSLHAPLPLGVLCPDGAAAFHPAMPPHPSAPAARALALAADFAFSFLPPPARAWLLRDHRDGRFLLDSALAGGSTAFTDVAVCDPLFRRYVLLPPIPDDLAASVQNPYLQCGGDGGLQSRSSEIFLASCGSDAGGEEPLFAVIWMACCRGKLVAFFFSSESQQWRALSPPEHYALSTRRVMGVRLGQRNHAHGCFYWMITLTHRWLVLDTRRMEFLVVDISPVLSGRAMMFSNQIITLESMDGWTIVVVADVFRSDKRCVLYFYKFMYFSDRWQLQSKINLPEEWGYRFRGIIGASECYLFIKLDHPKQNLGDPVQQNAMYFMFDIKTMQLGRFSEICSGTVSEAYLYTGFPPSQSLPSV >ORUFI04G06350.1 pep chromosome:OR_W1943:4:8394446:8395538:-1 gene:ORUFI04G06350 transcript:ORUFI04G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQSLSLPPLSLSLFSSHPTPRGGQRRDGSRQHGGGEAHALGDAATAKEEEVRRKPGGGGGGHVVHAELGALVIGVVGVVELAMGCAGGGGGGEAMYSSRRLHLRSSPRPLLAHPRSFPALRPPRATLLPCRPPDAPAARARPGAPPLPAAGPPPTPAAGAHRRGARPRLHLRAHLMSRVVERDTSSAWFLGSLFRVDEARRYIVDKVLPHPWYRAICVAGADRPVGSISVNPADDLREPDESETGGLRSRCDESETGGLRSRCCRASVGYRVAHVHWGRGVVTRAVRATAEVVSAEWPWLERLAAVADVENPAGRRAAAKRYFVSHYRLNGWKSRTLEDWSNGR >ORUFI04G06360.1 pep chromosome:OR_W1943:4:8403099:8403806:1 gene:ORUFI04G06360 transcript:ORUFI04G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTPPPLAASRQEQPAGDCPPPPRPTTAFDDTLYATNKKVLTMHIDSYKEATKLAANGMNIKSPNLDAGGHSWHILVYPNGRLPGTTDSMSLFLQLADAPDDGGYVKFEYQFMLEIHSGDSRGLEFMSGGVVAAANKRWNAHGFERFVSREDLGKRGFVKADRFQIRCDVIVLEKKPSMLPVVETPPPSGQPSPATETAPPGLSGSRQAPVERAPRTATSRSSPSPSWRMEIP >ORUFI04G06370.1 pep chromosome:OR_W1943:4:8525638:8526252:-1 gene:ORUFI04G06370 transcript:ORUFI04G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLHDADFRPEMFVPEGTNIEAPWEGGHQGRTSPFKVISRGLMRRAIIEQITNLCVEFVDWHYRDRVLGRVLVKARYKSANDVPSEVSV >ORUFI04G06380.1 pep chromosome:OR_W1943:4:8529700:8532012:1 gene:ORUFI04G06380 transcript:ORUFI04G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEEAGGASAEASGSGSAPAGAAMVPGGEEAAARKRYDALMQVRAKAVKGKGAWYWAHLEPVLVPPPGSGVPPKAARLRCVLCAATFSASNPSRTASEHLKRGACPNFAAQQGAAAQPHQAMTVSAASSVVPISSIPPSSQRRHSTGGGGRKRHALAAAYAAVEAAASQHVVVGDPSSYSPTPPTPPALPAPRQVLSGGRGDLGALARLEDSVKRLKSPVASPGAMLPRQQAEAALALLAEWFLESSGSVSLASAEHPKLKAFLRQVGLPELSRAELAGARLNARFAEARADAAARIREARFFQLAADGWREQVVTLSVNLPNGASVFERAVPTPAPASSDYAEQLMLEAISSVSASSELHHCAGIVADRFGSKALRDLEHKHPWMLNLACQVHGLSRLVRDMARELPLFHSASANCAKMAAYFNAAPTVRALLHKHQVQEHGHAMLLRVAAPPFDRAAAFAMLEDILTSARPLQLAVHEESYKLVCIDDPAAREVGSMVQKVAFWTEVEAAHSLVKLITDMVKEMEAERPLVGQCLPLWEDLRGKVRGWCRKFNVDEGIAMNVVEVRFRKSYHPAWSAAFILDPLYLIKDVSGRYLPPFKYLTPEQDKDVDRLITRLVSPEEAHLALMELMKWRSEGLDPLYAQAVQVRQPDPSTGKMRIANKQSSRLVWETCLSDLKSLGKVAVRLIFLHATAKGFRCAPPMSRWLTAPGSSAAGIARAQRLVYVAANSKLERRDFSNDDDKDLELLTEGDDDMLTEATASVDPSSV >ORUFI04G06390.1 pep chromosome:OR_W1943:4:8538796:8539077:1 gene:ORUFI04G06390 transcript:ORUFI04G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGSVATDEGEETPVLVAEQALEDISDSSKATSHSNASGEVQGEGAHPRIDADDKLGDEATFLAKAVQTGLSPKGAKLAMFTSVPYRRSLA >ORUFI04G06400.1 pep chromosome:OR_W1943:4:8562065:8562311:-1 gene:ORUFI04G06400 transcript:ORUFI04G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWHVKKHTFGDSHASTRIDGPTAQTNLKCGQTTVHSLRGPHVSPLVGPEVGPSVRLNPMFGKTAMATSHLHPQRGSSW >ORUFI04G06410.1 pep chromosome:OR_W1943:4:8631958:8632362:1 gene:ORUFI04G06410 transcript:ORUFI04G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQLGNGGSTAAPREETTAAARGGGDGGDGSARGGGDGGGGSVRGRSGGAAALREEEATAVTRWGDGGGSVLRRWLAAAPHEDGSEVACHGLEMTVTSGPRGDDGNNTLRVQMSFRQMFNTFSLSIQSSCNI >ORUFI04G06420.1 pep chromosome:OR_W1943:4:8760496:8798005:-1 gene:ORUFI04G06420 transcript:ORUFI04G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSQFTVDRFSVVAAHRARYTPPRLAACSPLPPLGLAAHLSTKRSALERLDRYRLRLRKAEEDLHHKEDKRRVVADTLKKANAEDKSLIGENKSLRTDLEVANKRAAKRECQLAAAEEKIKSLGPPNGNANLLRLRTLLPKLWRQRRSPPSKPATLFGWLSMSSGHVRRTLVRTILEERNIPNEILLLRSDLTCNQL >ORUFI04G06430.1 pep chromosome:OR_W1943:4:8798243:8799127:-1 gene:ORUFI04G06430 transcript:ORUFI04G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDDGTLAVDDGGRWRLRQRRCFGPCCRTSGNNLTSKEMMDVCYCTTLSPSLYHAATPFHDSIVDYHLPSGCPLHYLSQDTDQPLHPYSKIQQSHPDPRTDLPYKVWGP >ORUFI04G06440.1 pep chromosome:OR_W1943:4:8809021:8809569:-1 gene:ORUFI04G06440 transcript:ORUFI04G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAHPPQHRYGAPSSRSPDGDLDRGGDRRRRIPGFPARVPTAPCVRGSGRVDRAAIAPSFSSSPKTGDTVPAAACGTVHRTVFHHRYVI >ORUFI04G06450.1 pep chromosome:OR_W1943:4:8831392:8832870:1 gene:ORUFI04G06450 transcript:ORUFI04G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVREHKLPPTSLIPGHWSPSAPSLSLLLHGKKERRKMTGGRRRRKKRKRKRKTDMWAPAQ >ORUFI04G06460.1 pep chromosome:OR_W1943:4:8841582:8852965:-1 gene:ORUFI04G06460 transcript:ORUFI04G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLSSYQFKPTDRTASSPVQESRESRAPPCRCGGPHHQRPRIETRTDSFLPHTLLSLPVRHPPPPTAERPPRAARPNPPMSTPAGVAPRRSSRLASSAPPLGAGQPSSSPLPRRRRRRASPSGCAREVDNSPPPVVILDDNEMEQHVEAEEMKKQGEESVEANEAEEKDKDAEVLEELPDWLPDGWIMEVRCGDNGNIYRYYTSPVSGYTFSTKMETLHYLFSEMDERVLESQACADDNELHRMHTWLPDGWAIEVRAGGKKMEKMYKFYVHLPTGMRFLSKENVLLYSNEGKISRCDVKGLCDTSSEDNILAMVEFNPDGLPEGWVKEIIFRKCNDGIRKDPYYTDPVSRHVFRTLKSVINYLETGQITKHAYIPRRSVTDMYSFDRCTDLPQSMLKRLKIQGKAKKKSVGASVKGKKLSNGLASNNCMSSGLDPEIGPEERKLGTVKSITKEAVNSDTIKRSRGRPPKILMPTIESTKPEIALVTSEAIKRSRAEGVNSCLIHLSEPNEKMVKATSAVEPASSNNAKRHGGSPQKKFKHITDITLDCAKSSNKESEHIVTAKKLGIGGGEQVANENTLEHTNMKEHLGVIQDYTSNRKKDKLNLITDPDLHEHKNGKFTEKLACTAVHKFYMRRSSNHTVALKKG >ORUFI04G06460.2 pep chromosome:OR_W1943:4:8841580:8852965:-1 gene:ORUFI04G06460 transcript:ORUFI04G06460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLSSYQFKPTDRTASSPVQESRESRAPPCRCGGPHHQRPRIETRTDSFLPHTLLSLPVRHPPPPTAERPPRAARPNPPMSTPAGVAPRRSSRLASSAPPLGAGQPSSSPLPRRRRRRASPSGCAREVDNSPPPVVILDDNEMEQHVEAEEMKKQGEESVEANEAEEKDKDAEVLEELPDWLPDGWIMEVRCGDNGNIYRYYTSPVSGYTFSTKMETLHYLFSEMDERVLESQACADDNELHRMHTWLPDGWAIEVRAGGKKMEKMYKFYVHLPTGMRFLSKENVLLYSNEGKISRCDVKGLCDTSSEDNILAMVEFNPDGLPEGWVKEIIFRKCNDGIRKDPYYTDPVSRHVFRTLKSVINYLETGQITKHAYIPRRSPQSMLKRLKIQGKAKKKSVGASVKGKKLSNGLASNNCMSSGLDPEIGPEERKLGTVKSITKEAVNSDTIKRSRGRPPKILMPTIESTKPEIALVTSEAIKRSRAEGVNSCLIHLSEPNEKMVKATSAVEPASSNNAKRHGGSPQKKFKHITDITLDCAKSSNKESEHIVTAKKLGIGGGEQVANENTLEHTNMKEHLGVIQDYTSNRKKDKLNLITDPDLHEHKNGKFTEKLACTAVHKFYMRRSSNHTVALKKG >ORUFI04G06460.3 pep chromosome:OR_W1943:4:8841582:8852965:-1 gene:ORUFI04G06460 transcript:ORUFI04G06460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLSSYQFKPTDRTASSPVQESRESRAPPCRCGGPHHQRPRIETRTDSFLPHTLLSLPVRHPPPPTAERPPRAARPNPPMSTPAGVAPRRSSRLASSAPPLGAGQPSSSPLPRRRRRRASPSGCAREVDNSPPPVVILDDNEMEQHVEAEEMKKQGEESVEANEAEEKDKDAEVLEELPDWLPDGWIMEVRCGDNGNIYRYYTSPVSGYTFSTKMETLHYLFSEMDERVLESQACADDNELHRMHTWLPDGWAIEVRAGGKKMEKMYKFYVHLPTGMRFLSKENVLLYSNEGKISRCDVKGLCDTSSEDNILAMVEFNPDGLPEGWVKEIIFRKCNDGIRKDPYYTDPVSRHVFRTLKSVINYLETGQITKHAYIPRRSVTDMYSFDRCTDLPQTEGVNSCLIHLSEPNEKMVKATSAVEPASSNNAKRHGGSPQKKFKHITDITLDCAKSSNKESEHIVTAKKLGIGGGEQVANENTLEHTNMKEHLGVIQDYTSNRKKDKLNLITDPDLHEHKNGKFTEKLACTAVHKFYMRRSSNHTVALKKG >ORUFI04G06470.1 pep chromosome:OR_W1943:4:8853845:8854436:-1 gene:ORUFI04G06470 transcript:ORUFI04G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTVSPSKPSLANDNDDHQAIRVRADRRRRQRHPSLRRPGHVQSEGLVGASNSKNYSLLVPPSFKRSGGRCVPVHTFGFGTDHDAAAMHTIAEETGGTLSFIENQAVVQDAFSCIGGLLSVTVQEARLVITCPHHGVRVRSVNSGRYDSVIDGDGRAASVDVGELYADEERRFLVFVDVPAAGTVEDAT >ORUFI04G06480.1 pep chromosome:OR_W1943:4:8862857:8869027:1 gene:ORUFI04G06480 transcript:ORUFI04G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATQAPTSPAAASGRGSVLPLLRGRPSTRVRLAVGRRPQTALRAQPPGAAEVVEQSVNTIRFLAVDSVEKAKSGHPGLPMGCAPLGHVLFDEFLRFNPKNPYWFDRDRFILSAGHGCMLQYALLHLAGYDSVTMDDLKAFRQWGSRTPGHPENFETPGVEVTTGPLGQGFANAVGLALAEKHLAARFNKPDLKIVDHHTYVILGDGCQMEGVSNEASSLAGHWGLGKLIAFYDDNHISIDGSTGIAFTEDALARYEALGWHTIWVKNGNTGYDDIRAAIKEAKAVKDKPTLIKVTTTIGYGSPNKANTYSVHGSALGTKEVEATKNNLSWHHEPFHVPDEVKRHWSHHIDEGASLEAEWNKKIVEYEKKYRQEAAELKSIISGELPSGWDNSLPKYTPENPADATRNLSQQCLNALAKAIPGFLGGSADLATSNMTLLKMFGDFQKDTPEERNIRFGVREHAMGAISNGIALHSPGLIPYCATFFVFTDYMRAAIRLSALCGSRVIFVMTHDSIGLGEDGPTHQPVEQLFSLRAMPNILMLRPADGNETSGAYKIAVLNRQRPSVLALSRQKLQQLKGTSAEGVAKGGYIISDNSSGNKPDIILIGTGSELEIVEKAADELRKDGKTVRVVSLVCWELFEEQSEKYKESVFPSEVTSRISVEAGVTFGWEKYIGKKGKAIGVDRFGSSAPAGKIYKELGLTVENVIATAKSL >ORUFI04G06490.1 pep chromosome:OR_W1943:4:8869614:8871071:-1 gene:ORUFI04G06490 transcript:ORUFI04G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTGRHRYDRLSALPDGILVRVLSHLGSVDAASTAALSHRWRHIHAAVPVVDLVDPESDQISSAIVAKNSAAPIRTLRLVDLWPPHDALHQAVATATAAGLQEFDVKLRHGDCSNRKLCPFRRHPDASADFDDSMRGSFTATPPHIFRCDTLRRLRLTNFQLDVPEGGVSMPSLEILSLKRIMATTDEAVQQLVSGCPNLADLTLEQCPSVADLVVASPRLESFAMICCHNAAHVVLHTQRLRTLRYKDGLPAGENFLMIADCTNVLAMTIDICESLVGKSAPAVVPITKLITRCASLTFLHLHLRPAMAYHSGAFTRALRHHPHLRQLALKGLLKDDQTIRSVSTLLRNTPELDVLSLFPLRPQPAKPYYLGVDSDDDYDSEEEEEEDGGASDDNQGVRVPLSLWESNIECLHKLRKIKLHNYKGKPNERLLAKYLLSKATSLEQFFVTLPAKTTADRQLKLTNELKYWRANKRAIVSCTLL >ORUFI04G06500.1 pep chromosome:OR_W1943:4:8892247:8895393:1 gene:ORUFI04G06500 transcript:ORUFI04G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKNEGTGDMPQQPAGEIYTIFTVDPNDRKYYLAAVDSSDEVIVKEKTEGSRVFDDLQLWYMVTADEQQQPQQQHKQRSPPPPPPPQGQHHQQQKPFILINKKRNCALVVASKPAAAAARNKQGTTKPEQIVPGAGNSSSRTHQPQTGERRRSTPETGSNKSAGKQPARREEGPLQVVLGKHDAEGERWTTEGTPASAGVVAGDADDDRRLISRTCYIQRASNANLVFKAVNTGGRDGSIIDLTDKPMVIMGSDQDQLFCCWTIVPVAGDHIIAVCADGGSSLGSLSMDYPRLVIPAAQSGRFSSRGDTKPITPDKGASVSTQPRLEATGETLKDGNKQGDNKKGKKK >ORUFI04G06510.1 pep chromosome:OR_W1943:4:8917815:8918364:-1 gene:ORUFI04G06510 transcript:ORUFI04G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYLSEILYHISDLCSVTGALPDRDETCRLLQMTEPVLVIASSVVVEKVLCEAMGRESHHALEHELPEVGNMQEGAREGATMVVWYANAGRRWRWRKVRLAHRWHCVIGLRVGYPHRGGQIQV >ORUFI04G06520.1 pep chromosome:OR_W1943:4:8924301:8925491:-1 gene:ORUFI04G06520 transcript:ORUFI04G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMQRRDRLSDLPDATLARVLYHLGSVNATVTSALSRRWRNVHAAVPVVDLVDPKKGERWGNVSGQKTCFDHQVTAAILGKDLPTRKFRLDAFYPPYDLRDQWFAIVSVSGLEEFDVKLRYWDHSRRNLCPFGTHPKASADFDEEMRHSFTATPPHIFRCDTLRRLLLTNWTLDVPAGGVSMPSLETLFLKRIMAEDGAVQRLISGCPNIADLTLEQCPSVKRLVVASPRLDSFAMICCHHASHVVLQAERLRTLRYKGGLPGENFFSIANCGDVLAMTIDICESLLGKSASAVVPITKVITRCTNVTFLHLHLRPTMAFHSGTFTRALRHLPHLQQLALKGLLDNDETALSVSTLLRNTPNLDVLSLIPLRPRPPKPDYLYMFDGSDDDSSQNG >ORUFI04G06530.1 pep chromosome:OR_W1943:4:8925993:8927580:1 gene:ORUFI04G06530 transcript:ORUFI04G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEESSSSPAMHTDDGRDRLSDLPDELLGHILSFLPNVEAGRTAVLSRRWRDVFGCVDTISLEEYEGQRSNDWETFFYEAEERKSCSGHLLDGLSAALLSRRRCNGRNLPLRRFGFAFDSITGWDVVFVDMCLHHVLRHASKELHLDLRFFICPICERGGCRKRKAKVKSRRETPEKSDDDEEGHLYSTRCGYILPRKLYSCVALKTLCVSYAWLNVPESINLPLLETMRLTGPGNSGRDIQRLISGCPRLTDLKIEGAPNLRTLCILDKRLRSFALRCCQNVKSVPIDSTELTTLAYSGAVQPESLLSLHGGVQRISSCTQKPLGEEIGRLGRFLDLFAGTTHLHVESARMGASMESKHFTSSLTFAGLTRLDLTGCLPSDGAANAVRRILEQTPKLECLTLFLVPVPKEPDYGYYYGLQEEDVDEKRRERDGDDLFTTEDESMFSSIECLRRRVTTIYLVGYNGDELTQRLLARLLLGNALVLERVCVKLVKQKLAWQLKQKHDIEGWFVSKSAKATFT >ORUFI04G06540.1 pep chromosome:OR_W1943:4:8928599:8929050:-1 gene:ORUFI04G06540 transcript:ORUFI04G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCRRRRPRRGWLPASPACCRPEVEPTKEETTTSSTPTPTPSPAAAVPKAKSAASTGLWDVLAFSGPAPEPINRRLAMALAVKASRGGELLEEASSGGGLA >ORUFI04G06550.1 pep chromosome:OR_W1943:4:8939388:8939707:-1 gene:ORUFI04G06550 transcript:ORUFI04G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLANTLKEAKAENRRLQAELEKGSEAKAEIERLKAELKKEQDQSAALTEGSKGWMEFDTGWSSSMRLPTEQ >ORUFI04G06560.1 pep chromosome:OR_W1943:4:8957712:8959305:1 gene:ORUFI04G06560 transcript:ORUFI04G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIGSLPDDLLGHILSFLPNNLAARTAVLSRRWRYIFGYVHTISFEEEEGEREDDWITMYFQAEEKKSMSWKLLDRMNAALLCRRRCAGRHVPLRSFHFACDSYHVWDRVIVDAWVTYVVCHSSQELHLDLRFWIGPICAGGERDRLSTIVDSEPPVFPGRHYELPRSLYSCVALQTLCLSYCDLNLLESIDLSLLKTMRLTGIHGSRSRIQRLISSCPRLADLTLEALRQLKTLSLLDKRLRSFALRCCHNVDNVAIDASELTTIAYRGAVSDDPSYTLSMHGSLAITSCTVDFCSEELITSEEEFDSSTHLHLKFDRLGSCIDSDLFPAAGFRTFTNLRRLELTGHVPDCGVAIAMRRILEMTPNLESLTLFLKPEKCNPTNCDSESESDGSSDSGYISHSDDDDDDTDGDDYGSNIGYNSHSEEDGDDSEEDSSPGIASFSAIRCLRRRVKEINLVHYEGDDGQATVARLLLRNALVLQCVCVVLTRGRIGMQMRKKRKIKRWMMSRSAKAVFL >ORUFI04G06570.1 pep chromosome:OR_W1943:4:8975995:8976708:1 gene:ORUFI04G06570 transcript:ORUFI04G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSGSSSSSSAFPFFLLALLCVTAVVFPPAAARTFPDDKSGGAVVSGSKFSLPFPSKAAGSGSGSGSGHGFGWMVSHNGSDTTIGFGGGVGGGVGTSRGGGSSAGGGVGVGVGVDVGRGGVDVGIGVGGGGAASSADGSVGGGGGEGVGIHIGPGGVTVTHGGGGGAGGGSGGGGASGGGSGTGRSGNAVGTGQGSGSANGGTGSGGGSGSGSGQGGSAGGGGGGAGSGGAGGHP >ORUFI04G06590.1 pep chromosome:OR_W1943:4:9018055:9018273:1 gene:ORUFI04G06590 transcript:ORUFI04G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGKPEEVWSLVAFLCMPAAAYITGQIICIDGGRSAPHPILKCRAAYAIVYFRKTQNQDGAHECRDHPFV >ORUFI04G06600.1 pep chromosome:OR_W1943:4:9044640:9052244:1 gene:ORUFI04G06600 transcript:ORUFI04G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSTSLLRLIFLALGAALVVLVVRSAFRLPGDIDTTTTSLFDDGNGGSCTRFSPWACRQGRGDPRSKPSKPRRPSHESDVPRHPLDPLTVREVNRVRELLRAHPLFASAPSSLFVHSLELDEPEKSVVKSWRKGADPLPPRRAVAVVRFRGESHVLGVDLSEGDGAVTPLPVPASGYPMMNMDEQTSLCFAPFKDEAFNASLLRRGVRASDVACLPISLGWYGPAEENRRLIKSQCFSTEGTANFYMRPIEGLTVLVDMDTAEVLHVSDRGAGIPIPAAANTDYRHGHSAPTPAEAQAQGRHGYQTVRVPSMEPPAGGPGFELVDGHTVRWGGWEFHLKPDARAGMVVSRARVQDPATGEHRDVMYKGMASELFVPYMDPTEAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRHARYLDAVFVAADGRPYVRENMICVFERYAGDIAWRHSESPITGMDVSANPYDSSASRFLDFFPCCLAPTMRVMLLHFPVLVTDE >ORUFI04G06610.1 pep chromosome:OR_W1943:4:9058121:9062922:1 gene:ORUFI04G06610 transcript:ORUFI04G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSC >ORUFI04G06610.2 pep chromosome:OR_W1943:4:9057391:9058324:1 gene:ORUFI04G06610 transcript:ORUFI04G06610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTQDLITKNHPLHRIQRQNTHAGSLPEKSRTRSSHAFLRTSPHSAQIGAHPSLPQPFQIRASPVPGPILLLPPDRFPAKCIRSAWI >ORUFI04G06610.3 pep chromosome:OR_W1943:4:9058121:9062922:1 gene:ORUFI04G06610 transcript:ORUFI04G06610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSC >ORUFI04G06620.1 pep chromosome:OR_W1943:4:9067211:9071764:1 gene:ORUFI04G06620 transcript:ORUFI04G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLMLNHEGFSKRTGSCQTAPGSQVVEMDQWVLADKRMHINNKWWRRIRRLEPNHEAGDIGKRGDGEDGGSSHRGSRGGGRRGGESRCRRQLRPLPQWRTTRTVGAAAAALTAGAADDGKAVAMRHGAAPGGRNAYQSQSVRGTEMMSAPTRRSSAGVRSSPLKNGWMAMRPARRRLAKVVEARA >ORUFI04G06620.2 pep chromosome:OR_W1943:4:9067607:9071764:1 gene:ORUFI04G06620 transcript:ORUFI04G06620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHINNKWWRRIRRLEPNHEAGDIGKRGDGEDGGSSHRGSRGGGRRGGESRCRRQLRPLPQWRTTRTVGAAAAALTAGAADDGKAVAMRHGAAPGGRNAYQSQSVRGTEMMSAPTRRSSAGVRSSPLKNGWMAMRPARRRLAKVVEARA >ORUFI04G06620.3 pep chromosome:OR_W1943:4:9067211:9070433:1 gene:ORUFI04G06620 transcript:ORUFI04G06620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLMLNHEGFSKRTGSCQTAPGSQVVEMDQWVLADKRMHINNKWWRRIRRLVKSSLLQLCHCQS >ORUFI04G06620.4 pep chromosome:OR_W1943:4:9071400:9071764:1 gene:ORUFI04G06620 transcript:ORUFI04G06620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTAGAATAALAAEDGEEESRWRTTRTVGAAAAALTAGAADDGKAVAMRHGAAPGGRNAYQSQSVRGTEMMSAPTRRSSAGVRSSPLKNGWMAMRPARRRLAKVVEARA >ORUFI04G06630.1 pep chromosome:OR_W1943:4:9076683:9079280:-1 gene:ORUFI04G06630 transcript:ORUFI04G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSAPPPPAPCMICAAAAACRPGEVVPEGGERDELRQRGKEVGTWPVRHGVESHLYETLLEVRVLVVRPFRKVLEEMHPYLLDPGLQTIRLEQHSKQSNTITLLFLFPYPTIQKKIGNRIFLKSWKTLNTNVALPTVVRSKELIRPYKNYKIVGYVIGLELRGLQLCLIDKWGKMMTSR >ORUFI04G06640.1 pep chromosome:OR_W1943:4:9078981:9079716:1 gene:ORUFI04G06640 transcript:ORUFI04G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVPLGPHVSSARQQHQGTAAAIATHLSERTDNENTHLKKRLIQMGFDPMTHRPRTDFFAALPQLIALATLRDHLAGTACGGGGADHAGRRWWWRRHCHPGRQDAVPTSAASTIASAGSGGGGAMSAYDDDAALAVATCSSSSAGSSVPITATAIDHSGGQTQAPCAFSEAPVITSDDVEANLRLLGCGVGADAFACHGGSLPLLADLFDVTTTTNSLDWCSATGSSRRRPFLWLERGE >ORUFI04G06650.1 pep chromosome:OR_W1943:4:9083555:9097565:1 gene:ORUFI04G06650 transcript:ORUFI04G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAHAPPPAAQELGDASASASVVDDWARDEDTEPMAVDPHHAAAAAATATEDGEGVADAAPPAAPAEDVTEIQSSLQSLELKTNAAAHEDAQEVVEEEKETKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERLKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVLLAKTLGVAKLIVVINKMDEPTVKWSKERYDEIESKMVPFLRSSGYNVKKDVHFLPISGLLGSNMKTRMDKSICSWWDGPCLFEVLDCIEVPLRDPKGPVRMPIIDKYKDMGTVVMGKIESGTIREGDSLLVMPNKTNVKVIGISLDEHKVRRAGPAENVRVKLSGIEDEDIMAGFVLSSIGNPVGAVTEFNAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVDLIEEIDMKKKKEADPKKKKPKRKPLFVKNGAVVVCRIQVNNLICIENFSDFPQLGRFTLRTEGKTVAVGKVVAIPPAGSPTFSA >ORUFI04G06660.1 pep chromosome:OR_W1943:4:9102130:9104839:-1 gene:ORUFI04G06660 transcript:ORUFI04G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAECKYEVAQVAYVKLALHALKHPAAAVNGLLVGRLLDGAASPAAVVSIADAVPLSHHPHHLPLLPTLELALTLVEDHFAAQGLAVVGYYHANARRDDADLPPVAKRVGDHVFRNFPRAAVLLLDNKKLEEAVKGKSREPVVQLYTRDSSKSWRQAGSDGSSQLTLKEPSTNMVLADHVTTKKWQQVVDFDDHLDDISK >ORUFI04G06670.1 pep chromosome:OR_W1943:4:9129133:9130689:-1 gene:ORUFI04G06670 transcript:ORUFI04G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDHRRRGGATRAHVVLFPFMAQGHVAPFRCIAALVRRCRPDARLTVVATPGMAEAFRAHLVADGVGDGRLAGVHELPFLPAEHGLPAGADTSASIGFQQLITLFLASESLRPAFRRFVDDLRAANPGDDIHVMADMFLGWAVDVARDAGASSSIVLTCGGYGSALYFSLWDSVPLPATASPDDGFPLPRFPDVRVQRSQLTNHLAAADGKDAWSTFIQRQIAAFSRADALLVNTAENLEPKGLSMLRQWLNVPTYPVGPLLRAPAPSPEAKKTSPILEWLDEQPPGSVLYISFGSLYRITAPQMMELARGLEQSSHRFVWVIRPPAGNDANGEFSPEWLPEGFRERAEAEGRGLVVRCWAPQVEILAHAATGAFLTHCGWNSVQEALGHGVPLLGWPLSAEQFYNSKLLAEEMVCVEVARGSAAVDAAKVAAAVEAVLGETSMERAAMKRRAAEMKEAIDAARDGDKSSVTVMRRFLDEVLPPRGAQFMASWALQSACFRDGAMSHQLRSSHPMM >ORUFI04G06680.1 pep chromosome:OR_W1943:4:9136379:9148059:-1 gene:ORUFI04G06680 transcript:ORUFI04G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYAEKLSYREDVGNVGMPEIFDSPKLLHKKIEELAVMMRERSGKGVPGASLPFHRAVPTLTHMALVELEKTGRLKFVISQNVDSLHLRSGLPREKLAELHGNSFKEICPSCKKEYLRDFEIETIGLKDTPRRCSDKNCGARLKDTVLDWEDALPPEEMDAAKEQCQKADLVLCLGTSLQITPACNMPLLSLKNGGRVAIVNLQATPKDKKASLVIHGLVDKVSFPERPDMKPVVLKEQPFSLQRETSMNRPFVMLLTFNFSDGCGCSSSSIEWPVDFLKQKGSFVRDRSLVLQELQHAAEHRSRAGQHAILEREGVPRAETSIHALVTNIVRYDTEDSKAAVPMATWMNSNGSLSKRHMDAIGCNPASSKKQKLVATRHRRKGLNPATQKV >ORUFI04G06680.2 pep chromosome:OR_W1943:4:9136379:9148059:-1 gene:ORUFI04G06680 transcript:ORUFI04G06680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYAEKLSYREDVGNVGMPEIFDSPKLLHKKIEELAVMMRERSGKGVPGASLPFHRAVPTLTHMALVELEKTGRLKFVISQNVDSLHLRSGLPREKLAELHGNSFKEICPSCKKEYLRDFEIETIGLKDTPRRCSDKNCGARLKDTVLDWEITPACNMPLLSLKNGGRVAIVNLQATPKDKKASLVIHGLVDKVSFPERPDMKPVVLKEQPFSLQRETSMNRPFVMLLTFNFSDGCGCSSSSIEWPVDFLKQKGSFVRDRSLVLQELQHAAEHRSRAGQHAILEREGVPRAETSIHALVTNIVRYDTEDSKAAVPMATWMNSNGSLSKRHMDAIGCNPASSKKQKLVATRHRRKGLNPATQKV >ORUFI04G06690.1 pep chromosome:OR_W1943:4:9148253:9148462:1 gene:ORUFI04G06690 transcript:ORUFI04G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRTEAAEAAGRATATLLVRSRDGWGFGCGGGCAHLMRQGERRRPVLARGIDGDRRRRRARAKGSLIS >ORUFI04G06700.1 pep chromosome:OR_W1943:4:9155674:9159250:1 gene:ORUFI04G06700 transcript:ORUFI04G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVAQILHGLVGLVRSPVSATLPQIGSRLFLTWGILWSFPETHSHILVTSLVISWSITEIIRYSFFGMKEAFGFAPSWLLWLRYSTFMVLYPTVRSGLIYIEVGLIYIALPYMKASEKYCLRMPNKWNFSFDFFYASILSLAVYVPGSPHMFTYMLAQRKKALAKAKAA >ORUFI04G06700.2 pep chromosome:OR_W1943:4:9155674:9159250:1 gene:ORUFI04G06700 transcript:ORUFI04G06700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVAQILHGLVGLVRSPVSATLPQIGSRLFLTWGILWSFPETHSHILVTSLVISWSITEIIRYSFFGMKEAFGFAPSWLLWLRYSTFMVLYPTGISSEASEKYCLRMPNKWNFSFDFFYASILSLAVYVPGSPHMFTYMLAQRKKALAKAKAA >ORUFI04G06700.3 pep chromosome:OR_W1943:4:9155674:9156708:1 gene:ORUFI04G06700 transcript:ORUFI04G06700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILAGEPAQSVIRVAAAAITATASHSSPHPSTPIHCEFSCVSSSLVGQPPTHRNSQIRASSLLLIASSNLMLMRAVINSYSRFSPRAH >ORUFI04G06710.1 pep chromosome:OR_W1943:4:9168388:9169080:-1 gene:ORUFI04G06710 transcript:ORUFI04G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGIVKLRPLYAARRKMPGAALRPLVWVLPGFTTNGSTSGPIDRKRPHGRRTPVLQWMGDGDVGGGTCSRATRTRGGWWLGERKGELAGTAATRAHLRPAKVGERRGKWTKWIRRSEERLVVQGFEKWSCRGGDRPGLSGGRSWWREKRELVELG >ORUFI04G06720.1 pep chromosome:OR_W1943:4:9184425:9185300:-1 gene:ORUFI04G06720 transcript:ORUFI04G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGIVKLRPLYAARRRVPGAALRPLPSKREERERGTPVLQWMGDGDVGGGTCSRATHTRGGWWLGERKGGLAGTAATLAHLRPAKVGERRGKWTKWIRRSEERLVVHGFEKWTCRGGDRPGLSGGRSWWREKRELVELG >ORUFI04G06730.1 pep chromosome:OR_W1943:4:9195592:9197070:-1 gene:ORUFI04G06730 transcript:ORUFI04G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDVSFRIVLFPFPAQGHFSAFLSLAAHLHDAQPTADITIVSTPRNVEDLRRRSSSQTRYLRFHALPFAPAEHGLPGDIESTDAVPLLHFITLFEATESRSLQDSFDSFVRDLITDAGADGARVCVIADPFLAWTTDVARRRGAAHAIFVSCGAFGSVVFHSLWNHLPHLRAPGDDAFCLPDHPEVTVHRSQLPPYLLHADGTDRWSAHHRRQTSAGYDTDAILISTMEELETTGLRMLRKTMGVPVYPIGPLVRRRTEHSDHIGDHNDDDVKRWLDTREERSVLYISFGSNNSLRPDQMVDLAMALELTGRPFIWAIRPPFGFDIETTNGREFSAEWLPEGFEERMRAKNIGLLIHGWAPQVSILAHASTGAFLSHCGWNSVLESMAHGVPIIAWPLTADQFFNAQMLEEWGACVEVSRGNWPDSPALERERVVEVVEMVMGITAKADKIRQSVKEIQGMIGRTLEDGGSSKTALEEFLKLHGHIMLMKC >ORUFI04G06740.1 pep chromosome:OR_W1943:4:9207580:9209392:-1 gene:ORUFI04G06740 transcript:ORUFI04G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASLPEVVVAAGVGAAVGGRGSRRRRRREAAALAPCRRVPPSAAAAVCQCVPPSAAAPAYLLGVADARRDLALTGRPFIWAIRPPFGFDIEPTNGGQFSAEWLPEGFEERMHAKNIGLLIHGLAPQVSILAHASTGAFLSHCGWNS >ORUFI04G06750.1 pep chromosome:OR_W1943:4:9210840:9220079:-1 gene:ORUFI04G06750 transcript:ORUFI04G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGNLLYPYINYKVAILAHASIGTFLSHYGWNSVLESTAHGVPVVAWPLNAQVLEEWGSCVELCRGNAPDSPALERERVAEVVEMVIGSMEMAAKTRQCVKKIQEMIAPALEDGGSSMNTLKEFFALLTLRDRTMPMKL >ORUFI04G06760.1 pep chromosome:OR_W1943:4:9224005:9225348:-1 gene:ORUFI04G06760 transcript:ORUFI04G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDGNLRIVLFPFPAQGHFSAFLSLAAHLHDAQPTADISIVSTPRNVEGLRRRSSSQTRYLRFHALPFVPAEHGLPGDAESTDAVPPLHFITLFEATESRSLQDSFDGFVRDLIADAGADAARVCVIADPFLAWTTDIARRRGVAHAIFVSCGAFGSVVYHSLWNHLPHLRAPGDDAFCLPDHPEVTVHRSKLPPYLLHADGTDRWSAHHRRQTSAGYDTDAILISMMEELETTGLRMLRRTMGVPVYPIGPLVRRRTEHSDHTGDHNDDYVKRWLDTQEERSVLYISFGSYNSLRLDQMVDLAVALELTGRPFIWAIRPPFGFDIEPTNGGQFSAEWLPEGFEERMHAKNIGLLIHGLAPQVSILAHASTGAFLSHCGWNSVLESMAHGVPIIAWPLTADQFFNAQMLEEWGACVEVSRGNWPDPPALERERVVEVVDMAMGITA >ORUFI04G06770.1 pep chromosome:OR_W1943:4:9236279:9237517:-1 gene:ORUFI04G06770 transcript:ORUFI04G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGLLKSRRSSAGDEEEGSGGSGGLPTATRKEWCWSLGILLKAVAALLILMAGVLIGLATSASLSCYYVEGSGKQAEARRGDGGGGEGGSRCRDDGCGAALSFQRFVQPHPPWGHSMKDEELFWRASMAPRVEEYPYQRVPKVAFLFLTRGPLPFAPLWERFFHGHEGLYSVYVHALPEYRLNVSSSSPFHGRQIPSGDVSWGSITLVDAEKRLLANALLDFSNERFVLASESCVPVFNFPTVYEYLVNSAQSYVESYNIDVPQCAGRYNPRMAPDVLEEQWRKGSEWFEMSRDLAADIVADRKYHAIFRKHCTPSCYPDEHYIPTYLHLRHGARNANRTVTWVDWSRGGPHPARFGKATVTPAFVQAIRNNGTRCAYNGKPTTVCYLFARKFAPSALGPLLNMSTTLLEF >ORUFI04G06780.1 pep chromosome:OR_W1943:4:9240571:9251150:-1 gene:ORUFI04G06780 transcript:ORUFI04G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEADRWRCASRDEDPSPPSRTHPRLLSPQLPSPTVAPNLAIIPEKKNSPFSLLPSPGRHRRRSRAAHSRSSRRQITARPHLHSSSLRPSSPPPHPPLPSGSLPLASSVTALPSSSPSGSPPLPASSPRARRRRGGPNPFSLRGRGVRRTPPPPPFCAASSRHARPRTASDEQAWRARRGARPPPLPPLPSVSVRVESKGEADRLMHGRGGSVEVCFQGRRVRAASDRRLDALPIDLEVGFPGRRGGLRLHRQPLLKVMETETGDFC >ORUFI04G06780.2 pep chromosome:OR_W1943:4:9240567:9251150:-1 gene:ORUFI04G06780 transcript:ORUFI04G06780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEADRWRSLSSLPHPPAPPLPATPVPHGRPKSRDYPGKKKLAILAPPVARSPPPPKPRRPFSLLPSSDHRQAAPPLFLASPLVSSAAPSPPLRLPPPRLLRHRPPLLLPLRLPSPSGLLPSRSSPARRPKSLLPSRARCSTDSSSSSLLRGLLASRAPTHGQRRAGLEGATRCPASAPPASALRLSSSRIEGCVPLATDVWTPCRSIWRWGSLVGEEGFVSTVNLFSRLWRQKRVTFVN >ORUFI04G06780.3 pep chromosome:OR_W1943:4:9240571:9251150:-1 gene:ORUFI04G06780 transcript:ORUFI04G06780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEADRWRCASRDEDPSPPSRTHPRLLSPQLPSPTVAPNLAIIPEKKNSPFSLLPSPGRHRRRSRAAHSRSSRRQITARPHLHSSSLRPSSPPPHPPLPSGSLPLASSVTALPSSSPSGSPPLPASSPRARRRRGGPNPFSLRGRGVRRTPPPPPFCAASSRHARPRTASDEQAWRARRGARPPPLPPLPSVSVRVESKGEADRLMHGRGGSVEVCFQGRRVRAASDRRLDALPIDLEVGFPGRRGGLRLVMETETGDFC >ORUFI04G06790.1 pep chromosome:OR_W1943:4:9247978:9248427:1 gene:ORUFI04G06790 transcript:ORUFI04G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIAGATAAALAVGAAEDGEDVAKWHGATSVTGARACRGRGDGEDGGSGRGGEEESRVVVANCGHSRGGMYPWCSSPASPPPSSATSTCTALSPGTSCCRRCRRVSPLSCMLWPEIDCDDIACVRALALIDVPSLLMCAGVAADGAEE >ORUFI04G06800.1 pep chromosome:OR_W1943:4:9257557:9257871:-1 gene:ORUFI04G06800 transcript:ORUFI04G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASTHRRRHRRGGYRIHPSRRRRRDGIRARGEEVAGSAPPIAADGGSAREKGGETVESRRRRRIRARGAERRRDPCGLELQGASGAAEGRRCPNTLITELQQ >ORUFI04G06810.1 pep chromosome:OR_W1943:4:9261155:9262618:1 gene:ORUFI04G06810 transcript:ORUFI04G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATSKNVVVLFPFPGHGHLAAFLSFAGVLHRALPDVAITLVSTPRNVASLRRATSAGHDSFLLHELPFVPADHGLPAGWESSDGVPHNRFPDFLEALEVLQPAFDDFVAGATAAGDVAVCIVSDPFLAWTVTVARRRGCAHAFFVSCGAFGSAVVHSLWSHLPIRPDEAGRILLPEYPDVVIHRSQVSSNVLHPPTAVKHRVEAFFGRQIQLGYKTDALLINTVEEFEPTGLAMLRRTFRLPVIPIGPLVRASTKTTSPETDATAGAITSFLDSHPPSSVLYVSFGSQFSIQAEHMAELAAALEATGRPFVWAVKPPDGHNINGEIQPKWLPDGFEERVTATKKGLLLHGWAPQVGILAHHSTGAFLSHCGWNSVLESMTHGVPIIGWPLAGDQYYNAKMLDEEWGVCLRVEGARGDMDMSAIIVDKATLVAVVETVMSPTAKAAEMRQRARAIKEIMEAAREGGHGSSANQALEEFFKTMKLNG >ORUFI04G06820.1 pep chromosome:OR_W1943:4:9266149:9266814:-1 gene:ORUFI04G06820 transcript:ORUFI04G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEIATVPNISEGLVEISKKMMDLAAQLWAMAAQSAKPAAFIEEAEPLYRHAVALRLRGRVFKQHGWRQYLAVTLQPRGGGVTRGEVLMFPLPAAPSLGVATQPRPRRWRPQRRQFPLQRRYNTVRAFGFQALFSGDAALFPQVEAHVPVMVGAELGDVRAARFAGATGGCGIHVSQWRRLCRPHVLVREVGGSTTAASLLAVWSPERKGDRVSALSQLG >ORUFI04G06830.1 pep chromosome:OR_W1943:4:9268843:9270659:1 gene:ORUFI04G06830 transcript:ORUFI04G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEARRDWAMPDSDGEETQRCRRRRRRCKREREERRNRKSTNGGTENECVYLKRVDVHVARRVERAEQQEAAQAQMARWRRDCFRAGRRIAINLRIKASKIKAISSSPASSVSHLFLSLCPSRTPFPDSSVDSHRPSSLNCESGAPGGEDKIRMTLSLDRYDYCGLTT >ORUFI04G06840.1 pep chromosome:OR_W1943:4:9278667:9282765:1 gene:ORUFI04G06840 transcript:ORUFI04G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPKKHVLFPFTSKGHIAGFLSLASRLHRILPHATITLVSTPRNVAALRAAAAAPFLDFHALRFDPAEHGLPPGGESQDEIFPPLLIPLYEAFETLQPAFDDFVASTAAAAARVVVISDVFVAWTVEVARRHGSQVPKYMLYQYGLPAAGAANDGSGGRADRRFLDRQLAHGNNTDAVLVNAVAEPEPAGLAMLRRTLRVLPVWPIGPLSRDRRDAATEPTDDTVLRWMDTQPPGSVLYISFGTNSMIRPEHMLELAAALESSGRCFLWKIKPPEGDVAGLNGGATTPSSYNRWLAEGFEERVTASKRGLLVRILAHPSTAAFLSHCGWSSVLESMAHGVPVIGWLLTAEQFHNVMVLEGLGVCVEVARGNTDETVVDRRRVAEVVKMVMGETAKADDMRRRVQELIRSEVNNETLVKA >ORUFI04G06850.1 pep chromosome:OR_W1943:4:9284692:9290407:-1 gene:ORUFI04G06850 transcript:ORUFI04G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSVWKGLGVAGSTSIVISDDEKKEIQQDVEDLEEEEERPGWLPDGWIMEVYQGDDGTIYRYYTSPISGLTFTMKSEVLQYLFSGMDERFLESKNCAADNQLINNSVYVSPTMTYMQMTHEWLPKGWIIEVRAGGKNMNKMYKFYVYPPAGVRLFSKEDVLLYINKSEITGFDTNGECDTRTKDNILANVEFNPHSLPEGWVKEVVFRKTKTGVIRKDPYFTDPVNNYSFRTRKSAMLYVQTGKVPKRAFIQRTSVHDLYSFEKSADLLKMVTPLMIYQIHQARLKKTRASLRRLHAKPKNRYPLTLPSVLLGDPQKDPLRKCLVTWKSNKRVQVAKNIGAENCDSIGLKKKLCSYFFSFRSIRRRHIKTLTLVFLLPLQFPSPQDTVRR >ORUFI04G06850.2 pep chromosome:OR_W1943:4:9284692:9290407:-1 gene:ORUFI04G06850 transcript:ORUFI04G06850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSVWKGLGVAGSTSIVISDDEKKEIQQDVEDLEEEEERPGWLPDGWIMEVYQGDDGTIYRYYTSPISGLTFTMKSEVLQYLFSGMDERFLESKNCAADNQLIMTHEWLPKGWIIEVRAGGKNMNKMYKFYVYPPAGVRLFSKEDVLLYINKSEITGFDTNGECDTRTKDNILANVEFNPHSLPEGWVKEVVFRKTKTGVIRKDPYFTDPVNNYSFRTRKSAMLYVQTGKVPKRAFIQRTSVHDLYSFEKSADLLKMVTPLMIYQIHQARLKKTRASLRRLHAKPKNRYPLTLPSVLLGDPQKDPLRKCLVTWKSNKRVQVAKNIGAENCDSIGLKKKLCSYFFSFRSIRRRHIKTLTLVFLLPLQFPSPQDTVRR >ORUFI04G06860.1 pep chromosome:OR_W1943:4:9297959:9299424:1 gene:ORUFI04G06860 transcript:ORUFI04G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPAPIGAAVEYAAARPYTKLRTQTGSTTFKGQGHLAGFLALARLLRRDALLPDGDVDVTLSSSDLPLREFITLLFEAFESLEPAFDGFLSGLVDQEGTTVCVVADGFVAWTVGVARRRGCPRTRSSCRAARSARPSSSETTTSEHDAVLSWLDTQRPASVLYISFGSQNSIRLHQTTKSSRRRWSPAAVRSSGPSARRWGSTCINGQFRDEWLPEGFEQRARGHVVHGWAPRVSILAHA >ORUFI04G06870.1 pep chromosome:OR_W1943:4:9302316:9308078:-1 gene:ORUFI04G06870 transcript:ORUFI04G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKEAFRRIIFCVLLLLCVSCKCLTSEVNTTQLAVLKVDASPQHARKIPETLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPNTPSSIDPWSIIGNESVISVATDRSSCFSRNIIALRMEVLCGDCQAGGVGIYNPGFWGMNIEDGKNYSLVMYAKSLENTELTVSLTSSDGLQNLSSATIQVAGTSNWTKLEQKLVAKGTNRTSRLQITTNKKGVIWLDQISLMPSDTYKGHGFRKELVSMLLDLKPQFMRFPGGCFVEGQWLRNAFRWRESVGPWEERPGHFGDVWGYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGFSHNEEINTTAIAPFDILDSLEFARGSTNSTWGSLRVAMGHPEPFPVKYVTIGNEDCTKKFYHGNYLKFHRAIREAYPDIQIISNCDGSSKPLDHPADIYDFHVYGDSNTLFSMRNKFDSTPRNGTKAFVSEYAVSSNGVGRGTLLASLAEAAFLTGLEKNSDVVQMASYAPLFMNDNDLSWNPAAVVFNSWKQYGSPSYWMQTIFRESSGAVLHPVTINSMYSNSLAASAITWKASNSSFLRVKIVNIGSNPVNLIVSTTGLEALVNMRKSTITILTSKNLSDENSFSKPTNVVPVTRELPNAGEEMFAFLGPYSFTSFDLALGQQKHVS >ORUFI04G06880.1 pep chromosome:OR_W1943:4:9310691:9315467:-1 gene:ORUFI04G06880 transcript:ORUFI04G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEVVKTEMVLAATFPFKKVQIADKYPKGQSRGRQWKHLRLLLQAADATSLPPDRPNYLNIQSPPSIYPPKRYCDITGFEAPYVDPRTKLRYADPEVFKQIRMLPDEYVQRREMGICALESVGKYISRSSVNQIVRDDFSSVVIADIMAGDVQFVLYRRS >ORUFI04G06890.1 pep chromosome:OR_W1943:4:9336012:9336446:1 gene:ORUFI04G06890 transcript:ORUFI04G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRRLAGRGLFYATPSTFRSPSSTLLSSTHIVLLLEENAGRSATTRCPQGVISSGCVPNHLAGVAVDTAEPPKSMDGEALPANARPRHHQLPAGEGHCQVAKVLVADIRRSLIYHPQAAMGIIGPLLLAPVMMRPCWAGMSD >ORUFI04G06900.1 pep chromosome:OR_W1943:4:9343981:9345367:1 gene:ORUFI04G06900 transcript:ORUFI04G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAVEVEGIVKLRPLYASRRRVPGAALRPWVWVLPGFTTNCSTSGPGREGERVAGAPVAGRWRRRRQSVFPSVACPGRAVSGRGSERGDRNGDDKSLPRPVWEVTVVANLGRGKEGEGNGFVSSRRTYWREGLVGNAPTREIGRRPAWGGEREEELELGCVWRKRATSPGTYRRQKAVMQGGSRGEDRGELRDGAVEKKKSAPALCSHWMSTAARARGSCCCVGWRRRSSTARRRWRRDLVATARRRRRRTKPWAAAALWLGRRVRPQRGERAAQLVGPAWAVRVRGGKEKCGPNSKGRKSNFVWRLNNLI >ORUFI04G06910.1 pep chromosome:OR_W1943:4:9361264:9366185:-1 gene:ORUFI04G06910 transcript:ORUFI04G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHTKTALLCLLGAVATTAWLSFAVAQPQPPPACRRRCGDVDIPYPFGLNGDAPGCALGHGTYGFNISCNDTGNGVYKPFIWDVELLGVLLPEGQARILMSISSYCYNPATGAMDGPENNTWALDFTSSPYRFSHTGNVFTAIGCRTLAYIGGDNVDADVGSLTTGCVATCRLQAGNLTVTDDDVGACSGIGCCRTSIPVGLQYYYVWFDDRFNTTAIHNVSRCSYAALMEKSSASWFRFTPAYVTSSAFNDTFNGQVPLLLDWAIGNETCEQARRASPESYACRSRNSECFDSPSGLGYICNCSKGFRGNPYLHPEDPSSCQDIDECTDQNMNNNCHGICRNTLGGFECICPAGTRGNASVGQCQKVLTHGVLLAIGICSSTVVGLLIFLGIEWIKYKRRLVRQDLMNKRDAYFRQHGGQLLLDMMKLENQVSFKLYDREEIELATNNFRESAILGQGGQGTVYKGFDLDPENNPVAIKRCKGIDANRRMEFGQELLILSRVRHEYIVKLLGCCLQFEVPVLVYEFVPNKTLHYLIHGQSDASTRTLDIRLEIAAQSAEALAYLHSLDHPIFHGDVKSANILIGDKFTAKVSDFGCSIFRAAADENINVVKGTIGYLDPEYLMTFQLTDKSDVYSFGILLLELLTRRKPLSNEVSLASLFQDAMKKGNIDHHIDKEILHEDNMELLYEFACLASQCLVMDSENRPAMSHVADILRQLADTASQQHTGTLQGIRSLRLLGNSSGSISEPCYSPVKTMEYDSRKTSMGIEFAR >ORUFI04G06920.1 pep chromosome:OR_W1943:4:9379652:9383508:1 gene:ORUFI04G06920 transcript:ORUFI04G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTATAATKFHRRQAPRSHQARSSSQHLPLLNPATTSFTPLWPLASRSTPLLASSSPPLLAAAARIALAVMADPLASLARAMEVVPDSEMEVAPVSSEVLLDSE >ORUFI04G06930.1 pep chromosome:OR_W1943:4:9409206:9409905:1 gene:ORUFI04G06930 transcript:ORUFI04G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTRTERKTEPTALGRVRRVASTWQRRTEASPHPAPSPLFTHHPSAYPTEGGSSNFPSPPLFHRRPPKRGTPPIANESSPSLSDSPTEIVVPTAVDQDKPSRRANIFSLKSSSKPLKNPRQWRSKCGDRPPSSSPPVAIAIVVSASSGEPLLDYSRTNHPCDLTTLLVVPFEPSPFTRRRTPSPGGCASRCCHQDPGKLQPNPR >ORUFI04G06940.1 pep chromosome:OR_W1943:4:9420280:9430016:1 gene:ORUFI04G06940 transcript:ORUFI04G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAGGRRAQQTQVTEERQKKMKHKAAQEIADGSSTSDTVHGAADGVCFPCGTSTATAHLLQPKHSQPQHEGYNSSYQKVLANADMDRDSNSSTMQTQVPTNTREGPTFTQLLLGDEDFDLPPYVPEAEENNQFYQQTMNENLNMNQLGNNGIETAELEPQEHIMTFASSFGVGSQLLGSQVIEVVDVVGGLTSQWGTQLHGGHLARVISYIRAFHDPEQQILSNLLLVDLKMFLGFLNTLNNMNSEFHFLLEQAKNPENNSMRLINIRGHLCAFSIDPFGSRFIQHKLERATPAELAMVYEEIVPHAHMLAIDVFANYALLGYGPTFYRRELIGKLTGHVVALSLHVYGCRVMQKAFEVSDMDQRIEMANEVGRNLMQCVYDQNGNHVVQKCLQCVPPKYIKLIHASFYWKAMVLSTHPYGCRVIQVDRYICSSFK >ORUFI04G06950.1 pep chromosome:OR_W1943:4:9443037:9443434:1 gene:ORUFI04G06950 transcript:ORUFI04G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETIADEWVVDLIVTVVNRNFFRLIHNIHGRHVLARLQIMLAARERRRLLALLTPPLYYMG >ORUFI04G06960.1 pep chromosome:OR_W1943:4:9451215:9454347:-1 gene:ORUFI04G06960 transcript:ORUFI04G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKRRSSKLEENHRQNDVPTPPRSTCPTAGCSSHPNASRPAAMRIFVLSGQSNMAGRGGVHHRRWDGVVPPECAPCPSVLRLTAALDWVEAREPLHADIDTAKTCGVGPGMAFARAVLPRLDPPGSGVGLVPCAVGGTAIREWARGERLYDQMVRRARAAAECGEIEAVLWYQGESDAESDAATAAYAGNLETLIANVREDLGMPQLPFIQVALASGNKKNIEKVRKAQLGINLPNVVTVDAFGLSLNEDHLHLTTESQVKLGEMLAQGLLICRPHYCKTVKYWFLIIKKPYLFFSNSTYPYTRWANLKSCIIGKLAKSCLNI >ORUFI04G06960.2 pep chromosome:OR_W1943:4:9451952:9454347:-1 gene:ORUFI04G06960 transcript:ORUFI04G06960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKRRSSKLEENHRQNDVPTPPRSTCPTAGCSSHPNASRPAAMRIFVLSGQSNMAGRGGVHHRRWDGVVPPECAPCPSVLRLTAALDWVEAREPLHADIDTAKTCGVGPGMAFARAVLPRLDPPGSGVGLVPCAVGGTAIREWARGERLYDQMVRRARAAAECGEIEAVLWYQGESDAESDAATAAYAGNLETLIANVREDLGMPQLPFIQVALASGNKKNIEKVRKAQLGINLPNVVTVDAFGLSLNEDHLHLTTESQVKLGEMLAQVYMSNFLPATC >ORUFI04G06970.1 pep chromosome:OR_W1943:4:9457841:9458348:1 gene:ORUFI04G06970 transcript:ORUFI04G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGMAIAVAELRGSGDGAASGGGRGELLQTAVADGGGDGDGSRDGDGGGVVRGSGDSTASSNGRIVRHWWPPSPDATLVASAGDDGARRTVGSGSSRSRSGASLVGVGWWHFGASVVDALVDRVSGVKTLLRSGASNSDALGHHSPS >ORUFI04G06980.1 pep chromosome:OR_W1943:4:9504658:9505692:1 gene:ORUFI04G06980 transcript:ORUFI04G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRDSIPMVSLASSAAALYARVASAFLRPGLPRLAALLPVVALLAAAPLAFTSSAMLRGTSAFFLAWLGAFKVVLLAAGLGPLAVDGLPVLSFLFTALLPVKLRRGGGCPGAAAKSVSLVSCAAKVAAIATILHLYESKIQLLHRYIRLAMYGIHIYCFLDLLLPCIAAAGSALGMELEPPFDRPYLASSLRDFWGRRWNLMVSAILRPSVYDPVRARAGKAAGVVATFLISGLMHEAMVYYMTLRLPTGEMTAFFLLHGVCCVAEEWCARWWVARRWPPPPRPLGSLLVMAVAAGSSFWLFFPPICREGSEEMLLEEWAAVAAFFQDAGRKLRRAPVRFTD >ORUFI04G06990.1 pep chromosome:OR_W1943:4:9517535:9518044:1 gene:ORUFI04G06990 transcript:ORUFI04G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWRARSDASHNSSAKRRRRGGGAATTFGEISYGSSTEVVLCSDDGMVAIESLAPRMSRLFRQHETLAWQL >ORUFI04G07000.1 pep chromosome:OR_W1943:4:9519904:9523119:1 gene:ORUFI04G07000 transcript:ORUFI04G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAHHLRRRSSAALPRGWCCSFSATPQSPDHHRPLSAASAAGGEGVRGGGARGKLPPKSPSVSLPSFQSSPSSRLAGFIDPRRILSPGRVSPIDPDGSPAVAAGANSEEDATPRPSVPFVAVREEREEEEGRGLDLKLCLRGRDGRSVAMELDSAVLCESSAFFAAMAPPPEATVGGGSKRIEVDGVENVEAFKETVELMFEADPMRWFVRAGVSRAIGVLEYSTTAADGYPALQTVSGLQLKVSSSIMFDRGIKSCLEYIEAVPWNENEEEKLKNLFARCTFDEAVSKDVLARLKPQCQSISDDVTVHLIQSVTSSTNTGARKEMQSLVNGLLSRSSVYQKDLSGLNKGSLYQICCSCLNSLVEHFTEDLCSDKIVRDSKPMIERVSKQTENLNWLFDILVNNDMAEEFVELWAKQEDLISMHGQASAMFRYELSRISANVFIVLGKGKVQCPSDLRSQLFYGWFQPMLMDFGWLQRCSKGLDMRMLEENLGQALLTLPLQQQQSLFEEWFQCFASRGTECPNLSRAFQVWWRRSFVRSSLESRR >ORUFI04G07000.2 pep chromosome:OR_W1943:4:9519904:9523119:1 gene:ORUFI04G07000 transcript:ORUFI04G07000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAHHLRRRSSAALPRGWCCSFSATPQSPDHHRPLSAASAAGGEGVRGGGARGKLPPKSPSVSLPSFQSSPSSRLAGFIDPRRILSPGRVSPIDPDGSPAVAAGANSEEDATPRPSVPFVAVREEREEEEGRGLDLKLCLRGRDGRSVAMELDSAVLCESSAFFAAMAPPPEATVGGGSKRIEVDGVENVEAFKETVELMFEADPMRWFVRAGVSRAIGVLEVSSSIMFDRGIKSCLEYIEAVPWNENEEEKLKNLFARCTFDEAVSKDVLARLKPQCQSISDDVTVHLIQSVTSSTNTGARKEMQSLVNGLLSRSSVYQKDLSGLNKGSLYQICCSCLNSLVEHFTEDLCSDKIVRDSKPMIERVSKQTENLNWLFDILVNNDMAEEFVELWAKQEDLISMHGQASAMFRYELSRISANVFIVLGKGKVQCPSDLRSQLFYGWFQPMLMDFGWLQRCSKGLDMRMLEENLGQALLTLPLQQQQSLFEEWFQCFASRGTECPNLSRAFQVWWRRSFVRSSLESRR >ORUFI04G07010.1 pep chromosome:OR_W1943:4:9523211:9523630:-1 gene:ORUFI04G07010 transcript:ORUFI04G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGAAASPSWCTPMAPGCGGWCTAATAAGPTNHPWFSSDSKSLVFTSDYAAVSAEPVSNPHHYQPYGEIYTVDIDGSNIRRLTHNSFEDGTPSWTPYFLDPRDVGETLQASGRCAFQDCHWLNIEDAQPEELIYGKSC >ORUFI04G07020.1 pep chromosome:OR_W1943:4:9523704:9525536:-1 gene:ORUFI04G07020 transcript:ORUFI04G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDKNAYFEVTRPVAPRVHRFNPFISLDGARVGYHRCWGRGNGDSPLLLENIKSPGPADTFSLFRIDGSFPPSRTTARRSHLLRERLPDVVGLEEERRDIHTSIGPDFAIESTEVDVVAISLGDDDDKTISMKKLTVGDENNAFPSPPPDGKWLVFRSGRSGAQEPVHLQTPRTARPVASGG >ORUFI04G07030.1 pep chromosome:OR_W1943:4:9527600:9557410:1 gene:ORUFI04G07030 transcript:ORUFI04G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRRLLHLRRLQPPAEHASSVASATPRLFPLLTPSRPFAAVSSIPRVEPPLLVVPSGLARDGFFGRRGDRQFFSTVGAVLVGQAAIFLGLCNDSALAQDDSAGLGATRNEQTEENATGLQRIEDGSVVSNEHTVKWRIFTDNARDFLLKATWFSTSFPDRELMCLLLDGENKDNLYPCAGPSFCISAWWRTYQAYNMDLLSCGKEKEFHILPFRMGGFRKSYWYQPMPSGLALEPYRNLDEAEKFFQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLYLEAIEILEESFGSDDIRCFCGTWTQELAATLVGTALHSLGICYHLQRKFALAQTCYERALKIEGRVMGIGHPEYASTMYLLGKVLSQQGKDAEALIEESIRILEESGLGESPICIQRMRYLSTGWDSFDTTNAAELLTMTLQTMGKLKESEELLERCLEVRKRILSEEHFQITYQVFEFFTTSSALLAINTDWEVFVPCAPATMLDWEAM >ORUFI04G07030.2 pep chromosome:OR_W1943:4:9555444:9557494:1 gene:ORUFI04G07030 transcript:ORUFI04G07030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSKKMPPTPMTASQNSSGGAKLQALEVAGLLESGMKNMLTPGEQDLYPVEQALNKCVSLYKEPHTRKFVSKTLKNEYIRCLRRLTGIVQSDFAVSEALTLQGLLAEAQQILEELGHESN >ORUFI04G07040.1 pep chromosome:OR_W1943:4:9561111:9570254:-1 gene:ORUFI04G07040 transcript:ORUFI04G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVRSAAAAAAVARHSLRPPLAAPGSIRGASLLPPQRARGVGPCRARARAASSSATGGGGHLPPLFSVAPMMDWTDNHYRTLARLISKHAWLYTEMVVAETIVHQKDNLDRFLAFPAEQHPIVLQIGGSNLDNLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEFVGDAMSAIAANCNVPVSVKCRIGVDDRDSYEELCEFVDKVVSKSPTRHFIIHARKALLSGLSPAENRKILFPGYHQYEYYFALLRDFPDVQFTLNGGITTIDQVTASIRQGAHRVMVGRAAYNNPWNMLGHVDSEVYGMPTRRSSRRQILESYQVYGDSIMGQYGPSRPNVRQLVKPLLNLFHSEPGNGLWKRKADSTLRHCKTLESFLEETLDAIPNSVLDAPIGKEAYIEEGHFADMDSLLPPRYSSLTNGSCEIPALVTAST >ORUFI04G07040.2 pep chromosome:OR_W1943:4:9561111:9570254:-1 gene:ORUFI04G07040 transcript:ORUFI04G07040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVRSAAAAAAVARHSLRPPLAAPGSIRGASLLPPQRARGLLRDWSVAPMMDWTDNHYRTLARLISKHAWLYTEMVVAETIVHQKDNLDRFLAFPAEQHPIVLQIGGSNLDNLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEFVGDAMSAIAANCNVPVSVKCRIGVDDRDSYEELCEFVDKVVSKSPTRHFIIHARKALLSGLSPAENRKVTASIRQGAHRVMVGRAAYNNPWNMLGHVDSEVYGMPTRRSSRRQILESYQVYGDSIMGQYGPSRPNVRQLVKPLLNLFHSEPGNGLWKRKADSTLRHCKTLESFLEETLDAIPNSVLDAPIGKEAYIEEGHFADMDSLLPPRYSSLTNGSCEIPALVTAST >ORUFI04G07040.3 pep chromosome:OR_W1943:4:9561111:9570254:-1 gene:ORUFI04G07040 transcript:ORUFI04G07040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVRSAAAAAAVARHSLRPPLAAPGSIRGASLLPPQRARGVGPCRARARAASSSATGGGGHLPPLFSVAPMMDWTDNHYRTLARLISKHAWLYTEMVVAETIVHQKDNLDRFLAFPAEQHPIVLQIGGSNLDNLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEFVGDAMSAIAANCNVPVSVKCRIGVDDRDSYEELCEFVDKVVSKSPTRHFIIHARKALLSGLSPAENRKVTASIRQGAHRVMVGRAAYNNPWNMLGHVDSEVYGMPTRRSSRRQILESYQVYGDSIMGQYGPSRPNVRQLVKPLLNLFHSEPGNGLWKRKADSTLRHCKTLESFLEETLDAIPNSVLDAPIALVTAST >ORUFI04G07040.4 pep chromosome:OR_W1943:4:9561111:9570254:-1 gene:ORUFI04G07040 transcript:ORUFI04G07040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVRSAAAAAAVARHSLRPPLAAPGSIRGASLLPPQRARGVGPCRARARAASSSATGGGGHLPPLFSVAPMMDWTDNHYRTLARLISKHAWLYTEMVVAETIVHQKDNLDRFLAFPAEQHPIVLQIGGSNLDNLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEFVGDAMSAIAANCNVPVSVKCRIGVDDRDSYEELCEFVDKVVSKSPTRHFIIHARKALLSGLSPAENRKVTASIRQGAHRVMVGRAAYNNPWNMLGHVDSEVYGMPTRRSSRRQILESYQVYGDSIMGQYGPSRPNVRQLVKPLLNLFHSEPGNGLWKRKADSTLRHCKTLESFLEETLDAIPNSVLDAPIGKEAYIEEGHFADMDSLLPPRYSSLTNGSCEIPALVTAST >ORUFI04G07050.1 pep chromosome:OR_W1943:4:9574977:9576828:-1 gene:ORUFI04G07050 transcript:ORUFI04G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEPSCCSSSSATLVRTRSAVPMRESGVSVLVAAAADYMKEYHRELCKRLLYHRFNNLHPKLHFYAHSVQAVSDACLGHFCSPLPSSPPTNICNKSSFRIVYVAVFVLNWKIARGTIIK >ORUFI04G07060.1 pep chromosome:OR_W1943:4:9599785:9600885:1 gene:ORUFI04G07060 transcript:ORUFI04G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDIASDDRRKPPLRMRMAIESSLIHSSRALQSTLHLVDNGGELILVQRMLWPDHYAHDDGSYRTKRSRKYEAWRVDFDAGILVPVKGFNWRALFISMSRAISVSAETLPFVAADTIYFGYCGNLERYSLADGSIELYQRWIQSSSAI >ORUFI04G07070.1 pep chromosome:OR_W1943:4:9607585:9626215:1 gene:ORUFI04G07070 transcript:ORUFI04G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADLVQRCLEAGGRDLLLHHPSSPPSPTSASASAAAASSILQSLPLHVSFDRGFYLLVKAIQELRERKDGLVVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMEDYRTVAGADDGSDVDAIDFDALARNLQVRARTCITSILTIMSAEQDLVKGKDTLMPLVDFQEKKRTGWRQLKISPSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKNELPDGHNLYFFNQNEGETENFIEMYLRPPFASEEIKIDDWIKVRQCGIRYYLSLGDQRIVDKYFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTCTSVSRDQLLIAAETIDTLNETFLVLKGPSRKIVAAEARKLGIKGPWITKSYLEMILESKGVPRLNTPPPISRKLLTESQEKKIVAPKPIRVSTENVANFDDFAQPWTRSPPKKFDQEPVMGKWQFNQDSSSGSNIQLAPLPDSYDLDRGLLLSVQAIQALLENKGFPVIVGIGGPSGSGKTSLAQKMANIIGCEVISLESYYKPEQVRDYKYDEYSSLDIGLLTKNIMEIRKNHKAEVPCFDFEKCKRKKFEELHVSEECGVPSSITFDLTRDHHDSMRMYTIKVIFEGVYALHPAIRKSLDLWIAVLKIQNDFDPVLSPESSLFVLKSKKQVSYQDILKVLDASKACSCVQNFTDVYLRLPGIPLSGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYRAVAYIEASATIYQDGKILIEVDSLQGETNPYLQIKGTNKEIVSSAASALSLDDSYTTKSYLQIILESLPADENVHTGIHNQQAARLQELVEFIQSQGGSFNSDLSSPTREVSSTDSFMDYITVCSCWILTLPEKDDAKALTIHTFDPNLTSLVLLSALILIRTFRSLMRCDEKNPLELAGGLTCSIMHTTGNRSFSCKSLTKKKRCI >ORUFI04G07070.2 pep chromosome:OR_W1943:4:9607585:9626215:1 gene:ORUFI04G07070 transcript:ORUFI04G07070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDADLVQRCLEAGGRDLLLHHPSSPPSPTSASASAAAASSILQSLPLHVSFDRGFYLLVKAIQELRERKDGLVVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMEDYRTVAGADDGSDVDAIDFDALARNLQDLVKGKDTLMPLVDFQEKKRTGWRQLKISPSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKNELPDGHNLYFFNQNEGETENFIEMYLRPPFASEEIKIDDWIKVRQCGIRYYLSLGDQRIVDKYFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTCTSVSRDQLLIAAETIDTLNETFLVLKGPSRKIVAAEARKLGIKGPWITKSYLEMILESKGVPRLNTPPPISRKLLTESQEKKIVAPKPIRVSTENVANFDDFAQPWTRSPPKKFDQEPVMGKWQFNQDSSSGSNIQLAPLPDSYDLDRGLLLSVQAIQALLENKGFPVIVGIGGPSGSGKTSLAQKMANIIGCEVISLESYYKPEQVRDYKYDEYSSLDIGLLTKNIMEIRKNHKAEVPCFDFEKCKRKKFEELHVSEECGVPSSITFDLTRDHHDSMRMYTIKVIFEGVYALHPAIRKSLDLWIAVLKIQNDFDPVLSPESSLFVLKSKKQVSYQDILKVLDASKACSCVQNFTDVYLRLPGIPLSGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYRAVAYIEASATIYQDGKILIEVDSLQGETNPYLQIKGTNKEIVSSAASALSLDDSYTTKSYLQIILESLPADENVHTGIHNQQAARLQELVEFIQSQGGSFNSDLSSPTREVSSTDSFMDYITVCSCWILTLPEKDDAKALTIHTFDPNLTSLVLLSALILIRTFRSLMRCDEKNPLELAGGLTCSIMHTTGNRSFSCKSLTKKKRCI >ORUFI04G07070.3 pep chromosome:OR_W1943:4:9607585:9626215:1 gene:ORUFI04G07070 transcript:ORUFI04G07070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDADLVQRCLEAGGRDLLLHHPSSPPSPTSASASAAAASSILQSLPLHVSFDRGFYLLVKAIQELRERKDGLVVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMEDYRTVAGADDGSDVDAIDFDALARNLQVRARTCITSILTIMSAEQDLVKGKDTLMPLVDFQEKKRTGWRQLKISPSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKNELPDGHNLYFFNQNEGETENFIEMYLRPPFASEEIKIDDWIKVRQCGIRYYLSLGDQRIVDKYFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTCTSVSRDQLLIAAETIDTLNETFLVLKGPSRKIVAAEARKLGIKGPWITKSYLEMILESKGVPRLNTPPPISRKLLTESQEKKIVAPKPIRVSTENVANFDDFAQPWTRSPPKKFDQEPVMGKWQFNQDSSSGSNIQLAPLPDSYDLDRGLLLSVQAIQALLENKGFPVIVGIGGPSGSGKTSLAQKMANIIGCEVISLESYYKPEQVRDYKYDEYSSLDIGLLTKNIMEIRKNHKAEVPCFDFEKCKRKKFEELHVSEECGVVIFEGVYALHPAIRKSLDLWIAVLKIQNDFDPVLSPESSLFVLKSKKQVSYQDILKVLDASKACSCVQNFTDVYLRLPGIPLSGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYRAVAYIEASATIYQDGKILIEVDSLQGETNPYLQIKGTNKEIVSSAASALSLDDSYTTKSYLQIILESLPADENVHTGIHNQQAARLQELVEFIQSQGGSFNSDLSSPTREVSSTDSFMDYITVCSCWILTLPEKDDAKALTIHTFDPNLTSLVLLSALILIRTFRSLMRCDEKNPLELAGGLTCSIMHTTGNRSFSCKSLTKKKRCI >ORUFI04G07070.4 pep chromosome:OR_W1943:4:9607585:9626215:1 gene:ORUFI04G07070 transcript:ORUFI04G07070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDADLVQRCLEAGGRDLLLHHPSSPPSPTSASASAAAASSILQSLPLHVSFDRGFYLLVKAIQELRERKDGLVVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMEDYRTVAGADDGSDVDAIDFDALARNLQVRARTCITSILTIMSAEQDLVKGKDTLMPLVDFQEKKRTGWRQLKISPSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKNEMYLRPPFASEEIKIDDWIKVRQCGIRYYLSLGDQRIVDKYFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTCTSVSRDQLLIAAETIDTLNETFLVLKGPSRKIVAAEARKLGIKGPWITKSYLEMILESKGVPRLNTPPPISRKLLTESQEKKIVAPKPIRVSTENVANFDDFAQPWTRSPPKKFDQEPVMGKWQFNQDSSSGSNIQLAPLPDSYDLDRGLLLSVQAIQALLENKGFPVIVGIGGPSGSGKTSLAQKMANIIGCEVISLESYYKPEQVRDYKYDEYSSLDIGLLTKNIMEIRKNHKAEVPCFDFEKCKRKKFEELHVSEECGVPSSITFDLTRDHHDSMRMYTIKVIFEGVYALHPAIRKSLDLWIAVLKIQNDFDPVLSPESSLFVLKSKKQVSYQDILKVLDASKACSCVQNFTDVYLRLPGIPLSGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYRAVAYIEASATIYQDGKILIEVDSLQGETNPYLQIKGTNKEIVSSAASALSLDDSYTTKSYLQIILESLPADENVHTGIHNQQAARLQELVEFIQSQGGSFNSDLSSPTREVSSTDSFMDYITVCSCWILTLPEKDDAKALTIHTFDPNLTSLVLLSALILIRTFRSLMRCDEKNPLELAGGLTCSIMHTTGNRSFSCKSLTKKKRCI >ORUFI04G07070.5 pep chromosome:OR_W1943:4:9607585:9626215:1 gene:ORUFI04G07070 transcript:ORUFI04G07070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDADLVQRCLEAGGRDLLLHHPSSPPSPTSASASAAAASSILQSLPLHVSFDRGFYLLVKAIQELRERKDGLVVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMEDYRTVAGADDGSDVDAIDFDALARNLQDLVKGKDTLMPLVDFQEKKRTGWRQLKISPSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKNELPDGHNLYFFNQNEGETENFIEMYLRPPFASEEIKIDDWIKVRQCGIRYYLSLGDQRIVDKYFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTCTSVSRDQLLIAAETIDTLNETFLVLKGPSRKIVAAEARKLGIKGPWITKSYLEMILESKGVPRLNTPPPISRKLLTESQEKKIVAPKPIRVSTENVANFDDFAQPWTRSPPKKFDQEPVMGKWQFNQDSSSGSNIQLAPLPDSYDLDRGLLLSVQAIQALLENKGFPVIVGIGGPSGSGKTSLAQKMANIIGCEVISLESYYKPEQVRDYKYDEYSSLDIGLLTKNIMEIRKNHKAEVPCFDFEKCKRKKFEELHVSEECGVVIFEGVYALHPAIRKSLDLWIAVLKIQNDFDPVLSPESSLFVLKSKKQVSYQDILKVLDASKACSCVQNFTDVYLRLPGIPLSGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYRAVAYIEASATIYQDGKILIEVDSLQGETNPYLQIKGTNKEIVSSAASALSLDDSYTTKSYLQIILESLPADENVHTGIHNQQAARLQELVEFIQSQGGSFNSDLSSPTREVSSTDSFMDYITVCSCWILTLPEKDDAKALTIHTFDPNLTSLVLLSALILIRTFRSLMRCDEKNPLELAGGLTCSIMHTTGNRSFSCKSLTKKKRCI >ORUFI04G07070.6 pep chromosome:OR_W1943:4:9607585:9626215:1 gene:ORUFI04G07070 transcript:ORUFI04G07070.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDADLVQRCLEAGGRDLLLHHPSSPPSPTSASASAAAASSILQSLPLHVSFDRGFYLLVKAIQELRERKDGLVVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMEDYRTVAGADDGSDVDAIDFDALARNLQVRARTCITSILTIMSAEQDLVKGKDTLMPLVDFQEKKRTGWRQLKISPSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKNEMYLRPPFASEEIKIDDWIKVRQCGIRYYLSLGDQRIVDKYFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTCTSVSRDQLLIAAETIDTLNETFLVLKGPSRKIVAAEARKLGIKGPWITKSYLEMILESKGVPRLNTPPPISRKLLTESQEKKIVAPKPIRVSTENVANFDDFAQPWTRSPPKKFDQEPVMGKWQFNQDSSSGSNIQLAPLPDSYDLDRGLLLSVQAIQALLENKGFPVIVGIGGPSGSGKTSLAQKMANIIGCEVISLESYYKPEQVRDYKYDEYSSLDIGLLTKNIMEIRKNHKAEVPCFDFEKCKRKKFEELHVSEECGVVIFEGVYALHPAIRKSLDLWIAVLKIQNDFDPVLSPESSLFVLKSKKQVSYQDILKVLDASKACSCVQNFTDVYLRLPGIPLSGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYRAVAYIEASATIYQDGKILIEVDSLQGETNPYLQIKGTNKEIVSSAASALSLDDSYTTKSYLQIILESLPADENVHTGIHNQQAARLQELVEFIQSQGGSFNSDLSSPTREVSSTDSFMDYITVCSCWILTLPEKDDAKALTIHTFDPNLTSLVLLSALILIRTFRSLMRCDEKNPLELAGGLTCSIMHTTGNRSFSCKSLTKKKRCI >ORUFI04G07080.1 pep chromosome:OR_W1943:4:9629936:9633926:1 gene:ORUFI04G07080 transcript:ORUFI04G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKSSGGGGGGSGMCDLPMDCIACIASLTSPGDACRLAAAAAALRPVADSDDVWGSFLPPEWAGDGDALDGKPGGREGESKEMFLRLCDSPVLLDGGKLSFSLEKRSGAKKYMMPARALGFGWSGYPYGGLVWIQNHPDSRFSEVALLSHSCWLDIYGIFNTKHLSNGTSYGAYLVYNVQFLHTEDQNGGYKEQDATASGSSSTSSICSHECNHLVPQKHLRSLLFNMDYDGSSFVKTNNNQKKELKYVGICVRSDGWMEQEISTEISVVKQNNEENGDISIEFRGLTGSHQCQIIVEGIEIRPKN >ORUFI04G07090.1 pep chromosome:OR_W1943:4:9635034:9642020:-1 gene:ORUFI04G07090 transcript:ORUFI04G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGNRCGLQPISLLQALATIILAVAAAAAAAWPAPIAGTSSSTSRVAAANGTCQSRVAPFGYACEEHTVTTEDGYILSLQRIPSGRGETAAGGGGGGKVPVLLQHGLMMDGVTWLMNSPNESLGYILADNGYDVWIANSRGTVYSRHHTSLVSSDSAYWNWSWDELSSKDLSAVVQYVYSQAGQQKMHYVGHSLGTLIALAALSDQQQQIGMLRSAGLLSPIAFLDKMSSPLARAAADVFLAEALYWLGLSEFDPTGEYVHSLVTDICKQPGIDCYNLMSAFTGDNCCLDNSSVQVFLAHEPQATATKNMIHLAQMIRGGTIAKYDYGNAGDNREHYGQATPPAYDVTAIPGDFPLFLSYGGRDSLSDVQDVSRLLRALGQSHSRDGDKLTVQYLADYAHADFVMARNAGERVYAPLMAFFKLQEK >ORUFI04G07100.1 pep chromosome:OR_W1943:4:9642763:9643043:-1 gene:ORUFI04G07100 transcript:ORUFI04G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRSEEAKHKNWKRDHVNEEFIVGRARSTVLEKMRRLEDTETHMHARNFNALPGGPGPWFNSRFTAADQSKTI >ORUFI04G07110.1 pep chromosome:OR_W1943:4:9681758:9684792:1 gene:ORUFI04G07110 transcript:ORUFI04G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRSNHRTALNAPPSPIPIPPHLHLHLALEPSLSSSSTSTGESVFIGSWRRDVQDGGGEGAGGAGHRRRRDAVLRGPAGFVGGGGACAARRDAPPRRAAGALRRRHGHHAPQLGHQRVRHRLLLRPRRLQVPGVRERALRRLLAGVGVLHRRAEAGDVVPLLGRFPPRPGVHVPDPGSGGGVGGATVPGVQRRQGGDVERGVRRLRWILPAGEDVGGHHLRLRCLLHPPLPHLLLPPLQRLRPTPAFPRQQGRRDRRLPPLIAAAAGDEEEDDHPDDHHHHDIPSY >ORUFI04G07120.1 pep chromosome:OR_W1943:4:9700398:9703725:1 gene:ORUFI04G07120 transcript:ORUFI04G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPQAAAGVPASRRVGDRRWDTRCGAGEAPHGCAEAWGAAPGAAPGAGGSRRGGVGAARRRRTAHHRKTAAEPAEPLLHHQSNMQEAMASSGKEHRRGVPRPPPLSLYIEREQGEVIMAGQALATTRMLQQVATSSSGKKRILSKQLSMKETTREVKWEKRRRQIHRQRSSMALQDVEEEASANMFAATTDSEMGSSTERVPKRLTDGDLDELRGSMELGFGFDEENGGQNLCDTLPALDLYFAVNRQLSEPKMRLSTSSLPSPTSATSSSSTLGGTSNPGSPVAPSSFMDSWKICSPGDNPQLVKTRLRHWAQVVACSVKHSS >ORUFI04G07120.2 pep chromosome:OR_W1943:4:9700751:9703725:1 gene:ORUFI04G07120 transcript:ORUFI04G07120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKEHRRGVPRPPPLSLYIEREQGEVIMAGQALATTRMLQQVATSSSGKKRILSKQLSMKETTREVKWEKRRRQIHRQRSSMALQDVEEEASANMFAATTDSEMGSSTERVPKRLTDGDLDELRGSMELGFGFDEENGGQNLCDTLPALDLYFAVNRQLSEPKMRLSTSSLPSPTSATSSSSTLGGTSNPGSPVAPSSFMDSWKICSPGDNPQLVKTRLRHWAQVVACSVKHSS >ORUFI04G07130.1 pep chromosome:OR_W1943:4:9718422:9718778:-1 gene:ORUFI04G07130 transcript:ORUFI04G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVWVFEDGMVRRADSEAPSRGRGVGGGGGGGKVLVHVPSSEVVTSYEVLERRLRELGWERYLNDPCLLQFHQRSTVHLISVPRDFSRLKLVHMYDVVVKTRNVFEVRDAATTASPP >ORUFI04G07140.1 pep chromosome:OR_W1943:4:9802992:9803828:1 gene:ORUFI04G07140 transcript:ORUFI04G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAAGLILALAFASSSPPAAAAVKFNVTEILHEFPEFSVFNGLLSQTRLAEDVNLRPAVTVLVVDDAAAGAITSLPEATQGEVVALQVLLDYYDPVKLYSLKAKTALLPTLLRPTAAGGGGGGVGLVKYTQVADDQMAFGSGEPGAPIGSQLVKVVACRPYNLSVMQVSAPIVAPSLGGPSSGGGTPPSSPATAKSADDASASSMTDYDDDPIAPAAVDGPGIVDTPPTKTTSPSTNGTSAAADGTTTSAGSCAVVAGAGVGLMAAGLVMLISV >ORUFI04G07150.1 pep chromosome:OR_W1943:4:9809425:9810711:1 gene:ORUFI04G07150 transcript:ORUFI04G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGDYGASVSMMISYGGAIVQADGKAKAAYYAGGVHRIVKVGMSERLPGLRARLAALAGCADVFIRYALPGEGLGVLRDVADDGDLWGLVSLLFYYKEVPVSSKPGRIRVFLFAADHDAPPHRTASAPSLPALVSGSASAAPPVTLGLPRSASSPSLATSGSGTAVRMKVSYGGEIIQQQRGGSAAASCYYAGGVHRIVRVGLSERLAGLRARLAALAGFSDSDDVRIRYALPGEEGLHLHDVASDGDLWSLVSLLFFHEAVMATSSKPKQGRIRVFLFAAHDAPATSSTSPTGAPLRRSVSSPFLPTLVEEDEDDDDNDGNTDTAAATQTSTSRVTATVGMRRSASSPALATTSSSSDAGTASTSGGATSGSSGDSDTPAMTSSTAAAATVQFGPVVLVPVMVVFPVIPVYAIGVVDYRSVLLVA >ORUFI04G07160.1 pep chromosome:OR_W1943:4:9811347:9815124:-1 gene:ORUFI04G07160 transcript:ORUFI04G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPPPPVIGKAGNLTVFITPPSPAEAEAEGSPDSPRSEFTTPSGSPRAAEDSTPPPSPPRAEQPPVKEEAPAASPQLATPPPVKTVSPPLPAAKLSSAAAPRPPPPVPPPQFEKAEARPDGSMLALFWDTVARVQEAHASLDEYISNWFGLDQSKYQWALNEYYESNGKDMESGKAAKPKELGTKLENCVKFHWEVDTSSAATSRPGHNL >ORUFI04G07160.2 pep chromosome:OR_W1943:4:9811347:9815124:-1 gene:ORUFI04G07160 transcript:ORUFI04G07160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPPPPVIGKAGNLTVFITPPSPAEAEAEGSPDSPRSEFTTPSGSPRAAEDSTPPPSPPRAEQPPVKEEAPAASPQLATPPPVKTVSPPLPAAKLSSAAAPRPPPPVQVPLPSAKLSAPMPPPTPPPVQVPPPQFEKAEARPDGSMLALFWDTVARVQEAHASLDEYISNWFGLDQSKYQWALNEYYESNGKDMESGKAAKPKELGTKLENCVKFHWEVDTSSAATSRPGHNL >ORUFI04G07160.3 pep chromosome:OR_W1943:4:9811347:9815124:-1 gene:ORUFI04G07160 transcript:ORUFI04G07160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPPPPVIGKAGNLTVFITPPSPAEAEAEGSPDSPRSEFTTPSGSPRAAEDSTPPPSPPRAEQPPVKEEAPAASPQLATPPPVKTVSPPLPAAKLSSAAAPRPPPPVQVPLPSAKLSAPMPPPTPPPVQVPPPQFEKAEARPDGSMLALFWDTVARVQEAHASLDEYISNWFGLDQSKYQWALNEYYESNGKDMESGKAAKPKELGSKSNSFVCFICVAKLENCVKFHWEVDTSSAATSRPGHNL >ORUFI04G07170.1 pep chromosome:OR_W1943:4:9818617:9826551:-1 gene:ORUFI04G07170 transcript:ORUFI04G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADRKAMANQANVPADPQFQSRTTYRVKNKKFIDHLEEILQSYVDRREQVRLIKELYGNQIGELKVTVSIRYSDLLFTLPSQARVLAWPLRSSRGISVTERRASRSASAQLVPFRLPYAEDALKTLSLPEAFAEIVLSLPRALKRILSSQESD >ORUFI04G07180.1 pep chromosome:OR_W1943:4:9835303:9835928:1 gene:ORUFI04G07180 transcript:ORUFI04G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNRLIFTIKGRPSHTYRGGRRNKVKQKASTRLKALHHGRHTTAHTNNKLVHGVHAWSPEVAAGVTILLGNAEDKMTSHAKLLSVMLSQARHRRVGQCQF >ORUFI04G07190.1 pep chromosome:OR_W1943:4:9836497:9837104:1 gene:ORUFI04G07190 transcript:ORUFI04G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSPAHWNPIRPSETKLTSNDRGIRILFRFGLTSSRLFLAQQEQQQFRVGVLVAMVQHPLW >ORUFI04G07200.1 pep chromosome:OR_W1943:4:9838342:9847224:1 gene:ORUFI04G07200 transcript:ORUFI04G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTPPSVQPAPTVQPLPSSTAGQSTRIDLREIKSNIIKRIGPGRAKKYFQHLERFLSSKLNKNEFDKLCLVTLGRENLPLHNHLIRSILHNACRANGPPAIDAPKLAGDVSKSEQILHPVWNNGNVSIQHVKDNRSLTIEQEGAPIIRENGAPNFSDLKRCQQVQNSEHAEPRNKRLHYEKEPPSFLEPSHSNGPSAISYGENSGGENIHRIQGPVRAPLGIQFSPVNFGGIQKSSAIASVPPNDSSVSCYELGELCDTMLLSKRMEKVAEAAGLEGVSVECANLLNNGVDVFLKQLIGSCVQLVGTRSQLGKLSHASLKQQLSRKLINGVSLKNHVHGQGIIIPTGPNSISIQDLKAVSELSPHLLGVNASLLREKINSYD >ORUFI04G07200.2 pep chromosome:OR_W1943:4:9838342:9847224:1 gene:ORUFI04G07200 transcript:ORUFI04G07200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTPPSVQPAPTVQPLPSSTAGQSTRIDLREIKSNIIKRIGPGRAKKYFQHLERFLSSKLNKNEFDKLCLVTLGRENLPLHNHLIRSILHNACRANGPPAIDAPKLAGDVSKSEQILHPVWNNGNVSIQHVKDNRSLTIEQEGAPIIRENGAPNFSDLKRCQQVQNSEHAEPRNKRLHYEKEPPSFLEPSHSNGPSAISYGENSGGENIHRIQGPVRAPLGIQFSPVNFGGIQKSSAIASVPPNDSSVSCYELGELCDTMLLSKRMEKVAEAAGLEGVSVECANLLNNGVDVFLKQLIGSCVQLVGTRSQLGKLSHASLKQQLSRKLINGVSLKNHVHGQGIIIPTGPNSISIQDLKAVSELSPHLLGVNASLLREKINSYD >ORUFI04G07200.3 pep chromosome:OR_W1943:4:9838342:9847224:1 gene:ORUFI04G07200 transcript:ORUFI04G07200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTPPSVQPAPTVQPLPSSTAGQSTRIDLREIKSNIIKRIGPGRAKKYFQHLERFLSSKLNKNEFDKLCLVTLGRENLPLHNHLIRSILHNACRANGPPAIDAPKLAGDVSKSEQILHPVWNNGNVSIQHVKDNRSLTIEQEGAPIIRENGAPNFSDLKRCQQVQNSEHAEPRNKRLHYEKEPPSFLEPSHSNGPSAISYGENSGGENIHRIQGPVRAPLGIQFSPVNFGGIQKSSAIASVPPNDSSVSCYELGELCDTMLLSKRMEKVAEAAGLEGVSVECANLLNNGVDVFLKQLIGSCVQLVGTRSQLGKLSHASLKQQLSRKLINGVSLKNHVHGQGIIIPTGPNSISIQDLKAVSELSPHLLGVNASLLREKINSYD >ORUFI04G07210.1 pep chromosome:OR_W1943:4:9848443:9854204:-1 gene:ORUFI04G07210 transcript:ORUFI04G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSVAAAADGGDIEEVDRLKKRIRTLEMEETKLNQHMERVIRGIEANEAYLVGMVLRIMEKGPEDETAEDDCDVGFHLQRKIIFRPIAGVVYPSKLKPGDLIGVDSTSNEHYCGIGGLEKQIEELVEAVVLPIIHKNCFQRLGIHPPKGVLLYGPPGTGKTLVAHAFASQTNATFLKLTGPQLAVKLIGEGARLVRDAFQLAKEKAPCIIFIDEIDAIGSKHFDSGDREVQQTIVELLNQLDGVGSYESIKVIAATNRPEVLDPAFLRSGRLDQKIEFPHPSEQARIHSRKMDKNPDVNFEELACCTDDFNGAQLKAVCFEASMLAFHRDATEVRHEDFVRAIAQVKDGNY >ORUFI04G07220.1 pep chromosome:OR_W1943:4:9858343:9863567:-1 gene:ORUFI04G07220 transcript:ORUFI04G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKKDPPSRDRAARMSPNLKRSSGIEASSAAGYGPRRARSVPSSPDRKFGAAAAAPAAASGSPDVYRPSLSAAGRSTSARSVSGSSRTQPFPKPTLARVKSERATTAAAASPRPPALAVPASNSLKDMARTAPSASSKAPSTLQKSKLSPRPSPDKAVASLKPITQRSPASVTARGGRTTVVSSSRVPGNIAAKKRAESANGGSASSKARSGAPQRAMAASATSKEEKEDEPSMQFEESESISTPSIEDHLHEQLPDPVDLKPLDMSASDSALYGQQAPSSDIPEQQSKNEEVKESFSEDKDVVVGNELHNGGQGADDIAKNITGIVKADDQSQLAEKEEAKAKVDKVWRKDEPKSNDVIEETKSKLLEERKSRVKALKLNEMLILVDWEGNLILLLMLCKLPEVKYVDRKHEMKKGGSHQGFPYCFGRDYRNPAVLRLPRKPRVLLMGIGGVRLQFGIGVGVAALEIKLNRPFTGEYGSSVRMMVSYGGEIVQGDHGPDGKAAAPCYAGGVHRIVKVGLSERLAELRQRMAALAGCRDVCIRYALPGEGLGRLRDVANDGDLWGLVSLLFCHDASKTGRVRVFLFAVEAPLLRSASAPSSLPALVEEDATTAVSGGAVTLGLPRSASSPSLATSDSGTAVRMKVSYGGEIIQKQRGGSAAASCYYAGGVHRIVRVGLSESLASLRTRLAALAGFSGSDDVRIRYALPGEEGLHLHDVASDGDLWSLVSLLFFHEAVMATSSKPKQGRIRVFLFAADDAPAAPPLRRRSASSPSLVDVAKHQGALPALAEEEEDMDIDTAAATSPAGVSVTRTCQGMRRSASSPALAPPPPSESGTAAATSTSSSSSGDGVQFAPVVWGATDPRVAVYPLFTCCVPRGIVKTDNEFGLGI >ORUFI04G07230.1 pep chromosome:OR_W1943:4:9873145:9873621:1 gene:ORUFI04G07230 transcript:ORUFI04G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVEEEKVRGEEEEDDRPQLSAAAVEALPEFLLEQRRDGGEEGSGGVEPVAEDWRLSQFWYDERTERELTEEVVRLVSLSGPASSATAAAVACVACPTLYAYLKTSNPKGVGDNGGVRGTVRSSAVEEATGRGGGSKTASRSGGTQRRSDRRWRGI >ORUFI04G07240.1 pep chromosome:OR_W1943:4:9879966:9882139:-1 gene:ORUFI04G07240 transcript:ORUFI04G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLDSPLVPQQVATKNHPLLYLSSLHVLLNPRSAPNATNDGDRIELRVMHFSGHGDWTTNRDRPTFTLYPLQECYKLWRWGTQMIKVFSKIKMNALAINVFLQHTSAWAHIGIKRMGELDIIAFKNAVKQKSPEEAAILCSKWEAEITKPEWHPFMIVMVDGKEMEVIREDDAKLVELKEELGEEIYTTWRCSRIEINEYNYNPSDRYPVSEPWNYKEGRKVTLKEIVQFIMRQWTRKRKR >ORUFI04G07250.1 pep chromosome:OR_W1943:4:9905280:9905636:-1 gene:ORUFI04G07250 transcript:ORUFI04G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASNTAVNSNIIAAFGKKGVSPRHDGGGLIQNLTDAHPYYSIAATRLLGVAIGSDDDLWSFAWSVASPSPSAPVFPSHTSMATSRPRALATTAFNLHDGVVDGEVDLH >ORUFI04G07260.1 pep chromosome:OR_W1943:4:9905811:9906485:1 gene:ORUFI04G07260 transcript:ORUFI04G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRKETTLGQDEPDSRRRERAATLMTTAPVVGAPRHLRRAGRGRSNGLGRARCGIFGCRTMRASDSLATAALSNWLAAVAVTRRSRGLDGAVEYGLTAVAAEHGVGALGDGQWAPVDGVNGVDAVAGAAVDVVWEALIIAEVEAAGDAERWRQRGGMENAMEWHGSNLPKL >ORUFI04G07270.1 pep chromosome:OR_W1943:4:9922710:9927276:1 gene:ORUFI04G07270 transcript:ORUFI04G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLCAIAILPVILVALLDTIPLASASSLMNHSQPSMATLASCPKSCGQMSIHYPFGIGAGCFRQPDFNLICDNSTQPPKLLLHDGTTEYNYSWNLSSFSIFDASLNITGCEFDTYIINNKSYSPTTAICKSSCPNKEITETVARQSCNGTGCCTIYVGIDVANLHLRFVRHGREGFLGVNSSRSSLWNRINIVSSYASVMWGINNRPTCASVKDQNQTDYACASANSQCVDSISSTDLGYRCECNSGYIGNPYVLDGCTRDEGYHPVQQKANCSRWCGNISVPFPFGLEEGCTARKLFELNCTNVTSSTLQFNRGHVVTDIDLAEGVVGIKLITSYYVEQVFRMYISGEPYLYASFGEAVISVHWAAANLTCQEAQKNHSRYACVSANNTCLGVNSTDGYVGYRCKCMDGFHGNPYVANGCEDVDECKKTPGIFKGICHNNIGSYQCMECLDKTEYDVTAMQCVSRKKQNLLIGIVIGLSVGFTILLFVMSGMLLLRRWKRDIQRQLRRNYFRKNQGLLLEQLISSDENASDKTKIFSLEELEKATNNFDPTRILGRGGHGMVYKGILSDQRVVAIKKSKIIKQDEIDNFINEVAILSQINHRNIVRLFGCCLETEVPLLVYDFIPNGSLFGTLHADANPEYYHTGQLNKKSDVYSFGVVLIELLLRKEPIFTSETGLKQNLSNYFLWEKKVKLIRDIVADQVLEEATEEEIHTVASLAEDCLSLRRDEIPTMKQVEWALQFLLNKRLNSYCTVQANKEEMDPFIMTKVQHSTENSNVEFLSNKATISSYQPGLEHEFMSSATIPR >ORUFI04G07280.1 pep chromosome:OR_W1943:4:9933034:9933877:-1 gene:ORUFI04G07280 transcript:ORUFI04G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQATLASSSNRPKQAFTLAVPASSPICFAGRPLPQMSVDREHVPLPTLPPSGHRRANTPFALSSLFSRLTVRQRKKRTKEEEREKEKGKERLTGGPQHSNSSYFPYLIQIQYFRSLYLLNHRSDSPPVRTLRLPWGRSRSTSPDVESLVVKPGKIKSKVEVLLLAPHASMCTTVGASLFSRPPQYAAQLALGLCVILAAPPPLTVVGVTLPIQTFYSSSRFAVC >ORUFI04G07290.1 pep chromosome:OR_W1943:4:9969810:9970711:-1 gene:ORUFI04G07290 transcript:ORUFI04G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPQPQGGLPRELPPDVERETGGARQRGAGRGRSARNSLHLVEKPSKRLPTLHQASSVASRLTRAGGGPPLLATTGCGAGRGEGDHGVCTLDAELGDEAQVAAVPTVGEIGEHSGVGGFIRGGGGGGERGEDGDDGQVDGEDGKSDSGGMVPILKIYSGI >ORUFI04G07300.1 pep chromosome:OR_W1943:4:9993467:9998171:1 gene:ORUFI04G07300 transcript:ORUFI04G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFVRGDEGLLGGNSSRNSLWNIINIRSWYAYVTWSIIDQPTCASAKDNRTDYACVSANSTCIDSFNSMEYLGYLCYCSSGFIGNPYVLHGCTRDEGYYPVQQKANCSRRCGNISVPFPFGLEEGCAARKLFQLNCTNVTSSTLQFDRGHVVTDKDFAEGVVGIKLASYFEEQEFSMYRSGEPDLYASFGEAVISVHWAAANLTCQEAQKNHSRYACVSANSTCLGVDSTYGYVGYRCKCMDGFHGNPYVVNGCEDIDECKKTPGICKGICHNDIGSYHCMECPDKTEYDVTAMQCVSRKKQNLLIGIVIGLSVGFTVLLFVLGGMLLLRRWKRDIQRQLRRNYFRKNQGLLLEQLISSDENASDKTKIFSLEELEKATNNFDPTRILGRGGHGMVYKGILSDQRVVAIKKSKIIKQDEIDNFINEVAILSQINHRNIVRLFGCCLETEVPLLVYDFIPNGSLFGILHADARSSFRLSWDDCLRIATEAAGALCYLHSAASVSVFHRDVKSANILLDANCTAKVSDFGASRLVPINETHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLIELLLRKEPIFTSETGMKQNLSNYFLWEKKVKLIRDIVADQVLEEATEEEINNVASLAEDCLSLRRDERPTMKQVELALQFLLNKRLNSYRTVEANKEEMDPFIMTKVQHSTENSNVEFLSNKATISSYQPGLEHEFMSSATIPR >ORUFI04G07310.1 pep chromosome:OR_W1943:4:10006202:10007285:-1 gene:ORUFI04G07310 transcript:ORUFI04G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRKRVGRVRWRRGGDGDGGGGGGLCEEETPGAERRGKDAGGGGEKATAWDSGWMDFAADVDMEGASERGGGEGWKWRRAEEATAMGGRRRRRGGGQRWRMCFGRRLLYGRTI >ORUFI04G07320.1 pep chromosome:OR_W1943:4:10008662:10009392:-1 gene:ORUFI04G07320 transcript:ORUFI04G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGASRNGKDAQGGGRRRRRHGAGGLGGRSGAYRGGDGNGERGENAVMEAKRRAGGVDAFWEEMGFAVLNNNLSEILVNSLRGKIMFFYHDDIKVFQMHSYSCIMKCNLCEVSVITRVVNIFNLTY >ORUFI04G07330.1 pep chromosome:OR_W1943:4:10009723:10011850:1 gene:ORUFI04G07330 transcript:ORUFI04G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNIGASVSPIPPMNPSIPRRRAKDAALRREAERYVSTAKDLLSGQITEDKIERCRHLLIKALGLDPCAPSGQALLVAAVALRATHHHRYRLPSGAPDPYLVFGLNPAVLTARDPAVIQSYYHQASDLLNRSLCSHPLDPCYPAFSHAARLVADAWAVLSDAHRKESLDSRFEKPPPPPQPLAPPTAQPGRGTSAATTIPSDVAHGRRAG >ORUFI04G07340.1 pep chromosome:OR_W1943:4:10019996:10022180:1 gene:ORUFI04G07340 transcript:ORUFI04G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVWSHGGSGNLALFHRMLDEGVRPNGVTFLSLLSACSYSGLVNEAHELFDCMTTMFGITPELGHYTCMVDVLGRSGNLDDALQVISDMNVKARWENLGTYSNSKLASYAAQKLMELEPGNVGYHVVFSNTQASSDRWDEVESIRSSMVEMDLQKLPAWTCVAETGCEIEVSIDGPCSQCTVLRNRSPRGRRCQWGLLVAGRVQLLARGSNRSDWMGCEYLALLAVKFSARTEADV >ORUFI04G07340.2 pep chromosome:OR_W1943:4:10018565:10019992:1 gene:ORUFI04G07340 transcript:ORUFI04G07340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFGTGLVTFRFRWNGSKLFPVFRRAPALLPSSPSTSRAGAAHLSSNSSTAGQWKAAIRGCLDSGFPAAAVSTFTAMLRAGARPDGYTLPLLNRAAASLPAHRGEAGIVGAAHAVGVRTGFAANVYFCNTLVDAYARRGMVARARKLFDEMPARDVVSWTSLVSGHAGVGDVREVSCLLSGMRVDGCEPSAVTLTVVLRACTSNEDVVGGGQLHYYAVKSGLSDNLLVLNSILMYLCRMPALDDAVALFKQSPRREAISWNIMISEYSSEGNISKVAEMYQRMRREEVCPSCQTLTTVVAAFAKCKIQRHRQLHMVSHELELHLLLAVYRSNTFVWANVKFYICSSVDMLQGLVISYRELGALRLCKATHGYMIRNNYEAQSEKSALVTSIVKLYARLLAVGALIWREGALTASIRKILLPGVQLLKHT >ORUFI04G07350.1 pep chromosome:OR_W1943:4:10022260:10026991:1 gene:ORUFI04G07350 transcript:ORUFI04G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVLLIALGKITAALGADVLQQIRNLLSTEVSLFVQLTGRMNRIKKELSVIHAFLSQADLQGVQTRTVEAWVDAVRKVALDVEDVIDEYVHLLGQQKCGWFTSVKGKFGRSQHLCLWLQIVERLKEIERDLWHISEMKDRWIHTSTELLGRGNLDGDYHCALPYSPQCGYFINSDDMIGFGNYKMLLINWLAQKDSSTSVMVILGMGGIGKTTLASNVYETEKSGYDCSAWIATSQVYNICSLLRTTIRHCFKNTKEIPPNVDIMDQHSLIVELQEFLRGRSCLVVIDDVWDHVSIGTILKAFSHSEHRNKIIITTREIQIAKFVDQSYMIQMEKLEESEAWDLFCRKALLNDKEKSCPEELVSIAKDIMKWCCGLPLALVTMGGLLSLREKNNSEWKRVYNNLLCSFDNDPGLNHLKHVLNLSYRYLPEYLKDCFLFCSIFPENSMIKRKHLIRLWIAEGFVEDRAGTTMEELAHDYLSELIRRGMLQVMKRNENGRVKHCRMHCIIREVTISLCKSRGFHMIWGNKESTSAYEARRFAIHGINQSSSEILNDLPHVRTFLSFDVTMSEILLSRIVCSSRYLTVLDVSGALFIREVPKQVVSLFNLRYLGLRRTKVKKLPSSLGRLANLQTLDLHHSCISRLPSGITKLEKLRHLFVETVKDSSFQSLNACSGVGAPSGICKLKSLQTLFTIEASKCFVQQANKLVQLKSFRITKVRGSHCSVLSESIKRMKQLVYLDILASDEEEILDLDISPPPSTLEKLCLRGKLNDSNLHSFFNSFCNNLTCLFLGWSSLSRDPLPLLSQMTNLAFLWLQRAFDGPQLRFVLGWFPRLRRLHLKDMDHLHSLEIEEGSVVNLEVLEMTGLNELNDIPGGIFFLNNLQEVYLDSMHKDFINHQSEGENVEDFPRFIYGHSPNFWRGEDVAYYTSGNYIC >ORUFI04G07360.1 pep chromosome:OR_W1943:4:10038351:10038828:-1 gene:ORUFI04G07360 transcript:ORUFI04G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTARRNMRGHVDHLHNSNNFDAISVSGSDGSSGRFTLKNNASMQDNSLSTCFSTQTSSTASARVRVARRSPHFLVVVLRGGGRWWGLADGVGDGPWIARDEIGIGKGDEVVGVGGVVGGVGEEEEQLEEARMPAAAAAPATSSPPPAGLPKEKSV >ORUFI04G07370.1 pep chromosome:OR_W1943:4:10039393:10039812:-1 gene:ORUFI04G07370 transcript:ORUFI04G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTGAGDVAASGGAPPSVEKTTTEGGNAAVVGDRGGAARGRRDGVATRRRRAGRCGNGRRGGLTSLAAALGGLEAVLIVARSDDSSRPHPPSAAAAAAFPPSVVVFTHGGARARRVLRPATVAPEEAIEAPLKRNHR >ORUFI04G07380.1 pep chromosome:OR_W1943:4:10056506:10057340:1 gene:ORUFI04G07380 transcript:ORUFI04G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFGVLSLLLEEHTRTKLMELEPGNVSYHVVFSNAQVGTDRWDEVESIRSSMVEMDLQKLPAWTCVSKTMQQLGCFTFARRRSKMKSCCEVAGVWSWCHSHKSQVKVEAAITGRRRPRPPGWHDSRVEQDLYDNGKIC >ORUFI04G07390.1 pep chromosome:OR_W1943:4:10068305:10068511:1 gene:ORUFI04G07390 transcript:ORUFI04G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQHRARALAGGGGANGGKNAATQMGEEGSDVRMLRAAAAQMGKKRAAHACITGGGITDVKKAVAA >ORUFI04G07400.1 pep chromosome:OR_W1943:4:10070050:10072082:1 gene:ORUFI04G07400 transcript:ORUFI04G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITMDLMSGYGRVDEQVAIQEAAAAGLRGMEHLILQLSQTGTSERSPAPAPAQEQQQQVDCREITDMTVSKFKKVISMLNRTGHARFRRGPVVAQSSGPAASEPAPVRSSPSAVSRPMTLDFTKAASGYGKDAGFSVSGISAASSSFLSSVTGDGSVSNGRGGGSSSLMLPPPPATSCGKPPLSSAAAAMSAGVGHKRKCHDHAHSENIAGGKYGSTGGRCHCSKRRKHRVKRTIRVPAISSKVADIPADDFSWRKYGQKPIKGSPFPRGYYKCSTLRGCPARKHVERDPADPSMLIVTYEGEHRHTPSAAGQDHPPAPPPPLALPLA >ORUFI04G07410.1 pep chromosome:OR_W1943:4:10124285:10126043:-1 gene:ORUFI04G07410 transcript:ORUFI04G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTARGGHRLPKATPRSPGGRGEGIGGAQASSSCPLNLAANEDPFSLTVLGEEEKAENGIWLQRQWCNDGWSTTRRWCQWTAYGLRLMMASTEISEVAAYALQMMANSERQHQMVEVKPEGWKMVEKKEDGSRLILTNDATAMDDVWG >ORUFI04G07420.1 pep chromosome:OR_W1943:4:10133348:10134217:-1 gene:ORUFI04G07420 transcript:ORUFI04G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAMLLLLPLLSLIMSSGSLALNQDFCVGDLARGDTPAGYPCKPEATVTAEDFCYRGLATTGPTVNPFNIALSSAFSTRFPGVNGLGISAARVDFSPGGIVPLHSHPSGTELIYVVEGTLSAGFISSTSNKVYTSTLRKGDLMVFPQGLLHFQINDNGGGGDGNNATAAMAVSFYSSSNPGLQIMDFALFANNLPTDVLSKVTVLDDLEIRKLKSLFRGTG >ORUFI04G07430.1 pep chromosome:OR_W1943:4:10138144:10142082:-1 gene:ORUFI04G07430 transcript:ORUFI04G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHMTHQLQEAVNGVMRLCGYASLNQSCESTPLAPNAITSSYPLEPKMYGRDAEMESIKNLIMGNKSNDITVLPIVGIGGIGKTTLSQHVYNDPEIGNQFEIKIWVHVSDKFDVVRITREILGCVSNRSYQGISNFNMLQQDLEKHMKSKKFLIVLDDVWDVTTEDCWNKLLGPLRPNHVNASEVTGNMIIVTTRILTVTQRCGTAGSINLEALEDGDIWSLFKAYAFSTDQHGSNQNLENLGRKTIKELKGNPLAAKTVGSLLRRNLTEDHWINIIENKEWQSLKHTDGIMHVLKFSYDYLPNQLQQYFSYCSLFPKGYSFSKAQLIKIWIAQGFVEKSSEKFEHKGWEYLTELVNSGFFQQAESWWSSSVVFVMHDLMHDLARLVSQTECATIDGSECRELAPSICHLSIVTNSAYCKYQNGKLSRNEEFEKSLMKVMSRSKLRTLVLIGQYNHHFFKSFQDAFREAQHLRLLHITAAYADLDSFLSSLANTTHLRYLRFKNKESHGAFHLLLERVTHEALPHALSKCYHLQVLDIGSYGSPLIPDDINNLVSLRHLAQKGVCSSIASIGEMASLQKLTNFKVENSIGFEITQLQCMSEPVEPGVSRLENVTTQQEASGASLKSNHCLEGLHLFWKGVQNGYDSDGNRYDSGGSSENECDGNMISEPSMHSETEGERLQMSDSNGAPSLDHILDIASEELEGLEPHHNLKYLRISWYNGTKAPTWLSTSLTYLQTLRLENCAEWHTLSLEGLSLLRKLVLIEMKNASVLSIRSPQDIILIGMQNLHTCSCTSMVDFNSSLRILEIKRCPVLKVFPLFENCRNLGCSWLPHLSNLTIDDCPDFTVPHPFPPSTTVSEFFINGISTLPTMRSYEGIFYIRSNSFSDKLTAMDKTVLPYHNLSRFVTTLHISQCRNLRYISLEGLRQLIHLKRLEIDECQNLFSSDVPQEPTSTREDMVAGIGNRNDRPSLELVSITECGITGKWLSQILQHVQGVQELTLRNCLAVTRLSMGEEEKCQLELLLDLEASSSRHPSDASISSAEDGLLRIPLNLVSSLKKIHCSCSDKSRNFSLSSVHNGKNDDQASVHDYSLQTALPCFIGKPVRLKKLLVQNNASLECLQLQCCTALEELEIRECPQLTKIEVSQSLCNLRFLKVYKCPSLSPSFLWFPGRVDELFPRLERLEIDDPRILSTSFFKYLGSLKRLELEKHRRGEERLLDEQEKALQHLSSLQELKFACSEFIDFPAGLHRLPSLKRLEIWHCTRITRLPEEGLPPSLEELDINLCSKELTYQGRMLPSNLNVQIKQYTRVRR >ORUFI04G07440.1 pep chromosome:OR_W1943:4:10142137:10150339:-1 gene:ORUFI04G07440 transcript:ORUFI04G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEGNLLESSIGWLADTIVENLDNDKFGAWISQVGLADDTEKLRSEVERVEVVVGAVKGRAIANKPLARSLGRLREVLYDADDAVDELEYYRLQHQLQGDSCADEHGVEQAARPSCNAGIASSSGGKKRSKAWEDFDITEKENGKAVKARCIHCHTVVKCGSDKGTSVLHNHLKSDNCKKKREAIGPPPDPSSAGEGAPNAPGNSVGRKRTRMDGT >ORUFI04G07450.1 pep chromosome:OR_W1943:4:10160724:10165700:-1 gene:ORUFI04G07450 transcript:ORUFI04G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQNPSSSSSSKATPPPTPPPQQQQLLLLFFHLLLVVPATSLTFSYDADSFVSDDFRQEDDAMVTAGRIELLGEEFAARARGRALYKRPVQLWDGATGEEASFAASFNFTIRSVAGRGNALAGHGMTFFLAPFMPDMPQECYEGCLGLFDQSLTRNTASATMGNASGAASFVAVEFDTHMDGWDPSGRHVGVDVNNVDSRRGNYVVLPEDSLVDAGVMSATVSYDSGARRLDVALAIGGGAATATYNLSAAVHLRSVLPEQVAVGFSAATGDQFASNHTVLSFTFSSTLPTRTTNPPPPSTSSTKTAHLSAAVAAAGIALLLLVLAITILIRRARKRRRRDDGVSYDDSLDDDDEEDMESGTGPRRIPYAQLAAATGGFAEIGKLGEGGSGSVYGGHVRELGRDVAIKVFTRGASMEGRKEYRSEVTVISRLRHRNLVQLMGWCHGRRRLLLVYELVRNGSLDGHLYSNKETLTWPLRYQIINGLASAVLYLHQEWDQCVVHGAIKPSNIMLDESFNAKLGDFGLARLIDHGMSLQTMTAVAGTPGYLDPECVITGKASTESDMYSFGIVLLEVASGRRPMVVTPRAAAATAGGGKDDDDGGGQVFRLVEWAWELYGRGDDDQSSLDAIADTRLGGAFDRWEMERVVGVGLWCAHPDPKARPAIRQAAEALQSRKFRMPVLPPRMPVAVYLQPFAASTMKYYGDSMTSVGSEVVGYSSTSLATATLSSSSSLPSAMANNDSLSPRE >ORUFI04G07460.1 pep chromosome:OR_W1943:4:10169122:10171228:1 gene:ORUFI04G07460 transcript:ORUFI04G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLLRLACMRIQRWRSGSGLGNGGADLAPRHVLRERLLPLPRLRPGAGRPRPRRVPAAPVQGLLLRPDRVRSLISTSVRQALEQPLNYARNYLADFLEPCVHRVIYLDSDLVVVDDVSKLWCTDLGSRTVGASEYCHANFTKYFTDRFWSDKQFAGTFAGRRPCYFNTGVMVLDLTRWRRTGYTRRIERWVEIQKSPAGRIYELGSLTPFLLVFAGHVAPIEHRWNQHSLDSDNVFGSCRDLHPGPASLLHWSGSGKPWARFGAGRPCPLDALWAPFDLYGPADSAAEGSR >ORUFI04G07470.1 pep chromosome:OR_W1943:4:10176387:10176965:1 gene:ORUFI04G07470 transcript:ORUFI04G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTYVSLVAVVVILAMASQCFAATTSAGNGGSGANAGRRARAAQTVAGILAVHNEARRAVGVAPLAWSAGIARYAKGYAASRRGDCAPRRSPLFNFGENAFVGKGRRWNAAALAAAWVDEGRRRYDYGSNTCDGAAAAASSGSSSPCTRYTQVVWRNTTQVGCGRIVCDSGDSLLVCDYFPPGNYGTGRPY >ORUFI04G07480.1 pep chromosome:OR_W1943:4:10178393:10178605:-1 gene:ORUFI04G07480 transcript:ORUFI04G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPAWLRVRFGGGGGAREETGLKILAFEVAAAMSRLVSLYCSLFDVEVRRLRVDALLVEGVARVTSTD >ORUFI04G07490.1 pep chromosome:OR_W1943:4:10187902:10191413:1 gene:ORUFI04G07490 transcript:ORUFI04G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFYCCLAAAVLSLALALASASPAAASISVFAAAEPPADDRMPSPAEQFLRAHNEARAAVGVPPLAWNATIALDAQRYAGELRASCEARPVWAWGTDGVYGRNLYRGSGPRVRAGADASARWAEGARWYDRDGDSCAAPPGRCCGEYTQMVWRATTQIGCARRLCRCLGDTCPLELDTVAPPVEPTPVQFLRVHNEARAAVGVPPLSWNGTLQLDAARYAGELRTDCSLRPPPPLAARGTGDGAPVYGRNLFKAYGRRHTGAEVAAFWADGRRWYDRDAGRCAAPPGLTCGAYTQVVWRATTQLGCARRTCRNGVDTVAVCDYYPPGNIVGQRPY >ORUFI04G07500.1 pep chromosome:OR_W1943:4:10193089:10193535:-1 gene:ORUFI04G07500 transcript:ORUFI04G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTQARQGTYTTQVTSHNISHSQRCHQQSFMRIGHLLLMALAILAMSSEVPARKLAADQGKNCTTVVISPGMGPVLTLGVLAYSAIQGKVMKEEAT >ORUFI04G07510.1 pep chromosome:OR_W1943:4:10197133:10202070:1 gene:ORUFI04G07510 transcript:ORUFI04G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNPSNLPCSSDGVCMVCKVLTAEVEQLRCSTCATPWHTPCLSSTPPLTDVAHWVCPDCSGDVTASYLPSDVARPESSLIAAIRVIEADPVLSIQEKARRRQELLGHAGDAGAARTEAVGENVEDSESNNPLSMLNKNINCSFCMLLPERPVTTPCGHNFCLKCFRRWIENGKRACVNCRAPITQKVAQDLRINLALVQAIRMANAANNASTTGETTVYHYKENEDKPDRAFTTERAKRAGMANASSGQIFVTIAPDYFGPILEDHDPRRNRGVRVGDHWKDRMECRQWGAHFPHIAGIAGQSTHGAQSVALSGGYLDDEDHGEWFLYTGSGGRDLSGNKRTSKEQSFDQKFEKLNAALRVSCLNGYPVRVVRSFKEKRSPYAPESGVRYDGIYRIEKCWRKTGVQGTFKVCRYLFVRCDNEPAPWTSDEHGDHPRPLPDIEELKNAIDITERKGNPAWDFDATDGWKWMITPPISTKAVVTGDPRGKKMQGAARHTNNLSMRERLLKEFRCSICRNVMEEPVTTPCAHNFCKKCLLGSYDNLSLTEERSRGGRILRARKIVKKCPSCPSDIADFIQNPQVNRDIMNVIESLQNEAEKEDHARVSGEGSSAALVDSDDENDTAWENQDDGNLDEGGCNNPEDMITESVDLDSVTNVDNTENKVEVQQPHKRTAGAGKGKGGKRARTSSPGDADARNIVTSTETLDGIAADENVADLVQTEDCTFTGVERADPNALEVDGKNMIPDFSEAEKVNPKQDQEVLP >ORUFI04G07520.1 pep chromosome:OR_W1943:4:10221916:10222485:1 gene:ORUFI04G07520 transcript:ORUFI04G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPCLAIAVAVLSLLALANSNHLPAASVSAAAGRGRSAIPRGHIAAMKFLYAVNDVRQQAGAPALEWSGAAARLAKERATWLRGPGGCDLQAQKGRDVPAPANGGAVTYFLSDGGGRASPEDAVRVWADERRWHDAGARACAAGKQCGDYEIMVQPASKQLGCAVAVCASRKTIMVCEYYAGQALI >ORUFI04G07530.1 pep chromosome:OR_W1943:4:10236135:10236683:1 gene:ORUFI04G07530 transcript:ORUFI04G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSCLAILSLLALASHLPDAASAPRGIGPLSPAAAAKLVSAVNGVRRQAGAPPVAWSATVAQRAKLRAEWLSDPTKGRCDVANAGLDPGLTRVLIKPIVALTYFVTGGGPGRRRAADAVGAWAEGRRWYDAGANRCVAGGGEECASYKDMVQPAWKTVGCAVAPCASGETLTICAFSPA >ORUFI04G07540.1 pep chromosome:OR_W1943:4:10244208:10244874:-1 gene:ORUFI04G07540 transcript:ORUFI04G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPESLRRIAGLHRHASCRPSQPALRSLNQDPPPEPHRVWCSCAHIVTKPKLRDTCEEVGLHLVAPARRRQATGKPRGFVFCRLQVNDVTSRSTCRNGATSIATPSVVASVLRIGLGDGCGGGELHEPVGIEDATHAASHVKGVSSSATITTDSRCPFVGLSQRHLWPVGGRRWMPWRRRETSRAPPAA >ORUFI04G07550.1 pep chromosome:OR_W1943:4:10247085:10252978:1 gene:ORUFI04G07550 transcript:ORUFI04G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQSLLLLAAPLSPPTLPRLVPPPPTSAPRLPRLAANLSRPPPLAISSPAGFSGGGGGGGGGGGGGWWRQGGSGPPDPGDGWWRWLQSLHPELLLLFVLLHSGAFAAIPAALAEAIGGSGGSGGREGASVWEVRGGARTLLVPDPTGTSYVVAGDGRRKQAEGEDAEKAGAGRAELAALRRQLERSWRRCATVAVQLLLPDGYPDSVSSDYLQYSLWRGVQGIASQISGVLSTQALLYAVGLGKGAIPTAAAVNWVLKDGLGYLSKILLSKFGRHFDVNPKGWRLFADLLENTAYGLEILTPVFPHLFVPIGAAAGAGRSAAALIQAATRSCFYAGFAVQRNFAEVIAKGEAQGMVSKFLGIMLGIALANRIGSSVSLALISFAGVTAVHMYCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQVPSVKEVNDEEPLFLNLSVGTSRKESKILSPQAKDAAEIICRRLQLGSKLSEIIENKEDACALFDLYKNEQYLLTEYKGKFCVILKEGSSPEDMLKSLFHVNYLYWLEKYLGIKPSDVASACRPGGRLEASLDYTQREFSHVKLDGSNGGWVMDGLIARPLPLLKGFGLLDPALKAWSAGR >ORUFI04G07560.1 pep chromosome:OR_W1943:4:10262710:10269786:1 gene:ORUFI04G07560 transcript:ORUFI04G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLLRRRSPSGFSPSSTAEEVTAGIDGSGLVALITGASSGIGAETCRVLVMRGVHVVMGVRNLSAGACVRDEILKQVPSAKIDILNLDLSSMSSVRRFAENFKALNLPLNILINNAGVCFVPFKLSEDGIELHFATNHVGHFLLTDLLIEKMKVTAIESGKEGRVVMVASVSYSFSYRGGIRFDKINDESGFLAYGQSKLANILHSNLLSSHLKEQDAKVIVNSLHPGAVATNILHHWCPLYGAIRAIGKYFVKGVEQGAATVCYVALHPQVAGVTGKYFSDCNITELKSHALDRDLAKRLWDFSLSLIR >ORUFI04G07570.1 pep chromosome:OR_W1943:4:10286696:10286947:-1 gene:ORUFI04G07570 transcript:ORUFI04G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAARLSAIPQEIADIENTKLELEQMLGVLGEPLFLAFVDPAVIIQDDIVLVQNQIRILENRKKALLEEQQSLIVMAAHHGD >ORUFI04G07580.1 pep chromosome:OR_W1943:4:10290111:10294262:1 gene:ORUFI04G07580 transcript:ORUFI04G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLLRRRSPSGFSPSSTGEEVTAGVDGSGLVAVVTGASSGIGAETCRVLAMRGVHVVMGVRNSSAGARVRDEIARQVPAAKIEMLDLDLSSMSSVRRFAENFNALNLPLNILVNNAGIAFVPFKLSEEGIELHFSTNHLGHFLLTDLLLEKMKVTAIESGIEGRVVIVASDSYKHPYREGIRFDKINDESGYNKIFAYGQSKLANILHSNLLSSNLKEQDAKVTVNSLHPGAVVTNIMRHWYFVNGMLSTLGKFFVKGVEQGAATVCYVALHPQVAGVTGKYFVDCNVTELKSHALDMDLAKRLWDFSLNLIH >ORUFI04G07580.2 pep chromosome:OR_W1943:4:10290111:10294262:1 gene:ORUFI04G07580 transcript:ORUFI04G07580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLLRRRSPSGFSPSSTGEEVTAGVDGSGLVAVVTGASSGIGAETCRVLAMRGVHVVMGVRNSSAGARVRDEIARQVPAAKIEMLDLDLSSMSSVRRFAENFNALNLPLNILGLRLYHLSYQRRALSCIFQQITLEQDAKVTVNSLHPGAVVTNIMRHWYFVNGMLSTLGKFFVKGVEQGAATVCYVALHPQVAGVTGKYFVDCNVTELKSHALDMDLAKRLWDFSLNLIH >ORUFI04G07590.1 pep chromosome:OR_W1943:4:10303686:10304876:-1 gene:ORUFI04G07590 transcript:ORUFI04G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGRRQQGKEMQRPAVGEQEAVNTEQRSWPVGERWVGEPGPTSEATQETGRRGQRRRRGHQVVGFMGKSRLAAQSQEKPPVAKPVSTRSAGKAVDPRGEGGGRVYAS >ORUFI04G07600.1 pep chromosome:OR_W1943:4:10326941:10327306:1 gene:ORUFI04G07600 transcript:ORUFI04G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFAVLSHRRTAAAVASHPLTTAPASLAPPLSMSPSSLPPRPGHAASLIRSSRNKLNGTKSGQPRGRQSGACRSEVSGRGGQQGDSQRGVSRNGSEHTGGGSGPPGRGSGRRLSTATTAG >ORUFI04G07610.1 pep chromosome:OR_W1943:4:10333836:10342360:-1 gene:ORUFI04G07610 transcript:ORUFI04G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRGFIRHSVWCLVFVWSWRVAAAQDQQAPKTDPVEAVALNTILRRWGKQASSEWNVSGDLCSGFAADKNDWDHYPNINPFIKCDCTFSNNTLCHITKLRVNKLDVVGQIPSELQNLTRLENLDLNYNYLTGFIPSFIGKFTSMKYLALGFNPLSGPLPKELGNLTNLISLGISLNNFTGGLPEELGNLTKLKQLYIDSSGFSGPFPSTFSKLQNLQILLASDNGFTGKIPDYLGSMTNLEEMRIGDIVNGISPLALISNLTSLNTLILRNCKIYGDLGAVDFSMFEKLSLLDLSFNNITGKVSQSILNLGNLQFLFLGNNNLAGRLPDGISSSLKAMNLVANNFLLGSTSNSNTSTRGSDNTIYEADPANLGAATYYVTGQTRWGVSSVGHYFRATDAKNIIYSSQNFNNVVDSKLFETGRVSPSSLRYYGLGLENGNYTVLLRFAEIAFPDSQTWLSLGRRVFDIYIQGALKEKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWADFTPTVRNGIPKSESKVGIIAGISIGAIVLVLAALFGVFTLLKKRRALAYQKEELYYLVGQPDVFNYAELKLATDNFSSQNILGEGGFGPVYKGKLHDKRVIAVKQLSQSSHQGASEFVTEVATISAVQHRNLVRLHGCCIDSKTPLLVYEYLENGSLDQAIFGDSNLNLDWVTRFKIILGIASGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKQTHVSTRIAGTLGYLAPEYAMRGHLSEKADVFAFGVVMLETVAGRPNTNNSLEENKIYLLEWAWGMYDKDQALEIVDPTIKDFDKDEAFRVINVALLCTQGSPHQRPPMSRVVAMLTRDVDAPKVVTKPSYINEWQLRGGGNNGNTSNSYAGSSYQP >ORUFI04G07610.2 pep chromosome:OR_W1943:4:10333836:10342360:-1 gene:ORUFI04G07610 transcript:ORUFI04G07610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRGFIRHSVWCLVFVWSWRVAAAQDQQAPKTDPVEAVALNTILRRWGKQASSEWNVSGDLCSGFAADKNDWDHYPNINPFIKCDCTFSNNTLCHITKLRVNKLDVVGQIPSELQNLTRLENLDLNYNYLTGFIPSFIGKFTSMKYLALGFNPLSGPLPKELGNLTNLISLGISLNNFTGGLPEELGNLTKLKQLYIDSSGFSGPFPSTFSKLQNLQILLASDNGFTGKIPDYLGSMTNLEEMRIGDIVNGISPLALISNLTSLNTLILRNCKIYGDLGAVDFSMFEKLSLLDLSFNNITGKVSQSILNLGNLQFLFLGNNNLAGRLPDGISSSLKAMNLVANNFLLGSTSNSNTSTRGSDNTIYEADPANLGAATYYVTGQTRWGVSSVGHYFRATDAKNIIYSSQNFNNVVDSKLFETGRVSPSSLRYYGLGLENGNYTVLLRFAEIAFPDSQTWLSLGRRVFDIYIQGALKEKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWADFTPTVRNGIPKSESKVGIIAGISIGAIVLVLAALFGVFTLLKKRRALAYQKEELYYLVGQPDVFNYAELKLATDNFSSQNILGEGGFGPVYKGKLHDKRVIAVKQLSQSSHQGASEFVTEVATISAVQHRNLVRLHGCCIDSKTPLLVYEYLENGSLDQAIFGIASGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKQTHVSTRIAGTLGYLAPEYAMRGHLSEKADVFAFGVVMLETVAGRPNTNNSLEENKIYLLEWAWGMYDKDQALEIVDPTIKDFDKDEAFRVINVALLCTQGSPHQRPPMSRVVAMLTRDVDAPKVVTKPSYINEWQLRGGGNNGNTSNSYAGSSYQP >ORUFI04G07610.3 pep chromosome:OR_W1943:4:10333836:10342360:-1 gene:ORUFI04G07610 transcript:ORUFI04G07610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRGFIRHSVWCLVFVWSWRVAAAQDQQAPKTDPVEAVALNTILRRWGKQASSEWNVSGDLCSGFAADKNDWDHYPNINPFIKCDCTFSNNTLCHITKLRVNKLDVVGQIPSELQNLTRLENLDLNYNYLTGFIPSFIGKFTSMKYLGISLNNFTGGLPEELGNLTKLKQLYIDSSGFSGPFPSTFSKLQNLQILLASDNGFTGKIPDYLGSMTNLEEMRIGDIVNGISPLALISNLTSLNTLILRNCKIYGDLGAVDFSMFEKLSLLDLSFNNITGKVSQSILNLGNLQFLFLGNNNLAGRLPDGISSSLKAMNLVANNFLLGSTSNSNTSTRGSDNTIYEADPANLGAATYYVTGQTRWGVSSVGHYFRATDAKNIIYSSQNFNNVVDSKLFETGRVSPSSLRYYGLGLENGNYTVLLRFAEIAFPDSQTWLSLGRRVFDIYIQGALKEKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWADFTPTVRNGIPKSESKVGIIAGISIGAIVLVLAALFGVFTLLKKRRALAYQKEELYYLVGQPDVFNYAELKLATDNFSSQNILGEGGFGPVYKGKLHDKRVIAVKQLSQSSHQGASEFVTEVATISAVQHRNLVRLHGCCIDSKTPLLVYEYLENGSLDQAIFGIASGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKQTHVSTRIAGTLGYLAPEYAMRGHLSEKADVFAFGVVMLETVAGRPNTNNSLEENKIYLLEWAWGMYDKDQALEIVDPTIKDFDKDEAFRVINVALLCTQGSPHQRPPMSRVVAMLTRDVDAPKVVTKPSYINEWQLRGGGNNGNTSNSYAGSSYQP >ORUFI04G07610.4 pep chromosome:OR_W1943:4:10333836:10342360:-1 gene:ORUFI04G07610 transcript:ORUFI04G07610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRGFIRHSVWCLVFVWSWRVAAAQDQQAPKTDPVEAVALNTILRRWGKQASSEWNVSGDLCSGFAADKNDWDHYPNINPFIKCDCTFSNNTLCHITKLRVNKLDVVGQIPSELQNLTRLENLDLNYNYLTGFIPSFIGKFTSMKYLALGFNPLSGPLPKELGNLTNLISLGISLNNFTGGLPEELGNLTKLKQLYIDSSGFSGPFPSTFSKLQNLQILLASDNGFTGKIPDYLGSMTNLEEIILRNCKIYGDLGAVDFSMFEKLSLLDLSFNNITGKVSQSILNLGNLQFLFLGNNNLAGRLPDGISSSLKAMNLVANNFLLGSTSNSNTSTRGSDNTIYEADPANLGAATYYVTGQTRWGVSSVGHYFRATDAKNIIYSSQNFNNVVDSKLFETGRVSPSSLRYYGLGLENGNYTVLLRFAEIAFPDSQTWLSLGRRVFDIYIQGALKEKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWADFTPTVRNGIPKSESKVGIIAGISIGAIVLVLAALFGVFTLLKKRRALAYQKEELYYLVGQPDVFNYAELKLATDNFSSQNILGEGGFGPVYKGKLHDKRVIAVKQLSQSSHQGASEFVTEVATISAVQHRNLVRLHGCCIDSKTPLLVYEYLENGSLDQAIFGDSNLNLDWVTRFKIILGIASGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKQTHVSTRIAGTLGYLAPEYAMRGHLSEKADVFAFGVVMLETVAGRPNTNNSLEENKIYLLEWAWGMYDKDQALEIVDPTIKDFDKDEAFRVINVALLCTQGSPHQRPPMSRVVAMLTRDVDAPKVVTKPSYINEWQLRGGGNNGNTSNSYAGSSYQP >ORUFI04G07610.5 pep chromosome:OR_W1943:4:10333836:10342360:-1 gene:ORUFI04G07610 transcript:ORUFI04G07610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRGFIRHSVWCLVFVWSWRVAAAQDQQAPKTDPVEAVALNTILRRWGKQASSEWNVSGDLCSGFAADKNDWDHYPNINPFIKCDCTFSNNTLCHITKLRVNKLDVVGQIPSELQNLTRLENLDLNYNYLTGFIPSFIGKFTSMKYLALGFNPLSGPLPKELGNLTNLISLGISLNNFTGGLPEELGNLTKLKQLYIDSSGFSGPFPSTFSKLQNLQILLASDNGFTGKIPDYLGSMTNLEEIILRNCKIYGDLGAVDFSMFEKLSLLDLSFNNITGKVSQSILNLGNLQFLFLGNNNLAGRLPDGISSSLKAMNLVANNFLLGSTSNSNTSTRGSDNTIYEADPANLGAATYYVTGQTRWGVSSVGHYFRATDAKNIIYSSQNFNNVVDSKLFETGRVSPSSLRYYGLGLENGNYTVLLRFAEIAFPDSQTWLSLGRRVFDIYIQGALKEKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWADFTPTVRNGIPKSESKVGIIAGISIGAIVLVLAALFGVFTLLKKRRALAYQKEELYYLVGQPDVFNYAELKLATDNFSSQNILGEGGFGPVYKGKLHDKRVIAVKQLSQSSHQGASEFVTEVATISAVQHRNLVRLHGCCIDSKTPLLVYEYLENGSLDQAIFGIASGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKQTHVSTRIAGTLGYLAPEYAMRGHLSEKADVFAFGVVMLETVAGRPNTNNSLEENKIYLLEWAWGMYDKDQALEIVDPTIKDFDKDEAFRVINVALLCTQGSPHQRPPMSRVVAMLTRDVDAPKVVTKPSYINEWQLRGGGNNGNTSNSYAGSSYQP >ORUFI04G07610.6 pep chromosome:OR_W1943:4:10333836:10342360:-1 gene:ORUFI04G07610 transcript:ORUFI04G07610.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRGFIRHSVWCLVFVWSWRVAAAQDQQAPKTDPVEAVALNTILRRWGKQASSEWNVSGDLCSGFAADKNDWDHYPNINPFIKCDCTFSNNTLCHITKLRVNKLDVVGQIPSELQNLTRLENLALGFNPLSGPLPKELGNLTNLISLGISLNNFTGGLPEELGNLTKLKQFLASDNGFTGKIPDYLGSMTNLEEMRIGDIVNGISPLALISNLTSLNTLILRNCKIYGDLGAVDFSMFEKLSLLFLGNNNLAGRLPDGISSSLKAMNLVANNFLLGSTSNSNTSTRGSDNTIYEADPANLGAATYYVTGQTRWGVSSVGHYFRATDAKNIIYSSQNFNNVVDSKLFETGRVSPSSLRYYGLGLENGNYTVLLRFAEIAFPDSQTWLSLGRRVFDIYIQGALKEKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWADFTPTVRNGIPKSESKVGIIAGISIGAIVLVLAALFGVFTLLKKRRALAYQKEELYYLVGQPDVFNYAELKLATDNFSSQNILGEGGFGPVYKQYFVHAQNIHVTNLAGKTPLLVYEYLENGSLDQAIFGIASGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKQTHVSTRIAGTLGYLAPEYAMRGHLSEKADVFAFGVVMLETVAGRPNTNNSLEENKIYLLEWAWGMYDKDQALEIVDPTIKDFDKDEAFRVINVALLCTQGSPHQRPPMSRVVAMLTRDVDAPKVVTKPSYINEWQLRGGGNNGNTSNSYAGSSYQP >ORUFI04G07610.7 pep chromosome:OR_W1943:4:10333836:10342360:-1 gene:ORUFI04G07610 transcript:ORUFI04G07610.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRGFIRHSVWCLVFVWSWRVAAAQDQQAPKTDPVEAVALNTILRRWGKQASSEWNVSGDLCSGFAADKNDWDHYPNINPFIKCDCTFSNNTLCHITKLRVNKLDVVGQIPSELQNLTRLENLALGFNPLSGPLPKELGNLTNLISLGISLNNFTGGLPEELGNLTKLKQFLASDNGFTGKIPDYLGSMTNLEEMRIGDIVNGISPLALISNLTSLNTLILRNCKIYGDLGAVDFSMFEKLSLLFLGNNNLAGRLPDGISSSLKAMNLVANNFLLGSTSNSNTSTRGSDNTIYEADPANLGAATYYVTGQTRWGVSSVGHYFRATDAKNIIYSSQNFNNVVDSKLFETGRVSPSSLRYYGLGLENGNYTVLLRFAEIAFPDSQTWLSLGRRVFDIYIQGALKEKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWAGKGRGIYGPMISALSVTPNFTPTVRNGIPKSESKVGIIAGISIGAIVLVLAALFGVFTLLKKRRALAYQKEELYYLVGQPDVFNYAELKLATDNFSSQNILGEGGFGPVYKGKLHDKRVIAVKQLSQSSHQGASEFVTEVATISAVQHRNLVRLHGCCIDSKTPLLVYEYLENGSLDQAIFGDSNLNLDWVTRFKIILGIASGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKQTHVSTRIAGTLGYLAPEYAMRGHLSEKADVFAFGVVMLETVAGRPNTNNSLEENKIYLLEWAWGMYDKDQALEIVDPTIKDFDKDEAFRVINVALLCTQGSPHQRPPMSRVVAMLTRDVDAPKVVTKPSYINEWQLRGGGNNGNTSNSYAGSSYQP >ORUFI04G07620.1 pep chromosome:OR_W1943:4:10408084:10408449:1 gene:ORUFI04G07620 transcript:ORUFI04G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAPATGSATVTVDPTPSSSSSAPASSAPPPAAETVVLRLKRREKKKVTWKEGTVDNESLGRKSSKKCCIFHKDVPFDEDCSDDDPDGGRRSPPGDAGEGTSGGGGGGCCSSSSDGHGH >ORUFI04G07630.1 pep chromosome:OR_W1943:4:10410365:10413970:-1 gene:ORUFI04G07630 transcript:ORUFI04G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLGVVEAVGGEGKKEHRVLPSLLPSLGTLPPPPRRSRVRTERGGGEGGSAQVVVGDAAATGRHPSLPASTAADGRHLSLPVSVAVAPRPCCQWPRRGLQDRGREVERVVQIVTYRWAHMGTMLTQMPRHLKPETIPSRNLQKKKNIWPKLYQTLSPHLPFPIPPPGRLSPPRRRAVADSPSPRRSGQPLHAVTRAPPARLGSRQRHAPRAARASRPRHGTSDAARSSESDEAFVDNMVHFKNRYMVMEVFIDTPVKGQPDPLILTQLNITKAIKDSIQLNFGECGLAACLGSLQVKYVNPITKLCVIRVSREDHQKVWAAIHHGSIRACKKAALECEEAKFEYYKQAAGDRITPKFVETMESCFAKIKALES >ORUFI04G07640.1 pep chromosome:OR_W1943:4:10414197:10414832:-1 gene:ORUFI04G07640 transcript:ORUFI04G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRRERARAAASPSSAKHQRAKREWRQHSRVCISLPPDGGEQLNYGMVDVTPTAFFHQTHHHHPILTGDDNDELPPPFPFLPPSPSFTTPHLAGDDDNRNDASARYVSTSFLSAPSIATTATTAAGSSLSSSLPVLLLPTSSAPASSSPLRAPPATVTAALKGLPERRLVLPPLGGVLLHLLPGALRCSLAARNFHELFHALLLFLNPPC >ORUFI04G07650.1 pep chromosome:OR_W1943:4:10428121:10434435:1 gene:ORUFI04G07650 transcript:ORUFI04G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKVTRFGPWGGPGGDYRDVQVAPYRLVRLTIRSGDTVDGISFTYIGIDGLVYHMGHWGSDGGVPHEIHLGLMDFVMEISGTTGMWVSGMSNVLRSLKITTLKRTYGPYGNPKDGIPFSFSVDGSDRITGFFVRAGFITDAIGVYVRHC >ORUFI04G07660.1 pep chromosome:OR_W1943:4:10436840:10439998:-1 gene:ORUFI04G07660 transcript:ORUFI04G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNKGDVIHFDGWGGLGASAVLKAIATRLKSSASLLGEAATVMKAGLDKIIHVDCSLWQNTRAVQKAIAIDLNLPQHVIALFDQWDEKDDFDGVHQVARGPIQHVKEEILYNLRDRGFLVIFHNGSGRYIDLKDCGILVAGLLGKRVLWTSRGRFSLHSNDIREVHERKLAESNVSIYADLSSDSILNSVRHLLQVEAEEVAFYSGVLEPDMSTKLVMECILYKALRGDDYGINWGTNAANYWVCDGIIKDATNGDRSAWEIAEALHSAMHLEDWHQVWAVNIRDAFGLSSKEWRHTNRWISTTHQYVATVKVPPQATSFFVTEAGSLIDKSSSMILRGDMFGHSNRSRLRVLHLSQCTFSFSSPPFLGCSNLRFLLLDHCKDKDIDDQMAAHLDEEDEHQQSKMGHHNRTCFEELWVLDISYTEWYWLLSEEMMDQMVHLHELNVKGIKNATWISHLGPGHVARSNSCRPRNLGKLRVTCCEITNQASSFVEFPDLSTSSIKTIALDGCVELEKLAHNFLPLLLESFIFVSNVAAKIKIISFQGCTQLKSLLLRGLLESLVEVDMSHTAIKMLDLTAMQAPRLNKLILLGCEKLRAILWPREWKKPELYVLHIDTTDARRVGEDKSSKKEAANGDTSVGSSSRKVLHGDQAVVNFDFYISLRNARFIRSLLHDRLGNRVSVEISSTANISATYGFKEASREMPTGICGCKQPVPTVNLQKPIDNLYMDDINTHFEDILQVDDGDRDASDGGDAPSFIYMWPCPSNCLKPYSAHCYISVQDEMQTNLHQGTTTIIKEASGITLPDFVHDSALSLHLHDCLSITSIQGHASAAIDLSWRILWWCRIERCPNLEGTIFTAPRTRDNIFRSLETFWASQLLKVFYIWDWDTSLFQPSYNSFENLKFLHLDRCPRLVHILPLCSSNSNGCRSLKTLEIVCCGALKDVFPLDSDSTIVFRRLKRIHLHELPKLQRICGRKMSTPQLETVKIRGCWSLRRLPSVGRHDSTPPIVDCEKEWWDGLEWEGMEANHHPSLYKPIHSHYYKKALRRTSLLR >ORUFI04G07670.1 pep chromosome:OR_W1943:4:10445718:10451411:-1 gene:ORUFI04G07670 transcript:ORUFI04G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPHAHAAVAVAMAMWPDSRLCYGGTWRGGRGAIGGRGAQGVEAVMTRRLKESEEKEVHGTNVGGIM >ORUFI04G07680.1 pep chromosome:OR_W1943:4:10466203:10467080:-1 gene:ORUFI04G07680 transcript:ORUFI04G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIANQFDTKAEAFKIQGSYLSLTNRDAEHLLDLPSQGEEILKPPQTKNRDLFDEFKTTSKQGAHIKLSSLQEYFQTNKGIHDDNFIRRFVLFVIGVFLCPTTQRYVSSAYLNLVEDVNAISAINWTSLTLNHLMKSIKNISTTKGVYLEGNLPLLQLWYWEKLRADNLDPTIDYTMRDKPLIQYWDKHKAKKRYKIDTTYDYGKGKLASAFHYIMHVVVCHPEITRKGTASNGHTDD >ORUFI04G07690.1 pep chromosome:OR_W1943:4:10469411:10474409:-1 gene:ORUFI04G07690 transcript:ORUFI04G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPQHPPPLPAAAAAAVARHRRPHRRRFLANRPHSSHRLGSRLTVAVDSSPTATPAAASTVTALAVTIAAAASPPAPASLAASRCRHLPPLAAVITTNRRQPAVDQIWSPLQTPTSRSCHHPPPPPSFPAAGCCSPISGRPRRRSGHSRCCFERCPQPPGVGGGEAGEEALKGKKAAMASPPELPGEDDARGETY >ORUFI04G07700.1 pep chromosome:OR_W1943:4:10500051:10504265:-1 gene:ORUFI04G07700 transcript:ORUFI04G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPADLIPSPISEANRWFVGHDLFLDMINVLPKLQMTRTMDAIRCWGLSHSKNVNKSFGKGRLYSKVGLCAAQLYVSASYRSYLDKEDRGEGQKRKARGKWAGASLSQVSALLTDSNTIGADFYRGWIGRNRTLDRCLHRLLDLLRRLLEVNSAAAAAAGKDDIDAIAATGNDDIDAAAAGNDDIDAAAAGNDEFDAAAPASTLPSGRTPPPLGTTSSRPPPPPPGTTTSTPQQDDFSSPHVFFSRSYPCRNERLDTY >ORUFI04G07700.2 pep chromosome:OR_W1943:4:10500049:10504265:-1 gene:ORUFI04G07700 transcript:ORUFI04G07700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPADLIPSPISEANRWFVGHDLFLDMINVLPKLQMTRTMDAIRCWGLSHSKNVNKSFGKGRLYSKVGLCAAQLYVSASYRSYLDKEDRGEGQKRKARGKWAGASLSQVNSAAAAAAGKDDIDAIAATGNDDIDAAAAGNDDIDAAAAGNDEFDAAAPASTLPSGRTPPPLGTTSSRPPPPPPGTTTSTPQQDDFSSPHVFFSRSYPCRNERLDTY >ORUFI04G07700.3 pep chromosome:OR_W1943:4:10500051:10504265:-1 gene:ORUFI04G07700 transcript:ORUFI04G07700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWPADLIPSPISEANRWFVGHDLFLDMINVLPKLQMTRTMDAIRCWGLSHSKNVNKSFGKGRLYSKVGLCAAQLYVSASYRSYLDKEDRGEGQKRKARGKWAGASLSQVNSAAAAAAGKDDIDAIAATGNDDIDAAAAGNDDIDAAAAGNDEFDAAAPASTLPSGRTPPPLGTTSSRPPPPPPGTTTSTPQQDDFSSPHVFFSRSYPCRNERLDTY >ORUFI04G07700.4 pep chromosome:OR_W1943:4:10502192:10504265:-1 gene:ORUFI04G07700 transcript:ORUFI04G07700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWPADLIPSPISEANRWFVGHDLFLDMINVLPKLQMTRTMDAIRCWGLSHSKNVNKSFGKGRLYSKVGLCAAQLYVSASYRSYLVISGPSCQGYELLSMPALSAAVWVYRTKKIEGRGKREKPVENGLAPH >ORUFI04G07700.5 pep chromosome:OR_W1943:4:10500051:10500886:-1 gene:ORUFI04G07700 transcript:ORUFI04G07700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCGQKKHYRWIGRNRTLDRCLHRLLDLLRRLLEVNSAAAAAAGKDDIDAIAATGNDDIDAAAAGNDDIDAAAAGNDEFDAAAPASTLPSGRTPPPLGTTSSRPPPPPPGTTTSTPQQDDFSSPHVFFSRSYPCRNERLDTY >ORUFI04G07710.1 pep chromosome:OR_W1943:4:10520011:10521099:-1 gene:ORUFI04G07710 transcript:ORUFI04G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDGTVARLNRRRCFGPCGKTSGNTLTSKEVFTWAKSNNRRLLHVGGIDRRSKIDGLQKFYICRSYSMWLAVKDRVESADHGDLGGWE >ORUFI04G07720.1 pep chromosome:OR_W1943:4:10554340:10554894:-1 gene:ORUFI04G07720 transcript:ORUFI04G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRRRLCAGIAEGVHGSSEGARGGRPRLVASGVGFGRGRRLRVGEAHCEADFAGGRHGGRGVGFADNRRRSEALQTKEATVEFVASRRSGQRAAPVGRGGYEGSAGRGRASGRGGAEASVSFAQPCSEIRGQRKNGRLGGDDFRLASYVFDGMPARKERGKRKRERWGAGPLAGGVQGAWAH >ORUFI04G07730.1 pep chromosome:OR_W1943:4:10577205:10577615:-1 gene:ORUFI04G07730 transcript:ORUFI04G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRTAVRGRQWTARSARTAVRPTVGEGGRRGTARIGGQRRRGGATAGRPGGAAAAQRREADEKVRALERVVRLPGLKHEVDDGGEGRERDDEQDEHEEEPGADADAGAAGVAPGARGGCSAGRGARSPAAGRGTP >ORUFI04G07740.1 pep chromosome:OR_W1943:4:10579986:10585976:-1 gene:ORUFI04G07740 transcript:ORUFI04G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPFAAAAALRLRLRLLSSSSSSSSSSSSLPRLPSSPYPLHHLLLLSRRSGDHHDHDHPSPPPPFSPRPLLASGVLGLSRWRARARALPPAPSPPRGPVADAPPVRLTLSRSYSLRVAKAKKKAHFDDEHSHRAVNTALWCNFLVFSLKFGVWLSTSSHVMLAELVHSVADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWNSQPPENIHYAALVIGGSFLIEGASLLVAIKAVRKGAAAEGMSIRDYIWRGHDPTSVAVMTEDGAAVTGLAIAGASLVAVQTTGNAMYDPIGSIIVGNLLGMRNRHALIGRAIDDHDMQRVLEFLKADPVVDALYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLERTGRGEWAKQFREASLSEDDTELIRVMSNYGEDVVEALGYEVDRLESEIQKIVPGIKHVDIEAHNPEGLSL >ORUFI04G07750.1 pep chromosome:OR_W1943:4:10595685:10609974:1 gene:ORUFI04G07750 transcript:ORUFI04G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAARPTTTTTTAPPWWAAAADDAQGCPATAAAAEEIRPAPPRHRLAPTTAVSRLRRRRRVARRACRRRHVAVLAQQEPLLPRRAPDFVVEALRSIAELMIYGDQHDPSYFEFFMEKQIMGEFARILRISKLSRVSLQLLQTMSIMIQNLRNEHSIYYIFSNEHINFLITAISGKLNKNTISLLVKTKNDEVISFPLYVEALKFAFHEDSMIRVAIRTLTLNVYHVGEESVNRFVSRAPLSDYFSDMVNHFQKQCIDLDKLVVRSVRNADSAVPTASVEDAIVQIEDTLYYFSDVMSSGIPDLGRFITENILQLLVFRFLLPSLQRQSTDLGISVTTSMYLICCILHIFKNKDMASTVAAALFHQPDCHDRKQGTPNGYTSEHENGISDNQGTSTSDIDQSNEDKSDILSSSNTHCLPDDPSSSDCCQGNTLREHLLSYIISGDDFQALGSLCLFSTLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDLAERQLFSSSSGLADDSICSDFDMYVRKLQDKYGLKCHHPRQMTSKFHRYQVLDALVALFCRSNVSADVRLVGGWLFRQLLPHGEEEFTAFHLKWLKDSHKDYSIKLLDESGGCWRDLLVPIVKEAWKNCKKAIETSSPPKGSKSAILPLDSCSFGGDSSIAIAERIYEMVKGFVLQRQVILFCLGETLTDQPPIFSPTDLPVNNRATLAGFDGSVPKPGLEVNLVDAVPCRIAFERGKERHFCFLALSNGTSGWILLLEELPLKEKRGIVRVTAPLAGSDPRIDEKHAKWLHLRIRPSTVPFLDTEKYKGKTRKYLVDGRWTLAFRDEQSCKEAETMVIEEMKLQQGAVGEQLKLLLEFDMPEDGLQHPCSSHETTSDDGS >ORUFI04G07750.2 pep chromosome:OR_W1943:4:10595685:10609974:1 gene:ORUFI04G07750 transcript:ORUFI04G07750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAARPTTTTTTAPPWWAAAADDAQGCPATAAAAEEIRPAPPRHRLAPTTAVSRLRRRRRVARRACRRRHVAVLAQQEPLLPRRAPDFVVEALRSIAELMIYGDQHDPSYFEFFMEKQIMGEFARILRISKLSRVSLQLLQTMSIMIQNLRNEHSIYYIFSNEHINFLITAISGKLNKNTISLLVKTKNDEVISFPLYVEALKFAFHEDSMIRVAIRTLTLNVYHVGEESVNRFVSRAPLSDYFSDMVNHFQKQCIDLDKLVVRSVRNADSAVPTASVEDAIVQIEDTLYYFSDVMSSGIPDLGRFITENILQLLVFRFLLPSLQRQSTDLGISVTTSMYLICCILHIFKNKDMASTVAAALFHQPDCHDRKQGTPNGYTSEHENGISDNQGTSTSDIDQSNEDKSDILSSSNTHCLPDDPSSSDCCQGNTLREHLLSYIISGDDFQALGSLCLFSTLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDLAERQLFSSSSGLADDSICSDFDMYVRKLQDKYGLKCHHPRQMTSKFHRYQVLDALVALFCRSNVSADVRLVGGWLFRQLLPHGEEEFTAFHLKWLKDSHKDYSIKLLDESGGCWRDLLVPIVKEAWKNCKKGDSSIAIAERIYEMVKGFVLQRQVILFCLGETLTDQPPIFSPTDLPVNNRATLAGFDGSVPKPGLEVNLVDAVPCRIAFERGKERHFCFLALSNGTSGWILLLEELPLKEKRGIVRVTAPLAGSDPRIDEKHAKWLHLRIRPSTVPFLDTEKYKGKTRKYLVDGRWTLAFRDEQSCKEAETMVIEEMKLQQGAVGEQLKLLLEFDMPEDGLQHPCSSHETTSDDGS >ORUFI04G07750.3 pep chromosome:OR_W1943:4:10595685:10609974:1 gene:ORUFI04G07750 transcript:ORUFI04G07750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAARPTTTTTTAPPWWAAAADDAQGCPATAAAAEEIRPAPPRHRLAPTTAVSRLRRRRRVARRACRRRHVAVLAQQEPLLPRRAPDFVVEALRSIAELMIYGDQHDPSYFEFFMEKQIMGEFARILRISKLSRDEVISFPLYVEALKFAFHEDSMIRVAIRTLTLNVYHVGEESVNRFVSRAPLSDYFSDMVNHFQKQCIDLDKLVVRSVRNADSAVPTASVEDAIVQIEDTLYYFSDVMSSGIPDLGRFITENILQLLVFRFLLPSLQRQSTDLGISVTTSMYLICCILHIFKNKDMASTVAAALFHQPDCHDRKQGTPNGYTSEHENGISDNQGTSTSDIDQSNEDKSDILSSSNTHCLPDDPSSSDCCQGNTLREHLLSYIISGDDFQALGSLCLFSTLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDLAERQLFSSSSGLADDSICSDFDMYVRKLQDKYGLKCHHPRQMTSKFHRYQVLDALVALFCRSNVSADVRLVGGWLFRQLLPHGEEEFTAFHLKWLKDSHKDYSIKLLDESGGCWRDLLVPIVKEAWKNCKKAIETSSPPKGSKSAILPLDSCSFGGDSSIAIAERIYEMVKGFVLQRQVILFCLGETLTDQPPIFSPTDLPVNNRATLAGFDGSVPKPGLEVNLVDAVPCRIAFERGKERHFCFLALSNGTSGWILLLEELPLKEKRGIVRVTAPLAGSDPRIDEKHAKWLHLRIRPSTVPFLDTEKYKGKTRKYLVDGRWTLAFRDEQSCKEAETMVIEEMKLQQGAVGEQLKLLLEFDMPEDGLQHPCSSHETTSDDGS >ORUFI04G07750.4 pep chromosome:OR_W1943:4:10595685:10609974:1 gene:ORUFI04G07750 transcript:ORUFI04G07750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAARPTTTTTTAPPWWAAAADDAQGCPATAAAAEEIRPAPPRHRLAPTTAVSRLRRRRRVARRACRRRHVAVLAQQEPLLPRRAPDFVVEALRSIAELMIYGDQHDPSYFEFFMEKQIMGEFARILRISKLSRDEVISFPLYVEALKFAFHEDSMIRVAIRTLTLNVYHVGEESVNRFVSRAPLSDYFSDMVNHFQKQCIDLDKLVVRSVRNADSAVPTASVEDAIVQIEDTLYYFSDVMSSGIPDLGRFITENILQLLVFRFLLPSLQRQSTDLGISVTTSMYLICCILHIFKNKDMASTVAAALFHQPDCHDRKQGTPNGYTSEHENGISDNQGTSTSDIDQSNEDKSDILSSSNTHCLPDDPSSSDCCQGNTLREHLLSYIISGDDFQALGSLCLFSTLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDLAERQLFSSSSGLADDSICSDFDMYVRKLQDKYGLKCHHPRQMTSKFHRYQVLDALVALFCRSNVSADVRLVGGWLFRQLLPHGEEEFTAFHLKWLKDSHKDYSIKLLDESGGCWRDLLVPIVKEAWKNCKKGDSSIAIAERIYEMVKGFVLQRQVILFCLGETLTDQPPIFSPTDLPVNNRATLAGFDGSVPKPGLEVNLVDAVPCRIAFERGKERHFCFLALSNGTSGWILLLEELPLKEKRGIVRVTAPLAGSDPRIDEKHAKWLHLRIRPSTVPFLDTEKYKGKTRKYLVDGRWTLAFRDEQSCKEAETMVIEEMKLQQGAVGEQLKLLLEFDMPEDGLQHPCSSHETTSDDGS >ORUFI04G07760.1 pep chromosome:OR_W1943:4:10615097:10619769:-1 gene:ORUFI04G07760 transcript:ORUFI04G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAYTIHQSLTAEAAAVLKLALGIARRRGHAQVTPLHVAFALLSPACSPPQQQPAPPPYGLLKRACLRSHPSAAVAVAAHPLQCRALELCFNVALNRLPTSAPHSPPPSSSAPSGAVAPPFASSLIQPNPTLSNALVAALKRAQANQRRGCVELQQQPPPPPPPPPPPVAATAQQQQPLLAIKVELDQLIISILDDPSVSRVMREAGFSSSTVKSNLEGESALMMSTSSSPPPPAIPPHFFLDPSIGVGGNGGGGGGGFMLWPAPFLSSQGMAVPSCKEDVRAVLEVMVRKQGRRTNPVVVGDSVSMAEAVAGELLRRLEGGDVPDELAGAHLLKLQLSYVHVRLMSRADVDAKAAELRRSVDAVKRGGLVVYVGDLRWALDEDHHHHHHPGADHHNTASSYSPVEHMVAELGRLLGDLRASAPPRGRVWLVATASYQTYMRCRRRRPSLESAWALQAVVVPTGAGTGLALNNLHAVATTTSNGEPVQQAMVATNHQQQHQQLASPFVAMAAEPAARDELDDKLLVLCTECSHNYEREASAVKAEAAADEEGPRAAGNLPGWLVPEPPKENYLIELKRKWSRLCRKLHLCGGGDPCSGQSFGAGAYGNGPSSLLPWWSASCLLPNGGGKPSIAGFLGMEALRWSPPAAAALPSLSSLRKPECQDVTTALALGSLPLSDSASSSGGGGGDGAAARELERRLRKNVPWQRAAVAEIADAVAAGARSGNGTKGAGVWLLLKGSDHAAVRRVAAVIAETHCGSADRVVVVSADPNKFGCADDFRSDVVARASMAAAAGGNKLVLVVDDVERAPQHVVECLVAASRSGALKDKFGGQELDLSGSVVVMTTSKLADAAVSGVISLRLYTSEQSPPSGDLKRKTPTSSPPTSDRKRARARRSAGNGHSLDLNLNLFAHDDDDNDAGDVDDDDDGVPSDITHEGGGDDSGEHGHSHHRLLLESIATRVVTLDGDHHGAAATVRERLSGRLDGGGRELRVDGEAAAALAAASGHFVDEVIERWVAEVFEPAAATVKNGGKAVVLGVGPSGGGAHESVGFMGSVLPSRVHVD >ORUFI04G07770.1 pep chromosome:OR_W1943:4:10639066:10639701:1 gene:ORUFI04G07770 transcript:ORUFI04G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMALLLLDALVAFVVAWYVRATSDRSIPEKIHMAQRASRRRGGNATEIAAMQWQAFDQQFSRDPRRWHGMHGSVHMRCRAAAAAASAASY >ORUFI04G07780.1 pep chromosome:OR_W1943:4:10654091:10655087:-1 gene:ORUFI04G07780 transcript:ORUFI04G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLLLGSQYQPGAGPVGEPGDMQILKPNFFSEAGVYDLPRHTVTLEQQFINSTTKRWVEGRPMQLRMEVSPNDKLMLWAHMLLVNLEEPACLKKAGIFRGLMASIYKCQKDPALVAAFLTYWNVDGHTLITSQAEMGYPLHTMYDAMGILISGRLYEEFIPLPSTVHGHTLHNIYADQCPLQLNEGPGLVTISTWVNHFFGNDPVSIQSFLPDGFADPTKPLYEDRGFHVELRNNRPTAIMCDLEMSYIYTYPLVLLLLHGYAPNVFQWRRGNSFVLSGNCSGKPSNHWHYKHGIFMR >ORUFI04G07790.1 pep chromosome:OR_W1943:4:10657625:10659853:-1 gene:ORUFI04G07790 transcript:ORUFI04G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLYRALDEVYYNIVAGTTSASERTLFIPGHFIMGWFASFWRDAPMPTSSAQLVACPPFITDFRNYAAVDIQTAHSFFWEFNNDGTGLRFLVFLGRSEVRFPHSGEAIYICDDRTQYRNSGAITIAAIDMLVSCSLNLLVSFLMTTGWSFLTRQWTPNPLVRIVIGIIPSYGQEKPLCASFFLFLCNQFHTLPFFSCAPARIQQLSNESKKLSINVEHIIAQVSQAVNMNCGVEENFLLKEFDGNNPVTLPKLSDVVSARGLKHRDIILKEISINLDLWSNFFSKPPPEIIRLMEGLHVLKGALSEKAPLPTTNLVLAQQDQINQHVDLLRTTQDKVESSCVALEALTSQYNVEQAVDEGNKREAEIAVLQARLQQVEDAHCSAQHRQDVVTENFNSHLERHRQAGKRAPKVSPRYYRLY >ORUFI04G07800.1 pep chromosome:OR_W1943:4:10659875:10661342:-1 gene:ORUFI04G07800 transcript:ORUFI04G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKASDRADRGSACNPTYTWQEIPDDPPLPGHDSDEGAPREDNGEMLLWRDDFNFFYHIDQQPVSPEDATVIGDNNEVDVFMSQVASCLDIKWGHRLIRESEYRLLSKNQGWYVFRVPTPPPKLFIIYPNVTFVFQDHPEAQPREQYGDCICLKPVFHPKNSGPSEVLQYIALLAQHFINSASMRWVEDQPLKLRMQVPSYDKLLLWARMMLVNQEDCEYLIRADIIRGVMASIYQCHVDPSLVVAFLTYWNVDAHTLITSQGEMGYPLNTLYDAMDISFSGNYADPNDPLLIRKGFRVEVHDDRPIAIFGDWEISYQYQYPPLVYRAAFIATWLCTYCVTIEDGHFIRPEFFTMAVEIAKGH >ORUFI04G07810.1 pep chromosome:OR_W1943:4:10668378:10668776:-1 gene:ORUFI04G07810 transcript:ORUFI04G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLTIETPADEDDDISCVPSPSDSETDEPAMAFTSSRGVAATALGSMSGGAAAVLGEGAGGSVLLRGAWNRRGGLAGVLG >ORUFI04G07820.1 pep chromosome:OR_W1943:4:10760579:10761023:-1 gene:ORUFI04G07820 transcript:ORUFI04G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGGWRAVETEAELARAAGGGGRGGGGRHSSTSSSSTGDTAAAGRTATPTSSPRTSMPGPGRHAPTRRHRFGGDAAWVDGDAPPPTTTWGARVEAVVALAFKTAVIDDPSGALPTTRAGGPA >ORUFI04G07830.1 pep chromosome:OR_W1943:4:10792959:10793528:-1 gene:ORUFI04G07830 transcript:ORUFI04G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLQPATCMLADLSRSQDATAGDGTTTVFVLTGSLLRHTHSLLSTGSLLAVDAALAVVDLAHPDLLDLRGGGGEADAALASSDRKKIRGLDSFSSLEVCRGGERGCGCGLDSLGCRGGRRGSSWARSGKLRCAARPLMSSTVMLCARVAIWAVAREGDEDENRDNMSEEDGKGDLSGMVSILDISSSM >ORUFI04G07840.1 pep chromosome:OR_W1943:4:10801320:10805306:-1 gene:ORUFI04G07840 transcript:ORUFI04G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAADMGVLLDLYWHTPHIFDLAVADSLLMDGFGGGMYGPAAAPATPAMVEKEYDESLSELYAYTSQSRYADSSSPDVVNLCSTAAASSKNIAMERDRRKRLNEKLFALRAVVPKITKMDKASIVRDAIAHIEKLQEEERQLLDEISVLQSAAAVAATAVEDVDDSGVTMPSMKKLRSTPPLDGGGGALRVASSPPLQILEVSKVGEKTVAVSIRCAKTRGAMAKVCHAVESLHLKVVSASVAAVDGTIVHTMFVETEQMSGAQEMKQRIQSSLLSAP >ORUFI04G07840.2 pep chromosome:OR_W1943:4:10801320:10805306:-1 gene:ORUFI04G07840 transcript:ORUFI04G07840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAADMGVLLDLYWHTPHIFDLAVADSLLMDGFGGGMYGPAAAPATPAMVEKEYDESLSELYAYTSQSRYADSSSPDVVNLCSTAAASSKNIAMERDRRKRLNEKLFALRAVVPKITKMDKASIVRDAIAHIEKLQEEERQLLDEISVLQSAAAVAATAVEDVDDSGVTMPSMKKLRSTPPLDGGGGALRVASSPPLQILEVETKETEDFYGLILQTSQSWPSDPQLQVSKVGEKTVAVSIRCAKTRGAMAKVCHAVESLHLKVVSASVAAVDGTIVHTMFVETEQMSGAQEMKQRIQSSLLSAP >ORUFI04G07850.1 pep chromosome:OR_W1943:4:10815016:10815929:1 gene:ORUFI04G07850 transcript:ORUFI04G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLGDLGGPAQDGTARGHHSEGGGKGGMVWSTRTKSFVAATTASSSAAWLLLSLPDFSFWAIDPMPMSLGHQIWLRHVRWRCGMVRVSAALDWQGGFNSDNILKIEEVTI >ORUFI04G07860.1 pep chromosome:OR_W1943:4:10863777:10865844:-1 gene:ORUFI04G07860 transcript:ORUFI04G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMAMGESFAYYWETQRYLESEELDSMYLPTQDDSNYESSSPDGSHSSSAPAPAAVGGDAAAAVAGSGGGMMTMMMGGGGGGGDDAGGANKNILMERDRRRKLNEKLYALRSVVPNITKMDKASIIKDAIEYIQRLQAEEQQMLREVAALESAAAASAAPAAANPFAGLGADEEHEYGHHHPSSSSERTKKVKRALSVSSISDALLAAAAPAPPVEIQELRVSEVGDRVLVVSVTCSKRRDAMARVCRALEELRLRVITANITSVAGCLMHTLFVEVDHMDSVQMKQMVEAALSQLVATGSPLSSMSY >ORUFI04G07870.1 pep chromosome:OR_W1943:4:10881886:10882362:-1 gene:ORUFI04G07870 transcript:ORUFI04G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNFNSCNKNWMIWNSPHKGVVYGHLYGILRLTPLRNFTGQCLWGFWSQGPSYGSGRPSVSKTSKDMLNTSIVLLRMLIYL >ORUFI04G07880.1 pep chromosome:OR_W1943:4:10889300:10893250:-1 gene:ORUFI04G07880 transcript:ORUFI04G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPARSPPRLAAAAAALATSAALLLICGTWPGFGFGFGAYTASSSARRASSTGGADAPPPSFAYLISGTGGEAARVVRLLRAVYHPRNRYLLHLDAAAGAEERAELAAAVRGVRAWRERANVDVVGEGYAVDRAGPSALAAALHGAAVLLRVAADWDWFVTLSSSDYPLVTQDDLLYAFSSVPRDLNFIDHTSDLGWKEHERFEKLIVDPSLYMDRNSEILPATEPRQMPDAFKIFTGSPWVILSRNFTEHCVHGWDNLPRKLLMYFANTAYSMESYFQTVICNSSKFRNTTVNGDLRYFVWDDPPGLEPLVLDESHFDDMVNSSAAFARRFVDDSPVLKKIDKEILNRSSAVCASFSRRRGMDVDSCSKWGDVNVLQPARAGEQLRRFISEISQTRGCS >ORUFI04G07890.1 pep chromosome:OR_W1943:4:10905611:10906825:1 gene:ORUFI04G07890 transcript:ORUFI04G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASHHGLLPVWRSGMGSQALRLLSARKAVGIPMSNSQASCILMLRASVVLTVPGCGAVEPTDGAVASGVNLILWVMGADQNADPLNNIFDQSLNILLLLLSKRRMAELSEEKYEHIEIITKNPTYLLVGARGCTQAPQLIVVLDRMVRSVASPPLSRDEQRMRWRVCEINFLLIDSNISLNTEERPNT >ORUFI04G07900.1 pep chromosome:OR_W1943:4:10907217:10926208:-1 gene:ORUFI04G07900 transcript:ORUFI04G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPANLLSFDIVAAAVVVVLMLATAAPAISVQQLYDYPTANLSTRWVNNAAVLQHSVDFTDGSAVRSIILRSPETIFGPSFAAGFFCAPPCKAFLFAIFIVYTDSGASITSVRNGIPQVVWSANRAHPVGENATLELTGDGILVLREADGRLVWSSGTSGRSVVGMQITEQGNLVLFDQRNVTVWQSFDHPTDALVPGQSLLQGMRLRANTSNTNWTESKLYMTVLSDGLYGYVESTPPQLYYEQTTNKRGKYPTRVTFMNGSLSIFIRTTQAGKPEAIIALPEAKSTQYIRLESDGHLRLYEWFDAGSNWTMVSDVIQKFPDDCAFPTVCGDYGICTSGQCICPLQANSSSSYFHPVDERKANLGCAPVTPISCQEMQYHQFLSLTDVSYFDEGQIIANAKNRDDCKEACLKNCSCRAVMFRYYGQNDSDGECQSVTEVFSLQSIQPEIVHYNSSAYLKVQLTPSSAAPTQNSSSAPTQTSSFAPTQNKSNKMKAILGPTLAASITLVLVAIIVVYVRRRRKYQETDEELDFDILPGMPLRFSLEKLRECTEDFSKKIGEGGFGSVFEGKLSEERVAVKRLESARQGKKEFLAEVETIGSIEHINLVRMIGFCAEKSNRLLVYEYMPGGSLDKWIYYRHNNAPLDWSTRCRIILDIAKGLCYLHEECRRKIVHLDIKPQNILLDENFNAKLADFGLSKLIDRDHSKVMTVMRGTPGYLAPEWLTSQITEKVDVYSFGVVLMEIISGRKNIDISQPEEAVQLINLLREKAQNNQLIDMIDKHSSDMVSYQEEVIQMMKLAMWCLQNDSGRRPSMSTVVKVLEGAMRVENCLDYSFFNANSVISVQGNPSTYSAPPHASILSNSNLSDSLELRSEEKYVLKYLLRTKMEERNANAVACLIMKLHITIPVSTLKMLELLKLMISACAFPHDTAEGNLFTRIHGNQSYMGMPVKPIVVTLGLEVVKPINLQKKLKAPSAPFVSGQPYDYPMANLSTRWVNNAAMLKHNSYTDGSAVRAIVLRSQKQLPGISFAAGFFCAPPCQSFLFAVFIVYTNSGAGITLSVNGMAQVIWSANRASLVGENATIELTGDGNLVLHEANGRLVWSSNTSVQLVAGMEITEHGNLVLFDQRNATVWQSFDHPTDVLVPGQSLLQGMKLRANTSTTNWTESKLYMTVLPDGLYGYVGSKPPQLYYTYLVDTNKSRKDPTRVTFTNGSLNIFLQSTQAGKPEAIIALPEAKSIQYIRLEYDGHLRLYEWSDEKWTMVSDVIKKYPDDCAFPTVCGEYGICAGGQCICPLQTNTSSGYFHPVDERKANLGCAPMNPISCQEKQNHQFLTLTDVSYFDGSQTIANAKNREDCKQDCLKNCSCRAVMFRYDQNVSDGECQLVTEVFSLQSIQPEIIHYNSTAYLKVQLTASSSAPKQTSLSAPTQKKSYKIKTILGSTVAAIITLVLVVIVGIYVQMRRKYLEIDEELDFDILPGMPMRFSFQKLRECTEDFSGFGSVFEGKISEERVAVKCLESARQGNKEFLAEVETIGSIEHINLVRLIGFCVEKSNRILVYEYMPRGSLDKWIYYRHNNTPLDWNTRCRIILDIAKGLCYLHEECRRKIAHLDIKPQNILLDENFNAKLADFGLSKLMDRDQSKVMTVMRGTPGYLAPEWLTSQITEKVDVYSFGVVLMEIISGRKNIDFSQPEESVQLIKLLCEKAQNNQLIDMVDKHSNDMISRQEEVIQMMKLAIHVSSRQSIDIFCSTSCISIIWSKMKWVAKGHKKLCSHGPRGDQNHMHPANLFAGFTVLVVMVLAVAAGTLPRQRSDYPMANLSTLWVNNRNRLPDSITYDDGSMVRSILLLSPQTFYGPSFAAGFFCTPPCREFIFAVFIVFTSSGALFPVAVNEVIWCANRGSPLGEDATLELTGDGDLVLREKANGRLVWSSGTSGRSVQGMEITENGNLVLFDQRNGTVWQSFDHPTDALVPGQSLLQGMILKANTSPTNWTESKIYITILQDGVYGYVESTPPQLYYNYVVSTNKSKRVPTTVTFTNGCLSIFVQSTQPGNPDGRIALPEAKSIQYIRLEPDGHLRLYEWSSEEKWTVVSDVTKLSLDDCDFPKVCGEYGICTGGQCICPPESNSSSSYFQPVDEWKLNLGCVPVTPISCQEMQNHHLLTLSDVSYFDVSQPIANPTNKDDCKQACLKNCSCRAVMFMYFHNDSHGTCHSLTEVFSLKTIQPQTATYNSTAYLKVQLTPSSSAPTQNKSYKTKTILSSILAAIGALILVVVVAIYVQKRRKYRERDEELDFDIMPGMPMRFSFQKLRKSTEDFSKKLGEGGFGSVYEGKISEEKVAVKRLESARQGKKEFLAEVETIGSIEHINLVRLIGVCVKKSNRLLVYEYMSRGSLDRWIYYHHNNAPLDWSTRCRIILDIAKGLCYLHEECRRKIAHLDIKPQNILLDDNFNAKLADFGLSKLIDRDQSKPEEAVQLINLLREKAQNNQLIDMIDKHSNDMVSHQEEVIQMMKLAMWCLQNDSDRRPSMSMVVKAFHPHIQPHLGHQYYLVQDEISGGATTSLAWVAARAHWRKLH >ORUFI04G07910.1 pep chromosome:OR_W1943:4:10909032:10921605:1 gene:ORUFI04G07910 transcript:ORUFI04G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQPFVKGASPVGTPDNLVYRYREQSTAAGEDNEDSEDEFSAGWGAEEPCGKGGAIKEEYAAYHRPVVICDAVREPVSIVDPQCREVGHGIVGALPGERPCCNCKNHDHQHREAGKEIGRMHMVLRWKREERSQQETVVSSEGQIRMFVLAQKRRQIILIETPADYRKHGATSLIHHKHSTLRVTATQD >ORUFI04G07910.2 pep chromosome:OR_W1943:4:10908714:10921688:1 gene:ORUFI04G07910 transcript:ORUFI04G07910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGEKPARNCGQFRVSVNQSKDKNRGILSNKKRLGRGLKGMVPERELQRGQHQDTPQTFDVTCHCHPRLIELSSSPDCHPSKVPTPKCHQHQHQGR >ORUFI04G07910.3 pep chromosome:OR_W1943:4:10908714:10921605:1 gene:ORUFI04G07910 transcript:ORUFI04G07910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTDRPEVPLDQTSLPLAFSRRTRSPSPVSSRVASSPRGLPLLAHQITSFTATGNKAPLLVKTMKTAKMNSRQGGVQKNPAAKEGP >ORUFI04G07910.4 pep chromosome:OR_W1943:4:10908414:10908780:1 gene:ORUFI04G07910 transcript:ORUFI04G07910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLHFLTRYWSHWYTSKIQLPLINWLEVA >ORUFI04G07920.1 pep chromosome:OR_W1943:4:10921873:10926616:1 gene:ORUFI04G07920 transcript:ORUFI04G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWHRTLKSQMGSGVILILWVMGADRNAGARNNDFYQALNMAKEEVCVGAEEEFCVGAAEEGVSCTLRIILPIIPEHHSSAGAILQAGFLAIISVFCIGNNLAFIKVRNIRKTQELMVLHFLTRYWSHWCTTKISLPLINWMEVT >ORUFI04G07930.1 pep chromosome:OR_W1943:4:10933949:10936453:-1 gene:ORUFI04G07930 transcript:ORUFI04G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGNLFADFTVLVVVVLAVAALPVSGQRSYPSANLSTLWVNNKVLDSVPYEDGLVRPIVLRSPQTSGLSFAAGFFCTPPCQEFIFAVFIFPDTDSFPVNEMARVVWCANRASPVGENATLELTGDGDLVLREKANGRLIWSSGTSGQSVRRMEITEQGNLVLFGQRNMTVWQSFDHPTDALVPGQSLLQGKMLRANASPTNWTEGKIYITVLRDGVHGYVESTPPQLYFKHELSRNMSQRDPTRITFTNGSLSIFLQSTHPGNPDESIQFQEAKSTQYIRLESDGHLRLFEWSRGEPSWIMVSDVMKEFLHVDDCAFPTVCGEYGICTSGQCICPFQSNSSSRYFQLVDERKTNLGCAPVTPVSCQEIKNHQLLTLTDVSYFDMSQIIMNAKNRDDCKQACLKNCSCKAVAFRYGQNDSNGECRSVTEVFSLQSIQPEKVNYNSSAYLKVQITPSSDPTQKKLKTILGATLAAITTLVLVVIVAIYVRRRRKYQELDEELEFDILPGMPTRFSFEKLRECTEDFSKKLGEGGFGSVFEGKIGEESVAVKRLEGARQGKKEFLAEVETIGSIEHINLVRLIGFCAEKSNRLLVYEYMPRGSLDRWIYYRHNNAPLDWCTRCKIIMDIAKGLCYLHEECRRKIAHLDIKPQNILLDENFNAKLADFGLSKLIDRDQSKVVTVMRGTPGYLAPEWLTSQITEKVDIYSFGVVLMEIISGRKNIDLSQPEESVQLINLLREKAQNDQLLDMIDKHSNDMVSHQEEVIQMMKLAMWCLQNDSSRRPSMSMVVKVLEGAMSVENCLDYSFFNANSVISAQGNPSTYSAPPQASILSGPR >ORUFI04G07940.1 pep chromosome:OR_W1943:4:10954809:10957349:-1 gene:ORUFI04G07940 transcript:ORUFI04G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPANLFCLDVTTMAVVAVVLATAAPSVSGQLPDYPTANLSTRITYTDGSAVRAIILRSTQTLYGPSFAAGFFCAPPCQAFLFAVFIANFNSGYGLPLEENNMARVIWSANRASPLGENATLELTGDGDLVLREIDGRLVWSSNTSGQSVAGMQITEHGNLVLFDQRNATVWQSFDHPTDVLVPGQSLLQGMKLRANTSTTNWTESKLYMTVLPDGLYAYVGSKPPQLYYKYLVDTNKSRKDPTRVTFTNGSLSIFLQSTQAGKPDKRIALPEAKSTQYIRLEYDGHLRLYEWSGFEWTMVSDVIHMDDVIDVDNCAFPTVCGEYAICTGGQCICPLQTNSSSSYFQPVDERKANLGCAPVTPISCQEMKNHQFLTLTDVYYFDGSIITNAKSRDDCKQACLKNCSCRAVLFRYYHNDSDGECKSVTEVFSLQSIQPEKLHYNPSVYLKVQLPPSASAPTQKRIKVILGATLAAISSLVLVIIVGIYVRRRRKYQKLDEELDFDILPGMPMRFSFEKLRECTEDFSKKLGEGGFGSVFEGKIGEKRVAVKRLDGARQGKKEFLAEVETIGSIEHINLVKVIGFCAEKSNRLLVYEYMPRGSLDRWIYYRHNNAPLDWCTRCRIILDIAKGLCYLHEECRQKIAHLDIKPQNILLDEKFNAKLADFGLSKLIDRDQSKVVTVMRGTPGYLAPEWLTSQITEKVDVYSFGVVLLEIICGRKNIDISQPEESVQLINLLRGKAKDNELIDIIDKKSTDMVSHHQEEVIKMLKLAMWCLQNESSRRPSMSMVVKVLEGAVSVENCLDCRFVNANSVISAQDNPSTYSAPPSASILSGPR >ORUFI04G07950.1 pep chromosome:OR_W1943:4:10969846:10971032:1 gene:ORUFI04G07950 transcript:ORUFI04G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAAADGESRRRLAAPHPPRLSPLNFTRGVQFGEQEGRRRATAALGIGSGGGGGGATKASPARVVAADMPEPVRWLPPKLVLPGCVAIAARTRFSCYLAGARAIDNTAAKKDIAEKINHAGFGFDGLVYVTVVAALTDGQRSQQLAEMAQPARCTTVFNIQPKGQYHLIKAHFSENEIENGENGKVDVVTWSILAF >ORUFI04G07960.1 pep chromosome:OR_W1943:4:10973404:10976078:-1 gene:ORUFI04G07960 transcript:ORUFI04G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPFCRSPHQLHHCHADISSHPSTMLPANFFFFIIVIVIIALATIAPPVSAERYDYPTANLSTRWVNNAAALQHSVGYSDGSAVRAIVLRSPKTFYGPSYAAGFFCSPPCDAFLFAVYIVYTNSGAGITMTTTGIPQVVWSANRARPVRENATLELTYNGNLVLSDADGSLVWSSGSSSRSVAGMEITDTGNLVLFDQRNVTVWQSFDHPTDTLLPGQSLMEGMKLRANSTTTNSTENQVYMAVQPDGLFAYVESTPPQLYYSHSVNTNKSGKDPTKVTFTNGSLSIFVQSTQPSNISLPQASSTQYMRLEFDGHLRLYEWSNTGAKWTVVSDVIKVFPDDCAFPMACGKYGICTGGQCTCPLQSNSSLSYFKPVDERKANLGCSPLTPISCQEMRSHQLLALTDVSYFDVSHTILNATNRDDCKQSCLKNCSCRAVMFRYGQNDSDGTCFSVSEVFSLQTIQPEALHYNSSAYLKVQLSPSASASTANKTKAILGATISAILILVLAVTVITLYVQRRKYQEIDEEIDFEPLPGMPVRFSYEKLRECTKDFSKKLGEGGFGSVFEGEIGEERVAVKRLESAKQGKKEFLAEVETIGSIEHINLVRLIGFCAEKSNRLLVYEYMPRGSLDRWIYYRYNNDPLDWCTRCRIIMDIAKGLCYLHEECRRKIAHLDIKPQNILLDEKFNAKLADFGLSKLIDRDQSKVVTVMRGTPGYLAPEWLTSQITEKVDVYSFGVVLLEIICGRKNIDISQPEESVQLINLLREKAKDNVLIDIIDKKSTDMVSHHQEEVIKMLKLAMWCLQNESSRRPSMSMVVKVLEGAVSVENCLDYSFANANSVISAQDNPSTYSAPPSASILSGPR >ORUFI04G07970.1 pep chromosome:OR_W1943:4:10993086:10993434:-1 gene:ORUFI04G07970 transcript:ORUFI04G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGWLSNWLVKHEVLHRCLGFDHRGIETLQIKAEDWDSIAVILYVYGYNYLRFQCAYDVTPGGSLASVYHLYYGIDNPEEVCIKVFAQKDNPRISSVFWI >ORUFI04G07980.1 pep chromosome:OR_W1943:4:10994669:10994917:-1 gene:ORUFI04G07980 transcript:ORUFI04G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLLDAPFFSVLEGGPQGGVDGAVACSGMDEDGRTSTMPKRRCSGARSRTTMARRCSRCYNGSNAMASKESLFGKMS >ORUFI04G07990.1 pep chromosome:OR_W1943:4:10994964:10998040:1 gene:ORUFI04G07990 transcript:ORUFI04G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAARMAFASCGRLLAPSSSSSLPALPRTRGSVAASGKHPVSFLAAARSASVLCYCSDATVAPAPQAAAAEGEGEEGEKKAEVPPVEEVAALLDIRVGRVVKAWRHPEADTLYVEEVDVGEEQPRTICSGLVNYLPIDQLQDSNVIVLANLKPRNMRGIKSNGMLMAASDASHENVELLTPPEGSVPGERVWFGTEDGKDRQSEAASPNQVQKKKIWESVQPHLRTSENCTAFLGEHPMRTSAGVVFCKTLQGARVS >ORUFI04G08000.1 pep chromosome:OR_W1943:4:10996347:11003973:-1 gene:ORUFI04G08000 transcript:ORUFI04G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLANMFSVLNLDAEDDREEGAEPPTSSKAEAAAVTSSTEIDKIRLNHTMIVNHDGENLASSSSDYDKPLVWIDLEMTGLDITKDRILEIACIITDGKLTKRIEGPDLVIRQSKECVNDMNEWCKVHHSASGLKEKVLQSDISENDAEKQVLDFIRKYIGSATPLIAGNSVYMDLLFLKKYMPHLAAIFSHVIVDVSSISALCSRWFPKERKHAPRKEKNHRAMDDIRESIKELQYYKENIFKSRKSKGRG >ORUFI04G08000.2 pep chromosome:OR_W1943:4:10996347:11003973:-1 gene:ORUFI04G08000 transcript:ORUFI04G08000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLANMFSVLNLDAEDDREEGAEPPTSSKAEAAAVTSSTEIDKIRLNHTMIVNHDGENLASSSSDYDKPLVWIDLEMTGLDITKDRILEIACIITDGLKEKVLQSDISENDAEKQVLDFIRKYIGSATPLIAGNSVYMDLLFLKKYMPHLAAIFSHVIVDVSSISALCSRWFPKERKHAPRKEKNHRAMDDIRESIKELQYYKENIFKSRKSKGRG >ORUFI04G08010.1 pep chromosome:OR_W1943:4:11010290:11012425:-1 gene:ORUFI04G08010 transcript:ORUFI04G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLKSAAARSPMRSPPPHPPSAPAPRDEGFEEEEEEEESARAIAVSDQRTIYLVNMFIANTVEFLNSFAAQCNDKLSLLHRKIVKLDSSLNLLEAKLRSIDDTNAFGHSTNQKAHGLFTQDGRFEPTNLLGESSR >ORUFI04G08020.1 pep chromosome:OR_W1943:4:11016875:11028600:-1 gene:ORUFI04G08020 transcript:ORUFI04G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSSKEIVDAVEKWMAFPTSGGGGATAGLEIVAEDAPSGSSGAHQQQAWRPVAPATAGRDSGGTGSGKSSVDGGVGRASHDSLPRVSQELKDALSSLQQTFVVSDATRPDCPIIYASEGFFTMTGYSPREVVGRNCRFLQGPDTDAAEVAKIRDAVKHGRSFCGRLLNYRKDGAPFWNLLTVTPIRDDNGKVIKFIGMQVEVSKYTEGLSDKRMRPNELPVSLIRYDGEHRRRRRGILCFLDVSLILLSYQCRHWRVWSAERQKDKAMSSMTEVVQTVKQPRGARAPADAALLTPPKMSDADKMAAMSPVVAPGTPSGGGGGAGSFKSPLWDLKKEESRLSRLASGRKSGRSSLMGFKIGKRSSVGSREAPAVVEEPAPAPPPAPEVVERTDSWERAEREKDIRQGIDLATTLERIEKNFVITDPRIPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQGTVDKIREAIREQKEITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSENTEIQSAKLVKATAENVDDAVRELPDANLRPEDLWAIHSMRVSPKPHKRNNPSWIAIEKATNLGEKIGLKHFKPVKPLGCGDTGSVHLVELQGSGELFAMKAMDKSVMLNRNKVHRACIEREIYALLDHPFLPTLYTSFQTPTHVCLITDFCPGGELFAVLDRQPMKIFREECARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQADGHIVLTDFDLSFLTTSKPHVIKNSTSLKRRRSQEFLPPTFVSEPSTPSNSFVGTEEYIAPEVITGAGHTSAIDWWALGILLYEMLYGRTPFRGKNRKKTFYNILHKDLTFPSSIPVSLAAKQLIHGLLQRDPSNRIGSNAGANDIKQHSFFQDINWPLIRCMSPPELDVPLKLIGKETQPKAKPDEDVPLNLDTF >ORUFI04G08030.1 pep chromosome:OR_W1943:4:11028625:11029422:-1 gene:ORUFI04G08030 transcript:ORUFI04G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAGGRGGRAAATESRRDDRESLDRSRARGLHYPHLPPLLLRPPFFLKNRWLHGQDCYTFADK >ORUFI04G08040.1 pep chromosome:OR_W1943:4:11046760:11050119:1 gene:ORUFI04G08040 transcript:ORUFI04G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIKRIDNTMNRQVTFSKRRGGLMKKARELAILCDADVGLIVFSCTGRLYDFSSSSMKSIIERYQEAGEEHCRLLNPMSEAKFWQREVTTLRQQVQNLHHNNSFYLSANYLNENSAYYFIRINQYEGENEIFFKAHVEIHVSNKRQLLGEEISNFTVRDLQLLQNQVEMSLHSIRNKKGSLVQKENSELRKKFNIAHQRNIELHKKLNSGESTSSEQVTRSSKDPGESSTPRDSRVCIDLELSQKEVEDE >ORUFI04G08050.1 pep chromosome:OR_W1943:4:11048506:11062705:-1 gene:ORUFI04G08050 transcript:ORUFI04G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYLRCEVLLAAIGRSCLAIGGEGERVNFARVVSTTTVYCFLHLTRTIAHLNLVLEKLQISNCEVGDFLSQKLPISVRHIRM >ORUFI04G08060.1 pep chromosome:OR_W1943:4:11063720:11065091:-1 gene:ORUFI04G08060 transcript:ORUFI04G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGAPELTHELSNNPRAGGRAAILAIGTAVPVHVYEQKSFPDYYFEITNSNHLVDLKAKFANICKKTTTEKRHMYISDEWLRANPSVTAYMSTSLNVRQQVAEEGIPRLGAEEACNAIGNWGKPASSITQRRVRHHQHRADVTLIKLLGLPLSTKRVMLYQSGCFGGTTALRVAKDIAESNRDARVLVVTSEVMSLIIRGPSESHVGNLVAQAVFGDAAGAAVVGCCRHPSSTGERPVFQLVRASQDVIPGTDDAVVVKVQQEGVVITLHRDLPLHVSNAIGGVVESAFRGVGTTVTSYDEAFWLLHAGGRAVVDGVEERLGLGEGKLAVTREVMRQYGNTRSTTIFLAMEEMRRRSEERGMATAGEGLEWGMLMAFGPGLTVETMLLRAMPRN >ORUFI04G08070.1 pep chromosome:OR_W1943:4:11081913:11082110:-1 gene:ORUFI04G08070 transcript:ORUFI04G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGRLLAAWWQRATAQKRSAEAVTGVGGSGDGGRDCGGGGDVGGGEGVGCEVRMATARWLGV >ORUFI04G08080.1 pep chromosome:OR_W1943:4:11094334:11094825:-1 gene:ORUFI04G08080 transcript:ORUFI04G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEARMRNSIVSSVAAEDPRKVWRSPGSTRAGFSFPSSFSISPASASRSSTNTSPSVGTYKKVRRRRQRQHRLRWLRQQWRRVLKGARPQRPRAWRGRREYGRTTTLLGSARRPLPSFLDAVLAAASMIIERGRVLAITEGDAWSIDKRLREKVEGGEKKE >ORUFI04G08090.1 pep chromosome:OR_W1943:4:11122299:11123783:1 gene:ORUFI04G08090 transcript:ORUFI04G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGHDVQLPHVAIFPFMARGHTVPMTHLACLLRRRGLATVTFFSTPGNAPFVRGQLDDDVAVVELPFPDHVVARGAAECVEALDSLFPLPAFVEAVSALRPGLEVSLAAARPRVGLLVADAFLHWAHASAAALGVPTVAFLGGNMFATIMRDVILRDNPAAALLSGGGGAEAATFAVPEFPHVHLTLADIPVPFNRPSPEGPIMELNAKLWKAIAGSNGLIVNTFDAMEGRYVEHWNRDHRAGPRAWPIGPLCLAHGGTGTGTGAVEPSWMKWLDEKAAAGRAVLYVALGTAMAIPDAQLREVAGGLEAAAAAGVYFLWAVRPSDADLGAGFEERVEGRGMVVREWVDQWRILQHGCVRGFLSHCGWNSAVEGVAAGVPLAAWPMGAEQPLNAMLVVDELRVGVRVPVPTAMATGGHGVVGSEVIARVARELMMMAGEGKGGGGGEEARNVAALASKAREAVAEGGSSWKALEEMVATLCRPVEGDTPKPTK >ORUFI04G08100.1 pep chromosome:OR_W1943:4:11141685:11142262:-1 gene:ORUFI04G08100 transcript:ORUFI04G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVVALAATAVVTVLKGTAGWLHQCAKWDAEGRRLLVSNFFDVGVSKLYAAGTAGKEKEEERVVSGMYELQRHREGRAGVHHRHTEGALLIVYADRMPRFGYSAHNSGSLPEFAAPASVSCAGTERTRRTLAAA >ORUFI04G08110.1 pep chromosome:OR_W1943:4:11149931:11150758:1 gene:ORUFI04G08110 transcript:ORUFI04G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFASPLDAAPAPPTAKPSPPPAPAPANGLVTQEELKRVAAHRAVEMVEPGMTLGLGTGSTAAHALDRLGDLLRSGELAAVAGVPTSLKTEAHAARVGIPMLPLGEAGGIDLSIDGADEVDPELNLVKGRGGSLLREKMIEGSGGRFVVIVDESKLVPRLGCTGAVPVEVVPFGCDHTLGLVRKVFDGLPGFSARLRTVASKDGEGKEEMFVTDNGNYIVEMFFEDGIRGDLNEISDRLLRITGVVEHGMFLGMATSVVVAKKDGTVALLHKKK >ORUFI04G08120.1 pep chromosome:OR_W1943:4:11162500:11163214:-1 gene:ORUFI04G08120 transcript:ORUFI04G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGRGSGGGGEDDVVVNLPEVVKGAALARVMEYCDHHHGGGADPGSARSSFPXPQRTTAAEYGMAAECGTTAAEYATAAERPTRGRLVLGFGGGDEGEELSAVSALEEERLRSGSGTAAAREPGRRRVRAVSSKSSPNTADDWSGCVRLVLRLTDFAGVGLHGGGDGGVGCLPA >ORUFI04G08130.1 pep chromosome:OR_W1943:4:11168310:11176252:-1 gene:ORUFI04G08130 transcript:ORUFI04G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAAAEAEEQLLRRSLRLFAAGERSFRMDRLSPDADALRAAVADVLPRFLGSYTDDILAEYIVILVCNGKHQYQARDDLEAFLGDDSAKFVSWLWGYLSKKALTLADNSSIQHGLTNEIRNRSTKKNLQVANALSEDTFIVNSDISSPQEHHTLQKHDSTEGQNVARRHISSTVTVTPEKLVDDQCYWEGQHQKKDQRSSSGRNFSTLKSGVAVRTAQALPQDELRHEVCIGRNASARRFPLAVRSDDVLDPESIKKRGNVWDRLGKPAIKDRICATEDDDMHVQNGLHKKAKLMVTEHELRCRMNSSTEGDLFDIANSRRFPRSYQDVNTVQAHEHTEKSNRSRLIGRINFGDIERNQLQVRDVIRQKSSPNLPARSVPLQSQNEFISEVKSSVTAVSESACHVSKSTKGQVPGSSKLGQLATRRNLETEVLQSQQVSSPAQSKTGSSVHEDGGNCCNKPMKNEMLDVKLKLKQVELDVLKLRSKQAQINNVKQGFLSSGPHANLDEDADSRTVLVTNCGTVLKINILTDAISGHPKGAAFVTFTDKESVEKAVMRKAEAPPGFLASVQPIGRPLQSWNSPPIQKGVSPRQIPGYHLQWKREQSVLEKSPASCPTN >ORUFI04G08140.1 pep chromosome:OR_W1943:4:11180876:11187508:1 gene:ORUFI04G08140 transcript:ORUFI04G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEENSSLFLIFILTMIALPLVPYTIMRLCRAANVKAKTIHCRCSGCHRSGKYRKSIYKRISNFSTCSNLTILLLWIVMIFLVYYIKHVSREVQVFEPYSILGLEPGASESDIKKSYRRLSIQYHPDKNPDPEAHKYFVEFISKAYQALTDPVSRENYEKYGHPDGRQGMQMGIALPKFLLNMDGASGGIMLLGIVGLCILLPLMIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIRTEQAKFWKQHPSLVKMELLIQAHLTGESFALTPALLKDYRHMLELAPRLLDELVKIALLPRSPHGFGWLRPAIGVIELSQNIIQAVPLSARKASGGNSEGIAPFLQLPHFTETVVKKIARKKIRSFQEFCDMPVEERATLLTQVAGLSDEGAQDVELVLEMIPSIEVDIKCETEGEEGIQEGDVVTMYAWVSLHRRNGLTAALPHAPSFPFHKEENFWLLLADAASNEVWLSQKVSFMDETTAITAASKAIQETQEALGASAKEIGIAIREAVDRVKKGSRLVMGKFQAPAEGTHNLTSFCLCDAWIGCDTKTSLKLKVLKRSRAGTRGHVAEEGPVAEDGIEEEEEEEEEEYDDYESEYSDDEEDEKSKGKGKVANGVAHQKANSDIDSGSDD >ORUFI04G08140.2 pep chromosome:OR_W1943:4:11181067:11187508:1 gene:ORUFI04G08140 transcript:ORUFI04G08140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEENSSLFLIFILTMIALPLVPYTIMRLCRAANVKAKTIHCRCSGCHRSGKYRKSIYKRISNFSTCSNLTILLLWIVMIFLVYYIKHVSREVQVFEPYSILGLEPGASESDIKKSYRRLSIQYHPDKNPDPEAHKYFVEFISKAYQALTDPVSRENYEKYGHPDGRQGMQMGIALPKFLLNMDGASGGIMLLGIVGLCILLPLMIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIRTEQAKFWKQHPSLVKMELLIQAHLTGESFALTPALLKDYRHMLELAPRLLDELVKIALLPRSPHGFGWLRPAIGVIELSQNIIQAVPLSARKASGGNSEGIAPFLQLPHFTETVVKKIARKKIRSFQEFCDMPVEERATLLTQVAGLSDEGAQDVELVLEMIPSIEVDIKCETEGEEGIQEGDVVTMYAWVSLHRRNGLTAALPHAPSFPFHKEENFWLLLADAASNEVWLSQKVSFMDETTAITAASKAIQETQEALGASAKEIGIAIREAVDRVKKGSRLVMGKFQAPAEGTHNLTSFCLCDAWIGCDTKTSLKLKVLKRSRAGTRGHVAEEGPVAEDGIEEEEEEEEEEYDDYESEYSDDEEDEKSKGKGKVANGVAHQKANSDIDSGSDD >ORUFI04G08150.1 pep chromosome:OR_W1943:4:11190061:11191010:1 gene:ORUFI04G08150 transcript:ORUFI04G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHLDSFHASTSPPPSYHRYAHTSTPLFPASGGYGWLSSKEHCLTLGGAADLSLDKPADHHHHDTTSATTTEKPLWRFLDEWPRSDDGRTPWDGTQLSISIPTAAASSPDLAIAGAASRYHNNVTHGAVGQYGEDAWRRLTSIPSRVSTPPHLQDGQPERARREALAAGGRRDT >ORUFI04G08160.1 pep chromosome:OR_W1943:4:11191422:11193580:1 gene:ORUFI04G08160 transcript:ORUFI04G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTSLEGKHFLDSSLVLPPHGHHHAPSSDDAHRSSALVDLMAFIADRRNATTARCAMRSGLELQVTLCVDAPPPRVSYFCVWCPGERPTELATEPCIVAAEADLVVFAAVRGNARDILNLDKTDVFIYQAAGAPSIRRLGDLEPHFSAVYNIGLLRHSVAHPGGGDGEHGHYYIVTLHPGYTSSWEYVLYVFDSKTGSWSDRTLSLGPEHRHSQFNCSPSKVVVLGNGGLMAFVDLWRGIIVVDVLDRGVPPRFILLPRALRSRRILRMDASIVRDVVVVDGRVKFKNHQQQQQQPDVINGGATSNVASRLRKVSMWSRMATWEEDDDWRRDHIFSVPDIIVDEDYASHLELLRPELQIDDATGRPTLRGLHITRPAISLNDDDKVNFMAKVDPWDKRGWVIAVDMRSKKLEDVGIFRAERVIGVDLSYTLCRISKYFSTSTGKSTAGHLKRQGQFCTEYPHNRQAGRTDDGTSMDVEDIDDNMDED >ORUFI04G08170.1 pep chromosome:OR_W1943:4:11206857:11212852:1 gene:ORUFI04G08170 transcript:ORUFI04G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCQRSCSNLTIDYPFGIGSSHCSRQPDFELICNNTTQPPRLLFKNGTTEIIDSPSTEYLDVMFSHSISMKSNVSVYNMSWDAPGKSFTLGYARLNITGCDFDIYQVLDQSGNVPAKLCNVTCPNRGITEDIARQDCNGTGCCSIDVPIRAQTLQLMFVRHGKGAVELDAQSNQSSLWSTINVTTVYAVILWRILDQPTCASTFDNRTNYACISEHSKCMDGYFAPILGYNCLCDGGYQGNPYILDGCSRDRGYNPFQQKDVCDRKCGSIDVPYPFGLEEGCAARKSFQLNCTNMLSSSLQLNDEYHVTYINVSNGLMGVEDTTDYKQYMYGMRVTQEPQLYIGSGESASVQWAVANLTCLEAQQNISGYACVSINSTCLGVNSTDDYIGYRCSCTLGFQGNPYIQDGCQDINECLVPNKCKGVCYNTPGSYRCTACPDKTQYDMTTMQCTRTRRQSLMLGVVIGLSCGFSILLLSLGIMLLIHRWKKDIQKQLRRKHFQKNQGLLLEQLISSDENASENTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKRSKHIEEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGILHSGSNNGFSLSWDDCLRIAVEAAGALYYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRKEPIFTRVSGSKQNLSNYFLWELKVKPITEIVAAQVREEATDEEIESVASLAQMCLRLRSEDRPTMKQVEMNLQFLRTKRLNSCPDALDKAEEMQPLLCTRSEASCASLAINLGDSYNPESQSSHKCYSLEQEFSSSVGLPR >ORUFI04G08170.2 pep chromosome:OR_W1943:4:11206857:11212852:1 gene:ORUFI04G08170 transcript:ORUFI04G08170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLASIAHAWVSTQQMITLGIGVVAHLASKEIHISKMVAKVIISALLPLPSDLALSLILLSDVADINECLVPNKCKGVCYNTPGSYRCTACPDKTQYDMTTMQCTRTRRQSLMLGVVIGLSCGFSILLLSLGIMLLIHRWKKDIQKQLRRKHFQKNQGLLLEQLISSDENASENTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKRSKHIEEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGILHSGSNNGFSLSWDDCLRIAVEAAGALYYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRKEPIFTRVSGSKQNLSNYFLWELKVKPITEIVAAQVREEATDEEIESVASLAQMCLRLRSEDRPTMKQVEMNLQFLRTKRLNSCPDALDKAEEMQPLLCTRSEASCASLAINLGDSYNPESQSSHKCYSLEQEFSSSVGLPR >ORUFI04G08170.3 pep chromosome:OR_W1943:4:11206857:11212852:1 gene:ORUFI04G08170 transcript:ORUFI04G08170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCQRSCSNLTIDYPFGIGSSHCSRQPDFELICNNTTQPPRLLFKNGTTEIIDSPSTEYLDVMFSHSISMKSNVSVYNMSWDAPGKSFTLGYARLNITGCDFDIYQVLDQSGNVPAKLCNVTCPNRGITEDIARQDCNGTGCCSIDVPIRAQTLQLMFVRHGKGAVELDAQSNQSSLWSTINVTTVYAVILWRILDQPTCASTFDNRTNYACISEHSKCMDGYFAPILGYNCLCDGGYQGNPYILDGCSRDRGYNPFQQKDVCDRKCGSIDVPYPFGLEEGCAARKSFQLNCTNMLSSSLQLNDEYHVTYINVSNGLMGVEDTTDYKQYMYGMRVTQEPQLYIGSGESASVQWAVANLTCLEAQQNISGYACVSINSTCLGVNSTDDYIGYRCSCTLGFQGNPYIQDGCQGVVIGLSCGFSILLLSLGIMLLIHRWKKDIQKQLRRKHFQKNQGLLLEQLISSDENASENTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKRSKHIEEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGILHSGSNNGFSLSWDDCLRIAVEAAGALYYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRKEPIFTRVSGSKQNLSNYFLWELKVKPITEIVAAQVREEATDEEIESVASLAQMCLRLRSEDRPTMKQVEMNLQFLRTKRLNSCPDALDKAEEMQPLLCTRSEASCASLAINLGDSYNPESQSSHKCYSLEQEFSSSVGLPR >ORUFI04G08180.1 pep chromosome:OR_W1943:4:11229720:11229989:1 gene:ORUFI04G08180 transcript:ORUFI04G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSVGVERDAHLTRQPTPSTDPSLMRRTHSSHLSSSFRSASLPQNPTIAAVLKGARKENHRRELDVAAGYNVEAKICHIEAEILHLSS >ORUFI04G08190.1 pep chromosome:OR_W1943:4:11252506:11258727:1 gene:ORUFI04G08190 transcript:ORUFI04G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTIKMIDMSPFSSVVPLLLFVLFSAVNPTVRSSSVPGNQGINSTILPSAATLEGCPRSCGNLSFDYPFGIGSGCFRNPDFNLTCDNTAQPPRLFLQGGTEVIEDIDAIVYGSTSNYLFMYVTVDFSHAIPVSPGTKDYNMSWKAPGRSFTLDNALLNITGCDFDIYLLDQDRNSAVRLCTVTCPNEEITEKVARQNCNGTGCCTIELFEATLSAFQFKFVQHSKGGLEAQTNRSSLWDRINITTIYASLSWSIVDQPTCASTRDNRTNYACASSKSKCCESYGLPDLGYLCGCDSGYWGNPYIPNGCQRDNGYIPAQQKANCSRSCGNISVPFPFGLEEGCFARKLFQLNCTSATSSSLQFDDEHQVTYINISEGLVGIRYTSNYEQEEFKVYVPKQPDLYIGSGESSSVRWAVANLTCQEAKQNYSGYACVSINSTCLGVNSTDGYIGYRCKCLPGFQGNPYVQNGCQGIIIGLSVGFGILLVSLSATFICRRWKRDIQKQLRRKHFQKNQGLLLEQLILSDQNATDKTKIFSLEELEKATNNFDSTRILGRGGHGMVYKETEVPLLVYDFIPNGSLFGVLHSGSSSDFSLSWDDCLRIAVEAAGALCYLHSAASVSVFHRDVKSSNILLDVNYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLIRREPIFTTVSGSKQNLSNYFLWELKVKPIKEIVAAYVHEEATEDEINSVASLAEKCLMLRSEDRPTMKQVEMTLQFLRTKKLNSCHATPENDEELQQLLPRRSEASCEQVAVNLGNSANSESRNSLKCYSLEQEFISSVGLPC >ORUFI04G08190.2 pep chromosome:OR_W1943:4:11247777:11253957:1 gene:ORUFI04G08190 transcript:ORUFI04G08190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIILTTGIVIGLSCGFGILLVSLSTMVFIRRWKNDIQKQLRRKHFRKNQGLLLEQLISSDENASDKTKIFSLDELEKATNNFDPTHILGHGGHGMVYKGILSDQRVVAIKRSKDIKEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFDILHSGSSNRFSLSWDDCLRIAVEAAGALCYLHSAASVSVFHRDVKSSNILLDANYTAKVADFGASRLVPIDQTHIVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVSGSKQNLSNYFLWELKARPIKEIVAAQVCEEATEEEIKSVSSLAEMCLMLRSEDRPTMKQVEMTLQFLRTKRLTSSHAAAENDEEMQSLLHTRSEVSCESLANNLGVSANPESGNSHKCYSLEQELQMLIKQQDHDLDMDENPLYSFAMLLRFSHIFSQLKL >ORUFI04G08200.1 pep chromosome:OR_W1943:4:11248759:11259327:-1 gene:ORUFI04G08200 transcript:ORUFI04G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPIFTSEHQALLCKRSDTVYLILSSFLMNFHKYHTKTIYITLLIQLPSMEEPRWLCGVVTGEIEVWVPEAARTNAEWVVEAQVAAAPWAAFQSGRRRKNENKTNRSRGTTELKGLMSIIFIVLSIVALEKFQSLSCENMWENRRSIANEYNGFSSISRSWSCCFISIWSLDRATGATGKSWDDVNLFVRKNCKAYLHFGASSTFPQEN >ORUFI04G08200.2 pep chromosome:OR_W1943:4:11255324:11262329:-1 gene:ORUFI04G08200 transcript:ORUFI04G08200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQPCWVEKTMRSHGSAIWVGGYLECYTVVLVAAVVSPPLLPLSSLSLHCHRSRHRRRAAARGHRHPCRHHRCAAAAPVVIAVVVQPIVVAVVLVVTIGCSCRFDYFQIEDGWLRPMPPSWIGFLLCGIGHFASRPIFTSEHQALLCKRSDTVYLILSSFLMNFHKYHTKTIYITLLIQLPSMIFQA >ORUFI04G08200.3 pep chromosome:OR_W1943:4:11255324:11262329:-1 gene:ORUFI04G08200 transcript:ORUFI04G08200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQPCWVEKTMRSHGSAIWVGGYLECYTVVLVAAVVSPPLLPLSSLSLHCHRSRHRRRAAARGHRHPCRHHRCAAAAPVVIAVVVQPIVVAVVLVVTIGCSCRFDYFQIEDGWLRPMPPSWIGFLLCGIGHFASRPIFTSEHQALLCKRSDTVYLILSSFLMNIFQA >ORUFI04G08200.4 pep chromosome:OR_W1943:4:11254176:11262329:-1 gene:ORUFI04G08200 transcript:ORUFI04G08200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQPCWVEKTMRSHGSAIWVGGYLECYTVVLVAAVVSPPLLPLSSLSLHCHRSRHRRRAAARGHRHPCRHHRCAAAAPVVIAVVVQPIVVAVVLVVTIGCSCRFDYFQIEDGWLRPMPPSWIGFLLCGIGHFASRKSLGGCAVLSQVRLKSGFLKQPEPMPNG >ORUFI04G08200.5 pep chromosome:OR_W1943:4:11260403:11262329:-1 gene:ORUFI04G08200 transcript:ORUFI04G08200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQPCWVEKTMRSHGSAIWVGGYLECYTVVLVAAVVSPPLLPLSSLSLHCHRSRHRRRAAARGHRHPCRHHRCAAAAPVVIAVVVQPIVVAVVLVVTIVSIYDG >ORUFI04G08210.1 pep chromosome:OR_W1943:4:11283196:11285219:-1 gene:ORUFI04G08210 transcript:ORUFI04G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMGDGGDLVMLMEVSQLKKLALLLRNNEEAQITQAVKSQNERVKYLHSVNHAYNHAVDLLDDGSATRDKYAAAAAGGGGEAKASIAEDVLEYVKYGLNMSMQNVRNCCLRVDCIGKIRAHYDSLVADLAGLHADDVANLRRLAKDTAMFKECMFEHCNKLRSGSARAMSKAFSMMLKQEGIKFPDLVKRHKNKLGFEGEFEHLTDAQKLEVYNSIIEESGRAKMPVKEMVSTAAGVAVLLATAGLMVWDIFTAEHTVEAVLRNSLNALAEVGAFAVQVKDIK >ORUFI04G08220.1 pep chromosome:OR_W1943:4:11316759:11323499:-1 gene:ORUFI04G08220 transcript:ORUFI04G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVAAAAAPLRRSLLPSLNPSCLFSSLASSSHRLSLPRALRPAGPLPSDVEDSDDSNAGDGAGEALRKSRNDLKREARRAVQWGMDLAKFSPPQIKRILRAASLDREEDFCVVHNPN >ORUFI04G08220.2 pep chromosome:OR_W1943:4:11316759:11323499:-1 gene:ORUFI04G08220 transcript:ORUFI04G08220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVAAAAAPLRRSLLPSLNPSCLFSSLASSSHRLSLPRALRPAGPLPSDVEDSDDSNAGDGAGEALRKSRNDLKREARRAVQWGMDLAKFSPPQIKRILRAASLDREVFDALMLVKEDFCVVHNPN >ORUFI04G08230.1 pep chromosome:OR_W1943:4:11329811:11331313:-1 gene:ORUFI04G08230 transcript:ORUFI04G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLGGCRILQDTPSPFHVHRGQVACVQVLDKQHHERFHSPSITSSFDMFCSLSPVLLSFLHFFHAHAPSRRHGRSKEADTLEHKVRDRYDLQYLTVTRGSWEKEQEDILHTVK >ORUFI04G08240.1 pep chromosome:OR_W1943:4:11331784:11332119:1 gene:ORUFI04G08240 transcript:ORUFI04G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGRVISAASKPALPQTPAAGSRRRTTAVAGSGEGQPRRARRPPLAQAIVVARCWRRPSPSPVVGGSWRDPAACRPAHRGGPSRRPPAADLQSPLNRLSHPQAFIVAADP >ORUFI04G08250.1 pep chromosome:OR_W1943:4:11334518:11354891:1 gene:ORUFI04G08250 transcript:ORUFI04G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEKSLDDKVEKEKLVEGFLGYIICSTQEWGLERGWGDTVERCKETLNCLSEVLQAPDPTNMEKFFSRVPSIFNIVIFSIHGYFGQEKVLGLPDTGGQSEPWKRSCFKESSSRAPDPTNMEKFFSRVPSIFNIVIFSIHGYFGQEKVLGLPDTGGQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIIDGVSGFHVNPINGREAGIKIADFFQKCKEDPSYWNKVSTAGLQRIYECYTWKIYATRVLNMGSTYSFWKTLNKEERQAKQRYLQIFYNVQYRNLAKAVARAGDQQARQTTTGVAPSEIVVRPKERQKAADSDAKSGGLFCHGIQAEFQANGQHCRDNARCPTTKPVPDEEMLPKVPAMEEELLQRIKQQGLHVTPKILVLTRLIPDAKGTKCNVELEPVENTKYSHILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQNSCAKILDILEGKPDLIIGNYTDGNLVASLLSNKLCVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMISMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSIYFPFTQKQKRLTDLHPQIDELLYSKDDTDEHIGYLADRNKPIIFSMARLDKVKNITGLVEWYGQNKKLRDLVNLVVVAGLLDASQSKDREEIEEINKMHNLMDRYQLKGQIRWIKAQTDRVRNDYRRRDGDDGGDDDS >ORUFI04G08250.2 pep chromosome:OR_W1943:4:11334518:11354891:1 gene:ORUFI04G08250 transcript:ORUFI04G08250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEKSLDDKVEKEKLVEGFLGYIICSTQEWGLERGWGDTVERCKETLNCLSEVLQAPDPTNMEKFFSRVPSIFNIVIFSIHGYFGQEKVLGLPDTGGQSEPWKRSCFKESSSRAPDPTNMEKFFSRVPSIFNIVIFSIHGYFGQEKVLGLPDTGGQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIIDGVSGFHVNPINGREAGIKIADFFQKCKEDPSYWNKVSTAGLQRIYECYTWKIYATRVLNMGSTYSFWKTLNKEERQAKQRYLQIFYNVQYRNLAKAVARAGDQQARQTTTGVAPSEIVKAADSDAKSGGLFCHGIQAEFQANGQHCRDNARCPTTKPVPDEEMLPKVPAMEEELLQRIKQQGLHVTPKILVLTRLIPDAKGTKCNVELEPVENTKYSHILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQNSCAKILDILEGKPDLIIGNYTDGNLVASLLSNKLCVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMISMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSIYFPFTQKQKRLTDLHPQIDELLYSKDDTDEHIGYLADRNKPIIFSMARLDKVKNITGLVEWYGQNKKLRDLVNLVVVAGLLDASQSKDREEIEEINKMHNLMDRYQLKGQIRWIKAQTDRVRNDYRRRDGDDGGDDDS >ORUFI04G08260.1 pep chromosome:OR_W1943:4:11359329:11360211:-1 gene:ORUFI04G08260 transcript:ORUFI04G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCFGDVVDNYKLDEMERYVGKAKRQEDRAREAMNLVNEDGKDKKAASYVQGVKDWYGNGESTLCLVYNATGATLRHVADHDWWGFIGRTPYPTEIGNGQWAAFHHVHKSGDSSGSEAAVVYRGTNADGVERDLLVAWSTPWSSFYRNKAYCAVGGVDSFQGEWEQLYDKVNNAAYTCNADSDRFNIDASTATGDSPVFTATIKIHFSQ >ORUFI04G08270.1 pep chromosome:OR_W1943:4:11360742:11361993:1 gene:ORUFI04G08270 transcript:ORUFI04G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFGVPITDETLEAMSRYAGKSISQVDRAREAMRLIHAEGKNLDALQHAVGLKASYGDGVSAMVLVYNATGAALELVDGEGGTMDWYGYVYHEQPPASFQNGQWLAFLHAHPTAQSIGCEAARVFRGRDVDGQVRDFMVAWSLPWSATQNSAYTELMTLNKHMSFSITNAYMPFSRTNAEQI >ORUFI04G08280.1 pep chromosome:OR_W1943:4:11378171:11383034:1 gene:ORUFI04G08280 transcript:ORUFI04G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVVDVLLACLALLLLASSGAPTVAAAQTSAAGGGYGAGCAKSCGDLTTFDYPFGIGAGCARGHDFQLICNTTTQPPTLFLSDGFTQVINSIKPYGAAGYDADVGRVVRGFQLQFVHRHEHGEGQRTSVDSVRIENDGVRLGWAIVDHSTCAEAKRDKSSYACASKHSQCDDNLSFTASRAGYLCKCTDGYQGKPYAPNGCRRDVGLPDARYDRFPSKNNCSPSCGNISVPYPFGLEKGCSANQHFLLRCTCYKDNKSTNPDLLWWATRYTDEPSTPTKLVRIDISQGLIILTGEHYEEFLAMDGTASTRVSDGSAKDFVVKNLHFAITNQTCKEAQQNTTGYACVSVNSTCLAVNTGDGYIGYRCKCKHGFEGNPYIKDGCQDVDECSTAPGICPEICNNTVGNYICIKCPAKSEYNDKTKRCTPVKKQKNLLFGIVIGLSAGFGILLPGLSAKMLFHKWNKGIQKRLGRKNFRKNEGLLLEQLISCDETTTDRMNIFTLEELEKATNNFDHTRILGQGGHGTVYKGILSDQRVVAIKKSMTIKQGEITQFINEVAILSRINHRNIVKLFGCCLETEVPLLVYDFIYNGSLFELLRYNSSNDSLLSWEDTLRIATEVAGALYYLHSAASVSVFHRDVKSSNILLDANYTTKVSDFGTSRLVSIDQTHIVTKVQGTFDYLDPEYCQTGCLNEKSDVHSFGVVLLELLLMKEPIFTSENGLKLNLAGYFLEEVKVRPLSEIVTTKIYEEATEEEINNVTLLAEMCLSPRGEERPTMKQVEMTLQSLRNVTQTTAVHRANASDQLSQRCYSLEHEFIASAELPR >ORUFI04G08290.1 pep chromosome:OR_W1943:4:11383808:11388655:-1 gene:ORUFI04G08290 transcript:ORUFI04G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRANLSRGVKEIRFLFCQSSPASAPAREFVKKNYGDIKARNPSLPVLIRECSGVEPQLWARYDMGVERCVRLDGLTEAQIGSKLEELAKAGGSLKSK >ORUFI04G08300.1 pep chromosome:OR_W1943:4:11393699:11395610:-1 gene:ORUFI04G08300 transcript:ORUFI04G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAVPAMVVEEEEQEHVFRSRFPPVAVPDGVTVPEFVLDGAEAYADRVALVEAAAGGRSYTYGEVARDTARFARALRSVGVRKGHVVVVALPNLAVYPVVSLGIMSAGAVFSGVNPRALAAEIKKQVEDSEAKLVVANEVAFDKVKDAGVPVIGVGDRERMPGAISWDGLLAAADRTGAGVVPVDAAQQSDLCALPYSSGTTGVSKGVMLSHRNLVSNLCSSMFAVAPETAGQVVTLGLMPFFHIYGITGICCATLRHKGTVVVMDRFDLRTFLRALVDHRVMFAPLVPPVMLAMVKSPVADEFDLSDLALKSVMTAAAPLAPDLLAAFQRKFPGVQVEEAYGLTEHSCITLTHAAGDGHGHVAKKSSVGFILPNLEVKFVDPDTGRSLPANTPGELCVRSQSVMQGYYKRKEETERTVDGKGWLHTGDVGYIDGDGDVFIVDRIKELIKYKGFQVAPAELEAVLLSHPSVEDAAVFGVPDEEAGEVPVACVVRRHGAEEGEEEIVAYVAERVASYKRVRVLHIVDAIPKSVSGKILRRQLRDEFIKRMKPSA >ORUFI04G08310.1 pep chromosome:OR_W1943:4:11409831:11411092:-1 gene:ORUFI04G08310 transcript:ORUFI04G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDNMLWKATVEHAEQCDLEGNCKLKSYGVEEKDVILFFNCVHDLVGAKFCGRYVAKDNFNSDEQDEVNRLKIQAYDELDNIVFDHEMKDNYPVPLSSTLNTGLNPPDLHVTCQVQDTAAVETAHHATFADANQLPQTFLNNNSACGFIIGSEQIPVDPSFFNGYRGNYQAGTTRQIDMTAIGYCIAQSSEAVIAETTSGGNNLTGLMNMDENVSDDSVDAFALVNSWINSSPPSFK >ORUFI04G08320.1 pep chromosome:OR_W1943:4:11419264:11431144:1 gene:ORUFI04G08320 transcript:ORUFI04G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRKALVGILPRLYIRNPRAVKTEAAAAAAVERPRPLAMAAAGGGGRGGRPVEEDFIELSSSDSDSDGEGGGGGSAKRSRGVGAGGSAGKRARVSAAGVAVDLPPGFLDPLPHAASAAAGAEASAGPSKSATKQFWKAGDYDGKPLGDGAPQSSVSGLDHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVINGATYVNIDMLENNKDKTRMLLVEDDGGGMDPDKMRQCMSLGYSAKSKVASTIGQYGNGFKTSTMRLGADVLVFSRSGGKGGKRLTQSVGMLSYTFLRSTNKEDIVVPMIDYENQQGWKRKPRTTFADWNTSLQTIITWSPYSTEAELLEQFSSIKEQGTRIIIYNLWEDDEGHLELDFDEDIHDIQLRGGNRDEKNILMAKQFPNSKHFLTYRHSLRSYASILYLRVPSFFQMILRGKEIEHHNIVTDMMLKKEVKYKPVAPNGVPKDSNMVADVTIGFVKDAKHHVDVQGFNVYHKNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLIQMQKDYWSGNAHRIGYSGTRAGRSNETEEDAPEVTQSAQQSPYSTGKNYGKSNKKQSPYSTGKDNGKSNTKSGKASTSFHTQRRTDKSATTKQPGRSIMYGLSDTSDESDSEFVGAPTPTSSRSHILNPHRKSFQNGTALATPPSNGRTERERNKTASQPVQLNATSNGDYTIDDHETIIKQLRGENSSLKERLLKVEESLSQELAIERDKNKSLTERLEDAQRQLETSNKEQEALIDIFSEERGRRDLEEENLRGKLKRCDKVNNGREAL >ORUFI04G08320.2 pep chromosome:OR_W1943:4:11419264:11430773:1 gene:ORUFI04G08320 transcript:ORUFI04G08320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRKALVGILPRLYIRNPRAVKTEAAAAAAVERPRPLAMAAAGGGGRGGRPVEEDFIELSSSDSDSDGEGGGGGSAKRSRGVGAGGSAGKRARVSAAGVAVDLPPGFLDPLPHAASAAAGAEASAGPSKSATKQFWKAGDYDGKPLGDGAPQSSVSGLDHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVINGATYVNIDMLENNKDKTRMLLVEDDGGGMDPDKMRQCMSLGYSAKSKVASTIGQYGNGFKTSTMRLGADVLVFSRSGGKGGKRLTQSVGMLSYTFLRSTNKEDIVVPMIDYENQQGWKRKPRTTFADWNTSLQTIITWSPYSTEAELLEQFSSIKEQGTRIIIYNLWEDDEGHLELDFDEDIHDIQLRGGNRDEKNILMAKQFPNSKHFLTYRHSLRSYASILYLRVPSFFQMILRGKEIEHHNIVTDMMLKKEVKYKPVAPNGVPKDSNMVADVTIGFVKDAKHHVDVQGFNVYHKNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLIQMQKDYWSGNAHRIGYSGTRAGRSNETEEDAPEVTQSAQQSPYSTGKNYGKSNKKQSPYSTGKDNGKSNTKSGKASTSFHTQRRTDKSATTKQPGRSIMYGLSDTSDESDSEFVGAPTPTSSRSHILNPHRKSFQNGTALATPPSNGRTERERNKTASQPVQLNATSNGDYTIDDHETIIKQLRGENSSLKERLLKVEESLSQELAIERDKNKSLTERLEDAQRQLETSNKEQEALIDIFSEERGRRDLEEENLRGKLKDASSTIQDLLEQLNAARKGRKF >ORUFI04G08330.1 pep chromosome:OR_W1943:4:11445111:11451650:1 gene:ORUFI04G08330 transcript:ORUFI04G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRHRLAAAAALLLLLLSSAAALSSSAAEGEEDPLIEQVVGGGEEEDAQLDAEAHFASFERRFGRTYRDAGERAYRMSVFAANLRRARRHQRLDPTATHGVTKFSDLTPGEFRDRFLGLRRPSLEGLVGGEPHEAPILPTDGLPDDFDWREHGAVGPVKDQGSCGSCWSFSTSGALEGAHFLATGKLEVLSEQQMVDCDHECDASESRACDSGCNGGLMTTAFSYLMKSGGLQSEKDYPYAGRENTCKFDKSKIVAQVKNFSVISVNEDQIAANLVKHGPLAIAINAAYMQTYIGGVSCPFICGRHLDHGVLLVGYGSAGYAPIRFKEKPYWIIKNSWGENWGEKGYYKICRGPHDKNKCGVDSMVSSVTAIHTSKKEE >ORUFI04G08340.1 pep chromosome:OR_W1943:4:11450139:11451911:-1 gene:ORUFI04G08340 transcript:ORUFI04G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCPGAAGVAERWTARALAGAVLDVALVWACLWVAAAASAAASALALLGVPLPCTCARPHLPCLLAFLSRYPSRALASLASALLSRFPFASSCSPSPSSDDDHGVEHEESGRVDGVEEVDEHPADDGEVRREAVVVEEERDARAALQRELEKERSAAASAAEEAMAMILRLQKEKSALEIEARQQRRTADERCAFYEDEVEELRDIVLVRERESRALQKEVDAYRRLLGLAAEDGDDDDDEDDMMTPPSTMVEGEPSTSRSVYKDSRNGAMPQLGNDYGFSLKTPFSPQELLSPIKVGHIKGINEDKLSAGPSEKIPMVGLKPEVDSSKDDRMETIEILPLSARSLDQVGYGEVVAAVDTKAPEQLPHEFQEECGGVDKIGGDSTGSENDANIYDVHVVDDICFPTEVKGLICRSFSDATMQAEKLQNRVAADDLLGKSLNAIKGAQDKIKHAASERKQSLQLQLLEDIANQLQEIKVAAEAGRQLHCASPRNLKKS >ORUFI04G08350.1 pep chromosome:OR_W1943:4:11466992:11467354:-1 gene:ORUFI04G08350 transcript:ORUFI04G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAIVAPAVADPAIATGRLFLIGNDSDVRQGSGFSRLLLVASLSSAAVPLLAASSSSSAVAAPGGDENDTTPSRLLVVGGGATLSRLPPCRAAAQPPTATSSRSYERRGEEEREERKK >ORUFI04G08360.1 pep chromosome:OR_W1943:4:11481862:11484225:1 gene:ORUFI04G08360 transcript:ORUFI04G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLQSVINIREAVSTVINFGEARKLEKDLSCLQVSLDKARAVIYRGKWGRFKNKDLAALLWHLKDATYDAEDLLRESNDQVLQQKMEDADRSLAGQFFSSSLNVVQTLIGGSKTRIKEVQDKLNKAVDDLEGELNSVSLNFEIVQHMPVTSSVIGVPQVFGRDEERDLVIEKLGVMIGRDNERDLVIEKMGVPLTRFVAARAKGKRAAGGTVAKSASTPKRLKGESSRAGPRISQSKCIGNVSILPIFGIDLFDKKRIIEEIFKSITKKDSSQHSSNDLQEELKKKLKSQKFLLVLDDIWSITNREWEELNALLKDGLKGSMILVTTRLQNVANLVCTNNFEPFELKGLDEDKFWNFFKDCAFGQKRPADSECNNLHKIGQSIASRLCGSPLAAKTLRRLLNMELTVRHWETIEKSELWELPHQENEILPALQLSYLYLPRELRRCFAFCSMFPKDYSFERDEIVDIWVAESLVELVSGERTRPEDIGIRYLDDLRSRFLLQIDPKYPDESRYVMHDLIHDMAQSVSVNECLLLQDLSSRNEGRKLHAVCHMSVQVADESLKSELRDIQYLNKLHSLRLGINLKVEITWFNQLSNILFMSLQGCKLVKLPESIVALRNGWKIGELKDMDKLSGTLTIKSICNVKSKEEACEARLVDKQYLKTLDLWWRDSD >ORUFI04G08370.1 pep chromosome:OR_W1943:4:11487433:11488938:-1 gene:ORUFI04G08370 transcript:ORUFI04G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASSSSLSFLSPQPIHPRRVRLPNPPPSTAAPPTPTAVRCTPAPAAAPSAASAASARSIPPPKLVRCPALDRQAARANRLRFARKLLVLLLSKPRRFLPLRVLRRCHRYLGLPPHRRRRPLVPFVLRYPALFRLFQAPTSHPLSPNLSTLAVALTPAAEALAADLAALRGSSELAPRLAAKMHRLLLLAPGRSLLVSKIAHLAPDLGLAMDFRATLCPQHPDLFTFVNTSHGHALQLVDPPPPPPPPLPPFRPAAPSDRLIDRPRRFPHLKLRRGLNLRRAHRDYLLRFHSLPEVSPFEPLDEGASLEMMERRACAVVREVLAMTVEKRTLVDHLTHFRRDFGLPNRLRAMLVRHPELFYVSVKGLRHSVFLVEAFDDDGRLLVEDDMLVARDRLEELVREGKRMRRAKKKGLLALADDSDEDDEEEDGEEQDSVQVDGESWDLLEDGGIGEDWEEVGDLGEGSDDDADAELDALEEFWVKKAVAEGLVDTGSELDAW >ORUFI04G08380.1 pep chromosome:OR_W1943:4:11489954:11490268:-1 gene:ORUFI04G08380 transcript:ORUFI04G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAIAVAVGLGGSGGEADVCGGVGAAGAAAATMPDGRRGRWPRSHLPGRRAKGRRHRERRASAGDGGGGLEGETRQWRQTDERPRRDPLPMGSVSGRGGGIGR >ORUFI04G08390.1 pep chromosome:OR_W1943:4:11518274:11519936:1 gene:ORUFI04G08390 transcript:ORUFI04G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTGGNSGKLFDGMHLCLSEQGSPRTQSPAAVDPSLDRSGVVLGGMPKKMSRLELDAGVSLWAGLQPDILGIVLHFLPCLADRARVRANGHVLPPPLPLLVLPGFKFSSLSDKGDLMPVWCVPVPKEVAADDLRCVGSFHGWLVGVTPNKDRSDEYNRDADGDCFLVIRLPQLCHMRYNFPAYSSKTLRIVNGSGEVHFGVNDIYTMSLCNVALSASPESSKYIVAASSDHKGAPVPALWQPGMISWQVCSGVEIDGPRDLSFYQGKLYMLMRHRTRLFTCELEEDDRGFMVSRIELSLTELPRNHPYQEGGAISCNMVVWRGELLLIIRHYNGDYRKRQLHKVEVFALDVDTNPYGLTEIHSLNGDCIFVGLGGCKSFPAGLHHGVEGDHIYFVPDDWKPYDTFVYSMRDGKMRSFAVKLLASEFDVDQLSCDFPVWLLPTE >ORUFI04G08400.1 pep chromosome:OR_W1943:4:11534928:11538064:1 gene:ORUFI04G08400 transcript:ORUFI04G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPVAMDSAGGNSGKLFDEMPPRSSDRGCPRSPSPAAMEHGFDRHCVVLGGMPKKRSRLELDAGVSLWAGLQPDILGIVLHFLPCLADRARMRSVCRHWRASANGHVLPPPLPLLMLPGFKFSSLSDKGDLMPVRCVPVPKEVAADDLRCVGVTPNKDRSDEYNRDADGDCFLVNVFSRNVIRLPQLCHMRYNFPAYSSKTLRIINGSGEVYFRVNDIYTMSLCNVALSASPDSRGKYIVAASSDHKCASKLALWQPGMIYGIFALALTLMAQEISLFTLGSCIYKIRLFAFEVGEDNGGLMVSRVKRCLSALPLHHPYQEGGAISCNMVVWRGELLLIIRHYSDNYRDREVLKVEVFALDVNTNPYGLTEIHSLNGDCIFVGLGGCKSFPAGLHHGVEGDHIYFVPDDWKPYDTFVYSMRDGKMRSFAVKLLASEFDVDQLSRDFPQN >ORUFI04G08400.2 pep chromosome:OR_W1943:4:11535006:11536638:1 gene:ORUFI04G08400 transcript:ORUFI04G08400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPVAMDSAGGNSGESYPSHLGLFAHLTVRRLGSDLIHRWLLCRQAVRRNASPFVGSRMPPEPVTGGDGTRLRQTLCVVLGGMPKKRSRLELDAGVSLWAGLQPDILGIVLHFLPCLADRARMRSVCRHWRASANGHVLPPPLPLLMLPGFKFSSLSDKGDLMPVRCVPVPKEVAADDLRCVGVTPNKDRSDEYNRDADGDCFLVNVFSRNVIRLPQLCHMRYNFPAYSSKTLRIINGSGEVYFRVNDIYTMSLCNVALSASPDSRGKYIVAASSDHKCASKLALWQPGMIYGIFALALTLMAQEISLFTLGSCIYKIRLFAFEVGEDNGGLMVSRVKRCLSALPLHHPYQEGGAISCNMVVWRGELLLIIRHYSDNYRDREVLKVEVFALDVNTNPYGLTEIHSLNGDCIFVGLGGCKSFPAGLHHGVEGDHIYFVPDDWKPYDTFVYSMRDGKMRSFAVKLLASEFDVDQLSRDFPVWLLPTE >ORUFI04G08410.1 pep chromosome:OR_W1943:4:11543460:11543816:-1 gene:ORUFI04G08410 transcript:ORUFI04G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFRLAVAVAALLVVGSCATELTFKVAEGSSASSLELITNVAISEVEIKEKGGKDWVALKESSSNTWTIKSEAPLKGPFSVRFLVKNGGYRVVDDVIPESFTAGSEYKSGIQL >ORUFI04G08420.1 pep chromosome:OR_W1943:4:11551698:11552054:-1 gene:ORUFI04G08420 transcript:ORUFI04G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSSFRLAVAAAALLVIGSCATELTFKVAEGSSATSLELVTNVAISEVEVKEKGGKDWVGLKESGSNTWTLKSEAPLKGPFSVRFLVKNGGYRVVDDVIPESFTAGSEYKSGIQL >ORUFI04G08430.1 pep chromosome:OR_W1943:4:11563413:11564341:1 gene:ORUFI04G08430 transcript:ORUFI04G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSPLDLTAAAATRGRIRFDLRMEIQEWSSVNPETTPNSLRTSEECNFRNALCGSSYTRIPNAS >ORUFI04G08440.1 pep chromosome:OR_W1943:4:11567833:11568189:-1 gene:ORUFI04G08440 transcript:ORUFI04G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFRLAVAVAALLVVGSCATELTFKVAEGSSAKSLELVTNVAISEVEVKEKGGKDWVALKESSSNTWTLKSESPLKGPFSVRFLVKNGGYRVVDDVIPESFTAGSEYKSGIQL >ORUFI04G08450.1 pep chromosome:OR_W1943:4:11581713:11581901:-1 gene:ORUFI04G08450 transcript:ORUFI04G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASTEEFKPVIVSGLDLHDILVVANSADAITGVSTTASDFDLKIVIAAGTVAVLLAMFPCS >ORUFI04G08470.1 pep chromosome:OR_W1943:4:11593973:11594383:-1 gene:ORUFI04G08470 transcript:ORUFI04G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRGWGQAELARALVALAMAAEAEVPRVADRGGGSSSGRWGRRGSFGRQTVACGVGRWVGVRDNEWDPVSGLRTAKGKRAVAATPACRSSAPPQPPHSTSHRSCHRRRCHRSQLSAAHDEAGVVGGHNKHLPP >ORUFI04G08480.1 pep chromosome:OR_W1943:4:11600335:11600619:1 gene:ORUFI04G08480 transcript:ORUFI04G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSGRCGGARWHRRMGGGTHAAMSNRSGSIQRCGRRRRRCQAAWRKTAASTTPSSTVEAQHRSCAAADPANAIGARGRGRASGAPPRRRRQH >ORUFI04G08490.1 pep chromosome:OR_W1943:4:11619559:11620993:1 gene:ORUFI04G08490 transcript:ORUFI04G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMALVSLDLRPSSAETSRKCDMYVVAGFFGGVGLGGLRRRRRWQARAPVGAQHGRRRKYPGKNGGCKLRQWYEPGTTSYLKQVLNDLVSTVREVKTENSEIRASLANSRAVIDGLVAHLLKWPVESRC >ORUFI04G08500.1 pep chromosome:OR_W1943:4:11632033:11633099:1 gene:ORUFI04G08500 transcript:ORUFI04G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGTARGGRAANSIPLGDPMSEAFKELQPFNSVTTTQALAALGLHPTTPAPLRRPTFEQPQHPTSSLHPTASSVPPPVGLLAMFNQRRTFDWTALLRPPELPSLTTSLNPTVARGLQPRQHHHNRQASVPQPPDGCAYSPLASMPPTAIAARGAAVATSRGGFEASRCSLCARAPLPAATALKPPTAVTHGLFTMLTIVAGLDSLQLPRVVPTRSDAITLDPHMGCPDPATPAPDPLLSVRRVTILMLRQVATGDRPHHHPPGWPLGSPAAHSGGGDGRDRKEARQQWG >ORUFI04G08510.1 pep chromosome:OR_W1943:4:11647679:11648820:1 gene:ORUFI04G08510 transcript:ORUFI04G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCAVEVDGIVKFRPLYGARSSSSTLSLGFAGFYNQLFDVGASRPRTGPREGRGEGERGAGAPVVERLRRRRQNMFPSDAHPGRVVASQGEEGYGRNGVDKSLVPAGKRSGDGGLIWRGKEGESRGMGLPRRDEGRSADGRHGKEREKKSSKLGCGRNGMSSPAIYRRRGAVVNGGSGGGRRLGHGDGGAKGEVMAPALFVHWSAVEARTRGGRGTVGRRRRTDTERR >ORUFI04G08520.1 pep chromosome:OR_W1943:4:11650788:11660216:-1 gene:ORUFI04G08520 transcript:ORUFI04G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRIQSAGDDDTSAVTVFGGEATRGAAGPLLSSGHMVSSNTHNVRMLGLNVKAHNVKGMAGFAVGLAAMVVYRAEAQWNVGMRPAPKDRQ >ORUFI04G08530.1 pep chromosome:OR_W1943:4:11664535:11664857:1 gene:ORUFI04G08530 transcript:ORUFI04G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVTNSVLIWTFSGTTSMDTITIVEVGGVGLVVDVISVSAKAEEQHNAAAILFYLSSNNSDASRRRSRC >ORUFI04G08540.1 pep chromosome:OR_W1943:4:11664902:11665120:1 gene:ORUFI04G08540 transcript:ORUFI04G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLYGLLQSTAIAAGAVLALTTLLFNDRDDLAQDSIVLLVRKAEQTSGAVAVLSQPGLTAHLAEALAASSS >ORUFI04G08550.1 pep chromosome:OR_W1943:4:11671031:11674940:1 gene:ORUFI04G08550 transcript:ORUFI04G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPLDPSSKPTSQRRIAEGDTVVVYERHDAMRAVAVRPGAVLQNRFGVFRHDDWIGRPFGCKVHSAASAGGGGARGGKGKGGGFVHLLAPTPELWTLVLSHRTQILYLADISLVVSYLELVPGCLVLESGTGSGSLTTSLARAVAPHGRVCTFDFHDQRAASAREDFERNGLTSIITVAVRDIQGQGFPEEHTGAADAVFLDLPQPWLAIPSAGTMLKQDGVLCSFSPCIEQVQRACEAMRSCFTDIRTFEILLRTYEVREGGLKGATTNEESNAVPLAQKKRKLLAAAETLDVKQNSSSVMVRPCSTARGHTGYLTFARLRVHGN >ORUFI04G08550.2 pep chromosome:OR_W1943:4:11671031:11674940:1 gene:ORUFI04G08550 transcript:ORUFI04G08550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPLDPSSKPTSQRRIAEGDTVVVYERHDAMRAVAVRPGAVLQNRFGVFRHDDWIGRPFGCKVHSAASAGGGGARGGKGKGGGFVHLLAPTPELWTLVLSHRTQILYLADISLVVSYLELVPGCLVLESGTGSGSLTTSLARAVAPHGRVCTFDFHDQRAASAREDFERNGLTSIITVAVRDIQGQGFPEEHTGAADAVFLDLPQPWLAIPSAGTMLKQDGVLCSFSPCIEQVQRACEAMRSCFTDIRTFEILLRTYEVREGGLKGATTNEESNAVPLAQKKRKLLAAAETLDVKQNSSSVMVRPCSTARGHTGYLTFARLRVHGN >ORUFI04G08560.1 pep chromosome:OR_W1943:4:11675862:11677313:1 gene:ORUFI04G08560 transcript:ORUFI04G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYPAQGHVTPMMKLAKLLHARGFHVTFVNTEFNHRRMLASRGAAALDGGVPGFRFAAIPDGLPPSDADATQDIPALCHSTMTTCLPYVVALLAELNDPTSGVPPVTCVVADAIMSFAYDAARRIGVPCAALCTPSACGFVGYSHYRQLVERGLVPLKDAAQLADGYLDTVVDGARGMCDGVQLRDFPSFIRTTDRGDIMLNFIMREAERLTLPDAVILNTFDDLERPALDAMRAILPPVYTVGPLHLHVRHVVPKGSPLDTAIGSNLWKEQDGLLEWLDGRPPRSVLYVNYGSITVMTNEQLLEFAWGLAHSGYPFLWNVRPDLVKGDAAVLSPEFLTAVEGRSMLTTWCPQEQVIEHPAVGVFLTHSGWNSTLESLCAGVPMLSWPFFAEQQTNCRYKRTEWGVGMEIGGEVERSDVAATIREAMEGEKGREMRRRAAEWKEMATRVTLPGGTADINLTRLIDEVLLSGGKKRQALDARD >ORUFI04G08570.1 pep chromosome:OR_W1943:4:11688376:11689848:1 gene:ORUFI04G08570 transcript:ORUFI04G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTATARRQHHAVMVPYPAQGHVTPMLKLAKLLHARGFHVTFVNTEFNHRRLLASRGAAALDGVVPGFRFAAIPDGLPPSDPDATQDIPALCYSTMTTCLPHLDALLATINADAAAAPPVTCVVCDGVMSFAYDAARRIGVPCAALWTASACGLMGYRHYRHLVERGLVPLRDAAQLTDGYLDTVVDGARGMCDGVRLRDLPSFIRTTDRGDTMLNFLMRECERLSLPDAVIVNTFDDLERQALDEMRRVLPPPVYAVGPLLLQVRRAVPAGSQLDVAVGSNLWKEQGGLLEWLDGRPVNYGSIAVMTNEQLLEFAWGLAHSGYPFLWNVRPDLVKGDAAVLPPEFLAAVEGRGLLTTWCPQEQVIEHPAVGVFLTHSGWNSTLESLAAGVPMLSWPFFAEQQTNCRYKRTEWGVGMEIGGEARRGEVAALIREAMEGEKGAEMRRRAAGWKEAAARAARPGGPAECGLDRLIHEVLLAGGNKGGQRLS >ORUFI04G08580.1 pep chromosome:OR_W1943:4:11691394:11699195:-1 gene:ORUFI04G08580 transcript:ORUFI04G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDAAEVEVRRRLRDVGARLSSLPDDGELLRLLQEAAKLLYRVNQCEVDRIHSALIPVMRALIKKELLDHTDPGVKLAVASCLTTLIKIRAPDPPYDDDVMKDVLKLVVGVFCELDDVDCPSYGTRVSMLGTFARIRGCALLLDLDCNDLIGDMFHHFFRTVSNTHQEHVISYMETIMKFVIEDLTDMEQDLIKDLASCLLQNVKKEEKETPPASFVLAERVIGLCHEKLKPVFIKLLQGAPITEYSNLVTSFLQDAIVAGDNNVGAFMHDMKEVVSPKSSTMMGKTIGQPADSGDELKPEIVQGTKEAPNSNKKALDGSIVGSRIKVRWPADEMFYNGLVKSFDASSETHEIVYDHGDVVRQSLKDEKWEFIAEEQDYNPDASPDMLEDRSDEGSLGQPFQDVHKAASSHSFVIQEKYNTVLNEIGCISTETTGSLLVRIQPRIVEALQLNQKRPAMKIQPRIARELQLSLNKHARKVQPRIVEESQLNHNRHDGMVQPGVARESQVNQNMHVTKGVQKHMARYNNNKPTEIEGANVGTTKHANVKRAALACENVVGRDVFLKRIVRPYNRVVRATIQSQDPLEMVGGTMLGRECYKVVXXGLPYTLKPQ >ORUFI04G08580.2 pep chromosome:OR_W1943:4:11691394:11699195:-1 gene:ORUFI04G08580 transcript:ORUFI04G08580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDAAEVEVRRRLRDVGARLSSLPDDGELLRLLQEAAKLLYRVNQCEVDRIHSALIPVMRALIKKELLDHTDPGVKLAVASCLTTLIKIRAPDPPYDDDVMKDVLKLVVGVFCELDDVDCPSYGTRVSMLGTFARIRGCALLLDLDCNDLIGDMFHHFFRTVSNTHQEHVISYMETIMKFVIEDLTDMEQDLIKDLASCLLQNVKKEEKETPPASFVLAERVIGLCHEKLKPVFIKLLQGAPITEYSNLVTSFLQDAIVAGDNNVGAFMHDMKEVVSPKSSTMMGKTIGQPADSGDELKPEIVQGTKEAPNSNKKALDGSIVGSRIKVRWPADEMFYNGLVKSFDASSETHEIVYDHGDVVRQSLKDEKWEFIAEEQDYNPDASPDMLEDRSDEGSLGQPFQDVHKAASSHSFVIQEKYNTVLNEIGCISTETTGSLLVRIQPRIARELQLSLNKHARKVQPRIVEESQLNHNRHDGMVQPGVARESQVNQNMHVTKGVQKHMARYNNNKPTEIEGANVGTTKHANVKRAALACENVVGRDVFLKRIVRPYNRVVRATIQSQDPLEMVGGTMLGRECYKVVXXGLPYTLKPQ >ORUFI04G08590.1 pep chromosome:OR_W1943:4:11706801:11712312:1 gene:ORUFI04G08590 transcript:ORUFI04G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCISFLSTSSSLPCATKPPCCSVSSVLPSSPSSHQCRGRKTSCGSIRALREDWRERSKAIPPGGVYPAKDHCSQCGLCDTYYIAHVKNACAFLGDGMSRVEDLEPLVHGRGRKQDMDEMYFGVYEQLLYARKMKPVEGAQWTGIVTTIAVEMLKANMVDAVVCVQRHLNNNQILHNCFPLQKDFFHGLEKTVVCLLCSDPDDRLAPMPVLARTPDEVIAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVPYFCLPAKDLVDVIAPSCYRFSPEFPVIIHNELMFLLLPSCSCFDYTNGLADLVVGYMGVPKYPGVSMTQHPQYITVRNDRGREMLSLVEGLLESTPTVSSGVRQPFVIETVKADDEAKQGRGPSQPAPTFVGNVIAFLLNLIGPKGLEFARYSLDYHTIRNYLHVNRAWGKQRAEQHIPSYAKKIVEAYDKDGRIESMLQ >ORUFI04G08590.2 pep chromosome:OR_W1943:4:11707987:11712312:1 gene:ORUFI04G08590 transcript:ORUFI04G08590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQADYSISMARCISFLSTSSSLPCATKPPCCSVSSVLPSSPSSHQCRGRKTSCGSIRALREDWRERSKAIPPGGVYPAKDHCSQCGLCDTYYIAHVKNACAFLGDGMSRVEDLEPLVHGRGRKQDMDEMYFGVYEQLLYARKMKPVEGAQWTGIVTTIAVEMLKANMVDAVVCVQRHLNNNQILHNCFPLQKDFFHGLEKTVVCLLCSDPDDRLAPMPVLARTPDEVIAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVPYFCLPAKDLVDVIAPSCYRFSPEFPVIIHNELMFLLLPSCSCFDYTNGLADLVVGYMGVPKYPGVSMTQHPQYITVRNDRGREMLSLVEGLLESTPTVSSGVRQPFVIETVKADDEAKQGRGPSQPAPTFVGNVIAFLLNLIGPKGLEFARYSLDYHTIRNYLHVNRAWGKQRAEQHIPSYAKKIVEAYDKDGRIESMLQ >ORUFI04G08600.1 pep chromosome:OR_W1943:4:11714161:11717388:-1 gene:ORUFI04G08600 transcript:ORUFI04G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVKDVARRSSKKYVDEALYRRLFRRGSTPQAVREEVDGFLDSRKRAFKWEVGVCVRRLRKQALYRPALKLSEVMARRGMNPTVSDQAIRLDLVAKSRGIAAAEKYFLDLPETSKTHLTYGALLNCYCKDLMTEKAEALMGKMKELNFAFTAMCYNSLMTLYTKVNQHEKVPSVIQDMKADDVLPDIYTYNVWMRALAARVDIKGVERVIEEMKRDGRVTPDWTTYSNLASIYVDAGLFEKAEAALKELEKWNTSNDLEAYQFLITLYARTQNLVEVHRVWRSLKRNQPRRANMSYLNMIQALANLKDLPGAEACFKEWEAQYINPPKTNTKAPGTAETSSNESDVKATKDKGTDGELKHPKYDIRVANAMIKAYITEGMFDKAVAVKKRAKMRGGRLNAKTWEIFMEHYLKEGDLKMVHWCADRAIKKGHSAGRIWVPPHEVTETLMDYFEKNKDVDGAEKFVEVLKKVQKDLGTVVFEPLVRTYAAAGKKLPGMRHRLKIENVEVSEETAKLLDSVCIDQ >ORUFI04G08610.1 pep chromosome:OR_W1943:4:11727726:11730131:-1 gene:ORUFI04G08610 transcript:ORUFI04G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIGEHCNNTPCEWLLDLGKGGAHDTSAHHLFDGMPSQPEMPKEDRRISEPVPINTTVNKEEKWLNEALDRILEKFEQMEVKRRCDEKIDRILENLDEIEANRNKFCEEMSASIKATTAALTAASYLPLQEPPSPTPTTCSMRCSNTDHLRATSGSSHIDKETAPSVVAEVGDSKDKDHAPCIVTKDLPKITPARCSTLDLDVNTDIYHAVVVFPLMDSPLELITGFIEPSPVVELKLDSIIGMKKEVPNGCSMKCLQDDNMLLMENPKRNPWPPPWLDGVIRGRDLRPSPWPGFISGGTVEHLVPPWPPPTQISCLALVCYDNGMIFTEMKYINLHWGELKPWPPPNQSDFRHTMVQFEQCQSWKIGVIIGLLAWKKQLSSVNHGSYTTIGNSRMPKLTMEKRSYMLNASDGNVYMTWPQLRTGRLAGHQFTPYMMAQYIEAIEHGLIDGNGWDANNVHDTYGCKERMSVTVILKDGTDIWKLKGIKKSAIKNIISVWKSAFTPAIKSLSQGNKPFCIYKPNVSNCLPCPVAVSTIPGKLKMRNTIVMLVGHHEYYGEGENTKLQLKIVLAGKSNGIRNDLITLIIRVLQVPWDPGGLYFQNFTRHRLEDKSDFKERGLLGSQLEWAFELMLH >ORUFI04G08620.1 pep chromosome:OR_W1943:4:11736491:11737098:-1 gene:ORUFI04G08620 transcript:ORUFI04G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIKAEENTPRGGGDGWQGGTKSLLHGLRSGDRCGGYSEVVKHDCGARDKWRTKLSGALEAGTGNATVVAGDGARAPTDGLWCLVDATASGCRLERRQKEGFVDLAFFSIAVTAGSSRPSSHAVTPPDPVAGHW >ORUFI04G08630.1 pep chromosome:OR_W1943:4:11739372:11747176:-1 gene:ORUFI04G08630 transcript:ORUFI04G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLCASSFVAVGLVVLVCSAAAAAAETYVVGDSKGWGFSVAYDSWASGKAFAAGDTLVFNYQAGVHNVVAASAAEYRSCRVRNAADAAATAAGSAEVELKEGVNYFICGVPGHCAAGMKLRVMGSLPAAAEARPHAVMVPYPAQGHVTPMLTLAKLLYSRGFHVTFVNNEFNHRRLLRARGARALDGAPGFRFAAMDDGLPPSDADATQDVPALCHSVRTTWLPRFMSLLAKLDDEAAAAAAADGAARRVTCVVADSNMAFGIHAARELGLRCATLWTASACGFMGYYHYKHLLDRGLFPLKSEADLSNGHLDTTVDWIPGMTGDLRLRDLPSFVRSTDRDDIMFNFFVHVTASMSLAEAVIINTFDELDAPPSPLMGAMAALLPPIYTVGPLHLAARSNVPADSPVXRSMLTTWCPQAEVLEHEAVGVFLTHSGWNSTLESIAGGVPMVCWPFFAEQQTNCRYKRTEWGIGAEIPDDVRRGEVEALIREAMDGEKGREMRRRVAELRESAVAAAKPGGRSVHNIDRLIDEVLMA >ORUFI04G08630.2 pep chromosome:OR_W1943:4:11739372:11744353:-1 gene:ORUFI04G08630 transcript:ORUFI04G08630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEHLLEFAWGLAGSGYAFLWNVRPDLVKGDAAALPPEFAAATGERSMLTTWCPQAEAGVHNVVAASAAEYRSCRVRNAADAAATAAGSAEVELKEGVNYFICGVPGHCAAGMKLRVMGSLPAAAEARPHAVMVPYPAQGHVTPMLTLAKLLYSRGFHVTFVNNEFNHRRLLRARGARALDGAPGFRFAAMDDGLPPSDADATQDVPALCHSVRTTWLPRFMSLLAKLDDEAAAAAAADGAARRVTCVVADSNMAFGIHAARELGLRCATLWTASACGNGHLDTTVDWIPGMTGDLRLRDLPSFVRSTDRDDIMFNFFVHVTASMSLAEAVIINTFDELDAPPSPLMGAMAALLPPIYTVGPLHLAARSNVPADSPVXRSMLTTWCPQAEVLEHEAVGVFLTHSGWNSTLESIAGGVPMVCWPFFAEQQTNCRYKRTEWGIGAEIPDDVRRGEVEALIREAMDGEKGREMRRRVAELRESAVAAAKPGGRSVHNIDRLIDEVLMA >ORUFI04G08630.3 pep chromosome:OR_W1943:4:11739372:11744353:-1 gene:ORUFI04G08630 transcript:ORUFI04G08630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEHLLEFAWGLAGSGYAFLWNVRPDLVKGDAAALPPEFAAATGERSMLTTWCPQAEAGVHNVVAASAAEYRSCRVRNAADAAATAAGSAEVELKEGVNYFICGVPGHCAAGMKLRVMGSLPAAAEARPHAVMVPYPAQGHVTPMLTLAKLLYSRGFHVTFVNNEFNHRRLLRARGARALDGAPGFRFAAMDDGLPPSDADATQDVPALCHSVRTTWLPRFMSLLAKLDDEAAAAAAADGAARRVTCVVADSNMAFGIHAARELGLRCATLWTASACGFMGYYHYKHLLDRGLFPLKSEADLSNGHLDTTVDWIPGMTGDLRLRDLPSFVRSTDRDDIMFNFFVHVTASMSLAEAVIINTFDELDAPPSPLMGAMAALLPPIYTVGPLHLAARSNVPADSPVXRSMLTTWCPQAEVLEHEAVGVFLTHSGWNSTLESIAGGVPMVCWPFFAEQQTNCRYKRTEWGIGAEIPDDVRRGEVEALIREAMDGEKGREMRRRVAELRESAVAAAKPGGRSVHNIDRLIDEVLMA >ORUFI04G08630.4 pep chromosome:OR_W1943:4:11739372:11744353:-1 gene:ORUFI04G08630 transcript:ORUFI04G08630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEHLLEFAWGLAGSGYAFLWNVRPDLVKGDAAALPPEFAAATGERSMLTTWCPQAEVLEHEAVGVFLTHSGWNSTLESIAGGVPMVCWPFFAEQQTNCRYKRTEWGIGAEIPDDVRRGEVEALIREAMDGEKGREMRRRVAELRESAVAAAKPGGRSVHNIDRLIDEVLMA >ORUFI04G08630.5 pep chromosome:OR_W1943:4:11744353:11747176:-1 gene:ORUFI04G08630 transcript:ORUFI04G08630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLCASSFVAVGLVVLVCSAAAAAAETYVVGDSKGWGFSVAYDSWASGKAFAAGDTLASR >ORUFI04G08640.1 pep chromosome:OR_W1943:4:11769587:11770167:1 gene:ORUFI04G08640 transcript:ORUFI04G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFPAAEETTATAAARPHAVMVPFAAIDDGLPPSDADATQDVPALCHSVRTTCLPRFKALLAKLDEEADSNMAD >ORUFI04G08650.1 pep chromosome:OR_W1943:4:11770780:11775796:1 gene:ORUFI04G08650 transcript:ORUFI04G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIPGMPADLRLRDLPSVVRSTDRDDIMFNFFIDVTATMPLASAVILNTFDELDAPLMAAMSALLPPIYTVGPLHLTARNNLPADSPVAGVGSNLWKEQGEALRHG >ORUFI04G08660.1 pep chromosome:OR_W1943:4:11784378:11787618:1 gene:ORUFI04G08660 transcript:ORUFI04G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSVFRRGNVKELISNVSVYTSAVESSGGLSLIFKRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVDPVAGHVLHPVYTSDSTPAAEMEPL >ORUFI04G08670.1 pep chromosome:OR_W1943:4:11791421:11795005:1 gene:ORUFI04G08670 transcript:ORUFI04G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGNAKPGGGGSGAYTINLDNFSKRLKVFYDHWKEHNSDLWGSSNAIAIATPPPSEDLRYLKSSALDVWLLGYEFPETIIVFMHKQIHFLCSQKKANLIGTLKKAANDAVGADIVLHVKAKNDSGVGLMEDIVRAVCAQSKSDDPIVGHIAKEAPEGKLLEAWADKLSSSSVQLTDITNGFSELFAMKDTSEITCVKKASYLTSSVMKNFVVPKLEKVIDEERKVTHSSLMDETEKAILDPLKVKVKLKAENFDLKPGASSNDDYLYYDSASVIICAIGARYGNYCSNMARTFLIDATPTQIKAYETLMKAHEAALEALKPGNRMSAVYQAAVDVIEKNAPELLRNLTKSAGTGIGLEFRESGLNLNPKNDRIIKAGMVFNVSLGLHNLQAETKSEKTKQYSLLLADTCLVPLENLTASCSKLLKDAAYSFNDEDEVLPVKKVEVNAKEALPPTKATLRSDNQEMSKEELRRQHQAELAHQKNEAVLLPIYGSMVPFHVSTVKSVTSHQDNRTCTIRIFFNQIKTLRRQVASRESERAERATLVTQEKLHEVVQQIKTLRRQVASRESEKAERATLVTQEKLQLTSNRNKPVRLSDVWIRPAFGGRGRKLTGTLESHVNGFRYSTSRADERVDIMYGNVKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGTRRSALDPDEIEEEQRERDRKNRINMDFQNFVNKVNDHWSQPQFKGLDLEFDVPLRELGFHGVPYKASAFIIPTSTCLVELIETPFLVVTLSEIEIVNLERVGFGTKNFDMAIVFKDFKKDVLRIDSIPSTSLDAIKEWLDTTDLKYYESRLNLNWRPILKTIIDDPQKFIDDGGWEFLNMEASDSETEETEESDQGYEPSDAEPESESEDEDSDSESLVESDEDDEDDSEEDSEEEKGKTWEELEREASNADRENGAESDSEEERRRRKVKTFSKSRPPPERSSFKGGPSKKPKFR >ORUFI04G08680.1 pep chromosome:OR_W1943:4:11795741:11800496:-1 gene:ORUFI04G08680 transcript:ORUFI04G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTAAAAAAAPPPLLLLSAAAAIAIAIAVAVAAPDGHLVASLPGFHGAFPSKHYSGYVTVDEGSGRRLFYYLVTSERDAAADPVVLWLNGGPGCSSLDGFVYENGPFNFERGSDPGGLPNLELNPYSWSKVSNVVYLDSPAGVGMSYSLNKSDYTTGDLKTAADAHTFLLKWFELYPEFQSNPFYMSGESFAGIYIPTLADEVVKGIEKDLKPRINFKGYLIGNGATDQDYDFNSFVPFAHGMGLISTELFEDASTACHGTFWGKVNNLCQEKIDRVHWELKDLNKYNILAPCYHHPEIQELEFKNSSLPSSFRKLGETEKRFPVRKRMAGRSWPLRAPVTRGRMTMWPELGGRSLPCTSDELANAWLDDEDVRAAIHAEPKSLIGSWELYTARIEYYHDTGDSMVKYHKKFTAMGYRALIFSGDHDLCIPYVGTEAWVRSMGYRVIDHWRPWYFGGQVAGYTQGYEHNLTFLTIKGAGHTVPEYKPKETLAFYSHWLSGKKI >ORUFI04G08680.2 pep chromosome:OR_W1943:4:11795741:11800496:-1 gene:ORUFI04G08680 transcript:ORUFI04G08680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTAAAAAAAPPPLLLLSAAAAIAIAIAVAVAAPDGHLVASLPGFHGAFPSKHYSGYVTVDEGSGRRLFYYLVTSERDAAADPVVLWLNGGPGCSSLDGFVYENGPFNFERGSDPGGLPNLELNPYSWSKVSNVVYLDSPAGVGMSYSLNKSDYTTGDLKTAADAHTFLLKWFELYPEFQSNPFYMSGESFAGIYIPTLADEVGYLIGNGATDQDYDFNSFVPFAHGMGLISTELFEDASTACHGTFWGKVNNLCQEKIDRVHWELKDLNKYNILAPCYHHPEIQELEFKNSSLPSSFRKLGETEKRFPVRKRMAGRSWPLRAPVTRGRMTMWPELGGRSLPCTSDELANAWLDDEDVRAAIHAEPKSLIGSWELYTARIEYYHDTGDSMVKYHKKFTAMGYRALIFSGDHDLCIPYVGTEAWVRSMGYRVIDHWRPWYFGGQVAGYTQGYEHNLTFLTIKGAGHTVPEYKPKETLAFYSHWLSGKKI >ORUFI04G08690.1 pep chromosome:OR_W1943:4:11804001:11808937:-1 gene:ORUFI04G08690 transcript:ORUFI04G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTPGRRRRPAGCAAGHHHQHQQQRLLAVAARLAAAKPAATTEAAVYGGVGGGGCCLEFLDCVLRAMGVATPAEIMPPADFRWAARPMRRRRRGGSSSSSSSPRDREPRDGRIAANGASAAASLYTMRGNKGVNQDAMLVWENFCSKEDTIFCGVFDGHGPYGHLVSKRVRDLLPIKLSANLGRDGHKETSTNIVTSSMTEGGGTERMDRDTETPLGTEENREYPEMFAALRTSLLRAFYVMDRDLKFHKTIDSVFSGTTAVTVIKQGHDLLIGNLGDSRAVLGTRDEYDQFFAVQLTVDLKPTIPSEAARIRERSGRIFSLPDEPDVARVWLPKYNMPGLAMARAFGDFCLKDYGLISMPDVSYHRITEKDEFVVLATDGVWDVLSNSEVVSIVSQAKSEASAARFVVESAQRAWRTRFPTSKIDDCAVVCLFLNTDARNKPPGSGIKDLANAIELGGGNLS >ORUFI04G08700.1 pep chromosome:OR_W1943:4:11812000:11812892:-1 gene:ORUFI04G08700 transcript:ORUFI04G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQSCRMAVRHRLTPAAQESGSVVEGGCTRDRAGTASGADAQEQGGCAALMRGTEPVTQRRVAGPPSSEAMRGGAARLSCATAGPTE >ORUFI04G08710.1 pep chromosome:OR_W1943:4:11831421:11871154:-1 gene:ORUFI04G08710 transcript:ORUFI04G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFINERTVSDWNSDVVGLASYIGQIEETQTSCWISKIRDIMLRIESIYNSQHNKRRKSTKHATPWASIGRHGKGIKASAARRLILQASRSRLWKASMQLTPMLTSSRHSTSMSPSRLGFCRCIVRACQSCGISSVSISQANCLAESYGRTKTDTWCINPFGPIGSILYSWYSGHWVRRKFSIMGGGCKGSDIGALQTHDRNRHLRRLVAADFSLGGLGGISTSSTGYMLQCSFGSIHFFLVDTGSSAFWVNCIPCKQCPRKSDILKKLTLYDPRSSVSSKVVKCDDMFCTSPDRDVQPECNTSLLCPFIATYADGGSTIGAFVTDLVHYNQLSGNGLTQSTNTSLTFGCGLQQSGSLNTPELAVDGIIGFANSNNTMLSQLAAAGKTKKIFSHCLDSRNGGGIFAIGEVVEPKVKTTPLVQNSWILYLVNLKMIDVGGTTLQLPANIFETAEMKGTFIDSGTTLAYLPEIVYKELMLAVFAKHPDITLHDTDNLECFNFLDSVDDRFPKISLQFENDLALDVYPHDYLLEYEGKQYCFGFQDAAKQDDDMVISNKLVVYDMEKIVIGWTEYNCSSSIKIKDEKTGATYTVQADNISSGWRIQWQMPLFSYAIEH >ORUFI04G08710.2 pep chromosome:OR_W1943:4:11831781:11871154:-1 gene:ORUFI04G08710 transcript:ORUFI04G08710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFINERTVSDWNSDVVGLASYIGQIEETQTSCWISKIRDIMLRIESIYNSQHNKRRKSTKHATPWASIGRHGKGIKASAARRLILQASRSRLWKASMQLTPMLTSSRHSTSMSPSRLGFCRCIVRACQSCGISSVSISQANCLAESYGRTKTDTWCINPFGPIGSILYSWYSGHWVRRKFSIMGGGCKGSDIGALQTHDRNRHLRRLVAADFSLGGLGGISTSSTGLYYTEIGIGTPAMEYYVQVDTGSSAFWVNCIPCKQCPRKSDILKKLTLYDPRSSVSSKVVKCDDMFCTSPDRDVQPECNTSLLCPFIATYADGGSTIGAFVTDLVHYNQLSGNGLTQSTNTSLTFGCGLQQSGSLNTPELAVDGIIGFANSNNTMLSQLAAAGKTKKIFSHCLDSRNGGGIFAIGEVVEPKVKTTPLVQNSWILYLVNLKMIDVGGTTLQLPANIFETAEMKGTFIDSGTTLAYLPEIVYKELMLAVFAKHPDITLHDTDNLECFNFLDSVDDRFPKISLQFENDLALDVYPHDYLLEYEGKQYCFGFQDAAKQDDDMVISNKLVVYDMEKIVIGWTEYNCGERGCEGCMTVERFL >ORUFI04G08710.3 pep chromosome:OR_W1943:4:11831781:11871154:-1 gene:ORUFI04G08710 transcript:ORUFI04G08710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTFINERTVSDWNSDVVGLASYIGQIEETQTSCWISKIRDIMLRIESIYNSQHNKRRKSTKHATPWASIGRHGKGIKASAARRLILQASRSRLWKASMQLTPMLTSSRHSTSMSPSRLGFCRCIVRACQSCGISSVSISQANCLAESYGRTKTDTWCINPFGPIGSILYSWYSGHWVRRKFSIMGGGCKGSDIGALQTHDRNRHLRRLVAADFSLGGLGGISTSSTGYMLQCSFGSIHFFLVDTGSSAFWVNCIPCKQCPRKSDILKKLTLYDPRSSVSSKVVKCDDMFCTSPDRDVQPECNTSLLCPFIATYADGGSTIGAFVTDLVHYNQLSGNGLTQSTNTSLTFGCGLQQSGSLNTPELAVDGIIGFANSNNTMLSQLAAAGKTKKIFSHCLDSRNGGGIFAIGEVVEPKVKTTPLVQNSWILYLVNLKMIDVGGTTLQLPANIFETAEMKGTFIDSGTTLAYLPEIVYKELMLAVFAKHPDITLHDTDNLECFNFLDSVDDRFPKISLQFENDLALDVYPHDYLLEYEGKQYCFGFQDAAKQDDDMVISNKLVVYDMEKIVIGWTEYNCGERGCEGCMTVERFL >ORUFI04G08710.4 pep chromosome:OR_W1943:4:11831781:11871154:-1 gene:ORUFI04G08710 transcript:ORUFI04G08710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTFINERTVSDWNSDVVGLASYIGQIEETQTSCWISKIRDIMLRIESIYNSQHNKRRKSTKHATPWASIGRHGKGIKASAARRLILQASRSRLWKASMQLTPMLTSSRHSTSMSPSRLGFCRCIVRACQSCGISSVSISQANCLAESYGRTKTDTWCINPFGPIGSILYSWYSGHWVRRKFSIMGGGCKGSDIGALQTHDRNRHLRRLVAADFSLGGLGGISTSSTGSAFWVNCIPCKQCPRKSDILKKLTLYDPRSSVSSKVVKCDDMFCTSPDRDVQPECNTSLLCPFIATYADGGSTIGAFVTDLVHYNQLSGNGLTQSTNTSLTFGCGLQQSGSLNTPELAVDGIIGFANSNNTMLSQLAAAGKTKKIFSHCLDSRNGGGIFAIGEVVEPKVKTTPLVQNSWILYLVNLKMIDVGGTTLQLPANIFETAEMKGTFIDSGTTLAYLPEIVYKELMLAVFAKHPDITLHDTDNLECFNFLDSVDDRFPKISLQFENDLALDVYPHDYLLEYEGKQYCFGFQDAAKQDDDMVISNKLVVYDMEKIVIGWTEYNCGERGCEGCMTVERFL >ORUFI04G08710.5 pep chromosome:OR_W1943:4:11831781:11871154:-1 gene:ORUFI04G08710 transcript:ORUFI04G08710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTFINERTVSDWNSDVVGLASYIGQIEETQTSCWISKIRDIMLRIESIYNSQHNKRRKSTKHATPWASIGRHGKGIKASAARRLILQASRSRLWKASMQLTPMLTSSRHSTSMSPSRLGFCRCIVRACQSCGISSVSISQANCLAESYGRTKTDTWCINPFGPIGSILYSWYSGHWVRRKFSIMGGGCKGSDIGALQTHDRNRHLRRLVAADFSLGGLGGISTSSTGYMLQCSFGSIHFFLVDTGSSAFWVNCIPCKQCPRKSDILKKLTLYDPRSSVSSKVVKCDDMFCTSPDRDVQPECNTSLLCPFIATYADGGSTIGAFVTDLVHYNQLSGNGLTQSTNTSLTFGCGLQQSGSLNTPELAVDGIIGFANSNNTMLSQLAAAGKTKKIFSHCLDSRNGGGIFAIGEVVEPKVKTTPLVQNSWILYLVNLKMIDVGGTTLQLPANIFETAEMKGTFIDSGTTLAYLPEIVYKELMLAISLQFENDLALDVYPHDYLLEYEGKQYCFGFQDAAKQDDDMVISNKLVVYDMEKIVIGWTEYNCGERGCEGCMTVERFL >ORUFI04G08710.6 pep chromosome:OR_W1943:4:11831781:11869066:-1 gene:ORUFI04G08710 transcript:ORUFI04G08710.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSIYNSQHNKRRKSTKHATPWASIGRHGKGIKASAARRLILQASRSRLWKASMQLTPMLTSSRHSTSMSPSRLGFCRCIVRACQSCGISSVSISQANCLAESYGRTKTDTWCINPFGPIGSILYSWYSGHWVRRKFSIMGGGCKGSDIGALQTHDRNRHLRRLVAADFSLGGLGGISTSSTGYMLQCSFGSIHFFLVDTGSSAFWVNCIPCKQCPRKSDILKKLTLYDPRSSVSSKVVKCDDMFCTSPDRDVQPECNTSLLCPFIATYADGGSTIGAFVTDLVHYNQLSGNGLTQSTNTSLTFGCGLQQSGSLNTPELAVDGIIGFANSNNTMLSQLAAAGKTKKIFSHCLDSRNGGGIFAIGEVVEPKVKTTPLVQNSWILYLVNLKMIDVGGTTLQLPANIFETAEMKGTFIDSGTTLAYLPEIVYKELMLAVFAKHPDITLHDTDNLECFNFLDSVDDRFPKISLQFENDLALDVYPHDYLLEYEGKQYCFGFQDAAKQDDDMVISNKLVVYDMEKIVIGWTEYNCGERGCEGCMTVERFL >ORUFI04G08710.7 pep chromosome:OR_W1943:4:11831781:11871154:-1 gene:ORUFI04G08710 transcript:ORUFI04G08710.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTFINERTVSDWNSDVVGLASYIGQIEETQTSCWISKIRDIMLRIDPYPSAKLIAWLNHMDGLRQTHAILSALLVASSTRGTVAIGLFQVRRKFSIMGGGCKGSDIGALQTHDRNRHLRRLVAADFSLGGLGGISTSSTGLYYTEIGIGTPAMEYYVQVDTGSSAFWVNCIPCKQCPRKSDILKKLTLYDPRSSVSSKVVKCDDMFCTSPDRDVQPECNTSLLCPFIATYADGGSTIGAFVTDLVHYNQLSGNGLTQSTNTSLTFGCGLQQSGSLNTPELAVDGIIGFANSNNTMLSQLAAAGKTKKIFSHCLDSRNGGGIFAIGEVVEPKVKTTPLVQNSWILYLVNLKMIDVGGTTLQLPANIFETAEMKGTFIDSGTTLAYLPEIVYKELMLAVFAKHPDITLHDTDNLECFNFLDSVDDRFPKISLQFENDLALDVYPHDYLLEYEGKQYCFGFQDAAKQDDGFKDMFLLGDMVISNKLVVYDMEKIVIGWTEYNCGERGCEGCMTVERFL >ORUFI04G08710.8 pep chromosome:OR_W1943:4:11831781:11871154:-1 gene:ORUFI04G08710 transcript:ORUFI04G08710.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTFINERTVSDWNSDVVGLASYIGQIEETQTSCWISKIRDIMLRIDPYPSAKLIAWLNHMDGLRQTHAILSALLVASSTRGTVAIGLFQVRRKFSIMGGGCKGSDIGALQTHDRNRHLRRLVAADFSLGGLGGISTSSTGYMLQCSFGSIHFFLVDTGSSAFWVNCIPCKQCPRKSDILKKLTLYDPRSSVSSKVVKCDDMFCTSPDRDVQPECNTSLLCPFIATYADGGSTIGAFVTDLVHYNQLSGNGLTQSTNTSLTFGCGLQQSGSLNTPELAVDGIIGFANSNNTMLSQLAAAGKTKKIFSHCLDSRNGGGIFAIGEVVEPKVKTTPLVQNSWILYLVNLKMIDVGGTTLQLPANIFETAEMKGTFIDSGTTLAYLPEIVYKELMLAVFAKHPDITLHDTDNLECFNFLDSVDDRFPKISLQFENDLALDVYPHDYLLEYEGKQYCFGFQDAAKQDDGFKDMFLLGDMVISNKLVVYDMEKIVIGWTEYNCGERGCEGCMTVERFL >ORUFI04G08710.9 pep chromosome:OR_W1943:4:11831781:11871154:-1 gene:ORUFI04G08710 transcript:ORUFI04G08710.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTFINERTVSDWNSDVVGLASYIGQIEETQTSCWISKIRDIMLRIDPYPSAKLIAWLNHMDGLRQTHASSTRGTVAIGLFQVRRKFSIMGGGCKGSDIGALQTHDRNRHLRRLVAADFSLGGLGGISTSSTGLYYTEIGIGTPAMEYYVQVDTGSSAFWVNCIPCKQCPRKSDILKKLTLYDPRSSVSSKVVKCDDMFCTSPDRDVQPECNTSLLCPFIATYADGGSTIGAFVTDLVHYNQLSGNGLTQSTNTSLTFGCGLQQSGSLNTPELAVDGIIGFANSNNTMLSQLAAAGKTKKIFSHCLDSRNGGGIFAIGEVVEPKVKTTPLVQNSWILYLVNLKMIDVGGTTLQLPANIFETAEMKGTFIDSGTTLAYLPEIVYKELMLAVFAKHPDITLHDTDNLECFNFLDSVDDRFPKISLQFENDLALDVYPHDYLLEYEGKQYCFGFQDAAKQDDGFKDMFLLGDMVISNKLVVYDMEKIVIGWTEYNCGERGCEGCMTVERFL >ORUFI04G08720.1 pep chromosome:OR_W1943:4:11882160:11883005:-1 gene:ORUFI04G08720 transcript:ORUFI04G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRCTLIHAVAVVAAAAAAALLLPPLAAGQPWPTCDTSAGTYKAGSAYESNLRDLAAALRAGAAASPSALFATGNRGGAPDAVYGLLLCRGDLSVSDCFDCGTRVLADVGRVCGGRHGRAKDVALVYNQCYARFSNKGDFLAATDNAGGETLLISGTNITGGAGVVAAYDRAVTELLAATVRYAVEENPARLFATGQRVGDDARDPGFRNIYSMAQCSPDLPPASCRRCLDGVLARWWQVFPLNGEGARVAGARCYLRSELGVGPFYTGALMVVLRADKV >ORUFI04G08730.1 pep chromosome:OR_W1943:4:11937002:11937438:-1 gene:ORUFI04G08730 transcript:ORUFI04G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWKNENRGARLSPRSEQRWPGIKEVWRQLPYPPFVTPSPSSERLQRDVEVARRRQRGMEADTMMVAAVEEATVVVAEAEAARRWLLSK >ORUFI04G08740.1 pep chromosome:OR_W1943:4:11943167:11943525:1 gene:ORUFI04G08740 transcript:ORUFI04G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMMATPMDLPLACPGRVDPPLAGLGEGRGAGGDEEERRRLTSSLSRRRTDDDGDDGAACGKPGQRKRGSDARRRPQRCRRQLLGRPADGGGEPSARGEAGGGG >ORUFI04G08750.1 pep chromosome:OR_W1943:4:11975657:11984226:1 gene:ORUFI04G08750 transcript:ORUFI04G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGDKPPHAVCVPYPSQGDITPTLHLAKLLHARGFHVTFVNTEFNHRRLLASRGAAALDGVPGFVFAAIPDGLPAMSGEDEDATQDIPALCQSTMTNCLGHLLALLSRLNEPASGSPPVTCLVADGLMSFAYDAARVIGVPCAALWTASACGFVGCRLYRELIDRGLVPLRDAAQLTDGYLDTVVDGAAARGMCDGVQLRDYPSFIRTTDLGDVMLNFIMREAERLSLPDAVILNTFDDLERPALDAMRAVLPPPVYAVGPLHLHVRRAVPTGSPLHGVGSNLWKEQDGLLEWLDGHRPSSVVYVSYGSIAVMTSEQLLEFAWGLADSGYAFVWVVRPDLVKGGEGDAAALPPEFHAAVEGRGVLPAWCPQEKVLEHDAVGVFLTHSGWNSTLESLAAGVPMLSWPFFAEQQTNCRYKRTEWGIGMEIGGNARRGEVAAMIREAMEGKKGREIRRRAQEWKEKAVRVTLPGGPGDTNLDRVIHDVLLSCKDKISRVNGESDVTSTKSGTYGYQTDLGTWYLQDKVLEHDAVGVFLTHSGWNSTLESPASGVLMLSWLFFAEQQTNCRYKQTEWGVAMEIGGEAWRGEVAAMTLEAMEGEKGREMRQRAEEWKQKAVQVTLLGGPWDTNLDRVIHEVLLSSSASAQILALYELQKQQHKFLEQQHNFQMPQNFQKQQHQSSASTMTNCLGHLLALLARLKEWKEKAQYQSSTTVVGGGTTAVAHPRGDVRDSGRRTRVRGSVATSG >ORUFI04G08760.1 pep chromosome:OR_W1943:4:11998476:12001014:-1 gene:ORUFI04G08760 transcript:ORUFI04G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFSLADPMATAFFHAIQHSQPSPSQIWWRRPQERWIHRYWPLL >ORUFI04G08770.1 pep chromosome:OR_W1943:4:12017686:12019281:1 gene:ORUFI04G08770 transcript:ORUFI04G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEESERWPQLAPPPSPASAVLSDEDLLGEILLRLESHEHLIAAAIVCKHWLRVASGDLFLRRFRVIHPPRLLGFCVDDGGGDVGRRPQFKALPQHPGVAAAAAHRTRHGYFGAFGHLAGYHRPSIADCRDGRLLVESTDRAPRRLGINTPYRYTVLRPPHPRESVQLLPPLPPPPGGGAGKHVVERVFLPEDGGGAGGDHGITLVYVLLVERRVTARVHVLDSGGAWGAPTTAETELPAPSCDDAVETVLPPINGEVYVVTTSGYTLGLRLGTTRFSVVELPDAARSSANFRMAWSYAAAADDDDFARGRLCLVHGDGTRLSIWHRKTTTMEDDGGAAGVGWRLADTFCVREACERVEWLPDGWWTGRVAVIAVGDNAEFALLDLEKVGVVIYVHLRWRTVKKVYERKLPDADDGGGGGGDRQRPVRVFPMTTVWPPTFPALDKPRQNCCVRSPDGLVRALVMTCHAGWSATSPSCSRRDGEDDDEDVGVLPGCSWAGWFDHMGAMVAQLAEWAAQPRSPGRWRWSCL >ORUFI04G08780.1 pep chromosome:OR_W1943:4:12028024:12028653:-1 gene:ORUFI04G08780 transcript:ORUFI04G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKMKLQRIVIDVKRQVTFKKRLNGLTKKVSEFATLFLMVYGEVEVQATKVWPLVWKATRVLEHFKAMPQLDRYKKMTDLEDILNEQVDKLKEELHKVGRDADESDTKLILVEALNGHRPSLEGLTIEQITSLGLMANAHLKIVNDRLKKLREQGLIPASLLLSGTEVPIQREGWLMDVARGIGSMGNNRFGGTSGSGIAGSNGDMA >ORUFI04G08790.1 pep chromosome:OR_W1943:4:12035007:12037229:-1 gene:ORUFI04G08790 transcript:ORUFI04G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSGKAALPGGGMSIPGVDATGGEEVVGGGAGLPGGGVWLLGGDTVVPGVDATGGEEVVGGGARLPGGGVWLPGGDTVVPGGGALAAGVGLLGGGALAAGAAVPGGAVSAASAAVPGVDATGGEEVAGGGASVLGGGAGLPGSGALAAGVRAVVPDVDATGGEVVAAGGSVSAAGAAVPGDGASAVGVCTTASTHWGRLAGDGEPALLLVRRGGRRRAGPLCEHAAAAAGAAYDDVVGVYRGFMRSRTCAFLVIHRAPLEPRVRCPYCGARVWSMTTVGLTRLSSSSSSNGERSADSDSNHSNDETFATADVSLPLPLAGRVWWGRSVTPTTVGGGGAARITQSPKANCAVCCGGRGNSEASTTIRIEVWGARSSTSTLGLVLLGFTTNGSTSGIVNRERPYGRRKVLTGGFLVAKG >ORUFI04G08800.1 pep chromosome:OR_W1943:4:12055363:12061629:1 gene:ORUFI04G08800 transcript:ORUFI04G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVSVAAVGWAISTAGWIISPIVAKLVNSVCPRITLNVPKKIRDLETCTLPRLALTLGALEISQRHELEKLVKELKSAFYQVEDILDDAEYCRLEKQLARSKKRKFPLDFNDVGSSYQADGTKLTAGYSRCRLKDKLDKIEKLIEKAQDIIKLANLPGNNNESGDHRRYVGVTAKHTSKPTAAYLPRKVIGRDKDREEIISMLRDENQSSADRCFSVIGIHGIPGSGKTTLAQYVCEYEEEANHFDIVMWIHVSQNFGLDTILREMIEQATKQPCPQFKSLTALQKRLKQDLREKRFLLVLDDVEHNKDISEDDLSALEVGGAGSKILATSRTRDALLALGAVRSKCIPISKLDEHVFRELFLQYALDGAEICERDRIVLQMIGADIAKKLKGSPLAARTVGGQLRIRQDVEFWRSVRDKDFFSDAMEALRWSYQLLDEKVRRCFAYCSIFPRGHHLGRSKLINLWAAEGFISREEVGGDYFHKLVATSFLQLERKDSSGEEYYLLHDLLQDLAETVGRSDCCRIEGGCTGQVPRDDVRHLYIKACNGATVAGNKAFEKMITENIVKLKELRTLIIDGGETGIEAKVFDDIFDSLKSLRVLIVETQSRRILQIPESIGYLKYLRYLSIEYRCRIFFPRTVTKLYHLRVLDFGEYGMLERSCSPENMSNLVNLQRVVGRSLGDFPNIGRLTLLRTLPTFRVKRDLLGYDIKQLKHLNKLQGKLVISGLQHVRSEEEAVEAKLAEKEHLKQLTLAWDDDNTSSNHDPAVLECLCPPMGLQVLEIIGYRGSYPGWMVGKHSGQLYLQKLELRQCSPLGPAPRLFECFVHLESLCLSHLSWHTLPDNMEQVRTLKVLMISHCKNMKVLPTLPQSLSRFKLSNCGHEFTRSCKQNGENWEKIQHILEKIIL >ORUFI04G08810.1 pep chromosome:OR_W1943:4:12064176:12064905:-1 gene:ORUFI04G08810 transcript:ORUFI04G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASITVDQGRPWRGARGAAALGTQIVGLHLPSPFPDQSNRRPALPRPLGLVQRKRTERDKIRRRDRVARWLRRRPHPNPSCESRYRAIAVRRHKPAGRACRLPDCFAAICRGERLVIQESGLSGHVVEEVARKGKKREMVKWFGNFMH >ORUFI04G08820.1 pep chromosome:OR_W1943:4:12071260:12075895:-1 gene:ORUFI04G08820 transcript:ORUFI04G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVKLQRIVIDVKQRVTFMKSLKGLTKKVSEFATLFFMVYGEVEVQATKVWPSVWEVTRVLEHFKAMPHLVRYKKLTDLEGILNEQVDKLKEQLHRVEHDADESETKLLLIEAINGHRSSLEGLTIEQITSLGWMANARLKIVNDRLKKLREEGLIPASVSLSSMEVPIQREGWLVDVVRGIGSMGYNRFEGSSVSGTAGSNGFSQANQEFSFATQAMACKRVKLQRIVIDVKQRVTFMKSLKGLTKKVSEFATLFFMVYGEVEVQATKVWPSVWEATRVLEHFKAMPHLVRYKKLTDLEGILNEQVDKLKEQLHKVEHDADESETKLLLIEAINGHRPSLEGLTIEQITSLGWMANARLKIVNDRLKKLHEEGLIPASVSLSSTEVPIQREGWLVDVASGIGSIGYNRFGGSSGSGSAGSNGDMA >ORUFI04G08830.1 pep chromosome:OR_W1943:4:12099125:12099607:-1 gene:ORUFI04G08830 transcript:ORUFI04G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTATGDGDDGDHDGSGNDGNHEGRGGTAPSHPLLSPPPYLSEGRMPPPGRTGAGRKDPPGGAHGEHGSTPAVARGARPRGLGRWSATVGLDLVTTDAAGPRAVE >ORUFI04G08840.1 pep chromosome:OR_W1943:4:12100627:12101921:-1 gene:ORUFI04G08840 transcript:ORUFI04G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYNCDSANLRLQLSHVDAGRGLTHWELLRRMAQRSKARATHLLSAQAQSAGRGRSASAPVNPGAYDDGFPTTEYLVHLAAGTPPQEVQLTLDTGSDIAWTQCKRCPASACFNQTLPLFDPSASSESETTPPCGGGGNDATSRACNYSISYGDGSVTRGELGREVFTFASGAGEGSSAAVPGLVFGCGHANRGVFTSNETGIAGFGRGSLSLPSQLKVGNFSHCFTTITGSKTSAVLLGLPANLYSNGRGRGAAVVQITPLTHNSRHPTLYYLSLKGITVGGSYRCRSTPRSSNSGTSITSLPPRTYRAVREEFAAQVKLPVVPGNATDPFTCFSAPLRGPKPDVPTMALHFEGATMRLPQENYVFEVVDDDDAGNSSRIICLAVIEGGEIILGNFQQQNMHVLYDLQNSKLSFVPAQCDQL >ORUFI04G08850.1 pep chromosome:OR_W1943:4:12109017:12109325:-1 gene:ORUFI04G08850 transcript:ORUFI04G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVEMAGFGQRGAGCSDSVPVVGGGAGGGGLEQEAAAAMVAVVPANFGEGDGYVEQGERGGGGSSAWGGLELRPNRAATPGGGAGGTPASD >ORUFI04G08860.1 pep chromosome:OR_W1943:4:12124903:12149050:-1 gene:ORUFI04G08860 transcript:ORUFI04G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLSTIISALVVVASSTRGTMANGVFQVRRKFHIVDGVYKGSDIGALQTHDGNRHRRRNLMAAELPLGGFSIPYGTGLYYTDIGIGTPAVKYYVQVDTGSEAFWVNSISCKQCPHESDILRKLTFYDPRSSVSSKEVKCDDTICTSRPPCNMTLRCPYIAAYSDGGLTMGILFTDLLHYHQLYGNGQTQPTSTSVTFGCGLQQTGSLNNSELAIDGIIGFGNSNQTLLSQLAAAGKTKKIFSHCLDSTNGGGIFAIGEVVEPKIDVAGTTLQLPANIFETTKTKGTFIDSGTTLVYLPEIVYSELILAVFAKHPDITLGAMYNFECFHFLGSVDDKFPKITFNFENDLTLDVYPYDYLLEYEGNQYCFGFQDAGKQGHKDKILLGDMVISNKCGSEGLSPLGRMHGGLFL >ORUFI04G08860.2 pep chromosome:OR_W1943:4:12124897:12149050:-1 gene:ORUFI04G08860 transcript:ORUFI04G08860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLSTIISALVVVASSTRGTMANGVFQVRRKFHIVDGVYKGSDIGALQTHDGNRHRRRNLMAAELPLGGFSIPYGTGLYYTDIGIGTPAVKYYVQVDTGSEAFWVNSISCKQCPHESDILRKLTFYDPRSSVSSKEVKCDDTICTSRPPCNMTLRCPYIAAYSDGGLTMGILFTDLLHYHQLYGNGQTQPTSTSVTFGCGLQQTGSLNNSELAIDGIIGFGNSNQTLLSQLAAAGKTKKIFSHCLDSTNGGGIFAIGEVVEPKIDVAGTTLQLPANIFETTKTKGTFIDSGTTLVYLPEIVYSELILAVFAKHPDITLGAMYNFECFHFLGSVDDKFPKITFNFENDLTLDVYPYDYLLEYEGNQYCFGFQDAGKQGHKDKILLGDMVISNKH >ORUFI04G08860.3 pep chromosome:OR_W1943:4:12139317:12149050:-1 gene:ORUFI04G08860 transcript:ORUFI04G08860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLSTIISALVVVASSTRGTMANGVFQVRRKFHIVDGVYKGSDIGALQTHDGNRHRRRNLMAAELPLGGFSIPYGTGLYYTDIGIGTPAVKYYVQVDTGSEAFWVNSISCKQCPHESDILRKLTFYDPRSSVSSKEVKCDDTICTSRPPCNMTLRCPYIAAYSDGGLTMGILFTDLLHYHQLYGNGQTQPTSTSVTFGCGLQQTGSLNNSELAIDGIIGFGNSNQTLLSQLAAAGKTKKIFSHCLDSTNGGGIFAIGEVVEPKIDVAGTTLQLPANIFETTKTKGTFIDSGTTLVYLPEIVYSELILAVFAKHPDITLGAMYNFECFHFLGSVDDKFPKITFNFENDLTLDVYPYDYLLEYEGNQYCFGFQDAGKQGHKDKILLGDMVISNKVVVYDMEKQAIG >ORUFI04G08870.1 pep chromosome:OR_W1943:4:12132708:12134794:1 gene:ORUFI04G08870 transcript:ORUFI04G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNNNCAATVTTTIVKASRGADPLVPVSGAMLMKERQGMVVEVQDPPPRHVMCGPIEGGKGVLRRGGNGFWGSDDDIGMCRRRRQYEWELGLLNRFAVLQILFRCSASRGSWFICTPWSLCIGLMSSVVPRAARLKDDVDGTDQAISLTAVAQIWQRDRPISLISMQIQQLAQQWCRYGVNVSSRVAVVLIQQ >ORUFI04G08880.1 pep chromosome:OR_W1943:4:12137204:12137610:1 gene:ORUFI04G08880 transcript:ORUFI04G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVRGHLSTINRILESHDLHLPHIKKHGSGSGTGEESFVPNQDQSTSGSGVLRNNELEFLDFDISSTGARSWRRTCYGWVLTIRLAMLNVRTYTSTKRRANHAGHTSQKGCATFSLRTNNHRSSSLVLVIP >ORUFI04G08890.1 pep chromosome:OR_W1943:4:12187548:12188300:-1 gene:ORUFI04G08890 transcript:ORUFI04G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNAMRKVKKVLLVVGMIASTILGEYPHQAAAARFSARRPPPGPGRLPPPVPGGPRVGGKPRPEFDLPPVCSVGFPCSASEPESNHTTFSCELENRMGDTIWFQCDGDLFYFSVGSGQSIGRLYNDVQDLGPRNKVSCAWAFQENYKSSVPAWDGNWPEASSCRVDDASGADGQCRLLFENREVALLTATGGRRVLGGLLLKNCTTPTPWYAWLFPWTDPCTTYLDNTTRPYVGNIQPSWAAAVFNMDN >ORUFI04G08900.1 pep chromosome:OR_W1943:4:12203341:12204176:-1 gene:ORUFI04G08900 transcript:ORUFI04G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRRRWEGIGSSRRWGGGDGLGGRPAGGGAATDLGGRRRAVVWVRPRGLRAVGEEEEAARGGFGGGGGALDIPKDDSAYSSF >ORUFI04G08910.1 pep chromosome:OR_W1943:4:12214768:12215241:-1 gene:ORUFI04G08910 transcript:ORUFI04G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRERPGKEDGGGSMRQRRRRGGGGEQIQCLLLPLHRWWQQRRGMVALRRLRRCSGGWGCSGEADPASTGLGRADPTPPCLGSDAGNRVVVAAAALRVSDAGEGAAGSRSDASSSLSTGGGSKEEGWQLCAGDDSARDDGGAQERRIRHGRASGGWI >ORUFI04G08930.1 pep chromosome:OR_W1943:4:12241166:12241399:-1 gene:ORUFI04G08930 transcript:ORUFI04G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKNTIDTASSGAQNQEKDEADLFVTSPLSTMLRDVEELDYNERDYMTFTSNQSNIPKHSHHKS >ORUFI04G08940.1 pep chromosome:OR_W1943:4:12300452:12300703:1 gene:ORUFI04G08940 transcript:ORUFI04G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKASRGADPLVPISGATLVKERQGVAVEVQDRPPGPATGGSIQGGEGVLRRGGNNFRCNDNDIGLRWRQRQWEWEHGFLGF >ORUFI04G08950.1 pep chromosome:OR_W1943:4:12301890:12316267:-1 gene:ORUFI04G08950 transcript:ORUFI04G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLSTIILALVVVASSTHGTMANGVFQVRRKFHIVDGVYKGSDIGALQTHDENRHRRRNLMAAELPLGGFNIPYGTGLYYTDIGIGTPAVKYYVQLDTGSKAFWVNGISCKQCPHESDILRKLTFYDPRSSVSSKEVKCDDTICTSRPPCNMTLRCPYITGYADGGLTMGILFTDLLHYHQLYGNGQTQPTSTSVTFGCGLQQSGSLNNSAVAIDGIIGFGNSNQTALSQLAAAGKTKKIFSHCLDSTNGGGIFAIGEVVEPKVKTTPIVKNNEVYHLVNLKSINVAGTTLQLPANIFGTTKTKGTFIDSGSTLVYLPEIIYSELILAVFAKHPDITMGAMYNFQCFHFLGSVDDKFPKITFHFENDLTLDVYPYDYLLEYEGNQYCFGFQDAGIHGYKDMIILGDMVISNKVVVYDMEKQAIGWTEHNSVEEACGGSEGLSPIGRMHGGLNHEMLSSGTRFGAQQTGLTNLGQHI >ORUFI04G08950.2 pep chromosome:OR_W1943:4:12306580:12316267:-1 gene:ORUFI04G08950 transcript:ORUFI04G08950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLSTIILALVVVASSTHGTMANGVFQVRRKFHIVDGVYKGSDIGALQTHDENRHRRRNLMAAELPLGGFNIPYGTGLYYTDIGIGTPAVKYYVQLDTGSKAFWVNGISCKQCPHESDILRKLTFYDPRSSVSSKEVKCDDTICTSRPPCNMTLRCPYITGYADGGLTMGILFTDLLHYHQLYGNGQTQPTSTSVTFGCGLQQSGSLNNSAVAIDGIIGFGNSNQTALSQLAAAGKTKKIFSHCLDSTNGGGIFAIGEVVEPKVKTTPIVKNNEVYHLVNLKSINVAGTTLQLPANIFGTTKTKGTFIDSGSTLVYLPEIIYSELILAVFAKHPDITMGAMYNFQCFHFLGSVDDKFPKITFHFENDLTLDVYPYDYLLEYEGNQYCFGFQDAGIHGYKDMIILGDMVISNKVVVYDMEKQAIGWTEHNCKFQLVQILSINCYILF >ORUFI04G08960.1 pep chromosome:OR_W1943:4:12304897:12305370:1 gene:ORUFI04G08960 transcript:ORUFI04G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVRGHLSTINRILESHDLHLPNIDKHGSGVALHLPNIDKHGSGSSTGEESLVPNMDQSTSGSGVLRSTSQSSWTSTAKQEPLSLLKICEQFLHGHKVMEEDILWLASYHMIGNAQCWNIHFEEEEGEPSWPHFSERGAPQFHFGLTATNLHHWSW >ORUFI04G08970.1 pep chromosome:OR_W1943:4:12319549:12334267:1 gene:ORUFI04G08970 transcript:ORUFI04G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLLLNLIGDDVQTDHMDKMNTAARSQEIKQGLWKYSDERCRWHTFSSVQANVHSAYMRSDELKETDADKLTDVKIRVDPPKLLVQAHGDQSEDQLDVIA >ORUFI04G08980.1 pep chromosome:OR_W1943:4:12344566:12353161:-1 gene:ORUFI04G08980 transcript:ORUFI04G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPAPAPAVALLLVVVVVAAAVMLAAVEGAGAEATGVFHVRRSLPAGGAGAAAEAYRAHDGSRRGRLLAAADIPLGGLGLPTDTGLYYTEIGIGTPTKRYYVQVDTGSDILWVNCISCDRCPRKSGLGLELTLYDPKDSSTGSKVSCDQGFCAATYGGLLPGCTTSLPCEYSVTYGDGSSTTGYFVSDLLQFDQVSGDGQTRPANSTVTFGCGSQQGGDLGSSNQALDGIIGFGQSNTSMLSQLSAAGKVKKIFAHCLDTINGGGIFAIGNVVQPKVKTTPLVPNMPHYNVNLKSIDVGGTALKLPSHMFDTGEKKGTIIDSGTTLTYLPEIVYKEIMLAVFAKHKDITFHNVQEFLCFQYVGRVDDDFPKITFHFENDLPLNVYPHDYFFENGDNLYCVGFQNGGLQSKDGKGMVLLGDLVLSNKLVVYDLENQVIGWTEYNCSSSIKIKDEQTGATYTVDAHNISSGWRFHWQKHLAVLLVTMVYSYLIF >ORUFI04G08980.2 pep chromosome:OR_W1943:4:12344564:12352803:-1 gene:ORUFI04G08980 transcript:ORUFI04G08980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLSAAGKVKKIFAHCLDTINGGGIFAIGNVVQPKVKTTPLVPNMPHYNVNLKSIDVGGTALKLPSHMFDTGEKKGTIIDSGTTLTYLPEIVYKEIMLAVFAKHKDITFHNVQEFLCFQYVGRVDDDFPKITFHFENDLPLNVYPHDYFFENGDNLYCVGFQNGGLQSKDGKGMVLLGDLVLSNKLVVYDLENQVIGWTEYNCSSSIKIKDEQTGATYTVDAHNISSGWRFHWQKHLAVLLVTMVYSYLIF >ORUFI04G08990.1 pep chromosome:OR_W1943:4:12364795:12380293:1 gene:ORUFI04G08990 transcript:ORUFI04G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSLAVVLRAALSHVPEERKAAEASLTQFLALVADVIVSIIAIHVNASELFQYTPQHLVRLLQIIVDGNCDMAVRQFASIHFKNFVAKNWSPTDPESDKSMVRENILGFVTQLPPLLRAQLGESIKTLILADYPEQWPSLLPWVTHNLESQDQIFGALYVLRILARKYEFKSEDERIPLYQIVEECFPRLLNILRNLVPISNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNTWMILFLNLLERPVPVEGQPLDPDARKSWGWWKVKKWIIHILNRLYTRFADMKLQRPESKAFAQMFQKNYAGKILGCHLQLLNAIRTGGYLPDRVINLILQYLTNSVTKNSMYQLMQPQIDIILFEIIFPLMCFNDSDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKSNLQKFIHFIVEIFMRYNEASIEVKPYRQKDGALLAIGTLCDRLKQTEPYKAELERMLVQHVFPEFSSHVGHLRAKAAWVAGQYAHINFSDQNNFRKAMHCVISGLRDPELPVRVDSVFALRSFVEACKDLDEIRPILPQLLDEFFKLMSEVENEDLVFTLETIVDKFGEEMAPYALGLCQSLAAAFWRCMASSEADEEVEDTGALAAVGCLRAISTILESISSLPHLFPQIELTLLPILRRMLTSDGQDVYEEVLEIVSYMTFYSPTISLEMWGLWPLMMEALNDWAIDFFENILVPLDNYISRGTDQFIACKDPDYQQSLWRALSSIMTDQNMEDSDIIPAPKLIEVVFQNCKGQVDHWIEPYLRLTIDRLRRAVKPYLKSLLVQVIANTLYYNPSLTLGTLHKLGVATEIFNLWFGMLQQVKKSGIRANFKREHDKKVCCLGLTSLISLPANHIPPEALERIFKATLELLVSYKDQVAESKRENEAAAEDLDGFDGDEEDEDIESDKEMGYDDEDGDEVSSVNLQKLREARGFQPHYDDDDDDSDDDFSDDEELQSPIDEVDPFIFFVDTIQGMQASDPARFQSLMQTLDFRYQALANGLAQHAEERKVEIEKEKLEKANAQ >ORUFI04G08990.2 pep chromosome:OR_W1943:4:12364795:12380293:1 gene:ORUFI04G08990 transcript:ORUFI04G08990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSLAVVLRAALSHVPEERKAAEASLTQFQYTPQHLVRLLQIIVDGNCDMAVRQFASIHFKNFVAKNWSPTDPESDKSMVRENILGFVTQLPPLLRAQLGESIKTLILADYPEQWPSLLPWVTHNLESQDQIFGALYVLRILARKYEFKSEDERIPLYQIVEECFPRLLNILRNLVPISNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNTWMILFLNLLERPVPVEGQPLDPDARKSWGWWKVKKWIIHILNRLYTRFADMKLQRPESKAFAQMFQKNYAGKILGCHLQLLNAIRTGGYLPDRVINLILQYLTNSVTKNSMYQLMQPQIDIILFEIIFPLMCFNDSDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKSNLQKFIHFIVEIFMRYNEASIEVKPYRQKDGALLAIGTLCDRLKQTEPYKAELERMLVQHVFPEFSSHVGHLRAKAAWVAGQYAHINFSDQNNFRKAMHCVISGLRDPELPVRVDSVFALRSFVEACKDLDEIRPILPQLLDEFFKLMSEVENEDLVFTLETIVDKFGEEMAPYALGLCQSLAAAFWRCMASSEADEEVEDTGALAAVGCLRAISTILESISSLPHLFPQIELTLLPILRRMLTSDGQDVYEEVLEIVSYMTFYSPTISLEMWGLWPLMMEALNDWAIDFFENILVPLDNYISRGTDQFIACKDPDYQQSLWRALSSIMTDQNMEDSDIIPAPKLIEVVFQNCKGQVDHWIEPYLRLTIDRLRRAVKPYLKSLLVQVIANTLYYNPSLTLGTLHKLGVATEIFNLWFGMLQQVKKSGIRANFKREHDKKVCCLGLTSLISLPANHIPPEALERIFKATLELLVSYKDQVAESKRENEAAAEDLDGFDGDEEDEDIESDKEMGYDDEDGDEVSSVNLQKLREARGFQPHYDDDDDDSDDDFSDDEELQSPIDEVDPFIFFVDTIQGMQASDPARFQSLMQTLDFRYQALANGLAQHAEERKVEIEKEKLEKANAQ >ORUFI04G08990.3 pep chromosome:OR_W1943:4:12364795:12380293:1 gene:ORUFI04G08990 transcript:ORUFI04G08990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSLAVVLRAALSHVPEERKAAEASLTQFQYTPQHLVRLLQIIVDGNCDMAVRQFASIHFKNFVAKNWSPTDPESDKSMVRENILGFVTQLPPLLRAQLGESIKTLILADYPEQWPSLLPWVTHNLESQDQIFGALYVLRILARKYEFKSEDERIPLYQIVEECFPRLLNILRNLVPISNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNTWMILFLNLLERPVPVEGQPLDPDARKSWGWWKVKKWIIHILNRLYTRFADMKLQRPESKAFAQMFQKNYAGKILGCHLQLLNAIRTGGYLPDRVINLILQYLTNSVTKNSMYQLMQPQIDIILFEIIFPLMCFNDSDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKSNLQKFIHFIVEIFMRYNEASIEVKPYRQKDGALLAIGTLCDRLKQTEPYKAELERMLVQHVFPEFSSHVGHLRAKAAWVAGQYAHINFSDQNNFRKAMHCVISGLRDPELPVRAAAFWRCMASSEADEEVEDTGALAAVGCLRAISTILESISSLPHLFPQIELTLLPILRRMLTSDGQDVYEEVLEIVSYMTFYSPTISLEMWGLWPLMMEALNDWAIDFFENILVPLDNYISRGTDQFIACKDPDYQQSLWRALSSIMTDQNMEDSDIIPAPKLIEVVFQNCKGQVDHWIEPYLRLTIDRLRRAVKPYLKSLLVQVIANTLYYNPSLTLGTLHKLGVATEIFNLWFGMLQQVKKSGIRANFKREHDKKVCCLGLTSLISLPANHIPPEALERIFKATLELLVSYKDQVAESKRENEAAAEDLDGFDGDEEDEDIESDKEMGYDDEDGDEVSSVNLQKLREARGFQPHYDDDDDDSDDDFSDDEELQSPIDEVDPFIFFVDTIQGMQASDPARFQSLMQTLDFRYQALANGLAQHAEERKVEIEKEKLEKANAQ >ORUFI04G09000.1 pep chromosome:OR_W1943:4:12388511:12418279:1 gene:ORUFI04G09000 transcript:ORUFI04G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMATVAVPRVKLGSQGMEVSAQGLGCMGMCPAFEPPKPEADMVALIRHAIAAGVTFFDTSDLYGPHTNEVLLGKALQGGGVRDRVELATKFGKFFAGGKPGIRGDPAYVRAACEGSLRRLGVDCIDLYYQHRVDKKVPIEVTIGELKKLVEEGKIRYIGLCEASASTIRRAHAVHPITAVQLEELGIGIVAYSPLGKGFFSSGAKLVDSLPDHDFRKLIPRFQPGNIEKNAEIFERVNEMAARKGCTPSQLALAWIHHQGRDVCPIPGTTKIENFNQNVAALSVKLTPAEMAELESYASNVHGDRYPLMMANTTWQDSETPPLSSWKSDSWFKIAGSKWKSVAQDFIRSVSSDALLAPVLLHRGNRSIRFRPAMAPAAPATAAVRRVKLGSQGLEVSAQGLGCMGMSAFHGPSKPEADMVALIHHAVAAGVTLLDTADIYGPHANEALLGKALQVGSVRDNVALATKFGKFLADGKVGIRGDPAYVRAACEGSLQRLGVDCIDLYYQHRVDKKVPIEIDELKKLVEEGKVKYIGLCEASASTIRRAHAVHPINAVQLEWSLWSRDVEEDIIPTCRELGIGIFFSGGAKLVDSLPDHDFRKNLPRFQPENLEKNAKIFDRVNAMAMRKGCTAAQFALAWIHHKGDDVCPIPGTTKIENFDQNVGALSLELTRDEMAELESYAAAADVHGDRYAQMANTWKDCETPPFDGVNQEAAERNRSIRFRPAMAAAAPATAAVRRMKLGSQGLEVSAQGLGCMGMSAFYGPPKPEPDMVALIHHAVAAGVTLLDTADIYGPHANELLLGKALQGGVRDKVELATKFGIAFEDGKRGVRGDPAYVRAACEGSLRRLGVDSIDLYYQHRVDKKVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSAGAKLVESLSDQDFRKHIPRFQQENLEKNAEIFERVNAMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIENLNQNIGALSVKLTPEEMAELESYASTDDVRGDRYPQAMANTTWQNSETPPLSSWKAQ >ORUFI04G09000.2 pep chromosome:OR_W1943:4:12388511:12418181:1 gene:ORUFI04G09000 transcript:ORUFI04G09000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMATVAVPRVKLGSQGMEVSAQGLGCMGMCPAFEPPKPEADMVALIRHAIAAGVTFFDTSDLYGPHTNEVLLGKALQGGGVRDRVELATKFGKFFAGGKPGIRGDPAYVRAACEGSLRRLGVDCIDLYYQHRVDKKVPIEVTIGELKKLVEEGKIRYIGLCEASASTIRRAHAVHPITAVQLEELGIGIVAYSPLGKGFFSSGAKLVDSLPDHDFRKLIPRFQPGNIEKNAEIFERVNEMAARKGCTPSQLALAWIHHQGRDVCPIPGTTKIENFNQNVAALSVKLTPAEMAELESYASNVHGDRYPLMMANTTWQDSETPPLSSWKSDSWFKIAGSKWKSVAQDFIRSVSSDALLAPVLLHRGNRSIRFRPAMAPAAPATAAVRRVKLGSQGLEVSAQGLGCMGMSAFHGPSKPEADMVALIHHAVAAGVTLLDTADIYGPHANEALLGKALQVGSVRDNVALATKFGKFLADGKVGIRGDPAYVRAACEGSLQRLGVDCIDLYYQHRVDKKVPIEIDELKKLVEEGKVKYIGLCEASASTIRRAHAVHPINAVQLEWSLWSRDVEEDIIPTCRELGIGIFFSGGAKLVDSLPDHDFRKHIPRFQQENLEKNAEIFERVNAMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIENLNQNIGALSVKLTPEEMAELESYASTDDVRGDRYPQAMANTTWQNSETPPLSSWKAQ >ORUFI04G09000.3 pep chromosome:OR_W1943:4:12388511:12411467:1 gene:ORUFI04G09000 transcript:ORUFI04G09000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMATVAVPRVKLGSQGMEVSAQGLGCMGMCPAFEPPKPEADMVALIRHAIAAGVTFFDTSDLYGPHTNEVLLGKALQGGGVRDRVELATKFGKFFAGGKPGIRGDPAYVRAACEGSLRRLGVDCIDLYYQHRVDKKVPIEVTIGELKKLVEEGKIRYIGLCEASASTIRRAHAVHPITAVQLEELGIGIVAYSPLGKGFFSSGAKLVDSLPDHDFRKLIPRFQPGNIEKNAEIFERVNEMAARKGCTPSQLALAWIHHQGRDVCPIPGTTKIENFNQNVAALSVKLTPAEMAELESYASNVHGDRYPLMMANTTWQDSETPPLSSWKSDSWFKIAGSKWKSVAQDFIRSVSSDALLAPVLLHRGNRSIRFRPAMAPAAPATAAVRRVKLGSQGLEVSAQGLGCMGMSAFHGPSKPEADMVALIHHAVAAGVTLLDTADIYGPHANEALLGKALQVGSVRDNVALATKFGKFLADGKVGIRGDPAYVRAACEGSLQRLGVDCIDLYYQHRVDKKVPIEIDELKKLVEEGKVKYIGLCEASASTIRRAHAVHPINAVQLEWSLWSRDVEEDIIPTCRELGIGIFFSGGAKLVDSLPDHDFRKNLPRFQPENLEKNAKIFDRVNAMAMRKGCTAAQFALAWIHHKGDDVCPIPGTTKIENFDQNVGALSLELTRDEMAELESYAAAADVHGDRYAQMANTWKDCETPPLSSWKEE >ORUFI04G09000.4 pep chromosome:OR_W1943:4:12388511:12418181:1 gene:ORUFI04G09000 transcript:ORUFI04G09000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMATVAVPRVKLGSQGMEVSAQGLGCMGMCPAFEPPKPEADMVALIRHAIAAGVTFFDTSDLYGPHTNEVLLGKALQGGGVRDRVELATKFGKFFAGGKPGIRGDPAYVRAACEGSLRRLGVDCIDLYYQHRVDKKVPIEVTIGELKKLVEEGKIRYIGLCEASASTIRRAHAVHPITAVQLEELGIGIVAYSPLGKGFFSSGAKLVDSLPDHDFRKLIPRFQPGNIEKNAEIFERVNEMAARKGCTPSQLALAWIHHQGRDVCPIPGTTKIENFNQNVAALSVKLTPAEMAELESYASNVHGDRYPLMMANTTWQDSETPPLSSWKSDSWFKIAGSKWKSVAQDFIRSVSSDALLAPVLLHRGNRSIRFRPAMAPAAPATAAVRRVKLGSQGLEVSAQGLGCMGMSAFHGPSKPEADMVALIHHAVAAGVTLLDTADIYGPHANEALLGKALQVGSVRDNVALATKFGKFLADGKVGIRGDPAYVRAACEGSLQRLGVDCIDLYYQHRVDKKVPIEIDELKKLVEEGKVKYIGLCEASASTIRRAHAVHPINAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSAGAKLVESLSDQDFRKHIPRFQQENLEKNAEIFERVNAMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIENLNQNIGALSVKLTPEEMAELESYASTDDVRGDRYPQAMANTTWQNSETPPLSSWKAQ >ORUFI04G09000.5 pep chromosome:OR_W1943:4:12388511:12411467:1 gene:ORUFI04G09000 transcript:ORUFI04G09000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMATVAVPRVKLGSQGMEVSAQGLGCMGMCPAFEPPKPEADMVALIRHAIAAGVTFFDTSDLYGPHTNEVLLGKALQGGGVRDRVELATKFGKFFAGGKPGIRGDPAYVRAACEGSLRRLGVDCIDLYYQHRVDKKVPIEVTIGELKKLVEEGKIRYIGLCEASASTIRRAHAVHPITAVQLEELGIGIVAYSPLGKGFFSSGAKLVDSLPDHDFRKLIPRFQPGNIEKNAEIFERVNEMAARKGCTPSQLALAWIHHQGRDVCPIPGTTKIENFNQNVAALSVKLTPAEMAELESYASNVHGDRYPLMMANTTWQDSETPPLSSWKSDSWFKIAGSKWKSVAQDFIRSVSSDALLAPVLLHRGNRSIRFRPAMAPAAPATAAVRRVKLGSQGLEVSAQGLGCMGMSAFHGPSKPEADMVALIHHAVAAGVTLLDTADIYGPHANEALLGKALQVGSVRDNVALATKFGKFLADGKVGIRGDPAYVRAACEGSLQRLGVDCIDLYYQHRVDKKVPIEIDELKKLVEEGKVKYIGLCEASASTIRRAHAVHPINAVQLEWSLWSRDVEEDIIPTCRELGIGINLPRFQPENLEKNAKIFDRVNAMAMRKGCTAAQFALAWIHHKGDDVCPIPGTTKIENFDQNVGALSLELTRDEMAELESYAAAADVHGDRYAQMANTWKDCETPPLSSWKEE >ORUFI04G09000.6 pep chromosome:OR_W1943:4:12411290:12418279:1 gene:ORUFI04G09000 transcript:ORUFI04G09000.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCCTLLLLTMLYLHLWFVMHIDGVNQEAAERNRSIRFRPAMAAAAPATAAVRRMKLGSQGLEVSAQGLGCMGMSAFYGPPKPEPDMVALIHHAVAAGVTLLDTADIYGPHANELLLGKALQGGVRDKVELATKFGIAFEDGKRGVRGDPAYVRAACEGSLRRLGVDSIDLYYQHRVDKKVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSAGAKLVESLSDQDFRKHIPRFQQENLEKNAEIFERVNAMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIENLNQNIGALSVKLTPEEMAELESYASTDDVRGDRYPQAMANTTWQNSETPPLSSWKAQ >ORUFI04G09010.1 pep chromosome:OR_W1943:4:12423292:12430149:1 gene:ORUFI04G09010 transcript:ORUFI04G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPATAAVRRMKLGSQGLEVSAQGLGCMGMSAFYGPPKPEADMVALIHHAVAAGVTHLDTSDMYGPHTNELLLGKALQGGVREKVEVATKFAVSFADGKVEIRGDPPYVRAACEGSLRRLGVDCVDLYYQHRVDKKVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEELGIGIVAYSPLGRGFFSGGAKLVESICSGCSQGKFLWILLIQFSLRMGMKEHLPRFQPANLEKNAEIFERVNAMAARKGCTPSQLALAWVHHQGSDSYASTDVVQGDRYAQTAGTWKDSETPPLSSWKAE >ORUFI04G09010.2 pep chromosome:OR_W1943:4:12423292:12430149:1 gene:ORUFI04G09010 transcript:ORUFI04G09010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPATAAVRRMKLGSQGLEVSAQGLGCMGMSAFYGPPKPEADMVALIHHAVAAGVTHLDTSDMYGPHTNELLLGKALQGGVREKVEVATKFAVSFADGKVEIRGDPPYVRAACEGSLRRLGVDCVDLYYQHRVDKKVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEELGIGIVAYSPLGRGFFSGGAKLVESICSGCSQDFNQRISRRMLKYLSVLTQWQPEKDAHRHNSHWPGSIIREATTDVVQGDRYAQTAGTWKDSETPPLSSWKAE >ORUFI04G09010.3 pep chromosome:OR_W1943:4:12423292:12430149:1 gene:ORUFI04G09010 transcript:ORUFI04G09010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPATAAVRRMKLGSQGLEVSAQGLGCMGMSAFYGPPKPEADMVALIHHAVAAGVTHLDTSDMYGPHTNELLLGKALQGGVREKVEVATKFAVSFADGKVEIRGDPPYVRAACEGSLRRLGVDCVDLYYQHRVDKKVPIEVTRTWNWNTYSPLGRGFFSGGAKLVESICSGCSQGKFLWILLIQFSLRMGMKEHLPRFQPANLEKNAEIFERVNAMAARKGCTPSQLALAWVHHQGSDSYASTDVVQGDRYAQTAGTWKDSETPPLSSWKAE >ORUFI04G09020.1 pep chromosome:OR_W1943:4:12445717:12450672:1 gene:ORUFI04G09020 transcript:ORUFI04G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSTEWMRDRADKLKEDVCTLFTPTKDILRRMYLVDEIVHLGIDHLFEKEIETALKDIHETEFTSSNLHEVALRFRLLRERGFWVSPDIFNKFKGDDGNFLNEFAEDPRSLLSLYNAAHLFIHGEPELEEAISFARNHLESMSSHSVLKAPLADQVKRHLRLPLPRTHKRVEMLHYMFEYDQENEHNPVLLELAKLDFNLLQQVHLKELKEISRWWKDVLAYMGLDHIRDRVIECYTWSYAVYHEKDLALARMIFAKLVALTSVLDDTYDVHAYTSIEECRMLNVAIQGWDDSAALLVPEYLRKFYEIILRTFREFEDQIPRNQRYLAAFSKAEFQKLTSNYLEAAEWYHRNHKPSFNDQVALGTATTGTRSLAAGLMLGMGDAATTKQAFQWAVTSTDAIISCGKIGRLMNDISGFKLGSQNKADMACAVEAYIEEHKVTADVAIARINEVLEDEWKTTNQARVDHRAVLPVVQRMINITLGIQLFYGNDCDAFPFGKQLQEVPEDLYVKPMSLL >ORUFI04G09030.1 pep chromosome:OR_W1943:4:12462170:12465562:-1 gene:ORUFI04G09030 transcript:ORUFI04G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERTNKLKEEVCTLFTPSKDMLRRMYLVDEIVHLGIDHLFEKEIETALKDIHESEFASSNLHEVALRFRLLRERGFWVSPDVFQKFKGDDGNFLNELADDPRGLISLYNAAHLFIHGEPEFEETISFARNHLESMIWDSVLKGPLADQVKHAIRLPLPRTLKRVEMLHYMFEYDQDNGHNPVLLELAKLDFNLLQHVHLKELKEISRWWKDVSGYMGLNHIRDRVIECYTWSYAVYHEEELSFARMLFAKIVVIITLLDDTYDVYAFTSIEECRTLNAAIQGWDDSAVSLVPEYLRKFYEIMLSTFREFEDQMLSNKRYLVAFNKAEAAEWSHRNYKPSFSEQVALATETTGARLLAAGVMLGMSDAATTREAFDSIGSQNKADMPCAVETYINEHKVTVDVAIAKINELVEDEWKTTNRARIDNQAVLPVAQRLINLTMAIPMFYGYDSDAFTFGEQLREILENLYVKPMPI >ORUFI04G09040.1 pep chromosome:OR_W1943:4:12486842:12488837:1 gene:ORUFI04G09040 transcript:ORUFI04G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNPQSPSQNPHHLRPKNPRCRPAPPSSRRASSERYNRRVAEPASIVAFLPYLPATRCRFVIVEPAVPLPSLGATILRHLPVEPTAAVVFSWSQYNGQHCHQPSRPWPSPRFLWLLLIGSSTPLQLLCFALYTVTDVYDQGSKLPQVFQVLLSEENNTFICGNTICNYEHKLYSKATFEIEDFYSYICTFSYTLAD >ORUFI04G09040.2 pep chromosome:OR_W1943:4:12486842:12489417:1 gene:ORUFI04G09040 transcript:ORUFI04G09040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNPQSPSQNPHHLRPKNPRCRPAPPSSRRASSERYNRRVAEPASIVAFLPYLPATRCRFVIVEPAVPLPSLGATILRHLPVEPTAAVVFSWSQYNGQHCHQPSRPWPSPRFLWLLLIGSSTPLQLIDFNRF >ORUFI04G09050.1 pep chromosome:OR_W1943:4:12494489:12497703:1 gene:ORUFI04G09050 transcript:ORUFI04G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKVVLAMGASILLVVVVSKLMISFAAKPRLNLPPGPWTLPLIGSIHHVVSSRESVHSAMRRLARRHGAPLMQLWFGEVGTVVASSPEAAREVLRSHDLAFADRHLTAAAAAFSFGGRDVVLSPYGERWRQLRKLLTQELLTASRVRSFRRVREEEVARLMRDLSAAATAGAAVNLSEMVTRMVNDTVLRCSVGSRCEHSGEYLAALHAVVRLTSGLSVADLFPSSRLAAMVSAAPRAAIANRDKMVRIIEQIIRERKAQIEADDRAADSKSCACSLDDLLRLQKEGGSPIPITNEVIVVLLMDMFAGGTDTSSTTLIWAMAELIRSPRVMAKVQSEMRQIFDGKNTITEDDLVQLSYLKMVIKETLRLHCPLPLLAPRKCRETCKIMGYDVPKGTSAFVNVWAICRDSKYWEDAEEFKPERFENNDIEFKGSNFEFLPFGSGRRVCPGINLGLANMEFALANLLYHFDWKLPNGMLHKDLDMREAPGLLVYKHTSLNVCPVTHIASSCA >ORUFI04G09060.1 pep chromosome:OR_W1943:4:12509381:12513205:1 gene:ORUFI04G09060 transcript:ORUFI04G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATAPAAAVVRRMKLGSQGMEVSAQGLGCMGMSAVYGERKPEADMVALVRHAVAAGVTFLDTSDVYGPHTNEVLVGKAVAAAAATEEEVQVQVATKFGITPAWEVRGDPAYVRAACEGSLRRLGVGCIDLYYQHRIDSTVPVEITMGELKKLVEEGKIKYIGLSEASASTIRRAHVVHPITAVQIEWSLWSRDVEEDIVPTCRELGIGIVAYSPLGRGFFSSGAKLVDELPDDDFRKSLPRFQPENLEKNAAIFEKVNAMAARKGCTSSQLALAWVHHQGSDVCPIPGTTKIHNFDQNVGALSVKLTPDEMSELESYASADVVQGDRYHGTFLNTWKNSETPPLSSWRSGN >ORUFI04G09070.1 pep chromosome:OR_W1943:4:12515164:12519812:-1 gene:ORUFI04G09070 transcript:ORUFI04G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSKQISEEWMRERANKLKEDVCGLFIMASNGILERMNLVDTIQHLGIDHLFQEEIDSALKDIHENDLASSKLHEVALRFRLLREHGFWVSPDVFNKFKGDHGTFNNELSLADDPRGLLSLYNAAHLFIHGEPELVEAISFARHHLESFNRRNVLKAPLADQVKRALHLPLPRTHRRVEMVSYMFEYGREDGHNPVILELAKLDFNLLQRVHLKELKEISRWWKDVSGYMGINHIRDRVIECYTWSYAVYHEEEMSFARMLFAKIVVIIALLDDTYDVHGYTSIQECRMLNAAIQGWDDSAVLLVPEYLRKFYEFILRCFREFEDQVPSNQKYLIAFSKTELQRLSSYYLEGAEWSHRKHMPSFSEQVALATMTTGTRPLAAGLMVGMSESMTTKQAYEWAVNSTDAIISCGKTGRFMNDIAGFKSYINEHKVTADVAIAKINELVEDEWKTTNQARIDHRDVLPVVQRLINITMAIPLYYSDGIDGFTFGEGIQEVLEKLYVKPIPL >ORUFI04G09080.1 pep chromosome:OR_W1943:4:12522033:12523196:1 gene:ORUFI04G09080 transcript:ORUFI04G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPQRHVVANRQGVSGTCGSHMSVTQCHQEFHGGMSPNPNPPRESNTALIIVDSRQRPQGQIAE >ORUFI04G09090.1 pep chromosome:OR_W1943:4:12525361:12525603:-1 gene:ORUFI04G09090 transcript:ORUFI04G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAGFAPRDPPPRCATRRIKKKAAETSSFDDDGQQHPRPQGEVGAADPGDSVKEESSERSGPSGCGGATERGGCGGVD >ORUFI04G09100.1 pep chromosome:OR_W1943:4:12529816:12552155:-1 gene:ORUFI04G09100 transcript:ORUFI04G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLQLAMSVAAVPHLPEEETTPSVDVEIASPDQQHLAAAAAPSMAVFPPAADEEEEEEAVAVLLSGEFFTCWSSLSESTDDETTRRTTTESMFYIPTNGSNDVGRRRRKVRSWSRGSFLGRGSFGMVFEGITNEGVFFAVKEVYLDDQGKYDDAQQCIFQLQQEIALLSRLQHNNIVQYYGTDKEDSKLYVFLELMSQGSLASLYQKYRLRNSHVSRYTKQILNGLTYLHNRNIVHRDVKCGNILVHRNGSVKLADFGLAKQINKFSMLKSCEGSVYWMAPEVVNPKRTYGTAADIWSLGCTVLEMLTRQLPYPNLEWAQALFKIGRGEPPAIPKYLSKEARDFISQCLRPYPDDRPSASKLLDHPFVNRSVRSIMSVMTS >ORUFI04G09110.1 pep chromosome:OR_W1943:4:12563672:12574891:-1 gene:ORUFI04G09110 transcript:ORUFI04G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIPLPFFFSHGVVHARIGHRPIQPSVGLLSAGAPAKVSFRRQSSAHRHAAHVVSSESITPVKEVSSFEPSVWGDFFINYDPKPLQRSEDWMMEKADKLKQDVRILFETHNDTAKKMHLVDAVQRLGIDHLFQDEINNAISDIKESEFTSSSLHEVALHFRLLREHGIWVSPDVFEKFKGEDGRFINTIADEPRALLSLYNAAHLLVHDEPELEEAMSFARHHLESMRDGSRLKAPLDNQINRALHLPLPRTYKRVEMLHYMLEYGQEEECIVVLLDLAKLEFNLLQHVHLKELKAFSQWWKDLYGYVELSHVRDRAVESYLWSYALFYEENLTLTRMILAKIIVFIVLMDDTYDDHATIEECRKLNEAIQRWDESAISLLPEYMKKFYRALLNYFRETEAQVEASDKYRVTCMKKEFQNLSTYYLQEFEWLHQNYKPAFKERVALSTLSSTVPLLCVTAAVGQGDAVTKESFELTTVRSSAVIACAKIMRFMNDIAAFKSGRKNKGDAANTVECYINEHKVTSEVALDKIESMIESEWRTLNQVRCDHQKQFHVVQRVMNLAVAVPFFYDKKKDAYTFSKYHQGNVGNLFVNPVTL >ORUFI04G09120.1 pep chromosome:OR_W1943:4:12578598:12578972:-1 gene:ORUFI04G09120 transcript:ORUFI04G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLWVRRRRATAGMGGEGGGEEAAHPAAAPCPTCADPVAVERIQAWMRAAQRRMRSGSCTGDGERGCDRREARLRWIGPPLRPHAHDVTSAAASSDSDGYGGTAAATSTTRRRRCPEQVPIKI >ORUFI04G09130.1 pep chromosome:OR_W1943:4:12603312:12605143:1 gene:ORUFI04G09130 transcript:ORUFI04G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRRRCIVESHEEYLSEEHIAEENAPKQLATPSEEETICSDVQEGNEDTDDDVGEVNEDTDDDASMNAGEGNEESDDDVKINVRDENGTIETRGKTKLKDIWNLPKGLRIVVQCNDLNQAVGDEAGILSKFLGMNKGNMNQNDHMQQGKNNSNAYKKQQCNIVDIMDANSLEIGTNVFLKSWKNRNKNVVVASIVSCDPTRKVAGIELGTEYLMVHVHFPLAKYEELIRPYKGYKIIGNVVRLDIAWPAIFVDKINGS >ORUFI04G09140.1 pep chromosome:OR_W1943:4:12629242:12632222:1 gene:ORUFI04G09140 transcript:ORUFI04G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDAEEDIIPTCRFQPENMEKNTVIFERVSVMATRKGCTASQLALAWVHHQGSDVCPIPGTSTTKGGQLRPERGGAGRAPRGGGDGRARVVGGGGRAARGQVPRQLPHHLEGLRDTAIVVCYGPTDSTSRYQMKSNSRINGGTIGNELKPSPSHK >ORUFI04G09150.1 pep chromosome:OR_W1943:4:12643523:12644325:1 gene:ORUFI04G09150 transcript:ORUFI04G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALVGEGDGGGCRWSGGQGVDTGGWGMEAAVAGSTYQNPVEAGSSSGCWVGVGRRRGRIREAGGAVDGGGRLSSSSQPPSKSSSSLSQLPPPWPLAPARLSPPPPLPRAETGRSAAARAAAASPPAGSGGGEGATASLPPPSVRPPDLADRRRSHHPPLPPTLPPSTAVAPPRRPPPPRLPSRASPLALAGSGGMAPLPLPGRRWRRRYMQRYGEREREVKRNGERERRQPYLFRVWAPPGEEISPPARLGKVGDGFEYI >ORUFI04G09160.1 pep chromosome:OR_W1943:4:12645039:12651956:-1 gene:ORUFI04G09160 transcript:ORUFI04G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIPLPFFFSHGVVHARIGHPPIWPPVGLLSAGAPAKVSFRRQSNAHRHAAQVVSTESITPAKEVSSFEPSVWGDFFINYDTKPLQRSEDWMMEKADKLKQDVRILFETHNDTAKKMHLVDAVQRLGIDHLFQDEINNVISDIKESEFTSSSLHEVALHFRLLREHGIWVSPDVFEKFKGEDGRFINTIADEPRALLSLYNAAHLLVHDEPELEEAMSFARHHLESMRDGSRLKAPLDNQINRALHLPLPITYKRVEMLHYMLEYGQEEECIVVLLDLAKLEFNLLQHVHLKELKAFSQYQFQNLSTYYLQEFEWLHQNYKPGFKERVALSTLSISVAVLCVTATVGRGDAVTKESFELATGRTSAVIACAKIMRFMNDIAAFKSGRKNKGDAANTVECYMNENKVTSEVALDKIESMIESEWRTLNQVRCDHHQQFPVVQRLLNLAVSVPFFYDKKKDAYTFSRYIQEIVGDLFVNPVPL >ORUFI04G09170.1 pep chromosome:OR_W1943:4:12656620:12656973:1 gene:ORUFI04G09170 transcript:ORUFI04G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHTEDKAIGQGDQRLADGSKNGHREAKGQKMGVEMCGLSPEEVAESSLGGERRQKIPRPDMDDK >ORUFI04G09180.1 pep chromosome:OR_W1943:4:12663451:12663672:1 gene:ORUFI04G09180 transcript:ORUFI04G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVSEASMGHGMNYALKRSIEDSGNQISPKTSVPATAESKLWDVFGEPVLGDFGCEIRACAEQATVQYASTG >ORUFI04G09190.1 pep chromosome:OR_W1943:4:12677496:12683000:-1 gene:ORUFI04G09190 transcript:ORUFI04G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVPYVLRVRPNRASFRRSRTALRGRASIVGTPVGIPSGEDEIIAAAGKEASGFEPSRSEGWMVERAEKLKDDVRTMFETCDSTEGRLQLVDAIQHLGIDHLFKEEIEYSLSEINASEFISSSLHDVALRFRLLRQHGFHVSPDVFNKFKGDDGRFVSGITNDPRGLLSLYNAAHLLTHDEPELEEAISFATQHLASLSSGTDLNPHLIDQINRALDVPLPRTYRRMETLCYMPEYRQEEGHIPILLELAMLDFNLLQHVHLKELKAISEWWKDLYGYMGLSYIRDRVVESYVWSYVVFYEEDSALARMIFTKIIAFIILMDDTYDSYATIQECRKLNEAIQRWDESATAFLPEYIKNFYSALLKTFKEFEIHVEDDGQYRIDHTKKAFQNLSAYYLQEAEWSYQNYKPSFEEQVALSTVTSTVPLLCVSTTVGRGDALTNEAFKWAANDIGAKIACAKITRFMNDIAAFKRGRKNRGDVVSTVECYMNENKVTSEGAFTKIDLMIEDEWRTINRALCEHRELLPAVQQVLNLAICATFFYGKRKDAYTFSTHLQETVESLFVRPVSI >ORUFI04G09200.1 pep chromosome:OR_W1943:4:12693189:12694580:1 gene:ORUFI04G09200 transcript:ORUFI04G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWCPGWAVVSPAWRRPEGGLATSGKEAETARRMGWPCNVGEGGRGGEEDGGRPAQRRSRPTVWRSQSSAGQRRRSGAGWRGGRQRYLLGSAETAAMREVEAGGWEEGTLRPRWVMGRLQRRRSHGSRRRPWASCCHLARIWHPAGSSGDGCGAASMGTRRWLGWCGGGDEEPAMEAVMDRERGGACEAAAVV >ORUFI04G09210.1 pep chromosome:OR_W1943:4:12697928:12698624:-1 gene:ORUFI04G09210 transcript:ORUFI04G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSGAGACPLAALPQRRPRRLLLIFRVASATAGGGGLPLRVSNDRRRGGLSAHVGDDRRWRRTSRTASATAGETMALYESPGP >ORUFI04G09220.1 pep chromosome:OR_W1943:4:12704427:12714125:-1 gene:ORUFI04G09220 transcript:ORUFI04G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQTAAPRPMFFAAHVGVVSTVKISPCPSTIRPNRSRACRVRAAESLSRPSIASGDPNAPEKLFYFEPSVWRSDEWKRHKADKLKENVRKLLWTSNDVVAKMNLVDAIQRLGIGHLFEDEISCILSDIQKSEFTSSSLHEVALRFRLLREHGLWVSPDVFNKFKADDGKFIDEIANEPRDLLSLYNAADLLVHDEPELEEAISFSRYHLKTMMQHNNLKQPLFDQVSRALHLPLPRTYKRVETLHYFLEYGQEEGHIPILLDLAKLDFNILQRVHFKELKAISEWWKDLYKYIGLTYIRDRAVESYIWSHTILFGEGLALTRMICAKIIILLVIMDDTYDAHATIEESRKLNEAIQRWDESAIPLVPEYLKKFYIKLLNNFKEIEDQVMDNEKYQVAYAKKEFQKLSHYYLQEAEWLHQNHKPSFQEQVDLSTKTSTAHLMFVSTTIGLGDAVTKEALEWAESSTAIVAVGKIMRFMNDIAAFKHGKNKGDVTSTMECYMNEHKVTSDVAFMKLSSLIEHEYRTINQARFDLHKSLPAAQRVVILAVVSLMFFYDNRKDVYTLCSDLQETIRSLYVEHAPM >ORUFI04G09230.1 pep chromosome:OR_W1943:4:12746031:12759434:-1 gene:ORUFI04G09230 transcript:ORUFI04G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCIASRPPSSPVASPPWPERILNPLFCKKEKGADSNPVELPMRNNINSPHQYDEHQPTGPHKIQQSEPSPGWVS >ORUFI04G09240.1 pep chromosome:OR_W1943:4:12773019:12776865:-1 gene:ORUFI04G09240 transcript:ORUFI04G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDTPTHEETLSFEPSRSEGWMQERAEKLRGQIRTLFGTCHDMSARMNLVDSVQHLGINHLFQEEIEDALTSIHGSEFRSSSLYEVALRFRLLREHGFWVSPDAFNKFKGDDGKFRNDITNDPKGLLSLYNAAHLLIHGEPELEEAISFARKHLELMSQDSVLNPPLAEQVKRALSLPLPRTSKRVETICYMSEYEREAGNIPILLELAKLDFNLLQHIHLEELKAISEWWKDLCGYMELSYVRDRVIEAYTWSYMSFYEESFAWTRMFLAKIIVLTTVMDDTYDTHATIEESRLLNTAIQRWDKSATSILPEYLKKYYNKLLTNFEEFEDQVTDNEKYQVSYTKQEFQKQSTYYLQEAEWSNQKHKPSFKDQVAMSTKSSAVHLMCVASMVGWGNAMATEAFEWTACGNDAVIACAKIGRFMNDIAGFKRGKNKGDAASSVECYMNENGVTSDVAFAKIDSLVEDEWRTTNQSRLEHRTLLPIVQRVVNQTVSMALFYDDRKDAYTFGTILTEIIKSLFVRPAPI >ORUFI04G09250.1 pep chromosome:OR_W1943:4:12805102:12809626:-1 gene:ORUFI04G09250 transcript:ORUFI04G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETTKDHEDHQEWQIPPERSETCMQERAEKLKVDIRILFGTCNDISARMNLVDSIQHLGIVHLFQEQIEDALMSIHESEFTSSSLYEVALRFRLLREHGFWVPPDAFNKFKGDDGRFRNEIANDPRGLLSLYNAAHLLIHGEPELEEAISFAREHLKLMSQDNVLNPPLACQVRRALTLPLPRTFKRVETICYMLEYQLEEGNIPILLDLARLDFNLLQHIHLKELKAISEWWKDLYGYMGLSYIRDRTIEGYTWSYMMFYEEGFAFTRMFVAKLIALVTVMDDTYDAHATIEECHQLNTAIQRWDKSAISILPEYLKKYYSKLLINFKEFEDQVTDNEKYMVACTKEEFQKQSTYYLQEAEWSNQKYKPGFKDQVVLSTKSSAVQLLCVAAMVGWGGTMTTEAFEWVARGNAAVIACAKIGRFMNDIAAFKRGKNKRDVASSVECYMNENGVTSEAAFAKINALVEDEWRSTNQTRLEHRTLLPMVQRIVNFTVSMALFYDDKKDAYTFGTLLREIVESLFVKPVPI >ORUFI04G09260.1 pep chromosome:OR_W1943:4:12822363:12822779:-1 gene:ORUFI04G09260 transcript:ORUFI04G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDGPFPRQAIPVEPIRLARAADPAGGFSHGTSAGGGGKRADGGFGCGDGRPAARWLRERSGQRIQPRRLILQPPPLSRRCSSSGPPDDRGSAGGNCGSADGDNCNRGSHSDICSELPPLPSQLDDSKRRLGIGDL >ORUFI04G09270.1 pep chromosome:OR_W1943:4:12832568:12835957:-1 gene:ORUFI04G09270 transcript:ORUFI04G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRADNLREKVRTLFRTSGDVVARMKLVDSIQHLGVGHLFNEEISTTLSDIHASEFISSSLYEVALRFRLLREHGLWVSPATFNIFKDDDGRFINEIADEPSSLLSLYNAAYLLVHDEPELEEAISFSRHHLKSMMQCGNLKHPLADQVKRALHLPLPRTYKRVETLHYLSEYGQEEGHISFLLDLAKVDFNILQGVHLKELKAISEWWKDLTGYVGLSYLRDRLVESYTWSQMLFYEEGLALTRIIFTKIIVLMVIMDDTYDSHATIQECRKLNEAIQRWDESALFLLPEYLKKFYNELLNNFKEFEDQVAINDKYRVAYAKKEFQKLSHYFLQEAEWSHNNYKPSFEEQVALSTKTSTVQLLCVSTTVGRGDAISSEAFQWAASSSTVTSCAKILRFMNDIASFKCGKNKGDMVSTVECYMNEHKVISEVAFAKLDSLIEDEWRTMNHARYEHHQLLPVVQRVLNMAISIMFFYDKRKDAYTFSTHLQEIVRSLFVNPIPI >ORUFI04G09280.1 pep chromosome:OR_W1943:4:12845097:12850060:1 gene:ORUFI04G09280 transcript:ORUFI04G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVSNMGSAGKCAPSLAPTHVGLGMMGKIAVSPSSVRPRPRAMASHDAIAPEKTTNYEPSVWGDFFINYRPQPLQRSEEWMRQRAKRLKMNVRTLFWTSNDVVVRMNLVDAVQRLGIGHLFKDEIRRTLNDIHQSEFTSSSLHEVALRFRLLREHGLWVSPVTFNKFKGDDGRFMNGIADEPRGLLSLYNAAYLLVHDEPELEEAISFSRYHLKSMMQGNNLKHPLSDQVKRALNTPLPRTSKRTETLHYLSEYGQEEGHMSILLDLAKVEFNLLQGVHLKELKAISEWWRDLNEHVELSYLRDRVVESYTCSHMLFYEEGLAFTRITFTKIIVLIIMMDDTYDSHATIQECRKLNEAIQRWNESAVSVLPEYLKIFYHKLLNNFKEFENQVVVSEKYRVAHAKKEFQILSHYFLQEAEWSHNNYKPSFEEQLALSTKTSTVQLLCVSTTVGRGDAITNEAFMWAASSTTVTSCAKIMRFMNDIASFERGKNKGEIASTVECYMNEHNIISEVAFAKLDSLVEDEWRTINQARCEHHQLLPVVQRVVNLAICIMFFYDKRKDAYTFSTHLQEIVRNLFINPITM >ORUFI04G09290.1 pep chromosome:OR_W1943:4:12851185:12853856:-1 gene:ORUFI04G09290 transcript:ORUFI04G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTSGGWRLAIARRAGGVGRGGTRRGAGVQGSRPATRRDLDEVSISMILKFEIIFRLHNRIAFVLEFGVNVTTGMRASGLQDPVALVSIGGWSPNQGAPQLAIILNPSFLRRRWGLCPGWPYWVAAMGLSDLTLSTELEPMGLSSTEQGNRQVEPRGKSMGTAIDSEPTAIDLFNELHCSKLKEDMHAREALTSSSGPPSTNDSIWTGNEVAELGI >ORUFI04G09290.2 pep chromosome:OR_W1943:4:12851185:12853856:-1 gene:ORUFI04G09290 transcript:ORUFI04G09290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTSGGWRLAIARRAGGVGRGGTRRGAGVQGSRPATRRDLDEECDYRNEGLGTARSGGTGTLIGSRQVEPRGKSMGTAIDSEPTAIDLFNELHCSKLKEDMHAREALTSSSGPPSTNDSIWTGNEVAELGI >ORUFI04G09300.1 pep chromosome:OR_W1943:4:12867362:12871952:1 gene:ORUFI04G09300 transcript:ORUFI04G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQAKKPRSPLMMLIAKKPLLLLLLLLVLLVLEPRSSAEANLVGVNGGETDERRLESLVDDELPFSQLGLGFPTTVVAGGSENDVEEVVQAWYMDDDDNAEEDQRLPHRHQPDDLLPLAKLLDLGLVAMRLDADNHEHDENLKIMREQRGYLHMDIVELTPEKMPNYEVMIKRFFEEHLHTDEEVRYCLDGSGYFDVRDENDKWVRVSVRKGALIVVPAGIYHRFTLDTNNYIKMGPGGLLFGFLQTMRLFSGGPDWTAYNRPHDHLPERKKYLEALHNRTPRFGQLHRIRSKME >ORUFI04G09310.1 pep chromosome:OR_W1943:4:12872968:12874815:-1 gene:ORUFI04G09310 transcript:ORUFI04G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEGGGMEDYAAWVAATIFCRNNSDYAPENPDGPPPPTRTLRCTYRRGGGEDRDSLCVLHQLDDCDDVGALGDEGWVWPRKAMGSVVEVVVPSMREHGVGAYLGYVRWRRMVVAYAIMMYMRFFVEQYYGE >ORUFI04G09320.1 pep chromosome:OR_W1943:4:12874919:12882069:1 gene:ORUFI04G09320 transcript:ORUFI04G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMARARARRLLPLLTFVTLGMILGSLLQLAFFRRIDDHSNVTHLENDQEAAFLRLGLVKPEVISWSPRIIVFHNFLSSEECDYLRSIARPRLQISTVVDVATGKGVKSNVRTSSGMFVSSEERKLPVIQSIEKRISVYSQIPEENGELIQVLRYEPSQYYRPHHDYFSDTFNIKRGGQRVATMLMYLTDGVEGGETHFPQAGDGECSCGGKMVKGLCVKPNKGDAVLFWSMGLDGETDSNSIHGGCPVLEGEKWSATKWMRQKEFV >ORUFI04G09330.1 pep chromosome:OR_W1943:4:12883472:12885294:1 gene:ORUFI04G09330 transcript:ORUFI04G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKSD >ORUFI04G09340.1 pep chromosome:OR_W1943:4:12888767:12889458:1 gene:ORUFI04G09340 transcript:ORUFI04G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVQANILRSQGGIRQTIKAAYMTIWLDDFDSIVNSIWMKQGIIATILRSKGGIHQTIKIAYMNLCFDEFNSSTNSIRKEHEIQV >ORUFI04G09350.1 pep chromosome:OR_W1943:4:12889782:12889968:1 gene:ORUFI04G09350 transcript:ORUFI04G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATTQWPVIPIAPTHERRAWMRHHSSLRSFLCPHHAGSAHWFLVAGSRLPFATAATG >ORUFI04G09360.1 pep chromosome:OR_W1943:4:12892836:12893609:1 gene:ORUFI04G09360 transcript:ORUFI04G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQSWPEDNNGSSATFTFFRDKPRWSRQGMLLTYTVSPTATDDHLAKEGIRGTIKIVYMNIWFDEFDSSANSIRMEHGIKGKRQWMWHGMLLTYAMSPMAINDQLTRDVVREASCSSMFRQLYLEANEAYAKPSRLHT >ORUFI04G09370.1 pep chromosome:OR_W1943:4:12894082:12896574:1 gene:ORUFI04G09370 transcript:ORUFI04G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHLKGTILRSKGGICQTIKIAQINVWFNEFDSSDNSIRMEHGIHGNPWWTRQGILLAYAVSLTATDHHLTRDVVREASLSSMFRQLYLEAKEAYAKPSRFHT >ORUFI04G09380.1 pep chromosome:OR_W1943:4:12904005:12904658:1 gene:ORUFI04G09380 transcript:ORUFI04G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREERGRLARRWKARATACLAAPEASLPLDSLRREPRRRHLTSPCQNPAAAACLRRLRTPATAACLRRAGNPRPPPVSPHKGAGRPAAAAPPAIDSRYCAVIAHARDLLPPHRSAGWVGDGREWRWRRCSTERLRETRRPNREDEWEAVGVKCWSKRLFD >ORUFI04G09390.1 pep chromosome:OR_W1943:4:12907938:12908801:1 gene:ORUFI04G09390 transcript:ORUFI04G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPQIALPEGVMVWAPVDLILHAEMGGGVKLSRGRAPPELGWDGAPTAATDTVLACHHRAQLRAGSLASVPTTGRRHDAVLLLSHLAPSKPATPAPWSSARLLPCFPTAGRRGAILLLSRLAPSTPTPPVDTRARPHLPIDDGGDGGAALPVTPLSLKAATAPPEYEMPSMKEWIVSRVLTLVSMVALHHHHSHQIKATTLTWLIVKATPPPRDGAKKLAAAAYSPLLLSPSVWQASAVAAAVVGALSPPNSGGACPLPSFAPPPVLAPSLPAEEEKREEKGGNRR >ORUFI04G09400.1 pep chromosome:OR_W1943:4:12908958:12912066:1 gene:ORUFI04G09400 transcript:ORUFI04G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVVGLGETAKGSGGGGGGGEVTLETLRRKMSEFARERDWEQFHSPRNLLLALVGEVGELSEVFQWKGEVPKGLPGWGEREVEHLGEELADVLLYLIRLSDMCDVDLGKAALRKMELNARKYPIGQCRGSSKKHTHYSTTTTTTDNGASGDDNNRNAGAGADADAGKEQC >ORUFI04G09410.1 pep chromosome:OR_W1943:4:12919956:12923654:-1 gene:ORUFI04G09410 transcript:ORUFI04G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGDHGGGGGGRGAARATQLKVLVPSSFRKMRICDELAAQLGVGVGGGGAPRAATARVASPLGKAWDVGVVRDGDGRAFLGRGWAEFAAAHGLGVGWFVVLRHGGGGGGGVLAVEAFDTTCCLRVFGAPPAEAGRATDTSRKPQFLTVLLPGIMDKMRIPDKFVRDYITGENLNSNMAIILSPLGKSWRVELDKDQSGVFLGGGWLQLLSFHGISRGDVVIFRYEGNLVFKISVFGPNGRQKDFKAKGISIHQGTGEQQEAPSFSRRKCNNKKKSRFGEDDGNQQEMPCSRKGSGNKGRTSDRETKRMRKTRSVYEIGPRSWIKKEINEYVLERCILSLARTFCESIGLAEESSITLMMVDTTSTQGDQGGSSSSSRSWEVTGRRYKDACYLLGAGWRRFCEDNGVRSGDVCVFTVLDTTLWRVDIERC >ORUFI04G09420.1 pep chromosome:OR_W1943:4:12930646:12931965:-1 gene:ORUFI04G09420 transcript:ORUFI04G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWRLALAAAMCGALILSSSSSAAAAGKKTGRITVYWGQTAAEGRLREACGSGLYTTVIVSFLTGFGGGRYKLDLAGHDRGAVGPDVKYCQSRGVLVLLSIGGGIGRYSLASKADAKAVADHLWDFYLGGRSKSRPFGDAVLDGIDFDIELGRPAHYDDLARYLKAYSGRKPGGKKVWLTAAPQCPFPDRMLGEALRTGVFDRVHVQFYNNPACSYRASNAAAFAAAWRKWASSLPRSSVYLGLPAAPGAANSGYVPPAALAGEALPIVQRSRNYGGVMLWSRYWDRRTGYSKKIKHAV >ORUFI04G09430.1 pep chromosome:OR_W1943:4:12940883:12944243:1 gene:ORUFI04G09430 transcript:ORUFI04G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDHAGAGAAVGAAKAMQLKVLMPSSFHKMRISDELAADLLGERGDGGGGGGGAPRRAARVVSPVGKVWDVEVGRDDDGDGGGAFLGRGWAEFAAAHGLGMGWFVVVRHEGGGVLTVKLFDTTCCLWDFGARPAGNPKDYLHAKFKFIILVFVLFGEIRRIPAKFVQHYIAEEHLNIHMASILSPLGKFWRIELEKDELGMFFKGGWLQFLSFHGISPGDVVLLRHEGNLVFKIKVFGINGCKKDLKTKDDITIQQSARNQHETPSFSTRKCNKNSRFGEDCKNQLQEIPCSIKGSRKKGRETKRPKKSKSIYEIGPPSWIKKEISNYMLENGNISLPGIFCKSIGLVEETTITLMINSSRGRSSSSSSRSWEVACSVNKNGYGCCNLLPSGWKRFCQANGLLVGDVCTFSVVEATLWHVAIDRVERS >ORUFI04G09440.1 pep chromosome:OR_W1943:4:12946435:12953213:1 gene:ORUFI04G09440 transcript:ORUFI04G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSSAADGLLAMAEEAERRRDLGAAARCLEAALAPPPPASAASAAAAPLLPLAEARARLRLAALLLRSRSGGLAAAKSHLERALLLLSPLPSAPPRLKLLAHSLLATAYGVLGAVPSQKNVLRRGLGLLASASASGLLARGPALLWTCNFQTQLASALAVDGDAASALSTLSAGASAAAELGSPQLELFFAATGLHVHLLCWEDNAAVEAAKEQWTGLFFYTELLQTFYLLRTCDYKAASQHVERLDTAAKDEMQKGQRVKELAAELGTVERTLAQPGLKERERSALTHKQRQLKYQLQTLCGYDKLNDVLDYGDKLLLAPPPMHGEWLPRAAVFVLVDLMVVMVSRPKGIFKECGKRIHSGLELIRGELSKLGIVDSVTEANLEHSTIWTAGLYLMLLLQFLENKVAVELTRSEFVEAQEALAQMINWFTRFPTILRGCENTIEMLRGQYAHSVGCFDEAAFHFLEAARLTDSRSMQSMCQVYASVSYICMGDAESTSQALELVGPAYRTMDSFVGVREKTCIIFVYGLLLMRQQNPQEARLRLAGGLRIAHQQLGNIQLVSQYLTILGTLALQLHDCGQAREILKSSLTLAKTLYDIPTQIWILSVFTELYRELKERENEMENSEYERKKEDDLQRRLSEAHSSPFHQELVEKTRIQVQQLHNFSREQQGMPGPTTAKADLDIPESVGLYAAQPSSVKRLIEPSSVRRSSRRRLS >ORUFI04G09440.2 pep chromosome:OR_W1943:4:12946435:12953314:1 gene:ORUFI04G09440 transcript:ORUFI04G09440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSSAADGLLAMAEEAERRRDLGAAARCLEAALAPPPPASAASAAAAPLLPLAEARARLRLAALLLRSRSGGLAAAKSHLERALLLLSPLPSAPPRLKLLAHSLLATAYGVLGAVPSQKNVLRRGLGLLASASASGLLARGPALLWTCNFQTQLASALAVDGDAASALSTLSAGASAAAELGSPQLELFFAATGLHVHLLCWEDNAAVEAAKEQWTGLFFYTELLQTFYLLRTCDYKAASQHVERLDTAAKDEMQKGQRVKELAAELGTVERTLAQPGLKERERSALTHKQRQLKYQLQTLCGYDKLNDVLDYGDKLLLAPPPMHGEWLPRAAVFVLVDLMVVMVSRPKGIFKECGKRIHSGLELIRGELSKLGIVDSVTEANLEHSTIWTAGLYLMLLLQFLENKVAVELTRSEFVEAQEALAQMINWFTRFPTILRGCENTIEMLRGQYAHSVGCFDEAAFHFLEAARLTDSRSMQSMCQVYASVSYICMGDAESTSQALELVGPAYRTMDSFVGVREKTCIIFVYGLLLMRQQNPQEARLRLAGGLRIAHQQLGNIQLVSQYLTILGTLALQLHDCGQAREILKSSLTLAKTLYDIPTQIWILSVFTELYRELKERENEMENSEYERKKEDDLQRRLSEAHSSPFHQELVEKTRIQVQQLHNFSREQQGMPGPTTAKADLDIPESVGLYAAQPSSVKRLIEPSSVRRSSRRRLS >ORUFI04G09450.1 pep chromosome:OR_W1943:4:12959757:12964773:-1 gene:ORUFI04G09450 transcript:ORUFI04G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMRNLAFGQIRLIEPLDGSNYAKWKADVLLNLGILDYDYAIREDRHEEPFSVEHNYEEKLKLYHEKTNEWEKSNRISLMYIKSAISNVIIGGIENSDDVKTYLENIDRNFRSSSKSYASSIIKRLTENVRLFRDSVGGDRKAAITPQVVAGDLFGIKLASLLLQLPLLPPH >ORUFI04G09460.1 pep chromosome:OR_W1943:4:12965106:12966276:-1 gene:ORUFI04G09460 transcript:ORUFI04G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPRSSSPRKFPKTLTDQIERWRENVRRFRDSVGGDRKAAATLQVVAGDLFGIKSASLLLQLPLLPPHRQARRWFEVLNPLLPLRLTTKGELHPWLQTTFIVIYEFRIRVIKQITYRHHDPRCRRRVWHQLARALGGVLAVVLDRPRACRPWSREVEAHRRGPGCVQRRTGTAGAGTALDRAPAAWGAAGRGPFRRASSPCRPAAVAAAPYRRRLPACSATSRVRHRLACAAASRAVAAPPRKRRRHREITAVAAIFGQKKGDREMKY >ORUFI04G09470.1 pep chromosome:OR_W1943:4:12972003:12972417:1 gene:ORUFI04G09470 transcript:ORUFI04G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRNAQDTGDVDRFGPLRSVIPYSCVLVDLKTKSTRARALESEREFRLSDPVASLRPPLPFLPLFLGLGLLFFYLVRGHHTGLSLPKKRNMLFTMRANPQPEMTTDKQYTRLLLRSSALPVDARFNLHSIASD >ORUFI04G09480.1 pep chromosome:OR_W1943:4:12975567:12978792:-1 gene:ORUFI04G09480 transcript:ORUFI04G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGKPLRKFTGAFQALAQKVGPGSPPMTTKDFTKACSELQSLFHLMGDEAEFWYDEYVPKVEQMQSKSRDAATLEELVEQDMANNSVKAADSNTTILLRLKRALEVVKVLFEQLLKGRGVEFQSAATTAYMVVFAAHHEKLIQNIVIEAIQSLPTRAWLMSKINEEEGDVLIEIKKYVDASEVVINYIDDIFASKGIEMDW >ORUFI04G09490.1 pep chromosome:OR_W1943:4:12983638:12986547:1 gene:ORUFI04G09490 transcript:ORUFI04G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGAEVAAVGAALSCCFKCCCSQFEQYVVEADKYVSDLQSEVSKLSAMGRDVQSRVAARARPPVSGMGSVDNWLKRSAAIDKEAKRVSDDYAAMCLPRLNFWSRYSIGRRASRKLHKARQLVQQRESLEDALAASSSMTRSRGRYEAVQERQIETMVVGMDPYLNQALRHIDGDEVGVIGICGMGGVGKTTLLRKILGEFLPGKERNKDFHKVIWAVVYKKSTATVDAMDNDIARLQNDIARELGLPPLGKMPADDDDCSKQVLQQRAQPIHEYLSTRNFLLLLDDLWSPLELKSIGIPDLNSTCGGGVSRLKHKVVLTSRSEAVCGQMKAAPGLIDVQCLNDDDAWSLFEFNATKQTIESHTAIGRLARQVMSECQGLPLALNTIGRALSTKSGDPKPWKEAYEKLRNARHSEITGMEKDSAAMLHRIKISYDYLPSQMVKDCFLSCSLWPEDCYIEKAKLIECWLGLGFIAGSFGIDDDMDIGMNIITSLNEAHLLDPADDDSTKVRMHDMIRAMSLWISSDCGETRNKWLVKAGIGIKTEQRVAEQWHKSSPDTERVSLMENLMEGLPAELPRRERLKVLMLQRNSSLQVVPGSFLLCAPLLTYLDLSNTIIKEVPAEIGELHDLQYLNLSESYIEKLPTELSSLTQLRHLLMSATRVLGSIPFGILSKLGRLEILDMFESKYSSWGGDGNDTLARIDEFDVRETFLKWLGITLSSVEALQQLARRRIFSTRRLCLKRISSPPSLHLLPSGLSELLGDLDMLESLQEFLVMNCTSLQQVIIDGGSDGDRSSSSSGYCLPALESLQLLSLNKLEQIQFQRMAAGDFFPRLRSLKIINCQKLRNVNWALYLPHLLQLELQFCGAMETLIDDTANEIVQDDHTFPLLKMLTIHSLKRLTSLCSSRSINFPALEVVSITQCSKLTQLGIRPQGKLREIRGGEEWWRGLQWEEASIQEQLQPFFRFLGR >ORUFI04G09500.1 pep chromosome:OR_W1943:4:12990532:12993374:-1 gene:ORUFI04G09500 transcript:ORUFI04G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGKALRKFSGAFQALAQKVGPRSPPMTVKDFTKACSELQSLIHLMGDETSIWFADYGRKVEQIQSRSRGAATLKELVEQDMANNTVKAADSNTTILLRLMRALQVVKVLFEQLLKGRGVEFQSAATTAYMVVFGAYHKEPIQNMVKRAISSLPTRAWLMNKINEEEGDIFIEMRKYVDASAAVINYIEELFTSNGLEMNW >ORUFI04G09510.1 pep chromosome:OR_W1943:4:13000913:13001258:-1 gene:ORUFI04G09510 transcript:ORUFI04G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGGARAVRRAEGGRRFECAAHGDYVVLALCGPVAQAPTSAFVFDSRCDEWRWTPSCLYIVVVHHGGVGRTGGRRGGDDTTTVRRQSGQEEWESSHMSTHSVCGHTEGGT >ORUFI04G09520.1 pep chromosome:OR_W1943:4:13002802:13005705:-1 gene:ORUFI04G09520 transcript:ORUFI04G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFGKAAVTETAPTIIGCFAKELDLLVNAGHNVEDMTDALSQLQASRDDLQNAMSNSHQQTPPELVSNWFERVQEVEDKAEKIQKDYSDRCRCMGSFSPNIFSSYAISRRAVQRHQKVKDLLQEYNTVKNLTSEYCPPASCIPKSVPTPIIGKGSYMTQVLAWIRDEDTRIISICGMAGVGKSELLRDINNRFLPGAEMGQAFKLVIWVDNASSSSDVKSVQDEIARRLKLDDLGDWEIDAEAPERRATPILSFLKDKSFLVLLDNLERPVSLADIGIPNPKFRRPCSLRQKVVLTTRFKGVCGRMQSCSRIDVGCLDGKDSWNLFLAAAAAGGEQLVIKDKEIEGFAQQIVRECGGLPIALTRIGGAMATKRHPDDWRRMAAFLESSQIHRIPGMERDNTVLLHDLKKSYDHGLSTPTDRECFLCCALWPRGRSINKADLIDCWIGLGLIREPSLDDAVQKGFSMISCMLEENLLMPGCNARDEVKLQEIVRDMALWIACDCGSRDNKWLVQAGVNLGAQTKLIELCQRAGAAERVSLMCNAIRELPRPHFLSSTCPALTVLMLQHNPAFTHIPAAFLRSAPALAYLDLSHTAIEQLPEDIGTLVNLQYLNASFTPLKMLPVGLRNLGRLRQLFLRHTNHLSAIPKGVLRCLTSLQAIDMYPSRYMDWTDDGDAASTEGEGNEGIASFEQMGSLMSTVFVQFLGITVNAIGTVQRLGRLINVCTRRLLLTRFDSPQHVTLCPSQFKAAMSSFSMLETLMELGIAECPTLEQLVLDGEEDESNRGPRNQSWCLPKLEALELRGLAKLEAVIWRSMSISFFLPALQRVKIENCGGLRSVGWAMRLPCLQHLELRGCTSMRSVICDEDLEPPQDGGEGQLLHTFPNLVTLILVNLTELRSFCSRPQVSLPWLEVIEVGCCVNLRRLHVMPQGRLREIRGTMEWWHGLEWDDDTVQASLHPYFINKSVE >ORUFI04G09530.1 pep chromosome:OR_W1943:4:13013664:13014376:-1 gene:ORUFI04G09530 transcript:ORUFI04G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDNTVAVDGGGRRQLRRRHYFGPRCRTLGSTLTSKEMMEWLLLRNVCATSSSSLYHTATSFHDSIVDYCSPPGCPLQYKLQDIDRALHPYNKIQQSHQMYFWLNHFFSFLV >ORUFI04G09540.1 pep chromosome:OR_W1943:4:13015775:13022895:1 gene:ORUFI04G09540 transcript:ORUFI04G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGEDTPPPAAARACGAGHRASHSLPTSAGGRVCLSCAAALLSSAASAPSHHVSHALAALSLALADPAFLAPLRAAHPRLLAAPLAEALAAAAARRDAALAAQASDLAADLAAAVGAPAASDLVARVARALSSGSLVKHLHTLHCLGILLNSTKDAAAYIGDKQSLYLNLVNNLRLPSDEIRGEILFVLYKLSLLNATPWDDICDNDNVDLSAIGRSLLQFSLEVLLKTQNDDVRLNCVALLLTLAKKGAFDILLLSDPSLINSAEAEDNVPLNDSLIILFADAVKGSLLSTNIEVQTGTLELIFHFLSSDANIFVLKTLIDQNVADYVFEVLRLSGNNDPLVISSIQVLSILANSEERFKEKLAIAVSTLLPVLHYVSEIPFHPVQSQVLRLVCISIVNCSGILSLSQEEQIACTLSAILRRHGNGELGMSSETFALVCSMLVDILKLPSADDIQKLPSFIVEASKHAISLTFSHEYDCLFLTPHSLLLLKEALIFCLEGNKDQILRKKVLEDSIIETCETYLLPWLESAIVDGNDEETLSGILQIFQIILSRASDNKSFKFAEMLASSSWFSLSFGFMGLFPTDHVKSAVYLVISSIVDKVLGISYGETIRDACIYLPPDPAELMYLLGQCSSEDFNLASCQCAILVILYVCSFYNERLAADNQILASVEQYILLNGAKFPHEIPGSLMLTLLVHLYAFVRGISFRFGIPHSPEAEKTLFHAMAHKEWDLLLIRVHLIALKWLFQNEELMEPLSFHLLNFCKIFCEDRIVTLSSSTQLVDIQMIAELVYSGETCISSLLVSLLSQMIKESAEDEVLSVVNVITEILVTFPCTSDQFMSCGIVDALGSIYLSLCSSRIKSVCSLLIFNILHSASAMTFTCDDDAWLALTMKLLDCFNSSLAYTSNEQEWKILIGILCLILNHSANKVLIEPAKAIILNNCLVLLMDGIVQEACAKGPSLFQHNQETTFGELLILMLLLIFFSLRSLQAILEASIDWQEFLQYSDDTESSSVLGIPCHDLCRLMHFGPSPVKLIASQCLLELLNRISDQRSCLNAELRCSAKYLKSIIAVTEGMVFDQDSRVAENCGACLTVILGWERFGSREKAVIRESKWSRLILEEFAVALTAPGLTSKSFSNQQKIAANIALSLLQLSQVPDWLTSLFSDSLISGIVANLSARNVTAEIVTLFSELMAKNYLNQEHIAGLHNLFQVCRRQAYEGGGGSKAQPSEQKAAAARCADDVRALLFGMMLEQRACSRATVEMEQQRLLREIDSFFFQESSLREQNSVK >ORUFI04G09540.2 pep chromosome:OR_W1943:4:13015775:13022895:1 gene:ORUFI04G09540 transcript:ORUFI04G09540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGEDTPPPAAARACGAGHRASHSLPTSAGGRVCLSCAAALLSSAASAPSHHVSHALAALSLALADPAFLAPLRAAHPRLLAAPLAEALAAAAARRDAALAAQASDLAADLAAAVGAPAASDLVARVARALSSGSLVKHLHTLHCLGILLNSTKDAAAYIGDKQSLYLNLVNNLRLPRLIPLHIDTFLALRITLSDSIINLFWYSDEIRGEILFVLYKLSLLNATPWDDICDNDNVDLSAIGRSLLQFSLEVLLKTQNDDVRLNCVALLLTLAKKGAFDILLLSDPSLINSAEAEDNVPLNDSLIILFADAVKGSLLSTNIEVQTGTLELIFHFLSSDANIFVLKTLIDQNVADYVFEVLRLSGNNDPLVISSIQVLSILANSEERFKEKLAIAVSTLLPVLHYVSEIPFHPVQSQVLRLVCISIVNCSGILSLSQEEQIACTLSAILRRHGNGELGMSSETFALVCSMLVDILKLPSADDIQKLPSFIVEASKHAISLTFSHEYDCLFLTPHSLLLLKEALIFCLEGNKDQILRKKVLEDSIIETCETYLLPWLESAIVDGNDEETLSGILQIFQIILSRASDNKSFKFAEMLASSSWFSLSFGFMGLFPTDHVKSAVYLVISSIVDKVLGISYGETIRDACIYLPPDPAELMYLLGQCSSEDFNLASCQCAILVILYVCSFYNERLAADNQILASVEQYILLNGAKFPHEIPGSLMLTLLVHLYAFVRGISFRFGIPHSPEAEKTLFHAMAHKEWDLLLIRVHLIALKWLFQNEELMEPLSFHLLNFCKIFCEDRIVTLSSSTQLVDIQMIAELVYSGETCISSLLVSLLSQMIKESAEDEVLSVVNVITEILVTFPCTSDQFMSCGIVDALGSIYLSLCSSRIKSVCSLLIFNILHSASAMTFTCDDDAWLALTMKLLDCFNSSLAYTSNEQEWKILIGILCLILNHSANKVLIEPAKAIILNNCLVLLMDGIVQEACAKGPSLFQHNQETTFGELLILMLLLIFFSLRSLQAILEASIDWQEFLQYSDDTESSSVLGIPCHDLCRLMHFGPSPVKLIASQCLLELLNRISDQRSCLNAELRCSAKYLKSIIAVTEGMVFDQDSRVAENCGACLTVILGWERFGSREKAVIRESKWSRLILEEFAVALTAPGLTSKSFSNQQKIAANIALSLLQLSQVPDWLTSLFSDSLISGIVANLSARNVTAEIVTLFSELMAKNYLNQEHIAGLHNLFQVCRRQAYEGGGGSKAQPSEQKAAAARCADDVRALLFGMMLEQRACSRATVEMEQQRLLREIDSFFFQESSLREQNSVK >ORUFI04G09550.1 pep chromosome:OR_W1943:4:13023740:13024090:1 gene:ORUFI04G09550 transcript:ORUFI04G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMLPNPVETAPGQWRGVVVEMELEAVATCGEAKSSSELTKVDLSRAGDSVSFSCPSPPSTSGSLTALKHLTTFLNPLSSAGDGRSWRRHISKGARGNWQWRLLLDYRADRAGHH >ORUFI04G09560.1 pep chromosome:OR_W1943:4:13025204:13037414:1 gene:ORUFI04G09560 transcript:ORUFI04G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFVSTSLHPFSSLLLSPPPASSIFISRKRAVELFDWWLKRVEGDDRKVRIAGHTERNHKPHLFTSAPIVKRHKACMLEAEDSIIVLIDGPLDLSQMENNGYSLEVQKASTSVTALIHDMVFNIEIMYAANLLNNSRSSSGNDADSFEKGSYLSNKKPRFEEYTCDLDISAKEKTTAFNEGRTGSLAVCNKVGNQQIDLVVKSFSKERGHGNIDLSASLTSIEETTRDKTSEDAGNQNEFIHSDAEYQEAGSHLVNSDSIYGMSTESGNQNEFIHADAEHQEVGSHVVNSDSNFDMSTDNMICEMGDGSANAGSAVSQGSKEVLATVLPERANLSPDSCLDNILPISTCNSNNCLENQGFPEIAQHMTLNEEVVPNEDISTSVHSDVESLGNRNIILPSYIHDAEFIFSMFLPLILVVLLMMHIYNRLDNFYLEKQPVGPAEVQRSECDILQGAPRSPKQHVGSAQEQRPEQSMSQGAARSPMIRTPIPDGAPSLRNQHLGSAQEQRSEHFMLKGATRSPMIRTPIPYGHYSPLTRGKAKSSSVSTPESLKLRRTRSGRVVVPTLDPGCQRIVYDRDGLVSGVAGLEFESPPLKGNESRTPESKRRSVHDSSVLRIVCGRQLLGAAFMGNIVNTVNKFSGNNADGVPFRRCSYLPNSTCDENGSSVTRKSASATNGSINGHGTLYKDVGNEEMHLATDSTSKPGCRGDTNHCTNKERETRNVIVHTDSRQNGDATNSGNAVLICNQTAGHMSYGLDGESNRSSGSLAAVVSEVLVSKAPLEKRCRTNLQETGDLENTPNAHVSKRSRLHRVSPANSLFDREACDDLIDSAHNLDCSRTPNASVHDETVPNEDKTPCTSLDVRGCEGTPRASLKRRVNKKRTKREASYPTTPLNGNTGALVVIEPPLTRTKAKGKALSLATPESLKRSTRSGRLIVPRLDPGSQKIIYDMGLIRSRLLRGGKRLGVRPQDTEDCCHSNGEHMVKKKF >ORUFI04G09570.1 pep chromosome:OR_W1943:4:13038823:13041920:-1 gene:ORUFI04G09570 transcript:ORUFI04G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEPRPPAAATRKRKKPHKPTKTLAKNPTNPVSTKAKKKKPPPPSKADQSASAAAAGGVLLSAEMPPARQLEFLLRSFERAAKMRLSPLELDAYSEGCMVPLAEGASQDVEGFGDHVKGAFGSSWKEELCEGELEGGAVDAGSPALLVICSAALRSLELLRGLKMFTKECRPVKLFAKHMKVEEQVALLKTRVNIACGTPSRIKKLIDMEALSLSRVKLVVLDMQRDAKSFTLFTLPQVSNEFWDLYKGYLDQKVRGDTRLCFYGAVSEKDVKKVLPSAE >ORUFI04G09580.1 pep chromosome:OR_W1943:4:13046377:13046799:1 gene:ORUFI04G09580 transcript:ORUFI04G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVHQLLNLSQTFRQEHRLSQIRWRLRPGALALKLASAAAAAARSRRRRRRGEASKHGFLGVHQRTYGRWSAEIRDNVIKVSRLWIGTFDTALEAALAYDAVSRRLYGLNAKTNFAAGEDLPPLPPPPPPMAMRSSVTH >ORUFI04G09590.1 pep chromosome:OR_W1943:4:13049461:13056967:1 gene:ORUFI04G09590 transcript:ORUFI04G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNAEIPFEKRPPPGFYDTVGEDRPLEHVQFPTTIEDLEGKRRVDIEAQLRKQDIARNKILQRQDAPAAIMQANRLNDPEAVTKRSKLMLPPPQISDHELEEIAKMGNAGDPSLVEELGEGSTATRALLSSYSQTPRLGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPELHPSDFSGVTPRKKEMQTPNPMATPLASPGPGATPRIGMTPSRDGSSFGLTPKSTPFRDELRINEEVDMQDTAKLELRRQAELRKSLRSGFASIPQPKNEYQIVMPPITEEEKEESEEKIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPAASIEILRQTLIKGGESRSRSTFVPPTSLEQADELINEELLRLLEHDNAKYPLDEKTQKDKKKGSKRQANGTPSVPEIEDFDEDELKEANSMLEEVQYLRVAMGHESESLEDFVKAHDACQEDLMFFPNNNSYGLASVAGNSDKIAALQYEFEIVKKRMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMDTSATELECFQELQKQEQMAASYRIRNLTEEVNKQKALERTLQSRYGDLLTSYKRIQEQLEEHKRQLMIQEEMEAQKRAQEEEMEAQKRAQAEEERAQEEEMEAHKRAQAEEEKEAAKAEEEARKMDRAADEETAGSKQVNEDQMDVDNSNADGDEFVGPIPPGPGTQGDDNVVVVEENSSSQGGDAATTDDGSCGMIDASKSGGQDHTDSKDELPTVGASLDDGSAAASSDRDVSTEVNATVPE >ORUFI04G09600.1 pep chromosome:OR_W1943:4:13061532:13064579:-1 gene:ORUFI04G09600 transcript:ORUFI04G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAPAPAAGAREDEDAPALRRRLRRLVAAATAGAASESVLDEAAAALAALRDAEVGVGRKGVGGGEEAAAAAVPALFLCPISSGIMRDPVVIESGQTYDRRSIQEWFSAGNQICPQTQQVLSHTIVIPNHLVRTMISQWCTENGLTLPEIENQEQDHVTNSEEKTFDEIFVKITSSANSGGRKQAIKDLRLLTKRNSEFRAVLGQRPDSIAQMILARSTPGLQNDPQVLEDMVTIILNFSIHDSNKKIIGDDSEAIQFLIWALKSGDMGSRSNSAAAIFTLSALDSNKEKIGKLGAMDPLIDLLEHGSIIAKKDAASAIFNLCLLHENRSIAARSGIVDVAMRAIDDQSLVEESLAILALLSRNQEMVEIITEFNGTASMLRSIRESECKRSKENAMVVLFAICTYNRTKLKEVEADESINGSLTFLAQTGTQRARRKASGILEKMKRTMHNRHCSC >ORUFI04G09610.1 pep chromosome:OR_W1943:4:13073431:13076099:1 gene:ORUFI04G09610 transcript:ORUFI04G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRVGHSADGVFVMIVDEDKSHANFARGMLSSLNFHVIVYSSPVNALVFLENNAQDVAVVLAAVDMKQLSGFQFLEAARVKRQDLQVIMMSAETTMSTMMRCVKLGARFLVKKPLNEETVGNLWQHVDLKVLKMEKIRELLQDPGQETVITISYEEQFSGETEADENNEEEEVNSFEAKKADSVKVQSDEKGHDNAKISNTAAAEGSDEKVSSGDGHVVPKAYNNVNVEESIGSNNTSGEQVSDKIKSDARVGVILVDYPDFEDDETKKPTST >ORUFI04G09620.1 pep chromosome:OR_W1943:4:13085166:13088406:1 gene:ORUFI04G09620 transcript:ORUFI04G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKEGLPAGRLSAMVIDEDKCHADSTSYMLSAELNFSVTVFTSPIKALDFLQNHAEGVDLVLADVHMEEMNGFDFLKVARELHKSIQVIMMSTETTMYTMKRCVKLGAQFLVNKPLDAGTIKNLWQYVDLKVLRMEKIKDLLQGIGDESTCANETNSLAENPKNDTKKKYYLMWTPHLQKKFLHALQILGKDASPKNIKKIMGVDNIDCRQIAAHLQKHRLRLTKDLKKASFTTDTSKDESNSRIGPAESHHVCRNASTLQPRSNTQPTETTMQILSEDAEYDDVYAAMRRALQYGIVFDESKHSSDPSGDEDEQVVVGGDQDGCANEANDIDSSGDHHQVAAVVTKPCNANASQEIINKMTNSDGMQATKGSKAAVFRLVDYSESDSD >ORUFI04G09630.1 pep chromosome:OR_W1943:4:13092398:13092920:1 gene:ORUFI04G09630 transcript:ORUFI04G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSNCCFSVIMYSSPVNALIILENNAQDIVVVLATVDVKQLSGLKFLEAARMKHQDLQVIMMSAETTRLYTMMRCVKLAARFLVKKPPNEDIVHDLWQHIALKVLMMEKIRELLQGCTIYVNGSVCVLLSAK >ORUFI04G09640.1 pep chromosome:OR_W1943:4:13095465:13098156:1 gene:ORUFI04G09640 transcript:ORUFI04G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVMVIDEDRRHAYSTSSMLTQFNFCVTVYTSPIKALDFLENHAQDFDLVLAEVHMEELNGFAFLTASRKIHKSIQVINDSSKNTKVIKNKGTTDCNQIATHVHQMQVLNGDDVYTAMRRSLHLGTIFDESNYSNDPCSNEYKVGEDEIGGYGCANEANATHSSDDHNVVVPDLSCNIADDVSQEIMSKATTCVDHRKQDTTRTDGPAAMSADEANATFSTGNLQQVNVIVTCNGDGSQESIQKNTCDDQHAPTGSKPETFRLVNYSDSESD >ORUFI04G09650.1 pep chromosome:OR_W1943:4:13100496:13103644:1 gene:ORUFI04G09650 transcript:ORUFI04G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNEGIPNGTLSAMVIDEDKCHADSTCSMICTQLNFCVTVFTSPIKALDFLQNQAEGVHLVLADVQMEEMNGFEFLKVARELHKSIQVISVGDKSTCANEMNSFPENQKDGTKRKYYLMWTPHLQKKFLHALEILGEGQISLMIMDVDNIDRKQISTHLQKHRLQLKKKLSKASFTKGSNEDTSNPSAKNHLTCRTMTLQPHPYTNQPAETTMQIHSEDVEHDDVYDAMRRALQDGTAFDESKYSSDPFSNEDEDVVGDGYADKANAIDSSGDHYQVAVVLTTPHNVDYTQEIMNKVTTSDDVQVTRGGKATVSRLVDYSDSDSD >ORUFI04G09660.1 pep chromosome:OR_W1943:4:13108529:13113581:-1 gene:ORUFI04G09660 transcript:ORUFI04G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWVLAASQRYGVVIDAATRDHVWVLLRLCLKAAASEARCSLEDARGAEGGGSGFVDPRAIRFECPRLVDAVSWLGTQLRILYGESSGRSFAIAAVREAILRAGSCLAVGVDGGGGSGVEGSDFGNVGTPSVSVAQVAAAIAALHERFSLEEKIKALRAPRPAKFQLLLEYSKALERGREERSKRPNYRAVLEYDGIISRRVDSQEFGRVKTREELLAEERDYKRRRTSYRGKKAKRNPKEILRDIIDEHMEEIKQAGGIGCHLDVPGDIAQSVLKNSPHDGTYQGSFNPTSSSYVSSRNHGTRDSYKDLRNESHQRQYQKVSDHENRSIKDSESTIKGILTIMRTADTKEILMIIGNMVISTTKMGQTIILNQAVVQDGPQREYDRMSRVRSNDVSTTSHTRHRSVSVTQDKFSDRSDPQSAYSDVDPATSMIDEASTGQRNSAGQ >ORUFI04G09660.2 pep chromosome:OR_W1943:4:13108529:13113581:-1 gene:ORUFI04G09660 transcript:ORUFI04G09660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWVLAASQRYGVVIDAATRDHVWVLLRLCLKAAASEARCSLEDARGAEGGGSGFVDPRAIRFECPRLVDAVSWLGTQLRILYGESSGRSFAIAAVREAILRAGSCLAVGVDGGGGSGVEGSDFGNVGTPSVSVAQVAAAIAALHERFSLEEKIKALRAPRPAKFQLLLEYSKALERGREERSKRPNYRAVLEYDGIISRRVDSQEFGRVKTREELLAEERDYKRRRTSYRGKKAKRNPKEILRDIIDEHMEEIKQAGGIGCHLDVPGDIAQSVLKNSPHDGTYQGSFNPTSSSYGILTIMRTADTKEILMIIGNMVISTTKMGQTIILNQAVVQDGPQREYDRMSRVRSNDVSTTSHTRHRSVSVTQDKFSDRSDPQSAYSDVDPATSMIDEASTGQRNSAGQ >ORUFI04G09670.1 pep chromosome:OR_W1943:4:13116331:13118630:-1 gene:ORUFI04G09670 transcript:ORUFI04G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNLKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKDAAEGQEGEAATEEAKKSNHVVRKLEKRQQTRTLDSHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGAAA >ORUFI04G09680.1 pep chromosome:OR_W1943:4:13121771:13123066:-1 gene:ORUFI04G09680 transcript:ORUFI04G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQRNKKKPRKGAAMVAVVEAEGASQDKGDLPLSPGVMDEASRVLGNYDLLKEILLSLGLHIPLVHGTLVCKRWLHIIANPEFLGRFGCHMLVPSQGLPTEFVSILSRAKDYFSDLEKNWCSDDFDVLDWCNGQVLISVENSIMDFQHRLAICTPLNPTKDFTFIPHRQLDVPQGYIKMDIYDFFYEKDGAWSYNHISTMIDLPSRWLQRKNSGLLIDTKFYMLGPSKYILGLDLVSMSLFIIDLPNGLEHSNPEMLQLSQEEDSKLYIFHLNGLKLHIWFHDINNTGNTSNWVLIDTISFLEVFGHIANPSWDSEVDIKIARGGNSGDFIYLHVDDDVYLVHIKKRMVEKVFDNGKVFRVHPFMMAWPPTFTKKIMMGNILK >ORUFI04G09690.1 pep chromosome:OR_W1943:4:13132598:13135208:1 gene:ORUFI04G09690 transcript:ORUFI04G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPRLSSIFAASTTASTTTTTVAASPARPLPPAYAAFQERLRSGTLGPDDARHLFDELLLRRDDPAPAPAPARAISDILAALARAPPSAACSDGPALAVELFKRMDRWACPHAAADAPTIYTYNILIDCYRRMHRPELALAVFGRLLRTGLGPDVCSYNTLIDGFSKEGEVDKAYELFYKMIEQSVSPDVVTYNSLIDGLCKTKEMVKSERVLEQMVDAGIRPNNKTYNSLIYGYSTAGMWKESVRVFKEMSSSGLIPCVVNCNSFIHALCRHNRIKEAKDIFDSMVLKGPKPNIISYSTLLHGYAAEGCFANMNSLVNLMVSKGIVPNHRFFNILINAYARCGMMDKAMLIFEDMQNKGMIPDTVTFATVISSLCRIGRLDDALHKFNHMVDIGVPPSEAVYRCLIQGCCNHGELVKAKELISEMMNKDIPPPGVKYFSSIINNLFKEGRVAEGKDIMDLMGYCLVGNMEEAFALLDAMASIGIEPNCYIYGTLVDGYCKNGRIDDALTVFRDMLHKGVKPTSVLYNIILHGLFQARRTTAAKKMFHEMIESGTTVSIQTYGVVLGGLCRNNCTDEANMLLEKLFAMNKLFAMNVKFDIITFNIVISAMLKVGRRQEAKELFAAISTYGLVPTVHTYNLMISNLIKEESYEEADNLFISVEKSGRAPDSRLLNHIVRMLLKKAEVAKASNYLSIIDENNLTLEASTISLLASLFSREGKCREHIKLLPAKYQFL >ORUFI04G09700.1 pep chromosome:OR_W1943:4:13138527:13143266:-1 gene:ORUFI04G09700 transcript:ORUFI04G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRTCSRLLLSTLAVHLLLLLAIIQHSCSLSTYSSSSSNQTAKVPYCQPDQASALLRLRRRSFSPTNDSACTLASWRPGTDCCDWEGVACSTGTGTGGGGGRVTTLDLGGCWLEISAAGLHPALFELTSLRYLDLSENSLNANDSELPATGFERLTELTHLNLSYSDFTGNIPRGIPRLSRLASLDLSNWIYLIEADNDYSLPLGAGRWPVVEPDIGSLLANLSNLRALDLGNVDLSGNGAAWCDGFASSTPRLEVLRLRNTHLDAPICGSLSAIRSLVEINLKFNKLHGRIPDSLADLPSLRVLRLAYNLLEGPFPMRIFGSKNLRVVDISYNFRLSGVLPDFSSGSALTELLCSNTNLSGPIPSSVSNLKSLKNLGVAAAGDSHQEELPSSIGELRSLTSLQLSGSGIVGEMPSWVANLTSLETLQFSNCGLSGQLPSFIGNLKNLSTLKLYACNFSGQVPPHLFNLTNLEVINLHSNGFIGTIELSSFFKLPNLSILNLSNNELSVQVGEHNSSWESIDNFDTLCLASCNISKLPHTLRHMQSVQVLDLSSNHIHGTIPQWAWDNWINSLILMNLSHNQFSGSIGYGSVISDGMFVIDISYNLFEGHIPVPGPQTQLFDCSNNRFSSMPSNFGSNLSSISLLMASSNKLSGEIPPSICEATSLLLLDLSNNDFLGSIPSCLMEDMSDHLNVLNLKGNQLGGRLPNSLKQDCAFGALDFSDNRIEGLLPRSLVACKDLEAFDIRNNRIDDKFPCWMSMLPKLQVLVLKSNKFVGNVGPSVPGDKNSCEFIKLRIFDLASNNFSGLLQNEWFRTMKSMMTKTVNETLVMENQYDLLGQTYQITTAITYKGSDITFSKILRTIVVIDVSDNAFYGAIPQSIGDLVLLSGVNMSHNALTGLIPSQLGMLHQLESLDLSSNDLSGEIPQELASLDFLSTLNMSYNKLEGRIPESPHFLTFSNLSFLGNMGLCGLQLSKACNNISSDTVLHQSEKVSIDIVLFLFAGLGFGVGFAIAILLTWGTSRSLSLALRLSQ >ORUFI04G09710.1 pep chromosome:OR_W1943:4:13148139:13153076:-1 gene:ORUFI04G09710 transcript:ORUFI04G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSQSNVGMHLTSPVWRWATEQGGSGELATRKTRSGRPEVAGVLPPSAPGILLLRESPSSSRKGGRMLDLELVLAGNEFIGARGWGKGEGGDLELTSSWKGDTEGEIMLTGAWGCGIRGGPQTHHRSLGEERKERKSSSPATLCGGKSVGTREKEERKRGGRRR >ORUFI04G09720.1 pep chromosome:OR_W1943:4:13155830:13164312:1 gene:ORUFI04G09720 transcript:ORUFI04G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHIWAAIVHVVHEVLSNPADERACAPWLLTPPAGRPPAAAAEEKPRLLTTARRRRRRRLTTARRRRRRRSTSVMSQTTAPMSRRRLSSILASTATASSTAASTTTAATASPARPSPPAHAAFRERLRSGTLGPDDARHLFDELLLRRDAPAPARGLNELLSALARAPPSAACRDVPALAVELFKRMDRCACPEAAPTIYTYNILINCYRRARRPDLGLPVFGRLLRTGLGPDVFSYNALIDGFSKEGEVDKAHDLFYKMEEQGIMPNVVTYSSLINGLCKTKEMDKAERVLRQMVGAGVRPNNMTYNCLIHGYSTSGMWKESVRVFKEMSSSLLVPDVGNCNSFMTALCKHGRIKEARDIFDSMVLKGPKPDVISYGALLHGYATAGCIAGMDNLFNVMVCEGVVPDRHVFNTLINAYARLGMMDKSLLMFEDMTKQGVNPDIITFSTVISAFCRLGRLDDAMEKFNHMIDTGVPPDTAVYSCLIQGQCNRRDLVKAKELISDMLSKGIPPPCIKFFTSIINNLCKEGRVAEGKDVVDLIIHTGQRPNLITFNSLVDGYCLVGNMKEAVGLLDSMESVGVEPDIYTYNTLVDGYCKHGRIDDALTLFRDMLHKRVTLTSVSYNIILHGLFQARRTIVAKEMFHEMIESGMAVSIHTYATVLGGLCRNNCTDEANMLLEKLFSMNVKFDILTFNIVIRAMFKVGRRQEAKELFAAISTYGLVPTILTYRVMITNLIKEESFEDADNLFSSMEKSSCTPDSRILNEIIRMLLNKVNGKYREYIKLLPEKYRFLREQAAVDNCI >ORUFI04G09730.1 pep chromosome:OR_W1943:4:13165132:13165587:-1 gene:ORUFI04G09730 transcript:ORUFI04G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPMLFLVSLLLVLVAAATGDEASPSNAAAPAAPVLVGGRTEIRDVGSNKAVQSLGRFAVAEHNRRLRHGGSGGPADPVPVKLAFARVVEAQKQVVSGVAYYLMVAASARDPRGGAAAGGDRVFDAVVVVKAWLKSKELVSFTPASSTK >ORUFI04G09740.1 pep chromosome:OR_W1943:4:13169766:13178294:1 gene:ORUFI04G09740 transcript:ORUFI04G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLRRAWSSLNGNDGVLPYFLATLNEHGRHPATPPPPSLSGGGGGDTPPSRRRVPKENVDPGSSPAGHSPFRSPTSSAKPLGNRNRGLLPPRPPSSNPLKRKLDVSPAAAADSSGGAAAAAAAAGGGCPAPDSGVQVVVRIRPPCRVEEEEDARAPDLCVRKTATNSVAIQGQDFTFDAVADEVSTQEDIFKLVGLPLVENCLSGFNSSIFAYGQTGSGKTYTMWGPLSALSEDSTCSERGLTPRVFEQLFSRIKEIYNEQITDLLDPSPKSLQIREDVRTACVYVESLTKELVFTTKDVTQLLVKGLSNRRTGATSANADSSRSHCVFTCVIKSESKNLEDGSNSTRTSRINLVDLAGSERQKLTHAFGDRLKEAGNINRSLSQLGNLINILAEISQSGKQRHVPYRDSKLTFLLQESLGGNAKLAMICAVSPSQSCKSETLSTLRFAQRAKSIKNNAVVNEQKEEDVNMLREQIRQLKDELHRMKSGGSDGSNGSFSTGWNARRSLHLLKMSLSRPTTFQTIHEDSGDVEMEIDENDVEKPYNQDNMVISPPGDKECKELQASLKINGGTSLDVFDGENLMPTKRSCSDDRYKLNLAASIQRGLQVIENHQNNGAWRRASVGFNARIVDVQPCKVDVAIQTEPEESEARDNPLALISSHVLGTSATVSNDPNACRDLQLVQYDAGITRDEPKQQQILKAVEKVLAGAIRREMARDEQCVKQAAEIQQLNRLVQQYKHERECNAVIAQTREGKIARLESLMDGTLPTEEFINEEYLSLMNEHKILQQKYENHPELLRAEIELKRLQEELELCRNYIDEKEVLQEEIQDLKSHLHFMLSSSASIRRLWPPVQLSHGVGPSPVTNDADGDNNAVDTPDWAEAESKWVTLTEELRVELEANKSLVGRLRSELESEKKCSEEVKEALQTAMQGHARILEQYAELEERHIGLLAMHRKIREGVEDVKARAAKAGVKGAELRFINSLAAEMAVLRAENKGLQDQLGDTAEAVQAAGELLVRLKEAEEAEALAQRRALLAEQETEKAYQEIDNLKKNYDQEIVALNQRLSESSHHQETTLAIEACDMETTKYDTAGSPGDQQWREEFNQQGGSFEVSKSTDLNSWFSGYDKCNI >ORUFI04G09740.2 pep chromosome:OR_W1943:4:13169766:13178294:1 gene:ORUFI04G09740 transcript:ORUFI04G09740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLRRAWSSLNGNDGVLPYFLATLNEHGRHPATPPPPSLSGGGGGDTPPSRRRVPKENVDPGSSPAGHSPFRSPTSSAKPLGNRNRGLLPPRPPSSNPLKRKLDVSPAAAADSSGGAAAAAAAAGGGCPAPDSGVQVVVRIRPPCRVEEEEDARAPDLCVRKTATNSVAIQGQDFTFDAVADEVSTQEDIFKLVGLPLVENCLSGFNSSIFAYGQIYNEQITDLLDPSPKSLQIREDVRTACVYVESLTKELVFTTKDVTQLLVKGLSNRRTGATSANADSSRSHCVFTCVIKSESKNLEDGSNSTRTSRINLVDLAGSERQKLTHAFGDRLKEAGNINRSLSQLGNLINILAEISQSGKQRHVPYRDSKLTFLLQESLGGNAKLAMICAVSPSQSCKSETLSTLRFAQRAKSIKNNAVVNEQKEEDVNMLREQIRQLKDELHRMKSGGSDGSNGSFSTGWNARRSLHLLKMSLSRPTTFQTIHEDSGDVEMEIDENDVEKPYNQDNMVISPPGDKECKELQASLKINGGTSLDVFDGENLMPTKRSCSDDRYKLNLAASIQRGLQVIENHQNNGAWRRASVGFNARIVDVQPCKVDVAIQTEPEESEARDNPLALISSHVLGTSATVSNDPNACRDLQLVQYDAGITRDEPKQQQILKAVEKVLAGAIRREMARDEQCVKQAAEIQQLNRLVQQYKHERECNAVIAQTREGKIARLESLMDGTLPTEEFINEEYLSLMNEHKILQQKYENHPELLRAEIELKRLQEELELCRNYIDEKEVLQEEIQDLKSHLHFMLSSSASIRRLWPPVQLSHGVGPSPVTNDADGDNNAVDTPDWAEAESKWVTLTEELRVELEANKSLVGRLRSELESEKKCSEEVKEALQTAMQGHARILEQYAELEERHIGLLAMHRKIREGVEDVKARAAKAGVKGAELRFINSLAAEMAVLRAENKGLQDQLGDTAEAVQAAGELLVRLKEAEEAEALAQRRALLAEQETEKAYQEIDNLKKNYDQEIVALNQRLSESSHHQETTLAIEACDMETTKYDTAGSPGDQQWREEFNQQGGSFEVSKSTDLNSWFSGYDKCNI >ORUFI04G09750.1 pep chromosome:OR_W1943:4:13184357:13184937:-1 gene:ORUFI04G09750 transcript:ORUFI04G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVSFTMALLFLVLNKLGLLRISAEDKMAGMDHTRHGGFVGVDGGDDCGDGGREGRAGVVERFGHRAEEDIPTGSARRRGRGQRLRCPSLAALATAIAGLVEERRGEKEEEEGKKGNLIKTIIVNASQWHISNMCKIIMV >ORUFI04G09760.1 pep chromosome:OR_W1943:4:13201264:13204147:-1 gene:ORUFI04G09760 transcript:ORUFI04G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHFFPAGDYFSTSSSGAGTGGAGALLPAAAYGTMTMMPPWAVAAAEQMMMMAPAAAAAEFDSALSSLVSSPQGGGGGDEMAAIGDLIGRLGSICSHGGASANNSCYSTPLSSPPRAPPPPPFRGYAAAGGRLSRVSSSKSLGGAAAALDSSEADMNPTTAAAADQPSKPSAAAARKRKSSAKPKASSSSLPTATATTNASPKRSKVAAGAGDDGDGDADAAEEKPEPAKDYIHVRARRGQATDSHSLAERVRRERISERMKLLQSLVPGCNKITGKALMLDEIINYVQSLQRQVEFLSMKLATMNPQLDFDSHYMPSKDMSHMPVPAYPSSDPTTTTAFSYTGSPATADPFTVYNCWELDLHTAMQMGATTGLSQDGPIATMAPSPSPLPHHPPLHGFYGGQQQQGTTVNHMKAEP >ORUFI04G09770.1 pep chromosome:OR_W1943:4:13221575:13228500:1 gene:ORUFI04G09770 transcript:ORUFI04G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNLVGNSIGCSASGERLVSADRDGDLQEARALLEYNPRLAWYSTFGGRNSPLHYAAAQGHHEIVSLLLESEVEINLRNYRGQRYTTAISSPPPPLLLAPRKRAPSCPDVPTPQIALAPPPALRDAAMPRPRLSTIFAGAATSTAPAPAPAASPSARAPLPPAYAVFKQRLLSGELCPGDARDLFDELLRRGGDGDGSAPVPARALNGILAALARAPPSAACGDAPALAIELFKRMDRWACPRHSPPTIHTYNILIDCYRRVHRPDLGLAIVGRFLKNGLGPDDFSYSLIYGFVKDGEVDKAHCLFLEMMEQGVLPNILICNSIIKELCKMKEMDKAESIVQKMVDSGIAPDLFTYGLIIDGLCKSKAMDKAERVLEQMVEAGTRPNSITYNSLIHGYSISGMWNESVRVFKQMSSCGVIPTVDNCNSFIHALFKHGRTNEAKCIFDSMVLKGPKPDIISYSTMLHGIGRLDDALHKFNHMVDIGVPPSEAVYRCLIQGCCNHGELVKAKELISEMMNKDIPPPGVKYFSSIINNLCKEGRVAEGKDIMDMMVQTGQRPNVVTFNSLMEGYCLVGNMXGLCRNNCTDEANMLLEKLFAMNVKFDIITFNIVISAMFKVGRRQEAKELFDAISTYGLVPNIQTYSMMITNLIKEESYEEADNLFISVEKSGHASDSRLLNHIVRMLLNKAEVAKASNYLSIIGENNLTLEASTISLLASLFSREGKYREHIKLLPANMTMAVVAATAKLVLLLAVLLQFSGVLTAARTLPGEEWLLPEGGGVVRAVVEMLVGSKSGGGGGGTHCC >ORUFI04G09770.2 pep chromosome:OR_W1943:4:13221575:13228500:1 gene:ORUFI04G09770 transcript:ORUFI04G09770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNLVGNSIGCSASGERLVSADRDGDLQEARALLEYNPRLAWYSTFGGRNSPLHYAAAQGHHEIVSLLLESEVEINLRNYRGQRYTTAISSPPPPLLLAPRKRAPSCPDVPTPQIALAPPPALRDAAMPRPRLSTIFAGAATSTAPAPAPAASPSARAPLPPAYAVFKQRLLSGELCPGDARDLFDELLRRGGDGDGSAPVPARALNGILAALARAPPSAACGDAPALAIELFKRMDRWACPRHSPPTIHTYNILIDCYRRVHRPDLGLAIVGRFLKNGLGPDDFSYSLIYGFVKDGEVDKAHCLFLEMMEQGVLPNILICNSIIKELCKMKEMDKAESIVQKMVDSGIAPDLFTYGLIIDGLCKSKAMDKAERVLEQMVEAGTRPNSITYNSLIHGYSISGMWNESVRVFKQMSSCGVIPTVDNCNSFIHALFKHGRTNEAKCIFDSMVLKGPKPDIISYSTMLHGYATATDSCLADVHNIFNLMLTKGIAPNKHVFNILINAYARCGMMDKAMLIFEDMQNKGMIPDTVTFATVISSLCRIGRLDDALHKFNHMVDIGVPPSEAVYRCLIQGCCNHGELVKAKELISEMMNKDIPPPGVKYFSSIINNLCKEGRVAEGKDIMDMMVQTGQRPNVVTFNSLMEGYCLVGNMXGLCRNNCTDEANMLLEKLFAMNVKFDIITFNIVISAMFKVGRRQEAKELFDAISTYGLVPNIQTYSMMITNLIKEESYEEADNLFISVEKSGHASDSRLLNHIVRMLLNKAEVAKASNYLSIIGENNLTLEASTISLLASLFSREGKYREHIKLLPANMTMAVVAATAKLVLLLAVLLQFSGVLTAARTLPGEEWLLPEGGGVVRAVVEMLVGSKSGGGGGGTHCC >ORUFI04G09770.3 pep chromosome:OR_W1943:4:13228155:13240785:1 gene:ORUFI04G09770 transcript:ORUFI04G09770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACITLVLVVVLLMHVSGVLAAAARTLPGEEWLTPEAGGVVRTEWLTDGGGVVVREVVEMLVGSKSGGNGRGTCC >ORUFI04G09780.1 pep chromosome:OR_W1943:4:13249415:13249723:-1 gene:ORUFI04G09780 transcript:ORUFI04G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVALLLLFLVQVMSVIGGGAAAARPLQQADGGAVIGMLASLSIGSDGSSHLIR >ORUFI04G09790.1 pep chromosome:OR_W1943:4:13261977:13262177:1 gene:ORUFI04G09790 transcript:ORUFI04G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAATAMAMARAVVAVLLLVQILGAMAVSARTLKGEGWLEDGIGMVVDMLGELKSGGNSPTHCC >ORUFI04G09800.1 pep chromosome:OR_W1943:4:13263929:13264255:1 gene:ORUFI04G09800 transcript:ORUFI04G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPDDDVQSSGSRRPTNHVVKLAKRSGLLSERIFVSPLAYESWWCSSSMAAVECGDESPESAMVATYGGGGPTVGRRGVEHAQERLIQVQQQPTSILSSPSSGTV >ORUFI04G09810.1 pep chromosome:OR_W1943:4:13267615:13268244:1 gene:ORUFI04G09810 transcript:ORUFI04G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSRSSSGCQSGWTLYLDHSNGGHRQYYARKNHELDDDDDDDDDDMVSDASSGPPPRMRDEDDDEVWHQHRHQQQRRQRNHLVGCHTGHDDDDDGDDDSGSSGVGGGYSTCTARSMSSKRRAIAGEHSVVDAAVVVVLRHREHNCGGGDDDLDDTASSSSAVSSSLQPSCAFSARHLQQWSSTAAVRGTSIYCRPPAPATQCYIATD >ORUFI04G09820.1 pep chromosome:OR_W1943:4:13274278:13277957:-1 gene:ORUFI04G09820 transcript:ORUFI04G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAYDDLPMAGAEEEVDDVMKDELDEEGEEVDYSSSPVAMKVGEEKEIGKQGLRKRLLKEGEGWDRPESGDEVQVHYTGTLLDGTKFDSSRDRGTPFKFSLGQGEVIKGWDEGIKTMKKGEQAVFTVPPELAYGEAGSPPAIPPNATLRFDVELLSWASVKDICKDGGIFKKVLAEGHKWENPKDLDEVLVKYEARLEDGTVVSKSDGVEFAVKDGYFCPALSKAVKTMKKGEKVLLTVKPQYGFGEQGKPASGAEAAVPPNATLYVDLELLSWKTVTLIGDDKRILKKVLKEGEGYERPNDGAVVRVRFIGKLEDGTVFSKKGHDGDEPFEFRTDEEQVIEGLDRTVVTMKKGEVALVRLPPQHAFGSTETKQDLAVVPANSTVWYEVELVSFEKEKESWDLKDNAEKIEAAAKKKDEGNVWFKMGKYAKASKRYEKAAKYIEYDSSFTDDEKKQSKALKVSCKLNNAACKLKLKEYREAEKLCTKVLRTQAYIELADLELAELDVKKALEIDPDNRDVKMVYKTLKEKIKEYNKRDAKFYGNMFAKWRKLEHMENKKVPGKQEAQPMAIDSAA >ORUFI04G09830.1 pep chromosome:OR_W1943:4:13290929:13293569:1 gene:ORUFI04G09830 transcript:ORUFI04G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDREGDGGGPWLGGGMEIGFRPPIPPKTELAFGEVGPPPAIPPNAMLRVKDICKYGDIFKKVLAEGHKWENPKELDKPSLFYGYVYWISDSIGGHFAKVAVSVDKRAYYQKLSSKDHGSRRPVVEQC >ORUFI04G09840.1 pep chromosome:OR_W1943:4:13299712:13315045:-1 gene:ORUFI04G09840 transcript:ORUFI04G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAYVSSNKQRFMNQYFLLIACLQLWSSITPVSPATTWGPLAIIFIVSASKEAWDDYNRYLSDKKANGREVLVIKAQDIHVGNIVWLYQNDEIPCDLVLIGTSDPQGICYVETAALDGETDLKTRIVPSICANLSPDQLGRVKGVVECSNPDNDIRRFDANMRLFPPIIDSEKCPLTINNTLLQSCYLRYTEWACGVAVYTGNQTKSGMSRGTAEPKLTAADAMIDKLTVAIFMFQIVVVLVLGFAGNIWKKNQGLKACSFLKALLLYFIILNCYGLHQWYLLYPVEGPWYDFLIIPLRFELLCSIMIPISVKVTLDLSKGVYAKFIDWDEQMFDRETSYISVSFSTAISEDLGQVEYVLSDKTGTLTENRMIFRRCCISDILYGENNEDALKDARLLDAVSRNNPDIVKFLMVMALCNTVVPIKRLIFAFISLFSISTEISFNGSKFYYDLLDILEFTSDRKRMSAVVKDVQSGKILLLSKGADEAILPRCHQGQQIRTYLETVEMYSQLGLRTLCLGWRELEEDEYKDWSKTFQDASCSLENRERKIAEVCHRLEQDLQILGVSAIEDRLQLVGLLKSVGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILVHGRYSYNRTAFISQYSFYKSLLICFIQILLPVTTIIFDKDISEETVLQYPQILLYSQSGRLLNPTTFAGWFGRSVYHALVVFLTTICAYSDEKSEIEELSMVALSGCIWLQAFVVTLDTNSFTYPQIILIWGNFIAFYMINLIVSAVPTLQILTLRFLKPSGETIFLQLIVAELKASQTNQSEASSLHMLSKARHFARWKPIPPPPTHARRLSPRPPRRPSSAATEPEAEADQDAGATAAAAAASAEQIGSAARGVFDGMRRRAALSWNATVAAHARRGRVRDALGTAARMHRSAAGLDEATYASALGACARGRCLRMGWQVHCRVVKSGSDDFPVVGASLLDFYSSCLDLDAARTLFDTLHANNELLWSPMVVALVRFNLLSDALDLLQRMPPPRDLFAWTAIISGYARGANEYCCKSLELFVQLLAEDGVMPNEFTYDSVLRACVKMGALEFGRSIHGCLIQSGFESEQLITSALVDLYCRSGAVDDAVMVYNGLQMPSLITSNTLIAGFISMGRTEDAKLVFSQMTEHDSGSYNLMIKAYADEGRLEDCRRMFEMMPRRNMVTLNSMMSVLLQNGKLEEGRKLFEQIKDERNTVTWNSMISGYVQNDQSSEALKLFAVMCRLSIECSASTFPALLHACATIGTIEQGKMVHALLCKTPFESNGYVGTALVDMYSKCGCVSDARAAFSCIMSPNVASWTSLINGLAQNGHWMEAIVQFARMLKNNVKPNEITFLGILMASARAGLVNKGMRFFHSMESYGVVPTVEHYTCAVDLLGRARRVREAEKFISKMPIPADGVVWGALLTACWYTMDLEMGEKVAEKLFYMGTKHISAYVAMSNIYAKLGKWEDVVKVRTRLRSINAKKEPGCSWIEVKDMVHVFLVEDRNHPEREEIYLMLEDLVSNISYSETDDETHGYYLEPASLDLLTSQKRLANQ >ORUFI04G09850.1 pep chromosome:OR_W1943:4:13364391:13371176:1 gene:ORUFI04G09850 transcript:ORUFI04G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGSIAERFRDRSILITGSTGFLAKMLVEKILRIQPDVRKLYLLVRAPDAAAAKERLLTEVVGKGLFDVLREQHGASFHSFIKEKVCPLPGDITHQNFGLGNSEILRLSQDVDIIVNGAATTNFMERYDVALVTNTAAVIHLCQFAKQSDNLKMLLHVSTGEAYHKHKVLETALST >ORUFI04G09860.1 pep chromosome:OR_W1943:4:13380185:13381242:1 gene:ORUFI04G09860 transcript:ORUFI04G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRYKVPLEMMHAANVLFGGIYSKNYKKLNRGYNILMTVAKVYAPYVFFKGCFDDTNLRKLSKAMAVDQNDVSIFNFDTRCINWSSYLVNTNIPAAIKYANNQKAKAGNA >ORUFI04G09870.1 pep chromosome:OR_W1943:4:13385679:13385858:-1 gene:ORUFI04G09870 transcript:ORUFI04G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLNGVGDGDYRVLLDAGGAKLFADGHAHYVILCAAMGLLGRLGHVVQRIVVEVGPAG >ORUFI04G09880.1 pep chromosome:OR_W1943:4:13415655:13418110:1 gene:ORUFI04G09880 transcript:ORUFI04G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVHVFIGLLLLSLHAPPYSAAVDDTLAAGQVLAVGEKLVSRNGKFALGFFQPSAIAISKSSNYTNALGWYLAIWFNKIPVFTTVWVANRERPITVPRLNSTWLKMSGDGNLYILDHATNSIIWSTDHVVNTTTETGMNTSATLLNSGNLVIRNPSGVVSWQSFDNPTDVVLPGAKFGWNKATGLNRLGISKKSLIDPGLGSYSVELDTTGARGLILKHRNPSMEYWSSDRALIIPVLKSLFEMDPRTRGLITPAYVDNSEEEYYIYTMSDESSSVFVSLDVNGQIKMYVWSRANQSWQSIYAQPVDPCTPSATCGPFTICNGNSTQTCDCMESFSVKSLWDWELDDRTGGCIRDTPLHCVSDKNMTSSTDMFQPIGLVTLPYDPQIMQDATTQGECAQACLSDCSCTAYSYQNSRCSVWHGKLLNVNKNDGIYINADNVLHLRLAATDFQDLSKNKRKTNVELVVGASIVSFVLALIMILLMIRGNKFKCCGAPFHDNEGRGGIIAFRYTDLAHATKNFSEKLGAGGFGSVFKGVLTDMATIAVKKLDGAHQGEKQFRAEVSSIGIIQHINLVKLIGYCCEGDKRLLVYEHMLNGSLDVHLFQSHAAVLNWITMHQIAIGVARGLSYLHESCRECIIHCDIKPENILLDISYFPKLADFGMATFVGRDFSRVLTTFRGTVGGVAITPKVDVYSFGMVLFEIISGRRNSPEVHTSGNYDATYFPVRAINKLHEGDMSSLVDPRLHGDYNLDEVVRVCKVACWCIQDDEFDRPTMREVVRVLEGLQELDMPPMPRLLATLTNFSAVASM >ORUFI04G09890.1 pep chromosome:OR_W1943:4:13426820:13427275:-1 gene:ORUFI04G09890 transcript:ORUFI04G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVELMRSYIAFLRVFGLIGEKRSNEYVEFISASIKDLTPTTEAAASPPPQESPSSVSTRCSMKCPHNDMTASSNHIIEDNEEEDHGHFIITKDLSKVTHPECLMKCFSHVEPNHSVATHMVLTCTTIATTSMNQCHLL >ORUFI04G09900.1 pep chromosome:OR_W1943:4:13427885:13428166:1 gene:ORUFI04G09900 transcript:ORUFI04G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRRVHVAFLAVLVAVVALAGAIAAAASDARSRNGATAAVGGEAVVHRRMLASSIQDSVLNANKPACLQSCTGAGQPYTGRGCTNAYQCKG >ORUFI04G09910.1 pep chromosome:OR_W1943:4:13431981:13432484:1 gene:ORUFI04G09910 transcript:ORUFI04G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSLSPLSCRRTSFSLLWSPSPPFPSSSDDGTRKHVDIELSGGSTSHLELPAEWIKEGLSGEHGSRLSEVPTAAQAYATAELRPPPCLQSGGSSGGLLVLTRDEAPAVKASSVDELPGGGTERGAAAAIELLSGGRCGPHPSDASSRSPPRRLSSGPLSPFPVA >ORUFI04G09920.1 pep chromosome:OR_W1943:4:13433184:13442483:1 gene:ORUFI04G09920 transcript:ORUFI04G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFEAFWTCGMLSWQDLESIEYGSGNDAYAEYHGGNILHIIEENGGDNWFTWRAAVVVGWKQVAGTCSGSDGEVGVLGDRLHDDILAGCCC >ORUFI04G09930.1 pep chromosome:OR_W1943:4:13440181:13441850:-1 gene:ORUFI04G09930 transcript:ORUFI04G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQEKEEKLKKRRQAYKRKKDLNALANVEEPLHATHSGQAEQEIASQDKDSIPNQEKNAPTRMKYRNMGPDQKKAKREQVNANRALRRNTPSKYSIEMESPTYIALDTSPQVLTRLHRKNVAHEERQALVYRQNESFTIRWDTRTSVSLGEDPSICTQTSNSIDPPKEPSITNTGDEDIFDDDTNESDMFDGQAPSSMLDAGTTSFGSPSPLLDPNIRRRQRDRERYAQMTLQEKEEKLKKRREAYKRNKDLNALANVEEPLHATHSGQAEQEIASQDKGSIPKQEKKALARMKYRNMGPDQKKAKIEQVIANRALRRNTPSKYSIAMESPTYIALDTSPQVPTRLHRKHIAHDERQALVSRQNESFTIRWDTRTSVSVGEDPSICTQTANSIDPPKQQSITNTVLTMFFQQKIFV >ORUFI04G09940.1 pep chromosome:OR_W1943:4:13449119:13457447:1 gene:ORUFI04G09940 transcript:ORUFI04G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNSMALACLLAAALSLAGSAAGDMRAAVTTTAGGKVVVRHGVDAAAEARQLTVVAMKKSTRLEDVVAPELIGADLVELHQRRRRILGEDANIVDSVLVGDRQGCLGPCPPRGFPFNTPSRGCNPKYGCNTGHNPPDRQNMGRGSNAVVTLLLLGVALLSLAATPAAGDGKVGHHHGIDEAVRQLMVATRLEDVVAAELGMDDLHQRVLGGGGSNSDGTQRDDIFNF >ORUFI04G09950.1 pep chromosome:OR_W1943:4:13458962:13463067:1 gene:ORUFI04G09950 transcript:ORUFI04G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSVRSRAYGEAHFLKNPRQTRFVHRLFFSSGEAFSPLESIAAAVIRPPAAAASVGIGGAGRVRWERHGAGWWRASPRSIDGEAASDRGLEKKREAEETLQFYMHQDPIRFFSPDDNYTAMFCFVCADLCENS >ORUFI04G09960.1 pep chromosome:OR_W1943:4:13468892:13469269:1 gene:ORUFI04G09960 transcript:ORUFI04G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKVPTSPLLLLLLLLAVVALSLAVPSTGDMRAVLSGGEGAARHGIDVAVRRLAMVAPSSSARLEDAVAPELGVDMELHRRILAGNVGSGALRPDRPACVQSCPARGGSYTGRGCKTVYRCNNN >ORUFI04G09970.1 pep chromosome:OR_W1943:4:13472097:13477957:1 gene:ORUFI04G09970 transcript:ORUFI04G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAQRAIAAAEKAARRKTQRAVAAAARAARRKKKAAESVARREQKAAMREQKAAAAAGQKKRKAAAAAAAAVRATARRKLDFDGEQQQIMPPERIYRQTSSSSRADLMDNMRLLLVAFDLSPPEQPCTPPARSERQILRLPPPATVTTAIVALKNKPKKATTVNKLALVPYKPTRAAASAVDEVLPGALVLYGDGEPTTQAARMFVPRWTSVRLVFDKLPPRFGLVVGLDAATRAVYNELVRREATSYGDDELHDVPGGPEWNERRREFERKVDHFINAFMTIAARFPLKNRRNAGHHSDNVPLLAQNSGNVPLLLADGHDEQEQCHCQLQSIAQCSSGSKSGVAEPGDVSQRAEQTECPDKDLEAIMSAIRSGDISNFDDDHIQKVLKVRFKDSTPPPSESSSSRKKSISTAETIFKDIKSIKKNDTSHWHSLYDEARNRGYIRDDDIPDMVDWEALMNAPFADVVDCIKDRGQHSQMAFRILAFLIRMKRDHGNIDLEWLRFIPRAKANYKARMGATPSFAIISGVSSGRQQNSKFSTLIQAMPGYLSFDRICLTNQRTNRYELHCQMITFGKAICRKSKPNCGACPFTSECKYYKSQFGRAALALPEYSQQDATKDANMDDPAKTYDLIFKAHQYQIEYGKNTEMNYCEPVIEIPPTPLHENRGETSDEDDENGYYFDDDMEDIGRHDYDMEDIEHDYDMEVDLRSAKPTTNTSQAGATPGKEMIPINPRAKSTPMVKKFSLRTEYTAIFYSWALIILVFFTIAVFRCIIPDGHIILKKFDPRVPGDRNPYLLVFRSFDEHTVKATILVFADHSSSRSPIEINRDLVWELRRQTCIVHFGTRVHSVTKGQTREGLYHFYNEGYICTREFDRRTKFPKQLCVEIHATNVNKDIGKKRARPSTTRFYSEEDSGDEWSDW >ORUFI04G09980.1 pep chromosome:OR_W1943:4:13479280:13481754:1 gene:ORUFI04G09980 transcript:ORUFI04G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRPATMRAVQYGGYGGGAATLKFVEIPVPSLKKNEILIKIEAASLNQADWRIQKGLMRPFHPKFPFIPVTDVSGEVIEVGSAIHEFKVGDKVVSKLNLWKAGGLAEYVAASESDTVSRPAGISAADAAGLPVAGLTALQALSSIGTKFDGSGTGADVLITAASSGVGTYAVQLAKLGNHRVTTTCGARNLDLVGSLGADEVLDYATPEGAALASPSGRKYDYIINLTDRGKWSVFRPQLSSNGGRVVDVSPNLGNFLASVMTLFSRRKRLSLVILTLGKKELGFLLELMREGKLKTVVDSRHPFEKAAEAWERSMSGHATGKVIVEM >ORUFI04G09990.1 pep chromosome:OR_W1943:4:13486364:13487373:1 gene:ORUFI04G09990 transcript:ORUFI04G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLREDGGEGHPKRKREITRQLAPAEWEATPNQTLRRLIQAWCAAHAVERFPTPRLIDGGRRCLAAAAARHLAALREIKAIAVESDRNKRCIEATPGAVKFLVSVVVQSHAAASTSTSARLDDDLLDSMIDSPMSTSSPKKEALGVLYSLKPSEPTLRRILGKDNGGFLDTLASVLRRPNYRSRVYAVLLLKVMTSAMLPERLGCRPRRRSIRGRTPLRERSLKKHASRTMRRSRLQPPLARSRRMTLPRPSRGSDRRVRFGVEDPVIRHASCGHAVRAARRPAAADARPPACRHRRRRTHGRFGKPATSRAPSRQLIETARLVPPANRQQ >ORUFI04G10000.1 pep chromosome:OR_W1943:4:13532029:13532247:-1 gene:ORUFI04G10000 transcript:ORUFI04G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANARLKIVNDRLKKLHEEGLIPASVSLLGTEVPTQREGWLMDVARGIGSMGYNRFRGSSGSGTAGYNGDLA >ORUFI04G10010.1 pep chromosome:OR_W1943:4:13536583:13537589:-1 gene:ORUFI04G10010 transcript:ORUFI04G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVRRQRPRSLSQRRRHQLLWHGGRRHDGAFGSSGCGGGDHGRRRRRRHGGLGRLAKGVVDGYIGPARHCLEEGSETGLAKREINPAIVNICQFWTVVKYKFHPLYYYVQPWTQTPEPVRPYTISLQTGNTMSQSSANLQLGLCLKDCKCEGKDHTAKSLLWKTYDSTSYDAMNA >ORUFI04G10020.1 pep chromosome:OR_W1943:4:13538265:13541553:1 gene:ORUFI04G10020 transcript:ORUFI04G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGERPATMRAVQYSGYGGGAAALKFVEIPVPSVKKDEVLVKVEAASINQSDLMTQKGMMRPFHPKFPFIPVNNVSGEIVEVGSAVREFKVGDKVVSKLDFWTAGGLAEYVATSDKLTVARPAGISAADAAGVPVAGLTALQALKAIGTKFDGSGTSGGADVLITAASSGVGTYAVQLAKLGNHRVTATCGARNLGLVAGLGADEVLDYKTPEGAALSSPSGKKYDYIVNISNKNKWSVFKPRLSSHGRVVDVAPNFGNFVASVVTLFSRRKKLSLVSLKMSKEDLGLLLELMREGKLRTVVDSRHPFEKAADAWARSLSGHATGKVIVEM >ORUFI04G10030.1 pep chromosome:OR_W1943:4:13543492:13544568:-1 gene:ORUFI04G10030 transcript:ORUFI04G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPSLWRPPVPIKDAIEQDAITPFPKPEAPEEEEEESQGDRDRRLMSSLPVKVYGERRYLEYQATWWPESAVQAVIAIQRRFRPRPSDVLLASYPKSGTTWMKALVFAIMSRKVYPLRDHPLLRLNPHDCVVHLSGAYATGKEAVVEALPSPRIMAVHMPFSTLPASVVVDSSSGCKIVYVWRDPKDVLVSLWHYYCKLRPEEAHVSEFHDLYESFCQGDTVFGPWWDNVLGYFRASVEMPTRVLFLRYEDMLEDTASAVVAIANFVGCPFSAEEVRAGVVDAIVKLCSFEELKNLDTNMSGSNGHLIKLPSSSYFRKGVAGDWVGHMTREMADRIDSIIQGKFQGSGLEIKRAST >ORUFI04G10040.1 pep chromosome:OR_W1943:4:13545979:13546779:1 gene:ORUFI04G10040 transcript:ORUFI04G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVGEGDGGGRGRRRSDGVGGVGEEATDADGWGMEAVVAGSTSLNLVEAGSSGQWSGGLGGRGGGGRICIPEARSAGGHQLGGPVVEPDAGEIGREDGRWICEVSLGTLPLPPPPPLPPPGTDHLCELLFVEAEENQGDRVSHMEKDEEHQGCQNFWWFPGTFQTEPSLELARLRPSIRWSSSDWRPPDPCGGFLGESDERRKKEESR >ORUFI04G10050.1 pep chromosome:OR_W1943:4:13557727:13566365:1 gene:ORUFI04G10050 transcript:ORUFI04G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVRYLDADDVLNYNTPEDAILMSSASGEKYDYIINAAINIGWSLMRPTLTSHGRVVEITPNPGNYIQGGPTMTQSGGGWRLVSADRREIEAVLPREQHGVWRRQCGRPVQLRWWGVTWRDGVAVGRISSATPEHFLSDSYRINETMTTGEIQELLGIMAAGGGIPATMRAVQYTGYGGGAGALKHVEIPVPSVKKHEVLIKVEAASVNPIDWSIQKGMLRPFLPKFPFIPGVYPLKGGGLAEYVAAPESLTVVRPAGVSAVDAAGLPVAGLTALKALMSIGTKFDGTGGTGANVLITAASGGVGTYAVQLAKLGNHRVTATCGARNMDLVRSLGADEVLDYNTPQGAALTSSASDEKYDYIINTAMNVNWSAMKPTLSSRGRVVDITPNPGNYVAAMLTMFARKKITMMALMSLGKEEMRFLMELVGEGKLRTVVDSRCPFEKAAEAWEKSMGGHATGKVIVEM >ORUFI04G10060.1 pep chromosome:OR_W1943:4:13572219:13572485:1 gene:ORUFI04G10060 transcript:ORUFI04G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHGGDGSGVGDESGRRIQRLERATMTAVAAAVSQICFEFYFVNVDLKNSTELWLVGGRLWLSYLAICINSRHRLLFEKYPRRKNAT >ORUFI04G10070.1 pep chromosome:OR_W1943:4:13586074:13586740:1 gene:ORUFI04G10070 transcript:ORUFI04G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCLQHKGGGDVELGNSPASSNMVAADLVPLRLDLVPLSQSWHPHPDLAGVEYLARRQWEEGVDVSMLRDGQREADRCGRRWKEVVVGRGQCDAELGKPGGDRRTAGRAVRRRYGGCESKECS >ORUFI04G10080.1 pep chromosome:OR_W1943:4:13597641:13598141:1 gene:ORUFI04G10080 transcript:ORUFI04G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVFLTASGEFEMGESSRGPLLGLDGVGVESQGGRCVWVEKRMGSATPPPATLRRLRIYDNN >ORUFI04G10090.1 pep chromosome:OR_W1943:4:13620405:13624882:-1 gene:ORUFI04G10090 transcript:ORUFI04G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKLHNSSRHSFLLAWHSSNLAILHEAIRIIPRYIVAIVVEILRGLLVILLLGFVLHLAGGDRHPPPGPIACARGGTSGGCTVTNIYGSFPDRAACRAAGVAYPRTEEELVAAVAAAAAAGRKAKAATRYSNSFPRLACPGGGEGEGGVAISTRWLNRTVRVDAARRLMTVEGGMVLRDLIREAAAAGLALPHSPYWSGVTVGGALATGAHGSSLWGKGSAVHEYVVGMRIVTPAPASEGFAVVRELAAGDPDLDAAKVSLGVLGVIFQVTLALEPQFKRSVKFVKRDDTDIAEKVAVWGGLHEFGDMVWFPGQRQVIYREDNRVNISTPGDGLNDYFGFRAQPTLSMVAAMVIDEWLEDNPMYTDTARCLASRAVTTMFDLLAYGFTNDGATFTGYPVVGYQHRIQSSGSCMGSLEEKDDGLLLTATCPWGVFAYNVAFTVPLSRAPAFVADVARLRDTNPSAFCQIDAKMGVLVRYVAASSAYLGKAEDSVDFDVTYYRSRARGAPRAHADVFDEVEQMALRGHGGVPHWGKNRNAAFDGAIARYPNAGEFLRVKDRFDPEGVFSSEWSDRVLGVGGASPAIVGDGCAMEGLCVCSDDSHCAPELGYFCRPGKVFTEARVCSLLRDAADGYLRDRHGNVRVNATSTGKHSLLHE >ORUFI04G10100.1 pep chromosome:OR_W1943:4:13650162:13650816:-1 gene:ORUFI04G10100 transcript:ORUFI04G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTAMPSSAVHLLEDIGIGVPVKLPIKGIL >ORUFI04G10110.1 pep chromosome:OR_W1943:4:13662037:13662404:-1 gene:ORUFI04G10110 transcript:ORUFI04G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYGALVTCCVALRWHRQSSSATRWSPVVVQWYFVVFVVDAIVEGDFEVKALLGLPVLAMATLSSAVHLLEGVAIGVPVQLHIKGILRVKTLDSFGSGDVVVLMAHLS >ORUFI04G10120.1 pep chromosome:OR_W1943:4:13696502:13701634:1 gene:ORUFI04G10120 transcript:ORUFI04G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQALLMPPLVLRRTMVATLLCLTVAMAACLAAVAASCQRKCGEIDIPFPFGIAGQPGCAMTGFELSCNDTGNGVPTLLLRNVEVLGISLPLGQARMKMDMSYDCYNTTRKDIDCVDMVDLNLKGSPFTFSDTANKFIVFGCRMLAYLGPGEQNDVGSNLTIGCAATCGIGDDLVSINSAGCSGIGCCQTNIPKGIQYYKVWFDGRFNTTDIYNWTRCAYAALVETSSFNFSTVYNSLSRFNDNLGSQPPFVVDWAIGNSTCEQAKTNPDSYMCISSNSVCLNSQNGPGYICNCQNGFEGNPYLNDSFGCQGIAIGMAAGFGILVLSLSVVLLIRKQRSDILKQQRKKYFRKNQGLLLQQLISSDERASDNTKIFSLEELKQATNNFDPTRVLGSGGHGMVYKGILSDQRVVAIKKPNIIREEEITQFINEVAILSQINHRHIVKLFGCCLETEVPLLVYDFVPNGSLNQIIHGATSNRESSLSWDDCLRIATEAAGALYYLHSAASVSVLHRDVKSSNILLDANYTAKVADFGASRLIPNDQTHVFTNIQGTFGYLDPEYYHTGHLNEKSDVYSFGVVLLELLLRRQPIFECESGTKKNLSIYFLYEIKGRPITEIVAPEVLEEATEDEINTVASIVQACLRLRGEERPTMKQVEMSLQSVRNKGFSSAGTSPESNHGMQPALSETYVNLHQPLGVHTIGIINLASSNCNSLQQEFMLSASFAR >ORUFI04G10130.1 pep chromosome:OR_W1943:4:13719237:13721069:1 gene:ORUFI04G10130 transcript:ORUFI04G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLLVILLQALTGLLVILALWIVSHLAGDRPPPGPVVCATNGTTGCTVTNIYGSFSDRAICRVANVAYPRTEEELVAAVAAAVAAGRKVKVATRYSNSFPRLACPGGEDGTVISTRWLDRAVRVDAARRLMTVESGMVMRDLIREAAAAGLALPHSPYWSGLTIGGVLATGAHGSSLWGKGSAVHEYVVGMRIVTPAPASEGFAAVRELAAGDPDLDAAKVSLGVLGAISQVTLALQPLFKRSVAFVTRNDSDVAETVAAWGRLHEFGDVAWLPRRRVAVYRVDDRVDVATPGDGRSDYPAFRPTPTLPLVASRLAEEWLEERSGSDAARCAASRVMPATLEHLNYGLTNDGEAFTGYPVVGYQHRIQASSSCTGAMEDGGLIPTSTCLWNGRLRGHLFYNSGFSIALSRAPAFVADVARLRDLNPSAFCQIDSKMGLLMRYVAASSAYLGKAEDSVDFDVTYYRSYARGAPRAHADVFDEVEQMALRKHGGVPHWGKNRNYAFDGAIARYPNAGEFMRVKDRFDPDGVFSSEWSDQVLGVGGASPVIVGDGCAMEGLCVCSDDSHCAPEKGYFCRPGKVFTAARVCSPSRDAANGGGDDDEILRGDV >ORUFI04G10140.1 pep chromosome:OR_W1943:4:13751097:13756549:1 gene:ORUFI04G10140 transcript:ORUFI04G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAEVPEAAFVEPPPLGHGDGEDNHRRWHESFQPLSEFAGSDDEPRLVHSYTEVVSGFATRLTDGELDAVSKKHPGTSETWTMVNRCELDETYSEPKKSGIFDITI >ORUFI04G10150.1 pep chromosome:OR_W1943:4:13751417:13756612:-1 gene:ORUFI04G10150 transcript:ORUFI04G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSTVPRSLVLSAACLPPFKLDRDVKYSRLLWLRGSNCRGELCKEASDYNVLLLRRATLSQTMLSGDADQISAQKGQGGNLKDAEVSDVPAFF >ORUFI04G10160.1 pep chromosome:OR_W1943:4:13818556:13820908:-1 gene:ORUFI04G10160 transcript:ORUFI04G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDQRTVGAENLPMPAQWHRISVHNEQLGAFAVQNSAVYVEGDIETRVYNDRINDQVKNIPEICVRRDGKIQLMQSGDSNVGKSLEELKKHLPGQ >ORUFI04G10160.2 pep chromosome:OR_W1943:4:13818556:13820908:-1 gene:ORUFI04G10160 transcript:ORUFI04G10160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDQRTVGAENLPMPAQWHRISVHNEQLGAFAVQNSAVYVEGDIETRVYNDRINDQVKNIPEICVRRDGKIQLMQSGDSNVGKSLEELKKHLPGQ >ORUFI04G10170.1 pep chromosome:OR_W1943:4:13841840:13842397:1 gene:ORUFI04G10170 transcript:ORUFI04G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTNCLLALAIAGVVLVSLPGLSRGDGECNPSGAIRSSTTHRCQDCCKAGQSYPTYTCSPPTTGSSTDAVMTLNDFDAGGDGGGPSECDEMYHSNTELVVALSTGWYAGGSRCGKSVRINANGRAVLALAKVVDECDSQRGCDEEHAYQPPCRPNVVDASQAVWDALGITGEDVGEYDITWSDA >ORUFI04G10180.1 pep chromosome:OR_W1943:4:13843276:13843828:1 gene:ORUFI04G10180 transcript:ORUFI04G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSTLAAGASWHLAANKCTPTATVELAVKPNRNPKCQGCCWRNHTYPNARLLATDVGLRDQGGDYVARLRPPSATRDRLPAAMRGPNAIAVSPDVWDALGLGTTCTKDRKYIVSLG >ORUFI04G10190.1 pep chromosome:OR_W1943:4:13861210:13861551:-1 gene:ORUFI04G10190 transcript:ORUFI04G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRRPLRSSRATFTAPATPSRRTASFCSAVVGVRAVDPAVRGARSAACGQQIQQGKGASSDGSGRGGRARGSAQVWASAGDENGHNEGRTEMANVARVLIDLGRVGPSFSGA >ORUFI04G10200.1 pep chromosome:OR_W1943:4:13869988:13873900:1 gene:ORUFI04G10200 transcript:ORUFI04G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFIHRAAALLCLAVATAVRLSSITAMSCQRKCGDIEVPFPFGIDSDQPGCAKPGFELSCSNSTERGVPTLLRKVKPLNRSVEVLGISLPKGQLRMRMRMSSHCYNTTTRVMDCVDNGWMDLTGSPFTFSDSANKFTAFGCQVLAYLGAGEQRDIGSNLRIGCAASCGKGDDLVTNRGGRCSGIGCCQTAIPKGIKYYKAWFDDRFNMSSMYTWNRCAYAALVEESSFNFSMIYHSSSKFNSDTVSSQPPFVVDWVMGNVTCKEARKNLGTYTCISNNSICLDSQNGPGYICNCRQGFQGNPYNKGLDSCQDINECDDPKKYPCYGKCINKLGGFDCFCPAGMRGNASVGPCRKEFPLGIGIAIGLGVGFGILILSLSVVFIIRKQRKDIQRQLRKKYFRKNKGLLLKQLISSDERASDSTKIFSLEELKEATNNFDATRVLGSGGHGMVYKGILSDQRVVAIKKPNIIREEEISQFINEVAILSQINHRHIVKLFGCCLETEVPLLVYDFVPNGSLNQIIHADKSNRHFSLSWDDCLRIATEAAGALYYLHSAASVSVLHRDVKSSNILLDSNYTAKVSDFGASRLIPNDQTHVFTNIQGTFGYLDPEYYHTGHLNEKSDVYSFGVVLLELLLRKQPIFDDGTGTMKNLSIYFLSEIKGKPITEIVAPEVIEEATEDEINIVASIAQSCLRLRGEERPTMKQVEMSLQSIRNKVLSSGSASSKSNHEIETPLCESYVDLRQSIVVDINGIANLVSSNCYILQHEFMLSASFGR >ORUFI04G10210.1 pep chromosome:OR_W1943:4:13930600:13931190:1 gene:ORUFI04G10210 transcript:ORUFI04G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSAADPQPKSSGRADPPPVALGAVDPPSHAIERGGGKVVRQSRQWWRLAHRLRWRYPTTACDLGHLALDVCSSCLRKQVGRMQRSFL >ORUFI04G10220.1 pep chromosome:OR_W1943:4:13944448:13947833:-1 gene:ORUFI04G10220 transcript:ORUFI04G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELIIPTLLCLTIATSRGLAVVAASTCQRSCGSIDIPFPFGIKGQAGCAMPGFELICNSTGNSIPKLLLRNVELLNISLPEGQARMRMPMSYECYNMTKHDMDCVDKANLSFTGSPFTFSNSANKFTVFGCRMLGYLGPGGQSAVGSNLTIGCATSCGQGDDLISINGEGCSGIGCCQTAIPKGIKHYKVWFDTHFNTSVIHNWSRCSYGALVEEASFKFSTIYATSSNFSNPFGGEPPFVVDWVVANNTCAEARKHLDSYACASSNSVCIDSSNGPGYFCKCSQGFEGNPYLQGHDGCQDINECEDSNKYPCYGKCINKLGGFDCFCPAGTRGDASVGPCRKEFPLAFGIAIGLGVGFGILLLVLTVAFLVRKRKNDIQKQLRKKYFRKNQGLLLEQLISSDECATDSTKIFTLEELKEATNNFDPARVLGSGGHGMVYKGILSDQRVVAIKKPNIIREEEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFVPNGSLNRIIHADPSMREFTLSWDQCLRIATEAAGALYYLHSAASVSVLHRDVKSSNILLDANYTAKVSDFGASRLIPNDKTHVFTNIQGTFGYLDPEYYHTGHLNEKSDVYSFGVVLLELLLRKQPIFDSESGSKKNLSIYFLSELKGRPVAEIAAPEVLEEATEDEINIVASIARACLRLRGEERPTMKQVEMSLQSIRNKGFRSGTVSPEDSDELQTPQSEGHVDYHQAMGINSMANLASPGCYSLQEEFMLSGSLPR >ORUFI04G10230.1 pep chromosome:OR_W1943:4:13994141:14005968:-1 gene:ORUFI04G10230 transcript:ORUFI04G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYYENMSVPLCTITCPNKKMTEADAMMNCNGTGCCYFSLEYYMSLFQLQFVRRNKTVSHSVNSSMWNSIQLRLYSPYLKWSIVDQPSCSSALGNRTSYACASRNSSCLDSSSSGFGYLCSCDSGFAGNPYIPEGCSRDKGIYKELNTFLYIFDHAYIIQNIPVSIKNIPSKANVMIEDFVDVGDFNDIFPMKSGYNPVQQKANCSQSCGNITVPYPFGLEEGCFARKLFHLNCTDANSSTLLFDDYNQVTDIKIDEGVVQIKHAAGGYGDQEFMAIDGEPHLYDGPWEYSISVGWAVANLTCTEAKQNASGYACVSTNSNCVPVNSTSGYVGYRCNYSPGFHGNPYIQDGCIDIDECLIPGFCNGTVCNNSIGSYGCTSCPEKNRIRRYEKSVYSGKFWAQPCSLRRSMKRQFLIRQRDEYFQQHEGQLLSDMMKIDRNLEFTLYRQEDIEVATNNFDKNQIIGEGGQGTVYKGFIENIPVAIKRCKGMDESRRMEFGQELLILCRVNHDHVVKLLGCCLLFEVPILVYEFVPNKTLHDLLHGQDRRYYISLATRLRIAAESSQALGHLHSLARPILHGDVKSANILLGDNLIAKVADFGCSIIARMDEEALVAKGTVGYLDPEYLQSCKLTDKSDVYSFGVVLVELLTGKKPRCLVSMFRDAMKEGTVDELIDEEIINEDDLEVIHQVAELTSRCLTMPGDKRPVMSQVAQELHRFTELLRQRLDAAGDLNALRKVDRSFTGTTDSTGYTKSRTTGTIDSTSYTRSRTTEYFTLGITS >ORUFI04G10240.1 pep chromosome:OR_W1943:4:14006032:14006391:-1 gene:ORUFI04G10240 transcript:ORUFI04G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIFLLPAGYSLLVLVLLPMPTGGALAAPTLAGCNSRCGNLTFAYPFGIGQGCFRNPDFELICHHGDNTQQPSLFLQGSSLQVVEDIVVRSSDDYNVHSFGVNMSDAISVESRFDVYN >ORUFI04G10250.1 pep chromosome:OR_W1943:4:14011093:14011422:-1 gene:ORUFI04G10250 transcript:ORUFI04G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCLAPLISSQSKELLLWPDSLLPLLLKDKFEVEGRASFVQGDDATSIAVTPLRSGLPVMPVYGHVQRHGRHDSVSLTVDEVRIEVSTDDFAVWKAHRKFQEFLGLP >ORUFI04G10260.1 pep chromosome:OR_W1943:4:14040825:14047409:-1 gene:ORUFI04G10260 transcript:ORUFI04G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSPHAANSLQLLLVFLLATTTLLTSAKDLAAPRRQEGHGRVVPSTATLAGCQSSCGDLTFVYPFGIGSGCFRSPDFELTCDSTTSPPRLLFRDGITQIAGSINIVSTEFMDTDNSVSTRFSHTISMRNASVVSWSLSPKLLEHSLDAFYLTLWGLRFSGCDFDVYWLNRPSINKTTPNCTATCPKGESTGMVSPMQDCNGTGCCSIDFGAEINAYSSTLEFKFNNRSLSWDTIYITDATYTARGLSWKIADQPDCASARKNQTSYACVSNKSICRDPDDVKQGYNCVCRNGHIGNPDILDGCSLDSEYNPLQRKMNCIRQCGNISVPFPFGLEEGCFARKGFYLNCTNSTSSTLLLDDQYHVTNIYVDNGTLEYVHPETNPETSIGTYYELGLQSLYVQVGTPIVSVQWVAAHLTCQDAKRNSSGYACMSTNSECITSKPTDTFVGYRCKCAHGYQGNPNIINGCVGIVIGLSVGFGILLACLSGIYFIRRWRNGIQKQLRKKYFQKNKGLLLEQLICSNEKPSENKIFSLEELQKATNNFDPARILGSGGHGIVYKGILSDQQVVAIKKPKVIKEGEINQFINEVAILSQINHRNIVKLHGCCLETEVPLLVYDFIPNGSLFRIIHANPSNEEYLSWDDCLRIATEAAGALHYLHSAASMSVFHRDVKSSNILLDGKYTAKVSDFGASRLIPIDQSHVITNIQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRKEPIFTDESGLNKNLSNYFLWEIKARPIREIVASQVLEEATEDEINTIASLAEECLRLRGDERPTMKEVEMTLHFLHSKINVVEQSNNGRHPLQEPTRPPHHEAMTIDTGNKAILESSSCYNLEREFMSSASIPR >ORUFI04G10270.1 pep chromosome:OR_W1943:4:14065383:14067258:1 gene:ORUFI04G10270 transcript:ORUFI04G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDDSCCSGGGGGGGAPLIRQLVGDVVMWRRGDVSACLLAATVSGWLLFGSGGYTFLSLASNVVLLLLTVLFLWAKAARLLNRPEPPIPEMHVSQQVVNEVAALLHSGMNTVFSAFHDIALGKDSVLFYQVFLSLWIISIIGSLTDFTTLCYTSIVAVLTIPALYQKYEECIDRYMRFAYMNLQMYEMVYERFSAKCFHRARDLVIEVLKEP >ORUFI04G10280.1 pep chromosome:OR_W1943:4:14085667:14108375:-1 gene:ORUFI04G10280 transcript:ORUFI04G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKENTLEHARVMPVDLTYKKLEEITNGFSEEHKVGSGGYGVVYKGVLENNTEIAIKKLHQMVGLDDVQFKKEFNNLMRVQHKNIIGLVGYCYEIRHKHIKVDGDYHFSRMEERALCFEYLHRGSLDKYLSDETCGLDWHTRYKIIKGICEGLDYLHNGRPEDQIVHLDLKPANILLDENKMPKIADFGLSRLFGSTQTFTTRTFIGTVGYMPPEYIERRHISMKFDVFSLGVIIIEIMAGQSGRSTSAEMSPQQFIDTVQEKWKKRMQEISSHTSSHEADSLEVKTCIEIAVRCVEPDRKKRPTLREIIDKLNELENVRKALIGQSSAGCKSERLVIDPLEVRFPFEKDRDVSCVLQLTNRSADRVAFAVQVDESKYRAVPDRGVVQPRSRRYIVATSRAQASAPTNLQRDDSFLLRSKRVEGSADDDFAEDFEKLMGKAVDGETMRLPLEHKKNLSPDRSKSMAFQFHTLLSAVTIWLGVAAATARLHAAVAGARAPPPPPPPGNCQRKCGDVDIPYPFGVWNGSESDGCAVPGFYLNCDVDDNHVYRPFHGNVEVLSISLPTGQARVTNSISSACYNTSSRDMDYNDWQINFTGTPLTISDADNKFTVVGCQTLAYITDDDNMGKYTSGCVAMCQGGDLTSLATNGSCSGIGCCQTAIPRGLKYYRVRFDTGFNTSEIYNVSRCSYAVLMESKAFSFRTSYVSSLEFNSSNGGRVPLVVDWAIGNETCDKARRKVDTYACVSHNSKCFNSSNGPGYICNCSEGYQGNPYLQDGQHGCTDIDECADPKYPCSVPGTCHNLPGRFECLCPRSRPKGNAFNGTCERDQTLHTGGKVAIGISGFAIVGLVVFLVREVIQHKRSIKSQALQRQTDMYFQQHGGQILLELMKVESSAEFTLYDRETIEVATNNFAKENIVGKGGQGTVYKAVLDGTTVAIKRCNEVDESRRADFVQELVILCRVNHPNVVKLVGCCLQFEAPMLIYEFVQNKTLQELLDHQRSRKFHVTLGTRLRIAAESANALAHLHSLPRPILHGDVKPANILLAEGLVAKVSDFGCSTIDEKTQAVVKGTPGYLDPDYLLEYQLTAKNDVYSFGVILLELLTSKKPLSKERTSLIPIFQGAMESGKLIELLDSDIVDEANMGVIRQAASLASQCLANPSSSRPKMRQVAEQLRRLALADEVQQCPQPPLVLDGLILIEMGSTTSSWYTGSGTSRLTISWLTAIAVAAAALLAGGAEAQCLHTCGGIDIPYPFGIGSDSDCALRGYKIVCNNSRPFYLDVEVLNISLQLGQMRMMTPISSSCYNTTSRKMDSNRWGFNLTTAFTVVGCRSLAYISDPMSNYTSGCASSCPGDKVVSATNGTCSGIGCCQTTIPRGLEYYEVSFGESLNTSEIYNHTPCSYAVLMDYYNFTFSTSYLASPLEFNTTYGGEAPVMLDWAIFWGAPNCVEAKKNLTSYACKSDHSVCLNYSSGKQLAYMCNCSEGYQGNPYLQCSNGCQDINECEHPESYSCYGECRNKDGGFDCSCHAGTRGNASIPGGCQKNFLTPKAQVAIGTYLFQHCVASSYDVLDQVIRHKRSIKRQALLRQNDEFFQQHGGQLLLEMMKVEGNAGFTLYDRQEIETATNNFNKTHIIGEGGQGTVYRAVLGGFAVAIKMCKEIDESRKMEFVQELVILCRVNHPNIVKLLGCCLQFEAPMLVYEFVQNKTLQELLDVQRSRRFHVTLGTRLRIAAESAGALAHLHSLSHPILHGDVKPANILLAEGLVAKVSDFGCSTIDENTQAVPKGTPGYIDPDYLLEYQLTAKNDVYSFGVILLELLTSKKPLSKERKSLTSMFQEAMVNGTLLELLDNDIVDEASMRVIHRVAVLASQCLVVPGTTRPAMALVAEELRRLALADEVQRYPQPPLVLEGLSFVDMGSTMSIWYNESKTSGVYSLENKVVLSTEFAR >ORUFI04G10280.2 pep chromosome:OR_W1943:4:14108376:14109468:-1 gene:ORUFI04G10280 transcript:ORUFI04G10280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQWSRRYMVATSQAQASAPANLQRDDSSLLRSKRVVPGAVVGDVGCAADDDFARGRLRKCLSRR >ORUFI04G10290.1 pep chromosome:OR_W1943:4:14104051:14104428:1 gene:ORUFI04G10290 transcript:ORUFI04G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPLGMPRRRRGCLSRSPDAAERELGDCREPRKDPLRGVAEHGTSIERESVAHGGVRLLPLRVGERRVAFGRGEQHEHPGQVLRVVALRRWRHESRDGWGHGEVQAIVEEDMKKKGRSRIGEKK >ORUFI04G10300.1 pep chromosome:OR_W1943:4:14121071:14131553:1 gene:ORUFI04G10300 transcript:ORUFI04G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDLPVHFLEDITKKFSDHQIVGSGGYGQVYKGVLTNGTEIAVKKLYDLRGLDDVQFSNEFSNLMRVQHKNIVRFIGYCNEARHELMEVNGEDVLCKMIYKVLCFEYLPRGSLENYLHGEYGEESRGLDWCTRYKIIMGICEGLSYLHGGLEEPILHLDLKPANILLDNNMVPKIADFGVSRPFGGSHTHTTKVCVGSEYYMAPEYLAQRKISNKNDIFSLGIIIIQIMVGHKGYSKYGEMSSTQQFVDLVANNWRNRIGDTSMHAKEECQQVKKCVEIAVRCVEVDRHSRPAINDIIHELKQTEIYTRAVSSSQDQAKVAKIGLWGGAGGSSHYDIEVAPRRLESLIISSGEVIYSLEFSYIDHSGQQHTSGTWGGYGPNKGNKRTKIQLGLIEYVTEVSGTIGPFDRAPAGVITSLTFITNKGSYGPFGEVRGTPFHIPVQDNGSIVGFFARAGWYVDAFGIYVNPKQKTVEDDDDEDSLAKIGPWGWNGGSHRDIKVAPRRLESVTIHSGNVIDSLEFSYSDRDGQKHSIGPWGGLGGTAYTIELGPLEFLTGICGTMGPFNEAPDRDVVTSLTLITNARRRGPFGRGGGSPFQIPMRGNGSIVGFFGCADSFVHAIGVYANPHLQEAPAPQTGLTRIGPWGRSGGESHYVDAPEPHRLVSVTIRSGDVIDSIEFSYADHDGSEQVVGPWGGPGGNAYKIELMPLESLQGITGTFGPLDAASPDTVVITSLTFSTNQCLSYGPFGQGAGGGGTPFTAPGESDGCIVGFFARAGCYLDALGVYTRTDAQLY >ORUFI04G10310.1 pep chromosome:OR_W1943:4:14135156:14135670:1 gene:ORUFI04G10310 transcript:ORUFI04G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQASASPFPGVFGNTNSSRHAFASVSLDDDFKHMESNTQDASGDDIVDGKEKGKAPALAGYEREWLRRIFLRFKLDVDGSLTKLELAALLCMLRLRLAWVIVPDFTKAQQLRLH >ORUFI04G10320.1 pep chromosome:OR_W1943:4:14136059:14137096:-1 gene:ORUFI04G10320 transcript:ORUFI04G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVEGNIGFTLFDRVQIETATGNFSKTHIIGEGGQGTVYKADLDGVAVAIKQCKEIDESMKRDFVQELVILCRVNHPNIVKLLGCCLQFKGPMIVYEFVQNKTLQELLDLQRSRRFHVTLGTRLRIAAESAEALAHLHCLPHPILHGDVKPANILLAEGLIAKVSDFGCSTIDEENQAVPKGTPGYIDPDYLLEYQLTSKNDVYSFGVILLELLTGKKPLSKERRSLTSMFQEAIAHDTLRELLDIDIVDEASMRVIYRAAMLASQCLVVPGTTRPAMTVVVEELRRLALADEVQRCPQSPLVLEDLSFTDTGGSTVSIWYNGSQTSGVYNLEKKAVLSTGFAR >ORUFI04G10330.1 pep chromosome:OR_W1943:4:14138248:14138589:1 gene:ORUFI04G10330 transcript:ORUFI04G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIRRDYGCGDDGRLQAGGAEAQRQRAHRRIQPPLRVPRAWFRLQQCRSSAVVGVDPAQVTTAGCKRDGGGSVRCSATTRTVSTFAAVSLSSGIHGGAAAGTYTTCHRRCPL >ORUFI04G10340.1 pep chromosome:OR_W1943:4:14154401:14155204:-1 gene:ORUFI04G10340 transcript:ORUFI04G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDQPGCAIPGFEVSCKRDGLLLKPFLSDDVEVRGILLAESQVRIKMDISWSCYNTINRTMDSINWFLSFRDTPYRLSDTGNKFTVIGCRTLAYITVTTPQQLESSSLTTGCVATCNSQGDLASLSDGTCSGIGCCQTTIPKGLQNYGIWFDDRFNTAKIYNMSRCSYAALVEASNFTFSTSYAMSSAFNDTYAGQAPLLLDWAIGNQTCEAARRNPNPDPKYACISNNSDCFNSSNGPGYICKCKEGFHGNPYLDDPELGCKGTK >ORUFI04G10350.1 pep chromosome:OR_W1943:4:14174474:14174948:1 gene:ORUFI04G10350 transcript:ORUFI04G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPPRAHRAIQNNGNGDNVNKYQSVPHRSFGFMILIVGGDVVGFLIAPVPPPPKCNPIYEIRNHISENHSNQMRQANAQVTQQKRKIMVTCFQIVFSTTKCYRPMLKKEED >ORUFI04G10360.1 pep chromosome:OR_W1943:4:14192514:14210551:-1 gene:ORUFI04G10360 transcript:ORUFI04G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHKIAAVALVLWLGVASSMARLPAVGALPPAPSSNCQRTCGDVYIPYPFGIGDDQSSPDHCAWAAGFYVSCKGNVPFVANVELLNISLQGQARVMNSISSYCYSKPKGPISANKAPPAPVLGGGTGNPKPDDPGNIMKSSGWFLDLTNWPYRFSDSQNKFTVIGCRTLAYISDEDDVGRYMSGCVSVCVGGNVSNAMNGFCSGIGCCQTAIPMDLQYYKVLFDPRMNTTGIYNETPCSYAVLMDSSSFTFSTSYLTSTAFNNSYGRRRRGQVPLVLDWAIRDASSCVEAKKNIDSYACVSSNSICLDSRNGPGYFCNCSKGYQGNPYLQGDGCKDIDECEYKINYPCYGVCKNKPGGFDCSCPPGFQGNATIQGGCQKEPVGTLTLRAKLAIGGVVCVLIGLICFLGWEVIQHKRSIKKQTMLRQRDEYFQQHGGQLLLEMMKVEGNVAFTLYEREQIEAATNNFNKENIIGEGGQGTVYKAVLDGIAVAIKRCKEVDESRKMDFLQELVILCRVNHPNIVKLLGCCLQFEAPMLISEFVQNKTLQELLEHQRSRRCLVTLGTRLRIAAESADALAHLHSLPHPILHGDVKPANILLDEGWVAKVSDFGCSTIDEKTQPVPKGTPGYLDPDYLLEYQLTAKNDVYSFGVILLELLTGKRPLSKERKCLTSMFHEAMADGTLLDFMDSDIVFEGSIGVIHQIALLTSQCLAFPGSMRPTMRQVAEELRRLALSDEVQQFQQPPLVLDGLIFTEMGNTTSSRYITSNTSGARVRNHISYACYNNSTRQIDSNVWELNLTGTGYRISDSANKLTVIGCRTLAYIADQDYVGKYMSGCVSVCRRGELIGVTNNTCSGKDCCQTAIPEGLDYYQVWFEESMNTSGIYNQTPCSYAVLMEASNFSFSTTYLTSPFEFNNTYGGKAPVVLDWTIQTANTCKEAEVNLESYACKSDNVKCIDSFDRTGYICSCQDGYQGNPYLQGSNGCHDINECQHGESYPCYGDCYNKPGSFDCLCHAGSSGNATIQGGCRKDLLSPTLCTNCRKTRLAIGVVASVLAGLFGFLGWEVIRHKQKIKRQALLRQTDEFFQQHGGQILLEMMKADGNDEFTLYKRGEIETATNNFSKAHVIGEGGQGTVYKAVIDGVAVAIKKCKEIDESRKMEFVQELVILCRVSHPNIVKLLGCCLQFEAPMLVYEFVQNKTLQELLDLQRSRRFHVTLGTRLRIAAESADALSHLHSLPHPILHGDVKTANILLANGLVAKVSDFGCSTIDERTQAVPKGTPGYIDPDYLVEYQLTTRNDVYSFGVILLELLTGRRPLSKERKSLTLMFQEARSNGTLIELLDSDIVDETSMRVIKRAADLVSQCLVVPGTTRPSMTLVAVELRRLAEADEVKRSPQPPLVLEDLRFMDMGSTTNTLYGESRTSGAYSLEKKAVLSIEFAR >ORUFI04G10370.1 pep chromosome:OR_W1943:4:14199418:14200805:1 gene:ORUFI04G10370 transcript:ORUFI04G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSEELIIEILKKITRTSDLNSLSLVSKQLYTINAEQRATIRLRCGLATEDFLALCSQFPNLLKVEIDYSGSTPGNGNHIDNQGLFVLSSCCTLLNDITLTFCSKINDAGIVCLSYCKKLISLKLNSLPEVTSSGLLMVFFGCKALSSLFINDCKGIAGSTEWLEHLGTDGSLEEHVVNNCQGISQYDFLKFGRGWMKLKKFEFENKESLWKHFGPSHDSSYNSNCVYKYDLCCQNLKDLRLARLITEPEGPEIGLRFLLRKCKALERLCLEYMLTSCKNLKSISLWMIPGLYHEPDGIVFRTGLTDESLEALTNSCPLLQDVELAFTGVDHWEPPEIGFTQEGLVKLMHYCPIRTLTLNGALFFNDKGMKGLSSAPFLETLSLIDCMEITDYGMSFLVQYPRLTDLKLQYCPGLTDVGMAELVHAQKLQSLVVDGCYNISENAAGHS >ORUFI04G10380.1 pep chromosome:OR_W1943:4:14251298:14260815:1 gene:ORUFI04G10380 transcript:ORUFI04G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSEELIIEILKRITRTSDLNSVSLVSKQLYAIDAEQRATICIGCGLSTEDFSALCSRFPNLLKVEIDYSGSTPGNGNHIDNQEVTSSGLLMVAFGCKALSSLYLNDCKGIAGSTEWLEYLGSDGSLEELIVSNCKGVSQYNFLKFGPGWMKLKTFEYENKENFFSIHPRYGSSVKANTHRYELCCENLKDLKLVRLVTEPDGPEIGLRFLLGKCKALEKLCLEYVTGVIDNDMIVLSQACKNLKSISLWLKPEHYVVGGHIEFRTGFTDESLKALALNCPLLQDVELTFTGCAHWDPPEIGFTQEGLVSFVESCPIRVLVLNGALFFNNKGMKALSSAQFLETLSLIDCNEVTDHGMRFIVHFPSLINLTLRFCHNVTDAGLSELAHAQKLQSLDVGGCGYISQKGVLGAAKSVYYEVNCKTMATTPTTTTTTPSAARLLIPIAAASRASFSTLALPRSPYPSPAPPPPVAAATSPSHGDHADHHHHHPLRRRIARFLLHPRPLVISLSLSRSAAAGCRGHLAGAASKCKGAGVEENKKGQEQEEQERRLRRWWTARRRPGRKRREEEEGIRAPRRWQRMLYFSMEHLSEELIIQILKRITRTSDLNSLSLVSKQLYTIDAEQRATIRLHCGLATEAFPTLCSRFPNLLKVEIDYSGWTSGNGSQIDNQCLLFLSSRCTLLNDVTLSFCSNISDPGIACLTYCKKLMSLRLNSIPELTSLALSSVYLNDCKGIAGSTEWLEHLGANGSLEELAVKNCKGIG >ORUFI04G10380.2 pep chromosome:OR_W1943:4:14252274:14260815:1 gene:ORUFI04G10380 transcript:ORUFI04G10380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSEELIIEILKRITRTSDLNSVSLVSKQLYAIDAEQRATICIGCGLSTEDFSALCSRFPNLLKVEIDYSGSTPGNGNHIDNQGLFVLTSCCTLLNDITLSFCSKINDAGIACLTYCKKLMSLKLNSIPEVTSSGLLMVAFGCKALSSLYLNDCKGIAGSTEWLEYLGSDGSLEELIVSNCKGVSQYNFLKFGPGWMKLKTFEYENKENFFSIHPRYGSSVKANTHRYELCCENLKDLKLVRLVTEPDGPEIGLRFLLGKCKALEKLCLEYVTGVIDNDMIVLSQACKNLKSISLWLKPEHYVVGGHIEFRTGFTDESLKALALNCPLLQDVELTFTGCAHWDPPEIGFTQEGLVSFVESCPIRVLVLNGALFFNNKGMKALSSAQFLETLSLIDCNEVTDHGMRFIVHFPSLINLTLRFCHNVTDAGLSELAHAQKLQSLDVGGCGYISQKGVLGAAKSVYYEVNCKSLGHYKRIDFQHYRRRLRRWWTARRRPGRKRREEEEGIRAPRRWQRMLYFSMEHLSEELIIQILKRITRTSDLNSLSLVSKQLYTIDAEQRATIRLHCGLATEAFPTLCSRFPNLLKVEIDYSGWTSGNGSQIDNQCLLFLSSRCTLLNDVTLSFCSNISDPGIACLTYCKKLMSLRLNSIPELTSLALSSVYLNDCKGIAGSTEWLEHLGANGSLEELAVKNCKGIG >ORUFI04G10380.3 pep chromosome:OR_W1943:4:14248146:14254135:1 gene:ORUFI04G10380 transcript:ORUFI04G10380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSEELIIEILKRITRTSDLNSLSLVSKQLYAIDAEQRATICIGCGLSTEDFSALCSRFPNLLKVEISNSGSTPGNGNHTDNQGLFVLSSSCNSLNDLTLSFCSKINDAGIASLTYCKKLMSLKLNSIPDVTSSGLLLVAFGCKALSSLYLNDCKGIAGSTEWLEYLGSDGSLEELVVNNCQGISQYDFLKFGRGWMKLKKFEFVNKETMVNHFITRHDPSYSANCVYKYDLCCENLVDLKLARLRTEPEGPEIGLRFLLRKCKALEKLCLEYVGGLIDKDMIVLSQSCKNLKSISLWMMPRRFHEHEVLRMGFTDESLEMLAHNCPLLQDLELTFAGVEDLEYPEIGFTQEGLVKLMHSCPIRSLTLNGTLFFNDKGMKGLSSAPFLKTLRLVDCKKITDYGMCFLVHYPCLADLKLQYCSGLTDVGIAELVHAQKLQSLVVEGCSNISEHAVQGSARSVQYFPNSARSVRGRARRRCPDTAAERDCGSEVAARERGFPLPPPHPPLLLFLLHDHLLPTLPSSAGPLPPALFLVPLQETIPAIDRRFLRGLWTPATP >ORUFI04G10380.4 pep chromosome:OR_W1943:4:14242015:14250158:1 gene:ORUFI04G10380 transcript:ORUFI04G10380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSEELIIEILKRITRTSDLNSLSLVSKQLYAIDAEQRATICIGCGLSTEDFSALCSRFPNLLKVEISNSGSTPGNGNHTDNQGLFVLSSSCNSLNDLTLSFCSKINDAGIASLTYCKKLMSLKLNSIPDVTSSGLLLVAFGCKALSSLYLNDCKGIAGSTEWLEYLGSDGSLEELVVNNCQGISQYDFLKFGRGWMKLKKFEFVNKETMVNHFITRHDPSYSANCVYKYDLCCENLVDLKLARLRTEPEGPEIGLRFLLRKCKALEKLCLEYVGGLIDKDMIVLSQSCKNLKSISLWMMPRRFHEHEVLRMGFTDESLEMLAHNCPLLQDLELTFAGVEDLEYPEIGFTQEGLVKLMHSCPIRSLTLNGTLFFNDKGMKGLSSAPFLKTLRLVDCKKITDYGMCFLVHYPCLADLKLQYCSGLTDVGIAELVHAQKLQSLVVEGCSNISEHAVQGSARSVQYFPNSARSGATHLKRLVD >ORUFI04G10390.1 pep chromosome:OR_W1943:4:14264771:14275295:-1 gene:ORUFI04G10390 transcript:ORUFI04G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTKLAADQPLAAAGPIARSCHDCGGVHVPYPFGISSSGCAMAPSFEVDCNDTGNGVSKPFIGNIEVVSLGNGQARVMNHVSSSCYNLTSRQMNPADEWYLNLTGTPYRLSDSANKFTVIGCRTLAYIFDDYNVGKYMSGCVSVCRRGDLSSAINGSCVGIGCCQTNITTGLSYYEVMFDYTLNISGIYNRTPCSYAVLMESSSFTFSTTYLTSRAFNTSYGGQVPLVLDWAIRTANNCVEAQKNIASYTCKGDYSVCLNSTNGPGYIYINECQDSNNYPCHGECHNKPGDFDCFCRAGSRGNATIPGGCRKDFLPLKAQLAIGIAACVLAGLFAFLGWEVIRHKRSIRKQALLRQTDEFFQQHGGQLLLEMMKAEGNIGFTLYKRVEIETVTKNFNKAQIIGEGGQGTVYKAVLDGTVVAIKKCKEIDESRKMDFMQELVILCRVNHPNIVKLLGCCLQFEGSMLVYEFVENKTLQELLDLQRSKRFHVTLGTRLRIAAESADALGHLHSLPHPILYGDVKPANILLAEGLVAKVSDFGCSTIDEKTQSMLKGTPGYIDPEYLLEYQLTAKNDVYSKRPLSKESKTLASMFQEAMMDGTFHELLDSEIIDEASMGIAVLAIQCLALPGMSRPVMEQVAKELRRLALSDEVQQCPQPPLVLEGLNFAVMGSMCTTSLLYTEGNSTGVYDLEKKTVMSTEFAR >ORUFI04G10400.1 pep chromosome:OR_W1943:4:14275430:14279159:-1 gene:ORUFI04G10400 transcript:ORUFI04G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQGESVFQRSYVVRTVSTGRTGQHPLSGETNPEQQRRRIQDSQKSSVPFHMLPSLLWLCIGIAAYCSAASAGICERSCGGVEIPYPFGLDPACALPGFNLNCNATGDGKPYYKDVELLNISLTEGQVRMRMDIANYCYNSTSGGMNGRSWSLNLTGTPYRLSDFGNKFTAIGCQTLAYLIVGDELTTGCVATCKEDDLVRLTDGVCSGIGCCQTAIPKGLQYYGVTFEQGFNTTKIYNMSRCSYAALVEASSFNFSKNYSTSSAFNDHYRGQAPLLVDWAIGNETCKIARGKSNFTCISKNSECVDSLNGPGYRCNCSQGFYGNPYLKPEDPDSCQDIDECKEPYKYPCHGKCRNKVGGICASLVVALTTLLGIEWIKYKQRIKRQDIMRKRGEYFHLHGGQLLTDMMNIENNISFKLYDRDDIELATKGFDKTSIIGEGGHGTVFKGYNLDQVNNPVAIKKCKGFDENSRTEFTQELLILSRVNHENIVKLLGCCLQFEVPVLVYEFVPNKTLHYLIHSQNDPSIRTLEIRLKVAAESAEAFSYLHSLDHPILHGDVKSMNILLSNNFIAKISDFGCSKIRAADGHDDVVKGTIGYLDLEYLLKFELTDKSNVYSFGVVLLELLTRRTPLSKQKVSLASVFQEAMKEGLFLELIDTEILHEDNMGLIGDLARLACQCLAMTSESRPTMCRIAEELRRIEKQVRQHRGVLTTISSMSLLASSSADTSLHFTGETNGYNSLRSVAAMSIEFAR >ORUFI04G10400.2 pep chromosome:OR_W1943:4:14275430:14279159:-1 gene:ORUFI04G10400 transcript:ORUFI04G10400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQGESVFQRSYVVRTVSTGRTGQHPLSGETNPEQQRRRIQDSQKSSVPFHMLPSLLWLCIGIAAYCSAASAGICERSCGGVEIPYPFGLDPACALPGFNLNCNATGDGKPYYKDVELLNISLTEGQVRMRMDIANYCYNSTSGGMNGRSWSLNLTGTPYRLSDFGNKFTAIGCQTLAYLIVGDELTTGCVATCKEDDLVRLTDGVCSGIGCCQTAIPKGLQYYGVTFEQGFNTTKIYNMSRCSYAALVEASSFNFSKNYSTSSAFNDHYRGQAPLLVDWAIGNETCKIARGKSNFTCISKNSECVDSLNGPGYRCNCSQGFYGNPYLKPEDPDSCQDIDECKEPYKYPCHGKCRNKVGGYDCTCPFGTRGNAYNGPCDKGLAIGICASLVVALTTLLGIEWIKYKQRIKRQDIMRKRGEYFHLHGGQLLTDMMNIENNISFKLYDRDDIELATKGFDKTSIIGEGGHGTVFKGYNLDQVNNPVAIKKCKGFDENSRTEFTQELLILSRVNHENIVKLLGCCLQFEVPVLVYEFVPNKTLHYLIHSQNDPSIRTLEIRLKVAAESAEAFSYLHSLDHPILHGDVKSMNILLSNNFIAKISDFGCSKIRAADGHDDVVKGTIGYLDLEYLLKFELTDKSNVYSFGVVLLELLTRRTPLSKQKVSLASVFQEAMKEGLFLELIDTEILHEDNMGLIGDLARLACQCLAMTSESRPTMCRIAEELRRIEKQVRQHRGVLTTISSMSLLASSSADTSLHFTGETNGYNSLRSVAAMSIEFAR >ORUFI04G10410.1 pep chromosome:OR_W1943:4:14289917:14290278:-1 gene:ORUFI04G10410 transcript:ORUFI04G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRTKHPPGATTMCLNPHHAVAKCLDPCHATTAWVGMPSSTLIHTALARYLRSGGNVIATGVGMPPPQLWNTASREYSGEQEGMGAATETTMAMVERMEKI >ORUFI04G10420.1 pep chromosome:OR_W1943:4:14290334:14303376:-1 gene:ORUFI04G10420 transcript:ORUFI04G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACHRGRTMLWLAIAFASLELGLITGGAEAECPDTKCGSVDIPYPFSIGPGSCAIPGFELVCKNYSRPFLGDFEVVNISLQLSQLRVLNGISSFCYNPSSQKMKPIRFHSKLDTPFMLSDTGNKFTVIGCRTLAYITDEDNVGKLMSGCVSACRRGDVTSATNGTCSGIGCCQTTIPKGLNYYKVSFDQAFNTSDSIYNTTLCSYAVLMDSSDFKFSTSYLTSPEFNTSAPMLLDWAIRTANNCDEAQKNLTLYACKSDKSECFNSSNGPGYICNCTNGYQGNPYRQDGCQDIDECKEPNKYICYGKCRNKDGGSDCTCPFGTRGNAHTGPCDGGLAIGICASLLVTLTILLGIEWFRYKQRIIRKDLMRQREELMRQREEYFHLRGGQLLRNMMSRDNNIPFILYDRDQIESATNGFDNMLVIGQGGQGTVYRGCINLHPDNPVAIKKCKGFDEDSWAEFTDELLILSRVNHENIVKLLGCCLQFDVPILTLYNLIHIQNDPSIRTLEIRLKVAAESAEALAYLHSSVDHPIILHGDVKSTNILLNKNFIAKVSDFGCSKIRDADENYDVVKGTMGYLDPEYLRNFQLTDKSDVYSFGVVLLELLTRRMPLSVDKVSLASIFQEAMREGHFLELIDAEILHEDNMGLLSDLATLANRCLIMTSESRPTMSTVADELRRRMAGQVQQDQGVLTKMAACWKIFMCVEFPSQHPTGDTDEEESKGKNKINIS >ORUFI04G10430.1 pep chromosome:OR_W1943:4:14305905:14306921:1 gene:ORUFI04G10430 transcript:ORUFI04G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCVIVSLPSNLLIPLTPLCISLGSEAVKEPRALAEAENEPRAGGCKPSQRGPACQAHWSCLVLPCSWKRQLALDWREEDGKRQPQSDFHGKMGDGRGREAGGAGLIFFILSSFLPISVDAKLVQQLLGYRIATLPIRKRQLATSMESRPALQPAAHPVLVVAGDVALDRTCSGSQLWRTGTTSVDDEYANVLGAHACLVEKTAPNMMASASIEPHLCHARVRWLDEHGDEAMLRRARLNTATTSEGGPRAAALQSEVATQWQPEA >ORUFI04G10440.1 pep chromosome:OR_W1943:4:14315542:14318194:1 gene:ORUFI04G10440 transcript:ORUFI04G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKGPLICVGSGLAIEALPALFSQFPNLHKVEINYSGWAPGNGSQIDNQGLRVLSFSLPLLNDLTLSFCSEINDSGLACLTNCKMLMSLKLNSTPEITSRGLLSLAVGCKTLSSLHLNNCKGIASSTEWLEHLGTNGSLEELVVKNCKGIGQYHFLMFGPGWMKLQKFEFENEQSFWSIFRRDRDPSYKAHTYRYDLLCEGLKDLRLVHIVTEPKGPEIGLRFLLGKCRSLEKLSLEYVSGLIDNDMIALSQTCKNLKSISLWLKPEHYNVGDDIIFRTGFTDESLKALALNCPFLQKC >ORUFI04G10450.1 pep chromosome:OR_W1943:4:14318606:14320112:-1 gene:ORUFI04G10450 transcript:ORUFI04G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRGEYFNLHGGQLLTDMMNIENNISFKLYDRDEIELATKGFDKMSIIGEGGQGTVFKGYNLDQVNNPVAIKMCKGFDENSRTEFTQELLILSRVNHENIVKLIGCCLQFEVPVLVYEFVPNKTLHYLIHSQNDPSIRTLEIRLKVAAESAEAFSYLHSLDHPILHGDVKSMNILLSNNFIAKISDFGCSKIRAADGHDDVVKGTIGYLDPEYLLKFELTDKSDVYSFGVILLELLTRRTPLSKQKVSLASVFQEAMKEGLFLELIDTEILHEDNMGLIGDLARLACQCLAMTSESRPTMSRIAEELRRIEKQVRQHRGVLTSISSLSLSASSSADTSEHFTGETNGYDSLRRVAAMSIEFAR >ORUFI04G10460.1 pep chromosome:OR_W1943:4:14331536:14332805:-1 gene:ORUFI04G10460 transcript:ORUFI04G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFHLLFVLPWLCIAVLASDPLPSCERNCSGIEIPYPFGLEPACALSGFNLTCNTTEGKLYSGNVELLNISLLEGWVRMRMDISSYCYNDTLQLDEHDWSLDLRDTPYRLSNVGNMFTVIGCRTLAYVDNLDTAGNLTTTGCVATCWQGNYSSLNDGDCFGIGCCQMNIPKGLHHRSNFTFSKNYSTSSAFNDYYGGQAPLRVDWAIGNETCEVARDKANYSCMSTHSDCFNSLNGPGYICNCSKGFYGNPYLKSDDSDSCQVFLEGENCRVKLNN >ORUFI04G10470.1 pep chromosome:OR_W1943:4:14342330:14343272:1 gene:ORUFI04G10470 transcript:ORUFI04G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGDTMAELAQLSGANLVRYLQTTNRMADYKVAAHVFGEHERRAAETEAYLQAKIDSPQMECDLLAKECAYSEGGKKTNIDSNINEYSLSWEVLILIKIDQEYVLEEETVPLLPSSMQQPSSLGLISMRGSPIAPALVATSFASVADMSPPLVEESDQGNIEMQNQLSHLHLNNLMDQLSFDAWMEETHLYE >ORUFI04G10480.1 pep chromosome:OR_W1943:4:14344130:14346272:1 gene:ORUFI04G10480 transcript:ORUFI04G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNECGRGCVGAVSGPGLEIAIRKNKEDLVNHDATGPKFIQEFTIQCSKQLFDIYRNKEDMHDRKEHTPSP >ORUFI04G10490.1 pep chromosome:OR_W1943:4:14365264:14375625:-1 gene:ORUFI04G10490 transcript:ORUFI04G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHRGRTMLCLAAIAFVSELLTGGTKAQCPDTKCGGVDIPYPFSIGPGSCAMAGFELDCIDSRPFLGDFEVLNISLHLSELRVLNKISSFCYNPASKLMEQNTFESNLDTPFMLSDTSNKFTIIGCRTLAYITDQDYVGKYMSGCVSVCRRGDVTSATNGACSGIGCCQTAIPKGLGYYQVFFDTGLNTSNSIYNATPCSYAVLVDSSNFNFSTNYLTSVEFNTTYGGRAPMVLDWAIRTANNCEEAKNNHTLSYACKSDNSECINSSNGPGYICNCKNGYQGNPYRQDGCQAAAATPLPGVAAASPPLPTWWQRLPPPLPTWSQRRLLLPLPGVAAAAATASLPDIDECKEPNKCYGKCRNKDGGFDCTCPFGTRGNAHTGPCDRGLVIGICASLLVTLTILLGIEWFKYKQRITRHELMRQREEYFRLRGGQLLTNMMSRDNNIRFMLYDRDQIELATNSFDNMLVIGQGGQGTVYRGRINDDSNSNLVAIKKCKGFDEDINHENIVKLLGCCLQFDVPILVYEFVPNKTLYNLIHIQTDPSIRTLEIRLKVAAESAEALAYLHSSLDHPIILHGDVKSTNILLSNNFIAKVSDFGCSKIRTADENYDVVKGTMGYLDPEYLRNFQLTNKSDVYSFGVVLLELLTRRTPLSVDKVSLASIFQEAMREGHFLELIDTEILHEDNMGLISDLATLASQCLIMTSESRPTMSTVAEELRRSMAGQVQQDQGVLTGISSLALTSSAANTSEHFTGEPSTGYYSLKGVAPMSIEFAR >ORUFI04G10500.1 pep chromosome:OR_W1943:4:14380435:14383454:1 gene:ORUFI04G10500 transcript:ORUFI04G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGDKGVERGKARVWNNISSYCYDSASKGMIDESSDSIVYLPEAYMLSDTENKFIIVGCQTVAYIQVGDREGVRTGCCEATITEGHSSYNTMFDPDYNTTQIYNSSRFSFWRSYVMNSSEFIDNNGGRMPMVVEWAVRNASNCVEVQKDRDSYTCVSSNSVCVNLSSEPGYICNCTRGYQGNPYLLDGCQDINECEEHENYHCYGNCKNIPGSFECACHAGTRGNASIEGACQKNFLTPRVEGNAGFTLYERGQIETATNNFNKAQIVGEGGQGTVYRAEIDGTIVAIKRCKEINESRKMDFAMSTTLTLSGYSVVAYNLRYPCFVYEFVQNRTLHELLDFQRSRSCHVTLGTRLRIAAESANELAHLHSLQHPILHGGVKPANILLTEELVVKVSDFGCSKIDEKTQVVPKGTPGYLDPD >ORUFI04G10510.1 pep chromosome:OR_W1943:4:14392435:14394687:1 gene:ORUFI04G10510 transcript:ORUFI04G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDTVAKLAQLSGANLVCHLQTTIRMADYKVAAHVLGERERRAAETEACLQAKIDALQMKCDLLAKEGAYSEGGKKTNIDSNKNEQPPSMGLISTSGSPIASALVATSCASVPDMSPHLVEESNKGNIEMQNQLSHLHLNNLMNQLSFDAWMEESGGPFMSEQVPFSLVLPSSSKSASLLSKIETSLWESEENMVIRFMENVELCMGAICALYRQKKLMVESTCEERLSLLHSMKVRLTEFLLDGDINGPMKKNKEDLVNHDATGPKFIQEYAIQCSKQLFDIYRNKEDLYFC >ORUFI04G10520.1 pep chromosome:OR_W1943:4:14424439:14430652:1 gene:ORUFI04G10520 transcript:ORUFI04G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAATPPAMMRAVQYDACGGGAAGLKHVEVPVPSAKKNEVLLKLEAATINPVDWKIQKGMLRPLLPRRLPFIPVTDVAGVVAGVGPGVNDFAVGDQVVAMLNSMNGGGLAEYAVAAANLTVKRTPNVSAAEGAGLPIAAGTALQALRSIGAKFDGTGEPLNVLVTAASGGVGLYAVQLAKLANLHVTATCGARNAELVRGLGADEVLDYRTPEGAAMRSPSGRRYDGVVHCTVGVGWPAFEPLMAPRGKVIDITPNFSAMLTSALHAVTLRRKRLVPLLLSPNKADLEFLVGLVGEGKLRTVVDSRFPLGDAAKAWQKSIDGHATGKIVVEMEG >ORUFI04G10530.1 pep chromosome:OR_W1943:4:14428475:14432824:-1 gene:ORUFI04G10530 transcript:ORUFI04G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVTTMEAINAKIVSMDIVRAEIKAVDAQESLGGGVTVLVTGHLTGSDDVRREFSQSFFLAPQEKGYFVLNDILRYVGGEGDQEVEPEPELELSFPPSQQPDSVPAPSANGTSVPREQEAFSQPEQHVADPAPNAQEADLNGEEVYNPPNNTEGPVVEETPIPEVIDEVPNNVAVAMPTPPAPAPAPVPQEEAPKKSYASIVKVMKEIPPQISAIPSRPAPPKQEKQVAPAPVAPVADAPTFSPNPESSNIQEAEVDAHAIYVRNLPLSATPEQLEEAFKKFGAIKPDGIQVRSHKIQGFCYGFVEFEDPSSVQSAIAGSPVTISDRQCYVEEKRTAGSRGGGRGRFAPGRGGNFRGEGMRGRGNYTGGRGYGRGEFNYRSDYGGRGAGRGGSSRGGDVGYQRVDHSAGRAARAPSGTSAVAK >ORUFI04G10540.1 pep chromosome:OR_W1943:4:14444429:14449276:1 gene:ORUFI04G10540 transcript:ORUFI04G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSIDNVRGFALATSSSAFIGSSFVIKKIGLKKAGDAGVRAGSGGYSYLYEPLWWIGMTAMILGEVANFAAYAFAPAILVTPLGALSIIFSAVLAHFILKERLHMFGIVGCILCVVGSVGIVLHAPKEKKIDSVNEIWHLATQPGFIVYSCMAVVVALILIFWVVHRTEQRKMLAYIAICSLMGSLTVISVKAVAIALKLSFNGVNQFIYVPTWFFIVVVVICCLVQLNYLNKALDSFNTAVVSPVYYVMFTILTIIANMIMYKDWASQNATQIATELCGFVTIVAGTFLLHKTRDMGNEQSESSSLRGECELQNH >ORUFI04G10540.2 pep chromosome:OR_W1943:4:14445939:14449276:1 gene:ORUFI04G10540 transcript:ORUFI04G10540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSIDNVRGFALATSSSAFIGSSFVIKKIGLKKAGDAGVRAGSGGYSYLYEPLWWIGMTAMILGEVANFAAYAFAPAILVTPLGALSIIFSAVLAHFILKERLHMFGIVGCILCVVGSVGIVLHAPKEKKIDSVNEIWHLATQPGFIVYSCMAVVVALILIFWVVHRTEQRKMLAYIAICSLMGSLTVISVKAVAIALKLSFNGVNQFIYVPTWFFIVVVVICCLVQLNYLNKALDSFNTAVVSPVYYVMFTILTIIANMIMYKDWASQNATQIATELCGFVTIVAGTFLLHKTRDMGNEQSESSSLRGECELQNH >ORUFI04G10550.1 pep chromosome:OR_W1943:4:14453347:14455360:1 gene:ORUFI04G10550 transcript:ORUFI04G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPTVRDVLAFHRVDRAAYDQLLSLGVPPPPARNAVALLMWLGRRGGGAGVDAVDRARRLVRTRHDAARLASEARAVLHGGAAALDLARRWAGAGETLISSILGGGGIDVRRFFALVPDDAPRRGVAEVLDGVGALVFDDRLYALLRRHEEGGGAVLPAELAAPYRRPLAPALAPVGDGGCRSLFITFSKGSPLTREEIEEYFTERWGDCLEKVMMERTPAGEPPTYGRIVFRHAATAAAVLGGEHLVKLVINGRQLRARKYFPRKASAFSHGLN >ORUFI04G10560.1 pep chromosome:OR_W1943:4:14456285:14459450:-1 gene:ORUFI04G10560 transcript:ORUFI04G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSMPQPLPLPSPPSSPRSGATTTTTTSVRARPRPPTAPPDAPWLALSGGGAGSSDVAGSSSGSGSNVDETLAAMRDAAWARFYAVMGKGKGKAGERGSFSFPDLGAPHDVVDAAAVVDHFATVEAERRAGARAQFLDATMEATASARLGRVKRELLVDRRVLDLAGLERWLRRGEAVAELAWFAELCAGEGGEPVPPLELFESAFRALQAARSDELHRGAGFRKRWVGPAAVPEFFLCPISNKVMVNPVVISSGKTVEVLALEKWWSENRRLCPVTDEILDNSIFIPNILIMLCTALWRTRNGITDVTTIAEPPKISSEEEALFREINLLALSPSLSDKTFDAILRLHELISNAQSSLLHLLGQSPGMIAKLACLLPETCLDPDPGLDDIILKIIAKTASYNPNKVILGDDQYAIPVLIARALLGPVDTRVKSAQILGLLADNYYNKIKIGELGGFAALMELLLLVGDREVKRTVAMAIASLCEAQENWSRFVREGVADAAISLLRDDNLVDEARSIFLKATGFELAMTQVLDKLMSFGDDANCLKMVESIWNTFIRTKLRRRRPNVTHASSSTRASDVFSDTSSDGSVELPMHVELTDKAEDDVRTIVSWLQKRTCYPRTYKYED >ORUFI04G10570.1 pep chromosome:OR_W1943:4:14460472:14460720:1 gene:ORUFI04G10570 transcript:ORUFI04G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRRARRWEDDATGEVEPRRDEVEVGRGEIKAGYGDVWRQPARSAMDRTKSSRCVLLGRARSLTETGFTLELAAATFPPR >ORUFI04G10580.1 pep chromosome:OR_W1943:4:14465757:14471020:1 gene:ORUFI04G10580 transcript:ORUFI04G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSMEEPLLGGGNGEEKKGGSSRLAVVAEVRKQLYLAGPLIAGWLLQNVVQMISVMFVGHLGELELSSASIATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQHRLVGVYKQRAMVVLGLASVCVAAVWAYTGELLLLFGQDPEIAAAAGSYIRWMIPALLAYGPLQCHVRFLQTQNAVMPVMLSSGAAAACHLPVCWLLVYGAGLGSKGAALANAVAYLANAAALAAYVRLSPACRSTWTGFSSEAFHDLVGFMRLAVPSALMVCLEWWSFELLVLLSGLLPNPKLEASVLSICLNSGSLAFMIPFGLGSAISTRVSNELGAGRPEAARLASRVVMALGLVVGVAIGLAMILVRHLWGYAYSNEEEVVQYVAKMMPILAVSFLFDDLQCVLSVRDSSGVARGCGWQKIGAIVNLGAYYLVGIPAALCFAFVYHLGGMGLWLGIMCALIVQMLLLLAITVCTNWEKEALKAKERVFSSSLPADMT >ORUFI04G10580.2 pep chromosome:OR_W1943:4:14467217:14471020:1 gene:ORUFI04G10580 transcript:ORUFI04G10580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSMEEPLLGGGNGEEKKGGSSRLAVVAEVRKQLYLAGPLIAGWLLQNVVQMISVMFVGHLGELELSSASIATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQHRLVGVYKQRAMVVLGLASVCVAAVWAYTGELLLLFGQDPEIAAAAGSYIRWMIPALLAYGPLQCHVRFLQTQNAVMPVMLSSGAAAACHLPVCWLLVYGAGLGSKGAALANAVAYLANAAALAAYVRLSPACRSTWTGFSSEAFHDLVGFMRLAVPSALMVCLEWWSFELLVLLSGLLPNPKLEASVLSICLNSGSLAFMIPFGLGSAISTRVSNELGAGRPEAARLASRVVMALGLVVGVAIGLAMILVRHLWGYAYSNEEEVVQYVAKMMPILAVSFLFDDLQCVLSVRDSSGVARGCGWQKIGAIVNLGAYYLVGIPAALCFAFVYHLGGMGLWLGIMCALIVQMLLLLAITVCTNWEKEALKAKERVFSSSLPADMT >ORUFI04G10590.1 pep chromosome:OR_W1943:4:14473765:14474291:1 gene:ORUFI04G10590 transcript:ORUFI04G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTLAVSFLFDELQCVLSGTVARDNVCTHRADAVASGHQLGERSSEGQQESFQFVLTYRHDYMITLWTRSKNQDEDQWTILFRNNGREILRGEHNEMMGNHSLHCWRNGF >ORUFI04G10600.1 pep chromosome:OR_W1943:4:14484108:14484320:1 gene:ORUFI04G10600 transcript:ORUFI04G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTQALGISLALTIPLPAQESVLPPPTPNTLVCVTPALPTLMSGALSIPNVKMDMSIVLDIKFLNYTK >ORUFI04G10610.1 pep chromosome:OR_W1943:4:14491873:14492301:1 gene:ORUFI04G10610 transcript:ORUFI04G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRWPVRGGAASRGRLAAAARGRRGVAWRSGAPAVGREATMLARCGWPAPAPQAFNDAMVGGAAAKLMCGVGDRRCLSYFSPFHFFVLFLRPDKNQMKTGERRKRWKKKEIDGKDDGSGMVLNLQNSSGTATNRRIVMTFF >ORUFI04G10620.1 pep chromosome:OR_W1943:4:14503278:14506559:1 gene:ORUFI04G10620 transcript:ORUFI04G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVVGILRGVAGVAADANAFREFFHWVTPHVLAAVLSQQQQQLLQLDSGGPVSASTGNNRTAPLHQIQDDLQKLEHNLWVIQTTITSTMHDLIDRLEWHSHRETEARHLRQIKDAVYDAEDLLDEYNYYALKVKVEASKNLGQDHSHGPFLEFLDSVNFNGNFSKVMEIQDRLKHVFDQSMGLGLHKTPKKFDRLVRPETCRVLDESQIFGREQELKELMQMLGVDGHKRGRPAAHTNTTEARRMELPVLPIVGMGGVGKTTMAQQICEDPEVRKHFHHRIIWICVSDEFEVNRLTKDALKSLGVKSEDTDTRDNLMVNLRDSVKSKKFLLVLDDMWDDVLKDEKGCRTFHRTLSNGLDGSMILVTTRSSKVANLVSDGNHYELKGLQDGVFWNFFKLCAFGSVQSWSNRPELQRIRPELERIGRAILPKLKGSPLAAKTLGRLLKCNRSIEHWEDILKSELWRLEQEETDILPALRLSYAYLPQYMKRCFSICAIYPKDHIFQKEFLADIWVAQGYVEPQDASSCFDDLVNRSFFQQAAHQYDNQYVIHDLLHDTAQLVSKDECFIIQHVSDLAKIPPKVRHLSIFTNGNIRCSDLLYICAQNKKLRSLVCNDSYINWEPFAPMIDGWFKELLNIRVLSFDLSTVRKLPESIGNSIHLRYLGLLGNSTFETLSSSVSCLYHVQTINAKGCVFKRYPQDFSDLISLKKIESKGFIYNKDKDKQCLRWPIMRTPDRGEARTRLSPPYAPNLALPLTPEEQLQMTEEQIELLPHWNLQHLTIEYYLGQSCPSWLRPDCLKMLTSLKLSYCKNIQSISFFDPLFPDSEDSKNICHLEVLDIQHCPNINWQGLVALPSSLRKIILGNFGHSTDHFVSCFRGLALLKCLQIQCEFLMSIPLQVCKNNLQALEDLHIYQCSSLTSIYVSEASSRHPVGVFSSLSSVTISLCNALLSLDEFLMPAYMPVVKTILVESCRQLALLPIDELHRFSCLEVLRIESCPNLNTRRIMTLPSSLRKLSLLGCPSIEFIDNSHLASSVTLKGLNLKLISCPDLISIVGAISVSEIQSGYIHDCPKLMEMTQPFTRGRYWYVLRNHT >ORUFI04G10630.1 pep chromosome:OR_W1943:4:14508821:14509577:1 gene:ORUFI04G10630 transcript:ORUFI04G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHYADLSNSSSLRCVLDHILPDEVYNLTAQCHVALSFEVPDYTADVTATSALCLLEAVHLAHKPIRYYQAVPSEMFGSTPPLQSESSPFHPWSPTPSPIRRPLRTLQPRVLTPWRELQTNITGAVGRIKVGLTKDQHSDYVVATKESHTVKEFLLAIFPSSSSVRWLLSCLVMLVTKATFHDGTRECSINGWGGLIVVSTTVVHC >ORUFI04G10640.1 pep chromosome:OR_W1943:4:14509799:14510254:-1 gene:ORUFI04G10640 transcript:ORUFI04G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIVVAAVLRSWQQRMWYRGSATPVTGLTALMWVVVKCSAVEAIVVEVDPDEADPPDEAGLSRGARRRLTGRWLTTRGGASCRIRSTSAWAGGDDEERSPADGLEELGANDRCRFCYFADLGRARASLAATLRAFVRCGVQALRQRIWIL >ORUFI04G10650.1 pep chromosome:OR_W1943:4:14512372:14513784:-1 gene:ORUFI04G10650 transcript:ORUFI04G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVHIVSRRMVRPAAEHAGELPEHETVHHLTPLDLRMITGDYVQKGVVLPKPPGGGEHVVEHLVSSFARALARFYPLAGRLAVAETPPSPGGARPTISLSLRCNGEGAEFVHAVAPGVTVADIADSLYVPRVVWSFFPLNGMLGTDAAVEPRRPVLAAQATELADGMFIAMSLNHGVADGFTFWHLFRTWSEINRRRSGADNADLELSTPPPVFDRWFVDGIPAPIPLPFAKLEDMVRRPAYTPVEECFLHFSAESVRTLKEKANAEMAGGGGGAAISSLQSVVAHVWRAVCRARRLAPELETRHGLSVGLRARVKEVPQEYMGNTVVGAVARATAGELLERGLGWAAWLLNRAVASAGDEASVRRTLPAWPETPRFVTVASLQNAGVVVISGSPRFDVFGNDFGWGRPVGVRSGAGNKMDGKITVYEGRGGGGGMAVEICLAPEALARLVADEEFMSAVTAPPPTHH >ORUFI04G10660.1 pep chromosome:OR_W1943:4:14514284:14517562:-1 gene:ORUFI04G10660 transcript:ORUFI04G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAFACPSTRGVSLQDSSRKYRSSCCRPKGIEDWGGGLLDWVLGESMEVDEMGKFWSRVTQNKPNGPRALEFANFAKPMGGTAINTGSSPRFDVFGKDFGWGRPATVRSGGTDKFDGKVTVYEGPTGAGSMSLEEF >ORUFI04G10670.1 pep chromosome:OR_W1943:4:14521007:14522656:1 gene:ORUFI04G10670 transcript:ORUFI04G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGLAADANAFREFFNWVTPHVLAAVSAATASGFSRDRISISISWRKKNSYTASDSG >ORUFI04G10680.1 pep chromosome:OR_W1943:4:14522716:14528016:1 gene:ORUFI04G10680 transcript:ORUFI04G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLIDRLEWHSHKETEAGHLRQIKDVVYDAEDLLDEYNYYALKVKVKASKNLGQDHLHEPFLEFLGNVNFGGKFRKVMEIQERLKHVFDQSNSLGLHKTPKKFDKIVRPETCRVLDEPDEIFGHEKELEDLKQKLRVRGHKRGRPVACSTTAEARRTELLVLPIVGMGGVGKTTMAQQICVDGVVRNHFNNCIIWICVSDEFEVNRLTRDVLKSLGVKLQDSDTRDTLMVNLRDSVKSKKFLLVLDDMWDDVLKDEKGWRTFHRTLSNGLEGSMILVTTRSSKVANLVSNSNHYELKGLQHGVFWNFFKLCAFGSVQSWSNSCRNRPELERIGEAILPKLKGSPLAAKTLGHLLKSNLSIEHWEDILKSELWRLEQEETDILPALRLSYVYLPQYIKRCFSICAMYLKDHKFEKDFLADIWVAQGYVELENAPSCFDDLLNRSFFQKAAGEHGTYVIHDLMHDTAQLVSKDECFIIQHSLSFFEPQFPDSEESKNLPHIHSLRQAAGALSEYFHPFQMSSFLHVMHC >ORUFI04G10680.2 pep chromosome:OR_W1943:4:14524549:14528016:1 gene:ORUFI04G10680 transcript:ORUFI04G10680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPVDDLHSFSHLEELRIEGCPKLNMQRRMTLPSSLRKLCLVDCPSIECIDNSHLGSSMTLKGLILRLNSCPDLISIVGAISVSEIKSGYINDCPKLMEIIQPFKRCHDWMFL >ORUFI04G10690.1 pep chromosome:OR_W1943:4:14530061:14607016:-1 gene:ORUFI04G10690 transcript:ORUFI04G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQKQEPGGGGSGVRVVARICPCAPPPPPPDAALNFQVAALNDPALISFIPRRPTASAATAAASGRGDGPKDKQQQQQQKYRVDGCYLRDDPNHRVFHNEVKPLIDGRGGGGGGRGGAKACVVACGDAAAKRHLFMGSPDQPGLFTMAMAQLLDSSKAIGAAVTVSSYQVLQDTHILDLLEPKNHEVLILEDAEGQTHLKGLSRVGVNSIEEFSQLCCCATNQQRHHPAKDSTQLQDWGHQGLIIYVSSFDQQGKECALAKINFLNLAGYVDPKQKKNEGLALLTGNKSMHALMNVVQALNSNQRFVPYRQSKVTRILQDSLCKSKTSGSVLIACLAEDCCQDSVSTLALASRSSQVVNEQYYSLSLSAKKSSKSNMNLPTDAKTLSRTFIHKTMSMQEKNARPGFNNSGVKGGQTPTANRRTQPIISSTKKSGSSICTSIKMKENYAKPKISGRKLFCPSNNSLKEENATDVASTVVTETKSATVRIQADEVQPLVGMEIRAALLNEGCSEIGNTGDVKSSEMQEVVHCSTQELLASTIQEEDYALSNMEPENSCTDMGLTCSSITDNLVEKTPASSTLSSPKLSDRLREISNSLKLLSTRPVSVRAEKWDIECARRINTIAPEPKTPEVHLKFEQAEDPKDKLTARSTGIKKSLAQECLTFLNSANKEQLKSLKGIGDKRANYILELREESPELFKEISDLRDIIGMNSKEIGRGGEAVKIAVASLKSECFGQELAFCERISALQWFAAIMCFV >ORUFI04G10690.2 pep chromosome:OR_W1943:4:14530258:14607016:-1 gene:ORUFI04G10690 transcript:ORUFI04G10690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQKQEPGGGGSGVRVVARICPCAPPPPPPDAALNFQVAALNDPALISFIPRRPTASAATAAASGRGDGPKDKQQQQQQKYRVDGCYLRDDPNHRVFHNEVKPLIDGRGGGGGGRGGAKACVVACGDAAAKRHLFMGSPDQPGLFTMAMAQLLDSSKAIGAAVTVSSYQVLQDTHILDLLEPKNHEVLILEDAEGQTHLKGLSRVGVNSIEEFSQLCCCATNQQRHHPAKDSTQLQDWGHQGLIIYVSSFDQQGKECALAKINFLNLAGYVDPKQKKNEGLALLTGNKSMHALMNVVQALNSNQRFVPYRQSKVTRILQDSLCKSKTSGSVLIACLAEDCCQDSVSTLALASRSSQVVNEQYYSLSLSAKKSSKSNMNLPTDAKTLSRTFIHKTMSMQEKNARPGFNNSGVKGGQTPTANRRTQPIISSTKKSGSSICTSIKMKENYAKPKISGRKLFCPSNNSLKEENATDVASTVVTETKSATVRIQADEVQPLVGMEIRAALLNEGCSEIGNTGDVKSSEMQEVVHCSTQELLASTIQEEDYALSNMEPENSCTDMGLTCSSITDNLVEKTPASSTLSSPKLSDRLREISNSLKLLSTRPVSVRAEKWDIECARRINTIAPEPKTPEVHLKFEQAEDPKDKLTARSTGIKKSLAQECLTFLNSANKEQLKSLKGIGDKRANYILELREESPELFKEISDLRDIIGMNSKEIGRGGEAIKKMMSGIIDS >ORUFI04G10690.3 pep chromosome:OR_W1943:4:14530258:14607016:-1 gene:ORUFI04G10690 transcript:ORUFI04G10690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQKQEPGGGGSGVRVVARICPCAPPPPPPDAALNFQVAALNDPALISFIPRRPTASAATAAASGRGDGPKDKQQQQQQKYRVDGCYLRDDPNHRVFHNEVKPLIDGRGGGGGGRGGAKACVVACGDAAAKRHLFMGSPDQPGLFTMAMAQLLDSSKAIGAAVTVSSYQVLQDTHILDLLEPKNHEVLILEDAEGQTHLKGLSRVGVNSIEEFSQLCCCATNQQRHHPAKDSTQLQDWGHQGLIIYVSSFDQQGKECALAKINFLNLAGYVDPKQKKNEGLALLTGNKSMHALMNVVQALNSNQRFVPYRQSKVTRILQDSLCKSKTSGSVLIACLAEDCCQDSVSTLALASRSSQVVNEQYYSLSLSAKKSSKSNMNLPTDAKTLSRTFIHKTMSMQEKNARPGFNNSGVKGGQTPTANRRTQPIISSTKKSGSSICTSIKMKENYAKPKISGRKLFCPSNNSLKEENATDVASTVVTETKSATVRIQADEVQPLVGMEIRAALLNEGCSEIGNTGDVKSSEMQEVVHCSTQELLASTIQEEDYALSNMEPENSCTDMGLTCSSITDNLVEKTPASSTLSSPKLSDRLREISNSLKLLSTRPVSVRAEKWDIECARRINTIAPEPKTPEVHLKFEQAEDPKDKLTARSTGIKKSLAQECLTFLNSANKEQLKSLKGIGDKRANYILELREESPELFKEIKKMMSGIIDS >ORUFI04G10700.1 pep chromosome:OR_W1943:4:14558701:14563443:1 gene:ORUFI04G10700 transcript:ORUFI04G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLLNLAMDDIVGILKGAAGLAADANAFREFFNWAAPRVLAAVRSQQQQHLLQVDPGGVGSASARDNRTAPLHQIEDDLQNLENDLWLIQSTTSTMYDLIDRLEWHSHKDTEDWHLRQIKDVVYDAEDLLDEYNYYALKVKVDTSKNLGQDHSHEPFLEFLNSVNSSKVMKIKNRLKQVFDQSKGLGLHKTPKKFDRLVRPETCRVLDEPDEIFGREKELKELKQKLGVRGRKRGRPVACSTTAEARRTELPVLPIVGMGGIGKTTMAQQICEDRVVRNHFDCILWICVSDEFEVNRLTRDVLKSLGVKSQDSDTRDTLMVNLRDSVKSKKFLLVLDDMWDDVLKDERGWRTFHRTLSNGLQGSMILVTTRSSKVANLVSNSDPYELNGLQNDVFWDFFKLCAFGSNSSRNSPELEHIRPELERIGRAILPKLKGSPLAAKTLGRLLKSNLSVEHWEDILRSELWKLEQEETDILPALRLSYVYLPRHMKRCFSICALYPKDHKFDKEFLADIWVAQGYVEAEDASSCFNDLVNRSFFQKAAGYSDMYVIHDLIHDTAQLVSKDECFIIQHVSDLAKIPSKVRHLSIFTKGNISCTEIVTICTQNKKLRSLICNESYRSIKQFAPVIDCWFKELPSIRVLIFKFSTVRKLPESIGNSKHLRYLGLLGSSTFETLPSSVSCLYHVQTINAKGCVFKRYPQGFSDLISLNKIESKGFQFNKVKDKQFLEWSTTGIADEQLQMTEEQIELLPHWNLEHLIINNYLGQSCPSWLQPDCLKVLTSLELYGCRNIQSLSFFDPLFSDLEESNSIYHLEVLDVHQCPNIDWQGLVALPSSLRRVTLDNFGHSTDHFVSCFRGLSLLTHLEIHCWFLTSIPLQVWMSNLPSLENLLIDNCGSLTSICVSEASNMHTVGVFSSLSTVTISFCNALLSLDEFFMPAYMPFVKTIDVLFCKELALLPVHELHLFSRLEKLCIRNCPKLNMQRRMTLPSSLRMLSLLLCPSIEYIDNSHLASAMKLKGLSLQLIRCPDLISIVGAISVSEIVQGRIEDCPKLMEITQPFKVMTESQKKVQPDQTMGRLGDENLKN >ORUFI04G10710.1 pep chromosome:OR_W1943:4:14581764:14585398:1 gene:ORUFI04G10710 transcript:ORUFI04G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIVGILKGAAGLAADANAFREFFNWAAPRVWDAVRSQQQQHLLQVDPRGVGSASARDNRIASLHQIEADLQKLENDLWLIQSTTSTMYDLIDRLKWHSHKDTEAWHLRQIKDVVYDAEDLLDEYNYYALKVKVDASKNLGQDHSHETFLEFLDSVNFSKVMEIQNRLKQVFDQSKGLGFHKTPKKFDRLVRPETCRVLDKPDKIFGREKELKELKQKLGVCGRKRSRPVACSTIAEARRTELPVLPIVGMGGVGKTTMAQQICEDGVVRKHFDCIIWICVSDEFEVNRLTKDVLKSLGVKSQHSDTRDTLMVNLRDSVNAKKFLLVLDDMWDDVLKDERGWRIFHRTLSNGLQGSMILVTTRSSKVANLVSNSDPYELNGLQNDVFWDFFKLCAFRSNSSSNSPELEHIRPELERIGRAILPKLKGSPLAAKTLGRLLKSNLSIEHWEDILRSELWKLEQEETDILPALRLSYVYLPRHMKRCLSICALYPKDHRFEKEFLADIWVAQGYVEAVDASSCFNDLVNRSFFQKAAGQNNIYVIHDLIHDTAQLVSKDECFIIQHVSDLPKIPSKVRHLSIFTNGNISCTELVTICTQNKKLRSLVCNESYKSIKQFAPVIDCWFKELPSIHVLIFKISTVRKLPKSIGNSKHLRYLGLLGSSTFETLPSSVSCLYHVQTINAKGCVFKRYPKGFSDLISLKKIESKGFLYNKDKDKQLLEWSTKGITDEQLQMTKEQIELLPHWNLEHLEIVSYLGVSEASSRHTVGVFSSLSNVIIMNCDALLSLDEFLMPAYMPVVKTIHVKRCEQLALVPVDELHRFSHLEDLCIAYCPKLNMQRRMTLPSSLQMLSLARCPSIKYIDNSHLGSSMTLKGLNLILIRCPDLISILGAISVSEIESGYIDGCPKLMEIKQPFNYKRPRLIIGDVQAMNNDERSR >ORUFI04G10720.1 pep chromosome:OR_W1943:4:14610408:14612054:-1 gene:ORUFI04G10720 transcript:ORUFI04G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKNKAELQAQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKSLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAVKA >ORUFI04G10720.2 pep chromosome:OR_W1943:4:14610408:14612054:-1 gene:ORUFI04G10720 transcript:ORUFI04G10720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKNKAELQAQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKSLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAVKA >ORUFI04G10730.1 pep chromosome:OR_W1943:4:14612899:14616506:-1 gene:ORUFI04G10730 transcript:ORUFI04G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASEPAGAGTPEPAAPFSADWKERILLPAAVAGVVGAGFGLLSRHRARLGAARATATYAANLAIVAGCYGGARELARDARASTPDDPMNSVVGGLASGAVLGRLQGGHFGAVKYAVTFAAAGTALDYAALKLSPQINGKWHALKEHFSGDKDWFTLPEWSPIQVLDEEALAKKRAREEKLFAQRALSKLSKEEP >ORUFI04G10750.1 pep chromosome:OR_W1943:4:14623955:14628617:-1 gene:ORUFI04G10750 transcript:ORUFI04G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSATAGAAAAVASPAVAPRGAAVAAVSRRGFVSFGAAAAARSRAVRSGGFSGVRTHVAAVEQALVQDATKLEAPVVIVTGASRGIGKATALALGKAGCKVLVNYARSSKEAEEVSKEIEACGGQAITFGGDVSKEADVDSMMKAALDKWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKIMMKKKKGKIINIASVVGLVGNIGQANYSAAKAGVIGLTKTVAREYASRNINVNAIAPGFIASDMTAELGEDLEKKILSTIPLGRYGKPEEVAGLVEFLALNPAANYITGQVLTIDGGMVM >ORUFI04G10760.1 pep chromosome:OR_W1943:4:14633795:14635320:-1 gene:ORUFI04G10760 transcript:ORUFI04G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNGLLLLSTIAAVTLSSLSLAAVASPATRRSQESREAVRAGYYLAADAHLRPLAALDASLYTHLYYYAVAVHPARRTLLLPPDPAAASLLGDFSRAVKAKNAAVKTVLSIGRGGGAGGAAAVAGSGSDPAFAAMAADPASRAAFIGAAVKVARENGFDGLDVAWRFPASAVEMAEFGFLVAEWRAAVPRGFLLTATVYFSNHVFDAPFAGVDYPSETVARSLDWVNVMAFGLRPPGAANANATAFDAPLYDRASHYSASYGVVSWLDAGVPASKVVMGIPLYGRSWFLRNKANSGVGAPVVAAGPKQRGSNATGAMSYAEVQWLAATATRGSRAVITAYDNASVASYVSVGDVWVAFDGVAVVAEKLAFAARCGLLGYFLWPVNYDDANLTVSRRASQVWTQTKISPEFKNVTGGARQTQAPVQRPPALQSPAPTTAPMSSSSTTSSFSRLSWRMLDVRLHLGALLLLLLLVCYQI >ORUFI04G10770.1 pep chromosome:OR_W1943:4:14642397:14646684:-1 gene:ORUFI04G10770 transcript:ORUFI04G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPAAAAGPSGGARSFLQAVSTVTEEAPSPLRVVQMEGLAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPMREDDEEADADGANYQLEMMRCLREVNVDNNTVGWYQSCLLGSFQTVELIETFMNYQENIRRCVCIVYDPSRSNQGVLALKALKLTDSFMDLYRNNGLTGEKLREKKLSWVDIFEEIPIKVSNSALVSAFMTELEPESPVSQCDFDRLKLSTAPFMERNLEFLIGCMDDLSSEQNKFQYYYRNVSRQQSQQQAWLQKRRQENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLEGYLVTNQISSYCNHINGVAGQNFNRLYLMKALQED >ORUFI04G10780.1 pep chromosome:OR_W1943:4:14649258:14651661:-1 gene:ORUFI04G10780 transcript:ORUFI04G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGGGGVGGIGGGEQILWDWQAAEQCESNAANHDVSRFMWDCLNQDDDDLLGLLGNQTPLRDCRGFFDIDDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTMGTSEVSEISLLCTDEPQSFNWDSQNNSNNFVYQPSNSHSKNCSDENQMHFRHDQMHSSQESVTYTNDQSGISGTTENDSVTESLLMQETRKLSTLKVSKGTSLVKAKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVIGKTRIRTDGGRGSITILRTKG >ORUFI04G10780.2 pep chromosome:OR_W1943:4:14649258:14651661:-1 gene:ORUFI04G10780 transcript:ORUFI04G10780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGGGGVGGIGGGEQILWDWQAAEQCESNAANHDVSRFMWDCLNQDDDDLLGLLGNQTPLRDCRGFFDIDDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTMGTSEVSEISLLCTDEPQSFNWDSQNNSNNFVASDTIDSLSTGAVYQPSNSHSKNCSDENQMHFRHDQMHSSQESVTYTNDQSGISGTTENDSVTESLLMQETRKLSTLKVSKGTSLVKAKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVIGKTRIRTDGGRGSITILRTKG >ORUFI04G10780.3 pep chromosome:OR_W1943:4:14649258:14651661:-1 gene:ORUFI04G10780 transcript:ORUFI04G10780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGGGGVGGIGGGEQILWDWQAAEQCESNAANHDVSRFMWDCLNQDDDDLLGLLGNQTPLRDCRGFFDIDDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTMGTSEVSEISLLCTDEPQSFNWDSQNNSNNFGTTENDSVTESLLMQETRKLSTLKVSKGTSLVKAKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVIGKTRIRTDGGRGSITILRTKG >ORUFI04G10790.1 pep chromosome:OR_W1943:4:14655835:14659097:-1 gene:ORUFI04G10790 transcript:ORUFI04G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFRLAGDMTHLMSVLVLLLKIHTIKSCAGVSLKTQELYALVFATRYLDIFTDFISLYNTVMKMIFLGSSFSIVWYIRRHRMVRRSYDKDHDTFRHQFLVLPCFLLALLIHEKFTFREVMWTFSIYLEAVAILPQLVLLQRTRNVDNLTGQYVFFLGAYRALYILNWAYRYFTEPHYVHWITWISGFVQTLLYADFFYYYLNSLKNNVKLTLPD >ORUFI04G10810.1 pep chromosome:OR_W1943:4:14668072:14668874:-1 gene:ORUFI04G10810 transcript:ORUFI04G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSGSGCASHLRWGMRDRAGKHTGVIEEGDGIGAEEQHGKEGRRRCAWVRILPHRRHDFGEVARMKAWHVGVETICGGGVERCGKTIGLASGVLSWEIKEERSVQGIWLEPEEDDEMSTDLAGIWDERGSRRWA >ORUFI04G10820.1 pep chromosome:OR_W1943:4:14670468:14673797:1 gene:ORUFI04G10820 transcript:ORUFI04G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPRRRRRRSAPPRPASSRGEGDDRLSALPDELLLIVMRGLDTRSALGAAALSRRWARLPRELPALDFHVSDALPRGAGLPPARRRVPRPPRRAPRRRRRVEARGIPGAVRAPRHAGPGRAHHRLPRRRRVSRLGVEFFATNDAHRIGCVHRLINTAVGSWGVEELEVAIKPAPWRRRKAGLPVVGADMPPLRLTKLTLRNCPPGVDDAGPAEVDAGFRLRPPLLLLSGFPHLKKLHLKNCHCGDPALVVDAPPPSSSSAVVPIQLNHAAVLEDLACVHDANPVVVLFRDVPRLRRVHLSFSLDSGTADDAQHPLPGPDKYKLDWHVRSEQMASLVLRFTGPERWILPWRVGTQLRSLRRLLVADGSPTWDSLHVHVAAAATADDQPVAAAPGREIMWPPATFRNRKLGELVVAGFGRTPGQVAGGVRERARRLRRVELLRHGEVRYDGLWEWEVVRRRPQEGGGGERRHWSRMEEIGIKSEIDFLLVQI >ORUFI04G10830.1 pep chromosome:OR_W1943:4:14674117:14675811:-1 gene:ORUFI04G10830 transcript:ORUFI04G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLARAVEVGDDRSVEEEQEKTTTYMVDYEVAAHMLGECERRVVEAEIYLQAKIDALQIEYDLLAKEVPKKEKEEVCLEKIIIETGARFKAILVGINMLQRRNEEFLVDSQRCMDEVEPNLKSAIIVVKVLQNM >ORUFI04G10840.1 pep chromosome:OR_W1943:4:14676390:14676690:-1 gene:ORUFI04G10840 transcript:ORUFI04G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGDRLCRWEGSRVLELQGWWRAVGRISSQGKGRHQIWLKGRGKLTGAIKEGDDTHMEEEHKKGGKRRCVWMRVLPRC >ORUFI04G10850.1 pep chromosome:OR_W1943:4:14680150:14684104:1 gene:ORUFI04G10850 transcript:ORUFI04G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGASANPGDPPSPASARSGQRKHVPDWLNSPIWSVPPPPARHRAPSPPRPPPPPPPPAQPAPPPPPPARSGGGGGGSDDGSDGDGDDEGAASSSRPHLVPEFTVALGRKVVDLAELRRLACQGVPDAAGVRPVVWKLLLGYLPTDHALWAYELEKKRSQYSAFKDELLVNPSEVTRRMEEMTISKGNRHNSEGTGVLPRAEIVHDEHPLSLGKTSVWNQFFQESETIEQIDRDVKRTHPEMQFFNGDSSDALSNQESLKRILTIFAKLNPGIRYVQGMNEVLAPLYYVFKNDPEENNAESAEPDAFFCFVELLSGFRDNFCKQLDNSVVGIRSTISKLSQLLKRHDEELWRHLEVVTKVNPQFYAFRWITLLLTQEFKFRDCIHIWDALLGDPEGPQATLLRICCAMLILVRRRLLAGDFTANLKLLQSYPPTNIDHLLHIANKLRGPIPY >ORUFI04G10860.1 pep chromosome:OR_W1943:4:14685386:14687365:-1 gene:ORUFI04G10860 transcript:ORUFI04G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQTSCLLITYSPEIIDGAPLYVSSNCLPIKACKYEPAGHSFHAAALKLLGLGEQEDTETDDRSVSSDDKSQDFSAASDTFSSKGKKKSGSQQQDHYALLGLGHLRFLATEDQIRKSYRDMALKHHPDKQASLILAEATEEAKQAKKDEIESHFKAIQEAYEVLIDPTKRRIFDSTDEFDDDIPTDCAPQDFYKVFGPAFMRNGRWSVNQTIPSLGDDATPVEEVDKFYNFWYNFKSWREFPHADEYDLEQAESREHKRWMERQNAKLQEKAKKVEYARVRTLVDNAYKKDPRIQRRKEEEKAEKQRRKEAKYLAKKMQEEEAAKAAEEERKRKEEEAKRAAEAALNQKKLKEKEKKLLRKEKTRLRNLVAPVVAESHFSLSEDDVETACSSLDMERLKKLCDSMENKDTTEKARLLRGALSKEGSSGTSNEGKKIQANGVDGSKAKSTSSGEMVTQGNTLSNYEKKEEKPWGREEIELLRKAIQKYPKGTSRRWEVVSEFIGTGRSVEEILKATKTVLLQKPDSTKAFDSFLEKRKPAPSIASPLSVRTETVGLPTEKASGDASSKAPAQPASSKTSDEKAPAPAPVSNGTPSGTADPEAWSEAQVLALVQALKAFPKDASQRWERVAAAVPGKTMVQCKKKVAEMQKNFRSKKGAE >ORUFI04G10870.1 pep chromosome:OR_W1943:4:14687399:14689054:-1 gene:ORUFI04G10870 transcript:ORUFI04G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPSFPHRHDTSSSSPPPAARTPAFLSLPPFPFPAIPGRRGIPLHRTILPAEQPPLERARGDSTTSRTSRREGGGSQPTREQAVKSWGRRSSYCSSIEEELNTGA >ORUFI04G10880.1 pep chromosome:OR_W1943:4:14701139:14706241:1 gene:ORUFI04G10880 transcript:ORUFI04G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADQISTGRKRSIHDRIDGDQPAARAGAGGRGARNPPSKRQRQTDEKWKHDLYREDDEPASKSIDPRDLRLKLQKKSSQQSFAGQRGSGVRDLREMLSGTMHPQPVNADPPKAKPASEIVKVTRRENADVMPVRQSKKVPKPTSSKKTSQPKADSPLDIFLKSLGLEKYSITFQAEEVDMAALRHMTDSDLKALGIPMGPRKKIMLALESRA >ORUFI04G10890.1 pep chromosome:OR_W1943:4:14718749:14720023:1 gene:ORUFI04G10890 transcript:ORUFI04G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFRFHLLQQATNYFDEARVVGHGGFGKVYVGELEGKKFAMKRRSLESSQGQKEFQAEIELLSGLNHRNLVSLIGFCDEENELILVYEYMEKGSLMSHLYGSGKPSLLNWKQRLDVCVGTAKGLHYLHTKAIIHRDVKSSNILLDEKLHAKVTDFGISKPGPELDQTHVITEVKGSFGYLDPEYCKTMLLTQKSDVYSFGVVLLEVLCGRPAIDRTLPLEEVNLADWGKEMLRNGQLEQIVDQEISGTVKQRSLMPFGQIVARCLEDKGADRPSMGDVLRYLEYVHSLEAKKNALTRSVAMICVKISNVADGFSGPSTPHRVSPVLDDSIISVAGNSDEPVHDISAGSSDDETDHGISPGNSDEHDHNVSSQLIKPGISKALLRSNAKIFERYEDPDHGVSSELIGPGKRKALTRSDAKIFER >ORUFI04G10900.1 pep chromosome:OR_W1943:4:14732181:14735987:1 gene:ORUFI04G10900 transcript:ORUFI04G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFVSKSVTVSAISMIVRKSFDYLEKYAKAEGMKSVQERLERTLPQVQVVFDAIDMERIRDQSEALDAWLWQLRDAVEEAEDALDEVEYYKLEKKVKTRGNKVSSSLYKCKRVVVQQFNSTFKAGTFKRLLDAIRKLDEVVVGVERFVRLVDRLDSCTSRHICHQEVSNPRETSSFSVDEIVIGRDTERDQIVEWLVEQDNVQDHDVCSVNALSIVGIGGMGKTTLAQAVYNDQRVKQCFDQAMWICVSNDFDVPALTKKIIQEITREGTNVTNFNTLQEIVRENLKSKKFLLVFDDVWNDERRPDWEKLVAPLKFGQKGSKILLTTRMESVVDIVERVLGGRTKSLRLEGLHEKDLLAIFNRHAFFEVNPNDYFNLQEIGKKITRKLSGCPLAAKIMGGLLNNSLDSIYWNRMLRENISNIEHNSEGIMKILRLSYHHLAPHLQACFRYCGMFREDYWFRKDELINFWMGSGLIQLSANENQRPEDIGEFYLGILTKKSFFELRLKKSTNLYEGYGECTNEYYVMHDLLHELARTVSRKECMRISSDEYGSIPRTVRHAAISIVNHVVITDFSSLKNLRTLLISFDKTIHERDQWIVLKKMLKSATKLRVVHIQNSSLFKLPDKFGNLMHLRYLYHSESQKKVGKYSFWCPCSIYKLYHLQMIQLNRCLLVSWRLGNLISLRHIYFSGTIYGFSPYIGHLTSLQDLHDVNVPPKCGFIASELMDLKDLRYLCIRCLENVNADEATLAKLGEKENLIMLSLTWKNSQQESDTEERVLNNLQPHMNLTKLKIKGYNSSRSPCWLGNTTIINLTYLYISNCSYWQHLPPLGELPSLKYLYLICLNSVKRIDSSFYGCERPFGFPSLEYLFIEHLPALEEWVEMEGEHLFPRLKALVVRHCKELRNVPALPSTVTYLEMDSVGLTTLHEPYVPNETAETQKPSLSRLKICHCPYLETLEQLNQFLSLEELHIEHCENLLQLPMDHLQMLPFLKHMTVLGCPKLMVPPATIRLPLPMKKLHVGSCGTYETWLVNSLCGLTSLTTLMLYGCDIAALPPVEVCKSLIALSCLEIVSCHELADLNGMEELTSLTELKVIGCNKLEKLPVVSSQQFQASEHNQVVTACTSYLRKLKRLQISDPFVLQWAPLRSITSVTNMTINSCRCLPEEWLMQNCNHLQRFGVMDASHLEFLPSIMASLTSLESLQFSRAMLIQSLPELPSSLWRLQILGCNPVLMRRCRKSRGRDWHKIAHIPDLRIVEDVPSAYSWYSYM >ORUFI04G10910.1 pep chromosome:OR_W1943:4:14737177:14737719:1 gene:ORUFI04G10910 transcript:ORUFI04G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTFLESLQFAEGMLIQSLPELPSSLWALQIFGCQESKGHDWHKIAHIPYLRIEQDSASQCKVAAASTHRQFITTKRNLDGCFSGV >ORUFI04G10920.1 pep chromosome:OR_W1943:4:14743610:14743870:-1 gene:ORUFI04G10920 transcript:ORUFI04G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGNSPSNELLCFLCAAAGVAAGVSAYRRKRRRREEEERRRVEAAVEEMEGWEFEAMRANYLALMDDALAALSAAAAADAAGGRS >ORUFI04G10930.1 pep chromosome:OR_W1943:4:14745581:14749382:-1 gene:ORUFI04G10930 transcript:ORUFI04G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFLCFCAAMMVSYCAAWLVDLALIGYQAAADEEAARFKDDVAGELRRRGGVGEDECEVEMTIAKQMAILNQILQVMGVPVRAKQRAAPPALEKVVIAVAAGGVLLYHLARLAALVARGRREERRRAARRREQYVAEARAARLEGLKREIRGKAVDWWSAHKKAAAAADWS >ORUFI04G10940.1 pep chromosome:OR_W1943:4:14752640:14762732:1 gene:ORUFI04G10940 transcript:ORUFI04G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAAPAAGGAAILDTLGDFTSRENWDKFFALRGTGDSFEWYAEWDDLRAPLLSLLHGRDGGAEGGGRAPEILVPGCGSSVLSERLYDAGFRRVTNVDFSRVLVADMLRRHARARPEMRWRVMDMTDMQFTDGSFDVILDKGGLDALMEPEAGTKLGMKYLNEAKRVLKSGGKFVCLTLAESHVLALILSEFRFGWDMSIQAIGNESSKSAFQTFMLVMANDVIHSLEKENTIRESYSSGVDVTLSLRDLQLGAVGDLKVIIPGRRRMFILGDQGNSLYCYKAVLLDARKRTETFVYHCGVFIVPKVRAHEWLFASEEGQWHVVESAKAARLIMVFLDSRHANADMDVIKNDLSPLVKDLEPGNPEEEARIPFMMAGDGVKQREILQEVTSEITGPMVVEDVVYENSDEDQSSMTEKMFRRLIFKRNSGLVQSEALLVKDSTSDKADENNKKSPSASKKRRNQKKGPSGSKTVLRIDHSYLGSSYHSSIISGLSLIASALDSAAVAGTKVSTTVIGLGAGTLPMFLRGCLPFLDIKVVELDPLVEEVAKKYFGFSTDEQLQVHLGDGIKFIDDIAVANSGATTQQLMSTGNENNAVKILIVDVDSSDVSSGLSCPHANFVEDSFLLAVKKFLDEGGLFIINLVSRSSAVREMVVSRLKAAFEHLYSLHLEEDLNEVLFATPSERCLDNSNMDEAVAKLKAMLKFPVNVESDMKKLQKLQ >ORUFI04G10950.1 pep chromosome:OR_W1943:4:14766945:14769472:-1 gene:ORUFI04G10950 transcript:ORUFI04G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRLIAAVAPTPPPPPPPRPRRAPPSAARLASGGVAFAVVAAVAAASPPALAALVAEPANALSLPTWAVHISSVAEWVTAMALVWDYGERTGLQGWKGLSWGMVPLLGGAMCACTWHFFYNSESLEVLVALQGALTVIGNITMCIAAFRIFKASQESSKMVMVNQVHESWTGQPVSMTKFAAAMTNGALPMCRKVKHRNETSTLLDMDPPGRHRTEQLASSKVSTTQV >ORUFI04G10950.2 pep chromosome:OR_W1943:4:14766945:14769490:-1 gene:ORUFI04G10950 transcript:ORUFI04G10950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRLIAAVAPTPPPPPPPRPRRAPPSAARLASGGVAFAVVAAVAAASPPALAALVAEPANALSLPTWAVHISSVAEWVTAMALVWDYGERTGLQGWKGLSWGMVPLLGGAMCACTWHFFYNSESLEVLVALQGALTVIGNITMCIAAFRIFKASQESSKMVMVNQVHESWTGQPVSMTKFAAAMTNGALPMCRKVKHRNETSTLLDMDPPGRHRTEQLASSKVSTTQV >ORUFI04G10960.1 pep chromosome:OR_W1943:4:14771355:14776016:1 gene:ORUFI04G10960 transcript:ORUFI04G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREQENAGATSALLAGRGDGTDKEGGGGCWNSGSYGGSTQMLIEMVLDLGAVVLNCRESDHEFVVTVNIFLALRGLLWFLSHGNVFLVMVFVKKKNLLTSMQANKTRVSLSVVISGVWHMTYLWQLNIDAAPLQMMMEELMSEIKVMDMVWNCKFFGTPLLIMDITILRTAQVIDQDLGFCSPAWLGFRIGCLPGNLIFTCFSRGAFVDPRKHREHQYGQAARHCRAPDSSISKARS >ORUFI04G10960.2 pep chromosome:OR_W1943:4:14771355:14776016:1 gene:ORUFI04G10960 transcript:ORUFI04G10960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRREQENAGATSALLAGRGDGTDKEGGGGRATNGDGHRADDGGCAMGRRRRYRCNGTFGYLLLVTSPSGRRRLRLSPMYFVLSIASAGKKNMSGSYGGSTQMLIEMVLDLGAVVLNCRESDHEFVVTVNIFLALRGLLWFLSHGNMMEELMSEIKVMDMVWNCKFFGTPLLIMDITILRTAQVIDQDLGFCSPAWLGFRIGCLPGNLIFTCFSRGAFVDPRKHREHQYGQAARHCRAPDSSISKARS >ORUFI04G10960.3 pep chromosome:OR_W1943:4:14771355:14776016:1 gene:ORUFI04G10960 transcript:ORUFI04G10960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRREQENAGATSALLAGRGDGTDKEGGGGRATNGDGHRADDGGCAMGRRRRYRCNGTFGYLLLVTSPSGRRRLRLSPMYFVLSIASAGKKNMRLMKISVAERAIVVPQPWQYTMFGQTCVGLRAVMMEELMSEIKVMDMVWNCKFFGTPLLIMDITILRTAQVIDQDLGFCSPAWLGFRIGCLPGNLIFTCFSRGAFVDPRKHREHQYGQAARHCRAPDSSISKARS >ORUFI04G10970.1 pep chromosome:OR_W1943:4:14780080:14784398:1 gene:ORUFI04G10970 transcript:ORUFI04G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPDIPAFGNWDTTGNTPYTQKFENARKNKKAGISSHPNDPRRHPEPPSKSPLHPAYTPDAQGQSPMNPQHGRRQEADPHRRHSLSQQREVGGGIGSAPRSPYRMVHGSASPAQPNNPSKPKHRSSGMQTPERRASSEGHGQHTPRRSRDKQGGRGYDAPEDDVAVPPFGEWDEGNAASGEKFTGIFNRVRDDKLSPNTSTRQPDTNRSQENKVKQLHSY >ORUFI04G10980.1 pep chromosome:OR_W1943:4:14782943:14786558:-1 gene:ORUFI04G10980 transcript:ORUFI04G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQCGNRALLAEGSSTVVVVHGRKTRGGISTVTSSRRRSHGGVRYHRCCPPRAYLWRKGDHLPLHHAKISARCSEIKAHTVLQGSDALSSIREWSRSHLVTMTGLVACAVLVVPSADAVDALKTCTCLLKECRIELAKCIANPSCAANIKCGDLFENTVVDEFNECAVSRKKCVPQKSDVGEFPVPDPSALVKNFNMADFNGKWYISSGLNPTFDTFDCQLHEFRVEGDKLIANLTWRIRTPDSGFFTRTAIQRFVQDPAQPAILYNHDNEFLHYQDDWYIISSKVENKEDDYIFVYYRGRNDAWDGYGGAVLYTRSKVVPESIVPELERAAKSVGRDFSTFIRTDNTCGPEPPLVERIEKTVEQGEKTIIREVQEIEGEIEGEVKELEEEEVTLFKRLTDGLMEVKQDLMNFFQGLSKEEMELLDQMNMEATEVEKVFSRALPIRKLRA >ORUFI04G10990.1 pep chromosome:OR_W1943:4:14787431:14792448:1 gene:ORUFI04G10990 transcript:ORUFI04G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLAEDAADAPLDAAAIRSRLERLALSRRGEEEVASAAAAAADAVRRLPSVEDVEPLQGLEFDAWASSAAPMESDFDAFMEWLSKEVSLAEEENRKLSVEISSVAETTLKDSIQLDADIAELESSLKKIDSQGLKHLEASHIAELSVSTDSCRDQIKFDKDYKYEVLELNQQLEKYENDLKLLENQKSAEAMWELESMLSEANVLDFKDNCLRVFLKEAVLTPECLMYGKESDCSVNSFVSDHELLIEVGENMEPKKVQIFPDDTCVDILLDKLKASRETISTTSLGWIIRQFQHHIIINTLRRSLVKDANNSRHSFEYIDKDGTILAHLAGGIDAFIKISADWPLSSCGLKLISIHSSRAQSADISLALLSKTKELANGLELQTRRHLVKFVDAIEDILFREMRS >ORUFI04G11000.1 pep chromosome:OR_W1943:4:14793568:14797316:-1 gene:ORUFI04G11000 transcript:ORUFI04G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASHTASPSSCGGVAQRRSNGMSPVVAMASTINRVKTAKKPYTPPREVHLQVKHSLPPQKREIFDSLQPWAKENLLNLLKPVEKSWQPQDFLPDPSSDGFYDEVKELRERAKEIPDDYFVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTTWAVWTRAWTAEENRHGDLLNKYMYLTGRVDMKQIEKTIQYLIGSGMDPGTENNPYLGFLYTSFQERATFISHGNTARHAKEYGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDYTVLAFADMMRKKISMPAHLMYDGKDDNLFEHFSAVAQRLGVYTARDYADILEFLVQRWKVADLTGLSGEGRRAQDFVCTLAPRIRRLDERAQARAKQAPVIPFSWVYDRKVQL >ORUFI04G11010.1 pep chromosome:OR_W1943:4:14825774:14828559:1 gene:ORUFI04G11010 transcript:ORUFI04G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHARTRIASAFHHLLLPPQGPNPTTVLVLRSAFRFRFRRYAFPPLLILSSPLLSTPPPPPPQRVLAFRSRGAGAHMRFRFRNKAAGDGSRTASSPPNAGKLAAPVAGGGGGAADVADESPDQDSTRNGSKDESFFEARPWLDSDSEDDFHSVRGDFTPSRGTTPDHQRQSPFAGRISVDRSEPSLIEKKQRLLELLQEKQQYDDDSVADVGSEIENGAVHAEEYLKSSRKGAKANRASKSRGGCFPSSFWKIKFRSCRKKRKGQND >ORUFI04G11020.1 pep chromosome:OR_W1943:4:14829919:14833996:-1 gene:ORUFI04G11020 transcript:ORUFI04G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTPAKPVPGRRPPRDVGPPPPRLPFEGSLGHTPLTHPHHHTHTRLKGALLLLVAMLTLVGAREPFVKAQTNLPATMQLKFPTRTQGDSYGALIPGLPEDLAKVCLALVPRSYFPVMGAVSKSWMSFIGSKEFIAVRKEVGRLEERIYALITGDGGKGPYWEVLGSLEQQNRMLPPMPGLTKAGFSVVVLDGKLLVMAGYGVDYGKECVSDEVYQYDARLNRWAALAKMNVARRDFACAEVNGAVYVAGGFGSDGDGLSSVEVYDPQRNKWTIIESLRRPRWGSFACSFNGKLYIMGGRSSFTIGNSRFIDVYDPILHSWTEIKKGCVMVTSHAVINKRLFCIEWKNQRSLAIFNPSDSSWQKIPVPLTGSSATLFSLGVLDGKLLLFSQEEEPGYQTLMYDPTAPAGSEWHTSTLKPSGLCLCSVTIES >ORUFI04G11020.2 pep chromosome:OR_W1943:4:14829919:14831237:-1 gene:ORUFI04G11020 transcript:ORUFI04G11020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLVGAREPFVKAQTNLPATMQLKFPTRTQGDSYGALIPGLPEDLAKVCLALVPRSYFPVMGAVSKSWMSFIGSKEFIAVRKEVGRLEERIYALITGDGGKGPYWEVLGSLEQQNRMLPPMPGLTKAGFSVVVLDGKLLVMAGYGVDYGKECVSDEVYQYDARLNRWAALAKMNVARRDFACAEVNGAVYVAGGFGSDGDGLSSVEVYDPQRNKWTIIESLRRPRWGSFACSFNGKLYIMGGRSSFTIGNSRFIDVYDPILHSWTEIKKGCVMVTSHAVINKRLFCIEWKNQRSLAIFNPSDSSWQKIPVPLTGSSATLFSLGVLDGKLLLFSQEEEPGYQTLMYDPTAPAGSEWHTSTLKPSGLCLCSVTIES >ORUFI04G11030.1 pep chromosome:OR_W1943:4:14876304:14881929:-1 gene:ORUFI04G11030 transcript:ORUFI04G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWSIGDKYIRGTPNFLSRRLKPLQNYWPISGDRGQLIKFAARPPRNEMKRASKVYHDRKGPFPPQKLAIEVRGSPTYILGHLPFHN >ORUFI04G11040.1 pep chromosome:OR_W1943:4:14888016:14888258:1 gene:ORUFI04G11040 transcript:ORUFI04G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDMSAATSPAWGATTEDVAANDVVAGLPPGAPAPPSAIHGAAVNAHLRLHPRGRPVRPPSVPQLCRGPSPVGAFVAGR >ORUFI04G11050.1 pep chromosome:OR_W1943:4:14902820:14903740:1 gene:ORUFI04G11050 transcript:ORUFI04G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPNIVANDGNKFAFLTNSNAPKNKLILDTSQIKSHVSSTLPITQKPRIAAEA >ORUFI04G11060.1 pep chromosome:OR_W1943:4:14904224:14904439:1 gene:ORUFI04G11060 transcript:ORUFI04G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPKGRASASQWEDLVRWGLREQDGACALALEDVGNLGGVGVEEEDKASDGDGELGVADGGGAEYGQHGW >ORUFI04G11070.1 pep chromosome:OR_W1943:4:14914340:14918202:-1 gene:ORUFI04G11070 transcript:ORUFI04G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAPKGVAPMSGSEYDSDETLSDIPFIGSDADSKDEGNTSAMTFPLGKQPLDVAPLNAIPFSEVQGSNRKEVSSKEVVPIPQWLKEHKLYKDGDWEVSISIRATGQKDWSYHHREYQATFRSKPEVELFMETTLQNGTNIFKGRKLQKKWRMDSCAEGSTGGSKSTKRKKINSSTEKKKPLSIGNEPLKLTLPHGFV >ORUFI04G11080.1 pep chromosome:OR_W1943:4:14923683:14930213:-1 gene:ORUFI04G11080 transcript:ORUFI04G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAPKSIAPMSDSEYDSDATLTDNPFVGIDADSEDEENTSAMTLPLGNQPLDVVPLNAIPFSQVQALNRKVVSGKEEVSVPHWLKVHKLYKDGDWKVSISIRANGHKDWFYNHREYKKTFRSKPEVELFMERTLLHGTDIFNGRKLHKKKAMGSYGEGSDGSTSSMGEKKDTNTKRQKPSSIGDDPMMLKPTLPHDQTHGATMIFS >ORUFI04G11090.1 pep chromosome:OR_W1943:4:14936067:14936600:-1 gene:ORUFI04G11090 transcript:ORUFI04G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWHQSHSPWLSMSSEFLHVIVSNLLYPVTEDILHRVFCAYGAKKIYMHLMETRMEASVQFQSHEDTEYARKTFHGRNIYDGCCRMDIHLELPSPAATSSNSAPTTPFCQIIKELRADLKELVAILHEKLVKEEERRTGEAAVANLSMTTGTLLLVSPLPQFSPSEASSSQEQEQL >ORUFI04G11100.1 pep chromosome:OR_W1943:4:14939861:14948960:-1 gene:ORUFI04G11100 transcript:ORUFI04G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAAAAAAEAPATVGQAVIPLVNRLQDIVARLDGGGGGLELPQVAAIGGQSSGKSSVLEALVGRDFLPRGPDICTRRPLVLQLVRHPAPEEWGEFLHAPARRFHDFDQIKREIQLETDKEAGGNKGVSEKQIRLKIFSPNVLDITLVDLPGITRVPVGDQPSDIESRIRSMIMQYIKHPSCIILAVTPANADLANSDALQLAKLADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQEDINFKRSVKDALAFEEKFFSTLPAYHGLTHCCGVPQLAKKLNTILLKHITYMLPGLKSRINSQLVAVAKEHAAYGDTAESTAGQGVKLLNILGKYCEAFSSMVEGKNKVSTDELSGGARIHYIFQSIFVKSLEEVDPCKSITDEDIRTAIQNSDGPKGPMFLPELPFEILVRRQISRLLDPSLQCANFIYDELVKISRGCLTSELQKYPILKKRMGEAMDYINTSHPNFVGGNKVVELARQEILPPKAPTSVTIPKEGTTISPEMQLTSDRSQKSRAIFARNATRGATSDQGVQPDADTGTSVAGRNQRGHSLVAGSSSSKSVARVHSLDNLISIIQLREPPITLKPSENQPAHDTTEVAIVKLLIKSYYDIVRKSIEDAVPKAIMHFLVNHTKRELHNVLIRKLYRENLLDEMLRETDEVVIRRQRIQETLQVLEQAHRTLEEFPLEAEKVEKGYSPAEYATGLPKIHGLSNGDPSIIYASSPNHNRKKASHEDQHGSVASYSSTSYPDANGGLLST >ORUFI04G11110.1 pep chromosome:OR_W1943:4:14952469:14957816:1 gene:ORUFI04G11110 transcript:ORUFI04G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPVAHSLSTLHLLSTLDRKTRRRRPSDAATPTCRAPVPPPPPSPPAFASCRRAVGSRPSPVAGSPLIWVAPSRLVSNPPRAAPRRAVPPPPRGRDAQVPTPNGQRSTSHAMPLLRRPPAAPHRTPIHHDQRLHLFSTHNTRHRATISSLPVNCLRIRYSSNNLVRHLCGIPSSRCHAAADPAPSKIPGGGSGALETGVVGWRDLLLQIGEVLSLGFPVWVASACAVALWRPPAFLWVSPMAQIVGISFTMLGMGMTLTLDDLKTALLMPKELAAGDATVRISHQQAIKLTILLCCWIDIGVLLPWRGNVALSVLMTAASTFAAAFLTPLLTSKLAGQYVAVDPMGLFVSTSQVVLAPVLLGALLNQYCNGLVQLVSPLMPFIAVATVAVLCGNAIAQNASAILSSGLQVVMSVCWLHASGFFFGYVLSRTIGIDISSSRTISIEVGMQNSVLGVVLASKHFGNPLTAVPCAVSSVCHSVYGSFLAGIWSKHHAFMF >ORUFI04G11110.2 pep chromosome:OR_W1943:4:14952469:14957830:1 gene:ORUFI04G11110 transcript:ORUFI04G11110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPVAHSLSTLHLLSTLDRKTRRRRPSDAATPTCRAPVPPPPPSPPAFASCRRAVGSRPSPVAGSPLIWVAPSRLVSNPPRAAPRRAVPPPPRGRDAQVPTPNGQRSTSHAMPLLRRPPAAPHRTPIHHDQRLHLFSTHNTRHRATISSLPVNCLRIRYSSNNLVRHLCGIPSSRCHAAADPAPSKIPGGGSGALETGVVGWRDLLLQIGEVLSLGFPVWVASACAVALWRPPAFLWVSPMAQIVGISFTMLGMGMTLTLDDLKTALLMPKELAAGDATVRISHQQAIKLTILLCCWIDIGVLLPWRGNVALSVLMTAASTFAAAFLTPLLTSKLAGQYVAVDPMGLFVSTSQVVLAPVLLGALLNQYCNGLVQLVSPLMPFIAVATVAVLCGNAIAQNASAILSSGLQVVMSVCWLHASGFFFGYVLSRTIGIDISSSRTISIEVGMQNSVLGVVLASKHFGNPLTAVPCAVSSVCHSVYGSFLAGIWRSLPPNDKGQ >ORUFI04G11120.1 pep chromosome:OR_W1943:4:14958808:14962479:1 gene:ORUFI04G11120 transcript:ORUFI04G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATPAASTTLSLLLPASPPMRLRARRPPARTRRSSRPLLLRASCAYALREGQSRRFHRLPCGLDLEVIAQQPPAPGAPGATAERPPLVFVHGSFHAAWCWAEHWLPFFSRAGFPCYALSLRAQGESSVPPEKVAGTLETHTGDIADFMKEVSLPPVLIGHSFGGLIVQQYISCLGELLHPKLAGAVLVCSVPPSGNSRLVWRYLLTKPVAAIKVTLSLAAKRFANSLSLCKETFFSPEMDDDLVQRYQGLMKDSSKLPLFDLRKLNASLPVASVPNNTVNILIVGANSDFIVDAEGLSETARFYNVQPVCIEGIAHDMMLDCSWDKGAGIILSWLEKLTPR >ORUFI04G11130.1 pep chromosome:OR_W1943:4:14964309:14964710:1 gene:ORUFI04G11130 transcript:ORUFI04G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNAAVLIVLLALALAVAVVPLAAAVAGNNNNMQIVSTTDDDQPAGGESTVLAEAAPALINRPPWEGGGVAAAAVAGGGTQPMSECMEKTLYRGPCLEALCTAACILELNNGGHCRGGFLFFKKCSCFLCF >ORUFI04G11140.1 pep chromosome:OR_W1943:4:14967930:14973312:1 gene:ORUFI04G11140 transcript:ORUFI04G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPSPSPTTTAAASAAAATLPHLPRFPFLLLPRRASSHHVPLHLHHHHPRLLPAAAAASPLPPQPPSPDELLPDQATGLVAASQANFMRVIVGAAPRGVEGARPGGDLLCVVRALLKKIRRRVLVGDRVLVGAVDWAGGRGVIEGVFERRAEVADPPVANVDRLVLLFALDQPQPEPATLTRFLVDAESTGIPFVLVFNKVELVDEQTISYWRDRMKSWGYDPLFLSVDQKSGLSALEETLEGQTTVIVGPSGVGKSSLINALRSNQNISEEDPIHKLVEQNSKWFGEQRVGTVSKKSGKGKHTTRHVSLLPIAGGGFLADTPGFNQPSLMKVTKKGLAETFPEIRKMLAENEPSKCLFNDCVHLGERGCVVKGDWERYPYYLQLLDEIKIRESFQLRTFGTKREGDVRYKTGVMGVKQAEPRLQLKKHRRVSRKKINQSILDDIDDELDEDNWFDVKQRSRKQ >ORUFI04G11150.1 pep chromosome:OR_W1943:4:14988390:14990657:1 gene:ORUFI04G11150 transcript:ORUFI04G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHLLLQLSPPPPPPPLPAAHLMMSPSFFDAGVFANVGGDWMEDLMHLGELFGVGVGGDDDDNGGVDGGVGGGDDRMQEWQNNCEGAGSPDHQPSCGDGDGDGDGDVSPRDGELGDGDGDNSATRKRRDRSKTIVSERKRRVRMKEKLYELRALVPNITKMDKASIIADAVVYVKDLQAHARKLKEEVAALEEARPIRPPPPSAAAQRPQRQPRRVAAAAAQLARAADAAAVTTAAAAPHGARVAHVGAAQVGEGRFFVTVECEPAAAAARGGGGGVAAPVCAAVESLSCFTVESSTVGCSPDRVVATLTLKVSEAEEDVSAISECTVKLWVMAALLKEGFRPQPTVQIS >ORUFI04G11160.1 pep chromosome:OR_W1943:4:14998644:14998855:1 gene:ORUFI04G11160 transcript:ORUFI04G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVCPSSYNDFLAMVAMKPGMNLAGTDTPTPGVSTPAPARDECLEALIIPTGRGKACDRLPVAT >ORUFI04G11170.1 pep chromosome:OR_W1943:4:15002296:15005346:1 gene:ORUFI04G11170 transcript:ORUFI04G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPASPPAVRQCLSFTSHRIAACRREAPLMKGESEEEETPRPPQPQQQGGSPATPLGHLRPPSLAGSPFQGLFHTPPQHNPAFQIHMGAAASPQNPLMAAAAAAAAAASAKRPPQKPPARPPAPGSSSSGGSAAAAAAAAASAAAAYKAAAAAAAVGANSAGGVDLTPAAARRNKKRKLPEKQLPDRVAALLPESALYTQLLEFESRVDAALHRKKVDIQEALKSPPALQRTLRIYVFNTFANQAPRTIPPPKNAEPPTWSLKIIGRVLEDGAELDPASVVPKHNPVYPKFSSFFKRVTIALDPSLYPENPLIIWENARSAAPQEGFEVKRKGDKEFSANIRLEMNYNPEKFKLSQPLMEVLGVEVDTRSRVIAALWQYIKAKKLQNPTDPSFFMCDPQLKKVFGEDKLRFAMLSQKISQHLSPPPPINLEHKIKLSGNGAHASACYDVIVDVPFPLQKEMSAFLANTEKHKDIEACDEVISASIKKIHEHRRRRAFFLGFSQSPVEFINALIASQSKDLKLIAGEANRNIERERRADFYNQPWVEDAVIRYLNRKPASGNEGPGGGAGGS >ORUFI04G11180.1 pep chromosome:OR_W1943:4:15007550:15009616:1 gene:ORUFI04G11180 transcript:ORUFI04G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPAPPHPQPEELEVAEEPPAAAGGVGNDKVLAAAQHIVKSLATSKNAADDMIRILSGFDHRFSSITADLFPSPSPSSGAGPTPPPPPPPRGAFEAAERLIRQWDATPELLVFEGPEGDVADYLEAVDVTVDQLLSGGGAAAADAEAEAAGVVVQLAMARMEEELRHLMVRHAVPLDASGLFCSLRRLSLESMDDLDTSSEFDPITPHSLEGGPDTARSASLVGNPFDDQVFDLVRPEAIDDLRSIAQRMDRAGYASELEQVYCGVRRDLLDECLAVLGVERLSIDEVQRMEWKLLNDKMKKWVHGVKTVVRSLLTGERRICDQVLAVSDELRDECFVESTKGCIMQILNFGDAVAVCSRSPEKLSRILDMYEALAEVIPELKELFFGNSGNDVICDLEGVLERLGDAVKGTLLEFGKVLQQESSRRPMMAGEIHPMTRYVMNYLRLLVVYSDTLDKLLGDDSAGDVDHSDTHRGGDDEEEYLESLSPLGRHLVKLISYLEANLEEKSKLYEDGALQCIFSMNNILYIVQKVKDSELGRILGDHWIRRRRGKIRQNSKNYLRISWTKVLSFLKDDAHGGRSGSGSGSGNSSRIKEKFKNFNLAFDEIYRSQTLWKVPDPQLREELKISISENVIPAYRAFLGRYGSLVDSGRNSGRYIKYTPEDLENQLSDLFEGSLGPANHSRRR >ORUFI04G11190.1 pep chromosome:OR_W1943:4:15012792:15018716:-1 gene:ORUFI04G11190 transcript:ORUFI04G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESPRSPEAEIGHRVEDLWEVAEPQLSPSEKLNSCFEDIAVASFPRPLGSQVIEIPSNASLADTVEILSKNKILSAPIRNVDAPEDASWIDKYIGIVEFAGIAMWLLYQSEAAANGTAGSAVGSPVANLVSRLGSFTFRRTSSGRVETTTDPESDETASVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVDIGGDKIENIITQSSVVHMLAECVGLPWFESWGTKKLSELGLPLMKPCKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTSGTKAIGNISIRDVQYLLTAPNIYKDYRTITAKDFLTAVRQHLQEQHEASPLLGSVITCRRDDEVKDIILKLDSEKIHRIYVIDDKGNTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPPNSTCQKTVKEYRSGSLFLQPIGSILVRMPKCVHPLKCLETVTREKQKQTRTPGFCSNQFSVSKTWIFCRNCA >ORUFI04G11200.1 pep chromosome:OR_W1943:4:15024492:15025097:-1 gene:ORUFI04G11200 transcript:ORUFI04G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKASMFLKQMVSTIVAVVKAKSTAVRAKTSAMKTRLLIFGVLRNRKLLATAINHKIHAIMGGAAAQDTTNDGGVAGVEDDDGGGSKKAVVLYNTAPSFLTERGYYDHAGEEEEEEEDSDEYLTHSLFQEEDDEDDELVNAPGSVIDLVRDAKEGEGGEFRLEDEIDHVADVFIRRIHKQLKLQKLDSFKRFCEMLERSA >ORUFI04G11210.1 pep chromosome:OR_W1943:4:15027653:15028162:-1 gene:ORUFI04G11210 transcript:ORUFI04G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHRRSMRLLRVRLSRKAAINGPQNKLILYKTLQGLRGGQLMSSRKSRIPPFLSIQRRQPANIHHRLDRRCLEGIRAVGDADLGGAEEEEIGNGGVETKITSGCKPTIRN >ORUFI04G11220.1 pep chromosome:OR_W1943:4:15037126:15042662:1 gene:ORUFI04G11220 transcript:ORUFI04G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKAAGRGVPGEIASRVSCCSGGRGRVAVGCDDGTVGLLDRGFRLSYGFQAYASSVLFLQQLKQRNVLITVGDDDQPSSLSSAICLKVFDLDKVQEEGSSTTSPFCVQILRIFTKQFPQAKITSFVVLEEAPPILLIAIGLDNGSIYCIKGDIARERITRFMLQVEDGTSLPITGLGFRVEGQAHQLFAVTPSSITLFSLHDHPPRRQTLDQIGCETNAVAMSDRMDLIIGRPEAVYFYEIDGRGPCWAFDGEKKFVGWFRGYLLCIIEDQRSRKNTLNVYDLKNRLIAHSMPVGDVSHLVSEWGYIILIMSDKKILCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADPASTAEVLRKYGDHLYGKQEYDEAMSQYIHTIGHLEPSYVIQKFLDAKRIYNLTNYLEKLHDRGLASKDHTTLLLNCYTKLKDVEKLNHFIKDEDGVGEIKFDVETAIRVCRAAGYHEHAMFVAKKAGRHELYLKILLEDLGRYDEALQYISSLEANQAGLTVKEYGKILVEHRPAETVEILLRLCTDGGDPMTRRGSNSMHLLMIPSPMDFVNIFVHSPKHLMEFLENYTKAVKDSPAQTEIHNTLLELYISKDLSFPSMSQENGFEEQNSKERKGKEVANGYKSGPREKGNLGKEDMNVAKDIVDRQRKGLALLKSAWTSEMDDPLYDVDLALIICNANAFKDGLLFLYEKLKLFKEVISCYKQAHDHEGLIACCKKLGDSSQGGDPSLWGDLLKYFSELGEDCSKEVKEVLTYIEKEDVLPPIVVLETLSKNPCLTLSVVKDYIARKLEQESKLIEEDRKSIDKYQDETELMKREIEDLKTNAKVFQLSKCTACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEYRSVMEAKQKLEHNARDHDLFFRQLRGSKDGFSVVADYFSKGIVSKTAIPPENGR >ORUFI04G11230.1 pep chromosome:OR_W1943:4:15046055:15049919:1 gene:ORUFI04G11230 transcript:ORUFI04G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPLLLLLLLLLLLLLLLLLIPSFKAVVSKGSRRSRSKILEADVKGSWHGGGHGHWLSSTDVMSNATAMEIVTCSQDDVSRCPQEKTVNLHDLHNSLIASKELVRVLAHIWGPGELNPSTTSLISALRSEIDLARSHVRKLIKEQKSEGIESLKKQLVQEMESWKSKQKEKVANALQYIVSELDSEKKSRRRAERINKKLGMALANTEASLQAATKELERERKSKGRVEKICTELIRGIGEDKAEVEALKKETEKAQEELQKEREMLQLADEWREQRVQMKLLEARLQFEEKNAAINQLHDELQAYLDTKKEHGQSNDQMTLLRASENGREIADNIQKNSGECDDEDEDDDDDDSASEGSDMHSIELNVDGNSKSYTWSYTPTSKDRKRNASFSQRGMDSGSSCGFDRKFQETGEELLEGDWAEGCSNGMLNFEHDEERYLAIKNLREQMLAGSGFIVSQGREHAESEFCGL >ORUFI04G11240.1 pep chromosome:OR_W1943:4:15052874:15053278:1 gene:ORUFI04G11240 transcript:ORUFI04G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAATACSTLLLLIAAATTLLVPAASAAKLVAGKDAATATAAEAALGSTVAPWVEADAGGVVGGMMMVAAAAGSVEYGHGGVHHRRVLQARGGGNVNPSLVADRQRCIGSCPARGGSYTGRGNQCIYHNRSC >ORUFI04G11250.1 pep chromosome:OR_W1943:4:15066364:15066705:1 gene:ORUFI04G11250 transcript:ORUFI04G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAAPDPPLDAAAAMAAPAGGTVASTSSVAAAVWSRATVEETVVELEPLLPADQLDMVQSRRVWSTTKHDTGALDKDKPVCLRDHSCTEPPPGVSYIGWGDKCVYHNPGC >ORUFI04G11260.1 pep chromosome:OR_W1943:4:15080981:15081163:1 gene:ORUFI04G11260 transcript:ORUFI04G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREEGEYTGASCRRGAVATSTRRWWQTGRGASAAACGARGGGGSYTGRGNQCIYHNRSC >ORUFI04G11270.1 pep chromosome:OR_W1943:4:15089321:15089659:1 gene:ORUFI04G11270 transcript:ORUFI04G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKATIACCLLLLAVDVAATGAVPAAAWMEDDTVGIDLQLQAAPLAMVLAAAAGGGVDDDHELHRRVLQARGGYVDPSLVADRQRCIGSCSRPGRPYTGRGNLCIFQNRSC >ORUFI04G11280.1 pep chromosome:OR_W1943:4:15092566:15094684:1 gene:ORUFI04G11280 transcript:ORUFI04G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLGSSAIYVCVVAPQQAHVPAVPLRCQAARRATGVVHGRRPAQERRQWRCHRREGGEVGLPLRSPSAKPFWPKLRVIAAGFDFGIRRHRRSLSKIEVSLIFSMPNSLLPLSSPSRPAVGAHRHGTRMLPTCSAAIVSARCAAAERPRPSREHLQLQVDALMLLGSRAQSEARRSAATSHAPAACTTEKEKKRKEKK >ORUFI04G11290.1 pep chromosome:OR_W1943:4:15099121:15099489:1 gene:ORUFI04G11290 transcript:ORUFI04G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYKKGIMINKASVVAAFSAAWLLLLVLVVLLADEAAAAPAGREAAAAWMRRLDDTVEPEPLPAELDRVQRRVLQATSHYVAPSALNPDRQGCIQSCLPGSQYSVPPPGSHCNRKYYNQGC >ORUFI04G11300.1 pep chromosome:OR_W1943:4:15099938:15103542:-1 gene:ORUFI04G11300 transcript:ORUFI04G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTGSGRSFFPSLQPTSIVRSDSAAAASRSRFPICSRGFLGISAVVAPPWVFTARLSPHQIDISLSPSQKLSPSFLPRLLLSLYPIELKSLPSLIPLRSSSAIGPPFYQQLPASVSIGRAHRPQRNRRPPAAAGAPSPSPIDVGCSSDACISSDGTPPFDNNSFPLGFLSVHARLVQRRPSVQAAGLCWNIPSSFFIKLEYWFKEEQDTRLTAALCPCDELYSLAV >ORUFI04G11310.1 pep chromosome:OR_W1943:4:15105915:15106160:1 gene:ORUFI04G11310 transcript:ORUFI04G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAWRQRQGGCRCRARAAGVDHNLVGCHPRPELMPPSTKSGTDDNRGMRCVGAMIAKEDGGGARSGRTLEVAVGMELELS >ORUFI04G11320.1 pep chromosome:OR_W1943:4:15112179:15113612:-1 gene:ORUFI04G11320 transcript:ORUFI04G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPTRRKHRPTTRQRRKHRQINPPHPPAAATDDAAAIPEAPAVAAAIGIPDDVFFSHIFVNLPVRSLSRFRAVCRSWHAAVDDPALVRRHLELSRARQPPTSSLLAVASSEDVWDEALSDSDSEVVSFHRLTLTLRAAAAGPRARAAAAAVAAPPHITSETDPMLHKSIPNGGRIARRIIPTHCDGLVAVATYGGATFVCNPATQELVVLPPGTSGRSRRGPSPGSTESTAAIGFDPWRNRYVVARCFYYRKSGNHYPPVYNVGHEIFTLGGGAGDGWRRTQDPPRAISPDGRPAACTRGGGASFYWFIDEHEPCALLRFSLRDEAFDVVPCPPGCTAFTYDDRLADLAGELCYVHRVRTGVATHEVWMAAAAVDDDEPEWWLRYRVDLWGYAWGLVAGERWFHSFGATAGDDGVDEEATLVTMLYKELCWHRERSKPVVKDVNVRGSRYSCEPTPTIHHVIRYVESLVSITAPNY >ORUFI04G11330.1 pep chromosome:OR_W1943:4:15115111:15116765:1 gene:ORUFI04G11330 transcript:ORUFI04G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSALVIPHDGGDFLSRCISFHRLRSPEHTATDAAAAAATAELMLEATCPEEIGCGKLVQLPLGTNAGLSECFWPAAAIGYDPWRDRYVVCRYFDLSTEPFFDEATGRETSYWRLGHEIFTLGGGGGDSWVETDPPPPPGRHWPIGELGAICVRGDFYWLSYTAAGAAGDGEAEMALLRFGLRDAKFDVVRGPRGCTCRRRTPSSSDDGYFYFTDRVVDLSGKVCYVHAPLAAYFLELWQLAGDHDQEWSPRCRINLLERGIVIRKEGFVPVYHHGEDMLLVLDDEQLYRYNERTRAIEEVANLERELEEYERQDGTLGYKHYVVPGAMDYEEKQPTLAPRPHAAAPWPLAASSACCHSKPYPYLDVSKLAPVKGLKHDGGKDDEDGQLFSNDTPALPPKPKHRELPSATDDEMVAARR >ORUFI04G11340.1 pep chromosome:OR_W1943:4:15122485:15122880:1 gene:ORUFI04G11340 transcript:ORUFI04G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSKQALATPLSLFLLHLLLAALALRLVAVASASVVVSVAEEAENNATAPWATEERLVVVVKLGSAAARQLQLGGGGVELHHRRRELAGKIPFGPLRPDGSACRPHCPAKSGLPCTRDCKVIYLCGRGR >ORUFI04G11350.1 pep chromosome:OR_W1943:4:15127435:15128952:-1 gene:ORUFI04G11350 transcript:ORUFI04G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTNARPEKRHRIAAAAAAAAAVPDDVLFSNILVHLPVKSLARLKCVSRSWLAAVEDPAFVRRHLELSRARPSAMIVVVPPKDGSISFHRFRQLQVVDTTTMDDSSEVELMLERAFPDGVEIDVATHCDGLIAVTTDAGETFVCNPATKELVTLPLGISCHNGCVVWDRFAAIGYDPWRSRYVVCRYFRRRYPNRTAGAEIGHEIFVLGGGGGGGSWEATEDPPPTSAIVPASTPPACIGGCFYWCTNEDVGNPSMLLRFSLRSHKFDMVPCHPSCSSDVLASNTVSELDGKLCYTHTATETTTTTSRLWMLDGGGDMARPEWSMRCFIDVGDYVSCVSPLVAAGGEHILLSVDENLYVYGERSRVLEKVVNTAEVEYARSDDGSKYKVGYDLYSQHYYVPYVESLVSIRLKNRRRATAPSPSGPLHPRSPPLEQLAGKAGSSKNDCGGAPSLLFHPLIAPCAYAGCGHRIPVMWQWGSQI >ORUFI04G11360.1 pep chromosome:OR_W1943:4:15129306:15130880:1 gene:ORUFI04G11360 transcript:ORUFI04G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPNDLLICEVLTRLPVKSLLRFRSVCRSWRDAVTDPAFVRRHLELSRAATPPSTTVLAVHTRMDHDPDDRAAPEDVVSFHRVRPGQSPAAAAAAIVELMHEEALECAGINLFASHCDGLVAVAATAGKIFVCNPATKELFLLPPGGRGGPSKETAALGFDPCTGRYVVARCFFRRDVYYLDEDTGVLQYREYDINDIVHQVFVLGPSGSGDWEATVTPPCIIYTDLPAACAGGAFYWVAHDKSDGTFAVECPNCLVRFAMNDGTFTIVPLPQGVTFMDVDFDSISELGGELCYTQRTSGTAYNIWTLQLPGDEEEEGHRWSLRWRVDFRRRVGVVLPLAVSDDGGTLTVYEHRVGIHRLDGGRESHPEKVLVDMAAVTRGLVGQWIAGYGCDQQCGGSGDHDREQCDGGGAAHDRMQCGDGDYYCERCGGGGDGDYCERCGGDDEDDWEQCGGDDDGDGDGDGVGEPLGLGQGDHGDYDGDEYDDGYDYDGEYWYLQGGRYKPLRPALRSLFAYVPSLVKID >ORUFI04G11370.1 pep chromosome:OR_W1943:4:15131392:15132026:1 gene:ORUFI04G11370 transcript:ORUFI04G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDGVWKIHGGLWIGRQQRPGNGGGTLAMPNNLGGVDAAAGQIPPTVVADWAPFVHRHLARHRRRHRRQCSPSTHTRTVPNPDDTAAPEDVVSFHRVWLGRSSAADVATGISELMLKEEL >ORUFI04G11380.1 pep chromosome:OR_W1943:4:15136480:15138029:1 gene:ORUFI04G11380 transcript:ORUFI04G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGNVCGTTAAAAAAMAITNDLLVSEVLSRLPVKSILRFSSVCRSWRTAVADRAFARRHLTLSRRAAGTTPPSVLAVVTRIDADPDNAAPPEDLISFHRICPGRQPSSAAAAADVVVDVEQMQELALECSNPLLRSSHCDGLVAVAADVGRIFVCNPATREFVVLPPGSPGPYDHRREEAAVLGADPRPGVHVVARCLYRHYGCHANEHTGEQSLEYDIVHEVFVLGPSGSGAGRPPRRRRAPSSSWCRRPTRGAPNDQSDQAQREHPNALLRFAIHDGVFDVVPLPPGVAFMAPDDRDALTELGGELCYMRPTGATAFDFWMLPADADADADAEHDEEEGGHGAGWSLRWRFDFGGGDPIDDLTPLYMAPDGTLTVYVDTARMICRLDERSNLLEKVVDMAAVYWHLVEQLGHGNYYDHSEKDYRLEIQNGRWKREAY >ORUFI04G11390.1 pep chromosome:OR_W1943:4:15156523:15157392:-1 gene:ORUFI04G11390 transcript:ORUFI04G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFDHRQSSPRTVTASLPFSNNRLATDEFVTLSLGIRDVHEIKNPTGALSFDPWRNWYFVAKYFYRSYMVLEDEDTCEQSFQGDNWHEITDNLTYVISPAARPVCMRRAFYWCADGKFNLDMILQFSLYDEKFRLELFPLGSTMVTLWNWQEIWQLVDEGSITSMVTALRHHCLLRGDWLLGTKMLIAVDNVKRYQCYEWSETMLEVVDMGVELDYER >ORUFI04G11400.1 pep chromosome:OR_W1943:4:15159964:15161180:-1 gene:ORUFI04G11400 transcript:ORUFI04G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERHHQINHASVTASTINIPDDLLIAEVLASLPVKSIVRFKCVQKSWLAATKNDWFVCRHLKFSRAMPPDVLIVPREECSDDEDEEIGLSNEISFYRLREPLSKDIDDTKVELMLEAVQPEVITHTILATHCDGLVAIATATDQVYVSNPATKEFVTLPLGSHDVREIKIPSAAIGFDPWRNQYVIVRYFYRNYMVLEDEDTGERSFEYDIGHEVFTLGLGHSWEITDNPPLAICPFARPVCMRGAFYWCTDGMLNEYMILCFNLYDEKFGMLPFPPGCHYLGNYLVDDKPEPVWSQCSRIDVFSNEISTYGFFPILSRDTEMLIAVDDEKLYQLYEWSDTMSELVDMEDGLEYERADGSKLTFGEIGRILYYVVPFVESLVSISASN >ORUFI04G11410.1 pep chromosome:OR_W1943:4:15163315:15163780:-1 gene:ORUFI04G11410 transcript:ORUFI04G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHRQPDLSHQPCRSAGLHEKSLLLIGAIPSRLHHGDLVELARKLCFVHTTTEETFSIWQLVDEGSITSMRYQCYEWSETMLEVVDMGVELDYER >ORUFI04G11420.1 pep chromosome:OR_W1943:4:15163795:15164407:-1 gene:ORUFI04G11420 transcript:ORUFI04G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEDEDTCEQSFQGDNWHEVLP >ORUFI04G11430.1 pep chromosome:OR_W1943:4:15171912:15172787:1 gene:ORUFI04G11430 transcript:ORUFI04G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRGAGTASLAGWRIRRGGSCHHRCRHCCWWRRGWSRGEDREAVREVPKHMMEAELLTTFQEVAIVDEVTVIKDKRPLVATPARYRVQSRVLAGWRKEHSCSE >ORUFI04G11440.1 pep chromosome:OR_W1943:4:15187622:15188991:-1 gene:ORUFI04G11440 transcript:ORUFI04G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRGACYSPASCQDGRRKRRRIAGGGGEGSAAAAGGAEGPANDMFEELPDDLVVSILADVAASARSPGDLAGAMLTCKRFRELGQSKVVLARASPRCLAVRAKAWSDAAHRFLQRCADAGNLDACYLLGMIRFYCLGSRGSGAALMAAAAVGGHREALYSLAVIQFNGSGGSKDDRDLRAGAALCARAASLGHVDALRELGHCLQDGYGVRRSVLDGRRLLIQANARELAAAVAASASLLRAATGKLAAGASRRHSCLLSDFGCHAAAPKAGAEAHAANRFLVDWFASRPLAGSTAAAAAAPTPGSAAEDEAGGLRLCSHALCGRPETRRHEFRRCSVCGVVNYCSRACQALHWKTAHKAECTPMDRWLDNAAAGAANPNAAGAGDAAMAAPAP >ORUFI04G11450.1 pep chromosome:OR_W1943:4:15216034:15218088:1 gene:ORUFI04G11450 transcript:ORUFI04G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFAADLDPEVHNLNARLLEISRMLQSGLPLDDRPEGARSPSPEPVYDNLGIRINTREYRARERLNRERQEIISQLIRRNPAFKPPADYRPPKLQKKLYIPMKEFPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGKLLQKRDMKPDPSENEDLHVLVEAETQEALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRTCGEPGHRQYACPNRTSTFKSEVQCKICGDGGHPTIDCPVKGTTGKKMDDEYQNFLNELGGSAPESVTKSSGPMLALTGSGGSGGSSGGVGAGSGSNPPWAAGGGAAASGANGIKKEYDETNLYIGYLPPTLDDSGLIGLFSQFGEIVMAKVIRDRITGQSKGYGFVKYSDVSQANAAIAAMNGYHLEGRVIAVRVAGKPPQPAVPPGPPAVPAPPTYPPADPAAGGYTSQPYMGAPPPPPPGSYAPVPWGQPPPYASYPPPPPGSSMYNPPPPAPGQATPPPYGVQYAPPPAPIPPPGTAPSTDGAQNYPPGVTPPSSGAPTQPVPAPVYGTSGAPNAPPMYPPPPYGYASYYPSVTPVQPPPPPPPAGADPSQSLANAPWATHSAPPPPPSGADPSQSIANAPWATHSAPPPPPATADHSQSIASAPWATHNAPPPPPPPSSIEQPPATYGADAEYDKFISEMK >ORUFI04G11460.1 pep chromosome:OR_W1943:4:15230621:15233440:1 gene:ORUFI04G11460 transcript:ORUFI04G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVAPIAVASAGLGMLAGVAMANRSSNSSSSSGRTSSPAALLRWGAPEPAPRYSHCGNKQKQTSCEPPCKASDLVYLGWREALLAHDPHGFDNFAFHVKEIGQVRDPKAMIPYDTDIY >ORUFI04G11470.1 pep chromosome:OR_W1943:4:15238435:15239138:1 gene:ORUFI04G11470 transcript:ORUFI04G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWHFLLAFAIRLCVPAPSELVVSLLGSTAVGRALAGHPDPFRVVHRSELAERRSSGSALTRSSPS >ORUFI04G11480.1 pep chromosome:OR_W1943:4:15241477:15241899:-1 gene:ORUFI04G11480 transcript:ORUFI04G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLEPLVIESGAGKLVLHLMDAFHAEVLALRAGVEAAARRGMMRVQFETDSLTLVQGLKSSNGYRLAATGGLCLDILQPCVISFNVFSFHYCPRNCNRVAHALAALGCNNSQTTDVRWDGSPPDVEDLVAGDLAEPVV >ORUFI04G11490.1 pep chromosome:OR_W1943:4:15250455:15251006:1 gene:ORUFI04G11490 transcript:ORUFI04G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEQGERELQLLLPAAASAAAAASRVLCGGGGGDAAAGLGGGGGSVDSSGGAAALDLDLSMSIGPTTRRQQPAAAVPSPSPPPPPPPVVDVRAVRQQTAEQMRQASAHRAYAERVREMARAELELAEREFARARAIWERAREEVERVERMKEIAARRLGIGPAAASAALEITCHACMQRFHP >ORUFI04G11500.1 pep chromosome:OR_W1943:4:15252715:15256152:-1 gene:ORUFI04G11500 transcript:ORUFI04G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQAEAGKQSRSRGNGVMQAKMIVRVSQGHGCIDHKLKCNLIEPPQVVSGNRAGNQKGCRISKTMRRFVLTEIMGRRIHGQCAQCLQPPAATILYPGTVRSGGQNLGLLQSLYGYRYAFVTVPQGQPSHGLGHQPNTPKFKASGIITV >ORUFI04G11510.1 pep chromosome:OR_W1943:4:15259279:15265346:1 gene:ORUFI04G11510 transcript:ORUFI04G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCRALALRSLLVPDHPRAAAAAAARPAAGRRAPRRRSRHLRCCSGGGDPGQPPQEAVLEAISKVARSKGRVALTTNMVLGGTVTDDASDEWLVLDQKVNSYPTNRGFTAIGTGGDDFVQSMVVAVESVLQEPIPKGQVSHKLSSRGKYVSVKIGPIRVVSSEQVQAVYRAMRSDNRMKYFL >ORUFI04G11520.1 pep chromosome:OR_W1943:4:15262808:15267772:-1 gene:ORUFI04G11520 transcript:ORUFI04G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSPYFVPESEGIRAGESPAAALRRILASPGAHQAPCCFDALGARLIQRAGFPICFMGGFCVSAARLGLPDAGLISYGEMVDQGRLITEAVSLPVIGDGDNGYGNAMSIKRTVKGYINAGFAGIMLEDQVAPKACGHTEGRKVISREDAIMHIKAAVDARKESGSDIVIIARSDSRQAISIDEALWRVQAFADAGADVLFIDALASIEEMKAFCAVSPKVPKMANMLEGGGKTPILSPAELQEIGFSLVVYPLSLIGVSMLAMEDALIAIKSTGAPRPGSLPSFQEIKDTLGFNRYYKEEKQYATVQQAQPLSTNIVLRLKITEKSGTQKINEGIPAGILEKISKAIPGLAGVNLTEILQGADQSQKGKLLLDREDATGDRIQVSIE >ORUFI04G11530.1 pep chromosome:OR_W1943:4:15270485:15271831:1 gene:ORUFI04G11530 transcript:ORUFI04G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFCVM >ORUFI04G11540.1 pep chromosome:OR_W1943:4:15272014:15272724:1 gene:ORUFI04G11540 transcript:ORUFI04G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCSTATGAARDGRRRHGSCEDAFRGGGGGLEMRWRELEREWDAYKTSRCSGASRRRHHRRSRSGSGTGTPSSAVIVAAEPADAPGSHLLLGVRLGGSPRRLVSSLQRDGSSSSTASAGVTPERGRYDGDDHHDAASSVSSVDAGAMAAMAAAASSNSSCSSAATSLFSLRDDELAVVGEAAKTGGTATPATGSIGRFVAAIAAAGVVLLVAAIMAAAVLEFAMDDGQAEFLVPT >ORUFI04G11550.1 pep chromosome:OR_W1943:4:15274178:15274935:-1 gene:ORUFI04G11550 transcript:ORUFI04G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAATALPSIPSSSSPSPMASDPTELRCSSPESSGDAGAEDPAAVDAAEESGGEGGSGHIAAGTEAAPPRPPEPEPEKVARHGVLPLLGKPYFTCIMCKSHVQPPFQVVVPRSFAPLLPSRTTPATLSWRGRSWGMRFTGGRLIQRLEAGWRGFAVDNDLRLGDGCVFELLVGGGGEQERVEFRVQVLRAEIPARIRGRAGGYTSATPIVID >ORUFI04G11560.1 pep chromosome:OR_W1943:4:15275385:15279909:1 gene:ORUFI04G11560 transcript:ORUFI04G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRSHRPAGRGETGNSIAQCGLGIPAAGWYPTKVMGVPKNRFPKVKTPDIDNTQVWSVNVPLGKVITRYPRGTDATGVPKFPAAKVVQLSWKISHD >ORUFI04G11570.1 pep chromosome:OR_W1943:4:15281390:15281734:-1 gene:ORUFI04G11570 transcript:ORUFI04G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAFYNRGGRRIIKHKSTAAAAMPAFYPRSPAAVVVVAPAAAAPVPYSAAAPWPAPAPPPSAVTVVGHAHAHGGGGGGGDADVDRRAAMYISRVQERLRRERAASEEYWRNRY >ORUFI04G11580.1 pep chromosome:OR_W1943:4:15292345:15292590:-1 gene:ORUFI04G11580 transcript:ORUFI04G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVGTRAVSATSLLPSWWCWGGTAGYAISSNTSEVAAVEAEAKDADRRKGEFAWRKVMPSVVVSVRWLPSPVLRGGLHRG >ORUFI04G11590.1 pep chromosome:OR_W1943:4:15297404:15314662:1 gene:ORUFI04G11590 transcript:ORUFI04G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALRKRSIDETDISTLNMDQLSQIERLLEDELRWTRARKVVVDRSARLQKKVQGKAAIVEVERGSMEIPIESGQEKEQIGGEAVEEQRSRTTPLDLNMPCWDAGPLQ >ORUFI04G11600.1 pep chromosome:OR_W1943:4:15319705:15320068:1 gene:ORUFI04G11600 transcript:ORUFI04G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGGARRISGLRRVGLGGRDWEEGWGGGGRVVLRRIEDRVRRGICFRKRLAGLEKKVEELAVLCDAHVGFVVLSCSGDDANPHHFAAPATYAPFLSLPLPLLPPNLLG >ORUFI04G11610.1 pep chromosome:OR_W1943:4:15331920:15335763:1 gene:ORUFI04G11610 transcript:ORUFI04G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRDSRKSKDFQVLKETIDKGPINDDMRPIDEKDISTLNMDQISQIEILLEDELRWTRARKVQKKPATAETESNSTEMPSDHEKKQVAGGSQQSAEEKEEMEVVLRHRLSLGTGDRDDGGGGAAEQRHRTTPPPAVDLNVPCRDAGQLQ >ORUFI04G11620.1 pep chromosome:OR_W1943:4:15340959:15341168:-1 gene:ORUFI04G11620 transcript:ORUFI04G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVVATVLFVLLTPGLLCTVPGRGRVAEFGSFHTSGLAIIVHAVLYFALLTIFLIAIGVHIYAG >ORUFI04G11630.1 pep chromosome:OR_W1943:4:15342340:15342663:-1 gene:ORUFI04G11630 transcript:ORUFI04G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSNLTEELTLYVPTVSEPFPRGRFGWPFLQLKIGAADRLHGRLGGDIVTGHSSVDAASELELLPSTESVNTHW >ORUFI04G11640.1 pep chromosome:OR_W1943:4:15343199:15343423:-1 gene:ORUFI04G11640 transcript:ORUFI04G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAATVGKPDGSPAELHDLARASTGRRGRRQVSRAAGRSERRGHRRGEAGEEEDGAATVAGGGRWARRSSS >ORUFI04G11650.1 pep chromosome:OR_W1943:4:15349596:15349808:1 gene:ORUFI04G11650 transcript:ORUFI04G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWAPVVVGVVLFVVLSPGLLIELPGTHRWVDFGSLRVTGKSAVVHTIVFFVLFAIVVVACKLHIYTGA >ORUFI04G11660.1 pep chromosome:OR_W1943:4:15353555:15355849:1 gene:ORUFI04G11660 transcript:ORUFI04G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPATSSRSSGDFPADWVFLDTVAHAGRCRRDNATTTTARARSSDGHPIEVSFALADPPALTRCLVHCPAGLTAGEFSRSPPSVAASDGAFLLLRVVFPHRSDRCMATDWFVYRQPGPAGAPPPSLELLVQRPNPLDVVSRHAGVLSRGDHCLVVDPEWGFHDDDDDDVPPAYLLEQDQAVVANLGRGAMEAFDPFFFPTKVLRVVRGGSVAWVDFRNSILLFDSVPGDSPEVSLIRLPPLMPINNVDSGSPDGPCVDHVRDVTCRNDGWFKFIEMGFPHLDPNDARLNRGWEATMFKRRIIRSDDDCYWQWEPCGTVDSASLLLPAADSCVPDCLFPEIFDYEERKLALNNVLSSFPTLDLYRDDVVYMMTKIKDDDPDGWIIAVNTESKRLEGISPFSQESYHLHRIYQQCDFSKHLINKALGTHLAKDMDKLMDQQPPELATVERAACREEDDVS >ORUFI04G11670.1 pep chromosome:OR_W1943:4:15370259:15377179:1 gene:ORUFI04G11670 transcript:ORUFI04G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFDINVLHKEARSRWLKPSEVYYILQNHERFPITPEPPKKPPSGSLFLYNRRVNRYFRRDGHAWRRKKDGRTVGEAHERLKVGNVDALSCYYAHGEQNPCFQRRCFWMLEPAYEHIVLVQYREVGAAEGRYNSASLLNGPTDSLSVLSYPNATYGNQYLGSTSGVSDGSESLHSNLSSVTEVSSYSANKDNGILQSIQELSQSTIMGAPALGQSSLEQSIEVRWVDNSNSTNKSGLNRALKQIVEQLSLGDDEDDDYIHQAQPFDFITNIEAPDRQRDASRNVSEYQPPGSLYNSDMQQISAAKRFLLETEDSIDSPSYNYVPREEGNNGTNTLSVHDYSLQSSLNPDWKKTAPLTLQSNLYGSEIPSLLLDHGQFESLSSGENTRLILGQNPRFSIREVSPEWTYCYEITKVIITGDFLCDPSSSCWAVMFGDSEVPAEIVQAGVLRCHTPLHSSGKLTICVTSGNREICSEVKDFEFRAKSTASSFLDISPSSRSLKSSEELLLLAKFVRMLLCENGSHANSNGDPQSVQCPKLKMNDEHWQRLIDELKGGCENPLNVSDWIMEELLKSKLQQWLSVKLQGYDGIACSLSKHEQGIIHLISALGYEWALSSILSADVGINFRDTNGWTALHWAAYFGREKMVAALLAAGASAPAVTDPTAQDPVGKTAAFLASERGHLGLAAYLSEVSLTSYLASLTIQESDTSKGSAAAEAERAVESISQRNAQLHGGTEDELSLKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLKDEYGMTQEDIDELAAASRSYYQSLLPNGQFYDKAAVSIQKKFKGWKGRRHFLNMRRNAVKIQLYFTQAQGPYLSGPFFVQAHVRGHQVRKKYKTFVSTVSVLEKVILRWRRKGHGLRGFRAEQTAMAEAEEDDEDDDDDDFNDDEAVKVFRRQKVDESVKEAMSRVLSMVDSPEARMQYRRMLEEFRQATVSSTNCFSNALSL >ORUFI04G11670.2 pep chromosome:OR_W1943:4:15370290:15377179:1 gene:ORUFI04G11670 transcript:ORUFI04G11670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPAYEHIVLVQYREVGAAEGRYNSASLLNGPTDSLSVLSYPNATYGNQYLGSTSGVSDGSESLHSNLSSVTEVSSYSANKDNGILQSIQELSQSTIMGAPALGQSSLEQSIEVRWVDNSNSTNKSGLNRALKQIVEQLSLGDDEDDDYIHQAQPFDFITNIEAPDRQRDASRNVSEYQPPGSLYNSDMQQISAAKRFLLETEDSIDSPSYNYVPREEGNNGTNTLSVHDYSLQSSLNPDWKKTAPLTLQSNLYGSEIPSLLLDHGQFESLSSGENTRLILGQNPRFSIREVSPEWTYCYEITKVIITGDFLCDPSSSCWAVMFGDSEVPAEIVQAGVLRCHTPLHSSGKLTICVTSGNREICSEVKDFEFRAKSTASSFLDISPSSRSLKSSEELLLLAKFVRMLLCENGSHANSNGDPQSVQCPKLKMNDEHWQRLIDELKGGCENPLNVSDWIMEELLKSKLQQWLSVKLQGYDGIACSLSKHEQGIIHLISALGYEWALSSILSADVGINFRDTNGWTALHWAAYFGREKMVAALLAAGASAPAVTDPTAQDPVGKTAAFLASERGHLGLAAYLSEVSLTSYLASLTIQESDTSKGSAAAEAERAVESISQRNAQLHGGTEDELSLKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLKDEYGMTQEDIDELAAASRSYYQSLLPNGQFYDKAAVSIQKKFKGWKGRRHFLNMRRNAVKIQLYFTQAQGPYLSGPFFVQAHVRGHQVRKKYKTFVSTVSVLEKVILRWRRKGHGLRGFRAEQTAMAEAEEDDEDDDDDDFNDDEAVKVFRRQKVDESVKEAMSRVLSMVDSPEARMQYRRMLEEFRQATVSSTNCFSNALSL >ORUFI04G11680.1 pep chromosome:OR_W1943:4:15378602:15381195:-1 gene:ORUFI04G11680 transcript:ORUFI04G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAARRRQEMAAEGQRHLEETIAAAFQILSSMNDELCNPTLWSSSSSAAAAAAGGAGGLQHHGNNHHHHHQHHHHHGGLPPPPPPLHSADSDAADAAGGGPGGAPGSGGSLDEARHRYKVAMAALRTSIAAVSSCAQEMGSTEHKADQAEIERLEEHASALRKEIESKNKHVKLLIDQLHDLISDISMWQSPCSV >ORUFI04G11690.1 pep chromosome:OR_W1943:4:15386951:15391504:1 gene:ORUFI04G11690 transcript:ORUFI04G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGEKVRAFATNRWLVFVAAMWLQSMAGIGYLFGAISPVVKAALGYNQRQVAALGVAKDLGDCVGFLAGTLSATLPAWAMLLVGAAQNFLGYGWLWLIVTRQLPALPLSMMCLLIFVGTNGETYFNTASLVTCIQNFPKSRGPTVGILKGFAGLSSAILTQLFAVLHTPDHATLVFMVAVGPSLVAIGLMFVIRPVGGHRQVRPSDNNSFMFIYTICLLLASYLVGVMLVQDFVQLSDNMLVFITAVLFILLILPIAIPVTLTFSSKTEHPMEEALLAEPSKGQASTSQEKEPDVFLSEVEDEKPKEIDSLPPSERRKRIAELQARLVQAAARGGVRIRRRPHRGENFTLMQALVKADFWLIWLSLLLGSGSGLTVIDNLGQMSQAVGYKDAHIFVSLTSIWNFLGRVGGGYFSENIVRERTYPRHIALAFAQILMAAGHFLFAMAWPGTIYVATFLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLTVANPTGSLIFSGVIASNLYDYEAEKQAHHQSSLSGRSLFDMSFLAEGPLKCEGAVCFFVSSLIMSAFCIVGAGLSLIVVHRTKRVYANLYRAVR >ORUFI04G11700.1 pep chromosome:OR_W1943:4:15393528:15396676:1 gene:ORUFI04G11700 transcript:ORUFI04G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDYNMDEAIKARGVAESRFHSRDIRGARKYAIKAQNLCPSLEGISQMVSTLEVHLAAESKIDGESDWYRILSLTAFADEEEVKKQYRKLALQLHPDKNKSVGAEEAFKLISEAWSVLSDNSKKVLYDQKRKDHSVVNVTNGMQTRELERMRLLQQRQAQGQHPLARRMQYEYLRIYLNHNLLCPNCHHAFLAVETGFPCNGSSSSFSWSTKQQPQNNNSTKHSYGSTSRTSSIPGTGHGGYQQDGTYDSYNNQSFQWNQYSKTTPAAGTNAYGTQALEKPKRKHEESYSYNYSATGNSYGHERTNSRRGRFSKRRRHSNDGYTTMDFGGDNRETVAASTETTAFTDVAVAQVNGTSGEKLRSAVSGRRANVLREISQIDTRALLIEKAKAAIQEKLQEWNITSSSRLAERGKSQGKVYPSDNNIKQNGGLSDKHVKGLKQCSSRSVDTQAPTVDEKNPEQRRVPVSIDVPDPDFHDFDKDRTERAFDSDQVWATYDSEDGMPRLYAMVQKVLSMRPFRIRMSFLNSKSNSELAPISWVASGFQKTCGDFRVGRYQISETVNIFSHKVSWTKGPRGIIRIVPQKGDTWALYRNWSPDWNELTPDDVIYKYEIVEIIDDFTDEQGLTVIPLLKVAGFKAVFHRHMDPKEARRIPKEELFRFSHRVPSRLLTGEEGNNAPKGCHELDPAATPVDLLKVITEVTEDTATQPAK >ORUFI04G11710.1 pep chromosome:OR_W1943:4:15398875:15403830:-1 gene:ORUFI04G11710 transcript:ORUFI04G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGGADKSGGGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLTDDA >ORUFI04G11720.1 pep chromosome:OR_W1943:4:15406165:15408564:1 gene:ORUFI04G11720 transcript:ORUFI04G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGELDVGADGDVRLLPPSANLLDEVIRLVGDAERPVLYVGGGCSASGDELRRFVELTGIPVTTTLMGIGNFPSDDPLSLRMLGMHGTVYANYAVDNADLLLALGVRFDDRVTGKVEAFASRAKIVHVDIDPSELGKNKQPHVSICADVLPKVVGVG >ORUFI04G11730.1 pep chromosome:OR_W1943:4:15409426:15411108:1 gene:ORUFI04G11730 transcript:ORUFI04G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASLSVSDAAAKLPKPGGQVQRRRDRDRPRVDAAACTRDSCRPTRERCSTTVSLAATATATTATPVRAPVRTRAPMGQRKGADIVVEALERCGVRDVFEYPGGASMEIHQALTRGRPSRRPGTRRCVATSGPGATNLVSALADAHLDSVPLVAITGQVPRRMIGTDAFQETPIVELTRSITKHNYLILDVDDIPRVINEAFFLASTGRPGPVLVDIPKDIQQQMAVPSWDAPMRLPGYISRLPKPPSANLLDEAXPSELGKNKQPHVSICADVKLALQGMNAMLEEQSAAAARKNLDFSAWRSELEKKKVEFPLGYRTFGEEIPPQYAIQVLDEVTNGEAIVATGVGQHQMWATQHYTYRRPRQWLSSAGLGAMGFGLPAAAGAAVANPGATVVDIDGDGSLLMNIQELAMVRVEDLPVKVMVLNNQHLGMVVQWEDRFYDANRAHTYLGNPAANGGGEVYPDFVTIAGGFGIPAARVTRKGEVRAAVEEMMAAPGPYLLDVVVPHQEHVLPMIPSNGAFKDIIVDGDGRSSY >ORUFI04G11740.1 pep chromosome:OR_W1943:4:15413910:15414152:1 gene:ORUFI04G11740 transcript:ORUFI04G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATIGRDGESAMLVSASVGGGWREGRGGVAGGEEASRWRGVGGVRVQRRWREGAALAELGECEREEGRDGVLGVIGLRA >ORUFI04G11750.1 pep chromosome:OR_W1943:4:15415643:15422903:1 gene:ORUFI04G11750 transcript:ORUFI04G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRAASGLARVALRRNLARAPANPFAGPAPRYFHSTRPRRFAAPVPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPTSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVSGHLKAKLDPLALEERPIPDVLDPAFYGFSEADLDREFFLGVWRMAGFLSENRPVQTLRSVLERLEQAYCGTIGYEYMHIPDREKCNWLRDRIETVNAREYSYDRRQVMLDRLMWSTQFESFLAQKWTTAKRFGLEGAETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPAEEGEGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVAGKTRAKQYYSNDLDRTKNLGVLLHGDGSFSGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALDAPIFHVNGDDLEAVVHVCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKIIRNHQSALEIYQNRLLESGKISKEDIDKMQKKVSTILNDEFQNSKEYIPNKRDWLSAYWTGFKSPEQISRIRNTGVKPEILKRVGEAMTTLPENFKPHRAVKKIFELRRQMIETGEGIDWAVGEALAFATLIIEGNHVRLSGQDVERGTFSHRHAVIHDQETGEQYCPLDNLVMNQDEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFLSSGEAKWLRQTGLVVCLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMDPTLRKQIQQCNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKDCKSNLSEFDDLAGHPGFDKQGTRFKRLIKDQNNHKDLEEGIKRLVLCSGKVYYELDEERRKKERDDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYINPRLLTAMRALGRGTIDDIKYVGRAPSAATATGFYSVHVQEQTELVQKALQRDPINSPF >ORUFI04G11750.2 pep chromosome:OR_W1943:4:15415455:15416284:1 gene:ORUFI04G11750 transcript:ORUFI04G11750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPIHSRRSDLSEHRGTPCDDATVVCGPPPSQNPHATDIWAPPPTFLSPLALPSTRAEWDPPPRRSPLSLREPFFFLLSLSLANQSPPPSFPPPPEPPESDAAPPRHPVPPALFLTSPPQEIVNLLGV >ORUFI04G11760.1 pep chromosome:OR_W1943:4:15428467:15432172:1 gene:ORUFI04G11760 transcript:ORUFI04G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHFHADLGGAHPGYIRCRGSDTTTPPPTTLTKNKSRAEEEEESAAMGEEEKKEAAKAKEEEKPKQEEKPKEQEEGKKEEKPKEEGEKGKDGGGGEEKKDGEAAAAGGAPPPPPARKVRKILKGFDGVEDVIADSKAHKVLVKGKKAAADPMKVVERVQKKTGRKVELLSPMPPPPEEKKEEEKKEEPEPPKPEEKKEPPVIAVVLKVHMHCEACAQGIRKRILKMKGVQSAEPDLKASEVTVKGVFEEAKLAEYVHKRTGKHAAIIKSEPVAPPEKVAAEGGDEKKAAEGGDEKKDEKEEKKDGKEEGGNGGGDEKKEEKEKEKEGGNADGEEKDKDKEKDPAAIAAANLYLHYPRFAFPAGYYPPGPGYAYPPPYPPSYPPPYQPSYPPYPSHPSHHPSQIFSDENPNACSVM >ORUFI04G11770.1 pep chromosome:OR_W1943:4:15460549:15471605:1 gene:ORUFI04G11770 transcript:ORUFI04G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVADDAEITGPLLAAAGGGGGDSAAAAGVERVPAWREQVTVRGIVVSAVLGVLFCLITHKLNLTVGVIPSLNVSAGLLGYFLVRSWTAVLGRLGFVIAPFTKQENTVIQTCVVACYGLAFSGGFGSYMLAMDQKTYELIGPDYPGNRAIDVMNPSLGWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTSGAELAEKQVSCLGKYLSISFFWNCFKWFFSGVGDSCGFDNFPSLGLAAFKNTPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYISTKAGDWYPANLGSNDFKGLYGYKVFISVSVILGDGLYNLIKIIYATIKEVMNARSKQGRLPLVRVHDDDEGSKLSAEEKLRNDTFLKDRIPSWLAGSGYVGLAAISTATVPMIFPQIGLFIFASLVGRSGGVIAGLAACGVMMSIVSTAADLMQDFRTGYLTLSSPRSMFVSQLIGTTLGCIIAPLTFWLYWTAFDIGNPDGMFKAPYAVIYREMSILGVEGFSALPQHCLAICSVFFVAAILINLLRDVTPKSVSKFIPLPMAMAVPFYIGAYFAIDMFVGTVILFVWERVNRKESEDFAGAIASGLICGDGIWSVPSAILSIMRIDPPMCIRQREGRKSNQNPLSRAPNPPEMPPPETSSAAAPSPPSPDPLASPLLLHPREREIDPASPPPPPPPPPPWRDQLTLRGVAVAAVLGSLLCVVIHRLNLTVGVIPALNVASGLLAFFLATAWRGAAAVLGLGHHRGRPFTRQENTVIQTCAIACGSLAFSGCSSSYIFAMDRKTYELVGQDYPGNRMEDIRDPSLGWMIGFMFLIALIGPFSIVMLRKVMVIDYKLAFPGGTATALMINSLHGKTEADLAGRKVHCLVKYMSLSFGWSFFKWFFSGVGDSCGFDNFPSFGIEAFKNTFYFNFNPSYVGYGLISPHIVNCSVFLGSVISWGFLWPFIAKQAGDWYPDNLSNTDFRGLYGYKVFIAISVILGDGLYNLVKVFLIIAKEICNARSKEHDLPVQALLQDDDSSRQLLDEKRQTEIFLKDSIPTWLAVSGYIVLAAISTVAVPIIFPQLKWYLVLVCYFLAPAIAFCNSYGMGLTNLNLAPTYGKIALFVFASLVGSDGGVIAGLAACGVIMSIVCSTADLMQDFKSGYLTLSSPRSMFISQMIGVALGCIIAPLTLWLFWTAFDIGDPDGEYKAPFAIIFREMAIIGIEGFAALPRHCLEICCVFFLAALIINLMKDVVPNHVSRFIPIPMAMAVPFYIGAYFGVDMFIGTLILFAWQKIDRREADDYAVAVASGLICGDGVWSIPSAVLSILGVDPPICMSFRPSSASV >ORUFI04G11770.2 pep chromosome:OR_W1943:4:15460549:15471605:1 gene:ORUFI04G11770 transcript:ORUFI04G11770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVADDAEITGPLLAAAGGGGGDSAAAAGVERVPAWREQVTVRGIVVSAVLGVLFCLITHKLNLTVGVIPSLNVSAGLLGYFLVRSWTAVLGRLGFVIAPFTKQENTVIQTCVVACYGLAFSGGFGSYMLAMDQKTYELIGPDYPGNRAIDVMNPSLGWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTSGAELAEKQVSCLGKYLSISFFWNCFKWFFSGVGDSCGFDNFPSLGLAAFKNTPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYISTKAGDWYPANLGSNDFKGLYGYKVFISVSVILGDGLYNLIKIIYATIKEVMNARSKQGRLPLVRVHDDDEGSKLSAEEKLRNDTFLKDRIPSWLAGSGYVGLAAISTATVPMIFPQVKWYLVLCAYVVAPLLAFCNSYGCGLTDWNLASTYGKIGLFIFASLVGRSGGVIAGLAACGVMMSIVSTAADLMQDFRTGYLTLSSPRSMFVSQLIGTTLGCIIAPLTFWLYWTAFDIGNPDGMFKAPYAVIYREMSILGVEGFSALPQHCLAICSVFFVAAILINLLRDVTPKSVSKFIPLPMAMAVPFYIGAYFAIDMFVGTVILFVWERVNRKESEDFAGAIASGLICGDGIWSVPSAILSIMRIDPPMCIRQREGRKSNQNPLSRAPNPPEMPPPETSSAAAPSPPSPDPLASPLLLHPREREIDPASPPPPPPPPPPWRDQLTLRGVAVAAVLGSLLCVVIHRLNLTVGVIPALNVASGLLAFFLATAWRGAAAVLGLGHHRGRPFTRQENTVIQTCAIACGSLAFSGCSSSYIFAMDRKTYELVGQDYPGNRMEDIRDPSLGWMIGFMFLIALIGPFSIVMLRKVMVIDYKLAFPGGTATALMINSLHGKTEADLAGRKVHCLVKYMSLSFGWSFFKWFFSGVGDSCGFDNFPSFGIEAFKNTFYFNFNPSYVGYGLISPHIVNCSVFLGSVISWGFLWPFIAKQAGDWYPDNLSNTDFRGLYGYKVFIAISVILGDGLYNLVKVFLIIAKEICNARSKEHDLPVQALLQDDDSSRQLLDEKRQTEIFLKDSIPTWLAVSGYIVLAAISTVAVPIIFPQLKWYLVLVCYFLAPAIAFCNSYGMGLTNLNLAPTYGKIALFVFASLVGSDGGVIAGLAACGVIMSIVCSTADLMQDFKSGYLTLSSPRSMFISQMIGVALGCIIAPLTLWLFWTAFDIGDPDGEYKAPFAIIFREMAIIGIEGFAALPRHCLEICCVFFLAALIINLMKDVVPNHVSRFIPIPMAMAVPFYIGAYFGVDMFIGTLILFAWQKIDRREADDYAVAVASGLICGDGVWSIPSAVLSILGVDPPICMSFRPSSASV >ORUFI04G11770.3 pep chromosome:OR_W1943:4:15460549:15471368:1 gene:ORUFI04G11770 transcript:ORUFI04G11770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVADDAEITGPLLAAAGGGGGDSAAAAGVERVPAWREQVTVRGIVVSAVLGVLFCLITHKLNLTVGVIPSLNVSAGLLGYFLVRSWTAVLGRLGFVIAPFTKQENTVIQTCVVACYGLAFSGGFGSYMLAMDQKTYELIGPDYPGNRAIDVMNPSLGWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTSGAELAEKQVSCLGKYLSISFFWNCFKWFFSGVGDSCGFDNFPSLGLAAFKNTPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYISTKAGDWYPANLGSNDFKGLYGYKVFISVSVILGDGLYNLIKIIYATIKEVMNARSKQGRLPLVRVHDDDEGSKLSAEEKLRNDTFLKDRIPSWLAGSGYVGLAAISTATVPMIFPQVKWYLVLCAYVVAPLLAFCNSYGCGLTDWNLASTYGKIGLFIFASLVGRSGGVIAGLAACGVMMSIVSTAADLMQDFRTGYLTLSSPRSMFVSQLIGTTLGCIIAPLTFWLYWTAFDIGNPDGMFKAPYAVIYREMSILGVEGFSALPQHCLAICSVFFVAAILINLLRDVTPKSVSKFIPLPMAMAVPFYIGAYFAIDMFVGTVILFVWERVNRKESEDFAGAIASGLICGDGIWSVPSAILSIMRIDPPMCIRQREGRKSNQNPLSRAPNPPEMPPPETSSAAAPSPPSPDPLASPLLLHPREREIDPASPPPPPPPPPPWRDQLTLRGVAVAAVLGSLLCVVIHRLNLTVGVIPALNVASGLLAFFLATAWRGAAAVLGLGHHRGRPFTRQENTVIQTCAIACGSLAFSGCSSSYIFAMDRKTYELVGQDYPGNRMEDIRDPSLGWMIGFMFLIALIGPFSIVMLRKVMVIDYKLAFPGGTATALMINSLHGKTEADLAGRKVHCLVKYMSLSFGWSFFKWFFSGVGDSCGFDNFPSFGIEAFKNTQLLDEKRQTEIFLKDSIPTWLAVSGYIVLAAISTVAVPIIFPQLKWYLVLVCYFLAPAIAFCNSYGMGLTNLNLAPTYGKIALFVFASLVGSDGGVIAGLAACGVIMSIVCSTADLMQDFKSGYLTLSSPRSMFISQMIGVALGCIIAPLTLWLFWTAFDIGDPDGEYKAPFAIIFREMAIIGIEGFAALPRHCLEICCVFFLAALIINLMKDVVPNHVSRFIPIPMAMAVPFYIGAYFGVDMFIGTLILFAWQKIDRREADDYAVAVASGLICGDGVWSIPSAVLSILGVDPPICMSFRPSSASV >ORUFI04G11780.1 pep chromosome:OR_W1943:4:15471946:15472179:1 gene:ORUFI04G11780 transcript:ORUFI04G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDWWHIISHSASCPRKTLKSLQRASMPAIIIAKIKEEARTWIAAGAAKLTKIIPTGE >ORUFI04G11790.1 pep chromosome:OR_W1943:4:15474841:15478771:1 gene:ORUFI04G11790 transcript:ORUFI04G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSLGKSLAQLAGAAVRVALAALLALLLPAYRVYRLTASFLGALLAAAADDDVAGKVVLITGASSGIGEHLAYEYARRGAYLALVARREESLREVGDAALAAGSPGVLVVAGDVSSPDDCRKFVDDTIRYFGRLDHLVNNASIWQVGKFEELTDVNHFRKLMDINFWGHVYPTRHAIPHLKKTRGRIVGVTSNSKAAAANLYETLRMELAGDGIAITEVIPGVVESEITKGKMLTKEGDMRVDQDERDAILGAAPVERAGEFARAAVRGVCGGERYVFEPRWYRAAYTLRVCFPEALEWCARLLTVWRLGPATTDTVGRWLLLGVPGQRWLSQPASLRSPEIKAR >ORUFI04G11790.2 pep chromosome:OR_W1943:4:15474841:15478771:1 gene:ORUFI04G11790 transcript:ORUFI04G11790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSLGKSLAQLAGAAVRVALAALLALLLPAYRVYRLTASFLGALLAAAADDDVAGKVVLITGASSGIGEHLAYEYARRGAYLALVARREESLREVGDAALAAGSPGVLVVAGDVSSPDDCRKFVDDTIRYFGRLDHLVNNASIWQVGKFEELTDVNHFRKLMASKAAAANLYETLRMELAGDGIAITEVIPGVVESEITKGKMLTKEGDMRVDQDERDAILGAAPVERAGEFARAAVRGVCGGERYVFEPRWYRAAYTLRVCFPEALEWCARLLTVWRLGPATTDTVGRWLLLGVPGQRWLSQPASLRSPEIKAR >ORUFI04G11790.3 pep chromosome:OR_W1943:4:15472775:15474935:1 gene:ORUFI04G11790 transcript:ORUFI04G11790.3 gene_biotype:protein_coding transcript_biotype:protein_coding METLSMLKVGYTLLRSETPATDLVNAFMDWAARRSLLLLAVFVPPYLAYRLASSALAAASPEDVAGKVVLVTGASSGIGEQVAYRYARRGARLALVARREASLGEVAARARALGSPDVLAVPGDVARPDDCRRFVQATVEHFGRLDHLVNNAGLANVCWFEEVPDVANFKQVLDVNFWGTVHPTHAALPHLKASRGKIFVNSSASAVLAMPRMSFYNASKAAVHNFAETLRMELHGEVGVTVATPGWVDSEMTKGKHLSSHGAMEVDQDTRDAQVGVFPVERGERCAEAIVDAVARGRRRVTSPAWYGALFLWRTMAPEVADACQRVFYHRRSSAAGGGGRARAALEATGAKAVLQPPSLRSSEIKVE >ORUFI04G11800.1 pep chromosome:OR_W1943:4:15480362:15485580:-1 gene:ORUFI04G11800 transcript:ORUFI04G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNLIITSDSDSDDGGGGGGAATASTPASASASASFPSVSGGGCGDGWPSPQNPRSVPVQFPSPSSPPPSPPIEISDEEEAEAEVVVEEEEEVVVVEDEEEEYEEVEEIEDPDGDSPFVDAPEHISPPPPPPPPARTPMPTPTPTPTPTPTRPPVPVWAAPLPARTPTPTPSAPPRAAAPSPAGTPSPSPIPPSSTPVSALSGPLRQVDEFLRGLGLRLRPEWLESCAAGVPGFYGLGGVEAMARRCFEQFLFADMNACGAGVLPEGVGSMHNAVLDGPLVLQVDEIVNLSAPLRERYRDAHAGPKRCLKLSMTDGIQRIYGMEYRPIKDLEVLAPAGFKIVIRNVHIRRGLFMLVPEVIEILGGVDDELDEARNRLVSEVNKPPRGKRKQGGLPLSSRATLAAWPTNANATNDAEQGASVPRTVNTPHPTRLGNASHASQVGRTTQPMVDNLIPHVVVSNAQEQSRHIQEITMQGQPTSLNRHNKEASASTYRYNAQCSISGTTRAMADEHVLVSNAQEQSPHIQEITMQDQSTSLNGRNKEASASTSYRYNAQCSISGTTRAMADERVDPSFVGNNVHEQMQRVQGITMQDHISASSESKRELSVTTPSGYDSRLAPHGVGNTGTRSGEATRSSNVDDGINNIGHPISLCGENEKPFTYIFNMLADWGVQQDTVPYIQGKIKGLITSVKRFQYKQSMQYDLYVYIDDGSFITEAFVDRDIVQNMIGLSAEELAAALSSGGPAQANIRKTMKAFEHFLVNFEGTILIELNRDSSVPIVREMNKGCSSSDAWQLLRRVKTFSGQGYMRSLDFMDTTP >ORUFI04G11810.1 pep chromosome:OR_W1943:4:15538736:15541031:1 gene:ORUFI04G11810 transcript:ORUFI04G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAAAASGGDAHDDEYAKLVRGMNPPRVVVDNEACDEATVIRVDSVSSHGTLLAVVQVIADLGLVIRKAYFSSDGSWFMDVFNVTDRDGNKVLDDQTISYIQTTLEADDWYYPEVRNTVGIVPAEEYTVIELTGTDRPGLLSEVCAVLAGMRCAVRSAELWTHNTRVAAVVHVTDDGGSGGAIEDEARIADISTRLGNLLRGQSGVRAAAAAAPGGLTHKERRLHQMMFDDRDYDGGGGAASSSPRGRSPTPATEVSVTPCAERGYTAVVVRCRDRPKLLFDTVCTITDMGYVIHHGAVSSEPRGGAYQEYYIRHVDGDPVRSEAERQRVVQCLEAAIERRTADGLALEVRTGDRAGLLSDVTRIFRENGLTIRRAEISSERGEAVDTFYLSDPQGHPVEAKTIDAIRAQIGEATLRVKHNPFADGDGAGGGGGGATDDVAGSTAFLFGNLFKFYRPFQNFSLIKLYS >ORUFI04G11820.1 pep chromosome:OR_W1943:4:15542825:15543337:-1 gene:ORUFI04G11820 transcript:ORUFI04G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARRSAADELDEATRAQADPDYLLFLSHLYPDGGGGGAAAPSPSSSTYVLDIPDLGLVVRYGPFVIGGDGDGGGDAASNKNATVGRRQLSSAVVINDDDLPPPSAAREAEVADSAPSRSSVASNDDDDLAAAGTVDDGEEVSNDGIAVAGEEGAARGGRQVEEMRAI >ORUFI04G11830.1 pep chromosome:OR_W1943:4:15549836:15550951:-1 gene:ORUFI04G11830 transcript:ORUFI04G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSAHDNSLPLAASEVTAHLVLTEERRGGGVLGADGVQLLTEEQHAYGYLSDAEWHPGGCFVPESEDQTCGSVNDSELPPDGGFVPDSEDEASGGVDDSELPPDGCVVPDSEDEASGGVDDSDLPPDGCVPDSKDEASGGVDDSDLPADGCVVPDSKDEESGGVHDSELPPEGCVVPDSEDKESGGVHDSELPPKGCVVPDSEDEESGGVHDSELPPEGCVVPDSEDEESGGVDDSKLPPEGCVVPNSEDEESGGGVHNLEQKPDKDISANLEEQHMDDIEQLVGGEEVTGLQDDAGVAARDEGVDEFAEIRESMLRLLLPLYFTIPH >ORUFI04G11840.1 pep chromosome:OR_W1943:4:15552790:15556523:1 gene:ORUFI04G11840 transcript:ORUFI04G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSCRFLYSSSSSPIATTSPLLSPTPSAATRRKLLLSSTCGTIASAAAASAMEGQGAPAAPGGKGSAIVVVDSHLHVWASPQQAAERYPYFPGQEPPIRGDVDLLLQCMDEAGVDGALIVQPINHMFDHSLVTSVLKKYPSKFIGCCLANPADDGSGIKQLEHLIVQEKYRAVRFNPNLWPSGQKMTNEVGRSLFAKAGELGAPVGIMVMKGISTYIQEIEELCTDYPKTTVIFDHMAFCKPPMNIEEEKAFTSFLELSRFPQIYVKYSALFRISREAYPYEDTSQLLSRVISSYGANRIMWGSDFPFVVPECGYKGAKEAISHVAGKIPVSSSDLEWILGKTVTQLFQGAWVSA >ORUFI04G11840.2 pep chromosome:OR_W1943:4:15552790:15556523:1 gene:ORUFI04G11840 transcript:ORUFI04G11840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSCRFLYSSSSSPIATTSPLLSPTPSAATRRKLLLSSTCGTIASAAAASAMEGQGAPAAPGGKGSAIVVVDSHLHVWASPQQAAERYPYFPGQEPPIRGDVDLLLQCMDEAGVDGALIVQPINHMFDHSLEKYRAVRFNPNLWPSGQKMTNEVGRSLFAKAGELGAPVGIMVMKGISTYIQEIEELCTDYPKTTVIFDHMAFCKPPMNIEEEKAFTSFLELSRFPQIYVKYSALFRISREAYPYEDTSQLLSRVISSYGANRIMWGSDFPFVVPECGYKGAKEAISHVAGKIPVSSSDLEWILGKTVTQLFQGAWVSA >ORUFI04G11850.1 pep chromosome:OR_W1943:4:15559252:15562215:1 gene:ORUFI04G11850 transcript:ORUFI04G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEEEPEQVPMVLVAPGKLVTPEQFQRARALPRQLAAVAASPRQPRPLAMQQQQQQMSQSMIFGRPSAPAPTTLQGFGFGRGAGPGAAAVAARSAPRGMGMAAGPRLAMQQSTTTTMMQQQKGFGFSGAGPAAVAPSPRGMTMAVGPRLAAQQKQRQPTLAPPPPPQQNQGFSGAGAGAMGVPSPQGMAVGTRLAMQQQQPSMIPAPPTMTTQKQQGMVFGGGAAGAGLAPPSPRGTPMATGPRLLMQQQQPSTILAPPPPTPTRQKQQGIVFGGGAAAGVAPPPSLRGMPMASGPRPARQPQRKRKQRTLAPPQQKNQGIGVSGAAAGAGAAPVSSPPSGMLAAVEMALGDMAEDARKACNPDITTPFASVEDAISRLLPYNVYAEYEDDEIYVEDQPPAKDKSSVQEWDDDREAEVIRMAEEFEKLVLTYNVAVRKSGAGAARGEERLMVENLLLADEQRKSEHVSALVRQQQQQLVALQKQQQQQQEEAALQRQRMQQQQALQRRQLFLEQQQQQAALQQQLMLEQQQQQQMMAALQQQQLAILFHDQPQQPEPLGPAYWLAPVHAVPPPPPQQQQQPEEGQAGGAATEMAPQPLRELRDSC >ORUFI04G11860.1 pep chromosome:OR_W1943:4:15562821:15563147:1 gene:ORUFI04G11860 transcript:ORUFI04G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTKEFIDELHKPLTAEAKARMVADGKKKVQLQEKQEEDGTALRQGSRLSATDLIRYTLMGIRFAFTAADALLPLYTDADGARAYRNYFWRVLQLFSLFFHCYHYSS >ORUFI04G11870.1 pep chromosome:OR_W1943:4:15563974:15566451:-1 gene:ORUFI04G11870 transcript:ORUFI04G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWPRKDFHIMVVHSQVMLRMGSTCRRHKPATLGANKPKCLAEVEEEEAKAEEAHKENTWWAVAIGDL >ORUFI04G11870.2 pep chromosome:OR_W1943:4:15563974:15566298:-1 gene:ORUFI04G11870 transcript:ORUFI04G11870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKDFHIMVVHSQVMLRMGSTCRRHKPATLGANKPKCLAEVEEEEAKAEEAHKENTWWAVAIGDL >ORUFI04G11880.1 pep chromosome:OR_W1943:4:15569325:15571310:1 gene:ORUFI04G11880 transcript:ORUFI04G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTSPLGPRLRCDLEPAAPHLRHLLRVHTCYFGLDPHRPHRRCRRRGGLRPHLFPLSGGAGAGGGFLHGAPPGSPRGMAVGTRLAVQQQQPTKTQQQRQRGIGCGGADAAGVAPPPSPRGMPMAPGPRLAIDQQPSTILAPSPTTTLQKQQGIGFGGAAAAVAPPPSPRGMPMAPGPRLAIQPPSTILAPPTTTLQKQQGIGFGGAAAGVAPPPSPPGMSMVAGPRPARQHKRKQPNVAPLQQKIQGFGGAGAGAGPAPVSSSARAMAEKAAAADPEEEARARERAAAEQIAYEDARKACNPDFTTQFASVEDAISRLLRNEEDVVYVEKLVLAFNVAVRKSGAGAARAEERLMVENLLLADEQRQSEHVSALVRQQQLVALHLMLA >ORUFI04G11890.1 pep chromosome:OR_W1943:4:15573346:15578179:1 gene:ORUFI04G11890 transcript:ORUFI04G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSKEFIDELHKPLTAEEKAQMVADGKEKVQLQEKQEELTAEAKARMVADGKKKVQLQEKQEEDGTALRQGSRLSATDLIRYTLMGIRFAFTAAMHYYHCTQMQMMPELTRTTFGVFYNFQHPKQALLQRAPKAKPKEEEDERERETHPSQPKEARIMARWTLRLLLWVLAFGVILSQLLRYATIFALAFGFGDGSRRRTLSSASSLPPPWRPSSSPISAERSRRQRRRLPPPRRAPPGSSTRGMAVGTRLPAPPTKAQQRQRGIGCGGASCSGGGASSSPRGMTVAAGPRLAMQQTSTILAPPMTAKQKQQGIGFGGAVAPPPSPRGTPMAAGPRLAMQKPSTILAPPLTTQQKQQGTGFGSAVAPPPSPRGIPMVAGPRPASQSKRKKPNVAPPQQQKIQGFGGAGAGAGPVPVSSSARAMAAVAEKAAAAETEDEARARERAAAEQIAHEDARKDFVTPLASVEDAISRLKDLKEE >ORUFI04G11900.1 pep chromosome:OR_W1943:4:15579066:15579419:-1 gene:ORUFI04G11900 transcript:ORUFI04G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSTGSIHELHDDSSSADSGSKPSMTKLAAEGKKQAQGDDQGKEDHAGTTTLPQRSRLRSYVIGLIQFALLAVVQYYYLELMARHRGTNDLFCNLFACSHYILIISLILGKLKDDA >ORUFI04G11910.1 pep chromosome:OR_W1943:4:15581577:15584528:1 gene:ORUFI04G11910 transcript:ORUFI04G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDYDEALSQLREPEVPSPPPSPRLLAMLQSAGFASPPPPTSTQQQQGIELCSVCAEEAAAAAVAAARGMEAPGLRPXRHAAAAADGLHAASATAAAAGVRVRRWQRWRVIGGALAARGMMAGFGFGGAASRCARVQQQPTLAPPPAQQPQQNQGVGFGGTGAGAATTVSPAPRGTTMARERAAEQLAYDDAISRLINKFAGHDKDAIQGKNTSNVQVFDDIHEGDAIRMAELEEFEKQVLALNAAAWNDAASSCGEEPAVADNFLNGEEQREEEEEEEAAERRSWTLERWELELKASRRRVVEANERARGVQRERERVRRQVAALLQQRMLKRQQMSALSRERALAHKRFVLQQRQWRQQMVEQQQQQQRAPMQQQRMPVVQQAAPRKQRVFTVTVSRAYALQHQRGSTPEERRASVRERVRLELQKVVAFLQRRELEQRYERAAALLRQRAQLEQAALQEQLKLEMQQMRRRQEQRQRARELAIMPQPQGHRGRGGGTARHPDAAIAQGGSGVGHNHPRVEEQLPAQQQQHRRQRVRSVRPTFVAPRGVGTSSGQAYRAPPARQIVVQPQPPPQQPELAGQAFRAPAPQTPQRPESAGQDVPEPQPEEEEGEAVGGEAVVMAPQPSSTREQ >ORUFI04G11920.1 pep chromosome:OR_W1943:4:15586315:15588491:-1 gene:ORUFI04G11920 transcript:ORUFI04G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWITCSACHQKVLNWLKSHKQSKLTLMPQRTDKNEALQATKAAILTLNYLKRSIVSFQHDKVNLKSNQILLRLWYWEKFRVSHIDPSTARVRPLIQYWDEKKARKREKIMYGVIRVLAPGNRHETVISGRCQVNWRGMPCHRGFEADSVIRRVKVPLDSPLRCQDSAPGGLALTFESFRPTRPGQERQPLSFLFLLRRTQRQ >ORUFI04G11920.2 pep chromosome:OR_W1943:4:15588494:15588863:-1 gene:ORUFI04G11920 transcript:ORUFI04G11920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYEPPPRMTMNNIRANKPGTQTLDKEQMQAIDDLGFAGLTKMNGVQIRQLLCKQIARHDDEQSRAFNINGIMLETNH >ORUFI04G11930.1 pep chromosome:OR_W1943:4:15590012:15590230:1 gene:ORUFI04G11930 transcript:ORUFI04G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEITIEDVDHILGVPSKGVELVEVSQAIQADVDAPKDKDKNKALQATKAALFALYKDKMGTKITLSALRDS >ORUFI04G11940.1 pep chromosome:OR_W1943:4:15592653:15596441:1 gene:ORUFI04G11940 transcript:ORUFI04G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQEMPQSRIFGQPPPPTQQQQQQQRGFGFGGAVPSPRGMPMAAGAAGPHLAVQQKQHTTTLAPPPPPQQQNQGSSSSARGMAAAAEQIAYEDAWKACNPDITTPFASVEDAISRLLPYHVFAEYEEDEIYAEDQPPAKDTSSVQEWDDDREAEAIRIAEEFEKQVLTFNVAVRKSAAGAARAEERLMVENLLLADEQRQSEHVRALVRQQQLVALQKQQQQQEKEAAALQRQLMLEQQQQQQAALQQMMAMEQRQQQQQMMSALQHQRQPAIVMPQQGHSSAAALDAFLDAYAEVGPPRQQHRHGVQAPQQPESSSTSGPAYWAPAHAVPPPPENGAGALRE >ORUFI04G11950.1 pep chromosome:OR_W1943:4:15598377:15601517:1 gene:ORUFI04G11950 transcript:ORUFI04G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPTQQQRGFGFSGVGAAAAAAAPSPRGMPMAAGAAGPRRLAVQQKQKQPALVPPPPTQQQTQGFGGVGGAGAAALVVGSSSSARGMAAAAAAEWMAHEDAWRACNRDFATPFASVEDAISRLLPYHVFAEYEEDEIYVEDQPPAKDKSSVQEWDDDHEAEAIRMAEEFEKQVVTFNVAVLKSAAGAARAEERLMVENLLLAYERRQSEHVRALVRQQQLVALQKQQQMMAEQRQQQQQMMAALQQRQQPATIMPAQGHPDAMDLFLDAYAAGEQSAYSWMTAAHAVPQPQSQPRQQQPDA >ORUFI04G11960.1 pep chromosome:OR_W1943:4:15604136:15607626:-1 gene:ORUFI04G11960 transcript:ORUFI04G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEEGKVAAEGGAEAEADEEVEVKFRLFDGSDIGPLRCNAVATTVAALKDRVVADWPKDKTIVPKTANDVKLISGGKILENDKNIAQCRAPFGDLPSTAITMHVVVQPSSAKSKPDKKTNKLPKTTRCSCTIL >ORUFI04G11960.2 pep chromosome:OR_W1943:4:15604136:15607626:-1 gene:ORUFI04G11960 transcript:ORUFI04G11960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEEGKVAAEGGAEAEADEEVEVKFRLFDGSDIGPLRCNAVATTVAALKDRVVADWPKDKTIVPKTANDVKLISGGKILENDKNIAQCRAPFGDLPSTAITMHVVVQPSSAKSKPGELYYFIENKKTNKLPKTTRCSCTIL >ORUFI04G11970.1 pep chromosome:OR_W1943:4:15614955:15615591:1 gene:ORUFI04G11970 transcript:ORUFI04G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSEPWGWRRTVGRINNGGIKFEVVKRYERRWRINNASYKRQGRQAIRLYLTIIQANYGKNQGALEERLENGQCHHGHSSQDGGLIHIKFKSTSDSRSGPH >ORUFI04G11980.1 pep chromosome:OR_W1943:4:15617772:15618168:1 gene:ORUFI04G11980 transcript:ORUFI04G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGATWGTADGGEEQQVTSETRVARWDTTSASIEVQKGGRGSRGTRVSPPPSNAVAGGCPSAAAVVYEEEIEDYDDELGCGYYSGGGGGLRAEGGRGFVVEGSNSVDCDSSEI >ORUFI04G11990.1 pep chromosome:OR_W1943:4:15625164:15625571:1 gene:ORUFI04G11990 transcript:ORUFI04G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGAAAAEQAWYMPAAAMVVAAAAETAAERVERLASESAVVVFSVSSCCMCHAVKRLFCGMGVHPAVHELDLDPRGRDLERALARLVGAGGGAAAAVPVVFIGGKLVGAMDRVMAAHINGSLVPLLKEAGALWL >ORUFI04G12000.1 pep chromosome:OR_W1943:4:15633120:15638310:1 gene:ORUFI04G12000 transcript:ORUFI04G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLHHQNLVNLVGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKVPLDWNTRMKIAAGAAKGLEYLHDKAQPPVIYRDFKSSNILLGEDFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSTRPHVEQNLVSWARPLFNDRRKLPKMADPGLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQKYDPNTTPSSKKAGGGEAGRALSRNDEAGSSGHKSPSSKDSPREQQLPGILNDRERMVAEAKMWGENWREKRRAAATTSSNAQVSLDSPTETG >ORUFI04G12010.1 pep chromosome:OR_W1943:4:15640713:15643838:1 gene:ORUFI04G12010 transcript:ORUFI04G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPKAASAAAQLSLAASACIAGAEAAAMVGREGAPAMVAAAAAAAMVVIGHRGKGMNALGSADPRLREVKENSLRSFHAAARVAGVSYVEFDVQTGASTIVQLNLNQMVTKDGYPVIFHDDFIFTEQDGEICGRRVTDLRLDEFLSYGPQKDQSKAGKPLFRKLNDGRVLRWDVQSDDALCTLQEALDGVDRRVGFNVELKFDDDVVVFEHAKERPIFFSSFQPDAARIMRKLQDRYPVYFLTKGGTQVFADERRNSLEAAVKLCVAGSLRGIVSEARAVLRQPSAIGRIKEAGLSLLTYGQLNNVPKAVYLQQLMGVDGVIVDLVAEIAAAVSEFAAAAAAAVPVPERDSSSSYMDGGGDVGLLEMTSPAARTTASFSRREDVSFLLRLTPELVQ >ORUFI04G12020.1 pep chromosome:OR_W1943:4:15645552:15650218:1 gene:ORUFI04G12020 transcript:ORUFI04G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIASRLLRRSNATLGLIRSYSHARNYSSQLSALIPIGSQSSKLTRRRYYLPNASPYQLWSRSFASDNGDQVEAVVPFMGESVTDGTLANFLKKPGDRVEADEPIAQIETDKVTIDVASPEAGVIEKFIASEGDTVTPGTKVAIISKSAAPAETHVAPSEDSTPKETPPKAEETKPKLEEKSPKAEPPKMPLPPKTSPTEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDEFVTKHGVKLGLMSCFVKAAVTALQNQPIVNAVIDGDDIIYRDYVDISVAVGTSKGLVVPVIRDADNMNFADIEKGINALAKKATEGALSIDEMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVDGNILARPMMYLALTYDHRLIDGREAVYFLRRIKDVVEDPRRLLLDI >ORUFI04G12030.1 pep chromosome:OR_W1943:4:15651514:15659095:-1 gene:ORUFI04G12030 transcript:ORUFI04G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKESSSPALDADKIEVPSPKDENNSSNSEAATDNEDFEISDDDDDDRNHKHRKREARPQSFDENTEQSPGGPLKKRHKISGGADSHGEAQKDFFPKFKRRPGAGAHSRAPRVNPSFRDSSASVAARAPMTRGRGRNGAPWAQHEPRFNTLEMIDFASQMASQGPPTHPSLFMGPALPSGGSAQNGSWGPYGFMPGMPNGMLDPIHPLGMQGPIQPAISPLIDLGMPRQRCRDFEERGFCLRGDMCPMEHGLNRIVVEDMQSLSQFNLPVTVPNTQGLGIQNEPGTAPVNTSSLGGSKGVPAKDIKSAVTNDVLKLNGTTALAVSDADVYDPDQPLWNNEHPDASAGFAHTDGVWNAESLGYEAAREQGNQVLAADSSQNSKSSVWGRIASKKLGHGKTANATSTSATGNKRNESYDEMAPSTVHVNPASAKDSNGQSNSRIFGDVGRQSNRASHKASRTLYVNGIPLESNRWEALLSHFQKFGQVIDIYIPSNSEKAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDRIPDEVEGRIPAKSSHMSAALANSVPPQPSSSNRGKENLQSATPRASSGSSAEASGPGTGHKMLPANSVKSLPPDTKRQESLELLEELRKKQEILAQKRDEFRRQLEKLAKQKGLANSAKQAEAGGKEVASNDVHRVTDSKSMNTGTEGPRDAAGTLQNRTSGELASSSHKSSATSAQKPAVATKQTSPLLVPSQNRFKLDNRTTSFRILPPLPPEIADESVLKDHFMSFGELSSVVLEDTEAYNHDATLKPSLSCSACVTYTTRQSAEKAFIGGKSCKGHTLRFMWLTASPGSTNHSRFQKTSIPARASSFSSQTQNMPSESSTTVGKMSSTVKSSTTAKPHSESMPTATSAKTSVEIPKALLRIEDWVAI >ORUFI04G12030.2 pep chromosome:OR_W1943:4:15652688:15659095:-1 gene:ORUFI04G12030 transcript:ORUFI04G12030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKESSSPALDADKIEVPSPKDENNSSNSEAATDNEDFEISDDDDDDRNHKHRKREARPQSFDENTEQSPGGPLKKRHKISGGADSHGEAQKDFFPKFKRRPGAGAHSRAPRVNPSFRDSSASVAARAPMTRGRGRNGAPWAQHEPRFNTLEMIDFASQMASQGPPTHPSLFMGPALPSGGSAQNGSWGPYGFMPGMPNGMLDPIHPLGMQGPIQPAISPLIDLGMPRQRCRDFEERGFCLRGDMCPMEHGLNRIVVEDMQSLSQFNLPVTVPNTQGLGIQNEPGTAPVNTSSLGGSKGVPAKDIKSAVTNDVLKLNGTTALAVSDADVYDPDQPLWNNEHPDASAGFAHTDGVWNAESLGYEAAREQGNQVLAADSSQNSKSSVWGRIASKKLGHGKTANATSTSATGNKRNESYDEMAPSTVHVNPASAKDSNGQSNSRIFGDVGRQSNRASHKASRTLYVNGIPLESNRWEALLSHFQKFGQVIDIYIPSNSEKAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDRIPDEVEGRIPAKSSHMSAALANSVPPQPSSSNRGKENLQSATPRASSGSSAEASGPGTGHKMLPANSVKSLPPDTKRQESLELLEELRKKQEILAQKRDEFRRQLEKLAKQKGLANSAKQAEAGGKEVASNDVHRVTDSKSMNTGTEGPRDAAGTLQNRTSGELASSSHKSSATSAQKPAVATKQTSPLLVPSQNRFKLDNRTTSFRILPPLPPEIADESVLKDHFMSFGELSSVVLEDTEAYNHDATLKPSLSCSACVTYTTRQSAEKAFIGGKSCKGHTLRFMWLTASPGSTNHSRFQKTSIPARASSFSSQTQNMPSESSTTVGKMSSTVKSSTTAKPHSESMPTATSAKTSVEIPKALSSRDSDVSQ >ORUFI04G12040.1 pep chromosome:OR_W1943:4:15666013:15668672:-1 gene:ORUFI04G12040 transcript:ORUFI04G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSKGKVKKEMDGLPPRKGGLKFAPKVRPKKAPKIVPKTEPAEESKDETVDKELLMKLKTSQSTDPFVRKFKTEKKEMRTQVAFGQGNSSYARSFPMQSSADGSASKLPKEYVEPWDYTHSDYPVTLPLRRPYSGDPEILNEEEFGESSATGAQDGELTTAEELGLMHRSDKAQLLFFQMPASLPLPKQPDSVAETDKGDGVDAEPTSTSSKEMHAGTRPPKVLGSKLKDLPEGFMGKILVYRSGKVKMKIGDSLFDVSPGSNCMFVQEVAAINAREKHCCTLGEISKRAIVTPDIEHLLDSFDKMEA >ORUFI04G12050.1 pep chromosome:OR_W1943:4:15676607:15683804:1 gene:ORUFI04G12050 transcript:ORUFI04G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRNCYDSLLSAAAATMNSVYEFAEAMEEMGTCLLEKTALNYDDDDSGRVLMMLGKAQFELQKFVDNYRTNIINTITNPSESLLKELQVVEEMKELCDHKRQEYEAMRAAYREKGRSRHSKTETLSSEQLQAYFLDYQEDAALLLATILLRRGLKYLEALEPHVKAVAEKQHIEYPLNGLDDDTDNDEYSSYQGNQSDDSELSFDYEINDRDKDFPASRSSMDLDQSNQACSPEPLKEHKQEYAEQIQADFAAPRVKLEIGTQSAPISADNVFDPSTRFRKMNTSNRTNYSYKLPTPDDDKNSTSAHTNRSPHSDQPESKSHVAENLWHSSPLVKGFKPNSMFSGPVKMPSSTEGISAPLVYPYATSDFKKMKREAFSGPIPSKAGLNKPLFSATDLRAPMNYPRAMSTKSYGPGWQSSVAPKFTPRITSLPTTSPRISELHELPRPPANVGAARPGLVGYSGPLVSRRQVPNVPTRASPPSQTASPLPRPPAAMTRSYSIPSNSQRTPILTVNKLLEARHSRESSEVSSPPLTPISLADVSRRSTAETALEKTRMMETL >ORUFI04G12060.1 pep chromosome:OR_W1943:4:15688233:15688793:-1 gene:ORUFI04G12060 transcript:ORUFI04G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPYAPQLTRWRAAVGGAVVRDFVEHAGSVIALRPEDYYHRAAAATGDDDDDGDDPRERVLLGGRLYPVADETTTIHGGVGGGGRTTLRCVEFCPEPGVAPLRLTVTTAAAPAEEEEKQRQEVAEVVSPDGAVRVLGRGERFGGGGGEKGTVQHVVDVEGEREVFVLLVSVRPELARIVRVQRLT >ORUFI04G12070.1 pep chromosome:OR_W1943:4:15694680:15699654:-1 gene:ORUFI04G12070 transcript:ORUFI04G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHQQLLTAAAVVVLASLRAVAQPAGDGYGNATFSLCSGVDNTQAAGRSTWSSSVVSDGEYYALAQCRADVPAGDCSRCLAACLGVIGGSGDDLCEKAAVRYDDRCLLRFAKGDDFTGFDVDEHTATVFDGGRSGLTVAEEVPTRLLATVAAAAPASRSRTAAGIASYGGGGSLYGLAQCTTQIPAPDCARCLRGALSRLSEDFNSTAAGMQLLRSSCMLRYGSSLFFNQSSPLLPVAHLAIAPAVSGNYSYQTLVNSTGKFAERNKLGSGGCGTVYKGILHNNEEIAVKKLTRKDLREVEREVSLVAQLQHENIVKFLGHCFRHDKMFLVYEYLSNGTLSRYFKCSADCQKLDWPKWLNIIRGIARGLSYLHRDSGKDIVHRDLKPSNVLLDSNFNAKIADFDLARPYDRNKSHESTQKRAGTHGYIAPELYAGGEYSTKSDVYSFGVMTLEIIVGQNTSKFDNDNCTGLVEYAWQHFVRRTVEDMLDGDHLGLVNDEQVQQASRCVHVALLCVQSNRSVRPSMDRVHGVLGSKEELEEPSTPGFVAAAAAGVSPSAYSVNSVTISVMEPRP >ORUFI04G12080.1 pep chromosome:OR_W1943:4:15704967:15705740:-1 gene:ORUFI04G12080 transcript:ORUFI04G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTAQDFGPAQARPGRCWARVSTARLLGCAWAYGLTWWADPTQHELQGDEIDLFSITGGIPTKFLHFKGSRNESVTRNNLRITLVTSPATVGLRSLPDGAPDGDERVNL >ORUFI04G12090.1 pep chromosome:OR_W1943:4:15713762:15715520:-1 gene:ORUFI04G12090 transcript:ORUFI04G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITLETVAAWLQQQNREGQASTGNEEGQANIGSTGMCMEDSSSEVSLSLLEQPQGSQVGDVSHAPWSTLSQTSSSLSPSTMGTNDVNYNWYSEGEDTYDSDDEYDEYDSDDEYDEYDSDDEYDEVSSVNNVYTPTSVLSPTLASVLGTNSDASDNDSDATNSDASASAPISNVVVGCRTCIVFIMMMEDWMACQTFLLGKGYVIANSQLQGLNISQKEDFFYRKCLVERLHGLGWVQKGIFLLYADWFRLWEKWWTALSHIRSSAYHVLCTQVGG >ORUFI04G12100.1 pep chromosome:OR_W1943:4:15717817:15721731:-1 gene:ORUFI04G12100 transcript:ORUFI04G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVWFRFGEVAIVAPRFGLVPIWSQPARPWRRKQILSCSSRGGGCGGGGEEEEEGGGGGGGGGAMTYFPEEVVEHIFSFLPAQRDRNTVSLVCKVWYEIERLSRRGVFVGNCYAVRAGRVAARFPNVRALTVKGKPHFADFNLVPPDWGGYAGPWIEAAARGCHGLEELRMKRMVVSDESLELLARSFPRFRALVLISCEGFSTDGLAAVASHCKLLRELDLQENEVEDRGPRWLSCFPDSCTSLVSLNFACIKGEVNAGSLERLVSRSPNLRSLRLNRSVSVDTLAKILLRTPNLEDLGTGNLTDDFQTESYFKLTSALEKCKMLRSLSGFWDASPVCLSFIYPLCAQLTGLNLSYAPTLDASDLTKMISRCVKLQRLWVLDCISDKGLQVVASSCKDLQELRVFPSDFYVAGYSAVTEEGLVAVSLGCPKLNSLLYFCHQMTNAALVTVAKNCPNFTRFRLCILEPGKPDVVTSQPLDEGFGAIVRECKGLQRLSISGLLTDKVFMYIGKYAKQLEMLSIAFAGDSDKGMMHVMNGCKNLRKLEIRDSPFGDAALLGNFARYETMRSLWMSSCNVTLKGCQVLASKMPMLNVEVINERDGSNEMEENHGDLPKVEKLYVYRTTAGARDDAPNFMVGGIWEEAGAAATVNPLPFAFDICHSGLCCCWL >ORUFI04G12110.1 pep chromosome:OR_W1943:4:15747367:15747927:-1 gene:ORUFI04G12110 transcript:ORUFI04G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDEAPATDPAPAPSPSPAKRKPDAEATDLAPLDPPPKSARPDADEAAAEEAARARAADKGKGKMVVEDEDEEEEEGGGSDEDSSDDDDDDDDDDDDDDDDDEGGGGGGGGGGDDSDDGFCDDPLAEVDLNNILPSRTRRRAPPQPGAYLVPPEEAAEDDDDEDADVDVDMARGHQAGDGEDSD >ORUFI04G12120.1 pep chromosome:OR_W1943:4:15756137:15760745:1 gene:ORUFI04G12120 transcript:ORUFI04G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRAPVELDFLGLRAAAADADDRHAKSGGSSASSSSSIRGMETSAIARIGPHLLRRVIAAAGPPPPPSTAPAEAIMRMATEATKAKGLARGNAIVGNFAKEPLTRTKSLQRFLSKRKERLTSLGPYQVGGPAAVGATTSTTTKSFLAKEEEHTAS >ORUFI04G12130.1 pep chromosome:OR_W1943:4:15761618:15768453:-1 gene:ORUFI04G12130 transcript:ORUFI04G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLRPTIWECTYWADPNRPITWGLVSGAAAAAEAPEWKKVSAKRFGIKDSMIPDEAWNVLHRLRSRGYDVYLVGGCVRDLIMKKTPKDFDIITTADLRQVKDTFSGSAVIVGRRFPICHVYENNSIVEVSSFNTYARGSTSNQIYTSKSPHCSKNDYIRWKNCQGRDFTINGLMFNPYAEKIYDYFGGIEDIKKAKVRTVIPAGTSFQEDCARILRAIRIAARLGFNFPKETAYYVRTLACSVARLDKGRILMEINYMLAYGSAEASLRLLWRFGLLEHLLPFQAAYFSSTRFKRKDKGTNMLLVLFSKLDNFLAPNRPCHNTLHEALVRQPRDPLVVATFALALYLGGDMSLALDIGKSINRQHNTGFSELLEPQVWDDKHLVGEVQSLAVSMRRALTEMTDEYFVANAMAKIPQAPSSDLVFIPLQAYLKVLKLIECVQHGKKEHGYEPKRDGNIDYHDLSYGTPAEVRNVFTLVVFDTLYPSNTENQQDLASNQDSSNKTNPSNLD >ORUFI04G12140.1 pep chromosome:OR_W1943:4:15805463:15806360:-1 gene:ORUFI04G12140 transcript:ORUFI04G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSQYRGSKEEGKFKDQSIFNRDALEWLCKSFCLAGRTMMVDEELVCRLKLEDFGQCMHPLKVSKVLDKRGLTMWNLLGFDTKAGIATDRPLIVRTTIGRASKRGTNDNKGHKSRSYQSTAIGEQYRKSSTTAMQGRRAIIQGRFFSLRTNRDYLAHHGKRSLRLWCCGLNFNIYVGESIERLEAIATMRTGGLVSTAPISIAREGEGTERPKATAPRRFGDPVSTADRSDSNIAEIAAT >ORUFI04G12150.1 pep chromosome:OR_W1943:4:15827585:15841492:1 gene:ORUFI04G12150 transcript:ORUFI04G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPARSLAHPHPHLVRRRRDHAAAAHGATARCDDDDDGVVTPRGPTRYMAQEPINHHQHQHDPPKQPPPREADDDHHRIQEREPLPPPTTTTRNQRLQLQLGGDGHHNHHHHHHQEVAGTSGSSSGGSSSNNGGGGTRDWLRLATGPASPGASAGSDHDLFPSTTTTAPAPQPPTPTPTPTPTPRHHHHDVLVLPGMPPPGSFLRPGPAMPGIPQASIPTHMPRAAPPWLPPWSPVAAPPPLLPFPHQHRAFYAAPPTTTPPASSGFDAIRVVLPPSAVAAAAGVWFVLQAAPLQGREPFLPQIPRSYLRIKDGRVTVRLLTKYLVNKLGLEDESEVEITCRGRQLLPILTLQHVRDSIWCRRDAVSPSAAPDIPTADHHQHIMVLQYGRRP >ORUFI04G12160.1 pep chromosome:OR_W1943:4:15842636:15843175:1 gene:ORUFI04G12160 transcript:ORUFI04G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAKNPIGFRCNKCMKKDSSLHDQNQHMTNVPTDRSTCLKESSDNVHGATNRFSSD >ORUFI04G12170.1 pep chromosome:OR_W1943:4:15856879:15860216:1 gene:ORUFI04G12170 transcript:ORUFI04G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAIAAAVCAVLLLLVGGNGGGWSAMAAATMEEDRIVALPGQPNVSFAQYSGYVTVDAARRRELFYYFAEAELDPATKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGNVLVRNEYSWNKEANMLYLESPAGVGFSYSTDPSFYGGVGDSRTARDNLRFLQGWFAKFPQYKGRDLYITGESYAGHYVPQLAQRMVEFNKKEKLFNLKGIALGNPVLEFATDFNSRAEFFWSHGLISDSTYHSFTTVCNYSRYVSEYYHGSLSSACDTVMTQVARETSRFVDKYDVTLDVCVSSVLMQSKSLAPQRGSRELDVCVEDETMGYLNRKDVQEAMHARLEGGVPKWTVCSSVLEYKQLDLQIPTINIVGGLVKSGVPVLVYSGDQDSVIPLTGSRTVVQRLAGRLRLGTTAAGYRVWFEGRQVGGWTQSFGGGALSFATVRGASHEAPFSQPERSLVLFAAFLAGRPLPDSFQ >ORUFI04G12180.1 pep chromosome:OR_W1943:4:15863120:15865780:1 gene:ORUFI04G12180 transcript:ORUFI04G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATSDAKLLIQSLGKAYAATPTNLKIIDLYVVFAVATALIQVVYMGIVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKDNKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >ORUFI04G12180.2 pep chromosome:OR_W1943:4:15864237:15865780:1 gene:ORUFI04G12180 transcript:ORUFI04G12180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATSDAKLLIQSLGKAYAATPTNLKIIDLYVVFAVATALIQVVYMGIVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKDNKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >ORUFI04G12190.1 pep chromosome:OR_W1943:4:15866766:15872075:-1 gene:ORUFI04G12190 transcript:ORUFI04G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLVQSAIAPTIYRRSGTARFRVRARATMMRTMPTRTLTLGGFQGLRQTNFLDSRSVIKRDFGSIVASQISRPRGLGSRGVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGSSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISNGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLRYTDDSLIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQVTKDKNEAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMVKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKDIDLQVTEKFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNGGSGVPEPLAPALSV >ORUFI04G12190.2 pep chromosome:OR_W1943:4:15872082:15873033:-1 gene:ORUFI04G12190 transcript:ORUFI04G12190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPTGLGHNVQRWEGSGQSRRLSPLLSLLFLSPPLAWVRLPSLLSHLLLLLLRRLRVPVPPSPRAARVGGRPLVAAAVEVRPGIGLVGRWLGVFLLG >ORUFI04G12200.1 pep chromosome:OR_W1943:4:15873350:15874141:-1 gene:ORUFI04G12200 transcript:ORUFI04G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLSPDLKRSNFTDDDDELTIKLHALLGNKWNTHIKRKLLSQGIDPQTHQPVSAGTSVAAASELTTTAITVGFPSLAVAPARGSSRRKEGRLGGVFLVVVAGGGGVSGGGRVSDGGELELRVEERREVVVAGRWRRGGQRLGGEAYGRGQRACFGWLGWGGCASVLAHHRSAAPPVAALAHRLFAAALALCFSARGRSRPSLRSRSHPLLRYRARPLLRHPHLRAAPPAAGLPH >ORUFI04G12210.1 pep chromosome:OR_W1943:4:15875525:15877367:1 gene:ORUFI04G12210 transcript:ORUFI04G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIMDDGINMSINTVVPDILDDSVEEIHRFSRDPRADMGAASARLKEYDSSAKGGTCSLAQPNEFPSGRIQAMKTDDANVKPILKRKEEQGDSKPRKRVKFAADVKDQSAELPEQDEDSPMVPQSMDLVIGKDSSTPSESPGVPDYVKNPAKYTRYTLDTPECNDETNRRAFADLHDILRRMEPEPEAPPVEIPTSVTFIPRKKTVDAMTVDEGPKSNDANSSLIGLAAGASDETEQCEMDEDDPKALLPPQVQTNTKMNSRRYRSSRTDDE >ORUFI04G12220.1 pep chromosome:OR_W1943:4:15878028:15880690:-1 gene:ORUFI04G12220 transcript:ORUFI04G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGEGSGRAPRLPRWTRQEILVLIEGKRVVEGRGRGRGRGGGGGAAAEPTKWAAVAEYCRRHGLERGPVQCRKRWSNLAGDYKKIREWERSLSSPSSSSAAAGMGKEVSFWAMRNDARRERRLPGFFDREVYDILEGRGGGNAAAAAAGKEGEEEKAAVFDSGRAAAGGGGGGGDDGLFSSSEEEEDDDEATPPATTPAAAPAPPPAPAPAVPVLTSEKKSDPPRQDASEQAGTSRAKQPEQIVEDSPAQGAHKRQRSDDASGEAPDLQGQLIEILDRSSRMVAAQLEAQNINCQLDREQRKDQVSSLLGVLGKVADALYRIADKM >ORUFI04G12230.1 pep chromosome:OR_W1943:4:15891881:15894867:1 gene:ORUFI04G12230 transcript:ORUFI04G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCANIYIPLLFLFIWIQIPPNRIISHYYAWRLCFAQKKKKGKIQFVVGQLSRARPVKASRFGSPSSHPVRYFYFSQGKKNPKEEKKKIPAQSAMDAEMDLLADDDGGEAERLEAAEAQADLLRDRLRLAVISIATSEGKKAGMEVSDPVVACIADLAYKTVGSSKLLATYITEQLAKDVELFAQHAGRKSIKMEDVILTAHRNEHLMGLLRTFSQELKGKEPSSERKRKKSSKKDDNVMQI >ORUFI04G12240.1 pep chromosome:OR_W1943:4:15905899:15907372:-1 gene:ORUFI04G12240 transcript:ORUFI04G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVPLSFPPLLFFPLFSRPRRCETEGVHSIISTVCELELEMWCFPISCESFEPSGSQLLNHPRRIRLHRQALLLFRQADLPSLLSSYIVQVVIHYFDKQSAEFINSAAGAITVSYIMQLLTGLLGREFSAVIYATQSISNILSISGEE >ORUFI04G12250.1 pep chromosome:OR_W1943:4:15917215:15921810:1 gene:ORUFI04G12250 transcript:ORUFI04G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTALAHVIRAAPDLHLPHHPSSSATAAAHPQQASSFYPTAAAAASSPSDQLAAAAAVEEQGRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAIAYDEAALRFKGTKAKLNFPERVQGRTDLGFLVTRGIPPAATHGGGYYPSSSPAAGACPPPRQQQTVVPYPDLMRYAQLLQGGVGGSYMPFGGAATMSSSTVSSSSAPQILDFSTQQLIRAGPPSPMPSSGSGSATAVASSTTSASSPGAWPYGGSERKKKDSSS >ORUFI04G12260.1 pep chromosome:OR_W1943:4:15937533:15941090:-1 gene:ORUFI04G12260 transcript:ORUFI04G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGRRFAFGYPYMLVWALETGSVAERLMMNVVFFMGDGDLVVLVFDTEFLDKQSSTFLID >ORUFI04G12260.2 pep chromosome:OR_W1943:4:15937533:15941090:-1 gene:ORUFI04G12260 transcript:ORUFI04G12260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGRRFAFGYPYMLVWALETGSVAERLMMNVVFFMGDGDLVVLVFDTEFLDKQSSTFLID >ORUFI04G12260.3 pep chromosome:OR_W1943:4:15937848:15941090:-1 gene:ORUFI04G12260 transcript:ORUFI04G12260.3 gene_biotype:protein_coding transcript_biotype:protein_coding SVRIVSLESNVPNHSHHLLTPLRPLSQSPVIHAPKSRPSIEIAASHPFPHAQFALPLSRVQPLPPPIDHLRVPHPSLHAQSPLLLSHRSLSPGAQPSSQLIAGDQRYTGVIALASPPPISSPPNLFCAATGALSTAPPVKSALIPRALPPAPSPPCRHQFVDPSHVATGFHPTASQVSRATPRTPRHRRSSNPPANKDFPLPIALVEIKWKVEN >ORUFI04G12260.4 pep chromosome:OR_W1943:4:15937247:15937681:-1 gene:ORUFI04G12260 transcript:ORUFI04G12260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVWALETGSVAERLMMNVVFFMGDGDLVVLVFDTEFLDKQMGKIALTLSNMLCEDMKAGPNRVLVLYDQTS >ORUFI04G12260.5 pep chromosome:OR_W1943:4:15937848:15941090:-1 gene:ORUFI04G12260 transcript:ORUFI04G12260.5 gene_biotype:protein_coding transcript_biotype:protein_coding SVRIVSLESNVPNHSHHLLTPLRPLSQSPVIHAPKSRPSIEIAASHPFPHAQFALPLSRVQPLPPPIDHLRVPHPSLHAQSPLLLSHRSLSPGAQPSSQLIAGDQRYTGVIALASPPPISSPPNLFCAATGALSTAPPVKSALIPRALPPAPSPPCRHQFVDPSHVATGFHPTASQDFPLPIALVEIKWKVEN >ORUFI04G12270.1 pep chromosome:OR_W1943:4:15944489:15949820:1 gene:ORUFI04G12270 transcript:ORUFI04G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSASRALAAAKPRSPEPFAAAAAVLLRRQLAAGMAAAPASSASAAGNREAFRVAVTNTLERRLFYVPSFKIYGGVAGLYDYGPPGCAVKANVLAFWRQHFVLEEGMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCKDKLEKDNTLSPEKTAEFNHVLAVLDDLSAEQLGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEARFSQNGVISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFRDVSDLEFLMFPREQQLTGKSAMKLNIGQAVSEGTVNNETLGYFIGRVYLFLTQLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSFGWIECVGIADRSAYDLRAHSDKSGVALEAHEKFAEPREVEKLVITPSKKELGLAFKGNQRMVLEALEAMSETEALNMKSALESKGEVEFKVCTLGKDVTIKKSMVSINMEKKKEHQRKFTPSVIEPSFGIGRIIYCLFEHCFYQRPGKAEDEQLNVFGFPPLVAPIKCTVFPLVKIEKFEVVAKKISKALTAAGISHIIDMTGNTIGKRYARTDEIGVPLAITVDNTTSVTVRDRDSKDQIRVEVDEVASVVKEVTDGQSTWADIMWRYPAHTASAAEEEEASET >ORUFI04G12270.2 pep chromosome:OR_W1943:4:15944489:15949820:1 gene:ORUFI04G12270 transcript:ORUFI04G12270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSASRALAAAKPRSPEPFAAAAAVLLRRQLAAGMAAAPASSASAAGNREAFRVAVTNTLERRLFYVPSFKIYGGVAGLYDYGPPGCAVKANVLAFWRQHFVLEEGMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCKDKLEKDNTLSPEKTAEFNHVLAVLDDLSAEQLGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGLLRVREFTLAEIEHFVDPEDKSHPKFRDVSDLEFLMFPREQQLTGKSAMKLNIGQAVSEGTVNNETLGYFIGRVYLFLTQLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSFGWIECVGIADRSAYDLRAHSDKSGVALEAHEKFAEPREVEKLVITPSKKELGLAFKGNQRMVLEALEAMSETEALNMKSALESKGEVEFKVCTLGKDVTIKKSMVSINMEKKKEHQRKFTPSVIEPSFGIGRIIYCLFEHCFYQRPGKAEDEQLNVFGFPPLVAPIKCTVFPLVKIEKFEVVAKKISKALTAAGISHIIDMTGNTIGKRYARTDEIGVPLAITVDNTTSVTVRDRDSKDQIRVEVDEVASVVKEVTDGQSTWADIMWRYPAHTASAAEEEEASET >ORUFI04G12280.1 pep chromosome:OR_W1943:4:15952936:15955743:1 gene:ORUFI04G12280 transcript:ORUFI04G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRLSSSLSWRPAATAAAAAGGPRGGPLAGKNDDDDNNGNGRVQPRFSIESPFFAAARGFSSSETLVPRNQDTGLAELPATVAALKNPNPKVVYDEYNHERHAPGDPSKRAFAYFVLSGGRFIYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIALANSVDVGSLRHPQQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >ORUFI04G12290.1 pep chromosome:OR_W1943:4:15958131:15962622:1 gene:ORUFI04G12290 transcript:ORUFI04G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTVGWWLLAAAVVLAAAAADSGEAERAAEQHSERISGSAGDVLEDNPVGRLKVFIYDLPRKYNKKMVNKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPKEADWFYTPVYTTCDLTPAGLPLPFKSPRVMRSAIQYISHKWPFWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQENHVCLKEGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASLWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVEEKDVPKLDTILTSMPIDDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPEGVYLQPSDKRLNWTAGPVGDLKAW >ORUFI04G12290.2 pep chromosome:OR_W1943:4:15958131:15962622:1 gene:ORUFI04G12290 transcript:ORUFI04G12290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTVGWWLLAAAVVLAAAAADSGEAERAAEQHSERISGSAGDVLEDNPVGRLKVFIYDLPRKYNKKMVNKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPKEADWFYTPVYTTCDLTPAGLPLPFKSPRVMRSAIQYISHKWPFWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQENHVCLKEGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASLWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVEEKDVPKLDTILTSMPIDDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPEGVYLQPSDKRLNWTAGPVGDLKAW >ORUFI04G12300.1 pep chromosome:OR_W1943:4:15958609:15961895:-1 gene:ORUFI04G12300 transcript:ORUFI04G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRTIPVIFGILFYVLASTATATDAPDYVVQGRVYCDTCRAGFETNVTEYIKGAKVRLECKHFGTDKVERAIDGVTDETGTYKIELKDSHEEDICEVVLVHSPLANCSEIEAERDRARVLLTRNVGICDNLRLANPLGYLKDIPLPVCGALLKQFDLADDDNESSSPVEALVTGLQVYSLWVWKLASKAIQDLKGHLCEMYWIALLITLGDLNGKGSPAGVIDEDLQPPHRIVFEHIAGTPYNLTIDASAHIKVPSI >ORUFI04G12310.1 pep chromosome:OR_W1943:4:15962414:15977515:-1 gene:ORUFI04G12310 transcript:ORUFI04G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGPSRPAASAAVAAGDFPELLAFCARAEALIAELLLLSDRAPSQFADRRFHPVLFDFRYFDSPGEFEARIEGNMELEALEDELRESCGSYMRRFFALLDAAVAYHDELCSYLNDLQEGLYVHCTLDGVLESNWACQLLTESMTLFGCMALLMEHRISGLLRERLLVAYLRHERCFSFPNVERICKLCRRHVTTPPSPGASGSSLHTAEIISVQKPEDLLRRFQFPELIVDAVITCLRNGDVYNNVRFYPDPQHRTTALSLQGGHMYVLLFYSRDLLHNGLAMREIVDRFFKDNWVVPIFLHFSVDLLVSWDAFKEAKSSLVSCLSPTFVRDRSLYHYTKVSSLLADLDSHMHAVNKEYVLDNSLNLLSIIRECNCTLRWLLLHRMTNDKKARDLVICLGSSQHADEGKLLQLLMKTAKLEFEVKELHAELLKTRKSMWYEKRHDALECMKDLSQNYLGTWAASCKLKNKSIKDWLEHLSSEVSSLDYATIGNSGRIIHRVLSTLKDIELLHQIKENIQIKHGFSKIQKNLHDMIKVINLNQESISVFSVITDGKYAWGYLTYFEELLKKKISQDPSESLFLHTMFLKFQSWLDAPLQRIKQYESPDLQYVSTYYASKYAAKIFAVLDIIPAILLKISIDVDYINAEQSTHLINRINKETLEDLMQLDQQLCQAQQAAKLCIVSEGLLNMSKNFDGLIDLNLGGWLKQMIKRELVSQLQGKLKALSLLIYGDIEGNLMSLSNYMLSQMQRMEFLQHILHIDGCSIWEETLTAVLEECAKREVLESPAAIYSSFNGSIALHVYRGYDGLVDVKCREELNKLDDLLGPPMSIPLMGWSSYKEMVKMLHSSWGPLVEKLATIGQLQLVRNLVSFKLRSACKVRANTISSAVDILSSSVCLQNGRFETGAEDHNVRLFLNNIKDQQNFCGLLSPLQAIYISEEPPMFLTRLLCIFSISQLPKYVLDIHLGSLTNPLKKSVADFSALVIGLGTLLQQFGPSHITQYIEFMIQYIRMAEAAFNPTPVTNKGSAHSSEAPKALYWVMSFCNKIT >ORUFI04G12310.2 pep chromosome:OR_W1943:4:15962414:15977515:-1 gene:ORUFI04G12310 transcript:ORUFI04G12310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGPSRPAASAAVAAGDFPELLAFCARAEALIAELLLLSDRAPSQFADRRFHPVLFDFRYFDSPGEFEARIEGNMELEALEDELRESCGSYMRRFFALLDAAVAYHDELCSYLNDLQEGLYVHCTLDGVLESNWACQLLTESMTLFGCMALLMEHRISGLLRERLLVAYLRHERCFSFPNVERICKLCRRHVTTPPSPGASGSSLHTAEIISVQKPEDLLRRFQFPELIVDAVITCLRNGDVYNNVRFYPDPQHRTTALSLQGGHMYVLLFYSRDLLHNGLAMREIVDRFFKDNWVVPIFLHFSVDLLVSWDAFKEAKSSLVSCLSPTFVRDRSLYHYTKVSSLLADLDSHMHAVNKEYVLDNSLNLLSIIRECNCTLRWLLLHRMTNDKKARDLVICLGSSQHADEGKLLQLLMKTAKLEFEVKELHAELLKTRKSMWYEKRHDALECMKDLSQNYLGTWAASCKLKNKSIKDWLEHLSSEVSSLDYATIGNSGRIIHRVLSTLKDIELLHQIKENIQIKHGFSKIQKNLHDMIKVINLNQESISVFSVITDGKYAWGYLTYFEELLKKKISQDPSESLFLHTMFLKFQSWLDAPLQRIKQYESPDLQYVSTYYASKYAAKIFAVLDIIPAILLKISIDVDYINAEQSTHLINRINKETLEDLMQLDQQLCQAQQAAKLCIVSEGLLNMSKNFDGLIDLNLGGWLKQMIKRELVSQLQGKLKALSLLIYGDIEGNLMSLSNYMLSQMQRMEFLQHILHIDGSPAAIYSSFNGSIALHVYRGYDGLVDVKCREELNKLDDLLGPPMSIPLMGWSSYKEMVKMLHSSWGPLVEKLATIGQLQLVRNLVSFKLRSACKVRANTISSAVDILSSSVCLQNGRFETGAEDHNVRLFLNNIKDQQNFCGLLSPLQAIYISEEPPMFLTRLLCIFSISQLPKYVLDIHLGSLTNPLKKSVADFSALVIGLGTLLQQFGPSHITQYIEFMIQYIRMAEAAFNPTPVTNKGSAHSSEAPKALYWVMSFCNKIT >ORUFI04G12310.3 pep chromosome:OR_W1943:4:15962414:15977515:-1 gene:ORUFI04G12310 transcript:ORUFI04G12310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGPSRPAASAAVAAGDFPELLAFCARAEALIAELLLLSDRAPSQFADRRFHPVLFDFRYFDSPGEFEARIEGNMELEALEDELRESCGSYMRRFFALLDAAVAYHDELCSYLNDLQEGLYVHCTLDGVLESNWACQLLTESMTLFGCMALLMEHRISGLLRERLLVAYLRHERCFSFPNVERICKLCRRHVTTPPSPGASGSSLHTAEIISVQKPEDLLRRFQFPELIVDAVITCLRNGDVYNNVRFYPDPQHRTTALSLQGGHMYVLLFYSRDLLHNGLAMREIVDRFFKDNWVVPIFLHFSVDLLVSWDAFKEAKSSLVSCLSPTFVRDRSLYHYTKVSSLLADLDSHMHAVNKEYVLDNSLNLLSIIRECNCTLRWLLLHRMTNDKKARDLVICLGSSQHADEGKLLQLLMKTAKLEFEVKELHAELLKTRKSMWYEKRHDALECMKDLSQNYLGTWAASCKLKNKSIKDWLEHLSSEVSSLDYATIGNSGRIIHRVLSTLKDIELLHQIKENIQIKHGFSKIQKNLHDMIKVINLNQESISVFSVITDGKYAWGYLTYFEELLKKKISQDPSESLFLHTMFLKFQSWLDAPLQRIKQYESPDLQYVSTYYASKYAAKIFAVLDIIPAILLKISIDVDYINAEQSTHLINRINKETLEDLMQLDQQLCQAQQAAKLCIVSEGLLNMSKNFDGLIDLRMEFLQHILHIDGCSIWEETLTAVLEECAKREVLESPAAIYSSFNGSIALHVYRGYDGLVDVKCREELNKLDDLLGPPMSIPLMGWSSYKEMVKMLHSSWGPLVEKLATIGQLQLVRNLVSFKLRSACKVRANTISSAVDILSSSVCLQNGRFETGAEDHNVRLFLNNIKDQQNFCGLLSPLQAIYISEEPPMFLTRLLCIFSISQLPKYVLDIHLGSLTNPLKKSVADFSALVIGLGTLLQQFGPSHITQYIEFMIQYIRMAEAAFNPTPVTNKGSAHSSEAPKALYWVMSFCNKIT >ORUFI04G12310.4 pep chromosome:OR_W1943:4:15969091:15977515:-1 gene:ORUFI04G12310 transcript:ORUFI04G12310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGPSRPAASAAVAAGDFPELLAFCARAEALIAELLLLSDRAPSQFADRRFHPVLFDFRYFDSPGEFEARIEGNMELEALEDELRESCGSYMRRFFALLDAAVAYHDELCSYLNDLQEGLYVHCTLDGVLESNWACQLLTESMTLFGCMALLMEHRISGLLRERLLVAYLRHERCFSFPNVERICKLCRRHVTTPPSPGASGSSLHTAEIISVQKPEDLLRRFQFPELIVDAVITCLRNGDVYNNVRFYPDPQHRTTALSLQGGHMYVLLFYSRDLLHNGLAMREIVDRFFKDNWVVPIFLHFSVDLLVSWDAFKEAKSSLVSCLSPTFVRDRSLYHYTKVSSLLADLDSHMHAVNKEYVLDNSLNLLSIIRECNCTLRWLLLHRMTNDKKARDLVICLGSSQHADEGKLLQLLMKTAKLEFEVKELHAELLKTRKSMWYEKRHDALECMKDLSQNYLGTWAASCKLKNKSIKDWLEHLSSEVSSLDYATIGNSGRIIHRVLSTLKDIELLHQIKENIQIKHGFSKIQKNLHDMIKVINLNQESISVFSVITDGKYAWGYLTYFEELLKKKISQDPSESLFLHTMFLKFQSWLDAPLQRIKQYESPDLQYVSTYYASKYAAKIFAVLDIIPAILLKISIDVDYINAEQSTHLINRINKETLEDLMQLDQQLCQAQQAAKLCIVSEGLLNMSKNFDGLIDLNLGGWLKQMIKRELVSQLQGKLKALSLLIYGDIEGNLMSLSNYMLSQMQRMEFLQHILHIDGCSIWEETLTAVLEECAKREVLEFMGCMQPSTNMVKPSNHMSNPGTFFGNILD >ORUFI04G12320.1 pep chromosome:OR_W1943:4:15978748:15979080:-1 gene:ORUFI04G12320 transcript:ORUFI04G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGSNRAVRCAAAVAFIVVVVVVGSADGRGGGRGGHVLHGGGGGDDDPGNFRSSMVDCMMECAAEVVSCSSACAGKPRGEAPTCAADCVHGDISCLAGCGAPAPPAA >ORUFI04G12330.1 pep chromosome:OR_W1943:4:15980191:15984528:1 gene:ORUFI04G12330 transcript:ORUFI04G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKND >ORUFI04G12340.1 pep chromosome:OR_W1943:4:15992067:15996483:1 gene:ORUFI04G12340 transcript:ORUFI04G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSKKKEEEEVAVVAARKGRLRQRYDGEYRLVAGCVPYRVVAAGGGGGGGELEVLMVSTPNRADLVFPKGGWEDDEDVYEAACREAMEEAGVKGNINRVSLGMWVMRSKSSQSGGGGEASRSPRGGACKGYMFELEVTEEMDRWPEQATHGRRWLPPADAFRLSRYGWMREALAALLDRRCLLLLPPPQPEPSEHAGVYGLAMLKAAAAAAADRAVALC >ORUFI04G12340.2 pep chromosome:OR_W1943:4:15992067:15996006:1 gene:ORUFI04G12340 transcript:ORUFI04G12340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSKKKEEEEVAVVAARKGRLRQRYDGEYRLVAGCVPYRVVAAGGGGGGGELEVLMVSTPNRADLVFPKGGWEDDEDVYEAACREAMEEAGVKGNINRVSLGMWVMRSKSSQSGGGGEASRSPRGGACKGYMFELEVTEEMDRWPEQATHGRRWLPPADAFRLSRYGWMREALAALLDRRCLLLLPPPQPEPSEHAGVYGLAMLKAAAAAAADRAVALC >ORUFI04G12350.1 pep chromosome:OR_W1943:4:16009287:16018036:1 gene:ORUFI04G12350 transcript:ORUFI04G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAFLFFGGALRRRTSNKFLRILDTIIGFSLEDNNLWLRHLLNLVVQVVLALYIFWKSAIGPNMNKVELVMSILLFVTGIIKYGERTWALQYGFLRTLAASLPRYALQLRNSFQKSLPICPGCDQDKYIGQLLDNADSGTNDDDDPFPEPLVLSIALCSMPSALRLFWDNDTICIVMNEWVLVRAYQLPKALEILEIELGLVYDYIYTKAMVFQTRRGIALRCISQASFLAAFLLFLRRRVWWSNSMGQYKPRNHLAKYDEEEKRSRSWSWKQGVVGGKIRKVVDAVCGEKVKFWISKQLDINFAKVDKEIIRCIFNKVVECAAEAHQSQNSLPAQEWPNLGVLLKNLLETPDGTLSWETIVVLHVYTKVQLKLFSHASPSESTSAALVELVHKLSNYMLYLLATQHKMLPVSKSTGESNRGVGSHFAKIDIEAAETEMLTNTGVQLLGSCTKEQLLETKQAWLRLLIFFAGKSRPEMHAAQLAAGGELLTFVWLFMAFKDIGASVISRIEISDLRVHIPRPSLVLFRLGPTLHQPAADHTADNSSDQLKEEEGHHQNEISHV >ORUFI04G12360.1 pep chromosome:OR_W1943:4:16019380:16020106:1 gene:ORUFI04G12360 transcript:ORUFI04G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAGLLSHSKTRPMVGHRGNRANVTLRRSGPPRPDLAMRLASGRKDKGEPELDGAHVAMAMTVGKGRGAVVTLAKCGGEVAVVNAKHNGLEDLLNFSASPNLWVQEHVSWFDPTTNKKDNSKN >ORUFI04G12370.1 pep chromosome:OR_W1943:4:16036545:16037423:1 gene:ORUFI04G12370 transcript:ORUFI04G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFSSYFYSSSAAAAGGGGGSGEKKSSSSSASKKKQQQAAAAEGGNNQTRYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAVAYDRAARSLRGARARTNFAYPDLPPGSSVTPYLSPDLSADASDQLLQPFYANPSAAAALPTPAAVMAGGGGVEFGGEYMYGGGVDMSSLMDDIAAMPDDLPPSVTGGGGGFASSDEYSSGGGGMVDDVSMYCGGNGGGSSWCDASDFASYSSSSPAAAAAAGSHGMYFEEGYVHSPLFSPMPAVDDAGADGFQLGGSSSSYYY >ORUFI04G12380.1 pep chromosome:OR_W1943:4:16042725:16043938:-1 gene:ORUFI04G12380 transcript:ORUFI04G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASILVITVDLKCCRCRAKITKVLDCLKEEYCIEKTEFEEKDDKVIVRGKFDAGSLRNKICCKAGGKVVKDIKTVDAWPPPKEPEKKKKDEKPVCKLVPFPVPYPAPPPPPACCPPSTHQCYHCCPAPPKPKPKPCECTHHCGGHGGGCNKPAVSPCGGGGSISDGGACGASCKPPPPPAAIWPPQPSFYYYPPPPCGGYKFACEENSDVCVIM >ORUFI04G12390.1 pep chromosome:OR_W1943:4:16056869:16067096:-1 gene:ORUFI04G12390 transcript:ORUFI04G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVITVNMQCCRCKEKIDKILNCLRCKHCIEKIEYEGEKVIVRGGFCAEELRKCIWRKAGCKVIVSIVIVEVWPPPKPKPVEVNVSVKTEVTAEAKAAAEAAARAAVAVVIKERGLQQHCGGKPPGCKMVPFPYPVPYPHCIEKIEYEGEKVIVRGSFCAEELRTCIWRKAGCKIIVSIVIVEVWPPPPPAVTVNVKADVTATAVAAAEAAAKATAEAFIMVEREHCNKQPPACKMVPFPYPVPYPVPCNKTATDKPKPCCGGCVPPPPRCKCGVDGCGGGCSGGHGGECGGGGGGCKKPCCSPPPCPWQPVCPPPPCGGGGYVVYEQPEGCSVIIYMYMGASILVITVDLKCCRCRAKITKTEFEEKDDKVIVRGKFDAGCLRNKICCKAGGKVVKDIKTPSFYYYPPPPCGGYKFACEENSDVCAIM >ORUFI04G12390.2 pep chromosome:OR_W1943:4:16056869:16081570:-1 gene:ORUFI04G12390 transcript:ORUFI04G12390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGILVILVDLQCCRCDAKIRKVLGCLEEEYCIEKVEYDVKNNRVIVRGKFDAGCLRNKICCKAGGKVVKDIKTPSFYYYPPPPCGGYKFACEENSDVCAIM >ORUFI04G12390.3 pep chromosome:OR_W1943:4:16056869:16078919:-1 gene:ORUFI04G12390 transcript:ORUFI04G12390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVLCAVWALYSHPLLPIAPPFSFASGGRSTMGILVISADLKCCRCKEKLSKILCSLRDKYGIEKTEYEDKDDRVIVRGKFDAGCLRNKICCKAGGKVVKDIKTPSFYYYPPPPCGGYKFACEENSDVCAIM >ORUFI04G12390.4 pep chromosome:OR_W1943:4:16067106:16078919:-1 gene:ORUFI04G12390 transcript:ORUFI04G12390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVLCAVWALYSHPLLPIAPPFSFASGGRSTMGILVISADLKCCRCKEKLSKILCSLRDKYGIEKTEYEDKDDRVIVRGNFPTDKLRSVIWCKAGRKLIRDIAVVDVWPTPPPPKKKPETAGGTTPAPALAPLLDHWQ >ORUFI04G12390.5 pep chromosome:OR_W1943:4:16079060:16081570:-1 gene:ORUFI04G12390 transcript:ORUFI04G12390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGILVILVDLQCCRCDAKIRKVLGCLEEEYCIEKVEYDVKNNRVIVRGKFDPEKLCKKIWCKAGKIIKEILIVDVWPPPLPPPPPPCEKPPEDCKPKPCHCCSCEKPKPKPKPCHCEKPKPCHCEKPKPCEKPPPCKPEEPPKPPPEKPPPKPECKLVPYPYPVPYPYAGQWCCPKPEPPKPPPEPPKEPEPPKPCGCSHAFVCVCKPAPPPPPPCGCSGGHGNCGCGIRPWPPQVWPPPPVCPPPPWCYTEDNANACSIM >ORUFI04G12400.1 pep chromosome:OR_W1943:4:16085336:16087744:-1 gene:ORUFI04G12400 transcript:ORUFI04G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRGDLEIEKIVYEKDTVVVSGPFDAEKLSCKLWCKAGKIIKDIKIKPPEEKKKPEPKPDEKKPDPKPKPDPCKLIPFPYPYVYPPPPPCGGCATPHCCDCHPKPPPPAPAPAKPACGCPAWSSACHCYPPPAMPPYPPVLVCDEGPQYGACAVM >ORUFI04G12410.1 pep chromosome:OR_W1943:4:16093006:16094466:1 gene:ORUFI04G12410 transcript:ORUFI04G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPNPPLPLPPPYDSLPRLLLHHHRRLPRRRLVLAAHALAAVAGHLTVPAPPHHPHALLILAYARHLASWPRAPIHLLLLFRSSLRLSVPPTRHTLPLAVSAAAAASAGRHLPLALSLHAVAVTRNLLPFPHVSNALISLYARSALPDSARRVFDGMRAPDVVSHNALVHGYVKAGRLGLAVRVFEGMPERDAVSWGTVVAGCAKAGRLEKAVRLFDRMRREGYRPDDVALAAVLSCCAQLGALDKGQEVHEYVRRTRPRPNVYLCTGIVDLYAKCGRVEVAREVFDACPEKNVFTWNALIVGLAMHGHGTVALDYFDRMLVEGFRPDGTTFLGVLIGCSHAGLVDTARRIFYEMQHNHGVPRELKHYGCMADLLGRAGLIDEAMEMISSMPMEADTYVWGGILAGCRMHGNNVEFAEVAARRLLELNPDDGGVYSAMAGIYADAGRWEDVARVRRSMDEMVGMRNVGRSSIAMELKDGIKMLS >ORUFI04G12420.1 pep chromosome:OR_W1943:4:16095372:16102554:-1 gene:ORUFI04G12420 transcript:ORUFI04G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRFAWPYGGQRASFCGSFTGWRECPMGLVGAEFQVVFDLPPGVYQYRFLVDGVWRCDETKPCVRDEYGLISNEVLVDNTHPVVQPETSIRVVSMDEGTILTTMPPDQLSQNSGVQIAIFRHRVSEILLHNTIYDVVPVSSKIAVLDARLPVKQAFKIMHDEGLSLVPLWDDQQQTVTGMLTASDFVLILRKLQRNIRTLGHEELEMHSVSAWKEAKLQFYGGPDVAAIQRRPLIHVKDSDNLRDVALAIIRNEISSVPIFKPSTDSSGMPLLGLATLPGIVKFICSKLQEQPEGYSFLQNQIVSMPIGTWSPHTGKASNRQLRTSRPSTPLNSCLDLLLEDRVSSIPIVDDNGALLDVYSLSDIMALGKNDVYTRIELEQVTVEHALELQYQVNGRRHCHTCLSTSTFLEVLEQLSAPGVRRVVVIEPRSRFVQGIISLRDAFTFLIG >ORUFI04G12430.1 pep chromosome:OR_W1943:4:16126866:16132667:1 gene:ORUFI04G12430 transcript:ORUFI04G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALEVEGSGSPGVEPAATATASRLKRHDSLFGDAEKVSGGKHHGGSAVSWAVTLHLAFQSVGIIYGDIGTSPLYVYSSTFPDGIGHADDLVGVLSLILYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPNQQAEDAMVSNYSIEAPSSQLRRAQWVKHKLESSRAAKMALFFLTILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLTQTQVVLISVAILFMLFSVQRFGTDKVGYTFAPIISVWFLLIAGIGLYNLVVHEITILKAFNPWYIVQYFRRNGKKGWVSLGGVVLCVTGTEGMFADLGHFNIRAVQISFNCILFPSVALCYIGQAAYLRKFPENVSDTFYKSIPGKYRDRLNFGPLFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCLPRVRVIHTSKKYEGQVYIPEVNFMMGLASIIVTIAFRTTTSIGNAYGICVVTTFMVTTHLMTVVMLLIWKKHLVFILLFYCVFGFTEVVYLSSILSKFVDGGYLPFCFAMVLMTMMATWHYVHVRRYWYELDHIVPTAELASLLEENGGVRRVPGVGLLYTELVQGIPPLFPRLVRKIPSVHAVFVFISIKHLPIPHVAAAERFLFRQVGPRARRVFRCVARYGYTDALEEPREFAAFLVDGLKMFIQEESAFAPHQEMIDAAADDDDEAAARPRRSTSSAVHSEEAIQAASSGRTTASSVQLQAGGEPPAAMDVEEEKRLIDREVGRGVVYLMGEANVSAGPNSSILKRIAVNYIYTFLRKNLTEGHRALAIPNDQLLKVGITYEI >ORUFI04G12430.2 pep chromosome:OR_W1943:4:16126979:16132667:1 gene:ORUFI04G12430 transcript:ORUFI04G12430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALEVEGSGSPGVEPAATATASRLKRHDSLFGDAEKVSGGKHHGGSAVSWAVTLHLAFQSVGIIYGDIGTSPLYVYSSTFPDGIGHADDLVGVLSLILYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPNQQAEDAMVSNYSIEAPSSQLRRAQWVKHKLESSRAAKMALFFLTILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLTQTQVVLISVAILFMLFSVQRFGTDKVGYTFAPIISVWFLLIAGIGLYNLVVHEITILKAFNPWYIVQYFRRNGKKGWVSLGGVVLCVTGTEGMFADLGHFNIRAVQISFNCILFPSVALCYIGQAAYLRKFPENVSDTFYKSIPGKYRDRLNFGPLFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCLPRVRVIHTSKKYEGQVYIPEVNFMMGLASIIVTIAFRTTTSIGNAYGICVVTTFMVTTHLMTVVMLLIWKKHLVFILLFYCVFGFTEVVYLSSILSKFVDGGYLPFCFAMVLMTMMATWHYVHVRRYWYELDHIVPTAELASLLEENGGVRRVPGVGLLYTELVQGIPPLFPRLVRKIPSVHAVFVFISIKHLPIPHVAAAERFLFRQVGPRARRVFRCVARYGYTDALEEPREFAAFLVDGLKMFIQEESAFAPHQEMIDAAADDDDEAAARPRRSTSSAVHSEEAIQAASSGRTTASSVQLQAGGEPPAAMDVEEEKRLIDREVGRGVVYLMGEANVSAGPNSSILKRIAVNYIYTFLRKNLTEGHRALAIPNDQLLKVGITYEI >ORUFI04G12430.3 pep chromosome:OR_W1943:4:16127806:16132667:1 gene:ORUFI04G12430 transcript:ORUFI04G12430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALEVEGSGSPGVEPAATATASRLKRHDSLFGDAEKVSGGKHHGGSAVSWAVTLHLAFQSVGIIYGDIGTSPLYVYSSTFPDGIGHADDLVGVLSLILYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPNQQAEDAMVSNYSIEAPSSQLRRAQWVKHKLESSRAAKMALFFLTILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLTQTQVVLISVAILFMLFSVQRFGTDKVGYTFAPIISVWFLLIAGIGLYNLVVHEITILKAFNPWYIVQYFRRNGKKGWVSLGGVVLCVTGTEGMFADLGHFNIRAVQISFNCILFPSVALCYIGQAAYLRKFPENVSDTFYKSIPGKYRDRLNFGPLFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCLPRVRVIHTSKKYEGQVYIPEVNFMMGLASIIVTIAFRTTTSIGNAYGICVVTTFMVTTHLMTVVMLLIWKKHLVFILLFYCVFGFTEVVYLSSILSKFVDGGYLPFCFAMVLMTMMATWHYVHVRRYWYELDHIVPTAELASLLEENGGVRRVPGVGLLYTELVQGIPPLFPRLVRKIPSVHAVFVFISIKHLPIPHVAAAERFLFRQVGPRARRVFRCVARYGYTDALEEPREFAAFLVDGLKMFIQEESAFAPHQEMIDAAADDDDEAAARPRRSTSSAVHSEEAIQAASSGRTTASSVQLQAGGEPPAAMDVEEEKRLIDREVGRGVVYLMGEANVSAGPNSSILKRIAVNYIYTFLRKNLTEGHRALAIPNDQLLKVGITYEI >ORUFI04G12430.4 pep chromosome:OR_W1943:4:16126979:16133049:1 gene:ORUFI04G12430 transcript:ORUFI04G12430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALEVEGSGSPGVEPAATATASRLKRHDSLFGDAEKVSGGKHHGGSAVSWAVTLHLAFQSVGIIYGDIGTSPLYVYSSTFPDGIGHADDLVGVLSLILYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPNQQAEDAMVSNYSIEAPSSQLRRAQWVKHKLESSRAAKMALFFLTILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLTQTQVVLISVAILFMLFSVQRFGTDKVGYTFAPIISVWFLLIAGIGLYNLVVHEITILKAFNPWYIVQYFRRNGKKGWVSLGGVVLCVTGTEGMFADLGHFNIRAVQISFNCILFPSVALCYIGQAAYLRKFPENVSDTFYKSIPGKYRDRLNFGPLFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCLPRVRVIHTSKKYEGQVYIPEVNFMMGLASIIVTIAFRTTTSIGNAYGICVVTTFMVTTHLMTVVMLLIWKKHLVFILLFYCVFGFTEVVYLSSILSKFVDGGYLPFCFAMVLMTMMATWHYVHVRRYWYELDHIVPTAELASLLEENGGVRRVPGVGLLYTELVQGIPPLFPRLVRKIPSVHAVFVFISIKHLPIPHVAAAERFLFRQVGPRARRVFRCVARYGYTDALEEPREFAAFLVDGLKMFIQEESAFAPHQEMIDAAADDDDEAAARPRRSTSSAVHSEEAIQAASSGRTTASSVQLQAGGEPPAAMDVEEEKRLIDREVGRGVVYLMGEANVSAGPNSSILKRIAVNYIYTFLRKNLTEGHRALAIPNDQLLKVGITYEI >ORUFI04G12430.5 pep chromosome:OR_W1943:4:16127806:16133049:1 gene:ORUFI04G12430 transcript:ORUFI04G12430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALEVEGSGSPGVEPAATATASRLKRHDSLFGDAEKVSGGKHHGGSAVSWAVTLHLAFQSVGIIYGDIGTSPLYVYSSTFPDGIGHADDLVGVLSLILYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPNQQAEDAMVSNYSIEAPSSQLRRAQWVKHKLESSRAAKMALFFLTILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLTQTQVVLISVAILFMLFSVQRFGTDKVGYTFAPIISVWFLLIAGIGLYNLVVHEITILKAFNPWYIVQYFRRNGKKGWVSLGGVVLCVTGTEGMFADLGHFNIRAVQISFNCILFPSVALCYIGQAAYLRKFPENVSDTFYKSIPGKYRDRLNFGPLFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCLPRVRVIHTSKKYEGQVYIPEVNFMMGLASIIVTIAFRTTTSIGNAYGICVVTTFMVTTHLMTVVMLLIWKKHLVFILLFYCVFGFTEVVYLSSILSKFVDGGYLPFCFAMVLMTMMATWHYVHVRRYWYELDHIVPTAELASLLEENGGVRRVPGVGLLYTELVQGIPPLFPRLVRKIPSVHAVFVFISIKHLPIPHVAAAERFLFRQVGPRARRVFRCVARYGYTDALEEPREFAAFLVDGLKMFIQEESAFAPHQEMIDAAADDDDEAAARPRRSTSSAVHSEEAIQAASSGRTTASSVQLQAGGEPPAAMDVEEEKRLIDREVGRGVVYLMGEANVSAGPNSSILKRIAVNYIYTFLRKNLTEGHRALAIPNDQLLKVGITYEI >ORUFI04G12440.1 pep chromosome:OR_W1943:4:16129805:16130688:-1 gene:ORUFI04G12440 transcript:ORUFI04G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQHMKKTELAEQSYNRIRNGFICSYICVTFVRKWKRTTPPRDTQPFLPFLLKYCTMYHGLKALRMVISWTTRLYSPMPAMRRNHTEMIGAKV >ORUFI04G12440.2 pep chromosome:OR_W1943:4:16129805:16130180:-1 gene:ORUFI04G12440 transcript:ORUFI04G12440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTTPPRDTQPFLPFLLKYCTMYHGLKALRMVISWTTRLYSPMPAMRRNHTEMIGAKV >ORUFI04G12450.1 pep chromosome:OR_W1943:4:16133900:16137305:-1 gene:ORUFI04G12450 transcript:ORUFI04G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDIAGDGEPSSPPPLPVPDGLDDNGFPLPSSPTAAAAATSFADDFYRSGTDWSSLLAAPSPRPPSSEESGKNKSGGSLVQRSLFQAWGIDRRPRREEEGGAGAGAGAGASSAPSGSWPGRKRRRGGEVEAAADRKPLACPFYKKIPGTPFTVDAFRYGAVEGCNAYFLSHFHHDHYGGLTKKWCHGPIYCTALTARLVKMCLSVNPEYICPLELDKEYVIEGVSVTLLEANHCPGAALIHFCHGDGKTYLHTGDFRASKSMQLHPLLQRGQINLLYLDTTYCNPKYKFPPKEDVIDFAVRTAKRYLQKEPKTLIVVGAYSIGKENVYLAISKALQVPIYTDASRRHILHSFGWSDLSKMICSDSQSSSLHVLPLSSLRHEKYLETLKQRFLAVLAFRPTGWTFSEATGNQLDLIKPSSRGKITIYGVPYSEHSSFSELREFVMFLRPQKVIPTVNVGNAASRDKMQAHFREWLKGFDTTIVPPVPKSEEQPRSSVKVKIVN >ORUFI04G12450.2 pep chromosome:OR_W1943:4:16133900:16137305:-1 gene:ORUFI04G12450 transcript:ORUFI04G12450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDIAGDGEPSSPPPLPVPDGLDDNGFPLPSSPTAAAAATSFADDFYRSGTDWSSLLAAPSPRPPSSEESGKNKSGGSLVQRSLFQAWGIDRRPRREEEGGAGAGAGAGASSAPSGSWPGRKRRRGGEVEAAADRKPLACPFYKKIPGTPFTVDAFRYGAVEGCNAYFLSHFHHDHYGGLTKKWCHGPIYCTALTARLVKMCLSVNPEYICPLELDKEYVIEGVSVTLLEANHCPGAALIHFCHGDGKTYLHTGDFRASKSMQLHPLLQRGQINLLYLDTTYCNPKYKFPPKEDVIDFAVRTAKRYLQKEPKTLIVVGAYSIGKENVYLAISKALQVPIYTDASRRHILHSFGWSDLSKMICSDSQSSSLHVLPLSSLRHEKYLETLKQRFLAVLAFRPTGVPYSEHSSFSELREFVMFLRPQKVIPTVNVGNAASRDKMQAHFREWLKGFDTTIVPPVPKSEEQPRSSVKVKIVN >ORUFI04G12460.1 pep chromosome:OR_W1943:4:16140146:16142859:-1 gene:ORUFI04G12460 transcript:ORUFI04G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRINATLSSKRYLLVVENLYEPIKPSTFTNTFGLPPAHRWSGSEWVVSATSREVCSKSKSEDDEVYESFSDDDDIMVLIISALHQSAKDISKAVGQEDDVEHWHRVALRCFHYALLLFPQRHEPPNADKNNGVNVTKEELIRHWAAQGFLTASNSPRAAQENMIHNMGITHHDDVYQVGNIILQTFQDYSLLKLPFSPTTETDEPTETAAHFLAYHCLIVEHHTQDRIFHEWLRNKIGWIKLDCKQGMEDRGWHVSRNWLSKEEPNGLTSLILRGCSNKSNWFTELDHVLPKLPSLLVLDLSYTPLESVPHSVWCLPNIQFLSLRGCYNLTNLSSSSSSERTASQEDSNTKINLLYLDLSYSGINSFQCVLFQNMPLLQELVLVKCDNLLELPTSVTTLSSLIKLEVSGTQIKYFPKNMFKDMQSLQSIKLTDNRKLMDEKLEHVELRDLGALEELDLSATAIKELPAEIPNLPQLRQLLLMGVSSLSRFPWHKLQRFPDMFCLDCCAQGNGNNYDDQVANIKKNIAHVCIEDSRLFYSFNINTRRLVEYGEFFQDFYVQIAPCKANIRRLEDEQDMLADKLTELANKKSPYGDVYHHYMAEEFSVTFIAPPNGQTKRHVEISAMNRYPHGLYSLLKVATSISLTDDIYVSCLTDLSDLDKLEDCKLRSCHHMKHVFENAYYVGQSLRNVRVSQLQSLIHFYKPLVYNSARNFDSLKHLHLEYCPRLERIMPRESALPSLKTLDILFCYNLKTIFYRHPREQPINYQLPSLERMRLQELPLLQHLRDDVNAAISAPAWKELHVRGCWSLRRLPLLSREHSSQVVEVSGERAWWRKLIWDDGASSTMHSASYKSKLPLPFASFNERAPVMSYLR >ORUFI04G12470.1 pep chromosome:OR_W1943:4:16142876:16146531:-1 gene:ORUFI04G12470 transcript:ORUFI04G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQKPIRTPSFTRAISRLALDAFPRKKRFDQVIKVSLINPEEKRGGEGSSSFVMRWECQLLAAVAQELGLLDQEYHRHKQRMEEAHYFSWGDQNGYDDDKY >ORUFI04G12480.1 pep chromosome:OR_W1943:4:16153389:16156380:-1 gene:ORUFI04G12480 transcript:ORUFI04G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRALLPLLLLSALLVQIRASDPLFYEPFDESFEGRWVLSGKDDYKGVWKHEKSDGHEDYGLLVSEKAKKYAIIKELDEPVTLKDGTVVLQFEVRLQNGLECGGAYLKYIRPQDAAWDAKEFDNETPYTIMFGPDKCGSTNKVHFILKHKNPKTGKYVEHHLKFPPSVPYDKLSHVYTAILKPDNEVRILVDGEEKKKANFLSADDFEPSLIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPDDWDEDAPMEIEDEEATKPEGWLDDEPEEIDDPEANKPEDWDDEEDGEWEAPKIDNPKCEEAPGCGEWKRPMKQNPAYKGKWHAPLIDNPNYKGIWKPQEIPNPEYFELDKPDSDPIAAIGIEIWTMQDGILFDNILIADDEKVATSILEKTWKPKYEVEKEKEKAEEAAAAAGDGLSEFQKKIFDVLYKLADIPFLEPYKTKIIDVIEKGEKQPNITIGILVSIVVVFVTVLFRILFGGKKPAAPVKPVAEVKKPKSTESEAAGSSGGKEDEKEEEEKDGAAAPRRRSRRET >ORUFI04G12490.1 pep chromosome:OR_W1943:4:16160764:16169100:-1 gene:ORUFI04G12490 transcript:ORUFI04G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGAVPAAAPVWKGKVKSVPSGDTVVIMDTSKAEEVIPPPEMSVTLSCIIAPSLARRGGMDEPFAWESREYLRRLLIGQDVRFRVEYTASPSGRKFGMVFFAEKNVACMVVAAGLAKVKEQGQKGEISPYVAELLRLETIARDQGLGRWSKLPGALESSIRDLPPSTIGDGRSFDAKGFVAENKGKSLEAIVEHVRDGSTIRVHLIPSFLYVQVYVAGVQAPSMGRRATPPPNAQAGVGNGAANGEASATPAPMAAAQKLLASADIYSEVPPDRFGQEAKHFTETRVLNREVRIVMEGTDNFNNIFGSVYYSDGDVVKDLALDLVQNGLAKYVEWSANVLDPQLKTKLRNADLQVKKEQLRIWTGFKPPVTNTKPIHNQKFTGKVIEVVNGYCLVIADDAEPYGSPSAERRVNLSSIRPPKFEKPSEENKSSEQFARTAKEFLRTRLIGKQVNVSMEYSRRINIADGQIAGPRTNSTETRVLEYGSVFLPSSSHADGETATSSSDSSNNQLGINVAALLVSRGLADITRHRDYEDRSHHYDALIAAHARAEKTKKGYHSKKDRRHSAIVEYVFSGHRFKVTIPKETCTIAFALSGVRCPGRDEPYSDEAITMMRRRILQRNVEIEINTVDRTGTFLGSLWESNINVASVLLEAGLAKISSFAVDKMPDAQVLLKTEKIAKQKKLKVWENYEEVEVSNVSLYDNKETLKVIVTEVLGAGMFYVQALADEHVEFVRHQLASLDIKDDPAEALEVKELETSKEVATLTKDLPETLDAEDPSSDVAKDESVTSKDIDPLPDDSNTAPFTPMKGEMVLALFRCDNSWNRAMIIGECQGVEGPEFEVFYIDYGNQELVPHSCLRPINLSISSIPPLAKLCSLAFVKVPSLNDYLGQEAAMYLNSILLDNGREFEAIVEERDAASGGKLQGQGTGEILGVTLLDSETDNSINAEMLERGYGQLERRRWDSRERRAAIKKLEEFQEVARKEQLGVWCPKNARKQGMDENEYPVLARAPPPPKKGYDLIKFIASRSD >ORUFI04G12500.1 pep chromosome:OR_W1943:4:16169122:16169861:-1 gene:ORUFI04G12500 transcript:ORUFI04G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRKKNQGSEPRTKVIKTIQGTDVIKTHTSANEAADLWTLDTTPTSSPTAPHNRNLLTNRAYTPKTLDDSTRHSRDPPTSHLVRPGA >ORUFI04G12510.1 pep chromosome:OR_W1943:4:16172758:16177403:1 gene:ORUFI04G12510 transcript:ORUFI04G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHMFPYDGASSSSTSLSSQKSETDDDRMIAMVLSEEYAKLDGAMAKRLSNLTSIPHVPRINTYFPTYSDATMDHHRLLDRLNVYGLYEVRVSGDGNCQFRALSDQLYRSPDYHKHVRKEIVKQLKACNSLYEGYVPMKYKHYCKKMKKSGEWGDHVTLQAAADKFAAKICLLTSFRDTCFVEIVPQYQAPQRDLPSKYKPRKKHWLLF >ORUFI04G12520.1 pep chromosome:OR_W1943:4:16202382:16202582:1 gene:ORUFI04G12520 transcript:ORUFI04G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSEASHGVAGSGVGGRRGGRIRHQQQTGVAGSGVGSRRGGRIRRQHLFPRRIRVDSGNGTAAA >ORUFI04G12530.1 pep chromosome:OR_W1943:4:16222417:16222923:1 gene:ORUFI04G12530 transcript:ORUFI04G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALGDGERKRHGEAALPDPESAARIWREGCPEPAAARAGAAGRRGGGVRLCRAGEGEAVAWPYGGKGGMAWPCGDGGAAVRKRRRRR >ORUFI04G12540.1 pep chromosome:OR_W1943:4:16233584:16235801:1 gene:ORUFI04G12540 transcript:ORUFI04G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYLSHASFPHDLYGLRALEGATAAGSLFLDDHGGCAPATPAAAAAGIGHTVLSDLPRSELTCNDNNGAGYGFVPRKRARLDADESAGALMAAAAAQQQRMVLPPHGLVFPGDVQSRAVGCGAASTSGRAGNAAGLSQGLLSQLYHQGVEIDALVRLESERMRAGLEEARRRHVRAVVSTVERAAAGRLRAAEAELERARCRNMELEERLRQMTAEGQAWLSVAKSHEAVAAGLRATLDQLLQSPCAALAVAGAAGAGGAEGDAEDAQSCCYETPCGGDNAGADDAASKTPAAALCKACGAGEASMLLLPCRHLCLCRGCEAAVDACPVCAATKNASLHVLLS >ORUFI04G12550.1 pep chromosome:OR_W1943:4:16244754:16248908:1 gene:ORUFI04G12550 transcript:ORUFI04G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMVSDENFEEQAARNGGIIKHGREILFQAFNWESHKHNWWSNLEEKVVDLAQSGFTSAWLPPPTQSLSPEGYLPQNLYCLDSCYGSLHDLQALLRKMKEHNVRAMADVVINHRVGTTQGSNGMYNRYDGIPVSWDEHAVTSCSGGKGNESTGDNFDGVPNIDHTQPFVRKDIIDWLIWLRESIGFQDFRFDFTKGYAAKFVKEYIEQSKPLFAVGEYWDSCEYSPPDYRLNYNQDKHRQRIINWMDSTGGLCAAFDFTTKGILQEAVKGELWRLRDPEGKPPGVMGWWPSRSVTFVENHDTGSTQGHWPFPSDHIMEGYAYILTHPGIPTVFYDHFYGKDDSFHGGIAKLMEIRKCQDIHSRSAVKILEASSDLYSAIVDDKLCMKIGDGSWCPSGPEWKLAASGDRYAVWHK >ORUFI04G12560.1 pep chromosome:OR_W1943:4:16249300:16254908:1 gene:ORUFI04G12560 transcript:ORUFI04G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPPPENRHDDHHPSTSGGGLGYFHLRLLCPAAPLLLLLRSDRLYSLSLSRRRGPRLRLLASPRRRHGRRRRALLLSTSGCALRLTHRSSSADAVRVNGRPLLRGGCPADLAVGDEVSLLRRGTRYGFLVEKFVSCERPKLAAAEPCGEVLVLRAESLRKRLRAISESHDPLSFLRDSHCVENGSDDVRVKKAREEDVFLPLNLNAPIDPVAVEGLLREDCNLGQGKLEHCTDSAIAKNETDELIQRSKGSCDGNTEQKEYSNENTEQQHNENEGCYSDGSTFFLNRLIGIGSDMRVEQRSGVTLPQLLHPMDSLERVFIATFTSDVPQNLPVTIACHNKERCWSASRESRTAAPFGSYPNLLLVYPQFPEEIAFGKDRKKQGVACHHPKLLVLQRKDSMRVIVTSANLVPRQWHLITNTVWWQDFPCRTSTDYSALFSKVEESKSDFATQLVSFIAFLINEVPSQSYWINEIAKYNFEGAAGYLIASVPGIYARNPHYLESNYCLSRKQILHTKSAHRMFLGSVQTSVVGLSHRFHIPSDAGSKLKALSVLLSKCHVNMHGTTEVILKRNTNIPADANAVSVLVADLDKFTEEDSVHLGFLPREVAKWVSPLSDLGFFTFSGFIYPREALEAAYGATNTKVQLLLYVSKGPEFSQISRLIQDEHFPLLCSLVASLKRSLGLWRLEEVLSHFKWPETLETDFFYSASSIGTSINPQFIASFASAAGKRCNQDLDSEESDPEWGCWTANHELKKPSINLLFPTIDRVKNGACGIQLSRHLLSLPERTWQRLRSTGIFRDAIPHPYERIGHPMHVKVAQRRFESRLGRHSFGWTYCGSHNFSPAAWGQQLPPPKANPTEARAISSGPRLHICNYELGIILIIPPSAMSKQISGRRHEINDIVLPFVVPPPQYKLGDRPATSLAMREAMAEARILQSNDLVLDLSQDTDEDIPDEDDEHVIELSDCSPEEKEEEKIYAETLWEQVDSSQSQGKDQAGQ >ORUFI04G12570.1 pep chromosome:OR_W1943:4:16254279:16254561:-1 gene:ORUFI04G12570 transcript:ORUFI04G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIFIRILASAMASRMANDVAGLSPSLYCGGGTTNGRTMSLISCLLPLICFDMADGGIMRMMPSS >ORUFI04G12580.1 pep chromosome:OR_W1943:4:16255021:16264827:1 gene:ORUFI04G12580 transcript:ORUFI04G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPLLARPPAPSSPSTYPSLPPRRCAPAVASAALRVAPATASRAPFSRLVTKRNFAASDIREDYSTPIDVVADIVVLGGSGFVGSAICKAAVSKGIEVVSLSRSGRPSYSDPWVDQVTWLAGDVFYARWDEVLVGATAVVSTLGGFGNEEQMKRINGEANVTAVDAAKEFGIPKFILISVHDYNLPSFLLNSGYFTGKRKAESEVLSKYPTSGVVLRPGFIYGKRKVDGFEIPLDVVGQPLEKLLSSVENFTKPLSSLPASDLLLAPPVSVDDVAYAVINGVIDDSFFGVFTIEQIKEAAAKVRV >ORUFI04G12590.1 pep chromosome:OR_W1943:4:16259465:16259734:-1 gene:ORUFI04G12590 transcript:ORUFI04G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFIHEDYVNKRNEVRREQRRKQLQMEQALAGVSPPAPEPRESPRVPAQCLTLTGGPSTTVGSPTASATAAEAAETVGHRLFDCLKPY >ORUFI04G12600.1 pep chromosome:OR_W1943:4:16260942:16262900:-1 gene:ORUFI04G12600 transcript:ORUFI04G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEELFDKSSNDHSISSEEEDMLVRSYSNLNVSFGYHCNSYQCFSLDTDEYDISPNKRLETNTMMTSQNGSFTCLSGAAISANFTLANTNICKGLIGEEILPELDSPNSFRKIVSSPSMSRLDLLSTSQGSPVSTESSIFEISKNIWRSSAPTTVSSNFLTSTEIKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLECRIKQENGLYGSPEGSLNGVKSELTLAMRFAENEDVKFSETFRAGVLKCLTTAVEQAENDFLCMVEQEMDDRPDLVSVGSCVLVVLLHGTDLCILNLGDSRAVLASVPSSGMDKLKAVQLTEIHSLENPLEYQKLLADHPNEPSVVMGNKIKGKLKVTRAFGVGYLKQKKLNDALMGILRVRNLCSPPYVYTNPHTVSHKVTEDDLFVVLGSDGLFDFFSNDEVVQLVYQFMHDNPIGDPAKYLIEQLLLKAAKEAALTAEELMRIPVGSRRKYHDDVTIIVIILGNAQRTMTASTSL >ORUFI04G12610.1 pep chromosome:OR_W1943:4:16278644:16279076:1 gene:ORUFI04G12610 transcript:ORUFI04G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSMQALSIMSCTYIVKTFSCEPVKVKPCMKVKVKDRRAKLPVRSPKFTARVD >ORUFI04G12620.1 pep chromosome:OR_W1943:4:16286184:16286513:-1 gene:ORUFI04G12620 transcript:ORUFI04G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYVDTAGEEGRFHGHGHHSSGTTPTGAAASPKMRRSWSSAASASSGGGGHGSGPKCVCAPATHAGSFKCRLHRSSSHGHPSSSPTAAAAPAAAAVQSSSSRTVAAQ >ORUFI04G12630.1 pep chromosome:OR_W1943:4:16300194:16301456:-1 gene:ORUFI04G12630 transcript:ORUFI04G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTFAVVAAVALVALCGGGGARAQDMDNEWARNRGFYGGTGGGVGGGLLPQSDVDLLEFPLNLEYLEAEFFCWSALGYGLDGIDASLTGGGPAPVGAQTAALTPFVRDIATQFCYQEVGHLRAIKQNVKGFPRPLLDISAANFGKIVETAMNTTLDPPFNPYENSLNFLLASYIIPYVGLTGYVGANPRLLTPQARKLVAGLLGVESAQDAVIRALLYEHGLSRVASYGVGVAELTAHISELRNVLGRKGVKDEGLVVAPGQGPEGQTVGNIIAGDRFSLAYDRTPEEILGVVYGSGDPAKAGGVFPPGADGRIARALGRPMEEKGHRYIGTYITHVLASCRLSIHLTCVSVCVLL >ORUFI04G12640.1 pep chromosome:OR_W1943:4:16307800:16308183:1 gene:ORUFI04G12640 transcript:ORUFI04G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELIASRISQVAACAGKGGHLLLAYDDSATARFGEDTRGRTHSHSRTQHRECPTRVHVLIRPTARAPSSPHALVPTVRPITVRSWYTRDRAGKPFVPWVFFSLESHTGWVHTVCAARCHGFRGAP >ORUFI04G12650.1 pep chromosome:OR_W1943:4:16309099:16309341:-1 gene:ORUFI04G12650 transcript:ORUFI04G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPCVKEGQQHGGRERKGGRGGRAVVKKTSPRLEGGHERVVRCGARVVEDPVGGEACIGRRDGWIRGRALLREKIKLR >ORUFI04G12660.1 pep chromosome:OR_W1943:4:16312673:16318124:1 gene:ORUFI04G12660 transcript:ORUFI04G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLHIYASCGCARARAAIPLPAQAQASAAALPWVMSVTSPPLLRRGGWAMGASDHAAVAAAASGNGNPRARRPSAAAAAVLGEPVAASDDHGLVHPSADFASQALVSSTQQYQEMYRRSIDDPAGFWSEIAETFYWKHKWNPDEVCTENLDVTKGPIKIEWFKGGKTNICYNAVDRNVEAGDGEKIAMYWEGNEPGQDAKLTYSELLNKVCQLANYLKSVGVGKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADALAQRIIDCKPKVVITCNAVKRGKKLIALKDIVDVSLADSAKNGVDVGISLTYENQSAMKREDTKWTSGRDVWWQDVVPNFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKHAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVLVYEGAPNYPDPGRCWDVVDKYGVTIFYTAPTLIRALMRDGTEYVTRYSRKSLRVLGSVGEPINPTAWRWFYDIIGDARCPISDTWWQTETGGFMITPLPGAWPLKPGSATFPFFGVQPVIVDEKGKEMEGECSGYLCIKKSWPGAFRTLYGDKDRYETTYFKPFAGYYFSGDGCSRDKDGYHFLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGVPYSDELRKSLVMTVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLAEPGVVDQLIALADS >ORUFI04G12660.2 pep chromosome:OR_W1943:4:16312734:16318124:1 gene:ORUFI04G12660 transcript:ORUFI04G12660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLHIYASCGCARARAAIPLPAQAQASAAALPWVMSVTSPPLLRRGGWAMGASDHAAVAAAASGNGNPRARRPSAAAAAVLGEPVAASDDHGLVHPSADFASQALVSSTQQYQEMYRRSIDDPAGFWSEIAETFYWKHKWNPDEVCTENLDVTKGPIKIEWFKGGKTNICYNAVDRNVEAGDGEKIAMYWEGNEPGQDAKLTYSELLNKVCQLANYLKSVGVGKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADALAQRIIDCKPKVVITCNAVKRGKKLIALKDIVDVSLADSAKNGVDVGISLTYENQSAMKREDTKWTSGRDVWWQDVVPNFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKHAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVLVYEGAPNYPDPGRCWDVVDKYGVTIFYTAPTLIRALMRDGTEYVTRYSRKSLRVLGSVGEPINPTAWRWFYDIIGDARCPISDTWWQTETGGFMITPLPGAWPLKPGSATFPFFGVQPVIVDEKGKEMEGECSGYLCIKKSWPGAFRTLYGDKDRYETTYFKPFAGYYFSGDGCSRDKDGYHFLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGVPYSDELRKSLVMTVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLAEPGVVDQLIALADS >ORUFI04G12670.1 pep chromosome:OR_W1943:4:16318711:16326916:-1 gene:ORUFI04G12670 transcript:ORUFI04G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSYELVRTDDAAGHVLDLEAGRCAAVYPKGGGGGGGIAPPPVPSPPSSPASARQRLVSLDVFRGITVLLMILVDDAGAFLPAINHSPWDGVTLADFVMPFFLFIVGVALALAYKRVPNKLEATRKAILRALKLFCVGLVLQGGFFHGVRSLTFGIDMEKIRLMGILQRIAIAYIVTALCEIWLKGDDDVDSGFDLLKRNRYQLFIGLIVMITYMGFLYGTYVPDWEYRISVPGSTEKSFFVKCSVRGDTGPGCNAVGMIDRKILGIQHLYCRPVYARSKQCSINSPQNGPLRPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQYGHKHKERIMKWLIPSFSMLILAFSLDFFGMHMNKPLYTVSYALATAGAAGLLFAGIYALVDMYGHRRPTAVMEWMGTHALMIYVLIACNILPIFIHGFYWREPKNNLSY >ORUFI04G12680.1 pep chromosome:OR_W1943:4:16329446:16335623:1 gene:ORUFI04G12680 transcript:ORUFI04G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACCCSSSSVPSASILATGAGLRRRFSPAGAGGGGRAVAVAAGRPIRASAALLAAPAPRRRGGVVVRAVFERFTERAVKAVVFSQREARGMGDETVAPHHLLLGLVAEDRSPLGFLASGVRVERAREACRAAVGKEGLAQAPVGLATDVPFSGASKRVFEAAVEFSRNMGCNFISPEHIALGLFNLNDPTTNNVLKSLGVDSSQLAKQALTRVQGELAKDGREPVGLSSFKVREKFTPGGGKSAIGKRILSLDVALLMAGAKERGELEARVTSLIREVRKAGDVILFIDEVHTLIGSGIAGRGSKGAGLDIANLLKPALARGELQCIASTTLDEHRLHFDKDKALARRFQPVLVNEPSQEDAVKILLGLREKYETYHKCKYTLESINAAVYLSARYIADRHLPDKAIDLIDEAGSRARMESFKRKKEEQCSILSKSPDEYWQEIRAVQNMHEVALTNKVKYSLNQNDQEDAVDIELVGEDKTSPASMLSTSTDKPSLVGSEEIARVTSLWSGIPVQQLTADERKLLVGLDDELRKRVIGQDDAVLAISKAVKRSRVGLNDPDRPIATLIFCGPTGVGKTELTKALAASYFGSESATVRLDMSEYMERHAVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLTDSQGRRVSFKNTLIVMTSNVGSTSISNGKRSIGFQTQTDTEEKSYAAMKSLVMEELKAFFRPELLNRIDEVVVFHPLEKTQACCFYRYCTCILPCTFVNTMLAILNIMLQEVKGRILALGIGLEVSDSMKDLISQHGYDKSYGARPLRRAVTQLVEDVISEAILSGQFKPGDTIMMDTDATGKPCLSRLNDQTVQLSDPTPTL >ORUFI04G12680.2 pep chromosome:OR_W1943:4:16329446:16335623:1 gene:ORUFI04G12680 transcript:ORUFI04G12680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEACCCSSSSVPSASILATGAGLRRRFSPAGAGGGGRAVAVAAGRPIRASAALLAAPAPRRRGGVVVRAVFERFTERAVKAVVFSQREARGMGDETVAPHHLLLGLVAEDRSPLGFLASGVRVERAREACRAAVGKEGLAQAPVGLATDVPFSGASKRVFEAAVEFSRNMGCNFISPEHIALGLFNLNDPTTNNVLKSLGVDSSQLAKQALTRVQGELAKDGREPVGLSSFKVREKFTPGGGKSAIGKRILSLDVALLMAGAKERGELEARVTSLIREVRKAGDVILFIDEVHTLIGSGIAGRGSKGAGLDIANLLKPALARGELQCIASTTLDEHRLHFDKDKALARRFQPVLVNEPSQEDAVKILLGLREKYETYHKCKYTLESINAAVYLSARYIADRHLPDKAIDLIDEAGSRARMESFKRKKEEQCSILSKSPDEYWQEIRAVQNMHEVALTNKVKYSLNQNDQEDAVDIELVGEDKTSPASMLSTSTDKPSLVGSEEIARVTSLWSGIPVQQLTADERKLLVGLDDELRKRVIGQDDAVLAISKAVKRSRVGLNDPDRPIATLIFCGPTGVGKTELTKALAASYFGSESATVRLDMSEYMERHAVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLTDSQGRRVSFKNTLIVMTSNVGSTSISNGKRSIGFQTQTDTEEKSYAAMKSLVMEELKAFFRPELLNRIDEVVMLAILNIMLQEVKGRILALGIGLEVSDSMKDLISQHGYDKSYGARPLRRAVTQLVEDVISEAILSGQFKPGDTIMMDTDATGKPCLSRLNDQTVQLSDPTPTL >ORUFI04G12690.1 pep chromosome:OR_W1943:4:16334926:16337799:-1 gene:ORUFI04G12690 transcript:ORUFI04G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMGQQKRRPLVVMASAQAAARGGANPLAELTDRVKTLEAGLRAWLAKQPTHVEAAVATAVGAVQGGALGGLMGTLAPDGGSPFPVPQPPPGADPNALASFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGVEDVQGSMAAAFGSGALFSIVSGMGSPNPVANAITTGVAFAVFQGGFFMIGQKFSQPPSEDIYYSRGRNMLKQLGLQNYEKNFKKGLLTDQTLPLLNDSALRDVKIPPGPRLLILDHIQSSQVM >ORUFI04G12690.2 pep chromosome:OR_W1943:4:16335401:16337799:-1 gene:ORUFI04G12690 transcript:ORUFI04G12690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMGQQKRRPLVVMASAQAAARGGANPLAELTDRVKTLEAGLRAWLAKQPTHVEAAVATAVGAVQGGALGGLMGTLAPDGGSPFPVPQPPPGADPNALASFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGVEDVQGSMAAAFGSGALFSIVSGMGSPNPVANAITTGVAFAVFQGGFFMIGQKFSQPPSEDIYYSRGRNMLKQLGLQNYEKNFKKGLLTDQTLPLLNDSALRDVKIPPGPRLLILDHIQRDPELTKST >ORUFI04G12700.1 pep chromosome:OR_W1943:4:16344618:16345556:-1 gene:ORUFI04G12700 transcript:ORUFI04G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSYGDVHESARKLVGKVALITGGASGIGECTARLFVKHGAQVVVADIQDEAGARLCAELGSATASYVRCDVTSEDDVAAAVDHAVARYGKLDVMFNNAGIGGAACHSILESTKADFDRVLAVNLTGPFLGTKHAARVMVAAGRGGCIIGTASLASAVAGTASHAYTCAKRALVGLTENAAAELGRHGIRVNCVSPAAAATPLATGYVGLEGEAFEAAMEAVANLKGVRLRVEDIAAAVLFLASDDARYVSGHNLLIDGGCSIVNPSFGIFKD >ORUFI04G12710.1 pep chromosome:OR_W1943:4:16352118:16356807:-1 gene:ORUFI04G12710 transcript:ORUFI04G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVGETVAAAAVAVAPVVAGDQDGVAYCSEHPYPPGAAAAAGVAAGGGICAFCLQEKLGMLVSSSKSSPFHPPPASASSSTPTSHVAAESSSSSLPLHPSAAAAARKVIPASAAGGLKRSKSVAPRPEETTPVTAPAPSAVTADSPRKKSFWSFLYSSSSSSSGGHQGSASMANGGGGGGASVRRKSVSVASASSASLGRRLEAIVEPDSPGRRSEGSSSSSFGRKVARSRSVGCGSRSFSGDFLERLSTGFGDCALRRVESHREPKPKSSAGALAHLGGNHSAAGNGDDDEYESTQQHRIKCAGFFGGLGAAPPPTSSSYWLSAADGATAAAPSARTHGARSHRSWAWALASPMRALRPTSSSSSKSIMAAPHNRGGVSGNGGMAMAALACPQLAQAQAHGDGGGASGQLRLRATCIVGYGWGFSVAAGHNEDSKQCAIENRPQVQLLVVCVTAQPRLCCPPAMVAGREALACLPIAQAIMMAWRLIFFSLQLCKITDKFIRLYIKIIQYPTAPAHVIPSLVPKDSYGFFILSYGMCAGYILMSVMMSLQEI >ORUFI04G12710.2 pep chromosome:OR_W1943:4:16352118:16356807:-1 gene:ORUFI04G12710 transcript:ORUFI04G12710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVGETVAAAAVAVAPVVAGDQDGVAYCSEHPYPPGAAAAAGVAAGGGICAFCLQEKLGMLVSSSKSSPFHPPPASASSSTPTSHVAAESSSSSLPLHPSAAAAARKVIPASAAGGLKRSKSVAPRPEETTPVTAPAPSAVTADSPRKKSFWSFLYSSSSSSSGGHQGSASMANGGGGGGASVRRKSVSVASASSASLGRRLEAIVEPDSPGRRSEGSSSSSFGRKVARSRSVGCGSRSFSGDFLERLSTGFGDCALRRVESHREPKPKSSAGALAHLGGNHSAAGNGDDDEYESTQQHRIKCAGFFGGLGAAPPPTSSSYWLSAADGATAAAPSARTHGARSHRSWAWALASPMRALRPTSSSSSKSIMAAPHNRGGVSGNGGMAMAALACPQLAQAQAHGDGGGASGQLRLRATCIVGYGWGFSVAAGHNEDSKQCAIENRPQVQVMRIAADELRRQGIAHKLKFYVLQQFNDESICASYAAIGCLCDCSTSALLSSGYGGRKGSTCLSSYSSSSYLELCKITDKFIRLYIKIIQYPTAPAHVIPSLVPKDSYGFFILSYGMCAGYILMSVMMSLQEI >ORUFI04G12710.3 pep chromosome:OR_W1943:4:16352118:16356807:-1 gene:ORUFI04G12710 transcript:ORUFI04G12710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVGETVAAAAVAVAPVVAGDQDGVAYCSEHPYPPGAAAAAGVAAGGGICAFCLQEKLGMLVSSSKSSPFHPPPASASSSTPTSHVAAESSSSSLPLHPSAAAAARKVIPASAAGGLKRSKSVAPRPEETTPVTAPAPSAVTADSPRKKSFWSFLYSSSSSSSGGHQGSASMANGGGGGGASVRRKSVSVASASSASLGRRLEAIVEPDSPGRRSEGSSSSSFGRKVARSRSVGCGSRSFSGDFLERLSTGFGDCALRRVESHREPKPKSSAGALAHLGGNHSAAGNGDDDEYESTQQHRIKCAGFFGGLGAAPPPTSSSYWLSAADGATAAAPSARTHGARSHRSWAWALASPMRALRPTSSSSSKSIMAAPHNRGGVSGNGGMAMAALACPQLAQAQAHGDGGGASGQLRLRATCIVGYGWGFSVAAGHNEDSKQCAIENRPQVQLLVVCVTAQPRLCCPPAMVAGREALACLPIAQVVSKCDLCAGCGSAIMMAWRLIFFSLQLCKITDKFIRLYIKIIQYPTAPAHVIPSLVPKDSYGFFILSYGMCAGYILMSVMMSLQEI >ORUFI04G12710.4 pep chromosome:OR_W1943:4:16352118:16356807:-1 gene:ORUFI04G12710 transcript:ORUFI04G12710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVGETVAAAAVAVAPVVAGDQDGVAYCSEHPYPPGAAAAAGVAAGGGICAFCLQEKLGMLVSSSKSSPFHPPPASASSSTPTSHVAAESSSSSLPLHPSAAAAARKVIPASAAGGLKRSKSVAPRPEETTPVTAPAPSAVTADSPRKKSFWSFLYSSSSSSSGGHQGSASMANGGGGGGASVRRKSVSVASASSASLGRRLEAIVEPDSPGRRSEGSSSSSFGRKVARSRSVGCGSRSFSGDFLERLSTGFGDCALRRVESHREPKPKSSAGALAHLGGNHSAAGNGDDDEYESTQQHRIKCAGFFGGLGAAPPPTSSSYWLSAADGATAAAPSARTHGARSHRSWAWALASPMRALRPTSSSSSKSIMAAPHNRGGVSGNGGMAMAALACPQLAQAQAHGDGGGASGQLRLRATCIVGYGWGFSVAAGHNEDSKQCAIENRPQVQVMRIAADELRRQGIAHKLKFYVLQQFNDESICASYAAIGCLCDCSTSALLSSGYGGRKGSTCLSSYSSRLYIKIIQYPTAPAHVIPSLVPKDSYGFFILSYGMCAGYILMSVMMSLQEI >ORUFI04G12710.5 pep chromosome:OR_W1943:4:16352118:16356807:-1 gene:ORUFI04G12710 transcript:ORUFI04G12710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVGETVAAAAVAVAPVVAGDQDGVAYCSEHPYPPGAAAAAGVAAGGGICAFCLQEKLGMLVSSSKSSPFHPPPASASSSTPTSHVAAESSSSSLPLHPSAAAAARKVIPASAAGGLKRSKSVAPRPEETTPVTAPAPSAVTADSPRKKSFWSFLYSSSSSSSGGHQGSASMANGGGGGGASVRRKSVSVASASSASLGRRLEAIVEPDSPGRRSEGSSSSSFGRKVARSRSVGCGSRSFSGDFLERLSTGFGDCALRRVESHREPKPKSSAGALAHLGGNHSAAGNGDDDEYESTQQHRIKCAGFFGGLGAAPPPTSSSYWLSAADGATAAAPSARTHGARSHRSWAWALASPMRALRPTSSSSSKSIMAAPHNRGGVSGNGGMAMAALACPQLAQAQAHGDGGGASGQLRLRATCIVGYGWGFSVAAGHNEDSKQCAIENRPQVQLCKITDKFIRLYIKIIQYPTAPAHVIPSLVPKDSYGFFILSYGMCAGYILMSVMMSLQEI >ORUFI04G12720.1 pep chromosome:OR_W1943:4:16360136:16365756:-1 gene:ORUFI04G12720 transcript:ORUFI04G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVVSPPPPSTVQLRGGGGTPGPSSSSAVCRFWGSRRGSRVAATSSWGWGKSRRRRRAAISCCSAEEGEGPRVATPSAPPAPSEGSIQLYSQIERVITEAAKQSREGWGSTGDWTEIEGAWVLKPKSQEPSFVVHFVGGIFVGAAPQITYRFFLERLADKGALVIATPYASGFDHFFIADEVQFKFDRCLRNMVEPRSGNILMAFNNKEASLAVPLFSPVIVPMAQSFGPIFSQLTSYPTLRFGAEAAIKQLENLSPPVVKQLLPLVQQLPPLYMDLVKGREEFVPKPEETRRLVKSYYGISRNLLIKFKDDQIDETSILAQVLSSESAISSLLDMSIRSLPGDHGLPLQQVLPDVPPAMADAVNRGGELLTNLATGTPWEAVAKEVGSTLGADSGVLRAQISKDVNTLVDVIVSWIESNSGPRLLRS >ORUFI04G12730.1 pep chromosome:OR_W1943:4:16366176:16372452:1 gene:ORUFI04G12730 transcript:ORUFI04G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWERRGVGAEGGESVGREDTDTNTNTTASSANASTSSSTAASGSSGARRNGAAAAAGEGWGRSSLSTATINLSQEYTLAIHTSSYHEIWAKIHVDGGDGQREGGVSGGGGGEEDEEDEDRCTLAGVLQPEDAVVERALGDAPDTELTRLAADYLRSTHHASLLCLSLRRALRRARALYGPITDLLALIPHAPPLAAPHRDCAFDAFLLFDQMPNPFPAPAAGFQGMHRSFVGLKNHLDLRLLRARRRRRLVRCATRGSGICLIACATGAAIAGLVLATHAITVLLAAAPACAASRGSCCPATASMKRLQQHMDRLDAAARGAYVLNNDVDTIERLVGRLHATVESDKLLVRLGLERGRGEHHTIEEVVRQLRKNHPSLLRQLADLEEHICLYFAAVNRARLLLVCMTNRSKMNWFTNLNCFLHIIITVDPADYIQYDEADVASEEAVWALYERWRDFYGAERSHDEMLRRFGMFKDKARHVLEFNKSGASFTKALKEGADLTLEENAKRLGIRLYRRLYAIVVKM >ORUFI04G12730.2 pep chromosome:OR_W1943:4:16366176:16370953:1 gene:ORUFI04G12730 transcript:ORUFI04G12730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWERRGVGAEGGESVGREDTDTNTNTTASSANASTSSSTAASGSSGARRNGAAAAAGEGWGRSSLSTATINLSQEYTLAIHTSSYHEIWAKIHVDGGDGQREGGVSGGGGGEEDEEDEDRCTLAGVLQPEDAVVERALGDAPDTELTRLAADYLRSTHHASLLCLSLRRALRRARALYGPITDLLALIPHAPPLAAPHRDCAFDAFLLFDQMPNPFPAPAAGFQGMHRSFVGLKNHLDLRLLRARRRRRLVRCATRGSGICLIACATGAAIAGLVLATHAITVLLAAAPACAASRGSCCPATASMKRLQQHMDRLDAAARGAYVLNNDVDTIERLVGRLHATVESDKLLVRLGLERGRGEHHTIEEVVRQLRKNHPSLLRQLADLEEHICLYFAAVNRARLLLVCMTNRSKMNWFTNLNCFLHIIITVDPADYIQYDEADVASEEAVWALYERWRDFYGAERSHDEMLRRFGMFKDKARHVLEFNKSGASFTKALKEGADLTLEENAKRLGIRRRL >ORUFI04G12730.3 pep chromosome:OR_W1943:4:16366176:16370953:1 gene:ORUFI04G12730 transcript:ORUFI04G12730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWERRGVGAEGGESVGREDTDTNTNTTASSANASTSSSTAASGSSGARRNGAAAAAGEGWGRSSLSTATINLSQEYTLAIHTSSYHEIWAKIHVDGGDGQREGGVSGGGGGEEDEEDEDRCTLAGVLQPEDAVVERALGDAPDTELTRLAADYLRSTHHASLLCLSLRRALRRARALYGPITDLLALIPHAPPLAAPHRDCAFDAFLLFDQMPNPFPAPAAGFQGMHRSFVGLKNHLDLRLLRARRRRRLVRCATRGSGICLIACATGAAIAGLVLATHAITVLLAAAPACAASRGSCCPATASMKRLQQHMDRLDAAARGAYVLNNDVDTIERLVGRLHATVESDKLLVRLGLERGRGEHHTIEEVVRQLRKNHPSLLRQLADLEEHICLYFAAVNRARSKMNWFTNLNCFLHIIITVDPADYIQYDEADVASEEAVWALYERWRDFYGAERSHDEMLRRFGMFKDKARHVLEFNKSGASFTKALKEGADLTLEENAKRLGIRRRL >ORUFI04G12740.1 pep chromosome:OR_W1943:4:16373306:16376590:1 gene:ORUFI04G12740 transcript:ORUFI04G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDFGLLGDGPVPEEEAAAAAASSQAAPPPXRRSLLDSYTDRFEQLNRGNLRGRDWEDVAAAVTDGQGKSSGGKSVEQCKNKIDNLKKRHAPSGSSTPTFGGSSRLAPPSNPKWKRVLLKIGGTALAGAPPQNVDPKVIMLIAREVQVACRHGVEVSIVVGGRNIFCGDTWVSATGTDRASTYSIGMMASVMNAVLLQASLEKIGVETRVQTALMMQEVAEPYIRRRAIRHLEKGRVVIFAGIGAGIGNPLFTTDTAAALRASEINADVVLKGTAGDDDYGCPPRGNNNPPFEHISFRELAARGFSRMDMTAITCCQENNIPVVIFNMLEPGNISKAICGDQVGTLVDQSGRIT >ORUFI04G12750.1 pep chromosome:OR_W1943:4:16378367:16379875:-1 gene:ORUFI04G12750 transcript:ORUFI04G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTPHIEKGYAGGRQSRQQEASAAAAAAAAAAGGQLLLQRGPGQRSASFHGRGTEPWHQLARQRPKTQPDLLAGVRGRATAASFGPAAAAGGGEQLEPEAAGRRTPSKVLVSVAVQRSLWPLHVMASAAWSVADLVAAAVALYVKEGRRPPLPSADPSDFGLHYSQFSLESLDPREKVMELGSRSFFLCPKSSAAVHAPSPSCSSDEASRIRDRDAPAAARAGAAPAWVSYMQFWPMM >ORUFI04G12760.1 pep chromosome:OR_W1943:4:16393688:16396228:-1 gene:ORUFI04G12760 transcript:ORUFI04G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNVRAENYMCHISYFIFILKQMECNVRAENYICTIEIRNDFALLSYFSIEKPLDLDTLSWLPQHGEERGKHMECKAVAKALLMACNLPIGRRERVKDRGGGSPRSIPKSMAGEDGNDGILNRSAEARAILLSGLVDLVAGASSMAIGESASIHA >ORUFI04G12770.1 pep chromosome:OR_W1943:4:16404206:16405513:1 gene:ORUFI04G12770 transcript:ORUFI04G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLIKAPVGQNPARMGAGRSSAGGGVVRCSLQGAVVGGRAEWQSSCAVLSSKVAALGAASPHAAAPSFVNGHVAPLVPEQPAAAAEDGGAVLDLVPVSRGGGAPKTLPQPLRISDLSPAPMHGSQLRVAYQGVPGAYSEKAAGKAYPGCDAIPCDQFEVAFSAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLMALPGVRKECLTRVMSHPQALAQCEHTLTAMGLNVVREAFDDTAGAAEYVAANGLRDTAAIASSRAAELYGMEVLADGIQDDCGNVTRFVMLAREPIVPRTDRPFKTSIVFAHDKEGTSVLFKVLSAFAFRDITLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYVDFQASLAEPRAQNALAEVQEYTSFLRVLGSYPMDMTPMTAGSSSTVTSDDSSST >ORUFI04G12780.1 pep chromosome:OR_W1943:4:16423195:16424196:-1 gene:ORUFI04G12780 transcript:ORUFI04G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTVQLPFLLLLLVVPTAGEGRMRTPKGGHEPMGSNPRRILILSMSDDLASPLFRDALLRSTATHKPTSLVTPGRNLIWIWHNDGEQSCFQKIIIR >ORUFI04G12780.2 pep chromosome:OR_W1943:4:16423032:16424196:-1 gene:ORUFI04G12780 transcript:ORUFI04G12780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTVQLPFLLLLLVVPTAGEGRMRTPKGGHEPMGSNPRRILILSMSDDLASPLFRDALLRSTATHKPTSLVTPGRNLIWIWRKLDDRIELGDNMISNIAPDCCYPESIYKRRRSNQQLEKLPEVC >ORUFI04G12780.3 pep chromosome:OR_W1943:4:16422619:16423882:-1 gene:ORUFI04G12780 transcript:ORUFI04G12780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIWPRPSFATPCSDRRRRISLPRWLHPAETSSGFGTTMGNNLVFKRSLSGDSHPKSMNGKRKLDDRIELGDNMISNIAPDCCYPESIYKRRRSNQQLEKLPEWRTQVYNTDGAAEIALIR >ORUFI04G12780.4 pep chromosome:OR_W1943:4:16422619:16423178:-1 gene:ORUFI04G12780 transcript:ORUFI04G12780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKRKLDDRIELGDNMISNIAPDCCYPESIYKRRRSNQQLEKLPEWRTQVYNTDGAAEIALIR >ORUFI04G12790.1 pep chromosome:OR_W1943:4:16429267:16430316:1 gene:ORUFI04G12790 transcript:ORUFI04G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLVSCFSEHAVRISDVACSGSANAATVSAAGVAAAGVGDGGGAGRAAVSAVTSVYRSRLSASGKDLVIDVTWSRAPDGPALSVAVHDAAAASRLRGGGGGAAGAAPRHLHRRKGSGTFTAGSCVVGVFWDFAAARYAAGPEPVSGYYVAVVADAEFVLLLGDMSRGYVERLHGGIPIAGSRMARRRERFVGCGCWSTRARFLESGAEHDIVVALDGDAEAWVTVDGRKVVQLRRLRWNFRGSHTLFLDGGAPVDMTWDLHGWLFHAADPSPASSCAAVFTFQTRGASETKFWIEDDGDGDDDLEQSQPPAAPRGPKQKLGGGGGGGAPSGQGFCLLIQGFRGASKIA >ORUFI04G12800.1 pep chromosome:OR_W1943:4:16434317:16437439:1 gene:ORUFI04G12800 transcript:ORUFI04G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASAAATCGRLQPGGGGGESSSTTTTRTTQMDPSCPPFPQPEAPPLPLQLQLQPGLPRLELPTLDLERVGGEDRAALVAACRDLGAFRVVNHGVPGELRRRLLELGKQLLGRDTFELKKARPGYFWGTAALKSLRVKEVNWLEGLHVDLVPGSSSSSSQVGDGDDDDDDGWMRIRALMAEYGDHMARIARKLFDALAAELGLDHHQAASYLAERQGFLRLYRYPPCPSSASCLGMEPHTDSSVLSIILGQDHVGGLQVIRDGAWRDVAPAPGELLVNLGDMMTAISGGSYQSVRHRVLASRPSTERVSCCYFAFPQEDAVVEAPSGIGGGVYRPFSYREFREQVQADIKAVGTKVGLSRFYATATSSIEWTPLESGEWRGREKPS >ORUFI04G12810.1 pep chromosome:OR_W1943:4:16445587:16447173:1 gene:ORUFI04G12810 transcript:ORUFI04G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTWLATMPAASSLVVGVAFTAAVAVAVAAAVARRAWRHRGLRLPPGPPGWPVVGNLLQVVFAGKPFIHYIRDLRREYGPIVKLQMGVRTLVVISSAELVHEALVEKGREFATRPAESPIRSIFSSGKFTVNSAVYGPEWRSLRRNMVSGMLSAARLREFRPARLRAMERFVARVRAEAAASRDGASVWVLRNVRFAMFCVLLDMTFGLLDLDEELVVRVDAVMKRVVLAVAARIDDYLPFLRPFLWRQHRQAVALRREQIDTVLPLINRRRAIVRGMRAGSPPDPAVAAPYSYLDSLLDLRVEGRDAVPTDEELVTLCAEMINGGTDTTATAIEWAMARVMDNPSIQARLHGEIMQRVGDARPVDDRDTEGMPYLQAFVKELLRKHPPTYFALSHAAVEPGSKLAGYDVPVDANLDIFLPTISEDPKLWERPTEFDPDRFLAGGETADITGSAGVRMIPFSAGRRICPGVGMGTAHIALMVARMVQAFEWRAHPSQPPLDFEDKVEFTVVMKRPLLAMVTPRKLSF >ORUFI04G12820.1 pep chromosome:OR_W1943:4:16448974:16449312:-1 gene:ORUFI04G12820 transcript:ORUFI04G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGKKEEVAGLREIVMGSGGTVLGGGTGKWRGAGAMGDEGEWWHRRWWWGYLAVDGSRRKERGRKGGGGGGQGSLASAIDAFRLAGEPGGGGDAGGGGARAQGRGAKWW >ORUFI04G12830.1 pep chromosome:OR_W1943:4:16458926:16460359:-1 gene:ORUFI04G12830 transcript:ORUFI04G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEEEEKERISTSVDYCSTDQRGEVDQPMQMVLRVKHPSSLGGGGGGGEEEAGEASSRSALSVFKAKEEQIERKKMEVREKVFAQLGRVEEESKRLAFIRQELEGMADPTRKEVEVIRKRIDVVNRQLKPLGKTCVKKEKEYKEILEAYNEKNKEKALLVNRLIELVSESERMRMKKLEELNKTVDSLY >ORUFI04G12840.1 pep chromosome:OR_W1943:4:16462145:16463788:-1 gene:ORUFI04G12840 transcript:ORUFI04G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVWSRSAMADQFADSANNVVIEEVNKGLNPGMIVLIVVATFLLLFFVGNYALYVYAQKTLPPRKKKPVSKKKMKREKLKQGVSAPGE >ORUFI04G12840.2 pep chromosome:OR_W1943:4:16462145:16463333:-1 gene:ORUFI04G12840 transcript:ORUFI04G12840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQFADSANNVVIEEVNKGLNPGMIVLIVVATFLLLFFVGNYALYVYAQKTLPPRKKKPVSKKKMKREKLKQGVSAPGE >ORUFI04G12850.1 pep chromosome:OR_W1943:4:16466509:16468359:-1 gene:ORUFI04G12850 transcript:ORUFI04G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRGPQLVSSGAAGIVAAMADQSNNMIIEEVNKGLNPGTIVLLVVATLLILFFVGNYALYMYAQKTLPPRKKKPVSKKKLKREKLKQGVSAPGE >ORUFI04G12850.2 pep chromosome:OR_W1943:4:16466509:16468100:-1 gene:ORUFI04G12850 transcript:ORUFI04G12850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSNNMIIEEVNKGLNPGTIVLLVVATLLILFFVGNYALYMYAQKTLPPRKKKPVSKKKLKREKLKQGVSAPGE >ORUFI04G12860.1 pep chromosome:OR_W1943:4:16473900:16475290:-1 gene:ORUFI04G12860 transcript:ORUFI04G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHVEGPRSIRVRSCRSLVLLGVWQYKNLEELTVEDAPCLERLLGDMRLTAAINVSGAPKLTAFGYVVISSSNFLLFDEVIEKDVCNGLRAPLLSVKILAISVKFSSKNDMDKLMNLLNFFPFVETLHVQASDTRFDLTQDSTDTVGSSYHEKLDHIGCVMNHLNSVRLESKVHNIYMLEFACFLLARAQVLQLMTIQSKVFSTPQCVAGQQALLNQSHVASTEAEIVFEDMESHDLEHLSLELANTLPDPFDTYHR >ORUFI04G12870.1 pep chromosome:OR_W1943:4:16477607:16485631:-1 gene:ORUFI04G12870 transcript:ORUFI04G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGFRNHLDYRYSEYKRMRAAIDQHEGGLDAFSRGYEKLGFTRSAEGITYREWAPGAQSAALVGDFNNWNPNADTMTRNEYGVWEISLPNNADGSPAIPHGSRVKIRMDTPSGVKDSIPAWIKFAVQAPGEIPYNGIYYDPPEEEKYVFQHPQPKRPNSLRIYESHIGMSSPEPKINTYANFRDEVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPEDLKSLIDKAHELGLLVLMDIVHSHASNNTLDGLNGFDGTDTHYFHGGPRGHHWMWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYGEYFGFATDVDAVVYLMLVNDLIHGLYPEAVAIGEDVSGMPTFCIPVQDGGVGFDYRLHMAVPDKWIELLKQSDEYWKMGDIVHTLTNRRWSEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGPQSLPNGSVLPGNNYSFDKCRRRFDLGDADYLRYHGMQEFDQAMQHLEEKYGFMTSEHQYISRKHEEDKVIIFERGDLVFVFNFHWSNSYFDYRVGCLKPGKYKIVLDSDDGLFGGFSRLDHDAEYFTADWPHDNRPCSFSVYTPSRTAVVYALTED >ORUFI04G12880.1 pep chromosome:OR_W1943:4:16488198:16495867:-1 gene:ORUFI04G12880 transcript:ORUFI04G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQDRVVTIIGRNLWETGNDAGGEMSPPPPPPPPRVVICVGDVHGYISKLESLWANLQSALPPDAFATALVVFLGDYCDRGPSPREVIDFLLALPSRHPAQRHAFLCGNHDLAFAAFVGALPPPPDGTPFSSTWGEYIQNEENEGWYRGPGHEGMHCQGRRWGGIMKEKRNPKRGSSYMGSIYDARPTFESYGAVPEEHKKFLRELVWIHEEENVPIDTNEGQIICKLIAVHAGLERSIDLNEQFRILRTKDTKISKVAMLSGRQDVWNIPKDLAGKQTIVVSGHHGKLHIDGLRFVIDEGGGYADRPIAAIVFPSKELIRSTEGTSSQNRSRYHQE >ORUFI04G12890.1 pep chromosome:OR_W1943:4:16495917:16501505:1 gene:ORUFI04G12890 transcript:ORUFI04G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKDRIAYFYDGDVGNVYFGPNHPMKPHRLCMTHHLVLSYDLHKKMEIYRPHKAYPTELAQFHSADYVEFLHRITPDTQHLYENELRRYNLGEDCPVFDNLFEFCQIYAGGTLARRLNHKTCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDFFFPGTGDIKDIGEREGKYYAINIPLKDGIDDSGFTRLFKTVIAKVVETYLPGAIVLQCGADSLARDRLGCFNLSIEGHAECVKFVKKFNIPLLVTGGGGYTKENVARCWAVETGVLLDTELPNEIPDNEYIKYFAPDYTLKVSNVNMDNLNSKSYLSSIKVQVMESLRAIQHAPGVQMQEVPPDFYIPDIDEDELDPDERVDQHTQDKQIHRDDEYYEGDNDNDHEDGAR >ORUFI04G12900.1 pep chromosome:OR_W1943:4:16501780:16509215:1 gene:ORUFI04G12900 transcript:ORUFI04G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIARVVAPPLRLAWCPASRRWGRRKGRPPCPSSLPGPRHDHAVKRPGGEAAGGRSVNGAAPAPAPAPAEAPAKAPQRRQRRGPHDVEDEAWGLLRESVVRYCGSPVGTIAACDPNDASPLNYDQVFIRDFVPSGIAFLLKGDYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRVVPLDGDDDVTEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNSRLIALSFHIREYYWLDKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATSHQSDAILDLVEAKWSDLVADMPMKICYPALEDQEWKFITGSDPKNTAWSYHNGGSWPTLLWQLTVACIKVDRSEIAAKAVEVAERRIANDKWPEYYDTKRARFIGKQSRLFQTWTIAGFLVAKQLLENPDKSRILWNNEDEEILNAMNRMTDASNLKRRRGRKGLKKTYIV >ORUFI04G12910.1 pep chromosome:OR_W1943:4:16505691:16508258:-1 gene:ORUFI04G12910 transcript:ORUFI04G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCSEIVELVDESKDARPGGVTHLRVRVKPVGQEHGARSCSVEDDLDRLIRSINVRTSARASGQTSTDRRLIALGKSPISSSEIVESVSLKQALRKMCISQASEMAAMKRMPKPTAVSNTPEAGAIKKLYTSVVVQTNEERDEKNKFGKVSVLPEKDVISSSVKSTEAKNKVRNKSPAKKNVRSASPTTTKVQKTRIQDVISNKSSEASEDLPAGPAVAKQRKGKMKTSSPRAVPVGGSRLVFRSKTSTKKKVKPEPAAAVVSHKTCEAKSSNSQANKKHEALQDEPRTPTPINKKAAASSISTDGANCGTKGCGVGEIHGSKPSELSRSKEKGECSQSSKSSMGDYSTSTSISDDSYGSFSGNGCRPHMSKDVRWGAIRRMAIQQGSLGLKNFKLLKQLGCGDIGTVYLAELVGSECMFALKVMDIEYLISRKKMLRAQTEREILQMLDHPFLPTLYSFFTTDNLSCLVMEYCPGGDLHVLRQKQPTRTFSEAAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPMLVRASSVGRDEPSRPSGPCAESCIDPLCIQPSWANSSCFTPRLVSSTPSRPRRPRGEPQKKPSLPQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSSVDWWTLGIFLYELLYGRTPFRGPGNEETLTNVVSQGLKFPDNPAVSFHARDLIRGLLVKDPEYRLGSTKGAAEIKRHPFFEGLNWALIRWTAPPETPKSFDAASLTMARKKKEGKCLEFRLNGDDIEFELF >ORUFI04G12920.1 pep chromosome:OR_W1943:4:16508371:16509798:-1 gene:ORUFI04G12920 transcript:ORUFI04G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRQDNAPLTLRATRALRLGRNRNRNRNRSTGNREFFDALSVRCPQAEHSQTQQPLPLYSYYLLENPTFLPFSLSSSASLSYSSAALQLNPSPVAAATAVLLPGPVMPLLDPGKLPLWSPNCRQPLVLT >ORUFI04G12930.1 pep chromosome:OR_W1943:4:16524051:16527185:1 gene:ORUFI04G12930 transcript:ORUFI04G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKQETMEETILVGDDLMRGLPSPVIPKEIASHVLDGVEICDGILKNLFLCLQINDIEPFCQDEIVLYRQCAEKRDKEIRERLQDSEYKLGFSMPLEEAKERATQLQSEITLLERRMILASGLEGMEGFRQRWSLHGQLGDTRKRLEALNSGIVKRGSQSSPVQETTPAVRKRWFLW >ORUFI04G12940.1 pep chromosome:OR_W1943:4:16528204:16529808:1 gene:ORUFI04G12940 transcript:ORUFI04G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVRPSAAALLLAATAFLVVAVGAQPLSPDSPILRDPNVIPIYMTPGSSPTVASCYNQNNTASGPDCTVEPRACPRGCRDMCYVHCPTCKLVCLCELTGTECYDPRFVGGDGNKFLFHGRKDADFCLLSDANLHINAHFIGKRNAAAARDFTWVQALGIRFGGHRLYLGVRRTVRWDAAVDRLVITFDGAPVELDAVPAASWSPASAPALSVFRTGPANGVVVRLDGRFRIVANAVPVTEEDSRIHGYGLTADDSLAHLNVAFKFYSISADVHGVLGQTYRPDYVSAGVDVGAKIPVMGGAGKYAVSDIFATDCEVARFAGEDGALASSVGMVDAPADALCGSGKGSAGLVCKK >ORUFI04G12950.1 pep chromosome:OR_W1943:4:16531573:16533203:-1 gene:ORUFI04G12950 transcript:ORUFI04G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRADDLLVAGTLVISIVFFRCAAAVAATEYVRPPPGRVIFTEHTKPASHPQQVHVSLVGANHMRVSWITEDKHVKSVVEYGKVSGNYTASATGEHTSYRYFLYSSGKIHHVKIGPLDPGTVYYYRCGMAGDEFGLRTPPAALPVELAVAGDLGQTEWTASTLSHVGRSDYDVLLVPGDLSYADAQQPLWDSFGRFVQKYASRRPWMVTEGNHEVEAAMALPGWPRPFTAYAARWRMPYEESGSGPSLYYSFDAAGGAVHVVMLGSYADFNSSSEQYRWLARDLAAVDRGATPWVVVLLHAPWYNTNAAHEGEGEAMRKAMERLLYEARVDIVFAGHVHAYERFVSSILISAIARTTRVYNNEANPCGPVHITIGDGGNREGLAFDFRKNHKLAPLSLMREASFGHGRLSVVNATAARWTWHRNDDADSTVRDEIWLESLAANGACQQSSSAAAAADSQNDEL >ORUFI04G12960.1 pep chromosome:OR_W1943:4:16533923:16534805:1 gene:ORUFI04G12960 transcript:ORUFI04G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESAWDPRGGGGRWAASAPVPPRPVLGGFEKEQEDVALGLLMLSRDTGMWRSPVKAETFEKPEQKKKDEEDSALLQYGGGGGDVAKSRKQRPRAAPHVLASCPFPATLAPQASPPAAHLAPLTLAACGHGVRPRRHAEVRPRHDAAGGAGREGRHLPDAAAGGHGGAPQRLLQRSRRCSVASRRSRRRHCARSPTPPRPAATREQEGVSGRMVAYGDIDVVAAAAKEEHGAEVMSCSHLIGGGDVSAELPCVVFLEEDRGAKQLRG >ORUFI04G12970.1 pep chromosome:OR_W1943:4:16536766:16537569:1 gene:ORUFI04G12970 transcript:ORUFI04G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRGGRGGRSWATREDCSSVAARSPHDGENDFPTTASSWSATASASSEASEAAPPSSIARRARLLGRAKRAPPRRRSQARKRRGRPRRRGGWRRQRGWGWERRVKGPRRRGGWRRQRGWGWERRVKGKGASRGRWGRPGRRRRRRGNEKLLVSYFVGAGALSFLLHGDSSAVCRVRLGFTSQAHIALAAPRGVAFIYGDGILTGKPPTNVVPRIDDVDMPQWVRTVVQEEWMIEVFDASIADEACTEDEPRKRKGGAR >ORUFI04G12980.1 pep chromosome:OR_W1943:4:16538634:16539286:1 gene:ORUFI04G12980 transcript:ORUFI04G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFSGEELKLTRLSAGRKTIAVATNVSCQAHPLIPNPASSLSHAACAQGGKMHSISCCDGCDFSPLSRTILSPGGKVVVAVVLPEAMGKGSVTREHSGLGAGHQLDLRRSDGTAGFAAATTLLDAIITFSKASTYLPLRRRLLRCYFLLQTGDGTVAALEPMPTLVGVEDVDSDVTDYPSWTR >ORUFI04G12990.1 pep chromosome:OR_W1943:4:16548892:16559106:-1 gene:ORUFI04G12990 transcript:ORUFI04G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSNPICAKENEAEANRRGRRRIAGPSSRRRAAARPGGRPATRHGDQPDGDCLLHIDFACSIQRQRIIMERGGKKDDIEAETVDYCARDPINIILHTQGVPALATSSEGAESCDEDAARCWGVGDERRERSSGDTRGGRVGSECEVMREAGLLLPKLRDRSLWGKAARGKRRLAHLLNNQEQRLEQAHGEPELVTGS >ORUFI04G12990.2 pep chromosome:OR_W1943:4:16548892:16554242:-1 gene:ORUFI04G12990 transcript:ORUFI04G12990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTATKLHYLAAVFLDLGTALSWPCAGGAELRSGRDARPPPAGMLPLPLALVAAAAAAAAFVLASRCLSSRGGKKDDIEAETVDYCARDPINIILHTQGVPALATSSEGAESCDEDAARCWGVGDERRERSSGDTRGGRVGSECEVMREAGLLLPKLRDRSLWGKAARGKRRLAHLLNNQEQRLEQAHGEPELVTGS >ORUFI04G12990.3 pep chromosome:OR_W1943:4:16543698:16559106:-1 gene:ORUFI04G12990 transcript:ORUFI04G12990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSNPICAKENEAEANRRGRRRIAGPSSRRRAAARPGGRPATRHGDQPDGDCLLHIDFACSIQRQRIIMERGGKKDDIEAETVDYCARDPINIILHTQGVPALATSSEGAESCDEDAARCWGVGDERRERSSGDTRGGRVGSECEVMREAGLLLPKLRDRSLWGKAARGKRTVLTLTMHTANGRPTTGSQYEVPRLNISKCRITLQVPYWFHGVVRHSTSIAIDRSRLLGCRACT >ORUFI04G12990.4 pep chromosome:OR_W1943:4:16549349:16559106:-1 gene:ORUFI04G12990 transcript:ORUFI04G12990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSNPICAKENEAEANRRGRRRIAGPSSRRRAAARPGGRPATRHGDQPDGDCLLHIDFACSIQRQRIIMERGGKKDDIEAETVDYCARDPINIILHTQGVPALATSSEGAESCDEDAARCWGVGDERRERSSGDTRGGRVGSECEVMREAGLLLPKLRDRSLWGKAARGKSCKLLPMETGN >ORUFI04G12990.5 pep chromosome:OR_W1943:4:16543698:16554242:-1 gene:ORUFI04G12990 transcript:ORUFI04G12990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTATKLHYLAAVFLDLGTALSWPCAGGAELRSGRDARPPPAGMLPLPLALVAAAAAAAAFVLASRCLSSRGGKKDDIEAETVDYCARDPINIILHTQGVPALATSSEGAESCDEDAARCWGVGDERRERSSGDTRGGRVGSECEVMREAGLLLPKLRDRSLWGKAARGKRTVLTLTMHTANGRPTTGSQYEVPRLNISKCRITLQVPYWFHGVVRHSTSIAIDRSRLLGCRACT >ORUFI04G12990.6 pep chromosome:OR_W1943:4:16549349:16554242:-1 gene:ORUFI04G12990 transcript:ORUFI04G12990.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTATKLHYLAAVFLDLGTALSWPCAGGAELRSGRDARPPPAGMLPLPLALVAAAAAAAAFVLASRCLSSRGGKKDDIEAETVDYCARDPINIILHTQGVPALATSSEGAESCDEDAARCWGVGDERRERSSGDTRGGRVGSECEVMREAGLLLPKLRDRSLWGKAARGKSCKLLPMETGN >ORUFI04G12990.7 pep chromosome:OR_W1943:4:16549306:16554242:-1 gene:ORUFI04G12990 transcript:ORUFI04G12990.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTATKLHYLAAVFLDLGTALSWPCAGGAELRSGRDARPPPAGMLPLPLALVAAAAAAAAFVLASRCLSSRGGKKDDIEAETVDYCARDPINIILHTQGVPALATSSERLEQAHGEPELVTVASCCPWRQAIKIPGEYERTTMITN >ORUFI04G12990.8 pep chromosome:OR_W1943:4:16548892:16554242:-1 gene:ORUFI04G12990 transcript:ORUFI04G12990.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTATKLHYLAAVFLDLGTALSWPCAGGAELRSGRDARPPPAGMLPLPLALVAAAAAAAAFVLASRCLSSRGGKKDDIEAETVDYCARDPINIILHTQGVPALATSSERLEQAHGEPELVTGS >ORUFI04G12990.9 pep chromosome:OR_W1943:4:16553637:16559106:-1 gene:ORUFI04G12990 transcript:ORUFI04G12990.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSNPICAKENEAEANRRGRRRIAGPSSRRRAAARPGGRPATRHGDQPDGDCLLHIDFACSIQRQRIIMERGGKKDDIEAETVDYCARDPINIILHTQGVPALATSSEVL >ORUFI04G13000.1 pep chromosome:OR_W1943:4:16553923:16554222:1 gene:ORUFI04G13000 transcript:ORUFI04G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNAKLYLQNCYIMKENERLRKKALLLNQENQALLTELKQRLAKTKAAAAAAAATKANGNGNMPAGGGRASLPDLNSAPPAHGHDKAVPKSKKTAAK >ORUFI04G13010.1 pep chromosome:OR_W1943:4:16559402:16570993:1 gene:ORUFI04G13010 transcript:ORUFI04G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLATSQSFQFPMIDCSRNRRVTRGVIADGGRTAAWSSRSDSEPYLVGTCSGCLPEGVSLGEGRQAQTSVKKDLRSPP >ORUFI04G13010.2 pep chromosome:OR_W1943:4:16559402:16570993:1 gene:ORUFI04G13010 transcript:ORUFI04G13010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLATSQSFQFPMIDCSRNRRVTRGVIADGGRTAAWSSRSDSEPYLRQGLEGPWTSKGEGRQAQTSVKKDLRSPP >ORUFI04G13010.3 pep chromosome:OR_W1943:4:16559402:16570993:1 gene:ORUFI04G13010 transcript:ORUFI04G13010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLATSQSFQFPMIDCSRNRRVTRGVIADGGRTAAWSSRSDSEPYLRQGLEGPWTSKGEGRQAQTSVKKDLRSPP >ORUFI04G13020.1 pep chromosome:OR_W1943:4:16568772:16570565:-1 gene:ORUFI04G13020 transcript:ORUFI04G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLVVGKVIGEVIDNFNPTVKMTATYSSNKQVFNGHELFPSAVVSKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVVSYESPKPNIGIHRFVLVLFKQKRRQAVTPPSSRDNFSTRRFAADNDLGLPVAAVYFNAQRETAARRR >ORUFI04G13030.1 pep chromosome:OR_W1943:4:16577679:16578114:1 gene:ORUFI04G13030 transcript:ORUFI04G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAELVWWWSIGVSAVDLQVWRPVWLSGGRSGASLFLGLCVGDVGMWVVVFFFLFPGYDPPRL >ORUFI04G13040.1 pep chromosome:OR_W1943:4:16589625:16603154:1 gene:ORUFI04G13040 transcript:ORUFI04G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGVKRPPRPAPASSGGMVRKLILALAVFLPALVYQQLQPPPPKICGSPGGPPVTGTRTQLKDGRHLAYLESGVPKDQAKYKIIFVHGFDSCRYDALPISPELAQELGIYQLSFDRPGYAESDPNPASTEKSIALDVEELADNLQLGPKFYLMGFSMGGEIMWSCLKHISHRLAGVAILGPVGNYWWSGLPSNVSWHAWNQQLPQDKWAVWVSHHLPWLTYWWNSQKLFPASSVIAYNPALLSEEDKLIMPKFAFRTYMPQIRQQGEYGCLHRDMTVGFGKWSWSPLELEDPFAGGEGKVHLWHGAEDLIVPVSLSRYLSEKLPWVVYHELPKSGHMFPLADGMADTIVKSLLLGDQPPQAS >ORUFI04G13040.2 pep chromosome:OR_W1943:4:16589625:16594593:1 gene:ORUFI04G13040 transcript:ORUFI04G13040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGVKRPPRPAPASSGGMVRKLILALAVFLPALVYQQLQPPPPKICGSPGGPPVTGTRTQLKDGRHLAYLESGVPKDQAKYKIIFVHGFDSCRYDALPISPELAQELGIYQLSFDRPGYAESDPNPASTEKSIALDVEELADNLQLGPKFYLMGFSMGGEIMWSCLKHISHRLAGVAILGPVGNYWWSGLPSNVSWHAWNQQLPQDKWAVWVSHHLPWLTYWWNSQKLFPASSVIAYNPALLSEEDKLIMPKFAFRTYMPHIRQQGEYSCLHRDMTVGFGKWSWSPLELEDPFAGGKGKVHLWHGAEDLIVPVSLSRYLSEKLPWVVYHELPKSGHMFPLADGMADTIVKSLLLGDQPPQA >ORUFI04G13040.3 pep chromosome:OR_W1943:4:16594334:16603154:1 gene:ORUFI04G13040 transcript:ORUFI04G13040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAFLGASLIRKLILALAVFLPALLYSQLQPPPPKICGSPGGPPITGTRTRLKDGRYLAYLESGVPKEQAKYKIIFVHGFDSCRYDALPISPELAQELGIYQLSFDRPGYAESDPNLASTEKSIALDIEELADNLQLGPKFYLMGFSMGGEIMWSCLKHISHRLAGVAILAPVGNYWWSGLPSNMSWHVWNQQLPQDKWAVWVSHHLPWLTYWWNSQKLFPASSVIAYNPALFSEGDKLLLSKFAFRTYMPQIRQQGEYGCLHRDMTVGFGKWSWSPLELEDPFAGGEGKVHLWHGAEDLIVPVSLSRYLSEKLPWVVYHELPKSGHMFPLADGMADTIVKSLLLGDQPPQAS >ORUFI04G13050.1 pep chromosome:OR_W1943:4:16603461:16604291:1 gene:ORUFI04G13050 transcript:ORUFI04G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRRVSGHLPLLDLPHSEVHGGGKKTVVDEPIEVGSSSWLRLPWLIRVVGATEAWRCRVDKPSPPISLTLLLPAAQLVPPPLIPSPYCLQPLYLFCRVPSSQPATADASEHRGDTLAILADKVAETITTRAGTSSFYDMEAWAPLLRPTHAETTQTSTSPLCCRLVARLSPRRRVWGGGTGGRRGHSSPELLHCRHTTLSSISHRLADEVREDMGPWGREGRG >ORUFI04G13060.1 pep chromosome:OR_W1943:4:16608342:16608995:1 gene:ORUFI04G13060 transcript:ORUFI04G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGDVEEVPEPGMDHPAEPCMGVGGDQLVPTTEEISLPLAAETTSDHHEAAQLEQSAETSTSESESEEVAAKTTSDSSEAAAVIPKHAAEGSSTASEEEQVAKKELKEAEEDDGLQGESARERLKRHRREMAGRVWVPDMWGQEKLLKDWVDCAAFDRPLVPPDLLTARRALVAECCARRPDRTTTPPGPDDDAARQIQPSPGAKKLLLIIELDY >ORUFI04G13070.1 pep chromosome:OR_W1943:4:16613770:16614353:-1 gene:ORUFI04G13070 transcript:ORUFI04G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITCFFTETLALQAEIIKRLQREKFVDMIKHMDGHEQIYRLVALYTSSAKVALDAAGALLLVDGDELEQARDRLVKARNTTGLSSRFVFESSTRGGKDTVTAELATGLGVAAVGSSGGGGGDGGRQRRSSGDGKAEKAAATEDVGLATATHRYRLSADERRCRSAFPPTSEQARACWPTHA >ORUFI04G13080.1 pep chromosome:OR_W1943:4:16615214:16620421:1 gene:ORUFI04G13080 transcript:ORUFI04G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSLSLTLIRNTTTRESVTAPAPSSPVLSLHSPRRVAVAASASFGLGSSTGADNLLLLLLLQVLTYVILRLTLSRIPRLREMATTTVTTPILCNLSAKPRDTLRLPTTRSPNDGTRRTKLHLSSSRARGDLIRAAAAVYTVKLIGPEGQESVIKVPEDTYILDAAEEAGVDLPYSCRAGACSTCAGKVVEGGVDQSDQSFLDDAQVGAGYVLTCVAYPTANSVIQTHKEADLY >ORUFI04G13080.2 pep chromosome:OR_W1943:4:16615214:16619785:1 gene:ORUFI04G13080 transcript:ORUFI04G13080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSLSLTLIRNTTTRESVTAPAPSSPVLSLHSPRRVAVAASASFGLGSSTGADNLLLLLLLQEMATTTVTTPILCNLSAKPRDTLRLPTTRSPNDGTRRTKLHLSSSRARGDLIRAAAAVYTVKLIGPEGQESVIKVPEDTYILDAAEEAGVDLPYSCRAGACSTCAGKVVEGGVDQSDQSFLDDAQVGAGYVLTCVAYPTANSVIQTHKEADLY >ORUFI04G13090.1 pep chromosome:OR_W1943:4:16623660:16632386:1 gene:ORUFI04G13090 transcript:ORUFI04G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEQPGTGSPPQPSTRLFLLVLAVILTDQVLAASAQGMSIGINYGQIADNLPSPTRVSGLLRSMQISKVKLYDADQNVLSAFLDTGVEFVVGIGNENVSAMVDPAAAQAWVQQHVRPYLPSARITCITVGNEVFKGNDTALKANLLPAMQSVYNAVVALGLQGQVNVTTAHSLDIMGSSYPPSAGAFRPDAVPYIQPLLNFLSMAGSPFLINCYPYFAYKADPGSVPLEYVLFQPNAGVTDPNTKLNYDNMLYAQIDSVYAAMQALGHTDVDVKISETGWPSRGDPDEAGATPEYAGIYIGNLLRRIEMKQGTPLRPSSPIDVYVFALFNENLKPGPASERNYGLFYPDGTPVYDVGLRGYLPPMDESKSARKAVSLLALIAIGSITLILS >ORUFI04G13100.1 pep chromosome:OR_W1943:4:16635688:16638438:1 gene:ORUFI04G13100 transcript:ORUFI04G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHGNAIFVLLLCTLFLPSLACDSGGVKFGYTGSIGPDFWGNLSADFTRCSNGKQQSPIDIDTNNLVHELNMEPLHRNYTAANATLVDNIFNVALRYEEAAGVLSINGVKYTLKQMHWHSPSEHTINGFRFPLELHMVHTNENGNITVLAFLYRFGRPDPFFEQDKLAALNAEGCKAEKGSPVPAGSVSLLTMRQHVHIYYRYVGSLTTPPCAENVIWNIPAMPREMTPQQAADLMAPLDEGYRRNSRPTQQMNGRTVQLYHRFWGKKKRRSSP >ORUFI04G13100.2 pep chromosome:OR_W1943:4:16635688:16638438:1 gene:ORUFI04G13100 transcript:ORUFI04G13100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHGNAIFVLLLCTLFLPSLACDSGGVKFGYTGSIGPDFWGNLSADFTRCSNGKQQSPIDIDTNNLVHELNMEPLHRNYTAANATLVDNIFNVALRYEEAAGVLSINGVKYTLKQMHWHSPSEHTINGFRFPLELHMVHTNENGNITVLAFLYRFGRPDPFFEQDKLAALNAEGCKAEKGSPVPAGSVSLLTMRQHVHIYYRYVGSLTTPPCAENVIWNIPAMPREMTPQQAADLMAPLDEGYRRNSRPTQQMNGRTVQLYHRFWGKKKRRSSP >ORUFI04G13100.3 pep chromosome:OR_W1943:4:16636002:16638438:1 gene:ORUFI04G13100 transcript:ORUFI04G13100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHGNAIFVLLLCTLFLPSLACDSGGVKFGYTGSIGPDFWGNLSADFTRCSNGKQQSPIDIDTNNLVHELNMEPLHRNYTAANATLVDNIFNVALRYEEAAGVLSINGVKYTLKQMHWHSPSEHTINGFRFPLELHMVHTNENGNITVLAFLYRFGRPDPFFEQDKLAALNAEGCKAEKGSPVPAGSVSLLTMRQHVHIYYRYVGSLTTPPCAENVIWNIPAMPREMTPQQAADLMAPLDEGYRRNSRPTQQMNGRTVQLYHRFWGKKKRRSSP >ORUFI04G13110.1 pep chromosome:OR_W1943:4:16640394:16641179:1 gene:ORUFI04G13110 transcript:ORUFI04G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQINLESLFCGGGEAGSRVACETIALPGCSDAPAESRCVRIGDGAIWAELAGGAVLERDGSTKGSSNPKAAAASGKGKKGGPRPSSAESRRLPVTGKAAVVICGLPAGKMVAQKKRRSPCLGRGWRRAPAAAGARVFASEAVETDPGSPKVSCFGAVRSERSPATAAAAPAPPVEDEERNGGCWASVAATLRHLCRSSSNPLEGELETNEWNATATSSPTVAALSPPRPVAVGLGEMKRLASRRWPETMAVAGQGPVSAA >ORUFI04G13120.1 pep chromosome:OR_W1943:4:16642081:16643427:1 gene:ORUFI04G13120 transcript:ORUFI04G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKLLPPGPGDARGGSGARATVRGRVDHVVSLTSTTYGVLDLHPKHGAAAAAAAAAAAAAAVACQEKVQETQAQPPQEDKPISREWKRARPPPLVVPSAKKPAPAGKLDSGLEVINAWEIMAGLEDADAADASPAKKPAKQPSRWSPARVIAMALPSPKKSATKRRNTPGKENSPLQRCSGNNNSSNINKTGDVNVDRVLRPYNSIDNSKLSRMSKRFSPVSARIVRKPGPPETGGGMSSSRRSLSPLFDPELLASIERELSEEGAHIKRMVGSEKPKHPKAAPPAMVAEGKCPPGGADAVVLYTTTLRGIRRTFEECNAVRAAIEAHDVKLIERDVSMDSGYREELRLLLGGREVRVPAVFVRGRHVGGAAEVTKLEEEGKLKALLQGLPRARVWCAGCAGVRFVMCRDCNGSRKVRVDGERKETVQCGECNENGLVRCPICS >ORUFI04G13130.1 pep chromosome:OR_W1943:4:16646437:16656225:1 gene:ORUFI04G13130 transcript:ORUFI04G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGTHRKLLLHAALHGFLFLPPLLLLRSLSRSRPTTTDPHPKAAARGAAAAAAAAMAVRASLACFPSDAALQESSGIPWGVAVTPFSAADERGAAPATGAEGHLLPRCDSCYAYFSTLCPLHRWSWSCAICSADNDLTPDASARYARDGGQDPPEMRSAFVDLLLPASEEFLELVKSALLAALEAIPPGSLFGLLTFSSKIGLYDVQGPIPIVKNAFIPPDSDGTLLVDLEDVMPLRSFLAPVDGCKDRIAEALETIKPTSSWEITATASEVQDHALHHARGFGLAMDAVVNYLCTEYGSLFELARIFTFLSGPPNYGPGQIETRSDVDHNAAKMLGSDHTFISEQTNFYTNLAASAVQAGVCVDLFAITNEYTDLSSLKVLSVESGGSLYMYSSTDESTLPQDIYKMLSRPYAFGCVLRLRTSSEFKIADSYGHFFPDPQYMHVQHINCCDSFATYVYDFEFQKDSQFSRKSSPPILQIAFKYTTIVQQGDTSDDVSNSVSRSKFTLQRRLRVRTIQYNITANIWDLYDFVDPDVVLTILVHQSVAWHMADALAVWYFLFWRSFIQSHDVLEARIWFRDWLVNFIAQYNKAYKVVRSGGTGMSDIDVDFIHCSQLQPLSRLVFAFLLSPLLQLHGQGIHPDYRTYLQCLFSALEASSLRQAICPTLISYSSPDVEAEVHQSLSRSVFNSESPIFLLDAYTDLLVYYSPTVSSTIPFPPPRDCLLRSKIDRLKQERNITPKIVFIHGAHDDTTAFERYLIEDQSVDGSLVASATGFRSFLEGIRSRIAEYSR >ORUFI04G13140.1 pep chromosome:OR_W1943:4:16654363:16658761:-1 gene:ORUFI04G13140 transcript:ORUFI04G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSSNLEDNFWDPPGIDCDSTEPFYSVASCSRTTRIACHASLLPHGAALRALATAMATPTPMPHTPISSQTLTLAPHVSRRRCGGSVRARTLALPATPASACSFRLRATAARDSPLPSLFDEAFPFVAVEWKTIVKGWACAAAAVYCLSRAVPAAGRLPRALAACGGGAAEAFKGGFALAGLAAARSAAAYVQQALLWEAALRAAGRLRERAFEGVLARDLAFFEGSGGLSAGDIAHRITDEADDVADAVYSVLNTIVPTSLQLIAMGHQMVTINPLLSMVAATVIPCMWLVIASLGRRLRQISKEAHISLAMLTVYLNDVLPSMLTVKANNGEGKEISRFQNLVIVDLKNNLSKKKMKAFIPQVVRTTYIGGLVVLCAGSIAVSGTFFDGEGFLSFLTALALAIEPIQDFGKAYNEYKQGEPALDRIFDLTRFIPEVRDKATAVHLKYVKGDINFHDVTFQYIDGMPPILDGVNLHIRSGETIAFVGPSGGGKTTLAKLLLRLYQPQSGYILLDNCDIRDIQLQCLRTHIAFVSQDAMLLSGTIAENIAYGDPMGAIDMSKVESAAKIANAEEFIKMLPGGYNSYVGQKGSSLSGGQKQRLSIARAIYQNSSVLILDEATSALDSRSEILVKEALTNLMANHTVLVIAHRLEMILMADRIVLLEGGKLREITKSSFLSRDSQFSSPQGSSPKLGEV >ORUFI04G13140.2 pep chromosome:OR_W1943:4:16654363:16658761:-1 gene:ORUFI04G13140 transcript:ORUFI04G13140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSSNLEDNFWDPPGIDCDSTEPFYSVASCSRTTRIACHASLLPHGAALRALATAMATPTPMPHTPISSQTLTLAPHVSRRRCGGSVRARTLALPATPASACSFRLRATAARDSPLPSLFDEAFPFVAVEWKTIVKGWACAAAAVYCLSRAVPAAGRLPRALAACGGGAAEAFKGGFALAGLAAARSAAAYVQQALLWEAALRAAGRLRERAFEGVLARDLAFFEGSGGLSAGDIAHRITDEADDVADAVYSVLNTIVPTSLQLIAMGHQMVTINPLLSMVAATVIPCMWLVIASLGRRLRQISKEAHISLAMLTVYLNDVLPSMLTVKANNGEGKEISRFQNLVIVDLKNNLSKKKMKAFIPQVVRTTYIGGLDFGKAYNEYKQGEPALDRIFDLTRFIPEVRDKATAVHLKYVKGDINFHDVTFQYIDGMPPILDGVNLHIRSGETIAFVGPSGGGKTTLAKLLLRLYQPQSGYILLDNCDIRDIQLQCLRTHIAFVSQDAMLLSGTIAENIAYGDPMGAIDMSKVESAAKIANAEEFIKMLPGGYNSYVGQKGSSLSGGQKQRLSIARAIYQNSSVLILDEATSALDSRSEILVKEALTNLMANHTVLVIAHRLEMILMADRIVLLEGGKLREITKSSFLSRDSQFSSPQGSSPKLGEV >ORUFI04G13140.3 pep chromosome:OR_W1943:4:16654363:16658761:-1 gene:ORUFI04G13140 transcript:ORUFI04G13140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSSNLEDNFWDPPGIDCDSTEPFYSVASCSRTTRIACHASLLPHGAALRALATAMATPTPMPHTPISSQTLTLAPHVSRRRCGGSVRARTLALPATPASACSFRLRATAARDSPLPSLFDEAFPFVAVEWKTIVKGWACAAAAVYCLSRAVPAAGRLPRALAACGGGAAEAFKGGFALAGLAAARSAAAYVQQALLWEAALRAAGRLRERAFEGVLARDLAFFEGSGGLSAGDIAHRITDEADDVADAVYSVLNTIVPTSLQLIAMGHQMVTINPLLSMVAATVIPCMWLVIASLGRRLRQISKEAHISLAMLTVYLNDVLPSMLTVKANNGEGKEISRFQNLVIVDLKNNLSKKKMKAFIPQVVRTTYIGGLVVLCAGSIAVSGTFFDGEGFLSFLTALALAIEPIQDFGKAYNEYKQGEPALDRIFDLTRFIPEVRDKATAVHLKYVKGDINFHDVTFQYIDGMPPILDGVNLHIRSGETIAFVGPSGGGKTTLAKLLLRLYQPQSGITLNTGIEFSKLSCYMLLPFTTNSSVQQTALLFSWLFKLCHYLLSCCWFACILGYILLDNCDIRDIQLQCLRTHIAFVSQDAMLLSGTIAENIAYGDPMGAIDMSKVESAAKIANAEEFIKMLPGGYNSYVGQKGSSLSGGQKQRLSIARAIYQNSSVLILDEATSALDSRSEILVKEALTNLMANHTVLVIAHRLEMILMADRIVLLEGGKLREITKSSFLSRDSQFSSPQGSSPKLGEV >ORUFI04G13140.4 pep chromosome:OR_W1943:4:16654363:16658761:-1 gene:ORUFI04G13140 transcript:ORUFI04G13140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSSNLEDNFWDPPGIDCDSTEPFYSVASCSRTTRIACHASLLPHGAALRALATAMATPTPMPHTPISSQTLTLAPHVSRRRCGGSVRARTLALPATPASACSFRLRATAARDSPLPSLFDEAFPFVAVEWKTIVKGWACAAAAVYCLSRAVPAAGRLPRALAACGGGAAEAFKGGFALAGLAAARSAAAYVQQALLWEAALRAAGRLRERAFEGVLARDLAFFEGSGGLSAGDIAHRITDEADDVADAVYSVLNTIVPTSLQLIAMGHQMVTINPLLSMVAATVIPCMWLVIASLGRRLRQISKEAHISLAMLTVYLNDVLPSMLTVKANNGEGKEISRFQNLVIVDLKNNLSKKKMKAFIPQVVRTTYIGGLVRDKATAVHLKYVKGDINFHDVTFQYIDGMPPILDGVNLHIRSGETIAFVGPSGGGKTTLAKLLLRLYQPQSGYILLDNCDIRDIQLQCLRTHIAFVSQDAMLLSGTIAENIAYGDPMGAIDMSKVESAAKIANAEEFIKMLPGGYNSYVGQKGSSLSGGQKQRLSIARAIYQNSSVLILDEATSALDSRSEILVKEALTNLMANHTVLVIAHRLEMILMADRIVLLEGGKLREITKSSFLSRDSQFSSPQGSSPKLGEV >ORUFI04G13140.5 pep chromosome:OR_W1943:4:16654363:16658761:-1 gene:ORUFI04G13140 transcript:ORUFI04G13140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSSNLEDNFWDPPGIDCDSTEPFYSVASCSRTTRIACHASLLPHGAALRALATAMATPTPMPHTPISSQTLTLAPHVSRRRCGGSVRARTLALPATPASACSFRLRATAARDSPLPSLFDEAFPFVAVEWKTIVKGWACAAAAVYCLSRAVPAAGRLPRALAACGGGAAEAFKGGFALAGLAAARSAAAYVQQALLWEAALRAAGRLRERAFEGVLARDLAFFEGSGGLSAGDIAHRITDEADDVADAVYSVLNTIVPTSLQLIAMGHQMVTINPLLSMVAATVIPCMWLVIASLGRRLRQISKEAHISLAMLTVYLNDVLPSMLTVKANNGEGKEISRFQNLVIVDLKNNLSKKKMKAFIPQVVRTTYIGGLVRDKATAVHLKYVKGDINFHDVTFQYIDGMPPILDGVNLHIRSGETIAFVGPSGGGKTTLAKLLLRLYQPQSGITLNTGIEFSKLSCYMLLPFTTNSSVQQTALLFSWLFKLCHYLLSCCWFACILGYILLDNCDIRDIQLQCLRTHIAFVSQDAMLLSGTIAENIAYGDPMGAIDMSKVESAAKIANAEEFIKMLPGGYNSYVGQKGSSLSGGQKQRLSIARAIYQNSSVLILDEATSALDSRSEILVKEALTNLMANHTVLVIAHRLEMILMADRIVLLEGGKLREITKSSFLSRDSQFSSPQGSSPKLGEV >ORUFI04G13150.1 pep chromosome:OR_W1943:4:16659658:16660275:-1 gene:ORUFI04G13150 transcript:ORUFI04G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPLHCYKAPEEQRLGPRPATQSRPPPHLLPYSGGLDLLTEALGAESFDPDDDDDATAASPAMEDVGAAVAAVDVLAPPCKRPHHVLLSSSSEGVGHDDDDNQHAVMVLRRTRSGRAFPPPISVIGKGGRPWLSLRAHREAGRLVLREMRLPSQELLQPCKEDGRFKLLIHPEAGRRSGGAGAGPRVGSGREGHGALES >ORUFI04G13160.1 pep chromosome:OR_W1943:4:16660728:16675484:1 gene:ORUFI04G13160 transcript:ORUFI04G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARARAALVFVALLQMAAVVVVRASHVVYPELQSLEAKHVDGKLRTGYHFQPPKHWINGPMYYKGLYHLFYQYNPKGAVWGNIEWAHSVSTDLIDWTALEPGIYPSKTFDEKGCWSGSATVLPSGVPVIMYTGIDPDERQVQNVAYPVNLSDPYLREWYKPDYNPIINPDGGINASAFRDPTTAWYGPDGHWRLLVGSKVNMKGLAVLYRSRDFKKWVKAHHPLHSAHTGMWECPDFFPVAVAGGSRNYRRGVDTAELHDAAVAEEVKYVLKVSLDLTRYEYYTVGWYDHATDRYVPDAAFPDNDYGLRYDYGDFYASKSFYDPAKRRRIVWGWANESDTVPDDRRKGWAGIQAIPRKLWLSADGKQLVQWPVEELKALRAKHVNVTDKVIKKGNYFEVTGFKSVQSDVDMAFAIKDLSKAEEFDPAWRTDAEALCKKLGSDVDGGVGPFGLWALASGDLKERTAVFFRVFKANDSSHVVLMCNDPTRSSYESKIYRPTFAGFVDVDIAKNKQIALRTLIDHSVVESFGARGKTCILTRVYPRKAVGDDAHLFVFNNGESDVKVTNLDAWEMKTPKMNAEDTSIPGRVAILGVFKRDKINCSPLPTHPILQWMVNDLLTINFISALVEGDATGDELVAMMGLAREVAWAWLVQLLLLQQLAGASHVVYDDLELQAAATTADGVPPSIVDSELRTGYHFQPPKNWINAPMYYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWVALKPAIEPSIRADKYGCWSGSATMMADGTPVIMYTGVNRPDVNYQVQNVALPRNGSDPLLREWVKPGHNPVIVPEGGINATQFRDPTTAWRGADGHWRLLVGSLAGQSRGVAYVYRSRDFRRWTRAAQPLHSAPTGMWECPDFYPVTADGRREGVDTSSAVVDAAASARVKYVLKNSLDLRRYDYYTVGTYDRKAERYVPDDPAGDEHHIRYDYGNFYASKTFYDPAKRRRILWGWANESDTAADDVAKGWAGIQAIPRKVWLDPSGKQLLQWPIEEVERLRGKWPVILKDRVVKPGEHVEVTGLQTAQAAERLDPAMAYDAQRLCSARGADAMGGVGPFGLWVLASAGLEEKTAVFFRVFRPAARGGGAGKPVVLMCTDPTKSSRNPNMYQPTFAGFVDTDITNGKISLRSLIDRSVVESFGAGGKACILSRVYPSLAIGKNARLYVFNNGKAEIKVSQLTAWEMKKPVMMNGA >ORUFI04G13160.2 pep chromosome:OR_W1943:4:16660728:16670827:1 gene:ORUFI04G13160 transcript:ORUFI04G13160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATARARAALVFVALLQMAAVVVVRASHVVYPELQSLEAKHVDGKLRTGYHFQPPKHWINGPMYYKGLYHLFYQYNPKGAVWGNIEWAHSVSTDLIDWTALEPGIYPSKTFDEKGCWSGSATVLPSGVPVIMYTGIDPDERQVQNVAYPVNLSDPYLREWYKPDYNPIINPDGGINASAFRDPTTAWYGPDGHWRLLVGSKVNMKGLAVLYRSRDFKKWVKAHHPLHSAHTGMWECPDFFPVAVAGGSRNYRRGVDTAELHDAAVAEEVKYVLKVSLDLTRYEYYTVGWYDHATDRYVPDAAFPDNDYGLRYDYGDFYASKSFYDPAKRRRIVWGWANESDTVPDDRRKGWAGIQAIPRKLWLSADGKQLVQWPVEELKALRAKHVNVTDKVIKKGNYFEVTGFKSVQSDVDMAFAIKDLSKAEEFDPAWRTDAEALCKKLGSDVDGGVGPFGLWALASGDLKERTAVFFRVFKANDSSHVVLMCNDPTRSSYESKIYRPTFAGFVDVDIAKNKQIALRTLIDHSVVESFGARGKTCILTRVYPRKAVGDDAHLFVFNNGESDVKVTNLDAWEMKTPKMNAEDTSIPGRVAILGVFKRDKINCSPLPTHPILQWMVNDLLTINFISALVEGDATGDELVAMMGLAREVAWAWLVQLLLLQQLAGASHVVYDDLELQAAATTADGVPPSIVDSELRTGYHFQPPKNWINGNVN >ORUFI04G13160.3 pep chromosome:OR_W1943:4:16670574:16675484:1 gene:ORUFI04G13160 transcript:ORUFI04G13160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWVALKPAIEPSIRADKYGCWSGSATMMADGTPVIMYTGVNRPDVNYQVQNVALPRNGSDPLLREWVKPGHNPVIVPEGGINATQFRDPTTAWRGADGHWRLLVGSLAGQSRGVAYVYRSRDFRRWTRAAQPLHSAPTGMWECPDFYPVTADGRREGVDTSSAVVDAAASARVKYVLKNSLDLRRYDYYTVGTYDRKAERYVPDDPAGDEHHIRYDYGNFYASKTFYDPAKRRRILWGWANESDTAADDVAKGWAGIQAIPRKVWLDPSGKQLLQWPIEEVERLRGKWPVILKDRVVKPGEHVEVTGLQTAQAAERLDPAMAYDAQRLCSARGADAMGGVGPFGLWVLASAGLEEKTAVFFRVFRPAARGGGAGKPVVLMCTDPTKSSRNPNMYQPTFAGFVDTDITNGKISLRSLIDRSVVESFGAGGKACILSRVYPSLAIGKNARLYVFNNGKAEIKVSQLTAWEMKKPVMMNGA >ORUFI04G13160.4 pep chromosome:OR_W1943:4:16670574:16675484:1 gene:ORUFI04G13160 transcript:ORUFI04G13160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWVALKPAIEPSIRADKYGCWSGSATMMADGTPVIMYTGVNRPDVNYQVQNVALPRNGSDPLLREWVKPGHNPVIVPEGGINATQFRDPTTAWRGADGHWRLLVGSLAGQSRGVAYVYRSRDFRRWTRAAQPLHSAPTGMWECPDFYPVTADGRREGVDTSSAVVDAAASARVKYVLKNSLDLRRYDYYTVGTYDRKAERYVPDDPAGDEHHIRYDYGNFYASKTFYDPAKRRRILWGWANESDTAADDVAKGWAGIQAIPRKVWLDPSGKQLLQWPIEEVERLRGKWPVILKDRVVKPGEHVEVTGLQTAQAAERLDPAMAYDAQRLCSARGADAMGGVGPFGLWVLASAGLEEKTAVFFRVFRPAARGGGAGKPVVLMCTDPTKSSRNPNMYQPTFAGFVDTDITNGKISLRSLIDRSVVESFGAGGKACILSRVYPSLAIGKNARLYVFNNGKAEIKVSQLTAWEMKKPVMMNGA >ORUFI04G13170.1 pep chromosome:OR_W1943:4:16682064:16685745:-1 gene:ORUFI04G13170 transcript:ORUFI04G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTTGKRAADDRVGSTMEQRFKNGHYGQCSPPEGLYACTHSAIHSSCRSPPNPSLLLLLLPAAAAASTDDAAMSGRKKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >ORUFI04G13180.1 pep chromosome:OR_W1943:4:16692848:16693585:1 gene:ORUFI04G13180 transcript:ORUFI04G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYRPRRSPASERFIGMFASPSSSPTEPSFVAGDELHEDDFLFSSSPAAPPSSARPGEGPGSPSRVPQGQVGLLAALHEGDKRLLLRRGGGGGGGAAAAAAASAGTLLRRKATIAAAAASASGGGGSLSPTQSPTSAARAIPMTPRPKSAGPAAPYHQSAPVKVPARPPRRQEMFKWDELDDDDFLRNGDAAMLPPHEMVARASAGGAGPAAPFSMLEGAGRTLKGRDLRRVRDAVLRQTGFLD >ORUFI04G13190.1 pep chromosome:OR_W1943:4:16696175:16700578:1 gene:ORUFI04G13190 transcript:ORUFI04G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLGGGGGGPAGAPEIFAGGTGARGSVRRAVVIGNGCAGAENQCLGLLRALGLADRLTLYRAIRPTGGINKWLHFLPISLHKLVDQVLRQMFSSNKFATLFQGAKMAQYTVCNGQSLGLSSVLEADTKRIVTMVNDTFEKEGLALVVACGRDTISYASSIRCLAPDNVFVIQIQHPRYRLDRFDLVVTPRHDYYALTTRGQREFPHLLWRWITAREPPGPNVLTVGALHQADSAALRTAASDWHDELANSPKPLVVVNIGGPTRNCNYDVGLAKKLISSLHNVLKTCGSVRVSFSRRTPHKVSDLILKEFSTHPKVYIWNGEGPNPHLGHLAWADAFVITADSISMLSEACSTGKPVYVVGTEHCRWKFSDFHNRLHERGAVRPFTGLEDMSDNWSYPPLNDAIDVAARVREVLAERGWTVG >ORUFI04G13190.2 pep chromosome:OR_W1943:4:16696175:16700578:1 gene:ORUFI04G13190 transcript:ORUFI04G13190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLGGGGGGPAGAPEIFAGGTGARGSVRRAVVIGNGCAGAENQCLGLLRALGLADRLTLYRAIRPTGGINKWLHFLPISLHKLVDQVLRQMFSSNKFATLFQGAKMAQYTVCNGQSLGLSSVLEADTKRIVTMVNDTFEKEGLALVVACGRDTISYASSIRCLAPDNVFVIQIQHPRYRLDRFDLVVLTVGALHQADSAALRTAASDWHDELANSPKPLVVVNIGGPTRNCNYDVGLAKKLISSLHNVLKTCGSVRVSFSRRTPHKVSDLILKEFSTHPKVYIWNGEGPNPHLGHLAWADAFVITADSISMLSEACSTGKPVYVVGTEHCRWKFSDFHNRLHERGAVRPFTGLEDMSDNWSYPPLNDAIDVAARVREVLAERGWTVG >ORUFI04G13200.1 pep chromosome:OR_W1943:4:16707373:16712508:1 gene:ORUFI04G13200 transcript:ORUFI04G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFVQRGAAGSSSSSSRSGSQTLQQQQQLQATSAVAREEELPPQPHQHPSELTASDNITDHLVEDADNSSNSNKPLGLDDPTSESSSSAEERAVMEKPPKDDSSVIDPAFLVEELTGLQFSDQFEQENLVQSGIGPSQIAGAASHPPPPPAPPAPPPKPSSGNNGLRRMGSGSSNNARIGSSRRPVAWPPVAVRSSASGSRPSSPRSLADSEGYNSADEQGPCYASNYYDSERERMFEHDLRRVRGFEINKMAEDGNCLFRAVADQVYGDPEAYDMARQMCVDYMERERDHFSQFMTEGFTSYCRRKRRDKVYGNNMEIQAFAEMYNRPIHIYSYSTEPINIFQGSYNTDVPPIRLSYHHGNHYNSVVDPRGLTVGAGLGFSSLRGTNNVDRDQVKAAIKAQQDQQIENALLAEGRLYSDLELTEKEIERMVMEASRAEYLKQQQQQLNFRESSTSGAEPSSSAAISGSSRSAGTADRVGEECFVLPDTVLTRSMQLLLAMGFNYIQVMEAYSIFGEDVDSMIYYLVEMGGTGASAGGSNRRKGKAAE >ORUFI04G13210.1 pep chromosome:OR_W1943:4:16715631:16716679:-1 gene:ORUFI04G13210 transcript:ORUFI04G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESSVHEWGRRAATPRRPAWPSRAVGEAGADFQHRRPRGGERLVLAHGLRLRSDLTLAPRAARLDLPRPFRPRRLPQPLVVAGQDKTSGSDSPPSCAPTRTSWASASSSPHRTWRPSRSSNGSGGGHEPSKEQRHRQDFATTRRHGGRGVLQYLQRWVNPWIPALRDFRKNNSWSTPSNPSMSSRRTVVQIPAPAPRLKRRQIWWQAGIRFKKKTMIALRFAGGVVAASSGSPS >ORUFI04G13220.1 pep chromosome:OR_W1943:4:16731613:16732637:1 gene:ORUFI04G13220 transcript:ORUFI04G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALREASRRLVSRRESPAAYARPFLLTHSRGITYRLFIGGLSQFATEDSLAEAFSQYGQVLEATIVTDKMTNRPKGFGFVLNGRVIYVDIAKAKMNRTTDSSPRATGPPKPPDRC >ORUFI04G13230.1 pep chromosome:OR_W1943:4:16733555:16734490:-1 gene:ORUFI04G13230 transcript:ORUFI04G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGREEEKMVATTTIEDLHADVLARALRRLDGRSLAAASCATARLRALAADPETWRALCLAEWPSMAGHPRLLSVVPPRRLFADAFPFPRPDAGELGGGGGGPLPSELVSAVDVYYRGAPLLSRVVETPASSPWFLGSPFRVEAVECKKPAAEAALSPAELELSWVVVDPARGRAVNVSSRRAVAVDRHWYTGETLVRFAVVLGGCKFETTVTCSEGAGNISEVSLAVQDADGAAASGERSLRLLAAAMEEQRIGGGRERDEAKRRYDEFVKSRKGRKESKARREALIDLCCSAASAMAVLSFVAAVVLR >ORUFI04G13240.1 pep chromosome:OR_W1943:4:16743313:16745167:1 gene:ORUFI04G13240 transcript:ORUFI04G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTVSGLAGATLARRPAFSTGFTTGARVSARNPLLTRNLERNGRITCMTFPQDWLRRDLNVIGFGLIGWIAPSSVPAINGNSLTGLFFSSIGQELSHFPSPPALDSPFWLWLVTWHLGLFLALTFGQIGFKGRTEGYFDK >ORUFI04G13250.1 pep chromosome:OR_W1943:4:16746885:16748522:1 gene:ORUFI04G13250 transcript:ORUFI04G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAVLRPSPPVATLLGRCRTARCLAQLHARIVRLGLHNHHALLARFAAACDALSCPSVAASLLSVAVPVRLRNAVLASLARHAPLRDALAQFNLLRGGASRPDAFSFPPLLCACARASSLPTGASLHAAAIRLGVDADLFVRTALIQFYGRCGAAAAARALFDSLTNPSEVSWTAIVTAYVNSGDILTARELFDQIPHRNVVHWNAMVDGYVKCGDLEGARKLFDEMPERTPAAYTSLIGGYLNAGNMGAARTLFDKLEDRDLFAWSTMISGCAQNGYPGEALRIFNEFQKQEICPDELVIVGLMSACSQLGNITLARWIEGYIMIYPIDMNNVHVMAGLINMNAKCGNMERATLLFESMSVRDVFSYCSMMQGHCLHGSASKAVELFSQMLLEGITPDNAAFTVVLTACSHAGLVEEGKRYFDMMKNEYMIVPSGDHYACLVSLLGRFGMLRDAYELIKSMPGEPHPGAWGALLGGCKFHCDIELGKIAAKKLFEIEPENAGNYVSLSNIYANIDRWGNVSETRAEMTGRGITKIAGCTLVLQ >ORUFI04G13260.1 pep chromosome:OR_W1943:4:16755910:16757354:1 gene:ORUFI04G13260 transcript:ORUFI04G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLTPSNSTRSELLPFHRLQSPSSARLTGPTQQQVGYLSIGMSRSNKKSSRGIDLKLNLSLPARGDSSSRRAMAADEESSPSSCLSSENEHGLQWSNSPEATSMVLAACPRCFIYVMLPQDDPRCPQCKSPVILDFLQQDNGNNNANSNSSRKTRRG >ORUFI04G13270.1 pep chromosome:OR_W1943:4:16766878:16768000:1 gene:ORUFI04G13270 transcript:ORUFI04G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLNPPHLHFGKLRKRARAVAAGGGRQPAAAMAKKGLVGILYKLRDVHHRAPPKPTSPSSSSSPHCHGRHQLCYPPAPSSWPWPSCRHPRTSSFRWPTAPQQGQADDDAAAAAGSVYRTVNTVYDTSSLEHFNPRRSSLDEASSCIADRSFFAVESEVEVEEEKEKEKELQLRETAVVRGVRSERLFFEPAGAEFLPKQEMARGKNDDEATAMDVVARKNDDVDEATPMTTPQTGKNEAEAAEAAALKGGAVVLTVESEDPYGDFRSSMADMVAAHGLRDWEGLEELLAWYLKLNAKGVHGVIVGAFIDMLVSLASSPIPSQSPSSSCITFEDYSSATMEEES >ORUFI04G13280.1 pep chromosome:OR_W1943:4:16773791:16777244:1 gene:ORUFI04G13280 transcript:ORUFI04G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEFLTKALTALFGYAMPALECFKAIEQRPGRTDHLRIILVILVIFDDIAGVLTSKIPMYSELRLAFLVYLWYPQTRGTDIVYDTFLRPLVMQYQPNIEERLRYLRANAGDILIFYLKNFTDRGYDLFLRGMEYIRSQTSRGSRTRRWFSFGGDRAERSSYVDDYVAGGGDRRSTARHRRPRDDY >ORUFI04G13290.1 pep chromosome:OR_W1943:4:16777432:16779047:-1 gene:ORUFI04G13290 transcript:ORUFI04G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDASSNRPRMIVSSIDFEFQSSLDGARQTGAAATSDSNGSDNFSSSGAAPAGAEARLPPISRRLHSYDDLVHAAAAAAHDAYFKRCHTTPGYVSFEDVIGSQEFEESSRRPPEAGISYPLLRATSRLYARPHPALHRRRSPGPLGTRRGGPVYRFVKRYVCPCLGFVAGIIGVKQSSLLVGSSASLSRVRLGGKTLAARAATPAHPNHLAATGARRRKAVRPVGKAAAGPRELAKLFLSAGGRSGARRWGAPAAGDGKGAADPTPLEADPARGRGDA >ORUFI04G13300.1 pep chromosome:OR_W1943:4:16782388:16782942:1 gene:ORUFI04G13300 transcript:ORUFI04G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMGDDGMGPMAMAPPRSGHATAAAPPPPQHKMAMMMHMTFFWSDRAVVLFRGWPGERGAGMYALCLLFVLALAALTEGLSVLSRRLARRGAAAASSDGGRPAPAPASSAALLTAVHAARMGMAYLVMLAVMSFNVGVLLAAVAGHALGFLLARSRVRPAARDGGGGVACEHGGLPPADGSKT >ORUFI04G13310.1 pep chromosome:OR_W1943:4:16789184:16790027:-1 gene:ORUFI04G13310 transcript:ORUFI04G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAAAAPDSRPHSRSLPPASSNPSPAHRRPASLSPTRGWLASLSPARGRPASLSPVRGRLASPSPCADGQRAPSPCANGRRAPPLRADGRRATPPCTDGRRVPPPCAIVVAAATTPQRISHYLTHHPRATWEALSTAFPTADQVDVVLLSLAKHRHSSSSPELVARNALTFFYWAASSSPSSSIPHSLRAYYLLVHLLSRSALVRRRSPPPLHTVVFPRRERPGRRERQGREGQEEGKKREDDSAT >ORUFI04G13320.1 pep chromosome:OR_W1943:4:16791975:16793149:1 gene:ORUFI04G13320 transcript:ORUFI04G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSPHLLRLGVVVVVLALVVVAAAAADGAGECGATPPDKMALKLAPCAKAAQDPGARPPAACCAAVRTIGKQSPKCLCAVMLSSTTRNAGIKPEVAITIPKRCNIADRPVGYKCGDYTLP >ORUFI04G13320.2 pep chromosome:OR_W1943:4:16791830:16793149:1 gene:ORUFI04G13320 transcript:ORUFI04G13320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIRGVVVVVLALVVVAAAAADGAGECGATPPDKMALKLAPCAKAAQDPGARPPAACCAAVRTIGKQSPKCLCAVMLSSTTRNAGIKPEVAITIPKRCNIADRPVGYKCGDYTLP >ORUFI04G13330.1 pep chromosome:OR_W1943:4:16795583:16799560:1 gene:ORUFI04G13330 transcript:ORUFI04G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGALLLANPAAPTARHQHRLLQQRRQPRLLASSRPPRWRLSAVQETKEGEAQTAEEITEKYGLEFGLWKVFSSKEGEEEEGKTRKSRTEQAKELLAKYGGAYLATSITLSLISFTLCYLLVSAGVDVQDLLGKVGIATGETGGKVGTFALAYAAHKAASPIRFPPTVALTPVVASWIGRIKKGGD >ORUFI04G13330.2 pep chromosome:OR_W1943:4:16795583:16796300:1 gene:ORUFI04G13330 transcript:ORUFI04G13330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPIIFLLLAAAAAIAAPWQVAHAAGKCGKTPAEKVALKLAPCAKAAQDPGARPPAACCAAVRDIGTHQSHACLCAVLLSSTVRRSGVKPEVAITIPKRCKLANRPVGYKCGERLQL >ORUFI04G13340.1 pep chromosome:OR_W1943:4:16799042:16803573:-1 gene:ORUFI04G13340 transcript:ORUFI04G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGRPPAAQHIVRSVRQRFVPLPPPLARAPFAAAPGDYHRFAAASRGGEIEEGIVIRRTPLKRKTPCGESEAAESSERSPGNPSTPASSRYDNSLGLLTRKFINLLKQTQDGILDLNDAAKILDVRKRRIYDITNVLEGTGLIEKKLKNRIRWRGSDDSGTNLDSDISCLKTEVENLYIQEQALDRSISEIREKMEELTEDESNHRWLFVTEDDIKGLPCFQNEALIAIKGPRGTTVEVPDPDEAGDYLQRRYRILLRSTMGPIDIYLVSQYKKMEELGETATPPRHASVVEPPSIATEAGHSSKQTMPLNVQQDIQETPELNASRAFGRMKKITPSDVDTDADYWLLTDDDISITHMWTTASEMQWDQIDTNDFLAEEISDTPCALNQPSAAASEPTGVGFNHG >ORUFI04G13350.1 pep chromosome:OR_W1943:4:16808473:16813327:1 gene:ORUFI04G13350 transcript:ORUFI04G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDQGFIKSKCMFVSSAEITAFSDRYEEFEKINTEVLGVSIDSVGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETLRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKDSKEYFASI >ORUFI04G13350.2 pep chromosome:OR_W1943:4:16808473:16813694:1 gene:ORUFI04G13350 transcript:ORUFI04G13350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDQGFIKSKCMFVSSAEITAFSDRYEEFEKINTEVLGVSIDSVGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETLRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKDSKEYFASI >ORUFI04G13350.3 pep chromosome:OR_W1943:4:16808559:16813694:1 gene:ORUFI04G13350 transcript:ORUFI04G13350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFDQGFIKSKCMFVSSAEITAFSDRYEEFEKINTEVLGVSIDSVGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETLRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKDSKEYFASI >ORUFI04G13360.1 pep chromosome:OR_W1943:4:16816270:16819726:1 gene:ORUFI04G13360 transcript:ORUFI04G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQALTAEAAAVVKQAVTLARRRGNAQVTPLHVASAMLAPPGGLLRAACLRSHSHPLQCKALELCFNVALNRLPASAAVASSPLLGGHGHGHHGHYYPPSLSNALVAAFKRAQAHQRRGSVETQQQPVLAVKIELEQLVVSILDDPSVSRVMREAGFSSTQVKANVEQACSTTTATSAPPNQNPNPSCTGAAATATATTSPAHPPEIKAKLPLLDMLARDEDIAAVLDCLAPAAAGGRGGGGSRRRVVVVAESTAAAEATARAAVDRVRRGEAKQHDALRGAQVVSLRVSSFRDMPREEAERRLAELRCLVKSRGARVLLVVEDLKWAADFWAAAHAGARRVGSGGGGGYYCSVEHVVTEVRALASCDGGIWLVGFGTYQTYMKCRAGHPSLESMWGLQTLAVPAGSLALSLTCAFDDSALGAVNQSMKASPHTTDGNRPAPSCGPLLGGSHLLSRCCGGDCSAATTTHEHDTKASLPRSFVSSSSLPSWLQHCRDQQLQESTHFADLGKTWGSICGKPSQRMTLHFSAPVSPASSISSYEHGHGHQQQQHQPHHSWLLADLDAKHPWKPKREDDDDEKAKSHDDCSGASNGSVEVECRSRFKELNAENLKLLCAALEKEVPWQKEIVPEVASAVLQCRSGIAKRRDRSRSTEAKEETWLFFLGGDAHGKERVARELAGLVFGSRKSFLSVKLGASSSSPSASGSTEDHHRSKRPRTTTTSSASEAYLERLYDAVSENPHRVILIEDVEQGDHRWQVGVKEAIDRGVLRSQAGDEVGVGDAIIILSCESFEARSRAGSPLMNKKMKVEKEEANTSDHDHKLEIESGAPSSCFDLNLDMESDQAADELSSGDVCLLTAVDRVLLFRRQDEM >ORUFI04G13370.1 pep chromosome:OR_W1943:4:16828036:16828785:-1 gene:ORUFI04G13370 transcript:ORUFI04G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKHHRDWILRRCCGSIAACILTLAVLVGFIVLVIYLAIHPSKPSFYLQDVQLRNIDLSDPAISLNLQVTIASRNPNDRVGVYYKTLHVFTTYREEPITVPVELPAIYQGHKDVSVWSPVMSGESVPVGQYVADAMRQDIAAGYVLLHVKVDGRVKWKVGSWVSGGYHLFVTCPALLAASGGNVGGAFAMSATAGGGAGGNATVSLKFAQAADCTVDV >ORUFI04G13380.1 pep chromosome:OR_W1943:4:16835605:16842420:1 gene:ORUFI04G13380 transcript:ORUFI04G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERPPPISGGGAFAFISKGWREVRDSASADLRQMRARADRELEHLLASASALAGPPLPPVAAGAPIAEVEFVRKRIQPKIMELRRQYSSTVRDAGWAPKAAGASLRVDLSGITAIRNAIVAEGGGGGGGGGRWGLVRWKGHADDEGRKEWEVVRMIRSGLKEFERRSLSSEVFGGFRGRGEFVEKFKLSLKSLNKESQESKEVLPLDLTEILAYLVRQSGPFLDQLGIRRDLCDKIVETLYSKHNGRLIYHSLSADRSLIGNENMTDELDLRIARVLESTGHHTEESFWKDHAKYKLSDNRRHVAIVTTASLPWMTGTAINPLFRAAYLARSTKQKVTLVVPWLCKSDQELVYPNNITFSSPEEQENYIRNWLQERLGFEANFKISFYPGKFSKERRSIIPAGDTSQFISSSEADIAILEEPEHLNWYHHGKRWTDKFKHVIGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCHKVLRLSAATQDLPRSVVCNVHGVNPKFLKVGEKIAADKEHGQQSFTKGAYFLGKMVWAKGYRELIDLLSKHKSDLEGFNVDVYGNGEDSQAVQMAARKLNLSLNFFKGRDHADSSLHGYKVFINPSVSDVLCTATAEALAMGKFVICADHPSNEFFKSFPNCLTYKTSEEFVARVKEAMASEPSPLTPEQRYSLSWEAATERFMEYSELDKVLNNKIGYSGQDGKRSKVRKIPLLPRLSEVVDGGLAFAHHCLTGNEILRLATGAIPGTRDYDKQQCMDLNLLPPQVQHPVYGW >ORUFI04G13380.2 pep chromosome:OR_W1943:4:16835605:16839647:1 gene:ORUFI04G13380 transcript:ORUFI04G13380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERPPPISGGGAFAFISKGWREVRDSASADLRQMRARADRELEHLLASASALAGPPLPPVAAGAPIAEVEFVRKRIQPKIMELRRQYSSTVRDAGWAPKAAGASLRVDLSGITAIRNAIVAEGGGGGGGGGRWGLVRWKGHADDEGRKEWEVVRMIRSGLKEFERRSLSSEVFGGFRGRGEFVEKFKLSLKSLNKESQESKEVLPLDLTEILAYLVRQSGPFLDQLGIRRDLCDKIVETLYSKHNGRLIYHSLSADRSLIGNENMTDELDLRIARVLESTGHHTEESFWKDHAKYKLSDNRRHVAIVTTASLPWMTGTAINPLFRAAYLARSTKQKVTLVVPWLCKSDQELVYPNNITFSSPEEQENYIRNWLQERLGFEANFKISFYPGKFSKERRSIIPAGDTSQFISSSEADIAILEEPEHLNWYHHGKRWTDKFKHVIGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCHKVLRLSAATQDLPRSVVCNVHGVNPKFLKVGEKIAADKEHGQQSFTKGAYFLGKMVWAKGYRELIDLLSKHKSDLEGFNVDVYGNGEDSQAVQMAARKLNLSLNFFKGRDHADSSLHGYKVFINPSVSDVLCTATAEALAMGKFVICADHPSNEFFKSFPNCLTYKTSEEFVARVKEAMASEPSPLTPEQRYSLSWEAATERFMEYSELDKVLNNKIGYSGQDGKRSKVRKIPLLPRLSEVVDGGLAFAHHCLTGNEILRLATGAIPGTRDYDKQQCMDLNLLPPQVQHPVYGW >ORUFI04G13390.1 pep chromosome:OR_W1943:4:16841505:16844471:-1 gene:ORUFI04G13390 transcript:ORUFI04G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAVETTPAAAAAAENGGQVVVVVGGGWVDGCWARVRAAVEMAGRWVGGLARKVGGIAADDPRRVAHSLKVGLALTLVSVLYYVTPLFKGFGVSTLWAVLTVVVVMEYTVGGTLSKGLNRAFATLVAGFIAVGAHQVANRCGAQGEPILLAVFVFLLASAATFSRFIPEIKARYDYGVTIFILTFSLVAVSSYRVEELIQLAHQRFSTIVVGVATCLCTTIFVMPVWAGEDLHKLAAGNLDKLADFLEGMETECFGESATSESLEGKAFLQAYKSILNSKATEDSLCNFARWEPGHGKFSFKHPWSQYQKIGALSRQCASSMEAMASYVITLTKSQYPEANPELSFKVRTACSEMSSHSAQALRELSAALRTMTVPSTTSMSAAIKAAKTLRSELSEDKALLQVMHVAVTASLLSDLVTQVKKIAESVDNLARLACFKVPEKSQKEVAINIMS >ORUFI04G13400.1 pep chromosome:OR_W1943:4:16856614:16857966:-1 gene:ORUFI04G13400 transcript:ORUFI04G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESSPAAAPAVEVPSYFVCPISLEIMRDPVTLSTGITYDRESIERWVFTDGHGECPVTKQRLAPADREPTPNHTLRRLIQGWCAVHAVERFPTPRPPVNAARVAAIVDAARPLLRRRRQREELMASLRELADIVAESDRNRRCVQGASGAVEFLLSVVKERASVAGVDDATSAKPEETTCGGVHDPAKASSPEEAALSILHSLKLSEESFKRVLEGSGGEDFLETMACVLRRPSYLSRMQGIHLLKSALPAMAPARLTSARAALVDGVVGVVADRPSAKAVKVALHVLCRLCPWGRNRVKAVDAGAVSALVRLLLDEGCGGGGGDRRACELAAVAIDHICGCAEGRLALVAHPAGLAAVACAATRLPAAAGAESAVRALHAVARHSATSAVLQEMLAVGVVARLLFLVQVGASGERTRARAREMLKMHARVWRDSPCLASHLNASYPR >ORUFI04G13410.1 pep chromosome:OR_W1943:4:16864048:16864407:-1 gene:ORUFI04G13410 transcript:ORUFI04G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAHQQKIVLKHIKANHAQAFKLYTYTAIPGGPGNGGKLNVAPLLPSDGSRWMWRFLPTGAIVLLRQGTWRGVRVRWLGLASSGMAAWLRRQRSAEVEVAKSCARVAIQGQDEKRQAG >ORUFI04G13420.1 pep chromosome:OR_W1943:4:16872769:16873392:1 gene:ORUFI04G13420 transcript:ORUFI04G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQRLTGPGSGPPAPPHQGEAQAQDYPMMDEAAAQQFFPPELLLSPSAAMSPAARLATIERSVRPMPEPAPEYVDITNGGGGGGVDDGGLAAILGSIRPGILSPLPSSLPPAAVPGQFSPLPFDASCISWLNELSPILRAASAGAASSGSGGGGSGGNTSNGGAARPPPSYYADPFVPSPRHLLATPTVPSPATCAELFSNLPDL >ORUFI04G13430.1 pep chromosome:OR_W1943:4:16874811:16875862:-1 gene:ORUFI04G13430 transcript:ORUFI04G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSIHASRRSSSPTAASSPVRATSELIADGRVLRHPRLTPELVAAAGILHPTPELVAVATSSIIHTPCRSSLPTAASSPVHATLELVAGVRVLLAPRLSTPATAASPAVATTHVHKLQRRARAARQHSTSATAAKPHASLPSSAGARVFGRPAAAAAAAHSEYNIVRAGCGCSQ >ORUFI04G13440.1 pep chromosome:OR_W1943:4:16882521:16885447:-1 gene:ORUFI04G13440 transcript:ORUFI04G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSESDRDDIFFDAFDDVTSTREPSLSDDCSTSDEGLASRRFEYDIWGNEPMSVEERRQRFLKGMGFDEFLATRVDFSQPQGEITTVGPFADLGLEESTTSDISSVNSSVPENESVSDASCCIGDIDSGERYTVQNDGYGELTSMLKDVASHKVVSLLEFDGVPGLSQSVQKLLRKVYSSSMEEKKNVFNKKKGVKSLWKSFMKNRSFGGICKHDVNVKNCTIGIPSRTKVQHRKKKTMEFSAVHLGQEIQAHKGLIKVMKFSPSGWYLATGGEDCIVRIWQIMEVEASSKLHGGDNPQNYDDKITIIKTELGRGKNHALAVVPKKGFRISETPLHEFQGHTDDILDMAWSESDYLLTSSKDKMVRLWKVGCDGCLGLFKHKDYVTCVQFNPIDERYFISGSIDGKVRVWDALDKRVVDWADTRKIITALSYQPDGKGFIVGTTSGECRFYDQSGENIQLDKELFMQGKKSAVHRVNSLQSRSSDSSRITITSTGSKIRVADGVDIIQKFEGPWNLKALSSPSLTSDGRYLISAGLDSNVYIWNFDIPSVADHKGEAKSVRSCEKFFSKDVTTAVPWPGLHQERQQVKNSSSLTEESVSSPILHRHGERRSPAARCFADGMKGTPTWPEEKLPPAKAADAPRLSDCLSTISPAWNTVIVTASRDGVIRSFHNYGLPVRL >ORUFI04G13450.1 pep chromosome:OR_W1943:4:16896883:16897802:1 gene:ORUFI04G13450 transcript:ORUFI04G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILAYEYVQQHVVLAAVSFHFTCAYITIPLKPRNPLRANSNPRQSHPPMKKQAAAARCNALFVDRFEKIMMYPLVASLEYIFCFGGWTASCVVFFHLIVSFYGTEMTENLCSCDGMTAEEAAAMRGVEACMLLSCAAQMAAAAAAMALTTATATWGRRPRRARAVRRASASVALAVAGLTLWLWCVYLRFLPGLRCFRCFGVLRRVAVAAVALGFATPVFAFVALGSHAVVRGDEAEWDE >ORUFI04G13460.1 pep chromosome:OR_W1943:4:16898652:16902292:1 gene:ORUFI04G13460 transcript:ORUFI04G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPHKRTKHKKHHDKTPRFHPPHHETSPFVSASVASISPRSLSPAMAAALRSSCAAARRLLRISPAALSTLTAASSRPAAVAPLARPIVAAAVSGGNNAFSWNLRRLFSSNEKHLPAISDPEVESAFKDLMAASWTGLPDSLVIEAKKAASKATDDKAGKEALLNVFRAAEACEEFGGVLVTLRMALDDLCGITGENVGPLPGYIEDAVKSAYKRYMKYLESFGPEENYLRKKVENELGTKMIHLKMRCSGVGSEWGKITLIGTSGISGSYVELRA >ORUFI04G13470.1 pep chromosome:OR_W1943:4:16906312:16906593:-1 gene:ORUFI04G13470 transcript:ORUFI04G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYWQRSCRKKKAHHHLQFRWSSLLVLLLLLLLLLMVPRCKASRGMQTFKARPLERGASNHFLGFLPRGPIPPSGPSRQHNSIGLDSQLQTP >ORUFI04G13480.1 pep chromosome:OR_W1943:4:16920303:16920698:1 gene:ORUFI04G13480 transcript:ORUFI04G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLPLLFPSFSPLLGTRAVGRGWRKLNGGSGAADGEARRRWPSGYTSTCPAAAVRWRREEGSRVGRAPRRGEVVVYAAVEAVEGVGEGDEDTGEREQGEEGGGAVIGAVVGEGGRQQLERHHRSRREQVR >ORUFI04G13490.1 pep chromosome:OR_W1943:4:16920657:16920851:-1 gene:ORUFI04G13490 transcript:ORUFI04G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPAVVRQRPAVGKQAGEGESAATGDGGGRRPPAAARPSLFSQALAPTASLANLLPTGTMMAF >ORUFI04G13500.1 pep chromosome:OR_W1943:4:16930242:16931567:1 gene:ORUFI04G13500 transcript:ORUFI04G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKESSTTTTTTAAAAAATRRSMRLPPQHQALEVKIPSFFRCPISLDVMRSPVSLCTGVTYDRASIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRSLISHWSSSSPATSGDASVTSSPAGLVRQVASPDADPSAALRQLAAYLSDDDVDEFEKNALVGAGGAAEAVASVLRRKGEREVGVEGCEAAVRVLAAVVAMDGVEDANKRRVAAGLAADAAASAASLARVMRGASGLEARVDAARLVEFLLANEPAGLVAGRVRLVGPADEKGSLDARAVGAGLSCLATISRSRRAARAEMVRAGAVRAAARALRATAADPAASARALRVLESAVGCAEGRAALCEDAEQAVPAVVGRMMKSGRDGAEAAVAVLWAVCHKYRDRRAADAAAASEGGLTRLLLLLQSGCSPAARQMALELLKIYKVNAKSCLAGYDSKTTHIMPF >ORUFI04G13510.1 pep chromosome:OR_W1943:4:16938306:16938659:1 gene:ORUFI04G13510 transcript:ORUFI04G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKVALLVVLVAMSVVLLETQAKTKPKAEEKPPKTKEHRLPSHPDKPPPYRNSHTKTNTPRTPLYAPPPPLPHTSPTPEPTPPTYSPIAKTTIRADRWRRRSEGEACVRVWGGVPC >ORUFI04G13520.1 pep chromosome:OR_W1943:4:16941833:16942163:1 gene:ORUFI04G13520 transcript:ORUFI04G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPSAVAAWVLVPASALPGVLVGWPRRVQDGRDGGGRGRMPGQGGAGARAMGWWAELGC >ORUFI04G13530.1 pep chromosome:OR_W1943:4:16942264:16943187:1 gene:ORUFI04G13530 transcript:ORUFI04G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTSLGVVPLLGGVVLALTSPSTKNPLLAMGHWWIPAMSPKLYKPRSELLRCRGATKLGNDDTMQSLYWIIDASWQCTLDTALYLDAISSLSWNISNVPKLQQSLIEQMLSHNNEIPGLISGGFMKA >ORUFI04G13540.1 pep chromosome:OR_W1943:4:16947173:16948659:1 gene:ORUFI04G13540 transcript:ORUFI04G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKAALLMALVAMSVVLEARADAGGYGGGYTPTPTPVKPAPKPEKPPKEHKPPHHHEPKPEKPPKEHKPPAYTPPKPTPTPPTYTPTPKPTPPPYTPKPTPPAHTPTPPTYTPTPTPPKPTPPTYKPQPKPTPAPYTPTPTPPTYKPQPKPTPAPYTPTPTPPTYKPKPKPTPPPTYKPQPKPTPTPYTPTPTPPSYKPQPKPTPTPYTPTPTPPSYKPQPKPNPPPTYKPQPKPNPPPTYKPAPPTYKPQPKPNPPPTYKPAPKPTPTPYKPAPPTYKPQPKPTPTPYTPPTYKPQPKPTPTPTPYTPTPKPNPPPTYKPQPKPTPTPTPYKPQPKPTPSPYTPTPKPTPTPPTYTPTPTPPYHKPPPR >ORUFI04G13550.1 pep chromosome:OR_W1943:4:16963061:16966508:1 gene:ORUFI04G13550 transcript:ORUFI04G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSDQANQARGQCLSDPRVVSCNATAASAAGALVGGDGGRRWGAGGSWEAGALSPEAWGIGGGAKGRSCWDGGEPARVVLLAAQEPEDEGEVVGECEAAMAGVLARKYQQEHSLQMEMELVLYQ >ORUFI04G13550.2 pep chromosome:OR_W1943:4:16963061:16966764:1 gene:ORUFI04G13550 transcript:ORUFI04G13550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSDQANQARGQCLSDPRVVSCNATAASAAGALVGGDGGRRWGAGGSWEAGALSPEAWGIGGGAKGRSCWDGGEPARVVLLAAQEPEDEGEVVGECEAAMAGVLARIGVDGPSSSKATSQPPIR >ORUFI04G13560.1 pep chromosome:OR_W1943:4:16967398:16968110:-1 gene:ORUFI04G13560 transcript:ORUFI04G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGANCIRAAAWADGWRRRRRSSRRARPDRGPWSARRSMGGRTAAVWPSGAAVVALGWAWRLVGPEAGSEGSDGSAKGAGGSGSSSSLPACTLALPGAPPLLCGEFLCWIEAAAGELRPPTRRRPIPGYPSAKAGKEVDGWQDGGSLGQFLGWRQLYAASVVVGRWRGAGAVWWRPRADLLVRWFLS >ORUFI04G13570.1 pep chromosome:OR_W1943:4:16969897:16972254:1 gene:ORUFI04G13570 transcript:ORUFI04G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRILLHTPFSGQPSGPSQPVSGATIVEGGSPGSNFDANIVMILAVLLCALICALGLNSIVRCALRCSSRMVVDPEPSRVTRLAQSGLRRKALRSMPILLYSTGLKLNTVSPMCAICLSDFEDGEHVRVLPKCNHGFHVRCIDRWLLARSTCPTCRQSLFGAPQKASGCSESEGSQAEPAPARPVLAPLRPEVLHQQSTIMLVGNQALVAKVQVSGTSIFSCNYREIRALLSECIVVIYVPELTGGSTADYALCTLAILCCLLQKLER >ORUFI04G13580.1 pep chromosome:OR_W1943:4:16976236:16976718:1 gene:ORUFI04G13580 transcript:ORUFI04G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ORUFI04G13590.1 pep chromosome:OR_W1943:4:16978662:16981085:1 gene:ORUFI04G13590 transcript:ORUFI04G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLVILGLHLCSLHLPAISAAADTLSPGQSIAGDDRLVSSNGKFALGFFNTGSKSSGNDTLSYWYLGIWFNKVPNKTHVWIANRGSPVTDATSSHLTISPDGNLAIVSRADSSIVWSSQANITSNNTVAVLLDTGNLVLQSSSNSSHILWESFDHPTDVFLPSAKIGLNKITGLNRRIFSRRDLVDQAPSVYSMEFGPKGGYQLVWNSSVEYWSSGEWNGRYFSRIPEMVVKSPHYTPFIFQIEYVNNDQEVYFTYRIHDDTIPLYTVLEVTGQRKALAWLNDTQGWQAVFTHPNDQCEVAATCGPFTICNDNTFPSCSCMEGFSIESPDSWELGDRTGGCRRNIPLDCVSSRSDIFNAVPATRLPYNAHAVESVTTAGECESICLGKCSCTAYSFGNYSGCSIWHGKLVNVKQQTDDSTSANGETLHIRLAARELQARKSNKGLVVGVVVSASLSALGILTLVLLLIMIRRHRKKLHCQALNSIYAGTGVIPFRYSDLHRATKNFSEQIGAGGFGSVFKGLLNGSTAIAVKRLVSYCQVEKQFRAEVSSIGVIHHTNLVKLIGFSCKGDERLLVYEYMSNGSLDTHLFRSNNSVTLNWSTRYQIALGVARGLAYLHESCRDCIIHCDIKPQNILLDDSFVPKIADFGMAKLLGRDFSRVMTTARGTIGYLAPEWFSGVAVTPKVDVYAYGMVLLEIISGKMNSHRESNSYADHIVCFPLEVAHKLLEGDVLSLVDGKLNGDVNVEEAERACKLACWCIQENELDRPTMGKVVQILEGLLELDLPPMPRLLQSIVQSSWKTETQH >ORUFI04G13600.1 pep chromosome:OR_W1943:4:16982987:17026832:1 gene:ORUFI04G13600 transcript:ORUFI04G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPFFSQLLATMHSIVALIIIIVFELFLLSQLHIPSCHAATLDAISPGQELAAGDKLVSSNGRFALGFFQTDSNKSSSNSTPNIYLGIWFNTVPKFTPVWVANGENPVADLASCKLLVSSDGNLAIVATTHAKNSSMVWSSKANIPTNTTHAVLLDDGNLVLRSTSTTNASSTILWQSFDHPTDTVLQGGKIGWNNATGVNRRLVSRKNTVDQAPGMYSFELLGHNGPTSMVSTFNSSNPYWSSGDWNGRYFSNIPETVGQTWLSLNFTSNEQEKYIEYAIADPTVLSRTILDVSGQLKALVWFEGSRDWQTIFTAPKSQCDVYAFCGPFTVCNDITFPSCTCMKGFSVQSPEDWELDDRTGGCVRNTPLLCNSNKTAAGTADKFYPMTSVQLPDKAQIIGAATSADECAAACLNSCSCTAYSYGEGGCSVWHDKLLNVRQLGNGVLYLRLSAKEVLESRRNNRWGVILGASIGASTAALGLIFLLMIWIRKGKRYNLTMDNVQGGMGIIAFRYVDLQHATKNFSEKLGAGSFGSVFKGSLSDSTIIAVKRLDGARQGEKQFRAEVSSIGIIQHVNLVKLIGFCCEGDRRLLVYEHMPNSSLDAHLFPSSGAVLSWTIRYQIALGVARGLAYLHSSCRDCIIHCDIKPENILLDSSFTPKVADFGMAKFLGRDFSHVVTTMRGTIGYLAPEWISGTAITSKVDVYSYGMVLLEIISGSRNSSKQSSRDGVHEACFPVQVARNLLNRDIDSLVDANLHGEVNLEQVERVCKVACWCIQDNEFDRPTMSEVLQFLEGLSEVETPPMPRLLHTLAGESKSSTTVVLVRERLGRASYQAPNDESYGRAAARQAYHTDEVPPSAANADLSTPPSTRSRSRSRAPHRLTKELNSDRPQLLTMALLIFVVLLFALSIPASSATIDTISIGTALAKNDKLVSENRRYALGFFETQRKASQKTSKWYLGIWFNQVPKLTPAWVANRDKPIDDPTSVELTIFHDGNLAILNQSTKSIVWSTQANITANNTVATLLNSGNLILTNLSNSLEVFWQSFDYPTDTFFPGAKLGWDKVTGLNHQIISWKNSIDPATGSYCKELDPSGVDQYLLLPLNSSTPYWSTGAWNGDYFSSIPEMKSHTIFNSSFVDNDQEKYFRYDLLDERTVSRQILDIGGQEKMFLWLQDSKDWTLIYAQPKAPCDVYAICGPFTVCIDNELPHCNCIKGFTVTSLEDWELEDRTDGCSRNTPIDCINNKTTTHSTDMFYSMPCVRLPPNAHNVESVKSSSDRLKDSQFCNGIISFEYIDLQRATTNFMERLGGGSFGSVFRGSLSDSTTIAVKRLDHACQIPQGDKQFRAEVSSIGTIQHINLVKLIGFCCEGGRRLLVYEHMSNRSLDLQLFQSNTTISWNTRYQIAIGIARGLSYLHESCQDCIIHCDIKPENILLDDLFIPKIADFGMAKLLGRDFSRVLTTVRGTAGYLAPEWISGVPITPKVDVYSYGMVLLEIISGRRNSYTSSPCVGDHDDYFPVLVVRKLLDGDICGLVDYRLHGDINIKEAETACKVACWCIQDNEFNRPTMDEVVHILEGLVEIDIPPMPRLLEAILALIMALLIYVVLLFSLCISANAAMTDTISVGNALGRKDKLVSKNGRYALGFFETERVEVSQKSSKWYLGIWFNQVPKITPAWVANRDNPINDPTSLELTIFHDGNLVILNRSAKTIIWSSQANITNNNTSAMLLSSGNLILTNPSNSSEVFWQSFDYPTDTLFPRAKLGWDKVTGLNRRIISWKNSKDLAAGVYCKELDPSGVDQSLLTPLNFFTPYWSSGPWNGDYFAAVPEMASHTVFNSTFVHNDQERYFTYTLVDERTVSRHIVDVGGQAKTFLWYEDLQDWVMNYAQPKSQCDVYAVCGPYTICIDNELPNCNCIKGFTITSHEDWELEDRTGGCSRNTPIDCTNNKNTTHSSDKFYSMTCVKLPQNEQNIENVKSSSECAQVCLNNCSCTAYSFSNGGCSIWHNELLNIRKSQCSDSSNTDGEALHIRLAAEELYSKKANKRVMVIGVVISASFALLGLLPLILLLLRRRSKTKFFGDTLKDSQFCNGIIAFGYINLQRATKNFSEKLGGGNFGSVYKGSLSDSTTIAVKRLDHACQGEKQFRSKVSSIGIIQHINLVKLIGFCCEAGKRLLVYEHMPNRSLDLQLFQSKTTITWNIRYQIAIGIARGLAYLHENCQDCIIHCDIKLENILLDASFIPKIADFGMAKLLGRDFSRVLTMVRGTAGYLAPKWISGVPITPKVDVYSYGMVLLEIISGRRNSRTSCSCGGDHDVYFPVLVARKLLDGDMGGLVDYRLDGEIDIKEAEIACKVACWCIQDNEFNRPTMGGVVQILEGLVEINMPPMPRLLEAIAAGSSNLTSSSASFSSDHLSYSFTMSLLIFIVLLFSLCIPASSATTDTISAGQTLAKDDKLVSKNGRLHGGIDKKEAEKAFKVACWCIQDDEFRRPTMGGVVQILEGLVEVDMPPMPRRLQAIAGSSNSTCSLLAICRSGFSKLVLDLFTMALPITVLFLLFTLHIPASCKVTDTISAGETLAGNDRLVSSNGKFALGFFPTSSKSSHNASNWYLGIWFNQVPKLTPAWVANGDEPVTGPTSPEATISGDGNLVILDQATKSIIWSTQADITANTTMVKLLDNGNLVLQNTSNSSVVLWQSFDYPTNTHLAGAKLGRNKVTGLNRRLVSRKNSVDPASGMYSYELTDNNGSARFILAALNSSIPYWSSGEWNGHYFGSIPEMTGQRLIDFTFVNNDEEVYFTYTLLDNATIMRFMLDISGQTKIFLWVEHVQDWVPTYTNPKQCDVYGICGAFTACEESKLPICKCMKGFSVRSPNDWELDDRTGGCVRNTPLDCGINRNTSMQDRFHPMPCVGLPSNGQIIEDVTSAGGCAQVCLSNCTCTAYYYGNTGCSVWNDELINVKQLKCGDIANTDGATLYLRLAAKEVQSIKSSGRSIIIGVAVTASVASFALALFLIAKIPRNKSWLLGHRRKNFHSGSGVIAFRHADLQHATKNFSDKLGAGGFGSVFKGLLNESTVIAVKRLDGARQGEKQFRAEVGSIGIIQHINLVKLIGFCCEGDRRLLVYEHMPNLSLDTHLFHSDATVLKWSIRYQIALGVARGLAYLHDSCQDCIIHCDIKPENILLDASFVPKIADFGMAKFLGREFTQVLTTMRGTIGYLAPEWISGTVITSKVDVYSYGMVLLEIISGTRNSSKEFATRDDYEYFPVLVAHKLLDGDAGSLVDQNLHGDVDLEQVERAFRVACWCIQDNELDRPTMSEVVQYLEGLLEVGIPPVPRLLQAIAGNPYSNCRDCIIHCDIKPENILLDASYVPKIADFGMAKILGREFSRAMTTMRGTIGYLAPEWISGTVVTSKVERACKIACWCIQDNEFDRPTMGEVVQSLEGLLELDMPPLPRLLNAITGGSHPLLFSLAIPGIPVVMVASRDTISPGESLAGNDRLVSSNGNYALGFFQAGGGGGGAPTWYLGTWLNRVPRGVVTPVWVANGDSPIAVDDPATAELAVSPDDGNLVIIVAKKSIAWSTSTALVANATTTTTNTTTAAAAVVATLFDGGNLILRRSSSNASSSHILWQSFDHPTNSLLPGAKIVRDKVTGLTRRLVSRKSTADQAPGAYSLQLDPSGAAQFVLVELTSGVVYWSSGEWNGRFFDSVPDMGAGSAFVSNSREEYFTSPTETATVITRLSLEVSGQLKSFLWYEGLQDWVVAASQPKSQCDVHATCGPFAVCDDGVLPSCGCMEGFSVRSPVDWELEDRTGGCARDAPLDCTAAAGNSSKSSDKFYSLPCVRLPHNAQNMAAATDESECANLCLSDCSCTAYSYGHGGGCRVWHDELFNVKQQQFNDHGTAKVELLHLRLAAKEVEKNGENGRRRMLIWILAGATLGFLVLVLLTLMICRNQKKWPGSSILGNVQGGNGIIAFRYIDLQRATKNFSERLGSGGFGSVYKGSLGDSNTIAVKMLHGVCQGEKQFRAEVSSIGVIQHINLAKLIGFCSQGSRRLLVYEYMPNHSLDVHLFQSNTTSMLSWTSRYQIALGIARGLAYLHESCRDRIIHCDIKPQNILLDASFVPKIADFGMATFMQRDLSRVLTTVRGTVGYLAPEWISGVPITTKVDVYSYGLVLFEIISGRRNSCDGHTSQGHNAAYFPLHVAHSLLKGDIQNLVDHRLCGDANLEEIERACKVACWCIQDADFDRPTMGEVVQVLEGVRELRVPPVPHLLQAVAGEPASACTEIFFKAFTSD >ORUFI04G13610.1 pep chromosome:OR_W1943:4:17027033:17038475:-1 gene:ORUFI04G13610 transcript:ORUFI04G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGADGLLPRFIWAAPLVGVVGETARGRRHDGTSGRRAAAAAAILDGAAAGDLSLSLPVGLRMVAKMTTQQDGVDTLTWALEKNNGYSSRFPCFGEGVYLLLGDVGALCCMDKLEPPAKPSANLLLLETSLSLPTSARLGDTVSMAVEEDEEEAQKDMMKERHDEADDEQGEGVTSTLERLDSEMILANYSRQKRLFYASAINTQILHSRKSHEALGRERRNLLGKGRWAGPVLMTWLSFGLHRSSASWAMEPRGGEPPPEMTGLTAAAGDLSLSLSQCHGSHEVALASNVKENGTVTG >ORUFI04G13610.2 pep chromosome:OR_W1943:4:17032932:17038475:-1 gene:ORUFI04G13610 transcript:ORUFI04G13610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGADGLLPRFIWAAPLVGVVGETARGRRHDGTSGRRAAAAAAILDGAAAGDLSLSLPVGLRMVAKMTTQQDGVDTLTWALEKNNGYSSRFPCFGEGVYLLLGDVGALCCMDKLEPPAKPSANLLLLETSLSLPTSARLGDTVSMAVEEDEEEAQKDMMKERHDEADDEQGEGVTSTLERLDSEMILANYSRQKRLFYASAINTQCGTRDQI >ORUFI04G13620.1 pep chromosome:OR_W1943:4:17027818:17029384:1 gene:ORUFI04G13620 transcript:ORUFI04G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNSGNLVLHNLLNSSDALWQSFDYPTHTFLPGAKLGWNKINGLNSRLVSRKNSIDLAPGKYSVELDPGGANQYIFTLLNSSTPYLTSGVWNGQYFPSVPEMAEPFIVNFTFVNNDQEKYFTYNLLYETVVFHHFLDVSGRTKRFVWLEGSQDWVMTFAQPKVQCDAFAVCGPFTICNNNELRFCKCMKGFSIKSPKDWDLDDWTDGCMRNTPLDCASNKTTSSLTDKFHSMSLPQNGYSIEAATNADKCALVCLSNCSCTAYSYGNGGCLVWHGELFDVKQKQCDGITDTNGGTLYIRLASREEQSQKKNTRGLIIAIALGLSFAALFMLAIALVIWWNKRKRYNCTSNNVEGESGIVAFRYFDLQHATKNFSEKLGEGGFGSVFKGFLHDSRTIAVKKLAGAHQGEKQFRAEVSSIGLIQHINLIKLIGFCCDNDSKLLVYEHMPNRSLDVHLFPTDTKILNWDTRYQIAIGVARGLSYLHDSCRDCIIHCDVKPQNILLSESFTPKIADFGRQSF >ORUFI04G13630.1 pep chromosome:OR_W1943:4:17029947:17036167:1 gene:ORUFI04G13630 transcript:ORUFI04G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISEINTAVLHHAFPLFIICFVMPLLHHVLLGFLLILLYLRTPTSSTAMDTVSPSRALVGSDRLVSNNSKFALGFFKPGNESYTNHNSYLGIWFNKVPKLTPLWTANGNNPVVDPTSPELAISSDGNLAILDHATKSIIWSTHANITTKDTIAILLNNGNLVLRSSSNSSIIFWQSFDYPTDTLFPGAKIGWDKVTGLNRRLVSRKNSIDQAPGIYSLELGLNGDGHLLWNSTIAYWSSGQWNGRYFGLTPEMTGTLMPNFTFVHNDQEAYFIYTWDNETAIMHAGIDVFGRGLVATWLEESQEWLIYYRQPEVHCDVYAICGPFTICDDNKDPFCNCMKGFSVRSPKDWELDDRTGGCIRNTPLSCGSRTDRTGLTDKFYPVQSIRLPHTAENVNVATSADECSQACLSNCSCTAYSYGKGGCSVWHDELYNVKQLSDSSSDGNGGVLYIRLAARELQSLEMKKSGKITGVAIGASTGGALLLIILLLIVWRRKGKWFTLTLEKPEVGVGIIAFRYIDLQRATKNFSEKLGGGSFGSVFKGYLSDSTIAVKRLDGARQGEKQFRAEVNSIGIIQHINLVKLVGFCCEGDNRLLAYEYMPNSSLDVCLFKANDIVLDWTTRYQIAIGVARGLAYLHTSCRDCIIHCDIKPENILLDASYVPKIADFGMAKILGREFSRAMTTMRGTIGYLAPEWISGTVVTSKVDVYSYGMVLFEIISGRRNSSHENFRDGDYSFFFPMQAARKLLDGDIGSLVDASLEGGVNLVEVERACKIACWCIQDNEFDRPTMGEVVQSLEGLLELDMPPLPRLLNAITGGSHPVTPQYFDSL >ORUFI04G13640.1 pep chromosome:OR_W1943:4:17040971:17043394:-1 gene:ORUFI04G13640 transcript:ORUFI04G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPCHVLLGLLLLLSLYTPASYAAATDTVSPGHSLAGSDRLVSNNSKFALGFFKPGNESSSYTNHNSYLGIWFNKVSKLTPLWTANGENPVVDPTSPELAISGDGNLAILDHATKSIIWSTRANITTNDTIAVLLNKGNLVLRSSSNSSNIFWQSFDYPTDTLFAGAKIGWDKVTGLNRRLVSRKSSVDQAPGIFSLELGLNGEGHLLWNSTVAYWSSGDWNGRYFGLAPEMIGDVMPNFTFVHNDQEAYFTYTLYDDTAIVHAGLDVFGIGFVGMWLEGNQEWFKNYRQPVVHCDVYAVCGPFTICDDNKDLFCDCMKGFSVRSPKDWELDDQTGGCIRNTPLSCGSSKDRTSLTDKFYPMQSIRLPNNAENVQAATSGDECSQVCLSNCSCTAYSYVKDGCSIWHDELYNVKQLSDASSDRNGGVLYIRLAAKELPSSERKKNGNISGFAIGATTATLFLMILLLILWRRKGKWFAHTLQKPESGIGVVAFRYINLQRATKAFSEKLGGGSFGSVFKGYLSNSTIAVKRLDGAYQGEKQFRAEVNSIGIIQHINLVKLIGFCCEGDNRLLVYEYMPNRSLDVCLFEANGIVLDWTTRYQVAIGVARGLAYLHNSCRDCIIHCDIKPENILLDASYVPKIADFGMAKILGREFSRAMTTMRGTIGYMAPEWISGTVVTSKVDVYSYGMVLFEIISGRRNSSHECFRDGDYSFFFPMQVARKLLNGDIGSLVDASLKGDMNLVEVERACRIACWCIQDNEFDRPTMAEVVQALEGLLELDMPPLPRLLSAITGGSHSVIPQYFDSV >ORUFI04G13650.1 pep chromosome:OR_W1943:4:17047742:17052797:1 gene:ORUFI04G13650 transcript:ORUFI04G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAGGGPEGESRESWQGSFLSPNAPALRFDQHEKIIIQIFSSNSSKIFWQSFDYPTDTLFAGAKIGWDKVTGLNRRIVSRKNSIDQAPGMYSLEVGLNGDGHLLWNSTVPYKSSGDWNGRYFGLAPEMIGVALPNFTFVYNDQEAYFTYTLRDDTAIVHTGIDVFGRGFAGTWLEGSQDWLIHYRQPIVHCDVFAICGPFTICDDKKDPNNNPFCDCMKGFSVKSPKDWELDDRTGECMRNTPLSCGSSKDRSDLTDKFYPMQSIRLPNNAENVQAATSGDQCSQVCLSNCSCTAYSYGEDGCSIWHDELYNVKQLLDAASDGNGVVLYVRLAAKELQISERKKSGTLIGVAIGASTGTLFLITLLLILWRIKGKWIIAHPLEKSEDSIGIIAFRHIDLQRATKNFSEKLGGGSFGSVFKGNLSDSTIAVKRLDGARQGEKQFRAEVNSIGIIQHINLVKLVGFCCEGDNRLLVYEYMPNCSLDVCLFKANDIVLDWTTRYQIAIGVARGLAYLHTSCRDCIIHCDIKPENILLDASYVPKIADFGMAKILGREFSRAMTTMRGTIGYLAPEWISGTVVTSKVEVYSYGMVLFEIISGRRNSCHENFRDGDYSFFFPMQAAHKLLDGDVGSLVDASLEGGVNLVEVERACKIACWCIQDNEFDRPTMGEVVQSLEGLLELDMPPLPRLLNAITGGSHPVTPQYFDSL >ORUFI04G13670.1 pep chromosome:OR_W1943:4:17059702:17065579:-1 gene:ORUFI04G13670 transcript:ORUFI04G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRGEEEEEELQMALRMSLQGSPPAQQPEPKRSKPPPPPAEEGVAAADAEAEARRKQRELRAAAAEKRLRAVAPSPAAAAPRPPAPEVVAREVEVEVKADPGPSGVSMEEAKAEEVEEEKGERLPTDVAEKLWLMVFGNKLEKEVLAQWSNQGIRFSSDPETTMGLVQHEGGPCGVLATVQAYVLKYLLFFSDELGNPEVSDPFYALGQRRFYQSSFAARDDFSSLTDDRKMRALVHAMLEILFLCGTGNRAVVATIGSVNEAKTAAVLEGLSVDSAMDLQKVLRISTFTSRKDAFNSLIANISLFESRLGAMLFLISALLSRGLERIQADRDDPSLPLVTAPFGHASQEVVNLLLCGEAVSNVFDGKVDFGGGMFLNGIPNDVEVGFLTLLESLNFCKVGQYLKCPKWPIWVVGSESHYSVLFALNPNVQEENELEERESKIRRAFDAQDQSGGGGFISVEGFQQVLRDTDINFPSDKLEDLCNAGIIVWSEFWQALLQLDKRAGGMKDPTGLMGKKQFTIYHFNGIAKSVLNGNANIGGSTIQRPRLCKLNVSVPPRWTQDEYLADVVSASTSGSKDDSVLSLAPPVQTSQHAPLVDCIRTRWPRAVCSWVGDMPSIV >ORUFI04G13680.1 pep chromosome:OR_W1943:4:17071104:17081193:1 gene:ORUFI04G13680 transcript:ORUFI04G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDVDEDELLQMALQEQAARDLSHQRPAGAGKPVVNLVRPPANSSRGGGGGGRGGGGPAKARQPSRGGGDDDDDSEVEMLSISSGDEDGAPSSRDRGPPPPRGGGRAGARRAASRDDGDFDDDEPRSWKRVDEAELARRVREMREGGAAPTVDQKAAAAATRKALTNVQTLPRGVEVLDPLGLGVIDNKSLRLITDASVSSPVSREKAQGLDPSMREKVIYSSPNFDPKVFLSWVHKDTSAADLESGALTLKTDLKGRTQQKKQLVKENFDCFVSCKTTIDDIESKLRQIEEDPEGAGTAHLYSVTQKISGVANRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSAIRGNIRKGEYDLAVREYQKAKSIVLPSHVGILKRVLEEVEKVMQEFRGMLYKSMEDPHLDLAELENIVRLLLELEPETDPVWHYLNIQNSRIHGLFEKCTLDHEARMEVLQNKIREKILSDAKWRQLQQDSNKSLEVDSATGDSFQDDQLSTNIMADEADSLRAAYIRRLTAVLIQHVPAFWRLALSVFSGKFAKAAAGNVLSDSDMNTKQSVNKTDDKGGEAKYTNHTLDEVASMVRATVSAFDTKVQNTFRDFEECNILRPFMGDTIKEIAKACLTLEGKDSSPTAVKMLRALHYEITKLYILRLCSWMRATTKEISKYETWFTLTTLERNKSLYAISSMPLEFRDIIVSAMDRIDFMVLNLRSETAKSYDISQHLHEIHESVRLAFLNSFLDFAGYLERFGGELAQNRSNKENNHTQNGYVNGTNSETSAGMDGDLYKKLLVVLSNIGYCKAELSDELYTKYRHIWSPVRDNDERSADMRDLMTSFSALEEKVLEQYTFAKSNLIRNAARNYLLDYGIHWGAAPAVKGIRDAALDLLHILVAVHAEVYSGARPLLEKAMTILVEGLIDIFLSIFHENKTKELRMLDANGFCQLMLELEYFETILRTYLSTEAEQALRSLQENLLEKACESVTEALENPGHHRRPTRGSEDAASDDRQSVSPDDLLALAQQCSSDLLQGELEKTRLNIACFMESTLQSTPAPAGSKPAAYQSYKAPATHQPVQVSSPSFRRQQTSTNSPAASRRRR >ORUFI04G13690.1 pep chromosome:OR_W1943:4:17081960:17084897:1 gene:ORUFI04G13690 transcript:ORUFI04G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVLLLLPSPFLRPSSPAHRARCGIATTTTTSTSGRRGLFLFASRCRPGPRRRAASAAVPPEHGLSQPQPQARAVGSYEAALGDAKDALYAALEGMNRGIFGMTSEKRSEIHALVELLESKNPTPEPTDKLQDKVDGCWRLVYSTISILGKKRTKLGLRDFISLGDFFQMIDVKEEKAVNVIKFSARALKILSGQLTIEASYKITTKTKVDITLDSSTITPDQLMNIFQKNYDMLLAIFNPEGWLEITYPFRLM >ORUFI04G13700.1 pep chromosome:OR_W1943:4:17085850:17086308:1 gene:ORUFI04G13700 transcript:ORUFI04G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLNCHQAKQQVVGALGGRSSNRLCGWRPVEATSILPPLSAVSFTTFPIHRFRGHFSSRRRRCHSSMLPSFEDVASIIGSMVPSFRLLPPPRIHAKLLGRHHHKPGRAVRSSSTTVDNHNTILSGHPPLPPHSLDFSHFDPFEKLISQIDL >ORUFI04G13710.1 pep chromosome:OR_W1943:4:17088270:17088870:-1 gene:ORUFI04G13710 transcript:ORUFI04G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARGGRGGDKAICSVHLGLLLLLVILALQCGVEPAAARREWPVGDGAGWSPGVVGWPNYKPFKAGDVLVFSYDASAHNVVVVGDVDYALCRAPANATAYGSGDDRVALPPGVTFFVSGFPGDCDKGMMKIAITAR >ORUFI04G13720.1 pep chromosome:OR_W1943:4:17090021:17092932:-1 gene:ORUFI04G13720 transcript:ORUFI04G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCNSWKPTLTMVGVVVVFAVMNTLIKMALDEGMHATVLITLRQLIATLFLAPIAYFRERASLTQWLFFLGLQYTTATFACAFINMTPIFTFLVALPYGLEKVNLNIAGIAKVGGTVLGFSGAMVLALYQGPSLTKLSSSSSSSSSSSSPMASAAVVAAGHVGGAHRWAIGSVALLGGSACWSLWFILQSRIARKYPALYSGTALMFFLSFLQMAVVALAIDRVSLPPWILRTKLQIITVLFVGIVGSGIGFLAMSWCVEQRGPVFTTAFTPLIQIIAAAINVIVLREQLHLGTVIGSALVIMGLYFVLWGKSKEASPSSSSSHPAKEAVPVLQQQHGHDDQETTNVQMQTV >ORUFI04G13730.1 pep chromosome:OR_W1943:4:17106906:17107421:1 gene:ORUFI04G13730 transcript:ORUFI04G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVNAGTAAPDSGTGSIAANDGDGDLHGEQSKLVAISDEAPNVDRALATSRPATADGAGGGDDVSPRLRRRQRRRLLEIKKRGLEVEEQMLALEQRRLRWAAADAEARREEDAELEKMRVENGVARAENARLWRRLLRRRRERELGVGGVRSNKCRDGAAAMEGEEKSVP >ORUFI04G13740.1 pep chromosome:OR_W1943:4:17112495:17118818:1 gene:ORUFI04G13740 transcript:ORUFI04G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAMLLTFYKGPKIMVLDQLPHPKFAHLTENPQSHPISTGNQIIGSFLGIISCFTYATWLVIQAKVSKVYPCHYSIAAMVCLFGALQSTVMALCVHRDMEHWRLGLNIRLYSSAYAGLVASGSAFPLLSWCLRKKGPLFISVFSPLMLIFVALMSSIILNEALHLGSVLGSVLIVGGLYMVLWGKAKEAADLSEDENQGKESIPVTTGGENEMK >ORUFI04G13740.2 pep chromosome:OR_W1943:4:17112390:17118818:1 gene:ORUFI04G13740 transcript:ORUFI04G13740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSESGRAVAAMVSLQLLFSALQVFIKLALNDGMDARVLVAYRFMFAATFLCPIAFLRERKKRPPLTMKVVLQLFLCGLFGFSINQNLYVLAIKLTSATYITAISNLTPATTFLLAILTRLETLKLKKPAGQAKLLGTLVGMGGAMLLTFYKGPKIMVLDQLPHPKFAHLTENPQSHPISTGNQIIGSFLGIISCFTYATWLVIQAKVSKVYPCHYSIAAMVCLFGALQSTVMALCVHRDMEHWRLGLNIRLYSSAYAGLVASGSAFPLLSWCLRKKGPLFISVFSPLMLIFVALMSSIILNEALHLGSVLGSVLIVGGLYMVLWGKAKEAADLSEDENQGKESIPVTTGGENEMK >ORUFI04G13740.3 pep chromosome:OR_W1943:4:17112390:17114929:1 gene:ORUFI04G13740 transcript:ORUFI04G13740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSESGRAVAAMVSLQLLFSALQVFIKLALNDGMDARVLVAYRFMFAATFLCPIAFLRERLALRLLQLDRSGLAEKPSELLPPFHNEETTASNHEGGAATILVRVVWMC >ORUFI04G13750.1 pep chromosome:OR_W1943:4:17118727:17119736:-1 gene:ORUFI04G13750 transcript:ORUFI04G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRLLPPPLLLLLCCSLAAVQPARALFHLRGAGTGAYVEQLLGGGGGGGGGYGEEKVPMTVVVPDYSPRPAPFGRPATTPTPAPAPAIPPLPGSDDGGGGYMPTLPSERRSPRGALPGGNAGPIANAGAPSPAAAAASTSTAFISSSPAVPLPAGVTDSATVLPMPTPGQEQHQAVGMGTLPRARTVQLQLAVPLAMMLFFSALR >ORUFI04G13760.1 pep chromosome:OR_W1943:4:17121361:17122080:-1 gene:ORUFI04G13760 transcript:ORUFI04G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGRRQWMAAAARRSTAEGEDNGGGRRVRRLGQRMSSPLQTACEHGGVDLGKGDDSPCWLSTLSCSPAPYIAAAASSRPLSFPTPTLFSASASIPAPTTTSISSLARITGREGNGGEGGEEAAARLPHLYSLPAPAVLLLLPNRSTELPDTNTVDAAINPLSCCSRRSAEFSLLLLLSPSQSTSSIKREEGGAEELTHCHVNDMSMPHGIKTKSTRHVSAT >ORUFI04G13770.1 pep chromosome:OR_W1943:4:17123327:17124977:1 gene:ORUFI04G13770 transcript:ORUFI04G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRRPDQFRVDGGGGDGGDDDKRRRLILVYDTATAAVDVSHHLPDDMHLVTSYDAVADAGKNRPYLLLPQFERSPSPSPEPEPPRRRPPWPGAPERGVDMAPYPYVEKVERVKAGTRLYLLLPDPFDQRSPEPGRAAVGMPYLEKVKKVYPKEEEEKPAARGGRGSTSPPPFSGGRRITGHGLHPDGRTIFVSVEKTHARRHPGDEDDEEDGTFSYDTERGGEGTRGGGGPLPFKGQAHYDRHLDAWVGIGASSSGAGGHPRLVACDVVHLSDAADDPAPPPKWTACEETLTFLQGGALRERRVGGDPKLVPMGGGGGTFCVVESAPRAGLELIGLGSLLGDGDKFELRVTVFHAKYGENGELLMTTTASAAAAASHTYAMSRYLSNFHAPAFWMFHHFWYDSGDDGDDEVETAYESGGDDDEDEVETEYDSDDDDEDEVETE >ORUFI04G13780.1 pep chromosome:OR_W1943:4:17126108:17127684:1 gene:ORUFI04G13780 transcript:ORUFI04G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSILRRHAGGGASRTMCSPPSRSRAYAASGGTGGSHYRSRCLRSCSCDEHSRRRRHLYLVLDDWKEGYSLHKLDLSHVSGDGGEHEHNPINPRRLPAPLLRLGFRTLGSSPRVGALGSKIVFIGQRHPDQFTGAGDDDDDGDDEQERGLTLVVYDTATAAVDVSHHVPDDVRLACTCDAVAAGNRLYLLLLVYLMLPDQSERSPPPPPPESGSPDLAVAMRYLEKVEQVYDDEVETADDDGDDDEYMDAEKKKHVVVAYSERLTERWSWGSTSSPPPPPFSGGGRRRITGYALHPDGRTIFVSVAKRTPDHDDVGDRPDEEVTFSYDTERAEWARRGGWLLPFDGQAHYDAELDAWVGAIGSRAGPRLVACDVVPAAAAGDRRPAPPPPRWTFCEQPLTFLGAESHQSLGDPKLLPMGGGAFCVVVESAPRGADSVGDGDKLLLRVAVFRAKYGKNGELFMTTAAGGRGSCQTHTYVRSRYLVDFHAPAFWM >ORUFI04G13790.1 pep chromosome:OR_W1943:4:17131447:17136628:1 gene:ORUFI04G13790 transcript:ORUFI04G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLGGGGETKDVIDVEAVVVGAGIAGLATALALRRAGFAARDGGGGVVVLERHAELRATGAALTVFPNGWFALRALGIAHKLTPRYQPYETSVVTNLESGATQVFRFGGHKSRSGEVRVRPVHRRELLEAMAEELPPGTIRFSSRLASIGTEPAGGGGGGEELAVVGLDDGTVIRSRVLVGCDGVHSAVARWLGMAEPASSGRSCVRGLAVYPGGHGVRKELRQFLSHGLRAGMVPISDTDIYWFVVNNTGGCAALEDAIVLARALSSRSPSPSPADGVAAYVAERRGRAAWIVAGAYLSGYVQQGSTSAPGVRAAAVKLFRDWIFYRFVFPLLADTMWFDCGDLVAPPPRDGGGEEEAADCKKSHVGPDHLYHRDTISRGFLRIKASGEVHFKPSQSRIWIREAIQQCLSQKDQGTPRKANSACPKRSRNSKKFMCPSHQAMESMSIEEEYISTLHTRSNARFFSRSKQQLSGVEMEAAAAAEQQDAVVVPAELQRMMHRRTSSEIELAMAGYFDASDEASEIXDAPEDVIELAMAGYFDASDEASEICRQLLANIKNAQSNYLSMDSFLATIVSDSVAAAATTAPLAAVRSNPFSDAATRSSFRRIHDRYSSILRAIKRSHGKVARKLKVARAVRKASRACLVVACGAAAAASVAVAAHLLLFGLLVGPAAMALCPMALKRKVTNTNAAAVARPARRWSTTGSLLRLQEQLDTAAKGTYVLGRDLDTVSHLVARLSDGIERENAMARRCAERVAADDVGAAAAAGGRFFPVQEMANELRRSCSSSRKLAEELEEHVCLCLATIHRARLLVIKEISKQA >ORUFI04G13800.1 pep chromosome:OR_W1943:4:17142004:17148100:-1 gene:ORUFI04G13800 transcript:ORUFI04G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLILSRSIVVMADEYGRGGYGRSGASAGDDYESGGYNRSSSGGADEYGRRPGGGAGGYNKPGGTDDYDSGYNNRSGANEEYGRNKSGDDEYSGGGGGAEADEEYVDGLSSRDDPEKYRKEEKEHKNKERLGEVGALAAGAFAMYERHQAKKDPENAQRHRIEEGVAAAAALGSGGFAFHEHHDKKEAKQAAKDAEEEAEEESGSGARGGEGKKKHHLFG >ORUFI04G13800.2 pep chromosome:OR_W1943:4:17142004:17142717:-1 gene:ORUFI04G13800 transcript:ORUFI04G13800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEYGRGGYGRSGASAGDDYESGGYNRSSSGGADEYGRRPGGGAGGYNKPGGTDDYDSGYNNRSGANEEYGRNKSGDDEYSGGGGGAEADEEYVDGLSSRDDPEKYRKEEKEHKNKERLGEVGALAAGAFAMYERHQAKKDPENAQRHRIEEGVAAAAALGSGGFAFHEHHDKKEAKQAAKDAEEEAEEESGSGARGGEGKKKHHLFG >ORUFI04G13810.1 pep chromosome:OR_W1943:4:17148142:17155703:-1 gene:ORUFI04G13810 transcript:ORUFI04G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMRARESVNMSEDLTQAIAPYATALHDASLQSHCSSCFHRIPAQSPHDMSCTMCGSVRYCCSDCLISDCEVHSSSGECCFFVKHLREASPSTLTEETSDIRAALRLLYSLETRGLVSSDSVSSSNRIGGLSASGIREVLEEGGEIAEGVLEGSLLMLSARKSRMKNYVGLSNGLTIEKVALWAVMTNSVENEGCTSNKPESCVVPVSKGAAPDAWHAWQNEEAGFAHAQCKYGPRVVVRCTKPINKGDEVFITYIDLLQTRCDARNLKSPHNAVTDPAIEDLDNNLQQAISEYSFLDDSKACCDVIESMLSENLMNDLQQEELSPRKYILHPLHHISVSSFMILASAYRCSAFKSSTDNLHGENCDFIFRMTKAAAAYSIVLAGATHHLFLSECSFVTLLSHFLLSTGQSILDFAECIKGETRKNMPEAIFSFASCSTNSAKHDSVRYNQFRSTCEKFGKPLLSLSLQCWPFLAQGLPCLEKIKNPIDFSWLGPAIFQAFQLSEEDSFNLSGKHAPATLIEQQKECILSLAVCCITYSKYLARSKSFLFVTLGRLEESSSDWAVKIFDLNNGAKVYSRIKEYYLHFAKCVMSVPNDQLTNIGPTYKYGKNHFEHVDRRSGFPVMADEYDRSSYRRSGADDEEGGYNKTNTGDYGRSGDDYGRDTGLFNESGNDDNESGYKNTNTDEYGSTGSYNKSNTDDLTGGFNKSGTDDYSGGGGYNKSGADDYSSSGGYSKSGTDNYSGSGGYNKSGNNDYSGSGGGYNKSSAGDYGSEYKDSSTGDYGRGDEYKKSSSDDYDGGYKKSSSNDDGYGGSGYSKPSTGDYDSGKNASNTDGYGGSGYNKSSTDNSESGYNKSGTGEYGSGGGYNKSSTDNYESGYNKSGTGDYGSGGGYDKSDAGDYTGGYNKSSTDEYATGRGKTSSDDY >ORUFI04G13810.2 pep chromosome:OR_W1943:4:17148142:17155703:-1 gene:ORUFI04G13810 transcript:ORUFI04G13810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMRARESVNMSEDLTQAIAPYATALHDASLQSHCSSCFHRIPAQSPHDMSCTMCGSVRYCCSDCLISDCEVHSSSGECCFFVKHLREASPSTLTEETSDIRAALRLLYSLETRGLVSSDSVSSSNRIGGLSASGIREVLEEGGEIAEGVLEGSLLMLSARKSRMKNYVGLSNGLTIEKVALWAVMTNSVEVQISEEQSLGIAVYGPSFSWFNHSCCPSASYRFVLVPQNEGCTSNKPESCVVPVSKGAAPDAWHAWQNEEAGFAHAQCKYGPRVVVRCTKPINKGDEVFITYIDLLQTRIVKYCYRIGLVKVQGLRQEELSPRKYILHPLHHISVSSFMILASAYRCSAFKSSTDNLHGENCDFIFRMTKAAAAYSIVLAGATHHLFLSECSFVTLLSHFLLSTGQSILDFAECIKGETRKNMPEAIFSFASCSTNSAKHDSVRYNQFRSTCEKFGKPLLSLSLQCWPFLAQGLPCLEKIKNPIDFSWLGPAIFQAFQLSEEDSFNLSGKHAPATLIEQQKECILSLAVCCITYSKYLARSKSFLFVTLGRLEESSSDWAVKIFDLNNGAKVYSRIKEYYLHFAKCVMSVPNDQLTNIGPTYKYGKNHFEHVDRRSGFPVMADEYDRSSYRRSGADDEEGGYNKTNTGDYGRSGDDYGRDTGLFNESGNDDNESGYKNTNTDEYGSTGSYNKSNTDDLTGGFNKSGTDDYSGGGGYNKSGADDYSSSGGYSKSGTDNYSGSGGYNKSGNNDYSGSGGGYNKSSAGDYGSEYKDSSTGDYGRGDEYKKSSSDDYDGGYKKSSSNDDGYGGSGYSKPSTGDYDSGKNASNTDGYGGSGYNKSSTDNSESGYNKSGTGEYGSGGGYNKSSTDNYESGYNKSGTGDYGSGGGYDKSDAGDYTGGYNKSSTDEYATGRGKTSSDDY >ORUFI04G13810.3 pep chromosome:OR_W1943:4:17148142:17155703:-1 gene:ORUFI04G13810 transcript:ORUFI04G13810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMRARESVNMSEDLTQAIAPYATALHDASLQSHCSSCFHRIPAQSPHDMSCTMCGSVRYCCSDCLISDCEVHSSSGECCFFVKHLREASPSTLTEETSDIRAALRLLYSLETRGLVSSDSVSSSNRIGGLSASGIREVLEEGGEIAEGVLEGSLLMLSARKSRMKNYVGLSNGLTIEKVALWAVMTNSVEVQISEEQSLGIAVYGPSFSWFNHSCCPSASYRFVLVPQNEGCTSNKPESCVVPVSKGAAPDAWHAWQNEEAGFAHAQCKYGPRVVVRCTKPINKGDEVFITYIDLLQTRIVKYCYRIGLVKVQGLRCDARNLKSPHNAVTDPAIEDLDNNLQQAISEYSFLDDSKACCDVIESMLSENLMNDLQQEELSPRKYILHPLHHISVSSFMILASAYRCSAFKSSTDNLHGENCDFIFRMTKAAAAYSIVLAGATHHLFLSECSFVTLLSHFLLSTGQSILDFAECIKGETRKNMPEAIFSFASCSTNSAKHDSVRYNQFRSTCEKFGKPLLSLSLQCWPFLAQGLPCLEKIKNPIDFSWLGPAIFQAFQLSEEDSFNLSGKHAPATLIEQQKECILSLAVCCITYSKYLARSKSFLFVTLGRLEESSSDWAVKIFDLNNGAKVYSRIKEYYLHFAKCVMSVPNDQLTNIGPTYKYGKNHFEHVDRRSGFPVMADEYDRSSYRRSGADDEEGGYNKTNTGDYGRSGDDYGRDTGLFNESGNDDNESGYKNTNTDEYGSTGSYNKSNTDDLTGGFNKSGTDDYSGGGGYNKSGADDYSSSGGYSKSGTDNYSGSGGYNKSGNNDYSGSGGGYNKSSAGDYGSEYKDSSTGDYGRGDEYKKSSSDDYDGGYKKSSSNDDGYGGSGYSKPSTGDYDSGKNASNTDGYGGSGYNKSSTDNSESGYNKSGTGEYGSGGGYNKSSTDNYESGYNKSGTGDYGSGGGYDKSDAGDYTGGYNKSSTDEYATGRGKTSSDDY >ORUFI04G13810.4 pep chromosome:OR_W1943:4:17148142:17155703:-1 gene:ORUFI04G13810 transcript:ORUFI04G13810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMRARESVNMSEDLTQAIAPYATALHDASLQSHCSSCFHRIPAQSPHDMSCTMCGSVRYCCSDCLISDCEVHSSSGECCFFVKHLREASPSTLTEETSDIRAALRLLYSLETRGLVSSDSVSSSNRIGGLSASGIREVLEEGGEIAEGVLEGSLLMLSARKSRMKNYVGLSNGLTIEKVALWAVMTNSVEVQISEEQSLGIAVYGPSFSWFNHSCCPSASYRFVLVPQNEGCTSNKPESCVVPVSKGAAPDAWHAWQNEEAGFAHAQCKYGPRVVVRCTKPINKGDEVFITYIDLLQTRCDARNLKSPHNAVTDPAIEDLDNNLQQAISEYSFLDDSKACCDVIESMLSENLMNDLQQEELSPRKYILHPLHHISVSSFMILASAYRCSAFKSSTDNLHGENCDFIFRMTKAAAAYSIVLAGATHHLFLSECSFVTLLSHFLLSTGQSILDFAECIKGETRKNMPEAIFSFASCSTNSAKHDSVRYNQFRSTCEKFGKPLLSLSLQCWPFLAQGLPCLEKIKNPIDFSWLGPAIFQAFQLSEEDSFNLSGKHAPATLIEQQKECILSLAVCCITYSKYLARSKSFLFVTLGRLEESSSDWAVKIFDLNNGAKVYSRIKEYYLHFAKCVMSVPNDQLTNIGPTYKYGKNHFEHVDRRSGFPVMADEYDRSSYRRSGADDEEGGYNKTNTGDYGRSGDDYGRDTGLFNESGNDDNESGYKNTNTDEYGSTGSYNKSNTDDLTGGFNKSGTDDYSGGGGYNKSGADDYSSSGGYSKSGTDNYSGSGGYNKSGNNDYSGSGGGYNKSSAGDYGSEYKDSSTGDYGRGDEYKKSSSDDYDGGYKKSSSNDDGYGGSGYSKPSTGDYDSGKNASNTDGYGGSGYNKSSTDNSESGYNKSGTGEYGSGGGYNKSSTDNYESGYNKSGTGDYGSGGGYDKSDAGDYTGGYNKSSTDEYATGRGKTSSDDY >ORUFI04G13810.5 pep chromosome:OR_W1943:4:17148142:17155703:-1 gene:ORUFI04G13810 transcript:ORUFI04G13810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMRARESVNMSEDLTQAIAPYATALHDASLQSHCSSCFHRIPAQSPHDMSCTMCGSVRYCCSDCLISDCEVHSSSGECCFFVKHLREASPSTLTEETSDIRAALRLLYSLETRGLVSSDSVSSSNRIGGLSASGIREVLEEGGEIAEGVLEGSLLMLSARKSRMKNYVGLSNGLTIEKVALWAVMTNSVENEGCTSNKPESCVVPVSKGAAPDAWHAWQNEEAGFAHAQCKYGPRVVVRCTKPINKGDEVFITYIDLLQTRCDARNLKSPHNAVTDPAIEDLDNNLQQAISEYSFLDDSKACCDVIESMLSENLMNDLQQEELSPRKYILHPLHHISVSSFMILASAYRCSAFKSSTDNLHGENCDFIFRMTKAAAAYSIVLAGATHHLFLSECSFVTLLSHFLLSTGQSILDFAECIKGETRKNMPEAIFSFASCSTNSAKHDSVRYNQFRSTCEKFGKPLLSLSLQCWPFLAQGLPCLEKIKNPIDFSWLGPAIFQAFQLSEEDSFNLSGKHAPATLIEQQKECILSLAVCCITYSKYLARSKSFLFVTLGRLEESSSDWAVKIFDLNNGAKVYSRIKEYYLHFAKMADEYDRSSYRRSGADDEEGGYNKTNTGDYGRSGDDYGRDTGLFNESGNDDNESGYKNTNTDEYGSTGSYNKSNTDDLTGGFNKSGTDDYSGGGGYNKSGADDYSSSGGYSKSGTDNYSGSGGYNKSGNNDYSGSGGGYNKSSAGDYGSEYKDSSTGDYGRGDEYKKSSSDDYDGGYKKSSSNDDGYGGSGYSKPSTGDYDSGKNASNTDGYGGSGYNKSSTDNSESGYNKSGTGEYGSGGGYNKSSTDNYESGYNKSGTGDYGSGGGYDKSDAGDYTGGYNKSSTDEYATGRGKTSSDDY >ORUFI04G13810.6 pep chromosome:OR_W1943:4:17148142:17155703:-1 gene:ORUFI04G13810 transcript:ORUFI04G13810.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMRARESVNMSEDLTQAIAPYATALHDASLQSHCSSCFHRIPAQSPHDMSCTMCGSVRYCCSDCLISDCEVHSSSGECCFFVKHLREASPSTLTEETSDIRAALRLLYSLETRGLVSSDSVSSSNRIGGLSASGIREVLEEGGEIAEGVLEGSLLMLSARKSRMKNYVGLSNGLTIEKVALWAVMTNSVEVQISEEQSLGIAVYGPSFSWFNHSCCPSASYRFVLVPQNEGCTSNKPESCVVPVSKGAAPDAWHAWQNEEAGFAHAQCKYGPRVVVRCTKPINKGDEVFITYIDLLQTRIVKYCYRIGLVKVQGLRQEELSPRKYILHPLHHISVSSFMILASAYRCSAFKSSTDNLHGENCDFIFRMTKAAAAYSIVLAGATHHLFLSECSFVTLLSHFLLSTGQSILDFAECIKGETRKNMPEAIFSFASCSTNSAKHDSVRYNQFRSTCEKFGKPLLSLSLQCWPFLAQGLPCLEKIKNPIDFSWLGPAIFQAFQLSEEDSFNLSGKHAPATLIEQQKECILSLAVCCITYSKYLARSKSFLFVTLGRLEESSSDWAVKIFDLNNGAKVYSRIKEYYLHFAKMADEYDRSSYRRSGADDEEGGYNKTNTGDYGRSGDDYGRDTGLFNESGNDDNESGYKNTNTDEYGSTGSYNKSNTDDLTGGFNKSGTDDYSGGGGYNKSGADDYSSSGGYSKSGTDNYSGSGGYNKSGNNDYSGSGGGYNKSSAGDYGSEYKDSSTGDYGRGDEYKKSSSDDYDGGYKKSSSNDDGYGGSGYSKPSTGDYDSGKNASNTDGYGGSGYNKSSTDNSESGYNKSGTGEYGSGGGYNKSSTDNYESGYNKSGTGDYGSGGGYDKSDAGDYTGGYNKSSTDEYATGRGKTSSDDY >ORUFI04G13810.7 pep chromosome:OR_W1943:4:17148142:17155703:-1 gene:ORUFI04G13810 transcript:ORUFI04G13810.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMRARESVNMSEDLTQAIAPYATALHDASLQSHCSSCFHRIPAQSPHDMSCTMCGSVRYCCSDCLISDCEVHSSSGECCFFVKHLREASPSTLTEETSDIRAALRLLYSLETRGLVSSDSVSSSNRIGGLSASGIREVLEEGGEIAEGVLEGSLLMLSARKSRMKNYVGLSNGLTIEKVALWAVMTNSVEVQISEEQSLGIAVYGPSFSWFNHSCCPSASYRFVLVPQNEGCTSNKPESCVVPVSKGAAPDAWHAWQNEEAGFAHAQCKYGPRVVVRCTKPINKGDEVFITYIDLLQTRIVKYCYRIGLVKVQGLRCDARNLKSPHNAVTDPAIEDLDNNLQQAISEYSFLDDSKACCDVIESMLSENLMNDLQQEELSPRKYILHPLHHISVSSFMILASAYRCSAFKSSTDNLHGENCDFIFRMTKAAAAYSIVLAGATHHLFLSECSFVTLLSHFLLSTGQSILDFAECIKGETRKNMPEAIFSFASCSTNSAKHDSVRYNQFRSTCEKFGKPLLSLSLQCWPFLAQGLPCLEKIKNPIDFSWLGPAIFQAFQLSEEDSFNLSGKHAPATLIEQQKECILSLAVCCITYSKYLARSKSFLFVTLGRLEESSSDWAVKIFDLNNGAKVYSRIKEYYLHFAKMADEYDRSSYRRSGADDEEGGYNKTNTGDYGRSGDDYGRDTGLFNESGNDDNESGYKNTNTDEYGSTGSYNKSNTDDLTGGFNKSGTDDYSGGGGYNKSGADDYSSSGGYSKSGTDNYSGSGGYNKSGNNDYSGSGGGYNKSSAGDYGSEYKDSSTGDYGRGDEYKKSSSDDYDGGYKKSSSNDDGYGGSGYSKPSTGDYDSGKNASNTDGYGGSGYNKSSTDNSESGYNKSGTGEYGSGGGYNKSSTDNYESGYNKSGTGDYGSGGGYDKSDAGDYTGGYNKSSTDEYATGRGKTSSDDY >ORUFI04G13810.8 pep chromosome:OR_W1943:4:17148142:17155703:-1 gene:ORUFI04G13810 transcript:ORUFI04G13810.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMRARESVNMSEDLTQAIAPYATALHDASLQSHCSSCFHRIPAQSPHDMSCTMCGSVRYCCSDCLISDCEVHSSSGECCFFVKHLREASPSTLTEETSDIRAALRLLYSLETRGLVSSDSVSSSNRIGGLSASGIREVLEEGGEIAEGVLEGSLLMLSARKSRMKNYVGLSNGLTIEKVALWAVMTNSVEVQISEEQSLGIAVYGPSFSWFNHSCCPSASYRFVLVPQNEGCTSNKPESCVVPVSKGAAPDAWHAWQNEEAGFAHAQCKYGPRVVVRCTKPINKGDEVFITYIDLLQTRCDARNLKSPHNAVTDPAIEDLDNNLQQAISEYSFLDDSKACCDVIESMLSENLMNDLQQEELSPRKYILHPLHHISVSSFMILASAYRCSAFKSSTDNLHGENCDFIFRMTKAAAAYSIVLAGATHHLFLSECSFVTLLSHFLLSTGQSILDFAECIKGETRKNMPEAIFSFASCSTNSAKHDSVRYNQFRSTCEKFGKPLLSLSLQCWPFLAQGLPCLEKIKNPIDFSWLGPAIFQAFQLSEEDSFNLSGKHAPATLIEQQKECILSLAVCCITYSKYLARSKSFLFVTLGRLEESSSDWAVKIFDLNNGAKVYSRIKEYYLHFAKMADEYDRSSYRRSGADDEEGGYNKTNTGDYGRSGDDYGRDTGLFNESGNDDNESGYKNTNTDEYGSTGSYNKSNTDDLTGGFNKSGTDDYSGGGGYNKSGADDYSSSGGYSKSGTDNYSGSGGYNKSGNNDYSGSGGGYNKSSAGDYGSEYKDSSTGDYGRGDEYKKSSSDDYDGGYKKSSSNDDGYGGSGYSKPSTGDYDSGKNASNTDGYGGSGYNKSSTDNSESGYNKSGTGEYGSGGGYNKSSTDNYESGYNKSGTGDYGSGGGYDKSDAGDYTGGYNKSSTDEYATGRGKTSSDDY >ORUFI04G13820.1 pep chromosome:OR_W1943:4:17156642:17159487:-1 gene:ORUFI04G13820 transcript:ORUFI04G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAARGKAPRPRPRPPRGLAASLRRLLAGAGGGLGVAAAAYVGVDYLRYLSPAWHGRLMPALWAALALAAAARAPFYRHWSAELRAALPFLGSIAFMLGAFLCEAVSVRFVSAVMGLQWHSSSKESMPFWNKGPSRSSLGKKAKRLSGAPLPDTGQWLLLALNEKLPQSVVDLLRAHVITLHHYLMLFIMLGFSVLFGCIKAPGLGIATRYMFTMAIGRLLRTMTFVATILPSARPWCAAARYQIPGHPHPWAQKYYVPYASDSDAIRRVIRDDVAYAAVQSYPGEYRPDWGRMSFLVDILRPTPGEGPSWYHLLKKASGGCNDLMYSGHMLVAVLTATAWTEAYGGWISVAIWLLVLHSAQREIRERHHYTVDCVVAIYVGILLWRMTRFIWSARDASRARRLAKLDEVQNRLIHAAKDSDVDEIRGLLKEVELAGQEKQGVSQRAILAFAAATIIFTLTCVVLALTLTSDG >ORUFI04G13820.2 pep chromosome:OR_W1943:4:17156642:17159487:-1 gene:ORUFI04G13820 transcript:ORUFI04G13820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAARGKAPRPRPRPPRGLAASLRRLLAGAGGGLGVAAAAYVGVDYLRYLSPAWHGRLMPALWAALALAAAARAPFYRHWSAELRAALPFLGSIAFMLGAFLCEAVSVRFVSAVMGLQWHRSGAPLPDTGQWLLLALNEKLPQSVVDLLRAHVITLHHYLMLFIMLGFSVLFGCIKAPGLGIATRYMFTMAIGRLLRTMTFVATILPSARPWCAAARYQIPGHPHPWAQKYYVPYASDSDAIRRVIRDDVAYAAVQSYPGEYRPDWGRMSFLVDILRPTPGEGPSWYHLLKKASGGCNDLMYSGHMLVAVLTATAWTEAYGGWISVAIWLLVLHSAQREIRERHHYTVDCVVAIYVGILLWRMTRFIWSARDASRARRLAKLDEVQNRLIHAAKDSDVDEIRGLLKEVELAGQEKQGVSQRAILAFAAATIIFTLTCVVLALTLTSDG >ORUFI04G13840.1 pep chromosome:OR_W1943:4:17164722:17165267:-1 gene:ORUFI04G13840 transcript:ORUFI04G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPVGDGRKHPALAARPSRAGAHPPGLRETASGMANEGDDDIRAAEKGAEEDGGGGPPPGGDGDRGPWNGASTGGCRGAAADGSRRRLLRRGFNDVMAIVVVNFFVSTPLCRHQRPGGSPLTRIAQMLVVSARKWSVEVPADRSRLHESSIKGSHKLEHTKQFACLDRAAVETPEDMTS >ORUFI04G13850.1 pep chromosome:OR_W1943:4:17173283:17173788:-1 gene:ORUFI04G13850 transcript:ORUFI04G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHQVESSCTSPTPLRAKERTFFYQGWPLLCPAPWSPNTEQKEVAGAGQNCSTCRLFRADYSELKEHANSRMMPSDSSLYLCDQV >ORUFI04G13860.1 pep chromosome:OR_W1943:4:17174127:17177793:1 gene:ORUFI04G13860 transcript:ORUFI04G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMRGEERCGRNGRCGSNERGCLPLQRRRLTKPQNPLLSPPRRRPNGEAGQDWQKGIVASCTESEGFHKVSMAVLDDFREMVSENDLLLLSKEKFEEGVTPSAYAFALVEQRGGRETISLRTFVAGEIKNLNVAKPVSCSRLQRIASIFSTTESFLWILKICSLSTIMREFSGMHSVASLPFKDLILSASEKNSGGNDQNRAWNVPEPLMDYLKTNLNDSQLDAVNAGLSRRSFVLIQVVGSASTLKEDKHWNNLVESAKERGRYFQVPKPFTSFFVDDKLKTMKVERAPPELRTVQALEAINEAVVGQELMDVDDAGDQEDEGYDDDPVEADDGGGDD >ORUFI04G13870.1 pep chromosome:OR_W1943:4:17181739:17184147:1 gene:ORUFI04G13870 transcript:ORUFI04G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQMNITNPMGCLMLPADEHPEISLNPDEEDTVWWELETHGHFTVSLAYQMFFMASLVLNPLLRGI >ORUFI04G13880.1 pep chromosome:OR_W1943:4:17198789:17205317:1 gene:ORUFI04G13880 transcript:ORUFI04G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKWSECSSNSYRELSSKENGEGKSSITEAVPSSTSYFGSPAVNRMCSLSAQKKDGNVYKRRKMEKDSTSLTANEEFKEMTAQNCTSEDHSSLLLPVTSDAMVSNSTAPILEHDEPAGVPLVPRSGVNDRSSVSSMLPPFMMFDKKDATECSSSNIGSTEPMTGFTSARDLCIAILREDGLITESRTKIKAEELTGYDANLLFQCKTCGKSDHPLKMLICDSCEAAFHLSCCIPRVHEVPTDEWYCLPCFRKKPKSQYGKLSEGKVKSSGNINQRPHGMSHIEYMLKDTKPYVTGVRIGIDFQAEVPEWSCPTSSGDVYCEEPSEFDSADLTKLNWSKTNTQYRSSIGNWIQCREILSEGDSDKPVVCGKWRRAPLFVVQSDDWDCSCCLPWDPAHADCAVPQELGTDEVLGQLKYVRMVKNRLVDRNHKPANVQG >ORUFI04G13890.1 pep chromosome:OR_W1943:4:17216247:17216709:1 gene:ORUFI04G13890 transcript:ORUFI04G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGFSTASRSSRGPAFAGSVLEIAGAGGIWSSFVTGLNSDPPLQVKVTYDGFYLQSVKGYIYH >ORUFI04G13900.1 pep chromosome:OR_W1943:4:17244241:17245993:-1 gene:ORUFI04G13900 transcript:ORUFI04G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTHGIFPCYRVPAKRTGAGISVRQRSTDCFAELRTSYQVEPPRAILINTAKDRKPGPLDGIQDGVLFVTTENDQRIARRLQLMKALKTEEVERALN >ORUFI04G13910.1 pep chromosome:OR_W1943:4:17255728:17256688:-1 gene:ORUFI04G13910 transcript:ORUFI04G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALRCSGRPTRLNLHEQSWTHQIGTAKDTKPGPLDGIHDGVLFVATGNDQVWRALQCRSHIPH >ORUFI04G13920.1 pep chromosome:OR_W1943:4:17285731:17296032:1 gene:ORUFI04G13920 transcript:ORUFI04G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGCRVRPGPWPCRAAAWRRPSAGPDVDEVQPPPGAEESSKKYIEVIDDARSNQQGASYSAERRHALLETNKDVETDCKHIVAGGGRCTQESPL >ORUFI04G13930.1 pep chromosome:OR_W1943:4:17296113:17296323:1 gene:ORUFI04G13930 transcript:ORUFI04G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTELVAPHRETAQAPLCWFGRGLRVRSQALALSVCGKIACPEETRDTAMYTGSGRCEA >ORUFI04G13940.1 pep chromosome:OR_W1943:4:17299988:17301958:1 gene:ORUFI04G13940 transcript:ORUFI04G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPNLLIEPTYRGREEGERGAGSPVVGRWEFRWEMVFPSSAHPGRVVEGGGRERDGRNGGDKSSAPAIREGGGGGLSQGGGRGERGKMESTR >ORUFI04G13950.1 pep chromosome:OR_W1943:4:17302026:17303372:1 gene:ORUFI04G13950 transcript:ORUFI04G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGRERELEELGLCGEEEGASSSFYRRREAVDGGGCKGEGRADYGDSGAEKEEYGADLVHQLDGLGRRAHASAAARLGGGGDGLRHGDGLPWLARQRRRRQKDHGRRCNAASGGVRMTHSGGAHREEKGERLGWPARLGRQPKKEKGMGQKKGGSSKVLLGMATGRGGGGLGWNDPAPTPEPYTRPHP >ORUFI04G13960.1 pep chromosome:OR_W1943:4:17316716:17319677:-1 gene:ORUFI04G13960 transcript:ORUFI04G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAVHAALAGKSYSSVAPLCDDLFLQAASRGAATDGWPYAVHLLAHLYLNDLNSARFLWKSTPQEAKDARPELAAVWRIGQCLWNRDYAGVYAAAQGFEWSPEIADFVAAFLGHHFVVWVGKSGDSDVHTYTSWKSYRKRIFQLLTSAYSTISVADVAHFMGMNEEDATNYAMQNGWSLDAAARMLTVVKPKVKTNQKLDASKLQRLTECVFHLEH >ORUFI04G13960.2 pep chromosome:OR_W1943:4:17316716:17319677:-1 gene:ORUFI04G13960 transcript:ORUFI04G13960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAVHAALAGKSYSSVAPLCDDLFLQAASRGAATDGWPYAVHLLAHLYLNDLNSARFLWKSTPQEAKDARPELAAVWRIGQCLWNRDYAGVYAAAQGFEWSPEIADFVAAFLESYRKRIFQLLTSAYSTISVADVAHFMGMNEEDATNYAMQNGWSLDAAARMLTVVKPKVKTNQKLDASKLQRLTECVFHLEH >ORUFI04G13970.1 pep chromosome:OR_W1943:4:17321848:17324348:-1 gene:ORUFI04G13970 transcript:ORUFI04G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPREEAAIGANEIRITAQGRTRNYITYALALLQDNATDEIVIKAMGRAINKTVAIVELLKRRIVGLHQNTSIESIDITDTWEPLEEGLNTLETTRHVSLITITLSKKELDTSSPGSGRQLTLIRRQRPCPVVVEEDVVAVEGAGEEGSTMKIMMMSMEMQRSHSLRDIVAGEEEGAGVDPLDLAGVMVEMVLQWKKLVDMMMGSLMHLLCKGMKVAEEGAVVEAEAVDVVVVEAVAVDLLLLLKSRLAGSSTIQCSDSSSLD >ORUFI04G13980.1 pep chromosome:OR_W1943:4:17328046:17328228:-1 gene:ORUFI04G13980 transcript:ORUFI04G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAKQAAGGGADRVDGGASGNGGKVRTVRSISHNPTLSFFTPFVEDLVDPVVKHIWRW >ORUFI04G13990.1 pep chromosome:OR_W1943:4:17332264:17333016:-1 gene:ORUFI04G13990 transcript:ORUFI04G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSEPDLAAETEAIVSALTHVVAYGCGGPPPGEEAAASAVTPTAPWRADAGEASAASRARQVAVPSARKYRGVRRRPWGKWAAEIRDPHRAARVWLGTFATAEDAARAYDAAALRFRGGRARLNFPEDAAAADARRATDAAAAAAVASSAPAALLESQPGDVADCLDYSRILAGAPERPTSSTVTDGFFGGGGNGRFLHSWSIGTSPSSSGSGGAGGGGGGGGGGAPVRPLFHGGNGWEQRGDSAYNGF >ORUFI04G14000.1 pep chromosome:OR_W1943:4:17337469:17342473:1 gene:ORUFI04G14000 transcript:ORUFI04G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERMEPPPPPPPYIHIETNDFLHRRHKRQKEEDIAVCECQYNLLDPDSACGDRCLNVLTSTECTPGYCLCGVYCKNQRFQKSQYAATRLVKTEGRGWGLLADENIMVTEFTLILWSANVVKYIQAGQFVMEYCGEVISWKEAKRRSQAYENQGLTDAYIIYLNADESIDATKKGSLARFINHSCQPNCETRKWNVLGEVRVGIFAKQDIPIGTELSYDYNFEWFGGAMVRCLCGAGSCSGFLGAKSRGFQEATYLWEDDDDRFSVENVPLYDSADDEPTSIPKDILIKDEPNTQDGNNNTIQNTGIPIIASSSEFTPMNVEPSIASSNEFTPMNVEPLNVSSNELTPMTIEPLNAIPMGVDFTQNGSIEYGAQCAEDALQNSTRGVANLQNQSVPRDNNHTELVAVKRRPTLRGGKAKRAMRKQLNVVGICDRLASEVAREEILYCEEMKNQAAAEIDSLYDEIRPAIEEHERDSQDSVATSLAEKWIEASCCKYKADFDLYASIIKNLASTPLRSKEDAAPKEQNGLMYLENGP >ORUFI04G14000.2 pep chromosome:OR_W1943:4:17337470:17342473:1 gene:ORUFI04G14000 transcript:ORUFI04G14000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERMEPPPPPPPYIHIETNDFLHRRHKRQKEEDIAVCECQYNLLDPDSACGDRCLNVLTSTECTPGYCLCGVYCKNQRFQKSQYAATRLVKTEGRGWGLLADENIMVTEFTLILWSANVVKYIQAGQFVMEYCGEVISWKEAKRRSQAYENQGLTDAYIIYLNADESIDATKKGSLARFINHSCQPNCETRKWNVLGEVRVGIFAKQDIPIGTELSYDYNFEWFGGAMVRCLCGAGSCSGFLGAKSRGFQEATYLWEDDDDRFSVENVPLYDSADDEPTSIPKDILIKDEPNTQDGNNNTIQNTGIPIIASSSEFTPMNVEPSIASSNEFTPMNVEPLNVSSNELTPMTIEPLNAIPMGVDFTQNGSIEYGAQCAEDALQNSTRGVANLQNQSVPRDNNHTELVAVKRRPTLRGGKAKRAMRKQLNVVGICDRLASEVAREEILYCEEMKNQAAAEIDSLYDEIRPAIEEHERDSQDSVATSLAEKWIEASCCKYKADFDLYASIIKNLASTPLRSKEDAAPKEQNGLMYLENGP >ORUFI04G14010.1 pep chromosome:OR_W1943:4:17343478:17347832:1 gene:ORUFI04G14010 transcript:ORUFI04G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSEHGNLLVSLSDGLPHYSLAAAVGLAIGVAGLCKALHSSLVIPPVSQKKLLSNSDRLYYTGGLKNLGNNCFLNVILQALASCDGFVSSLDNLLGSEDVLPEEKSEKMPLIFALSSLIKVYELYLNVVDLSVVRDKRTELSPHRVMDALSFYDASEAFHHLLTSLRDEFSRWYVPNRSSLADITMFPSKVYSQREGNQPECKRWKQNLFGPFDGTIGSILSCRNCSSVLSLDFENFYCLPLSPVATINGDIINGCSLVDCLEHFTALEHLDNYRCDHCWHNVAAKYLSLKSEVDEEKINKLRTCVDYGTCSCRHIFTPEEMTCSISSQATKQLAITHFPKILCIHLLRASVGLDGEFVKRGGHISFPLLLDLSPFAGGALIPGQGPKPSAMNKQRHGQQTLHLWRQLNAEMPVNMFPAATDGDSSSHHCGDESINTLGRSFYVGNRDADSRFLSSSSLTDKLYGLSSVVEHYGVCGGGHYAAYRRVTPNSDSNEPVQSLASFRKEWLYVSDDHVSHVSECEVLAAEATLLFYERL >ORUFI04G14010.2 pep chromosome:OR_W1943:4:17343478:17347436:1 gene:ORUFI04G14010 transcript:ORUFI04G14010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSEHGNLLVSLSDGLPHYSLAAAVGLAIGVAGLCKALHSSLVIPPVSQKKLLSNSDRLYYTGGLKNLGNNCFLNVILQALASCDGFVSSLDNLLGSEDVLPEEKSEKMPLIFALSSLIKVYELYLNVVDLSVVRDKRTELSPHRVMDALSFYDASEAFHHLLTSLRDEFSRWYVPNRSSLADITMFPSKVYSQREGNQPECKRWKQNLFGPFDGTIGSILSCRNCSSVLSLDFENFYCLPLSPVATINGDIINGCSLVDCLEHFTALEHLDNYRCDHCWHNVAAKYLSLKSEVDEEKINKLRTCVDYGTCSCRHIFTPEEMTCSISSQATKQLAITHFPKILCIHLLRASVGLDGEFVKRGGHISFPLLLDLSPFAGGALIPGQGPKPSAMNKQRHGQQTLHLWRQLNAEMPVNMFPAATDGDSSSHHCDSRFLSSSSLTDKLYGLSSVVEHYGVCGGGHYAAYRRVTPNSDSNEPVQSLASFRKEWLYVSDDHVSHVSECEVLAAEATLLFYERL >ORUFI04G14010.3 pep chromosome:OR_W1943:4:17343478:17347832:1 gene:ORUFI04G14010 transcript:ORUFI04G14010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSEHGNLLVSLSDGLPHYSLAAAVGLAIGVAGLCKALHSSLVIPPVSQKKLLSNSDRLYYTGGLKNLGNNCFLNVILQALASCDGFVSSLDNLLGSEDVLPEEKSEKMPLIFALSSLIKDLSVVRDKRTELSPHRVMDALSFYLSLDFENFYCLPLSPVATINGDIINGCSLVDCLEHFTALEHLDNYRCDHCWHNVAAKYLSLKSEVDEEKINKLRTCVDYGTCSCRHIFTPEEMTCSISSQATKQLAITHFPKILCIHLLRASVGLDGEFVKRGGHISFPLLLDLSPFAGGALIPGQGPKPSAMNKQRHGQQTLHLWRQLNAEMPVNMFPAATDGDSSSHHCGDESINTLGRSFYVGNRDADSRFLSSSSLTDKLYGLSSVVEHYGVCGGGHYAAYRRVTPNSDSNEPVQSLASFRKEWLYVSDDHVSHVSECEVLAAEATLLFYERL >ORUFI04G14020.1 pep chromosome:OR_W1943:4:17350995:17361516:1 gene:ORUFI04G14020 transcript:ORUFI04G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEGPNNHPQGTQETHDCPFTIATNTPLGSTKMGYSDSRKSDENNTSSKPASPVVSSDVVEIKETWENVVVADEEGEGGEDGFASDASESKSKSSSDEVDYELLDLLAGASEGCSGGNNNDEVKNFAYADHKMHVLTERERRKEMKNKFEILHALIPNLPEKTDKATIVEATINYIKNLQDKIHKMEMLKVEREHAIALATAATATAAASADTALQAPPPSEEENEEHDSVVAAATREMALADMVHAWEQQQEAAATGGSHGGHAVPPPPPAASLQTWTGPNMTASLTGDDGFITLSLPHQGGQKNLVAGAVSVLERHHIDVVTATVSASEQGDNLISLHCHLSPGSSSSQNLTPLDKFKLAMSELMLWSQHLHLPIHPMSQEGADLSQDVDESPYHTAVVTTNNLVRSIKAEKSNSSSSSGKPIETDIGLKVASPTMFGFNTKIEGTGKNMAVKREEGEGGGRPGVSSGVSTRDTNGKGKNAMDMEHALHIWTERERRKKMKNMFSTLHGLLPKIPGKTDKASIVGEAIGYIKTLEDVVQKLETIKTERVRAHQWAAAAAAAVAANGGGEGSSHSHSQPPRHATAVTVAVAEPAPVAAAVNAQEPQKKAAAAAAAPTLQTWSAPNITLTMAGVDAFINMCLPRQRASFTTVAFVLEKHQIDVVTSTISADHDKSLFSVHVRLNEASLQSTEGLTPEAKYKLAVSELMVRLAE >ORUFI04G14030.1 pep chromosome:OR_W1943:4:17362919:17375136:-1 gene:ORUFI04G14030 transcript:ORUFI04G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASGEKEEEEKKLQERAPIRRTAWMLANFVVLFLLLALLVRRATAADAEERGVGGAAWRVAFACEAWFAFVWLLNMNAKWSPARFDTYPENLAGRIDELPAVDMFVTTADPALEPPVVTVNTVLSLLAVDYYPGGGGAGGGEGWPATSPTTGARRTWVPFCRRHGVAVRAPFRYFASAPEFGPADRKFLDDWTFMKYDKLVRRIEDADETTLLRQGGGEFAEFMDAKRTNHRAIVKVIWDNNSKNRIGEEGGFPHLIYVSREKSPGHHHHYKAGAMNALTRVSAMMTNAPIMLNVDCDMFANDPQVVLHAMCLLLGFDDEISSGFVQVPQSFYGDLKDDPFGNKLEKLLGGVAGIQGLFYGGTGCFHRRKAIYGIEPDSIVVGREGAAGSPSYKELQFKFESSEELKESARNIISGDMSGEPIVDISSCIEVAKEVSSCNYESGTHWGLEVGWAYGSMTEDILTGQRIHAAGWRSAKLETEPPAFLGCAPTGGPACLTQFKRWATGLFEILISQNNPLLLSIFKYLQFRQCLAYLTLYVWAVRGFVELCYELLVPYCLLTNQSFLPKASENCFNITLALFLTYSTYNFVEYMEYGLSVRAWWNNHRMQRIISASAWLLAFFTVLLKTIGLSETVFEVTRKEKSTSDGNGQNDEVDPERFTFDASPVFIPVTALTMLNIVAITIGTWRAVFGTTEDVPGGPGISEFMSCGWLLLCLLPFVRGLVGKGSYGIPWSVKLKASLLVALSGGGGGEGTRSGRKKPPPPPLQERVPLGRRAAWAWRLAGLAVLLLLLALLALRLLRHHGGAGGDGGVWRVALVCEAWFAALCALNVSAKWSPVRFVTRPENLVAEGRTPSTTAAEYGELPAVDMLVTTADPALEPPLVTVNTVLSLLALDYPRAGERLACYVSDDGCSPLTCHALREAAGFAAAWVPFCRRYGVAVRAPFRYFSSSSSPESGGPADRKFLDDWTFMKDEYDKLVRRIKNTDERSLLRHGGGEFFAEFLNVERRNHPTIVKVLWDNSKSRAGEGFPHLIYVSREKSPTHHHHYKAGAMNVLTRVSAVMTNAPIMLNMDCDMFVNNPQAVLHAMCLLLGFDDEASSGFVQAPQRFYDALKDDPFGNQMECFFKRFISGVQGVQGAFYAGTGCFHRRKAVYGVPPNFNGAEREDTIGSSSYKELHTRFGNSEELNESARNIIWDLSSKPMVDISSRIEVAKAVSACNYDIGTCWGQEVGWVYGSLTEDILTGQRIHAMGWRSVLMVTEPPAFMGSAPIGGPACLTQFKRWATGQSEIIISRNNPILATMFKRLKFRQCLAYLIVLGWPLRAPFELCYGLLGPYCILTNQSFLPKASEDGFSIPLALFISYNTYNFMEYMACGLSARAWWNNHRMQRIISVSAWTLAFLTVLLKSLGLSETVFEVTGKDKSMSDDDDNTDGADPGRFTFDSSPVFIPVTALAMLNIVAVTVGACRVAFGTAEGVPCAPGIGEFMCCGWLVLCFFPFVRGIVWGKGSYGIPWSVKLKASLLVAMFVTFCKRN >ORUFI04G14030.2 pep chromosome:OR_W1943:4:17362919:17375136:-1 gene:ORUFI04G14030 transcript:ORUFI04G14030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASGEKEEEEKKLQERAPIRRTAWMLANFVVLFLLLALLVRRATAADAEERGVGGAAWRVAFACEAWFAFVWLLNMNAKWSPARFDTYPENLAGRIDELPAVDMFVTTADPALEPPVVTVNTVLSLLAVDYYPGGGGAGGGEGWPATSPTTGARRTWVPFCRRHGVAVRAPFRYFASAPEFGPADRKFLDDWTFMKYDKLVRRIEDADETTLLRQGGGEFAEFMDAKRTNHRAIVKVIWDNNSKNRIGEEGGFPHLIYVSREKSPGHHHHYKAGAMNALTRVSAMMTNAPIMLNVDCDMFANDPQVVLHAMCLLLGFDDEISSGFVQVPQSFYGDLKDDPFGNKLEGLFYGGTGCFHRRKAIYGIEPDSIVVGREGAAGSPSYKELQFKFESSEELKESARNIISGDMSGEPIVDISSCIEVAKEVSSCNYESGTHWGLEVGWAYGSMTEDILTGQRIHAAGWRSAKLETEPPAFLGCAPTGGPACLTQFKRWATGLFEILISQNNPLLLSIFKYLQFRQCLAYLTLYVWAVRGFVELCYELLVPYCLLTNQSFLPKASENCFNITLALFLTYSTYNFVEYMEYGLSVRAWWNNHRMQRIISASAWLLAFFTVLLKTIGLSETVFEVTRKEKSTSDGNGQNDEVDPERFTFDASPVFIPVTALTMLNIVAITIGTWRAVFGTTEDVPGGPGISEFMSCGWLLLCLLPFVRGLVGKGSYGIPWSVKLKASLLVALSGGGGGEGTRSGRKKPPPPPLQERVPLGRRAAWAWRLAGLAVLLLLLALLALRLLRHHGGAGGDGGVWRVALVCEAWFAALCALNVSAKWSPVRFVTRPENLVAEGRTPSTTAAEYGELPAVDMLVTTADPALEPPLVTVNTVLSLLALDYPRAGERLACYVSDDGCSPLTCHALREAAGFAAAWVPFCRRYGVAVRAPFRYFSSSSSPESGGPADRKFLDDWTFMKDEYDKLVRRIKNTDERSLLRHGGGEFFAEFLNVERRNHPTIVKVLWDNSKSRAGEGFPHLIYVSREKSPTHHHHYKAGAMNVLTRVSAVMTNAPIMLNMDCDMFVNNPQAVLHAMCLLLGFDDEASSGFVQAPQRFYDALKDDPFGNQMECFFKRFISGVQGVQGAFYAGTGCFHRRKAVYGVPPNFNGAEREDTIGSSSYKELHTRFGNSEELNESARNIIWDLSSKPMVDISSRIEVAKAVSACNYDIGTCWGQEVGWVYGSLTEDILTGQRIHAMGWRSVLMVTEPPAFMGSAPIGGPACLTQFKRWATGQSEIIISRNNPILATMFKRLKFRQCLAYLIVLGWPLRAPFELCYGLLGPYCILTNQSFLPKASEDGFSIPLALFISYNTYNFMEYMACGLSARAWWNNHRMQRIISVSAWTLAFLTVLLKSLGLSETVFEVTGKDKSMSDDDDNTDGADPGRFTFDSSPVFIPVTALAMLNIVAVTVGACRVAFGTAEGVPCAPGIGEFMCCGWLVLCFFPFVRGIVWGKGSYGIPWSVKLKASLLVAMFVTFCKRN >ORUFI04G14040.1 pep chromosome:OR_W1943:4:17369950:17380818:1 gene:ORUFI04G14040 transcript:ORUFI04G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNIVSAVTGMNTGDASKLAYVGSLDERLVTVDEVRVALAHCLQTALEVGAPRSTKPRMVRKAGASLISGAI >ORUFI04G14050.1 pep chromosome:OR_W1943:4:17390387:17400317:1 gene:ORUFI04G14050 transcript:ORUFI04G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGSASAGANGSAAAHRVGAPTNPMATALLTDQYQFSMAYAYWKAGKHADRAVKNPFGGEFTVFAGLEECIKFIANFKFTEDDILFLQSVMPMCEDAFFDYLRKTDCSDVEVYSIPEGSVVFPKVPLMRVEGPVAVVQLLETPFVNLINYASLVTTNAARHRHVAGKSKVLLEFGLRRAQGPDGAISASKYCFMGGFDATSNVLAGNLFGIPLRGTHSHAYVSSYMSLDEIPDKSLKSKDGSRVCKDFVSLVKEWLQKIQLADSLCGVFGETNQSELAAFASYALAFPTNFLALVDTYDVMRSGIPNFCAVALALHDLGYKASGIRLDSGDLAYLSIEARKVFRTLEKEFNVPAFGKMIITASNDLNEETIDALNKQGHEVDSFGIGTYLVTCYSQAALGCVFKLVEINSRPRIKLSEDVAKVSIPCKKRCFRLYGKEGYPLVDIMMRESEPSPKAGKRILCRHPFLESRRAYVVPQHVEELLQCYWPDKPRVELPSLEEIRGRCMQQLEKLRPDHIRRLNPTPYKVSVSAKLYEFIHSLWLNEAPKLRQPSIAMRRRGTSGGGATPREVGGGRRRSSGVTSVPPRGAWRWRWPRCPPRRSSRSPRPGCGCVSRPCCCVPAPRACHHQVPPRACLAAPLLPELWRGYPAGGAMDPATTEQLQGGQIQPSGDGAGRSSGEGAGRSSGEGTERSSCGRWRLAGSPSLFSSPAPNPSPLSSSAPAVLGNDN >ORUFI04G14050.2 pep chromosome:OR_W1943:4:17390387:17400317:1 gene:ORUFI04G14050 transcript:ORUFI04G14050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGSASAGANGSAAAHRVGAPTNPMATALLTDQYQFSMAYAYWKAGKHADRAVKNPFGGEFTVFAGLEECIKFIANFKFTEDDILFLQSVMPMCEDAFFDYLRKTDCSDVEVYSIPEGSVVFPKVPLMRVEGPVAVVQLLETPFVNLINYASLVTTNAARHRHVAGKSKVLLEFGLRRAQGPDGAISASKYCFMGGFDATSNVLAGNLFGIPLRGTHSHAYVSSYMSLDEIPDKSLKSKDGSRVCKDFVSLVKEWLQKIQLADSLCGVFGETNQSELAAFASYALAFPTNFLALVDTYDVMRSGIPNFCAVALALHDLGYKASGIRLDSGDLAYLSIEARKVFRTLEKEFNVPAFGKMIITASNDLNEETIDALNKQGHEVDSFGIGTYLVTCYSQAALGCVFKLVEINSRPRIKLSEDVAKVSIPCKKRCFRLYGKEGYPLVDIMMRESEPSPKAGKRILCRHPFLESRRAYVVPQHVEELLQCYWPDKPRVELPSLEEIRGRCMQQLEKLRPDHIRRLNPTPYKVSVSAKLYEFIHSLWLNEAPVGELQ >ORUFI04G14050.3 pep chromosome:OR_W1943:4:17390387:17400317:1 gene:ORUFI04G14050 transcript:ORUFI04G14050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGSASAGANGSAAAHRVGAPTNPMATALLTDQYQFSMAYAYWKAGKHADRAVKNPFGGEFTVFAGLEECIKFIANFKFTEDDILFLQSVMPMCEDAFFDYLRKTDCSDVEVYSIPEGSVVFPKVPLMRVEGPVAVVQLLETPFVNLINYASLVTTNAARHRHVAGKSKVLLEFGLRRAQSLDEIPDKSLKSKDGSRVCKDFVSLVKEWLQKIQLADSLCGVFGETNQSELAAFASYALAFPTNFLALVDTYDVMRSGIPNFCAVALALHDLGYKASGIRLDSGDLAYLSIEARKVFRTLEKEFNVPAFGKMIITASNDLNEETIDALNKQGHEVDSFGIGTYLVTCYSQAALGCVFKLVEINSRPRIKLSEDVAKVSIPCKKRCFRLYGKEGYPLVDIMMRESEPSPKAGKRILCRHPFLESRRAYVVPQHVEELLQCYWPDKPRVELPSLEEIRGRCMQQLEKLRPDHIRRLNPTPYKVSVSAKLYEFIHSLWLNEAPKLRQPSIAMRRRGTSGGGATPREVGGGRRRSSGVTSVPPRGAWRWRWPRCPPRRSSRSPRPGCGCVSRPCCCVPAPRACHHQVPPRACLAAPLLPELWRGYPAGGAMDPATTEQLQGGQIQPSGDGAGRSSGEGAGRSSGEGTERSSCGRWRLAGSPSLFSSPAPNPSPLSSSAPAVLGNDN >ORUFI04G14060.1 pep chromosome:OR_W1943:4:17402062:17406624:1 gene:ORUFI04G14060 transcript:ORUFI04G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSQSTVVFGLHLWELVGIGVGAAFVLLLVLLSLLCLVASRRRRRRRGVAVATPVLHLATAVAPPKHPGKPPKDIQEVPSRAAAAAAAPKAQPAQVIQAPPPQPPPSESIQIETGKEHRITFREQQHQPPQPPPYHQRSGGPSSRGGSGESRGGGGGGGGGGAEPGVPEVSHLGWGHWYTLKELEDATAMFADEKVIGEGGYGIVYHGVLEDGTQVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVNNGNLEQWLHGDVGPVSPLTWDMRMKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKTWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVEWLKTMVSNRNSEGVLDPKMTEKPTSRALKKALLVALRCVDPEARKRPKIGHVIHMLEVDDFPYRDERRGGKAPGQVKSGEIPPVEAGDSSGNNTPKETPKGQPKDEPFKWRNQAAA >ORUFI04G14070.1 pep chromosome:OR_W1943:4:17409269:17411975:1 gene:ORUFI04G14070 transcript:ORUFI04G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPKKNRNEICKYLFQEGVLYAKKDYNLAKHPQIDVPNLQVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRNYLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRSSGGRPGFGRGGGGGFGAGPTSSSME >ORUFI04G14080.1 pep chromosome:OR_W1943:4:17412137:17415029:-1 gene:ORUFI04G14080 transcript:ORUFI04G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKWQEAPAPGVRVLLFAVAAAALLLVVSARKVGETCAADRNCDAGLHCETCVADGNVRPRCTRVTPVDPQTKARDLPFNRYAWLTTHNSFARLGTRSRTGTAIATAWNQQDTITDQLNNGVRGLMLDMYDFRNDIWLCHSFGGACQNFTAFVPAVEVLGEIERFLARNPSEVVTVFVEDYVESPMGLTRVLNASGLTKYVFPAWRMPKSGGDWPRLSDMVRDNHRLLLFTSKSAKEAAEGIPYEWHYVVENQYGTKGMIKGRCPNRAESSAMNDLSRSLVLVNYFRDLPNFPVACKDNSAELLDMLTTCHDLSADRWANFIAVDFYKRSDRGGAAEATDRANGGLVCGCGSVSACSGNGTCTTARHGGTPKGIFNATSGATALLRPPTATLQWQQLIILVPSTLAALLLSL >ORUFI04G14080.2 pep chromosome:OR_W1943:4:17412137:17415029:-1 gene:ORUFI04G14080 transcript:ORUFI04G14080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKWQEAPAPGVRVLLFAVAAAALLLVVSARKVGETCAADRNCDAGLHCETCVADGNVRPRCTRVTPVDPQTKARDLPFNRYAWLTTHNSFARLGTRSRTGTAIATAWNQQDTITDQLNNGVRGLMLDMYDFRNDIWLCHSFGGACQNFTAFVPAVEVLGEIERFLARNPSEVVTVFVEDYVESPMGLTRVLNASGLTKYVFPAWRMPKSGGDWPRLSDMVRDNHRLLLFTSKSAKEAAEGIPYEWHYVVENQYGTKGMIKGRCPNRAESSAMNDLSRSLVLVNYFRDLPNFPVACKDNSAELLDMLTTCHDLSADRWANFIAVDFYKRSDRGGAAEATDRANGGLVCGCGSVSACSVMPRCRNLGNGTCTTARHGGTPKGIFNATSGATALLRPPTATLQWQQLIILVPSTLAALLLSL >ORUFI04G14090.1 pep chromosome:OR_W1943:4:17420152:17424462:1 gene:ORUFI04G14090 transcript:ORUFI04G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCSDENGHSKCCRYINAIIAVSSAMYANTTGILGVPAEISDACIGNISDTLVSKGILPTAASFCGLGIKIQVSYQCIGMTTVLQMLQSPNFSDVTRSCATLLSDDVSCKRCLNSGLSYLRHLVGEQDNVTLNTCRDAAFVAFASQGNISTVDTASCFFSVQGLSALQVNISVPSPAGLIAPNIAPSPLAMQIPGEHVTGVLSKHHRSYKLALFPAIGALVTGLAVILMIVLILLIRKKSRELEKIEGTNPLDAWSSCLKKGQEGSSTIFDRFTYRQMKKATRNFGTVLGGGEKGTIFKGKLSDGSVVAIRRIESSPKQGQLEFCKEMELLGRLHHRHLVGLKGFCLTRFERFQVYEYMENGSLKDHLHSSGKRLLPWKNRIQIAIDVANALEYLHFYCDPPLCHGDIKPSNVLLDRNYLAKLAVSGLVQCSNGDSTTISSTLVNVKIPATPGYVDPCYVVNQVVTPKSDVYSYGVLLLELVTGKPVAQGDDDGNGDSSSRSSSKNLVEWSRELIGTDYRLHELVDPAVADAFDLDELQVMADVIHWCTHRDGAARPSMKQVLRILYERLDPLSGGLARAVAGEEGYYYGGGQSGRKGKEGAEMLAGGGGDGGRCLPSSSSTSRSYCSRSVLLECNSPEEAPPQSSPRGLP >ORUFI04G14100.1 pep chromosome:OR_W1943:4:17428667:17432064:1 gene:ORUFI04G14100 transcript:ORUFI04G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSAAGAVSEKESGNGERWSRNGVRLRRHPSMANSKWICYNVDDEGFHGRRQGCAEHVVVHSRLRRHGTRVSRWYPGLISRYKLDTQIPGTRSSLFLFPNGTVCPENFPRFGKGGHCPQLQGLRVASVVSHRQPSHQTPTRRSSSSPRPHASPPKTHRIRAKAKLSYHTVVAAHSSSSSSHCTRQRVTRTSWHARALQGGGRMHLHAKTDSEVTSSMAASSPPRAAYYVQSPSHDDGENKTAASSFHSSPAASPPRSLGNHSRESSSSRFSAAKSGSSRRTAAAGGDGGKGGVAAGRGGGGGGGGGRRSPWMKEAAIEEEGLLMEDDDADGGGGGFSSLPRRWRYALGFVGAFFALFFFFGLILWGASHNQKPVVSINSITFHNFVIQAGTDASLVPTELSTVNATVRMTFRNTGSFFGVHVTAEPLTLYYYQLLMASGNVKYFYQSRKSSRHVAVAVVGDKVPLYGGGSGLSSTPVKGAPPAPVPLQLAVRFRSRAFVLGKLVKPKFLTNVQCSVRLDVAKLGKPVSLNKACSLV >ORUFI04G14110.1 pep chromosome:OR_W1943:4:17437422:17439752:1 gene:ORUFI04G14110 transcript:ORUFI04G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSLSLVAFMAAVAAAAAAAGDDRRPYVVRMDVSAMPAPFATHDGWYRSVLSSASARDAAAAPAAEHLYTYSHAMNGFSAVLTARQVEEIRRADGHVAVFPETYARLHTTRTPAFLGLSAGAGAWPASRYGADVVVGIVDTGVWPESASFSDAGVAAPVPARWKGACEAGASFRPSMCNRKLVGARSFSKGLRQRGLNISDDDYDSPRDYYGHGSHTSSTAAGAAVPGASYFGYANGTATGVAPMARVAMYKAVFSADTLESASTDVLAAMDQAIADGVDVMSLSLGFPESPYDTNVVAIGAFAAVRRGILVTCSAGNDGSDSYTVLNGAPWITTVGASTIDRAFTATVTLGAGAGGARSIVGRSVYPGRVPAGAAALYYGRGNRTKERCESGSLSRKDVRGKYVFCNAGEGGIHEQMYEVQSNGGRGVIAASNMKEIMDPSDYVTPVVLVTPSDGAAIQRYATAAAAPRASVRFAGTELGVKPAPAVAYFSSRGPSPVSPAILKPDVVAPGVDILAAWVPNKEVMELDGGETKLYTNYMLVSGTSMASPHVAGVAALLRSAHPDWSPAAVRSAMMTTAYVKDNADDADLVSMPGGSPGTPLDYGSGHVSPNQATDPGLVYDITADDYVAFLCGELRYTSRQVAAIAGHRAGCPAGAGAASHRDLNYPSFMVILNKTNSATRTFTRTLTNVAGSPAKYAVSVTAPAGMAVKVTPATLSFAGKGSTQGFSVTVQVSQVKRSRDGDNYIGNYGFLSWNEVGGQHVVRSPIVSAFAQ >ORUFI04G14120.1 pep chromosome:OR_W1943:4:17439812:17443862:-1 gene:ORUFI04G14120 transcript:ORUFI04G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATATATACPAPPPPRSLYRGVALAAPGRRRAGYGASSSAARRWPGCRRRWAAHRIRTVSCAYSPRGAETITACSWNEYVICSDIPVLIEFWASWCGPCRMVHRIVDEIAQEYAGRIKCYKLDTDDYPQVATSYSIERIPTVLLFKDGEKTHSITGTLPKAVYLKVFLLIWYVTSLSRFVLLKYYLRVSSGTHAIWGDPGIAYEYIRMIVATRKHVLALRQQALILRLMSRNLGIISYSELFPFLTSRREQKIYLVTEARNLVRAGNWDLLISAPTYTLF >ORUFI04G14120.2 pep chromosome:OR_W1943:4:17439812:17443862:-1 gene:ORUFI04G14120 transcript:ORUFI04G14120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATATATACPAPPPPRSLYRGVALAAPGRRRAGYGASSSAARRWPGCRRRWAAHRIRTVSCAYSPRGAETITACSWNEYVICSDIPVLIEFWASWCGPCRMVHRIVDEIAQEYAGRIKCYKLDTDDYPQVATSYSIERIPTVLLFKDGEKTHSITGTLPKAVYISGIILDSTILTVIFMHADAANQLKVFLLIWYVTSLSRFVLLKYYLRVSSGTHAIWGDPGIAYEYIRMIVATRKHVLALRQQALILRLMSRNLGIISYSELFPFLTSRREQKIYLVTEARNLVRAGNWDLLISAPTYTLF >ORUFI04G14120.3 pep chromosome:OR_W1943:4:17440551:17443862:-1 gene:ORUFI04G14120 transcript:ORUFI04G14120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATATATACPAPPPPRSLYRGVALAAPGRRRAGYGASSSAARRWPGCRRRWAAHRIRTVSCAYSPRGAETITACSWNEYVICSDIPVLIEFWASWCGPCRMVHRIVDEIAQEYAGRIKCYKLDTDDYPQVATSYSIERIPTVLLFKDGEKTHSITGTLPKAVYISGIILDSTILTVIFMHADAANQLKVFLLIWYVTSLSRFVLLKYYLRVSSGTHAIWGDPGIAYEYIRMIVATRKHVLALRQQALILRNGGQ >ORUFI04G14120.4 pep chromosome:OR_W1943:4:17440833:17443862:-1 gene:ORUFI04G14120 transcript:ORUFI04G14120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATATATACPAPPPPRSLYRGVALAAPGRRRAGYGASSSAARRWPGCRRRWAAHRIRTVSCAYSPRGAETITACSWNEYVICSDIPVLIEFWASWCGPCRMVHRIVDEIAQEYAGRIKCYKLDTDDYPQVATSYSIERIPTVLLFKDGEKTHSITGTLPKAVYISGIILDSTILTVIFMHADAANQLKVFLLIWYVTSLSRFVLLKYYLRVSSGTHAIWGDPGIAYEYIRMIVATRKHVLALRQQALILRLMSRNLGIISYSELFPFLTSRREQKIYLVTEARNLVRAGNWDLLISAPTVLRC >ORUFI04G14120.5 pep chromosome:OR_W1943:4:17439812:17443862:-1 gene:ORUFI04G14120 transcript:ORUFI04G14120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATATATACPAPPPPRSLYRGVALAAPGRRRAGYGASSSAARRWPGCRRRWAAHRIRTVSCAYSPRGAETITACSWNEYVICSDIPVLIEFWASWCGPCRMVHRIVDEIAQEYAGRIKCYKLDTDDYPQVATSYSIERIPTVLLFKDGEKTHSITGTLPKAVYEPMRSGELLLNAALLHGSALFHGTYAGGILDDSGNPQACTSTQAASADTQYTLF >ORUFI04G14130.1 pep chromosome:OR_W1943:4:17447323:17450509:1 gene:ORUFI04G14130 transcript:ORUFI04G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRHVAAGAGAPAPAAGEWAAVTAGGGAAWALSPVEEVGTKQELMRRTGLPPRDLRALDPALSSASSCRPSAITGRDRAVVVNLDRARAVITASEVLVPSPRDPAVAPLVRELRARLALAASPTPAPSPSPPQHGMAVGMDGSISPSPASRGGEEAAGNGKDGEALGGGDKALPFEFRALEVCLEFACKSLEHETCTLEKEAYPALDELSSKVSTLNLERVRQIKSRLVAISGKVQKVRDELEHLLDDDMDMAALHLTEKLAYQSSRFDIDKEASELEDHSSECSSRDEEGVEGGGGGDGDDETIAGGGSFSPNTDELEILLESYFVQIDGTLNSLSTLREYVEDTEDYINMMLDEKQNQLLQMGILLSTGTLVSSCAIAVTGVFGINVHISLYDSPASSAAFPCAAAGIVAGSLALYLAALLCYKRAGILQ >ORUFI04G14140.1 pep chromosome:OR_W1943:4:17451952:17457256:1 gene:ORUFI04G14140 transcript:ORUFI04G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPAAGGDGDGGGKEEGVNLCFLARSGGGGMQYHQGGSRMPPFARGGAYSRGYKQLYAPPQQQPPPQDKHEVLMEAGRLAAEYLVAKGVLPPASLQRLGVGGGGWVQLPPPPPPPPPPQGTLAFYGAQNGRRRLDDDDDGNPNPRSRRNRGGENNNDDSSSSYNGRGKRKFGAYSRHSDWGRDKGRSRGNSDSRSYDDEDDDGPPGYRRERRGGGRFDDAGSSMSGVAASKTEAMGESELEDTGSKVGSSSNFRKDVDPPQEVKGVDKLNKINEESNPSNSEVVEQMTNGESTSNNASCIVIDEEQTKAKYLPVPSDDKVSDEKPDDSSVLNEKIEDDETLAEKAEDDKTSDERVPGVKNNLRDDCNNLLSYCSYPNIPTRRRSIVAHRNAAPAHREVAVAEQIDLVSSEDETHMATDVTGHGSSLTNTQEGNKDGLACLEHTDTSTTCNQMVEPVRFQTEETQIGTDDLRGQKNIEQHYAVHESSEENMLPPKVGVQQQVEEGMQIYNVDTPPQDEDLIASADKEKVAGVALLPSIKAEAVVAKEEDKFGQSSSFKICDLNLVGSPEVAELRNDPGLGQFSTAGCSMEPQNQQQEFRNTGNSADDTNMHAQIPLHNKVVQVIDLEDDSPIEAGACDTSKAKEENMANPAVTTDVLPGIQDGYNFAISDYLGADIPCYQPMQTDLPNGMSLNDSEGITVMDDSIYGSLSDIGFMEVWDQQPQDYEKFF >ORUFI04G14150.1 pep chromosome:OR_W1943:4:17456359:17458238:-1 gene:ORUFI04G14150 transcript:ORUFI04G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFSAAASPTYLHRPRTLAPPASTPARLSPSSSSRRPATPSLLSLRRTHAAPPPLRAAAADPKVVNGEDFPPMKDLLRLYKKAFLDGNDEALGGIESAIIAIEKERSNSAAQYESIATEITSGKDKFLRINADLENFRKQTEKERARFTSNIQVDVVQSLLTLVDSFEKVNQEITLETDKEQTISTSYQGIYKQLVETLRSLGVGVVETVGKPFDPSIHEAIAREESHQFKAGIVSHEVKRGFLLRERLLRPATVKVSTGSGTQETSSPSTEKPVEDSKEDAAV >ORUFI04G14160.1 pep chromosome:OR_W1943:4:17459711:17466097:1 gene:ORUFI04G14160 transcript:ORUFI04G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDVSVDVRPEFNSFDHLRSMRYIATDRPWLTLYGIRVQPVTPFSSLSSRPDLALIHQCLPDELLFEVCTVQYCYLGQILYKSLYVSRNTYIHTGTAEWQFTKTVNVVCYYRYLRFFPSGKFLYKISPQKIKDVVKCMHFRASKGDCVFKGDYVLSEDGQIELALLYPGRRYTLVRMRLRLRGTTLGANNRLDVLKILTTGVNATELRNWKGSVLELVETWDEDETHDPEVPAVTHSRGLTPFVFVPFEEADTSVMNLPVEKMDYFVPG >ORUFI04G14160.2 pep chromosome:OR_W1943:4:17459711:17464995:1 gene:ORUFI04G14160 transcript:ORUFI04G14160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDVSVDVRPEFNSFDHLRSMRYIATDRPWLTLYGIRVQPVTPFSSLSSRPDLALIHQCLPDELLFEVCTVQYCYLGQILYKSLYVSRNTYIHTGTAEWQFTKTVNVVCYYRYLRFFPSGKFLYKISPQKIKDVVKCMHFRASKGDCVFKGDYVLSEDGQIELALLYPGRRYTLVRMRLRLRGTTLGANNRLDVLKILTTGVNATELRNWKGSVLELVETWDEDETHDPEVPAVTHSRGLTPFVFVPFEEADTSVMNLPVEKMDYFVPG >ORUFI04G14170.1 pep chromosome:OR_W1943:4:17464654:17466332:-1 gene:ORUFI04G14170 transcript:ORUFI04G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCYKLATLNNQLYIQLMEVYLLIMS >ORUFI04G14180.1 pep chromosome:OR_W1943:4:17467909:17476277:1 gene:ORUFI04G14180 transcript:ORUFI04G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMVFQARTYACMERHQLDKGKEGINQWRREANQGAKHKARNPCLIEPSPNIRLVLALAQKPIYPSIPIAAASLGRPELLHAVVLSGEWN >ORUFI04G14180.2 pep chromosome:OR_W1943:4:17467909:17476277:1 gene:ORUFI04G14180 transcript:ORUFI04G14180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESMVFQGKEGINQWRREANQGAKHKARNPCLIEPSPNIRLVLALAQKPIYPSIPIAAASLGRPELLHAVVLSGEWN >ORUFI04G14190.1 pep chromosome:OR_W1943:4:17477022:17480759:1 gene:ORUFI04G14190 transcript:ORUFI04G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAIAVELKLDDSVIEIIDKTDEEDDFKGVDEGSRSEIMSVAEVIDQVLRDHKFMVAFHNGSGDYIDLGSFGFPQITLFRSNLILWTFRKRFQGRERYSEIEDKVKNTHLFAYETHYNITRNNILFPVLQKEAAAIAAHYPFMREIDPERIVHCCLYGLFLYLCLPKHLENEWAARASVYWMCDGIIQGDQAWEISAALSKEIKWNLQPSLQDEVRQEFIESSSKNSVSIQRFKNRYFDGQKFYPWITITASNNINPETLQDIRSKNAEASSYFLAPERSSDITLVLSDGLFDQWNNLQVLQLSYCDFSFASPPFIGCQNLRFIGLDHCKDKKEGCKQSDLRKWQFLHSLLVLDLIDTIWYQVFSEDMADLFVNLRELNIVGVYCSHIWGQLPSTLRFFRLDSRQPTPQSTPGIELSLKGCMGLESLFLSRISNLTELDLSGTAIRILDFTAMVVEVSGLKRLFLLGCEQLCKIKWGKSGSTVRDLELLCVDTRPRIKYPQLFVDKNKSPGFLSVHAVIVDARIARSLCALIEKTSYHVDMHIHVTSSTIYGEVQSEGTYKDSISQLSDHVNMQQQDLISAGQYHDVQLSMVGDVPMQSFPLPPTTMLRRHIEIAQGSHNLESELDDDSPIPTLAHLVNRKAESLHVHDLSTITALPGGRWWYLKWCRIERCPKIEIVFPKDAWDFDRLETTWVSDLLMARCIWSKGSRDYPGSFQNLQHLHLRSCPRLHFVLPVWVSSFPDLKTLHVIHCSNLHNIFVLDGHYPEQITVEGVAFPKLTTIHLHDLPMLRQICDVEFKMVAPALETIKIRGCWGLRRLPAVAADGPKPAVEIEKDVWDALEWDGVEADHHPSLFQAPVHSRYYRKKLPRGSVLSGDFITQMKASCFCTKVH >ORUFI04G14200.1 pep chromosome:OR_W1943:4:17481453:17484212:-1 gene:ORUFI04G14200 transcript:ORUFI04G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRREEKMHPSSRRARGRREGFEAGTERRGGCGARFHLHPSRGGARRPGMDKLVQFGRKAWFVVRVLSGYEERRIRAHRLQMQQRIARAQAKKEELRKQPEQIILSEVRQMVQQMQALNQQLEEAETAIDEYFKPIDKNAKIIMDMQLEKEEKQIKEMTKVMQDQIAMQREIASKRAQATAIESKDAQTQTSDKIAEGPPKEETMK >ORUFI04G14200.2 pep chromosome:OR_W1943:4:17481453:17484212:-1 gene:ORUFI04G14200 transcript:ORUFI04G14200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRREEKMHPSSRRARGRREGFEAGTERRGGCGARFHLHPSRGGARRPGMDKLVQFGRKAWFVVRVLSGYEERRIRAHRLQMQQRIARETAIDEYFKPIDKNAKIIMDMQLEKEEKQIKEMTKVMQDQIAMQREIASKRAQATAIESKDAQTQTSDKIAEGPPKEETMK >ORUFI04G14200.3 pep chromosome:OR_W1943:4:17481455:17483738:-1 gene:ORUFI04G14200 transcript:ORUFI04G14200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVQFGRKAWFVVRVLSGYEERRIRAHRLQMQQRIARAQAKKEELRKQPEQIILSEVRQMVQQMQALNQQLEEAETAIDEYFKPIDKNAKIIMDMQLEKEEKQIKEMTKVMQDQIAMQREIASKRAQATAIESKDAQTQTSDKIAEGPPKEETMK >ORUFI04G14200.4 pep chromosome:OR_W1943:4:17481455:17483738:-1 gene:ORUFI04G14200 transcript:ORUFI04G14200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVQFGRKAWFVVRVLSGYEERRIRAHRLQMQQRIARETAIDEYFKPIDKNAKIIMDMQLEKEEKQIKEMTKVMQDQIAMQREIASKRAQATAIESKDAQTQTSDKIAEGPPKEETMK >ORUFI04G14210.1 pep chromosome:OR_W1943:4:17493507:17499368:1 gene:ORUFI04G14210 transcript:ORUFI04G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYELLKDIGAGNFGVARLMRNKETKELIDENVAREIINHRSLRHPNIIRFKEVVVTPTHLAIVMEYAAGGELFDRICNAGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKTADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIMSIQYKIPEYVHVSQDCRQLLSRIFVANPAKRITIREIRNHPWFLKNLPRELTEAAQAMYYKKDNSAPTYSVQSVEEIMKIVEEARTPPRSSTPVAGFGWQEEDEQEDNSKKPEEEQEEEEDAEDEYDKQVKQVHASGEFQLS >ORUFI04G14210.2 pep chromosome:OR_W1943:4:17493257:17499368:1 gene:ORUFI04G14210 transcript:ORUFI04G14210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKTADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIMSIQYKIPEYVHVSQDCRQLLSRIFVANPAKRITIREIRNHPWFLKNLPRELTEAAQAMYYKKDNSAPTYSVQSVEEIMKIVEEARTPPRSSTPVAGFGWQEEDEQEDNSKKPEEEQEEEEDAEDEYDKQVKQVHASGEFQLS >ORUFI04G14220.1 pep chromosome:OR_W1943:4:17503607:17505121:-1 gene:ORUFI04G14220 transcript:ORUFI04G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKLSLAIDGGGGGDAAAAVAKKSKVVGGGAVVVDGVGSSAICGGDRGSRVRDRMVKKAEEFDHENGMAATSSDGGGGGGGGMELVRLLLSAVAAGEAGDARAAAAALREVDRRASCRGGGDPAQRVAACYAAALAPRLAAGLRPARSSPAAPAAARAEQFLAYTMFYQASPFYQFAHFTANQAIVEAFESGGRRRLHVVDFDVSYGFQWPSLIQSLSDAAAAATSSSSHDDDDNGGGCGDGPVSLRITCFGASADELRETEARLRRFAAGCPNLRFEFEGILNNGSNTRHDCTRIDDDATVVVNLVFPASSREACAATRMAYINSLNPSMVFLIEKHDGGGGLTGGDNTTTGRSASLLPRFAANLRYFAAVFDSLHECLPADSAERLAIERDHLGREIADAVASLDHQHRRRHGGGGGGGDHAAASWNWKAAMEGAGLDGVKLSSRTVSQAKLLLKMKSGCGGGGFRVVEGDGGMAMSLAWRDMALATATLWRRRRRRRRCR >ORUFI04G14230.1 pep chromosome:OR_W1943:4:17509009:17524758:-1 gene:ORUFI04G14230 transcript:ORUFI04G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQQQQAPKQKQKPKHSSTSSSSSSAGGGAASAAPRLQISSENERRLRRLLLNSGATAAPAPAPADAPAARAESREQKARRLRGVYDKLSLEGFSSAQIEQALSALSDSATFESALDWLCFNLPGDELPLKFSSGTASSSGAGPLGTEGSVKVVSTAKDNWVPQSRESEEVQGSNERLEIIISRRREEDVTLDDGRSSQAAWIRQYMEQQEEEDDVNSNDSYTWEDHCPPSLETAEAKPSRRKKKGKQAKSSSGNSKEDLSSSDNVFPNSDIANAEGDLVDSGATGKKCESPVHMDGGSSLEKKMSKDVDETSTKEVEEEEVELDNLFFEDSSAWEAVAPEILKQQKIEKLSHDGYGHLLGNIDDIWKKGDSGKMPKAVLQKFCQKLGWEAPKYSKISEKDRKFIYAVNVLRGSTGRGKSRKAGGLTKVELTEQDKEYASVEEAQNRVAAFALYQFFADLSLRQLLIEPYASLVLRWQEGELSSSSSRVMDTEDSRRAGFVDKLLDMDANTTPHQVEDASDGATSVDSRSIEDSYSVHEKKETYLVNRTGSRSAEQVESTVLKKHLENKMKQSSYLKMLEARASLPISRFKDHFLQLLKENDVIVVCGETGCGKTTQVPQFILDDMIESELGGYCSIVCTQPRRIAAISVAERVSSERCESSPGSKDSLVGYQVRLDSARNERTKLLFCTTGILLRKLSGNNDLSDVTHVVVDEVHERTILGDFLLIVLKSLVEKRSNQPGRKLKVILMSATVDSSLFARYFGDCPVINVEGRTHPVSSHFLEDVYEKMEYCLALDSPASGAYFQQHGEKWKNASSTVNNRRGKKNLVLSSWGDESVLTEDYVNPHYTTDCYQSYSERTNQNLKRLNEDVIDFDLLEDLICYIDENCPPGAVLVFLPGVAEIDMLIDRLSASVRFGRESSDWILPLHSLLAPTDQRKVFQSPPENIRKIIVATDIAETSITIDDVIYVVDTGKHKENRYNPQKKMSSIVEDWISRANAKQRRGRAGRVKPGLCFCLYTRHRFEKMMRPFQVPEMLRMPLTELCLQIKSLHLGGIKSFLLKAIEPPKEEAISSAIDLLYQVGAFEGHEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSVAAFLSYKSPFISPKDEKQNVEKAKASLMNENLDGSASTADNKQSDHLLMVIAYNKWSRILRENGARSAHQFCRSFYLNSTVMYMVRDMRLQYGTLLADIGLLDIPKDSLRPVDGTRKNTLESWFANMSLPFNLYAHYSSVVKSVICAGLYPNVAATLEGVDPGALGGRKPSDFLSGKDRPRWYDGRREVHIHPSSMNHSLKAGQYPFLVFLEKVETSKVFLRDTSVISPYSLLLFGGTMVIQHQTGVVIIDGWLRLAAAAQTAVLFKQLRVTLDAVLKELIRKPEMATFVDNEVVRSIIHLLLEEEKAQQA >ORUFI04G14240.1 pep chromosome:OR_W1943:4:17527219:17528778:1 gene:ORUFI04G14240 transcript:ORUFI04G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPSSGSERSLASLVSAAAHSVKLNRAYLLAPAVAAGLLAAVLLSSLLDFSAFSASPRPAFPPPTAGAPANASALSAPPRAPVRTALDTLGTRPREPFTALRDAYARWDAAVGCAAFAEKHRSRSSPPPGPAALQDPEAAPCGSLRLPHVALAVRGVTWVPDILDGVYQCRCGLTCLWSRNEEALADTPDVVLYEIWPPPDTRKQGEPLRAFMDIEPTRKRSGHEDIFIGYHADDDVQVTYAGKFFRITHNYHVATHKRDDVLVYWSSSRCFEHRNKIARELFRHLPAHSFGRCENNVGGGDKALELYPDCARDGHGAAEWWDHLHCAMSHYKFVLAIENTIADSYATEKLYYALEAGSVPIYFGAPNARDLAPPGSYIDGAAFASAEELAAYVREVAGDPAAYAEFHAWRRCGVLGGYGRNRLVSLDTLPCRLCERASRMGGRHAPAPNATVS >ORUFI04G14250.1 pep chromosome:OR_W1943:4:17531147:17535152:-1 gene:ORUFI04G14250 transcript:ORUFI04G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGMRKASSHASMAAAAADPDDFDLTRMLNHRPRINVDRQRSFDDRSLAELSISGTASRGGGGGGYPAMMESYESMYSPGGGLRSLCGTPASSTRLSFDPHPLVFDAWDALRRSLVCFRGQPLGTIAAVFVRDFVPSALAFLMNGEPEIVKNFLLKTLLLQGWEKRIDRFKLGEGAMPASFKVLKDAKRGGAERLVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETAECQRGIRLIMNQCLAEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLMLKPDAPEGKETMDRVATRLHALTYHMRSYFWLDFQQLNDVYRYRTEEYSHTAVNKFNVIPESIPDWVFDFMPSRGGYFVGNVSPARMDFRWFALGNFVAILASMATPEQAAAIMDLIEERWEDLIGEMPLKISFPAIESHEWEFVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRLKIARRAIELAEARLARDGWPEYYDGKLGRYVGKQARKLQTWSVAGYLVAKMMVEDPSHLGMISLEEDRAMMKPVLKRSASWTV >ORUFI04G14260.1 pep chromosome:OR_W1943:4:17541471:17544279:-1 gene:ORUFI04G14260 transcript:ORUFI04G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTRPLPLLLLLLLPPLLLLLLSFHAAAAASAAEEFPRDGRVIELDESSFEAALGAIDYLFVDFYAPWCGHCKRLAPELDEAAPVLAGLSEPIIVAKVNADKYRKLGSKYGVDGFPTLMLFIHGVPIEYTGSRKADSLVRNLNKFVAPDVSILESDSAIKSFVENAGTSFPMFIGFGVNESLIAGYGGKYKKRAWFAVAKDFSEDFMVTYDFDKVPALVSLHPKYKEQSVFYGPFEGSFLEDFIRQSLLPLTVPINTETLKMLDDDDRKVVLAILEDDSDETSSQLVKVLRSAANANRDLVFGYVGIKQWDEFVETFDISKSSQLPKLIVWDRNEEYEVVEGSEKLEEGDQASQISQFLEGYRAGRTTKKKVSGPSFMGFLNSLVSLNSLYILICVFALLGVMIYFTGQDDTPQF >ORUFI04G14270.1 pep chromosome:OR_W1943:4:17546100:17548121:-1 gene:ORUFI04G14270 transcript:ORUFI04G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTRRKRRKERKPFNSSASRGFHATGVKRMGGHGHDEPYYLHAKHMYNLHRMKHQKPKVYLSVLGAVGIGIAVPVYAVVFQQKKTASG >ORUFI04G14280.1 pep chromosome:OR_W1943:4:17548287:17550254:-1 gene:ORUFI04G14280 transcript:ORUFI04G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAIQNLLRPFRCLLLRRFCSLGPPQRHAAGDVFQSNTAINELFRAGRVAAARRVFDEMSERNVFTWNCMVSGLIRNRMLAEARKVFDAMPVRNSVSWAALLTGYARCGRVAEARELFNRIPDRNVVSWNAMVSGYARNGMVKRARELFDMMPWRDDVSWLTMISGYIKRKHVREARELFDSMPSPPTSVCNALLSGYVELGYMRAAEVLFGQMQTRNPVSWNVMITGYARAGSMGIAQRLFDEMPEKDVLSRTAIMRGYLQNGSVDAAWKVFKDMPHRDTVAWNTMMDGFVRNDRLDDALKLFSEMPDRDQISWNAILQGYVQQGDMDSANAWFRRAPNKDAISWNTLISGYKDEGALSLLSEMIRGGLKPDQATLSVVISICASLVSLGCGKMVHLWAIKTGFEHDALVMSSLISMYSKCGLISEASQVFELILQRDTVTWNAMIATYAYHGLADEALKVFDMMTKAGFRPDHATFLSILSACAHKGYLYEGCYHFRSMQEDWNLVPRSDHYSCMVDLLGRSGFIHQAYDFTRRIPSDHRTTAWETLFSACNSHGEIQLGEIIARNVLKARPSDGGMYTLLSNIYAAKEMWSSAASVRGFMKERGLKKETGCSWIELKGEVVTFSSNDSNHPLIEQICQEVDSISVMIEEAT >ORUFI04G14290.1 pep chromosome:OR_W1943:4:17552387:17583280:-1 gene:ORUFI04G14290 transcript:ORUFI04G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKLLRSASALVGSTVSGQHLLEIKGYSHIKEAIPSTDYIVSRSFRVGGHDWCIRYYPNGFYQGWSEYIAVTLCLDGSVNQGVRAHFSFTVLNQAGEPMPESWNYYNVGYTFTSWDCEGPRTFIRKDTLEGSGPLHDNCFTIRCDLTVIMPPEAKGVDTESQQVQDGEAKADKLCGYVNVRTVAAMLAFAGEHHCHGFIQAQFDSKKSSRSFRPTAKKFHLYLTRKSASAIVSSTESGQHLLKIDGYSRIKDTTSNGSYILSRAFSVGGYSWFIRYYPNGIHSYWPEYISVYLYLLEYVNQGVRTEFSFSLLDQAGEPVCMYSNSGFTFTNNNDYEGARLFIQKSVLERSEHLRDNCFTIRCDLTVIKPPEAKDVAHIAKPTPAPAVSVPPSDLTHHLGGLLATGKGADVTFEVDGKTFAAHRNVLAARSPVFHAKLFGLEENSEDVDDGAAAAGGAGAIIRVDDMEPHDFEALLRFMYTDSLPEMKGGGDAVAMLPDLVAAANRYKMERLRLVCEDKLCGYVNVRTVAAMLAFAGEHGCHGLQKKCLQLLDDPANLREIVETEGLEHLAKSYPLVLKDLIAKLLRSASAIVGGTESGQHLLAINGYSSIKDAVSTGDCVQSRHFRVGGHGWYILYYPNGFNSNVSDCISIYLVLDGHDYYYGGPTVRAELTLSLLDQELEPVTSYIYSHGLQIFDGYGRYWGSPRFIQKAVLERSEYLRDNRFRIRCDITVMKNPEAKDIEDTGGGRRVTLPPSDLARHLGGLLATGVGADVTFEVDGKTFLAHRNVLAARSPVFHQELFSLTEKGNAATGGAGVIIRVDDMEAQDFEALLHFMYTDSLPEMKGGDAVAMLPDLVAAANRYKMERLRLVCEDKLCEYVTVRTVAAMLAFAGEHQCPELEKKCLQLLEDPANLRNIVETEGLEHLTKSYPFVHSVNEQRTIDSGQAMSTTGGSRRPPQRSASAVVAGTESGQHLLKTEGYSRVKDAIPNGGEIKSRSFRAGGHSWYIGYYPSGYNSDSTDYISIFLQLDENVENGVKAEYKFSLLDRAGKPSYSRSGKGATFFHDDGWGFRRFIKREQLEKSEYLKDDCFTIMCEFTVFMEVQTEDIDVVAATPPPPPPTPVPPPPPKVVVPPSDLHRHLGGLLATGEGADVAFEVNGKTFVAHRWVLAARSPVFREKLFGGLGKESATTNGVVDDMEAQDFEALLRYMYTDSLPEMKGGEEAAMLPDLVAAANRYKIERLRLVCEQKLCKYVNGRTVVAMLAFAEEHHCNGLKENCLHFLDDPVKLREIVKAEGLENLSKTMPTSTGSRKPVRSASTIIAGTESGQHLLKIDGYSHTKDKLPTPGSNVKSRSFRVGGHSWHISYYPSGNDSDKANCISIFLNLDDDVDVKAHLACSTVPGGNPHAFKSSGWGFVSFIRRDVLEKSEYLRDDCLTIVCDLTVFMELQTEDIDVDTATPPPPTVVVPPSDLHRHLGGLLATGEGADVTFEVSGKTFAAHRLVLAARSPVFRAELFGPSKELGATTGGAVDHTAIRIDDMEARDFEALLRYMYTDSLPEPETTKGGGDAAAMLPDLVAAASRYKMERLRLVCEHKLCEYVNGRTVVSMLAFAREHHCDGLKEKCLRFLDDPVKVREIVKAEGLDNLSKSYTSILTDLIAKLAAVQQSLHAKVFSTDAMPTATGSRTPVRSASAVIAGTESGQHHLKIDGYSRIKDELPTGSDIKSRSFRAGGHSWHLRYYPNGFNSDCAECISIFLQLDYNVMKGVKAQYKFSLLDRARKPSYSRSSGKADVFLNAGWGYRTYIERGLLESSEYLRDDCLTIVCDFTVFKDLRTEDIDVDDAMPPPQSPPTVVVPPSDLHRHLGGLLATGEGADVTFEVEGKTFAAHRWVLAARSPVFRVALFGATTGGADDVVRVNIDAMKVQDFEALLHYMYTDSLPEMKGGEAAAMLPDLVAAANRYKMERLRLVCEHKLCEYVNGRTVVAMLAFAGEHQCNGLKEKCLRFLDDPVKLREIVQAEGVENLSKSYPSILKDVIAKFVATPVDS >ORUFI04G14300.1 pep chromosome:OR_W1943:4:17563754:17579502:1 gene:ORUFI04G14300 transcript:ORUFI04G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAILLEAIAMVLLGECKHGNNSSPIHVREHRHRVPSAFHLRQRVGVHEAEQSLEVVRLHVVDAYDGASTTGGGRAVVDVLRILFQAEELRVEHRRPGREHVPVRRERLAVNLERHVGALPSGEEATEVMGQVRRWHRHRRGRGWLGDVSNILRLRWLDDREVTPDRETVVPEVLRSLKHGLLDEKPCPLIVVVVSEGKPRVTVHAHRLPGLVECWPLSVLETMAEADLTILRTSIRCVRVASRSSPDAASFALEPIIP >ORUFI04G14310.1 pep chromosome:OR_W1943:4:17583571:17601416:1 gene:ORUFI04G14310 transcript:ORUFI04G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFLLLPPPMESLASQARPAAVVWLAGFLQAARLHRVVSFCASSRPLSARIAQCFLLNGFIFLGSQLQGQHLCDHTVAVATYSFLRSVLIQIFYLRVEYTLVWTIVLPFSRFPYNDIAKHALDVVKRKSLDATKALDAHTISESTEKPEGVAIGIGEQVYSILLLTIFFIEVSVIGYIPYFGKPMNFLLLSLMYAYYCFEYKWNFFAVSLNERLDFFESNWAFFAGFGTQAEKVIDQLKPSHGGKLQRIPVFFIAKRLTTREYAVYLLDLRLVGAKVTGPVVRQQKLRLLAVPLSPKSKPLATLMTLQVRISINRNYEMYGTEQILILLC >ORUFI04G14310.2 pep chromosome:OR_W1943:4:17579650:17601416:1 gene:ORUFI04G14310 transcript:ORUFI04G14310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLASQARPAAVVWLAGFLQAARLHRVVSFCASSRPLSARIAQCFLLNGFIFLGSQLQGQHLCDHTVAVATYSFLRSVLIQIFYLRVEYTLVWTIVLPFSRFPYNDIAKHALDVVKRKSLDATKALDAHTISESTEKPEGVAIGIGEQVYSILLLTIFFIEVSVIGYIPYFGKPMNFLLLSLMYAYYCFEYKWNFFAVSLNERLDFFESNWAFFAGFGTQAEKVIDQLKPSHGGKLQRIPVFFIAKRLTTREYAVYLLDLRLVGAKVTGPVVRQQKLRLLAVPLSPKSKPLATLMTLQVRISINRNYEMYGTEQILILLC >ORUFI04G14310.3 pep chromosome:OR_W1943:4:17583571:17601416:1 gene:ORUFI04G14310 transcript:ORUFI04G14310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFLLLPPPMESLASQARPAAVVWLAGFLQAARLHRVVSFCASSRPLSARIAQCFLLNGFIFLGRYGLLFYHSQDSRISYTKQWWAQLYNDIAKHALDVVKRKSLDATKALDAHTISESTEKPEGVAIGIGEQVYSILLLTIFFIEVSVIGYIPYFGKPMNFLLLSLMYAYYCFEYKWNFFAVSLNERLDFFESNWAFFAGFGTQAEKVIDQLKPSHGGKLQRIPVFFIAKRLTTREYAVYLLDLRLVGAKVTGPVVRQQKLRLLAVPLSPKSKPLATLMTLQVRISINRNYEMYGTEQILILLC >ORUFI04G14310.4 pep chromosome:OR_W1943:4:17579652:17583604:1 gene:ORUFI04G14310 transcript:ORUFI04G14310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPWQWCSPANASMAATVLTLTYPHSLSSHTSLSLSILYLLALGVNALRLGRHDHREVAPDREAVVVQWPRSLQCVLSDESPRPLTVPTSEGIPHIIVVPAFRHWLPGLVKHGEAEMCSHALVDGAVEAESDGYVLGPTLVEAIGVVADAPVVAADAETTRHDVVGAGDGFLDVGVALDLEQVLAAHRAPNEGGGGPQQLAAVHDRKETMVSSCRISD >ORUFI04G14310.5 pep chromosome:OR_W1943:4:17579652:17583604:1 gene:ORUFI04G14310 transcript:ORUFI04G14310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNPWQWCSPANASMAATVLTLTYPHSLSSHTSLSLSILYLLALGVNALRLGRHDHREVAPDREAVVVQWPRSLQCVLSDESPRPLTVPTSEGIPHIIVVPAFRHWLPGLVKHGEAEMCSHALVDGAVEAESDGYVLGPTLVEAIGVVADAPVVAADAETTRHDVVGAGDGFLDVGVALDLEQVLAAHRAPNEGGGGPQQLAAVHDRKETMVSSCRISD >ORUFI04G14310.6 pep chromosome:OR_W1943:4:17579652:17583604:1 gene:ORUFI04G14310 transcript:ORUFI04G14310.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNPWQWCSPANASMAATVLTLTYPHSLSSHTSLSLSILYLLALGVNALRLGRHDHREVAPDREAVVVQWPRSLQCVLSDESPRPLTVPTSEGIPHIIVVPAFRHWLPGLVKHGEAEMCSHALVDGAVEAESDGYVLGPTLVEAIGVVADAPVVAADAETTRHDVVGAGDGFLDVGVALDLEQVLAAHRAPNEGGGGPQQLAAVHDRKETMVSSCRISD >ORUFI04G14320.1 pep chromosome:OR_W1943:4:17601569:17602748:1 gene:ORUFI04G14320 transcript:ORUFI04G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDAAAAAASASPSPLLPPAPPSAARRRRRRLLTSPNPSVSSTSTSTSSSSSSSSSSSSLSFPFAPFSPAPSPFHHRFLSPLRASAVPFSWEHRPGIPKTPTRQHQHHRGGCGGGKIYSTPLPLPPSLLSSKVVVADEDRGGADRLAVSDDDDDAKAARRRSRRRHRRRLLQRPRRPAALAAALTDWLAVLSLYRSCTRFLEVVVVKAKSWVFQLLQIFTNCFS >ORUFI04G14330.1 pep chromosome:OR_W1943:4:17617043:17620268:-1 gene:ORUFI04G14330 transcript:ORUFI04G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECYMLKSRRLVGVADAWSVDDGADGISGFPDAMSAAAHKQMVTGAEEAMLGKLELDSQSNLFSNSDDFLLSGSSKLGISASEDIDLPGKQGLPESTTDRPGSNGSSRVPRLRVLGTAGMAGFGKAVDILDTIGCLVTTSLSTDGGFISRAKTKGCPISILAFEVANTILKGATIMQSLSEDTVTYFKQVVLPSEGVQNLISSDMSVLMRIVANDKREELKIFSQEIVRFGNRCKDPQWHNLDRYFVKLESENPPQKQLKETAVAEMQKLMDLVHRTTDLYHELHALDRFEQDYRCKLTVKGNSYQKDNLPGENIEVVRIELKSQRNYVKSLKKRSLWSKTLEDIVEKLVDIVQYLHFEINASFGSSDEGELSSESTEDCQRLGPAGLALHYANIIIQIYSVVSRSGYIPPNTRDALYQGLPPRVRSALPNRLRTSSVPQELNIDQIRATMDKTLKWLVPMAINTTCARGFLRFSEWARSGTERVGRRPGQPDVVETLYHADKAKTEDYILDLVVWLHHLVNQSNRPANAKDKDKEQSTSPLTKSDLDKAQQE >ORUFI04G14330.2 pep chromosome:OR_W1943:4:17617043:17620303:-1 gene:ORUFI04G14330 transcript:ORUFI04G14330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRARASACGWNATVRVYVLFFLDLAAAIGLEVEDSALCSRRLVGVADAWSVDDGADGISGFPDAMSAAAHKQMVTGAEEAMLGKLELDSQSNLFSNSDDFLLSGSSKLGISASEDIDLPGKQGLPESTTDRPGSNGSSRVPRLRVLGTAGMAGFGKAVDILDTIGCLVTTSLSTDGGFISRAKTKGCPISILAFEVANTILKGATIMQSLSEDTVTYFKQVVLPSEGVQNLISSDMSVLMRIVANDKREELKIFSQEIVRFGNRCKDPQWHNLDRYFVKLESENPPQKQLKETAVAEMQKLMDLVHRTTDLYHELHALDRFEQDYRCKLTVKGNSYQKDNLPGENIEVVRIELKSQRNYVKSLKKRSLWSKTLEDIVEKLVDIVQYLHFEINASFGSSDEGELSSESTEDCQRLGPAGLALHYANIIIQIYSVVSRSGYIPPNTRDALYQGLPPRVRSALPNRLRTSSVPQELNIDQIRATMDKTLKWLVPMAINTTCARGFLRFSEWARSGTERVGRRPGQPDVVETLYHADKAKTEDYILDLVVWLHHLVNQSNRPANAKDKDKEQSTSPLTKSDLDKAQQE >ORUFI04G14340.1 pep chromosome:OR_W1943:4:17624637:17635598:-1 gene:ORUFI04G14340 transcript:ORUFI04G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSRNRNPTQESMHQTTESGTMEKNGSHLPAGTKSCTRTYLNNHVVQADTITTTNQSLARTGPELFKTAPFIDNMCDDAKLDAMDEDELLASIDVDRIVMEHYQATNTPRGSSKSPLEKCNFNGFDENNLPQELSIMCDHGSKLAFCPEAKSHLLEMKDNLLAISHELIDGQLSPQQSNDLHQKRALLKKQIELLGEYTARLTQDEERQQSHSMASTTAHQGHHPTSILSSSFVKDTNIFQSPIYTRNEPGESGLCFSSAPYSYMDGLSMPLPSVQRDYTPRAIDISYTEGSGDKQWSSTHFAWTKELEANNKRVFGNRSFRPNQREIINATMSGNDLPALICNGVTLVVSPLVSLIQDQIMHLLQANISAAYLSASMEWSEQQEILRELMSPTCTYKLLYVTPEKIAKSDALLRQLENLYSRGHLSRIVIDEAHCVSQWGHDFRPDYQHLGILKQKFPQTPVLALTATATASVKEDVVQVLGLANCIIFRQSFNRPNLRYFVWPKTKKCLEDIHNFIHANHNKECGIIYCLSRMDCEKVAAKLREYGHKASHYHGSMDPEDRANIQKQWSKDRINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDSQLSSCVLFYNYSDYIRLKHMVTQGFAEQGTSAPRGGSSQEQALETHKENLLRMVSYCENDVDCRRLLQLIHFGEMFNPSCCAKTCDNCLKELRWVEKDVTNIARQLVDLVMMTKQTYSTTHILEVYRGSVNQNVKKHRHDTLSLHGAGKHLAKGEAARILRHLVIEEILIEDVKKSENYGSVSSVLKTNHKKSGDLLSGKHNVVLKFPTPEKAPKMGVLDESSVPRINKTNQQSQVDGSLAAELYEALQCLRTQIMDENPQLLAYHIFKNETLKEISNRMPRTKEELVEINGIGKNKLNKYGDRVLATIEDFLARYPNATRKTSSGGSNEHSEAVKKRRGFSVTNTSNNCDDFEERTVQSKKRAAKTRTRQEISDAASIVQDVRYIDLELDGCEQVNEVPYSVQNPVASGRVLPAWQSARIA >ORUFI04G14350.1 pep chromosome:OR_W1943:4:17636652:17644542:-1 gene:ORUFI04G14350 transcript:ORUFI04G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSWRRSRGRRTRGINAAASCRCREQRHSLIASIIADCLPFGFKLWLVINRMDAKDLVEIGMKEEDITTMLFGKKVIELTEDAFDGSKEERKIFEELFCRTGTSRASTRHPRRDGKSSSLRDASKELISTNTPSSSASNHKSARCRVVESFTYGNLSSYLVFCNNDKQKMQKTVGFPDMASASDLVIQWTPPSVERVYTRRAVARRNQRAKLCSVLDLERVDITSVRQRKDRGQHKYGHSHAVLWDHLRLHANLLMMDAGWKIDGKERWDKSKVDHIYESPDKVMRLFSLPRAWKCFGQWLLINSSGFGGNDYGRIWFNIHDFLSDLKNTLLCLEYEVRLPKQSLSFLNQWQLLDPFMAVVCIDKKVRALKKGVALKAVNSTVTFVSCIESELSNPRNADNPLGLNHSNNFNSTHPRSRKNLLPLLQSDDLDGNSSRSKHPSTVGKRKSSQYKMDERLPIMAEIQEKSIRSTAHRIVMGLQDSTAFPGSRTSLNMKNKPSYSKSNLTQSIQDKSDPLYFPPNYSPSDLVENAEINDPSFHAYDMIESANVDNSADSPDELLLGANLLFSHEVDEMLLDDISNEHYCTAAVSEPQAKNIDLEDGPSGPSSVVSEKDTGLKANKDVINNEQHDDGVVAESQLANTDVMDRPAGALSFLPTMDTVLEGNEMSSEEMTKGGQLSCEGTNNAMVISEPQLLFVSPHDGTLSFMNDSMCSQEMRSCLNASNGTMGTNVQLGIQSSVYEASLIRGFLYLDNEGSPICWTVLNPEPPRQLICSEPISKASELHGEMNMECGMLTSGPKQTSKLDSSKNNRKRSKKAANIEDKGSQKKQKVNDVPVSYCAIGQYMDHTTENPAGCLISNDKEQIGSASTEQVSLNLLPGNSNDMNQQVPIKNSDNDQARVRIGSAEKIVPEKTPKKDVKRQKASSRHKCKFDDNDLLVTAVIHKLTARYRNRFSRRLAKKSGFKRLPRCRWKSEERRDRKTFPKGARTVLGKLLEMGIVCKVNILQYRRPGSKNVLKDGNITKKGIRCRCCDMVFTMSMFKYHAGLRQEIPSLNLFLGSGKSYTLCQLQAWSIEHKARKERAKCTMPLQADENDDTCGLCGDGGELICCDNCPASYHQDCLPCQDIPDGSWYCYRCLCDICGEVINLKELRSSLPALECAQCERQYHAKCIYGKLLCNEEGGPCAWFCRRRCQQIYMNLRSRVGIPIHTIDGFSCTVLRNNGDQRVSTAADIAILAECNMKLVIALSIMEECFLPIIDARTGIDIIPPILYNWRSDFVHLDYKGFYTVVLENDDRIISVASIRLHGTVVAEMPLIATCLENRQQGMCRRLMDYIEQMLKSLKVEMLLLSAIPSLVDTWTMAFGFVPIDDLDRKNLSRLRLVSVPGTVLLKRNLKENLAFVDRSVAVTAADAGQQRPFEDRSPVSCAVDSLADELRSLKINSSCENRRRLGKTTVNSASTLYERPVGC >ORUFI04G14350.2 pep chromosome:OR_W1943:4:17636652:17644542:-1 gene:ORUFI04G14350 transcript:ORUFI04G14350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSWRRSRGRRTRGINAAASCRCREQRHSLIASIIADCLPFGFKLWLVINRMDAKDLVEIGMKEEDITTMLFGKKVIELTEDAFDGSKEERKIFEELFCRTGTSRASTRHPRRDGKSSSLRDASKELISTNTPSSSASNHKSARCRVVESFTYGNLSSYLVFCNNDKQKMQKTVGFPDMASASDLVIQWTPPSVERVYTRRAVARRNQRAKLCSVLDLERVDITSVRQRKDRGQHKYGHSHAVLWDHLRLHANLLMMDAGWKIDGKERWDKSKVDHIYESPDKVMRLFSLPRAWKCFGQWLLINSSGFGGNDYGRIWFNIHDFLSDLKNTLLCLEYEVRLPKQSLSFLNQWQLLDPFMAVVCIDKKVRALKKGVALKAVNSTVTFVSCIESELSNPRNADNPLGLNHSNNFNSTHPRSRKNLLPLLQSDDLDGNSSRSKHPSTVGKRKSSQYKMDERLPIMAEIQEKSIRSTAHRIVMGLQDSTAFPGSRTSLNMKNKPSYSKSNLTQSIQDKSDPLYFPPNYSPSDLVENAEINDPSFHAYDMIESANVDNSADSPDELLLGANLLFSHEVDEMLLDDISNEHYCTAAVSEPQAKNIDLEDGPSGPSSVVSEKDTGLKANKDVINNEQHDDGVVAESQLANTDVMDRPAGALSFLPTMDTVLEGNEMSSEEMTKGGQLSCEGTNNAMVISEPQLLFVSPHDGTLSFMNDSMCSQEMRSCLNASNGTMGTNVQLGIQSSVYEASLIRGFLYLDNEGSPICWTVLNPEPPRQLICSEPISKASELHGEMNMECGMLTSGPKQTSKLDSSKNNRKRSKKAANIEDKGSQKKQKVNDVPVSYCAIGQYMDHTTENPAGCLISNDKEQIGSASTEQVSLNLLPGNSNDMNQQVPIKNSDNDQARVRIGSAEKIVPEKTPKKDVKRQKASSRHKCKFDDNDLLVTAVIHKLTARYRNRFSRRLAKKSGFKRLPRCRWKSEERRDRKTFPKGARTVLGKLLEMGIVCKVNILQYRRPGSKNVLKDGNITKKGIRCRCCDMVFTMSMFKYHAGLRQEIPSLNLFLGSGKSYTLCQLQAWSIEHKARKERAKCTMPLQADENDDTCGLCGDGGELICCDNCPASYHQDCLPCQDIPDGSWYCYRCLCDICGEVINLKELRSSLPALECAQCERQYHAKCIYGKLLCNEEGGPCAWFCRRRCQQIYMNLRSRVGIPIHTIDGFSCTVLRNNGDQRVSTAADIAILAECNMKLVIALSIMEECFLPIIDARTGIDIIPPILYNWRSDFVHLDYKGFYTVVLENDDRIISVASIRLHGTVVAEMPLIATCLENRQQGMCRRLMDYIEQMLKSLKVEMLLLSAIPSLVDTWTMAFGFVPIDDLDRKNLSRLRLVSVPGTVLLKRNLYECPGTNAGELRNPEPFKVYSRSARKNRDAGGGGGGGGSKENLAFVDRSVAVTAADAGQQRPFEDRSPVSCAVDSLADELRSLKINSSCENRRRLGKTTVNSASTLYERPVGC >ORUFI04G14360.1 pep chromosome:OR_W1943:4:17646939:17647437:-1 gene:ORUFI04G14360 transcript:ORUFI04G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPRQEGSSAEGGFVANKTLEAASACESGHGYSPRGRRRRKRRCKVVQVKVDLVGDGSPRGGGGLESGWKMISSLTTAKDADRGGGGLEVREAEEDYNVGSGPMGGRGLGIRRSISFQLASGRLVLTGRQWMKVDYPAACGIGLLEADEDGTSGDSLR >ORUFI04G14370.1 pep chromosome:OR_W1943:4:17653113:17656463:-1 gene:ORUFI04G14370 transcript:ORUFI04G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDIEDVLGPAGIAGGGAAPGLRLPLAAVAVKPKRPRSSRVAQTRPQPEARIPGTQSDSEYMSGQLSAFGYAITEEPEGADLWLINTCTVKNPSQSAMTTLISKCKSANKPLVVAGCVPQGSRDLKELEGISVIGVQQIDRVVEVVEETLKGHEVRLLSRKTLPSLDLPKVRKNKFIEILPINVGCLGACTYCKTKHARGHLGSYTIESLVDRVKIVVSEGVREIWLSSEDTGAYGRDIGTNLPNLLNGIVAELPADRSTMLRIGMTNPPFILEHLKEIASVLCHPCVYSFLHVPVQSGSDAVLTAMNREYTVSEFRRVVDTLCELVPGMQIATDIICGFPGETDEDFSQTVNLVKQYQFPQVHISQFYPRPGTPAARMKKVPSVEVKKRSRELTSVFESFSPYQGMEGKVERIWITEIATDGVHLVGHTKGYIQVLVIAPDSMLGTSADVKITSVGRWSVFGEVIEGSVVAKETQKHNHSELQEEYRPSQVEEATCCGTDSCGACTCSDAAQQCNPGPERSENSTPQSCGDATHQEAVQSKLVRRNVEGAVKSSESDTAKQVGEIQRLNVAARRFPDVDTILWGGLAVSFATTVTLIALLAYKISSTPSY >ORUFI04G14380.1 pep chromosome:OR_W1943:4:17656917:17658684:1 gene:ORUFI04G14380 transcript:ORUFI04G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFYRGSLCFFALSSLHSTHWNWKLTSVRPMPRRAALAMMNVVAIGLVLSTLAAAGVWSPAPTPPPSTAGEHVVREGRRVVIVEYEREHPLYPGDAVKETHVLPPGSLDGGEGRLTDKARGAVSDAADRVADAAEGGKEKMSEAKESATGKVFGAVKRCKDRLCGAAREAEEGAKDKASAGEHGAEEAARGAEEALSHAKEIAEDKVFDAASKVKETAVGAKDKVSEAAGKAKERASHVQHGAAETVRNAKDKVSHAARHARESARERAMDAKDRVSDVAERAEQCTEDAAGRAARKAARAEEAVKAKAGEAASNLPDIARRARDVASDAAAHLLGGGPREAARTATAVMHLLGFAAAYGASLWVTFVSSYVLAAALPRQQLAMVQSKLFPVYFRAVAYGVGLALAAHLLGRERSSLAARAQSVNLLAALALVLANMLFLEPKATKVMFERMKVEKEEGRGRDMADIVDPPTVTVAAGNTATTTTVPTAAARKPAGNNNMAAKSAAAAAPVDEQTSKSRVATLNQRLKKLNGYSSLCNVLCLMALTWHLVHLARRLQMASVC >ORUFI04G14390.1 pep chromosome:OR_W1943:4:17662111:17662941:-1 gene:ORUFI04G14390 transcript:ORUFI04G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLSLVPYEGGSAGGGGGGGGGGGGKYKECMRNHAAAMGGQAFDGCGEYMPASPDSLKCAACGCHRSFHRRAAAGIGGGPGFFRPPPPPPPHPGHAAPTGVPPQPQPHPHPQHPYLHPPFPYHHTPSGSGGTTTESSSEERGPPSSSAAAAQGRRKRFRTKFTPEQKERMREFAEKQGWRINRNDDGALDRFCVEIGVKRHVLKVWMHNHKNQLASSPTSAAAAAAGVMNPGAGIGLGTGLGTGISGDGDGDDDDTDDSPPRAAVSSPSPSPISV >ORUFI04G14400.1 pep chromosome:OR_W1943:4:17670043:17680772:-1 gene:ORUFI04G14400 transcript:ORUFI04G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRPGRASISPFRSRRTSAAGGGAGVAAAAHPPPARTSSGGRPSTPSSSSSAAGGGRPTTPSSSSAGGRPTTPSAAFARSTTPSSGRPTTPSSASSRAAGRAPPVAAVDAANAKENIMVTVRFRPLSPREINKGDEVAWYADGDNMVRNEYNPSIAYAFDKVFGPATTTRHVYDIAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPIGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLVSSRSHTIFTLTIESSPSGENDEGEVKLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIAKLTDGKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHIEIKASQNKIIDEKSLIKKYQKEITCLKEELQQLRRGMMGNGYIPPTDQEDLVSLKLQLEAGQVKLQSRLEEEEEAKAALMGRIQRLTKLILVSTKSSISSNVSGKASLRRRHSFGEDELAYLPDRKREYSMEDDDVSLDSEFSVEGKLDSNNPDESLRFDRRNRRRGMLGWFKLKKSDQLSGLSTSVDSESTASGSPSFSRSSQQKHPLLDLKDGRRKSMTRKGDDPALTDSFPGRTQAGDLFSAASRARHHLPSGTTIVDQIDLLQEQVKMLAGEVALCTSSLKRLSEQAANNPDDSQIQEQIEKLKNEIDEKKSHIRVLKQRMAQSLETTEDPAIRTEMSQTFSKLSTQLSEKTFELEIMSADNRILQDQLQAKVSENAELVETVAQLRQEIDNLLKTAKNEDNVASMQSSEPSSTSSNPRDLANEVASHSKMPSRTTEDHTESPLKSQVLLQAAEIENLKLDKLRLAEEKDGLEIHSQKLAEESSYAKELAAAAAVELKNLAEEVTRLSYENAKLNADLAAAKDQTRSSIQSDTKRRDQENGIFVEELQKELVASCQREAVLEDTLSQRARRESELLKVIEDAKCREHDLENELANMWMLVAELKKENSQEDLFQFKATQNGYHSSKSDTGRMMSGMEASDNRNWDGVSVSTYEEAKAAYNVQRRRCKELEGIVSRLKGEDLRGLDVKVLEELQNFHVEALSKICQEKLPSNSSADVDPFTPHHTILQQPKQH >ORUFI04G14410.1 pep chromosome:OR_W1943:4:17683102:17687403:-1 gene:ORUFI04G14410 transcript:ORUFI04G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRLAALHAAAPSAFSSTSSASHGRPAARSSTTALLPVALPRAAATLRAAPSRLLPQDAKAAGSGRSVMCMASASASAAVASGAAELKAAREDIRELLKTTHCHPILVRLGWHDSGTYDKNIKEWPQRGGANGSLRFDVELKHGANAGLENALKLVQPIKDKYPNISYADLFQLASATAIEEAGGPKIPMTYGRIDVTGPEQCPPEGKLPDAGPSAPADHLRKVFYRMGLDDKEIVVLSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTAEWLKFDNSYFKEIKEKRDQDLLVLPTDAALFEDPTFKVYAEKYAEDQEAFFEDYAGAHAKLSNLGAKFNPPELYQPDAPPTTGAADLQTAAHTPQGPGHE >ORUFI04G14410.2 pep chromosome:OR_W1943:4:17683630:17687403:-1 gene:ORUFI04G14410 transcript:ORUFI04G14410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRLAALHAAAPSAFSSTSSASHGRPAARSSTTALLPVALPRAAATLRAAPSRLLPQDAKAAGSGRSVMCMASASASAAVASGAAELKAAREDIRELLKTTHCHPILVRLGWHDSGTYDKNIKEWPQRGGANGSLRFDVELKHGANAGLENALKLVQPIKDKYPNISYADLFQLASATAIEEAGGPKIPMTYGRIDVTGPEQCPPEGKLPDAGPSAPADHLRKVFYRMGLDDKEIVVLSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTAEWLKFDNSYFKEIKEKRDQDLLVLPTDAALFEDPTFKVYAEKYAEDQEAFFEDYAGAHAKLSNLGAKFNPPEGFTLDG >ORUFI04G14420.1 pep chromosome:OR_W1943:4:17689678:17691306:1 gene:ORUFI04G14420 transcript:ORUFI04G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVVGCPRCGPPPRPAGRAQRPPPPHSVAPKRASSSSSSSTTPPLLTTRRAASAASLLLAASLLLAALPPFPASSPQLPVASAKEDDEAEAREGEGELELELERYTDRDEGFTLLKPVSWPKVEKAGATALFQQEGKGSNNIGIVVNPVRLSTLTEFGTPQFVAERLIQAEKKKESTKSAEVISAEERSGHDGLTVYEIEYLLDSTRGGMKRIFSAAFVASRKLYLLNIAHSDSQEKPLDSQTRNVLEQVLHSFDSV >ORUFI04G14430.1 pep chromosome:OR_W1943:4:17695383:17695814:1 gene:ORUFI04G14430 transcript:ORUFI04G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRCWRQGGAGMPPGIGDERGGGGDEKTREKRRERRGGRWRRRWRAAGESGGGERGREEGGGGGGEMGDEGEKKKRRKKREGRSSGRKEGYLGIFHLGLLWAKTPIVGYSTLIGLLQILRSPSRDTSHYDLSFLLVRTL >ORUFI04G14440.1 pep chromosome:OR_W1943:4:17697826:17703025:1 gene:ORUFI04G14440 transcript:ORUFI04G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVAIDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLRYTGPASLVWGWVVVSFFTWFVGFAMAEICSSFPTTGSLYFWAAHLAGPVWGPLASWCCAWLEAIGLIAGIGTQAFAGSQVLQSIILLCTGTNKGGGYLTPRWLFLLMYIGLTFIWAVLNTFALEVIAFLDLISMWWQVIGGTVIVIVLPLVAKTTQPASYVFTHFETSPEATGIRSSAYATILSLLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIITVFGWAYILALTFSIQDFSYLFDPSNETAGTFVPAQILFDAFHGRYGSSAGAIALLFVIWGSFFFGGLSITTSAARVVYALSRDRGVPLSSVWRRVHPRHRVPANAVWLCAAACALLGLPILWINVVFTAITSIATIGWVGGYAVPIFARMVMREEDFSPGPFYLRRASRPVCLVAFLWICYTCTVFLLPTAYPISAGNFNYAPVALGACLGLIGLWWVLDARRWFKGPVRNIDDPLKDGGGDGVHNNGNKV >ORUFI04G14450.1 pep chromosome:OR_W1943:4:17721699:17722147:-1 gene:ORUFI04G14450 transcript:ORUFI04G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFALLHYIVYKYLGAGDLEQLSLLVSEFQDKSEPGRGRKREPKSSGNGNMEESLKTKFLPPAMEVEICSHDYFNGEIRTHILDSLRSSKAGSEEEEKRAKEQWAWGHEGMLKPLSNPRMRLEMEL >ORUFI04G14460.1 pep chromosome:OR_W1943:4:17722809:17724767:-1 gene:ORUFI04G14460 transcript:ORUFI04G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYHHPYSLDSQKVRMALEEKGIDYTSYHVNPLTGKNMNVAFFRMNPSAKLPVFQNGAHVIYRAFDIIQYLDRLSVHLSGEIVPVNTEVYQWMQKVDSWNPKMFTLTHTPIKYRTFVSKFIRRVLIARMAEAPDLASMYHAKLREAYETEDKLKDPDIMKQSEEELSKLLDDVEAQLNNGKYLAGDEFSPADSVFIPILARITLLDLDEEYINCRPRLLEYYTLVKQRPSYKVAIGKFFGGWKKYRTLFKTSFFLCVRTLFRKY >ORUFI04G14460.2 pep chromosome:OR_W1943:4:17722811:17723716:-1 gene:ORUFI04G14460 transcript:ORUFI04G14460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYHHPYSLDSQKVRMALEEKGIDYTSYHVNPLTGKNMNVAFFRMNPSAKLPVFQNGAHVIYRAFDIIQYLDRLSVHLSGEIVPVNTEVYQWMQKVDSWNPKMFTLTHTPIKYRTFVSKFIRRVLIARMAEAPDLASMYHAKLREAYETEDKLKDPDIMKQSEEELSKLLDDVEAQLNNGKYLAGDEFSPADSVFIPILARITLLDLDEEYINCRPRLLEYYTLVKQRPSYKVAIGKFFGGWKKYRTLFKTSFFLCVRTLFRKY >ORUFI04G14470.1 pep chromosome:OR_W1943:4:17742985:17748655:1 gene:ORUFI04G14470 transcript:ORUFI04G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVMSAADDAGAASGREDPPPAVVLVSAGASHSVALLAGNVLCSWGRGEDGQLGHGDAEDRLVPTVLSGFDAAAPGITSVICGADHTTAYSEDEQQVYSWGWGDFGRLGHGNSSDVFTPQPVKALQGIKIKQIACGDSHCLAVTMAGEVQSWGRNQNGQLGLGTTEDSLLPQKIQSFEGVCVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRLVPEKVSSVEGEKMVLIACGWRHTITVSSSGSLYTYGWSKYGQLGHGDFEDHLVPHKLEALKDSSISQISGGWRHTMALTSDGKLYGWGWNKFGQVGVGDTDDHCFPVQVKFPEDQKVAQVACGWRHTLAFTEKKNVFSWGRGTSGQLGHGEIVDRNKPVMIDALSPDGPGCKKLEPSTAVPFAAKVWVSPSERYAIVPDEKVPNSGEGTARGNGADANVPENDVKRMRVHS >ORUFI04G14480.1 pep chromosome:OR_W1943:4:17755697:17756563:1 gene:ORUFI04G14480 transcript:ORUFI04G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFTFPITAAAAAAAADTAAAAAAAAEPLRLHHHHHHHRRRGRLHFAASPLWFPSSCPVAAAPPDVPVADDADTADAAVASAVKDVDVVVFVRDAMEGKEQEQEDEEGGGGGQEEATSDAGRREEEEGKKEEEATAAAAATGELSRGDGDEDGGDHGGGGAARDKEEKMDQLWENFNEELRQALHQRVGSCPRADARAAAAAGMELSPETSDAESEPAAAAALRGHIGCAPMLRPSSRAGAGGYRRTATSWVLLMKIFRRLFVIEKTISSSSAAAASASGRHGSARR >ORUFI04G14490.1 pep chromosome:OR_W1943:4:17758820:17761185:-1 gene:ORUFI04G14490 transcript:ORUFI04G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTARTRVVVFWQSPAGYLLSGVTATLADQLGSGVFLSSGIGTSGVSLELNLSYVDVASIGEEIEVEGKLLRAGKSVGVVSVDFRKKKTGKLIAQARHTKYLAVSSKL >ORUFI04G14500.1 pep chromosome:OR_W1943:4:17761187:17761393:-1 gene:ORUFI04G14500 transcript:ORUFI04G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAVRRSLEPTALSKEVVGPASASLRYDAFALTGVRIDAAEHGRLLCSFVPPLSHSLPNPNKLRWV >ORUFI04G14510.1 pep chromosome:OR_W1943:4:17763063:17765858:-1 gene:ORUFI04G14510 transcript:ORUFI04G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNLVLSFALAILISSSPTAVGVDATEELKEAVLTLDAGNFSEVVAKHPFIVVKFYAPWCGHCKQLAPEVTDYFDTFSSQHHGRFNIKYEKAASILRKNELPVVLAKVDAYNERNKELKDKYGVYSYPTIKIMKNGGSDVRGYGGPREADGIVEYLKRQVGPASLKLESAEEAAHSVVDKGVILVGVFPEFAGMEYENFMVVAEKMRADYDFFHTSDASILPRGDQSVKGPIVRLFKPFDELFVDSEDFGKDALEKFIEVSGFPMVVTYDADPTNHKFLERYYSTPSSKAMLFVSFGDDRIESFKSQIHEAARKFSGNNISFLIGDVADADRVFQGTSCPLISNYGNLTPYVKSEPIPKVNDQPVKVVVADNIDDIVFNSGKNVLLEFYAPWCGHCRKFAPILEEIAVSLQDDQDIVIAKMDGTANDIPTDFTVEGYPTIYFYSSSGNLLSYDGARTAEEIISFINENRGPKAGAAAAVDEKTQIDAVEEEDTDGLYTAYNRDY >ORUFI04G14520.1 pep chromosome:OR_W1943:4:17766066:17775704:-1 gene:ORUFI04G14520 transcript:ORUFI04G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREVAAARGMVSSPRGRRSSPRGSGSTARDLSQLLWVGPVSEIEAYCHIFRAAEQLHAASRRHSVIQLQGECPVRYDVQAVNLPVLEAKVTAVLGWMLALRNQGQKEVLSGLSGVASAFQGSEDSTMERIPPLTLFRGKRCCESMRVALANYLVPSEAQWLDIWRMLQRLKMPDMIQVSQGLMVIPVQRYFQIGFRGGQFSGVQLEWLLSKGFKIIVNLWEEDVKDDLYLSAVQEAVSLGKIEVVQRFTEVVSDSVKKPIYLHCQEGNGRTSVMVSRWKQCWATQNGSLNGNDQLTNSPGFSSEGSKNSTSFTDHLRTKQSLSAEQNEPLTIKQLRFYGLEKLGHPSIVIIFYQLLLVLLMENHPAMEPPHLLRKGKSKLQGQQLILGHLMPAILKAILSLDHKNLLKGTIVKYLLACMPLPSTFFLDGREQVIFYPVLSQSLFKAYNMKFSMYEKIIISRPKKRSIQMSHVCCLLQSARTHCHLDLSSFLISARLELKIPDDARSNIWVSFDGKTRQQLSRDLSKAINLVQTRRNLVENDRVLLNPAHRAQQEYERTEKCSSRGSDVLRIANLLGVCKQVGSSFHFQNGKVDEWHEQPAAIGVDWVTIDGQIVGAWINLLGQQAHVHVLKEGFRFDSGPESDVFVGNSLVDMYLKTGSISDGAKVFERMAARDNVSWNAMIVGYAQNGRAKDALLLFERMLCSNERPDSVTMIGVLSACGHSGLVKEGRRYFQSMTEDHGIIPTRDHYTCMIDLLGRAGHLKEVEELIENMPMEPDAVLWASLLGACRLHKNIDMGEWAAGKLFELDPDNSGPYVLLSNMYAELGKWADVFRVRRSMKHRGVSKQPGCSWIEIGRKVNVFLARDNIHPCRNEIHDTLRIIQMQMSRMSIDAEIADDLMNFSSEAYDVYYLKNKKDDGKDT >ORUFI04G14520.2 pep chromosome:OR_W1943:4:17766066:17775704:-1 gene:ORUFI04G14520 transcript:ORUFI04G14520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREVAAARGMVSSPRGRRSSPRGSGSTARDLSQLLWVGPVSEIEAYCHIFRAAEQLHAASRRHSVIQLQGECPVRYDVQAVNLPVLEAKVTAVLGWMLALRNQGQKEVLSGLSGVASAFQGSEDSTMERIPPLTLFRGKRCCESMRVALANYLVPSEAQWLDIWRMLQRLKMPDMIQVSQGLMVIPVQRYFQIGFRGGQFSGVQLEWLLSKGFKIIVNLWEEDVKDDLYLSAVQEAVSLGKIEVVQRFTEVVSDSVKKPIYLHCQEGNGRTSVMVSRWKQCWATQNGSLNGNDQLTNSPGFSSEGSKNSTSFTDHLRTKQSLSAEQNEPLTIKQLRFYGLEKLGHPSIVIIFYQLLLVLLMENHPAMEPPHLLRKGKSKLQGQQLILGHLMPAILKAILSLDHKNLLKGTIVKYLLACMPLPSTFFLDGREQSLFKAYNMKFSMYEKIIISRPKKRSIQMSHVCCLLQSARTHCHLDLSSFLISARLELKIPDDARSNIWVSFDGKTRQQLSRDLSKAINLVQTRRNLVENDRVLLNPAHRAQQEYERTEKCSSRGSDVLRIANLLGVCKQVGSSFHFQNGKVDEWHEQPAAIGVDWVTIDGQIVGAWINLLGQQAHVHVLKEGFRFDSGPESDVFVGNSLVDMYLKTGSISDGAKVFERMAARDNVSWNAMIVGYAQNGRAKDALLLFERMLCSNERPDSVTMIGVLSACGHSGLVKEGRRYFQSMTEDHGIIPTRDHYTCMIDLLGRAGHLKEVEELIENMPMEPDAVLWASLLGACRLHKNIDMGEWAAGKLFELDPDNSGPYVLLSNMYAELGKWADVFRVRRSMKHRGVSKQPGCSWIEIGRKVNVFLARDNIHPCRNEIHDTLRIIQMQMSRMSIDAEIADDLMNFSSEAYDVYYLKNKKDDGKDT >ORUFI04G14530.1 pep chromosome:OR_W1943:4:17778749:17779909:1 gene:ORUFI04G14530 transcript:ORUFI04G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPKSSWEALKEFWVQICTKRSKSEYQENRRTEEEIEQIEHEQANGYVNLVLVSLGGVFWSGARAAVGKLKNPYVMSAANAYINFALLSMLIGVAAGSFPRHFKCPLALSGNGVLQGLLFNVLAFNIESFTSLPPEVFKYTPAMDEQTIAIVWSVTAGISALIVILVWTLATEDPVCVLVALRLMWYPIARMIDATRRKKEEAITWWRRPRENRSTGRRSRMHQRLLGCTNF >ORUFI04G14540.1 pep chromosome:OR_W1943:4:17787693:17790170:1 gene:ORUFI04G14540 transcript:ORUFI04G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDDDEQVAAAAPLLMDLQAAAAAPAAELAESSSKPRDDDGASAPATTTAADDDGMVEEEKPDSGLFLLLWVYLFDWMRRFALRYTNGSTWFSTFAALVMAIPMTEFFLINGMLYLDEEEPPPPPPAAGTRELIAAELRYVFTKPDDSIPIETTQEKGDRCFLRVCTSI >ORUFI04G14550.1 pep chromosome:OR_W1943:4:17793157:17795310:-1 gene:ORUFI04G14550 transcript:ORUFI04G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAASTASSISALVAAGRLFAALDALPPSYSSSQVPSAVYASLLRLATSHRSLAAARRIATHLASSTAPSTSHSFTATSTFLFNRAVESLAACGSLTDARKLFDAMPRRDGGSWNAIISAYSRGEEPTEAISLFSAMNSCGVRPKDVTLASVLGCCAECLDLHGARQLHGHIAKRDFQSNVILGTALVDVYGKCFALSEARRAFDGIPKPNDISWNVIIRRYLLAGMGDMAIDMFFRMVWAGVSPLVYTVSQALLACRDNGALEEGRRIHTFVLRHGYEQHVHVRSSVVDMYAKCGAIDLAQRLFDLAPVKDIVMSTSIVSGLASCGRITDAKRVFEGMEEHNLVSWNAMLTGYVRSMDLTSALQLFQQMRQETKELDAVTLGCVLNACTGLLDLGKGEEVHTFAFKSGFIGYPFLKNALLRMYSKCGCLRSAERLLLFEMGSERDSYSWNSLISGYERHSMSEAALLALSEMHYEATPNQSTFSSALAACANIFLLNHGKQIHAYMIRNGYVIDDILQSALIDMYSKCRLFDYSMRIFEAGPSQDVILWNSMIFGCAYNGKGEYGLELFFEMSKQGITPDSVTFLGALVSCISEGHVGLGRSYFTQMTDEYNIVPRIEHYECMIELLGKHGYMVELEDFIGHMPFEPTTAMWLRIFDCCREYGNRKLGERAAQCINDSNPLTPVRFEIAPDYKYCDDDSDESMSVSWEG >ORUFI04G14560.1 pep chromosome:OR_W1943:4:17798307:17799231:-1 gene:ORUFI04G14560 transcript:ORUFI04G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTSAITAQISSMMSVFLLMSCQRHPSPTCSFIPLDHSYYQLLSHIPAPTSFREFSEVVVKYKERHLEMKPLEFLAAASILCTCHAKIRLSPPLQTSVEAVAGAVALLVAAAEQRSTWAST >ORUFI04G14570.1 pep chromosome:OR_W1943:4:17800746:17801830:1 gene:ORUFI04G14570 transcript:ORUFI04G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASNLPPGFHFFPSDEELIIHFLRRKASLLPCQPDIVPTLILNLYDPWELNGKALQSGNQWYFFSHATQTRTSPNGHWKPIADETVISGGCNVGLKKTLIFFIGEPFEAIKTNWVMHEYHLMDGSTNCSSSSTSSSSSKRSHKKKGHSDTESKNWVICRVFESSYDSQVSFHEEGTELSCLDEVFLSLDDYDEVSFAK >ORUFI04G14580.1 pep chromosome:OR_W1943:4:17805821:17806828:1 gene:ORUFI04G14580 transcript:ORUFI04G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSCASCSGAAGSFSSTASSFYGGGSSRMMMMKAARFPFFVEMDVGDEVSSCCPPPSPDYTPASPPHRRAASPDYTPESPPRRAASPDYSPASPPRRAASPDYTPESPPRRAASPDYSPESPPRRAASPDYTPASPSRRAASPDYTPESPPRRAASPDYTPASPSRRAAASSPDYTPESPPRRRAASPDYSPSTPPRRAASPDYSPSTPPRRAASPDYSPSTPPRRAASPDYTPMSPPRRAASPDYTQMTPPRRAASPDYTPSTPPPPRAASPDYTPSTPPSSPLPSAAESFTVPPPRRYHPYQRSRSGGACSSRAIRVIGDQQHRRRVLLL >ORUFI04G14590.1 pep chromosome:OR_W1943:4:17812415:17814563:1 gene:ORUFI04G14590 transcript:ORUFI04G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGLFEELLIRFGGLISYWIGEEMSIPHLFRCPISLDIFTDPVTLCTGQTYDRPCIERWLAAGHRTCPVTMQPLGDAAALVPNCTLRHLIERWLSTDQHHHHHLPEPAAPAAEAEADAEEPSLAALKRCLQQPDAAGAGKAKVGALKKVMTLASESDVGRACMVQLGFLPVLLQLVFHAPAAPPSERRGGEAAVVEELALQCALGLMPSSAASPQLGCLNVLKSEASLASLVALLERGRGRTRAGLCRLLEAVATAAATRELALVVAASPRVWQALLPLLRHDGPAPTPAPPHDAHAASDAAVRAVAAICASEPARGGAIHHGAVGALLGHLSWAASGKCASGGGAGAVPSALAAVEALAASEAGRMAVARAPGGTRALVRHVFMMNSSNDGSEHAVAALLAVCRESRAARSEAAGAGVVTQLLLLLQSQCGSRAKAKARSLLKLLKSM >ORUFI04G14600.1 pep chromosome:OR_W1943:4:17817994:17819172:1 gene:ORUFI04G14600 transcript:ORUFI04G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPEMMRVAQEQMRRMPPAALAAMQQQLMSNPSLLRFATEGIKTLTPDDLRRAGEQMSRTSAEEVLGMSRRLAAASPEELAATMKNAQAEQQRASSYAAVSGARALKDQGNALFRLARHADAAAKYALAVDNLRSVPSSASRSLRAVCGVNLMACHLKTGRLAECVELGSEVLGLDPGNVKAHYRRGQAYRELGRMEAAVADLRRAHELSPEEDAIADALRDAEEKLGAPRGLVIEEIVEEEEEAQGSEILPTSGATSSSTSGHSVPSPSPSPSAAAAAEMMNSMGDPAMGKMVASVVQGMDPETVSIIGKQFGVDLSRDDAARLQDAMKKLSPENLEKVMGWVNRARRAAEAARKAKELLLGSRRGWLVLAIVVLVLAFVLHHLLGFIGA >ORUFI04G14610.1 pep chromosome:OR_W1943:4:17819923:17825410:-1 gene:ORUFI04G14610 transcript:ORUFI04G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWPRKSRSKAKGVGKPPGAGAASAASSPRKSLDLVDLSASPSVTPRAREKARSLDSPSAAAPRHGGGRCGGGFGEVGYKLPVPVGDAGPGGQGQGQEPELEPAPVRFEENGDVVGGVAGDASSEELSVCSHDSSDEATDQQVCRSTDPASFVRGRNMPSDSHKILNEDNHFMSYSMPREHRKFFEVPVTNMGELHLHCDDLSTSETSSRGRMLPEDFLAPRTRSLSPGPKGHTFAVNNVNSREFGFSPRSPVKMMDGLKSPPHPLPLPPGPATCSPLPPSPTAYSPHPLGPTTCLQSESQWKKGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVISDDPHSKERLKQLNQEIDMLRQLSHPNIVQYYGSEMTDDALSIYLEFVSGGSIHKLLREYGPFKEPVIRNYTGQILSGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHISSFAEIRSFKGSPYWMAPEVIMNGRGYHLPVDIWSLGCTIIEMATAKPPWHKYEGVAAIFKIANSKEIPEIPDSFSEEGKSFLQMCLKRDPASRFTATQLMDHPFVQDHPAVRAAKSGALRNAFAPADGTHTTSNREFSRKSITPLKDIGVSARDFTGFSTAVPSPHTASSPISVVRTNMSLPVSPCSSPLRQFKQSNWSCLPSPPHPTFSNGATSYNLSSYMMNETRRIPTISDTWQDISQLKVQSPYGSPKRF >ORUFI04G14620.1 pep chromosome:OR_W1943:4:17836639:17837274:-1 gene:ORUFI04G14620 transcript:ORUFI04G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKRQSESFWRVEPPPPPPPPPPPPYVPPHLLPPSPAPQQWYDHPPNYHPPHTPAPAPAPGPYIPPHHPHHHHPPTPAPAPSTTPGGHGGVPPYYPPPPVTPTPYYYPSPAPPPPSRHVVVIAVVVPIAGLLFLGLVAGLFLLARARRRREAEEETAAAAVVVDDVEVSSSHHVHAVAHVVDGQNVVDITDEVEVHEHIVRHDHEHTTS >ORUFI04G14630.1 pep chromosome:OR_W1943:4:17849425:17849607:-1 gene:ORUFI04G14630 transcript:ORUFI04G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVDDVEVAHHVRAEEHVVAGPSGEMLKVLDVTDEVDVHEHIVRHEHEHERHDVVEREG >ORUFI04G14640.1 pep chromosome:OR_W1943:4:17855552:17856025:-1 gene:ORUFI04G14640 transcript:ORUFI04G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERSYVTPPPPPPPPPSPTTHVTVIVIVVPIVGVICLGLLAALLFVVFRRRRRARRDEEEEEAKVEEVEDVEVKVTEHVRIVEGVVGEAGVAGVAAGGAIGCGGGGGGGISGGAAAVVAEAVAAGAVVVDDEIKVEEHVVKVTEASARRDHHDHE >ORUFI04G14650.1 pep chromosome:OR_W1943:4:17856873:17858989:-1 gene:ORUFI04G14650 transcript:ORUFI04G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAGGVIRGLPSSWERIRSPRTPFFLSSWASRRRVAFAACSSCPLVVCRNASAVVPFAKKKRKGGSEEPPDEEEGDDFVDEMEGEDDDDEEEEDVDDDDLLDDNEDDDDDYSFEDDFESDDEQDLYVGDGGAGGGISLAGTWWDKEALALAEEVSNSFDGDLKIYAFKTAANLTIRVRIEKMSTRYGSPTIDDIEAFTIAYRAKIDDAESAGRIPQNISLEVSSPGVERVIRIPDDLERFKERAMYVRYTITSDGGEGMTPQEGDGVFRLISYDMDLCECTWGIADVKINRQQAGKGRPLSKKQREWRLQTPFESLKLVRVHSDC >ORUFI04G14660.1 pep chromosome:OR_W1943:4:17859268:17860710:1 gene:ORUFI04G14660 transcript:ORUFI04G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLGYGHGHGHELRRRRLLPVASAAAVLLLLALLIILPSTPPGGPRASSDPASLLRAAIAAHPEPGSYARPCADHLSLSLHRLRAAAASLDSGDHPAALHLASASLQYQYDCSHLLSLPAFPSHPITSRFLASLAPPRPGAAATTKPSSAYAYAAAFPATLRAHATVCNASPSATTQRSDYSTVQAAIDAAPNHTAGHFVIKVAAGIYKENVVIPYEKTNILLVGDGIGATVITASRSVGIDGIGTYETATVAVIGDGFRAKDITFENGAGAGAHQAVAFRSDSDRSVLENVEFRGHQDTLYARTMRQLYRRCRITGTVDFIFGNSAAVFEECVIKTVPRAEGARKSARNVVAANGRIDPGQTTGFVFWNCTLDGSKEFLALFRAKPESYRLYLGRPWKEYAITVYAGCYLGKVVRPVGWLPWRGEFALRTLYYGEFDSRGPGANHTARVEWSSQAPEQFVGVYSVENFIQGHEWIAY >ORUFI04G14670.1 pep chromosome:OR_W1943:4:17863304:17865493:-1 gene:ORUFI04G14670 transcript:ORUFI04G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQGFGPGGSPKSFRYPRADFDLESGIARKGLRKPKNHDAPGLLTSTLMKIRYFYEAHPVAVAFILLSFGLSVLILLSVYETRFRMMRGSSGEVGEYPLPELRNLVMVAGHSIYTSESCGKIDREDSWFLEPYQKHPGQAATFLAHIKEGVGIAARDEKALLLFSGGETRKDAGPRSEAQSYWTIADSKGWFGNDESVRRRALTEEHARDSFENLLFSVCRFRELTGSYPQNITVVSYDFKEERFAQLHRSALGFPEGRFFFLGTPATLTARESAVKGEAAVRSQFQEDPYGCLGSLHMKRLKRDPFHRAIPYPNGCPELKGLFSYCGLVPYPGQLPWTQ >ORUFI04G14680.1 pep chromosome:OR_W1943:4:17876099:17879577:-1 gene:ORUFI04G14680 transcript:ORUFI04G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETETGRDESEAAAATASAMDDGPCGESEGGGDAAAKAVGAKDAGEGDEPKEEEEDGRDREEEEEEAAKRGWSEIRLAIEELSAVDVERRGGKPPPPLPPPPTLTFLALSHLLLQVLDKIGPTMAVLRLDVQRNIERLQELYLLDPSKYYNLEEILEKEVDEGTARKVDSCARAILWLTRSMDFTIALLQRLEEDSDQKCFAQLVESAYMVTLKPWHGWISSAAYKIAMKLIPDRKMFINLLVGKCQDCAALKEEIRKLAKLLKPFLDDIHAMMAKFRLDRLKST >ORUFI04G14680.2 pep chromosome:OR_W1943:4:17876099:17879577:-1 gene:ORUFI04G14680 transcript:ORUFI04G14680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETETGRDESEAAAATASAMDDGPCGESEGGGDAAAKAVGAKDAGEGDEPKEEEEDGRDREEEEEEAAKRGWSEIRLAIEELSAVDVERRGGKPPPPLPPPPTLTFLALSHLLLQVLDKIGPTMAVLRLDVQRNIERLQELYLLDPSKYYNLEEILEKEVDEGTARKRLEEDSDQKCFAQLVESAYMVTLKPWHGWISSAAYKIAMKLIPDRKMFINLLVGKCQDCAALKEEIRKLAKLLKPFLDDIHAMMAKFRLDRLKST >ORUFI04G14690.1 pep chromosome:OR_W1943:4:17882056:17887263:-1 gene:ORUFI04G14690 transcript:ORUFI04G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAETPNPDAEIPNTDAAAAADPAAAAPAAAATDPAAAGSPRSRSRSRSRGRSASPRYPDGKRRRHNDLNVEVCRDFLRDRCARADIECKYAHPHPTVAVDRDSKVTACADSLRNNCFRGRTCRYYHPPPHIQESLLRSIGVEDPKVKMVCRDFTRGRCSRSANECRFLHHSPLEDCAIVCQDFLRGRCDRKSCRYSHVMAHPMPPPMRDIPMQYPDMVYMPPPAPLGVPMMMPPPSAPAAFSGNNYGVEVCRDYLKNMCNRESCRFAHPDLNNEFAVILSEENAIDLPVAFTIHLQAQILLDKY >ORUFI04G14690.2 pep chromosome:OR_W1943:4:17882013:17887263:-1 gene:ORUFI04G14690 transcript:ORUFI04G14690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAETPNPDAEIPNTDAAAAADPAAAAPAAAATDPAAAGSPRSRSRSRSRGRSASPRYPDGKRRRHNDLNVEVCRDFLRDRCARADIECKYAHPHPTVAVDRDSKVTACADSLRNNCFRGRTCRYYHPPPHIQESLLRSIGVEDPKVKMVCRDFTRGRCSRSANECRFLHHSPLEDCAIVCQDFLRGRCDRKSCRYSHVMAHPMPPPMRDIPMQYPDMVYMPPPAPLGVPMMMPPPSAPAAFSGNNYGVEVCRDYLKNMCNRESCRFAHPDLNNEFAVILSEENAIDLPVAFTIHLQAQILLDKVNIECPALLPLLPLCCC >ORUFI04G14690.3 pep chromosome:OR_W1943:4:17882056:17887263:-1 gene:ORUFI04G14690 transcript:ORUFI04G14690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAETPNPDAEIPNTDAAAAADPAAAAPAAAATDPAAAGSPSPPLPPRKRRLSPTPSPTRRSSRSRSRSPRRGRSRSRSRSRSRGRSASPRYPDGKRRRHNDLNVEVCRDFLRDRCARADIECKYAHPHPTVAVDRDSKVTACADSLRNNCFRGRTCRYYHPPPHIQESLLRSIGVEDPKVKMVCRDFTRGRCSRSANECRFLHHSPLEDCAIVCQDFLRGRCDRKSCRYSHVMAHPMPPPMRDIPMQYPDMVYMPPPAPLGVPMMMPPPSAPAAFSGNNYGVEVCRDYLKNMCNRESCRFAHPDLNNEFAVILSEENAIDLPVAFTIHLQAQILLDKY >ORUFI04G14690.4 pep chromosome:OR_W1943:4:17882013:17887263:-1 gene:ORUFI04G14690 transcript:ORUFI04G14690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASAETPNPDAEIPNTDAAAAADPAAAAPAAAATDPAAAGSPSPPLPPRKRRLSPTPSPTRRSSRSRSRSPRRGRSRSRSRSRSRGRSASPRYPDGKRRRHNDLNVEVCRDFLRDRCARADIECKYAHPHPTVAVDRDSKVTACADSLRNNCFRGRTCRYYHPPPHIQESLLRSIGVEDPKVKMVCRDFTRGRCSRSANECRFLHHSPLEDCAIVCQDFLRGRCDRKSCRYSHVMAHPMPPPMRDIPMQYPDMVYMPPPAPLGVPMMMPPPSAPAAFSGNNYGVEVCRDYLKNMCNRESCRFAHPDLNNEFAVILSEENAIDLPVAFTIHLQAQILLDKVNIECPALLPLLPLCCC >ORUFI04G14700.1 pep chromosome:OR_W1943:4:17890696:17892107:-1 gene:ORUFI04G14700 transcript:ORUFI04G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVIDSASFSSEIEIQVQLHISGALDYMRPNTNGSLVGMVKMTTGNPRCPPQNQNIHSLRSGHRVPVDVLPPDGKLLASFRTSLGSFLAAHRSPLPREECGRRDEGPHGPPRAPLPDLHRGREQWRAPRLRPWPVDAHNLVIHLDNARVAEQHPREKKREEKKETRHVAAQASELCSLATARLDGCFLQPQIGSARRAA >ORUFI04G14710.1 pep chromosome:OR_W1943:4:17893191:17895539:-1 gene:ORUFI04G14710 transcript:ORUFI04G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGVWPGARESPSPKNWAIWPTEVTCFPISQISASPPREGLARAPSPFPLPATPSYRHWDSDSDLESTWELLALHSGVGLSIPASIPPPPPPPPPPPPPLLLLLLRRSLRPRADGDGVLLLLLRVLLRLRRRRFLLDVLLRPDGGGASASASAAHRRVAGVHPVYPVAPGRVAGLHPVDSVAPGRVAGLRPVHAVEPSASRLVAGLLAIDASALRRVAGLHPIDASSPGRVARLLAVFAPSPSCLTGVHPVEPSAPGRIAGLQPQHAAPVACAVRCGVSHFSAVAPPPSVPEERLQRRLLVARGAGRRRPASPCLPVRILGVL >ORUFI04G14720.1 pep chromosome:OR_W1943:4:17895541:17903419:1 gene:ORUFI04G14720 transcript:ORUFI04G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLPFSRHCTEDARWGSDPIDGSGAQHPAPIPATATRTRTNTPHRRTFQPENDRYLCICVVVVANVRLPSQSPLQMCRVRRQTRLSARPCLPRGRKRGSEEVSPRTQGPRPRKNARTHDKERYLAVANRSIAHSPRTRNQPTCGPAHSVVLLLSPTLNVGRPFFLCPLPQSGSLSISPVSAVSTGKCGRSPSLPSPVISEGRDVEDADPNLPGFFKNPSRLSDNEIGEDGLPLAAEPDGFLGHDEGGDAPSEFDIAAELDDLDIA >ORUFI04G14730.1 pep chromosome:OR_W1943:4:17905719:17919749:1 gene:ORUFI04G14730 transcript:ORUFI04G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVREPVAMEIPAVESSAAGRMPPRIRRRLLEGSRVGGGAPTSAEEIEAKLKEAELRRQQFHEWVSCKARKKPRSPSWSSQEEDQGQRLEAKLQAAEQKRLSLLAKAQNRLAKLDELRQAAKNIVEMRIEKEREELGTRVESRVRQAEANRMRLLHAHMQKRAAMKERTARSLVRKQTSERKYTERVKSLILQKRNAAEKKRLALLEAEKRKAQARILHIQRAAKTVCSKRESERRQLQEQLESKLQKAKRQRAEYLKQRVSPRSSAHADYIKHAEFLSTKLARCWKRFLKSNKTTYALVQAYDALGINEMSVKSMPFENLAMLMESPTTLQTTKAVLDRFEKRLLLSQPTGSSSAENIDHLLKRLGSPKRKAPASRSRVAAKKPAKGSETSKLSRYSLRVVLCSYMILAHPGAVLSGQGEKENLLMESAENFVKEFELLVKTVLDRPGGASMQSTDAASQKKFRTQLAAFDKAWCAYLYHFVVWKLKDAKSLEQDLVRAACKLELSMMQTCKLSSDGQSHDLSHDMKAIQKQVTDDQKLLREKIQHLSGDAGIERMNSALSDTRSKFFEAKENGNPLATSVANVSTPLSINSSGQVPNPTSKPTVEGSSFTAQSLPGAASSSSSTSPMKPPTDNEQMVNEMLHEDDVSFARNSDNVSSAEKDFQAKVKATMEKAFWDLVTDSMRGDKPDYSQLINLVKEVRNSLHELASNELKEEILENIDLEILSQVLQSGSQDTRYLGQILQYSLDMVRKLSAPAKEDDMKRSHEKLLNELAASSEVNDNGISSFVIAVIKGLRFTLEEIKQLQTEVSKARIQLMQPIIKGSAGVEYLQKAFTDRYGPPANASASLPITKQWVSATKSIVEQEWSSHLESLQALPADHAQHVVPVLRAGHGAPAPQASSSAASSSGLPECKGEKIDKLTRVGLLQLISNVEGLNMQSTPETFQINLLRLRAVQDQFQKVIVIATSMLVLHQVLMLKIAPPELQNTISELYDALVKLLDNNADASTKEIVEAMTRSLASVGSLPEEQIQDTTELATKMLLKSLQAGDIVFGKVSRAVYFAFRGVVLGGGAKGKKLAEAPLRRLGAAKLADRVVKAGEVLIKMAVISEKIRRHHRRLVDRRAREGEMAVAAMELPAVRAYDALGINETSVKSMPFENLATLMQSPATLQATKAVLDRFEKLLPLLSSPVINIDHLLKHLGSPKKKKTPPPAAAASASRYSLRVVLCSYMILAHPGAVLSGQGEKEKLLMDSAGSFVKEFELLVKTVLEKQSTDAAAGQKMFSAQLADFDRAWCAYLYCFVVWKLKDAKSLEDDLVRAACKLELSMMQTCKLSSDGQSHNGLSHDMKAIQKQVADDQKLLREKVHQLSGDAGIERMNSALSDTRSKFFEAKENGNPLAASVANVSTPLSIGSSGSSFTAQSLPGAASSPSSSSLMKQPTDNEQMLNEMLHEDDVSFGGNSDNVSSAEKEFQAKVKSTMEKAFWDLVTDSMKGDKPDYTQLINLVKEVRDSLHELASKELKEEILENIDLEILSQVLESGSQDTRYLGQIMHYSLDMIRKLSAPAKEDDMKRSHEKLLNELAASSEVNDNGISSFVIAVIKGLRFTLEEIKQLQSEVSKARIQLMQPIIKGSAGVEYLQKAFADRYGPPANASASLPITKQWVSATKSIVEQEWSSHLESLQALPADHAQRVVVPALRAGHGAPMAQASSSAASGSGLPECKGEKIDKLTRVGLLQLISNVEGLNMQSTPETFQINLPRLRAVQDQFQKVIVIATSILVLHQVLVSKVAAPELQNAISELYDALVKLLDSNPDASTEEIVEAMASSLATVGSLSLPEKQAQATAELATKMLLKSLQAGDVVFGKVSRTVYCAFRGVVLGGGGVKGKKLAEAPLRRLAAAKLADRVVKAGEMLINMAVISEKVHGQWYKALAL >ORUFI04G14730.2 pep chromosome:OR_W1943:4:17910951:17919751:1 gene:ORUFI04G14730 transcript:ORUFI04G14730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKSLQAGDIVFGKVSRAVYFAFRGVVLGGGAKGKKLAEAPLRRLGAAKLADRVVKAGEVLIKMAVISEKIRRHHRRLVDRRAREGEMAVAAMELPAVRAYDALGINETSVKSMPFENLATLMQSPATLQATKAVLDRFEKLLPLLSSPVINIDHLLKHLGSPKKKKTPPPAAAASASRYSLRVVLCSYMILAHPGAVLSGQGEKEKLLMDSAGSFVKEFELLVKTVLEKQSTDAAAGQKMFSAQLADFDRAWCAYLYCFVVWKLKDAKSLEDDLVRAACKLELSMMQTCKLSSDGQSHNGLSHDMKAIQKQVADDQKLLREKVHQLSGDAGIERMNSALSDTRSKFFEAKENGNPLAASVANVSTPLSIGSSGSSFTAQSLPGAASSPSSSSLMKQPTDNEQMLNEMLHEDDVSFGGNSDNVSSAEKEFQAKVKSTMEKAFWDLVTDSMKGDKPDYTQLINLVKEVRDSLHELASKELKEEILENIDLEILSQVLESGSQDTRYLGQIMHYSLDMIRKLSAPAKEDDMKRSHEKLLNELAASSEVNDNGISSFVIAVIKGLRFTLEEIKQLQSEVSKARIQLMQPIIKGSAGVEYLQKAFADRYGPPANASASLPITKQWVSATKSIVEQEWSSHLESLQALPADHAQRVVVPALRAGHGAPMAQASSSAASGSGLPECKGEKIDKLTRVGLLQLISNVEGLNMQSTPETFQINLPRLRAVQDQFQKVIVIATSILVLHQVLVSKVAAPELQNAISELYDALVKLLDSNPDASTEEIVEAMASSLATVGSLSLPEKQAQATAELATKMLLKSLQAGDVVFGKVSRTVYCAFRGVVLGGGGVKGKKLAEAPLRRLAAAKLADRVVKAGEMLINMAVISEKVHGQWYKALAL >ORUFI04G14730.3 pep chromosome:OR_W1943:4:17905719:17910942:1 gene:ORUFI04G14730 transcript:ORUFI04G14730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVREPVAMEIPAVESSAAGRMPPRIRRRLLEGSRVGGGAPTSAEEIEAKLKEAELRRQQFHEWVSCKARKKPRSPSWSSQEEDQGQRLEAKLQAAEQKRLSLLAKAQNRLAKLDELRQAAKNIVEMRIEKEREELGTRVESRVRQAEANRMRLLHAHMQKRAAMKERTARSLVRKQTSERKYTERVKSLILQKRNAAEKKRLALLEAEKRKAQARILHIQRAAKTVCSKRESERRQLQEQLESKLQKAKRQRAEYLKQRVSPRSSAHADYIKHAEFLSTKLARCWKRFLKSNKTTYALVQAYDALGINEMSVKSMPFENLAMLMESPTTLQTTKAVLDRFEKRLLLSQPTGSSSAENIDHLLKRLGSPKRKAPASRSRVAAKKPAKGSETSKLSRYSLRVVLCSYMILAHPGAVLSGQGEKENLLMESAENFVKEFELLVKTVLDRPGGASMQSTDAASQKKFRTQLAAFDKAWCAYLYHFVVWKLKDAKSLEQDLVRAACKLELSMMQTCKLSSDGQSHDLSHDMKAIQKQVTDDQKLLREKIQHLSGDAGIERMNSALSDTRFRTRQS >ORUFI04G14740.1 pep chromosome:OR_W1943:4:17920722:17924351:-1 gene:ORUFI04G14740 transcript:ORUFI04G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKRRPFFLLDPPKDLNPEDKSGISTTFASGTLSLTDLVNKIYSILQEDFFEGLELNGRKDGSVSACKILKVIIGSGNTKMYEVGWIGQDNAETNTSVLQADDLVIRKKARASRSMLKIYIRESTSQNSPWIIHANLAKKYGIPTEPPKDLLNGQGLPKLRRGLENGTTDDVRKKLKKGEPIDDLLLRPTADDPSLSKRRPLSTDFRVPVDSVGDLLMVWDFCMTYGRILCLSPFSLSDLENAICHKESNLVLLVELHAALFHLLIKDGGGYFMFLQNKRRKLKVTLVTWAEYLCDFLEMTSKEEFSSSLSTVRRGHYGLVHTAVKLKILRELVDEAITTSAVRQNIDEKIDQQQAIAASKRELARNKKEEHKLAMEGVTEKEMSQTDAAENVNGNVNGQVVEKEGKEKKNIYANKMGEGKRHLGTEMEKQSVQSNSLGKDRYYNRYWFFRHEGRLFVESADSKEWGYYSTKEELDALIGSLNVKGIRERALKRQLDKFYNTISNALEKRTKDVTQKMLLQEAALRRSSRVQAQPRDNPSMLFLKYVNKWKEN >ORUFI04G14750.1 pep chromosome:OR_W1943:4:17939096:17941570:-1 gene:ORUFI04G14750 transcript:ORUFI04G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPHLLLLLLALLLSPPLLLASSSFPLPTIAIAAVSNSSSNPSKQHLACGLVPAAGGAAAGYRISCASVSNRSAAAPHVYAYGGDGTCSPYSAVVAGDGYLCSAAPTSSPPMSMRWWDLNEAGDGSKRVYRGRVLSAVSGGGESVCGLVGERMQCWRCPWGEGAPARVGFSAVAVGGGFVCGLVVGSGEVRCYGGGEVVGREPAGRRFMLLAAGERHACGVDDGGVVGCWGEAAAVAAASPPRISRAVSTVAVGDAVTCVLWGNWTVSCWPEGEASPPPALAGQQFVALEAKGKVVCGVLMSDYSLQCWGAGVAGGVRKVFDKVLPGPCAPSKSCSCGVWSGSAQLCAGSGGGGGGDVSVCYPCGYTPPPMALSPTSNSSSSSSSQSKGKRRPSNLAIALISAGAGSALVALLAALAAVYYLRRHRGSSSPVSGRIHAEPTGTAPRVERRLSALLSKGPNTTVEQFPLVALRAATDCFSPAKRIGSGSFGAVYRASLPDGREVAIKRAERRDTGGPSSSSAAAARRVDHEAAFVSELALLSRVNHKNLVRLLGFCADGGERILVYEFMPNGTLHDHLHRRAASAAAPLSPPLASWPSRLRLALGAARGIEYMHTYAVPPIIHRDIKSSNILLDSCWTAKVSDFGLSLLNTLDGDNAAAGDGGNAGDGDDEERCVTAGTVGYMDPEYYRLQHLTDKSDVYSFGVVLLELLSGCKAIQKYEGSGSPKNVVDMAVPHIEGDQVHRVLDARLPLPTPWEMEAVAYVGYLAADCVRLAGRDRPTMSEVVGVLERAVAACDEYEEGGAGAGAGGEPALSRSCTDGSTAT >ORUFI04G14760.1 pep chromosome:OR_W1943:4:17946862:17952163:-1 gene:ORUFI04G14760 transcript:ORUFI04G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVLASSCSASPRLPPLSAARRRRPSSQTLPATAAASGRRGAGRSKLVVVAAAAAAARGSGNGFEGLKTNGFASMSSSTNSENMSTGTGSLPPMPPPSSYIGSPVFWIGVGVALSAAFSMVSSMVKKYAMQQAFKSMMTQAPPNTFGSNSPFPFAMPPQAAPAAPSSYPYSQPRKDTSPQSATVDVSATKVEATGTLEEADVAEQPKKKFAFVDVSPEELQQKELQSSLETVDVKSESKQSETMEDTEQKAPTNGTAFKMNEGSASGTTESRPMLSVDTIEKMMEDPAVQKMVYPYLPEEMRNPDSFKWMLQNPMYRQQLQDMLNNMGGSPDQWDNRMLDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPEVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDKEVMDVFMKISQIFPQING >ORUFI04G14760.2 pep chromosome:OR_W1943:4:17946862:17952163:-1 gene:ORUFI04G14760 transcript:ORUFI04G14760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVLASSCSASPRLPPLSAARRRRPSSQTLPATAAASGRRGAGRSKLVVVAAAAAAARGSGNGFEGLKTNGFASMSSSTNSENMSTGTGSLPPMPPPSSYIGSPVFWIGVGVALSAAFSMKYAMQQAFKSMMTQAPPNTFGSNSPFPFAMPPQAAPAAPSSYPYSQPRKDTSPQSATVDVSATKVEATGTLEEADVAEQPKKKFAFVDVSPEELQQKELQSSLETVDVKSESKQSETMEDTEQKAPTNGTAFKMNEGSASGTTESRPMLSVDTIEKMMEDPAVQKMVYPYLPEEMRNPDSFKWMLQNPMYRQQLQDMLNNMGGSPDQWDNRMLDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPEVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDKEVMDVFMKISQIFPQING >ORUFI04G14770.1 pep chromosome:OR_W1943:4:17953097:17955500:-1 gene:ORUFI04G14770 transcript:ORUFI04G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYGLQLRTKPAASSSSRAPPPPPARPLAAFADDGDDDVEADILRQSYKKRSQQKVEEQQKKAMEEDPSVFAYDEVYDDMKQKAALPKMQDRVVRESKYIAALKEKAEQRKREQDIIYERKLQKERSKEDHLYGDKDKFVTSAYRKKLEEERKWLEEEKRRQLQEEKEDVTKKKDLSDFYFGLSKNVAFGAQTHDNTKHAKPEKLDEKVQDAKTSKVGAEVSDRSPKRKRDSGEGAETANESRSVEEPATTQSRDSAAARSTEKNADVSLDAPQTQTPENTQPAPQTQNPQNTQPAQITDEHYKRNADALAAARERALARKKAKAQQI >ORUFI04G14780.1 pep chromosome:OR_W1943:4:17957159:17963796:-1 gene:ORUFI04G14780 transcript:ORUFI04G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAHVKDPAHRTKVVLRRLPPAIAQQAVVEQVDARFGARYDWSCFRPGNASQKNHRYSRLYLNFKGPEDVVEFAEVFNGHVFVNEKGAQFKALVEYAPSQQVPKSNTKKDARQGTIMKDPEYLEFLESISKPAEHLPSAEIQLERKEAERAAAGKEPPVVTPLMVYVRQQRAAKSMAQRSGSSRLSRKVAGVVTSSPSPSKRGSEKRRTSASTQYVLRENAKEKPTYILVPKRDEHAQREKDATSGGISGSAHVAENKKEKIVLLKGRARVDSTTSDVTSQQQSGTPMKNAAQSSSRQDPRLEGSGRIIKTILSNKEGRHVVTSQHDQEGHIITAEKRPPRIPNPRSIVKDQVVENAEKNHLDDKHSHLHGSGPISEKTERHARNRDRPDRGVWAPRRYDKSASGGTHSSSSEFSPMQQHSGENFCQQADGHRHANRRGPPRGLKEMEISASTSDGKPSKRASANYGAHERQVWVQKSSSATYSSKQILQVVEFWILQLDPVKYC >ORUFI04G14790.1 pep chromosome:OR_W1943:4:17965284:17968184:-1 gene:ORUFI04G14790 transcript:ORUFI04G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGSRKRKRTPAAAATAEDRLSELPDCLLHDILSHLKARQVVQTCVLSRRWRHLWRSVPRLDVDCKDFWSPPPASTQQQQQHAALLAAEFARFEDFADNLLLRRSAAAPLDALRLRVDERCQRTTYGRWVRRAMVMHAPAALEVVRHYGGGGAAAPLFAALPLSLPSGCHRRLTRLCLDGVTLPAGFDAMLASGSGLPVLEDLELRAAHYPFARIASATLKKLAVERCGGGGAGYLTGDDGGVVVISAPRLSSLRLGIYLEPNWPAFAVEGPTPSLVEASIQVFHATAIDAHAPEPQITQRMSLLKSLCNLLAGISHVMPLQGEGNDPYNQAPAGQHYHNPDNNLAQYYQALPPIFQYQQPVQYYDIPLHPLFPPYNYQHPNWAAQHRQPMPLLQTMLDDNHGGLPVFSNLTTMVLRECNIHVNDSMKMLWRFLQNTPALEKLTLQNCKFSNGADVRKHGPKLKISSSLKFVDIIYKDVNHHDGEEDEYEDEDEDKDEHPEEVNKVLFIMSRKLKDVTVKVKKVDGYQ >ORUFI04G14800.1 pep chromosome:OR_W1943:4:17969700:17992968:-1 gene:ORUFI04G14800 transcript:ORUFI04G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVAKRARTGGGGGSAAVDRLSSLPDALLHAVMSSLPARQMVQTCVLSKRWVHLWRSVPSLNLDSREFLLPIYDRWQKMEDFTTNLLMFHHAPTLDAFSIRADVAVGKHGRHIRCGIKYCPRVLDIAVATVGSRYRLPDLASGSCRLGRLHLSYVALHSGFARQVRDSCPVLRCLELHRCLTKFSHIESSTLNRLVIEDSTGGSDSLAIRAPRLASLRLVAFLFHTYKNGVSLNGANSLVEASVAVKSGRTSPEGEAMLLCGLFSVSNLELKGIQELAILHEKFDKSQSFHNLRTLSLDNCFQAEGDLKDKFRALGKLLEMCPNLEKLTLQHCWFLGGSTQRAKRKTRTSGLCLENFSETLGDADVDAALDSWQPVRPILSLQRLEDKVTFVQEPDELSMFYNLKRACCCSIASSANVVISERLKRGEDGETDLDSVPDPHDFNTSQCPNLKGLRGEVQRQRFVDVAGSPEGKHKRPPFSLSQWHSPTSPRDRKAYHHIEAHQHKICDIKEARLGASIVSGPRGLGLPAGLAYSASMATARKRSCPDTISTGDRLSALPDALLHTILSSLKGRQMVQTSVLSKRWRHLWRSVPCLDIDQREFAAASENWAISRSDLEKFEDFADNVLAYRCGSPAKLDTFRLRICDRYHSLRSSDTDRWIRRGLKCSPREFHLHFDYRYDSYLLEMHKLGSNSGCLTKLHLTNVSLHECFMEHITTVCTLLEVLELNRCSLYLQEITHPKLKNLVLHGPAVMPSLVRASIRFSVVFPCKCNFLNVLFNVTSLELSGFREMVRLEFNQVEFHAFKNLRTLLLDRCRPSYNNELLRHLLQNSPNLEKLTVHCCKFSKGSLEWRKSSQHKNQVNCRKLKSTEIIYKDIDDAQGDQQKPWKEPRSCRLSVGLDSIGLARYLRGSANSGDAAGPCAATAGCSDWNCSESRALQVSMDLDTTRKRPRESGVAVDRLSALPDCLLHVIMSFMKARQVVQTCMLSKRWEHLWRTVPCLDVDHREFQSTGEAAQGDNEVWQNFEDFADNLMLHHQIAHLDTFQLHVNDVYRWGQHASRWIRRSIKYNTKVPGIPRPGLSCSSWSLKRLHLSNICLDDLFAKHISSMCCSLEDLNLKGCRFAFNEITSHSLKSLVIDSCDSKLCPSKLVVTAPAIASLCLIVKLWFFPGGLIVNEMPFLSKASILVSATYDGKNFQHNQSKFLGSLCNVTTLELSGFQTMIIPEEPVELPEFKNLKILSLDKCDLSDNFQLLKHFLQNSPNLEKLTLRLCELPKDSKKRKGKAKAKKTRLVDIRCENLKLTEIIYDADDVLQLVGLLLNDSANLPKNNIKLSKVDRA >ORUFI04G14810.1 pep chromosome:OR_W1943:4:17972461:17973185:1 gene:ORUFI04G14810 transcript:ORUFI04G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVTTSLEGQSLLSQLSITKLFSEWDSFEAPRTAAQSRARNARNLGVILDAATNPPRCMLTPTIHIINMQLERIQVCNLVMKHQVVRKILKILPHLIVALCSFTCALELPMIYIEARHGAPEVLPPLGQHARLHHLPGLHEGHDDMEEAVRQRAEPIDGDAAFTGPLSCGVQIHGDLESSALGAIPLQSTRVPKILHQPQ >ORUFI04G14820.1 pep chromosome:OR_W1943:4:17979898:17981397:1 gene:ORUFI04G14820 transcript:ORUFI04G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRRASGSALSRSPVEMVSGQLLFLAVMPNEGPFEFPTFKNLRTLLLEKCDLGDNFGILWHLLKKSPNLEKLTMRCCKLIQIIVRCEGLQKFRYREKKDQVEQV >ORUFI04G14830.1 pep chromosome:OR_W1943:4:17996664:17999466:-1 gene:ORUFI04G14830 transcript:ORUFI04G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSALPDGLLHAVMSFLPARQMAQTCVLSKRWVHLWRSVPSLNLDIREFRNLSKEEDDDDDETWGKMKDFIANLLMFHHAPTLDTFTVCTGAVVQAAVGNRSRRNHRRNDVDYPAVADNRRRDVGRWIRGGIKYCPRVLDVAVAPSCSGCRPPPDLGSGSCFRRLERLRFSCFALDSGFARQVRDSCPVLRCLELHRCHIEFSHIESSTLNKLVIEGCIGCSLSLAISAPRLASLCLDLSYGAYKNGVSLNTISLVEASVTLNVFQISPEGEAMLLCGLFNVTNLELEAIHAKLGGVLGAKLSAVGSAKGGGRERRKFYGCCNVNEKVILHEKFDKFSSFNNLRTLSLDDCFQGMGDLKEKFKALGRLLEKCPNLEKLTLQHCWVFNFDLYQLWFYDYFCGLPLTSYESLCFQ >ORUFI04G14840.1 pep chromosome:OR_W1943:4:17999607:18002786:1 gene:ORUFI04G14840 transcript:ORUFI04G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFGEGKRRREARRGALITKPPQIPPPLGQHARLHRLPGGEGGQDGVEEAIRQSAQPIAGGSGSDEASWLQICSPLLPRVETEWRGRCGTTRAASKAAGQGGATREGEGRAAGRRDGGGRRSGCWAPTRRGAGAEAAGEGRRLLASHLGGGRWPAGGGYTLPLLSDLLYSSTLVPIATKNE >ORUFI04G14850.1 pep chromosome:OR_W1943:4:18005511:18009125:-1 gene:ORUFI04G14850 transcript:ORUFI04G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVAKRRRRRQRHRSGGRSTSTTGGEDRLGALPDDLLHAVMSFMAAREVVRTCVLSKRWRHLWRSAPFLNLDGAEFMPLLGGGSPGEWERMDAFVTTLLRLRSRDETAVDSFRLFVDHLGAARRESVERWLGDGCPLLEDLALRRCAMAPGFRRIRCGSLRTLVLHYTGCGGGDAGGGDEEEEEETLVISAPRLASVRVKITSYACRHGVSFDGSTADSLVEASIRVGRRRRRRALPTGVEAVLLAGMVNVTTLSSKLGYHCSSSLRLLIRVRDGTVSLYGVNSLVEASIDVSNCQMSPSGEAMLLGALFSATNLELKGTRAMAILDEELDKFPLFNNLRNLSLHCCLRDKGNLSDRFKALGRLLQKSLNLEKLTLQDFWV >ORUFI04G14860.1 pep chromosome:OR_W1943:4:18012535:18014171:-1 gene:ORUFI04G14860 transcript:ORUFI04G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRTTTATMIPAASSSRKRARVPTTGAGDGGGGGEGRLGELPDELLLSILSCLTTRQAVQTSVLSRRWRHLLRSTPRFDVDLAEFARPPPSSAPWLLHGRGSTDPWERLRGFTARLLMYHAAPVLDAFRLRVATPFHRRADVESWSAFAGDLLSGCPALVDMELDRCKCFFHELSSATLRSLAMESCLWMRRPSGTNGDRTVSVVAPRLAYLRLLTFGHGDCKVFRFESGDSISEVSIRGGFNLINLFRLLRMMPNVTTLRLSGFGPTSKYLRECSENFPDLHNLTTLLLDRCVMNYKFQILRLFLQNTPTLEKVILKNCEFS >ORUFI04G14870.1 pep chromosome:OR_W1943:4:18014858:18018133:1 gene:ORUFI04G14870 transcript:ORUFI04G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGENRVDNHDDDDDDWELAAGAALADVTLVLVGKVGSGKSATANSILGDEAFESKCSYAGVTQTCQKKSTTVQDGCLIRTINVIDTPGLFDMDIKAEDVRREIVKCMDMAKDGIHAMLMVFSATSRFSCEDEKTIETLKSFFGDKILDHMILVFTRGDEVGGETSWKNMLSDSAPTYLQDILKLFENRVVLFENKTSSTQDRQAQRKKLLDAVDFVVSSNHGKPFSNQLFTQIQEVHHRQKDANSEVYSSMQETDSYISLITKMVEEKLNGTILRMEQQLLKEQEARLDIQNEMTKAILRSEEDIRRLRLSLEKAEQESNNAREENKRFRESEKASKEQEKQTEAEIQKLKEKMEKDREEREEEIRRLRDDLEKEREERQKQSGCIIL >ORUFI04G14880.1 pep chromosome:OR_W1943:4:18018812:18023463:-1 gene:ORUFI04G14880 transcript:ORUFI04G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESRASSSPPPDAAADAAVDWRGRPCEPRRHGGMRAAVFVLGIQAFEIMAIAAVGNNLITYVFGEMHFPLSQAANVVTNFVGTIFLLSLLGGFLSDSYLGCFWTMLIFGFVELSGFILLSVQAHLPQLKPPPCNMAATDGGCEQARGIKASIFFAALYLVALGSGCLKPNMIAHGADQFAAAAGGGGAAAADNAKRLSTYFNSAYFSFCAGELVALTALVWVQTHSGMDVGFGISAAAMAAGLVSLVSGAAFYRNKPPQGSIFTPIARVFVAAYTKRKQICPSSSSDPVNAGVCEPAHLAGGSFRHASKFRFLDKACIRAAEQGPNTKPESPWRLCTAAEVRQAKTLLAVAPIFACTIVFNTVLAQLQTFSVQQGSAMDTALGGAGSSFRIPPASLQAIPYAMLLALVPAYELLLVPLMRRATGARSGITPLQRIGVGLCTVPLSMVAAATVEHRRRDLSLSAGGAPPRAMSVLWIVPQFLVFGVSEMFTAVGLIEFFYKQARGAGMQSFLTALTYCSYAFGFYLSSVLVSLVNRVTASRGGGGHGGWLGDNDLDKDRLDLFYWMLAVLSVINFFCYLLCARWYNSGGADDGCDASASAQVAAEGDGNGKEII >ORUFI04G14890.1 pep chromosome:OR_W1943:4:18037710:18042752:-1 gene:ORUFI04G14890 transcript:ORUFI04G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAAVAAGAAAGQQPQGRAQAARQAGGGLGQTIAGIVRMAVFWYFAAKFFGPKRPPAEPGMLMSNLFQKGEPLDMWMYLSENEKFNDFSNEDALVWHEANIPYAVWGPTSTRTHTLTYYPSEAIKNNGSLYAHVYFARSGYPVDPTDPEYEQSSAFGRTHPVVAYLRKPKDGKKKSLLGDSSESNEQPPPKENKDSVDKDEGPVEYISYWKPNVTINLVDDFTRNTDLNVDPSSNNYYPTVFFNEFWLLRDKLIALNETVEELPLNLEVGPISMTKWQIFLQMEQSFQVHRSYGSMLEGEADELKRVFLEGNPYFLGLTMIVSLFHSLFDFLAFKNDIQFWNKNKSMEGLSAKSVVLNFVCQLIIFLYLLDNETSWMILGSSGIGVCIEFWKIGKAMHVEIDRSGKIPMLRFRDRESYAQNKTKEYDAIAMKYLTYVLLFLVFCFSIYSLKYEKHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDVIFLIYLYQRWVYPVDKKRVNEYGFGGEDEPQAPQTLEGSDPAAASQQAGAEAEAETSTEDKKTK >ORUFI04G14900.1 pep chromosome:OR_W1943:4:18054691:18059522:1 gene:ORUFI04G14900 transcript:ORUFI04G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMEMAANPGGSGTCSDALFRELWHACAGPLVTVPKRGERVYYFPQGHMEQLEASTNQQLDQYLPMFNLPSKILCSVVNVELRAEADSDEVYAQIMLQPEADQSELTSLDPELQDLEKCTAHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMSQNPPCQELVAKDLHGTEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGESGELRVGVRRLMRQVNNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSEFVVSVNKYLEAKKQNLSVGMRFKMRFEGDEAPERRFSGTIIGIGSVPAMSKSPWADSDWKSLKVQWDEPSAIVRPDRVSPWELEPLDASNPQPPQPPLRNKRARPPASPSVVAELPPSFDVDSDQISQPSNGNKSDAPGTSSERSPLESQSRQVRSCTKVIMQGMAVGRAVDLTKLNGYGDLRSKLEEMFDIQGDLCPTLKRWQVVYTDDEDDMMLVGDDPWE >ORUFI04G14910.1 pep chromosome:OR_W1943:4:18061551:18065759:1 gene:ORUFI04G14910 transcript:ORUFI04G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGHHLARCFCLAKVGQPAHEFGQPDGLAIGWPAGIEIWGHVGVSIVPGEGGREEGDRRGGREARSATHVELCYGLADGWSSQLHEPVDETDTESLRHGKNAGTQHAWSTRIGGNRRRSTLVLPHVRSAPFHAVVPGAVGGQRRQIVAAAVAEDFFKKTVPTVNMYRQKIPNSGWAAFDRRWRSKDGRGDDTDVNSFPALSDYIAPSAASSSVAENSRPKAKPFASVLRPSVDCAADGNENGNKHFTCHRENANYGLKSASENKIELLRGAHSWADSNLIEDVLASVNNDVGEASALLKAMASPCFPIREDGLPDQLSSEINKTHGLPSGNGTAENNLVNDSQLLPLPMNMSSVPIEPEVEELDDDYFNHRKDALKIMRAATKHSQAASNAFLRGDHAAAKELSLRAQEERSAAEELNKKAAKEIFRLRNSNNSIWKLDMHGLHASEAVEVLERHLHRIEFQPPGNNAASSDEVARSEPRVSGPSIEPGPGKVVFVRPIQAILEVITGIGKHSKGQASLPVAVRGFLIENGYRFDELRPASLH >ORUFI04G14910.2 pep chromosome:OR_W1943:4:18061551:18065547:1 gene:ORUFI04G14910 transcript:ORUFI04G14910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGHHLARCFCLAKVGQPAHEFGQPDGLAIGWPAGIEIWGHVGVSIVPGEGGREEGDRRGGREARSATHVELCYGLADGWSSQLHEPVDETDTESLRHGKNAGTQHAWSTRIGGNRRRSTLVLPHVRSAPFHAVVPGAVGGQRRQIVAAAVAEDFFKKTVPTVNMYRQKIPNSGWAAFDRRWRSKDGRGDDTDVNSFPALSDYIAPSAASSSVAENSRPKAKPFASVLRPSVDCAADGNENGNKHFTCHRENANYGLKSASENKIELLRGAHSWADSNLIEDVLASVNNDVGEASALLKAMASPCFPIREDGLPDQLSSEINKTHGLPSGNGTAENNLVNDSQLLPLPMNMSSVPIEPEVEELDDDYFNHRKDALKIMRAATKHSQAASNAFLRGDHAAAKELSLRAQEERSAAEELNKKAAKEIFRLRNSNNSIWKLDMHGLHASEAVEVLERHLHRIEFQPPGNNAASSDEVARSEPRVSGPSIEPGPGKVVFVRPIQAILEVITGIGKHSKGQASLPVAVRGFLIENGYRFDELRPGVFSVRPKFRRR >ORUFI04G14920.1 pep chromosome:OR_W1943:4:18066142:18075040:1 gene:ORUFI04G14920 transcript:ORUFI04G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAVATRHPSPSFFGPDPRRRRGHSPSNLGFRRSGLQLKVTAIFGWIKGDTRTRELNPSAESYTLTGSASEADTKPREVSVAVVSSIMDIPSADWDACAVDSVDPDNFNPFLTHAFLSSLEESGSAVKETGWLPLHVVARDENETVVGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILVRDTPYRDQVFEALVKELKSLTTKLKVSSLHITFPSEGEFSKLKDSEFLQRIGMQYHWRNRDYRSFDEFLMDLKQPKRKNIRQERKKIPAQNLKMKRLRGDEIKSEHWDTFYKFYRNTTDNHWGRPYLTREFFHRLGEKMGDKVMLIVAEHDDKHVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLDPGFGAAIGNYLAHETAQVKHVIKVLHDSGPYKEDILNEFLLTQGDGV >ORUFI04G14920.2 pep chromosome:OR_W1943:4:18066142:18073219:1 gene:ORUFI04G14920 transcript:ORUFI04G14920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAVATRHPSPSFFGPDPRRRRGHSPSNLGFRRSGLQLKVTAIFGWIKGDTRTRELNPSAESYTLTGSASEADTKPREVSVAVVSSIMDIPSADWDACAVDSVDPDNFNPFLTHAFLSSLEESGSAVKETGWLPLHVVARDENETVVGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILVRDTPYRDQVFEALVKELKSLTTKLKVSSLHITFPSEGEFSKLKDSEFLQRIGMQYHWRNRDYRSFDEFLMDLKQPKRKNIRQERKKIPAQNLKMKRLRGDEIKSEHWDTFYKFYRNTTDNHWGRPYLTREFFHRLGEKMGDKVMLIVAEHDDKHVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLDPGFGAAIGNYLAHETAQVKHVIKVLHDSGPYKEDILNEFLLTQGDGVRSCHRRKERARKKLSECHIDISAKIPTKKDIRYCLIMLFVQGYKDWFFLPPLINQRPRRTKLQCRKLSQTRKSFASCSLPLHLDCTGCFIRQAHHRLRKQPFDHLCSSSPRHRMAYTSRMNLKRKGK >ORUFI04G14930.1 pep chromosome:OR_W1943:4:18074121:18075637:-1 gene:ORUFI04G14930 transcript:ORUFI04G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGVTRVLLVDDSPVDRRVVQLLLSSSACAGSFHVIAVDSAKKAMEFLGLKEEGKEQAIDMVLTDYCMPEMTGYELLKAIKALSPLKPIPVIVMSSENEPQRISR >ORUFI04G14940.1 pep chromosome:OR_W1943:4:18100577:18106121:-1 gene:ORUFI04G14940 transcript:ORUFI04G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHPSPRSHHQPPPPERDGSFNYDIESMDGGGSGGAWRGRYESSEALLRYDDEASGGPRQPLLRKRTMNTTSQIAIVGANVCPIESLDYEIVENDLFKQDWRSRKKKQIFQYIVLKWALVLLIGMLTGIVGFFNNLAVENIAGLKLLLTSDLMLKQRYFTAFLAYGGCNLVLATTAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTWNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRGLIEFCRSGKCGLFGQGGLIMFDLSSTIPTYTAQDVVAIIVLGIIGGVFGGLFNFLLDRILRAYSIINERGPPFKILLTMIISIITSACSYGLPWLAPCTPCPADAAEECPTIGRSGNFKNFQCPPGHYNGLASLFFNTNDDAIRNLFSSGTEKEFHMSTLFVFFTAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLHMLPLVMLVLLISKTIADSFNKGVYDQIVVMKGLPFMEAHAEPFMRNLVAGDVVSGPLITFSGVEKVGNIVHALRITGHNGFPVVDEPPVSEAPELVGLVLRSHLLVLLKGRSFMKEKVKTSGSFVLRRFGAFDFAKPGSGKGLKIEDLDLTDEELDMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGRPPIVGILTRHDFMHEHIHGLFPNLGKSH >ORUFI04G14950.1 pep chromosome:OR_W1943:4:18121189:18134776:1 gene:ORUFI04G14950 transcript:ORUFI04G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRRSSSRVKTWVLEDAAAVLGGKQLEAEGVVEDGEAKRVMDGSPRSSGISGGGGRGWIGDGGSGGSFRPLSPPSRCRSNGGGSDRVWIRRRWQRPRVDPAVVVPPAADPAAAAPPWHGGRRMLPSPVCWQHLPDPSISSPLARIPWFFHSLLHGSAVGCDGMDGFGDLLLSRSDPVVSLRPAHADPPPATMGVSRSGGVDRGRGLPAAAVSARAARTPHPVVSFFSRTACVYVESLTKELVFTTKDVTQLLVKVEKMFVSYLDM >ORUFI04G14950.2 pep chromosome:OR_W1943:4:18121189:18134776:1 gene:ORUFI04G14950 transcript:ORUFI04G14950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRRSSSRVKTWVLEDAAAVLGGKQLEAEGVVEDGEAKRVMDGSPRSSGISGGGGRGWIGDGGSGGSFRPLSPPSRCRSNGGGSDRVWIRRRWQRPRVDPAVVVPPAADPAAAAPPWHGGRRMLPSPVCWQHLPDPSISSPLARIPWFFHSLLHGSAVGCDGMDGFGDLLLSRSDPVVSLRPAHADPPPATMGVSRSGGVDRGRGLPAAAVSARAARTPHPVVSFFSRRRRVEAYVVSLGPSGLRRKPAYVVEKMFVSYLDM >ORUFI04G14970.1 pep chromosome:OR_W1943:4:18139615:18140106:-1 gene:ORUFI04G14970 transcript:ORUFI04G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMAAAAASTFLLIAALVVPTASASAAESAAGPYDPPKVPELMDRFGLPRALLPATARRYLLHDDGSFQLFLDGGCVAEAGGYRVGYGVKLSGAVAPGRATGLGGVRVRVLFAWVPVTAVEVAGGEVTVSLGPIKKSFPAAGFKSSPRCIAGAATPATASDG >ORUFI04G14980.1 pep chromosome:OR_W1943:4:18143496:18145876:-1 gene:ORUFI04G14980 transcript:ORUFI04G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCSRMMIMSPSSSSSSWRALVLVAAAVLSFSGHVVVAAAAARHPDYADALARSILFFQGQRSGRLPPDQAVKWRSNSGLSDGSAANVDLTGGYYDGGDNVKFGFPMAFTTTMLSWGVVEYCGRMRGRVLRDARDAVRWAADYLLRAATATPGVLYVGVGDPDADHRCWERPEDMDTPRAVYSVSASSPGSDVAAETAAALAAASLALRAADPGYSRRLLAAARDVMAFAVRHQGKYSDHVGGDVGAYYASYSGYQDELLWGSAWLLWATRNASYLDYLASLGANDGVDMFSWDNKLAGARVLLSRRALVNGDRRLDAFRRLAEDFICRILPGSPSSTTQYTPGGMMYKSGHANLQYVTSASFLLTTFAKYMAVSNHTFSCQSLPVTAKTLRALARKQVDYILGANPQGMSYMVGYGARFPQRIHHRGASMPSVAAYPAHIGCQEGFSGYFNAGGANPNVHTGAVVGGPDQHDAFPDERGDYDRSEPTTYTNAALVGCLAYFAGSYRS >ORUFI04G14990.1 pep chromosome:OR_W1943:4:18149160:18155252:1 gene:ORUFI04G14990 transcript:ORUFI04G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPLASLQEEGEGEPGESPSSAAAAVPPRPATHHSLHKYAPLDWSAYFDEERRVAIPDTEDVFNVYMAGSEGPVVFCLHGGGYSGLSFALAASRMKEKARVVSMDLRGHGKSTTSDDSDLSIETLSSDVIAVLRTLYGDSPPAIILVGHSMGGSVAIHVAAKKVIRNLHGLVVIDVVEGTAMASLIHMQKILANRAQHFPSIEKAIEWSVKGGPLRNIDSARVSIPSTLKYDESREWYEGLSEKFLSCPVQKVLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASHILNFIARNKIGPNGVEIPGLIKKWQH >ORUFI04G14990.2 pep chromosome:OR_W1943:4:18149160:18155522:1 gene:ORUFI04G14990 transcript:ORUFI04G14990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPLASLQEEGEGEPGESPSSAAAAVPPRPATHHSLHKYAPLDWSAYFDEERRVAIPDTEDVFNVYMAGSEGPVVFCLHGGGYSGLSFALAASRMKEKARVVSMDLRGHGKSTTSDDSDLSIETLSSDVIAVLRTLYGDSPPAIILVGHSMGGSVAIHVAAKKVIRNLHGLVVIDVVEGTAMASLIHMQKILANRAQHFPSIEKAIEWSVKGGPLRNIDSARVSIPSTLKYDESREWYEGLSEKFLSCPVQKVLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASHILNFIARNKIGPNGVEFHRIVQMHHHL >ORUFI04G15000.1 pep chromosome:OR_W1943:4:18157143:18159360:-1 gene:ORUFI04G15000 transcript:ORUFI04G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPITFFTNIIGVVTIVLISIVSILGLICLCHSLNFQLLIKRRRRNYYQANDEQLSYFNGPWLTRITLILVALWWGVGEVLRLTFVNGEGRFISDQTWQANVGALNRKWNQRTICAVFMLCSPSLIWEACVVFIGPHIASNDGQTSKVAKYWYSASSVHDGDVACTYPLLSSIFLGTFYTVLTLYVIFVGGQILSLVINKGLRRRIYMLIFATGILLPRAMFLGFSVLPWPGEIVHESLVFVSFLVLMIAAMLGIVILVYFPVAETFEVRNQEHIELQTSHSIAL >ORUFI04G15000.2 pep chromosome:OR_W1943:4:18157143:18159360:-1 gene:ORUFI04G15000 transcript:ORUFI04G15000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPITFFTNIIGVVTIVLISIVSILGLICLCHSLNFQLLIKRRRRNYYQANDEQLSYFNGPWLTRITLILVALWWGVGEVLRLTFVNGEGRFISDQTWQANVCKFYIVSNLGFAEPGLFLLLAFLLSAALQNQEVGALNRKWNQRTICAVFMLCSPSLIWEACVVFIGPHIASNDGQTSKVAKYWYSASSVHDGDVACTYPLLSSIFLGTFYTVLTLYVIFVGGQILSLVINKGLRRRIYMLIFATGILLPRAMFLGFSVLPWPGEIVHESLVFVSFLVLMIAAMLGIVILVYFPVAETFEVRNQEHIELQTSHSIAL >ORUFI04G15010.1 pep chromosome:OR_W1943:4:18163951:18164538:-1 gene:ORUFI04G15010 transcript:ORUFI04G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAMGRSSSAMASAAAAAGGGCQYRGVRRRKWGKWVSEIRQPGTKTRIWLGSFESAEMAAVAHDVAALRLRGRDAQLNFPGSVDRLPRPASSRPGDIRAAAAEAADRVRREPALVVVRGAAAAGGELAAVRWAGLEVEVEQQLGGSDEEFEVDSPRLWAEMAEAMLLDPPVWAVDVSEMEGPHCWAHGSLWDAC >ORUFI04G15020.1 pep chromosome:OR_W1943:4:18181934:18207981:1 gene:ORUFI04G15020 transcript:ORUFI04G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARPVHHHHHHHPRLCPTAPWRSPPPARGARLRSRARGPQTLAARARPPRAEAGEAETAAGGTGATTTTGGGGGSVLSFLCPLLKLLGGGDPSQERNDIVEVATSSLSSLARLPWGSSVSTSSENNVNPTTSAPTLQLYEFEACPFCRRVREAMTELDLSAEIYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFRQYGEGKSPSFGLLESTILTGWVPTLLRAGRGMTLWNKAGEVPEDKLELFSFENNTYARIVREALCELEVPYILQNVGEGSSKMDLLQKISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTSGIVLEEVRDSIVFILGKAPVVSHILTTFLFLENVLSSSVQASILFLLLPADTFDPEQKKLQKWVKAGRAMNNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKEWMSEIDKYANDSVCKLLVGNKCDLAESRVVETAVAQAYADEIGIPFLETSAKDSINVEEAFLAIKSRSQAALERKASNLVQMKGQPIQQQQQPQKSSCCSSGLQLTKIHLTKIHMWDTAGQERFRTITSSYYRGAHGITIVYDITDMESFNNVKEWMSEIDKYANDIVCKLLVGNKCDLAESRVVETAAAQAYADEIGISFLETSTKDSIKKIWEPGSPGEEGIQSSSYERPANSAAAAAAATEEQLLFIMMAQWSGNFHELG >ORUFI04G15020.2 pep chromosome:OR_W1943:4:18181934:18206827:1 gene:ORUFI04G15020 transcript:ORUFI04G15020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARPVHHHHHHHPRLCPTAPWRSPPPARGARLRSRARGPQTLAARARPPRAEAGEAETAAGGTGATTTTGGGGGSVLSFLCPLLKLLGGGDPSQERNDIVEVATSSLSSLARLPWGSSVSTSSENNVNPTTSAPTLQLYEFEACPFCRRVREAMTELDLSAEIYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFRQYGEGKSPSFGLLESTILTGWVPTLLRAGRGMTLWNKAGEVPEDKLELFSFENNTYARIVREALCELEVPYILQNVGEGSSKMDLLQKISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTSGIVLEEVRDSIVFILGKAPVVSHILTTFLFLENVLSSSVQASILFLLLPADTFDPEQKKLQKWVKAGRAMNNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKEWMSEIDKYANDSVCKLLVGNKCDLAESRVVETAVAQAYADEIGIPFLETSAKDSINVEEAFLAIKSRSQAALERKASNLVQMKGQPIQQQQQPQKSSCCSSGLQLTKIHLTKIHMWDTAGQERFRTITSSYYRGAHGITIVYDITDMESFNNVKEWMSEIDKYANDIVCKLLVGNKCDLAESRVVETAAAQAYADEIGISFLETSTKDSIKKIWEPGSPGEEGIQSSSYERPANSAAAAAAATEEQLLFIMMAQWSGNFHELG >ORUFI04G15020.3 pep chromosome:OR_W1943:4:18181934:18207981:1 gene:ORUFI04G15020 transcript:ORUFI04G15020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARPVHHHHHHHPRLCPTAPWRSPPPARGARLRSRARGPQTLAARARPPRAEAGEAETAAGGTGATTTTGGGGGSVLSFLCPLLKLLGGGDPSQERNDIVEVATSSLSSLARLPWGSSVSTSSENNVNPTTSAPTLQLYEFEACPFCRRVREAMTELDLSAEIYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFRQYGEGKSPSFGLLESTILTGWVPTLLRAGRGMTLWNKAGEVPEDKLELFSFENNTYARIVREALCELEVPYILQNVGEGSSKMDLLQKISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTSGIVLEEVRDSIVFILGKAPVVSHILTTFLFLENVLSSSVQASILFLLLPADTFDPEQKKLQKWVKAGRAMNNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKEWMSEIDKYANDSVCKLLVGNKCDLAESRVVETAVAQAYADEIGIPFLETSAKDSINVEEAFLAIKSRSQAALERKASNLVQMKGQPIQQQQQPQKSSCSGQERFRTITSSYYRGAHGITIVYDITDMESFNNVKEWMSEIDKYANDIVCKLLVGNKCDLAESRVVETAAAQAYADEIGISFLETSTKDSIKKIWEPGSPGEEGIQSSSYERPANSAAAAAAATEEQLLFIMMAQWSGNFHELG >ORUFI04G15020.4 pep chromosome:OR_W1943:4:18181934:18207981:1 gene:ORUFI04G15020 transcript:ORUFI04G15020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARPVHHHHHHHPRLCPTAPWRSPPPARGARLRSRARGPQTLAARARPPRAEAGEAETAAGGTGATTTTGGGGGSVLSFLCPLLKLLGGGDPSQERNDIVEVATSSLSSLARLPWGSSVSTSSENNVNPTTSAPTLQLRVREAMTELDLSAEIYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFRQYGEGKSPSFGLLESTILTGWVPTLLRAGRGMTLWNKAGEVPEDKLELFSFENNTYARIVREALCELEVPYILQNVGEGSSKMDLLQKISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTSGIVLEEVRDSIVFILGKAPVVSHILTTFLFLENVLSSSVQASILFLLLPADTFDPEQKKLQKWVKAGRAMNNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKEWMSEIDKYANDSVCKLLVGNKCDLAESRVVETAVAQAYADEIGIPFLETSAKDSINVEEAFLAIKSRSQAALERKASNLVQMKGQPIQQQQQPQKSSCSGQERFRTITSSYYRGAHGITIVYDITDMESFNNVKEWMSEIDKYANDIVCKLLVGNKCDLAESRVVETAAAQAYADEIGISFLETSTKDSIKKIWEPGSPGEEGIQSSSYERPANSAAAAAAATEEQLLFIMMAQWSGNFHELG >ORUFI04G15020.5 pep chromosome:OR_W1943:4:18181934:18207981:1 gene:ORUFI04G15020 transcript:ORUFI04G15020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARPVHHHHHHHPRLCPTAPWRSPPPARGARLRSRARGPQTLAARARPPRAEAGEAETAAGGTGATTTTGGGGGSVLSFLCPLLKLLGGGDPSQERNDIVEVATSSLSSLARLPWGSSVSTSSENNVNPTTSAPTLQLYEFEACPFCRRVREAMTELDLSAEIYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFRQYGEGKSPSFGLLESTILTGWVPTLLRAGRGMTLWNKAGEVPEDKLELFSFENNTYARIVREALCELEVPYILQNVGEGSSKMDLLQKISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTSGIVLEEVRDSIVFILGKAPVVSHILTTFLFLENVLSSSVQASILFLLLPADTFDPEQKKLQKWVKAGRAMNNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKEWMSEIDKYANDSVCKLLVGNKCDLAESRVVETAVAQAYADEIGIPFLETSAKDSINVEEAFLAIKSGSQAALERKASNLVHMKGQPIQQQQQQQPQKSSCCSS >ORUFI04G15030.1 pep chromosome:OR_W1943:4:18185073:18185568:-1 gene:ORUFI04G15030 transcript:ORUFI04G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNTSINQSFQRGTETTAKYMTQNLGNQIRIAIREKTQKLTANRRRKHDLPTEESPMRSSLNSFFCSGSKVSAGSKRKRIDAWTELERTFSRNKKVVRIWETT >ORUFI04G15040.1 pep chromosome:OR_W1943:4:18189191:18190918:-1 gene:ORUFI04G15040 transcript:ORUFI04G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQMRRIENPVHRQVTFCKRRMGLLKKAKELSVLCDADIGVIVFSPHGKIYELATNGNMEGLIERYKCNLPEAQVESTEQNIPMMQLMSREIEMLKNKEGILKAANDILQERK >ORUFI04G15050.1 pep chromosome:OR_W1943:4:18199051:18200751:-1 gene:ORUFI04G15050 transcript:ORUFI04G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLNKFGILYCHMVEPRMVKLGEKFETPHSLRPIRDAFKGTFIAAGGYNKEDGNKAVSTGYTDLVAYGRLFLSNPDLPRRFEIDAAPLNKYNRETFYISDPVIGYTDYPFLTSDV >ORUFI04G15050.2 pep chromosome:OR_W1943:4:18199051:18200603:-1 gene:ORUFI04G15050 transcript:ORUFI04G15050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLNKFGILYCHMVEPRMVKLGEKFETPHSLRPIRDAFKGTFIAAGGYNKEDGNKAVSTGYTDLVAYGRLFLSNPDLPRRFEIDAAPLNKYNRETFYISDPVIGYTDYPFLTSDV >ORUFI04G15060.1 pep chromosome:OR_W1943:4:18206859:18209271:-1 gene:ORUFI04G15060 transcript:ORUFI04G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQMRRIENPVHRQVTFCKRRMGLLKKAKELSVLCDADIGVIVFSPHGKIYELATNGNMQGLIERYKNKSNLPEAQAESNEQNIPQVIQQDVLLLRQEVDLLQNSLSNLEVWVNNIRSTKMQIMSREIEMLKNKEGILKAANDILQERIIAQTSIMDVGCNMMIPQVPFQLTTESNYYF >ORUFI04G15070.1 pep chromosome:OR_W1943:4:18215760:18229180:-1 gene:ORUFI04G15070 transcript:ORUFI04G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATSDRGQISAVENHIPRLPDTLTRITKGADSSPLEVASYLKLLMGVFQKGEDQKGESANMKPIPLLSSYDMGKFNLSHRVVLAPLTRSRSYGNLPQSHAMEYYSQRATKGGLLIAEATGVSSDAQGMSVIPHTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRASDMEERPISSTDKPIEKTEENYFLGFSTPRSLTVEEIPDVIKHFTLAAKNALEAGSRRKRLPPGPVHEGRRQRPRRRVRRRHGGGVAGRCRFALEVVDAVAAEAGAGRTGVRLSPYSRCLDCADSDPDALAAHMARELGSRGVLYCNVVEPEMVATPAEGGSGGETMRIPHRLRAVREAFAGTLMVGGGYDREEGNWAVAGGYADLVVYGRLFLANPDLPRRFRLGAPLNGYDRATFYTADPVAGYTDYPFLDDDGDDGLAASAASASSNKSGDQDGLAGGRCGQWEQEWRITMPAQRGAAAEGLRLGGKATAGGRLARHGENHVLIPQGREERRGEGAGQGRGGKMSSTAPLLTPYKMGRFDLSHRVVLAPLTRQRSYGNVPQPHAILYYQQRTTKGGLLIAEATGISDTAQGYKDTPGIWTKEQVEAWKPIVDGVHAKGGIFFCQIWHPNGQAPISSTNKSLKPAVRANGIDVATFSTPRRLETDEIPFVVNDYRVAARNAIEAGFDGVEIHGAHGYLIDQFLKDQVNDRSDKYGGSLENRCRFALEVVQAVTDEIGADKVGIRLSPFASYSEAADSNPEALGLYMANALNKFGILYCHMVEPRMVKLGEKFETPHSLRPIRDAFKGTFIAAGGYNKEDGNKAVSTGYTDLVAYGRLFLSNPDLPERFEIDAPLNKYNRETFYISDPVIGYTDYPFLPSDGHTGQVIRIQTAQKELTKARRTSPCPSPYVFTKQKFVYPVFVL >ORUFI04G15070.2 pep chromosome:OR_W1943:4:18215760:18229180:-1 gene:ORUFI04G15070 transcript:ORUFI04G15070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATSDRGQISAVENHIPRLPDTLTRITKGADSSPLEVASYLKLLMGVFQKGEDQKGESANMKPIPLLSSYDMGKFNLSHRVVLAPLTRSRSYGNLPQSHAMEYYSQRATKGGLLIAEATGVSSDAQGMSVIPHTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRASDMEERPISSTDKPIEKTEENYFLGFSTPRSLTVEEIPDVIKHFTLAAKNALEAGSRRKRLPPGPVHEGRRQRPRRRVRRRHGGGVAGRCRFALEVVDAVAAEAGAGRTGVRLSPYSRCLDCADSDPDALAAHMARELGSRGVLYCNVVEPEMVATPAEGGSGGETMRIPHRLRAVREAFAGTLMVGGGYDREEGNWAVAGGYADLVVYGRLFLANPDLPRRFRLGAPLNGYDRATFYTADPVAGYTDYPFLDDDGDDGLAASAASASSNKSGDQDGLAGGRCGQWEQEWRITMPAQRGAAAEGLRLGGKATAGGRLARHGENHVLIPQGREERRGEGAGQGRGGKMSSTAPLLTPYKMGRFDLSHRVVLAPLTRQRSYGNVPQPHAILYYQQRTTKGGLLIAEATGISDTAQGVAARNAIEAGFDGVEIHGAHGYLIDQFLKDQVNDRSDKYGGSLENRCRFALEVVQAVTDEIGADKVGIRLSPFASYSEAADSNPEALGLYMANALNKFGILYCHMVEPRMVKLGEKFETPHSLRPIRDAFKGTFIAAGGYNKEDGNKAVSTGYTDLVAYGRLFLSNPDLPERFEIDAPLNKYNRETFYISDPVIGYTDYPFLPSDGHTGQVIRIQTAQKELTKARRTSPCPSPYVFTKQKFVYPVFVL >ORUFI04G15070.3 pep chromosome:OR_W1943:4:18215760:18229180:-1 gene:ORUFI04G15070 transcript:ORUFI04G15070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATSDRGQISAVENHIPRLPDTLTRITKGADSSPLEVASYLKLLMGVFQKGEDQKGESANMKPIPLLSSYDMGKFNLSHRVVLAPLTRSRSYGNLPQSHAMEYYSQRATKGGLLIAEATGVSSDAQGMSVIPHTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRASDMEERPISSTDKPIEKTEENYFLGFSTPRSLTVEEIPDVIKHFTLAAKNALEAGSRRKRLPPGPVHEGRRQRPRRRVRRRHGGGVAGRCRFALEVVDAVAAEAGAGRTGVRLSPYSRCLDCADSDPDALAAHMARELGSRGVLYCNVVEPEMVATPAEGGSGGETMRIPHRLRAVREAFAGTLMVGGGYDREEGNWAVAGGYADLVVYGRLFLANPDLPRRFRLGAPLNGYDRATFYTADPVAGYTDYPFLDDDGDDGLAASAASASSNKSGDQDGLAGGRCGQWEQEWRITMPAQRGAAAEGLRLGGKATAGGRLARHGENHVLIPQGRVVLAPLTRQRSYGNVPQPHAILYYQQRTTKGGLLIAEATGISDTAQGVAARNAIEAGFDGVEIHGAHGYLIDQFLKDQVNDRSDKYGGSLENRCRFALEVVQAVTDEIGADKVGIRLSPFASYSEAADSNPEALGLYMANALNKFGILYCHMVEPRMVKLGEKFETPHSLRPIRDAFKGTFIAAGGYNKEDGNKAVSTGYTDLVAYGRLFLSNPDLPERFEIDAPLNKYNRETFYISDPVIGYTDYPFLPSDGHTGQVIRIQTAQKELTKARRTSPCPSPYVFTKQKFVYPVFVL >ORUFI04G15070.4 pep chromosome:OR_W1943:4:18215760:18229180:-1 gene:ORUFI04G15070 transcript:ORUFI04G15070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATSDRGQISAVENHIPRLPDTLTRITKGADSSPLEVASYLKLLMGVFQKGEDQKGESANMKPIPLLSSYDMGKFNLSHRVVLAPLTRSRSYGNLPQSHAMEYYSQRATKGGLLIAEATGVSSDAQGMSVIPHTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRASDMEERPISSTDKPIEKTEENYFLGFSTPRSLTVEEIPDVIKHFTLAAKNALEAGSRRKRLPPGPVHEGRRQRPRRRVRRRHGGGVAGRCRFALEVVDAVAAEAGAGRTGVRLSPYSRCLDCADSDPDALAAHMARELGSRGVLYCNVVEPEMVATPAEGGSGGETMRIPHRLRAVREAFAGTLMVGGGYDREEGNWAVAGGYADLVVYGRLFLANPDLPRRFRLGAPLNGYDRATFYTADPVAGYTDYPFLDDDGDDGLAASAASASSNKSGDQDGLAGGRCGQWEQEWRITMPAQRGAAAEGLRLGGKATAGGRLARHGENHVLIPQGRVVLAPLTRQRSYGNVPQPHAILYYQQRTTKGGLLIAEATGISDTAQGYKDTPGIWTKEQVEAWKPIVDGVHAKGGIFFCQIWHVGRVSNNRFDGVEIHGAHGYLIDQFLKDQVNDRSDKYGGSLENRCRFALEVVQAVTDEIGADKVGIRLSPFASYSEAADSNPEALGLYMANALNKFGILYCHMVEPRMVKLGEKFETPHSLRPIRDAFKGTFIAAGGYNKEDGNKAVSTGYTDLVAYGRLFLSNPDLPERFEIDAPLNKYNRETFYISDPVIGYTDYPFLPSDGHTGQVIRIQTAQKELTKARRTSPCPSPYVFTKQKFVYPVFVL >ORUFI04G15080.1 pep chromosome:OR_W1943:4:18221442:18234473:1 gene:ORUFI04G15080 transcript:ORUFI04G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAESKNHSARDMSSVIADQPNPACSMREMEHLELENNLKRVEGNVKDGNQKSTMQPEPEISMGKSNQLQPTEQQKRSNPRHSFLAYDSTA >ORUFI04G15090.1 pep chromosome:OR_W1943:4:18229242:18239204:-1 gene:ORUFI04G15090 transcript:ORUFI04G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSNGVPPGFRFHPTDEELLLYYLKKKVGFEKFDLEVIREVDLNKIEPWDLQERCRIGSAPQNEWYFFSHKDRKYPTGSRTNRATTAGFWKATGRDKCIRTSYRKIGMRKTLVFYRGRAPHGQKTDWIMHEVFKKKCFFKIGGGGGGEGSGGSQGGDVGGGHLAVSPPLGGGHAMAAASHYMHPHHQYHHHHHAAAASPFYYTQMPPPPAAAPPHAAYSHHVQVQDLLTNHRPSADAGYDFSGLPAVDHHHHHPGLDVGSSDGGGGVAAGGGVAAGGADGDQAAAAAAGSTDQQQWQAMDGFSNGGAGAAAAVQQQLGAMSSGQRGGEMDLWGYGRLTFYIFGNASPSNLTQASYIIEAFQKCHVDHPVKKFFGECTDLKIKLDQCFRQEKALKRKANFEESKKFKEHTVGSPIADIDCKSCSRNKDNYVICPFKFQVNQDTRTSRGAGEREKMDPVPLFNPCEMGRFTFSHRIVLAPLTRARSYGNLPQSHAILYYSQRATKGGLLISEATGVSSDAPCTNTPGIWTKEQVEAWKPVVDAVHAKGGIFFCQIWHVGRASDLEQEPISSTDKPVEKNEDMDFPVPRRLAVEEIPDVINHFRIAARNAIDAGFDGVEVHGAHGFLLEQFMKDGVNDRADEYGGSLQNRCRFALEVIDAVSTEVGPDRVGFRISPYISYYGCHDSDPDALGVYMARELDRRGVLYCSAVEPEMVAATTVVDGETTTTTMSRRMMIPHRLHGMREAFRRGMFMVGGGYDRDAGNMAVASGYADMVVFGRLFLANPDLPRRFQLDAPLNKYDRATFYTHDPVVGYTDYPFLDDDREAMSDHTG >ORUFI04G15090.2 pep chromosome:OR_W1943:4:18233368:18239204:-1 gene:ORUFI04G15090 transcript:ORUFI04G15090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSNGVPPGFRFHPTDEELLLYYLKKKVGFEKFDLEVIREVDLNKIEPWDLQERCRIGSAPQNEWYFFSHKDRKYPTGSRTNRATTAGFWKATGRDKCIRTSYRKIGMRKTLVFYRGRAPHGQKTDWIMHEVFKKKCFFKIGGGGGGEGSGGSQGGDVGGGHLAVSPPLGGGHAMAAASHYMHPHHQYHHHHHAAAASPFYYTQMPPPPAAAPPHAAYSHHVQVQDLLTNHRPSADAGYDFSGLPAVDHHHHHPGLDVGSSDGGGGVAAGGGVAAGGADGDQAAAAAAGSTDQQQWQAMDGFSNGGAGAAAAVQQQLGAMSSGQRGGEMDLWGYGRLTFYIFGNASPSNLTQASYIIEAFQKCHVDHPVKKFFGECTDLKIKLDQCFRQEKALKRKANFEESKKFKEQLLAYKKEMAEQDKES >ORUFI04G15090.3 pep chromosome:OR_W1943:4:18229242:18231758:-1 gene:ORUFI04G15090 transcript:ORUFI04G15090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVPLFNPCEMGRFTFSHRIVLAPLTRARSYGNLPQSHAILYYSQRATKGGLLISEATGVSSDAPCTNTPGIWTKEQVEAWKPVVDAVHAKGGIFFCQIWHVGRASDLEQEPISSTDKPVEKNEDMDFPVPRRLAVEEIPDVINHFRIAARNAIDAGFDGVEVHGAHGFLLEQFMKDGVNDRADEYGGSLQNRCRFALEVIDAVSTEVGPDRVGFRISPYISYYGCHDSDPDALGVYMARELDRRGVLYCSAVEPEMVAATTVVDGETTTTTMSRRMMIPHRLHGMREAFRRGMFMVGGGYDRDAGNMAVASGYADMVVFGRLFLANPDLPRRFQLDAPLNKYDRATFYTHDPVVGYTDYPFLDDDREAMSDHTG >ORUFI04G15100.1 pep chromosome:OR_W1943:4:18258359:18258881:1 gene:ORUFI04G15100 transcript:ORUFI04G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMWWRWSTVPRRRARPRTEQVCLPEGAIDVLFSYALEGTLTRARARVHQANRHTTMSCSSLSSFTSASSTSLRGSHRWPFLKAHLHRSKWDEPTSPRYRALASRIKFLRGAEEEPFSLYVIAVGERENKERERGTTGRSKRRSVHERMYEARRAEAEKIGQQTFLPYSRG >ORUFI04G15110.1 pep chromosome:OR_W1943:4:18258905:18259135:1 gene:ORUFI04G15110 transcript:ORUFI04G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTASLGAQHDSTPLSPRRFGFRQRGEEGLQPGKERKVRVGGRAAAPELARGASASPAPRH >ORUFI04G15120.1 pep chromosome:OR_W1943:4:18274653:18274901:1 gene:ORUFI04G15120 transcript:ORUFI04G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGQEVAATAPSGGRRIRPPERRGSRASVRRSGGGRVRWPGRGSMSPDLVEEGSGGGCIRRSVWEALAAGSSFPEARSGV >ORUFI04G15130.1 pep chromosome:OR_W1943:4:18274944:18275231:1 gene:ORUFI04G15130 transcript:ORUFI04G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDLVEAGSGRGGGGGVRQSIGEALTAGFGVRWPKAGEAWEVEAAGSREAARRGRWRRLRKKREVEAGGREEVRERIEEEERVVGRRKREEKRK >ORUFI04G15140.1 pep chromosome:OR_W1943:4:18280676:18281203:1 gene:ORUFI04G15140 transcript:ORUFI04G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGMVEDAAAAAGEEGLTLSLSLQPSPPRFQALFSCCYCPRKFRSSQALGGHQNAHKLQRNLARRGREAAASLAAAAAAAAAAAASSGDQQQQGRTTAAAAAVLAGGESAPPAAARAAADLDGAGVWGGAGMRGRPAHHHRLMQGGYSSGGSSAAGGRGNGELADEMIDLSLKL >ORUFI04G15150.1 pep chromosome:OR_W1943:4:18285852:18291533:1 gene:ORUFI04G15150 transcript:ORUFI04G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRHLERSGSKRALDAGGGGGDDDDRAPKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPAATPARIQGRSSPKRIEGPSGINLQLQFRSRLSLPLFTGGKVEGEQGAAIHVVLLDANTGRVVTSGPESFAKLDVLVLEGDFNKEQDEDWTEEEFENHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVSSGFCEGVRVKEAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNSNGIYTVEHFLQLLVRDQQKLRTILGSNMSNKMWESLVEHAKTCVLSGKHYIYYSSDARSVGAIFNNIYEFTGLIADDQYISAENLSENQRLFADTLVKQAYDDWINVVEYDGKELLRFKQKKKSVTTRSDTAKASTSYPSSYGSTHSHKQLTGGPVNIEQSSMSSMSEDGTRNMSNGSQAARYAANPQDISQSITMPYDMSSLRPEEQFAGSSIQTQASRSSNMLALGPTQQQNFEFSALGQSMQPSPLNPFDDWSRLQENRGGVDDYLMEEIRVRSHEILENEEDMQQMLRILSMGGSSANMNHGDGFSPFMPSPAPAFNYEDDRARPSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >ORUFI04G15160.1 pep chromosome:OR_W1943:4:18294622:18295149:1 gene:ORUFI04G15160 transcript:ORUFI04G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLSPRNGDEEDEQEEIQELISDDEPPNLKLASCATAASSSSGSDMEKGRGKACGGGSTAPPPPPSSSGKSGGGGGSNIREAAASGGGGGVWGKYFSVESLLLLVCVTASLVILPLVLPPLPPPPSMLMLVPVAMLVLLLALAFMPTTTSSSSSAGGGGGGGRNGATTGHAPYL >ORUFI04G15170.1 pep chromosome:OR_W1943:4:18297707:18302349:-1 gene:ORUFI04G15170 transcript:ORUFI04G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEATTIEDTPTWIVAAVCSAIVLISFAFERSLHYLGKALERRRRTLYEALLKLKEELMLLGFISLLLVVFQEPIQRICIAESLMGHWLPCRSDGKASSHHGVAAASAAVVSGAGARRLLGEGTAGSGHCSSKGKVPLLSLHAIEQIHIFIFVLAITHMRRWRHWENAIKADGDFGPKMINRAQQFKFIQDRYKGFDKVTMVIIWMRSFFKQFYGSVTKDDYTAMRLGFVMEHFRGHPKFNFYDYMIKALEKDYKRVVGIKWYLWIFVMIFLLLNITGWHSYFWISLIPLVLLLLIGTKLEHIITQLAYEVATKHTAVEGDIAVSPSDNLFWFHSPRLVLALLRFILFQNAFEFAVVVQVLCSYSTLPLYAIVSHMGSSFKSAVFSDDVADNLREWADEARRRTGRAAAGVGCLGAAAGSSRREGIHIQNM >ORUFI04G15180.1 pep chromosome:OR_W1943:4:18304278:18308609:1 gene:ORUFI04G15180 transcript:ORUFI04G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMESSYLPATTESIAKAQEAKDASESISILYRVLQDPSSSAEALRTKELAITNLTNYLTKENRAEELRNLLTQLRPFFSLIPKAKTAKIVRGIIDAVAKIPGTSELQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTEALTLLTGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEAIFPATLETISNVGKVVDSLYMRSAKIMA >ORUFI04G15180.2 pep chromosome:OR_W1943:4:18304278:18308609:1 gene:ORUFI04G15180 transcript:ORUFI04G15180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMESSYLPATTESIAKAQEAKDASESISILYRVLQDPSSSAEALRTKELAITNLTNYLTKENRAEELRNLLTQLRPFFSLIPKAKTAKIVRGIIDAVAKIPGTSELQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTEALTLLTGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEAIFPATLETISNVGKVVDSLYMRSAKIMA >ORUFI04G15190.1 pep chromosome:OR_W1943:4:18312367:18313776:-1 gene:ORUFI04G15190 transcript:ORUFI04G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSTKLRIPTSQQQQLDAAIMDGAHRSPAARPPAPPRSKMKLLLLVIATNLVSVYLFSGASLSLRLPAGAAAPSIHLWDSSALLRDLGATRAALAAARAEVAALRAQCNASSLLLESVLAGLGAAHGDKPAAADRGFDGWPEEPTGELRLATEPHRLPLGFSAKLGTDELHPGVGFACRNFQDELARYMAYDAGGECPDDADALELQLILKGCEPLPRRRCRPRSPARYVEPAPLPGSLWSIPPDTTVNWSPYACNNYTCLVGRARARGGGGGSYECKDCFDLAAGGKERRRWMSDNGGPGFSIDGVLASRAPGTVRVGLDIGGGAGTFAARMRERGVTVVTTTLDVGAPFSAFVASRGLVPLQLSLAQRLPLADGVMDIVHAMQLGGWVPGAVLELALFDVYRVLRPGGVFWLDHFACVGPRLNDTYAPILDRVGFRRLRWKASRKLDLGAERNEWYLSALLEKPLT >ORUFI04G15200.1 pep chromosome:OR_W1943:4:18318240:18323106:1 gene:ORUFI04G15200 transcript:ORUFI04G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSMMAQERGEPLLQSGNGAAGGGAKGWPPPALARTVLKVLMWAVFLTWAAAIFFYPTKPAQAAFEGWMAATKQSLFGITGSIFLAFSAPILIVAALAYVYISAFPSDHIHVEKNKLKTLCFRLWTFPVLVDGPFGVVSAVEFIGIVLFIVYVVYSMTYYAVESVSLISKFGQISLTYSELLLYIIGLRFGSIGLFCMAFLFLPVSRGSVLLRLIDIPFEHATRYHVWLGHLTMALFTLHGLCYVIAWSLEGNLLGELAAWKEIGVANLPGVISLAAGLLMWVTSLHPVRKTYFELFFYTHQLYIIFVVFLAFHVGDFIFSFSAGPIFLFMLDRFLRFWQSRAKVDIISASCRPCGTVELVFSKPASLRYNALSFIFVQVRELSFLEWHPFSVSSSPMDGRYHMSILIKVLGSWTEKLRGIITDAQEQGRNGSESETGRITACVEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDIIHRIEEGKPCMPKNVLVLWSVKKSNELSLLSAVDAQFISSSVSDKLHLDIQAFVTQESQPPLEEGIVGDDQKATGMFVKNGTTMSGLVGTGNNFWAGMYFAASTLGFVLAYALAQAYYVRRLNVFAWWHLGLVFVLCMAAGVALPGGLVVLLWHLSEKRKAEDDRWDAAAAAVPRAQDGEEEAEQTTNGADAADGGVSLAAVKTTRYGCRPQFEAEFAAFAEKAGGGAADVGVLVCGPPGLQASVARECRSHNLGRRGGRRRRAGAVFHFNSHSFDL >ORUFI04G15210.1 pep chromosome:OR_W1943:4:18324056:18326924:1 gene:ORUFI04G15210 transcript:ORUFI04G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYGSVTIVHDARSPEDVFQDFCGRRSGIVKALTIEVEKFYKQCDPEKENLCLYGLPNGTWAVTLPADEVPPELPEPALGINFARDGMQEKDWLSLIAVHSDSWLLSVAFYFGARFGFDKKARERLFMMTSSLPTVFEVVSGGVNTQSKTANGSSKNKSGSKPPKRPNSDSKPQKQVQANYEEENGGRGNGGDEDQAETICGACGEAYANGEFWICCDICETWFHGKCVRITPAKAEHIKHYKCPGCSNKRTRE >ORUFI04G15220.1 pep chromosome:OR_W1943:4:18327153:18332416:-1 gene:ORUFI04G15220 transcript:ORUFI04G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYELNEIDDTLHGSVGSRLSLFARELKSRRSSSWHGGTALRLPKDLYESLVIHPNGRWYRIWANMMFLWSIYSTFFTPFEFSFFRGLPDQLLDLECVQLVFLADVAVHFFLAYRDPHTYRMVHDKRHIALRYIKGSFALDVLGCFPWDAIYKVTGRVEAVRWLVWVRLYRGRKVMAFFKRVEKDIRVSYLLTRIVKLITVELYCTHTAACGFYYLATTLPPAREGGTWIGSLSLGDARYINFREVDLLTRYVTSLYLAIVTMATVGGSGYGDIHAVNTREMAFTVVYISFSIVLSAYLIGNMTALIVKGSRTERFRDRMTDLIRYMNRNRLGSAIRSQVKDHLMLQYESSYTRDRVIVDDIPVAVRSKMSQTLYLDMVSRVGLFRGCSDDFLSQIVLKLHEEFFLPGEVILEQGTVVDQIYIVAHGCLEEVANGEDGSEEIISELRPYGIVGDVAVICNIPQPYTVRVCELCSLLRIDKQSLTSILQIYFKDNSQILSNLLKGKETESKRKQLESDITYLLAKQESELVLGVNNAAYHGDIFRLKSLISAGADPSKSDYDGRTALHIAALRGYENIVRFLIQRGANVNSIDRFGNSPLLQAVKSGHDRITSLLVEHGAILNLEDAGGYLCRVVRGGRIDLLKKLLRFGISPNCRNYDQRTPLHIAAAEGLHLVASTLIESGADIQAKDRWGNTPLDEGRRCSSKPLVRILEQARTVATN >ORUFI04G15230.1 pep chromosome:OR_W1943:4:18336132:18336779:1 gene:ORUFI04G15230 transcript:ORUFI04G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMRTAAAAAMLACIAVVLASTAADGALLPWFGGGGARDEAVPELGLLAAADPFRILEHVPFGFDRDDVAMLSMARVDWRETGDAHEVVVDVPGMRKEDLRVEVEDNRVLRISGERRREETTEQKGGGDHWHREERSYGRFWRQLRLPDNADLDSIAASLDNGVLTVRFRKLAPDQIKGPRVVGIASAGGDDGGKKSIGGAGEGQNQQAKKVEL >ORUFI04G15240.1 pep chromosome:OR_W1943:4:18338345:18338893:-1 gene:ORUFI04G15240 transcript:ORUFI04G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTTMVGVATSAHLHTTTTGRLSCACAPPTRGTRRRRLAVAVRVRVRVRASASEAMATEKLGVRVERNPPESRLSELGVREWPKWGCEKSKFPWTYSAKETCYLLQGKVKVFPDGAGEDFVEIAAGDLVVFPKGMSCTWDVAEAVDKHYKFE >ORUFI04G15250.1 pep chromosome:OR_W1943:4:18340271:18340838:1 gene:ORUFI04G15250 transcript:ORUFI04G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLVFSLLLTVACSHAALAAAASSSAAAPGSAGADARGLAVIATNLTLANYTAAYATIKALQRRGGWSERERAALATCRQLYIEALNVVHSAIHALNTGQTQAYVADMGVVRRAATGCEDAFGFGGGGGGGGVGNQLATESPLHKVDDDAINLTTVATLIVLIL >ORUFI04G15260.1 pep chromosome:OR_W1943:4:18341780:18344574:1 gene:ORUFI04G15260 transcript:ORUFI04G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGMRVNVASLLALGDDTVELLGERKDGEALAQACAGARMLRSACRSESDDLEVQMKVRDELDNLDSQRDSIEQRKEALRKMEKEMMKAQNMLSMCVSVTKIMPNFEDKDKISDIVDKNMKKLERFEFDKTTPPVDICNNLWKMV >ORUFI04G15270.1 pep chromosome:OR_W1943:4:18347875:18349128:1 gene:ORUFI04G15270 transcript:ORUFI04G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDAAASASPSPSPSPVASALPVADPVTVAAGPPSGLLALALPIQKQQHAASPNPGGGGGGREDAWSEGATAALIDAWGERFVALGRGSLRHPQWQEVADAVSSREGYAKAPKSDVQCKNRIDTLKKKYKIERAKPASSWQFFGRLDDLLAPTFNQKPGGNGGGGVGASVNGRNPVPAALRVGFPQRSRTPLMPAPVSAVKRRAPSPEPSASSESSDGFPPERQPAFPPLPLPPPPNGKRSRADEGRGGGAGGGGDRAQGLRELAQAIRRFGEAYERVETAKLEQSAEMERRRLDFASELESQRVQFFLNTQMELSQVKDHSSSPANAAAPPGATGGAGGTSRRMASVNDASASGNYHRRYRVSDGGRHRHHPQPPPSRPHYQYHENNIAVAAAAAASDGEQSSDEEDDEEEESQ >ORUFI04G15280.1 pep chromosome:OR_W1943:4:18351858:18355580:-1 gene:ORUFI04G15280 transcript:ORUFI04G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLTVFSDGVQNLIEKGYKKISPFFIPYAITNMGSALLAMDAGFMGPNYSISTACATSNYCFYAAANHIRRGEADVIVAGGTEAAIIPIGLGGFVACRALSQRNDDPETASRPWDKERDGFVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCITQSLEDAGVAPEEVNYINAHATSTLAGDLAEVRAIKQVFKNPSDIKINSTKSMIGHCLGAAGGLEAIAVVKAITTGWVHPTINQFNPEPEVDFDTVANEKQQHEVNVAISNSFGFGGHNSVVVFAPFKP >ORUFI04G15290.1 pep chromosome:OR_W1943:4:18357976:18361973:1 gene:ORUFI04G15290 transcript:ORUFI04G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWAGPDEIFLSTSLAGFLDKKLIVLLRDGRKLLGTLCSFDQFANVVLQGACERVIVGELYCDVPLGLYVIRGENVVLIGELDREKDELPAHMTCVSEAEIRKAEKAEREARDLKGSMRKRMEFLDFD >ORUFI04G15300.1 pep chromosome:OR_W1943:4:18364754:18365239:-1 gene:ORUFI04G15300 transcript:ORUFI04G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKHNQPANHKGDLPATSSPAPSPQLARRRLIMGEAQAPAAASFSLAQRRGGGLRLPRRVGRRKVHVVRLGGGCSSARTGGGGRGLCLRRLRLRWLRRAVWRLAELCVAALSGPLGAGAAAAATDATSPWGGGAAVAVDPYAFAAPFVPAVLLKRAGKGY >ORUFI04G15310.1 pep chromosome:OR_W1943:4:18370221:18373640:1 gene:ORUFI04G15310 transcript:ORUFI04G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSMPVVSKIFCSSTLTTLMIRRRPTVVNGGGFVVTDLGNNVVFIVDGCGILGSKGELLVKDGDGEPILFISRKGGIIQALSTWNKWNGYSMDYQGKKKLIFSLSDPKSCIAKGAPIRIHIEPKRHCKNWDFEISGSFADRNCTITDCTGTIVGQMGKTEQIGTNDFYHVVVQSGCDKAFIIGAMAVLDNIHGESTRC >ORUFI04G15320.1 pep chromosome:OR_W1943:4:18375060:18376811:-1 gene:ORUFI04G15320 transcript:ORUFI04G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAAAAAAAASSSSASSPPNPPPPSLSLMPSRLHALLCIYLPHPRPLARLLHYSAAGPPSQQQQLPPSSSPPSPSHASAELWIAKALASAALLRPRHLPGFRRIDPSPLAAAAALRLAPCASSALAVFTALHCSPLSITPSAHSCQQIIVVLCRSGRQADALQLFDQMTTHYGYSPDARFLSFLVSSCTCANLLDASATLLSKASEFGCRVEAYAYNKLMSSLIGRGRVHDVVALFERWIQDRVYSPDVWSFNVVIKGVCRVGQVQKALELVERMNEFGCSPDTVTHNILVDGLCRTNEVSRGHEVLRRLQRDGVCMPNVVTFTSVISGYCKAGKLEDAMAVYNDMVASGIMPNTVTYNVLINGYGKVGDLGSAVEVYQQMTRLRCPPDVVTFSSLIDGYCRCGQLDDALRIWSDMAQHRIQPNVYTFSIIIHSLCKQNRSDEAIGLLNELNLRPDIAPQAFIYNPVIDVLCKCGKVDEANLIRKGMEEKGCRPDKYTYTILIIGYCMKSRISEAIMFFHEMVEAGCSPDSITVNCFISCLLKAGMPNEVDHVMRLASGGASSIQEVPSPVRQRLDISVAL >ORUFI04G15330.1 pep chromosome:OR_W1943:4:18378322:18381074:1 gene:ORUFI04G15330 transcript:ORUFI04G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRGGGGGGVGPDGGVGDGPTTLDELYKINVVPAELHFKFRKELQGLRVGLNFEFYNLEVNDFEAKVVLKPLDYERKWKFHYKPISGDIQLLSKKIPVTKFLNLQVGIGHNFHLNATGWKWKLSTCLGGDGVSQIRNKSTLSMFPGFDLRVGWRAEYVLPEIHGAVGTGEPAFSMNYGRLQASIDRVEAIVTQSDRY >ORUFI04G15340.1 pep chromosome:OR_W1943:4:18381685:18384230:-1 gene:ORUFI04G15340 transcript:ORUFI04G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAAATPAKASPKKANLLDPHSIKHLLDETISDVVKSKGYAEDTRLGNWKLAIGAAVIAIALLAQFYPKKFPQNRDYTKEKDAIMFTHPPAGSFNSTGLVISSKLPRFSDMYTITIASADPQSISANKPVHFTKSVTKWFTKEGVLVEGLFWKDVERLIDDYNTERKGK >ORUFI04G15350.1 pep chromosome:OR_W1943:4:18391743:18396089:1 gene:ORUFI04G15350 transcript:ORUFI04G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFWGAEVKPGKPYTHTHIPRLGRLRLTQATLGGEAGKVEKGGGGKKNVVQLQCTVKNKDPVFLCALVPGQSETCHLELEFEEKHVTFSVLGPRSVHLAGYYIGDVYGEEIDDSDTGSDSLQGSDDDAFLDTDDDDSGADDGTVLIPLSPGNSVGTDIGATRYLDGEDDDSEYDSEDDDSEDDDSEMIYNQRRGKSSVVIEEIQEDDKPAAGGAQKGSNKKQTSENGDDSKLQLVVRTPPAESLESEDEDGFPVSFSESKKRTDGSSKKKGNLNNKMSTEDRKRKSSAVGDHHDPSGEVKDENDGVSKKNKKAKAKKTAVGGVEKEIKQEDSPADLVDAKQKKNKNKNTSEAEAGAHQNTDKTKHIHTDAEEDTAQEASKKKKNKKKKTQEKNESENQTPKTQEKNAKGNQTSNTDLTGSESKKQPLQTRTFGNGMIIEEIEMGKPDGKKASPGKKVSVKYIGKLKNGTIFDSTVGRRAFDFRLGIGEVIKGWDIGINGMRVGDKRRLTIPPSMGYGNKRMGPIPQNSTLVFDVELVNVK >ORUFI04G15350.2 pep chromosome:OR_W1943:4:18391743:18396089:1 gene:ORUFI04G15350 transcript:ORUFI04G15350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFWGAEVKPGKPYTHTHIPRLGRLRLTQATLGGEAGKVEKGGGGKKNVVQLQCTVKNKDPVFLCALVPGQSETCHLELEFEEKHVTFSVLGPRSVHLAGYYIGDVYGEEIDDSDTGSDSLQGSDDDAFLDTDDDDSGADDGTVLIPLSPGNSDDDSEYDSEDDDSEDDDSEMIYNQRRGKSSVVIEEIQEDDKPAAGGAQKGSNKKQTSENGDDSKLQLVVRTPPAESLESEDEDGFPVSFSESKKRTDGSSKKKGNLNNKMSTEDRKRKSSAVGDHHDPSGEVKDENDGVSKKNKKAKAKKTAVGGVEKEIKQEDSPADLVDAKQKKNKNKNTSEAEAGAHQNTDKTKHIHTDAEEDTAQEASKKKKNKKKKTQEKNESENQTPKTQEKNAKGNQTSNTDLTGSESKKQPLQTRTFGNGMIIEEIEMGKPDGKKASPGKKVSVKYIGKLKNGTIFDSTVGRRAFDFRLGIGEVIKGWDIGINGMRVGDKRRLTIPPSMGYGNKRMGPIPQNSTLVFDVELVNVK >ORUFI04G15360.1 pep chromosome:OR_W1943:4:18398429:18399999:1 gene:ORUFI04G15360 transcript:ORUFI04G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMRTSQLNKVSAGTIDRIVTFIGDRGSNLNNLGEMLKQWEGTCNRYGTFLLHIGQVQFSERNGPVPVVIDRTTTVSRGVGCTLLHLGMALGSGGEVVRRVGVACTAASMLNDTPGLLALLDARSQEQPLKILLKSVEDAKDFISNWKINKDVVEVDVCPALTLDEVGAIRRLFQVEKADKFLTGDVVKVKLGQYEAIQAARNLPELPVSAVCAILAAAEKGKNKGAADVGAQGQQKPSAYLSVMLLFSTWYSKVSIGINSK >ORUFI04G15370.1 pep chromosome:OR_W1943:4:18401063:18405501:-1 gene:ORUFI04G15370 transcript:ORUFI04G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPNAAPTVSSPRGPTRLAGMADEGTKEEGVGFTGGSSLEFIGGKENSLPASRVSRMLSSELPQELHFINFVLKMGKYLMCKRTTPKPTHGKEISEKGKSNLQFEDLPADLICTILSKLPQKEAIRTSVLSSKWRSMWTLRSKISLDGGAVCGSRRRGQNKYCQRFINNVEKVLQNYQGKMVEAFGIKFEFDSILVDNLNKWVSFAVSARTKHLSFDLVPIRFARCDDRFIFPFELLDSGSICRLQHLQFSFISLQPPSWFGGFPNLRKLELNLVHVTRKELENMLCNCCCLEWLSMVRCHLKDDLRVDCPMSHLAYLLISCCVITKIELHATKLSTFIYEGEFVPIVLNHTSKLVNAHIFIFDAIFHHVVASLFHGLPNVHKLTLCIPDLQLENLWSLDNSLKFSCLKHIQLFAHILSHGSDKILYLAYVMRAAPFVEKVEVHFASGHSLWFAQEGPLRHELGHDEYKYLKNLCVTGFKGARGQLEFLLHVVENATALDVITVDTTERMLESDIKNDYLCSIARQTIELHIREALPPKAKLFVL >ORUFI04G15370.2 pep chromosome:OR_W1943:4:18401063:18405030:-1 gene:ORUFI04G15370 transcript:ORUFI04G15370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSELPQELHFINFVLKMGKYLMCKRTTPKPTHGKEISEKGKSNLQFEDLPADLICTILSKLPQKEAIRTSVLSSKWRSMWTLRSKISLDGGAVCGSRRRGQNKYCQRFINNVEKVLQNYQGKMVEAFGIKFEFDSILVDNLNKWVSFAVSARTKHLSFDLVPIRFARCDDRFIFPFELLDSGSICRLQHLQFSFISLQPPSWFGGFPNLRKLELNLVHVTRKELENMLCNCCCLEWLSMVRCHLKDDLRVDCPMSHLAYLLISCCVITKIELHATKLSTFIYEGEFVPIVLNHTSKLVNAHIFIFDAIFHHVVASLFHGLPNVHKLTLCIPDLQLENLWSLDNSLKFSCLKHIQLFAHILSHGSDKILYLAYVMRAAPFVEKVEVHFASGHSLWFAQEGPLRHELGHDEYKYLKNLCVTGFKGARGQLEFLLHVVENATALDVITVDTTERMLESDIKNDYLCSIARQTIELHIREALPPKAKLFVL >ORUFI04G15370.3 pep chromosome:OR_W1943:4:18401061:18405030:-1 gene:ORUFI04G15370 transcript:ORUFI04G15370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSELPQDLICTILSKLPQKEAIRTSVLSSKWRSMWTLRSKISLDGGAVCGSRRRGQNKYCQRFINNVEKVLQNYQGKMVEAFGIKFEFDSILVDNLNKWVSFAVSARTKHLSFDLVPIRFARCDDRFIFPFELLDSGSICRLQHLQFSFISLQPPSWFGGFPNLRKLELNLVHVTRKELENMLCNCCCLEWLSMVRCHLKDDLRVDCPMSHLAYLLISCCVITKIELHATKLSTFIYEGEFVPIVLNHTSKLVNAHIFIFDAIFHHVVASLFHGLPNVHKLTLCIPDLQLENLWSLDNSLKFSCLKHIQLFAHILSHGSDKILYLAYVMRAAPFVEKVEVHFASGHSLWFAQEGPLRHELGHDEYKYLKNLCVTGFKGARGQLEFLLHVVENATALDVITVDTTERMLESDIKNDYLCSIARQTIELHIREALPPKAKLFVL >ORUFI04G15370.4 pep chromosome:OR_W1943:4:18401061:18403635:-1 gene:ORUFI04G15370 transcript:ORUFI04G15370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYLMCKRTTPKPTHGKEISEKGKSNLQFEDLPADLICTILSKLPQKEAIRTSVLSSKWRSMWTLRSKISLDGGAVCGSRRRGQNKYCQRFINNVEKVLQNYQGKMVEAFGIKFEFDSILVDNLNKWVSFAVSARTKHLSFDLVPIRFARCDDRFIFPFELLDSGSICRLQHLQFSFISLQPPSWFGGFPNLRKLELNLVHVTRKELENMLCNCCCLEWLSMVRCHLKDDLRVDCPMSHLAYLLISCCVITKIELHATKLSTFIYEGEFVPIVLNHTSKLVNAHIFIFDAIFHHVVASLFHGLPNVHKLTLCIPDLQLENLWSLDNSLKFSCLKHIQLFAHILSHGSDKILYLAYVMRAAPFVEKVEVHFASGHSLWFAQEGPLRHELGHDEYKYLKNLCVTGFKGARGQLEFLLHVVENATALDVITVDTTERMLESDIKNDYLCSIARQTIELHIREALPPKAKLFVL >ORUFI04G15380.1 pep chromosome:OR_W1943:4:18407426:18409234:1 gene:ORUFI04G15380 transcript:ORUFI04G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPSPPTLCTLLPSSSSSSSSGHPHHPRQPTAAGGWLPPRLRSSGAKPPPTPRLESPTTRQQQQAPRRLLGSDRRLSALVHRGDLDAALRLFESSPRPPDAALANRLVRDLCRRGRPDDAERVVGACGPAATVVAYGALTDGYCRAGRLGDARRVVGGMPVQPNAYTYNPLIHTLCERGQVRDALSVLDDMLCRGCAPDVVTYNILLEATCKGRGYRQAMELIDLMRAEGCTPNNVTYNVLMDGMCGEGDVDDALELLRNLPSHGCKPSTVNYNTVLKGLCSAERWGDADELVTEMLRENCPPNEATFNVVIYSLCRKGLLQQAIQLLEKMSKHGCTANIVTYNAIINGLCEQRNVDGAMGLLSKMKSYGCKPDIVTYNTLLKGLCSAARWVDAEELMDNMTQNGCLPDNVTFNTLIGFLCQKGLMVDAIEVFKQMPDKGCTPNSITYSTIISGLAKATKLDQALELFNEMGHKGFNPDKIYQLLAECLNDDDTIEEAIQTVRKLQDSGISPHTVLYNAILLGLCRNGKTEFAIDIMAYMVSSGCMPDDLTYVILIEGLAYEGYLNEARELLIKLCSRDVLVNSLIKSEALLLDQNIHAS >ORUFI04G15390.1 pep chromosome:OR_W1943:4:18421020:18422353:1 gene:ORUFI04G15390 transcript:ORUFI04G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALDKFIAVVKETKPRWDLRAATRCLEAVLARLLPPRQRRSSHSRRRPPNHGIDNDDDRDIGTDRALSRLFVKGISLATLMRPELPGGVYFPGCPGAVPFPKTQVHSLHVIVQLGCSLVMVITATATGFNCPQRLSSEHTLCLVPEIREKFGES >ORUFI04G15400.1 pep chromosome:OR_W1943:4:18424297:18424500:1 gene:ORUFI04G15400 transcript:ORUFI04G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEIPNFFRDVTYRCRVIRTFGKRKPGQDRHLRSKKVNLICSPEMIPEMMEYLKIVLPQGIEVPD >ORUFI04G15410.1 pep chromosome:OR_W1943:4:18440561:18445303:1 gene:ORUFI04G15410 transcript:ORUFI04G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLELLGRRMSSCSWGGGSAAAMRGGAGMCFASMEAAGSRGMGKGASRRRTARSTAPVGALVERVVVAPAPVEQQRGAGRPEAHPQSVAARAVVTVRRRRKEDAKDRFAEQLDALADRVGRSVLLELVSTETDPRKGTPKKSKPSALVGWFDKKDVKAERVVYTAEFAVDAGFGEPGAVTVLNRHQREFYIESIVVEGFPTGPAHFTCNSWVQPTRVSRDRRVFFSNRPYLPSETPPGLRELRLRELADLRGDGTGERRITDRVYDYDVYNDLGNPDKGVASARPVLGGEQMPYPRRMRTGHASAESRVEYPEPIYVSRDEEFEEGKNEMLSEGAIKALLHNFMPLLVSSVSPDIRDFAGFHDVDNLFKEGLRLKQALHDQLFQKIPFVRKIQENSEGLLRYDTPDIIKSKPRTATLSVIGCSSMPPPWRRYEDKFAWLRDDEFARQALAGINPVNIERLQAFPPVSKLDPAVYGPPESAITEEHIIGHLDGMSVQEAVEGSRLYMLDYHDIFLPFLDRINAQDGRKAYGTRAVFFLTAAGTLKPIAIELCLPPMTDGCKRAKRVFTPPADATSNWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIIAAHRQMSAMHPIFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGNVCMEMSAFAYRELWRLDQEGLPADLIRRGMAVEDPSQPHGLRLLIEDYPYAADGLLLWSAISRWCEAYVAAYYPSDEAVQADYELQSWYAEAVQSGHADKRGAPWWPRLSTPGDLASLLTTLVWLCSAQHAALNFGQYPLGGYIPNRPPLMRRLVPAEGDPEYAHLVADPHRFFLSALPSLTQTTTFMTVIDTLSTHSADEEYLGERPDEAWTADPAALAAAREFAADVRRAEEEIERRNADPSRRNRCGAGVLPYELMAPSSGPGITCRGVPNSVTI >ORUFI04G15410.2 pep chromosome:OR_W1943:4:18440561:18445303:1 gene:ORUFI04G15410 transcript:ORUFI04G15410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLELLGRRMSSCSWGGGSAAAMRGGAGMCFASMEAAGSRGMGKGASRRRTARSTAPVGALVERVVVAPAPVEQQRGAGRPEAHPQSVAARAVVTVRRRRKEDAKDRFAEQLDALADRVGRSVLLELVSTETDPRKGTPKKSKPSALVGWFDKKDVKAERVVYTAEFAVDAGFGEPGAVTVLNRHQREFYIESIVVEGFPTGPAHFTCNSWVQPTRVSRDRRVFFSNRPYLPSETPPGLRELRLRELADLRGDGTGERRITDRVYDYDVYNDLGNPDKGVASARPVLGGEQMPYPRRMRTGHASAESRVEYPEPIYVSRDEEFEEGKNEMLSEGAIKALLHNFMPLLVSSVSPDIRDFAGFHDVDNLFKEGLRLKQALHDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQAFPPVSKLDPAVYGPPESAITEEHIIGHLDGMSVQEAVEGSRLYMLDYHDIFLPFLDRINAQDGRKAYGTRAVFFLTAAGTLKPIAIELCLPPMTDGCKRAKRVFTPPADATSNWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIIAAHRQMSAMHPIFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGNVCMEMSAFAYRELWRLDQEGLPADLIRRGMAVEDPSQPHGLRLLIEDYPYAADGLLLWSAISRWCEAYVAAYYPSDEAVQADYELQSWYAEAVQSGHADKRGAPWWPRLSTPGDLASLLTTLVWLCSAQHAALNFGQYPLGGYIPNRPPLMRRLVPAEGDPEYAHLVADPHRFFLSALPSLTQTTTFMTVIDTLSTHSADEEYLGERPDEAWTADPAALAAAREFAADVRRAEEEIERRNADPSRRNRCGAGVLPYELMAPSSGPGITCRGVPNSVTI >ORUFI04G15420.1 pep chromosome:OR_W1943:4:18447946:18448404:-1 gene:ORUFI04G15420 transcript:ORUFI04G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLRYYDAAFDAVDAAGLLETRPARAKVEEMFAREIRNAVAFEGAERFERHESFAGRRRRMEDGGGLQWGSKAEEKCLLPSSSLARRPRRQPSPPVSLRPDGSLPPAVAAAPLVLPLPRASAAEPPRCAPMPPTAAPLVLPPPLPTEKERI >ORUFI04G15430.1 pep chromosome:OR_W1943:4:18450117:18452009:-1 gene:ORUFI04G15430 transcript:ORUFI04G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTAQTGESMHSSTFASRYVRTALPRFRMPEKSIPKDAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLMMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAVGVGTVGSSEAIMLAGLAFKRKWQNRMKAEGKPHDKPNIVTGANVQVCWEKFARYFEVELKEVKLTQGYYVMNPEKAVEMVDENTICVAAILGSTLNGEFEDVKMLNDLLTAKNAETGWNTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRNKEDLPDELIFHINYLGADQPTFTLNFSKGSNQIIAQYYQLIRLGFEGYKDIMQNCRDNATVLREGIEKTGHFDVVSKDSGVPLVAFSLKDSSRYTVFEVAESLRRFGWIVPAYTMPADAEHVAVMRVVIREDFSRGLAERLITDLTKTVADMDAHAVKKAAAEPAKKTVREIEKEVTTYWRSFVARKKSSLVC >ORUFI04G15440.1 pep chromosome:OR_W1943:4:18452353:18463169:1 gene:ORUFI04G15440 transcript:ORUFI04G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSSGRCSRTPGFPAGPLGGGGRAVPAVGLGTASLRSVGEESFRGALLAALEVGYRHIDTASVYGSERVVGEAVAGAARRGVIACREEVFVTTKVWCTQCHPDLVLPSLRESLQNLQMEYVDMYLVHWPMSVKPTKPHYPMKREDIMPMDLRGVWQAMEECHQLGLAKMIGVSNFTTKKLQELLAFAKIPPAVNQVELNPVWQQKKLMEFCKAKGIHVTAYFPLGGRHSTSTVNPVLDSDVLKEIAAAKGKSVAQISLRWIYEQGASMVTTSTKRERLKENIDIFDWQLSDEDRLKISQIPQHKKVSVLSILCPDGGRAMAVVVPEAVLRHGDARPMPAVGMGVAEYPSTPERTRDAVLAALEAGFRHFDTASLYRTEAPLGEAIAEATRRGLLASREEAFVTTKLWCTQCHPDLVLPSLRESLRNLQMEYVDLYLIHLPISVKPGPMVFPVKKEDVVPFDFGGVWRAMEECLEECHRLGLAKAIGVSNFTTKHIDKLLAVATILPAVNQVEMNPTWQQRTVREYCDAKGIRVTAYSPLGGQNWGGSANYVMESSVSLRWIHEQGVTPIPKSYNKERLKQNLEIFDWELTKEDRLKISQIPQKKIVTAARMFSPDGEFASVDLSDMEIVEE >ORUFI04G15440.2 pep chromosome:OR_W1943:4:18452353:18459655:1 gene:ORUFI04G15440 transcript:ORUFI04G15440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSSGRCSRTPGFPAGPLGGGGRAVPAVGLGTASLRSVGEESFRGALLAALEVGYRHIDTASVYGSERVVGEAVAGAARRGVIACREEVFVTTKVWCTQCHPDLVLPSLRESLQNLQMEYVDMYLVHWPMSVKPTKPHYPMKREDIMPMDLRGVWQAMEECHQLGLAKMIGVSNFTTKKLQELLAFAKIPPAVNQVELNPVWQQKKLMEFCKAKGIHVTAYFPLGGRHSTSTVNPVLDSDVLKEIAAAKGKSVAQISLRWIYEQGASMVTTSTKRERLKENIDIFDWQLSDEDRLKISQIPQHKKVSVLSILCPDGGRAMAVVVPEAVLRHGDARPMPAVGMGVAEYPSTPERTRDAVLAALEAGFRHFDTASLYRTEAPLGEAIAEATRRGLLASREEAFVTTKLWCTQCHPDLVLPSLRESLRNLQMEYVDLYLIHLPISVKPGPMVFPVKKEDVVPFDFGGVWRAMEECLEECHRLGLAKAIGVSNFTTKHIDKLLAVATILPAVNQVEMNPTWQQRTVREYCDAKGIRVTAYSPLGGQNWGGSANYVMESSVLTEIARARGKSIAQVSLRWIYEQGVTPIAKSYRKERLKENLEIFDWELTDEDRLKISQIPQRKRVTAASLFSPDGEFTSVDLPDIEIVEE >ORUFI04G15440.3 pep chromosome:OR_W1943:4:18459476:18463169:1 gene:ORUFI04G15440 transcript:ORUFI04G15440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAAAEQLGRHRAHARAFLDRSVGRELARPAMAAVPEVALRHGAGRPMPAVGVGTADSAATSPETKRGAALAALEVGFRHFDTAALYGTEAPLGEAIAESTRRGLVASREEVFVTTKLWCTQCHPGLVLPSLRESLRNLQMEYVDLYLVHWPISVKPGPPMLPVKREDAVPFDFEGVWRAMEECHRLGLAKAIGVSNFTTKHLDKLLAVATIPPAVNQVEMNPVWQQRTVREYCAAKGIRVAAYSPLGGQNWIGEGNDVMESPVLADIARARGKSIAQVSLRWIHEQGVTPIPKSYNKERLKQNLEIFDWELTKEDRLKISQIPQKKIVTAARMFSPDGEFASVDLSDMEIVEE >ORUFI04G15450.1 pep chromosome:OR_W1943:4:18466413:18468315:1 gene:ORUFI04G15450 transcript:ORUFI04G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHVEAVEEGSEAAAAVFASRYVQDPVPRYELGERSISKDAAYQIVHDELLLDSSPRLNLASFVTTWMEPECDRLILEAINKNYADMDEYPVTTELQASNQTNQTIYPRLLHILSLHCVVVDNRCVNIIARLFNAPVGDGEKAVGVGTVGSSEAIMLAGLAFKRRWQNRRKAAGKPHDKPNIVTGANVQVCWEKFARYFEVELKEVKLTEGCYVMDPVKAVDMVDENTICVAAILGSTLTGEFEDVRRLNDLLAAKNKRTGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWGYKSVMKNCMESARTLREGLEKTGRFTIISKEEGVPLVAFTFKDGAGAQAFRLSSGLRRYGWIVPAYTMPAALEHMTVLRVVVREDFGRPLAERFLSHVRMALDEMDLAARAPVPRVQLTIELGPARTAGEEASIRVVKSEAVPVRKSVPLVAGKTKGVC >ORUFI04G15460.1 pep chromosome:OR_W1943:4:18468807:18469493:-1 gene:ORUFI04G15460 transcript:ORUFI04G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSGRSKRPERKSGGGGGGGRDHAAVMAKEKAAGAGARQRNGRCRALCCGASRLSVSSSASCSSVEYAVEQRLPPPQSRGLSNLAHGMVQARLQSMIDAAAGRSSAASRPRHGTTETAAERQVQRGGPCRCACNYCGGHYDDGGGGASCGQRRPCVVLVAVDRRTSDPREEFRRSIAEVITAKRMAEPAELRALLNCYVSVNAREHRAAILEAFHEVCSGLFSRKR >ORUFI04G15470.1 pep chromosome:OR_W1943:4:18471449:18476329:1 gene:ORUFI04G15470 transcript:ORUFI04G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTASPAAAREAMIEPASTPLLRRRGSYTRSMSHARDELGSFRSCLRWMCVEHSDGSSAVASWLVFTLLAVAVPAAARAALPRRAYDGQVQASLTLSAALAYLTLSRLVRRRGLRRLLYLDRLRHDSQDVRAGYTVELAGSFRLLACFVLPCFLADAAYKVYWYCANRPFPLWWSAAACALEMASWMYRTAMFFMACVLFRIICFLQILRMTGFARDFGQCADVADVLRQHRRIREQLRRISHRYRKFIVSCLLLVTASQFSALLAATRPHAQVNIATSGELALCSLSLVTGLLICLHSAAKITHKTQAITSVAAQWHADATINSQERDHENPRTPIKASSYLHAAGPVVPQPAPNASSSGDESEDETSPSDDGLDGTKIVSFHATHISFQKRQALVTYLENNRAGITVFGFVVDRTWLHALFMIEFSLVMWLLGKTIGIS >ORUFI04G15480.1 pep chromosome:OR_W1943:4:18476892:18483051:1 gene:ORUFI04G15480 transcript:ORUFI04G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGEPSLKPEWLGRGHGAVAATSLWTGTSSPRADDQGRSISSRNQSSGRDRERSSQQSISRRSSGSIGPRRHDRDGTAKSRGYASFGRSNRDRGGEKDSESRNWESRLGPPDDPLYDGFKPFSSCRPERDRLNHTRLKVDTLNQAVGESLDNGVRSVSRKVSGGVSFEREFPHLGSDDKNGKQDVGRVPSPGISTPIQSMPLGTALDGRSSVLAEVPVLSGPTNCPVPSSLLRTGSSKQMEVPNCGTALSMAETVMQAPLKISTTPQLSIDTHKIEERTMKQCILRPRTPSSNKISVSSSSDKLKSKGARAGDSNGPVKGATQLPLQLSGSFIRAPVKHELVKPTQSGSFQVLSREQNGTVNTAKESTSNPASPVLGRSYSVEPLRKPIVNQKLKGVANGLPLQLQGSFGERKSSAKDKHKFFELLRSKSLNGSCTSTVSSSTLLDEQNNSCLELFDSGVKCMEHGSSSCEEANSCEGSQQHLSDNEEINPPWEPQDVFDEGMQEVLSDNRDFNSSSEIADTQDVYMKPHTNNAGSSPSIIPAEIYDGSMGSNCSDDETVMLFEPIGTGEEESYPAQDRPSPEEMAFLVSLGWKEDEIVPPLKQEEIADCLRHNVRLQQKLEECRG >ORUFI04G15490.1 pep chromosome:OR_W1943:4:18484639:18485973:1 gene:ORUFI04G15490 transcript:ORUFI04G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAACWATGGVAAAATAATTTTTSRLKGLRVHLTHVDAHGNYSRHQLLRRAARRSHHRMSRLVARATGVPMTSSKAAGGGDLQVPVHAGNGEFLMDVSIGTPALAYSAIVDTGSDLVWTQCKPCVDCFKQSTPVFDPSSSSTYATVPCSSASCSDLPTSKCTSASKCGYTYTYGDSSSTQGVLATETFTLAKSKLPGVVFGCGDTNEGDGFSQGAGLVGLGRGPLSLVSQLGLDKFSYCLTSLDDTNNSPLLLGSLAGISEASAAASSVQTTPLIKNPSQPSFYYVSLKAITVGSTRISLPSSAFAVQDDGTGGVIVDSGTSITYLEVQGYRALKKAFAAQMALPAADGSGVGLDLCFRAPAKGVDQVEVPRLVFHFDGGADLDLPAENYMVLDGGSGALCLTVMGSRGLSIIGNFQQQNFQFVYDVGHDTLSFAPVQCNKL >ORUFI04G15500.1 pep chromosome:OR_W1943:4:18486877:18487050:1 gene:ORUFI04G15500 transcript:ORUFI04G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVATTIMTAYGGALQGDVEAENVESAHEEMRTISYKAWARFLPPKLVDLGNGVTEQ >ORUFI04G15510.1 pep chromosome:OR_W1943:4:18490280:18491662:1 gene:ORUFI04G15510 transcript:ORUFI04G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAVLLLLLALAALPASCAPPRSFRLELASVDASAADAANLTEHELLRRAIQRSRYRLAGIGMARGEAASARKAVVAETPIMPAGGEYLVKLGIGTPPYKFTAAIDTASDLIWTQCQPCTGCYHQVDPMFNPRVSSTYAALPCSSDTCDELDVHRCGHDDDESCQYTYTYSGNATTEGTLAVDKLVIGEDAFRGVAFGCSTSSTGGAPPPQASGVVGLGRGPLSLVSQLSVRRFAYCLPPPASRIPGKLVLGADADAARNATNRIAVPMRRDPRYPSYYYLNLDGLLIGDRTMSLPPTTTTTATAPAPAPTPSPNATAVAVGDANRYGMIIDIASTITFLEASLYDELVNDLEVEIRLPRGTGSSLGLDLCFILPDGVAFDRVYVPAVALAFDGRWLRLDKARLFAEDRESGMMCLMVGRAEAGSVSILGNFQQQNMQVLYNLRRGRVTFVQSPCGALR >ORUFI04G15520.1 pep chromosome:OR_W1943:4:18492086:18494304:-1 gene:ORUFI04G15520 transcript:ORUFI04G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWVFGYGSLVWNPGFAHDARLVGFVRDYRRVFYQGQWGVAYKISTEQDKQTALEHLEVREKQYDEKIYLDLYTDSSPKTPAVKNVMVYLATTNKQSNQNYLGPAPLEEMAKYSTYLTCSIGILQLNLRQIYLAEGPSGPNKEYLFKLEDALNKIGKELLIHMSRIWQMLCASIQTLRYLAEGWHFPPLWEGKNITEGS >ORUFI04G15530.1 pep chromosome:OR_W1943:4:18495442:18498306:-1 gene:ORUFI04G15530 transcript:ORUFI04G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCGSSSGGRFTGGGGQKGRWPGFEGKRAAPVVKWSHAEAMKKKPSGRGDAAVTGDGGGWMRRLEEEEAGLELDGSCWGSWSEAAATRASGTATGGAGKHVRFRPEAEAEAKRERPFDAGEWGGGGGGEVGGDGGEGRMYEWRWTEAVSPEILALILRGRVDADEVARGAARVCSRAWREAAAAPDVWGDVDIEAWCRRVNCRPRADAAVRRLVALARGTLRRLSAYRVGDAALAYVAASGKLLNLLQIPMSEISDQAVKKYAECLPALRVLDINNCLKISSSGIEALGRHCKLLVQLKRNMPPPDVPHGYNAVPNVVNDEALAIANTMPVLEHLELAYGLFSDTGLGAILTRCPRLQTLDILGCWNVRLEGDIEERCCALESFREPWEPMYSDCSSTGSDNGSLCNINIKSLG >ORUFI04G15540.1 pep chromosome:OR_W1943:4:18498975:18503989:1 gene:ORUFI04G15540 transcript:ORUFI04G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSESSRKALLPSFLYAAPAASSSPSFAAAAAVGVRGVPAPSLAGPAVWARSPREPAGKIEMYSPAFYAACTAGGIASCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGARGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADIALCPMEAVKVRVQTQPGFARGLSDGLPKFVKAEGYAGLYKGIVPLWGRQIPYTMMKFASFETVVEMIYKYAIPAPKSECSKPLQLGVSFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVNKLGMWGLFTRGLPLRIVMIGTLTGAQWGLYDAFKVMANYWWSCSNTSQVMVGLPTTGGVAPTPAIGEEQLKA >ORUFI04G15540.2 pep chromosome:OR_W1943:4:18498975:18503989:1 gene:ORUFI04G15540 transcript:ORUFI04G15540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSESSRKALLPSFLYAAPAASSSPSFAAAAAVGVRGVPAPSLAGPAVWARSPREPAGKIEMYSPAFYAACTAGGIASCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGARGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADIALCPMEAVKVRVQTQPGFARGLSDGLPKFVKAEGYAGLYKGIVPLWGRQIPYTMMKFASFETVVEMIYKYAIPAPKSECSKPLQLGVSFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVNKLGMWGLFTRGLPLRIVMIGTLTGAQWGLYDAFKVMVGLPTTGGVAPTPAIGEEQLKA >ORUFI04G15550.1 pep chromosome:OR_W1943:4:18508959:18514010:1 gene:ORUFI04G15550 transcript:ORUFI04G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPSSSSTRATSLVAIPGASCPHGQAQRLLAFRPPRRGSQWRGLCVSRGRHGATVAMAMPAAQAAGRRARVLVAGGGIGGLVFALAAKRKGFEVVVLERDMSAVRGEGKYRGPIQLQSNALAVLEAVDAGAADQVMDAGCITGNRVNGIVDGVSGSWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNDSHVVDFIDDGNKVTAILEDGRKFEGDLLVGADGIWSKVRKVLFGQSEATYSEYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPAGGTDPENGKKKRLLEIFNGWCDNVVDLINATDEEAILRRDIYDRPPTFNWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELEKSWQESAKSGTPMDIVSSLRRYEKERILRVSVIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKYGMPLMLSWVLGGNSTKLEGRPLSCRLSDKANDQLRRWFEDDDALEQAMGGEWYLLPTSSGDSQPIRLIRDEKKSLSIGSRSDPSNSTASLALPLPQISENHATITCKNKAFYVTDNGSEHGTWITDNEGRRYRVPPNFPVRFHPSDAIEFGSDKKAVFRVKVLSTLPYESARGGPQILQAA >ORUFI04G15550.2 pep chromosome:OR_W1943:4:18506353:18514010:1 gene:ORUFI04G15550 transcript:ORUFI04G15550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSATAPAKTRFSLFSHEEAQHPHPHALSACCGGGASGKRQRARARVAAAMRPADAAASVAQAASPGGGGEGTRRPRVLVAGGGIGGLVLALAARRKGYEVTVFERDMSAVRGEGQYRGPIQIQSNALAALEAIDMSVAEEVMREGCVTGDRINGLVDGISGSWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNDSHVVDFIDDGNKVTAILEDGRKFEGDLLVGADGIWSKVRKVLFGQSEATYSEYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPAGGTDPENGKKKRLLEIFNGWCDNVVDLINATDEEAILRRDIYDRPPTFNWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELEKSWQESAKSGTPMDIVSSLRRYEKERILRVSVIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKYGMPLMLSWVLGGNSTKLEGRPLSCRLSDKANDQLRRWFEDDDALEQAMGGEWYLLPTSSGDSQPIRLIRDEKKSLSIGSRSDPSNSTASLALPLPQISENHATITCKNKAFYVTDNGSEHGTWITDNEGRRYRVPPNFPVRFHPSDAIEFGSDKKAVFRVKVLSTLPYESARGGPQILQAA >ORUFI04G15550.3 pep chromosome:OR_W1943:4:18512885:18524425:1 gene:ORUFI04G15550 transcript:ORUFI04G15550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSARAAGGGGDGDGGGRRKTLAKVSLSSLSAAAAEAATFPIDAVKTRLQLHRGTGGSGGGGGGVMRVAGELVRDGGIYRGLSPAVLRHLFYTPLRIVGYEHLRSTFASGGRDAGLLEKALAGGVSGVVAQVVASPADLIKVRMQADSRLLSQGIQPRYTGIFDAFTKIVRAEGFRGLWKGVVPNAQRAFLVNMGELTCYDQAKHFIIRKQICGDNLYAHTLASVASGLSATTLSCPADVIKTRMMNQGKDAKVLYRNSYDCLVKTVKHEGLTALWKGFLPTWARLGPWQFVFWVSYEKLRQASGGGTMECNSVHQLNTILEVLAVPDVPDRLAVVTFGPRLAVCGGVWKHGSTVAASRHGGLGAFLRTGTMSTHGLSPASPWLTYPNVEAADVSATSEKLSSIISASAFWLCIRSSDRCPPSDTTSTALRLAGRCCCCRLEPGMAVALSEPELDSRTRGRMFSPCAHETIWP >ORUFI04G15550.4 pep chromosome:OR_W1943:4:18506353:18509282:1 gene:ORUFI04G15550 transcript:ORUFI04G15550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSATAPAKTRFSLFSHEEAQHPHPHALSACCGGGASGKRQRARARVAAAMRPADAAASVAQAASPGGGGEGTRRPRVLVAGGGIGGLVLALAARRKGYEVTVFERDMSAVRGEGQYRGPIQIQSNALAALEAIDMSVAEEVMREGCVTGDRINGLVDGISGSWRRDV >ORUFI04G15560.1 pep chromosome:OR_W1943:4:18517744:18519597:-1 gene:ORUFI04G15560 transcript:ORUFI04G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPSSSLATAGHGKTKSGRSSSSAVRPALLAIAVSVMVVLLMAVLFGARWTPSGGHGGGADTSWVSARARVVLNAVSSQQGADPVVKVAQPHDRLLGGLLSPDFNDTSCLSRYRASLYRRRSLHVLSSHLVSALRRYESLHRLCGPGTSAYERAVARLRSPSSSNTTSDAPSECRYLVWTPHAGLGNRMLSITSAFLYALLTGRVLLFHRSGDDMKDLFCEPFPGATWVLPEDFPIRGMERFGIRTRESLGNALGRGEGGRDPPPPWMYVHLRHDYTRPGASDRLFFCDDGQDALRRVGWVVLLSDNYFVPGLFLIPRYERELSRMFPRRDAVFHHLGRYLFHPSNTVWGMVMRYHGSYLAKAEERVGVQVRTFSWAPISTDELYGQIVSCAQGENILPRVRESSSGSDNATAIPGSRRQQQQRPARRKAVLVVSLHGEYYERIRDMYYEHGAVGGDAVSVFQPTHLGGQRSEERMHNQKALAEMMLLSFSDVALTSAASTFGYVSHGLAGLRPWVLMVPVRKKAPNPPCRLAATVEPCFHTPPHYDCQARTKGDNGKTVRHVRHCEDLKDGVQLVD >ORUFI04G15570.1 pep chromosome:OR_W1943:4:18522168:18524177:-1 gene:ORUFI04G15570 transcript:ORUFI04G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVARWLPSSPAHGKTKSRRSSSAVRPTLLVIAVTVIAVLLVAVVFGGAGRWTPSGGGDTSWVSAGARVVINAVSGQQRDGDDPVAAAVEPRNDRLLGGLLSPDFDDSSCLSRYRAGLYRRQSPHAVSPHLVASLRRYESIHRRCGPGTSAYERAVERLRSPPPSNTSDAECRYLVWTPLEGLGNRMLTLTSAFLYALLTDRVLLFHHPAGEGLRDLFCEPFPGSTWTLPEGDFPFSGMQGFNARTRESLGNALRRGEGAAKDHPPPPPPWMYVHLRHDYNRNANDPRFFCDDGQDALRRVGWVVLLSDNYFVPGLFLVPRFERALSRMLPRRDAAFHHLGRYLLHPSNTVWGMVARYHASYMACANERVGIQVRSFYWARISTDELYGQIMSCVHGENILPRVTQQGPNFTAAGDQPQPAARPGRRKAVLVVSLHGAYSERIKDLYYEHGAAGGESVSVFQPTHLDRQRSGEQLHNQKALAEMMLLSFSDVVVTSAASTFGYVGHGLAGLRPWVLMSPLDKKVPDPPCRLAATIEPCFHNPPNYDCRTRAKGDTGKIVRHIRHCEDFENGVQLVD >ORUFI04G15580.1 pep chromosome:OR_W1943:4:18525235:18530358:-1 gene:ORUFI04G15580 transcript:ORUFI04G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATVEAVGVAGGRRRRSGSVALGDLLRREASAERASASASAGAGGRERERRPSVAAGQACRAKKGEDFALLKPACERLPAGGAPFSAFALFDGHNGSGAAVYAKENILSNVMCCVPADLSGDEWLAALPRALVAGFVKTDKDFQTRAHSSGTTVTFVIIDGYVVTVASVGDSRCVLEAEGTIYHLSADHRFDASEEEVGRVTECGGEVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIIPVPYVKQIKLSSAGGRIIISSDGVWDALTVDTAFSCARGLPPEAAADQIVKEAIASKGLRDDTTCIVIDIIPPEKISPTVQPAKKAGKGLFKNIFYKKATSDSPCHADKDQCTQPDLVEEVFEDGCPSLSRRLDSEYPVRNMFKLFICAICQVEVYPYMRVCQSQESCVHGMGLSFATVARKRKKQWKENVTHEIPPQETVGQVRRTYAARVADADDSTEEVY >ORUFI04G15590.1 pep chromosome:OR_W1943:4:18533369:18537847:-1 gene:ORUFI04G15590 transcript:ORUFI04G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVKAWAGANYAEPMGSVEQSLRVAYVVLSFCAAFFLGGIKAAVVGPVAAALMVLGNVGVILLLFPAHVWWTIYSLIKTERINAGLKLAVLIALPVLFGLWLGLGIFGSALVALGYGFFTPWIATFEAFRQESESKKFVHGVVDGTWGTIKGSCTVVRDFADLCFHSYPVYLKELRESSQNCEPHSIRVLDVPSCIAVGLLGLIVDIPLYTVIALIKSPYMLFKGWQRLLHDLISREGPFLETVCVPIAGLAILFWPLVVVGSVLLAIVSSIFVGLYGAVVVYQEKSFQRGVSYVVAMVAEFDEYTNDWLYLREGTVLPKPSYRKRKSSSSTEFSVRTNASVKGGDHPSSNEAPAMLVPTLAPARSVREAIQEVKMVQIWENMMKNCELRGRDLLNLNVITTVDLTEWLRTKESGHEAIGLGVPSYSLLCMILHSIKAGSGGLLIGNGIEINQYNRPQDRLIDWFLHPVLVLKDQIQALKMTEEEVRFLEKLTLFIGNSERANGWDNGAEIPQDPVRAGQIQAISRRLVGIVRSMSKFPTYRRRHRHVMKLLVTYSVEKEGSFRSSASNRSVPIFEITQLEV >ORUFI04G15600.1 pep chromosome:OR_W1943:4:18546201:18547482:-1 gene:ORUFI04G15600 transcript:ORUFI04G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGDDASPLPLSAASSSLRALMLVDGDRRKRRAGGEGGRRELREEGGELVGPADGRGAATCVGRQEGGRGAAVPEGRRPAGGRERSGGARNRWEGAARGGRWERIGGARPARVFRCATHVSPSELKIPRPRSLRRHRSSPNPRPPAQPRFASPVALVRLGGAPPRVGRHQHRRRNRRGARPPCPAAATGGAWRRPAAGPDGAVVQAGGRAARGNTLRPRRTSNFGGLDLLMEFSFRARTGRPPTSSPANRDI >ORUFI04G15610.1 pep chromosome:OR_W1943:4:18548562:18549515:1 gene:ORUFI04G15610 transcript:ORUFI04G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAGDDDDVVHDFRPLIVVYKSGRLERPLATPPVPPGTDAATGVASRDVRLSAASFVRLYLPPPCAAVAGGERLPVVVYFHGGGFVIGSAASPAYHRCLNDLAAACPAVAVSVDYRLAPEHPLPAAYEDSAAALAWVLSAADPWLAVHGDLSRVFLAGDSAGGNICHHLAMRHGLTSQHPPHRLKGIVLIHPWFWGKEPIGGEAAAGDQKGLWEFVCPDAADGADDPRMNPTAAGAPGLENLACEKVMVCVAEGDTLRWRGRAYAEAVVRARGGEAAAVELLESEGVGHVFYLFEPGHEKADELLRRIAAFISAK >ORUFI04G15620.1 pep chromosome:OR_W1943:4:18551159:18555880:1 gene:ORUFI04G15620 transcript:ORUFI04G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAATTTTTDSSQAAVPPHHPHPHAHPHPHAHPHPHHPMAQARWVVLPYPPPPPPMVAAPPPPRPQYAKHFAAGPPPAAAAGRRTPTPPAPAGSGGNGCEENKTIWVGDLQYWMDENYLHSCFGPSGEVVTIKVIRNRQTGQSEGYGFVEFYSHGSAEKALQNFTGHVMPNTDRPFKLNWASYSMGEKRSEVASDYSIFVGDLAADVTDEMLMELFANKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKSHAMTEMNGAYCSTRPIRIGPATPRRSSGDSGSSTPGHSDGDSTNRTVYVGGLDPNVSEDELRKAFAKYGDVASVKIPLGKQCGFVQFVSRTDAEEALQGLNGSVIGKQAVRLSWGRSPSHKQSRADSGSRRNNMYYGTPFYGGYGYASPVPHPNMYAAAYGAYPVYGSQQLVS >ORUFI04G15630.1 pep chromosome:OR_W1943:4:18562105:18563301:1 gene:ORUFI04G15630 transcript:ORUFI04G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPSSNSVVGGAGAGAGDVCCMCGDRGLPEELFRCRLCRVRLQHRYCSDLYPRATAYRRCNWCLVREPAPAAAAGAAGHVHAMVDKPTTVRRKTASSSSPPPSSSMTDQETSPTTMSSEAERQRRLQEAAGWSASRRAPDTAGLGRPVKKQKAAADDDEGEEAPGARAAPAAKGNNGGNKEEMQAAGKKTGVKVRVRRYKLLAEVISC >ORUFI04G15640.1 pep chromosome:OR_W1943:4:18565487:18568565:1 gene:ORUFI04G15640 transcript:ORUFI04G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGEEGARPAAAAEGARRPRFLCLHGFRTSGEIMRKQVVGKWPADVTARLDLVFADAPFPAEGKSDVEGIFDPPYYEWFQFDKNFTEYRNFDECLNYIEELMIKEGPFDGLMGFSQGSILSGALPGLQEQGLALTRVPKIKYLIIIGGAKFQSPTVAEKAYANNIKCPSVHFLGDTDFLKTHGEKLIESYVDPFIIRHPKGHTVPRLDEKSLEIMLRFLDKIEKETALEHSSTDVDEKEMYL >ORUFI04G15650.1 pep chromosome:OR_W1943:4:18568863:18572218:1 gene:ORUFI04G15650 transcript:ORUFI04G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFLRAAARATRSSALVRAILASRSPLSSSSCAASPTTAAPVPGTAPRAAAAGDGDEGCAAAAATPADVGGDEDDLRSRVFRLRLAKRSATAALERWAGEGRAASAAELCGIARDLSRAGRYKHALEVAEWMKTHHESDLSENDYGMRIDLITRVFGANAAEDFFEKLPACVQSLEAYTALLHSYARSKMTDKAERLFKRMKDANLSMNILVYNEMMTLYISVGELDKVPVIAEELKRQKFSPDLFTYNLRISASAASMDLEGFKGILDEMSKDPNSNEGWKLYQNLAVIYVDAGQLVGSGNSLVEAEAKISQREWITYDFLVILHTGLGNRDRIKDIWKSMLMTSQRMTSRNYICVLSSYLMCGQLKDAGEVIDQWQRSKAPEFDISACNRLFDAFLNAGFTDTANSFRELMLQKSCILTSRQERSS >ORUFI04G15660.1 pep chromosome:OR_W1943:4:18578869:18580113:-1 gene:ORUFI04G15660 transcript:ORUFI04G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHRRALHSNDCDDGGYGCSSWPLSPPPPSVILTPFASPSPAPWACPSAFPAPSPSPLHGAAGGRRDQGGYHGSPPGGGGDADEHRRRIINLIVVGAAALAFLSMILLVVIVAVRRRRLRRRRQRQQALLAPAAPADAVAVNVEDGGDDDAEGGGGGGGGGGVVHHIWYIRTVGLDEAAIDSIAATRYRAGAGLLGAADCSVCLGEFQDGELVRLLPKCGHAFHVPCIDTWLRAHVNCPLCRSDVLGPAATATESGGGDTGSMPQADPVANTIAAAQQAAAPGDAILERQEEEEEAEQEDQGAPPHMEENRQEQSSSPDPLPPPRNVRRAASMDAAIVSTAAEVAALERLPEAAPEEEQSGGGGGDKRGGAPGASCLKVSSSGRLSNLGAAERLPRSFFSRHCRARSSVLPL >ORUFI04G15670.1 pep chromosome:OR_W1943:4:18580697:18584074:1 gene:ORUFI04G15670 transcript:ORUFI04G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVSWYGPLIDLSAAAGHLGGFVQLLASVRRVLPHQEQNAADGRAFQKTMLEVGDDTRSRFCVSLWPKRGSSVLAGDVLLLQNIKIVEFRNGLEGRASQISAVQVLLNSKDLVRLKNWKDEKEKESGNFLSISELLSQSKLHGVGVYASISKMVLTGSLASHFNRKFSVIERFSLKEHDDIFRDLVTAGCTLCGSPLYPKNLHGENTYPIDCPKSPKYLHVIGQIYKPFMIYVRDQSGQIPVLVRNKAAEILFANIIADDVSECYKNHHPMLLDTCDCGSLNTSGGETGIIKRKRTKEKPDWHLIWLIIIKCLLNQHKNSPFCLQISVNTDKSVEDGRFELVSLTMTIP >ORUFI04G15680.1 pep chromosome:OR_W1943:4:18584243:18586043:1 gene:ORUFI04G15680 transcript:ORUFI04G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKSLVACKGLALCAPAIAQRHRNTPLSASAHRRQILITGLTMNSSGINSALPVRGAAQIPAVGSGPPAPSGGNLPVPSMPSCFFNVISSISISSCIPTYAPVRAKWVVGAIIVAIPIYRKIRALEDTVEKTAEVAIEVVDTVAEATEKVAGELADAFPGNENLKEVASKIKTVADVIEDDAEKAEALIQKEILEKQAAKHRLTGYLTIKNGETHCKWLKKN >ORUFI04G15690.1 pep chromosome:OR_W1943:4:18597538:18600282:1 gene:ORUFI04G15690 transcript:ORUFI04G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ORUFI04G15690.2 pep chromosome:OR_W1943:4:18597612:18600282:1 gene:ORUFI04G15690 transcript:ORUFI04G15690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ORUFI04G15700.1 pep chromosome:OR_W1943:4:18603410:18605432:-1 gene:ORUFI04G15700 transcript:ORUFI04G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKVSEMEEELRKEKDAAEKVQLLQREVEKAKESERKMLESLIYQTKQLEQTKISLEEAKLEITTLQQANASLEASAAAAVVSRRGGAVEQRSVKDLVFGGGDDEIRALRCELRTAMQGEEKSRKALDDLSVALSDVTMEAKQVKMWLSEAQAELEAANAEAERLRHELDAAEARLRDVSDEHDRCRLDAEECAAAWGDKERVLLDCVRASEEEVNRERQENTKLVESQRVIRDENARLRDILKQAVAEANVVKESLELARGENARLNDVVAEKDGALQSLRQEYECIKVSEAAAQGSLKELNSLLAATTTTTATACSTPASARTASVADYGFDQHLPSVRLVSSAKGTPEAASHRWTAADKSRTPSSRRYSIGEPAKFKGGFSQSARMGNLNHKDRVFASLSNIADLKSAAEAAMSDFDDEFDHVDESHYDSMDQSMKQKKKRPILRKFGDLFRRKSFYKANLAPVHT >ORUFI04G15710.1 pep chromosome:OR_W1943:4:18609714:18617705:1 gene:ORUFI04G15710 transcript:ORUFI04G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLKLEKVQRVLSLMGSRGLSGSGSDSGASDGGGGDRFLAHFLLFLVQPFDSLSMEKKALLVSDLLRKVNVDTLEEVCHLGSIECTSGEGRIIPASTSDQDISSGDPLQPNKKLKLHAEKLTVQEAPMVGFHAMRRANSTIEDFVRTVRSYFMFHGLDVNKAQSIFKFLPVLCFTESYIYQLDDLNEYNLQMVPGNDASLTVLERENRTSNEASLTGMLNVLDDLLQRQGLMTDQLRNELKSGIQYWSLERKLCQALSRNDKISIEDVMEAIHLKSFDYRVLNLLMYCLTGQQVNEVHMEFLSISEFLVEISDDLYDYEDDVMNNTFNILRMFAAIYGPSEAPKMLAKCIGEAEEKYERFSKKLDPTLSGSYWRRCEEATKEGGKMSGHAYGTWNIPPLIRDEEFFRLERSNKRDASAITIT >ORUFI04G15710.2 pep chromosome:OR_W1943:4:18609714:18617705:1 gene:ORUFI04G15710 transcript:ORUFI04G15710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLKLEKVQRVLSLMGSRGLSGSGSDSGASDGGGGDRFLAHFLLFLVQPFDSLSMEKKALLVSDLLRKVNVDTLEEVCHLGSIECTSGEGRIIPASTSDQDISSGDPLQPNKKLKLHAEKLTVQEAPMVGFHAMRRANSTIEDFVRTVRSYFMFHGLDVNKAQSIFKFLPVLCFTESYIYQMVPGNDASLTVLERENRTSNEASLTGMLNVLDDLLQRQGLMTDQLRNELKSGIQYWSLERKLCQALSRNDKISIEDVMEAIHLKSFDYRVLNLLMYCLTGQQVNEVHMEFLSISEFLVEISDDLYDYEDDVMNNTFNILRMFAAIYGPSEAPKMLAKCIGEAEEKYERFSKKLDPTLSGSYWRRCEEATKEGGKMSGHAYGTWNIPPLIRDEEFFRLERSNKRDASAITIT >ORUFI04G15710.3 pep chromosome:OR_W1943:4:18609714:18617705:1 gene:ORUFI04G15710 transcript:ORUFI04G15710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLKLEKVQRVLSLMGSRGLSGSGSDSGASDGGGGDRFLAHFLLFLVQPFDSLSMEKKALLVSDLLRKVNVDTLEEVCHLGSIECTSGEGRIIPASTSDQDISSGDPLQPNKKLKLHAEKLTVQEAPMCRSYFMFHGLDVNKAQSIFKFLPVLCFTESYIYQLDDLNEYNLQMVPGNDASLTVLERENRTSNEASLTGMLNVLDDLLQRQGLMTDQLRNELKSGIQYWSLERKLCQALSRNDKISIEDVMEAIHLKSFDYRVLNLLMYCLTGQQVNEVHMEFLSISEFLVEISDDLYDYEDDVMNNTFNILRMFAAIYGPSEAPKMLAKCIGEAEEKYERFSKKLDPTLSGSYWRRCEEATKEGGKMSGHAYGTWNIPPLIRDEEFFRLERSNKRDASAITIT >ORUFI04G15710.4 pep chromosome:OR_W1943:4:18609714:18615939:1 gene:ORUFI04G15710 transcript:ORUFI04G15710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLKLEKVQRVLSLMGSRGLSGSGSDSGASDGGGGDRFLAHFLLFLVQPFDSLSMEKKALLVSDLLRKVNVDTLEEVCHLGSIECTSGEGRIIPASTSDQDISSGDPLQPNKKLKLHAEKLTVQEAPMVGFHAMRRANSTIEDFVRTVRSYFMFHGLDVNKAQSIFKFLPVLCFTESYIYQLDDLNEYNLQMVPGNDASLTVLERENRTSNEASLTGMLNVLDDLLQRQGLMTDQLRNELKSGIQYWSLERKLCQALSRNDKISIEDVMEAIHLKSFDYRVLNLLMYCLTGQQVNEVHMEFLSISEFLVEISDDLYDYEDDVMNNTFNILRMFAAIYGPSEAPKMLAKCIGEAEEKYERFSKKLDPTLSGSYWRRCEEATKEGGKMSGHAYGTWNIPPLIRDEEFFRLERSNKRDASAITIT >ORUFI04G15710.5 pep chromosome:OR_W1943:4:18609714:18617651:1 gene:ORUFI04G15710 transcript:ORUFI04G15710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLKLEKVQRVLSLMGSRGLSGSGSDSGASDGGGGDRFLAHFLLFLVQPFDSLSMEKKALLVSDLLRKVNVDTLEEVCHLGSIECTSGEGRIIPASTSDQDISSGDPLQPNKKLKLHAEKLTVQEAPMVGFHAMRRANSTIEDFVRTVRSYFMFHGLDVNKAQSIFKFLPVLCFTESYIYQLDDLNEYNLQMVPGNDASLTVLERENRTSNEASLTGMLNVLDDLLQRQGLMTDQLRNELKSGIQYWSLERKLCQALSRNDKISIEDVMEAIHLKSFDYRVLNLLMYCLTGQQVNEVHMEFLSISEFLVEISDDLYDYEDDVMNNTFNILRMFAAIYGPSEAPKMLAKCIGEAEEKYERFSKKLDPTLSGSYWRRCEEATKEVYH >ORUFI04G15710.6 pep chromosome:OR_W1943:4:18609714:18615939:1 gene:ORUFI04G15710 transcript:ORUFI04G15710.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLKLEKVQRVLSLMGSRGLSGSGSDSGASDGGGGDRFLAHFLLFLVQPFDSLSMEKKALLVSDLLRKVNVDTLEEVCHLGSIECTSGEGRIIPASTSDQDISSGDPLQPNKKLKLHAEKLTVQEAPMCRSYFMFHGLDVNKAQSIFKFLPVLCFTESYIYQLDDLNEYNLQMVPGNDASLTVLERENRTSNEASLTGMLNVLDDLLQRQGLMTDQLRNELKSGIQYWSLERKLCQALSRNDKISIEDVMEAIHLKSFDYRVLNLLMYCLTGQQDDVMNNTFNILRMFAAIYGPSEAPKMLAKCIGEAEEKYERFSKKLDPTLSGSYWRRCEEATKEGGKMSGHAYGTWNIPPLIRDEEFFRLERSNKRDASAITIT >ORUFI04G15710.7 pep chromosome:OR_W1943:4:18609714:18617651:1 gene:ORUFI04G15710 transcript:ORUFI04G15710.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLKLEKVQRVLSLMGSRGLSGSGSDSGASDGGGGDRFLAHFLLFLVQPFDSLSMEKKALLVSDLLRKVNVDTLEEVCHLGSIECTSGEGRIIPASTSDQDISSGDPLQPNKKLKLHAEKLTVQEAPMVGFHAMRRANSTIEDFVRTVRSYFMFHGLDVNKAQSIFKFLPVLCFTESYIYQLDDLNEYNLQMVPGNDASLTVLERENRTSNEASLTGMLNVLDDLLQRQGLMTDQLRNELKSGIQYWSLERKLCQALSRNDKISIEDVMEAIHLKSFDYRVLNLLMYCLTGQQDDVMNNTFNILRMFAAIYGPSEAPKMLAKCIGEAEEKYERFSKKLDPTLSGSYWRRCEEATKEVYH >ORUFI04G15710.8 pep chromosome:OR_W1943:4:18609714:18617651:1 gene:ORUFI04G15710 transcript:ORUFI04G15710.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLKLEKVQRVLSLMGSRGLSGSGSDSGASDGGGGDRFLAHFLLFLVQPFDSLSMEKKALLVSDLLRKVNVDTLEEVCHLGSIECTSGEGRIIPASTSDQDISSGDPLQPNKKLKLHAEKLTVQEAPMCRSYFMFHGLDVNKAQSIFKFLPVLCFTESYIYQLDDLNEYNLQMVPGNDASLTVLERENRTSNEASLTGMLNVLDDLLQRQGLMTDQLRNELKSGIQYWSLERKLCQALSRNDKISIEDVMEAIHLKSFDYRVLNLLMYCLTGQQDDVMNNTFNILRMFAAIYGPSEAPKMLAKCIGEAEEKYERFSKKLDPTLSGSYWRRCEEATKEVYH >ORUFI04G15720.1 pep chromosome:OR_W1943:4:18619040:18620737:1 gene:ORUFI04G15720 transcript:ORUFI04G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAADGDKPHAVCMPFPAQGHVTPMLKLAKILHHRGFHITFVNTEFNHRRLLRSRGAAALDGLPGFRFAAIPDGLPPSDADATQDVPPLCRSTRETCLPHFSRLLADLNANASPESPPVTCVVADDVMSFAVDAAREFRVPCALFWTASVCGYMGYRYYRSFLDKGIFPLKEEQLTNGFLDAPVDWTPGMSKHLRLKDFPSFFRATDPDEYMFHFALHVTERLVEADAAVLNTFDELEPEALDAMRAMLPPSVSIHTIGPLGFLAEQVVPKGSPLDALGSNLWKEDDSCFGWLDGKPPRSVVFVNYGSVTVMTNEELVEFAWGLANSGHDFLWIVRPDLIHGDAAVLPPEFMESVGGRGLLASWCPQEAVLRHEAVGVFLTHSGWNSTVESLCGGVPMLCWPFFAEQQTNRRYSCTEWGVAMEIDDDVRRDAVEAKIREAMGGDKGREMRRRAGEWKETGLRATRPGGRAHASLDALVADVLLSGGKAR >ORUFI04G15730.1 pep chromosome:OR_W1943:4:18629706:18632886:-1 gene:ORUFI04G15730 transcript:ORUFI04G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLRPPEHQVAGHRSSADKLGPLVDGEGLFYKPLQAGERGEHEAAFYAAFTAHPAVPPRVRGAFFPRFHGTRFLPAPASPGGAPYPHIVLDDLLAGLPSPCVADVKIGACTWPPRSPDPYVAKCLAKDRETTSALLGFRVSGVRVVDARGGAVWRPDRSELKGIDAAGVRRVLRRYVSTGGGDGLDCALAAAVYGGEGGVLAQLRELKAWFEEQTLYHFYSASILFGYDANAAAAAAPGGGSGGVRVKLVDFAHVDDGDGVIDHNFLGGLCSLIKFIGDIVAEVQHCVLANVTHNKVPSNGERVNCLKEETSPSCR >ORUFI04G15740.1 pep chromosome:OR_W1943:4:18653404:18653673:1 gene:ORUFI04G15740 transcript:ORUFI04G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDARAMLLPAAAEVATVDVVGDGEERPWSGNGGNGGGGGGDSSSAMVGAVSVDLPTMWGDERRMKRELVAWAKAVASMAIRESCNSR >ORUFI04G15750.1 pep chromosome:OR_W1943:4:18656113:18662085:-1 gene:ORUFI04G15750 transcript:ORUFI04G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFCCFGAGCSEFSGHASTSSGKGKGIQGQVKVSYGFYLVRGMTNHPMEDYHVAELAEEKGNELGLFAIFDGHLGDTVPAYLQKNLFANILNEEEFLTQPDRAIIKAYEKTDQAILSHTPDLGQGGSTAVTAILLNGRKLWVANVGDSRAVLLKGGRPIQMSTDHDPNVERSAIENRGGFVSNMPGDVPRVCGQLAVSRAFGDRNLKSLLKSEPDIKVEDIDYTAELLVLASDGLWKVMNNQEVVDVAKRFKDPQAAAKQLTAEALKRDSKDDISYLEVHLASLCEYHLVCIAPLALY >ORUFI04G15760.1 pep chromosome:OR_W1943:4:18671908:18677294:1 gene:ORUFI04G15760 transcript:ORUFI04G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSSMGGGGGGDAGGRTVVWFRRDLRVEDNPALAAAARAGGEVVPAYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGAGKLVTRRSADAVVALLQLVRDTGATRLFFNHLYDPISLVRDHRLKEMMAAEGIIVQSFNADLLYEPWEVVDDEGQSFTMFAPFWNRCLSMPYDPAAPLLPPKRINSGDLSMCPSDDLIFEDDSERGSNALLARAWSPGWQNADKALTAFLNGPLIHYSVNRKKADSASTSLLSPYLHFGELSVRKVFHLVRMKQLVWSNEGNRAAEESCTLFLRSIGLREYSRYLSFNHPCSHEKPLLAHLRFFPWVINECYFKIWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQLEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPASVLQAAGVELGSNYPLPIVGLDAANARLQEALSEMWQLEAASRAAMDNGMEEGLGDSSEVPPIEFPRELQMEVDREPARVTANVLTTARRREDQMVPTMTSSLNRAETEISADFMNSVDSRAEVPTRVNFEPRTEREENFRTTAGNVARTNGIHEHNNFQQPQHRMRNVLAPSVSEASSGWTGREGGVVPVWSPPAASDHSETFASDEADISSRSYLDRHPQSHRLMNWSQLSQSL >ORUFI04G15760.2 pep chromosome:OR_W1943:4:18671908:18676057:1 gene:ORUFI04G15760 transcript:ORUFI04G15760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSSMGGGGGGDAGGRTVVWFRRDLRVEDNPALAAAARAGGEVVPAYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGAGKLVTRRSADAVVALLQLVRDTGATRLFFNHLYDPISLVRDHRLKEMMAAEGIIVQSFNADLLYEPWEVVDDEGQSFTMFAPFWNRCLSMPYDPAAPLLPPKRINSGDLSMCPSDDLIFEDDSERGSNALLARAWSPGWQNADKALTAFLNGPLIHYSVNRKKADSASTSLLSPYLHFGELSVRKVFHLVRMKQLVWSNEGNRAAEESCTLFLRSIGLREYSRYLSFNHPCSHEKPLLAHLRFFPWVINECYFKIWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQLEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPASVLQAAGVELGSNYPLPIVGLDAANARLQEALSEMWQLEAASRAAMDNGMEEGLGDSSEVPPIEFPRELQMEVDREPARVTANVLTTARRREDQMVPTMTSSLNRAETEISADFMNSVDSRAEVPTRVNFEPRTEREENFRTTAGNVARTNGIHEHNNFQQPQHRMRNVLAPSVSEASSGWTGREGGVVPVWSPPAASDHSETFASDEADISSRSYLDRHPQSHRLMNWSQLSQSL >ORUFI04G15770.1 pep chromosome:OR_W1943:4:18677884:18679748:-1 gene:ORUFI04G15770 transcript:ORUFI04G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPPCSEKARNWIKQTGAASHFLPTILFARQIKGITISMEKLFPITQVMGNEENRAATEEFIACCHGDGYPTIVEQSGSKIVGDVMYGDENVEELTDGEEDARDQSASEGLRGENLAPLPVLATVTPSDAIHLLEGVAFGALILTPLQGNSPGENLRFVRIGRCRRSIGITFLKDSF >ORUFI04G15780.1 pep chromosome:OR_W1943:4:18678225:18686430:1 gene:ORUFI04G15780 transcript:ORUFI04G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGKPKPAVLLSPPSPAETAVGAERSIHHRTPCHHRIRVRRRRIRSLHRRRRQPRRPAQYTTAAVAHCRPTRSGRSDADLAVYRHRFPGRLPWSPKDDAKEDPCHRRPCGCTTLPAATRAAARWRREVGKRRGGRVVASRAAPRGGRQSMSQTLATEQGNKPGNMTNRRG >ORUFI04G15790.1 pep chromosome:OR_W1943:4:18682701:18686739:-1 gene:ORUFI04G15790 transcript:ORUFI04G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLRDLSSLVSSMASGPPRGWLPTLARRAASISRAALNPPVRHVSRFPCGSRNMASGNAVKSEQLGKSDERQLTPSPNDPIHTTNGIINSMDKHFPITRGMDNEENGAATEFIARYGDGRPANVEENGRKIVNAKYSDEVFCRTTVKVPGVKQDPRGAIKELTDGEEDARNQSASEADDDDDDDDEEYEDHRDGYIYDTTFGSGWKWDYRIADRNETRLEAMMLSNSNKDCSLSDGTCTRHTQHSMLQIFSIKLAKVFGVDGSMELYGYIAARDLRDPLLNYIVNIGRDNPIIVEQRGIDLSRAVLVEYDMRIKTGERDENDLQLIDGVSCVNEILTSSNPVINRIHGDYGAVDITRACLDYAFEATVDVVISEVQTGFNLCVGCFTSGLHEEIQLFDGVIGESRGLRRHVGSVYFAEHCLSFKATNHGCASEEIKIGFASISVKVTWSALD >ORUFI04G15800.1 pep chromosome:OR_W1943:4:18687780:18693452:-1 gene:ORUFI04G15800 transcript:ORUFI04G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCIPFREKLLEYYANNKTPGDAEENLLTCLADLFMQVSQSKKKTGVIAPKRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESNAAKDSPQSSSPEKVPNGPVQPLANGVRKEPPVTLVHKNFQGILTNETRCLRCETVTARDETFFDLSVDIEQNSSITSCLKNFCSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKLSTSDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEESTLQTFFGSSHEYSGNTDHGYILFYEGLGGKS >ORUFI04G15810.1 pep chromosome:OR_W1943:4:18702432:18718259:1 gene:ORUFI04G15810 transcript:ORUFI04G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGLSGLRGLEGFRSLAGSTSTAAKAPNPKPSSDIGGSTYGSFANLKITAEKLVKEQASVKTDLEMTHTKLRRATEQINLLEAKLQQAVNENAKLKVKQTEDSKLWQGLDSKGNRRCCGSNKRKKRWIRVTRNSYMQLEDSIEQNKARLLYVDSRLECMEQELKLKEDVCICLKENLASTESEKNDLKLRNEGYTLEVQKLSKDNKELNELLSENNALQTEIEELKSRIIELQKTQEIVMVQHVEECQVAEDKIRRLESEAEISASNISQLEKQELLQKILKLESDNQELLGRVQSVLNEKSNDTESLQGEIAKRDQQVETLENQAEKLIKEMENKCNEKISENRQDSESSFEMDNEHKESTLRAYHKEELQRIQSQAENELRERLSSLRKDHEIQMKSLTKKHEENCQKLQDELELQKSKEEKQRALLQLQWKVMGETQQVDQEVNSKKVTHHEYEVETANGRITKRRKTKSTVMFGVQEPNTQKSLHDTADKDPTKMKKVVAGSHPHPANIGSAMAAGVLDAGGAVPAAAYSGELTLSVLVTCLVAASGGLIFGYDIGISGGVSQMKPFLATFFPKVLMRMADAKRDQYCVFDSHALTAFTSSLYVAGLVASLAAGRVTRWLGRRGVMLMGGALFFAGGAMTGGAVNVAMLIVGRMLLGFGVGFTNQAAPLYLAEMAPPRFRGSLTVGFQFFLSLGILIANLTNYGTARVPWGWRLSLGLAGAPAVFIVIGAFFLTDTPSSFVMRGKVDRARAALLRVRGHRADVDAELKAIVHAVEAARGSEDVGAFRRLVTWREYRPHLTFALALPLCHQLSGMMVLTFFSPLVFRVAGFGSNAALMGAVILAGVKFASLILSTLVIDRYGRKVLVIAGAALMIVCQVANAWIMGAKSGKHGEVAMPRAYSVALLVLTCVQGAGFGMSWAPLIWVIPGEIFPVEVRSAGQAVSVSVTLGLTFVQTQTFLALLCRLKYATFAYYAGWVAAMTAFVLVFMPETKGVPLESMGAVWAGHWYWRRFVGGGDGKPEQRPICLILKFKLVGLRAS >ORUFI04G15820.1 pep chromosome:OR_W1943:4:18729155:18736298:1 gene:ORUFI04G15820 transcript:ORUFI04G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTEAARDYGGGVTASVVVTCLIAASCGLIFGYDIGVSGGVTQMQSFLTKFFPEVVKGMRGAKRDAYCRYDNQVLTAFTSSLYIAGAVASLVASRVTRMVGRQAIMLTGGALFLAGSAFNAGAVNIAMLIIGRILLGVGVGFTTQAAPLYLAETAPARWRGAFTAAYHIFLVIGTVAATAANYFTDRIPGWGWRVSLGLAAVPATVIVVGALFVPDTPASLVLRGHTEKARASLQRVRGADADVDAEFKDIIRAVEEARRNDEGAFRRLRGRGYRHYLVMVVAIPTFFDLTGMVVIAVFSPVLFRTLGFNSQRAILASIVLTLVNLCAVVVSSFTVDRVGRRFLFLAGGTAMLLCQVAVAWILAEHLGRSHAAATMAKSYAAGVVALMCVYTASLGLSWGPLKWVVPSEIYPVEVRSAGQALGLSVSLTLSFAQTQVFMSMLCAMKYAIFLFYAGWVLAMTAFIALFLPETKGVPLEAMRAVWAKHWYWKRFAMDAKLDAQVNCL >ORUFI04G15830.1 pep chromosome:OR_W1943:4:18738682:18745505:1 gene:ORUFI04G15830 transcript:ORUFI04G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFAAAGGGSARDYGGGITFSVVVTSLMAASCGLIFGYDSGVTGGVTQMESFLSKFFPEVLRGMKSARRDAYCKYDNQWLTAFSSSLFIAGTLSSLVASRVARAVGRQAIMLLGGAMFLTGSIINAAAVNIAMLIIGRMLLGFGLGFTLQSAPVYLSETAPARWRGAFTSAYNAFVVIGILSATITNYFTNRIPGWGWRVSLGLAAVPGTIIVAGSLFIPDTPSSLVLRGHHDRARAALQRIRGAGADVDAELKDIVRAVDEARQNEAGAFRRLFSRRYRHCLAVGLGIPVFYEFTGMIVISIFSPVLFRTVGFNSQKAILGSVINSMTNLASTLLSTSVMDRTGRRPLFIVGGVGMMLCEVAISWIMADHLGKHQGVTMPRSYATGVLVLICLCTFSFGLSWAPLRWVVPSEIYPVEVRSAGQALSISVALCLSFVELQVFIALLCAMKYGVFLFYAGWLLTMTIFVAAFLPETKGMPIEAMRSVWERHWYWKRFVNDGDHHDGRVVADEGTD >ORUFI04G15840.1 pep chromosome:OR_W1943:4:18745735:18750587:-1 gene:ORUFI04G15840 transcript:ORUFI04G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGSLLNSGGMADYGGGGGSGGGLTFPVVVTCLMAASGGLIFGYDIGISGGVSEMESFLEKFFPGLLKGTAHASKDVYCIYNSQALTAFTSSLYAFGMVGTLVASRVTRRTGRQAVMLIGGSMFLVGALVNAAAVNIAMLIIGRMLLGLGLGFSGQATPVYLAEMSPPRWRGGFISGFPLFISVGYLIANLINYGTSRIPVWGWRLSLGLAAFPAAVMVAGAAFIPDTPSSLVLRGKHDLARAALQRVRGKGVDVDAEFNDILAAVEHDRRNDEGAFRRILRREYRPYLVMAIAFPVFLNLTGVAVTAFFSPILFRTVGFESDAALMGAVILGLMNIFGIVGSGFAMDRYGRRLLFMIGGALMFTCQVAMASIVGSQLGHGSKMAKGYAVTVLVMTCAFSASFSWSWGALYWAIPGEIYPVEVRSAGQGVAVALNLGLNFVQAQCFLAMLCCFKYGTFLFYASWLVVMTAFAVAFVPETKGVPLESMGHVFARHWYWGRFVKDHKFGDVST >ORUFI04G15850.1 pep chromosome:OR_W1943:4:18747120:18749593:1 gene:ORUFI04G15850 transcript:ORUFI04G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTSLLACAVPFSKPGKNFSRNDSISDTPPDVRRRHEQDLKATETKDSRKMPVNYSSGFSAEKPHQNSRQQKEGQIRYTTRRHHDIWSDRSSRLHTERPKLQEPITLNSLTMERESTDILMGSMGSKGLTVTNLTCLMALHEKMGRPYTGRSLAPPPQCGHPIRPDCVASPGPAQAGERAHV >ORUFI04G15860.1 pep chromosome:OR_W1943:4:18750783:18759359:1 gene:ORUFI04G15860 transcript:ORUFI04G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLCCAVALRDLPYLPPPAPHRSGGRAIIPGGKNGQILRFVSPARARVKRLPLSSLDSTRRDNRGSNSVPNAADMMCWCGYKIGAERYSRQCEGACGEHEKLHSLALVHADVDDGKKRAQAKSTVHRLAHTYTTQTVWVLVDR >ORUFI04G15870.1 pep chromosome:OR_W1943:4:18759644:18761081:-1 gene:ORUFI04G15870 transcript:ORUFI04G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREMHRLYRVLAHVASRSAGIARTSCHVTHAMGRAPLVLIGRAVTIIGGAIPIIAINYGILVACWMLHMFDVVQSKLICRAMALHFSWRSTSPFLDTVCSSVYDLYNSSAIHRASCGEQLVVNGTNSDLGDYWQHSPTPDFLDSDRIYAVLVLGCVCSSGGCGRGEAHAKQGHDVSGEKRPSRRDGLGRSFWWIMVARKYEWCVTYAVVVATLQLFLRLTGANVTTLFLPTLSQATGCGKAALAGHVVLVLANAGGVLGSALAARTYGREVMCVIGGVLIVFCQVVIPVAMEMHGGGGAYAAAAAAATMFFVACAASGGCGWSWGALFWAVPGEGVRSAGDAVGAALGFALGFAQTHCFLLMLRQLKHAALAYYAVWIWS >ORUFI04G15880.1 pep chromosome:OR_W1943:4:18808876:18812740:1 gene:ORUFI04G15880 transcript:ORUFI04G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFPVAGGAPPGDYGGGITFSVVVTCLMAASGGLIFGYDIGISGGVTAMESFLAAFFPGVLRRMAAARRDEYCVYDSHVLTAFTSSLYLAGLAASLAAGRVTRAVGRQAVMLAGGALFFAGAAVNAAAVNIAMLIVGRMLLGFGIGFTNQAAPVYLAETAPAKWRGAFTTGFQLFLGIGNLTANLTNYGAARIPRWGWRLSLGLAAAPASVILVGALLISDTPSSLLVRGRVEQARAALRRVRGAKADVDAELEGVARAVEAARANEEGAYRRILWRQHRPHLVMAVAVPLLQQLTGVIVIAFFSPVLFQTAGFGSNASLMGAVILGAVNLGSTLVSIATVDRYGRRVLFLTGGLVMIACQVAVAWIMGSQIGRDGESAMARRYSVAVLALTCVFSAAFGWSWGPLTWVIPGEIFPVEIRSAGQGISVAVNLGATFVLTQTFLAMLCSFKYATFLYYAAWVAVMTAFVWAFLPETKGVPLEAMGAVWARHWYWRRFVQPPPAAKDAMLPEVLVN >ORUFI04G15890.1 pep chromosome:OR_W1943:4:18811556:18812329:-1 gene:ORUFI04G15890 transcript:ORUFI04G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVCVSTKVAPRLTAPRMTAPISDALLPNPAVWKSTGEKKAMTMTPVSCWSSGTATAITRWGRCCLQRILRYAPSSLARAASTARATPSSSASTSALAPRTRRSAARACSTRPRTRRLLGVSEMSSAPTRMTDAGAAARPRERRHPHRGMRAAP >ORUFI04G15900.1 pep chromosome:OR_W1943:4:18813309:18816095:1 gene:ORUFI04G15900 transcript:ORUFI04G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAAAASRRFAMPQPASSGSTSRRFDFPDSVLLDTVAHADGCGDDATTARAETSDGLPIEVSFVAADPPTFTRCVVRCSGLTAGEFSKGPPFIIGADGAFLVIRVIFPCRSDRRCFTDFFVYRSGPGTPLLELLRRPYPVKHLSDHLGILSCGEHFLVVNPKWQFHADGQVRCDLHVFSSKTTSWESKVARLPCGVEAYIGDFIPTKVLSVEGRSIAWVDLWNGILLFDSVTSNPEVRLIQLPPLMPINGRYLRGGGLDDTYISVDPNRDITCSNGWFRFIEMGFPLLDGSTGQLNFRWQATMFKRLVRPEECQWEPCGTETDSAELVCADSCSLDLLPVIWDSKDNQLTFTNLICTYPTMDLYDDNILYVMAKMKGTDPSGWVLSVNTENKKLEKEILFFHRIYLQCDLFKHLGKAPESHLTKVLDKHTNREMIELLDTNLLAALEQLQNIETHIESLKRRYNWSMPLVSSNSASSLDPKIRYLLAPIDVTKSKIRVAHGALYKLMGTLPSDVLDKYFVERTSFSGPERTKDAAVQSQGSLRLL >ORUFI04G15900.2 pep chromosome:OR_W1943:4:18813309:18816095:1 gene:ORUFI04G15900 transcript:ORUFI04G15900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAAAASRRFAMPQPASSGSTSRRFDFPDSVLLDTVAHADGCGDDATTARAETSDGLPIEVSFVAADPPTFTRCVVRCSGLTAGEFSKGPPFIIGADGAFLVIRVIFPCRSDRRCFTDFFVYRSGPGTPLLELLRRPYPVKHLSDHLGILSCGEHFLVVNPKWQFHADGQVRCDLHVFSSKTTSWESKVARLPCGVEAYIGDFIPTKVLSVEGRSIAWVDLWNGILLFDSVTSNPEVRLIQLPPLMPINGRYLRGGGLDDTYISVDPNRDITCSNGWFRFIEMGFPLLDGSTGQLNFRWQATMFKRLVRPEECQWEPCGTETDSAELVCADSCSLDLLPVIWDSKDNQLTFTNLICTYPTMDLYDDNILYVMAKMKGTDPSGWVLSVNTENKKLEKVSPEMIELLDTNLLAALEQLQNIETHIESLKRRYNWSMPLVSSNSASSLDPKIRYLLAPIDVTKSKIRVAHGALYKLMGTLPSDVLDKYFVERTSFSGPERTKDAAVQSQGSLRLL >ORUFI04G15910.1 pep chromosome:OR_W1943:4:18821152:18832680:-1 gene:ORUFI04G15910 transcript:ORUFI04G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRPSPPPPHASEIRNRGLTSKHVTTICLDGTDKEALASYLSGIEEGRNLYLRGWKARDCVFELNHDQHENFTLDPKCIRLKTGLNYNKLVFGGVENTPTGLWPVRKAFDNFWRHAGGENINISEDVAIFAVIISEAARLRPVHDYIKDSFLSENPGLSKLGKHPSNPMYVKKYKKISAHIMENVDLMKQGLEPKPFKHEKLVIDSMESALSIVRILFRDAFNSGLFEHEKPPKPIFENTLDRQEARDIDSKSIGPSSNGSDDEKVNWKALKDVIYKECFIHSGLKILSILSDVRLHPHERWNICQKVGSRAAILQNLVNPIEVFVDDECAGKPAPQVPKSSKPSIMKLRQATSRNLKREIELLMENPQRNFPLTNFCLDPSKLIKSSTMVSPVIYAQRRLSYLMNGMGRQQALGDAMQAWRRPTTFNFLDAALPSLGMEIESEEAIEGASNFQLELKRRGMFSSALLYARRRLPSESQLPCSSPSLMHQASAALFKRISIYGLHEVSVSVTIWHVIFVVARLEAMMLSHPTKDCYMRDGTCIWHPANSMLQIFSVKLAKTPVVDGSIELYGYIAVRDLQDPLLNYIVKIGRDDPIIVEQVCFHALYNYFKLLYLFHIIRSNLQHAWNKCD >ORUFI04G15910.2 pep chromosome:OR_W1943:4:18821152:18832680:-1 gene:ORUFI04G15910 transcript:ORUFI04G15910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRPSPPPPHASEARDIDSKSIGPSSNGSDDEKVNWKALKDVIYKECFIHSGLKILSILSDVRLHPHERWNICQKVGSRAAILQNLVNPIEVFVDDECAGKPAPQVPKSSKPSIMKLRQATSRNLKREIELLMENPQRNFPLTNFCLDPSKLIKSSTMVSPVIYAQRRLSYLMNGMGRQQALGDAMQAWRRPTTFNFLDAALPSLGMEIESEEAIEGASNFQLELKRRGMFSSALLYARRRLPSESQLPCSSPSLMHQASAALFKRISIYGLHEVSVSVTIWHVIFVVARLEAMMLSHPTKDCYMRDGTCIWHPANSMLQIFSVKLAKTPVVDGSIELYGYIAVRDLQDPLLNYIVKIGRDDPIIVEQVCFHALYNYFKLLYLFHIIRSNLQHAWNKCD >ORUFI04G15910.3 pep chromosome:OR_W1943:4:18832751:18847651:-1 gene:ORUFI04G15910 transcript:ORUFI04G15910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGPARGWLPALARRIASVTGTLNPPASRVSRFPGSRNMASGSGVEGEGLGIPYRRRFIPFANDPIHTTKDNIISMDKHLPITQGKDSAATKEFISCYGHCRPTTYGDEDASRTAVEVTGGKQDPRGAVQNLIDGEVAVQELIDGEEIARNQRASEVSDNDGYEEFNDRAVNYHCDCHVWTPLIASSHRDGSIYDTRGTFGSGWKWDYRIADRNEMRKGSLIEMTGPKRGIDFSCAVLVEYDMRIKTGEREEDDLQLIDGATDLDHILTSHVPVRNRIYGDCGAVDITQANLLYAFEATVEVVISEVQTSFDLCLSCFTSGLHEEIRLFDGAISESRDLRRYVIAVMEHECMDLKFKVGLGSGCFAEHCRSFKATNHGCASEQIKIEFASISVKVDDEALRYKAMAAAVPANVVESSIDPDTTVVNKEGHRHSSEPPLRHFACSVIAVAHHFAALPRPSNDCVRDLKP >ORUFI04G15910.4 pep chromosome:OR_W1943:4:18843833:18847651:-1 gene:ORUFI04G15910 transcript:ORUFI04G15910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGPARGWLPALARRIASVTGTLNPPASRVSRFPGSRNMASGSGVEGEGLGIPYRRRFIPFANDPIHTTKDNIISMDKHLPITQGKDSAATKEFISCYGHCRPTTYGDEDASRTAVEVTGGKQDPRGAVQNLIDGEVAVQELIDGEEIARNQRASEVSDNDGYEEFNDRAVNYHCDCHVWTPLIASSHRDGSIYDTRGTFGSGWKWDYRIADRNEMRKGSLIEMTGPKRGIDFSCAVLVEYDMRIKTGEREEDDLQLIDGATDLDHILTSHVPVRNRIYGDCGAVDITQANLLYAFEATVEVVISEVQTSFDLCLSCFTSGLHEEIRLFDGAISESRDLRRYVIAVMEHECMDLKFKVGLGSGCFAEHCRSFKATNHGCASEQIKIEFASISVKVTWSAMEF >ORUFI04G15910.5 pep chromosome:OR_W1943:4:18821152:18847651:-1 gene:ORUFI04G15910 transcript:ORUFI04G15910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGPARGWLPALARRIASVTGTLNPPASRVSRFPGSRNMASGSGVEGEGLGIPYRRRFIPFANDPIHTTKDNIISMDKHLPITQGKDSAATKEFISCYGHCRPTTYGDEDASRTAVEVTGGKQDPRGAVQNLIDGEVAVQELIDGEEIARNQRASEVSDNDGYEEFNDRAVNYHCDCHVWTPLIASSHRDGSIYDTRGTFGSGWKWDYRIADRNETRLEAMMLSHPTKDCYMRDGTCIWHPANSMLQIFSVKLAKTPVVDGSIELYGYIAVRDLQDPLLNYIVKIGRDDPIIVEQVCFHALYNYFKLLYLFHIIRSNLQHAWNKCD >ORUFI04G15920.1 pep chromosome:OR_W1943:4:18824535:18824808:1 gene:ORUFI04G15920 transcript:ORUFI04G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARARAKEEKLEERQRSRQEMKEEHGWAVRGGPWLWASFHAESATLTVQRLRSSEVGQAWQDSVRRSSRRRGKEELTGGDK >ORUFI04G15930.1 pep chromosome:OR_W1943:4:18824835:18826718:1 gene:ORUFI04G15930 transcript:ORUFI04G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRRDEWHGMKQLASSVAYSETLGTPRYQYHQFFLAAGAAAWSDDSPPLPWCLIRQLHDQFAASAANTPAVAVAPGPTSPRPPRHRGRQRPNRHRSRLPWPSTPRLDLAESQATHATHRRGSRSPAVDAGIGSHSTSSPTLAL >ORUFI04G15940.1 pep chromosome:OR_W1943:4:18839058:18847340:1 gene:ORUFI04G15940 transcript:ORUFI04G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVNLGSTLVSIATVDRYGRRVAVAWIMGSQIGRDGESAMARRYSVAVLALTCVFSAAFGWSWGPLTWGYKLLVTQGRRGEREEIDKGATGRLGRRQRLLELCNFPIVSGLGGVTGIKACRSDGHMVGPSSHRTGSLANGMKRRLYGIPNPSPSTPLPLAMFRDPGNL >ORUFI04G15950.1 pep chromosome:OR_W1943:4:18848883:18850340:1 gene:ORUFI04G15950 transcript:ORUFI04G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYDDNILYVMAKMKGTDPSSWVLSVNTENKKLEKVSPFSQKILFFHRIYLQCDLFKHLGKAPDSSNSDSGTYVVSEHLRLMGDLTLQLLAPIDVTESKIRVAHGALYNLKGTLPSDVLDKNFVKRTSFSGPEQKKDAAV >ORUFI04G15960.1 pep chromosome:OR_W1943:4:18851954:18855056:-1 gene:ORUFI04G15960 transcript:ORUFI04G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVPVRKPHTSTADLLTWSATGPDAAAAAPSPVASSRPSLKPAGGITPAMFGAPVSEKEAEDLSKSERKFCSGSKLKEMTGSGIFAENSENDDSEASNPANKTSVRMYQQTVTGISQISFSADGSVSPKKPSSLPEVAKQRELSGTLETDAEAKMNKQHSEAKSKELSGSDIFGPPPEIPARPLAARNMELQGNLDFALPQPRSVHTSVKVSNPAGGPSNITFSEEPVVKTSKKIHNQKFQELTGNNIFKEDATPASAEKSLSSAKLKEMSGSDIFADGAAAPRDYLGGVRKPPGGESSIALI >ORUFI04G15970.1 pep chromosome:OR_W1943:4:18857065:18857742:-1 gene:ORUFI04G15970 transcript:ORUFI04G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWATEQPGVELEVKVVRVAGVEARPEGGGGGGGGLFVRYYVPAGDGKRRIRVDTREVPCGGGDGEEDGAFWGELARFERRGGGAPASVGGVVFELRWRPRRRSPAFLGMLGNGRPSSRVLARGELACQDDAAAAVTPAPASSGSTWLRLSPACRELSGCKAPKLLVEVRMIHAADNYGAVKATRSLGGVNHHYCCSDGERCAQCGWIGTEEDMFLAATFTHE >ORUFI04G15980.1 pep chromosome:OR_W1943:4:18859408:18862285:-1 gene:ORUFI04G15980 transcript:ORUFI04G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRRHLAASLARALTYAPSRSISSTPSLLQTLDPSTPSPAAAPPTVGRLAELRQRLQADAPSLGDFTYSVEVGTRKKPLPKPKWMKETIPGGAKYAGIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPSNVAQAIASWGLEYIVITSVDRDDLPDQGSGHFAETVQKLKVLKPEMLIEALVPDFRGDPACVEKVATSGLHVFAHNIETVEELQRNVRDHRANFKQSIDVLKLAKEYAPAGTLTKTSIMLGCGETPDQVISTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYVTPEAFERYRSLGVDMGFRYVASGPMVRSSYKAGEFYIKAMIEADRAKATTAI >ORUFI04G15990.1 pep chromosome:OR_W1943:4:18868752:18873372:-1 gene:ORUFI04G15990 transcript:ORUFI04G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLSKLRSLDAYPKVNEDFYSRTLSGGIITLASSVVMLLLFVSELRLYLHAVTETTLRVDTSRGETLRINFDVTFPALQCSIISLDAMDISGQEHLDVKHDIFKQRIDVHGNVIATKQDAVGGMKVEQPLQRHGGRLEHNETYCGSCYGAEESDEQCCNSCEDVREAYRKKGWGVSNPDLIDQCKREGFLQSIKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFQKANVHVHDLLPFQKDSFNVSHKINKLSFGQRFPGVVNPLDGAQWMQHSSYGMYQYFIKVVPTVYTDINEHIILSNQFSVTEHFRSSESGRIQAVPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGGVFTVSGIIDSFVYHGQRAIKKKMEIGKFN >ORUFI04G16000.1 pep chromosome:OR_W1943:4:18875828:18876145:1 gene:ORUFI04G16000 transcript:ORUFI04G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAWVMRGCDDLGAVGPEMEESPLGPGVGSSGDRRQHLRDGDEGGQGPATAASSLPPVTVEPPHAASSPLQPPRQCLRTLRHSQQPPRRCPHDCRAATMDRRRR >ORUFI04G16010.1 pep chromosome:OR_W1943:4:18880290:18880925:1 gene:ORUFI04G16010 transcript:ORUFI04G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKLLCFAALISMAALAAGAKSEEDTGALLLPGSTGSNQCVYTLYVETGSIWKAGTDAAIGLELYTAAGNGILIRNLQAWGGLMAAGHDYFERSNVDIFSGRGPCLGAPVCRMKLVSNGAGEHHGWFCKSVEVTVAGPHARCNRAAFDVQQWLATDAPPYQLYAERSVCGKISTAAAAAEEES >ORUFI04G16020.1 pep chromosome:OR_W1943:4:18883162:18883455:1 gene:ORUFI04G16020 transcript:ORUFI04G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGHDYYERGNVDVFSGRAPCLPSPPCRMNLTSDGAGAHHGWYCKSVEVTATGPHAGCAKAAFGVEQWLATDAPPYQIYAERSVCAKSRPGGEEER >ORUFI04G16030.1 pep chromosome:OR_W1943:4:18883458:18886630:1 gene:ORUFI04G16030 transcript:ORUFI04G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSAREGTESAGEGRRRCSPDPPLLSSPEAAAGSAEWERGTGEVGEFSGVGGTAAGDVRGPRGAEAVDDGGVAAERWWHRAANLGRVLSLSVASPAGSGSTLVARPRPQAPLALRHLPLLPHVFLSLFIAPLLSPHQPLSSPVPSSPFPSSSNDRTRKRVDIELSDGGISHLELLEHGSRRASAASTD >ORUFI04G16040.1 pep chromosome:OR_W1943:4:18886730:18887630:-1 gene:ORUFI04G16040 transcript:ORUFI04G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEADGGRRSSRALLRVSDGGSAALDQATAGGQPGRGWLTAGARAMAKPPDLPGEPSSEILLHDCVFHCSMRNAEPIIATGTSPGFACLDPPLSCPAPQGRERENGKKASDRRRPHRLPPKSSTGTVASRSVPPPGSLSTLPPVCTANLHLHRSIRPWPTNGGEMQGLVGKIREEKGEPQPSTELASTAALHPLSA >ORUFI04G16050.1 pep chromosome:OR_W1943:4:18887647:18888475:-1 gene:ORUFI04G16050 transcript:ORUFI04G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSQAFKLNRLGYTRQQARGGSGRAVEGQRRPGGRGAAAGRTGEGWRRACGGGRRRPGGRRAAVGVWPRVGARPGRRARGGGG >ORUFI04G16060.1 pep chromosome:OR_W1943:4:18889638:18890991:1 gene:ORUFI04G16060 transcript:ORUFI04G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLPRSQNPPPDLHHHFPIDRGGKGPSRLGRLERASSAMAKLSCLLIVSFAVVAALAATDDDAAAAAEGITVAEASSDPENKCVYTIYVRTGTIWKGGTDSVIGVTLLGADGSGVRIRDLERWGGLMGDGHDYYERGNLDIFSGRGPCMRQAPCRMNLTSDGTGPHHGWYCNYLEATVTGPHLGCAQQLFTVEQWLATDASPYRLYAVVDNCNKAKDAAAADADEPRVTVL >ORUFI04G16070.1 pep chromosome:OR_W1943:4:18894461:18895795:1 gene:ORUFI04G16070 transcript:ORUFI04G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKGKAKAADAAAAAEAAPEQEEEFFSDSESGSESIEIADLKKRMWKDQMLLMKLEGRSGHEGALAAQDHRVVRGEEEAAAAAEEEPPEARYRRKAMLRAQDGVLRHMLKMMEACNARGFVYGIVDESGVPVSGSSDSLRGWWKDDVAFDRAGPTALSGRGGRGSPRSPAAAASFLHGLLDIQDSTLGSLLSALIQHCEPPQRSFPLDRGLPPPWWPTGGEAWWGLQGEAQASQGPPPYRKPHDLKKAWKISLLSAVIKHLSPRFDQIRKLVWQSKRLQHKMSARDADTWSRVITQEEALSRHALRSLHITPLDDDDDEPNEGPTPRESHADKRKREVGGGGGEEMQLSLPADIDVVPEADRSSIDELMKLYYSCLQGTDTDGGGGEQGKDVAAGAGGDGSVAPETVHVDDDDMLEGLLGVAQVVDMSDFPDSPIWHWGSSSD >ORUFI04G16080.1 pep chromosome:OR_W1943:4:18896671:18897539:-1 gene:ORUFI04G16080 transcript:ORUFI04G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTSATASAAVLKTPFLGAKRALANAVGVAGAKPAPRRALVVSAKKSWIPAFKSDAEFINPPWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGVPWFEAGAQPEAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQAVEWATPWSRTAENFSNATGEQGYPGGKFFDPLGLGGETRDGVYIPDTDKLDRLKLAEIKHARLAMLAMLIFYFEAGQGKTPLGALGL >ORUFI04G16090.1 pep chromosome:OR_W1943:4:18898516:18900789:-1 gene:ORUFI04G16090 transcript:ORUFI04G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTATEGDKEAGRGGGGGGGARRPVVLVTGCSEGGIGHAMARAFAAAGCAVVATARSRASMRGLEGDPRYLLLELDVRSDESARAAVADAVRELGRVDVLVNNAGVHLVAPLAEVPMEEFQQVFDTNVYGAMRLIHAVIPQMIEREQGTIVNVGSITALAPGPWAGVYSASKAALHALSDTLRLELKSFGINVMIVAPGGTKSNLGSNSTSKYVQIRDWKYYKKFEESLRARTDASQGPGSTPAEDLAERVVALVLKKNPPAWFAYGQFSAILSLLYYAPLWFRDYFYKIVMKC >ORUFI04G16100.1 pep chromosome:OR_W1943:4:18908535:18911172:1 gene:ORUFI04G16100 transcript:ORUFI04G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCNSWKPTLTMVGVVVVFAVMNTLTKMAFNEGMRSTVLITLRQLIATLFLAPIAYFRERASLTQWLFFLGLQYTTATFACAFINMTPIFTFIVALPYGLEKVDLKTGAGIAKVGGTVLGFSGAMILALYQGPSLTKLGPAAARSSSSSSSSSATAAVVGHGSGGGAHRWAIGSVALLGGSACWSLWFILQSRIAKKYPALYSGTALMFLLSFLQMAAVALAVDRISLSPWILTTKLQIITVLFVGIVGSGIAFLAMSWCVEQRGPVFTTAFTPLIQIIAAAINVIVLHEQLHLGIVIGSALVIIGLYFVLWGKSKEASSSSSPAKEAVPALRQQYGGHDQETTNDVQMQTV >ORUFI04G16110.1 pep chromosome:OR_W1943:4:18913442:18913684:-1 gene:ORUFI04G16110 transcript:ORUFI04G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVAGDLVQAYVLKKACKEKMRAETNAGEAAAAAAAMTGKKTAVGGGSSEKKTAPEVSKGERRGFSGLMMMKKKVHPK >ORUFI04G16120.1 pep chromosome:OR_W1943:4:18915413:18920377:-1 gene:ORUFI04G16120 transcript:ORUFI04G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARRLHKWAATARLVLLLLAAAAAAAAAAGRREVVTSPHGAVAADDGRCSRIGRDALRDGGNAVDAAVAASLCLGVVSPASSGVGGGAFMLVRLADGTALAYDSRETAPLAASQDMYGGNETLKARGALSIAVPGEIAGLYEAWKRHGKLPWKRLVMPAAKLARAFRVSPYLRKQMEATRDGILQNKGISGVYTSNGDILNVGDVCRNIRLARTLVAVAEKGPDVFYKGAVGDQLVKDIQEVGGIITMEDLKKYQVKIRRPLLENVLGLTVLSMPPPSAGGAGLMLVLNILTQYGLPAGFSGSLGIHRLIESLKHYFAIRMNLGDPEFVNVNEVVSDMMSPKFAADLKKTIYDNTTFDPKHYGGRWNILQDHGTSHLSIVDSERNAVSMTTTVNAYFGSLILSPSTGILLNNEMDDFSMPANTSANSPPPAPANFVRPLKRPLSSMTPTIILKDGNLKAAVGASGGSMIPAGTMEVLLNHFVKNMDPLSSVMAPRVYHQLIPNVVQYENWTTVTGDHFELDAATRADLRRKGHVLEPLAGGTISQLVVDDVERYGGLTAVSDPRKGGFPAGY >ORUFI04G16130.1 pep chromosome:OR_W1943:4:18922426:18922989:1 gene:ORUFI04G16130 transcript:ORUFI04G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERGELRHQANNNLWHGLHRVGGGEPVGRRSPPLPKWEELMGRDWTYHIRSMSSFMNKDRDKNDYGQV >ORUFI04G16140.1 pep chromosome:OR_W1943:4:18925574:18926230:1 gene:ORUFI04G16140 transcript:ORUFI04G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFAVVVMSSCVADGVRTIPGVQGSAGGLHNGGTAPSAAAANGSTTTAYDDRGTGGQTATFQVQQGAQPEEETTTEMGNAAEAATGSRLPDCTHACGPCSPCRRVMVSLRCAEAAESCPVAYRCMCRGRFFRVPTL >ORUFI04G16150.1 pep chromosome:OR_W1943:4:18927255:18932154:-1 gene:ORUFI04G16150 transcript:ORUFI04G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFRLEHTLGLGQIFKSLELNKNTGKKKRRERGETGRFLLPLEKRRGEERRPSRRRRRRGEGADRAVPPFAEGTPAASGLGPLGSGERRRGMAEARLLRRRRLCLAVAVVWVVAVAVSRVGANTEGDALYSLRQSLKDANNVLQSWDPTLVNPCTWFHVTCNPDNSVIRVDLGNAQLSGALVPQLGQLKNLQYLELYSNNISGTIPNELGNLTNLVSLDLYLNNFTGFIPETLGQLYKLRFLRLNNNSLSGSIPKSLTNITTLQVLDLSNNNLSGEVPSTGSFSLFTPISFANNKDLCGPGTTKPCPGAPPFSPPPPFNPPTPTVSQGDSKTGAIAGGVAAAAALLFAVPAIGFAWWRRRKPEEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERQPNDPPLEWQTRTRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKVEMLVDPDLQSGFVEHEVESLIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEAELAPRHNDWIVDSTYNLRAMELSGPR >ORUFI04G16160.1 pep chromosome:OR_W1943:4:18938487:18939391:1 gene:ORUFI04G16160 transcript:ORUFI04G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSNEKDACQPWVKVYRVVHVLPATSTPTCGATPTSEAEAAKRREDVIYRSNYPGHFGGQ >ORUFI04G16170.1 pep chromosome:OR_W1943:4:18943616:18944142:1 gene:ORUFI04G16170 transcript:ORUFI04G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVGAVVSWGRRLGRVNATREWEAACGMSTSGGHGESGAVRGTDGGERIAPMSRAEPRLRMNSAGFSGSACGSNQEE >ORUFI04G16180.1 pep chromosome:OR_W1943:4:18946797:18952615:1 gene:ORUFI04G16180 transcript:ORUFI04G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDNQGEREVVKKASTSTSSQALSMVIEGEGNKAAGSGALISGLRGSEAVMLIVGVVLRTSQPIISSLFFSYSVIQLSFALTPLSYCQAVMDNSFVDIPNQPPMNNPFVLMEPSAQSYMVNLGKSTPHMDCLGSAMANYGHGNQNIQCIDSITTRDDGCRLVLGLGPTPNFYSAECQPTGVDKLKEAPSLSGQGLTITDPGTLRLGLQMDVSQTIQPLQAPDGTVHSFTVVDEASASPSVRSIGGYMPSLLFAPRSGSSAVNETHEAETQDSLDLTHSDNDNTQHVQHHLQLSPEPSAMTDTSFGVSSDVVTATTTSEQRNHPRHPKKCRFKGCSKGARGASGLCIAHGGGQRCHKPGCHKGAESSTAYCKAHGGGRRCEELGCTKSAEGKTDYCIAHGGGRRCEHDGCPKAARGKSGRCIKHGGGKRCTVQGCIRSAEGKAGLCISHGGGRRCQFPDCSKGAQGSTLYCKGHGGGKRCIFDGCSKGAEGSTPLCKAHGGGKRCMFEGGGVCPKSVHGGTEYCVAHGGGKRCSVAGCTKSARGRTDCCVKHGGGKRCKVDNCSKSAQGSTDFCKAHGGGKRCTWGTGCEKFARGRSGLCAAHGTLAAKQQERDTANNGAGMIPPGLFSGIVTVAATSSMTNEYSSSGISTASDCDGTVRSQAMMIPPQVLVPRSMMPSSSSEPTVHGGREGGCAVPEGRVHGGGLLSLLGGSFRNADMDKL >ORUFI04G16190.1 pep chromosome:OR_W1943:4:18953921:18958979:1 gene:ORUFI04G16190 transcript:ORUFI04G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAPLLLLLAAVCLVAAASAGGADAAERKATTVGVYELRKGDFSIRVTNWGAVIMSVVLPDSRGKLDDVVLGYDTIAEYVNSSTYFGALVGRVANRIAKARFVLDGKAYHLYPNDGKNTLHGGHRGFSNVTWTVKEHVGGGDAPYITLYYHSFDGEQGFPGALDVYVTYQLSGPYVLSVHMNATAAGKATPVNLAQHSYWNLGGAGSGDILGNTVQLFASRYTPVDAELIPTGQVAPVAGTPYDLRAPTPVGARVHLVTGGLSKTGATIYGFDTNYAVDGGDDVDAHAHALRRVAVVRDGKSGRSLELWANQPGVQFYTGNFLTADVKGKGGKAYGQYGALCLETQGFPDAVNHPNFPSVIVRPGQVYKHEMVYKFSF >ORUFI04G16200.1 pep chromosome:OR_W1943:4:18956132:18957665:-1 gene:ORUFI04G16200 transcript:ORUFI04G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPLRSPPHRARLAHIAFLLEAAKREGGGRTEAAAAVAVKAHGTRWRWWRLPPLAQQGRRAEVWAALGGGQGSARRLDLALRPHGGSTWRRERLPTVRRRTAGAGRRRWHSRSGARSKDIPGCTKFIKTGLQNEELLEKMFEDIRNTGFLELSKQVSQTLSDHLQLLVELAPTGVGVWS >ORUFI04G16210.1 pep chromosome:OR_W1943:4:18962104:18966469:1 gene:ORUFI04G16210 transcript:ORUFI04G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSDQSKRSHASTSAAQVSVRNQAGPVPPRTNSSEIAAGIRLYLHLYQETIENELHASLSSRPVSCPRRIALLTMAGARLSLAFLCLVVVVVASALAGGAGARKTVGEYVLRKGDFSVKITNWGATMMSVVLPDSKGNLADVVLGLDTIAEYVNDTNYFGPVTGRVGQRIARGRFVLDGKVYHTYINDGRNAIHGGHRGFSKVIWTVKEYVGGGDSPYITLYYRSFDGEQGFPGDLDAYVTYQLSSPYVLALRMNATALNKATPVNFLQHTYWNLGGQGRGDVLGHTLQLSASRYTPLDEELLPSSGVVAPVAGTPYDFRHPTPIGARIRQVMGGRIAGYDINYVIDGEGMRKVAAARDGASGRALELWANQPAMQLYTGNWLNNTKGKGGKVYQQYGGFCLETQGYVDAVNHPEFPSMTVRPGQVYKHDMAFKFSF >ORUFI04G16220.1 pep chromosome:OR_W1943:4:18966015:18968500:-1 gene:ORUFI04G16220 transcript:ORUFI04G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFACFGRPYSGLVFVVPLICLLQFTRGAARRTDHASGRERRSGGSGGRPRRPLGSSRDARFSFLSSASVFRSPVADQPRAAKFVTRLGLLPPCPCLSDGLFIDNFGRSTEVWEVEKTNQRRRRRARRRMGKGRGRTGGELLGEDGGERDVHSTARRCGGLLVVFVSTDKPAASDERTGRRRGGAGER >ORUFI04G16230.1 pep chromosome:OR_W1943:4:18968648:18969938:-1 gene:ORUFI04G16230 transcript:ORUFI04G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIQRQDSFDDLINLADDVVVEAPTLSSEEMDRARREALEILRNNSPEEAFRIFTQGLIGQVVQSPVVGNATTPPTTNQAVTVSVPPKAGDGEPKTAPRPPNN >ORUFI04G16240.1 pep chromosome:OR_W1943:4:18970650:18972356:-1 gene:ORUFI04G16240 transcript:ORUFI04G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKFLLGGVGAILVVAVVVGVVATVTRSGNKAGDNFTVPGEANLATSGKSVESLCAPTLYKESCEKTLTTATSGTENPKEVFSTVAKSALESIKSAVEKSKAIGEAKTSDSMTESAREDCKALLEDSVDDLRGMVEMAGGDVKVLFSRSDDLEHWLTGVMTFMDTCADGFADEKLKADMHSVLRNASELSSNALAITNTLGAIFKKLDLDMFKGENPIHRSLIAEQETVGGFPSWMKAPDRKLLASGDRNRPQPNAVVAQDGSGQFKTIQEAVNSMPKGHQGRYVIYVKAGLYDEIVMVPKDKVNIFMYGDGPKRSRVTGRKSFADGITTMKTATFSVEAAGFICKNMGFHNTAGAERHQAVALRINGDLGAFYNCRFDAFQDTLYVHARRQFFRNCVISGTIDFIFGNSAAVFQNCLIITRRPMDNQQNSVTAHGRTDPNMKSGLVIQNCRLVPDQKLFPDRFKIPSYLGRPWKEYSRLVIMESTIADFIKPEGYMPWNGEFALNTLYYAEFNNRGPGAGTSKRVNWKGFRVIGQKEAEQFTAGPFVDGGTWLKFTGTPHFLGFKV >ORUFI04G16250.1 pep chromosome:OR_W1943:4:18974380:18974595:-1 gene:ORUFI04G16250 transcript:ORUFI04G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRISFTSKYGAVEEERHRGLAPSPMIGTLSDLRPPVAGSPPPSLSDLQLSKASSRLREAVGPRPRMRT >ORUFI04G16260.1 pep chromosome:OR_W1943:4:18974907:18981024:-1 gene:ORUFI04G16260 transcript:ORUFI04G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESGSCGGGGGGGGGCEAVKKRVDQSVAFHELFGFADPLDWLLMAAGSAGAVVHGAAMPVFFLLFGELINGFGKNQHSLRRMTDEYSLYFVYLGLVVCASSYLEIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDPADGRCLDEVHGNIEFKEVAFSYPSRPDVMIFRDFSLFFPAGKTAAVVGGSGSGKSTVVALIERFYDPNQGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMAEVEAAATSANAHSFIALLPNGYNTQVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSENIVQEALDRLMVGRTTVVVAHRLSTIRCVDMIAVIQQGQVVETGTHDELLAKGSSGAYAALIRFQEMARNRDFRGPSTRKSRSSRLSNSLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPKGYFFKLLKLNAPEWPYTILGAIGSILSGFIGPTFAIVMSNMIEVFYFRDPNAMERKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAAILRNDVGWFDQEENNSSLVAARLSTDAADVKSAIAERISVILQNMTSLLVSFVVGFIIEWRVAVLILVTFPLLVLANFAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKVLSLFCTELRVPQMHSLRRSQISGALFGLSQLSLYASEALILWYGAHLVRHHVSTFSKVIKVFVVLVITANTVAETVSLAPEIVRGGESIRSVFAILNYRTRIDPDEPETEPVESVRGDIDFRHVDFAYPSRPDVMVFKDFSLRIRAGQSQALVGASGSGKSTVIALIERFYDPLAGKVMIDGKDIRRLNVRSLRLKIGLVQQEPVLFATSIFENIAYGKDGATEEEVIEAAKVANMHGFVSALPEGYKTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERIMKGRTAVLVAHRLSTIRGVDSIAVVQDGRVVEQGSHGELVSRPDGAYSRLLQLQLHHG >ORUFI04G16260.2 pep chromosome:OR_W1943:4:18974907:18981024:-1 gene:ORUFI04G16260 transcript:ORUFI04G16260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADESGSCGGGGGGGGGCEAVKKRVDQSVAFHELFGFADPLDWLLMAAGSAGAVVHGAAMPVFFLLFGELINGFGKNQHSLRRMTDEIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDPADGRCLDEVHGNIEFKEVAFSYPSRPDVMIFRDFSLFFPAGKTAAVVGGSGSGKSTVVALIERFYDPNQGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMAEVEAAATSANAHSFIALLPNGYNTQVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSENIVQEALDRLMVGRTTVVVAHRLSTIRCVDMIAVIQQGQVVETGTHDELLAKGSSGAYAALIRFQEMARNRDFRGPSTRKSRSSRLSNSLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPKGYFFKLLKLNAPEWPYTILGAIGSILSGFIGPTFAIVMSNMIEVFYFRDPNAMERKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAAILRNDVGWFDQEENNSSLVAARLSTDAADVKSAIAERISVILQNMTSLLVSFVVGFIIEWRVAVLILVTFPLLVLANFAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKVLSLFCTELRVPQMHSLRRSQISGALFGLSQLSLYASEALILWYGAHLVRHHVSTFSKVIKVFVVLVITANTVAETVSLAPEIVRGGESIRSVFAILNYRTRIDPDEPETEPVESVRGDIDFRHVDFAYPSRPDVMVFKDFSLRIRAGQSQALVGASGSGKSTVIALIERFYDPLAGKVMIDGKDIRRLNVRSLRLKIGLVQQEPVLFATSIFENIAYGKDGATEEEVIEAAKVANMHGFVSALPEGYKTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERIMKGRTAVLVAHRLSTIRGVDSIAVVQDGRVVEQGSHGELVSRPDGAYSRLLQLQLHHG >ORUFI04G16270.1 pep chromosome:OR_W1943:4:18985558:18986319:-1 gene:ORUFI04G16270 transcript:ORUFI04G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNGDDNDAAAIHARILSYARRRCSRRPPLAAPRLLRSQRQPAPLPPTSAPIPNARRAAFRLLPRRRRPLRRLRRAPPHRAAPRRRPPLAATAGQPPSSARRDSRRHTLLPLLPSPTPTSRLQAAAAAAPAGARLGTVVLTPPSTPPPPHAAQPQLPAAVAPRPPPRRHHARPPPHHRAPCLAAPPHSAIDPPRATPPGPSLLPPAPRPPPPRCAALHQPTTTIQTHRSRGEEEEQERNKISFGGEEGKKED >ORUFI04G16280.1 pep chromosome:OR_W1943:4:18996913:19001349:1 gene:ORUFI04G16280 transcript:ORUFI04G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMLSAATVPLQGGGLSEFSGLRSSSSLPLRRNATSDDFMNAVSFRTHAVGTSGGARRAPTEAKLKVAINGFGRIGRNFLRCWHGRGDSSPLDVIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGDNAISVDGKVIKVVSDRNPSNLPWGELGIDLVIEGTGVFVDRDGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADQYSPDEPIISNASCTTNCLAPFVKILDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTLAEEVNQAFRDSAANELKGILEVCDVPLVSVDFRCSDVSCTIDASLSMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANQWK >ORUFI04G16290.1 pep chromosome:OR_W1943:4:18999708:19001996:-1 gene:ORUFI04G16290 transcript:ORUFI04G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGERCAGRPLFGGAISSTFPVRFQDVSNIRQVPDHQEVFVDPARDESLIFELLDLKGEVEDGGSALWFLRDIANEQDAGDNLVVEHSGTIELGGLRFGDAPAVAGTAVGQLAISKGRQGREAQNIVRLYLANIRLKNAATDVVITAYEPLLINPLSESASAVAAGPAVPAEQAGCLAMSEIFKLAVMNFNVHDWNLFNGSS >ORUFI04G16300.1 pep chromosome:OR_W1943:4:19003414:19006651:-1 gene:ORUFI04G16300 transcript:ORUFI04G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEQKGKKPRTDGAEAEPVDAALLQSIEKLQEIQDEIEKVNEEACDKVLELEQKYNEVRRPVYVRRNKIIKQIPDFWLTAFLSHPMLGELLTEDDQKIFKHLESIDVDDSEDIKSGYSITLTFSPNPYFEDTKLTKTYSFSDDEAVKVKATSIRWKKGMDIANDRAYTKKGDKRILIDESFFTWFNSEKNRSFAHGAMDEVADVIKEDLWPNPLKYFNNEFEEELELLDDDDEVSDDDDEEEDDEDQGEGEEDGEEN >ORUFI04G16310.1 pep chromosome:OR_W1943:4:19008204:19011678:-1 gene:ORUFI04G16310 transcript:ORUFI04G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIAAALLRRSTSSQHHRRILLLPLLSHLQRAAPRSPSPWDPPPHHRFFFSSDVTAEGDSKPRPPLDGKQLWREVSTSEPATGASRLPKATWDAVVALLRRFGKDPAMSDQALALYIPASAFPTYARRFRHFLPARLSLESAEHLLSLPADDAHALLLPAFAEFCVTHLADELRKHESVMAAADLTAPHAWYPFARAMRRRVVYHCGPTNSGKTHNALTRFAAAKSGVYCSPLRLLAMEVFDKVNALGVYCSLRTGQEIKEVPFSNHVACTIEMLSTEEPYEVAVVDEIQMMADPVRGYAWTRAVLGLKADEIHLCGDPSVLKIVRKICADTGDDLEVHQYERFKPLVVEAKTLLGDLKNVRSGDCIVAFSRREIFEVKLAIEKFTKHKCCVIYGALPPETRRQQAKLFNEQDNEYDVLVASDAVGMGLNLNIRRVVFYSLAKYNGDRMVPVAASQVKQIAGRAGRRGSIYPDGLTTTFLLDDLDYLIQCLQQPFEEAKKVGLFPCFEQVESFAIQFPDLTFNELLDKFRENCRVDSTYFMCHQESIKKVANMLERIQGLSLKDRYNFCFAPVNIRDPKAMYHLLRFATNYSQSRRVSIAMGMPKGSAKNDTELLDLETKHQVLSMYLWLSHHFEEDHFPHVQKAEEMSINIADLLAKSLAKASWKPTSRQQAKPRRENEEDNDVEQASDDNAKNDSEDGYERSISRIKPFMRKRLDRLSQDPSSLNFVA >ORUFI04G16320.1 pep chromosome:OR_W1943:4:19014621:19020461:1 gene:ORUFI04G16320 transcript:ORUFI04G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHLSHPAIPHDTVSPSRPRIGVRFQIISLHGGRSQATHARTPTTPSIRDPAGLWIACSEPGMHCLACAAPAARAPGSRVGGGGRRRMAIECAASSPFTRDGEETAPRSMMETYGEMSSKPVLLASRRKLVALSSFCFCLHSSRYFSALALGDPSVKIDDVTPKIFPSGPLFPTEKRIAELFETNTYSVVNIFDATLRPQLNVTGVVEVRVESRIPEGNGSGVVWDDSGHIVTNYHVVGNALSKKPKPGEVVARVNILAADGIQKNFEGKLVGADRSKDLAVLKVDAPTDLLKPINVGQSSALRVGQQCLAIGNPFGFDHALTVGVISGLNRDIFSQAGVTIGGGIQTDAAINPGNSGGPLLDSKGHMIGINTAIFTQTGTSAGVGFAIPSSTVLKIAPQLIQFGKVRRAGLNVEFAPDPIAYQLNVRTGSLILQVPGGSAAAKAGLVPTSRGFAGNIVLGDVIVAVDGKPIKGKSDLSRVLDDYGVGDKVSLTIQRGAETLEMVPQYTSEFLLIIRHNPLKIMMQSVHPDDLIDVSICR >ORUFI04G16330.1 pep chromosome:OR_W1943:4:19029415:19039634:1 gene:ORUFI04G16330 transcript:ORUFI04G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGTARTCMNGLNALSGVGLLTVPYALSEGGWVSLALLAAVAAACWYTGILLCRCMDADDAIRTYPDIGERAFGRTGRLLVSAFTYVELYLVATGFLILEGDNLDKLFPGARVTLGTVSLAGKRLFVVLVALVVAPTTWLRSLGVLAYVSATGVFASVVIVLSVLWAAAVDGVGFSGRGTTTPLRIAGLPTALGLYIFCYGGHPMFPTLYTSMKRKSQFPKMLVICFLLCTLNYGAMAVLGYLMYGDGVLSQVTLNLPSARLSSKVAIYTTLLNPVTKYALVVTPIAAAVEERIRGAAGKGARAVSVAVRTLLVLSTVAVALALPFFADLMALVGSMLNVAVCMLLPCACYVRIFGAPSMSSVEAVAIGGILVLGSLVAVTGTYYSLMKIIHELVRMAIGGAAPPHPPRGGGGGSPEDSSTARRPDFEQPLLQAHAAVPARGKQEPVERDHEAQCSPEADGDGATFVRTCFNGLNALSGERWAAVDSGGWLSLVLLLAVAMVCCYTGLLLRRCMAASPAVRGYPDIGALAFGAKGRLAVSAFLYAELYLVAIGFLILEGDNLDKLFPGTSLAVGGLVVSGKQLFVVVVAVVILPTTWLRSLAVLAYVSASGVLASVVVVFCVLWAAVFDGVGFHGKGRMLNVSGLPTALGLYTFCYCGHAIFPTLCNSMQEKDKFSRVLVICFVACTVNYGSMAILGYLMYGDDVKSQVTLNLPEGNISSKLAIYTTLINPFSKYALMVTPVATAIEEKLLAGNKRSVNVLIRTLIVVSTVVIALTVPFFGHLMALVGSLLSVMASMLLPCICYLKIFGLTRCGRGETLLIAAIIVLGSLVAATGTYSSLKKIFYEF >ORUFI04G16340.1 pep chromosome:OR_W1943:4:19042178:19042998:1 gene:ORUFI04G16340 transcript:ORUFI04G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDGSVMEHGEISSKAPLVAPVAAGVNRAVAVVDTFLRFIAIIGTIGSAIAMGTTNETLPFFTQFIQFEAKYSDLPSFTFFVAANAVVCTYLVLSIPLSIVHILRPRARYSRLFLVFFDTAMLALLTAGASAAAAIVYLAHKGNVRANWFSICQQFDSFCERISGSLIGSFAAMVLLVVLITLSAFALARRH >ORUFI04G16350.1 pep chromosome:OR_W1943:4:19043393:19048749:1 gene:ORUFI04G16350 transcript:ORUFI04G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLRSILPTVARNNGWRCFATGFPSDTIAELNKEMESVFGESPSPSPLGSTPQQPARPTNGSGDGQPMLTHIDSSGQAKMVDVSSKHDSTRVAIATCRVLLGQEAFDLVASNKIAKGDVLTVAKIAGITGAKQTSNLIPLCHNLNLSHVRVDLTLNEEDSSVMIEGEASTSGKTGVEMEAMTAVAIAGLTVYDMCKAASKNICITDICLQHKSGGKSGSWSRS >ORUFI04G16350.2 pep chromosome:OR_W1943:4:19043393:19048749:1 gene:ORUFI04G16350 transcript:ORUFI04G16350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLRSILPTVARNNGWRCFATGFPSDTIAELNKEMESVFGESPSPSPLGSTPQQPARPTNGSGDGQPMLTHIDSSGQAKMVDVSSKHDSTRVAIATCRVLLGQEAFDLVASNKIAKGDVLTVAKIAGITGAKQTSNLIPLCHNLNLSHVRVDLTLNEEDSSVMIEGEASTSGKTGVEMEAMTAVAIAGLTVYDMCKAASKNICITDICLQHKSGGKSGSWSRS >ORUFI04G16360.1 pep chromosome:OR_W1943:4:19050548:19052649:1 gene:ORUFI04G16360 transcript:ORUFI04G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGDASAVRARVRSGDYFFFHFFPVDVDIDRLLPFVDRCGSSFFKSLYQPVTNVLSLGYRIIVPLPVCHRFDWLISWEILLLRV >ORUFI04G16370.1 pep chromosome:OR_W1943:4:19053658:19056131:1 gene:ORUFI04G16370 transcript:ORUFI04G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHQGQAGMDLPPGFRFHPTDEELITHYLAKKVADARFAALAVAEADLNKCEPWDLPSLAKMGEKEWYFFCLKDRKYPTGLRTNRATESGYWKATGKDKDIFRRKALVGMKKTLVFYTGRAPKGEKSGWVMHEYRLHGKLHAAALGFLHGKPASSKNEWVLCRVFKKSLVEVGAAGGKKAAVVTMEMARGGSTSSSVADEIAMSSVVLPPLMDMSGAGAGAVDPATTAHVTCFSNALEGQFFNPTAVHGHGGGDSSPFMASFTQYGQLHHGVSLVQLLESCNGYGGLVDMAASGSQLQPAACGGERERLSASQDTGLTSDVNPEISSSSGQKFDHEAALWGY >ORUFI04G16370.2 pep chromosome:OR_W1943:4:19053661:19056131:1 gene:ORUFI04G16370 transcript:ORUFI04G16370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHQGQAGMDLPPGFRFHPTDEELITHYLAKKVADARFAALAVAEADLNKCEPWDLPSLAKMGEKEWYFFCLKDRKYPTGLRTNRATESGYWKATGKDKDIFRRKALVGMKKTLVFYTGRAPKGEKSGWVMHEYRLHGKLHAAALGFLHGKPASSKNEWVLCRVFKKSLVEVGAAGGKKAAVVTMEMARGGSTSSSVADEIAMSSVVLPPLMDMSGAGAGAVDPATTAHVTCFSNALEGQFFNPTAVHGHGGGDSSPFMASFTQYGQLHHGVSLVQLLESCNGYGGLVDMAASGSQLQPAACGGERERLSASQDTGLTSDVNPEISSSSGQKFDHEAALWGY >ORUFI04G16380.1 pep chromosome:OR_W1943:4:19060609:19062661:-1 gene:ORUFI04G16380 transcript:ORUFI04G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASSSAAAATSSAAVATSSAAAAAAVATAASSSAAMDWYLDAVRGVATTEKALGFFKEKASTTHAKSFWFYKHIPLVYHTIFTMILLPTRRSKGMERARAYVYMRYSAASVKYSLCFITQVVVRCTDAVARWPGVKVQRYEYDRTVDEPDAAFSLDRPHRASHSINGPGVCKAEMPHMATGLSSLFRWASTTVHQYIKAPKTCRPD >ORUFI04G16390.1 pep chromosome:OR_W1943:4:19076187:19078809:1 gene:ORUFI04G16390 transcript:ORUFI04G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEGLKKGPWTPEEDQKLLAYIEQHGHGCWRSLPSKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRSDVAGAGGGGGGGGGGAAGGAAGAQHAKAAAHLSHTAQWESARLEAEARLAREAKLRALAASATPGAPHLPAPPASAAAAAAHGLDSPTSTLSFSESAVLATVLEAHGAAAAAAARAAMQPMQAYDEACKDQHWGDVDAADVGFPGAGAGFTGLLLEGSLNQIPRPAGRDAEADGEFQETEEEKNYWNSILNLVNSSSAPMSTAVVVPASHAYSPAPDF >ORUFI04G16400.1 pep chromosome:OR_W1943:4:19079349:19081227:1 gene:ORUFI04G16400 transcript:ORUFI04G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMSVHPAATPALASRARISRPKLSAASPCTTSSSSTPRLVHLGSRRLPLRSLRGLAAAAASGAVEAEEGEEEKQVGGGDASAGEEAQEYKVKVPERQDPMLVLKFIWMEKNIGIALDQLVPGYGSIPLSPYYFWPRKDAWEELRAKLEEKEWISQKQMIILLNQATDIINLWQQGGGSLST >ORUFI04G16410.1 pep chromosome:OR_W1943:4:19092641:19102324:1 gene:ORUFI04G16410 transcript:ORUFI04G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLVIFSSTGRLYEYASTSMKSVIDRYGRAKEEQQHVANPNSELKGGSKLETTTAQLARKSSLMGQDLSGLGVKELQTLENQLEMSIRCIRTKKDQLMIDEIHELNRKGSLIHQENMELYRKVNLIRQENAELYKKLYETGAENEANRDSTTPYNFAVIEEANTPARLELNPPSQQNDAEQTTPPKLGLQLHP >ORUFI04G16410.2 pep chromosome:OR_W1943:4:19097914:19102324:1 gene:ORUFI04G16410 transcript:ORUFI04G16410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTKRAIFNPPNTLQDNSYTKLAVLAKGGSKLETTTAQLARKSSKNRTEHPSKADRPNLQRRVNITFSSPVLSAWQLMGQDLSGLGVKELQTLENQLEMSIRCIRTKKDQLMIDEIHELNRKGSLIHQENMELYRKVNLIRQENAELYKKLYETGAENEANRDSTTPYNFAVIEEANTPARLELNPPSQQNDAEQTTPPKLGLQLHP >ORUFI04G16410.3 pep chromosome:OR_W1943:4:19097914:19102324:1 gene:ORUFI04G16410 transcript:ORUFI04G16410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTKRAIFNPPNTLQDNSYTKLAVLAKGGSKLETTTAQLARKSSKNRTEHPSKADRPNLQRRVNITFSSPVLSAWQLMGQDLSGLGVKELQTLENQLEMSIRCIRTKKDQLMIDEIHELNRKGSLIHQENMELYRKVNLIRQENAELYKKLYETGAENEANRDSTTPYNFAVIEEANTPARLELNPPSQQNDAEQTTPPKLG >ORUFI04G16410.4 pep chromosome:OR_W1943:4:19097914:19102324:1 gene:ORUFI04G16410 transcript:ORUFI04G16410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTKRAIFNPPNTLQDNSYTKLAVLAKGGSKLETTTAQLARKSSLMGQDLSGLGVKELQTLENQLEMSIRCIRTKKDQLMIDEIHELNRKVLQHHGSLIHQENMELYRKVNLIRQENAELYKKLYETGAENEANRDSTTPYNFAVIEEANTPARLELNPPSQQNDAEQTTPPKLGLQLHP >ORUFI04G16410.5 pep chromosome:OR_W1943:4:19097914:19102324:1 gene:ORUFI04G16410 transcript:ORUFI04G16410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTKRAIFNPPNTLQDNSYTKLAVLAKGGSKLETTTAQLARKSSLMGQDLSGLGVKELQTLENQLEMSIRCIRTKKDQLMIDEIHELNRKGSLIHQENMELYRKVNLIRQENAELYKKLYETGAENEANRDSTTPYNFAVIEEANTPARLELNPPSQQNDAEQTTPPKLGLQLHP >ORUFI04G16410.6 pep chromosome:OR_W1943:4:19092641:19097711:1 gene:ORUFI04G16410 transcript:ORUFI04G16410.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLVIFSSTGRLYEYASTSMKSVIDRYGRAKEEQQHVANPNSELKV >ORUFI04G16420.1 pep chromosome:OR_W1943:4:19112117:19113084:-1 gene:ORUFI04G16420 transcript:ORUFI04G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGYPAGGEQPSGRLVVLRPLRLLRRRCLTFFCPCVAFGRIAEIVDQGATSCCASGTLYMLLAMATGFACAYSCCYRSRLHQQYGLQEKPCGDCCVHWCCGPCALCQEYRELKSRGFDMSLGEHGEDGEGRRDCPAADAPGDDSLVRVCVRGHVGLRCADQASACVGSVASSRQGMPTCKVDVKLVFSFCYISSCRESHEL >ORUFI04G16420.2 pep chromosome:OR_W1943:4:19112117:19112676:-1 gene:ORUFI04G16420 transcript:ORUFI04G16420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFACAYSCCYRSRLHQQYGLQEKPCGDCCVHWCCGPCALCQEYRELKSRGFDMSLGEHGEDGEGRRDCPAADAPGDDSLVRVCVRGHVGLRCADQASACVGSVASSRQGMPTCKVDVKLVFSFCYISSCRESHEL >ORUFI04G16430.1 pep chromosome:OR_W1943:4:19122312:19127569:-1 gene:ORUFI04G16430 transcript:ORUFI04G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLWKATQHRFADVVYLREVDLNWCPKREAMNAKKISVEPENEINDDVNEAVQDNDNFTSSPMV >ORUFI04G16440.1 pep chromosome:OR_W1943:4:19134810:19139265:1 gene:ORUFI04G16440 transcript:ORUFI04G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVTLIKDYRGKIETELTKICDGILKLLESHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDTAEEIREAPKRDSSEGQYSRLYVP >ORUFI04G16440.2 pep chromosome:OR_W1943:4:19134874:19139265:1 gene:ORUFI04G16440 transcript:ORUFI04G16440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVTLIKDYRGKIETELTKICDGILKLLESHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDTAEEIREAPKRDSSEGQYSRLYVP >ORUFI04G16440.3 pep chromosome:OR_W1943:4:19134851:19139265:1 gene:ORUFI04G16440 transcript:ORUFI04G16440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVTLIKDYRGKIETELTKICDGILKLLESHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDTAEEIREAPKRDSSEGQYSRLYVP >ORUFI04G16450.1 pep chromosome:OR_W1943:4:19139185:19141254:-1 gene:ORUFI04G16450 transcript:ORUFI04G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASEELERRSRYLSSLVRRTKLADPPEPEPEPEPEPEREREREVAAKESGGGEGKGGKVVEEKEVKAAKEKEKEAKVPRGEGGNGEEKKVAVRVRAADMPPALQRRAIRVALEATAAMPRIDSKRLALALKKEFDTTYGPAWHCIVGTSFGSYVTHSLGGFLYFSVDKLYILLFRTAVEPLSYQR >ORUFI04G16460.1 pep chromosome:OR_W1943:4:19147528:19148704:-1 gene:ORUFI04G16460 transcript:ORUFI04G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPAAVSFLTNIAKAAAGLGAAASLLSASLYTVDGGERAVIFDRFRGVLPETVGEGTHFLVPWLQKPFVFDIRTRPHNFSSNSGTKDLQMVNLTLRLLSRPDVVHLPTIFTSLGLEYDDKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRDALIRRAREFNIILDDVAITHLSYGIEFSQAVEKKQVAQQEAERSKFLVAKAEQERRAAIVRAEGESESARLISEATAAAGTGLIELRRIEAAREIAAELARSPNIAYVPAGDNGRMLLGLNAAGGVSLVTDVALLN >ORUFI04G16470.1 pep chromosome:OR_W1943:4:19151682:19153775:-1 gene:ORUFI04G16470 transcript:ORUFI04G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPAAAAAALLLLLALSAGANDIASDAAALQAFIAPFGSATVSWNTSQPTCSWTGVVCSGGRVVEVHLPGVGLRGNVPVGALGGLDKLAVLSLRYNALSGPLPSDLAKCAELRVINLQSNHFSGELPPEILALPALTQLNLAENRFSGRIPASIAKNGRLQLLYLDGNLLTGELPNVNMPLLTSFNVSFNNLTGGIPSGLSGMPATSFLGMSLCGKPLAACRTPISIPPSQAPALSPEGAVSAGGRGRGGRRLAGGAIAGIVIGCALGFLLVAGVLVLACGALQRKPRPHHSRDVAAELALHSKEAMSPSVYTPRVSDARPPPPPAAVVPAIQPAVAANVAGKKKLFFFGRVPRPYDLEDLLRASAEVLGKGTYGTTYKAALETGPVVAVKRLKETSLPEREFRDKVAAIGGLDHPNVVPLQAYYFSKDEKLMVYEFVAMGSLSSMLHGNRGSGRSPLLWESRRRIALASARGLEYIHATGSKVVHGNIKSSNVLLSRSSVDARVADHGLAHLVGPAGAPSSRVAGYRAPEVVADPWRLSQKADVYSFGVLLLELLTGKAPTHAVLHDDEGVDLPRWARSVVREEWTSEVFDTELLRHPGAEDEMVEMLRLAMDCTVTVPDQRPAMPEIVVRIEQLGGAGSARTARSVSMDDADDRPLRPAGSTRES >ORUFI04G16480.1 pep chromosome:OR_W1943:4:19159898:19160809:1 gene:ORUFI04G16480 transcript:ORUFI04G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNRISGGGGGGFLKPLAGVSFAFMPGVGAFYFLVGSVLGFLAMVYSSESDEAGGDWASAERWVALARSVSAPQMFVGIPLLLLATGVWRLGKRCEAVEGLVGNADATVQALRVGGVVCAVCGTKILALKKKGGLPPSPTPSPARSKGSCSDKPVARSLAAELEQEANAEEDECAAAGDANGGCGSGAEEGGSVERLRRRLAAERRRREAALEELEKERRAAASAADEAMAKIACLRNEKALVEREARQFREMAQQKQMYDRQQIESLQWVIQRFGMPCGEAEVSSERAVSETSEDDRDRK >ORUFI04G16490.1 pep chromosome:OR_W1943:4:19162820:19164649:1 gene:ORUFI04G16490 transcript:ORUFI04G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRALRLRHRPRRPPPVPAQAPPPSPRPWYAAPPPPPQAAAADPLLVAASEVALALPVHPAPLPSAAPAPLLRLLPAFTSDHFLSLLRLNPLSLPPLPLHSLFRLLLVASPPGLFRHTPASFLSMACHLLRHRLPHLAHPLLRLLASRLGRSSPPRVLPLLLSAAAAAPGDPASLLSALSSAYAEEGLLPDACTLVLLALRRGIRLEPTSCTGLMSRFPTAPEACAFYLQLLDAGLPPEAKLFNVLMRDLVRLGELASAQNVFDEMQSRGVRRTVVSFNTMISGMCRAGDLDGAETLHRRMSEAGVTPDVYTYGALIQGLCRVGRIEDARGVFEKMCGRGMKPNAVVFTILIDAHCKKGDAETMLELHREMRERGVRPDAVTYNAIVNGLCRARDLKSASGIVVEMRSAGLRPDTVTYTTLIDGYCKEEELDMAMEIKQNMVAEGVGLDEVTYTALISGLSKAGRSADAERVLGEMMEAGLEPDNTTYTMVIDAFCRKGDVKTGLRLLKEMQNKGRKPGVVTYNVIMNGFCKLGQMKNADMLLNAMINIGVSPDDITYNILLDGHCKHGKVTDIEELKSAKGTVPDLGVYTSIVGEIVKKKTTKTYHDR >ORUFI04G16500.1 pep chromosome:OR_W1943:4:19165567:19168150:-1 gene:ORUFI04G16500 transcript:ORUFI04G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDGGGLPLLADKAASHSHHHHPERHFTSKEVVRDVIMGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGGYLAAKSEADHYQREMKREQEEIIAVPDTEAAEIGEIMSQYGLEPHEYGPVVDGLRRNPQAWLDFMMRFELGLEKPDPKRAIQSALTIALSYVIGGLVPLLPYMFISTAQNAMLTSVGVTLVALLFFGYIKGRFTGNRPFLSAVQTAIIGALASAAAYGMAKAVQTR >ORUFI04G16510.1 pep chromosome:OR_W1943:4:19170496:19173854:-1 gene:ORUFI04G16510 transcript:ORUFI04G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSHLAAAAAAASPAAARSPAASSAATASAFARLSATPRVASGGLAVRGQRGVAAVVAAAAGAAAATPVADIEERRATEKQPIIVIDNYDSFTYNLCQYMGELGLNFEVYRNDELTIEDVKRKNPRGILISPGPGEPQDSGISLQTVLELGPTIPIFGVCMGLQCIGEAFGGKIIRAPSGVMHGKSSPVRYDEELGKALFNGLPNPFTAARYHSLVIEQETFPHDALEATAWTEDGLIMAARHKKYRHIQGVQFHPESIITPEGKRIILNFVRFIEELEKQRAGEKN >ORUFI04G16520.1 pep chromosome:OR_W1943:4:19176171:19178657:1 gene:ORUFI04G16520 transcript:ORUFI04G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRARWVAKYTKGLVDVLHENNISHYRTQNGWRTDGWRKIVSEFNDRYPDAKFTKVQIQEHETQLKRDYRLVKLILQREGVTWDQNASMIRTTDEIWDEIIEEMPKARKYQFKSFPLLQSLEVLFEGDIPEGEHNLMPSKPQLAGRNVDEGGNNMSTAPSIPGRPCSTVIAGIDDGENNIGILQRTPELGQQGLDDVDILQNPTEEVLERPQHGADPKPQSADEPAHSSSCIEPQKDKRKKRKVPDIQQTMEAFLEFRMKQARLKEQAKKEKKDGEPFSISTCIKALHSMTDVSDQVKILASDVFKDAANREIFLSYDLRLRTLWIKREVNRLLT >ORUFI04G16530.1 pep chromosome:OR_W1943:4:19179565:19182658:-1 gene:ORUFI04G16530 transcript:ORUFI04G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVDASAAAAAARDAKKKRGNRSSAKLKQCKLDARREQWLSQVKDGKEAKASTSPTGTEPNAGSMTVPSPHPPLPRRRVDVRSKGGDPEEDREERGAARQELGSSYLDSPVHSPSSDNSGSVGGMHRKHYNNGGGLNLSSSSSVWSSSRSVSEAEDDDTGGPEEENGVLDDWEAVADADALTVDDCHSHQSSGHVTPPAAPNVCTAPANQTGRQDPIQRTKAWAPDDIFRPQSLPSISRQVSFPASIGNGWMGAAQQANLSTPLTCPICCEDLDLTDSSFCPCPCKFRLCLFCHNKILEADGRCPGCRKEYVAARLSRSARQLLQSQLS >ORUFI04G16540.1 pep chromosome:OR_W1943:4:19185617:19190321:-1 gene:ORUFI04G16540 transcript:ORUFI04G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPPLAALHRFLASPSPPPLPSLLTLHALAVTSGLSPRPDFAAKLVSAYSSAGLPALAALAFAASPCPDAFLWNSLLRSRHRASDFASALSAHRRMRASGARPSRFTAPLVASAAAELGALPVGAAVHAYSVRFGLLEGDGSVAVASSLVYMYARCGSVRDAVRLFDEMPERDVVAWTAVISGCVCNGQCGEGLSYLVRMVRSAGDGGARPNSRTMESGLEACGVLGELSVGTCLHGFGVKAGVGHCPSVVSSLFSMYTKCDSTEDARILFPELPEKDLVSWTSLIGAYCRAGHAEKAVELFLGMEESGLQPDEVVISCLLAGLGNDAKVRGGKTFHAAIVRRNFGDSVLIGNALISMYAKCKQVDIAATVFRMLHQRDTDSWSSMVVAYCKAGLDLKCLELYREMQFRDKDEFEYDTNSLISIISSCSRLGRLRLGQSAHCYSIKHLAGENSSVANALISMYGRCGNFDVARKIFGLVKTKDVVTWSALISSYSHLGHSKDALLLYDQMLTEGVKPNSATLVSVISSCANLAALEHGELIHSHVKDVGLECDLSISTALVDMYMKCGQLGIARKMFDSMLERDVVTWNVMISGYGMHGEAIQALKLFSMMERGNVKPNSLTFLAILSACCHAGLVDKGRELFTRMEEYSLEPNLKHYACMVDLLGKSGHLQEAEDVVSAMPIEPDGGIWGTLLGACKMHDNFEMGLRVAKKAFASDPENDGYYILMSNSYGSAEKWNEIEKLRDMMKNHGVEKSIGWSTIDICGFMKNQLTQWQHSLFEQSEFRSSEDMCISFVSGIWSESMVNGLTEWGNEVVDSSEFKNKAQRLKVAAMLMFLRHSTEPGTSHVEGHISYQSYEVEWVIIPKSPHAFGATYPQRSELVALVALHWSK >ORUFI04G16550.1 pep chromosome:OR_W1943:4:19191964:19193573:-1 gene:ORUFI04G16550 transcript:ORUFI04G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAGDEVPAEDAVAGDELRCGPWTGEDAATGDEDQLEYKEYIILLRPRPDAATVGMDDDGTQQS >ORUFI04G16560.1 pep chromosome:OR_W1943:4:19191992:19193970:1 gene:ORUFI04G16560 transcript:ORUFI04G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVAASGRGLRRMMYHLITRKYHLQCGKNRMIPYRYHMILYKYHMIPASSPVHGPHRSSSPATASSAGTSSPAATSIVHASAGGCVLHAGARHRCRRHHYAGSSAVHAPRRSSSPAPSLSPHAGSSTVHATMPHAGTRRQPRPPCRSSATAAAASAADVSLICAAPMVAFILASEEGGQGAIPLEQYLNGIPVYSSSPIESIDSGEDG >ORUFI04G16570.1 pep chromosome:OR_W1943:4:19196419:19197298:1 gene:ORUFI04G16570 transcript:ORUFI04G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQKIVIKVSMPCEKSRSKAMALVARASGVNSMEVTGDGKDRLQVVGDGVDPVCLVACLRRKIGYAEIVQVEEVKDKKPEEKQPEPPKPVPCYYPAPPCYYPPATVVCSDEPSPCSIM >ORUFI04G16580.1 pep chromosome:OR_W1943:4:19202656:19207082:-1 gene:ORUFI04G16580 transcript:ORUFI04G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAIPRRGLFIGGGWREPSLGRRLPVVNPATEATIGDIPAATAEDVELAVSAARDAFGRDGGRHWSRAPGAVRAKYLKAIAAKIKDKKSYLALLETLDSGKPLDEAAGDMEDVAACFEYYADLAEALDGKQRAPISLPMENFESYVLKEPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASLTCLELGGICAEIGLPPGVLNIITGLGTEAGAPLASHPHVDKIAFTGSTETGKRIMITASQMVKPVSLELGGKSPLIVFDDVDIDKAVEWAMFGCFANAGQVCSATSRLLLHEKIAKRFLDRLVAWAKSIKISDPLEEGCRLGSVVSEGQYQKIMKFISTARCEGATILYGGARPQHLKRGFFIEPTIITNVSTSMQIWREEVFGPVICVKEFRTEREAVELANDTHYGLAGAVISNDLERCERISKAIQSGIVWINCSQPCFVQAPWGGNKRSGFGRELGQWGLDNYLSVKQVTKYCSDEPYGWYRPPSKL >ORUFI04G16590.1 pep chromosome:OR_W1943:4:19209802:19214090:-1 gene:ORUFI04G16590 transcript:ORUFI04G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGVHGGDDGVVVDFRGNPVDKGRTGGWLGAGLILGTELAERVCVVGISMNLVTYLVGDLHLSNARSANIVTNFLGTLNLLALLGGFLADAVLGRYLTVAVSATIAAIGVSLLAASTVVPGMRPPPCGDAVAAAAAAESGGCVAASGGQMAMLYAALYTAAAGAGGLKANVSGFGSDQFDGRDRREGKAMLFFFNRFYFCISLGSVLAVTALVYVQEDVGRGWGYGASAAAMVAAVAVFAAGTPRYRYRRPQGSPLTAIGRVLWAAWRKRRMPFPADAGELHGFHKAKVPHTNRLRCLDKAAIVEADLAAATPPEQPVAALTVTEVEEAKMVVKLLPIWSTSILFWTVYSQMTTFSVEQASHMDRRAGGFAVPAGSFSVFLFLSILLFTSASERLLVPLARRLMITRRPQGLTSLQRVGAGLVLATLAMAVSALVEKKRRDASGGAGGGGVAMISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLATLAMGFFLSSLLVSAVDAATRGAWIRDGLDDGRLDLFYWMLAALGVANFAAFLVFASRHQYRPAILPAADSPPDDEGAVREAATTVKGMDF >ORUFI04G16600.1 pep chromosome:OR_W1943:4:19217069:19222292:1 gene:ORUFI04G16600 transcript:ORUFI04G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTCQRVIPPQPQPRTSRRDPEPNPPNPSRAELVDSPSTRPASQTLPSTPRPRSPHPHPSAAMSSSNNGGGGDKKPASGGRGGPTIRTLADISRGPSGFPGGGGGGGSDSDEPQEYYTGGEKSGMLVQDPTRRNTVDSIFEQARQMGALQDQPPPFEDQSSSSRSFTGTGRLLSGETAPAAPPPPGNVLHNIQFWNNGFTVDDGPLRDYDDPANADFIESIKKSQCPQELEPADRRTPVHVNVIKRLEDYQAPLRPPSPFQGVGRTLGGGSSAEESQAPAPATQEPRRSVGIVVDDSLPFTSIQLRLADGTRMVARFNMHHTVGDIRSFIDASRPGATRPYQLQTGFPPKQLADPAQTVEQAGLANSVIIQKM >ORUFI04G16610.1 pep chromosome:OR_W1943:4:19231156:19231368:1 gene:ORUFI04G16610 transcript:ORUFI04G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQQNNGAAAAERKKEDDDEEEEEEEGGGGDQVQLAICEHDGFLTHTAETNSIIIITHQHHLSSYYSS >ORUFI04G16620.1 pep chromosome:OR_W1943:4:19244440:19250116:-1 gene:ORUFI04G16620 transcript:ORUFI04G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFLPHPSPPLLPSAASRTRPPPRLLLPPIHASPSPELLAKSALRRISDKLRSLGYLEADHPEAAPGPAAPEAGAGASPGEIFVPTPAQLPRHRVGSTLDPSWATGDGEGAAASRRRRRGGRDSSAAASAPPSAAELALPRDELRRLQGAGIRLRNRLKVGKAGVTEGILNGIHERWRNAELVKIRCEDVSAMNMKRTHEILERKTGGLVIWRSGSTIILYRGTDYKYPYFHDREMKNDMDESSEHTSSDDEDADLAIIASEQSGSEQDSDNPAEHGSNHTEEGDDLTRRFGVDALEGNLDIGSAEQSINSATKDQQAILHTSTNVSRPSEISGRARSTLVAGVGSPNKFRLQLPGEVKLAGEADKLLDGLGPRFSDWWGYDPLPVDADLLPAIVPGYRRPFRLLPSGVPPRLTDREMTILRRLARPLPYHYALGRSSNLQGLAASMIKLWERCEVAKVAIKRGAENIDSDLISEKLKGLTGGTLLSRDNESIVFYRGKDFLPTAVSLAIEKRRKYGNSTISNPKLNFDKSTPQNSSKLKMATDVSLDGHECYEKKHKDETAVSDNRAESLNVFAQNVEARLSQAIAEKEKTEKLIEELEMSSEPSRAETREVISEEERYMLRKVGLKMKSFLLLGRRGVFDGTVENMHLHWKYRELVKIICKEHNIKDVEYAARTLEAESGGILVAVERVSKAHAIIIYRGKNYQRPSTLRPKSLLNKKDALKRSVEYQRYKSLKLHVLNLSKNIDYLKDQMFFKQMEVQPVTPTNGMNSGHHNQGILDLNVNSGTLVDKKEEVSEVLPECAKSVVVECSSGESETEGTSVLTKSGVPLDVMQNKLLCFSKHTDDLSETTSSCLTESTSTSSESTHQSPLSSSVMHNSDSHRLPSAAAPLSNRERLMLRKQALKMKKRPVLAVGRNNVITGVAKAIKTHFKKHPLAIVNIKNRADGTPIQQLISELEEATGSVLVSREPNKVILYRGWGADVAQNSLSGNNSTEQVEKEVISPQLLEAVRLECGLHPGESE >ORUFI04G16620.2 pep chromosome:OR_W1943:4:19244440:19250116:-1 gene:ORUFI04G16620 transcript:ORUFI04G16620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFLPHPSPPLLPSAASRTRPPPRLLLPPIHASPSPELLAKSALRRISDKLRSLGYLEADHPEAAPGPAAPEAGAGASPGEIFVPTPAQLPRHRVGSTLDPSWATGDGEGAAASRRRRRGGRDSSAAASAPPSAAELALPRDELRRLQGAGIRLRNRLKVGKAGVTEGILNGIHERWRNAELVKIRCEDVSAMNMKRTHEILERKTGGLVIWRSGSTIILYRGTDYKYPYFHDREMKNDMDESSEHTSSDDEDADLAIIASEQSGSEQDSDNPAEHGSNHTEEGDDLTRRFGVDALEGNLDIGSAEQSINSATKDQQAILHTSTNVSRPSEISGRARSTLVAGVGSPNKFRLQLPGEVKLAGEADKLLDGLGPRFSDWWGYDPLPVDADLLPAIVPGYRRSSNLQGLAASMIKLWERCEVAKVAIKRGAENIDSDLISEKLKGLTGGTLLSRDNESIVFYRGKDFLPTAVSLAIEKRRKYGNSTISNPKLNFDKSTPQNSSKLKMATDVSLDGHECYEKKHKDETAVSDNRAESLNVFAQNVEARLSQAIAEKEKTEKLIEELEMSSEPSRAETREVISEEERYMLRKVGLKMKSFLLLGRRGVFDGTVENMHLHWKYRELVKIICKEHNIKDVEYAARTLEAESGGILVAVERVSKAHAIIIYRGKNYQRPSTLRPKSLLNKKDALKRSVEYQRYKSLKLHVLNLSKNIDYLKDQMFFKQMEVQPVTPTNGMNSGHHNQGILDLNVNSGTLVDKKEEVSEVLPECAKSVVVECSSGESETEGTSVLTKSGVPLDVMQNKLLCFSKHTDDLSETTSSCLTESTSTSSESTHQSPLSSSVMHNSDSHRLPSAAAPLSNRERLMLRKQALKMKKRPVLAVGRNNVITGVAKAIKTHFKKHPLAIVNIKNRADGTPIQQLISELEEATGSVLVSREPNKVILYRGWGADVAQNSLSGNNSTEQVEKEVISPQLLEAVRLECGLHPGESE >ORUFI04G16620.3 pep chromosome:OR_W1943:4:19244440:19250116:-1 gene:ORUFI04G16620 transcript:ORUFI04G16620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFLPHPSPPLLPSAASRTRPPPRLLLPPIHASPSPELLAKSALRRISDKLRSLGYLEADHPEAAPGPAAPEAGAGASPGEIFVPTPAQLPRHRVGSTLDPSWATGDGEGAAASRRRRRGGRDSSAAASAPPSAAELALPRDELRRLQGAGIRLRNRLKVGKAGVTEGILNGIHERWRNAELVKIRCEDVSAMNMKRTHEILERKTGGLVIWRSGSTIILYRGTDYKYPYFHDREMKNDMDESSEHTSSDDEDADLAIIASEQSGSEQDSDNPAEHGSNHTEEGDDLTRRFGVDALEGNLDIGSAEQSINSATKDQQAILHTSTNVSRPSEISGRARSTLVAGVGSPNKFRLQLPGEVKLAGEADKLLDGLGPRFSDWWGYDPLPVDADLLPAIVPGYRRPFRLLPSGVPPRLTDREMTILRRLARPLPYHYALGRSSNLQGLAASMIKLWERCEVAKVAIKRGAENIDSDLISEKLKGLTGGTLLSRDNESIVFYRGKDFLPTAVSLAIEKRRKYGNSTISNPKLNFDKSTPQNSSKLKMATDVSLDGHECYEKKHKDETAVSDNRAESLNVFAQNVEARLSQAIAEKEKTEKLIEELEMSSEPSRAETREVISEEERYMLRKVGLKMKSFLLLGRRGVFDGTVENMHLHWKYRELVKIICKEHNIKDVEYAARTLEAESGGILVAVERVSKAHAIIIYRGKNYQRPSTLRPKSLLNKKDALKRSVEYQRYKSLKLHVLNLSKNIDYLKDQMFFKQMEVQPVTPTNGMNSGHHNQGILDLNVNSGTLVDKKEEVSEVLPECAKSVVVECSSGESETEGTSVLTKSGVPLDVMQNKLLCFSKHTDDLSETTSSCLTESTSTSSESTHQSPLSSSVMHNSDSHRVSGSKFVGTLTPVHELKLDEKSSQLPSAAAPLSNRERLMLRKQALKMKKRPVLAVGRNNVITGVAKAIKTHFKKHPLAIVNIKNRADGTPIQQLISELEEATGSVLVSREPNKVILYRGWGADVAQNSLSGNNSTEQVEKEVISPQLLEAVRLECGLHPGESE >ORUFI04G16620.4 pep chromosome:OR_W1943:4:19244440:19250116:-1 gene:ORUFI04G16620 transcript:ORUFI04G16620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFLPHPSPPLLPSAASRTRPPPRLLLPPIHASPSPELLAKSALRRISDKLRSLGYLEADHPEAAPGPAAPEAGAGASPGEIFVPTPAQLPRHRVGSTLDPSWATGDGEGAAASRRRRRGGRDSSAAASAPPSAAELALPRDELRRLQGAGIRLRNRLKVGKAGVTEGILNGIHERWRNAELVKIRCEDVSAMNMKRTHEILERKTGGLVIWRSGSTIILYRGTDYKYPYFHDREMKNDMDESSEHTSSDDEDADLAIIASEQSGSEQDSDNPAEHGSNHTEEGDDLTRRFGVDALEGNLDIGSAEQSINSATKDQQAILHTSTNVSRPSEISGRARSTLVAGVGSPNKFRLQLPGEVKLAGEADKLLDGLGPRFSDWWGYDPLPVDADLLPAIVPGYRRSSNLQGLAASMIKLWERCEVAKVAIKRGAENIDSDLISEKLKGLTGGTLLSRDNESIVFYRGKDFLPTAVSLAIEKRRKYGNSTISNPKLNFDKSTPQNSSKLKMATDVSLDGHECYEKKHKDETAVSDNRAESLNVFAQNVEARLSQAIAEKEKTEKLIEELEMSSEPSRAETREVISEEERYMLRKVGLKMKSFLLLGRRGVFDGTVENMHLHWKYRELVKIICKEHNIKDVEYAARTLEAESGGILVAVERVSKAHAIIIYRGKNYQRPSTLRPKSLLNKKDALKRSVEYQRYKSLKLHVLNLSKNIDYLKDQMFFKQMEVQPVTPTNGMNSGHHNQGILDLNVNSGTLVDKKEEVSEVLPECAKSVVVECSSGESETEGTSVLTKSGVPLDVMQNKLLCFSKHTDDLSETTSSCLTESTSTSSESTHQSPLSSSVMHNSDSHRVSGSKFVGTLTPVHELKLDEKSSQLPSAAAPLSNRERLMLRKQALKMKKRPVLAVGRNNVITGVAKAIKTHFKKHPLAIVNIKNRADGTPIQQLISELEEATGSVLVSREPNKVILYRGWGADVAQNSLSGNNSTEQVEKEVISPQLLEAVRLECGLHPGESE >ORUFI04G16630.1 pep chromosome:OR_W1943:4:19251922:19253830:-1 gene:ORUFI04G16630 transcript:ORUFI04G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAAAASDEPPRKRARSAGAEDRLSELPDCLLHDILALVGSRQAVRTSVLSRRWRGLWRSAPRVDIDQREFRHACGGEEGEPVVDCDGFEDFADGILSPTLLGSTGTPPLDAFRLHLLYEGRFITFGRWVRRALTRRPESVDIHVEYGGTVDWPPALTLGDGAGTGRLKRLHLFGVHLGFISGDGGRLAELLPVLEDLRMESCTFGHEPSSPTTTIAIPTLRSLALAVVPRRTARPYALTVASPRVASLRLFLPFSRTRAAAVRVAPAEEGEALASLVTASITVLETDQELNRRMNKHKLDFLASTRNMLDRFPNVRNLDLSGFPTIALLDKASQEFPTLPSLTTLLLSECDVGANCYVLKSVLRNAPNLEHLRLHRCKFLGTPKRKRGNSRSKGKSSSTCLDSLSSKCKSLQSVEIKFRPIDNVRHHDLVGLLKEMLGETKRQHCKKSISEGTVTILIPIT >ORUFI04G16640.1 pep chromosome:OR_W1943:4:19258351:19261131:-1 gene:ORUFI04G16640 transcript:ORUFI04G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRRPSPPPAGERDDDGGAAGREEREAARRVEERRRWGQTKPRVKRINANWHAFAASRESYANPAHLSQALPQTRNDSATRCDASATRRKSRRTLWRGSPRPPPRRRRRRRHLSPRGSAPASTAAAAAEASEPPRKRARVDGGGGGAGEEEEDRLSDLPDCLLEDILAHLGSRQAVQTSVLSRRWRNLWRGVRVVVIDVGSFRLPGADGDPPRFRLDRIEDFADGVLSPSLHPGAARELDALRMRLDEDAVTTNFQRWIRRALWRRPATVDLYYLPRRSFSWPPAVPLTPVTAVSRLKTLRIFGLRPTVVFGADEFPALEDLHIERCSYAHGTIASPTLKRLALVSPINGCFVREQRLTAPGLTSLRLVLPYSREEGVRVITDAPLTSLVDASITIVDTDPGDPRNRRVNQFKVDFLVAISNLLGRLTSVRNLDLTGLNATALLDNKSQEFPMFPYLTTLLLNECDIGYKYHVLRSILQNAPNLEQLRLHNCKFVGKSRRKAGQTQSKEKTSKCSSSTLSSACSSLKSVEIKHPRGEPSHDLLHEFLKEIPHNQWRKRSIDEETISIELNRK >ORUFI04G16650.1 pep chromosome:OR_W1943:4:19260903:19267062:1 gene:ORUFI04G16650 transcript:ORUFI04G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASSSRHQVTITLGRSGQVVKRRAVSDIDNDDGVHLGRKRSVRDRLGNNMVGSESYDGQQRNKRRQIETNGLQHGDNDCQVGRDDLRLKLMKKGLSSNGGAEQNGVDLREKLSRKPKNIRRYDARGHVPESRSRYDGRDKIPELRSRYGMRERLPEPRTSALPSRIPSARSMDDLLKLDSSREAYSSWSGNLRHRSPEKLKSARRDMSPSRTYDHIRSMPPIRSAGTSRTSGLITRDAPDALRTQPYAGKSTISIDTTQPANGVASSATVMPTAPVMPEVPLTVTGLLNSLGLEKYVFLFHAEEVDMAALSQMGDSDLKEIGVPMGPRKKILQAVAPYSKRRR >ORUFI04G16670.1 pep chromosome:OR_W1943:4:19274546:19275077:-1 gene:ORUFI04G16670 transcript:ORUFI04G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKLAVFALLASLLLLNTIKAADYPPAPPLGPPPHKIVDPGKDCVGACDARCSEHSHKKRCSRSCLTCCSACRCVPAGTAGNRETCGRCYTDWVSHNNMTKCP >ORUFI04G16680.1 pep chromosome:OR_W1943:4:19302362:19302709:-1 gene:ORUFI04G16680 transcript:ORUFI04G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIEGLTVLVDMDTAEVLHVSDHDAGIPIPTTANTDYRHGHSTPSPCSSADTQRELDSRSGGLPLPLLLLLLALPPAAAVELAPAPLVPSSPTHPPHARPRSATPPPGAASETS >ORUFI04G16690.1 pep chromosome:OR_W1943:4:19302785:19303438:-1 gene:ORUFI04G16690 transcript:ORUFI04G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECAWWGEVGVSGVSASSTAAVGRVRKHWLALRSGANLFMHSLKLDEPEKSVVKSWRKGANPLPPRCTVVVIRFRGKSRVLGEGNGVVTPLHVPASGYPMMSMDEQTSLSFALFKDVAFNASIRRGVRASDVACLPISFG >ORUFI04G16700.1 pep chromosome:OR_W1943:4:19305862:19308608:1 gene:ORUFI04G16700 transcript:ORUFI04G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKNSPPNLHGPNYIRNASDVFPCGRKTLGMMLLSVLLVLVLSAYSPEQIFLYPPMPAQNSHQTAINSPHDNAKACNLFNGTWVRDFGGPIYTNTTCPTIPEARNCAKYGKQMDYVNWMWKPHGCAMEKFEPHLFLTIVRGKTLAFAGDSIARNQMESLLCLLSQTLTQLYKLFQVEAPIRVSSDTKDKFVTWNFRSHNFTLMVLWTKFIVEDSQRQINGTVVEEHDIHLDKLDPRLATNLHQINILVISTSRWFFRRNYLYEAEELIGCIYCSEDNITSFSVPMAIQRVFRTALKILKESQECRLQLTVVRTATSAHFENGLWNTGGSCNRTEPLREEAMIDQTEWAIRNAQVEEADRTKKNNGKGGVKIEIIDITKAMSMRPDAHPGIHWNNQWMRGYSDCSHWCLPGPIDMWNELLLAVLNKYKNSLEDQ >ORUFI04G16710.1 pep chromosome:OR_W1943:4:19309067:19310619:-1 gene:ORUFI04G16710 transcript:ORUFI04G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAFLLLLPAVSPAAPTHPRLLFSCPPYRSRPRHRARLAASRSSSDGEGDGGIVTGPAGGGGGVFLSPRALSQRDELAAFRYAHSFPHGRLTVRALTPAGDDDESDALVRLLASSFAENVRWAPAQRYEQLLAFVIRRYLFERRGLAPHAAVLVGYYRPAATGDGDEEEGEGNEDDDDYGEMACTAEVSLDAVGAPGAPPTPTPPLDFPYICNMTVKTSLRRRGIGKQLLKACEDLIIKMDAKRHVYLHCRIIDQVPFNMYRKAGYNIVQTDSILVWLSLQKRKHLMSKELLQTSVSSRSTAKDFDDNKLTS >ORUFI04G16720.1 pep chromosome:OR_W1943:4:19311511:19312789:1 gene:ORUFI04G16720 transcript:ORUFI04G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVELVVEVKSPADKLWTALRDSTELFPKIFPEQYKSIETVEGDGKSAGTVRLLKYTEGVPMVTFAKEKVEVADDEKKVVSYSVVDGELVSFYKNFRVTLQVTPKGGAAAPAADGAVVSWTMDFDKASEEVPDPDVIKETAAKTFHDLDDYLLKN >ORUFI04G16730.1 pep chromosome:OR_W1943:4:19314915:19320592:1 gene:ORUFI04G16730 transcript:ORUFI04G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAAAATASLRVSNIPPSAVAAELLAFFDSAVAVAGGAFACEIAAAHRGWLSRGHGTETAGSPSPVDLLPRASDLSLRAAGAGLVVGDRVAERVFEAADAWDGVRAEVIPGKRRVDLYLEHDSQRYKLEVLFEDMKDCLGCTLDGMGAILLQLNYAPRIHTAISGPAVNSRFMDDRFHACKEDAKFSWVRALDFTPNYSFGRCSTLVLKLGKSALVSDILKSLPFSGNLGELTMNSMDGVGASSNVVPLVHCPRDYSVPYEVLFRLNSLMHMGKIVAKHVNADLFKALQELPVDVSRRIFEKMHKLESTCYEPLQFIQQEAYSMKRSHNVLLSNEGEGEGERKLMKCYRVNITPSKIFCFGPEEEVTNYVVKHHSAYASDFVRVTFVDEDWSKLSSNAISARIEQGFFSKPFKTGLYYRILSILKKGFSIGPKNFEFLAFSASQLRGNSVWMFASNASLNAGGIRRWMGHFENIRSVSKCAARMGQLFSSSRQTFEVLRWDVEVIPDIEITTDGSKYIFSDGIGKISLRFAKRVAHHVGLDPTNLPSAFQIRYGGYKGVIAIDPMSSIDLSLRPSMKKFESESRMLNITSWSKSQPCYVNREIISLLSTLGIRDEIFVAMQQDEMRETEEMLTNKEVALSVLGKLGGSETKTAVKMLLQGVLEYGQVYIRITKNSKEQKDSNQSYFYNDDGKTATVVGKVAITKNPCLHPGDIRVLEAIYDPDLVGMVDCLVFPQRGERPHPNECSGGDLDGDLYFITWDDKLIPEKVDTPMDYTATRPRIMDHVVTLEEIQKHFVDYMINDSLGAISTAHLIHADRSPLKARSPECLQLATLHSMAVDFAKTGAPAEMPRTLRPREYPDFMERWEKPMYISNGVLGKLYRSAMGHMEKSGDSGALSSSSAQPSPTYDPDLEVPGSDEFLQAAEEYYELYEEKLTTLMNYYRAELEDEILTGNIRNKMLYLKRDNKRYFEMKDRIVAAVDALHREARGWLLSSRKEEGASRMASAWYRVTYHPDRRRGKRFWSFPWIACDNLLAIKASSQLRRRRQKDDDSTAVVQMDCSA >ORUFI04G16730.2 pep chromosome:OR_W1943:4:19314915:19320592:1 gene:ORUFI04G16730 transcript:ORUFI04G16730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAAAATASLRVSNIPPSAVAAELLAFFDSAVAVAGGAFACEIAAAHRGWLSRGHGTETAGSPSPVDLLPRASDLSLRAAGAGLVVGDRVAERVFEAADAWDGVRAEVIPGKRRVDLYLEHDSQRYKLEVLFEDMKDCLGCTLDGMGAILLQLNYAPRIHTAISGPAVNSRFMDDRFHACKEDAKFSWVRALDFTPNYSFGRCSTLVLKLGKSALVSDILKSLPFSGNLGELTMNSMDGVGASSNVVPLVHCPRDYSVPYEVLFRLNSLMHMGKIVAKHVNADLFKALQELPVDVSRRIFEKMHKLESTCYEPLQFIQQEAYSMKRSHNVLLSNEGEGEGERKLMKCYRVNITPSKIFCFGPEEEVTNYVVKHHSAYASDFVRVTFVDEDWSKLSSNAISARIEQGFFSKPFKTGLYYRILSILKKGFSIGPKNFEFLAFSASQLRGNSVWMFASNASLNAGGIRRWMGHFENIRSVSKCAARMGQLFSSSRQTFEVLRWDVEVIPDIEITTDGSKYIFSDGIGKISLRFAKRVAHHVGLDPTNLPSAFQIRYGGYKGVIAIDPMSSIDLSLRPSMKKFESESRMLNITSWSKSQPCYVNREIISLLSTLGIRDEIFVAMQQDEMRETEEMLTNKEVALSVLGKLGGSETKTAVKMLLQGYEPSSEPYLSMILKAHQENRLTDIRTRCKIHVPKGRVLIGCLDETGVLEYGQVYIRITKNSKEQKDSNQSYFYNDDGKTATVVGKVAITKNPCLHPGDIRVLEAIYDPDLVGMVDCLVFPQRGERPHPNECSGGDLDGDLYFITWDDKLIPEKVDTPMDYTATRPRIMDHVVTLEEIQKHFVDYMINDSLGAISTAHLIHADRSPLKARSPECLQLATLHSMAVDFAKTGAPAEMPRTLRPREYPDFMERWEKPMYISNGVLGKLYRSAMGHMEKSGDSGALSSSSAQPSPTYDPDLEVPGSDEFLQAAEEYYELYEEKLTTLMNYYRAELEDEILTGNIRNKMLYLKRDNKRYFEMKDRIVAAVDALHREARGWLLSSRKEEGASRMASAWYRVTYHPDRRRGKRFWSFPWIACDNLLAIKASSQLRRRRQKDDDSTAVVQMDCSA >ORUFI04G16740.1 pep chromosome:OR_W1943:4:19321339:19328401:1 gene:ORUFI04G16740 transcript:ORUFI04G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGAHLLYALSGGAALSRLAGAARFGPHHCAVYAANAFLGPDLGSFAEWLASFLPSSSSAAAAVGDLAMGVVHHPFYYPLLLGFPLACLYAWLSRRLLLAGVLDEPSRVALSRRQCFYLITAGSLSHFFLDHLFEENGHSTMYTWILSTGWWVGRAPINSDAVIIVGLLCICLVLGFVYINRVKHEKSATQKSNQSFFLIVVIAILYCMWCATQIYLRNPPQPAIGYYEWDSMMLMLLCLSSSLMEIFRAFSRCDTTCSMDGRCFLCPVHERASFKLRSNASVEYSPFSLGSANSNTLCALLLRWHEIETTPTITTVMRHPSSISYPAYNSQEQKKEKIIQERAEINLEHRIAWMEPPGNS >ORUFI04G16740.2 pep chromosome:OR_W1943:4:19321339:19328401:1 gene:ORUFI04G16740 transcript:ORUFI04G16740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGAHLLYALSGGAALSRLAGAARFGPHHCAVYAANAFLGPDLGSFAEWLASFLPSSSSAAAAVGDLAMGVVHHPFYYPLLLGFPLACLYAWLSRRLLLAGVLDEPSRVALSRRQCFYLITAGSLSHFFLDHLFEENGHSTMYTWILSTGWWVGRAPINSDAVIIVGLLCICLVLGFVYINRVKHEKSATQKSNQSFFLIVVIAILYCMWCATQIYLRNPPQPAIGEEADLGVIIFVAIYLFLPHGLAFSRCDTTCSMDGRCFLCPVHERASFKLRSNASVEYSPFSLGSANSNTLCALLLRWHEIETTPTITTVMRHPSSISYPAYNSQEQKKEKIIQERAEINLEHRIAWMEPPGNS >ORUFI04G16750.1 pep chromosome:OR_W1943:4:19324160:19328103:-1 gene:ORUFI04G16750 transcript:ORUFI04G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGKPHEKLGTKYCKKFLEARAFKFSSYLLVIILTEEITQIERVTFRCITRGEEELLAKEYLFEEAFEGYEILLGCLITVVIVGVVSISCHLRRRAHNLKRSKKDIEVTAVSVEYEEVTCKQMCTKEIYDATENLSPLNVIGQGIAGKVYKGVLANGWPVAVKHIVKNEHAETFLREVTSLSHVRHPNLVSLRGYCDGQEECFLVYELCINGNLSEWLFGKDKNLSWIQRLQIALGSACGLWFLHIYPEGCIVHRDVKPTNILLGVDMEPKLSDFGLSRVIDIGVSHVSSEVRGTFGYVDPEYRHNHKVNAAGDVYSFGMVLLQLLSGKRAINIMNTAKPMSLDRMASMLIREGNVLEFADPRLNGEYSTEAFDLSLKLALSCTGHKKQRPSMEQVVSHLEKALKISMRDDDKHNSISIIESHS >ORUFI04G16760.1 pep chromosome:OR_W1943:4:19336547:19341215:-1 gene:ORUFI04G16760 transcript:ORUFI04G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAAAAACLSPVCAAAASVPRARVCFVSPPGSWSCLAASNGRGLLRGGNGMRLRWRAPVRAKVDEDKEAGLGFREPERRRMRLRLRPRLRLLWWRLRRLSPRDLPGDAAAALRRAARRVPPAAAAPIVLAVLLLAARLALPKNAAKEVAYSDLLAGLRAGAVTAVAFEEDSRRIYFRRAADDGGGSDDAGAGAGEARRGAAAAARWPCYARRVPHDEGFLLGLMRDGGVDYRSAPRPAGRLLVDMLSTLLTLWRQMSAGGGAEKRRRPRKQRVGFDDVQGVDEAKEELVEVVSCLHGSLNYKKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGIPFFSVSASEFVEVFVGRGAARVRDLFKEAKEAAPSIIFIDELDAVGGSRGRSFNDERDQTLNQLLTEMDGFDSDMKVIVMAATNRPKALDPALCRPGRFSRKVLVGVPDLEGRRNILAVHLRDVPLEEDPEIICDLVASLTPGLVGADLANIVNEAALLAARRGGNTVAREDIMDAIEREKYGVNGRQENADSERQGLTKLFPWLPKPGNRPTNPDDIGGGITGGLPSGFHDS >ORUFI04G16760.2 pep chromosome:OR_W1943:4:19336547:19341215:-1 gene:ORUFI04G16760 transcript:ORUFI04G16760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAAAAACLSPVCAAAASVPRARVCFVSPPGSWSCLAASNGRGLLRGGNGMRLRWRAPVRAKVDEDKEAGLGFREPERRRMRLRLRPRLRLLWWRLRRLSPRDLPGDAAAALRRAARRVPPAAAAPIVLAVLLLAARLALPKNAAKEVAYSDLLAGLRAGAVTAVAFEEDSRRIYFRRAADDGGGSDDAGAGAGEARRGAAAAARWPCYARRVPHDEGFLLGLMRDGGVDYRSAPRPAGRLLVDMLSTLLTLWVSLLPMMWFIQRQMSAGGGAEKRRRPRKQRVGFDDVQGVDEAKEELVEVVSCLHGSLNYKKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGIPFFSVSASEFVEVFVGRGAARVRDLFKEAKEAAPSIIFIDELDAVGGSRGRSFNDERDQTLNQLLTEMDGFDSDMKVIVMAATNRPKALDPALCRPGRFSRKVLVGVPDLEGRRNILAVHLRDVPLEEDPEIICDLVASLTPGLVGADLANIVNEAALLAARRGGNTVAREDIMDAIEREKYGVNGRQENADSERQGLTKLFPWLPKPGNRPTNPDDIGGGITGGLPSGFHDS >ORUFI04G16770.1 pep chromosome:OR_W1943:4:19344743:19349145:1 gene:ORUFI04G16770 transcript:ORUFI04G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATTMEGRPAKMGFRMPAEWEPHEQCWMGWPERRDNWRELAGPARKVFARTAIAISKFESVTICASAKQYPYVHELMLHQPNIRVVEMSMNDCWFRDIGPTFIVRKGAPGLGITEKNIAGIDWEFNAWGGATDGCYVDWSLDSYVAKKIVEIERIPRFPHTMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMTKLEIENELKDFLGVAKVIWIPHGLYGDDDTNGHVDNLCCFIKPGVVLLSWTDDENDPQYQRSVDALSTLSKSVDAKGRQIEVVKIHVPGPLYMTKEESEGVVKTEHAIPREPGTRLAASYVNFYIANGGIVAPAFGDKWDEEACAVLQKAFPDHERPQLKQAVAVGCYSAMATTSELKTVLGVLTEPVVMVEGAREIVLAGGNIHCITQQQPVRPS >ORUFI04G16770.2 pep chromosome:OR_W1943:4:19344622:19350767:1 gene:ORUFI04G16770 transcript:ORUFI04G16770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATTMEGRPAKMGFRMPAEWEPHEQCWMGWPERRDNWRELAGPARKVFARTAIAISKFESVTICASAKQYPYVHELMLHQPNIRVVEMSMNDCWFRDIGPTFIVRKGAPGLGITEKNIAGIDWEFNAWGGATDGCYVDWSLDSYVAKKIVEIERIPRFPHTMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMTKLEIENELKDFLGVAKVIWIPHGLYGDDDTNGHVDNLCCFIKPGVVLLSWTDDENDPQYQRSVDALSTLSKSVDAKGRQIEVVKIHVPGPLYMTKEESEGVVKTEHAIPREPGTRLAASYVNFYIANGGIVAPAFGDKWDEEACAVLQKAFPDHEVVMVEGAREIVLAGGNIHCITQQQPVRPS >ORUFI04G16770.3 pep chromosome:OR_W1943:4:19344743:19350767:1 gene:ORUFI04G16770 transcript:ORUFI04G16770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATTMEGRPAKMGFRMPAEWEPHEQCWMGWPERRDNWRELAGPARKVFARTAIAISKFESVTICASAKQYPYVHELMLHQPNIRVVEMSMNDCWFRDIGPTFIVRKGAPGLGITEKNIAGIDWEFNAWGGATDGCYVDWSLDSYVAKKIVEIERIPRFPHTMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMTKLEIENELKDFLGVAKVIWIPHGLYGDDDTNGHVDNLCCFIKPGVVLLSWTDDENDPQYQRSVDALSTLSKSVDAKGRQIEVVKIHVPGPLYMTKEESEGVVKTEHAIPREPGTRLAASYVNFYIANGGIVAPAFGDKWDEEACAVLQKAFPDHEVVMVEGAREIVLAGGNIHCITQQQPVRPS >ORUFI04G16770.4 pep chromosome:OR_W1943:4:19345024:19350767:1 gene:ORUFI04G16770 transcript:ORUFI04G16770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATTMEGRPAKMGFRMPAEWEPHEQCWMGWPERRDNWRELAGPARKVFARTAIAISKFESVTICASAKQYPYVHELMLHQPNIRVVEMSMNDCWFRDIGPTFIVRKGAPGLGITEKNIAGIDWEFNAWGGATDGCYVDWSLDSYVAKKIVEIERIPRFPHTMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMTKLEIENELKDFLGVAKVIWIPHGLYGDDDTNGHVDNLCCFIKPGVVLLSWTDDENDPQYQRSVDALSTLSKSVDAKGRQIEVVKIHVPGPLYMTKEESEGVVKTEHAIPREPGTRLAASYVNFYIANGGIVAPAFGDKWDEEACAVLQKAFPDHEVVMVEGAREIVLAGGNIHCITQQQPVRPS >ORUFI04G16780.1 pep chromosome:OR_W1943:4:19348597:19356991:-1 gene:ORUFI04G16780 transcript:ORUFI04G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATDTEIKSRAIVLPEQSMASERAGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDLVAKHPSELKLHKVAIVEKLQERICDTDKVVRESLFSILQSLVFPSLKEDNTISTRSTLFLLMANILNGMTHLSMDIQLMSFRFLELVVINFPSSFPGYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLSGLGQCLSQVAYATENGDASNRLVHNLSGRELWKCTLDEDNSGSKVSVSELFAKSTIDAQSSEALLSALHCLDLICNICIQEVKKPQMKFGRSKIQVGPEWLKSSVLVYAKKLWGVNRSFHEKGDDRYYVFNLKIAEIFLCLSACMDNTIFPADEFCQFVSSLLAKAKTLRNKDTMEKHLSPLITFIPDLVSNAPDDSKGYLLEAFTDAFWDCKVDCKLIFPYLDAVGKMLFPEKTGILFVENDSGSEYHGVWVKELPGILLQSIDKAPSVTKVVLELLLRIGQYFPTMEFGNLRSFIKLFGVKSSSGTIELGPFVNLPRDCQELAISCLYYFSNLLPDTMELLASCCLSDVLEPTILFRIIEVLQSTYKAGNLHITEQLSFLSLLMARFRVHPGSFSTQDNSQKGSNMNTFKSLNRLILNSLSEMGDGSLAQIPSLHNMNGLFRIIVTLDAGTCKLMNEDAIKIIAGYLIDAAMDLSKTIELGFQSDRTRLFQYFIKPCIIIFCQNEKVLCCALEMLKSFATGDDHVLSSASKLNYPGELSHRICVVTTILIFLCNDGKLHKNLSLGKCVIKGILQHTRHLMDSNVLDVTYEDKQKLRFAFEQLKTKALQLNCWDRSELEGFSSTTYCRNVKPYSARDENFDELKVKMESGHGDCK >ORUFI04G16780.2 pep chromosome:OR_W1943:4:19348597:19356991:-1 gene:ORUFI04G16780 transcript:ORUFI04G16780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATDTEIKSRAIVLPEQSMASERAGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDLVAKHPSELKLHKVAIVEKLQERICDTDKVVRESLFSILQSLVFPSLKEDNTISTRSTLFLLMANILNGMTHLSMDIQLMSFRFLELVVINFPSSFPGYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLSGLGQCLSQVAYATENGDASNRLVHNLSGRELWKCTLDEDNSGSRAFAMSNILMKLQNLFQILVNSVEVSVSELFAKSTIDAQSSEALLSALHCLDLICNICIQEVKKPQMKFGRSKIQVGPEWLKSSVLVYAKKLWGVNRSFHEKGDDRYYVFNLKIAEIFLCLSACMDNTIFPADEFCQFVSSLLAKAKTLRNKDTMEKHLSPLITFIPDLVSNAPDDSKGYLLEAFTDAFWDCKVDCKLIFPYLDAVGKMLFPEKTGILFVENDSGSEYHGVWVKELPGILLQSIDKAPSVTKVVLELLLRIGQYFPTMEFGNLRSFIKLFGVKSSSGTIELGPFVNLPRDCQELAISCLYYFSNLLPDTMELLASCCLSDVLEPTILFRIIEVLQSTYKAGNLHITEQLSFLSLLMARFRVHPGSFSTQDNSQKGSNMNTFKSLNRLILNSLSEMGDGSLAQIPSLHNMNGLFRIIVTLDAGTCKLMNEDAIKIIAGYLIDAAMDLSKTIELGFQSDRTRLFQYFIKPCIIIFCQNEKVLCCALEMLKSFATGDDHVLSSASKLNYPGELSHRICVVTTILIFLCNDGKLHKNLSLGKCVIKGILQHTRHLMDSNVLDVTYEDKQKLRFAFEQLKTKALQLNCWDRSELEGFSSTTYCRNVKPYSARDENFDELKVKMESGHGDCK >ORUFI04G16780.3 pep chromosome:OR_W1943:4:19348530:19356991:-1 gene:ORUFI04G16780 transcript:ORUFI04G16780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATDTEIKSRAIVLPEQSMASERAGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDLVAKHPSELKLHKVAIVEKLQERICDTDKVVRESLFSILQSLVFPSLKEDNTISTRSTLFLLMANILNGMTHLSMDIQLMSFRFLELVVINFPSSFPGYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLSGLGQCLSQVAYATENGDASNRLVHNLSGRELWKCTLDEDNSGSRAFAMSNILMKLQNLFQILVNSVEVSVSELFAKSTIDAQSSEALLSALHCLDLICNICIQEVKKPQMKFGRSKIQVGPEWLKSSVLVYAKKLWGVNRSFHEKGDDRYYVFNLKIAEIFLCLSACMDNTIFPADEFCQFVSSLLAKAKTLRNKDTMEKHLSPLITFIPDLVSNAPDDSKGYLLEAFTDAFWDCKVDCKLIFPYLDAVGKMLFPEKTGILFVENDSGSEYHGVWVKELPGILLQSIDKAPSVTKVVLELLLRIGQYFPTMEFGNLRSFIKLFGVKSSSGTIELGPFVNLPRDCQELAISCLYYFSNLLPDTMELLASCCLSDVLEPTILFRIIEVLQSTYKAGNLHITEQLSFLSLLMARFRVHPGSFSTQDNSQKGSNMNTFKSLNRLILNSLSEMGDGSLAQIPSLHNMNGLFRIIVTLDAGTCKLMNEDAIKIIAGYLIDAAMDLSKTIELGFQSDRTRLFQYFIKPCIIIFCQNEKVLCCALEMLKSFATGDDHVLSSASKLNYPGELSHRICVVTTILIFLCNDGKLHKNLSLGKCVIKGILQHTRHLMDSNVLDVTYEDKQKLRFAFEQLKTKALQLNCWDRSELEGFSSTTYCRNVKPYSARDENFDELKVKMESGHGDCAIYSLDI >ORUFI04G16790.1 pep chromosome:OR_W1943:4:19359933:19361098:1 gene:ORUFI04G16790 transcript:ORUFI04G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRTSAANLLAVALLIISLLLLPLLHLPVAHARHVAVLKATDSSSAISIRSGHVEPTPASGAVQRRPASSGASNRGGGGRRRRAAASSRSTVEMRASAWAKHHRDEVARMHEMLKRDYASKARRRSPINNGEPSLEEEDLP >ORUFI04G16800.1 pep chromosome:OR_W1943:4:19361517:19364533:-1 gene:ORUFI04G16800 transcript:ORUFI04G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADDGRPYHSNVFHELVSNGGPKVDGEIERETKQHILPDTMVQQTNPSEYSFMKAGQQNVDKAIQIRPEDVSYDKDVVEIKLPDIMVSSNYGVQFVKDVCIDEGVLADQKAIAEKVSLNLNSSKGDTNGIRIKETADEPAKSVNDLKSQIVVLPEACVTDGDTVEQNHPCKLHDLEGNNSVDGLTVVNVEKSTPKQLVSNDNAEYCQQMGADVSESSENHGPNLNGEPVDQVPSNDSHETGASIASAITNVNGLSVESTADGHSGVVTEDGVSGVALNKTEINQINHYNPFIAYGSLEDTWEPKYSLPTIVDDVYSVPCPVEKTDSFSDIVYGALRGFNFLETGESIAEDSTLDSVVENSSMTDVQASEEKDEARSDDILSDERKIPVDQRSPVENSDSLSDPVDRALSSTETDGARNEDSRLDSTEASPSRSYVQPSEDRNDQVDNFVYGIRTDAAHGTSSGTSPLTGKTEPIDAKSENDPKCEIDSVQDGHDFNPREANDGTNISEDNKDSKSSTRQTGPVTEQNEPDSAKMTMQTEPVAQRNEPDSAKVTMQTESVAQPNEADSAKVTARNVIRNPFESSFSGPSIISGPLTPSGHIPYSGNISLRSDSSTTSTRSFAFPVLQTEWNSSPVKMAKADRRRLRRDRGWGYRILCCKF >ORUFI04G16810.1 pep chromosome:OR_W1943:4:19384476:19385644:-1 gene:ORUFI04G16810 transcript:ORUFI04G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGELTPRAAGGELPAVDAAFPPAEAIAAVHDSHAPSPTTEDDCDDLYGDVDLGFLPLSPPSHYPTSPPKTPSPGHSALSPSPPPPPPPXAPSTGATASPRSPARPRCSPSAAAAAAMTPTSTPRAPRAAEAEAEAAATGATRRQLGAMWGLLLLPFGGGMLGGGGGAGYGGFAPMIGQCNAAIGTSMMPSVMSPHVNPAFLAASGMAMGGTGMWYDQRMTGMWVGQQPWNFGGYGMPRHQQKPPMQQPNRNGDYGTVRGTARRGRPAGGRNEGDTGNANGNERGYPDRRQCGRGRDGFDLSRKHGHEERGRYRPRVLEEEREHERNWDESDRYGGDRRRYQEYPERDFERRGRVRSRSSSRDGDDDDHPGRHC >ORUFI04G16820.1 pep chromosome:OR_W1943:4:19386951:19395314:-1 gene:ORUFI04G16820 transcript:ORUFI04G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAIGSAPPRPPGLALPPLTRHHHLLLHRSKTLAPRRFVAAAPMDAAAAAAGRGGPAPPRCARAETDSEDAVATTSSPHSAEAAGAAEQGNGAPAPVADAADVEGVDGIRIRRRPVTGPPVHYVGPFQFRLENEGNTPRNILERIVWDKEAEMKERRPLYMLKGPLENAPPVRDFVGVLKASFDRTGLPALIAEVKKASPSRGVLREDFEPVQIAQTYEKNGAACLSVLTDAKYFQGSFDYLDAIRNAGVQCPLLCKEFIVDAWQLYYARLKGADAVLLIAAVLPDLDIKYMLKICKILGMAALVEVHDEREMDRVLGIDGVQLIGINNRNLETFQVDISNTKNLLEGERGQTIAQKGIIVVGESGLFTPDHISFVQNAGVKAVLVGESLIKQEDPGKAIAGLFGKDISPRRRHPLLLLPRRRPPSRTLLSRLLSSSPPASGGGGGGGGGGVAVKQVTRGNLAEALEELRARVRGAAFVGIDLEMSGVTSAPWRDTLELDRADVRYLKLRDSAERFAALQLGVCPFRWDPAKSAFTTSIDFLAKYQFDFNTCFREGIYYLSRTQEEEALQKLYTLHNNETSAYPNTSEEEEDAPLKSAADVLFTERMKIKFNEWRNSIVSNQRVDDHRSENFKFADQFQTVFFKMRPAIMLNGFTSHQLKLIRQILRTHFRDLVYVCTFLEDGVSEKRVVYTDTNEDKISLMKNVREDLLKSREAKVKSAVGIRHVIDLLASERKLIVGHSCFLDIAQIYSKFVGPLPSSMEEFALSINRMFPHMADTRHLMSVNDAVQYRMRHKSKSLSSAFSLLCPALHAPDEKSSTLPSVRIEVEADETVLSCFTSGAKHEAGYDAFMTGCVFVQLCAYHGIKFEQLSPLEDLATNINLKKHINLLPPCWNSGTVLDLSTGTERPDAGYKRRYPAAVYDNVILIWGFQSKVRPKDIKDCICKVFGRASVTSVFPIDSTAVLVQFSKQESVNDFLDLKATLESADSAISVLHPLSTILEGGKTRAAKYDTYRDICRSSVSKFSFADQAEAVCSTSNSESKFKECNAADGSGAYGSALDGTVPASVQQSGGAKSGSKNKGDDDFSYQDILDALQDGKTSVGKRMSNA >ORUFI04G16820.2 pep chromosome:OR_W1943:4:19386951:19395314:-1 gene:ORUFI04G16820 transcript:ORUFI04G16820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAIGSAPPRPPGLALPPLTRHHHLLLHRSKTLAPRRFVAAAPMDAAAAAAGRGGPAPPRCARAETDSEDAVATTSSPHSAEAAGAAEQGNGAPAPVADAADVEGVDGIRIRRRPVTGPPVHYVGPFQFRLENEGNTPRNILERIVWDKEAEMKERRPLYMLKGPLENAPPVRDFVGVLKASFDRTGLPALIAEVKKASPSRGVLREDFEPVQIAQTYEKNGAACLSVLTDAKYFQGSFDYLDAIRNAGVQCPLLCKEFIVDAWQLYYARLKGADAVLLIAAVLPDLDIKYMLKICKILGMAALVEVHDEREMDRVLGIDGVQLIGINNRNLETFQVDISNTKNLLEGERGQTIAQKGIIVVGESGLFTPDHISFVQNAGVKAVLVGESLIKQEDPGKAIAGLFGKDISPRRRHPLLLLPRRRPPSRTLLSRLLSSSPPASGGGGGGGGGGVAVKQVTRGNLAEALEELRARVRGAAFVGIDLEMSGVTSAPWRDTLELDRADVRYLKLRDSAERFAALQLGVCPFRWDPAKTQEEEALQKLYTLHNNETSAYPNTSEEEEDAPLKSAADVLFTERMKIKFNEWRNSIVSNQRVDDHRSENFKFADQFQTVFFKMRPAIMLNGFTSHQLKLIRQILRTHFRDLVYVCTFLEDGVSEKRVVYTDTNEDKISLMKNVREDLLKSREAKVKSAVGIRHVIDLLASERKLIVGHSCFLDIAQIYSKFVGPLPSSMEEFALSINRMFPHMADTRHLMSVNDAVQYRMRHKSKSLSSAFSLLCPALHAPDEKSSTLPSVRIEVEADETVLSCFTSGAKHEAGYDAFMTGCVFVQLCAYHGIKFEQLSPLEDLATNINLKKHINLLPPCWNSGTVLDLSTGTERPDAGYKRRYPAAVYDNVILIWGFQSKVRPKDIKDCICKVFGRASVTSVFPIDSTAVLVQFSKQESVNDFLDLKATLESADSAISVLHPLSTILEGGKTRAAKYDTYRDICRSSVSKFSFADQAEAVCSTSNSESKFKECNAADGSGAYGSALDGTVPASVQQSGGAKSGSKNKGDDDFSYQDILDALQDGKTSVGKRMSNA >ORUFI04G16820.3 pep chromosome:OR_W1943:4:19386951:19395314:-1 gene:ORUFI04G16820 transcript:ORUFI04G16820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAIGSAPPRPPGLALPPLTRHHHLLLHRSKTLAPRRFVAAAPMDAAAAAAGRGGPAPPRCARAETDSEDAVATTSSPHSAEAAGAAEQGNGAPAPVADAADVEGVDGIRIRRRPVTGPPVHYVGPFQFRLENEGNTPRNILERIVWDKEAEMKERRPLYMLKGPLENAPPVRDFVGVLKASFDRTGLPALIAEVKKASPSRGVLREDFEPVQIAQTYEKNGAACLSVLTDAKYFQGSFDYLDAIRNAGVQCPLLCKEFIVDAWQLYYARLKGADAVLLIAAVLPDLDIKYMLKICKILGMAALVEVHDEREMDRVLGIDGVQLIGINNRNLETFQVDISNTKNLLEGERGQTIAQKGIIVVGESGLFTPDHISFVQNAGVKAVLVGESLIKQEDPGKAIAGLFGKDISPVSAATQEEEALQKLYTLHNNETSAYPNTSEEEEDAPLKSAADVLFTERMKIKFNEWRNSIVSNQRVDDHRSENFKFADQFQTVFFKMRPAIMLNGFTSHQLKLIRQILRTHFRDLVYVCTFLEDGVSEKRVVYTDTNEDKISLMKNVREDLLKSREAKVKSAVGIRHVIDLLASERKLIVGHSCFLDIAQIYSKFVGPLPSSMEEFALSINRMFPHMADTRHLMSVNDAVQYRMRHKSKSLSSAFSLLCPALHAPDEKSSTLPSVRIEVEADETVLSCFTSGAKHEAGYDAFMTGCVFVQLCAYHGIKFEQLSPLEDLATNINLKKHINLLPPCWNSGTVLDLSTGTERPDAGYKRRYPAAVYDNVILIWGFQSKVRPKDIKDCICKVFGRASVTSVFPIDSTAVLVQFSKQESVNDFLDLKATLESADSAISVLHPLSTILEGGKTRAAKYDTYRDICRSSVSKFSFADQAEAVCSTSNSESKFKECNAADGSGAYGSALDGTVPASVQQSGGAKSGSKNKGDDDFSYQDILDALQDGKTSVGKRMSNA >ORUFI04G16830.1 pep chromosome:OR_W1943:4:19397046:19408387:-1 gene:ORUFI04G16830 transcript:ORUFI04G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGGRHDQGMALRKAEESAARRCEAARWLRQMEAAAAESLPERPSEEEFCVALRNGLVLCNVLNHVNPGAVPKVVENPIVAVQSSDVAAQSAIQYFENMRNFLVAVSEMNLLTFEASDIEKGGSSMKVVDCILCLKAYHEWKLSGGIGIWRYGGIVKIASSSKRLPSYSSRGGGSADLNQQMLEFVHLLSEVSLEESRVGESQHSLFQQFVLRVVRAFLQEWGEAEGLPLDDMVLETILEQACKEFTILLASHRNQVRSLLRKMMKDENGAHSKQELIEVISKSMKENSSIRVYCRVKPFLKMQTDQRSTVDHIGENAEVFADTQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPDITTEETWGVNYRSLNDLFAISQNRADTATYDVKVQMIEIYNEQDVLDLMRVGHRNRAVGSTALNERSSRSHSVLTVHVQGKEIASGSTLRGCLHLVDLAGSERVDKSEAAGERLNEAKHINKSLSALGDVIAALAQKSSHVPYRNSKLTQVLQDALGGQAKTLMFVHMNPEADAFGETMSTLKFAERVATVELGAAHANKEVGQVKDLKEEISKLKLALDDKEREASKLRDIANRVASEKRNARTRSPLTTTLSSKPEAGQDSSVDTCTSEIRSSSSGKQRRFRSPLSVRELDEKSPVINRELYLSAKFKTPSPPVRSSLSAERVGIAKSVERSENIDCTPVSRIEVPPKVQHSSSRKTPSSVLTAQSLRKFRDSEENRSAKPSVRESMTKTRLDSATKPPQKEEQTANKNTGTRVRSEAKIPRNISDIENEFANSEPTFHSNRKAWKLPPQSTRQSQSIDLRASVREMEPLTEGKPRRSKAPHDFTLTLRIVDHSRASAISVTFFADNTALLPCVRSSGDVISLHNVVITMHHGEFFVTYNKRFSSFALFEGKVSTGCIPYQHSMKYHGSKHDSEFLTHLRMWIVYNPPGLKDLELQLRSIKSDSTFDLVCKVLDVHEASNGVWILYVWDGTDTPVTEFPTLDNESVSPPPLHLEGAPLPREVLCTLPCVGSVLRVFSNRFFKEMLHLQKGIYWARFCNMTCKQQFGMWKGILLPSSRVRLLSNEDGSVADRLKLFDSRIATQIHRQPMASLPNASDIADVEYERAGYTTLMESLTHGEAKFFGGFLTAEAVIRKMNKLLGIPEDTEEGAPSNRNPPWIWCCLKSYRLDKNDPWGSRRYRIFGTEIRD >ORUFI04G16840.1 pep chromosome:OR_W1943:4:19412102:19412980:-1 gene:ORUFI04G16840 transcript:ORUFI04G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIIIRMRPDSDKCHHKALKVAAAVSGVESVTVAGRDRDLLLVIGDGVDESKLTKKLRREVGEAEILELRTLDAGGSRGGGGAASLQLMTAAGARNGKGGGAVVFAQSSPYHGWHGHPATPGRSVPGVGRIMYPVTTTTTATAASPGAARWPGGEQYRSSSPQAALYYPRNPPNAYYYGGLGVRDGLAVARSHPANYSPMVERHDHGAVGRGGRRRRAGRRPSCCSIL >ORUFI04G16850.1 pep chromosome:OR_W1943:4:19418418:19419349:-1 gene:ORUFI04G16850 transcript:ORUFI04G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKSLESGSHGVQSVTVAGEERNLLLVIGDGVVDASRLTRRLRNHVGYAEIVELTTSSSTAVPPVDVAAAAVTEDAVRPQYHGLVGGGGGLPWFARVGCPVTAHSVVASHAAPAAALWPGAGEVGGSWAASYSTHPSPCYRSSPLAGGYTLDVARSHAANYSPLIERHAGRGGHYPAHSCCSRRKLLRHSVPSCCTIQ >ORUFI04G16860.1 pep chromosome:OR_W1943:4:19434426:19435682:-1 gene:ORUFI04G16860 transcript:ORUFI04G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIKKEIVIRLQSSEKGHKKAIKVAAAVSGVESVTLAGEDKNLLLVIGFGVDSNDLTEKLRRKVGHAEVVELRTVDADELMRVAAANQYPYRYYPGAPPPAPYYGNGGYPPPHQRGGGGGGSGGGYYTPMTMATGGYYGGGGGGYPQYGQSSSYPQYGQSSSYYPPAAAATTNTHTVVHHQYANNDPDSCAIM >ORUFI04G16870.1 pep chromosome:OR_W1943:4:19438501:19440124:1 gene:ORUFI04G16870 transcript:ORUFI04G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKPTDRDVFGRDTIFLAGISFVGDPEPLIARPTAKCVVPSLVAAKEENRRTAKEPAIIVPSRYRQVGSGCGGRQGQWSEAAAMAAGTAVGSGEGGGGNDGWDGGRERRRWRWGRRSGAEKEAAXKGAVPAATVAASDRRPRRHRRCF >ORUFI04G16880.1 pep chromosome:OR_W1943:4:19448039:19448518:-1 gene:ORUFI04G16880 transcript:ORUFI04G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVSMPCEKSRSKAMKLVVMASGVSSVEVTGDGKDRLQVVGDGVDAACLVTCLRKKIGHAELVQVEEVKEKKPEEKKPEPCYCPHPCYYHHHYGGIPVAVGDQPSDPCSIM >ORUFI04G16890.1 pep chromosome:OR_W1943:4:19453410:19457276:-1 gene:ORUFI04G16890 transcript:ORUFI04G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKMVIKVSMPCGKCRSKAMALVAGATGVSSVEVTGDGKDRLQVVGDGVDPVCVVNRLRKKIGHAEIVQVEEVKEKKPDLPKKPDPPKPEPPKPPVRRGFPGPHEPEKIHRASLLLSGQEAGRLQQLQLWLRIQKIVIKACMPCDGCRVKALGVAAKADGVISMAITGDDRDRLEVVGDGVDVTCLVTCLRKKVRFADVLQVEEVKDKKPEEEKKKPEEEKKPPECPCQATLPVPWCPPPPCYYPPPSMVYCEEQPSPCSIM >ORUFI04G16900.1 pep chromosome:OR_W1943:4:19461059:19461605:-1 gene:ORUFI04G16900 transcript:ORUFI04G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVCAPCEGCRAKALEVAARAADGVISLAITGDDRDKLEVVGVGVDVTRLVICLRKKVCYAEILLVEEEKEEEEKKEPECKPCYWPPYWCPPPEDPTCKPCYPRYSYAPPPPAVVVCDEPSACSIM >ORUFI04G16910.1 pep chromosome:OR_W1943:4:19472300:19475119:-1 gene:ORUFI04G16910 transcript:ORUFI04G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAALPFHPTPRRKLPPASAGASLRQLCKDGDLREALRQLAARSARGRAPPPTDHYGWVLDLVAVRRAVSEGRQLHAHAVATGALGDDDAGFLATKLLFMYGKCGRLPDAHRLFDGMPARTVFSWNALIGACLSSGGAGEAVGVYRAMRASEPVAGAAPDGCTLASVLKACGAEGDGRCGSEVHGLAVKSGLDRSTLVANALVGMYAKCGLLDSALRVFEWMRDGRDVASWNSAISGCVQNGMFLEALDLFRRMQSDGFSMNSYTTVGVLQVCAELAQLNHGRELHAALLKCGTEFNIQCNALLVMYARCGWVDSALGVFREIGDKDYISWNSMLSCYVQNRLYAEAIDFFGEMVQNGFNPDHACIVSLLSAVGHLGRLINGREVHAYAVKQRLDSDLQIANTLMDMYIKCYSVECSARVFDRMRIKDHVSWTTIIACYAQSSRYSEAIGKFRTAQKEGIKVDPMMMGSILEACSGLKSISLLKQVHSYAIRNGLLDLILKNRIIDIYGECGEVCYALNIFEMLDKKDIVTWTSMVNCFAENGLLHEAVALFGKMLNAGIQPDSVALVGILGAIAGLSSLTKGKEIHGFLIRGKFPVEGAVVSSLVDMYSGCGSMNYALKVFDEAKCKDVVLWTAMINATGMHGHGKQAIYIFKRMLETGVSPDHVSFLALLYACSHSKLVDEGKFYLDMMVSKYKLQPWQEHYACVVDLLGRSGQTEEAYKFIKSMPLEPKSVVWCALLGACRIHKNHELAMIATDKLLELEPDNPGNYVLVSNVFAEMGKWNNVKEIRTKMTEQGLRKDPACSWIEIGNTVHTFTARDHSHRDSQAIHLKLAEITEKLRREGQYVEDTSFVLHDVSEEEKIDLLHRHSERLAISFGLISTASGTPLRIAKNLRVCGDCHEFTKLVSKLFEREIVVRDANRFHHFSGGTCSCGDFW >ORUFI04G16920.1 pep chromosome:OR_W1943:4:19475162:19475826:1 gene:ORUFI04G16920 transcript:ORUFI04G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQEKRKTDWDLGENGFRSPEQAGKWEWVAHLSLSKASPSAAAVAPPPPMVLPDSVLAAMSHHEGGSTKLVFAGGDKGMSQMKFRIKTGC >ORUFI04G16930.1 pep chromosome:OR_W1943:4:19477253:19479711:1 gene:ORUFI04G16930 transcript:ORUFI04G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTAPNSGDANGGAAADATAPSNTTVTLPPLTLRDVPLLPSAAAATDTIPNPISRHPYFHPPATFYISPGDVSLRHAFFDLASASPSPLVAYRRAGPRAGVAVDPARARAAVVTCGGLCPGLNTVLRELVVGLRELYGVRDVFGVAAGYRGFYGPDADHARLDLAAVDDWHKKGGTVLKTTRGGFDLNKIVDGIVARGYTQVYAIGGDGTMRGAVAIFNEFKRRGLNISITGIPKTVDNDIGIIDRSFGFQTAVEIAQQAIDAAHVEAVSAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPEVDFYLEGKGGLFEFLYERIKQKGHAVVVVAEGAGQELIPRTDDQKREQDESGNIVFLDVGPWLKSELGKWWKREHPSELFTVKYIDPTYMIRAVPANATDNLYCTLLAHSAIHGIMAGYTGFVPGPINGNYSYIPLEDVAVAKNPVDVNDHKWAWVRSVTNQPDFMKPKY >ORUFI04G16940.1 pep chromosome:OR_W1943:4:19480463:19486269:1 gene:ORUFI04G16940 transcript:ORUFI04G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVARGCADDPAGAARGGTAAGVGPGATAGESTPRWIGYGDDGAARLRLEFGLDPGRVRRSRPHLPLACGDDLMNSLTVAATANLSGFRAKWLDFYGQTSLELHHEKSVYLH >ORUFI04G16940.2 pep chromosome:OR_W1943:4:19480463:19486269:1 gene:ORUFI04G16940 transcript:ORUFI04G16940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVARGCADDPAGAARGGTAAGVGPGATAGESTPRWIGYGDDGAARLRLEFGLDPGRVRRSRPHLPLACGDDLMNSLTVAATANLSGFRAKWLDFYGQTSLELHHEKSVYLH >ORUFI04G16940.3 pep chromosome:OR_W1943:4:19480463:19485479:1 gene:ORUFI04G16940 transcript:ORUFI04G16940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVARGCADDPAGAARGGTAAGVGPGATAGESTPRWIGYGDDGAARLRLEFGLDPGRVRRSRPHLPLACGDDLMNSLTVAATANLSGFRAKWLDFYGQTSLELHHEKSVYLH >ORUFI04G16940.4 pep chromosome:OR_W1943:4:19480463:19486266:1 gene:ORUFI04G16940 transcript:ORUFI04G16940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVARGCADDPAGAARGGTAAGVGPGATAGESTPRWIGYGDDGAARLRLEFGLDPGRVRRSRPHLPLACGDDLMNSLTVAATANLSGFRAKWLDFYGQTSLELHRGKEMRPFMKARKSSSIMAVTGSSGDSPSTCFTITLNANCVK >ORUFI04G16940.5 pep chromosome:OR_W1943:4:19480463:19484432:1 gene:ORUFI04G16940 transcript:ORUFI04G16940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVARGCADDPAGAARGGTAAGVGPGATAGESTPRWIGYGDDGAARLRLEFGLDPGRVRRSRPHLPLACGDDLMNSLTVAATANLSGFRAKWLDFYGQTSLELHCNLLNVAKVAGLELCGGDQC >ORUFI04G16950.1 pep chromosome:OR_W1943:4:19481353:19485197:-1 gene:ORUFI04G16950 transcript:ORUFI04G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGELVLAALVILLALLLTLVLSHFLPLLLNPKAPKGSFGWPLLGETLRFLSPHASNTLGSFLEDHCSRYGRVFKSHLFCTPTIVSCDQELNHFILQNEERLFQCSYPRPIHGILGKSSMLVVLGEDHKRLRNLALALVTSTKLKPSYLGDIEKIALHIVGSWHGKSKDKGMVNVIAFCEEARKFAFSVIVKQVLGLSPEEPVTAMILEDFLAFMKGLISFPLYIPGTPYAKAARARISSTVKGIIEERRNAGSSNKGDFLDVLLSSNELSDEEKVSFVLDSLLGGYETTSLLISMVVYFLGQSAQDLELVKREHEGIRSKKEKDEFLSSEDYKKMEYTQHVINEALRCGNIVKFVHRKALKDVRYKEYLIPSGWKVLPVFSAVHLNPLLHGNAQQFQPCRWEGASQGTSKKFTPFGGGPRLCPGSELAKVEAAFFLHHLVLNYRWRIDGDDIPMAYPYVEFQRGLPIEIEPLCSES >ORUFI04G16960.1 pep chromosome:OR_W1943:4:19504561:19510220:1 gene:ORUFI04G16960 transcript:ORUFI04G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITVDSRPVKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLVGNKSDLSHRRAVSYEEGEQFAKEHGLIFMEASAKTAQNVEEAFVKTAGAIYKKIQDGVFDLSNEVKFFRNVCNVLRLMESNLVTRSRANPEVLVPRLLKGVAAAVANGTIYSGVYRVSHCHLPLESSS >ORUFI04G16970.1 pep chromosome:OR_W1943:4:19508692:19511481:-1 gene:ORUFI04G16970 transcript:ORUFI04G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRGNLWGVGADAVVAVVGAGGAELCYSLFCGALAVQKAMSMCP >ORUFI04G16980.1 pep chromosome:OR_W1943:4:19512538:19514775:-1 gene:ORUFI04G16980 transcript:ORUFI04G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAQLYGSAAAAAYRRLPLYGVPSSCRWPRTPLAALPKLSISTGGMGMNPFGVGAKVSIKCTNGTTQVDELNFRSNQTEELVEGDADTVTQKRSAKIHDFCLGIPFGVAPGLTTLFLGTLSLKFWRSGKSSFIFILGQAAISAVLAWKYSHAYILTNRILPWAFYASLSTAMACFYAYVLLSGGNPPPKKKMAATPSS >ORUFI04G16990.1 pep chromosome:OR_W1943:4:19519359:19522166:1 gene:ORUFI04G16990 transcript:ORUFI04G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAIDNIFRPLRNLFTRTVGYILFCESYIRALESEARWLKSQRDDVMKEVRLAERQGMEATNQVSHWLEAVASLLVRAIGIVAEFPRGGAAAGGLGLRAAYRLSKRADEARAEAVSLVEQRSTFQKVADAPVFACTEVLPTAAPSIGLDALLARVANAFQEGGTSVIGIYGAPGVGKTTLLHHFNNTFLSASAASMDIHLVIYVEVTERYSAGAVQKAIGGRLGLRWEDGKSTKEKALALCTYLHRWNFVLLLDDVWEPLNLAELGVPVPGRHGKSKVLLTTRLEHVCDQMDVTRKIKVECLSAADSWELFKNKVGNAFVTSREIQPLAQAMASRCGGLPLGLITVARAMACKRVTREWEHSMAVLNLAPWQLDGVEANLLVSLKRSYDSLRDDSLRICLLYCSLFSGETSKELLVESFIGEGFVSDVSADDMDDLYNKGHYMLGILVTSSLLEAAGDYHVTMHPMVRAMALWVVADCGRIDNKWLVRAGLVTSAAPRADKWTGAERVSLMRTGINELNDAPTCSVLKTLLLQSNRLLGRICHDFFSFMPCLRLLDLSDTLITALPSEINLLVTLQYLRLNNTTIRSLPAGIGALVKLRFLLLSNVPVQTIAAGVLNPLTALQVLCMDHCWSSWMDVGSCEPESGDSRKRRRHDLRQRVNLRELESLKSLQMLDISVQTLHSLEKLSQSPHLAEHLRNLHVQDCSDLPSIQFSPSSLWRHMSRLKGIIISGCCNLENVIITGGEYKGEQPWSLDRTVSMMRYRVPDKPLDVDSVYRPQTSQSLDMDCRKLVPLLPSLQSIILRKLPKAKIVWQGGSLEYLSSLSISSCSVLEHLISYDTEGLSHGSPAETVFPSLKELELHDLPNMRSIGPESIAVNFPSLASLKVVRCSRLKKLNLVAGCLKELQCTQTWWNKLVWEDENLKTVFLSSVKPLA >ORUFI04G17000.1 pep chromosome:OR_W1943:4:19523179:19524490:-1 gene:ORUFI04G17000 transcript:ORUFI04G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVPCCEKDNVKRGQWTPEEDNKLLSYITQYGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGEFTDAEEQTIIKLHSVVGNRWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKSFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRPSDFPSPAVHDGAGAGASASALAAPCFPAAPPHHPQADDTIERIKLGLSRAIMSDPSTASAAAAAAAAPSAPAEDKPWPPGDMSEGLAGMYATYNPAAHAHAQAQAEFRYDGASAAQGYVLGGDGDQGTSMWSHQSLYSGSSGTEEARRALPEKGNDSVGSSGGDDDAADDGKDSGKGAASDMSGLFASDCVLWDLPDELTNHMV >ORUFI04G17010.1 pep chromosome:OR_W1943:4:19525684:19527924:-1 gene:ORUFI04G17010 transcript:ORUFI04G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHHSLEVAAAGGDGGGGRCYDDDGHPARTGTVWTCVAHIITAVIGSGVLSLAWSVAKLGWVGGPACMACFALVTYVSAALLSDCYRRGDDDKGPRSRSYMDAVRAFLGKKHTWACGLLQYASLYGCGVAYTITTATSMRAILRSNCYHTHGHDAPCKYGGSYYMLMFGAAQLFLSFIPNFHDMAWLSVLAAVMSFSYSFIGLGLGLANTIANGTIKGSITGAPTRTPVQKVWHVSQAIGDIAFAYPYSLILLEIQDTLKAPPAENKTMKKASIISIVVTTFFYLCCGCFGYAAFGSDAPGNLLTGFGFYEPYWLIDFANACIILHLLGGYQVYSQPIYQFADRFFAERYPASRFVNDFHTVKLPLLPPCRVNLLRVCFRTVYVASTTAVALAFPYFNEVLALLGALNFWPLAIYFPVEMYFIQRHVPRWSPRWVVLQSFSVLCLLVSAFALVGSIQGLISQKLG >ORUFI04G17020.1 pep chromosome:OR_W1943:4:19534745:19535378:-1 gene:ORUFI04G17020 transcript:ORUFI04G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDGDDGAAAAAVPLISDRPKHAAIVRSGTEWTAAAHVITAVIGSGVLSLAWSVAQLGWLAGPGMMLVFAAVTALQSALFADCYRSPDPEVGPHRNRTYANAVERNLVFLA >ORUFI04G17030.1 pep chromosome:OR_W1943:4:19536790:19537590:1 gene:ORUFI04G17030 transcript:ORUFI04G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYSDPIWKSAGVSPAKWSLDEGGVLIISAQFGPVWMIYDFGGETLHGIDLGNSLLFVRKGIGDRWLVRIWQGRSAPGISICCLFVWDPDWEVRRYILDAWSINWRYDGNTPFVLWLLGFCFQVSKDQLMAAMGGGGEKGIVSGTITHPKPPGDVGVVSSAAILRKSDALVVSEKVAEGSTKHDFLQEMMNSQAATGFNTDMAEGVEGDKEEEAIEVNLEEDEVEKAGQWTILSRFYSLRIPNATALFEDMSRA >ORUFI04G17040.1 pep chromosome:OR_W1943:4:19538601:19539957:-1 gene:ORUFI04G17040 transcript:ORUFI04G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARRGGITIVAGGHGGSGGDKATRRGRRHWTWPLAAMVAAARRGGVAIVSDGKADGSRLQTLTTHAHSPL >ORUFI04G17050.1 pep chromosome:OR_W1943:4:19540149:19540876:-1 gene:ORUFI04G17050 transcript:ORUFI04G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRANSGLRSRVLSLSLNCCTDQKWISDIQGKSGIPLLPETDKLDASDHSPPLVGIACNMSRQQDAPKHRTWKERNQRVFQNKELTHVSPNREDKGRGRILDYGGSQTPGKDVTRRRE >ORUFI04G17060.1 pep chromosome:OR_W1943:4:19542374:19544852:1 gene:ORUFI04G17060 transcript:ORUFI04G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGDNNNKKKKGDLSNNLDLSSSPLLMDSRGQAYYHRAAAAAAAVAAGDATDGEEPAAAELAPKAVRSKKKLAVEAQGGAAVRDDLTCPECGKVFMSDKAMYGHLRSHPLRKHKGAARLAAVAAAAADDASVAAGVKPRPWKVPRTKEEAELGDDRHPGRSPVTGKRGRPASSASSSGSAPAPAPPASSRLQLVITEEEEAAMTLLDIASGCSLDHQPTQPAHVADAALLAPASDQMPSVDVEQGVLAVAEHRTWEAEKPALVEHVFGIVKEHVAAVAADAEPQSPEAKTPVKLGPVTDQAVPVLGDKNDDGQADMPVSPGGGTTKKPLKRRLQDVETKHPTAPPPPPVKRIPSPASKRKYECSECHKTFSTHQALGGHVAAHKRQKKSCAEQQQEAVAAAAQVARHNFLAHQRPAGVVVAQHQCLRCPMVFPTGQALGGHMRKHFLEAKEQEQLLAIAIANANANANANAAPEPPPMMANAAPVPPPSMANGAGPVPPPIAVAPPPAPPIAGAAPPPPMANGAAAAAPPGGNPAPPAGPQPGVNMFDLNELPNEDEGENQQP >ORUFI04G17070.1 pep chromosome:OR_W1943:4:19554549:19556725:-1 gene:ORUFI04G17070 transcript:ORUFI04G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDYAARPRDSAPSPARLLLQSSSPAEANCGEAARFDCVARGENCSKLQLREIKISSLDSCASEKENLIGYLPKLPFDEEPAVRKLSLPCVAMQEKYVLHTSPRKGCRLRRWRRVRRDLRDNPLDLDVNFLVLATGYSLGIGSGISGVCINRKRIRMKDFGGVGRIVRKSHPRGLRDKEEACIFESYFVTSGAGGAVSNNRHQIMSMSYDGDQSEDRQSEEVQSAYKSHGGGVLRGYFNLDRSEEQNEENEWSWIPQDGDPLAESMSSLQTTQEALENEMQKLSDLSKELGADNFSSDNRANNAFVSPDEDDVLETNQKMSHLEQKLEEASNTIREKNSVLSQLQELIDGMHIATPAERAFDIDQLETDLDRQLQEKIEAEIQSLVMLKARQSWQVRTEDQLALKEHKLSSSGGDNGDGDCDSDNARMMMMVKLRETESKIVKLKEQVEKLEVHERELFGTTQVLRMQSRTLKICLFGLLQLVLLFLSLKAFFAQVSDPFDDVVPT >ORUFI04G17080.1 pep chromosome:OR_W1943:4:19564077:19569109:-1 gene:ORUFI04G17080 transcript:ORUFI04G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKDKSRPNCFRALGFLVRTVAAAEAVDLEGSGKAFIRCPSCSSIQGVSAVPATSPGESPASQDPTDLVQPCAKFSIRDYVFASRSKGIKRSWPFHPRSLQLCLKRGVKDLLPPFEPPDLIRSRSLSTTINVEQSAASSEANAPVGLVKTRDDGSSIVNASNINFQSCQPVAESLGPSQYTSPEDGKSAVDQGENTNGLDHTDEVMPVDLQVNSCTKAIRQTEVAVPSWRSKNLDSSREPSEKKCKLVVKVGSLTRTEEVASNSSTVSDPMASKTCPVCKVFASTSNTTLNAHMDQCLSVESNTEPVEKVILKPKVKPRKKRLMVDIYKTARLFTLEDLDQRNGTNWAIELATPTTNKEVCTENRSPEVVPFDPRDDEREGDVYVDSNGIKIRILSKSSDASLVLRDEHNSRKVAKNETGKSMLMSKTCLKSKICKNKRLKFPGKKHSKTNRLNTQVRTHTDGDMHEHTSEDEEESTMHVQKPTESTSYGGSETIRQWVGSKRSGLSKNCAREVTDKASKSITPGTKKLARSSIRGFDDSQISDSPPEAFSSQPPEEMTTTSEANDDDERNGTSRLLRSIPRWSSKTTPSSNVIPKVPRSAAALAKRKIKEIGRRESYRSDNYDTVRNSTSIRNSVRRGPSSVAGLSDGSNRVASTKKFRKNRSLLRTGRREFSPSNSGLVHGFGQDHGSNPNHTNKRFRVSNKETSKKLKHTQEDTADNDFSYESDVPALGQGDDQYDAAQQAGISQMYYEGEEPETEMQCASPSRSDPIDCSNDMSSDSLSPENNETADDVLVEGYSVAIVDPCSNEKSAYHAHIPNDVANNEVEEWQIDPSSTKESSACLTNNRDMGLGAPQDNSSITSNREDSNLDHGLVFDRGSSGSPVSTASTLSPSTSLRDSRTNRSEPGPSTVSLPTVEERVSGSSNQETKSTPLAREGEQLPEKSCCCNCRESISRDSQVHDQSAMARPVPAFTGRPVPQLNIGLRASSSFSTYQRTSTKANPCLDSHDQTLAGKVSAEPTMTHPSYMTDCMSPSIQTQLPSPSNPILRLMGKNLMVMNSEESGHPQAPSSDYIMRGNYMAPGCFMPQNYQHIGDPAFMNTTPSTANHQIPLSSVQAGNFSAPTLHNGSMVQSDYHSPQKPYRNLLPVMHHPSYMMKEVIMIDDSPEHRSDPQISMLLPSAPSPATISVPNTVASRPFYCLPSQNQLLPRESAVGPLPVFTNINPIVGVSSSSQGNNAEVAHPYMSNPFYVQSPAGYINPSVYYSQNLR >ORUFI04G17090.1 pep chromosome:OR_W1943:4:19590118:19597696:-1 gene:ORUFI04G17090 transcript:ORUFI04G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATLDPPPPPLLIAGSLLDDDRDAGSAASSSPRWLPQARGSTVGSPPRRGGEERGGEAATRRKGNSSCPDDDDDCRNDEDDCRNDDDYRDDDVGATTDGGGDAAALLPMAMMTATTMAPMPVEAAVDGGGELSSAGEGFNSLLEAQLFSSHPLPTICRRPSAPFAFSPMLVYGVLDRLCSVCHLGEMEGDIAMEEWKDSNHRGADYLMTMPMQNFLADAFPPPELLEGEGGFEKHGLSVAVGSPPPTPPPPEDGCSPLPLTPQFGQKFGSGGGGGGGSLISTPNLVFVCAYNGQASPTTGKLHMLGGANDSNPIRFESPPIEEGSGAFSFKPLNLASSQYAAAEKTKSLPNNQHQSLPISVKTEATSIQTAQDEAAANQLMQPQFNGGKRSRAAPDNGGDGEGQPAEGDAKADSSSGAAAVAVVAAAAAAVAEDGYSWRKYGQKQVKHSEYPRSYYKCTHASCAVKKKVERSHEGHVTEIIYKGTHNHPKPAASRRPPVHPPPPSPATTTTTPLPPGDAQADHAPDGGGGSTPVGAGQAGAEWHNGGVVGGEGLVDATSSPSVPGELCESTASMQVHEGAAAAQLGESPEGVDVTSAVSDEVDRDDKATHVLPLAAAAADGESDELERKRRKLDSCATMDMSTASRAVREPRVVIQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCLVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHPAGSASPGGGAGSSSQPHGVGVGGRRPEVPSVQESLMRLGGGCGAAPFPPHFGLHLPPPPPRDPLAPMSNFPYSLGHAPSPALRGLPPPPPPPPSASALAVAGLGGVVEGLKYPMLAPPSVHSLLRHRQGGGMEAVVVPKAEVKQEAMRPAAAVAGAGRGAAVYQQAMSRVSLGNQL >ORUFI04G17100.1 pep chromosome:OR_W1943:4:19608621:19611308:-1 gene:ORUFI04G17100 transcript:ORUFI04G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAVATFLATALSLSLLLVGALARPPPAPVRTDAGGGAAPAPQDKGGNLTDVLNVAGPFSTFLMYLRQTNLVAVFEHQAYRTHQGITIFVPVDMAFAAIEPSVLAGLSRNQLKHLLMYHSLAKHYTLAEFDGLSQSNPVKTLAGGRYAVNVTYDGGVVHVRSRWSSARVVGSVYESAAMAVYELDTVLLPDALFHAHPPVAATPPVPALPSPPPHADHPPPDDDDGDIDDYVPAPPPDPAAGKGGAERSASGPAVVAHKAASYGAAAAMTLLVSCL >ORUFI04G17110.1 pep chromosome:OR_W1943:4:19611530:19612678:-1 gene:ORUFI04G17110 transcript:ORUFI04G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSAVLAVAALCLALALLLPRVALSQRLATADAPAPAPAPRHVDLAELLSLAGPYGTFLGYLTKTGVITTFQSQANDTAAGAPGVTVFAPEDSAFAAVGGGAALSNLTADQLRTLMLCHGVPRYHPLSSFSALAASGPAPTFAGGQQYAVNVTDAAGTVRIQSGWATAKLVSSVYSTSPVAVYALNRVLLPEQIFPTAPKVAPVPAPAPAPVHGDKANDGAPGAGELGASDVKSSSCRRV >ORUFI04G17120.1 pep chromosome:OR_W1943:4:19618801:19623192:1 gene:ORUFI04G17120 transcript:ORUFI04G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSHVCSLVSSLVFLWLGVAAAQKASSWKTLSVRSNAMHVYKANGQLTISGNAPAIIAKGGFSGIFPDSSEFAYQFALIASSPDTILYCDVRLTKDGLGICLPDIKMDNCTNIPDFYQQGRKSYLVNGVSTAGWFSVDYNGTELGQVSLKQSIFSRSPRFDPSFFPILAVEDIASKFKPPGMWLNVQHDSFYSQFNLSMSNYILSVSKRVIADYISSPEVSFLTKVSGKLSNNTRLVFRFLDESTIEPSTKQTYGSMLKNLTFVKTFASGIIVPKKYIWPVSPDNYLEPHTSVVDDAHKAGLEIYAADFANDFMFSYNHSYDPLAEYLSFIDNGCFTNLKKRKTDHGKPLIISHNGASGDYPACTDLAYQKAVDDGADVIDCPVQLTKDGIPICMSSINLMDDTTVAKSQFASQTAVIKDIESVLGVFTFNLTWDDIVKNLRPKISTPFSSFKLDRNPRYRNAGNFMRLSDFLDFTKDKDLSGIMISVEHAAFVAEELGFDMVDSVIKTLDAAGYSNQTAQKVMIQSSNSSVLVKFKQQTKYDLVYMINEEVKDAAPSSLAAIKKFADAVSVEGNSIFPENRHFTTYQTNLVESLQNAGLPVYVYTLMNEFASQPYDFFSDATAQINAYVQVNTCMHMGNNTPSFMAPARPGDLLQIISKPAQPPAMSPMPLLTGSDVAEPPLPPARTAQAPSLASRMQAHAAIVVTLAMLLACHPLV >ORUFI04G17130.1 pep chromosome:OR_W1943:4:19624983:19625312:-1 gene:ORUFI04G17130 transcript:ORUFI04G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGVLSLFRRRRRTARVVDESALVGGHAGAGDDDDARGRGVAAAGGAGALMARALLAMSCVVRRLDGEDVGGGGGVEEAWATSGWRPPRADEAGRHLVVRESMRYAIYG >ORUFI04G17140.1 pep chromosome:OR_W1943:4:19628537:19636383:1 gene:ORUFI04G17140 transcript:ORUFI04G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGERTFKANFTGEGVRLLRARVKEKLRELMGDYSDDTLAEYVVVLLRNGRRKDEAAKELEVFLSDNNEAFVSWLWDHLSSNLHLYVQPKAISSNNEVNSTRSNARGMPAQNMTSSTQAIREPVAGTQKTTGIHQRREWGGIVRDQSETVPLRSVVTTVLHAEEKDVNKSHARRRTHSPDMHHQRKRSREDDERQIKRTSHQDIDAPRRLLQFAVRDAVRPVQPITPRSESASKRLRSVVSTMPSDSPLDVRLQRTNSDVRVPGVTAAALRAAAEAAEDVLKEKYSGSVFRRLGRKGMVNAAEESFGFSEQGREREYGDIDNVQAENQLDVHGRNHYAGDAYMYDREAAKGTDSASDIDRHDDTGAARYNDLVSCRSTLPSSIGKESVVAGFNTVEGTTTIRSRRSIMQDPHASSGRGPSERINMVNNITHKPANQATRRNAVKIEPQVPTEMKHTDSRKSTATLAHVNNTPMTDKSKDSMCSSSMVEEQKLPSLAVGSCSTGQPEGGTDSRTVFLSNVHFAATKDALSRHFNKFGAVLKTLIVTDIAGQPTGSAYIEFLHKESAEQALTLDNTSFMSRMLKVVRKNSLEVSQQSGWPRGSRGSTFPSRLTRTAYPRPAFPGAMRGRLPLRGGARSLQWKRDNADTVDAAKPGHSTPIPTGNQLISPVVRSFTYTRAEQKQDVGATI >ORUFI04G17150.1 pep chromosome:OR_W1943:4:19638947:19644165:1 gene:ORUFI04G17150 transcript:ORUFI04G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAAANLLLFSAVLLLATPSNAASAAAPAVAAMPVQPQELLLSFRSSLNDPSGALSTWSRSTPYCNWSHVTCTAAGGGGGVAVGLSLQGLGLSGDIAAEPLCRVPGLAALSLASNTFNQTVPLQLSRCALLVSLNLSSAGLWGPLPDQLAMLASLASLDLSGNDIEGQVPPGLAALRGLQVLDLGGNRLSGVLHPALFRNLTKLHFLDLSKNQFLESELPPELGEMAGLRWLFLQGSGFGGAIPETLLQLEQLEVLDLSMNSLTGALPPAFGHNFRKLLSLDLSQNGFSGPFPKEIGKCVMLQRFQVQGNGFTGELPAGLWSLPDLRVVRAESNRFSGRLPELSAAASRLEQVQVDNNSISGEIPRSIGMVRTMYRFTASANRLAGGLPDTLCDSPAMSIINVSGNALSGAIPELTRCRRLVSLSLSGNALTGPIPASLGGLPVLTYIDVSSNGLTGAIPAELQGLKLALLNVSYNHLTGRVPPSLVSGALPAVFLQGNPGLCGLPADGGCDAPATPPSRNRLALAATVASFVTGVLLLLALGAFAVCRRLHAAAKLVLFYPIKITADELLAALRDKNAIGRGAFGKVYLIELQDGQNIAVKKFICSSNQTFGAVKNHMKTFAKIRHKNIARLLGFCYDSHGGGGEVSVIYEHLRMGSLQDLIRAPKFAVGWNDRLRIAIGVAEGLVYLHRDYTPRLLHRDLKSSNVLLGDDFEPRVTGFGIDRVVGEKAYRSSLASDLNYSCYIAPEVNCTKKPTHLMDVYSFGVILLELITGKPAGQPASDDSVDIVRWVRRRVNVAGGAAQILDPAAAVSHAAQQGMQAALELALLCTSVMPDQRPAMDEVVRSLQLLHSPQTLPPPPPFTGVAVEP >ORUFI04G17160.1 pep chromosome:OR_W1943:4:19642583:19644166:-1 gene:ORUFI04G17160 transcript:ORUFI04G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEHKIPQPGNRLEPSNPSGVAAPDQPPAPPIADAADAGAAAMAPFRRWADLPPDLLCRVGDRLDLKCYASARGACTAWRSALSPASPALLVLSDARMCPSAASLPTRRCFDLAAILTGGRCVGSSNGWLVLSIALYGGQSAFVLFNPITTTEIVLPPLIYESRWVSKVVFAPSPAKDDYAAAAICDIDRIAYVTAGARRWAVLDPVRLVTGDQLTDVVYHDKGKVYCLTRCGDVHLLRLPERRRRKPADEPGPSEQPPEAPVVPLPECAVAIRARRTQLHHNFRMVCYDHLGPRDQMMPMKLTVCSETLIPFNYRRFAIGPLEPDLNAPATVEPLLPEGNLPFDPATSFAPPYNTVSAFTNAKNIVLCEGNLYQIWRNASCTVTLQLPGGGHRRVSENEILVLRYYPRRQPCWDAVTDLGGYSVFVGRNNAVSMYAEGVHGLKGNCVYWIGGRGRDQGMVFDMETGRSTPCYPLVGGMPGPLQSTICWFFLSDIVNASNNSGGRRVYQTRSRSRAERAQDMEE >ORUFI04G17170.1 pep chromosome:OR_W1943:4:19644635:19647487:1 gene:ORUFI04G17170 transcript:ORUFI04G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGFLRFGGPLFCPFFDELFAGCSDASVCLCRCRSGEVFESEQEAEEDFRGIGGEGTLARIHTSRNYSNMPEMRDSKRTALGELSGGGGFFIRRVASPGALAARGPGKPLARRFIRPSNNKENVPPVWAVKATATKRRSPLPDWYPRTPLRDITAIAKAIQRSRLRIAAAQQRSQTPEQNTPHCTEVRDSLDVEPGINSTQIVATPASSLAKDSLKIFSSPSETSLVTPSKPMDPVLLDDMEKKLSSSIEQIEKMNILKYSKNAQYLQPIVKHQNCHEEDWGVPEKERKTGVAVADKA >ORUFI04G17180.1 pep chromosome:OR_W1943:4:19651084:19653528:1 gene:ORUFI04G17180 transcript:ORUFI04G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAMATPTRPQVRETWPAGGGGGGGGWMGVGSGERVASAYDLVEQMHYLYVRVVRARGLTAAASTVAGGGGCNPYVEVRLGNYRGTTRHHERKAAPEWNQVFAFSRERVQASVLEVFVRDKDAVAAVARDGYVGRVAFDVGEAPVRVPPDSPLAPQWYRLEDVGGGGGRAVQGEVMLAVWVGTQADEAFADAWHAGAASVRGGGDGVAAVQSTRSKVYVTPKLWYLRISVLEAQDVVPGAVAGAGGDKGRHGEAFVVVKVQVGGVTLRTKPCCRPTSPSWNEELVFVVAEPFDEPAVLVVEARAAHPGKDEIVSRAVLPLTLFERRLDRRGAAAATHTQSQWFSLEPFVHRPRHSPEEPAFAGRVHLRACLDGAYHVMDEPAMYASDTRPTARQLWRPPIGVLEVGVLGAQGLPPMKTAADGGRGTTDAYCVAKYGHKWVRTRTVVDSSTPRWNEQYTWEVYDPCTVLTLAVFDNCNLGNGGGGGKDQRIGKVRIRLSTLEMDRVYTNAHRLVVLHPSGLRKNGDVCLAVRLTCLSLASVVRLYGEPLLPGAHYVHPFAVAQLDGLRRQAVGVVAARLGRAEPPLRREVVEYMLDAGSHLWSIRRSRANFLRATALLSGAAGAARWLADVCHWRSPATTILAHLLLVTFACFPELILPTAFLYASVAGAWSYRRRPRRPPQADAGLSCAEAAGADEFDEEADTFPTSRPDGVVRARYDRLRTVAGRIQAVVGDVATQGERVRSLLAWRDPRATAVFTAACLAAAVVAYATPPRVVALVAGLYLLRHPRFRSRMPSAAGNFFKRLPSRADTML >ORUFI04G17190.1 pep chromosome:OR_W1943:4:19659504:19661409:-1 gene:ORUFI04G17190 transcript:ORUFI04G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSKLSQGIKKASRSHTYHRRGLWAIKAKHGGAFPKAEKPAAAAAASAPKFYPADDVKPRQPSTRKPNPTKLRSSITPGTVLILLAGRFMGKRVVFLKQLKSGLLLVTGPFKINGVPIRRVNQPYVIATSTKVDISGVNVEKFDDKYFSRDKKQKAKKTEGELFETEKEATKNLPEFKKEDQKVVDAELIKAIEAVPDLKTYLGARFSLRDGDKPHEMVF >ORUFI04G17200.1 pep chromosome:OR_W1943:4:19662144:19688493:1 gene:ORUFI04G17200 transcript:ORUFI04G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGRSSDVDQHAHPILGSVLMPEIDFAELVKIPMHDLMRVHIRLAMEPSGLADQEKNSAAAEIKSIKIAEINPPRAREPENGSNHIHRSNNIRNQEMNLNKIPKCITDSAKRIEEHNLSFIDHRVSWDSGFTPDSLTRRRRRGIRTHGDCRLGPRPWWWIGSGPVGTSLSDSAPNPVVVVVLAVKMSMAPTGTPRKSESFWAARTTAIESKPYEVYENWLAFTEGTGNIDTVLSQTISRCEDTFRKAKRSSSTYKSSEKTSYKTHVMILWKPTDKDRGNTGTGITPALIHPKNASINSKPGRCIPMKLLTTKKELEQAEEHYIPPSHYYGMHTDIAVALHQPRTLRDP >ORUFI04G17210.1 pep chromosome:OR_W1943:4:19677537:19678065:-1 gene:ORUFI04G17210 transcript:ORUFI04G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPHCAVKPCCCCCCCCCLLVAAVLAVAVFLAMSPPAAAAAAASSSQPAAVAALQRAETTATMYTAKELREKQDVTKGAEEDVTTTTTTTGFGAESEREVPTGPDPIHHHGRGPRRQSP >ORUFI04G17220.1 pep chromosome:OR_W1943:4:19679104:19687504:-1 gene:ORUFI04G17220 transcript:ORUFI04G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKRSIDDQFSKLHPSLPVDTRIGIVGAGPSGLSAAYALAKLGYRNVTLFEKCHTVSGMCESIDIEEELGSDFEEMDTHKLSLIDSQTGNIRDLEVAEDYVSMVSLTLKLQDEANKSGRAGLHALSGLASDPTHEFLKQNGINSMPKSVAYGYTASGYGFVQDMPYAFIQEFTRTSMAGKIRRFKHGYMSMWERLSKSLPFEVFCDTQVLNVKRNSCGANVTIKNNNGEKQVLEFDKIILSGAVAFKNSKTYRSSSLTDGESEVVELNNLERELFSKVQTIDYYTTVVKINGFEHIPKGFYYFGEFMEDPTTIGHPVAMQRFFADTNIFLFWSYGNSADIKGSFVAKCVTDVVSSMGGNVQKVILQRRFKYFPHVSSKDMKDGFYERLESQLQGFQNTYYVGGLLAFELTERNASYSIMAVCKHFAIDGEGELTPYVKRLFPLSHNRNPSAPRDLGELEGVEFPDLPSLDGYLQYWGTHKVTEKNVIYTWINEEGKLMNRRTYQELHGNASYIAQKLLTSTKPVIKPGDRVLLIHLPGLEFIDAFFGCIRAGVIPVPVLPPDPMQSGGQALLKVENISKMCNAVAILSTSSYHAAVRAGYIKNIVTLAKRVQKCSAQWPDIPWIHTDSWIKNYRRSSDSFNSDTVLFTKPQPSDLCFLQFTSGSTGDAKGVMITHEGLIHNVKTMKKRYRSTSKTVLVSWLPQYHDMGLIGGLFTALVSGGTSVLFSPMTFIRNPLLWLQTINDYHGTHSAGPNFAFELVIRRLEAEKNKVYDLSSMVFLMIAAEPVRQKTVRRFIELTQPFGLSEGVLAPGYGLAENCVYVTCAFGECKPVFIDWQGRVCCGYVEQDDTDTLIRIVDPDSLTEHQEDGVEGEIWISSPSSGVGYWGNSEMSQRTFFNQLKNHPNKKFTRTGDLGRTIDGNLFITGRIKDLIIVAGRNIYSADVEKTVESSSEVLRPGCCAVVGIPEEVLAQKGISIPDSSDQVGLVVIAEVREGKAVSEEVVNNIKARVVEEHGVAVASVKLIKPRTICKTTSGKIRRFECMRQFVDNTLSLAKGNHVSKKKGLFRSLTTGTGMESKRSLLRQTVDLTISHWPKSQVKNSNEITEFLTQIVSEHTGISKDKISLTDSLPSYGFDSIAVVRAAQKLSDFLGVPVGAIDIFTASCISELASFLENLVHKSQPQLAPWPKSKVKNSKEIIEFLTKIVSDQTGIPKDKISPTDSLPSYGFDSIAVVQAAQKLSDFLGVPVGAIDIFTAGCISELATFLENLAHKSQSQLAPGASCYIEDETQVDPMDAISPEFSVLGTGILQLLALTYVCFVLLLPAYLASSTYMSIFSTVSLVRSPLLSYLSSLVMAPIVWIFYISLTSLSLSILGKSFLQPNYVLIPDVSIWSVDFVKWWALNKAQALAAKMLAVHLKGTIFLNYWFKMQGARIGSSVVIDTVDITDPSLLTVADGAVLAEGALVQGHEVCNEVLSFRPIWIGCEASIGPYAVLQKGTVVEDGAVVPPLQKTGAGKSTRRTSRTSVSIKKEAAKANMILEHLVSIYAVGILGALSGAIVYTLYTHLSGKAASPLHFSFACIAGAFHWLPAAITAYAVIVQETPTSALSFALFTAFADLSYGVILSILTSITSRALAAKPGTKQNGIASLIHRRITISAHVRFAKMLSGTEAFCVYLRLLGAKIGRHCSIRAINPVANPELISVGDGVHLGDFCNIVPGFYSKGGFTSAEIKVQENTVVGSGSLLLPGCVLQENVILGALSVAPENAVLRRGGVYVGSQSPAMVKNTLLDEDERIEEMDQAYKKIVGNLAANLAITTMNVKSRYFHRIGVSGRGVLRMYEEIPSFPRHKIFASGKSFPVIVRHSNSLSADDDARLDARGAAVRILSDNDGEAPLLDLTLKSGKAFYARTIADFATWLVCGLPAREEQVKRSPHIRDAVWGSLRSTDSYTVLHYYSNICRLLRFDDGREMYAKFKLRPADPDVPEDSGKVVPRGILPPETGAIPRDEDDTRPLLFLADDFRRRVGSPDGVRYVFQLQLREVPTDAAARDVALDCTRPWDEAEFPYIDVGEVSIGRNLPTEETEKLEFNPFLRCPEVDVIPATSCAQSASIDHGRSLVYEICQRLRNGEPLPASWRAFLEQSGTKIDLSGCPVAAAATPTRSNAGDATKVTLARTWYQALWATLCQPLLQTLVPYSVLGLVIFLPLRGLLAVAAATRFPLYWLLPAFWAASGVAAMATCAAAKWALVGSRVDGDTAHIWSPAVFLDTVWQAVRAATAEYFAELTPGSAPFAAWMRVMGASVSPGDGVYVDSMGALLNPEMVRLERGAAVGRDALLFGHVYEGEAGKVKFGAVSVGEDGFVGSRAVAMPSVTVDDGGCLAALGLAMKGETVKHSM >ORUFI04G17230.1 pep chromosome:OR_W1943:4:19697041:19703118:1 gene:ORUFI04G17230 transcript:ORUFI04G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHAWMLICEGVPDAWSSAPLCCSTLALHDAEDVPKMNPLGKLLRLIGGSSPASTQAAARRRRRRRRRIPPDIATAPATAI >ORUFI04G17230.2 pep chromosome:OR_W1943:4:19696662:19703118:1 gene:ORUFI04G17230 transcript:ORUFI04G17230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWETIEMTRQREIPSLCYHNTVNSIPPLLVPGEDAEDVPKMNPLGKLLRLIGGSSPASTQAAARRRRRRRRRIPPDIATAPATAI >ORUFI04G17240.1 pep chromosome:OR_W1943:4:19697057:19700139:-1 gene:ORUFI04G17240 transcript:ORUFI04G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNLNTFAGVQSFVTLFHYDTPQALEDKYNGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWIFCSKAYASGTYAPGRCSPWEMGKCSVGDSGREPYTACHHQLLAHAETVRLYREKYQFTEEALQKGKIGIIVNSQWYVPFSPSKTNKDAARRVLDFVLGWLMDPLIRGDYPLNMRELVGNRLPKFTKEQSEMVKGAFDFIGLNYYSSSYAENAASSWLHIYPQGLRELLLYIKENYGNPTIFITENGVDEVNNKTMPLKEALNDNTRIEYYHKHLLALRNAMRDGANVKGYFAWSLLDNFEWADGYTLRFGLNFVDYDDGMKRHPKNSAHWFKKFLREMKQG >ORUFI04G17240.2 pep chromosome:OR_W1943:4:19697057:19698479:-1 gene:ORUFI04G17240 transcript:ORUFI04G17240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQALQKGKIGIIVNSQWYVPFSPSKTNKDAARRVLDFVLGWLMDPLIRGDYPLNMRELVGNRLPKFTKEQSEMVKGAFDFIGLNYYSSSYAENVLPSYGLKNSYNTDFHARITGDLQLCYPQNKLLVKVQNNDQAASSWLHIYPQGLRELLLYIKENYGNPTIFITENGVDEVNNKTMPLKEALNDNTRIEYYHKHLLALRNAMRDGANVKGYFAWSLLDNFEWADGYTLRFGLNFVDYDDGMKRHPKNSAHWFKKFLREMKQG >ORUFI04G17240.3 pep chromosome:OR_W1943:4:19699183:19707926:-1 gene:ORUFI04G17240 transcript:ORUFI04G17240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLWSSFVQMAVAGAVAMSGGILLLLLLLLLAAACVEAGELPPISRRSFPKGFIFGTSSASYQEDVHMMKEMGMDAYRFSISWSRILPRVQSFVTLFHYDTPQALEDKYNGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWIFCSKAYASGTYAPGRCSPWEMGKCSVGDSGREPYTACHHQLLAHAETVRLYREKYQFTEEVVRQSQFIHDNDLHRRSAKLSFIIQNYLLLGIHFQPGPGGRVCQYRH >ORUFI04G17240.4 pep chromosome:OR_W1943:4:19697057:19698479:-1 gene:ORUFI04G17240 transcript:ORUFI04G17240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQALQKGKIGIIVNSQWYVPFSPSKTNKDAARRVLDFETENSNYEILSRLMDPLIRGDYPLNMRELVGNRLPKFTKEQSEMVKGAFDFIGLNYYSSSYAENAASSWLHIYPQGLRELLLYIKENYGNPTIFITENGVDEVNNKTMPLKEALNDNTRIEYYHKHLLALRNAMRDGANVKGYFAWSLLDNFEWADGYTLRFGLNFVDYDDGMKRHPKNSAHWFKKFLREMKQG >ORUFI04G17240.5 pep chromosome:OR_W1943:4:19697057:19698479:-1 gene:ORUFI04G17240 transcript:ORUFI04G17240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQALQKGKIGIIVNSQWYVPFSPSKTNKDAARRVLDFVLGWLMDPLIRGDYPLNMRELVGNRLPKFTKEQSEMVKGAFDFIGLNYYSSSYAENAASSWLHIYPQGLRELLLYIKENYGNPTIFITENGVDEVNNKTMPLKEALNDNTRIEYYHKHLLALRNAMRDGANVKGYFAWSLLDNFEWADGYTLRFGLNFVDYDDGMKRHPKNSAHWFKKFLREMKQG >ORUFI04G17250.1 pep chromosome:OR_W1943:4:19705530:19729803:1 gene:ORUFI04G17250 transcript:ORUFI04G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGAEEIGEGGGDRASGEEAGGRGGGDAGAGRGGGAERRANDAAWGKEERSDRGLLESHGLIIDFINTLRLVDAFSVDSTAETSICHDREILTLSLAAAGTDLSPFSPLAAPVPAANRQPPPRRGSSCRPELFSFHRTIINKTKRLISFR >ORUFI04G17250.2 pep chromosome:OR_W1943:4:19705530:19729803:1 gene:ORUFI04G17250 transcript:ORUFI04G17250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGAEEIGEGGGDRASGEEAGGRGGGDAGAGRGGGAERRANDAAWGKEERSDRSLAAAGTDLSPFSPLAAPVPAANRQPPPRRGSSCRPELFSFHRTIINKTKRLISFR >ORUFI04G17250.3 pep chromosome:OR_W1943:4:19705530:19712282:1 gene:ORUFI04G17250 transcript:ORUFI04G17250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGAEEIGEGGGDRASGEEAGGRGGGDAGAGRGGGAERRANDAAWGKEERSDRGLLESHGLIIDFINTLRLVDAFSVDSTAETSICHDREILTLALRPQDEY >ORUFI04G17260.1 pep chromosome:OR_W1943:4:19708235:19726664:-1 gene:ORUFI04G17260 transcript:ORUFI04G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMAVAGAMVMSGALLLLHLLAFTCVACNGGSELPPISRRSFPKGFIFGTSSSSYQTKSLTEAMAMWLATATISTSMKEMGMDAYRFSISWSRILPNGSLSGGVNREGISYYNNLINELLSKGVQPFVTLFHWDSPQALEDKYKGFLSPNIINDYKEYAETCFKEFGDRVKHWITFNEPWTFCSMGYASGIMAPGRCSSWEVGKCRVGDSGREPYTACHHQLLAHAETVRLYKEKYQFTEGNALQKGKIGIILNADWFVPLSQSKSSSDAARRALDFMLGWFMDPLIRGDYPLSMRELVGNRLPEFSKEQSGMVKGAFDFIGLNYYTSSYADNDPPSHGHNNSYNTDAHAKITGICEMLLYVKENYGNPTIYITENGVDEVNNKTMPLEEALKDDTRIEYYHKHLLALLSAMRDGANVKGYFAWSLLDNFEWAEGYTVRFGINFVDYDDGMKRYPKNSARWFKKFLQKSNRDGNKRLKRVMAVAGAMVMSGGVLLLLLAFTCAAYNDAGELPPISRRSFPKGFIFGTSSSSYQTKSPTRATGMEDVRIMKEMGMDAYRFSISWSRILPNGSLSGGVNREGINYYNNLINELLSKEVQPFATLFHFDTPQALEDKYKGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWNFCSMGYASGTMAPGRCSSWEKGKCRVGDSGREPYTACHHQLLAHAETVRLYKEKYQFTEEAIRQSPFIRDNNLNRRSAKIGIILNSEWFVPFSQSKSSNDAARRVLDFMLGWFMDPLIRGDYPLSMRELVGNRLPEFSKEQSEMVKGAFDFIGLNYYASSYADNDPPSYGHNNSYNTDSHAKITGLRELLLHIKENYGNPTIYITENGVDEINNKTMRLKEALKDDIRIEYYHKHLLALLSAMRDGANVKGYFAWSLLDNFEWSEGYTVRFGINFVDYDNGMKRYPKNSARWFKKFLRK >ORUFI04G17260.2 pep chromosome:OR_W1943:4:19708235:19726664:-1 gene:ORUFI04G17260 transcript:ORUFI04G17260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMAVAGAMVMSGALLLLHLLAFTCVACNGGSELPPISRRSFPKGFIFGTSSSSYQTKSLTEAMAMWLATATISTSMKEMGMDAYRFSISWSRILPNGSLSGGVNREGISYYNNLINELLSKGVQPFVTLFHWDSPQALEDKYKGFLSPNIINDYKEYAETCFKEFGDRVKHWITFNEPWTFCSMGYASGIMAPGRCSSWEVGKCRVGDSGREPYTACHHQLLAHAETVRLYKEKYQFTEGNALQKGKIGIILNADWFVPLSQSKSSSDAARRALDFMLGWFMDPLIRGDYPLSMRELVGNRLPEFSKEQSGMVKGAFDFIGLNYYTSSYADNDPPSHGHNNSYNTDAHAKITGICEMLLYVKENYGNPTIYITENGVDEVNNKTMPLEEALKDDTRIEYYHKHLLALLSAMRDGANVKGYFAWSLLDNFEWAEGYTVRFGINFVDYDDGMKRYPKNSARWFKKFLQKSNRDGNKRLKRVMAVAGAMVMSGGVLLLLLAFTCAAYNDAGELPPISRRSFPKGFIFGTSSSSYQTKSPTRATGMEDVRIMKEMGMDAYRFSISWSRILPNGSLSGGVNREGINYYNNLINELLSKEVQPFATLFHFDTPQALEDKYKGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWNFCSMGYASGTMAPGRCSSWEKGKCRVGDSGREPYTACHHQLLAHAETVRLYKEKYQFTEEAIRQSPFIRDNNLNRRSAKFMDPLIRGDYPLSMRELVGNRLPEFSKEQSEMVKGAFDFIGLNYYASSYADNDPPSYGHNNSYNTDSHAKITGLRELLLHIKENYGNPTIYITENGVDEINNKTMRLKEALKDDIRIEYYHKHLLALLSAMRDGANVKGYFAWSLLDNFEWSEGYTVRFGINFVDYDNGMKRYPKNSARWFKKFLRK >ORUFI04G17260.3 pep chromosome:OR_W1943:4:19708235:19740916:-1 gene:ORUFI04G17260 transcript:ORUFI04G17260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMAVAGAMVMSGALLLLHLLAFTCVACNGGSELPPISRRSFPKGFIFGTSSSSYQTKSLTEAMAMWLATATISTSMKEMGMDAYRFSISWSRILPNGSLSGGVNREGISYYNNLINELLSKGVQPFVTLFHWDSPQALEDKYKGFLSPNIINDYKEYAETCFKEFGDRVKHWITFNEPWTFCSMGYASGIMAPGRCSSWEVGKCRVGDSGREPYTACHHQLLAHAETVRLYKEKYQFTEGNALQKGKIGIILNADWFVPLSQSKSSSDAARRALDFMLGWFMDPLIRGDYPLSMRELVGNRLPEFSKEQSGMVKGAFDFIGLNYYTSSYADNDPPSHGHNNSYNTDAHAKITGICEMLLYVKENYGNPTIYITENGVDEVNNKTMPLEEALKDDTRIEYYHKHLLALLSAMRDGANVKGYFAWSLLDNFEWAEGYTVRFGINFVDYDDGMKRYPKNSARWFKKFLQKSNRDGNKRLKRVMAVAGAMVMSGGVLLLLLAFTCAAYNDAGELPPISRRSFPKGFIFGTSSSSYQTKSPTRATGMEDVRIMKEMGMDAYRFSISWSRILPNGSLSGGVNREGINYYNNLINELLSKEVQPFATLFHFDTPQALEDKYKGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWNFCSMGYASGTMAPGRCSSWEKGKCRVGDSGREPYTACHHQLLAHAETVRLYKEKYQFTEEAIRQSPFIRDNNLNRRSAKFMDPLIRGDYPLSMRELVGNRLPEFSKEQSEMVKGAFDFIGLNYYASSYADNDPPSYGHNNSYNTDSHAKITGLRELLLHIKENYGNPTIYITENGVDEINNKTMRLKEALKDDIRIEYYHKHLLALLSAMRDGANVKGYFAWSLLDNFEWSEGYTVRFGINFVDYDNGMKRYPKNSARWFKKFLRK >ORUFI04G17270.1 pep chromosome:OR_W1943:4:19739854:19748229:1 gene:ORUFI04G17270 transcript:ORUFI04G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARHDDEDRRRQEVVKERTRAARIYHPSMKSKARLAASMLDLEREKGTNQFETKRTEFGTNRTVGLEGKWKLNLIRRRGRPEDEPLGEAPPADRRRLTGDGDNQEEREENATKHHHFPCSCHGEYEISPCQRCRRQVAALVDPRCITTIPNTGHKTFRNPLLVFASRHFSVPSQADP >ORUFI04G17280.1 pep chromosome:OR_W1943:4:19740995:19746358:-1 gene:ORUFI04G17280 transcript:ORUFI04G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLIWATSLPISTHPNRPRLWQAHPLGHTHILPMAAAGEVVMLGGILLPLLLVVAVSGEPPPISRRSFPEGFIFGTASSSYQIADKSNGDVAADSYHLYKEDVRIMKDMGVDAYRFSISWTRILPNGSLSGGINREGISYYNNLINELLLKGVQPFVTLFHWDSPQALEDKYNGFLSPNIINDYKEYAETCFKEFGDRVKHWITFNEPLSFCVAGYASGGMFAPGRCSPWEGNCSAGDSGREPYTACHHQLLAHAETVRLYKEKYQVLQKGKIGITLVSNWFVPFSRSKSNIDAARRALDFMLGWFMDPLIRGEYPLSMRELVRNRLPQFTKEQSELIKGSFDFIGLNYYTSNYAGSLPPSNGLNNSYSTDARANLTAVRNGIPIGPQAASPWLYIYPQGFRELVLYVKENYGNPTIYITENGVDEFNNKTLPLQEALKDDTRIDYYHKHLLSLLSAIRDGANVKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGAKRYPKMSAHWFKEFLQK >ORUFI04G17280.2 pep chromosome:OR_W1943:4:19740995:19746257:-1 gene:ORUFI04G17280 transcript:ORUFI04G17280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLGVTREHSGALQAHPLGHTHILPMAAAGEVVMLGGILLPLLLVVAVSGEPPPISRRSFPEGFIFGTASSSYQIADKSNGDVAADSYHLYKEDVRIMKDMGVDAYRFSISWTRILPNGSLSGGINREGISYYNNLINELLLKGVQPFVTLFHWDSPQALEDKYNGFLSPNIINDYKEYAETCFKEFGDRVKHWITFNEPLSFCVAGYASGGMFAPGRCSPWEGNCSAGDSGREPYTACHHQLLAHAETVRLYKEKYQVLQKGKIGITLVSNWFVPFSRSKSNIDAARRALDFMLGWFMDPLIRGEYPLSMRELVRNRLPQFTKEQSELIKGSFDFIGLNYYTSNYAGSLPPSNGLNNSYSTDARANLTAVRNGIPIGPQAASPWLYIYPQGFRELVLYVKENYGNPTIYITENGVDEFNNKTLPLQEALKDDTRIDYYHKHLLSLLSAIRDGANVKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGAKRYPKMSAHWFKEFLQK >ORUFI04G17280.3 pep chromosome:OR_W1943:4:19740995:19745601:-1 gene:ORUFI04G17280 transcript:ORUFI04G17280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEVVMLGGILLPLLLVVAVSGEPPPISRRSFPEGFIFGTASSSYQIADKSNGDVAADSYHLYKEDVRIMKDMGVDAYRFSISWTRILPNGSLSGGINREGISYYNNLINELLLKGVQPFVTLFHWDSPQALEDKYNGFLSPNIINDYKEYAETCFKEFGDRVKHWITFNEPLSFCVAGYASGGMFAPGRCSPWEGNCSAGDSGREPYTACHHQLLAHAETVRLYKEKYQVLQKGKIGITLVSNWFVPFSRSKSNIDAARRALDFMLGWFMDPLIRGEYPLSMRELVRNRLPQFTKEQSELIKGSFDFIGLNYYTSNYAGSLPPSNGLNNSYSTDARANLTAVRNGIPIGPQAASPWLYIYPQGFRELVLYVKENYGNPTIYITENGVDEFNNKTLPLQEALKDDTRIDYYHKHLLSLLSAIRDGANVKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGAKRYPKMSAHWFKEFLQK >ORUFI04G17290.1 pep chromosome:OR_W1943:4:19748294:19750678:1 gene:ORUFI04G17290 transcript:ORUFI04G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPLLTLLVLLLVFTIAPSKARNITLGSLLTTEGVNTSWISPSGDFAFGFQLISTNTYLLAVWFDKTVNKSMAWYAKTNTQVPEVVLVPSGSRLQLSSNGLSLLDPGGHELWNPQVPGAAYANMLDTGNFVLLGADGSTKWGTFDSPADTILPTQGPFSEVQLYSRLTQADYSNGRFLLQVKDGNLEFDLVAVPSGNKYRSYLTPNTGGNGSQLLFNETGGVYFTLKDGTEITITSTIMGSMVNYYQRATLDPDGVFRQYVYPKKEAVTRGWKYIGWTAVDFIPRNICDVFTTSDGSGACGFNSYCSFNWNQNETVECQCPPHYSFIDEARKYKGCKANFQQQSCDLDEATMIDEFDLIPMKGIDWPSADYESFTSVGMDDCQKLCLTDCFCAVTVFNEGNCWKKKLPMSNGRMDSSVDRTLYLKVPKNNNSLSIINTGSIKWKKDKKYWILGSCLLLGSFLLVLILLISFILFGHYFAKKSKKIDPPKQSYSTGGLPLKSFTYEELHEATGGFCEEIGSGGSGVVYKGTLQDQLGTHIAVKKINKVLPDIEKEFAVEVQTIGWTFHKNLVRLLGFCNEGAKRLLVYEFMPNGPLNEFIFCTIRPSWYQRGLLYLHEECSTQIIHCDIKPQNILLDNNLTAKISDFGLAKLLQMDQTQTTTGIRGTRGYVAPEWFKNIAVTAKVDVYSFGVILLEIVCCRRNVEQDIIDEDRAILTDWANDCYRSGRIDLLVEGDEEASFDIKRVQRFLAVALWCIQEDPAMRPTMHKVTQMLDGAVEIAVPPDPASYISSLQ >ORUFI04G17300.1 pep chromosome:OR_W1943:4:19757406:19759811:1 gene:ORUFI04G17300 transcript:ORUFI04G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLFTLIFLLVFTVAPSKAQRNITKGSFLTTEGVNTSWVSPSGDFAFGFQLINGNNSYLLAVWFDKTVDKTLAWYAKTNTQVPELVVVPSGSRLQLSSNGLSLLDPGGHELWNPQVTSAAYANMLDTGNFVLAGADGSIKWGTFESPADTILPTQGPFSEVQLYSRLTHTDYSNGRFLLQVKDGDLEFDLVAVPSGNPYSTYWTTNTGGNGSQLFFNATGRVYFTLKDRTEINITSTIMSSMGDYYQRATLDPDGVFRQYVYPKEAARKWNNIGWTTVDFIPRNICQAIRSDDGSGACGFNSFCNFNWSLNETVDCQCPPHYSFIDQALKYKGCKADFQPQSCDLDEETMIDQFDLIPMNGVDWPLADYEHYTSVGMDECKKLCLTDCFCAVVVFNNGDCWKKKLPMSNGILDSSVDRTLYLKVPKNNNTQSQLNSNSIKWKKQKKHWILGSSLLLGSFFLMCILLASFIIFQNYFAMESKKTDLPKQSSSTGGLPLKSFTYEELHEATGGFSEEVGRGGSGVVYKGQLQDPLGTYVAVKKIDRIMPDIEKEFAVEVQTIGWTFHKNLVRLLGFCNEGAERLLVYEFMPNGSLTGFLFDTVRPSWYLRVQFAIGVARGLLYLHEECSTQIIHCDIKPQNILLDNNLTAKISDFGLAKLLRMDQTQTHTGIRGTRGYVAPEWFKNIAITAKVDVYSFGVILLEIICCRRNVEKDMTNDDREILTDWANDCYRSGRIDLLVEGDEEASFDIKRVQRFLAVALWCIQEDPAMRPTMHKVTQMLDGAVEIAMPPDPASYISSLQ >ORUFI04G17310.1 pep chromosome:OR_W1943:4:19760350:19762805:1 gene:ORUFI04G17310 transcript:ORUFI04G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHTLLRNKRKLTTDFETCESFNPRAQMRRAAGHRVLPGREAGGAPMSRGDRVDNQRVVGGGGGCTRASMAAVVVVAGSGPSGSSGTSPRTYASSCAHCECMVLWMEMKAMSLKVKMGGGGGSHGRTRRRRRGKRPEEEDEEEVVEMEGKDIAAAAAPSASAKIAPAQAQEADGNEATTSGGGGDDDRRRGKDEQGGRGQEHSDKCCCPPEDGAGVVEEDEAMATTDHAMAAAAAEEEESDHEWVAEPEPGVLMTLVARPDGTNHLRRIRFSEELFDGARAAQRWWADNYDAIVELYSVVQPEPSHDGGDDDDDSESVPATPCQSEDDDHRRRREQGSDSASNFSGPSSGSGSGSGSGGRSASTVGSPILGLVTAPGGGGGAPATPTEHSPT >ORUFI04G17320.1 pep chromosome:OR_W1943:4:19765390:19765920:-1 gene:ORUFI04G17320 transcript:ORUFI04G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRARCRAPQSSTSPTWPRRVEALTHILTHPSHSPSLHSQLFLASRVPCPPPGSTYPPFLCPGAGDGAGLLRWALASVFLPRAARLCLPPSSWRSRCPFQLPPPVVPSAAIEPAPERWGEAELRGYARRQRAQRGPMRARPPHSIAGAVLTIVPNVVIVAAVIRELFWVRPNRI >ORUFI04G17330.1 pep chromosome:OR_W1943:4:19767405:19768253:1 gene:ORUFI04G17330 transcript:ORUFI04G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAHMAAVLNLPWGYRFRPSDRQIIANYLGPMAIHGADSLPQRGDVVEGVDVFATRPAAIPFEPRRHVFGRDEVRAYFFGDQPTDSRGREVPGGAWLPCGGGDKAYSGGADGGEAVAYRRKYEFRAANEEADRAGEEAATPARPRWRMKEYRLNKSAAEFRRAYAQPNPKANMDCVVREIYTKAVPPPTPPSGRSGDEEMQEGSDYSVMDEDELVDYLLQGFEDGNFDEDQDQPAAAEDGDYSDEDEDQPAAAEDGDYSDEDQDQPAAAEDGDYSDEDEP >ORUFI04G17340.1 pep chromosome:OR_W1943:4:19769140:19770867:-1 gene:ORUFI04G17340 transcript:ORUFI04G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAAVVRSSLRLAPPRAAARRRAAAVITMRDRSKNRKPTQRGRYLSTEAIQAVQSLKRAALRGSPSAAAAAVPVEPKLRRLLKADMVAVFRELAAQGEALLALQVFEEIRKEHWYKPKLLLYVDIVTVLASKGLRSEVDKVCSYLKREQLEPDTEGFNVLLKALLDAEFTQLTMDCFRLMKLWDSDPDRITYRTLIKGLESLGEMGLSADIKLEAQNDYGDLDFLDEEEMIDTLEQKSIWRGSSLIAENRRARISS >ORUFI04G17350.1 pep chromosome:OR_W1943:4:19773895:19775115:-1 gene:ORUFI04G17350 transcript:ORUFI04G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIPAIDLRLAGGGGGAEETARLRDACARLGCFRVSGHGVPPGLQAEMKAAVRALFDLPDDAKRRNADIIPGSGYVPPGTANPLYEAFGLCDAAAPADVDAFCARLDAPPHVRETVKAYAERMHSLIVDVAGKVAASLGLHGASFQDWPCQFRMNRYNYTQDSVGSPGVQVHTDSGFLTVLQEDECVGGLEVLDPAAGEFVPVDPLPGSFVVNVGDVGQAWSNGRLHNVKHRVQCVAAVPRVSIAMFLLAPKDDTVSAPGELVDGEHPRRYREFKYDDYRRLRLSTGERAGEALARLAA >ORUFI04G17360.1 pep chromosome:OR_W1943:4:19780492:19782129:-1 gene:ORUFI04G17360 transcript:ORUFI04G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASCRRVRLRFRSALATAAASPVEASISTSDSDVDPESHGPADATLLRRRMRASAAEGNLAAALDALALLRPAPAGAHDYNALLHAYLRSGQAAAQHVAAAEHVAAVLCHMRSVGPAPNALTFNTAFNGLLRLGHLDASHAVLEEMWSRCGFVPSFTTVDRLIKKAISGSNFELALKVFDLMLSLCYFPTLPIANAIVSILLKSGSAEIAYEVFMVLVNRKFVPDVYMYNQILFGLCKSGCSNKALTLFCNLKKRGLSLNVYSYTALVLGLCKEKMWADAYRALENMCDEECKPSVVTYTVIVNFLCRDGKIDAAMHVFRMACKNGCCLDSTICNVLLHALCCEDRIPEARVIVDLMEEAGLVPDYFTISSLAAGFLKIGDVMICQNFIRMVKKALALVSGMMERGLVPSTTTYNTILKGFCMELDLQGALQMLDHFSSTGVPCDSVSFNTILSAACRQQNASVIRMVLYRMHVEGINLDAISMTCLLRYFHKCGKFAESVNLVESLRIQGSLLFVHGT >ORUFI04G17370.1 pep chromosome:OR_W1943:4:19786001:19787317:1 gene:ORUFI04G17370 transcript:ORUFI04G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHRRWADLHAGLVSRVADLCALRGYASCRAVCASWRAALPPPTSRPLAPVADTASRHGPLSLAVCSVHAQRWSRLLGLRQPSGLANATGASRCVGARDGWVVLAAANAKGAAAAAASVVLLFNPVTGVEIPLHASLYDPNCERAPAASTSPAPKVVFSPCPTARDLAAVSICRPNRLAVQRTTDGHSSALVLDTAALMDSDDLADVAFDGNGRVAYCLTRHGAVHVLRLNRRRHRGRLRPIEIEPLVAGAVFPSPYDTIARFTDAKNLVLCGGALYQVWRRPSGAGSAVAPAGICDQQLLRIPEGAVFVLRYEPPASAAAGGSRRPPCWSESKDLGGHAVFLGANDAAAAARCGDGAAELMRGDCLYYWASRAEGDYEAFVYSMADRMSTRLPPATGGVSSPLWYFLPAGAANVEATTTTAMEAASEEVSVAVITV >ORUFI04G17380.1 pep chromosome:OR_W1943:4:19791618:19794554:1 gene:ORUFI04G17380 transcript:ORUFI04G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRTWPPPTPSPPPFSSRPRVVGPPPPPPPPSDPPPLPPPHHHHHHRRRHRHSKKPKPQPQPQPQPPPPPLPPQQQQQEPAAGAGAGADFSALPPELVHRALAAAGASDVAAASRACRAWRDALRPLREAAALHARGRRVKHAAGAAAAAGSEGRKAERQRALGLFQRAARLGSAAAMVDAGLMCWEEGRRDEAVGCYQKAAELGHPVGMCNLGVSYLEADPPKAEEAVRWFYPAAAAGNARAQYNLGLCLQNGKGIKRNQREAAKWYLRAAEGGNVRAMYNISLCYNYGEGFSQDQVRSKRWLQLAADCGHKKALYECGIKLCAAGDKVRSLMYLELATRRGEAAASHMRDVILESLSLVNAQRALSDADKWRPRALYTRR >ORUFI04G17390.1 pep chromosome:OR_W1943:4:19795158:19801256:-1 gene:ORUFI04G17390 transcript:ORUFI04G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQEKAPVVVCCGGGGAAAARRVDGGPGSSSRGAIVAAPAAAAAAAAAGKVSMVSGDDPRVAAAAGGGGGAVMEEIAAAVQPTTAKVSSKGKRVKCLKWITMPVQRWTNRLVDYVNHGAISNMESALYLYAPLGTGRIPIMTRAQRSHPLDPLSAAEIAVAIATVRAAGKSPEERDGMRFVEVVLLEPEKNVVALADAYFFPPFQPSLLPRTKGSAVIPSRLPPRRAKLTVYNRQSNETSIWIVELSEVHAATRGGHHRGKVISSEVVPEVQPAMDAMEYAECEATVKSYPPFIEAMKRRGVDDMELVMVDAWCAGYYSDADAPSRRLGKPLIFCRTDSDSPMENGYARPVEGIHVIVDMQNNVVIEFEDRKLVPLPPPDHLRNYTPGETRGGVDRSDLKPLIINQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKRGCDCLGFIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALMPGESRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPNEAHNQVVEVNVKVENAGTHNVHNNAFYAEEKLLKSELQAMRDCDPSSARHWIVRNTRAVNRTGQPTGYRLVPGSNCLPLALPEAKFLRRAGFLKHNLWVTQYKSDEVFPGGEFPNQNPRIHEGLATWVKKDRPLEETDIVLWYVFGLTHIPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPGSSDADIKEAESPKAIQNGLISKL >ORUFI04G17400.1 pep chromosome:OR_W1943:4:19809888:19814481:1 gene:ORUFI04G17400 transcript:ORUFI04G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYVYFRLTQSPIRDMWFRFRGRKRRETARAPGREPAFPAARIAGEAPKPYRAIILFLSAALPSQPAAPTLVAAGRSRPRTAGDEIREEAGRGCRWRRGAATWLRRPASSSPLVLLMAIVKGMFCLSATRDQMKKQGICSLTVNFTSSIGLRSDLDLDGSLYYCTVVDPIFILLPILKAARMSNGKDPGKFRQLDEILYVEGYPGYQHLMGIAGNHIDLVCEVKEVANVKFFRLDDSKVLSWLCCKVHNLKEVFPKLGKNYAAQGEKELLKDAVQIIREYLNDEPWLTLDIKDIIEANKTSEASFCAENSPVPFRPAEEKLGSSSTRSSKGRPAKKQKAEVESKNIKDMFRRVTRKGT >ORUFI04G17400.2 pep chromosome:OR_W1943:4:19809888:19814407:1 gene:ORUFI04G17400 transcript:ORUFI04G17400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYVYFRLTQSPIRDMWFRFRGRKRRETARAPGREPAFPAARIAGEAPKPYRAIILFLSAALPSQPAAPTLVAAGRSRPRTAGDEIREEAGRGCRWRRGAATWLRRPASSSPLVLLMAIVKGMFCLSATRDQMKKQGICSLTVNFTSSIGLRSDLDLDGSLYYCTVVDPIFILLPILKAARMSNGKDPGKFRQLDEILYVEGYPGYQHLMGIAGNHIDLVCEVKEVANVKFFRLDDSKVLSWLCCKVHNLKEVFPKLGKNYAAQGEKELLKDAVQIIREYLNDEPWLTLDIKDIIEANKTSEASFCAENSPVPFRPAEEKLGSSSTRSSKGRPAKKQKAEVESKNIKDMFRRVTRKGT >ORUFI04G17410.1 pep chromosome:OR_W1943:4:19815155:19819522:1 gene:ORUFI04G17410 transcript:ORUFI04G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHHSDQYAKSSLQLQFRDTSVSFENNNSVLDKHDITSPQYFADIVEMGATDPDAMEYVLSVMKEAKEKVRKFEESRKDRRPGDSPVSTGKRNGKSSRPSNEDGGNGISDSTPATTTVATVAVATSTTMQATPTMVAIAPTSATVPAGMFLVPMHPHPMVFPPFTPAVPPVVAPAVPPPAPAANLRNVSLCFCSCGLRPGAAQYQDYQ >ORUFI04G17410.2 pep chromosome:OR_W1943:4:19815155:19819117:1 gene:ORUFI04G17410 transcript:ORUFI04G17410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHHSDQYAKSSLQLQFRDTSVSFENNNSVLDKHDITSPQYFADIVEMGATDPDAMEYVLSVMKEAKEKVRKFEESRKDRRPGDSPVSTGKRNGKSSRPSNEDGGNGISDSTPATTTVATVAVATSTTMQATPTMVAIAPTSATVPAGMFLVPMHPHPMVFPPFTPAVPPVVAPAVPPPAPAANVGDVASNSTRKRKKRKGNK >ORUFI04G17420.1 pep chromosome:OR_W1943:4:19821682:19828066:1 gene:ORUFI04G17420 transcript:ORUFI04G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYLYVIEARGLPEHGGDGGGGPYYARAKVGKQRARTREVEARGGGASAAAAEWNEELVLEVDGGEAVEVGVARRREGGGRGGREGVGRVKLPVPAAAVPAGRRRRTTVPPTWFTLQPKHHRRWKKGAAEAADCENSDNTVIHSSPCSSSRSDTDIEFERSTYWEHSSSNSGTVDSPRSFAIERSSLENSDRSAQANSNSNSEDDDLTEPSAATAKGTSDIEPMVPDASFEEAMEIMKSKSIPDMPEDLNGGVMFDHTYLVDSKNLNSLIFGPDSQFSKELRELQGTMDYEEQPWTWNNNNPPSLTRTCQYTKGATKFMKAVKTIEEQTYLKADGKSYVIMTRVRTPEVPFGNCFEVVMLYKIIHYPELSSSEGMSHLTVSYNVEFLQSTMMKSMIEGSVRDGLKENFESYAEILSRHVKIADSAGMDKERLLAPLQTDHQSDMRLAYKYFCNFTVISTVIMALYVLVHIFLSRPGPLMGLEFKGLDLPDTFGELIISGILVLQLERLLSMISRFVEARVQRGSDHGIKANGDGWLLTVALLEATSLPPVSSGSVDPYVVFSCNGITRTSSVQLQTHDPQWNEIMEFDAMEEPPATLDVEVFNFDGPFDLAVSLGHAEINFLKHTSAELADIWVPLEGKLALTCQSRLHLRIFLENTKGPETSMREYLSKMEKEVGKKLHVQSPHRNATFQKLFGLPHEEFLIADYACSLKRKLPLQGRLFLSARIVGFYANLFGHKTKFFFLWEDVEEIEELTPSFTTVGTPSLLFVLKSGRGLDAKNGAKSQDKEGRLKFQFHSFASFSKASRTIIGLWKTKSSAIEQRAKLEEDQEDENYVDLNDVQSVLSIGDVPLSKEYTLELPIDADLLMGVFDGGPLEAKAMSRAGCLDYAATPWQDARPGVLERHASYKFNRYMSIFGGEVVSTQLRLPSDDGDGWTVYDVITLRNVPFGDFFRAASSEAAASSGSRCEILVGIEWVKRSKFQKRIARNICEKLAHRAKEVLEAAAREIAPALSG >ORUFI04G17430.1 pep chromosome:OR_W1943:4:19828800:19832397:1 gene:ORUFI04G17430 transcript:ORUFI04G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPQAHPILSYVLSRLPTLAKARPAGGGGDFDIEQPPVHTPSPRTPSTAGEFELVERMPGLRHPSVLRAMTRAVADVSAARSALQVLGPRPDHELVDSSRAIVAATDAEAGGSRRVPEGDLEACRAVVRLEETHDAYEALLQEAEGRLEAVYRSAMEGKDLEEPDGRDESAAAAAGDDAAVQEEVIAVLRQAEEGKPVESVRLVDRQLRHLPEAFGRIQGLRVLDVSRNQLEVIPDAIGGLDHLEELRLASNALISLPDSIGLLLNLRILNVGSNRLRSLPDSISKCRSLIELDASYNGLAYLPTNIGYELVNLRKLWVHMNKLRSLPSSICEMRSLYLLDAHFNELCGLPSAIGKLSSLEILNLSSNFSDLKDLPASFGDLLNLRELDLSNNQIHALPDNFGRLDKLEKLNLEQNPLSMPPMEIVNKGVDAVKEYMLQRWLDILLEEERKSIAAAESPQAPTTPSAWLARSVSWVSDVSGSLVGYLSGENKTEKDAYLDQQY >ORUFI04G17440.1 pep chromosome:OR_W1943:4:19832953:19836997:-1 gene:ORUFI04G17440 transcript:ORUFI04G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRDAAPTAREGERDLVVKVKFGGTLKRFTAFVNGPHFDLNLAALRSKIASAFKFNPDTEFVLTYTDEDGDVVILDDDSDLCDAAISQRLNPLRINVELKSSSDGVHQTKQQVLDSISVMSTALEDQLAQVKLAIDEALKFVPEQVPTVLAKISHDLRSKAASSAPSLADLLDRLAKLMAPKSKMQSSSGSADGSSGSSSGRGQTLGSLNIKNDTELMAVSASNPLDMHNSGSTKSLGLKGVLLDDIKAQAEHVSGYPYYVDTLSGWVKVDNKGSTNAQSKGKSVTSSAVPQVTSIGHGAPTVHSAPASDCGEGLRSDLFWTQLGLSSESFGPNGQIGGDLNSTCPPPPLFPRYPLQSLRADKSSIKGGCSYPPCICKSSTSKPENLSHYPVQSLQADRSLKGGHYFPPCTCKSNTSKPDNLSPVGLYGPYSEGSSCNRCPYRDLSDKHESMAQHTLHRWIQCDGCGVTPIAGSRYKSNIKDDYDLCNTCFSRMGNVNEYTRIDRPSFGSRRCRDLNQNQMLFPHLQQLHDCRFIKDVTVPDGTVMAPSTPFTKIWRIHNNGSSMWPYGTCLTWVGGHLFARNSSVKLGISVDGFPIDQEIDVGVDFVTPAKPGGYVSYWRLASPTGQMFGQRVWVFIQVEHPVKTSSNKQSAAINLNMPPEGSNTEWKHSVDANIQSADIVGKYSGSTITDPLAHALYHEATKPMEPELVSSAVPSVPRAFESVLVPATDLLTSSAGAEKASKPAATPGPAPQAVPLPKPVSIPASGPAPAPVSATTAAPVGAAAAPISEPTAPAAAIGMPSATARAASCLPTEPSSDHISAVEDNMLRELGQMGFGQVDLNKEIIRRNEYNLEQSIDELCGILEWDALHDELHELGI >ORUFI04G17450.1 pep chromosome:OR_W1943:4:19841090:19844036:1 gene:ORUFI04G17450 transcript:ORUFI04G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMKLGNRPDTFFSSGPVRSVSTDLATDMQILVDGCLFRLHKFPLLSKCMWLQALCVESGDGGGAVELPAFPGGAEAFEACAKFCYGVAVTIGPHNVVAVRCAAARLGMSEAADRGNLAAKLDAFLSSCLLRRWKDALAVLHSTRRYAALCEELGVTSRCVDAVAALAVADPSGDASGAVPAGSSSSSPPWWARDISELGVDLFWRVMVAVKATGTVRGKAIGDALKAYARRWLPIAAKNHHAAERTAGGAANAERATKNHRLLVEKIVSLLPAERNAVSCGFLLKLLKAANILGASPASKAELTRRVASQLEDANVSDLLIPAAPPCAGGALYDVDAVVAILEEFALRQAAASGRPEGSPGRAGRHRRSMSAESGELEGARRSTSMAAVSHGAMVRVGKLVDGFLAVVATKDARTPLDKMIAVAEAVPDYARPEHDDLYRAIDTYLRAHPEMDKSSRKKLCRVLNCRKLSEKASMHAAQNELLPLRVVVQVLFFENARAAGLSSGHGNRVAARFPGDGGDVSALLGTGRPRTTEENGKDGQSPAAAAAAAGSVAADGDWSVEGLRRAASRVATLKMRLEEEDAGDEAFVHRTRAGLARSASSRVTAAAGRSKRMLTRLWPTSRTFT >ORUFI04G17460.1 pep chromosome:OR_W1943:4:19847148:19847636:-1 gene:ORUFI04G17460 transcript:ORUFI04G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVADVEKQQPLLSAAAAGEKPSSGCDCGTTASPPLATGALALVVLAAGAAFAAQLAARDEYVLLAVLASQVVSFGVFTSLLALCALPEGEEGKPRRIAGAPGARARVLLLWPFAMALPVSMACWAAESAPAAVGLALLVLALAAVLACYAEVVRSLWPRQ >ORUFI04G17470.1 pep chromosome:OR_W1943:4:19848937:19854657:-1 gene:ORUFI04G17470 transcript:ORUFI04G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPWIIAGGKVIATKIRNATQLLSCKLGELVPEAWRECPNCKCHIDNSDVTLQWPEFPDGVKFDPSDLEVLEHLERKINLGNPGPQGLIDHFIPTLEKVEGICYTHPENLPGIKLDGTSSHFFHRISNAYGSGQRKRRKISHTGHAASDENIRWHKTGKSKQIYHNGVLKGWKKILVLYKGSKKNKIVQANWVMHQYNLGVEEGGEDGELVVSKVFYQLSSKQTGTPEMDSVTEEASDALTIRSDPITPITNPPLPRCLMNSPCDTEQNGTISHDQEGECSTSTLRPMVEAGNRAGCSAGASTAGDFNEDLLQRCEFPEDPVPTLDDTLPFLYTDETDLFSWEDFQFGSQESFGWVDGDHTKLTKNPPPKSPTGAPARASPTSAAALALFKSALSADKALSPLAVLPHLDGAPSSLPNLLLTASAAVRPHATSLRLYSRMKSLSLPISTASLHPLLSALPSAPAFALFADMFRLRLPLCTTTFNIMLRHLCSAGKPARALELLRQMPRPNAVTYNTVIAGFCSRGRVQAALDIMREMRERGGIAPNQYTYGTVISGWCKVGRVDEAVKVFDEMLTKGEVKPEAVMYNALIGGYCDQGKLDTALLYRDRMVERGVAMTIATYNLLVHALFMDGRGTEAYELVEEMGGKGLAPDVFTYNILINGHCKEGNVKKALEIFENMSRRGVRATVVTYTSLIYALSKKGQVQETDKLFDEAVRRGIRPDLVLYNALINSHSTSGNIDRAFEIMGEMEKKRIAPDDVTYNTLMRGLCLLGRVDEARKLIDEMTKRGIQPDLVTYNTLISGYSMKGDVKDALRIRNEMMNKGFNPTLLTYNALIQGLCKNGQGDDAENMVKEMVENGITPDDSTYISLIEGLTTEDERAIDDERLAAADAAKV >ORUFI04G17480.1 pep chromosome:OR_W1943:4:19859120:19861420:-1 gene:ORUFI04G17480 transcript:ORUFI04G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETGPYGHKKTDGICDGVCGEPASKAVLTMSRLRCALRGFDFRALLALLIGVPILILMIYAHGQKVTYFLRPIWESPPKPFKTIPHYYHENVTMAKLCKLHGWKVRETPRRVFDAVLFSNELDILEIRWNELSPYVSEFVLLESNSTFTGLKKPLHFKENRHRFGFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAKIEDDDLLIMSDVDEIPSGHTIDLLRWCDDIPEILHLQLRNYLYSFEFFLDDKSWRASIHRYRSGKTRYAHFRQTDELLADSGWHCSFCFRYISDFAFKMQAYSHVDRIRFKYFLNPERIQDVICRGADLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPSYLLQNVDRYRYLLPGYCRRESG >ORUFI04G17490.1 pep chromosome:OR_W1943:4:19879659:19888177:1 gene:ORUFI04G17490 transcript:ORUFI04G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMSSRLASRAARLAAAAASRAARLAAASASRAGDGDPSARATATAASRAARLAAAAASHAGGPTARAIAAAASRAARSVSSKLLDDDIADRLSFRYSTEPPDDGKCVTAKDLESDQAVWALYERWCKSYNKKRDHAEMIRRFDIFKLKASLVHNWNNYVHKDKEELARAKKRRDLGKPVDHWYLQEELGPSADGGDTVNQYWRHMLKENDQGMDLPDIRGEKKRETEGTFGFASSRGDTPVKRYGWGSAGGRDGGARPRKRRLALKRGKSKISSPFHRPPPFPNPKTLAEKSLPSTSRALLGRHERPHGGLLLRAASSGCGICCRRRGPIAGARRGGDGGGGDHRFLLAAATDGVLLHATSTARAEDVCAAVERMLEARSLSYVDGPVPIPNDDPFLLASVKRIQICDTDEWIENHKVLLFWQVRPVVHVFQLSEDGPGEEPGEDDTLSSFNEWALPAKEFDGLWESLLYEVGLKQRLLRYAASALLFTEKGVDPCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKSWPNVIILTTSNITTAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELLRVGILTHTQGGNSLCLLSYFSLMENQHCPEVADPHGSVHLSGLLHKAAEICEGLSGRTLRKLPFLAHASVANPSCCDASAFLHALIQTAQRELSESRG >ORUFI04G17490.2 pep chromosome:OR_W1943:4:19882036:19888177:1 gene:ORUFI04G17490 transcript:ORUFI04G17490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPMEVSFSAPPPPDAASAAAAAAPSLVPAVAATAAAATTVLLHATSTARAEDVCAAVERMLEARSLSYVDGPVPIPNDDPFLLASVKRIQICDTDEWIENHKVLLFWQVRPVVHVFQLSEDGPGEEPGEDDTLSSFNEWALPAKEFDGLWESLLYEVGLKQRLLRYAASALLFTEKGVDPCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKSWPNVIILTTSNITTAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELLRVGILTHTQGGNSLCLLSYFSLMENQHCPEVADPHGSVHLSGLLHKAAEICEGLSGRTLRKLPFLAHASVANPSCCDASAFLHALIQTAQRELSESRG >ORUFI04G17490.3 pep chromosome:OR_W1943:4:19882036:19888177:1 gene:ORUFI04G17490 transcript:ORUFI04G17490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPMEVSFSAPPPPDAASAAAAAAPSLVPAVAATAAAATTVLLHATSTARAEDVCAAVERMLEARSLSYVDGPVPIPNDDPFLLASVKRIQICDTDEWIENHKVLLFWQVRPVVHVFQLSEDGPGEEPGEDDTLSSFNEWALPAKEFDGLWESLLYEVGLKQRLLRYAASALLFTEKGVDPCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKSWPNVIILTTSNITTAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELLRVGILTHTQGLSGRTLRKLPFLAHASVANPSCCDASAFLHALIQTAQRELSESRG >ORUFI04G17490.4 pep chromosome:OR_W1943:4:19879659:19881503:1 gene:ORUFI04G17490 transcript:ORUFI04G17490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMSSRLASRAARLAAAAASRAARLAAASASRAGDGDPSARATATAASRAARLAAAAASHAGGPTARAIAAAASRAARSVSSKLLDDDIADRLSFRYSTEPPDDGKCVTAKDLESDQAVWALYERWCKSYNKKRDHAEMIRRFDIFKLKASLVHNWNNYVHKDKEELARAKKRRDLGKPVDHWYLQEELGPSADGGDTVNQYWRHMLKENDQGMDLPDIRGEKKRETEG >ORUFI04G17490.5 pep chromosome:OR_W1943:4:19879659:19881562:1 gene:ORUFI04G17490 transcript:ORUFI04G17490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMSSRLASRAARLAAAAASRAARLAAASASRAGDGDPSARATATAASRAARLAAAAASHAGGPTARAIAAAASRAARSVSSKLLDDDIADRLSFRYSTEPPDDGKCVTAKDLESDQAVWALYERWCKSYNKKRDHAEMIRRFDIFKLKASLVHNWNNYVHKDKEELARAKKRRDLGKPVDHWYLQEELGPSADGGDTVNQYWRHMLKENDQGMDLPDIRGEKKRETEG >ORUFI04G17500.1 pep chromosome:OR_W1943:4:19887037:19888818:-1 gene:ORUFI04G17500 transcript:ORUFI04G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGQLDGRQASGLMRDGAFPAASLSGRQPLDRAATALEILEKKLAEQTAEAEKLIRENQRLASSHVVLRQDIVDTEKEMQMIRAHLGDVQTETDMHMRDLMERMRLMEADIQAGDAVKKELHQVHMEAKRLIAERQMLTVEMDKVTKELHKFSGDSKKLPELLTELDGLRKEHQSLRSAFEYEKNTNIKQVEQMRTMEMNLMTMTKEADKLRADVANAEKRAQVAAAQAVAAQAGVAHVTASQPGAAQATAVPAASNPYSSAFTGHPSAYHQGATQAGVYQQGTTQAGAYQQGSTQAGAYAYPTYDAATAYQMHAAQANAYAGYPGYPVAGYTQAALPGYPSAYAAPQQPISSGVATDVASMYGAISSAGYPAGVVQSSSGAANAGQAPATYPVAYDPTRAGQR >ORUFI04G17510.1 pep chromosome:OR_W1943:4:19891007:19894376:-1 gene:ORUFI04G17510 transcript:ORUFI04G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAPLLRRILSSPSPAPAPAPAHHGGAGARRTVTYMPRPGDGNPRAVTLIPGDGIGPLVTGAVQQVMEVMHAPVYFETYEVRGDMPTVPPAVIESIRRNKVCLKGGLATPVGGGVSSLNMQLRKELDLYASLVNCSNFPGLPTRHQDVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVASKYPGIQYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNENILEQKKANPIALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKYRTKDLGGTSTTQEVTDAVIANLD >ORUFI04G17520.1 pep chromosome:OR_W1943:4:19894597:19899197:-1 gene:ORUFI04G17520 transcript:ORUFI04G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAADAAKLSVSGAALAALLACCSSADGDSDGLLFGVASRAAAAPPSFYDDDDDDQARASSGPSLSISVAGHASVAQPSSLADPLGRFTRSSYSPDPSAAIGFFSSSRRRSPLRPSMREAALARSLSKTLVPAHPLVFLLVAPSSSAAGLSVHSFDYRAFLLVDSRLVPASLSVVNAGPGFREQYHAFAPESPLPSLPEQPVKQGYSIGEQKALDGMVEGFGLERVGAMVTSASALTSEMEEMYSGMLRKLEGLARQVERSNERVLDQGLLVIILVKEV >ORUFI04G17520.2 pep chromosome:OR_W1943:4:19894945:19899197:-1 gene:ORUFI04G17520 transcript:ORUFI04G17520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAADAAKLSVSGAALAALLACCSSADGDSDGLLFGVASRAAAAPPSFYDDDDDDQARASSGPSLSISVAGHASVAQPSSLADPLGRFTRSSYSPDPSAAIGFFSSSRRRSPLRPSMREAALARSLSKTLVPAHPLVFLLVAPSSSAAGLSVHSFDYRAFLLVDSRLVPASLSVVNAGPGFREQYHAFAPESPLPSLPEQPVKQGYSIGEQKALDGMVEGFGLERVGAMVTSASALTSEMEEMYSGMLRKLEGLARQVERSNERVLDQTSVSS >ORUFI04G17520.3 pep chromosome:OR_W1943:4:19895316:19899197:-1 gene:ORUFI04G17520 transcript:ORUFI04G17520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAADAAKLSVSGAALAALLACCSSADGDSDGLLFGVASRAAAAPPSFYDDDDDDQARASSGPSLSISVAGHASVAQPSSLADPLGRFTRSSYSPDPSAAIGFFSSSRRRSPLRPSMREAALARSLSKTLVPAHPLVFLLVAPSSSAAGLSVHSFDYRAFLLVDSRLVPASLSVVNAGPGFREQYHAFAPESPLPSLPEQPVKQGYSIGEQKALDGMVEGFGLERVGAMVTSASALTSEMEEMYSGMLRKLEGLARQVERSNERVLDQSITAR >ORUFI04G17530.1 pep chromosome:OR_W1943:4:19899746:19900986:1 gene:ORUFI04G17530 transcript:ORUFI04G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEPSFDPHASSSVADAYIILLPLPSGKREIIRDREKEKMEMLDWPQCTESPIRKQTTTRPSDP >ORUFI04G17540.1 pep chromosome:OR_W1943:4:19901650:19902234:1 gene:ORUFI04G17540 transcript:ORUFI04G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKADMIGVSGLKEAALLLANRDTRCGVFITAVQLRQAPALLELLTNAKRMPPGWVIPRDKKLRQLSRLANELSIVEEVVLPLLMEDAADVDGVRLLHQYGWSIRHIARHVDKTGGPFFLAAAEASSFVLSLQGHLKSRTRSVEFLRKNLEDLRVKIGEFKQFTVHLPAEVGVEEDGVDGRLVAGEDEEGHQV >ORUFI04G17550.1 pep chromosome:OR_W1943:4:19907509:19910388:-1 gene:ORUFI04G17550 transcript:ORUFI04G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPNRLMSQRRGRRRRRHRRPQIRARSAGFIASTGKRKISPCEHDGDGHSQAGKMMRNSIPYLPEDILSRIHSFMSMREAARAACVSRVFLRSWRCHPNLIFNKDTIGLKRNAFGENFHGKIGRILRNHSGISLKTFKLDYSGMCGFDGTSYLDSWLQIALKPGIEELTLFLFDTNKQYNFPCSLLSDGVRDSLRYIKLRFCALHPTPELGPLRSLTSLSLWLVSITWAELECLLSNSLALGHLELTQCTKITCLKIPFALQQLSRLSVFECSSLKVIESKAPNLSSFFHRGFRVNFSVVETLQMKKLDMGCAIHDARANLPSIMPNLETLVIESVNEVVDAPMLPTKFIYLKHLTIRMLTGSTISWPYDYFSLVSFINASPSLETLILNVTLRMVHESIFTDSQLRHIPEHHHGHLKSVKITGFSSAKSLVELTCYILNNAVSLECLTLDTIYGPRCDQDKYRRCFPMIDGVLTEAPRGLAAIRTYIEDKVPSTVNLTVLEPCSRCHVRRRVQTSSQSDNTVSI >ORUFI04G17560.1 pep chromosome:OR_W1943:4:19913496:19917249:1 gene:ORUFI04G17560 transcript:ORUFI04G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALNRLMSLRRDRRRRRRHHRPQIRARCGLIASTGKRKTLPCQQDDYDGDSQAGKIMRNSIPDLPEDILFRIQSFMSMREAARAACVSRAFLHSWRCHPNLIFNKDTIGLKRNVFGENFHGKIGRILRNHSGISLKTFQLDYSGMCGFDGTSYLDSWLQIALKPEIEELTLFLPETNKQYSFPCSLLSDGVRDSLRYIKLRCCALHPTPELGPLRSLSNLHLLYVSITWAELECLLSNSLALEHLELNHCKGIICLKIPCTLQQLSSLNVVECSGLKVIESKAPNLSSLFVRGSRVNFSLVETLQIKKLDMGRAICDARAKLPSIMPNLETLVIESGHEVVDAPMLSTKFLYLKHLTIHMITGSTISRPYDYFSLVSFIDASPSLETLILNVTQVRMVHESIFTDSQLRHIPGHRHGHLKSVKITGFSSAKSLVELTCYILNNAVSLECLTLDTIYGPRCDQDKYRRCFPMIDGVLTEAPRGLAAIRTYIEDKVPSTVNLIVLEPCSRCHVRRRG >ORUFI04G17570.1 pep chromosome:OR_W1943:4:19919948:19920586:-1 gene:ORUFI04G17570 transcript:ORUFI04G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLFTISGLREAAMLYASRDDPIKVIVTDVELRQAPALLELLTKASRLPRGWDLVRDHKLRQLARLANEIVVIVDVLVPMLRENALHHDAGRLLLQVRDKVADLNTMVVFIPELGLDDGPDGGEDDPEFGGDDGSDDGEDGPEFGSPQGDNEEADDA >ORUFI04G17580.1 pep chromosome:OR_W1943:4:19928043:19931964:1 gene:ORUFI04G17580 transcript:ORUFI04G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPWGGVGAWALDAERAEEEEREQAAAFPAPEPPAAAGGAASFPSLREAAAAAGGGKQKKKNKGTTLSLSEFSGYGAQGQRRGGGGAAPVDPRGLTPEEMMMLPTGPRERSAEELDRSRGFRSYGGGFGAGGGDRRGGFDDDRRGPGRSSDLDMPSRADEADNWGTNKRFTPALGDSGRRDRFGGPSPAGRSDDIDDWSRDKKPMPSRYPSLGSGGGGGGGFRESPGFRDSPGPSDSDRWSRGGSFAPMLHNGERERPRLNLDPPKRDPLATATPPAEVARNRPSPFGAARPREEVLAEKGLDWRKMETEIEQKTSRPTSSQSSRPNSAHSSRPGSPGSQVSAVGSEGAPRSRPKVNPFGNAKPREVVLQEKGKDWRKIDLELEHRAVNRPETNEERILKEEINLLKEKLKESEANKTDGPDQASPEDPEDLSEKITQMEKQLELLTIELDDKVRFGQRPGSGAGRVSAVPPAIAEEPQIVVSIVDRPRSRGGMEPFPKPAEERWGFQGSRERGSFGGSRSSDRPMTRQRW >ORUFI04G17590.1 pep chromosome:OR_W1943:4:19933425:19934422:-1 gene:ORUFI04G17590 transcript:ORUFI04G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFGAVIHRVFLPLLLLLVVLGACHVTPAAAAAGARLSALAKALVVEASPRAGQVLHAGEDAITVTWSLNATAAAAAAGADAGYKAVKVTLCYAPASQVGRGWRKAHDDLSKDKACQFKIAQQPYDGAGKFEYTVARDVPTASYYVRAYALDASGARVAYGETAPSASFAVAGITGVTASIEVAAGVLSAFSVAALAVFLVLENKKKNK >ORUFI04G17600.1 pep chromosome:OR_W1943:4:19935604:19943194:1 gene:ORUFI04G17600 transcript:ORUFI04G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVAGAASSGDGPPGRPPRELYTIPASSGWFQWDEIHETERRALPEFFGGAGGSGFGTASRNPRIYREYRDYIISRYREDTSRRLTFTEVRKALVGDVTLLRKLFAFLDSSGLINFSASPSRPEAQQQQRQTEAEAVVEAPVGLQVTPRPPPSYFAEEKGGGGNENGFRLPPLTSYSDVFGEWAPGMAPICGLCGMECRDGNAQILKDGFKVCSKCYANNDNKGEANIHPGDKKERIDNHSSSAWTDAETLLLLEGVLKHGDDWDLIAQHVRTKNKSECIARLIQLPFGEHMLGTVNGKLDNRLHKIQTTDGKVNKSTVKESSSQPTETVDDMQIDGNEDGADKSVEEHPTKHRRLFSSIDTTVSLMEQLAHLTTSTSPDVVAAAADAAIKALGNENPQARRAFQLSEKEYQTRAFSSNHARQRDYCSDDVGGGDRDVEMHGHPDQEEREMELLMASIIETQLKKIQYKIKHFEELELIMDQEYATLQQMKSSLVDEWQKQSQQFGVWRIGAILNRLGFDRTAGMESHRRAPPFFPNAERRRRQPKTPPSSPPGLSVSPNLARHLSSPRPPPPDAQFTGALMAKKGKAAAAEAAAPDAGVSSPQGGGGEKEGSFLLGSPTWEDARGGRWRCKETGHELPEREKEAYGRSRACRLALIDQAVARKKPPLNAFKPHPEHKSKLICNITGDIINKSEEHIWKHINGKRFLNKLEKLEEKMASGEMAEGEAEQSNEGENKTKSRKKKDKKKAAVVNPSLPREPKPEIDDSDNSDDPDFWVPPVGSRWDDDDGKDRWTSSPVKDKDDAAEDEDGDDDGDDMADKDDKETREIASRTKRLSVEAVGPSSFASRKKKTKKEQ >ORUFI04G17610.1 pep chromosome:OR_W1943:4:19946505:19949909:1 gene:ORUFI04G17610 transcript:ORUFI04G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLHTSRNHVYGFIIILLLLVQATAAATSRCPAQQAAALLRLKRSFHHHHQPLLLPSWRAATDCCLWEGVSCDAASGVVVTALDLGGHGVHSPGGLDGAALFQLTSLRRLSLAGNDFGGAGLPASGLEGLAELTHLNLSNAGFAGQIPIGVGSLRELVSLDLSSMPLSFKQPSFRAVMANLTKLRELRLDGVDMSAAAAAAAGDWCDVLAESAPKLQLLTLQSCKLSGAIRSSFSRLGSLAVIDLSYNQGFSDASGEPFALSGEIPGFFAELSSLAILNLSNNGFNGSFPQGVFHLERLRVLDVSSNTNLSGSLPEFPAAGEASLEVLDLSETNFSGQIPGSIGNLKRLKMLDISGSNGRFSGALPDSISELTSLSFLDLSSSGFQLGELPASIGRMRSLSTLRLSECAISGEIPSSVGNLTRLRELDLSQNNLTGPITSINRKGAFLNLEILQLCCNSLSGPVPAFLFSLPRLEFISLMSNNLAGPLQEFDNPSPSLTSVYLNYNQLNGSIPRSFFQLMGLQTLDLSRNGLSGEVQLSYIWRLTNLSNLCLSANRLTVIADDEHIYNSSSSASLLQLNSLGLACCNMTKIPAILRSVVVNDLDLSCNQLDGPIPDWIWANQNENIDVFKFNLSRNRFTNMELPLANASVYYLDLSFNYLQGPLPVPSSPQFLDYSNNLFSSIPENLMSRLSSSFFLNLANNSLQGGIPPIICNASDLKFLDLSYNHFSGRVPPCLLDGHLTILKLRQNKFEGTLPGDTKGGCVSQTIDLNGNQLGGKLPRSLTNCNDLEILDVGNNNFVDSFPSWTGELPKLRVLVLRSNKFFGAVGGIPVDNGDRNRTQFSSLQIIDLASNNFSGSLQPQWFDSLKAMMVTREGDVRKALENNLSGKFYRDTVVVTYKGAATTFIRVLIAFTMIDFSDNAFTGNIPESIGRLTSLRGLNLSHNAFTGTIPSQLSGLAQLESLDLSLNQLSGEIPEVLVSLTSVGWLNLSYNRLEGAIPQGGQFQTFGSSSFEGNAALCGKPLSIRCNGSNAGPPSLEHSESSEARTETIVLYISAGSGFGLGFAIAFLFQVFRRK >ORUFI04G17620.1 pep chromosome:OR_W1943:4:19952289:19954158:-1 gene:ORUFI04G17620 transcript:ORUFI04G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGSLQAPEFLASCLLLLATILLFKQLLAPSSKKRAASPSLPRPRGLPLIGNLHQVGALPHRSLAALAARHAAPLMLLRLGSVPTLVVSTADAARVLFRDNDRALSGRPALYAATRLSYGQKNISFAPSGAYWRAARRACMSAILGAPRVRELRDAREREAAALIAVVAAAGASPVNLSDMVAATSSRIVRRVAFGDGDGDESMDVKAVLDETQALLGGLWVADYVSWLRGGGWSGGSARPPLDALYERVIDDHINKRKRASDEEDDLADVLLRLHGDPAHRSTFGSRTHIKGILTDMFIAGSDTSAVTVQWAMTELVRNPDVLAKAQHEVRRVVAAAGGGDKDGAMVREADLPELHYLRLVIKETLRLHPASPLVQRETTEPFRTAHGVEIPARTRVVINAMAIHTDPGVWGPDAERFVPERHRAHDADGGQQHDGFALELLLANLLFCFDWSAPPGREVDVEEENGLAVRKKNPLVLIATKSKSNRDGRH >ORUFI04G17630.1 pep chromosome:OR_W1943:4:19959267:19961164:-1 gene:ORUFI04G17630 transcript:ORUFI04G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQAPEFLASCLLLLLATILLFKQLLAPSSKKRAASPSLPRPRGLPLIGNLHQVGALPHRSLAALAARHATPLMLLHLGSVPTLVVSTADAARALFRDNDRALSGRPALYAATRLSYGQKNISFAPDGAYWRAARRACMSALLGAPRVRELRDAREREAAALIAAVATAGASPVNLSDMVAATSSRIVRRVALGDGDESMDVKAVLDETQALLGGLWVADYVPWLRWVDTLSGMRRRLELRFHQLDALYERVIDDHLNNRKHASDEEDDLVDVLLRLHGDPAHRSTFGSRSHIKGILTDMFIAGSDTSAVTVQWAMTELVRNPDVLAKAQHEVRQHEVRRVVAAGDKVREADLPELHYLRLVIKETLRLHPAAPLLVPRETTEPFRTAHGVEIPARTRVVVNAMAIHTDPGVWGPDAERFVPERHRDDADGCAQQHDGFALVPFGIGRRRCPGVHFAAAAVELLLANLLFCFDWRAPPGREVDVEEENGLVVHKKNPLVLIATKGKRNTGGH >ORUFI04G17640.1 pep chromosome:OR_W1943:4:19962186:19962493:-1 gene:ORUFI04G17640 transcript:ORUFI04G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIYEKAEEMIRCNFDQNEKEASVTHHSKKLAIAFSLIKLRLGATIQLSKNLSVRLDCHSTTKLIAKVYNREIVVRGMNISQSLQIWFLLLQ >ORUFI04G17650.1 pep chromosome:OR_W1943:4:19962583:19963345:-1 gene:ORUFI04G17650 transcript:ORUFI04G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRSYTETYLCLEALALFIEMQTTVLLLNPNEAFLIWRALVSACTVHTNVDSNMQDALRHPLDPNQSITLD >ORUFI04G17660.1 pep chromosome:OR_W1943:4:19963491:19963865:-1 gene:ORUFI04G17660 transcript:ORUFI04G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGIGGGSPRPAPPTAPATAPELCIPRGVVLCDVRHAGVPSSSRARSPPRPNPSTDALLELTPPHSRPMAPCRPRAPPVPPRPLLASLRTVVQHQHPSLVGAGHPEDALHLFVEMLDAAS >ORUFI04G17670.1 pep chromosome:OR_W1943:4:19964060:19968349:1 gene:ORUFI04G17670 transcript:ORUFI04G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPLLLLLLLLPLAAAAAAAAASLPPLPLSTASRWVVGADGRRVKLACANWASHLEPVAAEGLSRRGLGDIAARVAAMGFNCVRLTWPTYLATNATLANLPLRASLERLGMPESVAGVRVNNPGLLDLPLIDVFQEVVSALAKNNIMVILDNQMTTPGWCCSENDGNGFFGDKYFGPEEWLKGLSAMATMFRNTKNVVGMSLRNELRGSKNVSLWFRYMQLGAEVVHAANPGVLVILSGLNFDNTLDFLVPNQIQLTFTGKLVFEQHWYGFSDDGNWGSRNQNDACGMVVDFIKKKGLFILQQGWPLFFSEFGFDMSGTHVADNRYLTCFLTVAAEMDLDWAIWALQGSYYIREGTLAYDESYGLLSWDWCTARNPSFIKRINALQSPFQGPGLPNSHQPYNVIFHPLSGLCVLVKSSEALELGPCDKSNAWNYTKGYELILKQTGQCLQAKSVGENAKLGTSCSKSSSKWKLLSNSGMHVSTELTNNGTRVCLDASPDGNITTNQCKCLTVDPDCNPESQWFKIILSSKHIPGGTSILQLPSHGPWSPTSSS >ORUFI04G17680.1 pep chromosome:OR_W1943:4:19968636:19977030:1 gene:ORUFI04G17680 transcript:ORUFI04G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVRPHIQPEKRIRCRAFPRTGGGCPLACANWASHLEPVAAEGLSRRGVGDIAARVAAMGFNCVRLTWPTYLATNATLASLPLRWSLERLGMRESVAGVRVNNPGLLDLPLIDVFQEVVSALARNNIMVILDNQMTTPGWCCSTTDGNGFFGDKYFDPEEWLNGLKTMATMFRKTKNVVGMSLRNELRGPYANVSLWYRYMKEGAEAVHTANPDVLVILSGLEFDNTLNFVVPNQIHLSFTGKLVFEQHWYGFSDGGNWESQNQNDVCGMVVGFIKNKGLFLLQQGWPLFFSEFGFDMSGTHTGDNRYLTCFLSVAAEMDLDWAIWALQGSYYIREGTLAYDESYGLLSWDWCTARNPSFIKRINSLQSPFQGPGLPNSQEPYNVIFHPLSGLCVVVKSSEALELGPCDESNAWNYTSTHELVLQHTGQCLQAKSVGENAQLGTDCSKSSSKWQLISNSGMHVSTELTKNGTGVCLDATPDGIITTNPCKCLTGDPICNPESQWFKIILSSRHTGTSILQLPSDGPWSPTSSREIVVFRPWRSREASPYPMQKQPRRRSTRYKSTSPLLLLGVSHCRPAGVDMRLVVVWLAAVAVLGLASHGRPAAAAATTTLSTASRWIVDEGGNRVKLACVNWPSHLEPMLAEGLGKQPVGAIAKGVVAMGFNCVRLTWATFMVTNASYSSLTVAQSFQRLNLTESLAAIRVNNPSLAVVSSLGENGVMVILDNHVSKPGWCCGNNDGNGFFGDAYFDPDVWVDGLTKMATMFAAVPSVVAMSLRNELRGPRQNSADWYKYMQRGAEAVHAANPRVVVILSGMSFDNDLAFLNSRQVNVSFAGKVAFEVHWYGFSDGQAWRAGNANQVCARVAASVSRRALYLLDQGWPVFLSEFGVDNRGGNVNDNRYYGCVAAVAADLDLDWALWTLQGSYYLREGVLGLDEVYGVLDWAWCKPRNDTALTRLHALQRPFRGPGLAEAAPYTVMFHPTTGRCVVRRSSSVVQTTLELGSCGEAEAWAYTASQQRLSPRDSPLLCLRAEGAGRPARLGLSCGDELARWSLTSDSKLHLAVNASSSSSSPETRNGGMLCLDVGDDGRSLVTNPCRCLSADNSCDPESQWFKLVTSTRSVAATNTMLAQLPPKLRSWKIRSL >ORUFI04G17690.1 pep chromosome:OR_W1943:4:19977340:19981323:-1 gene:ORUFI04G17690 transcript:ORUFI04G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGTAPWRSPLRAPPPTPSRGYNSRPRGLVPAQCRPWPRPSLSSAAVACPRSEEMARLERGSLVVGGRELLERAPPSVALRRPAWLSLFRFKIWWMIPTVGEDAAGVPAETQMLLLESRSEAGAALYALMLPVLDGGFRASLQGSPENELQFCFESGDPEVQTLEAVDAVFINSGDNPFKLMKESIKMLSKIKGTFSHIEDKEIPANLDWFGWCTWDAFYKSVNPVGIEEGLKSLCEGGAPPRFLIIDDGWQETVNGFKEVDEAFIEQTVFAERLIDLTENDKFRGETCKNLGDHVKKIKEHYGVKYVYIWHALHGYWGGVLTTPDAMKKYNPQLVYPVQSPGNVANLRDIAMDSLEKFGVGIIDPAMIYDFYNDQHSYLSSVGVDGVKVDVQNVMETLGKGFGGRVALTQKYQQALEESIARNFKGNNLICCMSHNTDSIFSSLKSAVARASEDFMPREPTMQTLHIATVAFNSLLLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGMHDFSVLKKLVLPDGLILRAKHAGRPTRDCLFNDPVMDGKSLLKIWNLNKFSGVIGVFNCQGAGNWTYPVKENAHVPTTVCITGDLSPSDVELLEEIAGDDWNGETAVFAFNSCSLSRLQKHQIMEVSLSTMTCEIYTIALIKVFGGFVQFAPLGLVNMYNSGGALENVTSTGDCSEITIQIQCRGPGRFGAYSATRPEICSVDEHEVEFKHTDDGFLAFDLSHGSSQDNLRNIEILYRAS >ORUFI04G17690.2 pep chromosome:OR_W1943:4:19977340:19981323:-1 gene:ORUFI04G17690 transcript:ORUFI04G17690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGTAPWRSPLRAPPPTPSRGYNSRPRGLVPAQCRPWPRPSLSSAAVACPRSEEMARLERGSLVVGGRELLERAPPSVALRRPAVVASPGGAAFLGATAPAPSSRHVFSLGTLASGWKWLSLFRFKIWWMIPTVGEDAAGVPAETQMLLLESRSEAGAALYALMLPVLDGGFRASLQGSPENELQFCFESGDPEVQTLEAVDAVFINSGDNPFKLMKESIKMLSKIKGTFSHIEDKEIPANLDWFGWCTWDAFYKSVNPVGIEEGLKSLCEGGAPPRFLIIDDGWQETVNGFKEVDEAFIEQTVFAERLIDLTENDKFRGETCKNLGDHVKKIKEHYGVKYVYIWHALHGYWGGVLTTPDAMKKYNPQLVYPVQSPGNVANLRDIAMDSLEKFGVGIIDPAMIYDFYNDQHSYLSSVGVDGVKVDVQNVMETLGKGFGGRVALTQKYQQALEESIARNFKGNNLICCMSHNTDSIFSSLKSAVARASEDFMPREPTMQTLHIATVAFNSLLLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGMHDFSVLKKLVLPDGLILRAKHAGRPTRDCLFNDPVMDGKSLLKIWNLNKFSGVIGVFNCQGAGNWTYPVKENAHVPTTVCITGDLSPSDVELLEEIAGDDWNGETAVFAFNSCSLSRLQKHQIMEVSLSTMTCEIYTIALIKVFGGFVQFAPLGLVNMYNSGGALENVTSTGDCSEITIQIQCRGPGRFGAYSATRPEICSVDEHEVEFKHTDDGFLAFDLSHGSSQDNLRNIEILYRAS >ORUFI04G17700.1 pep chromosome:OR_W1943:4:19982394:19983936:1 gene:ORUFI04G17700 transcript:ORUFI04G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAPPQAPRASRRPPPPRCSPAAGASPPPSAGGIRRLVLTPEGRAKLDARPDRDFYAFPRLVKHVDDGFLAALADLYRERLRPGWDVLDLMSSWVSHLPPERELPLRRVVGHGLNAQELAKNPRLDYFFVKDLNKEQRLELQTSSLDAVLCTVSVQYLQSPEKVFAEIFRVLKPGGVCIVSFSNRMFYEKAIGAWREGTAYSRVQLVTQYFQCVDGFTEPEVVRKLPSDAAGGKPASPLDAVMRLFGMAGSSDPFYAVISYRNFKPM >ORUFI04G17710.1 pep chromosome:OR_W1943:4:19984586:19986382:-1 gene:ORUFI04G17710 transcript:ORUFI04G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLAAAIASASASPARCLSPSSAALPRRFLHHLLLAATPPRPTPPPPPLRCIPFHRMAQFWTQGSLDKNKALVEYLKQYGAVRTDKVAEVMESIDRALFVAEGLTPYTDSPMPIGYNATISAPHMHATCLELLKDHLQPGMHALDVGSGSGYLTACFAMMVGPEGRAVGIEHIPELVAASTENVQRSAAAQLLKDGSLSFHVADGRLGWPDDAPYDAIHVGAAAPEIPQPLVDQLKTGGRMVIPVGSYFQELQVVDKNADGSVTVQNDASVRYVPLTSRSAQLQDS >ORUFI04G17720.1 pep chromosome:OR_W1943:4:19986783:19989030:-1 gene:ORUFI04G17720 transcript:ORUFI04G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAARGGETFDPDLIRAIFKLVWSRRGERGGGGGDAGDEVIEVEPAPETSRRNRSATEAFERDAFCHYYYEFAADR >ORUFI04G17730.1 pep chromosome:OR_W1943:4:19995795:19997207:-1 gene:ORUFI04G17730 transcript:ORUFI04G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPRLCMATGDSAAAAGEGDSSNSGSKQQAAAVSSSSSTVSTSSSAAAAAVSEASSSMSLPSLPSLSDVTGSMSASLAASFAHVTTLCPLSTAAAAASAAAVAAADYSVHGGGGLVVVVARPAAVVLHDVFTMEATSTSDMADDTSAAGSVKCVAHLHGGKAAVTGHQDGRLRLWRMSSRAPDRLRLAAALPTVSDRLRRFPVPSNHVTVRRHHRRLWIEHADAVSGVAASADGRLLFSVSWDKTLKVWAVPSLRCLQSLPAHDDAVNAVAVAHDGTVYTASADRRVRVWAPRAPAAGPDRASRRPGKKPAYHLVATLSRHAAAVNAVAVGCGGQVLYSGGNDRCVVVWEREDSASHMVAVGALRGHRRAVLSVACAAGDAADGALVVSGAADQTVRAWRRGADGRGYYCVAVIDGHGSAVRSVAAALVTAQKKRRADDDGGDEEWRVCSASFDGEVRLWSLRVAAAS >ORUFI04G17740.1 pep chromosome:OR_W1943:4:20003432:20007624:1 gene:ORUFI04G17740 transcript:ORUFI04G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKEKPSFLRLVRYADAHDRCLMALGVLGSFGDGMMQPLSMLVLGDIVNSYGGAGGAGSARSAFSSGAVDKGLCWTRTAERQASKMRRLYLEAVLSQEVAFFDAAPSSPSSPQAQAQATTFRVISTVSDDADAIQDFLGEKLPMVLANATLFFGALAVSFVFAWRLALAGLPFTLLLFVTPSVLLAGRMAAAAGEARAAYEEAGGIAQQAVSSIRTVASYTAERRTVERFRGAVARSAALGVRQGLIKGAVIGSMGVIYAVWSFLSWIGSLLVIHLHAQGGHVFVASICIVLAGMSIMMALPNLRYFIDATAAASRMQEMIEMLPPLEGAEKKGATMERIRGEIVFKDVHFSYPSRPDTLVLNGFNLTISEGATVGLVGGSGSGKSTVISLLQRFYSPDSGEISMDDHGIDTLNVEWLRSQIGLVSQEPVLFATSIRENILFGDETASLKQVVAAAKMANAHEFIVKLPHGYETHVHKQQQFLQCMLQHAESYGVFFFSPVQVGQFGTQLSGGQKQRIAIARALVRDPRILLLDEATSALDAESERTVQDALDRASVGRTTVIVAHRLSTLRKADTIAVLDAGRVVEAGTHDELLGMDDGGEGGVYARMVHLQKAPPVAAREERHRAVDVVESEMVSFRSVEIMSAVSATEHRPSPAPSFCSVEHSTEIGRKLVDHGVARSRKPSKLRLLKMNRPEWKQALLGCVGAVVFGAVLPLYSYSLGSLPEVYFLADDGQIRSKTRLYSFLFLGIAVVCITANIVQHYNFAVMGERLTERVRGQMLAKILSFEVGWFDEDENSSAAVCARLATQSSKVRSLVGDRMCLLVQAGATASLGFSLALAVSWRLATVMMAMQPLIIASFYFKKVLMAAMSKKAKKAQVQGSQLASEAVVNHRTITAFSSQRRMLRLYEAAQQGPKKDNVAHSWFSGFCLCLCQFSNTGSMAVALWYGGKLMAKGLITPTHLFQVFFMLMTMGRVIADAGSLTSDLAQGGDAVRSVLDTLDREPTIKDDDNDNERKKKKRKEIKGAIEFKNVHFSYPTRPEVAVLAGFSLEIGAGKTVALVGPSGSGKSTVIGLIERFYDAQRGSVLVDGEDIRSYSLARLRSQVALVSQEPTLFSGTIRDNIAYGAAEEHATEDEVARAAALANAHGFISAMERGYDTRVGERGAQLSGGQRQRIALARAVLKDARILLLDEATSALDAASERLVQDAVDRMLRGRTCVVVAHRLSTVEKSDTIAVVKDGRVAERGRHHELLAVGRAGTYYNLIKLQHGRSPCLSPM >ORUFI04G17750.1 pep chromosome:OR_W1943:4:20007967:20009016:-1 gene:ORUFI04G17750 transcript:ORUFI04G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGGDLRSLLAVVAAVAAAMSYVRFVARRLRPGLPRLAAFVPLLAVLPVIPLAFRALHLRVTSGFFLGWLAEFKLLLLASGHGPLDTSLPLPAFVAIASLPVRRRAQRDSENAPRPGLGLVTSAVMAALLATIVSVYPHKERMNEYVLLMLYSLHVYLALELVLAFAAAAARAVMGMDLEPQFDRPYLSASLREFWGRRWNLSVPALLRQCVSRPVRARVGGGVAGVAAGVLAAFLVSGIMHEAVIYYATLRPPTGEPTAFFALHGACAVAEGWFAAHKGWPRPPRAVATALTLAFILATGFWLIVPPITRTGTDRVVIAESEAMVAFVRDAGSWAAASVRSALTGHS >ORUFI04G17760.1 pep chromosome:OR_W1943:4:20010878:20011915:-1 gene:ORUFI04G17760 transcript:ORUFI04G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDLRSLVAVCAAVTAAMWCARFAARRLRPGLPRLAAFVPVLAVLPFLPLAFRALHPRAISGFFLAWLAEFKLLLLASGQGPLDPSLPLPAFVAIATFPVRQRDPTKNAAGSGLGPVTSAVMAALLAAIVSLYRYKERMNPYALLVLYSLHVYLALELVLACAAAAVRAVMGMDLEPQFDRPYLSAHLRDFWGRRWNLSVPAVLRPCVSHPVRARVGEGAAGFAAGVLAAFFVSGVMHELMFYYITLRPPTGEATAFFTLHGALAVAEGWWAAREGWPRPPRPVATALTLALVMSTGFWLFFPPITRAGADKVVIAESEAVVAFVRDTGIWAAASVHSALSLL >ORUFI04G17770.1 pep chromosome:OR_W1943:4:20012867:20014241:-1 gene:ORUFI04G17770 transcript:ORUFI04G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSNPGAANPALGPDADAAAGEGLELAQFAAGCFWSVELTYQRLPGVARTEVGYSQGHRHEPTYRDVCGGGTGHAEVVRVHYDPKACPYEVLLDVFWAKHNPTTLNRQGNDVGTQYRSGIYYYTAEQEKAARDSLAEKQKEWKERIVTEILPATRFYPAEEYHQRYLEKGGQSAKKSCNDPIRCYG >ORUFI04G17780.1 pep chromosome:OR_W1943:4:20015823:20016218:-1 gene:ORUFI04G17780 transcript:ORUFI04G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGEKRNCNPQSSHTTYLQAISVVTASKSSTVYVSIQGKSAADDLSYFKSTQTHTVSYMKNKIPHIDVFLTEQDFKNNEI >ORUFI04G17790.1 pep chromosome:OR_W1943:4:20016730:20017603:-1 gene:ORUFI04G17790 transcript:ORUFI04G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLSLGAGGHHTWSGAPHPFSLASPSMSHLSARVPRRTAAQLVRGRLPQAGSALPLTVLAADGPICQFGRAVYYWHAPCTSQEGHGFATMDSSFCACMSIASILLIDHRLQIYSPQDQGKNSGYQFHYSGENLRIFIAADKIVAPSDKLPSKEFD >ORUFI04G17800.1 pep chromosome:OR_W1943:4:20018543:20019210:-1 gene:ORUFI04G17800 transcript:ORUFI04G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDTGADGGAANPDLGPDADAAAGEGLELAQFAAGCFWSVELTYQRLPGVARTEVGFSQGHHHEPTYDDVCGQGTGHAEVVRVHYDPKACPYGVLLDVFWAKHRPTTLIRQGDEAGTQYRSGIYYYTAEQERVARESLEAKQEEWKEKIVTEILPARRFYPAEEYHQRYLEKGGQSAQKGCTDPIRRYG >ORUFI04G17810.1 pep chromosome:OR_W1943:4:20025107:20027738:1 gene:ORUFI04G17810 transcript:ORUFI04G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQWADLDALRPAVAADVQVVTSDGKSIAAHSFVLGTASPVLERMIERARRGWNAECTIRVLGVSSDAVFAFLQLLYASRVTPEDEEVVTAHGPQLLALSHAYRIGWLKRAAEASVTARLTPEHAVDMLKLARLCDAPRLYLRCARLAAKDFAAVERSEGWRFARRHDAALELEILQLLEDADQRRERWARERASREAYRQLGEAMDSLEHIFSDDGCSCADADADADTDAPPCRGLRLLMRHYATCGARKAAPGGGCTRCKRMVQLFRLHASVCDRAAPHDDGDRPCRVPLCR >ORUFI04G17820.1 pep chromosome:OR_W1943:4:20036024:20036682:-1 gene:ORUFI04G17820 transcript:ORUFI04G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVVLPMPQAQRGGQDGAIMRLLPASGIVRLVALVFLLIFSSSLQQQAGVGAIRLHDRKQHGEQWEEERTQMRSFMTMDYSSVRRRRPIHN >ORUFI04G17830.1 pep chromosome:OR_W1943:4:20039408:20043181:-1 gene:ORUFI04G17830 transcript:ORUFI04G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGGRTGEPEATGVEAASAPERSESSRCMPFEDCVAGIKSSLKNPTVRFLMERMDKAGCPMPPGMITARNCGTADKNGSYGSRIGITVCCEEIRYKDEITQLLIHELIHAYDDCVVKDMDWKNCAHHACSEIRANHLSGDCHYKRELLRGFMKMRGHEQECVKRRALMSLRNNPHCSGTAAKDAVEAVDVSPGTVT >ORUFI04G17840.1 pep chromosome:OR_W1943:4:20044701:20048457:-1 gene:ORUFI04G17840 transcript:ORUFI04G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGARSPTRMLAEGHLRVATGGGAPADGGIAVRHLPHHHASKREGAGGKNEQYNLEDVDSVPSKMSNKLVNGNNKVPATLDDYKRLLVPVIEEYFSTGDVELAASELRSLGSDQFHSYFIKKLISMAMDRHDKEKEMASILLSALYADLLGSSKMSEGFMMLLESTEDLSVDIPDAIDVLSVFVARAVVDEILPPVFLTRARALLPEFSKGIEVLQVAEKSYLSAPHHAELVERKWGGSTHFTVEEAKRRIQDILKEYIESGDIDEAFRCIRELGLPFFHHEVVKRALTLSMENLSSQPLILKLLKESTAGCLISSNQMSKGFCRLAESIDDLSLDIPSAKILFDKLVLTATSEGWLDASFTTSSAPNEDMRNASGEKIKHFKEESGHIIQEYFLSDDVPELIISLQELSAPEYNPIFLKKLITLAMDRKNREKEMASALLSSLSLELFSTDDIMKGFILLLQSAEDTALDIVDAPSELALFLARAVIDEVLIPLNLDEIGNRLRPNSSGSQTVQMARALLAARHSGERILRCWGGGTGWAVEDAKDKIAKLLEEYNTGGDLGEACQCIRDLGMPFFNHEVVKKALVMAMEKENEARILALLQECFGEGLITINQMTLGFTRVKEGLDDLILDIPNAQEKFGAYVDLATERGWLLPPFA >ORUFI04G17850.1 pep chromosome:OR_W1943:4:20049932:20052617:-1 gene:ORUFI04G17850 transcript:ORUFI04G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVASTATSFSYHKPRFAVECRKKDRDRDRDRERPEREKEHKYPFKVVEITPPPRCLGVRCFPTNIHCGESVTIEGQAYTVSAVTHRYQLRKGRYEPSEKRLDVLSTGRYLLNLYLDGLLDKS >ORUFI04G17860.1 pep chromosome:OR_W1943:4:20056274:20058309:1 gene:ORUFI04G17860 transcript:ORUFI04G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYSKRLFRRSSSKGSTDSSSSSSSSSDGDVGGRSGGGGSGEIEWEVRPGGMLVQKRDGRGGVEVITVRVATGFSWHDVSIGATCTFGELKTVVSMVTGLEPREQRLLFRGKEREDSDHLHMVGVRDKDKVLLLEDPALKDMKLRAALAARATVQSPYQPFIQV >ORUFI04G17870.1 pep chromosome:OR_W1943:4:20066693:20072304:1 gene:ORUFI04G17870 transcript:ORUFI04G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSGFWASLGSFLKFLPYFCGLLILGVIKDRTKLVGPVVKLLLLIAATATLILWLIVGIPGSILAGLVYGFLAPIMATFGAVGEGKEKPFDGTWSTITGSCTIVRDVKDLLFHSYFSIMDDLRLQAPPDNKPYEIRLLDIPGALLSAACGLILDGIMFTLIAIYKCPVMLFKGWKRLIQDMIGREGPFLETACVPFAGLAILLWPFAVVGAVLASILSSIPLGAFGAVVAYQESSLKMGLSYVVSSVSIFDEYTNDVLDMAPGSCFPRLKYRKREDSSHGGSLSRPTSFNKEKQEGKKPPARVTSFKNSIEDFNPFKLLEHLFVECKHEGETLVNEGVITMKDIEETKLGKVGTGVLNVGLPAYVILNALLRSAKANSVGLLLSDGSEITSDNRPKHTLYEWFFDPLLVIKEQIKAENFTEEEEKYLKMRVLLIGGPDRVKGSLPDVPSLDERKKAEIDAFARRLQGITKSISRYPTAKRRFDILVKQLLSELERTVGGGQSTNGSQSQSLRGGIARMLSQKSMGKAANVRDEDPEAQMTSHDRTP >ORUFI04G17870.2 pep chromosome:OR_W1943:4:20066395:20072304:1 gene:ORUFI04G17870 transcript:ORUFI04G17870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSGFWASLGSFLKFLPYFCGLLILGVIKDRTKLVGPVVKLLLLIAATATLILWLIVGIPGSILAGLVYGFLAPIMATFGAVGEGKEKPFDGTWSTITGSCTIVRDVKDLLFHSYFSIMDDLRLQAPPDNKPYEIRLLDIPGALLSAACGLILDGIMFTLIAIYKCPVMLFKGWKRLIQDMIGREGPFLETACVPFAGLAILLWPFAVVGAVLASILSSIPLGAFGAVVAYQESSLKMGLSYVVSSVSIFDEYTNDVLDMAPGSCFPRLKYRKREDSSHGGSLSRPTSFNKEKQEGKKPPARVTSFKNSIEDFNPFKLLEHLFVECKHEGETLVNEGVITMKDIEETKLGKVGTGVLNVGLPAYVILNALLRSAKANSVGLLLSDGSEITSDNRPKHTLYEWFFDPLLVIKEQIKAENFTEEEEKYLKMRVLLIGGPDRVKGSLPDVPSLDERKKAEIDAFARRLQGITKSISRYPTAKRRFDILVKQLLSELERTVGGGQSTNGSQSQSLRGGIARMLSQKSMGKAANVRDEDPEAQMTSHDRTP >ORUFI04G17880.1 pep chromosome:OR_W1943:4:20071552:20077854:-1 gene:ORUFI04G17880 transcript:ORUFI04G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPPPPPPLPHAHSDSGEAPVSLFIDTDLGTRFALLAAGDSTMRDLKSTVAAEHATAFPDIGPVAVKSFQVRRKGALYHLSDLMTIRSAFAKIKAGCFLHVKMTVVVTDSHCCRDTSMENRGKSSEGCPGAEVHVDKCVLKIPALIPQIANRRLPGLENSSTAGMEKKRKRSEPEATREVVSAQEMVKPSSGAVEVPGSIGQVLLQKNNQELQGDGAYNVELTSRDNSGCEGTKHVQLMSGAQGATDLASDQGIDDLVHKAYKEPITRYMTNSSGVVAGAEKPTQGRRDEGAVETSKMEKASTSKSILEEIQSAGNPSQGRKRKKGKKVNSVDMASLDIADQCGTEHVQLMSDAQATANLVADQGIDDLVHKEYKDPTMGDMVNSSEVVAGAEKSTKGRHDEGVVETSKMESASTSKSVAKKRKKTKNVSSVDMASLDIAGEKDQCGTKHVQFVSDAQATTNSVADKGIDDLVHKDYKDPTMGDMVNSSELVACAGESTKVRHDESDIETSKLEKSSKSILEEIQSVGHTSQQKKRKKAKKASSVDMESLDISGEKDQCGYGENLVKSDKLATQGKIVNDPVDQHISSNMLSEGPNVIENPCGDGRRKKKKKTKHHSESSKDVGPTHDVTKSLITNEISIQNTNVSPLDPKQITPATTGVGTIGHQTKFDVSLDVAAAKVIDEVLADLRCTDNISKDLDQCQLSKQKHQGSDVLGVHGNTVDKGALSAVLPLKYPAAIHSDAPISSPSHNKAKGENLEVLPTAHDSSHFSGGVPEENANAELRESVSLRPSDNTSVSNNISTENVVVQDDDKNKATKRQRKKISLKHVPTDNDKTIQSLDEQVNQVAIEDLNGSNATKADLVQGGSVIDGPAGTVENVQKKSRSTKIRTPKVQKANPSAHFEDSKSAKDSQGKCVSYIGESGTHSNDTAVGAPTQSFAVQEDATALRTSTPSALKGRKKSSKTGLQSQNASLDHGSDVGLMNYKAEHITASPKKSAVAVEPNEKINFLDHFSPKGTNDQYVSAENKENGREETVREVEDESNKREVDLQSQLADNAKPNDLLQSHHIEKTTSTNNSPGDVGVPSDSTQNVDIADGNVKKGKQKKRKKKSDLLNSVPQKVDPNSDHRDIDNGVQDFSFSVAQEGRMEHDRKENNNNVIWNSSMLTRDPKDATCDSRVKKLNQSKSGSDNQGNLPIDKDHALMDKGQRKSSSQTKPHAESKNFDRFSNGKADPNSKSIRNLVKSFSMSPPASSDSTQGTPQNSRFRLAARKVPRKRYEQTSGKSKKDKGTGTIFNDASSDGSDDELGIGSEKAAIETSSDDASSSADSAHDSGEPDDDGNASLSQKSRKGGLGSILRGSCSYKKAKQKQAVQLDDTEVPDSQPMDIF >ORUFI04G17890.1 pep chromosome:OR_W1943:4:20078322:20081489:1 gene:ORUFI04G17890 transcript:ORUFI04G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHGISLLGTLFLRAITTVYIGLLRILDPLLHIYIMMTSNYVLLNPEEKTDKTIVQQKCRCCC >ORUFI04G17890.2 pep chromosome:OR_W1943:4:20078322:20081587:1 gene:ORUFI04G17890 transcript:ORUFI04G17890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLPSQRLLARFCRCYSTRYGSRYASHHLPANCALAAAVRLLSLQITSSHRRS >ORUFI04G17890.3 pep chromosome:OR_W1943:4:20078322:20081004:1 gene:ORUFI04G17890 transcript:ORUFI04G17890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHGISLLGTLFLRAITTVYIGLLRILDPLLHIYIMMTSNYVLLNPEEKTDKTIVQQKCRCCC >ORUFI04G17890.4 pep chromosome:OR_W1943:4:20078322:20081004:1 gene:ORUFI04G17890 transcript:ORUFI04G17890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLPSQRLLARFCRCYSTRYGSRYASHHLPANCALAAAVRLLSLQITSSHRRS >ORUFI04G17900.1 pep chromosome:OR_W1943:4:20086135:20089096:-1 gene:ORUFI04G17900 transcript:ORUFI04G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSAQPAWALALAGVGLMVAATASARLARWLYAAFLRPGKPLRRRYGEWAVVTGATDGIGRALAFRFAGAGMSLVLVGRSPDKLAAVSGEIRGKHPRAEVRTFVLDFAAEGLAAKVAALGDSIRGLDVGVLVNSAGMSYPYARYFHEVDEELMRNLIRLNVEALTRVTHAVLPGMVERKRGAIVNIGSGASSILPSYPLYSVYAATKAYVDQFSRCLYVEYKNKGIDVQCQVPLYAATKMASIKKASFFAPSPETYARAAVRYIGYEPRCTPYWPHAVLWFLISAFPEPIVDRLLLNMSVGIRKRGMAKDARKKTQ >ORUFI04G17910.1 pep chromosome:OR_W1943:4:20091289:20097453:-1 gene:ORUFI04G17910 transcript:ORUFI04G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQKKPRKGKEKTERKTAKGEEKRARREARKVGEEDDIDAILRSIQKEEAKKKEVHIDENVPAPSPRSNCSLTINPLKDTELVLYGGEFYNGSKTFVYGDLYRYDVEKNEWKLVSSPNSPPPRSAHQTVAWKNNIYMFGGEFTSPNQERFHHYKDFWSLDLKTNQWEQILAKGCPSARSGHRMVLYKHKIVLFGGFYDTLREVRYYNDLHVFDLDNFKWEEIKPRPGCLWPSPRSGFQLMVYQDQIYLYGGYFKEVVSSDKSASEKGTVHADMWTLDPRTWEWNKVKKTGMPPGPRAGFSMCVHKKRAVLFGGVVDMEIEGDVIMSMFMNELYGFQLDNHRWYPLELRKDKPAKNKTKDIKRKEPSNNVEDNLGNEEDEIMEDSETTGGQSEVHGVSNHLTKSLTLNKAGSGNSSDILSDSTTQEVLPEAVKPGGRINACLAVGKDTLYLYGGMMELKDREITLDDMYSLNLSKLDEWKCIIPASESEWLEISEDEDDEDDDDDDNENDSEDDANQTDEDDEESDEDAEKNVDMSTAVSLIKGERKNLRRKEKRARIEQIRVMLGLSDSQRTPMPGESLKDFYKRTDMYWQMAAYEHTQHTGKVAGSMSLLYLFVLIQCQELRKDGFDLAETRYKELKPILDELAVLEAEQKAEEEASASTSSKKDTKKSKQKSGMR >ORUFI04G17920.1 pep chromosome:OR_W1943:4:20098109:20098771:1 gene:ORUFI04G17920 transcript:ORUFI04G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPRGSCANLAATSDKTRFKTTEGPPVTVGNEYAAASGSVADKYSVTRALALAGSPQALRRWAVACGHSRCKSK >ORUFI04G17930.1 pep chromosome:OR_W1943:4:20098800:20104829:1 gene:ORUFI04G17930 transcript:ORUFI04G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSDLPDEALLVILNKLDTREAVRCSVLSRRWRRVPGMLPNIELDVDSFTPDHDDGFTLLSHESRHDIRRLDLSFFSRDESVGIIHAIDDAMARGRRILDLRFDVLSEKSYMECPDSDRVKQGRRLLHCFDRYPRVFAGLTSLHLECVTVQGPRFSDVITACEKLIDLSLVRCNFGKETALTIQHEQLSMINLEFCTCDTVELEWLPKLSELSMSVWFWSPRQYPLVFGHAPRLQRLELTHAGLVHSKVLRLSKLLDNCTSLRELWLDFECEKVTDHHCVPLEEELLERMFICEKNNINWEPSNFKHNNLTKLIIYGFRPENRFMSYIRRVMKAAVNLDEISLHDDRGGSKLPIRKHNMTSSDLRLAPPTRGSSHGYAHGEPAIAGDSRRQTVFGHIFEGHGPYRGRPKQPASESDVGVGIGNESNDYAAAASQTNRRYGQVVRSLLLPKNISTPAAVQAFRGQDTMSLSDLPDEALLVILNKLDTREALRCSVLSRRWRRVPGMLPNIELDVDSFTPDHDDGFTLLSHESRHDIRRLDLSFFSRDESVGIIHAIDDAMARGRRILKMCFDVVSEKCYLECPDRDRVKQGKRLLYCFDAYPHVFAGLTSLHLECITVQGPCFSNVITACEKLSYLSLVYCDFGEETPLTIHHEHLRVVKLEFCTCDTVELEVPDLLKLMMSVWSWSPRRYPFVFGHAPRLQRLELAHAGLIDSKMLQLSKLLDNCTSLRELWLNFEREKIWILPETPTRLAPLLNNLTFVGVHRIHPNSGITWTLFLLEAAPLLKMLSIKVTDHQCKPIEGELLKRTLCEKNNIYWEPSDFKHYSLTMLIFYGFQPGKKCMEYIRQVIKRAVNLEDILLHDDRCEATREATTRPPPLLAAAGLLASSAQADKGPAQGGDGNDHQIRTAPTESCGAAAGDGDGDGSGDGDGRGEQRRAGMRPMAAGMATAVVESADPAAARRWTVLQAACPTSGVGVCGAPTLVVALWRQQAVVVRRTARSGSRFRSDASLVRSGVAWSPVVVIFDM >ORUFI04G17940.1 pep chromosome:OR_W1943:4:20108622:20110428:-1 gene:ORUFI04G17940 transcript:ORUFI04G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNLPDNVLLDILDKLDTREAVRCSVLSRRWRRVPGMLPNIKLDVDSSFEHDDDGFTSTLSDAARNNYAMVGAVQSLLSRESRHDIRRLDLSFSREESVGIIHAIDDTVRSLVGEVVLGTPAQGQGQAREAPSALLRRLPARVRRPHPTAPGVCHGARASLLRLDRRLFSTIDLEFCACYTIELEWLPKLAELSIAVWPWTSHEYPLVVGHAPRLRLLDLSHAGMVNSKILRLSKLLDNTTSLQELWLNFETEKVWIQLETPKHLAPFMRNLTLVDVHRIHPNCGINWTLFLLEAAPLLKILSISATDHLCVPVEEELIKRFVICKKSNINWEPSDFKHSNLSKLTIHGFQPNNIFMGYIRRVMKAAMNLEEILLHDDWCEDCESYYPVARYPQTKIERDLVKKAINEGITSPIKSIQFFHTSEAGTINIID >ORUFI04G17950.1 pep chromosome:OR_W1943:4:20111811:20114139:-1 gene:ORUFI04G17950 transcript:ORUFI04G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDEAVCLCAHVQSSLLSVVCCELKAVVSMVTGLEPREQRPLFRGKEREDSDHLKDMVLLLEDPALKDMKLRATLVARATI >ORUFI04G17960.1 pep chromosome:OR_W1943:4:20115698:20119517:1 gene:ORUFI04G17960 transcript:ORUFI04G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGTSVAEGDKANQEDRLSALPDDILIHILDRLKTRDAVRTSVLSRRWRHLPGVLSKIILHVGSFKPKDGSMLAKDDLRIRSNISVIEATQSILAHKSQCKINFLSVGFYLREESISIAHSINDAMANREIVSTKFMILPEKHGIQRMEDDKIICGKRFMSFSYACPRAFGCLKQLILTCVRLGNSDFTDVLSTCKKLEYLQLISCDFQPSVLQMEHPTLIKMELVVCTFGSVDLKSLPKLRTLIVDTWMGLEEIYPLSFGYVPQLSTLKLTYKGTTRDKNIKLSEFLGNAAIGALHLDFECGRIWIQPEHPKLLAPVLRNLQIASLTCIHEECNLTWIFFLLEAAPLLETMHIKMWDHECKTSEDEELYQKEGTFIASMRKNIMHKKWRKDSRKVVCTVDDVCKPIFITNIIDTRKNIELQPFENICSYFVPIFNYVMQTSPKIAMQISPKTDLIPTSVEL >ORUFI04G17970.1 pep chromosome:OR_W1943:4:20123493:20126580:-1 gene:ORUFI04G17970 transcript:ORUFI04G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLGDMLSVACINGGRVPRRRPYSSVLRLVSNNSDEDFDREVYRLAHLRPLVSKAASQRSQAMVDDFTNFSSDDEFEYSSGVLVDSVFDVLCDDFSDEDFQYEEVDARYYRVSKRTLKAGGLVGLEGGKLGTAYYRA >ORUFI04G17980.1 pep chromosome:OR_W1943:4:20136395:20145902:1 gene:ORUFI04G17980 transcript:ORUFI04G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVDDADGALDSWARFCDLSDELFGGAGDLSAGPRLAPVVADLCARGLAELLRDQFIRSLEGIFRSNAVKKFWQQFHPYCNSSAVERIKFCVQENWPEDILSKALEDICLEKNYQEKCVLALVHSLQSYEDRSPHRKSKALDCSSSLMPRYQLMVSSVLLTTLPLSFPEILNVYFKKKLEELNIMMAGLDGSDPFDNHDLFERNSTSAWHSEMDIDGQEPGISESRNLVKNIGKVVRDLRYLGFTSMTEDSYSSAIIWLLKSKVHELAGDDYRIPVLGCVKKWIQAVPLKFLHAQLTYLGDSLDNESGSSGLKSPLASRPSSFPGIGVPSEALVRWHMRLEYFAYETLQDLRIGKLFEIIVDYPESSPAIEDLKQCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGNANGTGNAGDNLLEELNRDAENQENVDYDDHTNIDEKQAWLNAESWEPDPVEADPLKGSRNRRKIDILGLIVSIIGSKDQLVNEYRVMLAEKLLNKSDFDIDSDIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNSNIKTSLSKTSQTGTVQEETELSHEVLDATIISSNFWPPIQTEDLTVPASVDQLLSDYAKRFHQIKTPRKLLWKKNLGTVKLELQFEDRSMQFTVAPVHAAIIMQFQEKPSWTSKTLATAIGVPVDSLNRKISFWTSKGVLTESVGPDADDPTFTVVDSTSDFNKNSTVNQLSERFQITEEEGESSIASVEEQLRKEMTVYEMFCIAEPSYDKSLQQLQSFLSGLVRKAGDEGWIILAKEELKNGGPILRHSPHCVQ >ORUFI04G17990.1 pep chromosome:OR_W1943:4:20144516:20147890:-1 gene:ORUFI04G17990 transcript:ORUFI04G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVAAAGGGGGGEQPRRRKPAPGRGGVVLPAGLSEEEARVRAIAEIVSAMGELSRRGEDVDLNALKSAACRRYGLARAPKLVEMIAAVPEADRAALLPRLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVCYSEHGAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFREFFENPAFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPELLVDIVARILSMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMEDLGLKCRDVRTREAGIQDIHHKIRPDEVELVRRDYAANEGWETFLSYEDTQQDILIGLLRLRKCGRNVTCPELVGRCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIARKEHRSKKIAVISGVGTRHYYRKLGYELEGPYMVKCLV >ORUFI04G18000.1 pep chromosome:OR_W1943:4:20148126:20151732:1 gene:ORUFI04G18000 transcript:ORUFI04G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVGEEGKQQPHLVLAHKLFLLSHPDVDDLAKVDLRADVLAAVKSDDMASLYESLGAGGVLETDAALLAEMRGRIEEEIRKLDEKIADAEENLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLVFHTLQIGFFYMDFDLISKSIDKAKKLFEEGGDWERKNRLKVYEGLYCMATRNFKKAASLFLDSISTFTTYELFPYDTFIFYTVLTSVISLDRVSLKAKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFAAFSGLTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMASAFGVTVDFIDLELSRFIAAGKLHCKIDKVACVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >ORUFI04G18010.1 pep chromosome:OR_W1943:4:20161195:20164317:1 gene:ORUFI04G18010 transcript:ORUFI04G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEHPHQITYTTTTTTSTSSLCPRRRKRGDDEAAHHLVFPMDLDSAAAAAAAAAHQQQQQQQTTSQDKLKALAYEYGHEFRVFSSVTFESMTSNLPAADQEEDDDFYELQPADYFNLVSNRIGALSKTMKLTAEQSKVLKTRKMREAELAAQRAKIKKAVMRVRFPDGYILEADFHPSETVQSLMDFLKKVISRPDLPFYLYTVPPKKRIKDTSLDFYTIGFVPGANVYFSYDLPEGSELNTDSVKSGPYLREEIRMLDGLPIVQEPVHQPIDSTMNSSSAHQSDVSQSDFAPPANKKPAKPKWFKR >ORUFI04G18020.1 pep chromosome:OR_W1943:4:20168994:20178149:1 gene:ORUFI04G18020 transcript:ORUFI04G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSSPRSRRSSFNSLSRDLELPSEQGCLSVIVLGASGDLAKKKTFPALFHLFAQGFIQSGEVHIFGYARSNLSDDGLRERIRGYLKGASEEHLSDFLQHIKYVSGSYDSGEGFEKLNKEISEYEKSNKSESPRRLFYLALPPSVYPSVCKMIRTYCMNPSGWTRVIVEKPFGKDLDSAEELSAQLGELFDENQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIDNIQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKHDEVVLGQYEGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALSSRKAEVRVQFKDVPGDIFKCKRQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQNVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDEGKVKSIPYQPGSRGPKEADELSERVGYMQTHGYIWIPPTLA >ORUFI04G18030.1 pep chromosome:OR_W1943:4:20175981:20181131:-1 gene:ORUFI04G18030 transcript:ORUFI04G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEDKMTSKRNLFISLDDDKQNEGFRLQVTHGVPEPKGRGCKILLGNRISPSDLARTARMLCRREEEGAINPSAAMENGGGDVPENANDHCPGTQSEAAGKADACAGCPNQQICATAPKGPDPDLLAIIERMNTVKHKILVLSGKGGVGKSTFSAQLSFALAEMDHQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQIAGIDGAIIVTTPQQVSLIDVKKEINFCKKVGVPVLGVVENMSGLRQAFSDMKFVKPSEAGETDATEWALNYIKEKAPELLSVVACSEVFDSSKGGAEKMCQEMEVPFLGKVPMDPQLCKAAEEGRSCFTDQKCSASAPALKSIIKKLVKTK >ORUFI04G18030.2 pep chromosome:OR_W1943:4:20175981:20181131:-1 gene:ORUFI04G18030 transcript:ORUFI04G18030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEDKMTSKRNLFISLDDDKQNEGFRLQVTHGVPEPKGRGCKILLGNRISPSDLARTARMLCRVSPRREEEGAINPSAAMENGGGDVPENANDHCPGTQSEAAGKADACAGCPNQQICATAPKGPDPDLLAIIERMNTVKHKILVLSGKGGVGKSTFSAQLSFALAEMDHQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQIAGIDGAIIVTTPQQVSLIDVKKEINFCKKVGVPVLGVVENMSGLRQAFSDMKFVKPSEAGETDATEWALNYIKEKAPELLSVVACSEVFDSSKGGAEKMCQEMEVPFLGKVPMDPQLCKAAEEGRSCFTDQKCSASAPALKSIIKKLVKTK >ORUFI04G18030.3 pep chromosome:OR_W1943:4:20175981:20181585:-1 gene:ORUFI04G18030 transcript:ORUFI04G18030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAHPRVDRVPPSDRSPSCLHRAAIRSSSITLTHGVPEPKGRGCKILLGNRISPSDLARTARMLCRVSPRREEEGAINPSAAMENGGGDVPENANDHCPGTQSEAAGKADACAGCPNQQICATAPKGPDPDLLAIIERMNTVKHKILVLSGKGGVGKSTFSAQLSFALAEMDHQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQIAGIDGAIIVTTPQQVSLIDVKKEINFCKKVGVPVLGVVENMSGLRQAFSDMKFVKPSEAGETDATEWALNYIKEKAPELLSVVACSEVFDSSKGGAEKMCQEMEVPFLGKVPMDPQLCKAAEEGRSCFTDQKCSASAPALKSIIKKLVKTK >ORUFI04G18030.4 pep chromosome:OR_W1943:4:20175981:20177957:-1 gene:ORUFI04G18030 transcript:ORUFI04G18030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGDVPENANDHCPGTQSEAAGKADACAGCPNQQICATAPKGPDPDLLAIIERMNTVKHKILVLSGKGGVGKSTFSAQLSFALAEMDHQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQIAGIDGAIIVTTPQQVSLIDVKKEINFCKKVGVPVLGVVENMSGLRQAFSDMKFVKPSEAGETDATEWALNYIKEKAPELLSVVACSEVFDSSKGGAEKMCQEMEVPFLGKVPMDPQLCKAAEEGRSCFTDQKCSASAPALKSIIKKLVKTK >ORUFI04G18040.1 pep chromosome:OR_W1943:4:20180401:20180812:1 gene:ORUFI04G18040 transcript:ORUFI04G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSLFSNECRSLPKYVSLTLRVFGDELYICMKYFSQDIDSENNGLNTLFTTAAQQHNKNFS >ORUFI04G18050.1 pep chromosome:OR_W1943:4:20185077:20186057:1 gene:ORUFI04G18050 transcript:ORUFI04G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHCSVPYLFRILLPIPTAFRSTTRVRLTSPAFLPSRRNFEGYIPQSCSGSSLQIYSRSSLLSLSPSSALMVSSQLNSSDVAQRSEEWFALRKDKLTTSTFSTALGFWAGNRRSELWSEKVFGSTEIKLEDAARSAMNWGTVNESVAIEQYTSITGRSVGSLGFAVHTEANFGWLGASPDGVLGCDPDGGILEVKCPYNKGKPELALPWRAMPYYYMPQVQGLMEIMGRDWVELYCWTPNGSSLFRVPRDRGYWELIHEVLRDFWWGNVMPARELVLLGKEAEARSFEPQPKHRSTNLVIFRSRKLASEAKLLCKDIGGHVEFFP >ORUFI04G18060.1 pep chromosome:OR_W1943:4:20187955:20190113:-1 gene:ORUFI04G18060 transcript:ORUFI04G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNYSSCSLTSSFLMNEDCAGMMCGCGCWSEEASPLSSGGVNSLWWDELEFELELEEEEEFDPVDLLPTDPFGMNLETTFTAAIASCIEDLTVMSSAGRFGDSRDDAVFADLSYYLNKAFVLSPEFQFGGYRGVFEGPLGFGGLSAGEGDSFGFMKNPSSSGNADDSFGFVETPPTSGNAALECGDAVEVVPVQEGGVPHEGMLFALDYLGLRDILSVERVCKTLHSAVRNEPLLWKSIHIEGDLRQRISDAGLLHLTQKCPDTLQCLSIACCVNITDQGLKAVLESNPRLTKLSILGCPRLTLDALISNLKSFNTKAVFGIKHLRVGTLFSLRKEQYEELLSLLNTDKTQEVHNRGPRFLHANRFLSDCNDGYALDIEMCPICQNYKLVYDCPDEGCDDRRSGNCKGCTVCILRCYECGRCVDKLAFKESFSLDWVCPNCQEKKDLSPPMK >ORUFI04G18070.1 pep chromosome:OR_W1943:4:20197216:20201751:1 gene:ORUFI04G18070 transcript:ORUFI04G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSRVGAALPRARPRAALRCSGKTSPAERPPLPTHSPPIPNPEVPDACTERRKAPQRRACRPIQRRPAPARLGTAIPQDESTTRRLPVSVAASLVEESQGTTQKGTQGDYRIDLISPWRRVCVVDVKTPTLLLWTEVSLLATAGRSACLHRRPTA >ORUFI04G18080.1 pep chromosome:OR_W1943:4:20201850:20203588:1 gene:ORUFI04G18080 transcript:ORUFI04G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPRAPGDRKRCRRASGPVPRWASLPEDLVDLVASRLLAGGDLLDYVRFRAVCTSWRSGTASPRGRGVADRRFHPRRWMMLPEGHGLYPGHPSLRGYARFLNLDTGTLVRARIPLLRDGYVAIDSVDGLLLLLLDPDPNQEGAVRLLHPFTGDTAELPPLGTVLPHLGSRLLDCPAPYRIRSLARVVCASVSCSATGAGAGAITVLLALSVVSRVAFATSLDRQWSLSTYECVILSSPIASHGKIYLMHTDRSCGEKMHQILRIDHPPAAAQDGSGSGAGRALQEPKLVATIPARKLDHFQGLVECGSEILVLGYKNWSTSRISVFKLADLVLQRFMPIKSIGGHTLFIGERNISVSSKILPTVKGDNLVYLNSGLVKYHLSSGSLSLAIDNCSLYGRAPGPSSLVHYIYSCCIRNRWSRGLICRKDAPEWLVQD >ORUFI04G18090.1 pep chromosome:OR_W1943:4:20203993:20209409:1 gene:ORUFI04G18090 transcript:ORUFI04G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSGRAGPNVHRIFPESPRFSPSPLPHCCHHRSGHLPHRRLPLRARCHSPSPAPPAAAMLLSGPSPQQPTPPLLLPESSGEDGGHDSSSRAAASGGGGGPKKRAETWVQDETLCLIALRREMDSHFNTSKSNKHLWEAISARMREQGFDRSPTMCTDKWRNLLKEFKKARSHARGGGGGGVGGGGAGTGGGNCPAKMACYKEIDDLLKRRGKPTGGGGAAVGSGAVKSPTVTSKIDSYLQFDKGFEDASIPFGPVEASGRSLLSVEDRLEPDSHPLALTADAVATNGVNPWNWRDTSTNGGDNQVTFGGRVILVKWGDYTKRIGIDGTADAIKEAIKSAFGLRTRRAFWLEDEDEVVRSLDRDMPVGTYTLHLDTGMTIKLYMFENDEVRTEDKTFYTEEDFRDFLSRRGWTLLREYSGYRIADTLDDLRPGVIYEGMRSLGD >ORUFI04G18100.1 pep chromosome:OR_W1943:4:20209368:20210598:-1 gene:ORUFI04G18100 transcript:ORUFI04G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTASKDIITLRGSAAIVSEFFGYAANSILYNRGVYPEESFTKVKKYGLTMLLTQDEGVKTFIANLNTQLSEWLEAGKLQRIVLVIMSKATSEVLERWNFSIQTDPEVVDKGVIKEKSDKEIMREIQAIMRQVASCITYLPCLDEPCIFDVLAYTDMDVAVPFTWVESDAKLIENPQMVKLHSFDTKIHKVDTLVSYKVDEWDEE >ORUFI04G18100.2 pep chromosome:OR_W1943:4:20209368:20210598:-1 gene:ORUFI04G18100 transcript:ORUFI04G18100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTASKDIITLRGSAAIVSEFFGYAANRCALRAVAGARIDGRRCADADSGLISDPGCFVFLVCAWRSILYNRGVYPEESFTKVKKYGLTMLLTQDEGVKTFIANLNTQLSEWLEAGKLQRIVLVIMSKATSEVLERWNFSIQTDPEVVDKGVIKEKSDKEIMREIQAIMRQVASCITYLPCLDEPCIFDVLAYTDMDVAVPFTWVESDAKLIENPQMVKLHSFDTKIHKVDTLVSYKVDEWDEE >ORUFI04G18110.1 pep chromosome:OR_W1943:4:20213071:20216846:1 gene:ORUFI04G18110 transcript:ORUFI04G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHHEVKVKDSKTLLFGEKEVTVFGCRNPEEIPWGETGAEFVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKPDIDIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKPASYDQIKAAIKEESEGKLKGILGYVEEDLVSTDFQGDNRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSSRVVDLIRHMYNTQ >ORUFI04G18120.1 pep chromosome:OR_W1943:4:20217200:20217769:-1 gene:ORUFI04G18120 transcript:ORUFI04G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLSDLPDDVLLLILDKLDTRDAVRCSLLSRRWSRVPGMLANIELDVDSFAPDPDDDPDDGFTSTLSESARSNHAMVRAVQSILAAHESRHAIRRLGLSFFSRDKSVGIVRAVDDAMARGRRIHDLWFTVSSEKPELLCAGRDVARQGARLASYRDKYPRVFAGLTRLHVECVKLGAARVSAVSEMI >ORUFI04G18130.1 pep chromosome:OR_W1943:4:20220233:20227566:1 gene:ORUFI04G18130 transcript:ORUFI04G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPPHAADSDSEGSLLSDVSASPPRRRSPPRPAPPPPPPPPPPKHRTRPAAPTKPKLKPTPPAASAPAPAPPPPPTLRAAALSDPHGLAARIAAGSALTAASGTASSSSFRRLVQSRRAPASSAPSEVPSAAPRPPPTAATDAPPQTRPKRVHPNSVSEVAAASAAAEQPKRARGGSEGNFVRLNINGYGRRRTFKNSQAKRSTKCRSWRRQRAAGATPRSQGDEEGDLVAEALLEREKQAASDSVLEAVESVREDPSEQNLKSLLNAAYGHDSFRQGQLEAIQQIVAGESTMLVLPTGAGKSLCYQVPAMILPGLTLVVSPLLSLMVDQLRKLPAFLPGGLLASSQTSDEFHDTLQRLRAGEIKVLFVSPERFLNEEFLLIFRDTLPISLVAIDEAHCISEWSHNFRPSYLRLRASLLRRKLNVQCILAMTATATTQTLEEIMNALEIPSDNLIQTSQIRENLQLSISTSDNRLKDLMLLLKSPPFVDMRSIIVYCKFQAETDFVSKYLCDNNITAKSYHSGLLIKNRSRVQELFCSNKIRVVVATVAFGMGLDKSDVEGVIHYSLPESLEEYIQETGRAGRDGRLSHCHLLLDSATFYKIRSLSHSDGVDGYAMSKFLYQIFSSENTTGCICSLAKELTSRKFDIKEEVLLTILTQLEIGDQQYIRLLPQFSVTCTLYFHKTSPQLLADKDILIRSVLNRSEMKDGHYVFDIPRIANDLKITMNEVFDHLHKLKFSGEISFELKDPAYCYVILWRPDDFNALSANLTKWLSEVESSKISKLDAMFALANFAVKGCKRTGGCSGSQHTPCIQKKIMEYFSKDDGTSENDCRTQLQKSSPFLQADIKVFIQSNSFAKFTPRAVARIMHGISSPAFPSVTWSKNHFWGRYVEVDFPLVMEAAKAELARKEGVAVSILLQRKLLLGMRVSTPGTLSRRAG >ORUFI04G18130.2 pep chromosome:OR_W1943:4:20220233:20227566:1 gene:ORUFI04G18130 transcript:ORUFI04G18130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPPHAADSDSEGSLLSDVSASPPRRRSPPRPAPPPPPPPPPPKHRTRPAAPTKPKLKPTPPAASAPAPAPPPPPTLRAAALSDPHGLAARIAAGSALTAASGTASSSSFRRLVQSRRAPASSAPSEVPSAAPRPPPTAATDAPPQTRPKRVHPNSVSEVAAASAAAEQPKRARGGSEGNFVRLNINGYGRRRTFKNSQAKRSTKCRSWRRQRAAGATPRSQGDEEGDLVAEALLEREKQAASDSVLEAVESVREDPSEQNLKSLLNAAYGHDSFRQGQLEAIQQIVAGESTMLVLPTGAGKSLCYQVPAMILPGLTLVVSPLLSLMVDQLRKLPAFLPGGLLASSQTSDEFHDTLQRLRAGEIKVLFVSPERFLNEEFLLIFRDTLPISLVAIDEAHCISEWSHNFRPSYLRLRASLLRRKLNVQCILAMTATATTQTLEEIMNALEIPSDNLIQTSQIRENLQLSISTSDNRLKDLMLLLKSPPFVDMRSIIVYCKFQAETDFVSKYLCDNNITAKSYHSGLLIKNRSRVQELFCSNKIRVVVATVAFGMGLDKSDVEGVIHYSLPESLEEYIQETGRAGRDGRLSHCHLLLDSATFYKIRSLSHSDGVDGYAMSKFLYQIFSSENTTGCICSLAKELTSRKFDIKEEVLLTILTQLEIGDQQYIRLLPQFSVTCTLSEMKDGHYVFDIPRIANDLKITMNEVFDHLHKLKFSGEISFELKDPAYCYVILWRPDDFNALSANLTKWLSEVESSKISKLDAMFALANFAVKGCKRTGGCSGSQHTPCIQKKIMEYFSKDDGTSENDCRTQLQKSSPFLQADIKVFIQSNSFAKFTPRAVARIMHGISSPAFPSVTWSKNHFWGRYVEVDFPLVMEAAKAELARKEGVAVSILLQRKLLLGMRVSTPGTLSRRAG >ORUFI04G18130.3 pep chromosome:OR_W1943:4:20220233:20227566:1 gene:ORUFI04G18130 transcript:ORUFI04G18130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPPHAADSDSEGSLLSDVSASPPRRRSPPRPAPPPPPPPPPPKHRTRPAAPTKPKLKPTPPAASAPAPAPPPPPTLRAAALSDPHGLAARIAAGSALTAASGTASSSSFRRLVQSRRAPASSAPSEVPSAAPRPPPTAATDAPPQTRPKRVHPNSVSEVAAASAAAEQPKRARGGSEGNFVRLNINGYGRRRTFKNSQAKRSTKCRSWRRQRAAGATPRSQGDEEGDLVAEALLEREKQAASDSVLEAVESVREDPSEQNLKSLLNAAYGHDSFRQGQLEAIQQIVAGESTMLVLPTGAGKSLCYQVPAMILPGLTLVVSPLLSLMVDQLRKLPAFLPGGLLASSQTSDEFHDTLQRLRAGEIKVLFVSPERFLNEEFLLIFRDTLPISLVAIDEAHCISEWSHNFRPSYLRLRASLLRRKLNVQCILAMTATATTQTLEEIMNALEIPSDNLIQTSQIRENLQLSISTSDNRLKDLMLLLKSPPFVDMRSIIVYCKFQAETDFVSKYLCDNNITAKSYHSGLLIKNRSRVQELFCSNKIRVVVATVAFGMGLDKSDVEGVIHYSLPESLEEYIQETGRAGRDGRLSHCHLLLDSATFYKIRSLSHSDGVDGYAMSKFLYQIFSSENTTGCICSLAKELTSRKFDIKEEVLLTILTQLEIGDQQYIRLLPQFSVTCTLYFHKFSGEISFELKDPAYCYVILWRPDDFNALSANLTKWLSEVESSKISKLDAMFALANFAVKGCKRTGGCSGSQHTPCIQKKIMEYFSKDDGTSENDCRTQLQKSSPFLQADIKVFIQSNSFAKFTPRAVARIMHGISSPAFPSVTWSKNHFWGRYVEVDFPLVMEAAKAELARKEGVAVSILLQRKLLLGMRVSTPGTLSRRAG >ORUFI04G18140.1 pep chromosome:OR_W1943:4:20228834:20233434:1 gene:ORUFI04G18140 transcript:ORUFI04G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVVNYPLVAALVAFALAQSSKFFTTWFKEKRWDARQLIASGGMPSSHSATVTALAVAIGIQEGYRSATFATSVIIACVVMHDAFGVRLHAGKQAEVLNQIVYELPEEHPLSETKPLREILGHTVPQNF >ORUFI04G18150.1 pep chromosome:OR_W1943:4:20233653:20235792:-1 gene:ORUFI04G18150 transcript:ORUFI04G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNAAAPPCPCYDTPEGVDILGRYDPEFAAILTRDSLAFVAGLQREFRGAVRYAMERRREAQRRYDAGELPRFDPATRPVREAGGWACAPVPPAIADRTVEITGPAEPRKMVINALNSGAKVFMADFEDALSPTWENLMRGQVNLRDAVAGTITYRDAARGREYRLGDRPATLFVRPRGWHLPEAHVLVDGEPAIGCLVDFGLYFFHSHAAFRSGQGAGFGPFFYLPKMEHSREARIWKGVFERAEKEAGIGRGSIRATVLVETLPAVFQMEEILHELRDHSAGLNCGRWDYIFSYVKTFRARPDRLLPDRALVGMAQHFMRSYSHLLIQTCHRRGVHAMGGMAAQIPIKDDAAANEAALELVRKDKLREVRAGHDGTWAAHPGLIPAIREVFEGHLGGRPNQIDAAAGDAARAGVAVTEEDLLQPPRGARTVEGLRHNTRVGVQYVAAWLSGSGSVPLYNLMEDAATAEISRVQNWQWLRHGAVLDAGGVEVRATPELLARVVEEEMARVEAEVGAERFRRGRYAEAGRIFSRQCTAPELDDFLTLDAYNLIVVHHPGASSPCKL >ORUFI04G18150.2 pep chromosome:OR_W1943:4:20233651:20235792:-1 gene:ORUFI04G18150 transcript:ORUFI04G18150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNAAAPPCPCYDTPEGVDILGRYDPEFAAILTRDSLAFVAGLQREFRGAVRYAMERRREAQRRYDAGELPRFDPATRPVREAGGWACAPVPPAIADRTVEITGPAEPRKMVINALNSGAKVFMADFEDALSPTWENLMRGQVNLRDAVAGTITYRDAARGREYRLGDRPATLFVRPRGWHLPEAHVLVDGEPAIGCLVDFGLYFFHSHAAFRSGQGAGFGPFFYLPKMEHSREARIWKGVFERAEKEAGIGRGSIRATVLVETLPAVFQMEEILHELRDHSAGLNCGRWDYIFSYVKTFRARPDRLLPDRALVGMAQHFMRSYSHLLIQTCHRRGVHAMGGMAAQIPIKDDAAANEAALELVRKDKLREVRAGHDGTWAAHPGLIPAIREVFEGHLGGRPNQIDAAAGDAARAGVAVTEEDLLQPPRGARTVEGLRHNTRVGVQYVAAWLSGSGSVPLYNLMEDAATAEISRVQNWQWLRHGAVLDAGGVEVRATPELLARVVEEEMARVEAEVGAERFRRGRYAEAGRIFSRQCTAPELDDFLTLDAYNLIVVHHPGASSPCKL >ORUFI04G18160.1 pep chromosome:OR_W1943:4:20238445:20241751:-1 gene:ORUFI04G18160 transcript:ORUFI04G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKLRLANWQIAQANSHMLAERNLGRDRVRSWLSDHFVNPVNISAPGHYCSTSSAFKIKEHV >ORUFI04G18170.1 pep chromosome:OR_W1943:4:20241764:20242258:-1 gene:ORUFI04G18170 transcript:ORUFI04G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVFAPPPDRHPGTTSGGGGGGRRRCTGEDGREWRELDIQGRGCNEEVHPWTMTDRRTRNLWGSLRRGTRS >ORUFI04G18180.1 pep chromosome:OR_W1943:4:20246457:20250735:1 gene:ORUFI04G18180 transcript:ORUFI04G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAATAAAPKAPPPKHLIALAVVAILGLVLVADFLWASSSPAVPAWSSRIDLPGRPAALVPPSGKKQTKEKISIGSTDINATFADLPAPELQWEEMAEAPVPRLDGAAMQIKNLLYVFAGYGTINHVHSHVDIYNFSDNTWGGRFDMPKEMAHSHLGMVTDGRYVYVVTGQYGPQCRGPTARNFVLDTETKEWHDLPPLPVPRYAPATQLWRGRLHVMGGSKEDRHEPGLEHWSIAVKDGKALENEWRSEVPIPRGGPHRACVVANDKLLVIGGQEGDFMAKPGSPIFKCVRRSEVVYSNVYMLDDGMKWKEFPPMPKPDSHIEFAWVNVNNSLIIAGGTTEKHPITKKMVLVGEVFRFNLNTLEWTVIGRLPFRIKTTLVGYWDGWLYFTSGQRDKGPKDPSPKKVVGCMWRTKLHLMTDFYAILYRESEIIDLLFSDWSVTDTFALELL >ORUFI04G18190.1 pep chromosome:OR_W1943:4:20255006:20256874:1 gene:ORUFI04G18190 transcript:ORUFI04G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPPLLLLLAVLLAAAPAAAQSATPREDDVRCLKEVKAELRDPDGRLSAWSFGNTSAGALCLLSGVSCWNPQESRIIGLSLSGFGLQGGIPSALQFCSAATTLDLSNNALVGVIPPALCDWIPFVVNLDLSGNQLSGQLPSELANCRFLNSLKLSGNSFSGQIPDSLGRLDRLKSLDLSDNRLDGQIPPQLATFGKDSFAGNKGLCGRPVSSRCGRALSGAGLGIVIAAGVFGAAASLLLAFFFWRCTGKSKGGRRRRRGGSESGGGSAEDGSWWAERLRAAHNRLAPVSLFQKPIVKVKLADLMAATQDFSTSHIVVAGSSRAGTAYRAVLRDGSALTVKRLHSCPLSEKAFRAEMGRVGQLRHPNIVPLLGFCVVEDERLLVYKHMESGALSSVMKEPGEAPLDWATRLRIAVGAARGLAWLHHGFQVPQIHQNLSSSAVLLDEDYEARFTDVGLTRLVRMAPGEGGDTSPFLNGDFGEYGYVAPECASNPVATMKGDVYAFGVILLELVSGQEAATVTGDAAGEGFKGTLVDWVNQLKASGRIGDAVHKSLRGNGHDSEIDEFVKIAFACIMVHPRERFSMYRVYHSLKSIGQGRDVSEQFDEFPLAYNKDESDTM >ORUFI04G18200.1 pep chromosome:OR_W1943:4:20259662:20262152:-1 gene:ORUFI04G18200 transcript:ORUFI04G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEETSRLFRIRRTVMQMLRDRGYLVTELDIDLPRGDFVARFGDPVDRDHLVFSRHKKDNGADQIYVFFPKDAKPGVKTIRSYVERMKQESVFNGILVVQQALSAFARSAVQEVSQKFHLEVFQEAELLVNIKDHTLVPEHELLTPEQKKTLLERYTVKETQLPRIQITDPIARYYGMKRGQVVKIIRASETAGRYVTYRYVV >ORUFI04G18210.1 pep chromosome:OR_W1943:4:20263631:20264488:-1 gene:ORUFI04G18210 transcript:ORUFI04G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIGWHLPEPPSSTVRSVIDGGGAHHPRLISSQVGQPARPTSPSPLPPRHRHRHIPKAEAVQGERQSPRHGSMELSASALGFGLCLDPHPVGGVRRRLRRGGGRHTSRSDCRRRLGYVPRPAHFPVSGVGGGLRGFLHRAPPGSARNDKGCARRHKTASSSATSAEPMDEGEADLLQFLFVTSGVSWGGIVGVVVAVNASVPAARVWMLPGVTTLDRELGGDDCSMCQYDKDAGAVVRTLSCDHVFHKACIDVWLREHGMACRLCRRTASCVLPWKTGGRRRHG >ORUFI04G18220.1 pep chromosome:OR_W1943:4:20266266:20267410:1 gene:ORUFI04G18220 transcript:ORUFI04G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESTKIKYCRADSGSGSKPLSAETKTRLMADGKKIDEEKKDGTAVRRVLFWFAVLVIRFALLMAMHYYHDVTSSRCDGARAGGARTGRSALSRVRGRRRGGGTPDTRPYCDSGTACLDFRKGGCNHGDACEFAHGVFDNSSADLA >ORUFI04G18230.1 pep chromosome:OR_W1943:4:20270409:20271002:-1 gene:ORUFI04G18230 transcript:ORUFI04G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGFIAIFVAFGLINLGLHLYERAPGWLVWMLGGVTTLDRALGDCSMCRYGMVAGDVVRTLSCGHVFHKDCDYSVDKWLREHGLSCPECRKKARSVRVLPWRARPQQPLPEEQNPPPQETSASSSSSSSTHVRIAPEEPGDLDLEAQDQLLPPPATGSPKGPEEQHPPRPAAATSSSSADTSSLEEPLLRPSASP >ORUFI04G18240.1 pep chromosome:OR_W1943:4:20273023:20273607:1 gene:ORUFI04G18240 transcript:ORUFI04G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGAMASLVFLHLLCAGWRMLRMWTAAGWPWPYLAAGRRIWTLGGVRILDQALRPQCKLCKQKMMAGAVVRALSCDHVFHKACVDERLRNRKHGMRCRICNRVARCMLPWKASPANLIDHNAQRFQHIRARGGVRTLDRALNDACPICQHRMVARGDVRTLSGGHDFHEDCDIAKWLRDNKKA >ORUFI04G18250.1 pep chromosome:OR_W1943:4:20278356:20284868:1 gene:ORUFI04G18250 transcript:ORUFI04G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEARERELDAEASKRSKEQQHHHHPSGRHQRGDSDPRCEADRRRDGGRSRGGRELSNGYGHRRSPPPRSRLSARLGDREPGEVLSGSASDDSGGRPHRARENGVSSSSRDGESVVAASASSPSKKRKFSPIIWDRDSPKPMHSDVAKGKKAVDSVPTELPPPPPPPLPPQDHIPERLAVEKSPMDVEPAVASESPEQLQEHAESRVMEEEEEYSTMRNISTSRWAGANDDEEEGAPHRKKKSASPADSAELGQRKKALSPELGEVVASDISGGRTMSRSSDSGRLGADENEDLEVDKDDYMDVDRDDDGNSDIANHQSGMDSEYEVRRSETPEPVKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMEAMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNNLLTYDPEKRLSADAALQHEWFREVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >ORUFI04G18250.2 pep chromosome:OR_W1943:4:20278356:20284868:1 gene:ORUFI04G18250 transcript:ORUFI04G18250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEARERELDAEASKRSKEQQHHHHPSGRHQRGDSDPRCEADRRRDGGRSRGGRELSNGYGHRRSPPPRSRLSARLGDREPGEVLSGSASDDSGGRPHRARENGVSSSSRDGESVVAASASSPSKKRKFSPIIWDRDSPKPMHSDVAKGKKAVDSVPTELPPPPPPPLPPQDHIPERLAVEKSPMDVEPAVASESPEQLQEHAESRVMEEEEEYSTMRNISTSRWAGANDDEEEGAPHRKKKSASPADSAELGQRKKALSPELGEVVASDISGGRTMSRSSDSGRLGADENEDLEVDKDDYMDVDRDDDGNSDIANHQSGMDSEYEVRRSETPEPVKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMEAMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNNLLTYDPEKRLSADAALQHEWFREVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >ORUFI04G18250.3 pep chromosome:OR_W1943:4:20278356:20284868:1 gene:ORUFI04G18250 transcript:ORUFI04G18250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEARERELDAEASKRSKEQQHHHHPSGRHQRGDSDPRCEADRRRDGGRSRGGRELSNGYGHRRSPPPRSRLSARLGDREPGEVLSGSASDDSGGRPHRARENGVSSSSRDGESVVAASASSPSKKRKFSPIIWDRDSPKPMHSDVAKGKKAVDSVPTELPPPPPPPLPPQDHIPERLAVEKSPMDVEPAVASESPEQLQEHAESRVMEEEEEYSTMRNISTSRWAGANDDEEEGAPHRKKKSASPADSAELGQRKKALSPELGEVVASDISGGRTMSRSSDSGRLGADENEDLEVDKDDYMDVDRDDDGNSDIANHQSGMDSEYEVRRSETPEPVKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMEAMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNNLLTYDPEKRLSADAALQHEWFREVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >ORUFI04G18250.4 pep chromosome:OR_W1943:4:20278356:20282724:1 gene:ORUFI04G18250 transcript:ORUFI04G18250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEARERELDAEASKRSKEQQHHHHPSGRHQRGDSDPRCEADRRRDGGRSRGGRELSNGYGHRRSPPPRSRLSARLGDREPGEVLSGSASDDSGGRPHRARENGVSSSSRDGESVVAASASSPSKKRKFSPIIWDRDSPKPMHSDVAKGKKAVDSVPTELPPPPPPPLPPQDHIPERLAVEKSPMDVEPAVASESPEQLQEHAESRVMEEEEEYSTMRNISTSRWAGANDDEEEGAPHRKKKSASPADSAELGQRKKALSPELGEVVASDISGGRTMSRSSDSGRLGADENEDLEVDKDDYMDVDRDDDGNSDIANHQSGMDSEYEVRRSETPEPVKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMEAMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNNLLTYDPEKRLSADAALQHEWFREVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >ORUFI04G18260.1 pep chromosome:OR_W1943:4:20286144:20293109:1 gene:ORUFI04G18260 transcript:ORUFI04G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSKVLLSKKGVLGTVWVAAVSGVAALSRDQVVRTNVVACVDKILPDDNDKTTYRVLGLLLLGIVRIYSKKVEYLCHECNELLGSYGSAHCNELSIPTGGATNRVSKQAKKPVRARRLVVRQEGAYKVKIPMQAARTTRAETRATSQIAEVRDTHATPDLPTFTIPKRFELDSFDLGIPEDRDDDDVDHHQLPHQGTMLEDENHHTSCLFESYKMMTCSYADLDSACIMPVRVTIPTEMMSVISEVNSLLCLSSIGGEPENHNAESACFTPVKDILPPEMVDTMAEVNDPSDKSTRGKKPQRELNRDENGNSACHIPLSGSKEVQIPENIVENVTFPSRDANCPTIEESENGSLHGTNTNPSCDGFEEPGSLEQPTLRCKTKLINELSPSTPEPMTEGGTGLPCSPKFMVTTPAKKEKHRVTRKRRRGLYNKDYIPTDRGDKRKVRRRGTWVLYDENIVLPNETLRNTIEDASDLVQQRRKAPHACLYTWKEGKIHPTSVYVRHTITADTPENSCRESVKSRRRLSLELSESNNICDDAKNVEGESIPDEPRKRKLDELTDSVQATVGCYTESAQYHNDEDYRFNDDTVKEKDFSIGGHESHSTELQERLNALKSKNPQLDEALDADIDSMEEDTHMDEQHARDEGLLRSTRTRTVARYFHQLLVDQKCQQRNNSVCLGQALEGTKRKTSARFFYETLILKSGSLIEVNQEQTYGDIIVSATPRLEAALRSSEKQ >ORUFI04G18270.1 pep chromosome:OR_W1943:4:20295702:20303473:1 gene:ORUFI04G18270 transcript:ORUFI04G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSLRLRALLASPAPLPARALLAAHALLLTSGLAADSALLAHFARHLASAAARSSSSAAAASAFRALLLLRPRCAHPFNALISSLTHAGDPSAAFRAFALLLVASGAGAGARPDGYTLPAALKACARLGGGLREGCQAHTVAEKAGFLGRVPVQNALVTFYGACGQCGDARKVFDEMAERDVVSWTALLSAFTRGGMFMEALGVLAEMDVTPNEVTLASALVACGKLGTARAGKAVHGWYFRREKELNLIVGNALLDMYVKCEKLDLARRVFDMLLARDIVSWTVMISGLVQCKRPSEALEVFNAMQISGVKPDKVVLSTVLSACASLGALESGRWVHEYIERKGIEWDVHVGTSVVDMYVKCGCLDNAVSIFQEMPLKNVSSWNALINGFALHGRGREALDCFDRMVASGLHPNEVTFITVLGACCHSGLVQEGRQLFELMTKSYKLSPWEDHYGCMVDLLGRAGLIQEAYDVIKAMPMRPGVFTWVTLLSACQAHGRMDFSQQILMHIHELESFGNGIYVLLSNMYAVSDRWADVGKARGFMNEKGMQKEPGSSVIEVNGKTCEFLVGQQNHLYMDDEYACGADGLYIKRTQKAEIDKAKAYYIYS >ORUFI04G18270.2 pep chromosome:OR_W1943:4:20302553:20302853:1 gene:ORUFI04G18270 transcript:ORUFI04G18270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLLLSTTVAISPVDEGKCSTKPQRLPAAIGLLWVRKQHQGFWVFQIRKQRKRLALRIRKRPLVSDICVDDTCFIECMPFFFFSTVAVDLST >ORUFI04G18270.3 pep chromosome:OR_W1943:4:20301891:20303473:1 gene:ORUFI04G18270 transcript:ORUFI04G18270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLLLSTTVAISPVDEGKCSTKPQRLPAAIGLLWVRKQHQGFWVFQIRKQRKRLALRIRKRPLVCAFGIQIRYMRRRHLLHRVYALLLLQHCCS >ORUFI04G18280.1 pep chromosome:OR_W1943:4:20298199:20300043:-1 gene:ORUFI04G18280 transcript:ORUFI04G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDILDPFNKSASLKVLYNNKELTNGSELKPSQVANEPRIEIAGRDIRNLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPESANASYGNEVVSYESPKPTAGIHRFVFILFRQSVQQTIYAPGWRPNFNTRDFSALYNLGPPVAAVFFNCQRENGCGGRRYIR >ORUFI04G18290.1 pep chromosome:OR_W1943:4:20303263:20309030:-1 gene:ORUFI04G18290 transcript:ORUFI04G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRAAAVATLRASLRRTCSHAAGDSEDPLLGLVEPPAPPQPPPRSRLGPRDFEFLREPAAAAAAGALPPPEAVLISKAIRAFGADFDGKAERVLRRCRGFLTDSVVVAVLGAVRDAPELCARFFLWAERQVGYSHTGACYDALADALGFDGRARDAERLLREIGEEDREVLGRLLNVLVRRCCRGGMWNEALEELGRLKDFGYRPSKVTYNALVQVLSSAGQVDLGFRVQKEMSESGFCMDRFTVGCFAHALCKEGRWADALDMIEREDFKLDTVLCTHMISGLMEASYFDEAMSFLHRMRCNSCIPNVVTYRTLLSGFLKKKQLGWCKRIINMMMTEGCNPNPSLFNSLVHSYCNEKDYAYAYKLLNRMTTCGCPPGYVVYNIFIGSICGQEKLPSPDLVDLAEKIYGEMLAANCVLNKVNVANFARCLCGVGKFDKAFQLIKEMMRKGFVPDTSTYSKVITFLCHATKVEKAFLLFQEMKMVGVTPDVYTYTVLIDSFCKAGLIEQAQCWFEEMRSVGCSPTVVTYTALIHAYLKAKQVPQANDIFHRMVDAGCRPNDVTYGALVDGLCKAGNISKAFEVYAKLIGTSDSADSDFYFPCEDRHTLAPNVVTYGALVDGLCKAHKVDHAHELLDAMLSSGCEPNHIVYDALIDGFCKAGKIDSAQEVFLQMTKCGYLPSVHTYTSLIDRMFKDGRLDLAMKVLSQMLKDSCTPNVVTYTAMIDGLCRIGESEKALKLLSLMEERGCSPNVVTYTALIDGLGKAGKIDLSLDLFTQMSRKGCSPNYVTYRVLINHLCAAGLLDKARLLLGEMKQTYWPKYLQGYRCAIQGFSKSFIASLGILEEMESYGTVPIAPVYGMLIDCFSKAGRLEIAMELHKEMMEVPSSVKTDNDMYASLIQALCLASQVEEAFRLYSEMTRRGFVPELSVFVCLIKGLVEVKKWDEALQLCYGICHEFFRGIDSASYKTPVLFDDEA >ORUFI04G18300.1 pep chromosome:OR_W1943:4:20309731:20311773:1 gene:ORUFI04G18300 transcript:ORUFI04G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLCGAVLGKLLVPRNCLCPFTIPVNDDGVFSDWHFSMACASKTISIGFANSGLYGEARLLSPSYKNYPRRSSYKFIKVRAVQGNDGRRRLVDIIRTIPELSRNYFRSRSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYVTKFYYSRPKVTFPFALLNNFKMGFTYGLFIDAFKLAS >ORUFI04G18310.1 pep chromosome:OR_W1943:4:20322985:20324486:-1 gene:ORUFI04G18310 transcript:ORUFI04G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMAPSPPEPALPRELSLGDLRAVSMLGRGAKGVVFHVVPAAAGEEEASMALKAVSREAARHKKNGSGGEDGHRRIWFERDVLMSLRHPLLPSLRGVLATDAVVGFAIDRCGGGDLNSLRRRQTEKMFSDSVIRFYAAELVLALDYLHSLGIVYRDLKPENVLIQDSGHIMLVDFDLSTRLPTPPPPPEEQDATIADSMPEPPPSSPSPNRAKGKRQPGAASCFPFCSVGATKPAASADSPSPTSTSRTASASSSSSSSTATTASSSTAAGVRSPAKSNSFVGTEDYVAPEIIAGSGHDFSVDWWGLGVVLYEMLYGRTPFRGLNRKETFYRVLSKQPELVGEKTPLRDLIARLLEKDPEKRIGARGIKAHPFFNGVDWDRILRVARPPFIPPPPEDEDEAGEVLDVEKVVNEVFAANDGGAAAGVVEKPSPEAGGTLAVGDGEQRRDPSKEGDFSVFF >ORUFI04G18320.1 pep chromosome:OR_W1943:4:20332993:20333249:1 gene:ORUFI04G18320 transcript:ORUFI04G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMLEVEKESSVAVEEVSVGQRAEEERGGGGQWSGKVMDALSKITSGQRNGEKKGKIKWEGLTVGPF >ORUFI04G18330.1 pep chromosome:OR_W1943:4:20338040:20346402:-1 gene:ORUFI04G18330 transcript:ORUFI04G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPLLPILATRGPRPPLLLPHLRRLLFPLLPRRRRAPSSPPPPPASRRRAAAAAAMAGAGDPVAAAAVAAASSSAGAAAASFRLGMVRVVSFLVGGLNVAVLLLGLYLIDGVLPPGCGGGLALAAAPALAGIRVLAMIGTARAQHATADAIARRHLDEAAASVAADAVARHEIRVRYKRWLWWTRFGMAVGAMQLVGAIYLMLVIVRDISNERRATSCFFGQDEADHVSKRALIALFLILSWVVVVVQCFTGSDILRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAKTVLEEDEVYSVARLLGDLVAYRASGTGHLELLAGLALLQKNENLPHLQTDLTEAPLNLMQEAAILHPFAEACYTGPLLDVGRNPILFPCAWVYRQGVLTPWSRRRRPALDGDNWWRGHAAAFLRFVHIPAAALLRGRVCQSKREAAYFVVVLHDKKTVVIGVRGTETPEDLITDGLCRECAFTMEDLDGLVKCEQLPATTRERVISTFPHYGHGGILESARELFMQLNDCTGDNSSSLTVGFLPALVGEGSECHGYKIRVVGHSLGGSVATVLGMMLFGRYPNVHVYAYGPLPCVDFVIAEACSQFVTTIVCNDEFSSRLSINSILRLRSAAIRALSDNSPADTAMIQKLARRILNVNKYHDNGPDGGIMEDYRRAVSTERRFPHQDAPCTSEPDLPILQNGINGYNGSNSSIDVMSSQGLHTDCDAQTISLHGLDSGSEQRHTSYRDIPVEPPEMFLPGLVVHIERQRRSLFPLWKCWSLQGSEPPYKAFFAKRENFTDLAVTPSMFTDHLPWREFWKRKRQQVVLIHR >ORUFI04G18330.2 pep chromosome:OR_W1943:4:20338040:20346402:-1 gene:ORUFI04G18330 transcript:ORUFI04G18330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPLLPILATRGPRPPLLLPHLRRLLFPLLPRRRRAPSSPPPPPASRRRAAAAAAMAGAGDPVAAAAVAAASSSAGAAAASFRLGMVRVVSFLVGGLNVAVLLLGLYLIDGVLPPGCGGGLALAAAPALAGIRVLAMIGTARAQHATADAIARRHLDEAAASVAADAVARHEIRVRYKRWLWWTRFGMAVGAMQLVGAIYLMLVIVRDISNERRATSCFFGQDEADHVSKRALIALFLILSWVVVVVQCFTGSDILRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAKTVLEEDEVYSVARLLGDLVAYRASGTGHLELLAGLALLQKNENLPHLQTDLTEAPLNLMQEAAILHPFAEACYTGPLLDVGRNPILFPCAWVYRQGVLTPWSRRRRPALDGDNWWRGHAAAFLRFVHIPAAALLRGRVCQSKREAAYFVVVLHDKKTVVIGVRGTETPEDLITDGLCRECAFTMEDLDGLVKCEQLPATTRERVISTFPHYGHGGILESARELFMQLNDCTGDNSSSLTVGFLPALVGEGSECHGYKIRVVGHSLGGSVATVLGMMLFGRYPNVHVYAYGPLPCVDFVIAEACSQFVTTIVCNDEFSSRLSINSILRLRSAAIRALSDNSPADTAMIQKLARRILNVNKYHDNGPDGRAVSTERRFPHQDAPCTSEPDLPILQNGINGYNGSNSSIDVMSSQGLHTDCDAQTISLHGLDSGSEQRHTSYRDIPVEPPEMFLPGLVVHIERQRRSLFPLWKCWSLQGSEPPYKAFFAKRENFTDLAVTPSMFTDHLPWREFWKRKRQQVVLIHR >ORUFI04G18340.1 pep chromosome:OR_W1943:4:20350330:20350726:-1 gene:ORUFI04G18340 transcript:ORUFI04G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPFRIRGVRRMSAALAAVNVAVAAAGAAAEWVGVTERCGRREEAAVGAAVALAAVRIVAMVGTARAQEVTALAVVSAGGSGGGGEGPTVEFAKRETRLRV >ORUFI04G18350.1 pep chromosome:OR_W1943:4:20364623:20372528:1 gene:ORUFI04G18350 transcript:ORUFI04G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAPSRAPQRQQRGGEMSARYGGGLQFFADAPPAGVEGGAATARTFFPVPGGGGEQQPPERAMRQQHYGGGGSGAAEISLGHGHGHGGKHHFHQFGVEAKDGGGGGDQSGFLTRHNSSPPGFFSSPVMDNGFSSSARPAGSSLGEVRHGAMSSSSNNKKKMKAPLSFASSRQGSGGLSQISEDGIPDLTDSIHGAAHHHGRSEENVSTHDHVVRSFSSGGFSIGSWEDSNSIVFSTSTGKSGAHGNDDIIATLSNYESQLVAPREMAGVEKYLQMQHDQVPFRVRAKRGCATHPRSIAERERRTRISEKLRKLQALVPNMDKQTSTSDMLDLAVDHIKGLQSQLQTLKEDKEKCTCSCKQASRNRPAD >ORUFI04G18350.2 pep chromosome:OR_W1943:4:20368124:20372528:1 gene:ORUFI04G18350 transcript:ORUFI04G18350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGSFSSSARPAGSSLGEVRHGAMSSSSNNKKKMKAPLSFASSRQGSGGLSQISEDGIPDLTDSIHGAAHHHGRSEENVSTHDHVVRSFSSGGFSIGSWEDSNSIVFSTSTGKSGAHGNDDIIATLSNYESQLVAPREMAGVEKYLQMQHDQVPFRVRAKRGCATHPRSIAERERRTRISEKLRKLQALVPNMDKQTSTSDMLDLAVDHIKGLQSQLQTLKEDKEKCTCSCKQASRNRPAD >ORUFI04G18350.3 pep chromosome:OR_W1943:4:20364623:20365649:1 gene:ORUFI04G18350 transcript:ORUFI04G18350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAPSRAPQRQQRGGEMSARYGGGLQFFADAPPAGVEGGAATARTFFPVPGGGGEQQPPERAMRQQHYGGGGSGAAEISLGHGHGHGGKHHFHQFGVEAKDGGGGGDQSGFLTRHNSSPPGFFSSPVMDNGYTYISSCAM >ORUFI04G18360.1 pep chromosome:OR_W1943:4:20375246:20383045:1 gene:ORUFI04G18360 transcript:ORUFI04G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEVDGNFIGTGNWKLHGALCKQLHKVVLEVLDVIPVLEATRPGNSSGLLALSSLRIAVEKAKNLLQYCSECSKLYLAFTAENVLAKFEKARYALLESLHQLEETLPEAASSQILDIAKDLEKAVFTLDLIEKQAGVDVNQLVQNEAKSNGFLHDNELEFFRQTAFRVGVASSATALTERRALRRLLERAHAEEDIKKESVASYLLHLMRKYSSIFRSETTDFTNTSMCSSPSCSSRSLSSSIDLHGNGHVIEKSISRVGSFNLRQIKGLSGSMPLPPEELRCPISLQLMHDPVIIASGQTYERACIEKWFSSGNTTCPKTRNELSQLSMTPNYCIKGLIASWCEQNGVLVPSAPPDSPKLKYLRISSLNSSKCLVTNGVSTVLFEDTCAEDDIKDGGKVASEECTRQNSGEAPSEICEVDQASPEKHPHENSEKVAEATCELWLRVLSKDDDECVDEQREVIEQIRFLLKDDNELRKYAGANGITELLIHFVKKAVCRDDVQCQVVGTMALFNLAVSNDRNKKQLLSGGVLPLMEQMIQKPETYEAAVAMYLNISCLAEAQAIIGQSEAAPLLIKGLQGDGFRMSKTCCLDALLTLYNLSLQSSNIPTLISSGIMQSLHDVLTPSSPTTEKALAVLINLALTRAGKKEIMADSDMVGAIVVILENGDPAEKEKAVSCLWIICSGDDGGSQMVLQEGVIPALVSLTANGTGKTKDKAQRLLLLFRGKRQREVEQLQPRVQLHEVVSQATAQHEEQQQQQQEESSEPGSDKMSRLRNSKSKLRRFTRALARLLKKWGIR >ORUFI04G18370.1 pep chromosome:OR_W1943:4:20384252:20392523:1 gene:ORUFI04G18370 transcript:ORUFI04G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPATTFSSSSSSSPSRAHARAPTRFAVAASARAARFRPARAMAASDDPRGGRSVAVVGAGVSGLAAAYRLRKRGVQVTVFEAADRAGGKIRTNSEGGFIWDEGANTMTESELEASRLIDDLGLQGKQQYPNSQHKRYIVKDGAPTLIPSDPIALMKSTVLSTKSKLKLFLEPFLYEKSSRRTSGKVSDEHLSERDNQVVDYLIDPFVAGTSGGDPESLSIRHAFPALWNLENKYGSIIAGAILSKLSTKGDSVKTGGALPGKGRNKRVSFSFHGGMQSLIDALHNEVGDGNVKLGTEVLSLACCCDGVSSSGGWSISVDSKDAKGKDLRKNQSFDAVIMTAPLSNVQRMKFTKGGVPFVLDFLPKVDYLPLSLMVTAFKKEDVKKPLEGFGALIPYKEQQKHGLKTLGRAILKQLVTSDLRKLLGVEGQPTFVKHVHWRNAFPLYGQNYDLVLEAIAKMENNLPGFFYAGKSMKAPLLYKRNLRYLKHIPVD >ORUFI04G18380.1 pep chromosome:OR_W1943:4:20399679:20407169:1 gene:ORUFI04G18380 transcript:ORUFI04G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRPAAAIAGGRQVWPVAEDHHRQLRDEAEAEAASQRLVEAVARGDAREAGELLASGRADVNYAGVVWLKARRVAEAALRDGAAAELRAAHEEIRADVSPLFLAAGNGDAALVRALLAKGADVNGKVFRGYPATAAAREGRAEVAALLVRAGASQPACEEAVVEAALQGQAALAVIFMGSDLVRPRVAVHALVSAAARGFVDVVDSLIKCGADPNATSRVLLRSLKPSLHANVDCTALFAAIVSRQIAVVRQLLQAGVKRDTKVRLGAWSWDTATGEELRVGAGLADPYDAVWCAVEYYESTGAILRMLLQNGYSSGATHLGRNLLHHAVLCGSAGAVQTLLASGVDHEVAVKTSRSSRSRPVHMAARLGQPEILEMLIGKGCDVNARAEGGDVAAILAARHKREDCLRILVSAGADVALLNSAGESAASVACSGGWKAGFERAVLGVIRSGTIPRSSDRNVFSPMMFTARCGDAAAMEVLLAQPDVDVDEQDVDGCSPIMAAAKEGNVDAFRALVFAGANVKLSNKRGETAIGLAQQSKKRDLFEQVMLEFALEKGMPGGFYALHCASRRGDTAAVRHLASAGCDVNIPDGDGYTPLMLAAREGHAAVCELLISYGARCDTRTPRGETALSLARATAAFNKAEDVIMDELGRQLVLGGAHVKKHTKCGRGKPHGKSLRMVAAAGVLRWGGSGRRNVVCREAELGGSSAFQLHRQRRGCDAYEPGLFRVATATGREVHFVCQGGEEEAELWVRGIRAVTRAVYGKRGKE >ORUFI04G18390.1 pep chromosome:OR_W1943:4:20407855:20410302:-1 gene:ORUFI04G18390 transcript:ORUFI04G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLRRGAALAARSIRAAAASSASTSVHRLPSVGSLAGARELAPTKLFLLEARRGFAKGKKSKDDGRGDTVQDAPDIGPTVKSAATQQMEAAVVALSRELSMLDHIMVETTGVKVALNRLAVVSVLDAHTLSVMPYDPSSMKSIEHAIISSPLGINPTPDGNRIIANIPPLTKENIQALCKVVTKSAEDFKQSIRRARQKALDTIKKSASGMPKDDVKRLEKEVEELTKKFIKSADDMCKAKEKEISGN >ORUFI04G18400.1 pep chromosome:OR_W1943:4:20414397:20417768:1 gene:ORUFI04G18400 transcript:ORUFI04G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMGLWESVRSLFGEGGNGCLPRIGKKESEDLYSYPVDHDKRKGADRAAAEEVVTVEVPEVPVRELNEITNSFSNENLIGQGSYAKVYRVLMRGARPAVVKKLEKPSKHASNVVFLKQLSVASRLKHENFVRLLGYTISGDLRVLVYEYAAMGTLHDVLHGPRDGQGWGGEAKAVVSWEQRVRIALDAARGLEYLHEKVQPAVTHKDVRSTNVLLFEGFRAKIADYNMFSQAADMARLNRSTHTLGSFGYQAPEYVHARTHTSPADLCLAMADRSRHGFLVVGLGRRTILLKFSLVWGCSRYAMTGQMNDKSDVYSFGIVLLELLTGRKPLDRTLPQGQRSLVNWATPILTEDRVQDCIDPNLGDKYPPTGALKLGRIAVQCLQYDPTFRPSMGTVARVINYAVVRDQQGVV >ORUFI04G18410.1 pep chromosome:OR_W1943:4:20417783:20423786:-1 gene:ORUFI04G18410 transcript:ORUFI04G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLTNFSSQTAARKKSYYKYKREQEKSRTSQTKKKKEKERNVNKIHAKRTPRLRRPPAPLPLPPSSRRNLTKSGKRAKEEGEENPAATARSPRAAPGGGVRSGSLDRADPDRGHQIRPARVPAPSAQAALDPAARPPPPSPVACKGIRGHGYRLEEMQRNGVMECSVCHSKVVAPSPRSVSRAYDKHRSKISSKYRALNFLLVSGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVTKVIFAIVMLIIQSRKQKVGEKPLLSLSTFVQAARNNALLAVPALLYAINNYLKFIMQLYFSPATVKMLSNLKVLVIAILLKFIMRRKFSIIQWEALALLLIGISVNQLSSIPDGTESFGLAVTTIAYIYTLIFVTVPSLASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILGTVIFQGPESFDILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTVNFLLGISIVFISMHQFFSPLAKVKDDKPAGALEPEDAQNHRSSDSSFVNMTAGAADDVGIEEILFAMNHTEFM >ORUFI04G18410.2 pep chromosome:OR_W1943:4:20417783:20423786:-1 gene:ORUFI04G18410 transcript:ORUFI04G18410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLTNFSSQTAARKKSYYKYKREQEKSRTSQTKKKKEKERNVNKIHAKRTPRLRRPPAPLPLPPSSRRNLTKSGKRAKEEGEENPAATARSPRAAPGGGVRSGSLDRADPDRGHQIRPARVPAPSAQAALDPAARPPPPSPVACKGIRGHGYRLEEMQRNGVMECSVCHSKVVAPSPRSVSRAYDKHRSKISSKYRALNFLLVSGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVTKVIFAIVMLIIQSRKQKVGEKPLLSLSTFVQAARNNALLAVPALLYAINNYLKFIMQLYFSPATVKMLSNLKVLVIAILLKFIMRRKFSIIQWEALALLLIGISVNQLSSIPDGTESFGLAVTTIAYIYTLIFVTVPSLASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILGTVIFQDTILKKYSSTVATIFTGLASAAFLGHTLTVNFLLGISIVFISMHQFFSPLAKVKDDKPAGALEPEDAQNHRSSDSSFVNMTAGAADDVGIEEILFAMNHTEFM >ORUFI04G18420.1 pep chromosome:OR_W1943:4:20427497:20429479:1 gene:ORUFI04G18420 transcript:ORUFI04G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLTYLQSLWPLSALLREDDLRASARLLRGVPVPEETKQFVLALRDREPGSGSRGGVIYILAAQNLSERSASDADSLIRRVRPAAVVTQLAHTAADDVRAEEECLEGGGAGGVPASPFQVIKRCVTEKRSKDQYVKAAACQVLQEIFGVGFYGHVLAAKRAAEETGSCFLLLESPYERNCNGGASGGQSTMEEGSGQQLASRCSLAQSSTDDGTGGQSQGSCLLTQSTSSIVSSHVRKICLVDDIGGQLVKSLAPTVNLLMSQAISSDGVSECKLAECKPSDRYEAPPFAQTVYPLLADLYDIFVDIPSIGKAMASAQELLRQVHDGKPISTEMLSDVYVFRIAIEALRIGLNNAGRSHIDTRDNHGSKKLDFSELNSEEKCHILLVQALRSQVREFGSVVAVVDASCLAGIRRHWDTPVPSEIAQLASSCFKQYGNKNDSEDNELPSSVDSTDKKSWVAEKPVVVVGAGGTAILGFSSLSKTVQASAFLKLAPYKTPVVLKYGLIQLQRHASIVLSKVLSNGVFSASSNASVLQFTASSEKIRAVTHTVISSAKRTSLMAMRTSFYEIMQKRQKQPFRITPWATFGCSMAACAGLLMHGDGIECAAEVAPSVPMIATLGRGLEILRLTSQEVRQTRGQHIKEALGALMSNLKKTAK >ORUFI04G18430.1 pep chromosome:OR_W1943:4:20430249:20432490:-1 gene:ORUFI04G18430 transcript:ORUFI04G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRASPTFLPSTSAASPSPQQAPSSPTFGRSQYRRGGGLVSVSSPVAGQRCAARRRSVMAAAGAVPAAKLEDADALIDSVETFIFDCDGVIWKGDKLIDGVPETLDMLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLQSIDFPKDKKVYVIGEDGILKELELAGFQYLGGPSDGDKKIELKPGFYMEHDKDVTTIPTLVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAILGSTKQEPLVVGKPSTFMMDYLAKKFGITTSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSVQMLQSPDNSIQPDFYTNQISDFLTLKAATV >ORUFI04G18440.1 pep chromosome:OR_W1943:4:20436526:20438319:1 gene:ORUFI04G18440 transcript:ORUFI04G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLADDLAAVERGGHMVPSKAAGVDGDGEPRRTGTMWTASAHIITAVIGSGVLSLAWGVAQLGWVAGPAVMLLFGVVIYCCSVLLVECYRTGDPYTGQRNRTYMDAVRANLGGTKVRLCGVLQFANFFGVCVGITIASSISMLYRHDQREACGGSSRPYMVVYGALQVVFSQIPNLHKMWWLSTLASAMSLSYSAIGIALGVAQIVVLDMFEIEFAANGGIRGTITGVFVGAGAGVTSMQKDTVKPVAPPSTETKVMRKAVAVSVATTTAVYLMCGCVGYAAFGNDSPDNLLTGFGFFEPFWLLDLANAGVVVHLVGTYQVVAQPVFAFLDGRAAAGAWPGSAALGKRRRVLRVGSLAEIEVSPFRLAWRTAFVCVTTAASTLLPFFGSMVGLIGAASFWPLTVYFPVEMYIAQRRVPRGSAQWLSLQALSAGCLVVSVAASAGSIAGVVEAFKAHNPFCWTC >ORUFI04G18450.1 pep chromosome:OR_W1943:4:20440488:20443616:-1 gene:ORUFI04G18450 transcript:ORUFI04G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKLYAESAQEQGKLLCIESLLQDLHTLFRMVHRKISGINDSALLMISANNEQYHQLLQRLWSLTLDIDDMLNKVSCYLTKTRVLSIQVHSSFILRRLPFRRRIVHKIKQSIVELQECYAQTYRIRFPAKHRDISTPMVCQGAHSIRPEGILGREKEVDDVLTMMQADHGKAGLSVLPITGMAGIGKTTLAQLVFSHPWAVKTFGDDRIWVVVSSSFDDMIILSRLAEFLNTRQCNTVDSESLQCLVKQRLCGRKFLIVLDDVWGQNLQKWKLLIEVLESAKSGSKMIVTSRVPDVVTMTNSLRPYTLKRLLPIDSSNLLTQWMQNSAELPPRLIPIRKMIADTCCGVPSLLLSASNKLKSIRKTEVAWQHVLSRFDLVFYADPLLLDATYVSYQQLPSNIQQCFLYCSLFPVHSFTPEQLTGMFVADDLIKLSSSKSDMHMYFSKIMTEHYYDVMQKPRHKAYAIYKMHPGMQLLAQMISRGFHLAIDARKELVWPVENAKKSARCLSLLVDSKTTELPTELFEMGNLRTLILLRDEKMLLSDKKCSITDIPEEFCKCLIDMRVLHMQSCRIKRVPKLIGMLKKLAYLNLSHNDIEIIPDSICNLQFLKNFNLSRTEIAELPESVGKMQALQVLDLSHCEKLLHLHESVSNLVNLQILNLEGCHYLAILPRSMKNLKSLAYLNVLECPLLTQMPCQMNQLRNLEILPRYIAAENHEHTISELRPLVSLKELSICNMENASFDDARNVILQKKNRLVSLALSWTGSCTDPMISSKAQQILELLKPNRGLKVLCIFSCPAKKLPSWITSMPAYLKSLTEIKLVNLACECLPPLGQLPLLKIVELSGINAVTRVGDEFYGDDGTFASLEKLSFFHMRNLEIWLPSQREAIFPNLQELTITQCPKFRAVHVKLPVVKSLIMLLNNDKLIGSRGALEGFSQNLKSLSVSLCDGLLECSECEGLRELRGIEELHISRCTELISLPHGMQHLSFLRTLTITECTNLETFPEWLKNFTSLRSLHISSCPKLHIPKSLNNLSNLEISLE >ORUFI04G18460.1 pep chromosome:OR_W1943:4:20444351:20447107:1 gene:ORUFI04G18460 transcript:ORUFI04G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHRSIEKDVFEPLVMRISEIAQHYFGTVGSSETGEKGPTVPEFPEKIKWEVQHLEELFEGIKEDKEEVYEGFKSVSLAISEWQRRLAIAYQNAARDPRPFEGMKWAMEYHEMWVEDNNIIGAGDEILDFDEHELFESLRYVKTAQGGSEAHLLESIKSGMQCIKNVLATIRSRKEADNRSWCIVEQVFSPLLKLLKTINHLVSEAAARNNKSENYKILVKIDAEVNCLQDALDLIDRNKNEVYENFRLIEDLILPLLTFLKATYNDQSESLSFLDAVKHGVNYLEGVLDKIEQKQRDGNDNFHIVKAAFSPLLTCMYTFRRISLETLAHEDKSDAFILLDRIRDDLSQLKDVLQMVQEKENGIYSNFDAIEEHIDEIYDGHMNVEGSLKLNQMGGLRDKLQLIHEEITNIRGKVDDSFKVQEVSCHVMRMAAAHEASSSHQLSASNTFCITMESAQMWQLKVIIDELETRLRHCLLCLAVFPVDAIIKKRLLIHWWIGECFVTSVSEGKSFFNKLLLSNGFITPVKKYHCDKVHSCKVQPWIRGLLIEAAKSKAFVELSSDGSSRNDFTRTRRACLHAGKILTNFHPDVLTIYNIKQQYVELNKTWFSEKNRLTTLQLGQWHDASYDPRAHHVEINNAKFLKQVKSCKQLKYLSLRGISRIEALPNSIGKLSRLVILDLKACHNLEDLPKEIVKLVKLEYLDVSDCYLLSGMPKGLGKLFQLEVLKGFVLSNAKSKDLCHLNELVMLKKLRKLSIRIGYSIDSGQFANFGELCALRSLTLIWGAHPISTHGSSPSHAAPHAMPCVLPLGLEKLELRCFPLVELPHWVSPEKLRKLKKLYISGGNISDLGDLKSWEVTVLRLRFLKHMNYSWTALHDSFRKLDVLEAHECENLQPWPSCGKGLWRKEPNGTIAPVLT >ORUFI04G18470.1 pep chromosome:OR_W1943:4:20446778:20447632:-1 gene:ORUFI04G18470 transcript:ORUFI04G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNFTICNICRLQQDEVDCNTVWPDQTGSNSAPYWTQLNTSGQHRTNPVRQFYKGKTTELQLLKT >ORUFI04G18480.1 pep chromosome:OR_W1943:4:20447826:20448378:1 gene:ORUFI04G18480 transcript:ORUFI04G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSLQRPRRFIMAPIASCGSGGISRCYTAATTAATMASGGVRLRRRGFVLCAVDHHGVRRAVVGGQFVRKSELRRQDELLVSLHELVGVFRELQRKLGFRQWDEFRRAQPELDVLLRFQGKRYRSRIYGEPMPDFDDAVHAAVRAPRIGDRRVCSRCSSCDCWYSDLANLHCLVRKFV >ORUFI04G18490.1 pep chromosome:OR_W1943:4:20448826:20468618:-1 gene:ORUFI04G18490 transcript:ORUFI04G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPRRANALGCLPRRLCMSMADGGWRLCGLEGKPDRQKVLSVVTNMENIPNMLNLVTYLHGTMHMGVSSSATTVTNFIGATSGFALLGAFLSDSYITRSRTILLFGPLEFLALGLLALQAYLPSLHPPPCNIEAELSNCEEVHGFNTVILHIGLYTWAFSEGCIRACTPSLGADQFDHEDPSESRQQSSFFNWFTFGISLGGFIGLILIVWLENYKGWDIGFGNRKYELPEKLEEAQENRNGLDSIEVPRPTNFLKFLDKASINHGEDGAWSVCSTMKVEETKIVLRMLPLFISSMIGYISNPLLLTFTVQQGSMTNTRLGKIHISPATLFVIPITFQMLMLAVYDRFLVPFMRKRTGYACGITHLQRVGLGFASMIVASAVAAVVERKRKEAAVQMSLFWLAPQFFLLGVSDVTSFVGLLEFFNSEAPKDMKSIGTALFWCELGLASWMGTFLVELVNKATRHGHQRGWLEGTSLNNSHLDLFYWVVAVIGLLGFLNYLYWAKKYAYRHNPRMVTPSADQDSPCKAKVDWLDLVVDHFDSNTLHLDIVTSSSLITYLVGAVSFFTALMNILSNAYIKPTTAIFVFSPFVVLGYMLLALQAHLPSLHPPICEINKDPSKCEPAQGWNLTLLYLSLLMFAIGEGCMRDCVPALGEDQFSNDDPEASHLRSNFLSWLKSANSLGALIGLVFLVWIEKNLGWDIGFLLCALIVIVGLLIAASGLPFYGMRKLNGSPLTRILQVLVTSSKKRQAAVIDVIELQEISTSDHVDEDGEDKCDSKNICTTRVDEKTEAITRMLPIFISCIFAYLPFTLLMTLTIQVGSTMDSGIGMIQIPSASLIAIPTTFHMLMQPCYRRILIPLLRIFTGHTNGITPLQHIGVASACGIMAACIAMLVEAKRLMVVEQQGLTLVADGVPMSVFWLVMQFFLLSIMDIAYIGGLVQFIKSEAPEAKHIAPAVQSLLVGIAAWSGCAFVQLVNRMTRLGDNGRGWLDGTNFNRTRLDRFFLLLATFELVAFINYAFWARRYANKKRSEAFWTGGEILLIERCMEESEQHVLTVVTSTVNVPNMLNMVTYLHGTMHMGISSSSTTVTNVLGATSGFALLGAFLSDSYITRARTILLFGPLEFLGYGLLALQAYLPSLRPPPCNAEAEVSSCREVHGRNAVLLYAALYISAFGDGFMRACMPPLGADQFDHEDPSESRQQSSFFNWYTFGISFGGFIGLILIVWLENSKGWDVGFGVCAFLILLGLLVVAAGLPLYRNHVPEGSPLTRILQVLVVAFKNRKLQLPEKLEEAQEERSTEQGGSTEVTEIASQTNSSLKFLDKACINGGKDGAWSVCSTKNVEETKAVLRVLPVFISSLIGYMSNPLLFTFTVQQGGLTNTRLGRIHVSPATLFIIPSAFQMALLPVYDRFLVPLLRRRTGYASGVTHLQRVGAGFAAVILASAIAAVVERKRRADAAAAGQMSLFWLAPQFFLLGVSDVTSFPGLLELFSSEAPRGMKSIASALFWCELGLSSWLATLLVQVVNRATRRHGGGGGGGGWLEGATLNTSRLDLFYWVVAAVGLLGFVNYLYWASRYIYRQDPRVVVDVEPSADHDSP >ORUFI04G18500.1 pep chromosome:OR_W1943:4:20470024:20473406:-1 gene:ORUFI04G18500 transcript:ORUFI04G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDLSMPGSSGLLDDVGGKKHMNFFSNRYVLALTGAAGIGGFLFGYDTGVISGALLYIRDDFPAVRDNYFLQETIVSMALVGAIIGAAGGGWINDTYGRRKSTLVADMLFALGSLVMCAAGGPYILILGRLLVGLGVGIASVTAPVYIAEAAPSEIRGGLVSTNVLMITGGQFFSYLINLGFTEVPGTWRWMLGVAAVPAILQFVLMLFLPESPRWLFWKDEKAKAISVLEKIYDSDRLEEEVELLASSSMHEFQSDGTGSYLDIFKSKELRLAFFAGAGLQAFQQFTGINTVMYYSPTIVQMAGFTSNKLALLLSLIVAGMNAAGTIVGIYLIDRCGRRRLALTSLAGVVVSLAILAMAFILQSSSDICSNALNGACQGALGWFAVAGLALYIAFFSPGMGPVPWAVNSEIYPEAYRGMCGGMSATVNWVSNLIVAQTFLSIVGLVGTGLTFLIIAGIAVLAFIFVALYVPETKGLSFEQVELLWKERAWGNQGNRQSLLGAAP >ORUFI04G18510.1 pep chromosome:OR_W1943:4:20483578:20491169:1 gene:ORUFI04G18510 transcript:ORUFI04G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMLDANDSADDDFYSGGEAGLGGSDDGDADYDFADHDSDDSAELLSHRQQQNYSILSEADIQQRQEDDINRVSTVLSISKSEACVLLRNYNWSVSKVHDEWFADEEHVRKVVGFPEKLIEMPNDRELTCGICFENCPRTSMSAAACGHPFCSACWRGCLMLRCPDPSCTAAVGQDMINSLADDEDREKYGRYLRRSYIEDNRKTKWCPAPGCEYAVEFVMGSGSYDVNCNCSYGFCWNCTEEAHRPVDCATVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSEHGERTGGFYACNRYEAARQEGAYDESERRREMAKNSLERYTHYYERWAANQSSRQKALGDLLSLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGFYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLEAESPSKDFNDFRTKLAGLTSVTRNYFENLVRALETGLNDVGPSTSHGTCSKSATSKSLGSKSKSSKSRASSTSSKTGGSSRGVDESNIWTCDQCTYANPRSARNCQACNNQHR >ORUFI04G18510.2 pep chromosome:OR_W1943:4:20483578:20491169:1 gene:ORUFI04G18510 transcript:ORUFI04G18510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMLDANDSADDDFYSGGEAGLGGSDDGDADYDFADHDSDDSAELLSHRQQQNYSILSEADIQQRQEDDINRVSTVLSISKSEACVLLRNYNWSVSKVHDEWFADEEHVRKVVGFPEKLIEMPNDRELYACEPGFFEKKMDRCLMLRCPDPSCTAAVGQDMINSLADDEDREKYGRYLRRSYIEDNRKTKWCPAPGCEYAVEFVMGSGSYDVNCNCSYGFCWNCTEEAHRPVDCATVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSEHGERTGGFYACNRYEAARQEGAYDESERRREMAKNSLERYTHYYERWAANQSSRQKALGDLLSLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGFYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLEAESPSKDFNDFRTKLAGLTSVTRNYFENLVRALETGLNDVGPSTSHGTCSKSATSKSLGSKSKSSKSRASSTSSKTGGSSRGVDESNIWTCDQCTYANPRSARNCQACNNQHR >ORUFI04G18520.1 pep chromosome:OR_W1943:4:20491717:20492424:1 gene:ORUFI04G18520 transcript:ORUFI04G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVMSMLLASSLLAAASAARADHHSPAYAPYPHHHAPWPARAQSPSAPDHGAHGHHAPAPAPVHADQPAQAPEWHHHAPAPAPVRDDKPSPSHHHHHHGHHHHRHATATAPAHAPSSHHDRHAPAPVHSSWPWPAHAPAPAPAVIHGTNSHLAPAPAPSSHVQYSPAPTPGDGRHQSPPPPPSPPSADEGAQAPSYYGHYPSPAPAPAQESSSAAVAFAGGAGVLAVTAVALLL >ORUFI04G18530.1 pep chromosome:OR_W1943:4:20495601:20506478:1 gene:ORUFI04G18530 transcript:ORUFI04G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPEEKLRCTKEPFIEDVGTRRIKSIRFSMFSGNEVRQSAEVQVWNSRIYNHEMKPVPNGLLDTRMGAANKLGECSTCHGSFAECPGHFGYLKLALPVFNVGFFNCILDVLKCICKSCSRVLLMEKDRLEFLKKMRNPKADPLQKSAIMKKVRDKCKLSRVAKKGRAGLIILHDCSKTLDGSTEELRDALSHKKEKLSISAVRMLDPAIVLSLFKRMTDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVFVAGGRMSNEDSITVILKSIANTNSILKENLQTGGQFMKIAGNIFNFKLLNISTVTHPLFPSHNIEALFSDSKGRQADFVVAIPILMARVLTYPERVSYYNIEKLRQCIRNGPHKHPGANFIIQPDGTKLHLKYCDRRIAARDLKYGCVVERHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDNFYDRSSFSLLCSYLGDAMENIDLPTPALIKPIELWTGKQLFSVLVRPNACTKVFLNLTVKEKIYKTPKGSTLEPEAMCPNDGFVYFRNSELLSGQVGKATLGNGNKDGMFSTLVRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNQEKKMKIDGGYKDCHDLIASYSKGALRLQPGCNAAQTLEQSITRVLNEIREEAGKVCMNTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPNGFIDRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGKDGKPLNLDQLFMKVMATCPQRGQNTLSPGEILQILNDKLSEHDASSDDGCSEKFKQLLTYFLEDRIKLLKSTRRALLLDEDHVGERHSSFEESIAANISGISVKQLQVFLDTCLSRYHLKKIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPIITAELLSEKDVLSARIVKGSMEKAVLGEVAEAIKIVLKSSQPNLVVKLDMQRIEALHMGISADSVQLSILNHPKIKLKSEHVRVIDKSKLRIYPAGIDKSKLLYELHHLKSMLPKVIVKGIPTVERAVISETGEENDKRYKLLVEGTNLLAVMGTPGVDAMKTKSNHIMEVNRTLGIEAARRSIIDEIQYTMKSHGMNIDSRHMMLLADLMTYKGEILGITRYGIAKMKSSVLMLASFEKTAEHLFNASYSGREDQIEGVSECIIMGIPMQLGTGILKVRQRLDHLPEFKYQPDPILA >ORUFI04G18530.2 pep chromosome:OR_W1943:4:20495601:20506478:1 gene:ORUFI04G18530 transcript:ORUFI04G18530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPEEKLRCTKEPFIEDVGTRRIKSIRFSMFSGNEVRQSAEVQVWNSRIYNHEMKPVPNGLLDTRMGAANKLGECSTCHGSFAECPGHFGYLKLALPVFNVGFFNCILDVLKCICKQGSSYGERSARVFEENEKSQSRSATEKCYHEKSVAKKGRAGLIILHDCSKTLDGSTEELRDALSHKKEKLSISAVRMLDPAIVLSLFKRMTDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVFVAGGRMSNEDSITVILKSIANTNSILKENLQTGGQFMKIAGNIFNFKLLNISTVTHPLFPSHNIEALFSDSKGRQADFVVAIPILMARVLTYPERVSYYNIEKLRQCIRNGPHKHPGANFIIQPDGTKLHLKYCDRRIAARDLKYGCVVERHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDNFYDRSSFSLLCSYLGDAMENIDLPTPALIKPIELWTGKQLFSVLVRPNACTKVFLNLTVKEKIYKTPKGSTLEPEAMCPNDGFVYFRNSELLSGQVGKATLGNGNKDGMFSTLVRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNQEKKMKIDGGYKDCHDLIASYSKGALRLQPGCNAAQTLEQSITRVLNEIREEAGKVCMNTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPNGFIDRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGKDGKPLNLDQLFMKVMATCPQRGQNTLSPGEILQILNDKLSEHDASSDDGCSEKFKQLLTYFLEDRIKLLKSTRRALLLDEDHVGERHSSFEESIAANISGISVKQLQVFLDTCLSRYHLKKIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPIITAELLSEKDVLSARIVKGSMEKAVLGEVAEAIKIVLKSSQPNLVVKLDMQRIEALHMGISADSVQLSILNHPKIKLKSEHVRVIDKSKLRIYPAGIDKSKLLYELHHLKSMLPKVIVKGIPTVERAVISETGEENDKRYKLLVEGTNLLAVMGTPGVDAMKTKSNHIMEVNRTLGIEAARRSIIDEIQYTMKSHGMNIDSRHMMLLADLMTYKGEILGITRYGIAKMKSSVLMLASFEKTAEHLFNASYSGREDQIEGVSECIIMGIPMQLGTGILKVRQRLDHLPEFKYQPDPILA >ORUFI04G18540.1 pep chromosome:OR_W1943:4:20513728:20533324:1 gene:ORUFI04G18540 transcript:ORUFI04G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSARKKKKKKGGGGRKAAKDHGGQLEGDQAALADELTALGSIFLEDFKVTSESPQTRFTICIRPYSDGMGFGDSNVSATLDVTCFAGYPHKCPKLRVLPEKTLSREDANRLLSLLVDQANIYSREGRVMIFNLVEAAQEFLSEIAPANDSTSMAPWLGSGKVQQTTDVDVKVKLDNGSYHGVAYMHNSFDLYSQLYDGGSWSTQGPDPATDSAGKIVGSQVKSNLKSKRKTIIEKSRVSSDEVNVAKGLLPDNAGQKNIMKHDVIRETVPSLHVVAEETENDSKTVSTSNRENTSGTPERSFSSVHQLEDSDLSDEDWNDEDSGSGSGFSNTPSFDMFDDASWNKKKDLILVHLLRLACASKDSLSASLPAISSELCNIGILSEWAKDLISKSPAVFGETFGHFFGPQMTSSECSLFWRADNSSSRPNSRYLNDFEELRSLGQGGFGRVALCKNKLDGRQYAVKKIRLKDRSPQVNEKILREVATLSRLQHQHVVRYYQAWVETEYGQHHVLNAAGSCSAESSMYSYDNISLSDAGGGNKQESTYLYIQMEYCPRTLRQDFETYTSSFRVDDAWRLFRQIVEGLAHVHSQGIIHRDLTPNNIFFDVRNDIKIGDFGLAKFLKLEQLDHDQYLPSEGMGVSMDGTGQVGTYFYTAPEVEQKWPHINEKVDMYSLGVIFFELWYPFSTAMERHLVLSDLKQKGDSPLSWATQFPGQSNLLRRLLSPSPSDRPSAVELLQNDLPPRMEDEWLTDVLRMIQTPEDTYVYDRVISTIFDEERLIAKTQCQLEGSKKSTCKSDNSELLDSIIEVSKEVFKRHCAKRFQISPLHTLEGKFTENRGKTVKILTQGGEMLELCYELRTPFVMSVAANQILSFKRYEVSWVHRRAVGHSIPYRFLQGDFDIIGGASPIPEAEIVKVTLDVGAHFYDPKAIIIRLNHSKLAEAVCSWAGVPQERRQNVAEFFSSTLVQYWPNKADRKSQWSLIRGQLLQDLRLSEEVVEKLHKADQRFCGSADQVLARLRGTLFYDKSACKALDEISAFLKCLRIWSIEEHITIDVLMPPSECYYTDLFFQIYVKEGNPGSSSHEKLLAIGGRYDWLIEQAWDRTYVSCI >ORUFI04G18550.1 pep chromosome:OR_W1943:4:20537569:20538162:1 gene:ORUFI04G18550 transcript:ORUFI04G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPPASPPPPPKKMSPPGAGAGAGSKKKQQQQADAAELARVFELFDRNGDGRITREELEDSLGKLGIPVPADELAAVIARIDANGDGCVDVEEFGELYRSIMAGGDDSKDGRAKEEEEEEDGDMREAFRVFDANGDGYITVDELGAVLASLGLKQGRTAEECRRMIGQVDRDGDGRVDFHEFLQMMRGGGFAALG >ORUFI04G18560.1 pep chromosome:OR_W1943:4:20541274:20544999:1 gene:ORUFI04G18560 transcript:ORUFI04G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVLLLRRMEKGWRPMSRALYPSPGSTSVAPPHELRAPRRCSPSYTSHKVFHRDVGFFSGWQSYNLQIYCCIHTSRPVNSQNHTIAEPQQKQEDVALVDESGRPKAKRKKLKGRRAVTRFLKSLRWKKKREFQRMTAEEKILYKLKLARNKEERLVAALTKIEPDDPSEPTHDPEVLTPEEHFYFLKMGQKSKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVIVKTFTPDEVKEIASELARLSGGIVLDIQEGNTIIMYRGKNYAQPPPEIMSPKVTLPRKKALDKSKYRERLRALRRYIPRLEQELVDLHAQMKLARDYKGQNAAEDITCISDSVNSTSAKEYSSCSVRKRSVSDLLSESIEGSGRLEDENYEVSADSASESITYSESEDLSDIFETDSEEEQVQESKEQPLYLDKLDKFPSENNDNEPDDFEEHLRKIASLSDRTDSSAKELKVSELDEIDKIFLRASSLLKKR >ORUFI04G18570.1 pep chromosome:OR_W1943:4:20547582:20548582:-1 gene:ORUFI04G18570 transcript:ORUFI04G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQCDACEAAAATVVCCADEAALCARCDVEIHAANKLASKHQRLPLDAALPAALPRCDVCQSREAAAACGWESGDVSLRHWSYVLSSLLLQEKAAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGFSSVCSANADHLPPPAPKGNSKPPASGIAAAAAPKPAVSAAAQEVPSSPFLPPSGWAKGSPIGFKDLEWLDDIDLFHVQSPAKGGSTAAEVPELFASPQPASNMGLYKASGARQSKKPRVEIPDDDEDFFIVPDLG >ORUFI04G18580.1 pep chromosome:OR_W1943:4:20555525:20556463:-1 gene:ORUFI04G18580 transcript:ORUFI04G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTEVANHSKRNHNESYFTGKAAVTSSSEEFGSMTSKKPRNTSPRDAPVSPKACCSSLIFTRLSCSFIFLEKKDKIGERVAALQQLVSPFGKTDTASVLQEASGYIKFLHQQLEVLSSPYMRAPPVPGAAPEDPDHYSLRNRGLCLVPVDQTLQLTQSNGADLWAPANTTRRR >ORUFI04G18590.1 pep chromosome:OR_W1943:4:20569489:20572888:-1 gene:ORUFI04G18590 transcript:ORUFI04G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKHTSQSPDSTSVIPVTAVVGAAERRRRASLHRLPTPPRDGCGGRLPHSSHRSSSGSVPQKRHLITKATTYKPHNGIIVDKVAIGLGSTCKLLHERAKCSYSNRFIKLQEQVYPRLLLVAACHNRIGPVYASSGKGNSERVNDPFSMESLNKAIAGTKKQWPIQDMLIDQISKIRGSGSGGNGGGNKNSHEGSGGGSEDESLTESLYEMVQVLLATIALILMYIHIIRGEELYRLARDYTRYLVTGKRTSRLKRAMLNWHNFCEGITNKDSVQESTFERSTSEPMWWQQPLKT >ORUFI04G18590.2 pep chromosome:OR_W1943:4:20569697:20572888:-1 gene:ORUFI04G18590 transcript:ORUFI04G18590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKHTSQSPDSTSVIPVTAVVGAAERRRRASLHRLPTPPRDGCGGRLPHSSHRSSSGSVPQKRHLITKATTYKPHNGIIVDKVAIGLGSTCKLLHERAKCSYSNRFIKLQEQVYPRLLLVAACHNRIGPVYASSGKGNSERVNDPFSMESLNKAIAGTKKQWPIQDMLIDQISKIRGSGSGGNGGGNKNSHEGSGGGSEDESLTESLYEMVQVLLATIALILMYIHIIRGEELYRLARDYTRYLVTGKRTSRLKRAMLNWHNFCEGITNKDSVQESTFERSTSEPMWWQQPLKFVHRIEELYRGYFRPHAQES >ORUFI04G18600.1 pep chromosome:OR_W1943:4:20574735:20574999:-1 gene:ORUFI04G18600 transcript:ORUFI04G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVATMLALVLGLSRAPPLLRRPGGRAELRLRGELYGYCGLGGDYCGMGCQSGSCYNSNVNGVGGGRKAGVGAMENNNLNN >ORUFI04G18610.1 pep chromosome:OR_W1943:4:20578485:20579642:-1 gene:ORUFI04G18610 transcript:ORUFI04G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPTLTMLVFLAIGLSLVLSAAGVSVESVVTEAFFNGIKNQAPNGCAGKSFYTRQSFLNAARSYSGFANDRTNDDSKREIAAFFAHVTHETGHMCYINEINGANMDYCDKSNKQWPCQPGKKYYGRGPLQISWNFNYGPAGKNIGFDGLRDPDKVAQDPTISFKTALWFWMNNVHQVMSQGFGATIRAINGALECNGKNPGAVNARVNYYKDYCRQFGVSPGGNLYC >ORUFI04G18620.1 pep chromosome:OR_W1943:4:20582139:20582776:1 gene:ORUFI04G18620 transcript:ORUFI04G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVGNSGLAALEGGGAGNPPEPAPHLEAALRHLCVAAGGGAPPPHSVVGKAASCSIDRGARACVLCVKLTVCLDWIIGVENCINQLALFTVRLLLLASIIIVIQDAARLNLQPARRCHRSSPRPLAAATAPAPIIPPVTAAAEGDKKRER >ORUFI04G18630.1 pep chromosome:OR_W1943:4:20583558:20583833:-1 gene:ORUFI04G18630 transcript:ORUFI04G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMATMVALVFGLALLLSAAAPAAAQNCGCQDGYCCSQWGYCGTTEAYCGQGCQSGPCWGSGGEAAAGMAGRKAGAGGVEVPESNNRSR >ORUFI04G18640.1 pep chromosome:OR_W1943:4:20600204:20601134:-1 gene:ORUFI04G18640 transcript:ORUFI04G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFLALGLALLLSATGQASAQNCGCQSNMCCSKWGYCGTGKDYCGDGCRSGPCYGGGGGGGGGGGGGGGGGGGSGVSVESVVTEAFFNGIKNQAPNGCAGKNFYTRQSFLNAAHSYSGFARDRTNDDSKREIAAFFAHVTHETGHMCYINEINGASMDYCDKNNKQWPCQPGKKYYGRGPLQISWNYNYGPAGQNIGFDGLRDPDRVAQDPTISFKTALWFWMNNVHQVMLQGFGATIRAINGALECNGKNPGAVNARVNYYKDYCRQFGVDPGGNLYC >ORUFI04G18650.1 pep chromosome:OR_W1943:4:20603936:20604855:1 gene:ORUFI04G18650 transcript:ORUFI04G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGWMSHTPVEAVAAAGGKATSQRWRPPQKGRRGRRRRLPSARSSRERRPGRRGGGRWRREGDGPAAANGSGSKNLVFMCVPVFIFCVHVVFLK >ORUFI04G18660.1 pep chromosome:OR_W1943:4:20607351:20609842:-1 gene:ORUFI04G18660 transcript:ORUFI04G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRAEPSSHRFCSRDPPSTATGGADPATLPLPHGYRPLFGPLSRGSTVGGDGRGRSGDLFPSRTCPVFSPPASRADPSPATTRGLDPATSSPPATADLIVKLEYNHSNGSYPIKALELHGSRDESNVSSSPSSSSPDHQG >ORUFI04G18660.2 pep chromosome:OR_W1943:4:20607351:20609842:-1 gene:ORUFI04G18660 transcript:ORUFI04G18660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRAEPSSHRFCSRDPPSTATGGADPATLPLPHGYRPLFGPLSRGSTVGGDGRGRSGDLFPSRTCPVFSPPASRADPSPATTRGKALELHGSRDESNVSSSPSSSSPDHQG >ORUFI04G18660.3 pep chromosome:OR_W1943:4:20607509:20609842:-1 gene:ORUFI04G18660 transcript:ORUFI04G18660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRAEPSSHRFCSRDPPSTATGGADPATLPLPHGYRPLFGPLSRGSTVGGDGRGRSGDLFPSRTCPVFSPPASRADPSPATTRGKALELHGSRDESNVSSSPSSSSPDHQY >ORUFI04G18670.1 pep chromosome:OR_W1943:4:20613312:20616148:1 gene:ORUFI04G18670 transcript:ORUFI04G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYNGASVVALLLLVSTAARAAGDGLLLNGNFEYQPSKSQMNGTRVMAEYAIPYWKITGFVEYISSGQKQGDMLLTVPEGAHAVRLGNEASIEQQISVTRGMYYSITFSAARTCAQSEKLNVSVAPGPESGELPIQTVYTSSGWDSYAWAFKAKRGLVSLIIHNHGEDDDPACGPLIDSVAIKTLYPPQATQNNMLRNGDFEEGPYMFPNAAWGVMVPPISEDDHSPLPGWMVMSDTKAVKCVDSAHFTVPHGARAVELVSGLETALMQEVRTVPGRSYRLEFSVGDASDGCVGSMQVKGYAGQGCTTVTYSSQGTGGHTRASLEFAAVANTTRVVFVSSTYITKWDGTLCGPVVDDASLVCVSQQQPPARRLLRL >ORUFI04G18680.1 pep chromosome:OR_W1943:4:20628326:20640796:1 gene:ORUFI04G18680 transcript:ORUFI04G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKTEMIQTTPCVALLLLVGVAFAARSASAITDGLLPNGNFEEAPDKSQMNGTRVTGRYAIPQWEISGFVEYIGSGQKQGDMLLPVPEGAYAVRLGNEASIQQRLTLTRGMHYSITFSAARTCAQSELLNITITPESSEIPIQTVYTSSGWDSYSWAFKAKHSVVLFIVHNPGVSDDEACGPLIDSFAIKTLNPPQRTKGCAHSHETIEQNEPGNMLKNGGFEEGPYIFPNTSWGVLVPPMDEDDYSPLSPWTILSTTKSVKYIDAAHYAVPGGARAVELVSGMETAMLQEEVSTVPGRSYRLEFSVGDAGDGCSGSLTVQAYTSRGSVKVTYQSQGTGGYKRGLLEFTATEKRTRVVFVSMAYTTKSDGTLCGPVIDDASLVSVRSHRRFLLYSEYREYFLSRRQLMRRKTEMVRSTCCVVLLLLLSVAARSASAIMDGLLPNGNFEEAPDKSQLNGTRVIGRYAIPQWEISGFVEYIGSGQMQGDMLLPVPEGAYAVRLGNEASIQQRLTLTRGMHYSVTFSAARTCAQSELLNITVTPEIGEVPIQTVYTSSGWDSYSWAFKARRSDVSLIVHNPGVTDDAACGPLIDSFAIKTLQSPPSTKDNLLKNGGFEEGPYIFPNTSWGVLVPPMDEDDYSPLSPWTIMGYTKSVKYVDAAHYAVPGGARAVELVAGMEAALVQEVCTVPGRSYRLEFSVGDAGDGCVGSMSVQAYVSHGSVKVPYESQGRGGYKRGVLEFTATDKRTRVVFVSMAYTMKPDGTLCGPVVDDASVVGRVGRREQPRARDSREGSHGMTGSARSVVALLFLLVGSAARADSAVTDGLLPNGNFEDGPDKSQLNGTVVTGRYAILNWEISGFVEYIESGHREQDMILAVPEGARAVRLGNDATIRQRLSVTRRAYYSITFSAARTCAQKEKLNMSVTPEFGVLPIQTVYTSSGWDSYSWAFRAKHSVVWLSIHNPGEEEDPACGPLIDSIAIKNLYPPPRTKGNMLRNGDLEEGPYIFPDATWGVLVPPIFEDEHSPLPGWMIMSDTKVIKYVDSPHHRVPQGARAVELVAGRETALVQEVATVPGRSYRLSFSVGDAGNGCKDSLAVEAYAARATAKVPYESQGTGGHKRAQLEFAAVANLTRVVFQSFNYHTKPDGTLCGPLVDDISLVSVRKRAARLRRVSRRPGLILPAQITQVVISNSSYICELAHWPLANREVRVLDRVTRAHDHGLGEVHALPGSCGGGARWRGCTGGLLCHRWPSTKRQLRARAGQVPAEPNWEISGFVEYIGSGHKEQDMILAVPEGAYAVRLGNDATIRQRISVTRHMYYSVTFSAARTCAQAEKLNVSVTLEFGVLPIQTVYTSTGWDSYSWAFKAEHSAVWLSIHNPGVEEDPACGPLIDLVAIKTLPPPHHTRGGTMLRNGDFEEGPYIFADTPWGVLVPPMDEDVHSPLPGWMVMSTTKVVKYVDSARHAVPSGAHAVEMVAGRECALVQEVATVPGRRYTLSFSVGDAGNGCIGSLAVDAYAARATLKVSYESRGTGGHERAELVFAAVANRTRVVFHSSNHHMKSDGTLCGPVVDDVSLVSVDKHTVRRLLM >ORUFI04G18680.2 pep chromosome:OR_W1943:4:20628326:20640796:1 gene:ORUFI04G18680 transcript:ORUFI04G18680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKTEMIQTTPCVALLLLVGVAFAARSASAITDGLLPNGNFEEAPDKSQMNGTRVTGRYAIPQWEISGFVEYIGSGQKQGDMLLPVPEGAYAVRLGNEASIQQRLTLTRGMHYSITFSAARTCAQSELLNITITPESSEIPIQTVYTSSGWDSYSWAFKAKHSVVLFIVHNPGVSDDEACGPLIDSFAIKTLNPPQRTKGNMLKNGGFEEGPYIFPNTSWGVLVPPMDEDDYSPLSPWTILSTTKSVKYIDAAHYAVPGGARAVELVSGMETAMLQEEVSTVPGRSYRLEFSVGDAGDGCSGSLTVQAYTSRGSVKVTYQSQGTGGYKRGLLEFTATEKRTRVVFVSMAYTTKSDGTLCGPVIDDASLVSVRSHRRFLLYSEYREYFLSRRQLMRRKTEMVRSTCCVVLLLLLSVAARSASAIMDGLLPNGNFEEAPDKSQLNGTRVIGRYAIPQWEISGFVEYIGSGQMQGDMLLPVPEGAYAVRLGNEASIQQRLTLTRGMHYSVTFSAARTCAQSELLNITVTPEIGEVPIQTVYTSSGWDSYSWAFKARRSDVSLIVHNPGVTDDAACGPLIDSFAIKTLQSPPSTKDNLLKNGGFEEGPYIFPNTSWGVLVPPMDEDDYSPLSPWTIMGYTKSVKYVDAAHYAVPGGARAVELVAGMEAALVQEVCTVPGRSYRLEFSVGDAGDGCVGSMSVQAYVSHGSVKVPYESQGRGGYKRGVLEFTATDKRTRVVFVSMAYTMKPDGTLCGPVVDDASVVGRVGRREQPRARDSREGSHGMTGSARSVVALLFLLVGSAARADSAVTDGLLPNGNFEDGPDKSQLNGTVVTGRYAILNWEISGFVEYIESGHREQDMILAVPEGARAVRLGNDATIRQRLSVTRRAYYSITFSAARTCAQKEKLNMSVTPEFGVLPIQTVYTSSGWDSYSWAFRAKHSVVWLSIHNPGEEEDPACGPLIDSIAIKNLYPPPRTKGNMLRNGDLEEGPYIFPDATWGVLVPPIFEDEHSPLPGWMIMSDTKVIKYVDSPHHRVPQGARAVELVAGRETALVQEVATVPGRSYRLSFSVGDAGNGCKDSLAVEAYAARATAKVPYESQGTGGHKRAQLEFAAVANLTRVVFQSFNYHTKPDGTLCGPLVDDISLVSVRKRAARLRRVSRRPGLILPAQITQVVISNSSYICELAHWPLANREVRVLDRVTRAHDHGLGEVHALPGSCGGGARWRGCTGGLLCHRWPSTKRQLRARAGQVPAEPNWEISGFVEYIGSGHKEQDMILAVPEGAYAVRLGNDATIRQRISVTRHMYYSVTFSAARTCAQAEKLNVSVTLEFGVLPIQTVYTSTGWDSYSWAFKAEHSAVWLSIHNPGVEEDPACGPLIDLVAIKTLPPPHHTRGGTMLRNGDFEEGPYIFADTPWGVLVPPMDEDVHSPLPGWMVMSTTKVVKYVDSARHAVPSGAHAVEMVAGRECALVQEVATVPGRRYTLSFSVGDAGNGCIGSLAVDAYAARATLKVSYESRGTGGHERAELVFAAVANRTRVVFHSSNHHMKSDGTLCGPVVDDVSLVSVDKHTVRRLLM >ORUFI04G18690.1 pep chromosome:OR_W1943:4:20644275:20644920:1 gene:ORUFI04G18690 transcript:ORUFI04G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLALSCSDVAIAWAALCCSPGSRRAASTAVCRIVDDRATSSKKTARILSLWDDMIIDRSIAESEFALIAVGKRGRSVAFSWVGQWTVCTIDQRSVASCIGMAIGSDGHGYCLSIPVPIRLFVPVGIPITT >ORUFI04G18700.1 pep chromosome:OR_W1943:4:20647613:20648106:-1 gene:ORUFI04G18700 transcript:ORUFI04G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPTKPVAPQRHTLGIKKALVLVFYACDGSRPIGLYEYQLANVGHAIAGPKKRSLRKNKGGEEGGVGHDVLTTALTHPLMGRKAHAGVGDRAQQPLPRRGRGRGGIRVVR >ORUFI04G18710.1 pep chromosome:OR_W1943:4:20649124:20649612:1 gene:ORUFI04G18710 transcript:ORUFI04G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNPSKRVDAALRGAPAFAAACDDAFGRCLADAQYAFAGVRPYQLADASAHLHSSLRGSLPLVRRWVPSPPPRARVDSALRAAGLEDAAVLSRGQFREFAAELFREAVLAGAAQAALVRAPAGAAGLVGVGLATRAGAGVVGRLVAIYTAGVAAAVYLSLG >ORUFI04G18720.1 pep chromosome:OR_W1943:4:20654235:20657363:1 gene:ORUFI04G18720 transcript:ORUFI04G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASCSSSSAKHLFLLCLLLGFCFAFAASQQEQQQSDSCSSAGVAVAHLVPFNSSAFRCLTVWKQEDFVLRYKNTGESQWSFILSAPDKGSYVAVGFSGKGLMVGSSAVVAWSSGGKGTVKQYYLTGKSPDECYPDKGRLTLVKNKAVAVSRSGRLYLAFQLSTDLPQPHLIYAVGPEGNLPPSDATLPMHRSMHSHAFNYTSGMASSSGGSGGGGFPPERKHGLLAMMAWGVLMPLGMMAARYFRRVDPYWFYAHMAIQAVAFTVGIASVVLGFRLNEDGLKNVDVHRALGIAILAMASLQVMAFLARPDKTSKVRRFWNWYHHYIGRAAILVAIGNIFLGLHIAQEVSAYIVSYGVFVAVWVIAVAAFEMNRCYSDDD >ORUFI04G18730.1 pep chromosome:OR_W1943:4:20657944:20661404:-1 gene:ORUFI04G18730 transcript:ORUFI04G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRIFGGLKPTKSRLLGPTIRGPPPNGAATHAPRRILFSFSPESQWLGQSSTRACLPPLRSPCLPAPSAASPAYPRLASSAASASAPARLRSRITLPGGRSPVPPMDPAASAAESSSLSSRDVAAMPDSPPRRAARHRRAQSEILLGAALPDDIAFDADLGVVGEVGGGGGDDYEEEEEDDEEEEMEGAGGSRMFEMFLEAGGKLETPEPAAPLPPPPARPRHQHSMSMDGSTSLASSAAGVAGRMGADAKKAISDAKLAELALVDPKRAKRILANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLSMLQRDTTGLTSENSDLKIRVQTMEQQVRLQDALNDRLRDEIQQLKVATGQVNANCGKVGNFGLSSFGGANPQGYQRSHIQSLLAAQQLQQLQIHSQHQQQQMHLQQHHHLSTVQQQLLQEGLPLPGDLKMKGIVAASHAQNAGASESHALRSEP >ORUFI04G18740.1 pep chromosome:OR_W1943:4:20663948:20667379:-1 gene:ORUFI04G18740 transcript:ORUFI04G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGHPPPASAAAQNGSHSSGGGGGGDGGGGGANPSPGGTVAALRHDPGLAREWSPEEQSTLDELLVKYASDAPVIRYAKIAMKLPDKTVRDVALRCRWMNKKESGKRKKEDHSSSKKSKDKKEKVSDSSLKPPVHIAGRPNVPPYPLPALPIDDDEISSKAIGGPTGEILETNAQVLSQISTNLSTMQIQDNISLLCQTRDNILRVLKEINDAPDIMKQMPPLPVKINEELVNSMLPMPTVPMQ >ORUFI04G18750.1 pep chromosome:OR_W1943:4:20675160:20681702:1 gene:ORUFI04G18750 transcript:ORUFI04G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPTPSNRAGCNGNTGGTMGPSDDPYGAAAMNLDCYSEIYSPSVADQLFSLLNDPAAHRMFAMWPSMGSSPCAAGTSEDMPLDAYSGLGEAVEEPSQIMSVNPTEAEKTGKSSGELGSDDGAHQGSSMVPRSVVGSSLADRMLMALSLFRESLGSGALAQVWMPVEQEGHVVLSTCEQPFLLDQVLAGYREVSRHFVFSAKEEPGLQPGLPGRVFISGVPEWTSSVLYYNRPEYLRMEHALHHEIRGSLAMPIYDPSKDSCCAVFELVTRKEKPDFSAEMDNAVNLKATKGSSNQKFYTENQKFAFTEILDVLRAICHAHMLPLALTWVPTSNGIDGGYVVGKDGASFSQSGKTIIRIHESACYVNDGKMQGFLQACARRHLEKGQGIAGRALKSNLPFFSPDIREYSIEDYPLAHHARKFSLHAAVAIRLRSTYTGNDDYILEFFLPVSCKGSGEQQMLLNNLSSTMQRICKSLRTVYEAEVDNVNAGTAAVFRKNNESCLPTGHTESSSHGDQSITGASFEDTSLANKPGVMEPELAEQVQPSSIGHAEKKRSTAEKNISLDVLRKYFSGSLKDAAKSLGVCPTTLKRICRHHGISRWPSRKINKVNRSLKKIQTVINSVHGVDRSLQYDPATGSLVPVVSLPEKLTFPSCDGLPTPSVGKTVEENSDLKSEEGCSLPDGSQRQSCQLQISDVKKSNEDEFHIGSGNSDFYGANATAKSNSEVTQGPLCPTGAFSALHLKGTDCTNPSSSLRPSSESTRNQIVGRNSPSIQQEDLDMLDNHEAEDKDHMHPSTSGMTDSSSGSASSHPTFKQNTRSALKDAASPALTVKATYNGDTVRFKFLPSMGWYHLLEEIAKRFKLPTGAFQLKYKDDEDEWVILANDSDLQECVDVLDSIGSRIVKLQVRDLPCIVSSSGSSTCLQLAAHSS >ORUFI04G18760.1 pep chromosome:OR_W1943:4:20682577:20685242:1 gene:ORUFI04G18760 transcript:ORUFI04G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKIPSILLPSPLLPTSRAPPCPDVSPLPLDAKHGQEHNNAAAAADEVVERGGRRRRGEVERGVVEEVAVVPAGG >ORUFI04G18770.1 pep chromosome:OR_W1943:4:20688616:20694120:-1 gene:ORUFI04G18770 transcript:ORUFI04G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSSKEPSSARAWWTRETVAVVTGANRGIGLALAARLGEHGITVVLTARDAERGEAAAAALRARGLHVVFHRLDVADPASVQAFAAWLRDAIGGLDILVNNAAVSFNEIDTNSVEHAETVLRTNFYGAKMLTEALLPLFRRSPATSRILNISSQLGLLNKVSDPELKRLLQDEERLTEAEVEGMASRFLAQVKDGTWRGQGWPKVWTDYSVSKLALNAYARVLARRLQARGDRVSVNCFCPGFTRTDMTRGWGKRTAEEAAEIGARLALLPPGELPTGTFFKWCTPQLYSKL >ORUFI04G18780.1 pep chromosome:OR_W1943:4:20700297:20703187:1 gene:ORUFI04G18780 transcript:ORUFI04G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQFWLREPRWVTLQAELEIISGWPNATHARNRKRSLHRTDSPIMERASDTHRSVGVTRCGSEGSVSIARARAAGHAVY >ORUFI04G18790.1 pep chromosome:OR_W1943:4:20705322:20705903:-1 gene:ORUFI04G18790 transcript:ORUFI04G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSVIAPPAGDSASPAHRRARRAFLVSNYMILGAASGCGFLTLSLRLVPSVDGFLLILLHAITVAAAVAGCAVIAAPDPPRGRVYTTHMAGTVFVSILQGAAAVLTFSRTSDFLADGLKSYVREEDGAVILRMIGGLGVAIFCLEWIALALAFVLRYYAYVDRECGGNPLRRSAKVGGEDGAGTWPWPFQV >ORUFI04G18800.1 pep chromosome:OR_W1943:4:20708917:20714756:1 gene:ORUFI04G18800 transcript:ORUFI04G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEVGWYVLGPNQEHVGPYALSELREHFANGYISESSMLWAEGRSEWMPLSSIPDLLAVVTKKDQPDEGIEDDFDKFQKEVIEAEAEVEASTDKAADNDINQEHGVDDPDDRPATPPDGEDEFTDDDGTVYKWDRVLRAWVPQDDLEGKNDNYEVEDMTFAHEEEVFQAPDIAGSTTLEENNVSAEIEIKEPTKVEKRADKKRKSSEKPADKKEANKPPDSWFDLKVNTHVYVTGLPDDVTAEEIVEVFSKCGIIKEDPETRKPRVKIYTDRETGRKKGDALVTYLKEPSVALAIQLLDGTSFRPGGKTLMSVSPAKFEQKGDVFISKKTDKQKKRKTKKVEDKILGWGGHDDKKVTITTTVILRHMFTPAELRADETLLPELEADVREECMKLGPVDNVKVCENHPEGVILVKFKDRKDGIKCIEKMNGRWFGGNQIQASEDDGSINHALIRDYDAEVSRLDRFGEELEEST >ORUFI04G18810.1 pep chromosome:OR_W1943:4:20716229:20718176:-1 gene:ORUFI04G18810 transcript:ORUFI04G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRARLGSSSGAPGATDSPEDGMTDGSFHSPEWHAARLASLNKTHTVTWEEFKKKQKEDELKRGEMEADKDKMMREYRAQLDAERAQKLALGRNHSRSKSSSSKKEKKDKDAKKRSKKRRKHRSSSESSSSSSSESSSSDDEDRDSRKSRSRSRSKRTKKDKKYRSRSKHRGSDSEEEGPVRLSKFFGNPKK >ORUFI04G18820.1 pep chromosome:OR_W1943:4:20721122:20726732:-1 gene:ORUFI04G18820 transcript:ORUFI04G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRKYALEHTNIPVLLRHSSISTFGQEKFGCEIEQSTASQNSRESNHEKAQYILRKQGLLGLSILCHPTRGASLASYESKPQTFGFPLGARYFLQSVRPTSSTAGQPKVGILDERSENQNQNQGKKEASPEECDQAVEGLSTAKAKAKAKLVQEVQKSDQSIIHKFWAILLGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLTRRERQQLTRTTADLFRLVPFAVFIIVPFMEFLLPVFLKMFPNMLPSTFQDKMKEEEALKRKLKARMEYARFLQDTAKEMAKEVQTSRSGEMKQTAEDLDEFLNKVRKGGHVSNEEILSFAKLFNDELTLDNMNRARLVNMCKYMGIQPFGTDHYLTFMLRKKLQEIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMQNQLRDWLDLSLNHSVPSSLLILSRAFTMSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSDRKRKLEFLEMQEELIKEEEKRQEKEDKAKLEVPKATEEDVALKEMTEPTAREEKELKKAKVEHDRKEQLCDISQALAVLASASSVAKERQEFLNLVNKEIELYNTMLEKEGTEGEEEARRAYKAAREESDHAAEIAAGEKVSSALIERVDAMLQKLEKEIDDVDARIGNRWQLLDSDRDGKVTPDEVAAAANYLKDTIGKEGVQELISNLSKDKDGKILVEDIVKLASQTGESNEQEETPRQ >ORUFI04G18830.1 pep chromosome:OR_W1943:4:20726755:20730486:-1 gene:ORUFI04G18830 transcript:ORUFI04G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEPERSSCLRSNGYFDLSIPYRSAIFVFGSHMPIQWLCSDGGWAEKAATAVRAKKAVAVAAVVRAALLSSPNRQRLQQLVTWFVPHTPLHPTRCLCLRLLPSFLQNRERPAALCSPTPPAEPLAPAGSHARTTRLDATGSTVRPIRRQSPGERARPLPPSLPSPLGGWLTAAAPSSLLEGSTRKGITLTFS >ORUFI04G18830.2 pep chromosome:OR_W1943:4:20726755:20730486:-1 gene:ORUFI04G18830 transcript:ORUFI04G18830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEPERSSCLRSNGYFDLSIPYRSAIFVFGSHMPIQWLCSDGGWAEKAATAVRAKKAVAVAAVVRAALLSSPNRQRLQQLVTWFVPHTPLHPTRCLCLRLLPSFLQNRERPAALCSPTPPAEPLAPAGSHARTTRLDATGSTVRPIRRQSPGITLTFS >ORUFI04G18840.1 pep chromosome:OR_W1943:4:20731773:20734132:-1 gene:ORUFI04G18840 transcript:ORUFI04G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNKKIVLRNHVTGFPKESDMELVAATGAAPSRVPEGTDGAVLVKNLYLSCDPYMRGRMSSHDGSYVDAFVVGEAITGYGVGKVVDSSHPGFKAGDLVWGMTGWEEYSLIKDPSRALFAIRHPDLPLSYYTGLLGMAGFTAYVGFHEICAPREGERVYVSAASGAVGQLVGQFAKLMGCYVVGSAGSDDKVRLLREKFGFDDAFNYKKESDLSAALKRCFPEGIDIYFENVGGAMLDAVLLNMRVRGRVAACGMISQYNLEHPDPVHNLTAIVTKRLRIEGFIVSDHYARYREYEEKAARYVKEGKIAYVEDVAEGLENAPAALIGLFSGRNVGKQVVVVAREQISK >ORUFI04G18840.2 pep chromosome:OR_W1943:4:20732878:20734132:-1 gene:ORUFI04G18840 transcript:ORUFI04G18840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNKKIVLRNHVTGFPKESDMELVAATGAAPSRVPEGTDGAVLVKNLYLSCDPYMRGRMSSHDGSYVDAFVVGEAITGYGVGKVVDSSHPGFKAGDLVWGMTGWEEYSLIKDPSRALFAIRHPDLPLSYYTGLLGMAGFTAYVGFHEICAPREGERVYVSAASGAVGQLVGQFAKLMGCYVVGSAGSDDKVRLLREKFGFDDAFNYKKESDLSAALKRCFPEGIDIYFENVGGAMLDAVLLNMRVRGRVAACGMISQYNLEHPDPVHNLTAIVTKRLRIEGFIVSDHYARYREYEEKAARYVKEGKIAYVEDVAEGLENAPAALIGLFSGRNVGKQVVVVARE >ORUFI04G18840.3 pep chromosome:OR_W1943:4:20731773:20733504:-1 gene:ORUFI04G18840 transcript:ORUFI04G18840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFTAYVGFHEICAPREGERVYVSAASGAVGQLVGQFAKLMGCYVVGSAGSDDKVRLLREKFGFDDAFNYKKESDLSAALKRCFPEGIDIYFENVGGAMLDAVLLNMRVRGRVAACGMISQYNLEHPDPVHNLTAIVTKRLRIEGFIVSDHYARYREYEEKAARYVKEGKIAYVEDVAEGLENAPAALIGLFSGRNVGKQVVVVAREQISK >ORUFI04G18840.4 pep chromosome:OR_W1943:4:20733581:20734132:-1 gene:ORUFI04G18840 transcript:ORUFI04G18840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNKKIVLRNHVTGFPKESDMELVAATGAAPSRVPEGTDGAVLVKNLYLSCDPYMRGRMSSHDGSYVDAFVVGEAITGYGVGKVVDSSHPGFKAGDLVWGMTGWEEYSLIKDPSRALFAIRHPDLPLSYYTGLLGTN >ORUFI04G18850.1 pep chromosome:OR_W1943:4:20734846:20735850:-1 gene:ORUFI04G18850 transcript:ORUFI04G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKWPHLWKSTPAVQLPVSLSYKCSSYKCRLGNLCLRRRTPRQLRQAPPPLPATRAISASAAGLPDELRLRRRSSESVRLLRYQPSERVCETEREREEGIGLMKGYDRWAPTD >ORUFI04G18860.1 pep chromosome:OR_W1943:4:20735972:20736163:-1 gene:ORUFI04G18860 transcript:ORUFI04G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGRWARRGRVWQERGVAAEGVALEAEGVLAGAEGGVGHEAGRQAASKVLMREKKKSISCN >ORUFI04G18870.1 pep chromosome:OR_W1943:4:20738649:20741982:-1 gene:ORUFI04G18870 transcript:ORUFI04G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSANHWGGSFEIAADGAAEDDHSRNMDLDRGALSARQHQLDETQQSWLLGPPEAKKKDKYVDLGCVVVKRKLLWWVLWTLLAAFILIGLPVIIAKSIPKKKPHAPPPDQYTDALHKALLFFNAQKSGRLPKNNGIKWRGNSGLSDGSDLTDVKGGLVGGYYDAGDNIKFHFPLAFSMTMLSWSVIEYSAKYKAVGEYDHVRELIKWGTDYLLLTFNSSASTIDKVYSQVGIAKINGTQPDDHYCWNRPEDMAYPRPVQTAGSAPDLGGEMAAALAAASIVFRDNAAYSKKLVNGAAAVYKFARSSGHRTPYSRGNQYIEYYYNSTSYWDEYMWSAAWMYYATGNNTYITFATDPRLPKNAKAFYSILDFSVFSWDNKLPGAELLLSRLRMFLNPGYPYEESLIGYHNTTSMNMCTYFPRFGAFNFTKGGLAQFNHGKGQPLQYTVANSFLAALYADYMESVNVPGWYCGPYFMTVDDLRSFARSQVNYILGDNPKKMSYVVGYGKKYPRRLHHRGASTPHNGIKYSCTGGYKWRDTKGADPNVLVGAMVGGPDKNDQFKDARLTYAQNEPTLVGNAGLVAALVALTNSGRGAGVTAVDKNTMFSAVPPMFPATPPPPSKWKP >ORUFI04G18880.1 pep chromosome:OR_W1943:4:20748245:20750348:1 gene:ORUFI04G18880 transcript:ORUFI04G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKYIVGSVTASFAFAYVCGVYFADKKVLGGTTPRTVADKEWGKVTEEKLDAWPRVAGKPVSMNPVTRQNYVLVKKKKASGSKKASEP >ORUFI04G18880.2 pep chromosome:OR_W1943:4:20749585:20750348:1 gene:ORUFI04G18880 transcript:ORUFI04G18880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYHFCRAINQDMATKYIVGSVTASFAFAYVCGVYFADKKVLGGTTPRTVADKEWGKVTEEKLDAWPRVAGKPVSMNPVTRQNYVLVKKKKASGSKKASEP >ORUFI04G18890.1 pep chromosome:OR_W1943:4:20750600:20755967:-1 gene:ORUFI04G18890 transcript:ORUFI04G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPATTSSAAARPSSSSSSRQSDAPLRAATVSFPYSPRPAALAAGARASRVSPVVVAAGGGHQRLMGSLTNTQGLRFGVVVARFNEIVTNLLLQGALETFERYSVKKENITVVSVPGSFEIPVAAQKLGKSGKFDAILCIGAVIRGDTTHYDAVANSAASGVLSAGLSAEIPCIFGVLTCDDMDQALNRAGGKAGNKGAEAALTAEEGYLALEGVYRNHGGSQVQSCSGNLHFYDYHVVYSFSYKVPVLYFQGHQSGGQLLTLDEIKEDLPSLSLKLLGESRWTFITREEHPHFSRPWFTLHPCGTSDCMKLLLEGMQDKDQQVRYLPAWLTVVGQAVGLKIPLGLHCNS >ORUFI04G18900.1 pep chromosome:OR_W1943:4:20756068:20764452:1 gene:ORUFI04G18900 transcript:ORUFI04G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRFPSLGPGGESTSCRKGRRQLRAAGGSRGRGLEGAEAAASDRQATRAVGRGAKRRRDPPSPLPHRRGGTELEHTCAAAASPFPFPVRSPLTGSPSSSHAAANRPPPRAAVRCQPHTPAPASLPPPPSSTASSAARSASASADCMTG >ORUFI04G18910.1 pep chromosome:OR_W1943:4:20757377:20761020:-1 gene:ORUFI04G18910 transcript:ORUFI04G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAAVPLDEAKAKEVLRQVEFYFSDSNLPRDNFLRKTVEESEDGLVSLALICSFSRMKKHLGLDADVKQETMPEETVLAVAEVLRRSSALRVTEDGKKVGRSIELSKLDEIMEQVDSRTIAASPFPYNVKLEDVQSFFAQYGKVNSVRLPRHIADKRHFCGTALVEFSEEEEANAVLKNTLVFAGADLEIKPKKEFDTEREAKKEAYEKSQPTKNGHDEGYPKGLIVAFKLKIIQIDGGMAENGRDKEGETDDANKSRTGHDEKIPENSDIKEDLSDDVEKSKEAAAQSVKKGESPSENADDPISREDFKEEFGKFGTVRYVDFSIGEDSGYIRFEDSKAAEKARALAAISDEGGLIMKGHLVTLEPVSGQAEKDYWSAIKGGQGKYRDNRSNRGRDWKNNRGGRHFGGKRGRHSDGHERANKARKVDAAA >ORUFI04G18920.1 pep chromosome:OR_W1943:4:20766527:20768474:1 gene:ORUFI04G18920 transcript:ORUFI04G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDKSAVVGGAYWGLAARACDACGGEAARLFCRADAAFLCAGCDARAHGPGSRHARVWLCEVCEHAPAAVTCRADAAALCAACDADIHSANPLARRHERLPVAPFFGALADAPKPGSGAHGGDAAAADDDGSNDAEAASWLLPEPDHGQKDGAVGATDELYADSDPYLDLDFARSMDDIKAIGVQNGPPELDITGGKLFYSDHSMNHSVSSSEAAVVPDAAAGGGAPMPVVSRGREREARLMRYREKRKSRRFEKTIRYASRKAYAETRPRIKGRFAKRTKGGAGADADADADADGEDEEMYSSAAAAVAALMAPGGSDADYGVDGVVPTF >ORUFI04G18930.1 pep chromosome:OR_W1943:4:20772062:20778467:1 gene:ORUFI04G18930 transcript:ORUFI04G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASGSATLLYPKTPQSPRLLRRNPHYSGLRLVHPLLLATVSPPPPAALRRRRNSTTIHASSSSAAAAAASFPASPTPPPRPPRTDPPEEHPTVARAGRSKKHRKPSGGRIEGGGDVRREAKSRARIRSRRLGENAFYRRKRRAAKENQADAFTDAELEMIGLGYDRSVRFMDGPDDPRLRHRHDWYRFGRYGPYSWRGIVVGPPIRGRFSDDRVSLMSEVSDHDEWDRVEQFDMSNQFSNRLNELDAAVGFRYYWVFVRHPRWRPDELPWEQWTLSAEVAIQASEEQRLDKWNLMGRLGNPTRELITRCAAWTRPDIIYVKRPLYQSRFEPQKNFYSQLRPLVDPVTENQFLFDLEHDGQVIRTTYFGGLCRIVKASPKAYVDDVVNAYSKLSDVDKNRCLEFLLTNHPMELLHPYTKEWKVKLEEMELGCDAPDDNESDDEGGDESGTEVVDWVEDDGFDEGGDTDDDEEPGYDDDEVIDVREEVETEEVESDDESEKYWDEQWKQAMKSSDKMEKLVKTSIEASNEYNRRRMQQEKEMELRMARANTMVMKQEQTEDEDEQQEQIEDEDEQQESPRGRSAKDKRKSKAPGHFLRAAVRPFTYRNLVKEIVLMRHFIVDGEID >ORUFI04G18930.2 pep chromosome:OR_W1943:4:20775939:20778467:1 gene:ORUFI04G18930 transcript:ORUFI04G18930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDRSLIACEEPPSEPMDLLSSAWCSSAIQVLQTGSMDCSMALVENPVMAPDNDRRDLLPKNDRSLVVDSSGFSTTQWKYDDLKSWIWLQKAIHPELDYDLKKKWLPRKMAPWSGISLKKWVKERKQKRKEEARLHKAEVHAAVSVAGVAAALATIAAESSTPAATMKETAVASAAALVAAQCAKVAEAAGATRDQVAAAVNAAVAATDASNVITLTAAAATSLRGAAALRGRRSSGGGGGGGGSQNERMDHASSALSQDDLDFDFNYARSKAALAKGDEMFVAMPDGKWKLHTVSAATSKGGKVVMRIKKMNLVMAFSNAKESVIHDVQPCAPEKPSRDEDATYPIEVTTSKGKVELRADDYGVYKRWVTALTHMLAAPTAICKAHELPPPPPPHRRN >ORUFI04G18940.1 pep chromosome:OR_W1943:4:20782772:20782954:1 gene:ORUFI04G18940 transcript:ORUFI04G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIAIAVVPAGSQAGSSWLMMMRRMMSSSMVGLLVSSLEKKKKKKTPKPMVRCHDASCC >ORUFI04G18950.1 pep chromosome:OR_W1943:4:20784382:20786633:-1 gene:ORUFI04G18950 transcript:ORUFI04G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEIKTAPADFRFPTTNQTRHCFTRYIEYHRCVNAKGEATADCEKFAKYYRSLCPAEWVEKWNEQRENGTFAGPL >ORUFI04G18960.1 pep chromosome:OR_W1943:4:20792605:20795410:1 gene:ORUFI04G18960 transcript:ORUFI04G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSRSLMYEAPLGYSIEDVRPAGGVKKFQSAAYSNCSDQPAPMGVLSAADPPPVSAIGFEGYEKRLEITFSEAPVFADPDGRGLRALSRAQIDSVLDLARCTIVSELSNKDFDSYVLSESSLFIYSDKIVIKTCGTTKLLLTIPRILELAEGLSMPLAAVKYSRGMFIFPSAQPAPHRSFSEEVAVLNRYFGHLKSGGNAYVIGDPAKPGQKWHIYYATQHPEQPMVTLEMCMTGLDKEKASVFFKTSADGHTSCAKEMTKLSGISDIIPEMEICDFDFEPCGYSMNAIHGSAFSTIHVTPEDGFSYASYEVVGFDASTLAYGDLVKRVLRCFGPSEFSVAVTIFGGHGHAGTWAKELNADAYKCNNMVEQELPCGGLLIYQSFDATEDVPVAVGSPKSVLHCFEAENMVNPAPVKEGKLGNLLPWGEDALEENDGVFDE >ORUFI04G18960.2 pep chromosome:OR_W1943:4:20793776:20795410:1 gene:ORUFI04G18960 transcript:ORUFI04G18960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSRSLMYEAPLGYSIEDVRPAGGVKKFQSAAYSNCSDQPAPMGVLSAADPPPVSAIGFEGYEKRLEITFSEAPVFADPDGRGLRALSRAQIDSVLDLARCTIVSELSNKDFDSYVLSESSLFIYSDKIVIKTCGTTKLLLTIPRILELAEGLSMPLAAVKYSRGMFIFPSAQPAPHRSFSEEVAVLNRYFGHLKSGGNAYVIGDPAKPGQKWHIYYATQHPEQPMVTLEMCMTGLDKEKASVFFKTSADGHTSCAKEMTKLSGISDIIPEMEICDFDFEPCGYSMNAIHGSAFSTIHVTPEDGFSYASYEVVGFDASTLAYGDLVKRVLRCFGPSEFSVAVTIFGGHGHAGTWAKELNADAYKCNNMVEQELPCGGLLIYQSFDATEDVPVAVGSPKSVLHCFEAENMVNPAPVKEGKLGNLLPWGEDALEENDGVFDE >ORUFI04G18980.1 pep chromosome:OR_W1943:4:20809839:20814782:1 gene:ORUFI04G18980 transcript:ORUFI04G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRGRESKDHRGGGGGGGGDGDGERTGRWRAEEVIAGNRAVLEALRELVMYPVLYAREARVLGLNFPRGLLLHGPSGTGKKSMVRAVVRECNAHLTVIDSISVHKPHAGEGEKFMREAFTEAYSQASQGRPAVIFIDELDDICPPRGSRQSALRRPGRFDSEIEVTVPTAEERFEILKLYTKNLHLGECVDLQSVAASCNGYVGADLQALCREAARRAYGRLSSSSESENVLTLIMEDWESAKSVAKNSVTRGVTKEIPAVSWDDIGGLKAVKKKLQQAVEWPIKHAASFDRLGISPIRGVLLHGPPGGAELYSKYVGEGEALLRRTFQMARLASPSIIFFDEADAIAPKRTGPSGNSSGNATVGERLLSTLLTEMDGLELATVLYVPPPDAEGRYEILRIHTRKMPLGDDVDLWKVAERTELFTGADLEGLCREAGMAALRESLRSERFVCDDIHFQAALRSLRPSLTQSVVDEYSNAAIHGPLTRRKH >ORUFI04G18990.1 pep chromosome:OR_W1943:4:20815106:20822519:-1 gene:ORUFI04G18990 transcript:ORUFI04G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQATWPSMTRGKKGMQPKISAFFKRQAPEPETSRFFILVPSSNLLAVAIRGGDTHREGTCGMEVKRPKSCADGKVLNKKRNYAQFHLELGQPDFLLHMCSVCGMMYARGNDDDEKAHKAYHKSYFEGVPFKREVLKRHDHSDKNKEEYRDPGAIICEKEDGWRNETVVARSEGGDRIILVTDENSCARNSKVQEVIKVVQKELGFGEGQLLHKLCKRIVGCLVAEPIKTAHKVIPGSTEENGSDLPVDKIEPVKTNHTLEFGKISFKREVLKRHDHSDKNKEEYRDPGAIICEKEDVPAHCGFRAIWVVPSRRRKRIGSQLMDAARKSFLEGETLCISQCAFSPPTSSGKALARSYCKTSAFLVYKEQDA >ORUFI04G18990.2 pep chromosome:OR_W1943:4:20815106:20822519:-1 gene:ORUFI04G18990 transcript:ORUFI04G18990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQATWPSMTRGKKGMQPKISAFFKRQAPEPETSSGGDTHREGTCGMEVKRPKSCADGKVLNKKRNYAQFHLELGQPDFLLHMCSVCGMMYARGNDDDEKAHKAYHKSYFEGVPFKGWRNETVVARSEGGDRIILVTDENSCARNSKVQEVIKVVQKELGFGEGQLLHKLCKRIVGCLVAEPIKTAHKVIPGSTEENGSDLPVDKIEPVKTNHTLEFGKISFKREVLKRHDHSDKNKEEYRDPGAIICEKEDVPAHCGFRAIWVVPSRRRKRIGSQLMDAARKSFLEGETLCISQCAFSPPTSSGKALARSYCKTSAFLVYKEQDA >ORUFI04G18990.3 pep chromosome:OR_W1943:4:20815106:20822519:-1 gene:ORUFI04G18990 transcript:ORUFI04G18990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATQATWPSMTRGKKGMQPKISAFFKRQAPEPETSSGGDTHREGTCGMEVKRPKSCADGKVLNKKRNYAQFHLELGQPDFLLHMCSGWRNETVVARSEGGDRIILVTDENSCARNSKVQEVIKVVQKELGFGEGQLLHKLCKRIVGCLVAEPIKTAHKVIPGSTEENGSDLPVDKIEPVKTNHTLEFGKISFKREVLKRHDHSDKNKEEYRDPGAIICEKEDVPAHCGFRAIWVVPSRRRKRIGSQLMDAARKSFLEGETLCISQCAFSPPTSSGKALARSYCKTSAFLVYKEQDA >ORUFI04G18990.4 pep chromosome:OR_W1943:4:20815106:20822519:-1 gene:ORUFI04G18990 transcript:ORUFI04G18990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATQATWPSMTRGKKGMQPKISAFFKRQAPEPETSRFFILVPSSNLLAVAIRGGDTHREGTCGMEVKRPKSCADGKVLNKKRNYAQFHLELGQPDFLLHMCSVCGMMYARGNDDDEKAHKAYHKSYFEGVPFKGWRNETVVARSEGGDRIILVTDENSCARNSKVQEVIKVVQKELGFGEGQLLHKLCKRIVGCLVAEPIKTAHKVIPGSTEENGSDLPVDKIEPVKTNHTLEFGKISFKREVLKRHDHSDKNKEEYRDPGAIICEKEDVPAHCGFRAIWVVPSRRRKRIGSQLMDAARKSFLEGETLCISQCAFSPPTSSGKALARSYCKTSAFLVYKEQDA >ORUFI04G18990.5 pep chromosome:OR_W1943:4:20815056:20822519:-1 gene:ORUFI04G18990 transcript:ORUFI04G18990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATQATWPSMTRGKKGMQPKISAFFKRQAPEPETSRFFILVPSSNLLAVAIRGGDTHREGTCGMEVKRPKSCADGKVLNKKRNYAQFHLELGQPDFLLHMCSVCGMMYARGNDDDEKAHKAYHKSYFEGVPFKREVLKRHDHSDKNKEEYRDPGAIICEKEDEKLPRRRDFVHLTVRFLTAYFLREGAGTQLLQDQRILSLQGARCIGDAAEDVTYLKLLFPL >ORUFI04G19000.1 pep chromosome:OR_W1943:4:20818605:20819087:1 gene:ORUFI04G19000 transcript:ORUFI04G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAAAPPSPAAGSGVCPASCTGASCSPSWPSTGPARVSATPSAASRRGTTGRTCSACSRRRRSSTRGSPSGACSPTSSPSPATAAARTSSSPVRGLQPPPPPRSHLAVRRLSPYLITSLG >ORUFI04G19010.1 pep chromosome:OR_W1943:4:20822779:20831586:1 gene:ORUFI04G19010 transcript:ORUFI04G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMWVSQRSTVSFGRILRQFLRPYAHEQKLHTTKMGASSSRQVQSRHGRGGAGGARPEERCYAYARKIRLLARVRVTTKGKGKWEVVEPITRRREAHETNAAAAAAAVQGCVWWLRSNATRGWVGERMKNVTHKQAAHKQNHSQMHQQIRCKHFSTKPNDREHVKQNMSTKIFTANFPSRN >ORUFI04G19020.1 pep chromosome:OR_W1943:4:20823780:20825868:-1 gene:ORUFI04G19020 transcript:ORUFI04G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHTIILMQPSQNRATRTFMDFNSVNHALDGICGLYERKIRDINPMARDLTYDINDLYNFIDGLTDISALVFDRSLHAFLPYDRRWIKQEMFQHLKRLAQQ >ORUFI04G19020.2 pep chromosome:OR_W1943:4:20823780:20825868:-1 gene:ORUFI04G19020 transcript:ORUFI04G19020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHTIILMQPSQNRATRTFMDFNSVNHALDGRLLYGMTGICGLYERKIRDINPMARDLTYDINDLYNFIDGLTDISALVFDRSLHAFLPYDRRWIKQEMFQHLKRLAQQ >ORUFI04G19020.3 pep chromosome:OR_W1943:4:20823782:20825320:-1 gene:ORUFI04G19020 transcript:ORUFI04G19020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCYSILAYRQLGFACKIIPSLWCGASAHAGRHTIILMQPSQNRATRTFMDFNSVNHALDGRLLYGMTGICGLYERKIRDINPMARDLTYDINDLYNFIDGLTDISALVFDRSLHAFLPYDRRWIKQEMFQHLKRLAQQ >ORUFI04G19020.4 pep chromosome:OR_W1943:4:20823780:20825520:-1 gene:ORUFI04G19020 transcript:ORUFI04G19020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHTIILMQPSQNRATRTFMDFNSVNHALDGICGLYERKIRDINPMARDLTYDINDLYNFIDGLTDISALVFDRSLHAFLPYDRRWIKQEMFQHLKRLAQQ >ORUFI04G19020.5 pep chromosome:OR_W1943:4:20823782:20825320:-1 gene:ORUFI04G19020 transcript:ORUFI04G19020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCYSILAYRQLGFACKIIPSLWCGASAHAGRHTIILMQPSQNRATRTFMDFNSVNHALDGICGLYERKIRDINPMARDLTYDINDLYNFIDGLTDISALVFDRSLHAFLPYDRRWIKQEMFQHLKRLAQQ >ORUFI04G19020.6 pep chromosome:OR_W1943:4:20823780:20825520:-1 gene:ORUFI04G19020 transcript:ORUFI04G19020.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHTIILMQPSQNRATRTFMDFNSVNHALDGRLLYGMTGICGLYERKIRDINPMARDLTYDINDLYNFIDGLTDISALVFDRSLHAFLPYDRRWIKQEMFQHLKRLAQQ >ORUFI04G19030.1 pep chromosome:OR_W1943:4:20827099:20832511:-1 gene:ORUFI04G19030 transcript:ORUFI04G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDHQPVISLRPGGGGGGPRPGRLFSPAFAAAASGSGDLLRSHVGGASKIGDPNFEVRERVRYTRDQLLELREIVDIPEAILRINQEIDIELHGEDQIWGRPESDVQVQTQTQAQPHNRYGETDNRDWRARTVQPPAANEEKSWDNIREAKAAHASSGRQQEQVNRQDQLNHQFASKAQVGPTPALIKAEVPWSARRGNLSEKDRVLKTVKGILNKLTPEKFDLLKGQLMESGITTADILKDVISLIFEKAVFEPTFCPMYAQLCSDLNEKLPSFPSEEPGGKEITFKRVLLNNCQEAFEGAESLRAEIAKLTGPDQEMERRDKERIVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGSGPDKKACPEEENVEAICQFFNTIGKQLDENPKSRRINDTYFIQMKELTTNLQLAPRLRFMVRDVVDLRSNNWVPRREEIKAKTISEIHDEAMKTLGLRPGATGLTRNGRNAPGGPLSPGGFPMNRPGTGGMMPGMPGTPGMPGSRKMPGMPGLDNDNWEVPRSKSMPRGDSLRNQGPLLNKPSSINKPSSINSRLLPHGSGALIGKSALLGSGGPPSRPSSLMASLTHTPAQTAPSPKPVSAAPAVVPVTDKAAGSSHEMPAAVQKKTVSLLEEYFGIRILDEAQQCIEELQCPEYYSEIVKEAINLALDKGPNFIDPLVRLLEHLHAKKIFKTEDLKTGCLLYAALLEDIGIDLPLAPALFGEVVARLSLSCGLSFEVVEEILKAVEDTYFRKGIFDAVMKTMGGNSSGQAILSSHAVVIDACNKLLK >ORUFI04G19040.1 pep chromosome:OR_W1943:4:20839096:20839783:1 gene:ORUFI04G19040 transcript:ORUFI04G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITTGDRKEKRNVGFKIPKKKFRFCVRDEYGTKALAEQVMVKSTSLWREIELRTETRMITAFFYHGCGLRTWSAYRQNIPIFNKLHAALNNILRRYKSRRVEIGLFYLQSNMKCFQFTVRSPLDNNNEFLKKLRKIKAHECNMREDNAEKTGSLSPIIMGTLRLVSKRHVLGFMFVIFLSCVIIFL >ORUFI04G19050.1 pep chromosome:OR_W1943:4:20843514:20846989:1 gene:ORUFI04G19050 transcript:ORUFI04G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGQLYRPSAAASGPTRSGGGRRHRAERPPSAPSRPCRIVGVEQLRQRPPLLRPRRRLLVHPLRHCWIRGQQQRRPSAVQELQAQGNKQMAAGVCVQQYMHSSVQAQQKETLQHV >ORUFI04G19060.1 pep chromosome:OR_W1943:4:20850054:20850965:1 gene:ORUFI04G19060 transcript:ORUFI04G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPPDSNPCFREILENNLGIRLPTFYWTSQWPEEPDVPTCEESNGRAVAGGRGQEEALPLLAAAGCGAGRGEGDRGVRALDAELGDEVQVAAVPVVGEVGEHGVVSGRHPGRWRGGERGEDGEDGKIDGRMEKVTGVG >ORUFI04G19070.1 pep chromosome:OR_W1943:4:20852003:20852764:-1 gene:ORUFI04G19070 transcript:ORUFI04G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPASRVRREDVARAVAALLRWLQHHPTPAPEPIYLLVTLKRAPARRFEHTLRLPRSPFPSISLVSDRLPADLPDDIDPLPSPALGSLPPAARRGLVLVDRRLRVRPGGKGKAAAKAARVVPVDLADQAWAESAREAARRVELRVEGGTCRAVRVGHAAMAREEAVENVVAAVEAAAACVPRKWRNVRALHVKAPESVALPLYSAVGTGGGDDGGNGEAEDAKRKGVAVKEQGIVKRRKKSSSVSVGGDQL >ORUFI04G19080.1 pep chromosome:OR_W1943:4:20853577:20854747:1 gene:ORUFI04G19080 transcript:ORUFI04G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMGSSIGRATIFYCVALSIIAGAAATQVPPTEAESVEAAELASSAFKADTLPSASRKLMAVSDVPLAPVCPVRFDKMKGPAIELGKKCKTTGVKVCCEAFKTFACPHNKLINDVNNGCADEMFYTIHTYGQLLPGTIFKKCLEGPHGMKC >ORUFI04G19080.2 pep chromosome:OR_W1943:4:20853777:20854747:1 gene:ORUFI04G19080 transcript:ORUFI04G19080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPAAELASSAFKADTLPSASRKLMAVSDVPLAPVCPVRFDKMKGPAIELGKKCKTTGVKVCCEAFKTFACPHNKLINDVNNGCADEMFYTIHTYGQLLPGTIFKKCLEGPHGMKC >ORUFI04G19090.1 pep chromosome:OR_W1943:4:20856275:20857511:1 gene:ORUFI04G19090 transcript:ORUFI04G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTILFYCVALSVVAAAAVVSSAAEEAEGPQDEAGRFLSAATLASSDSDAKTSRRALTSQEEIIAKPCPVEFEQVKGFGELGAKCNDKQTMKECCELFKKIACPYNHLLNDITNVCANEFFYLIHTKGKLQPGTILENCNEGPMGINC >ORUFI04G19100.1 pep chromosome:OR_W1943:4:20857646:20858296:-1 gene:ORUFI04G19100 transcript:ORUFI04G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPSLLLILCAAVASFLIFLCGGDGGAAAAAGGPRSVKMASSLYVPQLTRWRVALGGVSRGVVEHEGKVHLVVSRGEDDDRVVAAAGKEEEKEDEDEDPRERVEIGGRLFPVVDETEVALHGGKVVRAVEYGERRGSPAAPLLLTVTEGKEKEVAEVVGAPDGGGVLRVVGCGCYADPVTGTVQHMVDVQGSEAFVLLVSVREELGRIVSIKRLN >ORUFI04G19110.1 pep chromosome:OR_W1943:4:20858454:20869826:1 gene:ORUFI04G19110 transcript:ORUFI04G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWHETWGGCVTPTTVSGGGAARITQSLRANCAACCGGRENSEAPTAVRIEAWGARSSTSTLGLGFAGLYNQRFDVGDTTVY >ORUFI04G19120.1 pep chromosome:OR_W1943:4:20874196:20879951:1 gene:ORUFI04G19120 transcript:ORUFI04G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVRRSTMVRPAWETPRVRLWNSNLDLVVPRFHTPSVYFYRRGPEGGGAPEGFFDGERMRRALAEALVPFYPMAGRLARDEDGRVEIDCNGEGVLFVEADAPDASVDDYGDFAPTMELKRLIPAVDYTDDISSFSLLVLQVTYFKCGGVSLGVGMQHHVADGMSGLHFINSWSDLCRGTQIAIMPFIDRTLLRARDPPTPSYPHVEYQPAPAMLSSVPQSVTANKTTPPPTAVDIFKLTRSDLGRLRSQLPSGEGAPRFSTYAVLAAHVWRCVSLARGLPSEQPTKLYCATDGRQRLQPPLPEGYFGNVIFTATPLAEAGKVTSGLADGAAVIQEALDRMNDSYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANKDGSLSIAISLQAEHMEKFRKLIFEV >ORUFI04G19120.2 pep chromosome:OR_W1943:4:20874488:20879951:1 gene:ORUFI04G19120 transcript:ORUFI04G19120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRRRWWQGKMAITVRRSTMVRPAWETPRVRLWNSNLDLVVPRFHTPSVYFYRRGPEGGGAPEGFFDGERMRRALAEALVPFYPMAGRLARDEDGRVEIDCNGEGVLFVEADAPDASVDDYGDFAPTMELKRLIPAVDYTDDISSFSLLVLQVTYFKCGGVSLGVGMQHHVADGMSGLHFINSWSDLCRGTQIAIMPFIDRTLLRARDPPTPSYPHVEYQPAPAMLSSVPQSVTANKTTPPPTAVDIFKLTRSDLGRLRSQLPSGEGAPRFSTYAVLAAHVWRCVSLARGLPSEQPTKLYCATDGRQRLQPPLPEGYFGNVIFTATPLAEAGKVTSGLADGAAVIQEALDRMNDSYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANKDGSLSIAISLQAEHMEKFRKLIFEV >ORUFI04G19130.1 pep chromosome:OR_W1943:4:20881739:20887200:1 gene:ORUFI04G19130 transcript:ORUFI04G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQRPLQVPDITKSTHSGGNTVLAYASSAMQGYRSTMEDAHATIENLDAPTNTSFFGVYDGHGGSAVARMDEMLRNQAASKELTEYGSGNEYWRTAGRSWLRCAPCVQGPVYCGPLAEGCTACVVLIRNTQIVVGNAGDARCVISRNGDLSYKNNKKLRPEQQLLTCSPEIRADQLTDDTEFLVIACDGVWDVLANQAVVDFVRLHLNNGVELSVICESLLQEAITRDPPSTDNMSVILVRFLHPEGNRGARAATSSTSTGTVPSRHSKSISL >ORUFI04G19140.1 pep chromosome:OR_W1943:4:20888579:20890422:-1 gene:ORUFI04G19140 transcript:ORUFI04G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAASAKKGDAKAQALKAAKAVKSGTAKKTTKKIRTSVTFHRPKTLKKSRDPKYPRVSTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >ORUFI04G19140.2 pep chromosome:OR_W1943:4:20888579:20889996:-1 gene:ORUFI04G19140 transcript:ORUFI04G19140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDAGDMSVLVMFKRQPCEAPMAPKAASAKKGDAKAQALKAAKAVKSGTAKKTTKKIRTSVTFHRPKTLKKSRDPKYPRVSTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >ORUFI04G19150.1 pep chromosome:OR_W1943:4:20892317:20896021:1 gene:ORUFI04G19150 transcript:ORUFI04G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDPKERLLLPPRAAAAAAANGPHRRAAPAAGGGGGGVAIDVHGLKRRGGGRRSWVRVDAATGASEAVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGRERAVVCNLERIRCIITADEALILRDPNVAGGGAETEEAVRRYVAELQRRLVDRADDLPFEFIALEVALEAACSFLDAQAVELEADAYPLLDELTTKISTLNLERVRRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMEASLLEEQAFQGMGNSGFGSSFSAPVSPVSSPPASRRLEKELSFARSRHDSFKSADSSQYSIEELEMLLEAYFVVIDYTLSKLTSDNVRNQLIQFELLLTTATFVVAIFGVVSGVFGMNFEVDLFNVPHAFEWTLVITGVCGLVIFCCFIWYFKKRRFFPL >ORUFI04G19160.1 pep chromosome:OR_W1943:4:20897500:20901454:1 gene:ORUFI04G19160 transcript:ORUFI04G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGANARGRLSPASGGGGDSEPRSAGSRTRSVSATRGRKPSPRPGRDAAAAAAEEKKPAAVPTLLPSLSVPAGMRRQELLLRSGLSLDASCSSDASTDSFCSRASTGRIGRPTFGARKKKTLCQTDHKIVSMLEREVGLASANDVPGLKRRCSWVTANTEPCYAAFHDEEWGVPVHDDKVLFELLVLSGALAELTWPTILNKRPIFREVFMDFDPVLVSKLSEKKIIAPGSPSSTLLSEQKLRGVIENARQILKIVEEFGTFDKYCWSFVNNKPILSRFRYPRQVPVKTSKADAISKDLVRRGFRSVGPTVVYTFMQVSGMTNDHLISCYRFAECAAAATGSNTTVGSETNSDSSNRATEQQMNGTNGLAADIARTIDELSIS >ORUFI04G19170.1 pep chromosome:OR_W1943:4:20915665:20917032:-1 gene:ORUFI04G19170 transcript:ORUFI04G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRVRFNVGGQVFETTTTTLANAGRESMLGALLDSSWNLAPTAAGGGGGGGGGGGGGGVAEYFIDRNPACFAVLLDLLRTGSLHVPPQLPEKLLYREALYYGLLDHVRAARWGAFDGDRLRLAASVPGRAPGDGTAIRAAPDGGCCVAHGGAVHVYNWMLDERRPVSLDHSQVNDVAYLDEATLLIAARERLGKCDGGMAAFSAVSGDLRHRFRVAHDRQAKSFTAGALAFDQDSSIFASCKGRLNEYGIGVWDRATGEQADFFYEPPGCALGDADKLQWLDATNALMVATLFPKTDNCFIGLLDFRDKNVAWSWSDAGMTASLDDKRVLHAIAMEDERSVCVINQYDDLGFLDLRSNAGGVRWSSRSKFMNRKVPSEESCYPKLATHGGQLFSSMNDSISVFSGPECVLTSTLRRSHGGAICDFSIGGDRLFALHNEENVFDVWETPPPPII >ORUFI04G19180.1 pep chromosome:OR_W1943:4:20919769:20926116:-1 gene:ORUFI04G19180 transcript:ORUFI04G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAQMEVERRLRDIGARFTSLPDADDELLRLIEEAETWLARVDQSPPESMHKALRPTMSALIKKELLDHSVPDIKLAVASCLTEVTRITAPEAPYDDDVMKDVFTRVVEAFEKLDDMESPSYARRVAMLETVAKVRSCVLMLDLDCDDLIRDMFHHFFRTISNTHQENVITSMETVMKFVIDESEDVQQDMPSCLLQDLASYLLKNLKKEEKETLPASFELAEKVINKCYEKLKPVFTPLLRGTPLDEYSEVVTSLFEDALDAGVADNSDAPGKDTVADGKLSHKIISDESAQESSKLEQDANCPGKDGTPPNNTSTSAVSNGCALIDRVKSPSGPSSSDKKAELPSDDNQAKDTDDLISGAKEIPEPITTEPEKPSDHNLKKSHKLDTSTDSEVVDHSKAVNNNEDILVSRELSPETDNGDNKLPPETGNRAADDKSKHVDNTPAGKGKRGRPPASKSHEKKNVGKGKVSGLESKKADAVSDSGGRATRRLAKDDDIKSSFKKTGEGESSKKKQKENLKQQEDTPPDEDTDEDLSLKDIVSPKSSAKTGKNKGQAGDSGGSKRKRAQEAEETPQPKKNKILKGNLVGSRIKVWWPDDRKFYKGVVESFDVASKKHKVVYDDGDVERLHLKNEKWEFIDEGRDNNPDTSSDMPRGRRGRVSLGEQTKEGKIETPSSGKHRGTDVADPPKKRGRPKGVRSSNSSQNDDSPLKGKNAENDDEDISKTPKSGSALKNEGGRSSRSTGKTKDGLLKGSNKDETGNTKSASKSKNDGGSKHKDSKDEAKSSGSNPKGASTPKAADGSKTNGLSTKRKQKEKEGESSEEEEHVSAKISTGKKRRRKAHN >ORUFI04G19190.1 pep chromosome:OR_W1943:4:20928894:20935049:1 gene:ORUFI04G19190 transcript:ORUFI04G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPATPRWNLERPYLTGRFHQEAKVAAAAQGAGSKPYSLDSFSRGGGGGGGAESVIGSYAVSVQELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQIDSSMDLALQELTRRIFPLCEDFVLVYHFVESRSHFKSGLVNHALAAALRAFLLDYQAMVAQLEHQFRLGRLSVQGLWFFCQRMMSSLNALAVLVEKAISNNTSGSATLNLLQSQAKAMAGDSAVRSLLEKMTECASAAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKDGIPSFLTNVAATILTTGKYLNVMRECEYTVQVPLSESSKLMGFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISVEKLQSLVDIALRSTAAASDPSHEDLTCCVERSSLLKKLSTLKDLDCAYPSDKLVAADVDHPMPLSVTGLETFCLSYKVQWPLSLVISRKALTKYQLIFRLLFHCKHGFRSVKILGTPVLRSSILCRSMLKFVNSLLHYLTFEVLEPNWHLMHDRLQTARSIDEVIQIHDFFLQKCLKECLLLLPELLVKIEKLKALCLQYATSIQLLIPSIDVAKPENTSKSRMPRSKIKETKNRGQQLKLASENVVMSESILKFEAEFNSELQSLIPTLSNSSQAEPYLTHLGQCILGVGVDQ >ORUFI04G19200.1 pep chromosome:OR_W1943:4:20937152:20939586:1 gene:ORUFI04G19200 transcript:ORUFI04G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGAAVAAACGRWCLVILAVASALGVSGPAFYWRYKKGFASSSSSSSVSASAAAVVSPSCPPCSCDCPPPLSLQSIAPGLVNFSTSGCGKNDPELSKEMEKQFVDLLNEELKLQQIVAEEHSHHMNATLVEAKRQATQYQREAEKCNAATETCEEARERSEAAISKEKKLTALWEQRARQLGWQDSRPRVTTI >ORUFI04G19210.1 pep chromosome:OR_W1943:4:20940311:20942495:-1 gene:ORUFI04G19210 transcript:ORUFI04G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPIHQHTIANVRKLNRLWEDAKRAACKITMSIKLELRIYMHCKACERSVRRAIEKIDAQSILPEISTNYTYAGVEKVEVERGENKVTVTGGGDFEPEKAVRRIKKKTGKKVEILALEEEDDDHEEDGGGGADAQAHHEFQRHGYYVPYYHHRHHHHLVPVPCAYVPSCYDHLVPVPPPDNGGGGTADVAHEFQRRGGVGHYGYYAPCYYDGGGGGGGDVAHEIQRPVRSAWDLHGFDDENTQACRVT >ORUFI04G19220.1 pep chromosome:OR_W1943:4:20942914:20944606:1 gene:ORUFI04G19220 transcript:ORUFI04G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFKNTSERAIASPPLPAAASSLVSVESVTQQTQELEQSGSPGEKAVQGSKLLLGFMTLI >ORUFI04G19230.1 pep chromosome:OR_W1943:4:20944678:20947627:1 gene:ORUFI04G19230 transcript:ORUFI04G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGLFQFAPYIMTSRTLARQSSSEQTRERRSHLRRNALQVSERCRSWSLHGELFGDGSGELFVDVLVLFFHDGVYRARSKKIVGGGTDVRPVYGLEFVHGGYDGGRSRELAGNGASAYLCEDVLGLFRKFHYFVRPSRIVGVGTCALPEAWIVGVGTCVLPDDGLELFHAGFLPQIPEPTASCSDALASSLWRTEDQRRTAADLLGREFGILAEQKRLIQRHLDLKEEMHLRHNKEIENAFISETRLARGVEQDLLGDCKKAVLVQQDQSGKEEESHQCLRQLQDVNGEQLVGVPKLGLPGAEENVACNNTASHKEFSEFPGPDIKFGSINIRDIPLLQGRQAAVILPNPQLDRRTSGLTPAGASSLDQETDQELNEKLL >ORUFI04G19240.1 pep chromosome:OR_W1943:4:20948029:20956625:1 gene:ORUFI04G19240 transcript:ORUFI04G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGVSIESGSAIRVAVLPVGGPISPARLRDYAALVARHARVDLASLRPYYSEHQKSPFAHQPWGGGCLRLKFVLGGCVPSPWEDFQSSRKVLAVVGICHLPSSPDLGCVAADFVDAARSYPSALASRCFAFCPTDAQLVQKKRDNIIMFPPSDQQSLELHMLTMIQDLSASLLMEFEKWVLRAESTGTILKTPLDSQSSLGSEEVIKAKKRRLGRAQKIIGDYCLLAGSPADANAHYATAIELARLTGDVFWHAGALEGSVCALVVDRMAESDPVLEDEVKFRYYTIIQLYRRATLQDNAQRVSPVSFELEAALKLARYLCRRQCAKEVSDLLMGAADGAKALIDASDRLILYIEIARLFGTLGYKRKAAFFSRQVAQLYLQQDNAYAAMSAMQVLTTTTTAYHVQSRKTSKMDHGLLKLYWLSLFDSGNTDPGKLHPQSVVSLFESQWSTLQMVVLREILMSSIRAADPLSSWSAAARLLRLHSFPLHPSQREIVKRNPNKKEWWTGGGPSGPFIYTPFTKGGTSGTSKQEVNWIVGEPVQVMVELANPCSFDLIVESIYLSVHSGNFDAFPVSVNLPPNTSKLVLLSGIPTQVGQVSIPGCIVHCFGVITEHLFKEVDCLLLGAAQGLVLSDPFRCCGSSKFKSVNFPSISVVPPLPLLVANVVGGDGSILLYEGEIRDVLITLTNAGTVPVEEANVALSGKNQDSVISIAHSTWKSALPIKPGGEVTFAVTLRAWHLSPTDLEADGSRSPANSRRIAREGSNPFLDIHYAGPSGNSESNDVSLPPGRRLVVPLNICVVQGMRLVRARLLSMELPARFTDAHLRSVSSKDNLSNGSDAIRNDISLLKIDPYKGSWDLRLLELELFNPTDVVFDVDVSVHLDGTSVEQKILPEDKTASSACHKTRIDRDYSARVLIPLEHFKLPVLDTSFFVKENGSDEPLGSRAATLAEKNAKAELNASINNLISKIKVKWHSGRNSSGELNIKDAIQTALQASIMDILLPDPLTFSFRHAKDGTTAKTDSSKEPGDGSSRSADESVLRCKDPIFANEMTHMEVQIRNNTKETIRMNLSISCKDVAGENCFDENSATVLWAGVLSDIYLEVQPLQEPGNRLEEQELQGKPSPVSELLKCAGFYALRKLQKVVIEIERNEARLKKIANVARAALTRMNNGETLSQEEMKSYLEEIIRLASI >ORUFI04G19250.1 pep chromosome:OR_W1943:4:20957563:20959533:-1 gene:ORUFI04G19250 transcript:ORUFI04G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTERAFLKQPKVFLCPKKTTKGKKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSKSGAGKKVFTAA >ORUFI04G19250.2 pep chromosome:OR_W1943:4:20957565:20959448:-1 gene:ORUFI04G19250 transcript:ORUFI04G19250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTERAFLKQPKVFLCPKKTTKGKKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSKSGAGKKVFTAA >ORUFI04G19250.3 pep chromosome:OR_W1943:4:20957565:20959448:-1 gene:ORUFI04G19250 transcript:ORUFI04G19250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTERAFLKQPKVFLCPKKTTKGKKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRQVNLVAGYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSKSGAGKKVFTAA >ORUFI04G19260.1 pep chromosome:OR_W1943:4:20982242:20987967:1 gene:ORUFI04G19260 transcript:ORUFI04G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGXXGSRPPWVGLAAAVWVQVAAGSAYVFPLYSHAIKEALGYNQKALTMLGVANDVGENVGLVPGVLANRLPPWLILLIGSACALLGFGTLWLAVTKTLVMPYWVLCIALCIGTNSSAWLGTAALVTNMRNFPLSRGTVAGLIKGYVADNAAEHSHFMFTQISSVVLGVYLMVATILGDTLKLSDAITYLLFGIMILLLLAPLAIPIKMTIYPNKPKREKTSTLALSYSTDSLSGPDQENSEPLLGGTSTFVTGANDSDEATDVDLLLAEGEGAVNLKKKRGPRRGDDFTFREALVKADFWLLFIVYFCGVGTGVTVLNNLAQVGMAVGADDTTILLCLFGFCNFVGRILGGSVSEYFVRSRMLPRPFWMMCTQIIMVITFLLFATGLHSLIYVSTTFLGICYGVQFAVMIPTVSELFGLKDFGLMYNFMLLVNPLGAFFFSALLAGYIYDKEAAKQQPGVLEPSTCLGPDCFRLTFYVCAIVCCCGTLVSVVFIARIKPVYQMLYASGSFRHPRSQQLH >ORUFI04G19260.2 pep chromosome:OR_W1943:4:20982242:20987967:1 gene:ORUFI04G19260 transcript:ORUFI04G19260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGXXGSRPPWVGLAAAVWVQVAAGSAYVFPLYSHAIKEALGYNQKALTMLGVANDVGENVGLVPGVLANRLPPWLILLIGSACALLGFGTLWLAVTKTLVMPYWVLCIALCIGTNSSAWLGTAALVTNMRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLQNSPTNLLLLLALGIPTACVLVMYFVRPCTPSLDEDNAAEHSHFMFTQISSVVLGVYLMVATILGDTLKLSDAITYLLFGIMILLLLAPLAIPIKMTIYPNKPKREKTSTLALSYSTDSLSGPDQENSEPLLGGTSTFVTGANDSDEATDVDLLLAEGEGAVNLKKKRGPRRGDDFTFREALVKADFWLLFIVYFCGVGTGVTVLNNLAQVGMAVGADDTTILLCLFGFCNFVGRILGGSVSEYFVRSRMLPRPFWMMCTQIIMVITFLLFATGLHSLIYVSTTFLGICYGVQFAVMIPTVSELFGLKDFGLMYNFMLLVNPLGAFFFSALLAGYIYDKEAAKQQPGVLEPSTCLGPDCFRLTFYVCAIVCCCGTLVSVVFIARIKPVYQMLYASGSFRHPRSQQLH >ORUFI04G19270.1 pep chromosome:OR_W1943:4:20991265:20995400:1 gene:ORUFI04G19270 transcript:ORUFI04G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLPRGAALLLLRSAARRPAAASSRGFLSSAAAGGREGALAAAAVAVVGSGLGLWLVPPSLADSGEAVADAPAGQISVGSGSGAVGAVEERGRKRRFLLGDSFRRRVFFNYEKRIRLLSPPEKIFEYFASVRNPEGEVFMLPADLMRAVVPVFPPSESNIVREGRLRGERNPGELHCAPSEFFMLFDTNGDRLISFAEYIFFVTLLSIPESSFSAAFKMFDVDHSGEIDKEEFKKVMALMRSYNRQGANHRDGLRTGLKVGQPVENGGVVEYFFGNDGNEPLHYDKFTNFLKGLHDEIIRLEFSHYDVKSSNTIPAKDFALSMVASADMNHINKLLDRVDNLGNDPDLKGVRITFEEFKSFADLRRRLEPLAMAIFTYGKVNGLLTKQDLKRAAHHVCGVDLTDRVVDIIFHVFDTNHDGNLSSEEFVRALQRRETDVRQPATPGSMGLLSYWLNFNKCSSLTQMLLK >ORUFI04G19280.1 pep chromosome:OR_W1943:4:20998307:21000772:-1 gene:ORUFI04G19280 transcript:ORUFI04G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCICVCICICICIHIYISGHPWICESGVAINQALDPSVITWLKQFCNEQVKKLALRAKMNLMKESKHSGDQIWSAMFGWAGNGRDQGPIPVDQPGVGRIPAHARIGVRLSPGEILSRLTPVFFPCNRPGNIQLLWWRGKNPLEGVQRSGGTTRGGAAAAGMAWRGAAQPGRSGNNTHSPWVAVFSGGHLLPGVVGDATASHPLTMAPLKLYCCRKEKQEDTDAATASSA >ORUFI04G19280.2 pep chromosome:OR_W1943:4:20995909:20999419:-1 gene:ORUFI04G19280 transcript:ORUFI04G19280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWAGNGRDQGPIPVDQPGVGRIPAHARIGVRLSPGEILSRLTPVFFPCNRPGNIQLLWWRGKNPLEGVQRSGGTTRGGAAAAGMAWRGAAQPGRSGNNTHSPWVAVFSGGHLLPDWAKLSLGTPIAMVRRPIRNQQLNIGDTWLLPHSIDAPPSAGFQSCQRQDFHSTHHLCQRADLGRLHHAARRLPCRIRPKGPAKKLPTEKGRSSPNRLGADRWVTAGLCGSPAEA >ORUFI04G19280.3 pep chromosome:OR_W1943:4:20999469:21000772:-1 gene:ORUFI04G19280 transcript:ORUFI04G19280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCICVCICICICIHIYISGHPWICESGVAINQALDPSVITWLKQFCNEQVKKLALRAEVSEDRSKAYNAADLGEVALEFLCKEDRYNVIDVKEVVLSVIESTPVLHLIDPKRIKFLAENLAGKESEDELDEGI >ORUFI04G19280.4 pep chromosome:OR_W1943:4:20995909:20997922:-1 gene:ORUFI04G19280 transcript:ORUFI04G19280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRPIRNQQLNIGDTWLLPHSIDAPPSAGFQSCQRQDFHSTHHLCQRADLGRLHHAARRLPCRIRPKGPAKKLPTEKGRSSPNRLGADRWVTAGLCGSPAEA >ORUFI04G19280.5 pep chromosome:OR_W1943:4:20995916:20999419:-1 gene:ORUFI04G19280 transcript:ORUFI04G19280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWAGNGRDQGPIPVDQPGVGRIPAHARIGVRLSPGEILSRLTPVFFPCNRPGNIQLLWWRGKNPLEGVQRSGGTTRGGAAAAGMAWRGAAQPGRSGNNTHSPWVAVFSGGHLLPARRRSLGNCGPVWFSG >ORUFI04G19290.1 pep chromosome:OR_W1943:4:21001367:21002439:-1 gene:ORUFI04G19290 transcript:ORUFI04G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPCRWMGVRRSMVARRWVGAGRQLRAPTTARRSPPPFNIVAIKDVCEDGRAVHIVMELFIVGELLDKIQEEGHYNERKAAEIKDDGLSIKAIDIRRLQRLKTMAIDIRVLQTRSGFTELIGSPYYVAPEVLHRYVIDQNLRYCTYGPKSDVWSATVVLNVLMSGVPPFWAVLKGLIDFQSVLKISDNEKYHIGKMLSQYSSEHLNFEGP >ORUFI04G19300.1 pep chromosome:OR_W1943:4:21003558:21005036:1 gene:ORUFI04G19300 transcript:ORUFI04G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHCSSLFSPPAYCNSYYPPLPPQLGSLATNQIVARLMAQMNYEEGTGLGKYGHGIIDPINPTKKYGKGGVGKFESSYDSDSDYDTGPPVEPKLERGTGKAEPKAVVNAEEVRAMDTLQRERKAYAAARARERCHEKVRAYNMRWQRPPKHDAADDDDWEGITSGYMAIKRALKVVREQSESGKLTLGGLIHEFAGVKAKFPEEYRTNSMPYKAISFAAPLLHSQLSRQYSAGEYGGTEPLLNHTLVMVEALKDTLGADASAAYPRLIHDLVMAPPLDAWWWSAEEPEPMLRFVNRWKGLLPQATMDSILDEVILPTLVATVDVFRLTTWSSKPSVCVGMWIPHLGHARLRIVYIIISRRLKDHLCGGISDYDYKLALPWKKVFDPASWDEHIERHVLPHLRKALHDLEISVRMTWLQNKNFFPLVMRWASIVPVKYMVPLLIQGFFKKWMYSNYRYLMGERPRLDEAMAWYEVWKGLFTPELLAEKCVVV >ORUFI04G19310.1 pep chromosome:OR_W1943:4:21006538:21012957:-1 gene:ORUFI04G19310 transcript:ORUFI04G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACSRKRGQLLVDEEDLYSARFSKSSSFKWLLHTLPRSGSDVHRKVQGPVPARCPSLMELCVAKVREVFNELVEWNILTEELLGAFRDCALQDICLADYPGVRDAWMEVAASQGQSLLSVDISCSDVTDGGLNQLKDCINLQSLSCNYCDQISEHGLKTLSGLSNVTSLSFKKCSAVTAEGAKAFANMVNLGSLDLERCPKIHGGLVHLKGLRKLEKLNLRYCNGITDSDMKHLSGLSKLAHLNLEGCAVTAACLEVISGLVKLKVLNLGFNYITDACLVHLKELINLECLNLDSCKIGDEGLAHLKGLLKLRSLELSDTEVGSNGLRHLSGLTGLTHLDLFGARITDAGTNCLKYFKNLQSLEVCGGLITDAGVKNIKDLKALTLLNLSQNGNLTDKSLELISGLTALVSLNVSNSRVSNSGLHHLKPLQNLRSLSLESCKVTAIEIKKLQLAALPNLVSVRPE >ORUFI04G19320.1 pep chromosome:OR_W1943:4:21019989:21027144:1 gene:ORUFI04G19320 transcript:ORUFI04G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVCFEAMCPCFGSRRKDGSEDPVLGRDGNSLNSSELRSMSDRVPPSPLRVPASPSRFSLSSSPSRNEPLNLSLEQVIKLTHNFAPDLMIGEGYFGKVYRAQLRDGHIVAIKRAKMSRWLIHFCVDQYGNETVMHWNLVQLLGYIDKRNERIVITEYVPNGTLREHLDGQRGLVLSFNQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLNEGFRAKVADFGFARTGPTEPDQSQIQTDVRGTAGYVDPEYLRTNHLTVKSDVFSYGVLLLEILSGRRPIEVRRAARERITVRWAFEKYNRGDVKEILDPMLTESVNEDILNKIFDVAFQCVAPTRADRPTMKEVAERLWKIRRDYAKTQRRAEMAL >ORUFI04G19330.1 pep chromosome:OR_W1943:4:21025901:21029162:-1 gene:ORUFI04G19330 transcript:ORUFI04G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLTVAAAVAAWAIPLAALVASIVPDPYMDEIFHVPQVQRYCRGDFLIWDPMITTPPGLYYISLAYVASLFPGAWVTRIAEAFDALCTTALLRSTNVIMAMICGVLVHDLLLCIRPKIGKRKATAFAILVALYPIHWFFTFLYYTDVASLAAVLAMYLFCLKKQFWVSAAFGAFSILLRQTNVIWMIFFAANGAIAHAQYLYVKDNVCYENSELTDKSNKEASHMDNKTTAPGLRKRRNNNPINKREVVSESNIMYSSFTEEIWDAIFKLWNSKCEVLIAFIPFSMVLLVFVAFIVWNGGIVLGAKEAHVVSPHFAQFLYFGLVSAAALLPWHFTPTRASDLFHWCRKNKTYSSFAILVALGLSLVAVHLFSIAHPYLLADNRHYTFYIWRKVIQVHWMMKYILTPVYVYSWFSIVNILGKSQTRLWVLSFVLSVALALIPAPLVEFRYYTIPLVILVLHSPVISNVKLLALGFLYAAVDFFTLAMFLFRPFQWQHEPGTQRFIW >ORUFI04G19330.2 pep chromosome:OR_W1943:4:21025901:21029688:-1 gene:ORUFI04G19330 transcript:ORUFI04G19330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKQEELTVGGGDSRRWKVRRIRPRPPSCSDLGLALSSTLPPFGLLGWAGEFAQPASYVGLAQNAQPSLKPTATNAEARLSPARELYCAAAAPHLVSRLRETAPEPQRRGLPERLRYYISLAYVASLFPGAWVTRIAEAFDALCTTALLRSTNVIMAMICGVLVHDLLLCIRPKIGKRKATAFAILVALYPIHWFFTFLYYTDVASLAAVLAMYLFCLKKQFWVSAAFGAFSILLRQTNVIWMIFFAANGAIAHAQYLYVKDNVCYENSELTDKSNKEASHMDNKTTAPGLRKRRNNNPINKREVVSESNIMYSSFTEEIWDAIFKLWNSKCEVLIAFIPFSMVLLVFVAFIVWNGGIVLGAKEAHVVSPHFAQFLYFGLVSAAALLPWHFTPTRASDLFHWCRKNKTYSSFAILVALGLSLVAVHLFSIAHPYLLADNRHYTFYIWRKVIQVHWMMKYILTPVYVYSWFSIVNILGKSQTRLWVLSFVLSVALALIPAPLVEFRYYTIPLVILVLHSPVISNVKLLALGFLYAAVDFFTLAMFLFRPFQWQHEPGTQRFIW >ORUFI04G19330.3 pep chromosome:OR_W1943:4:21025901:21029162:-1 gene:ORUFI04G19330 transcript:ORUFI04G19330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLTVAAAVAAWAIPLAALVASIVPDPYMDEIFHVPQVQRYCRGDFLIWDPMITTPPGLIAEAFDALCTTALLRSTNVIMAMICGVLVHDLLLCIRPKIGKRKATAFAILVALYPIHWFFTFLYYTDVASLAAVLAMYLFCLKKQFWVSAAFGAFSILLRQTNVIWMIFFAANGAIAHAQYLYVKDNVCYENSELTDKSNKEASHMDNKTTAPGLRKRRNNNPINKREVVSESNIMYSSFTEEIWDAIFKLWNSKCEVLIAFIPFSMVLLVFVAFIVWNGGIVLGAKEAHVVSPHFAQFLYFGLVSAAALLPWHFTPTRASDLFHWCRKNKTYSSFAILVALGLSLVAVHLFSIAHPYLLADNRHYTFYIWRKVIQVHWMMKYILTPVYVYSWFSIVNILGKSQTRLWVLSFVLSVALALIPAPLVEFRYYTIPLVILVLHSPVISNVKLLALGFLYAAVDFFTLAMFLFRPFQWQHEPGTQRFIW >ORUFI04G19340.1 pep chromosome:OR_W1943:4:21029498:21031957:1 gene:ORUFI04G19340 transcript:ORUFI04G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFAIVSKNDIPIYEAEVGSAPKKEDLAYQHQFILHAALDVVQDLAWSTNAMFLKSVDRFNDLVVSVYFYLYNNIVFFNLMTHARFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKVRALARRYL >ORUFI04G19350.1 pep chromosome:OR_W1943:4:21045065:21050108:1 gene:ORUFI04G19350 transcript:ORUFI04G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGKVVLGCRFPRIIKTTSPARCYAHPALCALLPVYHSSQSDNRRKKKKQQQQSSQPVRRARSNRGSKRRRREDSTVRLYRLMGEEDISNDSKSSCGCEDGTVEAPAAAAPKENGRAADPRLQAISDAIRVVPHFPKPGIMFNDITALLLRPAVFKDAVDMFVERYRGMRIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPVAFTNLKGEVISETYILEYGTDCLEMHVGATEPGERVVVVDDLVATGGTLCAAIKLLERAGADVVECACLIGLPKYKNFYKLNGKPVYILVESRK >ORUFI04G19350.2 pep chromosome:OR_W1943:4:21045065:21050108:1 gene:ORUFI04G19350 transcript:ORUFI04G19350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGKVVLGCRFPRIIKTTSPARCYAHPALCALLPVYHSSQSDNRRKKKKQQQQSSQPVRRARSNRGSKRRRREDSTVRLYRLMGEEDISNDSKSSCGCEDGTVEAPAAAAPKENGRAADPRLQAISDAIRVVPHFPKPGIMFNDITALLLRPAVFKDAVDMFVERYRGMRIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYILEYGTDCLEMHVGATEPGERVVVVDDLVATGGTLCAAIKLLERAGADVVECACLIGLPKYKNFYKLNGKPVYILVESRK >ORUFI04G19350.3 pep chromosome:OR_W1943:4:21045065:21050108:1 gene:ORUFI04G19350 transcript:ORUFI04G19350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGKVVLGCRFPRIIKTTSPARCYAHPALCALLPVYHSSQSDNRRKKKKQQQQSSQPVRRARSNRGSKRRRREDSTVRLYRLMGEEDISNDSKSSCGCEDGTVEAPAAAAPKENGRAADPRLQAISDAIRVVPHFPKPGIMFNDITALLLRPAVFKDAVDMFVERYRGMRIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYILEYGTDCLEMHVGATEPGERVVVVDDLVATGGTLCAAIKLLERAGADVVECACLIGLPKYKNFYKLNGKPVYILKDSQLHSFARARWRNWIGIGEQ >ORUFI04G19360.1 pep chromosome:OR_W1943:4:21053565:21053930:-1 gene:ORUFI04G19360 transcript:ORUFI04G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGCGGGHSGQPAKVAAAGEARQCICSPTTHEGSFRCRLHRRGGMPRSASCQQLQLGAESSPLLCSAAAMRRSASQLQFANQHPLDPGMSRSASEKELPPCAGVPRSASWQDFAQKEDH >ORUFI04G19370.1 pep chromosome:OR_W1943:4:21054337:21054987:-1 gene:ORUFI04G19370 transcript:ORUFI04G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAAETETEGGGGAAAVEPQVVVVERVVTVEYLEPSMSRGLLGMFPDSSAFDFDYSQSGIWSPLNKVPRASSPPPPPPRSGGGGAEGSRDFLIANPKRRARAAIGGRSSRSRRRRLRLRREDGSFLNLHETGCARLDFSPPPPSSPLPAKVAFCIQIPPSLRFMNHLRHYDEASAGWILQEEGWRRVLKAAIRKFKSRQRRSRPAPLLQMMLPTL >ORUFI04G19380.1 pep chromosome:OR_W1943:4:21057623:21058111:-1 gene:ORUFI04G19380 transcript:ORUFI04G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPSFNPFTLLERNDPGDNPLSKEKEPVAYRKPPVPPAAWPSKSAPAPKKKNDDKKKKNNNNSKNKKKPQEAGKGAANAAAGNKKPSAVKKEDTAKYIGYQYRAPIRTKKPDPEADKKKEQEAAAPPPSPPPPPPRPATPPPSFDDAAHFPTLGKNSKKK >ORUFI04G19390.1 pep chromosome:OR_W1943:4:21061541:21065852:1 gene:ORUFI04G19390 transcript:ORUFI04G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADNWLGFSLSGQGNPQHHQNGSPSAAGDAAIDISGSGDFYGLPTPDAHHIGMAGEDAPYGVMDAFNRGTHETQDWAMRGLDYGGGSSDLSMLVGSSGGGRRTVAGDGGGEAPKLENFLDGNSFSDVHGQAAGGYLYSGSAVGGAGGYSNGGCGGGTIELSMIKTWLRSNQSQQQPSPPQHADQGMSTDASASSYACSDVLVGSCGGGGGGGAGGTASSHGQGLALSMSTGSVAAAAGGGAVVAAESSSSENKRVDSPGGAVDGAVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEDKAARAYDLAALKYWGTTTTTNFPMSNYEKELEEMKHMTRQEYIAHLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDIYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILDSSTLPVGGAARRLKEAEAAAAAAGGGVIVSHLADGGVGGYYYGCGPTIAFGGGGQQPAPLAVHYPSYGQASGWCKPEQDAVIAAGHCATDLQHLHLGSGGAAATHNFFQQPASSSAVYGNGGGGGGNAFMMPMGAVVAAADHGGQSSAYGGGGEGSSWGTTASSTRTRP >ORUFI04G19400.1 pep chromosome:OR_W1943:4:21067136:21072404:1 gene:ORUFI04G19400 transcript:ORUFI04G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHAAALLQHPPPPPPPASLPSTACTSSSRRRASPWGGAGRLIRLRLRGHCPSPASARAARVVSPRCSSYGAAADAGESPAEALRRVLESPGAHQAPACYDALSARLVGRAGFKVCFTSGFSISAARLGLPDVGLISYGEMIDQGCLITEAASIPVIGDADNGYGNCMNVKRTVKGFIKAGFAGIILEDQVSPKACGHTQGRKVVSREEAIMHIKAAVDARKESGSDIVIVARTDSRQALSLDEALWRVRAFADAGADVLFIDALASREEMKAFCAVSPGVPKMANMLEGGGKTPILSPAELEETGYKLIAYPLSLIGVSMRAMEDALIAIKGGRIPPPSSLPSFEEIKDTLGFNSYYEEEKRYVVTPAQSSSYRSGYYDNTSEASSPGDAKSRTETPQEPVIDILPQLYDLGSTGGRGPSAGMWSRTLRLRITGRDGVQKIDARIPAGFLEGMTKVIPGLAGANIMERLRNAPIDSENPQNGQILLDFEDAMGDRIQVFIE >ORUFI04G19410.1 pep chromosome:OR_W1943:4:21071002:21072218:-1 gene:ORUFI04G19410 transcript:ORUFI04G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGEVTATVVDVVVCEMENQAVSEMHVVMDLEGTTKRIPPMQTPRARLLRSCGESILAMARGAYRRVEAMRCPVGCVARGASRAAAPVLSPLRLRCLSALAFADRQLLVVQDVAAVLFPAAERVLGRGADDLVLLVESLPARLDGAIDALEALLAGAAGLFVLPKLCRRYRAHEDDDDGVGGAVFRDIWCDEKEAASLHRSAMEEEARRHSDDVARKELESLEVVTADDGGGGGNTVHGDKAPVDGEGEAATPAKRGDASGGQECGVEDVQRVETPAAEITDAMKDSTEIVKDEDQERGGSEREEEETFAMARTAESREEALLGLFDIAWQQKLA >ORUFI04G19420.1 pep chromosome:OR_W1943:4:21074403:21080228:-1 gene:ORUFI04G19420 transcript:ORUFI04G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQMAAVAVNGGSPGAVTAAAAAGGVGVAVGLGGGGAQSLYVGDLEASVTDSQLYELFSQAGQVMSVRVCRDISSRRSLGYAYVNFNNPVDAARALELLNFAPLNGKPIRVMYSNRDPSSRRSGSANIFIKNLDKAIDHKTLHDTFSAFGNILSCKVATDEMGQSKGFGFVQYDKGEAAQSAIKSLNGMLINDKPVYVGPFLRKQERENSVDKTKFNNVFVKNLSESTTKEDLVKIFGAYGNITSAVIMVGMDGKSRCFGFINFESPDDAARAVEELNGKKINDKEWYVGRAQKKSEREIELKRRFEQSMKDAADKYQGLNLYMKNLDDSIGDDQLCELFSNYGKITSCKIMRDANGVSKGSGFVAFSTREEASQALLYITVAYIVPFIQLTEMNGKMISGKPLYVAFAQRKEDRKAMLQAQFSQVRPVPMTPSMAPRLPMYPPMAPLGQQLFYGQAPPAIMPPQPGFGFQQQLVPGMRPGGAHMPNYFVPVVQQGQQGPRPGIRRSGAGSAQGQQSAQPFQQQMLPRGRVYRYPPARNMPDVPPMPGVAGGMIQSYDMGGFPVRDAGLSPAPIGTLTSALANANPEQQRTILGESLYPLVELLEQNHAAKVTGMLLEMDQTEVLHLLESPEALKSKVAEAMDVLRNVAQQHNANTPTSQLAALSLGDAIIS >ORUFI04G19430.1 pep chromosome:OR_W1943:4:21075646:21086694:1 gene:ORUFI04G19430 transcript:ORUFI04G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIAVPGQNQHQNAGYLDVDPVDLVGQLEQSNLAYGHPQASCLEQAVAGNQIQATWKKIGPMHTQPSERRELMSLQTRTDITCPAWLKSSYSCESVTDASRSPTYSDCAPPPPRPTATPTPPAAAAAVTAPGEPPFWLRDTRGRRRRARGGGETLSTVGEADGGRRCFYQPTTQV >ORUFI04G19430.2 pep chromosome:OR_W1943:4:21080324:21093598:1 gene:ORUFI04G19430 transcript:ORUFI04G19430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDSLRSCTEQLLRVREEKERLIIEAADKISLEQKKVWSLQQKLEDANKRFAKVTTENYNLRNIVNSKDKVITELSESAALLNQKLIDATARLEFTHKQCGSLQYEVRILQKELEIRNKEREFDLKSIDAAQKHQQESTKKITALETECQRLRTMVQKRLPGPAALAKMKDEVERRGSNCVENRRRKPRSSAQSSPQVVTPRHPVSEGYLVKMQELDDENRHLRQLLAKKENDLQFVQLQYADEASKLSVVQGQLKELVGSHELDDDNRPEPWANSSLVSKGEHFRVGKQHASHSRGRRIAGSDMQLLVDISEIEKLEMISRPSSAPHQCVPDASDTESKTALTETVCQDRILEDGLSDKYPEWIQDVLELIIKKHQVLKISVDIIIDEIRSALRTEISDKGNDAANVTYDQAVIDSMVATLVERVSCMIERSSGNNVLSSQSFLHEKSELTCHLEHLICVCSDVLDGKANLRKFIEEVCLTLEWTVNQYIYCVDALETVDCITNDFDGNVSLRSLNMQEKQQMQSTNPKVAVGVQQEVQKEPFLIPGDPVENHSQVQFVTCKLDKELLAVRQDHGDNCQEKQSVHYKEESATADGSMQLLPEEEGKQLTVISYVLLNVLMFFCL >ORUFI04G19430.3 pep chromosome:OR_W1943:4:21086621:21093598:1 gene:ORUFI04G19430 transcript:ORUFI04G19430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDSLRSCTEQLLRVREEKERLIIEAADKISLEQKKVWSLQQKLEDANKRFAKVTTENYNLRNIVNSKDKVITELSESAALLNQKLIDATARLEFTHKQCGSLQYEVRILQKELEIRNKEREFDLKSIDAAQKHQQESTKKITALETECQRLRTMVQKRLPGPAALAKMKDEVERRGSNCVENRRRKPRSSAQSSPQVVTPRHPVSEGYLVKMQELDDENRHLRQLLAKKENDLQFVQLQYADEASKLSVVQGQLKELVGSHELDDDNRPEPWANSSLVSKGEHFRVGKQHASHSRGRRIAGSDMQLLVDISEIEKLEMISRPSSAPHQCVPDASDTESKTALTETVCQDRILEDGLSDKYPEWIQDVLELIIKKHQVLKISVDIIIDEIRSALRTEISDKGNDAANVTYDQAVIDSMVATLVERVSCMIERSSGNNVLSSQSFLHEKSELTCHLEHLICVCSDVLDGKANLRKFIEEVCLTLEWTVNQYIYCVDALETVDCITNDFDGNVSLRSLNMQEKQQMQSTNPKVAVGVQQEVQKEPFLIPGDPVENHSQVQFVTCKLDKELLAVRQDHGDNCQEKQSVHYKEEIATADGSMQLLPEEEGKQLTNSAISAAADKLAECQETITSLSKKLQALKCPANADAVDKRKSDNLHLLVANQNFSSPPSIEAACKKENDERVTTEKNLLQEQDVGTGHKVDNNGSTQIAPRPVIPKSPLTTVSVDMKKRKKKKQGGSLLSRLIFGKKA >ORUFI04G19430.4 pep chromosome:OR_W1943:4:21089265:21093598:1 gene:ORUFI04G19430 transcript:ORUFI04G19430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDSLRSCTEQLLRVREEKERLIIEAADKISLEQKKVWSLQQKLEDANKRFAKVTTENYNLRNIVNSKDKVITELSESAALLNQKLIDATARLEFTHKQCGSLQYEVRILQKELEIRNKEREFDLKSIDAAQKHQQESTKKITALETECQRLRTMVQKRLPGPAALAKMKDEVERRGSNCVENRRRKPRSSAQSSPQVVTPRHPVSEGYLVKMQELDDENRHLRQLLAKKENDLQFVQLQYADEASKLSVVQGQLKELVGSHELDDDNRPEPWANSSLVSKGEHFRVGKQHASHSRGRRIAGSDMQLLVDISEIEKLEMISRPSSAPHQCVPDASDTESKTALTETVCQDRILEDGLSDKYPEWIQDVLELIIKKHQVLKISVDIIIDEIRSALRTEISDKGNDAANVTYDQAVIDSMVATLVERVSCMIERSSGNNVLSSQSFLHEKSELTCHLEHLICVCSDVLDGKANLRKFIEEVCLTLEWTVNQYIYCVDALETVDCITNDFDGNVSLRSLNMQEKQQMQSTNPKVAVGVQQEVQKEPFLIPGDPVENHSQVQFVTCKLDKELLAVRQDHGDNCQEKQSVHYKEEIATADGSMQLLPEEEGKQLTNSAISAAADKLAECQETITSLSKKLQALKCPANADAVDKRKSDNLHLLVANQNFSSPPSIEAACKKENDERVTTEKNLLQEQDVGTGHKVDNNGSTQIAPRPVIPKSPLTTVSVDMKKRKKKKQGGSLLSRLIFGKKA >ORUFI04G19430.5 pep chromosome:OR_W1943:4:21075646:21080313:1 gene:ORUFI04G19430 transcript:ORUFI04G19430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIAVPGQNQHQNAGYLDVDPVDLVGQLEQSNLAYGHPQASCLEQAVAGNQIQATWKKIGPMHTQPSERRELMSLQTRTDITCPAWLKSSYSCESVTDASRSPTYSDCAPPPPRPTATPTPPAAAAAVTAPGEPPFTATAAICAAIAHRSLDLAPSPFSLFQFFSAKQKKNLL >ORUFI04G19440.1 pep chromosome:OR_W1943:4:21097332:21098696:1 gene:ORUFI04G19440 transcript:ORUFI04G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLSAARCLTLFCLLGACIAPGARANGRHSRRDLDINLGNGNGGGISIGIGGSGGGGGGGSSGGSRGGGGDLRPCDFENERLYKAYKVIQKFRRTVTCDPQNIISSWSGADLCSTYKGFFCERPPNITDRTIASVDFNGYNLQASSLKEFVDALPDLALFHANSNNFGGAVPDLSRLQYFYELDLSNNKLSPATFPTDVLKLKNATFVDIRFNSFYGELPGGVFCSFPQVQAIFVNNNQFSGSLPDNIGDSPVNYLSLANNNFTGEIPKSIARMANTLFEVLFLNNKLSGCLPYELGLLAKATVIDAGTNQLTGPIPASFACLRKVEQLNLADNLLYGEVPNALCELAFSWSGRLRNLTLSNNYFTSLGSCCWDLIKEGKLNVDRNCIPYAPNQRSHDECAAFFHRTKTSACPCNTYVPCGHNKHSAAGAGSEQDTAAAEEDKYRTYSALHP >ORUFI04G19450.1 pep chromosome:OR_W1943:4:21104514:21108146:-1 gene:ORUFI04G19450 transcript:ORUFI04G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLDAKVTMASVRGRVLQQTAEPDEEESMYFLKGVAPMSGSEYSSSDSEATLDDIPPIVIDADLEEEENMSDMSSMLNLQGPDKLGNNQPLDIVPLNSIPFRQEVAFHQKVDSSKEEVPVPQWMKQLDNYKDGDWTVFLQIRDDGHKDWKIVKYWLPKILEKVNRAIWVETPSWVITCCGWQLKPVVLINVDAYIDKAHIYITPEHMSSYSLSLPSIIKSTKPSSCSREMGF >ORUFI04G19460.1 pep chromosome:OR_W1943:4:21112047:21113726:-1 gene:ORUFI04G19460 transcript:ORUFI04G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKDVLSWYLITVKLKETVDANLNKSAPPPGALPLWQALNRNLPLLTNGEAAGAGGDALPPRQEREREVKVQVQSPAHSPKPQDPEWVVAIRGKLAQARAEEAACPWARLSVYRVPKSLRDGDERAYMPQVVSIGPLHRGRRRLREMERHKWRALHHVLKRTGHDVTAYLDALRPMEERARACYDGRVAGMQGNELVECLVLDGTFVLELFRGAQDGGKGFGDDLGYSRHDPIFAMRGAMHAIRNDMILLENQIPLFVLDLLLGLQLGNPEQTGAVAGLAVRFFDPLMPTDEPLLRKDRSKLESSIGASPAAAAFEFDPLSGPMLHCLDVFRRSLLRAGLQPTPPPPARLWLKKWSGLRRVADKRRQQFVHCVSELREAGIRCRRRNTDRFWDIRFHDGVLQIPRILIHDGTKSLFLNLIAFEQCHMDIATPGGNNITSYAIFMDNLINSADDVKYLHDRGIIEHWLGSDAEVADLFNRLCQEVVFDINDSYLSGLSDQVNRYYDHKWSTWIASLKHNYFSNPWAIVSVVAGVLLLLLTMTQTFYGTYSYYRPMH >ORUFI04G19470.1 pep chromosome:OR_W1943:4:21124980:21126548:-1 gene:ORUFI04G19470 transcript:ORUFI04G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRAQRTMLVVAGDAPPTAGGGARFAAPPPERDHTQDLPDEILSLVFASLTPTDRNACSLTCARWKEVDASTRHRLSLDARAALGYAAQGIFARFTAVSKLALRCARGSGTDSLSDDGARQVAAALPSARLARLKLRGLRQLSDDGLASLAGATPVIRKLSVASCSFGPKAFVAVLRSCPLLEDLSVKRLRGLPDTAGATTAITEEILFPPASSLRSVCLKDLYSALCFVPLVASSPNLRSLKILRCSGCWDLPLEVIAARVPGLVELHLEKLQVGDRGLSAVSACANLEVLFLVKTPECTDAGIISVAEKCHKLRKLHIDGWRTNRIGDHGLMAVARGCSDLQELVLIGVNPTVQSLRMLGEHCRSLERLALCGCETVGDPEIICLAERCAALKKLCIKGCPVSDRGMWALNGGCPSLVKVKLKRCRGVSYECIENLKVVRGGSFSISLDIVLERDAGGAIENGGQEAGQVQITELTDQMAAMDLPTNASNAQSSAQASSRMRSVMSALRRRFGNPPAL >ORUFI04G19480.1 pep chromosome:OR_W1943:4:21130202:21131633:-1 gene:ORUFI04G19480 transcript:ORUFI04G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGEDLDRHGGEEGSTRSNKSEGKMQSQPKEMGSAIIALMEDMELPPPSPLIEDEAVSMPLLSSKTTTDHHCRQVQGRIGEEVSFLLLMFDKFETPRSSAIGFGIDRAWRHHLQVPGLVLIGTTPSTIGYRPDCVAANSYARSTGNSLRFDQLENDLMGCGD >ORUFI04G19490.1 pep chromosome:OR_W1943:4:21131913:21133370:-1 gene:ORUFI04G19490 transcript:ORUFI04G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEEARSPHVVLFPFLAHGHIPAFLRLAGHLQTLRPGLAVTLVSTPRLLGSLSLPATSPPIRLHALPFAPADHGLPDGAESLADLHVHQFITLFRASESLRPAFDGFVAGIRPPVCVIADSFFAWTADVARARGASHAVFLPGGAFGHAVFFSVWEHLPHTLTAGGDEFPLLPDFPDVVLHRTQIPQYMLAATGADPWTAFFRRVIPCCRKTDAVLVNTIQELETSGLDMLRASFGVQTWAIGPILAAPDPSKSQDDDDTSIIRWLDAHPRRSVLYISFGSQNSISIRQMAELALGLEASGRPFVWAVRPPVGFDPKDGFDPGWLPAGFEDRMARAGRGLVVRGWAPQARILAHPSTGAFLTHCGWNSILESLRHGVPLLGWPVGAEQFFNAMVVVEWGVCVEVARGNLESSAVESGEVAEAVGAVMGETEKGEAMRRKAGEIARAMAAAWEGPAGSSAASLERFLRCVEASALRDSCLGAS >ORUFI04G19500.1 pep chromosome:OR_W1943:4:21134237:21137722:-1 gene:ORUFI04G19500 transcript:ORUFI04G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAAARTPWALQLGVALAFLLATTCHGLNHEGWLLLTLRKQIVDTFHHLDDWNPEDPSPCGWKGVNCSSGSTPAVVSLNLSNMNLSGTVDPSIGGLAELTNLDLSFNGFSGTIPAEIGNCSKLTGLNLNNNQFQGTIPAELGKLAMMITFNLCNNKLFGAIPDEIGNMASLEDLVGYSNNLSGSIPHTIGRLKNLKTVRLGQNAISGNIPVEIGECLNLVVFGLAQNKLGGPLPKEIGKLTNMTDLILWGNQLSSVIPPEIGNCINLRTIALYDNNLVGPIPATIGNIQNLQRLYLYRNLLNGTIPLEIGNLSLAEEIDFSENVLTGGVPKEFGKIPRLYLLYLFQNQLTGPIPTELCVLRNLSKLDLSINTLSGPIPACFQYMSRLIQLQLFNNMLSGDIPPRFGIYSRLWVVDFSNNNITGQIPRDLCRQSNLILLNLGANKLIGNIPHGITSCKSLVQLRLADNSLTGSFPTDLCNLVNLTTIELGRNKFNGPIPPQIGNCKSLQRLDLTNNYFTSELPQEIGNLSKLVVFNISSNRLGGSIPLEIFNCTMLQRLDLSQNSFEGSLPNEVGSLPQLELLSFADNRLSGEIPPILGKLSHLTALQIGGNQFSGGIPKELGLLSSLQIAMNLSYNNLSGNIPSELGNLALLENLFLNNNKLTGEIPNTFANLSSLLEFNVSYNNLTGALPTIPLFDNMASTSFLGNKGLCGGQLGKCGSESVSSSQSSNSGSPPLGKVIAIVAAVIGGISLILIVIIVYHMRKPLETVAPLQDKQIFSAGSNMQVSTKDAYTFQELVSATNNFDESCVIGRGACGTVYRAILKAGQTIAVKKLASNREGSNTDNSFRAEILTLGKIRHRNIVKLYGFIYHQGSNLLLYEYMPRGSLGELLHGQSSSSLDWETRFMIALGSAEGLSYLHHDCKPRIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPYSKSMSAIAGSYGYIAPEYAYTMKVTEKSDIYSYGVVLLELLTGRAPVQPLELGGDLVTWVKNYIRDNSLGPGILDKNLNLEDKTSVDHMIEVLKIALLCTSMSPYDRPPMRNVVVMLSESRDRARMSSSSSPASDHSSKKDNL >ORUFI04G19510.1 pep chromosome:OR_W1943:4:21154446:21158244:-1 gene:ORUFI04G19510 transcript:ORUFI04G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVQGPVVLYQDGVHEAARRRRSLRARYAYGLIFFATNLLAWFVRDYGAKLLRGLHHVPVCGAGDSKCFQSGGVLRIFFWVMFATTFGTRKLHEVRNSWHSGCWILKFLVYAVSIIIPFIVPNIFIQLYGEIARMGAGIFLILQLISMSHFISWCNKRWMPDSQSNQCGLFGLFLSTISFIASFAGIAVLYVLYVPNSSCAFNIFTITWTATLVAVMMAVSLHSKVNEGLLSSGIMGLYIVFLCWSALHSEPQTGKCHTRLIFANDGDWATIVSFIIAICAIVMATFSTGIDTRSFQFRNDEDQLEDDVPYSYEIFHIVFAMGAMYFAMLFINWELNHPTRKWSIDVGWVSTWVKIINEWFAASIYVWRLISPVILRKQAANNEELVPRTLIVQCSR >ORUFI04G19520.1 pep chromosome:OR_W1943:4:21166869:21169263:1 gene:ORUFI04G19520 transcript:ORUFI04G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFVPFLFSLLITTSPPAATDTVTAGRPLAGGDKLVSGNGKFALGFFQMAGGNGSSSTAPKWYLGVWFNTVSKFTPAWVANRENPLADGGASWQLAISGDGNLVISNRANNNSMTAAAWSSQANTTTSNNTVAVLLNSGNLVLSDASNSSIIFWESFSHMTDTFLPGAKMGWNKATGFTHGLVSSKNSGDLSPGVYSATPSSDFANPGLFLAWNSSVVYWSTGPWNGDYFSNTPELTARALFTFDFVSNDHEEYFTYRLRNDTMVTRYVLAASGSGQAKNMIWSSVSEDWVTFYAKPGAQCDVYAVCGAFALCREDMLPFCNCMEGFSIRSPQDWELGDQTGGCVRNVPLNCGVTDRFYAMSDVRFPANAKNMEAGTADGCKQACLNDCSCTAYSYNGSCNVWSDGLFNVARQYNYNQSSSGGILYLRLAAEDDVSESSKHTRGLIIGVVAVASVLILSLFTIVIMFVRRNKRNCSSVGRIICGTVAFRYKDLQHATKNFSERLGGGSFGSVFKGRNRLLVYEYMPNGSLDSNLFGSKVASLDWSTRYKIALGVARGLAYMHGNCLDCIIHCDIKPQNILLDASFVPKIADFGMSKLMGRDFSQVLTTVRGTIGYLAPEWISGMAISSKVDVYSYGMVLLEIVFGRRNFRGECTSNATYFPVQVVGKLLQGNVQCLLDQNIQSDINSEEVERACRVACWCIQDDELNRPTMAQVVHILEGVLEVDMPPMPKLLQAISGNMDSTKT >ORUFI04G19530.1 pep chromosome:OR_W1943:4:21175281:21176525:1 gene:ORUFI04G19530 transcript:ORUFI04G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPTIVACALSPLILQRRAVLGGSLLGEMKRRHWSHPSCGLLLLVAVFCLLLVFRCSQLRHSGDGAAAAAPDGGAGRNDGDDVDERLVELAAVDPAAMAVLQAAKRLLEGNLARAPERHRDVALRGLREWVGKQERFDPGVMSELVELIKRPIDRYNGDGGGGGEGEGRRYASCAVVGNSGILLAAEHGELIDGHELVVRLNNAPAGDGRYARHVGARTGLAFLNSNVLSQCAVPRRGACFCRAYGEGVPILTYMCNAAHFVEHAVCNNASSSSSGAADATAAAPVIVTDPRLDALCARIVKYYSLRRFARETGRPAEEWARRHEEGMFHYSSGMQAVVAAAGVCDRVSVFGFGKDASARHHYHTLQRRELDLHDYEAEYEFYRDLESRPEAIPFLRQRDSGFRLPPVSFYR >ORUFI04G19540.1 pep chromosome:OR_W1943:4:21184131:21189185:-1 gene:ORUFI04G19540 transcript:ORUFI04G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCHLMRTTAIRSRVHKSRQDVNRTVDPKIGCVEDKLVVASTRNSSSNKSTISPMNRLVAMEMSKGVESKRKPPSVVARLMGLDDDLPAKESALQSSRRNLRRSHSLDNLAATNRPQQQQEQHYSRTTPNIHIGPKETVEFKDVYEVSEDPLKRHHILGQNFPWERSSGNKSDTRIEAVRQKFMEAKRLATNENLIHSKQFQEALEVLSSNRELFLKFLEEPSPAFLKQLDGLDTTPAPPPMKRITVLKPIKSVENNGIRETRTHQVINEENELVMGKTHQRSYSADDNFSKSTRIVVLKPSPGKPNRTGARLTARAAPSEQTRRIDFHGGLQDDASILGSRELLHGSVQHMPESRHRRDESLISSTYSNGYGGDESSFSGSEVDYIDEGGSPSDSDAVSPMSRHSWDYIRRHNSPHSASTFSRAHSHSPESSVIREAKKRLSERWAMVSYNEINQEQVPLRRSSTTLGEMLSLQVAKKEEAVAGIISVSSNRSSGTENELAMKDACKSTLREYDENGKSSPRNLAKSKSVPVSSSIFDNVAVNAQSANSEGTPKVFTKSGRAKLSFTGKISSFFFPGNKRPTKEKTSLSSDSSGEIFGCIGHMVPQSDHNLGPDEQMTFCKDEADNSTNHAPCSTKDAGSIEVPVSSDCVSGDVDEVKSNGDLKSIHDETSPTSILDTVFEDSNSNEPESSRRTSCTERVALRCPAIDSVARSFSWEDTNSGSPLLGGLKHSNVDDADYDDDELKCYSLVQEIVSSAGLCHLQLSMVFTGWYLPESPLDPALCDKFLDRKEEDAKSRERRSHQKLIFDCVNMALVEIGQDTLLCSYPWSRACLRTWREKLSETLGEEVWNIVSDLLYGDGSFAANKDDNAGIILERIMQEEVEGKGWIKLLTMETDEITEQIASEVLEDIVTDFVEHLSICCSEHGISMPVANL >ORUFI04G19540.2 pep chromosome:OR_W1943:4:21184131:21189922:-1 gene:ORUFI04G19540 transcript:ORUFI04G19540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTRDCVRRSRANKNTHSFTKAGRGSRVHKSRQDVNRTVDPKIGCVEDKLVVASTRNSSSNKSTISPMNRLVAMEMSKGVESKRKPPSVVARLMGLDDDLPAKESALQSSRRNLRRSHSLDNLAATNRPQQQQEQHYSRTTPNIHIGPKETVEFKDVYEVSEDPLKRHHILGQNFPWERSSGNKSDTRIEAVRQKFMEAKRLATNENLIHSKQFQEALEVLSSNRELFLKFLEEPSPAFLKQLDGLDTTPAPPPMKRITVLKPIKSVENNGIRETRTHQVINEENELVMGKTHQRSYSADDNFSKSTRIVVLKPSPGKPNRTGARLTARAAPSEQTRRIDFHGGLQDDASILGSRELLHGSVQHMPESRHRRDESLISSTYSNGYGGDESSFSGSEVDYIDEGGSPSDSDAVSPMSRHSWDYIRRHNSPHSASTFSRAHSHSPESSVIREAKKRLSERWAMVSYNEINQEQVPLRRSSTTLGEMLSLQVAKKEEAVAGIISVSSNRSSGTENELAMKDACKSTLREYDENGKSSPRNLAKSKSVPVSSSIFDNVAVNAQSANSEGTPKVFTKSGRAKLSFTGKISSFFFPGNKRPTKEKTSLSSDSSGEIFGCIGHMVPQSDHNLGPDEQMTFCKDEADNSTNHAPCSTKDAGSIEVPVSSDCVSGDVDEVKSNGDLKSIHDETSPTSILDTVFEDSNSNEPESSRRTSCTERVALRCPAIDSVARSFSWEDTNSGSPLLGGLKHSNVDDADYDDDELKCYSLVQEIVSSAGLCHLQLSMVFTGWYLPESPLDPALCDKFLDRKEEDAKSRERRSHQKLIFDCVNMALVEIGQDTLLCSYPWSRACLRTWREKLSETLGEEVWNIVSDLLYGDGSFAANKDDNAGIILERIMQEEVEGKGWIKLLTMETDEITEQIASEVLEDIVTDFVEHLSICCSEHGISMPVANL >ORUFI04G19540.3 pep chromosome:OR_W1943:4:21184131:21187837:-1 gene:ORUFI04G19540 transcript:ORUFI04G19540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKNVLTDRTHRDGSRVHKSRQDVNRTVDPKIGCVEDKLVVASTRNSSSNKSTISPMNRLVAMEMSKGVESKRKPPSVVARLMGLDDDLPAKESALQSSRRNLRRSHSLDNLAATNRPQQQQEQHYSRTTPNIHIGPKETVEFKDVYEVSEDPLKRHHILGQNFPWERSSGNKSDTRIEAVRQKFMEAKRLATNENLIHSKQFQEALEVLSSNRELFLKFLEEPSPAFLKQLDGLDTTPAPPPMKRITVLKPIKSVENNGIRETRTHQVINEENELVMGKTHQRSYSADDNFSKSTRIVVLKPSPGKPNRTGARLTARAAPSEQTRRIDFHGGLQDDASILGSRELLHGSVQHMPESRHRRDESLISSTYSNGYGGDESSFSGSEVDYIDEGGSPSDSDAVSPMSRHSWDYIRRHNSPHSASTFSRAHSHSPESSVIREAKKRLSERWAMVSYNEINQEQVPLRRSSTTLGEMLSLQVAKKEEAVAGIISVSSNRSSGTENELAMKDACKSTLREYDENGKSSPRNLAKSKSVPVSSSIFDNVAVNAQSANSEGTPKVFTKSGRAKLSFTGKISSFFFPGNKRPTKEKTSLSSDSSGEIFGCIGHMVPQSDHNLGPDEQMTFCKDEADNSTNHAPCSTKDAGSIEVPVSSDCVSGDVDEVKSNGDLKSIHDETSPTSILDTVFEDSNSNEPESSRRTSCTERVALRCPAIDSVARSFSWEDTNSGSPLLGGLKHSNVDDADYDDDELKCYSLVQEIVSSAGLCHLQLSMVFTGWYLPESPLDPALCDKFLDRKEEDAKSRERRSHQKLIFDCVNMALVEIGQDTLLCSYPWSRACLRTWREKLSETLGEEVWNIVSDLLYGDGSFAANKDDNAGIILERIMQEEVEGKGWIKLLTMETDEITEQIASEVLEDIVTDFVEHLSICCSEHGISMPVANL >ORUFI04G19540.4 pep chromosome:OR_W1943:4:21184131:21188297:-1 gene:ORUFI04G19540 transcript:ORUFI04G19540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSRVHKSRQDVNRTVDPKIGCVEDKLVVASTRNSSSNKSTISPMNRLVAMEMSKGVESKRKPPSVVARLMGLDDDLPAKESALQSSRRNLRRSHSLDNLAATNRPQQQQEQHYSRTTPNIHIGPKETVEFKDVYEVSEDPLKRHHILGQNFPWERSSGNKSDTRIEAVRQKFMEAKRLATNENLIHSKQFQEALEVLSSNRELFLKFLEEPSPAFLKQLDGLDTTPAPPPMKRITVLKPIKSVENNGIRETRTHQVINEENELVMGKTHQRSYSADDNFSKSTRIVVLKPSPGKPNRTGARLTARAAPSEQTRRIDFHGGLQDDASILGSRELLHGSVQHMPESRHRRDESLISSTYSNGYGGDESSFSGSEVDYIDEGGSPSDSDAVSPMSRHSWDYIRRHNSPHSASTFSRAHSHSPESSVIREAKKRLSERWAMVSYNEINQEQVPLRRSSTTLGEMLSLQVAKKEEAVAGIISVSSNRSSGTENELAMKDACKSTLREYDENGKSSPRNLAKSKSVPVSSSIFDNVAVNAQSANSEGTPKVFTKSGRAKLSFTGKISSFFFPGNKRPTKEKTSLSSDSSGEIFGCIGHMVPQSDHNLGPDEQMTFCKDEADNSTNHAPCSTKDAGSIEVPVSSDCVSGDVDEVKSNGDLKSIHDETSPTSILDTVFEDSNSNEPESSRRTSCTERVALRCPAIDSVARSFSWEDTNSGSPLLGGLKHSNVDDADYDDDELKCYSLVQEIVSSAGLCHLQLSMVFTGWYLPESPLDPALCDKFLDRKEEDAKSRERRSHQKLIFDCVNMALVEIGQDTLLCSYPWSRACLRTWREKLSETLGEEVWNIVSDLLYGDGSFAANKDDNAGIILERIMQEEVEGKGWIKLLTMETDEITEQIASEVLEDIVTDFVEHLSICCSEHGISMPVANL >ORUFI04G19550.1 pep chromosome:OR_W1943:4:21193332:21209373:-1 gene:ORUFI04G19550 transcript:ORUFI04G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQRLLASSLVAATPRWLPVAADSFLRRRHRPRCSPLPALLFNRRSWSKPRKVSRSISMVSRKMNKQGDLCNEGMLPHILWWKEKMERCRKPSSMQLTQRLVYSNILGLDPTLRNGSLKDGSLNTEMLQFKSKFPREVLLCRVGDFYEAVGFDACILVEHAGLNPFGGLRSDSIPKAGCPVMNLRQTLDDLTRCGYSVAGEAEAAAGEATTEAAGARGGGGSGRWRAWRRRRRRWAHAEAATVAAGRGRVEGLPAATFSSNFCIVEEIQGPTQARARKGRFISGHAHPGSPYVFGLAEVDHDVEFPDPMPVVGISRSAKGYCLISVLETMKTYSAEEGLTEEAVVTKLRICRYHHLYLHSSLRNNSSGTSRWGEFGEGGLLWGECSGKSFEWFDGNPIEELLCKVREIYGLEEKTVFRNVTVSLEGRPQPLFLGTATQIGVIPTEGIPSLLKIVLPPNFGGLPSLYIRDLLLNPPSFDVASSVQEACRLMGSITCSIPEFTCIPAAKLVKLLESKEVNHIEFCRIKNVLDEVLFMGSNAELSAILNKLLDPAAIVTGFKVEADILVNECSFISQRIAEVISLGGESDQAITSSEYIPKEFFNDMESSWKGRVKRVHAEEEFSNVDIAAEALSTAVIEDFLPIISRVKSVMSSNGSSKGEISYAKEHESVWFKGRRFTPNVWANTPGELQIKQLKPAIDSKGRKVGEEWFTTIKVENALTRYHEACDNAKRKVLELLRGLSSELQDKINVLVFCSTMLIITKALFGHVSEGRRRGWVLPTISPLCKDNVTEEISSEMELSGTFPYWLDTNQGNAILNDVHMHSLFILTGPNGGGKSSMLRSVCAAALLGICGLMVPAASAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRSLVCRATARSLVLIDEICRGTETAKGTCIAGSIIERLDNVGCIGIISTHLHGIFDLPLSLHNTDFKAMGTEIIDRCIQPTWKLMDGICRESLAFQTARKEGMPDLIIRRAEELYLAMSTNSKQTSSAVHHEISIANSTVNSLVEKPNYLRNGLELQSGSFGLLRKEIESVVTTICKKKLLDLYNKRTISELIEVVCVAVGAREQPPPSTVGRSSIYVIIRRDSKLYIGQTDDLVGRLSAHRSKEGMQDATILYILVPGKSIACQLETLLINQLPLKGFKLINKADGKHRNFGISLVPGEAIAA >ORUFI04G19550.2 pep chromosome:OR_W1943:4:21193332:21209373:-1 gene:ORUFI04G19550 transcript:ORUFI04G19550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQRLLASSLVAATPRWLPVAADSFLRRRHRPRCSPLPALLFNRRSWSKPRKVSRSISMVSRKMNKQGDLCNEGMLPHILWWKEKMERCRKPSSMQLTQRLVYSNILGLDPTLRNGSLKDGSLNTEMLQFKSKFPREVLLCRVGDFYEAVGFDACILVEHAGLNPFGGLRSDSIPKAGCPVMNLRQTLDDLTRCGYSVCIVEEIQGPTQARARKGRFISGHAHPGSPYVFGLAEVDHDVEFPDPMPVVGISRSAKGYCLISVLETMKTYSAEEGLTEEAVVTKLRICRYHHLYLHSSLRNNSSGTSRWGEFGEGGLLWGECSGKSFEWFDGNPIEELLCKVREIYGLEEKTVFRNVTVSLEGRPQPLFLGTATQIGVIPTEGIPSLLKIVLPPNFGGLPSLYIRDLLLNPPSFDVASSVQEACRLMGSITCSIPEFTCIPAAKLVKLLESKEVNHIEFCRIKNVLDEVLFMGSNAELSAILNKLLDPAAIVTGFKVEADILVNECSFISQRIAEVISLGGESDQAITSSEYIPKEFFNDMESSWKGRVKRVHAEEEFSNVDIAAEALSTAVIEDFLPIISRVKSVMSSNGSSKGEISYAKEHESVWFKGRRFTPNVWANTPGELQIKQLKPAIDSKGRKVGEEWFTTIKVENALTRYHEACDNAKRKVLELLRGLSSELQDKINVLVFCSTMLIITKALFGHVSEGRRRGWVLPTISPLCKDNVTEEISSEMELSGTFPYWLDTNQGNAILNDVHMHSLFILTGPNGGGKSSMLRSVCAAALLGICGLMVPAASAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRSLVCRATARSLVLIDEICRGTETAKGTCIAGSIIERLDNVGCIGIISTHLHGIFDLPLSLHNTDFKAMGTEIIDRCIQPTWKLMDGICRESLAFQTARKEGMPDLIIRRAEELYLAMSTNSKQTSSAVHHEISIANSTVNSLVEKPNYLRNGLELQSGSFGLLRKEIESVVTTICKKKLLDLYNKRTISELIEVVCVAVGAREQPPPSTVGRSSIYVIIRRDSKLYIGQTDDLVGRLSAHRSKEGMQDATILYILVPGKSIACQLETLLINQLPLKGFKLINKADGKHRNFGISLVPGEAIAA >ORUFI04G19550.3 pep chromosome:OR_W1943:4:21193332:21209373:-1 gene:ORUFI04G19550 transcript:ORUFI04G19550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQRLLASSLVAATPRWLPVAADSFLRRRHRPRCSPLPALLFNRRSWSKPRKVSRSISMVSRKMNKQGDLCNEGMLPHILWWKEKMERCRKPSSMQLTQRLVYSNILGLDPTLRNGSLKDGSLNTEMLQFKSKFPREVLLCRVGDFYEAVGFDACILVEHAGLNPFGGLRSDSIPKAGCPVMNLRQTLDDLTRCGYSVTMKTYSAEEGLTEEAVVTKLRICRYHHLYLHSSLRNNSSGTSRWGEFGEGGLLWGECSGKSFEWFDGNPIEELLCKVREIYGLEEKTVFRNVTVSLEGRPQPLFLGTATQIGVIPTEGIPSLLKIVLPPNFGGLPSLYIRDLLLNPPSFDVASSVQEACRLMGSITCSIPEFTCIPAAKLVKLLESKEVNHIEFCRIKNVLDEVLFMGSNAELSAILNKLLDPAAIVTGFKVEADILVNECSFISQRIAEVISLGGESDQAITSSEYIPKEFFNDMESSWKGRVKRVHAEEEFSNVDIAAEALSTAVIEDFLPIISRVKSVMSSNGSSKGEISYAKEHESVWFKGRRFTPNVWANTPGELQIKQLKPAIDSKGRKVGEEWFTTIKVENALTRYHEACDNAKRKVLELLRGLSSELQDKINVLVFCSTMLIITKALFGHVSEGRRRGWVLPTISPLCKDNVTEEISSEMELSGTFPYWLDTNQGNAILNDVHMHSLFILTGPNGGGKSSMLRSVCAAALLGICGLMVPAASAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRSLVCRATARSLVLIDEICRGTETAKGTCIAGSIIERLDNVGCIGIISTHLHGIFDLPLSLHNTDFKAMGTEIIDRCIQPTWKLMDGICRESLAFQTARKEGMPDLIIRRAEELYLAMSTNSKQTSSAVHHEISIANSTVNSLVEKPNYLRNGLELQSGSFGLLRKEIESVVTTICKKKLLDLYNKRTISELIEVVCVAVGAREQPPPSTVGRSSIYVIIRRDSKLYIGQTDDLVGRLSAHRSKEGMQDATILYILVPGKSIACQLETLLINQLPLKGFKLINKADGKHRNFGISLVPGEAIAA >ORUFI04G19560.1 pep chromosome:OR_W1943:4:21210177:21211488:-1 gene:ORUFI04G19560 transcript:ORUFI04G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSPPRSLTSVSLRTPLSPLLFLRPASCNPSAVSGSCSSGACRGVRCSAANKPSPSTAPGTEVSSTSMAKIRSEVLSPFRSVRMFFYLAFMASAGLGALIALTQLIPALSSPARAAAAGETLKGLGIDVAAVSVFAFLYWRESKAKDAQVAKLTREENLSRLRIRAGEGRPPVPLGELRGTARLVIVAGPAAFVTESFRRSKPFLKDLMERGVLVVPFSTDGNAPDLQFDEADEEEEEAAAAAGKMKRRLWQLTPVYTSEWAKWLDEQKKLANVSPDSPVYLSLRLDGRVRGSGVGYPPWQAFVAQLPPVKGMWSGLLDGMDGRVL >ORUFI04G19570.1 pep chromosome:OR_W1943:4:21215588:21217029:-1 gene:ORUFI04G19570 transcript:ORUFI04G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLAFTARRGDPELVAPAGPTPRGLRRLSDIDDQRSFRFYRSIIYFYRSGGGDPARVIRGALAAALVHYYPIAGRIRELPGGKLVVDCTGEGVSFVEADADVSLEEFGDSLCPPIPCAGELLTLPESNSAVVTDRPLLYVQVTRLRCGGFVFGTQICHNLVDAAGITQFWQAVGELAQGAAAPSVRPVWARELLDARHPPRPAYDHPEYKLASDEASDKLRPGDELVHRRFLFGPDDVAALRGQLPARLGPRCSRFLLLSAFTWRCRTAALGYAPGDEVRFMFVVNGRGRGHGGRPLPEGFYGNALTFGVARTTAGELCSGPLSRAVELIAAARARTMADGYAQSAADAVVLRGRRRFTTARTYLVTDLTKSPLHEVDLGWGRPLFGGPATTTLATFHMPARGGGIAVPMCLPPRAMERFAGAVRAGLAAGVPRAAEEDAALSKM >ORUFI04G19580.1 pep chromosome:OR_W1943:4:21217137:21242921:-1 gene:ORUFI04G19580 transcript:ORUFI04G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKERLAGVERLHEALDAAARQRGLTAGEVTALVDTCMDLIRDANFRVAQGGLQALSAAAVVAGDHFKIHLNALVPAAVERLGDGKQPVREAARQLLITLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLMNDSNQSVRDAAIYCIEEMYTHMGSQFHEELQRHNLPPYMLREINSRLERIEPKVPTSDGNIMQYKAVESRSVSVNPKRGSPRTKSTPRESTLFGGDTDITEKPVEPVRVHSEKELLREFEKIAATLVPEKDWSVRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNVLSKELLGDFEPCAELFIPMLFKLVVITVLVIAESADTCIKTILRNCKISRILPRIADTAKNDRSAVLRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVADAMSEVRATARSCYRLFAKTWPERSRRLFMSFDPAIQRTINDEDGGVHKRYASPSLRERVVQPSRSLSHASGTSVLGYGTSAIVAMDKTAAISSDSSFSSNTLRLSQSKTVGRSSERSLESVLNSSKEKVSAIESLLKGVSISDRQNISATRSTSLDLGVDPPSSRDPPVPLAATASNHLSLQNSALLDSSVPSTINASARNGGSRLLESMTTQLGTRERSRSPYLGNISSESMTSLSLPFPRRSLERPQEGGRMDEGSDIRSTRRFPQTQNYVDMPYRDAIHRDSHNNHVPNFQRPLLRKQVMSRASASIRHSFDDSQVQSGDVSGYTDALASLSDALSEGLSPSSDWVVRVSAFEFIRNLLQQGQRGIQEITQNFEKVMKLFFRHLDDPHHKVAQAAFSTLAELIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSSTLDVVGRTYAIDMLLPALVRSLDEQRSPKAKLAVLEFANKSFSKYTVDSEGYSNSGFLKLWLSKLAPLVHEKNAKLKEASISGIISVYSHFDSTAVLNFILNLSVEEQNLLRRALKQYTPRIEVDLVNYLQSKKDRPRPKSYDQADYGTSSEDGYALASKKSYPFGRYSSSSLDAEGGKWMNSVQESTPRNAPMARTTSDMSIDHTSQSIELDTGSEVLLTRSRESKNNTISLVETARSWPNYPEKTDAPLDDETAISTPRLDLSHRAASDGHNAVGSTAEENVQEGDIAVKLSSIKTTLHADNELSIPQLLHQISNGTEVSSLEKREALQQLVKASVDNDISIWAKYFNQILTAVLEVLDDSDSSTREIALSLVAEMLNNQSGAMEESIEIVLEKLLHVTKDMVAKISNEANQCLNVLLAKYDPFRCLAVVVPLLVSDDEKTLVVCINSLTKLVGRLSEEELMNQLPTFLPALFDAFSNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARKTYVSHFGVRACELVKLAPANGDRSMAASSSLAFTARRGDPELVAPAGPTPRGLRRLSDIDDQGSFRFYRSVIYFYRRSGGGRRVVGDPARVIRDALAAALVHYYPIAGRIRELPGGKLVVDCTGEGVSFVEADADVSLEEFGDSLCPPIPCAGELLTLPESNSAVVTDRPLLYVQVTRLRCGGFVFGTQICHNLVDASPLHEVDLGWGRPLFGGPATTKLATFHLPARGGGITVPMCLPPRSMERFAGAVRAGLAAGVPRAAEEAALSKIDQTIFTARSDSPPLLYTRAG >ORUFI04G19580.2 pep chromosome:OR_W1943:4:21217137:21242921:-1 gene:ORUFI04G19580 transcript:ORUFI04G19580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKERLAGVERLHEALDAAARQRGLTAGEVTALVDTCMDLIRDANFRVAQGGLQALSAAAVVAGDHFKIHLNALVPAAVERLGDGKQPVREAARQLLITLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLMNDSNQSVRDAAIYCIEEMYTHMGSQFHEELQRHNLPPYMLREINSRLERIEPKVPTSDGNIMQYKAVESRSVSVNPKRGSPRTKSTPRESTLFGGDTDITEKPVEPVRVHSEKELLREFEKIAATLVPEKDWSVRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNVLSKELLGDFEPCAELFIPILRNCKISRILPRIADTAKNDRSAVLRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVADAMSEVRATARSCYRLFAKTWPERSRRLFMSFDPAIQRTINDEDGGVHKRYASPSLRERVVQPSRSLSHASGTSVLGYGTSAIVAMDKTAAISSDSSFSSNTLRLSQSKTVGRSSERSLESVLNSSKEKVSAIESLLKGVSISDRQNISATRSTSLDLGVDPPSSRDPPVPLAATASNHLSLQNSALLDSSVPSTINASARNGGSRLLESMTTQLGTRERSRSPYLGNISSESMTSLSLPFPRRSLERPQEGGRMDEGSDIRSTRRFPQTQNYVDMPYRDAIHRDSHNNHVPNFQRPLLRKQVMSRASASIRHSFDDSQVQSGDVSGYTDALASLSDALSEGLSPSSDWVVRVSAFEFIRNLLQQGQRGIQEITQNFEKVMKLFFRHLDDPHHKVAQAAFSTLAELIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSSTLDVVGRTYAIDMLLPALVRSLDEQRSPKAKLAVLEFANKSFSKYTVDSEGYSNSGFLKLWLSKLAPLVHEKNAKLKEASISGIISVYSHFDSTAVLNFILNLSVEEQNLLRRALKQYTPRIEVDLVNYLQSKKDRPRPKSYDQADYGTSSEDGYALASKKSYPFGRYSSSSLDAEGGKWMNSVQESTPRNAPMARTTSDMSIDHTSQSIELDTGSEVLLTRSRESKNNTISLVETARSWPNYPEKTDAPLDDETAISTPRLDLSHRAASDGHNAVGSTAEENVQEGDIAVKLSSIKTTLHADNELSIPQLLHQISNGTEVSSLEKREALQQLVKASVDNDISIWAKYFNQILTAVLEVLDDSDSSTREIALSLVAEMLNNQSGAMEESIEIVLEKLLHVTKDMVAKISNEANQCLNVLLAKYDPFRCLAVVVPLLVSDDEKTLVVCINSLTKLVGRLSEEELMNQLPTFLPALFDAFSNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARKTYVSHFGVRACELVKLAPANGDRSMAASSSLAFTARRGDPELVAPAGPTPRGLRRLSDIDDQGSFRFYRSVIYFYRRSGGGRRVVGDPARVIRDALAAALVHYYPIAGRIRELPGGKLVVDCTGEGVSFVEADADVSLEEFGDSLCPPIPCAGELLTLPESNSAVVTDRPLLYVQVTRLRCGGFVFGTQICHNLVDASPLHEVDLGWGRPLFGGPATTKLATFHLPARGGGITVPMCLPPRSMERFAGAVRAGLAAGVPRAAEEAALSKIDQTIFTARSDSPPLLYTRAG >ORUFI04G19580.3 pep chromosome:OR_W1943:4:21217137:21242921:-1 gene:ORUFI04G19580 transcript:ORUFI04G19580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKERLAGVERLHEALDAAARQRGLTAGEVTALVDTCMDLIRDANFRVAQGGLQALSAAAVVAGDHFKIHLNALVPAAVERLGDGKQPVREAARQLLITLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLMNDSNQSVRDAAIYCIEEMYTHMGSQFHEELQRHNLPPYMLREINSRLERIEPKVPTSDGNIMQYKAVESRSVSVNPKRGSPRTKSTPRESTLFGGDTDITEKPVEPVRVHSEKELLREFEKIAATLVPEKDWSVRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNVLSKELLGDFEPCAELFIPMLFKLVVITVLVIAESADTCIKTILRNCKISRILPRIADTAKNDRSAVLRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVADAMSEVRATARSCYRLFAKTWPERSRRLFMSFDPAIQRTINDEDGGVHKRYASPSLRERVVQPSRSLSHASGTSVLGYGTSAIVAMDKTAAISSDSSFSSNTLRLSQSKTVGRSSERSLESVLNSSKEKVSAIESLLKGVSISDRQNISATRSTSLDLGVDPPSSRDPPVPLAATASNHLSLQNSALLDSSVPSTINASARNGGSRLLESMTTQLGTRERSRSPYLGNISSESMTSLSLPFPRRSLERPQEGGRMDEGSDIRSTRRFPQTQNYVDMPYRDAIHRDSHNNHVPNFQRPLLRKQVMSRASASIRHSFDDSQVQSGDVSGYTDALASLSDALSEGLSPSSDWVVRVSAFEFIRNLLQQGQRGIQEITQNFEKVMKLFFRHLDDPHHKVAQAAFSTLAELIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSSTLDVVGRTYAIDMLLPALVRSLDEQRSPKAKLAVLEFANKSFSKYTVDSEGYSNSGFLKLWLSKLAPLVHEKNAKLKEASISGIISVYSHFDSTAVLNFILNLSVEEQNLLRRALKQYTPRIEVDLVNYLQSKKDRPRPKSYDQADYGTSSEDGYALASKKSYPFGRYSSSSLDAEGGKWMNSVQESTPRNAPMARTTSDMSIDHTSQSIELDTGSEVLLTRSRESKNNTISLVETARSWPNYPEKTDAPLDDETAISTPRLDLSHRAASDGHNAVGSTAEENVQEGDIAVKLSSIKTTLHADNELSIPQLLHQISNGTEVSSLEKREALQQLVKASVDNDISIWAKYFNQILTAVLEVLDDSDSSTREIALSLVAEMLNNQSGAMEESIEIVLEKLLHVTKDMVAKISNEANQCLNVLLAKYDPFRCLAVVVPLLVSDDEKTLVVCINSLTKLVGRLSEEELMNQLPTFLPALFDAFSNQSPDVRKSPLHEVDLGWGRPLFGGPATTKLATFHLPARGGGITVPMCLPPRSMERFAGAVRAGLAAGAFVPYLEGLNSTQLRLVTIYANRISQASDQTIFTARSDSPPLLYTRAG >ORUFI04G19580.4 pep chromosome:OR_W1943:4:21217137:21242921:-1 gene:ORUFI04G19580 transcript:ORUFI04G19580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKERLAGVERLHEALDAAARQRGLTAGEVTALVDTCMDLIRDANFRVAQGGLQALSAAAVVAGDHFKIHLNALVPAAVERLGDGKQPVREAARQLLITLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLMNDSNQSVRDAAIYCIEEMYTHMGSQFHEELQRHNLPPYMLREINSRLERIEPKVPTSDGNIMQYKAVESRSVSVNPKRGSPRTKSTPRESTLFGGDTDITEKPVEPVRVHSEKELLREFEKIAATLVPEKDWSVRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNVLSKELLGDFEPCAELFIPMLFKLVVITVLVIAESADTCIKTILRNCKISRILPRIADTAKNDRSAVLRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVADAMSEVRATARSCYRLFAKTWPERSRRLFMSFDPAIQRTINDEDGGVHKRYASPSLRERVVQPSRSLSHASGTSVLGYGTSAIVAMDKTAAISSDSSFSSNTLRLSQSKTVGRSSERSLESVLNSSKEKVSAIESLLKGVSISDRQNISATRSTSLDLGVDPPSSRDPPVPLAATASNHLSLQNSALLDSSVPSTINASARNGGSRLLESMTTQLGTRERSRSPYLGNISSESMTSLSLPFPRRSLERPQEGGRMDEGSDIRSTRRFPQTQNYVDMPYRDAIHRDSHNNHVPNFQRPLLRKQVMSRASASIRHSFDDSQVQSGDVSGYTDALASLSDALSEGLSPSSDWVVRVSAFEFIRNLLQQGQRGIQEITQNFEKVMKLFFRHLDDPHHKVAQAAFSTLAELIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSSTLDVVGRTYAIDMLLPALVRSLDEQRSPKAKLAVLEFANKSFSKYTVDSEGYSNSGFLKLWLSKLAPLVHEKNAKLKEASISGIISVYSHFDSTAVLNFILNLSVEEQNLLRRALKQYTPRIEVDLVNYLQSKKDRPRPKSYDQADYGTSSEDGYALASKKSYPFGRYSSSSLDAEGGKWMNSVQESTPRNAPMARTTSDMSIDHTSQSIELDTGSEVLLTRSRESKNNTISLVETARSWPNYPEKTDAPLDDETAISTPRLDLSHRAASDGHNAVGSTAEENVQEGDIAVKLSSIKTTLHADNELSIPQLLHQISNGTEVSSLEKREALQQLVKASVDNDISIWAKYFNQILTAVLEVLDDSDSSTREIALSLVAEMLNNQSGAMEESIEIVLEKLLHVTKDMVAKISNEANQCLNVLLAKYDPFRCLAVVVPLLVSDDEKTLVVCINSLTKLVGRLSEEELMNQLPTFLPALFDAFSNQSPDVRKAFVPYLEGLNSTQLRLVTIYANRISQASDQTIFTARSDSPPLLYTRAG >ORUFI04G19590.1 pep chromosome:OR_W1943:4:21245409:21247322:-1 gene:ORUFI04G19590 transcript:ORUFI04G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVRLWAVTGHARAIWAEPSACTDMPGSLWAVPLPREQPCRLKSLSKQFLVSGDIPNFTAGCSLSGYRRSARRLMGPEMREEVLQQAIRQITELVLLHGGPVTVSTAFVDAGAWVP >ORUFI04G19600.1 pep chromosome:OR_W1943:4:21250379:21254127:1 gene:ORUFI04G19600 transcript:ORUFI04G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSLVGFLMAAVAALLVAAATPAAAAPRAFFVFGDSLVDNGNNNYLMTTARADAPPYGIDFPTHMPTGRFSNGLNIPDIISEYLGSQPALPYLSPDLRGENLLVGANFASAGVGILNDTGIQFVNIIRIGQQLDNFENYQRNLAAFVGEDAARQVVQQSLVLITLGGNDFVNNYYLVPFSVRSRQFAIQDYVPYLISEYRKILTRLHDLGPRRVIVTGTGMIGCVPAELAMHSIDGECATDLTRAADLFNPQLERMLAELNSELGGHVFIAANTNKISFDFMFNPQDYGFVTAKVACCGQGPYNGIGLCTPASNVCANRDVYAYWDAFHPTERANRLIVAQIMHGSTDHISPMNLSTILAMDERRN >ORUFI04G19610.1 pep chromosome:OR_W1943:4:21254688:21256306:-1 gene:ORUFI04G19610 transcript:ORUFI04G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDFSSQQLQTAASRQDQRWKPCYKNIKWIEGDALDLPFTDCYFDAVTVGYGLRNVVDKPKAMREIFRVLKPGSRASILDFNKSSSLFTTSLQSWMIDNVVVPLASGYGLTEEYKYLKSSILHYLTEKELEELAKEAGFSAAKHYELGGGLMGDLVATR >ORUFI04G19620.1 pep chromosome:OR_W1943:4:21256221:21258514:1 gene:ORUFI04G19620 transcript:ORUFI04G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLVAYLDQDTQMRFHVRCCPRLSTSFSYKEHGTAPVVSTMLLWNSKFCEKGRRSGRGPAARRPRRTSRSSRRRTRGGGGGGERRGRAPRGPPKSFRHVLAAATYTLHEQGGERQDRAGSVSLFAVDAGEEDAPRRLRLLHTVETAGVFDMKWSPVAPLLAQADAHGRLALWRLEQEDGSDKGAVLRDVCSGDISSSMCLFVDWNQTAEALSVGLSDGSLSVVSMREDRLEVSEQWTAHQYEVWTCYFDRANPHLLYSGSDDCSFSCWDLRQNPSNAVFQNKKSHNMGVCCIAQNPLEGNMLLTGSYDEFLRVWDIRSMVKPVNVKSLNLGGGVWRIKYHPLIADVVLAACMHNGFAIVKVGTGDAAVVETYCKHESLAYGADWQISEGAEQNKNFSVVATCSFYDRLLRVWQPENLGNL >ORUFI04G19630.1 pep chromosome:OR_W1943:4:21261845:21262830:1 gene:ORUFI04G19630 transcript:ORUFI04G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREEQPQCEVQQMSTWHSFYFHCPFSTKHAALSNLKCYHADSGFFAIDFSGEVPAGISNLVKQEEEDEEQWLSSSSRSSSTPWCLSGLLGGRLRYDQTGKSQNGNGGGKIIDT >ORUFI04G19640.1 pep chromosome:OR_W1943:4:21262016:21262624:-1 gene:ORUFI04G19640 transcript:ORUFI04G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSFLNWSLAIALHPPPPAALKNHVHVQAIHLPWLVVSTNKTRGKATLYLDEVADACSVHTSSNYICLDFHY >ORUFI04G19650.1 pep chromosome:OR_W1943:4:21263724:21266616:-1 gene:ORUFI04G19650 transcript:ORUFI04G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAWRKAWLSVLDRGGGSGAGGGGGGSSGSLHVHLHGLLSPSSSSSSLNGYKRGGGKHGGGGGCGGGHVMTSSKAVLACFSVALVVAFFYVSVASGPTADAASFPSPTGASSSSLLSWLSSNSTSAAALPRRSLPPHPPIPPAGGGADLRNTARRTQSGAEGSGLPAPAAEQTAKPRVSDLRSGAGNATVGDVDGDQRVGNGTRSRQQREETATPMSRWQRRDEEKNSTHHAIVAAPGNSTDAPAPPLNSTATPRAAAAAAATPSTPPERKADTHHSHRRGIRHKQQHHHQHPRRRKDTVLLAAAAARQEAPDRRDDGAAMPLPAATIINTSTVGDNRVVWTSGVQSGLVSFAKCDVFSGRWVRDDDEGGGAYPFYPPGSCPHIDDDFNCHKNGRADTGFLRWRWQPHGCDIPRLNPIDFLERLRGQRIIFVGDSLNRNMWESLVCILRHGVRDKRRMYEASGRNQFKTRGYYSFRFRDYNCSVDFIRSIFLVKEMINETKGGAVVDAKLRLDELDETTPAYRTADIVVFNTGHWWTHWKTSRGLNYYQEGNYVHPSLEVMDAYKRALTTWARWVDKNIDSTRTQVWNSGGRCHRETEPIFNRTHLAEYPEKMRILEQVLGRMRTPVIYLNISAMTDYRKDAHPSVYRVRYETEEERMAAVAKQDCSHWCLPGVPDSWNELLYASLLQAGRGSWRL >ORUFI04G19660.1 pep chromosome:OR_W1943:4:21267815:21277724:1 gene:ORUFI04G19660 transcript:ORUFI04G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGPGGNLFGRVLGYVLNEILVQVLANNRTFQRFAVRTNKSLENLSSKAKEVREELSEQWRNSRGNDDHFRHRYAPFLSVPDFPYRGAAASSLFPPPSLPRAPTPPPPPLSCVVRVPHTRHGRTSSAPRLFDLVGGCAGAGLGGMRHLLLLRCGMAPLYGSSSSAAATKALLLNPAAAHAFVPSSPGPRALRRGGASLRCYAAAAAAVAEQHRIKVHNPIVEMDGDEMTRVIWKMIKDKLIFPYLELDVKYFDLGLLNRDATDDKVTVENETRVKEFKLKSMWRSPNGTIRNILNGTVFREPILCKNVPRILSGWKKPICIGRHAFGDQYRATDTIINGPGKLKMVFVPDGAEPVELNVYDFKGPGVALSMYNVDESIRAFAESSMAMALSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEEKWKEKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMSSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDKNDRLLDFTKKLESTCIETVESGKMTKDLALLIHGPKVTREFYLNTEEFIDAVAQQLREKIQIPAVV >ORUFI04G19660.2 pep chromosome:OR_W1943:4:21267039:21277724:1 gene:ORUFI04G19660 transcript:ORUFI04G19660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGNLFGRVLSYVVNEFIVEGLANNRTFQRFAVRTNKSLENLSSKAKEVREELSEQWRNSRGNDDHFRHRYAPFLSVPDFPYRGAAASSLFPPPSLPRAPTPPPPPLSCVVRVPHTRHGRTSSAPRLFDLVGGCAGAGLGGMRHLLLLRCGMAPLYGSSSSAAATKALLLNPAAAHAFVPSSPGPRALRRGGASLRCYAAAAAAVAEQHRIKVHNPIVEMDGDEMTRVIWKMIKDKLIFPYLELDVKYFDLGLLNRDATDDKVTVENETRVKEFKLKSMWRSPNGTIRNILNGWKKPICIGRHAFGDQYRATDTIINGPGKLKMVFVPDGAEPVELNVYDFKGPGVALSMYNVDESIRAFAESSMAMALSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEEKWKEKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMSSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDKNDRLLDFTKKLESTCIETVESGKMTKDLALLIHGPKVTREFYLNTEEFIDAVAQQLREKIQIPAVV >ORUFI04G19670.1 pep chromosome:OR_W1943:4:21279065:21282139:1 gene:ORUFI04G19670 transcript:ORUFI04G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASSSSSTPESRKMALAKAKETVASAPVVVYSKSYCPFCVRVKKLFEQLGATFKAIELDGESDGSELQSALAEWTGQRTVPNVFINGKHIGGCDDTLALNNEGKLVPLLTEAGAIASSAKTTITA >ORUFI04G19680.1 pep chromosome:OR_W1943:4:21282404:21283582:-1 gene:ORUFI04G19680 transcript:ORUFI04G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRASQAAAPETAAAAESLRQEETEDGWVFLAGRSRATRPPPPPPSPMARAVASGSSGGGGGQPFDPTAEDIVNRYLPLRRALRCDALPRQVHDADVYGAHPALLASVYPAANERFEWFFFVCRRQCPGGRRRAGPGDYRLSQEAKHRGNAFCHSFRYYEYEDAGGGFRETEWRMVEYGDRGRDAGAGGSEGFELVVCKVYPARGGALHERLGADRAVLATRHRADEDAKPQVLVQLYLASLRLGNPLACRVHRADDVFDAHPAVITAALPAANDRCEWFFAAVRPRGHAQGHGDGAPPRPRKAGPGAYVPVRECRVVDGRRGDMGCRLVFWYREDDEEARRASRRTEWWMDEYRFGPDFPYGELPAPMARGEDEELVVYKVYPRLVGNRR >ORUFI04G19690.1 pep chromosome:OR_W1943:4:21287574:21289613:-1 gene:ORUFI04G19690 transcript:ORUFI04G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVQSAAGWGRQLQQDGGGWRKGPWTSQEDALLVEHVRQHGEGRWNSVSKLTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESIIVQLHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRREMQQSQQQQQPTLMPTPTPQSKDIIVAETGDARTDDDAGGAAAAVAPSSSSSSLSMAGREAEDLIMHQDAMDDLMMCPAMSYHLLLHGAAVAGHQLSDGGGSCCASTSEDYGSSEDDGATWGSLWNLDGAAGACTLW >ORUFI04G19700.1 pep chromosome:OR_W1943:4:21297973:21298320:1 gene:ORUFI04G19700 transcript:ORUFI04G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTMPLGRYDAGWLCPPYRLSHNCARGDVVLAVCRLGLARLEDYRVLLDAGGSEPLAGGHEHRGLLRAAVWLLDREGTAIRRMVAEVGLAGCRLVFVGHSLGAWVGEERRRGG >ORUFI04G19710.1 pep chromosome:OR_W1943:4:21300204:21301556:1 gene:ORUFI04G19710 transcript:ORUFI04G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSLAVAATAVVALLLALPVALAEIKTTPIVADSRPVILFEEFGFKPGGVSAVSVRGVSWRVAEGSKLQAADPGLMGFILISNSLFFQINNESDYAEATGGAFCPLTSKYVLPLFRLKDIAPDGNGKGSVTIDDDDQYTVLFSSCQDGVEVTMEVRTEMYNVRPGGGRGVREYLPVGLLPLPGIFAAASAVYFVFLGAWAWACARHRATAGQIHAVMGALLLFKALKLACAAEDAWYVERTGTPHGWDVAFYVFGFFKGVLLFTVIVLIGTGWSFLKPYLQEREKKVLMIVIPLQVVENIASAVIGETGPAGRDWLAWNQIFLLVDVICCCAVFFPIIWSIRNLREASKTDGKAARNLKKLTLFKQFYLVVVGYLYFTRIAVSAFAAVLSYRYQWVVTVAMEAASLAFYIFVFYNFKPVENNPYLYVGEDEEEEASGQLEMEGTFEI >ORUFI04G19720.1 pep chromosome:OR_W1943:4:21303388:21304002:1 gene:ORUFI04G19720 transcript:ORUFI04G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSPRDDGRREVARAAGVAAALIAASLFCLFIALVLQSTSTSGGGGDGGGVREECGRAAYSAAVTVLSGLHPSNDLLHIGTLFPVFNLTVHVEVPPGGKAGGVCLGGHSVAAVVSYGGAFLGEGSVGRVCVEPQQQEGDVAATAWGRDVWMPWVLRRRLAEEMKRGEAELEVAVPMRGDDVLVCKAKIGGDLSPCTLEEASN >ORUFI04G19730.1 pep chromosome:OR_W1943:4:21304838:21305418:1 gene:ORUFI04G19730 transcript:ORUFI04G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLALGLGHQEGEEEAEQAAAGECEQRVADADAWRVAVRRRLGWVRALRQVEKPLQEEEWSDDGEFIELEGGLGIVAATRKTTAGCLAWSWRGGRTRSQEAGGRAAASGAEADGEGDGGAAVELAVQRPPEKKNEEGRKKI >ORUFI04G19740.1 pep chromosome:OR_W1943:4:21307215:21311780:-1 gene:ORUFI04G19740 transcript:ORUFI04G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAWRKAKRALGLGLCVHVPEAEEGEREDCSSERRRRLPAAASGARCRGEAAVTVGPDSVPAPSDVPVPLPLPGVVRRSKSGSSRSSSKRKCAICFDSMRHGNGQALFTAECSHMFHFHCISSNVKHGNYFCPICRAKWKEIPFNRSLSSNIPHGRIGVSRARLTQQDANMALLHQVPNHHQRVRRPHTSEPADFNDDEPLQQPEVFDNLNVRSTKTAEINTYPEFSTIPQSSSKDDFAILIHLKAPSANPDQGTGKLANESSAGSSRNRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVVQHLGPSDRLSVIAFSSSARRLFHLQRISHHGRQQALQAINSLGASGGTNIADALKKAMKVIEDRSYKNSVCSIILLSDGQDTYNISSSVQGASPDYKSLVPSSIINDARHTVPLHAFGFGADHDSDSLHSIAQASGGTFSFIEDEGVMQDAFAQCIGGLLSIVIQEMRVSMECVHPGVQLSSIKSGSYPSKVARDGRNGSVDIGHLYADEERDILLSVNIPQSRHQTSALKVSCAYRDPVTGETIKIQGDEVKINRPTTSNISEHVSIEVDRERNRIQAAESIECARAAAEKGALSEAVAILEDCRRTLSQSFASRSGDRLSLSLDAELREMQERMANRQLYESSGRAYMLSGLSSHSWQRATARGDSTDSSTVIYSYQTPSMVEMLQHSQNHLPSPQDRQRPRPR >ORUFI04G19750.1 pep chromosome:OR_W1943:4:21318191:21321859:-1 gene:ORUFI04G19750 transcript:ORUFI04G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVGGGGGGFNAPSTASARRRNHEDDDDEEEEESGEGRVLEAWERAYADDRSWEALQEDESGLLRPIDTKTLVHAQYRRRLLLRSAASAAARIQKGLIRYLYIVIDLSRAASEMDYRPSRMAVVAKYAEVFIREFFDQNPLSHVGIVTMKDGISHRLTEIGGSPESQIKALMGKLECSGESSLQNALELVHGYLDQVPSYGHKEVLFLYSALNTCDPGDIMETIAKCKKSKIRCSVIGLAAEIFICKYLCEETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVQPFDEVSSVHPNRLGQKGGPKCYGCQQSFINPVNLASMFAVQNATNTSALIAIFTSMRACTIAQVARVSVSTGQRVVEAIALLLRGGVRNVQCD >ORUFI04G19750.2 pep chromosome:OR_W1943:4:21318191:21321859:-1 gene:ORUFI04G19750 transcript:ORUFI04G19750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVGGGGGGFNAPSTASARRRNHEDDDDEEEEESGEGRVLEAWERAYADDRSWEALQEDESGLLRPIDTKTLVHAQYRRRLLLRSAASAAARIQKGLIRYLYIVIDLSRAASEMDYRPSRMAVVAKYAEVFIREFFDQNPLSHVGIVTMKDGISHRLTEIGGSPESQIKALMGKLECSGESSLQNALELVHGYLDQVPSYGHKEVLFLYSALNTCDPGDIMETIAKCKKSKIRCSVIGLAAEIFICKYLCEETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVQPFDEVSSVHPNRLGQKGGPKCYGCQQSFINPGMQFFNTSNSTCYLFNAFSYKERYPYCATYVAIVNLASMFAVQNATNTSALIAIFTSMRACTIAQVARVSVSTGQRVVEAIALLLRGGVRNVQCD >ORUFI04G19760.1 pep chromosome:OR_W1943:4:21331602:21337782:1 gene:ORUFI04G19760 transcript:ORUFI04G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRAALLLLALAVYVPLGTASSTTIASYLLGLWSRAHRHSLPAPAPAPAPETHRPGIRHPVPRHHRKRPHVAPPLPPPSSSERQDCSGISCTAPLTSTPIGSPCGCVYPMQIQLDLVVAPYQLFPRIDELEIEIAAGTFLKQSQVRIMGAGSSLQDPEKTTVTVDLVPLGQKFDRTSALLTSNRFLQKKVPINSSIFGDYNVIYVHYPGLPSLVPSVPGSLGPISSSQYPFSANVHNRRHQKINSKSVAIIALSAVVLVLMSFGICIIWKYKGFEKSRGTGRVSNSSATRKTGMRSSFSSMTSSTASFVSTIATCPPTVKTFSISELEKATENFSFNKIIGEGGYGRVYRGTIDDEVDVAVKLLTRKHQNRDREFIAEVEMLSRLHHRNLVKLIGICIERSTRCLVFELVPNGSVESHLHGSDKIYGPLDFDTRMKIALGAARGLAYLHEDANPHVIHRDFKASNVLLENDFTPKVADFGLAKEASEGMDHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMTQPPGSENLVTWARPLLTDRDGLQQLVDPSIPAASYGFEKLAKAAAIASMCVHVEASHRPFMGEVVQALKLIYNGNNDETCTSGSFGGGGSEEYEDEEASSPWNNRSWSHDFAATPPPASRRLAFPRAPARPTTMDYSSDPADGAAGTSSASARRQRSTSSLVLDKIESLAAYDWSGPLRASRGRNFYRLRGSMSEHGGHPSEDCSMEGYWM >ORUFI04G19770.1 pep chromosome:OR_W1943:4:21338211:21346668:1 gene:ORUFI04G19770 transcript:ORUFI04G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAPATCACRMAPPPPLAAASVSGRGRSPSSASSYRAAARWWPGARRGRRRRWAGLRSRCAGQSVVKPGSESAAGEGLVGEDPPRPPFDLNLAVVLAGFAFEAYTSPPEDVGWREIDAAECQTVFLSDSFLREVYDGQLVVRLKKGVNLPVMDPWIVISVIDQRRTKEPTWNEEFTFNISLSRENLLQVAAWDANLVTPHKRMGNAGLCLESLCDGSNHNVTVELEGLGGGGTIDVEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSSFTYTYLPKPPSLDIRAEAPKRAEESVDNSAGSNELEQYKMDSKASGDDSDCCSEAESTATVVNTEGSSSPNMKETDEYFWKAFTSVLNQNVLQNFGFSLPEVKQLDGFDLLSSLGLKSSEIAEKEYLESGLATVDASISEGHETTPKDAIDVDKEDGTIPIKENLPKEEVQAPFPDVSKVSRDVLSQTENILGALMLLSRSLSPQDKESVMVDDGSNKEDSVKEEQCASDYTDNDDDAVSTEVFTDAQKAEDRQRLFESAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQLKSLKVAIWRDCSRRRLVVAFRGTEQSKWKDLLTDLMLVPAGLNPERLGGDFKQEIQVHSGFLSAYDSVRNRIIALVKYAVGYQDEEDGENIPKWHVYVTGHSLGGALATLLALELSSSLMAKSGVIFVTMYNFGSPRVGNRRFAEVYNAKVKDSWRVVNHRDIIPTVPRLMGYCHVEAPVYLKFGDLKDALVNEETIDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLEGYCRSASLADRYQETLQTMEPVQDQFRGYGRTRK >ORUFI04G19780.1 pep chromosome:OR_W1943:4:21346070:21349347:-1 gene:ORUFI04G19780 transcript:ORUFI04G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEEQLRITGSVVPGVGEVEEVDEATEGGRLGRGDDDEILRFMDSVDGYLLLMDSLSSVLRPGWLDLASARHSMGTSRVSSTLFDHKEQSAASKLQVVDSADSQSSEPNPHFILSKWCLQEKSNSNDAVGVRDTTKPKLRYRGSAATPEDGSHDSDATPAESATSVDTSSHVQKARSKALSTFGALVSPKLRSAQMSFETAKEAERQ >ORUFI04G19780.2 pep chromosome:OR_W1943:4:21346963:21349347:-1 gene:ORUFI04G19780 transcript:ORUFI04G19780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEEQLRITGSVVPGVGEVEEVDEATEGGRLGRGDDDEILRFMDSVDGYLLLMDSLSSVLRPGWLDLASARHSMGTSRVSSTLFDHKEQSAASKLQVVDSADSQSSEPNPHFILSKWCLQEKSNSNDAVGVRDTTKPKLRYRGSAATPEDGSHDSDATPAESATSVDTSSHVQKARSKALSTFGALVSPKLRSAQMSFETALELIVELANSRSDMLSSFSQIKGE >ORUFI04G19790.1 pep chromosome:OR_W1943:4:21352174:21366996:-1 gene:ORUFI04G19790 transcript:ORUFI04G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAAAPAAAAAGPSSTRGEPKDPRTIARKYQLDLCKRAVEENIIVYLGTGCGKTHIAVLLIYELGHLIRKPSREVCIFLAPTIPLVRQQAVVIASSTDFKVQCYYGNGKNSRDHQEWENDMREFEEFYNSNSVEKFPRVFGMTASPIIGKGGSNKLNYTKCINSLEELLHAKVCSVDNEELESVVASPDMEVYFYGPVNHSNLTTICIKELDSLKLQSERMLRASLCDFKDSQKKLKSLWRLHENIIFCLQELGSFGALQAARTFLCFDGDKLDRREVDLNGSTSSFTHHYLNGATSILSRNKTDGSHAGSFDLEKLEEPFFSNKFSVLINVLSRYGLQENMKCIVFVKRITVARAISNILQNLKCLEFWKCEFLVGCHSGSKNMSRNKMDAIVQRFSSGEVNLLVATSVGEEGLDIQTCCLVVRFDLPETVASFIQSRGRARMTKSKYVVLLERENQSHEKLLNGYIAGESIMNEEIDSRTSNDMFACLEENIYRVDNTGASISTACSVSLLHRYCDNLPRDMFFTPSPVFFYIDGIEGIICRLILPPNAAFRQADGQPCLSKDEAKRDACLKACVKLHKLGALTDFLLPGPGSRKNKVSVTNNSSNNKVEDDSLREELHEMLIPAVLKPSGLKLDSLSNLHFYYVKFIPIPEDRRYQMFGLFVINPLPVEAETLQMMLAHKFQEMCLKILLDRSEFTSPHVKLGNDVTLEINSTFYLLLPIKQKCYGDRFMIDWPAVERCLSSPIFKDPIDVSVDASYSSNESLRLLDGIFSKTDVVGSVVFSPHNNIFFFVDGILDEINAWSEHSGATYAEHFKERFRIELSHPEQPLLKAKQIFNLRNLLHNRLPETTESEGRELLEHFVELPPELCSLKVIGFSKDMGSSLSLLPSLMYRLENLLVAIELKDVMLSSFPEASQISASGILEALTTEKCLERISLERFEVLGDAFLKYVVGRHKFITYEGLDEGQLTRRRSDVVNNSHLYELSIRKKLQVYIRDQQFEPTQFFAPGRPCKVVCNTDVEVRLHQMDIHPDNRENCNLRCTRSHHWLHRKVIADVVESLIGAFLVEGGFKAAFAFLHWIGIDVDFNNSALYRVLDSSSINLSLMDYTDIAGLEELIGYKFKHKGLLLQAFVHPSFSQHSGGCYQRLEFLGDAVLEYVITSYLYSTYPDIKPGQITDLRSLAVGNDSLAYAAVEKSIHKHLIKDSNHLTSAISKFEMYVKLSNSEKDLLEEPACPKALGDIVESCIGAVLLDSGFNLNYVWKVMLMLLKPVLTFANMHTNPMRELRELCQCHGFELGLPKPMKADGEYHVKVEVNIKSKIIICTAANRNSKAARKIAAQETLSKLKNYGYKHRNKSLEEILIVARKRESELIGYNEDPIDVEADISVKMKSPHIHEENIPFQNTETSFTRSSKFHNQIIAGSGKHDVNNGRNNQPKLATQSGRLPSEATEKSNKKVYHGSLTRWLLRSRVLRRPFWSVIAMVSFRRRLHKSMRHKGRSGVSSNLGTYQKKRTFVYSNIVMFWGSQCESTVIFVCPEINVPFSLLL >ORUFI04G19800.1 pep chromosome:OR_W1943:4:21369844:21371265:1 gene:ORUFI04G19800 transcript:ORUFI04G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSSPDTMAAAAGPSLSITVEKNPPEARLLQLGIKSWPKWGCPPGKFPLKFDAAAELQLTCYLLKGRVRASVKGTGRCVEFGAGDLVVFPKGLSCTWDVVVGIDKHYNFDSS >ORUFI04G19810.1 pep chromosome:OR_W1943:4:21378539:21380035:1 gene:ORUFI04G19810 transcript:ORUFI04G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCAADLAPLLGPVAANATDYLCNRFADTTSAVDATYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSNGFIGKQFFGLKHMPQTGFDYDFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWIWSADGWASASRTSGPLLFGSGVIDFAGSGVVHMVGGVAGLWGALIEGPRIGRFDHAGRSVALKGHSASLVVLGTFLLWFGWYGFNPGSFTTILKTYGPAGGINGQWSGVGRTAVTTTLAGSVAALTTLFGKRLQTGHWNVVDVCNGLLGGFAAITAGCSVVDPWAAIICGFVSAWVLIGLNALAARLKFDDPLEAAQLHGGCGAWGILFTALFARQKYVEEIYGAGRPYGLFMGGGGKLLAAHVIQILVIFGWVSCTMGPLFYGLKKLGLLRISAEDETSGMDLTRHGGFAYVYHDEDEHDKSGVGGFMLRSAQTRVEPAAAAASNSNNQV >ORUFI04G19820.1 pep chromosome:OR_W1943:4:21389875:21390312:-1 gene:ORUFI04G19820 transcript:ORUFI04G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTVEALGLLEPAVWTPLYPGSLYEPARFWSMTPEGFAYDPVFPFASTEEAGFLICDEHGFRVETEEDVVVADDIASFCARVKVLQDEAAARLGSKAVIDVEEVACDDDDDYDVLEEAGFAACFGLIIESVEELLEDDGEHP >ORUFI04G19830.1 pep chromosome:OR_W1943:4:21395292:21398451:-1 gene:ORUFI04G19830 transcript:ORUFI04G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLGLARIMSMKRRRRRRRRIQADGSSALDMGRKDSRSRSGSAKRRGPHLTLDRLPRIIALLPMQDAARAACASRELLQSWRHYPELKFSAKTLAMDGQHNCIQGQMDSYIKRIDIALQNCTGGWVKRFRFELQFFHELSAHHINHWLDAAIPGIEELTLELPRDDKMKYKFPCKLLFEKGCSIQSLCLYSCAFHPDQGACSFRNLKRVYFSLVHITTEELWIFLYNSLSLEHLELGFCHKIACLKIPCTLQLLNFLRVRRCNMLQIILSLGDSLQLKHVNISIYPWFNLFEYARKQLPTVAPNVETLFLMSANEAGIFYPLIFQPHGRFLHLKYLELVIVGPRNYGFGYQYTYLVTFLKASPVLETFILHVESAKEPYPLVINPKYLKNHLLPEHCHQSIKHVTVTGFCHTQELVELIFYILENATSLQCLTLDNRIRGFEKDLVACITQDAGTCDYQEWWKNFGAKEIILHFFRRESYPKSYWDAYCSYVAIRKYIIGRVPSSVELKIYQLCLLMKLYPVFQR >ORUFI04G19830.2 pep chromosome:OR_W1943:4:21395292:21397321:-1 gene:ORUFI04G19830 transcript:ORUFI04G19830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKDSRSRSGSAKRRGPHLTLDRLPRIIALLPMQDAARAACASRELLQSWRHYPELKFSAKTLAMDGQHNCIQGQMDSYIKRIDIALQNCTGGWVKRFRFELQFFHELSAHHINHWLDAAIPGIEELTLELPRDDKMKYKFPCKLLFEKGCSIQSLCLYSCAFHPDQGACSFRNLKRVYFSLVHITTEELWIFLYNSLSLEHLELGFCHKIACLKIPCTLQLLNFLRVRRCNMLQIILSLGDSLQLKHVNISIYPWFNLFEYARKQLPTVAPNVETLFLMSANEAGIFYPLIFQPHGRFLHLKYLELVIVGPRNYGFGYQYTYLVTFLKASPVLETFILHVESAKEPYPLVINPKYLKNHLLPEHCHQSIKHVTVTGFCHTQELVELIFYILENATSLQCLTLDNRIRGFEKDLVACITQDAGTCDYQEWWKNFGAKEIILHFFRRESYPKSYWDAYCSYVAIRKYIIGRVPSSVELKIYQLCLLMKLYPVFQR >ORUFI04G19830.3 pep chromosome:OR_W1943:4:21395292:21397321:-1 gene:ORUFI04G19830 transcript:ORUFI04G19830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKDSRSRSGSAKRRGPHLTLDRLPRIIALLPMQDAARAACASRELLQSWRHYPELKFSAKTLAMDGQHNCIQGQMDSYIKRIDIALQNCTGGWVKRFRFELQFFHELSAHHINHWLDAAIPGIEELTLELPRDDKMKYKFPCKLLFEKGCSIQSLCLYSCAFHPDQGACSFRNLKRVYFSLVHITTEELWIFLYNSLSLEHLELGFCHKIACLKIPCTLQLLNFLRVRRCNMLQIILPTVAPNVETLFLMSANEAGIFYPLIFQPHGRFLHLKYLELVIVGPRNYGFGYQYTYLVTFLKASPVLETFILHVESAKEPYPLVINPKYLKNHLLPEHCHQSIKHVTVTGFCHTQELVELIFYILENATSLQCLTLDNRIRGFEKDLVACITQDAGTCDYQEWWKNFGAKEIILHFFRRESYPKSYWDAYCSYVAIRKYIIGRVPSSVELKIYQLCLLMKLYPVFQR >ORUFI04G19830.4 pep chromosome:OR_W1943:4:21398300:21398925:-1 gene:ORUFI04G19830 transcript:ORUFI04G19830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRPHLGFGEAGVIRSSTALGNLSVSLRITTKMKTGPHGDAGFGEGVAQAQAPAKSKTGLHGDAGSGEDHVDETPAAAAAANPGRSIDLDS >ORUFI04G19830.5 pep chromosome:OR_W1943:4:21395292:21398925:-1 gene:ORUFI04G19830 transcript:ORUFI04G19830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRPHLGFGEAGVIRSSTALGNLSVSLRITTKMKTGPHGDAGFGEGVVESAKEPYPLVINPKYLKNHLLPEHCHQSIKHVTVTGFCHTQELVELIFYILENATSLQCLTLDNRIRGFEKDLVACITQDAGTCDYQEWWKNFGAKEIILHFFRRESYPKSYWDAYCSYVAIRKYIIGRVPSSVELKIYQLCLLMKLYPVFQR >ORUFI04G19840.1 pep chromosome:OR_W1943:4:21397808:21398002:1 gene:ORUFI04G19840 transcript:ORUFI04G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVIRHLPVPITTATVGPSTSLPSIPPQIQNPNPTGSNVDRRCHRHIIDLHDHQFLSNSSPDP >ORUFI04G19850.1 pep chromosome:OR_W1943:4:21400895:21401718:1 gene:ORUFI04G19850 transcript:ORUFI04G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAATRHTSFSLRRIEVAAAVRRTRVCRRRIEAAAAAVASRPPSSRRIDPATAAVASTPPHRRRRLHPPHPLSQAHQHRRLHPVPSAVVATPKTSASFPPSDSIHQ >ORUFI04G19860.1 pep chromosome:OR_W1943:4:21402779:21408687:1 gene:ORUFI04G19860 transcript:ORUFI04G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKPSSVDASSSSLPTSSSVSTTSSPLWRDASARAAAASPWRAFTLAQKLATSSSASTAGPALTGGEQCGRSGPPYPAAHVLTLTQNHIAHSSRSHSRRRIGAALSSVAHADASAIHGGLPLPREALRHSHQDDEQRGRRWLYGPRDRMRVGDTMNAHAAWNRRRSAGRQSAKIE >ORUFI04G19860.2 pep chromosome:OR_W1943:4:21402779:21403359:1 gene:ORUFI04G19860 transcript:ORUFI04G19860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKPSSVDASSSSLPTSSSVSTTSSPLWRDASARAAAASPWRAFTLAQKLATSSSASTAGPALTGGEQCGRSGPPYPAAHVLTLTQNHIAHSSRSHSRRRIGAALSSVAHADASAIHGGLPLPREALRHSHQVSSSNTPTPLVHCQFAIAL >ORUFI04G19870.1 pep chromosome:OR_W1943:4:21405726:21408179:-1 gene:ORUFI04G19870 transcript:ORUFI04G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAVWTPLYPGFLYDPARFRSMITKEEGFVYDPVFRFETKDGAAGGLLLHYDERGFRVGPVPSFQGPAF >ORUFI04G19880.1 pep chromosome:OR_W1943:4:21409433:21417737:1 gene:ORUFI04G19880 transcript:ORUFI04G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQATAKSFMAEGKVSADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEIAAAYLEAQQTKAREHQQQMQMQQLQLIQQRHAQLQRTNATHPSLNGPISGLNSDGILGPSTASVLAAKMYEERLKHSHPMDSDGSQLLDASRLALLKSASTNHSGQSVPGTPGSVSTTLQQIQARNQQNIDIKSEGNMSVAQRSMPMDPSSLYGQGIIQPKPGLGGGVLNQGVSGLPLKGWPLTGIDQLRPNLGGQMQKPFLSTQSQFQLMSPQQQQQFLAQAQAQGNLGNSTNLGDMDPRRLSALTRSVLNGKDGQPAGTDGCITSPMQSSSPKVRPDQEYLMKQTSSQQTQEQLQQQHNQQQQQQTQQGNRKRKQPTSSGAANSTGTANTVGPSTNSPPSTPSTHTPGDGLGMTGNMRHVPKNLMMYGVEGTGLPSSSNLDDLEQFGDMGSLDDNVESFLANGDGDARDIFAAPEKSPAEPNPVASKGFTFSEVNCWRTNNSKVVCCHFSSDGKILASAGHEKKAVLWNMETFQTQYTAEEHAVIITDVRFRPNSNQLATSSFDRTIKLWNAADPGFSLHTFAGHCSGITSLDFHPKKTDLLCSCDSNGEIRYWNVSQLSCMRAMKGGTAQVRFQPNTGQFLAAATENVVSIFDVETNGKKYTLQGHNSEVQSVCWDSSGQYLASVSQDLVKVWSISSGDCTHEVSSNGNKFHSCVFHPGYTDLLVIGGYQSLELWNMVKNQSMTVQAHEGLIAALAQSPITGMVASASHDNSVKLWK >ORUFI04G19890.1 pep chromosome:OR_W1943:4:21418302:21424151:-1 gene:ORUFI04G19890 transcript:ORUFI04G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPPPPPQLQSSDPSTPPQETSQVRKGKKSRGAKKPRRAAAAAAASTSSAGTMVEDPFLVLAGGKEGGFLELEEIDEADFGIFGGAVEDLGEIDRKAGKDQKKKRKKRKRGDDDYALPGDGDLVVECEEEGEKGEKRVKKKRRSRKKRKVKEMEEKMESKEDVSDDNVEDMQDGNDMEQDNNDGLILGEDEVYAWRELRLHPLLITAVRRLGFKEPTPIQKACFPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEQEKAMRLSREDESTQDENSRESPLRALILTPTRELAKQVCDHLKEAAKFLRIQVVPIVGGLSMEKQERLLKRKPEIVVGTPGRLWELMSTGNQHLIKLHSLSFFVLDEADRMIERGHFHELQSIIEMLPVTNGSDEQTVGTTPSCETVPILQIKKRQTFVFSATLALSANFRKKLKRGLVTAKASASTDLSSIEALSKQARMKPNAEIVDLTKASILPEKLEESFIECSDDDKDAYLYYILSVHGQGRTIIFCTSIAALRHLSSILRVLGINVLTNHAQMQQRARMKAVDRFRESENSILVATDGFARGMDFDDVRTVIHYQLPHSTDVYIHRSGRTARKSMAGCSIALISPADKAKFYSLCKSLSKENLQQFPVDHAYMPAENANKSWLQRNAESMGLLLETSDSEEERVQGHKQRKATSANLQKLQQDLSELLQRPLQPKTFSRRYLAGAGVSPLLQKQLEELSKRNVKGSASVNANKGSRFVVIGQDQIEPLQALQNSGQEVCVSIDKQREKRRLAENWRRKKQKEKKSTREQKRKEKRIAKERD >ORUFI04G19900.1 pep chromosome:OR_W1943:4:21426339:21431516:1 gene:ORUFI04G19900 transcript:ORUFI04G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRYHMEMPTGMRELDRVQQQIASHPYAFEGYYNVLANSPELACQFYTDYSTAVRLDCQTMKSSFGETVEEINDMIISMNVHKIEVKTANFVQSWGGALQMLVTGLVQLKDYPVRKRFAQTMLLAPQDNGYYVFSDIFKLICDEYDYYEGADYSHTDNILQMDAHNTMTETENFSNGNRDYSDNVYFLLLVDSLASDCMPEELEAKEALAPADIEERGPAFMPENHEVQQQDPLEYGVVIDDDSPSEELTPSFPSSTDSKQDAPLGPIVHPSVTTPEEEPMGEPAKQTYASVLRTKGHPSHQAIHSIPLNKATASSVESQLNGHMTKQVQPVHEKANLDTRYDASGPEDEEEFLSVYIGNLSPSTSVFDLEKEAGIFFGFVEYEDMSGIHNALRASPIELNGRLIHVEERRQIYRGGGARRGRGRPADFSRGQSGGRYDGDYATRSKGNGYQRRV >ORUFI04G19910.1 pep chromosome:OR_W1943:4:21432157:21433267:-1 gene:ORUFI04G19910 transcript:ORUFI04G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPFLHSFLSPSSAAAAPSSLQLRRAFSDGHLPSLHPSSDGGGGSKNNTTGLHTELSFSIYNTFNKMAPPPPPVQEQEEAEQQQAPGEPKLPLFLARGLGIDRIASGLFTAGGGGDGDGGNGGAGRMSAVEEEHAEKVAALDAQYKRMMDEQPGDALFLRNYAQFLHEVKGDARRAEEYYSRAMLADPSDGEIMSQYAKLVWEVHRDQDRSLTYFHKSVQAAPHNSNVLAAYASFLWEQDDDDDDDLGEGEQDVAVAAQPGHVRQLASVAV >ORUFI04G19920.1 pep chromosome:OR_W1943:4:21434050:21436455:-1 gene:ORUFI04G19920 transcript:ORUFI04G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAASRCARGAIRRLSSAASPAAVAAGARRQPPLDEGDWSYHREWWGEDEGPGEGAHTVFRRHSEHGNGVVSVSAYPASRPASDEWTVTERWLQERNARIYPNSFGANQFKILGYQWRVMRFNDHTRQSVAKVMACYRSSGAVVHIVEIDPVVASASIDSMGFPSLPVKGLPPEPTKPANGNELLWGGIHDRLFLHIADAEDFVANDSNVYDLVFIDAYDGDDIFPRKLWDAEGTFLKNLEMKVHPVHGTVVVNLHSDSELSVAGVEKTSSVDSILPLGKHVSQVCRAYKQHFGLAFTAAVPWLCNITLVACRDRAIASGARLGLSRRDFVLGKLLSKSNMVEHALDLPFPCLQYIKNGFTLVD >ORUFI04G19930.1 pep chromosome:OR_W1943:4:21437709:21439056:-1 gene:ORUFI04G19930 transcript:ORUFI04G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTTTTKAGRNGDRAGTEAPGATRATDGRGGAAVAARKVGDMRGVSGVEAKDSLTIVALQSPVTVERPVRGDLEEHVPKPYLARALAAPDIYHPDGTTTDDHEHHHLSVLQQHVAFFDRDDNGIIYPWETYEGCRALGFNMIMSFLIALVVNVSMSYPTLPGWLPSPFFPIYIHNIHRSKHGSDSGTYDNEGRFMPVNFENIFSKYARTSPDRLTYREVWQMTEGNREVLDLFGWFAAKLEWTILYVLARDEEGYLAREAIRRMYDGSLFDYVAMQREQHAKMS >ORUFI04G19940.1 pep chromosome:OR_W1943:4:21444336:21444578:1 gene:ORUFI04G19940 transcript:ORUFI04G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIRCPAVWWLKRRRRHEPARLRWRDGIPRAVMETGGGPLPGAARAEVDAKAGADGIDRRESAAGPARVVARRSPGTG >ORUFI04G19950.1 pep chromosome:OR_W1943:4:21446329:21447764:-1 gene:ORUFI04G19950 transcript:ORUFI04G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAASKAAPTDALSSVAAEAPVTRERPVRADLEVQIPKPYLARALVAPDVYHPEGTEGRDHRQMSVLQQHVAFFDLDGDGIVYPWETYGGLRELGFNVIVSFFLAIAINVGLSYPTLPSWIPSLLFPIHIKNIHRAKHGSDSSTYDNEGRFMPVNFESIFSKNARTAPDKLTFGDIWRMTEGQRVALDLLGRIASKGEWILLYVLAKDEEGFLRKEAVRRCFDGSLFESIAQQRREAHEKQK >ORUFI04G19960.1 pep chromosome:OR_W1943:4:21450358:21452827:-1 gene:ORUFI04G19960 transcript:ORUFI04G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGATLADKAEFKECLRLTWSQPYILQLVFSAGIGGLLFGYDTGVISGALLYIRDDFTAVEKSTVLRETIVSMAVAGAIVGAGFGGWMNDKFGRKPSILIADSLFLAGALIMALAPTPFVIIIGRIFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFMAYLINLAFTKVKGTWRWMLGIAGLPAFIQFILMCMLPESPRWLYRQDRKEEAEAILRKIYPAAEVEEEIDSMRRSIEHEKQLEGSIGEQSLVGKLTKALSSKVVRRGLMAGVIAQVAQQFVGINTVMYYSPTIVQLAGFASNNTAMALSLITSGLNAIGSIVSMFFVDRAGRRRLMIISLVGIVLWLAVLGGTFLGAAHHAPPVSDLETRVFANQTCPEYSPSARWNCMNCLKAQSTCGFCAHGGNKLLPGACLAAGEASRRTCHAGNREFYTEGCPNNFGWLALVALGAYIVSYSPGMGTVPWIVNSEIYPLRFRGVCGGIAAVANWVSNLIVTQTFLSLTKALGTSATFFLFCAVSFFALVVVFFTVPETKGLQFEEVEKMLGEKDYKPWKRYRPDVSSKGRDIGLSVP >ORUFI04G19970.1 pep chromosome:OR_W1943:4:21456386:21460625:-1 gene:ORUFI04G19970 transcript:ORUFI04G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDINPDHIFEVPDTPDRIQQSTCPVSSPAARRGIAKAAGNPLPSRRIKFKITNNSIQGQSSRGNASSVSPAPLDAGDIFKQAELARLLPVAEDPEARSSLLKSGRTIETSVENEKVPKKSGLDQSMNISNNINCRGSGERDRSCQIRKGDISARDANSCNADFLCLGSGLPTTTVGKPRNRMGTITFKKPKEVVGANVCSVSSPREGKGEEITDKGTTGISSSTPSIVPQRHVGQRKLVRNGCISPSNIAKRSLKVDEKREICSTSGLLHYPDTQVDASGKGNVIDLTDSSPIIRRQGNTATDMEKRSGRKLAIGRAGETVIPLAANQVNSIFSEGNKNKGKEISHDVVGAKQSGEAYMRRVCPRSMGDSSSVANNDHTGIGSEQGWRTTHNNTSNIPMPLSAGDSNNSIEGGDNNNSIGGAKTLQTASFVNRTIRISSRKRKRIASSYHPGESSSSVDVDQPRVASSDSTAARNHTTHRHHIPVVDIDDICSPEVRPSLSGIGSSNRTSVDPNIREQLESDELLARQLQEQLYNETPHVVPTEEIDAIIAMSLQHEDNAQPTSRTARRFQSTTRGPRVLRSTVPQHANRVRYDSNNRRIIYQRALSRYPAAHIQPNIDLNDYDALLALDENNHQHAGASESQINNLPQSVIQSNIEEPCAVCLDNPSIGDTIRRLPCFHMFHKECIDEWLRRKKLCPVCKSGIT >ORUFI04G19970.2 pep chromosome:OR_W1943:4:21456386:21460625:-1 gene:ORUFI04G19970 transcript:ORUFI04G19970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDINPDHIFEVPDTPDRIQQSTCPVSSPAARRGIAKAAGNPLPSRRIKFKITNNSIQGQSSRGNASSVSPAPLDAGDIFKQAELARLLPVAEDPEARSSLLKSGRTIETSVENEKVPKKSGLDQSMNISNNINCRGSGERDRSCQIRKGDISARDANSCNADFLCLGSGLPTTTVGKPRNRMGTITFKKPKEVVGANVCSVSSPREGKGEEITDKGTTGISSSTPSIVPQRHVGQRKLVRNGCISPSNIAKRSLKVDEKREICSTSGLLHYPDTQVDASGKGNVIDLTDSSPIIRRQGNTATDMEKRSGRKLAIGRAGETVIPLAANQVNSIFSEGNKNKGKEISHDVVGAKQSGEAYMRRVCPRSMGDSSSVANNDHTGIGSEQGWRTTHNNTSNIPMPLSGKMTNSCGRESGSSEQSNLDRASAAGDSNNSIEGGDNNNSIGGAKTLQTASFVNRTIRISSRKRKRIASSYHPGESSSSVDVDQPRVASSDSTAARNHTTHRHHIPVVDIDDICSPEVRPSLSGIGSSNRTSVDPNIREQLESDELLARQLQEQLYNETPHVVPTEEIDAIIAMSLQHEDNAQPTSRTARRFQSTTRGPRVLRSTVPQHANRVRYDSNNRRIIYQRALSRYPAAHIQPNIDLNDYDALLALDENNHQHAGASESQINNLPQSVIQSNIEEPCAVCLDNPSIGDTIRRLPCFHMFHKECIDEWLRRKKLCPVCKSGIT >ORUFI04G19970.3 pep chromosome:OR_W1943:4:21456386:21460625:-1 gene:ORUFI04G19970 transcript:ORUFI04G19970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDINPDHIFEVPDTPDRIQQSTCPVSSPAARRGIAKAAGNPLPSRRIKFKITNNSIQGQSSRGNASSVSPAPLDAGDIFKQAELARLLPVAEDPEARSSLLKSGRTIETSVENEKVPKKSGLDQSMNISNNINCRGSGERDRSCQIRKGDISARDANSCNADFLCLGSGLPTTTVGKPRNRMGTITFKKPKEVVGANVCSVSSPREGKGEEITDKGTTGISSSTPSIVPQRHVGQRKLVRNGCISPSNIAKRSLKVDEKREICSTSGLLHYPDTQVDASGKGNVIDLTDSSPIIRRQGNTATDMEKRSGRKLAIGRAGETVIPLAANQVNSIFSEGNKNKGKEISHDVVGAKQSGEAYMRRVCPRSMGDSSSVANNDHTGIGSEQGWRTTHNNTSNIPMPLSGKMTNSCGRESGSSEQSNLDRASAAGDSNNSIEGGDNNNSIGGAKTLQTASFVNRTIRISSRKRKRIASSYHPGESSSSVDVDQPRVASSDSTAARNHTTHRHHIPVVDIDDICSPEVRPSLSGIGSSNRTSVDPNIREQLESDELLARQLQEQLYNETPHVVPTEEIDAIIAMSLQHEDNAQPTSRTARRFQSTTVQGYCVQLFLSMLIGNDYDALLALDENNHQHAGASESQINNLPQSVIQSNIEEPCAVCLDNPSIGDTIRRLPCFHMFHKECIDEWLRRKKLCPVCKSGIT >ORUFI04G19980.1 pep chromosome:OR_W1943:4:21463499:21465497:-1 gene:ORUFI04G19980 transcript:ORUFI04G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLACFGDRRRRRQPRRQSPARSPPPRPDHVALLGKALTAVDVEAKEEVEPPPLLQEAKDVLLAPPPMPVEAAEEVVTGARPGKELSEQKASPASSLLPEKQVTPPLSPVAHSPPLAEAVVCTPDPELREVGGQESRSSGKKKVTFDMNVTAYENTAPADQEEEPPEPVSMVENEDGKHMQKDVLLPENHRYRNCSDSEEEEDEYGEDDNYGDDSDEEEEDFVDCKIDLVDKDELYTEDSKQESHESLFSLQMYKDQQNDNEVSSPAPKSSSTSVEEQSPLIQRNNHRDRGQYVRPVLNPVQNMSQWKEVKAQAAPAKKSNKENVNSVPKVGATPENSIKKEVSVDASLSTWLVSSDNSTVDKVQSGSPCSISTVNRDERPVLGALTVDDLKQSSATSSPRRSPSHNREEAILGTVGSYWSSTKQDNAHCSSRSDSGTNGIPNTTSKYREDKMVNWHSTPFNVRLDRALKKSSA >ORUFI04G19990.1 pep chromosome:OR_W1943:4:21481976:21488593:-1 gene:ORUFI04G19990 transcript:ORUFI04G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWQWMGGYKYLVLAPVAMHTAHRLATKGWGDFDPAYTFMLPTLLLRMIHNQIWISLSRYQTARRKHLIVDRSLDFEQVDRVLYLAWARAEKARFHSLHHTQFRTNYSLFMPFYDYIYNTMDSSSDELYERSLKGTEETPDIVHLTHMTSLKSMYHLRIGITSISSKPCNDSVWYMWMLWPVAWLSMAEQLNGSGELFAKKYPRLRVRLIDGSGLATAVVLNSIPFGTKQVFLCGSNSKVTRATAIALCQRGVQVILNQEKEYGMLKSRVPESRAIYLKFSNDETPQIWIGDSIDDAQGRAPKGTIFIPTSQFPLKKARKDCTYSSNPAMKIPETMQNVHTCENWLPRRVMSAWRIAGILHALEGWEMHECGDDMMTIEKTWSAVNV >ORUFI04G20000.1 pep chromosome:OR_W1943:4:21491072:21493499:-1 gene:ORUFI04G20000 transcript:ORUFI04G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSSGSRALVEILTGLQSVERPMPVDHTLFEFGSIRYHLQASITDSENIYLSISTPSLSYEASPSSGLPEITLQETRKMYHKFAEIIEPAKEGYTLTLRLNFSGLTRPKDRTKAINQISLLQSVILSSQLKDMLASLGSSGTMKLVYNQRDPFFVSKTPVKISAIFPMRFRDDTDLAIASSFFQELQDLGSTSSFSRAPRCSWSPIPPPELRGEYVHHLTTNGGFVSFDILARHVKGRRAARTAWILLNFQSYVKYHIKCTRSYIQSRMRKRLEIMTEVIDDAKFRGNDESRKKLQVRKRSKRRSIKFARAKKLQKGFKAVIDKIKRLRLRIRVKGLDRFRRHCQCFPVLKLTMAQRKEQKYQKLE >ORUFI04G20010.1 pep chromosome:OR_W1943:4:21494229:21497872:-1 gene:ORUFI04G20010 transcript:ORUFI04G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRRFLNPLVLNLQKMELELTCPVCLKLLNAPTMLPCYHTSCSKCATTRTMDGYSCAICKSAYRSQDLRPASHLEAIVNIHRSLSSTVSSMVTQQEAQADIPVAKTSFQGTPESGNRNGAEKSDQKGPCRSQSSDGPRDLDCDSNDLEGELITSRSSPQSVLKREPNTANDDNRELKRQKSTDQDDRQPAVAGAWKCEFCHSSKTTESTGPLSHYLHGEPLEDNQAWKPNVLHVHEKCIEWAPQAFFTGDIANNLEPELARASKIKCSVCGLKGAALGCLVKSCRKSFHVPCAHGISGCRWDDENFVMLCPSHSSKKLPCERSKSKNKKTSLQRSSSDTMLDDLNSPSTIHMDGLWTASPFLTSEWVICGSALSSQEKEILDQFEHQTGITVTNGWRSNVTHVIANTDERGACARTLKVLMAILAGKWILNINWLKACMEAKEPVPEEPYEISSDVHGSFDGPRMGRLRAMQNAPHLFAGLTFYFSGHFMPNYKVHLEDLITAAGGSILDKADLSSTSLIIYSMEPPQGSDPDTLNEVIRKRKAEAEELAATIGSRAVPHTCVLDSIASCTVQLTM >ORUFI04G20010.2 pep chromosome:OR_W1943:4:21494229:21497872:-1 gene:ORUFI04G20010 transcript:ORUFI04G20010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRRFLNPLVLNLQKMELELTCPVCLKLLNAPTMLPCYHTSCSKCATTRTMDGYSCAICKSAYRSQDLRPASHLEAIVNIHRSLSSTVSSMVTQQEAQADIPVAKTSFQGTPESGNRNGAEKSDQVKSYTPVASKLAYNQSTGLAYGNVDGVKERNPALETRGAADVTAMPTILVQKGPCRSQSSDGPRDLDCDSNDLEGELITSRSSPQSVLKREPNTANDDNRELKRQKSTDQDDRQPAVAGAWKCEFCHSSKTTESTGPLSHYLHGEPLEDNQAWKPNVLHVHEKCIEWAPQAFFTGDIANNLEPELARASKIKCSVCGLKGAALGCLVKSCRKSFHVPCAHGISGCRWDDENFVMLCPSHSSKKLPCERSKSKNKKTSLQRSSSDTMLDDLNSPSTIHMDGLWTASPFLTSEWVICGSALSSQEKEILDQFEHQTGITVTNGWRSNVTHVIANTDERGACARTLKVLMAILAGKWILNINWLKACMEAKEPVPEEPYEISSDVHGSFDGPRMGRLRAMQNAPHLFAGLTFYFSGHFMPNYKVHLEDLITAAGGSILDKADLSSTSLIIYSMEPPQGSDPDTLNEVIRKRKAEAEELAATIGSRAVPHTCVLDSIASCTVQLTM >ORUFI04G20020.1 pep chromosome:OR_W1943:4:21501325:21501720:-1 gene:ORUFI04G20020 transcript:ORUFI04G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSEKLEAARVAVGKRKERELLAQAPANKALAEPGTNKPAPGPVPNNKLLAGHLAHEFLTRGTLQGRRVEPTKPSQAAASHPGEPVPEPEPDAAKRRRYAEVSWLLMASGARVPGVVNPTQLGRWLQIKE >ORUFI04G20030.1 pep chromosome:OR_W1943:4:21510606:21515012:1 gene:ORUFI04G20030 transcript:ORUFI04G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQRPPKHTAAADDWEGMASRYMAIKPALKVVRELNESGKLTLGGLIHEFAGVKAKLPEDYRTNREYGGTQPLLNRTLVMVEALKDKLGADASATAYPRLIHDLVMALPLDAWWWSAEEPESMLRFVNRWKGLLPQATMDSILDEVILPTLVAATDVFRPTRPSKLSVCVGMWIPHLGHARLRIVYIISRRLRDWLCGGISEYDYKLALPWKKVFDPASWDEHIERHVLPHLRKALHDLEISIRMTWLQNNNFFPLVMRWASIVPVKYMVPLLIQGFFKKWMYANYRYLMGERPRLDEAMAWYEVWKGLFTPELLAEKRMVVHVEAGLDMINRATQGLEISAPRRIDPIEMTSRPRNAALGAVEGSHGWEALDIERTLATLREESAAYAAKRAQKTLRASGSASTARRLVLSSHDGEDRGPVPAEEEIVSAMAVIRGETSSKTLTLGGLICEFEGLKEKFPEAYGTFQLAQTAAHLTAPWLRPLLRPQDGRWDILQRPAWALALVQSLRNILQEEEDAPSAGMSAYAMLIDNTWKDTLPPSALAFIILEKVVMPELVADVVDRASQRLGEPVDPASVWVSPWIPHLGVDRLHGVYLDIAGELGRWMKGRDVTRCAYGKVSQWKGVFDPETWDEFVTVQRHVVPVVSRSLRDPTISPTRTWGGSNTFPLVMRWALLVPARYMVPVLESEFFAKWRYAVYPFVTEVRPIPGKAAVWYQSWKDLFTPELLADERVLLQLETGLGMINRAAQGQQISWPEHSDV >ORUFI04G20030.2 pep chromosome:OR_W1943:4:21509976:21510596:1 gene:ORUFI04G20030 transcript:ORUFI04G20030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGDEGRNQGGSLSHGETNAGQESETSDRTPPNSSNTKTSGVQEIAAAASKDVPTIHFVRVSSGDMDHHCQLLFSPPAYCNSYYPPLPPQPGSMATNQIVARLMAQMNYEEGTGLGKYGHGIIDPINPTKNDSDYDTGPPVEPKLERGTGEAEPEAVVDVEEVRAMDTLQRESEAYAAARAWERRHEKV >ORUFI04G20040.1 pep chromosome:OR_W1943:4:21515702:21520339:-1 gene:ORUFI04G20040 transcript:ORUFI04G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCGGERMVDELRDVLDDFAFRAKRLAAPLLQPFGRASEAVALDGAEIDGLRRIRAALRAAEERVVTDDFVRLWLRELEDLERMAEDVLEELKFEALRASRLERFKLQLLRSSAGKRKRELSSLFSSSPDRLNRKIGKIMERYNDLARDRDALRLRSSDGERRREPSPLTPTSCLTKCSLHGRERDKKQVIKLLLSDEYNCQGVYSVVPIVGAAGVGKTSLVQHIYNDEALRSKFDMKMWVWVCQEFDVLKLTRKLAEEATESPCGFAEMNQLHRIIAKRLEGKRFLLVLDDVWDESLVRWTSLLVPLKSAAPGSRIVVTTRSAKVARMMAFKIHQLGYLTDTTCWSVCRDAALQDRDPSIIDDGLISIGKSVAAKCKGLPLAANAAGSVLSIAIDRKHWETVEQSDLWANNEVIDHTLPALLVSYNSLQKPLKHCFSYCSLFPKEYVFRKDKLVRLWLAQGFAAADGESDAEDIACRYFHNLVERFFLQQSPSYDHNEQRYVMHDLYHELAEYVAADEYSRIERFTLSNVNGEARHLSLTPSETHSHEIGEFHASNNKYTNESQYPGLRTLLVVQRTKHYDGRKTSSIQKPSVLFKAFVCLRALDLSNTDMEGLPNSIGELIHLRYLSLENTKIKCLPESISSLFKLHTMNLKCCNYLSELPQGIKFLANLRHLELPRIDNWNVYMPCGISELTNLQTMHTIKFTSDSGSCGIADLVNLDNLRGELCISGIENVSKEQIATEAIMKNKGELRKLVLQWSHNDSMFANDASSVLDSLQPHPALEELIIMGFFGVKFPVWMGSQCSFKLSFLELKDCRNCKELPSLGLLPCLKHLFINSLTSIKHVRRMLSSGDHTSSGDFQSRIAFPTLETLKFTDMESWEHWDETEATDFPCLRHLTILNCSKLTGLPKLLALVDLQIKNCECLLDLPSFPSLQCIKMEGFCRVNHLLQLPLFSQLEMLELWCHKKLVSLRNSSIFPHFTKTWTFLRCAGQILECNVVACTDLTFGQTNVHSSEEEIGNGVIFHIGQDEAVELVSCKPVWVQIGQPEEVEIICID >ORUFI04G20050.1 pep chromosome:OR_W1943:4:21520633:21522366:1 gene:ORUFI04G20050 transcript:ORUFI04G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPPAAAAASPTCWVSLHAPGPRGRSASFPAAPCSARRFSRFVARSSGGGGGGTNPGPKPGDDESKAVLDAFFLGKAFAEALTEKVESVVGEVFSVVGQWQAEQQKQVQEFQEEVIQRAQKAKERAAMEVVDEKSPKTLREPSKTFVAPVPATPTPPPPTPTQEE >ORUFI04G20060.1 pep chromosome:OR_W1943:4:21524447:21528327:-1 gene:ORUFI04G20060 transcript:ORUFI04G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWLVVLLTVHRLLHLSGVSAVDRSQFPPDFLFGTSSSAYQARRRNPHSTMLHNKSLSELCFFRLKADIWRVTKVSATGMSSLISKEDIELMHSLGVNSYRFSISWARILPKGRFGDVNPDGVAFYNALIDGLVQKGIQPFVTICHYDIPHELDERYGGWLSPEIQKDFSYFAEVCFKLFGDRIKFWTTFNQPNLSIKFSYMDGFYSPGRCSEPFGKCALGNSSIEPYVAGHNIMLSHANAVSVYRNKYQGKQGGQIGIALSITWYEPFRNTTIDLLAVKRALSFGASWFLDPILLGDYPTEMREVLGQSLPKFTSKQKNRLQSTKLDFIGLNHYTTCYVKDCIFSPCEIDPVNAEARVFSLYERDGVPIGYSQASNSNMTAKDFTNDTGRITYIQGYLTSLASAIRKGADVRGYFVWSLLDDFEWNFGYTLRFGLYHVHYKTLKRTPKLSVDWYRKFLTGSLLRRKFRDESQLHKFNSY >ORUFI04G20070.1 pep chromosome:OR_W1943:4:21530041:21538999:-1 gene:ORUFI04G20070 transcript:ORUFI04G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAATRIAVVVVAALAALAPGARGLRRDDFPVGFLFGAATSAYQIEGAYLDDNKGLNNWDVFTHTQGGRFGGVNSAGIAFYNRLIDALLQKGIQPFVTLNHFDIPQELEIRYGGWLGAGIREEFGYYSDVCFKAFGDRVRFWTTFNEPNLMTKFQFMLGAYPPNRFLDPIFFGEYPREMREILSSNLPKFTPEEKKLLQNKVDFIGINQYTAIYAKDCIYSPCALNTYEGNALVYTTGVRNGAKIGKPTAFSTYFVVPESIESAVMYVNGRYKDTTIYITENGYSQHSDTNMEDLINDVERVNYLQGYLKYLSSAVRKGANVGGYFMWSLIDNFEWVFGYTIKFGLYHVDFDTQERIPKMSAKWYRDFLTGSNGSVPVPPWVSDEDWRMLTSGEEFVVGLTPNVTVANDGSGDFTNISAALDALPETYTGKYIIYVKERVYDETKSIITGSKNIADGVRIWKTATFAVDSDRFTAMRLGIRNTAGEEKQQTLALRVKADKSIFFNCRIEGNQDTLFAQAYRQFYRSCVILVKPSLPGKPTVVTAHGRRDRQQTTGFVVHHSQVVAAAPPAAFARPRAARLRPPGAARGEDR >ORUFI04G20080.1 pep chromosome:OR_W1943:4:21542497:21551486:1 gene:ORUFI04G20080 transcript:ORUFI04G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILSSNLPKFTPEEKKLLQNNKVDFIGINHYTAIYAKDCIYSPCTLDTYEGNALVYAIGRRNGKIIGKPTALHGYFVVPEAMEKVVMYVNDRYRNTTIYITENGYSQHSDTSMEDLINDVERVNYMHDYLKYLSSAIRKGANVGGYFAWSIVDNFEWVYGYTVKFGLYQVDFDTQERIPRMSAKWYRDFLTSSSLTDGLQVRSRRADS >ORUFI04G20080.2 pep chromosome:OR_W1943:4:21542497:21551486:1 gene:ORUFI04G20080 transcript:ORUFI04G20080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREILSSNLPKFTPEEKKLLQNNKVDFIGINHYTAIYAKDCIYSPCTLDTYEGNALVYAIGRRNGKIIGKPTALHGYFVVPEAMEKVVMYVNDRYRNTTIYITENGYSQHSDTSMEDLINDVERVNYMHDYLKYLSSAIRKGANVGGYFAWSIVDNFEWVYGYTVKFGLYQVDFDTQERIPRMSAKWYRDFLTSSSLTDGLQVRSRRADS >ORUFI04G20080.3 pep chromosome:OR_W1943:4:21542497:21551486:1 gene:ORUFI04G20080 transcript:ORUFI04G20080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAATRIAVVVVVLALAVLAPAARGLRRDDFPPGFLFGAATSAYQIEGAYLDDNKGLNNWDVFTHLQVGRISDGRNGATEEFEYYSDVCFNAFGDRVRFWTTFNEPNLSTRHQYILGEFPPNHCSPPFGNCSSGDSRREPYAAAHNILLSHAAAVHNYKTNYQAKQGGSIGIVIAVKWYEPLTNSTEDVRAARRALAFEVDWFLDPIFFGDYPREMREILSSNLPKFTPEEKKLLQNNKVDFIGINHYTAIYAKDCIYSPCTLDTYEGNALVYAIGRRNGKIIGKPTALHGYFVVPEAMEKVVMYVNDRYRNTTIYITENGYSQHSDTSMEDLINDVERVNYMHDYLKYLSSAIRKGANVGGYFAWSIVDNFEWVYGYTVKFGLYQVDFDTQERIPRMSAKWYRDFLTSSSLTDGLQVRSRRADS >ORUFI04G20080.4 pep chromosome:OR_W1943:4:21542497:21551486:1 gene:ORUFI04G20080 transcript:ORUFI04G20080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAATRIAVVVVVLALAVLAPAARGLRRDDFPPGFLFGAATSAYQIEGAYLDDNKGLNNWDVFTHLQVGRISDGRNGATEEFEYYSDVCFNAFGDRVRFWTTFNEPNLSTRHQYILGEFPPNHCSPPFGNCSSGDSRREPYAAAHNILLSHAAAFTPEEKKLLQNNKVDFIGINHYTAIYAKDCIYSPCTLDTYEGNALVYAIGRRNGKIIGKPTALHGYFVVPEAMEKVVMYVNDRYRNTTIYITENGYSQHSDTSMEDLINDVERVNYMHDYLKYLSSAIRKGANVGGYFAWSIVDNFEWVYGYTVKFGLYQVDFDTQERIPRMSAKWYRDFLTSSSLTDGLQVRSRRADS >ORUFI04G20080.5 pep chromosome:OR_W1943:4:21547192:21551486:1 gene:ORUFI04G20080 transcript:ORUFI04G20080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSVPYLAVLESSQEAGLEEEEFEYYSDVCFNAFGDRVRFWTTFNEPNLSTRHQYILGEFPPNHCSPPFGNCSSGDSRREPYAAAHNILLSHAAAVHNYKTNYQAKQGGSIGIVIAVKWYEPLTNSTEDVRAARRALAFEVDWFLDPIFFGDYPREMREILSSNLPKFTPEEKKLLQNNKVDFIGINHYTAIYAKDCIYSPCTLDTYEGNALVYAIGRRNGKIIGKPTALHGYFVVPEAMEKVVMYVNDRYRNTTIYITENGYSQHSDTSMEDLINDVERVNYMHDYLKYLSSAIRKGANVGGYFAWSIVDNFEWVYGYTVKFGLYQVDFDTQERIPRMSAKWYRDFLTSSSLTDGLQVRSRRADS >ORUFI04G20090.1 pep chromosome:OR_W1943:4:21560638:21568770:-1 gene:ORUFI04G20090 transcript:ORUFI04G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSKTRIHASLVSTLLLLLPLASAIHRSDFPASFLFGTATSSYQIEGAYLEGNKSLSNWDVFTHLPGNIKDGSNGDIADDHYHRYEEDVELMNSLGVNAYRFSISWSRILPKGRFGGVNPAGIDFYNKLIDSLLLKGIQPFVTLTHYDIPQELEDRYGAWLNAEIQSDFGHFADVCFGAFGDRVKYWTTFNEPNVAVRHGYMLGTYPPSRCSPPFGHCARGGDSDAEPYVAAHNVILSHATAIEIYKRKYQSKQRGMIGMVLYSTWYEPLRDVPEDRLATERALAFETPWFLDPLVYGDYPPEMRQILGGRLPSFSPEDRRKLRYKLDFIGVNHYTTLYARDCMFSACPQGQETQHALAAVTGESNGLPIGTPTAMPTFYVVPDGIEKMVKYFMRRYNNLPMFITENGYAQGGDSYTDAEDWIDDEDRIEYLEGYLTKLAKERSPKLSALWYKEFLQNLHENQ >ORUFI04G20100.1 pep chromosome:OR_W1943:4:21575205:21578080:1 gene:ORUFI04G20100 transcript:ORUFI04G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWENRLDAAAPWRPRCNGNAADGREMKRAARTNSLLAEWAVISRDTSFSLADPTPLKPTVRLDWYFMLSAAVSSSAMIVATATIPFFPSFHRPRFRPGGLPRRVVVLRCSASSWEEREEARWLREEQRWLREEQRWLREESRWRAERESLLAEIAALRLRLGTVEAGPLPLPSVDAAVASPAPSPAVAAVPPPPPPPAAAPRPPLVVEEEVEVRKEVVVVEQKAAKAKSGGGDGGGRRTLRVGAEGEDVRAMQEALEKLGYYSGEEDMEFSSFSSGTERAVKTWQATVRVSESGIMTSDLLDMLFTGQAGQDVKTKDGINGAAIPSITEIAEIQQTVVKGNGVSGVGLSENRVFLIGENRWEDPSRLTQKNKPISSATNASTKKCISCRGEGRLMCVECDGTGEPNIEPQFLEWVGEDTKCPYCEGLGSIVCDVCEGKTVATN >ORUFI04G20110.1 pep chromosome:OR_W1943:4:21578948:21582040:-1 gene:ORUFI04G20110 transcript:ORUFI04G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAARVRLAPKPRANQPPPPPPPPFRLAPAAVAALGSHPDASSYASLLSSLSRECLASHARHPFDASPPRARHSQTCRALHGRILRGGSPLLGRLGDALVELYCKSGRVGYAWSALGYAGERASGAASSLLSCHARSGSPGDVLGAFRYIRCTAGGRPDQFGLAVVLSACSRVGVLAYGRQVHCDVVKSGFSSSAFCEAALVDMYAKCGDVPNARRVFDGIACPDTICWSSMIACYHRVGCYQEALALFSRMDKMGSAPDQVTLVTIISTLASSGRLDHATALLKKMPTPSTVAWNAVISGHAQSGLEFNVLGLYKDMRSWGLWPTRSTFASMLSAAANMKAFVEGQQMHAAAVMHGLDANVFVGSSLINLYAKCGCPSDAKNVFDLSCEKNIVMWNAMLTGFVQNELPEEAIRMFQYMMRYTLQTDEFTFVSILGACTYLSSFYLGKQVHCVTIKNCMDISLFVANATLDMYSKYGAIGDAKALFSLIPYKDSISWNALTVGLAQNLEEEEAVCMLKRMRLHGITPDDVSFSTAINACSNIRATETGKQIHCLAIKYGICSNHAVGSSLIDLYSKHGDVESSRKIFAQVDASSIVPINALIAGFVQNNNEDEAIQLFQQVLKDGLKPSSVTFSSILSGCSGSLNSAIGKQVHCYTLKSGVLYDDTLLGVSLAGIYLKSKMLEDANKLLTEMPDHKNLFEWTAIISGYAQNGYGDHSLVSFWRMRHCNVRSDEATFASVLKACSDVTAFADGKEIHGLITKSGFGSYETATSALIDMYSKCGDVISSFEAFKELKNKQDIMPWNSMIVGFAKNGYADEALLLFQKMEELQIKPDEVTFLGVLIACTHSGLISEGRHFFGSMRKVYGLTPRLDHYACFIDLLGRGGHLQEAQEAIDQLPFRPDGVVWATYLAACRMHKDEERGKIAARKLVELEPQYSSTYVLLSSLHAATGNWAEAKVTRESMREKGVAKFPGCSWITVGNKTSLFLVHDKYHPDNLRIYEMLGDLTGMMKKDNDIDEYGLLYSAEMLA >ORUFI04G20120.1 pep chromosome:OR_W1943:4:21583471:21587554:-1 gene:ORUFI04G20120 transcript:ORUFI04G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAISCLQPLCDCLDGTGLLDAAGREVASFLRLKSNWGDLDKARESLGAVERMVRGRVTAELNKLNVCDPQVELWLRRVDELKLGAIDEDYSSLMNYSSICQCTRHAARRSWIGKRIVEALDEVNKLIEEGRQFKKFGFKPSPEIVERLPQTKTFGLETMLVQLHDLLEKADSNIIGIWGQGGIGKTTLLHAFNNDLEKKVHNYQVVIFIEVSNSETLDTLEMQKTISERLNLPWNEAEITVKRARFLVKALSRKRFVLLLDDVRKKFRLEDVGIPTPDTNSQSKLILTSRFQEVCYQMGAQRNLIKMDLLDNDAAWKLFLSKLSTEACAAVESPSPSNVVRDHAIAIAQSCGGLPLALNVIGTAVAGYEEPRDWNSAADAIKENMKFEGVDEMFATLKYSFDRLTPTQQQCFLYCTLFPEYGSISKEHLVDYWLAEGLLLDDREKGNQIIRSLISACLLQTTSSMSSKVKMHHIIRHLGLWLVNREDRSFVVKAGMALDNAPPAIEWKEATRISIMSNNITELSFSPKCENLTTLLIQNNPKLNKLGWGFFKYMRSLKVLDLSHTAITSIPECDTLVALQHLDLSYTHIMRLPERLWLLKELRHLDLSVTVALEDTLNNCSKLHKLRVLNLFRSHYGIRDVDDLNLDSLRDLLFLGITIYSQDVLKKLNETHPLAKSTHRLNLKYCGDMQSIKISDFNHMKHLEELHVESCYDLNTLVADTELTTSCLQALTLSVLPSLENVLVAPMPHNFRYVRKLSISQCPKLLNITWVRRLELLERLVISNCDEMLTIVEEANSTEEQQYGTQTIKMQGYYSEEQDDHAMAESSRNEWNDDYQSVNGESTNGATRQPDFPKLRSIVLTDVKKLRSICTPRDFPCLETLRVEDCPNLRRIPLCSTHNCGKLKQICGSSDWWKKLQWEDKEAVAHMESKYFIPI >ORUFI04G20130.1 pep chromosome:OR_W1943:4:21588576:21589955:-1 gene:ORUFI04G20130 transcript:ORUFI04G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLVAAGHCVGVHDAAIHRVALPEDARAAACRGSFGNWLALVPMSPPPYQPFLLNPFTTARIQLPVWTEGTIIKIVVSSAPDSENCTVAAVVGSEFNNERRLGSVSVCRLRQKKEGSSSPWWCITKTFYLEDIVFFEGKLHAVDGAEQTYVFEDDELEEMRKWPLFHRDRVAPLSIHKRYYLTPCHGKLLMVSRNFGINRVPGGAYHTIGFKVSEVSEHSYGRIIPPPPVAVKKFDGHALFVGDACCRAFAITDEGSKIKEDQIFFSDDESNTSVVLGGGGTFQVVNHEGINCYRPLRLLQSYDLRTDCFRRYRQLRPTGQWQCVTVQRLLHRDALPLPPATDQWGAMLLLWEVMSSLGASRPPCYWSRMPSHVPNIRVIPGNVIMSVTVIVYDQSWCFTQSGRSVQEAKQLAASEAVSFLRSRFRSVLDDSPWSGVPHCHSHVSEDEYEDDDEDENT >ORUFI04G20140.1 pep chromosome:OR_W1943:4:21602641:21606325:-1 gene:ORUFI04G20140 transcript:ORUFI04G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVIGGKFKLGKKIGSGSFGELYLAVNIQNSEEVAVKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKLSLKSVLMLADQMIARVEYMHTRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPVEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKKLFRDLFIREGYQLDYVFDWTMLKYPQIRDNKLRPSGKTSGLVGRSAERTERTTGEALARRTGSGSGRNGEPTKHRTLLDSLMSSKATADTDKTRPTSLSRNGSTSRRAVVSSSKPNCGDPSDTNRTSRLFSSSSSRPSAAQRALQSAGAELRSSSLSKTRKSSRDDPTIRSFEMLSLSADRRK >ORUFI04G20150.1 pep chromosome:OR_W1943:4:21612361:21612750:1 gene:ORUFI04G20150 transcript:ORUFI04G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNLTLLVGSRRWFVKNCFSHGQVTVSYAGVPMGEGRVRGGGFCAEPRSEEEAEVSAVARGRQGGHRAAARQPEEAHGGRAAVGGRGVRRRSQAVPQRRRHARLRHALVQGWIVARAAAVFAMSGLH >ORUFI04G20160.1 pep chromosome:OR_W1943:4:21615644:21616273:1 gene:ORUFI04G20160 transcript:ORUFI04G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLLPCTRDDEKLLPRRQNPMAGCLVAGAVTAIFLVLPVVLIIQQLLFADFTPPPRPETSVVVDGFSGLDGAAARVPRAFNLSLSVDNPRGSTFDVCVGGEAAVLYDGVPLATGHAEGRCVPPGGAWRGAIHAASGGVGLPPELAALMATEKRDEGDVKLEVRLISLNYGWYVRCTPSLVGGAASPIPCTGHILKDQSDGIRRVIRD >ORUFI04G20170.1 pep chromosome:OR_W1943:4:21617815:21618455:-1 gene:ORUFI04G20170 transcript:ORUFI04G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSHKQAICHDVGPGCRDIDLAGFDGLDDGGPTPPPTVSPAFNLTLLASGDRPASRLTAAWEGICQERGTVAVSYAGAVLAWGRVPGFCVGKHEDALVRMVAVGIEVGLSDELRHRMASERRSRAAELDVDLVLERRSMKDDKRLLSCRVKLDEPPPSSQPSPCRVIVL >ORUFI04G20180.1 pep chromosome:OR_W1943:4:21618986:21620768:-1 gene:ORUFI04G20180 transcript:ORUFI04G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQINFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKNKWENSSWGKKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKEAAA >ORUFI04G20190.1 pep chromosome:OR_W1943:4:21621081:21624170:1 gene:ORUFI04G20190 transcript:ORUFI04G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKKATTVEEVRGVEEETKKEEAAASDVSLKELSKKLDDFAKERDWEMYHAPRNLLLAMIAEVGELSELFMWKGEVAKGLPGWKESEKEHLGEELSDVLLYLIRLSDMCGVDLGDAATRKIVKNAVKYPAPSKST >ORUFI04G20200.1 pep chromosome:OR_W1943:4:21641294:21644071:1 gene:ORUFI04G20200 transcript:ORUFI04G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREIESTLPPGFRFYPSDEELVCHYLYKKVSNERASQGTLVEVDLHAREPWELPDVAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRSPATRAVVGMRKTLVFYQGRAPNGVKSGWVMHEFRLDSPHSPPKEDWVLCRVFQKSKGDGEQDNPTSAASPAATFAGSSQAAVPGQAAYSSDDHTGSSMGFAPRQNEILDSSSHQLLNLAMLQCNSVLDHFPQEVNSSPMMGLAGSIGIGDEYGFFYDTGFEETASLGGMRFPQGWS >ORUFI04G20210.1 pep chromosome:OR_W1943:4:21655081:21655372:1 gene:ORUFI04G20210 transcript:ORUFI04G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPAHPGNVFPPHSKMAIYKENIYDRYQHGPLEEIKHEYSTTVTVHERWMALATKKGQPRKGVIALILLMEWEI >ORUFI04G20220.1 pep chromosome:OR_W1943:4:21658399:21659007:-1 gene:ORUFI04G20220 transcript:ORUFI04G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPNPDSPPSGGGNGGGGGSSSSNSSPSMGAGAPQSPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHTAACPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQQQQLQGGDSSGLHGHQHHPPPPPPAGAAC >ORUFI04G20230.1 pep chromosome:OR_W1943:4:21663403:21665454:1 gene:ORUFI04G20230 transcript:ORUFI04G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVTFNVVASLGTMDATVLINASGSCIHGQVLPLILSSYHLPWPLRDQQRPGRGGDETRIHAALLPLLDEAPDPRFLLPVVGVAPQDMEGPISAGSSSA >ORUFI04G20240.1 pep chromosome:OR_W1943:4:21680889:21682547:1 gene:ORUFI04G20240 transcript:ORUFI04G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGQCHLLAQYRALHWRDAGYSNTYCAKNDKDISVGLLMIAPVKGRAAEEGKRKKQVMLTWSKCADVASQSSEELKIPQERISTMWRQFSSSVLARFPAREGTSARSAVVKLQRKRGDGQLHITGEALATCDTLVEEVVRLHLRVLDAKHERGDLASLSSPRSC >ORUFI04G20250.1 pep chromosome:OR_W1943:4:21684004:21687806:-1 gene:ORUFI04G20250 transcript:ORUFI04G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGHHPTTTFAAADRASSRPVSTRASPLVPRLGRRLIPEELLKMVTNVVDLRSDTVTKPSDAMRAAMAAADVDDDVLGADPTAHRFEMEMARITGKEAALFVPSGTMANLISVLVHCDTRGSEVILGDNSHIHIYENGGISTIGGVHPKTVRNNPDGTMDIDKIVAAIRHPDGALYYPTTRLICLENTHANCGGKCLSAEYTDEVGEVAKSHGLKLHIDGARIFNASVALGVPVHRLVKAADSVSVCISKGLGAPVGSVIVGSTAFIEKAKILRKTLGGGMRQVGILCAAAYVAVRDTVGKLADDHRRAKVLADGLKKIKHFRVDTTSVETNMVFFDIVDSRISPDKLCQVLEQRNVLAMPAGSKSMRLVIHYQISDSDVQYALTCVEKAAEEILTGSKKFEHLTNGTTRNSYGH >ORUFI04G20260.1 pep chromosome:OR_W1943:4:21690210:21691688:1 gene:ORUFI04G20260 transcript:ORUFI04G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPASVVVASCLCVGASACVSSGLAFCATFAVSLPFVARELSPEHIDGVFAAVDACLQKGACYARAAVEAETRRLRDPARCHPALAFLYARAEGGARRARALVTDAVDRLETRAAESKWRDMTDASAAALRWLRLIAGAINLAVAVLITMSERRAASGLRRSGAHGIRTTPNSEAMTTSSSKLDAALFVVWITATFTYSTPVFFQCAVTSGMASLAACFACFATMCCFALMQANKVHLWSSRDAAGRNAVMAEVPHAWGLLWSEITLVTYLVDACLLCITLDPRASRPVALAFLAACNLATLKVASQVEAIGSAGVIRRRGHAVAVCAMGIAKVFVVCFVLDFRLGALRFAFLCSVIAFLLNKAAGSLPDVSTPVDASAGDADVAGDVELLPEYVSNSEELSNHATFNHKVEEDSSSPAAGDRENEHDSSNSATIDGGEDDTTTKEYFDGSDSEEQRQEEEEDYGGGMDEWNLVEIDPVMPINVNGGAKRW >ORUFI04G20270.1 pep chromosome:OR_W1943:4:21692896:21695165:1 gene:ORUFI04G20270 transcript:ORUFI04G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRSLACFLFLLCSSFTFEAVNGRMYGGGDVVEEEEDDSSTVADGARGGAGGWPGYLYTRAVGRCTPQFWSSGAEQWPNIIPQEAAVSKVFGSRSIDRYGPRLTVLEATTRTDDNGSSSAFAKLVKQGSAALLNAYARKGFPLDSWEVKALLLEALVSEDAAAAQADRFEQANESCI >ORUFI04G20280.1 pep chromosome:OR_W1943:4:21700675:21702097:-1 gene:ORUFI04G20280 transcript:ORUFI04G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKKGPWTPEEDKVLVAHIQRHGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSKEEEDTIIHLHELLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLDAPAQGGHVAASGGKKHKKPKSAKKPAAAAAAAPPASPERSASSSVTESSMASSVAEEHGNAGISSASASASASVCAKEESSFTSASEEFQIDDSFWSETLSMPLDGYDVSMEPGDAFVAPPSADDMDYWLGVFMESGEAQDLPQI >ORUFI04G20290.1 pep chromosome:OR_W1943:4:21713765:21717747:-1 gene:ORUFI04G20290 transcript:ORUFI04G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILERIRGGGDRAAVGEGPREPEPWVEISESVSRLCSFDAGRVSVKVIQDSRPIHDKMIDSFLNKFFPSGYPYSVNEGYLTYTKFRALQHFSSAMLHVLSTQILKDGMQHAGKLICSGMGARMDSEPKSWRILADVLYDFGTALEVISPLCPQLFLEVAGFGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVMGIGAGIGLASTVCSTTQGKLIAGPLLSVVHIYGVVQEMRATPVNTLNPQRTAMIVADFIKSGKVSSPAELRYREDLLFPNRLIEEAGSVKIGQPVRRVLSPQRIEQLKATFSKEKFLLSRKDNSAYMVLEQSATGEDALRGWLVAAFASEMERSGVGSGDTVLNVAYERMENVFPMFVAEVKSRGWYTDQFLDGNRSRIAYANPISGSAL >ORUFI04G20300.1 pep chromosome:OR_W1943:4:21718140:21723773:1 gene:ORUFI04G20300 transcript:ORUFI04G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPTPARLALALVAALAAAALLGGAAAATEEAYVTLLYGDEFVLGVRVLGKSIRDTGTRRDLVVLVSDGVSDYSRKLLQADGWIVSHITLLANPNQVRPKRFWGVYTKLKIFNMTSYRKVVFLDADTVVVKSIEDLFKCGKFCGNLKHSERMNSGVMVVEPSETVFKDMMRQIDTLPSYTGGDQGFLNSYYADFANSHVYEPDKPYTPEPETQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTAWLVKPVGVWQDVRQTLEESLPGTGGGRSPHDQLVVKVLFILPVLLLSFGYYQSCFQTNKELLNIRSLCAFARRDRYKYKSEEAFPSYSVMGVSSSAFANSNQRFSNGMHSKLPSYFGALTVLACFMSAGVSFAFAFAIIPKQIMPWTGLLLMFEWTFVSFFLLFGSYLRFVYRWGSLDANHVGHSRFDSSENHMVTGRHHNMSDCDIDATFYWTGMAIIAIVTVLLPTLLGVTALFAKLGLMVAGGVVLASFMTYASEHIAISAFYKGQRDRNVSRSRSICFLF >ORUFI04G20300.2 pep chromosome:OR_W1943:4:21718140:21723773:1 gene:ORUFI04G20300 transcript:ORUFI04G20300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPTPARLALALVAALAAAALLGGAAAATEEAYVTLLYGDEFVLGVRVLGKSIRDTGTRRDLVVLVSDGVSDYSRKLLQADGWIVSHITLLANPNQVRPKRFWGVYTKLKIFNMTSYRKVVFLDADTVVVKSIEDLFKCGKFCGNLKHSERMNSGVMVVEPSETVFKDMMRQIDTLPSYTGGDQGFLNSYYADFANSHVYEPDKPYTPEPETQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTAWLVKPVGVWQDVRQTLEESLPGTGGGRSPHDQLVVKVLFILPVLLLSFGYYQSCFQFSNGMHSKLPSYFGALTVLACFMSAGVSFAFAFAIIPKQIMPWTGLLLMFEWTFVSFFLLFGSYLRFVYRWGSLDANHVGHSRFDSSENHMVTGRHHNMSDCDIDATFYWTGMAIIAIVTVLLPTLLGVTALFAKLGLMVAGGVVLASFMTYASEHIAISAFYKGQRDRNVSRSRSICFLF >ORUFI04G20310.1 pep chromosome:OR_W1943:4:21725602:21727193:1 gene:ORUFI04G20310 transcript:ORUFI04G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEELKRDFEIGEEIGRGRFGVVHRCASRSTGEAYAVKSVDRSRLSDDLDRSLAALEPKLARLAAAGNPGVVQVHAVYEDDDWTHTVMDLCTGPDLLDWVRLRCGQPVPEPDAAAVVAQIAEALALCHRRGVAHRDVKPDNVLLDATGDGPPRVRLADFGSAAWVGDGISAEGLVGTPHYVAPEVVAGGEYGEKADVWSAGVVMYVLLTGGALPFGGETASDVFAAVLRGNLRFPPRLFSGVSPAAKDLMRRMMCRDVYRRFSAEQVLRHPWIVSGGGARDVQPT >ORUFI04G20320.1 pep chromosome:OR_W1943:4:21730937:21731403:-1 gene:ORUFI04G20320 transcript:ORUFI04G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATMHWYPRCPDLRQAAGTHRTHRLRLMLQIVHRARATAIPARTRPVAPLPDAVSPGQSAAYHAITWPEYKAVRKKTFTIGGSALKMVSTARRY >ORUFI04G20330.1 pep chromosome:OR_W1943:4:21738445:21741887:-1 gene:ORUFI04G20330 transcript:ORUFI04G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTSNAAYRKGAISKGTCGRLILEKTASAKHTIATAAVPSPQCQSRCGDVDIQYPFGIGANCSLAELFNVECKVQHGISKPFIGNVEVLNISLSRSTLRVLNGISTFCYNASGLMGGVRFRFNAKNTPFRFSDVYNKFTVIGCNTLAYIADDGGTGYQSGCFSQCRDLSGLVDGSCSGMGCCQTTIPRGMYYYNVTFDKRFNTSQISRFGRCSYAVLMEAASFNFSTTYINTTKFNGTNGGRVPMVIDWAIREKSCDIAKQNMTSYACLSSNSECVASTNGPGYVCNCSHGYEGNPYLPDPHGCHGVIIGFIVLMIIAFCGQLVIQRRKLTKIKKEYFRQHGVFTEAELIHATNNFDKSRIIGQGGHGTVYKGTVKDNVLVAIKRCALVDERQKKEFGQEMLILSQINHKNIIKLLGCCLEVEVPMLVYEFVPNGTLFELIHGKNQGLQISFSTLLRIAHEAAEGLHFLHSYASPPILHGDVKTANILLDENYMTKVTDFGASILAPSDKEQFVTMVQGTCGYLDPEYMQTCQLTDKSDVYSFGVILLEILTGQVPLKLEGPAIQRSLSSVFLSAMKGNNLDSVLVSDIKGQESMELIGGLAELAKQCLDMCGANRPSMKEITDELGRLRKLSLHPWVQVDAEMETENLLGGPSTINSGLEIETSSTGYLGEERENLPMNPGSTYYAR >ORUFI04G20340.1 pep chromosome:OR_W1943:4:21750297:21750701:-1 gene:ORUFI04G20340 transcript:ORUFI04G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVETKDLDRSYPLYRFVREELGTEYLTGEKTRSPGEEVNKVFVAMNQGKHIDALLECLKEWNGEPLPIC >ORUFI04G20350.1 pep chromosome:OR_W1943:4:21751470:21751859:1 gene:ORUFI04G20350 transcript:ORUFI04G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGSKRRLLRAFLHSWKKLGAAAAAAAPAAGEWAPLDGDGEGAIPSDVPRGHTVVYVGEELRRYVVRVSSLDHPLFRELLDRAREEYQFAAGADARLCIPCDEDIFLGVLCHVDSKQEHWRLISFCR >ORUFI04G20360.1 pep chromosome:OR_W1943:4:21753152:21756927:-1 gene:ORUFI04G20360 transcript:ORUFI04G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSYEGVLLGMGNPLLDISAVVDEAFLAKYDIKPGNAILAEEKHLPMYNELASKVNVEYIAGGSTQNSIRVAQWMLQIPGATSYMGCIGKDKFGEEMKKDAQTAGAHYYEDDNAPTGTCAVCIVGGERSLVANLSAANCYRSEHLKRTENWTLVEKAKYIYIAGFFLTVSPDSIQLVAEHAAATNKVFMMNLSAPFICEFFRDAQEKALPYADYIFGNETEARTFAKVRGWETENTEEIALKISQLPKASGAHKRITVVTQGCDPVKTFPVIVLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIDECVRAACYAANVIIQRSGCTYPEKPDFN >ORUFI04G20370.1 pep chromosome:OR_W1943:4:21762153:21764958:-1 gene:ORUFI04G20370 transcript:ORUFI04G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTADAAGFVASDPLSWGKAALEMTGSHLDEVKRMVAQSREAVVKIEGSSLRVGQVAAVSAAKDASGVVVELDEEARPRVKASSEWILNCIAHGGDIYGVTTGFGGTSHRRTKDGQALQVELLRHLNAGIFGNGSDGNSLPSEVSRAAMLVRINTLLQGYSGIRFEILEAITKLINTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAVTVDGKKVDAAEAFKIAGIQGGFFRLEPKEGLAIVNGTSVGSALAAMVLYDANVLAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILAGSAFMPHAQKVNEVDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNTRLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLVSARKTAEAVDILKLMSSTYLVALCQAVDLRHLEENLKSAVKNCVTTVAKKVLTTGPAGGLHSARFSEKALLTAIDREAVYSYADDPCSANYPLMTKIRAVLVEHALANGPAEKDDGSSVFSKITAFEEELREALPREMEAARVAFETGTAPITNRIKESRSFPLYRFVREELGCVYLTGEKLKSPGEECNKVFLAISERKLIDPMLECLKEWNGEPLPIC >ORUFI04G20380.1 pep chromosome:OR_W1943:4:21767095:21770256:-1 gene:ORUFI04G20380 transcript:ORUFI04G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSRSAAKATTPRSRLHCCVHRLGPSPPNRSPLHRVVAVTCPFAASLPRPTSRLPDHHLLQHHIVALDFCLIAVTETFINPRWPWYHDSAVTADTIPDQWALADKRMHINNNWWRQKRRLVKSASCNYVTGNLFAKHSIYCSAIASQVTFMLSSMYCSFIYAHINVNFILQK >ORUFI04G20380.2 pep chromosome:OR_W1943:4:21770149:21770777:-1 gene:ORUFI04G20380 transcript:ORUFI04G20380.2 gene_biotype:protein_coding transcript_biotype:protein_coding LLLIHPQPRRPRLSSSLAPPPPRVLAGAPPRCRRSSAPRRSRRRVLLLPHLRVLLLPHPYRHGRPLRSRLLLPAAAAPPHPCRSSAGAPPDSHSEPPPEQASAPHQTSIAISLARCKAADFSDQRLIAKWPDPAAPLPRPPPQDRVDLAAPPPRPSNPEPFRH >ORUFI04G20390.1 pep chromosome:OR_W1943:4:21781087:21783404:-1 gene:ORUFI04G20390 transcript:ORUFI04G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENGHVAAAANGSSLCMAKPRADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVTIEGASLTIAQVAAVASAGAARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRQRLGHEQHDERHRQLRRHHRLRRHLPPEDQGGRRAPARAYPRPNVCSCNGCHDRFLNAGAFGNGDDGHVLPAAATRAAMLVRINTLLQGYSGIRFEILETIATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSVAVTPDGRKVDAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANVLGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDVLKLMSSTFLIALCQAIDLRHLEENVRSAVKGCVTTVARKTLSTSATGDLHKARFCEKDLLQAIDREAVFAYADDPCSANYPLMQKMRAVLIEHALANGEAERNVDTSVFAKVATFEEDLRVALPREVEAARAAVENGTAAKANRITECRSYPLYRFVREELGTEYLTGEKTRSPGEEVNKVFVAMNQGKHIDALLECLKEWNGEPLPIC >ORUFI04G20400.1 pep chromosome:OR_W1943:4:21796872:21799734:-1 gene:ORUFI04G20400 transcript:ORUFI04G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWIGRKIHIYNVTVGLYMLDWWERYLFNILMLCLLWYILRSFRSVNLSHCDDGAKLPAAATSLAIRDGEPHRCRWEVAPLAIEGLARPSSPGSSAPSGFV >ORUFI04G20410.1 pep chromosome:OR_W1943:4:21813525:21817721:1 gene:ORUFI04G20410 transcript:ORUFI04G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTDHTEIIKEGEAVVEAMALLQSRFRRICVFCGSSQGKKKSYQDAAVELGKELVARNIDLVYGGGSVGLMGLVSQAVYNGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEEFISPSARHIIVLAPTPKELLEKLEAYSPRHDKVVPKMQWEMEKMSYCKSCEIPGLKEGNKATIQAQRGSML >ORUFI04G20420.1 pep chromosome:OR_W1943:4:21830389:21832984:1 gene:ORUFI04G20420 transcript:ORUFI04G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFIVDEKNHGFSKKVQKLQEQDILDDVVTLPPSGTSLMKLYMDCPFYGSVGDKLIDLCDYVRRTKGRRSEETRESDMAPH >ORUFI04G20430.1 pep chromosome:OR_W1943:4:21842267:21845554:1 gene:ORUFI04G20430 transcript:ORUFI04G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFMELAGPTEGDGGGSVDSQLWAACAGSMSSVPPVGAAVYYFPQGHAEQASAAVDLSSARVPPLVPCRVVAVRFMADAESDEVFAKIRLVPLRPGDAVVDVGEAAAAEARREEEAGVSDANNGGGFSVPRFCAETIFPELDYSSEPPVQSVCAKDVHGVEWTFRHIYRGTPRRHLLTTGWSPFVNKKQLTAGDSIVFMRDEGGNIHVGLRRAKRGFCSIGGDDESLSSIPGWDQYRGLMRRNATATATGGRTPPKGKVPPENVLTAATRATTGQPFEVLYYPRASTPEFCVRAAAVRTAMAVQWCPGMRFKMAFETEDSSRISWFMGTVAGVQASDPVRWPQSPWRLLQVTWDEPELLQNVKRVCPWLVELVSSMPNLHLPSFSPPRKKPRNPPYAELPLEGQIFTGPVFPPNPMAHDHHHHHGFPFLPFPDSSAQPAGIQGARHAQFASPFPEFHIGNLQPNLMLYAGIRLPPADRAAPAPRPPRIIISTDLTIGSPGKPDDAACSPSSGGKKIDDTKPRGFLLFGQAILTEEQIKNGNSDGRPASPNWDAEKAPNTSEGSDSGVTQGSPTKNTTPSWSLPYFGGNNISRASEYELNPGQCKVFVESETVGRSLDLSALSSFEELYACLSDMFSIGSDELRSHLVYRSPAGEVKHAGDEPFCAFVKSARKLRILTDAGSDNLGD >ORUFI04G20440.1 pep chromosome:OR_W1943:4:21852898:21864201:-1 gene:ORUFI04G20440 transcript:ORUFI04G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRLYTVTNKTVLYSPRIPPPCTGHSPASQSRIRRRPIPSLVNSLTGHSLPVFPPGRLVRGPEPRPILSLRPTASTHPPPRRPAARAAPPLPPHILHLRALSSGVVVVVVVAASSLPLGLRLSSSENPSVLSFTSIHAECLEGEALLSQPMRNPKLMKQSAQEDTFFIAGIVDGLPSWILFANLPLPLDAEQAGKRGFSEASALEHVKYLAALGPHPVGSDSIDLAVQYVYAVADKIKKTAHWDVDVQLELFHTDIGANRMAGGLFNGKTMLYSNLKHVILRVVPKYLPEAEDNLILVSSHIDTVSTTEGAGDCSSCVGVMLELARGVAQWAHGFKSGVLFLFNTGEEEGLDGAHSFITQHRWRNSVRFAIDLEAMGISGKSTLFQGTDHWALESFASVAKYPSAQIASQDVFQSGAIKSATDFQIYQEVGGLPGLDFAYTDRTSVYHTKNDKMKHLKPGSLQHIGENMLAFLLHAAASPKFMKDAIQAKQEGAEKTKAVFFDILGKYMVVYPQRLATMFHNSIIFQSLLIWGTSLLMGGRPGLVSFGISCLGIVLMLISSVTLSVVVAIALPHICSFPVTFVAHPWLVVGLFGSPALLGAFIGQHIGFIILKRHLKHVYSITKPGLAHNMLEHIVNLEAERWIFKSGFVQWLIVLILGTYLKVGSSYIALIWLVSPAFAYGLMEATLSPARSPKQLKVITLVLALAAPVVSSAGLVIRMVDVIIGSIVRIDRNPGGLPDWLGNVVVSVAIAIVICFTFVYLLSYVHISGAKRTLGFLLCIFFGLALALVSSGILPAFTEDIARSVNVVHVVDTTTVNSGNTEPSSYVTLFSNTPGKLTKELVDLRDEEFSCGRNRAIDFVTFTMKYGCLSYEGTNTGWSKSEVPVLSLKSDSVTNDARQTIISVDTKSSTRWSLAINKQEIDDFTVHVDSENLVPLGNKSEIDGWHTIQFAGGKDSPTKFQLTLFWASNSKDAFPKQVESEDHSFLLKLRTDVNRVTPKVGRVLEKLPGWCAPFGKSTSPYTLAFLTALPVNI >ORUFI04G20450.1 pep chromosome:OR_W1943:4:21865303:21869805:-1 gene:ORUFI04G20450 transcript:ORUFI04G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLQLSLNQTQRVRLEAALHELQTVAPAAAVTVADTIPVNDEDNILKGHGTSDQDGEVVATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRTIFEENDVICAEVRGFQHDGSLHLQARSQKYGKLERGQLLVVPAYLVKRRKQHFHHLEQYDVDLILGCNGFIWVGEHVVVGENANMMENKLNLSAEVENFTPLETRKHICRLANAVRVLSALGFTLTVELIIETAEASVSSNIEINNMLGAEFYVQTAEREVKRRADLLRKKTPYPSKLEYELVKFLPFQDMMRDC >ORUFI04G20460.1 pep chromosome:OR_W1943:4:21882178:21882702:-1 gene:ORUFI04G20460 transcript:ORUFI04G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSARSAAAKHAYRMFAPSRGAAARCPGSPGADEFDESDVWGSYGAAGVESSPAELGARGRAIPSARAGRKAPLDRAAGSLPVNIPDWQKILGVEYRDHQAAAAEWELQGDGDDDYEYGKVAGVGGVVIPPHELAWRGRAASLSVHEGIGRTLKGRDLSRVRDAVWKKTGFED >ORUFI04G20470.1 pep chromosome:OR_W1943:4:21891152:21891863:1 gene:ORUFI04G20470 transcript:ORUFI04G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSGLPAITWRQTVQRWQLLTRILDGRWCLVNESRPQRPVETRTAPCLWLFDFRFRANIDLPKIRGDT >ORUFI04G20480.1 pep chromosome:OR_W1943:4:21893523:21899255:1 gene:ORUFI04G20480 transcript:ORUFI04G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATEEGRPDGEEDSPRAEAFLEILGRVQPGDAEAELSSCGIGPTAEVAEQVLRSRVCYSRPKSAVRFFVWSGRSVKHTGYAWNLLVDILGKADMEEPMWDAVRTMNKEGGGLVTVATFASIFSSYCASGNLRKAVEAFDVMGKYGVEPDAVALNSLLSAMCRGEGRAQAAQDLFERTKATVAPDADTFGILLEAWEKEGNAQRAKSTFGEMIVRVGWDAGNMPAYDAFLSTLVRGDQFGEALKFLQVMRSKGCLPGLKFFARAIDLVVRKRDYANSLAIWQMMISDAGLVPNLPMYNAMIDLCCSVGDTDYALRMLDEMPFNGVFADFITYNAILEGLIKQRKAREAEAFLAEMSKNEQLPSPTNCAAAISMFSKEFNPSAAIDVWHCIVEHQITPADESARELIVGLLDFGRFAEVKKYTDEMLDMGIELPQSTIDNMKRTFDKVGKRHTYDHIARRPKRMRRGEFWVSWKAAWVQPRYLKADFGVKECSLYATFCNCKSATCLDMPNGGS >ORUFI04G20480.2 pep chromosome:OR_W1943:4:21893523:21900195:1 gene:ORUFI04G20480 transcript:ORUFI04G20480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATEEGRPDGEEDSPRAEAFLEILGRVQPGDAEAELSSCGIGPTAEVAEQVLRSRVCYSRPKSAVRFFVWSGRSVKHTGYAWNLLVDILGKADMEEPMWDAVRTMNKEGGGLVTVATFASIFSSYCASGNLRKAVEAFDVMGKYGVEPDAVALNSLLSAMCRGEGRAQAAQDLFERTKATVAPDADTFGILLEAWEKEGNAQRAKSTFGEMIVRVGWDAGNMPAYDAFLSTLVRGDQFGEALKFLQVMRSKGCLPGLKFFARAIDLVVRKRDYANSLAIWQMMISDAGLVPNLPMYNAMIDLCCSVGDTDYALRMLDEMPFNGVFADFITYNAILEGLIKQRKAREAEAFLAEMSKNEQLPSPTNCAAAISMFSKEFNPSAAIDVWHCIVEHQITPADESARELIVGLLDFGRFAEVKKYTDEMLDMGIELPQSTIDNMKRTFDKVGKRHTYDHIARRPKRIAICPLVQDEKRRVLGLLEGCMGTTTLFEGGFWSKRSPARTACVNMQSRRSDGSGSDLGDGIGEGD >ORUFI04G20490.1 pep chromosome:OR_W1943:4:21902058:21904557:1 gene:ORUFI04G20490 transcript:ORUFI04G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEAAAAAEGGEYMAKDYSDPPPAPLIDAEELTKWSLYRAVIAEFVATLLFLYITVATVIGYKHQSDPGANAADAACSGVGILGIAWAFGGMIFILVYCTAGVSGGHINPAVTFGLFLARKVSLVRAVLYIVAQSLGAICGVGLVKGFQSAFYVRYGGGANELSDGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNQHKAWHDHWIFWVGPLIGAAIAAAYHQYVLRASAAKLGSSSSFRG >ORUFI04G20500.1 pep chromosome:OR_W1943:4:21906493:21908897:1 gene:ORUFI04G20500 transcript:ORUFI04G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPSLRIRDSFKHAYLDKLEFNLARMKRARGEDQDQDQQQPPGDGKAPLLEGLRAPRSSFVAGALELAMDAAMLLTCCCCCFCCGACGDEEDHPIAR >ORUFI04G20510.1 pep chromosome:OR_W1943:4:21910151:21913322:1 gene:ORUFI04G20510 transcript:ORUFI04G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFFIGLESRLRRSVKVADSVMMGLVNAAMEDAYKKSLWKDGDLERLVQKLRFAELAIMQLEWSLRFVRGEMESGSGGGGDGDCHEQLLDDLLETRDRIQARLDEAELAVADKDRDYMLRKHEELASSRREAAVPAVSGRASALRREADDDEERRVFGELKGSVDRQMARMRFRLEDARSTLMALMETVSGEASPMARLQEAGHEGDGVKCLSGFYSMAQLLMEFQEMVLDAGAVSDSVTSSFEFMERSVSSLKEAMDEQQWLANVEKEMYAATINGFLREISAGFPVLNDCSSPGERQPPTENIWEETEHLKEKTEHNQKSLKGDQCGISGSEYLTTTRPAATGQCYSEEPSICHEEVERLIEEKIDSEIRCELQHVLHSEIFRDLVRKLAVLDVQKLTEENGELNIRVELLCEIYTTVFKDLVSKLSSESAEHFIRTFIKDEVEAVIFARTLKEFKSVTEMVRSEKHIKEENNCSFPGEIEKGLEQNIDFNVLRFPDENACTNNLGRFSMIGNIEQLYTMKMQTSGASEDKCTDYYQVPLEKEILSSPGNCDRQDSEENYLLAEISTGKDGVSDAWNGNVEQSLQQQDHRKLHVGDTALNLSIPPEEANTENAEMTLILNEKLDVIHSTGSNSMLAEQDHFDLQMALVSFTGFQEVFMNFEAVTCEKLETAMLRLNYLKKQQGNLIEQMRSLKMSEQSYQIAFIRRCHDLQTAEAEVDLLGDEVELLLGLLRKTYKALDRYSPVLEHYLGVREMLKLLGKELALRHQV >ORUFI04G20520.1 pep chromosome:OR_W1943:4:21913726:21915909:1 gene:ORUFI04G20520 transcript:ORUFI04G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLPPKQQAPPLADLAVAIHRAAEATAALSAPSSSSQAAAAAVVALRDAHAAIGGFLYRLDVSVPASSSSSDDQPMADGCEEEGEGEQQMVEEVEEGLRECALQGSKRRKRPVPPSWPLGRRSSGGCVVPEAAPAPLDVEGRRRAAMDLLLQFHGAGFIGTIRTK >ORUFI04G20530.1 pep chromosome:OR_W1943:4:21916664:21921817:1 gene:ORUFI04G20530 transcript:ORUFI04G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLFLLLLLLLVSSASAGYEEEALLRRAEEERDWMVGVRRRIHAHPELAFREHHTSALVRDELERLGLTARAVAGTGVVADVGSGLPPVVALRADMDALPVQELVEWEHKSKVDGVMHACGHDVHTAMLLGAAKLLSERKEQIKGTVRLLFQPAEEGGAGASYMIKDGVLDGVEAIFGMHVDYRMPTGVIAAHAGPTQAAVCFYEAKIEGKTGKAETPHLNVDPIVAASFVILSLQQLISREDDPLHSQVLSVTYVKGGNTIDATPPVIEFGGTLRSLTTEGLYRLQKRVKEVVEGQAAVHRCKGVVQIKRDDYPMYPAVFNDEKLHHHVETVGRRLLGPDKVKPGEKIMAGEDFAFYQQLVPGVMFGIGIRNGEVGSVHTVHNPKFFVDEDVIPIGAALHTALAEMYLTERSTEGEDGSQHSH >ORUFI04G20540.1 pep chromosome:OR_W1943:4:21922332:21923031:1 gene:ORUFI04G20540 transcript:ORUFI04G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISRRIAPLLFLMLLILVASEMGTTRVAEARHCVSQSHRFVGACMRKSNCEHVCMTEGFPWGECRFHGIERKCFCKKRC >ORUFI04G20550.1 pep chromosome:OR_W1943:4:21926660:21927338:-1 gene:ORUFI04G20550 transcript:ORUFI04G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAMISGLRGTILTTSATTSNFTTRAERGARGQSAGQLVN >ORUFI04G20560.1 pep chromosome:OR_W1943:4:21932563:21935816:-1 gene:ORUFI04G20560 transcript:ORUFI04G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFADIAIDPPLADSYRALALLRRDRDGGIAPPAVQMVGSGGAVLERDLPMVDLERLTRGGAGERKACAGAMARAASEWGFFQLTNHGVGRELMEEMRREQARLFRLPFETKEKAGLLNGSYRWGNPTATSLRHLSWSEAFHVPLASISGADCDFGDLTSLRGVMQEVAEAMSRVANTVAAALAEELTGRGGGGASAAPWFPAGCDETTCFLRLNRYPACPFAADTFGLVPHTDSDFLTVLCQDQVGGLHLMKDSRWVAVRPRPDALVVNIGDLFQAWSNNRYKSVEHKVVANAKTDRLSVAYFLCPSYDSLVGTCGEPSPYRAFTFGEYRKKVQEDVRTTGKKIGLPNFFKHSSVQ >ORUFI04G20570.1 pep chromosome:OR_W1943:4:21955120:21958748:1 gene:ORUFI04G20570 transcript:ORUFI04G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVQGGGRRARAPAPFARRGVRNLVVLSILSEVGAVVYAIIMTKSVDLDWQMRAIRVLPINLRTVEQKDQKLLERLREERKAKIDELKERTNYYLTQKLIQHTKAKGSSTGNAADDHNTGQVSEAVGDHLEAMEPSRVVGHYQSSGLARKEDFPHVTYCCPHCHALNMSNQTIGRWSGSNSGQLTSSAQVSGTNPVADNELGNQTEGQEIYVEENSGEGQGIYVEANSG >ORUFI04G20570.2 pep chromosome:OR_W1943:4:21955120:21958748:1 gene:ORUFI04G20570 transcript:ORUFI04G20570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVQGGGRRARAPAPFARRGVRNLVVLSILSEVGAVVYAIIMTKSVDLDWQMRAIRKDQKLLERLREERKAKIDELKERTNYYLTQKLIQHTKAKGSSTGNAADDHNTGQVSEAVGDHLEAMEPSRVVGHYQSSGLARKEDFPHVTYCCPHCHALNMSNQTIGRWSGSNSGQLTSSAQVSGTNPVADNELGNQTEGQEIYVEENSGEGQGIYVEANSG >ORUFI04G20570.3 pep chromosome:OR_W1943:4:21955120:21958748:1 gene:ORUFI04G20570 transcript:ORUFI04G20570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVQGGGRRARAPAPFARRGVRNLVVLSILSEKDQKLLERLREERKAKIDELKERTNYYLTQKLIQHTKAKGSSTGNAADDHNTGQVSEAVGDHLEAMEPSRVVGHYQSSGLARKEDFPHVTYCCPHCHALNMSNQTIGRWSGSNSGQLTSSAQVSGTNPVADNELGNQTEGQEIYVEENSGEGQGIYVEANSG >ORUFI04G20580.1 pep chromosome:OR_W1943:4:21960471:21968220:1 gene:ORUFI04G20580 transcript:ORUFI04G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGIELKGCICRINNCAVELFSMEEDLVIDDEDSWDLLARDLRLKATFLYIDLGRVICSCEIDEHKKMLTGLANKFFYFMDELTNAVSSRSIPLMQVCYSDTTLLLREVLSALVPSQ >ORUFI04G20580.2 pep chromosome:OR_W1943:4:21966703:21968220:1 gene:ORUFI04G20580 transcript:ORUFI04G20580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGIELKGCICRINNCAVELFSMEEDLVIDDEDSWDLLARDLRLKATFLYIDLGRVICSCEIDEHKKMLTGLANKFFYFMDELTNAVSSRSIPLMQVCYSDTTLLLREVLSALVPSQ >ORUFI04G20590.1 pep chromosome:OR_W1943:4:21968638:21970513:1 gene:ORUFI04G20590 transcript:ORUFI04G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLAAQALSAILLSGAQPSSRRATPPGNGQRSRRPPATGRRRLAASLLASQLLLLPAAATSVAGAFEFDLRITVPEQSGEEAEAVVKLHARNLVRVKGLIDARSWRELQAALRSSAANLKQDLYAIIQASPASRRPELRRLYSDLFNSVTSLDYAARDKDELRVQEYYSNMITSLDEIFSKIM >ORUFI04G20600.1 pep chromosome:OR_W1943:4:21970438:21973794:-1 gene:ORUFI04G20600 transcript:ORUFI04G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPSLDFGEFPQPFCSQQVVSFQPSVTTSGSGGMPVYLDCSSGMDSNTVMLSTTPSVVVSTTSSNTVADPGQNLKYGGPLAADWSRLELDVLKDGLQKYGNEQGIMKYIRIAASLPSKTVRDVAMKCQWLGKRENSRRRKSEDHHTGRKMKERKAKMAEPSLWGTNHPVQTDTRVPSFVSHNAIQNNQILTGATEIDRAMQQLLVQNDRLLDQIEANMLACQPQNNIELFHRTRRNINGLLQTMNQMPGIMSKMPPLPVSVNENLASFVLPGLTVPQFLGGSQLKEEPRGW >ORUFI04G20610.1 pep chromosome:OR_W1943:4:21980540:21985497:-1 gene:ORUFI04G20610 transcript:ORUFI04G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYKELEGSRSWGNGEVEEGEGYSTRSQAGLQVAAAIRPAAEASHHRYLSQMIARSISCRLLLLIPKNRDDKKKSRKHISRENDAVRNGDLERAKARVTEGANLDTLIQKLPGCSSRDLIDQLTVEFCYLNSKANRKKLAWALFNVPRTSLELLTYYSRLVATLSTYMKDLPSITLSMLEDEFNFLINKKASLDDFSHHNIDVACNLLETCGCFLYRLQETTIHMSNMLEILWRLKNVKNLDPCHNTLVENAYYLCKPPERSTRVSKYIRKLLFMVLTSQVLTMCFISYRSYLGLSPSSSDCSTAGLSHYHEDFAVAVVDEVLEEIRVGLELNDYSMQQQRLAHMQFFGELYNYEHIGSSIIFQTLYLIIPLGYKFNISGEELDLFAHLGSNMTRYSSMEELSVALIELEANGYVASAEKCGNEWHSGSKEQTKQSDYVSFDANHKSSRDRIDENGNDNEELAVRAIQMEASIRMDMKTLIFQAKGDLMEDLRRMTMAMITCLNSSDDNGDDNMPVGFDDEEIVHVKKEDESLESRKLELRAKPTLNMVIPMNVFERSKDLRATELENGGENASVSINDGDGGKVCIKVLVKKGHKQQIKEIAQSSKRLLSLRRSKASRIKQNVIANWGLKPRRNQRRQQYQVCWAWQLGCWFKQTWRCSAALPHHWWVQLWLWQKSRS >ORUFI04G20620.1 pep chromosome:OR_W1943:4:22000874:22005378:1 gene:ORUFI04G20620 transcript:ORUFI04G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKAMYLYAAVLAVLLCSSVNFIQSPTDVLGPVALLEPTPSSARDFGAVVSDAPFAVMRPESPDDIALLLGALSSTAPSPRATVAAVGAGHSLHGQAQARDGIVVETRALPRDVHVVSARAHGGDDDATVRAYADVGAGALWVEVLEECLKLGLAPPSWTDYLYLTVGGTLSNGGISGQTFKHGPQISNVLQLEVVTGKGEVVTCSPIEIPELFFAVLGGLGQFGIITRARIPLQLAPPKVRWVRAFYDSFETFTGDQELLVSMPEQVDYVEGFMVLNEQSLHSSSVAFPAQLNFSPDFGSKGRKKVYYCIEFAVHDFQQDGSRADHVVELVSAKLSYLRPHVYSVEVSYFDFLNRVRMEEESLRSRGLWDVPHPWLNVFVPKHGITQFKGLLMDTVSADDFEGPILVYPLLTDKWDGNTSSVVPAAPDGVMYIFGVLRSTDPARCGRACVDSIMARHRRVADEACRDGGGGGRGIGAKQYLARQPSPARWRDHFGAGWGRFAARKARFDPLHVLGPGQGIFPRTDSAGSM >ORUFI04G20630.1 pep chromosome:OR_W1943:4:22008843:22010348:-1 gene:ORUFI04G20630 transcript:ORUFI04G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHRNESQRELEMGTKPHFVVIPWLATSHMIPIVDIACLLAAHGAAVTVITTPANAQLVQSRVDRAGDQGASRITVTTIPFPAAEAGLPEGCERVDHVPSPDMVPSFFDAAMQFGDAVAQHCRRLTGPRRPSCLIAGISHTWAHVLARELGAPCFIFHGFCAFSLLCCEYLHAHRPHEAVSSPDELFDVPTLPPFECRLTRRQLPLQFLPSCPVEYRMREFREFELAADGIVVNSFEELERDSAARLAAATGKKVFAVGPVSLCCSPPLDDPRAASDDDAKRCMAWLDAKKARSVLYVSFGSAGRMAPAQLMQLGVALVSCPWPVLWVIKGAGSLPGDVKEWLRENTDADGVADSQCLAVRGWAPQVAILSHRAVGGFVTHCGWGSTLESVAAGVPMAAWPFTAEQFVNEKLIVDVLGIGVSIGVTKPTGGMLTAGGGGEETAEVGTEQVKRALNSLMDGGVEGEERAKKVNELKAKAYAALEKEGSSYMNLEKLILSAV >ORUFI04G20640.1 pep chromosome:OR_W1943:4:22015249:22016730:-1 gene:ORUFI04G20640 transcript:ORUFI04G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding METATSKPHFVLVPWIGSISHILPMTDIGCLLASHGAPVTIITTPVNSPLVQSRVDRATPHGAGITVTTIPFPAAEAGLPEGCERLDLIPSPAMVPGFFRASRGFGEAVARHCRRQDARPRRRPSCIIAGMCHTWALGVARELGVPCYVFHGFGAFALLCIEYLFKQRRHEALPSADELVDIPVLPPFEFKVLGRQLPPHFVPSTSMGSGWMQELREFEMAVDGVVVNSFEELEHGSAALLAASAGKKVLAVGPVSLPHQPILDPRAASDDARRCMAWLDAKEARSVVYVSFGSAGRMPAAQLMQLGMALVSCPWPTLWVINGADTLPGDVRDWLRENTDADGVAHAHSKCLVVRGWAPQVAILDHPAVGGFMTHCGWGSTLESVAAGMPMVTWPFFAEQFINERLIVDVLGIGVSVGVTRPTENVLTAGKLGGAEAKVEIGAEQVKKALARMMDEGEDMRRKAQELKEKARAALEEGGSSYMNLEKLIHSSV >ORUFI04G20650.1 pep chromosome:OR_W1943:4:22018117:22018903:-1 gene:ORUFI04G20650 transcript:ORUFI04G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAWGVTTEDAADSDVVAGLLPGAPAPPPAIHSAAVNTHLRLHPRGQPMRPLPAPQLCRGPSPVVAFVAGRQSRCESRPSSYPSTASGEQRDKMGCKELVDLVMVGCGVWYHSISARYQDLIHRKHHPPCGKNRMIPVRYHPISARYQDLIHRKHHPPRGKNRMILDRYHLIPRKYHLIRGITRYWLGIKT >ORUFI04G20660.1 pep chromosome:OR_W1943:4:22018935:22019189:-1 gene:ORUFI04G20660 transcript:ORUFI04G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDAVTGDELRRGRPRRTPGIADSGDELQRGVRHELWRMWPRRRAVWHGCPGRRTRSPATSSDAAAPDEPPASRNPATSFRLS >ORUFI04G20670.1 pep chromosome:OR_W1943:4:22019531:22020076:1 gene:ORUFI04G20670 transcript:ORUFI04G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSFPPPLSTSLPLSSPLHGRRQRSSWARATGAGGWDWERSRQFVPAATAASWLATVAAASANGIIGTRVCVIGSGPTVCIIRTRPTVHTSVVYVACAELKPVLFEGFFGNDIATGRQLTTTSTDVENFPGFPNGILDADLMDRCRAQPVHFGTRIVSETVTAVDPSSCPFRVANLPRRR >ORUFI04G20680.1 pep chromosome:OR_W1943:4:22029440:22030655:1 gene:ORUFI04G20680 transcript:ORUFI04G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCRSRGVERGGAPHVLAVDDSSVDRAVISGILRSSQFRVTAVDSGKRALELLGSEPNVSMIITDYWMPEMTGYELLKKVKESSRLKEIPVVIMSSENVSTRINRCLEEGAEDFLLKPVQPSDVSRLCSRVLR >ORUFI04G20690.1 pep chromosome:OR_W1943:4:22035298:22039206:1 gene:ORUFI04G20690 transcript:ORUFI04G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASPSLSSLAAASSSSSAASAAAVAISSSSSSPSNPSRELSPRLFSPLPPKPHSLSCSGPQAPRATTGDGSGAAGDRGSGSGGNSGKGGGGGSGSGGGDDDDDYEEAEFGPLLGFDEVLRLAAPRGVSLPADMMEAAKDAGIREVLLLRYFDLQAGPWPLAAMIRAFSMLRNRMLADPSFLFKVGTEVVIDSCCATFAEVQKRGEDFWAEFELYAADLLVGVVVDIALVGLLAPYVRFGKASASTGPFGRFNRMAGSLPSSVFEAERPGCRFTVQQRIGTFFYKGVLYGSVGFVCGIIGQGIANMIMTAKRSVKKSDEDIPVPPLIKSAALWGVFLAVSSNTRYQIINGLERVVETSPIAKRVPPVAMAFTVGVRFANNIYGGMQFVDWARWSGVQ >ORUFI04G20700.1 pep chromosome:OR_W1943:4:22039618:22043307:-1 gene:ORUFI04G20700 transcript:ORUFI04G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPTPSEAHGGATPTAADVEMVEASELRRRGKPSGDRAPGPSRDGAAAAAEEAAAPSVERVFADRPVPSWREQLTVRAFVVSFFLVIMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTAAIERVGLLRQPFTRQENTVIQTCVVAGYDIAFSGWIIGFLFLVSFIGLFGLVPLRKVMIIDYKLTYPSGTATSFLINGFHTPHGAKIAAKQVKKLGIFFILSFFWGFFQWFYTATDDCGFHKFPSLGLQAFQHKFFFDFSPTYVGVGMICPHIVNVSVLLGGILSWGIMWPLIAKKRGDWFSADLPDGSLHGMQGYRVFIAIALILGDGLYNFLKMIILTAFSLRSQIKKKNASTLPVSDDGMVTTTAAVSYDEERRNELFVKDQIPWYVAYGGYAVVAAISIGTVPQIIPQLKWYQILVAYIVAPILAFCNAYGTGLTDWSLVTTYGKLAIFAFGAWTGASHGGVLAGLAACGVMMNIVSTAADLMQDFKTGYLTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKAFDNIGISGSDYPAPNAAVFRSIAILGVDGFSSLPKNCLNLCYAFFAAAIVVNLIRDLVPKKVSRFIPIPMAMAIPFYIGSYFAIDMFIGTVILFVWQRVDRAKADTYGPAVASGMICGDGIWVLPQSVLALAKVKPPICMKFLSRRTNDKVDAFLTTLGK >ORUFI04G20710.1 pep chromosome:OR_W1943:4:22050105:22059747:1 gene:ORUFI04G20710 transcript:ORUFI04G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHANASGGGGDEEMVEASTLRHRHGAGKDANGVGAERQLAAAAAEGEEEGPSSVERAFVDRAVPSWREQLTVRAFVVSFFLSIMFSIIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTAAIERVGLLRQPFTRQENTVIQTCVVAAYGIAFSGGFGTYLFGMSETIAKQATEANNAQNVKNPHIGWMIGFLFLVSFIGLLALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKFYFDFSPTYVGVGMICPHIVNVSVLLGGILSWGIMWPLIRNKKGSWYAASLSETSLHGLQGYRVFISIALILGDGLYNFVKVLIRTTAGFVVMMKKNSTLPVSNNGSPMVATEAISFDDERRTELFLKDQIPKTVAFGGYVAVAAVSIGTLPQIFPQLKWYYILVAYVFAPVLAFCNAYGAGLTDWSLASTYGKLAIFIFGAWAGASNGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKAFADIGVSGTEYPAPYAIVYRNMAILGVDGFSSLPKHCLTLCYIFFAAAIAINLARDLAPSKVARFIPLPMAMAIPFYIGSYFAIDMFIGTVILFVWEMVNKAKAEAFAPAVASGLICGDGIWTLPQSILALAKVKPPICMNSSAAYDAAETGGLLRRRNTTAAARGNAGEEEEEAEAVAPSVEQAFADKPVPSWREQLTVRAFVVGFLLSIMFNIIVMKLSLTTGVIPSLNVSASLLGFFLVRLWTAAIERVGFLKQPFTRQENTVIQTCVVSAYGVAFSGGFGSYLFGMSETIAKQATEANDPMNIKNPHLGWIIGFMFLVSFVGLFALVPMRKVMIVDYKLTYPSGTATAYLINGFHTPEGADLAKKQVRTLGKYFSISFLWAFFQWFYTAGDNCGFSSFPTFGLEAFKNRFYFDFSPTYVGVGMICPYIVNVSLLIGGIISWGIMWPLISKKKGSWYPETLPESSLLGLQAYKVFITIAVILGDGLYNFVKVFGYTIKGFIVMYKNKNSNTLPISDNGTPANATEEESFDDKRRNELFLKDQIPKTVAIGGYVVLAVITSGCLPLIIPQLKWYYILIAYIFAPIMAFCNAYGSGLTDWSLATTYGKLAIFVFGAWAGASHGGVLVGLAACGVMMNIVGTASDLMQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKSFDIGASDGAYPAPYTIMYRNMAILGVNGLSSLPKYCLTLCYIAFVAAFIINLIKDLVPERVAKYIPIPMAAAIPFYLGPYFAIDMFMGSVILYFWEWRNKDEAQSFGPAVASGLMCGDGLWALPQAVLSLVNVNPPLCMKFLSRAANAKVDTFLGN >ORUFI04G20720.1 pep chromosome:OR_W1943:4:22061029:22062456:-1 gene:ORUFI04G20720 transcript:ORUFI04G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACSPALRLQLPPNPPITPQLPSCRTHSAGARCRGFAAAHSQPPAAGRPDEPAAEPSPKQPEIAQTQNLRRSRRRGPGSRQSLVSVGTSCGGGDQWSSDFDLTLRQLHLDDLIEDGQNDDADVLVHLLVQQHTQFGMSIKGRVVTSFSKICDSCSSPYCAKIDEQFNLTVLSSTRKEQSEMPDIGDSDPSVIYVRPGVEVDLDSVIQETIRLTASAKSSCSEACEKSTVVWQYGGNQKKRYSQRWSKLLDLKKTLDKAAN >ORUFI04G20730.1 pep chromosome:OR_W1943:4:22063382:22066607:-1 gene:ORUFI04G20730 transcript:ORUFI04G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSTPAVSSNQLDDKDGREEEQVVRGLGILPAQLELSTKELPWLVGDSATQRSRFAFWLQTLRRARGFRSVLVNSFPGEAVTGTAAAEDDDGPQRQAACPRVLPVGPLLVLAGCNVERAKGAGDDGGVAATNINNHPQPCSKNPSMWQADSTCIRWLDAQPAASVVYVSFGSWVGPIGHDKIRELALGLEATGRPFLWAIKDDPSWRAGLPAGYAGSVAGRGKLVDWAPQDDVLGHAAVGCYLTHCGWNSTVEAIQHGVRMLCCPVSGDQFINCAYITRVWEVGLKLGSVRRDVVRDCIERIMGGAEGTRLQEKMDALRQRAVTAEARCLAQGNLRSFVNEIKRDHPLLTQIYNIL >ORUFI04G20730.2 pep chromosome:OR_W1943:4:22063382:22070023:-1 gene:ORUFI04G20730 transcript:ORUFI04G20730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVIQQQPAVLLVPFPAQGHVTPMLNLARALAAHGVAATVAVPDFIHRRIAGAAAGGGRARDNQAVGGGVELASIPSGIPHLPAGESGGGRHADDQPGFGAIVHAMEHHMPEQLERMLLSTAGRGRVACLVVDVLASWAVPVAERCGVPAAGFWPAMLASYRAVAAIPELLRKGVISESGTPAVSSNQLDDKDGREEEQVVRGLGILPAQLELSTKELPWLVGDSATQRSRFAFWLQTLRRARGFRSVLVNSFPGEAVTGTAAAEDDDGPQRQAACPRVLPVGPLLVLAGCNVERAKGAGDDGGVAATNINNHPQPCSKNPSMWQADSTCIRWLDAQPAASVVYVSFGSWVGPIGHDKIRELALGLEATGRPFLWAIKDDPSWRAGLPAGYAGSVAGRGKLVDWAPQDDVLGHAAVGCYLTHCGWNSTVEAIQHGVRMLCCPVSGDQFINCAYITRVWEVGLKLGSVRRDVVRDCIERIMGGAEGTRLQEKMDALRQRAVTAEARCLAQGNLRSFVNEIKRDHPLLTQIYNIL >ORUFI04G20740.1 pep chromosome:OR_W1943:4:22083849:22084628:1 gene:ORUFI04G20740 transcript:ORUFI04G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLAAAAPRAFFSSSTPHAPPPPAGYTQRREYGLVPMVIEHTSRGERAYDIFSRLLKERIVCIHGPITDDTASLVVAQLLFLESENPAKPVHLYINSPGGVVTAGLAIYDTMQYIRSPVTTLCIGQAASMASLLLAAGARGERRALPNARVMIHQPSGGASGQASDIAIHAKEILKVRDRLNKIYAKHTSQAIDRIEQCMERDMFMDPEEAHDWGLIDEVIEHRPVSLVSDAVGSDLPNLGGGGDGANKATDEPSPA >ORUFI04G20750.1 pep chromosome:OR_W1943:4:22086728:22091581:-1 gene:ORUFI04G20750 transcript:ORUFI04G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMALLSLSLAVAFLAAASAAGATGASRSMRRPEEDLVAGLPGQPDVRFRHYAGYVGVGNGKALFYWFFEAEKEPEKKPLLLWLNGAVNLLFLEAPVGVGFSYTNRTSDLRRLGDRVTAQDSYSFLLNWLNKFPEFKNRDFYIAGESYAGHYVPQLAELIYDGNKGASRDRVINIKGFMIGNAVLNDATDQMGMVEYAWSHAIISDELYSAVRRECDSFKEEEDGGKPSKGCSPAVRAFLRAYDDIDIYSIYTPTCLSSSSSSPASASPRRSSPGLVAAPRLFSKHEAWRRMQRVPAGYDPCTEEYVKGYFNREDVQRALHANRTGLSYPYSPCSEAISKWNDSPSTVLPILKKLMGAGLRIWVYSGDTDGRVPVTSTRYSLNTMKLRPRLMRKTAGDGAGEESEWGGWRAWYDRQQVGGWAVEYEEGLTLVTVRGAGHQVPLFAPRRSLDMLYHFLRGSSLPASRSR >ORUFI04G20760.1 pep chromosome:OR_W1943:4:22095460:22096077:-1 gene:ORUFI04G20760 transcript:ORUFI04G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPASSAPPQSYTRYRYETRRRDPNPRAAALLVVDVQGHFASIAAPAMPALAATVALCRAAGAPVVYTRHVDPVPRSGPLDEWWPGDRIADGTPAAELLPGSGRREGDLVVEKSTYSGFAGTGLEEALRRMGVEEVIVTGVMTNLCCETTARDAFVRGFRVFFSADATATASQDLQEATLANMAYGFAYVVDCQRLEAAFGKVK >ORUFI04G20770.1 pep chromosome:OR_W1943:4:22098525:22107155:1 gene:ORUFI04G20770 transcript:ORUFI04G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEEVAQGSDGARVAVVVVGAPVGDDSAAPPPVAAAGAAPAVTPGEEAAKRESLLAAAAAAAECKQEAAAAATGLQAMAVTVARDVEAGGLDASTSGAADEKPSWFTPKRLLVMFCLINMLNYVDRGAIASNGVNGSRQSCTGGTCTSGSGIQGDFNLNNFEDGVLSSAFMVGLLIASPIFASLAKIHNPFRLIGVGLLVWTIATAGCGCSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPAAQKTAWLAMFYMCIPTGIAVGYVYGGLVGNSLHWRAAFWGESILMLPFVILGFVIKPLELKGFNHSVKTKEYGEMLNPERQDETKQGASIGVDGLAETLPHKFSISSFGKKVLTEIKHFMKDMKELLQEKVYVINVLGYISYNFVIGAYSYWGPKAGQDIYNMASADIMFGGITIVCGIFGTLSGGFILDKIDSTISNAFKLLSGATFLGAIFCFGAFCFKSLYGFIPFFSVGELLVFATQAPVNYVCLHCVKPSLRPLSMAMSTVAIHIFGDVPSSPLVGLLQDKIHNWRSTALTLTSILFIAAIFWFIGIFVRSVDRFNEQSEHDVPAVERSNLRPLLDENEEARAS >ORUFI04G20770.2 pep chromosome:OR_W1943:4:22098525:22107155:1 gene:ORUFI04G20770 transcript:ORUFI04G20770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEEVAQGSDGARVAVVVVGAPVGDDSAAPPPVAAAGAAPAVTPGEEAAKRESLLAAAAAAAECKQEAAAAATGLQAMAVTVARDVEAGGLDASTSGAADEKPSWFTPKRLLVMFCLINMLNYVDRGAIASNGVNGSRQSCTGGTCTSGSGIQHNPFRLIGVGLLVWTIATAGCGCSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPAAQKTAWLAMFYMCIPTGIAVGYVYGGLVGNSLHWRAAFWGESILMLPFVILGFVIKPLELKGFNHSVKTKEYGEMLNPERQDETKQGASIGVDGLAETLPHKFSISSFGKKVLTEIKHFMKDMKELLQEKVYVINVLGYISYNFVIGAYSYWGPKAGQDIYNMASADIMFGGITIVCGIFGTLSGGFILDKIDSTISNAFKLLSGATFLGAIFCFGAFCFKSLYGFIPFFSVGELLVFATQAPVNYVCLHCVKPSLRPLSMAMSTVAIHIFGDVPSSPLVGLLQDKIHNWRSTALTLTSILFIAAIFWFIGIFVRSVDRFNEQSEHDVPAVERSNLRPLLDENEEARAS >ORUFI04G20770.3 pep chromosome:OR_W1943:4:22098525:22107155:1 gene:ORUFI04G20770 transcript:ORUFI04G20770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEEVAQGSDGARVAVVVVGAPVGDDSAAPPPVAAAGAAPAVTPGEEAAKRESLLAAAAAAAECKQEAAAAATGLQAMAVTVARDVEAGGLDASTSGAADEKPSWFTPKRLLVMFCLINMLNYVDRGAIASNGVNGSRQSCTGGTCTSGSGIQGDFNLNNFEDGVLSSAFMVGLLIASPIFASLAKIHNPFRLIGVGLLVWTIATAGCGCSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPAAQKTAWLAMFYMCIPTGIAVGYVYGGLVGNSLHWRAAFWGESILMLPFVILGFVIKPLELKGFNHSVKTKEYGEMLNPERQDETKQDIMFGGITIVCGIFGTLSGGFILDKIDSTISNAFKLLSGATFLGAIFCFGAFCFKSLYGFIPFFSVGELLVFATQAPVNYVCLHCVKPSLRPLSMAMSTVAIHIFGDVPSSPLVGLLQDKIHNWRSTALTLTSILFIAAIFWFIGIFVRSVDRFNEQSEHDVPAVERSNLRPLLDENEEARAS >ORUFI04G20780.1 pep chromosome:OR_W1943:4:22109910:22110511:1 gene:ORUFI04G20780 transcript:ORUFI04G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDAATFQVYRPMAMPTPAALPPSSQQITMPFTAAPVDAVLPAPRKAAATQGGKDRHSKAEPSILAATGSGTTPAVFSCSSAPSTASSSFLLGKRPRQEDHEAPTFWEALQQQPRPAVSSWGALVSPSQEAQAYASSVAQVHHLNLLSALSGAATRRPAQEESR >ORUFI04G20790.1 pep chromosome:OR_W1943:4:22114329:22118304:1 gene:ORUFI04G20790 transcript:ORUFI04G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTILTPNLPDPENAQEVGCLRRQQASVDDGKGSEPQMLIDMIPMEWSEGYLWSSPGLLISVDIEGAQMLIVIPMDRAKSILGPGPADGRMEYKSFIPSFHRVQHVRIVSVALGPSVTRLAFGGPSDVQTIIACAGSSTPRVLDVFGGVESASCTDAFLLRTGFLMLKSTACGKCDFCIIIRERRDVCTLRFHWLDDTCG >ORUFI04G20800.1 pep chromosome:OR_W1943:4:22119738:22120778:1 gene:ORUFI04G20800 transcript:ORUFI04G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSVQTSPSPSHEVDAETDEELYKQFTDLVSSWPSSEPMPFLPLYRHDKGWYSSLMPMVGAMVADARFAARPSDIIVATLPKSGTTWIKALLYATVHRREHPADAAADHPFNSLGPHECVNFLEYQLYTNNRVPDLGRLPDPRLFATHVPFTSLPSAAAASGCKVVYVCRDPKDNLISMWDFANKFRAREGQEPMSPEAIAELFCLGVSPSGPYWDHVLGYWGGHVARPEQVLFFRYEEMKLDAAAHVRRLAEFVGLPFSAEEEEGGVVDAIVRLCSFDHMIGLEATKSGKTELVVGTAANSSFFRRGQVGDWANHLSPEIAQRIDAITEARFNGSGLRPSGTK >ORUFI04G20810.1 pep chromosome:OR_W1943:4:22123371:22123973:-1 gene:ORUFI04G20810 transcript:ORUFI04G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRLPLILLSLLAISFSCSAAPPPVYDTEGHELSADGSYYVLPASPGHGGGLTMAPRVLPCPLLVAQETDERRKGFPVRFTPWGGAAAPEDRTIRVSTDVRIRFNAATICVQSTEWHVGDEPLTGARRVVTGPLIGPSPSGRENAFRVEKYGGGYKLVSCRDSCQDLGVSRDGARAWLGASQPPHVVVFKKARPSPPE >ORUFI04G20820.1 pep chromosome:OR_W1943:4:22127669:22128598:-1 gene:ORUFI04G20820 transcript:ORUFI04G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSINGVHVIGVPVTAKAFGIEEEVSLARGQSFRKADGDHLAVSLSHPSPYTSFGYKHSSKGQVIHWVSKLSRRAQGFREHVTLGPKLSETVKGKLSLGAKILQAGGIERVFRKAFSAEKGERLVKALQCYLYTTGGPIAGMLFVSTKKVAFRSDRPVTVTSAKGDVARVPYKVVVPLRRIAQVRPSENADKPEEKYIHVVTVDGFEFWFMGFVSYQRSCKYMQQAISELQ >ORUFI04G20830.1 pep chromosome:OR_W1943:4:22132527:22133292:-1 gene:ORUFI04G20830 transcript:ORUFI04G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAACNEHVIGIPVSNRAFGIEEPDFPSEGAAAYHAEAKSSATARTSSRFGRTGDRLAQGIKEHVTLGPKLYETMKGKLTLGARILQAGGVEKVFRRWFAVGKGEKLLRASQCYLSTTAGPIAGMLFISTERIAFRSDRSLALTTPSGDTVRVPYKVAIPLRRVKTAKPSENKHRPEQKYVQVVTDDGFEFWFMGFVSFQVTLKNLELAVAQAQ >ORUFI04G20840.1 pep chromosome:OR_W1943:4:22136099:22137154:1 gene:ORUFI04G20840 transcript:ORUFI04G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANENGFNGMVKCWKTSGFGADMDRRQGTSIRMKTYDLGISSTQIDTCHDTITRFPIRTVVACYIEQENDLQKNY >ORUFI04G20850.1 pep chromosome:OR_W1943:4:22144815:22145009:-1 gene:ORUFI04G20850 transcript:ORUFI04G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTVLGLAGCEASTRRRRGPIASRMAAHCGHTCTRAWTGRGTTELERYRPPWLDLFENLVLEG >ORUFI04G20860.1 pep chromosome:OR_W1943:4:22147987:22154063:1 gene:ORUFI04G20860 transcript:ORUFI04G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLSREKDAGGGPRRRPGSVGDVVVFLPGLRVPRSVDFSQALAGRLDEASSRLSSLRARVVDMAMQESAAALKPKRRAAARHGSSTANLLQALEDYLPVLLGLVKEGSELRHGVHFVWTNQEDNAEETAMADAWYEVLSVLHLMAMVCLLQANSLLLPRSYGDGYAPRVSEESRRATVDVFLKASGYLDCAIRQVLPQISSELRRQLPVDLAEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWHQIQESIPEIPVSDGWGKKHLLFVKWKYVEAKAAAYYFHGLILDEGNTEKSHGMAVAALQASEEFLKESKRASEAFHATPPTSRQDILPFEHFAFQIFLCFILSIRTLPRWSPTPFGTAKYMLDKIPKDASSKVKINQDLYTQERVIGTPPPLPDFALALKPDDYDLPPLDPLWNKEDSRQ >ORUFI04G20860.2 pep chromosome:OR_W1943:4:22147987:22154063:1 gene:ORUFI04G20860 transcript:ORUFI04G20860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLSREKDAGGGPRRRPGSVGDVVVFLPGLRVPRSVDFSQALAGRLDEASSRLSSLRARVVDMAMQESAAALKPKRRAAARHGSSTANLLQALEDYLPVLLGLVKEGSELRHGVHFVWTNQEDNAEETAMADAWYEVLSVLHLMAMVCLLQANSLLLPRSYGDGYAPRVSEESRRATVDVFLKASGYLDCAIRQVLPQISSELRRQLPVDLAEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWHQIQESIPEIPVSDGWGKKHLLFVKWKYVEAKTRETQRNLMEWQSPTPFGTAKYMLDKIPKDASSKVKINQDLYTQERVIGTPPPLPDFALALKPDDYDLPPLDPLWNKEDSRQ >ORUFI04G20860.3 pep chromosome:OR_W1943:4:22148487:22154063:1 gene:ORUFI04G20860 transcript:ORUFI04G20860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLSREKDAGGGPRRRPGSVGDVVVFLPGLRVPRSVDFSQALAGRLDEASSRLSSLRARVVDMAMQESAAALKPKRRAAARHGSSTANLLQALEDYLPVLLGLVKEGSELRHGVHFVWTNQEDNAEETAMADAWYEVLSVLHLMAMVCLLQANSLLLPRSYGDGYAPRVSEESRRATVDVFLKASGYLDCAIRQVLPQISSELRRQLPVDLAEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWHQIQESIPEIPVSDGWGKKHLLFVKWKYVEAKTRETQRNLMEWQSPTPFGTAKYMLDKIPKDASSKVKINQDLYTQERVIGTPPPLPDFALALKPDDYDLPPLDPLWNKEDSRQ >ORUFI04G20870.1 pep chromosome:OR_W1943:4:22153595:22156149:-1 gene:ORUFI04G20870 transcript:ORUFI04G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLGDSVFAGHAAAGVAAITASAVAVHPLDTLSATGSQQKMGLGQVVDRLMSASGPAGLYSGIGWSVLGKLPGLGARFGTYELLTAFYKDGKEDNYVSYSEAMLAGIAAGAVESVLCTPFELLKLRSQVGSVKPMKATDPANITKVAFPLLSKLLPGYVPDIRVWNSSVSLLSNLSPKHPDMMGALKQHPWMLTGSGKPPLPSDVQVPSRVIALEGWGALWRGLRPGMTRDCVFGGMFFSTWQFIHTAMLTWSAVNMNPQPSKLEEAGPVSPFASSLAAGFSGVVAAAASHTFDTAKSRSECTVIPKYIAMERRLLKWKVPGNWIERKTGISPADRNVLFRGIGQRMARSGFASFLLVGSYYFVIDQFL >ORUFI04G20880.1 pep chromosome:OR_W1943:4:22156277:22159683:1 gene:ORUFI04G20880 transcript:ORUFI04G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLSQPAQAVEEPSPPAVEAAPSSSPSPAPAPSSLEALAAEAMSFDEDGNESIDVKVQKALDCPCVAELKNGPCGSQFVDAFSCFLKSTEEEKGSDCVKPFIALQDCIKINPEAFSKEILEEEENDEEAEKSNLKVRAPAWSRESKPKL >ORUFI04G20880.2 pep chromosome:OR_W1943:4:22156277:22159683:1 gene:ORUFI04G20880 transcript:ORUFI04G20880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGRLGKRSPELNSGPILLPVMWWCSGLLFSWTWTPADLTTLQLAAPPLAPVLAVAAREDERVWPPPASSLALHLDGSRSEPARAGGGGAFSACRRGGAVVVAVSCPGAIFPRGARRRGYVI >ORUFI04G20890.1 pep chromosome:OR_W1943:4:22159869:22164364:1 gene:ORUFI04G20890 transcript:ORUFI04G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSFFQPLTTPIAGAGAGGAARLRRGTLALPFPTRTRPLRRPTPLLVARAKRPGSRTAAASRQPANPSDVPKREADEEVEVEEEMPWIQDKALDLVEFTGTVTQAIPGPRVGSSPVPWLLAVPLAYVGVSFVLAVVRTVRRFTSPRTQKKRRVSKNIFLLKSLDELFQKGREAVDFPALQELMEKTGFDMDDVVRKYIRYTLNEKPFNPDVVVDLIHLRKASMLEDAEVAEILNEISRRIVREKGPVVMDLAGFTEQGFKRKLAVQTLFGKILYLSELPEFCSRDGSLVVKEIFGVTDEDADSIRIHTLSETSDIESLEKMVDDSELGHGPSPLS >ORUFI04G20900.1 pep chromosome:OR_W1943:4:22164607:22165879:1 gene:ORUFI04G20900 transcript:ORUFI04G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGRHTPRRADAAAAAAAMEPLVPGATRAALSEFVATAVFVFAAEGSVYGLWKMYRDTGTLGGLLVVAVAHALALAAAVAVSRNASGGHVNPAVTFGVLVGRRISFARAALYWAAQLLGAVLAVLLLRLASGGMRPMGFTLGHRIHERHALLLEVVMTFGLVYTVYATAVDRRSGGGDIAPLAIGLVAGANILAGGPFDGAAMNPARAFGPALVGWNWRHHWVYWLGPLIGAGMAGALYEFVMAEQPEPPAAADTRLPVAAEDY >ORUFI04G20910.1 pep chromosome:OR_W1943:4:22166331:22170280:-1 gene:ORUFI04G20910 transcript:ORUFI04G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATADSRSGIKLFEACINSNLRSFLHSVTPTLEPYTVAKPGGYSGRVPELGRCFFLVDLWNHFYPLSAYGVGTPVRLPSGQEIEQYFVPYLSAIQLHTISDFTSCNEIMVGNNLFDANNYGWCSAADNWNGQYATTSLARYDSPRYPIGHVPAMNKKDLTTCFLTYHSLSTLEDRTPFDSKDPLTLPPIGLATHKTDGDVWTSANSGDQELTTSLVGAADSWLKKLDVQHHDFNYFLNSNRNLIHYRSLTEASTSAV >ORUFI04G20920.1 pep chromosome:OR_W1943:4:22171852:22175997:1 gene:ORUFI04G20920 transcript:ORUFI04G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSAVLLLPNSRAPAALSRRRAPVPRRGFVVGFEGRSRRGAAGTVRACFNPPGDERILREALKEPVAFMGGVFAGLLRLDLNEDPLKEWITRTVEASGVAEDSRTDESSEGSQNDAPQQIEIE >ORUFI04G20920.2 pep chromosome:OR_W1943:4:22171852:22176003:1 gene:ORUFI04G20920 transcript:ORUFI04G20920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSAVLLLPNSRAPAALSRRRAPVPRRGFVVGFEGRSRRGAAGTVRACFNPPGDERILREALKEPVAFMGGVFAGLLRLDLNEDPLKEWITRTVEASGVAEDSRTDESSEGSQNDAPQQIEIE >ORUFI04G20920.3 pep chromosome:OR_W1943:4:22171852:22173085:1 gene:ORUFI04G20920 transcript:ORUFI04G20920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSAVLLLPNSRAPAALSRRRAPVPRRGFVVGFEGRSRRGAAGTVRACFNPPGDERILREALKEPVAFMGGVFAGLLRLDLNEDPLKEWITRTVEASGVAEDSRTDESSEGSQNDAPQQIEIE >ORUFI04G20930.1 pep chromosome:OR_W1943:4:22176690:22177118:1 gene:ORUFI04G20930 transcript:ORUFI04G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSCFLIVLLLAVAMGGSKLSLAAGESGGGGIPTTLGRELRELISKASDFLGAAPRRAGADGWHAAAATGDGADAIRASLRSTARGRPARKSAANCIPADMCRKKKVLCGKRCYRSSSSSSLSHIPSTKCVVKCKKCVPTC >ORUFI04G20940.1 pep chromosome:OR_W1943:4:22180239:22187060:1 gene:ORUFI04G20940 transcript:ORUFI04G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGGGGGDTRRTAAGQAMVELQANASSAGGAMVVGLSPLSETLWRDSKAMPGAAAALIGDVSARLTWKDLSVTVALGPGKTQTVLDELTGYAEPGSLTALMGPSGSGKSTLLDALAGRLAANAFLSGNVLLNGRKAKLSFGAAAYVTQDDNLIGTLTVRETIGYSAMLRLPDKMPREDKRALVEGTIVEMGLQDCADTVIGNWHLRGVSGGEKRRVSIALELLMRPRLLFLDEPTSGLDSSSAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDMLFLLSSGKTVYFGQASQACEFFAQTGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSMKARIERSDDPLDRMTTSEAIRKLVASYSRSQYYYAARERVNDISRLKGTVLDSGGSQASFLMQAGTLTKRSFINMSRDFGYYWLRLLIYLLVTVCIGTIYYDVGTKYTSILARAACTAFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVAAFVISNTISALPFLVLICFLSGTICYFMVRLHPGFSHYIFFVLNLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPYDIPKPVWRYPMQYISFHYWALQGQCQNDMDGLVFDNQYPDQPKIPGDFILKYIFQINVHRSKWIDLSVIFSMIFIYRILFFLMIKVNEDALPWIRGYIARKRLQKKEPLGKTPSLRGYVVDPELGPNES >ORUFI04G20950.1 pep chromosome:OR_W1943:4:22183753:22188911:-1 gene:ORUFI04G20950 transcript:ORUFI04G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAFFTDDGIALLDKRFLPAMDKVGRLCHVYLTPTHAMLLHNLLGPTGTGPDGGGPQCVAQFAKDLLFREYSVSSRNGNRVAFAVDVALLHRALRSALAVHAQSPAAGDASAAIQVKLVNKLPAGSRSASPFLTFETKGARSAVVQDVPISRPLSRSDVERLQAALDSAQELPQTLVQVPDLPQLQSLVDRLKNVGDLLTVAVTQYGDLHLHVATSLVTVGSEFRKLRILGVRATAPVGDQNLSASTRTDMAIERGEALSVQVNMKHLAKSLQCHLAKPDCTFYGISPGGACLTVIFQYFIPGTRLTDKSISFYCRLPDGCVLGADVIVDGANADGHEEIDEEPQPVIPEVP >ORUFI04G20960.1 pep chromosome:OR_W1943:4:22197128:22208589:-1 gene:ORUFI04G20960 transcript:ORUFI04G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSKKQQVRRRREEQQQPPCHEARKAGGGKKAGAKEVAIVPEAAKKAPPPRKAVPKAEEPAADKRTVFVVKAAAAAAAAEVAASASGEAADEEAKRPAPEEEEAKPVVVSRVPVRTSSCTKEEVDAILIQCGRLSRSSSSSGKVASGEGGGGHRRYSGSKRSYDFDRERRGGGGGGVDDDCDWERQGAAVSRPSPRRRTPERKRSGSHERSGGSGSRRVSRSPGRRADSVPATASGERASRQQPGKMVSVPAREKGRAPSPVPAASGKRYPSPRSNSPARAGAAGNENAAAQLAHGPSLSRSSSRKAEHSPYRRNPMAELDENTLGNHHSCNNNGRPQKKPTESGGALPQKVAERAKDQVAASRTAAKEKQEIVEVPVASSDTKGGNSGRMKATHSVSIVAESVVNQKGRSSRRSSHDFDNNGNSYASLLLEDIQNYHQQSTGSAAAPAPAFSLPACVSKACSILEAVADLNSSSSENKSFELDRSANDKCSANGRYGDGKVAGGGTLVVESEVVVKDDLMEPSLHKYVSVRDIRGEAEPQESAGSNSFAGNAWTPSWEPSSVDSTDRTWTASQSNNGDEVEQLSSGAVSPLELSWQGKQRLPSQEPSGGGRSRVGPTGNAQRGRSAHRGGGGAVNARSDVRAAPVPAQGDVASLFRSMSRSQSTCRSDLLTEKMPRVQTSRLGKNPIEVDSDGGEDFHVDYEVGNDVDEGPEHGEIDGLLSVVLRKMIVQEVKKNLVDTSSVNFHNPITEPSYSRFSTKYFSTVLSSLSPDQKRIIGDYGFNSLLMFDNAYVPNKFASWIANHVDVKSSQIVLKDKVIAINKECVHHILGLPIGGMEFPTDCDAGKSFILSKFGKSALPSETLSDDEVITSFLIVAMACFLCPNSSLVPSTKYLTVFENVDELRSYDWSKFVYEWMMTSIKKIQKFSTLGGCWFLWAVLYLDYVEFGDKNVPIGKNVPIGFPRISHWKNNMITLYSNLDKVDEENFGLRPIKDFNDTTYFKVVPPENRINTFRDKLESAIGTMLPAFIKEKICSMVVSHCSANHIVDSESCEDIAISIMLLLCEHAGSRYGGDPDENLIFDDINPGFQPNYAIDVENEIPCDAHNNGSTNKSVASKANETSFRHTPSHDKELGVNDDSVIVSKSAVRFSLSPNFEKDQGLLTPEVGYANNSNSRFEHFRSGPTVSAVAAVRNVANKIKSRLSQLNNDDKRGPLFPDLIDSCEDEAVGYAKSLSCQKEYLNPRYVTSSSSQPGISLHCLDNSPVQVIGINNNEGTRRTHCIQNVKKRRFDDVINSPDVEYFGHSTFPDCCKVLCTQTDNLYNAKNMLKSNNLDLSSTGGKLPPHGPRRVLVPSRKFSDPYVLSVRRRFLVSDQEKRHYNAICKLSESSKWHSYDAVDIDNVRAKFSSFGQSLMKGGTVLSYVINVFCRVLFNNNHPSNSKRHYFFSSIGELLLTDLSCADLSKVKRSSLGAASARKLHLSDMLFFPIEHLEHWFLFVVDIKDRMLVLLDSLHEKGDPYFEDIECLLINNLQTFWDSYYGSSIDFTTLKKCMELWSPRVVLPNEFSSDDIDNIRMLYANQLFFHPTNKMLQTEVEEVVLNWFNPDQFAGEG >ORUFI04G20970.1 pep chromosome:OR_W1943:4:22216243:22216704:-1 gene:ORUFI04G20970 transcript:ORUFI04G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATATAVASPSTSSRLLHRSLLSPTPTAARCLRPPLCRGRLRTVRQVVANGDVSSPSSDVAAEESAAAPKIGKRVRVTAPVRVHHVSKAPDLDICGMEGVVKQYVGIWKGKRITANLPFKVEFELRVDGQDKPVRFFAHLREDEFELVEDE >ORUFI04G20980.1 pep chromosome:OR_W1943:4:22221952:22222482:1 gene:ORUFI04G20980 transcript:ORUFI04G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRAKPASSPPSRAALAAPGRCRRRRRRPRDRGCPLLPNPRRAALNPRHAGGNGGRAYPDPNDGNGNLGDDSGGWRRLATVQTTATAAGDLDDDGGALAWVQDARRCSLRRRPALLRRIEEVVAAPAVALRSWLCTAAAASSRGWTAGDTKEMGGGGESVWGPRLASDLWLAKFG >ORUFI04G20990.1 pep chromosome:OR_W1943:4:22223630:22225030:-1 gene:ORUFI04G20990 transcript:ORUFI04G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSGESGGGGGGGGGRRWKGKGVTPIQPRRQLGTVLEDSSAALLRPLKKIGRSPDRLLRSASSLSTSSSAPPSPRSSSASDAPVRVISSSPSSPSPPSARHIFPFAYEASTTTVGGSPRLHPLSWQQSSMSQPASPQQQQQQPLQHQQMISFGASPPCSTTQFVVPENAQQQQMLLRYWSEALNLSPRGGPGGVPPWLYQQLLRVPPPPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPDRFLGKGRAGGSGRTSVSSSAAAAASCSSSSLSPPETPDDANTQQQAPQQREQRDTAGVSMEKKQPQPPAPTSRQEGCSGGDAAAPYPAEMLHAPAACGGMWVAPDESWFSTWGPGSSFWDDYDMDSARGLFLHPRFTGDETSMDHSGTQATVPAVAATAAGMSMPCDDVPVTSSSSDLPPQGTPQTPTFMWKED >ORUFI04G21000.1 pep chromosome:OR_W1943:4:22252683:22252979:1 gene:ORUFI04G21000 transcript:ORUFI04G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAGGGAAGDEDGGGGAVSVPSCAAEPSSLPHPRRRHPRPRLSLYLFLRRQTKHREQPSLSREVATVVVAATIMSTAAAAAAAVVLRSWCGQSFSP >ORUFI04G21010.1 pep chromosome:OR_W1943:4:22259502:22259942:1 gene:ORUFI04G21010 transcript:ORUFI04G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWASDAVVGIEGESFDGVLRQCAGRVMVLALCMWCYPGIAWEYAETKRGQMAPSNSANKSMVCAYSKGRVVGCW >ORUFI04G21020.1 pep chromosome:OR_W1943:4:22260352:22265615:1 gene:ORUFI04G21020 transcript:ORUFI04G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEEEEEEEVFYEARDRVLSSSSCSSTSASDDDDHPPAAAAALDVWTSEPAPVQERRRRLLQMMGLSGDPSLARLEMGRSASYDGPIRPETVSPISRSRSDGSVPASATKPPLAARSRQTSSDSSEATPGGDDADPRCLIRNLDDGSEFVVKEESALREVGTGRQLTMEEFDLCVGRSPIVQELMRRQNVASSGSSNGASALIQRSSSDSSNGATRHRRRGSWLRSIRNVAGSMVVGSRDRRSSDEKDTSSEKGGRRSSSATDDSQESASAVRRGPERVKVRQYGKTCKELSGLFMNQDIQAHNGSIWSIKFSHDGRYLASAGEDCVIHVWEVSELERKREGNGVCNQLVAVVCNGSPEPILALASVDGSCWEKKHRARILETRKSASSDRLMFPEHVFALSEKPVKTFEGHSEDVLDLCWSKSQYLLSSSMDKTVKLWHMSRTSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPGREIVDWNDLHEMVTAACYTPDGQRALVGSHKGSCHIYDTSDNKLLQKKQIDLQNKKRKSNQKKITGFQFLPGSSSKVLITSADSRIRVVDGFELVHKFKGFRNTNSQISACLSSNGRSKAVVSVTNSYEHFHCQDVTVAVALPSAGSAMTSRTNSRKTEEQDSIPQQHTQPDKQQDSSDFQCLSGNGLSTSSNHSGDRTSATWPEELMTPSKQSPPSSTSLPNGAGQAPSRSAWGLVIVTAGRGGQIRAFQNFGFPVRV >ORUFI04G21020.2 pep chromosome:OR_W1943:4:22260352:22265615:1 gene:ORUFI04G21020 transcript:ORUFI04G21020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEEEEEEEVFYEARDRVLSSSSCSSTSASDDDDHPPAAAAALDVWTSEPAPVQERRRRLLQMMGLSGDPSLARLEMGRSASYDGPIRPETVSPISRSRSDGSVPASATKPPLAARSRQTSSDSSEATPGGDDADPRCLIRNLDDGSEFVVKEESALREVGTGRQLTMEEFDLCVGRSPIVQELMRRQNVASSGSSNGASALIQRSSSDSSNGATRHRRRGSWLRSIRNVAGSMVVGSRDRRSSDEKDTSSEKGGRRSSSATDDSQESASAVRRGPERVKVRQYGKTCKELSGLFMNQDIQAHNGSIWSIKFSHDGRYLASAGEDCVIHVWEVSELERKREGNGVCNQLVAVVCNGSPEPILALASVDGSCWEKKHRARILETRKSASSDRLMFPEHVFALSEKPVKTFEGHSEDVLDLCWSKSQYLLSSSMDKTVKLWHMSRTSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPGREIVDWNDLHEMVTAACYTPDGQRALVGSHKGSCHIYDTSDNKLLQKKQIDLQNKKRKSNQKKITGFQCCTGIQVYRVMLGSHNRFSKYQQPNISLPVFEREGRSKAVVSVTNSYEHFHCQDVTVAVALPSAGSAMTSRTNSRKTEEQDSIPQQHTQPDKQQDSSDFQCLSGNGLSTSSNHSGDRTSATWPEELMTPSKQSPPSSTSLPNGAGQAPSRSAWGLVIVTAGRGGQIRAFQNFGFPVRV >ORUFI04G21020.3 pep chromosome:OR_W1943:4:22260352:22265615:1 gene:ORUFI04G21020 transcript:ORUFI04G21020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEEEEEEEVFYEARDRVLSSSSCSSTSASDDDDHPPAAAAALDVWTSEPAPVQERRRRLLQMMGLSGDPSLARLEMGRSASYDGPIRPETVSPISRSRSDGSVPASATKPPLAARSRQTSSDSSEATPGGDDADPRCLIRNLDDGSEFVVKEESALREVGTGRQLTMEEFDLCVGRSPIVQELMRRQNVASSGSSNGASALIQRSSSDSSNGATRHRRRGSWLRSIRNVAGSMVVGSRDRRSSDEKDTSSEKGGRRSSSATDDSQESASAVRRGPERVKVRQYGKTCKELSGLFMNQDIQAHNGSIWSIKFSHDGRYLASAGEDCVIHVWEVSELERKREGNGVCNQLVAVVCNGSPEPILALASVDGSCWEKKHRARILETRKSASSDRLMFPEHVFALSEKPVKTFEGHSEDVLDLCWSKSQYLLSSSMDKTVKLWHMSRTSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPGREIVDWNDLHEMVTAACYTPDGQRALVGSHKGSCHIYDTSGISHPLMILISYECISCCTGIQVYRVMLGSHNRFSKYQQPNISLPVFEREGRSKAVVSVTNSYEHFHCQDVTVAVALPSAGSAMTSRTNSRKTEEQDSIPQQHTQPDKQQDSSDFQCLSGNGLSTSSNHSGDRTSATWPEELMTPSKQSPPSSTSLPNGAGQAPSRSAWGLVIVTAGRGGQIRAFQNFGFPVRV >ORUFI04G21020.4 pep chromosome:OR_W1943:4:22260352:22265615:1 gene:ORUFI04G21020 transcript:ORUFI04G21020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEEEEEEEVFYEARDRVLSSSSCSSTSASDDDDHPPAAAAALDVWTSEPAPVQERRRRLLQMMGLSGDPSLARLEMGRSASYDGPIRPETVSPISRSRSDGSVPASATKPPLAARSRQTSSDSSEATPGGDDADPRCLIRNLDDGSEFVVKEESALREVGTGRQLTMEEFDLCVGRSPIVQELMRRQNVASSGSSNGASALIQRSSSDSSNGATRHRRRGSWLRSIRNVAGSMVVGSRDRRSSDEKDTSSEKGGRRSSSATDDSQESASAVRRGPERVKVRQYGKTCKELSGLFMNQDIQAHNGSIWSIKFSHDGRYLASAGEDCVIHVWEVSELERKREGNGVCNQLVAVVCNGSPEPILALASVDGSCWEKKHRARILETRKSASSDRLMFPEHVFALSEKPVKTFEGHSEDVLDLCWSKSQVRIWSIPGREIVDWNDLHEMVTAACYTPDGQRALVGSHKGSCHIYDTSDNKLLQKKQIDLQNKKRKSNQKKITGFQCCTGIQVYRVMLGSHNRFSKYQQPNISLPVFEREGRSKAVVSVTNSYEHFHCQDVTVAVALPSAGSAMTSRTNSRKTEEQDSIPQQHTQPDKQQDSSDFQCLSGNGLSTSSNHSGDRTSATWPEELMTPSKQSPPSSTSLPNGAGQAPSRSAWGLVIVTAGRGGQIRAFQNFGFPVRV >ORUFI04G21020.5 pep chromosome:OR_W1943:4:22260352:22265615:1 gene:ORUFI04G21020 transcript:ORUFI04G21020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEEEEEEEVFYEARDRVLSSSSCSSTSASDDDDHPPAAAAALDVWTSEPAPVQERRRRLLQMMGLSGDPSLARLEMGRSASYDGPIRPETVSPISRSRSDGSVPASATKPPLAARSRQTSSDSSEATPGGDDADPRCLIRNLDDGSEFVVKEESALREVGTGRQLTMEEFDLCVGRSPIVQELMRRQNVASSGSSNGASALIQRSSSDSSNGATRHRRRGSWLRSIRNVAGSMVVGSRDRRSSDEKDTSSEKGGRRSSSATDDSQESASAVRRGPERVKVRQYGKTCKELSGLFMNQDIQAHNGSIWSIKFSHDGRYLASAGEDCVIHVWEVSELERKREGNGVCNQLVAVVCNGSPEPILALASVDGSCWEKKHRARILETRKSASSDRLMFPEHVFALSEKPVKTFEGHSEDVLDLCWSKSQYLLSSSMDKTVKLWHMSRTSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPGREIVDWNDLHEMVTAACYTPDGQRALVGSHKGSCHIYDTSGISHPLMILISYEFSKYQQPNISLPVFEREGRSKAVVSVTNSYEHFHCQDVTVAVALPSAGSAMTSRTNSRKTEEQDSIPQQHTQPDKQQDSSDFQCLSGNGLSTSSNHSGDRTSATWPEELMTPSKQSPPSSTSLPNGAGQAPSRSAWGLVIVTAGRGGQIRAFQNFGFPVRV >ORUFI04G21030.1 pep chromosome:OR_W1943:4:22268647:22278288:1 gene:ORUFI04G21030 transcript:ORUFI04G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMMIKSVVYYGSTSIGHVEVWPKGGTDLAVAAWAREIRVDHLSPPSERCPPLAVLHAVAAGGRCLVMESRPTATADEPPPPLVAMHAACLRDGKTAVFPLGAEEIHLVAMTSKRNLPNLACFWGYKVPSGLYNSCLSMLNLRCLGIVFDLDETLLVANTTRSFEDRIDALQRKLSNEIDPQHISGMSAEIKRYQEDKSILKQYIENDQVIDGGKVYKVQTEVIPPLPDNHQPMTRPIIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLTDRLVCVKSGSRKSLLNVFHDGSCHPEMALVIDDRLKVWDEKDQCRVHVVPAFSPYYSPQAEANFSVPVLCFARNVACNVRGGFFKEFDEVLLPRISEIHYEDEINDFPSAPDVGNYLITEDENAAILNVNKDPLAFDGMADAEVERRLKEVSCSVQAVNPIPTNADVMPVAPNQQLITSSVPEAPSLGMIPLNNDQGPQPPSSWPVAQSAPVDPSQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPAPPCPAGSPVQTSVLPVQSHGNWSHVEDEMNPRSLNRTSTGFHLESDDINYDKKQPHNPPYFPDEDNLITSDRYNRRIHRYPSQLPHSEDHHMLNRSSIAYRSFPGEDMGNRFGPSNHRSSKIEPGHQFVQNAETSAGVLEEIAVECGFKVEYQSTLCSTAELQFSIEVRILGEKVGEGIGKTRKAAKRQAVNMSLRNLAEKFLTSDPDKMMILKENGFSSNSNSFRYSGGSRDDTSPVASTSNESRYMGERVDTLRKPAGSVAALKELCTVEGYNLVFQEQPSRPRGSSGKEAYAQVEIGGQILGKGVGATWEQAKLQVVNR >ORUFI04G21040.1 pep chromosome:OR_W1943:4:22283385:22288027:1 gene:ORUFI04G21040 transcript:ORUFI04G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFFPNNMPGYADEGAPPPPAAAAAAAIPSTYSSSLHHLLSLPYPDLADRFLHAALHLKQKVVHETWDKRRRAAAAAGEAVGDFTLYTGALGTALLLFRAYLVTGDRADLATCAEIVAACDAASMGAEIATFICGRAGVCALGAVVAKHAGDEAGVAHYLSAFKEIKIHSKSPDELLYGRAGYLWACTFLNKHLGDNTIPPTTTDTVMRDIIRDGRTLSTIGCPLMYEWYGEKYWGAAHGLSGIMHVLLDMDLTKDDTECVKGTLRYMIQNRFPSGNYPVTEEDKHDRFVHWCHGAPGISLTLAKASQVFPEERFLEAIAEAAEVVWNRGLLKRVGICHGVSGNAYTFLALFRLTKKKEHLYRAKAFACFLLDRAKQLIADGIMHSGDEPYSLFEGQVGMAYLFLDMINPLDSRFPGYEL >ORUFI04G21050.1 pep chromosome:OR_W1943:4:22289384:22290721:1 gene:ORUFI04G21050 transcript:ORUFI04G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTPRKPLPLLLLSLSLPFLLLLLSLVFLLSHTTFSLLLCPLLPRPTSRPNATTMATTTVAAAPPTLDVSMDKSLRAFHASSPPPPTPTTTTTPTPTPPLPPPAPPASPAKSNKKASAKRNKSLLKLLLRETPRTRRFAARAGELFASPRPCTRRFFMTWLSPLARFGRRELLVVESLFRSHRDACLLIASDTMDSDGGGDRLGPFLDRGLRVAAASPDMAYLLNGTPAEAWLGAVQRGDVSPGSIPLGQNLSNLLRLALLYKYGGVYLDADVVVLRPFSDLRNAIGAQAVDASTGDWMRLNNAVMVFDRGHPLLREFIAEFAAKFDGSKWGHNGPYLVSRVAARWRRRRRPEAEADLTVLPPAAFYPVDWNKIGGLFVAPKDRKGERWVKAKVESIKGESFGIHLWNRESRSLEMEEGSVIGRLLSDSCLFCNSSMFAKYE >ORUFI04G21060.1 pep chromosome:OR_W1943:4:22293569:22295733:-1 gene:ORUFI04G21060 transcript:ORUFI04G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLATTSTPLSPVKMRPAAAAMATKSEYRRMEAEEEEELDKEEWARRAEAQRRRRRSGGRYVFTCALFASLNAILLGYGQQPSRAKHIDISHLSRAPIGLGASAIDDQLRI >ORUFI04G21060.2 pep chromosome:OR_W1943:4:22291446:22293493:-1 gene:ORUFI04G21060 transcript:ORUFI04G21060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEAANGRNKYAVLDPSDEPEGRRRPSAWERRSKERFVLACAIFASLNAILLGYDVGVMSGAIIYIQKDLHITEFQEEILVGCLSVVSLLGSLSGGRTSDAIGRKWTMALGAIVFQAGAAIMTFAPSFTVLMIGRLLAGVGIGFGAMVSAVYIAEISPAAARGTLTSLPEICINLGILLGYVSNYAFSGLSEHINWRIMLGVGILPSVFIGFALFVIPESPRWLMMEKRVPEARAVLLQISESEAEVEERIAEIEEAANLLKSTKSEDKAVWMELLNPSPAVRRMLYAGCGIQMFQQITGIDATVYYSPTIFRDAGIKSDQELLAATVAVGFTKTVFILVAIFLIDKVGRKPLLYVSTIGMTMCLFVLGIALTLQKHAMGLISPRIGIDLAVFAVCGNVAFFSIGMGPICWVLSSEIFPLRLRAQASALGQVGGRVSSGLVSMSFLSMARIISVAGMFFVFAVISTVSVAFVYFCVPETKGKTLEQIEMMFEGGKEWRGSEIELEDTQHLIQSSKKSVSLG >ORUFI04G21060.3 pep chromosome:OR_W1943:4:22291446:22295733:-1 gene:ORUFI04G21060 transcript:ORUFI04G21060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLATTSTPLSPVKMRPAAAAMATKSEYRRMEAEEEEELDKEEWARRAEAQRRRRRSGGRYVFTCALFASLNAILLGYDVGVMSGAIIYIQKDLHITEFQEEILVGCLSVVSLLGSLSGGRTSDAIGRKWTMALGAIVFQAGAAIMTFAPSFTVLMIGRLLAGVGIGFGAMVSAVYIAEISPAAARGTLTSLPEICINLGILLGYVSNYAFSGLSEHINWRIMLGVGILPSVFIGFALFVIPESPRWLMMEKRVPEARAVLLQISESEAEVEERIAEIEEAANLLKSTKSEDKAVWMELLNPSPAVRRMLYAGCGIQMFQQITGIDATVYYSPTIFRDAGIKSDQELLAATVAVGFTKTVFILVAIFLIDKVGRKPLLYVSTIGMTMCLFVLGIALTLQKHAMGLISPRIGIDLAVFAVCGNVAFFSIGMGPICWVLSSEIFPLRLRAQASALGQVGGRVSSGLVSMSFLSMARIISVAGMFFVFAVISTVSVAFVYFCVPETKGKTLEQIEMMFEGGKEWRGSEIELEDTQHLIQSSKKSVSLG >ORUFI04G21070.1 pep chromosome:OR_W1943:4:22296396:22299582:-1 gene:ORUFI04G21070 transcript:ORUFI04G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETHEKDKQFEKEGEEGEEEEYVLLELDDCLYSDVQPNASYVLSGLDTLTPTLILGDGLKMIGEYQETIGTCYLFSETNAPPKPIHGEMAPPEENKDKQASCSKEVPSKEVKHLASVQKILKFRSINADHEQRRAYRDNEREI >ORUFI04G21080.1 pep chromosome:OR_W1943:4:22302211:22307168:1 gene:ORUFI04G21080 transcript:ORUFI04G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSRSFSLCVLLLLLLLAPPISASFLFDGGKSKSAAAAAAVDMEWRPATATWYGDAEGDGSTGGACGYGSLVDVVPMKARVGSVSPVLFKDGEGCGACYKVKCLDHGICSRRAVTVIVTDECPGGLCAFGRTHFDLSGAAFSRMAVAGAGGHLRDRGQLSVVYRRTACKYGGKNIAFRVNEGSTNFWLSLLVEFEDGQGDIGSMQIKQANSVEWLDMKHVWGATWCLVRGPLVGPFSVRLTTLSAQKALTARDVIPRNWKPTATYTSRLNFEAAL >ORUFI04G21090.1 pep chromosome:OR_W1943:4:22309260:22313380:-1 gene:ORUFI04G21090 transcript:ORUFI04G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQNGSAAGEGEAAAAVAARSRSKSIEADEEERSKGRRDRDRDRRGKSKRREEEEEEEEEEGDAGSESSGEDSGERRKRRRKEKERRHRRRRSRSASSGSSSESESESESSYSDCSAGSESETDSEEERRRRRRRRRRKEREEEERRRRRKDKERRKRKEKERERERKKKEKKKRRKEEKKNLGKKAAVTNSWGKYGIIREVDMWNKRPEFTAWLSEVKQVNLEALSNWEEKQMFKEYYDLDAYHRRVMEKEKKKGLKNALGTERTVFNDEEQRRLELLRERERQKEEEVAALKRSMQTGMAQAMKEQARLREEMMYQYRLGNFEAAAAIQKRLDPDAPLQ >ORUFI04G21100.1 pep chromosome:OR_W1943:4:22312407:22316922:1 gene:ORUFI04G21100 transcript:ORUFI04G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDRTEDFKESVRVAALGHGYTESQLAVLMSSFIIRKPAPKLPFTKAAIKTLESIRELEKFIVKHRKDYVDLHRTTEQERDNIEHEVTLGHQHYSAIMLKHILCNMVDEFIENLILSVFVKACKEQIDILKNRIHEEKGGSTKTWLGTSDESSRLDLIAHQHGVVLILSERLHSVTVQFDRLRTMRFQDAINRAMPRKRIQKKRETKAAEPSKPNLVLKSDVSKVEDQEVSTAPLRVQEQLLDDETRALQVELTNLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQAVESYKQCGAWEQGAIPGDPAQQQQ >ORUFI04G21110.1 pep chromosome:OR_W1943:4:22318613:22320929:-1 gene:ORUFI04G21110 transcript:ORUFI04G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPSFSPQRYGIRDLPIVANPPRLPIAATPAPRRLPVSCSSLGSGRLGPTAAFAPARDWCCVCSQGSS >ORUFI04G21120.1 pep chromosome:OR_W1943:4:22325798:22327876:-1 gene:ORUFI04G21120 transcript:ORUFI04G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAASTAVCSSPLASASASASSARRLRAVPPSRGIRYQALRADSGFAGNRRGGGRGASVVCAVQGQDTSIQVPEVTKSTWQSLVMESELPVLVGYWATWCGPCKMIDPVVGKLSKEYEGKLKCYKLNTDENPDIASQYGVRSIPTMMIFKNGEKKDAVIGAVPESTLIASIEKFVER >ORUFI04G21130.1 pep chromosome:OR_W1943:4:22328428:22331892:-1 gene:ORUFI04G21130 transcript:ORUFI04G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVPLLLLLVVASAGAGDGAAPASNARPCASPAASAYPFCNATLPFPARARALVSLLTLDEKIAQLSNTAAGAPRLGVPPFEWWSESLHGVCDNGPGVNFSSGPVRSATIFPQVILSAAAFNRSLWRAAARAIAVEARAMHNAGQAGLTFWAPNINVFRDPRWGRGQETPGEDPAVVSAYSVEYVKGFQRDYGEEGRMMLSACCKHYIAYDLEKWRGFTRYTFNAKVNGVPACARKDILQRARDEWGFQGYITSDCDAVAIIHENQTYTASDEDSIAVVLKAGMDINCGSFLIRHTKSAIEKGKVQEEDINHALFNLFSVQLRLGFFDKTNENQWFTQLGPNNVCTTEHRELAAEAVRQGTVLLKNDNGFLPLKRSEVGHIALIGPAANDPYILGGDYTGVPCHSTTFVKGMQAYVPKTTFAAGCKDVPCNSTDGFGEAIEAAKRADVVVLIAGLNLTEETEDHDRVSLLLPGRQMDLIHTVASVTKKPVVLVLMGGGPVDVSFAKHDPRIASILWIGYPGEVGGNVLPEILFGKYNPGGKLPITWYPESFTAVPMDDMNMRADASRGYPGRTYRFYTGDVVYGFGYGLSYSKYSYSILQAPKKISLSRSSVPDLISRKPAYTRRDGVDYVQVEDIASCEALQFPVHISVSNDGAMDGSHAVLLFASSKPSFPGSPIKQLVGFERVHTAAGRSTDVEITVDPCKLMSFANTEGTRVLFLGTHVLMVGDEEHELLIEA >ORUFI04G21140.1 pep chromosome:OR_W1943:4:22338230:22339255:1 gene:ORUFI04G21140 transcript:ORUFI04G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLAVALLAAAVLAAEAAAELPEFREAPAFRNGAGCAGAPTIHIAMTLDTTYLRGSLAGVLSVLRHAACPESIAFHFVASSASPARRLAALRRALAAAFPTLPATVHRFDARLVRGKISTSVRRALDQPLNYARIYLADLLPRSVSRVLYLDSDLLVVDDVARLWATDLGPDAALAAPEYCHANFTSYFTDAFWSHPEYSSIFTNRGRAPCYFNTGVMVIDLDRWRAGGYTVKLEYWMEVQKQEARIYELGSLPPFLLVFAGEVKAVEHRWNQHGLGGDNVAGQCRELHPGPVSLLHWSGKGKPWLRLDAGRPCPLDALWAPYDLLRRRGARDDLLAAVA >ORUFI04G21150.1 pep chromosome:OR_W1943:4:22344083:22346540:1 gene:ORUFI04G21150 transcript:ORUFI04G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGTLEVLLVGAKGLENTDYLCNMDPYAVLKCRSQEQKSSVASGKGSDPEWNETFMFSVTHNATELIIKLMDSDSGTDDDFVGEATISLEAIYTEGSIPPTVYNVVKEEEYRGEIKVGLTFTPEDDRDRGLSEEDIGGWKQSS >ORUFI04G21160.1 pep chromosome:OR_W1943:4:22348492:22348788:-1 gene:ORUFI04G21160 transcript:ORUFI04G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTGAALLLAALLLLLASELATLGCGHRMTRADVAAWKRHALVAPTKKTTTMAASRAATTTTTFPIPTVAGTGDTAAALGDGESKRLVPQGSNPLHN >ORUFI04G21170.1 pep chromosome:OR_W1943:4:22350789:22357205:1 gene:ORUFI04G21170 transcript:ORUFI04G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRDKLVLAPMVRVGTLPFRLLAAEYGADITYGEEIIDHKFLKCERVTNESLGTTDFLERGTDTVVFRTCPQERDRVVFQMGTSDAVRALKAAQLVCNDVAAIDINMGCPKSFSLSGGMGAALLSKPELIHDILTTLRRNLDTTVTCKIRLLNTRQDTVELARRIEKIGVPALAVHGRKVKDRPRDPAMWDEIADVVSALSIPVIANGDVFEYEDFKRIKDATGAASVMVARGAMWNASIFCPKGKTPWEDVKREYVRKSILWDNDLKSTKQTIKEMIMHYSCLEFPEGKGVNKCDTIADLAKLYGEEEYYNFVLSNRN >ORUFI04G21170.2 pep chromosome:OR_W1943:4:22350789:22356600:1 gene:ORUFI04G21170 transcript:ORUFI04G21170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRDKLVLAPMVRVGTLPFRLLAAEYGADITYGEEIIDHKFLKCERVTNESLGTTDFLERGTDTVVFRTCPQERDRVVFQMGTSDAVRALKAAQLVCNDVAAIDINMGCPKSFSLSGGMGAALLSKPELIHDILTTLRRNLDTTVTCKIRLLNTRQDTVELARRIEKIGVPALAVHGRKVKDRPRDPAMWDEIADVVSALSIPVIANGDVFEYEDFKRIKDATGAASVMVARGAMWNASIFCPKGKTPWEDVKREYVRKSILWDNDLKSTKQTIKEMIMHYSCLEFPEGKGVNKCDTIADLAKLYGEEEYYNFVLSNRN >ORUFI04G21180.1 pep chromosome:OR_W1943:4:22358525:22360525:-1 gene:ORUFI04G21180 transcript:ORUFI04G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFVLLLFLGLGCLRPAAAADEQFVFNGFTGANLSFDGMATVTPNGLLMLTNGTNQLKGHAFFPAPLQFHRAPNSTAMQSFSTAFVIGIIGAFEDLSSHGMAFIIAKSKNLTSALPGQFMGLVNSANNGNATNHLFAVEFDTILNSEFNDMSGNHVGIDVNGLNSVDADNAGYYDDGTGDFKNMSLVSRRPMQVWVDFDGQTMQVNVTMAPLEVARPKKPLLSKIVNISSVIDDTAYVGFSSATGILFCRHYVLGWSFKMNGAAPALNISSLPSLPVTFPKPRSKTLEIVLPIASAVLVFAVAAAVFVFMRRRRMFSELKEEWEVTFGPHRFSYKDLFHATDGFSDKRLLGIGGFGRVYRGVLPSSKAEVAVKKVAHGSRQGMREFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKQLYDQGKITLSWAQRFRIIRGVASGLLYLHEDWEQVVVHRDIKASNVLLDADMNGRLGDFGLARLYDHGTDPHTTHVVGTMGYLAPELGHTGKASKASDVFAFGAFMLEVACGRKPVAQDARDNRVVLVDWVLDRWRAGAITDTVDPRLHGDFVESEASLVLRLGLLCSHPLPGARPGTRQLVQYLEGDVPLPELSPTYQSFNMLALMQDQGFDPYVMSYPMTSTSAGTFSDLSGGR >ORUFI04G21190.1 pep chromosome:OR_W1943:4:22362063:22364106:1 gene:ORUFI04G21190 transcript:ORUFI04G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHRLLLLLLLLLAVVGSDHGGVLAADEFTYNGFGGANLTLDGMAAVAPNGLLVLSNGTNQMAGHAFHPTPIRLRGGAAGGAVQSFSAAFVFAIVSNFTVLSDNGMAFVVAPSTRLSTFNAGQYLGILNVTDNGNADNNIFAVELDTMLNPEFQDMNSNHIGVDINSMKSVQNHSAGYYDEATGAFNNLSLISRQPMQVWVDYDAAAAVGAQRDDGAARRSQAHFSRNGAAPSLHTSSLPALPRFGPKPRSKVLEIVLPIATAAFVLALVIAAFLFVRRRVRYAEVREDWEVEFGPHRFSYKELYQATKGFKNKQLLGTGGFGRVYKGVLAKSNLEIAVKRVSHDSKQGMKEFIAEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLYDKTKPVLDWGQRFQIIKGVASGLLYLHEDWEQVVIHRDIKASNVLLDGEMNGRLGDFGLARLYDHGVDPQTTHVVGTMGYLAPELVRTGKATPVTDVFAFGVFVLEVTCGRRPLGCIAPDDQNVLLDWVQEHERRHAALDTVDARLCGKYDADEARLALKLGLMCAHPLPDARPTMRQVTQYLDGDAPMPEVAPTMVSYTMLALMQNDGFDSFAMSFPSTVTSTASPMSADVSAVSGLSGGR >ORUFI04G21200.1 pep chromosome:OR_W1943:4:22366852:22375264:1 gene:ORUFI04G21200 transcript:ORUFI04G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLLGRGVHIPRPAAANRGGTTTMEAAAISNPPSKRIALVTGGNKGVGLETCRQLASRGLRVVLTARNEARGLEAVDGIRRSGAADSDVVFHQLDVTDAASVARLADFVRDQFGRLDILINNAGISGVDRDPVLVAKVKDQIEGMDVDQRVEWMRENSKETYDEAKSCITTNYYGAKLVTEALLPLLLLSSSGRIVNVSSGFGLLRNFNSEDLRKEFDDIDSLTEKRLEELLDLFLDDFKVNLVEAHGWPTGGSSAYKVAKAALNAYTRILAKKYPTLRINCLTPGYVKTDISMHMGVLTPEEGASNSVKVALLPDDGPTEQKPWHYFISNSSSRDAMKQINVCASMPIASDGKRSHAISTSHFQWVAVVTGGNKGIGLEVCRQLAADGITVVLTARDETRGVEAAEKLRGMGLSCVIFHHLEVTDSSSVSRLADFLTTRFGKLEILVNNAAVSGMEHAQRVDTNEEQWLVNNEDLRKELDDVDNLTEERLDEVLDSFLKDFEAGALEAHGWPTAPFVAYKMAKVAMNAYTRILARRHPELRVNCVHPGYVKTDLTINSGFLTPEEGGRNVVTVALLPDGGPTGAMSPFLPSHYKFRMLPSPRLE >ORUFI04G21210.1 pep chromosome:OR_W1943:4:22378330:22384913:-1 gene:ORUFI04G21210 transcript:ORUFI04G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETIFSSTHTRIAVVTGGNKGIGLEVCRQLAGNGATVVLTARDEAKGAAAVEKLHGLGLSSVIFHQLDVNNAAVGGIVPVDDPSFGLLPTEEKFSGMDGHQRIEWMWKNCRQTYDAAKAGLKTNYYGTKNVTEALLPLLQSSSDGRIVNVASSFGLLRFFTNEELKRELNDADSLSEERLDELLGMFVRDFEAGAVAERGWPTEFSAYKVAKAAMSAYARILARKRPALRVNCVDPGYVKTDLTRNSGLLTPEEGASRVVAVALLPAGGPTGALFDGGKEASFVVAVVTGGNKGIGLEVCRQLAADSITVVLTARDETRGVEAAEKLSGMGLSSVVFHQLEVTDSSSVARLADFLKTRFGKLDILASSPFPCSIDTTTTSSLPLVCFEPDQ >ORUFI04G21210.2 pep chromosome:OR_W1943:4:22378330:22384913:-1 gene:ORUFI04G21210 transcript:ORUFI04G21210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETIFSSTHTSLVADARIAVVTGGNKGIGLEVCRQLAGNGATVVLTARDEAKGAAAVEKLHGLGLSSVIFHQLDVTDASSIARLAEFLESRFGRLDILASYLVFVNNAAVGGIVPVDDPSFGLLPTEEKFSGMDGHQRIEWMWKNCRQTYDAAKAGLKTNYYGTKNVTEALLPLLQSSSDGRIVNVASSFGLLRFFTNEELKRELNDADSLSEERLDELLGMFVRDFEAGAVAERGWPTEFSAYKVAKAAMSAYARILARKRPALRVNCVDPGYVKTDLTRNSGLLTPEEGASRVVAVALLPAGGPTGALFDGGKEASFVVAVVTGGNKGIGLEVCRQLAADSITVVLTARDETRGVEAAEKLSGMGLSSVVFHQLEVTDSSSVARLADFLKTRFGKLDILASSPFPCSIDTTTTSSLPLVCFEPDQ >ORUFI04G21210.3 pep chromosome:OR_W1943:4:22376076:22378326:-1 gene:ORUFI04G21210 transcript:ORUFI04G21210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLQVNNAAVGGMEYVQGVDTNKEQFVSMDKKQRLAWLNKQGRETYDAAKNGVQTNYYGTKIVIQALLPLLLQSSGEGRIVNVSSDFGLLSTGGEMVVNNEDLRKELDDVDNLTEERLDEVLDSFLKDFEAGALEAHGWPTAFAAYKTAKVAMNAYTRILARRHPELRVNCAHPGYVKTDMTIDSGFLTPEEGGRNVVTVALLPDGGPTGAFFAEGKEASLERHRRQSDN >ORUFI04G21220.1 pep chromosome:OR_W1943:4:22378060:22384119:1 gene:ORUFI04G21220 transcript:ORUFI04G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAKTSLQEISQPSNARTVRDLQLMEDDTGEAHPTELLGGLHAPRLVPGRQNHRDAVGSQLPAHLQSDPFVAAGDHSNPGSDTAEQNHKKATLSLLFAKIKAEARWAMAGAKHMRDLLLLHQTKRWIVNGHNPTNGGIIHLQIRASSNR >ORUFI04G21230.1 pep chromosome:OR_W1943:4:22387093:22387503:-1 gene:ORUFI04G21230 transcript:ORUFI04G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTGSSWLPSSAARGGDKGEGGKLVVAVIDSGPEPPLRRLANLTAGASFSGPTLSHRFEEAAATTGSSLFPFLFATTVGRRCTGRSPQQPTRSGWQWKGRAQAELAGVKEVMGATQAELARAAEMGKLRASTVAT >ORUFI04G21240.1 pep chromosome:OR_W1943:4:22388592:22390226:1 gene:ORUFI04G21240 transcript:ORUFI04G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTSSLPSQSARVAVVTGGNKGIGLEVCRQLAADGITVVLTARDETRGVEAAERLRGMGLSSVVFHQLEVTDSSSVNNAAVGGMEYAQGVDNNEEQFVGMDVLQRLQWMRKQGRETYDTAKNGVQTNYYGAKHVIQGLLPLLLSSSEGKIVNVSSALGLLRFLGNEDLRKELDDIDNLTEERLDEVLASFLKDFEAGELEAHGWPMGSAAYKVAKVAMNAYTRISARKHPALRINCAHPGYVKTDLTINSGFLTPEEGARNVVTVALLPDGGPTGAFFDEGKEASFV >ORUFI04G21240.2 pep chromosome:OR_W1943:4:22388592:22390226:1 gene:ORUFI04G21240 transcript:ORUFI04G21240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTSSLPSQSARVAVVTGGNKGIGLEVCRQLAADGITVVLTARDETRGVEAAERLRGMGLSSVVFHQLEVTDSSSVARLADFLKTRFGKLDILASSPSPCSIDTGIQQLLLAYRYSASDLTSDREEMCSVLQVNNAAVGGMEYAQGVDNNEEQFVGMDVLQRLQWMRKQGRETYDTAKNGVQTNYYGAKHVIQGLLPLLLSSSEGKIVNVSSALGLLRFLGNEDLRKELDDIDNLTEERLDEVLASFLKDFEAGELEAHGWPMGSAAYKVAKVAMNAYTRISARKHPALRINCAHPGYVKTDLTINSGFLTPEEGARNVVTVALLPDGGPTGAFFDEGKEASFV >ORUFI04G21240.3 pep chromosome:OR_W1943:4:22390076:22395032:1 gene:ORUFI04G21240 transcript:ORUFI04G21240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRPKKLKNMLTDRDNMVSEQSIRGVSCVEMKWESAGGQRNSQQLGGGVFGGDAHHLFDEMSSCPRADSAAVLRVTVSQIIYPVTSEVLHQVYDTYGAVAVQVLAVSTWQVKALVSFMSSHDAERARSATHGRDIYDGGCLLDVQHVQMFPGDGATATHTTCSTMVPSSATARPVAKSTAAAPERVFPATTASSVPSITSAAIVTSVPFNETKKAETDMDKAVENLDKTIQDLCTKIDRMLEAFRDTKVSTEAGSTNLVDIAKLGMGTTIECSMKCENQLADDDDGKDMAKEEWMELMEVDTMFTAMYLCFRDPFLIINAIPPRNWSWCLSRDYFGVVGLSFVSSKLEVLYGCFDRSSEYTASPPPVPPWRATIPWNKAEMTSGSRPLPWPDPQLCQGSGGVVVKLLQLWPPPIQTNIKAEIETLNLYGESHQVSLNYSVAQFMSRTINSTEGLLQNLMVGWCIWHEICLSGAFRKAYQHTHHSTYGWAYGDHELFLLLMLISQLSPDAWCDCLFSCDNADGICTCKSPERSTNCHARCCSVPTPFQVQSKNNGVIGDTSWTQFRSNNGDAVLLVPQPWPQPILFPVHIMVELEVSLKGIIVSTKMLVNLQSLAPPSLWRNEVFNLCATGGQGLNFSWKCIYEELQQLQCTFEFTLWNQHGQTYKLLLQKEQLKLGAVYLSLEVKSSETCFTFRTYEEDMFLQITNFVGHNYEGSNTCSTHQFSHGWPEYLVRAKFVQFQRGKILQEQVQLALYTTSLVRVTWDPGGCVVSAWGQAEIHGGRNVMGLGLSNYQWLHSVHPGYCMADINYHAGELTAEEGASSIVMVAISPAGGPTGLFFYHNRRVTLQVK >ORUFI04G21250.1 pep chromosome:OR_W1943:4:22395465:22396680:-1 gene:ORUFI04G21250 transcript:ORUFI04G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCTACDKTVHFIDLLTADGVPYHKTCFKCSHCKGILSMCSYSSMDGVLYCKTHFEQLFKETGSFSKKFAPGCRSTDKELARAPSKICSAFSGTQDKCAACQKTVYPLEKLTLEGESYHKSCFKCSHGGCILTTSSYAALNGVLYCKIHFGQLFMEKGSYNHMKKKSESQEVLPEVVPEEQPAPPPPDENREDN >ORUFI04G21260.1 pep chromosome:OR_W1943:4:22409732:22411146:1 gene:ORUFI04G21260 transcript:ORUFI04G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRNSTASTSSIDFSLQGCQNVSTPFHLLGVNVHKTMAPNSKGHNMCQYAAATGDTNVCLEQRWQHAKAPMRSNSSPSDE >ORUFI04G21270.1 pep chromosome:OR_W1943:4:22411320:22414637:1 gene:ORUFI04G21270 transcript:ORUFI04G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACQKPKVHYRKGLWSPEEDQKLRDFILRYGHGCWSAVPVKAGLQRNGKSCRLRWINYLRPGLKHGMFSREEEETVMNLHATMGNKWSQIARHLPGRTDNEVKNYWNSYLKKRVEGAEAAARKSAEPADVVTGSPNRSETGQERVAADRPASSESSGPVESSSADDLSSLTEPAAGLAAVRPHAPVIPKVMFADWFDMDYGTSLAGTAPGLSYQGSSSVQVDGPCGGAVDSLHGLGDGGFCWDFDDAADHMQGGGGLCDLLSMSEFLGIN >ORUFI04G21280.1 pep chromosome:OR_W1943:4:22415882:22417290:-1 gene:ORUFI04G21280 transcript:ORUFI04G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATWCGTDEDGVGVEPRRGPGSMTIDKFEGPSVYFFRKIIGLMGTARPWTVPAWLFWPGHVTLAQRASTAQHGLRSGHGTTSTSEQKAETTVHKSQ >ORUFI04G21290.1 pep chromosome:OR_W1943:4:22417714:22424367:1 gene:ORUFI04G21290 transcript:ORUFI04G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRARYPPGIGNGRGGNPNYYGRGPPPSQHQQHQHQHQQPPHPHHHQYVQRQPQPQQTPHNSQHQQWLRRNQIAAEAAGASEQKAPPVADGIDSSSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIVRLYQPVHLLVGTPGRILDLTKKGVCVLKNCSMLVMDEADKLLSPEFQPSIQELIRYLPSNRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKSAETYLHRGLSYRARYPASLGCHFMISHGVS >ORUFI04G21290.2 pep chromosome:OR_W1943:4:22417714:22424367:1 gene:ORUFI04G21290 transcript:ORUFI04G21290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRARYPPGIGNGRGGNPNYYGRGPPPSQHQQHQHQHQQPPHPHHHQYVQRQPQPQQTPHNSQHQQWLRRNQIAAEAAGASEQKAPPVADGIDSSSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIVRLYQPVHLLVGTPGRILDLTKKGVCVLKNCSMLVMDEADKLLSPEFQPSIQELIRYLPSNRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKSAETYLHRDFLTLVPSSVCVVASLHTDGLFHLSDAVTVCR >ORUFI04G21300.1 pep chromosome:OR_W1943:4:22429274:22429690:-1 gene:ORUFI04G21300 transcript:ORUFI04G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKRWFERKSDEGKGGYLVKLIEAGVSWASKGTLGMKRCLQHRGDAMSYTNSLGADFNARRRKTGDLRGDGTCGHATVETMEQTPVQGSRILGKHELDLKLVCTVLM >ORUFI04G21310.1 pep chromosome:OR_W1943:4:22429817:22433101:1 gene:ORUFI04G21310 transcript:ORUFI04G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLSVSPTEVNLEEEGRWRLTPAMSLSSSLVEVEFGEERKMGEALMKSAMIIVVGRQSPSQNALYHPSSRRQLHMCNNRQPVVPYAFKSLAPVRRTCKKAPRPLLNASLLPDSPVDHLLLLPPFRRSTALPLAAFPRSLFAAGENPVHLWPPWILLLLPPLLVGLYKSRPVSPNRSFVRVANATPQVRDNSEGNE >ORUFI04G21320.1 pep chromosome:OR_W1943:4:22433128:22434526:1 gene:ORUFI04G21320 transcript:ORUFI04G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDSKVLKKGPWTPDEDKLLVDYVQANGSGNWRLLPKLAGEARVRDRWVRAGLNRCGKSCRLRWTNYLRPDIKRGPFTPEEHKSILQLHAIVGNKWSMIAAQLPGRTDNEIKNYWNTNVKKQLRQGQAAAVGEQAALASLGGGAASCPAARHMAQWETARLEAEARLSLLSGTTSVATASVAASSSSSSTAAAGGAEAPPDIYLRLWNSEVGDSFRKSARSAAREDQEPANASDEAAPVSATFARPGDDSSAASNVTAAAAADEYQVFLDLAAEDFELFHGRHGGFPLFPAVDMLGETSLYTAFD >ORUFI04G21330.1 pep chromosome:OR_W1943:4:22438131:22441216:-1 gene:ORUFI04G21330 transcript:ORUFI04G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKKVEVEVTEAPPAAAAAAETEPAAKDVAEEKAVIPAPAPPAEEEKPPVDDSKALAIVEKVADEPPAEKPAQGGSNDRDVALARVETEKRNSLIKAWEENEKTKAENKASKKLSAILSWENTKKANIEAQLKKIEEQLEKKKAEYAEKMKNKVAIVHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGHAPKKLIGCFGA >ORUFI04G21340.1 pep chromosome:OR_W1943:4:22442293:22444772:-1 gene:ORUFI04G21340 transcript:ORUFI04G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLINREENLQKVPEQVGPSVKLQMKWMELVACRHPSLSSSISRKAAMHPTRRGTRDDVGRRFLKTALHKLLGLSVQGFSSRVYEDPQLNAATPGICTRTDRGLARSSSYRPNPVRSPVRKALARYTCRPLHPARCPFGPGPGGSSRWTGRMRYQCGRQRDERATCSVPVTYTSIARRLW >ORUFI04G21350.1 pep chromosome:OR_W1943:4:22447068:22449597:-1 gene:ORUFI04G21350 transcript:ORUFI04G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLGVKAAPFTYVAHALAVAAAVMVLVWCISFRGGLAFEADNKNLIFNVHPVLMLIGYIILGSEAIMIYKIFPKLNHDTTKLIHLILHAIAIVLGAVGIYCAFKFHNESGIANLYSLHSWLGIGTISLYGIQWIFGFVAFFYPGAAPHVRRGALPWHVLFGLFVYVLTLATAELGLLEKLTFLQSSGLDKYGAEAFLVNFTGLVVALFGAAVVVAAVAPAHVEEPEGYAPIPDLGGYGDKHNFAIAAW >ORUFI04G21360.1 pep chromosome:OR_W1943:4:22451342:22452078:1 gene:ORUFI04G21360 transcript:ORUFI04G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAHVLVFPAPAQGRLGSSAGGGAPQPPRLRFLSMLDGLPDVDQLLIDGLLRKARAFLLNMTISMEHQALTRLARHMHDLFAIGPLHRRSWRCGPLLGPLLAAAVEGRNCQEREAYGGHDDDDDDDEDMGGTPRGRMDQWRESEMAAVQRMDEAVQLGQPRPCPRRPQATTHLPAPRRRGGEEARWSGGR >ORUFI04G21370.1 pep chromosome:OR_W1943:4:22452846:22455967:1 gene:ORUFI04G21370 transcript:ORUFI04G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCLQVAWIAGTKAITEQSNFMFSPLGLRAGLALLATGTDGETLRQLLAFLGSQHIHQLNAASAGLLAEMRAWPQLSFAAGIFVDRSLRLRPEFQSTAAAAHGAFPRSVDFQNQANAAAAEVNRFISQATNGRLNNTISPGTFGSSTKCVLANAMHFKATWGRKFESYDTQRRRFHRQDGTRVTVPFLSDPRTHYAARFDGLGFKVLQLFYKMVGHDGQVHFGAPCFCMLVFLPIKRDGLRHLLRMAVTEPDFVMRQEVSPCMVPKFKFSSELDARGALAKLGLGAPFDPLAADLSRMAVSVNTPPERLYVSAMRQKCAVEVDEEGTTAVEATYSCCSPTYSRPESPKPRPMSFVAEHPFMFAIVEYEKAQVLFLGHNRLPLASPLRSAPVFSLLCNCSTY >ORUFI04G21380.1 pep chromosome:OR_W1943:4:22455983:22457307:1 gene:ORUFI04G21380 transcript:ORUFI04G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCLQVAWIAGSDAITEQSNFIFSPMCLRAGLALLATGADGETLRQMLAFLGSEHIHQLNATSAGLLAEMQAWPQLVFAAGIFVDRSFRLRPEFKSTAAAAHGGIHAICGLPEPDHEGALNQRHPPWHLEQRHDVRPCERHALQGEVGSDVRVVEHHAGNVPPPRRHDGAGAVPVGPRDALRRQGAKFEFHGLEFKVLQLFYKMVGRDGQVDFGFGAPCFCMLVFLPIKRDGLRHLLRMAVTEPDFVMRCVPRSRQIVTPCKVPKFKFSSQLDAGGALAQLGLGAPFDPDAADLSRMAVNTPPAGLYVSAMRQKCAVEVDEEGTTAVEAMYSPSSPGYSPGYQPPRPPPMSFVAEHPFMFAIVEYKKAQVLFLGHVMDPSKEDQ >ORUFI04G21390.1 pep chromosome:OR_W1943:4:22456450:22457400:-1 gene:ORUFI04G21390 transcript:ORUFI04G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFKCIPGSDRNGTRTVVSSRRWNIACVVFHDSNVRAHFALKCMAFARTHIVSLFKVPGGMTLVKRPFVVCEMKPFTSAATASA >ORUFI04G21400.1 pep chromosome:OR_W1943:4:22458113:22458685:-1 gene:ORUFI04G21400 transcript:ORUFI04G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQKVILKVSSMSDTKMKQKAMETVADIYGIDSIAADHKDQKMTVIGEVDTVKIAKKLKKFGKVDIISVGPAKEEKKDDKKGDKK >ORUFI04G21410.1 pep chromosome:OR_W1943:4:22463781:22466814:1 gene:ORUFI04G21410 transcript:ORUFI04G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAKVGRLTRSSLQAASCPAVNGGVVVFFAAVVAGALVSASWMSTGARVTSIPMIATRNMAQHAAASPEPEPTLPRLGNLAPPPRQPPPPAPAPAPDAAAAASPSSSCPAYFRWIHEDLRPWRDAGITREAVDGAARRYGAKFRVTVVAGRLHVARYGRCFQTRDVFTQWGVLQLLRRYQGRVPDLDLMFDCQDLPVVNAGDRRGRTSSSPPPLFGYCGSEPTLDIAFPDWSFWGWPELNIKPWETLQGEIADGNAAVNWTGRAPYAYWKGNPTVGADRRNLLRCNASGKRDWNARIYEQDWRKEVRDGFRESDLAKQCTHRYKIYIEGRGWSVSEKYILACDAVALIVRPRYHDFFSRGLMPLQHYWPIPGGGRGMCRSIKFAVDWGNAHADKAQEIAGNATRFIQEDLTMDRVYDYMFHLLTEYAKLLKYKPTVPDRTVEVTVESMTRGRRGLERQFMVDTMVEAGSGTGEPCELPPPFSSEELETLRRRQADAVRQVETWEKR >ORUFI04G21420.1 pep chromosome:OR_W1943:4:22470476:22475924:1 gene:ORUFI04G21420 transcript:ORUFI04G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADFRRPTPKFVAALSRTSSAFLFFSVVVVGLVSSARWITRFTLQASPPATAAIPAAVAATTRAGVPAAPPRPTYSISCSTPPRPPLNLSGGGGARTPQTSQTLALALSSSSSSCRSSPDPATASASVSASVSASNSSSCPSYFRFIHEDLRPWRDAGGITRAMLARARVTASFRLLVLGGRAFVHRFRPAFQTRDLFTIWGVLQLLRRYPGRVPDLDLMFDCADWPVVRTHLYRGKHAAFMPPLFSYCGDDRTLDIVFPDWSFWGWPEINIKPWDALRQDLKDGNNRVKWLDRVPYAYWKGNPAVAVTRQELVNCNVSTTKDWNARIYKQDWFRESKAGYKDSNLGSQCTHRYKIYIEGSAWSVSQKYILACDSMTLLVTPRYYDFFSRSLMPIQHYWPVHNDNKCDSIKYAVDWGNSHKQLAQRIGKQASDFIEEDVNMDRVYDYMLHLLTEYAKLLRFRPIKPPEAVEICPDSLACQAEGLEKKFLMESMVKSARDAGPCDLPPPFNPQELAMIKRRKENSIKQIQTGFRGAILDCFVAIGAR >ORUFI04G21430.1 pep chromosome:OR_W1943:4:22477545:22483409:1 gene:ORUFI04G21430 transcript:ORUFI04G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLGVSLLVAGLGCALLVAPSESAADDVSALLAFKKAIFEDPLAKLSDWNSKDENPCGWTGVGCSPFDSRVVTLELANSSLKGFLALEIESLSSLQKLILDHNTLMGPIPKGIGKLRNLIMLNLSTNQLDGPIPIEIGDMPKISKIDLRANRLDGAIPPEIGNLTSLTELQLSNNSLTGTIPGSNDSSMVSTNRDGQIGLCRLTQLTDMDLSYNYLAGDVPTCFMQIRRLSLVGNCFENNDTTNRPDNQCENSQKGNESSRVDGNQQKSFQQPLWLLILEVITAISLLTVLTLCTIAGLRRCKARSSRNSGTWTRAISWKENTVISIDDDLLANVPKISRQELAEACEDFSNIIGSTHDTVVYKGTMKDGSEIAVVSLSASVVEMARLSHENVAKMVGYSKESDPFSRMLVFQYPPNGTLYEHLHDGEGYQLSWPRRMKIALSIARALRYLHTEMQPPFAVAALTSSSVYLTEDFSPKIIDFERWRALLTKPGLSSGSIVNGSFNNIIDSRHRRFMDIQANTFAFGVILLELISGRAPVSKDTGDLVDWARKHLDQTEEFIKLVDPKLMNANHENLGIVCNVVNLCIDAEPCRRPSMNMITAILEEGIDTSPATVLRDSSLAWAEAEIAIS >ORUFI04G21440.1 pep chromosome:OR_W1943:4:22484082:22487927:1 gene:ORUFI04G21440 transcript:ORUFI04G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEIGASEEHGCAAGGEPMNDYERQRLARIRENEARLQALGIRRLAASPLLHSPASSAGGKGKRKSRAADADEEYLPSDGGEDDDEEESSSTSDQDGEEEEDAEASSRSRKKGKKKVLNSGKSSQVTHRKENAHLADSVDDDAALQQAIALSLAESLENSVPAMSGETPSTGMKGSGSTPCKKNNTVPIQDSAKTMRIKKQGKSRIQLTEDDVVAFFFSFDEVGKGYITPWDLERMATVHDFIWTDSEISKMIHCFDSDRDGKINLEDFRTIVSRCNMLQEPEKSG >ORUFI04G21450.1 pep chromosome:OR_W1943:4:22487787:22490332:-1 gene:ORUFI04G21450 transcript:ORUFI04G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSKELLGIEPLELRFPFETKKQISCSMQLTNRTDDYIAFKVKTTSPKKYCVRPNSGIVPPRSTSDVIVTMQAQREAPADMQCKDKFLVQSVVVTEGTTTKDVTGEMFNKGSGNVVDERKLKVVYVQPPRPPSPVREGSEEGSSPRASLLEGGNLNYQDTQTTRESDEPPLLSATRAHKDAEDVTSDSETSALISRLTEERNSAIQQNNKLLEEMDLVRREISKQNGGFPFVFVVVVALLGILLGYIMKR >ORUFI04G21450.2 pep chromosome:OR_W1943:4:22487787:22490332:-1 gene:ORUFI04G21450 transcript:ORUFI04G21450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSKELLGIEPLELRFPFETKKQISCSMQLTNRTDDYIAFKVKTTSPKKYCVRPNSGIVPPRSTSDVIVTMQAQREAPADMQCKDKFLVQSVVVTEGTTTKDVTGEMFNKGSGNVVDERKLKVVYVQPPRPPSPVREGSEEGSSPRASLLEGGNLNYQDATRAHKDAEDVTSDSETSALISRLTEERNSAIQQNNKLLEEMDLVRREISKQNGGFPFVFVVVVALLGILLGYIMKR >ORUFI04G21450.3 pep chromosome:OR_W1943:4:22487787:22490332:-1 gene:ORUFI04G21450 transcript:ORUFI04G21450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSKELLGIEPLELRFPFETKKQISCSMQLTNRTDDYIAFKVKTTSPKKYCVRPNSGIVPPRSTSDVIGYAQFSSPSCKTLGYFPRPVICVQKIDITMQAQREAPADMQCKDKFLVQSVVVTEGTTTKDVTGEMFNKGSGNVVDERKLKVVYVQPPRPPSPVREGSEEGSSPRASLLEGGNLNYQDATRAHKDAEDVTSDSETSALISRLTEERNSAIQQNNKLLEEMDLVRREISKQNGGFPFVFVVVVALLGILLGYIMKR >ORUFI04G21460.1 pep chromosome:OR_W1943:4:22491241:22494316:-1 gene:ORUFI04G21460 transcript:ORUFI04G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQCRFRGQPRPASGTPALRRRHVQRLRAPAGERPREVQSVLKAQMSSCTMASLICSQIKLSKAHIRNRRQIHENGQIRLPKSLYWKPLHSGHFENIVLRCTQNLSWEASLPYASAEDGANIIKGTEVVEPIDTEEAPEIPILQSDQDFVEVIKEPSMQLTTFKLPIWLLGPSILLVTSIVPTLWLPLSSVFLGPNIAGLLSLVGLDFIFNMGAMLFFLMADACGRPEANSSELIKQIPTSYRFWNLAASIVGFLVPLALFFASHKGTLQPHIPFIPFAVLLGPYLLLLSVQVLTEMLTWHWKSPVWLVAPVVYEGYRVLQLMRGLQLADEITAPGWMVQSLRGLVSWWVLVLGIQLMRVAWFAGLKFASTSSTKCYSDATPGTVWGCSQKYMSLHFLGEG >ORUFI04G21470.1 pep chromosome:OR_W1943:4:22494991:22495659:1 gene:ORUFI04G21470 transcript:ORUFI04G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGDTLDKLVVFLAKRDGIDKLVKTFQYVSKLAHWAAESSSPGLAGRAKNWETSAGLSRKAFRTGRFLTGLNGLRRAPGEFGALAVLANAGEMVYFFFDHFTWLSRVGVLDAWLARRMSFISAFGESVGYVFFIAMDLIMIRRGLRQERKLLREGGKDKDKEVKKIRMDRVMRLMATAANVADLVIGIADIEPNPFCNHAVTLGISGLVSAWAGWYRNWPS >ORUFI04G21480.1 pep chromosome:OR_W1943:4:22496643:22497437:-1 gene:ORUFI04G21480 transcript:ORUFI04G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRKPVGLEMSSVWCGCGLWSRRRCRVVVGMAGVGGVAVASSSPRRWWWQRRKGERRRDGAARWWGRGRSEEEGRREMRDGGGEVAGSQGSEDGRPAVGGGALWMRRSAAASGWRCAAPGLPPDLSDFSLSTLFSWVSSLGWAGWGLGEGLWTIDWMGLFNFGNFDKFSGMFPTDSEFRRKLRLSYSIPFPRKYFRFRFRFREILKNFRPADSVSENRYGIRKNSVPFSPLPGGVELELCQTGPKYLLKKELNIFFPLEYNHV >ORUFI04G21490.1 pep chromosome:OR_W1943:4:22500199:22500541:-1 gene:ORUFI04G21490 transcript:ORUFI04G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRDYRVNSIKSLVAGPLQCHATIPWAVGPAFWSYGIIAVMRFHPAGWVYLYKSFPCRRAGAPPFPLRGMIEL >ORUFI04G21500.1 pep chromosome:OR_W1943:4:22505909:22524975:1 gene:ORUFI04G21500 transcript:ORUFI04G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRLEAEEEAVALRGGGAAWHRSSPHHISVQWPPPCHGIPLADGNEADERAGGGSQSELRPTQGRAGGRRPAEERAQASTRGEQVGGKRLTGAASREAAAGRQTSTEVVTTDSCPDRVIIPDPTPKIWDWEETEGIGKRRRSGGDNGEEARNDTCCLRLSPDGIGRKAKTRVETCLAVRVVAIG >ORUFI04G21510.1 pep chromosome:OR_W1943:4:22515046:22515431:-1 gene:ORUFI04G21510 transcript:ORUFI04G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSRIKWLSIRLVNRFTCLAPPAQYVTRGCVTGNAQATSDLRPYLLRVIAGVEDVGARFRIVPTEVAERGQMPTPPLEVVRRQTSLPTTDRIFAAQRRE >ORUFI04G21520.1 pep chromosome:OR_W1943:4:22525166:22526647:-1 gene:ORUFI04G21520 transcript:ORUFI04G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQLCFLCFGLLLVSSSLQCHGLLLPLTNTLSSLRPANDTATIHRLLRSSSLRSAARHRGRRHGTRRAPPPPPRHRQLSLPLAPGSDYTLSLSVGPPSTASSVSLFLDTGSDLVWFPCAPFTCMLCEGKATPGGNHSSPLPPPIDSRRISCASPLCSAAHSSAPTSDLCAAARCPLDAIETDSCASHACPPLYYAYGDGSLVANLRRGRVGLAASMAVENFTFACAHTALAEPVGVAGFGRGPLSLPAQLAPSLSGRFSYCLVAHSFRADRLIRSSPLILGRSTDAAAIGASETDFVYTPLLPNPKHPYFYSVALEAVSVGGKRIQAQPELGDVDRDGNGGMVVDSGTTFTMLPSDTFARVADEFARAMAAARFTRAEGAEAQTGLAPCYHYSPSDRAVPPVALHFRGNATVALPRRNYFMGFKSEEGRSVGCLMLMNVGGNNDDGEDGGGPAGTLGNFQQQGFEVVYDVDAGRVGFARRRCTDLWDTLSRR >ORUFI04G21530.1 pep chromosome:OR_W1943:4:22540594:22542591:-1 gene:ORUFI04G21530 transcript:ORUFI04G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWSRSVKREGLRWEGELGFGFYRHGVRGEPTLAVKCSAGGSGVFVGDAKAATAWMRWAAKAVDRAAEIEPLERRLARSSNLV >ORUFI04G21540.1 pep chromosome:OR_W1943:4:22543000:22545386:-1 gene:ORUFI04G21540 transcript:ORUFI04G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFCFRGGTVARRSERAPMAEWCVFCPIARRDPACNTVLLYSDDRVMAFKDINPSAFRHYLVIPIEHIPTVNNLQRTTEDHQLVGRDLLNRDAPNSEEHRFGFHQPPFNSVDHLHLHCLALPFTPSWRQVKYTPLGPLGGFIEAESLLERIRP >ORUFI04G21540.2 pep chromosome:OR_W1943:4:22543000:22545386:-1 gene:ORUFI04G21540 transcript:ORUFI04G21540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFCFRGGTVARRSERAPMAEWVMAFKDINPSAFRHYLVIPIEHIPTVNNLQRTTEDHQLVGRDLLNRDAPNSEEHRFGFHQPPFNSVDHLHLHCLALPFTPSWRQVKYTPLGPLGGFIEAESLLERIRP >ORUFI04G21540.3 pep chromosome:OR_W1943:4:22543000:22545141:-1 gene:ORUFI04G21540 transcript:ORUFI04G21540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKDINPSAFRHYLVIPIEHIPTVNNLQRTTEDHQLVGRDLLNRDAPNSEEHRFGFHQPPFNSVDHLHLHCLALPFTPSWRQVKYTPLGPLGGFIEAESLLERIRP >ORUFI04G21540.4 pep chromosome:OR_W1943:4:22543000:22545141:-1 gene:ORUFI04G21540 transcript:ORUFI04G21540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKDINPSAFRHYLVIPIEHIPTVNNLQRTTEDHQLVGRDLLNRDAPNSEEHRFGFHQPPFNSVDHLHLHCLALPFTPSWRQVKYTPLGPLGGFIEAESLLERIRP >ORUFI04G21550.1 pep chromosome:OR_W1943:4:22547198:22553257:1 gene:ORUFI04G21550 transcript:ORUFI04G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWHLTCGVHADSAVTSDKTGIKTTEERKISKAEEGIAENGQRRRRFTAACAVLEKLQSIVTTALQFLNDTRTELLTESL >ORUFI04G21560.1 pep chromosome:OR_W1943:4:22553593:22560407:1 gene:ORUFI04G21560 transcript:ORUFI04G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAISPMMDGAAPLLPETSPESRQQRDPERGKRRTPVLPAVVASAVVLLGLAALFLVYGFHDGGDGRAAVLAPGTVEVAASSSRGVVEGVSEKSTTPALRLGGGAVRDYAWTNSMLSWQRTAFHFQPPNNWMNGPLFYKGWYHLFYQWNPDSAVWGNITWGHAVSRDLIHWLHLPLAMVPDHWYDINGVWTGSATQLPDGRIVMLYTGATEESVQVQNLAEPADPNDPLLREWSKAEANPVLVPPPGIGLTDFRDPTTAWRNPADSAWRITIGSKDRDHAGLALVYKTEDFLHYDLLPTLLHVVKGTGMWECVDLYPVSTSPAVEDGLETSTPPGPGVKHVLKASLDDDRNDYYAIGTYDGETDTWTPDNADIDVGIGLRYDYGKFYASKTFYDPVGRRRVLWGWIGETDSERADILKGWASLQSIPRTVMLDTKTGSNLLQWPVVEVENLRMRGKSFDGLDVSPGSVVPLDVGKATQLDIEAVFEVDTSAADGVVTEAGAAAYSCGTGGGAVGRGLMGPFGLLVLADDQLSERTAVFFYLVKGVDGNLTTFFCQDELRSSKANDLVKRVYGSLVPVLDGENLSIRILVDHSIVEGFAQGGRTCITSRVYPTKAIYESAKIFLFNNATNVRVTAKSLKIWELNSAYIRPYVD >ORUFI04G21560.2 pep chromosome:OR_W1943:4:22554609:22560407:1 gene:ORUFI04G21560 transcript:ORUFI04G21560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSAGPLFYKGWYHLFYQWNPDSAVWGNITWGHAVSRDLIHWLHLPLAMVPDHWYDINGVWTGSATQLPDGRIVMLYTGATEESVQVQNLAEPADPNDPLLREWSKAEANPVLVPPPGIGLTDFRDPTTAWRNPADSAWRITIGSKDRDHAGLALVYKTEDFLHYDLLPTLLHVVKGTGMWECVDLYPVSTSPAVEDGLETSTPPGPGVKHVLKASLDDDRNDYYAIGTYDGETDTWTPDNADIDVGIGLRYDYGKFYASKTFYDPVGRRRVLWGWIGETDSERADILKGWASLQSIPRTVMLDTKTGSNLLQWPVVEVENLRMRGKSFDGLDVSPGSVVPLDVGKATQLDIEAVFEVDTSAADGVVTEAGAAAYSCGTGGGAVGRGLMGPFGLLVLADDQLSERTAVFFYLVKGVDGNLTTFFCQDELRSSKANDLVKRVYGSLVPVLDGENLSIRILVDHSIVEGFAQGGRTCITSRVYPTKAIYESAKIFLFNNATNVRVTAKSLKIWELNSAYIRPYVD >ORUFI04G21560.3 pep chromosome:OR_W1943:4:22553593:22554553:1 gene:ORUFI04G21560 transcript:ORUFI04G21560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAISPMMDGAAPLLPETSPESRQQRDPERGKRRTPVLPAVVASAVVLLGLAALFLVYGFHDGGDGRAAVLAPGTVEVAASSSRGVVEGVSEKSTTPALRLGGGAVRDYAWTNSMLSWQRTAFHFQPPNNWMNDPNGKLLALIVNARRNLVLVTH >ORUFI04G21570.1 pep chromosome:OR_W1943:4:22567283:22569340:-1 gene:ORUFI04G21570 transcript:ORUFI04G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPETFSLAHLSQHQQQQPPPLAEQEQLCYVHCNFCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPAAASTANQLPFGQALLSPTSPHGLLDEVPSFQAPASLMTEQASPNVSSITSSNSSCANNAPATSMASAANKATQREPQQPKNAPSANRTSEKRQRVPSAYNRFIKDEIQRIKASNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKTGIQSQDGAGECMLFKDGLYAAAAAAAAATAASSMGVTPF >ORUFI04G21580.1 pep chromosome:OR_W1943:4:22573697:22575809:-1 gene:ORUFI04G21580 transcript:ORUFI04G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFSHVPPGFRFHPTDEELVDYYLRKKVALKKIDLDVIKDIDLYKIEPWDLQEQCKIGNEEQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYVKNCLVGMRKTLVFYRGRAPNGQKSDWIMHEYRLETNEYGAPQEEGWVVCRVFKKRVATVQRAAGDGGDSPFWFNEHVAFMAPAPGLDSPYHGHRQSHPCKLEVEYHHHLLPQEAAPFMHLPRLESPKLPAADIIGATAASSALQPCGHTTAQQLQLQIEPVYVTADASAADWRDLDKLVASQFGHGDSTAKEPSYCNPVQVFQVEGKQEDSLDYVSTSASCGGEEDLWK >ORUFI04G21590.1 pep chromosome:OR_W1943:4:22590767:22591270:1 gene:ORUFI04G21590 transcript:ORUFI04G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRSHGGFRLGRKLLSAWRWALCCRRRRRRGYLRLQTTSGGCGGACKEGSEEAKRLAPVLRWGRSLVRRLSLGRKDGGRRRILDEPVSTPKGQVAVYVGGGNPGESLRYVVPVVYFNHPMFGELLREAEEEFGFQHPGGITIPCAASRFERAAAVAAAGKKAFGRW >ORUFI04G21600.1 pep chromosome:OR_W1943:4:22602917:22603503:-1 gene:ORUFI04G21600 transcript:ORUFI04G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHACMRWRRDENAGAPLHGARTPSLSLPSHARAVRRTGEQAAVRCLVRTKGLVMLPRPNTLPQHRKRRPQNHDASLAGVAVPNVSPTVFGGVVIFLTPLRRRNTGYPRLAGGDLYLTAPSSVRAVILTRAVVESGRAILHLVEVFWVNPEKAISVPSCRGMLRIIKTARNVIKLPSSSLL >ORUFI04G21610.1 pep chromosome:OR_W1943:4:22607609:22610664:1 gene:ORUFI04G21610 transcript:ORUFI04G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLDRLCYAVVVLVVIGATVAEAATGTFIYAGCSPSKYQPGTPFEGNLNSLLASIANAAPNGGYNSFTAGSNGTGDGAAAYGLYQCRGDLGNADCAACVRDAVGQLNEVCAAAYAASLQLEGCYVRYDSSNFVGQPDNAMVYRKCSTSTSGDGDFLKNRDAVLAALQGGLANGYKVSSSGNVQGVSQCLGDLAAGDCTTCLAQAVGQLKGTCGTSLAADVYLAQCYVRYWANGFYFRPSQDYSQDDVGRTVAIIVGILAGLAILVVFISFLRKSSIDM >ORUFI04G21620.1 pep chromosome:OR_W1943:4:22611031:22615445:-1 gene:ORUFI04G21620 transcript:ORUFI04G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCWVWGFVVALLAVAAAADGEEEEGKWEPLIRMPTEEGDDAEAAAPAPAPAAADYGGTRWAVLVAGSSGYGNYRHQADVCHAYQILRKGGVKEENIVVFMYDDIAHNILNPRPGTIINHPKGGDVYAGVPKDYTGHQVTTENFFAVLLGNKTAVTGGSGKVIDSKPEDHIFIYYSDHGGPGVLGMPNLPYLYAGDFIKVLQKKHASNSYSKMVIYVEACESGSIFEGLMPENLNIYVTTASNAVENSWGTYCPGEEPSPPPEYITCLGDMYSVAWMEDSETHNLKKETIEDQYELVKKRTSNANKLNEGSHVMEYGDKTFKDEKLFLYQGFNPANGNITNELIWPVPKATVNQRDADLLFMWKRYEQLNGVSEDKLRALREIEDTIAHRKHLDSSIDFIGKLVFGFENGPLALEAARSSGQPLVDNWDCLKKMVRIFESQCGSLTQYGMKYMRAFANICNNGVSEAKMMEASINACGRYNSARWSPMTEGGHSA >ORUFI04G21630.1 pep chromosome:OR_W1943:4:22617205:22618329:1 gene:ORUFI04G21630 transcript:ORUFI04G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQDRVKLLTSLWGNYSIPKDNPYTDDSDLELEVWALGLRNPWRCSFDSARPSYFYRADVGQLK >ORUFI04G21640.1 pep chromosome:OR_W1943:4:22620631:22624313:1 gene:ORUFI04G21640 transcript:ORUFI04G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSAGRFEEAAAHFTDAIALAPDNHVLYSNRSAAYASLHRYPEALADAERTVALRPDWAKGYSRLGAARLGLGDAAGAVAAYEKGLALEPSNGALKDGLAHARQARRPAPASGADAIGKVFQGPELWSRMAADPTTRPYLDQPDFMRMLRDVQRNPSSLNNYLSDPRMVQVLSLMLNLRLPNNDAPPRPPAQSTPPPPPQQQHQPETKAREPEPEPEPMEVTEEEKERKERKAAAQEEKEAGNAAYKKKDFETAIQHYTKAMELDDEDVSYLTNRAAVYLEMGKYDECINDCDKAVERGRELHADFKIISRALTRKGTALAKIAKCFKDYDVAIETYQKALTEHRNPDTLKKLNDAERAKKELEQQEYYDPKIADEEREKGGDEDETLILVHSCAGNEFFKQQKYPEAVKHYSEALRRNPKDPRVYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKALETYQAGLKHDPNNQELLDGVRRCVQRINKASRGELSQEELQERQNKAMQDPEIQNILKDPIMQQVLTDFQENPKAAQAHLKNPGVMQKIQKLKNDGVLCRKMPNQSLEKCLISHHDLIVAIRK >ORUFI04G21650.1 pep chromosome:OR_W1943:4:22625445:22629117:-1 gene:ORUFI04G21650 transcript:ORUFI04G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEAPVLRAAGPARRPAVSAASASRLLLGHRPFPAPSPASSRSRYAAAVAAGVRPRPRPRRPRLSVVAMAGNDRQVPLMDYRNIGIMAHIDAGKTTTTERVLYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTAFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIIANLGAKPLVIQLPIGSEDNFQGVIDLVKMKAIVWTGEELGAKFSYQDIPADLQEMADDYKAQMMETIVELDDDVMETYLEGGEIDEETVKRLIRKGTISASFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDLPPMKGTDPEDPELILERKPSDDEPFSGLAFKIMTDPFVGSLTFVRIYSGKLVAGSYVLNANKDKKERIGRLLEMHANSKEDITVAVAGDIVALAGLKDTITGETLSDPDKPVVLERMEFPDPVIKVAIEPKTKADADKMATGLIKLAQEDPSFHFSRDEETNQTVIEGMGELHLDIIVDRLKREFRVEANVGAPQVNYRESISKISEVQYVHKKQSGGSGQFADIIVRFEPLEAGSGYEFKSEIKGGAVPKEYVPGVMKGIEESLPNGVLAGYPVVDLRAVLVDGSYHDVDSSVLAFQIAARGAFREGLRKAGPRLLEPIMKVEVITPEEHLGDVIGDLNSRRGQVNSFGDKPGGLKVVDAFVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNELSAAKQEEASTA >ORUFI04G21660.1 pep chromosome:OR_W1943:4:22630857:22631308:1 gene:ORUFI04G21660 transcript:ORUFI04G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSLSLPPTRSHRRLDLVRPPRLLENEQVREVEVEDDARGEGKSAGRLAKDSAVSEAEADDDCLPLNHHSLLADVTATDPNSPPLPPRLLENGRGKEVEVEDDTRGAGRLNGDGTFGEVKADDDQQILCPPHRCHHRLHVAR >ORUFI04G21670.1 pep chromosome:OR_W1943:4:22633302:22633643:-1 gene:ORUFI04G21670 transcript:ORUFI04G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWTRNGMLNFSQPASSSPFGFYDAGHGASSSGGSRSHGCRSQNKDQRNLEKNLTKVRKEWMKVKEEMGYARLLSEHLSETVTETDRKVAAMLEELDRTDKYMQDILSSQQK >ORUFI04G21680.1 pep chromosome:OR_W1943:4:22639039:22639833:-1 gene:ORUFI04G21680 transcript:ORUFI04G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHHSSHVHAGAATPNCTCRLPKEEDLAAAVVVVDVEAAPHYTSLKAAEADVVPRGVGGGGGGGEGDGGEEVNYMARAQWLRAAVLGANDGLVSVASLMIGIGAVNENNKAMLVSGLAGLVAGACSMAIGEFVSVYAQYDIEVTQIERDGDIDGADAAAAREKLPSPTQAAFASALAFAIGGLLPLLTSGFIKPWGPRVGVVCAASSVGLAGFGAAGGYLGGANMVRSGTRVLLGGWLAMLITYAVLRLFATIFHGMNISSSA >ORUFI04G21690.1 pep chromosome:OR_W1943:4:22645309:22645903:1 gene:ORUFI04G21690 transcript:ORUFI04G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPSSMLWIHLWTLLITAALSSLPDPLLGSSSMGAGFGDPGTWRRPLLADRGNVLIGNSDDDDSSPCAAHSARSRGTWQRRVVPSSSAPPPTSKGF >ORUFI04G21700.1 pep chromosome:OR_W1943:4:22650455:22650628:1 gene:ORUFI04G21700 transcript:ORUFI04G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRTLPSREVGSCGGKEGLGELCMDERRRGEELEEVRFDGDVVEGREVDGVVTRRS >ORUFI04G21710.1 pep chromosome:OR_W1943:4:22651872:22657042:-1 gene:ORUFI04G21710 transcript:ORUFI04G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMSDSGTGGRGGAELMVEQFHLKVLHAVLAVRGPRPLQPAASASFRRRDRWFHLPLHDPQPPPAAEGVEAPEAGEPLVVDILLAHAAAGGGGGGGAGGEVVERWTVVCEPWPDAAAGEGIPVNRAYKRCMTMLRSVYATLRFLPAYRVFRLLCANQSYNYEMVHRVGSFAVPLSRDEEAAMRSYQFVPVETQHGRLVVSVQYLPSLAAFNLEISSLSPSMLIADYVGSPAAEPMRAFPASLTGATGSAFPQALSNQPQRPHSWATPALWPQAPRQQARFSPPHLLNASPTPSPPNFPSGYLQSRPKGGSAPMSIPQVGDRRSPIHRPITLPPTSPRRVGETGTSSAQQSPSERCPSFGRADGFRIMDPYASLSPGRKGKDTKDESGRFSALSSCDSPRQDDIDDADYPFAVDDVDTPSSQPGSSDGKEARDQASSSSHKSQDAAVGSLVHLLKTARPLRNSNCPSQASAVESSEAASTSSVVSRRKSDALEELQSFKEIKERLMSRSRAKQQEPPEKP >ORUFI04G21720.1 pep chromosome:OR_W1943:4:22658655:22659184:-1 gene:ORUFI04G21720 transcript:ORUFI04G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKKPTQCSQSSSLQQPTLAARGTTPRDGWQLAARLLATAVVGAERWWQAEHTHRRLAARLVLVAAMLRTEQRELRRPRSAADSPRRPHSALTPLRAHYASSPTARSDDAPRRCSPTALPPRRATSAPPRELRLTAPALPHPGPPRDCASSAPVFGGERRRIFA >ORUFI04G21730.1 pep chromosome:OR_W1943:4:22659751:22664306:-1 gene:ORUFI04G21730 transcript:ORUFI04G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDEVVQWDKMDGGEVVNGGGGGGVGKLERILVSVRLRPLSDKEIARGDPSEWECINDTTIISRSTFPDRPSAPTAYSFDRVFRSDCDTNEVYKQGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYTVADIYDYIGKHEERAFVLKFSAIEIYNEVVRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWNHLKELISVCEAQRKTGETYLNENSSRSHQILKLTIESSAREFLGKDKSTTLVASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKQLQKELARLESELRCPASYSSLESLVKEKDNQIRKMEKEIKELKLQRDLAQSRLQDLLQVVGDNHVHVSKQSSVSGRNFTFDVPQTCEDEQSTTESSEVVDSVQNFRFQGRRVAQREHKPQQAENNVQFTTPSRYSVSSPPFSGMLPTNRRDHLSQISNEDSDDICKEVRCIETNETGGNECLESSAVGSNSLQDPNAGSSMHINNDSNSSMNSRLRDESPVTLEQHLENVRKPFANIVKDLGSSTCNSSSSKVLGRSRSCRSLTGSSLFEDLEKDDCTPPNRSFIDFAGRPQNCQRRGSALNYDAESETLSRAGSMLSEITTTRDGLKANSSVAGDTEFTGIGEFVAELKEMAQVQYQKQLGYSGNGDLAEGTIRSVGLDPITDALQSPSRWPLEFEKKQQEIIDFWHACNVSLVHRTYFFLLFKGDPADSIYMEVELRRLSFLKDTYSNGAIASIPNTSLVSSAKKLQREREMLCRQMQRRLSIEERESMYTKWGVSLASKRRRLQVARRLWTETKDLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQFTRRSYNSWRYGRSSLN >ORUFI04G21740.1 pep chromosome:OR_W1943:4:22673197:22674188:1 gene:ORUFI04G21740 transcript:ORUFI04G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAPDPAVAASVPLVRLNHVSFQCTSVEKSVDFYRRVLGFELIKRPESLNFNGAWLYKYGMGIHLLQRGDDADGGSIPTRPLPAINPMGNHVSFQCSDMAVMKARLRAMDREFVVRKVWDGETVVDQLFFHDPDGNMIEVCNCENLPVIPLIVASTPGLPELLPPAMQTNVHG >ORUFI04G21750.1 pep chromosome:OR_W1943:4:22674834:22677847:1 gene:ORUFI04G21750 transcript:ORUFI04G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASPFLSPAKLSLERRLPRATWTARRSVRFPPVRAQDQQQQVKEEEEEAAVENLPPPPQEEEQRRERKTRRQGPAQPLPVQPLAESKNMSREYGGQWLSCTTRHIRIYAAYINPETNAFDQTQMDKLTLLLDPTDEFVWTDETCQKVYDEFQDLVDHYEGAELSEYTLRLIGSDLEHFIRKLLYDGEIKYNMMSRVLNFSMGKPRIKFNSSQIPDVK >ORUFI04G21760.1 pep chromosome:OR_W1943:4:22676548:22679724:-1 gene:ORUFI04G21760 transcript:ORUFI04G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPFAAGDYPADQVDPDYLYFLRHIRPDGDSYTLELPSDGVSPPSLVKYEAPADTGSTDGECVSDPSPGRASTNRPPEEKESSVEVDAEPPAPSWIDSLVDIDEDYRLFLKHTRVVNDNMVLEIDGAVVTYPCAASSESSSEVEDAREKEVAMDSDEPVVILPDPKVCDWVAVGDASVRTLDSKKKRKMSSSNSNNAGPSVPPGSQGVIWPAHINSRPDSDFKQRLLDALSKPFSRKEYIKLFDMASIRTPLVKLRQVRNDVKFYPTQEMGNSYFDHYPDLVDQVMHTSFPNGLALMRGFFFWLQNNAHEDQFRPWVDVSKDHEVIPLID >ORUFI04G21770.1 pep chromosome:OR_W1943:4:22681445:22682202:1 gene:ORUFI04G21770 transcript:ORUFI04G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGSWQRHGGFLCVWPVPFVAMVKMATEDEVRRRIPLAVATLGVADAWESGWKRFSSTAAAEVSDDGPWGGDELVVQEVEEDPEDGGGPRGGRGLGIQRSGNGSCRPAFGWLVLAGTTDESGLNQVMFGINSYEANEDGINGGSQR >ORUFI04G21780.1 pep chromosome:OR_W1943:4:22686007:22687987:-1 gene:ORUFI04G21780 transcript:ORUFI04G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWWLPTWQPLLVLLPTMLLLYHTVSSWHCGERCLPLPPGPRGLPFVGNILHTSDMTHRGLAQLASRYGGLLHLRLGRLRTVVVSTPEMARLVLHVNDRAFADRPTTVTKNSGAVVNVGELVFGMSMKITLRAALGMRNEGEDAADLVAVLKEFSEMFGASNVADYVPWVGWMDVQGINRRMVAARAALDRLIDRAIDEHLAHPKPVDATDADMVDGMLFFLDDMPECPGVGAATAKYMDGADACAGMLRLSRDNIKATIMDVLFGGTETSATTIEWAMSELMSNPEEMRRVQDELAEVVGLHRQVTESDLTGDKLPYFRCVVKETLRMHPPAPLLHHEAGEDCDVAGYRVPKKTRVLINVWAIGRDASAWGDDPDAFRPARFGPGTDNAETDYRGGHFHLLPFGSGRRSCPGMQLGMLAVELALARLLHGFDWSLPGGTGSAGELDMEETYGLTAPRAVRLSAVPVPRLSHL >ORUFI04G21790.1 pep chromosome:OR_W1943:4:22694194:22695079:1 gene:ORUFI04G21790 transcript:ORUFI04G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQNQPVRKIAKSTIDHTHLCSISHRHIGRHNAFSAVGKGREHAPRPTTGNCEKIQKPNKIGPDWIFDSHEHYSIVPTHRSPPQRPKPNGLARRDVACSRRGGGPRGAPPPTRRAIPFHRAPMLIFFVEFVIENFPVHGRTENSASDYPPED >ORUFI04G21800.1 pep chromosome:OR_W1943:4:22699139:22702025:1 gene:ORUFI04G21800 transcript:ORUFI04G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLIPSSTLSFPSSGPLSPPLLFASNAAATAAQGSNTSRSSSAMPSYAHHHSSLGDDEEENGRFLSLCLLGFWNFGNLWFLLCVYVGAFWTEKDGKMDALKSSCRSEEAADEGAAAAPSAWGMVERDGFSVEDLLDLEEFCEAEKDAAEENEQALAVVAAPEEEKSKDDSQPSSVVTYELVAPPPPPPEIVDLPAHDVEELEWVSRIMDDSLSELPPPPQPPASIVASLAARPPQPRQLQRRPQDGAYRALPPASYPVRTPTICALSTEALVPVKAKRSKRSRATAWSLSGAPPFSDSTSSSSTTTTSSCSSSASFSSFSPLLKFEWHPLGGTSDLPDDHLLPPGKKSKHGKNGKNKPKKRGRKPKQLPPHPSGAAASAPAPGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSAIHSNSHRKVLEMRRKKEVGSGLLTAAAAAAPAVASF >ORUFI04G21800.2 pep chromosome:OR_W1943:4:22699139:22702025:1 gene:ORUFI04G21800 transcript:ORUFI04G21800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLIPSSTLSFPSSGPLSPPLLFASNAAATAAQGSNTSRSSSAMPSYAHHHSSLDGKMDALKSSCRSEEAADEGAAAAPSAWGMVERDGFSVEDLLDLEEFCEAEKDAAEENEQALAVVAAPEEEKSKDDSQPSSVVTYELVAPPPPPPEIVDLPAHDVEELEWVSRIMDDSLSELPPPPQPPASIVASLAARPPQPRQLQRRPQDGAYRALPPASYPVRTPTICALSTEALVPVKAKRSKRSRATAWSLSGAPPFSDSTSSSSTTTTSSCSSSASFSSFSPLLKFEWHPLGGTSDLPDDHLLPPGKKSKHGKNGKNKPKKRGRKPKQLPPHPSGAAASAPAPGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSAIHSNSHRKVLEMRRKKEVGSGLLTAAAAAAPAVASF >ORUFI04G21810.1 pep chromosome:OR_W1943:4:22714240:22717747:-1 gene:ORUFI04G21810 transcript:ORUFI04G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWKQASRLKEQVSRQGVFKPFGAAYGNSENAFTDESEVNLHQRLEKLYLSTRAAKHFQRDIVRGVEGYIVTGSKQVDIGNKLSDDSQKYGTGNTCTSGNTLSKAAMYYGKARSLMEKERGNMLRAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAVEVSRRQNRVRESAPNGDVITKLEAAEYKLEELKSSMVGLGKEAVAAMAAVEAQQQRLTLQRLIAMLLISLALKVEAERAYHQRVLEILDHLEQEMVSERQKIEAPPTPSAENYMAQPPPSYDEVNGMFASSSVDDSVTSVDFFLGEALDSFKAESESELNLSAGDIVIVRKISTNGWAEGECKGKAGWFPHGYIERRERVLASKVPHIF >ORUFI04G21820.1 pep chromosome:OR_W1943:4:22725188:22727937:1 gene:ORUFI04G21820 transcript:ORUFI04G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHSANKLAGKHRRFSLLQPLASSSSAQKPPLCDICQEKRGFLFCKEDRAILCRECDVTVHTTSELTRRHGRFLLTGVRLSSAPMDSPAPSEEEEEEAGEDYSCSPSSVAGTAAGSASDGSSISEYLTKTLPGWHVEDFLVDEATAAAAAASSSSDGLFQGGLLAQIGGVPDGYAAWAGREQLHSGVAVAADERASRERWVPQMNAEWGAGSKRPRASPPCLYW >ORUFI04G21830.1 pep chromosome:OR_W1943:4:22731189:22733193:1 gene:ORUFI04G21830 transcript:ORUFI04G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDQLILFVFVCCLSSRFADAYDPVDPNGNIIINWDFQSIENVYTVMVSVHNHQLYRHIEQPGWRLSWRWAGNEIIWGMTGAEATEQGDCHRIRGATRPHCCEKQPVIVDLPPGTPYNNQVSSCCRGGVLSSLTQNNRTSTAAFQMVVGGFRRATYHDGDRGPALPSRFGVGVPGYSCSNATKVNATRSPIGRHRHVHEGEKPELPAGDGEAVAPVFRCTDHMCPVRVHWHVKISYREYWRVKVTITNYNQVKNYSDWNLVVQHPNLRSLTQLFSFNYQPLIEYGTLNDTGMFWGIQYYNEMMLQDGNVQTEMILKKDKSDFTFSGGWAFPRRVYFDGHECVMPPPDQYPLLPNGGPDSRVSAAQLIASSCLLLPFIFLIM >ORUFI04G21840.1 pep chromosome:OR_W1943:4:22733602:22738822:1 gene:ORUFI04G21840 transcript:ORUFI04G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYEKEKKKKKQILTKNMEVKKIKSTTNNLPGADRTKSQVTGNTTEELRRKKTVEGTISAVESTKDIKLDTKKKEPLQMKSHEEVQDEKRRSQESDKSNIMAKHIKETKSQTTEVVPGADRTKAEVTRNSQSERCGSDRIDSEELRRNNTVEGCHRLQAQVTGNTQSKTCDLITSRILEKGKKSATIGSEIGKNKAQILYDQYTFLNENERLVKGGQMSYTNLMQHIILSPRVSLQANEVSEVYNLGIFKK >ORUFI04G21850.1 pep chromosome:OR_W1943:4:22742643:22746996:-1 gene:ORUFI04G21850 transcript:ORUFI04G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVAPSVEEVGGEQPPPALGPGETVSGTVAELRAAYESGRTRSLEWRQSQLRGLLRLLAEEEAAAFRALREDLGKHQAEAYRDEIGVLVKSANAALREVWVPLIAFPARAQLEPQPLGVILVFSCWNVPLGLSLEPLVGALAAGNAVALKPSELAPATAKFLGDNVGKYMDATAVKVIQGGPEVGEQLMEHRWDKVLFTGSPRIARVVMAAAAKHLTPVALELGGKCPCIFDTIGGSARDLQTAVNRVVGGKWSSCAGQACLAIDYVLVEERFVPVLIKALKSTLKKFFADSDHMARIVNARHFQRLSDLLKDKSVAASVLHGGTLDAKNLCIEPTILLNPPLDSAIMTEEIFGPLLPIITVKKIEDSIAFVRARPRPLAVYAFTKNAALRRRIVEETSSGSVTFNDAVVQYGIDSLPFGGVGESGFGQYHGKYSFEMFSHKKAVLTRGYLIELTARYPPWDDSKISMMRQLYRYNYVGFVLTFLGLKK >ORUFI04G21860.1 pep chromosome:OR_W1943:4:22757078:22758270:-1 gene:ORUFI04G21860 transcript:ORUFI04G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGCGLFACVRRCDGGDVRKRGEAGAMSSRVAADPAGVEEEGSCKNGAAASARQLAWAEVESVTGGFSSRVIGHGGFSTVYLASLSSSRLGAVKVHCSSERLHRAFRQELEVLLSLRHPHIVRLLGYCDERDEGVLVFEYAPNGDLHERLHCSEVAGGVASVLPWARRVAIAFQVAMALEYLHESRHPAVIHGDIKASNVLLDANMNAKLCDFGFAHVGFSATVGCRPSARAVMGSPGYVDPHLIRSGVATKKSDVYSFGVLLLELVTGKEAVCRDTGRRLTAAVGPMLSEGKVADVVDRRLGGEHDGAEAAVMAELAMQCIGDSPGLRPSMADVVRALQEKTSALASAVGSRLDRKMMF >ORUFI04G21870.1 pep chromosome:OR_W1943:4:22765292:22766063:-1 gene:ORUFI04G21870 transcript:ORUFI04G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIHGGSGGGGGGGGGGVWGEEGISTRCWVLCGSTSNEKEWATTIGVGCSRPSVRCGHVVVGVLPRHSAGGGGRGGQPQWLVYYNKRERFRMDLEALATLQLINGRGTRKKMGCGGGRLYVGLTY >ORUFI04G21880.1 pep chromosome:OR_W1943:4:22776432:22779657:-1 gene:ORUFI04G21880 transcript:ORUFI04G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHQGGGSQYGAVPPDMGPFSPTHHASAPAPLPLSSRPPPAALSQPPPPQQQQQQPRTSYDELAAATSAGAGGFPDDDMLGDAGGSGGGGGSGAAGNRWPREETLALIRIRSEMDATFRDATLKGPLWEEVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFTELEALHAAAPQTPQPQQQQQQQLPPVTSSAPAMHAFAPPVPAPPPMSAMPPPPGPIQPAPISSAAPAVPLELPPQPPINLQGLSFSSMSGSESDDESEDDEMTAETGGSQDRLGKRKRGAGGKRLATFFEGLIKQVVDRQEEMQRRFLETMEKREAERTAREEAWRRQEVARLNREQEQLAQERAAAASRDAAIISFLQRIGGQSVQVPPAATVIQMPTPVQLQTPPPVKQPARQHQPQPTPPPPQAAPIPAAPLQQQPPQPQHKETIHHEAVTPRRAPPTSGSSLELVPAAEQHVESGLGGGEGGSASSSRWPKTEVQALIQLRMELDMRYQETGPKGPLWEEISSGMRRLGYNRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDVIYRRKHLTGGGGGGASAANVAATAIEHQNPNRHEIEGKNINDNDKRKNGGGGGAQVPTSNGDTAPTTATFDVDSGMKKPEDIVRELSEQPPREFTTDETDSDDMGDDYTDDGEEGEDDGKMQYRIQFQRPNPGGANTAPPPATTPASAVPTSTPTSTFLAMVQ >ORUFI04G21890.1 pep chromosome:OR_W1943:4:22807174:22807512:-1 gene:ORUFI04G21890 transcript:ORUFI04G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDSSDHVAEDQLAEEEEHYINDANHLVPNSVQEEGSSGRDIVVPGNHDGEEDYPDNLDLDILVDCEVGPIPGGHLNADAAVFVPTTGGHQDLYTASAAAYRHTSPCLHSS >ORUFI04G21900.1 pep chromosome:OR_W1943:4:22809416:22816977:1 gene:ORUFI04G21900 transcript:ORUFI04G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVKTTHAGHAKSLASTFDFSAFPDGIVCVGGDGIVNEVFNGLLSRSDRAEAVSIPVGIIPAGSDNSLVWTVLGVKDPISASLLIVKGGFTALDILSVEWIQSGLIHFGTTVSYYGFISDVLELSEKYQKKFGPLRYFVAGILKFFCLPSYFYELEYLPSSKEMTGHGKGIGQENFVSDVYTNVMRSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTAASTEPSEYVRGLDPKAKRLSLGRSNIVSEPEEVLHPQPHHSSFWPRTRSKTRTERNSVGVTTNDTRLSWAAPSIHDKEDISSTISDPGPIWDSEPKWDNGPKWDTELTWESDHPIELPGPPEDNEIGPSVELVPNLDDKWVVRKGHFLGVLVCNHSCKTVQSLSSQVIAPKANHDDNTLDLLLVGGKGRWKLLKFFILLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGHVLCSLLQEQCMLIGRQSRQST >ORUFI04G21900.2 pep chromosome:OR_W1943:4:22809584:22817123:1 gene:ORUFI04G21900 transcript:ORUFI04G21900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADLENPTSRSSSQKSSRRSGSRRSQKSAGQQSSPTVFPEKKGKSKSSRQKHLVFDNKDSKKAKNNEQKNDVVDEKSNFSGYEIYSGKLFFDKKNRITGDQISANGKADTSDVRLTSKALIWGSSLLSLEDVISVSYSSGVQHFIVHAYPSKKYLFGKTHRVRKDLRFIAPTVEEAISWLAGFHMEVVKTTHAGHAKSLASTFDFSAFPDGIVCVGGDGIVNEVFNGLLSRSDRAEAVSIPVGIIPAGSDNSLVWTVLGVKDPISASLLIVKGGFTALDILSVEWIQSGLIHFGTTVSYYGFISDVLELSEKYQKKFGPLRYFVAGILKFFCLPSYFYELEYLPSSKEMTGHGKGIGQENFVSDVYTNVMRSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTAASTEPSEYVRGLDPKAKRLSLGRSNIVSEPEEVLHPQPHHSSFWPRTRSKTRTERNSVGVTTNDTRLSWAAPSIHDKEDISSTISDPGPIWDSEPKWDNGPKWDTELTWESDHPIELPGPPEDNEIGPSVELVPNLDDKWVVRKGHFLGVLVIAPKANHDDNTLDLLLVGGKGRWKLLKFFILLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGHTLADMFG >ORUFI04G21900.3 pep chromosome:OR_W1943:4:22809584:22816977:1 gene:ORUFI04G21900 transcript:ORUFI04G21900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADLENPTSRSSSQKSSRRSGSRRSQKSAGQQSSPTVFPEKKGKSKSSRQKHLVFDNKDSKKAKNNEQKNDVVDEKSNFSGYEIYSGKLFFDKKNRITGDQISANGKADTSDVRLTSKALIWGSSLLSLEDVISVSYSSGVQHFIVHAYPSKKYLFGKTHRVRKDLRFIAPTVEEAISWVTCFAEQNIYVNMLPLPPTSSTEQDLDGPLSGALFDHPPIKCRTPPRILVILNPRSGHGRSCKVFHDKAEPIFKLAGFHMEVVKTTHAGHAKSLASTFDFSAFPDGIVCVGGDGIVNEVFNGLLSRSDRAEAVSIPVGIIPAGSDNSLVWTVLGVKDPISASLLIVKGGFTALDILSVEWIQSGLIHFGTTVSYYGFISDVLELSEKYQKKFGPLRYFVAGILKFFCLPSYFYELEYLPSSKEMTGHGKGIGQENFVSDVYTNVMRSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTAASTEPSEYVRGLDPKAKRLSLGRSNIVSEPEEVLHPQPHHSSFWPRTRSKTRTERNSVGVTTNDTRLSWAAPSIHDKEDISSTISDPGPIWDSEPKWDNGPKWDTELTWESDHPIELPGPPEDNEIGPSVELVPNLDDKWVVRKGHFLGVLVIAPKANHDDNTLDLLLVGGKGRWKLLKFFILLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGHVLCSLLQEQCMLIGRQSRQST >ORUFI04G21900.4 pep chromosome:OR_W1943:4:22809416:22816977:1 gene:ORUFI04G21900 transcript:ORUFI04G21900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADLENPTSRSSSQKSSRRSGSRRSQKSAGQQSSPTVFPEKKGKSKSSRQKHLVFDNKDSKKAKNNEQKNDVVDEKSNFSGYEIYSGKLFFDKKNRITGDQISANGKADTSDVRLTSKALIWGSSLLSLEDVISVSYSSGVQHFIVHAYPSKKYLFGKTHRVRKDLRFIAPTVEEAISWVTCFAEQNIYVNMLPLPPTSSTEQDLDGPLSGALFDHPPIKCRTPPRILVILNPRSGHGRSCKVFHDKAEPIFKLAGFHMEVVKTTHAGHAKSLASTFDFSAFPDGIVCVGGDGIVNEVFNGLLSRSDRAEAVSIPVGIIPAGSDNSLVWTVLGVKDPISASLLIVKGGFTALDILSVEWIQSGLIHFGTTVSYYGFISDVLELSEKYQKKFGPLRYFVAGILKFFCLPSYFYELEYLPSSKEMTGHGKGIGQENFVSDVYTNVMRSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTAASTEPSEYVRGLDPKAKRLSLGRSNIVSEPEEVLHPQPHHSSFWPRTRSKTRTERNSVGVTTNDTRLSWAAPSIHDKEDISSTISDPGPIWDSEPKWDNGPKWDTELTWESDHPIELPGPPEDNEIGPSVELVPNLDDKWVVRKGHFLGVLVCNHSCKTVQSLSSQVIAPKANHDDNTLDLLLVGGKGRWKLLKFFILLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGHVLCSLLQEQCMLIGRQSRQST >ORUFI04G21900.5 pep chromosome:OR_W1943:4:22811171:22816977:1 gene:ORUFI04G21900 transcript:ORUFI04G21900.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADLENPTSRSSSQKSSRRSGSRRSQKSAGQQSSPTVFPEKKGKSKSSRQKHLVFDNKDSKKAKNNEQKNDVVDEKSNFSGYEIYSGKLFFDKKNRITGDQISANGKADTSDVRLTSKALIWGSSLLSLEDVISVSYSSGVQHFIVHAYPSKKYLFGKTHRVRKDLRFIAPTVEEAISWVTCFAEQNIYVNMLPLPPTSSTEQDLDGPLSGALFDHPPIKCRTPPRILVILNPRSGHGRSCKVFHDKAEPIFKLAGFHMEVVKTTHAGHAKSLASTFDFSAFPDGIVCVGGDGIVNEVFNGLLSRSDRAEAVSIPVGIIPAGSDNSLVWTVLGVKDPISASLLIVKGGFTALDILSVEWIQSGLIHFGTTVSYYGFISDVLELSEKYQKKFGPLRYFVAGILKFFCLPSYFYELEYLPSSKEMTGHGKGIGQENFVSDVYTNVMRSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTAASTEPSEYVRGLDPKAKRLSLGRSNIVSEPEEVLHPQPHHSSFWPRTRSKTRTERNSVGVTTNDTRLSWAAPSIHDKEDISSTISDPGPIWDSEPKWDNGPKWDTELTWESDHPIELPGPPEDNEIGPSVELVPNLDDKWVVRKGHFLGVLVIAPKANHDDNTLDLLLVGGKGRWKLLKFFILLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGHVLCSLLQEQCMLIGRQSRQST >ORUFI04G21900.6 pep chromosome:OR_W1943:4:22809416:22814336:1 gene:ORUFI04G21900 transcript:ORUFI04G21900.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADLENPTSRSSSQKSSRRSGSRRSQKSAGQQSSPTVFPEKKGKSKSSRQKHLVFDNKDSKKAKNNEQKNDVVDEKSNFSGYEIYSGKLFFDKKNRITGDQISANGKADTSDVRLTSKALIWGSSLLSLEDVISVSYSSGVQHFIVHAYPSKKYLFGKTHRVRKDLRFIAPTVEEAISWVTCFAEQNIYVNMLPLPPTSSTEQDLDGPLSGALFDHPPIKCRTPPRILVILNPRSGHGRSCKVFHDKAEPIFKLAGFHMEVVKTTHAGHAKSLASTFDFSAFPDGIVCVGGDGIVNEVFNGLLSRSDRAEAVSIPVGIIPAGSDNSLVWTVLGVKDPISASLLIVKGGFTALDILSVEWIQSGLIHFGTTVSYYGFISDGNFLYKTFAL >ORUFI04G21900.7 pep chromosome:OR_W1943:4:22809416:22813760:1 gene:ORUFI04G21900 transcript:ORUFI04G21900.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADLENPTSRSSSQKSSRRSGSRRSQKSAGQQSSPTVFPEKKGKSKSSRQKHLVFDNKDSKKAKNNEQKNDVVDEKSNFSGYEIYSGKLFFDKKNRITGDQISANGKADTSDVRLTSKALIWGSSLLSLEDVISVSYSSGVQHFIVHAYPSKKYLFGKTHRVRKDLRFIAPTVEEAISWVTCFAEQNIYVNMLPLPPTSSTEQDLDGPLSGALFDHPPIKCRTPPRILVILNPRSGHGRSCKVFHDKAEPIFKLAGFHMEVVKTTHAGHAKSLASTFDFSAFPDGINSTDVAK >ORUFI04G21910.1 pep chromosome:OR_W1943:4:22824217:22825780:1 gene:ORUFI04G21910 transcript:ORUFI04G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRTQLASWARIAMDRGDHHHLQQQHQFLMPPPAPVVPPQLCMPAMMADEQYMDLGGGGAAAAPGRGGAGERKRRFTEEQIRSLESMFHAHHAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDYAALRSKYDALHSRVESLKQEKLALTVQLHELRERLREREERSGNGGAATTAASSSSCNGSGSEEVDDDDDKRNAAAGCLDLEPPESCVLGGATCATPADVSVESDQCDDQLDYDEGLFPESFCATPELWEPWPLVEWNAVA >ORUFI04G21920.1 pep chromosome:OR_W1943:4:22833031:22835679:1 gene:ORUFI04G21920 transcript:ORUFI04G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGGEDGGKHRGATADEEAAATAASLNDLCATAGDAGGLPALAPFPRAAVWAVAALLAVGLGLGALVLAVVHSAALLVVAVLLSAAVVAFLLWNAAASASGRALRRFVDGLPASSLRSATDDQLVKITGLVACGDISLISSYEKVENCVYTSTLLRKCGRWGSEVANPKNRCSKWKLTHAERFAADFYITDAKSGKRALVKAGHDSRVVPLIDENLLVTTSGNTELSSTLRCWLDERNIPSEECQLIRLEEGYIAEGMRLSVIGILSKKDGDLMILPPPEPISTGCVFLSFLLPTYFDGIVLRLVDRSYFMHNSGVS >ORUFI04G21930.1 pep chromosome:OR_W1943:4:22837265:22837894:1 gene:ORUFI04G21930 transcript:ORUFI04G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPDRRSGAATSPSLRFLGLLKQPDDGSGDHELELDERDVVWSSSSSSNTSPSSWASSTNSSPSLTPSASAGVGVRRPLSSSHAFPAAGSVGLSALLADDHAPTASIPAKARPERQQPPQPYHQSAPVAVPAWPKATDSDRRRRGVQHEALNDEEDDDDELVVPPHEMAARRAAAAASVMEGAGRTLKGRDLRRVRNAVWRTTGFLDL >ORUFI04G21940.1 pep chromosome:OR_W1943:4:22850287:22853898:-1 gene:ORUFI04G21940 transcript:ORUFI04G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQERRRKRQPGPPRLELVVAHPREEEMAGLDGGGDAEEGATHARGGGGAPPPWREQLTARGLVASLAVGAMYSVIVMKLNLTTGLVPTLNVSAALIAFVVLRGWTQALARLGFAARPFTRQENTVVQTCAVACYSIAVGGQDHPPLHPSSIRRLPSLMEIPTDWFFGSFCAGGFGSYLLGLNKRTYEMAGEDTEGNVPGSYKEPGIAWMTGFLLAVMIIDYKLTYPSGTATAVLINGFHTPHGDAMAKQQVNGFTKYFAMSFFWSFFQWFYSGGDNCGFSQFPTFGLKAWQQTFFFDFSLTYVGAGMICSHLVNLSLLLGAILSWGVMWPLISDLKGDWYSADIPESSMKSLQGYKAFICVALILGDGLYNFVKIVALTIKNLFDSSKLKNAKKGEDMPVLDELHRNEVFTRDNIPSWLAFSGYLGLTFIAVIAIPMMFHEMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKIALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSPRSMIIAQAIGTVMGCVISPLTFFLFYNAFDIGNPEGYWKAPYALVYRNMAILGVEGFSALPQHCLQLCYGFFGFAVAANLTRDLCPPKYGRWVPLPMAMGVPFLVGASFAIDMCIGSLIVFTWHIIDKSKAALMVPAVASGLICGDGLWIFPASLLALAKISPPMCMAFRSTN >ORUFI04G21950.1 pep chromosome:OR_W1943:4:22859463:22859678:-1 gene:ORUFI04G21950 transcript:ORUFI04G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQLAVRLLLPGLLIGLAVVALILPVLLLLLMVLVMVVRVRQLTGGIGAADLVVELAERLCGEHADVAVL >ORUFI04G21960.1 pep chromosome:OR_W1943:4:22871477:22872876:1 gene:ORUFI04G21960 transcript:ORUFI04G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKKGWVWGINISFIRLIQWGESVTPTTVSGGGAAKITQSPRANCVTCCGGRGNSEAPTVIRVEVPGAALRPWVWVLPGFTTNGSTSGLVDRERPHGRRKRRERRGAGTLVVERLRRRRQNMFPSDAHPGRVGGDRNGDGNSLLRQQEEVAVMGKGKGKGVKWIRLV >ORUFI04G21960.2 pep chromosome:OR_W1943:4:22872886:22873166:1 gene:ORUFI04G21960 transcript:ORUFI04G21960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGLGQQRSGKGYRRWPVVARHNRRERARGARLICGEKGRAGLLFIGVGRRGEDGVGLGGGDVRRKTTAPAVACDWLEMAVCSKG >ORUFI04G21970.1 pep chromosome:OR_W1943:4:22878968:22882843:-1 gene:ORUFI04G21970 transcript:ORUFI04G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTPITSLFLYFHTSRSLTQPCKSQVHGRKKKKRRLLQRGCSTVNRAASLVQSFPAVDAYLIDPPAASSRSHPRCGGVRLIVVSERCCCFRREDSTAAAATGAAADVSELELLVPRGGASSGAAGSMDRHALGGGGALEIEKTPEAAEDMESEPALAAAREAERVPPWREQVTARGMVAALLIGVVYTVIVMKLSLTTGLIPTLNVSAALLAFLALRGWTHALDRLGIASRPFTRQENTVIQTCATYELSGASTPGNVPGSYKEPGIGWMTGFLLSTSFVGLLTLLPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNAKKQVRGFLRYFGISFLWSFFQWFYTGGDVCGFLQFPTFGLKAWKHTFFFDFSLTYVGAGMICSHLVNLSLLFGAILSWGIMWPLIGKQKGNWYSAKASESSMSGLFGYKSFICIALLVGDGFYNFVKVIVVTLKSVRERSRRRGLNNRVADADTMAIDDMQRNEVFNRDNIPTWMAYTGYTLLSVIAVVLIPVMFRQVKWYYVIIAYLLAPALGFCNAYGTGLTDMNMGYNYGKIALFIFAAWAGKDDGVVAGLVGCGLVKQLVLISADLMHDFKTGHLTLTSPRSMLVGQVVGTLMGCVVAPLTFFLFYKAFDVGDPNGYWKAPYALIYRNMAIIGVEGFSALPRHCLQLCAGFFAFAVLANLARDFLPRRYGRYMPLPMAMAVPFLVGASFAIDMCAGSLVVFLWHRFDGKRAALLVPAVASGLICGDGIWTFPSSLLALAKVKPPICMKFIPGN >ORUFI04G21970.2 pep chromosome:OR_W1943:4:22878968:22882843:-1 gene:ORUFI04G21970 transcript:ORUFI04G21970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTPITSLFLYFHTSRSLTQPCKSQVHGRKKKKRRLLQRGCSTVNRAASLVQSFPAVDAYLIDPPAASSRSHPRCGGVRLIVVSERCCCFRREDSTAAAATGAAADVSELELLVPRGGASSGAAGSMDRHALGGGGALEIEKTPEAAEDMESEPALAAAREAERVPPWREQVTARGMVAALLIGVVYTVIVMKLSLTTGLIPTLNVSAALLAFLALRGWTHALDRLGIASRPFTRQENTVIQTCAVACYTIGYGGRSPLHHPGQSWRLVALTASRVRVEHRWIRTYELSGASTPGNVPGSYKEPGIGWMTGFLLSTSFVGLLTLLPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNAKKQVRGFLRYFGISFLWSFFQWFYTGGDVCGFLQFPTFGLKAWKHTFFFDFSLTYVGAGMICSHLVNLSLLFGAILSWGIMWPLIGKQKGNWYSAKASESSMSGLFGYKSFICIALLVGDGFYNFVKVIVVTLKSVRERSRRRGLNNRVADADTMAIDDMQRNEVFNRDNIPTWMAYTGYTLLSVIAVVLIPVMFRQVKWYYVIIAYLLAPALGFCNAYGTGLTDMNMGYNYGKIALFIFAAWAGKDDGVVAGLVGCGLVKQLVLISADLMHDFKTGHLTLTSPRSMLVGQVVGTLMGCVVAPLTFFLFYKAFDVGDPNGYWKAPYALIYRNMAIIGVEGFSALPRHCLQLCAGFFAFAVLANLARDFLPRRYGRYMPLPMAMAVPFLVGASFAIDMCAGSLVVFLWHRFDGKRAALLVPAVASGLICGDGIWTFPSSLLALAKVKPPICMKFIPGN >ORUFI04G21980.1 pep chromosome:OR_W1943:4:22885628:22889672:1 gene:ORUFI04G21980 transcript:ORUFI04G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILPKPLDHPAPTPPPPHPGRSQQPIRSPTPLFASPIAPWPRAKPEGGERRGSSVAMDGLIKGLINVAIDAVEGAGRGERGGEDDAPRRHRPAREEEEGRGDERSRSTWAEVVSDHKGGEAEERPDHRNSRRYEEEYRRDSSSRRPQQHQQAPAYRRQQQDGDERNDGGWQTVGEKKHHGRPQQSEAWNAYRRPPSEQQYCEDAGQIHHGLNVEPTREELNSLSRACSRLWELDMNRLTPGKDYRIECGEGKKVYQKGDMASETLFSWLGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTQQDKHEEVAFIEEIARTAPIKYLHRYLVLKGVASQDYEDFKRMLTSLWFDLYGRGGSSSSSSAFEHVFVGEIKGRRGQGENEFYLEEANGNVDYQGYIFPRRRGESPDSETQLLTIQFEWHGVLKSVSSTLIGVSPEFEVALYTLCFFMGGEDNRVEIGPYGVNIKCYRMGNSKIGSAFPIADN >ORUFI04G21990.1 pep chromosome:OR_W1943:4:22889565:22891209:-1 gene:ORUFI04G21990 transcript:ORUFI04G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMCLFQDKRRSKRRPEVAAPAPAPAPPSPSAAAAVSTDACSNVSVPAAAPSTCTSSWASTRPSASSSAVSTPEPYEARQGAPRELALRELRGATGDFSPLLMVGRGGFGCVYRGVLRLPGEPPHGTPVAVKRLNPDSRQARTHMTTRSRLISTASAICDDSIGHKEWLAEVQLLGVVEHPNLVNLLGYCAAQTERGPQRLLVYEFVPNKTLDDHLFDRSHPVLPWGVRLQIALGAAEGLLYLHEGLEFQIIYRDFKAANVLLDDEFRPKLSDFGLAREGPSEGQTHVSTAVMGTYGYAAPDYVRTGHLTTKSDVWSFGVVLYEILAGRRSIDKSRPKDEQKLLEWVRRHPAGSPRFGRIMDGRLQGRYSVRAAREVAELAAGCLAKHGKDRPAMAEVVERLRRATRHAELDGEVYDDAGEESSSSPAAAAVEDDVAVAAAAARRRMLHLAALGENASASAHARRRLMLMRAAAAATAAT >ORUFI04G22000.1 pep chromosome:OR_W1943:4:22894962:22901816:1 gene:ORUFI04G22000 transcript:ORUFI04G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKARRGAAAAGRKGPGTRGRLVKAQAAVEEVPAEEVKAAEEAPKVEEQKRQPSPPLQQPAAEEKASSDAAANGASHGEDEGTTKETYEEDKGERLEFEDEPEYEEEAAVDYDEKDLEQYEEQYEDGDEVVEYTEDVIEEETDMVDEELDGGDDGEGEGYENAEEEHNVDVEDEEHHEMVKEHRKRKEFEVFVGGLDKDATESDLRKVFGEVGEITEVRLMMNPVTKKNKGFAFLRYATVEQARRAVSELKNPSVGLKIWIFYIMVRGKQCGVAPSHDNDTLFVGNICKTWTKEHLKEKLKSYGVENFDDLLLVEDSNNPGMNRGYALLEFSTRPEAMDAFRRLQKRDVVFGVDRSAKVSFADSYPEVDDEIMAQVRTVFIDGLPPSWDEDRVKKYLKKYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACADGITNSEIGEGDSKAKVRARLSRPLQRPPRMKHGLRGNFRVGQGAPRGGRFAYDRPPPLRRPPPRLLRPDVSRLPPPVRSRPLKRPVDIRDRRPVMSIPDRVRRLPPPERSYDRRPPAPVYPKRSPRREYGRRDELPPPRSRATFGDYSSRVPVDRRPYRDDYSPRGSAYSDLGPRSAPRLSDRRAYIDDGYGGKIDRPLPTYREGRGRDYDTMSGSKRSYAEMPTKTLLLLCQDDVPPRYHDISVRQSKARLDYDVGGSSARLGRSHAGYSGGRSVSGHDPVYSSGRHGMSYGGSASSNDAGGMYSSNFSGSYMSRGSDVGGSSYSSLYSGRNVGSSSGYYGGSGSSSYY >ORUFI04G22010.1 pep chromosome:OR_W1943:4:22905068:22905685:1 gene:ORUFI04G22010 transcript:ORUFI04G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPTNAAAAARRVPPPCWTPDETLALARAYTARRLAVGRAHLTSADWAAVADAATPTKTARQCRHKVEKLRRRLRSKRRRPCPLLDAIDLLDGPSPSASPSQSPSPPPPASPPPLPPAPSSPPPKKRRLPDADADADAEDDGESDVVKALRAIGEGFLRAEQRRMEAARKTQQMRMEMALRHLDSQRRLMEALVDRIIDSLE >ORUFI04G22020.1 pep chromosome:OR_W1943:4:22908949:22912299:-1 gene:ORUFI04G22020 transcript:ORUFI04G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRDVLLSIAQTPHRLRRRALVTWTPAQETSEVRDRSGARMKRRLEWYDLVGLGVGGMLGAGVFVTTGRVARDTAGPAVFASYVIAGVSALLSSFCYAEFSVRVPAAGGAFSYLRVTFGELVGFFGGANILMEYVLSNAAVARSFTDYLASTCGITEPDAWRIQVDGIAKGYNALDFPAVALILVLTLCLCYSTKESAMLNMVITVFHLLFFVFIILAGLWNGSARNLVSPHGLAPYGVRGVLDGAAIVYFSYIGYDSASTMAEEIRDPARALPVGIAGSVLVVSALYCLMSLALCAMLPYTEIAESAPFSAVFREKAGWEWAGSVVGAGASLGIVASLLVAMLGQARYLCVIARARLVPAWLAKVHPSTGTPMNATIFLGLCTASIALFTELQVVFEMISIGTLLVFYLVANALIYHRYAKLGANRSLHVLLFLLLLTLSSLGFSLSRRIHGQCRWGMALFGATSVTITAMFHCAVRRDMPEPPSEWMVPLMPWPAAASVFLNVFLMTTLKVMSFQRFGLWSFVIIVFYVCYGVHSTYSAEENEAVNAMIHHANMDIS >ORUFI04G22030.1 pep chromosome:OR_W1943:4:22915945:22920570:1 gene:ORUFI04G22030 transcript:ORUFI04G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRRGEEKGLPVPPPPPPNPGGVTSRRQKEAAMEIEAVGADVFYSVLTFLILNGGPSHVLAKVFMVVMEDDPIISPKSSQKKVMRGEEAQKYKAMTTTKHDIFLDSFLPAGSYKKLYSYTHLLNGFAIYAKSEKAIKTLSGAKGVRLIQEDIKMAKMTTYTPRYIGANVVWPLLGGAEKAGDGVVIGMVDTGIDPSNPSFLSTSDQAKPPPASFKGTCQTGERFPPDSCNGKIVGARWFARAGQATGEFNATMHYASPYDPDGHGSHTASTAAGNFHTPAISKGYNFGHASGVAPGAHLAIYKAAYSFGGYMSDVIAAVDKAVEDGVDIISLSLGPTTITSGPASFLNLLETQLLLATKAGISVVQAVGNGGPDANSVVSFSPWITSVGASTTDRKYNKSIIIGNGQVFSCGGLSPSTPGETMYPLALADDVCNTNSTGGSSNCQDPDVFIRSLVQGKVIICMFVSSNYYEGDFLAGIVDTIQKIGAAGVIITDRSSSDSDIEYHPTFPTSIPSAIVVNSADAQALLEYYDDNLVRDKEGSVTKFGATIRILDGRRAIYTREAPVVAEYSSRGPDVDDMQMQAADVLKPNVMAPGHHIWGAWSPTSDAMVEFQGESYAMLSGTSMATPHVAGVVALIRQRHPKWSPAMVMSAIMTTADVTDRSGRPLMARRDGGVLERATPFDMGAGAINAARAVDPGLVFDAGYRDYLQFLCAVPGVDDAAVLRAVGVPCPPSRARWCSDLNAPSVTVASLVGSRRVDRRVTSVGAENETYMAHVQAPDGVAVRVSPDTFAVAPGATATLRIVLNTTAPGNTFSFGEVVLRGDKKHTVRIPLAVFPAAALSP >ORUFI04G22030.2 pep chromosome:OR_W1943:4:22915945:22920570:1 gene:ORUFI04G22030 transcript:ORUFI04G22030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRRGEEKGLPVPPPPPPNPGGVTSRRQKEAAMEIEAVGADGEEAQKYKAMTTTKHDIFLDSFLPAGSYKKLYSYTHLLNGFAIYAKSEKAIKTLSGAKGVRLIQEDIKMAKMTTYTPRYIGANVVWPLLGGAEKAGDGVVIGMVDTGIDPSNPSFLSTSDQAKPPPASFKGTCQTGERFPPDSCNGKIVGARWFARAGQATGEFNATMHYASPYDPDGHGSHTASTAAGNFHTPAISKGYNFGHASGVAPGAHLAIYKAAYSFGGYMSDVIAAVDKAVEDGVDIISLSLGPTTITSGPASFLNLLETQLLLATKAGISVVQAVGNGGPDANSVVSFSPWITSVGASTTDRKYNKSIIIGNGQVFSCGGLSPSTPGETMYPLALADDVCNTNSTGGSSNCQDPDVFIRSLVQGKVIICMFVSSNYYEGDFLAGIVDTIQKIGAAGVIITDRSSSDSDIEYHPTFPTSIPSAIVVNSADAQALLEYYDDNLVRDKEGSVTKFGATIRILDGRRAIYTREAPVVAEYSSRGPDVDDMQMQAADVLKPNVMAPGHHIWGAWSPTSDAMVEFQGESYAMLSGTSMATPHVAGVVALIRQRHPKWSPAMVMSAIMTTADVTDRSGRPLMARRDGGVLERATPFDMGAGAINAARAVDPGLVFDAGYRDYLQFLCAVPGVDDAAVLRAVGVPCPPSRARWCSDLNAPSVTVASLVGSRRVDRRVTSVGAENETYMAHVQAPDGVAVRVSPDTFAVAPGATATLRIVLNTTAPGNTFSFGEVVLRGDKKHTVRIPLAVFPAAALSP >ORUFI04G22040.1 pep chromosome:OR_W1943:4:22926731:22931687:1 gene:ORUFI04G22040 transcript:ORUFI04G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFRQAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFIGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAIPYGGAKGGIGCAPGELSTSELERLTRVFTQKIHDLIGAHTDVPAPDMGTNSQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLAEHGKSISGSTFVIQGFGNVGSWAARIIHEKGGKVIALGDVTGSIRNKNGLDIPALMKHRNEGGALKDFHDAEVMDSSELLVHECDVLIPCALGGVLNRENAPDVKAKFIIEAANHPTDPEADEILAKKGVTILPDIYANSGGVIVSYFEWVQNIQGFMWDEEKVNMELHKYMNNSFQHIKAMCKSHDCNLRMGAFTLGVNRVARATLLRGWEA >ORUFI04G22050.1 pep chromosome:OR_W1943:4:22933529:22935455:1 gene:ORUFI04G22050 transcript:ORUFI04G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHRFAASTHCLHFGSDLNIGDHHSKRATISSTQHCLHLILRLRPLSSSYLCCSNTRKHLRSYKNARGFHLLLLLTLATLGHNRRHGRTAGSPAGAGARAGGAARMDRRRRVRAGLRPRRLLEAEVEEALAGAVAPAAAAATVAVSAGLAGRATAAGEPALGRSEAGVDQAAAAAAGALAGDSTAVTATGLSAVAATETLKPLDILPCIRCTQ >ORUFI04G22050.2 pep chromosome:OR_W1943:4:22933180:22934106:1 gene:ORUFI04G22050 transcript:ORUFI04G22050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGPPCILFTKLNYIISSVQFFLFAHVLISRCSFYQQVCESSAWLIRLAEDMLIHRFAASTHCLHFGSDLNIGDHHICEVTRMLVVFTFCCWFALLLIYLL >ORUFI04G22060.1 pep chromosome:OR_W1943:4:22937447:22940808:-1 gene:ORUFI04G22060 transcript:ORUFI04G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSRRESECGREMWALRYGVRRENRYIWPKSTRFGASSIFGGLNAVSVGGPFSSRICHISDGGPDEGSPEDALRRVRGFWWWWGQCGGRWMGIPEVVVPPRAAGPRRYKGLVPWRFQPGFVRPPPVKPPAAAAAVAGGGVAGTPGGKGRGLGASGEGVGSSGGRGDPQSRRCTRSASAKGSGDARSVEEGGPRVAGDDGGSGKSGVAAEGSGFEGLRNGRGGGVGTAAAEDCGLEKSNPDGIVGDADVHLESGSDARDGECVSEGLKKPCVNNSNGSSAADCAPKVKKGNDSGDGGADECNAAAKSSNLACPGNNGDETNRKGRKVVLPWRFQVGFKRSFSKAFCSNSESSGPSGTQFYRAQDSSTPCTPATRSSVRCYASAHSGVRVSAMRDFSVKGEKETSTPYKKSKTGMDGPSQGMPKNGVVLARENIMGSLQNFRLIYRDLLDEEEEKSTEAVIRPDLQAYRIFRERFITDCDEKKYIGNVPGIKVGDIFHLRVELCVVGLHRPHRVGVDHIKQEDGTCIAVSIVSYAQSSDIKNNLDVLVYSGAMTAIANQKIEGTNLALKKSMDTNTPVRVIHGFVTHLNGNCQRKKIPTYIYGGLYIVEKYWREKEGNDRYVYMFRLRRMAGQKHIDIQDILNSGQAESYGGIIIKDISRGLEKIPVSVVNSISDEYPMPYRYIAHLQYPRNYQPAPPAGCGCVGGCSDSKRCACAVKNGGEIPFNDKGRILEAKPLVYECGPSCKCPPTCHNRVGQHGLRFRLQVFKTKLMGWGVRTLDFIPSGSFVCEYIGEVLEDEEAQKRTTDEYLFAIGHNYYDEALWEGLSRSIPSLQKGPDKDEEAGFAVDASKMGNFAKFINHSCTPNLYAQNVLYDHDDKSVPHIMFFACEDIPPRQELSYHYNYTIDQVHDANGNIKKKKCLCGSIECDGWLY >ORUFI04G22070.1 pep chromosome:OR_W1943:4:22946220:22948220:-1 gene:ORUFI04G22070 transcript:ORUFI04G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDLADMPRVVGVLAALLERVTERNDAAAAELELAVAGAPAASAFRATTKPDITVRAYMARIARFAGCSPACYVVAYIYLDRLLRRRRRACAFSVDSYSVHRLLITAVLAAVKFMDDICYNNAYFAKVGGVSLPEMNYLEVDFLFGVGFDLNVSPETFGHYCAVLQSEMLCLELEPPPSPSPAPAARLHCFLAEDDTSSSGSTQHQLAA >ORUFI04G22080.1 pep chromosome:OR_W1943:4:22950631:22953039:-1 gene:ORUFI04G22080 transcript:ORUFI04G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHILGSSTLAPARPSPLRQSGAGTGAATVRCASSSSNPSPSSSSSAAAAAGKQVAKVHSYGALDYERRAALRWSSLYRRIAVGHGGRPVGRTLGAWDEGERRLDKWELCRIARELRKFRRFNLALQVYDWMTERRDRFSLSSSDMAIQLDLIAKVRGVSHAEEYFEELPDPLKDKRTYGSLLNVYAQAMMKEKTESTFEQMRKKGFATDTLPFNVLMNFYVDAEEAEKVSILIDEMMERNVAFDVCTYNIWIKSCAAMQDADAMEQVFNQMIRDETVVANWTTYTTLASMHIKLGNSEKAEESLKEAEKRTTGREKKCFHYLMTLYSHLGKKEEVYRVWNWYKATFPTIHNLGYQEVLSALVRLGDIEGAELLYEEWASKSSSFDPKTMNILLAWYAREGFVTKAEQTLNRFVEKGGNPKPNTWEILGTAYLKDGQSSEALSCLEKATAVASPSKWRPRPTNVESLLANFKEKNDAESADRLMNVLRSRRCEENEEYKSLINTYAFQDT >ORUFI04G22090.1 pep chromosome:OR_W1943:4:22954841:22958234:-1 gene:ORUFI04G22090 transcript:ORUFI04G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCRHCGVTSTPLWRNGPPDKPVLCNACGSRWRTKGSLTNYTPMHARDDIDAEEPRASKLKPPTLKLKEQKQLKKNPSHITMENGPFSDQNFRKMGDPDLSNRSGSGSALSYSESCAPYGTADASEMTASAQSHAWESLVPSKRRSCVTRPKPSQMEKLAKDLNSIMHEEQLLYLSGSSEEDLIYHSATPVDSFEMGYGSMLLRPNSKSLEEESEASSIPADNKSYITSESYSGSVSFVYSESKATSNQNVITEQPKKFLVQTSDNARRANLHTENQDTLENANSPLVSLHMEGKDSEETRVKTSASNRLTKSTMNPLKRPHDTHFQSSVELRGTMRSPKRVSKYGDAMGLKCQASFMPKPGNGKDLAFSDRALNLFMLPPDKLSMLVPPQYANNDSDQDLLLDVPLNARHPEAELLCQPSQLSSVAHSSTSEAGNAGGEGRLKQP >ORUFI04G22100.1 pep chromosome:OR_W1943:4:22969049:22975997:-1 gene:ORUFI04G22100 transcript:ORUFI04G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRPSPAARLLREYGWDLLLGSIAAFYAVMVPYTKVEESFNVQAMHDILYHNYHIEKYDHLEFPGVVPRSFIGALVVSVISSPAVFVMHLCHVPKVYGLLAVRIVLGSIILMTLRLLRVQVKRKFGHHAEAFYLILTATQFHLLFYSTRPLPNVLALAFVNLTYYFWFKGNHRRTLQALIVAAVIFRCDMILLLGTIGLALLLVGALLDRRIVPYILPVFSFVVLYSKLPHKELRFIMASIPMLNVSASLAASRIYNNRKKTGWKLLYVLMIGGFLSSLGYSGVTFMASYNNYPGGYALKALHEADSVMKDKIVHIDAFTAMSGVSRFCESEYPWRYSKEEKISIEEYQERNFTYLLNEHRHISGYKCLFAVDGFSRAKIQPRIPLLSLVKEPKVFAHGNTRDPDILSLNWPGCP >ORUFI04G22100.2 pep chromosome:OR_W1943:4:22969049:22975997:-1 gene:ORUFI04G22100 transcript:ORUFI04G22100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRPSPAARLLREYGWDLLLGSIAAFYAVMVPYTKVEESFNVQAMHDILYHNYHIEKYDHLEFPGVVPRSFIVRIVLGSIILMTLRLLRVQVKRKFGHHAEAFYLILTATQFHLLFYSTRPLPNVLALAFVNLTYYFWFKGNHRRTLQALIVAAVIFRCDMILLLGTIGLALLLVGALLDRRIVPYILPVFSFVVLYSKLPHKELRFIMASIPMLNVSASLAASRIYNNRKKTGWKLLYVLMIGGFLSSLGYSGVTFMASYNNYPGGYALKALHEADSVMKDKIVHIDAFTAMSGVSRFCESEYPWRYSKEEKISIEEYQERNFTYLLNEHRHISGYKCLFAVDGFSRAKIQPRIPLLSLVKEPKVFAHGNTRDPDILSLNWPGCP >ORUFI04G22110.1 pep chromosome:OR_W1943:4:22977969:22984238:-1 gene:ORUFI04G22110 transcript:ORUFI04G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSQLETACLPAALYAPLCPYTPPSPPSFLAPLPSLQHKLPQLPQLVHDHAAATGTNHGVMFSSDHGCLYPLLPGIPFCLDSGCGAAACDDDKPAGFAHLGSAEADTSAAAAARVDSEIAAAATATTCHGPNSWWKGTEKGKMKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHTHTPCSDDATTGAAGDHTASCAFTSF >ORUFI04G22120.1 pep chromosome:OR_W1943:4:22994653:22997533:-1 gene:ORUFI04G22120 transcript:ORUFI04G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNAGSFVAMRRLSGGSSCHDPSPNTYAEVVAGSTAWIGRGFSCVCAQRRDSDQRISFDLSPAQECCLQRLQNRIEVPYDGSNGEHQEALKTLWHVSFPGTELLGLVSDQWKEMGWQGKDPSTDFRGGGFISLENLLYFAKNYTKSFQELLCKQNGDRALWEYPFAVAGVNITFMLIQMLDLQAENDRAFDILYCITFKLMDHKWLEMHANYMDFNAVIKSTRRQLERELLLEDIQRIEDMPSYRFLDR >ORUFI04G22120.2 pep chromosome:OR_W1943:4:22994653:22997533:-1 gene:ORUFI04G22120 transcript:ORUFI04G22120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNAGSFVAMRRLSGGSSCHDPSPNTYAEVVAGSTAWIGRGFSCVCAQRRDSDQRISFDLSPAQECCLQRLQNRIEVPYDGSNGEHQEALKTLWHVSFPGTELLGLVSDQWKEMGWQGKDPSTDFRGGGFISLENLLYFAKNYTKSFQELLCKQNGDRALWEYPFAVAGVNITFMLIQMLDLQAAKPRSLIGSAVIKSTRRQLERELLLEDIQRIEDMPSYRFLDR >ORUFI04G22130.1 pep chromosome:OR_W1943:4:22999475:23004382:-1 gene:ORUFI04G22130 transcript:ORUFI04G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPCLGACSGGLALPVHRYHRLSSASRGTVSCAAAAAGGGKASPRGKENVWSGKDAAGSRVLVSGAMLVEVETVLQTQEPVIKPSWDTFASSLSGVWKGVGAVFSPITAEMEPVGVGSKQEYLYDFYTLSHIEKHADNNYGSVIRRKTNWAQLNPHGEAEKQSAGYDSGDQYNYSDKRTLDLPAHESFDLKKSDVLDEDSIAQEPGIDGSYSRGPVDLAIGEFDESKYFISPTYKFEQCLVKGCHKRLRIVHTIELNEGGANIQIVRIAVYEEKWVSPAHIHVEDDTPVDVKPLSQRKRTKPSDLTGSWKVYEVSATPIFSEERQEIEGGALFVYLCMETVKKRSLPESSVFFGEEEMLDMQDVTMLWLPGGVTAYVDVDKDGILCIGVGWYSDEGINMVMERDYGTDGKLRDVRWKTEVKRRWNQPVLP >ORUFI04G22140.1 pep chromosome:OR_W1943:4:23007269:23008150:-1 gene:ORUFI04G22140 transcript:ORUFI04G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGARVAADSSSDAIAGASEGPGDSEPRGDSSGAIRGDSSGAKMGAGVGATIGAGAGDTGAGAGDTGAGAGAKVGVATGARTGAASSGAGADVGGAVAGGSAAGGVARGGEATGAATVGGVARGGAATAKEGAETGGEEVAVGGDAAASCGAAAAVVGGAAAETCGAEAALGGAAAETRGAEAALVGAAAETFGAWAALVGAAAETCGAEAALVGAAADDTCGAEAAVVGAAAETCGDEAADACGVAALSGGPFDADGDEAGDCAAQETSSKQSARGRRSAIAASLSNQRLS >ORUFI04G22150.1 pep chromosome:OR_W1943:4:23009413:23015772:-1 gene:ORUFI04G22150 transcript:ORUFI04G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLPGVLVAVLLAAAAAPASAKDYTVGDSSGWTTGVDYTAWARGKTFNIGDTLLFQYTSAGHSVVEVSEADHTSCSAANPLRSYKDGTTIVTLTRSGTRYFICGSTGHCGAGMKLTVTVASLSGSATGGTRLAKPSSSDADPTTTTTTRTSSATGGATGSWAPRTATWLLFFAAVGALLSPYFRVLKVSHITYVPVAWPPPMAQSCLALAVCVLLVHGGAARVAEAASYNVGNSAGWDISADFPSWLDGKSFFVGDTLVFQYSKYHTLSEVDEAGYRNCSTASAVLSSSDGNTTVALTAPGDRYFVCGNELHCLGGMRLHVPVSEPASPGGAGATPASPGGGGALSPGAAGDAGVPTLDLGGSHRVTVGPAVATWLCIIAAALFVW >ORUFI04G22160.1 pep chromosome:OR_W1943:4:23017226:23018512:-1 gene:ORUFI04G22160 transcript:ORUFI04G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTATMIHVESMQTAVPTRITGAGRTLPVAVSGGEAPPPLTAASLQRRFRAVLYYRGIEQLQAEEEEEERAVWVKESLSASLADHPEMAGRLRRRDDDDGGVRGPWEVRLNDNGVRLVQASVDMPMSAFLEAKDLARREAALALWTDVDVHEPEFCAPFFMQLTRFQDGGYAIGASCSLLLADPLSLVDFLKAWARTHAEMRARGKPVAPPAVIQYARYLQSPGAAAAAVVRRLKSVPLDSCSAAAATTVLFRAAAGAQVDRHALAAACVDQAVETLGGNARKPPRLTVLAAGGSGELRVEACGCGDGEETTTPPPPSRGHHALRAAYWGDLGLGEIALDGSEPVHVSCTVVSPCADEGLVVVMAPAGGAELLISVTVPNY >ORUFI04G22170.1 pep chromosome:OR_W1943:4:23023565:23024289:-1 gene:ORUFI04G22170 transcript:ORUFI04G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAPRCLCPLVSKCGFPFDRFGGAGFLELERGYQPWVIPKSEARGGAGHAVKKVKRWLRKMDEQMDYEFYDWNLRSYRFKSPFDRRPLVGPRERCRKNAAKRTLRLVGLTDPDYLLQCEDAAFGDWEDSCEDEDEVFEW >ORUFI04G22180.1 pep chromosome:OR_W1943:4:23026150:23027370:1 gene:ORUFI04G22180 transcript:ORUFI04G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVRLKWVKNRGLDHIIARTTSIRASCLLLDHLARLPSSSPVPARSLARLQKPLGLTVPVLRFLRRHPTLFAETLHPRFPTLPSFSLTPASDILLGRLARASALDSHLRLARLLLLTRSKSLPLASVLPLRFDLGLPYNFAAAFPVAHPDLFAVSNNHISLSATASGLPEGIAISSLQRRHAEAIEGATYRALSRPPSSSIAPLAFPMRFPRGYGGMKKVKAWMDEFHRLPYISPYDDASGIDPDSDIYEKRNIGLLHELLGLMVHKMVRRNAIRLLREELGLPHKFTRLFTRYPGVFYLSLKCKTTTVVLREGYERGKLVEQHPLAAVRDKVFYVMRTGVLFRGKGLSKLVLDEDGDEEVVMDGDEEFHGEGMDEDADVECFGMDIVDNEDNTDDEDNERDMYD >ORUFI04G22190.1 pep chromosome:OR_W1943:4:23034591:23038562:1 gene:ORUFI04G22190 transcript:ORUFI04G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVDKIAEPKEPLMVTGRKVQSLEAPIPIKASWKGKSSQQQQQQQQDEKDFPADGEESFLSLDSSDEGGRSSFSGASHPLEPIDMDLMKTVYVAIDEEKSEQPVCLVRGVSAKGPFIDDLSICVTGMKANAVVGAGGADGLAEEMKVPGAAVPSLATARSSQATEAVSLPPDSEEKDCVWDASLPPSGNVSPHSSIDSMGVVTAMNTMSSCTSTYKSEAVSSEPVPTMERNCGSVKGSVRGDSLESAKTSMSRASDSSGVSDDSSWSNITGGASKPHKGNDPRWKAIHAVRTRDGVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQAGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRASAFDSDPRRAGGSFCVQPVCMEPTSVCIQPACFMPKLFGQKSKKKTKKTRSELGPSATTMPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPSTSYASRDLIKGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPDVPRPVEAELPVKYGVAEAIGSNSKRMVGADMKSGGKYLDFEFF >ORUFI04G22200.1 pep chromosome:OR_W1943:4:23038878:23042063:1 gene:ORUFI04G22200 transcript:ORUFI04G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRWLPLEANPEVMNQFMRGLGVPAEAGFCDVYGLDDEMLAMVPQPVLAVILLYPQDRKKESVASPSSTVESKKLSKNVYFTKQTIGNACGTVGIIHAIGNALSRIKLVEGSYFDRFYKQTADMDPAQRASFLEEDEEMEKAHSVAVSAGDTEAKDGVIEHYVCFSCVDDEIFELDGGNSQPISHGPSSPDSLLQDAAKVIKARIAQYPGSLNFNVMALSKQ >ORUFI04G22210.1 pep chromosome:OR_W1943:4:23043120:23043566:-1 gene:ORUFI04G22210 transcript:ORUFI04G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQHRGVIGGGGYGDRGGQEQQEKQPFMMTALKTVTAATAGGSMLVLSGLILAGTVIALTVATPVLVIFSPVLVPAAIALALMAAGFVTSGGLGVAALSVFSWMYKYLTGKHPPGADQLDHAKARLASKARDIKEAAQHRIDQAQAS >ORUFI04G22220.1 pep chromosome:OR_W1943:4:23048913:23049140:-1 gene:ORUFI04G22220 transcript:ORUFI04G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRERDRASEQHPRESSTGCKIANAISSRVQLVKLGGAIVCGRALADSSVVVVVVLLSRRNSPKWGVRRILCVY >ORUFI04G22230.1 pep chromosome:OR_W1943:4:23049280:23050236:-1 gene:ORUFI04G22230 transcript:ORUFI04G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMLRNHPEASVLDTIRQHLLEEPRGGGGGEAAEASFGSLVADMWSDSLPFRDDDADDMVVFGAMRDAFSCGWLPDGVFAEVKPEPLLSPDSSSYDGSSCCFGFADVSEPVTPSDAASGAAEAAAAAAAATAEHGKEEEAAAAVARGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFDTAEDAALAYDRAAYRMRGSRALLNFPLRIGSEIAAAAAAAAAAAAGDKRPSPEPATSESSFSSSSSCTTTTTTSSSTSFSGSPKRRKRGEAAAASMSMPLVPPPSQLNWPVQAWYPAAAPVEQVAITPRVEQLVI >ORUFI04G22240.1 pep chromosome:OR_W1943:4:23077188:23078144:1 gene:ORUFI04G22240 transcript:ORUFI04G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPGDAEDFALEFIHEHLLGGDAPVLPPAAVPAAAAYPPTSTMFLPQQQRGYAGLTPQEYVVDSAPAADQAAFRDDQPDPAADVMIMFGGERFPAVKPSSSSSPSLTVTVPPSSFGSWAPAAVPAVAATAAAVEDFRKYRGVRQRPWGKFAAEIRDPKRRGSRVWLGTYDTPVEAARAYDRAAFRMRGAKAILNFPNEVGTRGAELWATPPPTNKRKRQPEDDTAAADDVEVIGVANKAVKTEAPTSAYSSSSLSSMSRDTTATTSSAGTSTGSSEPTSFPVVTPSSWSWDQYWDGLPPLSPLSPHPALGFPQLTVS >ORUFI04G22250.1 pep chromosome:OR_W1943:4:23078265:23086989:1 gene:ORUFI04G22250 transcript:ORUFI04G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGADEVIKREGFDSSGLIRAAFAKKLKAFAKLELAKKQWLRTIPGMSDDMSNTQSGAPGPKTRSN >ORUFI04G22260.1 pep chromosome:OR_W1943:4:23087189:23088175:1 gene:ORUFI04G22260 transcript:ORUFI04G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHHGDADDFALEFIREHLLGVDGATATATEPADLEVVEPAAAYPPMSWQEQRQQEQHGCHVELTDEHLESAPAAEAAAAFRTAPAQPAAEVMIKFGGEPSPVRPSSSLTISLPPSSFGSWASAAAPAAAAVEDFRKYRGVRQRPWGKFAAEIRDPKRRGSRVWLGTYDTPVEAARAYDRAAFRMRGAKAILNFPNEVGTRGAELWAPPPPPPAHSAAASTTNKRKRQPSEDPDDGVEVIGVVSKAVKTEAPTSNSSSLSSSLTSRDTTPATSSAGAEHAGAAAESSPATPSSWSWEQYWEALLGGLPPLSPLSPHPALGFPQLTVN >ORUFI04G22270.1 pep chromosome:OR_W1943:4:23096810:23099745:1 gene:ORUFI04G22270 transcript:ORUFI04G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLVDTAAATLCLAAAVGGRRRAGSFLNCSCSSKEYRVSASYSIGRMLSGVRSAARKKLFRSEPADLLGISWSPDSPPSNGTDGGHHHHWWTALENNFVLESSEDEYGGVVVDADRLPSDKAAFARSLAASLSYWKSVGKKGVWLKLPVDRSEFVPIAVKEGFKYHHAEEAYVMLTYWIPHEEPCMLPANASHQVGVGGFVINDQMEVLVVQEKYCGSSLDGAWKLPTGFILASEEIFTGATREVKEETGVDTEFVDVVAFRHAHNVAFQKSDLFFICMLRPTSNNIKIDETEIQAAKWMPLEEFVKQPFIQEDHMFQKIMDICIQRLRKCYCGLTAHNVISRFDGRRSTLYYNVSEPEDVNCNAS >ORUFI04G22280.1 pep chromosome:OR_W1943:4:23100048:23103253:1 gene:ORUFI04G22280 transcript:ORUFI04G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQNGGGDGSGSGAASVVVNVYDLTPMNNYLYWFGLGIFHSGIEVHGVEYGFGAHEFPTSGVFEVEPKNCPGFVYRRSVRMGTTGMSRAEFRSFIEKLTGKYNGNSYHLISKNCNHFTDDVSKNLTGKPIPGWVNRLARVGSFFNYLLPKSIQVSAVRHVPTHPAFSDDDMDSRSCSISGDSDVDELDQHLLSATTIELHSIEHQS >ORUFI04G22280.2 pep chromosome:OR_W1943:4:23100048:23102826:1 gene:ORUFI04G22280 transcript:ORUFI04G22280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQNGGGDGSGSGAASVVVNVYDLTPMNNYLYWFGLGIFHSGIEVHGVEYGFGAHEFPTSGVFEVEPKNCPGFVYRSGDSDVDELDQHLLSATTIELHSIEHQS >ORUFI04G22290.1 pep chromosome:OR_W1943:4:23104876:23107862:-1 gene:ORUFI04G22290 transcript:ORUFI04G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMIAVTLSAKVAAALSGPAAVRLSSLAGVRSGIEAAARDLELLRAFLRFVDSLHGSDPLADAWVDQVRDVAFDLEDAADEYAFLSGHGFFRHGANLGAWFALSRRLWRARERLRELSAAKEQLGIRPAEVSASSSGGAGGLSAAMIGRKIAEASHFVEEGEIVGFAMHERLLMKWLTGDTDPRQLLIAVCGMGGVGKTTLVTNVYKKVAATCHFDCAAWVAVSKSFTTDDLLRRIAKEFHRDNRGCVPWDVDNMDYRSLVEALRGHLAKKRYLLLLDDVWDAHAWYEIRHAFVDDGTKSRIIITTRSQDIASLASSNRIIRLEPLSEQEAWSLFCNTTFREDADRECPYYLRHWASKILDRCCGLPLAIVSVGNLLVLKDRTEFAWKSVYDSLVWYESSDHGIGQVSSILNLSFDDLPYHLKKCFLYCSIYPEDFMIKRKILIRAWIAEGLIKEKGQGTMEEVADDYLNQLVQRSLLQAAVQNEFGRAKRCCIHDLIREMIVHRSTKERFFVFSKCTVTLKSSKKARHLVFDRCRSDRLSAPKMNSLRSFHAFKADLDASLFSSFRLLTVLNLWFTPIAKLPSAVASLLNLRYLGIRSTLIGELPEELGQLHNLQTLDAKWSMVQRLPQSITKLKNLRHLVLYRRRSADFTYPGPGTAIALPDGLKNLTCLQTLKYIEADEKMVRSLGSLKHMRSLELCGVHESNLIHLPSSISKMTCLLRLGIISQDANVKLDLEPFYPPPIKLQKLALAGMLVRGKLPSWFGSLNNLMQLRLHSSNLMEDSLGLLSSLPRLLHLSLVNAYSGKSLTFANGYFPALKKLTLHDLPNLSHLEFQKGSLVDLHVLMLGRCAQLNKLPQDIRNLVNLETMDLFEMPSEIIQNIQNNEILQEHNHESEHTIVIKNIRWNNGKLLEEKVYINLSLLESRPFIDKEQHNVKSQAEITAVYISIRQAPSVVNSLSLLRVELGAADVVQ >ORUFI04G22300.1 pep chromosome:OR_W1943:4:23115354:23131007:1 gene:ORUFI04G22300 transcript:ORUFI04G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIASQLQAIKSAVGAAPEPARRPITRPSVLFDAKEAADIDLRAILPIALSGLEHLEGVNERFRRYRNTLFSETSLEVNREQLTTKENDKLNKSISSYLRLLAGYLQLQAAMQTLEYLIRRYLNSKWAFLDAVKSSGAPPPRSVMVQQCIRDKAVLETICNYAAPTKEFHHSRTVVCFCTAVIVECLGAIPKLDTDIVQRVLGFVFDSLNPAMKGDQDYKAGALMIIGVLATRETLAPKLVQNLIFFVARAAQHDALDTIDLPWLRVTVMAIISLVQSQSVTDFPKKPLMILKDIRDFSGILSVLCCEFNIERFIRLYVESLVGYSSSDDSCHSHLIEIVETLNIEKFVERIVYKVLDHCVKASQAAENLDMNRTGLWSKKTLNVIGKKYPKELRNAIHKFLENSEVNSIGEDFASNLLGLVFDESKGMPTEISDSNIWFSLDHPKAEVRKSALSKIATSNIFKNHNLNPQNLINMQDAIIHNMYDDDLSVVEAALSIEGLAAVASPVSLLKVYDDLLANCINIIHKGGPKASKACDVAVSCLEKIIIEYRLHYIEHAKDIAAVVFRLLIVHPKTVRVNLKALELAKSIQWEFYTSSSLVYNVITTDKMKGISPESVASINMKNIKAFSETFLANPNKHVEWLADAGKGSAFSRALFLLIILQSLLAPAEVLDMQMSLCQACLPVLKNKWCQIKPKDGRVGDEINIDKLEKCITELVKHVFNNDTEALNARILINDGGNTLLDDLFLFFITSPGKIIFQKHLQYLMVNCTRAPFQFISKYFVDEGFSAGVRVESLLMLASICSVCALSETSSLDESLCVQLLLGFPCVMLPLAHENKDVRSSALKCIEGLSLVWQRLSASLSRNGNGSKLPKCMLSPTFGVFLGSLVNQKTMISSDTRFLPAYISSLLSPSQDLMVPENLHERFDQSTKDAILHFILRSGMKLSSYGKFMILSILKGVGSILFDVEDVKSLLFDLLDRRNQYQSGCESRQIMSTHEIQILCLLLEVMFSVSNSANVSSETSEALLKVLRIDVSAQEDPVVVMPCVTALQAVQPVFFDFLKTDTQEKVFASLISMFRTENTEIRNAARDAILRINVHASTAVKFIELIAAQGDKKMNSKRIKRKEDLNHDIFKNFDDLFGVKPTASVLVSLLDVLFLKKDVIQRTCLLQPLFQLLSKLLSDQWILGIVCQYNKGHDASPENPDLSNFMIEAQQLVLLILKDITDTLQSGHQDELFNCRDINLLINCIQSAKDLGTRNHGFSLIASLAKAFPQVVSESIEDLFVAIGDAVKQLFIKALVDVVEHRRLTLMVYLLRTLGEKKCLSTVIMCLLHSLVGRISHSPEHQGALSLRAMPQEWEYGLAVNITNQYSYKLWFHCLSKLLQEIRVHEKQYLLPMLHLAMQFILFKLQDTELIFDLDSEEAANSIQGSLGELMKEVVLCVAAVRDKKTGISGDALKELRDSANTILKVIAGWMCASTYFKGISRMLEHSRSVVKRKALGILCETAKGNSLIQKKQKKARKLNHSTPATALQVDKSSAPCFSELCVKILELVDREVDSDSSVRIAAISSLETLAKEYPSDNPAYRKCLAKITNHINSGDAVTSSRSIYTVGSLINVLGSKALPQLPLIMKNMLQVSHQVSFCPSGKYAHSSTKTDAKLSNQAIPILLSVLTTVEVIVKKLGEFVNPYLEEILDLVVLHPECASRNDEKLDAKAADVRKLLTDKVPVRLMLSPLLNLYNGAIKCGEASLSLAFEMLSTLVGAMDRLAVGTYHTKVYEHCLVALDLRRQHLDSLKNIAIVEQSIIHAITTLTMKLTEATFRPLFLRTLEWAESEVDRSTSNRSMDRAIVFYKLVNSLAEKHRSLFTPYFKYLLEGSVQYLSEDDALISSKQKKKKAKLEDAPVEQKDKLSGPKLWNLRALVLKSLHKCFLYDNDQKILDSSNFQALLKPIVSQFVIEPPEHFESVPEAPSVDEVDETLVLCLGQMAVTARSDVLWKPLNHEVGTKHYARSSFFLDNGQVLMRTRSDKVRPKMLGLKVVRHMVQQLKEEYVVLLPETIPFLAELLEDVELPVKTLAQEIVKEMETLSGESLRQYL >ORUFI04G22310.1 pep chromosome:OR_W1943:4:23132062:23134409:-1 gene:ORUFI04G22310 transcript:ORUFI04G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRVINAVLFTVLAVLVALVLGYFVVRCQRRQRRRRRRGAVLPSHGARADRFQSGGGTSGYGAGGAGGAEEALVRFPGGEGLTVAAILEAPGEVVAKSGHSTLYRAGLSAGEAVALLRFVRPVCAAAADEATAAARLLGALQHPNLVPIRALYVGPRGEMLLVHPFYAAGSLRRFLQEGINVSQKWGIICKLSIGIVKGLDHLHSGSQKPIVHGNLKTNNIMLDADFQPRISDFGLYLLLNPAAAQQMLEASAMQGYKAPELIKMREATRESDIYSLGVILLEMLAQKEAANSSSPNARDIHLPSSFKDLVLERKISDAFSSELVKQSKNSGKEQNLNAFFELATACCNPSPSLRPDTKRILKMLEEISR >ORUFI04G22320.1 pep chromosome:OR_W1943:4:23137529:23138764:-1 gene:ORUFI04G22320 transcript:ORUFI04G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAPAPEARVSGGDVPARLQQALALLFPTNLAAKAVLFAVVVALLPLLPTSQAPRIWELPHILLLGLIISYGVFGQRNADSEVAAVAATKTVDDESVESYVTQMMHGPLVFEENDGGGEADAAGKEGVQAWSSQYFPDDPLVVVADAGAGSNTGKGDESEKPLLLPVRKLKPATEESATLTESFSDGAIEEEEEEEEETEFLLRKARYGGVREHAIPSPSSVLDADLTLSPCSPPLLPPPPPPPPPPPFLDHDRPALRKAKARSFNDYGRVGLQTAAGCGGGGHNFRSKSAIQASRSTFPTPPFDDHDLEEKVAASDISSFSSDDVVTDDGEDGDNHKEIYNYEEEEGDVDRLDDDDGSCDEELFELATRLAPEEEEVVEDEVDRKADEFIAKFREQIRMQRVVEPGRR >ORUFI04G22330.1 pep chromosome:OR_W1943:4:23143549:23143956:1 gene:ORUFI04G22330 transcript:ORUFI04G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPSSSSSCLCRTRCHSNMHACMADMGGGAVAPTPTPLTHYPATASSSPVAPAVAPTPAPSTHCATTASLSPAADEEVEHEGAALAAVVGASTTSTYLASSSRQQRMWPPATSSDVAWTVEDAAIGDELRPGSG >ORUFI04G22340.1 pep chromosome:OR_W1943:4:23143990:23144457:1 gene:ORUFI04G22340 transcript:ORUFI04G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTVEDAAVGDELRRGAWMAEDTAAGNELRRGADGGRCGRRRRAPAWDVDGGTTGDDALVLDSDIWYHLIPVRYQDLIPRKYHPLRGKNRMILDKYHLIPHKYHLIRGRIA >ORUFI04G22350.1 pep chromosome:OR_W1943:4:23148459:23149659:-1 gene:ORUFI04G22350 transcript:ORUFI04G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERAEDLRLSLSLSSPLIAPRTHHVAMLFHAPPEKRFLEMPLLPAAKRSEVVAAEEERAGLRGGGGSDEEDGGCGIDGSRKKLRLSKDQSAVLEDSFREHPTLNPRQKATLAQQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQKEVQELRALKLVSPHLYMNMSPPTTLTMCPSCERVSNTNNNSSAAAAADRRGIRTTTAAGGGSVVDTAADGGILCHRPIAVRPQQS >ORUFI04G22360.1 pep chromosome:OR_W1943:4:23165687:23168879:-1 gene:ORUFI04G22360 transcript:ORUFI04G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRRSYARLLLLGFLLLRFSWCLAVADDGGGAEEGGGIFPVVVSTWPFREAVRAAWEVVSASGGAGCSAVDAVVAGCSACEVLRCDGTVGPGGSPDENGETTLDALIMDGTTMEIGAVAAMRYVKDGIRAAKLVMDHTEHTLLVGEKATSFAISMGLAGPADLSSPESIGKWTIWRQNHCQPNFWKNVVPAGSCGPYNAIDVPSGESKASAKRVLERTQGDICQGLFEPNNLLEPMNSRLKIVNRHNHDTISMAVIDKMGRIAAGTSTNGATFKIPGRVGDGPIPGSSAYADDEVVESMRRGMEPRDAAMDAILRIARKYPDFVGAVFAINKKGVHAGACHGWTFQYSVRNSSMQDVEVITVSP >ORUFI04G22370.1 pep chromosome:OR_W1943:4:23169029:23169727:1 gene:ORUFI04G22370 transcript:ORUFI04G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGGGEEAERWPWWAGASAAQVAAGVAWFRRGRGGAAFAMPFKAFAIATLFVGAGATAVTAGVLAAGVGSVDEMKGVGASIRRWMGAPPRRRVEGGGDP >ORUFI04G22380.1 pep chromosome:OR_W1943:4:23173902:23175997:1 gene:ORUFI04G22380 transcript:ORUFI04G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPGGVRRRSGRRGAGGGGAGGGGEALRKGPWMAEEDEVLLEHVRTHGPMDWSSIRSKGLLPRTGKSCRLRWVNKLRPNLKSGCKFTAEEERVVIELQAQFGNKWARIATYLQGRTDNDVKNFWSTRQKRLARLLRGPLPAARPNKHNSGKGKAPSSSSLDSQTATFHQSSASLDQASLEGNSLGWQCREAAPFMGYDQACSGFFAFEGPLPLQLLPPADGEASSSNAAQSAPPPLLFDQPPYPLINFPGWPERYVDVGHGFVDAGAMDGLAYQELLPMVQSVPMIMPFFGMECAHDAVKHGAFDDLPPNMFDDAVDQPPPPPPPPPPPSPSPSPSRDDVL >ORUFI04G22390.1 pep chromosome:OR_W1943:4:23176416:23180974:1 gene:ORUFI04G22390 transcript:ORUFI04G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRVPRSNNTKYYEVLGVPKTASKDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLTDPEKRDIYDQYGEDALKDGMGGGSDFHNPFDIFEQFFGGGAFGGSSSRVRRQRRGEDVVHTLKVSLEDVYNGSMKKLSLSRNILCPKCKGKGTKSEAPATCYGCHGVGMRNIMRQIGLGMIQHMQTVCPECRGSGEIISDRDKCTNCRASKVIQEKKVLEVHIEKGMQHGQKIVFQGEADEAPDTVTGDIVFILQVKVHPRFKRKYDDLFIERTISLTEALCGFQFILTHLDSRQLLIKANPGEIIKPGQHKAINDEGMPHHGRPFMKGRLFVEFNVEFPESGVLSRDQCRALEMILPPKPGHQLSDMDLDQCEETTMHDVNIEEEMRRKQYQRKQEAYDEDEEEDAPRVQCAQQ >ORUFI04G22390.2 pep chromosome:OR_W1943:4:23176582:23180973:1 gene:ORUFI04G22390 transcript:ORUFI04G22390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRVPRSNNTKYYEVLGVPKTASKDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLTDPEKRDIYDQYGEDALKDGMGGGSDFHNPFDIFEQFFGGGAFGGSSSRVRRQRRGEDVVHTLKVSLEDVYNGSMKKLSLSRNILCPKCKGKGTKSEAPATCYGCHGVGMRNIMRQIGLGMIQHMQTVCPECRGSGEIISDRDKCTNCRASKVIQEKKVLEVHIEKGMQHGQKIVFQGEADEAPDTVTGDIVFILQVKVHPRFKRKYDDLFIERTISLTEALCGFQFILTHLDSRQLLIKANPGEIIKPGQHKAINDEGMPHHGRPFMKGRLFVEFNVEFPESGVLSRDQCRALEMILPPKPGHQLSDMDLDQCEETTMHDVNIEEEMRRKQYQRKQEAYDEDEEEDAPRVQCAQQ >ORUFI04G22400.1 pep chromosome:OR_W1943:4:23187759:23188615:1 gene:ORUFI04G22400 transcript:ORUFI04G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADASHTPTTSSSVSVSFSSSSLSTSSSTSSLVDNGAQDRPKSSKPKHAAKKRKRAAAEEPANAAHGAGEDTSSCSTDDNAAASGKAQAGGGGGGVDSSSTCTAASAPRSGFKHPSYRGVRRRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGRNAHLNFPDSAHELPRPESTSPADIQAAAAKAAAEVRCEEESSPSSSPTAEQPEEEAACPDTVHADGGQDNALFDLPDLLLDLRDGLWWSPVWPAALAAEEYDGGDAVVLNEPLLWAE >ORUFI04G22410.1 pep chromosome:OR_W1943:4:23193891:23196574:1 gene:ORUFI04G22410 transcript:ORUFI04G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQVMSQESNSCTCSSSSNDASSAACSSLNASSPSSVDSGSAGGGGGGGGKKRPRSDHLKHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFDTAEMAARAHDVAALAIKGRTAHLNFPDLAHLLPRAASASPKDVQAAAALAAATASPAPALSPTPCHDVDAAADDEPEPAEPEQATAPVCIVENGTLQQDGGTGLDYTYFTMPDALLEFGFTLPPPPPPYYCGSPWDDDAHDDFFFGEPLVLKSLEAAHGSSRVGAERLADSPESGNGHWIHPSCHHHRQILAHQGGWEVERRWDPRGRWKGA >ORUFI04G22420.1 pep chromosome:OR_W1943:4:23199786:23200772:-1 gene:ORUFI04G22420 transcript:ORUFI04G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWWRCVWQCRLVVATEEIGVVSLVLSSTVGGGMEMSRVGFGGADRGWGDTVLKAEIGGCTETVDEPEIGGGTEETGDAEFLVEIGGGAEETGEKGDERRSGE >ORUFI04G22430.1 pep chromosome:OR_W1943:4:23210121:23210774:1 gene:ORUFI04G22430 transcript:ORUFI04G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSHDLASPTSPDTASSSSTSTSTSSSSATVAPKKRPRNDGRHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGRTAHLNFPDLAHLLPRPATAAPKDVQAAALLAAAAADFPSVSVDANAKSPDTCSVASAASPQPPPPDAEADPDSTLFDLPDLLLDLRYETSSSLSCGASWAVDDDVAGGVVFRLEEPMLWDY >ORUFI04G22440.1 pep chromosome:OR_W1943:4:23214808:23217473:1 gene:ORUFI04G22440 transcript:ORUFI04G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHRHAGGAKGCCRLRDGGRRRQTQAAASARPRIGVSRRRQLARVHASVCAPRRVAGGQVFGGT >ORUFI04G22450.1 pep chromosome:OR_W1943:4:23222059:23230169:-1 gene:ORUFI04G22450 transcript:ORUFI04G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGEPDRKRRLSSSVAPGGGAPVSPAKRLAVAPTSEDKKLDFTVLKYKNQKLSEQLEAHKFEYRALENKFAGLKEKQRTHNETLSLVNSSWEQLVADLKSRSFCKSGSPNSSPGSGHNNVQKDGTCAPIERDTLRSLVESGATESSGCLPGCHLGSDAPPLHLSTANALGDIFFPSSDLLQANEECALAALTKLPENDRSKQLQSTSSNLLSSLNNVVQALSNLQLKHKQLAEDYQNQRDSSARKRAEHRRLKEELASAASELEETNYKLAALKAQRDNTQGARIPYPTLGNKNMPEDKELISKRLVEIKRLHEERIEILNKIATFQNILMDFKSIRSSKAFQLVNDRLQKSQAELDHYQTLLEKLQVDKDKFVWQERQFNLKVDLAEIPERVSTYCRNQVITKFKALVSSIPREMGAMQSEMTKHKEASLELNSLRAEVHSLSRILSRKERDNEEASCRSARAGSDITQLQSVISDLKQTNKELKLFADMYKRESTDSREIMESRDREFLEWAHVHALKSSLDESKLEQRVKAANEAEAITQQRLATAEAEIAESGQKLGTSRKYRIMLLNIVSLRTVEVGVTSLLGDLVSLSHMLKSKQEECEAYRVEVECIGQAYEDIQAQNQQLLQQIIERDDDNTKIFMEGVKAKQTQDALHLETYSLRRNLQQESSLMDLYNQKIVSLEDQLKMWSDRVGKLQEDGWQQSVSLSNYQRKLVDVHRDAQKLMQSLDGIQANVGSSRLEVADLLIELEKERFSKKRIEDDLEVMSRKASSLRAKARESAVLEKLRHEVKEYRGILKCGICHDRQKEVVITKCYHLFCNQCIQKSLGNRQRRCPSCSLSFGANDVKPIYI >ORUFI04G22460.1 pep chromosome:OR_W1943:4:23233588:23234641:1 gene:ORUFI04G22460 transcript:ORUFI04G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAKPHLSSSSFLPSTRVSSPAPGPNHAKPIAASPAPRRCLRLAVTSAAAPAASSAEAAAALSRVDVLSEALPFIQRFKGKTVVVKYGGAAMKSPELQASVIRDLVLLSCVGLHPVLVHGGGPEINSWLLRVGVEPQFRNGLRVTDALTMEVVEMVLVGKVNKHLVSLINLAGGTAVGLCGKDARLLTARPSPNAAALGFVGEVSRVDATVLHPIIASGHIPVIATVAADEIGQAYNINADTAAGEIAAAVGAEKLLLLTDVSGILADRNDPGSLVKEIDIAGVRQMVADGQVAGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >ORUFI04G22470.1 pep chromosome:OR_W1943:4:23238186:23243387:1 gene:ORUFI04G22470 transcript:ORUFI04G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAIAPMHAAVVHRHHVLPPRRCVRRRGVFVRASAAAAAAAAETDTLSAAFWDYNLLFRSQRDECLDSIPLRVTEGAIPPDFPAGTYYLAGPGIFSDDHGSTVHPLDGHGYLRSFRFRPGDRTIHYSARFVETAAKREESRDGASWRFTHRGPFSVLQGGKKVGNVKVMKNVANTSVLRWGGRLLCLWEGGQPYEVDPRTLETVGPFDLLGLAAADDNKATNASAARRPWLQEAGLDAAARLLRPVLSGVFDMPGKRLLAHYKIDPRRGRLLMVACNAEDMLLPRSHFTFYGQLAIASTNHAFSIRSSKKKITLNGVSMAEFDAHFDLVQKREFVVPDHLMIHDWAFTDTHYILLGNRIKLDIPGSLLALTGTHPMIAALAVDPRRQSTPVYLLPRSPETEAGGRDWSVPIEAPSQMWSVHVGNAFEEANRRGGLDVRLHMSSCSYQWFHFHRMFGYNWHHKKLDPSFMNAAKGKEWLPRLVQVAIELDRTGECRRCSVRRLSDQHARPADFPAINPSYANQRNRFVYAGAASGSRRFLPYFPFDSVVKVDVSDGSARWWSTDGRKFVGEPVFVPTGGGEDGGYVLLVEYAVSKHRCHLVVLDAKKIGTENALVAKLEVPKNLTFPMGFHGFWGDE >ORUFI04G22480.1 pep chromosome:OR_W1943:4:23241081:23242933:-1 gene:ORUFI04G22480 transcript:ORUFI04G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHVVYRRRESSWRGGETSARLHQGAAAMSNPAGGKHLVRLAGSSSLRGGAALSPAVSISSGSRPATRAGARALRAASPPPACSIASVGCWESRALRLDGDEDWEVVVAQGDDAVGADSGAFDAVQEAADEHAEAFGAPPTDQEVRAAVASIQEVFENHPGLDSDAPAQALALSPISGLPPSGMFVNYFSEGSTPSDIKIEDSTPSDVKIDQLASLEHSTPDTASEECIEPAMLVLNSTALLTREHRNVLDAFHLLQVDSSVQKMVMALSTDKSVWDAVMKNEVVQEFRKSFQDAKEADPNGSSSASPGVMKWVMETTQAKIKEFLESILKLVNMLFQAQSEDYDLYDDTVRMSFMLAVFVFIVVTVARIK >ORUFI04G22490.1 pep chromosome:OR_W1943:4:23246552:23247537:-1 gene:ORUFI04G22490 transcript:ORUFI04G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANICANMKRCFSPPALRAYFAEFFSTFLFVFIAVGSTISARMLTPDETSDASSLMATAVAQAFGLFAAVFIAADVSGGHVNPAVTFAYAIGGHITVPSAIFYWASQMLGSTFACLAVPTTRIAVEMTGFGAGILEGVLTFMVVYTVHVAGDPRGGGFGGRKGPAATALGALVVGAVTGACVLAAGSLTGASMNPARSFGPAVVSGHYSNQAVYWAGPMVGAAVAALVHQALVFPTVPEPAPAPATNESARHGSVQTVVV >ORUFI04G22500.1 pep chromosome:OR_W1943:4:23255244:23256147:-1 gene:ORUFI04G22500 transcript:ORUFI04G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSCGDSFSASSIKAYVAEFIATLLFVFAGVGSAIAYGQLTKGGALDPAGLVAIAIAHAFALFVGVSMAANISGGHLNPVVTFGLAVGGHITILTGIFYWVAQLLGASVACLLCSSPPTDRLAIPTHAIAGISEIEGMVMEIVITFALVYTVYATAADPKKGSLGTVAPMAIGFIVGANILAAGPFSGSSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLVYDDVFIASY >ORUFI04G22510.1 pep chromosome:OR_W1943:4:23259461:23260419:-1 gene:ORUFI04G22510 transcript:ORUFI04G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVCNGMESGAHDILSTHARTPTSALAVAALHAVATAMDHAVITIDAGVGVHLEHPSTPQPKSSLSIIVSCKEHLNIRKSKEKKAGSGSKDTNKRKCQNTMPIHKKFTDNNIHIHRAAHRTDNNTDNLLGQQQQIPVNIFNLQQQLPNARK >ORUFI04G22520.1 pep chromosome:OR_W1943:4:23265201:23271167:1 gene:ORUFI04G22520 transcript:ORUFI04G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSNSLFQRLAKWCPWLLRDEPKKPVKVLVTGAAGQIGYAIVAMIARGLMLGADQPVVLHLLDLPVAANALNGVRMELIDAALPLLRGVVATSDEAEAFKGVNVAIVIGGWPRRDGMERKDLISKNVTIYKSQASALHQHAAPNCKVLVVANPANTNALVLKEFAPAIPAKNITCLTRLDHNRALGQVAEKLNVHVGDVKNAIIWGNHSSTQFPDASHATVSTDRGERPVRELIADEIWLREEFVTDVQQRGAAVIKARKQSSSLSAASAACDHMRDWILGTPKGTWVSMGVYSDGSYGVPEGVFFSFPVTCEKGEWSVVQGLEIDDFARSKMETSATELKEEKSIAYEFL >ORUFI04G22530.1 pep chromosome:OR_W1943:4:23268992:23272348:-1 gene:ORUFI04G22530 transcript:ORUFI04G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPDPSKPHYGGGASPRAARRTQPSPVFLGTALFVLGFVSLFTGHIVTDADWSRIRSRWRSKQVRNNEPINIWKSRYSNLYYGCSRRSVNFRSAVPENSSTGYLLIGTSGGLNQQRIGITDAVVVARILNATLVVPELDHHSFWKDDSDFSDIFDVDWFISYLSKDVTIVKRIPYEVMMSMDKLPWTMRAPRKSMPDFYIDEVLPILMRRRALQLTKFDYRLTNELDEELQKLRCRVNFHALRFTNSIQTLGEKLVRKLRSMSSRYVAVHLRFEPDMLAFSGCYYGGGDKERRELGEIRKRWDTLPELSAEDERSRGKCPLTPQEIGLMLRALGFSNDTYLYVASGEIYGGEETLQPLRDLFPNYYTKEMLAGNDLKPFLPFSSRLAAIDFIVCDGSDVFVTNNNGNMAKVLAGRRRYMGHKRTIRPNAKKLNLLFKRRKQMGWDIFSQKVKKVQRGLMGEPDDIRPGRDDFNEFPSSCICQRIPVNRSVTARAENL >ORUFI04G22530.2 pep chromosome:OR_W1943:4:23268992:23272348:-1 gene:ORUFI04G22530 transcript:ORUFI04G22530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPDPSKPHYGGGASPRAARRTQPSPVFLGTALFVLGFVSLFTGHIVTDADWSRIRSRWRSKQVRNNEPINIWKSRYSNLYYGCSRRSVNFRSAVPENSSTGYLLIGTSGGLNQQRIGITDAVVVARILNATLVVPELDHHSFWKDDSDFSDIFDVDWFISYLSKDVTIVKRIPYEVMMSMDKLPWTMRAPRKSMPDFYIDEALQLTKFDYRLTNELDEELQKLRCRVNFHALRFTNSIQTLGEKLVRKLRSMSSRYVAVHLRFEPDMLAFSGCYYGGGDKERRELGEIRKRWDTLPELSAEDERSRGKCPLTPQEIGLMLRALGFSNDTYLYVASGEIYGGEETLQPLRDLFPNYYTKEMLAGNDLKPFLPFSSRLAAIDFIVCDGSDVFVTNNNGNMAKVLAGRRRYMGHKRTIRPNAKKLNLLFKRRKQMGWDIFSQKVKKVQRGLMGEPDDIRPGRDDFNEFPSSCICQRIPVNRSVTARAENL >ORUFI04G22540.1 pep chromosome:OR_W1943:4:23274812:23278040:1 gene:ORUFI04G22540 transcript:ORUFI04G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGCGGSGATPRGVVGMHWAPVVTSPPSPQPPFLPPAPCRPDVQMQQQGGLTCLKLGKRPCFWGGDGAGQVAQGSGGGGGGGGGGSADQGKRKEKAATAVPVVPRCQVEGCDITLQGVKEYHRRHKVCEVHAKAPRVVVHGTEQRFCQQCSRFHVLAEFDDAKKSCRRRLAGHNERRRRSNASEAMARGSAHPHGMPVLGHGFPPYGLPTSSAGALSLLSSARATGPWLMPTPDISARSSAALDELIAENRAALLSWQFFSDRQPPPAGRPTGRSPGSETAGGWHAHLQARPPPPGAGGQHEHQSGHVTLDLMQATTAAGGSGAPFRPVPARPAKEGGDAGCTSDAWTPSPMEGARVV >ORUFI04G22550.1 pep chromosome:OR_W1943:4:23287738:23288262:-1 gene:ORUFI04G22550 transcript:ORUFI04G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGTVCSMCGDVGFPDKLFQCARCRYRFQHSYCTNYYGDAAPAPAGADMCDWCLSDVAGKARYSSAAGKQQGAGSQESSTTTSSSSAGRGGGGKPGAGEQESGRRGTKAAGRRYKLLKDVLC >ORUFI04G22560.1 pep chromosome:OR_W1943:4:23293461:23297980:-1 gene:ORUFI04G22560 transcript:ORUFI04G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVSHAKILAPIPRGNRRLAPAPPAAGGFLRALFPSRRSRPPPEKDELLRLIADQRRGLDTQSDPSRLADIVSCIDALAAAAPGSDADKLSGTWRLLWTTEHEQLFIVRNAPFFRTAAGDVLQVIDVPGGALNNVITFPPSGAFVVNGSIEIQPPQRVYTRYVEREQLGGPVSALWERMGSDSILLLPPWNAGRICSAMRREFNLPCLNFPGSSRSRTTYGEALGGQALPDQVLEPLQRIEGKGSPCEKGRSMTLPCVVICDLVSHGHRPDGSQQSSTKSSKTRRTEPAGGRG >ORUFI04G22570.1 pep chromosome:OR_W1943:4:23298074:23303998:1 gene:ORUFI04G22570 transcript:ORUFI04G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAQTPDILGERQSGQDVRTQNVVACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRGNDLVRNKIHPTSIISGYRLAMREACKYVEEKLAVKVDKLGKDSLINCAKTSMSSKLINSDSDFFANLVVDAVQAVKTTNAKGEVKYPIKSINILKAHGKSAKDSYLLNGYALNTGRAAQGMPTRVTPARIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQRESDITKERIEKILKAGANVVLTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATMVSTFADMEGEETFDSSFLGHADEVVEERIADDDVILVKGTKNTSAVSIILRGANDFMLDEIDRSLHDALCIVKRTLESNMVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLSVNAAKDATELVAKLRAYHHTAQTKADKQHFSSMGLDLSKGIIRNNLEYGVIEPAMSKVKIIQFATEAAITILRIDDMIKLTKEESGNEE >ORUFI04G22580.1 pep chromosome:OR_W1943:4:23305785:23317010:1 gene:ORUFI04G22580 transcript:ORUFI04G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFQLILSTFVVIVAVTMLPRPCASIEFHRKLSSWSNGGATWYGAANGAGSDGGACGYQGAVFQAPFSSMIAAGSPSIYKSGLGCGSCYQCPGGPCLSEPVHFDLSGTAFGAMANPGQADQLRAAGVLQIQYNRVPCNWGGVKLTFVVDVGSNPNYFAVLVKYENGDGDLSGVELMQTGAGAAWTQMQQSWGAVWKLNAGSALQAPFSIRLTSSSGKTLVASNVIPSGWKPGMSYISTRTPSCTVAFNSTATCSRNLPAMKVSTTLVLFSGYSGLLPYPETKSTSENRSWKQSRGTFVFAVLVALPILSLPVSGYEQNYTAGRRSTMSLGRGYGWSSGGATWYGGPQGDGSEGGACGYQSAVGQRPFSSMIAAGGPSLFKNGKGCGSCYQIKCTGNRACSGRPVTVVITDSCPGGVCLNEAAHFDMSGTAFGAMANRGMGDRLRSAGVLKIQYKRVPCRFAMNVAFKVDAGSNPYYLAILVQYANGDGDLAAVHIMEARGGGGWKAMQQSWGATWRLNSNTGKPLSPPFSIRLTSGSGKVLVANNVIPSGWQAGLTYRSTVNYAA >ORUFI04G22590.1 pep chromosome:OR_W1943:4:23318681:23323392:1 gene:ORUFI04G22590 transcript:ORUFI04G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPLWPQSSNPSTAPPLANLSAERATPLQQLQEPVVTTPRPLSSPASIGRFDVLTEEMASTELASDVYALPCGDDGTTALSTPVVVSVLASLLERHIARNERDQAAAADGEAARRARAFDSGTVLDMSLHAFLERFSRYANVSPQVYVVAYAYLDRLRRGDGVRVVSANAQRLLTTAILVASKFVEDRNYKNSYFAAVGGLTAAELSSLELDFLFLMQFRLNVSVSVFQSYCRHLERELASCSNSAAPTAAYVRGGPYGNYVEYMRNISGE >ORUFI04G22600.1 pep chromosome:OR_W1943:4:23325866:23328302:1 gene:ORUFI04G22600 transcript:ORUFI04G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLDLQVQENSRHCCDREFVTPDGGAHLIGAPISRSNSIPPRRTKRMHHHGQMQPSPSSPRAPPPTAAQASGYKHFCRVCNKGFTCGSALGGHMRAHGVGDGDGLGADDDDDDDDDSLGDEAVRRARGGADDPWNAGGPSSSGAATHVYELRTNPNRVTRSRQVCKNCGKEFTSWEHFLEHGKCSSGEDDDDEDDVDRSLQPWSPSPEADGEEDPAPAAGWLKGKRSRRCKGTGVDLSPTPSACAAGEEEDLANCLVMLSSSKVDQAGVTEAEQRSSSSASKEHKRLITFMEPTTYVLDTVMALPPPAPAPQYVSTVPRGMFECKACKKVFSSHQALGGHRASHKKVKGCFAAKLESNAAEVAEPSHHAEVADRSEDNPAKATSDARRNVHASIDGDGNAGTSDAAAELSMAIVPIEPPVAALAAAPLKKKGKMHECSVCHRLFTSGQALGGHKRCHWLTSSSADHTASVPPLADDLVPLSFRPMLDAPEPALDLSIAANPPLLASAATVRPKVGGSSFHLDAPPPVYIPSSPAIPSQRNKATATTGSQNANDAVGLSTAAAEDEADSTTVKRARLSDLKDVSMAGETTPWLQVGIGSSSRGGADDNDKE >ORUFI04G22610.1 pep chromosome:OR_W1943:4:23335314:23336249:1 gene:ORUFI04G22610 transcript:ORUFI04G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTCKLCYRRFGNPRALAGHMRSHSVAASRSQISSTSSASTSVAVGDDDGGGDAKRPIQGYVLREKPKRRVRLAESDFSDRESETEYYSSPPHGKRANTGSGDVEQVSSVSDATSEEDVALSLMMLSRDTWPATPPPPPPYRLRGAGYDDGSDGGDAPPAPAAAAAQKRTRFQCPACKKVFRSYQALGGHRASHMRGGRGGCCAPPPNPPPSPATPLQPLPECDGGEEEGAKPHPHECPYCFRVFASGQALGGHKRSQLCSAAAAAASGDDLPAMIKSNGFIDLNLPAPFDDVELSAVSDPFLSSKPGS >ORUFI04G22620.1 pep chromosome:OR_W1943:4:23341568:23344681:1 gene:ORUFI04G22620 transcript:ORUFI04G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAGAGAAFLLRPISATTHPLISLSCTGAGDSANHKSHLLFRLHHSRRRLPVPRLSLTPAPTGSNNSPPSPPLASPEPPPPPFSRWSPPRAIWRGLSALLLAGQVFHRVLTGRVHRRNLLAQLRRVGPGSAGVSLLTAAFVGMAFTIQFVREFTRLGLHRSVGGVLALALSRELSPVVTAVVAAGRVGSAFAAELGTMQVSEQTDTLRVLGAQPVDYLVVPRVVACVLALPVLTLMSFALGLASSAFLADSIFGVSTSIILESARRALRPWDLISSLLKSQVFGAIIAVVSCAWGVTTHGGAKGVGESTTSAVVVSLVGIFVADFALSCLFFQGAGTLSARRIGKISEHVRSG >ORUFI04G22630.1 pep chromosome:OR_W1943:4:23345085:23349559:-1 gene:ORUFI04G22630 transcript:ORUFI04G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLCSSHCLPARAGSIASPGSGRRVVPLGCRRASLGKVTAYAYPTTRRVVDAAKSSLLQDVPVAASNPSLQLLQDYAPAKKSAKQHNGSRTKDGFYEVEMTVQDDELDEYGVVNNAIYASYIHSELNLKFYTPLRKDDRFVVRMKVVKIKGVRIIVEHLIETLPDRKLVVDAKATAVCLDNKYRPTRVFPELSTKLHQFFLS >ORUFI04G22640.1 pep chromosome:OR_W1943:4:23354214:23355821:-1 gene:ORUFI04G22640 transcript:ORUFI04G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQIWRLLPSALSPIHAGAPRPSRPPARLGRPSPQRRRALALAHLATRRTCRLLAVSAQSASPHAGLRLDQFFEVEMKVRDYELDQYGVVNNAIYASYCQHGRHELLESVGISADAVARSGESLALSELHLKYYAPLRSGDKFVVKVRLASTKGIRMIFEHFIEKLPNRELILEAKATAVCLNKDYRPTRISPEFLSKLQFFTSEGSSS >ORUFI04G22650.1 pep chromosome:OR_W1943:4:23359311:23361027:-1 gene:ORUFI04G22650 transcript:ORUFI04G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRERVVVVMAFAVATLATSLLPPLACQAAPAPCERPTRGHHQYRQPVGVRRIVVDASGGGDFLSIQQAVNSVPENNTVRVIMQINAGSYIEKVVVPATKPYITFQGAGRDVTVVEWHDRASDRGPDGQQLRTYNTASVTVLSNYFTAKNISFKNTAPAPMPGMQGWQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFRDCYIEGSIDFVFGNGRSLYKDCELHSTAQRFGSVAAQGRHDPCERTGFAFVNCRVTGTGRLYVGRAMGQYSRIVYAYTYFDSVIAPGGWDDWDHASNKSMTAFFGMYRNWGPGADAVHGVPWARELDYFAARPFLGKSFVNGFHWLTPDV >ORUFI04G22650.2 pep chromosome:OR_W1943:4:23359311:23360450:-1 gene:ORUFI04G22650 transcript:ORUFI04G22650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCISQWSVSMDPAANVRDSQDAQGDAVQYSADCRGREADKQTTADAGFLNPMAWQNTAPAPMPGMQGWQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFRDCYIEGSIDFVFGNGRSLYKDCELHSTAQRFGSVAAQGRHDPCERTGFAFVNCRVTGTGRLYVGRAMGQYSRIVYAYTYFDSVIAPGGWDDWDHASNKSMTAFFGMYRNWGPGADAVHGVPWARELDYFAARPFLGKSFVNGFHWLTPDV >ORUFI04G22650.3 pep chromosome:OR_W1943:4:23360470:23361027:-1 gene:ORUFI04G22650 transcript:ORUFI04G22650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRERVVVVMAFAVATLATSLLPPLACQAAPAPCERPTRGHHQYRQPVGVRRIVVDASGGGDFLSIQQAVNSVPENNTVRVIMQINAGSYIEKVVVPATKPYITFQGAGRDVTVVEWHDRASDRGPDGQQLRTYNTASVTVLSNYFTAKNISFKVS >ORUFI04G22660.1 pep chromosome:OR_W1943:4:23372211:23376519:-1 gene:ORUFI04G22660 transcript:ORUFI04G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGCGRWWWVAVALVVVAAAAAAEGAREEAAVAVAVAPRRHAYAAMMYMGTPRDYEFYVATRVMMRSLGRLGKDDGVKVVSVENLKNPYEKQGNFNMRFKLTLNKLYAWSLVSYDRVVMLDSDNIFLQNTDELFQCGQFCAVFINPCIFHTGLFVLQPSMDVFKNMLHELAVGRDNPDGADQGFLASYFPDLLDRPMFHPPVNGTKLEGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPSAPWFKPWYWWSWPVLPLGLSWHEQRRENLGYSSELPVVLIQALFYIGVIAVTRLARPSLSKMCYNRRMEKSTIVLLTTLRVVAAWSILAAYTIPFFLIPRTVHPLLGWPLYLLGAFSFSSIVINVFLLHPLAVLTTWLGIIGALFVMAFPWYLNGVVRALAVFAYAFCCAPLIWGSLVKTMSSLQILIERDAFRLGEPNQTAEFTKLY >ORUFI04G22670.1 pep chromosome:OR_W1943:4:23378038:23380200:-1 gene:ORUFI04G22670 transcript:ORUFI04G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISANFLLNNCARTYTNKKTLKKCKRELVEVVDGLVGVMMTSSNREKPDIESGYDGSSDEDSTENSRAEICPSALCFFDQIVASAQDKKVVLFLDYDGTLSPIVNDPEKAFMSSEMRATVKSVAKHFPTAIVSGRSRDKVFDFVKLTEIYYAGSHGMDILASFADSDSTIEKTKETKLFQPANEFLTMITEVSKSLIEVTKAIKGATVENNKFCVSVHYRNVDKKNWKLVAQVVNNVLKDFPSLKVSTGRKVLEVRPMINWDKGKAVEFLLRSLELDDSETVLPIYIGDDKTDEDAFKVLRERKNGCGILVSQVPKKSEAFFMLRGPSEVVILPVMLNFFAALLIMPS >ORUFI04G22680.1 pep chromosome:OR_W1943:4:23383973:23387427:1 gene:ORUFI04G22680 transcript:ORUFI04G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLLRVHPSELKIPYEYKRKRSCCMQLTNKTNQYVAFKVKTTNPRKYSVRHACGILPPRSSCDITVTMQAPVEMLSDYHCKDKFLVQSVAVGYGATMRDFVPELFTKAPGRVIEEFKLRVVYVAANPPSPVPEEEEEEEEDASPQSEVMSHGVKMTSVFDAVTVSTLTDRSADKVSSAEGVSVESMLVAEREYPVEENQKLQQQMELLRAARSSQQGFSAMFVLLVFMSSVCIGHFMKQIKV >ORUFI04G22690.1 pep chromosome:OR_W1943:4:23387933:23388604:-1 gene:ORUFI04G22690 transcript:ORUFI04G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDMERPPAATAQGVRPNPVVERKLGELDACLADAMSSRPRRSDVDGSLFAEIQAKTDFLKTLIAAEGECHGGALPEHLEEAKARFAVLKGAFDKWARRDDAAAPAEEEQPDGAAGSGSECSCTESCFGVEVTGCLEATSDVEREAVEMATLGATFNAERRAGHKPSPSPAAATRNAARRRGWRRSAACCGAAGAVAVLALAIGVAIEFASVARQNVYVVPT >ORUFI04G22700.1 pep chromosome:OR_W1943:4:23389676:23391432:1 gene:ORUFI04G22700 transcript:ORUFI04G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDASEWQRRARAKDASGRQRSTAPRTRGNDDRGAAPARAIWEEGEGVEREYGRGEGEGKRRGAHDWQANPDQPANHPHMQAHSCTH >ORUFI04G22710.1 pep chromosome:OR_W1943:4:23391592:23404797:1 gene:ORUFI04G22710 transcript:ORUFI04G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASIALFLAVNLVVFSLASACGGRCPTPTPSTPSTPTPTPAAFGKCPRDALKLGVCANVLGLIKAKVGVPPAEPCCPLLEGLVDLEAAVCLCTAIRGNILGINLNLPVDLSLILNYCGKRASIALFLAVNLVVFSLGSACGGHCPTPTPPTPSTPTPTPAAFGKCPRDALKLGVCANVLGLIKAKVGVPPAEPCCPLLEGLVDLEAAVCLCTAIKGNILGINLNLPVDLSLILNYCGKRVPTGFKISSMASKVLVFLLAINLLFFTTANACGCACGKCPTPPPPALPPPPPPTPTTPSYHNKCPVNTLKFGACADVLGAISGEVGQVPAQPCCSLISGLADLEAAVCLCTAIKANVLGVVVNIPVKLSLLVNYCGKCVPSGYTCA >ORUFI04G22720.1 pep chromosome:OR_W1943:4:23406231:23407440:1 gene:ORUFI04G22720 transcript:ORUFI04G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRKGVALDEATPMRIRRCGRAEDGAAEGVKCGAATTRTQIGYNPNPPLSLDSLCVRFSNRPRGRGASPVRKPLCRYTRSVRRQVSLWRRAWRGQGAVTSRPFRTPIPKLNGVPSFLRSYPGVSLYHLLYVKRRGQAWTLGRLSNRGRVPASPTG >ORUFI04G22730.1 pep chromosome:OR_W1943:4:23411539:23412046:-1 gene:ORUFI04G22730 transcript:ORUFI04G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKLTAAVAALLVVVFFALFVGSCEARRLRALGRVSSLKPSSSPPTPYKDAASMKLHGSDPTNQKKDLSSTSMDHHMASGDDAKAKDGVAMASPGAVQTTIVVRVSNRLSHQERRVDTAFHLDYAGPRTHPPSHN >ORUFI04G22740.1 pep chromosome:OR_W1943:4:23417481:23419616:1 gene:ORUFI04G22740 transcript:ORUFI04G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPFSADGNGAAELAGSIAALLWPEDKGGGGGGGGGSLLVEPRSVLDCRGSPSPPNSTSTLSSSHGSGAADSISTGVAAVSESSAAAAEATRWAAPGEHGGGGGGELPPIPGALDVGFVAEESWDAMLGDAAAAAGQEQTFLNWIMAAPGDMEPQAPGLSQQQLLANAAGFGFPLQHHPGGVSSPAALASDLSSSGGRSLTSSSGSNSKATSAFGLLSPEAALQPPPATTAPFHNGADMKPPLLGLPSPTLLLNQHQPTPASTLFMPFPSFSDHQQQPLLQPPPKHHHSVPDNLFLLHNQPQPPPPAPAQCLPFPTLHSAVPFQLQPSMQHPRNAMKSTAAAAAAQQQHLLDELAAAAKATEVGNSIGAREILARLNQQLPPIGKPFLRSASYLKDALLLALADGHHAATRLTSPLDVALKLTAYKSFSDLSPVLQFANFTVTQALLDEIASTTASCIRVIDFDLGVGGQWASFLQELAHRCGSGGVSLPMLKLTAFVSAASHHPLELHLTQDNLSQFAADLGIPFEFNAINLDAFDPMELIAPTADEVVAVSLPVGCSARTPLPAMLQLVKQLAPKIVVAIDYGSDRSDLPFSQHFLNCLQSCLCLLESLDAAGTDADAVSKIERFLIQPRVEDAVLGRRRADKAIAWRTVLTSAGFAPQPLSNLAEAQADCLLKRVQVRGFHVEKRGAGLALYWQRGELVSVSAWRC >ORUFI04G22750.1 pep chromosome:OR_W1943:4:23424594:23425879:-1 gene:ORUFI04G22750 transcript:ORUFI04G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKWICYSIGDEDLHGRRQGCAEHVVVHSRLRRLWRHDLSPSHPPPPPPGDELVPLLDLPDSATAPPPLSWGITLVPCTKIPARYLGYQVSSISRYQGTRYWYLPIPGYRYQGETKHGLKEVDLAWMLGELKSPYPTEGVDLA >ORUFI04G22760.1 pep chromosome:OR_W1943:4:23436854:23438668:-1 gene:ORUFI04G22760 transcript:ORUFI04G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSKTRRMKPLGIQLYECARGSPISFRSCQALVLILTFLSYASYHATRKTTSIVKSVLDPKTENLGMLHWPSHLYLQDLRDAQGNMTALYSGWAPFNADDGTALLGEIDLAFLGVYAIGMFFAGHLGDRVDLRILLTIGMIGTGFFTAAFGAGNWLNIHNFYYFLGVQMMAGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKFGWSWSFAVPGVMIAVVGLAVFLFLPVGPEMIGIEEDIHEKDAEKDDMSAPLLEERSASKEKAVGFIQAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYISHTAIGGVYLSDTAAGVLSTLFDVGGVVGGILAGHISDRLDARALTAASFTFSAIPALFFYRIYGSFSLYSNIALMFVTGMLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISAKSWSGVFTMLMASAFVAGLLLSRLVMAEISAKMESRRPDAAASDLPVSSMEQS >ORUFI04G22770.1 pep chromosome:OR_W1943:4:23443441:23450399:1 gene:ORUFI04G22770 transcript:ORUFI04G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSPALIWHFGTHMVDDLLPILCCVVIRGATAIGYRDIIGRLHDIANRCCATGSVLVDDIGNEAWLGGITPTSDLDCCHLTYLSVGPSLIQLQAELAEIFRDVRCEMKGQDQNSEADSTDLPCQCRCVPLSKRFRPKLEQIWVLPPPPPPPPPPVSHRLAGESASPPRPHGRVSSLAESVRNRDPWSSCCCLEDFGQEPKIMASLADSFLADLDELSDNEAYPEEENAEAVGTEEIGDDDMPDLESLNYDDLDSVSKLQKTQRYNDIMQKVENALQKGTDFSNQRSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVQKIGNEMDLTLVDLEGLLPSAIIMVISVTASTTSGKPLSEENLVKTIEACERALTLDAAKKKVLEFVESRMGYIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYLEQTDVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDFEPKKKRGGDGLGEGYGMLGQAGSGKLRVSTAPSKLSAKITKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQAHGNLLGSGTQSTYFSETGTFSKIKRT >ORUFI04G22780.1 pep chromosome:OR_W1943:4:23449217:23468782:-1 gene:ORUFI04G22780 transcript:ORUFI04G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPRESLDSSPEPPPPGQDSDEQFSAVPLADEVRAEGSELDPDTSAGTSVAVTPARSEPSPPPPRRRRPRPLGVPPDAPQEVVRAVDDAIMVGGGAAAAAGVNRLHEMVSEEQGELPHTVVDVLLGTMGGADGLDEVEDKTGTGAPPSIMFNSRAAVVAAELLPYLPCGDEPSPRTRMAVGIHATLRACTRNRAMCSSSGLLPVLLDSAEKLLIGMGRASSWDGTPLLHCIQLLGGHSLSVKDLHSWLGLVKKALGTSWATPLMLALEKAMGSEEARGPAATFEFDGESSGLLGPGDSRWPFSNGYGFATWIYIESFSDTLSTATAAAAIAAAAAATSGKSSAMSAAAAASALAGEGTTHMPRLFSFLSSDNQGVEAYFHGQFLVVESGGGRGKKASLHFTYAFKPQRWYFVGLEHTNKHGLLGKGDSELRLYVDGSLHESRPFEFPRISKPLAFCCIGTNPPPTIAGLQRRRRQCPLFAEMGPIYIFREPIGPERMGRLASRGGDVLPSFGNGAGLPWRATNDHVKNMAEESFTLNQQIGGCLHLLYHPSLLNGRLCPDASPSGSAGTHRRPAEVLGLVHVSSRVRPAESLWALAYGGPMALLPLTISNVQMDSLEPMLGELSIATASLSAPIFRIISLAIQHPGNNEELCRTCSPEILSRVLHYQLQAFPKMEGGEGEAVTDEELVDAIVSLCQSQRNNHELKVQLFSTLLLDLKMWSSCTYGLQKKLLSSLADMIFTEAACMRDANALQMLLDSCRRCYWAIREPNSIDNFALTGTKRSLGEINALIDELLVVVELLLGSASSTAASDDVRCLIGFVVDCPQPNQVARVLHLIYRLIVQPNISRANMFAQSFISCGGVDALLVLLQREAKAGNNSILDNSDALLSENDFLRNDDSDTKAASGEAKSQDDQIQSVELEQHESILHEEHTELGSTSTNDVPCEILGSSIGRKLSSSENQLLKNLGGINFSITADNVRNNVYNVDKGDGIVVGIIHILGALVASGHLKFASRAANPNLPGGLLTTVHEEGNTMSEDRVSLLLFALQKAFQAAPRRLMTVNVYMALISAAINVSSVDENLNLYDCGHRFEHIQLLLVLLRSLPYASRSFQSRAIQDLLFLACSHPENRTTMTSISEWPEWILEVLIYNHEDVEATIHCAEWLSMVGGSSTGDQRIRREESLPILKRRLLGGLLDFSARELQVQTEVIAAAAAGVAAEGLSPEEAKTQAENAAHLSVALAENAIVILMLVEDHLRSQGQHFCTSRSLDSAVPSASMVSSAASRSNSLCRSGNEPMDAGTSRRSSLSTDAGGLPLDVLTSMADSNGQISAAVMERLTSAAAAEPYESVKHAFVSYGSCIADLAESWKYRSRLWYGVGIPSKSDTFGGGGSGWEFWKSVLEKDSNGTWVDLPLVKKSVAVLQALLLDDSGLGGGLGIGGGSGPGMGVMTALYQLLDSDQPFLCMLRMTLVSMREDDNGEGDAFTGNISIKDVISEGLGHQAGSMMPLDSNNRSSTRKPRSALLWSVLGPILNMPINESKRQRVLVASSILYSEVWHAIGRDRSPLRKQYIELILPPFIAILRRWRPLLAGIHELTSSDGQNPLIADDRALAADALPIEAALSMISPGWAAAFASPPVALALAMMAAGASGTEAIAPPRTLNRRDTSVPERKAAPKLQSFTSFQKPIETAPNKHGSTPKDKAAVKAAALAATRDLERTAKIGSGRGLSAVAMATSGQRRSAGDIERAKRWNTSEAMSAAWMECLQSVDSKSVSGRDFSALSYKYVAILVSGFALARNLQRVEMERQTQADVLNRHRVSTGVRAWRHLLHCLTEMDRLYGPFGEPLCAPDRIFWKLDFTESSSRMRRFMKRNHKGSDHLGAAADYEERKLSNVAQSNECNPEGTEPLVTDTLPSTAPIITAEAMSVDDRNEDNEQLESDTTQSSVDDRLQQADQQSVKGSIDSRGSGISADRNLVRSTVIAPGYVPSDADERIIVELPSSMVRPLKVVRGTFQVTSKRINFIIDESASESNMDDHASTSGQCDQQDKDRSWLISSLHQIYSRRYLLRRSALELFMDMEARKNAYRAIVHSKPPNLNDIFLATQRAEQILKRTQLTERWANWEISNFEYLMELNTLAGRSYNDITQYPVFPWIIADYRSEILNLDDPCTYRDLSKPIGALNPERLEKFQERYSTFEDPIIPKFHYGSHYSSAGTVLYYLFRVEPYTTLSIQLQGGKFDHADRMFSDLSGTWDSVLEDMSDVKELVPEMFYLPEVFTNINSIDFGTTQLGGKLDSVNLPPWAEDPVDFVHKHRKALESEHVSAHLHEWIDLIFGFKQRGKEAVMANNVFFYITYEGTVDIDKITDPVQRQAMQDQIAYFGQTPSQLLTIPHMRRKPLAEVLHLQTIFRNPSELKSYLLPNPDRCNVPASTMFVSNDSIVVVDVNVPAAHVALHHWQPNTPDGQGTPFLFHHGRNAANSTGGALMRIFKGSASSGEDYDFPRAIAFAASGIRSSAVVAVTCDKEIITGGHADGSVKLISPDGAKTIETATGHLAPVTCLALSHDSNYLVTGSRDTTVILWRIHQAGSLHKKNAPEPPPTTPTTPRSPSVSNLTEIRRRRIEGPMHVLRGHLEEVTSCSVSPDLGLVVSSSNMSGVLLHSLRTGRLIRKLNVAEAHAVCLSSQGVILVWNESKKRLSTFTVNGLPIATTVLSPFCGRVSCIEISTDGHFALMGTCSSSNYKCEDSTETGDHEPNEPNGKDGISKQAETRQSVHVPSVCFVDLHKLKVFHTMELGKGQDVTAIALNKENTNLLLSTADKQLIVFTDPALSLKVVDQMLRLGWEGDGLLQS >ORUFI04G22790.1 pep chromosome:OR_W1943:4:23472301:23475984:-1 gene:ORUFI04G22790 transcript:ORUFI04G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRQREARKRFREANPGLFPANPTPPADGTKKKKNNKKSMFKKTSKAGGGGAGRSKHPLRVPGMRPGERCFICKAADHVAKVCPEKSLWEKNKICLLCRQRGHSLKNCPDKNDENLKKFCYNCGESGHSLSKCPKPIENGGTKFASCFVCTQQGHLSKNCPENKHGIYPKGGCCKICGEVTHLAKHCPNRGRQDLISSGDDAFNTEEHHLEDNAVLRGGDDLDDDFIEEEETRHTKAKKAKQSSSKSTTETGDGDKNTNTKAKANSASGMAVGDECKLKFQELKSKRSFRFITFKIDERTQQVVVDRLGQPGDTYDDFTASMPASECRYAVFDFDFVTDENCQKSKIFFISWSPDTSKVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIVKARAL >ORUFI04G22800.1 pep chromosome:OR_W1943:4:23476898:23479000:-1 gene:ORUFI04G22800 transcript:ORUFI04G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGGSGGDGAESHGYAADIHSIREAQARIAPYVHKTPVLSSTSIDAIVGKQLFFKCECFQKAGAFKIRGASNSIFALDDDEASKGVVTHSSGNHAAAVALAAKLRGIPAYIVIPRNAPACKVDNVKRYGGHIIWSDVSIESRESVAKRVQEETGAILVHPFNNKNTISGQGTVSLELLEEVPEIDTIIVPISGGGLISGVALAAKAINPSIRILAAEPKGADDSAQSKAAGKIITLPSTNTIADGLRAFLGDLTWPVVRDLVDDIIVVDDNAIVDAMKMCYEMLKVAVEPSGAIGLAAALSDEFKQSSAWHESSKIGIIVSGGNVDLGVLWESLYKR >ORUFI04G22810.1 pep chromosome:OR_W1943:4:23482262:23488488:1 gene:ORUFI04G22810 transcript:ORUFI04G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTRALFLSCFHGSGGGGGTSEVSRRLVLRPRYPSMPRRPRSAAVAGEGGEGGGGGGDGDLEAAAVGAEEEEKVAVFEVSGMTCAACAGSVEKAVKRLQGIHDAAVDVLGGRAQVVFYPAFVSEEKIRETIQDVGFEAKLIDEEVKEKNILVCRLHIKGMTCTSCASTVESILQVVPGVQRASVALATEEAEIRYDRRIVTASQLTHAVEETGFEAILITTGDDQSRIDLKVDGTLNERSIMIVKSSVQALPGVEDIKVDPELHKITISYKPDQTGPRDLIEVIESAASGDLTVSIYPEADGRQQHRHGEIKRYRQSFLWSLVFTIPVFLTSMVFMYIPGLKDGLEKKVINMMSIGELLRWILSTPVQFVIGRRFYTGAYKALSHGSSNMDVLIALGTNTAYFYSVYSILRAASSHNYMATDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATMLIYDHEGNVVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISRVFVPLVIILSLLTWLAWFLAGRLHGYPNSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVNTRLLKNMVLREFYAYVAAAEVNSEHPLGKAVVEHAKKFHSEESHVWTEARDFISVTGHGVKAKISGRAVMVGNKSFMLTSGIDIPVEALEILTEEEEKAQTAIIVAMDQEVVGIISVSDPIKPNAREVISYLKSMKVESIMVTGDNWGTANAISKEVGIENTVAEAKPEQKAEKVKELQSAGRTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNIIGIPIAAGVLFPSTRFRLPPWVAGAAMAASSVSVVCWSLLLRYYKSPKLGR >ORUFI04G22820.1 pep chromosome:OR_W1943:4:23491432:23494062:1 gene:ORUFI04G22820 transcript:ORUFI04G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSATSVHDFTVKGVQDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKVQGFEILAFPCNQFGGQEPGSNEEIVQFACTRFKAEYPIFDKVDVNGNNAAPLYKYLKSNKGGLFGDSIKWNFSKFLVDKEGRVVDRYAPTTSPLSIEKDIKKLLGSS >ORUFI04G22830.1 pep chromosome:OR_W1943:4:23499826:23502498:1 gene:ORUFI04G22830 transcript:ORUFI04G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHKHCYGLNAKPCGQYKHVAVSNPKMIIKKCDVEANEKNKDSDSPPSSPLPADLAAGRSIVYPTARRIRDIPASSCDVANEVIQAFPRGPAVCHRRADGLAELLCPPPYCHPFLRQHRLLNDLLDGLRRDHLAVLLPWPHEEASLEVFAHQLCVPWLVGVHGPRKNRLPPQWLMNAAVAPCARISSCGAHPVITNPTPSVSAVNRDSSSACRLSPESANMLARSASRSTQMNLCLLPFSAAASSAT >ORUFI04G22840.1 pep chromosome:OR_W1943:4:23507004:23508395:-1 gene:ORUFI04G22840 transcript:ORUFI04G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSVESVAVVAVPFPAQGHLNQLMHLSLLLASRGLDVHYAAPPAHLRQARSRLHGWDPDALRSIRFHDLDVPAYESPPPDPTAPPFPSHMMPMIQSFAVAARAPFAALLERISASYSRVVVVYDRLNSFAAAQAARLPNGEAFGLQCVAMSYNIGWLDPENRLVREHGLKFHPVEACMPKEFVEFISREEQDEENATSSGMLMNTSRAIEAEFIDEIAAHPMFKEMKLFAVGPLNPLLDATARTPGQTRHECMDWLDKQPAASVLYVSFGTTSSLRGDQVAELAAALKGSKQRFIWVLRDADRADIFADSGESRHAELLSRFTAETEGVGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPWDAELVCKYLKAGLLVRPLEKHSEVVPAEAIQEVIEEAMLPEKGMAIRRRAMELGEVVRASVADGGSSRKDLDDFVGYITR >ORUFI04G22850.1 pep chromosome:OR_W1943:4:23512535:23514349:-1 gene:ORUFI04G22850 transcript:ORUFI04G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEEKRGTGGRGDKKEKVPLGFRFEPKEELVEHPSPQPGPQAIASIASSDGEFSSPQPGPAMGTSEEASGNKRPAEEHAAVAQRPHQQRKLTMGGAPPPPPASYIGGAGGMQMPLRTAVHDNRAGHPMARPAGHATAPPPRQHATVNGPMRMPNGQVVYGDQMMMRRQMATAANNRRQMMFLQQLAARNGQQGMVVADNGQASSSQRPPPACNGQQALVVQGSQVASNGQMSPVQRQRAAMAAAYNNYQYHQMLLQQQQQQQAAMAYNLQAQHLQGREVVAHTTSAQQPQAMMPAQGAEVEQNGETKSSAQRAPAACNCPAHVQRPQARPFNNVPPTPLRPRPATAAPTNSGNSFDRTLVMRRPPSPSVVQPRPAQETPEMHARRVLWQLVKELFRQRRIDQAQAAAAAEQERLMMTPPAQAPQQPCSDAVRCNDDGEKRSAEVATTEVAPDGSASAEGNDRQLVAKIEVGMLIYSISLAKEVEAAAAVMVKGTDPAAAVLDGDFKDNNGCHHHDGGGGCGGHDGRA >ORUFI04G22860.1 pep chromosome:OR_W1943:4:23517998:23520037:-1 gene:ORUFI04G22860 transcript:ORUFI04G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPTTTTPPPPPPPPSESTPTSDPKPPPPPPTSSTAAPKKRKLEEVGFHHSPYYNIRAAVANLRGRFIQVSPTSNPKIFVEWHFSALPWQQQQQQHNQLNRSCSRVLAQRLCKGTDTQKKDAALEILKEIKVLMELSKEMRLDLPTAAGPVKLMDEPTSRDARNMPAGKIPPGEKNQVRPADQAASFMHSSGEKVPLNPVDIKHDAKPSVTDSTKKSGQCLQGSYIVGGSPIGWNFLMWPGSSTRYCGLTRSE >ORUFI04G22860.2 pep chromosome:OR_W1943:4:23517998:23520037:-1 gene:ORUFI04G22860 transcript:ORUFI04G22860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPTTTTPPPPPPPPSESTPTSDPKPPPPPPTSSTAAPKKRKLEEVGFHHSPYYNIRAAVANLRGRFIQVSPTSNPKIFVEWHFSALPWQQQQQQHNQLNRSCSRVLAQRLCKGTDTQKKDAALEILKEIKVLMELSKEMRLDLPTAAGPVKLMDEPTSRDARNMPAGKIPPGEKNQVRPADQAASFMHSSGEKVPLNPVDIKHDAKPSVTDSTKKSGQCLQGSYIVGGSPIGWNFLMWPGSSTRYCGLTRSE >ORUFI04G22870.1 pep chromosome:OR_W1943:4:23521485:23523917:1 gene:ORUFI04G22870 transcript:ORUFI04G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGHPRGAQAQRTRAPPRLVCFGPPLAPPAEALTLRLLILLLLLRQEQNQTCHEGFDNLGVRDEMIFSVSLFGSSHQSIHKLYRKCWSSNSHSTLNVLSLAKTVGQSMRMKRIRVKPHATDLKNRPQAHEDNVFYKLVYRLPENLSWLLASPEMARRPPSKKKLKKEEMVSSNQFGVILEWEGVVVEDDDPDLEPRVWYVLSLEEAKSFPPDAVLKEIEGMRTDQAILEVLHWSEDPQEVQRLAARKEVIYKTLRGRFYQLRPGVLDFLNTLVDFDIPIAITTPCPRLSLEEGIKAVGLQGYFDAIVAAEDFCRGKPEGEMFEVAAGQLGLEPDVCLVLGNSNSTIESAHTAGMRCVAVASRYPAYELQAANHVVRWLDQLSVADLQRIANGEILGLRGRRSDMDMDMEIVIEE >ORUFI04G22890.1 pep chromosome:OR_W1943:4:23534898:23543225:-1 gene:ORUFI04G22890 transcript:ORUFI04G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPVQEEPLQPGTNHFRSLLAAAVRSISWSYAIFWSISTSCPGVLTWNDGFYNGVVKTRKISNSADLTAGQLVVQRSEQLRELYYSLLSGECDHRARRPIAALSPEDLADTEWYYVVCMTYSFQPGQGLPGKSYASNASVWLRNAQSADSKTFLRSLLAKTIICIPFPSGVLELGTTDPVLEDPNLVNRIVAYFQELQFPICLEVLMSTSPSPNETEDADIVSEGLITHNAIEEGQMVVSDECVSNANRDPITMEIDELYSIYEDLDLDTVRFLEDNGWPVNPSSFQLVPASSTEAVAAAAAANDVDGVANSQVSCFMAWKSAKSNEMAVPVVTGIESQKLLKKVVDCGARMSTGRGSRAALTQESGIKNHVISERRRREKLNEMFLILKSIVPSIHKVDKASILEETIAYLKVLEKRVKELESSSEPSHQRATETGQQRRCEITGKELVSEIGVSGGGDAGREHHHVNVTVTDKVVLLEVQCRWKELVMTRVFDAIKSLCLDVLSVQASAPDGLLGLKIQAKFACSGSVAPGMISEALQKAIGG >ORUFI04G22900.1 pep chromosome:OR_W1943:4:23577141:23577641:-1 gene:ORUFI04G22900 transcript:ORUFI04G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARTQKMVRFGQKSAATIYRNMRGSNFILKLMAKERRLSSALRRQRHRDRRLLFLLLRRRRSHLCSLARRRREQRARTMVLVAREATETLARSVREEGRGLDAYSDGERQWKKRRRSRRRTVSRRHGEITLDTAPTSPTPCRCRPPRPPETTTATPSS >ORUFI04G22910.1 pep chromosome:OR_W1943:4:23582145:23587158:-1 gene:ORUFI04G22910 transcript:ORUFI04G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPVQEEALQPGTNHFRSRLAAAVRSISWSYTIFWSTSTSLPGVLTWNDGFYNGEVKTRKISNLEDLTADQLVLRRSEQLRELYYSLLSGECDHRARKPVAALSPEDIADTEWYYVVCMTYAFRPGQGLPGRSYASNRSVWLCNAQSADSKTFLRALLAKTIVCIPFMSGVLELGTTDPVSEDPNLVNRIVAYLKELQFPICLEGEDELGDVVVAECETNGANPETITMETDEFYSLCEELDLDLGSYQLVPTSARETVAAAAAAANDVDGVAYSHASCFVSWKRANPAEKVVAVPMTAGIESQKLLKKAVGGGTAWMSNIDDRGSVAITTTPGSNIKSHVMSERRRREKLNEMFLILKSLLPSVRKVDKASILAETITYLKVEKSERAGVQQQGAIALAPNRNWTGEGAVRSPGRRSMRSVSVVVPRRYLVNTVRI >ORUFI04G22910.2 pep chromosome:OR_W1943:4:23582145:23587158:-1 gene:ORUFI04G22910 transcript:ORUFI04G22910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPVQEEALQPGTNHFRSRLAAAVRSISWSYTIFWSTSTSLPGVLTWNDGFYNGEVKTRKISNLEDLTADQLVLRRSEQLRELYYSLLSGECDHRARKPVAALSPEDIADTEWYYVVCMTYAFRPGQGLPGRSYASNRSVWLCNAQSADSKTFLRALLAKTIVCIPFMSGVLELGTTDPVSEDPNLVNRIVAYLKELQFPICLEVPSSTPSLDETEDADTVFDGLIEEHQMVILQGEDELGDVVVAECETNGANPETITMETDEFYSLCEELDLDLGSYQLVPTSARETVAAAAAAANDVDGVAYSHASCFVSWKRANPAEKVVAVPMTAGIESQKLLKKAVGGGTAWMSNIDDRGSVAITTTPGSNIKSHVMSERRRREKLNEMFLILKSLLPSVRKVDKASILAETITYLKVEKSERAGVQQQGAIALAPNRNWTGEGAVRSPGRRSMRSVSVVVPRRYLVNTVRI >ORUFI04G22910.3 pep chromosome:OR_W1943:4:23582225:23587158:-1 gene:ORUFI04G22910 transcript:ORUFI04G22910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPVQEEALQPGTNHFRSRLAAAVRSISWSYTIFWSTSTSLPGVLTWNDGFYNGEVKTRKISNLEDLTADQLVLRRSEQLRELYYSLLSGECDHRARKPVAALSPEDIADTEWYYVVCMTYAFRPGQGLPGRSYASNRSVWLCNAQSADSKTFLRALLAKTIVCIPFMSGVLELGTTDPVSEDPNLVNRIVAYLKELQFPICLEGEDELGDVVVAECETNGANPETITMETDEFYSLCEELDLDLGSYQLVPTSARETVAAAAAAANDVDGVAYSHASCFVSWKRANPAEKVVAVPMTAGIESQKLLKKAVGGGTAWMSNIDDRGSVAITTTPGSNIKSHVMSERRRREKLNEMFLILKSLLPSVRKVDKASILAETITYLKVLEKRVKELESSSREPSRWRPTEIGQGKAP >ORUFI04G22920.1 pep chromosome:OR_W1943:4:23587181:23600527:-1 gene:ORUFI04G22920 transcript:ORUFI04G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLPRRRSAALPPSPCSDLSSAAAGARIPLRTTTRASERHACGLPCEDMLLPHQDRDRGVRAAGRGDGRILPLIRKLNS >ORUFI04G22920.2 pep chromosome:OR_W1943:4:23592912:23600527:-1 gene:ORUFI04G22920 transcript:ORUFI04G22920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLPRRRSAALPPSPCSDLSSAAAGARIPLRTTTRASERHACGLPCEDMLLPHQDRDRGVRAAGRGDGRILPLIRFDSGGTDGDDGDDDGGAVTTSPRGRPGRQPAGLPTTTGDGRRREASPSSSSSRSSSSSFLSLASSPLPPFSSSAVLAPPTVGERWTRPPGVSAWHNTSDEELL >ORUFI04G22920.3 pep chromosome:OR_W1943:4:23592912:23600527:-1 gene:ORUFI04G22920 transcript:ORUFI04G22920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLPRRRSAALPPSPCSDLSSAAAGARIPLRDGDDGDDDGGAVTTSPRGRPGRQPAGLPTTTGDGRRREASPSSSSSRSSSSSFLSLASSPLPPFSSSAVLAPPTVGERWTRPPGVSAWHNTSDEELL >ORUFI04G22930.1 pep chromosome:OR_W1943:4:23601341:23607897:-1 gene:ORUFI04G22930 transcript:ORUFI04G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTADELVLQRSEQLRELYDSLLSGECGHRARRPVAALLPEDLGDTEWYYVVCMTYAFGPRQGLPGKSFASNEFVWLTNAQSADRKLFHRALMAKTIVCVPFIMHGVLELGTTDPISEDPALVDRIAASFWDTPPRAAFSSEAGDADIVVFEDLDHGNAAVEATTTTVPGEPHAVAGGEVAECEPNSDNDLEQITMDDIGELYSLCEELDVVRPLDDDSSSWAVADPWSSFQLVPTSSPAPDQAPAAEATDVDDVVVAALDGSSIDGSCRPSPSSFVAWKRTADSDEVQAVPLISGEPPQKLLKKAVAGAGAWMNNGDSSAAAMTTQGSSIKNHVDKASILAETIAYLKELEKRVEELESSSQPSPCPLETRSRRKCREITGKKVSAGAKRKAPAPEVASDDDTDGERRHCVSNVNVTIMDNKEVLLELQCQWKEYLMTRVFDAIKGVSLDVLSFASSAAVEPGMITEALRKAIAS >ORUFI04G22930.2 pep chromosome:OR_W1943:4:23601376:23607897:-1 gene:ORUFI04G22930 transcript:ORUFI04G22930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTADELVLQRSEQLRELYDSLLSGECGHRARRPVAALLPEDLGDTEWYYVVCMTYAFGPRQGCVWLPGKSFASNEFVWLTNAQSADRKLFHRALMAKTIVCVPFIMHGVLELGTTDPISEDPALVDRIAASFWDTPPRAAFSSEAGDADIVVFEDLDHGNAAVEATTTTVPGEPHAVAGGEVAECEPNSDNDLEQITMDDIGELYSLCEELDVVRPLDDDSSSWAVADPWSSFQLVPTSSPAPDQAPAAEATDVDDVVVAALDGSSIDGSCRPSPSSFVAWKRTADSDEVQAVPLISGEPPQKLLKKAVAGAGAWMNNGDSSAAAMTTQGSSIKNHVDKASILAETIAYLKELEKRVEELESSSQPSPCPLETRSRRKCREITGKKVSAGAKRKAPAPEVASDDDTDGERRHCVSNVNVTIMDNKEVLLELQCQWKEYLMTRVFDAIKGVSLDVLSVQASTSDGLLGLKLGLKIQAPRSSSQRLSRARSRNAASSIYLSISVSIRLFGVLILAILLLHGCSLPHLLPSNLG >ORUFI04G22940.1 pep chromosome:OR_W1943:4:23616330:23617509:-1 gene:ORUFI04G22940 transcript:ORUFI04G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRPRAEEISRPSRSLSKAVRRRADAVSAKLAARGLGAFVWQKKLERDLARGILPDIVSLRDERRRCLARRREAAGVNSSAAASRRRSPPPILDASRARAAAEEEAKEAAFLLDQSRLRAEARLSTGRGASVEELKELGEEIAAYADLDRANEPFWAAAKAMCNAEIEQAATGTGTAGHGDRALHSAVFADVKSVVEGKSLDELDAMQHAIAARMATGEAKVVEHWQEVTELIRVEKAKKYLEQHYTCDAPPPPPDNDGGGEDADEEGSETLRPVALPPPPGPELRKPKYIARVRSGFEWNKYNRAHYDHDHPPPKTVKGYKFVLYYPDLAGGKPPQYTVDEDGSNSGGGETCVIRFHAGWPYEDVAFRIVNKEWD >ORUFI04G22950.1 pep chromosome:OR_W1943:4:23619063:23625783:1 gene:ORUFI04G22950 transcript:ORUFI04G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREEVTEFLGQVPLLQCLPSSSIRRIADAVLVKRYEPGGYVAREGDPVDGLYIILDGQAEVSAPANTEEENRPDYVLNKYDYFGYGTNSSVHQVNVIAVSKLTCFVLPNQYGHLLQPKTIWSAEETPENHSLLEQILHLEPLEVDIFRGFTLPGAPTFRQALAAASKTVDCLKAVHSLHAIFLIAGDKNLPIIYQVHRARDGTSFATRKVEAKQKGLVIFTLIASFQKDELGFEHQAAIMPDVPPPEELLNLEEIRERRLTDPRFPMQYRNSAAKKKFVPWPIEMRFCEDSASQHKPSLNYWFRARGKLSDDPALHRCVVAYASDLLYSGVSLNPHREKGLKTYSLSLDHSIWFHKPVKADDWLLYVIDSPSAHGGRGFVTGRMFNRQGELVMSLTQEALIRRAKTPGQPSQTPRPKL >ORUFI04G22960.1 pep chromosome:OR_W1943:4:23626377:23627478:-1 gene:ORUFI04G22960 transcript:ORUFI04G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASLTPSRTGAQSSHRKRKVPAAAAAAPAREDEAAEEEDMEELEREVDRLGRRLLQHRREDAARLLNAAASRLTALRPRLLVSDADNIMYIAEVTTASQHIAGTPVAKVDQEKKEKLRIVKAKSEANIGAMPMVLKRIGESIAKIEKLEHLNVNIHPVFKTKR >ORUFI04G22970.1 pep chromosome:OR_W1943:4:23630072:23630702:-1 gene:ORUFI04G22970 transcript:ORUFI04G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASPGRLPATMAEEGGDDGEADVDASSYGRRTTTARGGGAAAMASSCWGRLGLAALWHRLRQLSVARRRRRHGGGGGGRSILGAGGLNYDPLSYAQNFDDGCLEPDFTVTARFAPPRSAGSPPPPPPPLTSRGMCFDEGKGKSFH >ORUFI04G22980.1 pep chromosome:OR_W1943:4:23632139:23634520:1 gene:ORUFI04G22980 transcript:ORUFI04G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRNHGRCSLPRLAVGAAVLLLAVSLAATPAASHAGHDDTGLHSNYLVIVRKPYAYDTNLYKNVSSWHASLVASVCDMAKEALERDPSSVSRLIYSYRNVVNGFAARLTPEEVEEMSKNDWFIRADPEKTYQLQTTHTPQLLGLMGGARRGGVWNTSNMGEGIIIGILDDGIYAGHPSFDGAGMKPPPAKWSGRCDFNKTVCNNKLIGARSYFESAKWKWKGLRDPVLPINEGQHGTHTSSTAAGSFVPGANVSGYAVGTAGGMAPRAHIAFYQVCYVEKGCDRDDILAAVDDALEDGVDILSLSLGDEQAGDFSDDPVSLGGYSAAMHGVLVSAAGGNTGPGPSTVVNEAPWVITVGAGTTDRRFVATVKLGSGVSLDGESLSEPKDFGAEMRPLVHDVGDGMCTTESVLRAMNVTGKIIICDAGGDVSVAKAKLVLRSGAAGMIVIAPQVYGSVIVPRPHVLPTVQMPFMIGQKIKAYIRSTPSPTANFIFKGTVFKAKSPVAAPFSSRGPNRRSRGILKPDIIGPGVNILAGVPKIEDLALGAEEVMPKFDIKSGTSMAAPHISGVAALIKNAHPTWSPAAIKSAMMTTADYTDNLRKPITDVDGAPATYYAIGAGYVNARKAIDPGLVYNLSSLDYIPYLCGLGYKDQKVNSIIHPGPAVECAKMPKVDQKDLNYPSITAVLDMEPYEVSINRSATNVGAATSTYAVEVDVPATLAVEVNPAKLEFRALNEVLNYTVTVKTASGKAPASTIEGQLKWVSGKKYVVRSPILVCAGTGGKSAASMGAAPA >ORUFI04G22990.1 pep chromosome:OR_W1943:4:23635670:23638820:-1 gene:ORUFI04G22990 transcript:ORUFI04G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFKLSLLSFLPFVFVLAIAVEATGDEIGTFIVHVQPQESHVAATADDRKEWYKTFLPEDGRLVHAYHHVASGFAARLTRQELDAVSAMPGFVSAVPDQTHTLQTTHTPQFLGLSAPPPPQGKRWSSSSHGGSGAGAGVIVGVIDTGVFPDHPSFSDAGMPPPPAKWKGHCDFNGGSVCNNKLIGARTFIANATNSSSSYGERLPPVDDVGHGTHTASTAAGAAVPGAHVLGQGLGVAAGIAPHAHVAVYKVCPNESCAISDILAGVDAAIADGCDVISISIGGPSVPFHENPVAVGTFGAMEKGVFVSMAAGNAGPNVSSVINDAPWMLTVAASTMDRSIRTTVRLGNGLYFDGESLYQPNDSPSTFYPLVYAGASGKPSAEFCGNGSLDGFDVRGKIVVCEFGGGPNITRIIKGAVVQSAGGAGMILPNHFPEGYTTLAEAHVLPASHVDYVAGLAIKAYINSTANPVAQILPRGTVLGTTPAPAMAFFSSRGPSVQNPGILKPDITGPGVNVLAAWPFQVGPSSAQVFPGPTFNIISGTSMSTPHLSGVAAFIKSRHPHWSPAAIKSAIMTTADITDRSGNQILDEQRAPANFFATGAGHVNPERAADPGLVYDIAPCDYVGYLCGLYTSQEVSVIARRPVNCSAVAAIPEHQLNYPSISVRFPRAWNSSEPVLVRRTAKNVGEVPSEYYAAVDMLDTTVTVRVFPRTLRFTGVNQEKDFTVVVWPGQGGARVVQGAVRWVSETHTVRSPPYNNG >ORUFI04G23000.1 pep chromosome:OR_W1943:4:23648417:23651892:1 gene:ORUFI04G23000 transcript:ORUFI04G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSTTCDESSEVDARDDYGDIDDVERRRGRHRREASSDVSSECSGEPGSPYGSPYPRWPVCSIAKAPPPPLLQKLGAARRGAGRDRKAGDGELQLIKERFSKLLLGEDMSGSGKGVSTAVAISNAITNLYATVFGGCHRLEPLLAEKRSMWRREMDCLLSVCDYIVELFPSKEIMPDGTVREVMATRPRSDIYVNLPALEKLDDMLLEILDSFQKTEFWYVNDKGQKDSCAAAAAAPCRPVSHRDGDKWWLPVPCVTKPGLTESARRDLRQKHDCASQIHKAAMAINNGVLAEIRIPELYKQTLPKCGRASVGDLIYRHMSFPGKFSPEYLLDRLEISSEHDALEAADRVEAAMHVWRRKASQGHSRSPWSAVKELMESDKNVMLASRAGDVLLCLKQRFPGLSQTTLDASKIQYNKDVGQAILEGYSRVLESLAYNIVTCIDDVLFADESARKI >ORUFI04G23010.1 pep chromosome:OR_W1943:4:23651311:23655052:-1 gene:ORUFI04G23010 transcript:ORUFI04G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSIMAKKSYKQRCKSEKVHMGCMSGLIHMFDFRRSPKLISDGTIRRSSVRSDLKGSEDFHGIIFSDEDKDYGVKTIHASRPSIKALMEEEMASGTQILKETQRNIFGIRSDDLKSVNLQEGSDVDLDLATSLMELYRNHNGSRDIITSEVSDHSSSLIDKEHNTDASTHPKQISCSIEKALEAVAEAVITHQSANGKYTSSSYEARPNEFLDALQLLSANEEFFLMLLKDPSSRMLQCLQNLYTALGNPMLELAEDDKQTKSKVTINSLEQSEVSKYSVQKTHNFFLKEDKLVMRRPPKLNDSPRGVSRIVILKPSPGRSQTSLISSSAMSSPVQTRADLQGQEESDKYARHFSLRELKRRLRLAINNNRKDVMSSTFQKDDSTQQFILESMSTSMDSSECEKAEKPSIVDKKTIPEDSGSGMGNDATHCASSFFYEKAKKHLIERLDNQKNDTSQIVHKSEPFGKLLSYSENDTFSQTDCPQEDVKLSEDSTASSALLTTEQEDISSNSDPPMKFGELIPLDTSTSANTQLDEFKTDHASHPVKEGTISQELTSEGIDSMNDATDTPQVSIQIETPTESLEQINTDQCFAEESQTMNALPEVSLHTPEKVNEQFNHSPSAVVGLTKPSILTFSCSPENADDKEERLSPQSVLDSFLGDGISPSHKTRTQGTLYCLTDDAVFLFDCVEEALCKIRDNFFGCDPWVAYLKHSVRPAPVGTGLIQEVDNCIDSLVSDEVPSTLDRVVLKDLESGSWMDLRVDTEEVAIEVWDTLLDDLLEEMVFDLWL >ORUFI04G23010.2 pep chromosome:OR_W1943:4:23651311:23655052:-1 gene:ORUFI04G23010 transcript:ORUFI04G23010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSIMAKKSYKQRCKSEKVHMGCMSGLIHMFDFRRSPKLISDGTIRRSSVRSDLKGSEDFHGIIFSDEDKDYGVKTIHASRPSIKALMEEEMASGTQILKETQRNIFGIRSDDLKSVNLQEGSDVDLDLATSLMELYRNHNGSRDIITSEVSDHSSSLIDKEHNTDASTHPKQISCSIEKALEAVAEAVITHQSANGKYTSSSYEARPNEFLDALQLLSANEEFFLMLLKDPSSRMLQCLQNLYTALGNPMLELAEDDKQTKSKVTINSLEQSEVSKYSVQKTHNFFLKEDKLVMRRPPKLNDSPRGVSRIVILKPSPGRSQTSLISSSAMSSPVQTRADLQGQEESDKYARHFSLRELKRRLRLAINNNRKDVMSSTFQKDDSTQQFILESMSTSMDSSECEKAEKPSIVDKKTIPEDSGSGMGNDATHCASSFFYEKAKKHLIERLDNQKNDTSQIVHKSEPFGKLLSYSENDTFSQTDCPQEDVKLSEDSTASSALLTTEQEDISSNSDPPMKFGELIPLDTSTSANTQLDEFKTDHASHPVKEGTISQELTSEGIDSMNDATDTPQVSIQIETPTESLEQINTDQCFAEESQTMNALPEVSLHTPEKVNEQFNHSPSAVVGLTKPSILTFSCSPENADDKEERLSPQSVLDSFLGDGISPSHKTRTQDELSMPSTRILFKEDDTPSGTPTLQNTPQEAILDDKQARLSFIKVVLEASDFLSEESSEIWYVDGSLLDTSVLAEVGTLYCLTDDAVFLFDCVEEALCKIRDNFFGCDPWVAYLKHSVRPAPVGTGLIQEVDNCIDSLVSDEVPSTLDRVVLKDLESGSWMDLRVDTEEVAIEVWDTLLDDLLEEMVFDLWL >ORUFI04G23020.1 pep chromosome:OR_W1943:4:23661825:23665603:-1 gene:ORUFI04G23020 transcript:ORUFI04G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTDPPSPYPIVETCDVCGPRHVQVRDSETTVAVAAAACFKAGFLPPTAWAHAPPERGVGPASPPPGANGNPSRRLGRSPRRRSSSPPAQPSATNHARAPPSRLRSPRRATTQPPRPSAARKGGGGGGRRLTANGAPPACGCGRPRSRTRRRVAVPDAPSSRALSPHSEAIGGGLRRSRRLQRRGCRQEEPVVNSGTADLVDFNWETLGFQPVPTDFMYVMRCSEEGVFTKGELVPYGPIELNPAAGVLNYGQGLLEGLRAHRKEDGSVLLFRPDENALRMRVGADRLCMPAPSVEQFLEAIKLTILANKRWVPPTGKGSLYIRPLLIGSGAILGVAPAPEYTFVVFACPVGHYFKDGLSPISLLTEEEYQCAAPGGTGDIKTIGNYASAVYAKERAKERGHSDVLYLDPVHKKFVEELSSCNIFMVKDNIISTPLLTGTVLPGITRRSIIEYARSLGFQVEECLITIDELLDADEVFCTGTSVVLSSVGCIVYQGRRVEYGNQKFRTVSQQLYSALTAIQKGLVEDSMGWTVQLN >ORUFI04G23020.2 pep chromosome:OR_W1943:4:23661827:23665603:-1 gene:ORUFI04G23020 transcript:ORUFI04G23020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTDPPSPYPIVETCDVCGPRHVQVRDSETTVAVAAAACFKAGFLPPTAWAHAPPERGVGPASPPPGANGNPSRRLGRSPRRRSSSPPAQPSATNHARAPPSRLRSPRRATTQPPRPSAARKGGGGGGRRLTANGAPPACGCGRPRSRTRRRVAVPDAPSSRALSPHSEAIGGGLRRSRRLQRRGCRQEEPVVNSGTADLVDFNWETLGFQPVPTDFMYVMRCSEEGVFTKGELVPYGPIELNPAAGVLNYGQGLLEGLRAHRKEDGSVLLFRPDENALRMRVGADRLCMPAPSVEQFLEAIKLTILANKRWVPPTGKGSLYIRPLLIGSGAILGVAPAPEYTFVVFACPVGHYFKDGLSPISLLTEEEYQCAAPGGTGDIKTIGNYASAVYAKERAKERGHSDVLYLDPVHKKFVEELSSCNIFMVKDNIISTPLLTGTVLPGITRRSIIEYARSLGFQVEECLITIDELLDADEVFCTGTSVVLSSVGCIVYQGRRVEYGNQKFRTVSQQLYSALTAIQKGLVEDSMGWTVQLN >ORUFI04G23020.3 pep chromosome:OR_W1943:4:23661827:23665603:-1 gene:ORUFI04G23020 transcript:ORUFI04G23020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTDPPSPYPIVETCDVCGPRHVQVRDSETTVAVAAAACFKAGFLPPTAWAHAPPERGVGPASPPPGANGNPSRRLGRSPRRRSSSPPAQPSATNHARAPPSRLRSPRRATTQPPRPSAARKGGGGGGRRLTANGAPPACGCGRPRSRTRRRVAVPDAPSSRALSPHSEAIGGGLRRSRRLQRRGCRQEEPVVNSGTADLVDFNWETLGFQPVPTDFMYVMRCSEEGVFTKGELVPYGPIELNPAAGVLNYGQGLLEGLRAHRKEDGSVLLFRPDENALRMRVGADRLCMPAPSVEQFLEAIKLTILANKRWVPPTGKGSLYIRPLLIGSGAILGVAPAPEYTFVVFACPVGHYFKDGLSPISLLTEEEYQCAAPGGTGDIKTIGNYASVITFSFLQAESLLYHLIPHRGHSTLFHIFTLRAWFSQFEFGCQCIFLCLKSNSCSSFSFDICIQAVYAKERAKERGHSDVLYLDPVHKKFVEELSSCNIFMVKDNIISTPLLTGTVLPGITRRSIIEYARSLGFQVEECLITIDELLDADEVFCTGTSVVLSSVGCIVYQGRRVEYGNQKFRTVSQQLYSALTAIQKGLVEDSMGWTVQLN >ORUFI04G23030.1 pep chromosome:OR_W1943:4:23668012:23668263:-1 gene:ORUFI04G23030 transcript:ORUFI04G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFRSTIVVIAEDDHGAGDGFPEDDLGAGDGFMEDDLKAAEQLMQLRCSGGWQEEQADDDDDGGDWWGRKRKRPRYRSLSEL >ORUFI04G23040.1 pep chromosome:OR_W1943:4:23676966:23679322:1 gene:ORUFI04G23040 transcript:ORUFI04G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKFSERQPIGTAAQGSDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFMATFLFLYITVLTVMGVNNSTSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYMVMQCLGAICGAGVVKGFQKGLYETTGGGANVVAPGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRGHAWDDHWIFWVGPFIGAALAAIYHQVVIRAIPFKSRS >ORUFI04G23050.1 pep chromosome:OR_W1943:4:23681053:23687688:-1 gene:ORUFI04G23050 transcript:ORUFI04G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIHRPANQVHGSHILSTSPRGVAADSYQSNLQNPRPLVLDSAPNSLMSSPSRSPRRICPDHIPTSAFWAVKPHTDVTFVGSGQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRSSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRSGGMAPESPTNRHDDGKKKQTHKLPLPPLSISHSSFHPNNSTPTSPISVPRSPGRTENPPSPGSRWKKGKLIGRGTFGHVYVGFNSDSGEMCAMKEVTLFLDDPKSKESAKQLGQEISLLSRLQHPNIVQYYGSETVDDKLYIYLEYVSGGSIHKLLQEYGQLGEQAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHINGQQCPFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAMFKIGNSKELPPIPDHLSEPGKDFIRKCLQRDPSQRPTAMELLQHPFVQKAVSLEKSVLSEPLEHLAVISCRSSAKMAAHTRNISSLGLEGQTIYQRRGAKFSSKHSDIRIRSNISCPVSPCGSPLLKSRSPQHSNGRMSPSPISSPRTTSGTSTPLSGGNGAIPFNHLKQSTYSNEGFAIPSRSPDDLFASRPTDPDLGQFIRVHQVSQGLQERVVSEADILSPQFGKRLGNVFDLRDKLSPSERFTHHAFVDHVKLNPSLDLTSGSPHLGLKHGN >ORUFI04G23060.1 pep chromosome:OR_W1943:4:23692039:23693676:-1 gene:ORUFI04G23060 transcript:ORUFI04G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTWAVVVAAVAAYMAWFWRMSRGLSGPRVWPVVGSLPGLVRHAEDMHEWIAANLRRTRGTYQTCIFAVPGLARRGGLVTVTCDPRNLEHVLKSRFDNYPKGPFWHGVFGDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHSRLLPILSDAAAAGGGGGGATVDLQDLLLRLTFDNICGLAFGKDPETLARGLPENDFASAFDRATEATLNRFIFPECVWRFKKWMGLGMETTLARSVQHVDRYLSAVIKARKLELAAGNGKGDASSATPHDDLLSRFMRKGTYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPAVERKIVRELCTVLAASRGADDPALWLAAPLNFEELDQLVYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSVTYSIYSAGRMKTVWGDDCLEFRPERWLSADGTKFEPHDSFRFVAFNAGPRICLGKDLAYLQMRNIAGSVLLRHRLAVAPGHRVEQKMSLTLFMKHGLRMEVRPRDLAPIVDELRGAGEYAAAARATAACA >ORUFI04G23070.1 pep chromosome:OR_W1943:4:23695359:23698686:1 gene:ORUFI04G23070 transcript:ORUFI04G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEAKKTGLEGTGLSLPGSSHGNLRSAGSDQQLKQMLDSLKSSKSPAVINYGASWCRVCSQILPPFCRFSNEFKNLTFIYADIDECPETTQNIRYTPTFHFYRDGEKVDEMLGTGEERLHDRLWLHS >ORUFI04G23080.1 pep chromosome:OR_W1943:4:23697887:23700647:-1 gene:ORUFI04G23080 transcript:ORUFI04G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQPPPYTPLPLLSSFPPNPYPDQTPDPASTPTLVLPNPAFPNKRKRTGFRRKLPSGSPAAPVAVAASPSAQPPPRASAADDIIVINREPTAEAVTALTAGFPADSLTDEEIEAGVVSDVGGIEQVNYILIRNHLLTRWRETFNSWLAKESFATLIPPHCDHLLNAAYSFLVSHGHINFGVAPAIKERIPKEPTRHNTVIVVGAGLAGLAAARQLVAFGFKVVVLEGRKRCGGRVYTKKMEGGGRSAAGDLGGSVLTGTFGNPLGIVAKQLGLPMHKIRDKCPLYRPDGSPVDPEVDKKVEGTFNKLLDKSSLLRASMGDVAMDVSLGAALETLRQTDGDLSTDQEMNLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPIVYERTVHTIRYGGDGVQVVVNGGQVYEGDMALCTVPLGVLKNGGVKFVPELPQRKLDSIKRLGFGLLNKVAMLFPHVFWSTDLDTFGHLTEDPSHRGEFFLFYSYATVAGGPLLMALVAGEAAHNFETTPPTDAVSSVLKILRGIYEPQGIEVPDPLQSVCTRWGTDSFSLGSYSHVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFISGLREAANITLHANARAAKSKVEKGPSTNTQACAALLMDLFRQPDLEFGSFSVIFGGQASDPKSPAILKVELGGPRKKGATEGGKADQHHSNKLLFQQLQSHFNQQQQLYVYTLLSRQQAMELREVRGGDEMRLHYLCEKLGVKLVGRKGLGPGADAVIASIKAERNSSRTKTRPSKLKIGIPKSKS >ORUFI04G23090.1 pep chromosome:OR_W1943:4:23701941:23704932:-1 gene:ORUFI04G23090 transcript:ORUFI04G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILLLLLGLLVGLPLLRAHGVTGSAAPTPPPLPVLPVPSYAQLQWQLSEMALFLHFGPNTFTDSEWGSGRADPAVFAPSALDAGQWARAAAAGGFGRVVLTAKHHDGFCLWPSALTNYSVAASPWKGGAGDVVGELAAAARAEGIGLGLYLSPWDRHEPVYGDTVAYNEHYLGQMTELLTRYGDVEEVWLDGAKGEGKDMDYMFDAWFALIHQLQQRVVIFSDAGPDTRWVGDEAGVAGYTCWSPFNKSTVTIGHIIPEYSRCGDPFGQDWVPAECDVSIRPGWFWHASEKPKNATTLLDIYYKSVGRNCLLILNVPPNSSGLISTEDMQVLQEFTEIRQTIFSQNFAANATVTASTVRGGLGNQQFAPSNVLQESIYSYWAPEEGQSSWEMLFDLGQSASFNVIQLQEPIQMGQRVIKFRVEILVDELWQTIVEGTTIGYKRLFQFPVVEGQFLKLSIDGARADPLISFFGVFMDSFSVTYSLENHEKPSVVNSSEVIMLRTDHSFGNKSIATM >ORUFI04G23100.1 pep chromosome:OR_W1943:4:23705974:23711718:1 gene:ORUFI04G23100 transcript:ORUFI04G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCAPIATAPVAGGRFPPPSLRCRRRRGRSVRADASPEPVDTQRKKVAVAGAGWAGLAAAHHLVKQGYEVTLLGAESGPTEEIGLRGFWYPYRNIFSLVDELGISPFTGWTKAAYYSSQGLAVEFPIFHDQPRLPAPFGMLTYPEFPSLPLIDRLTSIPVMAAVSARDLFKMFGCSQKLYKEIFQPAIQAALFAPGLKFHGNRVPTSLAINKDTACISGIVCGEEVHEADAFVLANGLSSLQYIIKNSPFLQSRQEFVNLLHLSTIDVVSIKLWFDKKITIPKVANVCSGFDDPSRWTFFDLTSIYDDYADKSTTIVEAEFYNASHLLPLNDEQIVSEASSHLIKCIQDFEEATVIQQLVRRSPKSTMHFLPGSYKYTVRGSTTLPNLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFGDGDFAKIIAVEEDEPHIETARNLNRRANELKTQIPFSEFFL >ORUFI04G23100.2 pep chromosome:OR_W1943:4:23705974:23711718:1 gene:ORUFI04G23100 transcript:ORUFI04G23100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCAPIATAPVAGGRFPPPSLRCRRRRGRSVRADASPEPVDTQRKKVAVAGAGWAGLAAAHHLVKQGYEVTLLGAESGPTEEIGLRGFWYPYRNIFSLVDELGISPFTGWTKAAYYSSQGLAVEFPIFHDQPRLPAPFGMLTYPEFPSLPLIDRLTSIPVMAAVSARDLFKMFGCSQKLYKEIFQPAIQAALFAPGLKFHGNRVPTSLAINKDTACISGIVCGEEVHEADAFVLANGLSSLQYIIKNSPFLQSRQEFVNLLHLSTIDVVSIKLWFDKKITIPKVANVCSGFDDPSRWTFFDLTSIYDDYADKSTTIVEAEFYNASHLLPLNDEQIVSEASSHLIKCIQDFEEATVIQQLVRRSPKSTMHFLPGSYKYTVRGSTTLPNLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFGDGDFAKIIAVEEDEPHIETARNLNRRANELKTQIPFSEFFL >ORUFI04G23100.3 pep chromosome:OR_W1943:4:23705974:23710449:1 gene:ORUFI04G23100 transcript:ORUFI04G23100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCAPIATAPVAGGRFPPPSLRCRRRRGRSVRADASPEPVDTQRKKVAVAGAGWAGLAAAHHLVKQGYEVTLLGAESGPTEEIGLRGFWYPYRNIFSLVDELGISPFTGWTKAAYYSSQGLAVEFPIFHDQPRLPAPFGMLTYPEFPSLPLIDRLTSIPVMAAVSARDLFKMFGCSQKLYKEIFQPAIQAALFAPGLKFHGNRVPTSLAINKDTACISGIVCGEEVHEADAFVLANGLSSLQYIIKNSPFLQSRQEFVNLLHLSTIDVVSIKLWFDKKITIPKVANVCSGFDDPSRWTFFDLTSIYDDYADKSTTIVEAEFYNASHLLPLNDEQIVSEASSHLIKCIQDFEEATVIQQLVRRSPKSTMHFLPGSYKYTVRGSTTLPNLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFGDGDFAKIIAVEEDEPHIETARNLNRRANELKTQIPFSEFFL >ORUFI04G23110.1 pep chromosome:OR_W1943:4:23710047:23712595:-1 gene:ORUFI04G23110 transcript:ORUFI04G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFTKTYEIPITSGTMRRPASTAERSKARGGDEPGTWRRPSFPRHGAPPHRPPTGSSSAAGALSRRASGGGGEMGPVLQRAMVSVRSLYQLDRKLGSGQFGTTYLCTERATGNRYACKSVSKRKLVRRTDVDDVRREITILQHLSGQPNIAEFRGAYEDNDHVHLVMEFCSGGELFDRITAKGSYSERQAAAVCRDILTVVHVCHFMGVIHRDLKPENFLLASADDDAPLKAIDFGLSVFIEEGKVYKDIVGSAYYVAPEVLQRNYGKEADIWSAGVILYILLCGTPPFWAETEKGIFDAILVNQVDFSTSPWPSISESAKDLIRQMLHRDPQKRITASQALEHRWLKEGGASDRPIDSAVLSRMKQFKAMNKLKQLALKVIAENLSPEEIKGLKQMFNNMDTDRSGTITVEELKVGLTKLGSRISEAEVQKLMEAVDVDKSGSIDYSEFLTAMINKHKLEKEEDLLRAFQHFDKDNSGYITRDELEQAMAEYGMGDEANIKQVLDEVDKDKDGRIDYEEFVEMMRKGIQT >ORUFI04G23110.2 pep chromosome:OR_W1943:4:23710047:23712595:-1 gene:ORUFI04G23110 transcript:ORUFI04G23110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFTKTYEIPITSGTMRRPASTAERSKARGGDEPGTWRRPSFPRHGAPPHRPPTGSSSAAGALSRRASGGGGEMGPVLQRAMVSVRSLYQLDRKLGSGQFGTTYLCTERATGNRYACKSVSKRKLVRRTDVDDVRREITILQHLSGQPNIAEFRGAYEDNDHVHLVMEFCSGGELFDRITAKGSYSERQAAAVCRDILTVVHVCHFMGVIHRDLKPENFLLASADDDAPLKAIDFGLSVFIEEGKVYKDIVGSAYYVAPEVLQRNYGKEADIWSAGVILYILLCGTPPFWAETEKGIFDAILVNQVDFSTSPWPSISESAKDLIRQMLHRDPQKRITASQALGSTPEFVANSLNGCLHAAEHRWLKEGGASDRPIDSAVLSRMKQFKAMNKLKQLALKVIAENLSPEEIKGLKQMFNNMDTDRSGTITVEELKVGLTKLGSRISEAEVQKLMEAVDVDKSGSIDYSEFLTAMINKHKLEKEEDLLRAFQHFDKDNSGYITRDELEQAMAEYGMGDEANIKQVLDEVDKDKDGRIDYEEFVEMMRKGIQT >ORUFI04G23120.1 pep chromosome:OR_W1943:4:23714098:23716242:1 gene:ORUFI04G23120 transcript:ORUFI04G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVYSITRAEIEEFWRRKEMEEEEQRLTAEKEAARIKAKTLMIEDYAIFEQMIREILEEGIKGDSARAERDITTNGAAATKSTEGRIGIKDWWRKSTYAYLNEPAMTSTDENGRRKHAIKYIPQERCMNFFSSIPSQHNTTTFAIF >ORUFI04G23140.1 pep chromosome:OR_W1943:4:23725085:23726514:-1 gene:ORUFI04G23140 transcript:ORUFI04G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNLLCCLGTRREDNARQRQTRPTPRPRLRRLMSGMKRVFGRSPPCGQTAVAPDSGIVVVEPRRQTAARRVGKGARDGGVNNREEISREEAAAATIQAGFRGHLARRAFRALRSLVKLQALARGSYVRKQAGVAIRFMKVLVRLQESTSGGCKDSKTASCYFGKLSQLNPLNRICPWQEGDGGKEKGTSVTVLRHLTQEIRLPT >ORUFI04G23150.1 pep chromosome:OR_W1943:4:23726903:23727960:-1 gene:ORUFI04G23150 transcript:ORUFI04G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMTKQEILLEKKRVITVQGRDKAGRPIVRIVGKNFPGTVAETRHTRQYVRVGLVTRAARELGGGGHAEAALKGYVRRRVTPAIGDAEFVVVYMHSGVDRRENFPGVGAVRTAYESMPAAVRERLHAVYFLHPGLQSRLFFSTLGRRLEYLWAHVRKGELDVPEAVRRHDDELEQRPLMDYGIEASERCGVFDAASMDTTASLHSLRCAS >ORUFI04G23160.1 pep chromosome:OR_W1943:4:23729561:23731726:-1 gene:ORUFI04G23160 transcript:ORUFI04G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLLPTMADATSSTLLGINYAASATTISNERARVARTRQVDVPSPPRATSRMLDSVLRRRAAYASATLVAPPPLPLLLLSLPPLFAASAAVAAAATGCIYSCRSCGDATTSRPPATGERGRDRREREREREATPCALAVVHPACRRRPTKIHDRDDLAAGVGDGEGSLAPASGCDMAWIAVDYKNTAATS >ORUFI04G23170.1 pep chromosome:OR_W1943:4:23737958:23742808:1 gene:ORUFI04G23170 transcript:ORUFI04G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPAADKPLPALRYPPARRDDDIVDDYHGVTVPDPYRWMEELESEEVKGFVDAQAAVAEAVLSTCDDHRVRLRGQLTALFDHPRYRAPFKRAGSYFYLHNPGLQPHSALYVQHGLGGGEEPDVLLDPNTFSDDATVSLAMFGVSHDGEHLAYGTSASGSDWVTIRVMRVRDRRHLHDEICWVKFSAIAWTRDGKGFFYSRFPAPKNDGAPLGAGIKTSVNLNHEVYYHFLGTDQSEDLLCWEDPDHPKYIYTPEVSEDGKYVILSVAETSEPVNKLYYCDLSALPDGLEGMKGNHGNAMLPFVKLVDEFEAYYALIANDDTQFTFLTNKNAPKYKLSRIDVNEPHSWMDILPEDEKAVLESACAVHGDKLLVNYLSDVKYVLQMRSLVTGELLHDIPIDIGSVNGISGRRDNSEVFIEFASFLTPGIIYRCDVSKETPEMNIYREISVGGFDRTDFEAKQVFYPSKDGTKIPMFIVSKKSIVLDGSHPTLLYGYGGFGMNMTPHFSVTRIVLMRNLGFVSCIANIRGGGEYGEDWHKAGSLANKQNCFDDFIAAGEFLVSAGYTNPSRLCVEGASNGGLLVAACINQRPDLFGCALAHVGVMDMLRFHKFTIGRAWTCDFGCSEKEEEFHWLIKYSPLHNVRRPWEKGHRRQQYPSTMLLTADHDDRVVPSHTLKFLATMQHVLCTSVKESPQTNPIVARIDRKSGHGCGRSTQKIIDEAADRYAFAAKTMGISWID >ORUFI04G23180.1 pep chromosome:OR_W1943:4:23743821:23747200:1 gene:ORUFI04G23180 transcript:ORUFI04G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNSKAEAARERRSVAEADRRDRVERAKEEEYWREAEGPKSRAARRREEDAEKRAEAAARKAENRRLAEAEAASASASAPSKTAARKASRVGAPAPKVTEAELARRREDERLRLEREAEAAKKRAARTAEEEEYERVVLVANTNRDDSIIEARSVDEAIARMSLVDSEGALPADKHPERRLKASFKAFEEAELPKLKEEKPGLTLNQYKDMIWKLWKKSPDNPLNQRRVGSSLQLLLSTGIHDPAGVVAMVQNMGRQQPRKEGPRAGMCGEGKVLVSSMSMHEEKKSGSGSDDAFLLNCRISKTSKWVRTSSDAHGLNVWEMISFSANNLQSDAVYIM >ORUFI04G23180.2 pep chromosome:OR_W1943:4:23743821:23747200:1 gene:ORUFI04G23180 transcript:ORUFI04G23180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNSKAEAARERRSVAEADRRDRVERAKEEEYWREAEGPKSRAARRREEDAEKRAEAAARKAENRRLAEAEAASASASAPSKTAARKASRVGAPAPKVTEAELARRREDERLRLEREAEAAKKRAARTAEEEEYERVVLVANTNRDDSIIEARSVDEAIARMSLVDSEGALPADKHPERRLKASFKAFEEAELPKLKEEKPGLTLNQYKDMIWKLWKKSPDNPLNQSDDAFLLNCRISKTSKWVRTSSDAHGLNVWEMISFSANNLQSDAVYIM >ORUFI04G23190.1 pep chromosome:OR_W1943:4:23747528:23751782:-1 gene:ORUFI04G23190 transcript:ORUFI04G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEVDAEARSILERAAASSFPPLHAIHHLLSVGVCVRCIFRFFGAFSYAAPCLSLTASVLHSFLEEHDDSAKSGSCSCLSKDEAYCSICFGVLLPTCYQDDGVEPLRSVSPIDNVTSIISEAVQREGHQVDEFSLEISLPAVIAANDRAIRLYMKEKYGSANWFDEKIFSQQTMSVKEALRILLVPSVEKQMNVKHGNNSFRIRLTYTHDEGSQKLLRLLPNDRGRKRKTESRDGSSKRGSTDDDKQILSESDAFINKNLEGIQDQDFRSLFQLPPEKVLEPCHLVISCQRSPIYIGGRYLKLSRNVSQSCWIIDDERMGEASVEEIIGENVRAICKGDGYKFHAAGREDIDVRMLGSGRPFLVEVLNVRSIPSATEVQQIADKINDSEKKHVRVRNLKLVGSEIWTMMREGEAEKQKQYAALIWTSRPLTDDDLHNISLIKDMAGTYIKEFVHGDLGRTHPSVGVMLSCRAEILQLDVTDVKMDFLQ >ORUFI04G23200.1 pep chromosome:OR_W1943:4:23752841:23754306:-1 gene:ORUFI04G23200 transcript:ORUFI04G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRGAFALVAALCLLELARRGLAEEPAVPAMFVFGDSTVDVGNNNFLANCKANCKANYPRYGVDYPFQSPTGRFSNGYNLADQLAQKLGFDKSPPPYLSLPDVTIISQMSKGINFASGGSGLIDSTGWKVCTEVFNMSAQVQSFTSAVQKMGNGTADLISRSLIFINTGSNDLFEYTDFPSNTTRNDTEFLQSLVASYKGHLKDLYGAGARKFSVVSPSLVGCCPSQRAVAHDTNDLDFHGCSRAANGLSRQLYPMLGSMLRGLAADLPGMHYSLGDSVGMAELVLNGTVLPGANFTVLDRPCCGGGVGGCNGTAPLCLDRGSYLFWDNFHPTAAASNVFARELFFDPGAFVHPMNVHELAELRP >ORUFI04G23210.1 pep chromosome:OR_W1943:4:23756494:23759411:-1 gene:ORUFI04G23210 transcript:ORUFI04G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSMGALAAVCVCMFVFVSSARAEDPYRFFDWEVTTGNINPLGVQQQGILINGQFPGPEIDCQTNDNLIVNVHNRLSEPFLLSWQITHMCIISNMPSLTITSILYRNYKNQKCKVTNNCRNGLQHRKNSWQDGVSGTNCPIPPGQNFTYQMQAKDQIGSFFYFPSLAFHKAAGGFGAIRIRSRPLIPVPFDPPAGEYTMLIGDWYKTSHKAWHCSYTNFINSRWILALQAMLDSGKQLPSPDGILINGKGPNGASFTVEQGKTYRLRVSNVGLQSTLNLRIQDHNMTLVEVEGTHTVQNTYSSLYVHAGQSLSVLFTANRPPGVYQITVSTRFAKRALNSSAVLRYAGSSATISSPPPPAGLADDIDFSLDQARSIRTNLTASGPRPNPQGSYHYGSINVTRTIRLANSAGRVAGKQRYAVNGVSFVEADTPLKLADYYRISDVFRLGGIPDAPPAGAAAAPRSEAAVMDSDYRSFLEIVFENSEDSVQIWHLDGYSLFVVGMDRGVWSEQSRKSYNLVDAVSRCTVQVYPRAWTAVLVALDNVGMWNLRSEDWARRYQGQQFYLRVYTPSHSFRDELPIPSNALRCGRATNASGRSRTLSRY >ORUFI04G23220.1 pep chromosome:OR_W1943:4:23760318:23766179:1 gene:ORUFI04G23220 transcript:ORUFI04G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCGAGGPCVWIRALSQPQRHGRKPWRGVRVVVLLLHALFIGAVFLLDPTLQRQIHEAKCHFFSRAGQFQKWKLKLCHESQQNRSAKSTIHYSFVTTADDGSISSWDIHQPPRTKHCHDCDKCVLQFDHHCVWLGTCIAKRNYCRFWWYIFEQTVLTVWTVAFYIQFFYLGIVVSWWKFAIGRFLAGFIHSAKAFAEICMIFASLNRGDFFWKQCPRWRYYKPELDLTHAEM >ORUFI04G23220.2 pep chromosome:OR_W1943:4:23760318:23766177:1 gene:ORUFI04G23220 transcript:ORUFI04G23220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCGAGGPCVWIRALSQPQRHGRKPWRGVRVVVLLLHALFIGAVFLLDPTLQRQIHEAKCHFFSRAGQFQKWKLKLCHESQQNRSAKSTIHYSFVTTADDGSISSWDIHQPPRTKHCHDCDKCVLQFDHHCVWLGTCIAKRNYCRFWWYIFEQTVLTVWTVAFYIQFFYLGIVVSWWKFAIGRFLAGFIHSAKAFAEICMIFASLNRGDFFWKQCPRWRYYKPELDLTHAEM >ORUFI04G23230.1 pep chromosome:OR_W1943:4:23767085:23769023:-1 gene:ORUFI04G23230 transcript:ORUFI04G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVTSVPPTPTPPPVSTPPSQIQSPAAPASSRASPLRGMGTPNIASPVRKAVASVSGYLGEVGQMTRLADPRDAWLPITESRSGNAYYAAFHSLSSGIGFQALVLPVAFSLLGWTWAIICLTVAFAWQLYTLWLLVKLHEPVAGGTRYSRYMYLATTVFGEKWGKILALLPVMYLSAGTCTALIIVGGGSMKLLFNIACGEVCLARPLTTVEWYLVFVCVAALLSQLPNLNSIAGVSLVGATAAVAYCTMIWVVSVAKGRVAGVSYDPVRATDEEDGAIGILNGLGIIAFAFRGHNLVLEIQVKSLSHSFRLHSATDNDGKDKVTDECMFAINSQATMPSTLKHPSHVPMWKGVKAAYVIIALCLYPVAVGGFWAYGDQIPPNGILSALYKFHSQDVSRVVLGTATLLVIVNCLTTYQIYAMPVFDNMETGYVHKKNRPCPWWMRAGFRALFGAINLLIAVALPFLSELAGLLGGISLPVTLAYPCFMWVAIMRPAKGTAMWYTNWGLGSLGMGLSFVLIVGNLWGLVEKGLHVKFFKPADFQ >ORUFI04G23240.1 pep chromosome:OR_W1943:4:23780804:23781859:1 gene:ORUFI04G23240 transcript:ORUFI04G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRRTISFPAPRPAAFAIGATARDKLAAAYHVRSASLPCRFHPLVVQLDDDVAALRLVIGQSPPAAPSASSVSAAASQVGRVLVSLSELLHHPQAQEPLRRLGRSTFAERLLDDFLRLADAHGSFREALVGLSALQAETRAALRRGDPARLASAARAQRRAGRDLPRLAAAARAVVSKSPAQLPEDLPADTAAIAAAVADATIAVASGSAAVFSGLSSLSNSAAAARVEVASTPCWVTAPARLTASSDEPSTSHHRIWWVADLVRWMSRAKRRSAKKQNDGGGDDGESSTVQLRSESRMKPEEKARRAAFERHENLERCIASVDSSGEKVFRALVNTRVSLLNILSPSF >ORUFI04G23250.1 pep chromosome:OR_W1943:4:23784441:23794938:1 gene:ORUFI04G23250 transcript:ORUFI04G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDASQWVFHSYVNGLSVVIEHRGQGECCRCYGESHSHHRLTVLYPGRAAHVFIAISAIHLPPTPGDASSFSSPLPPSRGGCVEILPIAMAMAILPLSRTLLTSAAMAILPLARTSLTSQRGWRLGAVQPESVHQPRCDERNARVFDGRASSPACLFATIKDEWETWLAAGLIPPP >ORUFI04G23260.1 pep chromosome:OR_W1943:4:23797203:23802365:1 gene:ORUFI04G23260 transcript:ORUFI04G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLEDQEAVALCRGRAELLAAAVRHRYALADAHGALADSLHSMAAPLHRLLLLQLQASSPQLTLPTARKGGRPRTAAAAATLSLPHGRSAHLDDLGSPSGSETASPADSPLRAFPEQQLPYPHYAYGYGTGPAFAYPPPPASSLQFYYARSRPPPPSVGVAQRAPVSTERVYYGSFDPTSGYPQYYANGGVPATAAPQRMAAPAPPRSPPRESSWAFLNVFANYEPYDNYYYDSTAAAASAAAYTPSRSSREVREEEGIPELEEDEDDCVFKEVASGGYSAGSGGHRSRRSSIGSLSSVAEQENAVIDNDVVASTSEIYRRPLAHRNVAMRALAQAAQRVAGNGGNVDVAGEIKAQLVRAAEATRELAPLLEVGKPSYQEHSHASSRLMSSIPVPNLGCKGVDLVDIRGGGVMVDSKSLSLTLEKLYFWERKLYGEVKFYAIMTCCRLVQKKFGPGWRQAEEKMRLLLAKNSKRLKLLDQRGAEAHKIDATRNLLRKLSTKIKIAVRVIAKVSTKINKVRDEELGPQVNALIQGFIKMWQYKLHSYHTQFQVISEAKNLVSVVSRENGPDLAMELELELIKWIINFSSWVNAHRNFVRALNGWLALCLNYETGETTYGEPPYSPGRIGAPLVFIICNRWSQAMDQISEKDVVNAMKALVSSVQHLWEQQNQEEGEERILAIRERERWMKMLEKKTLEVKREADELNKKLALVLRRQSLHQRPTMQTYEAHCVEASSVHINLRLVLQALENFAANSLQAFQEILRQSDS >ORUFI04G23270.1 pep chromosome:OR_W1943:4:23803814:23804242:-1 gene:ORUFI04G23270 transcript:ORUFI04G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILLLLTVLAPGPGVVRAHRSRHIVPGVRERTYTLGLRVRGADGGEALLSPLGTRLDGPGGPNGQSIARAIAGDWSRPPLPSPLPPPLLFLLHYTTTKF >ORUFI04G23280.1 pep chromosome:OR_W1943:4:23808417:23811566:-1 gene:ORUFI04G23280 transcript:ORUFI04G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRRHQHHGRWVVPAVAPAAAAFTAAGLLLVVVAFHCFLSPPLGGGGGGGGGHRVVRRPNPPFLSGGSKLGEELWASKLASNFFGCSNATKAFADAKAVTEPNRYLMIATSGGLNQQRTGIIDAVVAARILNATLVIPNLDQKSFWKDASDFADIFDVESFISSLSNDVKIIRQVPDRNGKTPYPYKMRIPRKCTAKCYENRVLPALLKKHVVQLTKFDYRVSNRLETNLQKLRCRVNYHALQFTDPILKMGEMLVQRMRAKSGRFIALHLRFEPDMLAFSGCYFGGGEIERRELGAIRKRWKTLHASNPDRERRHGKCPLTPEEVGLMLRALGFGKDVHIYVASGEVYGGEETLAPLKALFPNFHSKETLASKEELAPFLSFSSRMAALDYIRKLNFRRYFGHKRTIRPNAKKLYSLFLNRTSMSWETFSSKVQTLQKGFMGEPNEIKPGRGEFHEHPMDCICAKTKGRSGHSKPHPSNRIGEAMEKRANDGEFDWRDLDYGENTPLGRDSSNETDSDMHELEDMVSD >ORUFI04G23290.1 pep chromosome:OR_W1943:4:23818962:23821729:-1 gene:ORUFI04G23290 transcript:ORUFI04G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNLRLLMPLLAQYTTPTWATLVAGFFVLLSLSLSIYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYVSLINPNTSVYCGILRDGYEAFAMYCFGRYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIVFLTWWQGVVIAIMYSLGLLRSPLAQSLELKSSIQDFIICIEMGIASIVHLYVFPAKPYELQANQSPGNVSVLGDYVSLDPVDPFEIKESNRPAKLKLPQLEPDERSTTNIKESVRDFVVGSGEYVIKDFKFTVNQAVQPVEKRFDKLMKKNKKSQDDNWVSAVSPDRPVRGIDDPLLGGSTSDSGFTKGKKHRRAVSTVAAADSWGGGDLASDGYEIRGRRWAVKN >ORUFI04G23300.1 pep chromosome:OR_W1943:4:23823313:23823618:-1 gene:ORUFI04G23300 transcript:ORUFI04G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAPQWGSMAEARGGRQAPPKYKSGVGIGLAVVARQEECGEDSDAMRALEDQCHDGGEVRGGRRRRRLVGGGEAGGTCWRRCREPERQETGTGATAAAR >ORUFI04G23310.1 pep chromosome:OR_W1943:4:23826491:23831851:1 gene:ORUFI04G23310 transcript:ORUFI04G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQRCPTLSNSSLRSKPNGPNKGLEDGGNWGRIKAAQKGLAHSQLAALDAACARASHSPLATSGAARTQARCSRHCRTPPPSPRAQAPPPTADLRPRVALGVAHAPILPRGSGRRGNRQRADAGTDGVTREQPDRAASRSAACDYDEPRVSWSDLPTDILVILQRLELPQALVFAAVCTSWRSAAAIASVPCSSTPLLMSWAHLLEQREAHQVKVGSAMTCSFHHLLDAHKSYDVSFPHGCFVACCGASHGWLILVNELANLVLCNPFTSRAMPLPPITDFARVEGGCENVSFRWRPGL >ORUFI04G23310.2 pep chromosome:OR_W1943:4:23823953:23826792:1 gene:ORUFI04G23310 transcript:ORUFI04G23310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAHAAAATVAAATSEGNHLVDVSTTTTIPAPSTNGMATSPAYPPPGSGEGFSKPPATDPCSSGHWSDLPVDLLHRILHSLELPEALAVADVCKSWCCAAIAAGVPRSCTPWLMSWSDKPPHELEYDVLLNNCKWQCPMGCEFHDLVNGHKTYEVNLPQVAWVGASHGWLAAADNLSNLLLYNPFTFTTIPLPPITDLGCVEAVYDSEGSIVRYRLGKHKESDAKFLGVWFY >ORUFI04G23310.3 pep chromosome:OR_W1943:4:23823953:23826206:1 gene:ORUFI04G23310 transcript:ORUFI04G23310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAHAAAATVAAATSEGNHLVDVSTTTTIPAPSTNGMATSPAYPPPGSGEGFSKPPATAAALREHAIFVGQNHSVCLPIRDFPELRSSCVYFTTPCLCNDDHFPSRREGWKGVGIYDLQNQIFEDVFPSCERGYSTYLPISEVWITPSPGL >ORUFI04G23320.1 pep chromosome:OR_W1943:4:23827220:23829331:-1 gene:ORUFI04G23320 transcript:ORUFI04G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSRRHQPTSPSARRSRTLRICSLRGPAAPWSRHQCQRPPVADFLAVFKLVAAGRCLRPSLPLAVFANLLPRRAQRILMARGVGWARWWRAEGLGGVLLAPPLVDPEPRGRMGAWATPKATRGRRSAVGGGAWARGDGGGVRQCREQRACVRAAPEVASGEWEARAQAASRAASCECARPFCAAFILPQLPPSSSPSHRPSPPIAAAVLPPINAAVFLPACLPPSRARLQHGCSAKPRQWRRPWRAHFQQMIQVTTINMDHPIADSELQIVECA >ORUFI04G23330.1 pep chromosome:OR_W1943:4:23831862:23832326:1 gene:ORUFI04G23330 transcript:ORUFI04G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWFYQKAVLSRSPSEHADHAVMIIHRDMDWVSFVRPGGSNWQVASTLDVNGKDRYADCVYHNGGILHCDSSGDSGEMDLEGPNGPTKEVIVSKMQYLPGLLTRHLVSTPWGYLLQVRAISRGQVKNGTRLQVREVHPDGSKKVSPKSKSTMP >ORUFI04G23340.1 pep chromosome:OR_W1943:4:23832364:23834563:1 gene:ORUFI04G23340 transcript:ORUFI04G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAHQEFLGAKASLHLFFCSFDEKHMIDGSGPGQLLGGGHGFAGSSAGTAGGLQRQLLLEVGVQHAEPAPVVPLVLPTSAQQAPPLVLPAPVQHSVPSVDGLAAAQPLAASDIAQDVPSQAAAVAAVLGSPDVLLGSEDISPPLASLSVGQVAPPSPVGGRTPDVG >ORUFI04G23350.1 pep chromosome:OR_W1943:4:23840077:23844669:-1 gene:ORUFI04G23350 transcript:ORUFI04G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEHPAPASAVSAEEAATDAPPPMSLLMFLLDGEGGAVEVGRFGLSHPPSPLLPHRAHASAIGTDEAEDANPGKEAAVYLLLLEGADVRGIARLPSLEEGRPAGGAVGDDAAERGGETWEKAEAEIGEEEGAMSREDEMLETSEEKEEEEISGSSDETEEEEGHGHKYYSVQIRMWPGIPRVVLSVFGDGCWEFTKGYRVKWLQQNEKEGVLKTIAIAPEIDDDRTQEFSDDLKKLICAKISANDYRVIKLLENFDDMDAINNKEPRLGSLMMTHILVRIYHVRLRMINPVPPKMICQVPVRINMTLRNDLRFGPREINTEESWMLFEEITRAVQCIHHEGIVHRDLKPSNIFFGSNGLVKIADFGHACWATNKIDELKGTPDRGTPMYSAPELKEGQHVTEKQRDVLTNLRKGIHPADWKWSGDSVLLKKLTALIPSNRPSTDEILKYIAQRRSSN >ORUFI04G23350.2 pep chromosome:OR_W1943:4:23840077:23844669:-1 gene:ORUFI04G23350 transcript:ORUFI04G23350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEHPAPASAVSAEEAATDAPPPMSLLMFLLDGEGGAVEVGRFGLSHPPSPLLPHRAHASAIGTDEAEDANPGKEAAVYLLLLEGADVRGIARLPSLEEGRPAGGAVGDDAAERGGETWEKAEAEIGEEEGAMSREDEMLETSEEKEEEEISGSSDETEEEEGHGHKYYSVQIRMWPGIPRVVLSVFGDGCWEFTKGYRVKWLQQNEKEGVLKTIAIAPEIDDDRTQEFSDDLKKLICAKISANDYRVIKLLENFDDMDAINNKEPRLGSLMMTHILVRIYHVRLRMINPVPPKMICQVPVRINMTLRNDLRFGPREINTEESWMLFEEITRAVQCIHHEGIVHRDLKPSNIFFGSNGLVKIADFGHACWATNKIDELKGTPDRGTPMYSAPELKEGQHVTEKWSGDSVLLKKLTALIPSNRPSTDEILKYIAQRRSSN >ORUFI04G23360.1 pep chromosome:OR_W1943:4:23845211:23847917:-1 gene:ORUFI04G23360 transcript:ORUFI04G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASENRRLAAAGKPVPGIGEMGNRRPLRDINNLVGAPPHPSAIAKKPMLEKSGKEEQKPALVVSHRPMTRNFAASLTRKEQLDHQVSVADAAVVCTDPQKNPIPDGTVDDDVESCESNDYIAVDECNDTDEDESMMDIDSADSGNPLAATEYVEELYKFYRENEEMSCVQPDYMSSQGDINEKMRAILIDWLIEVHHKFELMDETLFLTVNIVDRFLEKQVVPRKKLQLVGVTAMLLACKYEEVAVPVVEDLVLISDRAYTKGQILEMEKLILNTLQFNMSVPTPYVFMRRFLKAAQSDKQLQLLSFFILELSLVEYQMLKYRPSLLAAAAVFTAQCALTRCQQWTKTCELHSRYTGEQLLECSRMMVDFHQKAGAGKLTGVHRKYSTFKFGCAAKTEPALFLLESGAGDSS >ORUFI04G23360.2 pep chromosome:OR_W1943:4:23845507:23847917:-1 gene:ORUFI04G23360 transcript:ORUFI04G23360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASENRRLAAAGKPVPGIGEMGNRRPLRDINNLVGAPPHPSAIAKKPMLEKSGKEEQKPALVVSHRPMTRNFAASLTRKEQLDHQVSVADAAVVCTDPQKNPIPDGTVDDDVESCESNDYIAVDECNDTDEDESMMDIDSADSGNPLAATEYVEELYKFYRENEEMSCVQPDYMSSQGDINEKMRAILIDWLIEVHHKFELMDETLFLTVNIVDRFLEKQVVPRKKLQLVGVTAMLLACKYEEVAVPVVEDLVLISDRAYTKGQILEMEKLILNTLQFNMSVPTPYVFMRRFLKAAQSDKQLQLLSFFILELSLVEYQMLKYRPSLLAAAAVFTAQCALTRCQQWTKTCELHSRYTGEQLLECSRMMVDFHQKAGAGKLTGVHRKYSTFKFGCAAKTEPALFLLESGAGGYNLQKQPC >ORUFI04G23360.3 pep chromosome:OR_W1943:4:23845507:23847917:-1 gene:ORUFI04G23360 transcript:ORUFI04G23360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASENRRLAAAGKPVPGIGEMGNRRPLRDINNLVGAPPHPSAIAKKPMLEKSGKEEQKPALVVSHRPMTRNFAASLTRKEQLDHQVSVADAAVVCTDPQKNPIPDGTVDDDVESCESNDYIAVDECNDTDEDESMMDIDSADSGNPLAATEYVEELYKFYRENEEMSCVQPDYMSSQGDINEKMRAILIDWLIEVHHKFELMDETLFLTVNIVDRFLEKQVVPRKKLQLVGVTAMLLACKYEEVAVPVVEDLVLISDRAYTKGQILEMEKLILNTLQFNMSVPTPYVFMRRFLKAAQSDKQFSQHNVLSLVASSGQRPANYIVDIPESSFYFHQKAGAGKLTGVHRKYSTFKFGCAAKTEPALFLLESGAGGYNLQKQPC >ORUFI04G23370.1 pep chromosome:OR_W1943:4:23851195:23867290:-1 gene:ORUFI04G23370 transcript:ORUFI04G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQAIPFLVGCPACLRNFLNLFCEMSCSPNQSLFINVTSVKQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAVKPLNATIYSCGDPSLGCSCGDCPSSSVCTGSLLPQLNTETSCSVKMGSLKAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKIDDLRANYSGSTVSLADICLKPLGTECATQSVLQYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVLLGLSGVVLVMLSVLGSMGFFSAIGVKSTLIIMEVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAALAVLLDFLLQVSAFVALIVLDFRRAQDGRIDCMPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNEIAKQSLSPETSYIAKPAASWLDDFLIWMSPEALHAVNMIKTQAHTCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILYLDS >ORUFI04G23370.2 pep chromosome:OR_W1943:4:23851195:23867290:-1 gene:ORUFI04G23370 transcript:ORUFI04G23370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAVKPLNATIYSCGDPSLGCSCGDCPSSSVCTGSLLPQLNTETSCSVKMGSLKAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKIDDLRANYSGSTVSLADICLKPLGTECATQSVLQYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVLLGLSGVVLVMLSVLGSMGFFSAIGVKSTLIIMEVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAALAVLLDFLLQVSAFVALIVLDFRRAQDGRIDCMPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNEIAKQSLSPETSYIAKPAASWLDDFLIWMSPEALHAVNMIKTQAHTCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILYLDS >ORUFI04G23370.3 pep chromosome:OR_W1943:4:23851195:23867290:-1 gene:ORUFI04G23370 transcript:ORUFI04G23370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQAIPFLVGCPACLRNFLNLFCEMSCSPNQSLFINVTSVKQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAVKPLNATIYSCGDPSLGCSCGDCPSSSVCTGSLLPQLNTETSCSVKMGSLKAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKIDDLRANYSGSTVSLADICLKPLGTECATQSVLQYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVLLGLSGVVLVMLSVLGSMGFFSAIGVKSTLIIMEVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAALAVLLDFLLQVSAFVALIVLDFRRAQDGRIDCMPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNETCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILYLDS >ORUFI04G23370.4 pep chromosome:OR_W1943:4:23851195:23867290:-1 gene:ORUFI04G23370 transcript:ORUFI04G23370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAALAVLLDFLLQVSAFVALIVLDFRRAQDGRIDCMPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNEIAKQSLSPETSYIAKPAASWLDDFLIWMSPEALHAVNMIKTQAHTCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILYLDS >ORUFI04G23370.5 pep chromosome:OR_W1943:4:23851195:23867290:-1 gene:ORUFI04G23370 transcript:ORUFI04G23370.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAVKPLNATIYSCGDPSLGCSCGDCPSSSVCTGSLLPQLNTETSCSVKMGSLKAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKIDDLRANYSGSTVSLADICLKPLGTECATQSVLQYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVLLGLSGVVLVMLSVLGSMGFFSAIGVKSTLIIMEVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAALAVLLDFLLQVSAFVALIVLDFRRAQDGRIDCMPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNETCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILYLDS >ORUFI04G23370.6 pep chromosome:OR_W1943:4:23851195:23867290:-1 gene:ORUFI04G23370 transcript:ORUFI04G23370.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAVKPLNATIYSCGDPSLGCSCGDCPSSSVCTGSLLPQLNTETSCSVKMGSLKAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKIDDLRANYSGSTVSLADICLKPLGTECATQSVLQYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVLLGLSGVVLVMLSVLGSMGFFSAIGVKSTLIIMEVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAALAVLLDFLLQVSAFVALIVLDFRRAQDGRIDCMPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKHCPLDCNQDWSRKLFYHEIPTYSSASEHTNKICSINQCDSNSLLNETCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILYLDS >ORUFI04G23370.7 pep chromosome:OR_W1943:4:23851195:23867290:-1 gene:ORUFI04G23370 transcript:ORUFI04G23370.7 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKIDDLRANYSGSTVSLADICLKPLGTECATQSVLQYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAAFVALIVLDFRRAQDGRIDCMPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNETCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILYLDS >ORUFI04G23370.8 pep chromosome:OR_W1943:4:23851195:23867290:-1 gene:ORUFI04G23370 transcript:ORUFI04G23370.8 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQAIPFLVGCPACLRNFLNLFCEMSCSPNQSLFINVTSVKQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAAFVALIVLDFRRAQDGRIDCMPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNETCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILYLDS >ORUFI04G23370.9 pep chromosome:OR_W1943:4:23851195:23867290:-1 gene:ORUFI04G23370 transcript:ORUFI04G23370.9 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAAFVALIVLDFRRAQDGRIDCMPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNETCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILYLDS >ORUFI04G23380.1 pep chromosome:OR_W1943:4:23883693:23888495:1 gene:ORUFI04G23380 transcript:ORUFI04G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAILPNHDEGVLILPEQWLNTRMLLPLERAEKRKKLYQKSEEKIHAKELEQTQAKSKNRRGADSLHPLCPMLRPPHSAEKGTGDDGMLCAECSGERARATTACSAQSAQGRTSHRQWCRERHVAAYESL >ORUFI04G23390.1 pep chromosome:OR_W1943:4:23890772:23894926:1 gene:ORUFI04G23390 transcript:ORUFI04G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWAWQSQPAHRLLLLFNRDEYHSRCAPCSVPSIPTPYNSHLLTRARRDFLSRPTQPAGWWAAGKAEVKLILGGRDELGGGTWLGCTRDGKLAFLTNVREPGTLVGAKSRGELPVRFLQGNQCPLEYAEEIAKEADQYNGFNLVLADVQSGNMAYISNRPEGDPVVQKVLPGFHVLSNAAIDCPWPKMLRLGQSFNRFLATQDGAEVSLQQMVEELMMDPVKADKSAVPDTGVDPDWEYQLSSIFIDTEKGQARYGTRSMTALAVKFNGEVTFYERYLESNLWKENLMQFELEMSQWEDLRGTSNISPKSC >ORUFI04G23390.2 pep chromosome:OR_W1943:4:23890772:23894926:1 gene:ORUFI04G23390 transcript:ORUFI04G23390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWAWQSQPAHRLLLLFNRDEYHSRCAPCSVPSIPTPYNSHLLTRARRDFLSRPTQPAGWWAAGKAEVKLILGGRDELGGGTWLGCTRDGKLAFLTNVREPGTLVGAKSRGELPVRFLQGNQCPLEYAEEIAKEADQYNGFNLVLADVQSGNMAYISNRPEGDPVVQKVLPGFHVLSNAAIDCPWPKMLRLGQSFNRFLATQDGAEVSLQQMVEELMMDPVKADKSAVPDTGVDPDWEYQLSSIFIDTEKGQARYGTRSMTALAVKFNGEVTFYERYLESNLWKENLMQFELEMSQWEDLRGTSNISPKSC >ORUFI04G23400.1 pep chromosome:OR_W1943:4:23895814:23899339:1 gene:ORUFI04G23400 transcript:ORUFI04G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKADADAEFKAAGKRKKAGGAGKPKRGLTPFFAFLAEFRPQYMEKHPNTKGVAAVTKAAGEKWRAMSDEEKAQYGGKKPDGESKPAAASKKKESTSSKKAKTDGAEQEGEGSDKSKSDVEDDENDGSGEDE >ORUFI04G23410.1 pep chromosome:OR_W1943:4:23899690:23908387:-1 gene:ORUFI04G23410 transcript:ORUFI04G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRKLVEKASKKHRIGGISGLRAEDVSPRLAFHYGVPADAALLAYDPALHVLAVATRNGQIKLFGRDNTQALLQSPSPVPSKFLRIWDIDTKKLCYVHPFEKEITAFSVLQNSFYIYVGDSFGNVSLLKLDLGQTCLVDMPYWIPFSESYGSGANVGNEVEVAFVSPQPLAENNRVLIIFRDGIMSLWDIKTSKVVSLSGKSMQQQSHQEAKAVTSACWACAKGSKIAIGFDSGDIYLWSIPDILNAQNLSSMGNQNLPLQRLNLGYKLDRVSIVSLRWVNSDGKAGRLYVNGFSDHAYLFQVLILNEESESRIVKMVLPLTEACQGMELVSGLSDPNKHKQSALVLLLKSGQICLYDDSEIERYLLHAQSRSPLALPNYSSVKLPYGDPSITAAKFYTSSADIHKTRNLYVTGHLDGTIRFWDASCPLLLQNLMIKQQNEENSPSGTPITSLQFDMSSSILICGDRSGTVRIITFRKDSSDNIFSFLHAKQGESYNVRCIKLKGAVTSISLISNSKRVAVGTEKGIVSVVSIEDASILYQKQLECRVSGGIASLQFEMYSHNGYDKDLLLVGMEDSTIYILEEETGKLLNTNPVQTNKPSRALLMQTLELSPDDPSLSDTHDTVSKESLLLLCTENAIRLFSLSHAIQGTKNIINKKKPNGSCCFASLIHSVSSEIGLLLVFSNGKIEIRSLPDLSILKEASLRGFSYTRNLNSSSSIACSSDGEIILVNGEETFFFSTLCQNDIYRHVDSINTIYRKDNSPREESSYVVKSPREKKKGIFGMIMKDTKASKGKQSDANGDEQFTATTSEELSSIFSCANFAPVSEKRNSSIKDDENIELDIDDINIDDNPQKQKGPHFPGLSKQKISKGFQSLREKLKPRTEEKVNSGNRKPEDDTSVSQVDQIKMKYGYATNDDPTSLPKMIGNKLQENIKKLEGINIRAGDMANGAQSFSAMAKELLRNTKNEKATS >ORUFI04G23410.2 pep chromosome:OR_W1943:4:23899690:23908387:-1 gene:ORUFI04G23410 transcript:ORUFI04G23410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRKLVEKASKKHRIGGISGLRAEDVSPRLAFHYGVPADAALLAYDPALHVLAVATRNGQIKLFGRDNTQALLQSPSPVPSKFLRIWDIDTKKLCYVHPFEKEITAFSVLQNSFYIYVGDSFGNVSLLKLDLGQTCLVDMPYWIPFSESYGSGANVGNEVEVAFVSPQPLAENNRVLIIFRDGIMSLWDIKTSKVVSLSGKSMQQQSHQEAKAVTSACWACAKGSKIAIGFDSGDIYLWSIPDILNAQNLSSMGNQNLPLQRLNLGYKLDRVSIVSLRWVNSDGKAGRLYVNGFSDHAYLFQIAFLMGFDQIASYFDDGQDYFSSLATKYPWFLSMKDKHQTSTGSADIHKTRNLYVTGHLDGTIRFWDASCPLLLQNLMIKQQNEENSPSGTPITSLQFDMSSSILICGDRSGTVRIITFRKDSSDNIFSFLHAKQGESYNVRCIKLKGAVTSISLISNSKRVAVGTEKGIVSVVSIEDASILYQKQLECRVSGGIASLQFEMYSHNGYDKDLLLVGMEDSTIYILEEETGKLLNTNPVQTNKPSRALLMQTLELSPDDPSLSDTHDTVSKESLLLLCTENAIRLFSLSHAIQGTKNIINKKKPNGSCCFASLIHSVSSEIGLLLVFSNGKIEIRSLPDLSILKEASLRGFSYTRNLNSSSSIACSSDGEIILVNGEETFFFSTLCQNDIYRHVDSINTIYRKDNSPREESSYVVKSPREKKKGIFGMIMKDTKASKGKQSDANGDEQFTATTSEELSSIFSCANFAPVSEKRNSSIKDDENIELDIDDINIDDNPQKQKGPHFPGLSKQKISKGFQSLREKLKPRTEEKVNSGNRKPEDDTSVSQVDQIKMKYGYATNDDPTSLPKMIGNKLQENIKKLEGINIRAGDMANGAQSFSAMAKELLRNTKNEKATS >ORUFI04G23420.1 pep chromosome:OR_W1943:4:23917862:23919256:1 gene:ORUFI04G23420 transcript:ORUFI04G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGNPADAVAIVAVPFPAQGHLNQLLHLSLQLASSSHGLTVHYAAPAPQLRQARARVHGWDDKALLSVQFHDLGVSTYVSPPPDPTADAPFPSHLMPLWEAYTADARAPLSALLGELSASYRRVVVICDIMNSFAVKEAARLPNGEAFVCNCVAVSSATGSIDPGHRLLRENGLRFIPMDTYLTKEFMDYEQQRARAAQSISSCAGILANACRALEGEFIDVFAERLDASSKKLFAIGPLNPLLDTGALKQGRRRHECLDWLDRQPPESVLYVSFGTTSSLRVEQVAELAAALRGSKQRFIWVLRDADRGNIFAGSGESESRYAKLLSEFCKETEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPWDAELVCNYLKAGFLVRPWEKHGEVVPATTIQAVIEKMMASEEGLAVRQRAKALGHAVRSSRNDLEDFVDHITR >ORUFI04G23430.1 pep chromosome:OR_W1943:4:23922743:23923297:1 gene:ORUFI04G23430 transcript:ORUFI04G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVHEEYKKVKETDKPFAFEYWWRVVKDEPKWLNRDVAADIMNKRNKVSSSGAYTSSSNRDTDEATDAERCRPQGQKAAKERRKGKGKEKLGKGRLSDETDAREHAQAIAIQAEADKEKVKMEKIKQFNELLMIDTSSYSESQKARHEKMLDFLSNEIYGVEK >ORUFI04G23440.1 pep chromosome:OR_W1943:4:23928462:23929856:1 gene:ORUFI04G23440 transcript:ORUFI04G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGNPANKVAIVAVPFPAQGHLNQVLHLSLQLASSSHGLAVHYAAPAPQLRQARARVHGWDDKALLSVQFHDLGISTYVSPPPDPTADTPFPSHLMPLWEAYTADARAPLSALLDELSASHRRVVVVCDTINSFAVEEAARLPNGEAFPVSCVAVSALALHIDTGHRLLRENGLNHAPLETYMTQEFLDYASERARASESILSGAGILANASRALEGDFIDDLAETLAAGGKKLFAIGPLNPLLNTGSSEQGRRRHECLDWLDRQPPDSVLYVSFGTTCSLRVEQVAELAATLRGSKQRFIWVMRDADRGNIFTDTGEGETRHAKLLSEFSKQTEGTGMVITGWAPQLEILAHGATAAFMSHCGWNSTMESMSHGKPILAWPMHSDQPWDAELVCKYFKAGLLVRPWEKHGEVLPAATIQEVIKKMMASDEGLAVRQRAKALGDAVRSSRNDLEDFIAHITR >ORUFI04G23450.1 pep chromosome:OR_W1943:4:23933646:23934923:-1 gene:ORUFI04G23450 transcript:ORUFI04G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHKEASSSSSRLDAAPLLPHHGHGGGGAGHHLSSQPKTFANVFIAVVGSGVLGLPYTFSRTGWVAGSVLLLAVAALTFHCMMLLVACRRRLAYDHPKIASFGDLGAAVCGPAGRHVVDAMLVLSQASFCVGYLIFISNTMAHLYPVGDSSPSSPLLTAKAIFIWVMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDVSTWLANKPPVFASAGPMEILYGLGVAVYAFEGIGMVLPLEAEAADKRKFGGTLALSMAFIAVMYGLFGAMGYLAFGAATRDIITTNLGTGWLSVTVQLGLCINLFFTMPVMMNPVYEVAERLLCRKRYAWWLRWLLVMVVGLMAMLVPNFADFLSLVGSSVCVLLGFVLPAAFHLKVFGAEVGWPGLAGDVAVIVVGTALAVSGTWTSLAQIFSSSDV >ORUFI04G23460.1 pep chromosome:OR_W1943:4:23947249:23947506:1 gene:ORUFI04G23460 transcript:ORUFI04G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRMAPGRDATPPPTTIELHGSPSHPLALPRPICLQTDERRSMAWCANGLVGSRLAHTGNAGGEGAKEKGEGSAASGSSIGREA >ORUFI04G23470.1 pep chromosome:OR_W1943:4:23952002:23952184:1 gene:ORUFI04G23470 transcript:ORUFI04G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVALCAAYYLLGRALPTVAGSSMACSCSSFSFPAAKRNQITQKQLLLLLAFDFSSHP >ORUFI04G23480.1 pep chromosome:OR_W1943:4:23952032:23952938:-1 gene:ORUFI04G23480 transcript:ORUFI04G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPATVGRARPRR >ORUFI04G23490.1 pep chromosome:OR_W1943:4:23954513:23956699:-1 gene:ORUFI04G23490 transcript:ORUFI04G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCALHPAAYRRIFHNPRSTGSHGLVAAASPPLHQPAAACGETHRIIQMHALFAVARDTAFSSSPAADEHLPNEHPGGHLWNQSVLEEEHGSNDDSFRESLVSLLDNTRDYVMAPEVFEGVPVACDYLKGMDSDGMAASAVAAYSLDNNGQHASVSSIEHGIASSPLLAYQLGKNSAVVQRSIQQQEVGSPMAAFLQQLIPTSVLDQSGIGFGGVCLDGSALEASFCMRTSPDVSSFSGHRSATAEELMSTDTREQEITRLARSCSSSGSDRNKKKLSEVRGGGKAKKFKSETSHSTSSPKHQSPKVKLGEKITALQQIVSPFGKTDTASVLLETITYIKFLHEQIQLFSQPYMTNSTNKGHIHWGGEGKRKAGLEHDLRGRGLCLVPVSWTSQEYCDSILPECWAPAYRNYFYR >ORUFI04G23490.2 pep chromosome:OR_W1943:4:23954513:23956690:-1 gene:ORUFI04G23490 transcript:ORUFI04G23490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCALHPAAYRRIFHNPRSTGSHGLVAAASPPLHQPAAACGETHRIIQMHALFAVARDTAFSSSPAADEHLPNEHPGGHLWNQSVLEEEHGSNDDSFRESLVSLLDNTRDYVMAPEVFEGVPVACDYLKGMDSDGMAASAVAAYSLDNNGQHASVSSIEHGIASSPLLAYQLGKNSAVVQRSIQQQEVGSPMAAFLQQLIPTSVLDQSGIGFGGVCLDGSALEASFCMRTSPDVSSFSGHRSATAEELMSTDTREQEITRLARSCSSSGSDRNKKKLSEVRGGGKAKKFKSETSHSTSSPKHQSPKVKLGEKITALQQIVSPFGKTDTASVLLETITYIKFLHEQIQVTPSAQSFFAVLHMPPLFSQPYMTNSTNKGHIHWGGEGKRKAGLEHDLRGRGLCLVPVSWTSQEYCDSILPECWAPAYRNYFYR >ORUFI04G23500.1 pep chromosome:OR_W1943:4:23959512:23961615:-1 gene:ORUFI04G23500 transcript:ORUFI04G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAYKKAKPGRLVFKGGEAASLHKPPKKHKKNKKPASDAPADAEADAEGAAAPSAEGAAEGGGDEYTIDAAKRMKYEDLFPVESKKFGYDPANAAKASRSRTVEEALDDRVRKKADRYCK >ORUFI04G23510.1 pep chromosome:OR_W1943:4:23964376:23972453:1 gene:ORUFI04G23510 transcript:ORUFI04G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGYEEIDDDEWSNHSFKPSRVLKRPSRGAQPDSQPPPPIDSFRYNPRPSSSSAAAAATATVVLSDDDDDFELGEEERLRRAGKSTRVLNRPQRQHSPPGRALPPRESFRYNPKPAKAAAAVSVSDDDDDGFDLEDDDFDIPSSRTSRPRRTAGRRLATAVADLSEEDDDLELADDDFDHPDPRPTRPRRATARRFVIKDDDDSDGDVGASEVDGVEAEEDDGVNWSELENEDDEDGDYGETKVEVEEGDVVGKALRKCARISADLRQELYGSSTRNCESYAEIDDSSVRIVTQVWSFDSMDVSEQDDVDTACTSEESEFEPILKPYQLVGVNFLLLLHRKNIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGAGRTAYSKELSSLGKAGYPPPFNVLLVCYSLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDIDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVNFVTMDSEQFQAYNYAIDEYRGACQARSAKSTSNFSNNVVGLIPKRQISNYFMQFRKIANHPLLIRRIYSDKDVDRIAKLLYPKGAFGFECSLERATQELRKYNDFAIHQLLVSYGDSGTKGALTDEHVLGSAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGGWIGKLKIAAIALDNRNLSLSIDCEHITIFISTWLVTKGSVDENIYEIARRKLVLDAAILQSGAELEDSTDVPEKTMGEILASLLLLLDDTLVTCEVLIGFPSKARWNIPIVMLEDFAHDQQNLIACLEDRFV >ORUFI04G23510.2 pep chromosome:OR_W1943:4:23964376:23972453:1 gene:ORUFI04G23510 transcript:ORUFI04G23510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGYEEIDDDEWSNHSFKPSRVLKRPSRGAQPDSQPPPPIDSFRYNPRPSSSSAAAAATATVVLSDDDDDFELGEEERLRRAGKSTRVLNRPQRQHSPPGRALPPRESFRYNPKPAKAAAAVSVSDDDDDGFDLEDDDFDIPSSRTSRPRRTAGRRLATAVADLSEEDDDLELADDDFDHPDPRPTRPRRATARRFVIKDDDDSDGDVGASEVDGVEAEEDDGVNWSELENEDDEDGDYGETKVEVEEGDVVGKALRKCARISADLRQELYGSSTRNCESYAEIDDSSVRIVTQDDVDTACTSEESEFEPILKPYQLVGVNFLLLLHRKNIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGAGRTAYSKELSSLGKAGYPPPFNVLLVCYSLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDIDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVNFVTMDSEQFQAYNYAIDEYRGACQARSAKSTSNFSNNVVGLIPKRQISNYFMQFRKIANHPLLIRRIYSDKDVDRIAKLLYPKGAFGFECSLERATQELRKYNDFAIHQLLVSYGDSGTKGALTDEHVLGSAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGGWIGKLKIAAIALDNRNLSLSIDCEHITIFISTWLVTKGSVDENIYEIARRKLVLDAAILQSGAELEDSTDVPEKTMGEILASLLLLLDDTLVTCEVLIGFPSKARWNIPIVMLEDFAHDQQNLIACLEDRFV >ORUFI04G23510.3 pep chromosome:OR_W1943:4:23964376:23972453:1 gene:ORUFI04G23510 transcript:ORUFI04G23510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGYEEIDDDEWSNHSFKPSRVLKRPSRGAQPDSQPPPPIDSFRYNPRPSSSSAAAAATATVVLSDDDDDFELGEEERLRRAGKSTRVLNRPQRQHSPPGRALPPRESFRYNPKPAKAAAAVSVSDDDDDGFDLEDDDFDIPSSRTSRPRRTAGRRLATAVADLSEEDDDLELADDDFDHPDPRPTRPRRATARRFVIKDDDDSDGDVGASEVDGVEAEEDDGVNWSELENEDDEDGDYGETKVEVEEGDVVGKALRKCARISADLRQELYGSSTRNCESYAEIDDSSVRIVTQVWSFDSMDVSEQDDVDTACTSEESEFEPILKPYQLVGVNFLLLLHRKNIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGAGRTAYSKELSSLGKAGYPPPFNVLLVCYSLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDIDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVNFVTMDSEQFQAYNYAIDEYRGACQARSAKSTSNFSNNVVGLIPKRQISNYFMQFRKIANHPLLIRRIYSDKDVDRIAKLLYPKGAFGFECSLERATQELRKYNDFAIHQLLVSYGDSGTKGALTDEHVLGSAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGGTPVTERQTIVDTFNNDRSIFACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTIYRLVTKGSVDENIYEIARRKLVLDAAILQSGAELEDSTDVPEKTMGEILASLLLLLDDTLVTCEVLIGFPSKARWNIPIVMLEDFAHDQQNLIACLEDRFV >ORUFI04G23510.4 pep chromosome:OR_W1943:4:23964376:23972453:1 gene:ORUFI04G23510 transcript:ORUFI04G23510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGYEEIDDDEWSNHSFKPSRVLKRPSRGAQPDSQPPPPIDSFRYNPRPSSSSAAAAATATVVLSDDDDDFELGEEERLRRAGKSTRVLNRPQRQHSPPGRALPPRESFRYNPKPAKAAAAVSVSDDDDDGFDLEDDDFDIPSSRTSRPRRTAGRRLATAVADLSEEDDDLELADDDFDHPDPRPTRPRRATARRFVIKDDDDSDGDVGASEVDGVEAEEDDGVNWSELENEDDEDGDYGETKVEVEEGDVVGKALRKCARISADLRQELYGSSTRNCESYAEIDDSSVRIVTQDDVDTACTSEESEFEPILKPYQLVGVNFLLLLHRKNIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGAGRTAYSKELSSLGKAGYPPPFNVLLVCYSLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDIDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVNFVTMDSEQFQAYNYAIDEYRGACQARSAKSTSNFSNNVVGLIPKRQISNYFMQFRKIANHPLLIRRIYSDKDVDRIAKLLYPKGAFGFECSLERATQELRKYNDFAIHQLLVSYGDSGTKGALTDEHVLGSAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGGTPVTERQTIVDTFNNDRSIFACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTIYRLVTKGSVDENIYEIARRKLVLDAAILQSGAELEDSTDVPEKTMGEILASLLLLLDDTLVTCEVLIGFPSKARWNIPIVMLEDFAHDQQNLIACLEDRFV >ORUFI04G23510.5 pep chromosome:OR_W1943:4:23964376:23972453:1 gene:ORUFI04G23510 transcript:ORUFI04G23510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGYEEIDDDEWSNHSFKPSRVLKRPSRGAQPDSQPPPPIDSFRYNPRPSSSSAAAAATATVVLSDDDDDFELGEEERLRRAGKSTRVLNRPQRQHSPPGRALPPRESFRYNPKPAKAAAAVSVSDDDDDGFDLEDDDFDIPSSRTSRPRRTAGRRLATAVADLSEEDDDLELADDDFDHPDPRPTRPRRATARRFVIKDDDDSDGDVGASEVDGVEAEEDDGVNWSELENEDDEDGDYGETKVEVEEGDVVGKALRKCARISADLRQELYGSSTRNCESYAEIDDSSVRIVTQVWSFDSMDVSEQDDVDTACTSEESEFEPILKPYQLVGVNFLLLLHRKNIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGAGRTAYSKELSSLGKAGYPPPFNVLLVCYSLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDIDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVNFVTMDSEQFQAYNYAIDEYRGACQARSAKSTSNFSNNVVGLIPKRQISNYFMQFRKIANHPLLIRRIYSDKDVDRIAKLLYPKGAFGFECSLERATQELRKYNDFAIHQLLVSYGDSGTKGALTDEHVLGSAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGGTPVTERQTIVDTFNNDRSIFACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTIYRLVTKGSVDENIYEIARRKLVLDAAILQSGAELEDSTDVPEKTMGEILASLLLVNIPIVMLEDFAHDQQNLIACLEDRFV >ORUFI04G23510.6 pep chromosome:OR_W1943:4:23964376:23972453:1 gene:ORUFI04G23510 transcript:ORUFI04G23510.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGYEEIDDDEWSNHSFKPSRVLKRPSRGAQPDSQPPPPIDSFRYNPRPSSSSAAAAATATVVLSDDDDDFELGEEERLRRAGKSTRVLNRPQRQHSPPGRALPPRESFRYNPKPAKAAAAVSVSDDDDDGFDLEDDDFDIPSSRTSRPRRTAGRRLATAVADLSEEDDDLELADDDFDHPDPRPTRPRRATARRFVIKDDDDSDGDVGASEVDGVEAEEDDGVNWSELENEDDEDGDYGETKVEVEEGDVVGKALRKCARISADLRQELYGSSTRNCESYAEIDDSSVRIVTQDDVDTACTSEESEFEPILKPYQLVGVNFLLLLHRKNIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGAGRTAYSKELSSLGKAGYPPPFNVLLVCYSLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDIDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVNFVTMDSEQFQAYNYAIDEYRGACQARSAKSTSNFSNNVVGLIPKRQISNYFMQFRKIANHPLLIRRIYSDKDVDRIAKLLYPKGAFGFECSLERATQELRKYNDFAIHQLLVSYGDSGTKGALTDEHVLGSAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGGTPVTERQTIVDTFNNDRSIFACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTIYRLVTKGSVDENIYEIARRKLVLDAAILQSGAELEDSTDVPEKTMGEILASLLLVNIPIVMLEDFAHDQQNLIACLEDRFV >ORUFI04G23520.1 pep chromosome:OR_W1943:4:23994754:24000446:1 gene:ORUFI04G23520 transcript:ORUFI04G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAAAAFFGISRDGDQHDQIKPLISHQQHQHQQQQLAASLTGVATAAPTAASSQGAPPAAPPAKKKRNLPGNPSNQPKYPFTISAMHAYISVLRDLVSIDWTDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPAQAQRRRVYLCPEPTCVHHDPARALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESSRLPPTSLSSLTSHLYGASNAGNMALSLSQVGSHLTTSLQDGGGHHHHPSPELLRLGGAGGGGGAGGGSSIAARLDHLLSPSGASAFRPPQPAFFLNAAAAAAATGQDFGDDAGNGQHSFLQAKPFHGLMQLPDLQGNGAGGPGAPGPNLFNLGFFANNGNSSGSSHEHASQGLMSNDQFSCGAGGGGGSDASAAGIFGGNFVGGDHVSPAGLYNDQAAMLPQMSATALLQKAAQMGATSSANGPGSMFRGFVGSSPHMRPAAQHMDQSDAHLNDLMNSLAGGGVNAAAMFGGTNGGGVPGAGMFDPRLCDIEHEVKFSQGGGGGGGAGAGTGDGTRDFLGVGGGGIVHGMSTPRGDHHQSSSDMSSLEAEMKSASSFNGRRMP >ORUFI04G23530.1 pep chromosome:OR_W1943:4:24012571:24028505:-1 gene:ORUFI04G23530 transcript:ORUFI04G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPIIIKGEGGWWRRRRRQQQQCGSASASGGVGRRPPRVSRDRLPPRPRRRSPSARSVPIMVKKKRTGSGNTVLERLQELLAMVLHSELREVLNSMGEDVVGCLNRVAVVVGNTRAVVDIIRAVEGKVHTIQAEGLLSIRVVEGQVHIIQVVGLLTIRAVEDQVHITQVVGLPSINRVTIKDVVVHAPEVECHSHTMADLGGVADVVFLQVHQEQFPSCTKPHMSNTKPRWFHQPHRELAHPLSLRRSGQVQQQFQQLATRDQSSTSQAIQIAPPSSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMFELVTLYRYSHLGGRLPAYDGRKSLYTAGPLPFASRTFEITLQDEEDSLGGGQGTQRRERLFRVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTTRYSPVGRSFYSPNLGRRQQLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFLETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILRTVSHNAYHEDQYAQEFGIKIDERLASVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVNNWACINFSRNVQDSAARGFCHELAIMCQISGMDFALEPVLPPLTARPEHVERALKARYQDAMNMLRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPHRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMASGAATSRGLPPGVRSARVAGNVAVRPLPALKENSVNNASLRPRVT >ORUFI04G23530.2 pep chromosome:OR_W1943:4:24012571:24028505:-1 gene:ORUFI04G23530 transcript:ORUFI04G23530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPIIIKGEGGWWRRRRRQQQQCGSASASGGVGRRPPRVSRDRLPPRPRRRSPSARSVPIMVKKKRTGSGNTVLERLQELLAMVLHSELREVLNSMGEDVVGCLNRVAVVVGNTRAVVDIIRAVEGKVHTIQAEGLLSIRVVEGQVHIIQVVGLLTIRAVEDQVHITQVVGLPSINRVTIKDVVVHAPEVECHSHTMADLGGVADVVFLQVHQEQFPSCTKPHMSNTKPRWFHQPHRELAHPLSLRRSGQVQQQFQQLATRDQSSTSQAIQIAPPSSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMFELVTLYRYSHLGGRLPAYDGRKSLYTAGPLPFASRTFEITLQDEEDSLGGGQGTQRRERLFRVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTTRYSPVGRSFYSPNLGRRQQLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFLETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILRTVSHNAYHEDQYAQEFGIKIDERLASVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVNNWACINFSRNVQDSAARGFCHELAIMCQISGMDFALEPVLPPLTARPEHVERALKARYQDAMNMLRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPHRGTVTGGMIKELLISFKRATGQKPQRIIFYRNLRGQHFAGFYPCMDIFLTIIVCDFNTCHFRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMASGAATSRGLPPGVRSARVAGNVAVRPLPALKENSVNNASLRPRVT >ORUFI04G23530.3 pep chromosome:OR_W1943:4:24012571:24028524:-1 gene:ORUFI04G23530 transcript:ORUFI04G23530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLENVRPHHHQVPIMVKKKRTGSGNTVLERLQELLAMVLHSELREVLNSMGEDVVGCLNRVAVVVGNTRAVVDIIRAVEGKVHTIQAEGLLSIRVVEGQVHIIQVVGLLTIRAVEDQVHITQVVGLPSINRVTIKDVVVHAPEVECHSHTMADLGGVADVVFLQVHQEQFPSCTKPHMSNTKPRWFHQPHRELAHPLSLRRSGQVQQQFQQLATRDQSSTSQAIQIAPPSSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMFELVTLYRYSHLGGRLPAYDGRKSLYTAGPLPFASRTFEITLQDEEDSLGGGQGTQRRERLFRVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTTRYSPVGRSFYSPNLGRRQQLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFLETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILRTVSHNAYHEDQYAQEFGIKIDERLASVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVNNWACINFSRNVQDSAARGFCHELAIMCQISGMDFALEPVLPPLTARPEHVERALKARYQDAMNMLRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPHRGTVTGGMIKELLISFKRATGQKPQRIIFYRNLRGQHFAGFYPCMDIFLTIIVCDFNTCHFRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMASGAATSRGLPPGVRSARVAGNVAVRPLPALKENSVNNASLRPRVT >ORUFI04G23530.4 pep chromosome:OR_W1943:4:24012571:24028524:-1 gene:ORUFI04G23530 transcript:ORUFI04G23530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLENVRPHHHQVPIMVKKKRTGSGNTVLERLQELLAMVLHSELREVLNSMGEDVVGCLNRVAVVVGNTRAVVDIIRAVEGKVHTIQAEGLLSIRVVEGQVHIIQVVGLLTIRAVEDQVHITQVVGLPSINRVTIKDVVVHAPEVECHSHTMADLGGVADVVFLQVHQEQFPSCTKPHMSNTKPRWFHQPHRELAHPLSLRRSGQVQQQFQQLATRDQSSTSQAIQIAPPSSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMFELVTLYRYSHLGGRLPAYDGRKSLYTAGPLPFASRTFEITLQDEEDSLGGGQGTQRRERLFRVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTTRYSPVGRSFYSPNLGRRQQLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFLETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILRTVSHNAYHEDQYAQEFGIKIDERLASVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVNNWACINFSRNVQDSAARGFCHELAIMCQISGMDFALEPVLPPLTARPEHVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPHRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMASGAATSRGLPPGVRSARVAGNVAVRPLPALKENSVNNASLRPRVT >ORUFI04G23540.1 pep chromosome:OR_W1943:4:24057785:24061919:1 gene:ORUFI04G23540 transcript:ORUFI04G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGGCGRNGAVRQYIRSKVPRLRWTGELHCSFVQAIEFLGGQDKATPKLILQLMGVKGLTISHVKSHLQMYRCSRLVSHGTGRRSEMQPQLQRKHSCGADEQVPREFLCPPLKRTRMGTEATYKGMQGSQGISEMRTTGTQYCIDDYMQAMAMERRIKEEGLRWQRDAAAAAAADGGAAASNLQTVGCSVQESDPFKIIKPEVHHLGPVLKLQCSKVESSGFISSSTGTAARDQPEPPPPEKCSLSLSLGPDPKCMPAIASSPSESSCILSSSSRSFSDCSGNSGCLVAPGVNLELSMSICGS >ORUFI04G23550.1 pep chromosome:OR_W1943:4:24066141:24066716:-1 gene:ORUFI04G23550 transcript:ORUFI04G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADQPAYGDRRPSRRTYKPDQPEGLTISFRELYDLPTSPEFLFHEEALRSRRTWGEDLTFYPGCGYLVGRAAGAAAGLKRAAEEAERGESMKLRGSRVLNQCGSLGRAYGNRLGVVALLFVGIESAVGGLRDADGWANTVAAGIGTAALYRAAAGPRAAIVGGAIGGLMAGAAVVGRQALTRYVPNLSL >ORUFI04G23560.1 pep chromosome:OR_W1943:4:24071124:24080740:-1 gene:ORUFI04G23560 transcript:ORUFI04G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSLSSLLATLRVVGPWTPPATWESVTQAGGAARTANPGGRLRGDPIYELASVPDASLVRLALHALHGVKSSLDEIEELSVLFFSCPADRTSHRVANVWSRSSSTTSVGNILKSIRTTGLSVLFLCKFLHFYLFQSRELNGRGREGHEHEVSDSEETEQPAPYSLVNQAFAAAVEKVLEGYFCSLNTLPASIKLRRLEGQPDIPSMTPDGASYNSNSEITLLEVYLHTEELRRHIKSLGNICFPKFAGLSLCQEGLTTDSNLGFENFPWSTDLLSYLYVHLRDADSVHYGLLKYLFVRSCEPYCNFIKSWIYRASVDDPYEEFLITQAKNKRTQGGSSDPVDNFTLLSLKGANHVSAPCFLKDVCGPLLRTGQQLQVLMKLLESCNLSDTGGDAHASRHIIHLEEILPWFDTSIESSMNSFTFSKSRVEAVICQRDAMYKSMIEKLHHFFSNVEVIPFDAALNVLHISTSPLDTAVSDVELFYHGTNALPACNVVAELKDNDASSTSQESSDKVDALESSESSSSYSSIDDIEDEIDTACDNMPSSMFSSYRASSGEAKCSSVTTKLLSYETCSVSDGINPASPINENKKKDDLSYGHVPMHSQSIEHDVVCDALELDNQYSEFWPFDSFLKNSFDISSGKMSLAEEFLYTGDKGAEQVSHANVVCRHSESGSPRLPNRDNDEKSSNIKQPWNTNIPYNFSINPIVKNAVSCHTEHDLHGNRKNQALIGFNFESVTNPCEAYCGRSTSSLDEFEVRSAMAVQSNAQASKQFDCSSKLLQSKTTSHAYLTSPGDISAQTNLLENPSGGAFWEKSLEYTAKSMEIAGDTTSSSDMPLDIAIDNFTMKLLEDGFDLCGHLQALRRYHFMELADWADSFIVSIYKKKWSFVKSEHKRAEIQGLLDLALQRSSCDSDPYKERLFVYMNEQPVVSLKASTCGLDVLDDILLGYKVDWPVNIVITEEALKTYAEIFRYLVQVRLAVFSLTEVWRFLKELTQLISRSSHNRPDVLKELSSVMKLRHQVYHFLSTLQQYLHCHLSDISWRRFQHSLQHQVRDMLDLEYVHLCYVTDALHICFLSAETKPIAAIINSILQQALELRSCFKSLNYISESTVKQLNLDSLINFSQVDAIRTKFEGNIKDLYILHLKSSKYGEIGLYRFWGYLNYNEYHSLMINKDMGCFYF >ORUFI04G23570.1 pep chromosome:OR_W1943:4:24084619:24084819:1 gene:ORUFI04G23570 transcript:ORUFI04G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPTNFTGDRGRDEGLNPISIQRKLPPSPPLPPVLVVGDGGRDGGLPPSLLPTKSADDKRKGWP >ORUFI04G23580.1 pep chromosome:OR_W1943:4:24087033:24088834:-1 gene:ORUFI04G23580 transcript:ORUFI04G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVANGGAAPEWRVTVPEGATVTVEREAGRCRRAAVVWAWQLVSCVAALGSRASGLAGRVWKIGADDPRRAVHGVKVGLALALVSVFYYTRPLYDGVGGAAMWAVMTVVVVFEFTVGGCVYKGFNRATATVSAGAVALGVHWIASKSGDKLEPVVRSGSVFLLAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDALVAMAQQRVSTIAIGIFICLAVCLLICPVWAGQELHRLTARNMDKLAGAVEACVEGYFVAGEEEAAGPEYKRRPAAAAAAEGYKCVLNSKASEDAQANLARWEPAHGRFGFRHPYAQYKAVGAAMRHCAYCVEALSGCIRSAEAQSPEGVKRHLAGASTRVATRCAAVLREASSSVAAMTTPSRGLDFAVADMNTAVQELQSEVRELPSKLAAAAGEPAAAQQLMDAVQLLTVTSLLIEVSARIEGVVDAVDTLATLAAFRSADDDDDDDDEKPTTEADAKLQTVSDHVTVEPEAAAAAARTTKNIEQV >ORUFI04G23590.1 pep chromosome:OR_W1943:4:24100711:24102335:1 gene:ORUFI04G23590 transcript:ORUFI04G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHPKFTQIELRSDLVLLSPGYTLARVARDRGKKKRGMAAGGGTERPPSRPAPVRAESVGSSIYPLVIAVEGEADAAQGGLGFPEVPLGFEKVNAAPAPNTPTPVASPSTAGPKKNKKLVAAMAVAAVERTSPITGPGRITVNSL >ORUFI04G23600.1 pep chromosome:OR_W1943:4:24104761:24106017:1 gene:ORUFI04G23600 transcript:ORUFI04G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRIGAARWLEPGGKGWLHGSARLGAMTAWGWMKTTMMVASVAEAEVVAEAAPLRLGRAQGLRRHPGMRPVLSTSSSSSPFSMLIHASASRVRHRLLLLPPGRQEHVSLLSGNVHVGCPWSHTRKTKSTHKATTQPAAAGLIFRMRKRSVPASTAAYGCSFPEGGKKTIGLFFWLAFVDCATS >ORUFI04G23610.1 pep chromosome:OR_W1943:4:24108117:24112267:1 gene:ORUFI04G23610 transcript:ORUFI04G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAVQPADLNKNTEWFMYPGVWTTYILILFFSWLLVLSVFGCTPGMAWTFVNLAHFAMTYHFFHWKKGTPFADDQGMYNRLTWWEQMDNGKQLTRNRKFLTVVPLVLYLIALHTTDYQHPMLFLNTIAVVVLVVAKLPNMHKVRIFGINAGN >ORUFI04G23620.1 pep chromosome:OR_W1943:4:24120681:24121727:-1 gene:ORUFI04G23620 transcript:ORUFI04G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAKASALWWRGFKLEIGSRDDEGFNKRGIVKRRMKGRTRLGRKKEKWTPITMHASSEMSCLTIVPKPESSSHEKH >ORUFI04G23630.1 pep chromosome:OR_W1943:4:24121756:24122814:-1 gene:ORUFI04G23630 transcript:ORUFI04G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMLMAANAAAGANPNPAATAPAGGGPPPVAGGAGAGSTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSAASASPASASTANSVVTSASMSMSMASTGGGASKNPKLVHEGAQDLNLAFPHHGGLQAPGEFPAFPSLESSSVCNPGGPMGTNGRGGGALSAMELLRSTGCYMPLQVPMQMPAEYATPGFALGEFRAPPPPPQSSQSLLGFSLDAHGSVGGPSAAGFGSSAGLQGVPESTGRLLFPFEDLKPTVSSGTGGGGASGGGAGVDGGHQFDHGKEQQAGGGGGGPGGHDTPGFWNGMIGGGSGTSW >ORUFI04G23640.1 pep chromosome:OR_W1943:4:24123118:24126743:1 gene:ORUFI04G23640 transcript:ORUFI04G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHREQGAERKMNSNKIRKKKKKHKSEILTDAESIDRSIFAKRFLPGASGLHPCKRALQLRLPRCPPNNSCSSGHLRRRSATKTKTTSELHLLMQQLVDFLSRREREKRMSCGWGNKSISNRVAISPPS >ORUFI04G23650.1 pep chromosome:OR_W1943:4:24143802:24144240:-1 gene:ORUFI04G23650 transcript:ORUFI04G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQKREIQPIESNRSPCSCRGPNKLTRRITSQSSIVLAHEAAWHPGMGVNNSRTLQSTAPRTPQGDR >ORUFI04G23660.1 pep chromosome:OR_W1943:4:24150260:24154224:1 gene:ORUFI04G23660 transcript:ORUFI04G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCQLVARCSSSSSSSRMEVEEEAFFDTREELLPPSPAAALPWSGGLDSVRQRRERFMRSMGLERSPSLRQADFADVVGDVEEEGEVAAEAAAAEIGRWSSQSDENECSMSSWSTEETTSYDDGASDDNSVSGSGKASRSFSSLSFIQRLMSRNGKPSGAPKTIDRRRNGWLRRLGVSACVVDSGAADEASTSSSDSEQIGAGRYERIKVHSYRKRSKELSAVYQGQVIKAHDGAILTMKFSPDGQLLATGGEDGVVRVWAVMQSEDCKIPLDDPSCVYLKARRKYGLAPVNAESEKKSKINGLKKSDSACIVVPTMVFQISEEPVHEFRGHSGDVLDLSWSSDKHLLSASTDKTVRMWEIGYANCIRVYPHSNFVTCVQFNLADENLFISGSIDGKIRVWDITRSSVVDWVDIRDIVTAVCYRPGGKGVVVGTITGNCRFYEISDNLLKLETQIALNGKKKSSLKRITGFQFCPSNPSKLMVTSADSKIRILDGTNVIQNYSGLRSGSCQLSATFTPEGQHIISASEDSNVYVWSHENQYECACKQAKTTQTSEHFRSNNAAIAIPWNGTKPRSPVPLSSQILPPQGDTFWSMSKAIKYNSSLCGKDSSIKKIVSTPAAPGIFNLNQEFFIESSCKSSATWPEEMLPSTTASVNLDESQFKLLRNCFQGTSNSWGQVIVTAGWDGRIRYFQNFGLPVHQ >ORUFI04G23660.2 pep chromosome:OR_W1943:4:24150787:24154224:1 gene:ORUFI04G23660 transcript:ORUFI04G23660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFPLAASLFFFFLQRPCSPQRSMEGCQLVARCSSSSSSSRMEVEEEAFFDTREELLPPSPAAALPWSGGLDSVRQRRERFMRSMGLERSPSLRQADFADVVGDVEEEGEVAAEAAAAEIGRWSSQSDENECSMSSWSTEETTSYDDGASDDNSVSGSGKASRSFSSLSFIQRLMSRNGKPSGAPKTIDRRRNGWLRRLGVSACVVDSGAADEASTSSSDSEQIGAGRYERIKVHSYRKRSKELSAVYQGQVIKAHDGAILTMKFSPDGQLLATGGEDGVVRVWAVMQSEDCKIPLDDPSCVYLKARRKYGLAPVNAESEKKSKINGLKKSDSACIVVPTMVFQISEEPVHEFRGHSGDVLDLSWSSDKHLLSASTDKTVRMWEIGYANCIRVYPHSNFVTCVQFNLADENLFISGSIDGKIRVWDITRSSVVDWVDIRDIVTAVCYRPGGKGVVVGTITGNCRFYEISDNLLKLETQIALNGKKKSSLKRITGFQFCPSNPSKLMVTSADSKIRILDGTNVIQNYSGLRSGSCQLSATFTPEGQHIISASEDSNVYVWSHENQYECACKQAKTTQTSEHFRSNNAAIAIPWNGTKPRSPVPLSSQILPPQGDTFWSMSKAIKYNSSLCGKDSSIKKIVSTPAAPGIFNLNQEFFIESSCKSSATWPEEMLPSTTASVNLDESQFKLLRNCFQGTSNSWGQVIVTAGWDGRIRYFQNFGLPVHQ >ORUFI04G23670.1 pep chromosome:OR_W1943:4:24159523:24160110:1 gene:ORUFI04G23670 transcript:ORUFI04G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGDAAAICAQIAAVFSGDPAARPVLVAELAAAAARGGRVFVHGVGREGLMMRALCMRLAHLGLPAHCVGDVTAPPASPGDLLLASAGPGAFSTVDAICGVARGAGAHVVLLTAKPEGDFPRRQADVVAHLPAQTMADDEEATTESSPAKAKLPMGSLYEGAMFVLFEMVVLELARVLGQSPAQMRARHTNLE >ORUFI04G23680.1 pep chromosome:OR_W1943:4:24160803:24162079:1 gene:ORUFI04G23680 transcript:ORUFI04G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAGTPPFLTKTYAMVEDPSTDETISWNDSGTAFVVWRPAEFARDLLPKHFKHSNFSSFVRQLNTYGFKKVVADRWEFANDCFRRGEKHLLGGIQRRKGSGTGGAGAAPAGGIPTAIPISSPPTSSGGEPAVSSSPPRGAAGIAAGVSGAVAELEEENARLRRENARLARELARARRVCDGVRRLVSRYDHDHGGGEEEAGEGDVKPMLFGVAIGGKRSREENGEDEEEEEEEGADEDGEDDEVEEEDDEERERHAARRVPVREGKVRRTTELSDLDVLALSVRAAAAARPGGASRDRKSSVS >ORUFI04G23690.1 pep chromosome:OR_W1943:4:24161919:24177426:-1 gene:ORUFI04G23690 transcript:ORUFI04G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAALLEVYRRDRRALLGFILSSAGGRAVDLSRVDLDAVSADYALGCVASGVQFDASEATRRYFDERRYPIMMGSPSVNSYFLLSRPERSGSPPNKAAPDIVPQAPAEENPTPIREHVDFFRAAINILGTDNGTKDVSLADIYPKQVNKMDILSLGLPKLSTELSDDDIRETAYEVLLASLFVSGKILFSEEKKEKKPKFLKGLRSKTEVSNPSPQPENHYSQLLDLIRISESMDTLTRRALRHISLRIVQGQLDVPCISLQLLSSVGKSDFPTERLRANVLEELLLFPGSREYGMSETLRIDWVVSVPDGPVEVLTIIERYNAKLCEAPKKFNLKGETYHWIQSYHLNFRLYEKLLCIVFDILEDGQLVEEADEILETVKLTWTILGITQKLHDTLFAWVLFKKFAETGEILLLKHTCLQTQKLRLHNDAKEIELYTNSFVCSAEACGGNMALSLVDSAILKINKWCFRQLENYHSYFNKVDNSIFEGMLNLVVISETSRTDDDDDDEKAMLIGTPLDATQESKLIHILVVRSIQAAYKHALISSDCQSKAEFKHPLIILANELKLVAEKECTIFSPTLCKRYPEAGRVALVLLHLLYGQQLELFLERMDNSESLKEILAATNNFELCVAKKLYLMNEGAVGSLLSKYLKPYMISQFSSPLILQWLHVQHENVLEWTKRTIEIEDWEPLSAHERHATSVVEVFRIVEETIEQFFNSSLPLDTVHLRSLLIGITSSLQVYLHHMENQQVPRATLLPSAPVLTRYAESVNPFAKRKLIVPTVPEEKVANKLNNLTVPKLCAKLNTLQFIRDQLDNIEEGIKQSWVSVQSAVGLLDYLSCIASGRTLPKNLSSEESIDELFTIFDDVRRTAVSTTDRILNFIGTRAVFWDMRDSLLFSLYRASVESARMEMFIPTIDQVLDQVCDLIVDALRDQVVLRVFQACMEGLIWILLDGGPSRAFLETDVDLMHQDLAMIKDLFIAEGQGLPLDLVEKEARLTHQILDLFVLKADTIIDMLINVSDQLPHHLELTTTRRRHVHDAHTLLRVLCHKKDKTASTFLKIQYHLPRSSDYDDISTKNAPSKAPMFSDMLKKLDLLYLGLSTSWELNIFPVIKEMKHEILRRI >ORUFI04G23700.1 pep chromosome:OR_W1943:4:24178979:24182155:-1 gene:ORUFI04G23700 transcript:ORUFI04G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSPSTRGDALLDSAPLLGGGGGGGGGRRRGGALRRPSLRGAARLLRRGGRRAMREPSVLVREAAAEHLEERQADWAYSRPVVALDLLWNLAFITVAAVVLVLSRGEDSPMPLRTWVAGYALQCVVHMVCVAIEYRMRRGQRDRAPASADEERGSDGSSSSSDDDVTEDDRRGSCTDCVSIAKHLESANTMFSFIWWIIGFYWISAGGEDVIRDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRRTDEPEKQTADETGPFGGIMTECGTNQPIEKVLAPEDAECCICLSAYDDGAELRELPCGHHFHCACIDKWLHINATCPLCKFNIRKSGSSSGSEEV >ORUFI04G23710.1 pep chromosome:OR_W1943:4:24183800:24187104:1 gene:ORUFI04G23710 transcript:ORUFI04G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSAPALADAYDIPWVEKYRPTRVADVVGNSDAVARLQVIARDGNMPNLILSGPPGTGKTTSILSLAHELLGPSYREAVLELNASDDRGLDVVRNKIKMFAQKKVTLQPGRHKIVILDEADSMTSGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMIVVAAEKVPYVPEGLEAIIFTADGDMRQALNNLQATVSGFRFVNQENVFKLIVMLYWLQVCDQPHPLHVKNMVKNVLDGKFDEACSALKQLYDLGYSPTDIITTLFRVIKNYDMAEYLKLELLKETGFAHMRICDGVGSFLQLSGLLAKFALVRETAKAS >ORUFI04G23710.2 pep chromosome:OR_W1943:4:24183800:24187182:1 gene:ORUFI04G23710 transcript:ORUFI04G23710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSAPALADAYDIPWVEKYRPTRVADVVGNSDAVARLQVIARDGNMPNLILSGPPGTGKTTSILSLAHELLGPSYREAVLELNASDDRGLDVVRNKIKMFAQKKVTLQPGRHKIVILDEADSMTSGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMIVVAAEKVPYVPEGLEAIIFTADGDMRQALNNLQATVSGFRFVNQENVFKLIVMLYWLQVCDQPHPLHVKNMVKNVLDGKFDEACSALKQLYDLGYSPTDIITTLFRVIKNYDMAEYLKLELLKETGFAHMRICDGVGSFLQLSGLLAKFALVRETAKAS >ORUFI04G23720.1 pep chromosome:OR_W1943:4:24188022:24193748:-1 gene:ORUFI04G23720 transcript:ORUFI04G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGVGDHFGDGVVPAGMQFPFSGAGPGVFTSSPALSLALADAVAGRNSGGGGKMVTAAHGGVGGGGGGGRAKARDALEVENEMSRSGSDHLDVVSCGDAGGGGGDDDDDEDAEHGNPPKRKKRYHRHTPQQIQELEAMFKECPHPDEKQRAELSKRLGLEPRQVKFWFQNRRTQMKMQLERHENSLLKQENDKLRSENLSIREATSNAVCVGCGGPAMLGEVSLEEHHLRVENARLKDELSRVCALAAKFLGKSISVMAPPQMHQPHPVPGSSLELAVGGIGSMPSATMPISTITDFAGAMSSSMGTVITPMKSEAEPSAMAGIDKSLFLELAMSAMDELVKMAQMGDPLWIPGASVPSSPAKESLNFEEYLNTFPPCIGVKPEGYVSEASRESGIVIIDDGAALVETLMDEVRRWSDMFSCMIAKASTTEEISTGVAGSRNGALLLMQAELQVLSPLVPIREVKFLRFSKQLADGVWAVVDVSADELMRDQGITSASSTANMNCRRLPSGCVLQDTPNGFVKVTWVEHTEYDEASVHPLYRPLLRSGLALGAGRWIATLQRQCECLALLMSSIALPENDSSAIHPEGKRSMLKLARRMTDNFCAGVSTSSTREWSKLVGLTGNIGEDVHVMARKSVDEPGTPPGVVLSAATSVWMPVMPERLFNFLHNKGLRAEWDILSNGGPMQEVTSIAKGQQNGNTVCLLKASPTKDKQNSMLILQETCADASGSMVVYAPVDIPAMHLVMSGGDSSCVALLPSGFAILPAGPSIGADHKMGGSLLTVAFQILANSQPSAKLTVESVETVSNLISCTIKKIKTALHCDV >ORUFI04G23720.2 pep chromosome:OR_W1943:4:24188022:24193748:-1 gene:ORUFI04G23720 transcript:ORUFI04G23720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGVGDHFGDGVVPAGMQFPFSGAGPGVFTSSPALSLALADAVAGRNSGGGGKMVTAAHGGVGGGGGGGRAKARDALEVENEMSRSGSDHLDVVSCGDAGGGGGDDDDDEDAEHGNPPKRKKRYHRHTPQQIQELEAMFKECPHPDEKQRAELSKRLGLEPRQVKFWFQNRRTQMKMQLERHENSLLKQENDKLRSENLSIREATSNAVCVGCGGPAMLGEVSLEEHHLRVENARLKDELSRVCALAAKFLGKSISVMAPPQMHQPHPVPGSSLELAVGGIGSMPSATMPISTITDFAGAMSSSMGTVITPMKSEAEPSAMAGIDKSLFLELAMSAMDELVKMAQMGDPLWIPGASVPSSPAKESLNFEEYLNTFPPCIGVKPEGYVSEASRESGIVIIDDGAALVETLMDEVRRWSDMFSCMIAKASTTEEISTGVAGSRNGALLLMQAELQVLSPLVPIREVKFLRFSKQLADGVWAVVDVSADELMRDQGITSASSTANMNCRRLPSGCVLQDTPNGFVKVTWVEHTEYDEASVHPLYRPLLRSGLALGAGRWIATLQRQCECLALLMSSIALPENDSSAIHPEGKRSMLKLARRMTDNFCAGVSTSSTREWSKLVGLTGNIGEDVHVMARKSVDEPGTPPGVVLSAATSVWMPVMPERLFNFLHNKGLRAEWDILSNGGPMQEVTSIAKGQQNGNTVCLLKASSSVPLTKNFTVQPTKDKQNSMLILQETCADASGSMVVYAPVDIPAMHLVMSGGDSSCVALLPSGFAILPAGPSIGADHKMGGSLLTVAFQILANSQPSAKLTVESVETVSNLISCTIKKIKTALHCDV >ORUFI04G23730.1 pep chromosome:OR_W1943:4:24208930:24210947:1 gene:ORUFI04G23730 transcript:ORUFI04G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNADVEAGGPAGREATTGIKPPPGRYNPSGNGPNAVAPAPPPFYYYDQAAAQERHHRTWVVPLVVIANVAMFVVVMYYNNCPANGGRRDCVGRSFLRRLSFQPLRENPVLGPSAATLRKYGALDWYGVVHGNQAWRLETSTWLHAGLIHLAANMISLLLIGIRLEQQFGFCKPESVKSRKGKVGLVYLVSGFGGSVLSVLFISRNGITVGASGALFGLLGAMLSELITNWTIYSNRCAAMVNLIIIAAINLALGILPRVDNFAHIGGFATGFLLGFVLLIQPQFGWSEQVSSAKSKYNAFQIILLTLSVALLIAGFAAGLVSVFKGVDGNAHCSWCHYLTCVPTSSWKCDK >ORUFI04G23740.1 pep chromosome:OR_W1943:4:24211393:24215053:-1 gene:ORUFI04G23740 transcript:ORUFI04G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSLRPHRRGALSGGGGGGQWQWSFLDAVWAVFLLAVVIFLALVFTPRRGEPLSASSSALAGATVPPCAASEVDLLPCEDPRRSSRLSREMNYYRERHCPARGEAPVCLVPPPRGYRVPVPWPESLHKIWHDNMPYGKIAERKGHQGWMKQEGSYFIFPGGGTMFPDGAEQYIEKLAQYVPLKSGLLRTGLDMGCGVASFGGFLLKENILTLSFAPRDSHKSQIQFALERGIPAFLLMLGTRRLPFPAQSFDFVHCSRCLIPFMAYNGSYLIEVDRLLRPGGYLIISGPPVQWKKQEKEWAELQEMALAFCYKLITVDGNTAIWKKPTEASCLPNQNGFNIDLCSTDDDPDQAWYFKLKKCVSKVSLADEIAVGSILKWPDRLSKPSARASLMDNGANLFELDTQKWVKRVSFYKKSLGVKLGTAKIRNVMDMNAYLGGLAAAAVSDPVWVMNVVPAQKPLTLGVIYDRGLIGVYHDWCEPFSTYPRTYDLIHADRINSLIRDPISGKSRCDLFDVMLEMDRILRPEGIAVIRDSPDVIDKAAQVAQSIRWTVQVHDSEPESGGTEKILVATKTFWKLPLT >ORUFI04G23750.1 pep chromosome:OR_W1943:4:24225497:24227643:-1 gene:ORUFI04G23750 transcript:ORUFI04G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASRWLRGLLGGGKKPNSGSGDPKPAREKKRWGFGKSFREKSPAHPPPPPPPSAAVQRAVTPRRAYTASDEGDDEQSKRAIAVAAATAAVAEAAVAAAQAAAAVVRLTSSGRCAPAAAKREEYAAVRIQAAFRGYLARRALKALRGLVKLQALVRGNIVRRQAAETLRCMHALVRVQRRARACRAIRSQHVSAHPGPPTPEKYDQATHEGVPKHGRSGSLKGSSSKTPGSERLTRERSESCGRNWLDKWVEERYLDDEKNAKILEVDTGKPGRHASRRRSGSHHHHSSCSSMTSEQKSRSYATMPESPSKDSTTAQQSVPSPPSVGMAEALSPLRMAVDIAELCDSPQFFSATSRPGSSRSRAFTPTKSECSRSLFGGYSDYPNYMANTESFRAKARSQSAPKQRPQYEKSSSLRKASAHAFGPGSCAPVAQRTTASLHSKFTNKAYPGSGRLDRLGMPVKY >ORUFI04G23760.1 pep chromosome:OR_W1943:4:24235606:24239445:-1 gene:ORUFI04G23760 transcript:ORUFI04G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYLQLASLRLATTIPLAPRLYDANLLAASGAAMASSMAYIALLCAALAAVVALLRWAYRWSHPRSNGRLPPGSLGLPVIGETLQFFAPNPTCDLSPFVKERIKRYGSIFKTSVVGRPVVVSADPEMNYYVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKTLVLRLYGQENLKSVLLAETDAACRGSLASWASQPSVELKEGISTMIFDLTAKKLIGYDPSKPSQVNLRKNFGAFICGLISFPLNIPGTAYHECMEGRKNAMKVLRGMMKERMAEPERPCEDFFDHVIQELRREKPLLTETIALDLMFVLLFASFETTALALTIGVKLLTENPKVVDALREEHEAIIRNRKDPNSGVTWAEYKSMTFTSQVIMEIVRLANIVPGIFRKALQDVEIKGYTIPAGWGIMVCPPAVHLNPEIYEDPLAFNPWRWQGKPEITGGTKHFMAFGGGLRFCVGTDLSKVLMATFIHSLVTKYSWRTVKGGNIVRTPGLSFPDGFHIQLFPKN >ORUFI04G23770.1 pep chromosome:OR_W1943:4:24247874:24249115:1 gene:ORUFI04G23770 transcript:ORUFI04G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYFPDLCFDRMAQADKTIRHQSPSDIVSSLAAVPDLRPSMENAHAEGDVAVELPDGFALILAIVFLHVMTIKDNNYITNRKTILVSTTELHKLSSR >ORUFI04G23780.1 pep chromosome:OR_W1943:4:24251254:24252674:-1 gene:ORUFI04G23780 transcript:ORUFI04G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETPVALEAVVDAVEQFQFVQLLVIMHPRDRLESILCILLLVSLFMTLCDAPIALGGEHFNFNKDQKEVWDDLGDLLASFSNLNLCCFNHY >ORUFI04G23790.1 pep chromosome:OR_W1943:4:24254983:24269618:-1 gene:ORUFI04G23790 transcript:ORUFI04G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNHGQSRDPPPPPALLSTTAHVDQQKQILPLAHIARVQMYAYVGLVGAAAALVLLLLLLRHQARRWRNPRCGGQLPPGSMGLPLVGETFQFFSSDASLDIPPFIRHRLARYGPIFKTSLVGHPVVVSADEELNHMVFQQEGQLFQSWYPDSFVEILGKDNVGEQQGAMFRYLKNMVLRYFGPESLKEGIIRDVERAVSSSLCTWSTLPAVELKEAVSTMVFDLAASKLLGLEPSRSKILRKSFFDFVRGLISFPLYLPGTAYYSCMQGRRRAMVVLEQVLEERKQSTGLQRGGEAQQHGDFLDYVIQEITKEKPVMTEKMALDLMFVLLFASFHTTSLALTLAVKLLADHPLVLEELTVEHETILKDREAGSELDRITWKEYKSMAFTSQVINETVRLANIAPVIFRKALKDIRFNGWGVMVCPPAVHLNPYIYPDPLTFIPSRFKDKPEINRGSKHFMAFGGGLRFCVGADFSKLQLAIFLHFLVTKYRWIPLGASRVVRTPGLEFPDGYRIKDMEKSELLLGSYSYAALCGVTLIIGWLAHWVYKWMNPPCIGRLPPGSMGFPIIGETFQFFRASPSIDMPSYYKQRLERYGPLFKTSLVGRPVIISLDPEVNRFIFQQEGKLFQSWYPETAINIFGKKSLTTYNGTIHKFIRGVAAKLFGLENLKESLLPELENSMRESFASWTGKPSVEVQDGVSDMIFDLVAKKLIGLDVTNSRELRKNFQDFFQGMVSFPIYFPGTSFYRSMQKGRRNVRNTLTDIMKERLSAPGKKYGDLVDLIVEELQSEKPMIDENFAIDALAALLFTSFATLSSTLTVAFKYLTDNPKVVEELKEEHGTILKKREGVNSGFTWEEYRSLKFSTQVMNEITRISNVTPGVFRKTLTDVQVKGYTIPSGWLVMISPMAVHLNPKLFEDPLKFDPWRWREEKRSSMLKNYMPFGGGVRLCLGAEFSKLFIALFLHILVTEYSWTEIEGGEVLRISEIMFPQGYHIQLVPQT >ORUFI04G23800.1 pep chromosome:OR_W1943:4:24272916:24276128:-1 gene:ORUFI04G23800 transcript:ORUFI04G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGATATKLHMPSAGGRRPSLFHLAAVAVLCTVSYLIGIWHHGGFSASPAGGVASSVSIATTASVSCVSPTPTLLGGGGGGGDSSSSAPLDFAAHHTAEGMEVASGQVHRTYEACPAKYSEYTPCEDVERSLRFPRDRLVYRERHCPSEGERLRCLVPAPQGYRNPFPWPTSRDVAWFANVPHKELTVEKAVQNWIRVEGEKFRFPGGGTMFPHGAGAYIDDIGKIIPLHDGSIRTALDTGCGVASWGAYLLSRNILAMSFAPRDSHEAQVQFALERGVPAMIGVLSSNRLTYPARAFDMAHCSRCLIPWQLYDGLYLAEVDRILRPGGYWILSGPPINWKKHWKGWQRTKEDLNAEQQAIEAVAKSLCWKKITLKEVGDIAIWQKPTNHIHCKASRKVVKSPPFCSNKNPDAAWYDKMEACITPLPEVSDIKEIAGGQLKKWPERLTAVPPRIASGSIEGVTDEMFVEDTKLWQKRVGHYKSVISQFGQKGRYRNLLDMNARFGGFAAALVDDPVWVMNMVPTVGNSTTLGVIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYKDRCQMDNILLEMDRILRPEGTVIIRDDVDMLVKIKSITDGMRWNSQIVDHEDGPLVREKLLLVVKTYWTLGEEKE >ORUFI04G23810.1 pep chromosome:OR_W1943:4:24287697:24290464:-1 gene:ORUFI04G23810 transcript:ORUFI04G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWRRSTASRARPPSLPGSSWATAAALSLPGAAAAAEAERRRVVYGSGSQRLWARGYAAKEVVFGVGARASLLKRCSRRHLPSLMSSPSAYDDTNPPKTKQDSNISHVENFGYSVLCRAMKTNLANPCASKPYSEQSFPGTPY >ORUFI04G23820.1 pep chromosome:OR_W1943:4:24292102:24295730:1 gene:ORUFI04G23820 transcript:ORUFI04G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMHDTTGKKEVVVCYMNAPLPYMIEENYGGCFFEDDVDLAQVLQDQEIVYQLIQGNYGTGSSKTHSNPSSSYSHGCELGERKPSGVASYEAQLVVDEALARELQQMEDQLASASIDDHNIIEHGRKPIASSTSSGGNASASRPPQVVMEDGIDPDNMTYEELQQLGEAIGTESKGLPEDAIALLPTSTYKIRIFSRKEKHDECVICCMTYKNRDRLTKLPCQHQYHQTCVTKWLKINKVCPVCNKEVYGSGK >ORUFI04G23830.1 pep chromosome:OR_W1943:4:24298882:24302571:1 gene:ORUFI04G23830 transcript:ORUFI04G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASSMMGPEGYGRGWGQTSLGDMPESCVAAVLLYLDPPEICKVARLNRAFRGAASADCVWAAKLPANYRYLAALAAAADDDSGGDGATEGNGSRCSSAAMIKKEIYARLCRPTPFDGGTKEFWMEKNKGGLCISISSKAMAITGIDDRRYWSHLSTEESRFHHVAYLQQIWWLEVAGEIDFCFPAGSYSLFFRLQLGRPHKYMGRRVYGCESIHGWNIKPTRFQLSTSDDQQATSQYYLNEPGNWILYHVGDFVVSSSDQLTNLKFSMMQIDCTHTKGGLCVDSVFIYPKGHRHEDCTICK >ORUFI04G23830.2 pep chromosome:OR_W1943:4:24299169:24302571:1 gene:ORUFI04G23830 transcript:ORUFI04G23830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASSMMGPEGYGRGWGQTSLGDMPESCVAAVLLYLDPPEICKVARLNRAFRGAASADCVWAAKLPANYRYLAALAAAADDDSGGDGATEGNGSRCSSAAMIKKEIYARLCRPTPFDGGTKEFWMEKNKGGLCISISSKAMAITGIDDRRYWSHLSTEESRFHHVAYLQQIWWLEVAGEIDFCFPAGSYSLFFRLQLGRPHKYMGRRVYGCESIHGWNIKPTRFQLSTSDDQQATSQYYLNEPGNWILYHVGDFVVSSSDQLTNLKFSMMQIDCTHTKGGLCVDSVFIYPKGHRHEDCTICK >ORUFI04G23840.1 pep chromosome:OR_W1943:4:24302732:24304888:-1 gene:ORUFI04G23840 transcript:ORUFI04G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIGPPSRGEAEAGGDLTSTAREAGQRQGGAGAVRASQPASPVYQYQPPAPGNGIGMACPVPGHPIIVFQRLQHSKAIIALPCIIAAKNAFKVHTSSVKTRLLIELSTESEQLQPLPPQDRQEDCRRQILSLYRALMEWWWKLCGTTAVGPPPEPLSSSSESINQSRGFPLDSPYTQAVTATSYLRERPSRRSDATSSYVDANKSDGASTQREVMMMLQGRRTMTQTTVTRKHMGPTWAPLTTSAKTGYYSTNFRGIKVDIVL >ORUFI04G23850.1 pep chromosome:OR_W1943:4:24320699:24322381:1 gene:ORUFI04G23850 transcript:ORUFI04G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCADDQTGCAFFAPLLSSKGAEVVILVAGDEAEEQQPAPVLTSKPPGRLAKAVNEAWSVSLGVAFPVTPSMFTCSARGEARSILGLAFPMILTGLLLYLRSMISMLFLGHLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGNYALLGVTMQRTVLLLIAAAIPIGGLWVQMRPLLLFCGQDAAIAAVAETYIFASLPDLVLQAFLHPVRIYLRTQSINLPLTVCAGLAIAIHLPINYVLVVVLGLGVKGVALASVLANLNLVLFLLAYIFLKGVHKRTGGFLLSAESFRGWGELISLALPSCVSVCLEWWWYEIMILLCGLLLNPQATVASMGILIQTTSLIYIFPSSLSFGVSTRVSNELGAGQPEEASRAATVGLVLGFGFGAFASAFAFLVRNVWASMFTADPAIVALTASVLPILGLCELGNCPQTTGCGVLRGSARPKDAASINLRSFYLVGTPVALVMAFWFHLDFRGLWFGLLAAQATCTVRMLLVIGRTDWAAEAKRSKQLTGAGAANMESDDRVAADEKSRLPVDTDVERSSDHTDRC >ORUFI04G23860.1 pep chromosome:OR_W1943:4:24334297:24337016:1 gene:ORUFI04G23860 transcript:ORUFI04G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVSAALGFGMTAAFVAFVCARFVCCRARRADARASRPHPSPVDFDADFPSDFDRPIEHSRSGLEPLAVAAIPTMKYNCEAFHSEDDTQCSICLSEYKEKDILRIVPICHHNFHLYCLDAWLLKQTTCPICRISLKELPDGKSTVSSARTMSQSPTLPESSVNPTSHFLPVHQEHRSHQDGPDMPESVEVVIEIRQ >ORUFI04G23870.1 pep chromosome:OR_W1943:4:24352823:24353224:-1 gene:ORUFI04G23870 transcript:ORUFI04G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGWRSKAVRRFRGRREMADGADALGGGSGARCRCGVSGSASEHKRPRSGGRAPLPGREKEKGAVERRARPFRFWEERGREHELCLAALDARGVGRRAREDDAGDDCKKDGDC >ORUFI04G23880.1 pep chromosome:OR_W1943:4:24357727:24358347:1 gene:ORUFI04G23880 transcript:ORUFI04G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHGPPPPRSSGKHPFYRGIRSRSGKWVSEIREPRKARRIWLGTFPTAEMAAVAYDVAARALRGPDAALNFPDLAASRTAPPASSSADDIRAAAAAAAASLQHDRAGGGIAPAASGSAHQQRGGSSAAARTTAGSGGAQQEGSSGAGAGSHQYFLDEEALFETPQFLRNMAAGMMMSPPRLSPSSSDDSPDPSEAGGSLWSYRDP >ORUFI04G23890.1 pep chromosome:OR_W1943:4:24364699:24365358:1 gene:ORUFI04G23890 transcript:ORUFI04G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAYYGSGYSSSGTPSPVGGDGDEDSYMTVSSAPPKRRAGRTKFKETRHPVYKGVRSRNPGRWVCEVREPHGKQRIWLGTFETAEMAARAHDVAAMALRGRAACLNFADSPRRLRVPPLGAGHEEIRRAAVEAAELFRPAPGQHNAAAEAAAAVAAQATAASAELFADFPCYPMDGLEFEMQGYLDMAQGMLIEPPPLAGQSTWAEEDYDCEVNLWSY >ORUFI04G23900.1 pep chromosome:OR_W1943:4:24371219:24373576:1 gene:ORUFI04G23900 transcript:ORUFI04G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGRARPLLMLKEWLELESSAELSRDGFGCYPRRQLAAELRGGGGGSGRRRNGAVIERVSAAVRAALLIRPSSSAREGGEAALSKSFSRRLGRGFWRKRRGEGDEVNSRVDSCSAAAVSGRDDGSSSAMSPRRRSWEGRHAGGVAGRQSHETQKQVASKMDCEATCHLDEELEQGQRRSPVSVMDFLSQDEEDDDGEVEDGNGNSEYDDVDDSIASPTFQQSLSNIRRVGQQLLQKIRQFEQLAELDASDVDDATLAKEDVVCHVADSDSMEDDTEEAFVQDLVDILEANSPGSTRCFQKLLVDFFYDGLPPWQGERLDGPDRAKLLLEIAKAWLDDQDFSSRFDGKAEVEEIERIGRWRCFKEVGQELLAVDLEGEIFWSLVAEMVGELG >ORUFI04G23910.1 pep chromosome:OR_W1943:4:24374431:24376798:1 gene:ORUFI04G23910 transcript:ORUFI04G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTPRPSPTATVAVPRRRRARSPPLPPCLSPAAAVTVLVYKGRLQGADCACRGIIRYLASITRYVVEIV >ORUFI04G23920.1 pep chromosome:OR_W1943:4:24378505:24391183:1 gene:ORUFI04G23920 transcript:ORUFI04G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSLEVNNPVAELNAIKFSLMTSSDMEKLSSATIIEMCDVTNAKLGLPNGAPQCATCGSRSIRDCDGKKKLTGKLLGHFGVIKLAATVHNSYFIEEVVQLLNQICPGCLTLKQNGDTKKADGTTIQGTCKYCSKDGSKLYPSIIFKMLTSPRVTLSRSKLHRNTSVMDKMSIIAEVAGGVAHKSKNKAPHETLPQDFWDFIPDDNQPPIFNVTKKILSPYQVFHMLKKLDPELINQDDRTKAYKRMVDLYSKKSDDESSASTDTYGTKWLKDIILSKRSDNAFRSIMVGDPKINLNEIGIPMGLALNLVVSEQVSSYNFETINLKCNLHLLTKEVLLVRRNGNLIFVRKANQLEIGDIAYRLLQDGDLVLVNRPPSVHQHSLIALSAKLLSTQSAVSINPLCCDPFKGDFDGDCLHGYIPQCLQSRIELEELVSLSGQLLNQQDGRSLVSLTHDSLAAAHQLTNADVFLEKAEFQQLQMLSSSISLTPMPSIFKSTNSQGPLWTGKQLFGMLLPYGMNISFDQKLHIKDSEVLTCSSGSFWLQNNTSSLFSVMFKEYGCKALEFLSSTQDVLCEFLTMWGLSVSLSDLYLFSDHYSRRKLSEEVHLALDEAEEAFQIKQILLNSVSIPNLKYYDGGDDRSNTDEQSGFTQVSLPIIRSSMTSFKSVFNDLLKMVQQYVSKDNSMMTMINSGSKGSVLKFVQQTACVGLQLPASKFPFRIPSQLSCVSWNRHKSLNCEITDGTSECVGGQDMYAVIRNSFLDGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDTYVAYDGTVRSSYGQQIVRFSYDTADGMYSDHDLEGEPGAPVGSWAACSISEAAYGALDHPVNSLEDSPLMNLQEVLKCHKGTNSLDHTGLLFLSKHLRKYRYGFEYASLEVKDHLERVDFSDMVDTETMKIKRLGLEFIVREIIDQYNTLRKQLNNAIPSVSISNSETLHLKMENKSGKLGKNLGTGNECVKNQTCCVTMVVQVEINSMSQLDVIKERVIPSILATLLKGFLEFKNVKVQCQEDNELVLKVGMSEHCKSGKFWATLQNACIPIMELIDWERSRPERVYDNFCSYGIDSAWKFFVESLRSTTDAIGRNIHRQHLLVVADCLSRPAHSFINAAKRDSVDNLSGTLDAIAWGKEPCAGSSGPFKILYSGKSHETKQNEHIYDFLHNPEVQALEKNVMDTYRKRTEKTSKRRSALNSEGNATINGGAISFNQKFLNAKVGIWENIIDMRTSLQNMLREYTLNEVVTEQDKSCLIEALKFHPRGYDKIGVGIREIKIGVNPGHPSSRCFIVLRNDDTTADFSYNKCVLGAANSISPELGSYIENRRSNRAVRPHQL >ORUFI04G23930.1 pep chromosome:OR_W1943:4:24393537:24398185:1 gene:ORUFI04G23930 transcript:ORUFI04G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDDEGGRHGRRRVRDRLGPTSPASSRFPCRYLHSELPEAPPERLRPSHRPSAAACGGGGGGGGNCVVSSTREKPCKFFLSGDCRYGDECRCYLHAGSINDGFSLLTPLRGHQKAVTAIVLPSGTHLLFSSSKDGTVRVSDYQTEQEPLLFVGIPDAVKIWDTGAEMSLSEPTGEYMHWRLAMGCSSLQCNYTTWRYCAEKDSFELASSLVGHHRIRAWDLAKLQWTHPFWSYRSYNALALLGSISIILFSGSNNQGLGCYGKLETGSLAVTYTHNEDHGALALAGMQDAQLNPILLWSTNYNIVHLYELPSFAERGKISFEAEVGAVKNGPGGLIFTSDEIGKLKLWKWTAERTSGVSN >ORUFI04G23930.10 pep chromosome:OR_W1943:4:24393435:24397108:1 gene:ORUFI04G23930 transcript:ORUFI04G23930.10 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAGSPAATSTANSPRRLPSDCDQAIDPARPLAAAAAAAAATAWCPVPARSPASSSSPVIAGTATNAAATSTPAPSTTGSRCSPRSADTRRNAFAVL >ORUFI04G23930.2 pep chromosome:OR_W1943:4:24393537:24398185:1 gene:ORUFI04G23930 transcript:ORUFI04G23930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDDEGGRHGRRRVRDRLGPTSPASSRFPCRYLHSELPEAPPERLRPSHRPSAAACGGGGGGGGNCVVSSTREKPCKFFLSGDCRYGDECRCYLHAGSINDGFSLLTPLRGHQKAVTAIVLPSGTHLLFSSSKDGTVRVSDYQTEQEPLLFVGIPDAVKIWDTGAEMSLSEPTGEYMHWRLAMGCSSLQCNYTTWRYCAEKDSFELASSLVGHHRIRAWDLAKLQWTHPFWSYRSYNALALLGSISIILFSGSNNQGLGCYGKLETGSLAVTYTHNEDHGALALAGMQDAQLNPILLWSTNYNIVHLYELPSFAERGKISFEAEVGAVKNGPGGLIFTSDEIGKLKLWKWTAERTSGVSN >ORUFI04G23930.3 pep chromosome:OR_W1943:4:24393537:24398185:1 gene:ORUFI04G23930 transcript:ORUFI04G23930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDDEGGRHGRRRVRDRLGPTSPASSRFPCRYLHSELPEAPPERLRPSHRPSAAACGGGGGGGGNCVVSSTREKPCKFFLSGDCRYGDECRCYLHAGSINDGFSLLTPLRGHQKEPLLFVGIPDAVKIWDTGAEMSLSEPTGEYMHWRLAMGCSSLQCNYTTWRYCAEKDSFELASSLVGHHRIRAWDLAKLQWTHPFWSYRSYNALALLGSISIILFSGSNNQGLGCYGKLETGSLAVTYTHNEDHGALALAGMQDAQLNPILLWSTNYNIVHLYELPSFAERGKISFEAEVGAVKNGPGGLIFTSDEIGKLKLWKWTAERTSGVSN >ORUFI04G23930.4 pep chromosome:OR_W1943:4:24393537:24398185:1 gene:ORUFI04G23930 transcript:ORUFI04G23930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDDEGGRHGRRRVRDRLGPTSPASSRFPCRYLHSELPEAPPERLRPSHRPSAAACGGGGGGGGNCVVSSTREKPCKFFLSGDCRYGDECRCYLHAGSINDGFSLLTPLRGHQKAVTAIVLPSGTHLLFSSSKDGTVRVSDYQTEQEPLLFVGIPDAVKIWDTGAEMSLSEPTGEYMHWRLAMGCSSLQCNYTTWRYCAEKDSFELASSLVGHHRIRAWDLAKLQWTHPFWSYRSYNALALLGSISIILFSGSNNQGLGCYGKLETGSLAVTYTHNEDHGALALAGMQDAQLNPILLWSTNYNIVHLYELPSFAERGKISFEAEVGAVKNGPGGLIFTSDEIGKLKLWKWTAERTSGVSN >ORUFI04G23930.5 pep chromosome:OR_W1943:4:24393537:24398185:1 gene:ORUFI04G23930 transcript:ORUFI04G23930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDDEGGRHGRRRVRDRLGPTSPASSRFPCRYLHSELPEAPPERLRPSHRPSAAACGGGGGGGGNCVVSSTREKPCKFFLSGDCRYGDECRCYLHAGSINDGFSLLTPLRGHQKEPLLFVGIPDAVKIWDTGAEMSLSEPTGEYMHWRLAMGCSSLQCNYTTWRYCAEKDSFELASSLVGHHRIRAWDLAKLQWTHPFWSYRSYNALALLGSISIILFSGSNNQGLGCYGKLETGSLAVTYTHNEDHGALALAGMQDAQLNPILLWSTNYNIVHLYELPSFAERGKISFEAEVGAVKNGPGGLIFTSDEIGKLKLWKWTAERTSGVSN >ORUFI04G23930.6 pep chromosome:OR_W1943:4:24393537:24398185:1 gene:ORUFI04G23930 transcript:ORUFI04G23930.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDDEGGRHGRRRVRDRLGPTSPASSRFPCRYLHSELPEAPPERLRPSHRPSAAACGGGGGGGGNCVVSSTREKPCKFFLSGDCRYGDECRCYLHAGSINDGFSLLTPLRGHQKQGRNGARLGLSNGAGAEMSLSEPTGEYMHWRLAMGCSSLQCNYTTWRYCAEKDSFELASSLVGHHRIRAWDLAKLQWTHPFWSYRSYNALALLGSISIILFSGSNNQGLGCYGKLETGSLAVTYTHNEDHGALALAGMQDAQLNPILLWSTNYNIVHLYELPSFAERGKISFEAEVGAVKNGPGGLIFTSDEIGKLKLWKWTAERTSGVSN >ORUFI04G23930.7 pep chromosome:OR_W1943:4:24393537:24398099:1 gene:ORUFI04G23930 transcript:ORUFI04G23930.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDDEGGRHGRRRVRDRLGPTSPASSRFPCRYLHSELPEAPPERLRPSHRPSAAACGGGGGGGGNCVVSSTREKPCKFFLSGDCRYGDECRCYLHAGSINDGFSLLTPLRGHQKEPLLFVGIPDAVKIWDTGAEMSLSEPTGEYMHWRLAMGCSSLQCNYTTWRYCAEKDSFELASSLVGHHRIRAWDLAKLQWTHPFWSYRSYNALALLGSISIILFSGSNNQGLGCYGKLETGSLAVTYTHNEDHGALALAGMQDAQLNPILLWSTNYNIVHLYELPSFAERGKISFEAEVGAVKNGPGGLIFTSDEIGKLKLWKWTAERTSGVSN >ORUFI04G23930.8 pep chromosome:OR_W1943:4:24393537:24398185:1 gene:ORUFI04G23930 transcript:ORUFI04G23930.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDDEGGRHGRRRVRDRLGPTSPASSRFPCRYLHSELPEAPPERLRPSHRPSAAACGGGGGGGGNCVVSSTREKPCKFFLSGDCRYGDECRCYLHAGSINDGFSLLTPLRGHQKEPLLFVGIPDAVKIWDTGAEMSLSEPTGEYMHWRLAMGCSSLQCNYTTWRYCAEKDSFELASSLVGHHRIRAWDLAKLQWTHPFWSYRSYNALALLGSISIILFSGSNNQGLGCYGKLETGSLAVTYTHNEDHGALALAGMQDAQLNPILLWSTNYNIVHLYELPSFAERGKISFEAEVGAVKNGPGGLIFTSDEIGKLKLWKWTAERTSGVSN >ORUFI04G23930.9 pep chromosome:OR_W1943:4:24393435:24398099:1 gene:ORUFI04G23930 transcript:ORUFI04G23930.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQVRKAVFLNRETFGSQFALAISRIPYSVVEEYTSTGLEELFADVGTWKKQRKNKHLNQQQMYDYQAMTLRPIQGQQTGTCTSDHLNKKVAVCSNLGMEINRNELGYTHLLLASRLPLDVGAYLERTCNGVSSKRFVGNHFTAHIPLRESSADRRPRENKRRERKSKAAMPVSTLVTVLHITYSAIPS >ORUFI04G23940.1 pep chromosome:OR_W1943:4:24398417:24399734:1 gene:ORUFI04G23940 transcript:ORUFI04G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATATTRTSLRRAELCAAAASAADAASWCFAVALVALVLLCALRAEAVEVHGPRLGGAAARPCEEVYVVGEGETLHTISDKCGDPFIVERNPHIHDPDDTIQCFNYGWR >ORUFI04G23950.1 pep chromosome:OR_W1943:4:24408071:24414641:1 gene:ORUFI04G23950 transcript:ORUFI04G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLMADQVEEWKGYYINYKLMKKMLKQYVQQTQLGGKDREQVLKEFSRILDEQIERIVLFLLQQQGHLANRIEELGEQRAALLEQHDISQVFQLREAYREVGRDLIKLLRFVDMNATGIRKILKKFDKRFGYRFTDYYVTTRANHPYSQLQQVFKQVGIVAVVGALSRNLAYLQDHEGSVLSIYDHPSVTLKDPIIDQVNHAVQKLTHATSFLQFLGQHALIIQEDVQSGSEDLVDDQSYHFMSLILNLVNTFLYMVNTYIIVPTADDYAVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNRSYFRPLVFSSIMLFAGNLLYALAYDLNSLTVLLIGRLLCGLGSARAVNRRYISDCVPLKIRLQASAGFVSASALGMACGPALAGLLQTRFKIYSLTFDQSTLPGWVMCIAWLVYLLWLWISFKEPGHFAKSSDTAQPAESGHQVNANLEEGLAQPLLTGSEEGQDQNAEDNDDNEEESKNSHGPATSISSAYKLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFNWSTSAVAIFLAILGCTVLPVNAIVGSYITNLFEDRQILVASEIMVLIGIIMSFRYTPHYSVPQYVLSALITFVFAEVLEGVNLSLLSRVMSSRLARGTYNGGLLSTEAGTLARVVADATITAAGYLGPDLLLNITLLPPLVICIASLVATFCTYNTLY >ORUFI04G23950.2 pep chromosome:OR_W1943:4:24408071:24414641:1 gene:ORUFI04G23950 transcript:ORUFI04G23950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLMADQVEEWKGYYINYKLMKKMLKQYVQQTQLGGKDREQVLKEFSRILDEQIERIVLFLLQQQGHLANRIEELGEQRAALLEQHDISQVFQLREAYREVGRDLIKLLRFVDMNATGIRKILKKFDKRFGYRFTDYYVTTRANHPYSQLQQVFKQVGIVAVVGALSRNLAYLQDHEGSVLSIYDHPSVTLKDPIIDQVNHAVQKLTHATSFLQFLGQHALIIQEDVQSGSEDLVDDQSYHFMSLILNLVNTFLYMVNTYIIVPTADDYAVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNRSYFRPLVFSSIMLFAGNLLYALAYDLNSLTVLLIGRLLCGLGSARAVNRRYISDCVPLKIRLQASAGFVSASALGMACGPALAGLLQTRFKIYSLTFDQSTLPGWVMCIAWLVYLLWLWISFKEPGHFAKSSDTAQPAESGHQVNANLEEGLAQPLLTGSEEGQDQNAEDNDDNEEESKNSHGPATSISSAYKLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFNWSTSAVAIFLAILGCTVLPVNAIVGSYITNLFEDRQILVASEIMVLIGIIMSFRYTPHYSVPQYVLSALITFVFAEVLEGVNLSLLSRVMSSRLARGTYNGGLLSTEAGTLARVVADATITAAGYLGPDLLLNITLLPPLVICIASLVATFCTYNTLY >ORUFI04G23950.3 pep chromosome:OR_W1943:4:24408391:24414641:1 gene:ORUFI04G23950 transcript:ORUFI04G23950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRRRAVALASVSLATPEDSGIRIHRGVREKRWIELRITWYHLFTVLNDKMVNFGKKLMADQVEEWKGYYINYKLMKKMLKQYVQQTQLGGKDREQVLKEFSRILDEQIERIVLFLLQQQGHLANRIEELGEQRAALLEQHDISQVFQLREAYREVGRDLIKLLRFVDMNATGIRKILKKFDKRFGYRFTDYYVTTRANHPYSQLQQVFKQVGIVAVVGALSRNLAYLQDHEGSVLSIYDHPSVTLKDPIIDQVNHAVQKLTHATSFLQFLGQHALIIQEDVQSGSEDLVDDQSYHFMSLILNLVNTFLYMVNTYIIVPTADDYAVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNRSYFRPLVFSSIMLFAGNLLYALAYDLNSLTVLLIGRLLCGLGSARAVNRRYISDCVPLKIRLQASAGFVSASALGMACGPALAGLLQTRFKIYSLTFDQSTLPGWVMCIAWLVYLLWLWISFKEPGHFAKSSDTAQPAESGHQVNANLEEGLAQPLLTGSEEGQDQNAEDNDDNEEESKNSHGPATSISSAYKLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFNWSTSAVAIFLAILGCTVLPVNAIVGSYITNLFEDRQILVASEIMVLIGIIMSFRYTPHYSVPQYVLSALITFVFAEVLEGVNLSLLSRVMSSRLARGTYNGGLLSTEAGTLARVVADATITAAGYLGPDLLLNITLLPPLVICIASLVATFCTYNTLY >ORUFI04G23950.4 pep chromosome:OR_W1943:4:24408784:24414641:1 gene:ORUFI04G23950 transcript:ORUFI04G23950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVGNVTVRKSSKKLMPNEGEVLNDKMVNFGKKLMADQVEEWKGYYINYKLMKKMLKQYVQQTQLGGKDREQVLKEFSRILDEQIERIVLFLLQQQGHLANRIEELGEQRAALLEQHDISQVFQLREAYREVGRDLIKLLRFVDMNATGIRKILKKFDKRFGYRFTDYYVTTRANHPYSQLQQVFKQVGIVAVVGALSRNLAYLQDHEGSVLSIYDHPSVTLKDPIIDQVNHAVQKLTHATSFLQFLGQHALIIQEDVQSGSEDLVDDQSYHFMSLILNLVNTFLYMVNTYIIVPTADDYAVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNRSYFRPLVFSSIMLFAGNLLYALAYDLNSLTVLLIGRLLCGLGSARAVNRRYISDCVPLKIRLQASAGFVSASALGMACGPALAGLLQTRFKIYSLTFDQSTLPGWVMCIAWLVYLLWLWISFKEPGHFAKSSDTAQPAESGHQVNANLEEGLAQPLLTGSEEGQDQNAEDNDDNEEESKNSHGPATSISSAYKLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFNWSTSAVAIFLAILGCTVLPVNAIVGSYITNLFEDRQILVASEIMVLIGIIMSFRYTPHYSVPQYVLSALITFVFAEVLEGVNLSLLSRVMSSRLARGTYNGGLLSTEAGTLARVVADATITAAGYLGPDLLLNITLLPPLVICIASLVATFCTYNTLY >ORUFI04G23960.1 pep chromosome:OR_W1943:4:24415822:24418238:1 gene:ORUFI04G23960 transcript:ORUFI04G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDMDTTRALAILAATSFVAMLACVQAAGDESYTFMKDAVQSPQVSYYDYIIVGGGTAGCPLAATLSQRFRVLLLERGGSPYDDERIGNMTRFADTLSDTSPSSPAQRFVSEDGVINSRPRVLGGGSCINAGFYTRASDEYVRGLGWDLEATTAAYRWVEDVVAFQPELGPWQSALERGLLEAGIAPQNGFTFDHLGGTKVGGSIFDAEGRRHTAADLLRYARTDGIDVLLRARVAKILFNVRAGRRPVAHGVVFHDSEGQMHRAYLSNGRGNEIILSAGAMGSPQLLMLSGVGPADHLRSFGITLVLNQPAVGQGMSDNPMNAIYVPSPSPVEVSLIQVVGITEVGSYIEGASGANWGVRRSGSGGDRPHRNFGMFSPQTGQLATVPPKQRTPEAIARAAEAMSQLDDTAFRGGFILEKILGPLSTGHLELRNRNPDDNPSVTFNYFAHPEDLRRCVAGVSVIERVIRSEAFANFTYPYFSVETLLNMTAGFPVNLRPRHDNDSTSLEQFCKDTVMTIWHYHGGCQVNRVVDAEYRVIGVDALRVIDGSTFNASPGTNPQATVMMLGRYMGVKIQNERLGNEGLGRRNL >ORUFI04G23970.1 pep chromosome:OR_W1943:4:24420076:24427436:1 gene:ORUFI04G23970 transcript:ORUFI04G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFLRALTAASAVPAAAAVAAVALSTNSSSSSRLRLPSPASLPSLSSAYAAAPASGSARKPNAVPPMAAAAAAAATADLSAAADKGAALPELMTEFMVDMKCDGCVTAVKNKFQTLEGIKNIEVDLNNQVVRVLGSLPVNTMLDTLHQTGRDARLIGQGNPNDFLVSAAVAEFKGPVIFGVVRLAQVNMELAIVEATFSGLSPGKHGWSINEFGDLTRGAESTGKVYNPSDYRSNKPLGDLGTLETGEKGEAQFSASKEKLKVVDLIGRSIALYATEDRSDPGIAAAVIARSAGVGENYKKLCTCDGVTIWESS >ORUFI04G23980.1 pep chromosome:OR_W1943:4:24424767:24427097:-1 gene:ORUFI04G23980 transcript:ORUFI04G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFCKARWKVPALCLVTVLLQASLSACAPTPKTYIVQMAASEMPSSFDFYHEWYASTVKSVSSSQLEDEEDDASTRIIYNYETAFHGFAAQLDEEEAELMAEADGVLAVIPETVLQLHTTRSPDFLGIGPEVSNRIWSDSLADHDVVVGVLDTGIWPESPSFSDKGLGPVPAKWKGLCQTGRGFTTANCNRKIVGARIFYNGYEASSGPINETTELKSPRDQDGHGTHTAATAAGSPVQDANLFGYAGGVARGMAPRARVAAYKVCWAGGCFSSDILAAVDRAVSDGVDVLSISLGGGASRYYLDSLSIASFGAMQMGVFVACSAGNAGPDPISLTNLSPWITTVGASTMDRDFPATVTLGNGANITGVSLYKGLRNLSPQEQYPVVYLGGNSSMPDPRSLCLEGTLQPHDVSGKIVICDRGISPRVQKGQVVKEAGGIGMILANTAANGEELVADSHLLPAVAVGEAEGIAAKSYSKSAPKPTATLSFGGTKLGIRPSPVVAAFSSRGPNILTLEILKPDVVAPGVNILAAWSGDASPSSLSSDSRRVGFNILSGTSMSCPHVAGVAALIKASHPDWSPAQIKSALMTTAYVHDNTYRPMKDAATGKASTPFEHGAGHIHPVRALTPGLVYDIGQADYLEFLCTQHMTPMQLRTFTKNSNMTCRHTFSSASDLNYPAISVVFADQPSKALTVRRTVTNVGPPSSTYHVKVTKFKGADVVVEPNTLHFVSTNQKLSYKVTVTTKAAQKAPEFGALSWSDGVHIVRSPVVLTWLPPQ >ORUFI04G23990.1 pep chromosome:OR_W1943:4:24435763:24436754:-1 gene:ORUFI04G23990 transcript:ORUFI04G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPVSLSKPEMVELSLARRGTPTPTRQRRTRALARRRAARGASRAILRLLAVGRCGGDIVLAATGVGVVPGRRHRASRHWRRSGDVAWAAIRAASMTSAAPLRPRDFKLVRRIGSGDIIGMVYLCHLRSSPASAAERESPCLWWTGGGGEEAEARARGGGEVNPAAARPPLPPHPLPRLQRHASRRYELLYGRTPFANATNEATLRNIVRRPLAFPSGSGSCGPPTPTRATSSPASSPRTLPPASTLATAPPTSTSPSSVHRARPSSWRQRRATAPVAVVQGGADNADAAAATADEAGLPRI >ORUFI04G24000.1 pep chromosome:OR_W1943:4:24438140:24440568:1 gene:ORUFI04G24000 transcript:ORUFI04G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLSHPAMVALSLLLLVALYLARRAVLGKKRRYPPVAGTMFHQLLNFGRLLEYHTELSRKYRTFRMLTPTCNYVYTVEPANVEHILKTNFANYGKGPMTHDVLEDLLGDGIFNVDGGMWRQQRKVASLEFSTRVLRDYSSAVFRDTAAELAGILERGPAAKGRERVDMQDLLMRATLDSFFRVGFGVNLGVLSGSSKEGLVFARAFDDASEQVLFRFFDLLWKVKRFLNISSEATMKQSIRTINDFVYSIIDRKIEQMSREQHEFAKKEDILSRFLLEREKDPGCFDNKYIRDIILNFVIAGRDTTAGTLSWFLYAVCKNQRVQDKIAREVRDATTGDRDVGVQDFSSFLTEDAINKMQYLHAALTETLRLYPGVPLDVKYCFSDDTLPDGHAVKKGDMVNYQPYPMGRMKFLWGDDAEEFKPERWLDDSGMFVAESPFKFTAFQAGPRICLGKEFAYRQMKIVSAVLLYFFRFEMWDDDATVGYRPMLTLKMDGPFYLRALAR >ORUFI04G24010.1 pep chromosome:OR_W1943:4:24444325:24444864:-1 gene:ORUFI04G24010 transcript:ORUFI04G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFFLLYPSSPLFSLLPLPPSSCVLAVLVAASDVGMVLQCRSHFVGIESSPPSAESNCLCTAKLPRDGLRTSEKETKPAVLTSTAMVMAKVVTSEKLCGACSRRRRWTPTAGGGRLLSHASEKKLGWRPAASGQRGPHRRGPRGDGSGKLNPWAVELQDGRRRQDKVVFSGGADRL >ORUFI04G24020.1 pep chromosome:OR_W1943:4:24446885:24453636:1 gene:ORUFI04G24020 transcript:ORUFI04G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPDPANPAARRPRLVVLLLVAFFALQLLVFLAFRGAPSPSSPDAAVDRVPVSARRDGEDSGCVGGLVYVYDLPPVFNEDLLALCEVLAPMYSLCPYLANDGLGFPAKGGNQSEFPPAELVGSWYSSDQFALEHIVHRRLLSHRCRTTDPARATAFFVPFYAGLAVGRHLWATNATDADRDRDCLALLSWLHAQPYYKRSNGWDHFIALGRITWDFRRSPDGGWGGSFLLMPGLANTTRLVIERDPWDAMDVGIPYPTSFHPRTAADVRAWQQYASSRSRPKLFAFAGAPRSAIKGDFRGLLLEECQAAGDACGALDCGEGRCIKQNELVMELFLGARFCLQPRGDSFTRRSLFDCMVGGAVPVLFWRRSAYRQYGWYVPVGNSQEEEWSVFIDRDELRAGNVTVRGVLAAIPEAKVREMRNRVVEMIPKLVYSAADKEGLGDGMKDAVDVMIDGMLRRAAEQRRSWRKPFLSRARLILSSSTTPLLLSAPLLLSRREATAPAVAASSSWWRRRRLASFPSLSIPRRASFSFLATTPTGSRAEEASPAAAAGAVAEARPRDASTAATSPRETSSSRRHREEEQAGPAADLADAALVGARRSGERFEAAARRRRSPREGTGATVPLPKKRHGGGGVVEEAARPPPRLPRRRGAASSLSTAPLILTPPAPPQSAPSSIDAAADGSAFPDGGGGEGGGNRRRRGRSGGISSKSTGRRGNDSHIARASRRFLRASPFPGEYDFGPFQYPVCTGLQAYEIKLLFLGLKPTTPFDPNL >ORUFI04G24030.1 pep chromosome:OR_W1943:4:24456234:24457529:-1 gene:ORUFI04G24030 transcript:ORUFI04G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDRRLLFLLAASLAVAAVSSHNITDILDGYPEYSLYNSYLSQTKVCDEINSRSTVTCLVLTNGAMSSLVSNLSLADIKNALRLLTLLDYYDTKKLHSLSDGSELTTTLYQTTGDASGNMGHVNITNLRGGKVGFASAAPGSKFQATYTKSVKQEPYNLSVLEVSDPITFPGLFDSPSAASTNLTALLEKAGCKQFARLIVSSGVMKMYQAAMDKALTLFAPNDDAFQAKGLPDLSKLTSAELVTLLQYHALPQYAPKASLKTIKGHIQTLASTGAGKYDLSVVTKGDDVSMDTGMDKSRVASTVLDDTPTVIHTVDSVLLPPELFGGAPSPAPAPGPASDVPAASPAPEGSSPAPSPKAAGKKKKKGKSPSHSPPAPPADTPDMSPADAPAGEEAADKAEKKNGATAAATSVAATVASAAALLAASFL >ORUFI04G24040.1 pep chromosome:OR_W1943:4:24465190:24468833:1 gene:ORUFI04G24040 transcript:ORUFI04G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPSLLRLLPPPALLRLPPPAPFTSVTAAATSATAAASSASASVTDRRLVRDRPLLLVRASSPPGLAAARLCLASPLRAHSLGEYASLILHREEEANQAFGSASCNCLFVLEYDNIDYILFIMSSTYSEKATRRPLRSCGAVLRTPLKSAIVAQPWCSVDGGMQPRDLRHSSEEASGDDGAGEAVSRCRREGVVAEPRRSLRGDQVGMWELQAVASTRSYYFLVAFAASALAPAGAGDDGQHVASRRTRTIAPSGAAMYSRDKYRGESAMAAWQEAYRAGPGWRGTCFC >ORUFI04G24050.1 pep chromosome:OR_W1943:4:24467869:24468114:-1 gene:ORUFI04G24050 transcript:ORUFI04G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDWNYLRGSATTPSLRQRDTASPAPSSPLASSLLWRRSRGCIPPSTLHHGCATIADLRGVRNTAPQLLNGLLVAFSE >ORUFI04G24060.1 pep chromosome:OR_W1943:4:24469325:24471126:1 gene:ORUFI04G24060 transcript:ORUFI04G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEGRQVYLPPPPPSKLPRLSGTDPTDGVVTMAAPSPLVLGLGLGLGGSGSDSSGSDAEASAATVREARPPSALTFMQRQELEQQVLIYRYFAAGAPVPVHLVLPIWKSIAAASSFGPQSFPSLTGLGSLCFDYRSSMEPEPGRCRRTDGKKWRCSRDVVPGHKYCERHVHRGRGRSRKPMEASAAVAPTYLPVRPALHTVATLATSAPSLSHLGFSSASKVLLAHTTTGTTRAT >ORUFI04G24070.1 pep chromosome:OR_W1943:4:24472299:24476771:1 gene:ORUFI04G24070 transcript:ORUFI04G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNLVYTQVLSLRRQISDPTARAVASGRPKRTRLLTYRTPRHETPETPSAAAASSSSYQMAAASPESRPPRSLFDLPADFFDSSSLLGSHPSSAPSAAEPSESIRPAAAPPLSQPSEAPGLRWTCNTCASEFESLQEQREHFKSDLHRLNVKLSIAGKTIIKEDDLDKADPDSLFDDLEVSSVSGSEDELENGPASDRGLSAKDKGEFRKKLYFRCHSGDTVSIWRCILLKEHEEPVFNSKSGQTESHGSTPFVQEDEMLNRVKNLTSEPRDASRLRIILLTSGGHFAGCVFDGNSVIAHKTFHRYVVRAKAGKRQSGKDATGKVAHSAGSSLRRYNEAALKKEIQELIASWKSYFDLCVCVFMYAPSKNRQMLFDGDKTQSVLQACDIRPIPLTVHRPTLKEAKRLYTNLTQLCYEMECLSTDEPHVEDVTSFEQSKEAKQKKIMDSEESISVSSLSLDLPNKHEGTSIHPSNNETTPLHEAAKSGNAQQTLELLEQGLDPCIKDARGKTPYLLASDKEVRNTFRRFMALNLDKWDWHAADVPSALTKEMEESQAAKQAEKDAKKKARAKELKKLKKAREKEKEKEKEKAQASQSQRTQSNVRGTSAGQMANATASMPGLKQKHQLPQPTALSKEVRNDKGNSPRKERKEQPQPKEDLRLWRHNQVAHQGQQQQNIPHREQPRQTILLVRAVFLPWSAKYHSTGTITSTAAPHVCIFIQKCWKMIEEIRKLYDERHEI >ORUFI04G24080.1 pep chromosome:OR_W1943:4:24477205:24479513:1 gene:ORUFI04G24080 transcript:ORUFI04G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPSSSSSSTGGHHTVDIRAAQAQPEDARQSAMSGPINIRGERRAPAEERGGMDKVGKNGGRGQQRALPRSGKSLGVLNHTGALGQAAAGDGAARRGDFSMFRTKSTLSKQNSLLPSRIREPDLELPPHVEGPSVGRQGGEDPLNKSVPAGRYFAALRGPELDEVRDYEDILLPKDEVWPFLLRFPVGCFGVCLGLGSQAILWGALAASPAMRFLHVTPMINVALWLLALAVLVAVSVTYALKCVFYFEAIRREYFHPVRVNFFFAPSIAAMFLTIGLPRAVAPERLHPAVWCAFVAPLFGLELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAARVGWAEAGKFLWAIGVAHYIVVFVTLYQRLPTNEALPKELHPVYSMFIATPSAASLAWAAIYGSFDAVARTFFFMALFLYMSLVVRINFFRGFRFSIAWWSYTFPMTTASLATVKYAEAEPCFTSRALALSLSLMSTTMVSLLLVSTLLHAFVWRSLFPNDLAIAITKDRQNGAFKPHGKGRKAGKRVYDIKRWAKQAPLSLVSSITKSNSADKEEEEKTE >ORUFI04G24090.1 pep chromosome:OR_W1943:4:24479455:24484009:-1 gene:ORUFI04G24090 transcript:ORUFI04G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLSSASAPERWPPKYLARFWQSFLPYELNERNLCKEDEMRHRFHMQHSDTDKYSYRISRGKFSVTAISLDDYLPMRSSEVKNRTSTGDITSLRVITAVKTPYLPDGRFDLEAYDSLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTKIKVVGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSVEGLISHFEAVLPMGPTIIYNVPSRTGQDIPPAVIEAVSSFSNMAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLIPGLMRKLMYEGENTALNDKLLPLMKWLFCQPNPIALNTALAQLGVARPVFRLPYVPLPLEKRVEFVRIVESIGRENFVGQKEARVLDDDDFVLISRY >ORUFI04G24100.1 pep chromosome:OR_W1943:4:24479534:24498797:1 gene:ORUFI04G24100 transcript:ORUFI04G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTIRTNSTLFSRGRGKNDCQNLAKYFGGHLSGAEAEESGKTIGALSNLEGVGGGGAVLLEAHEEPNKDGKPNEERNCALMKSPTKMESPTKNAIARLRGNT >ORUFI04G24110.1 pep chromosome:OR_W1943:4:24501599:24504715:1 gene:ORUFI04G24110 transcript:ORUFI04G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRSGKLRMLMLSALLVLFCGALNARASTVNETSVDLRSLLDFKAEIKDDPTGALLAWNDSLHYCKWTGVNCSATHPGRVTVLNLLGLNLEGQITPSLGNLTFLRNLILGSNRFSGRFPPLNRLRRLQILALGSNSFQGNIPDALTNCSQLVVLDLSSNNFVGVIPPNIGFLSNLQALDLSANNLTGVIPPSLNNITQLQEISLATNSLGGRIPEGLGQLPSMTMILLGQNNLSGRIPASLFNLSYINTLGLDTNMLSGTLSSNIGDTLPRLQLLLLGANMLEGNIPASLGNVSELARIDLSSNSFVGQVPSSFGNLRKLYYLNLDHNKIEAGDNQSWEFLGAMSNCPLQFLSLYGNQLHGDLPSSVGNLSIMLRHLDLGANHLSGIVPPGIGKYPDLSSLGLSYNNLTGTIEKWIGSLRKLQGLYLEGNNFIGSIPYSIGNLTRLTLLSVSKNQFDGFMPSSLGNFPQLTKLDLSYNNFQGNIPMQVSNLKQLTELRLSSNKITGEIPNNLDRCDNLITIQLDQNMLTGEIPSSLGKLSGLQSLNFSHNNLSGTIPIALSDLQFLRMLDLSYNHLQGQIPRNGVFENPAALLLDGNWGLCGGAPSLHRTSCYHGSQKLKIQYYLVRILIPIFGFMSLVAIIYFILTEKKMRRKNTTLPPFGKQFLKVSYKDLDEATENFSESNLIGRGSYGSVYKGKLSQNKMEVAVKVFDLEMHGAERSFLVECEAVRNIQHRNLLPIITACSTADNNGNAFKALVYEFMPNGNLDSWLHHKGDEKTKEHLNLTKRISIVLDIADVLDYLHNSIGKPIIHYDLKPSNILLDCDMTAYLGDFGIARFILDSRLKSRGDSSSVGLRGTIGYIAPEYAGGGHPSTCGDVYSFGIVLLEIFTGKRPTDSMFGNELNIVNFVKKNFPDQIWNVIDIPLEQECKAFTAGNMTANVMYQCLSSLLEVALSCTRELPTERISMREAANRMHEINASYVRGKGPYAPQ >ORUFI04G24120.1 pep chromosome:OR_W1943:4:24509157:24509471:1 gene:ORUFI04G24120 transcript:ORUFI04G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSRWSKGWVEKLAAADDGDDVDSGGAASLARREEEGGCARIEEERGSGWDSWSSSRQARRQRDSWGGVIAAGICAALRLCHRVGAKSVESLIADEWTDKIR >ORUFI04G24130.1 pep chromosome:OR_W1943:4:24552836:24553291:1 gene:ORUFI04G24130 transcript:ORUFI04G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWEMPSSSATARRCLRWISGPALRLLYRPNGSQHPFALSVCTSLGPLGSPTRAPFAISTEFNLLCSNLPVFSLLFKPASATSRSPNAMTTMATGRGKCGRRGEERLWGQRTVVRDVPHDLERAAVVEQGEPTVPVGAAGAAGAEGCPRG >ORUFI04G24140.1 pep chromosome:OR_W1943:4:24602290:24608110:1 gene:ORUFI04G24140 transcript:ORUFI04G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVAGWRRRGRHGSDSAGELADGGGVELGVYGSGGGGDGVMVVQGTRGWRWRRGWRQSRARRRHHWSLRGDVAVLGGGGTSRLDLEGGRLWWSATAGDLRWLATAVGDGSERNKSFLSASNQELYRLQQHSVIAAITHVLLHMRADTEQQAKVDTERPDIRPGLAGDPEHGKVSLGIVLEQLALIDSPHPELPLHGGDEREALEHGACERLERAGGVGGVEARDADVLLAGALLRLDEAGGAVDADDEVAGDLGIERAAVPGLVDAEEALDPRDDPAPI >ORUFI04G24150.1 pep chromosome:OR_W1943:4:24604139:24608728:-1 gene:ORUFI04G24150 transcript:ORUFI04G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKRCMCTHAGSPSTRLRTRGHRPKVTHASKSVAAPFPSHASLRNLHPLIAAYPSRPPRVRLDRRWVVAWIKRLLRVNKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCVARFHAADTARALEALTGAMFQRLPLISAVKRQLRVRTIYESKLLEHDAERHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELHRVRSGIHGEQDNMVTMHDVMDARINLHYHE >ORUFI04G24160.1 pep chromosome:OR_W1943:4:24617901:24622329:1 gene:ORUFI04G24160 transcript:ORUFI04G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVYEEARERWTWKNQVTEEGPYGFKILSQIRRQDHDCCTFSAMASTLEANVRVQHGRNDQFSIPHLQFIDAQSKISAPNTNETKVLRLLKSLKERDGGVYLDEDYDSEGNLRALDAKVCRVWKFNLYHVKDIIHLRSALHRLRRQGPLLAVIRISRNYDECRKSGHVYKYDPARICTYDDGKPKTHALCVVSFVTEKGTPCLECQDSHGTAWGIGGYLTVEIRSLKELYSVRVT >ORUFI04G24170.1 pep chromosome:OR_W1943:4:24639883:24640851:1 gene:ORUFI04G24170 transcript:ORUFI04G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHKAHHYRLSKPTKLPRVRTRCPPKSPQLCNRSRPQPWPKKPKRPAAHPNGSSDLRTQTEGV >ORUFI04G24180.1 pep chromosome:OR_W1943:4:24640906:24644331:1 gene:ORUFI04G24180 transcript:ORUFI04G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNPNLDLDGEGGAGAGASSGRSLLPKGSGRFRRSSARCSLPKGSDRFRRSLSPVNSRSLLEGRDVRDASARNAVSGTIARLHRAPQPADPFRATRIEFQVCPTCWRWEDANGCGGCPTCWRWEDAYGCGEYPTCWRWQDAYGCGGGNDNSSGCSSAFKSEKIIVIEMMADVGSPSSPGSPYSPSSPSSHRDAPSVRFSSRGIRNHKMPQCDIDRSDTEEDLYSSCEEDPYFNSLVDDFIGAATSTDLLASSPEIDYMAANQSQSLFYAESALKHYNNNDENKIKYELISAITSNAIIDRSGYGHVNFVAKGDLPDSVDEFFFAEVRWDIDSYVPVCMVSLEGKEKSGGYRDIEVDYPRGGFVGVPVDKNHCYACGDGLKHPEDGTLYESGHIASGSYYD >ORUFI04G24190.1 pep chromosome:OR_W1943:4:24644585:24645124:-1 gene:ORUFI04G24190 transcript:ORUFI04G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKTRSDDERTTKLVAAVGARSGDNIHCNEAHLVFFDVSHVGLADADYISGYLLNNRKYFIHIV >ORUFI04G24200.1 pep chromosome:OR_W1943:4:24646788:24651357:1 gene:ORUFI04G24200 transcript:ORUFI04G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEGWPALQPLLCLAWIATTLPIIVAALPIPAAAGGHLLRRLLSAFSSRGKTVRPSPASSSGSSSSKAKFTVPQKYFMHFYVVGVLATTILLLAIWFYAYMKMTPLLPESSSYSTIASHLVGSNSFSFGRVHSRTMGHKYHVWRTVFVLLLMEIQVLRRLYETEHVFHYSPSARMHIVGYLTGLFYYVAAPLSLASSCIPEAAEYLQGQVAEFIVKGRARMPDLVIDSSSLLQPLLKLGWTQWIGAVIFIWGSLHQIRCHAILGTLREHKDSDEYVIPCGDWFNRVSCPHYLAELVIYFGMLVASGGEDIPVWFLFVFVITNLSFAAVETHKWYLQKFEDYPRSRYAIIPFVC >ORUFI04G24210.1 pep chromosome:OR_W1943:4:24657538:24661011:1 gene:ORUFI04G24210 transcript:ORUFI04G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRLLFLLVMLLVVAAPGAPVFGANAPPEVKAEIDALLMFRSGLRDPYAAMSGWNASSPSAPCSWRGLACAAGTGRVVELALPKLRLSGAISPALSSLVYLEKLSLRSNSLSGTIPASLSRISSLRAVYLQYNSLSGPIPQSFLANLTNLQTFDVSGNLLSGPVPVSFPPSLKYLDLSSNAFSGTIPANVSASATSLQFLNLSFNRLRGTVPASLGNLQDLHYLWLDGNLLEGTIPSALSNCSALLHLSLQGNALRGILPPAVAAIPSLQILSVSRNRLTGAIPAAAFGGVGNSSLRIVQVGGNAFSQVDVPVSLGKDLQVVDLRANKLAGPFPSWLAGAGGLTVLDLSGNAFTGEVPPAVGQLTALQELRLGGNAFTGTVPAEIGRCGALQVLDLEDNRFSGEVPAALGGLRRLREVYLGGNSFSGQIPASLGNLSWLEALSTPGNRLTGDLPSELFVLGNLTFLDLSDNKLAGEIPPSIGNLAALQSLNLSGNSFSGRIPSNIGNLLNLRVLDLSGQKNLSGNLPAELFGLPQLQYVSLAGNSFSGDVPEGFSSLWSLRHLNLSVNSFTGSMPATYGYLPSLQVLSASHNRICGELPVELANCSNLTVLDLRSNQLTGPIPGDFARLGELEELDLSHNQLSRKIPPEISNCSSLVTLKLDDNHLGGEIPASLSNLSKLQTLDLSSNNLTGSIPASLAQIPGMLSLNVSHNELRGEIPAMLGSRFGTPSVFASNPNLCGPPLENECSAYRQHRRRQRLQRLALLIGVVAATVLLLVLFCCCCVYSLLRWRRRFIEKRDGVKKRRRSPGRGSGSSGTSTDSVSQPKLIMFNSRITYADTVEATRQFDEENVLSRGRHGLVFKACYNDGTVLAILRLPSTSSDGAVVIEEGSFRKEAESLGKVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLATLLQEASHQDGHILNWPMRHLIALGVSRGLAFLHQSGVVHGDVKPQNILFDADFEPHLSDFGLEPMVVTAGAAAAAAAASTSATTTVGSLGYVAPDAAAAGQATREGDVYSFGIVLLELLTGRRPGMFAGEDEDIVKWVKRQLQRGAVAELLEPGLLELDPESSEWEEFLLGIKVGLLCTAPDPLDRPAMGDVVFMLEGCRVGPDIPSSADPTSQPSPA >ORUFI04G24220.1 pep chromosome:OR_W1943:4:24664075:24668355:1 gene:ORUFI04G24220 transcript:ORUFI04G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYAGYGYHGSNFEQTYRCYPASFFDKPHLEGGDKVIMPPSALDRLASLHIEYPMLFELHNDATQRISHCGVLEFVAEEGMIIMPYWMMQNMLLQEGDTVRVKNTTLPKGAYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVETKPASAVSIIETDCEVDFAPPLDYKEPENPQQPSVPASEATAEDENAKVEDELKFRPFTGSGKRLDGKASKLQATEVPSASRSSPSDSNKRVNQETLAPASSGASNSTRQKSGKLVFGSSANNNKEPQKASVKDDESPKDEPKFQAFSGKSYSLKR >ORUFI04G24220.2 pep chromosome:OR_W1943:4:24664757:24668355:1 gene:ORUFI04G24220 transcript:ORUFI04G24220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYAGYGYHGSNFEQTYRCYPASFFDKPHLEGGDKVIMPPSALDRLASLHIEYPMLFELHNDATQRISHCGVLEFVAEEGMIIMPYWMMQNMLLQEGDTVRVKNTTLPKGAYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVETKPASAVSIIETDCEVDFAPPLDYKEPENPQQPSVPASEATAEDENAKVEDELKFRPFTGSGKRLDGKASKLQATEVPSASRSSPSDSNKRVNQETLAPASSGASNSTRQKSGKLVFGSSANNNKEPQKASVKDDESPKDEPKFQAFSGKSYSLKR >ORUFI04G24230.1 pep chromosome:OR_W1943:4:24676561:24688052:1 gene:ORUFI04G24230 transcript:ORUFI04G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFQLRFGLRMSPSRSSDEEEEDDEDEEGFEYEEMLSDDGTDSPPPLMMQAEKGGGGLVGAVVGALRRSLVMCSAGKVGEEEDSEDEEEEGMEIGRPTDVRHVSHVTFDRFGGFLGLPADLEPEVPSPTPSARKAPKKVDSTNMMFQNDDSELWFLFCVNVFGVSPTSLQCSFDHKGNSVPTILLMMQRKLYEREGLKIEGIFRINAENSQEICVRKQLNSGVVPDEVDLHCLAGLIKAWFRELPTGVLDSLTPEQVMHCNTEEDCALLASMLPPVEAALLDWAINLMADVVEHENYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTLKEREAAGTPKTTEPCSGSPNGQDKPPTPENLERPIICSDQKGIDKPMFDMATCDQLLFGPKQFLDHRENNKFEGPEKHDIGQPKRHSEASPLGNDSNNQVSSPGKEFGNRNVEGLFDKFSFRKGVERLCRHPVFQLSRSMKKSADVVVFDAPGEARQAWGLI >ORUFI04G24230.2 pep chromosome:OR_W1943:4:24682116:24688052:1 gene:ORUFI04G24230 transcript:ORUFI04G24230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAACQIANALCMGIYAVVETFQCCIIQKMAVLHPPCRSSLFLVVTLLVFRSSPALPHTFFIFGDSLVDVGNNDYLVTLSKANAPPYGVDFAFSGGKPTGRFTNGRTIADVIGEALGQKSFAPPYLAANSSAEMMNSGVNYASGSSGIFDETGSFYIGRVPLGQQISYFEKTRARILEIMGEKAATGFLKKALFTVAAGSNDILEYLSPSMPFFGREKYDPSVFQDSLASNLTFYLKRLNQLGARKIVVADVGPLGCIPYVRALEFIPAGECSAFANQLTQGYNKKLKRMIYKLNQEMGPESRFVYANTYEIVMEIIQQYRQYGFENALDPCCGGSFPPFLCISIANSTSTLCNDRSKYVFWDAFHPTEAVNFIVAGKLLDGNSAVASPINVRELFQYQYK >ORUFI04G24230.3 pep chromosome:OR_W1943:4:24682116:24688052:1 gene:ORUFI04G24230 transcript:ORUFI04G24230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHAACQIANALCMGIYAVVETFQCCIIQKMAVLHPPCRSSLFLVVTLLVFRSSPALPHTFFIFGDSLVDVGNNDYLVTLSKANAPPYGVDFAFSGGKPTGRFTNGRTIADVIGEALGQKSFAPPYLAANSSAEMMNSGVNYASGSSGIFDETGSFYIGRVPLGQQISYFEKTRARILEIMGEKAATGFLKKALFTVAAGSNDILEYLSPSMPFFGREKYDPSVFQDSLASNLTFYLKRLNQLGARKIVVADVGPLGCIPYVRALEFIPAGECSAFANQLTQGYNKKLKRMIYKLNQEMGPESRFVYANTYEIVMEIIQQYRQYGFENALDPCCGGSFPPFLCISIANSTSTLCNDRSKYVFWDAFHPTEAVNFIVAGKLLDGNSAVASPINVRELFQYQYK >ORUFI04G24240.1 pep chromosome:OR_W1943:4:24676742:24682286:-1 gene:ORUFI04G24240 transcript:ORUFI04G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADATFRRRPVARAVAQLGTTLPHRPRRPAAPPAPSRIAPPSAARTHPREDKVGGEAEEAAEAVEGDVGDVPHVRGPPDLHPLLFLVLALH >ORUFI04G24240.2 pep chromosome:OR_W1943:4:24681690:24682286:-1 gene:ORUFI04G24240 transcript:ORUFI04G24240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRADATFRRRPVARAVAQLGTTLPHRPRRPAAPPAPSRIAPPSAARTHPREDKVFGGSNMDKLWGCSYTAVH >ORUFI04G24250.1 pep chromosome:OR_W1943:4:24685257:24685598:-1 gene:ORUFI04G24250 transcript:ORUFI04G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLEAAKMRETLARSSYTRTGASRTAAHGPADRQRESHARMGVPRRTSPAGTIQRRAFAGWNASAHHTSSAAPPRPTATRLAAVAARDDHRVVSAGGATPPAATAWKASALW >ORUFI04G24260.1 pep chromosome:OR_W1943:4:24686294:24686551:-1 gene:ORUFI04G24260 transcript:ORUFI04G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAASSDEIRHGAERGQWRMWPPATSPAWGVAAEDATASNKLRRGAWQRRTRPPATTSDVGRGRRKPRPPATFSNMVLKHVLC >ORUFI04G24270.1 pep chromosome:OR_W1943:4:24686579:24691592:-1 gene:ORUFI04G24270 transcript:ORUFI04G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPARAASVVRLFDAHCHLQDPRVLSVAPSLIRAATAAGVARFAVNGTSEKDWHLVKQMAEEYPWVPERSPDWMDSLRRFFAETPEAAVGEVEVFQQQLELAKELNKPVSVHCVRAFGDLLEILKRTGPFPAGVLLHSYLGSAEMVSSLEILGCYFSLSGFLTGMKSTKAKKMLKSMPLDRILLETDAPDALPKLDDISLLAVPVDSSSADNGESKKDSNSRASTTSNESLNHPSNIHIVMKYVASLLEISEIELAEVTYKNATKLFSYHGSKVHNEVEAKCYLTGSRPNRDKWDRAATNQSTDSISFYLIHSTTSLSKHAYCCTSSGAAWGVDGGGRGCQ >ORUFI04G24270.2 pep chromosome:OR_W1943:4:24687914:24691592:-1 gene:ORUFI04G24270 transcript:ORUFI04G24270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPARAASVVRLFDAHCHLQDPRVLSVAPSLIRAATAAGVARFAVNGTSEKDWHLVKQMAEEYPWVPERSPDWMDSLRRFFAETPEAAVGEVEVFQQQLELAKELNKPVSVHCVRAFGDLLEILKRTGPFPAGVLLHSYLGSAEMVSSLEILGCYFSLSGFLTGMKSTKAKKMLKSMPLDRILLETDAPDALPKLDDISLLAVPVDSSSADNGESKKDSNSRASTTSNESLNHPSNIHIVMKYVASLLEISEIELAEVTYKNATKLFSYHGSKVHNEVEAV >ORUFI04G24270.3 pep chromosome:OR_W1943:4:24686579:24691592:-1 gene:ORUFI04G24270 transcript:ORUFI04G24270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPARAASVVRLFDAHCHLQDPRVLSVAPSLIRAATAAGVARFAVNGTSEKDWHLVKQMAEEYPWVPERSPDWMDSLRRFFAETPEAAVGEVEVFQQQLELAKELNKPVSVHCVRAFGDLLEILKRTGPFPAGVLLHSYLGSAEMVSSLEILGCYFSLSGFLTGMKSTKAKKMLKSVMKYVASLLEISEIELAEVTYKNATKLFSYHGSKVHNEVEAKCYLTGSRPNRDKWDRAATNQSTDSISFYLIHSTTSLSKHAYCCTSSGAAWGVDGGGRGCQ >ORUFI04G24270.4 pep chromosome:OR_W1943:4:24687914:24691592:-1 gene:ORUFI04G24270 transcript:ORUFI04G24270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPARAASVVRLFDAHCHLQDPRVLSVAPSLIRAATAAGVARFAVNGTSEKDWHLVKQMAEEYPWVPERSPDWMDSLRRFFAETPEAAVGEVEVFQQQLELAKELNKPVSVHCVRAFGDLLEILKRTGPFPAGVLLHSYLGSAEMVSSLEILGCYFSLSGFLTGMKSTKAKKMLKSVMKYVASLLEISEIELAEVTYKNATKLFSYHGSKVHNEVEAV >ORUFI04G24280.1 pep chromosome:OR_W1943:4:24693959:24695062:1 gene:ORUFI04G24280 transcript:ORUFI04G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSAAAKMRLGGGGGGGGFMLGCGCRDAKAVAVAVSATSPCSAATETSTATTATWRRARTHPSASASASTGTLTVPSASSSFLWDDADAEADGEEVDFKRESSATTPSFSGLLRQLNELEQSVMTWGWKSPRRGNHFSPPPPPPPPPPLPLRPVVLHRAVDAGGKRSNKEDDAKFSSPPPSSHCPTTQLHRKVKSVDQRNREDDEAHFAPPAPPPLPLPPQQLRNVKGVDKGGSKEDSKHCPPPPQAPKHRKTKSCDNNDGFTAGKLDGSLAVVKQSEDPRGDFRRSMLNMIVENRIVTGDELRELLHRFLELNAPHHHDAILRAFAEIWDEVFAGPDEPRHGPPRPPPRQRTPPRRRHPLPAWRL >ORUFI04G24290.1 pep chromosome:OR_W1943:4:24695339:24701336:1 gene:ORUFI04G24290 transcript:ORUFI04G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARDTGGFTVKNRVRWCKWMQGAFGRGWNWMDGYNTNGGEGPVPCIEWARSRWTVVRWRSSEAVGAVALLRWPVQKLTPAGARSGLRRREADPRRRPLVEVEGGGGGGIRAGAQP >ORUFI04G24300.1 pep chromosome:OR_W1943:4:24696964:24698978:-1 gene:ORUFI04G24300 transcript:ORUFI04G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPVTTTTSSLLLLHHPAAAIRSLPSPPRPSAVARPGRSRRRALLRCSAVSELAPTASAAYGALLLGGGAFAYVRSGSKGSIFGGLSGSALMGISFIGLLGGQNRILAYYLMQSPETKALGDAVGFGSAFLFASVFGIRLYNTRKLVPSGLLLVLSLGALGVFYSAYMQDKV >ORUFI04G24310.1 pep chromosome:OR_W1943:4:24703599:24705738:-1 gene:ORUFI04G24310 transcript:ORUFI04G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQGIDLLSTKAAGDDHGENSSYFDGWKAYDTNPFDLRHNRGGVIQMGLAENQLSLDLIEEWSKNHPEASICTPEGVSQFKRIANFQDYHGLPEFRKAMAQFMGQVRGGKATFDPDRVVMSGGATGAQETLAFCLANPGEAFLVPTPYYPAFDRDCCWRSGIKLLPIECHSFNDFRLTKEALVSAYDGARRQGISVKGILITNPSNPLGTITDRDTLAMLATFATEHRVHLVCDEIYAGSVFATPEYVSIAEVIERDVPWCNRDLIHVVYSLSKDFGLPGFRVGIIYSYNDAVVAAARRMSSFGLVSSQTQYFLARMLSDEEFIGRFLQESKCRLVARHERFTSGLREVGIGCLRGNAGLFSWMDLRRMLREKTAEAELELWRVIVHQVKLNVSPGTSFHCREPGWFRVCHANMDDETMEVALGRIHDFVRQHQQRRVKAERWAANRQLRLSLPHHHHLSPAHLSSPLALLSPQSPMVRATS >ORUFI04G24310.2 pep chromosome:OR_W1943:4:24703599:24705810:-1 gene:ORUFI04G24310 transcript:ORUFI04G24310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACQGIDLLSTKAAGDDHGENSSYFDGWKAYDTNPFDLRHNRGGVIQMGLAENQLSLDLIEEWSKNHPEASICTPEGVSQFKRIANFQDYHGLPEFRKAMAQFMGQVRGGKATFDPDRVVMSGGATGAQETLAFCLANPGEAFLVPTPYYPAFDRDCCWRSGIKLLPIECHSFNDFRLTKEALVSAYDGARRQGISVKGILITNPSNPLGTITDRDTLAMLATFATEHRVHLVCDEIYAGSVFATPEYVSIAEVIERDVPWCNRDLIHVVYSLSKDFGLPGFRVGIIYSYNDAVVAAARRMSSFGLVSSQTQYFLARMLSDEEFIGRFLQESKCRLVARHERFTSGLREVGIGCLRGNAGLFSWMDLRRMLREKTAEAELELWRVIVHQVKLNVSPGTSFHCREPGWFRVCHANMDDETMEVALGRIHDFVRQHQQRRVKAERWAANRQLRLSLPHHHHLSPAHLSSPLALLSPQSPMVRATS >ORUFI04G24320.1 pep chromosome:OR_W1943:4:24720602:24722500:1 gene:ORUFI04G24320 transcript:ORUFI04G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVRSPTSTLPAANHRQKSGVLLRRPTRRSARRFQLHAEKPASPGAGNETSSSSENAVLRAAWYGSELLGIAASFFRPSQPPTEGDSAGAVEEAASEPQGRAQVAEAVKDDFARSYFVTGNLTLKAYEEDCEFADPAGSFNGLQRFKRNCTNFGSLLEKSNMKLTKWEDLEDKSIGHWRFSCVMSFPWRPILSATGYTEYYFDAGSGKVCRHVEHWNVPKMALLRQIFRPSRWVWEKRTDE >ORUFI04G24330.1 pep chromosome:OR_W1943:4:24725899:24727081:1 gene:ORUFI04G24330 transcript:ORUFI04G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACRGESSGGGRSSLGYLFEPEPEDIIPDHSTKSVQGTNKAPKGNIVLGDKMASDEADQEHQAAAPLKKEDSNPIVSSRSASNIYHTNQVGNNSGLLITDRPSTRVRCAPGGPSSLGFLFGDEDA >ORUFI04G24340.1 pep chromosome:OR_W1943:4:24728381:24732394:1 gene:ORUFI04G24340 transcript:ORUFI04G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPNTPQPRTHGPSTQLSWRHGRSLLQQRPSTRFIDDRIPPTWTPVVVAYQWSISQTQVSPPINDRCVGVQHKRLCRPKPRRRLAEARGNERITINERLFLLQSAPSRLPLFSVFSHAFSSSFRAHFSDLFSFPWRRGLTNAMRCSIGRQEGGQAGEATACSCPSPHNAHRRRNCTRRWIQIDRQPGPHRLLADMNSNFPASSSPDAHAFHDTRPGGKNDHGMATGLSGGAMTSFAVKKPLLAAAVRRRSWPPPSGRALPFSPLTRTPRSRGLGTVTCFVPQGTESQQAPAPSPPPTVPVPVPSLEEEAAAAAARRIAERKARKLSERRTYLVAAVMSSLGFTSMAVAAVYYRFHWQLEGGDVPMTEMFGTFALSVGAAVGMEFWAQWAHRSLWHASLWHMHESHHRAREGPFELNDVFAITNAVPAISLLAYGFFHRGIVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHKIHHTDKFEGVPYGLFLGPKELEEVGGLEELEKELARINRSL >ORUFI04G24340.2 pep chromosome:OR_W1943:4:24730186:24732394:1 gene:ORUFI04G24340 transcript:ORUFI04G24340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRSTVSHRATRRLDVSLSSSTTTEPRGLSITLPRHTLPLHPCPRKRARVRYEAGREERPRHGHRSLRRRYDQLRRQEAAPRGRRAPPVVAPAVGPRAAVLAAHADPAQPRARDRHVLRAAGHGEPAGPGSVPAADGARARALAGGRGGCRGGAAHRGEEGAEAVGEADVPGCGRHVQPRLHVHGRRRRVLPLPLATGGRGCADDRDVWHVCALRRRSGWDGVLGAVGAPLAVARLPVAHARVAPPCARGPVRAQRRVRHHQRRAGHLPPRLRLLPPGHRPRPLLRRGPRDYAVRHGLHVRPRRPGPPPLPRRPHRECALLPASGRGPQDTPHGQVRGRTVWAVSWTQGAGGGWWSGRAGEGACENQPELVILTAAIAASKTAPVELAELPLPCKIFCISGRFVDSINCRYRREGDSRSGSASSIRRCGSGEEHSIFV >ORUFI04G24340.3 pep chromosome:OR_W1943:4:24730186:24732394:1 gene:ORUFI04G24340 transcript:ORUFI04G24340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRSTVSHRATRRLDVSLSSSTTTEPRGLSITLPRHTLPLHPCPRKRARVRYEAGREERPRHGHRSLRRRYDQLRRQEAAPRGRRAPPVVAPAVGPRAAVLAAHADPAQPRARDRHVLRAAGHGEPAGPGSVPAADGARARALAGGRGGCRGGAAHRGEEGAEAVGEADVPGCGRHVQPRLHVHGRRRRVLPLPLATGGWDGVLGAVGAPLAVARLPVAHARVAPPCARGPVRAQRRVRHHQRRAGHLPPRLRLLPPGHRPRPLLRRGPRDYAVRHGLHVRPRRPGPPPLPRRPHRECALLPASGRGPQDTPHGQVRGRTVWAVSWTQGAGGGWWSGRAGEGACENQPELVILTAAIAASKTAPVELAELPLPCKIFCISGRFVDSINCRYRREGDSRSGSASSIRRCGSGEEHSIFV >ORUFI04G24340.4 pep chromosome:OR_W1943:4:24728381:24729038:1 gene:ORUFI04G24340 transcript:ORUFI04G24340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPNTPQPRTHGPSTQLSWRHGRSLLQQRPSTRFIDDRIPPTWTPVVVAYQWSISQTQVSPPINDRCVGVQHKRLCRPKPRRRLAEARGNERITINERLFLLQSAPSRLPLFSVFSHAFSSSFRAHFSDLFSFPWRRGLTNAMRCSIGRQEGGQAGEATACSCPSPHNAHRRRNCTRYGHGTLDPCVRPDRPTLRGPTPHS >ORUFI04G24340.5 pep chromosome:OR_W1943:4:24728381:24729321:1 gene:ORUFI04G24340 transcript:ORUFI04G24340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPNTPQPRTHGPSTQLSWRHGRSLLQQRPSTRFIDDRIPPTWTPVVVAYQWSISQTQVSPPINDRCVGVQHKRLCRPKPRRRLAEARGNERITINERLFLLQSAPSRLPLFSVFSHAFSSSFRAHFSDLFSFPWRRGLTNAMRCSIGRQEGGQAGEATACSCPSPHNAHRRRNCTRSRSLNEATRSSSELASVGQDAGRIERGHGPVQRHHTRATVTAAAQGEEGKMATAVA >ORUFI04G24350.1 pep chromosome:OR_W1943:4:24736353:24738256:1 gene:ORUFI04G24350 transcript:ORUFI04G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDLDHLPQAERNLLVRSSLLGLRNSELRHPAQLDTSFNQEIQDTGIELPLPMKGAARFVVENATRQIKPVNGLPRLMTITTPQKHGKENNSNDSVLTEDENIEPLVAFSRPPPLPPVLGPLIMLSLFNMSSGGDENKN >ORUFI04G24350.2 pep chromosome:OR_W1943:4:24736353:24738256:1 gene:ORUFI04G24350 transcript:ORUFI04G24350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDLDHLPQAERNLLVRSSLLGLRNSELRHPAQLDTSFNQEIQDTGIELPLPMKGAARFVVENATRQIKPVNGLPRLMTITTPQKHGKENNSNDSVLTEDENIEPLVAFSRPPPLPPVLGPLIMLSLFNMSSGGDENKN >ORUFI04G24360.1 pep chromosome:OR_W1943:4:24740361:24753778:-1 gene:ORUFI04G24360 transcript:ORUFI04G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRRPVLVRGPLGIVTGIELAFFLLFLALLVWSYSAFINLDFSKIHAKLDRAALRLGHVGSFCCAFLFFPVARGSSLLPLIGLTSEASIKYHVWLGNLVMLFFTAHGLCYIVFWASTDQIHEMLKWTRTKVANVPGELALLSGLVMWVTALPRVRRQMFELFFYAHHLYALFLVLFALHVGVAFFCSILPGVFLFMVDRYLRFLQSRVRVRLVSARLLACDAVELNFCKSPHLTHSPMSTVFINVPCVSRLQWHPFTVTSSSSLEPDRLSVVVKRAGRWTEKLYETISSLPPSQPGHLDVSVEGPYSQATPASFLQYDSLVMISGGGGITPFISVIRELVHRSGTAAEAATPSLLLIAVFKTSADLAMLDLIVPASGGFSDISRLELRIEAFVTRESVPSAGDVVAIAHKVPAEEVLFKPSPSHAPIAPVLGHNGWLWLAAVVSSSFFIFLLLVGAVQRLYIYPVDGNSNRVYPWAARTLLNLLLLCVGIAVAASAAVLWNKRRRAEEAKQVENVATPASSPATWLDKPRRGDAEVGRRPDLRIFD >ORUFI04G24370.1 pep chromosome:OR_W1943:4:24759858:24766490:1 gene:ORUFI04G24370 transcript:ORUFI04G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIAATLRRSSRVTGSQNIMEICLGPCVSSGASSRWFSSCTKHSNTSILNQIKAVDRYSPVNGMSMISRVPLSAHMDTNWLSTSNPRFNALPGFLGASSICRAYSSDTGIKAEVPQNTVSNVPSTETVALGTSDGGSSWIDIFDNARKCTLDATTDAGKKVKELTDAITPHVQQFFDANPNLEKVVVPLGGTIFGTMMAWFVMPIVLRRIHKYSIQSPISALLGSSTKNDVSYETSLWSALEDPAKYLITFMAFSEMAGFTAPSISAYLPQAWRGAIVLSFVWFLHRWKTNFITKVAASSIDQTRLSAFDKISSLGLIALGVMALAEACVATAFAARDVLGNMLSGFSLQFSSPFKAGEYIKVFGLVTAFRFSSNFPSIASTYKGYILLLELDAGSIEGKVIEIGLTSTELMNPEQLPVTVPNSLFSSQVIVNRSRAKWRSNVTKIPIRIEDIEKVPAISEEIKVMLRSNPKVVLDSEAPAPYCYLSRLESSYGELTIGCNLTKMTKDEWLSTTQGILLEAAKIIKLHGVELGSTTQCC >ORUFI04G24380.1 pep chromosome:OR_W1943:4:24767726:24769687:-1 gene:ORUFI04G24380 transcript:ORUFI04G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGGGKEAVTASILRFLLLLLLPLTALYFFYTLHLLLASAASSSSSSCPPDAASSSSSVRLSTNGTSAGAAAVTVAAGKKAPAAASTETTLQHVVFGIAASSRFWDKRKEYIKVWWRPRGAMRGYVWLDREVRESNMSTARTGLPAIRISSDTSGFPYTHRRGHRSAIRISRIVSETFRLGLPGVRWFVMGDDDTVFFPDNLLTVLNKFDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAEALARMQDGCIRRYPALYGSDDRIQACMAELGVPLTKHPGFHQYDVYGDLLGLLAAHPVAPIVTLHHLDVVQPLFPNAKSRPAAVRRLFDGPIELDPAGIMQQSICYDGGNRWTVSVAWGFAVLVSRGVMSPREMEMPARTFLNWYRRADYTAYAFNTRPLARSPCQKPAVYYLSSARRAAALRGGDTTVTRYERWRRANETRPACRWNIADPDAHLDHIVVLKKPDPGLWDRSPRRNCCRVLSSPKEGKKGGDKTMTIDVGVCRDGEFSQVV >ORUFI04G24390.1 pep chromosome:OR_W1943:4:24771229:24776326:1 gene:ORUFI04G24390 transcript:ORUFI04G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSGGMVGQKRRGSIMNWWWHGGTKEARINQELGRTSSTRGGSNDELVDCSADGTSRWRGTSSSGNGSRSSQGRSNPPPIRYREGQFDYYPAVLCDCRRKAARWISWSEDNPSRRYLTCARARDGGCTFWSWYEPETTPYLRQVLNDLHNVVRGLKEEKSILRASLVSARAQIDELTAVHNGDVADWTRKLKEKDDLACELRARVVQLEEGRKLLLLIVAGLASLIIMQNPESIVY >ORUFI04G24400.1 pep chromosome:OR_W1943:4:24773243:24776828:-1 gene:ORUFI04G24400 transcript:ORUFI04G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAHVLSPSLSLSLFVLLSLTTPPHDRTSKRRVRRRRRRFLPPTQVVMIKTSEAERRFPACLGNRKMDAVDKLVVRFHFNGEFLFDGKNTCYVNGREALSYIDRKKVSLNEIRDQAKEYCEVAEKALFHWCFPGKSIDDGLRVLHDDKTCLLMAKYTTQGVVADVYVELVDIEEIDTEANKDEVGSDFEDEMKEISDNDDLDDNTVELIGGNELAVPGSQQSSTSCHKRKANASARAISTEKAKRTCTGLGLQSRKSSQDTVNLDIGKRRVIRTSATARVATILGGTATMNLHAHGRSAHASSNVTIAVTSGTASAHVQTHEPAPRSNSPQRKRRLPQLLLSPPRSDGNE >ORUFI04G24410.1 pep chromosome:OR_W1943:4:24780828:24781088:-1 gene:ORUFI04G24410 transcript:ORUFI04G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLLGHLLNADDDDEVLTNKSGLFSSMSPRRTNYIETDTTSLILGRQIDDPTGCDMAHARAYTSYFAIRLSSSPTPGPRQHLNL >ORUFI04G24420.1 pep chromosome:OR_W1943:4:24785858:24786496:-1 gene:ORUFI04G24420 transcript:ORUFI04G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVCCYSELLLPKQLLHLLLLLGYIRRFLLWAFHAVGLGDLLDLGDEQQAVLQDHAREHRAPAQALPPQQQHRRAEFRTVPAMVIEEVLPVVRFDELVAAAPAVCGGGDCAVCLSGIGGGDEVRRLSNCRHVFHRGCLDRWMEHDDQRTCPLCRAPLIPDEMAGALWAAAGVPDASDFDFSYFGAPLTPMPTPTLLRPHELLLTGLGGYQ >ORUFI04G24430.1 pep chromosome:OR_W1943:4:24818082:24820739:1 gene:ORUFI04G24430 transcript:ORUFI04G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSPSTSTSRSRPLFPKPSPSHLVPHDLASSWCCAPHGHTPTPAAAAASSSPSSQSSSPAAETMDPPPQPPTSYPSSYTKFNSALNAGLLNPMSPPPLPLDKTRSSPTLFDMMANEQDYHPRTAAAIHSIPAPPQQAHPLQPARSMDRQVLLQDRVAELIGSCSPGNQFNDADSSDVRLTLTSKDGLSVTLCVHRHILVAHSRFFAAKLSDRWSKQQRTLPHIVEISDCDDVEVYVETLRLMYCKDLRRRLMREDVSKVLGILKVSAAIVFDAGVLSCLEYLEAAPWAEDDDEKVAALLTQLHLENSGAGEVLKRVSLELAPSAVAEEVEVGSGCNGGGNSGGGEEVLVRLLQVVLEGKDEKARREMKGLVSKMLRENSTSRGGAIGGDLRKESLYSACNGCLRLLHEQFEMAAGGDQSEVAQIARQADNLHWMLDILVERQIAEDFLRTWAMQIELAELHGKVPAIHRYEVSRVTARLFVGVGKGQILVSKEVRCQLLSTWLEPFYEDFGWMRRACKGLDRHLIEDGLANTILTLPLATQQEILLAWFNRFLNSGEDCPNIQRGFEVWWRRAFWKRNGEPEQPPRLRITAICENS >ORUFI04G24440.1 pep chromosome:OR_W1943:4:24834820:24836679:-1 gene:ORUFI04G24440 transcript:ORUFI04G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAPWRDPRQGYLYGVGSAVQMPMQQRSDAAAAGGVLKRSLGDMERWQQHQHQQRQIAMQQQLYLRTVRQRTAAASAAVSPLTSADIAAVLGGPPSQPLVLSGSSMGGAFGSPSSTLSSITTASRAVAMPLMQPQLQRQQQVTYMASSPQVQAFGTARALPPAPATSDLSILQELEKQLLGDDDEVEAAMSGTGSAVTGSEWEEQLNSITAAPSPPLTAATTPNNNNNAVGMTRSPSNSSTSTASSSASCSPPTSATTSRQLLSEAAAAIADGHNETAATHLTALKRAANSRGDVEQRLVAMMVAALSSRIGQTASVPDICGGETRAGSQLLHDISPCFRLALHAANVAIVDAVGDHRAIHLVDFDVSAPQHADLIRCLAARRLPGTSLKVTAVTDPASPFTQSVTATLHLQKLAERAGIDYRFKMVSCRAGEIEASKLGCEAGEALAVNLAFALSHVPDESVSPANPRDEILRRVRALGPQVVALVEQELNSNTAPLTTRFTDACAHYGAILESLDATIPRESAERARAEAALGGRAANAVAREGADRLERCEVFGKWRSRFGMAGFRPVALGPGIADQVLARQGPVAAGFAVKAENGVLRLGWMGRVVTVASAWR >ORUFI04G24450.1 pep chromosome:OR_W1943:4:24848534:24851150:-1 gene:ORUFI04G24450 transcript:ORUFI04G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGIARARLAEERKAWRKNHPHGFVAKPETLPDGSVNLMVWRCIIPGKEGTDWEGGYFPLTMQFTEDYPTNAPSCKFPSGFFHINVYDSGAVCLSILSTAWKPSITVRQILIGIQELFDDPNPNSAAQNISYELYRKDMEEYRKRVRQQAKKYPSAL >ORUFI04G24460.1 pep chromosome:OR_W1943:4:24855693:24856646:-1 gene:ORUFI04G24460 transcript:ORUFI04G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTCLISRGAFLLLAAAVAVALPCAAALQELQLQDAVLIDDVVQEAAQAWYHGRHRRTGVTYPLSLPGSLSGVAADVARFRAGSLRRYGVRRFGEFAVPPGLAVRGQASHLLAVRANLGNLSSVFDEYAASGGYRIASPVLGLTFYGLARRGGTARLEVLVTAAAIRVNFSMAVPALQPGAVPLCMAVALNGSVTVTDVQAGSNTCHVWDQGHFALVLGGAGDGGGVVAEAGEVSKWKLALFGAALGAGGTVLLGLVLVAVLSIQRRKSEVAEMARRAYEEEALRVSMVGHVRAPSAGGSRTTPDALENEYCAAL >ORUFI04G24470.1 pep chromosome:OR_W1943:4:24866751:24872766:1 gene:ORUFI04G24470 transcript:ORUFI04G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSEMGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGINKTLEKYNSCCYNAQGSNSALAGGEHQSWYQEMSRLKTKLECLQRSQRHMLGEDLGPLSIKELQQLEKQLEYSLSQARQRKTQIMMEQVDDLRRKERQLGELNKQLKNKLEAEADSSNCRSAIQDSWVHGTVVSGGRVLNAQPPPDIDCEPTLQIGYYQFVRPEAANPRSNGGGGDQNNNFVMGWPL >ORUFI04G24470.2 pep chromosome:OR_W1943:4:24866661:24872766:1 gene:ORUFI04G24470 transcript:ORUFI04G24470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGINKTLEKYNSCCYNAQGSNSALAGGEHQSWYQEMSRLKTKLECLQRSQRHMLGEDLGPLSIKELQQLEKQLEYSLSQARQRKTQIMMEQVDDLRRKERQLGELNKQLKNKLEAEADSSNCRSAIQDSWVHGTVVSGGRVLNAQPPPDIDCEPTLQIGYYQFVRPEAANPRSNGGGGDQNNNFVMGWPL >ORUFI04G24480.1 pep chromosome:OR_W1943:4:24876252:24876740:-1 gene:ORUFI04G24480 transcript:ORUFI04G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPLVCVCRQIPRPIVALFKLLQAVALAFVLILCFLGLYEFPYTVEDHAPLIHGRRRDPLGDDGLQPEAVKRGLPLVEYMQLADLSADCHDGESGYPATCRVCLERLEATDEVRRLGNCTHAFHIGCIDRWIDLGEVTCPLCRSHLLPRQRRGLLGSRRFG >ORUFI04G24490.1 pep chromosome:OR_W1943:4:24880660:24884356:1 gene:ORUFI04G24490 transcript:ORUFI04G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSHYLFPLFSHFSSRATTGVGGEEDAGAAGDVVAGAREHEATRPVTRRQEHEATQRREPGRRRIHDRLDGIPLKPPPPPIVVALQRCHQLLRLQHHLVEVAFAVIKDGLELADGLVVVVDGVQHSRSMKRRGRRMRPPLWARRRRRRRRGRARGSRGITDLLAPCTEQQQTGSSQIERRRRRSCRSGEEATAPVEANTYDVAKRDGVEEGGGAAQLDSSEGSSSPKREAAWRMDSASWMSSSMASMADDDMGGDNAEAKQVWPVGGGHGGAVMGFVPAGTAVAALLLLLVRAAAAASPRHPAALRWPPRAESDKEGEE >ORUFI04G24500.1 pep chromosome:OR_W1943:4:24882425:24882943:-1 gene:ORUFI04G24500 transcript:ORUFI04G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCSSSGSDHSSYDFALTLRRKLLLLDILAVLRFLAAALLERLGVVSCQEDNELPGCHSWCDSDVVDTGAMERLMQAKLSTSWYRLRRRASRGGSDNMASPHGDTSADICTICLAELEAGGGGGGCQRQVAELSSCSHAFHAACIDGWVVEAGTCPLCRTPVLPPWQMAA >ORUFI04G24510.1 pep chromosome:OR_W1943:4:24883616:24884151:-1 gene:ORUFI04G24510 transcript:ORUFI04G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAIEAMDELIQLAESMRQAASLLGCQQVGDAPAAARASSSPSTSSPRPQRRSHPPPASLHAPAVLDAIDDDDEAVGKLKSVLDNGEGDLDEVVLQAEELMAPLESHYNGWRRWL >ORUFI04G24520.1 pep chromosome:OR_W1943:4:24890193:24897176:1 gene:ORUFI04G24520 transcript:ORUFI04G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQLLSTVEHRETLPEGYARPESDRPRLAEVATDSNIPLIDLASPDKPRVIAEIAQACRTYGFFQVTNHGIAEELLEKVMAVALEFFRLPPEEKEKLYSDEPSKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEEFVPEWPSNPAQFKEIMSTYCREVRQLGLRLLGAISVSLGLEEDYIEKVLGEQEQHMAVNYYPRCPEPDLTYGLPKHTDPNALTILLPDPHVAGLQVLRDGDQWIVVNPRPNALVVNLGDQIQALSNGAYKSVWHRAVVNAVQERMSVASFMCPCNSAVISPARKLVADGDAPVYRSFTYDEYYKKFWSRNLDQEHCLELFKGQ >ORUFI04G24520.2 pep chromosome:OR_W1943:4:24890193:24897176:1 gene:ORUFI04G24520 transcript:ORUFI04G24520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQLLSTVEHRETLPEGYARPESDRPRLAEVATDSNIPLIDLASPDKPRVIAEIAQACRTYGFFQVTNHGIAEELLEKVMAVALEFFRLPPEEKEKLYSDEPSKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEEFALSNGAYKSVWHRAVVNAVQERMSVASFMCPCNSAVISPARKLVADGDAPVYRSFTYDEYYKKFWSRNLDQEHCLELFKGQ >ORUFI04G24530.1 pep chromosome:OR_W1943:4:24897992:24899966:-1 gene:ORUFI04G24530 transcript:ORUFI04G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIAKPLLSDLVAQSGQVPSSHIRPVGDRPDLDNVDHESGAGIPVIDLKQLDGPDRRKVVEAIGSACETDGFFMVKNHGIPEEVVEGMLRVAREFFHMPESERLKCYSDDPKKAIRLSTSFNVRTEKVSNWRDFLRLHCYPLESFIDQWPSNPPSFRQVVGTYSREARALALRLLEAISESLGLERGHMVSAMGRQAQHMAVNYYPPCPQPELTYGLPGHKDPNAITLLLQDGVSGLQVQRNGRWVAVNPVPDALVINIGDQIQALSNDRYKSVLHRVIVNSESERISVPTFYCPSPDAVIAPAGALVDGALHPLAYRPFTYQAYYDEFWNMGLQSASCLDRFRPNDQAILISR >ORUFI04G24540.1 pep chromosome:OR_W1943:4:24906785:24907511:1 gene:ORUFI04G24540 transcript:ORUFI04G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCADGAVLVNATAVHGGMWCAYDAGLIGDGFAQGTVCSKGTCILSMIVPAVCHASNRCEFENFRIQILNVCLYACLACSNSGQESDDRECFFTWKAEVRSSEYIKKE >ORUFI04G24550.1 pep chromosome:OR_W1943:4:24907528:24913190:-1 gene:ORUFI04G24550 transcript:ORUFI04G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATSPQDAVATSHRPPPTAPSYNSLHVLLRKKKPTSAQLCRGRVGGSRRSPEIMDSFSSPSSAGSTASTEHLMEQIKAQLAQAYAQEFLETVGNKCFAKCVTKPGTSLSGSESSCISRCVDRYIEATGIVSLCSSYLLREIFATQTQFTKVEREDLGKHKDGRVTRVLGDMEIICSRLELEKCLFEGYLNLAAAPDVVPHDLHQLLGDAEHAEPVLGAQHVHHGELEHLPHLLVRRRQLLPGEERRVDDLMKPPFPQLGRNRVERMLVPQPRQQLQRTDGEVLVHRVAGVGAEADGAGDVPLDLVAPRLVRAAQRPELDGGARWAVGRRGPAQGGDVAWPGRRTVDPTPYGRIWPPHARRDGRGSEAAHVSRHGAGAGGALRPSARRPSAVGRRPRVSVAWPGQTAAVALPEGVARTWPMVGVMRHGRSDGDGEVVRPEAAVWPFGAAVVALGCTWQFVCPEAGCKGSDGSANGASGGGSSSSLPLVSEGSLEPQTTDTTPSSSRLSFSQNWRGRRMAGWRRPGPVPRGFSDLDSFC >ORUFI04G24560.1 pep chromosome:OR_W1943:4:24908594:24909484:1 gene:ORUFI04G24560 transcript:ORUFI04G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCQGRFCTKKSVALAEDQLLSEVSHRNIARAVGFCPNSSNPVHEHFAVGTLELLTGLRHQHPFDSVAPKLREGRLHEVIDPTLLTGKQLPAPNEEVRKMFELAVMYMLSAQNGLCMLGVAKELMQIVRNNIGSSSKIEISLEETFLELEPAADDLHVAQDAASPSSLKRELTAELHHHLIHLE >ORUFI04G24570.1 pep chromosome:OR_W1943:4:24925629:24926586:-1 gene:ORUFI04G24570 transcript:ORUFI04G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQDEEEEEAEASPREIPFMTSAAAAATASSSSPTSVSPSATASAAASTSASGSPFRSSDGAGASGSGGGGGGEDVEVIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSAANEKGLLLSFEDRTGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFCRGAAEAXDGDSSAPALATAAVATSASSPTPHYGAPPEPLTAGLPMVVDSVPHVNNPAAASKRVRLFGVNLDNPHPDGGQSSSGHDANALSLRMPGWQRPAPLRSLELPPHMPAGAAGAESSAASSPSSSSSSKREAHSSLDLDL >ORUFI04G24580.1 pep chromosome:OR_W1943:4:24945479:24945965:1 gene:ORUFI04G24580 transcript:ORUFI04G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARKSKVLSPSLLALPLSLSSLAFDPTLPPLLSSLPHLRFGSSGEGEQRRGSAAQRMGGSRREGYLVIDLAGVSDDNDGGKHGSRMAKTVAMVVVIQQSSVMAMRVTGENCSMNATPASCCRGLIYWFACSYSGHL >ORUFI04G24590.1 pep chromosome:OR_W1943:4:24950394:24952035:1 gene:ORUFI04G24590 transcript:ORUFI04G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPASGSGMGPEARRGVAARRRGGAGKAADLAYWESAARMIVDASRSGKVVVEKSTVPSGRPRPSRRSSRTTPMSSSSRCSPTRSSWRRARPSLTCVLIGGRDTAAVQALKDMYAQWVPVDRIVTTNLWSAELSKLAVNAFLAQRVSSVNAISALCEATGADITEVATGAAQRAQVQLRRATASVGTARRRCGAPGERRQLKRLQDLACMCVHEIDGEEDDVVAAPLRCAFQSTVGMTAFQRLPVAPEFEPGLSALLMGAGEVVLAGAAEEHAGAGLGDGAVEAEVADAAIPLGRVRAGLGDRHHSPPARRRSPLLMPNKPTKKTIREKGK >ORUFI04G24600.1 pep chromosome:OR_W1943:4:24967024:24968237:1 gene:ORUFI04G24600 transcript:ORUFI04G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAVVAELLEEYTVLVARVLEQLFNDAPFPRRMRFLMLRSLPFVPPPLPPPPPSHALRGKLRVRSKFKPKRPSAVGKNGSKYGPRKFTAEK >ORUFI04G24610.1 pep chromosome:OR_W1943:4:24968744:24969254:-1 gene:ORUFI04G24610 transcript:ORUFI04G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMQIVLAAGKIEAQYVEMKVPLYSYGCEKKIKKALSHLRGIHSVQVDYQQQKVTVWGICNRDDVLAAVRKKRRAARFWGADQPDLGEDSMPADARKHYLQAFTAYRFRKSWKKLFPLIRL >ORUFI04G24620.1 pep chromosome:OR_W1943:4:24970843:24996631:-1 gene:ORUFI04G24620 transcript:ORUFI04G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGGRAREGNKKPDDDDLVNTIFSWTLEDVMNQNLFADKVPSISFVFSIRLVNAIPDRFSGLKSYLDSFRALLLEEIRAEMSSNLETLPNNSSSTKHIQSLVRVPTGLRQCPLYRVTISDQRGACAPCIGDIVVLTDTVPRRPSDLASNGRSCCLAHVKDVVNRRTFLIRAAKKIGDADSYAFAASLLAFIPYARIWRCLDYDYALKINPPLVMAVAGVALTTSLAGSSSFHRANGGTDEITSRLPAFGLNDSQAGAIQSCVSAVQGNGASTTSGRFSLIWGPPGTGKTKTISVLLLMLMTTATSQSRYRVLTCAPTNTAISQVASRLLALSKQHSAAAAGGLCHGDLLLFGNKDRMGIDGDLKEVFLDNRVKILQKCFSPESGWRHGLSSLQVFLSFPLALRCQYIQACIALKDGTALPESSFVRSKFHDICQKLSRCFQTILSHVPKSVILEKNYNNIILLTTMLENFRKLLSKNSAAGDEVLVGIFMKEKKPDGSDGGVVHSDLVRNLRQSMTQILGVISTLLRGLQLPATTSPFKIKKFCLRSASLIFCTVSGSAKLYEQKMDLLLIDEAAQLKECESLIPLQVSGLKHAVLIGDECQLPATVKSKAADGALLGRSLFERLTLLGHQKHLLNMQYRMHPSISIFPNFSFYDKKILDGPNVTHVRHERSFLQGAMFGPYSFINIENGREDPGRSKRNMAEVAAIKKILHNLCKACVGTGEGVSVGIICPYAAQVEAIQSGIDANALRPLDVRVNSVDGFQGSEEDIIILSTVRHCLWILGDAATLLGSGSVWGELVRDAVDRRCFYDWDDGGAGLLGVARRGHEDELDDAVEFATAFDTFADEAGCRMKRIPSTFSDLKSYLESYTSPLLEEMRTEMSSSLEAISTMPSTKISWIEQKKNNKVYDIVFDADSQNSKACNRPESYVPSVGDIIILSDVKPEHISDITRNGRPYIVAFVTEGGDEDDDSPPVKYVIISSGKIDSEDGKCQDRKEIKLFAAYLLNIVTYIRIWRCLDYNTAVRRNQSLIQEMVHYPLVADIVQKQKKDHSIDSMEIWSKLSTMDLNNSQNDAILNCISSMHSNNSSSSFSLIWGPPGTGKTKTISVLLWLMREMDHGTLTCAPTNLAVKQVASRFLKVIKESSDRACLGDVLLCGNKQRMCVDGNLKKIYLHDRVRTLLGCFVPMTGWRHRLSSLSDLFENGYSQYQKYLEDQKEGDSLTFYSYTRKRFNATYPELRRCFQEVLFHVPKSTILEVNYNNIISLLELLEDFNKKFMNKNIEDEVKGIFLYNDDQSDSSVSSLTKFSKTAISLGKIRIRCLELLNMLLSSLKLPITSSKRTIREFCMESASIVFCTVSSSSKISNKKLQLLVVDEAAQLKECEGLIPLRLPTLKHAILIGDECQLPATVKSKVCEDASFGRSLFERLSSLGHEKHLLNMQYRMHPSISIFPNISFYDRKLLDAPNVKQKEHRKKYLPGLMFGPYSFFNIEDAHSKTKNKVTVGVICPYTAQVLAIQQKLGKMKFDPVIVKINSVDGFQGGEEDIIILSTVRYCLWILGNATTLSRSGSIWADLVRDAKDRQCFFNANSDKDISRVLAKHKIETNKVKDRKSTPFKVQVPSRSGMKDESPSTSTGIGGFPGDTEENVEDITVKRIPDTFSSLESYLDSFTCPLIEEVHADVFSSLDVYAHANFIEVVRMEKLDNEKFIFGFEVKEPSKDEKSRETYDPTEGDIIVVSTQKPKHVSDLTQNKASYVLGSVLKCGDDEDFPTDCCIVQLSSSIPVEADPETKMPKGAIFAVFLINMKTYNRIWKCLRLGANDGNLANLQNKSSTNMVNLVWQYKPKVVEDNSSQVSQCLKHGSMDFLGLEKLNLNASQLNAVADCVSVMENQLSSLKLIWGPPGTGKTKTISTILWAMLIKGRKTLTCAPTNTAILEVASRIVRLVRGCSDGSACFLSDIVLFGNKKRMKIDDGHELSVIFLDSRAERLLPCFVPNTGWRHCLCSLIDLLENSVTKYKYYIEDVLEKRKDIEKETAEKDKGENVPWRMQFGNGSCEKKCGRPEDKEEPSRLLPFKDYLKDGYNNLSQNLSYCIEILYNNHPRNSGTERSFQCMLELLELIKILHGMINCYKGNADIWSDELLETMIEEDSDPVLWSEQLVSVQTSTCIKSKFRLARLLCVQELKYLVKNLELPNCYSIQPIKLYLLQRTKCILCTVSSSFRLYNVPMDVSPSGICGPFKQPEKANLLEMLIVDEAAQLKECETLIPLQLPGITQAVFIGDEYQLPALVKSKIADNACFGRSVFERLSLLGYSKHLLNVQYRMHPEISRFPVATFYDGKISDGSNVTNGGHETTEKNGRSLKNTIEVATVLRIVQRLFKEAVSTQSKLSVGVVSPYNAQVRAIQEKVGKSYNMYDGFSVKVKSVDGFQGAEEDIIIISTVRSNGAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNSKSIWQKIIKDAQDRGCFFDANDDKDLSNAIIKAIIEHDDAENLSKMDSMHISRPRNQGQTTVHEKSEACKIQLVWTLGISYGVGVKLSVARVCRRKKTMCVTDDSPVAPPAAVLPRRGRPSGAGMLARPRTPAMAASRSAALPPAVFACTGEKEGSKMSARNKSRRQKQVKKSECVDAIQSVVNYWEKLKKRDSSLSKFALSYLVRQIFSWSIEDVFNKELFKQKVKRIPETFTSSSNYFNSFTYPLLEETHADVFSSLDGYSHQNFISVTRMKELLHDDESTFFCFEVANPAKDEKSKETYAPCEGDIIVLTSRKPKQVSDLTRNTTSYILGSIVKGGEDDDDLPDNCFIARLSSVLPVETDSSTNEPKEPLFAVILINMKTYDRIWDCLHKGNSHIVDTVWRYKSKEVDEAMSSSSQLSQRFAARSAVDLNLEKYMLNNSQLNAVADCVLVSEKISSPIKLIWGPPGTGHRTLTCAPTNTAVLEVASRIVKLVHESPASSGQYLSNIVLFGNKKRMKIGEDHDLSVVFLSSRTERLSQCFESMKGWNHCLCSLIDFLEIPVTKKYKWYTVQMKMKGPNSVVLPLKEFVKDKCNELLEDFYYFMEILCTDFPRNSTMRQSFQYMNEVVEPLNILHALINVNDDNDDNLWFDDLLNGKGHGDSDPLKWPDLLASVHTDVCNKSKIRKARLLCVQILRYLKINLKLPDWDRLSLSDDDRKREIRVYLLQRTKCILCTVSSSYVLHNVSMDDRSECLKPLELLVVDEAAQLKECETLIPMQLPGIKQAVFIGDECQLPALVKSKISDNADFGRSVFERLSSLGYNKHLLNIQYRMQPEISKFPVASFYDGKISDGPNVVSKNYKRNILPGKMFGPYSFINVDGGHETTEKHGRSLKNTIEVAAVLWIVRRLFEESVFLGSKLTVGVVSPYNAQVKSVDGFQGAEEDVIIISTVRSNRAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNSRSVWQKVVNDAKHRGCFFEASEDKHLSNAIVNAVIELDDAENLVKMDSLQITNPRFQRAGPSVLCQCVAAVVYLCSG >ORUFI04G24620.2 pep chromosome:OR_W1943:4:24970843:24996631:-1 gene:ORUFI04G24620 transcript:ORUFI04G24620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGGRAREGNKKPDDDDLVNTIFSWTLEDVMNQNLFADKVPSISFVFSIRLVNAIPDRFSGLKSYLDSFRALLLEEIRAEMSSNLETLPNNSSSTKHIQSLVRVPTGLRQCPLYRVTISDQRGACAPCIGDIVVLTDTVPRRPSDLASNGRSCCLAHVKDVVNRRTFLIRAAKKIGDADSYAFAASLLAFIPYARIWRCLDYDYALKINPPLVMAVAGVALTTSLAGSSSFHRANGGTDEITSRLPAFGLNDSQAGAIQSCVSAVQGNGASTTSGRFSLIWGPPGTGKTKTISVLLLMLMTTATSQSRYRVLTCAPTNTAISQVASRLLALSKQHSAAAAGGLCHGDLLLFGNKDRMGIDGDLKEVFLDNRVKILQKCFSPESGWRHGLSSLQVFLSFPLALRCQYIQACIALKDGTALPESSFVRSKFHDICQKLSRCFQTILSHVPKSVILEKNYNNIILLTTMLENFRKLLSKNSAAGDEVLVGIFMKEKKPDGSDGGVVHSDLVRNLRQSMTQILGVISTLLRGLQLPATTSPFKIKKFCLRSASLIFCTVSGSAKLYEQKMDLLLIDEAAQLKECESLIPLQVSGLKHAVLIGDECQLPATVKSKAADGALLGRSLFERLTLLGHQKHLLNMQYRMHPSISIFPNFSFYDKKILDGPNVTHVRHERSFLQGAMFGPYSFINIENGREDPGRSKRNMAEVAAIKKILHNLCKACVGTGEGVSVGIICPYAAQVEAIQSGIDANALRPLDVRVNSVDGFQGSEEDIIILSTVRHCLWILGDAATLLGSGSVWGELVRDAVDRRCFYDWDDGGAGLLGVARRGHEDELDDAVEFATAFDTFADEAGCRMKRIPSTFSDLKSYLESYTSPLLEEMRTEMSSSLEAISTMPSTKISWIEQKKNNKVYDIVFDADSQNSKACNRPESYVPSVGDIIILSDVKPEHISDITRNGRPYIVAFVTEGGDEDDDSPPVKYVIISSGKIDSEDGKCQDRKEIKLFAAYLLNIVTYIRIWRCLDYNTAVRRNQSLIQEMVHYPLVADIVQKQKKDHSIDSMEIWSKLSTMDLNNSQNDAILNCISSMHSNNSSSSFSLIWGPPGTGKTKTISVLLWLMREMDHGTLTCAPTNLAVKQVASRFLKVIKESSDRACLGDVLLCGNKQRMCVDGNLKKIYLHDRVRTLLGCFVPMTGWRHRLSSLSDLFENGYSQYQKYLEDQKEGDSLTFYSYTRKRFNATYPELRRCFQEVLFHVPKSTILEVNYNNIISLLELLEDFNKKFMNKNIEDEVKGIFLYNDDQSDSSVSSLTKFSKTAISLGKIRIRCLELLNMLLSSLKLPITSSKRTIREFCMESASIVFCTVSSSSKISNKKLQLLVVDEAAQLKECEGLIPLRLPTLKHAILIGDECQLPATVKSKVCEDASFGRSLFERLSSLGHEKHLLNMQYRMHPSISIFPNISFYDRKLLDAPNVKQKEHRKKYLPGLMFGPYSFFNIEDAHSKTKNKVTVGVICPYTAQVLAIQQKLGKMKFDPVIVKINSVDGFQGGEEDIIILSTVRSNSDGAVQVPSRSGMKDESPSTSTGIGGFPGDTEENVEDITVKRIPDTFSSLESYLDSFTCPLIEEVHADVFSSLDVYAHANFIEVVRMEKLDNEKFIFGFEVKEPSKDEKSRETYDPTEGDIIVVSTQKPKHVSDLTQNKASYVLGSVLKCGDDEDFPTDCCIVQLSSSIPVEADPETKMPKGAIFAVFLINMKTYNRIWKCLRLGANDGNLANLQNKSSTNMVNLVWQYKPKVVEDNSSQVSQCLKHGSMDFLGLEKLNLNASQLNAVADCVSVMENQLSSLKLIWGPPGTGKTKTISTILWAMLIKGRKTLTCAPTNTAILEVASRIVRLVRGCSDGSACFLSDIVLFGNKKRMKIDDGHELSVIFLDSRAERLLPCFVPNTGWRHCLCSLIDLLENSVTKYKYYIEDVLEKRKDIEKETAEKDKGENVPWRMQFGNGSCEKKCGRPEDKEEPSRLLPFKDYLKDGYNNLSQNLSYCIEILYNNHPRNSGTERSFQCMLELLELIKILHGMINCYKGNADIWSDELLETMIEEDSDPVLWSEQLVSVQTSTCIKSKFRLARLLCVQELKYLVKNLELPNCYSIQPIKLYLLQRTKCILCTVSSSFRLYNVPMDVSPSGICGPFKQPEKANLLEMLIVDEAAQLKECETLIPLQLPGITQAVFIGDEYQLPALVKSKIADNACFGRSVFERLSLLGYSKHLLNVQYRMHPEISRFPVATFYDGKISDGSNVTNGGHETTEKNGRSLKNTIEVATVLRIVQRLFKEAVSTQSKLSVGVVSPYNAQVRAIQEKVGKSYNMYDGFSVKVKSVDGFQGAEEDIIIISTVRSNGAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNSKSIWQKIIKDAQDRGCFFDANDDKDLSNAIIKAIIEHDDAENLSKMDSMHISRPRNQGQTTVHEKSEACKIQLVWTLGISYGVGVKLSVARVCRRKKTMCVTDDSPVAPPAAVLPRRGRPSGAGMLARPRTPAMAASRSAALPPAVFACTGEKEGSKMSARNKSRRQKQVKKSECVDAIQSVVNYWEKLKKRDSSLSKFALSYLVRQIFSWSIEDVFNKELFKQKVKRIPETFTSSSNYFNSFTYPLLEETHADVFSSLDGYSHQNFISVTRMKELLHDDESTFFCFEVANPAKDEKSKETYAPCEGDIIVLTSRKPKQVSDLTRNTTSYILGSIVKGGEDDDDLPDNCFIARLSSVLPVETDSSTNEPKEPLFAVILINMKTYDRIWDCLHKGNSHIVDTVWRYKSKEVDEAMSSSSQLSQRFAARSAVDLNLEKYMLNNSQLNAVADCVLVSEKISSPIKLIWGPPGTGHRTLTCAPTNTAVLEVASRIVKLVHESPASSGQYLSNIVLFGNKKRMKIGEDHDLSVVFLSSRTERLSQCFESMKGWNHCLCSLIDFLEIPVTKKYKWYTVQMKMKGPNSVVLPLKEFVKDKCNELLEDFYYFMEILCTDFPRNSTMRQSFQYMNEVVEPLNILHALINVNDDNDDNLWFDDLLNGKGHGDSDPLKWPDLLASVHTDVCNKSKIRKARLLCVQILRYLKINLKLPDWDRLSLSDDDRKREIRVYLLQRTKCILCTVSSSYVLHNVSMDDRSECLKPLELLVVDEAAQLKECETLIPMQLPGIKQAVFIGDECQLPALVKSKISDNADFGRSVFERLSSLGYNKHLLNIQYRMQPEISKFPVASFYDGKISDGPNVVSKNYKRNILPGKMFGPYSFINVDGGHETTEKHGRSLKNTIEVAAVLWIVRRLFEESVFLGSKLTVGVVSPYNAQVKSVDGFQGAEEDVIIISTVRSNRAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNSRSVWQKVVNDAKHRGCFFEASEDKHLSNAIVNAVIELDDAENLVKMDSLQITNPRFQRAGPSVLCQCVAAVVYLCSG >ORUFI04G24620.3 pep chromosome:OR_W1943:4:24970843:24996631:-1 gene:ORUFI04G24620 transcript:ORUFI04G24620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGGRAREGNKKPDDDDLVNTIFSWTLEDVMNQNLFADKVPSISFVFSIRLVNAIPDRFSGLKSYLDSFRALLLEEIRAEMSSNLETLPNNSSSTKHIQSLVRVPTGLRQCPLYRVTISDQRGACAPCIGDIVVLTDTVPRRPSDLASNGRSCCLAHVKDVVNRRTFLIRAAKKIGDADSYAFAASLLAFIPYARIWRCLDYDYALKINPPLVMAVAGVALTTSLAGSSSFHRANGGTDEITSRLPAFGLNDSQAGAIQSCVSAVQGNGASTTSGRFSLIWGPPGTGKTKTISVLLLMLMTTATSQSRYRVLTCAPTNTAISQVASRLLALSKQHSAAAAGGLCHGDLLLFGNKDRMGIDGDLKEVFLDNRVKILQKCFSPESGWRHGLSSLQVFLSFPLALRCQYIQACIALKDGTALPESSFVRSKFHDICQKLSRCFQTILSHVPKSVILEKNYNNIILLTTMLENFRKLLSKNSAAGDEVLVGIFMKEKKPDGSDGGVVHSDLVRNLRQSMTQILGVISTLLRGLQLPATTSPFKIKKFCLRSASLIFCTVSGSAKLYEQKMDLLLIDEAAQLKECESLIPLQVSGLKHAVLIGDECQLPATVKSKAADGALLGRSLFERLTLLGHQKHLLNMQYRMHPSISIFPNFSFYDKKILDGPNVTHVRHERSFLQGAMFGPYSFINIENGREDPGRSKRNMAEVAAIKKILHNLCKACVGTGEGVSVGIICPYAAQVEAIQSGIDANALRPLDVRVNSVDGFQGSEEDIIILSTVRHCLWILGDAATLLGSGSVWGELVRDAVDRRCFYDWDDGGAGLLGVARRGHEDELDDAVEFATAFDTFADEAGCRMKRIPSTFSDLKSYLESYTSPLLEEMRTEMSSSLEAISTMPSTKISWIEQKKNNKVYDIVFDADSQNSKACNRPESYVPSVGDIIILSDVKPEHISDITRNGRPYIVAFVTEGGDEDDDSPPVKYVIISSGKIDSEDGKCQDRKEIKLFAAYLLNIVTYIRIWRCLDYNTAVRRNQSLIQEMVHYPLVADIVQKQKKDHSIDSMEIWSKLSTMDLNNSQNDAILNCISSMHSNNSSSSFSLIWGPPGTGKTKTISVLLWLMREMDHGTLTCAPTNLAVKQVASRFLKVIKESSDRACLGDVLLCGNKQRMCVDGNLKKIYLHDRVRTLLGCFVPMTGWRHRLSSLSDLFENGYSQYQKYLEDQKEGDSLTFYSYTRKRFNATYPELRRCFQEVLFHVPKSTILEVNYNNIISLLELLEDFNKKFMNKNIEDEVKGIFLYNDDQSDSSVSSLTKFSKTAISLGKIRIRCLELLNMLLSSLKLPITSSKRTIREFCMESASIVFCTVSSSSKISNKKLQLLVVDEAAQLKECEGLIPLRLPTLKHAILIGDECQLPATVKSKVCEDASFGRSLFERLSSLGHEKHLLNMQYRMHPSISIFPNISFYDRKLLDAPNVKQKEHRKKYLPGLMFGPYSFFNIEDAHSKTKNKVTVGVICPYTAQVLAIQQKLGKMKFDPVIVKINSVDGFQGGEEDIIILSTVRSNSDGAVQVPSRSGMKDESPSTSTGIGGFPGDTEENVEDITVKRIPDTFSSLESYLDSFTCPLIEEVHADVFSSLDVYAHANFIEVVRMEKLDNEKFIFGFEVKEPSKDEKSRETYDPTEGDIIVVSTQKPKHVSDLTQNKASYVLGSVLKCGDDEDFPTDCCIVQLSSSIPVEADPETKMPKGAIFAVFLINMKTYNRIWKCLRLGANDGNLANLQNKSSTNMVNLVWQYKPKVVEDNSSQVSQCLKHGSMDFLGLEKLNLNASQLNAVADCVSVMENQLSSLKLIWGPPGTGKTKTISTILWAMLIKGRKTLTCAPTNTAILEVASRIVRLVRGCSDGSACFLSDIVLFGNKKRMKIDDGHELSVIFLDSRAERLLPCFVPNTGWRHCLCSLIDLLENSVTKYKYYIEDVLEKRKDIEKETAEKDKGENVPWRMQFGNGSCEKKCGRPEDKEEPSRLLPFKDYLKDGYNNLSQNLSYCIEILYNNHPRNSGTERSFQCMLELLELIKILHGMINCYKGNADIWSDELLETMIEEDSDPVLWSEQLVSVQTSTCIKSKFRLARLLCVQELKYLVKNLELPNCYSIQPIKLYLLQRTKCILCTVSSSFRLYNVPMDVSPSGICGPFKQPEKANLLEMLIVDEAAQLKECETLIPLQLPGITQAVFIGDEYQLPALVKSKIADNACFGRSVFERLSLLGYSKHLLNVQYRMHPEISRFPVATFYDGKISDGSNVTKAVSTQSKLSVGVVSPYNAQVRAIQEKVETKAKLPFMRSLKLARFIVCRSGLPKEENHDDSPVAPPAAVLPRRGRPSGAGMLARPRTPAMAASRSAALPPAVFACTGEKEGSKMSARNKSRRQKQVKKSECVDAIQSVVNYWEKLKKRDSSLSKFALSYLVRQIFSWSIEDVFNKELFKQKVKRIPETFTSSSNYFNSFTYPLLEETHADVFSSLDGYSHQNFISVTRMKELLHDDESTFFCFEVANPAKDEKSKETYAPCEGDIIVLTSRKPKQVSDLTRNTTSYILGSIVKGGEDDDDLPDNCFIARLSSVLPVETDSSTNEPKEPLFAVILINMKTYDRIWDCLHKGNSHIVDTVWRYKSKEVDEAMSSSSQLSQRFAARSAVDLNLEKYMLNNSQLNAVADCVLVSEKISSPIKLIWGPPGTGHRTLTCAPTNTAVLEVASRIVKLVHESPASSGQYLSNIVLFGNKKRMKIGEDHDLSVVFLSSRTERLSQCFESMKGWNHCLCSLIDFLEIPVTKKYKWYTVQMKMKGPNSVVLPLKEFVKDKCNELLEDFYYFMEILCTDFPRNSTMRQSFQYMNEVVEPLNILHALINVNDDNDDNLWFDDLLNGKGHGDSDPLKWPDLLASVHTDVCNKSKIRKARLLCVQILRYLKINLKLPDWDRLSLSDDDRKREIRVYLLQRTKCILCTVSSSYVLHNVSMDDRSECLKPLELLVVDEAAQLKECETLIPMQLPGIKQAVFIGDECQLPALVKSKISDNADFGRSVFERLSSLGYNKHLLNIQYRMQPEISKFPVASFYDGKISDGPNVVSKNYKRNILPGKMFGPYSFINVDGGHETTEKHGRSLKNTIEVAAVLWIVRRLFEESVFLGSKLTVGVVSPYNAQVKSVDGFQGAEEDVIIISTVRSNRAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNSRSVWQKVVNDAKHRGCFFEASEDKHLSNAIVNAVIELDDAENLVKMDSLQITNPRFQRAGPSVLCQCVAAVVYLCSG >ORUFI04G24620.4 pep chromosome:OR_W1943:4:24970843:24985232:-1 gene:ORUFI04G24620 transcript:ORUFI04G24620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDPVIVKINSVDGFQGGEEDIIILSTVRSNSDGAVGFLSNRQRTNVSLTRARYCLWILGNATTLSRSGSIWADLVRDAKDRQCFFNANSDKDISRVLAKHKIETNKVKDRKSTPFKVQVPSRSGMKDESPSTSTGIGGFPGDTEENVEDITVKRIPDTFSSLESYLDSFTCPLIEEVHADVFSSLDVYAHANFIEVVRMEKLDNEKFIFGFEVKEPSKDEKSRETYDPTEGDIIVVSTQKPKHVSDLTQNKASYVLGSVLKCGDDEDFPTDCCIVQLSSSIPVEADPETKMPKGAIFAVFLINMKTYNRIWKCLRLGANDGNLANLQNKSSTNMVNLVWQYKPKVVEDNSSQVSQCLKHGSMDFLGLEKLNLNASQLNAVADCVSVMENQLSSLKLIWGPPGTGKTKTISTILWAMLIKGRKTLTCAPTNTAILEVASRIVRLVRGCSDGSACFLSDIVLFGNKKRMKIDDGHELSVIFLDSRAERLLPCFVPNTGWRHCLCSLIDLLENSVTKYKYYIEDVLEKRKDIEKETAEKDKGENVPWRMQFGNGSCEKKCGRPEDKEEPSRLLPFKDYLKDGYNNLSQNLSYCIEILYNNHPRNSGTERSFQCMLELLELIKILHGMINCYKGNADIWSDELLETMIEEDSDPVLWSEQLVSVQTSTCIKSKFRLARLLCVQELKYLVKNLELPNCYSIQPIKLYLLQRTKCILCTVSSSFRLYNVPMDVSPSGICGPFKQPEKANLLEMLIVDEAAQLKECETLIPLQLPGITQAVFIGDEYQLPALVKSKIADNACFGRSVFERLSLLGYSKHLLNVQYRMHPEISRFPVATFYDGKISDGSNVTNGGHETTEKNGRSLKNTIEVATVLRIVQRLFKEAVSTQSKLSVGVVSPYNAQVRAIQEKVGKSYNMYDGFSVKVKSVDGFQGAEEDIIIISTVRSNGAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNSKSIWQKIIKDAQDRGCFFDANDDKDLSNAIIKAIIEHDDAENLSKMDSMHISRPRNQGQTTVHEKSEACKIQLVWTLGISYGVGVKLSVARVCRRKKTMCVTDDSPVAPPAAVLPRRGRPSGAGMLARPRTPAMAASRSAALPPAVFACTGEKEGSKMSARNKSRRQKQVKKSECVDAIQSVVNYWEKLKKRDSSLSKFALSYLVRQIFSWSIEDVFNKELFKQKVKRIPETFTSSSNYFNSFTYPLLEETHADVFSSLDGYSHQNFISVTRMKELLHDDESTFFCFEVANPAKDEKSKETYAPCEGDIIVLTSRKPKQVSDLTRNTTSYILGSIVKGGEDDDDLPDNCFIARLSSVLPVETDSSTNEPKEPLFAVILINMKTYDRIWDCLHKGNSHIVDTVWRYKSKEVDEAMSSSSQLSQRFAARSAVDLNLEKYMLNNSQLNAVADCVLVSEKISSPIKLIWGPPGTGHRTLTCAPTNTAVLEVASRIVKLVHESPASSGQYLSNIVLFGNKKRMKIGEDHDLSVVFLSSRTERLSQCFESMKGWNHCLCSLIDFLEIPVTKKYKWYTVQMKMKGPNSVVLPLKEFVKDKCNELLEDFYYFMEILCTDFPRNSTMRQSFQYMNEVVEPLNILHALINVNDDNDDNLWFDDLLNGKGHGDSDPLKWPDLLASVHTDVCNKSKIRKARLLCVQILRYLKINLKLPDWDRLSLSDDDRKREIRVYLLQRTKCILCTVSSSYVLHNVSMDDRSECLKPLELLVVDEAAQLKECETLIPMQLPGIKQAVFIGDECQLPALVKSKISDNADFGRSVFERLSSLGYNKHLLNIQYRMQPEISKFPVASFYDGKISDGPNVVSKNYKRNILPGKMFGPYSFINVDGGHETTEKHGRSLKNTIEVAAVLWIVRRLFEESVFLGSKLTVGVVSPYNAQVKSVDGFQGAEEDVIIISTVRSNRAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNSRSVWQKVVNDAKHRGCFFEASEDKHLSNAIVNAVIELDDAENLVKMDSLQITNPRFQRAGPSVLCQCVAAVVYLCSG >ORUFI04G24620.5 pep chromosome:OR_W1943:4:24975994:24996631:-1 gene:ORUFI04G24620 transcript:ORUFI04G24620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGGRAREGNKKPDDDDLVNTIFSWTLEDVMNQNLFADKVPSISFVFSIRLVNAIPDRFSGLKSYLDSFRALLLEEIRAEMSSNLETLPNNSSSTKHIQSLVRVPTGLRQCPLYRVTISDQRGACAPCIGDIVVLTDTVPRRPSDLASNGRSCCLAHVKDVVNRRTFLIRAAKKIGDADSYAFAASLLAFIPYARIWRCLDYDYALKINPPLVMAVAGVALTTSLAGSSSFHRANGGTDEITSRLPAFGLNDSQAGAIQSCVSAVQGNGASTTSGRFSLIWGPPGTGKTKTISVLLLMLMTTATSQSRYRVLTCAPTNTAISQVASRLLALSKQHSAAAAGGLCHGDLLLFGNKDRMGIDGDLKEVFLDNRVKILQKCFSPESGWRHGLSSLQVFLSFPLALRCQYIQACIALKDGTALPESSFVRSKFHDICQKLSRCFQTILSHVPKSVILEKNYNNIILLTTMLENFRKLLSKNSAAGDEVLVGIFMKEKKPDGSDGGVVHSDLVRNLRQSMTQILGVISTLLRGLQLPATTSPFKIKKFCLRSASLIFCTVSGSAKLYEQKMDLLLIDEAAQLKECESLIPLQVSGLKHAVLIGDECQLPATVKSKAADGALLGRSLFERLTLLGHQKHLLNMQYRMHPSISIFPNFSFYDKKILDGPNVTHVRHERSFLQGAMFGPYSFINIENGREDPGRSKRNMAEVAAIKKILHNLCKACVGTGEGVSVGIICPYAAQVEAIQSGIDANALRPLDVRVNSVDGFQGSEEDIIILSTVRHCLWILGDAATLLGSGSVWGELVRDAVDRRCFYDWDDGGAGLLGVARRGHEDELDDAVEFATAFDTFADEAGCRMKRIPSTFSDLKSYLESYTSPLLEEMRTEMSSSLEAISTMPSTKISWIEQKKNNKVYDIVFDADSQNSKACNRPESYVPSVGDIIILSDVKPEHISDITRNGRPYIVAFVTEGGDEDDDSPPVKYVIISSGKIDSEDGKCQDRKEIKLFAAYLLNIVTYIRIWRCLDYNTAVRRNQSLIQEMVHYPLVADIVQKQKKDHSIDSMEIWSKLSTMDLNNSQNDAILNCISSMHSNNSSSSFSLIWGPPGTGKTKTISVLLWLMREMDHGTLTCAPTNLAVKQVASRFLKVIKESSDRACLGDVLLCGNKQRMCVDGNLKKIYLHDRVRTLLGCFVPMTGWRHRLSSLSDLFENGYSQYQKYLEDQKEGDSLTFYSYTRKRFNATYPELRRCFQEVLFHVPKSTILEVNYNNIISLLELLEDFNKKFMNKNIEDEVKGIFLYNDDQSDSSVSSLTKFSKTAISLGKIRIRCLELLNMLLSSLKLPITSSKRTIREFCMESASIVFCTVSSSSKISNKKLQLLVVDEAAQLKECEGLIPLRLPTLKHAILIGDECQLPATVKSKVCEDASFGRSLFERLSSLGHEKHLLNMQYRMHPSISIFPNISFYDRKLLDAPNVKQKEHRKKYLPGLMFGPYSFFNIEDAHSKTKNKVTVGVICPYTAQVLAIQQKLGKMKFDPVIVKINSVDGFQGGEEDIIILSTVRSNSDGAVQVPSRSGMKDESPSTSTGIGGFPGDTEENVEDITVKRIPDTFSSLESYLDSFTCPLIEEVHADVFSSLDVYAHANFIEVVRMEKLDNEKFIFGFEVKEPSKDEKSRETYDPTEGDIIVVSTQKPKHVSDLTQNKASYVLGSVLKCGDDEDFPTDCCIVQLSSSIPVEADPETKMPKGAIFAVFLINMKTYNRIWKCLRLGANDGNLANLQNKSSTNMVNLVWQYKPKVVEDNSSQVSQCLKHGSMDFLGLEKLNLNASQLNAVADCVSVMENQLSSLKLIWGPPGTGKTKTISTILWAMLIKGRKTLTCAPTNTAILEVASRIVRLVRGCSDGSACFLSDIVLFGNKKRMKIDDGHELSVIFLDSRAERLLPCFVPNTGWRHCLCSLIDLLENSVTKYKYYIEDVLEKRKDIEKETAEKDKGENVPWRMQFGNGSCEKKCGRPEDKEEPSRLLPFKDYLKDGYNNLSQNLSYCIEILYNNHPRNSGTERSFQCMLELLELIKILHGMINCYKGNADIWSDELLETMIEEDSDPVLWSEQLVSVQTSTCIKSKFRLARLLCVQELKYLVKNLELPNCYSIQPIKLYLLQRTKCILCTVSSSFRLYNVPMDVSPSGICGPFKQPEKANLLEMLIVDEAAQLKECETLIPLQLPGITQAVFIGDEYQLPALVKSKIADNACFGRSVFERLSLLGYSKHLLNVQYRMHPEISRFPVATFYDGKISDGSNVTNGGHETTEKNGRSLKNTIEVATVLRIVQRLFKEAVSTQSKLSVGVVSPYNAQVRAIQEKVGKSYNMYDGFSVKVKSVDGFQGAEEDIIIISTVRSNGAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNSKSIWQKIIKDAQDRGCFFDANDDKDLSNAIIKAIIEHDDAENLSKMDSMHISRPRNQGQTTVHEKSEACKIQLVWTLGISYGVGVKLSVARVCRRKKTMCVTDDSPVAPPAAVLPRRGRPSGAGMLARPRTPAMAASRSAGVAGVGGRGTEYATWIWAETAQ >ORUFI04G24630.1 pep chromosome:OR_W1943:4:24999737:25005392:1 gene:ORUFI04G24630 transcript:ORUFI04G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCYSEWPPLPPLHPPRRTPSQTSLWTIRRQLASFVLHCSRSCASPLLEPKNLPDEFHAVSASTPAPVPVPVSPLPDAPKLGISNKFIRGLCSDRQTEQLAFECYRRALHQPEFLPDKKTMNALTVQLLRAKQWSSLEFLVEDFRAYGVMPERRTCARLVASCVKARKFGLADMVLGVLEGKRGAPAAVAFSSAMQAYNKLHMYRSTLLVYERMRAARLSRDADAYRAVMAACGALGKPEMVASLLKQYKSHKWYPSESCVETYAIVCDALGRAGRASDALKCLREMEADGIAPNATIYSSIIRSLADAHESSAAEDLYNEAWKKGMLGDPDMFLKVIVMHVEAGRVEKTMGVAKDMRETGLRVTDCILSTIVNGFVKRRGLKPAIRAYDKLIALGCEPGQVTYASVINVYCQLGRSDRAESVFSEMIDRGFDKCVVAYGNMISMYGKISRASDAMRLLAVMKKKGCEPNIWVYNSLLDMHGRLGNSRQAEKIWKEMMRRKIQPDRISYTAIINAFNRSGELDRCMDLYQEFRETGGKVDTALAGLMVGVFSKCSRFNELIELLKDMQGTRLDRRLYLTVLRSLRDAGLEVHVKWLQTNFSFVEEKT >ORUFI04G24640.1 pep chromosome:OR_W1943:4:25004983:25005987:-1 gene:ORUFI04G24640 transcript:ORUFI04G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWAQLPDDLLDNVAQRTAGIKDYVRLRAVCKSWRSFLRPRSRPPWLMLPYDPCSESCVRGFLDASDGTVHEIDLPDTRGKRCCGSSHGWLVLERWPDVWLLNPATRERVQLPSLMRRGEALAPPRFMERGARERWEDCAYRSLRRPLLQREVRVAALSSDPSVVDGGCTVVVLLGAEEEAVFCRPTDASWTPLACPAGAFAAVDVACQSGLFHLVSHHGRVAVFDLVSPLREVPTRRDRLHALAHTWDGRCLVQRRGGCGHEPLLLATWSGGGGAGELAVFRLGSDGWWTEADDVGEDVVLLAAANSGCLGFDTATCTGSNKGMLVSPVTY >ORUFI04G24650.1 pep chromosome:OR_W1943:4:25012626:25013294:-1 gene:ORUFI04G24650 transcript:ORUFI04G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYMLFSRREGMIRCDEQEEDIGCPSESELSLSSSSEGMELADDASSSGSSSSAAGHFEMSSLMTELPLKRGLSKFFDGKSQSFASLAAVGGLEDMAKPMRKRLKTSRSCGVGLGLQDAHRRGRLSPRPLCGNASAASFKKVSKGGQLSVLGASRRTRSPATAAISPRPEGMPGQALLFA >ORUFI04G24660.1 pep chromosome:OR_W1943:4:25014921:25016998:1 gene:ORUFI04G24660 transcript:ORUFI04G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEEEKDPPKHQSKIKMNSAEIKKRKIGLGKDQGSEKKEMSGKNIKSIKETGTKGQSKELQKKESKSRKSTKDKSKKNKDMTQVPANAEEFHKEYTTKVIRKESRTDSSSIEQVIGTSSETKIMDNQGEAFL >ORUFI04G24670.1 pep chromosome:OR_W1943:4:25017306:25020802:1 gene:ORUFI04G24670 transcript:ORUFI04G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKNIKSIKETGTKGQSKELQKKESKSRKSTKDKSKKNKGNKYNGQAGRSISMDTEIIGRSISLDTGISNELSQEEISINDKMKLNREKTNSKFNDIDNKTEDIPFDMDYANTNQVEDKDLEDNELENWNLDMFNLSNGQNVQNSADNGTEIGNQATTNVDTVESNDSDANGE >ORUFI04G24680.1 pep chromosome:OR_W1943:4:25023904:25024731:-1 gene:ORUFI04G24680 transcript:ORUFI04G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFPILHLRHWFLFVVDLKDESFVFIDSLFEEEEDYQYNARCRLISKFSIVWRKFVREHPINFASFKIIYPPRPRQTNRLDCGIFTLKFMEIWRPRVLLTNQFSQKDIPNIRIQYVNKLFFHPCNIVLNSTTKKLVTDYYAKG >ORUFI04G24690.1 pep chromosome:OR_W1943:4:25032495:25036953:1 gene:ORUFI04G24690 transcript:ORUFI04G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCLLLVLFLLPALATGHQHPSTLGSSALSEWRSAKASYYAADPEDAIGGACGFGDLGKHGYGMATVGLSTALFERGAACGGCYEVKCVDDLKYCLPGTSIVVTATNFCAPNFGLPADAGGVCNPPNHHFLLPIQSFEKIALWKAGVMPIQYRRVNCLRDGGVRFAVAGRSFFLTVLISNVGGAGDVRSVKIKGTESGWLSMGRNWGQIWHINSDFRGQPLSFELTSSDGKTLTNYNVVPKEWDFGKTYTGKQFLL >ORUFI04G24700.1 pep chromosome:OR_W1943:4:25036518:25036831:-1 gene:ORUFI04G24700 transcript:ORUFI04G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTDPPRRKTVTAMDVVYALKRQGRTLYGFGG >ORUFI04G24710.1 pep chromosome:OR_W1943:4:25041249:25046606:1 gene:ORUFI04G24710 transcript:ORUFI04G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFDGRMPTRGVEQAIVALKKGAHLLKFGKRGKPKFCPFRLSSDEKTLVWYSKDREKHLSLNSVSTVVLGQKTICRDRDQAECWFLGLTALTSSPYSPLPLAGSKSGRQINSCAISPRSYLQRKCRLSAALDTPRHTQVYPSYGSPKIQKKIFGGNLDSSEALFYPRHPTSCDIDSYIEKLNPKIATPVKHGLKDIMVANRRAQNITHTPKLKTFEGPRVACRLDSLKDVFLWGSVLGSMLGSEDIPKSLPRLVGSAKMLDVQSIACGENHAAIITKQGEVYTWGSYSSGRLDQKVNVNASCPKMVESLASVHVKAVAFGSKHTCAITVSGELFEWGEGAHSLGLMNDWYGRNQWFPHKFLGPMDNISVSKIACGEWHTAIITSSGQLFTYGDGTFGVLGHGDTQGVARPKEVESLKGSRVKSVACGPWHTAAIVEVMSSFKGNAPSGKLFTWGDADRGKLGHADRKMKLLPTCVDSLTAYDLIQVSCGTAVTVVLTVTGMVFTIGSSRHGQLGNPKADGESICSVEGPLKTEFVREISSGSSHVAVLTMSGKVFTWGKGAEGQLGLGDYSNRSSPTLVEALEGRDVESVVCGFNFTAAICLHKTMSMKDQSVCSSCQMVFGFTRKKHNCYNCGSMFCSSCTSNKITMAALAPDTNRRYRVCDACFYQLQKVVDSSKDKSRLKISKGEMFKLELKAYTPKLSRLFKEANFFAEKMASVQGTNQRNEEPATAVQTKTERWGQVECPALFISAQDSFQTQPVSNSEGCAISFSQRMHDSAVLKSGVSLRFTDAQREEIEMMQTELQGQVKQLHSQVAVLTEQCQQKSVMVQLYKQKLDDTWLVVRDEAARCKAAKDIIKVLTDQCNALSEKLSIGQLSENSKITPNSFPMQPTKIELQGNTGRNLVTRKLGQLNNTQNHGSSIQTEKECVPLSNAVVPEDVPFSQQNGARKFSSDGYTTEAPLAAPPTDSVTEQIEHGVYVTFSTSSCGKKDIKRIRFSRKHFGEKEAQHWWEHNKTRVYDNYKVEQMATPSVTLSCSSTR >ORUFI04G24720.1 pep chromosome:OR_W1943:4:25046808:25047989:1 gene:ORUFI04G24720 transcript:ORUFI04G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARAAVIPVAAPPRTISVSLAASPSSSHPLRPVASSVNLVMERVAKRPRGFAFLSYASEEESKNAMEGMQGKFLDGRVIFVEVARQRSEL >ORUFI04G24730.1 pep chromosome:OR_W1943:4:25048461:25049989:1 gene:ORUFI04G24730 transcript:ORUFI04G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKLQTHEEENGNIEYLNCTWPDKNHGHSLFCGVLARFQSASCNRKAAPPAVHQFLLICTLLEDVFDSVQDPSLRIPL >ORUFI04G24740.1 pep chromosome:OR_W1943:4:25048699:25050897:-1 gene:ORUFI04G24740 transcript:ORUFI04G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQETKARNDQGPVADHVGHQNLMENLTDPLDSSGMDMMDEARIPKARKPYTITKQREKWTEDEHKLFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFSKVIKESSGDNCNSLGAAPSIQIPPPRPKRKPVHPYPRKLGSTASKNVPALKQLEKPQLQVQSLYDQDNGSPTSVLTVPQIRADTLGSESGGSPTSTIDIEERCPTPSIATAELAVELPPTNDEEVKGNGDHEEVTCDRSGVPVLRLFGKRVMVNDLHQMSVPDAGNLQTVADMEVDASAETPTSGTGKFSSHGAAEANTWNPWLTNTQQFLYYLPNGQIFSVHSALPCFTYHNEGVTCTQFSNPQVVASDQQHQHQTSEAVDYKGIRREGSWTESNTSSSSVPETATHNSETTESYRNGNRNEDEMVPSPDSRKCVSPGSNCRRGFVPYKRCVADSEALLKSQAPQEEADGEMTRLCL >ORUFI04G24750.1 pep chromosome:OR_W1943:4:25055181:25057214:-1 gene:ORUFI04G24750 transcript:ORUFI04G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILHSTTFCFSFVASLALIILDRTCSCLQFTYPSFGTPNKADFNFSAGSGIANGSLVITPSTGDISHRSGRVLYARETLKLWNSRRSALTSFRTEFVLNILPRNQTGEGMAFILTNNPELPTDSSGQWLGICNNRTDGDPKNRIVAVEFDMRMSVNETDGNHVGLDINSIGSLDPYPLSNVSLILSSGADVQVRITYNSTEQVLVAILIQFDTTGEHYGSKAWSVDLSQFLFDDVYVGFAGSTGDFTELNQIKSWNFATIDDDITTGRRHGRKVLLPLVAFILFAMSSFLVFLVWRRSTRKRRLAYRNLEKMIDAHGPVKFKLKELRRATANFSSSRKLGRGGFGTVYHGYLSSMNMEVAVKRVAANNKSSSNRGEQEFVAEVNTISKLSHRNLVKLIGWCHEGGELLLVYEYFPMGSLDKLLYGGARPAELTWERRYKIICGVASALEYLHHGSSSRILHRDVKASNVMLDEEYSARLGDFGLARVIHLDEVTHHSTQAVAGTRGYMAYECFFTGRASLDTDVYAFGVFVMEVLTGRSPSSSVTYHNRQQEHDHDGRRQPMYIVDWMWRHYGDGTVLEAADAVLGGAYDEAQVERAARLALACCHPSPRERPSMRTAVQVLVGGAPAPEPPFEKPAFVWPPDGKRQEIELPHVGVLFTGGQLSFCSMTSTSITGR >ORUFI04G24760.1 pep chromosome:OR_W1943:4:25061236:25065289:-1 gene:ORUFI04G24760 transcript:ORUFI04G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRQELRSLHPDDSQIVVLKNGVWRIKGIIQVSRSIGDAYLKKQEFALDPSMTRFHLSEPLRRPVLTSEPSIYTRVLHSQDSFFIFASDGLWEHLTNQQAVEIVHNNPREGIARRLVKAALKEAARKREMKYNDIKKLEKGVRRFFHDDITVVVVFIDHELLQYGDESTPEISVRGFVDSGGPSSFSGRTMKLPSSILCLSLLASTSLQILGSTCSCLHFNFPNFDTTSVDDFSFSPGSGIANGSLQITLSTGNITNQSGRVCYTRETLRLWDSKKRTVASFRTEFVLNILPNQQQNETGEGLAFILTSNLSSPRGSSGQWLGIANEQTDGSPANRIVAVEFDTRKSYDEDLDSNHVGLDVNGIRSVVQYPLSNVSIFLSSGFDLFVSISYKSRFRLLIVEAMQLSTRGLHVVVQAWPIDLSRYLSEEIYVGFAGSTGEFTELNQIKSWKFITAGDFDSKAVRQEWTGHR >ORUFI04G24760.2 pep chromosome:OR_W1943:4:25062790:25065289:-1 gene:ORUFI04G24760 transcript:ORUFI04G24760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRQELRSLHPDDSQIVVLKNGVWRIKGIIQVSRSIGDAYLKKQEFALDPSMTRFHLSEPLRRPVLTSEPSIYTRVLHSQDSFFIFASDGLWEHLTNQQAVEIVHNNPREGIARRLVKAALKEAARKREMKYNDIKKLEKGVRRFFHDDITVVVVFIDHELLQYGDESTPEISVRGFVDSGGPSSFSGLNGIS >ORUFI04G24770.1 pep chromosome:OR_W1943:4:25069690:25075104:1 gene:ORUFI04G24770 transcript:ORUFI04G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSSLLRDLLAADGFRNRRKPPDSNPPAAPRTTSMPLQHRRPSRPARSQSDVLTRSRLRETNNVGTSDGDGVDAGEEQRTATRRSSASLMSARSYNNNKDSGGGAMRGGSAAAVPALDESVLTALISLVAGAVKRFVRDEGFRASLRGGCMSCLGGESNHRAVLDLRVIVHTVERAASEGLDDPRDLKRASLRLHAMASLDAKEADAVSAAGVPYQRLAACAHLYMSVISKLQEKDHSSAVHVLEAFCLAPHEARTSLLPALWDRLFRSGLSHLKAWRDRESATAASSDTRVKDVEKMFVEAVDDGTRALACYYRDWLLGRSQAMSLPVVPAPPNTVLASALRFSTSTSYDIGSDVACSSGSYSPAVKFALDETPSQYDREIEEEEEAEVDEKTADAESVFHECDGTEPKSYTHSLQTEENELMPDKLANEASERKSEDERSRQPDESTSYVPISDITAIDLPTLEFCEGPDLQSDTDGSQISIFATIPSDFLCPLTRQIFNRPVTIETGQTFERHAIVQWFDKGIRMCPITGQELESLSIPDINRVLKRLIDNWKSEHCKTLNSESTCPEKELTAIFVENVLSSECETSEKLEKARRLMAIGGIDFLLHKFHGGGEDEKAQAAELLLLCIRAEGSCRNYMAIRICNSSVVQLLHSEVISARSSAVRLLVELLCLKRFCLLFVTPNASKYVLYVEPHRDSVYREEAAKIITHSLRCSLSEENVVANIRKALLLLGGHFSFSGDLLAEDRMLKQAGFVDGSRVTRADSDAAVQDKGRDEDEVWLRDVTAALLGSGRRPFLEALSMCMSSPNHDLAAACLTTAAWLSRSLASIDAADVQLAAFSALIPRLKQRLAGDRSQAQHRVLASVTLYNFSKIPDCRALLMLLADGLRDHLVELAELTWTAGQLITELQE >ORUFI04G24780.1 pep chromosome:OR_W1943:4:25078495:25083906:1 gene:ORUFI04G24780 transcript:ORUFI04G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACGGSLRSKYLSFKQTASQRHDTDDNNNAAAADSPKKPSRPPAAAKTDDHPVSASAPAAAMRRGQAPADLGSVLGHPTPNLRDLYAMGRKLGQGQFGTTYLCTELSTGVDYACKSISKRKLITKEDIEDVRREIQIMHHLSGHKNVVAIKGAYEDQLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQTFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGFIDFDSDPWPVISESAKDLITKMLNPRPKERLTAHEVLCHPWIRDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQTMDADNSGAITYDELKEGLRKYGSTLKDTEIRDLMDAADNFSLMIVFLLQTFQKQVNMADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVINEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAL >ORUFI04G24790.1 pep chromosome:OR_W1943:4:25083267:25085864:-1 gene:ORUFI04G24790 transcript:ORUFI04G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTQALADALRSCGSRGALAGARALHGRLVTVGLASAVFLQNTLLHAYLSCGALSDARRLLRADIKEPNVITHNIMMNGYAKQGSLSDAEELFDRMPRRDVASWNTLMSGYFQARRFLDGLETFVSMHRSGDSLPNAFTFCCVMKSCGALGCRELAPQLLGLFWKFDFWGDPDVETALVDMFVRCGYVDFASRLFSQIERPTIFCRNSMLAGYAKLYGIDHAIEYFEDMAERDVVSWNMMIAALSQSGRVREALGLVVEMHRKGVRLDSTTYTSSLTACARLFSLGWGKQLHAKVIRSLPQIDPYVASALIELYAKCGSFKEAKRVFNSLQDRNSVSWTVLIGGSLQYECFSKSVELFNQMRAELMAIDQFALATLISGCFNRMDLCLGRQLHSLCLKSGHNRAIVVSNSLISLYAKCGDLQNAEFVFSSMSERDIVSWTSMITAYSQIGNIIKAREFFDGMDTRNAITWNAMLGAYIQHGAEEDGLKMYSAMLSQKDVTPDWVTYVTLFRGCADIGANKLGDQIIGHTVKAGLILNVSVANAAITMYSKCGRISEAQKLFDLLNGKDVVSWNAMITGYSQHGMGKQAAKTFDDMLSKGAKPDYISYVAVLSGCSHSGLVQEGKLYFDMMTRVHGISPGLVHFSCMVDLLGRAGHLTEAKDLIDKMPMKPTAEVWGALLSACKIHGNDELAELAAKHVFELDSPDSGSYMLLAKIYSDAGKSDDSAQVRKLMRDKGIKKNPGYSWMEVENKVHVFKADDVSHPQVIAIRNKLDELMEKIAHLGYVRTESPRSEIHHSEKLAVAFGIMSLPAWMPIHIMKNLRICGDCHTVIKLISSVTDREFVIRDGVRFHHFKSGSCSCGDYW >ORUFI04G24800.1 pep chromosome:OR_W1943:4:25086032:25089891:1 gene:ORUFI04G24800 transcript:ORUFI04G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGAGSLEARPGVLVVGAPGVGKRTILSRLLAAEIPDTHDLSSGVLCQGWNIDTKYYSADLSVWTAHLGQGFSLDSLPHLDQLDALVMVFDMSDESSFLTLQNWVSSVDVQRFEILLCIGNKADLVLGHGAHVEYRRRMQKIGESSTDPHPEYLDFGINESEGCGLLSEEEPQIEIRDSASHWCIEHNIEYVEACASNADFDKCLSVNGDSQGLERLLGALSAHMWPGMILKSGNRITVPSLVEKEESTDDESNYEFEYEVLSHGSDEQWEFIGESSTSRDLEGLNEDTATHDKTNQVVDADANSVASNPLPNGRMTETAEEKLVPQSHKSEVSNDMDNTQADASEKLQAGIPEGNKLFEDEHYGLDDLERLMSEIGNMRSNLRLMPDFQRKEMAAKLAMKMATMFGDNDEEGSEDI >ORUFI04G24810.1 pep chromosome:OR_W1943:4:25089694:25091916:-1 gene:ORUFI04G24810 transcript:ORUFI04G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASVAVSLSFRVVPPRPCRRRARWPRPIRASSDGSGVANGDRKVGALERRVGDLRAVVASVPPAVAAIKKNIGLNFIAGFCLGITLLAAVARQVIIRIHERDNKGSVADLIRRGQLKSGQRGIAKPRVYDDPFNNPLVKIDEDTSTAQVFGKEYRLAPIRLTKEQQAMHQKRRSRTYQWKRPTVFLKEGDSLPPDVDPDTVRWIPVNHPFAAASTEVDEETAKQNVYQKDGVPSRVKAEHEALQTRLEASNNVNKFSSSQRNMQLSDRQLRLSSNESSGSRQNSLSGTVKNQNGQPTLESEKRSPDTNSQSKSLEEQ >ORUFI04G24820.1 pep chromosome:OR_W1943:4:25100199:25100786:-1 gene:ORUFI04G24820 transcript:ORUFI04G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMAARKLLEQPGTAAPPVLGGSIADDRDIVIILASLLCALICVLGIGLVARCACSRRGGGGPDAAAANKGVKKSVLRAIPTVEYVSPGGGGKGKEEEEAAAEEGEQSECAICLSDFEHGDAMRVLPQCGHAFHAACIDKWLRGHSSCPSCRRILVLQLPQGERCQRCGARPEPAAASAVWKPTHYSEVPPFLP >ORUFI04G24830.1 pep chromosome:OR_W1943:4:25104840:25110871:-1 gene:ORUFI04G24830 transcript:ORUFI04G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHGWQLPAHTLQVVAITVFFLLCIEFYAFFSPFLGKDLYQFIAIGVYSFLALSVLILYVRCTAIDPADPGIMITVNGALTYKSEAKLDTQDETGKSESRTYEDVQKHKSCLGAGCLCCAIFVKEDCRKDDEAYQQEDYDEEALFCTLCNAEWLNNCVGRKNYVTFLCLMAVSLAWALGTALSMLASVPLGELFFFHMILIRKGITTYEYVVAMRAQSEPPGPSVNDEQQSLPSSPMSSAPTAFSGSSFARHYKGAWCTPPRIFIDQDEIIPHLQPGRVPSTVDPDSMNPTERAKHYPKRPVRISAWKLAKLDSNEAMKAAARARASSSVLKPVNTRAQYEADRCSSDNTSCRSSVMSVDIGNHINSRSVRNSQYKSSYPPSRASADDIELYPQTPSSFQSNSQTPTPISEHHPAKHFNPIYQTSANRSPFSAVASGVNEASVSDISTRRFGASNADRSSRSSVYWDQEAGRFVSSQANHGSSSRLPRSDLLYTGQSIFFGGPLMTDPATRSFRDPGGSSQRAGASRPHQLPVFVPSDPQKDRFSRLP >ORUFI04G24840.1 pep chromosome:OR_W1943:4:25113743:25117479:-1 gene:ORUFI04G24840 transcript:ORUFI04G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIFYLFSIFCCLCSCAQSQNISGRPDAVRIGAQFARNSTIGRVAAVAVLAAVNDINNDSNILPGTKLDLHMHDSSCNRFLGIVQALQFMEKDTVAIIGPLSSTTAHVLSHLANELHVPLMSFSATDPTLSSLEYPFFVRTTVSDQFQMTAVADLVEYYGWKQVTTIFVDNDYGRNAISSLGDELSKRRSKILYKAPFRPGASNNEIADVLIKVAMMESRVIILHANPDSGLVVFQQALKLGMVSNGYAWIATDWLTSYLDPSVHLDIGLLSTMQGVLTLRHHTENTRRKSMLSSKWSELLKEDSGHSRFLLSTYGLYAYDTVWMLAHALDAFFNSGGNISFSPDPKLNEISGRGLNLEALSVFDGGQLLLEKIHQVDFLGATGPVKFDSGGNLIQPAYDIVSIIGSGLRTVGYWSNYSGLSVISPETLYKKPANRTRETQKLHDVIWPGETINKPRGWVFPNNGNEIKIGVPDRVSYRQFVSVDSETGMVRGLCIDVFVAAINLLAYPVPYRFVPFGNNRENPSYLELINKIITDDFDAVVGDVTIVTNRTKVVDFTQPYVSSGLVVLTSVKRQNSGGWAFLQPFTIKMWTVTGLFFLIIGTVVWMLEHRINDEFRGPPAKQLITVFWFSFSTLFFAHREDTRSTLGRFVIIIWLFVVLIIQSSYTASLTSILTVQQLTSPITGIDSLITSDVPIGFQVGSFAENYLAQELGVAHSRLKALGSPEEYKKALDLGPSKGGVAAIVDERPYIELFLYQNPKFAVVGSEFTKSGWGFAFPRDSPLSVDLSTAILELSENGDLQRIHDKWLASDMSSMSQASELDQDPDRLDVYSFSALFLICGLACIFALAIHACNLFYQYSRHAAEEDPAALQPSASDGSRSLSRRSKLQSFLSFADRREADIRRAAKEKAAGLGGSGGSMSGVSFTSSGSGSTTASC >ORUFI04G24850.1 pep chromosome:OR_W1943:4:25119704:25125371:-1 gene:ORUFI04G24850 transcript:ORUFI04G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSSATAAGSKKRRSKTGALTLGEVKTLGEELLSSRANLNHAPTLLALLSPSAPLDLALEALISLQSFFVPLLPSIPSAAATAAAAAGDADPELVFRSWLRKRFDELVAALVELTVSPHSDDAIRDLALDALMDFVKLGKDGRFQSAIYHKFIHNLIHATDSIDPLLELLGPKYFIYTDVCYFTYTSLDKIASSIGNKATGSEKSGLHSGDDGPEDRGTIYVRNIYNTLAHIPALDFQESKFNMWSTVGLSSKGEKNSSEDCSATYINKKLKSKFSKAWISFLKLPLPLDVYKEVLATIHKNVIPSMSNPAIFDFLTRSYDIGGVISVMALSGLFILMTQHGLEYPKFYDKLYALLTPAVFMAKHRAVFLQLLDACLKSSYLPAYLAAAFAKRLSRLTLSVPPAGALIIIALIHNLLRRHPSINFLVHWEIDANDSEIFNEASQRKKVGADPFNNEETDPAKSGAMRSSLWEIDTLRHHYSPAVSRFVASLENDLTVRAKTTEMKITDFSSGSYATVFRDEVRRRIKQVPLAFYRTTPTCLFQESDFPGWTFRDQFKNMAETSVEGNGISTVDISNSSPAKRLRMET >ORUFI04G24860.1 pep chromosome:OR_W1943:4:25126220:25128802:-1 gene:ORUFI04G24860 transcript:ORUFI04G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPPRRPSAARPRPRSLQRAAAALFLAILVALPFAVLYRGPVSRSLHDSWEWDPLPSLDASEEDGAARDDDLDSQDLKLEQVLQKASMGDNTVILTTLNSAWASPGSVIDLFIDSFRSGVRTSSLLNHLVIIAFDWNAYKQCLKIHPYCFALGTDGVDFSEEKRFLTSGYLEMMWKRIDFLRLVLESGFSFIFSDADIMWFRSPFPYFYPDGDFQIACDHYFGNATDLRNIANGGFNYVKSNERSIEFYSFWYSSRLRYPGLHDQDVFNVIKHDPYVSDIGLKIKFLSTSYFGGFCEPSRDLNKVCTMHANCCIGLQSKSYDGRLEELFVLTSKLEKIECIGMEGAAKLQPFLVTPIKRPYGQQKEMKMVKPAESALPSPRKNLQLNI >ORUFI04G24860.2 pep chromosome:OR_W1943:4:25126220:25128802:-1 gene:ORUFI04G24860 transcript:ORUFI04G24860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERPPRRPSAARPRPRSLQRAAAALFLAILVALPFAVLYRGPVSRSLHDSWEWDPLPSLDASEEDGAARDDDLDSQDLKLEQVLQKASMGDNTVILTTLNSAWASPGSVIDLFIDSFRSGVRTSSLLNHLVIIAFDWNAYKQCLKIHPYCFALGTDGVDFSEEKRFLTSGYLEMMWKRIDFLRLVLESGFSFIFSIACDHYFGNATDLRNIANGGFNYVKSNERSIEFYSFWYSSRLRYPGLHDQDVFNVIKHDPYVSDIGLKIKFLSTSYFGGFCEPSRDLNKVCTMHANCCIGLQSKSYDGRLEELFVLTSKLEKIECIGMEGAAKLQPFLVTPIKRPYGQQKEMKMVKPAESALPSPRKNLQLNI >ORUFI04G24870.1 pep chromosome:OR_W1943:4:25129421:25130536:-1 gene:ORUFI04G24870 transcript:ORUFI04G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAIEGTMSSGSWGNGGGEQGWTLYPWEAGIVPRASRFEAGGEGGDNISGGLGQPPVCVGKWGRWAMTVSPARADESGRREDSDVVGSDVHRRGVHGGCRSEEEEGPDSNSMLWSVHLWSIGLVIVEQ >ORUFI04G24880.1 pep chromosome:OR_W1943:4:25131969:25133413:-1 gene:ORUFI04G24880 transcript:ORUFI04G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAAALRPPFRLARLSTSHGGGGGVTHPLRPRLQRRALACRADLQQDAPFAAAIGACLLASLVLPTSRGRRDDDEEEGEFGATDTRMGVMGIISLLPYFNWLSWIFAWLDSGKRRYLFYAAAYLAPYLRYWTHAVCKGNQQKLPSIFRTNLSLSPEESWLPIASIFACILHVQLEASIRTGDIETFRFVQRAWRLIFPSALKEDVQHRNKGKSIRMGSGNRRIPSAHESREKLRNSDIFKRRLDEPNDEKQNKSDWN >ORUFI04G24890.1 pep chromosome:OR_W1943:4:25137332:25139228:-1 gene:ORUFI04G24890 transcript:ORUFI04G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSESGSGSGSRGEITLAIGGGGASAAAWTRLVSSGMEDVLVVGGAGGWRGGGGDGAGGLLPYGHFLEACFLCRKPLASNRDIYMYRGDIPFCSEECRREQIEMDDEMERKEKKNISKKVAPRTPDPREVESTPRPPKARAGSILAG >ORUFI04G24900.1 pep chromosome:OR_W1943:4:25148356:25149429:-1 gene:ORUFI04G24900 transcript:ORUFI04G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGAAMPSSMFYVHEADVAQIHHFLEECSLCGKSLSGDIFMYRGDTPFCSEECRQQQIEVDRAKHRRKKRAAAHAVSVRKEHRNHHHHHRHHHQQPQPRPAIDANPWGTARGPALRWKPFVSPKLLGGFGGHQGPVPLPSCKI >ORUFI04G24900.2 pep chromosome:OR_W1943:4:25148978:25149429:-1 gene:ORUFI04G24900 transcript:ORUFI04G24900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGAAMPSSMFYVHEADVAQIHHFLEECSLCGKSLSGDIFMYRGDTPFCSEECRQQQIEVDRAKHRRKKRAAAHAVSVRKEHRNHHHHHRHHHQQPQPRPAIDANPWGTARGPALRV >ORUFI04G24910.1 pep chromosome:OR_W1943:4:25152975:25153456:-1 gene:ORUFI04G24910 transcript:ORUFI04G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYHPTSIHDDIEAGFSGHSASPVKPAASPRRPGGRLFCDPCDDADDLLGHHHYLDICFRCRRPLGGNRDIFMYRGDMPFCSEECRQEQIEIDEAREQRSKQTGRAEQERQRQQKASHPRIPVWAW >ORUFI04G24920.1 pep chromosome:OR_W1943:4:25161736:25163490:-1 gene:ORUFI04G24920 transcript:ORUFI04G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGAGEESEEVHRQDEIFAMWVARVDLVLALRLAPFCGSCVKRLRITRCVLLYMACSTNICHDSRRLEEELSSTIGLFWNLDTPLQCACLTMWCCRLIRGQKAGHLGALLNF >ORUFI04G24930.1 pep chromosome:OR_W1943:4:25163616:25167439:-1 gene:ORUFI04G24930 transcript:ORUFI04G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLACAFFFDAEPVGETGRHALDACALCTKPLRRDSDIFMYRGDTPFCSEECRYEQMHLDAACARQAASARRKQQQQGQRSRHETAPAAPVSRKAGINIITMAASLACAFFFDAEPVGEQGRHALDACALCTKQLTRDSDIFMYKGDTPFCSEECRYEQMQLDAVYARSAGRRQQQQYSGRADSRRGHRETRKVSVAS >ORUFI04G24940.1 pep chromosome:OR_W1943:4:25176817:25177233:1 gene:ORUFI04G24940 transcript:ORUFI04G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEDGARPPPGAWPRGFDLYGWAYSEGLHRHHGGDTLWRVCSLAPSPPLSALYVSWQITGTLTDLTLHAIMGECGFRWHEEFLETLGEAQKKATRFGVADLFPSSRLLPAVGSRSGDGRQELTRELAGAAVEVGWS >ORUFI04G24950.1 pep chromosome:OR_W1943:4:25179102:25181670:1 gene:ORUFI04G24950 transcript:ORUFI04G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRALSPLVFTLLLLLAASTSDASILEETCKSLAGNHTAIDYPYCVRFFQASKESATADTHGLAAIAVRITEAAAEGTAKRIAALRSSHKEEKMQECLRISSDLYAYMLAVLGNEAKAAALVDGGAQHAAASPPTPDVARYVADGCEGRFRGNKETLPLVAEYAEFRQSASIALALIEAISPPSDQFCKPNNLVRSFSPLIVFSLLLLLTSSTTSRASLVDDACTSFAASHADIGYAYCVRFFQSDEGSATADRYGLAAIAVKISAATARGTAKRIADLQDLERDKRRKDCLSACGEVYDSAVDSLDEAAKGIASRSADGLRDAVTVLSAALDTPDTCEDGFRELGLASPLVAEDEEFSKESAIALGVTSALSPPS >ORUFI04G24960.1 pep chromosome:OR_W1943:4:25183587:25187956:1 gene:ORUFI04G24960 transcript:ORUFI04G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNAGGNNSSGAANNAEVQIPIPAPSKAEAAAAPETPAGKPFRWWAMVAVDVFFLIAGQTSATLLGRYYYTQGGRSKWISAFVRTAGFPILFFTLFFFPSKSPSSCTNTPMAKLAVIYIVLGLIIAADDMMYTGGLKYLPASTYSLICASQLAFNVVFSYVLNSQKVTPLIFNSVVLLTMSASLIGVSKESQGVTGVSGGKYLLGFVLTLGASCTYSLILALMQLTFETIIKKHTFSAVLNMQIYTALVATAASVVGLFASGEWRSLRGEMNAFRSGQFSYLMTLLWAAVSWQVANIGVLGLIFEVSALFSNVISTVSLPVIPFFAVVVFHDRMNGVKIVAMLIAIWGFISYLFQHYLDGKKAKKASSGDSAQGLPLLLDEWMTSTRPILLFILFLNLTMSDATEIQLQITGVRGQEDVVAESDKSTSRADGTAAAAAAAAPLPPVSSQRLRWWAVVLANIVFVLGGQSVATLLGRIYYDQGGGSLWLATVVQSCGAPLAVPLLLYFRRPEASPVARPPLLKIAAIYAGLGVLLAGDNLMYSYALLYLPLSTYSLVCATQLCFNAVFSYFLNKERFTALVLNSVVLLTFSAALVGVSHSSEETNSSVPEGKFALGFVLALSASAAFALILSLMQLTFDTVLRSNAAHAVLELQLWSNAAASCVSVAGLFISGEWSSLTAEMDGYKKGEVAYGMTLAWTAISWQLATMGMVGLVATVSSLFTNVISTVGMPLSPIMAVIFLGDRMDGAKVIAMLIGIWGFLSYVYQHYLDDAKSKNTAGSADVTQTSEAKL >ORUFI04G24960.2 pep chromosome:OR_W1943:4:25187762:25189179:1 gene:ORUFI04G24960 transcript:ORUFI04G24960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRIGRIYPEFCFLKPRDKPRSQVHGGGGRGSGAGGARAKVGGQRSAAPTTGRRQIRSPRLAGVTGDGLGR >ORUFI04G24970.1 pep chromosome:OR_W1943:4:25189226:25194211:1 gene:ORUFI04G24970 transcript:ORUFI04G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADVAATKAADYGVCRDAGPRNRGRKPCRAVWPADDGDARRRSPPWRRCFRLPISFPNHILRVKTLLPSERAVAAIHVASSLGAPLWRNLFVQGLSRVYALASNFKQESDHGEDGSAPKAAAVRGGSPRGGVRWWLSVAADMFMVLCGQTVATLLGRLYYNSGGNSKWMATLTQSAGSPLLAILLLFTPAPAADEPRPAAAKMAPIYVGLGIIIGFDNLMYSYALQYLPVSTFSLVAATQLGFNSVTSRLINAQRFTVLIANSVVVLTFSAALLGIGASSDETASSVPRGKYPAGFALTLAASAVFALILSLFEATFEKVVRTRTLRWVLRAQLWTNVVASTVSAVGLLASGDWRTIPAEMAAFKDGRARYVATLVGTAVSWQVMAVGSLRLIVRVSSLFANVTGTLSLPLVPVFAVALFGDRMTGIKAVSMLMAVWGFLSYAYQQYIDGRRAAGAGKGRAAAECRVCAARAGSDPDSPA >ORUFI04G24980.1 pep chromosome:OR_W1943:4:25194397:25214478:1 gene:ORUFI04G24980 transcript:ORUFI04G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSVNVFAELSVKSWRGWKDKGRRGEAGVARPRRRLAAAGAATGEGRREGVGVGVGGDMGEGEGVAARARSRTETPAAAQRSSSVAEYGPGKAVCVFDYPFPEVGVGLLEDDDAVKQSGRLTETNQYCTGNRLPRMGISSFDTVNE >ORUFI04G24990.1 pep chromosome:OR_W1943:4:25217133:25217984:-1 gene:ORUFI04G24990 transcript:ORUFI04G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSRHPYEGGVGVCAPCLRDRLLALAAAQNEASSLPPPPEPVPVFPRSVSPYVCRRKSDASGARRRTPSLLFFRTPQVGPAYGGGGGGGGGAGGFEEGDIEFEIRRRSSKFSVLAALFGAHRQHGSEEKRSSKERKHRSWLAAIMAPWGPRKKDPAVASPPRHSCHVISNRGLSPAMRYADEGGEEGSSPAESPWRPSPMRKTPCRRRPGGATGAGGVSGFAVCISPLVRPSPARHHRGGGHPPDAAAFSGELGPSPLHRLSSGSSLPHCRSWKLADGGRFR >ORUFI04G25000.1 pep chromosome:OR_W1943:4:25220089:25230656:1 gene:ORUFI04G25000 transcript:ORUFI04G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKRTGAAAGAASKKPPASQPSQPAKFGILHFFERQSQASSQNAKRQKADGPSQPPAPPPPPPPPPLIEEEPSEVSPEVTKTLAPKRVRFSPGMLIKQSQDDGGGEVVTWKISPVNDRLRMVTSRQMTGMALHPCSNNEKHSSVESTKKWHSSLLGMSRCTASARNLDMCGTGPGGCDGVEDTQSPFRTPPSLSYRCSEQQLNGGVVSDGGPEQLGAGEHKKALLDLLDQVEDAIMEEELPADGENKGEQLINGDPKNISCSPIADSDQNVPSQKSLDAPPFDSFLVLEVSEKHKGDNLPCDRYPVKVLRLLNEHGGKEHAVHLCDEWFHSLISPGDTVSVIGEFTDQGICIIDHDKNLVIVHPELLISGTRVASSFHCPRRSVLDDRLKSNEYSTSALIGTLLHQVFQAGLLEDVPSTQFLEQQAKEVLLKNIESLYACGASESNTLKTLIEAVPKILNWYKCFMKVSKCNNVNFGQIEGQKTVGVVEVMDIEEMAWAPRYGLKGIIDASIRSRVSCNGSSYDRVMPLEFKTGKGTSGQTAVEHTAQVILYTLLMTERYLNKDIDLGLLYYLHTDQTLGIKVKRSDLIGLIMRRNELATEILKASISQSFPPMIQAHGGNAATSGLGDLFDNLVNHLTVAHHTFLKHWDRLIDLEARVSQVKKKEIFQPHHSNTGSRHSSPSYFVLDVTNGHSIDSSGKSKRYIYTFLRQKLQPETADQPGEHVESVDFSLKCGDSVVLSTKSGRIAVANGYIRDLSRAHITVSLPRRLRLPGSNSLLEQGDLQCEVWRIDKDESVSSFATMRLNLVQLFAENPQNSHLRKLIVDLEAPRFDSGGLLSQDPALSYIQSLPNLNNDQQRSLHKILAAKDYALILGMPGTGKTYTMVHAVKSLLMRGESILLTSYTNSAIDNLLMKLKAEGVDFLRIGRHEAVHPDVKGHCLSTTDIQSVDAVKARMEQVQVVGVTCLGIYHPLLAHKKFDTCIMDEAGQITLPSSEARENGMGVSLFWRLSEAHPQAISALRCQYRMSSGIMELSNSLIYGNRLSCGSLEIANAKLKLSGRGPVRLKLKEILNPDRAVVFANTDEVPAFEAKEHRTVNNPTEALIVSWITNELLKRGVAQDGVGIITPYNAQANLIQQHVDASVEVHTIDKYQGRDKECIIVSFVRSNGNSRASGSSLLGDWHRINVVLTRAKKKLIMVGSAATLSTIPLLRLMIEKVAERGGQLDLTNKDVQSIRELTSSRLNVQ >ORUFI04G25010.1 pep chromosome:OR_W1943:4:25231078:25233024:1 gene:ORUFI04G25010 transcript:ORUFI04G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYASFSRFRTSFFSMRIRLGFDLSFSAVDSPNPTRIRAIAFRLVYREAGFKSSSHLVHFSHPSPELFPRFLHHATRFATVPARRRSEHERMASQSPQSRGVAEPISLVGPTPADLESTARLERLLREEGLYESAEETAAREEVLRGLRGVVDRWVKRLTRQRGYPDGMADRATALVLPFGSYRLGVHGRGSDIDALVVGPSYVDRDRDFFGALAAALAETAAVAELQPVPGAHVPVIKMRFHGVQVDLVYAGVCLPVVPGDLDLSGRSVLRGLDLATARSLNGVRVADEILRLVPDAAAFRTTLRCVKHWAKARGVYSNVAGFLGGVGWAILVARVCLLYPNASPSMLLPRFFRVFARWKWPSPVMLRAIEHNDGELGLSLPVWDPRRNPRDKIHLMPIVTPAYPCMNSGYNVSHATLRVITEQLAVGDAVCQEIVKAGSGGGGWDKLFQPFNFFGAYKSYLQVDVTVTGGEEDDLREWKGWVESRLRLLSARVEADTSGMLLCHLHPQPYAAEPHNEPRRRRRTSSFFVGLSKPPAQPQQQQHQLFDLRATTEGFKEEVYMYDYWRPGMEVAVAHVRRKDLPSYVLRQLLRSPGRHDQLKRKRADDDPSSSPAASDHSASSSSSRDAKRPAAAPGRIGSSFEKKT >ORUFI04G25020.1 pep chromosome:OR_W1943:4:25250902:25263063:1 gene:ORUFI04G25020 transcript:ORUFI04G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANWRCPPACNVGPGFGRGAAGFARRRRSPGVTAVAEANGFNMVYKETANQSGIQFTCGTQEPFPDASKEENVKNRRKSSYGEATISQHFTFSWMNGLLAKGANKPLNEDDIPDVGEEESAQHISRIFSNIIVKGNFPLTVSSICKAAFLLIWKKAALNATFGVLSVVASFVGAYLIKDFVGYLSAKAIETLAYRQWFFGSLQVYQKVLYLSSQSRQKHTSGEIINYVSVDIERIVNVAWYVNMVFMMPIQITLATYILWKNLGLGSLAGIATTAIIMLCNIPFTRIQKRLHAGIMKAKDDRMDMTSEVIRSMKILKLQTWDIQYLRKLEYLRKGEHLWLWEFLRLKALLAFMFWGAPAVISIMTFASCILMGIPLTAGRVLSTLATVNILKEPIFSLPELLTAFAQGKVSADRIVSYLQEEEIRSDAIEEVAIDENEFSAEIDQGAFSWKTDAKIPTLQDIHVKIHKGMKVAVCGAVGSGKSSLLSCVLGEMPKVQGTVKVFGTKAYVPQSSWILSGTIRENILFGSPFETDRYERTIEACALVKDIGVFSDGDMTDIGERGTTMSGGQKQRIQIARAVYKDADVYLLDDPFSAVDPQTGRHLYKKCLMGVLRDKTVLYVTHQVEFLVDADLIMVMQNGRIAQAGKFQELQQNMAFGVIFGAHFCAVEQVCNAKGTSIYLSKHHAESEKVPSINESDAEKEISSKWQNTNMINCRQEVFRDNTEEGKLLQGEERENGYISKQVYWSYLTAARGGLFIPMIIAAQCFFQIFEVGSNYWMASACHPRTGSKSKMESTQFMVYVFISVGSALCILIRAVLVAVTGLLTSEKLFKSMMHCIFHAPMSFFDSTPTGRILNRASIDQSVLDLETASTLSESTFSVMQFLGTILIISYVSWPVLIIFIPSILICIRYQRYYSLTATELARLSGIQKAPILHHFGETFYGAAIIRAFRQEDRFYRSNLSLLDNHSRPWFHLMAAVEWLSFRMNLLCNFVFGFSLVLLVRLPQGFVNPSIGGLVVMYAWNLNTQLSEATRNISRAEANMISVERILQYTKLPSEAPTITEGSKPPMAWPEFGMISISNLEVRYAEHLPSVLKNITCVIPAEKTVGIVGRTGSGKSTLVQVLFRIVEPREGTIKIDSIDICKIGLHDLRSRICILPQDPVMFDGTIRGNLDPMNEYPDSRIWEVVDKCQLGNVVRSTEKKLDEIVIENGDNWSMGQRQLFCLGRILLRKSKILVLDEATASVDSATDQIIQEIIRQEFKDCTVLAIAHRMNTVIDSDLILVLGEGSILEYDAPTKLLQREDSTFSKLTKEYSQQSQHFKSSTAMHRMGSY >ORUFI04G25020.2 pep chromosome:OR_W1943:4:25242713:25251544:1 gene:ORUFI04G25020 transcript:ORUFI04G25020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASLHLHVLARIPETAQQAFLRWGLLRDSSNSTKLQHLQEWQEMYSPCFWMDAFALIHLIFIMSILVQFLYKRIRWCRQRFKTSTAESKHSYQEQKNTDKKLGITYQASKVCCLLNLASHTLKIVVLLLQGSISDCKYPAFVLGESVQVLSWIILSLVVFSFQKTKSAKLPFIIRAWWIFSFLQSITSVVFDLRSILLDHEYLGPEKWINLFMLVICTLLFVISARGKTGITLVDNSITEPLLSPSTGQQTEIKRPCPYGKANLLQLVTFSWMNPVFAIGYKKPLDKNDVPDVYGKDSAEFLSDSFKKIIDDVENRHGLNTKSIYTAMFLFIRRKAIMNAGFAVLSASASYVGPSLINDLVKYLGGERQYGLKRGYLLAVAFLSAKVVETVAQRQWIFGARQLGMRLRAALISHIYQKGLRLSCSSRQKHTSGEIINYMSVDVQRITDVIWYTNYIWMLPIQLSLAVYVLHQNLGVGAWAGLAATLAIMACNIPLTRMQKRLQAKIMAAKDGRMKSTTEVLRSMKILKLQAWDMQYLQKLEALRNEEYNWLWRSVRLSAVTTFIFWGAPAFISSITFGACILMGIPLTAGTVLSALATFRMLQDPIFTLPDLLSVFAQGKVSGDRVAKYLQEEELKYDAVIEIPRNDTEYDIEIDHGIFSWELETTSPTLKDVELKVKRGMKVAICGMVGSGKSSLLSSILGEMPKLAGTVRVSGSKAYVPQSAWILSGNIRDNILFGNPYDKEKYDKIIQACALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGSQLFKDCLMGILKDKTILYVTHQVEFLPTADLILDGNIVQKGKFDELLQQNIGFEAIVGAHSQALESVINAESSSRVTSTENSKPADTDDEFEAENETDDQIQGITKQESAHDVSQDINEKGRLTQDEEREKGGIGKKVYWAYLRAVYGGALVPVTIAAQSFFQIFQVASNYWMAWASPPTSATRPTVGLGLMFAVYIALSIGSALCVFARSMLVSLIGLLTSEKFFKNMLHCIMRAPMSFFDSTPTGRILNRVKTDLASNDQSVLDLEIANKLGWCVFSVIQILGTIGVMSQVAWPVFAIFVPVTVVCFMCQRYYIPTARELARLSQIQRAPILHHFAESLTGASSIRAYGQKDRFRKSNLGLVDNHSRPWFHNISSMEWLSFRLNMLSNFVFAFSLTLLVSLPEGFINPSIAGLAVTYALNLNSQLASIIWNICNTENKMISVERILQYSRIPSEAPLVVDYRRPPNNWPLDGNINIRCLEVRYAEHLPSVLRNISCTIPGRKKVGIVGRTGSGKSTLIQALFRIVEPREGTIEIDNIDICRIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPVNEYSDQRIWEILDKCQLGDIVRQSPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLILDEATASVDSSTDAIIQETIRDEFRDCTVLTIAHRIHTVIDSDLILVFSEGRIIEYDTPLKLLENENSEFSRLIKEYSRRSKGF >ORUFI04G25020.3 pep chromosome:OR_W1943:4:25242713:25251544:1 gene:ORUFI04G25020 transcript:ORUFI04G25020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASLHLHVLARIPETAQQAFLRWGLLRDSSNSTKLQHLQEWQEMYSPCFWMDAFALIHLIFIMSILVQFLYKRIRWCRQRFKTSTAESKHSYQEQKNTDKKLGITYQASKVCCLLNLASHTLKIVVLLLQGSISDCKYPAFVLGESVQVLSWIILSLVVFSFQKTKSAKLPFIIRAWWIFSFLQSITSVVFDLRSILLDHEYLGPEKWINLFMLVICTLLFVISARGKTGITLVDNSITEPLLSPSTGQQTEIKRPCPYGKANLLQLVTFSWMNPVFAIGYKKPLDKNDVPDVYGKDSAEFLSDSFKKIIDDVENRHGLNTKSIYTAMFLFIRRKAIMNAGFAVLSASASYVGPSLINDLVKYLGGERQYGLKRGYLLAVAFLSAKVVETVAQRQWIFGARQLGMRLRAALISHIYQKGLRLSCSSRQKHTSGEIINYMSVDVQRITDVIWYTNYIWMLPIQLSLAVYVLHQNLGVGAWAGLAATLAIMACNIPLTRMQKRLQAKIMAAKDGRMKSTTEVLRSMKILKLQAWDMQYLQKLEALRNEEYNWLWRSVRLSAVTTFIFWGAPAFISSITFGACILMGIPLTAGTVLSALATFRMLQDPIFTLPDLLSVFAQGKVSGDRVAKYLQEEELKYDAVIEIPRNDTEYDIEIDHGIFSWELETTSPTLKDVELKVKRGMKVAICGMVGSGKSSLLSSILGEMPKLAGTVRVSGSKAYVPQSAWILSGNIRDNILFGNPYDKEKYDKIIQACALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGSQLFKDCLMGILKDKTILYVTHQVEFLPTADLILDGNIVQKGKFDELLQQNIGFEAIVGAHSQALESVINAESSSRVTSTENSKPADTDDEFEAENETDDQIQGITKQESAHDVSQDINEKGRLTQDEEREKGGIGKKVYWAYLRAVYGGALVPVTIAAQSFFQIFQVASNYWMAWASPPTSATRPTVGLGLMFAVYIALSIGSALCVFARSMLVSLIGLLTSEKFFKNMLHCIMRAPMSFFDSTPTGRILNRASNDQSVLDLEIANKLGWCVFSVIQILGTIGVMSQVAWPVFAIFVPVTVVCFMCQRYYIPTARELARLSQIQRAPILHHFAESLTGASSIRAYGQKDRFRKSNLGLVDNHSRPWFHNISSMEWLSFRLNMLSNFVFAFSLTLLVSLPEGFINPSIAGLAVTYALNLNSQLASIIWNICNTENKMISVERILQYSRIPSEAPLVVDYRRPPNNWPLDGNINIRCLEVRYAEHLPSVLRNISCTIPGRKKVGIVGRTGSGKSTLIQALFRIVEPREGTIEIDNIDICRIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPVNEYSDQRIWEILDKCQLGDIVRQSPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLILDEATASVDSSTDAIIQETIRDEFRDCTVLTIAHRIHTVIDSDLILVFSEGRIIEYDTPLKLLENENSEFSRLIKEYSRRSKGF >ORUFI04G25030.1 pep chromosome:OR_W1943:4:25255857:25263771:-1 gene:ORUFI04G25030 transcript:ORUFI04G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPRIQQSAAANVARDSSKKMDEMGKKRWMRWERERGTTPPWIQPSPLAAASLASRAAAPPHRRPTSPAAAAAAPLHPTSSVAWQNGRSDGSSCSQVLCSIYHFLLVIMLY >ORUFI04G25040.1 pep chromosome:OR_W1943:4:25265656:25278481:-1 gene:ORUFI04G25040 transcript:ORUFI04G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECQHEGMPSRTPEVVAGRASRGCHHVNVLPMSGKIMLPLTAASSGSGPRSLNLIKEEFVMGDHARLMSFLWFAMELAMSSPLFAKLSALFSSDAACLEGLERVRGRGHERLRVVAYRLGGLRYSWAPRFRLAVLLLLRDKFPELVGAIEVVDPTVAPVERRAMEELGCIVTTSPALCLVVEEPTLIFMPYADRVFFENLLILNWSPDKLGKIVVLGHSFSTMVKMLELSISKQEKCGVNEQREKVKRVLAIPSYVQELELCAEISGLFDNPLLGDEYPYELNQSSVHACTALRVWKAEFYAITKFVDHMKKDQSICSHISSMLGAHECIQLVVYGIGSFEFDVKSQYQIAFALLLKEDNIFPISDIEIYDPSLPPADVKACFDLDLRVLLVNEQCQRSVDKPIIFFVPGLAFVGNLIESNFSPEQLNNIILISYGVKNIGDRISAELENWNNGFTSLKGSPELERERFIWASINYINEVIVMENFNAHFWGVSDMRFEFLDVAADVDMNSNLPKRMSRPFQDDQDDCKDGKPQDWAHEFLHRIPAMHRKTWSPPPKGWIKFNFHGIGGSKDRSAGMGGVFHNEDGVLSFFIGSLGNVDQTVASIGAIELGLKVMLEYHEPVKKLIVEGDDLTVIRWFNRVSHPPARAHDSFLRSYLHLTSMSLPCEGAAVPAEISKDPDHENGSSSHDASPTKPPNDGNIENDASPAKQFEREYIAWRVDEEANQVAIGLARLGSMLPDHQNRVKVHSSTQLGGMRYSWAPQFRLAVLLLLRDKFPELVGAVEVVDPTVAPVERRAMEELGCVVTASPALCLVVEQPTLIFMPYADRVFFENLLTLNWTPDQLGKIVVLGHSFSAMVKMLELSISKQEKCGVTEQREKVRRVLAIQSYVQELELCAEISGLFDNPLLGDEYPDELNRSVYNHSSEKCICMHCIAHIERAAMIYALPSIFSVHFFQFDPEVDIEHLIPDNCATKVWSYVNVQMNCDAQLEGWHLNPSDAYIEGKHLQEAKSIVKEMHETISDVRSSALYTKFIDHVKKDESVSSHISSMLGAHECIQLVIYGLGSFEFDVKSQYQLAFALLLKADNIFPIGDIEIYDPALSPADVKACFDLGLKFVGNLIESNFTAKQLNKIILVSYGFKNSGKSISAALENRSCGFTGIKGSLALERDRNSGVSELRVEFLDVAADVDMNSNVPIVSYFHMHLKERMLRPFKQDQGDCKDDEPQFWGQEFQHRIPAIHRNTWSPPPKGWIKLNFHGTGCSKNRSAGMGGVFHNDEGALSYFIGSLGNVDQTVASIQALEHGLEIMLEHHEPVKKLIVEGDDLTVTRWCNKISCPPARAHDSFLHSYWYMDLMP >ORUFI04G25040.2 pep chromosome:OR_W1943:4:25268670:25278481:-1 gene:ORUFI04G25040 transcript:ORUFI04G25040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRECQHEGMPSRTPEVVAGRASRGCHHVNVLPMSGKIMLPLTAASSGSGPRSLNLIKEEFVMGDHARLMSFLWFAMELAMSSPLFAKLSALFSSDAACLEGLERVRGRGHERLRVVAYRLGGLRYSWAPRFRLAVLLLLRDKFPELVGAIEVVDPTVAPVERRAMEELGCIVTTSPALCLVVEEPTLIFMPYADRVFFENLLILNWSPDKLGKIVVLGHSFSTMVKMLELSISKQEKCGVNEQREKVKRVLAIPSYVQELELCAEISGLFDNPLLGDEYPYELNQSSVHACTALRVWKAEFYAITKFVDHMKKDQSICSHISSMLGAHECIQLVVYGIGSFEFDVKSQYQIAFALLLKEDNIFPISDIEIYDPSLPPADVKACFDLDLRVLLVNEQCQRSVDKPIIFFVPGLAFVGNLIESNFSPEQLNNIILISYGVKNIGDRISAELENWNNGFTSLKGSPELERERFIWASINYINEVIVMENFNAHFWGVSDMRFEFLDVAADVDMNSNLPKRMSRPFQDDQDDCKDGKPQDWAHEFLHRIPAMHRKTWSPPPKGWIKFNFHGIGGSKDRSAGMGGVFHNEDGVLSFFIGSLGNVDQTVASIGAIELGLKVMLEYHEPVKKLIVEGDDLTVIRWFNRVSHPPARAHDSFLRSYLHLTSMSLPCEGAAVPAEISKDPDHENGSSSHDASPTKPPNDGNIENDASPAKQFEREYIAWRVDEEANQVAIGLARLGSMLPDHQNRVKVHSSTQCDCEIQREMRNGRLPDITVDLCRVTGEMAETEEPGQDRRVLPPAPHGEGFTFSSPTFLICCGFFLFTRRSRGQA >ORUFI04G25040.3 pep chromosome:OR_W1943:4:25265656:25268667:-1 gene:ORUFI04G25040 transcript:ORUFI04G25040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFVMGDHARLLSFLRLATELAVTSPLFAKLSACLSSDAACLDGLARVRGRGRGRERLRVVAYRLGGMRYSWAPQFRLAVLLLLRDKFPELVGAVEVVDPTVAPVERRAMEELGCVVTASPALCLVVEQPTLIFMPYADRVFFENLLTLNWTPDQLGKIVVLGHSFSAMVKMLELSISKQEKCGVTEQREKVRRVLAIQSYVQELELCAEISGLFDNPLLGDEYPDELNRSVYNHSSEKCICMHCIAHIERAAMIYALPSIFSVHFFQFDPEVDIEHLIPDNCATKVWSYVNVQMNCDAQLEGWHLNPSDAYIEGKHLQEAKSIVKEMHETISDVRSSALYTKFIDHVKKDESVSSHISSMLGAHECIQLVIYGLGSFEFDVKSQYQLAFALLLKADNIFPIGDIEIYDPALSPADVKACFDLGLKFVGNLIESNFTAKQLNKIILVSYGFKNSGKSISAALENRSCGFTGIKGSLALERDRNSGVSELRVEFLDVAADVDMNSNVPIVSYFHMHLKERMLRPFKQDQGDCKDDEPQFWGQEFQHRIPAIHRNTWSPPPKGWIKLNFHGTGCSKNRSAGMGGVFHNDEGALSYFIGSLGNVDQTVASIQALEHGLEIMLEHHEPVKKLIVEGDDLTVTRWCNKISCPPARAHDSFLHSYWYMDLMP >ORUFI04G25040.4 pep chromosome:OR_W1943:4:25265045:25278481:-1 gene:ORUFI04G25040 transcript:ORUFI04G25040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRECQHEGMPSRTPEVVAGRASRGCHHVNVLPMSGKIMLPLTAASSGSGPRSLNLIKEEFVMGDHARLMSFLWFAMELAMSSPLFAKLSALFSSDAACLEGLERVRGRGHERLRVVAYRLGGLRYSWAPRFRLAVLLLLRDKFPELVGAIEVVDPTVAPVERRAMEELGCIVTTSPALCLVVEEPTLIFMPYADRVFFENLLILNWSPDKLGKIVVLGHSFSTMVKMLELSISKQEKCGVNEQREKVKRVLAIPSYVQELELCAEISGLFDNPLLGDEYPYELNQSSVHACTALRVWKAEFYAITKFVDHMKKDQSICSHISSMLGAHECIQLVVYGIGSFEFDVKSQYQIAFALLLKEDNIFPISDIEIYDPSLPPADVKACFDLDLRVLLVNEQCQRSVDKPIIFFVPGLAFVGNLIESNFSPEQLNNIILISYGVKNIGDRISAELENWNNGFTSLKGSPELERERFIWASINYINEVIVMENFNAHFWGVSDMRFEFLDVAADVDMNSNLPKRMSRPFQDDQDDCKDGKPQDWAHEFLHRIPAMHRKTWSPPPKGWIKFNFHGIGGSKDRSAGMGGVFHNEDGVLSFFIGSLGNVDQTVASIGAIELGLKVMLEYHEPVKKLIVEGDDLTVIRWFNRVSHPPARAHDSFLRSYLHLTSMSLPCEGAAVPAEISKDPDHENGSSSHDASPTKPPNDGNIENETNNESDSSSQDASPVKPLNDCSSENDKDEYDGSLQDASPSEPPNDSNCESGKYENSDSLQDASPAELSEVCYNGNGSLQDASPAELSEVSNNGNGSLQDASSSELSEVCNNGNGQDEDGCSSSSEFVIPPGWAQREYIAWRVEEEANQTAIGLARLGTALPDHGIMVHLSTKCDCEHGREMKKGRPDITW >ORUFI04G25040.5 pep chromosome:OR_W1943:4:25264952:25265612:-1 gene:ORUFI04G25040 transcript:ORUFI04G25040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIVLHKTHHLLSHSMTVAVRMIKMSMTDASPSEPPNDSNCESGKYENSDSLQDASPAELSEVCYNGNGSLQDASPAELSEVSNNGNGSLQDASSSELSEVCNNGNGQDEDGCSSSSEFVIPPGWAQREYIAWRVEEEANQTAIGLARLGTALPDHGIMVHLSTKCDCEHGREMKKGRPDITWFDH >ORUFI04G25050.1 pep chromosome:OR_W1943:4:25282688:25284559:1 gene:ORUFI04G25050 transcript:ORUFI04G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPAAGPNGVASPAGQAPSSSLPGFHEAPPSVLISRPSWIVRSESNIRRERPKRPDPPCTICRGTGKIDCRNCFGRGRTNHADLVMLPKGEWPQWCRICGGSGLDYCHRCHGTGEFREPMGFHFATIHRK >ORUFI04G25060.1 pep chromosome:OR_W1943:4:25285548:25291619:-1 gene:ORUFI04G25060 transcript:ORUFI04G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPENVGDGGGGGGRPVMLPETVGDGGSSGLLCLPPEALGVSSSGGACVPPPETLGVEGGGTRTLAYASGGVCLPPETLGGVSCGGGGERTLVFASGGVCVPPEIFGVRGGGDLTLVYASGGGVFVPLEEAVGCSGGGSRTLVSTTGAGGGGVRRGKVFGEPRENLGAGGGGERTLVSDIGVCGGGVRRGKVFGGTDGGGESSLVSATGAGGGGVRRGTVFGGPRENLGTGGGGESSLVSATAAGGGGVRRGKVFGGPRENLGTGGGGGCSLVSAIGAGGGGVIRGKVFGGPRENLGADGGGERTLVSATGASGGGVRRGKVFGGPRENLGTGGGGESSLVSATDAGGGGVGPGKVFGGLRENLGAGGGEERMLVSAVGVGGGGVGLGKVFGGPRENLGAGGGGDCTLVSAIGDGGGGVGLGKVFGGPSENLGAGGGGDRTLISAVGADGGGVGLGNVFGGPRENLGSDGGGERTLVSAVGACGGGVGLGTDGAHAPPLLVAATPAQCRSRPCGRSEATKVRVKGPQCSSASHAARRHARGMGHPVKKAASRSCLQRPSVSSDSQQMPESVCGPPGKMLSNASSIIHGSRGMQHMSSKRGKRARRSSAGQPLPDEMMTEIVLRLPAYSIVRFRAVSRSWAAMLSSPGFQDGYAAMADARRMSMSKFVFFAASPASPRGATAVYSCDVGPVRRITTTTTDLLFNIDRLRPGFLVVSSRPCHGLTLLADTRSFAYWVCNSSTGVFRPLPRRRCHDLSSAGLAFDDRTKEHKVVHLFCHVSRGGENLFPDTTVFENRNSSVPVLCFSVADEAFSLVAGPAVDGIADYCALDSHSPAVPLHLVELHGSLCMVRDLRHLPHGESCLEIWALRDYSASVWSLDFRVAMTPQVARDMHDPRFITVLGCLGGARGDDVGSVERIKKILIATSQHKVHAYDPATGSIETVVTVPEDFAGGREEAVAGIRIGLYEDSLARVGGESCRQREATAAMTEILLRLPPKSIAMCMLVCRQWRTLIESERFLTSHMLANMERKKVMVVTNGRRRENFFNFMPVETWIGPAAKARSDVLVNRRILCSKPCHGLNLISTSSDDYLCNPCTGSIRCLGIRGKFREIDPTVSIDDDRRHVTRVGRNIGLGFDRLSQEHVVVEMSRFKGDLQLCMIKTSCVDYWSCAGKPPRPVTDMPPAHVDGTLYWISEPQPTARDRVIVAFDISSREFSVLPCQPCCSERDGGDYPLLVELEGSLSLVVANAEENNLQIWTMQEADGTWHKSYSILLDERYPDFSLKTGVVVVPLDAVADSNGGGRILLDTGRALGYYDLETRSIDTLYSLDQLKLPQCQMAFPMLYGDSLVPIQDDEPPDYVAPTLRDDDGGRRCYYQPQHVEISGGEQPAAASCVFRPCEAADGGCRGMGCVYAGSCCRRVLCRECSLPCVEHTDGFHTAILPFLPRRSATATEMAEDLLLGLPLEHPCVPGPEYCYYYSEGDEEEEGVGRHVFVSLRDLARTRQPRRLIECGYRMDMIYILVLLKNLFRK >ORUFI04G25070.1 pep chromosome:OR_W1943:4:25292749:25296489:1 gene:ORUFI04G25070 transcript:ORUFI04G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPAQPPRVVINVHGKTPVSSSPQLRAPSRVAAEQGRGGDPAFVCLMASPASPREFTQEAARQSLIAISRSVPAAGEAVNIKSPSGAMVNGHHHDDDGAEKYRSKLISISNLSPDAQPTPCSPKDTAAA >ORUFI04G25070.2 pep chromosome:OR_W1943:4:25292749:25296489:1 gene:ORUFI04G25070 transcript:ORUFI04G25070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPAQPPRVVINVHAFVCLMASPASPREFTQEAARQSLIAISRSVPAAGEAVNIKSPSGAMVNGHHHDDDGAEKYRSKLISISNLSPDAQPTPCSPKDTAAA >ORUFI04G25080.1 pep chromosome:OR_W1943:4:25297901:25300864:1 gene:ORUFI04G25080 transcript:ORUFI04G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGVAGEPSGTRTKQLKVAVIHPDLGIGGAERLIVDAACQLAVHGHDVHVFTSHHDKNRCFEETVSGPFEVKVYGDFLPRHIFYRFHAICAYLRCIFVAMCVLLWWPSFDIILVDQVSVVIPLLKLKAKSKIVFYCHFPDMLLAQHTTMLRRLYRKPIDMIEETTTGMADLILVNSKFTATTFARTFCSLHARGVEPAVLYPAVSVEQFQEPHAYKLNFLSINRFERKKNLGLAISAFSLLRSVASMLPGDARQEVTLTVAGGYDKRLRENVEYLEELKRLAASEGVSEHVKFVTSCSTSERNELLSNCLCVLYTPKDEHFGIVPLEAMAAYKPVIACNSGGPVETVINDETGFLCEPSAPEFSKAMLKLVNDHDLAVKMGKQARGHVVQKFSTKTFGDLLNSYVLNVYHQRIE >ORUFI04G25090.1 pep chromosome:OR_W1943:4:25304116:25305381:1 gene:ORUFI04G25090 transcript:ORUFI04G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPPPLFLCPISMELMEDPVTVATGVTYDRRSIERWFFKYGKTTCPATMQRLASFDFTPNHTLKRVISSWLDRASSSSSPSTAGSPATTSSSSSSNAMERERLPSVLAGIEETPFKATALKNLKSCMAGDEAAREDFVACGGIQVLGRVMTQALEESSAGGDFSAFRTCEEAAAVLATLPLSDDASVELLLKPECMRPVSVLVQRGSAEARLHAMSMISKISRASVRDWTAEVDVDDMVKALLELLSDGASAKLSSRALDVLLDVTARYSRGARRAKAVELGAVRVLAELLLDADRHVAERSLLLLKRMCKCPEGRLAFAEHGLAVAAVARAVLRVSGLATRLAVNVLWLVACAPAPAERVLEDMVVGGAVAKLLALMQVESSPSTKDKAVKMLRAHGAFWRQYPCFPTDLKDYLKSLN >ORUFI04G25100.1 pep chromosome:OR_W1943:4:25306924:25307280:1 gene:ORUFI04G25100 transcript:ORUFI04G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAKVKVQDMVSGAKEKVKEGTAKAHGKAGQATAATHGEKEMAKQEEKAGKAQAKADEHQERAEHRANATTGRHGTRVPLTAGGNRHHHAPVGGPAVDPAYPAAGTGTYAASDKSYI >ORUFI04G25110.1 pep chromosome:OR_W1943:4:25309151:25312541:-1 gene:ORUFI04G25110 transcript:ORUFI04G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREQQQQPRVNSPPPAGGGVMMPQHPYGAAPAMPPGSANVMHGVPLSFNPMASPTASSPMKPADMSGTMYRTDPVVQGMQQQPGSGGGGTAVGGGELVKKKRGRPRKYGPDGNIGLGLKPAAAAGTEAGGPSGGAGSNSNPDGKRRGRPPGSGKKKQLDALGSSGTSFTPHIITVKPNEDVASKIMAFSQQGPRTTCIISANGALCTATLRQPATSGGIVTYEGHFDILSLSGSFLLAEDGDTRSRTGGLSVALAGSDGRIVGGCVAGMLMAATPVQVVVGSFIAEGKKGKEEHLKREPTSAPTPNHAAGFGAATAASPPSDGSSSDHSDDPGSPMGPNGSTFNNSGHPMHSSYAPVSWSLSGNQGRYDPDLKMMTD >ORUFI04G25120.1 pep chromosome:OR_W1943:4:25322542:25323771:1 gene:ORUFI04G25120 transcript:ORUFI04G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETKAEQLVTAVYKVHVHCKQCANTIVTQFTQFPGVREVKLDGGKVTVKGIGFDAEKLRKKVEKGCRRRVELVPPPKDIVTEVKSKKEELKIITVRVPLHCAECAARVKEVLLEHKSIYAAKIDLGKNLCVVEGVIEEKKLFEYIYHRTRKYGFIEKVEKKEIIVEEKVEVKKKEAEKKKEGEKKEEVKVKEKVKEVVAPYFIPCTHPHFIDYSHPELHGFKDTVFLHCSHSNQFLSNENPEACSVM >ORUFI04G25130.1 pep chromosome:OR_W1943:4:25326301:25327218:-1 gene:ORUFI04G25130 transcript:ORUFI04G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTAATRYVHQLHHLHPDLQLQHSYAKQHEPSDDDPNGSGGGGNSNGGPYGDHDGGSSSSGPATDGAVGGPGDVVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGSGCDVFECVSTYARRRQRGVCVLSGSGVVTNVTLRQPSAPAGAVVSLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVVGALYAAGPVIVIAASFANVAYERLPLEEEEAPPPQAGLQMQQPGGGADAGGMGGAFPPDPSAAGLPFFNLPLNNMPGGGGSQLPPGADGHGWAGARPPF >ORUFI04G25140.1 pep chromosome:OR_W1943:4:25348694:25350604:1 gene:ORUFI04G25140 transcript:ORUFI04G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSGSYDDVDYGDLFSIPNPPAPHLLNFPLQFFPSNGFISSADDSHRSPAGMFGSTPSPTSTTTELENSEDLSESADDAVLAYINQFLLEDEEDESCPGTITSVEDSALLAVEKPFVDILTASQEACQENSWIDSCCDFTGNGGLLDTFTTTHAACQPAPCEFEKEKGECAVHKGRKNPHDDCLLFEEESRRSKQLAVSEEETVREMFDKVLLCNGECELRAPLPAEARNCGVYVKGSGNKRGRKKGKSGASAEDDAVDLTTLLIHCAQAAAIDDHRNSNELLKQIRQRSSAYGDAGQRLAHCFANALEARLAGTGSNIYRSLAAKRTSVYDILNAFKLYVTACPFKKISNFFSIEAILNASKGMTRLHIVDYGIQYGFQWPIFFQRISKRPGGPPSVRITGVDLPQPGFRPAQLIEATGRRLHDYARMFNVPFEYHAIAAKWDTIRVEDLKIDKDKDELLVVNCLFRMRNMMDEMVTDDSPRMQVLKTIRKMNPNLFIHGVVNGTYNAPFFVTRFKEALFYYSSLFDMLETTASRVDENRLLIERDLFGREALNVVACEGTERVERPETYKQWQVRNIRAGFKQLPLNQETVKKARYKVKKSYHRDFLVDEDNKWMLQGWKGRIIFALSAWEPN >ORUFI04G25150.1 pep chromosome:OR_W1943:4:25353969:25354463:1 gene:ORUFI04G25150 transcript:ORUFI04G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGGARRRRRAGAGRPPVPDEQLAADDVDGLGGTWPPRSYTCAFCRREFRSAQALGGHMNVHRRDRAKMRGHGLHGAAGQQLGAAEAPAAAAAARTEYAVALYPILNSGAGGAAVRIPHGDMLLSAPVALAAARRGHDHRCIDVGDDENDKKIDLELRLGWP >ORUFI04G25160.1 pep chromosome:OR_W1943:4:25368741:25372513:1 gene:ORUFI04G25160 transcript:ORUFI04G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDTLCSAGGDVLIYDTFNASAAAAAAVVPPASFLFGNNNAGGAAGTETRVQVAAGAVPEVDQLLKQAQQPGRRKRRRRARSCKSREDAESQRMTHIAVERNRRRQMNEYLAVLRSLMPESYVHRGDQASIVGGAIDFVKELEQLLQSLEAQKRTLLMQPPPPPQQQREPKCDAADSTSAADQETPAAAAAAAAADGPPFARFFTYPQYVWCHNPAQDGGGGGGAAAENRAGVADIEVSLVETHASIRVMAARRPGQLLKMVAGLQALRLTVLHLNVTALGSLALYSISVKVEEGCGMATVDDIAAAVHHVLCIIDAEAASQMLLAGEASG >ORUFI04G25170.1 pep chromosome:OR_W1943:4:25374541:25375692:-1 gene:ORUFI04G25170 transcript:ORUFI04G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPAWVPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPFDVAGTSADDSSGPVFSPLVIAIIGVLASAFLLVSYYTFISKYCGTVSSLRGRVFGSSSGGAAYGGGAGSGGRHGHGQSRSHESWNVSPPSGLDETLINKITVCKYRRGDGFVHTTDCSVCLGEFSDGESLRLLPRCSHAFHQQCIDTWLKSHSNCPLCRANITFVTVGLASPEPEGCAPGETGGDNTHEVVVVMDGLENLCEEQQEAVSRASTADDDHDAKDVAEGMEEANGAAEIREEGSPPKRGASSFDLHRDNRMCIADVLQESMEDELTAARESGLLAGGAGTSRRCHGENSKGRGGRSRRALQLQDAMEALPGKRLPSGGRSCFSSKSGRGKDSDHPM >ORUFI04G25180.1 pep chromosome:OR_W1943:4:25379952:25382033:-1 gene:ORUFI04G25180 transcript:ORUFI04G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSLARSPPHHHHAAAALALPAPRIPRLAPLLRTPRRPHPLIGIRRLPAAAVAASSPPEAQAVEDGEEEEEEGGEKRRKLYVANIPWSFPAPEIEKLFAQCGAVKDVEVIKGKDGKKKGFAFVTMATAEEAAAAVEKLNSLDVMGRTIRVEFSKSFRKPAPPPPGTILERHKLYVSNLPWKARAPNMKEFFSKFNPLSAKVVFDSPSGKSAGYGFVSFGTKEEAEAALTELDGKELMGRPVRLRWRQSVDDSDDSVKADGEIEDANVDGEAEGVTDNGTKDHGEDKQE >ORUFI04G25190.1 pep chromosome:OR_W1943:4:25386160:25387479:-1 gene:ORUFI04G25190 transcript:ORUFI04G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDESPLRINTTRGGAMGGGGECDGAENQRWPPWLKPLLATSFFGQCKLHADSHKSECNMYCLDCMNGALCSQCLSYHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDTFRFCSLGCKIVGTSGDYRGRKRHAGGGIKKTKKLHKGAAAVPSDSDDSSTTTSGGSDKSSVVQSFTPSTPPATANSYRTGKRRKGVPHRSPFGSLMVEF >ORUFI04G25200.1 pep chromosome:OR_W1943:4:25394204:25396018:1 gene:ORUFI04G25200 transcript:ORUFI04G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVDRSPTTAPRTAAALPSARFICASSRQLVQIAAAAVDRKGKLREKIERSKAPSSSAATQSKSAKGSTRDKPGEEKIPSATGDRAKQSRVKKFC >ORUFI04G25210.1 pep chromosome:OR_W1943:4:25408477:25409016:1 gene:ORUFI04G25210 transcript:ORUFI04G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEWRCRKHPAPPCGGVCPYCLRDRLLRLCPECAHARPCPCAASSSSPSSSSSSAASGSAAVVGRVYSLIERERRMGLRSRSVAAGGGGGGRGIVVRDERPKSRAFGWVSFRKATSDRVVEVDDGAALARSSSVSATAVETRAPPKSRGWGRFIPGSIKALRHRKSRAAGDCREGVR >ORUFI04G25220.1 pep chromosome:OR_W1943:4:25409271:25411547:-1 gene:ORUFI04G25220 transcript:ORUFI04G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWYIRKSTVPLLLAKHSSRTSSSAVNGGSISSGAADSTTLARSTAARRIHLTTPSCFDNNDDSGRLAVLSSFDDNDRYLVAPRHGQAKRPVRRRHEKHLDARLGDASEKLRDIAKNTNASSDYKRSKQPVY >ORUFI04G25230.1 pep chromosome:OR_W1943:4:25414326:25414727:-1 gene:ORUFI04G25230 transcript:ORUFI04G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAALSALHGDAELGLGNGDANAAAEMVEAGQDVAELRRALFAGGVGKAAAALYLALFRPPAGLFLRSNPLFYSYYVVLVAVVLFGVAEAWVGLWASRDGRRRAAGVTMLWLSVIPALFLVGTGGSAILELK >ORUFI04G25240.1 pep chromosome:OR_W1943:4:25422839:25423240:-1 gene:ORUFI04G25240 transcript:ORUFI04G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAALSAFHGDVELGLGNGDANAVAGMVEAGQDVARLRRALFAGGVGKAAAVLYLALFRSPAGLFLRNNPLFYSYYIVLVIVVIFGVAEAWVGLWASHDRRRRAVGMTMLWLSVLPLLFLAGIGGSAILKLK >ORUFI04G25250.1 pep chromosome:OR_W1943:4:25427287:25428819:-1 gene:ORUFI04G25250 transcript:ORUFI04G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDDAEHETSPASASASSAPATRKRKRPGATATDGAPAQSADDAGGMCDDVLRNIFSRLPARAAVACTALSKHHRRLVTGAEFRRLHLLLGAPLPRPHVAYLATAPITRRGDDRVVSKFHGFHVAGAGMGIGAHAPMRALTDGRYENKSYVNTCNGVILLAMKKKTSSRSFILWNPAIADDEKKLTIPEGLQDNGEYYVAGLGYGRRSKTYKLLLCRLKCLSSKGPGGCRIFYRCAELVVYTLGAGAGAGDQPRTVLSGLDTKIKRQSLYLDGTIYLLDAEDSIVFAFDVDDETVTTIDLPGERSITKHASSKLMEMSGRVCVVTKDGTHTFSVWLLVAEDDHRWQRRCVIGESNIYYRSITAAWDHGDALLLLVDGSPYLYDITDERMTKTEMPIDVKPEEAAYTLCWGYKPTLVSPGSIVGDGDGDEEEGRRRRGRDRTADIVAAVRPVRERDVRRGRKATLDVTCFMEMLVRIMRELPGGMQDVIDMPLLNASLDVRYRYSDDED >ORUFI04G25260.1 pep chromosome:OR_W1943:4:25433337:25438088:1 gene:ORUFI04G25260 transcript:ORUFI04G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSKTKSGHAEAKSNGKAEAKGTPPTPKSAKIARKPAVPKAPPRPSADKSQSPGSADRKKSASRITTPPEKQGKATKPAQESVAAKPSPQEPVAVKPSSQEQEQQALLAAVQEELVKAKEELVEKEKERGKVLDELERAKRAADEANAKLQEALAAQSKAAEESAAEQSGDAEAEQASASMEDELRTKLASMQSQQEADMAALRSTVEQLEQARYELADAIDAKNAALTQADDAMRASDENAEKIKLLNAEVAHLKGLLDSEVGSSSKGAVEHIRKLEEENSGLKLELEKANVAEQRAVELEGVVEQLEVEIADVKKARARSEELLGKWKTKALELEVRLEEADQSNILKGESLESAMKELDAKMTLLQEKESEIEALQDKVRSLEDEVAKQKEDFHTADKEADELRLEIEDLRLKLEAAEEDLNNDKIASSEMETLIEQKNMLAKELEASKAEVEKIKKAMEGQASALHEMSAQLRVAQEKYLDKQEEIDRARAQVEELNVSLQNTKESYEVMLDEANYEKVCLKKSVERMEAETKSASEEWQSKELSFVNSIKKSEEEIINARAQMDKTLEAVKGKESENAELQEKLKHLEAQLMEANKTCEEAKAETFQWKEKLLDKENELQNIKQENDDLQAKELVASEKIKELSVLANAKDGATNGSHKEESNVKGDSEDDEPVMVVAKMWENSKVTDDASSKEKGNDGESEVDLESNTGDSIVDGNGLHSTTASNGNASPPKQQPQKKKPLLKKFGGLLKKKTQP >ORUFI04G25270.1 pep chromosome:OR_W1943:4:25437715:25441912:-1 gene:ORUFI04G25270 transcript:ORUFI04G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLRRAAVAAGAASASAASLRQFQCAYHRSNERLLPCRDQEVSYGLNWAIAGRGVVVKDKVFYNLEKSELQKGGAAYTECLSGIPLHVRGNVISGIPDVSRAQFAKLLKLVTFHLSSISSLYVQDGAVGSSVECDAKVRVISDNPSAVLLLSNILWKTPDRSISHDTCPLTIYVASSISANVRNSLGSGSQYANGFAAADIERSSLILCGKAFADSAMLKGALSALTAPVLSARGGLPFPGWLLSFGGSAVLLFAPVDVIKSLKLQDVLVSTDSGVVVSPKGSNVLFATKAREPNLFTRPTQVIIVSSDSTDALPALSKLSPGQAAYHFLAGYCDGKFIPAYSKDPSPLDPIALANSLFSHLKEDNTPTYLINAKSSGKYIDGKEFMRLIEVLLSNNLPDRKSEDIRGHYSFWHSFVDYWDHKEMSDLHMVSLRRNWSGGPLDHGGLRFSFISSSINKRSAGRLSRKDAHVDLVLVATVEIN >ORUFI04G25270.2 pep chromosome:OR_W1943:4:25437715:25441912:-1 gene:ORUFI04G25270 transcript:ORUFI04G25270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLRRAAVAAGAASASAASLRQFQCAYHRSNERLLPCRDQEVSYGLNWAIAGRGVVVKDKVFYNLEKSELQKGGAAYTECLSGIPLHVRGNVISGIPDVSRAQFAKLLKLVTFHLSSISSLYVQDGAVGSSVECDAKVRVISDNPSAVLLLSNILWKTPDRSISHDTCPLTIYVASSISANVRNSLGSGSQYANGFAAADIERSSLILCGKAFADSAMLKGALSALTAPVLSARGGLPFPGCTDALPALSKLSPGQAAYHFLAGYCDGKFIPAYSKDPSPLDPIALANSLFSHLKEDNTPTYLINAKSSGKYIDGKEFMRLIEVLLSNNLPDRKSEDIRGHYSFWHSFVDYWDHKEMSDLHMVSLRRNWSGGPLDHGGLRFSFISSSINKRSAGRLSRKDAHVDLVLVATVEIN >ORUFI04G25270.3 pep chromosome:OR_W1943:4:25437592:25441912:-1 gene:ORUFI04G25270 transcript:ORUFI04G25270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLRRAAVAAGAASASAASLRQFQCAYHRSNERLLPCRDQEVSYGLNWAIAGRGVVVKDKVFYNLEKSELQKGGAAYTECLSGIPLHVRGNVISGIPDVSRAQFAKLLKLVTFHLSSISSLYVQDGAVGSSVECDAKVRVISDNPSAVLLLSNILWKTPDRSISHDTCPLTIYVASSISANVRNSLGSGSQYANGFAAADIERSSLILCGKAFADSAMLKGALSALTAPVLSARGGLPFPGWLLSFGGSAVLLFAPVDVIKSLKLQDVLVSTDSGVVVSPKGSNVLFATKAREPNLFTRPTQVIIVSSDSTDALPALSKLSPGQAAYHFLAGYCDGKFIPAYSKDPSPLDPIALANSLFSHLKEDNTPTYLINAKSSGKYIDGKEFMRLIEVLLSNNLPDRKSEDIRGHYSFWHSFVDYWDHKEMSDLHMVSLRRNWSGGPLDHGGLRFSFISSSINKRSAGRLSRTFTLQEQAAQYW >ORUFI04G25280.1 pep chromosome:OR_W1943:4:25444681:25446336:-1 gene:ORUFI04G25280 transcript:ORUFI04G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCFDGSTGGGHLENYTSDYTIQVLVGYCSVYIFMQTFMIPGTIFMSLLAGSLFGQLRGVALVVFAASAGASSCFFLSKLIGKPLVFSLWPDKLMFFQKQVAKRREKLLNYMLFLRVTPTLPNTFINLASPIVDVPYHIFLLATLIGLIPASYVTVRAGIALGELTSLSDLYDTQSIALLFLIGIVSVTPTLLGKDEAQEKTTEIAVTAS >ORUFI04G25290.1 pep chromosome:OR_W1943:4:25450718:25458501:1 gene:ORUFI04G25290 transcript:ORUFI04G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINQGKLTQVPNAEDSNNYQGRKGERKYLQDISGRQAPQYPKKHKLCNMTKLRFCVPFPDILKKYAKPFLWKTNKGFFYWLNKAIASYDKEHRKNSVNKPHPPLLMPRQSSSPAVVSFVIHIPRRSSLPTGVSFVIHVPLRSSSLTTASSTVHATSELVAGGRFLRRLDILAKYVLVVLHADDAARAAPLRSTSSSAAASALGSSSPAPAPALPARSSRSRAG >ORUFI04G25300.1 pep chromosome:OR_W1943:4:25450975:25457069:-1 gene:ORUFI04G25300 transcript:ORUFI04G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQGLKKNYRCDRSLQQFYTGGPFAVGSSPGGGEGEVEGGEAEAEAFLACACGGEVRLVSAADASAIGEPIEGENEAVTALALSPDSRLLFTAGHSRLIRVWDLASRTCTRSWKGHDGPIRAMACHASGGLLATAGADKKVCVWDVDGGFCTHFFRGHAGVVTTVMFHKDPKRLLLFSGSEDATVRVWNLESKKCVAVLKEHFSAVTSLALSEDGQTLLSAGRDKIVNVWDVRKYNSKKTIPAFEMIEDVSFIGPGSNLLSCLGEPANIKRKTDGYFLTVGERGVVRIWCLESAQCIYEQQSSDVTVNTENEESRRGFTSAVMLSDDQGLLCATADQQFLFYCPTRTDGGDFQLNLYKRLVGYNDEILDLKFVGEDEQYLAVATNLEQVRVYDVASMSCSYVLSGHTEIVVCIDTCISSSGKTLVVTGSKDSTVRLWDMERRSCIGIGKGHLGAIGSVAFSKKSKNFFVSGSSDRTIKIWSWDDTLDDVGSEVPLKAKAVVAAHDKDINSLSVSPNDGLVCSGSEDRTACIWKLPNLVPSVVLKGHKRGIWSVEFSPVEQCVITSSGDRTVKIWAVADGSCLKTFEGHTSSVLRASFLSHGTQFVSCGSDGLVKLWTIKTNECIATFDKHDGKVWALAVGKKTEMLATGGTDAVLNLWHDCTMEDKQEDFRKKEEELLRGQELENAVSDYDYAKAIQLAFELRRPHRLLELFTQLCRESDLEDPIEKALIGLPKEGLRVLLEYIREWNTKPKLCHVAQFVLFRVLRSLPPTDILEIKGISELLEGLIPYSQRHFSRVDRLVRSTFLLDYTLTRMSVVDPDIDEGTTRDDANGSSVENCEIAQAKPDALVAEENLQKSVKKRKSSKSSKKGGKKVKIASTGGSKDVPIEA >ORUFI04G25310.1 pep chromosome:OR_W1943:4:25458153:25460472:-1 gene:ORUFI04G25310 transcript:ORUFI04G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHRHTACCALRSTPAAMLWGISYVLVKQLPGAPSPPVSAWPMPRRQGQGCCRQAPLPLKKPTTTVMPLPQLDGHDLAPTSWSEVSKALEVLPLKKPTTTVMPLPQLDGHDLAPTSWSSEVSKALEVIKPTLNSNTTENAKSPHGRRWRRGAEVVSAAGGSPVVVDGGEEADAMAQQQPVQDTVPLQHARMVDMGGGVMAPMPAPSTHYPARDRELLAGSAGAGAGEEEPSADAAADEEVERKGAALAASSAWSTTSTYLASMSRRRRKRPPATSSDVAWTVEDAVVNDELRSGTWMTKDTPVGKELRRGMWMTKDTTAGDEL >ORUFI04G25320.1 pep chromosome:OR_W1943:4:25465370:25466586:-1 gene:ORUFI04G25320 transcript:ORUFI04G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCCHDAGVKKGPWTEEEDRALVEHIKKQGGHVGSWRGLPRAAGLNRCGKSCRLRWTNYLRPDIRRGNFSDDEERLIIRLHAALGNKWSTIATHLDGRTDNEIKNYWNTHIKKKLLRMGIDPVTHQRLPPDLLADGGGLGAASPLLSPPGPAAAAALQPLLSAVASLGSLDTALRQFQLLQHLLNSITSSSSDVAATAGLMATNLAATNTMVNSSSNVASFQEQMNALAHANYQPGYLRDVVPSFPGQDMAPQLNSTSSTPSTAPVLRSSAEPADQCCNDAALVPETYPREVAASVDHWKVQDFPSLEPLELPNLSTLESDLDPFWKEILESSFRS >ORUFI04G25330.1 pep chromosome:OR_W1943:4:25467441:25468174:-1 gene:ORUFI04G25330 transcript:ORUFI04G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHDSMGHEGGVREIEIWPVYLLGSHEHGAAPPQSHADWAENSWAGRPKRRRNLNKIIGSHAMHYTNGSPRSDVTELTLGRFIFIISDYQS >ORUFI04G25340.1 pep chromosome:OR_W1943:4:25470866:25471998:1 gene:ORUFI04G25340 transcript:ORUFI04G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSQSHELETDVPASELWKIYGTLRAAELLPELLPHILAKVELVTGDGGVGTIVRLTFPPGIPGLQSYKEKFIKVDNKNYVKEAEAVEGDILKLGFLSYMIRFEIIRKGANTSVIRSTIEYEIGDEHPELQAMVSTASLAATAEKFAEYIKTQKVAQANT >ORUFI04G25350.1 pep chromosome:OR_W1943:4:25473725:25474540:1 gene:ORUFI04G25350 transcript:ORUFI04G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSVCHELETGLPAAEVWEVYGGFLVAQLLPQLVPEVFSKVELVEGDGGVGSVLHVVFAPGAHRGEFMKEKFIKIDNENYIKEAEVIEGGFLDQGFKKYVVRIEIIGKTENSSVLRSTIKFEAEDASKASSVSTGGLAAIAEAVTKYMREQRSSAEPEQVPRQTSDEETF >ORUFI04G25360.1 pep chromosome:OR_W1943:4:25476269:25480962:1 gene:ORUFI04G25360 transcript:ORUFI04G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKSSRGKGGPRPGPKPASQNPNPVSEIPHDGGGGEAVDAAAEAVGRLDVSACPTAEDAPVELPPSSQPPLEASSSGRDELGGSLEEEAVRKLQELVGFGGEEVELTEEEAAANDQRQEDEIFALEAIFGDNVVIFNKKGGQRSFQVHVYIEIPDGIDVSARLGYGSGSLKYGAGHDTDASDDLVYKFRVEHLPPILLTCLLPSPYPSHQPPLFTISAEWMNKMMVSSLCQMLDTVWEEQKGVEVTYQWAQWLQSSSLSHLGFASEIVLSSDSAYDHECGDKRALSHNAAPDVIIPRMMRWNDDKCHEAFLRAIHDCMICFSEFPGTDFVKLPCHHFFCLKCMQTYCKMHVKEGTVVKLLCPDTKCGVVVPPNILKRLLGEEEFERWEGLLLRRTLDSMSDVVYCPRCETACLEDGDNEAVCSSCLFSFCTLCRDRRHVGDKCMSPEEKLLILEKRQEAGKLQGDQHKFLEELRSIKAIMKDSKMCPRCKMAIHKIEGCNKMSCSNCGQYFCYQCNSAIEGYEHFRGSCKLFPQEELDRWNMQMNPRVQRQNVAQVQAEMFRQFAHPCPTCRQPCPKMGNNNHVFCWACQKHFCALCRKTVHKTSQHFGPKGCKQHTADP >ORUFI04G25370.1 pep chromosome:OR_W1943:4:25481467:25485966:1 gene:ORUFI04G25370 transcript:ORUFI04G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRHDDESHGGAAATALLHEMLLRARRDGEEPELSDEQLRSNDQLQEDEMLALEAIYGDNISILSAKDGLRCFQVHVHCEIPDGISVSAELSRDDNRDQNSRFFDTFSVQHLAPISFTCLMPPSYPSHHAPYFTLSSQWLDTVKVSSLCLMLDTIWSQQLGLEVVYGWVQWLQSSALSHLGFNDGIVIQQPDSMMGPVDVRVVAEIVSVESAVQWLISYNEEQCHESFLIGLHDCMICFTERAGIDFIKLPCGHYFCQRCMETYSRMHVAEGTVLKLLCPNDKCGGIIPPSLLKRMLGDKDFERWERLTL >ORUFI04G25370.2 pep chromosome:OR_W1943:4:25481467:25485966:1 gene:ORUFI04G25370 transcript:ORUFI04G25370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRHDDESHGGAAATALLHEMLLRARRDGEEPELSDEQLRSNDQLQEDEMLALEAIYGDNISILSAKDGLRCFQVHVHCEIPDGISVSAELSRDDNRDQNSRFFDTFSVQHLAPISFTCLMPPSYPSHHAPYFTLSSQWLDTVKVSSLCLMLDTIWSQQLGLEVVYGWVQWLQSSALSHLGFNDGIVIQQPDSMMGPVDVRVVAEIVSVESAVQWLISYNEEQCHESFLIGLHDCMICFTERAGNWYASVHTCVVKIILFPVFTSYCFIISSLPELKFSKHYSITSFFTEFVITKRKMICSQCSDR >ORUFI04G25380.1 pep chromosome:OR_W1943:4:25486581:25490734:1 gene:ORUFI04G25380 transcript:ORUFI04G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKRKLDDESGGEAAAAGLHLLLHEMLLRARREGEEPDLLPDEQLRSNDQLQQDEDKIFCYSASGLRKLPMLALEAIYGDNIGIFSAKAGLWCFQSSALSHLGFDDGILIQQPGSMMGPVDVRAVAEIASVESVAQWLISYNEEQCHESFLSGLHDCMICFTEYAGIDFITLPCQHYFCRRCMETYSRMHVTEGTVLKLLCPNDKCGGVIPPSLLKRLLGDTDFERWERLILQKTLDSMSDLAYCPRCGAACLEDEENNAQCPKCFFSFCTRCRDRRHIGEKCMTIEEKLNSLQDRTVVPFLSKDSFASKMNLSNEISSIKEVLRSSVRCPHCGTAISRVSGCNHMLCSNCRQSFCYGCGKAENHGHSSEPCRYQENLATKKNPTVLIEEVKKELEGELSRQHPCPNCRQPNPKIVEDNFSHIICTFHPFAVIWQMGNNSHMFCWACQVHYCAQCRRMVRKSSEHYGPRGCKQHSVDPEIPLRFKANKNDDSGS >ORUFI04G25390.1 pep chromosome:OR_W1943:4:25490832:25491390:-1 gene:ORUFI04G25390 transcript:ORUFI04G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPTRQMVPALAILALSVAGLAVAAAAAPPTRRGQEVHLFEATVRVADDGVEDPDEYNYRLLATVLGSVEAAQSVTYETYPGTFSAFLTNNQARRLSKVPGVLEVRQRDDPVPTDGQ >ORUFI04G25400.1 pep chromosome:OR_W1943:4:25492572:25495747:-1 gene:ORUFI04G25400 transcript:ORUFI04G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAVASSSSSPALEPHPDLSPVFSSPSAASSSSSSSRDEGARGVSCEGGGGDDVFDLDAPWVAAAEAESRLEEAVTAAAAARVGLCCTEEKGKGKGEKEEDEIRNNRQRQEDELMALEAIYSDDLAVFGKKGGLHYFEIYIHYDLNDGAEVCAKLSSANEKNPKDGRCCVGIEGHGDEPEDFSYTCNFEYLPPLVLTCLLPLSYPSKEPPYFTVTVKWMDGPNVSQLCKMLDTIWAELPGQEVVYRWVESLRNSSRSYLWFDGKITLGPDTPMQKGDNRAISRSLSLESVIPSMLSYSSKKRYQAFLEDLHMCMICLSQSKGSNFIRLPCQHLFCVKCLGTLCRMHVKEGSVFQLVCPDTKCNASIPPYVLKRLLTEDEFERWDRLTLEKALDSMSDVVYCPRCVIGCLEDEDNNAQCPKCSFFFCSFCKEPCHPRRQCLTPEEKLQRRQASGRMSEREVAQEILNIKALYNDVRLCPKCRMAISKTAGCNKMVCGNCGQFFCFRCGKAIKGYDHFSECKLFAPRDISAWERQMEEQYGNHVRLSLRPVGGTIRCPKCRERNFKDDEKYIFCWACRANYCTMCRREVQDKRGHFGSPECVGLEDF >ORUFI04G25410.1 pep chromosome:OR_W1943:4:25498896:25500221:-1 gene:ORUFI04G25410 transcript:ORUFI04G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCAKVGLNKGSWTPEEDMRLVAYIQKYGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTAEEEETIIKLHGLLGNKWSKIASCLPGRTDNEIKNVWNTHLKKRVSPEQKKGGGKSKKKTTCTDVLVPSPSPSSSTTTTTNCSSGDSAGEQSNTSKEEEEETDKIEIPMLELDPCCFDFDMLVDPVVPDTYCPAVSASASASAPTSPCSSTSPSCARAGVDPLLDLPEIVDLGPELWSIMDGGAGDGCTEAPPPAWSNAAAAAAANATVATTTSLEEEEGKEWWLEDLEKELGLWGPTDDYHCHPGPQGQPGRAGPPPSAVVEDPVSCYFQAGPTAAATWQGHEPSAVITSNPMDYYV >ORUFI04G25420.1 pep chromosome:OR_W1943:4:25514097:25515181:1 gene:ORUFI04G25420 transcript:ORUFI04G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQLSLSAMPRLYQEEEDGDDLEAKPEKAPAARPSAKERSVHLIPLLTALCFVILFLFSHDPSASEMSSFGGKVGNRKHKLF >ORUFI04G25430.1 pep chromosome:OR_W1943:4:25516777:25520080:-1 gene:ORUFI04G25430 transcript:ORUFI04G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTFSTVKVSNVSLKASLRDVKEFFSFSGDIVHVEMQSSDELSQVAYITFKDNQGSETAMLLTGATIVDMAVIVTPATDYELPASVLAALEPKDSKPSALQKAEDIVGTMLAKGFILGRDALDRAKALDEKHQLTSTATARVSSFDKKMGLSEKISVGTSAVNDKVKEMDQKYQVSEKTRSALAAAEQSVSTAGSAIMKNRYVLTGAAWVTGAFNKVANAANDVGTKAKEKIASEQEHKTVELESAEPNSSEGHGTQKDVDGEFAKIQVSESPEDIPISTTATVPITDEDSSQASPPAASPKKPEPAQGLIL >ORUFI04G25440.1 pep chromosome:OR_W1943:4:25521268:25528633:-1 gene:ORUFI04G25440 transcript:ORUFI04G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNNGGPTVAVKLYIDKEKKKVLFAESDKEFVDVLFSFLTLPLGTIVRLLGKQSQIGCLDELYKSVEALSEDYFQTKACKAMLLRPRNAAGSHCDRLKVKVDDTNERLIYVCPTSSCDARSFSSFWGVCNSCTVTTTLILREKPVDCRTVESNDDGVFVKSDLKFIIFDDLHVAPASTSTMFPLLGKFGLLEQRNIEEKVLELNSHKIINLLKRALVSKQSLTGLLCDHPVETDSVNLDHLREKLFPKQENTTDPKFNAVRITIVQTKDDSSVGYDFVDLVFGLLSLPLGSTIKAYGQVTSGGSSGLDNLYRSINGSGIGCVKQECQSLLLSPMLAPFFGCGSSVLLQVQESPIKSCSLRVIRAAKIPNEMLVKKELTLDRTQVLKLLRAALVTRNALSSHSFLPAPPTQRTRFTSSSSSSTTTTMAETKIEGPTIAVKLFVDKERSRVLFAESDKDFVDVLFGFLTLPLGTVVRLLGRQSQVGCLDELYKSVEDLSADYFHTKACKAMLMKPHNTAAEQCCLLKVKVDDTDQSAVYVCRDANCSANGDCGVTSVAGSVCKCGKVMEYIGEWPQDGGSTAAAGSDGGVFVKGCYKFIVTDDLHVAPASTSLMMSIFDKYGVRDPANLEQKILQLNAEKITCLLKRSLTSKQTLTGYYFDVPNPNDEANLYVLPESLYSEQDAEVDHKLNNMKIKVLQRKNNTSLLYAEVGEDFVDLLVGLLSIPLGSILKTYGKWSSNGCVDNIYMSIDGSAKGCMNPERQMLLVSPNVASFFGCSATNMLIQLGEAAPKQRNISGCFKCFKIAGFSCYGHCSDQIWNTGKKAYVYKNCLGTTKTCKLCEINPKVPSGGSHKGEGYVKPGVQKFMVTDDLHILPLSLTSTLQVVSESKVQAKDLVEKEFTLTKIQVMELLRAVLVTRNTLSSVLLPPKKKKRLHLQSNSLGIPRGSEQGPAPPPPPRHGAGADGACITLQYVPNVIIHNIHVHDCVPAGNANMRASPTHYGWRTRSDSDGISLYSARDVWVDHCALSRCADGLIDAIMGSTAITVSNSYFSHHNEVMLLGHSDEYLSD >ORUFI04G25450.1 pep chromosome:OR_W1943:4:25531416:25534638:1 gene:ORUFI04G25450 transcript:ORUFI04G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEHLGARAAAAAGEDDQSPVEQVRLTVPTTDDPSLPVWTFRMWTIGLLSCAMLSYINQFFSYRSEPIVITQITVQVAALPIGHFLARVLPKRKFTVFGRECSLNPGPFNVKEHVLISIFANAGAAFGNGGAYAIDIINIIKAFYHRSISFPTSLLLVITTQVLGYGWAGLMRKYVVEPAHMWWPQSLVQVSLLRALHEKENLRMTRAKFFLIALICSAAWYVVPGYLFPTVGAVSWLCWAFPRSVTMQQIGSGMSGLGVGAFTLDWATVVSFLGSPLVYPFFAIVNVWVGFVLLVYVMLPIAYWVLNLYQASTFPFFSASLFDHTGEEYRISEIVNDRFELDTDAYARQGKIHLSLFFATSYGLGFATIAATLSHVTLFYGTEMYRRFRQAAREKPDVHTRLMRRYDDIPNWWFYGMLALAMVAALLLCTVFKDEVQLPWWALLCAVAVAFFFTLPISVITATTNTTPGLNIITEYVMGLIMPGKPIANVCFKVYGYISMNQAVSFLTDFKLGHYMKIPPRSMFLVQFIGTIVAGTVNMSVAWWLLSTVPHICDKKHLPEGSPWTCPGSRVFFDASVIWGLVGPRRIFGPLGYYGALNWFFLGGLAGPAVVWLLARALPRHAGWIRLIHLPVLLGATANMPPASTLNYTAWCSVGAVFNYLVFRRRKAWWQRYNYVLSAAMDAGVAIMGVLIYFCLSSRGITPDWWGNSDINIDHCDLSTCPTAKGVIVEGCPVF >ORUFI04G25460.1 pep chromosome:OR_W1943:4:25538634:25541128:-1 gene:ORUFI04G25460 transcript:ORUFI04G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWADAALLRASSSPASATAAASSSSSSCCLARPRASLESRLHRRKSFLYTSSPKSGFLINSCRARSLKVKAKMDSGDGLTRLAPLMFETPSGQLLVQILQSHPHLLPATVDQQLENLQSEKDAQEKEASKVPQDLLYKRIAEVKEKERQNTLEEIIYCWIIYKFMENDISMTPALAPLGGPVRDISSLPNQEDRLQSIHSPDALEMIQNHLNLIMGEKVAAPLDTVVEISNLNLGKLYAASIMYGYFLKRVDERFQLEKNMKTLPPNPKQQIVLENLKPNPFWDMESLVQITPDGEEIDLDDEESNPNKLRSYVSRLDADTLQRYATIRSKEAVSLIEKQTQALFGRPDIKVLDDGSVNAKDGQMITITFIELTHLVLEAAAFGSFLWEAESHVESKYHFVNS >ORUFI04G25470.1 pep chromosome:OR_W1943:4:25542303:25543731:-1 gene:ORUFI04G25470 transcript:ORUFI04G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIGLCLRVKLMRSLPPRYKVDIRVAPGSHATETAVNKQLNDKERVAAALENPNLLDIVEECLSPTFA >ORUFI04G25480.1 pep chromosome:OR_W1943:4:25546143:25557757:-1 gene:ORUFI04G25480 transcript:ORUFI04G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECRRFNSSSQPSPSAFPAEWCAAAASVQFVFWTGESSGRSNPLRRAINAAALPRSGRRTPTPVTLQYPLPPRIGSPGSHEPAPANPSHPPPAAAAPMEMAGGKHSTTDTPPGLRFKDSPIGTLASPLAVDVRRCVSRMPRKVVSGPDYDDEYDDDYDEYDEDYDDYGETGHSDDIQHPVKEEKESSKKSSSTVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDCTKMPVNTRTTDFDGDPEIKNASISHEKVGSTQYTSAGSSSGAGKKLKEDQSSRASSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFANEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIKIPSDVRLTSWYQGFCLLDAIGSLQLPSRDVSKPLILPICDVIKSQSTGQFAAFGKLETGAIRIGSKVLISPCGEVAAVKSIERDSNSCDIARAGDNVAGIDGSKLILGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVTRAKATTQEKKTL >ORUFI04G25480.2 pep chromosome:OR_W1943:4:25546538:25557757:-1 gene:ORUFI04G25480 transcript:ORUFI04G25480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEECRRFNSSSQPSPSAFPAEWCAAAASVQFVFWTGESSGRSNPLRRAINAAALPRSGRRTPTPVTLQYPLPPRIGSPGSHEPAPANPSHPPPAAAAPMEMAGGKHSTTDTPPGLRFKDSPIGTLASPLAVDVRRCVSRMPRKVVSGPDYDDEYDDDYDEYDEDYDDYGETGHSDDIQHPVKEEKESSKKSSSTVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDCTKMPVNTRTTDFDGDPEIKNASISHEKVGSTQYTSAGSSSGAGKKLKEDQSSRASSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFANEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEKGKGSFAYAWAMDESTGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIKIPSDVRLTSWYQGFCLLDAIGSLQLPSRDVSKPLILPICDVIKSQSTGQFAAFGKLETGAIRIGSKVLISPCGEVAAVKSIERDSNSCDIARAGDNVAGIDGSKLILGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVTRVLRQDQN >ORUFI04G25480.3 pep chromosome:OR_W1943:4:25546538:25557757:-1 gene:ORUFI04G25480 transcript:ORUFI04G25480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEECRRFNSSSQPSPSAFPAEWCAAAASVQFVFWTGESSGRSNPLRRAINAAALPRSGRRTPTPVTLQYPLPPRIGSPGSHEPAPANPSHPPPAAAAPMEMAGGKHSTTDTPPGLRFKDSPIGTLASPLAVDVRRCVSRMPRKVVSGPDYDDEYDDDYDEYDEDYDDYGETGHSDDIQHPVKEEKESSKKSSSTVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDCTKMPVNTRTTDFDGDPEIKNASISHEKVGSTQYTSAGSSSGAGKKLKEDQSSRASSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFANEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIKIPSDVRLTSWYQGFCLLDAIGSLQLPSRDVSKPLILPICDVIKSQSTGQFAAFGKLETGAIRIGSKVLISPCGEVAAVKSIERDSNSCDIARAGDNVAGIDGSKLILGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVTRVLRQDQN >ORUFI04G25480.4 pep chromosome:OR_W1943:4:25546143:25557757:-1 gene:ORUFI04G25480 transcript:ORUFI04G25480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEECRRFNSSSQPSPSAFPAEWCAAAASVQFVFWTGESSGRSNPLHTPPGLRFKDSPIGTLASPLAVDVRRCVSRMPRKVVSGPDYDDEYDDDYDEYDEDYDDYGETGHSDDIQHPVKEEKESSKKSSSTVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDCTKMPVNTRTTDFDGDPEIKNASISHEKVGSTQYTSAGSSSGAGKKLKEDQSSRASSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFANEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIKIPSDVRLTSWYQGFCLLDAIGSLQLPSRDVSKPLILPICDVIKSQSTGQFAAFGKLETGAIRIGSKVLISPCGEVAAVKSIERDSNSCDIARAGDNVAGIDGSKLILGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVTRAKATTQEKKTL >ORUFI04G25480.5 pep chromosome:OR_W1943:4:25546538:25557757:-1 gene:ORUFI04G25480 transcript:ORUFI04G25480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPYMLVMNMFPDDANQEAGRMKRPRHQRRRAPPLRSPDSNSDTPPGLRFKDSPIGTLASPLAVDVRRCVSRMPRKVVSGPDYDDEYDDDYDEYDEDYDDYGETGHSDDIQHPVKEEKESSKKSSSTVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDCTKMPVNTRTTDFDGDPEIKNASISHEKVGSTQYTSAGSSSGAGKKLKEDQSSRASSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFANEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIKIPSDVRLTSWYQGFCLLDAIGSLQLPSRDVSKPLILPICDVIKSQSTGQFAAFGKLETGAIRIGSKVLISPCGEVAAVKSIERDSNSCDIARAGDNVAGIDGSKLILGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVTRVLRQDQN >ORUFI04G25480.6 pep chromosome:OR_W1943:4:25546143:25557757:-1 gene:ORUFI04G25480 transcript:ORUFI04G25480.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPYMLVMNMFPDDANQEAGRMKRYSPWTPVRRCVSRMPRKVVSGPDYDDEYDDDYDEYDEDYDDYGETGHSDDIQHPVKEEKESSKKSSSTVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDCTKMPVNTRTTDFDGDPEIKNASISHEKVGSTQYTSAGSSSGAGKKLKEDQSSRASSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFANEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIKIPSDVRLTSWYQGFCLLDAIGSLQLPSRDVSKPLILPICDVIKSQSTGQFAAFGKLETGAIRIGSKVLISPCGEVAAVKSIERDSNSCDIARAGDNVAGIDGSKLILGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVTRAKATTQEKKTL >ORUFI04G25480.7 pep chromosome:OR_W1943:4:25546538:25557757:-1 gene:ORUFI04G25480 transcript:ORUFI04G25480.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEECRRFNSSSQPSPSAFPAEWCAAAASVQFVFWTGESSGRSNPLRRAINAAALPRSGRRTPTPVTLQYPLPPRIGSPGSHEPAPANPSHPPPAAAAPMEMAGGKHSTTDTPPGLRFKDSPIGTLASPLAVDVRRCVSRMPRKVVSGPDYDDEYDDDYDEYDEDYDDYGETGHSDDIQHPVKEEKESSKKSSSTVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDCTKMPVNTRTTDFDGDPEIKNASISHEKVGSTQYTSADVPVERTAQLISDHFQLKEDQSSRASSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFANEESGVLSQLNLAIGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIKIPSDVRLTSWYQGFCLLDAIGSLQLPSRDVSKPLILPICDVIKSQSTGQFAAFGKLETGAIRIGSKVLISPCGEVAAVKSIERDSNSCDIARAGDNVAGIDGSKLILGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVTRVLRQDQN >ORUFI04G25480.8 pep chromosome:OR_W1943:4:25546538:25557757:-1 gene:ORUFI04G25480 transcript:ORUFI04G25480.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEECRRFNSSSQPSPSAFPAEWCAAAASVQFVFWTGESSGRSNPLHTPPGLRFKDSPIGTLASPLAVDVRRCVSRMPRKVVSGPDYDDEYDDDYDEYDEDYDDYGETGHSDDIQHPVKEEKESSKKSSSTVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDCTKMPVNTRTTDFDGDPEIKNASISHEKVGSTQYTSAGSSSGAGKKLKEDQSSRASSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFANEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIKIPSDVRLTSWYQGFCLLDAIGSLQLPSRDVSKPLILPICDVIKSQSTGQFAAFGKLETGAIRIGSKVLISPCGEVAAVKSIERDSNSCDIARAGDNVAGIDGSKLILGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVTRVLRQDQN >ORUFI04G25480.9 pep chromosome:OR_W1943:4:25546538:25557757:-1 gene:ORUFI04G25480 transcript:ORUFI04G25480.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPYMLVMNMFPDDANQEAGRMKRYSPWTPVRRCVSRMPRKVVSGPDYDDEYDDDYDEYDEDYDDYGETGHSDDIQHPVKEEKESSKKSSSTVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDCTKMPVNTRTTDFDGDPEIKNASISHEKVGSTQYTSAGSSSGAGKKLKEDQSSRASSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFANEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIKIPSDVRLTSWYQGFCLLDAIGSLQLPSRDVSKPLILPICDVIKSQSTGQFAAFGKLETGAIRIGSKVLISPCGEVAAVKSIERDSNSCDIARAGDNVAGIDGSKLILGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVTRVLRQDQN >ORUFI04G25490.1 pep chromosome:OR_W1943:4:25562646:25562971:1 gene:ORUFI04G25490 transcript:ORUFI04G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEPATATTVVLPIAEPDPDSRHDAAVAAAEEPVHGEADEEDEDEMASSRTSPEGLLS >ORUFI04G25500.1 pep chromosome:OR_W1943:4:25564464:25565360:1 gene:ORUFI04G25500 transcript:ORUFI04G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEKVYFDSFNYRPSMIHILHPQNRSGGGGLARRHGGGLHRRDAYRYGGFYHRGEGFHGNEKQLVAEMPVEPATATTVVLPIAEPDPDSRHDAAVAAAEEPVHGEADEEDEDEMASSRTSPEGCLRRPFTCRPMSVSWKITKQELQLHLLSFCCKGNRTAQAQQLVLRL >ORUFI04G25510.1 pep chromosome:OR_W1943:4:25564754:25565644:-1 gene:ORUFI04G25510 transcript:ORUFI04G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWPVPRTTAPTSCARGATVSWSGSTPPPPAAAATEPEDELLSLLQSLADMDITYKALQETDIGRHVNGLRKHPSGEVRLLAISSSSSSSASPWTGSSAAATAASWRESGSGSAMGSTTVVAVAGSTGISATSCFSLPWNPSPRW >ORUFI04G25520.1 pep chromosome:OR_W1943:4:25566436:25568021:-1 gene:ORUFI04G25520 transcript:ORUFI04G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSFSSASTTTILLRSGRWRRTMRAAAFPFRVSCSAAAAAAAAAGGTVVIGLAADSGCGKTTFVRRLTSVLGAGTAAAAAPPWGGNPGSNTLLGDAATVICLDDYHSLDRAGRKERGVTALDPRANDFDLMYRQLKAIKEGRAVAKPIYNHATGLLDPPELITPPKILVVEGLHPMYDERVRGLLDFSIYLDISSDIKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTRLIAAADDDGDEGKVLRVKLIMKEGVEHFAPAYLFDEGSTISWIPCGRKLSCSYPGIKFSYFPDTYFGHEVSVLEMDGKFDKLDELIYVESHLSNLSTKYYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQVVAERAASPPALAAVA >ORUFI04G25530.1 pep chromosome:OR_W1943:4:25569533:25573032:-1 gene:ORUFI04G25530 transcript:ORUFI04G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDPNPFDEGNADDNPFSNGGGGGGGGGSRQQYGFRPTEPAGFGAGRGDATVDVPLDTMGDSKSKARELSSWETDLKRREADIKRREEALRNAGVPMEDKNWPPFFPIIHHDIANEIPANLQKLQYLAFASWLGIVLCLSWNFIAVIVCWIKEGDSKLFFLATIYALLGIPLSYLIWYRPLYRAMRTNSAFSFGWFFLCYLIHIGFCIIAAIAPPIVFHGKSLTGILAAIDTFSEHVIIGIFYFVGFALFCLETLLSIGVLQRVYMYFRGNK >ORUFI04G25540.1 pep chromosome:OR_W1943:4:25590754:25594841:1 gene:ORUFI04G25540 transcript:ORUFI04G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCVWGSHTPLSLLSPPAAWAGERMEGDQAGGDLTDIVRAGGGAMPGSVVVDLPSTAAEWQLPAEPMLFPPPPSLSSTTDGCGAGGAAGADIFGGGGGDLFSGLVDPFSSDYSSGADFLDAMPDAMAKVGFDTAVGGGCGGGGGGGGGGSGGHLLDMSRKPLLPRGMPMAAVGGLAAPRVMPSPLSPRAIRPYPPISAGDMMKLGITAGQAAGCAIDAAVAGMQMSSPRSGGIKRRKNQARKVVCIPAPTAAGGRPSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSHHSKNSGGGGGSGSKGSQNDKSQQQPSVKEEQKDQATTATTTTTSTITTTNSASPVVVKEEEAALAGSSEALELERVMDTTAAGVVDHSELMDHVFSESYKPMIPETGQPDDFFADLAELESDPMSLIFSKEYMEAKPSGGDHAQEKAMAKELDPFDMLDWSTTTNSSAGSSFEQGKRG >ORUFI04G25550.1 pep chromosome:OR_W1943:4:25603470:25616167:1 gene:ORUFI04G25550 transcript:ORUFI04G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADHERRRPEQGALRRPLLADDETCGHRTAECRGVFPFIDFCSAEDCEASSSNQSLVSQEDRGQEVAEVQKIESCSNKALIIILSLQFLEITAFYGVYLNLIVYLQDVLHGDSASNVATVSSWVGTAYLMPILGAAVADSCWGKYTTVLAGFSIALVGMVTITASATLPSLRPPSCGQSAYCVPATLSQKLVFFTGIYLCALGIGGAKAVLIAFGPEQLDDDDGGGKNERVRERKASYFSWYYAVANVGMLTAGTMLVWFEDNVSWGFGYGLCASFVAVAVVVLAATAPMYRILPPAGSPLKSVIQVLVAFSHKAKLTLPDDPTELYEDDGVKNSLQHPVHERLEHTNQFRCLDKAAIVSDEDLEDGDRWRLCTVSQVEEVKILLRLIPIWLTSAVYFIANTQAQTTFVQQGTKTDGRIARGAFSVPAASLSSFQMAFVAVFVTLYNRAVVPAARRCLGRAVAFTPLQLMGFGHATAVVAVGVAACTEARRLHAARAGAPAMGIAWLLPQYLVMAASDASLTVGQLEFFYDQSPETMRSASTAFYFLAISLGNLLNSQLVTLVAKVTAVWGNAGWFPLDLDDGHLDYFFLLIVAITAVNFAVYVALAKNYTPKKLAAKNPKALSRRQELA >ORUFI04G25550.2 pep chromosome:OR_W1943:4:25613098:25623072:1 gene:ORUFI04G25550 transcript:ORUFI04G25550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAMERGQQRLPESWNPKLQDDVSLTVPLIQDKKSGSKAPAVVLGFECLESTAFNGIATNLVVYLETVLHGSSLASASNVTTWFGTSYLTPVFGAIIADTFFGNYNTILVSLVFYLLGMVLVTFSAFLPTTALCAVAGSTSCQQPVFGAQTIAFVGLYLVAFGSGGVRAALLPFGAEQFDDDNAVDRERKMSFFSWFYMCVDFGMIVSGLFIVWIQQNVSWGLGFGIATVCVAIAFGGFVLATPMYKRSMPTGTPLKSLAQVVVAACRKVSLRVPADAALLYEVHDKIDQPKITHTDEFSFLDKAAVIVQSDLEEDSNDASAAAAGSWRLCTVTQVEELKILMRLLPIWATSIVLSAAYAQLNTTFVQQGAAMNMRIMSFTIPPASMVSFEVLCVLAWVLMYSSVIVPMLNSLSLANGEPSQLRRMGAGRLLIAVAMAIAALVEMVRLDAAARGESLSIAWQMPQYFMLAGGEVFCYIAQLEFFYSEAPESMKSICTSLALLTVALGSYMSSFIYAVVNAFTAVDGRPGWISDNLNEGHLDYFFWVMSALCTLNFVVYSAFARNYKVKTVVDTPAAAMDAGEIIVAQPSPRLYKSLTFRRIATKERTIPQDEEMKIRSPRFQDESLTAPFILDKKARSKAPTVVLGFECLESTAFNGIATNLVVYLETLLHGSNLASASNVTTWFGTSYLTPVFGAIIADTFWGNYNTILVSLVFYLLGMMLVTFSAFLPTTALCTVVGSSCQQPLLGAQTIAFLGLYLVAFGSGGVRAALLPFGADQFDDDNTADRERKMSFFSWFYICVDFGMIVSGLFIVWIQQNVSWGLGFGIATACIALAFGGFVLATPMYKRRMPTGTPIKSLAQVVVAACRKARLRVPADTTLLYEVHDKINQSKIAHTDQFGFLDKAAVVMESDLEEESNDVAADASWRICTVTQVEELKILLRLLPIWATSIVLSAAYAQLNTTFVQQGAAMNMRIMSFTIPPASMVSFEVLCVLAWVLMYSSVIVPMLNSLSLANGEPSQLQRMGAGRLLMAFAMAVAALVEMMRLDAAGRGESLSIAWQMPQYFALAGAEVFCYIAQLEFFYSEAPESMKSMCTSLALLTVALGSYMSSFIYAVVNAFTAVDGRPGWISDNLNEGHMDYFFWVMAALCTLNFVVYSAFARTYRVKLVVS >ORUFI04G25550.3 pep chromosome:OR_W1943:4:25603470:25604920:1 gene:ORUFI04G25550 transcript:ORUFI04G25550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDADHERRRPEQGALRRPLLADDETCGHRTAECRGVFPFIDFCSAEDCEASSSNQSLVSQEDRGQEVAEVQKIESCSNKALIIILSLQFLEITAFYGVYLNLIVYLQDVLHGDSASNVATVSSWVGTAYLMPILGAAVADSCWGKYTTVLAGFSIALVVSDLPL >ORUFI04G25550.4 pep chromosome:OR_W1943:4:25604944:25616167:1 gene:ORUFI04G25550 transcript:ORUFI04G25550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAMERGQQRLPESWNPKLQDDVSLTVPLIQDKKSGSKAPAVVLGFECLESTAFNGIATNLVVYLETVLHGSSLASASNVTTWFGTSYLTPVFGAIIADTFFGNYNTILVSLVFYLLGMVLVTFSAFLPTTALCAVAGSTSCQQPVFGAQTIAFVGLYLVAFGSGGVRAALLPFGAEQFDDDNAVDRERKMSFFSWFYMCVDFGMIVSGLFIVWIQQNVSWGLGFGIATVCVAIAFGGFVLATPMYKRSMPTGTPLKSLAQVVVAACRKVSLRVPADAALLYEVHDKIDQPKITHTDEFSFLDKAAVIVQSDLEEDSNDASAAAAGSWRLCTVTQVEELKILMRLLPIWATSIVLSAAYAQLNTTFVQQGAAMNMRIMSFTIPPASMVSFEVLCVLAWVLMYSSVIVPMLNSLSLANGEPSQLRRMGAGRLLIAVAMAIAALVEMVRLDAAARGESLSIAWQMPQYFMLAGGEVFCYIAQLEFFYSEAPESMKSICTSLALLTVALGSYMSSFIYAVVNAFTAVDGRPGWISDNLNEGHLDYFFWVMSALCTLNFVVYSAFARNYKVKTVVS >ORUFI04G25560.1 pep chromosome:OR_W1943:4:25623922:25627349:1 gene:ORUFI04G25560 transcript:ORUFI04G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHALPRRDLQALCKRNGVRANMTNAAMADALAKLATVDGIEEFVKQAVALPPAPATKPAVKAVAEEDPREKKGSPLPRGRRVTVSSPEVVNLDDSDEEAPGQSKDAPLPRGRRGTVRSSKLIRPDDGEEEGKEDENRGENAPVHGEGRRGASRRARAEPVVAPTTRRRAAPTSKIETGDVAVEAPPAPTTRRRPQTSTEAAPAPTTRRRAQSTVAASAEEKVPRGRRTTRRAAAKKPDMLEEETREPLAPEQNSAHEEPVQEEQGIEVEVPAETVEAVAQECLPDPDAVVEEKPVQEEEGIEVEAPAETVEAVAQECSPDAVVEDEQAAVEAEQTISQDDSPIFGIVTGTIVGASEEAPVCNSECPKNMATEESSDTVSEEKEAVPADEVPLVTVTCGEATRDAELPTNIGNAKEEDDDEMEAAHEAGFAVEVDGSETVDELIGTLTEHADNAIQLNFSAELSCADEEAGVFATDDLQQSSATVKTMVADSEANEEEDALEAENEVGFAVEEKEVRTGDEPHETLSNDADGAIQLGEDALEAANEAGCAVEEKGVETVDEPHDTETNAAENAQEEGVVASEDLLQISETEQDEFNSDICHAVEHNERDNVESVSSEREDVSMENAFTGDLTLKFDGPGDLGDRNTSLLEEGARTLPLSTETPNNVTDAVVTAAEEMVSETMGVSNKKSTELAAMEDGNEVKVVEKQKEDPVELVKLSLRTLRAKLKEQLTKHKRKEAKRVALGRLDENVC >ORUFI04G25570.1 pep chromosome:OR_W1943:4:25625386:25625883:-1 gene:ORUFI04G25570 transcript:ORUFI04G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQCTNQLINSLRSVHFNRKTSLMRSLHFIIILFFGIANIGGQFSIPSCFAACHRNQRHLISRHGFLLFTNCVGTLFSRHVLGTLTVAHRGFLTRSNNCPSHDAKNGRIILADSLFSFDSCLLIFYNCIRHTQVRPPN >ORUFI04G25580.1 pep chromosome:OR_W1943:4:25627855:25629485:-1 gene:ORUFI04G25580 transcript:ORUFI04G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASSKGRVIAGSFVARVLAGKAASPRRFVSASAYDKNVEEQVRPAVVPDDVIGSVGSPDKYWGPHPTTGVFGPAAVDAKAAAAVGAAKAGANGGASVLDQKVWFRPLEDVEKPPVA >ORUFI04G25590.1 pep chromosome:OR_W1943:4:25632413:25632913:-1 gene:ORUFI04G25590 transcript:ORUFI04G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPETWHGEGVSYDTCCRDASSSASGAVPMCIIFKASTVRGAHARKGSYCPCRSMSHCPVLILILGCHHVFSNAPPVRIPNDQSVAADSLTPPIGTNLHWQANAFALFGLFLVVVDMGGDKFERCLKILEFWKLRSMNIWTISEQYCLLNDQ >ORUFI04G25600.1 pep chromosome:OR_W1943:4:25638364:25640258:1 gene:ORUFI04G25600 transcript:ORUFI04G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPTQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIARIMRNRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQQEISDGEVEIPSA >ORUFI04G25610.1 pep chromosome:OR_W1943:4:25640793:25643457:-1 gene:ORUFI04G25610 transcript:ORUFI04G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNDAFSAAHPFRWDLGPPAPAPVPPPPPPPPPPPPANVPRELEELVAGYGVRMSTVARISELGFTASTLLAMTERELDDMMAALAGLFRWDLLLGERFGLRAALRAERGRLMSLGGRHHGHQSGSTVDGASQEVLSDEHDMAGSGGMGDDDNGRRMVTGKKQAKKGSAARKGKKARRKKVDDLRLDMQEDEMDCCDEDGGGGSESTESSAGGGGGERQREHPFVVTEPGEVARAKKNGLDYLFHLYEQCRLFLLQVQSMAKLHGHKSPTKVTNQVFRYAKKVGASYINKPKMRHYVHCYALHCLDEEASDALRRAYKARGENVGAWRQACYAPLVDISARHGFDIDAVFAAHPRLAIWYVPTRLRQLCHQARSSHAAAAAALPPPLF >ORUFI04G25620.1 pep chromosome:OR_W1943:4:25652384:25656409:1 gene:ORUFI04G25620 transcript:ORUFI04G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRRASTPAAAAAADPAVAAWPLTPLVLALAVFSAMPHVALSRGRENRWPPLEVNSQLTTTKNLSCDEVPYPFGLKGKSAPGFRVTCRENDSAAMLRIGHQKFRIDQVSSQEGFVVIFAGPIYRLCYDRNGRPAVGSTGIGPTNLTDTPFFFSERNRLVATGCYSSFNATFTSSRRHQGWSTNGSCTTKCSVNSDASCPGTACCDAYGMPLDDAQEVTFEFNKTSASVADTCSAAFILYQEEQIFKVSGNSKPMHLHQEEQIFRVGGGDSKPVHLEDVLVPLGERRMVLDWVIGRATCKQARNNSFKTQYRCNNESSCMDRFVGAGYVCRCKAGYDQHNGNPYEAGGCQAYSVITLPEDILALAPIIRLVMATGQGQGALTPIGHLLLVIMRDDLTKIVYSLSCWRPYPQPTASLLLLGLDVCRELNPCTYSKSCKDEQGVTSCVCPKGMIGDGQKNGSGCKKQFPLYTALGVGLALTATLATVLLCYYWTMKKRKVARNRAELFRKNGGLLLQQRFSMMTSQGEDSSAKIFSAEELKDATDNYSESRILGRGGSGMVYKGILPNNTMVAIKKSILFDESQVEQFANEITILLQIDHPNVVKLLGCCLETKVPLLVYEFIPNGTLFQHIHNRSSLRWEDCLRIAEETAEALDYLHSTSSTPIIHRDIKSSNILLDENLMAKISDFGASRSVPFDQTHVTTLIQGTIGYLDPEYFQSSKLTEKSDVYSFGVVLAELLTRQKPICASRPEESCNLAMYIVNLFNEMRLLQEIEPHILAEAGEEQIHAVAQLSVRCLNLKGEERPVMREVASILHGLRESFDEEQIIRRSNESIQITNGQDSVHSEARPFPSLQSSGEITTEYSLPAEILPSSYLAR >ORUFI04G25630.1 pep chromosome:OR_W1943:4:25652764:25656825:-1 gene:ORUFI04G25630 transcript:ORUFI04G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSTSPRGLPLITSTRAAKQSNRATLIGDFEYVQGFNSLHTSRPNNSGAPNHPVQDHPSLTQRHQNVFQMHWLAVTAADSEDLLFLVEMHWLAVTADFEDLLFLVEDEGCAAGVGHARRCLVELEGDLLRVVQRHAVGVAAGRPGARCVRVHAALGCAASPVATSLLRSEKKNGVSVKFVGPIPVLPTAGRPLRS >ORUFI04G25630.2 pep chromosome:OR_W1943:4:25652764:25656825:-1 gene:ORUFI04G25630 transcript:ORUFI04G25630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSTSPRGLPLITSTRAAKQSNRATLIGIVNCGAPNHPVQDHPSLTQRHQNVFQMHWLAVTAADSEDLLFLVEMHWLAVTADFEDLLFLVEDEGCAAGVGHARRCLVELEGDLLRVVQRHAVGVAAGRPGARCVRVHAALGCAASPVATSLLRSEKKNGVSVKFVGPIPVLPTAGRPLRS >ORUFI04G25640.1 pep chromosome:OR_W1943:4:25660913:25667009:1 gene:ORUFI04G25640 transcript:ORUFI04G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRASSTPVATAAAPALALGLALLAATPHGVLSQGIMALPPLPNPPPPINLTCDEIAYPFGLRGKYAPGFQVTCGPNNEAMLPIGGHSFRIDQVSLQEGFVVIFAGPISQLCYDRNGRPTAGSTRIGPTNLTGTPFFFSKRNRLVATGCYSSFLATFTSSLPNQSPSSIGGCKTQCSGNHDGLCPGTTCCKAEMPTDGAQEFTFNFNKTSASVKGEEAGTCRAAFILDGNEEQIVNISGDGRRMPFGERRMVLDWVIGRNTCEQARSNNYKPQYYCNNVSSCMDASSGAGYFCKCKAGYEQSYGNPYEADGCQDINECRFPVNNNCSHHCNNTSGGYTCSCPNNMTGDGYKTGTGCTDSHLPSGAPTQNQPQDVCVHPEKNPCIYPKYCKDEQGVTSCDCPEGMNGDGRKNGSGCKKHFPLDTALGVGLALTVTLATTLLCYYWTMKKRKVARKRAELFRKNGGLLLQQRFSMIPSQGEESSSKIFSAEELKNATENYSENRILGRGGHGTVYKGILPNKTTIAIKKSILFDESQVEQFVNEITILSQIDHPNVVKLLGCCLETKVPLLVYEFIPNGTLFQHIHNRSATLSLTWEDCLRIAEETAEALAYLHSTSSTPIIHRDIKSSNILLDENFVAKISDFGASRSVPSDHTHVTTLIQGTIGYLDPEYFQTSQLTEKSDVYSFGVVLAELLTRQKPISVGRPEESCNLAMYIVILLDERRLLQEIEPHILVEAGEEQIYAVAQLSVRCLNVKGEERPVMREVASVLHSLRESFAKEKTIIKNDESIQITYEQESVHSEARPMSSLQSSEETTTQYSLPSEILSSSHLAR >ORUFI04G25640.2 pep chromosome:OR_W1943:4:25660913:25667009:1 gene:ORUFI04G25640 transcript:ORUFI04G25640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRASSTPVATAAAPALALGLALLAATPHGVLSQGIMALPPLPNPPPPINLTCDEIAYPFGLRGKYAPGFQVTCGPNNEAMLPIGGHSFRIDQVSLQEGFVVIFAGPISQLCYDRNGRPTAGSTRIGPTNLTGTPFFFSKRNRLVATGCYSSFLATFTSSLPNQSPSSIGGCKTQCSGNHDGLCPGTTCCKAEMPTDGAQEFTFNFNKTSASVKGEEAGTCRAAFILDGNEEQIVNISGDGRRMPFGERRMVLDWVIGRNTCEQARSNNYKPQYYCNNVSSCMDASSGAGYFCKCKAGYEQSYGNPYEADGCQGAPTQNQPQDVCVHPEKNPCIYPKYCKDEQGVTSCDCPEGMNGDGRKNGSGCKKHFPLDTALGVGLALTVTLATTLLCYYWTMKKRKVARKRAELFRKNGGLLLQQRFSMIPSQGEESSSKIFSAEELKNATENYSENRILGRGGHGTVYKGILPNKTTIAIKKSILFDESQVEQFVNEITILSQIDHPNVVKLLGCCLETKVPLLVYEFIPNGTLFQHIHNRSATLSLTWEDCLRIAEETAEALAYLHSTSSTPIIHRDIKSSNILLDENFVAKISDFGASRSVPSDHTHVTTLIQGTIGYLDPEYFQTSQLTEKSDVYSFGVVLAELLTRQKPISVGRPEESCNLAMYIVILLDERRLLQEIEPHILVEAGEEQIYAVAQLSVRCLNVKGEERPVMREVASVLHSLRESFAKEKTIIKNDESIQITYEQESVHSEARPMSSLQSSEETTTQYSLPSEILSSSHLAR >ORUFI04G25640.3 pep chromosome:OR_W1943:4:25660913:25667009:1 gene:ORUFI04G25640 transcript:ORUFI04G25640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRASSTPVATAAAPALALGLALLAATPHGVLSQGIMALPPLPNPPPPINLTCDEIAYPFGLRGKYAPGFQVTCGPNNEAMLPIGGHSFRIDQVSLQEGFVVIFAGPISQLCYDRNGRPTAGSTRIGPTNLTGTPFFFSKRNRLVATGCYSSFLATFTSSLPNQSPSSIGGCKTQCSGNHDGLCPGTTCCKAEMPTDGAQEFTFNFNKTSASVKGEEAGTCRAAFILDGNEEQIVNISGDGRRMPFGERRMVLDWVIGRNTCEQARSNNYKPQYYCNNVSSCMDASSGAGYFCKCKAGYEQSYGNPYEADGCQGVGLALTVTLATTLLCYYWTMKKRKVARKRAELFRKNGGLLLQQRFSMIPSQGEESSSKIFSAEELKNATENYSENRILGRGGHGTVYKGILPNKTTIAIKKSILFDESQVEQFVNEITILSQIDHPNVVKLLGCCLETKVPLLVYEFIPNGTLFQHIHNRSATLSLTWEDCLRIAEETAEALAYLHSTSSTPIIHRDIKSSNILLDENFVAKISDFGASRSVPSDHTHVTTLIQGTIGYLDPEYFQTSQLTEKSDVYSFGVVLAELLTRQKPISVGRPEESCNLAMYIVILLDERRLLQEIEPHILVEAGEEQIYAVAQLSVRCLNVKGEERPVMREVASVLHSLRESFAKEKTIIKNDESIQITYEQESVHSEARPMSSLQSSEETTTQYSLPSEILSSSHLAR >ORUFI04G25650.1 pep chromosome:OR_W1943:4:25669500:25675514:-1 gene:ORUFI04G25650 transcript:ORUFI04G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTVLPQLLLLCLLSFYSRCCCQSSLPRCPNSSVDIPYPFYIDTSSDLNVTKGFAISCGQSGPMILLDAGGGNYSVLNISLLEGYVRVSGQALYSYQCHNSSQGFLDLTPTSYMFSPTQNKFTAVGCDAMAMIRDVRNRSLNDTVSQYLGGCVSFCATEGSIVTGECSGVGCCQSSVPKGLNNLVLEFTSIRDQLMPTSSVVGEDEGSRWCSKAFIAEQDTYVFSRDHLDRDLGKLHMVLDWYIKSGNCKEASRSRQTYMCKENSDCYDVEDGGTGGYRCNCSEGFAGNPYMQGLGGCQGGSLGLMGVLLVLGFWTYWIVKKRRLAKQKQRNFLQNGGLLLQQQIFTHQAPARIFTTSELEDATNNFSDDRIVGRGGYGTVYKGILSDQTIVAIKKSKLVDQSQMEQFINELIVLSQINHRNVVKILGCCLETEVPLLVYEFISNGALFHHLHNTNLVPISWEHRLRIAAETASALANLHLARKVPIIHRDVKSANILIDENYTAKVSDFGASRLVPSNQTHVTTLVQGTLGYLDPEYFYTSQLTDKSDVYSFGVVLVELLTRQKPISYHRQEEGINLASHFTSLAQQNRLQEIVDCVVVKEAGMRHVYVVSHLILKCLKLKGEERPSVETRFRQ >ORUFI04G25660.1 pep chromosome:OR_W1943:4:25679304:25681666:-1 gene:ORUFI04G25660 transcript:ORUFI04G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYLLLLPLLLLTCIFASYVHSMESSTSKCSNIPIPYPFGILGGNPAPAQGFEITCASSGPMVRINNIMFGILNISLLDGFVSILASATSQQCKRNSSFSLEGTNFTFSDTRNKFTALGCDMVAMLLNGSSGYSGGCASFCSTKSNIIDGMCSGVACCQAPVPKGLKKLELEFTNITGQLSRPKEVNNTPTCGEAFIVEQNSYVFSSVDLSNTNRNNPQYRPVVLEWSIDGGYCEEANRFMSYACKENSYCYNSSNGIGYRCNCSLGFQGNPYLQGPDGCQDIDECTIKRPCTHKCINTKGSFYCMCPAGMRGDGLKEGSGCNGIGTLLIGIGKFLKPCITALPFTGLALLLLLLVLIFWTHWLVKKRKLAKIRQRYFMQNGGMLLKQKMFSQGAPLRIFTSSELEKATNSFSDDNIIGRGILSNQMVVAIKKAQRVDQNQMEQFINELVILSQVNHKNVVQLLGCCLETELPLLVYEFITNGALFSHLQNTSVLISWEDRLRIAVETASALAYLHLATKEPIIHRDVKSSNILLDENFTAKVSDFGASRPIPHNQTHVTTLVQGTLGYMDPEYFQTSQLTEKSDVYSFGVVLIELLTRQKPISDGRTDDVRNLACHFSMLFYQNQLLEIVDSQVAEEAGTKHVKTVAQLALRCLRSRGEERPRMIEVAIELEALRRLMKQHLVLQTEEDPLLCESGQHADVNIEASSEYEP >ORUFI04G25660.2 pep chromosome:OR_W1943:4:25679304:25681666:-1 gene:ORUFI04G25660 transcript:ORUFI04G25660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYLLLLPLLLLTCIFASYVHSMESSTSKCSNIPIPYPFGILGGNPAPAQGFEITCASSGPMVRINNIMFGILNISLLDGFVSILASATSQQCKRNSSFSLEGTNFTFSDTRNKFTALGCDMVAMLLNGSSGYSGGCASFCSTKSNIIDGMCSGVACCQAPVPKGLKKLELEFTNITGQLSRPKEVNNTPTCGEAFIVEQNSYVFSSVDLSNTNRNNPQYRPVVLEWSIDGGYCEEANRFMSYACKENSYCYNSSNGIGYRCNCSLGFQGNPYLQGPDGCQDIDECTIKRPCTHKCINTKGSFYCMCPAGMRGDGLKEGITGLALLLLLLVLIFWTHWLVKKRKLAKIRQRYFMQNGGMLLKQKMFSQGAPLRIFTSSELEKATNSFSDDNIIGRGGFGIVYKGILSNQMVVAIKKAQRVDQNQMEQFINELVILSQVNHKNVVQLLGCCLETELPLLVYEFITNGALFSHLQNTSVLISWEDRLRIAVETASALAYLHLATKEPIIHRDVKSSNILLDENFTAKVSDFGASRPIPHNQTHVTTLVQGTLGYMDPEYFQTSQLTEKSDVYSFGVVLIELLTRQKPISDGRTDDVRNLACHFSMLFYQNQLLEIVDSQVAEEAGTKHVKTVAQLALRCLRSRGEERPRMIEVAIELEALRRLMKQHLVLQTEEDPLLCESGQHADVNIEASSEYEP >ORUFI04G25670.1 pep chromosome:OR_W1943:4:25683786:25688727:-1 gene:ORUFI04G25670 transcript:ORUFI04G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSGGGGGGGGGEGEGCGEAETGITDCSPGIIVWVRRRNGSWWPGRILGPDELPASQVMSPKTGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDACIEKALTSRGTPVKRREKYARREDAILHALELERKQLASKYQNQGFRSDDISSVPFADMRREFDNSSTEYYSRNNTQKPQFPLGNSASQQCKDLSSTRYKSKKSKKRKGDSSNLPGKTKGLEQNFPYAGSKRDFSESLALEGAENTLSNRNNGSSHLGHMQAGPNLGSDGKNTPLTKKISEESVFEESLVKKHDRCRPLAQVVQSSLKLPHSFQRDDDSGPVLIEEGNDPLTTIYQAQQGWSTYMPNDSGETNNHGDIPPTQITSMGAHFETEGYLKQPDSFSAEQKISEFAEKQRSDSCERECSETETEDDAELLQRYAKRQSPGSDACDPYSIQASKKSRHVDGDVADDMVAFSTGIPQQNVLKEEDGSSELGVSQWHMKGKRNQRSALKRPMGKTDGNISLDRSNSSLKGSLYRVNESNPNMESTGASSHQYFGRSFYQTQELDYDYDNADLTNKARGHAEVRYYGKDYPPSLTPTRDLEQSYTSFNNTETYCKTSPPNKNGDQMSSLGRKACLEGASLYRQNYSSQLGYMGPMLFNVDLNVQAGYQGEHVPLVSLMSRLNGKAIVGHPIQIEILEDGSTDHLVLASDDFLEHSTSASPAWRTGRRTAMPRIPRSNSTRVTLDDGDDEGLWDMNPPFSRSSTPFNQQFRLSKRSNTSFRSPLSHRSQKKPSNSKKGSSSSQKVRALSSISIGKRHHREGRQAKLHNILGDLIKPEGAIPLVTCVPAKVVFSRIMEAVGRPSLSIAHRARVASPAIRDAQR >ORUFI04G25680.1 pep chromosome:OR_W1943:4:25692426:25692710:-1 gene:ORUFI04G25680 transcript:ORUFI04G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIPLILSHLSSLSSSSPFGSAAQLETGTAVAWPETGKAAARLGTGKAAVQTGTVATRLGTGRRRHGWGRGRRRRRHGWGRWDVLLRRCRWRR >ORUFI04G25690.1 pep chromosome:OR_W1943:4:25694043:25696353:-1 gene:ORUFI04G25690 transcript:ORUFI04G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGAGYFEDSHDQSLMAGSLIHDSNQAPASSENTSIDLQKFKVHPYSTEALSNTANLAEAARAINHLQHQLEIDLEQEVPPVETANWDPAICTIPDHIINHQFSEDPQNILVEQQIQQYDSALYPNGVYTPAPDLLNLMQCTMAPAFPATTSVFGDTTLNGTNYLDLNGELTGVAAVPDSGSGLMFASDSALQLGYHGTQSHLIKDICHSLPQNYGLFPSEDERDVIIGVGSGDLFQEIDDRQFDSVLECRRGKGEFGKGKGKANFATERERREQLNVKFRTLRMLFPNPTKNDRASIVGDAIEYIDELNRTVKELKILVEQKRHGNNRRKVLKLDQEAAADGESSSMRPVRDDQDNQLHGAIRSSWVQRRSKECHVDVRIVDDEVNIKLTEKKKANSLLHAAKVLDEFQLELIHVVGGIIGDHHIFMFNTKVSEGSAVYACAVAKKLLQAVDVQHQALDIFN >ORUFI04G25710.1 pep chromosome:OR_W1943:4:25700032:25705266:1 gene:ORUFI04G25710 transcript:ORUFI04G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLPRLLSHAAAAGRATARTTGSHARGSNGFASGRGGSDGAVVPREWLRKLWTEELRRQRDAARRWGRRAVATTDGGGADSFSDFARAPSRSYQHDDRDLTPVEAKLKPLLSRANLLIARDIEWANIVLAFEQPVGFIREKSNVIFRQLFRSRRPFVAEITDAMGNEIFTVRRPFWLINSSIYAEVNGKEVGVVHRRWHLWRRIYDLYLGNRQFAVVENPGFWNWTFTLTDEDDNVLAQIDRNWRGIGFELFTDAGQYAIRFGDAGLNRKFGLASDIDELHVVRQLSLPERAVALALAVSLDCDYFSKRGGWGLPFLIATE >ORUFI04G25720.1 pep chromosome:OR_W1943:4:25707861:25721953:1 gene:ORUFI04G25720 transcript:ORUFI04G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDLGDIVLSWSLGEVMDDDLYRGKVEEIPRSFMSLDHYFKTYAAPLIEETRSDLCSCLELISEAPISKILSMEEAGKSGLYFMDVDFWDNGAGFSSEAYTARNGDIFILSSMKPESADDLNRYGVTYCLAMVTEVSMDDEFQKGFRVKVAKDVTLQEGFNRLRHAIFLNNIMTNLRIWKAICFDMGMNNNFTVIKSLFAPTYMCRHLNLMKLIWGPPGTGKTKTVSALLWALACLKCRTLTCAPTNVAIVGVCTRFLHILRDFNKNANENFLPFSLGDVLLFGNKYNMDITEDLQDVFLDCRADELVECFSSLSGWRYRIASMASFFEDCGSQYDMLLEDDGRSDPICFLDFIKTQFDVTATALKKCIMNLLIHLPRKCFSHDSISNISMLFDSLEKVEALLHHENLTDDGAKRGFGFLSIQDISCAKSAFIIEKELNRAKLSCLQLLEDLERSLDLPTGRDRNWIQNYCMRNATLIFCTSSSSYRLHHMEIAPLDVLIVDEAAQVCKEAGFGISLFERLVVLDFEKHLLNIQYRMDPRISLFPNVQFYGRKILDGPNVMSSVYNKDYTNLPFGTYAFINISDGREEKEGTGNSWRNLVEVAVVLHLIQTIFKTWKRKGQMLSIGVISPYSSQVDSIESRLGKLYDTCDGFHVRVKSVDGFQGEEDDIIILSTVRSNVKGIVGFLADEQRTNVALTRARHCLWILGNANTLYSSGTVWKDLIADAQRRKCIIDATNDAAICKLVLKVKNELDELDDLLNADSAVFSNTRWKVVFSDEFKKSFAKLKYPQLRREVLQKLIKLGVGWRTTMKNLNFNVIDPFQLAKVYKVRDLYLVWSTDIEKSERYVQIIRIWDLLSHQNVARTVQRLENLFSMYTDEYLDKCRRVKTEGKLEVPVIWDAEHDIIRYRKVLEVDAQEDHDHVDISYAMENSKVSESFLLMKFYSLSSGVAKHLLTATDGSEIDIPFELTDEEQAIIRFPLTSFILGRSGTGKTTVLTMKLIQIWQQSLIASRGLNLDERNSTAQKDLSEVETFVKQVFITVSPKLCSAIRNQICKLTRYGSGDVSDQASILQMPDMVDDLEDFTDIPDSFIGLPCEHYPLTITFRKFLMMLDGTCKTSFFGTFCGELRSSTERGYSKSRALQAFIEMKEVTYEKFSASYWPHFNSELTKKLDASTVFTEIISHIKGGYQANKPFGGKLERLDYLKLSEKRFSSLNSQMRERVYDIFLDYESMKCTAREFDLSDFVNSLHRNLLSEGYNGDIVDCIYIDEVQDLTMTQIALLKYVCRNFEEGFVFAGDTAQTIARGIDFRFEDIRSLFYTYFLPEMEPCGQGINHGKQLRITDMFQLTQNFRTHCGILRLAHSIMSLLYYFFPSCVDKLNPEIGLVYGEAPVLLESGNDENAIMTIFGESKSDPGNLQGFGAEQVILVRDDATKKQVVDLVGKQALVLTIVECKGLEFQDVLLYNFFSSSPLRNKWRVVYDYMKGKNVIESSEEMSHSFFDKNKHYLLCSELKQLYVAITRTRQRLWICENADDNCRPMFDYWKKLCLVEVRVLDSSLIEAMQAGSSTEEDWRQRGTKLFAEGQYEMATMCFEKAGDAYREKLARAAGLLATADRVISTNFEMGQSSLQKASEIFESIGKHEKAATCYMKLGDYKKAGMVYMEKCGNSRLKDAGDCFELSACWSLAADAYFRAKCYAKCLSMCSKGKLFQKGLLLLQQLEEHLLENSSLVKVAAIRNTFLEDCALHYFECGDIKHMMPFVKSFSSMDHIRVFLNSKNLVDELLSVEMDMGNFVEAAGIAKHTGNILLEADLLEKAGFLENATQLILLQLFVNSLWASHSTGWPPKRFAEKEQLLAKAKEMSRNVSESFYCLVCSEADALSDEHKSLASITYNLIEGNKCGNLLVELIASRLILDVHLQAEASGYCFESEPGSHDGRHCKDMLVLNQISLETLVYDWNYWSSIIVKVLRHLDHPKDAESNDLAAICEDLCAKYFGWRKDGDYDRVLKKLESIVQILPTSSCSLGRTILVIYEIAKFLKESEFGMPKNTIKYYSILCERRFFELVFLVWRDETPKSLLCILDSATTYNLLSDSICSYLGSRNNKMTHSQVGRITMLLLHAARLDDSLISQLVEYLDRGSEWATFFLSLKKYLDNGVSRDILLLDFKFALDCTYKANWRAEHYISPICYVDLIECLGFLATTHLVLNDYMFCTKSLLAKMMKCRTTKGYFETCMAPSTDIDLGYAGHSARCFIYLSVKDLLGSKRMIVEWVQNTSTPTSSYVPILLRLVITLYLVTVNQDDGDLYEVTAFLEKNHVFTDLPPEFSEKIRNALRMKSRTVKNFMRVFADALAAIGTRMVVMGDIKKAMAHKCQPDLNADMISSVDLSDAKKVMALLSTEKSSSLKQEPQLPEIKSVCNKICNATSGHFPLTSVGGSQKSTSNFNLSDVDHPLLEKFEAFQVNMPQKKNGSLISQILRSPLSWIEQRAPPVQMLELRHICKQVEEQHVREKRALSVKDLHSNREHGDGEKNIGKIVDVQGSQSNPDRASEWSGCSDDEQETGGSNVVQSTKEAPAAASSGPSSKNKPQKKKKSKKSKRSGRK >ORUFI04G25720.2 pep chromosome:OR_W1943:4:25707861:25721953:1 gene:ORUFI04G25720 transcript:ORUFI04G25720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDLGDIVLSWSLGEVMDDDLYRGKVEEIPRSFMSLDHYFKTYAAPLIEETRSDLCSCLELISEAPISKILSMEEAGKSGLYFMDVDFWDNGAGFSSEAYTARNGDIFILSSMKPESADDLNRYGVTYCLAMVTEVSMDDEFQKGFRVKVAKDVTLQEGFNRLRHAIFLNNIMTNLRIWKAICFDMGMNNNFTVIKSLFAPTYMGDDVCDICVKQDEHCLALCTEQLLSINLNQSQVDAIESVISAVQCRHLNLMKLIWGPPGTGKTKTVSALLWALACLKCRTLTCAPTNVAIVGVCTRFLHILRDFNKNANENFLPFSLGDVLLFGNKYNMDITEDLQDVFLDCRADELVECFSSLSGWRYRIASMASFFEDCGSQYDMLLEDDGRSDPICFLDFIKTQFDVTATALKKCIMNLLIHLPRKCFSHDSISNISMLFDSLEKVEALLHHENLTDDGAKRGFGFLSIQDISCAKSAFIIEKELNRAKLSCLQLLEDLERSLDLPTGRDRNWIQNYCMRNATLIFCTSSSSYRLHHMEIAPLDVLIVDEAAQVRECELIIPLRLHWLKHVVLVGDDCQLRPMVKSQVCKEAGFGISLFERLVVLDFEKHLLNIQYRMDPRISLFPNVQFYGRKILDGPNVMSSVYNKDYTNLPFGTYAFINISDGREEKEGTGNSWRNLVEVAVVLHLIQTIFKTWKRKGQMLSIGVISPYSSQVDSIESRLGKLYDTCDGFHVRVKSVDGFQGEEDDIIILSTVRSNVKGIVGFLADEQRTNVALTRARHCLWILGNANTLYSSGTVWKDLIADAQRRKCIIDATNDAAICKLVLKVKNELDELDDLLNADSAVFSNTRWKVVFSDEFKKSFAKLKYPQLRREVLQKLIKLGVGWRTTMKNLNFNVIDPFQLAKVYKVRDLYLVWSTDIEKSERYVQIIRIWDLLSHQNVARTVQRLENLFSMYTDEYLDKCRRVKTEGKLEVPVIWDAEHDIIRYRKVLEVDAQEDHDHVDISYAMENSKVSESFLLMKFYSLSSGVAKHLLTATDGSEIDIPFELTDEEQAIIRFPLTSFILGRSGTGKTTVLTMKLIQIWQQSLIASRGLNLDERNSTAQKDLSEVETFVKQVFITVSPKLCSAIRNQICKLTRYGSGDVSDQASILQMPDMVDDLEDFTDIPDSFIGLPCEHYPLTITFRKFLMMLDGTCKTSFFGTFCGELRSSTERGYSKSRALQAFIEMKEVTYEKFSASYWPHFNSELTKKLDASTVFTEIISHIKGGYQANKPFGGKLERLDYLKLSEKRFSSLNSQMRERVYDIFLDYESMKCTAREFDLSDFVNSLHRNLLSEGYNGDIVDCIYIDEVQDLTMTQIALLKYVCRNFEEGFVFAGDTAQTIARGIDFRFEDIRSLFYTYFLPEMEPCGQGINHGKQLRITDMFQLTQNFRTHCGILRLAHSIMSLLYYFFPSCVDKLNPEIGLVYGEAPVLLESGNDENAIMTIFGESKSDPGNLQGFGAEQVILVRDDATKKQVVDLVGKQALVLTIVECKGLEFQDVLLYNFFSSSPLRNKWRVVYDYMKGKNVIESSEEMSHSFFDKNKHYLLCSELKQLYVAITRTRQRLWICENADDNCRPMFDYWKKLCLVEVRVLDSSLIEAMQAGSSTEEDWRQRGTKLFAEGQYEMATMCFEKAGDAYREKLARAAGLLATADRVISTNFEMGQSSLQKASEIFESIGKHEKAATCYMKLGDYKKAGMVYMEKCGNSRLKDAGDCFELSACWSLAADAYFRAKCYAKCLSMCSKGKLFQKGLLLLQQLEEHLLENSSLVKVAAIRNTFLEDCALHYFECGDIKHMMPFVKSFSSMDHIRVFLNSKNLVDELLSVEMDMGNFVEAAGIAKHTGNILLEADLLEKAGFLENATQLILLQLFVNSLWASHSTGWPPKRFAEKEQLLAKAKEMSRNVSESFYCLVCSEADALSDEHKSLASITYNLIEGNKCGNLLVELIASRLILDVHLQAEASGYCFESEPGSHDGRHCKDMLVLNQISLETLVYDWNYWSSIIVKVLRHLDHPKDAESNDLAAICEDLCAKYFGWRKDGDYDRYVVLNTDSSWLSNTGRNYLQQDGRRCWLDTLHCHSCAKDFWINELYSVGLSVLKKLESIVQILPTSSCSLGRTILVIYEIAKFLKESEFGMPKNTIKYYSILCERRFFELVFLVWRDETPKSLLCILDSATTYNLLSDSICSYLGSRNNKMTHSQVGRITMLLLHAARLDDSLISQLVEYLDRGSEWATFFLSLKKYLDNGVSRDILLLDFKFALDCTYKANWRAEHYISPICYVDLIECLGFLATTHLVLNDYMFCTKSLLAKMMKCRTTKGYFETCMAPSTDIDLGYAGHSARCFIYLSVKDLLGSKRMIVEWVQNTSTPTSSYVPILLRLVITLYLVTVNQDDGDLYEVTAFLEKNHVFTDLPPEFSEKIRNALRMKSRTVKNFMRVFADALAAIGTRMVVMGDIKKAMAHKCQPDLNADMISSVDLSDAKKVMALLSTEKSSSLKQEPQLPEIKSVCNKICNATSGHFPLTSVGGSQKSTSNFNLSDVDHPLLEKFEAFQVNMPQKKNGSLISQILRSPLSWIEQRAPPVQMLELRHICKQVEEQHVREKRALSVKDLHSNREHGDGEKNIGKIVDVQGSQSNPDRASEWSGCSDDEQETGGSNVVQSTKEAPAAASSGPSSKNKPQKKKKSKKSKRSGRK >ORUFI04G25720.3 pep chromosome:OR_W1943:4:25707861:25721953:1 gene:ORUFI04G25720 transcript:ORUFI04G25720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDLGDIVLSWSLGEVMDDDLYRGKVEEIPRSFMSLDHYFKTYAAPLIEETRSDLCSCLELISEAPISKILSMEEAGKSGLYFMDVDFWDNGAGFSSEAYTARNGDIFILSSMKPESADDLNRYGVTYCLAMVTEVSMDDEFQKGFRVKVAKDVTLQEGFNRLRHAIFLNNIMTNLRIWKAICFDMGMNNNFTVIKSLFAPTYMCRHLNLMKLIWGPPGTGKTKTVSALLWALACLKCRTLTCAPTNVAIVGVCTRFLHILRDFNKNANENFLPFSLGDVLLFGNKYNMDITEDLQDVFLDCRADELVECFSSLSGWRYRIASMASFFEDCGSQYDMLLEDDGRSDPICFLDFIKTQFDVTATALKKCIMNLLIHLPRKCFSHDSISNISMLFDSLEKVEALLHHENLTDDGAKRGFGFLSIQDISCAKSAFIIEKELNRAKLSCLQLLEDLERSLDLPTGRDRNWIQNYCMRNATLIFCTSSSSYRLHHMEIAPLDVLIVDEAAQVCKEAGFGISLFERLVVLDFEKHLLNIQYRMDPRISLFPNVQFYGRKILDGPNVMSSVYNKDYTNLPFGTYAFINISDGREEKEGTGNSWRNLVEVAVVLHLIQTIFKTWKRKGQMLSIGVISPYSSQVDSIESRLGKLYDTCDGFHVRVKSVDGFQGEEDDIIILSTVRSNVKGIVGFLADEQRTNVALTRARHCLWILGNANTLYSSGTVWKDLIADAQRRKCIIDATNDAAICKLVLKVKNELDELDDLLNADSAVFSNTRWKVVFSDEFKKSFAKLKYPQLRREVLQKLIKLGVGWRTTMKNLNFNVIDPFQLAKVYKVRDLYLVWSTDIEKSERYVQIIRIWDLLSHQNVARTVQRLENLFSMYTDEYLDKCRRVKTEGKLEVPVIWDAEHDIIRYRKVLEVDAQEDHDHVDISYAMENSKVSESFLLMKFYSLSSGVAKHLLTATDGSEIDIPFELTDEEQAIIRFPLTSFILGRSGTGKTTVLTMKLIQIWQQSLIASRGLNLDERNSTAQKDLSEVETFVKQVFITVSPKLCSAIRNQICKLTRYGSGDVSDQASILQMPDMVDDLEDFTDIPDSFIGLPCEHYPLTITFRKFLMMLDGTCKTSFFGTFCGELRSSTERGYSKSRALQAFIEMKEVTYEKFSASYWPHFNSELTKKLDASTVFTEIISHIKGGYQANKPFGGKLERLDYLKLSEKRFSSLNSQMRERVYDIFLDYESMKCTAREFDLSDFVNSLHRNLLSEGYNGDIVDCIYIDEVQDLTMTQIALLKYVCRNFEEGFVFAGDTAQTIARGIDFRFEDIRSLFYTYFLPEMEPCGQGINHGKQLRITDMFQLTQNFRTHCGILRLAHSIMSLLYYFFPSCVDKLNPEIGLVYGEAPVLLESGNDENAIMTIFGESKSDPGNLQGFGAEQVILVRDDATKKQVVDLVGKQALVLTIVECKGLEFQDVLLYNFFSSSPLRNKWRVVYDYMKGKNVIESSEEMSHSFFDKNKHYLLCSELKQLYVAITRTRQRLWICENADDNCRPMFDYWKKLCLVEVRVLDSSLIEAMQAGSSTEEDWRQRGTKLFAEGQYEMATMCFEKAGDAYREKLARAAGLLATADRVISTNFEMGQSSLQKASEIFESIGKHEKAATCYMKLGDYKKAGMVYMEKCGNSRLKDAGDCFELSACWSLAADAYFRAKCYAKCLSMCSKGKLFQKGLLLLQQLEEHLLENSSLVKVAAIRNTFLEDCALHYFECGDIKHMMPFVKSFSSMDHIRVFLNSKNLVDELLSVEMDMGNFVEAAGIAKHTGNILLEADLLEKAGFLENATQLILLQLFVNSLWASHSTGWPPKRFAEKEQLLAKAKEMSRNVSESFYCLVCSEADALSDEHKSLASITYNLIEGNKCGNLLVELIASRLILDVHLQAEASGYCFESEPGSHDGRHCKDMLVLNQISLETLVYDWNYWSSIIVKVLRHLDHPKDAESNDLAAICEDLCAKYFGWRKDGDYDRYVVLNTDSSWLSNTGRNYLQQDGRRCWLDTLHCHSCAKDFWINELYSVGLSVLKKLESIVQILPTSSCSLGRTILVIYEIAKFLKESEFGMPKNTIKYYSILCERRFFELVFLVWRDETPKSLLCILDSATTYNLLSDSICSYLGSRNNKMTHSQVGRITMLLLHAARLDDSLISQLVEYLDRGSEWATFFLSLKKYLDNGVSRDILLLDFKFALDCTYKANWRAEHYISPICYVDLIECLGFLATTHLVLNDYMFCTKSLLAKMMKCRTTKGYFETCMAPSTDIDLGYAGHSARCFIYLSVKDLLGSKRMIVEWVQNTSTPTSSYVPILLRLVITLYLVTVNQDDGDLYEVTAFLEKNHVFTDLPPEFSEKIRNALRMKSRTVKNFMRVFADALAAIGTRMVVMGDIKKAMAHKCQPDLNADMISSVDLSDAKKVMALLSTEKSSSLKQEPQLPEIKSVCNKICNATSGHFPLTSVGGSQKSTSNFNLSDVDHPLLEKFEAFQVNMPQKKNGSLISQILRSPLSWIEQRAPPVQMLELRHICKQVEEQHVREKRALSVKDLHSNREHGDGEKNIGKIVDVQGSQSNPDRASEWSGCSDDEQETGGSNVVQSTKEAPAAASSGPSSKNKPQKKKKSKKSKRSGRK >ORUFI04G25730.1 pep chromosome:OR_W1943:4:25722607:25729132:1 gene:ORUFI04G25730 transcript:ORUFI04G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARLLERATRTAASCSSALLRSPLDSFSGRFPSFRSPLLRPAPAPSTVFPRGLSDTAFDAQALDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEVHDFSMPDRVNAINPGLAKPGPVIETFCSDELVSRYVKLDGVVTMVDCKHAMKHLNEVKARWVVNEAVEQVAYADRIILNKTDLVDNAELEVLINKIKLINGMAQMRKTKFGDVDMDFVLGIGGYDLDRIESEVQLHERKETGHCHAGEEHGHQHHHGHVHDSAVSSVSIVSEGVLDLDEVNDWLERLVEEKGEDLYRLKGVISVNESTGRFVFQGVHSMLEGCPAKPWEPDEKRFNKLVFIGRNLDEAALRKAFKGCLLFALDLIGEEQPGDRFIPDRSAMDMDMAHYLLTEPRKDKENAAASPAKEAYRKLLAEKILNNRTRILSFRNKPPEPESILTELRADAASIQAKPAKQRRYIPQSAERTLDAPELVDDYYLNLLDWGSSNVLSIALGNSVYLWDATNSSTSELVTVDEDNGPVTSVSWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTMRGVHDSRVGSLAWNNNILTTGGMDGKIVNNDVRIRNHVVQTYQGHQQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRTQWLHRLEDHLAAVKALAWCPFQSNLLASGGGGSDRCIKFWNTHTGACLNSIDTGSQVCSLVWNKNERELLSSHGFAQNQLTLWKYPSMVKMAELTGHTSRVLFTAQSPDGLTVASAAADETLRFWNVFGAPEAPKTATKGSHTGMFNNSNHIHIR >ORUFI04G25740.1 pep chromosome:OR_W1943:4:25729521:25734695:1 gene:ORUFI04G25740 transcript:ORUFI04G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVKVFDQAVREIKREVNLKVLKVPELEQKVLDATSDEPWGPHALNMVMSVLWTRLSERGSKWRHVYKALTIIEYLIANGSERAVDDILDHYSKISVLSSFEYVEPNGKDAGINVRKKVETILGLINDKEKIKSVREKAASNRDKYVGLSSTGITYKSSSASFGSNYSSGERYGSFSGTREGDSYGDSYRDKEPVKSSPSYTGSQKSGSRIKKDVNRRNEDSPSSLKSNAKGNEDDFDDFDPRGSSSNGAANTNTSGVDLFAPNLLDDFIDVPAAATHETNDSADAQVDLFADADFQSAIPSTETAAGSDVQGNVDLFAEQPAFTAAFPPQTGFIPPPSSGTSEANTSTSKNTTPEPFDPFGAIPINSFDGSDPFGAFNSDVGSSSIPPPTQSSVGNISTPSQNPQAASDFGGFVSSTVETAAKDPFDFSSSNLGKTPLADPKADASDFGAFVSHSEEVAKDPFDLSLSTSSGRTNQAPLAAPKSDTKKENFQVKSGIWADSLSRGLIDLNITGPKKVNLADVGIVGGLDDGSDDKALPSWTMGAGGSSLGMSGIPSSTQSGGIESLANYNKYQFGFK >ORUFI04G25750.1 pep chromosome:OR_W1943:4:25739069:25741368:1 gene:ORUFI04G25750 transcript:ORUFI04G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGCYGGVGSAAAAAARDPKPRLRWTPDLHERFVDAVTRLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGRQSKKSAGLELAVADSGEFTAEGISFSIGAPPRNPAGGNNTGEIPLADALKYQVEVQRKLQEQLEVQKKLQMRIEAQGRYLKEILEKAQKNISLDANGSANLSSTRSQITDINLALSGFMDNATQVQEENNELMKPISDDNLKVNNLGFQLYHLGSQESKDVKCTPKTEELLLLDLNIQGGYELSSRGMQGCELDLKINQQRR >ORUFI04G25760.1 pep chromosome:OR_W1943:4:25740219:25745950:-1 gene:ORUFI04G25760 transcript:ORUFI04G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGSAILRHVGGVRLFTASATSPAAAAAAAARPFLAGGEAVPGVWGLRLMSTSSVASTEAAAKAEAKKADAEKEVVVNSYWGIEQSKKLVREDGTEWKWSCFRPWETYTADTSIDLTKHHVPKTLLDKIAYWTVKSLRFPTDIFFQRRYGCRAMMLETSQSAAFSFADMYTLHLQRPAVTLYPLGNPAPPPPRRWCRSPLCPLPVSAATAGSRLAYIPRASAAANPHPDPQDEPEPQPKGGFWTRWMAESAEMRAKVAKLGLAAVLAYGLFDAVTYTTFFVLAFLGYEKSTGKNPAANLKALLGIVILMWTGNNVTRPFRVAGAAALAPAIDKGLKGIQEKLNLPSQMYAFALVVGSVAADSLEALR >ORUFI04G25760.2 pep chromosome:OR_W1943:4:25739722:25745950:-1 gene:ORUFI04G25760 transcript:ORUFI04G25760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGSAILRHVGGVRLFTASATSPAAAAAAAARPFLAGGEAVPGVWGLRLMSTSSVASTEAAAKAEAKKADAEKEVVVNSYWGIEQSKKLVREDGTEWKWSCFRPWETYTADTSIDLTKHHVPKTLLDKIAYWTVKSLRFPTDIFFQRRYGCRAMMLETSQSAAFSFADMYTLHLQRPAVTLYPLGNPAPPPPRRWCRSPLCPLPVSAATAGSRLAYIPRASAAANPHPDPQDEPEPQPKGGFWTRWMAESAEMRAKVAKLGLAAVLAYGLFDAVTYTTFFVLAFLGYEKSTGKNPAANLKALLGIVILMWTGNNVTRPFRVAGAAALAPAIDKGLKGIQEKLNLPSQMYAFALVVGSVAAHDK >ORUFI04G25760.3 pep chromosome:OR_W1943:4:25740219:25745950:-1 gene:ORUFI04G25760 transcript:ORUFI04G25760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGSAILRHVGGVRLFTASATSPAAAAAAAARPFLAGGEAVPGVWGLRLMSTSSVASTEAAAKAEAKKADAEKEVVVNSYWGIEQSKKLVREDGTEWKWSCFRPWETYTADTSIDLTKHHVPKTLLDKIAYWTVKSLRFPTDIFFQRRYGCRAMMLETSQSAAFSFADMYTLHLQRPAVTLYPLGNPAPPPPRRWCRSPLCPLPVSAATAGSRLAYIPRASAAANPHPDPQAESAEMRAKVAKLGLAAVLAYGLFDAVTYTTFFVLAFLGYEKSTGKNPAANLKALLGIVILMWTGNNVTRPFRVAGAAALAPAIDKGLKGIQEKLNLPSQMYAFALVVGSVAADSLEALR >ORUFI04G25770.1 pep chromosome:OR_W1943:4:25746368:25749692:-1 gene:ORUFI04G25770 transcript:ORUFI04G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGATLLRHLGPRLFAAEPVYSGLAASARGVMPAAARIFPARMASTSSAGADVKEGAAEKLPEPAATAAAAATDPQNKKAVVSYWGIQPPKLVKEDGTEWKWLSFRPWDTYTSDTSIDVTKHHEPKGLPDKLAYWTVRSLAVPRDLFFQRRHASHALLLETTKTGPAVVPS >ORUFI04G25780.1 pep chromosome:OR_W1943:4:25751833:25760420:-1 gene:ORUFI04G25780 transcript:ORUFI04G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHVWSKCSIHKEREADRERGSEKMLACIACSSKEGGEDGSRGAATPHGRDAVKSLTSQLKDMVLKFSGSNKHQHYKAATAGSPSFRSRSYRRPYPGFIDDSAFMTTTRPGGEAYMYTRAAPPPPVRAASTSMATWDMTRSKSNRGWQQDAGRSPGGTTWIQSIEEEAGADDVTVVEDAVPREWTAQVEPGVQITFVTLPGGGNDLKRIRFSREIFNKWEAQRWWGENYDRIVELYNVQTFSGRQQGVSTPTSSVDDSILRESSFCSRGGSTRESPVVTPATSSSLAKEPIARSMSCKAMAASASNYAAAAASTRAACYPSAAVPDPSDHVWAHHFNMLNSAAAGPSAAGGGVPSLYDPSRGTTSSRDEASVSISNASDMEATEWIEQDEPGVCLTIRELGDGTRELRRIRFSRERFGEDRAKEEPAPQVAAAEGAEPRRRRPGAAVRGALGVAAPFAASFLFSFVVGLAGLVLGGLSSTASVSMPSTCRILSTGVDLRSSKVCELGLLNYRAKHVFYPSSNRKFRCHDDYYWASVFEVEYTEYFSGQTSYAVAEAPKEALPLNCRPDFGVAWSTTLKFKVNESYSCRYTLGSSKADIYSDRLFNCTSEEPSTIEMLKRIFVLLSKSYMLEDFNSIGMLGYVMAGVVAGILSALLITILLRSLRGLVLAVVGSSYSKMIGLKELLLDYEIMERFL >ORUFI04G25790.1 pep chromosome:OR_W1943:4:25768455:25772683:-1 gene:ORUFI04G25790 transcript:ORUFI04G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTRLSSPAYEAARHRGRGVGGAGETARQIHAKGRPPRVRSISGSGAGARARRGGGVGEGGMGWGAVVYEGGVVGASLVGLGWAGLWFLNRRLYKEYEERRALVQILFGLVFAFSCNLFQLVLFEILPVLSKHARFLNWHLDLFCLILLLVFVLPYYHCYLLLRNSGVRRERALLVAALFLLVFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVSVMAVLSGFGAVNLPYSYLSLFIREIDEKDIKTLERQLMQSMETCIAKKKKIVLSKMEMERIQGSEEKLKARSFLKRIVGTVVRSVQEDQTEQDIKSLDAEVQALEELSKQLFLEIYELRQAKIAAAFSRTWRGHAQNLLGYALSVYCVYKMLKSVVFKEAGSVDPVTMTITIFLRHFDIGIDVTLLSQYISLIFIGMLVVISVRGFLANVMKFFFAVSRVGSGSTTNVVLFLSEIMGMYFISSILLIRKSLANEYRVIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLISAQYTSRQTDKHPID >ORUFI04G25800.1 pep chromosome:OR_W1943:4:25774323:25777880:-1 gene:ORUFI04G25800 transcript:ORUFI04G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPFASLSPAADHRPSFIFPFCRSSPLSAVGEEAQQHMMGARWAAAVARPPPFTAAQYEELEQQALIYKYLVAGVPVPADLLLPIRRGLDSLASRFYHHPVLGYGSYFGKKLDPEPGRCRRTDGKKWRCSKEAAPDSKYCERHMHRGRNRSRKPVEAQLVAPHSQPPATAPAAAVTSTAFQNHSLYPAIANGGGANGGGGGGGGGGSAPGSFALGSNTQLHMDNAASYSTVAAGAGNKDFRYSAYGVRPLADEHSPLITGAMDTSIDNSWCLLPSQTSTFSVSSYPMLGNLSELDQNTICSLPKVEREPLSFFGSDYVTVDSGKQENQTLRPFFDEWPKARDSWPDLADDNSLATFSATQLSISIPMATSDFSTTSSRSHNDE >ORUFI04G25810.1 pep chromosome:OR_W1943:4:25792526:25793884:-1 gene:ORUFI04G25810 transcript:ORUFI04G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAWASLQDKLQGRQWKEKQVRKITDKVFDRLTEDTKKREQEALTFEEVYIAVLCVYNDINKYLPGPHYDPPSKEKLKAMMNEYDINLDGLLDREEFAEFIRKLTAESLCAISFKLLVTLVAAPALALATKRATEGVPGVGRVVRKVPNSIYASVITLGVVMAQKSTEGVE >ORUFI04G25820.1 pep chromosome:OR_W1943:4:25795356:25797074:-1 gene:ORUFI04G25820 transcript:ORUFI04G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVTLILRLSDCHEEKMLFSTIESIRKIGKCAIKRNIPEIEFDADGSIFFFSGIIADCCRGKERSAFPASDSGASIQNQKQISSHKYAILDVDSTLRVLEFISDEERRMMAQFFCRMWDRAQGKLYVVRICDKVFTELSDKNTQLLDISSLHVATLMVYNSINKQLAGPHKDPPCMNVVAKQMEQYKKETPEGITLEEFRRLIMEWVRKDLRLVLANKAAVAIMAAPLLAVTTKSTGRQVPRVGPAVEKVPTPLLFTVFSVGLMFLQDIRAGKQ >ORUFI04G25830.1 pep chromosome:OR_W1943:4:25796888:25812887:1 gene:ORUFI04G25830 transcript:ORUFI04G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLKKKMDPSASNSISAPPSEEMGEPEKMDEMEQVSSDLKSLKTLYGLLHRGPTDETLDETSRAFMTKMLDDITRQTLLRQAKMLSPALERKLSIQSDHRRTRDDAVPVPVPVPVVKPIASFSPSLHASEKSSRLRTQGATRRRDGRHGQDNYRLLARVASNRSARTTVPPPAPRHRQSPERRLDRLASRRSSRAVTPRRGTVDGGNPLSDMRCPRRGDDSSMERGGGSSSSSRSLSREPSSAVQERGRGLHRGASPAAAPRVGAEGGSSTRRLGRLDSGLSVNLVPPPLAPRHGSQRGGRGAATTTKLSSSTDVAATIRSSIRPSSREFMERSPRRAGEAENDRKEGADAASVSKGRPSRGELSSMERGSSSGRSLSREPSSAVQERGRGLHRGASPPAAVRVGAEGSSTRRLERLDSGLSASMVSRRGTPRAGRGASTPKLSSSTDAAAMTRGRIRPNRDLTERSLRRAGEADEDESLRRCRGKGKGKEKGDDDAASVSMGRPSRPPRRALNRINSSSTYSSSSCPPEPTSSTSGSTSSWVPPRDNASSWAPPPPRGNPPSWVPLPPPPGGNAPSWVPPPPQPRGIAPPEYGFQVSGASRVSRHLRRQERLERRVERMRRFKEKLGTVFHHHHFGPSGSNEGAPPLFSRDVHDNGYHRPSPWKVLGGVLHRTTRRGEKNNEGAPPLFSRDVHGNGHHRPSPWKALGGVLHRATRRGEKKTRSVPADHRGGVGGGGGVGHALLHMWNKRRAMAKQRGGVGRALFQMWGKRRAAAKQRGGGVRRALFQMWVQRRATTAKRRGGGGVAHALFHMWGKRRATASAGMCGTGSRWKAKKLHWWQRVRPRHRSGHGKAFRGDHKLGHRAMATAAAAARAVAAAGRPAQGVPLSRRLTTASSSSARPLRPRGGRAAGSVRCMARRPESSYSPLRSGQGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKVVGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERQRRVEPVPQRAQDRPRYSDRTRYVKRRENQAYQRSKLQTHFCFRRGERPEHESAMMPLKKKMDPSASNSISSSPSDKMGEPEEMDEVEQVSSDLKSLKTLYGLLHRGPTDETLDETSRAFMTKMLDDITRQTLLRQAKIAPLHLHLGFKFSRQMLIQKKHRTPIIAATRGDAVPVPVPVVKPIASFSPSLHACERTSRLRTQGAVRRRDSRHGRLLARVASNRAARTAVPPPAPSHRQSLERRLDHLASHRSSRAVTPRRGTVAAGNPRSDMRWLRRGDHSSMERGGGSSSSRRRSLSREPSSAVQERGRGLHRGASPPAAPRVGAEDGSSTRRLGRMDSGLSVNLVPPPLAPRHGSQRGGRGAAATTKLSSSTDAAVTIRSSIRPSSREFMERSPRRAGEAENDRKEVADAARPSRGELSSMERGSSSRRSLSREPSSAVQERGHGPHRGASPPAAMRVGAEGSSTRRIKRLDSRLSASMVSRRGTPRGGRGAATPKLSSSTDAAATTCSRIRPNSDLTERSLRRASEADEDESPRQRRGKGKEKEKADDDAASVSMGRPSRPPRRALNRINSGSTYSSSSPPEPTSSTSGYTSSWVPPRDKVPSWVPPPPRGNAPSWVPPPPQPRGNAPSCVPPPPQSRGIAPPEYGFQVSGVSRISCHLRLERRVERMRRFKEKLGTVFHHLHHHHHFGPSGSNEGAPPLLSRDVHDNGHHRPSPWKVLGGVLHRATRRGEKKTRSVPADRRGGVEHMLLHMWDKRRAMAKQRGDGGGGGVGRALFQMWGKRRAAAKRRGGGVGRALFQMWVKRRATAKRRRRACAVPNVGQTAGDGEAAASGMRCSKCGSNGGRRRSGGVGHALFQMWVKRRATTAKRRGSDGGVGRALFHMWGKRRATASAGMWGTGSRWKAKKLHWWQRVRPRRRSGHGKALR >ORUFI04G25830.2 pep chromosome:OR_W1943:4:25812889:25814071:1 gene:ORUFI04G25830 transcript:ORUFI04G25830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTKGYSLIIKANNPRLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERRRRVEPVPQRAQDRPRYSDRTRYVKRRENQAYQR >ORUFI04G25840.1 pep chromosome:OR_W1943:4:25804174:25805042:-1 gene:ORUFI04G25840 transcript:ORUFI04G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEQETAEKIAQLKQQAASISPEVIQMLLRHVTTVKN >ORUFI04G25850.1 pep chromosome:OR_W1943:4:25814790:25818642:1 gene:ORUFI04G25850 transcript:ORUFI04G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASFLSTVRQPPAPPPPLLAPRGSASSVSSPARRHAHILVCCHATAQEEPVRFRRRDLIGGCLTTAIGLELVEGSTGFTGVATAADLIERRQRSEFQSSIKSTLATAITAKKELIPSLLTLALNDAMTYDKATKSGGPNGSVRLRPENSGLSAAVDLLVEAKKEIDSYSKGGPIAFADLIQFAAQSALKLTFVDAAIAKCGGNEEKGRTLYSAYGSNGQWGLFDKLFGRQDTQEPDPEGRVPDWSKASVQEMKDKFVAVGLGPRQLAVMSVFLGPDQAATEERLIADKDCRPWVEKYQRSRETVSRTDYEVDLITTLTKLSSLGQKINYEAYTYPKQKIDLGKLKL >ORUFI04G25850.2 pep chromosome:OR_W1943:4:25814790:25820066:1 gene:ORUFI04G25850 transcript:ORUFI04G25850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASFLSTVRQPPAPPPPLLAPRGSASSVSSPARRHAHILVCCHATAQEEPVRFRRRDLIGGCLTTAIGLELVEGSTGFTGVATAADLIERRQRSEFQSSIKSTLATAITAKKELIPSLLTLALNDAMTYDKATKSGGPNGSVRLRPENSGLSAAVDLLVEAKKEIDSYSKGGPIAFADLIQFAAQSALKLTFVDAAIAKCGGNEEKGRTLYSAYGSNGQWGLFDKLFGRQDTQEPDPEGRVPDWSKASVQEMKDKFVAVGLGPRQLAVMSVFLGPDQAATEERLIADKDCRPWVEKYQRSRETVSRTDYEVDLITTLTKLSSLGQKINYEAYTYPKQKIDLGKLKL >ORUFI04G25860.1 pep chromosome:OR_W1943:4:25817787:25820052:-1 gene:ORUFI04G25860 transcript:ORUFI04G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKPLLFPHPRIPVAALVPNHLPRRPRASGILLLLGDRRPRQLGVALRRRPRRHQAETAPPSRRGGGGCWGRWAARAAAGLVLHLAVCSVALLFPTYARACVGGALPPPPPAAAMAAEEDDDDEEWKVALQQWKSKTYSLSVPLRVVALRGSFPPAWIKDFVEAQGKRLKFSPEFRTNLDVLYSEMSQCLDKGQLKQKSAMAADVVSIGDSWLGYAIRKGLVEPVKNAEEQDWFQSLSNRWKIHLCRNRNGEVDPNGSIWAVPYRWGTVVIAYKKNKFKRHNLKPIQDWGDLWRPELARKISMVDSPREVIGAVLKHLGSSYNTNDMESEITGGRETVLESLTQLQNQVQLFDSTNYLKSFGVGDVWVAVGWSSDVIPAAKRMSDVAVVVPKSGSSLWADLWAIPSATKFQTDRIGGRTRGPSPLINQWFDFCLQSARSLPFRQDVIPGASPLFLEKPVPEVPQERNKRKPKLETNLVRGAPPLEILEKCEFLEPLSEKALDDYQWLITRMQRPNRGLFGNLLQNISSVLNFKSRV >ORUFI04G25870.1 pep chromosome:OR_W1943:4:25822859:25825134:-1 gene:ORUFI04G25870 transcript:ORUFI04G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGCGEGGGGGGGRDERVPQWGAQETRELIAARGEMERESAAAAAARRSAKTLWEAVSARLRERGYRRTAEQCKCKWKNLVNRYKGKETSDPENGRQCPFFDELHAVFTERARTMQQQLLESESGPSVKKKLKRPSGDLSSEDSDDEEDGGGDSGDEKPIRSRKRKIADKRQQSQRMAEKSRTSISSIHELLQDFLVQQQRMDIQWHEMMERRSQERIVFEQEWRQSMQKLEQERLMLEHTWMEREEQRRMREEARAEKRDALLTTLLNKVLQEDL >ORUFI04G25880.1 pep chromosome:OR_W1943:4:25826698:25831382:-1 gene:ORUFI04G25880 transcript:ORUFI04G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPETDEKTTREGERRSSNWRSGDWRGTATAARGSRGSIGSGARGEAGGVATTCRDATAGGARLLPSSPHLTLPPSHPLRPPVRLAPRSLSLSLSPSRHRSIRPRGAPPMSSSVSSVRLPLRAAPPLYGRREWRADGARAPSPALVAVKPLSCRAPASYRSALLLHRRRRYALPPVAATATSKPVLKDPKKYQEWDSLTAKFAGAANVPFLLLQLPQIILNARNLLAGNKTALFAVPWLGMLTGLLGNLSLLSYFAKKKETGAVIVQTLGVISTYVVIAQLAMAESMPLPQFVATSAVVAAGLLLNFLNYFGWLPGTLWLLWEDFITIGGLAVLPQVMWSTFVPFIPNSLLPGIISGSLAATAVVMARMGKLSKGGINFVGSLSGWTATLLFMWMPVAQMWTNYLNPSNIKGLSAFTMLLAMIGNGLMIPRAVHWFCLGIFPTGLGFDSISRESFLATTFGLLLWLGFTLWRDTIAHGNSSPVTSLKELLFGK >ORUFI04G25890.1 pep chromosome:OR_W1943:4:25834833:25838805:1 gene:ORUFI04G25890 transcript:ORUFI04G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYCRHPALSSLPFIASSLPPSLFTAQHAATIYATPSLPAVVTGRASHSQPEAHLLSVIFSVFFSSTLSMAASSGEWLSRAAMVLVLGLVVASSAKAGDVDMVFLKSAVSTGAVCLDGSPPVYHFSPGSGSGANNWLVHMEGGGWCRNAQECSVRQGNFRGSSKFMRPLSFSGIIGGNQRNNPDFYNWNRIKVRYCDGSSFTGDVETVETSTNLHFRGARVWNAIIEDLLAKGMSKAQNALLSGCSAGGLAAILHCDQFRDLLPATANVKCFSDAGFFVDGKDITGNDFVRTFYKDVVNLHGSAKNLPSSCTSKMSPDLCFFPQNVVPTLRTPLFILNAAYDAWQIKNVLAPSAADKKKTWAKCKLDITACSSSQLTTLQNFRTDFLAALPKPEQSPANLSIFIDSCYAHCQSGSQDTWLAQGSPVVEKTQIGKAVGDWFHDREVSRRIDCPYPCNPTCKNRDDD >ORUFI04G25900.1 pep chromosome:OR_W1943:4:25839587:25842001:1 gene:ORUFI04G25900 transcript:ORUFI04G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRCAVSLPPTAAATTATNGGGGGRRNAQPAAATAASQVKKLCKQGRLDHARRLLLEALPRPPPTLLCNALLIAYAARALPEEALRLYALLNHAARPPVRSDHYTYSAALTACARSRRLRLGRSVHAHMLRRARSLPDTAVLRNSLLNLYASSVRYREARVDVVRRLFDAMPKRNVVSWNTLFGWYVKTGRPQEALELFVRMLEDGFRPTPVSFVNIFPAAVADDPSWPFQLYGLLVKYGVEYINDLFVVSSAIDMFSEFGDVQSARRVFDRAAKKNTEVWNTMITGYVQNGQFSEAIDLFSKILGSREVPLDVVTFLSALTAASQSQDVSLGQQLHGYLIKGMHRTLPVILGNALVVMYSRCGNVQTAFDLFDRLPEKDIVTWNTMVTAFIQNDFDLEGLLLVYEMQKSGFAADSVTLTAVLSASSNTGDLQIGKQAHGYLIRHGIEGEGLESYLIDMYAKSGRVEMAQRVFDSFKNAKRDEVTWNAMIAGYTQSGQPEKAILVFRAMLEAGLEPTSVTLASVLPACDPVGGGVYSGKQIHCFAVRRCLDTNVFVGTALIDMYSKCGEITTAENVFGGMTGKSTVTYTTMISGLGQHGFGKKALALFNSMQEKGLKPDAVTFLSAISACNYSGLVDEGLALYRSMDSFGISATPQHHCCVADLLAKAGRVEEAYEFIEGLGEEGNFVAIWGSLLASCKAQGKQELAKLVTKKLLDIEKQYGHAGYSVLLSQVLAAESNWNSADSLRKEMRARGLKKEAGSSWIKVQNAALEHKFIEKDQNYVENEHMFSILYGDADSTDRL >ORUFI04G25910.1 pep chromosome:OR_W1943:4:25842753:25845046:-1 gene:ORUFI04G25910 transcript:ORUFI04G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGYTRPPPPPPLGEGAAPAPSAALYVANCGPAVGLTHDDIRAAFAAFGEVAGVHGADGSGVRVIVRFREPAAAEAAMSALHGRPCAGLAGRVLHIRYSVPAKPKAPVGGSLPVATSASELGVPGIYLVPDFVTAAEEQELLAAVDNRPWKSLAKRRVQHYGFEFLYEIRNVDSKQFLGELPPFVSKIIDKIMSFPGANKCTSKLVDQLTVNEYPCGVGLSPHIDTHSAFEEMIFSLSLAGPCIMEFRKYPKGSWRAPSMVSGTDKDSIEEPQCIRKAVFLPPRSMLLMSGEGRYAWHHYIPHHKIDDVGGQVIKRNTRRVSFTFRKVRMGLCDCEYGQFCDSQSNVLVYL >ORUFI04G25920.1 pep chromosome:OR_W1943:4:25846018:25852868:-1 gene:ORUFI04G25920 transcript:ORUFI04G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAAAAPPWEPSVGTVFRRLAGAGDSGRSPEASLPSPSSSGNGVATRISNLHGVKRKPFVARLTADIIQTFVRCNPAFKYSESLNPKIFLTNPSTPAHNDGLDNANWDLILYVNLELVNRTSNRRFVVKEMLGQGTFGQVVKCLDTETNDYVAVKVIKNQPAFYHQALVEVSLLRALNQTHDPDDQYNIVRMLDYLLFQNHLCIAFEMLGQNLYELLKRNSFRGLKMKFVRAFSKQILDAMVVMRGARIIHCDLKPENILLTPSVTTDAAVKVIDFGSACLEGKTVYSYIQAFPYFPEHQNMMCSSAWGQPPDYMLREAKNSAKFFKHVGSIYRGNEVHDGIGSSYRLLTEEEIEVRESEKPKVVKWYFPQLRLDQLICSYPWKNSELTETEKAERVILVDFLKGLLKFDPNERWSPLQASCHPFITGEPFTGPYEPIPETPKIPIGRAAAVEHNPGGGHWLAAGLSPQVGSVNRSLPPNNPYPPKIPYSYGSSYGSFGSHGSYVGNAGHASSYGSFGDGNAVNMYYSPLGPGFKQIESSPDVRLRPRFSHDRGIRLSPGSTGPMSLGASPSQFTPPNYQMQIPSNSTGMHGSGSPASGGIHGSPLGKTPSSYSKRRGLPMPPHEYPSQHGQGRHGDGVGFSHSDANVRGHPVYSQNSIPSSGYSSWRPQIGSGSFSLEASSSHGPSQTFHSHFAPRLQTLDNLSDSSAASTLDNAYWDPYFSDESLLHEDNSLSADLSSSLHLGDSANPTSGSARTANVQSHIFMGSNPLPAGESYRADHFFHASSRGSLGGNTHSAVPVNYGGYNPVNHPQQNPQIRHGQPYIQHRYNQATSTHNHPRGSHHNRQPAWPMADGTPWGGTSGHPFTTTGLPSSLPRKDYGSIF >ORUFI04G25930.1 pep chromosome:OR_W1943:4:25859006:25862569:1 gene:ORUFI04G25930 transcript:ORUFI04G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLPLPPAAAAAAAAPREASPPPPPPPAARALSPTAVAFAQIPSVAMAARAQAWLFAAALVIFHGSEYVLAAAFHGRRNVTATCLCVILGILAFLYGQ >ORUFI04G25940.1 pep chromosome:OR_W1943:4:25863953:25872247:1 gene:ORUFI04G25940 transcript:ORUFI04G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASWPPTPRSPRPQAPSVERAKGPSGLDKVVLREARGFSAEVHLYGGQVTSWKNDRGDELLFVSSKATFKPPKAIRGGIPICFPQFGTHGILEKHGFARNRFWAIDDNPPPFPTSTAAKAFVDLILKTSEEDLKIWPHSFEFRLRVALGPGGDLALTSRIRNTNTDGRPFSFTFAYHTYFSVSDISEVRIEGLETLDYIDCLRGKERSTEQGDAIVFESEVDKIYLDAPAKIAIIDHEKKRTFVLRKDGLPDAVLWNPWDKRTKNMQDFGDEEYKHMLCVEPAAVEKPITLKPGEEWKGKMDLSAVPSSYCSGQLDPNKRDTEVTNDPNLGKICRGILQKINGASPSPSPPPPVASGGGASLGRRPAGTHLLRQIRRIPPRLQDPTFSFAAELDNRLPKPRRKHPMAGAADPPHNTASFRHRRAPRQVTGARGGATERPPRPASVAVSWRSSTFDFSAHPALASNHELFPVPFHVRTAQVQIVN >ORUFI04G25940.2 pep chromosome:OR_W1943:4:25863953:25869409:1 gene:ORUFI04G25940 transcript:ORUFI04G25940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASWPPTPRSPRPQAPSVERAKGPSGLDKVVLREARGFSAEVHLYGGQVTSWKNDRGDELLFVSSKATFKPPKAIRGGIPICFPQFGTHGILEKHGFARNRFWAIDDNPPPFPTSTAAKAFVDLILKTSEEDLKIWPHSFEFRLRVALGPGGDLALTSRIRNTNTDGRPFSFTFAYHTYFSVSDISEVRIEGLETLDYIDCLRGKERSTEQGDAIVFESEVDKIYLDAPAKIAIIDHEKKRTFVLRKDGLPDAVLWNPWDKRTKNMQDFGDEEYKHMLCVEPAAVEKPITLKPGEEWKGKMDLSAVPSSYCSGQLDPNKEHLMSLILSGADAAS >ORUFI04G25950.1 pep chromosome:OR_W1943:4:25870484:25871983:-1 gene:ORUFI04G25950 transcript:ORUFI04G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHMGRRTVGGLLFTKGGSILLFREDSARHKATNCCTRHGCSSKHLAGKDKQTHRAATAAKEASETPRRSQIFRKPSTRTPQGSTATDNISRNAASSYSENDNRPRETPGRDLIARLKERVNASRKRSLNRENSPSSPNGLSATSSSSSRTVSRPSHRAASRIRKADEGANAGAVNVRRDSSGDTRRNSDRDVDDFLLVEQAARDSTEGFISGFLARYRSNHQGLLSSLDDSIEDANGYWRFNMEGSEELENYFIFNDRYRGMRMDIDGMSYEELLALGDRIGTVSTGLSEDALSKCLDRSMYMATTSGTHEDYGEEVGKMVCKHYYHFSCIKNWLRQKNWCPICKSVALNTN >ORUFI04G25950.2 pep chromosome:OR_W1943:4:25870484:25871983:-1 gene:ORUFI04G25950 transcript:ORUFI04G25950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHMGRRTVGGLLFTKGGSILLFREDSARHKATNCCTRHGCSSKHLAGKDKQTHRAATAAKEASETPRRSQIFRKPSTRTPQGSTATDNISRNAASSYSENDNRPRETPGRDLIARLKERVNASRKRSLNRENSPSSPNGLSATSSSSSRTVSRPSHRAASRIRKADEGANAGAVNVRRDSSGDTRRNSDRDVDDFLLVEQAARDSTEGFISGFLARYRSNHQGLLSSLDDSIEDANGYWRFNMEGSEELENYFIFNDRYRGMRMDIDGMSYEELLALGDRIGTVSTGLSEDALSKCLDRSMYMATTSGTHEDCERKCSICQANGEEVGKMVCKHYYHFSCIKNWLRQKNWCPICKSVALNTN >ORUFI04G25960.1 pep chromosome:OR_W1943:4:25873629:25874875:1 gene:ORUFI04G25960 transcript:ORUFI04G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETTRRNERDGEEEERSLNTEEEERGRQRRGTHLDRIVAVRCGARVGSDGWRCDAAGVGPAQTESSPPPNFLLLPDNLPPVSTHAWTAV >ORUFI04G25970.1 pep chromosome:OR_W1943:4:25878107:25881974:-1 gene:ORUFI04G25970 transcript:ORUFI04G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWRKKVVFPVRRAWAAVSTRVRARKPGSGGSILKLHEDVQTCGYKDVQVMFEILKSELEESRAPTKQRKPPAWRPPSAWSSRSSSIAAAQ >ORUFI04G25980.1 pep chromosome:OR_W1943:4:25894866:25903350:-1 gene:ORUFI04G25980 transcript:ORUFI04G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYFSPLVLSPDSGSSLLSPQGFSNFHCHQEHTGFSGLEVWRIENFKPVPVPTSSHGKFYMGDSYIILKTTALKNGSFRHDLHYWLGKDTSQDEAGTAAILTVELDAALGGRAVQYREVQGGETEKLLSYFRPCIMPQPGGVASGFNHVEVNQQDHVTRLYVCQGKHVVHVKEVPFVRSSLNHEDIFILDTANKIFQFNGSNSCIQERAKALEVVQYIKDTFHEGKCEVAAVEDGKLMADTEAGEFWGLFGGFAPLPKKTSSEDNGDDKETVTKLLCFNQGTLEHISFESLEHELLETNKCYLLDCGAEMYVWMGRGTSLQVRKGASEAAEKLLIDENRKGSNVIKVIEGFETIMFKSKFNKWPPTPDLKLSSEDGRGKVAALLRSQGLDVKGLMKAAPEEEEPQPYIDCTGHLQVWRVNGDGKTLLSSSDQSKLYTGDCYIFQYTYTGDDKEECLIGTWFGKKSVEEDRTSAISLASKMFQAAKFQAAQARLYEGKEPIQFFVIFQSLQVFKGGLSSGYKNFIAVNGTDDDTYVEGGLALFRIQGSGSENMQAIQVDAVSSSLNSSYCYILHNGNTVFTWTGNLTTSLDNDLVERQLDVIKPDLPSRSQKEGRETDQFWELLGGKCKYSNKKIGKENESDPHLFSCILSKENLKVKEIHHFTQDDLMAEDIFVLDCRTDLFVWVGQEVDAKLRSQAMDIEGSEPQFFTRFFTWDSAKSLMHGSSYQRKLAIVKGGATPSLDKPKRRTPAFSGRNAGQDKSQQRTRSMSHSPERHRIRGRSPAFTAIASAFENPSTRYLSTPPPAVKKLFPRSGGSELPKTSSKQSAINALTSAFEGPTKSTIPKSVKASPEAEKAIQEEGSTIGESENEPEDDENSTIYPYERLTTTSDDPAPDIDVTKREVYLSSVEFAEKFGMTRASFKNLPKWKQNRLKSDLQLF >ORUFI04G25990.1 pep chromosome:OR_W1943:4:25903776:25912626:-1 gene:ORUFI04G25990 transcript:ORUFI04G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRVRRRHAFSTSCLTTPSNGGAPSPSPRLLHSSRRLHAFSPRDGGASSPFPSSSLLSDGGSPSLLPDSSLPCGETNGSPLPGDMMVRPPQIWSRLFLVSITTPGTRHPRHRCQSLHLTMASLPLTHSSTSLTHSLHSLHHRPEAMAPARAHHLLACLLASALLAAATPVTGGGLMTDQLEVLFGQTQLLNDSNGDQTIALTLDRVMGSAFKSKTSYLFARIDMDIKLVADDSAGTVTTIYLISEKDWNTHDEIDLEFLGNVTGQPYTLHTNIFANGEGGREVQYRLWFDPTQDFHTYSVIWNPDEILILVDNMPIRQFKNHLDSGVPFPIYQPMRLFGCLWDADDWATEGGRIKTDWSQAPFVAYFRNYTADGCVPSSYAWVCGQGPASSSDWFDRGLDDVKQQQQLREAQDKYMIYNYCNDPKRFPDDTPPAPDQLGIMRYLKNMGPE >ORUFI04G25990.2 pep chromosome:OR_W1943:4:25903776:25907479:-1 gene:ORUFI04G25990 transcript:ORUFI04G25990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSCCRRDCEIESSIQAWQRLRASDALLKRLHLTMASLPLTHSSTSLTHSLHSLHHRPEAMAPARAHHLLACLLASALLAAATPVTGGGLMTDQLEVLFGQTQLLNDSNGDQTIALTLDRVMGSAFKSKTSYLFARIDMDIKLVADDSAGTVTTIYLISEKDWNTHDEIDLEFLGNVTGQPYTLHTNIFANGEGGREVQYRLWFDPTQDFHTYSVIWNPDEILILVDNMPIRQFKNHLDSGVPFPIYQPMRLFGCLWDADDWATEGGRIKTDWSQAPFVAYFRNYTADGCVPSSYAWVCGQGPASSSDWFDRGLDDVKQQQQLREAQDKYMIYNYCNDPKRFPDDTPPAPDQLGIMRYLKNMGPE >ORUFI04G26000.1 pep chromosome:OR_W1943:4:25904578:25905514:1 gene:ORUFI04G26000 transcript:ORUFI04G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSILAKRYEVLDLNADPITRSSVSAIVWSPLLSLSSCVWPNSTSSWSVMSPPPVTGVAAASSAEANRQARRWCALAGAMASGRWCKL >ORUFI04G26010.1 pep chromosome:OR_W1943:4:25912890:25913958:-1 gene:ORUFI04G26010 transcript:ORUFI04G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGSREMACALVALVLGLCCVGGARATGRIDEGLEVMWGDGRGSVSPDGQVMALSLDHTSGSGWRSKNTYLFARVDLQIKLVANNSAGTVTTCYFMSEGEWDIHDEVDLEFLGNVTGQPYTLHTNVFANGTGGKEQQFHLWFDPTTDFHTYSIVWTSQHILVLVDGTPIREMKNHADKGIAYPSSQRMRLYGSLWNADDWATQGGRVKTDWSQAPFVARYRNFTATEAASSSSSSSSPAGYDQQMDATAQQAMKWARDNYMVYDYCADSKRFPQGFPPECSMP >ORUFI04G26020.1 pep chromosome:OR_W1943:4:25916314:25917637:1 gene:ORUFI04G26020 transcript:ORUFI04G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLIPCVAFSTTFLLWAQPAAKDHISRSGPNFEDKYTRERLPVSYLGPPAAPPPPPPSLILTTVTSRRTMASSSEESKEKAVAEEEEKGVISPAATETAGEKKASAAAAGEETKKKQKEKKMKKVRMPQHEVDRIMSYKHRPLTMPPGYKNFSKELLACFPVPVDQLDDYWARKNKIHDEGAKPILEEQERIRKEYKEKGYAEYWITDDEDQAATRSRAPAPGRRRGRPGVTKKHTGGTKKL >ORUFI04G26030.1 pep chromosome:OR_W1943:4:25922726:25924349:-1 gene:ORUFI04G26030 transcript:ORUFI04G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGDDELDQTYHLLYRPRAIWGRRPRPNGGRRRPRPIQGLFRVSHPAVNPTAEPIGRQFLEQLDYPLPTITGSALLDETNLILHDFYKNISDIRSQIYNQQALWEEAEELVCTSKKIFDSTNLDKKLLYPFPSWFNSRETRKKNYLDLTSWVSLLETYANERSASPEADDEAYDVGLLDDKTGDASADLDYEKSTCSGETSDAAFDEESASP >ORUFI04G26040.1 pep chromosome:OR_W1943:4:25930251:25932897:1 gene:ORUFI04G26040 transcript:ORUFI04G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMPLASSSSKLCSLLILCLAFLAAVDRSTAGIFDEIELIWGASRTYFFMDGDSEALALSLDQSQGSCFRSREKYLYVQIDVEIKLIEGDSAGTVCTIYTISEGPWEIHDEIDLEFLGNVTGEPYTLHTNIFANGVGGREQQFRLWFDPTADYHTYSIVWNPKRILILVDGKAIRDFKNNEDQGVPFPTWQSMRTFGSLWSAEDWATQGGRVKTDWKQAPFVTYYRNYNVTWCRPSPGVAWCGDEPKDSTRFDLDANTLSDLQWVRSNSMIYNYCDDSVRFNATTLPKELSLTCYLSRLLMCFCTVSFSLSLFNKQTILMWPTLK >ORUFI04G26050.1 pep chromosome:OR_W1943:4:25931880:25934813:-1 gene:ORUFI04G26050 transcript:ORUFI04G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLGQQQVGAAAALVIVVACCVVAGCSGARGRGFREEFDVIWGEDHVRVTDEDDAATRQVVALTLDQASGSGFQSKDQFLFGEFSMEMKLVPGESPGTVATFYLTSEGDAHDEIDFEFLGNVSGEPYVMHTNVFAQGRGNREQQFYLWFDPTADFHNYTILWNPLNIIFSVDGKAVRVFKNHEAAGVPYPSGQAMRVHASLWNGDFWATRGGQVKINWTAAPFVASYRTYAYSACAVPAAGGGGGGPCTSGQLPNSTSSPSTCDCGGAWMDRQLGADGERDVAWARANYMIYDYCGDQWRFPQGLPAECSLDQSSGHRT >ORUFI04G26060.1 pep chromosome:OR_W1943:4:25946969:25957400:-1 gene:ORUFI04G26060 transcript:ORUFI04G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPVALLLLVSEVGRIQCSTVPENTTDMVTLLHFKHSVNDPRGALISWNRSTPYCSWEGVKCSSTNLGRVASLNLAGQGLAGQITPFLGNLTSLQILNLSSNAFFGSLTHLNYPHDLAILDISKNSLQGEIPVALSNLSNLKSMDLSRNKLEGQIPLKIGLLYSLIALDLSRNRISGAIPRTFGNATHLKALVLTTNQLDGSIPEELGTLLNLTYLLLGENMLSGGIPPAIFNLSSLQVLDLHLSNLGGALSSNMGGTLPSLQELLLGGNKFEGPIPPSLGSASNLQKVDLSSNNFSGQIPTSFGNLSGLSVLNLDNNMLEARDSVSWEFLNSLRNCSFLQVLSVGNNLLEGAIPNFVGDLTAAEQIYFNGNNLSGIVPSSIGKLTGLFLLDLSNNNLTGAIEGWIGKLKKLQGLYLQDNNFLGPIPTSIANLTNLVSVNLAKNGFEGLVPPSLGSLSLLELNLSYNNLQGSIPREVFSGSTTLTRCILSYNNLEGSIPLEVGKLAQLVELHLSSNKFTGEIPDSLGRCQDLQTVKMDNNFLVGNIPSSFGNLTSLKTLNLSHNNLSSTIPVTLNDLKFLTKLDLSYNHLRGEVPRNGVFENPTAVSLEGNWGLCGGAMDLHMPPCPVSQGTREIQYRLIKILIPIFGFMSLAMLIYFMFIVKKMPRTAFSLLPSFVKQFYKASYNDLAKATKDFSQSNLIGKGSYSSVYRGKLKELKGEVAVKVFDLEISGAERSFMSECEALRGVQHRNILPIMTACSTTDNNGSPFKALIYEFMPKGNLEMWLHHSTDGKSPEHLGLTQRISIAVNISDALAYLHHDCGNSIIIHCDVKPSNILLDDDMNARLGDFGIARLYNNSRLSSTGPIHSIEYAGGGYTSTSGDVYSFGIVLLEILTGKRPTEPMFKDGLDIISFVQSNVPQQLLNVIDAHLVEECKVESAEETVTSENGVYQCMISLAQVALSCTTPSPSERMSMREISSRIHVIQTSYLEWQTKKHTPSLQ >ORUFI04G26070.1 pep chromosome:OR_W1943:4:25987174:25989289:1 gene:ORUFI04G26070 transcript:ORUFI04G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMGCYAPRRADDQLAIQEAATAGLRSLEMLVSSLSSSSQAAGAHKASPQQQPFGEIADQAVSKFRKVISILDRTGHARFRRGPVESSAPAAPVAAAPPPPPPPPAPVAAALAPTSSQPQTLTLDFTKPNLTMSAATSVTSTSFFSSVTAGEGSVSKGRSLLSSGKPPLSGHKRKPCAGGHSEATANGGRCHCSKRRKNRVKRTIRVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERATDDPAMLVVTYEGEHRHTPGPLPAPPAAAAVAAMPVSVAVSTGNGHV >ORUFI04G26080.1 pep chromosome:OR_W1943:4:25989734:25993016:1 gene:ORUFI04G26080 transcript:ORUFI04G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLYSTVASDEKMRKGSADKSHRMVPHLANKLHQVPETGRGENVSSTQLVAKTNTGLDASAVGTTAQRARSSGGARPRGDFVAWSAGVERGTWAAMRAVAGLWRSACSLEGEEDMRPGGEGTRAVACSVAGHEMAARGRDGGRATRNTYPVG >ORUFI04G26090.1 pep chromosome:OR_W1943:4:25993131:25994060:1 gene:ORUFI04G26090 transcript:ORUFI04G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLPLRLPFSAAATHPNSTFLLQRAVRLPILPISPRASMSATAATPDSSASAAAAAVGGEVGKEAEDVVVQYVVLRRDLADAWPLGSVVAQGCHAAVAAVWAHRDHPDTAAYCGPDNLDRMHKAAAREHTNLHSDCAVPKVTGFFILQEAQAL >ORUFI04G26100.1 pep chromosome:OR_W1943:4:25995489:25999313:-1 gene:ORUFI04G26100 transcript:ORUFI04G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAKLHGASVPSQPRIVVSPPLALAIYQGFSSLQGLPHHTSRAFFLLSFAETSLPQAVLRISEVEKKIGSMREMGEKRRRGHLNPAGFAGGLHDHEEKKKNEEHKLDMSGMSMDALPHLTMSLGQVTILDLSNNNLESIPESIIARLLNVVVLDVRSNQLKSLPNSIGCLSKLKVLNVSGNLLESLPNTIEECRALEELHANFNELTKLPDTLGFELHSLRKLSVNSNKLAQLPSSTSHMTALRALDARLNCLRALPDGLENLANLEALNVSQNFQFLRELPYAVGLLASLRELDVSYNSIAALPDSMGCLTKLARFSAVGNPLVSPPMDVVEQGLDAMRAYLTARMNGGDGKRKKKAWLPKLVKYSTFTARMTPGRTRVHENTEGLLMSDYRSLNGIASPRFLTMLSPRRLFSPRRNSPKHC >ORUFI04G26100.2 pep chromosome:OR_W1943:4:25995489:25997813:-1 gene:ORUFI04G26100 transcript:ORUFI04G26100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYWPYVPILAIIRSMLLWLLGSHNCISEVEKKIGSMREMGEKRRRGHLNPAGFAGGLHDHEEKKKNEEHKLDMSGMSMDALPHLTMSLGQVTILDLSNNNLESIPESIIARLLNVVVLDVRSNQLKSLPNSIGCLSKLKVLNVSGNLLESLPNTIEECRALEELHANFNELTKLPDTLGFELHSLRKLSVNSNKLAQLPSSTSHMTALRALDARLNCLRALPDGLENLANLEALNVSQNFQFLRELPYAVGLLASLRELDVSYNSIAALPDSMGCLTKLARFSAVGNPLVSPPMDVVEQGLDAMRAYLTARMNGGDGKRKKKAWLPKLVKYSTFTARMTPGRTRVHENTEGLLMSDYRSLNGIASPRFLTMLSPRRLFSPRRNSPKHC >ORUFI04G26100.3 pep chromosome:OR_W1943:4:25998115:25999313:-1 gene:ORUFI04G26100 transcript:ORUFI04G26100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAKLHGASVPSQPRIVVSPPLALAIYQGFSSLQGLPHHTSRAFFLLSFAETSLPQAVLRAACSELKNSLEPDQELTK >ORUFI04G26110.1 pep chromosome:OR_W1943:4:26007082:26007420:1 gene:ORUFI04G26110 transcript:ORUFI04G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRTSAAGFRALVQELTSCNADPSKYSPRASADDGCGGGATTLHESGPAAASDADALVAAPGHPAATTFDEGGGVGYYTDDDIFRSQLLDTSYSVFSSPTLLYNDHPHSKV >ORUFI04G26120.1 pep chromosome:OR_W1943:4:26008929:26010298:1 gene:ORUFI04G26120 transcript:ORUFI04G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESETGEREVARDSTYSYTIVNDQRKAATKVQQAARGPASNRKQKKNPKPSEMRQVAGRTCKAAVETNFQTHMAPIGRNRQIRMK >ORUFI04G26130.1 pep chromosome:OR_W1943:4:26011045:26022983:-1 gene:ORUFI04G26130 transcript:ORUFI04G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESASSSLATSGRRRSSGGGGGGGGGIGSAADPFDIPAKGAPVERLKKWRGGHAANRSKVELVYVLYWLLLQGLTQGFAYLSQAALVLNASRRFRYTLDLKREEQREEVISKIRAQAHVVRAAFRFKEAGQVHVQQKEVAAPPVDGALGFGIKEDQLTALTRDHNYSALQQYGGISGVARMLKTDTEKGISGDDSDLTARRNAFGSNTYPRKKGRSFLAFLWDACKDLTLIILMVAAAVSLALGITTEGIKEGWYDGASIAFAVLLVVVVTATSDYKQSLQFQNLNEEKQNIKLEVVRGGRRILVSIYDLVAGDVVPLKIGDQVPADGILISGHSLSVDESSMTGESKIVHKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGVATFIGMVGLSVALAVLVVLLARYFTGHTYNPDGSVQYVKGKMGVGQTIRGIVGIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGKKMDPPDNVQVLSASISSLIVEGIAQNTSGSIFEPENGQDPEVTGSPTEKAILSWGLKLGMRFNDTRTKSSILHVFPFNSEKKRGGVAVHLGGSESEVHIHWKGAAEIILDSCKSWLAADGSKHSMTPEKISEFKKFIEDMAASSLRCVAFAYRTYEMGDVPREDQRADWILPEDDLIMLGIVGIKDPCRPGVKDSVRLCAAAGIKVRMVTGDNLQTARAIALECGILSDPNVSEPVIIEGKAFRALSDLEREEAAEKISVMGRSSPNDKLLLVKALRKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVRVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMQRPPVGRREPLITNVMWRNLIIMALFQVIVLLTLNFRGTSLLQLKNDNQAHADKVKNTFIFNTFVLCQVFNEFNARKPDELNIFKGITGNHLFMAIVAITVVLQALIVEFLGKFTSTTRLTWQLWLVSIGLAFFSWPLAFVGKLIPVPERPLGDFFACCCPGSKQAADAKGDDADHSDV >ORUFI04G26130.2 pep chromosome:OR_W1943:4:26011045:26022983:-1 gene:ORUFI04G26130 transcript:ORUFI04G26130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESASSSLATSGRRRSSGGGGGGGGGIGSAADPFDIPAKGAPVERLKKWRGGHAANRSKVELVQAALVLNASRRFRYTLDLKREEQREEVISKIRAQAHVVRAAFRFKEAGQVHVQQKEVAAPPVDGALGFGIKEDQLTALTRDHNYSALQQYGGISGVARMLKTDTEKGISGDDSDLTARRNAFGSNTYPRKKGRSFLAFLWDACKDLTLIILMVAAAVSLALGITTEGIKEGWYDGASIAFAVLLVVVVTATSDYKQSLQFQNLNEEKQNIKLEVVRGGRRILVSIYDLVAGDVVPLKIGDQVPADGILISGHSLSVDESSMTGESKIVHKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGVATFIGMVGLSVALAVLVVLLARYFTGHTYNPDGSVQYVKGKMGVGQTIRGIVGIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGKKMDPPDNVQVLSASISSLIVEGIAQNTSGSIFEPENGQDPEVTGSPTEKAILSWGLKLGMRFNDTRTKSSILHVFPFNSEKKRGGVAVHLGGSESEVHIHWKGAAEIILDSCKSWLAADGSKHSMTPEKISEFKKFIEDMAASSLRCVAFAYRTYEMGDVPREDQRADWILPEDDLIMLGIVGIKDPCRPGVKDSVRLCAAAGIKVRMVTGDNLQTARAIALECGILSDPNVSEPVIIEGKAFRALSDLEREEAAEKISVMGRSSPNDKLLLVKALRKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVRVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMQRPPVGRREPLITNVMWRNLIIMALFQVIVLLTLNFRGTSLLQLKNDNQAHADKVKNTFIFNTFVLCQVFNEFNARKPDELNIFKGITGNHLFMAIVAITVVLQALIVEFLGKFTSTTRLTWQLWLVSIGLAFFSWPLAFVGKLIPVPERPLGDFFACCCPGSKQAADAKGDDADHSDV >ORUFI04G26130.3 pep chromosome:OR_W1943:4:26011045:26022983:-1 gene:ORUFI04G26130 transcript:ORUFI04G26130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESASSSLATSGRRRSSGGGGGGGGGIGSAADPFDIPAKGAPVERLKKWRQAALVLNASRRFRYTLDLKREEQREEVISKIRAQAHVVRAAFRFKEAGQVHVQQKEVAAPPVDGALGFGIKEDQLTALTRDHNYSALQQYGGISGVARMLKTDTEKGISGDDSDLTARRNAFGSNTYPRKKGRSFLAFLWDACKDLTLIILMVAAAVSLALGITTEGIKEGWYDGASIAFAVLLVVVVTATSDYKQSLQFQNLNEEKQNIKLEVVRGGRRILVSIYDLVAGDVVPLKIGDQVPADGILISGHSLSVDESSMTGESKIVHKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGVATFIGMVGLSVALAVLVVLLARYFTGHTYNPDGSVQYVKGKMGVGQTIRGIVGIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGKKMDPPDNVQVLSASISSLIVEGIAQNTSGSIFEPENGQDPEVTGSPTEKAILSWGLKLGMRFNDTRTKSSILHVFPFNSEKKRGGVAVHLGGSESEVHIHWKGAAEIILDSCKSWLAADGSKHSMTPEKISEFKKFIEDMAASSLRCVAFAYRTYEMGDVPREDQRADWILPEDDLIMLGIVGIKDPCRPGVKDSVRLCAAAGIKVRMVTGDNLQTARAIALECGILSDPNVSEPVIIEGKAFRALSDLEREEAAEKISVMGRSSPNDKLLLVKALRKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVRVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMQRPPVGRREPLITNVMWRNLIIMALFQVIVLLTLNFRGTSLLQLKNDNQAHADKVKNTFIFNTFVLCQVFNEFNARKPDELNIFKGITGNHLFMAIVAITVVLQALIVEFLGKFTSTTRLTWQLWLVSIGLAFFSWPLAFVGKLIPVPERPLGDFFACCCPGSKQAADAKGDDADHSDV >ORUFI04G26140.1 pep chromosome:OR_W1943:4:26030686:26031644:1 gene:ORUFI04G26140 transcript:ORUFI04G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFAGSSKAPASARLKLRRQHDQQREVCDALPVPHLLQSLLLLGPAAGSAAHDTFARRIRKTTPIFPSRCDYRRKNLVVSFGAGQSTSRWPVPSLFFLPGFNGSGKSVLGRAFSRVTPAGEIEALRKGSSMAGGEHRGGRGGRVNVKKTSPTPLRENIRT >ORUFI04G26150.1 pep chromosome:OR_W1943:4:26036171:26038736:1 gene:ORUFI04G26150 transcript:ORUFI04G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAAKVVVPESVLRKRKREEVWAAASKEKAVAEKKKSIESRKLIFSRAKQYAEEYEAQEKELVQLKREARMKGGFYVSPEEKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLTNNKVIEEGLGKHDIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >ORUFI04G26160.1 pep chromosome:OR_W1943:4:26042065:26042922:-1 gene:ORUFI04G26160 transcript:ORUFI04G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTAAPSCRHRSRRTDKRPSSSGRHGSGRTANKHERPAPATRPRQRRKRKYLYLVMDDWEKGYGIYRVDVSSFDPDAEFGSDSEAECQARNRDDEPSPLVRVEAPINYSRWITAHGSKIFMMSPVSAEVIPGIPVFDTVTRAMMVCPLPKNLGEQLQPFCVSVGDRLVRLCNPGFQVLGAQPPPRSGHGYVDVPWAWEEISDDNAFSMPPFTSDRVSAYAVHPDGRRTIWVSVRKPVVDGRGGGSYGDRNNTFSFDTEHLEWTHVGEWLMPFVGRSRRGSARR >ORUFI04G26170.1 pep chromosome:OR_W1943:4:26043792:26046595:-1 gene:ORUFI04G26170 transcript:ORUFI04G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRTHVVGGLRALRTAIVSPSPAPPAGERALPLTFLDAQWLSAHPVERVFFYRLGPGGDDVDAVLSRLVDAPRLLPARRPRPPHARRDQPPGDGVAFTVAEHDGVGVGVDELADTDEPREVARIATFVPELPKGGAVLALQATVLPPDRRGLALGVTVHHSACDGVSSTHFLHTWAAACAGDRVLPEPPVIDRTIIRDRNDMYDAFASPASEAKHAFDSPDVAGKLLATFTLSRQQLQNVKDAVAGEAARRGVAPPRCTSLVATLGLTWLCFRRAGPDGEEGPRGDGRAHLVFPVDHRSRLEPRVPEKYLGNCIGPGFATAHETELATTTTTADGLFTACAAVAAGIDEAVRGEPTYWERWVERITEACADDMSLSVAGSTRFGVYDMDFGFGRPAKVDVVSVAKTDAMSVAEDRSGSGGIEVGIALSPARMERFRRWLADAIALLSSVGPSPTITEGLLTACTADRCRRRHRRGFGVYDVDFGFGRAAKVDVVSVEKTDAMSVAEDRFGSGGIEMGIALPSERSAWRGSGGGSPTTLPGSSSSQHN >ORUFI04G26180.1 pep chromosome:OR_W1943:4:26044597:26049723:1 gene:ORUFI04G26180 transcript:ORUFI04G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTDILESALACGRRRRRRDDRGSEGAEAAYDALSTPWVIRKMRTREVVHLGLDHAETCPCRAVQGSYMYTSFTARDTRIRDVNGSSPSDSNRGGTSPTEVQHGLSPPQGDRRLAGDETRSKKSATATLSAVGSARVHLGWRPQNSDVRRRPLLSPAVQSFTSSSYD >ORUFI04G26190.1 pep chromosome:OR_W1943:4:26052242:26052457:-1 gene:ORUFI04G26190 transcript:ORUFI04G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVAAMCGAAEERVVGTHKAPGACPRCGGAVVATDVESERRILCLPLCVKSKRKYSCSRCLRRLVTLYS >ORUFI04G26200.1 pep chromosome:OR_W1943:4:26057850:26064482:-1 gene:ORUFI04G26200 transcript:ORUFI04G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSSSSSSSGAWRYLNPAYYLKRPKRLALLFFVFVAATFAFWDRQSLVREYESEISRLDDKVNQLRDQLRKAGVHLDENPTGDKVSREKLVEIDPINNERREKVKEAMAHAWNSYVKYAWGMDELQPQSKNGVNSFGGLGATLVDSLDTLYIMGLKDEFQRARDWVADSLSFDKDYDASVFETTIRVVGGLLSAYDLSGDKVFLEKAKDITDRLLPAWDTPSGIPYNRINLAHGRAHNPGWTNGDSILADSGTEQLEFIALSQRTGDPKYQQKAENVIRQLQKIYPSDGLLPIYINPHSGTASYSTITFGAMGDSFYEYLLKVWVQGNKTEHVKHYRQMWETSMEGLLSLTKKTTPSNYYYICEKNGGSLSDKMDELACFAPGMLALGASGYEETEKAEEIMNLAKELARTCYNFYQTTPTKLAGENYFFHTGQDMNVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLRDVNTGEKDNMMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIVPLNDNSKAHSVGIATPTVRPFGRKQGKQE >ORUFI04G26200.2 pep chromosome:OR_W1943:4:26057852:26064482:-1 gene:ORUFI04G26200 transcript:ORUFI04G26200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSSSSSSSGAWRYLNPAYYLKRPKRLALLFFVFVAATFAFWDRQSLVREYESEISRLDDKVNQLRDQLRKAGVHLDENPTGDKVSREKLVEIDPINNERREKVKEAMAHAWNSYVKYAWGMDELQPQSKNGVNSFGGLGATLVDSLDTLYIMGLKDEFQRARDWVADSLSFDKDYDASVFETTIRVVGGLLSAYDLSGDKVFLEKAKDITDRLLPAWDTPSGIPYNRINLAHGRAHNPGWTNGDSILADSGTEQLEFIALSQRTGDPKYQQKAENVIRQLQKIYPSDGLLPIYINPHSGTASYSTITFGAMGDSFYEYLLKVWVQGNKTEHVKHYRQMWETSMEGLLSLTKKTTPSNYYYICEKNGGSLSDKMDELACFAPGMLALGASGYEETEKAEEIMNLAKELARTCYNFYQTTPTKLAGENYFFHTGQDMNVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLRDVNTGEKDNMMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIVPLNDNSKAHSVGIATPTVRPFGRKQGKQE >ORUFI04G26210.1 pep chromosome:OR_W1943:4:26066192:26075086:-1 gene:ORUFI04G26210 transcript:ORUFI04G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVRFGLLVAMFQAMSRDRTAAKKRARLRALLDRAYGPGGRDDYFSALRLVLPGLDRERGSYGLKEAALASVLVDALGIAKDSPDAVRLTNWRRGGGFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDRLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSVFHEFHPDAEDLFNVTCDLRLVCEKLNDRSQRHKRQDIEVGKAVRPQLSMRVNNASSAWKKLHGKQVVAECKFDGDRIQIHKNGEEIHFFSRICTWNVQTKAAKEGLETDRQLCCILEWIASYVLALCVNILSCQDFFFSNKRESYVAFDILYAGDTSVIHQSLTERHEILQKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHSLDDVEKFFKDTVDNREEGIILKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKNEYPKKPPRFYEVTNHSKERPDVWIESPDKSVIISITSDIRTIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVHSSNGTTHRAADDDNDLKNVKVKQPRTNKKGEKKNVSIIPSHLMKTDISGLKGETLIFANTMFYFVNIPPSYNLDYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAATRQGRIIHYSWILDCCKEKRLLHLQPKYILFLADFARHKFPEEIDSYADYFYWDIDISDLKQIFSNMDRAVVDSNMVHHYKKKYCADERFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQAYNFDMLYKSLPPAERRYLHDKRLQVVSNKWLEDSVEKQTRLPETTYSLKPDTLEEIEIERSEETVQPCNDKLEENEKADTSHVKHAPRKRGRPSSSASRTAKPAPRPVRRTRARRGNQHAKIDDVEPEESDHGETGLDDQIPDTDNISKMEVDSFDKDQVSARPVRRTRARRGKQHAKIDYGQSEESDPGETGQDDQRLDADYISKMEEDSSDRDQGAHPTAPRVVRRSRAQRGKWLAKIDRETGPGETGQDDKKLNADSISKMEEHAHDKDQEPPPGAQLITLDEQEPKGIKSSTTETPSSPKHERNQTVLRRDTAETTSSATCEKMEQMVDPLHAMLLDMIPSLGQMKTDVGNRVAEAKAETNPPWVGSSTSSYVAPVPQASASSASSSGVPAPHAGSSTQSTGVPAPDPTAGAPKKKKVSYKDVAGALLKDW >ORUFI04G26210.2 pep chromosome:OR_W1943:4:26066192:26075086:-1 gene:ORUFI04G26210 transcript:ORUFI04G26210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVRFGLLVAMFQAMSRDRTAAKKRARLRALLDRAYGPGGRDDYFSALRLVLPGLDRERGSYGLKEAALASVLVDALGIAKDSPDAVRLTNWRRGGGFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDRLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSVFHEFHPDAEDLFNVTCDLRLVCEKLNDRSQRHKRQDIEVGKAVRPQLSMRVNNASSAWKKLHGKQVVAECKFDGDRIQIHKNGEEIHFFSRICTWNVQTKAAKEGLETDRQLCCILEWIASYVLALCVNILSCQDFFFSNKRESYVAFDILYAGDTSVIHQSLTERHEILQKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHSLDDVEKFFKDTVDNREEGIILKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKNEYPKKPPRFYEVTNHSKERPDVWIESPDKSVIISITSDIRTIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVHSSNGTTHRAADDDNDLKNVKNGGSFSMNLNDSVTHCIAAEKKDFARHKFPEEIDSYADYFYWDIDISDLKQIFSNMDRAVVDSNMVHHYKKKYCADERFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQAYNFDMLYKSLPPAERRYLHDKRLQVVSNKWLEDSVEKQTRLPETTYSLKPDTLEEIEIERSEETVQPCNDKLEENEKADTSHVKHAPRKRGRPSSSASRTAKPAPRPVRRTRARRGNQHAKIDDVEPEESDHGETGLDDQIPDTDNISKMEVDSFDKDQVSARPVRRTRARRGKQHAKIDYGQSEESDPGETGQDDQRLDADYISKMEEDSSDRDQGAHPTAPRVVRRSRAQRGKWLAKIDRETGPGETGQDDKKLNADSISKMEEHAHDKDQEPPPGAQLITLDEQEPKGIKSSTTETPSSPKHERNQTVLRRDTAETTSSATCEKMEQMVDPLHAMLLDMIPSLGQMKTDVGNRVAEAKAETNPPWVGSSTSSYVAPVPQASASSASSSGVPAPHAGSSTQSTGVPAPDPTAGAPKKKKVSYKDVAGALLKDW >ORUFI04G26210.3 pep chromosome:OR_W1943:4:26066192:26075086:-1 gene:ORUFI04G26210 transcript:ORUFI04G26210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVRFGLLVAMFQAMSRDRTAAKKRARLRALLDRAYGPGGRDDYFSALRLVLPGLDRERGSYGLKEAALASVLVDALGIAKDSPDAVRLTNWRRGGGFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDRLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSVFHEFHPDAEDLFNVTCDLRLVCEKLNDRSQRHKRQDIEVGKAVRPQLSMRVNNASSAWKKLHGKQVVAECKFDGDRIQIHKNGEEIHFFSRICTWNVQKCPDVAFDILYAGDTSVIHQSLTERHEILQKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHSLDDVEKFFKDTVDNREEGIILKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKNEYPKKPPRFYEVTNHSKERPDVWIESPDKSVIISITSDIRTIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVHSSNGTTHRAADDDNDLKNVKVKQPRTNKKGEKKNVSIIPSHLMKTDISGLKGETLIFANTMFYFVNIPPSYNLDYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAATRQGRIIHYSWILDCCKEKRLLHLQPKYILFLADFARHKFPEEIDSYADYFYWDIDISDLKQIFSNMDRAVVDSNMVHHYKKKYCADERFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQAYNFDMLYKSLPPAERRYLHDKRLQVVSNKWLEDSVEKQTRLPETTYSLKPDTLEEIEIERSEETVQPCNDKLEENEKADTSHVKHAPRKRGRPSSSASRTAKPAPRPVRRTRARRGNQHAKIDDVEPEESDHGETGLDDQIPDTDNISKMEVDSFDKDQVSARPVRRTRARRGKQHAKIDYGQSEESDPGETGQDDQRLDADYISKMEEDSSDRDQGAHPTAPRVVRRSRAQRGKWLAKIDRETGPGETGQDDKKLNADSISKMEEHAHDKDQEPPPGAQLITLDEQEPKGIKSSTTETPSSPKHERNQTVLRRDTAETTSSATCEKMEQMVDPLHAMLLDMIPSLGQMKTDVGNRVAEAKAETNPPWVGSSTSSYVAPVPQASASSASSSGVPAPHAGSSTQSTGVPAPDPTAGAPKKKKVSYKDVAGALLKDW >ORUFI04G26210.4 pep chromosome:OR_W1943:4:26066192:26075086:-1 gene:ORUFI04G26210 transcript:ORUFI04G26210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVRFGLLVAMFQAMSRDRTAAKKRARLRALLDRAYGPGGRDDYFSALRLVLPGLDRERGSYGLKEAALASVLVDALGIAKDSPDAVRLTNWRRGGGFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDRLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSVFHEFHPDAEDLFNVTCDLRLVCEKLNDRSQRHKRQDIEVGKAVRPQLSMRVNNASSAWKKLHGKQVVAECKFDGDRIQIHKNGEEIHFFSRICTWNVQTKAAKEGLETDRQLCCILEWIASYVLALCVNILSCQDFFFSNKRESYVAFDILYAGDTSVIHQSLTERHEILQKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHSLDDVEKFFKDTVDNREEGIILKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKNEYPKKPPRFYEVTNHSKERPDVWIESPDNLRFPRIQRLRYDKPWHECLDVQAFVDIVHSSNGTTHRAADDDNDLKNVKVKQPRTNKKGEKKNVSIIPSHLMKTDISGLKGETLIFANTMFYFVNIPPSYNLDYFHKLVVENGGSFSMNLNDSVTHCIAAEKKDFARHKFPEEIDSYADYFYWDIDISDLKQIFSNMDRAVVDSNMVHHYKKKYCADERFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQAYNFDMLYKSLPPAERRYLHDKRLQVVSNKWLEDSVEKQTRLPETTYSLKPDTLEEIEIERSEETVQPCNDKLEENEKADTSHVKHAPRKRGRPSSSASRTAKPAPRPVRRTRARRGNQHAKIDDVEPEESDHGETGLDDQIPDTDNISKMEVDSFDKDQVSARPVRRTRARRGKQHAKIDYGQSEESDPGETGQDDQRLDADYISKMEEDSSDRDQGAHPTAPRVVRRSRAQRGKWLAKIDRETGPGETGQDDKKLNADSISKMEEHAHDKDQEPPPGAQLITLDEQEPKGIKSSTTETPSSPKHERNQTVLRRDTAETTSSATCEKMEQMVDPLHAMLLDMIPSLGQMKTDVGNRVAEAKAETNPPWVGSSTSSYVAPVPQASASSASSSGVPAPHAGSSTQSTGVPAPDPTAGAPKKKKVSYKDVAGALLKDW >ORUFI04G26220.1 pep chromosome:OR_W1943:4:26076400:26080710:-1 gene:ORUFI04G26220 transcript:ORUFI04G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKAPTICSTAMPYTGGDIKKSGELGKMFELHAVKSRKSGPLSNAPSRNASFGGAASNSGPVPNAGDRSNYSGSLSSSVPGASGSARAKSSSGPLNKHGEPVKRSSGPQSGGVTPMARQNSGPLPPMLPTTGLITSGPITSGPLNSSGAQRKVSGPLDSAASKKTRATSFSHNQAVTKITTEDSYSITGSLSKLILGAVGVLFVLGLIAGILILSAVHNAILLIVVLVLFGFVAALFIWNACWARRGVIGFVDRYSDADLRTAKDGQYIKVTGVVTCGNFPLESSYQRVPRCVYTSTTLHEYRGWDSKAANTQHHRFTWGLRSMEQHAVDFYISDFQSGLRALVKAGYGARVTPFVDESVIIDIDPDNKDMSPEFRRWLRERNLSSDDRIMRLKEGYIKEGSTVSVMGVVQKNDNVLMIVPPPEPISTGCQWAKIEKNVQMLGMIKYFRRRKSFNDVVIAIEEKQTYGDLGAEGISYRALAAAQQIQNFRTDYELGIAEAMNNCRRSPRRPGPKIPIY >ORUFI04G26230.1 pep chromosome:OR_W1943:4:26080776:26082155:-1 gene:ORUFI04G26230 transcript:ORUFI04G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIGCSMRRIAVHIKTQI >ORUFI04G26240.1 pep chromosome:OR_W1943:4:26085657:26086181:-1 gene:ORUFI04G26240 transcript:ORUFI04G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIPMFAGVLCVCSCRRREFCVRVHREPFALTAGGVLNGRRGADFSNGRSDISRCHFRLGDELLLGGAELVVLDAVIWGRQLHASLLHAGGRRGEKFTGAEMEMEREAASDETIEVDKTESAMRKYRNTLPPPHPNTVPPQGRVGTSRYPAMTAVGSYPLNGDDLVPLWPAVR >ORUFI04G26250.1 pep chromosome:OR_W1943:4:26091745:26094042:-1 gene:ORUFI04G26250 transcript:ORUFI04G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSRTLALARADSAAVPSYGRLHVRGVSSKVEFIEIDLSSEDAPSSSSSSGVEGGGFGPREMGMRRLEDAIHGVLVRRAAPEWLPFVPGGSYWVPEMRRGVAADLVGTAVRSAIGAAWNAEAMTEEEMMCLTTMRGWPSEAYFVEDPLRNRKLGAVEFC >ORUFI04G26260.1 pep chromosome:OR_W1943:4:26094314:26097802:1 gene:ORUFI04G26260 transcript:ORUFI04G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGPSIHRRSGSFGWVRSNPQNTELALAASPMAEADAQTQSRAHSSTAAPVAGETAGEPVGFPQNGAINGAPLMFPVMYPMLMTGMHPQQSLDDQAQGPGIYAIQQNQFMGSTLMPLTYRIPTESVGAVAGEEQAQDARQQHGPQRQVVVRRFHFAFQLDLALIIKLAAVVFLFSQEGSKQRLFLLILFASVIYLYQTGAITPLLRWLQRAGGAAARPPQAPARPENRAPLAAQNDGNVQPPGGNLADPANNDQAAENQEPGAAAANENQQEVDGEGNRRNWLGGVFKEVQLIVVGFVASLLPGFQHND >ORUFI04G26270.1 pep chromosome:OR_W1943:4:26098118:26102046:-1 gene:ORUFI04G26270 transcript:ORUFI04G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAAPTHIRFSSAAPPSAAALRRPRRRCATPVRCSLAAAPGLRAPPELIDSILSKVKGTDRGVLLPKDGHQEVADVALQLAKYCIDDPVKSPLIFGEWEVVYCSVPTSPGGLYRTPLGRLIFKTDEMAQVVQAPDVVKNKVAFSVFGFDGAVSLKGKLNVLDGKWIQVIFEPPEVKRAMPMRVLTVGKKRSRGAQLIVEEYKEKLGYYCDIEDTLIKSNPKLTSDVKVQVEAEDMAMMLQLKPEDFVVVLDENGKDVTSEQVADLVGDAGNTGMDYNQRTEVSPLATRIELHWRHVAHLNRWKIGSAGHGDRNS >ORUFI04G26280.1 pep chromosome:OR_W1943:4:26103297:26108351:-1 gene:ORUFI04G26280 transcript:ORUFI04G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHQLWQLPIKDMKSAPLPRGRTSPKKHLCILVVAFVSIVTLWAYLYPPQHYTSPMRDWLPAEPVRELTDQERASQVVFKQILSTPPVKSKRSKVAFMFLTPGTLPFERLWEKFFEGHEGRYTIYVHASREKPEHASPLFIDRDIRSEKVVWGKISMVDAERRLLANALEDVDNQHFVLLSDSCVPLHNFDYVYNYLIGTNISFIDSFYDPGPHGNFRYSKHMLPEVRESDFRKGSQWFSVKRQHALMIIADSLYYTKFKLHCKPGMEDGRNCYADEHYLPTLFHMIDPNGIANWSVTHVDWSEGKWHPKAYRANDVTYELLKNITSIDMSYHITSDSKKVVTQRPCLWNGVKRPCYLFARKFYPESINRLMNSFSNYTRF >ORUFI04G26290.1 pep chromosome:OR_W1943:4:26110761:26115729:-1 gene:ORUFI04G26290 transcript:ORUFI04G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRVVIAAVLVFCLCFVQVARCDVVAAAVSPSSPEQAQELQMLRAKVASLEDEISGRKEETLQLENVVREKSAQIAALVSELEVLQVPNVADDESVLKANAHNEMLEKQVLRLGSDLEDQVKKGESLEARASEAEKSLLELTQKLDHAEKINMEQKKKIEELNHSLRQVQDKLFEVEREAKLKAEELMKVHGMWLPHWVMARFVYCQDLASDKWQLHGKPVLDALAQKKSVPAAKAHLNSLKKSTDVYASAIATRSTQAYRVCRDTIQPSMAKAQEFADHYWQESKKFTTPYITKVVAASEPRLSRVCAVLEPYTRPVISAWRKLVMSASVPHRQVQKGIKHFVNDNGLLKSDSADRFAWFTASALVALPMFYTYKMLSAAIWRKAVAAQGSGGTRSKKASNRRRTQRVDS >ORUFI04G26300.1 pep chromosome:OR_W1943:4:26118523:26125415:1 gene:ORUFI04G26300 transcript:ORUFI04G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPDLSGRKRWAGEPANHSSSGAPTQEKEQVDGRARWILQVQVRLPPFVSTATSSLAPEDRTCARLSMLSAFKERGKQTVEQGVTNTFGNVVFAGLAYLTSYLKAKKHNSCIFSKNILLEALLASLFCLTASKMPKGVGALTIALKEGAVFAACAGQILQAFPFWWKWNSMCHRKAEIVRSILAIIVVIYQPIQSSNRALADLPSLLQKSPSPSVAFVNFFLAKKVVKHTSQHDIN >ORUFI04G26300.2 pep chromosome:OR_W1943:4:26118523:26127065:1 gene:ORUFI04G26300 transcript:ORUFI04G26300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPDLSGRKRWAGEPANHSSSGAPTQEKEQVDGRARWILQVQVRLPPFVSTATSSLAPEDRTCARLSMLSAFKERGKQTVEQGVTNTFGNVVFAGLAYLTSYLKAKKHNSCIFSKNILLEALLASLFCLTASKMPKGVGALTIALKEGAVFAACAGQILQAFPFWWKWNSMCHRKAEIVRSILAIIVVIYQPIQSSNRALADLPSLLQKSPSPSVAFVNFFLAKKMVLEDAQEPNARRRELGVAKDRLAG >ORUFI04G26300.3 pep chromosome:OR_W1943:4:26118523:26125415:1 gene:ORUFI04G26300 transcript:ORUFI04G26300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPDLSGRKRWAGEPANHSSSGAPTQEKEQVDGRARLSMLSAFKERGKQTVEQGVTNTFGNVVFAGLAYLTSYLKAKKHNSCIFSKNILLEALLASLFCLTASKMPKGVGALTIALKEAEIVRSILAIIVVIYQPIQSSNRALADLPSLLQKSPSPSVAFVNFFLAKKVVKHTSQHDIN >ORUFI04G26310.1 pep chromosome:OR_W1943:4:26130597:26132136:1 gene:ORUFI04G26310 transcript:ORUFI04G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITASGPPCRSRGRGDTGGDHRRLPPSSTSPPPEQTTGNRAAARKAAAGPSFSLEGAGRCPRPQPVGGRRRCGGIGVGVGALGWARGGDRGGTAGRRRLGAEQGRRRRRRIWCPSPDPAVRPPPGTMVAPKEAGKGDAGGGKGGAAATRVASPDPGWGSGRRRGGAKTGRQGRRRGGRATAAAARSRRGRGAAVGVCQASVLASVMLWRRALAGHTLYLAGSGRPRPDHRLHAEWQLVGETATRGRQERRRLAVGQPPARHGASTGGGSRRC >ORUFI04G26320.1 pep chromosome:OR_W1943:4:26137595:26141690:1 gene:ORUFI04G26320 transcript:ORUFI04G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMSSSQARRRLGAGVASVVELAGGGCLHRPPTPLLAVLFCQFLYPIILDELLEPPQEHHQDNYQLTLLGPPADEPGWLSALAVRNEASEGSGVKPQFANEDTAVKMQKIGLCDSLLPEQSRKSLHLPVKLVHASVCSSCNLSTIRDLIRTVQFRPQFSRNGYSMQVRLANGNGN >ORUFI04G26320.2 pep chromosome:OR_W1943:4:26137595:26141690:1 gene:ORUFI04G26320 transcript:ORUFI04G26320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMSSSQARRRLGAGVASVVELAGGGCLHRPPTPLLAVLFCQFLYPIILGWLSALAVRNEASEGSGVKPQFANEDTAVKMQKIGLCDSLLPEQSRKSLHLPVKLVHASVCSSCNLSTIRDLIRTVQFRPQFSRNGYSMQVRLANGNGN >ORUFI04G26320.3 pep chromosome:OR_W1943:4:26138318:26141690:1 gene:ORUFI04G26320 transcript:ORUFI04G26320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSLPRNITRITISLPSSVHLPTNPNVNIAKQESLLTGWLSALAVRNEASEGSGVKPQFANEDTAVKMQKIGLCDSLLPEQSRKSLHLPVKLVHASVCSSCNLSTIRDLIRTVQFRPQFSRNGYSMQVRLANGNGN >ORUFI04G26320.4 pep chromosome:OR_W1943:4:26138729:26141690:1 gene:ORUFI04G26320 transcript:ORUFI04G26320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFSGSEEIDFLSVMHARNVNIAKQESLLTGWLSALAVRNEASEGSGVKPQFANEDTAVKMQKIGLCDSLLPEQSRKSLHLPVKLVHASVCSSCNLSTIRDLIRTVQFRPQFSRNGYSMQVRLANGNGN >ORUFI04G26320.5 pep chromosome:OR_W1943:4:26138729:26141497:1 gene:ORUFI04G26320 transcript:ORUFI04G26320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFSGSEEIDFLSVMHARNVNIAKQESLLTGWLSALAVRNEASEGSGVKPQFANEDTAVKMQKIGLCDSLLPEQSRKSLHLPVKLWLGELMCGQFKSVSYYALVCALIGSPSLLLLYVFCLAENLSCY >ORUFI04G26320.6 pep chromosome:OR_W1943:4:26138729:26141688:1 gene:ORUFI04G26320 transcript:ORUFI04G26320.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFSGSEEIDFLSVMHARNVNIAKQESLLTGWLSALAVRNEASEGSGVKPQFANEDTAVKMQKIGLCDSLLPEQSRKSLHLPVKLVHASVCSSCNLSTIRDLIRTVQFRPQFSRNGYSMQVRLANGNGN >ORUFI04G26330.1 pep chromosome:OR_W1943:4:26138308:26145869:-1 gene:ORUFI04G26330 transcript:ORUFI04G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLSLSPILVLFALVMYYPSDNSALPINADNKPLTERGRNSNDQAIWKNFIISKSACLAIFTIIACITERKSISTDPLNFNIFSIAFKIISAYANVGYSLGYSCERLLKPDATCKATSYGFVGKWTDEDTLKRIKLYIAMKLLLPNSEVPRIYWEKAQHLCGFLSMKLISRARCVASSVKQSYSFLVCKSNPLVVQLVYFVIISFAGFLALKNLKPQGKPGPKDLDLLFTSVSTLTVSSMATVEMEDLSDRQLWVLILLMLMGGEVFTSMLGLYFNNANANRNENSQRSLPSISLDIEFNSPGNNGDHKITECGQSEETMSQNQVQQNKSITYNPCAVLVRIVTDARNVLKSKEINMYTFCIFTAVSSFANCGFTPLNSNMQPFRKNWVLLLLVIPQILAGNTLFSPLLRLCVWVLGKVSGKAEYAYILQHPGETGYKHLHVRRNSVYIVLSVTGLILLQVMFICSFEWNSESLEGMNWLQKLVGLLFQSVNTRQAGESILDISTLSPSTLLLFAVVMYLPSDASFLTANADNQPLTDKKTNSISRALWRNFTVNKLSCLAMFTFLACITERKSISSDPLNFNIFSIVFEIIRQQTEITPFYFHSAFGNVGYSLGYSCQKLLKPDATCKDASYGFVGRWTEEGKLIVILVMFLGRLKEFILK >ORUFI04G26340.1 pep chromosome:OR_W1943:4:26145924:26153036:-1 gene:ORUFI04G26340 transcript:ORUFI04G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSRRALAGGALSMHVAYFLAISCLGYGLLGVLKVREPGAAPRRIDRFFTAVSAATVSSMSTVEMEVFSNGQLVVLTVLMLLGGEVFVSLVGLASKWSKLRSDAMDRSRRVESHGDVALADIDGGDVENPTSSGEEAASRRRPMDADTLRHNAVRALFYIVLAIFAVVHVVGAVAVAAYVLASPGARRTLGDKSLNTWTFAVFTTVSTFSNCGFMPTNENMVVFKRDAPLQLLLVPQVLAGNTLFAPLLAACVWAAAAATRREELVEMAREGGRAAAAGYAHLMPARRCWMLAATVAAFVAVLMALVCGMEWGGALQGMSPWEKVVNALFLAVNARHTGESTVDLSILAPAILVLFVLMMYLPPYTTWFPFEENSTTKDSNAENQGIRLLESTLLSQLSYLTIFVIAICITERRKLKEDPLNFSVLSIVVEVVRQVRLNGFLPEKKNADQINPDHLCTDKWTGFVGRWSDSGKLILIFVMFFGRLKKFSMKGGKAWKLRTFHCHPCTKLTLHSKCWQFQISSILVTSQHLPLAMKIPQPKFQVVRDITEKVKHLHVFISMRLRSLSKCAVCFFRRCFWFFMFQSNPLIVQLVYFISISFVGFLALKILKPRHKPTPRDLDLMFTSVSTATVSSMATVEMEDFSNQQLWVLILLMILGGEVSISMLALHFNNAETNTNEVLPKRSPSTRRNIESFDAVNDSNQNSSQGFQSEATISLNWVQGSRTMKQKCRNMLAHIVTGYFIAAVVCSSLVIIIFAQIDSDTRQLLKSKDIKIWTFSIFTAVSSFANCGFTPVLAGNTILSPLLRLSIWILRKVSRREEYAYILQHPEDTGYRYLQLQKNSVNMVLTVGGLVLLQVMFLSYFEWNSSALNGMN >ORUFI04G26340.2 pep chromosome:OR_W1943:4:26145924:26148660:-1 gene:ORUFI04G26340 transcript:ORUFI04G26340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSCSRQINPDHLCTDKWTGFVGRWSDSGKLILIFVMFFGRLKKFSMKGGKAWKLRTFHCHPCTKLTLHSKCWQFQISSILVTSQHLPLAMKIPQPKFQVVRDITEKVKHLHVFISMRLRSLSKCAVCFFRRCFWFFMFQSNPLIVQLVYFISISFVGFLALKILKPRHKPTPRDLDLMFTSVSTATVSSMATVEMEDFSNQQLWVLILLMILGGEVSISMLALHFNNAETNTNEVLPKRSPSTRRNIESFDAVNDSNQNSSQGFQSEATISLNWVQGSRTMKQKCRNMLAHIVTGYFIAAVVCSSLVIIIFAQIDSDTRQLLKSKDIKIWTFSIFTAVSSFANCGFTPVLAGNTILSPLLRLSIWILRKVSRREEYAYILQHPEDTGYRYLQLQKNSVNMVLTVGGLVLLQVMFLSYFEWNSSALNGMN >ORUFI04G26340.3 pep chromosome:OR_W1943:4:26148814:26153036:-1 gene:ORUFI04G26340 transcript:ORUFI04G26340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSRRALAGGALSMHVAYFLAISCLGYGLLGVLKVREPGAAPRRIDRFFTAVSAATVSSMSTVEMEVFSNGQLVVLTVLMLLGGEVFVSLVGLASKWSKLRSDAMDRSRRVESHGDVALADIDGGDVENPTSSGEEAASRRRPMDADTLRHNAVRALFYIVLAIFAVVHVVGAVAVAAYVLASPGARRTLGDKSLNTWTFAVFTTVSTFSNCGFMPTNENMVVFKRDAPLQLLLVPQVLAGNTLFAPLLAACVWAAAAATRREELVEMAREGGRAAAAGYAHLMPARRCWMLAATVAAFVAVLMALVCGMEWGGALQGMSPWEKVVNALFLAVNARHTGESTVDLSILAPAILVLFVLMMYLPPYTTWFPFEENSTTKDSNAENQGIRLLESTLLSQLSYLTIFVIAICITERRKLKEDPLNFSVLSIVVEVVRQVRLNGFLPEKKNADQVN >ORUFI04G26350.1 pep chromosome:OR_W1943:4:26171117:26176710:-1 gene:ORUFI04G26350 transcript:ORUFI04G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPGGGGGGAASWPSEGELDVVRAKVVEISGRGAGEVRVVACPYRICPLGAHIDHQGGTVTAMTINYGVLLGFVASDDAEISLQSGQFEGVIRFRVDDLQKPIENPENINWESYARGAVYALQNFGYDLKKGIIGYISGVKGLDSSGLSSSAAVGIAYLMALENVNDLVVSPVDNIQLDKSIENKYLGLENGILDPSAILLSRYGYLTFMDCKGCNITYRRRVDIIREFLSAKRLPVLFYVLQAVKMHQVFFVMCILEENLARRAEHYFSEMKRVVKGRDAWARGDLREFGQLISASGRSSILNYECGSKEMIQLYEILLKAPGVLGARFSGAGFRGCCLAVVESGHAEAAAAFVRAEYEKAQPELVSKIPPGRRVLVCEPGDGARVI >ORUFI04G26360.1 pep chromosome:OR_W1943:4:26189463:26189998:1 gene:ORUFI04G26360 transcript:ORUFI04G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQHLHPGGGGGKKSNKITEIRFVIPTDYLKHRAFAALLREAEEEFGFQQEGVLRIPCEVPAFEAILKAVEKNKKDNAAAFCYCSYEYAADEVALGAPNNPLCR >ORUFI04G26370.1 pep chromosome:OR_W1943:4:26197685:26204167:1 gene:ORUFI04G26370 transcript:ORUFI04G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRITAPPPPSSPPSSPPPLRHSPAALGTPRSRRRHSPSPSLALTPSSSASASASASTSSRPKVRPSPRRAYAAAQWVALPSHPAFSRGDGGEGLGGGGGGAAWDASASRLYVWDPSARGVHRICVRVRDAEAGKDGDDVAVEAAVPSEMLMPETDLGYKVTHLSLNTDGSSLLLAGSHNISILYVHERVSEDGDKVICRTVPVASQILPSNNDGIKVLQTSWHPFSSSHFGVLTSDAVFRLFDLSFDLEQPEQEFYLQPILPGKCQNASSICPVAFSYGSDHLWDRFSVFILFSDGSIFVLCPIVPFGSDYNKKHIQEIYEDVNSFGLKSSNQNVVTNSRLAIAWLEATFPDLSRQPADNSALMSRAHPYASLDDSLTLQGPLCRVCEENNEPESKSNSCEGKAVGFVYSSVGKDSILVTAWGSGQLQIDALADEIQPQWSIGIPTRLNVDSHGQIKSVAMICDSNSEDSWAMRSYRPSSTGSNVKSNTDAVWMGHSPPLLRLAIVDLALAKTSNDSSLSLFLDPLVPERFYCAHGGGLDMVTLHFLPFSYPEMASTPPSVHPILTTGNNEANSPFLSGFVTIADAYGHVQLVSITCPGECFVVEMKGWKEPTPLQLDIDSKSIKDVESFTTGMISKELIAGPDPPIVPSSSSLRSLTPDSIEGKSTLHHYIKVFHEYYVEYGHKVFIELKEHGDYLKTEIEDKQKRLQAVKQSLLSIEAKDQDINNRINRAFKVYDLLEKRIEGFKILPAANKKPLSQAEQEFKAQLDRFADVELDALCSSITALSARMKRFAHPAIGSAAGTGMSTWQTPKVGRSHVSDSQMSLLKSSLEKLSLLNEENNVKLRLIEHELKNQEQ >ORUFI04G26380.1 pep chromosome:OR_W1943:4:26205038:26210662:-1 gene:ORUFI04G26380 transcript:ORUFI04G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVATVSSASGILAMLQEPAEELKLHALASLNSVVHLFYPEISTSIPTIESLYEDEDFEQRQLAALVVSKVFYYLGELNDALSYALGAGPLFDISEDSDYAHALLAKALDEYASFRTKASKGAEEEENVDPRLEAIVERMLEKCILDGKYQQAMGMAVECRRLDKLESAIVRCDNIHGALSYCINLSHQYVNHREYRFEVLRCLVKIYQTLPHPDYLSICQCLMFLGEPETVANILDKLLSGSKDDALLAYQIAFDLVENENQAFLLNVRNRLASQTPESNPDSGSALPDDQAANAGTGSTEPAGDVQMRDDTATPNGSSHTVDPNEAVEMRNSVCHSATICTNAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQSGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSAEVIQHGACLGLGLAALGTADEEIYEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKASEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVMALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGSGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESFDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSRNKHDKLTAVVGLAVFSQFWYWYPLLYFISLAFSPTAFIGLNSDLKVPKFEFLSHAKPSLFEYPKPTTQQTTTSAVKLPTAILSTYAKAKSRAKKDAESKANQEKATEDASGSSSSKATKTQEKDADAMQVDNAAEKKAPEPEPTFQILTNPARVIPTQEKFIKFIEGSRYVPVKPAPSGFILLQDMQPTEAEVLALTDAPSTVAATTGSAAAATGQQASSAMAVDDEPQPPQPFEYTS >ORUFI04G26380.2 pep chromosome:OR_W1943:4:26205038:26210662:-1 gene:ORUFI04G26380 transcript:ORUFI04G26380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVATVSSASGILAMLQEPAEELKLHALASLNSVVHLFYPEISTSIPTIESLYEDEDFEQRQLAALVVSKVFYYLGELNDALSYALGAGPLFDISEDSDYAHALLAKALDEYASFRTKASKGAEEEENVDPRLEAIVERMLEKCILDGKYQQAMGMAVECRRLDKLESAIVRCDNIHGALSYCINLSHQYVNHREYRFEVLRCLVKIYQTLPHPDYLSICQCLMFLGEPETVANILDKLLSGSKDDALLAYQIAFDLVENENQAFLLNVRNRLASQTPESNPDSGSALPDDQAANAGTGSTEPAGDVQMRDDTATPNGSSHTVDPNEAVEMRNSVCHSATICTNAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQSGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSAEVGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVMALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGSGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESFDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSRNKHDKLTAVVGLAVFSQFWYWYPLLYFISLAFSPTAFIGLNSDLKVPKFEFLSHAKPSLFEYPKPTTQQTTTSAVKLPTAILSTYAKAKSRAKKDAESKANQEKATEDASGSSSSKATKTQEKDADAMQVDNAAEKKAPEPEPTFQILTNPARVIPTQEKFIKFIEGSRYVPVKPAPSGFILLQDMQPTEAEVLALTDAPSTVAATTGSAAAATGQQASSAMAVDDEPQPPQPFEYTS >ORUFI04G26390.1 pep chromosome:OR_W1943:4:26211618:26214430:-1 gene:ORUFI04G26390 transcript:ORUFI04G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETAEGVEAGEKYVSIPQLAGVGTLLSNGGKEIPLSSIEGKRICLFFSAHWCRPCRNFTPKLLQIYRKLRNTCKNMEIIFISLDRDEISFLDYFKGMPWLALPFDTGLRQKLCVQFDIEHIPALIPLSTTLSHGFRVEEDAVKLVEEYGVDAYPFGAKRRSELEGMDDARRQGGNLLQLLGCKEREYVISADGIKTPISDLNGKTIGLYFGAHWCPPCRAFTKQLREAYDELKALRPGNFQVIFISMDRNEEEFQASLSAMPWFAIPYSDTTVQELSRIFTIKGIPTLLILGPDGKVFKTDGRRIISKYGAMAFPFTESRAYELEEVLKKERDSLPHRVRDHRHEHELELDMAKAYVCDECQQKGQNWVFSCKQCNFDLHPTCAQESTDLSTQLFHQTPSVQPCRLNLKTLREEYHLIFTNSNRKTSRPQSSYTRQQRDLNNLYSDPKHLPQLHKHFDQSNVATAENSLRFLNGEPENSDISSIHVAFADLAGKIRGEDDKRD >ORUFI04G26400.1 pep chromosome:OR_W1943:4:26214871:26217013:1 gene:ORUFI04G26400 transcript:ORUFI04G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLLLQFISHRYRKFASAPPRVRSVVCVVLLSTPMDFWWIRGRRRRHCGIACLARHLVELLRKGAPSHRVLLLEVFQAPKHVLEGEEGSCDAL >ORUFI04G26400.2 pep chromosome:OR_W1943:4:26215457:26217013:1 gene:ORUFI04G26400 transcript:ORUFI04G26400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMSCCMLRPSANTVRLTVEETLQKRVHACKVFVVGSQRRRVRNWVVFAKRRRRSSKRQHDVLDAFDGEEELPNDEKFKEWKGKKMNGELGLQRA >ORUFI04G26410.1 pep chromosome:OR_W1943:4:26227851:26236288:1 gene:ORUFI04G26410 transcript:ORUFI04G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQQAQDSADHMEPLESRLVVEKSPSTSVSVKKQILSSEDHNLSSTALHGASSLKSTKSAQEKGSFLGKGGEQHFIYQPNVYTPQPHTVFSGGYLNHLGQWEEYPHVASADGTDAASPVMYSSYSPVPTMGDSQPYYPLHCPLSSPYYQPPASPSMGYSNSATGMSQFDPMQEYYLPDGLLYSPTPGFHQHFGSFDGTQMQQSVTGIFGQGNIPLASGMHQGSMYSSGSYKARQQVGNFGGSTPNWSAASRRFSPFDRGFKHDKGSLEFMNEQNRGPRATKPKKEVNNSSTEDKNRKSALINDSNLYNQHDFVIEYEDAKFFVIKSYTEDHVHKSIKYGVWASTASGNRKLDAAYREAKEKEATCPIFLFFSVNGSGQFCGVAEMIGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSLLRHIILENNENKPVTNSRDTQEVRLDHGLQMLTIFKNHEVETTILEDFDFYEQREKAMLDIRQRQKQQHTDSEVQKPMVEAKEPVDLMNQISATFARAVQLGETKGSREDKPKVEDASAAAVAVPENEPVALAETEGLLADSEPSPLKESG >ORUFI04G26420.1 pep chromosome:OR_W1943:4:26232776:26235871:-1 gene:ORUFI04G26420 transcript:ORUFI04G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGPKFAGMMGGVVGGAGGHDDIGGNFCDMAYYRKLGESSNMSIDSLNSLQTSTHGGGSVAMSVDNSSVGSSDSHTRMLNHPGLRGHVAANYSVGHSIFRPGRVSHALSEDALARALMDPRYPTETLKDYEEWTIDLGKLHMGMPFAQGAFGKLYKGTYNGEDVAIKLLERPEADPERAGLMEQQFVQEVMMLATLRHPNIVKFIGACRKPMVWCIVTEYAKGGSVRQFLMKRQNRSVPLKLAVKQALDVARGMAYVHALGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAP >ORUFI04G26430.1 pep chromosome:OR_W1943:4:26238401:26238745:-1 gene:ORUFI04G26430 transcript:ORUFI04G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTLERSRPVRRRALGRASYCSLSTAATTHLVVDAAAAALLTTHNTEWGRGAAVGSVLPSPPTHSVARRPRSTAHRSHHSGTRSPMPPPSPRLPPAH >ORUFI04G26440.1 pep chromosome:OR_W1943:4:26241587:26245338:1 gene:ORUFI04G26440 transcript:ORUFI04G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYVQTNKFFIKPRSSSAGGPPRAAPAPGFDDAGGGLGGMRKPNKEILEHDRKRQVELRLLLLRDALEEQGYTEGEIEERVEEARKEAEIEAAAAAAAEEEGRGAGRQPLPGKGRAGLVTHDTQSHHVAARKEKQLETLRAALGLDVDDKAKKNADVESDVESGELVPGKEYEGLEIDGPEDGKTLKDDRRHAKKGKKDKGSDAKSHGKSSRKIKLGHDSDSDHDDNKKKKKNSRYGSEDDSEAKYDEKKHSKHLKNSRHDTDDDSESDHKNAKCKKSSRHDSESDSESDHKNARRGKSSRHDSESDSDGDYGKKTTKHGKNDRDERKKRPATISRRHDSEDEKPRKGKRMDDSDTDSEGDGSFSESDSDYDRKKKKSTNSSRNESKDDKPRRKAPKDKYSDGPESGSDSDHGGKSKYSKISRRDSELQSRKDADKQDQYRDKLKSEFASDGYGEKKSVKIPYNDLKDDKPSSKVVRKDRYSDESETDSETYVKKKKNLPKSYHHSDKPAQRGKEKEENSGKNVDKRKRHDTDSDSDSHARERKRHLDARASLAAQKKRSVTSSSESSESSDYSSSSDSESDVSSDSREDRKSARQLKHDELERKKRSQDEKRKELEKQKQEEERKELDRQKQREEERKAKELEKQKQREEERKALEKQKQGERDGDRYERDHEGRKGENDVERDYKRKVGEDRYDPSRRQDEDRYDPNRRHDEDMYDPNRRHEEHGRRRNRDFDSRDPKRSRHDDSYHHSRRDYEQRYSRDEYRDRRHPISGFFKQKRDASLHEPSR >ORUFI04G26440.2 pep chromosome:OR_W1943:4:26241587:26245335:1 gene:ORUFI04G26440 transcript:ORUFI04G26440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYVQTNKFFIKPRSSSAGGPPRAAPAPGFDDAGGGLGGMRKPNKEILEHDRKRQVELRLLLLRDALEEQGYTEGEIEERVEEARKEAEIEAAAAAAAEEEGRGAGRQPLPGKGFSDTQSHHVAARKEKQLETLRAALGLDVDDKAKKNADVESDVESGELVPGKEYEGLEIDGPEDGKTLKDDRRHAKKGKKDKGSDAKSHGKSSRKIKLGHDSDSDHDDNKKKKKNSRYGSEDDSEAKYDEKKHSKHLKNSRHDTDDDSESDHKNAKCKKSSRHDSESDSESDHKNARRGKSSRHDSESDSDGDYGKKTTKHGKNDRDERKKRPATISRRHDSEDEKPRKGKRMDDSDTDSEGDGSFSESDSDYDRKKKKSTNSSRNESKDDKPRRKAPKDKYSDGPESGSDSDHGGKSKYSKISRRDSELQSRKDADKQDQYRDKLKSEFASDGYGEKKSVKIPYNDLKDDKPSSKVVRKDRYSDESETDSETYVKKKKNLPKSYHHSDKPAQRGKEKEENSGKNVDKRKRHDTDSDSDSHARERKRHLDARASLAAQKKRSVTSSSESSESSDYSSSSDSESDVSSDSREDRKSARQLKHDELERKKRSQDEKRKELEKQKQEEERKELDRQKQREEERKAKELEKQKQREEERKALEKQKQGERDGDRYERDHEGRKGENDVERDYKRKVGEDRYDPSRRQDEDRYDPNRRHDEDMYDPNRRHEEHGRRRNRDFDSRDPKRSRHDDSYHHSRRDYEQRYSRDEYRDRRHR >ORUFI04G26440.3 pep chromosome:OR_W1943:4:26241587:26245335:1 gene:ORUFI04G26440 transcript:ORUFI04G26440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYVQTNKFFIKPRSSSAGGPPRAAPAPGFDDAGGGLGGMRKPNKEILEHDRKRQVELRLLLLRDALEEQGYTEGEIEERVEEARKEAEIEAAAAAAAEEEGRGAGRQPLPGKGFSDTQSHHVAARKEKQLETLRAALGLDVDDKAKKNADVESDVESGELVPGKEYEGLEIDGPEDGKTLKDDRRHAKKGKKDKGSDAKSHGKSSRKIKLGHDSDSDHDDNKKKKKNSRYGSEDDSEAKYDEKKHSKHLKNSRHDTDDDSESDHKNAKCKKSSRHDSESDSESDHKNARRGKSSRHDSESDSDGDYGKKTTKHGKNDRDERKKRPATISRRHDSEDEKPRKGKRMDDSDTDSEGDGSFSESDSDYDRKKKKSTNSSRNESKDDKPRRKAPKDKYSDGPESGSDSDHGGKSKYSKISRRDSELQSRKDADKQDQYRDKLKSEFASDGYGEKKSVKIPYNDLKDDKPSSKVVRKDRYSDESETDSETYVKKKKNLPKSYHHSDKPAQRGKEKEENSGKNVDKRKRHDTDSDSDSHARERKRHLDARASLAAQKKRSVTSSSESSESSDYSSSSDSESDVSSDSREDRKSARQLKHDELERKKRSQDEKRKELEKQKQEEERKELDRQKQREEERKAKELEKQKQREEERKALEKQKQGERDGDRYERDHEGRKGENDVERDYKRKVGEDRYDPSRRQDEDRYDPNRRHDEDMYDPNRRHEEHGRRRNRDFDSRDPKRSRHDDSYHHSRRDYEQRYSRDEYRDRRHPISGFFKQKRDASLHEPSR >ORUFI04G26450.1 pep chromosome:OR_W1943:4:26251332:26254030:-1 gene:ORUFI04G26450 transcript:ORUFI04G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQSATYSVDDALLSSGFGRYQILILSYAGVGLIAEAMEMMLLSFVGPSVQLEWKLTSHQESMITSIVFVGMLIGAYTWGVVSDNYGRRQTVMPKFGWRWLLALSAVPSFVLLLFYVITPESPRFLCMKGRVTEAMDVLEKMARLNNVQLPSGRLVSDKNIELDELSTSESTTLLADAEEDDTIIEDKVSSFGGLSVAKLLSPKLIRATLLLWMTFFGNAFSYYGIVLLTSELSNGNRICAKEEVESIHSNDASLYKNVFISSFAEIPGSFLSAMIVDRIGRKLSMASMLFTSCVFLFPLIFSRTDILTRVSLFGARLCISASFTIVYIYAPEIYPTSVRTTGIGVASSVGRIGGILCPLVAVALVHSCQQTTAILLFELVIFLSGLAVSFFPFETKGCRLNDTEVVMN >ORUFI04G26460.1 pep chromosome:OR_W1943:4:26259937:26271332:1 gene:ORUFI04G26460 transcript:ORUFI04G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEAINGHGHEVTVKVTSASTVAPALPVQEHRLPLSNLDLILPPMDVGVFFCYGAGEGSGGGGALLPAATLKAALAKVLVAYYPLAGEVVANTRGEGELLCSGRGVDFAEATAGDAVLRQLRLAVVDESAEKLVPKKKAGVMCVQVTKFKCGGAVVGCTFDHRVCDAYSFNMFLVAWAAAARGSSAAPAPSFDRSFLAPTSPAPPCPDALSDRLFVPVSCVPAPPSSAPEAAAAAVNRIFRVAAADVAALQAAAGPGRTKLEAFTAHLWQLHARAAASPPRHRRSCCMGVVVDGRTRLRRDGAMGAYFGNVLTIPYGAMSSGDLSAMPLADVAGDVHRWVAEAATRDHFRGLVDWVEQLRPEPSVARAYLGGDGGAEAAACVVSSGMRMPVGEVDFGRGRPAFASYHFPWPGGAGYVMPMPSARGDGDWVVYVHAAAEVVKAMEEEETTVFRALESDYVFGPEEQGGEVAMAAAAAVTTTVAPALPTQEHRLPLSNLDLLLPPLDVSVFLCYRHPAPSAAALKEALAKALVPFYPLAGEVVANGDGEPELLCSGRGVDFTESVAGEEMRGLRIGMVDERVEKLVPAKKAASVMAVQVTKFKCGGAVVGCTFDHRVCDAYSFNMFLVAWAAAARDGHGGGTAPPPPTIPSFRRSIVAPRDPPPPRSPSTDALIDRLFAPLGSAPPPPDDAAAAAVNRISRVAAADAASLQDSAGPGRTKLEAFTAHLWQLNARAAAAERERPCCMGVVVDGRGRMFPDGAMRAYFGNVLTIPYGVMGSGELRAAALADVAGDVHRWVAEVATGDHFRGLVDWVEARRPKPAAARAYLGGTGGGDAAACIVSSGMGFPVGEADFGTGAPAFASYHFPWPAGAGYVMPMPSARGDGDWVVYVHVSPELAAAMEEEPTVFRSLDNSYVFG >ORUFI04G26460.2 pep chromosome:OR_W1943:4:26259937:26271332:1 gene:ORUFI04G26460 transcript:ORUFI04G26460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEAINGHGHEVTVKVTSASTVAPALPVQEHRLPLSNLDLILPPMDVGVFFCYGAGEGSGGGGALLPAATLKAALAKVLVAYYPLAGEVVANTRGEGELLCSGRGVDFAEATAGDAVLRQLRLAVVDESAEKLVPKKKAGVMCVQVTKFKCGGAVVGCTFDHRVCDAYSFNMFLVAWAAAARDGHGGGTAPPPPTIPSFRRSIVAPRDPPPPRSPSTDALIDRLFAPLGSAPPPPDDAAAAAVNRISRVAAADAASLQDSAGPGRTKLEAFTAHLWQLNARAAAAERERPCCMGVVVDGRGRMFPDGAMRAYFGNVLTIPYGVMGSGELRAAALADVAGDVHRWVAEVATGDHFRGLVDWVEARRPKPAAARAYLGGTGGGDAAACIVSSGMGFPVGEADFGTGAPAFASYHFPWPAGAGYVMPMPSARGDGDWVVYVHVSPELAAAMEEEPTVFRSLDNSYVFG >ORUFI04G26470.1 pep chromosome:OR_W1943:4:26271597:26278004:1 gene:ORUFI04G26470 transcript:ORUFI04G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQEHQILEWFGHKGSDGVESRREADHLTWCADARDLAHVRRRCPRSLRSCASPIPVVRRPPSALPLYAWLLAIREGWSRAQTTAAQRPRASPCGAGAQDPWLALALASMRDDVKPVAVKRESARDRVCRLQQKALAAMYRTFAMTHDWDYYHFTTHSQNQATMYVHNGNNLHAPRITTAHHHPAHTHYSLLNPQVHSKAPRKYKLLTAGTAAWAHAY >ORUFI04G26480.1 pep chromosome:OR_W1943:4:26274623:26278498:-1 gene:ORUFI04G26480 transcript:ORUFI04G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRMERQSASSSASCSPSSSAAGTSSSSSACGGKKRPDILNMIRSATCLNSSSTDTGKGRSKQSSNKVTHGFHLVEGKSGHDMEDYHVAEYKYDKSHELGLFAIFDGHLGDSVPSYLKANLFCNILKEPIFWTNPQEAIKNAYRSTNKYILENAKQLGPGGSTAVTAIVVDGKDMWVANVGDSRAVVCERGAANQLTVDHEPHTTNERQRIEKQGGFVTTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVRHVPINSSIEFVILASDGLWKVMKNQEAVDLVKSIKDPQAAAKRLTTEALARKSKDDISCIGGGGRW >ORUFI04G26480.2 pep chromosome:OR_W1943:4:26274837:26278498:-1 gene:ORUFI04G26480 transcript:ORUFI04G26480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRMERQSASSSASCSPSSSAAGTSSSSSACGGKKRPDILNMIRSATCLNSSSTDTGKGRSKQSSNKVTHGFHLVEGKSGHDMEDYHVAEYKYDKSHELGLFAIFDGHLGDSVPSYLKANLFCNILKEPIFWTNPQEAIKNAYRSTNKYILENAKQLGPGGSTAVTAIVVDGKDMWVANVGDSRAVVCERGAANQLTVDHEPHTTNERQRIEKQGGFVTTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVRHVPINSSIEFVILASDGLWKVMKNQEAVDLVKSIKDPQAAAKRLTTEALARKSKDDISCIVIRFRC >ORUFI04G26490.1 pep chromosome:OR_W1943:4:26286228:26305871:1 gene:ORUFI04G26490 transcript:ORUFI04G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISHLLPSEIQADNATSCQCCHSPSSSLNRTTSTDWARIQLPFYSCIVQNNINIIPDSKAQDNMTSHQLIPQSKVVSDNQGEHVENNQNNEATDSA >ORUFI04G26530.1 pep chromosome:OR_W1943:4:26344356:26345024:1 gene:ORUFI04G26530 transcript:ORUFI04G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNAAEAVAVAVAEGGGDGMEPRFRGVRKRPWGRYAAEIRDPARKARVWLGTFDTAEAAARAYDSAALHFRGPKAKTNFPVAFAHAHHHAPPPPLPKAAALAVVSPTSSTVESSSRDTPAAAPVAAAAKAQVPASPSLDLSLGMSAMVAAQPFLFLDPRVPVTVAVAAPVPRRPAVVSVKKEVARLDEQSDTGSSSSVVDASPAVGVGLDLNLPPPIEEA >ORUFI04G26540.1 pep chromosome:OR_W1943:4:26350812:26353972:-1 gene:ORUFI04G26540 transcript:ORUFI04G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVPSMELHRPPPSVSGVRGKSCLQKPFLVQAKRLEGLEKANPRSRGKQSLEQVKKRAPLIRGTVSPPLPVPGHITQPPYVGKKDASEIASEIQMHDKVSIIHMKAACELAARVLEYAGTLVKPSVTTDEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRELQDGDIINIDVTVYLNGYHGDTSKTFLCGEVDEASKRLVKVTEECMLRAISACKHGTSLKKIGRRISEHAERHGFGVVDRFVGHGVGRIFHSEPMIYHQRNNMPGQMVEGQTFTIEPALSMGSIDCDMWDDGWTAVTTDGSLAAQFEHTILITKTGAEILTKC >ORUFI04G26550.1 pep chromosome:OR_W1943:4:26356299:26357474:1 gene:ORUFI04G26550 transcript:ORUFI04G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLMAAMLLGGARVAGNTTTAVMALHHPRPSYFLPPRPRLAAASWSRLRLQTAPRSSQAYDNSPADDRRDVGDRYRDAAEEAKEATGDAKERVKGMAGEAKGRAADIAGRAKDETKDTASRAADAASRATDRAKHEAADKAADVKDRAKDAADMAQGTARAVRDKTAETAEGAMDRAGEAKDRTVEGTKHAGEKVAEMTKEGASKVVETAQAIGEKAKQAAQGAWGATKEAAQGVKDTVAGGDVDADAAMKEQDRIAQEEKKRQAREKGAGLP >ORUFI04G26560.1 pep chromosome:OR_W1943:4:26358208:26362756:-1 gene:ORUFI04G26560 transcript:ORUFI04G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGMRKAPSMEWRWVSTEEDDEGEEDGDTVEAAAAAVGAVGRGGSFGSEEEEDEEDGGGGGEGEGEGEDGEKQKLIRTVPSVDWFDVEGYEVSVAQHIEDSEEFDFGRTMFLALQTLAVVFGDIGISPLYTFDVMFSKYPILGEEDVLGALSLVLYTLISMPLVKYVLVVLWANDDGEGGIFALYSLICRNAKVSLIPNQVHSEKRMSSFRLKLPTPELERSIKVKEKLESSLLLKKLLLGLVLFGTAMFISNGVITPAMSVLSAVSGLKVGIPNASQGLVVMISVVLLVILYSVQRYATSKMGFALGPSLLIWFCCLGGIGIYNLSTYGPAAFKAFNPLYIIYYFGRNPFQAWLSLAGCLLCATGSEAIFANLSYFPVRYVQSMFALLVLPCLVLAYLGQGAFLIANQNSSEQIFFSSIPSGVFWPVFLIANLAALIASRTMTTAIFQCLKQSIALGCFPRLKIIHTSRKFMAKIYIPVVNWFLLFSCLGFILLFRSIYDVGNAYAIAELGVMIMATVYVTIIMLLIWETSIVKVLSFVITFLSLELVFFSSSLSSVGDGGWALIIFASGILMVMFIWNYGSKLKYDSEVKKKLSKDLMRKLGPNLGTIRAPGLGLVYSEIVKGVPAIFGHFLIALPAIHSIIVFVCIRNVPVPVVPQTERFLFQRVCTRGYHMFRCIARYGYKDKNQESQSTFERLLIEGLEKFIQREAVELSLQSGDDIDSDEEPPTPSRTIVAPNGSLYSLDVPLLADFVPSAEVIPEASCSTPQHDPVVDYTQNLELELAFIRQAKQSGAVYLIDNPIVKARKNSWFFKKLIINYFFAFLRNNCRRAMMSMSIPHTNVMQVRLTSYV >ORUFI04G26570.1 pep chromosome:OR_W1943:4:26366923:26370882:1 gene:ORUFI04G26570 transcript:ORUFI04G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLTTPSQALALAPGAAASRVGGGGGGSARVSFPSGRVQRRGALGMRVRASVAIEKETPESEPPPTFLREDGSGAGSGSVRERFEAMIRRVQGEVCAALEEADGSGARFVEDVWSRPGGGGGISRVLQDGRVFEKAGVNVSVVYGVMPPDAYRAAKGEAGKNGAAADGPKAGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPSYIIEEDVKHFHSVQKQACDKFDPSFYPRFKKWCDDYFYIKHRNERRGLGGIFFDDLNDYDQEMLLNFATECADSVVPAYIPIIERRKDTPFTEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWQYDHTPEEGTEERKLLDACINPKEWLDL >ORUFI04G26580.1 pep chromosome:OR_W1943:4:26379690:26385181:1 gene:ORUFI04G26580 transcript:ORUFI04G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRRRLLNPAPSLPPPPAAAPAGFHHHLLAVDDTRLPLLADYALLQGNAAAAPASAEWSAGSGFTGISTDPATATTATTASTATAPGSASNLTAATAGGGGRETWVRRAREGYYLQLSLAIRLTSEAFLAGVPPELLIGCGGGGAVSYRLWVGSVNGCLSWGDKIAHGFYNILGVDPHVWAMCNAAAEDGRRLPTLVALRAVDSGESSVLEVVLVDKCGDPALADLERRALDLYRAAGVSLDLVRHLAVLVSDHMGGALRSEDGDLFMRWKAVSKQLRKRHRCVVVPIGSLSIGFCRHRAILFKSLADFIGLPCRIAQGCKYCSAPHRSSCLVKIDNERREYVVDLVVEPGRLSSPDSSINGQLLSSVPSPFKTSCTMSSANYATPAASWNRAISGDRRNSILSNPQYSVAKYCVAEEKSSVQVATKEAMLPKCGQITQNGNCNKNSMAVFEVSKQMKAMEISSESGDKDNISSATPLKRLSIEPSFCADWLEISWDEIELKERVGAGSFGTVYRADWHGSDVAVKVLTDQDVGEAQLKEFLREIAIMKRVRHPNVVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKASAGEMLDLRRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGSKFNSQIVGDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELMTMQQPWNGLSPAQVVGAVAFQNRRLPIPQETVPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKSMLGGS >ORUFI04G26580.2 pep chromosome:OR_W1943:4:26379690:26385181:1 gene:ORUFI04G26580 transcript:ORUFI04G26580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRRRLLNPAPSLPPPPAAAPAGFHHHLLAVDDTRLPLLADYALLQGNAAAAPASAEWSAGSGFTGISTDPATATTATTASTATAPGSASNLTAATAGGGGRETWVRRAREGYYLQLSLAIRLTSEAFLAGVPPELLIGCGGGGAVSYRLWVGSVNGCLSWGDKIAHGFYNILGVDPHVWAMCNAAAEDGRRLPTLVALRAVDSGESSVLEVVLVDKCGDPALADLERRALDLYRAAGVSLDLVRHLAVLVSDHMGGALRSEDGDLFMRWKAVSKQLRKRHRCVVVPIGSLSIGFCRHRAILFKSLADFIGLPCRIAQGCKYCSAPHRSSCLVKIDNERREYVVDLVVEPGRLSSPDSSINGQLLSSVPSPFKTSCTMSSANYATPAASWNRAISGDRRNSILSNPQYSVAKYCVAEEKSSVQVATKEAMLPKCGQITQNGNCNKNSMAVFEVSKQMKAMEISSESGDKDNISSATPLKRLSIEPSFCADWLEISWDEIELKERVGAGSFGTVYRADWHGSDVAVKVLTDQDVGEAQLKEFLREIAIMKRVRHPNVVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKASAGEMLDLRRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELMTMQQPWNGLSPAQVVGAVAFQNRRLPIPQETVPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKSMLGGS >ORUFI04G26580.3 pep chromosome:OR_W1943:4:26379690:26385181:1 gene:ORUFI04G26580 transcript:ORUFI04G26580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRRRLLNPAPSLPPPPAAAPAGFHHHLLAVDDTRLPLLADYALLQGNAAAAPASAEWSAGSGFTGISTDPATATTATTASTATAPGSASNLTAATAGGGGRETWVRRAREGYYLQLSLAIRLTSEAFLAGVPPELLIGCGGGGAVSYRLWVGSVNGCLSWGDKIAHGFYNILGVDPHVWAMCNAAAEDGRRLPTLVALRAVDSGESSVLEVVLVDKCGDPALADLERRALDLYRAAGVSLDLVRHLAVLVSDHMGGALRSEDGDLFMRWKAVSKQLRKRHRCVVVPIGSLSIGFCRHRAILFKSLADFIGLPCRIAQGCKYCSAPHRSSCLVKIDNERREYVVDLVVEPGRLSSPDSSINGQLLSSVPSPFKTSCTMSSANYATPAASWNRAISGDRRNSILSNPQYSVAKYCVAEEKSSVQVATKEAMLPKCGQITQNGNCNKNSMAVFEVSKQMKAMEISSESGDKDNISSATPLKRLSIEPSFCADWLEISWDEIELKERVGAGSFGTVYRADWHGSDVAVKVLTDQDVGEAQLKEFLREIAIMKRVRHPNVVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKASAGEMLDLRRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKPEWMAPEFLRGEPSNEKCDVYSFGVILWELMTMQQPWNGLSPAQVVGAVAFQNRRLPIPQETVPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKSMLGGS >ORUFI04G26590.1 pep chromosome:OR_W1943:4:26400955:26405565:1 gene:ORUFI04G26590 transcript:ORUFI04G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEGQETPAAAAVHGHRLSTVVPSSVTGEANYDLADADLAYKLHYLRGAYYYPAGDAVRGITIKSLKDPMFPWLDAYFPVAGRIRRAEGDDADAAAARRPYIKCNDCGVRIVEARCDRALDDWLRDESPDRLRHLCYDKVLGPELFFSPLLYIQVTSFKCGGMALGFSWAHLIGDVASATACFNTWAQILSGKKPAGTVLEPANKPLDRAPAAAAAAPPRSVKPVGPIEDHWLVPAGRAMAWYSFRVTEPALKKLQSAAGRHAAGTFELVSALLWQAVAKIRAAASKEVTTVTVVRTDMAARSGKSLANEQRVGYVEAASSPAKTDVAELAAMLAGDKVVDETGAVAAFPGDVVVYGGANLTFVDMEQVAPYELELKGQRPVHVEYGLDGVGEEGAVLVQPDAGGRGRVVTVVIPRDEVDSLRAALGSTLLLLQDA >ORUFI04G26600.1 pep chromosome:OR_W1943:4:26405757:26408036:1 gene:ORUFI04G26600 transcript:ORUFI04G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLRSKREKRLRTLRREIAQPFYDKKEAAKLAAQAAALEAPALPVRAPPPPSKDSGGSRAASSSASAMDVEMADEGNNKSKSFLKPIGSISKKKVQLHLKIKKDKRKARKKGRFSKK >ORUFI04G26610.1 pep chromosome:OR_W1943:4:26409419:26415022:1 gene:ORUFI04G26610 transcript:ORUFI04G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLAAALCLAVAAVSVAVATARFVVEKNSVKVLSPRSLRGRHEAAIANYGVPDYGGTLTGVVVYPADARLADGCRPFGEAAAKALRSRSRRPVVLLVDRGGCYFALKTWHAQLAGAAAVLVADGVDEPLLTMDSPEEETPDMAFLANITVPSALVTKQFGDALRAAASAADDEVVVRLDWRESMPHPDERVEYELWTNSNDECGPRCDEQAAFVGAFRGHAQLLEKRGYALFTPHYITWFCPDAFLETTQCKAQCVNRGRYCAPDPDGDLAAGYSGRDVVLENLRQLCVHRVANARNASWLWWDYVADYHLRCSMKGDHYTRRCADDVAKSLGLPMDLIDECMGDPDADVENDVLKTEQIVQVGHGTRGDVTILPTLVINNVQYRGKLESTSVLKAICAGFKESTEPDVCLTPEMETDECLNNNGGCWRDEKTNITACKDTFRGRICQCPVVDGVQYQGDGYTHCKAVGPGRCAMNNGGCWKGTRDGKTFSACSDSDLSGCKCPPGFKGDGLHCEDVDECSEKLACSCPHCSCKNTWGSFDCSCHGNNLMYIKAEDTCIAKNMSAFGWLVTTLVLSCLAGAGVAGYVFYKYRLRRYMDSEVMAIMSQYMPLEGQNGERLPLREEAQLQRLNILAMSIRFETPRHMTATNAAMYMAKFRHHT >ORUFI04G26620.1 pep chromosome:OR_W1943:4:26413365:26419561:-1 gene:ORUFI04G26620 transcript:ORUFI04G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFLLSPAATRPIGWLIQPMSSRLCVKNLPKGADEGRLREVFSRKGEVTDAKVIRTKDGKSRQFAFVGFRTNEEAEEALKYFNNTYIDTCKITCEVARKIGDPEAPRPWSRHSLKKPEYNSKDKTKTGDVSAPLKSSKGQKVSDDVGGSKGSAASDPKFQEFLEVMQPRSKAKMWANDTMGTLDASAKDSMVVSEKPEKPQKNVPVSENDSSSGDSSDEEMANDQSESDDSSSEEASEELKIESKQGKDMTDMDFFKSKIKKNWSDSESDSEDSGDQSDHSTDDEDSSDESHDADEKDEIAHQKDSLNLKKNVDKEMTLEGSTVQEAGNSDNKEVENLDSQLKEDDNQEKEDEEAALITDEKKLALETGRLFFCNLPYATTEGDLVELCSQYGDVDQARIVVDKTTKLSTGRGYVLFSLPDSAVRALELDNSSFQGRLLRVKAAKPLNNKKIESSYEEKKMSLKQQKLDQRKASEISGDTRAWNSFYMRQDTVAENIARKNGISKSELLDREADDLAVRIALGETHVIAETKKYLSRSGVNVAALEELASKRNEKFKRSNHVILVKNLPYSSCEEDLATMFRKHGSLDKIILPPTRVFALVVFVEATEARHAFKKLLYTRYKDTPLYLEWAPENILSPTSAPVEDDEKDVVGDRIVTKAIVEQTVEGVSAEEIDPDRVESRSVFVKNLNFKTSDESLKQHFSTKLKSGSLKSATVKKHIKNGKNVSMGFGFVEFDSVETATSVCKDLQGTVLDGHALILQLCHGRKDGQTKKNEKDKSSTKLLVRNVAFEATEKDLRQLFSPFGQIKSLRLPMKFGSHRGFAFVEFVTKQEAQNALQALASTHLYGRHLVIERAKEGETLEELRARTAAQFVDEQSGFQRLSKKRKQTSLVDEGSVKFSRIVE >ORUFI04G26630.1 pep chromosome:OR_W1943:4:26425226:26450117:1 gene:ORUFI04G26630 transcript:ORUFI04G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEARAVQISIPPDACCFSGGKSSHRMSPATQLVRAPQHAVATELVNVVMHQRKAIEDTMRKKKKQQLHKFEMLPSPYDTAWVAMVPLPGSSSQLPCFPQCVEWILQNQQSNGSWDLNQLDSITKDALLSTLACLAAPIGFNITFPGMLSSVIEMGLEVPIGQTDVERVLHLQETELKREYEENYRGRNTYMAYVSEGLGNAQDWNEVMNFQRKNGSLFNSLSITAAVLVHNYDAKAHRYLNLLLNKFGTAVYTKNIHRQLSMLDALENMGISRHFDGEIKSILDMTYSCWLQRDEEVMLDITTCAMAFRILRMNGYDVSSDDLCHIAEVSDFHSSHQGYLSDTRTLLELYKASEVSVADNEFILDRIGSWSGRLLKEQLSSGALQRTSSIFEEVEHALDCPFYATLDRLVHKRNIEHFAAMSYISYAQNNIPDELERIDSWVKENRLHELKFARQKSAYFYLSAAGTVFDPEMSDARIWWAINGVLTTVVDDFFDVGGSREELENLISLVEMWDEHHKEELYSEQVEIVFFAIFNSVNQLGAKVSAVQGRDVTKHLIEIWLDLLRSMMTEVEWRISNYVPTPEEYMENAAMTFALGPIVLPALYLVGPKIPESVVRDSEYNELFRLMSTCGRLLNDVQTYEREDGEGKVNSVSLLVIQSSGSVSIEEARREIMKPIERCRRELLGLVLRRGSAVPGPCKELFWKMCKVCYFFYSRGDGFSSPTAKSAAVDAVIRDPLDLAAVVASQEPIYIIPASGPRHRSGVLLEAPAAAPRVTARVPIGRWASYTPRGQGGERLSNYLLLAKSDYNDVFVDEDQATKRVACRVSSSWAASSSTRCDGVGCHLQRRPTKDDLNADTRVANIEIISGKRKLGESAVAFSPRCAVSSPPPPPANDDAAAAEFLLLLLLSLPRRAVPRSSTPRDGASSWGHQRKELQARTRDQLQTLELSTSLYDTAWVAMVPLLGSRQHPCFPQCVEWILQNQQDDGSWGTRGFGVAVTRDVLSSTLACVLALKRWNVGQEHIRRGLDFIGRNFSIAMDEQIAAPVGFNITFPGMLSLAMGMDLEFPVRQTDVDRLLHLREIELEREAGDHSYGRKAYMAYVTEGLGNLLEWDEIMMFQRKNGSFFNCPSTTAATLVNHYNDKALQYLNCLVSKFGSAVPTVYPLNIYCQLSWVDALEKMGISQYFVSEIKSILDTTYVSWLERDEEIMLDITTCAMAFRLLRMNGYHVSSVELSPVAEASSFRESLQGYLNDKKSLLELYKASKVSISENESILDSIGSWSGSLLKESVCSNGVKKAPIFEEMKYALKFPFYTTLDRLDHKRNIERFDAKDSQMLKTEYFWVKDEKLDQLPFARQKLTYCYLSAAATIFPRELSEARIAWAKNGVLTTVVDDFFDLGGSKEELENLIALVEKWDGHQEEFYSEQVRIVFSAIYTTVNQLGAKASALQGRDVTKHLTEIWLCLMRSMMIEAEWQRTKYVPTMEEYMANAVVSFALGPIVLPTLYFVGPKLQEDVVRDHEYNELFRLMSTCGRLLNDSQGFERENLEGKLNSVSLLVHHSGGSISIDEAKMKAQKSIDTSRRNLLRLVLGEQGAVPRPCKQLFWKMCKIVHMFYSRTDGFSSPKEMVSAVNAVVKEPLKLKHLRRLGRQILEIDEVTTGVSLSTGTSPRLGANYCPENIEPAAFMAIEAMRHCSSSSSSEEGGAAATTAARSAVRERLQLAPPSPSPSPYDTAWVAMVPALRRGGGGPRFPQCVAWIQRNQRGDGSWRHAAAAHQQLGSSPEIVTERDLSSTLACVLALARWDAGSEHVRRGLQFIGRNMSVAMDDQTAAPASGSVVSFAAMLRMAMEMGLEVPAVSQADVRDRDAGVICHGGRTEYTAYVSEGLGNIQNWNEVMKFQRKNGSLFNSPYTTAAALVHNYDAKALQYLDMLLDKFGSAVPAAYPANIQSQLYMVDVLEKMGISRHFVGEIKSILDMTYSCWKQRDEEIVLDMQTCGMAFRMLRMNGYDVSSDELSHFSEPSSFHNSLQGYLNDTRSLLELHKASKVSIAEKEVEYALEFPFYTILDRLDHKRNIEHFDITSSQMLETAYLPCHSNEEIMALGVRDFSSSQFIFQEELQQLNSWVKESRLDQLQFARQKLDYFYFSAAATIFTPELSDVRILWAKNGVLTTVVDDFFDVGGSKEELENLVALVEKWDKNDKTEYYSEQVEIVFSAIYTSTNQLGSMASVVQGRDVTKHLVEIWQELLRSMMTEVEWRQSRYVPTAEEYMENAVVTFALGPVVLPALYLVGPKIPDSVIRSQECSELFRLMSKCGRLLNDVQSYEREGSQGKLNSVSLLALHSGGSVSMEEAVKQIQRPIEKCRRELLKLVVSRGGAVPRPCRELFWSMCKVCHFFYSGGDGFSSPTAKAGAVDAVIHEPLNLSCSV >ORUFI04G26630.2 pep chromosome:OR_W1943:4:26425226:26450117:1 gene:ORUFI04G26630 transcript:ORUFI04G26630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEARAVQISIPPDACCFSGGKSSHRMSPATQLVRAPQHAVATELVNVVMHQRKAIEDTMRKKKKQQLHKFEMLPSPYDTAWVAMVPLPGSSSQLPCFPQCVEWILQNQQSNGSWDLNQLDSITKDALLSTLACLAAPIGFNITFPGMLSSVIEMGLEVPIGQTDVERVLHLQETELKREYEENYRGRNTYMAYVSEGLGNAQDWNEVMNFQRKNGSLFNSLSITAAVLVHNYDAKAHRYLNLLLNKFGTAVYTKNIHRQLSMLDALENMGISRHFDGEIKSILDMTYSCWLQRDEEVMLDITTCAMAFRILRMNGYDVSSDDLCHIAEVSDFHSSHQGYLSDTRTLLELYKASEVSVADNEFILDRIGSWSGRLLKEQLSSGALQRTSSIFEEVEHALDCPFYATLDRLVHKRNIEHFAAMSYISYAQNNIPDELERIDSWVKENRLHELKFARQKSAYFYLSAAGTVFDPEMSDARIWWAINGVLTTVVDDFFDVGGSREELENLISLVEMWDEHHKEELYSEQVEIVFFAIFNSVNQLGAKVSAVQGRDVTKHLIEIWLDLLRSMMTEVEWRISNYVPTPEEYMENAAMTFALGPIVLPALYLVGPKIPESVVRDSEYNELFRLMSTCGRLLNDVQTYEREDGEGKVNSVSLLVIQSSGSVSIEEARREIMKPIERCRRELLGLVLRRGSAVPGPCKELFWKMCKVCYFFYSRGDGFSSPTAKSAAVDAVIRDPLDLAAVVASQEPIYIIPASGPRHRSGVLLEAPAAAPRVTARVPIGRWASYTPRGQGGERLSNYLLLAKSDYNDVFVDEDQATKRVACRVSSSWAASSSTRCDGVGCHLQRRPTKDDLNADTRVANIEIISGKRKLGESAVAFSPRCAVSSPPPPPANDDAAAAEFLLLLLLSLPRRAVPRSSTPRDGASSWGHQRKELQARTRDQLQTLELSTSLYDTAWVAMVPLLGSRQHPCFPQCVEWILQNQQDDGSWGTRGFGVAVTRDVLSSTLACVLALKRWNVGQEHIRRGLDFIGRNFSIAMDEQIAAPVGFNITFPGMLSLAMGMDLEFPVRQTDVDRLLHLREIELEREAGDHSYGRKAYMAYVTEGLGNLLEWDEIMMFQRKNGSFFNCPSTTAATLVNHYNDKALQYLNCLLSWVDALEKMGISQYFVSEIKSILDTTYVSWLERDEEIMLDITTCAMAFRLLRMNGYHVSSVELSPVAEASSFRESLQGYLNDKKSLLELYKASKVSISENESILDSIGSWSGSLLKESVCSNGVKKAPIFEEMKYALKFPFYTTLDRLDHKRNIERFDAKDSQMLKTEYFWVKDEKLDQLPFARQKLTYCYLSAAATIFPRELSEARIAWAKNGVLTTVVDDFFDLGGSKEELENLIALVEKWDGHQEEFYSEQVRIVFSAIYTTVNQLGAKASALQGRDVTKHLTEIWLCLMRSMMIEAEWQRTKYVPTMEEYMANAVVSFALGPIVLPTLYFVGPKLQEDVVRDHEYNELFRLMSTCGRLLNDSQGFERENLEGKLNSVSLLVHHSGGSISIDEAKMKAQKSIDTSRRNLLRLVLGEQGAVPRPCKQLFWKMCKIVHMFYSRTDGFSSPKEMVSAVNAVVKEPLKLKHLRRLGRQILEIDEVTTGVSLSTGTSPRLGANYCPENIEPAAFMAIEAMRHCSSSSSSEEGGAAATTAARSAVRERLQLAPPSPSPSPYDTAWVAMVPALRRGGGGPRFPQCVAWIQRNQRGDGSWRHAAAAHQQLGSSPEIVTERDLSSTLACVLALARWDAGSEHVRRGLQFIGRNMSVAMDDQTAAPASGSVVSFAAMLRMAMEMGLEVPAVSQADVRDRDAGVICHGGRTEYTAYVSEGLGNIQNWNEVMKFQRKNGSLFNSPYTTAAALVHNYDAKALQYLDMLLDKFGSAVPAAYPANIQSQLYMVDVLEKMGISRHFVGEIKSILDMTYSCWKQRDEEIVLDMQTCGMAFRMLRMNGYDVSSDELSHFSEPSSFHNSLQGYLNDTRSLLELHKASKVSIAEKEVEYALEFPFYTILDRLDHKRNIEHFDITSSQMLETAYLPCHSNEEIMALGVRDFSSSQFIFQEELQQLNSWVKESRLDQLQFARQKLDYFYFSAAATIFTPELSDVRILWAKNGVLTTVVDDFFDVGGSKEELENLVALVEKWDKNDKTEYYSEQVEIVFSAIYTSTNQLGSMASVVQGRDVTKHLVEIWQELLRSMMTEVEWRQSRYVPTAEEYMENAVVTFALGPVVLPALYLVGPKIPDSVIRSQECSELFRLMSKCGRLLNDVQSYEREGSQGKLNSVSLLALHSGGSVSMEEAVKQIQRPIEKCRRELLKLVVSRGGAVPRPCRELFWSMCKVCHFFYSGGDGFSSPTAKAGAVDAVIHEPLNLSCSV >ORUFI04G26640.1 pep chromosome:OR_W1943:4:26451378:26451569:-1 gene:ORUFI04G26640 transcript:ORUFI04G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYACGSGAECGSIQPSGACYTPDTVLAHASYAFNSYWQMTKAAGGTCDFGGTATIVTRDPSK >ORUFI04G26650.1 pep chromosome:OR_W1943:4:26458927:26459535:1 gene:ORUFI04G26650 transcript:ORUFI04G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKNGRIALLLAALALSAQLAPAAATWCGSNCPTTKPPPPPCQPPPPTPTPATPTTPPTPWTPPPATPTPPTPTPWTPTPATPPPTPATPATPTTPPTPAPAPSTPTGKCPVDTLKLLACVDALNGLVHAVVGATAGDTCCPLLSGVADLDAALCLCTAIKAKALGLILVLPVAISVLVNDCGKYVPSDFQCPSTDPPYI >ORUFI04G26660.1 pep chromosome:OR_W1943:4:26469469:26470019:-1 gene:ORUFI04G26660 transcript:ORUFI04G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRTRLTPSALALMAVQRQSAASRSATPDSSGQQLAGVRGKKTSWEPGFIGHWWRREAVTEAS >ORUFI04G26670.1 pep chromosome:OR_W1943:4:26469601:26470080:1 gene:ORUFI04G26670 transcript:ORUFI04G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKNGRIAVAALLLAALALSAQLAPAAACSYCPTPKPPPPPPPPPSGVPCPPPPYTPTPATPTPSTPTGKCPVNTLKLLACVDALNGLVHAVVGAKASDTCCPLLSGVADLDAALCLCTAIKAKALGVSLVLPVAISVLVNECGKHVPSSFQCPS >ORUFI04G26680.1 pep chromosome:OR_W1943:4:26472197:26476624:-1 gene:ORUFI04G26680 transcript:ORUFI04G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLLFNLRNLFYLGAYQAAINNSDVPGLDADAAAERDAIVFRSYVALGSYQLVISEIDSSAATSLQAVKLLALYLSGDKESAIVSLKEWLSDSAVGSNPVLRLIAGIIFMHEQDYTEALKHTHSGGTLDLHALNVQIFIKMHRSDYAEKQLKIMQQIDEDHTLTQLANAWLDIAVGGSKIREAYLIFQDFAEKYPMTGMVLNGKAVCCMHMGSFDEAETLLLEALNKDAKDPETLANLIVCNLHLGKPSSRYLSQLKLSHPDHVLVKRAVSAEDNFERALQAVA >ORUFI04G26690.1 pep chromosome:OR_W1943:4:26477505:26482002:1 gene:ORUFI04G26690 transcript:ORUFI04G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTATAAAEQAPPPQHTRKAVGLAAHDDSGHLTPIRISRRKTGDDDVAIKVLYCGICHSDLHTIKNEWRNAVYPVVAGHEITGVVTEVGKNVARFKAGDEVGVGCMVNTCGGCESCRDGCENYCSGGVVFTYNSVDRDGTRTYGGYSDAVVVSQRFVVRFPSSAGGGAGAALPLDSGAPLLCAGVTVYAPMRQHGLCEAGKHVGVVGLGGLGHVAVKFARAFGMRVTVISTSPVKRQEALERLGADGFIVSTNASEMKAAMGTMHGIINTASASTSMHSYLALLKPKGKMILVGLPEKPLQIPTFALVGGGKILAGSCMGSISETQEMIDFAAEHGVAADIELIGADEVNTAMERLAKGDVRYRFVVDIGNTLRSD >ORUFI04G26700.1 pep chromosome:OR_W1943:4:26482113:26484464:-1 gene:ORUFI04G26700 transcript:ORUFI04G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRVGLSRRRLTTAALPLSIAARGRRLSTGPSPPPPPPAAEWTDTVDYLDESGEVLSSAPGARAAVPGADATILSGSSAHPLPRPAAAARLAALALRFRSGPSLSAALSALPSQPDPALLLLAASSLPASDPTPLLALVAWARVQPWFVPSDDLSSLLAARLAPATHSSELLSLFDDTLALPDPAAFPKTLNAVLSALATHGLLEPAFFCFKRLRDAGFRVLETHAYNALLSLLLTRGLAFKAFEVLDEMSSSGCALDEGTYELVVPALARAGRIDAARKMFDEMRKREGIGRASAGMYGVLVDVLAKAGRLDAAMGMYREMVAVGHRASPAVSTAVVEGLVRAGKLDAGMELWEEMRRGGLRPSFGLYTMVVEANARSGRLDVATQLFGDMEKSGFFPTPATYACLVEMHASAGQVDAAMRLYHSMANAGQRPGLSTFTALLMMLANKRMLDLAAKVLLEMKASGFPIEVTASDLLMIYIKEGSTELALRWLRFMGSAGIRTNNFIIRQLFESCMKTGLYDSARPLLETYVAGAAKVDLILYTSILAHLVRCQDEGRERAIMDILSVSKHKAHDFMCGLFTGPEQRKKPVLSFVREFFQGIDYDNEESAARYFVNVLLNYLVLMGQMNRARCIWKVAYENKLFAKAIVFDQHIAWSLDVRNLSVGAALVATVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKMVVAQVLASLESPFEVSKVVLRAPGDSVLEWFKKPIVQQFLLNEIPSKADVLMHRLNVMFPSSAPEVRSLSIPRSLSMSR >ORUFI04G26710.1 pep chromosome:OR_W1943:4:26486210:26497070:1 gene:ORUFI04G26710 transcript:ORUFI04G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYTNRRSTTEPAGRPANRSGVEVMDDTPALGVERKGKEGVERSTGNYFNSARVDISLYRPPSKCKDQAIQHLEHGCKNSRQRKDQKESTKGKGKERKKGGESKVIALSR >ORUFI04G26720.1 pep chromosome:OR_W1943:4:26486536:26488469:-1 gene:ORUFI04G26720 transcript:ORUFI04G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKHTLQVLPWLLLFAQHTAASACDCANTTDGADRQGAMKLKLIAIASILAAGAAGVLVPVIGRSMAALRPDGDIFFAVKAFAAGVILATGMVHILPAAFDALTSPCLKRGGGDRNPFPFAGLVSMSAAVATMVVDSLAAGYYHRSQFRKARPVDNINVHKHAGDERAEHAQHINAHTHGGHTHSHGDIVVCGSPEEGSVAESIRHKVVSQVLELGILVHSVIIGVSLGASVRPSTIRPLVGALSFHQFFEGVGLGGCIVQANFKVRATVIMAIFFSLTAPVGIVLGIAISSSYNVHSSTAFVVEGVFNSASAGILIYMSLVDLLATDFNNPKLQINTKLQLMAYLALFLGAGLMSMLAIWA >ORUFI04G26730.1 pep chromosome:OR_W1943:4:26497137:26500406:1 gene:ORUFI04G26730 transcript:ORUFI04G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMEAARRGRRGRQGGGLLLVVLAALLLLLLALARGASAWAHGGLAGGAGAGAGERRYMDLAMRRMESVRSSFVARRELATSTAASSSRVYHVTDYGADPTGGADATAAINSAIADAFRRPSNATMTGGIPDLGGAEIHLDGGSYLLKGPLSLPASGGGNLKIHSGSLRAADDFPTDRYLIELSAKAAGGGGGSSPAMSYYYEYVTLRDLMLDCNYRGGGVQVVDSLRVGVDNCYVVHFATDGVAVSGGHETFVRNTFLGQHMTAGGDPGERSFTGTGIRLDGNDNSVSDVVVFSAATGIMVTGGANAISGVHCYNKATGFGGAGIYLKVPGLTQTWITNCYMDYTGIVAEDPVLLHVSGSFFLGDANVVLKAVNGVARGVQIVGNLFNGRGKGVDIVALDGEFATVEQVYVAQNVATGMTVRSTTARAAAEGNGSSWTVDFSPVLLFPDRIGHVQYSLAAGDAFPGHALRNVSGNRVVIATDAAVSATVHVLVDQNSS >ORUFI04G26740.1 pep chromosome:OR_W1943:4:26503967:26505417:-1 gene:ORUFI04G26740 transcript:ORUFI04G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPKLTTPSCKSPPSPLKSQLGLPGGGKLQPAQAVAPSHLNLLLLLGASQQEAAAVPTPKSRSKNGGGRSGGGGGEEDPRRSDYYLNLGTAVRTLRDDLPAVFVREPNYDIYREDIRFVDPLNTFHGIDNYKTIFWALRFHGRLLFREIGLDISRIWQLTENSIVVRWELWGTPRVPWESYGCFSGTSRYKVDRNGKIYEHKVDNLALDFPRPAAKVGSIADIVVASCPPSPNLTFWDMVGSTGDGCSWANLYQAVVETVEREGNDPAGIAIEGLLTCS >ORUFI04G26750.1 pep chromosome:OR_W1943:4:26505471:26507033:-1 gene:ORUFI04G26750 transcript:ORUFI04G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRPVYATSASSRPFVADGQGKRRMKPRIISTSTAGWLAPRRTPSLSHTHAFAFAFAAPIGATQFSLPKNIPMANLEASTTWSS >ORUFI04G26760.1 pep chromosome:OR_W1943:4:26507766:26510254:1 gene:ORUFI04G26760 transcript:ORUFI04G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTIIVRRNYLHFVKKYQRQGANLPPCRYEKRHSNIPAHVSPCFRVKEGDHVIPAGSTGGSSGKKAFTAA >ORUFI04G26770.1 pep chromosome:OR_W1943:4:26511316:26515722:-1 gene:ORUFI04G26770 transcript:ORUFI04G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVVGSVAAAAAVGRWGAAPPQAMLERMKDYGQEGAFALWDELSPEDRELLVRDIESLDLSRIDRIIRRSLGSQGFTLPTVEPVPESSVSKVEERLPEDKERWWKKGLKAISEGKLAVVLLAGGQGTRLGSSDPKGCFSIGLPSGKSLFQLQAERILCVQKLAAQSSDSPNNTVPIHWYIMTSPFTDDITRKFFESRKYFGLEADQVTFFQQGTLPCVSADGRFIMETPYKVAKAPDGNGGVYAALKSRRLLEDMSSRGVKYVDCYGVDNALVRVADPTFLGYFIDKAVSSAAKVVRKAYPQENVGVFVRRGRGGPLSVVEYSEMDAAMATEINQSTGRLRYCWSNICLHMFTLDFLNQVANSLEKDSTYHLAEKKIPSIHGYAMGLKLEQYIFDAFSYSPSTALFEVLREEEFAPVKNANGASYDTPDSAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPKNQQDTGSSGRKEAQCGVLAA >ORUFI04G26770.2 pep chromosome:OR_W1943:4:26511801:26515722:-1 gene:ORUFI04G26770 transcript:ORUFI04G26770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVVGSVAAAAAVGRWGAAPPQAMLERMKDYGQEGAFALWDELSPEDRELLVRDIESLDLSRIDRIIRRSLGSQGFTLPTVEPVPESSVSKVEERLPEDKERWWKKGLKAISEGKLAVVLLAGGQGTRLGSSDPKGCFSIGLPSGKSLFQLQAERILCVQKLAAQSSDSPNNTVPIHWYIMTSPFTDDITRKFFESRKYFGLEADQVTFFQQGTLPCVSADGRFIMETPYKVAKAPDGNGGVYAALKSRRLLEDMSSRGVKYVDCYGVDNALVRVADPTFLGYFIDKAVSSAAKVVRKAYPQENVGVFVRRGRGGPLSVVEYSEMDAAMATEINQSTGRLRYCWSNICLHMFTLDFLNQVANSLEKDSTYHLAEKKIPSIHGYAMGLKLEQYIFDAFSYSPSTALFEVLREEEFAPVKNANGASYDTPDSAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >ORUFI04G26780.1 pep chromosome:OR_W1943:4:26522331:26526591:-1 gene:ORUFI04G26780 transcript:ORUFI04G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIHHQPGGVANQIYYSYKDTVTSKPLNHIAFFLLVSTVFLLLLLFFVSLLDDLDLLVSSRLHGGSGRAVVTMDSNEGSHRITRSGIVRAKPAVTADGKIEPAGEGLPHGWLKEYRPRKNQSGSRVKGDTFYIDPTNMYEFRSQKDVQRYLESGDVTNCVMIQNKRKMEDLHTARNQSHHTRRPSDHRQLDAGEGATQCDLPIARVPKGVSEGKLTRLKLQKARVPNQSVEHESSTGEVANMEHKPKEKKQKTKPVKQISTPLRASPRLAALKINQEGNNEPKDEALSTNTDTANQSQPKLTKSPKAKANSSVLPEKSDGAHTSNASEIPQNKYPSATEQMQGSSVHPQQAGTADAMPGSALSSLLRSIWSDPCLKFAIKTLAGDIPALDFIPSQDMNKGTTPNCSSSAYDGSRNHAQVDHVGMPMPRPSDKFYGSGWFPPQ >ORUFI04G26780.2 pep chromosome:OR_W1943:4:26522331:26526591:-1 gene:ORUFI04G26780 transcript:ORUFI04G26780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIHHQPGGVANQIYYSYKDTVTSKPLNHIAFFLLVSTVFLLLLLFFVSLLDDLDLLVSSRLHGGSGRAVVTMDSNEGSHRITRSGIVRAKPAVTADGKIEPAGEGLPHGWLKEYRPRKNQSGSRVKGDTFYIDPTNMYEFRSQKDVQRYLESGDVTNCVMIQNKRKMEDLHTARNQSHHTRRPSDHRQLDAGEGATQCDLPIARGNSARSDFLVNANSSDNSEDMSSSVPKGVSEGKLTRLKLQKARVPNQSVEHESSTGEVANMEHKPKEKKQKTKPVKQISTPLRASPRLAALKINQEGNNEPKDEALSTNTDTANQSQPKLTKSPKAKANSSVLPEKSDGAHTSNASEIPQNKYPSATEQMQGSSVHPQQAGTADAMPGSALSSLLRSIWSDPCLKFAIKTLAGDIPALDFIPSQDMNKGTTPNCSSSAYDGSRNHAQVDHVGMPMPRPSDKFYGSGWFPPQ >ORUFI04G26780.3 pep chromosome:OR_W1943:4:26519078:26525004:-1 gene:ORUFI04G26780 transcript:ORUFI04G26780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNEGSHRITRSGIVRAKPAVTADGKIEPAGEGLPHGWLKEYRPRKNQSGSRVKGDTFYIDPTNMYEFRSQKDVQRYLESGDVTNCVMIQNKRKMEDLHTARNQSHHTRRPSDHRQLDAGEGATQCDLPIARGNSARSDFLVNANSSDNSEDMSSSVPKGVSEGKLTRLKLQKARVPNQSVEHESSTGEVANMEHKPKEKKQKTKPVKQISTPLRASPRLAALKINQEGNNEPKDEALSTNTDTANQSQPKLTKSPKAKANSSVLPEKSDGAHTSNASEIPQNKYPSATEQMQGSSVHPQQAGTADAMPGSALSSLLRSIWSDPCLKFAIKTLAGDIPALDFIPSQDMNKGTTPNCSSSAYDGSRNHAQVDHVGMPMPRPSDKFYGSGWFPPQYA >ORUFI04G26790.1 pep chromosome:OR_W1943:4:26530975:26535000:-1 gene:ORUFI04G26790 transcript:ORUFI04G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSESEGTNRGSMWELDQNLDQPMDEEASRLKNMYREKKFSSLLLLRLAFQSLGVVFGDLGTSPLYVFYNAFPHGVDDEEDVIGALSLIIYTLTLIPLLKYVFVVLRANDNGQGGTFALYSLLCRHAKISTIPNQHKTDEDLTTYSRQTYEENSVGAKIKRWLEAHAYKRNCLLIVVLIGTCTAIGDGILTPAISVLSASGGIKVQNPNMSTDVVVIVSVIILIGLFSMQHYGTDKVGWLFAPIVLLWFILIGSVGALNIHKYKGSVLKAYNPVYIYRYFQRRNSDSWASLGGIMLSITGTEALFADLCHFPVFAIQIAFTLIVFPCLLLAYTGQAAYIIAHKDHVADAFYRSIPDSIYWPAFVIATAAAIVASQATISATYSIIKQALALGCFPRVKIVHTSKKFLGQIYIPDINWVLLILCIAVTAGFKNQSQIGNAYGTAVVIVMLVTTFLMVPIMLLVWKSHWILVVTFIVLSLMVEIPYFSACLLKIDQGGWVPLVIATAFFIIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPMDERFLVRRIGPKNFHIFRCVARYGYKDLHKKDEDFEKMLFNCLLSFLRLESMMEGYSDSDDFSVPEQRTEGSISNAFLAEKTNNNTMCSNGDLSYSSQDSIVPVQSPLRGNSLLRYSSQASHTVSDELEFLNRCKDAGVVHILGNTIVLARRDSGIIKKIAVNYMYAFMRKICRENSVIFNVPHESLLNVGQIYYI >ORUFI04G26800.1 pep chromosome:OR_W1943:4:26541500:26543915:1 gene:ORUFI04G26800 transcript:ORUFI04G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRREVLDKAVAALRSHGLRAVGFEGDVRKQEDAARVVAATVQHFGKLDILVNGAAGNFLASPEDLTPKGFRTVVDIDTVGTYTMCYEALKYLKKGGPGKGPSTGGVIINISATLHYTAAWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIEGTPGMRKLAPEEMAKGSREIMPLFKLGEKWDIAMAALYLASDAGKYVNGTTVVVDGGLWLSRPRHIPKEEVKELSKVVEKKVRASGVGVPSSKL >ORUFI04G26810.1 pep chromosome:OR_W1943:4:26545293:26547466:1 gene:ORUFI04G26810 transcript:ORUFI04G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKKIENPTNRQVTFSKRRMGLLKKANELAILCDAQIGVIVFSGTGKMYEYSSPPWRIANIFDRYLKAPSTRFEEMDVQQRIIQEMTRMKDENNRLRIIMRQYMGDDLASLTLQDVSNLEQQIEFSLYKVRLRKQQQLLDQQLLEMHSREMQIPGDQSNYLCHMNLIGEQAQAPLMVNPKPFPLWDVGGSSQMYNQDAESSMTALQLSPQLEYKLQPLQPNLQEEANLHGYIPKDTMESGCN >ORUFI04G26820.1 pep chromosome:OR_W1943:4:26548998:26556912:1 gene:ORUFI04G26820 transcript:ORUFI04G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNIIPAYGCAKLHAAPPDYPCVARLRHRRLLSFLWLHSFKSTFEAMAEETKVLLSLPRLERLIARGMWGEAIGYACRFLPRRPPSLPAQRTHLTAEAQTLLLFLHMHRCFADVVAGNETGAAWSDKHRRRYCLARVTGISSHAIAIRRIIQTFVLSDKIRESMDWGRVREKVVRVVRRLVHDTPELAGFLDLPGGMVKPHNVLPIGFGFRSKRHVRQQRRPRAYTIAQLYLEMKRCVPSSGQPDQGLSLEGLSDKARGWMAHILDSSLRAGCESSEHHQWRYPLRSSEKKGAPVAAITQTMFSTIKTDSENSGTASATKKGVLDSIVLQTKFGNMTSPEKNYGFSSLTNAGTYMHSSQEDCHTENYCQGFIPRKHQREELAPEEDIDPKRQHTTLTFGEASLPLIAVAEAQGRARPVELSMCAPERAPTGLRRVHYNLLQTRRKLQPVAAACNPFAVRSIHQTPTPMNKRTCLVFHACDVSDLVDLVETTYRPVAEGGCATSGGSPRRSSRAPIEAMTRPRSIGRFIDQLMVRRLVHAHPRRRPPELLQSSTLTAASSSLATSSRQPRTWRVRRLDASRASPGPGRGGTQSRVGRRRRGRWRRSASEWVNQWVTRSASRRTESKTPTESMAPRASSPPAPGGGARARLSLEGRRERALAAANAGQMWNAAWNDDAVASAPERGRRASLFAQDRRPPRVSLQSSPRPAAIARLVPNFRRFPPSSPIPAAIAHIDDDDE >ORUFI04G26820.2 pep chromosome:OR_W1943:4:26548998:26556912:1 gene:ORUFI04G26820 transcript:ORUFI04G26820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNIIPAYGCAKLHAAPPDYPCVARLRHRRLLSFLWLHSFKSTFEAMAEETKVLLSLPRLERLIARGMWGEAIGYACRFLPRRPPSLPAQRTHLTAEAQTLLLFLHMHRCFADVVAGNETGAAWSDKHRRRYCLARVTGISSHAIAIRRIIQTFVLSDKIRESMDWGRVREKVVRVVRRLVHDTPELAGFLDLPGGMVKPHNVLPIGFGFRSKRHVRQQRRPRAYTIAQLYLEMKRCVPSSGQPDQGLSLDSSLRAGCESSEHHQWRYPLRSSEKKGAPVAAITQTMFSTIKTDSENSGTASATKKGVLDSIVLQTKFGNMTSPEKNYGFSSLTNAGTYMHSSQEDCHTENYCQGFIPRKHQREELAPEEDIDPKRQHTTLTFGEASLPLIAVAEAQGRARPVELSMCAPERAPTGLRRVHYNLLQTRRKLQPVAAACNPFAVRSIHQTPTPMNKRTCLVFHACDVSDLVDLVETTYRPVAEGGCATSGGSPRRSSRAPIEAMTRPRSIGRFIDQLMVRRLVHAHPRRRPPELLQSSTLTAASSSLATSSRQPRTWRVRRLDASRASPGPGRGGTQSRVGRRRRGRWRRSASEWVNQWVTRSASRRTESKTPTESMAPRASSPPAPGGGARARLSLEGRRERALAAANAGQMWNAAWNDDAVASAPERGRRASLFAQDRRPPRVSLQSSPRPAAIARLVPNFRRFPPSSPIPAAIAHIDDDDE >ORUFI04G26820.3 pep chromosome:OR_W1943:4:26548998:26556912:1 gene:ORUFI04G26820 transcript:ORUFI04G26820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNIIPAYGCAKLHAAPPDYPCVARLRHRRLLSFLWLHSFKSTFEAMAEETKVLLSLPRLERLIARGMWGEAIGYACRFLPRRPPSLPAQRTHLTAEAQTLLLFLHMHRCFADVVAGNETGAAWSDKHRRRYCLARVTGISSHAIAIRRIIQTFVLSDKIRESMDWGRVREKVVRVVRRLVHDTPELAGFLDLPGGMVKPHNVLPIGFGFRSKRHVRQQRRPRAYTIAQLYLEMKRCVPSSGQPDQGLSLEGLSDKARGWMAHILDSSLRAGCESSEHHQWRYPLRSSEKKGTYMHSSQEDCHTENYCQGFIPRKHQREELAPEEDIDPKRQHTTLTFGEASLPLIAVAEAQGRARPVELSMCAPERAPTGLRRVHYNLLQTRRKLQPVAAACNPFAVRSIHQTPTPMNKRTCLVFHACDVSDLVDLVETTYRPVAEGGCATSGGSPRRSSRAPIEAMTRPRSIGRFIDQLMVRRLVHAHPRRRPPELLQSSTLTAASSSLATSSRQPRTWRVRRLDASRASPGPGRGGTQSRVGRRRRGRWRRSASEWVNQWVTRSASRRTESKTPTESMAPRASSPPAPGGGARARLSLEGRRERALAAANAGQMWNAAWNDDAVASAPERGRRASLFAQDRRPPRVSLQSSPRPAAIARLVPNFRRFPPSSPIPAAIAHIDDDDE >ORUFI04G26830.1 pep chromosome:OR_W1943:4:26563102:26565676:1 gene:ORUFI04G26830 transcript:ORUFI04G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGSSDAEEARGGSKMLLRSLDYPCATRLRHRRLLAFLINNDYMDAYDDDLEDLMKAGRLVEARNYVWRFAPPGGGGGEPSSSAEAVTLWKFIHQLMVLDSFAHGGIRDHTAIRGWFTRILAEPPGFSVLYPSCLALAAHFVAGRVEAARDMADWKVVRSKAASLAGKMAREAPEIRCTMLLPLARAKPKDLFPLIASSSFRRRRYVKAARRASSSHLAQFYLNKKKRLPSPSHPGVRGLVLKIFPSKVRD >ORUFI04G26840.1 pep chromosome:OR_W1943:4:26568186:26587407:1 gene:ORUFI04G26840 transcript:ORUFI04G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVARSLLLRSNGSTGQAMNLIKHAAFAASFQGETDCTSHASARKFSTSGSSPLLDSTEGNGFKGHSMLAPFTAGWHSTDLEPLIIERSEGSYVYDSKGNKYLDTLAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNSTAKPPLDLAEELISMFTAKEMGKVFFTNSGSEANDSQVKLVWYYNNALGRPNKKKIIAQSQALPAMHLKFDLPAPFVLHTDCPHYWRFGLPGEAEEEFATRLADNLENLILKEGPETVAAFIAEPVIGAGGVIPPPKTYFEKIQAVLQKYDVLFIADEVITGFGRLGTMFGSDLYNIKPDLVSLAKALSSAYVPIGATLVSPEISDVVHSQSNKIGFFAHGFTYSGHPVSCAVALEALKIYRERNIPAHVKQISPRFQEGIKAFAGSSIIGETRGVGLLLATEFANNKSPNDPFPVEWGVAQIFGAECKKRGMLVKVVGDEIAMSPPLIMSQREVDGLVSIYGEALKATEERVAELRLELCKMVIARGLLRSNASSSSSQAINLLKYVTSTGSLQGHTQNLCDASTRHFSSVPSPQSNSTEENGFKGHGMLAPFTAGWQSTDGSYVYDIDGKKYLDSLAGLWCTALGGSEPRLVKAATEQLHKLPFYHSFWNRTTKPSLDLAKELLSMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPDKKKFIARSKSYHGSTLISASLSGLPALHQKFDLPAPFVLHTDCPHYWRFHLPGETEEEFATRLANNLEELILKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKVQAIVKKYDILFIADEVITAFGRLGTMFGSDMYNIKPDLVSMAKALSSAYVPIGAIMVSPEISDVIHSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYQERNIPDHVKQISPRFQEGVKAFAGSPIVGEIRGVGLILGTEFADNKSPNDPFPAEWGVGAIFGAECQKRGMLVRVAGDNIMMSPPLIMTPDEVEELVSIYGDALKATEERVAELKSKKNN >ORUFI04G26840.2 pep chromosome:OR_W1943:4:26568186:26587407:1 gene:ORUFI04G26840 transcript:ORUFI04G26840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVARSLLLRSNGSTGQAMNLIKHAAFAASFQGETDCTSHASARKFSTSGSSPLLDSTEGNGFKGHSMLAPFTAGWHSTDLEPLIIERSEGSYVYDSKGNKYLDTLAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNSTAKPPLDLAEELISMFTAKEMGKVFFTNSGSEANDSQVKLVWYYNNALGRPNKKKIIAQSQALPAMHLKFDLPAPFVLHTDCPHYWRFGLPGEAEEEFATRLADNLENLILKEGPETVAAFIAEPVIGAGGVIPPPKTYFEKIQAVLQKYDVLFIADEVITGFGRLGTMFGSDLYNIKPDLVSLAKALSSAYVPIGATLVSPEISDVVHSQSNKIGFFAHGFTYSGHPVSCAVALEALKIYRERNIPAHVKQISPRFQEGIKAFAGSSIIGEELRKSLEQSVRSVVVGDEIAMSPPLIMSQREVDGLVSIYGEALKATEERVAELRLELCKMVIARGLLRSNASSSSSQAINLLKYVTSTGSLQGHTQNLCDASTRHFSSVPSPQSNSTEENGFKGHGMLAPFTAGWQSTDGSYVYDIDGKKYLDSLAGLWCTALGGSEPRLVKAATEQLHKLPFYHSFWNRTTKPSLDLAKELLSMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPDKKKFIARSKSYHGSTLISASLSGLPALHQKFDLPAPFVLHTDCPHYWRFHLPGETEEEFATRLANNLEELILKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKVQAIVKKYDILFIADEVITAFGRLGTMFGSDMYNIKPDLVSMAKALSSAYVPIGAIMVSPEISDVIHSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYQERNIPDHVKQISPRFQEGVKAFAGSPIVGEIRGVGLILGTEFADNKSPNDPFPAEWGVGAIFGAECQKRGMLVRVAGDNIMMSPPLIMTPDEVEELVSIYGDALKATEERVAELKSKKNN >ORUFI04G26840.3 pep chromosome:OR_W1943:4:26568186:26587407:1 gene:ORUFI04G26840 transcript:ORUFI04G26840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVARSLLLRSNGSTGQAMNLIKHAAFAASFQGETDCTSHASARKFSTSGSSPLLDSTEGNGFKGHSMLAPFTAGWHSTDLEPLIIERSEGSYVYDSKGNKYLDTLAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNSTAKPPLDLAEELISMFTAKEMGKVFFTNSGSEANDSQVKLVWYYNNALGRPNKKKIIAQSQALPAMHLKFDLPAPFVLHTDCPHYWRFGLPGEAEEEFATRLADNLENLILKEGPETVAAFIAEPVIGAGGVIPPPKTYFEKIQAVLQKYDVLFIADEVITGFGRLGTMFGSDLYNIKPDLVSLAKALSSAYVPIGATLVSPEISDVVHSQSNKIGFFAHGFTYSGHPVSCAVALEALKIYRFQEGVKAFAGSPIVGEIRGVGLILGTEFADNKSPNDPFPAEWGVGAIFGAECQKRGMLVRVAGDNIMMSPPLIMTPDEVEELVSIYGDALKATEERVAELKSKKNN >ORUFI04G26850.1 pep chromosome:OR_W1943:4:26592056:26601202:1 gene:ORUFI04G26850 transcript:ORUFI04G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQAAEVGEVTAPKLPDKAVDKAQVHDDDKENLVNGNSNLQVKEAHNDEDDGTGSDGFELIDVKENFDSAKVVEEEKASPIEQGKTAALEEQALMRESAMLNQETKQLEELTRRIEELELEKEKLVKGVTEAENKQNLHYTSLQEAQRSLADKDKELADATQSLKELGSELESSKERIQEIEAELAASADKLHKLEELKDERSLHAAQEAKRASELDKTLETAQLNMKEMEAQISSLQEEIKGHQDKAIDHQQVEESLRSTLSELKMVQEALELSKSQVDDLEQKLASQDANISHLTEELSLHRSSEESLKEKTLKLENELSSAHEELQAKILNLQEMEIKLEEQAKEKQTWEATLEKQQEQILNLQTELDESKGGNETLRGTIADLNSKLAERDSLLRQAEDEHAKAQLLLSEALSHKDELEVNLKSINEQHGESRAAAENASQKILELEALLQTLHATEEALKLQLEEAEARVEVAEKKGSDLEQLLGESENKLVASSGELKLLEERVQQEAASSAEKEKLLEEATNSVEAYKEKINELQASLDSTTSKNQLLEQEVKDLSDKFTEHQEQAHSVHERSLELESLLHTSKSDAEVAHTRTQDLENELNTANEKFKEVEADLEQYRSKVSQLSDELEAYQTKAASLEAVMESASEKEKELVESLGQITEEKKKLELLVLEYEEKTEEYLKEKQSLEERLQSQESKVLALEESLVKMGEEKESHEGTIADLNLQLSNKNDMYMQLESQLSQAGDDHSKTRSLLSEAQLHKEELELNLKSLNDLHVESKTAAESALQRIAELETQVQELSAAEQSLKSHLTEFESKLASAEKKSMDLEQELKDATEECNSSRLRVDELSGELEEYKEKRTSLEASLLEAKQKEAELSEKLDQVNEEKEKFEELSKKATIKHLEAENQVQALQGELESARHKLEEVESDLEALGIRETSVLDKLKSAEEQLEHKGKALEHATSKKIDLEALYQSLLEDTEMKLQQAGENLTQKETECQELSEKLKAAEEQAASYQAKATAAAEEVESVKVELEAFETEISTLETTIEELKTKASNAESRAEQALVESAMMSETNQALKEDLDAKLAMLRELQEQFDSTHAEKEEVFTKLSAHEKTIEHLTEVHSRGLELHATAESKNAELEAQMHEALETIGKKDSEVKDLNERLAALESEIESLTHVNEAMKQEINAKLVKVDELQEKLSSISSEKEEVAEKVVVHEKTIEHLREEHSRGLELQSAAESRSAEIENELREVLETVAQKEAEVTDLKEKLVSLETENEKLVGINEALKGELDTKVAMFDELQEQFSSTHAEKEEAAEKLAVHERTISHLTEVHTRSLELHSAAESKNEEIESKLHEALEMAAQKEAEVKDLSKKLDALEIELGYYEEQATEAAAAEETHKIKFDEAVHKIKSLEEQLAVTENKVELFHTEKENLVIANSKLNEELELHQNKLNELQVALAAAVAEKEGSSEEIHSLRKTLDGMIQRKEELESQVSSTVEEHEELKSKYNITLEEKQLLNEKYESAKKELGEAIAKLEEQMNVDKSEKELHISKLERQITLSELKYMEEIQTMQVETTEKDEALTTKMQEHANLVHEKDALEQQLLEVRKELNDAYHTIANQEEQVSVREIKWDAYKKFSEDQLEAEQQHVAELEVQVSSLKQQLQEAEIHYKHKEEQVSLREVQWEADQEHSVSELKAQRQYAAELEKQIGALTQQLQLVEKQYEQKVTEEREKLALVNTEVSKLTQKLSKSAEMEKKIEHLEQKLQAKDSVESTSRDFSLDSSTLPSKQRDRSLAPETTPPNPTQQQELREPSGIMAFKFILGVALLSVLIGVFLGKRY >ORUFI04G26860.1 pep chromosome:OR_W1943:4:26611640:26616678:1 gene:ORUFI04G26860 transcript:ORUFI04G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSDDKAQLSGLAQSEESSLDVDHQSFPCSPSIQPVASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLQKGLLPRHPGRLPKGQQANSLLDLMTIRAFHSKILRRFSLGTAVGFRIRKGDLTDIPAILVFVARKVHKKWLNPAQCLPAILEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFSELVDKLCGSDECIGSGSQVASHETFGTLGAIVKRRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISTVTTVVRGVGDIGDVKVIDLQCPLNSLIGRQVCKVGRSSGHTTGTVMAYALEYNDEKGICFFTDILVVGENRQTFDLEGDSGSLIILTSQDGEKPRPIGIIWGGTANRGRLKLTSDHGPENWTSGVDLGRLLDRLELDIIITNESLQDAVQQQRFALVAAVTSAVGESSGAPVAIPEEKVEEIFEPLGIQIQQLPRHDVAASGTEGEEASNTVVNVEEHQFISNFVGMSPVRDDQDAPRSITNLNNPSEEELAMSLHLGDREPKRLRSDSGSSLDLEK >ORUFI04G26860.2 pep chromosome:OR_W1943:4:26611686:26616678:1 gene:ORUFI04G26860 transcript:ORUFI04G26860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGEEARRRIGTMKPSDDKAQLSGLAQSEESSLDVDHQSFPCSPSIQPVASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLQKGLLPRHPGRLPKGQQANSLLDLMTIRAFHSKILRRFSLGTAVGFRIRKGDLTDIPAILVFVARKVHKKWLNPAQCLPAILEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFSELVDKLCGSDECIGSGSQVASHETFGTLGAIVKRRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISTVTTVVRGVGDIGDVKVIDLQCPLNSLIGRQVCKVGRSSGHTTGTVMAYALEYNDEKGICFFTDILVVGENRQTFDLEGDSGSLIILTSQDGEKPRPIGIIWGGTANRGRLKLTSDHGPENWTSGVDLGRLLDRLELDIIITNESLQDAVQQQRFALVAAVTSAVGESSGAPVAIPEEKVEEIFEPLGIQIQQLPRHDVAASGTEGEEASNTVVNVEEHQFISNFVGMSPVRDDQDAPRSITNLNNPSEEELAMSLHLGDREPKRLRSDSGSSLDLEK >ORUFI04G26860.3 pep chromosome:OR_W1943:4:26612856:26616678:1 gene:ORUFI04G26860 transcript:ORUFI04G26860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSDDKAQLSGLAQSEESSLDVDHQSFPCSPSIQPVASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLQKGLLPRHPGRLPKGQQANSLLDLMTIRAFHSKILRRFSLGTAVGFRIRKGDLTDIPAILVFVARKVHKKWLNPAQCLPAILEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFSELVDKLCGSDECIGSGSQVASHETFGTLGAIVKRRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISTVTTVVRGVGDIGDVKVIDLQCPLNSLIGRQVCKVGRSSGHTTGTVMAYALEYNDEKGICFFTDILVVGENRQTFDLEGDSGSLIILTSQDGEKPRPIGIIWGGTANRGRLKLTSDHGPENWTSGVDLGRLLDRLELDIIITNESLQDAVQQQRFALVAAVTSAVGESSGAPVAIPEEKVEEIFEPLGIQIQQLPRHDVAASGTEGEEASNTVVNVEEHQFISNFVGMSPVRDDQDAPRSITNLNNPSEEELAMSLHLGDREPKRLRSDSGSSLDLEK >ORUFI04G26870.1 pep chromosome:OR_W1943:4:26617066:26623070:1 gene:ORUFI04G26870 transcript:ORUFI04G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLEDLIRAIELWLRIAKEQVPLVDPTLDPVLLVPGIGGSILEAVDEAGKKERVWVRILAADHEFRAHLWSKFDASTGKTVSVDEKTNIVVPEDRYGLYAIDTLDPDMIIGDDSVCYYHDMIVQMIKWGYQEGKTLFGFGYDFRQSNRLSETLDRFSRKLESVYIASGEKKINLITHSMGGLLVKCFMSLHSDVFEKYIKSWIAIAAPFQGAPGYITTSLLNGMSFVEGWESRFFISKWSMQQLLLECPSIYELLANSTFQWEDTPYLQIWRQKLDTNGKKSAIWAKETQDVLCNAKLPKSVKFYNIYGTDYDTAHTVRYGSEHHPISNLSDLLYTQGNYICVDGDGSVPVESAKADGLDAVARVGVAADHRGIVCDRHVFRIIQHWLHAGEPDPFYDPLNDYVILPTAFEIEKYHEKHGDITSVREDWEIISHRDDESKRPAELPPMFNTLSASREGEDGSLEEAQATIFVHPESKGRQHVEVRAVGVTHDG >ORUFI04G26880.1 pep chromosome:OR_W1943:4:26622092:26623015:-1 gene:ORUFI04G26880 transcript:ORUFI04G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPMPVPATGDPRRARPRSHQPQPQQQPPPPPQDSQSRGDGFLKGCCAALCCCCLLDMCF >ORUFI04G26890.1 pep chromosome:OR_W1943:4:26628368:26632036:1 gene:ORUFI04G26890 transcript:ORUFI04G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILHNNGFCVSLIDINRTRMNKLVKVGSRPRQIAWGMSRICCEQSPGTSSKRYERYQRQPQNVDLPELLPKKKKKPFPVPIKKMLQASRQDKRLARMRIEKPLEPPKNGLLVPELIPVAYEVLDNWKVLIRGLSQLLNVGTVYGCRKCPQVHVGPVGHQIQDCYGTGSQRRNSHHSWVRGSVNDVLIPIESYHQFDPFGWRVKHETRFDYDRIPAIVELCIQAGVELPQYPSRRRTAPVRMIGKKVIDRGGFVDGPKPHRSEDCISLLAELDTFSNQQGQSSTPSNVEELAEKTLKAYLNVQRGVARLMRKYTVKTCGYCSEVHVGPWGHNVKLCGAFKHQWRDGKHGWQDAVVDDVIPPNYVWHVRDPTGPPLRSSLRSFYGKAPAVVELCVQAGAEIPEEYRPMMRADVVIPDSEEARLAA >ORUFI04G26890.2 pep chromosome:OR_W1943:4:26628402:26632036:1 gene:ORUFI04G26890 transcript:ORUFI04G26890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILHNNGFCVSLIDINRTRMNKLVKVGSRPRQIAWGMSRICCEQSPGTSSKRYERYQRQPQNVDLPELLPKKKKKPFPVPIKKMLQASRQDKRLARMRIEKPLEPPKNGLLVPELIPVAYEVLDNWKVLIRGLSQLLNVGTVYGCRKCPQVHVGPVGHQIQDCYGTGSQRRNSHHSWVRGSVNDVLIPIESYHQFDPFGWRVKHETRFDYDRIPAIVELCIQAGVELPQYPSRRRTAPVRMIGKKVIDRGGFVDGPKPHRSEDCISLLAELDTFSNQQGQSSTPSNVEELAEKTLKAYLNVQRGVARLMRKYTVKTCGYCSEVHVGPWGHNVKLCGAFKHQWRDGKHGWQDAVVDDVIPPNYVWHVRDPTGPPLRSSLRSFYGKAPAVVELCVQAGAEIPEEYRPMMRADVVIPDSEEARLAA >ORUFI04G26900.1 pep chromosome:OR_W1943:4:26633204:26634345:1 gene:ORUFI04G26900 transcript:ORUFI04G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEELKRVDLKVNVSCCEGCRRKVMKAISLKGVLRTEINPSLDKVTVVGDVDSRVLVKKLSKVGKIAEVMAPPPSSTAAPSEEGKKSDGNGGEKPTSPADEKSAARKDEGKDGKGDKSSSAAACKQECSKCTAGKEAADEAGRAGGKTASSKDTVTAKNSDDVDGDKSEPAAVAVEYQYHHHYSRPEPAMVVPVHLPYYAANATPYYAGGYYPIPPPMPAMLRHPPQLRPQPSRFDEDYFNEDNTVGCHVM >ORUFI04G26910.1 pep chromosome:OR_W1943:4:26642029:26652548:1 gene:ORUFI04G26910 transcript:ORUFI04G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHERGGGGRGRGRGRGGGRGGGGGDGRGGGYGGAGGGGVGGRGGRGPPGGGGGRGYEPGGGRGYGGGGGGGGRGYGGGGGGGGYESGGGRGYGGGGRGYESGGGRGPGGGGRGHESGGGGGRGGNVWAQPGRGRGGAPAPAPAPAPAQAPAPAARRIQDVGAARSSGSVERIASTEVVRVQPPAPPVSVSRSGTRVPMRRPDGGGSVSKDKVKLLVNHFIVKYRQASTVFHYDIDIKLDISSPKASDKELSKGDFLTVKDELFKDESFRRLSSAVAYDGKRNLFTCAELPDGLFRVKVRSRTYIVSVEFKKKLPLSQLSELPVPREVLQGLDVIVREASSWRKIIIGQGFYSQGRSVPIGPDVVALKGTQQTLKCTQKGLILCVDYSVMPFRKAGPVLDLVQKSVRYLDYRTTLNKHQLDTLKNELKGQRVTVNHRRTKQKYIVKGLTDKPASQITFVDSESGQTKKLLDYYSQQYGKVIEYQMLPCLDLSKSKDKQNYVPIELCDLLEGQRYPKASLNRNSDKTLKEMALIPASRRKEEILELVNADDGPCRGEIAQQFGISLDVQMMEVTGRTLPPPSLKLGTSSGQPCKFNIDQPNCQWNLMAKRLAEGRVLQCWGVVDFSANSGQHALNGNMFIDKIVRKCCDLGVQMNRNPCYVQLLDMEVLSDPHQLFEELNKAKQAAASKKQKLQLLFCPMSDQHPGYKTLKLICETQLGIQTQCFLSFLANKQQGQDQYMSNLALKINGKIGGSNIQLFGESLPRISGAPYMFIGADVNHPSPGNVESPSIAAVVASVDQGASKYVPRIRAQPHRCEVIQHLGDMCKELIGVFEKRNRVKPRRIIYFRDGVSDGQFDMVLNEELADMEKAIKTKDYSPTITVIVAKKRHHTRLFPKDLNQQQTKNGNVLPGTVVDTGVVDPAAYDFYLCSHNGLIGTSRPTHYYSLLDEHGFASDDLQKLVYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYYEGMMMSQPPPSSAASSSSASSSGAGASDFRSFPALHEDLVDNISRRRWPVAVAATPGEATTAATGTREAEEGKGVLTGEETGSAVEEETGLAEDAFPVEEESSLAEAAMFWEEAKAVGGGLLLVVVVLVEEGPSSAVGAELAALGEWGERPCAVGDGARATTETSTTTSASVTTTATTTTSASVTTTTTTSASSEGDPGRGILEFVVVQRIPYGEDEKEEPPATPIASSNKNKREEPPTKHRPMARPPGGGGPLSKGEVKLLVNHFSVDYPKESTFFHYEIRIKLGDGPNRKLSKAELLTVKNELFEHESLQELSSAVAYDGERNLYTCAELPEDCIVPVSKFRVKDSSRTYIVSVKLKKPLPLSQLLEQRPGPRDVMQGLDVIVREASSFGKIVLGQGFYPQSGSEAISDSNIVALKGTQQSLKCTQKGLILCVDYSVLPCWKAGSVLDLVKTMKFMEYPLLEDQLKKLNNALKGLCVTVSHRKTEEKYTVKGLTDKPADQITFKDSKSGQTTKLIEYYKETYKKEIEHPMLPCLDLSKSKSKQNYVPIEFCNIPEGERYPVARLDDKKSDNKGEQEKPSTKTTLRKISIKVASSRKEEILDLVGNAQDGPCRGKIAQRFRISLDAAMMEVTGDLESKFIDKVVRKCSALGMVMTRKPCYEHVSNMEVLSDPKSLRDALIEAKRAAEEEDKKLQLLFCPMLNRCHGYKTLKLMCETELGIQTQCFLSTAAKLDEKRQDQYITNLALKINGKIGGSNMQLDPDSIPVVSAKDFMFIGADVNHPPPGNVSKDIPSIAAVVASVDKGASKYVTRIRAQYHRCEMIQNLGDICKELIGAYEKVNKKKPDSIIYFRDGVSDGQFDMVLNEELADMENKIMVGDYPKITVIVAKKRHHTRLFPKDRNQRQTKNGNVLPGTVVDTDVVDPTAYDFYLCSHKGEVGTSRPTHYYSLLDEHGFASDDLQKLVYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYYEGMMMLQPAASAASASEAMMPAAQPQAAAAAAAAASPSSSAASSSEGMTASQPQAPAAEAASSSAGAADFRELPPMHGDLLNNMFFL >ORUFI04G26910.2 pep chromosome:OR_W1943:4:26642029:26652548:1 gene:ORUFI04G26910 transcript:ORUFI04G26910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHERGGGGRGRGRGRGGGRGGGGGDGRGGGYGGAGGGGVGGRGGRGPPGGGGGRGYEPGGGRGYGGGGGGGGRGYGGGGGGGGYESGGGRGYGGGGRGYESGGGRGPGGGGRGHESGGGGGRGGNVWAQPGRGRGGAPAPAPAPAPAQAPAPAARRIQDVGAARSSGSVERIASTEVVRVQPPAPPVSVSRSGTRVPMRRPDGGGSVSKDKVKLLVNHFIVKYRQASTVFHYDIDIKLDISSPKASDKELSKGDFLTVKDELFKDESFRRLSSAVAYDGKRNLFTCAELPDGLFRVKVRSRTYIVSVEFKKKLPLSQLSELPVPREVLQGLDVIVREASSWRKIIIGQGFYSQGRSVPIGPDVVALKGTQQTLKCTQKGLILCVDYSVMPFRKAGPVLDLVQKSVRYLDYRTTLNKHQLDTLKNELKGQRVTVNHRRTKQKYIVKGLTDKPASQITFVDSESGQTKKLLDYYSQQYGKVIEYQMLPCLDLSKSKDKQNYVPIELCDLLEGQRYPKASLNRNSDKTLKEMALIPASRRKEEILELVNADDGPCRGEIAQQFGISLDVQMMEVTGRTLPPPSLKLGTSSGQPCKFNIDQPNCQWNLMAKRLAEGRVLQCWGVVDFSANSGQHALNGNMFIDKIVRKCCDLGVQMNRNPCYVQLLDMEVLSDPHQLFEELNKAKQAAASKKQKLQLLFCPMSDQHPGYKTLKLICETQLGIQTQCFLSFLANKQQGQDQYMSNLALKINGKIGGSNIQLFGESLPRISGAPYMFIGADVNHPSPGNVESPSIAAVVASVDQGASKYVPRIRAQPHRCEVIQHLGDMCKELIGVFEKRNRVKPRRIIYFRDGVSDGQFDMVLNEELADMEKAIKTKDYSPTITVIVAKKRHHTRLFPKDLNQQQTKNGNVLPGTVVDTGVVDPAAYDFYLCSHNGLIGTSRPTHYYSLLDEHGFASDDLQKLVYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYYEGMMMSQPPPSSAASSSSASSSGAGASDFRSFPALHEDLVDNISRRRWPVAVAATPGEATTAATGTREAEEGKGVLTGEETGSAVEEETGLAEDAFPVEEESSLAEAAMFWEEAKAVGGGLLLVVVVLVEEGPSSAVGAELAALGEWGERPCAVGDGARATTETSTTTSASVTTTATTTTSASVTTTTTTSASSEGDPGRGILEFVVVQRIPYGEDEKEEPPATPIASSNKNKREEPPTKHRPMARPPGGGGPLSKGEVKLLVNHFSVDYPKESTFFHYEIRIKLGDGPNRKLSKAELLTVKNELFEHESLQELSSAVAYDGERNLYTCAELPEDCIVPVSKFRVKDSSRTYIVSVKLKKPLPLSQLLEQRPGPRDVMQGLDVIVREASSFGKIVLGQGFYPQSGSEAISDSNIVALKGTQQSLKCTQKGLILCVDYSVLPCWKAGSVLDLVKTMKFMEYPLLEDQLKKLNNALKGLCVTVSHRKTEEKYTVKGLTDKPADQITFKDSKSGQTTKLIEYYKETYKKEIEHPMLPCLDLSKSKSKQNYVPIEFCNIPEGERYPVARLDDKKSDNKGEQEKPSTKTTLRKISIKVASSRKEEILDLVGNAQDGPCRGKIAQRFRISLDAAMMEVTGRILAPPTLELGTGTSRGQTFKFTIHQDDCQWNWKLKKYDKRVVAHGGTLNCWGVVDFSEGDLESKFIDKVVRKCSALGMVMTRKPCYEHVSNMEVLSDPKSLRDALIEAKRAAEEEDKKLQLLFCPMLNRCHGYKTLKLMCETELGIQTQCFLSTAAKLDEKRQDQYITNLALKINGKIGGSNMQLDPDSIPVVSAKDFMFIGADVNHPPPGNVSKDIPSIAAVVASVDKGASKYVTRIRAQYHRCEMIQNLGDICKELIGAYEKVNKKKPDSIIYFRDGVSDGQFDMVLNEELADMENKIMVGDYPKITVIVAKKRHHTRLFPKDRNQRQTKNGNVLPGTVVDTDVVDPTAYDFYLCSHKGEVGTSRPTHYYSLLDEHGFASDDLQKLVYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYYEGMMMLQPAASAASASEAMMPAAQPQAAAAAAAAASPSSSAASSSEGMTASQPQAPAAEAASSSAGAADFRELPPMHGDLLNNMFFL >ORUFI04G26920.1 pep chromosome:OR_W1943:4:26647643:26648503:-1 gene:ORUFI04G26920 transcript:ORUFI04G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNVASDSHSRKNNTRLMRGTYQRTTTNSRIPRPGSPSLLALVVVVVVTLALVVVVAVVVTLALVVVLVSVVARAPSPTAHGRSPHSPTPGSRSRQAPTRRQFRSYCRARTLLDQDHHHQQQSPAHRLGLLPEHRRLREARLLFHRESVLREARFFLHRAARLFPG >ORUFI04G26930.1 pep chromosome:OR_W1943:4:26652568:26685295:1 gene:ORUFI04G26930 transcript:ORUFI04G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRCQIPESASPPDLPKPEFAQNGLEAVDALDRSITYSLFLLPKSASDETAFVTGGLQLDEVGEVPELFWDRADHIQRMINSRQFVEHLARARQERDGDTYSLVIRQTVLFWKVQSHLMAGLKLGLSSIGVPSVAAPVQGSPLMFHVAVDNDACCPSFANTAFSAAAANAKHQPTTL >ORUFI04G26940.1 pep chromosome:OR_W1943:4:26653633:26657472:-1 gene:ORUFI04G26940 transcript:ORUFI04G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTSGDPTAAAGEPTSSSSASAQPRQPASRISHIVRTYLDLSSNPKKRRAAPKSHPKPAAAAAGQGAPDAGDGKDGGGKAAATPSSAAQPTTTRLLRELGVRVSRYTHEERRDIVLRYMQKRSGRQGVKRATAKAPSRQALAERRRRGARGQFLGKEGAKKSTGKKKTEVYRHKSAVVTNKMQKTRKDTRHPDEAATGTRDGELNMTPDNRQSEARNSPNQFLPDQLMQGHYILGQSYGLGTSENLHNNLNQFGQASSLPTMQQQAFPGNNQLTQGYPSDMHALQFVGANPQMEHQNGDQGQSSIPVWDFL >ORUFI04G26950.1 pep chromosome:OR_W1943:4:26658902:26661598:-1 gene:ORUFI04G26950 transcript:ORUFI04G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEAAAGVVDFHLPDEILAVIPTDPYEQLDVARKITSMAIASRVSRLEADAARLRRDLADRDRAEADLRARLADSDARLLAALDENAKLAKERDSLASTAKKMARNLAKLEAFKKQLMKSLSEDNLLQLSEIGDDRDFDANNNLTARVPSWKDEVSSSRTSADSSSRSTMTESAQEHQFSVTPYTAPKLTPGSTPKFLSGPTSPTKSLSEVHSTFSSWHGSSSHQYSAPTSPPQHRSFAGRPRIDGKEFFRQARTRLSYEQFGAFLANIKEFNAQKQSREDTLSKAEEIFGTEHKDLYISFQNMLNRNHS >ORUFI04G26950.2 pep chromosome:OR_W1943:4:26658793:26661598:-1 gene:ORUFI04G26950 transcript:ORUFI04G26950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEAAAGVVDFHLPDEILAVIPTDPYEQLDVARKITSMAIASRVSRLEADAARLRRDLADRDRAEADLRARLADSDARLLAALDENAKLAKERDSLASTAKKMARNLAKLEAFKKQLMKSLSEDNLLQLSEIGDDRDFDANNNLTARVPSWKDEVSSSRTSADSSSRSTMTESAQEHQFSVTPYTAPKLTPGSTPKFLSGPTSPTKSLSEVHSTFSSWHGSSSHQYSAPTSPPQHRSFAGRPRIDGKEFFRQARTRLSYEQFGAFLANIKEFNAQKQSREVAYCPFIIVDFSVGYFLRKYVNMIFLLYLMNLRRTLSQRQKRFLEQNIKICTFLSRICLTATILECLSQASVYFCLLNKQVVLLPNSDFSVKAAFHVLMD >ORUFI04G26960.1 pep chromosome:OR_W1943:4:26663499:26665831:-1 gene:ORUFI04G26960 transcript:ORUFI04G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVEQAHELYRGGRHRDALELYTAALAAARGPAQRIALHSNRAACYLKLHDFHKAAEECTSVLELDREHAGALMLRAQTLVTLKDYQSALFDVNRLIEINPSSEVYRNLHARLKTQLALAPIPESEEESLYLEEDKQELPPERNVNIEICITKSDKPATEMILKKKPTTEPIVENKPATELILEKKPATELILEKKHATEPPKVEVPPSLPQKPQGWETIAKPKGHSGLDYSKWDKVEDDSSEDEDEEEEELPQYKFKVRTVAGSPELRGASPVTQIHNSLVPSCEQKFRFQDLKPGWQIPKSELSRLEEMREGLQ >ORUFI04G26970.1 pep chromosome:OR_W1943:4:26667132:26716529:-1 gene:ORUFI04G26970 transcript:ORUFI04G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLLLLLAAVAQAQKAPTATTDRIEAEALKAVFEKLDQKAEWNTTGDPCSGAATDSTDINDSSINPAIKCDCSDQNNTVCHITGLKIYDKDATGQIPGELRNLTHLTHLYELSDFLRGVAVLQLPRHCSNIEHGTIPSFIGELAAMQYMTFGINALSGSIPKELGNLTNLVSLGFSSNNFSGSLPSELGSLFKLEELYIDSAGLSGELPSSLSKLTRMKILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPLPANLSNLVQLTNLRIGDIASGSSSSLAFISNMTSLNTLILRNCMISDSLALIDFSKFATASFAVDCGSTRFISGSRNSSYQADATNLGAASYHVTEPLTWGISNVGKFMDTPNGTTIINNARQFQATLDSELFQTARMSPSSLRYYGIGLQNGNYTVSLQFAEFGFEDTESWKSRGRRVFDIYVQGERKEKDFDIKKEAGGKSYTAVKKDYIVSVTKNFVEIHLFWAGKGTCCIPTQGYYGPTISALSLSPNFTPTIGNVAEQNSSTSKTVVIVAVAIGVTILGLVALVGIFLWRKKRRKLSLEQQELYSIVGRPNIFSYGELRSATENFSSSNRLGEGGYGAVYKLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLHIGWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKMTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDVLEEDKIYIFEWVWRLYESERALDIVDPNLTEFNSEEVLRAIHVALLCTQGSPHRRPSMSRVVAMLTGDAEVGEVAAKPSYITEWQIKGGGTTTTTTGSSSTSSSAANGQWSSAPPPPRATSSPKMSSPFLSSVVDEGSCLEEPCVGGDRERRLTAQLRREREMRRFIRSRVRRQIHLHGSVLLIILLLLAAAVAQAQQAPTTRTDPTEAAALNAVFAKLGQKAQPSWNITGDPCTGRATDGSSTEDDSFNPAITCDCTDQNGTVCHITKLKIYAMDASGPIPEELRNLTRLTNLYELSAFFHGMVMIQSIANLKRSSFAIPELRTKYFDWAYSIIHRGVDSYAVHILLFSNHLTFSPLDIRNFGINALSGSIPKEFGNLTNLISLGLGSNNFSGPLPSELGNLDKLTGLYIDSAGLSGELPSSFSKLTKVEKLWASDNNFTGKIPDYIGSWNLTDLRFQGNSFQGSIPATLSKLVQLTNLRIGDIENGSSSLAFISNMTSLSILDFSYNQLSGNFPSWANEKNLQLNLVANNFVLDNSNNSVLPSGLECLQRNTPCFLAASFAVNCGSNRSISGSDNYVYQADGVSLGAAQYYVTGETKWGVSNVGKFMDAPSNGIYIFNSSRQFQSTLDPELFQTARLSPSSLRYYGIGLENGNYTVTLQFAEIEFEDTKSWKSLGRRVFDIYIQGERKERNFDIRKATGGKSYTAVKKQYLIPVTKNFVEIHLFWAGKGTCCIPAQGYYGPSISALSLKPTLAAIFLWMQKRRKLSLEQQELYCIVGRPNVFSYGQLRSATENFNFSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSNQGKQQFATEIETISRVQHRNLVKLYGCCLEGKHPLLVYEYLENGSLDKALFGTEKLNIDWPARFEICLGIARGLAYLHEESSIRVIHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGRMTEKVDVFAFGVVLLEILAGRPNYDDALEEDKIYIFEWAWDLYENNNPLGLVDPKLEEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVTMLAGDVEAPEVVTKPSYITEWQLKGGDTSYLDSELAVELGAGRTGLAADLVAVLELRRLGWRLHCRHRLAAHRFSSPGRGGEVSRRKMGWRMAVSRLLHGCVLVLLLAAAVVQAQQAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGQIPEELRNLTRLTDLTFGINSLSGPIPKELGNLTNLISLGLGSNHFNGSLPTELGNLIKLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLILRNCRISDDLASLDFSKFATSSFAVNSGSNRFISGSDNLRYETDGVNLRAASYYVTGAPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVMVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPERFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVSMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSASEQQGSSLFLNSVIPEGRGQMVCETVTSLLPETEKRGRRRAEGSRRETMRVMSHLLLHGGVLLLLLAAAAVQAQRVATKTDPTEAAALNAVFAKLGQQASLSTATWNISGDPCTGAATDGTPIDDNPNFNPAIKCDCTFQNNTVCRITKLKIYALDVPGTIPQELRNLTRLTHLNLGQNTLTGPLPSFIGELTNMQNMGLGSNRFNGSLPSELGNLDKLQELYIDSAGLSGPLPSSFSKLTRMQTLWASDNDFTGQIPDYIGNWNLTDLRFQGNSFQGPIPSALSNLVQLSSLRIGDIENGSSSSLAFIGNMTSLSILILRNCKISDNLASIDFSKFASLNLLDLSFNNITGQVPTALLGLNLLNSLKPSKLKRTFTFNFEIFLHGLVGKIYNLSYLQGLHAFKEIRHVFLVLHTSSFAVDCGSNRLISASDNLRYQTDDASLGPASYSVTGAPTWGVSNVGKFVDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQTWKSLGRRVFDIYLQGERQEKNFDIRKAAGDKSYTVVKKSYKVPVTKNFLEIHLFWAGKGTCCIPGQGYYGPTISALSVTPAVLGLVALVGIFMWRQKRRKLTLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLNDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGIEKLNIDWPARFDICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGIVDPNLREFNRAEVLRAIHVALLCTQGSPHQRPPMSRVVSMLTGDTEVTDVLMKPSYITEWQIKGGNTSFANSAVRGQSSSAPGSTSQQASSVFLNSIIQEGRLSYCCYLHGCLCVLVLLLCSWRAADAQAQQPPPHTDPTEAAALNAMMARLGLSAPPSWNISGDPCSGAATDDTPLDDNPAFNPAIKDFRKNYFTGPLPAFIGELTALKYITVGINALSGPIPKELGNLTNLVSLALGSNNFNGSLPDELGKLTKLQQLYIDSNDFSGPLPTTLSQLTNLSTLWALDNNFTGQIPDYLGSLTNLTQLRLQGNSFQGPIPRSLYNLVKLRSFVLRNSRISDSLASVDFSKFGSLNLLDLSFNNITGQIPPSIVNLPSLTFLNLVANDFVIDGTDMSGLPWGLNCLQRNTPCFLAASFAVDCGGSRNISGSDNAMYQADNANLGAASYYVAGTPTWGVSTTGRFMDPPNGSYIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDVQSWRSRGRRIFDIYIQVACKLTISLGERKEQNFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPHQGYYGPAISALSATPNFIPTVRSPADNKSRSKIAVIIVVMVGVAVFALAALAGHFIWRQKKRKILLELEELYNIVGRPNVFSYNELRSATENFSSSNLLGEGGYGLVHKGRLSDGRAVAVKQLSQSSNQGKKQFATEIETISRVQHCNLVTLYGCCLESNTPLLVYEYLENGSLDQALFGKGSLNLDWPTRFEICLGLARGIAYLHEDSTVRIVHRDIKASNILGLPKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALETVAGESNYQNTLEEDRAYIFERVWELYENGHPLDFVDPKLSEFNSEEVIRVIRVALLCTQGSPHKRPPMSKVVSMLTGDADITEDAAKPSYITEWQIKVGSCHHTGSSQVGSASTPPSSGDGGAGQASSQGAGEGSPLTPSPLFTSIIDEGR >ORUFI04G26970.10 pep chromosome:OR_W1943:4:26688611:26716529:-1 gene:ORUFI04G26970 transcript:ORUFI04G26970.10 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLLLLLAAVAQAQKAPTATTDRIEAEALKAVFEKLDQKAEWNTTGDPCSGAATDSTDINDSSINPAIKCDCSDQNNTVCHITGLKSHILSLEHTRTFGINALSGSIPKELGNLTNLVSLYIDSAGLSGELPSSLSKLTRMKILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPLPANLSNLVQLTNLILRNCMISDSLALIDFSKFATASFAVDCGSTRFISGSRNSSYQADATNLGAASYHVTEPLTWGISNVGKFMDTPNGTTIINNARQFQATLDSELFQTARMSPSSLRYYGIGLQNGNYTVSLQFAEFGFEDTESWKSRGRRVFDIYVQGERKEKDFDIKKEAGGKSYTAVKKDYIVSVTKNFVEIHLFWAGKGTCCIPTQGYYGPTISALSLSPNFTPTIGNVAEQNSSTSKTVVIVAVAIGVTILGLVALVGIFLWRKKRRKLSLEQQELYSIVGRPNIFSYGELRSATENFSSSNRLGEGGYGAVYKLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLHIGWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKMTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDVLEEDKIYIFEWVWRLYESERALDIVDPNLTEFNSEEVLRAIHVALLCTQGSPHRRPSMSRVVAMLTGDAEVGEVAAKPSYITEWQIKGGGTTTTTTGSSSTSSSAANGQWSSAPPPPRATSSPKMSSPFLSSVVDEGSCLEEPCVGGDRERRLTAQLRREREMRRFIRSRVRRQIHLHGSVLLIILLLLAAAVAQAQQAPTTRTDPTEAAALNAVFAKLGQKAQPSWNITGDPCTGRATDGSSTEDDSFNPAITCDCTDQNGTVCHITKLKIYAMDASGPIPEELRNLTRLTNLYELSAFFHGMVMIQSIANLKRSSFAIPELRTKYFDWAYSIIHRGVDSYAVHILLFSNHLTFSPLDIRNFGINALSGSIPKEFGNLTNLISLGLGSNNFSGPLPSELGNLDKLTGLWASDNNFTGKIPDYIGSWNLTDLRFQGNSFQGSIPATLSKLVQLTNLRIGDIENGSSSLAFISNMTSLSILDFSYNQLSGNFPSWANEKNLQLNLVANNFVLDNSNNSVLPSGLECLQRNTPCFLAASFAVNCGSNRSISGSDNYVYQADGVSLGAAQYYVTGETKWGVSNVGKFMDAPSNGIYIFNSSRQFQSTLDPELFQTARLSPSSLRYYGIGLENGNYTVTLQFAEIEFEDTKSWKSLGRRVFDIYIQGERKERNFDIRKATGGKSYTAVKKQYLIPVTKNFVEIHLFWAGKGTCCIPAQGYYGPSISALSLKPTLAAIFLWMQKRRKLSLEQQELYCIVGRPNVFSYGQLRSATENFNFSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSNQGKQQFATEIETISRVQHRNLVKLYGCCLEGKHPLLVYEYLENGSLDKALFGTEKLNIDWPARFEICLGIARGLAYLHEESSIRVIHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGRMTEKVDVFAFGVVLLEILAGRPNYDDALEEDKIYIFEWAWDLYENNNPLGLVDPKLEEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVTMLAGDVEAPEVVTKPSYITEWQLKGGDTSYLDSELAVELGAGRTGLAADLVAVLELRRLGWRLHCRHRLAAHRFSSPGRGGEVSRRKMGWRMAVSRLLHGCVLVLLLAAAVVQAQQAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGQIPEELRNLTRLTDLTFGINSLSGPIPKELGNLTNLISLGLGSNHFNGSLPTELGNLIKLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLILRNCRISDDLASLDFSKFATSSFAVNSGSNRFISGSDNLRYETDGVNLRAASYYVTGAPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVMVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPERFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVSMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSASEQQGSSLFLNSVIPEGR >ORUFI04G26970.2 pep chromosome:OR_W1943:4:26667132:26716529:-1 gene:ORUFI04G26970 transcript:ORUFI04G26970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLLLLLAAVAQAQKAPTATTDRIEAEALKAVFEKLDQKAEWNTTGDPCSGAATDSTDINDSSINPAIKCDCSDQNNTVCHITGLKIYDKDATGQIPGELRNLTHLTHLTFGINALSGSIPKELGNLTNLVSLGFSSNNFSGSLPSELGSLFKLEELYIDSAGLSGELPSSLSKLTRMKILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPLPANLSNLVQLTNLRIGDIASGSSSSLAFISNMTSLNTLILRNCMISDSLALIDFSKFATASFAVDCGSTRFISGSRNSSYQADATNLGAASYHVTEPLTWGISNVGKFMDTPNGTTIINNARQFQATLDSELFQTARMSPSSLRYYGIGLQNGNYTVSLQFAEFGFEDTESWKSRGRRVFDIYVQGERKEKDFDIKKEAGGKSYTAVKKDYIVSVTKNFVEIHLFWAGKGTCCIPTQGYYGPTISALSLSPNFTPTIGNVAEQNSSTSKTVVIVAVAIGVTILGLVALVGIFLWRKKRRKLSLEQQELYSIVGRPNIFSYGELRSATENFSSSNRLGEGGYGAVYKLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLHIGWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKMTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDVLEEDKIYIFEWVWRLYESERALDIVDPNLTEFNSEEVLRAIHVALLCTQGSPHRRPSMSRVVAMLTGDAEVGEVAAKPSYITEWQIKGGGTTTTTTGSSSTSSSAANGQWSSAPPPPRATSSPKMSSPFLSSVVDEGSCLEEPCVGGDRERRLTAQLRREREMRRFIRSRVRRQIHLHGSVLLIILLLLAAAVAQAQQAPTTRTDPTEAAALNAVFAKLGQKAQPSWNITGDPCTGRATDGSSTEDDSFNPAITCDCTDQNGTVCHITKLKIYAMDASGPIPEELRNLTRLTNLYELSAFFHGMVMIQSIANLKRSSFAIPELRTKYFDWAYSIIHRGVDSYAVHILLFSNHLTFSPLDIRNFGINALSGSIPKEFGNLTNLISLGLGSNNFSGPLPSELGNLDKLTGLYIDSAGLSGELPSSFSKLTKVEKLWASDNNFTGKIPDYIGSWNLTDLRFQGNSFQGSIPATLSKLVQLTNLRIGDIENGSSSLAFISNMTSLSILDFSYNQLSGNFPSWANEKNLQLNLVANNFVLDNSNNSVLPSGLECLQRNTPCFLAASFAVNCGSNRSISGSDNYVYQADGVSLGAAQYYVTGETKWGVSNVGKFMDAPSNGIYIFNSSRQFQSTLDPELFQTARLSPSSLRYYGIGLENGNYTVTLQFAEIEFEDTKSWKSLGRRVFDIYIQGERKERNFDIRKATGGKSYTAVKKQYLIPVTKNFVEIHLFWAGKGTCCIPAQGYYGPSISALSLKPTLAAIFLWMQKRRKLSLEQQELYCIVGRPNVFSYGQLRSATENFNFSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSNQGKQQFATEIETISRVQHRNLVKLYGCCLEGKHPLLVYEYLENGSLDKALFGTEKLNIDWPARFEICLGIARGLAYLHEESSIRVIHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGRMTEKVDVFAFGVVLLEILAGRPNYDDALEEDKIYIFEWAWDLYENNNPLGLVDPKLEEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVTMLAGDVEAPEVVTKPSYITEWQLKGGDTSYLDSELAVELGAGRTGLAADLVAVLELRRLGWRLHCRHRLAAHRFSSPGRGGEVSRRKMGWRMAVSRLLHGCVLVLLLAAAVVQAQQAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGQIPEELRNLTRLTDLTFGINSLSGPIPKELGNLTNLISLGLGSNHFNGSLPTELGNLIKLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLILRNCRISDDLASLDFSKFATSSFAVNSGSNRFISGSDNLRYETDGVNLRAASYYVTGAPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVMVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPERFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVSMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSASEQQGSSLFLNSVIPEGRGQMVCETVTSLLPETEKRGRRRAEGSRRETMRVMSHLLLHGGVLLLLLAAAAVQAQRVATKTDPTEAAALNAVFAKLGQQASLSTATWNISGDPCTGAATDGTPIDDNPNFNPAIKCDCTFQNNTVCRITKLKIYALDVPGTIPQELRNLTRLTHLNLGQNTLTGPLPSFIGELTNMQNMGLGSNRFNGSLPSELGNLDKLQELYIDSAGLSGPLPSSFSKLTRMQTLWASDNDFTGQIPDYIGNWNLTDLRFQGNSFQGPIPSALSNLVQLSSLRIGDIENGSSSSLAFIGNMTSLSILILRNCKISDNLASIDFSKFASLNLLDLSFNNITGQVPTALLGLNLLNSLKPSKLKRTFTFNFEIFLHGLVGKIYNLSYLQGLHAFKEIRHVFLVLHTSSFAVDCGSNRLISASDNLRYQTDDASLGPASYSVTGAPTWGVSNVGKFVDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQTWKSLGRRVFDIYLQGERQEKNFDIRKAAGDKSYTVVKKSYKVPVTKNFLEIHLFWAGKGTCCIPGQGYYGPTISALSVTPAVLGLVALVGIFMWRQKRRKLTLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLNDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGIEKLNIDWPARFDICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGIVDPNLREFNRAEVLRAIHVALLCTQGSPHQRPPMSRVVSMLTGDTEVTDVLMKPSYITEWQIKGGNTSFANSAVRGQSSSAPGSTSQQASSVFLNSIIQEGRLSYCCYLHGCLCVLVLLLCSWRAADAQAQQPPPHTDPTEAAALNAMMARLGLSAPPSWNISGDPCSGAATDDTPLDDNPAFNPAIKDFRKNYFTGPLPAFIGELTALKYITVGINALSGPIPKELGNLTNLVSLALGSNNFNGSLPDELGKLTKLQQLYIDSNDFSGPLPTTLSQLTNLSTLWALDNNFTGQIPDYLGSLTNLTQLRLQGNSFQGPIPRSLYNLVKLRSFVLRNSRISDSLASVDFSKFGSLNLLDLSFNNITGQIPPSIVNLPSLTFLNLVANDFVIDGTDMSGLPWGLNCLQRNTPCFLAASFAVDCGGSRNISGSDNAMYQADNANLGAASYYVAGTPTWGVSTTGRFMDPPNGSYIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDVQSWRSRGRRIFDIYIQVACKLTISLGERKEQNFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPHQGYYGPAISALSATPNFIPTVRSPADNKSRSKIAVIIVVMVGVAVFALAALAGHFIWRQKKRKILLELEELYNIVGRPNVFSYNELRSATENFSSSNLLGEGGYGLVHKGRLSDGRAVAVKQLSQSSNQGKKQFATEIETISRVQHCNLVTLYGCCLESNTPLLVYEYLENGSLDQALFGKGSLNLDWPTRFEICLGLARGIAYLHEDSTVRIVHRDIKASNILGLPKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALETVAGESNYQNTLEEDRAYIFERVWELYENGHPLDFVDPKLSEFNSEEVIRVIRVALLCTQGSPHKRPPMSKVVSMLTGDADITEDAAKPSYITEWQIKVGSCHHTGSSQVGSASTPPSSGDGGAGQASSQGAGEGSPLTPSPLFTSIIDEGR >ORUFI04G26970.3 pep chromosome:OR_W1943:4:26667132:26716529:-1 gene:ORUFI04G26970 transcript:ORUFI04G26970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLLLLLAAVAQAQKAPTATTDRIEAEALKAVFEKLDQKAEWNTTGDPCSGAATDSTDINDSSINPAIKCDCSDQNNTVCHITGLKIYDKDATGQIPGELRNLTHLTHLKSHILSLEHTRTFGINALSGSIPKELGNLTNLVSLYIDSAGLSGELPSSLSKLTRMKILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPLPANLSNLVQLTNLRIGDIASGSSSSLAFISNMTSLNTLILRNCMISDSLALIDFSKFATASFAVDCGSTRFISGSRNSSYQADATNLGAASYHVTEPLTWGISNVGKFMDTPNGTTIINNARQFQATLDSELFQTARMSPSSLRYYGIGLQNGNYTVSLQFAEFGFEDTESWKSRGRRVFDIYVQGERKEKDFDIKKEAGGKSYTAVKKDYIVSVTKNFVEIHLFWAGKGTCCIPTQGYYGPTISALSLSPNFTPTIGNVAEQNSSTSKTVVIVAVAIGVTILGLVALVGIFLWRKKRRKLSLEQQELYSIVGRPNIFSYGELRSATENFSSSNRLGEGGYGAVYKLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLHIGWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKMTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDVLEEDKIYIFEWVWRLYESERALDIVDPNLTEFNSEEVLRAIHVALLCTQGSPHRRPSMSRVVAMLTGDAEVGEVAAKPSYITEWQIKGGGTTTTTTGSSSTSSSAANGQWSSAPPPPRATSSPKMSSPFLSSVVDEGSCLEEPCVGGDRERRLTAQLRREREMRRFIRSRVRRQIHLHGSVLLIILLLLAAAVAQAQQAPTTRTDPTEAAALNAVFAKLGQKAQPSWNITGDPCTGRATDGSSTEDDSFNPAITCDCTDQNGTVCHITKLKIYAMDASGPIPEELRNLTRLTNLYELSAFFHGMVMIQSIANLKRSSFAIPELRTKYFDWAYSIIHRGVDSYAVHILLFSNHLTFSPLDIRNFGINALSGSIPKEFGNLTNLISLGLGSNNFSGPLPSELGNLDKLTGLYIDSAGLSGELPSSFSKLTKVEKLWASDNNFTGKIPDYIGSWNLTDLRFQGNSFQGSIPATLSKLVQLTNLRIGDIENGSSSLAFISNMTSLSILDFSYNQLSGNFPSWANEKNLQLNLVANNFVLDNSNNSVLPSGLECLQRNTPCFLAASFAVNCGSNRSISGSDNYVYQADGVSLGAAQYYVTGETKWGVSNVGKFMDAPSNGIYIFNSSRQFQSTLDPELFQTARLSPSSLRYYGIGLENGNYTVTLQFAEIEFEDTKSWKSLGRRVFDIYIQGERKERNFDIRKATGGKSYTAVKKQYLIPVTKNFVEIHLFWAGKGTCCIPAQGYYGPSISALSLKPTLAAIFLWMQKRRKLSLEQQELYCIVGRPNVFSYGQLRSATENFNFSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSNQGKQQFATEIETISRVQHRNLVKLYGCCLEGKHPLLVYEYLENGSLDKALFGTEKLNIDWPARFEICLGIARGLAYLHEESSIRVIHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGRMTEKVDVFAFGVVLLEILAGRPNYDDALEEDKIYIFEWAWDLYENNNPLGLVDPKLEEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVTMLAGDVEAPEVVTKPSYITEWQLKGGDTSYLDSELAVELGAGRTGLAADLVAVLELRRLGWRLHCRHRLAAHRFSSPGRGGEVSRRKMGWRMAVSRLLHGCVLVLLLAAAVVQAQQAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGQIPEELRNLTRLTDLTFGINSLSGPIPKELGNLTNLISLGLGSNHFNGSLPTELGNLIKLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLILRNCRISDDLASLDFSKFATSSFAVNSGSNRFISGSDNLRYETDGVNLRAASYYVTGAPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVMVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPERFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVSMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSASEQQGSSLFLNSVIPEGRGQMVCETVTSLLPETEKRGRRRAEGSRRETMRVMSHLLLHGGVLLLLLAAAAVQAQRVATKTDPTEAAALNAVFAKLGQQASLSTATWNISGDPCTGAATDGTPIDDNPNFNPAIKCDCTFQNNTVCRITKLKIYALDVPGTIPQELRNLTRLTHLNLGQNTLTGPLPSFIGELTNMQNMGLGSNRFNGSLPSELGNLDKLQELYIDSAGLSGPLPSSFSKLTRMQTLWASDNDFTGQIPDYIGNWNLTDLRFQGNSFQGPIPSALSNLVQLSSLRIGDIENGSSSSLAFIGNMTSLSILILRNCKISDNLASIDFSKFASLNLLDLSFNNITGQVPTALLGLNLLNSLKPSKLKRTFTFNFEIFLHGLVGKIYNLSYLQGLHAFKEIRHVFLVLHTSSFAVDCGSNRLISASDNLRYQTDDASLGPASYSVTGAPTWGVSNVGKFVDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQTWKSLGRRVFDIYLQGERQEKNFDIRKAAGDKSYTVVKKSYKVPVTKNFLEIHLFWAGKGTCCIPGQGYYGPTISALSVTPAVLGLVALVGIFMWRQKRRKLTLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLNDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGIEKLNIDWPARFDICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGIVDPNLREFNRAEVLRAIHVALLCTQGSPHQRPPMSRVVSMLTGDTEVTDVLMKPSYITEWQIKGGNTSFANSAVRGQSSSAPGSTSQQASSVFLNSIIQEGRLSYCCYLHGCLCVLVLLLCSWRAADAQAQQPPPHTDPTEAAALNAMMARLGLSAPPSWNISGDPCSGAATDDTPLDDNPAFNPAIKDFRKNYFTGPLPAFIGELTALKYITVGINALSGPIPKELGNLTNLVSLALGSNNFNGSLPDELGKLTKLQQLYIDSNDFSGPLPTTLSQLTNLSTLWALDNNFTGQIPDYLGSLTNLTQLRLQGNSFQGPIPRSLYNLVKLRSFVLRNSRISDSLASVDFSKFGSLNLLDLSFNNITGQIPPSIVNLPSLTFLNLVANDFVIDGTDMSGLPWGLNCLQRNTPCFLAASFAVDCGGSRNISGSDNAMYQADNANLGAASYYVAGTPTWGVSTTGRFMDPPNGSYIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDVQSWRSRGRRIFDIYIQVACKLTISLGERKEQNFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPHQGYYGPAISALSATPNFIPTVRSPADNKSRSKIAVIIVVMVGVAVFALAALAGHFIWRQKKRKILLELEELYNIVGRPNVFSYNELRSATENFSSSNLLGEGGYGLVHKGRLSDGRAVAVKQLSQSSNQGKKQFATEIETISRVQHCNLVTLYGCCLESNTPLLVYEYLENGSLDQALFGKGSLNLDWPTRFEICLGLARGIAYLHEDSTVRIVHRDIKASNILGLPKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALETVAGESNYQNTLEEDRAYIFERVWELYENGHPLDFVDPKLSEFNSEEVIRVIRVALLCTQGSPHKRPPMSKVVSMLTGDADITEDAAKPSYITEWQIKVGSCHHTGSSQVGSASTPPSSGDGGAGQASSQGAGEGSPLTPSPLFTSIIDEGR >ORUFI04G26970.4 pep chromosome:OR_W1943:4:26667132:26716529:-1 gene:ORUFI04G26970 transcript:ORUFI04G26970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLLLLLAAVAQAQKAPTATTDRIEAEALKAVFEKLDQKAEWNTTGDPCSGAATDSTDINDSSINPAIKCDCSDQNNTVCHITGLKSHILSLEHTRTFGINALSGSIPKELGNLTNLVSLYIDSAGLSGELPSSLSKLTRMKILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPLPANLSNLVQLTNLILRNCMISDSLALIDFSKFATASFAVDCGSTRFISGSRNSSYQADATNLGAASYHVTEPLTWGISNVGKFMDTPNGTTIINNARQFQATLDSELFQTARMSPSSLRYYGIGLQNGNYTVSLQFAEFGFEDTESWKSRGRRVFDIYVQGERKEKDFDIKKEAGGKSYTAVKKDYIVSVTKNFVEIHLFWAGKGTCCIPTQGYYGPTISALSLSPNFTPTIGNVAEQNSSTSKTVVIVAVAIGVTILGLVALVGIFLWRKKRRKLSLEQQELYSIVGRPNIFSYGELRSATENFSSSNRLGEGGYGAVYKLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLHIGWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKMTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDVLEEDKIYIFEWVWRLYESERALDIVDPNLTEFNSEEVLRAIHVALLCTQGSPHRRPSMSRVVAMLTGDAEVGEVAAKPSYITEWQIKGGGTTTTTTGSSSTSSSAANGQWSSAPPPPRATSSPKMSSPFLSSVVDEGSCLEEPCVGGDRERRLTAQLRREREMRRFIRSRVRRQIHLHGSVLLIILLLLAAAVAQAQQAPTTRTDPTEAAALNAVFAKLGQKAQPSWNITGDPCTGRATDGSSTEDDSFNPAITCDCTDQNGTVCHITKLKIYAMDASGPIPEELRNLTRLTNLYELSAFFHGMVMIQSIANLKRSSFAIPELRTKYFDWAYSIIHRGVDSYAVHILLFSNHLTFSPLDIRNFGINALSGSIPKEFGNLTNLISLGLGSNNFSGPLPSELGNLDKLTGLWASDNNFTGKIPDYIGSWNLTDLRFQGNSFQGSIPATLSKLVQLTNLRIGDIENGSSSLAFISNMTSLSILDFSYNQLSGNFPSWANEKNLQLNLVANNFVLDNSNNSVLPSGLECLQRNTPCFLAASFAVNCGSNRSISGSDNYVYQADGVSLGAAQYYVTGETKWGVSNVGKFMDAPSNGIYIFNSSRQFQSTLDPELFQTARLSPSSLRYYGIGLENGNYTVTLQFAEIEFEDTKSWKSLGRRVFDIYIQGERKERNFDIRKATGGKSYTAVKKQYLIPVTKNFVEIHLFWAGKGTCCIPAQGYYGPSISALSLKPTLAAIFLWMQKRRKLSLEQQELYCIVGRPNVFSYGQLRSATENFNFSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSNQGKQQFATEIETISRVQHRNLVKLYGCCLEGKHPLLVYEYLENGSLDKALFGTEKLNIDWPARFEICLGIARGLAYLHEESSIRVIHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGRMTEKVDVFAFGVVLLEILAGRPNYDDALEEDKIYIFEWAWDLYENNNPLGLVDPKLEEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVTMLAGDVEAPEVVTKPSYITEWQLKGGDTSYLDSELAVELGAGRTGLAADLVAVLELRRLGWRLHCRHRLAAHRFSSPGRGGEVSRRKMGWRMAVSRLLHGCVLVLLLAAAVVQAQQAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGQIPEELRNLTRLTDLTFGINSLSGPIPKELGNLTNLISLGLGSNHFNGSLPTELGNLIKLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLRIGDIENGSSSSLAFISNMTSLSILILRNCRISDDLASLDFSKFASLSLLDLSFNNITGEVPATLLASSFAVNSGSNRFISGSDNLRYETDGVNLRAASYYVTGAPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVMVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPERFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVSMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSASEQQGSSLFLNSVIPEGRGQMVCETVTSLLPETEKRGRRRAEGSRRETMRVMSHLLLHGGVLLLLLAAAAVQAQRVATKTDPTEAAALNAVFAKLGQQASLSTATWNISGDPCTGAATDGTPIDDNPNFNPAIKCDCTFQNNTVCRITKLKIYALDVPGTIPQELRNLTRLTHLNLGQNTLTGPLPSFIGELTNMQNMGLGSNRFNGSLPSELGNLDKLQELYIDSAGLSGPLPSSFSKLTRMQTLWASDNDFTGQIPDYIGNWNLTDLRFQGNSFQGPIPSALSNLVQLSSLRIGDIENGSSSSLAFIGNMTSLSILILRNCKISDNLASIDFSKFASLNLLDLSFNNITGQVPTALLGLNLLNSLKPSKLKRTFTFNFEIFLHGLVGKIYNLSYLQGLHAFKEIRHVFLVLHTSSFAVDCGSNRLISASDNLRYQTDDASLGPASYSVTGAPTWGVSNVGKFVDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQTWKSLGRRVFDIYLQGERQEKNFDIRKAAGDKSYTVVKKSYKVPVTKNFLEIHLFWAGKGTCCIPGQGYYGPTISALSVTPAVLGLVALVGIFMWRQKRRKLTLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLNDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGIEKLNIDWPARFDICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGIVDPNLREFNRAEVLRAIHVALLCTQGSPHQRPPMSRVVSMLTGDTEVTDVLMKPSYITEWQIKGGNTSFANSAVRGQSSSAPGSTSQQASSVFLNSIIQEGRLSYCCYLHGCLCVLVLLLCSWRAADAQAQQPPPHTDPTEAAALNAMMARLGLSAPPSWNISGDPCSGAATDDTPLDDNPAFNPAIKDFRKNYFTGPLPAFIGELTALKYITVGINALSGPIPKELGNLTNLVSLALGSNNFNGSLPDELGKLTKLQQLYIDSNDFSGPLPTTLSQLTNLSTLWALDNNFTGQIPDYLGSLTNLTQLRLQGNSFQGPIPRSLYNLVKLRSFVLRNSRISDSLASVDFSKFGSLNLLDLSFNNITGQIPPSIVNLPSLTFLNLVANDFVIDGTDMSGLPWGLNCLQRNTPCFLAASFAVDCGGSRNISGSDNAMYQADNANLGAASYYVAGTPTWGVSTTGRFMDPPNGSYIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDVQSWRSRGRRIFDIYIQVACKLTISLGERKEQNFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPHQGYYGPAISALSATPNFIPTVRSPADNKSRSKIAVIIVVMVGVAVFALAALAGHFIWRQKKRKILLELEELYNIVGRPNVFSYNELRSATENFSSSNLLGEGGYGLVHKGRLSDGRAVAVKQLSQSSNQGKKQFATEIETISRVQHCNLVTLYGCCLESNTPLLVYEYLENGSLDQALFGKGSLNLDWPTRFEICLGLARGIAYLHEDSTVRIVHRDIKASNILGLPKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALETVAGESNYQNTLEEDRAYIFERVWELYENGHPLDFVDPKLSEFNSEEVIRVIRVALLCTQGSPHKRPPMSKVVSMLTGDADITEDAAKPSYITEWQIKVGSCHHTGSSQVGSASTPPSSGDGGAGQASSQGAGEGSPLTPSPLFTSIIDEGR >ORUFI04G26970.5 pep chromosome:OR_W1943:4:26667132:26716529:-1 gene:ORUFI04G26970 transcript:ORUFI04G26970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLLLLLAAVAQAQKAPTATTDRIEAEALKAVFEKLDQKAEWNTTGDPCSGAATDSTDINDSSINPAIKCDCSDQNNTVCHITGLKSHILSLEHTRTFGINALSGSIPKELGNLTNLVSLYIDSAGLSGELPSSLSKLTRMKILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPLPANLSNLVQLTNLILRNCMISDSLALIDFSKFATASFAVDCGSTRFISGSRNSSYQADATNLGAASYHVTEPLTWGISNVGKFMDTPNGTTIINNARQFQATLDSELFQTARMSPSSLRYYGIGLQNGNYTVSLQFAEFGFEDTESWKSRGRRVFDIYVQGERKEKDFDIKKEAGGKSYTAVKKDYIVSVTKNFVEIHLFWAGKGTCCIPTQGYYGPTISALSLSPNFTPTIGNVAEQNSSTSKTVVIVAVAIGVTILGLVALVGIFLWRKKRRKLSLEQQELYSIVGRPNIFSYGELRSATENFSSSNRLGEGGYGAVYKLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLHIGWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKMTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDVLEEDKIYIFEWVWRLYESERALDIVDPNLTEFNSEEVLRAIHVALLCTQGSPHRRPSMSRVVAMLTGDAEVGEVAAKPSYITEWQIKGGGTTTTTTGSSSTSSSAANGQWSSAPPPPRATSSPKMSSPFLSSVVDEGSCLEEPCVGGDRERRLTAQLRREREMRRFIRSRVRRQIHLHGSVLLIILLLLAAAVAQAQQAPTTRTDPTEAAALNAVFAKLGQKAQPSWNITGDPCTGRATDGSSTEDDSFNPAITCDCTDQNGTVCHITKLKIYAMDASGPIPEELRNLTRLTNLYELSAFFHGMVMIQSIANLKRSSFAIPELRTKYFDWAYSIIHRGVDSYAVHILLFSNHLTFSPLDIRNFGINALSGSIPKEFGNLTNLISLGLGSNNFSGPLPSELGNLDKLTGLWASDNNFTGKIPDYIGSWNLTDLRFQGNSFQGSIPATLSKLVQLTNLRIGDIENGSSSLAFISNMTSLSILDFSYNQLSGNFPSWANEKNLQLNLVANNFVLDNSNNSVLPSGLECLQRNTPCFLAASFAVNCGSNRSISGSDNYVYQADGVSLGAAQYYVTGETKWGVSNVGKFMDAPSNGIYIFNSSRQFQSTLDPELFQTARLSPSSLRYYGIGLENGNYTVTLQFAEIEFEDTKSWKSLGRRVFDIYIQGERKERNFDIRKATGGKSYTAVKKQYLIPVTKNFVEIHLFWAGKGTCCIPAQGYYGPSISALSLKPTLAAIFLWMQKRRKLSLEQQELYCIVGRPNVFSYGQLRSATENFNFSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSNQGKQQFATEIETISRVQHRNLVKLYGCCLEGKHPLLVYEYLENGSLDKALFGTEKLNIDWPARFEICLGIARGLAYLHEESSIRVIHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGRMTEKVDVFAFGVVLLEILAGRPNYDDALEEDKIYIFEWAWDLYENNNPLGLVDPKLEEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVTMLAGDVEAPEVVTKPSYITEWQLKGGDTSYLDSELAVELGAGRTGLAADLVAVLELRRLGWRLHCRHRLAAHRFSSPGRGGEVSRRKMGWRMAVSRLLHGCVLVLLLAAAVVQAQQAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGQIPEELRNLTRLTDLTFGINSLSGPIPKELGNLTNLISLYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLRIGDIENGSSSSLAFISNMTSLSILILRNCRISDDLASLDFSKFASLSLLDLSFNNITGEVPATLLASSFAVNSGSNRFISGSDNLRYETDGVNLRAASYYVTGAPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVMVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPERFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVSMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSASEQQGSSLFLNSVIPEGRGQMVCETVTSLLPETEKRGRRRAEGSRRETMRVMSHLLLHGGVLLLLLAAAAVQAQRVATKTDPTEAAALNAVFAKLGQQASLSTATWNISGDPCTGAATDGTPIDDNPNFNPAIKCDCTFQNNTVCRITKLKIYALDVPGTIPQELRNLTRLTHLNLGQNTLTGPLPSFIGELTNMQNMGLGSNRFNGSLPSELGNLDKLQELYIDSAGLSGPLPSSFSKLTRMQTLWASDNDFTGQIPDYIGNWNLTDLRFQGNSFQGPIPSALSNLVQLSSLRIGDIENGSSSSLAFIGNMTSLSILILRNCKISDNLASIDFSKFASLNLLDLSFNNITGQVPTALLGLNLLNSLKPSKLKRTFTFNFEIFLHGLVGKIYNLSYLQGLHAFKEIRHVFLVLHTSSFAVDCGSNRLISASDNLRYQTDDASLGPASYSVTGAPTWGVSNVGKFVDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQTWKSLGRRVFDIYLQGERQEKNFDIRKAAGDKSYTVVKKSYKVPVTKNFLEIHLFWAGKGTCCIPGQGYYGPTISALSVTPAVLGLVALVGIFMWRQKRRKLTLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLNDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGIEKLNIDWPARFDICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGIVDPNLREFNRAEVLRAIHVALLCTQGSPHQRPPMSRVVSMLTGDTEVTDVLMKPSYITEWQIKGGNTSFANSAVRGQSSSAPGSTSQQASSVFLNSIIQEGRLSYCCYLHGCLCVLVLLLCSWRAADAQAQQPPPHTDPTEAAALNAMMARLGLSAPPSWNISGDPCSGAATDDTPLDDNPAFNPAIKDFRKNYFTGPLPAFIGELTALKYITVGINALSGPIPKELGNLTNLVSLALGSNNFNGSLPDELGKLTKLQQLYIDSNDFSGPLPTTLSQLTNLSTLWALDNNFTGQIPDYLGSLTNLTQLRLQGNSFQGPIPRSLYNLVKLRSFVLRNSRISDSLASVDFSKFGSLNLLDLSFNNITGQIPPSIVNLPSLTFLNLVANDFVIDGTDMSGLPWGLNCLQRNTPCFLAASFAVDCGGSRNISGSDNAMYQADNANLGAASYYVAGTPTWGVSTTGRFMDPPNGSYIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDVQSWRSRGRRIFDIYIQVACKLTISLGERKEQNFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPHQGYYGPAISALSATPNFIPTVRSPADNKSRSKIAVIIVVMVGVAVFALAALAGHFIWRQKKRKILLELEELYNIVGRPNVFSYNELRSATENFSSSNLLGEGGYGLVHKGRLSDGRAVAVKQLSQSSNQGKKQFATEIETISRVQHCNLVTLYGCCLESNTPLLVYEYLENGSLDQALFGKGSLNLDWPTRFEICLGLARGIAYLHEDSTVRIVHRDIKASNILGLPKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALETVAGESNYQNTLEEDRAYIFERVWELYENGHPLDFVDPKLSEFNSEEVIRVIRVALLCTQGSPHKRPPMSKVVSMLTGDADITEDAAKPSYITEWQIKVGSCHHTGSSQVGSASTPPSSGDGGAGQASSQGAGEGSPLTPSPLFTSIIDEGR >ORUFI04G26970.6 pep chromosome:OR_W1943:4:26667132:26716529:-1 gene:ORUFI04G26970 transcript:ORUFI04G26970.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLLLLLAAVAQAQKAPTATTDRIEAEALKAVFEKLDQKAEWNTTGDPCSGAATDSTDINDSSINPAIKCDCSDQNNTVCHITGLKSHILSLEHTRTFGINALSGSIPKELGNLTNLVSLYIDSAGLSGELPSSLSKLTRMKILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPLPANLSNLVQLTNLILRNCMISDSLALIDFSKFATASFAVDCGSTRFISGSRNSSYQADATNLGAASYHVTEPLTWGISNVGKFMDTPNGTTIINNARQFQATLDSELFQTARMSPSSLRYYGIGLQNGNYTVSLQFAEFGFEDTESWKSRGRRVFDIYVQGERKEKDFDIKKEAGGKSYTAVKKDYIVSVTKNFVEIHLFWAGKGTCCIPTQGYYGPTISALSLSPNFTPTIGNVAEQNSSTSKTVVIVAVAIGVTILGLVALVGIFLWRKKRRKLSLEQQELYSIVGRPNIFSYGELRSATENFSSSNRLGEGGYGAVYKLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLHIGWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKMTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDVLEEDKIYIFEWVWRLYESERALDIVDPNLTEFNSEEVLRAIHVALLCTQGSPHRRPSMSRVVAMLTGDAEVGEVAAKPSYITEWQIKGGGTTTTTTGSSSTSSSAANGQWSSAPPPPRATSSPKMSSPFLSSVVDEGSCLEEPCVGGDRERRLTAQLRREREMRRFIRSRVRRQIHLHGSVLLIILLLLAAAVAQAQQAPTTRTDPTEAAALNAVFAKLGQKAQPSWNITGDPCTGRATDGSSTEDDSFNPAITCDCTDQNGTVCHITKLKIYAMDASGPIPEELRNLTRLTNLYELSAFFHGMVMIQSIANLKRSSFAIPELRTKYFDWAYSIIHRGVDSYAVHILLFSNHLTFSPLDIRNFGINALSGSIPKEFGNLTNLISLGLGSNNFSGPLPSELGNLDKLTGLWASDNNFTGKIPDYIGSWNLTDLRFQGNSFQGSIPATLSKLVQLTNLRIGDIENGSSSLAFISNMTSLSILDFSYNQLSGNFPSWANEKNLQLNLVANNFVLDNSNNSVLPSGLECLQRNTPCFLAASFAVNCGSNRSISGSDNYVYQADGVSLGAAQYYVTGETKWGVSNVGKFMDAPSNGIYIFNSSRQFQSTLDPELFQTARLSPSSLRYYGIGLENGNYTVTLQFAEIEFEDTKSWKSLGRRVFDIYIQGERKERNFDIRKATGGKSYTAVKKQYLIPVTKNFVEIHLFWAGKGTCCIPAQGYYGPSISALSLKPTLAAIFLWMQKRRKLSLEQQELYCIVGRPNVFSYGQLRSATENFNFSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSNQGKQQFATEIETISRVQHRNLVKLYGCCLEGKHPLLVYEYLENGSLDKALFGTEKLNIDWPARFEICLGIARGLAYLHEESSIRVIHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGRMTEKVDVFAFGVVLLEILAGRPNYDDALEEDKIYIFEWAWDLYENNNPLGLVDPKLEEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVTMLAGDVEAPEVVTKPSYITEWQLKGGDTSYLDSELAVELGAGRTGLAADLVAVLELRRLGWRLHCRHRLAAHRFSSPGRGGEVSRRKMGWRMAVSRLLHGCVLVLLLAAAVVQAQQAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGQIPEELRNLTRLTDLTFGINSLSGPIPKELGNLTNLISLGLGSNHFNGSLPTELGNLIKLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLILRNCRISDDLASLDFSKFATSSFAVNSGSNRFISGSDNLRYETDGVNLRAASYYVTGAPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVMVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKLYGCCLEGNNPLLVYEYMENGSLDKALFGIEKLNIDWPARFDICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGIVDPNLREFNRAEVLRAIHVALLCTQGSPHQRPPMSRVVSMLTGDTEVTDVLMKPSYITEWQIKGGNTSFANSAVRGQSSSAPGSTSQQASSVFLNSIIQEGRLSYCCYLHGCLCVLVLLLCSWRAADAQAQQPPPHTDPTEAAALNAMMARLGLSAPPSWNISGDPCSGAATDDTPLDDNPAFNPAIKDFRKNYFTGPLPAFIGELTALKYITVGINALSGPIPKELGNLTNLVSLALGSNNFNGSLPDELGKLTKLQQLYIDSNDFSGPLPTTLSQLTNLSTLWALDNNFTGQIPDYLGSLTNLTQLRLQGNSFQGPIPRSLYNLVKLRSFVLRNSRISDSLASVDFSKFGSLNLLDLSFNNITGQIPPSIVNLPSLTFLNLVANDFVIDGTDMSGLPWGLNCLQRNTPCFLAASFAVDCGGSRNISGSDNAMYQADNANLGAASYYVAGTPTWGVSTTGRFMDPPNGSYIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDVQSWRSRGRRIFDIYIQVACKLTISLGERKEQNFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPHQGYYGPAISALSATPNFIPTVRSPADNKSRSKIAVIIVVMVGVAVFALAALAGHFIWRQKKRKILLELEELYNIVGRPNVFSYNELRSATENFSSSNLLGEGGYGLVHKGRLSDGRAVAVKQLSQSSNQGKKQFATEIETISRVQHCNLVTLYGCCLESNTPLLVYEYLENGSLDQALFGKGSLNLDWPTRFEICLGLARGIAYLHEDSTVRIVHRDIKASNILGLPKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALETVAGESNYQNTLEEDRAYIFERVWELYENGHPLDFVDPKLSEFNSEEVIRVIRVALLCTQGSPHKRPPMSKVVSMLTGDADITEDAAKPSYITEWQIKVGSCHHTGSSQVGSASTPPSSGDGGAGQASSQGAGEGSPLTPSPLFTSIIDEGR >ORUFI04G26970.7 pep chromosome:OR_W1943:4:26688611:26716529:-1 gene:ORUFI04G26970 transcript:ORUFI04G26970.7 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLLLLLAAVAQAQKAPTATTDRIEAEALKAVFEKLDQKAEWNTTGDPCSGAATDSTDINDSSINPAIKCDCSDQNNTVCHITGLKSHILSLEHTRTFGINALSGSIPKELGNLTNLVSLYIDSAGLSGELPSSLSKLTRMKILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPLPANLSNLVQLTNLILRNCMISDSLALIDFSKFATASFAVDCGSTRFISGSRNSSYQADATNLGAASYHVTEPLTWGISNVGKFMDTPNGTTIINNARQFQATLDSELFQTARMSPSSLRYYGIGLQNGNYTVSLQFAEFGFEDTESWKSRGRRVFDIYVQGERKEKDFDIKKEAGGKSYTAVKKDYIVSVTKNFVEIHLFWAGKGTCCIPTQGYYGPTISALSLSPNFTPTIGNVAEQNSSTSKTVVIVAVAIGVTILGLVALVGIFLWRKKRRKLSLEQQELYSIVGRPNIFSYGELRSATENFSSSNRLGEGGYGAVYKLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLHIGWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKMTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDVLEEDKIYIFEWVWRLYESERALDIVDPNLTEFNSEEVLRAIHVALLCTQGSPHRRPSMSRVVAMLTGDAEVGEVAAKPSYITDSAANGQWSSAPPPPRATSSPKMSSPFLSSVVDEGSCLEEPCVGGDRERRLTAQLRREREMRRFIRSRVRRQIHLHGSVLLIILLLLAAAVAQAQQAPTTRTDPTEAAALNAVFAKLGQKAQPSWNITGDPCTGRATDGSSTEDDSFNPAITCDCTDQNGTVCHITKLKIYAMDASGPIPEELRNLTRLTNLYELSAFFHGMVMIQSIANLKRSSFAIPELRTKYFDWAYSIIHRGVDSYAVHILLFSNHLTFSPLDIRNFGINALSGSIPKEFGNLTNLISLGLGSNNFSGPLPSELGNLDKLTGLYIDSAGLSGELPSSFSKLTKVEKLWASDNNFTGKIPDYIGSWNLTDLRFQGNSFQGSIPATLSKLVQLTNLRIGDIENGSSSLAFISNMTSLSILDFSYNQLSGNFPSWANEKNLQLNLVANNFVLDNSNNSVLPSGLECLQRNTPCFLAASFAVNCGSNRSISGSDNYVYQADGVSLGAAQYYVTGETKWGVSNVGKFMDAPSNGIYIFNSSRQFQSTLDPELFQTARLSPSSLRYYGIGLENGNYTVTLQFAEIEFEDTKSWKSLGRRVFDIYIQGERKERNFDIRKATGGKSYTAVKKQYLIPVTKNFVEIHLFWAGKGTCCIPAQGYYGPSISALSLKPTLAAIFLWMQKRRKLSLEQQELYCIVGRPNVFSYGQLRSATENFNFSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSNQGKQQFATEIETISRVQHRNLVKLYGCCLEGKHPLLVYEYLENGSLDKALFGTEKLNIDWPARFEICLGIARGLAYLHEESSIRVIHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGRMTEKVDVFAFGVVLLEILAGRPNYDDALEEDKIYIFEWAWDLYENNNPLGLVDPKLEEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVTMLAGDVEAPEVVTKPSYITEWQLKGGDTSYLDSELAVELGAGRTGLAADLVAVLELRRLGWRLHCRHRLAAHRFSSPGRGGEVSRRKMGWRMAVSRLLHGCVLVLLLAAAVVQAQQAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGQIPEELRNLTRLTDLTFGINSLSGPIPKELGNLTNLISLGLGSNHFNGSLPTELGNLIKLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLILRNCRISDDLASLDFSKFATSSFAVNSGSNRFISGSDNLRYETDGVNLRAASYYVTGAPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVMVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPERFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVSMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSASEQQGSSLFLNSVIPEGR >ORUFI04G26970.8 pep chromosome:OR_W1943:4:26688611:26716529:-1 gene:ORUFI04G26970 transcript:ORUFI04G26970.8 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLLLLLAAVAQAQKAPTATTDRIEAEALKAVFEKLDQKAEWNTTGDPCSGAATDSTDINDSSINPAIKCDCSDQNNTVCHITGLKSHILSLEHTRTFGINALSGSIPKELGNLTNLVSLYIDSAGLSGELPSSLSKLTRMKILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPLPANLSNLVQLTNLILRNCMISDSLALIDFSKFATASFAVDCGSTRFISGSRNSSYQADATNLGAASYHVTEPLTWGISNVGKFMDTPNGTTIINNARQFQATLDSELFQTARMSPSSLRYYGIGLQNGNYTVSLQFAEFGFEDTESWKSRGRRVFDIYVQGERKEKDFDIKKEAGGKSYTAVKKDYIVSVTKNFVEIHLFWAGKGTCCIPTQGYYGPTISALSLSPNFTPTIGNVAEQNSSTSKTVVIVAVAIGVTILGLVALVGIFLWRKKRRKLSLEQQELYSIVGRPNIFSYGELRSATENFSSSNRLGEGGYGAVYKLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLHIGWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKMTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDVLEEDKIYIFEWVWRLYESERALDIVDPNLTEFNSEEVLRAIHVALLCTQGSPHRRPSMSRVVAMLTGDAEVGEVAAKPSYITEWQIKGGGTTTTTTGSSSTSSSAANGQWSSAPPPPRATSSPKMSSPFLSSVVDEGSCLEEPCVGGDRERRLTAQLRREREMRRFIRSRVRRQIHLHGSVLLIILLLLAAAVAQAQQAPTTRTDPTEAAALNAVFAKLGQKAQPSWNITGDPCTGRATDGSSTEDDSFNPAITCDCTDQNGTVCHITKLKIYAMDASGPIPEELRNLTRLTNLYELSAFFHGMVMIQSIANLKRSSFAIPELRTKYFDWAYSIIHRGVDSYAVHILLFSNHLTFSPLDIRNFGINALSGSIPKEFGNLTNLISLGLGSNNFSGPLPSELGNLDKLTGLYIDSAGLSGELPSSFSKLTKVEKLWASDNNFTGKIPDYIGSWNLTDLRFQGNSFQGSIPATLSKLVQLTNLRIGDIENGSSSLAFISNMTSLSILDFSYNQLSGNFPSWANEKNLQLNLVANNFVLDNSNNSVLPSGLECLQRNTPCFLAASFAVNCGSNRSISGSDNYVYQADGVSLGAAQYYVTGETKWGVSNVGKFMDAPSNGIYIFNSSRQFQSTLDPELFQTARLSPSSLRYYGIGLENGNYTVTLQFAEIEFEDTKSWKSLGRRVFDIYIQGERKERNFDIRKATGGKSYTAVKKQYLIPVTKNFVEIHLFWAGKGTCCIPAQGYYGPSISALSLKPTLAAIFLWMQKRRKLSLEQQELYCIVGRPNVFSYGQLRSATENFNFSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSNQGKQQFATEIETISRVQHRNLVKLYGCCLEGKHPLLVYEYLENGSLDKALFGTEKLNIDWPARFEICLGIARGLAYLHEESSIRVIHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGRMTEKVDVFAFGVVLLEILAGRPNYDDALEEDKIYIFEWAWDLYENNNPLGLVDPKLEEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVTMLAGDVEAPEVVTKPSYITEWQLKGGDTSYLDSELAVELGAGRTGLAADLVAVLELRRLGWRLHCRHRLAAHRFSSPGRGGEVSRRKMGWRMAVSRLLHGCVLVLLLAAAVVQAQQAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGQIPEELRNLTRLTDLTFGINSLSGPIPKELGNLTNLISLGLGSNHFNGSLPTELGNLIKLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLILRNCRISDDLASLDFSKFATSSFAVNSGSNRFISGSDNLRYETDGVNLRAASYYVTGAPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVMVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPERFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVSMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSASEQQGSSLFLNSVIPEGR >ORUFI04G26970.9 pep chromosome:OR_W1943:4:26688611:26716529:-1 gene:ORUFI04G26970 transcript:ORUFI04G26970.9 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLLLLLAAVAQAQKAPTATTDRIEAEALKAVFEKLDQKAEWNTTGDPCSGAATDSTDINDSSINPAIKCDCSDQNNTVCHITGLKSHILSLEHTRTFGINALSGSIPKELGNLTNLVSLYIDSAGLSGELPSSLSKLTRMKILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPLPANLSNLVQLTNLILRNCMISDSLALIDFSKFATASFAVDCGSTRFISGSRNSSYQADATNLGAASYHVTEPLTWGISNVGKFMDTPNGTTIINNARQFQATLDSELFQTARMSPSSLRYYGIGLQNGNYTVSLQFAEFGFEDTESWKSRGRRVFDIYVQGERKEKDFDIKKEAGGKSYTAVKKDYIVSVTKNFVEIHLFWAGKGTCCIPTQGYYGPTISALSLSPNFTPTIGNVAEQNSSTSKTVVIVAVAIGVTILGLVALVGIFLWRKKRRKLSLEQQELYSIVGRPNIFSYGELRSATENFSSSNRLGEGGYGAVYKLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLHIGWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKMTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDVLEEDKIYIFEWVWRLYESERALDIVDPNLTEFNSEEVLRAIHVALLCTQGSPHRRPSMSRVVAMLTGDAEVGEVAAKPSYITEWQIKGGGTTTTTTGSSSTSSSAANGQWSSAPPPPRATSSPKMSSPFLSSVVDEGSCLEEPCVGGDRERRLTAQLRREREMRRFIRSRVRRQIHLHGSVLLIILLLLAAAVAQAQQAPTTRTDPTEAAALNAVFAKLGQKAQPSWNITGDPCTGRATDGSSTEDDSFNPAITCDCTDQNGTVCHITKLKIYAMDASGPIPEELRNLTRLTNLYELSAFFHGMVMIQSIANLKRSSFAIPELRTKYFDWAYSIIHRGVDSYAVHILLFSNHLTFSPLDIRNFGINALSGSIPKEFGNLTNLISLGLGSNNFSGPLPSELGNLDKLTGLWASDNNFTGKIPDYIGSWNLTDLRFQGNSFQGSIPATLSKLVQLTNLRIGDIENGSSSLAFISNMTSLSILDFSYNQLSGNFPSWANEKNLQLNLVANNFVLDNSNNSVLPSGLECLQRNTPCFLAASFAVNCGSNRSISGSDNYVYQADGVSLGAAQYYVTGETKWGVSNVGKFMDAPSNGIYIFNSSRQFQSTLDPELFQTARLSPSSLRYYGIGLENGNYTVTLQFAEIEFEDTKSWKSLGRRVFDIYIQGERKERNFDIRKATGGKSYTAVKKQYLIPVTKNFVEIHLFWAGKGTCCIPAQGYYGPSISALSLKPTLAAIFLWMQKRRKLSLEQQELYCIVGRPNVFSYGQLRSATENFNFSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSNQGKQQFATEIETISRVQHRNLVKLYGCCLEGKHPLLVYEYLENGSLDKALFGTEKLNIDWPARFEICLGIARGLAYLHEESSIRVIHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGRMTEKVDVFAFGVVLLEILAGRPNYDDALEEDKIYIFEWAWDLYENNNPLGLVDPKLEEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVTMLAGDVEAPEVVTKPSYITEWQLKGGDTSYLDSELAVELGAGRTGLAADLVAVLELRRLGWRLHCRHRLAAHRFSSPGRGGEVSRRKMGWRMAVSRLLHGCVLVLLLAAAVVQAQQAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGQIPEELRNLTRLTDLTFGINSLSGPIPKELGNLTNLISLYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLRIGDIENGSSSSLAFISNMTSLSILILRNCRISDDLASLDFSKFATSSFAVNSGSNRFISGSDNLRYETDGVNLRAASYYVTGAPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVMVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPERFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVSMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSASEQQGSSLFLNSVIPEGR >ORUFI04G26980.1 pep chromosome:OR_W1943:4:26717910:26720155:1 gene:ORUFI04G26980 transcript:ORUFI04G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIESSHQWTSGEPPSQPCPTLESPTDPSDADANTHPRGIWVDSEEDSSSEEEEEEVMEEEEEIAVTPEMEARLYAEFRATRARLMPAVTLAESCKKFGYHPPAPPFEIINHPDLFERAWGWDTILPFSVARTFSRYKEYLVDYYNRNQKKPNAAAAADLTGDDDSLTALANKCAEMEGHLMFLLKCRAGVFTENVEIKISRTSDRITKRARETTTNALESEFPAAAVAFKCITKEAELMCAMLIHAAEDHPYWFVLCNKVRLYALRFMAYKEPEYILAAAAMMGITREANLTCELVKERHKDKNGREFFSIFIRTRTLASMYYILKEYSAVGKPTRDNTAGKLISDESDVKTCPGKDVVENDILDDWIVISPKKAETIG >ORUFI04G26990.1 pep chromosome:OR_W1943:4:26725859:26730487:1 gene:ORUFI04G26990 transcript:ORUFI04G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGDAAVVSGSGNRKRKRHVQQHSLEYPCVSRLRHRRLLAFLSRHDYDSTFDALVQETSVLFRVGHIQALVRQGRWADAASYVNRFVPPSRVLTDAGLVFHEFLYIHHVLDCIVAGDHLRGAQVAASYQRHVRDNPNPSHGAIKLIRILLTILHCHPIRAFLNWHLVRYKAAEIIKDLIPQIPEFNDLLKLPKGGPIKPHNILPIGSSSHRRRHVKNEDRIPAPDIARFYLQKKRGLPSSTICQERYYTGLSRNAANWLADIIGVTGAPIGLDSPANSYGISTQTIADMMRPLIISDIGQGSVARNNPTRPETTAHQAISPTTQQITTEFVQHSESQFQEYNSQMDGRVRIVESGMKRRILGEENFMTNN >ORUFI04G27000.1 pep chromosome:OR_W1943:4:26735545:26736303:-1 gene:ORUFI04G27000 transcript:ORUFI04G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRPDSSLSLPLPRCCLHHTTVLRRHVYVRLQLGPTRELRGGANAVRCSAAAHEIPAPIPLKPERKYAPRGPNRTRTHCHVGPMRAWDPPVRVGNLSPTRVYSDVLALVSPPPSSLSLRDTVLSLPPPLLTMRGLMRCASTGACRVAPGAVAARASAAAVGGGTTKVPAGHVPVEVGAEGEETERFVVPAELLGRPPIAELLRRAAQEYGYARRGPIRIPCPAAAFRRLLGALTGGSGEGGLALSYFSVVV >ORUFI04G27010.1 pep chromosome:OR_W1943:4:26743194:26747031:1 gene:ORUFI04G27010 transcript:ORUFI04G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRKRNDDGGGGGGAAVPRGCVALLLVGDGGGGGEEIRVVVEVRALGQPRVGMLLERAAGEYGYDQEGVLRVPCSADEFRRALAADAAAGARPGQSQRLACFGNEWKIGQELDDDNAAAVPRGCVALLLVGNGGGGGGGDDGERVVVEVRALERPRVGALLEKAAREFGYDQKGVLRVPCSAGEFRQALTADGGAAAAGAPCRRR >ORUFI04G27020.1 pep chromosome:OR_W1943:4:26750256:26754984:-1 gene:ORUFI04G27020 transcript:ORUFI04G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEATRQRRKPLVLASTQALLDSLPGDRRGSALPPPPPPEPVRIRAGVLRFPNSSSSSSSAGGGEFGEAASFVALPSSALRRLAVVTGTPVLVKNADNNVGRIAKAVVLDHPCLDDSLTEHTEPVDAASPLDNAMGILPCRSFPTNGFPPLDEEVAYVSPLLAFNLGVHVSCLKLLVQKGEKPFKFCSKVEDSDLAAGEESNPILHLEILPSPQVPKHALHLRVSVVRIPECGVFASLKANSAIGGSDYQDMIDQALNEHFKFDRFLARGDVFCIRNNWNCGATSCLACNREDNRLHPHDMIYFKVTGMEPSDEPVHRVNCNQTALVLGGGASASIPPYSLFASPGASVPLHSEIVEHLASIIAPALCPSNILPKVKFSTFLYGPSGCGKRTVVKHVANHFGLHVVECSCHDLMTSSDSGAPAALVAAFKEAHKYSPCIILLRHFDAIGNTSSNEGTQSAQSGTAANIESVIKQYIGQHWVATESLPARDINGNPYLVEPECVSPLQVILVATADRAEGMPQSIRRCFRHEINMKSINEEQRRNLISETLHCVSTSADESINDKFVKDLAVQTSGFMPRDILALVADAGISFAHKVETDKNNSEGDKLKGILPTSSSSSQNEEKTFCKEYILSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLLYKHLFSSKLGKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSSDSAGVMDRVVSQLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNSDASYRERILKAQTRKYKLHENVSLLSIAQQCPPNFTGADIYALCADAWYHAAKNLAKTLEADPSRTSEASADDVIVEINDFMTVLGDITPSLSIEELQNYEQLRKKIEGPSR >ORUFI04G27030.1 pep chromosome:OR_W1943:4:26765264:26766333:-1 gene:ORUFI04G27030 transcript:ORUFI04G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVSSVARLLRGEAGRRGGAAGEVVTMDLLGGCGGDSRGGVVGGGGGEDEVVDLEVKVPAGWERRLDLMSGKTFLTPRLQGVHVGHQDLNLPPPPSSAAAAASTSAAVCTLDMVRSALERATAGRSAAAAAARGSPATSSSSSASTSSSSSSIGKRHRSPPSGGAAATPASPSMRAAACPSCLTYVLIAEADPRCPRCAGNVPPLAGKPREASAGAGDGSGKKPKIDLNAAADDTE >ORUFI04G27040.1 pep chromosome:OR_W1943:4:26774742:26779402:1 gene:ORUFI04G27040 transcript:ORUFI04G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARFVSPSLSRVSPSPAGRVSGSSWLSRAGVALPARPHGLSLHLRPPAMASAAAAGNGSPSAPEDSTALSRIGEVKRVTKETNVHVKINLDGTGVADCSTGIPFLDHMLDQLASHGLFDVCVKAKGDTHIDDHHSNEDIALAIGTALLEALGDRKGINRFGHFTAPLDEAAVEVILDLSGRPHLSCGLSIPTERVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDLRRRGTVPSSKGVLSRS >ORUFI04G27050.1 pep chromosome:OR_W1943:4:26778804:26780301:-1 gene:ORUFI04G27050 transcript:ORUFI04G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAFAAVFAAVALVVCSSVLPRALASDPSQLQDFCVADKLSAVFVNGFVCKNPKQVTANDFFLPKALGVPGNTVNAQGSAVTPVTVNELPGLNTLGISFARIDFAPNGQNPPHTHPRATEILTVLQGTLLVGFVTSNQPGGGNLQFTKLLGPGDVFVFPQGLIHFQLNNGAVPAVAIAALSSQNPGVITIANAVFGSTPPILDDVLAKAFMIDKDQVDWIQAKFAAPPAASGGGGGFIGGGGGGGFPGGGAP >ORUFI04G27060.1 pep chromosome:OR_W1943:4:26783509:26787823:1 gene:ORUFI04G27060 transcript:ORUFI04G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAVARRSLPPFLRLRSAVCDDGYWIGRLDHKDWLSPNELLKIFANIRDPSLITSSFKRACDRRDYKPNEALYSIMIDRLASCRRFSDVEELLARARAERFRFSDEFFYRLIKMYGNVANHPEKAIETLFAMPGYNCWPSTKTFNYVLHMLVCKRQYEVVHEVYLSASRLGVTLDTCCFNILVKGLCQFGKFGDAISLLYEMPKQGCVPNVTTYSTLMNFLCQHGQVDKAFELCERMQKEDIVADAVVYNILIAGLCREQRVTEAFNLFKSMVPKGCYPNSGTYQVLLDGLLSSGKFVEAKGLVSMMRAERMRPGFSSFKLLIDGLCSVNCLDDAHLVLKQMVEQGFVPRVDVQTPQIPGLMQTAEKLGHVSMLMNWCSVCKCQQEQPFLQTHKDPSKTK >ORUFI04G27070.1 pep chromosome:OR_W1943:4:26788742:26792864:1 gene:ORUFI04G27070 transcript:ORUFI04G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDSRRKPNVVGKFTVAVALTVMCIIVLKQSPGFTSTSVFSRHEIGVTHVLVTGGAGYIGSHATLRLLRDNYRVTIVDNLSRGNMGAVRVLQRLFPEPGRLQFIYADLGDAKAVSFFSTIQVNKIFSENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLTVLEAMAAYNVKTLIYSSTCATYGEPDTMPITEATPQNPINPYGKAKKMAEDIILDFSKRSEMAVMILRYFNVIGSDPGGRLGEAPRPELREHGRISGACFDAALGIIPGLKVRGTDYPTADGTCIRDYIDVTDLVDAHVKALDKAQPGKVGIYNVGTGHGRSVKEFVEACKSATGASIKVSFLTRRPGDYAEVYSDPSKIHDELNWTARYTDLRESLSTAWKWQKAHPNGYGSA >ORUFI04G27070.2 pep chromosome:OR_W1943:4:26788598:26792864:1 gene:ORUFI04G27070 transcript:ORUFI04G27070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDSRRKPNVVGKFTVAVALTVMCIIVLKQSPGFTSTSVFSRHEIGVTHVLVTGGAGYIGSHATLRLLRDNYRVTIVDNLSRGNMGAVRVLQRLFPEPGRLQFIYADLGDAKAVSFFSTIQVNKIFSENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLTVLEAMAAYNVKTLIYSSTCATYGEPDTMPITEATPQNPINPYGKAKKMAEDIILDFSKRSEMAVMILRYFNVIGSDPGGRLGEAPRPELREHGRISGACFDAALGIIPGLKVRGTDYPTADGTCIRDYIDVTDLVDAHVKALDKAQPGKVGIYNVGTGHGRSVKEFVEACKSATGASIKVSFLTRRPGDYAEVYSDPSKIHDELNWTARYTDLRESLSTAWKWQKAHPNGYGSA >ORUFI04G27070.3 pep chromosome:OR_W1943:4:26789571:26792864:1 gene:ORUFI04G27070 transcript:ORUFI04G27070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDSRRKPNVVGKFTVAVALTVMCIIVLKQSPGFTSTSVFSRHEIGVTHVLVTGGAGYIGSHATLRLLRDNYRVTIVDNLSRGNMGAVRVLQRLFPEPGRLQFIYADLGDAKAVSFFSTIQVNKIFSENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLTVLEAMAAYNVKTLIYSSTCATYGEPDTMPITEATPQNPINPYGKAKKMAEDIILDFSKRSEMAVMILRYFNVIGSDPGGRLGEAPRPELREHGRISGACFDAALGIIPGLKVRGTDYPTADGTCIRDYIDVTDLVDAHVKALDKAQPGKVGIYNVGTGHGRSVKEFVEACKSATGASIKVSFLTRRPGDYAEVYSDPSKIHDELNWTARYTDLRESLSTAWKWQKAHPNGYGSA >ORUFI04G27080.1 pep chromosome:OR_W1943:4:26792833:26793246:-1 gene:ORUFI04G27080 transcript:ORUFI04G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQPGRPYQPRGGGGGDLVGTGFATVLTAVSFLTLNTIGGGGGEGGGAPPVEGAEQLQLVLACAILAAGLLFIMYGMRGRGAAAPPPPAGAVLFLRRAVDVAAAVLWNAGGVERPLPTPVMVLLLCPLLAEWLGFV >ORUFI04G27090.1 pep chromosome:OR_W1943:4:26795931:26796188:1 gene:ORUFI04G27090 transcript:ORUFI04G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHNRRLSVVVALLMLAASIVLLLLAPPCDGRRQLRGSEATRGGGVGEEKASLLPPPIPNLPLPPIVPGNPPARNSVVNNKSP >ORUFI04G27100.1 pep chromosome:OR_W1943:4:26797720:26801408:-1 gene:ORUFI04G27100 transcript:ORUFI04G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSDPDKLMSKADKLQNKIELHEMECRLEECYLLFNIPWDAAKHMESAGALAKELGRWNEVSDFYRRASEFYRECGRAQPASDALAKGASFLEDNTPDEAIKMYDEACSILEEDGKEQMAFDLYRAAASLYVKLEKYSDAAATFLRLGSAADKCNAINSQCKGYLSAIIIYLYAHDFQQAQKCYNDCSEVQAFLNSDQNRCAMKLLSAYEEGDAEGIKQAAQSSAIKHLDHVVIRLAKKLPTGDLQTIKKLADDDGEDSLDENDLT >ORUFI04G27110.1 pep chromosome:OR_W1943:4:26801735:26806047:1 gene:ORUFI04G27110 transcript:ORUFI04G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIKIDKALTTLNSEETIYASYCTLTNSFFASQVRAITYSKASLGLDQLAAIGSLYQFIRGGGEGKEAASQEEEQTPKLRPTPTRRSRSAEFHNFSERRRRDRINEKLKALQELLPNCTKTDKVSMLDEAIDYLKSLQLQLQMLVMGKGMAPVVPPELQQYMHYITADPSQIPPIRPSEPRPFQITHATQQRQSNVESDFLSQMQNLHPSEPPQNFLRPPKLQLYTPEQQRRGLASSSGHNSGWITERNSSYNFLE >ORUFI04G27120.1 pep chromosome:OR_W1943:4:26806581:26810427:-1 gene:ORUFI04G27120 transcript:ORUFI04G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHYTKTICIAVVLVAVLFSLSSAAAAGSGAAVSVQLEALLEFKNGVADDPLGVLAGWRVGKSGDGAVRGGALPRHCNWTGVACDGAGQVTSIQLPESKLRGALSPFLGNISTLQVIDLTSNAFAGGIPPQLGRLGELEQLVVSSNYFAGGIPSSLCNCSAMWALALNVNNLTGAIPSCIGDLSNLEIFEAYLNNLDGELPPSMAKLKGIMVVDLSCNQLSGSIPPEIGDLSNLQILQLYENRFSGHIPRELGRCKNLTLLNIFSNGFTGEIPGELGELTNLEVMRLYKNALTSEIPRSLRRCVSLLNLDLSMNQLAGPIPPELGELPSLQRLSLHANRLAGTVPASLTNLVNLTILELSENHLSGPLPASIGSLRNLRRLIVQNNSLSGQIPASISNCTQLANASMSFNLFSGPLPAGLGRLQSLMFLSLGQNSLAGDIPDDLFDCGQLQKLDLSENSFTGGLSRLVGQLGNLTVLQLQGNALSGEIPEEIGNMTKLISLKLGRNRFAGHVPASISNMSSLQLLDLGHNRLDGVFPAEVFELRQLTILGAGSNRFAGPIPDAVANLRSLSFLDLSSNMLNGTVPAALGRLDQLLTLDLSHNRLAGAIPGAVIASMSNVQMYLNLSNNAFTGAIPAEIGGLVMVQTIDLSNNQLSGGVPATLAGCKNLYSLDLSGNSLTGELPANLFPQLDLLMTLNISGNDLDGEIPADIAALKHIQTLDVSRNAFAGAIPPALANLTALRSLNLSSNTFEGPVPDGGVFRNLTMSSLQGNAGLCGGKLLAPCHGHAAGKKRVFSRTGLVILVVLIALSTLLLLMVATILLVSYRRYRRKRRAADIAGDSPEAAVVVPELRRFSYGQLAAATNSFDQGNVIGSSNLSTVYKGALAGDADGGMVVAVKRLNLEQFPSKSDKCFLTELATLSRLRHKNLARVVGYAWEAGKIKALVLDYMVNGDLDGAIHGGAAAPPPAPSRWTVRERLRVCVSVAHGLVYLHSGYDFPVVHCDVKPSNVLLDGDWEARVSDFGTARMLGVHLPAAANAAAQTVSTKVDVFSFGVLAMELFTGRRPTGTIEEDGVPLTLQQLVDNAVSRGLDGVHAVLDPRMKVATEADLSTAADVLAVALSCAAFEPADRPDMGAVLSSLLKMSKLVGED >ORUFI04G27130.1 pep chromosome:OR_W1943:4:26810042:26819168:1 gene:ORUFI04G27130 transcript:ORUFI04G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKAFDVRSMTWSVEMLPRNGESAPRSFDSGSWMDVTWPAPSQATPVQLQCRGSAPPRTAPSPDFPTLQPASTPSGSSATPFLNSSSASSCTDTAAPEPAAAADDNENSTATKTTAMQIVFCCTEKTDAAKRRPAQPTTTRLLSFPRVALSPWTNWTNCTGIENRALLGWLASLVSEQPARLKLELCQSPGVSKSNAGGGATNAVDLCALAHITHLPACGGETAAPEAVAINGSKSCMATT >ORUFI04G27140.1 pep chromosome:OR_W1943:4:26816666:26817184:-1 gene:ORUFI04G27140 transcript:ORUFI04G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLEHDILSDFFLVPDSLVSSCYLGISASLEAERHHRRPQRLLLAWGKTTAAQHVVVWHLDHTFEKLASAHLPLVAAAPLVDALRASPAKPLALFDLARRLPLRLHRRGALYFLHLSPRMFGLRAPLPLSLSLTAPATELLAVAASPTAAAVGSGDWWGDGDGEGCTLGAI >ORUFI04G27150.1 pep chromosome:OR_W1943:4:26819165:26820187:-1 gene:ORUFI04G27150 transcript:ORUFI04G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVKSPEPPFAFRPARPPLPPLLDDEEDGEFEFSVPAAAAVLSAADELFSGGRLVPMLPPPPRRPPSSSSPPCSPPPCLEVPPSEPASPRAPRCGGHRWRDLLTLVSKRTSDGEAKDRVVGGSPRRREAHAQPLLSRASSSSSSASSCDSGIRNARRPPRTRSAPVASLLHLMSKKPAAVDAPPKRRDHHHQPFLARASSSSSSSASSSSDSGRNSRTPWHPPGPARPRPAVAAESPRVSASGRVVFRGLERCSSSPATAGIGPRRPRPRGMERSFSANVRVDPVINVFGFGHLFLPSSPAKEKKADKDRDIAGGGGGGGRRNRPAKLAMVLRDPQD >ORUFI04G27160.1 pep chromosome:OR_W1943:4:26824183:26827609:-1 gene:ORUFI04G27160 transcript:ORUFI04G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISMMEANLPPGFRFHPRDEELVVDYLYHKLSGGGEFYGGVAMVDVDLNKCEPWELPDAARVGATEWYFFSLHDRKYATGQRTNRATRSGYWKATGKDRAIVTRRRAAAGEAVAGGEVVGMRKTLVFYQGRAPRGSKTEWVMHEFRVDGHAVADHPSSSTSSSSSNLLKEDWVLCRVFYKSRTATPRAVVSGEAAVSLLSGELSLPPPLPPPVAPAVVDGYTGGGYYEQDSSAGYHHHHHHRPPPSAALPFKDLTDFRDLLSNMAQGGGGGAAAAKTEGFHLGWSEEESSGYVQQSAMASQAWNPF >ORUFI04G27160.2 pep chromosome:OR_W1943:4:26824183:26827609:-1 gene:ORUFI04G27160 transcript:ORUFI04G27160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISMMEANLPPGFRFHPRDEELVVDYLYHKLSGGGEFYGGVAMVDVDLNKCEPWELPAYFLHNLIIFPARARARAAGRRRRADAARVGATEWYFFSLHDRKYATGQRTNRATRSGYWKATGKDRAIVTRRRAAAGEAVAGGEVVGMRKTLVFYQGRAPRGSKTEWVMHEFRVDGHAVADHPSSSTSSSSSNLLKEDWVLCRVFYKSRTATPRAVVSGEAAVSLLSGELSLPPPLPPPVAPAVVDGYTGGGYYEQDSSAGYHHHHHHRPPPSAALPFKDLTDFRDLLSNMAQGGGGGAAAAKTEGFHLGWSEEESSGYVQQSAMASQAWNPF >ORUFI04G27170.1 pep chromosome:OR_W1943:4:26832249:26840439:1 gene:ORUFI04G27170 transcript:ORUFI04G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVISETCAPEARDVFMDEHSNGHLAKGHDKLAVIKEETNIEDLIKDEKAEDVELNMIVDEVVLVGNDLAGALQFCMKCSTEAFVTKLASDANASGLSVVEKEHPRSLTPMLWAKKVEHFSEHQTKRPCWEQCLRHLQRDRRTEPAVDLTVWSRFRALTDSNDRARYQRHMTCGENFSDQRYLPMHMLSHEIAEPEPMYRQGREEEEASKTWRRGATPNGRKGGKSSVGGSIRNSRSEARREEGGATWAPTTQLPRTAATGGNVPPCLFGWGLPGRAKLG >ORUFI04G27170.2 pep chromosome:OR_W1943:4:26832249:26840439:1 gene:ORUFI04G27170 transcript:ORUFI04G27170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVISETCAPEARDVFMDEHSNGHLAKGHDKLAVIKEETNIEDLIKDEKAEDVELNMIVDEVVLVGNDLAGALQFCMKCSTEAFVTKLASDANASGLSVVEKEHPRSLTPMLWAKKVAMIFHVPLRNYFTFGNVCLVQIYSNLPYSETCYSAFLCQVEHFSEHQTKRPCWEQCLRHLQRDRRTEPAVDLTVWSRFRALTDSNDRARYQRHMTCGENFSDQRYLPMHMLSHEIAEPEPMYRQGREEEEASKTWRRGATPNGRKGGKSSVGGSIRNSRSEARREEGGATWAPTTQLPRTAATGGNVPPCLFGWGLPGRAKLG >ORUFI04G27170.3 pep chromosome:OR_W1943:4:26832249:26840439:1 gene:ORUFI04G27170 transcript:ORUFI04G27170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVISETCAPEARDVFMDEHSNGHLAKGHDKLAVIKEETNIEDLIKDEKAEDVELNMIVDEVVLVGNDLAGALQFCMKCSTEAFVTNGLSVVEKEHPRSLTPMLWAKKVEHFSEHQTKRPCWEQCLRHLQRDRRTEPAVDLTVWSRFRALTDSNDRARYQRHMTCGENFSDQRYLPMHMLSHEIAEPEPMATGGNVPPCLFGWGLPGRAKLG >ORUFI04G27170.4 pep chromosome:OR_W1943:4:26832207:26834294:1 gene:ORUFI04G27170 transcript:ORUFI04G27170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLQNCPRRRALRLTSFLPRSSGAAPPRPVAGGADDLSFCGFARPLPRRSETHCLFPPLEEEEGTTLDEAGNFNDNLENNIEEKIGRPLSPRKRAGNLTSAMNMISDICS >ORUFI04G27170.5 pep chromosome:OR_W1943:4:26832587:26834294:1 gene:ORUFI04G27170 transcript:ORUFI04G27170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLRNGLIGPILLETFEYPSDSNVETYTRVYDDPEEEEGTTLDEAGNFNDNLENNIEEKIGRPLSPRKRAGNLTSAMNMISDICS >ORUFI04G27170.6 pep chromosome:OR_W1943:4:26832207:26832497:1 gene:ORUFI04G27170 transcript:ORUFI04G27170.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLQNCPRRRALRLTSFLPRSSGAAPPRPVAGGADDLSFCGFARPLPRRSETHCLFPPLGFIQVPNF >ORUFI04G27180.1 pep chromosome:OR_W1943:4:26842911:26847282:1 gene:ORUFI04G27180 transcript:ORUFI04G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLQDCDCKSLVAVPGSVVLHLFRLFNQQDNSWQKYTLAYFLLVRNEYFSRDSRKHSDGKNQLLDCCDDSELDLDVLYADLDSKELELKLQKPVVKTQSKGDSSASGSNDCFFPGLHDDLAQDCLAWASRSDYPSLSCLNKKFNLLINSGYLYRLRRKYGIVEHWVYLACSLMPWEAFDPSRKRWMRLPRMPCDECFSCADKESLAVGTQLLVFGREYTGLAIWMYNLLARGWSRCTPMNLPRCLFASGSFGEIAIVAGGCDKNGQVLKSAELYNSETGHWETLPDMNLPRRLSSGFFMDGKFYVIGGVSSQRDSLTCGEEYNLETRTWRRIHDMYPGGTSASQSPPLVAVVNNQLYAADQATNVVKKYDKGNNTWNIVKPLPVRADSSNGWGLAFKACGDRLLVIGGHRVPRGEVILLHSWCPEDGNGGADWEVLSVKERAGVFVYNCAIMGC >ORUFI04G27180.2 pep chromosome:OR_W1943:4:26847007:26848145:1 gene:ORUFI04G27180 transcript:ORUFI04G27180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIDVCVGVSDDEKGSVSSVVLNDLMLDDRVVSFSFCWLLLTLRSAKSVIMAGLVIRCTEKCFSPVSILTTVLMLGRRAIASCVHSRPIFRNRHASSTSRSFPSLMMGTYPFEKKLIFIRQSCVGVASAANDFQ >ORUFI04G27190.1 pep chromosome:OR_W1943:4:26847403:26849043:-1 gene:ORUFI04G27190 transcript:ORUFI04G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCFMFRKKQPVEGDDGEHRVKIFSYSELRKATHDFSGANKIGEGGFGSVFRGVLRDGTTVAVKVLSATSRQGVREFLTELTAISDIKHENLVTLIGCCAEGSHRILVYNYLENNSLAQTLLGSRGSNIRFDWRTRVKIAVGVARGIAFLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSFGVLLLEIVSGRCNTNTRLPYEDQFLLERTWVRYEQERLAEIIDADLGNDLDVDEACRFLKIGLLCTQDAMARRPNMSTVVRMLTGEKHFSVHRITRPAMITDFADLKVSSSQQKENETTRSSNMRSFSTTDETEPFSSSETPTQTSI >ORUFI04G27200.1 pep chromosome:OR_W1943:4:26858190:26861644:1 gene:ORUFI04G27200 transcript:ORUFI04G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETLADESAAGGGEAAAAEPAQESQQETLEEVLSRHRKEKSKLQDKETSLKKAAAKGSKAEQKAKKKQVEEEISRLSAELEAKHAAELATFGYKSSGSSEKGNMDTLVKAIAGVSVTSNADSAKPSKGARRREKKAKEEAAREQRIQEEQNNLVSDRMIENEKLEKKLEPMGLTIQEIKPDGHCLYRAVENQLSLYSRETTQYNYQELRQMTANYMKEHAADFLPFFLSEGKVESGPDPLESFKRYCEEVESTAAWGGQLELGALTHCLKKHIVVYSGSFPDVEMGKEYKLDSGGKDGPSIRLSYHRHAYGLGEHYNSVVPA >ORUFI04G27210.1 pep chromosome:OR_W1943:4:26862649:26865183:-1 gene:ORUFI04G27210 transcript:ORUFI04G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLALAVASIVLANLHLLGVHGRDLLLSCGSNATVDAGGRRWIGDMAPGLNFTLSSPGIAASQAGSSNGNEIFGLVYHSARFFSTASWYNFSVLPGNYCLRLHFFPYTFGNFSGNDSLFDVTANDFKLVSKFNVSEEIVWRSTVSNSAINAVVKEYFLLVGSRGLQVEFDPSPGSFAFVNAIEVMLTPDNLFNDTVNKVGSAGNGQLPLGLSNRGLETMYRLNVGGHALNSSSDQYLHRPWYTDEAFMFSANAAQIVSNTSSVSYLSNNDSSISPIDVYETARIMSNNMVVDKRFNVSWRFYVHPNFDYLVRLHFCELFYDKPNQRVFKIYINNKTAAEDYDVYVRAGGINKAYHEDYFDNLPQQVDSLWLQLGPDSLTSASGTDPLLNGLEIFKLSRNGNLAYVLGHIDMGNQRGISKDRNRKILWEEVGIGSASFVTLTSVVLFAWCYVRRKRKADEKEAPPGWHPLVLHEAMKSTTDARAAGKSPLTRNSSSIGHRMGRRFSISEIRAATKNFDEALLIGTGGFGKVYKGEVDEGTTVAIKRANPLCGQGLKEFETEIEMLSKLRHRHLVAMIGYCEEQKEMILVYEYMAKGTLRSHLYGSDLPPLTWKQRVDACIGAARGLHYLHTGADRGIIHRDVKTTNILLDENFVAKIADFGLSKTGPTLDQTHVSTAVKGSFGYLDPEYFRRQQLTQKSDVYSFGVVLFEVACGRPVIDPTLPKDQINLAEWAMRWQRQRSLDAIVDPRLDSDFSSESLKKFGEIAEKCLADDGRSRPSMGEVLWHLEYVLQLHEAYKRNNVDCESFGSSELGFADMSFSLPHIREGEEEHHSKPSSIREDPDT >ORUFI04G27220.1 pep chromosome:OR_W1943:4:26869489:26873980:-1 gene:ORUFI04G27220 transcript:ORUFI04G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHREWMNSCPGLCRACSFGDETSPPVCTGIWGRSSISARTVRIGSEAALDLIWAVHCSQGTGGADEASRDPRLLLAAAARGFPNREIFFSPKKPNKEKSLRANSTRSEARIEVGFHNFMDFDCKTARGDSSSVNRSCIVTEGTVVQAKPVSHNRKAKHWNSLSTLNNQKCSYELLSDPKKNVETSDGETASKCDSWCFTDLPSALVCEVLEHLDPKELGIVSCVSTLLHTLATDHQGWKKFYCERWGIPTPPVTLNGPLVPGGTSDWKSWKTLFVEREFRSKSFMGRFSVDVLRDHSEDVRTVFLLASVNLIFTGGNDSVIRMWDLEEGLLIDKSRPLCCTIRAIAADTRLLVTAGTNAFIHCWRAVEGNSYPFHISGNGTDQSPEFRLWGHEGPVTCLALDSLRIFSGSWDMTVRVWDRSEMKCVQKFMHADWVWSVAPHGNTVASTAGRDAYVWDIRSGELENVISNAHYGNAFSLARTHLADVLFTGGEDGAIRLFNVSEVSDDEDIKPAATWVPHTGPVHSLAFEYPWLVSASSDGRVALIDLRKLLTPRKSSKQPFRVKNFDPSSIEPPQRMLHGFGCDLFSVAIGADRIVCGGEDGAVKVWNFSEALEIEKRAQALRSMRQENRMRRKKAQVEMNANGRRSDQCGSIAMKRNQLKGDKSVTWHSKRAINDKVKS >ORUFI04G27230.1 pep chromosome:OR_W1943:4:26876431:26877562:1 gene:ORUFI04G27230 transcript:ORUFI04G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRDLGRPLLVALCVVALFAVGSESHGLEDFREGNTEATPAMASFFGSKPEAAELPEALDASNAAAATAKPEAASAIPRATATITASASSAPPRRSVSVAAGVACAVAAVAVVGVAVAVAYVVRARRAARRESEVRLGSPKPTREMKLQSWTTVAESSGFCMRSLLQRVQAAGWCCMHVIWLLLLCCISDLGIKWGRRMMGVRAIND >ORUFI04G27240.1 pep chromosome:OR_W1943:4:26885459:26895515:1 gene:ORUFI04G27240 transcript:ORUFI04G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMHRSSSDGGSSSGWSEAAAASAAGDERAGWEVRPSGMVVQAREEGPGGGGGGGGGMGIPPRPPPPEIKVRVKYGAARHEVAVSSIASFGELKKLLAARTGLPAADQRLTYKGKERGNADYLDVCGVKNKSKLYLAEDPTSVERRYIERQKSAKIETANRAIGAIALEVDKLADQVRSIEKSITRGSKVAEVQITTLIELLMRLAVKLDSIHAEGDSSSQKNIQAKRVQKCVETLDVLKISNARLQNVIVTTKWETFDAPATTQWELFD >ORUFI04G27250.1 pep chromosome:OR_W1943:4:26891108:26902150:-1 gene:ORUFI04G27250 transcript:ORUFI04G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDPLEWYCQPVNGGVWSDVENAFGAYTPCGTETLVVCISYFALFGVCFYRIWRTTRDYTVQRYKLRSPYYNYMLGLLVVLCIAESLYRIATGTSIMNLDGETSLAPFEVTSSIIEIAAWCCMLVMIALETRIYIYEFRWYIRFVVIYILVGEAAMFNLVLSVRQYYSSSSIFYLYCSEIIFKLLFGILMVVYLPSLDSYPGYTPVRHEALVDNTDYEPLPGGEQICPERHANIFSRIFFSWMTPLMQQGFKRPITDKDIWKLDSWDETETLYNRFQKCWNNELQKPKPWLLRALHSSLGGRFWLGGFFKIGNDASQFVGPLILNLLLESMQKGDPSWSGYIYAFSIFAGVSLGVLSEAQYFQNVMRTGFRLRSTLIAAVFRKSLRLTNDSRKKFASGRITNLISTDAESLQQVCQQLHSLWSAPFRIVIAMVLLYAQLGPAALVGAAMLVLLFPIQTVIISKMQKLTKEGLQRTDRRISLMNEILAAMDTVKCYAWEQSFQSKVQDIRDDEISWFRSAQLLAALNSFILNSIPVIVTVVSFGVYSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLAEERLLLPNPPLDPELPAISIKNGYFSWESQAERPTLSNVNLDVPMGSLVAIVGSTGEGKTSLISAMLGEIPPVSGSNTSVVLRGTVAYVPQVSWIFNATVRDNILFGSPFQPPRYEKAIDVTSLRHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQIGTLSGCYRVIASIRYGTPFVTVFDKCIKEELQHKTRVLVTNQLHFLPYVDKILVVHDGVIKEEGTFDELSNSGELFKKLMENAGKMEEQMEEKQDESKRQDDIKHPENGGSVIADGDMQKSQDTSNKTKQGKSVLIKQEERETGVISAKVLSRYKNALGGIWVVSVLFFCYALTEVLRISSSTWLSVWTDQGSTKIHGPGYYNLIYGLLSFGQVLVTLTNSYWLITSSLRAAKRLHDAMLRSILRAPMVFFHTNPLGRIINRFSKDLGDIDRNVAIFVNMFMAQISQLLSTFVLIGIVSTMSLWAIMPLLILFYAAYLYYQTTSREVKRLDSITRSPVYAQFSEALNGLSTIRAYKAYDRMANINGKSMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYTLNITNLLTAVLRLASLAENSLNAVERVGTYIELPSEAPPVIEDSRPPPGWPSSGVVKFEDVVLRYRPELPPVLHGISFIINGSEKVGIVGRTGAGKSSMLNALFRIVELERGRILVDDCDTSKFGIWDLRKVLGIIPQAPVLFSGSVRFNLDPFNEHNDADLWEALERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSAGKVLEFDSPENLLSNEHSAFSKMVQSTGPSNAEYLKTLVFGDGEERLRKEESKMQDIQRKWVASNRWAVAAQFALAASLASSHSDLLALEAAEGNNILRKTKDAVITLQNVLEGKHNTEIDDTLAQYEVPSDRWWSSLYKVMEGLAMMSRLGRNRLQQPSYNFENNSSIDWDQM >ORUFI04G27260.1 pep chromosome:OR_W1943:4:26907068:26907724:-1 gene:ORUFI04G27260 transcript:ORUFI04G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKSRGLFQRRGAAAAAIVSYGSKGWRSGLESRGEYSYGANLHAGPHRLRNVRCVSYFWGCIMLLRVRPDGLYSPSKSSPWNYPIIHTF >ORUFI04G27270.1 pep chromosome:OR_W1943:4:26912593:26915984:1 gene:ORUFI04G27270 transcript:ORUFI04G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKVQAGALSPTKLRMKLLGTHNRVRVISNSSSRTSPSKNTEPSQAQNRLLVCDVLEEVSGSSDGSKCSSAINKTEALEKDPPLDINKVEDMTKSSVQQPASSNSSMIHPVRTIEEESNDCDSGIDNASTSSFEFHGGEKTAAQNPTSGYFSRQTSSKWNDAEKWIVNKQNVQQNISKGAPQNQSAQQMNSAAGRGFIVPKISNRNIIPRPMQNMKRPSPASSASRSILERLSFGSHQPKLVRHADVCTVNNAGVTSEYQTKATDNSSSIEIRPYKDPKAIPAVHSVSVRDVGTEMTPIPSQDPSRTGTPLGSMTPTRSPNCSIPSTPVGGRSTASPGDDNTDDGPYFNRKGGTNEISDDEMRLKTRKEIAALGIQLGKMNIATWASKEELELVSASPSIADLERMKKEYAARAAAYEEAENFKHTARFKKEELKIEAWESLQKAKIESEMKRIEEHAEKLRSEAMAKMAEKLEMTRRLAEEKRASANARMNQQAAKAVHKAELIRQTGRVPGSCILCCSGCFCQH >ORUFI04G27280.1 pep chromosome:OR_W1943:4:26916235:26917593:-1 gene:ORUFI04G27280 transcript:ORUFI04G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKTHLIRSNPGWEDSTYCHVYLEFSELGQSEMLLLQYMMKYEVECQLYIYWVLTTWLELGHLDCEGTVICNYSSLEVLADTNASPFSGAFSCHLLACGLLVHAFSDFTKDICNFTVVALYGTYDTLHPETIEQCRASTGEKHGSESSLLVVNQAFSAVVHAVGRATNGYVQTLRQYKTGGHPDN >ORUFI04G27290.1 pep chromosome:OR_W1943:4:26919978:26930212:1 gene:ORUFI04G27290 transcript:ORUFI04G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLVENEDLMDLLFSYLKPDRPHGTLLAGYFCKVVICLMLRKTLPFVNYVQGHPEIVSQLVDLIGITSIMEVLIRLIGADETMYSGYVDSMQWLDDIKVLEMIVDKFSSSDSPEVHANAAEILCAITRYAPPALATKISSASFVARLFHHAFEDSRPKSVLVHSLSVCISLLDPKRLVLASYQVFRSQLSHATLVTASPETVGGMLDSLGDLLKLLDVSSAENVLPTTYGVLQPPLGKHRLKIVEFISVLLSIGSEAAEIRLIHLGAIKRDQLICHVLDECKLVTRILEAEKNSALSIDLTKHTVPLEGRFTPRIGLVGHMTRISNKLIQLAKTNSIIQSHLQQNSGWAEWHAGTLTRRNAVENVYQWACGRPTTLQDRGRDSDEEDFRDRDYDDQVPHERDDEDVYFDDESAEVVISSLRLGDEHDSNSLFTNSNWFAFDEDKALNGEVNPEASPSPNSEISSPNVDDENDEVILTEVTDGRKGSESLLAVDLNEESSHTGLTNVSIDKLEDDIRPPTPDVKESPPEFVEWREEEAEPADVPENDTAVPNGEVGSVDQMDGIEDVMSGTTELRVEKEIEVLSGTSVPESTIGELLPGSTEISTTRHPEPVDDRNPMEPPMGEQKAES >ORUFI04G27300.1 pep chromosome:OR_W1943:4:26930311:26930754:-1 gene:ORUFI04G27300 transcript:ORUFI04G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMDKGRALPKFGEWDVKNPASAEGFTVIFQKARDDKKTTGPGNARVGIPPAFRSTDDDGGYRPEFKPAESYQQHTPPKRVKKKWAFCAGC >ORUFI04G27310.1 pep chromosome:OR_W1943:4:26932451:26939756:1 gene:ORUFI04G27310 transcript:ORUFI04G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSAVEVAPTSVSVSEGKSGKKGKRNAEDEIEKAVSAKKQKTVREKVVPSKEEAKKVKKQPPPKKVESSSSEEDSSESEEEVKAQPKKTVQPKKAAQPAKEESSDDSSDDSSSDDEPTKKPVARPNKAALSTNSSSSDDSSDESLSDDEPVKKPAAPLKKPVALATNGSKKVETDSSSSDSSSDEESDEDDKKTAAPVKKPSVAAIQKKTQESDSSDSDSDSESDEDVPTKAPAVAKKKEESSESSDSESDSDSDDEAAKTIIPAKAAAVKKEEESSDSSDSDSESESDSDEPAKPTIPAKRPLTKDTKKGQSKDESEDSSDESSEESDDEPPQKKIKDSTTSGTTKPSPKATKKEISSDDESDEDDSSDESSDEDVKQKQTQAKKVQAPVAQESSSSDESSEEDSDMESDEPAKTPQKKIYVSKSFFILQETAVSVGSNKSATKPGQEEPKTPASNQNQATGSKTLFVGNLPYNVEQEQVKQFFQEAGEVVDIRFSTFEDGNFRGFGHVEFATAEAAKKALELAGHDLMGRPVRLDLARERGAYTPGSGRDNSSFKKPAQSSGNTIFIKGFDTSLDIHQIRNSLEEHFGSCGEITRVSIPKDYETGASKGMAYMDFADNGSLSKAYELNGSDLGGYSLYVDEARPRPDNNREGGFSGGRDFNSSGRGGRRGGRGDGSRGRGDRGRGRGFGRGDRGHGGRGTPFKQSAGTPSAGKKTTFGDDD >ORUFI04G27320.1 pep chromosome:OR_W1943:4:26946033:26983929:1 gene:ORUFI04G27320 transcript:ORUFI04G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTEEQERALQLLTSLEYLIFWHLPNLLSLPANLASLTSLKWLHIGDCPRITRLPEMGLPLSLTQLPGTCNGRSGDDGAPSICSRKCLADTVQAECWYTQCRKEDDIRELVISNCPDLEFGGEEGALRGYTSLKSIKVQGCPKLIPLLVSGKMEVGLLPPSLECLCIDMGPELSTVWDLKLQELEQGSNQVPPPPPSLDTFLITNLTDKVQSRLLSFLPTITNLVISESPELTSLQLGYSKALKELEIVDCKSLASVEGFGSLTNLRFLTVYESPSMPQCFEILSQQHGASEILSRLENLQISDGFILTVSLCKQLTSLRDLFFWPERSKPDATMMGLTEEQERALQLLTSLERLNFWGLPNLLSLPANLASLTSLEWLDISDCPRMARLPEMGLPPSLRRLSLCRCSDDLSMQCRMVATEKLQIRSSHKEHFGPKGYDTGSMGVEWSVVDAAIGWLVQSVLGTLLAGKLEAWTREVGLAGDVRRLEVGMRSVEMVLAAAAARGRELVGNEPLSRSLDDLRQLLFDAEDVVDELDYYRLQLEIEQREDAAAAVDSCVEGGNASSFSSTSSSTCRLVWNAATKLTSWASMAVDFVMAHAGSKRKRGQYELAQDDATVVVVPFENKDDISRRINEIATSLCTISDSVHKAIHLEASYCIAVPKEGVVSNRRLTTSVPVEQKVYGRDSDRDMIVELLVNGKSRDLNVLPIVGNGGVGKTTLAKFVYRDRRIKDHFDLQMWVCVSSIFDEVRLTREMLEHACRDRQDCEKISSFNVLQEMLMGSVRNRRFLLILDDVWEDKDKNGWNRLLGPLRQNQTVGCMILATTRSPSVAKKIRTLTSVELKGLDDDNFWLFFKQCAFGDENHGDHPSLQVIGQQIVKTLKGYPLAAQSVGALLGQNLNYEHWWKIRDQWASLQKGDDDILPILKLSYDYLPSCLQRCFSYCSLFPQEHKFDGEKLIFAWISQSFVSCKDTKNRVEQTGREYLDKLVDLGFFQKDGPHYVMHGLMHELAQAVSSNDCATIDGLKSNSIPSTIRHLSIITSAYDEVEHDSFPTDKFERQLEKITCLKKLRTLMFFGHGPYGSRNLLKCLLTLCKHAKGLRYLRVHFAFMLIDALWNSIKPFHLRYIEFFNGSITFEKSCWWETEDGPRFSNVYAQLLPTCYHLQALDAGSSSNLVPRRINNLVNLRHLIADKERHSEIANVGRLTSLQELRKFRVENVDGFEIGQLQQMNELVSLGIFQLGNVKTKEEARRARLIDKDYLENLCLVWDDSTTNLKPAMATAEEVLEGLHPNRNVKRIKIRGYNGAICPMWLGSNVSVPLLRSLHLKNCSEWRAIQLEEISSLGKLNLIRMWSLVDVSIPSLDELVLIDLPNLEKCIGTYNRELTSNMRILRMERCDKLKDFTLFLNYDHFRVERKTWQWTILPFEEMHSLKDLKLIAMPGVREVSVPYLKKLVIRNMPNLECCTCANLDLLSSCLEVLKITKCRKLTSFQVLQVLPPHCEEKTWLPNMNKLKVHKLSKVSVSIRGVLAPPAIEMRKHWPLFTIKSYEWSVLNDDTVAFNNLTSILNFRIINCPNLVSVSFEALSQLMSLQSLEIVDCPRLLWPQMMLEEACEGKTKFPSITHLSIVSSSMLGDPLLLPCTQSEQLTVNDKPSTFSCPVEEQSNHLSLLYISSTTTTATTESTRNGPILFHMPHLLYTYVKKLHISDCPNLVFCSRKVGFAGFTSLEELTVTRCPKLLMPMVHEGVSDDHIGGRFLLPPLLNQFETDHLSEKLQLYFPENHTSLRRLSVWDSPSLICLQLHSCTKLEELEIFNCKNLCTLGGLTFLSSLKIMKLARNPKLSTSWEFDSQDQQCTGDQAGDLSILSGLEWLETDDFSVLTMSFFKHLNSLQHLTLSSSRSYWRVVRLSEGQGRVLQQLTHLQELRFLCCDDLLVLPEQLHCLSSLKKLEIGYCPGILRLPEEGLPLLSLEELETRGCTEELNRQCRLAATEKLKVLIDGKVWNTVGQAAIGWLVESVLGSLFTDKLSSWLRRVNLDDDVEELVSEMRNVAVVLEAAKGMKVGDQNEPMAGSLLHLKDLLYDADDVLDKLDYCRLQEQIIKGNSEDVTSTPTSSFFSINRWFTVTGWKRKREENHTLLDNKRHKGLKINGLKSPEASNLSHRSTARATNSTTTSYVLEPIVYGRATEIESIKNLIMSNRSDGMIVLPIVGNGGIGKTTLAQQIYKDSEIRKSAIKIWIHVSDKFDLHKVLHKLFFGPSLSDDDHVDKLRHGDDEVTMKASSDGELVMIKANSDSELEKVTGNSMVIVTTRKNTTAKLCGTVGSINLEGLKDDDIWSLFKAYAFGSDKHSNNPILQNLGRKIAKELNGNPLAAKTVGSLLRRNLTVDHWSSIIENEEWKSLQHTDGIMHTLKFSYDHLPSHLQQCFSYCSLFPKGYSFSEAQLIQIWIAQGFVEKSSEKLEQKGWEYLAELVNSGFFQQVENEWPSSEDIVLHDLMHDLARMVSKTECATIDGSECEKLAPSIRHLSIVTDSAYSEDPHGNISRNEEFEKRLLKVMSRSKLRTLVLIGQHDSLFFQSFQNLFKEAQHLRLLQMSSTYANFDSFLSNLVNYIHLRYLRLENEECEGALPQALDKCYHLQVLDIGSCTTPNEYVSNVSYVNGYDSDMSSEPSMDMETEGEELPSSDSNGSPSSEYFTDIISNEVIYGLEPHHSLKHNGVTSPTCLATSLTSLQTLYLENCGKWQILSLERLCLLKKLVLIRMSNVVEVSICSLEELVLIKMPKLKRCFCTSIRNLNDNLRVLMIKTCPALEVFPLFDNCQQFKIEQPSWLFRLSKLVIHKCPHLHVHNPLPPSTNVSKLSITGVSTLPTVEWSRGILRIGVLDDSDDPSVIDEPSDQLITLDDKVLSFHNLRFLTELVIAGCQNLTSISLQGLRQLIYLRTLEIRGCPKLFSSNMPPELVRENMAATYHNALPSLEYLFIAACGITGKWLSLILQYAQALQDLDLYECEQITGLSIGEEESSQPNLMSTPETLSLGHQGDSPTSSARDGLVRIPLNLISSLKHIYIGDCPGLTYNGNDEGFAKLTSLESLRIMNGAKLLSSLVHGNGYDERKNIKLIPLSLEVLELRGYDLPEEVVPDFLRNPIRLKKLSVMDTLSLKYLQLQSCTALEELEIVNCESLATLEGLQSLRSLKNLIIWGCPILPQWLRSSLEQVQELLPRLERLKIQDASVLTTSFCKHLTSLQRLTLFACNWELVRQTDEQDIALQLLTSLQELSFTCCRNLGDFPVDLYSLPSLKRLNIYYCKDISRLPEKGLPPSLEELDINDCSEELNDQCRMLPSKLKEDEQRRRFTSRSLNLVRLPEELPLFCRLPRLMEEVEAGLLEGGIGWLAETILENLDADKLDDWIRQVGLADDTKKLRSEIERVDGVVAAVKGRAIWNRSLARSLRRLRELLYDADDAIDEIDYHRLQHQVQRGDAWHGDPDESADGHGAEQTGRPRRGKVGEASGSGGKRWSGIWQHFESVENNAKASASEGGANSFAAGNSVGRKRMRTEGASADNVAASMHPWNKTELSNTIQQMTHQLQEAMNEVMKLRGSDNFVSSNFHHNTTSDSRLKTSSLLQRKMYGRVDEKNSIIKMITEAKSDGVTVIPIVGIGGIGKTALAQFVYNDPDVKSLFEDKMIWVWLSNNFDEEQLTREMLDVVCQKKQEGSCNFSKLQEILKSHMKPTRYLLVLDDVWDDMNNYRLNKLLAPFNSNNAEGNVIIMTTRIWSVAKRIGTVKPIELGALEKEDSWMLFKQCAFGDENHELCGSLSIMGQKIEDKLDGNPLEAENIGELLREHHTVEHWNNILKTEDWKSMQLSGGIMPSLKRSYDMLPYQLKQCFLYCSLFPKGYSFSKEQLIQIWIAQGFVEKSSERLEQKGRKYLAELVDSGFYQHVERTSEHFVMHDLMHDLAKLVSQSEFATIDGSECEELPSTIRHVSILTDSAYNKYEDGDFSRNEEFERRLLKVRSRSKLKTLVFIGEYDSHFLKSFQDAFKEAKHLRLLQITSMYADFDYFISSLVYCTHLRYLGLENETQRTLPQALSKCYHLQVLHIGSCGTPNIPEEINNLVSLQHLVAQKGVWSSIANIGEMTSLQELTNFKVENSIGFEITQLQRMSELVELGVSRLENVTTKQEASGASLKDKHHLERLHLFWKGVRNGYDSDGNYNEYDSDLSYSDSDMNSENECDGNMIPEPSMHSETEEERLQTTDSNDVPSLDHIPDTASEVLEGLEPHRNLKYLWISWYNGAKAPTWLATSLTHLQTLRLENCGEWQRLSLERLSLLRKLVLIKMKNASVLSIRSPEEIILIGMQKLHTKCL >ORUFI04G27320.2 pep chromosome:OR_W1943:4:26946033:26983929:1 gene:ORUFI04G27320 transcript:ORUFI04G27320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTEEQERALQLLTSLEYLIFWHLPNLLSLPANLASLTSLKWLHIGDCPRITRLPEMGLPLSLTQLPGTCNGRSGDDGAPSICSRKCLADTVQAECWYTQCRKEDDIRELVISNCPDLEFGGEEGALRGYTSLKSIKVQGCPKLIPLLVSGKMEVGLLPPSLECLCIDMGPELSTVWDLKLQELEQGSNQVPPPPPSLDTFLITNLTDKVQSRLLSFLPTITNLVISESPELTSLQLGYSKALKELEIVDCKSLASVEGFGSLTNLRFLTVYESPSMPQCFEILSQQHGASEILSRLENLQISDGFILTVSLCKQLTSLRDLFFWPERSKPDATMMGLTEEQERALQLLTSLERLNFWGLPNLLSLPANLASLTSLEWLDISDCPRMARLPEMGLPPSLRRLSLCRCSDDLSMQCRMVATEKLQIRSSHKEHFGPKGYDTGSMGVEWSVVDAAIGWLVQSVLGTLLAGKLEAWTREVGLAGDVRRLEVGMRSVEMVLAAAAARGRELVGNEPLSRSLDDLRQLLFDAEDVVDELDYYRLQLEIEQREDAAAAVDSCVEGGNASSFSSTSSSTCRLVWNAATKLTSWASMAVDFVMAHAGSKRKRGQYELAQDDATVVVVPFENKDDISRRINEIATSLCTISDSVHKAIHLEASYCIAVPKEGVVSNRRLTTSVPVEQKVYGRDSDRDMIVELLVNGKSRDLNVLPIVGNGGVGKTTLAKFVYRDRRIKDHFDLQMWVCVSSIFDEVRLTREMLEHACRDRQDCEKISSFNVLQEMLMGSVRNRRFLLILDDVWEDKDKNGWNRLLGPLRQNQTVGCMILATTRSPSVAKKIRTLTSVELKGLDDDNFWLFFKQCAFGDENHGDHPSLQVIGQQIVKTLKGYPLAAQSVGALLGQNLNYEHWWKIRDQWASLQKGDDDILPILKLSYDYLPSCLQRCFSYCSLFPQEHKFDGEKLIFAWISQSFVSCKDTKNRVEQTGREYLDKLVDLGFFQKDGPHYVMHGLMHELAQAVSSNDCATIDGLKSNSIPSTIRHLSIITSAYDEVEHDSFPTDKFERQLEKITCLKKLRTLMFFGHGPYGSRNLLKCLLTLCKHAKGLRYLRVHFAFMLIDALWNSIKPFHLRYIEFFNGSITFEKSCWWETEDGPRFSNVYAQLLPTCYHLQALDAGSSSNLVPRRINNLVNLRHLIADKERHSEIANVGRLTSLQELRKFRVENVDGFEIGQLQQMNELVSLGIFQLGNVKTKEEARRARLIDKDYLENLCLVWDDSTTNLKPAMATAEEVLEGLHPNRNVKRIKIRGYNGAICPMWLGSNVSVPLLRSLHLKNCSEWRAIQLEEISSLGKLNLIRMWSLVDVSIPSLDELVLIDLPNLEKCIGTYNRELTSNMRILRMERCDKLKDFTLFLNYDHFRVERKTWQWTILPFEEMHSLKDLKLIAMPGVREVSVPYLKKLVIRNMPNLECCTCANLDLLSSCLEVLKITKCRKLTSFQVLQVLPPHCEEKTWLPNMNKLKVHKLSKVSVSIRGVLAPPAIEMRKHWPLFTIKSYEWSVLNDDTVAFNNLTSILNFRIINCPNLVSVSFEALSQLMSLQSLEIVDCPRLLWPQMMLEEACEGKTKFPSITHLSIVSSSMLGDPLLLPCTQSEQLTVNDKPSTFSCPVEEQSNHLSLLYISSTTTTATTESTRNGPILFHMPHLLYTYVKKLHISDCPNLVFCSRKVGFAGFTSLEELTVTRCPKLLMPMVHEGVSDDHIGGRFLLPPLLNQFETDHLSEKLQLYFPENHTSLRRLSVWDSPSLICLQLHSCTKLEELEIFNCKNLCTLGGLTFLSSLKIMKLARNPKLSTSWEFDSQDQQCTGDQAGDLSILSGLEWLETDDFSVLTMSFFKHLNSLQHLTLSSSRSYWRVVRLSEGQGRVLQQLTHLQELRFLCCDDLLVLPEQLHCLSSLKKLEIGYCPGILRLPEEGLPLLSLEELETRGCTEELNRQCRLAATEKLKVLIDGKVWNTVGQAAIGWLVESVLGSLFTDKLSSWLRRVNLDDDVEELVSEMRNVAVVLEAAKGMKVGDQNEPMAGSLLHLKDLLYDADDVLDKLDYCRLQEQIIKGNSEDVTSTPTSSFFSINRWFTVTGWKRKREENHTLLDNKRHKGLKINGLKSPEASNLSHRSTARATNSTTTSYVLEPIVYGRATEIESIKNLIMSNRSDGMIVLPIVGNGGIGKTTLAQQIYKDSEIRKSAIKIWIHVSDKFDLHKVLHKLFFGPSLSDDDHVDKLRHGDDEVTMKASSDGELVMIKANSDSELEKVTGNSMVIVTTRKNTTAKLCGTVGSINLEGLKDDDIWSLFKAYAFGSDKHSNNPILQNLGRKIAKELNGNPLAAKTVGSLLRRNLTVDHWSSIIENEEWKSLQHTDGIMHTLKFSYDHLPSHLQQCFSYCSLFPKGYSFSEAQLIQIWIAQGFVEKSSEKLEQKGWEYLAELVNSGFFQQVENEWPSSEDIVLHDLMHDLARMVSKTECATIDGSECEKLAPSIRHLSIVTDSAYSEDPHGNISRNEEFEKRLLKVMSRSKLRTLVLIGQHDSLFFQSFQNLFKEAQHLRLLQMSSTYANFDSFLSNLVNYIHLRYLRLENEECEGALPQALDKCYHLQVLDIGSCTTPNEYVSNVSYVNGYDSDMSSEPSMDMETEGEELPSSDSNGSPSSEYFTDIISNEVIYGLEPHHSLKHNGVTSPTCLATSLTSLQTLYLENCGKWQILSLERLCLLKKLVLIRMSNVVEVSICSLEELVLIKMPKLKRCFCTSIRNLNDNLRVLMIKTCPALEVFPLFDNCQQFKIEQPSWLFRLSKLVIHKCPHLHVHNPLPPSTNVSKLSITGVSTLPTVEWSRGILRIGVLDDSDDPSVIDEPSDQLITLDDKVLSFHNLRFLTELVIAGCQNLTSISLQGLRQLIYLRTLEIRGCPKLFSSNMPPELVRENMAATYHNALPSLEYLFIAACGITGKWLSLILQYAQALQDLDLYECEQITGLSIGEEESSQPNLMSTPETLSLGHQGDSPTSSARDGLVRIPLNLISSLKHIYIGDCPGLTYNGNDEGFAKLTSLESLRIMNGAKLLSSLVHGNGYDERKNIKLIPLSLEVLELRGYDLPEEVVPDFLRNPIRLKKLSVMDTLSLKYLQLQSCTALEELEIVNCESLATLEGLQSLRSLKNLIIWGCPILPQWLRSSLEQVQELLPRLERLKIQDASVLTTSFCKHLTSLQRLTLFACNWELVRQTDEQDIALQLLTSLQELSFTCCRNLGDFPVDLYSLPSLKRLNIYYCKDISRLPEKGLPPSLEELDINDCSEELNDQCRMLPSKLKEDEQRRRFTSRSLNLVRLPEELPLFCRLPRLMEEVEAGLLEGGIGWLAETILENLDADKLDDWIRQVGLADDTKKLRSEIERVDGVVAAVKGRAIWNRSLARSLRRLRELLYDADDAIDEIDYHRLQHQVQRGDAWHGDPDESADGHGAEQTGRPRRGKVGEASGSGGKRWSGIWQHFESVENNAKARCVDCGTVVKCGSDNGTSVLHNHRKSGKCKRTRGATDQPPNPPSASEGGANSFAAGNSVGRKRMRTEGASADNVAASMHPWNKTELSNTIQQMTHQLQEAMNEVMKLRGSDNFVSSNFHHNTTSDSRLKTSSLLQRKMYGRVDEKNSIIKMITEAKSDGVTVIPIVGIGGIGKTALAQFVYNDPDVKSLFEDKMIWVWLSNNFDEEQLTREMLDVVCQKKQEGSCNFSKLQEILKSHMKPTRYLLVLDDVWDDMNNYRLNKLLAPFNSNNAEGNVIIMTTRIWSVAKRIGTVKPIELGALEKEDSWMLFKQCAFGDENHELCGSLSIMGQKIEDKLDGNPLEAENIGELLREHHTVEHWNNILKTEDWKSMQLSGGIMPSLKRSYDMLPYQLKQCFLYCSLFPKGYSFSKEQLIQIWIAQGFVEKSSERLEQKGRKYLAELVDSGFYQHVERTSEHFVMHDLMHDLAKLVSQSEFATIDGSECEELPSTIRHVSILTDSAYNKYEDGDFSRNEEFERRLLKVRSRSKLKTLVFIGEYDSHFLKSFQDAFKEAKHLRLLQITSMYADFDYFISSLVYCTHLRYLGLENETQRTLPQALSKCYHLQVLHIGSCGTPNIPEEINNLVSLQHLVAQKGVWSSIANIGEMTSLQELTNFKVENSIGFEITQLQRMSELVELGVSRLENVTTKQEASGASLKDKHHLERLHLFWKGVRNGYDSDGNYNEYDSDLSYSDSDMNSENECDGNMIPEPSMHSETEEERLQTTDSNDVPSLDHIPDTASEVLEGLEPHRNLKYLWISWYNGAKAPTWLATSLTHLQTLRLENCGEWQRLSLERLSLLRKLVLIKMKNASVLSIRSPEEIILIGMQKLHTKCL >ORUFI04G27320.3 pep chromosome:OR_W1943:4:26941106:26946033:1 gene:ORUFI04G27320 transcript:ORUFI04G27320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMWLVGSVVDAAIGCLVQSILGSFFTEQMEAWTHEIGLAEDIEKLEFEMKAVERVLAAAEGRSIDNKLLAQSLGSLRDLLYDAEDVMDELDYHRLKHWIEKGEGSSAAADNNPGTNYAASSISSSAYQLICSARQKITSWISSGRKRKREEEEPTDSTMLPHDIKRDISKRINRIVNNLQKTGNSVSGVLQLEIPCRGLTSNQRHRMARNTRLTTSVPIEPKVYGRDADKDRIIEMLINEGSSDLLVIPIVGIGGIGKTTLARFVYRDQRIIDHFDLQIWICVSTNFNEVRLTLEILEHVCKDRQEYRDVSNFNVLQEILLKNIRDKRFLIILDDMWEDRDSSGWDKLLAPLKCNQVTGCAVLATTRRSSVAQMIGTVNAFQISGLDKKEFWLFFKACAFGNEAYEGQPSLQSIGQKIAKTLKGCPLAARSVGALLNRDVSYEHWRTVQDKWKSLQVKDDDIIPILKLSYDYLPFHLQCCFSYCSLFPEDHWFNEETLVQAWISQNFVQYEDTGAGLEETGLQYLANLVDFGFFQKIGSHYAMHDLMHELAEQVSSNECAKINGIQLNVIPPNIRHLSIITTSHENDAPEDFLIEKFEEILQKIRPFQKLRSLMFFGQSSTKLLNPTLTFCKEAKCLRLLRVYVSNAGINSLQNSLNPYHLRYLEFIGAYGDVVLPQALTSFYHLQVLNVGIHGSHDVPTSMNNLVNLRHLIAHDKVHRAIAGVGNMSSLQELNFKVQNVGGFEIRQLQSMNKLVTLEISHLENVKTKDEASGARLIDKEYLKKLSLSWNGGSVSLEPDRSKDVLEGLRPHHNLKTLSITGYSDPNSPTWLSSNLSVTSLQTIHLENCREWKILRSPKILPLLRKLKLVKMFNLVELSIPSLEELVLIEMPKLEKCIDCPQLNEFTPFGAEQWFPSLRELTIGCCPHISKWEILPLREMHALKSLELIDLHAVRQLEVPSLQKLVLINMLILECCSGLTASTVQMSTSQGDKECLSGLRELTIHDCPCLVLSYPLPPSALTSHFSIKGIPTYPTMEKEYGQLSITSDELIMLDDKILAFHNLRGIESLFIKDCPNLVSISSEGLNQLIDLEGLYVTGCPNFTMTSGLVLPSVRFLSLQSCAISGSWLTEMLSHVRSLKTLKLHDCPQIKFLSFSEPAAMEGASSLGSAATHSDRDEQLLKIPSNIIHSLRDLFISNCPDLEFGGEEGALRGYTSLESIKVQSCPKLIPLLVSGKMEVGSLPPSLRSLDIDMDPELSTVWDLKLQELEQGVNQLQSRLLSFLPTITTLVISASPELTSLQLGYSKALEELIIVDCESLASVEGFGSLTNLRSLTVYDSPSLPRCFEILSQQQGASEILSRLDNLQIGDGSILTVTRCKQLILWQAYPSGLHEASVVRR >ORUFI04G27320.4 pep chromosome:OR_W1943:4:26941128:26946033:1 gene:ORUFI04G27320 transcript:ORUFI04G27320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMWLVGSVVDAAIGCLVQSILGSFFTEQMEAWTHEIGLAEDIEKLEFEMKAVERVLAAAEGRSIDNKLLAQSLGSLRDLLYDAEDVMDELDYHRLKHWIEKGEGSSAAADNNPGTNYAASSISSSAYQLICSARQKITSWISSGRKRKREEEEPTDSTMLPHDIKRDISKRINRIVNNLQKTGNSVSGVLQLEIPCRGLTSNQRHRMARNTRLTTSVPIEPKVYGRDADKDRIIEMLINEGSSDLLVIPIVGIGGIGKTTLARFVYRDQRIIDHFDLQIWICVSTNFNEVRLTLEILEHVCKDRQEYRDVSNFNVLQEILLKNIRDKRFLIILDDMWEDRDSSGWDKLLAPLKCNQVTGCAVLATTRRSSVAQMIGTVNAFQISGLDKKEFWLFFKACAFGNEAYEGQPSLQSIGQKIAKTLKGCPLAARSVGALLNRDVSYEHWRTVQDKWKSLQVKDDDIIPILKLSYDYLPFHLQCCFSYCSLFPEDHWFNEETLVQAWISQNFVQYEDTGAGLEETGLQYLANLVDFGFFQKIGSHYAMHDLMHELAEQVSSNECAKINGIQLNVIPPNIRHLSIITTSHENDAPEDFLIEKFEEILQKIRPFQKLRSLMFFGQSSTKLLNPTLTFCKEAKCLRLLRVYVSNAGINSLQNSLNPYHLRYLEFIGAYGDVVLPQALTSFYHLQVLNIRQLQSMNKLVTLEISHLENVKTKDEASGARLIDKEYLKKLSLSWNGGSVSLEPDRSKDVLEGLRPHHNLKTLSITGYSDPNSPTWLSSNLSVTSLQTIHLENCREWKILRSPKILPLLRKLKLVKMFNLVELSIPSLEELVLIEMPKLEKCIDCPQLNEFTPFGAEQWFPSLRELTIGCCPHISKWEILPLREMHALKSLELIDLHAVRQLEVPSLQKLVLINMLILECCSGLTASTVQMSTSQGDKECLSGLRELTIHDCPCLVLSYPLPPSALTSHFSIKGIPTYPTMEKEYGQLSITSDELIMLDDKILAFHNLRGIESLFIKDCPNLVSISSEGLNQLIDLEGLYVTGCPNFTMTSGLVLPSVRFLSLQSCAISGSWLTEMLSHVRSLKTLKLHDCPQIKFLSFSEPAAMEGASSLGSAATHSDRDEQLLKIPSNIIHSLRDLFISNCPDLEFGGEEGALRGYTSLESIKVQSCPKLIPLLVSGKMEVGSLPPSLRSLDIDMDPELSTVWDLKLQELEQGVNQLQSRLLSFLPTITTLVISASPELTSLQLGYSKALEELIIVDCESLASVEGFGSLTNLRSLTVYDSPSLPRCFEILSQQQGASEILSRLDNLQIGDGSILTVTRCKQLILWQAYPSGLHEASVVRR >ORUFI04G27320.5 pep chromosome:OR_W1943:4:26941128:26946033:1 gene:ORUFI04G27320 transcript:ORUFI04G27320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMWLVGSVVDAAIGCLVQSILGSFFTEQMEAWTHEIGLAEDIEKLEFEMKAVERVLAAAEGRSIDNKLLAQSLGSLRDLLYDAEDVMDELDYHRLKHWIEKGEGSSAAADNNPGTNYAASSISSSAYQLICSARQKITSWISSGRKRKREEEEPTDSTMLPHDIKRDISKRINRIVNNLQKTGNSVSGVLQLEIPCRGLTSNQRHRMARNTRLTTSVPIEPKVYGRDADKDRIIEMLINEGSSDLLVIPIVGIGGIGKTTLARFVYRDQRIIDHFDLQIWICVSTNFNEVRLTLEILEHVCKDRQEYRDVSNFNVLQEILLKNIRDKRFLIILDDMWEDRDSSGWDKLLAPLKCNQVTGCAVLATTRRSSVAQMIGTVNAFQISGLDKKEFWLFFKACAFGNEAYEGQPSLQSIGQKIAKTLKGCPLAARSVGALLNRDVSYEHWRTVQDKWKSLQVKDDDIIPILKLSYDYLPFHLQCCFSYCSLFPEDHWFNEETLVQAWISQNFVQYEDTGAGLEETGLQYLANLVDFGFFQKIGSHYAMHDLMHELAEQVSSNECAKINGIQLNVIPPNIRHLSIITTSHENDAPEDFLIEKFEEILQKIRPFQKLRSLMFFGQSSTKLLNPTLTFCKEAKCLRLLRVYVSNAGINSLQNSLNPYHLRYLEFIGAYGDVVLPQALTSFYHLQVLNVGIHGSHDVPTSMNNLVNLRHLIAHDKVHRAIAGVGNMSSLQELNFKVQNVGGFEIRQLQSMNKLVTLEISHLENVKTKDEASGARLIDKEYLKKLSLSWNGGSVSLEPDRSKDVLEGLRPHHNLKTLSITGYSDPNSPTWLSSNLSVTSLQTIHLENCREWKILRSPKILPLLRKLKLVKMFNLVELSIPSLEELVLIEMPKLEKCIDCPQLNEFTPFGAEQWFPSLRELTIGCCPHISKWEILPLREMHALKSLELIDLHAVRQLEVPSLQKLVLINMLILECCSGLTASTVQMSTSQGDKECLSGLRELTIHDCPCLVLSYPLPPSALTSHFSIKGIPTYPTMEKEYGQLSITSDELIMLDDKILAFHNLRGIESLFIKDCPNLVSISSEGLNQLIDLEGLYVTGCPNFTMTSGLVLPSVRFLSLQSCAISGSWLTEMLSHVRSLKTLKLHDCPQIKFLSFSEPAAMEGASSLGSAATHSDRDEQLLKIPSNIIHSLRDLFISNCPDLEFGGEEGALRGYTSLESIKVQSCPKLIPLLVSGKMEVGSLPPSLRSLDIDMDPELSTVWDLKLQELEQGVNQLQSRLLSFLPTITTLVISASPELTSLQLGYSKALEELIIVDCESLASVEGFGSLTNLRSLTVYDSPSLPRCFEILSQQQGASEILSRLDNLQIGDGSILTVTRCKQLILWQAYPSGLHEASVVRR >ORUFI04G27330.1 pep chromosome:OR_W1943:4:26950848:26951201:-1 gene:ORUFI04G27330 transcript:ORUFI04G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEMMEREAPQLSVRHFVERIVRAPWRALRPSATARIGKQRGAWPPPEMELGDARRLFGGPRRRAGMRHRIEGRGLAQGETEDFLHVEASQLVLPCPPICWLETWTTKWQTIIAEH >ORUFI04G27340.1 pep chromosome:OR_W1943:4:26968407:26979414:-1 gene:ORUFI04G27340 transcript:ORUFI04G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAATTPLQGPSCYQAIPFGRPAAEAWYEARSMSECLAGEMGQVLALVYPSLRIDKTTSRRKPGSEPASPGRDLPRHRPPARIQAAMRQRCERDPAEASEGQAGASEHLVQQPALSGSRAHGEGEPQTTVVELVQHVIRVVQQVFQMEKRPSHRLILIADLHPLGGLEHHGDVPHLRHELLDIVVKINSPQPGAQLVREQ >ORUFI04G27350.1 pep chromosome:OR_W1943:4:26983971:26985914:1 gene:ORUFI04G27350 transcript:ORUFI04G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLNSSLRVLKIEQCPVLKVFPLFQNSQQFKIERMSWLSSLTKLTINDCPHLHVHNPLPPSTIVSELFIAGVSTLPRVEGSSHGTLRIGRHPNDIFSFDSDDILELMILDDKSLSFHSLRSLTRLVIDGCKNLMSVLFESLRQLLRLKSLGIYNCPQLFSSNVPSELTSEDTTGANRNALPSLECLDIASCGINGKWLSLMLQHAEVLQKLSITSCWQIRGLSIGEEENGHPNLMSATEASSSGYPSRDELLHLPLNLIPSLKKVTIRFCSLRFYGNKEGFARFTFLEGIAISRCPELISSLVHNNRKDEQVNGRWLLPPSIVELEIQDDNYLQMLQPCFPGSLTHLKRLQVQGNPNLTSLQLHSCTELQELIIQSCRSLNSLQGLQSLCNLRLLRAYRCLGDLGGDERCLLPQSIEELDIDEYFQETLQPFFPRNLTCLKKLRVSGTTSFKSLELMSCTALEHLKIEGCASLATLVGLQSLHSLRHLEVFRCPSLPLCLESLSGQGYELCPRLERLQIDDLSILTTSLCQHLISVQFLELYGDPYLYIRGVEVARLTDEQERALQLLTSLQELQFKSHDSLVDLPTGLHNLPSLKRLKIDNCKSIMRLPEKGLPPSLEELHISNCSKELADHCRMLESKLMVSTD >ORUFI04G27360.1 pep chromosome:OR_W1943:4:26988275:26989407:-1 gene:ORUFI04G27360 transcript:ORUFI04G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCQSKRTLMGLAEYVAGELGLGLVRALISHMTHRLVSVAAAGSSRSATHGSPHLPRRRRNSSRRGGSGAAGIPPKLRNGKWAGHLVQRRISPRGSRTSPLGWEINILFRRCGSGATRSWRRCGKDKRSIWFNAPVEVDRLGLHDYHTVIKWEITLEEKNLLRVGLESLPEKKMHNVLQIVRKRNGNPELVGGEIELDIDEMDVETQWELDRFVNNFKKALNKSRRAAIVNGENADVIDASVANDSYVLVNGSTATMVDNGDVAMAIESKDPDKITTQAEQLDEYVDIGDEMPTATLSLNIHRPAIRRPGEKNANSNLPISGD >ORUFI04G27370.1 pep chromosome:OR_W1943:4:26991904:26992263:1 gene:ORUFI04G27370 transcript:ORUFI04G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMASLVNILALISEACRSAEKLPAALITGGVVEAAAAIFVGVFKAPGGIFQHHGKAPFYLYYGITGGVAIFGFAEAWAGFWVSGDLNGRRAVGKTILWVSILPLVLVAALGGFVFMT >ORUFI04G27380.1 pep chromosome:OR_W1943:4:27006551:27009815:1 gene:ORUFI04G27380 transcript:ORUFI04G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMAILLRTASLISEACRNAEKLPAALITGGAVEAVAAIFLCLFVPPGGLFENHGKGPFFLYYGILIAVAVFGLGEASAGFWVGGNLTGRRSVEIGIVFCFVELD >ORUFI04G27390.1 pep chromosome:OR_W1943:4:27010081:27010767:1 gene:ORUFI04G27390 transcript:ORUFI04G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEFLAKILQAIADACLDDNKLPGALISCGVLQAAAALSLVFFIAPSGVFGNHGKALHWLYYGSLVTVVLVGFVEASVGFWVADDRSSDMCLRCLYSTSDSAAHICTRVQKANAANKL >ORUFI04G27400.1 pep chromosome:OR_W1943:4:27010953:27014248:-1 gene:ORUFI04G27400 transcript:ORUFI04G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQPNKRKPIQSPGCLLTIWTGMLLLSAVAMLLYWLEGRTPRWAWTEAWPAGSKATTANWGSRISSGEGDGDSYEEVDPTLDLSHWMNRSKGQKIFGFDELVLFILA >ORUFI04G27410.1 pep chromosome:OR_W1943:4:27015372:27021782:1 gene:ORUFI04G27410 transcript:ORUFI04G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEAGWLEGGIRWLAETILDNLDADKLDEWIRQIRLAADTEKLRAEIEKVDGVVAAVKGRAIGNRSLARSLGRLRGLLYDADDAVDELDYFRLQQQVEGGVTTRFEAEETVGDGAEDEDDIPMDNTDVPEAVAAGSSKKRLRYIADLSNRLTTITCSSSAGEGASNATGNSVGRKRMRMDGTSTHHEAVSTHPWNKAELSNRIQCMTHQLEEAVNEVMRLCRSSSSNQSRQGTPPATNATTSSYLPEPIVYGRAAEMETIKQLIMSNRSNGITVLPIVGNGGIGKTTLAQLVCKDLVIKSQFNVKIWVYVSDKFDVVKITRQILDHVSNQSHEGISNLDTLQQDLEEQMKSKKFLIVLDDVWEIRTDDWKKLLAPLRPNDQVNSSQEEATGNMIILTTRIQSIAKSLGTVQSIKLEALKDDDIWSLFKVHAFGNDKHDSSPGLQVLGKQIASELKGNPLAAKTVGSLLGTNLTIDHWDSIIKSEEWKSLQQAYGIMQALKLCYDHLSNPLQQCVSYCSLFPKGYSFSKAQLIQIWIAQGFVEESSEKLEQKGWKYLAELVNSGFLQQVESTRFSSEYFVMHDLMHDLAQKVSQTEYATIDGSECTELAPSIRHLSIVTDSAYRKEKYRNISRNEVFEKRLMKVKSRSKLRSLVLIGQYDSHFFKYFKDAFKEAQHLRLLQITATYADSDSFLSSLVNSTHLRYLKIVTEESGRTLPRSLRKYYHLQVLDIGYRFGIPRISNDINNLLSLRHLVAYDEVCSSIANIGKMTSLQELGNFIVQNNLSGFEVTQLKSMNKLVQLSVSQLENVRTQEEACGAKLKDKQHLEKLHLSWKDAWNGYDSDESYEDEYGSDMNIETEGEELSVGDANGAQSLQHHSNISSELASSEVLEGLEPHHGLKYLRISGYNGSTSPTWLPSSLTCLQTLHLEKCGKWQILPLERLGLLVKLVLIKMRNATELSIPSLEELVLIALPSLNTCSCTSIRNLNSSLKVLKIKNCPVLKVFPLFEICQKFEIERTSSWLPHLSKLTIYNCPLSCVHSSLPPSSIVSKLSIGKVSTLPTVRGSSSGTLIIGLHPDEVDDDDGLEDSDQLKTLDDKVLLFHNLRFLTSLAIYGCRNLATISIESLRQLVCLKSLELYGCPKLFSSDVPPELTCEYMSGANHSALPSLECLYIEDCGITGKWLSLMLQHVQALQELSLEDCQQITRLSIGEEENSQPNLMSAMEDPSLGYPDRDELLRLPLNLISSLKKVSITYCYDLTFYGSKVDFAGFTSLEELVISRCPKLVSFLAHNDGNDEQSNGRWLLPLSLGKLEINYVDSLKTLQLCFPGNLTRLKKLVVLGNQSLTSLQLHSCTALQELIIRSCESLNSLEGLQLLGNLRLLCAHRCLSGHEEDGMCILPQSLEEIYICEYSQERLQLCFPGSLTRLKKLVVLGNQSLTSLQLHSCTALQELIIQSCESLNSLEGLQWLGNLRLLQAHRCLSGYGENGRCILPQSLEELYIREYSQETLQPCFPGNLTSLKKLEVQGSQKLISLQLYSCTALQELMIESCVSLNSLEGLQWLVNLRLLRAHRCLSGYGENGRCILPQSLEGLYIREYSQEILQPCFQTNLTCLKRLEVSGTGSFKSLELQSCTALEHLKIEGCSSLATLEGLRFLHTLRHLKVHRCPRLPPYFESLSGQGYELCPRLERLEINYPSILTTSFCKNLTSLQYLELCNHGLEMERLTDEEERALQLLTSLQELRFNCCYNLVDLPTGLHNLPSLKRLEIWNCGSIARPLEKGLPPSLEELAIVDCSNELAQQCRLLASKRKVKINQRYVN >ORUFI04G27420.1 pep chromosome:OR_W1943:4:27020047:27025647:-1 gene:ORUFI04G27420 transcript:ORUFI04G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRCDQILAKLRKDKRSIWFNAPVEVDRLGLQDYHAVIKCPMDLGTVRANLAAGRYPSHDDFAADIRLTFSNALRYNPAGHEVHTFAGDLLASFEKMYKASVSWFEQELKILEPPMPVPPPELPPAKAPAQVKPRAGNVKMRKTKAREPNKREMTLEEKNLLRVGLESLPEEKMHNVLQIVRKRNGNPELVGGEIELDIDEMDVETQWELDRFVNNFKKALNKSRRAAIVNGENADVIDASVANDSDMLVNGSTATMVDNGDVTMAIPWVRPCVDVGDEMPTATYQSVEIEKDTEAASSGWETLFQRPRDAPAIPDLQPLEGGKVVEPCGKIYEIVATVKSELLQGSEELKRSLFLRRDEI >ORUFI04G27430.1 pep chromosome:OR_W1943:4:27026801:27027247:1 gene:ORUFI04G27430 transcript:ORUFI04G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRCSSHFQFQAYIESPSTTKKTHTLVMELMASLINILALISEACRSAEKLPAALITGGVVERPRRRSSSAFFKPPGGVFQHHGKAPFYLYYGIIGGVAIFGFAEAWAGFWVSGDLNGRRAVGKTILWVSILPLVLVAALGGFVFMR >ORUFI04G27440.1 pep chromosome:OR_W1943:4:27032071:27037711:1 gene:ORUFI04G27440 transcript:ORUFI04G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEVGLLEGGIGWLVQTILENLDTDKLGEWIRQVGLTDDTEKLRSEIERVEVVTAAVKGRAIGNRSLARSLSRLRELLYDADDAIDELDYYRLQQQVQGDAWQGGTGSLDEPEAEQAERPSINAAIAISSGSKKRSKAWGHFDITEEENGKPVKARCIHCHTVVKCGSEKGTSVLHNHLKSGSCNKKREATDQQPNPSSSTADTAANSTLVELGGSGSDIRKKMRINGESTHNDAPYAHPWKKAECSTRIQQITRELQDARGAVSEILKLHGPCSVGNSNHRTSTTTTLCRRTSSLNPHKIYGRDAEKNTIMKIITDDSYDGVTVVPIVGIGGVGKTALAQLVYNEPTVKRDFERIWVWVSDNYDELRITMEILDFVSQERHEESPCRKEIRKGVSSFAKLQEILNGYMDIQSKKFLLVLDDVWDSMDDYRWNILLDPLKSNHPKGNMILVTTRLLSLAQRIGTVKPIELGALSKEDFWLYFKTCTFGDENYKAHPSLNIIGQKIADKLKGNPLAAKATALLLREKLTVDHWSNILMNEDWKSLHFSRGIMPALKLSYDQLPYHLQQCLLYCSIFPSSYRFVSKELICIWISQGFVHCNSSSKRLEEIGWDYLTDLVNSGFFQKVDHTHYIMCGLMHDFARMVSRTEYATIDNLQSNKILPTIRHLSILNNSAHYEDPSNDKVEGRIRNAVKAMKHLRTLVLIGKHSSLFFQSFKDVVQKGHHLRLLQISETCTYVDPLLCNLVNPAHIRYMKLHKRALPQSFSKFYHLQVLDVGSKSDLIIPNGVDDLVSLQHLVAAEKACSSITSISKMTSLQELHNFGVQNSSGWEIAQLQSMNQLVQLGVSQLENVTTRAEACGAKLRDKQNLEKLRLSWTNLHKLGHLGTNVPWDERENARAVLEGLEPHTNLKHLEIYSYNGATPPTWLATSLTSLQTLRLECCGQWKMIPSLERLPFLKKMKLESMQKIIEMTVPSLEELMLIDMPNLERCSCTSMRDLNCSLRVLKVKKCPVLKVFPLFEDCQKFEIERKSWLSHLSKLTIHDCPHLHVHNPLPPSTIVLELSIAKVSTLPTLKGSSNGTLTIWLPNDDDVPDKLITLDDNIMSFHNLSFLTGLEIYGFQNPTSISFHGLRQLRCLKTLKIYDCPKLLPSNVPSELTGEYMSGENHSALPSLVRLHIEKCGIMRKWLSLLLQHVQALQELSLDNCKQITGLSLGQEENNQPNLMSAMEDPSLGYPGEDKLMRLPLNLLSSLKKVSITLCNDITFYGSKEDFAGFTSLEELVISRCLKLVSFLAHNDGNDEQSNGRWLLPLSLGKLEIKHVDSLKTLQLCFPGNLTRLKTLVVLGNQSLTSLQLHSCTALQELIIQRCESLNSLEGLQLLGNLRGLLAHRCLSGHGEDGRCILPQSLEKLYIWEYSQERLQLCFPGNLTRQKILGVLGSQSLTSLQLHSCTALQELMIRSCESLNSLEGLQWLGNLRVLRAHRCLSGYGEYGRCTLPQSLEELYIHEYSQETLQPCFSGNLTLLRKLHVLGNSNLVSLQLHSCTSLQELIIESCKSINSLEGLQSLGNLRLLRAFRCLSGYGEYGRCILPQSLEELFISEYSLETLQPCFLTNLTCLKQLEVSGTTSLKSLELQSCTALEHLKIQGCASLATLEGLQFLHALRHMEVFRCPGLPPYLGSSSEQGYELCPRLERLDIDDPSILTTSFCKHLTSLQRLELNYRGSEVARLTDEQERALQLLLSLQELRFKSCYDLVDLPAGLHSLPSLKRLEIWWCRSIARLPEMGLPPSLEELVIVDCSDELAHQCRTLASKLNVKINGEYVN >ORUFI04G27450.1 pep chromosome:OR_W1943:4:27035978:27040573:-1 gene:ORUFI04G27450 transcript:ORUFI04G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLAGRGGANHHHHHHQWGETRAPLAPVAPNSDPNHPLQQRANGSATRPPPSSYVALRPGAMAHREARALRDRLAGELGQVRALIARIDTWQQGQVKRHGSPRRDLPTPPAKLRAAMRKRCEQILAKLRKDKRSIWFNAPVEVDRLGLHDYHAVIKCPMDLGTVRANLAAGRYPSHDDFAADVRLTFSNALRYNPAGHEVHTFAGDLLASFEKMYKASMSWFEQELKLLEPPMPVPPPELPPATAPVQVKPRAANVKMRKPKAREPNKRDMTLEEKNLLRVGLESLPEEKMHNVLQIVRKRNGNPELVGGEIELDIDEMDVETQWELDRFVNNFKKALNKSRRAAIVNGENADVIDASVANDSDMLVNGSTATMVDNGDVTMAIESKDPDKITTQAEXSGMKCQQQLTNQWRLRRILRPRAVGPHHPVIQGAQRTVSQNLAMPILWCKASILTVGYDGRSHLPFDCSSFPSLCAKNDTSLRHREITNSSRERGEEI >ORUFI04G27460.1 pep chromosome:OR_W1943:4:27041805:27042251:1 gene:ORUFI04G27460 transcript:ORUFI04G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAELPTTTTTHRFSLASARRTRTNELQLLPTITLRGGGGGAGATAAAAAATQLQPVRRRRRCRPRRRVGLLLRYRVQHRREPAAAAGPDDRRQQRRRDLDGEPGDELGLRHCRRQRQRQACATHSDRQLKNLWPWKLRAKLTGN >ORUFI04G27470.1 pep chromosome:OR_W1943:4:27044807:27048952:-1 gene:ORUFI04G27470 transcript:ORUFI04G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSSYGENVRRKSHTPSAIVIGSGFAGIAAANALRNASFEVVLLESRDRIGGRIHTDYSFGFPVDLGASCLSGTIIFNPLPFCVSIRLHGVCEENPLAPIIGRLGLPLYRTSGDDSVLFDHDLESYALYDTKGHQVPQELVEKIGKVFETILEETGKLREETKEDISIAKAIAIVMERNPHLRQEGIAHDVLQWYLCRMEGWFATDADAISLQGWDQEVLLPGGHGLMVRGYRPVINTLAKGLDIRLGHRVVEIVRHRNRVEVTVSSGKTFVADAAVIAVPLGVLKANTIKFEPRLPEWKEEAIRELSVGVENKIILHFSEVFWPNVEFLGVVSSTTYGCSYFLNLHKATGHPVLVYMPAGRLACDIEKLSDEAAAQFAFSQLKKILPNAAEPIHYLVSHWGSDENTLGSYTFDGVGKPRDLYEKLRIPVDNLFFAGEATSVQYTGTVHGAFSTGLMAAEECRMRVLERFRELDMLEMCHPAMGEQTATVSVPLLISRL >ORUFI04G27480.1 pep chromosome:OR_W1943:4:27056518:27058300:-1 gene:ORUFI04G27480 transcript:ORUFI04G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILRSIQLRNSIWSSFPVRFLKQQAGLSTVELLDPRSCGKRHFTTQVQEHGKEVAAAATILVFDLETTGYFHKDHRIVEFALCDLSGGKNSTFETLVNPERTVPDYVQHLIKIGTDLVCRPGIPRFSDVIPLLLAFVRSRQAPGKPVLWVAHNAKQFDARFLAQEFDRCSAPLPADWLFFDTLLLAKKMVKAEGKKRRTSLEALREHYGIVSHDDAHRAMRDVMILSQVFQKMTFDLKLTNEELINEAMKASEFSKIS >ORUFI04G27490.1 pep chromosome:OR_W1943:4:27063911:27067794:1 gene:ORUFI04G27490 transcript:ORUFI04G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELITNVSEYEQLAKQKLPKMIYDYYASGAEDQWTLKENREAFSRILFRPRILIDVSRINMATNVLGFNISMPIMIAPSAMQKMAHPEGELATARAASAAGTIMTLSSWSTSSVEEVNSAAPGIRFFQLYVYKDRNIVRQLVRRAELAGFKAIALTVDTPRLGRREADIKNRFNLPPHLVLKNFEALDLGKMDKTNDSGLASYVASQVDRSLSWTDVKWLQTITSLPILVKGVMTAEDTRLAVESGAAGIIVSNHGARQLDYVPATISCLEEVVREAKGRLPVFLDGGVRRGTDVFKALALGASGIGRPVLFSLAVDGEAGVRKVLQMLRDELELTMALSGCTSLAEITRNHVITDSDRIRRSRL >ORUFI04G27490.2 pep chromosome:OR_W1943:4:27063911:27067794:1 gene:ORUFI04G27490 transcript:ORUFI04G27490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELITNVSEYEQLAKQKLPKMIYDYYASGAEDQWTLKENREAFSRILFRPRILIDVSRINMATNVLGFNISMPIMIAPSAMQKMAHPEGELATARAASAAGTIMTLSSWSTSSVEEVNSAAPGIRFFQLYVYKDRNIVRQLVRRAELAGFKAIALTVDTPRLGRREADIKNRFNLPPHLVLKNFEALDLGKMDKTNDSGLASYVASQVDRSLSWTDVKWLQTITSLPILVKGVMTAEDTRLAVESGAAGIIVSNHGARQLDYVPATISCLEEVVREAKGRLPVFLDGGVRRGTDVFKALALGASGIGRPVLFSLAVDGEAGVRKVLQMLRDELELTMALSGCTSLAEITRNHVITDSDRIRRSRL >ORUFI04G27500.1 pep chromosome:OR_W1943:4:27068047:27071776:1 gene:ORUFI04G27500 transcript:ORUFI04G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVGLRLPGPNCREMALVTNVCEYEELAKHKLPKMVYDFYAAGAEDQWTLRENSEAFSRILFQPRVLVDVSCIDMSMSVLGYNISMPIMIAPTALHKLAHPEGSGELATARAAAAAETIMIYKDRNLVQQLIQRAEKAGYKAIVLTVDAPWLGRREADVKNRFTLPQNVMLKIFEGLDQGKIDETNGSGLAAYVASQIDRSFSWKDINWLQTVTSLPVLVKGIITAQDKYGAAGIIMSNHGGRQLDYLPATISCLEELCHMEANGRVPVFIDSGFRRGTDVFKALALGASGIGRPVLFSLAIDGEAGVRNALRMLRDELEITMALSGCTSVKEITRGHVVTESDRIRRCSRL >ORUFI04G27500.2 pep chromosome:OR_W1943:4:27068047:27071776:1 gene:ORUFI04G27500 transcript:ORUFI04G27500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVGLRLPGPNCREMALVTNVCEYEELAKHKLPKMVYDFYAAGAEDQWTLRENSEAFSRILNLVQQLIQRAEKAGYKAIVLTVDAPWLGRREADVKNRFTLPQNVMLKIFEGLDQGKIDETNGSGLAAYVASQIDRSFSWKDINWLQTVTSLPVLVKGIITAQDTRIAIEYGAAGIIMSNHGGRQLDYLPATISCLEELCHMEANGRVPVFIDSGFRRGTDVFKALALGASGIGRPVLFSLAIDGEAGVRNALRMLRDELEITMALSGCTSVKEITRGHVVTESDRIRRCSRL >ORUFI04G27510.1 pep chromosome:OR_W1943:4:27072271:27075430:1 gene:ORUFI04G27510 transcript:ORUFI04G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLQPDPFLSELTSMYERSTEKGSVWVTMKRSSMKCQARLKKMAAKGEAVEYRCLVRATDGKKNICTALSAKEYLKFQASYATVLKAHMHALKKRERKDKKKASEVEKIPEKAPKKQKKAPSSKKSAGSKS >ORUFI04G27520.1 pep chromosome:OR_W1943:4:27075579:27079116:1 gene:ORUFI04G27520 transcript:ORUFI04G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLACATLARRAAGATSTARRHLAGAAEAAEAELKKTALYDFHVAHGGKMVPFAGWSMPIQYKDTIMDSTLNCRANGSLFDVSHMCGLSLHGRQAIPFLESLVVADVAALKDGTGTLTVFTNDRGGAIDDSVVTKVTDQHIYLVVNAGCRDKDLAHIGEHMEAFNKKGGDVKWHVHDERSLLALQGPLAAPTLQLLTKEDLSKMYFSDFKMIDINGYACFLTRTGYTGEDGFEISVPSENAVDLAKALLEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLSWAIGKRRKAEGGFLGADVILKQLQEGPKIRRVGLLSQGPPPRSHSEIVSNSGENIGEVTSGGFSPCLKKNIAMGYVKSGLHKAGTEFKVVVRGKSYDAVVTKMPFVPTNERLKKL >ORUFI04G27520.2 pep chromosome:OR_W1943:4:27075579:27079259:1 gene:ORUFI04G27520 transcript:ORUFI04G27520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLACATLARRAAGATSTARRHLAGAAEAAEAELKKTALYDFHVAHGGKMVPFAGWSMPIQYKDTIMDSTLNCRANGSLFDVSHMCGLSLHGRQAIPFLESLVVADVAALKDGTGTLTVFTNDRGGAIDDSVVTKVTDQHIYLVVNAGCRDKDLAHIGEHMEAFNKKGGDVKWHVHDERSLLALQGPLAAPTLQLLTKEDLSKMYFSDFKMIDINGYACFLTRTGYTGEDGFEISVPSENAVDLAKALLEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLSWAIGKRRKAEGGFLGADVILKQLQEGPKIRRVGLLSQGPPPRSHSEIVSNSGENIGEVTSGGFSPCLKKNIAMGYVKSGLHKAGTEFKVVVRGKSYDAVVTKMPFVPTKYYKPS >ORUFI04G27530.1 pep chromosome:OR_W1943:4:27099725:27101971:-1 gene:ORUFI04G27530 transcript:ORUFI04G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLDHTLERRQAEANRIREKYSDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLL >ORUFI04G27530.2 pep chromosome:OR_W1943:4:27099727:27101960:-1 gene:ORUFI04G27530 transcript:ORUFI04G27530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLDHTLERRQAEANRIREKYSDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLL >ORUFI04G27540.1 pep chromosome:OR_W1943:4:27104846:27106288:1 gene:ORUFI04G27540 transcript:ORUFI04G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGARKTELFMGQPYRTMPATSRNRGWAPLSTRACAARPRPPMDRRSEAAERRGHGRAPYSAARDPVFFAHHGNIERLCFHFYNEDARLICVRDSLDAARCASPVHTGAHDRRLPGNLDKTVRVAVTRPKTSRSRKEKDAEEEVLVIEGIEVSRPLHREREHRGGEYVHRERHANADSVHHDERMRSPRKTVARSLL >ORUFI04G27550.1 pep chromosome:OR_W1943:4:27110699:27112325:1 gene:ORUFI04G27550 transcript:ORUFI04G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESINVARGASVTPRMALPCISNLQTLHYNLLLHRNRKTNGRKLRSVSCRASAGRGGGRGLDRRDVLLGIGAAAAMVATQGGGGALAAPIQAPDLGHCHEPVDLPDPDTAPEISCCPTYSAGTVAVDFTPPPASSPLRVRPAAHLVDIAYLTKYERAVSLMKKLPADDPRSFKQQWRVHCAYCDGAMYLYFHERILGKLIGDETFALPFWNWDAPAGMSFPAIYANCRLSSLYDPRRNQAHQPPFPLDLNYNGTDPTIPEDQLIDQNLKIMYHQASNHIHSLTQTRN >ORUFI04G27560.1 pep chromosome:OR_W1943:4:27112422:27130608:1 gene:ORUFI04G27560 transcript:ORUFI04G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQMISGTRKKELFMGHPYSAGDQPKPGAGTVEFVPHNTVHNWTGDPRQPNGEDMGMFYSAARDPVFFAHHGNVDRMWYIRHGLFPRDTDFADPDWLDATFLFYDEEARLVRVRVRDSLDEAALRYTYQDVGPLPWLNAKPSTGPAGALPGTLDKTVRVALTRPKTSRSRKEKDAEEEAPVIEGIEVPDHSAYVKFDVFVNALENADERKRSPRKTVARFGISDLLEDIGADGHKTIVVSIVPRSGCDSVRVSGVSIGYSINVAPGTTATPRMAPPPPPPCITNLQSTLRYNNLLLHRKTKGWKPRNVSCRVDRRDVLLGISGAAAMVATQGGGGALAAPIQAPDLGDCHQPVDVPATAPAINCCPTYSAGTVAVDFAPPPASSPLRVRPAAHLADRAYLAKYERAVSLMKKLPADDPRSFEQQWRVHCAYCDGAYDQVGFPGLEIQIHSCWLFFPWHRMYLYFHERILGKLIGDETFALPFWNWDAPDGMSFPAMYANRWSPLYDPRRNQAHLPPFPLDLDYSGTDTNIPKDQLIDQNLNIMYRQATRKAELFMGQPYRAGDQPEPGAGTVESVPHNPVHRWTGDPRQPNGEDMGIFYSAARDPVFFAHHGNVDRMWHIRRGLLFPGDTDFTDPDWLDASFFFYDEEARLVRVRVRDTLDPSALRFTYQDVGLPWLNAKPSTGAASTPAPAAGAFPATLDKTVRVAVTRPRASRSREEKEEEEEVLVIEGIEIPDHSTYVKFDVFVNAPESGDGAATCAATCAGSVALAPHGIHREGQLSPRKTEARFGICDLLDDIGADGDKTIVVSIVPRCGCDSVTVAGVSIGYAKMVPRERFMAKYENGENGMLSQTETNKRQKSNDALLDSSDTHKA >ORUFI04G27570.1 pep chromosome:OR_W1943:4:27123710:27130905:-1 gene:ORUFI04G27570 transcript:ORUFI04G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAHSPLCSRSRPVLVVRPATAATGFAQPIIRCRRFTRTRLLRCLVASADYSKRNPRRASTPKPKGAASRTYAPRPTVESSMKKIGQSGTDEGDLGTSNGKLSSEATEQTSNVEESSEVDFSGNVSSSVFLEGMDDAFEAETEEEVEQNQSPELSSESMDDDAIDRKLDEYRGKISALISSKPEPTSVSSTHVQDRSIVGFHEQEKSVVSFHEQDRSIVSVPEQSQPSSGVSGQNPTEEKTIISGQDVTEEAPEEITGKSIEREPLSRETEKVLFADDDPRIIKDEQYEPDIAPVQDDVDPQVLRRQLEELAEKNYLAGNKCFVFPEVVQADSVIDLYLNHSMSALASEPDILIKGAFNGWRWKKFTQKMHKSELTGDWWCCKLHIPKQAYRLDFVFFNGDTIYENNNHNDFVLQIESEINEHSFEDFLVEEKQRELERLAAEEAERKRQAEEERRKEEERAAMEADRAQAKAEVEMNKNKLQNLLNSASRYADNLWYIEPHTYKAGDRVKLFYNRSSRPLMHNTEIWMHGGYNNWSDGLSIAEKLIKSYEKDGDWWYADVTLPEGALVLDWVFADGPPGNARNYDNNGRQDFHAVVPNNISEDLFWVEEEHMIFKRLQKERKEREDADRRKSEITAKMKAEMKEKTMRDFLLSQKHIVYTEPLEVRAGTTVDVLYNPSNTVLNGKPEVWFRCSFNRWTHPSGPLPPQKMVNAENGSHLRATEGGIYDNRNGMDYHIPVTDSVAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHNVEVILPKYDCLNLSNVKDLHYRQSFTWGNTEIKVWMFWVGCVYGRNDESRFGFFCHSALEFLRQNGSSPDIIHCHDWSSAPVAWLFKEQYAQNGLSNGRVVFTIHNLEFGAHHIGKAMARCDKATTVSYTYSREVSGHGAIAPHFSKFHGIRNGIDPDIWDPYSDNFIPVHYTSENVVEGKSAAKKALQQRLGLQQTDTPVVGIISRLTVQKGIHLIKHAIYRTLERNGQVVLLGSAPDHRIQGDFTNLASKLHGEYHGRVKLCLTYDEPLSHLIYAGADFILVPSMFEPCGLTQLTAMRYGSIPIVRKTGGLYDTVFDVDDDKDRAREQGLEPNGFSFEGADSNGVDYALDRAITTWYDARDWFHSLCKRVMEQDWTWNRPALDYMELYHSARKN >ORUFI04G27580.1 pep chromosome:OR_W1943:4:27131477:27134897:-1 gene:ORUFI04G27580 transcript:ORUFI04G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRKPRSSSSAAAAAGVVDHHRFLRPGALARLRDARLRRRNPAVSRPAPPSSPSPSPAAPSSSPPPAAAGDGEGGAVMPYFVPVSRLLGPRCPQRKKLAAAKRVMLFAPPPPSPDLPVEVAMEFLGSPDMVTA >ORUFI04G27590.1 pep chromosome:OR_W1943:4:27134503:27135081:1 gene:ORUFI04G27590 transcript:ORUFI04G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEPRNSMATSTGRSGLGGGGAKSITLFAAASFFRWGHRGPRSLDTGTKYGITAPPSPSPAAAGGGDDEGAAGEGEGDEGGAGRETAGFRRRSLASRRRARAPGRRKRWWSTTPAAAAADEEERGLRVAAMWARGVSESRMREARIGGRRRERVGVFEGVRVGRGRASFRREKGLCQKWDRAFVAGDAFTL >ORUFI04G27600.1 pep chromosome:OR_W1943:4:27135853:27137321:-1 gene:ORUFI04G27600 transcript:ORUFI04G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLKHQNKISGSSFPFPVSSYMLKCKEQLTFKDSSRRRIYGSNLFAMLFSGDERSQFAYITFEDDEGAERAMLLTGATIVDMSVIITPATNYQLPAAVLADIESKNAGGMESALRKAEDAVVSMLAKGFVLGKDALERAKSFDEKHQLTSTATAKVTSLDRKMGLSQKFSTGTLVVNEKMKEMDQKYQVAEKTKSALAAAEQTVSTAGSAIMSNRYILTGAAWVTDAYSKVATTATDAGAKSKEMVVAEQDDKHQDDKPAKDYSPISSKEFVQEGKHQEGERPKKNMPEKPEMGKRELENQEAAIPTAHAQENAQITGKEHKHQEADLPKADIPGSFTMAAKSEKHRNPYSELSKTHIPGSPATIPVCTATTDVNSTKTPKKPENAEGFI >ORUFI04G27610.1 pep chromosome:OR_W1943:4:27138545:27138775:-1 gene:ORUFI04G27610 transcript:ORUFI04G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSAFFASRAACPSGSSGEFSARRIRLYSDSATGRLRARSSAAAQIIPPPIPPPPRLAADHRRKRVLDERGIGL >ORUFI04G27620.1 pep chromosome:OR_W1943:4:27140842:27144453:-1 gene:ORUFI04G27620 transcript:ORUFI04G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKHRSAAAAAAPAAAPPSAVRTPRRLRRRPLKTASGSGGGGGGGRRSGPATPLLKWDVGNGAEKGGGGGEKAGGPSEKAAAARDVSVRRLAAGVWRLRPPEAVAGGAAAGSGERRRVHVGLEHIPRHLQVQLIKQNNLVHHQTLKNEISSPISVLERKSGELHKVPLHAATAVLPVTAMEKATKWEPESLEGMEAHDAYLIASQLNLLNEQQDATYVANLQMELQQARDRVSELETERRAAKKKLDHLFKKLAEEKAAWRSREHEKVRAILEDMKADLDHEKKNRRRLEMINLKLVNELKEAKMSAKQLLQEYDNERKARELTEEVCNELAREVEEDKAEIEALKHDSLKLREEVDEERKMLQMAEVWREERVQMKLVDAKLTLDAKYTQLSKLQQDVEAFIAACSCARGDIMVVEEAENIIQAIKSVRAQDIEFRYEPPAQSEDIFSIFEELRPSEEPVIKEIEPCYKNNSAMCESEIQEASPMTDIFLEKPTKVYSSKSPQNESDTEDGSSWETISHEDMQASSGSPDGSEPSVNKICDGSISWTSRNDFEYKEAEKLKDDSTDIYLTNMNQPKKKESALSKLWKSSRPKNNDVCKKDAVETINGRSSNVRLSVGTHSTIDSGIQEIGLSSPSIGQWSSPDSMSMQFNRGFKGCMEYPRTSQKHSLKSKLMEARMESQKVQLRQVLKQKI >ORUFI04G27630.1 pep chromosome:OR_W1943:4:27148815:27152514:1 gene:ORUFI04G27630 transcript:ORUFI04G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTAPSFSLGSEFDEPEEAVGYTAPDAPSFSLGIDFEGDGDESHLTDAGNGGEEQKRYEAPDAPSFSVGIDSDSDGGEERRREEQRRSYEAPDAPSFSLGIDSDGDGGDEPHLTNGGHREEQQRRYEAPDAPSFSLGIDFGDGDDEPRLPNASRQAPRYEAPDAPSFSLGFDDDEDDVLIGGSRHELGTVEEEDDDFVLADGQQQQQRRHETVVPDPAPPPPEMNRFKRLRRGPAPPSQAPTPPPHRTPAPATMEASPVVSSKAVLGDIGSFEDEIEDFTDEERFMRDVPPSVGSCITSSSSRFSHASNSKFSLMNHGVLMSQSTSKSKKFAQTPNYSASKSMEESSTKKLLPKTALSPMRKIHLLDSDSDSDDNKEMPGLQQNCKSKVSTVQHKGKAEMNDSWATPALDEFCNEYFKSVEDSRPSQQKEGNSFCGPKVIRSNYSVSETGGHFPHQSTPSGAVLEDNQTDSHPPAMHYFFHHDQLVRDLVRQRLKHFVPVGVDSRGNEQDGTQNLQYRSQTGRCAAENDRWVTPNKRMPVATQVGRRRVNPAGMSGSGHWLTGDDGKKLYISKDGQELTGRVAYRQYQRESGKGFRQSKKKSSAGTRAKKATTKVKQEKTRAKRKR >ORUFI04G27640.1 pep chromosome:OR_W1943:4:27158183:27160796:1 gene:ORUFI04G27640 transcript:ORUFI04G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVAAATTGSRRWLPWARRQAAAYLAAAGGGDDGWAACAASAVRIVVCFVSMMATTAAWAVVMLLLLLLPWPCARIRQGNLYGHVTGRMLMWILGNPIKLEGMENLNTRGIFICNHASPLDIFLVMWLAPTGTVGIAKKEIIWYPLFGQLYVLANHLRIHRSNPAAAIESMKEVARAVTKNNLSLILFPEGTRSKTGRLLPFKKGFVHTALQTRLPIVPMVVTGTHLAWRKNSLRVRPAPLTVKVLPPIETDGWVEERIDEYVEMVHSLYADSLPDSQKPLEPVNTGKKKMS >ORUFI04G27650.1 pep chromosome:OR_W1943:4:27160295:27172594:-1 gene:ORUFI04G27650 transcript:ORUFI04G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTADVGGEDEHEIVQEDLYLDDDDEQFDVDLNEDNEMEEAEPKREQNLVKVGMEAWNQSFGATCKVRLIHILKNLHTAEIKIYSDASREFIELLDGDPEGEVLREYVQQSPRLVELAEAWRLHREKPGMAYILSLFATVLGHPGGKLRRHGLVKKSLDGVARMILEDKEKMGDVYLELNSGEFRRQNAALDLLAAIVRRGGGLASEIAKSFDFKMAVLPQLSGVRKKRGGRDGGNRKKGTDFGSTRRSFVGFAMSFLEVGNPRMLRWILQQRELYSGVLRGIGDDDTDTIVYILSTLRNNVLVDESLVPPGLRSVLFGSATLEQLSLISGNLDAGEAADIAHEVLIMVCTDPKNGLMPSSNLRGNQKRLLDLMKKLKATEVVHHKNLLLAIVSKSLSLCSAYMNEFPYSIEPRPSSSWFSAISLAADMISSVNCDGIFQNLLSTSHDLVSVDDEQVQCIVPNMCTRAVINRGLLHSDDLVKHGALRLVFESVNLLCDVIEVINDIVSNARVKSEHDNSTKVTVKIDGFPGLSCSTSADASIVHEVLHGDKMHVDRWITLREYIQDVVRGAIPDPQVLLKLLSSASQKHQNYSQSKQKKHAQLEPPRKKRRRGATDEDADIIIGGIDVELSRDEPEEQEMDLANDHTTILCEIWGLNKQDPKIKDAKVVGDVFHSKLLDVLRFYLRVMPSSFDGSFDFFKVMPPNPLDLSMDEQQSLLSLLVEYSGQSDGYWCPEKVPESMYKYLQPLIDIMLHSQVKSIRDKAYILVKAAVASSGAFDQNIAEIDAWLAFLPCYKAKGCEREGLGVEASNRLSHIVIPFLCDAISVVGNNLYKYQEHIRKLISKFNQFEGYSPSFSPLIVCVLQKCLRLLDSESASVKLHEKSTISLYVCNTVYLIMQSQVDVLLLPDLVGTILNERLGKFSSEEINSRICFAEWRPLMYLLHILRSISDQKSSSLFSTLEHSSEVYGNSLCSVTRTIEEMSNQQPTNLPDDVATSFLYSVICAPPDDVISSFPKLLHVLKTHFPSNLPFLSSVLFLQHDYLAKVASYCPDMFFSSLRQIKGNLDVDSVNIVEDKWGKHSTCSESAVISTFLNVTPFCALLPSVLSLAFSAPDEITKAHPLLQDELVHLLQAKISESPLSELTIFLRVVLFWSHHLLSSYTVKCSDILAQLCGVCFSLIDSIFERIRVLTADTANSKSSVAFYPVECLNGIVESVVQHPIIGLSLSCSLSNFQDLADGSVEYVKEDFASFSKEKLHLADSFVLNLLSNLYGLVLLAGSFGANYSNNDDQSLESLFGPPKLLLERILLLFKEKFELCMEKRNFGLFLPNFYMFRTLAKFVSPVRLLELANWMFSTFEGFSSSSPAYAPAAFCCLYTADIAFEMLYDYLQQIDQRSGPCRLWGLEIHNCDIATIQQVYNIILHFATKLNLEFADLCLLKMLIRIHHTEISAVRNTDYIALHMMLSTMVANTPIDILHHCMFPTSKVKAKAIQLLLGANPMHMRLFGKLLTDILKKDTSVMQVVGSDSNASWTHEDSFILLLPAALSYIEHHSGGNRQCVDFLEPVPVFYREILLSDNGFPCWKSFVTRSIFEEDFSDFRHTSVEDIMNYFGDTLLGKSITMLRYYFYSKEIPRKQRLKIIASICPQSSELLDSDISFVTPVSCNGIMKLTNELFAKISLIRMLLSPPRGSLNNEIAPEKESKRVNNAKLSFISILVRTLDKIFRNFPHSDGILLSSPEEQNVVSCLEYAILKNIVELSSEVQSHLNQLKPIPFLNQLIRSSLLHRFSDPVVIKAIRCIIVVLSEGKFPADEILELILGHSHFVSTITCSGVSECPSACNPTGGLLQPAPSILKSVDSAFAKENKFQDCIPERKKVEIIRLLRILYDIKSRQHNSSLLDESRELGFLLLSVYGATLSETDLEILHLMNEIESSECKAITDVDHLWGKAAVKFREELKLEFSASDTHKMENAEISDRRRSLFRENIPIDSKLCVMTALQFCYRRSSRASIFSLEQLQQDNFGDIFKATSQSMDAVRTYDPVFILHFSIHTLLMGYIEPVEFSRLGLLAITLVSISSPDEDLRKLGYESLGTFKKSLEASQKSKETWQLQLLLTYLQNGISEQWQRIPSVIAIFAAEASLTLLDSSHTQFATISKFLMHSASVNLQLLYAGSNLADDAKIYKRGVLELALSYGSSAVSDSETKLLTLQVLKKCVKLPVLAHHLTKDSGLLLWLSSVISSHVEGLDSVKNSYSSTVIGSALEVVNDLTSSRLIAEWLQETALEQLSRISKYLYVLVEDMKLLKGNVPLLTSVLNVIASTMRLSMKRKIYQPHFSLSLHGIHKLCRTIGGISRSIEVKLAMQLGIDVILMNGPLPVLSEMDKSMTATVVSWATSNIFWLCDEQRSVLKMPHEEPLKNECLLSKMLRWLVASIILGKISCISHEKCGDLTRDPNNFGSLESFLNYTYDEKVETVGSHSADEALAIIILYLQKHLKMNRDFLPSVVAALCLLLLDRSNKQVIRNFIGDYGQIEMLCSQIQCPAEANPAWRWHYYQPWKDPAMHRNEAEHLEEEQACQSLLVMFSNSFSAGLSGSGNPGR >ORUFI04G27660.1 pep chromosome:OR_W1943:4:27173539:27190205:1 gene:ORUFI04G27660 transcript:ORUFI04G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSRCLTASVTGTHNLQVTSYSLLEGMGVGKFVSSTTFSRAGSDCRIARFVKKSDLQEHAIRRIRFTGNDSFKIECSLTVISESRAEDVSTIPVPPSNLHQHLAGMLHGVEIADVEFSVGGEPFRAHACVLAARSPVFRAELLGPAAARSIKIDDDDDMEPATFKALLHSIYTDHLPNDSGFGKDAAMQRRLLVAADRYGVDRLRAMCGAKLYESVSVGTVVDSLEFAEKHHCAQLKDACLGFMASPNVLGVVRKTDGFKRLVEGCPWVLKEILDKLRCSPSIFAMGNSLFSMASSTASPSDGRSPRLPETLSRCVTASVAAAHNFEVTRYSLLAGVGAGEFVTSGTFSIDGHNWNIQVYPDRWKQEMNAGYVSVFLCLCGGATGVRAKYTLSLSENGGESVQRSLTHRFDTVGAFWGFPRFMERPRLRQWLLRRGPGGGDDCVTFRCSLTVIREPRTEGVAAVAVPPSDMRRHMANMLRGGDGADVVVLVRDQPFRAHRCVLAARSPVFRAELFGGGHMRERRTSCVVVDDMEPSIFSAFLHFIYTDSLPENPDTPGDDQDCMAMQHLMVAADRYGLDRLVLICEEKLCRGIDVQTVATTLALAEQHQRVALKDACLGFIVSRGVLGAVARTDGFKHLLTTCPSIMVDILDKVASVMSKEGFVSVSCASSNRGGRQWGASSASASAIRERNSGDPSPYQSRAPLRSSPNLDDLPRFRSASLIPPPPPPPPPRRVGYPACRNRSISEALDCDEVMDIGPHSIQLIAPPSLYHAASAAAMGDHRDPAFPAAAGGCRLPKTSSVSVTESVTAVHDFKVTGYSLIEGLGIGRYVSSSTFTVGGVDWAVRFYPDGSTVTCLGNASAFLYYCGREKEVRTRFTLNLLGKDGKLSQVTNSYMKHTFSPASDNWGFIKFAEKSKLQSSPFLHNDCLTIRCLLTVVRESHTKDVEVNSVVVPPSNLHTDFENMLQDGEGSDVTFTVGGQEFRAHKCVLAFRSPVFKAELFGPMKENGTQCIKIDDMEPEVFEALLHFIYTDRLPDSCRDGKAAAMQHLLVAADRYGVDRLRLICERRLSETIDVETVATTLVLAEQHHCSQLRQACIGFVASPNMLGPVIESDGFKHLVESCPLIMKEILNMLTSSAARTSSRSVWEGITGTHDFEVVGYSLMDGFGAGRHVCSGDFSVAGHDWYVAFYPDGLDQDSAGYASACLAYRGKERLVRAKYSLSLVARDGRASPLAGDTLRSHYFTPTSRSADVLKFVEKSKLSSSPSSSSYSCLDDDTLTIRCVVTVVTGPRVVSVAPAKERGPRVTVPPPSLHEHLARMLRDGRGSDVAFRVGGRVLRAHRCVLAARSPVFDAELLGPMMETTAPCIEIHGVEPAAFEALLRFVYTDSWPLAGVDVAATVRLLSAADRYGLERLRLMCEEKLHEGIDVDNAADVLAMAELHHCSQLRDACVAFIASPSTLGPVLASSGFEDLIMATGASVTKEILHKVSESWSGPGNRNNSSKRK >ORUFI04G27670.1 pep chromosome:OR_W1943:4:27186432:27186887:-1 gene:ORUFI04G27670 transcript:ORUFI04G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSPSSWSQGSASVLLQAAMQRPCPSSQAQAPALLQAAMQPPSSSSQASAAALFQGAPTRRPPPPRFGADGPLRPAPPPRRCLGLPHPQPPYSGVQATESMEGHAPWETLNPLSHEAPGVDFSTAYSVSDEEFLHRSNFGKAVLDSFDV >ORUFI04G27680.1 pep chromosome:OR_W1943:4:27190928:27195097:1 gene:ORUFI04G27680 transcript:ORUFI04G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQPPSQPQPGMGGPPPPPQGAAGQPPQWGAIPPPMPPHQYGAPPPQQPPAMWGQPPPQAHYGQVPPPQPYYAAPPPQAMPAPAAADEVKTLWIGDLQPWMDESYIYNCFAATGEVQSVKLIRDKQSGQLQGYGFVEFTSRAAADRILQTYNGQMMPNVEMVFRLNWASAGEKRDDTPDYTIFVGDLAADVTDYLLQETFRVHYPSVKGAKVVTDKMTMRSKGYGFVKFGDPTEQARAMTEMNGMLCSSRPMRIGPAANKKTTGVQERVPNAQGAQSENDPNNTTIFVGGLDPNVTEDVLKQVFAPYGEVVHVKIPVGKRCGFVQYVNRPSAEQALAVLQGTLIGGQNVRLSWGRSLSNKQPQHDSNQWGAGAGAGGYYGGYGQGYEAYGGYAQPQDPNMYGYGAYAGYPNYQQQQVAQQQPPQQ >ORUFI04G27690.1 pep chromosome:OR_W1943:4:27195584:27200404:1 gene:ORUFI04G27690 transcript:ORUFI04G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKRTKPPPPSRKAEAAAAAAQRPSSSSSSPSPSLPEALLLATVCMVGLPVEVQVRDGSAYAGVLHTASVEGGYGVVLKKARKIANGNDNANIPLGAFVDSLVIHPDDLVQVIAKDFSLHTKDVCRTPVCDTVAASAYVKPQTSHVNVFPLKEVKKCSTPGEETNISIGRSSPGPRLSCNEIMSSAVVGSKDGNTKSAVLTTPTMSSDVKISPPATVAKTATPSKTIAKESKLNPCARVFSPSFASSRPVLAAAPSVNPIYISNSVAGVPTGLPVFETNSVPGGSSLSSKAVHYNNLAAANYAISPQYTQSTMGHNVSRLDPARIGTPYHPMQVGPAYISPSPQPVTGGKFNHVVYVHPFSQDVMHGAPVMPQGWSLPAPLNSHQASLQKFQGTAPVYVAPPIMATGNLPLVVPSPAPLVQPFQAVRPIMVPAASSMVPGKYM >ORUFI04G27700.1 pep chromosome:OR_W1943:4:27203083:27205237:-1 gene:ORUFI04G27700 transcript:ORUFI04G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEENKAVAHNEPLPMEQTLSSGAKRKRGRPRKYEYGMHELPYSVQHIQSVPPLHSTQDSSNIRQDGIQINHTSGGSFGPNIGTIQALPTKQGPANRSSGPRDSVNLVKTSLSQASIYTSAPLQGNSVKDDIVGKYFVGKMSKKFPGFSLITVKVKDNQVLKGWIPDENNLRPITPKDDLAPDLPMLRPSQVRKRPSTVYRQAAGPIPVPLEDVTFAKPLQMRRPVEKSFTKHTVPSVPRPHMGSGVVAAVPISVSPSNAESRIFSEQGTEHVNPQPLSAVVPIKSGQPVLASCKEVAGGKTVNEIQTVSESSKHTEESSGERHLLNVPVMDAIKESLGPKEQPNATNSKQQTFMEPPESTEQAVQLDTERDISKGADGSKSEASGGTAPPVEASTAVHNPQGNLLHLLGTFYYYLLFTARTNKLAVTLKIKIPDDSHEMKVDNK >ORUFI04G27710.1 pep chromosome:OR_W1943:4:27210556:27211179:-1 gene:ORUFI04G27710 transcript:ORUFI04G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEENKAVTPNEPIEASSSGSKRKRGRPPKSEYGMHEKPYSVQPIQSVPPLHSTEDSSNIQQDGIQINHKSGGSVGPFANLVKTSLSQASTYTSASLQSNSVKDGIVGKYFVGKMSNKVPGFSLITVKVKDNLVLKGWIPDESDLRPITPKDDLAPDLPMLRPSQVRKRPSTIYKQAAGPIPVPLEDVTFAKPLQMRKPVEKSVAK >ORUFI04G27720.1 pep chromosome:OR_W1943:4:27215909:27219956:-1 gene:ORUFI04G27720 transcript:ORUFI04G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTLWETTATAAAGGSHGSRSAVGLLLFFSCGATPCLLFQAIPAETFVQPKLCQVAVVAAIDKAAVPLKFDSPSDDGGTGLMMKGMTAKNFDPIRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYSFDEKSRSIQVDTFCVHGGPDGYITGIRGRVQCLSEEDMASAETDLERQEMIKGKCFLRFPTLPFIPKEPYDVLATDYDNYAVVSGAKDTSFIQIYSRTPNPGPEFIEKYKSYAANFGYDPSKIKDTPQDCEVMSTDQLGLMMSMPGMTEALTNQFPDLKLSAPVAFNPFTSVFDTLKKLVELYFK >ORUFI04G27730.1 pep chromosome:OR_W1943:4:27218711:27221525:1 gene:ORUFI04G27730 transcript:ORUFI04G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGEGRASSRGSTGRRRPSSAPTARIAAAFVLPLFLLFLVHIAISHALFSHIDSDDSAFDSAAPCTHAQRHLLHRLANDWFALLLFKAANLFAILFKADHATRRRVPSGLHLNRIPFLFWIHNEIGRAGEELARAPLPPPPPMPCSPAPVSSPATGPPLPPRPIGLLPTWWSACPEPKREDISARDLFLRSASEERAESSFLWVKSSFMWVESVFNLPPSSIFPPDSRLQSKRHNSTLFARFSQAFTPNI >ORUFI04G27740.1 pep chromosome:OR_W1943:4:27221758:27234349:1 gene:ORUFI04G27740 transcript:ORUFI04G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVSDGLVEAWAASRELGPNVDALKMELLYAQGVLDNAQGKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAGGCARNLFLNVTHTAKAASKRLGFSKCSCAAAADNGSHTYPRSEQGAQGRGLCCGWSYNDHDSEEEEEATGGGIRKLASGARNTIHAVGKRLHCSSFPAVVDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLELHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTAKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLTQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPEVDGKKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLKGLGSGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLRNHFDQYHWNRVLESREWEMETSKDDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRGSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLHFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLALSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLENLHYKSTLYTLSIVGKTDLNGLDDKILAFYNLTQLQELEFCNCKHLAASHLQMLTSLKILRLDSSSVVFHLSESLSDYKWQVPVEYLYISSYHGSGKALSQLLSHLPKLSELSLWDCNKITRMCIAVEQQQTPAVELEDTQAVESIQQQQVAEDLVEEEGVVPQLAMDQEDDDGMLIFPAHLSNSLQRLDLCRCPELILDVACPVLPTSHEEGTGGWGLQSLHSLKRLKILDCPKFLSTYNAPGCPFPSSLQRLDIAGCMEGVQTLDFISNLNFLTELLIDDCGEDLRCEGLWPLLTQGQLSELYVFGTPRFFAGLDPILGGLQDGQEQQLPPPLQCSSKLQELHTDDFAGVLVKPICLLLSSSLTELGLGWNDEVERFTKEQEEALQLLISLQDLLVWGCTNLQCLPAGLHRLTSLKRLFIENCPSIRSLPKGGLPSSLQELDVRFFNNEKLIQRCRKLKGTIPKIITKGTDFKLTSQSIHALFPNMWISCDILYLYIDLMWQKHIFGNQQCGSYVLEGVFGTPRFFAGLDPILGGLQDGQEQQLSPLQCSSKLQELHTDDFAGVHVKPICRLLSSSLTKLVLGWNDEVERFTKEQEEALQLLISLQDLHFWGCTNLQCLPAGLHRLTSLKRLEIIGCPSIRSLPKGGLPSSLQELDVRASWNEKFKQRCRKLKGTIPEIILD >ORUFI04G27740.10 pep chromosome:OR_W1943:4:27221758:27234349:1 gene:ORUFI04G27740 transcript:ORUFI04G27740.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVSDGLVEAWAASRELGPNVDALKMELLYAQGVLDNAQGKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAGGCARNLFLNVTHTAKAASKRLGFSKCSCAAAADNGSHTYPRSEQGAQGRGLCCGWSYNDHDSEEEEEATGGGIRKLASGARNTIHAVGKRLHCSSFPAVVDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLELHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTAKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLTQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPEVDGKKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLKGLGSGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLRNHFDQYHWNRVLESREWEMETSKDDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRGSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLHFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLALSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLENLHYKSTLYTLSIVGKTDLNGLDDKILAFYNLTQLQELEFCNCKHLAASHLQMLTSLKILRLDSSSVVFHLSESLSDYKWQVPVEYLYISSYHGSGKALSQLLSHLPKLSELSLWDCNKITRMCIAVEQQQTPAVELEDTQAVESIQQQQVAEDLVEEEGVVPQLAMDQEDDDGMLIFPAHLSNSLQRLDLCRCPELILDVACPVLPTSHEEGTGGWGLQSLHSLKRLKILDCPKFLSTYNAPGCPFPSSLQRLDIAGCMEGVQTLDFISNLNFLTELLIDDCGEDLRCEGLWPLLTQGQLSELYVFGTPRFFAGLDPILGGLQDGQEQQLPPPLQCSSKLQELHTDDFAGVLVKPICLLLSSSLTELGLGWNDEVERFTKEQEEALQLLISLQDLLVWGCTNLQCLPAGLHRLTSLKRLFIENCPSIRSLPKGGLPSSLQELDVRFFNNEKLIQRCRKLKGTIPKIITKGTDFKLTSQSIHALFPNMWISCDILYLYIDLMWQKHIFGNQQCGSYVLEGVFGTPRFFAGLDPILGGLQDGQEQQLSPLQCSSKLQELHTDDFAGVHVKPICRLLSSSLTKLVLGWNDEVERFTKEQEEALQLLISLQDLHFWGCTNLQCLPAGLHRLTSLKRLEIIGCPSIRSLPKGGLPSSLQELDVRASWNEKFKQRCRKLKGTIPEIILD >ORUFI04G27740.11 pep chromosome:OR_W1943:4:27221758:27234349:1 gene:ORUFI04G27740 transcript:ORUFI04G27740.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVSDGLVEAWAASRELGPNVDALKMELLYAQGVLDNAQGKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAGGCARNLFLNVTHTAKAASKRLGFSKCSCAAAADNGSHTYPRSEQGAQGRGLCCGWSYNDHDSEEEEEATGGGIRKLASGARNTIHAVGKRLHCSSFPAVVDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLELHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTAKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLTQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPEVDGKKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLKGLGSGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLRNHFDQYHWNRVLESREWEMETSKDDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRGSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLHFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLALSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLENLHYKSTLYTLSIVGKTDLNGLDDKILAFYNLTQLQELEFCNCKHLAASHLQMLTSLKILRLDSSSVVFHLSESLSDYKWQVPVEYLYISSYHGSGKALSQLLSHLPKLSELSLWDCNKITRMCIAVEQQQTPAVELEDTQAVESIQQQQVAEDLVEEEGVVPQLAMDQEDDDGMLIFPAHLSNSLQRLDLCRCPELILDVACPVLPTSHEEGTGGWGLQSLHSLKRLKILDCPKFLSTYNAPGCPFPSSLQRLDIAGCMEGVQTLDFISNLNFLTELLIDDCGEDLRCEGLWPLLTQDSLLVWIPYSGGCRTDKSSSFLLLFSELHTDDFAGVHVKPICRLLSSSLTKLVLGWNDEVERFTKEQEEALQLLISLQDLHFWGCTNLQCLPAGLHRLTSLKRLEIIGCPSIRSLPKGGLPSSLQELDVRASWNEKFKQRCRKLKGTIPEIILD >ORUFI04G27740.12 pep chromosome:OR_W1943:4:27221758:27234349:1 gene:ORUFI04G27740 transcript:ORUFI04G27740.12 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVSDGLVEAWAASRELGPNVDALKMELLYAQGVLDNAQGKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAGGCARNLFLNVTHTAKAASKRLGFSKCSCAAAADNGSHTYPRSEQGAQGRGLCCGWSYNDHDSEEEEEATGGGIRKLASGARNTIHAVGKRLHCSSFPAVVDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLELHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTAKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLTQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPEVDGKKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLKGLGSGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLRNHFDQYHWNRVLESREWEMETSKDDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRGSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLHFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLALSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLENLHYKSTLYTLSIVGKTDLNGLDDKILAFYNLTQLQELEFCNCKHLAASHLQMLTSLKILRLDSSSVVFHLSESLSDYKWQVPVEYLYISSYHGSGKALSQLLSHLPKLSELSLWDCNKITRMCIAVEQQQTPAVELEDTQAVESIQQQQVAEDLVEEEGVVPQLAMDQEDDDGMLIFPAHLSNSLQRLDLCRCPELILDVACPVLPTSHEEGTGGWGLQSLHSLKRLKILDCPKFLSTYNAPGCPFPSSLQRLDIAGCMEGVQTLDFISNLNFLTELLIDDCGEDLRCEGLWPLLTQDSLLVWIPYSGGCRTDKSSSFLLLFSELHTDDFAGVHVKPICRLLSSSLTKLVLGWNDEVERFTKEQEEALQLLISLQDLHFWGCTNLQCLPAGLHRLTSLKRLEIIGCPSIRSLPKGGLPSSLQELDVRASWNEKFKQRCRKLKGTIPEIILD >ORUFI04G27740.13 pep chromosome:OR_W1943:4:27221758:27234349:1 gene:ORUFI04G27740 transcript:ORUFI04G27740.13 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVSDGLVEAWAASRELGPNVDALKMELLYAQGVLDNAQGKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAGGCARNLFLNVTHTAKAASKRLGFSKCSCAAAADNGSHTYPRSEQGAQGRGLCCGWSYNDHDSEEEEEATGGGIRKLASGARNTIHAVGKRLHCSSFPAVVDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLELHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTAKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLTQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPEVDGKKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLKGLGSGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLRNHFDQYHWNRVLESREWEMETSKDDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRGSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLHFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLALSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLENLHYKSTLYTLSIVGKTDLNGLDDKILAFYNLTQLQELEFCNCKHLAASHLQMLTSLKILRLDSSSVVFHLSESLSDYKWQVPVEYLYISSYHGSGKALSQLLSHLPKLSELSLWDCNKITRMCIAVEQQQTPAVELEDTQAVESIQQQQVAEDLVEEEGVVPQLAMDQEDDDGMLIFPAHLSNSLQRLDLCRCPELILDVACPVLPTSHEEGTGGWGLQSLHSLKRLKILDCPKFLSTYNAPGCPFPSSLQRLDIAGCMEGVQTLDFISNLNFLTELLIDDCGEDLRCEGLWPLLTQDSLLVWIPYSGGCRTDKSSSFLLLFSELHTDDFAGVHVKPICRLLSSSLTKLVLGWNDEVERFTKEQEEALQLLISLQDLHFWGCTNLQCLPAGLHRLTSLKRLEIIGCPSIRSLPKGGLPSSLQELDVRASWNEKFKQRCRKLKGTIPEIILD >ORUFI04G27740.14 pep chromosome:OR_W1943:4:27221758:27234349:1 gene:ORUFI04G27740 transcript:ORUFI04G27740.14 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVSDGLVEAWAASRELGPNVDALKMELLYAQGVLDNAQGKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAGGCARNLFLNVTHTAKAASKRLGFSKCSCAAAADNGSHTYPRSEQGAQGRGLCCGWSYNDHDSEEEEEATGGGIRKLASGARNTIHAVGKRLHCSSFPAVVDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLELHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTAKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLTQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPEVDGKKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLKGLGSGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLRNHFDQYHWNRVLESREWEMETSKDDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRGSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLHFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLALSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLENLHYKSTLYTLSIVGKTDLNGLDDKILAFYNLTQLQELEFCNCKHLAASHLQMLTSLKILRLDSSSVVFHLSESLSDYKWQVPVEYLYISSYHGSGKALSQLLSHLPKLSELSLWDCNKITRMCIAVEQQQTPAVELEDTQAVESIQQQQVAEDLVEEEGVVPQLAMDQEDDDGMLIFPAHLSNSLQRLDLCRCPELILDVACPVLPTSHEEGTGGWGLQSLHSLKRLKILDCPKFLSTYNAPGCPFPSSLQRLDIAGCMEGVQTLDFISNLNFLTELLIDDCGEDLRCEGLWPLLTQDSLLVWIPYSGGCRTDKSSSFLLLFSELHTDDFAGVHVKPICRLLSSSLTKLVLGWNDEVERFTKEQEEALQLLISLQDLHFWGCTNLQCLPAGLHRLTSLKRLEIIGCPSIRSLPKGGLPSSLQELDVRASWNEKFKQRCRKLKGTIPEIILD >ORUFI04G27740.15 pep chromosome:OR_W1943:4:27221758:27234349:1 gene:ORUFI04G27740 transcript:ORUFI04G27740.15 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVSDGLVEAWAASRELGPNVDALKMELLYAQGVLDNAQGKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAGGCARNLFLNVTHTAKAASKRLGFSKCSCAAAADNGSHTYPRSEQGAQGRGLCCGWSYNDHDSEEEEEATGGGIRKLASGARNTIHAVGKRLHCSSFPAVVDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLELHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTAKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLTQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPEVDGKKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLKGLGSGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLRNHFDQYHWNRVLESREWEMETSKDDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRGSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLHFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLALSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLENLHYKSTLYTLSIVGKTDLNGLDDKILAFYNLTQLQELEFCNCKHLAASHLQMLTSLKILRLDSSSVVFHLSESLSDYKWQVPVEYLYISSYHGSGKALSQLLSHLPKLSELSLWDCNKITRMCIAVEQQQTPAVELEDTQAVESIQQQQVAEDLVEEEGVVPQLAMDQEDDDGMLIFPAHLSNSLQRLDLCRCPELILDVACPVLPTSHEEGTGGWGLQSLHSLKRLKILDCPKFLSTYNAPGCPFPSSLQRLDIAGCMEGVQTLDFISNLNFLTELLIDDCGEDLRCEGLWPLLTQDSLLVWIPYSGGCRTDKSSSFLLLFSELHTDDFAGVHVKPICRLLSSSLTKLVLGWNDEVERFTKEQEEALQLLISLQDLHFWGCTNLQCLPAGLHRLTSLKRLEIIGCPSIRSLPKGGLPSSLQELDVRASWNEKFKQRCRKLKGTIPEIILD >ORUFI04G27740.2 pep chromosome:OR_W1943:4:27221758:27234349:1 gene:ORUFI04G27740 transcript:ORUFI04G27740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVSDGLVEAWAASRELGPNVDALKMELLYAQGVLDNAQGKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAGGCARNLFLNVTHTAKAASKRLGFSKCSCAAAADNGSHTYPRSEQGAQGRGLCCGWSYNDHDSEEEEEATGGGIRKLASGARNTIHAVGKRLHCSSFPAVVDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLELHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTAKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLTQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPEVDGKKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLKGLGSGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLRNHFDQYHWNRVLESREWEMETSKDDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRGSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLHFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLALSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLENLHYKSTLYTLSIVGKTDLNGLDDKILAFYNLTQLQELEFCNCKHLAASHLQMLTSLKILRLDSSSVVFHLSESLSDYKWQVPVEYLYISSYHGSGKALSQLLSHLPKLSELSLWDCNKITRMCIAVEQQQTPAVELEDTQAVESIQQQQVAEDLVEEEGVVPQLAMDQEDDDGMLIFPAHLSNSLQRLDLCRCPELILDVACPVLPTSHEEGTGGWGLQSLHSLKRLKILDCPKFLSTYNAPGCPFPSSLQRLDIAGCMEGVQTLDFISNLNFLTELLIDDCGEDLRCEGLWPLLTQGQLSELYVFGTPRFFAGLDPILGGLQDGQEQQLPPPLQCSSKLQELHTDDFAGVLVKPICLLLSSSLTELGLGWNDEVERFTKEQEEALQLLISLQDLLVWGCTNLQCLPAGLHRLTSLKRLFIENCPSIRSLPKGGLPSSLQELDVRFFNNEKLIQRCRKLKGTIPKIITKGTDFKLTSQSIHALFPNMWISCDILYLYIDLMWQKHIFGNQQCGSYVLEGVFGTPRFFAGLDPILGGLQDGQEQQLSPLQCSSKLQELHTDDFAGVHVKPICRLLSSSLTKLVLGWNDEVERFTKEQEEALQLLISLQDLHFWGCTNLQCLPAGLHRLTSLKRLEIIGCPSIRSLPKGGLPSSLQELDVRASWNEKFKQRCRKLKGTIPEIILD >ORUFI04G27740.3 pep chromosome:OR_W1943:4:27221758:27234349:1 gene:ORUFI04G27740 transcript:ORUFI04G27740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVSDGLVEAWAASRELGPNVDALKMELLYAQGVLDNAQGKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAGGCARNLFLNVTHTAKAASKRLGFSKCSCAAAADNGSHTYPRSEQGAQGRGLCCGWSYNDHDSEEEEEATGGGIRKLASGARNTIHAVGKRLHCSSFPAVVDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLELHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTAKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLTQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPEVDGKKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLKGLGSGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLRNHFDQYHWNRVLESREWEMETSKDDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRGSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLHFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLALSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLENLHYKSTLYTLSIVGKTDLNGLDDKILAFYNLTQLQELEFCNCKHLAASHLQMLTSLKILRLDSSSVVFHLSESLSDYKWQVPVEYLYISSYHGSGKALSQLLSHLPKLSELSLWDCNKITRMCIAVEQQQTPAVELEDTQAVESIQQQQVAEDLVEEEGVVPQLAMDQEDDDGMLIFPAHLSNSLQRLDLCRCPELILDVACPVLPTSHEEGTGGWGLQSLHSLKRLKILDCPKFLSTYNAPGCPFPSSLQRLDIAGCMEGVQTLDFISNLNFLTELLIDDCGEDLRCEGLWPLLTQGQLSELYVFGTPRFFAGLDPILGGLQDGQEQQLPPPLQCSSKLQELHTDDFAGVLVKPICLLLSSSLTELGLGWNDEVERFTKEQEEALQLLISLQDLLVWGCTNLQCLPAGLHRLTSLKRLFIENCPSIRSLPKGGLPSSLQELDVRFFNNEKLIQRCRKLKGTIPKIITKGTDFKLTSQSIHALFPNMWISCDILYLYIDLMWQKHIFGNQQCGSYVLEGVFGTPRFFAGLDPILGGLQDGQEQQLSPLQCSSKLQELHTDDFAGVHVKPICRLLSSSLTKLVLGWNDEVERFTKEQEEALQLLISLQDLHFWGCTNLQCLPAGLHRLTSLKRLEIIGCPSIRSLPKGGLPSSLQELDVRASWNEKFKQRCRKLKGTIPEIILD >ORUFI04G27740.4 pep chromosome:OR_W1943:4:27221758:27234349:1 gene:ORUFI04G27740 transcript:ORUFI04G27740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVSDGLVEAWAASRELGPNVDALKMELLYAQGVLDNAQGKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAGGCARNLFLNVTHTAKAASKRLGFSKCSCAAAADNGSHTYPRSEQGAQGRGLCCGWSYNDHDSEEEEEATGGGIRKLASGARNTIHAVGKRLHCSSFPAVVDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLELHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTAKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLTQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPEVDGKKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLKGLGSGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLRNHFDQYHWNRVLESREWEMETSKDDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRGSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLHFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLALSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLENLHYKSTLYTLSIVGKTDLNGLDDKILAFYNLTQLQELEFCNCKHLAASHLQMLTSLKILRLDSSSVVFHLSESLSDYKWQVPVEYLYISSYHGSGKALSQLLSHLPKLSELSLWDCNKITRMCIAVEQQQTPAVELEDTQAVESIQQQQVAEDLVEEEGVVPQLAMDQEDDDGMLIFPAHLSNSLQRLDLCRCPELILDVACPVLPTSHEEGTGGWGLQSLHSLKRLKILDCPKFLSTYNAPGCPFPSSLQRLDIAGCMEGVQTLDFISNLNFLTELLIDDCGEDLRCEGLWPLLTQGQLSELYVFGTPRFFAGLDPILGGLQDGQEQQLPPPLQCSSKLQELHTDDFAGVLVKPICLLLSSSLTELGLGWNDEVERFTKEQEEALQLLISLQDLLVWGCTNLQCLPAGLHRLTSLKRLFIENCPSIRSLPKGGLPSSLQELDVRFFNNEKLIQRCRKLKGTIPKIITKGTDFKLTSQSIHALFPNMWISCDILYLYIDLMWQKHIFGNQQCGSYVLEGVFGTPRFFAGLDPILGGLQDGQEQQLSPLQCSSKLQELHTDDFAGVHVKPICRLLSSSLTKLVLGWNDEVERFTKEQEEALQLLISLQDLHFWGCTNLQCLPAGLHRLTSLKRLEIIGCPSIRSLPKGGLPSSLQELDVRASWNEKFKQRCRKLKGTIPEIILD >ORUFI04G27740.5 pep chromosome:OR_W1943:4:27221758:27234349:1 gene:ORUFI04G27740 transcript:ORUFI04G27740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVSDGLVEAWAASRELGPNVDALKMELLYAQGVLDNAQGKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAGGCARNLFLNVTHTAKAASKRLGFSKCSCAAAADNGSHTYPRSEQGAQGRGLCCGWSYNDHDSEEEEEATGGGIRKLASGARNTIHAVGKRLHCSSFPAVVDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLELHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTAKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLTQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPEVDGKKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLKGLGSGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLRNHFDQYHWNRVLESREWEMETSKDDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRGSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLHFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLALSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLENLHYKSTLYTLSIVGKTDLNGLDDKILAFYNLTQLQELEFCNCKHLAASHLQMLTSLKILRLDSSSVVFHLSESLSDYKWQVPVEYLYISSYHGSGKALSQLLSHLPKLSELSLWDCNKITRMCIAVEQQQTPAVELEDTQAVESIQQQQVAEDLVEEEGVVPQLAMDQEDDDGMLIFPAHLSNSLQRLDLCRCPELILDVACPVLPTSHEEGTGGWGLQSLHSLKRLKILDCPKFLSTYNAPGCPFPSSLQRLDIAGCMEGVQTLDFISNLNFLTELLIDDCGEDLRCEGLWPLLTQGQLSELYVFGTPRFFAGLDPILGGLQDGQEQQLPPPLQCSSKLQELHTDDFAGVLVKPICLLLSSSLTELGLGWNDEVERFTKEQEEALQLLISLQDLLVWGCTNLQCLPAGLHRLTSLKRLFIENCPSIRSLPKGGLPSSLQELDVRFFNNEKLIQRCRKLKGTIPKIITKGTDFKLTSQSIHALFPNMWISCDILYLYIDLMWQKHIFGNQQCGSYVLEGVFGTPRFFAGLDPILGGLQDGQEQQLSPLQCSSKLQELHTDDFAGVHVKPICRLLSSSLTKLVLGWNDEVERFTKEQEEALQLLISLQDLHFWGCTNLQCLPAGLHRLTSLKRLEIIGCPSIRSLPKGGLPSSLQELDVRASWNEKFKQRCRKLKGTIPEIILD >ORUFI04G27740.6 pep chromosome:OR_W1943:4:27221758:27234349:1 gene:ORUFI04G27740 transcript:ORUFI04G27740.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVSDGLVEAWAASRELGPNVDALKMELLYAQGVLDNAQGKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAGGCARNLFLNVTHTAKAASKRLGFSKCSCAAAADNGSHTYPRSEQGAQGRGLCCGWSYNDHDSEEEEEATGGGIRKLASGARNTIHAVGKRLHCSSFPAVVDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLELHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTAKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLTQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPEVDGKKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLKGLGSGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLRNHFDQYHWNRVLESREWEMETSKDDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRGSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLHFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLALSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLENLHYKSTLYTLSIVGKTDLNGLDDKILAFYNLTQLQELEFCNCKHLAASHLQMLTSLKILRLDSSSVVFHLSESLSDYKWQVPVEYLYISSYHGSGKALSQLLSHLPKLSELSLWDCNKITRMCIAVEQQQTPAVELEDTQAVESIQQQQVAEDLVEEEGVVPQLAMDQEDDDGMLIFPAHLSNSLQRLDLCRCPELILDVACPVLPTSHEEGTGGWGLQSLHSLKRLKILDCPKFLSTYNAPGCPFPSSLQRLDIAGCMEGVQTLDFISNLNFLTELLIDDCGEDLRCEGLWPLLTQDSLLVWIPYSGGCRTDKSSSFLLLFSELHTDDFAGVHVKPICRLLSSSLTKLVLGWNDEVERFTKEQEEALQLLISLQDLHFWGCTNLQCLPAGLHRLTSLKRLEIIGCPSIRSLPKGGLPSSLQELDVRASWNEKFKQRCRKLKGTIPEIILD >ORUFI04G27740.7 pep chromosome:OR_W1943:4:27221758:27234349:1 gene:ORUFI04G27740 transcript:ORUFI04G27740.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVSDGLVEAWAASRELGPNVDALKMELLYAQGVLDNAQGKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAGGCARNLFLNVTHTAKAASKRLGFSKCSCAAAADNGSHTYPRSEQGAQGRGLCCGWSYNDHDSEEEEEATGGGIRKLASGARNTIHAVGKRLHCSSFPAVVDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLELHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTAKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLTQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPEVDGKKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLKGLGSGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLRNHFDQYHWNRVLESREWEMETSKDDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRGSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLHFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLALSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLENLHYKSTLYTLSIVGKTDLNGLDDKILAFYNLTQLQELEFCNCKHLAASHLQMLTSLKILRLDSSSVVFHLSESLSDYKWQVPVEYLYISSYHGSGKALSQLLSHLPKLSELSLWDCNKITRMCIAVEQQQTPAVELEDTQAVESIQQQQVAEDLVEEEGVVPQLAMDQEDDDGMLIFPAHLSNSLQRLDLCRCPELILDVACPVLPTSHEEGTGGWGLQSLHSLKRLKILDCPKFLSTYNAPGCPFPSSLQRLDIAGCMEGVQTLDFISNLNFLTELLIDDCGEDLRCEGLWPLLTQDSLLVWIPYSGGCRTDKSSSFLLLFSELHTDDFAGVHVKPICRLLSSSLTKLVLGWNDEVERFTKEQEEALQLLISLQDLHFWGCTNLQCLPAGLHRLTSLKRLEIIGCPSIRSLPKGGLPSSLQELDVRASWNEKFKQRCRKLKGTIPEIILD >ORUFI04G27740.8 pep chromosome:OR_W1943:4:27221758:27234349:1 gene:ORUFI04G27740 transcript:ORUFI04G27740.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVSDGLVEAWAASRELGPNVDALKMELLYAQGVLDNAQGKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAGGCARNLFLNVTHTAKAASKRLGFSKCSCAAAADNGSHTYPRSEQGAQGRGLCCGWSYNDHDSEEEEEATGGGIRKLASGARNTIHAVGKRLHCSSFPAVVDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLELHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTAKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLTQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPEVDGKKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLKGLGSGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLRNHFDQYHWNRVLESREWEMETSKDDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRGSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLHFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLALSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLENLHYKSTLYTLSIVGKTDLNGLDDKILAFYNLTQLQELEFCNCKHLAASHLQMLTSLKILRLDSSSVVFHLSESLSDYKWQVPVEYLYISSYHGSGKALSQLLSHLPKLSELSLWDCNKITRMCIAVEQQQTPAVELEDTQAVESIQQQQVAEDLVEEEGVVPQLAMDQEDDDGMLIFPAHLSNSLQRLDLCRCPELILDVACPVLPTSHEEGTGGWGLQSLHSLKRLKILDCPKFLSTYNAPGCPFPSSLQRLDIAGCMEGVQTLDFISNLNFLTELLIDDCGEDLRCEGLWPLLTQGQLSELYVFGTPRFFAGLDPILGGLQDGQEQQLPPPLQCSSKLQELHTDDFAGVLVKPICLLLSSSLTELGLGWNDEVERFTKEQEEALQLLISLQDLLVWGCTNLQCLPAGLHRLTSLKRLFIENCPSIRSLPKGGLPSSLQELDVRFFNNEKLIQRCRKLKGTIPKIITKGTDFKLTSQSIHALFPNMWISCDILYLYIDLMWQKHIFGNQQCGSYVLEGVFGTPRFFAGLDPILGGLQDGQEQQLSPLQCSSKLQELHTDDFAGVHVKPICRLLSSSLTKLVLGWNDEVERFTKEQEEALQLLISLQDLHFWGCTNLQCLPAGLHRLTSLKRLEIIGCPSIRSLPKGGLPSSLQELDVRASWNEKFKQRCRKLKGTIPEIILD >ORUFI04G27740.9 pep chromosome:OR_W1943:4:27221758:27234349:1 gene:ORUFI04G27740 transcript:ORUFI04G27740.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVSDGLVEAWAASRELGPNVDALKMELLYAQGVLDNAQGKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAGGCARNLFLNVTHTAKAASKRLGFSKCSCAAAADNGSHTYPRSEQGAQGRGLCCGWSYNDHDSEEEEEATGGGIRKLASGARNTIHAVGKRLHCSSFPAVVDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLELHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTAKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLTQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPEVDGKKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLKGLGSGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLRNHFDQYHWNRVLESREWEMETSKDDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRGSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLHFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLALSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLENLHYKSTLYTLSIVGKTDLNGLDDKILAFYNLTQLQELEFCNCKHLAASHLQMLTSLKILRLDSSSVVFHLSESLSDYKWQVPVEYLYISSYHGSGKALSQLLSHLPKLSELSLWDCNKITRMCIAVEQQQTPAVELEDTQAVESIQQQQVAEDLVEEEGVVPQLAMDQEDDDGMLIFPAHLSNSLQRLDLCRCPELILDVACPVLPTSHEEGTGGWGLQSLHSLKRLKILDCPKFLSTYNAPGCPFPSSLQRLDIAGCMEGVQTLDFISNLNFLTELLIDDCGEDLRCEGLWPLLTQDSLLVWIPYSGGCRTDKSSSFLLLFSELHTDDFAGVHVKPICRLLSSSLTKLVLGWNDEVERFTKEQEEALQLLISLQDLHFWGCTNLQCLPAGLHRLTSLKRLEIIGCPSIRSLPKGGLPSSLQELDVRASWNEKFKQRCRKLKGTIPEIILD >ORUFI04G27750.1 pep chromosome:OR_W1943:4:27239105:27242420:-1 gene:ORUFI04G27750 transcript:ORUFI04G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRATECRTMQLLRELQTNKLDTSSKIIYAPRQQDDIYAGIMPELPLDKLPEDILHHVYSLMPLKDAARAACVSHGFLRCWRRYPILVLNSKTIGLAKRKLSLYAEDVPLYEPALKVDDMESYAISKIDHIINNHSGIGVKVFKLQLFACPNIDAAVLDKWFVHVIKAGIKELSLEMSLCKKRTEYNFPCSILSSKAGGGTIQSLFLSSCSFHPTVALGCNISLTSLHLYEVHISGEEIGQFLSNSFALERLVISDCNDIIQFKVPCLMQQLKYLQVTKCEMLEVISIDAPKLSSFIYGDVGIQISLGDPLQVKDIRLMGYNQPNTVCFARTELPSIMPNVESLIVSSTDEMISTPMVPIKFLHLKLLEIYLAELLAFPPNYDFFSLVSFLDGSPALETFILHVKQRCERRDSILDGEHTNLRQILHPRHANLQNVTITGFNSTKSMIELTSHILENAPSLKCITLDTANFYDKNLLTMGECLPMRKGGILEARKAFDAAKRYIAGKVPAHVEYKFLEPCRKCHIGY >ORUFI04G27760.1 pep chromosome:OR_W1943:4:27243809:27245547:-1 gene:ORUFI04G27760 transcript:ORUFI04G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYAVSKIDHIIKSHSGIGVKVLKLQLFACPNISAAVLDKCDIVQFKVPCLMQQLKYLEVTKCQMLQVIEIDAPKLSTFIYGDVGVKISLGDPLQVKDIRLMGYNEADTVCYARTKLPSILPNIESLVVSSPNEMTSTPVVPSKFLHLKFLEIYLKELFASLPSYDFFSLVSFLDASPALETFILHVEQQFERHDSILDGEPTDLRRILHDGHANLQNVTITGFNSTKSMIELTTHILENAPSLKCITLDTANFSGKNHLAMGECSPMMRGGILEARRAFEAARRHIAGKIPSFVEYKFLEPCRHCHFGY >ORUFI04G27770.1 pep chromosome:OR_W1943:4:27248914:27252400:1 gene:ORUFI04G27770 transcript:ORUFI04G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKVGVDPLASNKGAWAELLGIGDFYYELGVQIVDICIATRATNGGLIDLLDLRKLLCQKRKADLGSLTSDDCLRAIIISVGKKKLVRSVPTELNKDHNGILELAQAEGFVTVEQVKRKFSWSTGRAIDVLETLLKEGLAMIDDGHRDGKRRYWFPCATLSSDSIGADAKGEAPAPPLTASGDSSSPTPAAAADSSSPSLDLIPDIARRLTSLEDFFSLRASYLPASRRLLASQSPLLLVSLYPSFAEEFFHPRLRRLHRFRLPWGHHLPPSRYTLLYAHGFLVTATHRRQQLPAKAPPPPPLHRRAAPPPQGLRALLPRHPHGGPPRRHLLGRPGRATVQHCHPGDALWRVASAPAPHVFDDLISVNGTLYALVGLRLATLELSESSLELSFLGKVLLISVEHEERVVYRVFRWERKWEMITNLGGRSLFLGLDGFAACVDEDHPGVGGDCLYAAGRRLGEWHEYSLADGICDVCNADYPGSPPLNKQSSLIRPSVWIFPSLC >ORUFI04G27780.1 pep chromosome:OR_W1943:4:27266970:27272628:-1 gene:ORUFI04G27780 transcript:ORUFI04G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding DQNVKNSAGRGVCPSHSPTPTSPHCLAAARRRYPPDNEHPLTSAEPSSGAADLGCQGGLQFCLSRLLRLSSRRIGHICKNN >ORUFI04G27790.1 pep chromosome:OR_W1943:4:27273256:27279523:1 gene:ORUFI04G27790 transcript:ORUFI04G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPELAAGDGSYNFHLRSLSAASRDSAAAADPASDPNLLESVRRVCEMCKEAKGASDEMVARAFPVMSKLFQRCAAAPTQSVASSGVLLLTILQFFLDFGEAVLHDADGSLRTFFRSCLSREFADPIVAERTLEFLIANKTKILSSFPTLIPQFFPLLLKLIASNGERLERKFSEVLPLMMSAGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSIATIQKSAAPEMLLALMDEAYTGSAIEDAIGNAGSDDSGPLDLADPMFLDLLKDENDGIAAKHWTSPTISSTLQAAVNSPQSDRLKQSLKMAPRFLTLYFATALRDVNDSLLCALIPVVMSRYAAMFPDKVYSFEVRKRLSDFILAAFQRSPDIIALLKTELALHLCWAIGEHGAGGKNRKDVARELFENLELLLYENLATSRLGLSQDTGFDPMGASSRKSSQARLLCFVVTAIAKLATFHNELLPRARVSLAKVARSRTSDRRVWQRACDYLGLLNEPAICLSVLGPSTAQGNGPGIVNWSEGGTKMVAHIPFYLLAEQKGAPIHDFSFDDLVPTE >ORUFI04G27800.1 pep chromosome:OR_W1943:4:27278842:27284031:-1 gene:ORUFI04G27800 transcript:ORUFI04G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERREIFQVADLAHILRGKPVDHLQQPNLLDNHQFQQAFQHQQQQHHLLDQIPATTAESGDNMIRSRASDPLGGDEFESKSGSENVDGVSVDDQDPNQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERHENSQLRSDNEKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRIENARLREEIDRISAIAAKYVGKPMVPFPVLSNPMAAAASRAPLDLPVAPYGVPGDMFGGGGAGELLRGVQSEVDKPMIVELAVAAMEELVRMAQLDEPLWSVAPPLDATAAAMETLSEEEYARMFPRGLGPKQYGLRSEASRDSAVVIMTHANLVEILMDANQYAAVFSNIVSRAITLEVLSTGVAGNYNGALQVMSVEFQVPSPLVPTRESYFVRYCKQNADGTWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNIYKLLVNSGLAFGARRWVGTLDRQCERLASVMASNIPTSDIGVITSSEGRKSMLKLAERMVVSFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPWDILSNGGIVQEMAHIANGRDQGNCVSLLRVNSSNSNQSNMLILQESCTDASGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPAHDGGDGDGGVGVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVSGESNPQ >ORUFI04G27810.1 pep chromosome:OR_W1943:4:27299824:27302765:-1 gene:ORUFI04G27810 transcript:ORUFI04G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKPPPPRLLLNGVSCMRNAQAVLRDINVSVHDGTALVLTGANGSGKSTFLRMLAGFSRPSAGEVLWNGHDITSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKHGKSAPAIELMGLGRLMNEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDAEGVKLLEYIIAEHRKKGGIVFVATHLPIEIEDAMSLRLPQRFPRRKTLVDLASDVLGEVACFVDFMNTSDLCAGCNKGALNAPLISPQGPLEVLSDPMLEVAGPLFKTRPNLEDASVEYVRNGSRSKIIFILQTIRSSPDLR >ORUFI04G27820.1 pep chromosome:OR_W1943:4:27306904:27308051:1 gene:ORUFI04G27820 transcript:ORUFI04G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTSHYSSCISPAAETSSMSAGESSWAMHIANFLASPYNSQEMCQEPVISGSSSFSSGFSSSFATSYDDASFITSEMMCDDDDDDDSLQDTACSSAAAPKLTSNLNNVDMKSMATMEAKDINITQLAKYFVDASSRQPAAEVLQETVSVDNNNDKSLYECNELRKKGLCLVPLSMLINYLG >ORUFI04G27830.1 pep chromosome:OR_W1943:4:27311764:27313338:-1 gene:ORUFI04G27830 transcript:ORUFI04G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKMKGIFKGLKVISQIFVVKEHEMQIGSPTDVKHVAHIGWDGLTGNASPSWMNDIRASSELLSLGNFAPSAGTSWASQDFDQPRDSSSFATPSENTSLQQQEAAPPPDIPRPPAARKTRRKRRSTSDCPVPSSSSSARPSCDSTMAPASDANASQDQNCNSAT >ORUFI04G27840.1 pep chromosome:OR_W1943:4:27316341:27317681:1 gene:ORUFI04G27840 transcript:ORUFI04G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKLPMWTNPETITKVVMSASPPPPTATAAAASRVFYIQDIVFLEGKLYAVTEAEEIFAFDDADIEHYSHLPSDQWRWTHVDKQAPAFGRTEFYLVACHTMGKVLVVSRDFGRARVPDTGGGRAAARYQTSRFKVGSSVQEAKQMAAWEAVTFLRSRFRSVLDDSPWSSIPHYHSHVSEIEYDEDFDDDFDYADL >ORUFI04G27850.1 pep chromosome:OR_W1943:4:27318029:27318757:-1 gene:ORUFI04G27850 transcript:ORUFI04G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNAKNTNPPAISSATIPRAKKPAAAAVSPSSKLASSNKVTKVSPPPPQLSALWAAASSSDEEEEAVAVAVVPAPPPPPQPASKKGKYVKGRAASKNKYLVPRRGEEDQAAEGGGNSSSKLMSGAPEEFGLPAGTFDAFADAEGEDAAAGRGAGGDYVHVRVQQRNGRKTLTTVQGIGGEYNYAKVLRDLKRELCCNGNVVEDKELGKIIQLQGDHRNSVSDFLAKAGMVRKDNIKVHGF >ORUFI04G27860.1 pep chromosome:OR_W1943:4:27320459:27321828:-1 gene:ORUFI04G27860 transcript:ORUFI04G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMRRRVGGYICSELCGSVMNQRLYSSRVDWKQLRPMILKRIKNRAKEYPIKRMIPVAEEVVKAREIVTKGVSTLLQVVPIHSCKFCPEVHIGAVGHEMQSCHGFKRMIKNQPHKWGPGCLNDILIPVESFHLENTFQDEIKHDQRFDFPRVPAVLELCHQAGADIPDEVWHRSGTSSAIVRENDEKPAAFLPEELRFIGQRTIEAWERLRLGVTKLLLVYPSKVCERCSEVHVGLSGHKARMCGVFKFEGWRGKHKWKKADVDDLVPPKIVWHQRPHDPPVLVDAGRDYYGHAPAVIELCMQVSARAPPKYHCMMKAQGLAPPIK >ORUFI04G27870.1 pep chromosome:OR_W1943:4:27325945:27333279:1 gene:ORUFI04G27870 transcript:ORUFI04G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMGAKPTAPLYSSLLQCCISSCAFRQGKSVHGRVAAASASPPDLHLSTKLVIFYARFGDVAAARKVFDGMPHRSVVSWTAMVSGYARNGRPREALELFALMHASGARPNQFTYGSAASACAGAGCARSGEQVHACAAKGRFAGDMFVQSALMDMHLRCGSVEDARQLFAEMGKKDVVSWNALIRGFVERGHDGDALGLFSSMLKEAMIPDHYTLGSALKACGIVGVAVNVELIHSCIIKLGYWDEKVVIGSLINSYAKCRSMSSARVIYDSISEPDLVSSTALISGYTMDRNYSEDAMELFCKIHRKGLWIDGVLLSSVLCLCASVASARFGTQIHAYMCKKQPMGDIALDNALVDMYAKAGEFSDAKRAFDEMPYRNVISWTSLITACGRNGSGEDAVTLFNRMVEDGVRPNDVTFLSLLSACGHCGLTNKGMEYFTSMMSRYGIDPRAEHYSSAIDLLARGGQLEDAWKLVQKTNLKPNSSMLGAMLGACKLHGNMLLGETAAKNLFSIDPGSSVNYAVLANMYAECSLWEDAQRTREVIDETTDGKEVGNSFIIGSGSTVVMHNMPYRLLFGFVLSLVLQFSLVLSNPPGLNIGFYQYTCPKAEVIVRDEMTKIISRVPSLAGPLLRMHFHDCFVNGCDGSILLDSTPGSPSEKESIPNLSLRGFGTIDRVKAKLEQACPGVVSCADILALVARDVVFLTKGPHWEVPTGRRDGTRSVKDDAVNNLPPPFFDATRNLYQFFIPKGLDAKDQVVLLGGHTLGTSHCSSFASRLYNFSGMMMADPTLDKYYVPRLKSKCQPGDKTTLVEMDPGSFRTFDTSYYRHIARGRALFTSDETLMLDPFTRGYILRQAGVAGYPAEFFADFAASMVKMGNMQVLTGAQGEIRKHCAFVN >ORUFI04G27870.2 pep chromosome:OR_W1943:4:27325945:27333279:1 gene:ORUFI04G27870 transcript:ORUFI04G27870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMGAKPTAPLYSSLLQCCISSCAFRQGKSVHGRVAAASASPPDLHLSTKLVIFYARFGDVAAARKVFDGMPHRSVVSWTAMVSGYARNGRPREALELFALMHASGARPNQFTYGSAASACAGAGCARSGEQVHACAAKGRFAGDMFVQSALMDMHLRCGSVEDARQLFAEMGKKDVVSWNALIRGFVERGHDGDALGLFSSMLKEAMIPDHYTLGSALKACGIVGVAVNVELIHSCIIKLGYWDEKVVIGSLINSYAKCRSMSSARVIYDSISEPDLVSSTALISGYTMDRNYSEDAMELFCKIHRKGLWIDGVLLSSVLCLCASVASARFGTQIHAYMCKKQPMGDIALDNALVDMYAKAGEFSDAKRAFDEMPYRNVISWTSLITACGRNGSGEDAVTLFNRMVEDGVRPNDVTFLSLLSACGHCGLTNKGMEYFTSMMSRYGIDPRAEHYSSAIDLLARGGQLEDAWKLVQKTNLKPNSSMLGAMLGACKLHGNMLLGETAAKNLFSIDPGSSVNYAVLANMYAECSLWEDAQRTREVIDETTDGKEGCDGSILLDSTPGSPSEKESIPNLSLRGFGTIDRVKAKLEQACPGVVSCADILALVARDVVFLTKGPHWEVPTGRRDGTRSVKDDAVNNLPPPFFDATRNLYQFFIPKGLDAKDQVVLLGGHTLGTSHCSSFASRLYNFSGMMMADPTLDKYYVPRLKSKCQPGDKTTLVEMDPGSFRTFDTSYYRHIARGRALFTSDETLMLDPFTRGYILRQAGVAGYPAEFFADFAASMVKMGNMQVLTGAQGEIRKHCAFVN >ORUFI04G27880.1 pep chromosome:OR_W1943:4:27335344:27336675:1 gene:ORUFI04G27880 transcript:ORUFI04G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQPPTATAGFIAGGRIRRPLPRERPHLTRCTKLLCSWFLSLLLVAGVLLFVVYLVVRPHRPRFHVAAFTAAGVQSGGGPVVLSGQLTIHNPNHDLAFFFGRVYMSVQYRGDGEVVVDGKDLTGGPLYEPPRGTSAVGFEGVAVPAGAATDMMARDAAAAAAGGGGGGVAFTVKVRSRIRY >ORUFI04G27900.1 pep chromosome:OR_W1943:4:27342274:27352586:-1 gene:ORUFI04G27900 transcript:ORUFI04G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDINGDALHASLVLPPSLAVETARVGKLQITLPSVSNVQVEPIVVNIDKLDLIADGMTVQVGIVNLLLETHGGPRHQGGATWSPPLAAITFRDLVLYTTNEKWQKLEWQSLSVDLLPHPDMFTDARFNSSSSEDGKRDDDGAKRMFFGGERFLEGISGEANITVKRTEQNNPVGLEVQLHITEALCPALSEPGLRAFLRFMTGVSVCLNRGDVDPKAQQLAEAAGSSLVSIIVDHIFLCIKDAEFQLEFLMQSLFFSRLTPSPLPPKFASKTVITCEPLMVTLQEQSCLRIASFLADGVVANRSAILPDSSINSMSFYIKEFDLSIPLDAEEITRYSGTKNVCPQSSFMGARLHVENLYFCESPSEKCLLLNLDKDPACFLLWGYQPVDASQRKWATRASHLSLSLETSSTSNEQRTVRGSSPSLWKCVELDDIRFEAAMVTADGSPLLIVPPPEGVVRIGVAFQQFTTNTSVEQLFFVLGLYTYFGQVGERISKVSKGNCSATKTSADKLERKLPSDTAVSLTMNSLQLNFLESLSSNDLQLPLVQFGGEDLYLKVSHRTLGGAFAVTTNLTWKTVSVNCLEGESAIFGENGTAVTGEPNILLHENGHPNMRAVFWVDHRNKNQSKEARFIDIDITHVMPYDMRDMECHSLSVSAKVSGVRLGGGMSYTESLLHRFGILGPDGGPGEGLLRTLKDLSSGPLAKLFSPSHLTDKEDGMPNSKDNDYNSKFDLEVPDDLDVSIELRNWLFALEGTEEVGDWLSPHGSDHISREEKCWHTTFTNLHVSGRSSDRPGSAEKVIHKRALPIERFTAGIEGLQAIKPCLRDQLIGNATSNNLQTGSVFDNTSSIGDQGVDVEATMVICEDEIEGPKWTMDNVKFSVKEPIEAVATKEELEHLTMLCRSEADAMGRITAGILRLLKLDKSLGQGTIEQLRNLGSGGIDNTFSPRKLSRQNSFGSIGTPRTPNLHSTTDAGTKELLESTVASLQIEILESKAKCTALVSQASGVEDQKCAEDIRQLNDKLESMQSLVTKLRTLI >ORUFI04G27910.1 pep chromosome:OR_W1943:4:27355401:27358326:1 gene:ORUFI04G27910 transcript:ORUFI04G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCMAMDAAAAAGGEMSRQKATASAPPPPELDMVARAVQRLVARNDAVEALSGGGEAAAGLGAGMAAFEAARGAPAPRIGVAQYLERVHRYAGLEPECYVVAYAYVDMAAHRRPAAAVASRNVHRLLLACLLVASKVLDDFHHNNAFFARVGGVSNAEMNRLELELLAVLDFEVMLSHRVYELYREHLEKEARRDGGGGDMLAGASAAAAAKAGRMAAVSPSKLLERAAVNGAAQHDDWRSLGTAAAAEAANGVRRHRSSSSSRYSFDC >ORUFI04G27920.1 pep chromosome:OR_W1943:4:27359237:27362823:1 gene:ORUFI04G27920 transcript:ORUFI04G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAPSRDGTIYTSPPPNLLLLLLLLLAVAAASGRARKLRSFTTLAAITITLIFAWKLLRAPQEQPRRPHRRVAPSPSNTSSRSRPGALTSTDACSSSADSRAQEAINQLFQPLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEELQNHVTVRPSVLEVLLEIAKFCDVYLMERILDDESGEKVLSALSEAGLFTNSGLIKDKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLAVSSSKQWHSEN >ORUFI04G27920.2 pep chromosome:OR_W1943:4:27359021:27362823:1 gene:ORUFI04G27920 transcript:ORUFI04G27920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAAASAAGVEAGAAGGGKDDELADLVRRLVDALARYSDRLPFDLDRQKLRSFTTLAAITITLIFAWKLLRAPQEQPRRPHRRVAPSPSNTSSRSRPGALTSTDACSSSADSRAQEAINQLFQPVNLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEELQNHVTVRPSVLEVLLEIAKFCDVYLMERILDDESGEKVLSALSEAGLFTNSGLIKDKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLAVSSSKQWHSEN >ORUFI04G27930.1 pep chromosome:OR_W1943:4:27362754:27367275:-1 gene:ORUFI04G27930 transcript:ORUFI04G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVFNTRRRTSLVSAFIDRTRMFISTPLPQVRDKVSRTKPPKPHGGGGERRRKKQPQEAAARAGGGMGGSSASPCDLDREFAPQIAQLLATPPLQPAQEYYNGLIQSRKHDGIRVNFSSKHGKGVCANKEFAEGDLILKDQILVGAQHSLNKIDCAVCSYCFRFIGSIEFQIGRRLYWQSVGSSSDCTNRRHCHESDVGSSASSSGATKENSSTLPEEVLGSLITGDMSLPFTDHFSLPQVVPCRGCEEERYCSQSCADSDWETYHSLLCTGSKTEPSQRSALQKFIEHANGSNDIFLVAAKAITFTLLRYKKLKTQPEFQNNTDESNFSLLMEAWKPLSMGYKKRWWDSVALPEDVDSCDEDTFRQQIRDLALTSLQLLKDAIFDSDGLVVASPVEDYFIHIDDLPDDEKEEAEKVTRPFLDALGEDYAAPCEGTAFFPLQSCMNHSCCPNAKAYKRDEDTDGNAVIIALEPIKKDDEITISYIDEDVSYEERQAELADYGFICTCPRICN >ORUFI04G27930.2 pep chromosome:OR_W1943:4:27362868:27367275:-1 gene:ORUFI04G27930 transcript:ORUFI04G27930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVFNTRRRTSLVSAFIDRTRMFISTPLPQVRDKVSRTKPPKPHGGGGERRRKKQPQEAAARAGGGMGGSSASPCDLDREFAPQIAQLLATPPLQPAQEYYNGLIQSRKHDGIRVNFSSKHGKGVCANKEFAEGDLILKDQILVGAQHSLNKIDCAVCSYCFRFIGSIEFQIGRRLYWQSVGSSSDCTNRRHCHESDVGSSASSSGATKENSSTLPEEVLGSLITGDMSLPFTDHFSLPQVVPCRGCEEERYCSQSCADSDWETYHSLLCTGSKTEPSQRSALQKFIEHANGSNDIFLVAAKAITFTLLRYKKLKTQPEFQNNTDESNFSLLMEAWKPLSMGYKKRWWDSVALPEDVDSCDEDTFRQQIRDLALTSLQLLKDAIFDSDGLVVASPVEDYFIHIDDLPDDEKEEAEKVTRPFLDALGEDYAAPCEGTAFFPLQSCMNHSCCPNAKAYKRDEDTDGNAVIIALEPIKKDDEITISYIDEDVSYEERQAELADYGFICTCPRCQEEKPN >ORUFI04G27940.1 pep chromosome:OR_W1943:4:27368324:27369140:1 gene:ORUFI04G27940 transcript:ORUFI04G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSLKALVAFMAVATVAELAAGSKTWAIKWASGGNYGDWSSKNTVAVGDSVVFTYGTPHTVDELSAADYTACSFAAPLSSDAGGSTTVVFDKPGTRYFACSSGSHCSMGQKVAITVSNSTAPPSSSKGGSSSYGAAAGGGAELASKLVVGLAVGAGAILAL >ORUFI04G27950.1 pep chromosome:OR_W1943:4:27369781:27375239:-1 gene:ORUFI04G27950 transcript:ORUFI04G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIDLCSDSEEYFSPYSDTEDNLDFDDPNDGVNQVVLHNTAFGNNSSELLVGLDDDNWLNNTHALSSHRPAENRSDIIESSSGVNTDCQNSAWQYRTLPHTFMSSSYKSRPLSLTGGNNVESTHPTVKPNTVHYNGIGFPSPAIASGYKPYVSYGQGVSIDDDDDDVYEVLHQPFPFSHSSLGDKKIEEESTWKYNGFQTSSAYGIEMPTSAMSTGGVSAYGGLNSHRIFPPSVPYNNSVNNFGVNGLGTQSHLNIEKRLFGRDERVVYDEALKQISQETTEENLPEGVMSVSLLKHQRIALAWMVSRENSSHCSGGILADDQGLGKTISTIALIQKERVEQSKFMSADVGSMKSVANLDEDDEVVIVMDKKQLKGESVNMLQDSTLFPSSEAASDAADLKPWASLPGSAVDRMVNAVKVEPKKKARVRPSPSSTLRSANRSTAGTLVVCPASVLRQWASELAAKVTESSKLSVLVYHGGSRTKDPTELTKYDVVVTTYTIVANEVPKQNFDEDMEEKNSETYGLCPAFSIGNKRKKDSEPKKKKKPKNSDADLDGGPLARVRWFRVVLDEAQTIKNHNTQVARACCGLRAKRRWCLSGTPIQNTIDDLYSYFRFLKYEPYSVYGSFRSMIKYQISRDATRGYKKLQAVLKIVLLRRTKETLIDGEPIIKLPPKTIQLSKIDFSKEERTFYMMLEEGSREKFKEYASAGTIRENFANILVLLLRLRQACDHPLLLKGKEKDLIDTGSVEVANKLPKETVINLLGQLEGDYAICSRCSDPPEDVVVATCGHVFCYQCVHKSLTSDENVCPSPSCGKKLSAQTVFSPGVLRFCIADKLESGATTSSSVEADGSPSICESSYISSKIRATTDILNSIVNTPALTWSDTMESSPSEVAPSKAIVFSQWTGLLDLLELSLDSSRIKFRRLDGAMSLNLREAAVREFNTDPEVRVMLMSLKAGNLGLNMVAACHVIMIDPWWNPYAEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKRKMVQSAFGEDKPGGSATRLTIDDLQYLFGI >ORUFI04G27960.1 pep chromosome:OR_W1943:4:27379099:27380797:-1 gene:ORUFI04G27960 transcript:ORUFI04G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQQQKGVGNSKVVKVEKEESWDLFVNQASNEGHPVVAHFGASWCVTSLSMNYKFEELAQTHPEILFLYVDVDDVQSVSSKLGVKAMPTFFLIKDKEVVNKIVGANPDEVKKMVDASAESFGVTAPPDIVKLLCDRGCFLVRLYRYLAVAGYY >ORUFI04G27960.2 pep chromosome:OR_W1943:4:27379613:27380797:-1 gene:ORUFI04G27960 transcript:ORUFI04G27960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQQQKGVGNSKVVKVEKEESWDLFVNQASNEGHPVVAHFGASWCVTSLSMNYKFEELAQTHPEILFLYVDVDDVQSVSSKLGVKAMPTFFLIKDKEVVNKIVGANPDEVKKMVDASAESFGVTAPPDIVVE >ORUFI04G27970.1 pep chromosome:OR_W1943:4:27380833:27382687:-1 gene:ORUFI04G27970 transcript:ORUFI04G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVPPLCDCQLAHHKKIRGLRTRLFPAFRHQSSQLRLRRSPSLPESPVLLPPSPRSGRILLPSKEEEETKNTE >ORUFI04G27980.1 pep chromosome:OR_W1943:4:27383436:27385964:1 gene:ORUFI04G27980 transcript:ORUFI04G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLAAPLKIRAETPMRSRSRVARPTLTETWFYCSPSHPRCPLPLLGIRSSSSSPHPHLFPICLFPFMFFTVAARTTSLNSITYSPSRPQHRRAAWHRSVAFFFGIKVDSVAHC >ORUFI04G27980.2 pep chromosome:OR_W1943:4:27383436:27385964:1 gene:ORUFI04G27980 transcript:ORUFI04G27980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLAAPLKIRAETPMRSRSRVARPTLTETWFYCSPSHPRCPLPLLGIRSSSSSPHPHLFPICLFPFMFFTVAARTTSLNSITYSPSRPQHRRAAWHRSVAFFFGIKVDSVAHC >ORUFI04G27980.3 pep chromosome:OR_W1943:4:27383439:27384023:1 gene:ORUFI04G27980 transcript:ORUFI04G27980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGNDRVGCSTKNTRGDPHEEQIEGCAANPYRDVVLLLAEPSALPPSTSRHPLVVVLSASASLPNFVAFFFGIKVDSVAHC >ORUFI04G27980.4 pep chromosome:OR_W1943:4:27383436:27385964:1 gene:ORUFI04G27980 transcript:ORUFI04G27980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLAAPLKIRAETPMRSRSRVARPTLTETWFYCSPSHPRCPLPLLGIRSSSSSPHPHLFPICLFPFMFFTVAARTTSLNSITYSPSRPQHRRAAWHRSVAFFFGIKVDSVAHC >ORUFI04G27990.1 pep chromosome:OR_W1943:4:27387445:27391924:-1 gene:ORUFI04G27990 transcript:ORUFI04G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTPRSPYPKKENLGNARRGMGVKPGPRRNVLSAINNGGGTNSDTASVDGGEGGAGPAAPVIEFTGREDVERLLAEKMKGKSKTDYKGRTEQMSEYIKKLRACIRWYIELEDGYLVEQEKLRSTMDAENAQHAKLEAQLSSDLEELKAAHLNLTRQCDSLEESFNKEKADRMLAVESYEKERQQRESAEASLDLLSVDLERVSHEAKRFSEQLKMVQDTNKRLQEYNTSLQQYNSNLQADASKSGDIISKLQKEKSAMMETMASLKDLNNSMKNHLDSSRTSQQEAIRMKEQLMKEVDCLRIELHQIREDRDQSVSQVNTLSAELANYKELAGKSTKDCESLSVKVSAFELADVTAIEAMTGYEEQKVIIKDLEERLASAEFQIVEADKLRKKLHNTILELKGNIRVFCRVRPLLQDNDSSGAEEALISYPTSVESAGRGIDLMNQGQRFSFSYDKVFDHGASQEDVFVEMSQLVQSALDGYKVCIFAYGQTGSGKTYTMMGPPGRDQKGIIPRSLEQIFKTSQSLESQGWKYSMQASMLEIYNETIRDLLAPGRSNNFDLSTSKQYTIKHDPQGNTTVTDLTVADVFSSADVTSLLAKASQSRSVGRTQMNEQSSRSHFVFTLKISGSNENTGQQVQGVLNLIDLAGSERLAKSGSTGDRLKETQAINKSLSALSDVIFAIAKGDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEASSVGETICSLRFASRVNACEIGIPRRHTQARSFDSRLSYG >ORUFI04G27990.2 pep chromosome:OR_W1943:4:27387445:27391924:-1 gene:ORUFI04G27990 transcript:ORUFI04G27990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTPRSPYPKKENLGNARRGMGVKPGPRRNVLSAINNGGGTNSDTASVDGGEGGAGPAAPVIEFTGREDVERLLAEKMKGKSKTDYKGRTEQMSEYIKKLRACIRWYIELEDGYLVEQEKLRSTMDAENAQHAKLEAQLSSDLEELKAAHLNLTRQCDSLEESFNKEKADRMLAVESYEKERQQRESAEASLDLLSVDLERVSHEAKRFSEQLKMVQDTNKRLQEYNTSLQQYNSNLQADASKSGDIISKLQKEKSAMMETMASLKDLNNSMKNHLDSSRTSQQEAIRMKEQLMKEVDCLRIELHQIREDRDQSVSQVNTLSAELANYKELAGKSTKDCESLSVKVSAFEVSGFRLTKSILSVRNYLAHHYASAQETCSMQQEQIQTLQKQLAVATNKLKLADVTAIEAMTGYEEQKVIIKDLEERLASAEFQIVEADKLRKKLHNTILELKGNIRVFCRVRPLLQDNDSSGAEEALISYPTSVESAGRGIDLMNQGQRFSFSYDKVFDHGASQEDVFVEMSQLVQSALDGYKVCIFAYGQTGSGKTYTMMGPPGRDQKGIIPRSLEQIFKTSQSLESQGWKYSMQASMLEIYNETIRDLLAPGRSNNFDLSTSKQYTIKHDPQGNTTVTDLTVADVFSSADVTSLLAKASQSRSVGRTQMNEQSSRSHFVFTLKISGSNENTGQQVQGVLNLIDLAGSERLAKSGSTGDRLKETQAINKSLSALSDVIFAIAKGDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEASSVGETICSLRFASRVNACEIGIPRRHTQARSFDSRLSYG >ORUFI04G28000.1 pep chromosome:OR_W1943:4:27396640:27420189:1 gene:ORUFI04G28000 transcript:ORUFI04G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAISFLLGYENVEQEDDSDASSSEDEAQNPQIILSKEDVYKANHKGTAATKKKKKAKLQRVIRSMKRQQRKSTEDTGSNYYSPLTYLKDPQGFAEKLFSRLQKCNERFEVRMMMLKVIARTIGLHHLVLLNFYPYLQRYVQPHQRDVTTLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVVREICMRIPLLMNEDLLQDLVLYKKSHEKAVSIAARSLITLFREICPSLLAKKDRGRPTDPKARPKAFGEATIASDVPGAELLHEDFSSEGEGSDDESDAFDSNDEKELQSARGTKQNLDGSSEANKLDTDEGMKEEDQLSGDEDDTEELDEDQDISDNDSEENDDELECDSDMDEENDVSESDDDEELSEKLDDSDEGSDQDDDSDQDDKSKNSSRRANKRKLSDYIGQLNAADASLRALKKLAGAKKAEASCDEAGKILSDEDFKRIKELKAKKEAKLALAQHGLGKGHDTKSVTFKMPSSDQLSLKRVDPSKLEAHIKRKLTKEERLEMVKAGREDRGKYQARTAVKQKKTGGLSNRQKQHKKKMPLAASRAKAARSRQEKKKLQKRSGKQFRGRKAWK >ORUFI04G28000.2 pep chromosome:OR_W1943:4:27396640:27414947:1 gene:ORUFI04G28000 transcript:ORUFI04G28000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQHAPAFTPEAASASATGGAGERQSLPALQAKMKRDPEGYEEELRQLRRHFESSVFLFRQQAALASTSSSGGGGEVAKELGDLALFLAHVAPFYPDDLADLPDQIGGLLDTNARALPSGLRVHLVQALILLVNRKIVDLEDTMELFMELQVIGDRAVKKLAFSHIVHSIRRMNQTHKNEARNRKLQNILFTFLQGEEESRAKRAFTILCDLHRRRVWFDDRTANAICNACFHGSSRIMIAAISFLLGYENVEQEDDSDASSSEDEAQNPQIILSKEDVYKANHKGTAATKKKKKAKLQRVIRSMKRQQRKSTEDTGSNYYSPLTYLKDPQGFAEKLFSRLQKCNERFEVRMMMLKVIARTIGLHHLVLLNFYPYLQRYVQPHQRDVTTLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVVREICMRIPLLMNEDLLQDLVLYKKSHEKAVSIAARSLITLFREICPSLLAKKDRGRPTDPKARPKAFGEATIASDVPGAELLHEDFSSEGEGSDDESDAFDSNDEKELQSARGTKQNLDGSSEANKLDTDEGMKEEDQLSGDEDDTEELDEDQDISDNDSEENDDELECDSDMDEENDVSESDDDEELSEKLDDSDEGSDQDDDSDQDDKSKNSSRRANKRKLSDYIGQLNAADASLRALKKLAGAKKAEASCDEAGKILSDEDFKRIKELKAKKEAKLALAQHGLGKGHDTKSVTFKMPSSDQLSLKRVDPSKLEAHIKRKLTKEERLEMVKAGREDRGKYQARTAVKQKKTGGLSNRQKQHKKKMPLAASRAKAARSRQEKKKLQKRSGKQFRGRKAWK >ORUFI04G28010.1 pep chromosome:OR_W1943:4:27422394:27424955:1 gene:ORUFI04G28010 transcript:ORUFI04G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVGMKTACVTGGNGYIASALIKMLLQKGYAVNTTVRNPDDMRKNSHLKGLEALGTLKVFRADLDEDGSFDEAVNGCDYAFLVAAPVNLQSENPEKEMIEAGVQGTLNVMRSCLRAGTVKRVILTSSAAAVALRPLQGGVGHVLDESSWSDVDYLTREKPPSWAYGVSKVLLEKAACKFAEENDISLITVLPVFTLGAAPTPLTTTSIPTTLSLLSGDEAQLKTLKGLAATGSIPVVHVDDVCRAEIFLAEKESASGRYICSSLSTTVMALARFAAAKYPQYNVQTDCFEGFPEKPRVCYSSEKLTREGFEFKWTDLDEIFGDLVEYGKALGILPH >ORUFI04G28020.1 pep chromosome:OR_W1943:4:27439841:27443427:1 gene:ORUFI04G28020 transcript:ORUFI04G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVEMKTACVTGGNGYIASALIKMLLQKGYAVNTTVRNPDDMKKTSHLKDLEALGPLEVFRADMDEEGSFDDAVAGCDYAFLVAAPVNFQSQNPEKELIEAGVQGTMNVMRSCVRAGTVKRVILTSSAPAVSGRPLQGDGHVLDEDSWSDVEYLTKEKPPAWAYSVSKVLMEKAACKFAEENNISLITVFPVFTLGAAPTPTAATSVSAMLSLLSSDETQLKTLKGLAATGPIPTVHVDDLCRAEVFVAEKESASGRYICSSLSTTVVAFARFVAGKHPRYNVKTDGTVNQGRELTVNRFQGFPEKPRVCYSSEKLVREGFEFKWTDLDEVFDDLIEYGKVLGILPQ >ORUFI04G28030.1 pep chromosome:OR_W1943:4:27445397:27449083:1 gene:ORUFI04G28030 transcript:ORUFI04G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEALLAGGGGGGGGGEVKRRVSIDDALAWHAGEFGRWQLRHFVLVSSAWLLEALHTMVIIFADREPAMVCAAGDGRCGDRCAGAGAAAGTGWEWASGRASSTVSEWGLVCGERYKVGLVQAIFFAGCMIGAGVFGHLSDSFLGRKGSLQVVCVLNGVFGMLTAMAPNYWAYAALRLLTGFSAGSVGLCSFVLATEPVGPSRRGAAGMSTFYFFSGGIAALAGIAALFPTSWRMLYVVTSLPSLVFVVAVLPFVSESPRWYLVRRRADDAMRVVRAIASSNGRSIPDDVSLKLDDEGDDDNGAGAGKVVDSSASASGSIIDVFRSRTTRFRLVLSVVINLLASVVYYGLSLNVVNLKTNLYVSVLVNSLAEMPAYLLTALLLDRFGRKPLAIGTMLLSGISCSAGSLIAGAGAMRVARLACGVVGIFGMAATYNLLFIYTAELFPTAVRNAALGCTSQASQMGAILAPLVVVLGERVPFALLGVSGLVGGFLVFCLPETKNKPMYDTMAGLEEGEKTLLK >ORUFI04G28040.1 pep chromosome:OR_W1943:4:27453578:27455365:1 gene:ORUFI04G28040 transcript:ORUFI04G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVVVVVVAVVCAAGVAAAGKFDDVVEPSWANDHVVYEGDLLKLRLDSSSGGGFASKSKFLYGKATADLKLVAGDSAGVVTAFYLSSGGDKHNEFDFEFLGNVTGEPYLVQTNLYIDGVGNREQRIDLWFDPTADFHTYAVLWNPSQVVFLVDDTPIRVYENKNATAAVKGHHRHAAAANGTSNATSAAASVPPFPSPQPMSVYSSIWNADDWATQGGRVKTDWSHAPFVATFRDVRVEGCAWAANATDSDAGEVARCTGSSWGKEGRYWWKEKDMEELTVHQNHQLVWARAHHLVYDYCVDTDRFPVQPPECAGR >ORUFI04G28050.1 pep chromosome:OR_W1943:4:27460982:27463268:-1 gene:ORUFI04G28050 transcript:ORUFI04G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTVACWRHVRATAFANLVDRIIERDSITACWSGSISGRGSGLTTERRKPLQRDIWLNQLLHYPAVHGCPRSNNATDHQARTHTHFSAKRASAFSWLFTKYFVANWQLLPLQPFLALAATVAAAASSIDHTLNSSNAVPYDGTRYEQTTYGPTLTKRKPGKERSSTAAGSIHTEMIRAVNHALAHRSCVINQA >ORUFI04G28060.1 pep chromosome:OR_W1943:4:27466980:27484417:-1 gene:ORUFI04G28060 transcript:ORUFI04G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMACFPVFIFLPLIFSFCKCDDQLTQAKKLYPGDVLVSQNGVFALGFFSPATSNQSLFLGIWYNNIPERTYVWIANRDKPITAPSSAMLAISNSSNFVLSDLEGHTFWTTMANINTRGDRAYAVLLDSGNLVLRLPDNTTAWQSFDHPTDTLLPNMKFFLRYKAQVAMRLVAWKGPNDPSTGDFSYHSDPRSNLQAFIWHGTKPYYRFIALSLNRVLVSGEAYGSNIATLMYKSLVNTGDELYIMYTTSDGSPYTRIKLDYMGNMRFLSWNGSSSSWTVISQQPAAAGDCNLYASCGPFGYCDFTLAIPRCQCLDGFEPSDFNSSRGCRRKQQLGCGGRNHFVTMSGMKLPDKFLQVQNRSFEECMAKCNHNCSCMAYAYAYGNLTKADTMSDQSRCLLWTGDLADMARASLGDNLYLRLADSPGHTSEDKKKNRYLVVVLVTIIPCLLMLTCIYLARKWQSKASVLLGKRRNNKNQNRMLLGNLRSQELIEQNLELSHVNFEYVVAATNNFSDSNILGKGGFGKGKLEGGREVAVKRLNTGCTQGIEHFTNEVVLIAKLQHKNLVRLLGCCIHGDEKLLIFEYLRNKSLDYFLFDDSKKPILDWQTRFNIIKGVARGLVYLHQDSRMRVIHRDLKASNILLDEEMSPKISDFGMARIFGGNQHQANTKHAWSLWKDGKAEEFVDSIILECYSLNEFLLCIHVGLLCVQEDPNARPLMSSVVAMFENEATTLPTPKQPAYFVPRNCMAEGAREDANKSVNSISLTTLQGPNEAESLTGNMVYFLMFLLLLSIPLCKTDDQLTLGKPIFPSEMLISKGGIFALGFFSPANSSNSLYVGVWFQNIPQRTVVWVANRDNPITTPSSATLAITNSSGMVLSDSQGHILWTTKISVTGASAVLLDTGNFVLRSPNGTDIWQSFDHPTDTILAGMMFLMSYKSEIIGRLTAWRSHDDPSTGDFSFSLDPSSDLQGMTWNRTKPYCRNGVRTSVTVSGAQYPSNSSLFMYQTLIDSGNELYYSYTVSDSSIYTRLMLDSTGTMMFLSWDNSSSSWMLIFQRPAAGSCEVYGSCGPFGYCDFMGAVPACRCLDGFEPVDPSISQSGCRRKEELRCGEGGHRFVSLPDMKVPDKFLQIRNRSFDQCAAECSSNCSCKAYAYANLSSGGTMADPSRCLVWTGELVDSEKKASLGENLYLCLAEPPGKQNKEIQKRLMLEYPGTSNELGGENVKFPFISFGDIVAATDNFCESNLLGRGGFGKVYKRFPIYIDDNMKGILEGGTEVAVKRLNEGSGQGIEEFRNEVVLIAKLQHRNLVRLLGCCIHEDEKLLIYEYLPNKAWTPSFLILYILILLKDATRKYVLDWPTRFKIIKGIAKGLLYLHQDSRLTIIHRDLKASNILLDTEMNPKISDFGIARIFHGNQQQANTTRVVGTYILEQQALVTLVRTSFYRHGDYGKMEMQQNCWTSFLLTVIHFMKLSENESTLLPAPKQPVYFEMKNHGTQEATEETGCGGGGAGTCPAGRRYSAVHRLNRALPLLGCNASATSPSSTESGRPRTPGASTCGADRRRLTAPHRRQPPLRRRKENMTTMHVVIFMFLISFCQSDDRLTPAKPLIFPGGDKLISDGGVFALGFFSLTTTNSTPSLLYLGIWYNNIPERTYVWVANRDNPITTHTARLAVTNTSGLLWTNYKNHEAVRVVAWRSTGEFSLSGDPDQWGLQIVIWHGASPSWRSGVWNGATATGLTRYIWSQIVDNGEEIYAIYNAADGILTHWKLDYTGNVSFRAWNNVSSTWTSPFERPGHGCLHYGACGPFGYCDITGSFQECKCLDGFEPADGFSLNSSRGCRRKEELRCGGQDHFFTLPGMKVPDKFLYIRNRTFEECADECNRNCSCTAYAYANLRTILTTGDPSRCLVWMGELLDSEKAGAVGENLYLRLAGSPAVNNKNIVKIVLPAIACLLILTACSCVVLCKCRSRGIRRNKEILKKTELGYLSAFQDSWDQNLEFPDISYEDLTSATNGFHETNMLGKGGFGKGTLEDGMEVAVKRLNKDSEQGVEQFRNEVVLIAKLQHKNLVRLLGCCIHGDEKLLIYEYLPNKSLDKFLFDHAMKSVIDWPTRFNIIKGVARGLLYLHQDSRMMIIHRDLKTSNILLDAEMNPKISDFGMARIFGNSEQQASTKRVVGTYGYMAPEYAMEGIFSVKSDTYSFGVLLLEIVSGLKISSPHHIVMDFPNLIAYAWNLWKDGMTEAFVDKMVLESCLLIEVLQCIHIGLLCVQDSPNARPHMSLVVSMLDNEDMARPIPKQPIYFVQRHYDEEERQGSESSNLRIFSRQTVAGLGYNTRRAADRRYSLGN >ORUFI04G28070.1 pep chromosome:OR_W1943:4:27471513:27474569:1 gene:ORUFI04G28070 transcript:ORUFI04G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRERARWRGGGVSAGLRCCGSTLVWRPSAKRRSTFRDLNKHSRMTFIVMFMENVGAIARENIMRRRIPAGEPASRRYRFSPTAPAFSESRSSPIHTKHLDGSPVVRIVLKLA >ORUFI04G28080.1 pep chromosome:OR_W1943:4:27476362:27485115:1 gene:ORUFI04G28080 transcript:ORUFI04G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIVVQKVWPSKSDNTKLELLLMASIADEGAVIGLSRLAIQTYVRSGMLLYHMPRNKLWLEVAGEKKPSAKTPFWETSTSPG >ORUFI04G28090.1 pep chromosome:OR_W1943:4:27485083:27490216:-1 gene:ORUFI04G28090 transcript:ORUFI04G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATANIFHLSLTFFFMVLLTLGTSAAGVASDTLSNGRNLTDGNTLVSAGGSFTLGFFSLGLPSRRYLAIWFSESADAVWVANRDSPLNDTAGVLVNNGAGGLVLLDGSGRAAWSSNTTGKSSSATAAQLLESGNLVVRERDQLNTGVFIWQSFDHPSNTLIAGMRLGNNRQTGDAWFLSSWRAHDDPATGDCRRVLDTRGLPDCVTWCGGAKKYRTGPWNGQWFSGVPEMASYESIFSSQVVVTPDEIAYVFTAAAAAGSPFSRLVLDEAGVTERLVWDPSSKVWIPYMKAPRGVCDDYAKCGAFGLCNEDTASTLFCSCMAGFSPVSPSRWSMRDTSGGCRRNAPLECGNGSTTDGFVTVRGVKLPDTDNATVDTGATLDECRARCLANCSCVAYAAADISGRGCVMWIGDMVDVRYVDKGQDLHVRLAKSELVNNKKRTVVKIMLPLTAACLLLLMSIFLVWLYKCRVLSGKRHQNKVVQKRGILGYLSASNELGDENLELPFVSFGEIAAATNNFSDDNMLGQGGFGKVYKGMLDDGKEVAIKRLSKGSGQGAEEFRNEVVLIAKLQHRNLVRLLGYCIYGDEKLLIYEYLPNKSLDAFIFDHANKYVLDWPTRFKIIKGVARGLLYLHQDSRLTVIHRDLKPSNILLDVDMSPKISDFGMARIFGGNQHEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVILLEIVSCLKISLPRLTDFPNLLAYAWNLWKNDRAMDLMDSSISKSCSPTEVLLCIQIGLLCVQDNPNNRPLMSSVVSMLENETTTLSAPIQPVYFAHRAFEGRQTGENSISLLEGRTKLGLPPIGGKPLPCLLDFVPTNLGMLGGNKEVAIKRLSKHSGQGVEEFRNEVVLIAKLQHKNLVRLLGCCIHGEEKLLIYEYLPNKSLDYFLFDDSKKSMLDWQTRFNIIKGVARGLVYLHQDSRMTIIHRDLKASNILLDEGMSPKISDFGMTRIFGGNQHQANTRHVVGTYSIFSVKSDTYSFGVLALELISGSKISSPHLIMGFPNLIACAWSLWKNGKAEDLVDSIILQIYSLNEFLLCIHVGLLCVQEDPNARPLMSSVVVMLENEATTLPTPKQPAYFVPRNCMAGGAREDANKSVNSISLTTLQGR >ORUFI04G28100.1 pep chromosome:OR_W1943:4:27494207:27494713:-1 gene:ORUFI04G28100 transcript:ORUFI04G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPSPSLLPQPSASSVLSLGGNGMMQPLRPPPRARCIGSSGRRASGHLDVRAEPPSAGSLPLFDGWLRGTRARSPVDVAPPRRGSRRHLGLPPATASALIQALPSPDLLRYLAERECGTMGLDAATQRWVTGSLPDPTQLGSPLCRSPALTAHRAWIGPSRVPVAY >ORUFI04G28110.1 pep chromosome:OR_W1943:4:27494886:27506132:-1 gene:ORUFI04G28110 transcript:ORUFI04G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIINVTRSTLRSFWSSGLFGNNVNAKIFQSESNTPLESGGSIVLVGSLVLLVTVVLFWPGSFAVPGKSSCLACPKKKNKGQMNMAYLPVNRLISPSGKLVSKSGVFALGFFSPATSNQSLFLGIWYNNIPERTYVWVANRDNPITTPSSAMLAISNSSDLVLSDSKGRTVWTTMANVTGGDGAYAVLLDSGNLVLRLSNNATIWQSFDHPTDTILSNMKILLRYKEQVGMRLVAWKGLDDPTTGDFSCSGDPSSDLQVFVWHGTKPYYRSIVLDSVWVSGKAYGSSTSFMYQTYVNTQDEFYVIYTTSDGSPYMRIMLDYTGTFRLLSWNVNSSSWAIYSQRPAAIGDCDPYGSCGPFGYCDFTSVIPRCQCPDGFEPNGSNSSSGCRRKQQLRCGEGNHFMTMPGMKLPDKFFYVQDRSFEECAAECSRNCSCTAYAYTNLTITGSPGTTASQSRCLLWVGELVDMARNNLGDNLYLRLADSPGHKKSRYVVKVVVPIIACVLMLTCIYLVWKWISKGEKRNNENQNRAMLGNFRASHEVYEQNQEFPCINFEDVVTATNNFSDSNMLGEGGFGKVYKGKLGGGKEVAVKRLSTGSTQGLEHFTNEVVLIAKLQHKNLVRLLGCCIHGDEKLLIYEYLPNKSLDHFLFDPASKFILDWPTRFKIIKGVARGLLYLHQDSRLTIIHRDLKTSNILLDADMSPKISDFGMARIFGGNQQEANTNRVVGTYGYMSPEYAMDGVFSVKSDIYSFGVILLEIVSGLKISLPQLMDFPNLLAYAWRLWKDDKTMDLVDSSIAESCSKNEEMQGKKESTVMEAATTNIFYRPVIFFSVLLCFQYRAAGVASDTLSNGRNLTDGDTLVSANGSFTLGFFSPGLPSRRYLAIWFSESADAVWVANRDSPLNDTAGVVVIDGTGGLVLLDGAAGQAAWSSNTTGSSPSVAVQLLESGNLVVRDQGSGDVLWQSFDHPSNTLIAGMRLGRNPRTGAEWSLTSWRAPDDPATGGCRRVMDTRGLADCVSWCGAGKKYRTGPWNGLWFSGVPEMASYSSMFANQVVVKPDEIAYVFTAATAAAPFSRLVLSEAGVIQRLVWDPSSKGWNTFAQAPRDVCDDYAKCGAFGLCNVNTASTLFCSCMAGFSPMFPSQWSMRETSGGCRRNAPLECGNGSTTDGFVPVRGVKLPDTDNATVDTGATLDECRARCFANCSCVAYAAADIRGAGGGSGCVMWTGDVIDVRYVDKGQDLYLRLAKPELVNNKKRTVIKVLLPVTAACLLLLMSMFLVWLRKCRGKRQNKVVQKRMLGYLSALNELGDENLELPFVSFGDIAAATNNFSDDNMLGQGGFGKGMLGDNKEVAIKRLSKGSGQGVEEFRNEVVLIAKLQHRNLVKLLGCCIHGDEKLLIYEYLPNKSLEAFVFGTVQKHTMRSNKLHSMLTDREILLFLKKYLKIPKFYTKIFGTLRYLVSEDPASKYALDWPTRFKIIKGVARGLLYLHQDSRLTIIHRDLKSSNILLDVDMSPKISDFGMARIFGGNQQEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSYGVILLEIVSGLKISLPRLMDFPNLLAYAWSLWKDDKAMDLVDSSIAESCSKMEVLLCIHIGLLCVQDNPNNRPPMSSVVFMLENEAAALPAPIQPVYFAHRASGAKQSGGNTSSSNNNMSLTLILK >ORUFI04G28120.1 pep chromosome:OR_W1943:4:27500775:27505576:1 gene:ORUFI04G28120 transcript:ORUFI04G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRTPTHCQAQWSGNMAWSRARRRPEGLSWGLRCMRSPPLLDHQGPSKLQAACLLAPCTSAESSCSTEWCQLGDRNFAIWLHCLTAGEPSFLSRAALHKLRHLR >ORUFI04G28130.1 pep chromosome:OR_W1943:4:27507981:27508367:-1 gene:ORUFI04G28130 transcript:ORUFI04G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAATCDDTVGECDVDDEEEVEEMALMGAAGAAAGETGCRTLQRRLLDYLAARPEWRRSGGRDHVVLAHHPNGMLDARYKLWPCVFVLCDFGRYPPSVVGLDKDVIAPYRHVVPNFAGQRLRRLR >ORUFI04G28140.1 pep chromosome:OR_W1943:4:27511681:27515265:1 gene:ORUFI04G28140 transcript:ORUFI04G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSHNLPVLIHLLLLISFCRCDDQLRHAKRLISPSDMLISKGGDFALGFFSPATSNQSLFLGIWYHNISERTYVWVANRDDPIAASSSATLSISNNSALVLSDSKGRTLWTTMASPNSIVTEDDGVYAVLLDSGNLVLRLSNNTTIWQSFDQPTDTILPNMKFLVRSYGQVAMRFIAWKGPDDPSTGDFSFSGDPTSNFQIFIWHETRPYYRFILFDSVSVSGATYLHNSTSFVYKTVVNTKDEFYLKYTISDDSPYTRVMIDYMGNFRFMSWNSSLSSWTVANQLPRAPGCDTYGSCGPFGYCDLTSAVTSCQCLDGFEPVGSNSSSGCRRKQQLRCGDDHFVIMSRMKVPDKFLHVQNRNFDECTDECTRNCSCTAYAYTNLTATGTMSNQPRCLLWTGELADAWRDIRNTIAENLYLRLADSTGSNSTVNRKKKRHMVGTLEDGKEIAVKRLSKCSEQGMEQFRNELVLIAKLQHKNLVRLLGCCIHGDEKLLIYEYLPNKSLDKFLFNHTTEATLDWLTRFNIIKGVARGLLYLHQDSRMKIIHRDLKASNILLDGEMNPKISDFGMARIFGGNEQQESTRRVVGTYGYMSPEYAMEGTFSVKSDTYSFGILLLEIDSPNARPLMSFVVSMLENEDMPHPIPTQPIYFVQRHYESEEPREYSDKSVNNEELIYVQKLNRYSSNRIMIRDPHK >ORUFI04G28150.1 pep chromosome:OR_W1943:4:27517618:27520919:-1 gene:ORUFI04G28150 transcript:ORUFI04G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMACLPFLICLLLISFCKCDDQLTQAKQLHPGDVLGSKSGVFALGFFSPGTSNKSLYLGIWYHNIPQRTYVWVANRDNPISTPSSSVMLAISNSSNLVLSDSEGRTLWTTNITITGGDGAYAALLDTGNLVLQLPNKTIIWQSFNHPTDTILPNMKFLLRYKAQVSRRLVAWKGPNDPSTGEFSLSGDPSLDIQAFIWHGTKPYYRFVVIGRVSVSGEAYGSNTTSFIYQTLVNTQDEFYVRYTTSDGSANARIMLDYMGTFRFLSWDDSSSSWTVRLQRPASTIDCYTYASCGPFGYCDAMLAIPRCQCLDGFEPDTTNSSRGCRRKQQLRCGDGNHFVTMSGMKVPDKFIPVPNRSFDECTAECNRNCSCTAYAYANLTIAGTTADQSRCLLWTGELVDTGRTGFGDGKQRNDENKKRTVLGNFTTSHELFEQKVEFPNINFEEVATATNNFSDSNMLGKGGFGKVYKGKLEGGKEVAVKRLGTGSTQGVEHFTNEVVLIAKLQHKNLVRLLGCCIHGEEKLLIYEYLPNRSLDYFLFDDSKKSMLDWRTRFNIIKGVARGLVYLHQDSRMTIIHRDLKASNILLDEEMSPKISDFGMARIFGSNQHQANTKHVVGTYGYMSPEYAMEGIFSVKSDTYSFGVLVLELISGSKISSPHLTMDFPNLIARAWSLWKDGNAEDFVDSIILESYAISEFLLCIHLGLLCVQEDPSARPFMSSVVAMLENETTARPTPKQPAYFVPRNYMAEGTRQDANKSVNSMSLTTLQGR >ORUFI04G28160.1 pep chromosome:OR_W1943:4:27521690:27530982:-1 gene:ORUFI04G28160 transcript:ORUFI04G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATTGIWLVDVILFSFFLVAPRAFAAAAAVTDTLRGGRNITDGETLVSADGTFTLGFFSPGVSAKRYLGIWFTVSPDAVCWVANRDSPLNVTSGVLAISDAGILVLLDGSGGGHVAWSSNSPYAASVEARLSNSGNLVVRDASGSTTTLWQSFDHPSNTLLPGMKMGKNLWTGAEWDLTSWRSPDDPSPGAYRRVLDTSGIPDVVLWQDGVERYRSGPWNGRWFSGNPEAATYTTNLITFQVTVSPGEISYGYVSKPGAPLTRSVVLDTGVVKRLVWEATSRTWQTYFQGPRDVCDAYAKCGAFGLCDANAPSTSFCGCLRGFSPTSPAAWAMKDASGGCRRNVPLRCGNTTTTDGFALVQGVKLPDTHNASVDTGITVEECRARCVANCSCLAYAAADIRGGGGGSGCVIWTGGIVDLRYVDQGQGLFLRLAESELEGIPHNPATTVPSVDLQKVKAATGNFSQSHVIGQGGFGIVYKGQLPDGRMIAVKRLHQSTLTKKGKKDFTREVEVMARLRHGNLLRLLAYCSEGSERVLIYDYMSNRSLDLYIFGDSGLRLMLNWRKRLGIIHGIANGIAYLHEGSGECVIHRDLKPPNVLLDDSFRPKIADFGTAKLFTADQPEPSNLTVVVSPGYASPEYAWRGEMTLKCDVYSFGVVLLETLSGQRNGPMYSLLPHAWELWEQGRVMSLLDAMIGLPLSVSGPDHTEMEDELARTTTGAELGDTLGKGRNITDGERLVSAGGSFTLGFFSPASSSSSSTSRRYLGIWFSVSDDVVCWVANRDRPLTDTSGVLVITDAGSLLLLDGSGHVVWSSNTTTGGGASMAAQLLESGNLVVSDRGNGGAGAVVVWQSFDHPCDTLLPGMKIGKNLWTGAEWYLSSWRSSGDPSPGNYRYRTDTKGVPENVLWDGDGEVYRTGPWNGLWFSGIPEMGTYSDMFSYQLTVSPGEITFGYSANAGAPFSRLVVTGVGEVQRLVWEPSSRAWKNFFQGPRDLCDDYGKCGAFGLCDAGAASTSFCSCVEGFTPASPSPWKKMRDTSAGCRRDAALGCATDGFLTVRGVKLPDAHNATVDKRVTVEECRARCLANCSCVAYAPADIGGGGGGGAGSGCIIWADDLVDLRYVDGGQDLYVSVKEATGNFSESNIIGRGGFGIVYQGKLPSGRKVAVKRLTQSLVTDKRKEDFIREVEMMSNTRHAYLVELLCYCQEGGEMILVYEYMENMSLDLYIFGEDRRLRASLNWVQRLDIIRGIAIGVEYLHNVKVIHRDLKPSNILLDDNRRPKVADFGTAKLFINDQTDPTLVLSAGYIAPEYAAQGNLTLKCDVYSFGVVLLEIISGKRNRTLPTFLRETWESWKQHEIEDILDLGLIKPEPDLLLGLDRCIQIGLLCVQQSPDDRPTMNQVVSMLTKYSSQIAMPKNPMINSRCEPSVSQVVSDTEPASHDRPGPSLN >ORUFI04G28170.1 pep chromosome:OR_W1943:4:27542473:27545955:-1 gene:ORUFI04G28170 transcript:ORUFI04G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTRQSSNLLRLVAFFFLLSGQTSAAAAAGVADKLDKGQNLTDGQTLVSSGGGSYTLGFFSPGKSTKRYLGIWFTVSGDTVYWVANRDRPLDGKSGVLLLNDDGSQLVLLDGGSRRTVWSASFLAASAAVVQLLDSGNLVVRNGSGGDAYLWQSFDQPSDTLLPGMKMGKSLWSGQEWFITAWRSADDPSPGDYRRTLATDGLPELVLWRGGGGGGATKVYRTGPWNGRFFNGVPEASNYSDKFPLQVTSSAREVTYGYGSVATAGAAPLTRVVVNYTGVVERLVWDASSRAWQRFFQGPRDPCDSYARCGPFGLCDADAAATSFCGCVDGFTAASPSAWALRNTSGGCRRGVALDCAGGGGGSRTTDKFKVVRGVKLPDTRNASVDMGATAAECERRCLGNCSCVAYAAADINGGGCVIWTDDIVDLRYVDRGQDLYLRLAKSEFVETKRSLIVLVVPPVAATIAILLIAFGVWAIWCKKNHGILDNPSMGVASANLATIKSITENFSENCLIGEGGFSTVYKGVQSDGRMVAVKRLKQSALTNKGKKDFAREVAVMAGLHHGSLLRLLAYCNEGNERILVYAYMKNKSLDNHIFGPLPRRANLHWRRRLDIIQAIAKGVAYLHEGPDGSVIHRDLKLSNILLDDELKPKIADFGTAKLFVADQSGQTLVVSQGYASPEYALRDEMTLKCDVYSFGVVLLETLSGVRNGSMQTLLPQAWRLWEQGNLMDLLDPAMARPAPDDAELLYDLERCIHIGLLCIQDMADDRPTMSEIVAMLTSRTSQMEQPKRPTLDSRAAMRPLRQSDVQGSTTTDLT >ORUFI04G28170.2 pep chromosome:OR_W1943:4:27542473:27545955:-1 gene:ORUFI04G28170 transcript:ORUFI04G28170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTRQSSNLLRLVAFFFLLSGQTSAAAAAGVADKLDKGQNLTDGQTLVSSGGGSYTLGFFSPGKSTKRYLGIWFTVSGDTVYWVANRDRPLDGKSGVLLLNDDGSQLVLLDGGSRRTVWSASFLAASAAVVQLLDSGNLVVRNGSGGDAYLWQSFDQPSDTLLPGMKMGKSLWSGQEWFITAWRSADDPSPGDYRRTLATDGLPELVLWRGGGGGGATKVYRTGPWNGRFFNGVPEASNYSDKFPLQVTSSAREVTYGYGSVATAGAAPLTRVVVNYTGVVERLVWDASSRAWQRFFQGPRDPCDSYARCGPFGLCDADAAATSFCGCVDGFTAASPSAWALRNTSGGCRRGVALDCAGGGGGSRTTDKFKVVRGVKLPDTRNASVDMGATAAECERRCLGNCSCVAYAAADINGGGCVIWTDDIVDLRYVDRGQDLYLRLAKSEFDNPSMGVASANLATIKSITENFSENCLIGEGGFSTVYKGVQSDGRMVAVKRLKQSALTNKGKKDFAREVAVMAGLHHGSLLRLLAYCNEGNERILVYAYMKNKSLDNHIFGPLPRRANLHWRRRLDIIQAIAKGVAYLHEGPDGSVIHRDLKLSNILLDDELKPKIADFGTAKLFVADQSGQTLVVSQGYASPEYALRDEMTLKCDVYSFGVVLLETLSGVRNGSMQTLLPQAWRLWEQGNLMDLLDPAMARPAPDDAELLYDLERCIHIGLLCIQDMADDRPTMSEIVAMLTSRTSQMEQPKRPTLDSRAAMRPLRQSDVQGSTTTDLT >ORUFI04G28170.3 pep chromosome:OR_W1943:4:27542473:27545955:-1 gene:ORUFI04G28170 transcript:ORUFI04G28170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTRQSSNLLRLVAFFFLLSGQTSAAAAAGVADKLDKGQNLTDGQTLVSSGGGSYTLGFFSPGKSTKRYLGIWFTVSGDTVYWVANRDRPLDGKSGVLLLNDDGSQLVLLDGGSRRTVWSASFLAASAAVVQLLDSGNLVVRNGSGGDAYLWQSFDQPSDTLLPGMKMGKSLWSGQEWFITAWRSADDPSPGDYRRTLATDGLPELVLWRGGGGGGATKVYRTGPWNGRFFNGVPEASNYSDKFPLQVTSSAREVTYGYGSVATAGAAPLTRVVVNYTGVVERLVWDASSRAWQRFFQGPRDPCDSYARCGPFGLCDADAAATSFCGCVDGFTAASPSAWALRNTSGGCRRGVALDCAGGGGGSRTTDKFKVVRGVKLPDTRNASVDMGATAAECERRCLGNCSCVAYAAADINGGGCVIWTDDIVDLRYVDRGQDLYLRLAKSEFDVIPDNPSMGVASANLATIKSITENFSENCLIGEGGFSTVYKGVQSDGRMVAVKRLKQSALTNKGKKDFAREVAVMAGLHHGSLLRLLAYCNEGNERILVYAYMKNKSLDNHIFGPLPRRANLHWRRRLDIIQAIAKGVAYLHEGPDGSVIHRDLKLSNILLDDELKPKIADFGTAKLFVADQSGQTLVVSQGYASPEYALRDEMTLKCDVYSFGVVLLETLSGVRNGSMQTLLPQAWRLWEQGNLMDLLDPAMARPAPDDAELLYDLERCIHIGLLCIQDMADDRPTMSEIVAMLTSRTSQMEQPKRPTLDSRAAMRPLRQSDVQGSTTTDLT >ORUFI04G28180.1 pep chromosome:OR_W1943:4:27547538:27549218:-1 gene:ORUFI04G28180 transcript:ORUFI04G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIQAPRLITKEAGPPADEAIFRSDSVKSAVLSSPLVEFSTIYSATNNFSNKLGGGGFGFVYKGVLPDGQEIAVKRLSNRSSQGLEEFKNEVIVLSKLQHRNLVRLFGCCVHGEEKMLLYEYMPNKSLDSFIFDESKRLIFGWKLRYKIIQGIGRGLLYLHQDSRLKIIHRDLKASNILLDDDFNPKISDFGMARIFGEHQLQALTHRIVGTYGYISPEYAMEGKFSEKSDIFSFGVLILEIVSGRRNSSFVDEEWSMNLLGYAWTLWKEGSVSELIDPLMGTICSYDEVCRCIQVGLLCVQELPGDRPSMPLVLRMLSGDVTLPAPKQAAFFVGRVPLDDNNTGSGNQLTYTQLQGR >ORUFI04G28190.1 pep chromosome:OR_W1943:4:27553760:27558681:1 gene:ORUFI04G28190 transcript:ORUFI04G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHTNGTNGSCSKPCEPLTDYCIPDYILNPDSEQVLVDQAPCCPVVVFINSRSGGQLGSSLIKTYRELLNKAQVFDLSEEAPEKVLHRLYCNFEKLKSNGDPIAFQIQSNLRLIVAGGDGTASWLLGVVSDLKLSHPPPIATVPLGTGNNLPFSFGWGKKNPTTDQEAVKSFLGQVKKAREMNIDSWHIIMRMRAPQEGPCEPIAPLELPHSLHAFHRVSGSDSLNMEGYHTYRGGFWNYFSMGMDAQVSYEFHSERKRNPEKFKNQLTNQSTYAKLGLKQGWFAASLTHPSSRNIAQLAKVRIMKRPGGQWEELKIPRSIRSIVCLNLPSFSGGLNPWGTPGTRKVQDRDLTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAAEHTFMRIDGEPWKQPLPKDDDTVVVEISHLRQVTMLASDPCKSKSVNDPSSPMCCSNHDDDERNSLEDEDEWEEGRKKFGAADTFKFPDEVDVAHLS >ORUFI04G28190.2 pep chromosome:OR_W1943:4:27554345:27558681:1 gene:ORUFI04G28190 transcript:ORUFI04G28190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVFSNPMLKSNGDPIAFQIQSNLRLIVAGGDGTASWLLGVVSDLKLSHPPPIATVPLGTGNNLPFSFGWGKKNPTTDQEAVKSFLGQVKKAREMNIDSWHIIMRMRAPQEGPCEPIAPLELPHSLHAFHRVSGSDSLNMEGYHTYRGGFWNYFSMGMDAQVSYEFHSERKRNPEKFKNQLTNQSTYAKLGLKQGWFAASLTHPSSRNIAQLAKVRIMKRPGGQWEELKIPRSIRSIVCLNLPSFSGGLNPWGTPGTRKVQDRDLTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAAEHTFMRIDGEPWKQPLPKDDDTVVVEISHLRQVTMLASDPCKSKSVNDPSSPMCCSNHDDDERNSLEDEDEWEEGRKKFGAADTFKFPDEVDVAHLS >ORUFI04G28200.1 pep chromosome:OR_W1943:4:27562424:27562708:1 gene:ORUFI04G28200 transcript:ORUFI04G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRHMSRVGARAAQAVREGAGRSVKDKAQSATTSTSSAAARSSGGGSRAPAAGSVEKGRVSAAAAARAAEEKRRRAEQSLRTVMFLSVWGPNT >ORUFI04G28210.1 pep chromosome:OR_W1943:4:27563201:27563888:-1 gene:ORUFI04G28210 transcript:ORUFI04G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRYASRVLLRAAAQAMRAARQPAPAVAKPVPAAKPSAVAPGTAQRQQAKRLSGGVAEPAEKAAVAERMRRRRREKSENVMQLNIQKDEKGTCSILKFQDW >ORUFI04G28220.1 pep chromosome:OR_W1943:4:27565086:27565379:-1 gene:ORUFI04G28220 transcript:ORUFI04G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGKAKASSWAAAMSVGTVEALKDQAGLCRWNYAFRTLQQRGRQQAVAGTSGAKSGGGARALQPAAAAAARRKAQQQEEELRTVMYLSNWGPNN >ORUFI04G28230.1 pep chromosome:OR_W1943:4:27569716:27569976:-1 gene:ORUFI04G28230 transcript:ORUFI04G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQGGLCRWNYALRSIHKAAKANAAGVSQGKKLPASAAAVAERRRAEKAEEGLRTVMYLSCWGPN >ORUFI04G28240.1 pep chromosome:OR_W1943:4:27571780:27572040:-1 gene:ORUFI04G28240 transcript:ORUFI04G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQGGLCRWNYALRSIHKAAKANAAGVSQGKKLPASAAAVAERRRAEKAEEGLRTVMYLSCWGPN >ORUFI04G28250.1 pep chromosome:OR_W1943:4:27579082:27579342:-1 gene:ORUFI04G28250 transcript:ORUFI04G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQGGLCRWNYALRSIHKAAKANAAGVSQGKKLPASAAAVAERRRAEKAEEGLRTVMYISCWSTN >ORUFI04G28260.1 pep chromosome:OR_W1943:4:27582752:27583015:-1 gene:ORUFI04G28260 transcript:ORUFI04G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKANARAGVSRGKKLPASAAAVAERRRAEKAEEGLRTVMYISCWSTN >ORUFI04G28270.1 pep chromosome:OR_W1943:4:27585475:27587000:-1 gene:ORUFI04G28270 transcript:ORUFI04G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQGGLCRWNYALRSIHKAAKANAAGVSQGKKLPASAAAVAERRRAEKAEEGLRTVISLKVLLKQLKVIRHAWILEESDVQSGTIAQDRRLLLVLPANAGSREHWRVQKVRSCISLIYGATFSCRLCQLSRASEYSLASHNTGDPLTCSYLVPSYGTAFGIKGCDRYCLLLQQLWLQELKMAAAAAAEKQPQP >ORUFI04G28280.1 pep chromosome:OR_W1943:4:27587478:27591587:1 gene:ORUFI04G28280 transcript:ORUFI04G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVVMVVLLGLRLPQRLAHVVGVLAVGVVLGLDVVDLVPERGGVEVEGRAVGAADVEGDVLGAEHLVHGSLRGGHELGGEAELAVGAEHGEGGDVAVARLRGVLLHLREHVADDPAAVILRHEQQLRPRQHVVEVVLHLVVLRQAHQVARLHRQQVVDRRLPYAHHLRLRRRRRRLLLRRDVVVGDRDADGGGGGGLSCGFNRGEEGKRP >ORUFI04G28290.1 pep chromosome:OR_W1943:4:27587482:27591450:-1 gene:ORUFI04G28290 transcript:ORUFI04G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRQATIDDLLAMQACNLMCLPENYQMKYYLYHMLSWPQLLFVAEDYGGRIVGYVLAKMEEDPSEPCHGHITSLAVLRSHRKLGLATKLMSAAQAAMDQVFGAEYVSLHVRRSNRAAFNLYTSTLGYQIHDVEAKYYADGEDAYDMRKPLRQPQPKKHHHHHHHHHGPGGCCSHDAPPAASGSSPPSSNSPEKTDS >ORUFI04G28300.1 pep chromosome:OR_W1943:4:27591709:27597973:1 gene:ORUFI04G28300 transcript:ORUFI04G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESNTLRVLVATDCHLGYMEKDEIRRFDSFEAFEEICSLAEQNKVDFVLLGGDLFHENKPSRSTLVKTIEILRRYCLNDQPVKFQVVSDQTINFPNRFGQVNYEDPNFNVGLPVFTIHGNHDDPAGVDNLSAIDILSACNLVNYFGKMDLGGSGVGEIAVYPVLVKKGTTFVALYGLGNIRDERLNRMFQTPHAVQWMRPETQDGMSVSDWFNILVLHQNRIKTNPKSAINEHFLPRFLDFIVWGHEHECLIDPQEVPGMGFHITQPGSSVATSLIDGEAKPKHVLLLEIKGNQYRPTKIPLRSVRPFHYAEVVLKDEVDVDPNDQASVLEHLDKIVRNLIKKSSQPTASRPETKLPLIRIKVDYSGFSTINPQRFGQKYVGKVANPQDILIFSKSAKKRQTTGVGNIDDSEKLRPEELNQQTIEALVAENNLKMEILPVDDLDIALHDFVSKDDKMAFYACLQRNLEETRTKLNSEADKFKIEEEDIIVKVGECMQDTGGRSVTAQSNLNSFSDDEDTREMLLGARTTNAGRKASGFTRPSKDATDVAKTGTSRRGRGRGTASMKQTTLNFSQSRSSAAIRSEEVQSSSDEENETNEANEVVESSEPEESPQQTGRKRAAPRGGRGRGRGATAKRGRKADISSIQSMLMSKDDDDDDEDDRPKKPPPRVTRNYGAVRRR >ORUFI04G28310.1 pep chromosome:OR_W1943:4:27597835:27600855:-1 gene:ORUFI04G28310 transcript:ORUFI04G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEREEEEAARSPPPKRPRRDGDAPPEPQPARVGLNPADCDLGTHGSSFAGCNRSRALLTTPSSVAVWRPDFDVGGGGLRGEALHDGGFAYCWSGARATVGVRGGGKYCFGCKVVAEQAVEMEDTAADQQHLCRVGVSRGGDPVGALGETGHSFGFGGTGKFSHQGKFVEYGVKFGVGDTVVCAVDLDSKPLASIGFSRNGEWLGIAKHFDASDKGLGLVESPVSSMQWESAIFPHVLLKNVVVEMQFSKEDGLQLVDGYELWPSACVDGNAVSGPVFAEQKECEIMMMVGLPASGKSTWAEKCIKEHKEKRFILLGTNLALVQMKVPGLLRKNNYGERFERLMDRATMIFNTLLTRAAKIPRNYIIDQTNVYKNARSRKLRPFANYRKTAVVVFPSPSELKVRAAKRFKEMGKDVPADAVNEMTANFVLPLSKDMPDSKEPFDEVIFVELSRDEAQRNLDEMKRLLPKASTPSYANFSNQNVSSTYSGTIAGTMPSLSPGYHRQMDSPYGSGVQTPRALTHQQAAWGVQGFQSPAGINHHQVHLSSYPNTPYQHQQIQSNHPSTPYQHQAQSTSYPSTPYQDQIHSSYPSNPTQHQIHLNYPSTPNQYQSHSTYPNTPFPGHGNSVYDSNGGPGPYNPNPYSMNTDMQQRIQAPIGDRNQSYAVVSNEAYGRSGYEAANSVGRPINTHPAVYNSGSGNYMPYMQHSHDVHNSGSQYSAPVPRPPYGAPPPNYMNPQTSIWHI >ORUFI04G28320.1 pep chromosome:OR_W1943:4:27602545:27607036:1 gene:ORUFI04G28320 transcript:ORUFI04G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCDHVSDKLQDPNQSWSSQAAHAERGRQGNATKAKLPASAAPRRARSGRKGRRGATRRTRETKGKGRGGGDKNLRRTKRLPRPPRSRRRAVPCPALAPLDTGGAPARPRDPMRRRPFLDQRRPSSFKRRWQQRPWWFRLAVTLLLALACLFLLLALRVSPDPDPVVLPSTDSSRSAATTSPLLHQRSYLDGVTDAFNMTDEMLSAHSFSRQLMDQISLAKTYLVVAKEANNLQFAAELSSQIRRAQSILAHAAAHGGTVTEQDAEKAIRDMSVLFFQAQQFRYDSAVTIMKLKGQIQSLEEKSKAEAEKSTKYGQIAAEELPKGLYCLGVRLTMEWFKTTELQRKFTERSPAVQSNLRDNSLYHYCVFSDNILAVSVVVNSTTLNSMRPEKIVFHLVTDEVNYAPMRAWFALNDYRGATVEIQKVEDFTWLNSSYVPVLKQLQDAATQNYYFSGSGNRGTPVKFRNPKYLSMLNHLRFYIPEIYPELRKVVFLDDDIVVQKDLSELFTINLNGNVMGAVETCMETFHRFHKYLNHSHPLIRAHFDPDACGWAFGMNVLDLVMWRNKNVTGIYHYWQERNADHTLWKLGSLPPGLLAFYGLVEPLDPKWHVLGLGYTTVDPATIKEGAVLHYNGNMKPWLKIGMEKYKGFWDNYVDYSHPLLQRCFTH >ORUFI04G28330.1 pep chromosome:OR_W1943:4:27605595:27611750:-1 gene:ORUFI04G28330 transcript:ORUFI04G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAAAVSPLVAWPRSAPASRGGRRAARASAFHPDVSRAVESLQAEFREVDRALALNSARVSAAFHAAHVAPHHFGGSTGYGHDDGGGREVLDSVFAQIVGAEAAIVRPQFFSGTHAIACALFALLRPGHELLAVAGPPYDTLEEVIGIRGSANVGSLKDFGVAYREVPLAADGGLDWDALANAVRPETGCAFIQRSCAQDTGRVSIRGGMDSSKYRSRGYTMASSNNKRPPPYLLLLLLALGAAALSVGILHKMRERRVFSILLQERDQQLISLQALLQKEQEISKEMRRKMDELEAKTSVLSIERTELKNKLMDSETTTTYLTNTQKELEAALVEKEGHINQMKENAAASGPEQMAAIKELLQQKEAELEEIKTKLHDYKKSDTNISESILVGTNNENTTSDTAVPENSANPGDSAPAEEHHSYDNSASESNQDESTGASTNNENATVDTVVVDKYANSSDSTPATTEEPHPYNTTASESNPQENSSPEQHFIKLRTNREDDEPQDKTTGDANDNSNDALEGSHLGKSELPQWSPKLADSQDNSTEELDSTRQLENSQGEANYESRGSNLLEKEVEASNEVEPMKETSPETELETSKDSLSEANQNSTQAVEPVADPADVKPRMPIYNDETKETSKRRRRRKFRSRRKKRTNAPSTNVDGEVTKVR >ORUFI04G28340.1 pep chromosome:OR_W1943:4:27612103:27614143:1 gene:ORUFI04G28340 transcript:ORUFI04G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKCSSFLLAAAAAAVLVLASAPVAHSWSKEGHMLTCRIAQDLLEPAAAHAVRNLLTEEADGDLSALCVWPDQVRHWYKYRWTSPLHFIDTPDKACSFVYSRDCHGADGAEDMCVAGAIANFTSQLMHYNHGSADRKYNMTEALLFLSHFMGDVHQPMHVGFTSDQGGNTINLRWFRHKSNLHHVWDREMILTAIAEFYGKDMDAFQKDLVHNFTTGTWSDDVSSWGDCEDLLSCSTKYATESINLACKWAYNDVREGETLSDDYFGSRLPIVTRRIAQGGVRLAMFLNRLFGEHNRDVASPA >ORUFI04G28350.1 pep chromosome:OR_W1943:4:27614783:27619069:1 gene:ORUFI04G28350 transcript:ORUFI04G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKVLGSKPADCFQFQDPNSWTCMTELVSDVVVEVGDFSFHLHKFPLMSRSGTLQKLISEAAAGADDGEPCSVKLHDVPGGAAAFELAARFCYDVRAELDAGNVVALRCAAEHLGMTEDHGGEGNLVEQAEAFLRDVLGSWDDALRALRSCDGALLPLAEELLVVPRCIDALASKACADPTLFGWPMVEYYTARGLEETVMWNGIATAGKPRSPGPDWWYKQASSLKLPVYKRLITAMRSKGMSPENIAGSLTHYAKRHLSGLTRHSGYVGGGGASGTVLSDVEQRALLEEIVALLPVERGVATTRFLLGLLRTATILNAGAACRDALERMAGNQLEEAALEDLLIPNTGYAVETLYDVDCVQRMLEQFVAANTSAFAASPEITDEAQLVDGPSGELMPISTVAKLVDGYLAEVATDTNVKLSKFQSIAELVPDYARAIDDGIYRAIDIYLKAHSWLTASEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTTVAGWFFVADNVDQGSPIAAGRYAPERSGELDFGAGPPEEEDGDDDDDEARNNVRSSSSATMSVDDIRQRVVELEEECSSMREEIHRIGKPKGALSRLFRKLGLGGRSAARRQQQQPPPPPTSSGDERRKSMSLEC >ORUFI04G28360.1 pep chromosome:OR_W1943:4:27619910:27627174:-1 gene:ORUFI04G28360 transcript:ORUFI04G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRCVRDLYPLRPLRRIPRPISSEVPSPAFLRPRSKSTKASQQSSTQNTVPGPQGEPSQSGSNVPKVLLGTLMVGAAAMAAYQAGYIDDQFKDIIFPSTMKEKNIRKIYDDLKAPSEQKVDEKQVVSDPNVDIVQNSNNEAHPQKDLPTEGMGPPEIPTTDEQTVSSEEKEKETLAQGTPQIPDEHGAAAKPLSQDIPVIDINPSVDDKATGEVLPEQTDKTTTSVSPVQSSLATAGPSHHVHTDTDGPKDPSSAGAVEHKSLAETYLLQEPDNSKDMGAKESKHDGVISTGTSDDGKIVLDIIEAIHAAERKQADADAYMYSEEKRKLKEKYEKELKDTRARELMYAEEAAILDKELKKEKLKSAAVIKELQENAEQKLRDELQQKDEETSQQVEKVRELAKAELAAALAKERASQIEQIAEANLNIDALCMAFYARSEETRQSHSVHKLALGTLALEDALSTGSPIRTEVDQLRKSLEGIDKDSLLELALSSIPEDVLEYGSDTPMDLKQKFNSLKETVRHFSLIPAGGGGMLTHAVAHVASSIKIKEDQSGDGIESLLNRVENLIIHGDLSAAAEALERGLQGSEAAEIASEWVKQARKRAIAEQTLTLLHSYASSITFS >ORUFI04G28370.1 pep chromosome:OR_W1943:4:27628242:27630929:-1 gene:ORUFI04G28370 transcript:ORUFI04G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSAFSEEILAEKLAKLNNTQQCIEKQIVQTWAKQFHSSGNEQKTPFLYLANDILQNSKRNGTEFVEEFWKVLPGALKDVTENGDERGKKVVSRLVEIWQERRVFGSRAGGIKDVMLGTVPLPVLDMTKKRSHGSSIKIVKRDSRSVKLRLGVGGTAEKIVSALHTVLSEQADEDSDLEKCKTSMRRVGKMQKDVSSACSKGDDPRRETLCTELKEEEDSMTECIEKLKVVEANRATVVSELKEALQEQESELEKVRTQLQLAEAMVEEAADMQRKLKNEPVIPSSKHLSSVEPGKPLSNGQAKDQQKTAAAILADKIAASSNSQQILQSALSKFAAEEAKNSSETHQDKRLKIEHSSQVPSVANAAAFVPMPQMTTTTAQQPQAILVQQTPMQNQPPAPQPQYNIYQAPPHQFVQQPGGVMMGMAYNMSTMAPPPPPPPQMMNLARPSPSTPQPPMGIMPQTQPPPPAPTMLQQQMPMNVGPPMQFALQQSGAPSFRPLQPPPGMQFFHPQSQ >ORUFI04G28380.1 pep chromosome:OR_W1943:4:27633352:27636346:-1 gene:ORUFI04G28380 transcript:ORUFI04G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFALHGSTPPPITSLTDHHTAARPGLSCAHHLPCPSCPRCPPLYPPLSLSRSSPFSAPPFLLCTSPLLSWSSPSCVLCSSLKKPSDLWFLAFLSFLNTAVQMASLTPEAEAPGGGALLAAGDDVAAANLLAAAVATEGPVFDMPDFKMGGKKSDDAAPTDAGDEDGGDDDGDEDGDFGEGEEDVSEGEGYDNPKGIDNNKKRGEPEENGEEDEEEPEGQEGGGGDDDDDDDDDNEDDDDDDDDGGEDDDGVDEEEEDQDNEDDEEDDDEDSLQPPKKRKK >ORUFI04G28390.1 pep chromosome:OR_W1943:4:27639962:27641509:-1 gene:ORUFI04G28390 transcript:ORUFI04G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKRKADPAESPVASSEAGAGTNHHQETPSSELKPRGTIYFPITDDPPEPSAEGGAEGEDGAGGDDDEEDIAKLLEPLSREQLVALLRTAAETTPATMAAVRRAAEADPASRKLFVHGLGWGAGADDLRSAFSRFGELEDCRVISDKQSGRSKGYGFVLFRSRRSALRALRRPQLQIGGRLAFCHLAASGPAPPTSQSQNPSSNTNANSGAANNAGSASSSQPDNMQRKIFVGNVHADVDVDRLYEYFSQFGEIEEGPLGFDKTTGKPKGFALFVYKSVESARRALEEPTRNFDGKMLNVQKAIDGRTKNTPGMNANSNPSGTAASAAAAAAAAQMTAPATAAITPYDASAYGATAVPDLGYAQQAAMLGLGAQQQAFAQPNAAMLAMMAAAMQNPAMLATLNPAFAAAALGAGGQQVHAAGIPGFGAQGFGTQGFAAGAAAFPNAAGVQAPPGFQGPPGFQTSAGFQVGQAASQAGTAAAAAAGAAGYQAAAAGQGQVPGTQIGGAGFQGGF >ORUFI04G28400.1 pep chromosome:OR_W1943:4:27650304:27659178:1 gene:ORUFI04G28400 transcript:ORUFI04G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYPGYLEDHFNIHKLSISSASPPEYMTSASTQFAAPVRMGAYDRPPPVGMWSHEQFKVDNGQATSASTIMEAEMKFENRLEEIPQVVLEEGRNVDQEASKPPDKVLRRLAQNREAARKSRLRKKAYIQQLETSRLKLAQLEQELQRARQQAVYANGSLREPNLGFTGPIDPGALGFEIKYSHWVDEQNRNTGELRNALLQGQTTDQDLELKLLVEAGLDNYNRLFEMKEEAANSDVFYIMSGMWKTPTERFFLWIGGFRPSEVLKNLRPQLEPLTDKQVVEVGGLQQTSMQVEDALSQGMDKLKQTIADSLTAADPFDSPEAYMVHMANAVEQLRSLVQFVTQADHLRQQTLQEMHRILTTRQAARGLLALGDYFQRFRALSSLWAARPRDSGIS >ORUFI04G28400.2 pep chromosome:OR_W1943:4:27650419:27659178:1 gene:ORUFI04G28400 transcript:ORUFI04G28400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELYPGYLEDHFNIHKLSISSASPPEYMTSASTQFAAPVRMGAYDRPPPVGMWSHEQFKVDNGQATSASTIMEAEMKFENRLEEIPQVVLEEGRNVDQEASKPPDKVLRRLAQNREAARKSRLRKKAYIQQLETSRLKLAQLEQELQRARQQAVYANGSLREPNLGFTGPIDPGALGFEIKYSHWVDEQNRNTGELRNALLQGQTTDQDLELKLLVEAGLDNYNRLFEMKEEAANSDVFYIMSGMWKTPTERFFLWIGGFRPSEVLKNLRPQLEPLTDKQVVEVGGLQQTSMQVEDALSQGMDKLKQTIADSLTAADPFDSPEAYMVHMANAVEQLRSLVQFVTQADHLRQQTLQEMHRILTTRQAARGLLALGDYFQRFRALSSLWAARPRDSGIS >ORUFI04G28400.3 pep chromosome:OR_W1943:4:27650304:27659178:1 gene:ORUFI04G28400 transcript:ORUFI04G28400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELYPGYLEDHFNIHKLSISSASPPEYMTSASTQFAAPVRMGAYDRPPPVGMWSHEQFKVDNGQATSASTIMEAEMKFENRLEEIPQVVLEEGRNVDQEASKPPDKVLRRLAQNREAARKSRLRKKAYIQQLETSRLKLAQLEQELQRARQQAVYANGSLREPNLGFTGPIDPGALGFEIKYSHWVDEQNRNTGELRNALLQGQTTDQDLELKLLVEAGLDNYNRLFEMKEEAANSDVFYIMSGMWKTPTERFFLWIGGFRPSEVLKNLRPQLEPLTDKQVVEVGGLQQTSMQVEDALSQGMDKLKQTIADSLTAADPFDSPEAYMVHMANAVEQLRSLVQFVTQADHLRQQTLQEMHRILTTRQAARGLLALGDYFQRFRALSSLWAARPRDSGIS >ORUFI04G28400.4 pep chromosome:OR_W1943:4:27653482:27659178:1 gene:ORUFI04G28400 transcript:ORUFI04G28400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIFPLWHMNLCSIIIGGDQFKNDIMELYPGYLEDHFNIHKLSISSASPPEYMTSASTQFAAPVRMGAYDRPPPVGMWSHEQFKVDNGQATSASTIMEAEMKFENRLEEIPQVVLEEGRNVDQEASKPPDKVLRRLAQNREAARKSRLRKKAYIQQLETSRLKLAQLEQELQRARQQAVYANGSLREPNLGFTGPIDPGALGFEIKYSHWVDEQNRNTGELRNALLQGQTTDQDLELKLLVEAGLDNYNRLFEMKEEAANSDVFYIMSGMWKTPTERFFLWIGGFRPSEVLKNLRPQLEPLTDKQVVEVGGLQQTSMQVEDALSQGMDKLKQTIADSLTAADPFDSPEAYMVHMANAVEQLRSLVQFVTQADHLRQQTLQEMHRILTTRQAARGLLALGDYFQRFRALSSLWAARPRDSGIS >ORUFI04G28400.5 pep chromosome:OR_W1943:4:27656355:27659178:1 gene:ORUFI04G28400 transcript:ORUFI04G28400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASTQFAAPVRMGAYDRPPPVGMWSHEQFKVDNGQATSASTIMEAEMKFENRLEEIPQVVLEEGRNVDQEASKPPDKVLRRLAQNREAARKSRLRKKAYIQQLETSRLKLAQLEQELQRARQQAVYANGSLREPNLGFTGPIDPGALGFEIKYSHWVDEQNRNTGELRNALLQGQTTDQDLELKLLVEAGLDNYNRLFEMKEEAANSDVFYIMSGMWKTPTERFFLWIGGFRPSEVLKNLRPQLEPLTDKQVVEVGGLQQTSMQVEDALSQGMDKLKQTIADSLTAADPFDSPEAYMVHMANAVEQLRSLVQFVTQADHLRQQTLQEMHRILTTRQAARGLLALGDYFQRFRALSSLWAARPRDSGIS >ORUFI04G28410.1 pep chromosome:OR_W1943:4:27661903:27662665:1 gene:ORUFI04G28410 transcript:ORUFI04G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHATLADARDDVVTPVHGAQDEKISATATLVISPQQATAGDNVGRGVLQEEVRATGSSLPDCSHACGACSPCNRVMVSFKCSIAEPCPMVYRCMCKGKCYPVPSS >ORUFI04G28420.1 pep chromosome:OR_W1943:4:27663974:27672186:1 gene:ORUFI04G28420 transcript:ORUFI04G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACAAAAACCCSERQDYSHSSSGLGVTDNSAFKIGVYTNFNVQSNAQEWLEESKRISSIKTRNNVGNTIYKGSTHLRTGILHHEPLEDHKSSNYSSLYNVRERMTPNSLANRHANMELAKHNTRNQAASAVSALTSVVNDDIKPLNRSSGSELKTQWQPDSKTDASVLKISKVETSLQFDDKARDGYDGDEHECTAKNTVQDSPAKAPMSKESKDARKALATVYDKVLVVDNVKSARSVVQLLTSKYKNFIHACDTEVANIDVKQETPVSHGEVICFSIYSGNSDAEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSIRKVWHNYSFDSHVIENYGIKVAGFHADTMHLARLWDSSRRIDGGYSLEGLTNDHRVMGVVPKELQKIGKRSMKTIFGWKKIKKDGSAGKIISMEPVEVLQRDDREMWICYSSLDSMSTLRLYESLKSKLEKKHWTFDGCPRGSMYDFYEEYWRPFGALLVKMETAGMLVDRGYLSEIEKVAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGIENRCKPGETLPKSRTIKVPNDGSLIAEGKKTPNGWPSVSGDALRSLAGKLPTDLVYTTDDVEDDDSGDSEISEHDLNDTASYGTAYEAFGGGKKGKEACHAIAALCEICSIDSLISNFILPLQGNHISCDEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLASCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVEEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTPVGLARDWKVSVKEAKDTLKLWYRDRKEVLAWQMKQKELAQEKCEVYTLLGRSRRFPNMAHATSGQRGHIERAAINAPVQGSAADVAMCAMLEIDRNARLKELGWRLLLQVHDEVILEGPTESADLAKSIVVECMSKPFYGTNILNVELAVDAKCAQNWYAAK >ORUFI04G28420.2 pep chromosome:OR_W1943:4:27663974:27672186:1 gene:ORUFI04G28420 transcript:ORUFI04G28420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPACAAAAACCCSERTTRIVARALELQTTVLSKLECIPTSMCKVMHKNGLRRARGYPRSKPGITHANMELAKHNTRNQAASAVSALTSVVNDDIKPLNRSSGSELKTQWQPDSKTDASVLKISKVETSLQFDDKARDGYDGDEHECTAKNTVQDSPAKAPMSKESKDARKALATVYDKVLVVDNVKSARSVVQLLTSKYKNFIHACDTEVANIDVKQETPVSHGEVICFSIYSGNSDAEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSIRKVWHNYSFDSHVIENYGIKVAGFHADTMHLARLWDSSRRIDGGYSLEGLTNDHRVMGVVPKELQKIGKRSMKTIFGWKKIKKDGSAGKIISMEPVEVLQRDDREMWICYSSLDSMSTLRLYESLKSKLEKKHWTFDGCPRGSMYDFYEEYWRPFGALLVKMETAGMLVDRGYLSEIEKVAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGIENRCKPGETLPKSRTIKVPNDGSLIAEGKKTPKYRTIELFSIVEDLKTDLFTASGWPSVSGDALRSLAGKLPTDLVYTTDDVEDDDSGDSEISEHDLNDTASYGTAYEAFGGGKKGKEACHAIAALCEICSIDSLISNFILPLQGNHISCDEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLASCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVEEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTPVGLARDWKVSVKEAKDTLKLWYRDRKEVLAWQMKQKELAQEKCEVYTLLGRSRRFPNMAHATSGQRGHIERAAINAPVQVITVYDNSKVVLGSGSAADVAMCAMLEIDRNARLKELGWRLLLQVHDEVILEGPTESADLAKSIVVECMSKPFYGTNILNVELAVDAKCAQNWYAAK >ORUFI04G28420.3 pep chromosome:OR_W1943:4:27663974:27672186:1 gene:ORUFI04G28420 transcript:ORUFI04G28420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPACAAAAACCCSERTTRIVARALELQTTVLSKLECIPTSMCKVMHKNGLRRARGYPRSKPGITWETLFIKVLLIYEQEFFITNLWKITKVPTILHCTMSSGSELKTQWQPDSKTDASVLKISKVETSLQFDDKARDGYDGDEHECTAKNTVQDSPAKAPMSKESKDARKALATVYDKVLVVDNVKSARSVVQLLTSKYKNFIHACDTEVANIDVKQETPVSHGEVICFSIYSGNSDAEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSIRKVWHNYSFDSHVIENYGIKVAGFHADTMHLARLWDSSRRIDGGYSLEGLTNDHRVMGVVPKELQKIGKRSMKTIFGWKKIKKDGSAGKIISMEPVEVLQRDDREMWICYSSLDSMSTLRLYESLKSKLEKKHWTFDGCPRGSMYDFYEEYWRPFGALLVKMETAGMLVDRGYLSEIEKVAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGIENRCKPGETLPKSRTIKVPNDGSLIAEGKKTPKYRTIELFSIVEDLKTDLFTASGWPSVSGDALRSLAGKLPTDLVYTTDDVEDDDSGDSEISEHDLNDTASYGTAYEAFGGGKKGKEACHAIAALCEICSIDSLISNFILPLQGNHISCDEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLASCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVEEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTPVGLARDWKVSVKEAKDTLKLWYRDRKEVLAWQMKQKELAQEKCEVYTLLGRSRRFPNMAHATSGQRGHIERAAINAPVQGSAADVAMCAMLEIDRNARLKELGWRLLLQVHDEVILEGPTESADLAKSIVVECMSKPFYGTNILNVELAVDAKCAQNWYAAK >ORUFI04G28420.4 pep chromosome:OR_W1943:4:27663974:27672186:1 gene:ORUFI04G28420 transcript:ORUFI04G28420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPACAAAAACCCSERQDYSHSSSGLGVTDNSAFKIGVYTNFNVQSNAQEWLEESKRISSIKTRNNVGNTIYKGSTHLRTGILHHEPLEDHKSSNYSSLYNVRERMTPNSLANRHANMELAKHNTRNQAASAVSALTSVVNDDIKPLNRSSGSELKTQWQPDSKTDASVLKISKVETSLQFDDKARDGYDGDEHECTAKNTVQDSPAKAPMSKESKDARKALATVYDKVLVVDNVKSARSVVQLLTSKYKNFIHACDTEVANIDVKQETPVSHGEVICFSIYSGNSDAEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSIRKVWHNYSFDSHVIENYGIKVAGFHADTMHLARLWDSSRRIDGGYSLEGLTNDHRVMGVVPKELQKIGKRSMKTIFGWKKIKKDGSAGKIISMEPVEVLQRDDREMWICYSSLDSMSTLRLYESLKSKLEKKHWTFDGCPRGSMYDFYEEYWRPFGALLVKMETAGMLVDRGYLSEIEKVAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGIENRCKPGETLPKSRTIKVPNDGSLIAEGKKTPKYRTIELFSIVEDLKTDLFTASGWPSVSGDALRSLAGKLPTDLVYTTDDVEDDDSGDSEISEHDLNDTASYGTAYEAFGGGKKGKEACHAIAALCEICSIDSLISNFILPLQGNHISCDEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLASCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVEEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTPVGLARDWKVSVKEAKDTLKLWYRDRKEVLAWQMKQKELAQEKCEVYTLLGRSRRFPNMAHATSGQRGHIERAAINAPVQGSAADVAMCAMLEIDRNARLKELGWRLLLQVHDEVILEGPTESADLAKSIVVECMSKPFYGTNILNVELAVDAKCAQNWYAAK >ORUFI04G28430.1 pep chromosome:OR_W1943:4:27671436:27672461:-1 gene:ORUFI04G28430 transcript:ORUFI04G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYLVSKCGLSPAAAAKAAPRFAHLDSASRPDAALAFLRSQGLTRAQVREVVSWKPELLLSDVDATLDPKFRAVRALGLGRADVARLFALYPPALTYGIHTNLLPRVLFWIDFLGSAKLLMKWLAKTWLLRYSVDALLRNLSTLRSLGVQQSRITTTVRMQPTLITQTPARFQKLVGRVEACGVPPSSGMYMWAFFALHNVSEGSFRAKKAAVVGAAGCTEEEFDAMFRRAPCLVFVPAALLRRKVEFLMAEAGCDATHIVTNPVLLTLSLGKRMAPRCRVVEALRSRGVGIGKKANLGSVMRYPEDKFVERYVLRYKEEVPELLELYPPRLCKGSSQTR >ORUFI04G28440.1 pep chromosome:OR_W1943:4:27677624:27687191:-1 gene:ORUFI04G28440 transcript:ORUFI04G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNIVDVSYVAVPATAALPPEPIKLTAMEALWLPFPVLQHVLFYEAAGLTPFDSVVDSLRSSLGATLATFAPLAGKLVHLEDTGDVAIACSASDAVRFVEAECDADVRRVAGDEAHDLRTFEQLVPELDMSKLPTSVLAVQATRLQGGLAVGVTLHHGVADGKSFWTFVEAWASACRCRGEAPAATPCFDRSVIKWPGGEEIARSVLRVRAGLACRGTQAFHPPDIHRGRAATRTPKAARITRDGEVHGKTLHRPPSSFVAVVAMASAFFARCKTTAADEDAGGDVFLFFFADVRERLDDPPVDAGYYFGACLTGCLARLPARDVHGDGALAAAASAIQEEILELAEDPLAGWNFMSLAGKIPSDRVMNVSGSPGFRPYDVGDFGWGKPRRTEPIRMNHDGQVALVRAADGHGVQVSVSLLQSAHMEAFKSQMRLLELKTSVGLLGLLAFVGLLFQAEEHAPKFALGPKMGRDEPECHPCLENCACLQSPTICVHASCYVAVPATAALPPEPIKLTAMEALWLPFPVLQHVLFYEVAGSPPFDSVVRSLRSSLGATLASFAPLAGKLVYLEGTGDVAIACSASDAVKFVAAESDADVRRLAGDELHDLATFQKLVPELDMGKLPTSVLAVQATRLEGGLAVGVTVHHGVADGKSLWMFLEAWAAACRGEATPVATPCFDRSAIKLHLGEEIARTVLRKYAPKLPQVAELEIFVEQRNRFTRRTFTVDAQQIERLKQRIARDGEARGAPLRRPPSTFVAVVATAWTCFARCKTTAADDGEVFLVFIADVRERLDPPVGAGYFGSCLTVRVARLPVRDIHGDGALAAAASAIQEEIAKVAEDPLAGWDFLRLMETLVPVTERAMNVSGSPAFRPYDVGDFGWGKPRRTEPIRMNHDGQVALVRAKDGRAVQVSVSLLRSAHMQVQQGRGRMSAAVTVRVVGVSHVAVPAKAALPTEPMKLTATEALWLRIPLLQHVLFYESAGSSWPPFDGIVDSLRSSLGATLATFAPLAGRLVHLEDTGDVAIVCSASDAVRFVEAECDADVRSVAGGGGDAPDDDLRLLEQLAPELDMGELPTSVMAVQSTRLVGGVAVGVTVHHGVADGKSF >ORUFI04G28440.2 pep chromosome:OR_W1943:4:27673580:27677623:-1 gene:ORUFI04G28440 transcript:ORUFI04G28440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEAWAASCRGETPAATPCFDRSVIKLPDGEALARSVLRKYTPNLPVSKTSAADDAEAFLFFFADFRERLDPPVDARYFGTCLTGCFVALPARDLLHGDGALAAAASAIQEEIRRMADDPLALWDFFSLNSRAA >ORUFI04G28450.1 pep chromosome:OR_W1943:4:27688949:27689530:1 gene:ORUFI04G28450 transcript:ORUFI04G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFSLDGYSDGGDAYVAEPASPIRSSANRPRRPSASRDEVPRYVLRVIRTAAAASASLPPVPGYSPRMSIDMACTHSWAPYTAVIPALRSLSFLSLRDEHSPGVAKKTIAELYGHATPFDAAGRRFPAGEVYVCLDRAPLASYIQSIQRNVTVSDVSYGDKTKACDSYLSAVSSAIDELTRDDHYTPVSSAV >ORUFI04G28460.1 pep chromosome:OR_W1943:4:27691682:27692243:-1 gene:ORUFI04G28460 transcript:ORUFI04G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVEEKEGNRFQEPASDRCEDNEDKEQDNSEESSSVDQRKEEEEEEKEGCEEATPAAAAAAAAPSFFAHPCSLLQYIARVCACCLGLSDSFCDPKASSVLVPEPEPAAADPSQEGEEDMKSSEATTRVRAARLRPKPPGNPREGSGGNGGHHH >ORUFI04G28470.1 pep chromosome:OR_W1943:4:27705189:27705675:1 gene:ORUFI04G28470 transcript:ORUFI04G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFAAQLKDRFLGLVERVAGCGRGAGDKGVKEPTKLSTVQRVEIRSRDPNVSGGEKPPNN >ORUFI04G28480.1 pep chromosome:OR_W1943:4:27707244:27708162:1 gene:ORUFI04G28480 transcript:ORUFI04G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYAAQLKDMFFGLVERVTGYGRGEDKDVAAGVDEPSKLASEEVAVSSEEVVIVQRNEIRSRGADPSVSGGKQPGINAAGI >ORUFI04G28490.1 pep chromosome:OR_W1943:4:27709603:27710944:1 gene:ORUFI04G28490 transcript:ORUFI04G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAIICEMAPQRGAAAAPAAPAQQQATTRRDGGKIMLQPRLCTLRSYGAGSGVVARRRVVEEEESGGGGAGSSPFFASLADYIESTRKSQDFETISGRLAMVAFATAVAVELTTGNSLFKKLDMQEIEEAAGVCLAVVAGAAAFAWVSSARTRIGQMFTLGCSAFVDSLIDNIVEALFSEGELQDWSDDV >ORUFI04G28500.1 pep chromosome:OR_W1943:4:27711753:27714077:-1 gene:ORUFI04G28500 transcript:ORUFI04G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAALVAPTANPRNPLRLIAAAPHRQWRRVAAAASSSAAPGVDLKALQAAIDKKDSEEVKQAMDQLKELGWAKRWSSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGSTGFLGVLAGQLPGDWGFFVPYLIGSISLIVLAIGSISPGLLQAAIGAFSTVFPDYQERIARHEAAHFLVAYLIGLPILGYSLDIGKEHVNLIDDQLQKLIYSGQLDQKEIDRLAVVSMAGLAAEGLEYDKVVGQSADLFTLQRFINRTKPPLTKDQQQNLTRWAVLFSASLLKNNKAAHEALMSAMSQKASVLGCIEAIENAS >ORUFI04G28500.2 pep chromosome:OR_W1943:4:27711753:27714077:-1 gene:ORUFI04G28500 transcript:ORUFI04G28500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAALVAPTANPRNPLRLIAAAPHRQWRRVAAAASSSAAPGVDLKALQAAIDKKDSEEVKQAMDQLKELGWAKRWSSQPYVSRRTVSAISSRAPSASNLQLQRAFFPTSVVSTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGSTGFLGVLAGQLPGDWGFFVPYLIGSISLIVLAIGSISPGLLQAAIGAFSTVFPDYQERIARHEAAHFLVAYLIGLPILGYSLDIGKEHVNLIDDQLQKLIYSGQLDQKEIDRLAVVSMAGLAAEGLEYDKVVGQSADLFTLQRFINRTKPPLTKDQQQNLTRWAVLFSASLLKNNKAAHEALMSAMSQKASVLGCIEAIENAS >ORUFI04G28510.1 pep chromosome:OR_W1943:4:27731879:27734169:1 gene:ORUFI04G28510 transcript:ORUFI04G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEWSGGGASGSGGKEDSMHSRARVAATDGRVGARAAVMGQGPELERRRRGIAPPDLLPRTSRTNPVGMAS >ORUFI04G28520.1 pep chromosome:OR_W1943:4:27735447:27737486:-1 gene:ORUFI04G28520 transcript:ORUFI04G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGCPYIHFRLYEDCQRMTSSYVQMVSDIKRHVSHLAAAENQGVVPIISAGQIFPGPAAGEAYCKYKTLVLPSVPNHNMHFVVVLHTPPNWAGGTREPQPKATKRSMLRTVVSISEAVRFRELLTRIVMGFAAGLYGPTLQPWVMLWPSLVPNTPTPRIFTTAFDDDHFKNWDVYCKLARAGAQGFAPGTRGFATYESLIAFLGACLH >ORUFI04G28530.1 pep chromosome:OR_W1943:4:27738731:27742603:1 gene:ORUFI04G28530 transcript:ORUFI04G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAALHSQAAAAATSSSSPPLPAMTRIPNARDGGDPLDTEDGFWSSGDQRSKDPIEVAAARGLLLVLLGNGKLDFPCLCSFLVLDLGLHIPSSSHPTFEIGDFENWMKIMDFDCKSINAAIPNIYQS >ORUFI04G28530.2 pep chromosome:OR_W1943:4:27738677:27742603:1 gene:ORUFI04G28530 transcript:ORUFI04G28530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAALHSQAAAAATSSSSPPLPAMTRIPNARDGGDPLDTEDGFWSSGDQRSKDPIEVAAARGLLLVLLGNGNWRFRELDEDHGF >ORUFI04G28540.1 pep chromosome:OR_W1943:4:27743322:27745230:-1 gene:ORUFI04G28540 transcript:ORUFI04G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHDVSVFDDEEIGRVMDLFFTDGVLGLDGGVSPEEEEEEEEEEVEVDLEPLFYDEAATVAESVAAAERRRVREEDRARVVEQQTKVRKAKEAYFKKNRKFNPKTGLHYFTRIFFINPPTFDLNEESPLGPMRYTDRIYNEHEALRMRNSVNILSVKILSSDVGFPINVYGTVIARDSLDEKCIYLFRRPRDDCQLINSKDDSLILTGPTRGLVLQDAVYFEVDLRIKDDRLRGKKKEHSKGLLMIDGIRRTIKVKTEVENDTFVGKLGTVELRYAVIKDAVEATVEIKVVEGYFCGEVAACTTNIQDKVVLLDSRTCCVMADNLDVQLSRRVMAVHNKEKLLLTVVNQDDEVPTGCVTQTIDFTQKFNGSDVTEVTCGSVKMLVKVTWSLMV >ORUFI04G28550.1 pep chromosome:OR_W1943:4:27757205:27765070:1 gene:ORUFI04G28550 transcript:ORUFI04G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHPRPLPAPAPARRFEPAVRVPPPRRRRRRRRPPLPPLASASASAAIYSVGSSDEDAFTRCSGYLFEEGAATEGELPTAYDLAGIGAVYRRRPLLVVRRALQIGTSFGRWFTLRYLDRVNERSDDMFEIRAAQLRRILLELGPTFVKIAQAVSSRPDVIPPAYLDELSLLQDRIAPFSTEVAFNIIETELGLPLDMIFSEISPEPVAAASLGQVYQARLRSNRKVVAVKVQRPGVQAAISLDIYILRFLAGVARKAGKLNTDLQAVLDEWASSLFREMDYRAEARSGLKFRELYGKFRDVLVPEMYLEQTRRRVLIMEWVEVGVYCSLSQLLEYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDGLAKDFVTLGLLPPTAQKDEVTKALTGVFQNAVDRGVQNISFGDLSGNLGRTMYKFKFQIPSYFSLVIRSLAVLEGIAISFNPNYKVLGSSYPWIARKVLIDSSPKLRSTLQTLLYKSLRARTEQSLTRDQQEETDSSKFAIKQVLSFTLTEQGAFVKDLLLQEIAKGLDALGAATLSSATSAAASRLPFAVPSPSPSLDNEDATNLRNLHRLLLLLSKVPQKEDSSPIPGYNSTEENEGDSTDEISLVLYEMRSLPELLPVLSVIPEEGRDQGIGTKHNTNFSFN >ORUFI04G28560.1 pep chromosome:OR_W1943:4:27765243:27768359:-1 gene:ORUFI04G28560 transcript:ORUFI04G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVGLRAKPGAWAGLGNPRRSSTARVPVRFAVEKFAQPLVLGSDRRSCGAKLKVSCSRKPAGIDKTYYSADEALVLKQKAEDVVPYLNDRCIYLVGMMGSGKTTVGKILAEVCIRMIVSDDANLEFEATSVHYSEIDKLVEKAVGISSVAEIFQLHSEAFFRDNESEVLRDLSSMHRLVVATGGGAVIRPINWYWKSYMKKGSTIWLDVPLDALARRIAAVGTASRPLLHQESGDPYAKAYAKLTALFEQRMDSYANADARVSLEHIAVKQGHSNVTTLTPSAIAIEALLKMESFLTEKAMIRN >ORUFI04G28560.2 pep chromosome:OR_W1943:4:27764709:27768359:-1 gene:ORUFI04G28560 transcript:ORUFI04G28560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVGLRAKPGAWAGLGNPRRSSTARVPVRFAVEKFAQPLVLGSDRRSCGAKLKVSCSRKPAGIDKTYYSADEALVLKQKAEDVVPYLNDRCIYLVGMMGSGKTTVGKILAEVLGYSFFDSDKLVEKAVGISSVAEIFQLHSEAFFRDNESEVLRDLSSMHRLVVATGGGAVIRPINWSYMKKGSTIWLDVPLDALARRIAAVGTASRPLLHQESGDPYAKAYAKLTALFEQRMDSYANADARVSLEHIAVKQGHSNVTTLTPSAIAIERLVPS >ORUFI04G28560.3 pep chromosome:OR_W1943:4:27765243:27768359:-1 gene:ORUFI04G28560 transcript:ORUFI04G28560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVGLRAKPGAWAGLGNPRRSSTARVPVRFAVEKFAQPLVLGSDRRSCGAKLKVSCSRKPAGIDKTYYSADEALVLKQKAEDVVPYLNDRCIYLVGMMGSGKTTVGKILAEVLGYSFFDSDKLVEKAVGISSVAEIFQLHSEAFFRDNESEVLRDLSSMHRLVVATGGGAVIRPINWYWKSYMKKGSTIWLDVPLDALARRIAAVGTASRPLLHQESGDPYAKAYAKLTALFEQRMDSYANADARVSLEHIAVKQGHSNVTTLTPSAIAIEALLKMESFLTEKAMIRN >ORUFI04G28560.4 pep chromosome:OR_W1943:4:27764709:27768359:-1 gene:ORUFI04G28560 transcript:ORUFI04G28560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVGLRAKPGAWAGLGNPRRSSTARVPVRFAVEKFAQPLVLGSDRRSCGAKLKVSCSRKPAGIDKTYYSADEALVLKQKAEDVVPYLNDRCIYLVGMMGSGKTTVGKILAEVLGYSFFDSDKLVEKAVGISSVAEIFQLHSEAFFRDNEKGSTIWLDVPLDALARRIAAVGTASRPLLHQESGDPYAKAYAKLTALFEQRMDSYANADARVSLEHIAVKQGHSNVTTLTPSAIAIERLVPS >ORUFI04G28570.1 pep chromosome:OR_W1943:4:27772362:27778873:-1 gene:ORUFI04G28570 transcript:ORUFI04G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARGVLAMVVAVAVVWCNNVARAQTPVFACDASNATVSGYGFCDRTKSSAARAADLLGRLTLAEKVGFLVNKQAALPRLGIPAYEWWSEALHGVSYVGPGTRFSTLVPGATSFPQPILTAASFNASLFRAIGEVVSTEARAMHNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPLLASKYAVGYVTGLQDAGGGSDALKVAACCKHYTAYDVDNWKGVERYTFDAVVSQQDLDDTFQPPFKSCVIDGNVASVMCSYNKVNGKPTCADKDLLSGVIRGDWKLNGYIVSDCDSVDVLYNNQHYTKNPEDAAAITIKSGLDLNCGNFLAQHTVAAVQVGKLSESDVDRAITNNFIVLMRLGFFDGDPRKLPFGSLGPKDVCTSSNQELAREAARQGIVLLKNTGALPLSAKSIKSMAVIGPNANASFTMIGNYEGTPCKYTTPLQGLGANVATVYQPGCTNVGCSGNSLQLSAATQAAASADVTVLVVGADQSVERESLDRTSLLLPGQQPQLVSAVANASRGPVILVVMSGGPFDISFAKSSDKISAILWVGYPGEAGGAALADILFGYHNPGGRLPVTWYPASFADKVSMTDMRMRPDSSTGYPGRTYRFYTGDTVYAFGDGLSYTKFAHSLVSAPEQVAVQLAEGHACHTEHCFSVEAAGEHCGSLSFDVHLRVRNAGGMAGGHTVFLFSSPPSVHSAPAKHLLGFEKVSLEPGQAGVVAFKVDVCKDLSVVDELGNRKVALGSHTLHVGDLKHTLNLRV >ORUFI04G28580.1 pep chromosome:OR_W1943:4:27783719:27786708:1 gene:ORUFI04G28580 transcript:ORUFI04G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVHLGLPGPWAEDYREKADHYTTKIGGVPDWPTEDMGIKPHLLQCSLCGTKLCLVHAPVAKFNIENRTIYVLVCPKPKCGTNPQSWKVLRVQKCHTSAQTDGKVDETDQINGNVCSSEPSSSSLLNKSHEVSDDDFDLDALANALEEAATLASNSKKQNKPKRSNAPIKCPVGKQKVDDPSLPVLPCFYIYYGKEQFRDKGSVGSNSSKSVLAKEIADVANDEEEKWEGENYEYDRAIGADRTFLKFKKRLDAYPQQCFRYSYGGKPLLAATNLQDSGTCQLCGSPRQYELQLMSPLSYFLHEAGDGSSDYAPDGWTWLTLIIYTCSKSCCPSSCVGKPGSCCWGVAEEEIMIQED >ORUFI04G28590.1 pep chromosome:OR_W1943:4:27788309:27791999:1 gene:ORUFI04G28590 transcript:ORUFI04G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSPQKPKCYSRHLPDWHKAPSFSSPLLFIIFSLHTSPSPPRPHWSPLHPFASPLPALLCRFSMVVEHIAASPFPQVATSMNFTCLGSSKTIETSKKMFQQSDQSLSIPDDGCRLVLGLGPTPNLHYADNESSGGNRDKESANLFSQHFAIADHGLMLGISRGGTRNFQATTMIEKYSHQNRNGIVFPLTDEGSTSAKRKPGGYVLPLLFAPRSDDICPNGTPPVTDIQHVETVDDDDDDHITSLNQQKVQISPEPSATTDCSFAATSDMIFSSTSTEQRSHQRHPKKCRFNGCSKGARGATGLCISHGGGQRCQKPGCNKGAESRTAYCKSHGGGKRCQELGCTKSAEGKTEFCIAHGGGRRCGTPGCTKAARGRSGFCIKHGGGKRCRVEGCSRSAEGQFGLCISHGGGRRCQYPNCSKGAQGGTMLCKSHGGGKRCIFEGCTKGAEGNTLLCKGHGGGKRCLFEGGGVCPKSVHGGTSFCVAHGGGKRCSVPGCTKSARGRTDCCVRHGGGKRCKVDGCDKSAQGSTDFCKAHGGGKRCAWSTGCERFARGRSGLCAAHGTLMASKQVPESEHSRGMIRNSLFSKMVSASSMTAGASMDHAISSSLPGASSERGESLEEMRNGKLLIPHQVLVPGSMRPSSSHGKGQEDGGSQEQQCFGFVVPEGRVHGGGLMSMLGAGGNLDDPKA >ORUFI04G28600.1 pep chromosome:OR_W1943:4:27792885:27803415:1 gene:ORUFI04G28600 transcript:ORUFI04G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYLEWVPRSNHRPRFSSPSPTEPSLHPLRPLPLAGDRSSVHGGGGATAELGNGGSVAGSTFAHSIRRPIVEKQMINWSDRFGPGFALRRRPSSPPVMEEPSKDNGQSSCVVDPELEPMMLDDAREGVSHTLDDANGHSSMDVDRGCHSMDTTRSSLGDDGKGKRDSYAQIPVDMSIPSLEKFCKEASRSFFDEIGLISHQINSYNEFVSHGLQELFDSLGEVTVEPSYDPSNRGPGGWRHAIIKFGRVQLEEPVFWSHGCDIDEQSLKLKPRHARLQNMTYSSKMKVEVHFQVYSMEKSDKAKTGNDKFGYKRNIINETYYINIGRLPVMVMSNLCWLHKLKESDCQFDSGGYFLIKGMEKVFIAQEQKCLTRIWVEDRPCWMVSFLSPIRRRRIYIKLIDSANNEDASGGKIISISFLYANMPIWLMFFALGISSDKDIFDVINMEDCDACVINTITATIKESDELCEGFRKSDKARQYVDELIKNSKFPPAEPFDDYIAKYLFPSISGNRNKALFLGYMVKCLLMAFTGKRKCDNKDDFRNKRLDLAGELLGRELRAHIRHAERLMVKALQRDLNSERELQEFDHYLDASIITNGLNRAFSTGSWCHPYKRNERCAGIVATLRRTNPLQMISDLRKTRQRVAYAGKAGDARYPNPSYWGKLCFMSTPDGENCGLVKNLAVTATVSSRVAPPLIDRFISCGMNKLHEIPTEEVPRMDKIFLNGDWVGSCSDPASFVLRLRCMRRSGLIDPQVEIKRDKHQREVRVFSDAGRILRPLLVVENLNKIRRPKGSSYSFQWLMQQEIIEFIGVEEEEDIRSAWGIRNLFESEEEAPMVKMNKAEDVFNVKRKIGGEVSGYTHCELDLSFLLGLSCGIIPFANHNFARRVLYQSEKHSQQAIGYSTTNPHIRVDTLSHQLYYPQRPLFKTVIADCIGRSEYTFGRKDDFARPEYFNGQNAIVAVNVHQGFNQEDSLVMNRASLERGMFRTEHFRNYKAEVENKGGPGGNKRLKMKDKIDFGKMQSKRGRVDNLDDDGLPYVGASLQSGDIVIGKVSESGEDHSIKLKHTEKGMVQRVLLSANDEGKNFAVVTLRQVRSPCLGDKFSSMHGQKGVVGFLESQENFPFTYQGIVPDIVINPHAFPTRQTPGQLLEAALGKGIALGGTMRYATPFTTASFDVITDQLHKAGFSRWGAESVLNGRTGERMHSLIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFMLSDFSQMHVCQTCERVANVIMRPVPGGKKIRGPYCGFCRSSENIVRINVPYGAKLLYQELFSMGICLRFETEVC >ORUFI04G28610.1 pep chromosome:OR_W1943:4:27809456:27812842:1 gene:ORUFI04G28610 transcript:ORUFI04G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNAAIGSIVAAVGVVAVVCAIAAVTSSKKDRNGELTANVRLSTVCSVTRYPGRCEQSLGPVVNDTIDPESVLRAALQVALEEVTSAFNRSMDVGKDDDAKITKSAIEMCKKLLDDAIEDLRGMASLKPEEVTKHVNDLRCWLSSVMTYIYTCADGFDKPELKEAMDKLLQNSTELSSNALAIITSLGELMPAAKSNGSTGAHRRLLGLQGSEAAEGVSLRELLAVHDKVQELTDVKDVSRHLLSETLDAITEMSHDAGRRLLGVTLSEATDDSDDSGLPGRRLLSMSFHDADHGVHGGDHRRLSMSFHDADHEVHGGEHRRLSMSLNDADPEEQGGEHRRLSMSLNDADPEVHGGEHRRLSISLNDADPEEQGGEHRRLSMSLNDADPEVHGGEHRRLSMSLNDADPEEQGGEHRRLSMSLNDADPEVHGGEHRRLSMSLNEAGTEQPSGEHRQLSVSSSDAGSSTDSTSDSDTPGGEPKEPAAVDDSKNAVPGEPAASAAAEHRQLGTLFNAIHNMTSKADTVKRRLLSMPQDGSDATEHEGGGRTLLSIQLQSIADMSAEMNRHLLAAELPDELAGKRQLLSTTLRELNEATTAAKGQLDKIENGTVHSDAIPERILGDEYRATPHHRLLTTDVVGTIEDIEHERHNQPKPGEFPSWVSAHQRRLLQAGTQKPDKVVAKDGSGDFKTITEAVNAVPKNSPTRFVIYVKAGEYNEYVTIPSSLPNIFMYGDGPTKTRVLGNKSNKDGVATMATRTFSAEGNGFVCKSMGFVNTAGPEGHQAVALHVQGDMSVFFNCKFEGYQDTLYVHANRQFFRNCEVTGTIDYIFGNSAAVFQSCLMTVRKPMDNQANMVTAHGRTDPNMPTGIVLQDCRIVPEQALFPVRLQIASYLGRPWKEYARTVVMESVIGDFIKPEGWSEWMGDVGLKTLYYAEYANTGPGAGTSKRVTWPGYRVIGQAEATQFTAGVFIDGLTWLKNTATPNVMGFVK >ORUFI04G28620.1 pep chromosome:OR_W1943:4:27816126:27817457:1 gene:ORUFI04G28620 transcript:ORUFI04G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTNSTEARREMVLADAGRRATRSFSLPTVDRQRLRWKAVSMLSSLGISQGRRSGAFKYATTSVEGMMKSENDHAGQALLHVQEAAAKRAVIKPCTPTLTPPNEPEVINAWELMAGLEDDPPTPPCASHEPPAVTPQWMQADTDIPIVALDFDPEILSGFREALADTSPSEPMSCSVTEEEEQPAQPEKHADACDAPTSLATGDMPEKRADACDAPISLATGDMPEKRADACDKTISLATGDMPELSGIVRARINAFQEKIERRSSKGARDAKVAHLRPPGGDKKAVVYFTSLRGVRKTFVDCCSVRSILRSYGVRLDERDVSMHAVFRAELAELLGPGGFACAALPRVFVDGRYLGGAEDVHALHEAAELARMLEGCEAAPVRKLGYMEACAACGDVRFVPCETCYGSCKIFVDDDVDAGEFRRCPNCNENGLIRCPVCCC >ORUFI04G28630.1 pep chromosome:OR_W1943:4:27818109:27821786:-1 gene:ORUFI04G28630 transcript:ORUFI04G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVNEPPVPPPLPRTDWLSREEEDDLGATGERSQAKPLLALRTKFHRYGLDWRAPTVRWCGWVAFKPSPRVVAAEQEGEVGGKPRRIQGRGIMSGTGYTVEVTNLSSRASESDLHEFFSFSGAIEHIELIRSGEYGSTAYVTFKEPYSLETAVLLSGATIVDQPVCIARWGQPNEPYNFWDTPNWYTEEEIEYRTYQTCQFNSTPQEALTIAQDVVKTMLARGYVLSKDALARARAFDESHQVTATAAAKAAELSKRIGLTDRVSGLTDRVSAGVGAIRSVDETYHVSETTKTVATATGRTAVKVVNGIMTSSYFSAGAMMLSDALHRAAQAAADLAAHGRHN >ORUFI04G28640.1 pep chromosome:OR_W1943:4:27836467:27836881:-1 gene:ORUFI04G28640 transcript:ORUFI04G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRSRSRRAGSSVPSSSSSSRTSISEDQIAELLSKLQALLPESQARNGAHRGSAARVLQETCSYIRSLHQEVDNLSETLAQLLASPDVTSDQAAVIRSLLM >ORUFI04G28650.1 pep chromosome:OR_W1943:4:27841756:27848907:1 gene:ORUFI04G28650 transcript:ORUFI04G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYSPQQRRDAQHNNGEACGSQHGFWVQHSIWLLVEIERANEGISASLEAERRCAPPAAAAAARTGQDHGGAARHLDHTFEKLASAHLQLVVAAPLVDALRGSPTEPLALPDHAHHLPLRLHRRGAFPLSLMLITPAAELLAVTTSPAAAAAGSSEAVGRRQQGELHLECHLIWGRGVNHNSGADTITAAHTGDGEAWHGEEQRRGGGKEGVAAVPAVIVSPLPCTLELASRSSLTGRRRKEEEIKGEL >ORUFI04G28660.1 pep chromosome:OR_W1943:4:27850823:27856694:-1 gene:ORUFI04G28660 transcript:ORUFI04G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAAAAAGDGKVEKAANGGVNGCDAAGEGKKRADQAVAFHELFTFADKWDLVLMAAGSLGALAHGAAMPLFFLLFGDLINGFGKNQTDLRTMTDEVSKYALYFVYLGLVVCASSYAEIACWMYTGERQVIALRKAYLDAVLRQDVGFFDTDARTGDIVFGVSTDTLLVQDAIGEKVGNFIHYIATFLAGLVVGFVAAWRLALLSVAVIPAIAFAGGLYAYTLTGLTSKSRESYANAGVVAEQAIAQVRTVYSFVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQAFSNLGAFSKGKIAGYKLLEVIRQKPSIVHDHKDGKLLAEVHGNIEFKDVTFSYPSRPDVMIFRDFSLFFPAAKTVAVVGGSGSGKSTVVALIERFYDPNEGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTIHENILYGKPDATMAEVEAAATASNAHSFISTLPNGYNTMVGERGIQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSENIVQEALDRLMTGRTTVVVAHRLSTIRNVNMIAVIQQGQVVETGTHDELLAKGSSGAYASLIRFQEMAQNRDLGGASTRRSRSMHLTSSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNADNDRKYPAPRGYFFKLLKLNAPEWPYAVLGAVGSVLSGFIGPTFAIVMGEMLDVFYYRDPNEMEKKTKLYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLSAILTNEVGWFDEEENNSSLVAARLAVDAADVKSAIAERISVILQNMTSLMTSFIVGFIIEWRVALLILATFPLLVLANFAQQLSMKGFAGDTAKAHAKSSMVAGEGVSNIRTVAAFNAQNKILSLFSYELRIPEQQILRRSQTSGLLFGLSQLCLYSSEALILWYGSHLVRSHGSTFSKVIKVFVVLVVTANSVAETVSLAPEIVRGGESIRSIFGILNRATRIEPDDPESERVTNVRGDIELRHVDFAYPARPDIQIFKDFNLKIQAGRSQALVGASGSGKSTVIALIERFYDPTGGKVTIDGKDIRRLNLKALRLKIGLVQQEPVLFAASILENIAYGKDGATEEEVIQAAKTANVHGFVSQLPNGYKTAVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEALERLMKGRTTVLVAHRLSTIRGVDRIAVVQDGRIVEHGSHSDLVSRPEGAYSRLLQLQHHA >ORUFI04G28670.1 pep chromosome:OR_W1943:4:27863205:27866329:1 gene:ORUFI04G28670 transcript:ORUFI04G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATIGMMDSAYFVGRGEILSWINATLQLSLAKVEEAASGAVQCQLMDMVHPGVVPMHKVNFDAKTEYDMIQNYKILQDVFNKLRLSKNIEVNKLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRSKGCKERSLKGSNKSSKSLQANRLSSANSADGGPCVGKVNVISEEHYIEQIQQLSEKIAGLKISMDSAEKERDFYFSKLRDIEILCQRPELEHLPMTKAVQKILYAADARDSPLPEANEIITRSPSMFPDEA >ORUFI04G28680.1 pep chromosome:OR_W1943:4:27866837:27867810:-1 gene:ORUFI04G28680 transcript:ORUFI04G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLRFPAGGATSVPAAMPHRVPMATAPSRLPATVAMALISTPSAAIRLTPINSATHFDVLRLRARRTDGEDDVSALPEIDGAASALPCTASTASPRSCVPGFPNTQSAFPNTRLSLLGWILKLVQERFSGDPSLLSSLLVLLIGGWQTAYFKEAVERRIKGSMRIPPCILTIFTFCAAVATLLYKAVKASEELDGIISHLIKKFEKLLESHDVKTQSEKLPEPREAEAKSQAKEVKTQDKS >ORUFI04G28690.1 pep chromosome:OR_W1943:4:27868603:27871311:-1 gene:ORUFI04G28690 transcript:ORUFI04G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSATMASSLRCCPSAGALFRRPVGTRPGPDRVSAGLLRCSKRGNGVVGLRLSCAAASPASGCSEALPPYPAPGSYTLPGSPWWAENLSEEDATYFSLADSDPPGKGREEMDAIWHALVSGPLEPVFRVLREIGAAGNLFRCRSFHIGILSGALLVIAGFSQLCNMTPFLFVDIVLGYMFYKLSILAAELQRNGRANNICARIQLVLLFVLSFKDSRGYQGTYGVLAGLIWFLNVQLYFRTVYYEMVGTNYIRIFWLGVYRILQSKGGLIKVAKGLIMSN >ORUFI04G28690.2 pep chromosome:OR_W1943:4:27868816:27871311:-1 gene:ORUFI04G28690 transcript:ORUFI04G28690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSATMASSLRCCPSAGALFRRPVGTRPGPDRVSAGLLRCSKRGNGVVGLRLSCAAASPASGCSEALPPYPAPGSYTLPGSPWWAENLSEEDATYFSLADSDPPGKGREEMDAIWHALVSGPLEPVFRVLREIGAAGNLFRCRSFHIGILSGALLVIAGFSQLCNMTPFLFVDIVLGYMFYKLSILAAELQRNGRANNICARIQLVLLFVLSFKDSRGYQGTYGVLAGLIWFLNVQLYFRTVYYEMVGTNYIRIFWLGVYRILQSKGGLIKVAKGLIMYGCKWKKEN >ORUFI04G28700.1 pep chromosome:OR_W1943:4:27875395:27876741:-1 gene:ORUFI04G28700 transcript:ORUFI04G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIDPKHAVRCRIARSRRREGQAPVPVWDPARSATPPSRFPAAATPATSFPAAATPVSSFPAAATPASSFPAAATPASSFPAAATPASSFPAAATPASSSGCLPSLLASPVVTRRSHTRARRPKSDGTNSPLPDGDDTLADDAISTLPDTEATSSAPATPQIPDSNAAASTLFERFVYISTGGSSMSGTGTSTLFLFLHVVSALLITAVKNPSIRKKVADQVGHRVRLSPSVLSVFVFSSAAIAFTYDAIKSGKEFEGLGSYLLKITKTGKYSGCHQNIDKKQELNKETP >ORUFI04G28710.1 pep chromosome:OR_W1943:4:27878461:27880625:-1 gene:ORUFI04G28710 transcript:ORUFI04G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNSATMASSLRCRPTRGAAFRRPVGTRGADRFSAGVLRCSKRGNGVVGLKLSCAAASASPASQALPPLPWWAKELKEEDEKFFPLVDLDPAGQGQEEIDAIWNALLSGPLQPVLRALREIGAAGNLFRCRSFHIGILSGALLVIAGFSQLCSTTPILFVDIVLGYIFYKLSILSAELQRNGRANNICARIQLVLIFILSFKDNSSSQGIYGILSELIWFINVQLYFSMVYSEVTGLKHMRLFWLGVYRLLQTKGGLTKVIKSLFTYGYGNDT >ORUFI04G28720.1 pep chromosome:OR_W1943:4:27881282:27881735:1 gene:ORUFI04G28720 transcript:ORUFI04G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKGAVAAVGMTGSNIPTVFVEWGGARAGGRERRVNVSTTTVTGDSLHWLNDVDVGRGSEQGGAGVGVPLAWEKPAGEEGPNRERDREKGGRKKGKKEIMIRGHHMLTQQDGTGQCCTSVKTTFNTISLLLVIQNI >ORUFI04G28730.1 pep chromosome:OR_W1943:4:27882904:27883661:1 gene:ORUFI04G28730 transcript:ORUFI04G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSQIQPLPFRRTRMDAALAASSCQAVTDAIRDIYAQDMEKLNFEQLYRRVYEVVLNKHGELMYSEVATALTAEVEGLRTSLVAVADGGGGGGAFLRELLSKWRRHTEAVAAVRDMVMYMERTFIVTYRKVSVQELGVKLWRDGVVCSGDVMPRLVEAVRRERAAAAEPGELMAGVAEMLTKLRDKVLSQVMDASSVDDYSSASLEKSVSEYQ >ORUFI04G28740.1 pep chromosome:OR_W1943:4:27894739:27899862:1 gene:ORUFI04G28740 transcript:ORUFI04G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQKKRSPKIEPFRHRVDADPKSFDKSWKKLEDAIREIYNHNASGLSFEELYRTAYNLVLHKHGLKLYDKLTENLKGHLKEMCRSIEDAQGSLFLEELQRRWADHNKALQMIRDILMYMDRTFITTNKKTPVFDLGLELWRDIVVRAPKIHGRLLDTLLELIHRERMGEMINRGLMRSTTKMLMDLGSSVYHDDFEKPFLEVSASFYSGESQQFIECCDCGEYLKKAERRLAEELERVSQYMDAKTADKITSVVDTEMLANHMQRLILMENSGLVNMLVDDKHEDLSRMYNLFKRVPDGHSTIRSVMASHVKESGKALVSDPEKIKDPVEFVQRLLNEKDKYDEIISISFSNDKAFQNALNSSFENFINLNNRSPEFISLFVDDKLRKGVKGANEEDVETVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTTSDEAERSMLVKLKTECGYQFTSKLEGMFNDLKTSHDTMQSFYANLSGDTDSPTISVQILTTGSWPTQPCTPCKLPPEIVDISEKFRAFYLGTHNGRRLTWQTNMGNADIKATFGGRRHELNVSTYQMCVLMLFNSADGLTYGDIEQATGIPHADLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYYNDKFTSKLVKVKIGTVVAQKETEPEKLETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIITEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDKVDRKMYRYLA >ORUFI04G28750.1 pep chromosome:OR_W1943:4:27901748:27905136:1 gene:ORUFI04G28750 transcript:ORUFI04G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQTQRLNVVPTVTMLGVMKARLVGATRGHALLKKKSDALTVQFRAILKKIVAAKESMGEAMRASSFSLAEAKYVAGDGVRHVVLQSVRSASLRVRSHQENVAGVKLPKFTHFVDPAAGSAGPSNASPSLTGLARGGQQVAACRAAHVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIERQMAAAKQFAEEQLAEEVALKRGISVGAATNMLVAVVEIISCDAGAPESHLFIEWRRFCFRR >ORUFI04G28760.1 pep chromosome:OR_W1943:4:27905045:27908480:-1 gene:ORUFI04G28760 transcript:ORUFI04G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLQAQRLLLSHRRLPSPHRRRFTAVSSLPSAPAKTVAAAAAHAPSSILSIRESLLSGERTAAEITAEYLSRLRRTEPSVRSFIHVADAAAEREAEELDRRIATEGLDAVGPLAGVLVGVKDNLCTANMPSTGGSRILDGYQPAYDATAVRRLREAGAIVVGKTNLDEFGMGSTTEGSGFQVTTNPWDDSRVPGGSSGGSASAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVVGCFGSSVVDTATILSVIAGHDKMDSTSSSHDVSDYKSELVPLDLLESKPLNGMRIGIIQETLGEGVETGVISSIKDAASHLEQLGSVVEEVSLPSFSLGLPAYYILASSEASSNLSRYDGIRYGRQVSGDDLNELYGGSRANGLGHEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVKKSFKEALERYDILVSPAAPSAAYKIGEKINDPLAMYAGDTMTVNVNLAGLPALVVPCGFVEGGSAGLPVGLQMIGSPFSEGNLLRIGHIFEQTLQNYSFVPPLLAES >ORUFI04G28770.1 pep chromosome:OR_W1943:4:27910106:27915432:1 gene:ORUFI04G28770 transcript:ORUFI04G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASGLAPPRLAVSCPRAAGRGCGGHHRVGFLRSAPVALAGPAAAQLRCCASTVDDGVVSAAAAPKPRLPRSLNYFRLWNELIKLMGTCRVVGMGSKLIGCGSATPSLSVSNDDLSKIVETSDEWIAARTGIRNRRVLSGNETLRELSVQAAKKALEMAQVNADDVDLVLLCTSTPDDLFGGAAQVLAEVGCANAFGFDITAACSGFIIGLITATRFIKGGGIRNILVIGADALSQFVDWTDRGTCILFGDAAGAVLVQACSADEDGLLGFCVQSDGNGQKHLNCVSSHVESILSKTNGVPSFPPKKATFSNIEMNGKEVFRFAVRCVPQSIEKALEEAGLPASSIDWLLLHQANQRIIDAAASRLDIPSDKVISNLANYGNTSAASIPLALDEAVRAGKVKAGDVIAASGFGAGLTWGSAIVKWC >ORUFI04G28780.1 pep chromosome:OR_W1943:4:27915920:27919573:-1 gene:ORUFI04G28780 transcript:ORUFI04G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNNVGAGALPVVDLAPFLATGDDEGGVARASASATGAVREACRDYGFFRAVNHGVPAELMARALELSAAFFALPDEEKAKARPVEGSEAPLPAGYARQPAHSADKNEYLLAFDPQLGFNLYPDEPSGFREALEELYGKLTELGVLIQEILNKCMGLPPGFLKEYNGDRSFDFIAALRYSPATAEENNGVSEHEDGNCITFVLQDGVGGLEVLKDGAWVPVDPVEGSIIVNIGDVIQVLTNGKMKSATHRVVRKPAVHRHSLVFFFNVHGDRWVEPLPEYTEKIGEAPRYRRFLYSEYQQLRMRNKTHPPSRPEDVVHITHYAI >ORUFI04G28790.1 pep chromosome:OR_W1943:4:27921233:27931525:1 gene:ORUFI04G28790 transcript:ORUFI04G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSAHKAQYIDGQREMFKRLDESSPRSSVPSEVGGRSTLKFSMPSFGYDSFNPVRSFLSGVRKGSGRLKSLRQSLTSGAPKTAFAEDLKSFKKTIFDPQEKFLFQMNWFCFLSCVFAVAVDPLFFFLPIIDGDDKSSCIGIDKKLAVTSTIIRTILDLVYLIRVFLQFRTAYVAPSSRVFGTGELVIDPMRIAIRYLKSYFVMDFFALLPLPQIVVWRYLHTLDGPDVPSTKNALVWVVLFQYIPRLLRIFPVTKDLKRTAGVFIETAWLGAAYYLLWFMLAGHNVGTLWYFLTIEREDSCWRSNCHSNDGCNKSYLYCSDNHTGNYTSWLSKRTELLSACSTNSFQFGIFEQALVSGILRPGNFISKICYCFWWGLQNLSTLGQGLQTSIYPGEVLFSIAICVIGLILFALLIGNMQTYLQSVAIRLEEMRVKKRDAEQWMHHRSLPPQIRERVRRYERYRWLETRGVDEENLVQTLPKDLRRDIKRHLCLGLVKRVPLFENMDERLLDAICERLRPTLYTENEYILREGDPVDEMHFILHGCLESETTDGGRSGFFNKVQLKEGAFCGDELLTWALDPKSAANFPASTRTVKALTEVEAFALCAEELKFVASQFRRLHSRQVQHTFRFYSQHWRTWAACFIQAAWRRYYKRKMAEQHRKEEEAANRQSSSSHHPSLAATIYASRFAANALRGVHRLRSRASPTIVRLPKPPEPDFAVDEAD >ORUFI04G28790.2 pep chromosome:OR_W1943:4:27921233:27931525:1 gene:ORUFI04G28790 transcript:ORUFI04G28790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSAHKAQYIDGQREMFKRLDESSPRSSVPSEVGGRSTLKFSMPSFGYDSFNPVRSFLSGVRKGSGRLKSLRQSLTSGAPKTAFAEDLKSFKKTIFDPQEKFLFQMNWFCFLSCVFAVAVDPLFFFLPIIDGDDKSSCIGIDKKLAVTSTIIRTILDLVYLIRVFLQFRTAYVAPSSRVFGTGELVIDPMRIAIRYLKSYFVMDFFALLPLPQIVVWRYLHTLDGPDVPSTKNALVWVVLFQYIPRLLRIFPVTKDLKRTAGVFIETAWLGAAYYLLWFMLAGHNVGTLWYFLTIEREDSCWRSNCHSNDGCNKSYLYCSDNHTGNYTSWLSKRTELLSACSTNSFQFGIFEQALVSGILRPGNFISKICYCFWWGLQNLSTLGQGLQTSIYPGEVLFSIAICVIGLILFALLIGNMQTYLQSVAIRLEEMRVKKRDAEQWMHHRSLPPQIRERVRRYERYRWLETRGVDEENLVQTLPKDLRRDIKRHLCLGLVKRVPLFENMDERLLDAICERLRPTLYTENEYILREGDPVDEMHFILHGCLESETTDGGRSGFFNKVQLKEGAFCGDELLTWALDPKSAANFPASTRTVKALTEVEAFALCAEELKFVASQFRRLHSRQVQHTFRFYSQHWRTWAACFIQAAWRRYYKRKMAEQHRKEEEAANRQSSSSHHPSLAATIYASRFAANALRGVHRLRSRASPTIVRLPKPPEPDFAVDEAD >ORUFI04G28790.3 pep chromosome:OR_W1943:4:27921514:27931525:1 gene:ORUFI04G28790 transcript:ORUFI04G28790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSAHKAQYIDGQREMFKRLDESSPRSSVPSEVGGRSTLKFSMPSFGYDSFNPVRSFLSGVRKGSGRLKSLRQSLTSGAPKTAFAEDLKSFKKTIFDPQEKFLFQMNWFCFLSCVFAVAVDPLFFFLPIIDGDDKSSCIGIDKKLAVTSTIIRTILDLVYLIRVFLQFRTAYVAPSSRVFGTGELVIDPMRIAIRYLKSYFVMDFFALLPLPQIVVWRYLHTLDGPDVPSTKNALVWVVLFQYIPRLLRIFPVTKDLKRTAGVFIETAWLGAAYYLLWFMLAGHNVGTLWYFLTIEREDSCWRSNCHSNDGCNKSYLYCSDNHTGNYTSWLSKRTELLSACSTNSFQFGIFEQALVSGILRPGNFISKICYCFWWGLQNLSTLGQGLQTSIYPGEVLFSIAICVIGLILFALLIGNMQTYLQSVAIRLEEMRVKKRDAEQWMHHRSLPPQIRERVRRYERYRWLETRGVDEENLVQTLPKDLRRDIKRHLCLGLVKRVPLFENMDERLLDAICERLRPTLYTENEYILREGDPVDEMHFILHGCLESETTDGGRSGFFNKVQLKEGAFCGDELLTWALDPKSAANFPASTRTVKALTEVEAFALCAEELKFVASQFRRLHSRQVQHTFRFYSQHWRTWAACFIQAAWRRYYKRKMAEQHRKEEEAANRQSSSSHHPSLAATIYASRFAANALRGVHRLRSRASPTIVRLPKPPEPDFAVDEAD >ORUFI04G28800.1 pep chromosome:OR_W1943:4:27935168:27937208:1 gene:ORUFI04G28800 transcript:ORUFI04G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRTRTSLLALASLLLLLLATRAHGIRLDRQLHEAINNKQEIMRDSKAEQSLNTARLMNKHCTSDGHCNSGKVQRPVVQAEAGAAAKQQQQNQSLERSGDANQQEQETAPRQQEKTSSTATATMTTYPDILDIAGMDYSPATRKPPIHN >ORUFI04G28810.1 pep chromosome:OR_W1943:4:27939932:27942696:1 gene:ORUFI04G28810 transcript:ORUFI04G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPATSLIGGGVGGVAYVVLLLFLANACVSDAGFEVSSRVLMSDEQGRSGLLATWRRLIVETPSPGAGADAAHPGTKSLPLAAARTHRRDPLANLTMYSGGWNISDQHYWASVAYTAVPLILVGMLWFIVFGIVLLIISCCCCFCRKKYNTYSPATYFISLILLIIFTLATIAGCIILHCGQELFHSSTIKTVDYIVGQGNLTVDSLRNFSGSLAAAKNIGVDQVFLPVQVQQKIDVIEDKLNSSANEFSTRALENSKKIKHVMDKMQYNLMVIAAVMLGLAIFGFLFSILGLRFLVSLLVIAGSFVLVITIMMSAAFLLLHNVVADTCVAMDDWVTHPQAHTALDDILPCVDVATANESMYRSEDVTVQLVALVNNVIVNISNRDFPPSFRPLYINQSGPLMPKLCDPFNPDMSPRKCAPGEVNFDTAAAEWKKFECQTTGPPGSEVCATEGRVTPAAYGQMTAAASISQGLYQYGPFLMELQDCSFVRETFTAISDNNCPGLELYSRHVYLGLLLISGAVMMSIVFWMVHTRQRRRRSLGLGR >ORUFI04G28820.1 pep chromosome:OR_W1943:4:27943768:27944334:1 gene:ORUFI04G28820 transcript:ORUFI04G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDWYAWLSAARLDQAVAYEYALVFSRNELEADDLAYFDHEFLHSMGVSVAKHRLEILKLARRSRRRAALSSRLVAAVDRVARYVRALVVVVVQGREDESSSALVLVPSQQLQPDVDKTPRRSRSKPKSTKEVAAAAAAPTTPKAMRSPVLAIGGRAASTVHAVKDGEKGGEDMVRWDRLFQDLKPN >ORUFI04G28840.1 pep chromosome:OR_W1943:4:27957835:27962218:1 gene:ORUFI04G28840 transcript:ORUFI04G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEASPAAAERIRVVGAWAGSLEVELGGWTVPMLRAEVARRAGGVEPDRINLIFGGRVLKDDPPASLQQAGLKGNAKVLSTLLAPDRGKAIAAQAAAAAAEEEHNNRLVRLWNAAEALCQRHTDGSFHEEDFNLDLEDQSGQKVMFGSVDDLKAVKMALMLHQKAKTFIKRDMHKEALDVLAMAEEAFSLCDPKLIERVDNVPMLQLDIVWCYFVLRDVSRLEVAGTRLERARSGFERSHGKDSARFRLLQAGRHADLAIYVRLELLEGVVAYHNGNTVKARESLTSAQAKYMQLQVPDEAISILMSMGYGAQAAKRALKMTGYDIQSSVDLLCEEREKKIRRVQEDMEMQKEIMEQKKYGKTPMNKAVNMQKLKGLVAIGFEKKLAAEALRINENDADKALDLLTDPEQNCILQHKIMSKAKRLSRGSGSGSSSSRAAAATTASGVNNSQAPVDTSANVPDGSAMEESHVLPVINEEAANNVEAVNDDETVNHEEEDMSEESAEGEEEANPPPVRDVAMENELAHEMTGDALDDYDIDVSNEGQAISEYLSLLDSAA >ORUFI04G28850.1 pep chromosome:OR_W1943:4:27962451:27963323:-1 gene:ORUFI04G28850 transcript:ORUFI04G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKVSAMLLLAMLALSSSPLALACGSCGSGGSGSAPSGGGGSAPSGGGGGIGGVVGGIVGGVAPVVGGIVGKVPPVVGGVVGGVAPVVGGIVGKVPPVVGGVVGGVAPVVGGIVGKVPPVVGGVVGGVAPVVGGVVGGVAPVVGGVAPVVGGVVGGIAPVVGGIIGGGSGGGGYSPSPSPPKRHGGRKACPPSPTPTPSTPTPSSSDTCPIDALKLGVCVDLLGNEVHIGDAHVTCCPLVKDIAGLSAAACLCTAIKAKVLDISIYIPIALKLLVNCGCDVPPGYTCA >ORUFI04G28860.1 pep chromosome:OR_W1943:4:27966123:27967444:-1 gene:ORUFI04G28860 transcript:ORUFI04G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRDVAALALAIMLTMASTLPGAAAAAAAGASPRRGLWGKDMPVNPFCPWDAVKFGACAGVLGVVGVQAGAHLGSKCCALVDGLAAAEAAACFCTTIKESVLGIPTEWTVGVSVLKVL >ORUFI04G28870.1 pep chromosome:OR_W1943:4:27971637:27976653:-1 gene:ORUFI04G28870 transcript:ORUFI04G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCPCTTTTSSPLSLPRPACRGRWSGGGGSSANAAAWRARGGGAKRSLVVAASGSKDETAEGKKQEEESEFNPFGFVTDNPSSRGAIQLPESPAQDGNVGQMLYRIEDKGREFGSRVKSGKLRWFVRETGSAGARCGTVVFIHGAPSQSFSYRMVMSQMADAGYHCFAPDWIGFGFSDMPQPGYGFDYTEEEFHKSLDELLSTLNITEPFFLVVQGFLVGSYGLTWALKNSSKVLKVAILNSPLTVSSPVPGLFNQLRLPLFGEFTCQNAVLAERFIEAGSPYVLKSEKADVYRLPYLSSGAPGFALLEAARKANFRDVLSRISAGFASNSWEKPILLAWGISDKYLPLPIAEEFQKGNPAAIKLEPIEGAGHMPQEDWPEKVVTALRSFL >ORUFI04G28880.1 pep chromosome:OR_W1943:4:27977113:27982426:-1 gene:ORUFI04G28880 transcript:ORUFI04G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQVYTPRKRKFLNSVHYSESNRAVSHGSGLVTSAKDSHQGEESFLNGPAGLSSILSNSQGFAPQDILSGTTELSGIRCTTSSCKPNETILHTISTNNGTSNCQDTTQSFSLLMECTNHTTPSKPMHPHITFQLMHTKIESSNSCAKYADSTDQSQTAVAHKLLFSCEKGDQSIVAMVHPSQSSLEKIQQKQGISSYEAKHDVQGSTKADDSSSILSPHSLDDEIMSVVGLLSPSAYESLCDSTIQLSSTVKVSVIAFFKSGEKNHDITWPKIIEIKGRVKLDAFEKFVQELRRCQTRSLMVISLCWKFGTSKAGLLGMKEVAENFEASQKVGFADVCDGSNLYVCPRSDAVITILAKCGFFKGMSAADTNQDSLIGCIVWRRNPLSKIVDHSRSETIGKGSPCVPHVGARHPTSLPFSDGHQATSCSNNPGMDSRTNTGNIQNDIDQMQEIQSNSVKTHPVATGLLSQCGHAKSTGERDPTEKVSPFELASHEVPEIQQHVQSIIDSHSGSFGVWHPTSHSQGLSLKSLHVQQRTTHYDLAAQKNHMAEAFSGSITQEYASNAVESSKQQETNPFLLQPDTYGAHRANDIDDLPKVDLANLHRVELKFDVHPINRETTITSDVLICPRLSDKKSNSPFQQSSQPSFQVEGIKNHEKVEVKENVRDMISREITNQVSHRKAEIENFKSKDDIDAEDMPECHFQGLDNEKLHQSGSSKHTSPSLKNSTGSKAPSQVFPPITQKSYTPLEVEDISSGHDPNMPSGCLNIPRDQGSFPRHPPPAQGDQRLAARHPPVLADQGYVPRLPPPVLEDQGLAPRCPPPALAGQGFVPRHPPPVLPNQGFFPRRCPPFAGQDLYPWRPPFHANQGPFPRHAPPHPCPPFTPGHVRPPSNFAPPGPWRPVLHPGQEHHHHHYGHPGRSNGPSGSGNKPGYHNPSYGNGPGGCRPPHQAQG >ORUFI04G28880.2 pep chromosome:OR_W1943:4:27977113:27981440:-1 gene:ORUFI04G28880 transcript:ORUFI04G28880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQVYTPRKRKFLNSVHYSESNRAVSHGSGLVTSAKDSHQGEESFLNGPAGLSSILSNSQGFAPQDILSGTTELSGIRCTTSSCKPNETILHTISTNNGTSNCQDTTQSFSLLMECTNHTTPSKPMHPHITFQLMHTKIESSNSCAKYADSTDQSQTAVAHKLLFSCEKGDQSIVAMVHPSQSSLEKIQQKQGISSYEAKHDVQGSTKADDSSSILSPHSLDDEIMSVVGLLSPSAYESLCDSTIQLSSTVKVSVIAFFKSGEKNHDITWPKIIEIKGRVKLDAFEKFVQELRRCQTRSLMVISLCWKFGTSKAGLLGMKEVAENFEASQKVGFADVCDGSNLYVCPRSDAVITILAKCGFFKGMSAADTNQDSLIGCIVWRRNPLSKIVDHSRSETIGKGSPCVPHVGARHPTSLPFSDGHQATSCSNNPGMDSRTNTGNIQNDIDQMQEIQSNSVKTHPVATGLLSQCGHAKSTGERDPTEKVSPFELASHEVPEIQQHVQSIIDSHSGSFGVWHPTSHSQGLSLKSLHVQQRTTHYDLAAQKNHMAEAFSGSITQEYASNAVESSKQQETNPFLLQPDTYGAHRANDIDDLPKVDLANLHRVELKFDVHPINRETTITSDVLICPRLSDKKSNSPFQQSSQPSFQVEGIKNHEKVEVKENVRDMISREITNQVSHRKAEIENFKSKDDIDAEDMPECHFQGLDNEKLHQSGSSKHTSPSLKNSTGSKAPSQVFPPITQKSYTPLEVEDISSGHDPNMPSGCLNIPRDQGSFPRHPPPAQGDQRLAARHPPVLADQGYVPRLPPPVLEDQGLAPRCPPPALAGQGFVPRHPPPVLPNQGFFPRRCPPFAGQDLYPWRPPFHANQGPFPRHAPPHPCPPFTPGHVRPPSNFAPPGPWRPVLHPGQEHHHHHYGHPGRSNGPSGSGNKPGYHNPSYGNGPGGCRPPHQAQG >ORUFI04G28880.3 pep chromosome:OR_W1943:4:27977113:27982426:-1 gene:ORUFI04G28880 transcript:ORUFI04G28880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQVYTPRKRKFLNSVHYSESNRAVSHGSGLVTSAKDSHQGEESFLNGPAGLSSILSNSQGFAPQDILSGTTELSGIRCTTSSCKPNETILHTISTNNGTSNCQDTTQSFSLLMECTNHTTPSKPMHPHITFQLMHTKIESSNSCAKYADSTDQSQTAVAHKLLFSCEKGDQSIVAMVHPSQSSLEKIQQKQGISSYEAKHDVQGSTKADDSSSILSPHSLDDEIMSVVGLLSPSAYESLCDSTIQLSSTVKVSVIAFFKSGEKNHDITWPKIIEIKGRVKLDAFEKFVQELRRCQTRSLMVISLCWKFGTSKAGLLGMKEVAENFEASQKVGFADVCDGSNLYVCPRSDAVITILAKCGFFKGMSAADTNQDSLIGCIVWRRNPLSKIVDHSRSETIGKGSPCVPHVGARHPTSLPFSDGHQATSCSNNPGMDSRTNTGNIQNDIDQMQEIQSNSVKTHPVATGLLSQCGHAKSTGERDPTEKVVPHSLFKLASKLTPQPPFKAPSLIGCQLSQVSPFELASHEVPEIQQHVQSIIDSHSGSFGVWHPTSHSQGLSLKSLHVQQRTTHYDLAAQKNHMAEAFSGSITQEYASNAVESSKQQETNPFLLQPDTYGAHRANDIDDLPKVDLANLHRVELKFDVHPINRETTITSDVLICPRLSDKKSNSPFQQSSQPSFQVEGIKNHEKVEVKENVRDMISREITNQVSHRKAEIENFKSKDDIDAEDMPECHFQGLDNEKLHQSGSSKHTSPSLKNSTGSKAPSQVFPPITQKSYTPLEVEDISSGHDPNMPSGCLNIPRDQGSFPRHPPPAQGDQRLAARHPPVLADQGYVPRLPPPVLEDQGLAPRCPPPALAGQGFVPRHPPPVLPNQGFFPRRCPPFAGQDLYPWRPPFHANQGPFPRHAPPHPCPPFTPGHVRPPSNFAPPGPWRPVLHPGQEHHHHHYGHPGRSNGPSGSGNKPGYHNPSYGNGPGGCRPPHQAQG >ORUFI04G28880.4 pep chromosome:OR_W1943:4:27977113:27981440:-1 gene:ORUFI04G28880 transcript:ORUFI04G28880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQVYTPRKRKFLNSVHYSESNRAVSHGSGLVTSAKDSHQGEESFLNGPAGLSSILSNSQGFAPQDILSGTTELSGIRCTTSSCKPNETILHTISTNNGTSNCQDTTQSFSLLMECTNHTTPSKPMHPHITFQLMHTKIESSNSCAKYADSTDQSQTAVAHKLLFSCEKGDQSIVAMVHPSQSSLEKIQQKQGISSYEAKHDVQGSTKADDSSSILSPHSLDDEIMSVVGLLSPSAYESLCDSTIQLSSTVKVSVIAFFKSGEKNHDITWPKIIEIKGRVKLDAFEKFVQELRRCQTRSLMVISLCWKFGTSKAGLLGMKEVAENFEASQKVGFADVCDGSNLYVCPRSDAVITILAKCGFFKGMSAADTNQDSLIGCIVWRRNPLSKIVDHSRSETIGKGSPCVPHVGARHPTSLPFSDGHQATSCSNNPGMDSRTNTGNIQNDIDQMQEIQSNSVKTHPVATGLLSQCGHAKSTGERDPTEKVVPHSLFKLASKLTPQPPFKAPSLIGCQLSQVSPFELASHEVPEIQQHVQSIIDSHSGSFGVWHPTSHSQGLSLKSLHVQQRTTHYDLAAQKNHMAEAFSGSITQEYASNAVESSKQQETNPFLLQPDTYGAHRANDIDDLPKVDLANLHRVELKFDVHPINRETTITSDVLICPRLSDKKSNSPFQQSSQPSFQVEGIKNHEKVEVKENVRDMISREITNQVSHRKAEIENFKSKDDIDAEDMPECHFQGLDNEKLHQSGSSKHTSPSLKNSTGSKAPSQVFPPITQKSYTPLEVEDISSGHDPNMPSGCLNIPRDQGSFPRHPPPAQGDQRLAARHPPVLADQGYVPRLPPPVLEDQGLAPRCPPPALAGQGFVPRHPPPVLPNQGFFPRRCPPFAGQDLYPWRPPFHANQGPFPRHAPPHPCPPFTPGHVRPPSNFAPPGPWRPVLHPGQEHHHHHYGHPGRSNGPSGSGNKPGYHNPSYGNGPGGCRPPHQAQG >ORUFI04G28890.1 pep chromosome:OR_W1943:4:27987009:27989486:1 gene:ORUFI04G28890 transcript:ORUFI04G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASPDHLFGLRNSFYVGAYQAVITGVQAIPARAALSPDALAERDSLLYRSYIAIGSHQLVIDEIGPGAATPLQAVRLLAVYLSGGAGGKESAIRKLNELLADDAVGSNPILRLVAGTVLMHERDYAGALKHTNSGGTMELLAMNVQICLQMHRSDHAEKQLRIMQQLDEDHTLTQLANAWVDLVMGGSKIQEAHLIFQDLSEKYPATCLILNGKALCLMHMGNFEDAEGLLLESLNKDAKDAETLANLVVCSLNLGKSASRYLNQLKLAHPDHMLVKRMSSAEDSFDRACQAIS >ORUFI04G28900.1 pep chromosome:OR_W1943:4:27990017:27994981:1 gene:ORUFI04G28900 transcript:ORUFI04G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDQSCGDGGEVDPEGGIEAPLLSSGSSFFQDPAHEDGDGDEEARRRRRRFLLAGRSQSNTTSQVALVGVGVCPIESLDYELIENEVFKQDWRARGRGHILRYVALKWALCFLVGVLSAAAGFVANLGVENVAGAKFVVTSNLMLAGRYGTAFAVFLVSNFALTMLATVLTVYVAPAAAGSGIPEVKAYLNGVDAPDIFSLKTLVVKIVGCIAAVSSSLHVGKAGPLVHTGACIASILGQGGSSKYHLTCKWLRYFKNDRDRRDLVTCGAGAGIAAAFRAPVGGVLFALEAVSSWWRSALLWRAFFTTAMVAVVLRALIDFCKSDKCGLFGKGGLIMFDVTSDYITYHLVDLPPVITLGVLGGVLGSLHNFFLDKVLRLYNFINEKGQKYKLLLAAVVTICTSCCLFGLPWIASCKPCPSDTEEACPSIGRSGATYGRLVGMLIGSQSTLDHGLFAVLGSAALLGGSMRMTVSVCVVILELTNNLLMLPLVMLVLLISKTVADAFNANIYDLLVKLKGFPYLEGHVEPYMRQLSVSDVVTGPLQAFNGIEKVGHIVHVLRTTGHNGFPVVDEPPFSDSPVLFGLVLRAHLLVLLRKKDFIPNCSASALDASKQFLPHDFAKPGSGKHDRIEEIEFSAEELEMFVDLHPFTNTSPYTVVETMSLAKAHVLFREVGLRHLLVLPKSSKRAPVVGILTRHDFMPEHILGLHPFLFKTRWKKVRFGKSAFTNLVF >ORUFI04G28900.2 pep chromosome:OR_W1943:4:27990017:27994981:1 gene:ORUFI04G28900 transcript:ORUFI04G28900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDQSCGDGGEVDPEGGIEAPLLSSGSSFFQDPAHEDGDGDEEARRRRRRFLLAGRSQSNTTSQVALVGVGVCPIESLDYELIENEVFKQDWRARGRGHILRYVALKWALCFLVGVLSAAAGFVANLGVENVAGAKFVVTSNLMLAGRYGTAFAVFLVSNFALTMLATVLTVYVAPAAAGSGIPEVKAYLNGVDAPDIFSLKTLVVKIVGCIAAVSSSLHVGKAGPLVHTGACIASILGQGGSSKYHLTCKWLRYFKNDRDRRDLVTCGAGAGIAAAFRAPVGGVLFALEAVSSWWRSALLWRAFFTTAMVAVVLRALIDFCKSDKCGLFGKGGLIMFDVTSDYITYHLVDLPPVITLGVLGGVLGSLHNFFLDKLTFRKGQKYKLLLAAVVTICTSCCLFGLPWIASCKPCPSDTEEACPSIGRSGATYGRLVGMLIGSQSTLDHGLFAVLGSAALLGGSMRMTVSVCVVILELTNNLLMLPLVMLVLLISKTVADAFNANIYDLLVKLKGFPYLEGHVEPYMRQLSVSDVVTGPLQAFNGIEKVGHIVHVLRTTGHNGFPVVDEPPFSDSPVLFGLVLRAHLLVLLRKKDFIPNCSASALDASKQFLPHDFAKPGSGKHDRIEEIEFSAEELEMFVDLHPFTNTSPYTVVETMSLAKAHVLFREVGLRHLLVLPKSSKRAPVVGILTRHDFMPEHILGLHPFLFKTRWKKVRFGKSAFTNLVF >ORUFI04G28900.3 pep chromosome:OR_W1943:4:27990017:27994981:1 gene:ORUFI04G28900 transcript:ORUFI04G28900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDQSCGDGGEVDPEGGIEAPLLSSGSSFFQDPAHEDGDGDEEARRRRRRFLLAGRSQSNTTSQVALVGVGVCPIESLDYELIENEVFKQDWRARGRGHILRYVALKWALCFLVGVLSAAAGFVANLGVENVAGAKFVVTSNLMLAGRYGTAFAVFLVSNFALTMLATVLTVYVAPAAAGSGIPEVKAYLNGVDAPDIFSLKTLVVKIVGCIAAVSSSLHVGKAGPLVHTGACIASILGQGGSSKYHLTCKWLRYFKNDRDRRDLVTCGAGAGIAAAFRAPVGGVLFALEAVSSWWRSALLWRAFFTTAMVAVVLRALIDFCKSDKCGLFGKGGLIMFDVTSDYITYHLVDLPPVITLGVLGGVLGSLHNFFLDKVLRLYNFINEKGQKYKLLLAAVVTICTSCCLFGLPWIASCKPCPSDTEEACPSIGRSGNFKKYQCAMNEYNDLASLFFNTNDDTIRNLYSAGTDDEFHISSILVFFFTSYFLGIFSYGLALPSGLFVPVILTGATYGRLVGMLIGSQSTLDHGLFAVLGSAALLGGSMRMTVSVCVVILELTNNLLMLPLVMLVLLISKTVADAFNANIYDLLVKLKGFPYLEGHVEPYMRQLSVSDVVTGPLQAFNGIEKVGHIVHVLRTTGHNGFPVVDEPPFSDSPVLFGLVLRAHLLVLLRKKDFIPNCSASALDASKQFLPHDFAKPGSGKHDRIEEIEFSAEELEMFVDLHPFTNTSPYTVVETMSLAKAHVLFREVGLRHLLVLPKSSKRAPVVGILTRHDFMPEHILGLHPFLFKTRWKKVRFGKSAFTNLVF >ORUFI04G28900.4 pep chromosome:OR_W1943:4:27990017:27994981:1 gene:ORUFI04G28900 transcript:ORUFI04G28900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDQSCGDGGEVDPEGGIEAPLLSSGSSFFQDPAHEDGDGDEEARRRRRRFLLAGRSQSNTTSQVALVGVGVCPIESLDYELIENEVFKQDWRARGRGHILRYVALKWALCFLVGVLSAAAGFVANLGVENVAGAKFVVTSNLMLAGRYGTAFAVFLVSNFALTMLATVLTVYVAPAAAGSGIPEVKAYLNGVDAPDIFSLKTLVVKIVGCIAAVSSSLHVGKAGPLVHTGACIASILGQGGSSKYHLTCKWLRYFKNDRDRRDLVTCGAGAGIAAAFRAPVGGVLFALEAVSSWWRSALLWRAFFTTAMVAVVLRALIDFCKSDKCGLFGKGGLIMFDVTSDYITYHLVDLPPVITLGVLGGVLGSLHNFFLDKLTFRKGQKYKLLLAAVVTICTSCCLFGLPWIASCKPCPSDTEEACPSIGRSGNFKKYQCAMNEYNDLASLFFNTNDDTIRNLYSAGTDDEFHISSILVFFFTSYFLGIFSYGLALPSGLFVPVILTGATYGRLVGMLIGSQSTLDHGLFAVLGSAALLGGSMRMTVSVCVVILELTNNLLMLPLVMLVLLISKTVADAFNANIYDLLVKLKGFPYLEGHVEPYMRQLSVSDVVTGPLQAFNGIEKVGHIVHVLRTTGHNGFPVVDEPPFSDSPVLFGLVLRAHLLVLLRKKDFIPNCSASALDASKQFLPHDFAKPGSGKHDRIEEIEFSAEELEMFVDLHPFTNTSPYTVVETMSLAKAHVLFREVGLRHLLVLPKSSKRAPVVGILTRHDFMPEHILGLHPFLFKTRWKKVRFGKSAFTNLVF >ORUFI04G28910.1 pep chromosome:OR_W1943:4:27996143:28001186:1 gene:ORUFI04G28910 transcript:ORUFI04G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVGLVLGIAAGVALIVGFARAENSRAARRRQLAATIASFSKMTIEDSRKLLPADLYPSWVVFSTQQKLKWLNQELIKIWPFVNAAASELIKTSVEPVLEQYRPIILASLKFSKLTLGTVAPQFTGVSIIENDESGIVMELEMNWDANPSIILDVKTRLGVSLPIQVKDIGFTGVFRLIFKPLVDQLPCFGAVCFSLRKKKKLDFRLKVIGGEISAIPGISDALEDTIKNAIEDSITWPVRKVIPIIPGDYSDLELKPVGTLEVKLVQARDLTNKDLIGKSDPFAIVYVRPLPDKMKRSKTINNDLNPIWNEHFEFIVEDADTQTVTVKIYDDDGIQESELIGCAQVTLKDLQPGKVKDVWLKLVKDLEIQRDRKDRGQVHLELLYCPFDMKEETPNPFRQQFSMTSLERTMTSMENGSGSNGFNRLSSRKKKEIIMRGVLSVTVISGEDLPAMDMNGKSDPYVVLSLKKSKTKYKTRVVSESLNPVWNQTFDFVVEDGLHDMLMLEVYDHDTFSRDYMGRCILTLTKVLIEEDYKDSFKLEGAKSGKLNLHLKWSPQPIFRDSREEDSLRFR >ORUFI04G28920.1 pep chromosome:OR_W1943:4:28004722:28019685:1 gene:ORUFI04G28920 transcript:ORUFI04G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGAGRGKGRGGGGGGKGDKRKKEEKVVPSAIDVTVVTPYESQVTLKGISTDRVLDVRKLLGSNVETCHLTNYSLSHVTRGQRLEDGVEIVSLKPCSLTIVEEEYATEAAAAAQVRRLLDIVACTTAFVNKPRDGAKHKSSKHARPATPPSPPALAASPDAHGAGAAQAPPISEAHDMAAIRPPPRLGEFYDFLSFAHLTPPVHFIRRKESNGASQEGDYFEIEVKVCNGKLLHIVASVKGFYSAGKPHTVSHSLVDLLQQLSSAFANAYDALMKAFLDHNKFGNLPYGFRANTWLIPPIYLDSATKCPALPVEDENWGGNGGGNGRDGKYDRRRWAKEFSTLARMPCKTEEGRVIRDRKAFLLHNLFVDTAIFRAASTIQRLIDLSGNSTSQQAGPDGSLAIEERVGDLLITVKRDQADASLKLEDKVDGVALYQTGSMDISQRNLLKGLTSDESVVVKDTSTLGVVIVKHCGYTATVKVSGRTKDGNGGKQTSDICDHLDGISNVDVDDLPDGGSNALNINSLRISLPKIVNSDIASTQCPTPQSHVDNHARKLVRKILEDSLMKLENMPANNPKTIRWELGSSWLQNLQKKDSPASEDKKNAGHVEKETTIKGLESENTKETDISKLMSEDDFCRLKDLGAGLHQKSLEELTMMAHKFYDDTALPKLVADFASLELSPVDGRTMTDFMHTRGLNMCSLGRVVELAEKLPHIQSICIHEMVIRSFKHIVRAVIAAVDDMQNMSAAIAETLNILLGCPRLESDTETDAHSEHNLRFRWVERFLSKRYNWKLKDEFAHLRKFIILRGLCSKALSKIVAVCGPYHRLTANAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGQDDLRTQDAAAWLEYFESKALEQQEAARRGIPKPDSSIASKGHLSVSDLLDYISPDQERKERDTQRKGRRAKNNIRAHQGELVEEKESFEHDIGSPHEANKEEFQQVKSKAHPPAISEENYAIHDELKQVDPLSPEEYSDEGWQAANLRGRSANVRKKSSRRRPALTKLMVDRLEDGRTGSAYRAGVQQHMKGDKEDVINSSSQLSFGSFLKTDKVNGNSSNIEDKVFNAISKPERGIKLSGINRPATIASKLVSYKDVAVSPPGTVLKPILEQKEAKEKDNAQNTDLIVSSEEEDKKLTDEDEEKEKPSHDSSKEVLSSEPEEIGNDEKAPDSNSDESPTESKKKGGSKLSASAPPFNPGSLLSMSHPYSTVAIYDASVVLQPIPSQPMEILPHAIDTRVPRGPRSTLYYRTGHTFQRKQGYAHSQSTILRGSNSPPTMNPHAAEFVPGKTSQQPDVANREPSADVSVTDSADQLLAPQTSDEVKAGMPAAEQAIQGESTSPCKGKENRAKDALRNSCKTELARQILFSFIVKSVHDSLGSTGAESDRKPSGPDESGNAQSSNNINKSPSGRKELDKQQKATVVPKSEKDTEGFTVVSKRRRSKQHFVHPIHGLYSQHCHGAETEQSQQQTRRCACPSELALGSASLASHGGPAGVCTCARVGSDLRLLTCEIRYGSAYSFKLVS >ORUFI04G28920.2 pep chromosome:OR_W1943:4:28004722:28019685:1 gene:ORUFI04G28920 transcript:ORUFI04G28920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGAGRGKGRGGGGGGKGDKRKKEEKVVPSAIDVTVVTPYESQVTLKGISTDRVLDVRKLLGSNVETCHLTNYSLSHVTRGQRLEDGVEIVSLKPCSLTIVEEEYATEAAAAAQVRRLLDIVACTTAFVNKPRDGAKHKSSKHARPATPPSPPALAASPDAHGAGAAQAPPISEAHDMAAIRPPPRLGEFYDFLSFAHLTPPVHFIRRKESNGASQEGDYFEIEVKVCNGKLLHIVASVKGFYSAGKPHTVSHSLVDLLQQLSSAFANAYDALMKAFLDHNKFGNLPYGFRANTWLIPPIYLDSATKCPALPVEDENWGGNGGGNGRDGKYDRRRWAKEFSTLARMPCKTEEGRVIRDRKAFLLHNLFVDTAIFRAASTIQRLIDLSGNSTSQQAGPDGSLAIEERVGDLLITVKRDQADASLKLEDKVDGVALYQTGSMDISQRNLLKGLTSDESVVVKDTSTLGVVIVKHCGYTATVKVSGRTKDGNGGKQTSDICDHLDGISNVDVDDLPDGGSNALNINSLRISLPKIVNSDIASTQCPTPQSHVDNHARKLVRKILEDSLMKLENMPANNPKTIRWELGSSWLQNLQKKDSPASEDKKNAGHVEKETTIKGLGKHFEQLKKIKKKECHVEGAMSEKEDSDSNCSVINGMEESENTKETDISKLMSEDDFCRLKDLGAGLHQKSLEELTMMAHKFYDDTALPKLVADFASLELSPVDGRTMTDFMHTRGLNMCSLGRVVELAEKLPHIQSICIHEMVIRSFKHIVRAVIAAVDDMQNMSAAIAETLNILLGCPRLESDTETDAHSEHNLRFRWVERFLSKRYNWKLKDEFAHLRKFIILRGLCSKALSKIVAVCGPYHRLTANAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGQDDLRTQDAAAWLEYFESKALEQQEAARRGIPKPDSSIASKGHLSVSDLLDYISPDQERKERDTQRKGRRAKNNIRAHQGELVEEKESFEHDIGSPHEANKEEFQQVKSKAHPPAISEENYAIHDELKQVDPLSPEEYSDEGWQAANLRGRSANVRKKSSRRRPALTKLMVDRLEDGRTGSAYRAGVQQHMKGDKEDVINSSSQLSFGSFLKTDKVNGNSSNIEDKVFNAISKPERGIKLSGINRPATIASKLVSYKDVAVSPPGTVLKPILEQKEAKEKDNAQNTDLIVSSEEEDKKLTDEDEEKEKPSHDSSKEVLSSEPEEIGNDEKAPDSNSDESPTESKKKGGSKLSASAPPFNPGSLLSMSHPYSTVAIYDASVVLQPIPSQPMEILPHAIDTRVPRGPRSTLYYRTGHTFQRKQGYAHSQSTILRGSNSPPTMNPHAAEFVPGKTSQQPDVANREPSADVSVTDSADQLLAPQTSDEVKAGMPAAEQAIQGESTSPCKGKENRAKDALRNSCKTELARQILFSFIVKSVHDSLGSTGAESDRKPSGPDESGNAQSSNNINKSPSGRKELDKQQKATVVPKSEKDTEGFTVVSKRRRSKQHFVHPIHGLYSQQSICTSVS >ORUFI04G28920.3 pep chromosome:OR_W1943:4:28004722:28019685:1 gene:ORUFI04G28920 transcript:ORUFI04G28920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGAGRGKGRGGGGGGKGDKRKKEEKVVPSAIDVTVVTPYESQVTLKGISTDRVLDVRKLLGSNVETCHLTNYSLSHVTRGQRLEDGVEIVSLKPCSLTIVEEEYATEAAAAAQVRRLLDIVACTTAFVNKPRDGAKHKSSKHARPATPPSPPALAASPDAHGAGAAQAPPISEAHDMAAIRPPPRLGEFYDFLSFAHLTPPVHFIRRKESNGASQEGDYFEIEVKVCNGKLLHIVASVKGFYSAGKPHTVSHSLVDLLQQLSSAFANAYDALMKAFLDHNKFGNLPYGFRANTWLIPPIYLDSATKCPALPVEDENWGGNGGGNGRDGKYDRRRWAKEFSTLARMPCKTEEGRVIRDRKAFLLHNLFVDTAIFRAASTIQRLIDLSGNSTSQQAGPDGSLAIEERVGDLLITVKRDQADASLKLEDKVDGVALYQTGSMDISQRNLLKGLTSDESVVVKDTSTLGVVIVKHCGYTATVKVSGRTKDGNGGKQTSDICDHLDGISNVDVDDLPDGGSNALNINSLRISLPKIVNSDIASTQCPTPQSHVDNHARKLVRKILEDSLMKLENMPANNPKTIRWELGSSWLQNLQKKDSPASEDKKNAGHVEKETTIKGLGKHFEQLKKIKKKECHVEGAMSEKEDSDSNCSVINGMEESENTKETDISKLMSEDDFCRLKDLGAGLHQKSLEELTMMAHKFYDDTALPKLVADFASLELSPVDGRTMTDFMHTRGLNMCSLGRVVELAEKLPHIQSICIHEMVIRSFKHIVRAVIAAVDDMQNMSAAIAETLNILLGCPRLESDTETDAHSEHNLRFRWVERFLSKRYNWKLKDEFAHLRKFIILRGLCSKALSKIVAVCGPYHRLTANAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGQDDLRTQDAAAWLEYFESKALEQQEAARRGIPKPDSSIASKGHLSPDQERKERDTQRKGRRAKNNIRAHQGELVEEKESFEHDIGSPHEANKEEFQQVKSKAHPPAISEENYAIHDELKQVDPLSPEEYSDEGWQAANLRGRSANVRKKSSRRRPALTKLMVDRLEDGRTGSAYRAGVQQHMKGDKEDVINSSSQLSFGSFLKTDKVNGNSSNIEDKVFNAISKPERGIKLSGINRPATIASKLVSYKDVAVSPPGTVLKPILEQKEAKEKDNAQNTDLIVSSEEEDKKLTDEDEEKEKPSHDSSKEVLSSEPEEIGNDEKAPDSNSDESPTESKKKGGSKLSASAPPFNPGSLLSMSHPYSTVAIYDASVVLQPIPSQPMEILPHAIDTRVPRGPRSTLYYRTGHTFQRKQGYAHSQSTILRGSNSPPTMNPHAAEFVPGKTSQQPDVANREPSADVSVTDSADQLLAPQTSDEVKAGMPAAEQAIQGESTSPCKGKENRAKDALRNSCKTELARQILFSFIVKSVHDSLGSTGAESDRKPSGPDESGNAQSSNNINKSPSGRKELDKQQKATVVPKSEKDTEGFTVVSKRRRSKQHFVHPIHGLYSQHCHGAETEQSQQQTRRCACPSELALGSASLASHGGPAGVCTCARVGSDLRLLTCEIRYGSAYSFKLVS >ORUFI04G28920.4 pep chromosome:OR_W1943:4:28004722:28018268:1 gene:ORUFI04G28920 transcript:ORUFI04G28920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGAGRGKGRGGGGGGKGDKRKKEEKVVPSAIDVTVVTPYESQVTLKGISTDRVLDVRKLLGSNVETCHLTNYSLSHVTRGQRLEDGVEIVSLKPCSLTIVEEEYATEAAAAAQVRRLLDIVACTTAFVNKPRDGAKHKSSKHARPATPPSPPALAASPDAHGAGAAQAPPISEAHDMAAIRPPPRLGEFYDFLSFAHLTPPVHFIRRKESNGASQEGDYFEIEVKVCNGKLLHIVASVKGFYSAGKPHTVSHSLVDLLQQLSSAFANAYDALMKAFLDHNKFGNLPYGFRANTWLIPPIYLDSATKCPALPVEDENWGGNGGGNGRDGKYDRRRWAKEFSTLARMPCKTEEGRVIRDRKAFLLHNLFVDTAIFRAASTIQRLIDLSGNSTSQQAGPDGSLAIEERVGDLLITVKRDQADASLKLEDKVDGVALYQTGSMDISQRNLLKGLTSDESVVVKDTSTLGVVIVKHCGYTATVKVSGRTKDGNGGKQTSDICDHLDGISNVDVDDLPDGGSNALNINSLRISLPKIVNSDIASTQCPTPQSHVDNHARKLVRKILEDSLMKLENMPANNPKTIRWELGSSWLQNLQKKDSPASEDKKNAGHVEKETTIKGLGKHFEQLKKIKKKECHVEGAMSEKEDSDSNCSVINGMEESENTKETDISKLMSEDDFCRLKDLGAGLHQKSLEELTMMAHKFYDDTALPKLVADFASLELSPVDGRTMTDFMHTRGLNMCSLGRVVELAEKLPHIQSICIHEMVIRSFKHIVRAVIAAVDDMQNMSAAIAETLNILLGCPRLESDTETDAHSEHNLRFRWVERFLSKRYNWKLKDEFAHLRKFIILRGLCSKALSKIVAVCGPYHRLTANAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGQDDLRTQDAAAWLEYFESKALEQQEAARRGIPKPDSSIASKGHLSVSDLLDYISPDQERKERDTQRKGRRAKNNIRAHQGELVEEKESFEHDIGSPHEANKEEFQQVKSKAHPPAISEENYAIHDELKQVDPLSPEEYSDEGWQAANLRGRSANVRKKSSRRRPALTKLMVDRLEDGRTGSAYRAGVQQHMKGDKEDVINSSSQLSFGSFLKTDKVNGNSSNIEDKVFNAISKPERGIKLSGINRPATIASKLVSYKDVAVSPPGTVLKPILEQKEAKEKDNAQNTDLIVSSEEEDKKLTDEDEEKEKPSHDSSKEVLSSEPEEIGNDEKAPDSNSDESPTESKKKGGSKLSASAPPFNPGSLLSMSHPYSTVAIYDASVVLQPIPSQPMEILPHAIDTRVPRGPRSTLYYRTGHTFQRKQGYAHSQSTILRGSNSPPTMNPHAAEFVPGKTSQQPDVANREPSADVSVTDSADQLLAPQTSDEVKAGMPAAEQAIQGESTSPCKGKENRAKDALRNSCKTELARQILFSFIVKSVHDSLGSTGAESDRKPSGPDESGNAQSSNNINKSPSGRKELDKQQKATVVPKSEKDTEGFTVVSKRRRSKQHFVHPIHGLYSQQSICTSVS >ORUFI04G28930.1 pep chromosome:OR_W1943:4:28022061:28022660:-1 gene:ORUFI04G28930 transcript:ORUFI04G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASHAPRSVVVSSSSPAAAIATAGCVVDTNTTFVQADPATFRALVQKLTGAPGSGGSKPAPVMRRPKLQERRRAAPARLELARPQPLYYSHHHHRLMHSPVSPMDYAYVMASSSSSSSSSLPSSSSSLSPSPPASSSSCGVVVITKEEEEREEKAIASKGFYLHSSPRSGGAGDGERPKLLPLFPVHSPRSSSFARS >ORUFI04G28940.1 pep chromosome:OR_W1943:4:28025468:28026214:1 gene:ORUFI04G28940 transcript:ORUFI04G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMEVVATEIMDAALHVAVAGRTLAVAERDGTHDPATGRALTGSWLWDSSLVLASHLASCVHHHHLRGATVLELGAGTGLPGIAAVACLGAARCVLTDVRPLLPGLRANADANGLTAEQADVRELRWGGHLEPEVQVDVVLMSDVFYDPDDMPAMADTLHGLWRDGDSDSDGGGTVGWAASEARDGVQDCIDVLREQGFEVVEVDRVTRPLLRDPEQAADFAVYRLFRRTTSPSIVSNPTPITTAGC >ORUFI04G28950.1 pep chromosome:OR_W1943:4:28026652:28029785:-1 gene:ORUFI04G28950 transcript:ORUFI04G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLKYRAGLVLIVAVVLIWVTSAEVTQGIFTKYKQPFAITYLGASLMIIYIPLSFLKDFICNLLRRSSSSSRVSKVTNKSSFGGCAPLKNGEFQKMLEMESQKTIVINYTDVDIPVIEETKPLICGITEFDDVLKEQELSTKEIAMYGLYLCPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVLLGQDSINAAKVIAVFISMAGVVMTTMGQTWASDESEISNSGATQRTLLGDMFGLLSAMSYGLFTVLLKKFAGEEGEKVDVQKLFGYLGLFSLVLLWWLVWPLTALGIEPKFTIPHSAKVDEVVLANGLIGSVLSDYFWYALTKDNIFIILLPSCAIFDMELLVRALSVVWTTPLVATLGMSLTIPLAMVADMIIHGRRYSAVYIFGSVQVFSGFVIANLADRFSRFLGL >ORUFI04G28960.1 pep chromosome:OR_W1943:4:28034602:28037560:-1 gene:ORUFI04G28960 transcript:ORUFI04G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTAMTVDFLRARLLSEWSVSRAAKERADQLAKRTAPEEQRGDVEELAWSQKGQQDGSDCTDDGQADMDGEDTGGQYVIRYEKDGEMERVLERQAELIGQYEAEEEAQRQWEKQVQPTAQVHVEAENKACQIENGWEQSKEHARLADQAVHCNEEAKPGVKNHPSASNNRSAGLLLNDSLPESHASGQEAAADQRDAHEEHHDHCHAQSQGSSNVAGTMTGKNQEQGNENPDGCSSYCDIKAPSDGSPSMSDATLNSKVSDWSSSRFHDHGDNQVDAGPDQQPTSNMDIESVLQALQLARISLSQKLSKPVPPSQVTLALPAPGDDEHSEDDGYSPVDDEFNSARDELCSSSQSPEQEILALPAPEDYHDRENLPVNDDATISLTEEQTSSSPHRQEILALPAPVDDYHREIVDDIKIPICIAGLRS >ORUFI04G28970.1 pep chromosome:OR_W1943:4:28038603:28040046:-1 gene:ORUFI04G28970 transcript:ORUFI04G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPGGWELIEPTIRELDAKMREAENDTHDGKRKCEALWPIFRISHQRSRYIYDLYYRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVIECVHCGCRGCASGD >ORUFI04G28980.1 pep chromosome:OR_W1943:4:28042045:28042781:1 gene:ORUFI04G28980 transcript:ORUFI04G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKGVGRRGKARRWRPPADVAPNDRECSRHGLAHVRGVARVPTTTAMSSSPARVKAGASASSRWPSSYPGLPPRHDGQLLSPKLIVAPIERLKSRCVPKLRLSFAEANARLRRIVFEVNSIYSTLRSHRPRCMRPFPQRLPPPSFLFAVSLLPTHRQVPSSGFYLPPPPNNHHPSPCWSLVTTHLSRDSASGVLFACMHEHSCSQWCSRVCLGVATYSISQLLEILSPIPTVWLTHDVEQ >ORUFI04G28990.1 pep chromosome:OR_W1943:4:28044100:28048017:1 gene:ORUFI04G28990 transcript:ORUFI04G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGVPELRLSSAEANARLRRIVFEVNSIYSTLRSQRPRCMRPFPQRLPPPSFLFTASLLPTHRRVSGAVVGLLPTTATQQPPPFSLLVTGHHTPLPRFSVWHPLRLNARALMQSVVLASMLRRRNEVILDEGPLIAGLHCQQERKWEGASLGKEDIGITEEMLRKVLGIGMEFFKLPPEEKF >ORUFI04G29000.1 pep chromosome:OR_W1943:4:28070074:28073498:-1 gene:ORUFI04G29000 transcript:ORUFI04G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSAPPPCPHLAAYRHTTRSLRFLRRCLRVRPLGRPEIRREPRELPRCSPCSSPTSSSSRLYACLSCAAVFCPTHAASHASASTSGGHQIAVDVDRAELFCGACGDQVYDPDFDHAVVLAQSSSLPPPSASASPSPSPAAPRKRRRVEYRAWAPDPAEFALISSADPTTSASAAAPAGLRGLNNLGNTCFMNSVLQALLHAPPLRNYFLGDRHNRYLCPRQTPMRRRSAEANDKAACLACDLDEIYSAAFSGERTPYSPAKFLYSWWQHASNLASYEQQDAHEFFISILDHIHENIKDDQHKSHAQGHGDCCIAHRVFSGILRSDVTCTHCGFTSTTFEPCMDISLDLDAGYNNSLGVANPKVHVRNGERSSGGTNTKVSTLMRCLERFTRAERLDAEQKFFCERCKERQESLKQMSIRRLPLVSCFHIKRFEHSSVKKMSRKVDHCLQFPFSLDMAPYLSSSILRSRFGNRIFPSEASDADSVSEFSSEFEIFAVITHSGKLEAGHYVTYLRLNNHWYKCDDAWVTRVEEHTVRTSQAYMLFYVQKTLYYKACERATAV >ORUFI04G29010.1 pep chromosome:OR_W1943:4:28077242:28078685:-1 gene:ORUFI04G29010 transcript:ORUFI04G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVNSMTQELDYYWSLGTDIDHGTESCLIYKVQQHIRDIDRFSYEPCIVSVGPYHHGSADLQNDLAKYVEAALRWYPKAITDSDRPKDFHHLLHLCHIYFQPSQKLEEDHNYKFVPQYIHSFLSFGRKYFRINYYLENNRHDSSFQNEVDLAQGGDQLNRWRRAAQYLEAGIKFKKREYDKLKPHSLLDIWFSNGSMDIPCIVVDEYTGSLFRNLIAFEQTCPQFGDDFTAYIVFLSQLISMPEDVTLLIQRKIIVHQLDSDERVSDLFTMLSKDVVFDFNGNYYLKLCARLWKLTIKVASIGGWHGSG >ORUFI04G29020.1 pep chromosome:OR_W1943:4:28078727:28086486:-1 gene:ORUFI04G29020 transcript:ORUFI04G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGKSSQSDLVDMEKMVNSITREIDLYWSAVESDEGNKLCSIHKVPQHILEVDRNAYEPIILSIGSYHHGAPKLTAMEREKWKCLDFILKLNCELSLQDYIRAIHKLEKQARCYYSEEIPMEKMMFVRLLLLDSCFILVKVDRTVVAAMQLKEVPTDVTPGSIAVKDGLNSGQEVESNCPMQRRETEIAVHEIELTKSHFDHIESKSNRTEHEQNADYASGCNNSGDWYANYAWHDLFLLENQMPFFIVEAVYNLALSKQRAKAFLRDKIVECVEDILRQFPKGIEESKKPKNFYHLLHLCHIYLRPTHKCVGTNQNHSKRYVGSNQKENEESRLLTRQKDCFQDEQLPIRWRQAVQYHEAGVQLKKRVYSIYEKHSLLDIKFSNGVLEVPCLTIDENTESLFKNLIAFEQMDSQYENYITAYIAFMSQLVSTSEDATLLTERGIIVHMLDNDDEVSAMFTRLSTHLIFGSDTYHYLQTLSYVLEDHYQSRLNRWMAWLWRNHFSNPWLALGVLAAVVVLLCTIVQTIFTRKMVVKAAFAVAMFLLTTNMLVSVATRSPDNLLNSFPEETGHVYSASTVQKMTEYKPTTAQGPGFSSEEKIVMTGPNNFVWPTRPAPIADQFNHERYLNK >ORUFI04G29030.1 pep chromosome:OR_W1943:4:28088355:28089208:-1 gene:ORUFI04G29030 transcript:ORUFI04G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEEARLCEEKRKRRRCARRCSGHRRRQEKDEAAVLVKCEHPGRAVAADTARRERFDRMAASDSAAAACHLWSAFDSMTRRKDPLDGLKLYSGDEHYWSGRFDGSTTATVEYMTGRGGERGEYLGDGALLTVVPSSSSLLLPANVGHSGGDGVVEAERWSSLVTVTRWWRSERNTARKGILVIRV >ORUFI04G29040.1 pep chromosome:OR_W1943:4:28092199:28095799:-1 gene:ORUFI04G29040 transcript:ORUFI04G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVYVASIDQGTTSTRFIVYDRHAKPVASHQLEFKQHYPEAGWVEHDPMEIMESVKICMAKALDKAAADGHNMDVGLKAIGITNQRETTVMWSKSTGLPLYNAIVWMDARTSPICRRLESDLSGGRTHFVETCGLPISTYFSALKVLWLIENVDAVKNAVRAGDALFGTIDTWLIWNLTGGIGGTDRDGNKVFGHHVTDCSNASRTMLMNLKALDWDKPTLETLGIPAEILPKIISNSERIGVVANGFPLAGVPIAGCLGDQHAAMLGQLCQKGEAKSTYGTGAFILLNTGEEPTQSSHGLLSTIAYKLGPSAPTNYALEGSIAIAGAAVQWLRDSLGIISTAADIEKLADTVQDSGGIYFVPAFNGLFAPWWRDDARGICIGITRFTNKGHIARAVLESMCFQVNDVLSSMHKDAGEAGEVKSAEGEFLLRVDGGATVNNLLMQIQADLLGSPVVRPADIETTALGAAYAAGLAVGVWSKEQIFAGLHKENTTVFRPKLDEAHRRKRADSWYKAVSRSFDLADLSL >ORUFI04G29050.1 pep chromosome:OR_W1943:4:28099903:28103464:1 gene:ORUFI04G29050 transcript:ORUFI04G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGEDGVYFRMRFFFFFFFFFLVVLCLLDSNISTSHGCFVEERTAMMDIGSSLTRSNGTVPPSWGRGDGDDDCCLWERVKCSNITGRVSHLYFSNLYDSLEVLNAHGDSFWRFNTTVFSSFPELQFLDLSSIYPSSLNIDGLVGLKLPKLQHLNLSYNWLQESILADLGELVSLEVLDASSNAMSGVVPTAVLKNLTNLKELNLSANGFSGSLPGSLLELPHLDPSGSSLAGRTPINSSLEPVSLQVLNLNNNRMSGALPTERAFGYLRNLRELHLSSNNFTGNISTFLLSLPHIERLDLSGNTFEGPIPITPSSNLSLSLKGLRFSQNNLSGKLSFFWLRNLTKLEEINLSGNINLAVDVNIPGWAPPFQLKQLALSGCGLDKGIIAEPHFLRTQHHLQELDLSNNNLSGRMPNWLFKKEATLVNLNLGNNSLTGSLSPIWHPQTALQSIVISTNRITGKLPANFSAIFPSLSTLDLSDNNFHGEIPMSLCSIKHMKDLSLSNNNFSGKMPTCVFTDFLELWTLSASNNQLGGLVFGGMKKLSIGFAMHLQNNKFEGTLPRNLSGALVIMDLHDNSLSGELDTSFWNLSKLQVLDLSGNHITGSIPQKICSLASIEILDLSNNNLSGSIPRCASASLSSLNLYGNSLSGNISDDLFNTSNLMYLDMRHNKLTGNLNWLRHLDKIKTLSLGWNDFEGQITPNLCKLKCPRIIDFSHNKLSGSLPPCVGNISCESDTAAQNYSPLLLIYVIIEAYIIVHDPIDFTFATKGGQYTYGYNFFDLMSGIDLSGNMLSGEIPWELGNLSHIKSLNLSNNFFTGQIPASFANMSEIESLDLSHNELSGLIPWQLTKLSSLAVFSVAYNNLSGCIPNSGQFGTYGMDSYQGNSNLRSMSKGNICSPDSGAGDLPSEGRDSMADDPVLYAVSAASFVLAFWGTVAFLFFHPLGRRAILATGNLVFWCGH >ORUFI04G29060.1 pep chromosome:OR_W1943:4:28107601:28119872:1 gene:ORUFI04G29060 transcript:ORUFI04G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSFPWGSFCFFLVVLCLPDSNISTSSHGCFVEERTALMDIGSSLTRSNGTAPRSWGRGDDCCLWERVNCSNITGRVSHLYFSNLYDSNEVLDALGHSFWRFDTTVFSSFPELQFLDLSMNNATFQSWDVFESLRNLRELDLSSNRLNGSIPSSLFSLPRLEHLSLSQNLFEGSIPVTPSSNITSALKTFNFSMNNLSGEFSFFWLRNLTKLQKIDVSGNANLVVAVNFPSWSPSFQLKVLVLSGCNLDKNIVREPIFLRTQHQLEVLDLSNNSLSGSMPNWLFTEQATLVYLNLGNNSLTGSLGPIWYPQMNLQAISLPMNRISGHLPANISSVFPNMSFLDVSSNTISGEIPSSLCNITRMEYLDLSNNSLSGELPNCLLTEYPILTTLKVSNNKLGGPIFGGTNHLSIKHALYLDGNKFEGTLPRYLTADFDAHGTLDLHDNNLSGAIPNCMTALELDFFIVSHNSLSGHIVPFSFFNSSTVMALDLSHNQFNGNIEWVQYLGESKYLSLGSNKFEGQISPSLCQLQSLRILDFSHNSLSGPLPSCIGNLSFGQNPVGIPLWSLICENHFRYPIFDYIGCYEERGFSFRTKGNIYIYKHNFINWMSGIDLSANMLSGQIPRELGNLGHIKALNLSYNFFAGPIPATFASMSSVESLDLSHNKLSGAIPWQLTRLSSLSVFSVMYNNLSGCIPNSGQFGSFDMDSYEGNNLLHPASEGSECAPSSGHSLPDDGDGKGNDPILYAVTAASFVPMIYMSCGCLVEERAALMDIRASLIQANSTLVPRSWGQTEDCCSWERVRCDSSKRRVYQLNLSSMSIADDFFSWELNITVFSAFRDLQFLDLSQNKLISPSFDGLLGLTKLRFLYFGGNWFGGNFPSSIGNLVYLEVIDFNSNNMNGTLPVAAFENLTNLQELNLSSNKFEGSIPKSLFSLPHLKVLDLCGNDFIKGGFPVPPEPVLLEVVNLCNTAMNGTLPASAFENLRNLRALNLSKMDWSFNKFHGGLPASLFSLPHLKVLDLSGNFFEGGIPINSSSFPVSLEVLNLNNNNMNGTLPTEQAIENLGNLRELHLSLNRFAGNIPRSLFSLPHIELLDLSGNLLEGPIPISSSSNLPAFIKSLRFSHNNLSGKFSFSWLKNLTKLEAVVLSDNANLAVDVNIPGWVPQFQLKELALSGCDLDKSIITEPHFLRTQHHLEVLDLSNNNLPGSMHDWLFTEGARHYKLDLGNNSLTGSLESTWYTQNFLKYINVSMNRVAGQLPDNINSIFPNLLVLDFSNNEIYGHIPIELCQIRQLRYLDLSNNSISGEVPACLFTDHAVLESLKVSKNKLGGLIFGGMDNMSDSLSYLYLDSNKYEGSIPQNLSAKNLFVMDLHDNKLSGKLDISFWDLPMLVGLNLADNTLTGEIQPYLCNWTSISLLDLSNNNLTGSLPNCSMALQVNFLNLSNNSLSGDIPYALFNTSELIVMDIRHNRFTGNLNWVQNNLGIDILSLGGNDFEGEISPDICNLQYLRIIDFSHNKLSGSVPACIGNILFGDVHDHDILQIFYVEPFIELYDSHLMSTYYYYLSGFAFSTKGSLYIYGVNLFDLMTGIDLSANMFDGEIPWQLGNLSHIKSLNLSYNFFTGQIPATFSGMKEIESLDLSHNDLSGPIPWQLTQLSSLGAFSVAYNNLSGCIPNYGQLASFSMESYVGNNNLYNTSQGSWCSPSGHVPKEDVEERYDDPVLYIVSAASFVLAFCATVAFSFCHSYGRSAILKM >ORUFI04G29070.1 pep chromosome:OR_W1943:4:28119773:28124971:-1 gene:ORUFI04G29070 transcript:ORUFI04G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLIQSVDFTDDAAAAAATAVAAGETSGTSHPLSPRSGDPLPTTTSASVTAPLELPGATSASPARNPKINYTRGILHLYRSSSSSSTASYASAVAATPSSSSSGPAAPQLASDCLLPPWRGTRLLVLAVPTHVSPDDFVRFCGPYIEHASDIHVVRDDGVEDRYSVLVEFEDQKSADGFYLDLNGWRFSSSEVEVCHVLFIVAVQYTPSTKPAVTPPVGSTELPTCPVCIERLDQDISGIMATTCDHSFQCSCVSMWVNSSCPVCQFCQKQSKNPTCSVCQTSGNLWICIICGFVGCGRYEEGHAIRHWKETQHCYSLDLETQRVWDYVGDSYVHRLNHSKSDVKHSKFKSKCKYSGDKCANCSCNDEEDIGGAIFSSKAETIVDEYNRLLASQLETQREYYEARLSEAKKEKEQHISDAVDKAVNDKSKEIQQKIENAMLEKKKLADMNEKLTKNQDIWRRTLKEIEERERAQLKLKDDTIRDLEEQIKDFKFSIKLQKSIEKNKNADDLKGGLLVPLPMVPDSGTKDRPYEWQNENATVAQNANTNEAALTM >ORUFI04G29080.1 pep chromosome:OR_W1943:4:28127209:28127997:1 gene:ORUFI04G29080 transcript:ORUFI04G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAVGVSLMLALSLALFLTIAVLLLADLFCSHLRRRWMRAEEGEALSRRRAKLGLAGTSRAAAGDDDAASVATTATTTTAREALSSTPPFYYAHGVMQAAADTKDLLLAIPKLESAVWRWSPARRSSPSSSSSANSDRFVCISNPVYERGAAPPADVRGDTDTPFETPDASPSPFGITEEVEEEGGEFSPPLSVMRKLPPLGVVACPPPSMGFIDGRPSLGFTDGRPSLTAWSGTVTDTNRASSSSSNLTAHFFSSWSPK >ORUFI04G29090.1 pep chromosome:OR_W1943:4:28129406:28129807:-1 gene:ORUFI04G29090 transcript:ORUFI04G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGVGAPGGNSATMSPATELGRQRRTHQPAMRMEPGAVDGAWRCWSTRRQSGDHVAGGRALLLPSGSSSPARAGIADGHLLLTAQALNLVPLWMGKVDEGYPVPTVYRRVFLRTRQ >ORUFI04G29100.1 pep chromosome:OR_W1943:4:28131466:28138193:1 gene:ORUFI04G29100 transcript:ORUFI04G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAAVVEIIDDDDDDDDDVAAASTPPALHKRSHAVAAAAPDSPDAFSPSPPDPKRRQLAASTIVLDDTPTPPKRRPPPVAADRSASVVADTPRSFVPCSLRNRAIAGDTPDSVLPSPSFHLDAPDSATPGSDVPCSVGLDDIVPETPGFNSPRLARPPAVPGLTSPMTARKFSGVSCPISLDSDDELDDTVYRESLTRTPSNMAKPEHAIQPCTTSCTDKVENTKSTDKKDYSKSNVGYQTNNSACKNNGTTSYNQPPRANSPCEDSTLKEADPFINNHCPQEENALPIEERKKKQQEEKRLKKEKKAREIEEKKQKRLETKKQKEAMKAELAELKKLEKEKKKWESGKLATKCIVAEIDSSVIESGSVGGVLNKSHLVQGFHEKGLCFRVTSNSIKGSILWKMQIPNEFTQDQASTSQVPYILFVLQAEEFCDLVSGGTLLDHVQKVRRQYPEFTICYVTNKLMSFIKRREQNQYNKTTSNSNSWKRPPVEEALCKLATHYARVRSRHCTDEAEVTEHIVGLTYSLANCKKPLTWLSVHANGSNISKGCVDKDRIKKSAWLKSLVAIPGVSPGQAIAIEKKYPSMRSLLNVHEKEHLLEDLRLEGPLGDFKRRLGPACSKKVYTILMAQNGAAEVEVDRRGA >ORUFI04G29100.2 pep chromosome:OR_W1943:4:28131466:28138193:1 gene:ORUFI04G29100 transcript:ORUFI04G29100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAAVVEIIDDDDDDDDDVAAASTPPALHKRSHAVAAAAPDSPDAFSPSPPDPKRRQLAASTIVLDDTPTPPKRRPPPVAADRSASVVADTPRSFVPCSLRNRAIAGDTPDSVLPSPSFHLDAPDSATPGSDVPCSVGLDDIVPETPGFNSPRLARPPAVPGLTSPMTARKFSGVSCPISLDSDDELDDTVYRESLTRTPSNMAKPEHAIQPCTTSCTDKVENTKSTDKKDYSKSNVGYQTNNSACKNNGTTSYNQPPRANSPCEDSTLKEADPFINNHCPQEENALPIEERKKKQQEEKRLKKEKKAREIEEKKQKRLETKKQKEAMKAELAELKKLEKEKKKWESGKLATKCIVAEIDSSVIESGSVGGHLVQGFHEKGLCFRVTSNSIKGSILWKMQIPNEFTQDQASTSQVPYILFVLQAEEFCDLVSGGTLLDHVQKVRRQYPEFTICYVTNKLMSFIKRREQNQYNKTTSNSNSWKRPPVEEALCKLATHYARVRSRHCTDEAEVTEHIVGLTYSLANCKKPLTWLSVHANGSNISKGCVDKDRIKKSAWLKSLVAIPGVSPGQAIAIEKKYPSMRSLLNVHEKEHLLEDLRLEGPLGDFKRRLGPACSKKVYTILMAQNGAAEVEVDRRGA >ORUFI04G29110.1 pep chromosome:OR_W1943:4:28147588:28153214:1 gene:ORUFI04G29110 transcript:ORUFI04G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQKNSVEQLADVFGFDHASSSGNPVMDQQGYWNNILGSVESHNLQGYQVNRSDGTIPYGNGVHQNGTFLGFWESGEASASGSSLHFGGSNEIKAEQRNIGGGLRIGERRLVAERNLSLDNVDIGLNINGNDLSGENSNVNGASQGSELHGGCSHTGSNGQASELRLHPYRTFILGADQPEPFNSLNGSENPLGDFSLMPEGIDQRPGSSLDGRRLACKRKNIEGVNGQCSAGASTSFSHRNDSIFHNIASSSHNPSPSTNLPSPNCLLVPSTLDEQLPRYGATTAGLSSSSYDPSGGNNNSGGSQRSFRPRTSLAQHIGPYGVWPSSSTIRHSNSWNHQPPPFQSSFDEPPEVIPVVSSLNFQYQHPMNVVPGIPQMSHRFTGPGASSSRTGNLENRIIGSEEFSARNVVATSFPDAVPPAALDMRHLIPEPSSWNVDGRATTIPGNVPSSSRANTNSMVNPPAGSPFIAHQNLHRRNPRNLSEEISRLSGALRGHQHPRLRSGFLLERQGDGVWGVPLSTRSREGRRLIEIRNALEMIHRGENVRFESIFYGGVDIHDRHRDMRLDIDNMSYEELLALEERIGNVSTGLSEEEVTKLLKQRKFSSWRLEASVEEEPCCICQEEYVDGDDLGTLDCGHDFHVGCVRQWLVVKNTCPICKNTALKS >ORUFI04G29110.2 pep chromosome:OR_W1943:4:28147473:28153214:1 gene:ORUFI04G29110 transcript:ORUFI04G29110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQKNSVEQLADVFGFDHASSSGNPVMDQQGYWNNILGSVESHNLQGYQVNRSDGTIPYGNGVHQNGTFLGFWESGEASASGSSLHFGGSNEIKAEQRNIGGGLRIGERRLVAERNLSLDNVDIGLNINGNDLSGENSNVNGASQGSELHGGCSHTGSNGQASELRLHPYRTFILGADQPEPFNSLNGSENPLGDFSLMPEGIDQRPGSSLDGRRLACKRKNIEGVNGQCSAGASTSFSHRNDSIFHNIASSSHNPSPSTNLPSPNCLLVPSTLDEQLPRYGATTAGLSSSSYDPSGGNNNSGGSQRSFRPRTSLAQHIGPYGVWPSSSTIRHSNSWNHQPPPFQSSFDEPPEVIPVVSSLNFQYQHPMNVVPGIPQMSHRFTGPGASSSRTGNLENRIIGSEEFSARNVVATSFPDAVPPAALDMRHLIPEPSSWNVDGRATTIPGNVPSSSRANTNSMVNPPAGSPFIAHQNLHRRNPRNLSEEISRLSGALRGHQHPRLRSGFLLERQGDGVWGVPLSTRSREGRRLIEIRNALEMIHRGENVRFESIFYGGVDIHDRHRDMRLDIDNMSYEELLALEERIGNVSTGLSEEEVTKLLKQRKFSSWRLEASVEEEPCCICQEEYVDGDDLGTLDCGHDFHVGCVRQWLVVKNTCPICKNTALKS >ORUFI04G29120.1 pep chromosome:OR_W1943:4:28182842:28186689:1 gene:ORUFI04G29120 transcript:ORUFI04G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSKATPTTSPAFRVLCSPPSAKSPRPNKGEDGGGEAEASHRRGKGDAMWDLNDSPAAEAAPPPLSPSADDSGASSSSAAAVVEIPDDADDDSAAVVVVTRQFFPPAVPGGGGDPAPGNARAGWLRLAGAAPPVAATGPAASAAVSKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAGEFEPPAAATGDAAEHNLDLSLGSSAGSKRGNVDGGGDDEITGGGGGGAGSDQRVPMAFDLDWQTAAARSTKAKFDQNSNHPQMPPVLQVTHLPFSPRHHHQFLSNGDPGTAGGLSLTIGAGMAGHWPPQQQQGWGNAGGMSWPLPPHPPPPPTNAAAAATATAAAASSRFPPYIATQASTWLQKNGFHSLTRPT >ORUFI04G29120.2 pep chromosome:OR_W1943:4:28182842:28187526:1 gene:ORUFI04G29120 transcript:ORUFI04G29120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSKATPTTSPAFRVLCSPPSAKSPRPNKGEDGGGEAEASHRRGKGDAMWDLNDSPAAEAAPPPLSPSADDSGASSSSAAAVVEIPDDADDDSAAVVVVTRQFFPPAVPGGGGDPAPGNARAGWLRLAGAAPPVAATGPAASAAVSKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAGEFEPPAAATGDAAEHNLDLSLGSSAGSKRGNVDGGGDDEITGGGGGGAGSDQRVPMAFDLDWQTAAARSTKAKFDQNSNHPQMPPVLQVTHLPFSPRHHHQFLSNGDPGTAGGLSLTIGAGMAGHWPPQQQQGWGNAGGMSWPLPPHPPPPPTNAAAAATATAAAASSRFPPYIATQASTWLQKNGFHSLTRPT >ORUFI04G29120.3 pep chromosome:OR_W1943:4:28182842:28186689:1 gene:ORUFI04G29120 transcript:ORUFI04G29120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSKATPTTSPAFRVLCSPPSAKSPRPNKGEDGGGEAEASHRRGKGDAMWDLNDSPAAEAAPPPLSPSADDSGASSSSAAAVVEIPDDADDDSAAVVVVTRQFFPPAVPGGGGDPAPGNARAGWLRLAGAAPPVAATGPAASAAVSKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVLIDHRFFLFRSIVRSWAISRKSSCGFLVHCRYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAGEFEPPAAATGDAAEHNLDLSLGSSAGSKRGNVDGGGDDEITGGGGGGAGSDQRVPMAFDLDWQTAAARSTKAKFDQNSNHPQMPPVLQVTHLPFSPRHHHQFLSNGDPGTAGGLSLTIGAGMAGHWPPQQQQGWGNAGGMSWPLPPHPPPPPTNAAAAATATAAAASSRFPPYIATQASTWLQKNGFHSLTRPT >ORUFI04G29120.4 pep chromosome:OR_W1943:4:28182842:28187526:1 gene:ORUFI04G29120 transcript:ORUFI04G29120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSKATPTTSPAFRVLCSPPSAKSPRPNKGEDGGGEAEASHRRGKGDAMWDLNDSPAAEAAPPPLSPSADDSGASSSSAAAVVEIPDDADDDSAAVVVVTRQFFPPAVPGGGGDPAPGNARAGWLRLAGAAPPVAATGPAASAAVSKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVLIDHRFFLFRSIVRSWAISRKSSCGFLVHCRYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAGEFEPPAAATGDAAEHNLDLSLGSSAGSKRGNVDGGGDDEITGGGGGGAGSDQRVPMAFDLDWQTAAARSTKAKFDQNSNHPQMPPVLQVTHLPFSPRHHHQFLSNGDPGTAGGLSLTIGAGMAGHWPPQQQQGWGNAGGMSWPLPPHPPPPPTNAAAAATATAAAASSRFPPYIATQASTWLQKNGFHSLTRPT >ORUFI04G29120.5 pep chromosome:OR_W1943:4:28182842:28186689:1 gene:ORUFI04G29120 transcript:ORUFI04G29120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSKATPTTSPAFRVLCSPPSAKSPRPNKGEDGGGEAEASHRRGKGDAMWDLNDSPAAEAAPPPLSPSADDSGASSSSAAAVVEIPDDADDDSAAVVVVTRQFFPPAVPGGGGDPAPGNARAGWLRLAGAAPPVAATGPAASAAVSKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWAYDRAAIKFRGVEADINFSLEDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAGEFEPPAAATGDAAEHNLDLSLGSSAGSKRGNVDGGGDDEITGGGGGGAGSDQRVPMAFDLDWQTAAARSTKAKFDQNSNHPQMPPVLQVTHLPFSPRHHHQFLSNGDPGTAGGLSLTIGAGMAGHWPPQQQQGWGNAGGMSWPLPPHPPPPPTNAAAAATATAAAASSRFPPYIATQASTWLQKNGFHSLTRPT >ORUFI04G29120.6 pep chromosome:OR_W1943:4:28182842:28187526:1 gene:ORUFI04G29120 transcript:ORUFI04G29120.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSKATPTTSPAFRVLCSPPSAKSPRPNKGEDGGGEAEASHRRGKGDAMWDLNDSPAAEAAPPPLSPSADDSGASSSSAAAVVEIPDDADDDSAAVVVVTRQFFPPAVPGGGGDPAPGNARAGWLRLAGAAPPVAATGPAASAAVSKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWAYDRAAIKFRGVEADINFSLEDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAGEFEPPAAATGDAAEHNLDLSLGSSAGSKRGNVDGGGDDEITGGGGGGAGSDQRVPMAFDLDWQTAAARSTKAKFDQNSNHPQMPPVLQVTHLPFSPRHHHQFLSNGDPGTAGGLSLTIGAGMAGHWPPQQQQGWGNAGGMSWPLPPHPPPPPTNAAAAATATAAAASSRFPPYIATQASTWLQKNGFHSLTRPT >ORUFI04G29120.7 pep chromosome:OR_W1943:4:28182842:28186689:1 gene:ORUFI04G29120 transcript:ORUFI04G29120.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSKATPTTSPAFRVLCSPPSAKSPRPNKGEDGGGEAEASHRRGKGDAMWDLNDSPAAEAAPPPLSPSADDSGASSSSAAAVVEIPDDADDDSAAVVVVTRQFFPPAVPGGGGDPAPGNARAGWLRLAGAAPPVAATGPAASAAVSKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWAYDRAAIKFRGVEADINFSLEDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVLIDHRFFLFRSIVRSWAISRKSSCGFLVHCRYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAGEFEPPAAATGDAAEHNLDLSLGSSAGSKRGNVDGGGDDEITGGGGGGAGSDQRVPMAFDLDWQTAAARSTKAKFDQNSNHPQMPPVLQVTHLPFSPRHHHQFLSNGDPGTAGGLSLTIGAGMAGHWPPQQQQGWGNAGGMSWPLPPHPPPPPTNAAAAATATAAAASSRFPPYIATQASTWLQKNGFHSLTRPT >ORUFI04G29130.1 pep chromosome:OR_W1943:4:28192119:28197696:1 gene:ORUFI04G29130 transcript:ORUFI04G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQAHKRTISTKNKANISEREQEKEKIARLERSLQCLNEQISFAQAECVEKDAILAKQAKVAEEAILGWEKAEGEAIAIKTQLDDTLDQKAAIEQRICHLDEALNVAMVERELLIKDTAKLISHEQVKVERLEGDVVEKINIIASLDAENRKLSEMLSMKEKMISELTEAKGVIESNFKNLEVKLESADKLNSSLRYEVCMLQKQLDIRSEERKFNLKSADAAHKQHLENVKKITKLEAECQRLRSMVRKRLPGPAAIAKMRNEVETLGNNAVITRTRRFNSTTSFNSGNLVQNSYDASHESSSLLARLHAMEDENKTMKESLSSKDGELQYSRTMLARTTSKLSQVEAQLEELSRGRVATDLVKCSPTVVENPLSSISEDGCNEDNVSCSSSWASALISELEHFKKGKLTTPSCKSTGVSDLSFMDDFEEIEKLALACDAKPTESYDSRRESRESSGKELVTVDGPIETSDQLRQHKIEKAVLKLIELIEGVVQRSSKDYSSTVVLSGGSEGDRSNTLTGYVARAFLWKTSELTSVLQNFVLGCNELLYGSTDVERFVLEINITLDWIISHCFSLQDVSDMRETIIKNLEINSSSGLEVVPVIKHTGIQTTDGMCEPRTPNKMQMAIVSVSSLMDIGYKADDDSEIFRNKIPVSKCEESEGKASSLRAELNALKETGKMMAHGVDGESTINELGKPSNSDINKGNQHGVSSLESKLQLERFPAKEGPKCVSRNEDQHVQMRLEISTASEKLIECQETILNLGKQLKALASPKDAILFDKVVHTKIQSERKPRSQSLNEMLAMDDGGFDYLSSPKTKEIICAELRSRHERSCSVDNGGDDSVTCSSHPMPVAPPMRPYDVNGTCKDEAALKVVALMPSKQKGNTNLLKRILAGRRKEAMTRPNVVATA >ORUFI04G29140.1 pep chromosome:OR_W1943:4:28206326:28207205:-1 gene:ORUFI04G29140 transcript:ORUFI04G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHHLHVAYLDHKASSSSSSPAPPSISPSSIPGSAAFPAFSFKCLRPLAPKISLPEPRKMIAPPDFVVPRARNASKLLNYTVQVPAAGTTRWNPSAEQIKVLEMLYRGGMRTPNSVQIERITEELGKYGRIEGKNVFYWFQNHKARERQKQKRAALLTLSTLDPSLLPATANETKEAPEKKEKDVEDGLASCKRRCKAWGDGAGDGDAVVATEAAGGCTDEVTLELFPLHPQGKA >ORUFI04G29150.1 pep chromosome:OR_W1943:4:28212664:28212996:-1 gene:ORUFI04G29150 transcript:ORUFI04G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHVVLLAVPAAAAAGGGFLQAFLQYSFLVWPFNLVLPLARHLPRVCVALRGAAEFLAGEMRMFLSGRRRVQLPQLSGYGRSSSLSPGERRSREELVAYTMVALVGISY >ORUFI04G29160.1 pep chromosome:OR_W1943:4:28217803:28218096:-1 gene:ORUFI04G29160 transcript:ORUFI04G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHLVAIVAARGFLHLFHLSAPLLWPLNLWLPLPRHLPAACAALYGGVVFHAALLRRAYARRGGGGNVWSRSIRGGDGGGGEADELLRQALLSISY >ORUFI04G29170.1 pep chromosome:OR_W1943:4:28219990:28223075:-1 gene:ORUFI04G29170 transcript:ORUFI04G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRLRLLLVVLVAAAYAAGDVASSYSQPTLPAVPPVQAVRPPPAAVGGGSHSQPTFPPRAPVRAVPPPATLVSTATPGALLAAFLAKADPSAHLRFPLAVSPCSHPAVSCSADGQITRLVLESSGLNGTFAPATLSRLVELRVLSLKSNALHGPIPDLSPLENLKALFLAGNRFSGPFPASVASLRRLRSIDLAGNRLSGALPPGIEVAFPHLTFLRLDANHFNGSLPAWNQSSLKLLNVSYNNFSGPVPVTPVMAQMGAAAFAGNPELCGEVLRRECRGSHLLFFHGPGNNGSAAPPVQSAAATGDGPQRDDISLPDSSTPRSRKLRRRAAIAVAATAAAFVAVLLLCAMIAMKRGKKRRRPSSAAYPSPKKSAAMSEVSRDNTDLGYVECVPDEETAAMMMPEEKARRLERSGCLTFCAGEGASYSLEQLMRASAEVLGRGSVGTTYKAVLDGRLVVIVKRLDAAKIGAAALEAEAFEQNMDAVGRLRHPNLVSLRAFFQAKEERLLVYDYQPNGSLYSLIHGSRSSRAKPLHWTSCLKIAEDIGQGLAYIHQASRLVHGNIKSSNVLLGSDFEACLTDNCLAFLLESSEVKDDAAYRAPENMKSNRRLTPKSDIYAFGILLLELISGKPPLQHSVLVATNLQTYVQSARDDEGVDVERLSMIVDIASACVRSSPESRPTAWQVLKMIQEVKEADTAGDNDSDLTSNS >ORUFI04G29180.1 pep chromosome:OR_W1943:4:28228847:28229803:1 gene:ORUFI04G29180 transcript:ORUFI04G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHGSRDKLQAAASSSHRRVLLLLFATCFALATFVTFVYNTSHFASASGSGAAADSSGGGASAGSGTGAVSALPLPVFDALVHFASISNATHRMSDTDIRAISAVLRARGPCNLLVFGLGAESPLWLALNHGGRTVFLEENEFYVKYLEPRHPGLEAYDVSYTTKVRDFRDLLDAARASRAAECRPIQNLLFSECRLAINDLPNDLYDVAWDIVLIDGPSGWNPTSPGRMPSIFTTAVLARTGATAAKGPTDVLVHDFQFELEQVLSKEFLCDENRVAGSGTPSLGHFVVRPDGRRDAFCSGQDSTAAGTSSEKSGK >ORUFI04G29190.1 pep chromosome:OR_W1943:4:28233955:28237219:-1 gene:ORUFI04G29190 transcript:ORUFI04G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISMALAAAALLLLLSLAAADMSIVSYGERSEEEARRLYAEWKAEHGKNYNAVGEEERRYAAFRDNLRYIDEHNAAADAGVHSFRLGLNRFADLTNEEYRDTYLGLRNKPRRERKVSDRYLAADNEALPESVDWRTKGAVAEIKDQGGCGSCWAFSAIAAVEGINQIVTGDLISLSEQELVDCDTSYNEGCNGGLMDYAFDFIINNGGIDTEDDYPYKGKDERCDVNRVSFVFFAPLVFQKNAKVVTIDSYEDVTPNSETSLQKAVANQPVSVAIEAGGRAFQLYSSGIFTGKCGTALDHGVAAVGYGTENGKDYWIVRNSWGKSWGESGYVRMERNIKASSGKCGIAVEPSYPLKKGKNPPNPGPTPPSPTPPPTVCDNYYTCPDSTTCCCIYEYGKYCYAWGCCPLEGATCCDDHYSCCPHEYPICNVQQGTCLMAKDSPLAVKALKRTLAKPNLSFLFGNGKKSSA >ORUFI04G29200.1 pep chromosome:OR_W1943:4:28242853:28244463:-1 gene:ORUFI04G29200 transcript:ORUFI04G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLSLLLPVTCFILFVLHAVHVEARPDPAAFGDSDNGFYTLFVFGDSFADTGNLPKRRLSEQSREWYYPYGRDRGNNRPTGRFSNAMVQSDLIARMLGRHEAPPTYRRVDNYVHPHGMNFAAGGSGVFKLPSGAPTLDKQVDHFRDLVQDGTITRRNLRNSIALVAVSGNDYARLANVNDTSKMIKFVDEVTSEIAKQVHRLKNNGARKILVNNLHPVGCTPWVTRPGNYSGCSSTGNMGAYLHGSNLQQKLSHLDYVHHVDLNTAFSNIVNPDQGSKHKVSSQFEHKMQPCCESLDPNGFCGQKGHDGKDLFSVCNDPEKYFYWDDVHPTEAGWKAVMQQLEGPIKKFLRIN >ORUFI04G29210.1 pep chromosome:OR_W1943:4:28247788:28250263:-1 gene:ORUFI04G29210 transcript:ORUFI04G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLLRPLWPMLSPAAGSPDSPPEPSKPSLPAAWLLLHALFCATSMAVGFRFSRLIVYLLFLPTPINPTAHLVSLVSPPVMLAAANATTTITTTTTTTTTTVTTTTVAAEVGAHPQHHHHGPVFVGRHPIRVRPWPHPDPNELLKAHHILAAVQNAQRSSRRRGAGPPRPVIAVTPTTTSALQVPSLTSMAHTLRLVDGPLTWIVVEPEHHTDAVAAVLSRSNLNFLHITGPDSSTSRLRMHALREIRKRKMDGVVVFADENSILRTELFDEAQKVKSVGAVPVGVLGEDEGTSETFLQAPSCDAEGKLVGYHVSEETMLPANRGDMLLSSRLEWAGFVVNAQALWEGGGAASRPEWVSDIDAIDDGAAASPLSLVTDAARVEPLASCGQAALAWSHRSDALHEVKFPHEWKIDPPLVTIASRQQDAKPETPLKRTTLLNTEGQH >ORUFI04G29220.1 pep chromosome:OR_W1943:4:28255665:28257074:-1 gene:ORUFI04G29220 transcript:ORUFI04G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTATPHVLLVPFPAQGHALPLYDLAALLAARGLRLTVVTTPGNAAQLAPLLAAHPDSVRPLVLPFPSHPSLPAGLENTMNCPPVYIAVFIHALAALHRPILAWARSQPAHPVVAVVSDFFCGWTQPLAAEIGVPRVVFTPSGVLGTAVPHSLFRRLVKRPVGCDDGFPVAFPAIPGEPAFEWREISMLYKAYIEGLVEEQVGESLKQNCLWNLEGWGFVSNTFRALEGRYLDAPLEDLGFKRVWAVGPVAPDTDAAGERGGEAAVAAGDLSAWLDAFPEGSVVYVCFGSQAVLTPAMAAALAEALERSAVPFVWVVSGDGVVPEGFEARAAAAARGMVVRGWAPQVAALRHAAVGWFMTHCGWNSVLEAVAAGVPMLAWPMAADQFVNARLLVEDAGVALRACAGGAGVAPDAGELAAVLADAVGEKGSGARARAKELAADAAIAVRSGGSSYEDLERFVQEIQKL >ORUFI04G29230.1 pep chromosome:OR_W1943:4:28257239:28260871:1 gene:ORUFI04G29230 transcript:ORUFI04G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTRSLTLAPLPATLRPASAACRRRRRQRGLPFGALFSPSPPSNQQQQEMHIRALQPRQDWVGEWVRSNDTLVRGLPILGGGASLLAVLLNRAVSGIAAVADASSSQSRADILTLALSVTDILAGLVWLSIRPKSISPVVPRGVECKRVGTGVLDSALRELLWTWDSLTTATCCKSLVVVYGGNCVLQIGVAAGSPEDGNAVMVDAQKFMQGSLYRSAMESKKQSYLANLALYPGRTELPFLPANTQALILQPIGDKGIAVIGGDTIRGFTNLDQAWIAMIADKLDATLSKS >ORUFI04G29240.1 pep chromosome:OR_W1943:4:28260628:28263447:-1 gene:ORUFI04G29240 transcript:ORUFI04G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLAGAEKEVLVEIVRFTQKNGLKGAEGGWKDFLARNDKKFGASVSDPKKRSKDVLLAFLLTFSKDFQKVYFGKLIRRLNERSAFEQFLKEFPDKISPQLKLVQLTAEHPEYRKNYNFPSYKEGWKVLREGKITNFMKSTMLAIDCEMVLCHDGTEALVRVCVVNSNLEVKLDELVNPLKAVADYRTSITGLSKKDLKGVTCSLVDVQKSLKKLLSKGKILIGHSLSKDLHALKFDYSRVIDTAYIFKYANLPTTASASLNSLCKSVLGYAVREEGEPHNCLKDAEAAMNLVLAKLKHGFNDPIEIARDSVPESDMMKLLAHKIPVYLPCQELLKLFSGNPSIDEKIDSRVRGDYYSTCISFGDRDEVEKAFDELDGQEAKDSSGRLQKNVLLKRDNGDVASFYVRKMAYGAQLNKSELSNKRPPPTEHVEPTKEDNDGDKQKKRKTGKKHEKKKAKISVAE >ORUFI04G29250.1 pep chromosome:OR_W1943:4:28271342:28272749:1 gene:ORUFI04G29250 transcript:ORUFI04G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAIAIHGGAGVDPNLPEHRQEEAKRVLARCMQVGVDALRSGAAALDVVEAVVRELESDPFFNSGRGSALTRLGTVEMEASIMDGRGRRCGAVSGVSTVKNPVSLARLVMDKSPHSYLAFDGAEQFARDQDISA >ORUFI04G29260.1 pep chromosome:OR_W1943:4:28277349:28280285:1 gene:ORUFI04G29260 transcript:ORUFI04G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSQTTATTTHHRVLLPSHHHHRAVPPSLLRLPLRAARRGRLSAAAAAAAPAASTAAPSEPAAGAVPGKPTVLVAEKLGAAGLELLRGFANVDCSYGLSPEELRAKIPLCDALIVRSGTKVGRDVFEASGGRLRVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTAMSRNIAQADASLKAGKWQRNKYVGVSLVGKTIAILGFGKVGSEVARRAKGLGMHVIAHDPYASADRAHAIGVELVSMEDALTTADFISLHMPLTPATNKMLNDETFAKMKKGVRIINVARGGVIDEDALVRALDSGIVAQAALDVFTKEPPAPDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVIGALKGELAASAVNAPMVPAEVLSELAPYVVLAEKLGRLAVQLVAGGGGIKSVKVTYASARAPDDLDTRLLRAMITKGLIEPISSVFVNLVNADFTAKQRGVRITEERILLDGSPETPIDYIQVHIAHVESKFPSAISESGEITVEGKVKDGIPHLTKVGSFQVDVSLEGSLILCRQVDQPGMIGAVGSVLGEENVNVSFMSVGRIAPRKHAVMAIGVDEEPKKSTLTKIGEIPAIEEFVFLKL >ORUFI04G29270.1 pep chromosome:OR_W1943:4:28280745:28292203:-1 gene:ORUFI04G29270 transcript:ORUFI04G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRLLRVLLLLVALACATSGVGCSDPRFPHLGRVRELHRGEGRPAAEQEAAARGLLARLLPSHSGSFDFRVISADQCGGKACFIVDNHPLFDGEGTPQVLLLGTSGVEISAGLHWYLKHYCAAHVSWDKTGGAQLSSVPRPGSLPRLPSGGILIQRPVGWSYYQNAVTSSYSFAWWDWERWEKEIDWMALQGINLPLAFTGQEAIWQKVFQRYNISKSDLDDFFGGPAFLAWSRMANMHGWGGPLPQSWLDDQLALQKKILSRMYAFGMFPVLPAFSGNIPAALRSKFPSAKVTHLGNWFTVDSNPRWCCTYLLDASDPLFVEIGKLFIEEQIREYGGTSHVYSCDTFDENTPPLSDPNYISSLGAATFRGMQSGDDDAIWLMQGWLFSYDPFWEPPQMKALLHSVPVGRMIVLDLYAEVKPIWINSDQCMLHNFAADFEMYGVLDMVASGPIDARLSANSTMVGVGMSMEGIEQNPIVYDLMSETAFHHRQVDLQVWVETYPTRRYGKSMVGLQDAWKILYQTLYNCTDGKNDKNRDVIVAFPDVEPFVIQTPGLYTSSSKTYSTKLSKNYIAVDASNDEYEHPHLWYDTDAVIRALELFLRYGDEVFVKIIESYKSNNVNQVSNLCQHFIDLVNDLDTLLASHEGFLLGPWLESAKGLARDKEQEMQYEWNARTQITMWFDNTKTKASLLRDYANKYWSGLLRDYYGPRAAIYFKYLILSMEKKEPFALEEWRREWISLTNNWQSDWKVFPTTATGDALNISRTLYKKYLHDADLIQPEGIIRLRNLKNTPW >ORUFI04G29270.2 pep chromosome:OR_W1943:4:28280745:28292203:-1 gene:ORUFI04G29270 transcript:ORUFI04G29270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRLLRVLLLLVALACATSGVGCSDPRFPHLGRVRELHRGEGRPAAEQEAAARGLLARLLPSHSGSFDFRVISADQCGGKACFIVDNHPLFDGEGTPQVLLLGTSGVEISAGLHWYLKHYCAAHVSWDKTGGAQLSSVPRPGSLPRLPSGGILIQRPVGWSYYQNAVTSSYSFAWWDWERWEKEIDWMALQGINLPLAFTGQEAIWQKVFQRYNISKSDLDDFFGGPAFLAWSRMANMHGWGGPLPQSWLDDQLALQKKILSRMYAFGMFPVLPAFSGNIPAALRSKFPSAKVTHLGNCNPRWCCTYLLDASDPLFVEIGKLFIEEQIREYGGTSHVYSCDTFDENTPPLSDPNYISSLGAATFRGMQSGDDDAIWLMQGWLFSYDPFWEPPQMKALLHSVPVGRMIVLDLYAEVKPIWINSDQCMLHNFAADFEMYGVLDMVASGPIDARLSANSTMVGVGMSMEGIEQNPIVYDLMSETAFHHRQVDLQVWVETYPTRRYGKSMVGLQDAWKILYQTLYNCTDGKNDKNRDVIVAFPDVEPFVIQTPGLYTSSSKTYSTKLSKNYIAVDASNDEYEHPHLWYDTDAVIRALELFLRYGDEVFVKIIESYKSNNVNQVSNLCQHFIDLVNDLDTLLASHEGFLLGPWLESAKGLARDKEQEMQYEWNARTQITMWFDNTKTKASLLRDYANKYWSGLLRDYYGPRAAIYFKYLILSMEKKEPFALEEWRREWISLTNNWQSDWKVFPTTATGDALNISRTLYKKYLHDADLIQPEGIIRLRNLKNTPW >ORUFI04G29290.1 pep chromosome:OR_W1943:4:28298934:28299878:1 gene:ORUFI04G29290 transcript:ORUFI04G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVGALLFHLVVTALAAPVALPGCPETCGNVTVPYPFGIGHGCFRDGFELACDETHPAAPPKLRFARNGVEVIDISLPSGTVRVATRMLGTDSSSSLPRQLNGSWPAGLPANGSLAVSTRHNRFVAMGCNLLANLVANDDDDYISVCAALCVVRSALPRDAAAASSCSGFGCCQTPVARGLPSYGVHLNDLTQRSVTVGSYGAAFIADGEWFAGEQRSLQLGFVADPRKLADSTAVPTVLEWSLDMDRDQDMFWYDTRVSQWTRCVSVHSAIDDAVDGNLYGRARCNCSKGYEGNPYLANGCQGMYRLQFSD >ORUFI04G29300.1 pep chromosome:OR_W1943:4:28301188:28309197:1 gene:ORUFI04G29300 transcript:ORUFI04G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTTIGAVVFQLLVTTTTLSAAAPTPIALPGCPESCGGIQVPYPFGIGDGCSYHGFNLTCDDEAHHHQTPPKLFMATDNGTVVQVLNISLPDGTVRVRSKLSQSSIAGSSSSSSNASSSRSDLPADGPFTVSSAYNWLVAFGCNIVADLTPYGNIAEGSSCAATCIDGWQNFAGPSCSGIARCRTSVGRGVHSYTIQVTSLIDRNSMGASTSTTWAAAFVAEQGWFSANENAMLYNFTNWLPFTVESVPVVLEWWLDLIRDGAILPLSVGPNTTDFRCLSLHSSSYYNDLNYDRRRCNCSQGYEGNPYIRDGCRDIDECQQPDVYPCHGTCINMPGTYRCLAKKSVKSLPGLITIIAVSAGFGLLFSLLGVAKITNKIKQRRAKKLRRKFFKKNHGLLLQQLISSNKDIAERMKIFSLEELDQATNKFDQNRILGGGGHGTVYKGILSDQRVVAIKKSKIVVQREIDDFINEVVILSQTNHRNVVKLYGCCLETEVPLLVYEFISNGTLSFHLHGQNENPLKWKDRLRIALETARAIAYLHSAASISVLHRDIKSTNILLTDTMTAKVSDFGASRSISIDETGILTIIQGTYGYLDPEYYYSSRLTEKSDIYSFGVILAELLTRVTPVFSSETSERTSLASYFVSFIRDNRLSDILDSQIVNEVILVQSLTVGAEDAKVVAKLAEACLRLKGEERPTMRQVETTLEDVQRSKVQLNHQIARVSNSNTLKNQTYEGSKCYEGTRQYSLEKEFIQSSEFPR >ORUFI04G29310.1 pep chromosome:OR_W1943:4:28309584:28313098:-1 gene:ORUFI04G29310 transcript:ORUFI04G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDSGASRKRKTAPGLHLHGSAVAKRKIPKLQLCNLPKDVLCSITSKLPLKEAVRTSVLSSHWKRIWTCRANLELSTRTVYSDYDWERCSSHRGFNLNKRKFIKIVDSVLQQHEGAGTEQFRIRFALDNKNSYHINRWVKNATALKTKGLVLELYSLLFGPRIVPADSRGSLNLTKLSLREVDITDEDLHQFLSECNHLREVDITDCRMLTNLRVPGHLNQLKSLLVAICPLLREIKLSCGVTALDYRGPFIPLQLAIPSQTTNVSISLLTFHSALGYIFSDLPSTLTNLETLTLKSKQVERIDMLSRLPRLISLRHLTLGLTISDLPQRKIDLLDFASLLKAAPFMEKLELHMKMVCVHQRYCQDDGELRSLPRCPHSHLSWVQITGFFGEKDQLELALHILRNATFLKAMVIETSLNTESESVNCYPERLSPDGYSVALEFLGKEDHNNAVHVLEADDE >ORUFI04G29320.1 pep chromosome:OR_W1943:4:28319009:28320250:1 gene:ORUFI04G29320 transcript:ORUFI04G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLEVEDLLFAPRVDGGGGDDEGMAAPDYAIPPLSPTASSVVHRCARIAGVEVEQLLRRFEPEKGDQPLVTITDLSPAPMHGRQLRVAYQGVPAPGCLQRGGGGQGLPILRRHPLRPVRGGLSGRGDLDRRPRRLPRRELPRRRARRASLGELTLNAMGLNVAREAFDDTAAAAEHVVAAGLRDTAAIASSRAADLYGLQVLADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDREGTS >ORUFI04G29330.1 pep chromosome:OR_W1943:4:28323629:28327428:-1 gene:ORUFI04G29330 transcript:ORUFI04G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSKKRKLAEEDPGIIGAGRPPSPPSGSRGSADRRLGVVVVADPDSDQGSCDSLLSDATTRLTQDDAAEAASRLLIAVVVRSPEALLAFVQRLTPEALKIIVI >ORUFI04G29340.1 pep chromosome:OR_W1943:4:28328136:28333310:-1 gene:ORUFI04G29340 transcript:ORUFI04G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMRGAYGYNDNGNEGGVVVGGGEAEAEIAAMAVLHKVAAPPAQSTASKLKARVKETFFPDDPFRGFKGKPLTTKWVMAVQYLFPILDWVPSYSFSLFKSDLGISYAKLASLPPIIGLYSSFVPPMVYAVLGSSRDLAVGPVSIASLIMGSMLRQAVSPAAEPLLFLQLAFTSTFFAGLVQASLGILRLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGIVHFTTEMGLVPVMASVIHHTKEWSWQTILMAVCFLVLLLTARHVSMKWPKLFWVSACAPLACVIVSTLLVFLFKAQKHGISIIGQLKCGLNRPSWDKLLFDPQYLGLTVKTGLVTGIISLTEGVAVGRTFASLKDYQVDGNKEMMAIGLMNIVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVIMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDLPAVYNIWKMDKMDFLVCLCAFAGVIFISVQQGLAIAVGISIFRVLLQITRPKMMIQGNIKGTDIYRNLHQYKDAQRVPGFLILTVEAPINFANTNYLNERIKRWIEEESSAGTKQSELHFVILDLSAVPAIDTSGISFLIDLKKSTEKHGLELILVNPTGEVMEKIQRANDAHGHFKSDSLYLTTGEAVASLSTFSKMTAP >ORUFI04G29350.1 pep chromosome:OR_W1943:4:28338577:28345017:-1 gene:ORUFI04G29350 transcript:ORUFI04G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELGAPHRETAQAPLCQFGRGLRPSIRRHQGTSSESQASLAVDSKKKKREKSIWLPLAGAGQVTRGGCAAGRRLNPTRDRDFPRAAAPWPAGSPPSSRPPRPSSTKQAPPNPTNPSSSSSLIDQQAAESLGKSPSASDLTALHASSSSSAADALLDAPTRRQPPVAPPPSLGLRLAARRPNLPPPPAPAPASPAPRRSASATAVLAAQDRAAGAAVGGVAEAKVGGDREEDKGGGSESGSGSDEDDSDGSGSDDSEDSEEERRREEERRRRRAERLAAMAARAIAEREEAVAKLEGEKASLEKLLAEREKEQAQEASELQNSMIETMEAVEMEKQRHHSTRMEALARLAKLEVTNAELAKSLAREQWNLDLQVDQVAQLREEVDMKTLTQDKYKRKIAKMQKTSPLLVNEIESLRRFKLEEEMIDAEYALTCDRIVNLKDKDLSFFVLLVIIVLCLSMARKIKENIELTKRRMVHPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKAALLLRIEAVSRSLDNNGSSSLAYSSSSSKIDIEAGTWQESHPPRLRDRIRNGQRQLGSAIRQLDSIFSAGHIFLRRNPKALVWAMVYLVCLHIWVLYILTSHPTISEARPGATFSLESLNKTGI >ORUFI04G29350.2 pep chromosome:OR_W1943:4:28338577:28345017:-1 gene:ORUFI04G29350 transcript:ORUFI04G29350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTELGAPHRETAQAPLCQFGRGLRPSIRRHQGTSSESQASLAVDSKKKKREKSIWLPLAGAGQVTRGGCAAGRRLNPTRDRDFPRAAAPWPAGSPPSSRPPRPSSTKQAPPNPTNPSSSSSLIDQQAAESLGKSPSASDLTALHASSSSSAADALLDAPTRRQPPVAPPPSLGLRLAARRPNLPPPPAPAPASPAPRRSASATAVLAAQDRAAGAAVGGVAEAKVGGDREEDKGGGSESGSGSDEDDSDGSGSDDSEDSEEERRREEERRRRRAERLAAMAARAIAEREEAVAKLEGEKASLEKLLAEREKEQAQEASELQNSMIETMEAVEMEKQRHHSTRMEALARLAKLEVTNAELAKSLAREQWNLDLQVDQVAQLREEVDMKTLTQDKYKRKIAKMQKTSPLLVNEIESLRRFKLEEEMIDAEYALTCDRIVNLKDKARKIKENIELTKRRMVHPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKAALLLRIEAVSRSLDNNGSSSLAYSSSSSKIDIEAGTWQESHPPRLRDRIRNGQRQLGSAIRQLDSIFSAGHIFLRRNPKALVWAMVYLVCLHIWVLYILTSHPTISEARPGATFSLESLNKTGI >ORUFI04G29360.1 pep chromosome:OR_W1943:4:28346064:28352443:1 gene:ORUFI04G29360 transcript:ORUFI04G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKPAASEGGWGSDADPDSGSSGNGGGGGSPPSSPSPPSPPSPQPLLPTLPSPQAFVDAYTGYEDQGAWDPDSLSLELGLATLRELECIRYQEAQEDWRKSDDNKSDSCKQKDKEQEAWDPDSLSLELGLAPLQELEWIRYQEAQEDWRRSDDNKSDSCKLRDKTTSDDRSKSGDNKYDSCKTRDKKPIVDLADEFENNTIKKKITLLSEKYDFFRPVDRDGSCFYRAFIFSYMERIVAMQDDLERIIEVSRIGERIGKYKQAYARFGSFGIPQEEFLKALSAFEQLINLIEKGVAVEQLYQIDETDITKNSLRFLRFLTEIEICTHEDHYKGFLLTADYSSVFEFCQVEVRPENAEASNEQMKALVEALGIPVLVENLDTTSETDTPILNQHFIYPRPESEEGTMLGPLNSHEIVSPESSGYHAARGELQNQPSTSGSSTNSSTEALGLQSIGTSSTPNERDGKGDRTINDLSPAERRRLAILLYRPGHYDILCPK >ORUFI04G29370.1 pep chromosome:OR_W1943:4:28354844:28357621:1 gene:ORUFI04G29370 transcript:ORUFI04G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVLPLLLVVVVAAAMARAPTAHAWGKEGHYMVCKIAEGFLTKEAATAVKELLPGWAGGELAETCSWADTERFRGNRLPELSVHAMIDKTLRTIMYGYGYGDCHNTNGEKDMCVVGAINNYTNALEDSSSPYDPTESLMFLAHFVGDVHQPLHCGHVKDLGGNTIIVHWYTRKSNLHHVWDVNVIETALKEFYNEDVSTMIKAIKMNITDEWSTEEKQWETCRSRTKTCADK >ORUFI04G29380.1 pep chromosome:OR_W1943:4:28360285:28362417:-1 gene:ORUFI04G29380 transcript:ORUFI04G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASVLSLPTAAAIPGAATAIAGAAGCFALGYFLALSRFPRQVVAASPASGETSDEDSEEDSEEDDDDENSGRSRAAKRAPKRAGLRLLFWARNVVTKSDSAKEAERAKARTAASPLEVENLAEIIEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLFKKLQQRAPKSLRRWERCGQVKVVVKIESEEDMLVLQGRAKSLNIPTHITIDAGRTQIAPNSRTVMAILGPADMVDDVTGGLKLL >ORUFI04G29390.1 pep chromosome:OR_W1943:4:28384684:28391351:1 gene:ORUFI04G29390 transcript:ORUFI04G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEKKNIKKGGEVEEEVARKGEERKEEEVVVEEKSHQQQQQQGEEELVGLSLAGGRPKVFPMSSPPPNPSQLTIFYGGSVCVYDSVPPEKAQAIMLIAAAAAAAASATKSNAAIAVKPPVMPAANATQAAVSPVLTRSLSLQSTSVATGQPQVAADPSSICKLQADLPIARRHSLQRFLEKRRDRLVSKAPYPTKSSEGMEASGMEVTAEGKAQ >ORUFI04G29400.1 pep chromosome:OR_W1943:4:28389477:28391150:-1 gene:ORUFI04G29400 transcript:ORUFI04G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATTTLPLAPLALAPPSSPISQCSLLLLRPRAPAALSLRPSARLLVAVAAREPELGGSGGGGAGDGSGSGGGGDSEKPRGGGGDEEGEGEEEKMGQGLSMSQKITLAYAALVGAGGAMGYMKSGSQKSLAAGGISALVLYFVHTQLPVRPVFASSIGLGISAALLSVMGSRFKKSGKIFPAGVVSLVSLVMVGGYIHGILRSTHA >ORUFI04G29410.1 pep chromosome:OR_W1943:4:28392575:28397461:1 gene:ORUFI04G29410 transcript:ORUFI04G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPQIEMGAFKANGPQLQNGGLRSSMVQSWNLQRFVESALRSIRIVIFTSKLNLLLPFGPASIILHYTTSRHGLVFLFSMLGITPLAERLGYATEQLAIYTGPTVGGLLNATFGNATEMIIAIYALKNGMIRVVQQSLLGSILSNMLLVMGCAFFAGGIVHRNKDQVFSKATAVVNSGLLLMAVMGLMFPAVLHFTHSEVRQGASEVSLSRFSSCIMLVAYASYLYFQLSGRNNAYSPIGSEEMPNEDAAEEDEESEIGMWESIAWLAMLTLWVSILSEYLVNAIEGASDSLNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWMMGQKMDLNFQLFETATLFITVLVVAFMLQDGVANYLKGLMLILCYLIVAASFFVHVDPQSSDD >ORUFI04G29410.2 pep chromosome:OR_W1943:4:28393344:28397461:1 gene:ORUFI04G29410 transcript:ORUFI04G29410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENPQIEMGAFKANGPQLQNGGLRSSMVQSWNLQRFVESALRSIRIVIFTSKLNLLLPFGPASIILHYTTSRHGLVFLFSMLGITPLAERLGYATEQLAIYTGPTVGGLLNATFGNATEMIIAIYALKNGMIRVVQQSLLGSILSNMLLVMGCAFFAGGIVHRNKDQVFSKATAVVNSGLLLMAVMGLMFPAVLHFTHSEVRQGASEVSLSRFSSCIMLVAYASYLYFQLSGRNNAYSPIGSEEMPNEDAAEEDEESEIGMWESIAWLAMLTLWVSILSEYLVNAIEGASDSLNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWMMGQKMDLNFQLFETATLFITVLVVAFMLQDGVANYLKGLMLILCYLIVAASFFVHVDPQSSDD >ORUFI04G29420.1 pep chromosome:OR_W1943:4:28403281:28404485:1 gene:ORUFI04G29420 transcript:ORUFI04G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGAGGEQQQQQDTKRRLPAPTTSEQERRQKQHRGKMLRLSVQQGDDVTAGVVPPVTVVLDGRSICHRVHLSKHTGYRSLAAALRRMFVDADDDVGAADEAAGRSSCSDADRGGLDLSNAVPGHVVAYEDIENDLLLAGDLNWKDFVRVARRIRIIPAKPSSRMRPQS >ORUFI04G29430.1 pep chromosome:OR_W1943:4:28407959:28414086:1 gene:ORUFI04G29430 transcript:ORUFI04G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALEAARSWAASVLPPELAAAAGGDPLAALAATAAALVAGVVILAVWFRSGGGAPPKAAAPPPRPPPVKIEADADADDGRKRVTVFFGTQTGTAEGFAKAMAEEARARYEKAVFKVVDLDDYAAEDEEYEEKLRKETIVLLFLATYGDGEPTDNAARFYKWFTEGKEKEVWLKDLKYAVFGLGNRQYEHFNKVAKVVDELLEEQGGKRLVPVGLGDDDQCIEDDFTAWKEQVWPELDQLLRDEDDTTGASTPYTAAIPEYRIVFIDKSDVSFQDKSWSLANGSGVIDIHHPVRSNVAVRKELHKPASDRSCIHLEFDISGTGLVYETGDHVGVYSENAIETVEQAEKLLDLSPDTFFSVHADAEDGSPRKGGGSLAPPFPSPCTLRTALLRYADLLNSPKKAALVALAAHASDLAEAERLRFLASPAGKDEYSQWVVASQRSLLEVMAAFPSAKPPLGVFFAAVAPRLQPRYYSISSSPKMAPSRIHVTCALVYGPTPTGRIHQGVCSTWMKNAIPSEYSEECSWAPIYVRQSNFKLPADPTTPIIMIGPGTGLAPFRGFLQERLALKQSGVELGNSVLFFGCRNRNMDYIYEDELQNFIQEGALSELIVAFSREGPAKEYVQHKMTEKATEIWNIVSQGGYIYVCGDAKGMARDVHRALHTIVQEQGSLDSSKTESYVKSLQMDGRYLRDVW >ORUFI04G29440.1 pep chromosome:OR_W1943:4:28419985:28424715:1 gene:ORUFI04G29440 transcript:ORUFI04G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDTSHHYPWLNFSLAHHCEMEEEERGAAAELAAIAGAAPPPKLEDFLGGGCNGGSSGGACPPVQTTAPTAAELYESELKFLAAGFQLSGAAGAAPPVPALLPAAALEQTDETKQLALPPQAAVAPPPEQKKAVDSFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGPSTTTNFPVAEYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTEEEAAEAYDIAAIKFRGLNAVTNFEIGRYNVESIISSNLPIGSMAGNRSTKAGLELAPSSSADAIAATEANHTGVAPPSTLAFTALPMKYDQADYLSYLALQHHQQGNLQGLGFGLYSSGVNLDFANANGNGAMSNCYTNVSLHEQQQQHQHQHQQEQQQDQQDDQSQSSNNSCGSIPFATPIAFSGSYESSMTAAGTFGYYPNVAAFQTPIFGME >ORUFI04G29450.1 pep chromosome:OR_W1943:4:28426337:28426618:-1 gene:ORUFI04G29450 transcript:ORUFI04G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLAVDAGFAAAGGSMPDVSRSVVGIALVFVAAVAIVAFVVFNCADGKGSSGGRKRPSYGGGGGCGGGGGGCGGGGGCGGGGGGCGGGGGGC >ORUFI04G29460.1 pep chromosome:OR_W1943:4:28430321:28430575:-1 gene:ORUFI04G29460 transcript:ORUFI04G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAVDAGLGRGFTAAAGGSVPDVSRSVVGIALVFVAAVAIVAFVVFNCADGSGGRRRRTGGGGGGGGGGGGCGGGGGSGGGC >ORUFI04G29470.1 pep chromosome:OR_W1943:4:28438369:28438698:-1 gene:ORUFI04G29470 transcript:ORUFI04G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLAVDAGLGRGFAGGGGGGSVPDMSRSVVGIALVFVATVAIVAFVVFNCADGMDSSGGRKRRTNPGGGTVGVGAVCGGSACGGPACGGGGGGGGGGGGCGGGGGGGC >ORUFI04G29480.1 pep chromosome:OR_W1943:4:28442208:28442918:-1 gene:ORUFI04G29480 transcript:ORUFI04G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALAVTAGNGFGAVPTAPAAGWILIGFGFAAALAVVAIAVFGCADGPKDSPRRKKDKRRRRREDGDGGGGDGGDGGGDGPDGGGDGARMLTAGITTMATDMAETMAADTTTMATGMAGTTAAAGTTITTGMAETMVEEAGTTMAETMAAAAGTTTPVTMGQAADSAVAAAAAAAAAGVVVVGSDVTGVNSATVRVACY >ORUFI04G29490.1 pep chromosome:OR_W1943:4:28445228:28445632:-1 gene:ORUFI04G29490 transcript:ORUFI04G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALAVTAGNGFAAVPTTAPAAGWVLVAFGFAAAVAVVAIAVFGCADRPKQKPKRKKDKRRRRRDDDGGGDDGPDGGDGGGDGGGHHEHGGDHGGGWHHHGGDHGGGGHHFSGDHGGGGGCGGGGGCGGGGGC >ORUFI04G29500.1 pep chromosome:OR_W1943:4:28445945:28446555:-1 gene:ORUFI04G29500 transcript:ORUFI04G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGHMELDEAGEGRRRSQDLGRATMRRRRAVVDFRLRMGVARGSKLRTPMGLYQPLDRTVRLRLIDQLLLANCQRLSGDFGCSRGSESKTPNPQDLYQPFDQAVWLRFNGSPAITDSFSCGRDCHGLFGLGRMARPRRSGTSLLGESSVGVVGGAGAFRRNGTESSPPVKMSSTQFLCNFCEQTFDDLIWGVE >ORUFI04G29510.1 pep chromosome:OR_W1943:4:28453886:28458959:1 gene:ORUFI04G29510 transcript:ORUFI04G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRSRSLKRLLSLGRRSNNSDESNDECVVVVDVVDQPPPSNKPTWRCFSYEEVNKATNGFHRDNMVGRGGYGEVYRGVLEDGSAVAVKRLSPAAAADEKKEKDFLTELGTVGHVRHPNVTALLGCCVDRGLHLIFEFSARGSVSANLHDERLPVMPWRRRHGIAVGTARGLRYLHKGCARRIIHRDIKASNVLLTADYEPQISDFGLARWLPSEWTHHAIAPIEGTFGCLAPEYFTHGIVDEKTDVFAFGVFLLELISGRKPVDGSHKSLLAWAKPYLNDGVAQGLVDPRLGDGGYDGAQLRRLMFVASLCVRPAAAWRPTMTQVLELLESGEISQDQWLMPEEEEEDEFWDFDDLDDFEDDDDDDDDNYDNDDESDSPSISSSACSIHAND >ORUFI04G29520.1 pep chromosome:OR_W1943:4:28461740:28465588:1 gene:ORUFI04G29520 transcript:ORUFI04G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSSAAMARQTWELENNIPAAASDPDALDAIYRYDEAAQARVQQEKPWANDPHPFRRAKISALALLKMVVHARAGGTIEVMGLMQGKCEGDAIVVMDAFALPVEGTETRVNAQADAYEYMVEYSTINKQIGSHHAIDHNVSEVSVFANTLMTDLAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPKDYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNRDYVAGQIFDLADKLEQAEGQLAHSRYGMLMPSQRKKEQEESPLAKVTRDSSKITAEQVHGLMSQVIKDILFNSVHPSNKASTSAPDSSGPEPMVEA >ORUFI04G29530.1 pep chromosome:OR_W1943:4:28466863:28469388:-1 gene:ORUFI04G29530 transcript:ORUFI04G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVHGLHLIVLTSLCCVALSAGEGDRRSVLWRGGSIAVEDAADSVLVSPSGNFSCGFYKVATNAYTLAVWFTASADATVAWTANRDTPVNGVGSRAELRKDGSLVLQDYDGRVVWSTNTSGTPADRAQLLDTGNLVVSDAAGNRLWQSFDWPTDTLLPEQPVTRYRQLVSAEARGSPYSGYYKFYFDSSNILNLMYDGPEISSNYWPDPFKKWWDNNRTAFNSSRHGSFDRRGVFTASDQLQFNASDMGDGGVMRRLTLDYDGNLRLYSLDAAAGRWHVTWVAVQRQCDVHGLCGRYGICTYSQGPTCSCPDGYVPHDASDWSKGCRRTFDVRCGEDVAFAEMRHTDYWGFDLNYTAGISFDTCRRLCLVDCRCEAFGYRQGTGECYPKISLWNGRVMSIPYQTIYLKFPTGAKNLNPSLLHFDGHTCTMDERDATVSSSYLHGRRNTINFIYFYSFLAVVFVVEAIFVVVGYLFVFRADSVAAGRVGDEGYSLVFSHFRRFTYDELSDATCGFRDEIAKGGTGSVYKGVLEDGRSIAVKRLDEMTQADEVFRSELSVIGRINHMNLVRIWGFCSEHPHRLLVSEFVENGSLDRALFDGDDGEDNTGVVLPWRSRYKIAVGVAKALAYLHHECLEWIVHCDVKPENILLDGDFEPKVTDFGLVKLLSRDAGSHMALSRVQGTRGYIAPECWTVGRSINGKADVYSFGVVLLELVRGQRVCDWVAAAATADGAWNVQRLAAWLKEKLKCDDGELPAWLEELVDARLRGDFNHVQAAGLLELAVSCVDGEPSRRPSMSTVVHKLISLDTIEHHLYATHELAANAAVVSLVGDVGGHQLRLPC >ORUFI04G29540.1 pep chromosome:OR_W1943:4:28474639:28477083:1 gene:ORUFI04G29540 transcript:ORUFI04G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRIHGMCLLVLATFLSCIALSAGDHRSVLWRGGSIAVEDAAENVLVSPSGNFSCGFYKVATNAYTFAVWFTASADATVAWTANRDSPVNGVGSRAELRRDGSLVLQDYDGRVVWSTNTSGTPADRAQLLDTGNLVVADAAGNRLWQSFDWPTDTLLAGQPVTRYKQLVSASARGLPYSGYYKFYFDSSNILNLMYDGPEISSNYWPSPFNKWWDNNRTAYNSSRYGSFDRRGVFTASDQLQFNASDMGDEGVMRRLTLDYDGNLRLYSLDAAAGRWHVTWVAVGRQCYVHGLCGSNGICSFRPGPTCSCPVGYVPNDASDWSKGCRRSPDVRCGGDDVVDFVEMPHTDFWGFDVNYTAGVTFDACRRLCLDDCNCKAFGYRPGTGRCYPKIALWNGRIPIKPDQTIYLKVARSVKNQMINQSSSFLHFDGHACTVDERDASVGSSYLHGRSNEINFIYFYSFLAVVFVVEAIFVVVGYLFVFRADPVAAGRVRDDGYSLVFSHFRRFTYDELSDATCGFRDEIAKGGTGSVYKGVLEDGRSIAVKRLGELTQADEVFRSELSVIGRINHMNLVRIWGFCSEHPHRLLVSEFVENGSLDKALFCDDGESSGVVVLPWRSRYKIAVGVAKALAYLHHECLEWIVHCDVKPENILLDGDFEPKVTDFGLVKLLSRDAGSHMALSRVQGTRGYIAPECWTVGRPITGKADVYSFGVVLLELLRGQRVCDWVAAADGAWDLQRLAAWLKEKLKRDDDEEEVSTWLEELVDARLRGDFNHVQAAAMLELAVCCVDGEPNRRPSMNAVAQKLLSLHDTR >ORUFI04G29550.1 pep chromosome:OR_W1943:4:28483037:28483492:-1 gene:ORUFI04G29550 transcript:ORUFI04G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAGVALHALHAGVGRGAAASLSPAAVVILAVAATVAVAAVAAFGCADGAKRQRRKNRNDVYYYGQGYPPPPPAGAYGYPAQPPPAGYAYPAANAGRPGRSGFGPGAGLAVGAAAGLATGAIIASAVDSGGGGCGGGGCGGGGCGGGCGG >ORUFI04G29560.1 pep chromosome:OR_W1943:4:28485998:28488741:1 gene:ORUFI04G29560 transcript:ORUFI04G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAIISSVSATAAAVVMLALIKRCRRFRRKMMKKMLARIAHEATEQHREMEARDAADSVMIEIGPVEKFLNEILSEKPMRFTSEQLAACTGNYSSELGSGGFGVVYRGELPNGLQVAVKVLKVSMNKKVQEAFMAEIGTIGRTYHVHLVRLYGFCFDADTKALVYEFLENGSLEKYLYGGEEYRGEKLEWRTLHDIAVGTAKGIRYLHEECQQRIVHYDIKPANILLTADFTPKVADFGLARLGERENTHMSLTGGRGTPGYAAPELWMALPATEKCDVYSFGMVLFEVLGRRRNYDLAAQAESQEWFPKWVWDRYEQGDMGCVVSAAGIGEEDRAKAEMMCKVALWCVQFQPSARPTMSSVVRMLEGEMAIVPPVNPFHYVMSGGSGSSTLTSSGTSTNLSSRDTTTGSSEVAVSPPAKKSTDVMVE >ORUFI04G29560.2 pep chromosome:OR_W1943:4:28485998:28488741:1 gene:ORUFI04G29560 transcript:ORUFI04G29560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAIISSVSATAAAVVMLALIKRCRRFRRKMMKKMLARIAHEATEQHREMEARDAADSVMIEIGPVEKFLNEILSEKPMRFTSEQLAACTGNYSSELGSGGFGVVYRGELPNGLQVAVKVLKVSMNKKVQEAFMAEIGTIGRTYHVHLVRLYGFCFDADTKALVYEFLENGSLEKYLYGGEEYRGEKLEWRTLHDIAVGTAKGIRYLHEECQQRIVHYDIKPANILLTADFTPKVADFGLARLGERENTHMSLTGGRGTPGYAAPELWMALPATEKCDVYSFGMVLFEVLGRRRNYDLAAQAESQEWFPKWVWDRYEQGDMGCVVSAAGIGEEDRAKAEMMCKVALWCVQFQPSARPTMSSVVRMLEGEMAIVPPVNPFHYVMSGGSGSSTLTSSGTSTNLSSRDTTTGSSEVAVSPPAKKSTDVMVE >ORUFI04G29560.3 pep chromosome:OR_W1943:4:28486006:28488741:1 gene:ORUFI04G29560 transcript:ORUFI04G29560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAIISSVSATAAAVVMLALIKRCRRFRRKMMKKMLARIAHEATEQHREMEARDAADSVMIEIGPVEKFLNEILSEKPMRFTSEQLAACTGNYSSELGSGGFGVVYRGELPNGLQVAVKVLKVSMNKKVQEAFMAEIGTIGRTYHVHLVRLYGFCFDADTKALVYEFLENGSLEKYLYGGEEYRGEKLEWRTLHDIAVGTAKGIRYLHEECQQRIVHYDIKPANILLTADFTPKVADFGLARLGERENTHMSLTGGRGTPGYAAPELWMALPATEKCDVYSFGMVLFEVLGRRRNYDLAAQAESQEWFPKWVWDRYEQGDMGCVVSAAGIGEEDRAKAEMMCKVALWCVQFQPSARPTMSSVVRMLEGEMAIVPPVNPFHYVMSGGSGSSTLTSSGTSTNLSSRDTTTGSSEVAVSPPAKKSTDVMVE >ORUFI04G29570.1 pep chromosome:OR_W1943:4:28491899:28501472:-1 gene:ORUFI04G29570 transcript:ORUFI04G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNGSLALAIIGVITTGIVAVVTIVAIYKCAKIAIKMWYSSSRDHHTPIANGGGSSSSRGGIGGADADVVEMGNMSHFIEGLQNERPVRFSARQLRAFTKSYAHKVGSGGFGVVYRGVFPSGAPVAVKVLNSTLGKRAEEQFMAEVLNSTLGKRAEEQFMAEVGTIGRTYHINLVRLYGFCFDADVKALVYEYMEKGSLDRYLFDSSPSPAAERIGFEKLHEIAVGTAKAVRYLHEECAQRIIHYDIKPENVLLGAGMAPKPENVLLGAGMAPKVSDFGLAKLCDREDTHLTITGARGTPGYAAPELWMPLPVTHKCDVYSYGMLLFEMLGRRRNLELGGGGSQEWYPRWVWHRFEAGETEAVLARATAAAAGGGREREKAERVCMVALCTGRRTGRPWATSCGCWKGRTTSPRRATLSIVAIVFICHCAEIAMKMCIANGGGSSSSRGGAAAADADVVEMGSMSRFIEGLQNELPVRFSAQQLRAFTNNYAHKVGSGGFGVVYRGRFPSGVPVAVKVLNSTLGKRAEEQFMAEDRPSMGNVVRMLEGEDHIAAPRNPFAHLAPYSAAASTPTTTTATTDSDGSSARAGR >ORUFI04G29580.1 pep chromosome:OR_W1943:4:28505235:28506602:1 gene:ORUFI04G29580 transcript:ORUFI04G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTSLALAGIIIGGIAAILVLKFIMRCVEAKHAERARRREEEAVPVSPPASGTYSSVDVRVEMGSVDRFLDDILREKPARFTPENLREFTGDYAERLGAGGFGVVYRGRFPGGVQVAVKILHRTLDRRAEEQFMAEVATAGRTYHINLVRLYGFCFDATTKALVYEYLENGSLDRVLFDAAAAAALEFDTLHGIVVGTARGVRYLHEECQHRIIHYDIKPGNVLLAGDYAPKVADFGLAKLCSRDNTHLTMTGARGTPGYAAPELWLPLPVTHKCDVYSFGMLVFEILGRRRNLDTQRPAESQEWYPRWAWQRFDQGRFGEVMAASGIRSKDGEKAERMCKVALWCIQYQPEARPSMSSVVRMLEGEEQIARPVNPFAYMATMDAISSSSSGGGGVSTATSASASASGDSAQSTRHDICH >ORUFI04G29590.1 pep chromosome:OR_W1943:4:28507438:28514410:1 gene:ORUFI04G29590 transcript:ORUFI04G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRARRRRSPEGDGEGEDGSASGRVTRRRLSPEEGALSLAEASASVAAAGAGAESSPGWLSSIVSGARRVISSVLFSSPEEAASGEEEEDDDEEDETEDNHGAIVSYNESKLAIEEMSRVTDAALPEAPEYGTPKEIPTRNTVVGNDFTGAWRSLSRHRNGTETVPFSSIGSGSFSPGSPLHASPELLSAAVMEAKKWLEEKRQGLGSKPEDHGPCTLNTDMLNSGFESDMGSPVDLAKSYMQSLPPWQSPFLGSQKFKTPPSAGLHMYDYEGKSKYSLPSSKVTTKEEYLSNFWENLEESRRSRLGSSGSSPDASKVRQYGSTSRLFENDTSIFSSGTDKKVDKPEQNNKGSDKVAGAEPANGHSSPITDKNHVFVDPVDPANDIGNVVKECNAASKVHIEEISQGNQMSSTSVTKDADRDGDVKAPVAEPEIHMESDINSASELRTKDTGPHIHASLNGSTKKTSANGLRDQSNANSGVESSGNDNPSCTNSSTGVPPTSNEVDPKPAYVRRGRKRVVRGTRGRAK >ORUFI04G29590.2 pep chromosome:OR_W1943:4:28507438:28514590:1 gene:ORUFI04G29590 transcript:ORUFI04G29590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRARRRRSPEGDGEGEDGSASGRVTRRRLSPEEGALSLAEASASVAAAGAGAESSPGWLSSIVSGARRVISSVLFSSPEEAASGEEEEDDDEEDETEDNHGAIVSYNESKLAIEEMSRVTDAALPEAPEYGTPKEIPTRNTVVGNDFTGAWRSLSRHRNGTETVPFSSIGSGSFSPGSPLHASPELLSAAVMEAKKWLEEKRQGLGSKPEDHGPCTLNTDMLNSGFESDMGSPVDLAKSYMQSLPPWQSPFLGSQKFKTPPSAGLHMYDYEGKSKYSLPSSKVTTKEEYLSNFWENLEESRRSRLGSSGSSPDASKVRQYGSTSRLFENDTSIFSSGTDKKVDKPEQNNKGSDKVAGAEPANGHSSPITDKNHVFVDPVDPANDIGNVVKECNAASKVHIEEISQGNQMSSTSVTKDADRDGDVKAPVAEPEIHMESDINSASELRTKDTGPHIHASLNGSTKKTSANGLRDQSNANSGVESSGNDNPSCTNSSTGVPPTSNEVNDPKADAADGDSVENGTRLNSEEPAQVDPKPAYVRRGRKRVVRGTRGRAK >ORUFI04G29600.1 pep chromosome:OR_W1943:4:28515577:28516146:-1 gene:ORUFI04G29600 transcript:ORUFI04G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKYKGVRQRHWGSWVAEIRHPLLKTRIWLGTYGTAEDAARAYDEAARLMSGPAARTNFPLSSSGGNARSCLSPTLRARLEKCCGAGSSAQAQQGAVAGQDNDDAAAAAAAMGVDDGDEYVEEMIQELTFYGSIEIVQP >ORUFI04G29610.1 pep chromosome:OR_W1943:4:28538164:28545343:1 gene:ORUFI04G29610 transcript:ORUFI04G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLEEIKNEAVDLENIPIEEVFEQLKCTREGLSSEEGNRRIEMFGPNKLEEKKESKILKFLGFMWNPLSWVMEMAAIMAIALANGGGKPPDWEDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWGEQEAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQTVLTAIGNFCICSIAVGIVIEIIVMFPIQHRAYRSGIENLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLVEVFTKGVDKDHVLLLAARASRTENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDADGNWHRASKGAPEQILTLCNCKEDVKRKVHAVIDKYAERGLRSLAVARQEVPEKSKESAGGPWQFVGLLPLFDPPRHDSAETIRKALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDASLEALPVDELIEKADGFAGVFPEHKYEIVKRLQEKKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRCIFQRMKNYTIYAVSITIRIVLGFLLIALIWKYDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGSYLALMTVIFFWAMHKTDFFTDKFGVRSIRNSEHEMMSALYLQVSIVSQALIFVTRSRSWSFIERPGLLLVTAFMLAQLVATFLAVYANWGFARIKGIGWGWAGVIWLYSIVFYFPLDIFKFFIRFVLSGRAWDNLLENKIAFTTKKDYGRGEREAQWATAQRTLHGLQPPEVASNTLFNDKSSYRELSEIAEQAKRRAEIARLRELNTLKGHVESVVKLKGLDIDTIQQNYTV >ORUFI04G29620.1 pep chromosome:OR_W1943:4:28568969:28573044:1 gene:ORUFI04G29620 transcript:ORUFI04G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVPSAAAASSCDDFGYNATPPPPPSLLPIMDQDGGGGSIQRDHHHHHNHQQLGYNLEPSSLALLPPSNAAAAAAHHATIAHASPHDLLQFYPTSHYLAAAGGAGGGGNPYSHFTAAAAAGSTFQSYYQQPPQAAPEYYFPTLVSSAEENMASFAATQLGLNLGYRTYFPPRGGYTYGHHPPRCQAEGCKADLSSAKRYHRRHKVCEHHSKAPVVVTAGGLHQRFCQQCSRFHLLDEFDDAKKSCRKRLADHNRRRRKSKPSDGEHSGEKRRAQANKSAATKDKAGSSSKNAGIGDGFETQLLGGAHMSKDQDQAMDLGEVVKEAVDPKGKASMQQQQQQAHHGIHQQSHQQHGFPFPSSSGSCLFPQSQGAVSSTDTSNIAQVQEPSLAFHQQHHQHSNILQLGQAMFDLDFDH >ORUFI04G29640.1 pep chromosome:OR_W1943:4:28592503:28593387:-1 gene:ORUFI04G29640 transcript:ORUFI04G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARGLSQENYEYRSWTLAGSTGEQLGLYGQLHHERRDSSPQAKAREGKRIGDLLRSSDGGIWVFAQSFRQVLQRQIDLNYIALRDNTKQCLKKNLLSNA >ORUFI04G29650.1 pep chromosome:OR_W1943:4:28593310:28597952:1 gene:ORUFI04G29650 transcript:ORUFI04G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPHRRRRAVGRRGLGCATVLYFRVLGDARAERRMCSKRESMALLGFGCGWSSPIPVNEKAGKASTFELGEDILGRTELTLIKRIRVSCEDGLKNLRSPIRDVQTCNALEDASSPPVYLSKPAPGFVGELARVVADEIVPEAAVRLHEPLAGVDDAMIRPPPLLLPGAFRRASLRPSSSPMRSISCMDMNVAGEDRTRSSIGVIIAKRTASYVADPFCHHEHVMHDASIIKVCVTHVAGLPNQRIFEHKETAAPNLMAKIKEEARTWIMAGARRLGEFLPT >ORUFI04G29660.1 pep chromosome:OR_W1943:4:28594410:28597047:-1 gene:ORUFI04G29660 transcript:ORUFI04G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQEMLRIGDDDGRSDALERELKEKLRLRGDASPAKCTRQEQRWRSDHGIVDARERFVQAYGRLRDDLVGDDSCELTDEARRWLAQMIDYNVPGGKLNRGLSVIDSYLLLKQGSEVTEDDFFLACVLGWCVEWFQACALLLDDIMDDSHTRRDQICWYRRPEVGLRGINDGIILKCHILIMIKKYFREKPYFLDLLEIWSEIALQTSLGQMLDLIGTHTGADDLAKYSIEGYRRIVKYKTAYYSFYLPVANALLLSGAKLEDFSGLKDILIEMGIYFQIQDDYLDCFADPNTIGKALGHADNNQIEVLHRNYGKKDSSSVSEVKRTYAALDLKDIFSEFERRCYNHLVTSIEAQKDHAAREILKSFLKKIHQRKK >ORUFI04G29660.2 pep chromosome:OR_W1943:4:28594410:28597047:-1 gene:ORUFI04G29660 transcript:ORUFI04G29660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQEMLRIGDDDGRSDALERELKEKLRLRGDASPAKCTRQEQRWRSDHGIVDARERFVQAYGRLRDDLVGDDSCELTDEARRWLAQMIDYNVPGGKLNRGLSVIDSYLLLKQGSEVTEDDFFLACVLGCFKHVHYYLMTSWTIHIPGEIRFAGTGDLRFFNPSSHDTLILLISCNKTGHLVGLRGINDGIILKCHILIMIKKYFREKPYFLDLLEIWSEIALQTSLGQMLDLIGTHTGADDLAKYSIEGYRRIVKYKTAYYSFYLPVANALLLSGAKLEDFSGLKDILIEMGIYFQIQDDYLDCFADPNTIGKALGHADNNQIEVLHRNYGKKDSSSVSEVKRTYAALDLKDIFSEFERRCYNHLVTSIEAQKDHAAREILKSFLKKIHQRKK >ORUFI04G29670.1 pep chromosome:OR_W1943:4:28599942:28600487:-1 gene:ORUFI04G29670 transcript:ORUFI04G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQDARKPGAVRRPPAAPPDTRVTHVEIPIGPREDDQMGDGALLEHAFAKCLGGLVSVVMQEVQLVLAFPTGEITAVYLCGHGQQAAALGGGGDGSAVSVSLGEKYAEDIRFVINNH >ORUFI04G29680.1 pep chromosome:OR_W1943:4:28602446:28605672:-1 gene:ORUFI04G29680 transcript:ORUFI04G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAASIASHLPSGVSAAVAALAASPGEVVRRAAGLEDELRELLRLNGGGRGRGGAAAEQGQGEQTRDRFVRAYERLKSELLNDRAFNFDFTEETRQWVAKMMDYNVPGGKLNRGLSVVDSYMLLRQGTEVDDEDFYLACVLGWCVEWLQASALVLDDITDNAYTRRDNLCWYKLPTVGMSAINDGVLLKCHVQAIIKRYFKEKFYFLDLMELWNEIGLQTAMGQMLDLITTHTGAKDLARYRIQGYRRIVKYKTSYYSFYLPVACALLLNGARLSDYVELKNVLIEMGVYFQIQDDYLDCFGDPEVIGKVGTDIEDYKCSWLIVQAMELANENEMKILYENYGKSDPKCVAEVKSVYRELDLQDIFLEYESRVYKHLVSTIDAETDRAIRDILKSFLKKIYRRKK >ORUFI04G29690.1 pep chromosome:OR_W1943:4:28607497:28611168:1 gene:ORUFI04G29690 transcript:ORUFI04G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDYCFSNDYMVLRPDRAGAYELLHLLFSPKVGRNKAVDCFTSTELHSFRRRLSIFLNLLLQLFLLSLVGPLVAVLGGALEFALNLASNVLHGRMEYPDRKSASYRSITGLIDPRVDLERSITPADSRYHAALCVMASKVAYENEAFIRDVVTRRWQMEFVQFFNCWNEFENAYTAQAFVFCDKAADAELVVVAFRGTPALDVARWCADVDPSWYKIPRLGRAHAAYTHALGAQRNIGWPKWVEHVKGKPQRVHAYYAIRDAVKRLLEANGRARVLVAGHGSGGALAVLFATVLAYHKEKAALDRLAGVYTFGQPRVGDAMLAMFAERNLDRPRKRHFRITYGDDPLPRLPHESSAAHFLHFGIRLHFDSLYNLKVVKELPGDGSSSSSAAEFATSRINAAWELARSAYLGYWRSAYCREGWLLMAARAAAVALPGLPFHRVQDYVNAVTLAGSNIPKDM >ORUFI04G29700.1 pep chromosome:OR_W1943:4:28611799:28612956:-1 gene:ORUFI04G29700 transcript:ORUFI04G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADATTAAQGRRRDDRWSSLPFDMLVLVVDRLGWSNHPSFALTCRHWRSAVSPFYPAWITPLLLSSADVGVANASYYSPYFHRSFEVDGCTLNVPPEANLCCSNGRRLTLCLPKLVLQTDLVTGAVDELPEMPFYWFNFIVYDDADRRMYCVNTIFVVRLARAIQDEDGEWGPWDLTEFNVEEGAQLQASPISNPVLHGGLLYVLGEDGKLAVYDPCNHDDNFKVVDKLKGFGIEHDRVDSYLFESDQGELMAVLVGYTGTPVHVLKLNEETMEWEKMESLDGRALFTGTYTTMMRKTKLKSMQNKVFLPRLYEWPKTIHVDLVIRDGEPAFIPKSHSQYSIEKITSNTSIWSYKVGQQEEARKFWGSEKVDYSIWVDFSTNLQ >ORUFI04G29710.1 pep chromosome:OR_W1943:4:28621613:28623066:1 gene:ORUFI04G29710 transcript:ORUFI04G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGHKPSDALDRRLAPLLLLGVGHAAAADDGDGMSVYSLPREDTVLWHCRPAPAGRGNGTDEPPPPPPEEEDDQQWARHEYLQPGTPHHEHRDDVLRAIGRLTAVDGSKLLVDLVDHRLAVLELSSQEPVVTVVAAEGVSVACTSNSTNLVESDGELYCVWFRYPIRCLRIVARVSVYKLDYMAAKGSATWMKVKSLGGRRSFFIGQDRIGASFDAEEAGLKPNCIYYYWLLNNRAALYAHDMERGTTAVHNLYPDDLSYHLSPAITMMPTARSMSIYSLIGLTHILFTVLYTRINENTVYIMVRIISPQMHAIQIL >ORUFI04G29720.1 pep chromosome:OR_W1943:4:28624808:28625674:1 gene:ORUFI04G29720 transcript:ORUFI04G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVAAQFAGPILTIVAAAAVTFYAVSFMELRDKSFEELDEKYSELDDAGGRQRRARRRAERKSRKK >ORUFI04G29730.1 pep chromosome:OR_W1943:4:28627500:28634134:1 gene:ORUFI04G29730 transcript:ORUFI04G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAASASAAGGAGEEGAAAAAQPQQEGPVVTCKGVNGLDKVVLREVRGSSAEVYLYGGHVTSWKDEHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLDPHGFARNRTWSVENDPPPFPVPTSNKAYVDLILTHTEEDLKIWPHSYEFRLRVALGPGGDLMLTSRIRNTNADGKPFSFTFAYHTYFSISDISEVRVEGLETLDYLDNLQERNRYTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKAMSDFGDDEYKRMVCVEAAAIEKPITLKPGEEWTGKLELSAVPSSYYSGQLDPDRVLQDSSVPEDSIS >ORUFI04G29730.2 pep chromosome:OR_W1943:4:28627500:28634967:1 gene:ORUFI04G29730 transcript:ORUFI04G29730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAASASAAGGAGEEGAAAAAQPQQEGPVVTCKGVNGLDKVVLREVRGSSAEVYLYGGHVTSWKDEHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLDPHGFARNRTWSVENDPPPFPVPTSNKAYVDLILTHTEEDLKIWPHSYEFRLRVALGPGGDLMLTSRIRNTNADGKPFSFTFAYHTYFSISDISEVRVEGLETLDYLDNLQERNRYTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKAMSDFGDDEYKRMVCVEAAAIEKPITLKPGEEWTGKLELSAVPSSYYSGQLDPDRVLQDSSVPEDSISYDKYSLRS >ORUFI04G29730.3 pep chromosome:OR_W1943:4:28627354:28634967:1 gene:ORUFI04G29730 transcript:ORUFI04G29730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAASASAAGGAGEEGAAAAAQPQQEGPVVTCKGVNGLDKVVLREVRGSSAEVYLYGGHVTSWKDEHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLDPHGFARNRTWSVENDPPPFPVPTSNKAYVDLILTHTEEDLKIWPHSYEFRLRVALGPGGDLMLTSRIRNTNADGKPFSFTFAYHTYFSISDISEVRVEGLETLDYLDNLQERNRYTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKAMSDFGDDEYKRMVCVEAAAIEKPITLKPGEEWTGKLELSAVPSSYYSGQLDPDRVLQDSSVPEDSISYDKYSLRS >ORUFI04G29730.4 pep chromosome:OR_W1943:4:28627354:28634103:1 gene:ORUFI04G29730 transcript:ORUFI04G29730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAASASAAGGAGEEGAAAAAQPQQEGPVVTCKGVNGLDKVVLREVRGSSAEVYLYGGHVTSWKDEHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLDPHGFARNRTWSVENDPPPFPVPTSNKAYVDLILTHTEEDLKIWPHSYEFRLRVALGPGGDLMLTSRIRNTNADGKPFSFTFAYHTYFSISDISEVRVEGLETLDYLDNLQERNRYTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKAMSDFGDDEYKRMVCVEAAAIEKPITLKPGEEWTGKLELSAVPSSYYSGQLDPDRVLQDSSVPEDSIS >ORUFI04G29740.1 pep chromosome:OR_W1943:4:28636578:28637858:1 gene:ORUFI04G29740 transcript:ORUFI04G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEAWPLAAPQQQQQQPSAPPPQPQAQQQNGRIDLREVKLQLEKRLGPDRSRRYFSYLKGYLSNRLSKADFDKVCLQTLGRENLRVHNQLIRSVLYNAYHAKCPPPTPAPDVGRSVGATVKKVCQPGEALNSCNGDIRLLQMQGSRHMSTMQDHQSKDRMKSTGLSCRVDASANHSQITHGGAAVPENGTLSSSDLKRSVHFQHESAEPLAKHQRVEQSPTGNIIKLRRSMSNVSDHSAEASNSPVRAPLGIPFCSASVGGARKLPPPLISAGEDHCTSCCEHRELLNTEALHRRMEKTAESLGLAGVTLDCADLLNNGLDKYLKNLIRSSVELIGANVQSDARKGELYKQHAYGKHMNGVWLPNHVQMQSGSGPSGATNDIRNHHLISLDDFKVAMQLNPQQLGEDWPVLLEKICLCSPEEND >ORUFI04G29750.1 pep chromosome:OR_W1943:4:28640053:28643645:-1 gene:ORUFI04G29750 transcript:ORUFI04G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWQRAVVVPVKRAWVVVAARLRRKKQYDGRGVLVKLHDDIQTCAYEDVQVMWEILQRSETARLATAPPSPGSARALIWPGRAAPPRRRHR >ORUFI04G29760.1 pep chromosome:OR_W1943:4:28645318:28649425:-1 gene:ORUFI04G29760 transcript:ORUFI04G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATSLASSAAHRRLPPSRSAASSILRAPNRGRLCPGSPSVLRASSSSPSSPQPTAGGDEGEEEEEGRRLSKQSSWEAKDSEGDDYLYRLGKEADNMNIAVGARSGIVDDLFVGNFLGKDSDIVFDYRQKATRTFEYLQGDYYIAPLFLDKVGIWGGKGQGKTFQTELIFRAMGVEPVIMSAGELESEKAGEPGRLIRDRYRTASQVIQNQGKMSVLMINDLDAGVGRFGNTQMTVNNQIVIGTLMNLADNPTRVSIGQKWRESDVTHRVPIIVTGNDFSTLYAPLIRDGRMEKFYWQPDREDIINIVHRMYIKDGLSFEDVSKIVDTFPNQALDFYGALRSRTYDRAILQLLKMYFFANTVEDVLWVEEIGGHEQLNEKLLKRKKGEELPTFIPPKTTVDALIESGDSLVKEQELIMNSKLSKEYMKNLDD >ORUFI04G29770.1 pep chromosome:OR_W1943:4:28650161:28652218:1 gene:ORUFI04G29770 transcript:ORUFI04G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVHAARKPNPSAAAPPDSKAKAELRALVLGSISPPSDDDDDDELAGAATPRAASFAPVDVNAAAPPPPPQRKEVKTKKKEERRKHEAAAAAANLWDDPDSYVVTIGGRVLGRGAAAAADAATDNVRDVVVEDSDVSVQGVALFEGASLRVAHGRRYGLVGPNGKGKTTLLKLLHWRKLPVPRGIRVTLVVQKDDNRDPRPVIEVVLAADEELATLRAERDQLEASSSAAAAAANGARLAEVYEELTQRGWDTAPARAAKILAGLGFDQASQARPASSFSGGWIKRIALAGALFMQPTLLLLDEPTNHLDLRAVLWLEEYLTAQCKSTLVVVSHEEGFLNAICDEVVHLQDKKLHAYRGGFDSFVGSYEQKKAKAMKESERLAKAARKSGRRAPKKWHDYTVEFHFAAPTELAGGGPLLRLAEAGFTRGGFQLSAIDADVSMGQRVAVVGPNGAGKSTLLKLLAGELTPTSGEARRNPKLRIGLYSQHFCDALPEEKSPCLDTHPHLKSKPWEARAKLTRFGLAKESHLTTIGKLSGGQKARVALASVALGEPHVLLLDEPTNNLDMQSIDALADALDEFAGGVVIVSHDSRLVSRVCDDEERSALWVVQDGTVRPYDGTFAEYRDDLLDDIRKEMAAD >ORUFI04G29780.1 pep chromosome:OR_W1943:4:28659785:28661464:-1 gene:ORUFI04G29780 transcript:ORUFI04G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAADASAEAAAIARRLASCNGSARERAVRHLLSTFLPASAPHLSASDLLKLWKGLFFCFWHSDKPLYQSSLATRLAAGVSSGPSPAAAAAFFAAYLATLLREWVHIDTHRLDKFYLLNRRFLHHAFLLLAANSFAADLTSQVVSILSEKVLLPEADNVAAGSPRGLGYHVAEVFLDELSPVLPVSLQTMEVLLSPFFAVLEKSSDRVMVAKVKSSVFERFLESGKQLLEMAKKGEGVEKGSADEKIGKVGLLFGFSKRFSDIGAKAETVQANRKVLFGLRDAFVKVEKGLELSGVEIAVPEFKSTEVTGVENGMDLDEVKVEKKKKKKAKKASLVEGETEGAKDSKQEKKVKKEKKEKKKKKKVEVVDEGDVTEQSTDAPAEEDQQMGDGTEAVTFDETLMSNLQKQFEKAAAEAGMVNGGSSSSASPASGKAAKKRKRAKSADRLSVSDGDDVSSEGTIISQDGEKSGKKVRFSMKNNLVWKPHNPLPPQCLRLPPSATPRGSALKKGVLPGPIKETPTPVKKTKPKAKSAKKVLKKPSSAAKRLRKLQNFSA >ORUFI04G29790.1 pep chromosome:OR_W1943:4:28663996:28665779:1 gene:ORUFI04G29790 transcript:ORUFI04G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPNASAVFLAFIVILIIVIFILLGICWKFLRPDIMRRLMRPKRAPSEVPEYFSGNMSGNLRTITYFDYATLKKATRDFHQKNQLGRGGFGPVYLGKLDDGRKVAVKQLSVGKSGQGESEFFVEVNMITSIQHKNLVRLVGCCSEGQQRLLVYEYMKNKSLDKILFGVDGAPFLNWKTRHQIIIGIARGLQYLHEESNLRIVHRDIKASNILLDDKFQPKISDFGLARFFPEDQTYLSTAFAGTLGYTAPEYAIRGELTVKADTYSFGVLVLEIVSSRKNTDLSLPNEMQYLPEHAWRLYEQSKILELVDAKLQADGFDEKEVMQVCQIALLCVQPFPNLRPAMSEVVLMLTMKTTEQSVIPAPVRPAFLDRKSLKDKNNGGGSDTAAEMRSTAYWLGTPSPMVDRPYDMSCGI >ORUFI04G29800.1 pep chromosome:OR_W1943:4:28674518:28674787:-1 gene:ORUFI04G29800 transcript:ORUFI04G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRWSRAAVVACLLLLAAACAESARPLPAPAKAAAAVVVVRPRSAFDVVVAGLVGIGLGHRWRPGGGDLVDDEKRRVPTGPNPLHNR >ORUFI04G29810.1 pep chromosome:OR_W1943:4:28683910:28684736:1 gene:ORUFI04G29810 transcript:ORUFI04G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDQKLLCLVFVSGVLVLANLADVSSAAASSLSRQQDQVARVLGRRGRELIGEGLSGYQYRHEGKHKEQHEVVAMEATTKETAETKAGWVDDDEGAREGLIDSADYSGVAMHSPSPPKRKHPKKP >ORUFI04G29820.1 pep chromosome:OR_W1943:4:28685269:28689080:-1 gene:ORUFI04G29820 transcript:ORUFI04G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVVPAMQCQVGAVRARPAAAAAAAGGRVWGVRRTGRGTSGFRVMAVSTETTGVVTRMEQLLNMDTTPFTDKIIAEYIWVGGTGIDLRSKSRTISKPVEDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVMCDTYTPAGEPIPTNKRNRAAQVFSDPKVVSQVPWFGIEQEYTLLQRDVNWPLGWPVGGYPGPQGPYYCAVGSDKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWISRYILERITEQAGVVLTLDPKPIQGDWNGAGCHTNYSTKSMREDGGFEVIKKAILNLSLRHDLHISAYGEGNERRLTGLHETASIDNFSWGVANRGCSIRVGRDTEAKGKGYLEDRRPASNMDPYVVTALLAETTILWEPTLEAEVLAAKKLALKFLENHAAFHSPVNILVEHHT >ORUFI04G29830.1 pep chromosome:OR_W1943:4:28690188:28692671:-1 gene:ORUFI04G29830 transcript:ORUFI04G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASETLASDAAIADCLARALRRGSQRVAEAACNAIMDLSASSAGREHLAGSAVLQSILYLFSQVEFIPGVIDIRSTECSKSASKANKSFYLMVDTLVLMVNSCQVDSLHNLQQDVVRKVLPLLHKIWKNVDTLGSSTDCINSKNQLQRKEHEISEAIFRLSMNIACPAHLEPDEVRRSIFGQSVSDYENFLLNYWEKLTYLITRKQKNLHVDSVFTSLLNEFDPKTPDTIIQSLVNGIVSCPAIASDELDISSFLREVQGSLGAAVKYRQDIRVVRTNDQCDQTSIGYAMEEHFFDDGMTFQDADAFVEKCKDAFKNGFSVALRGMEFRSEKIAAIASAVADLFGQPSVGANIYFSPPRAQGLARHYDDHCVLVWQLLGCKKWMIWPDTKLLLPRLYEPFEPLDDLVDDCGGRMEILLEGDIMYVPRGFVHEAHTDVDVGGFEVNSTVDCSLHLTLAIEVEPPFEWEGFTHIALHCWMEKHWSSPFVRSQEEVQTSLFALLLHVAIRLLSKNDATFRKACMIAAKLPPSSSCTTTHLKALRSNQRSIFDEIIKKIDKSCNFKEALMCVELAVREKNDEPFQWMCWLRHLPQHGGTNGQVDFCNILGALEELLEAVSCNLEQALTEFTDFKSKFCRCVVYEDACESFEMLLQMYRTTRNQYTRGMLALHRKHEI >ORUFI04G29840.1 pep chromosome:OR_W1943:4:28698018:28698788:-1 gene:ORUFI04G29840 transcript:ORUFI04G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCTLLVLLVAAVALVPLAAGQPWVTCGDGTYKKGSAYENNLLNLALTLRDGASSQEILFSTGSNGAAPNTVYGLLLCRGDISRSACYDCGTSVWRDAGRACHRAKDVALVYNECYARLSDKDDFLADKVGPGQLTNLMSTANISTTDIAGYDRAVTQLLAATAEYAAGDIARKLFATGQRVGTDPGFPNLYATAQCAFDITLEACRGCLEGLVARWWDTFPANVAGARMAGPRCLLRSEVYPFYTGAPMVVLRE >ORUFI04G29850.1 pep chromosome:OR_W1943:4:28703637:28704765:1 gene:ORUFI04G29850 transcript:ORUFI04G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDGGLPHLVLLRVYAGLAATVPPCPILCPPMLAARVLARHGVAAPGSLATLYAGARALDGAFFLASPGPDRQLQPPTPPLRLLRPRDAARCHSPAACRRCSRARPLMPPLRLLQPPQPLSAADALAWVEAHAAARPEAKRSPRCAHAEREEKKEKRLGCN >ORUFI04G29860.1 pep chromosome:OR_W1943:4:28705278:28709436:1 gene:ORUFI04G29860 transcript:ORUFI04G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMGYNNPYDSSGTDDDLPPTQNRGLRGRSFSANGRASVMPFSYIRPHNDLESEIHQVEQEAYTGVLRAFKVQSDAISWEKESLITELRKELRVSDDEHRELLNKVNEDVAIRRMRELRQGGGSLSAQHRGSRIFHDTEPGPAAKRQRTPLSIPSHSAGLQSPAMPSPSVPSSTKWGPFSGTKGKKTRTNTPLAVPSADPTSLINRKIYTRWPDDNNFYEATITDYNPATGKHALVYDMGTVAQTWESVRLSDLAPEDIIWDLDDQGISNRDGWGPHPGMRRHPGNNGTMAMASRGGGRFSRNEPTKDCVPPQNGINRNIGHIDVPNTRSVVIEVERVLSNPNMGEIEKAKKLLQDQEQSLLDAIARLDDASDSESEDMAVGAQMVSAGDHMGRNGVACHKAQGAFPSMITVAVFAL >ORUFI04G29860.2 pep chromosome:OR_W1943:4:28705278:28710849:1 gene:ORUFI04G29860 transcript:ORUFI04G29860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMGYNNPYDSSGTDDDLPPTQNRGLRGRSFSANGRASVMPFSYIRPHNDLESEIHQVEQEAYTGVLRAFKVQSDAISWEKESLITELRKELRVSDDEHRELLNKVNEDVAIRRMRELRQGGGSLSAQHRGSRIFHDTEPGPAAKRQRTPLSIPSHSAGLQSPAMPSPSVPSSTKWGPFSGTKGKKTRTNTPLAVPSADPTSLINRKIYTRWPDDNNFYEATITDYNPATGKHALVYDMGTVAQTWESVRLSDLAPEDIIWDLDDQGISNRDGWGPHPGMRRHPGNNGTMAMASRGGGRFSRNEPTKDCVPPQNGINRNIGHIDVPNTRSVVIEVERVLSNPNMGEIEKAKKLLQDQEQSLLDAIARLDDASDSESEDMAVGAQMVSAGDHMGRNGVAC >ORUFI04G29870.1 pep chromosome:OR_W1943:4:28710208:28714099:-1 gene:ORUFI04G29870 transcript:ORUFI04G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSSVIPTDGSPVSGGGLSQNGKFSYGYASSPGKRASMEDFYETRIDSVDGQIIGLFGVFDGHGGAKVAEYVKQNLFSHLLRHPKFISDTKVAIDDAYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICRGGNAIAVSKDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVIDHSLEFLILASDGLWDVVTNEEAVDMTRSIHDPEEAAKKLLQEAYKRESSDNITCVVVRFLHGQGSSGYA >ORUFI04G29880.1 pep chromosome:OR_W1943:4:28716897:28717952:1 gene:ORUFI04G29880 transcript:ORUFI04G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSANDGYEYDDDAVSGSVNEVPRVTGVHQFTIRQYSGVKGKGVGKSVLSRNFTVAGREWFVRFYPDGYNSDTSDHVAFFLQSLYRPSCGSVYHVEFSFALLGGGGAVLHDVRIDRPCRFDNRNNSWGVRKYITREQLEGAALGAIHDDALTVRCTMHVIQRRRRGAGRPVATGPGGPVRVPVPPSCHARNAMEFLLSGDAPFDLEIHVGDATFGAHRLALAGQSLYFRKLLYGQVGNEASSPVIRLREMSPEAFGAVLHYVYHDSLPAEATTKGRNAAAMAREVFEAADMYAMERLKLMCASNLCRFIGDDTASGIMELAKAHSCDPLKKACENYMKRRRIPFNPDS >ORUFI04G29890.1 pep chromosome:OR_W1943:4:28719437:28722075:1 gene:ORUFI04G29890 transcript:ORUFI04G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLPLDVASAPKLDDDGHPQRTGNLWTCVAHIITAVIGCGVLALSWSVAQLGWVAGPIAMVCFAFVTYISAFLLSHCYRSPGSEKMQRNYSYMDAVRVHLGRKHTWLCGLLQYLNLYGIGIAYTITTATCMRAIKRANCYHSEGRDAPCDSNGEHFYMLLFGAAQLLLSFIPNFHKMAWLSVVAAIMSFAYSTIGLGLGLAKTIGDGTVKGNIAGVAMATPMQKVWRVAQAIGDIAFAYPYTIVLLEIQDTLRSPPPESETMQKGNVIAVLATTFFYLCVGCFGYSAFGNAAPGNLLTGFGFYEPYWLIDFANACIVLHLLGGYQMFSQQIFTFADRCFAASFPNSALVNRSYSVKILPWRRGGGGGGAGRYEVNLQRVCFRTVYVASTTGLALVFPYFNEVLGVLGALVFWPLAIYLPVEMYCVQRRISPWTPRWAALQAFSVVCFVVGTFAFVGSVEGVIRKRLG >ORUFI04G29900.1 pep chromosome:OR_W1943:4:28722801:28730906:1 gene:ORUFI04G29900 transcript:ORUFI04G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVYRNLARNGPGSVKLVPEEEDDLWHAYNLIVPGDTLQSVTVRKVLREMASGGRDAERVRLKLEIVVESVDYDKEGSVLRVRGKNITENDHVKIGQFHTMELELKRQFTLTKELWDWLALDTIQQACDPTASADLAVILMQEGLAHLFLIGRSITVTRARIETSIPRKHGPAIAGYESALKKFFEHVLQAFLKHIDFEVVQCAVIASPGFTKDQFRDYMHLEAARRDLRLIIENKQRIVLAHAPSGYKHSLKEVLDSPSVMTLIKDTKAAQEDSARACYGPKHVEIANERLAIQTLLITDNLFRNSDIATRQNYVRLVESVKKFGGTVHIFSSMHVSGEQLAQLTGIAAILRFPLPDLEDIEM >ORUFI04G29910.1 pep chromosome:OR_W1943:4:28734289:28734603:1 gene:ORUFI04G29910 transcript:ORUFI04G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLASLVGWNGGGGWRERRARQRLRRRQYCGGTVWLGRRRRPAGRCRLAVSRLVRWRLVAELLRPIRKALMEMAAAAGRRQLVALPQLSFPFVGTLALPAAVA >ORUFI04G29920.1 pep chromosome:OR_W1943:4:28737873:28741232:-1 gene:ORUFI04G29920 transcript:ORUFI04G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRTPPLPTPPNPNPSVIGSGAAADGGGFGRGEAAAATKHMLAFHFLRALSRIHRATPVTRRTRTIRRAAYSSMARAASPRRAWSRALLGQARARRSRTLMRRAAVLVRRRVVAAPAPSPASARGVRIIAAGETSAAARAVPPPPRQQGEPPRAEALRRLVPGGAGMEYSSLLEETADYLRSLRAQVRLLSDWGRNRM >ORUFI04G29930.1 pep chromosome:OR_W1943:4:28755907:28760929:-1 gene:ORUFI04G29930 transcript:ORUFI04G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSPPTNHSSASLCTIRPSLDPAFIDAPAVREHHDVESRKLVHDVLVNSKPNSFTAHNIGSNFAFGLAHTGRACLHGKVPHGPGTSSMLGRAKESLYRNPAARNFSVLSTCSQNAFHGQLAWKQLMTIGSHVPKASPILSRVACAISLAATRSNLVPYLFAFVAGEIMLAQKTSADGEYLPIRDSLYTRAQDGRIYVTSLIFSAVELVIIIFRSIYLALLFTPSILMAPFADTLGSKYRKTWLRLVHRTLEKAGPAFIKWGQWAATRPDLFESDLCAELSKLHTKAPAHSFAYTKKTVEKAFGRKLSDLFENFEEEPVASGSVAQVHRAGLRFRYPGQHIKRVTVAVKVRHPGVGESIRRDFTIINAVAKISRYIPTLNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPLYPLVHPAVLVETYEQGESVAHYVDDLDGHDRIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVIQPRNSNNTLLKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVACRDGRTAAERTLRLSKQQNCPNPKAFIEEVERSFSFWGTSEGDAVHPAECMHQLLEQVRRHKVNIDGNGWQRKLDPDYNVMKTLQTLLFKEDWAKSLQYTIEGLMAP >ORUFI04G29930.2 pep chromosome:OR_W1943:4:28755907:28760961:-1 gene:ORUFI04G29930 transcript:ORUFI04G29930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRVSRAQPCFVRFARAWIPPSLTRPLSGNTMMSRFMQIGKSRKLVHDVLVNSKPNSFTAHNIGSNFAFGLAHTGRACLHGKVPHGPGTSSMLGRAKESLYRNPAARNFSVLSTCSQNAFHGQLAWKQLMTIGSHVPKASPILSRVACAISLAATRSNLVPYLFAFVAGEIMLAQKTSADGEYLPIRDSLYTRAQDGRIYVTSLIFSAVELVIIIFRSIYLALLFTPSILMAPFADTLGSKYRKTWLRLVHRTLEKAGPAFIKWGQWAATRPDLFESDLCAELSKLHTKAPAHSFAYTKKTVEKAFGRKLSDLFENFEEEPVASGSVAQVHRAGLRFRYPGQHIKRVTVAVKVRHPGVGESIRRDFTIINAVAKISRYIPTLNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPLYPLVHPAVLVETYEQGESVAHYVDDLDGHDRIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVIQPRNSNNTLLKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVACRDGRTAAERTLRLSKQQNCPNPKAFIEEVERSFSFWGTSEGDAVHPAECMHQLLEQVRRHKVNIDGNGWQRKLDPDYNVMKTLQTLLFKEDWAKSLQYTIEGLMAP >ORUFI04G29940.1 pep chromosome:OR_W1943:4:28762284:28764810:1 gene:ORUFI04G29940 transcript:ORUFI04G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEIQDSEVDIVIAALQPNLTTFFEAWRPFFSRFHIIVVKDPDMAEELQIPTGFDLKVYTKSDMGVLGATSIDFSGHSCRYFGYLVSRKKYVISIDDNCLPAKDNGGLTVDAVAQHMSNLKTPATPFFFNTLYDPFRKGADFVRGYPFSLREGVECMLSCGLWLHNADYDPMTHVVKRNQRNTTYVDAVMTVPLGAMMPVSGINVAFNREVLGPVMFPALRLRKEGRHRWDTLEDVWNGLCAKVVCDRLRYGVKTGLPYVMRSDAEAGKALESLKEWEGVKVMDVVLPFFESLKLSSTSVTVEDCVKELTSIVKEKLGPQNAIFAKAADAMEEWTKLWKSHGAQSA >ORUFI04G29950.1 pep chromosome:OR_W1943:4:28764691:28776363:-1 gene:ORUFI04G29950 transcript:ORUFI04G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQHNAQFHKNKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMNLNHKNIVKYLGSLKTRSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADINTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCAPPYYDLQPMPALFRIVQDSIQRPDAKTLLMHPWLQNSRRALPSLRQPVQSPRDIDEDDEGSSGDNHSGFSGPPRDTQTPTASGLEQEDGRKDLVSESARQDIPDEFHDGMLKTTGSSSSNDVELMKDNVVLNKDPTLVFHEKLSLESSLGATDLNGKLTHEVSQDGPPNKLTSSGQESRKSDGKYVEDESKDGSSLEDGDAFSFQAGGQNINFQKEAKTSVEMANELSRFSDTPGDASFDDLFPPKKRGDHGAEASTSTTGEELQYNGAQNDLAKELKTRMAQKQKENDTEHMNGGKLLEYVMRLREEDIDGTAFDETIPGESLFPLQSVEYSKIVAQLKPGESEDVILSACQKLVSIFNQRPEQKQIYVSQNGFLPLMELLELPKNRIITSVLQLINQIVKDNTTFLENACLVGLIPVVMNFAVPDRAKEVRVQASRFLQQLCQAREMVHLAIDGIWQVFKLQHSTPRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRRRSGQLDPPMLEISKTRLDHHHSSGSLQSLQADADRHHIIMDPSASPRFTDMAAAGHMERNDNDPIRPQRLSVSAGRTSTDRSPKHIELVSNGHSSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHLSGLERHESILPLLHASTERKTNGELDLLMAEFAEVSRQGRENGNLDSNIKTSNRVPSMKYAPSSGPTTSNEGASTSGAASQTASGVLSGSGVLNARPGSTTSSGLLAQMVSMSADVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIEPPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELHDGPLVYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMNFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWACTALDSIAVCLSHDNDHRKVEQALLKKDAIQKLVKFFQDCPEQYFVHILDAFLKIITKSSRINTAMATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQAQPWAHFI >ORUFI04G29950.2 pep chromosome:OR_W1943:4:28765201:28776363:-1 gene:ORUFI04G29950 transcript:ORUFI04G29950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQHNAQFHKNKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMNLNHKNIVKYLGSLKTRSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADINTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCAPPYYDLQPMPALFRIVQDSIQRPDAKTLLMHPWLQNSRRALPSLRQPVQSPRDIDEDDEGSSGDNHSGFSGPPRDTQTPTASGLEQEDGRKDLVSESARQDIPDEFHDGMLKTTGSSSSNDVELMKDNVVLNKDPTLVFHEKLSLESSLGATDLNGKLTHEVSQDGPPNKLTSSGQESRKSDGKYVEDESKDGSSLEDGDAFSFQAGGQNINFQKEAKTSVEMANELSRFSDTPGDASFDDLFPPKKRGDHGAEASTSTTGEELQYNGAQNDLAKELKTRMAQKQKENDTEHMNGGKLLEYVMRLREEDIDGTAFDETIPGESLFPLQSVEYSKIVAQLKPGESEDVILSACQKLVSIFNQRPEQKQIYVSQNGFLPLMELLELPKNRIITSVLQLINQIVKDNTTFLENACLVGLIPVVMNFAVPDRAKEVRVQASRFLQQLCQAREMVHLAIDGIWQVFKLQHSTPRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRRRSGQLDPPMLEISKTRLDHHHSSGSLQSLQADADRHHIIMDPSASPRFTDMAAAGHMERNDNDPIRPQRLSVSAGRTSTDRSPKHIELVSNGHSSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHLSGLERHESILPLLHASTERKTNGELDLLMAEFAEVSRQGRENGNLDSNIKTSNRVPSMKYAPSSGPTTSNEGASTSGAASQTASGVLSGSGVLNARPGSTTSSGLLAQMVSMSADVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIEPPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELHDGPLVYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMNFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWACTALDSIAVCLSHDNDHRKVEQALLKKDAIQKLVKFFQDCPEQYFVHILDAFLKIITKSSRINTAMATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL >ORUFI04G29960.1 pep chromosome:OR_W1943:4:28778473:28780753:-1 gene:ORUFI04G29960 transcript:ORUFI04G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWVTTLIFLLAGFVASLFSLLCCNRGPSTNLFHLTLVITATVCCWMMWAIVYLAQLKPLINPILSGE >ORUFI04G29970.1 pep chromosome:OR_W1943:4:28787946:28790486:1 gene:ORUFI04G29970 transcript:ORUFI04G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGGRAAASMARTASASRRQPETTSLAVDEAGGRASRRPRGWKAVGFMIGLYLAIAMALNAFAQPVTNYLIKRYNMKPNAATNVANVFSGTYSFSPVVGAFVADAFCGRFWTLLFGAVAAFVAMVVITLSATIRQLKPPSCSDVARQAGTCAGPSGLHRAVLYIGMALLVVATGGANPTSLPFGADQFDHDDASSGRSSNEADERRRRAEEPAGLKRFYNWYYVVTMMASFMALTFIAYIQDKVSWGLGFGIPTALVAATFAVFLAGTPLYVRVPAEGSIFSSVARVVVASCRKRRLTLPHPRDARQQEAVLYNPPVVVAAGTGTSRVFKLPLTLQLSFLNKAAIVTADADEIRPDGSPARPWSLCSVQQVEEVKCLVKIVPVWISGVMWFISVAELTNYTFLQALTMDLHMGRSFTIPPVSIAAIFNLAIVLFVPVYDLLVARAAQRVTGVEGGITVLQRQGVGVAISGLALVVAAVVERRRRASALDNGGTSPMSVFVLAPQLAVMGVSAAFNMIGQMEFYNTEFPDQMRTLANAAFYCAQGASSYLATAVVNVVNARTRRRGGGQGWVAEDINAGKLDHFYYAMAVFAAINFVYFLVCSYFYRYKGEPEVAAEDSPPATPEAVLLKH >ORUFI04G29980.1 pep chromosome:OR_W1943:4:28791683:28792401:-1 gene:ORUFI04G29980 transcript:ORUFI04G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTVLRVDTSCDKCKRKILQTVSGLQGVDKIDIDSEKGTMTVTGSADPVDVIERTRKAGKRAEVVTIGPPPASSSSSSSNPSTEQQQIKKQAPAAEEKVYTAAAEKRAPEPPATVYVHYIPASTWSAPAWPSYEYDQSVVYHQQDPPPACSIM >ORUFI04G29990.1 pep chromosome:OR_W1943:4:28793407:28804859:-1 gene:ORUFI04G29990 transcript:ORUFI04G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRGRKRAAAAAAVAEEDSSKPEAAEAKKPAARGRGKRAKASPKPKPETEYFPEKRNLEDLWLSAFPVGTEWENIDKIKEFNWNFENLEHDIRFPVGEQKALEEGGELYGKTVYLFGSTEPQLLEVNGESKIVLIPIVVAVDCPFPPSDKIGINSVQRENEEIVPMKAMKMAWVPYVPLEDRLSRIDSLKTKIFTLGCTQRRSALRHLKTERVKLFDYCMPYYMPLNPPEDEDDTVVNIIYPLEPPIVCDFDWEMDDYEDFADEKVKDEVLPEDEKEKFKEFIKERVRERKRELKQAKEARKKAIDDMDPKVKEAFENIKFYKFYPVKTPDTPDVSNVKGRGICRFPHRRLHFHFLFLLLEDEEEIGSWRLGARCIIPPALLLRRSPEQGCRGSPRPQQLLLTACRFCVGMEVVLHEGDAKDWVYKGEGAANLILSYTGSSPSMLGKVLRVKKILKDKGQPAPNCIVFSSHEEHLWGKIPGLLESVKNDCLPQAYATIVMSQHLGANHVDGGVRVRVSKNFFELAGKNVLDNRPAWRVNASAIDAGADSALLISDHTLFSGNPRGSSCIAVEIKAKCGFLPSSEYISKENSIKKQVTRYKMHQHLKFHLGEISKTSEYDPLDLFSGSKERIHMAIKSFFSTPQNNFRIFVDGSLVFGGMGGGADSVHPNETEKCLEDLSKVTGLQLSDFIELLSEAIFKSGVLGKLLATQKLDDHDIEGAIHLYYNIISQPCLVCKSITDTELLRKYSTLHSLPLDKSEKIVRDFLISATAKDCSLMISFRPRQSGTTDSEYDSVFLDSVNQSYDYKAYFIDLDVKPLDKMVLLPSRENV >ORUFI04G30000.1 pep chromosome:OR_W1943:4:28810641:28813062:1 gene:ORUFI04G30000 transcript:ORUFI04G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQCTLAKHETAEMRRTWVDAWQPTERRQLGLGDAAAMWWWRCVWQRRLVVATEEIGVVSLVLSSMVGGGTEMSRVGFGGADRGCGDTVLKAEIGGCTETVDEPEIGGGTKETGEAELLVKIGGGAEETGEKGDEWRSGEWRRQLAGWEGGCGVRRATAEWAMRSGRRVGARAPGDDGGSDVHRRDGRENWRRRWISRSEGKCDYFRGAGVREGGCYSRRQIS >ORUFI04G30010.1 pep chromosome:OR_W1943:4:28817808:28822385:1 gene:ORUFI04G30010 transcript:ORUFI04G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVEEALAAVLSAAAASAAARAAEAVPLHDALGLVLAEDVRAPDPLPPFRASIKDGYAVVASDGPGEYPVITESRAGDDALGVVVTPGTVAYVTTGGPIPDGADAVVQVEDTEQLAGAPDGSKRVRILVRPTQGQDIRNVGCDIQKDSVVLKSSEHIGPAEIGLLATVGVTTVKVYRRPTIAVFSTGDELVEPATASLSRGQIRDSNRAMLIAAAIQHKCKVVDLGIAKDTEESLKEHMDAALSSDADIILTSGGVSMGDRDLVKPCLASMGKIHFEKIRMKPGKPLTFAEIVTEDSSKPSKKVLAFGLPGNPVSCVVCFNLFVVPAIRSLSGWSNPHLPRVHARLLHPLRGDPHRQEFHRAVIRWVPDDGSGRPGYVAESTGQQASSRLLSMKSANALLEVPLVGQMLEAGTSMQAILISDMTSSPFDKLPTASNPLPSHLFPSAKSVSTDLSQVPASQNTEVKVAILTVSDTVSLGAGPDRSGPRAISVVNSSSEKLGGATVVATAVVPDDVEKIKNILVKWSDIDRVSLILTLGGTGFTPRDVTPEATKSVIEKEAPGLTYVMLQESLKVTPFAMLSRATAGIRGSTLIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPRHVPHAEAAPVDQWDRSFRAASSGRGCSCEP >ORUFI04G30010.2 pep chromosome:OR_W1943:4:28817808:28822385:1 gene:ORUFI04G30010 transcript:ORUFI04G30010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVEEALAAVLSAAAASAAARAAEAVPLHDALGLVLAEDVRAPDPLPPFRASIKDGYAVVASDGPGEYPVITESRAGDDALGVVVTPGTVAYVTTGGPIPDGADAVVQVEDTEQLAGAPDGSKRVRILVRPTQGQDIRNVGCDIQKDSVVLKSSEHIGPAEIGLLATVGVTTVKVYRRPTIAVFSTGDELVEPATASLSRGQIRDSNRAMLIAAAIQHKCKIRMKPGKPLTFAEIVTEDSSKPSKKVLAFGLPGNPVSCVVCFNLFVVPAIRSLSGWSNPHLPRVHARLLHPLRGDPHRQEFHRAVIRWVPDDGSGRPGYVAESTGQQASSRLLSMKSANALLEVPLVGQMLEAGTSMQAILISDMTSSPFDKLPTASNPLPSHLFPSAKSVSTDLSQVPASQNTEVKVAILTVSDTVSLGAGPDRSGPRAISVVNSSSEKLGGATVVATAVVPDDVEKIKNILVKWSDIDRVSLILTLGGTGFTPRDVTPEATKSVIEKEAPGLTYVMLQESLKVTPFAMLSRATAGIRGSTLIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPRHVPHAEAAPVDQWDRSFRAASSGRGCSCEP >ORUFI04G30010.3 pep chromosome:OR_W1943:4:28813155:28822385:1 gene:ORUFI04G30010 transcript:ORUFI04G30010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRWSEKGPGLKILWIWTLGTAGIMITNVVRTRVNDMQKILQEEDEAAAAAAPMASGERNVSTYQNSLNPAVGCDIQKDSVVLKSSEHIGPAEIGLLATVGVTTVKIRDSNRAMLIAAAIQHKCKVVDLGIAKDTEESLKEHMDAALSSDADIILTSGGVSMGDRDLVKPCLASMGKIHFEKIRMKPGKPLTFAEIVTEDSSKPSKKVLAFGLPGNPVSCVVCFNLFVVPAIRSLSGWSNPHLPRVHARLLHPLRGDPHRQEFHRAVIRWVPDDGSGRPGYVAESTGQQASSRLLSMKSANALLEVPLVGQMLEAGTSMQAILISDMTSSPFDKLPTASNPLPSHLFPSAKSVSTDLSQVPASQNTEVKVAILTVSDTVSLGAGPDRSGPRAISVVNSSSEKLGGATVVATAVVPDDVEKIKNILVKWSDIDRVSLILTLGGTGFTPRDVTPEATKSVIEKEAPGLTYVMLQESLKVTPFAMLSRATAGIRGSTLIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPRHVPHAEAAPVDQWDRSFRAASSGRGCSCEP >ORUFI04G30010.4 pep chromosome:OR_W1943:4:28813155:28816918:1 gene:ORUFI04G30010 transcript:ORUFI04G30010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRWSEKGPGLKILWIWTLGTAGIMITNVVRTRVNDMQKILQEEDEAAAAAAPMASGEQRLYLSKFPEPRCCAKLTRSFALAACRCSIASWLFERSKRWACGM >ORUFI04G30020.1 pep chromosome:OR_W1943:4:28823072:28830095:-1 gene:ORUFI04G30020 transcript:ORUFI04G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYPHHRRFAVSGDAPPPPPPPQPQPPPPSHASPHWYPGPSPPPYPPHANHPFPPQHHQWGPPHPPPPLPQQPPPYGYHPSLPPMPMQPPPPAAGNPWPPHHAAAQPPPPSYPPPPPGQGWANHSWAQSHGYAGHGNEEDWATKAKAWAAAKSVTENHHIQQHAISANRPEVHNYGYQDQYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLPDGGPLAPPAKNFGSFPSAYEQEVSYNYPSTPGVGNAMIQYPSSQTQLPPTASAMQDGFPQAPSSMHIAPSLEQPHFGHDGQSSKIAVDPSDQPLEFNSRKAPDMAVHRTANFNSNIPAAPIAASDHDAHSAPAQSWIPSTTVLFPQASVPPQAAQMDPSVHAAPLFGAVSGSSYIPPAAFGVGNVTEAFPVDANTPFNVTERSKKPPVPNWLREELLKKKSTSVSASVQHSTEFHSKGSEDAEKTLKSSDQADSRSVDSAKSTDDDEEDEDEIEAARMAAMNQEIKRVTDDLFDEIATKVLNEDDPSAEPNEPTRVSSVKGPGLGESKPKVSAKVVVPAKPTNVSSADHSDGTGLSSPKGALLGLASYDSDDEDDEGDNEDKVPVSNLSSETKAGAARPGEGEKATDGEQHGNHNERNSVVQNASLGEDRKFNDEMSHRNSSAELKQELRVHDTHSREFPSDAKISSQPKDVAPTLDEKAHGYSQNGKVPPSGNNVENFSNMESSQGHLGKSSNEEDFVKEPKAVRRKESESSSKRYNDDDKSSMYGNIDKKGSFKEEKGSDRSVRHGADTREPRSRGNSKQDDAKGERKDYQKDIEERKGNMKRRIGRGKLQRAQVVIAVEGQDHQVREVGPRRRVLHIERVSQVMSLLIIRKGENFIHVKAACPPHPQNLGTDEFRGLHIASILTAGIRLIHLRKGIGQEEAFQIQNSSQKKIEDTFDFKMPRHPCEAMCGISLHLLLIH >ORUFI04G30020.2 pep chromosome:OR_W1943:4:28823072:28830095:-1 gene:ORUFI04G30020 transcript:ORUFI04G30020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYPHHRRFAVSGDAPPPPPPPQPQPPPPSHASPHWYPGPSPPPYPPHANHPFPPQHHQWGPPHPPPPLPQQPPPYGYHPSLPPMPMQPPPPAAGNPWPPHHAAAQPPPPSYPPPPPGQGWANHSWAQSHGYAGHGNEEDWATKAKAWAAAKSVTENHHIQQHAISANRPEVHNYGYQDQYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLPDGGPLAPPAKNFGSFPSAYEQEVSYNYPSTPGVGNAMIQYPSSQTQLPPTASAMQDGFPQAPSSMHIAPSLEQPHFGHDGQSSKIAVDPSDQPLEFNSRKAPDMAVHRTANFNSNIPAAPIAASDHDAHSAPAQSWIPSTTVLFPQASVPPQAAQMDPSVHAAPLFGAVSGSSYIPPAAFGVGNVTEAFPVDANTPFNVTERSKKPPVPNWLREELLKKKSTSVSASVQHSTEFHSKGSEDAEKTLKSSDQADSRSVDSAKSTDDDEEDEDEIEAARMAAMNQEIKRVTDDLFDEIATKVLNEDDPSAEPNEPTRVSSVKGPGLGESKPKVSAKVVVPAKPTNVSSADHSDGTGLSSPKGALLGLASYDSDDEDDEGDNEDKVPVSNLSSETKAGAARPGEGEKATDGEQHGNHNERNSVVQNASLGEDRKFNDEMSHRNSSAELKQELRVHDTHSREFPSDAKISSQPKDVAPTLDEKAHGYSQNGKVPPSGNNVENFSNMESSQGHLGKSSNEEDFVKEPKAVRRKESESSSKRYNDDDKSSMYGNIDKKGSFKEEKGSDRSVRHGADTREPRSRGNSKQDDAKGERKDYQKDIEERKGNMKRRIGRGKLQRAQVVIAVEGQDHQVREVGPRRRVLHIERVSQVMSLLIIRKGENFIHVKAACPPHPQNLGTDEFRGLHIASILTAGIRLIHLRKEAFQIQNSSQKKIEDTFDFKMPRHPCEAMCGISLHLLLIH >ORUFI04G30020.3 pep chromosome:OR_W1943:4:28823072:28830095:-1 gene:ORUFI04G30020 transcript:ORUFI04G30020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYPHHRRFAVSGDAPPPPPPPQPQPPPPSHASPHWYPGPSPPPYPPHANHPFPPQHHQWGPPHPPPPLPQQPPPYGYHPSLPPMPMQPPPPAAGNPWPPHHAAAQPPPPSYPPPPPGQGWANHSWAQSHGYAGHGNEEDWATKAKAWAAAKSVTENHHIQQHAISANRPEVHNYGYQDQYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLPDGGPLAPPAKNFGSFPSAYEQEVSYNYPSTPGVGNAMIQYPSSQTQLPPTASAMQDGFPQAPSSMHIAPSLEQPHFGHDGQSSKIAVDPSDQPLEFNSRKAPDMAVHRTANFNSNIPAAPIAASDHDAHSAPAQSWIPSTTVLFPQASVPPQAAQMDPSVHAAPLFGAVSGSSYIPPAAFGVGNVTEAFPVDANTPFNVTERSKKPPVPNWLREELLKKKSTSVSASVQHSTEFHSKGSEDAEKTLKSSDQADSRSVDSAKSTDDDEEDEDEIEAARMAAMNQEIKRVTDDLFDEIATKVLNEDDPSAEPNEPTRVSSVKGPGLGESKPKVSAKVVVPAKPTNVSSADHSDGTGLSSPKGALLGLASYDSDDEDDEGDNEDKVPVSNLSSETKAGAARPGEGEKATDGEQHGNHNERNSVVQNASLGEDRKFNDEMSHRNSSAELKQELRVHDTHSREFPSDAKISSQPKDVAPTLDEKAHGYSQNGKVPPSGNNVENFSNMESSQGHLGKSSNEEDFVKEPKAVRRKESESSSKRYNDDDKSSMYGNIDKKGSFKEEKGSDRSVRHGADTREPRSRGNSKQDDAKGERKDYQKDVREKSRDSADRREKGKHEKEDRSRQTTKGSSSHSSRRSRSPSARSRTKKESSSHRESVSSDEPSDNSKRRKLHSCKSSMSPSPPKSRNRYLLSEETSGSYISYPFTYPFSYVSSLDEFRGLHIASILTAGIRLIHLRKGIGQEEAFQIQNSSQKKIEDTFDFKMPRHPCEAMCGISLHLLLIH >ORUFI04G30020.4 pep chromosome:OR_W1943:4:28823072:28830095:-1 gene:ORUFI04G30020 transcript:ORUFI04G30020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYPHHRRFAVSGDAPPPPPPPQPQPPPPSHASPHWYPGPSPPPYPPHANHPFPPQHHQWGPPHPPPPLPQQPPPYGYHPSLPPMPMQPPPPAAGNPWPPHHAAAQPPPPSYPPPPPGQGWANHSWAQSHGYAGHGNEEDWATKAKAWAAAKSVTENHHIQQHAISANRPEVHNYGYQDQYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLPDGGPLAPPAKNFGSFPSAYEQETQLPPTASAMQDGFPQAPSSMHIAPSLEQPHFGHDGQSSKIAVDPSDQPLEFNSRKAPDMAVHRTANFNSNIPAAPIAASDHDAHSAPAQSWIPSTTVLFPQASVPPQAAQMDPSVHAAPLFGAVSGSSYIPPAAFGVGNVTEAFPVDANTPFNVTERSKKPPVPNWLREELLKKKSTSVSASVQHSTEFHSKGSEDAEKTLKSSDQADSRSVDSAKSTDDDEEDEDEIEAARMAAMNQEIKRVTDDLFDEIATKVLNEDDPSAEPNEPTRVSSVKGPGLGESKPKVSAKVVVPAKPTNVSSADHSDGTGLSSPKGALLGLASYDSDDEDDEGDNEDKVPVSNLSSETKAGAARPGEGEKATDGEQHGNHNERNSVVQNASLGEDRKFNDEMSHRNSSAELKQELRVHDTHSREFPSDAKISSQPKDVAPTLDEKAHGYSQNGKVPPSGNNVENFSNMESSQGHLGKSSNEEDFVKEPKAVRRKESESSSKRYNDDDKSSMYGNIDKKGSFKEEKGSDRSVRHGADTREPRSRGNSKQDDAKGERKDYQKDVREKSRDSADRREKGKHEKEDRSRQTTKGSSSHSSRRSRSPSARSRTKKESSSHRESVSSDEPSDNSKRRKLHSCKSSMSPSPPKSRNRYLLSEETSGSYISYPFTYPFSYVSSLDEFRGLHIASILTAGIRLIHLRKGIGQEEAFQIQNSSQKKIEDTFDFKMPRHPCEAMCGISLHLLLIH >ORUFI04G30020.5 pep chromosome:OR_W1943:4:28823072:28830095:-1 gene:ORUFI04G30020 transcript:ORUFI04G30020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYPHHRRFAVSGDAPPPPPPPQPQPPPPSHASPHWYPGPSPPPYPPHANHPFPPQHHQWGPPHPPPPLPQQPPPYGYHPSLPPMPMQPPPPAAGNPWPPHHAAAQPPPPSYPPPPPGQGWANHSWAQSHGYAGHGNEEDWATKAKAWAAAKSVTENHHIQQHAISANRPEVHNYGYQDQYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLPDGGPLAPPAKNFGSFPSAYEQEVSYNYPSTPGVGNAMIQYPSSQTQLPPTASAMQDGFPQAPSSMHIAPSLEQPHFGHDGQSSKIAVDPSDQPLEFNSRKAPDMAVHRTANFNSNIPAAPIAASDHDAHSAPAQSWIPSTTVLFPQASVPPQAAQMDPSVHAAPLFGAVSGSSYIPPAAFGVGNVTEAFPVDANTPFNVTERSKKPPVPNWLREELLKKKSTSVSASVQHSTEFHSKGSEDAEKTLKSSDQADSRSVDSAKSTDDDEEDEDEIEAARMAAMNQEIKRVTDDLFDEIATKVLNEDDPSAEPNEPTRVSSVKGPGLGESKPKVSAKVVVPAKPTNVSSADHSDGTGLSSPKGALLGLASYDSDDEDDEGDNEDKVPVSNLSSETKAGAARPGEGEKATDGEQHGNHNERNSVVQNASLGEDRKFNDEMSHRNSSAELKQELRVHDTHSREFPSDAKISSQPKDVAPTLDEKAHGYSQNGKVPPSGNNVENFSNMESSQGHLGKSSNEEDFVKEPKAVRRKESESSSKRYNDDDKSSMYGNIDKKGSFKEEKGSDRSVRHGADTREPRSRGNSKQDDAKGERKDYQKDVREKSRDSADRREKGKHEKEDRSRQTTKGSSSHSSRRSRSPSARSRTKKESSSHRESVSSDEPSDNSKRRKLHSCKSSMSPSPPKSRNRYLLSEETSGSYISYPFTYPFSYVSSLDEFRGLHIASILTAGIRLIHLRKEAFQIQNSSQKKIEDTFDFKMPRHPCEAMCGISLHLLLIH >ORUFI04G30030.1 pep chromosome:OR_W1943:4:28832222:28833652:-1 gene:ORUFI04G30030 transcript:ORUFI04G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAYPPPPPYYRLYKDYEKDPSSAPEPPPPVDGPYQLFGATYTTDVVLPSLEDQGVRQLYPKSPDIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQEERGGTKATWGITANLRWKPAEFACNVKTCDVSASLLPNVPSWLARECTWQFTCRNSLVSSVTVGDTSGFCANSLFIACLCVVICETLNIEPILDLTVEKLQCSPVMHTVS >ORUFI04G30040.1 pep chromosome:OR_W1943:4:28833671:28835087:-1 gene:ORUFI04G30040 transcript:ORUFI04G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNPTLVRLRLRVGSVARLRLRLRHRHRRRRSPEALAPFLEVGIPICASSKQLFMFFPFRLGS >ORUFI04G30050.1 pep chromosome:OR_W1943:4:28835216:28838960:1 gene:ORUFI04G30050 transcript:ORUFI04G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKAAQLSGRTLEKVVVHPLVLLSIVDHYNRVARDTRKRVVGVLLGTSSRGSVDVTNSYAVPFEEDDKDPRIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHALFNNYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTSKLAALKGLDARLREIRGYLDLVIEGKLPLNHEILYHLQDVFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDSKPTAIPSAAGS >ORUFI04G30060.1 pep chromosome:OR_W1943:4:28839740:28841676:1 gene:ORUFI04G30060 transcript:ORUFI04G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSFSWPFRRRGGGGGGGPSKPSASSAAAAAAAAAGNEEEELGVTPQLLDFLRTLSPDSFKSSALQLQGNSHTLAISISISSFPLRSGLDRDVRACFSQGWKLATSPAGRSGTPCSCSPKPRYELRAIQKEKVRRMETENGKAKDVTTVEVEMQESKCSRDSQTLPGDEESQDS >ORUFI04G30070.1 pep chromosome:OR_W1943:4:28842165:28843799:-1 gene:ORUFI04G30070 transcript:ORUFI04G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLRFHEQKLLKKTNFLDFKREKGHRDAIVTQRYLLVERDDYKKYNGICLMVQKLVNIIKQMDPRDPYRAEMTDMLLDKLRRLATVMVKLKFAEHLKEAVTYIQQGHVRVGPETVTDPAFLVTRNMEDFITWVDSSKIKRKVQEYNGELDDFDAMA >ORUFI04G30080.1 pep chromosome:OR_W1943:4:28847425:28847856:-1 gene:ORUFI04G30080 transcript:ORUFI04G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSKIRYIVWLRQTLRRWRSRAAARAAAEAVPAGHVAVCVGGASRRFVVRAAHLNHPVFRELLRQAEEEYGFPSGAYCGPIALPCDEGLFEHVLRHLSSPSSAARFVTLEDIQSGALSCCCAAAGDALPLLRGIATDKAVW >ORUFI04G30090.1 pep chromosome:OR_W1943:4:28862428:28862889:-1 gene:ORUFI04G30090 transcript:ORUFI04G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVITIQSLVWLRRTVRRWRSRAAARPAGSKMERDGDAVPAGHVAVRVGGGGEDARRFVVRVAHLNHPAFRELLRQAEEEYGFPSGASGPVALPCDEDHFRDVLRRVSSDERHDLAFCRPAATCGVTKRRAESSSSSSSRAPLLQGMDSLVW >ORUFI04G30100.1 pep chromosome:OR_W1943:4:28867167:28869876:-1 gene:ORUFI04G30100 transcript:ORUFI04G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVATTFLPTASNEATLRPSFVRDEDERPRVAYNQFSDAVPVISLQGIDEAARAEIRARVAGACEEWGIFQVVDHGVDAGLVADMARLARDFFALPPEDKLRFDMSGGKKGGFIVSSHLQGEAVKDWREIVTYFSYPVKSRDYSRWPDKPAGWRAVVEQYSERLMGLACKLLGVLSEAMGLDTNALADACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDLVGGLQATRDGGKTWITVQPIPGSFVVNLGDHAHIMHLLGNVNLQYLSNGRFKNADHQAVVNSDCCRLSIATFQNPAPDARVYPLAVRDGEEPILEEPITFAEMYRRKMARDLELAKLKKKAKEQRQLQQAALPPPPPTQVAAELAAQKPKSLDEILA >ORUFI04G30110.1 pep chromosome:OR_W1943:4:28872178:28876195:-1 gene:ORUFI04G30110 transcript:ORUFI04G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLEARGTTTAMGALEEAHLAAAISACECECYEEEEEDDLVEGDGEAAAADAMEPAVRALLLGLGEDARREGLRRTPKRVAKAFRDGTRGYKQKVKDIVQGALFPEVGVDKRTGSAGGTGGQVVVRDIDLFSYCESCLLPFSIQFHVGYVPSGGRVVGLSKLSRVADVFAKRLQNPQRLASEVCGALHASIQPAGVAVALQCWHIPLPENLKCKTLQGWISTSHSSRSGVFEGESSSFWNDFSALLKLRGIDMERDSHSASIAWCPLRSHDVPVCNGHCKKATTNGAISPKSVPAPSNMVSAVSSMLLSLGEDPFRKELVGTPQRYVQWLMKFRACNLDVKLNGFTLNNLSVYQSPAGDAADHRAIHSELHLPFCAQCEHHLLPFYGVVHIGYLDGGDGEVIDRSHFQALVHFYGCKLQVQERMTRQIAEAVYSVSHCGAIVVVEANHICMISRGIEKIRSSTATIAVLGQFLTDPSAKARFLQNVVDTTGLAV >ORUFI04G30120.1 pep chromosome:OR_W1943:4:28879942:28888642:1 gene:ORUFI04G30120 transcript:ORUFI04G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGEPEKVPAAAEGEEKADGAKDAAGSGGELLYCGATNFETMGRKVVGGAQGNLVSPTRMRSLMGVDIRFVASGCTACHCVALDAEGRCYTWGRNEKGQLGHGDTLQRNLPTVVSELSKYKVIKASVGRNHTVVVTDDGKSFSFGHNKHGQLGTGSLRNEIETSPMPCLVTEATNAVCGADFTVWLSSVEGSTILTAGLPQYGQLGHGTDNEYNIKDSSVKLAYDPQPRPRAIASLSGKTIVKAACGTNHTVAVDSSGYVYTWGFGGYGRLGHREQKDEWQPRLVEVFQKHNVLPPNAIISAGAASSACTAGGGQLYMWGKLKNTGDDWMYPKPLLDLSGWNIRCMASGNMHHVVGADDSCISWGTAQNGELGYGPNGQKSSANPKKVDILEGMHVISVGCGYGLSAIVVDRSIASDRLNQLDIYDGDTSTEVEDRVEVQVAKKASASTNARSNKRKKTKDVSESEEDDDEEDDSDDDENGEIKGGKGRRGRKPSNRGRGRGAKKATPEPKPSARGRGRPKKTESPAQKTGSLGRGGKRGKRGRARK >ORUFI04G30130.1 pep chromosome:OR_W1943:4:28886809:28890065:-1 gene:ORUFI04G30130 transcript:ORUFI04G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLEYTPFDSINLFLDNLDLGDCTIRGNLEAFSCKHTGNDRRLSISLEHEILDCLSKSSDSDHSSPVEHLSCRSSRKTLIYLVLTLSHMYPDYDFSAVRAHLFFKEEEWESFKEMTDTYLSEASKQWAATNEGTSLLDSMTNVIDEVIKIGESDIYSYNPDQDGDPFLEKGVIWSINFFFYNRKLKRVVSFRCSCISKISGDDFLTSAPSDGEEEDALIDMDI >ORUFI04G30140.1 pep chromosome:OR_W1943:4:28897391:28902454:1 gene:ORUFI04G30140 transcript:ORUFI04G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPKNGCLKILACAGGGSDPSAGSDGDADDHVDENKAISDKSRWSFRRRSTRHRVLKNSDISEPETLSSSKAKAEIAPSNIYSSTYSYASEKPLQQEKPDEKILQQEKSDEKILQQDEPAEKILQQEKPDEKILQQDKPDEGPLNEEMPDEKLIEKPIDQPGDESIEKPADEPIEKSADQITERSIEQPAERVTEVPIQEPTERVTETPIVKPNDNDVEEHTDKTDESIFVSSTEVKQEETVSLFDGSSEDHQEDCAETAAAVIQSGIRVHTEEQELPNDKDLVKLQAVIRGHLVRRQAAESLQCLLAIVKMQGLVRVHQAQQYGGKFQDSLICSSSEKLLNNGFALKLMDSMSTSKSIHIKCDPSEPDVAWKWMERWTSMIPPNTGGHLLEDRENNESVDEKIKGDAQHEENTLPLDSDISFPKLVPDDAEETLRPSDSHPLEVSACIPDRTSGMEIEDVPEPDLIEKFKEDVEKLTEPETENVAEQPLEVSVEQSTQTDTSREPIPLPEKPESSFDDTMDAYKTEQTSEMEGKKFMARKSCNPAFAAAQLKFEELTSNSTVSRSNSLDGANKPKVHTPRSQDNVSPKQSNDTSIPESSVGHDPKIVVAASECGTEISISSTLDSPDRSEADGGEIVLEIGSLEDRNRVGDNAEKDNSVLHSEVNTSGGAIEPEKEVQTDDTAIAANAIDPVPVEQPHLGQEKPDLHDELEKSVGSYVKTPEGTPLSRTTFAESHGTPSSEVSVNTKKSKSRRPKSHVSKRSLTSPSSDSVGRSSMDNLSKDYRLGRRESSGKVKSDHVDQEPRISNSTPLPSYMQFTESARAKASASVSPKLSPDVQDNNPRKRHSLPMTNGKQDSSPRMQRSSSQAQQNVKSNGAVPVPPNSSGK >ORUFI04G30150.1 pep chromosome:OR_W1943:4:28906357:28911200:-1 gene:ORUFI04G30150 transcript:ORUFI04G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRSRRVSWASGGNLCKVRLFLSEDSPSQAGLRPQDNLQAKGSWLLHAAGPSSDDSLPPGFESLPPSNDLKIDMSQIPLIRWKCPPHIVLEQDWHIVAGEESREIEIQNERINGALEAIYPRPSNIPPNPFLSLDVKDAHYDDSKTLLVPLIPLEDDDASDQLEGPTLDLPSHYNITGVSNTPVSAEQQPPCGGAISSGFTIEPQAAVSATVTAIMQTIQSNQNGSMADQNGSTIDQELLFKILSDPSQLQRLMKECGPVRHEQSASSSVVAPLVSIPPPQITASSPAPFSDHVGTFHGTNPTLPPPPPMMNRPPSTIPSVAMNHPPSSSPAMNFGSALPSSSPSVNFGSVPGRGVGYYKTLIHQHGGERLEQPFEQHGMQFGMYRQPGPPQNGGIDAMNGAAAMVSRDGKVRPMKPCAYFNSPKGCRNGASCTFLHDASAPTRKDHQKQKGSKRIKLDNTMGGRN >ORUFI04G30160.1 pep chromosome:OR_W1943:4:28912494:28912826:-1 gene:ORUFI04G30160 transcript:ORUFI04G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >ORUFI04G30170.1 pep chromosome:OR_W1943:4:28913831:28914397:1 gene:ORUFI04G30170 transcript:ORUFI04G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRSRIARDWQPNQQARTLCSVSLPARPQPLRKLLYSARPSPGMATGLDQPHAAAICAKEPTTRWRRSGRGSDEDEAAWSKPAVGGLQLLAATPMARPDSGGGGDEGFGGFGAEERQIKREDLRPDCSRIQSNGWNAQPQE >ORUFI04G30180.1 pep chromosome:OR_W1943:4:28914419:28917473:1 gene:ORUFI04G30180 transcript:ORUFI04G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGKSFSAAEEEATDSVSTKPEEVAAYQSSEAKQAKLQSMLAALLDDPILADVPRKPSLADVDTLINLELGSAMRLTVVKLDGTSFDVAMLNTATVKDLKMAIRKKTDEIEQEKMGHRHISWKHIWDNYCLTHQNEKLIDDNSVLSSNGICNNSKVYFSPHVMSRVYRKHSRRRKHRFFHGLHRKIKL >ORUFI04G30190.1 pep chromosome:OR_W1943:4:28918316:28919366:-1 gene:ORUFI04G30190 transcript:ORUFI04G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMQQQQRQQVGGGGGEEVAGRGGVPVCRPSGTRWTPTTEQIKILRELYYSCGIRSPNSEQIQRIAAMLRQYGRIEGKNVFYWFQNHKARERQKKRLTTLDVTTTTAAAADADASHLAVLSLSPTAAGATAPSFPGFYVGNGGAVQTDQANVVNWDCTAMAAEKTFLQDYMGVSGGGGAAAAAPTPWAMTTTTREPETLPLFPVGGGGGDGAHRHAGHGGFPSNFQRWGSAAATTNTITVQQHLQQHNFYSSSSSQLHSQDGPAAGTSLELTLSSYYCSCSPYPAGSM >ORUFI04G30200.1 pep chromosome:OR_W1943:4:28927390:28930634:-1 gene:ORUFI04G30200 transcript:ORUFI04G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTSTSNPSLEAQEQETLASASLALPLLRAAFSRSNGSLAEALSPPPAAFRSDSPPVPPRFHDLVARLGPAIASLFFSDVGPAGDAAGWLGFLRGFNRCCARVPASQSLALLLRVYAAACADAGAPCGLQFHPDEDGAGGEGKVVGELAPGEIAVLLWMCWVMAWSGSAPGVSGGQEGGGKGEPVLLPDVTHLVLSALVSAGAVADDAGVWGWEVSRGGKGVKVQEFTSWVLSTAAGLGNCLSRYVQERFRSCAADPVEERSVSTGNTSSCNPDVYLLTRGRAWAISLSLRNTLSEKFLSASVIGMDTEDLLYRSSVHGKGLSRFWSCVEGYKGPVLILLSAFSRSGGDNVDTDQRWGIGILTEEGLQNKDTFYGSSASLCSTYPIFRMLPPSGMTCAGHFLFTTADSSLRPYCASDLAGKEKNFIYCHLHPQIRVYEAKPKPVGLGFGGTIGNERIFLDEDFSKLTVRHHAVDKTYQHGSLIPNQGYLPVEASVLDVEVWGLGGEATRRQQDMYKKREDIFSEQRRKVDLKTFGNWEDSPEKMMMDMISDPNAVRREDR >ORUFI04G30210.1 pep chromosome:OR_W1943:4:28931376:28934031:-1 gene:ORUFI04G30210 transcript:ORUFI04G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLDAKNPKNRLILSSPSSKPKTKALSHSTEPPLSASSAPRRAAAKDAKIGTETPAADGDAARKRPSAGDAPAPAPLADKRHRPNPPSSGSRDRDRHHRHGHSHSHGHARPSTAGGGEKKLRASHILIKHEGSRRKASWRDPEGVAISATTRDDAADLARALREKIVAGERKFEDVATEESDCNSAKRGGDLGPFERGKMQKAFEKAVLALKVGEISDVVDTDSGVHIILRTA >ORUFI04G30220.1 pep chromosome:OR_W1943:4:28935411:28935902:1 gene:ORUFI04G30220 transcript:ORUFI04G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKTPGDHMALCLGSGGGGPNRAVSEDTGNEDTAAAEERRQVARYKQTLWEFKLSAIVIVLVLAFWVALLLVGTLDHHMSESWRQTYVSSGMVASGPWLFMVICHLRDYGFFVPQMSHLSNQEHQLPPRGPRPLLTDGYRSIIPHKRGSLQNPDRSILLYM >ORUFI04G30230.1 pep chromosome:OR_W1943:4:28937808:28937993:-1 gene:ORUFI04G30230 transcript:ORUFI04G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPVWGVDDGGAVAGDKLHCGMDSGGCGRRRRAPTWDVDDGTTSDDTLVPGSRYLVSIT >ORUFI04G30240.1 pep chromosome:OR_W1943:4:28940033:28940467:1 gene:ORUFI04G30240 transcript:ORUFI04G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKTQIIPVLCLGNGGSGPNRAVSEDPGSEHTAEDETAREIVDAEVRRQVARYKHKLREFKLTVIGTAVFLVFWVVLLLIGTLDHHMSVSWRRFFVTCGVFECLFWLYLVVGHLREYGLSIPKISDFSNEEHQLPPVGHDRC >ORUFI04G30250.1 pep chromosome:OR_W1943:4:28942977:28943588:-1 gene:ORUFI04G30250 transcript:ORUFI04G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNAAEIQQITAHLAYYGRIEGKNVFYWFQNHKARERQRLRRRLCARHQQQPSPPSSTVPPAPTAAAAGAVVQVHPAVMQLHHHHHHHHPYAAAAAAQSHHLQQQQQQQAEWPAAVDYCSTASASASATAADMAIPPCCRPLKTLELFPTKSTSGGLKEDCCSSSKSSSCSTSTN >ORUFI04G30260.1 pep chromosome:OR_W1943:4:28947375:28952137:-1 gene:ORUFI04G30260 transcript:ORUFI04G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQEKAKTGVLRNAAALLDEMQLMGETQGAKKVINSELWHACAGPLVCLPQRGSLVYYFPQGHSEQVAATTRKIPNSRIPNYPNLPSQLLCQVHNITLHADKDTDEVYAQMTLQPVNSETDVFPIPTLGAYTKSKHPTEYFCKNLTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNMWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSVLFIRTSPSPFVIPVARYNKATYMQPSVGMRFAMMFETEESSKRRYTGTVVGISDYDPMRWPNSKWRNLQVEWDEHGYGERPERVSIWDIETPENTLVFPSSTLNSKRQCLPGYGVSVPGMEIGSANMSSFPRAQGNPYGSLQHIPAVGSELAIMLLNQSGQTLGSPLSFHQSSYSSIIQNVKQNYIPPLTVSTSACLTKQESLPSDDAQHQFHMANMQNGDLEGSEVQPVIDSISESKLNATSRDPRNTDSYTSRSTSEQNSKGEPRGKTRRSKKGLPHKTVSEKSDLSSAPSWICDNQQVGLESKLVGCDEQVNCGNIEDSSGALTQGNFVGQPHGHQVEQKGVLSPPKVESSKSPDGGKSVNSFPNQGCFSQFIDGLDWMTQPSYYQDSNVIQPAGVSENIFSSSADIPPSMIADTMETFQASCLSDCLPNSIQEFISSPDLNSLTFLSPDMQNLEVQLQHDGSNLPSTSNSFVQMSFSEESASQSANLSGLHMESTHRSINTTSCSQPMSTGGFDAGMYSKLPRLKESQILSLPEIHTNSMGTSACSMDATEYSLDRSAKPMKPPVRTYTKVQKQGSVGRSIDVTGFRNYHELRSAIACMFGLQGKLEHPGSSEWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSPSEVQQMSENGMHVLNDCIQAA >ORUFI04G30270.1 pep chromosome:OR_W1943:4:28980112:28981452:1 gene:ORUFI04G30270 transcript:ORUFI04G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVHSSKAVKPAYGPGEFPTTTGDVVPLTVFDKANFDTYISVIYAYRPPAPANAALEAGLAKALIEYREWAGRLGVDGDGNRAILLNDGGARFVEATADVTLDSVMPLKPTAEVLSLHPSGDDAVELMLIQVTRFACGSLVVGFTTQHIVADGRATNNFFLAWSQATRGAAFHPVPVHDRVSFFAPRDTPKVEFEHRGVEFKPYDDDEDVHASGGGGDDDEVVINKVHLSREFISKLKSQASAGAHRPYSTLQCVVAHLWRCMTKARGLDGRESTSVCIAVDGRARMSPPVPDGYTSNVVLWARPTATAGELVTRPLKHAVELINREVIRINDGYFKSFIDFANSGAVEEERLVASADAAEMVLSPNIEVDSWLRIPFYDLDFGGGRPFFFMPSYLPVEGLLILLPSFFGDGSVDAYVPLFSRDMDVFKNCCYSFDKDQTTSLA >ORUFI04G30280.1 pep chromosome:OR_W1943:4:28983709:28985058:-1 gene:ORUFI04G30280 transcript:ORUFI04G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVHSSKAVKPVYGDAVAAPSTADVVPLSVFDRANFDTYVSVIYAFRPPAPANSVLEAGLAKALAEYREWAGRLGVDGDGDRAILLNDAGARFVEATADVTLDSVVPLEPTPRVTSLHPSADDDGAEAEVMMVQVTRFACGSLAVGFTAHHMVSDGRATSNFFLAWSQATRGVAIHPVPVHDRASFFTPRDPPRVDYEHRGVEFKTCEKLDRNENNDDGHGHGHDGEVVVTHKVHFSREFISKLKALASAGGGQRSYSTLQCVVAHLWRCITMARGLEGSVATSVSIAVDGRARMSPPVPDGYTGNVVLWARPTATARELVTMPLQHAMGLINRAVARINDGYFKSFVDFANSGAVEAERLVSSADAAEMVLSPNIEVDSWLRIPFYELDFGSGQPFLFTPSYLPVEGLLILLPSFSGDGSVDAYVPLFSHDMDTFKNCCYVLPELS >ORUFI04G30290.1 pep chromosome:OR_W1943:4:28992152:28998649:-1 gene:ORUFI04G30290 transcript:ORUFI04G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVNGKLQLHDGRTAYHFQPAKFWQNGPLYHNGLYHFFYQYNPHGPLWDTGKLSWGHSVSGDLVNWAFLGTAIDPTDPFDVNGCWSGSATVLPGGRPAFLYTGRDAGGVQVQNVSFAKNPLDPLLREWEKPSCNPIIAFPADVINNNFRDPTTAWLGRDGLWRMVVAAEVAGAGSALVYRSADFLRWERNAAPMHSSAAVPVLECPDFFPVAEHGTDGLDTSANGGGTGVEHVLKLSEFDTHQDFYMVGRYDDEGDTFSPEEPDRGDNCRRWRCLDYGQAYAAKSFFDARRNRRVQWLWVNEYDSKADDVAKGWAGVQAFPRKVWLDGDGKQLLQWPVDEIETLRTKRVGLQGTEVKAGGLHEIVGVASSQADVEVVFEIPNLEDEAESFDPDWLDPHKLCKDKGAASAHGGVGPFGLIVMASGDLQEQTAVFFRVFKHHGKYKVFMCTDLTRSSTKADVYKDAYGGFVDVDIQKDKSISLRTLIDHSMIESFGGGGRACITTRVYPEHAATSSSHLYVFNNGSGTVNVSKLEAWEMATATVNSADALDAITLLLAAASLYCSAMALAGLPLSVFAIAVHFCLVFSSSSSPPVCPANGHRDRTAYHFQPAKNWQNGPVYYNGMYHLFYQYNPHGALWDVGNLSWGHSVSGDLVNWAALDNALDPTAPFDANGCASGSVTILPDGVPVVMYSGIDARRRQVQNVAFPKNPRDPLLREWTKPGYNPVIPVPADVSPDNFRDPTTAWLGSDGLWRFAISAVADGVGATLVYRSADFLRWERNAAPLHASRDAVMAECPDLFPVAEHGEDGLDLDASAIGGAGAGVRHVLKVSMPDTLEDYYMVGRYDDADDTFTVPPEDLEAHGDDYRRWRRIDHGHLYASKTFYDAGKKRRVLWAWVNESDSEADDVTKGWSGLQSFPRAVWLDEGGRQLVQWPVEEIETLRRKRGVLLGGNEVEAGGLREIGGIAGSQADVEVAFEIASLAGADRLDPDHLRDPDALCGENGAAVHGGIGPFGLLVMASGDLRERTAVFFRVFRLSHGYTVLMCTDLTRSTSRAGVYKPSHGGFVDIDIEKDRAISLRTLIDHSIVESFGGGGRTCMTARVYPEHVATGSSHLYVFNNASDAVKVSKLEAWELATASVNAGDDGLISWRALIVPRRPVNPHRDGETGCNASTLVANGRGGRRRATISVGEARGGRRERTVERCTAGAGSTAEGGSQRGERWG >ORUFI04G30300.1 pep chromosome:OR_W1943:4:28999288:29002021:1 gene:ORUFI04G30300 transcript:ORUFI04G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLFRPKKKEKKIQSPATLSRERKRKRKKASWRRRRQPRRPPPTARRRRRRRPRRTPAPPGSPTPTASPSTPPPSSVWRPTKTRASASSSSTTIRSAKRERGRLGLNETRVGHYLVEQYRGPMCDKMLV >ORUFI04G30310.1 pep chromosome:OR_W1943:4:29002576:29004601:-1 gene:ORUFI04G30310 transcript:ORUFI04G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASVKGENVTVSAAAAPRMKKLASMLCMKGGNGDGSYLNNSQAQALHARRMLHFLEETLDAMMERSSSDKLFTAADLGCSCGSNSLFIVDVIVRRVSEAYESRGRDAPEFQVFFSDLPSNDFNTLFQLLPPLLAPVAGSLEECLAAGEGAATATRPYHAAGVPGTFYGRLFPGESIDVFTSTFSLHWLSQVPEEVGDSASPAYNGGRVFVHRATEAVAAAYKRQFQADLARFLRSRAREMKRGGAMFLACLGRSSGDPADQGGAGLLFGTHFQDAWDDLVQEGVVEGEKRDSFNIPVYAPSLQEFRDVVRADGAFAIDRLELVRGGSPLVVDRPDDAAEVGRAMANSCKAVAGVLVDAHIGERRGAQLFERLERRAARHARELVEKMHFFHVVCSLSLAP >ORUFI04G30320.1 pep chromosome:OR_W1943:4:29005295:29007021:1 gene:ORUFI04G30320 transcript:ORUFI04G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSAMVAAGASAMVDPVVGMAAADSAMGTGAAADLEAGDSVAADPPARPSSRSYARLRGLTATRPQEAKEREREPREERRRRLPLAAAAVVEREVEGRVEIRLLYYVMVCACAFGTPVFVGEHFVEQLVSGER >ORUFI04G30330.1 pep chromosome:OR_W1943:4:29016069:29018630:-1 gene:ORUFI04G30330 transcript:ORUFI04G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAACSSSASALVRLTGPIHLCSAPPRGSARRRHASVRCSFAPVETARIKVVGVGGGGNNAVNRMIGSGLQGIEFYAINTDSQALLNSQAQYPLQIGEQLTRGLGTGGNPNLGEQAAEESKEAIANALKDSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVALEALEKLERSVDTLIVIPNDRLLDVVDENTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKGARIMEAKEKAANLTYKAVAAATVQPAPAATWSRRLFS >ORUFI04G30330.2 pep chromosome:OR_W1943:4:29016069:29018630:-1 gene:ORUFI04G30330 transcript:ORUFI04G30330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAACSSSASALVRLTGPIHLCSAPPRGSARRRHASVRCSFAPVETARIKVVGVGGGGNNAVNRMIGSGLQGIEFYAINTDSQALLNSQAQYPLQIGEQLTRGLGTGGNPNLGEQAAEESKEAIANALKDSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTYPFSFEGRKRSLQASALEALEKLERSVDTLIVIPNDRLLDVVDENTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKGARIMEAKEKAANLTYKAVAAATVQPAPAATWSRRLFS >ORUFI04G30340.1 pep chromosome:OR_W1943:4:29020512:29027108:1 gene:ORUFI04G30340 transcript:ORUFI04G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQEIASCVESVLRSSGGAAGEASLAAVLSQAEAKLGVDLAHKATFIRDQMDLFFGPRLQPPLVAKAQAAAAAAPNPPPLVAAPAPAMPQVQVQAQLQQMQQQLAVLQPQLIFQAMPQLPAGVPGGAAGAVSPQPPVPAMAFYPPPPLAFRVTSGLAGVATGGTVSFQQPAPGTGGTASPTAAVQAAGDNKESASKRKRGGPGGLNKVCAISPELQTIVGETVMSRTQIVKQLWQYIRQNNLQDPDDKRKIICNDELRVVFGTDTTDMFKMNKLLAKHITPLDPKDQIREAKKFKPSNVATQPMPLINQPSVVISDALAKFIGMEGTVPQDDALRYLWDYIKANQLEDAITGSILCDSKLQELFGCESIPSSGLSELLAHHFIKET >ORUFI04G30350.1 pep chromosome:OR_W1943:4:29025182:29027899:-1 gene:ORUFI04G30350 transcript:ORUFI04G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVVVVGCFAILEIDIMNTKKIKLHDCHFGSPLCDPSPAPHLLSSAAAAGLSFHPGLVSSAAQHQQHGAGGWLHEEYYAPRSSPPSSLLAQTCVGSNATAFYAAENLPQFDFPALGTAAAAAAKAPFRSSESELYRPVDPLLLRADHSVRTYYVRPQKRDSGERTPLPPPSQQQHQDRIHGLFAGAPTTRLLSGEPKIHSFPPQVAAKPILPAMDAPSLQNQMENQLTRNCIGAATPVTPTGNLAGSGAPSKTRIRWTQDLHERFVDCVNQLGGADKATPKGILKLMNSDGLTIYHIKSHLQKYRIAKYMPASSEGKQLEKRATGNDMQNLDPKTGMQITEALRVQLDVQRRLHEQLEIQRNLQLRIEEQGKRLQKMFEDQLKASRSVMEPQELDDVVAFAAGDGDDDAFDDVDVQLLAVAGSGYDDAGFQSKIS >ORUFI04G30350.2 pep chromosome:OR_W1943:4:29025182:29027464:-1 gene:ORUFI04G30350 transcript:ORUFI04G30350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDIMNTKKIKLHDCHFGSPLCDPSPAPHLLSSAAAAGLSFHPGLVSSAAQHQQHGAGGWLHEEYYAPRSSPPSSLLAQTCVGSNATAFYAAENLPQFDFPALGTAAAAAAKAPFRSSESELYRPVDPLLLRADHSVRTYYVRPQKRDSGERTPLPPPSQQQHQDRIHGLFAGAPTTRLLSGEPKIHSFPPQVAAKPILPAMDAPSLQNQMENQLTRNCIGAATPVTPTGNLAGSGAPSKTRIRWTQDLHERFVDCVNQLGGADKATPKGILKLMNSDGLTIYHIKSHLQKYRIAKYMPASSEGKQLEKRATGNDMQNLDPKTGMQITEALRVQLDVQRRLHEQLEIQRNLQLRIEEQGKRLQKMFEDQLKASRSVMEPQELDDVVAFAAGDGDDDAFDDVDVQLLAVAGSGYDDAGFQSKIS >ORUFI04G30360.1 pep chromosome:OR_W1943:4:29033737:29038342:1 gene:ORUFI04G30360 transcript:ORUFI04G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFHMEALLPSSISPKLNSILHSHIYPQVGHVFRALAKFKSLLLDVVRKKRAPTRGGGGKKYAIGYRSRPEKSKKRIASFMKLLRFNWAAAAAVTPARAKDLELHYHPYYYDYDDSTWNVVVPADGAAEELRGGGGDDGEDCGYLCWLEEETSAGALPAAGEGEDGGNGNGDGDGNGAVNEIDRLAERFIARCHAKFLLEKQESYRRYQEMMARSITIGCPFGEGCHFSHFVPGGYQAVAKTLNLGNPAVPAPARAPMDHAAGGNSHPASSGKTRMCTKYNTAEGCKFGDKCHFAHGERELGKPAYMSHESAMAPPMGGRYGGRPEPPPPAAMGPPAGNFGASATAKISVDASLAGGIIGKGGVNTKQICRVTGVKLSIRDHESDSNLKNIELEGNFDQIKQASNMVGELIATISPSTPAKKPAGSASGAAPAGRGGPGGRSNYKTKLCENFVKGTCTFGDRCHFAHGENEQRKGAA >ORUFI04G30370.1 pep chromosome:OR_W1943:4:29038377:29042302:1 gene:ORUFI04G30370 transcript:ORUFI04G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAALITAASTPFPLVSFRSRRDGHLSLSPPRRPGAGRCRASAPTFQGGPAASYAREMERLSAKESLLLAFRDAGGFESLVSGKTTGMQKIDVNERIVGLERLNPTPRPTTRTSIICLFHFTDLPFWKVDGTLNGLVTAVLEHLQPVFCLRLDVLIKDGYSKISSNVKFLNTVQSKFLLTTQLSVEGPIRMKEEYVEGLIEIPRIREETLPDQLKGFFGQTAGALQQLPAPIRDAVSEGIKLPLNGMFQRLFMISYLDEEILIIRDASGAPDVLTRLEGPQPNSIDGTSDAVLSEYES >ORUFI04G30370.2 pep chromosome:OR_W1943:4:29038377:29042302:1 gene:ORUFI04G30370 transcript:ORUFI04G30370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAALITAASTPFPLVSFRSRRDGHLSLSPPRRPGAGRCRASAPTFQGGPAASYAREMERLSAKESLLLAFRDAGGFESLVSGKTTGMQKIDVNERIVGLERLNPTPRPTTSPFLEGRWNFEWFGDSSPGALAARLLFERSPTTVAHFTGLDVLIKDGYSKISSNVKFLNTVQSKFLLTTQLSVEGPIRMKEEYVEGLIEIPRIREETLPDQLKGFFGQTAGALQQLPAPIRDAVSEGIKLPLNGMFQRLFMISYLDEEILIIRDASGAPDVLTRLEGPQPNSIDGTSDAVLSEYES >ORUFI04G30380.1 pep chromosome:OR_W1943:4:29042074:29042529:-1 gene:ORUFI04G30380 transcript:ORUFI04G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQSRASSSSATHKLSHAIAKAPPRKIKIVHVLAPEVIKTDARHFRDLVQRLTGKPAADGPAAASSQPDPCDTAGDEGGFVADGAGAAAAAAAATIKAEIKVEEAVAEAEAEAEASGGLLHALGEDDRNDMFLQWLQSGSCIDMDAVGFS >ORUFI04G30390.1 pep chromosome:OR_W1943:4:29042612:29045330:1 gene:ORUFI04G30390 transcript:ORUFI04G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGACDRREVAEPEHTPKGQESILQAARGGVVLGAAFFGARGGACGGSGDSMWRNRRRTSMMAASGGGVDTEGSGGDNVPRRFLRLAAW >ORUFI04G30400.1 pep chromosome:OR_W1943:4:29049766:29052907:1 gene:ORUFI04G30400 transcript:ORUFI04G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNRSCRKTQKKDRANFFMKTTVINDAATRRRSIKKNKFFVGTKILTFCRRDEYGITDMPRQVMIKQTYLFRELKLRFAGRRIDNEMKILHEQGMYDWEEYNEHKTNIEVSIAAIRNALKKYRERRIKARVFYLVPHLTEISYFVRSHLKTMPREEALKKIMLDRQRSEACERAKMESISSSKKTCAWRAPIADDEARDWDFMAWCFMPRGVAPSQRLASGERCKKGETEMAIGASSASNTTLDKLVNLLRKKDEENNAESFRLEEGRVMHTLCVPHVDLVEVEAEAFAGSRSRKGNKQRMSTALDCDRTDARRSKTRGRNDRWMTIRGPPRRATRRQKNQLLNSPRVPLMGRHTAMAATAKINAMKKGISNRFEDLRLTPRNCKVKQYHRVEFDRLPICSQALLKVVIVGALLELIKWTGLDQIPVLQAGLK >ORUFI04G30410.1 pep chromosome:OR_W1943:4:29053533:29078584:1 gene:ORUFI04G30410 transcript:ORUFI04G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQQQDFKNVFCMEGGQGESSYINNSQSQSRNLKMMLYALEETLDKIQLPRHRPGNKPLLTAADLGCSCGQNTLLIADVIVDHMTDKSFGSKDDDGLEFCFYFSDLPSNDFNTLFHLLPQQAAAAGRDGRQSRRYFAAAVPGSFHDRLFPERSINVFTSTFSLHWLSQVPKRVVDKQSPAYNKGKVFVHGASEETGTAYQRQFRSDMMRFLHCRAAEMKPGGAIFIVSLGRLSSTRGPTEQGYIYEVYCSMFEDSLRDLIEEEMVDGEKMDNFNVPLYAATVEEFKEAVDADGSFKINQLELVMGSPPVVDDPANRGVVGRMVANYMRALFGPLVNTHIGGAMADELFIRMQRRAEIRAEELVDEMCFAHILCSLSLSTQYKEIDGRRKTRGGQGESSYINNSQSQSRNLKMMLYALEETLDKIQLPRHRPGKKPLLTAADLGCSCGHNTLLIADVIVDHMTKLCGTGSLKWYMQKVLMKDVFCMEGGQGESSYIKNSQVQSRNLQMMLPTLKEILDKVQLPRRPGKHLLTAADLGCSCGHNTLIVADAIVEHMTRKLRSCIFDDQDDGDAADPEFCFYFSDLPSNDFNTLFHLLPQHATAAAGDGSERRYFAAAVPGSFHDRLFPKRSIDVFTSTFSLHWLSQVPEGVADKRSAAYNKDKVFVHGASQATGAAYRRQFQSDMARFLRCRATELKAGGVMFLVCLGRPSLHACPTNQGRVQLLYGAMFEESWGDLVEEGTIGRETMGSFNVPAYAATLEEFGEAVGADGSFEINRLELVITSPLAVDDPIRDRRAVGRAVANYVRSLLGPLVDAHVGRAVADEIFVRMQRRAEARAEELVDEMRFPHIDMKNVFCMKGGQGESSYLKNSKVQFRNLQMMLRALEETLDKVVLPHHGPGRLLLTAADLGCSCGRNTLVVADAIVQHMTKLCRRRGKGEHGDDAAADPEFCFYFSDLLSNDFNTLFGLLPHCGAASSGEGGRGRRHYFAAAVPGSFHDRLFPERSIDVFTSTFCLHWLSQVPEEVADKWSPAYNKEKVFVHGGSEETGAAYRRQFQSDMARFLRCRAAELKPGGAMFLVFLGRPSSAGPTDQGRSLSLFGAMFEESWRDLVGEGLIDGERMDSFNVPSYAATLEEFREVVDADGSFEVNRLELVMGSPLAVDDDDDDSHDRRAVGRTVANNQ >ORUFI04G30410.2 pep chromosome:OR_W1943:4:29053533:29078584:1 gene:ORUFI04G30410 transcript:ORUFI04G30410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQQQDFKNVFCMEGGQGESSYINNSQSQSRNLKMMLYALEETLDKIQLPRHRPGNKPLLTAADLGCSCGQNTLLIADVIVDHMTDKSFGSKDDDGLEFCFYFSDLPSNDFNTLFHLLPQQAAAAGRDGRQSRRYFAAAVPGSFHDRLFPERSINVFTSTFSLHWLSQVPEGVADKRSAAYNKDKVFVHGASQATGAAYRRQFQSDMARFLRCRATELKAGGVMFLVCLGRPSLHACPTNQGRVQLLYGAMFEESWGDLVEEGTIGRETMGSFNVPAYAATLEEFGEAVGADGSFEINRLELVITSPLAVDDPIRDRRAVGRAVANYVRSLLGPLVDAHVGRAVADEIFVRMQRRAEARAEELVDEMRFPHIDMKNVFCMKGGQGESSYLKNSKVQFRNLQMMLRALEETLDKVVLPHHGPGRLLLTAADLGCSCGRNTLVVADAIVQHMTKLCRRRGKGEHGDDAAADPEFCFYFSDLLSNDFNTLFGLLPHCGAASSGEGGRGRRHYFAAAVPGSFHDRLFPERSIDVFTSTFCLHWLSQVPEEVADKWSPAYNKEKVFVHGGSEETGAAYRRQFQSDMARFLRCRAAELKPGGAMFLVFLGRPSSAGPTDQGRSLSLFGAMFEESWRDLVGEGLIDGERMDSFNVPSYAATLEEFREVVDADGSFEVNRLELVMGSPLAVDDDDDDSHDRRAVGRTVANNQ >ORUFI04G30420.1 pep chromosome:OR_W1943:4:29078696:29093422:1 gene:ORUFI04G30420 transcript:ORUFI04G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHIHIVCSLSLTRAWWAASTDACARSVRGLAALAAAPGACAACSAMAAPSGCARPARRRQWRSSCRAGGGGVALLHAAGQGRAGRVQPASSLL >ORUFI04G30430.1 pep chromosome:OR_W1943:4:29095166:29095956:-1 gene:ORUFI04G30430 transcript:ORUFI04G30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARFPLLVLCLLPIIVCSVVDAELTHLHFYFHEVDAGTPNATVVNVASLHRNSSTFGDVNVFDNALREGPDPASRLIGRAHGLAVHASLDETGGLTAINFVFSDYGAYSGSTLATQGHFITTGPSERSIVGGTGKLRFARGYMTSKLLSSTDTAIVVVFDMYFTLDH >ORUFI04G30440.1 pep chromosome:OR_W1943:4:29096960:29106178:-1 gene:ORUFI04G30440 transcript:ORUFI04G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGQRRGYCRHAAARLGDLQHERWRRQRRRWVRQRRLQLRATYPDDFVYVYASIPNGVIHPMAIAISHPCSIVYITIDDHVVPLVVYKSVEGFLKAMQKQIHSAGKRGFFSKKSVGPHVREKFTLEDMLCFQKDPIPTSLLKISSDLVSRSIKLFHVILKYMGIDSPAIISLDERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRAWLIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRVTIPAREEIEALLSSRKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKVVNGSKSSDVGNEEYIGLDDNKYIGDLLSEFKAAKDRNKGEILHCKLVFKKRLFRESDEAITDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVDNPESCVEWISLLERFLPRQVAITRAKRDWELDIVSRYQLMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSATSAVSQNDVSQTYKPPNIEIYEKRVQELSKAVEESERKADLLNEELQKKTKQERDMQKELEGLRDTLQSERQSIKEITNDLDKLKSLCDEKDSSLQASLMEKTRLETRLKSGQGQESSNRTGVSGNYFERDTLPTVGTVNNSIEMLAKLEEELKSCKKELDASKELSKKLTMENNLLDQKVQRLERAKSEEKSNMERVYEDECCKLKSRIAELEQKLESRTRSLNVTESTLALRNAEVDTLQNSLKELDELREFKADVDRKNQQTAEILKRQGAQLIELENLYKQEQVLRKRYYNTIEDMKGKIRVFCRLRPLNDKELTEKDKNIVCSPDEFTVAHPWKDDKSKQHIYDRVFDANTTQEEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSENNPGLTPRATSELFRVIKRDGHKYSFSLKAYMVELYQDNLVDLLLAKNATRQKLEIKKDSKGVVTVENVTVVNISSFEELRAIILRGSERRHTAGTNMNDESSRSHLILSIIIESTNLQTQSYARGKLSFVDLAGSERVKKSGSAGKQLKEAQSINKSLSALADVIGALSSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRCIINDTSKHVAPKEIMRLKKLIAYWKEQAGKRSEDDDLEEIQEERTPKEKADNRLTS >ORUFI04G30440.2 pep chromosome:OR_W1943:4:29096960:29106178:-1 gene:ORUFI04G30440 transcript:ORUFI04G30440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVGGSNGAATAATPLHGSATSSMNGGGASGGDGYDSDGYSFAPPTPTTLSMSIPPELAGAIPLIDRFQVEGFLKAMQKQIHSAGKRGFFSKKSVGPHVREKFTLEDMLCFQKDPIPTSLLKISSDLVSRSIKLFHVILKYMGIDSPAIISLDERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRAWLIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRVTIPAREEIEALLSSRKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKVVNGSKSSDVGNEEYIGLDDNKYIGDLLSEFKAAKDRNKGEILHCKLVFKKRLFRESDEAITDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVDNPESCVEWISLLERFLPRQVAITRAKRDWELDIVSRYQLMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSATSAVSQNDVSQTYKPPNIEIYEKRVQELSKAVEESERKADLLNEELQKKTKQERDMQKELEGLRDTLQSERQSIKEITNDLDKLKSLCDEKDSSLQASLMEKTRLETRLKSGQGQESSNRTGVSGNYFERDTLPTVGTVNNSIEMLAKLEEELKSCKKELDASKELSKKLTMENNLLDQKVQRLERAKSEEKSNMERVYEDECCKLKSRIAELEQKLESRTRSLNVTESTLALRNAEVDTLQNSLKELDELREFKADVDRKNQQTAEILKRQGAQLIELENLYKQEQVLRKRYYNTIEDMKGKIRVFCRLRPLNDKELTEKDKNIVCSPDEFTVAHPWKDDKSKQHIYDRVFDANTTQEEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSENNPGLTPRATSELFRVIKRDGHKYSFSLKAYMVELYQDNLVDLLLAKNATRQKLEIKKDSKGVVTVENVTVVNISSFEELRAIILRGSERRHTAGTNMNDESSRSHLILSIIIESTNLQTQSYARGKLSFVDLAGSERVKKSGSAGKQLKEAQSINKSLSALADVIGALSSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRCIINDTSKHVAPKEIMRLKKLIAYWKEQAGKRSEDDDLEEIQEERTPKEKADNRLTS >ORUFI04G30450.1 pep chromosome:OR_W1943:4:29111256:29114739:-1 gene:ORUFI04G30450 transcript:ORUFI04G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEASAAEGGAAAAARTLRWAGRAGHLGGFPRAAVIAAVGAVAKAYASLLNTTTVHNADALLRLVSSRPPGTPLLTFSNHMSTMDDPLMWGFKGFPTTDAKLQRWVLTAEDICFRNVFMSYIFRLGKCVPITRGAGIYQDHMTEALEVLSTGDWLHSFPEGKIAQDDQPIRRLKWGTASLIVRAPVTPIVLPIVHSGFEKVMPEKSFFGRRPPVPLWNKEIHIIVGEPVEFDLPSLKQAARTVPQDSSFERKGWPSIMPDGLDEAAQRWLYQKISDKIQSVMETLRKRLLDLKQH >ORUFI04G30460.1 pep chromosome:OR_W1943:4:29116778:29119096:1 gene:ORUFI04G30460 transcript:ORUFI04G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTSKGIPQEQLPSQELHPPPMPVINLGHLSLDDPTVRSRVVNDIAKACRDLGYFQVISHGISQSVMDGAIEAASEFFKLPNEIKKEYASDDIRQPVRYDTSSKDGISMSRAFLKHYAHPLCDWLQYWPQQPPIYRQAVSSLKKEYMAKYAVEVRVVALKLMEAILEGLGIGKEYMHEKFEEGLQLLSVNCYPKVSQSDTSIGLAAHSDYGLLTILLTSCQGLEVVDRSSNSWKVVQQLPHALHVHVGDHMEVLSNGRIKTVVHRAVLNPQEARISLASIHGFALHEKVSSAKELVDEENPQKYKESSFNDFLEHLTANMDNRQRNFLESLRM >ORUFI04G30470.1 pep chromosome:OR_W1943:4:29124770:29126991:1 gene:ORUFI04G30470 transcript:ORUFI04G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAAAEALPCAGSPCTSSRPRRACHPSIFSGELESKQHRGQVSTLHCTALLPPENTGCLQHSTDAQVFISSDESAASERLKAAWHRANWAAGKSPARRPSCIGQINIGAFQGDSVQEQAIGSPPHLALRLRMHTQHNYYYHYLLRSSACLVSPDEQLQVQAISIQDSGHQLCRRRSVTVMSDPAACRTFCYSSLSLRVAAGCIPLCFLSLSLPG >ORUFI04G30470.2 pep chromosome:OR_W1943:4:29121868:29125130:1 gene:ORUFI04G30470 transcript:ORUFI04G30470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQVLLVVVVAEEQNLTPATGATHPSFPSPSSSHASPLGQSETRATKISRCLSNVTRRAGEATRIPPARPVLASFWRRTSHSPPVSRITGALPCLSLRPCESDRFGSSVALCDTGAYKRGRGGGAALSSSGGAPGLERRREKTKPHQQLEAAEWQPEERPRFVDPEALDIPLVPVHVCWQEVQEVLEAASPVHFGVVFIDELFGAVDLFIHRETMDAGNRNP >ORUFI04G30470.3 pep chromosome:OR_W1943:4:29122473:29125130:1 gene:ORUFI04G30470 transcript:ORUFI04G30470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLSILRLSTYLLYLFMFAGRKSRKSWKLLPLYILGWCSSTSSSAQWTSSSIERPWMLAIEILDSFGLRTARWTRDLSWPLLNTSRWSPTWTWRAPGIAGTDVQASSSWCITSSPGELCNRMVRKP >ORUFI04G30480.1 pep chromosome:OR_W1943:4:29121987:29122373:-1 gene:ORUFI04G30480 transcript:ORUFI04G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSLRRGLSSGCHSAASSCWCGFVFSRLLSNPGAPPELERAAPPPRPLLYAPVSHSATLLPNRSDSQGRRLRQGRAPVIRETGGEWDVRRQKDASTGRAGGIRVASPARRVTLLRQRDILVARVSD >ORUFI04G30490.1 pep chromosome:OR_W1943:4:29123525:29125976:-1 gene:ORUFI04G30490 transcript:ORUFI04G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVAGSLYMASQHKGVPPPLPPPPRPLPVINLGRLTMDSASRALAVRDIVLACRERGCFEVVNHGISRYCMNGALEAASEFFQLSTERKEEFASDDIRQPIRYDTSSRDGISMSRSFLKHYANPLDDWIKFWPTQPPTYREKMGEYAVETQRVSMQLMEAILQGLGLGPSYLQEKLEGGVQFVALNNYPQSSAKKADKIGLAPHSDYGFLTILLQSSPGLEVMHHEDDAWTSVPAIPGALHVHVGDHLEVLSNGQLKSLVHRAVLNPNESRISIASIHGLSMDEEVHCAEELVDEHHPKMYRGSSFQDFLDFLPANMNRYKRCHHSDNDSGMRRQQPAGNAKRRRRLQQLKGKAGQG >ORUFI04G30500.1 pep chromosome:OR_W1943:4:29130555:29133892:-1 gene:ORUFI04G30500 transcript:ORUFI04G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPSAAAPPVPVSPGERWPPLESSPDVFNQVPPPPPLAIASVHCLSDLAPRPRRAGDALARGAQLMWSLGVPEDVAEFHDVYSLDADALEMVPQPVLAVVFCFPDPTQLSTIMGFSLYLIYTLSPTSVQDASNPSQHLLITGEKETLFFIKQIESLGNACGTIALLHAVGNAYSEISLCKLSDDVEEHYICFVECDGTLYELDGMKPGPINHGPSSSKSLLQVYQEENYRRESENVYITTFHE >ORUFI04G30510.1 pep chromosome:OR_W1943:4:29137180:29140434:1 gene:ORUFI04G30510 transcript:ORUFI04G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKLVLKLDLHDNKDKQKAIKAVSTLHGIDMISMDMKGQKLTVVGDVDPVDVVGKLRKGWPSASLLSVGPAKEEKKEEKKPEEKKDDKKPEQPKLVFYPPPPAWHAHAAPPSYSYPPPQYVVHSAEEDPNSCVIC >ORUFI04G30510.2 pep chromosome:OR_W1943:4:29137180:29138861:1 gene:ORUFI04G30510 transcript:ORUFI04G30510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKLVLKLDLHDNKDKQKAIKAVSTLHGIDMISMDMKGQKLTVVGDVDPVDVVGKLRKGWPSASLLSVGPAKEEKKEEKKPEEKKDDKKPEQPKLVFYPPPPAWHAHAAPPSYSYPPPQYVVHSAEEDPNSCVIC >ORUFI04G30520.1 pep chromosome:OR_W1943:4:29140452:29147391:1 gene:ORUFI04G30520 transcript:ORUFI04G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVLLRKVWGSVLARAAAGAAPPEAFAAAASPRRPQAAGEYGSLGALDVLPIDVLAQILRLLGPADAARSTAVCRAWRLLASDNGLWAFFLRLGPDPWELVVFAETHLGAGPALHPGLYYDSSPQLSFKHVYTRRAVVPGSIIVDGGSGYCKYGWSKYAAPSGRCATFLEFGNIESPMYARLRHFLSTIYTRMQVKPSTQPIIVVLPLCHSDDTESARASRKQYRDTLYSVLFDMNVPAVCSVDQAVLALYAAKRTSGIVVNIGFNATSIVPIFQGRVMHEIGVETVGQGALKLTGFLKELMQQRNITFESLYTVRTIKEKLCYVAADYEAEKRKDTQASCEVDGEGWFTLSEERFKTAEILFQPQIGGVRAMGLHKAVSLCMDHCYISEVFGDDNWYKTVVLSGGSSCLPGLSERLEKELRELLPAHISEGIRVIPPPFGIDSAWFGAKMISNVSTFTEAWCIKKKQFRQKTRRNGPSFVNVW >ORUFI04G30530.1 pep chromosome:OR_W1943:4:29145504:29147371:-1 gene:ORUFI04G30530 transcript:ORUFI04G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPFAGGVFLVNIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >ORUFI04G30540.1 pep chromosome:OR_W1943:4:29148755:29152964:1 gene:ORUFI04G30540 transcript:ORUFI04G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMAASRRLLHLRPELGLRLRSRALIPYPSWTKAMGRCRYEPSPRRLSSCRRAAKNERPWRSSSNEQLTDGDGTAKYMGRNFPDEFAQLSLEEEESDDEVHGISETMVKDVEKAAVELLAGRAFTVSDLRKKLRAKKFPDNAVDSVIADFKSRGLLNDGYYAESFSRSRWLSSTWGPKRIKQALRQKGVQDAEVDQATRRVFQDGHSNQTMYGISEDSMDHLFEQAAKQWQRGQSLPLENRRARVVRWLQYRGFNWAVTNAIASAALGDGLDALLASSAGSGAAAGLAGLSAGASEDDTDIAEVPMEGTGSSLRQRDVGRSCAVRSASDGQARPRPPKKKIRSTSPPRSRSRPCRRGRLDETSGRPLPPPPHGRIPRLPDEAPSVATFLLVPNAASVSSVMREASAALRPTSNYKSASVYNLLLKEYSDMLKEQLLNDARRRHRRCPRLSSPSSVRARRHSYARCTRVPSGFSERLGEGDVVEAGGRP >ORUFI04G30540.2 pep chromosome:OR_W1943:4:29148755:29153175:1 gene:ORUFI04G30540 transcript:ORUFI04G30540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMAASRRLLHLRPELGLRLRSRALIPYPSWTKAMGRCRYEPSPRRLSSCRRAAKNERPWRSSSNEQLTDGDGTAKYMGRNFPDEFAQLSLEEEESDDEVHGISETMVKDVEKAAVELLAGRAFTVSDLRKKLRAKKFPDNAVDSVIADFKSRGLLNDGYYAESFSRSRWLSSTWGPKRIKQALRQKGVQDAEVDQATRRVFQDGHSNQTMYGISEDSMDHLFEQAAKQWQRGQSLPLENRRARVVRWLQYRGFNWAVTNAIVRKLEAQKPP >ORUFI04G30540.3 pep chromosome:OR_W1943:4:29151646:29152964:1 gene:ORUFI04G30540 transcript:ORUFI04G30540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWHASAALGDGLDALLASSAGSGAAAGLAGLSAGASEDDTDIAEVPMEGTGSSLRQRDVGRSCAVRSASDGQARPRPPKKKIRSTSPPRSRSRPCRRGRLDETSGRPLPPPPHGRIPRLPDEAPSVATFLLVPNAASVSSVMREASAALRPTSNYKSASVYNLLLKEYSDMLKEQLLNDARRRHRRCPRLSSPSSVRARRHSYARCTRVPSGFSERLGEGDVVEAGGRP >ORUFI04G30550.1 pep chromosome:OR_W1943:4:29156467:29156781:-1 gene:ORUFI04G30550 transcript:ORUFI04G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEAARAGEADGDRGGRRRRRGRRRRTVKEEADKAATAGDTDGDGGGREGGEAGGGDGAGGEGDADGARGDGGGEGEGPATEAAKEMDADGGGDEGEWHQLG >ORUFI04G30560.1 pep chromosome:OR_W1943:4:29157498:29157707:-1 gene:ORUFI04G30560 transcript:ORUFI04G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVIAVILLAAIAVAPLAEASTVTTTSRVLLASEAPAESPAGPAEAPGPAEESSSASAPSPSAADA >ORUFI04G30570.1 pep chromosome:OR_W1943:4:29160012:29160218:-1 gene:ORUFI04G30570 transcript:ORUFI04G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVLVVILLAAIAVAPFAEASTVSAASRVLLASEAPAESPAGPAPAPGPAEESSSTSAESPSADA >ORUFI04G30580.1 pep chromosome:OR_W1943:4:29162579:29162788:-1 gene:ORUFI04G30580 transcript:ORUFI04G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVLVVILLAAIAVAPFAEASTVTGGSRVLLASDAPAESPAGPAAAPGPAEESSSESAPSPSAADA >ORUFI04G30590.1 pep chromosome:OR_W1943:4:29166199:29167461:1 gene:ORUFI04G30590 transcript:ORUFI04G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAPPAAVAAAARAARPTSPSRPPKAIRSTKPRGLDEETAAPAAATFPSIKAPSAAAASLLHADVPMEARVWAPLPDDLLLEVLARVPPFLLFRLRPVSRRWDSILHDPAFLAAHAGVPSHGPCLLTFWRGAASSPPQCSVLSLPLRARYKLPFGFLPAWDLWLVGSSGGLVCFSGFDGAGFRTVVCNPLTQTWRVLPDMHYNQQRQLVLAVDKKRRSFKVIAASDVYGDKTLPTEVYDSKENKWSVHQMMPAANLCSSKMAFCDSRLYLETLSPLGLMMYRVDAGNWEHIPAKFPRSLLDGYLVAGARTRLFLVGRIGLYSTLQSMRIWELDHGRTVWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKAWSWIAGCASQLCNSQICFYEPRFDTLIY >ORUFI04G30600.1 pep chromosome:OR_W1943:4:29170328:29172199:-1 gene:ORUFI04G30600 transcript:ORUFI04G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLESHIKSQVPALLLRRFFGGAGRRDEAKHLQQRPPVPPAGRRRVFVQTETGCVLGMDLDRSDNAHTVKRRLQLALNVPTGETSLTFGDRVLENDLSFIRPDSPLLLTRNSINRSCSTPCLCPVSKDFEHKDRSGLVEMLGCSISCARVKRLVDDVVTAIRSGVDPVPIGSGLGGSYYFRNISGDRVAIVKPTDEEPFAPNNPKGFVGRALGQPGLKKSVRVGETGFREVAAYLLDHDNFANVPPTALVKITHSIFHINCPVNGGSPAHDQKQQVSSKIASFQQFIAHDFDASDHGTSSFPVAAVHRIGILDIRIFNTDRHAGNVLVRKLDGGTGRFGCQTELFPIDHGLCLPENLEDPYFEWIHWAQSSIPFSEEELEYIKNLDPMRDVAMLRRELPIIREACLRVLVLCTIFLKEAAASGLCLAEIGEMMTREFRGLEEEPSKLEVVCMEARRKLAEWEPYSPVIEQGEDMDFQFSLDMLGEYNDVIRSPRFNGLGAKGNGFRNPLSKLVESIDEDNDDDDGRSESSKRSSERVHSGGLKFPSADKSSGSNGSVHALNRSADEQLPSSVYFVRLSDMNAEEWNIFLEKFQELLKEALQERAAAAGQRMKQRLGTSCKF >ORUFI04G30610.1 pep chromosome:OR_W1943:4:29172314:29172706:-1 gene:ORUFI04G30610 transcript:ORUFI04G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEKNQANPAEFVGQRRRRRGRWIEQSSPAPAAAAAAAAAAGRSPYPRSVHPHPALTPSMA >ORUFI04G30620.1 pep chromosome:OR_W1943:4:29174564:29180955:1 gene:ORUFI04G30620 transcript:ORUFI04G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLARMGAALPRVRPRAAARFPPPPGRWDSAAALRRAPVYGFRCQVHSDVKVGPSSGLKDGENSSGSWRIKMLYDGDCPLCMREVNMLRERNKSYGAIKFVDISSKDYSPQDNQNLDYETAMGRIHAILSDGTIVTDVEAFRKLYEEVGLGWIYAVTKYEPVAKVANAIYGVWAKYRMQITGRPPLEEIMESRKLAAECKDDKVCKM >ORUFI04G30630.1 pep chromosome:OR_W1943:4:29178319:29181174:-1 gene:ORUFI04G30630 transcript:ORUFI04G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASASPLPAAAAPSTRCSPPPAFLPLRAHRPRVGTVATRRVFRAEAMRTQREKEQTEVAVEESFPFRETAPPDEPLVTAEESWVVKLEQSVNIFLTESVITILDGLYRDRNYARFFVLETIARVPYFGEDLVLHARYNLQELGGNSLWVDRFLARFAAFFYYFMTVAMYMVSPRMAYHFSECVERHAYSTYDKFIKLHEDELKKLPAPEAALNYYLNEDLYLFDNLYDVFVNIRDDEAEHCKTMKACQTHGNLRSPHSMQKCLETDTECVIPEDDCEGIVDCVKKSLAAYGEYGQLKVELTQTVEFREDEKEAHCSIEEVNAK >ORUFI04G30640.1 pep chromosome:OR_W1943:4:29183803:29186244:-1 gene:ORUFI04G30640 transcript:ORUFI04G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRLGGAGRALLSRQALSLPNLRRRASNSWAAVRDTFFSTKEVFESHRVVFTVGTSIASVLTAWAGYSLRHMQQSRIDKRLNSIEQSLKDTHKVEHEEIKKIVTSSNISTPACVATALTTSVVGYALGWRGGAWYARRGFRREQQKLMGQINSHHRWHWRPFSKLKNRLRRNRPAAKTVEAPRLTGESTI >ORUFI04G30640.2 pep chromosome:OR_W1943:4:29183803:29186244:-1 gene:ORUFI04G30640 transcript:ORUFI04G30640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRLGGAGRALLSRQALSLPNLRRRASNSWAAVRDTFFSTKEVFESHRVVFTVGTSIASVLTAWAGYSLRHMQQSRIDKRLNSIEQSLKDTHKVEHEEIKKIVTSSNISTPACVATALTTSVVGCALLLQLARYALGWRGGAWYARRGFRREQQKLMGQINSHHRWHWRPFSKLKNRLRRNRPAAKTVEAPRLTGESTI >ORUFI04G30650.1 pep chromosome:OR_W1943:4:29189195:29189767:-1 gene:ORUFI04G30650 transcript:ORUFI04G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGEVMCEAAAPRYRGVRKRPWGRFAAEIRDPAKRARVWLGTYDSAEAAARAYDVAARNLRGPLARTNFPLVSSLPLPSPHYHLPGKAAAAAPPVAGPACSASSTVESSSGPRGPRPAATAAAVPRRRVPRPAPPAPDAGCHSDCASSASVVDDADDASTVRSRVAAFDLNLPPPLDRDHVDLCTDLRL >ORUFI04G30660.1 pep chromosome:OR_W1943:4:29193436:29197620:1 gene:ORUFI04G30660 transcript:ORUFI04G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPRRSSRLLFKTRAGADPPRAAIGAFASHTSLHPLVLSLSPLLSPPTERREGEEPGEMGSDSPPKKWGLREQREAYLRWFSLADDDGDGRVTGKDALKFFAMSNLSRPELKQVWAIADSKRQGYLGFSEFMTAMQLVSLAQAGNEISQDTLAHADLETLLPPTMEGLDKKLKKNSMSKSNSDIVVRHPSELPASANWFNSKSGKKIPLRSVTSIIDGLKKSYIEKLRPLEKTYQFDDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKTNYPGAHIGPEPTTDRFVVITSGPDERCIPGNTIAVQADMPYSGLSSFGTAFLSKCLIQYIPSLALIILLHIFHYVALRKKLIVSIFYIAKLLDHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLKGHDDKIRIVLNKADQVDAQQLMRVYGALLWSLGKVLNTPEVMRVYIGSFNDKPIRETVAGPLGKELFEKEQEDLLSDLNDIPKKACDRRINEFVKRSRSAKVHAHIISHLKKEMPALMGKAKAQQRLLDTLDEQFAKVQKELHLPAGDFPSVDEYRETLSAYNFDKFERLKPKLVQGVDDMLAYDIPDLLKSFRNPYE >ORUFI04G30660.2 pep chromosome:OR_W1943:4:29193436:29197620:1 gene:ORUFI04G30660 transcript:ORUFI04G30660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPRRSSRLLFKTRAGADPPRAAIGAFASHTSLHPLVLSLSPLLSPPTERREGEEPGEMGSDSPPKKWGLREQREAYLRWFSLADDDGDGRVTGKDALKFFAMSNLSRPELKQVWAIADSKRQGYLGFSEFMTAMQLVSLAQAGNEISQDTLAHADLETLLPPTMEGLDKKLKKNSMSKSNSDIVVRHPSELPASANWFNSKSGKKIPLRSVTSIIDGLKKSYIEKLRPLEKTYQFDDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKTNYPGAHIGPEPTTDRFVVITSGPDERCIPGNTIAVQADMPYSGLSSFGTAFLSKCLIQYIPSLALIILLHIFHYVALRKKLIVSIFYIAKLLDHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLKGHDDKIRIVLNKADQVDAQQLMRVYGALLWSLGKVLNTPEVMRVYIGYDVIFPRSFTFTYGNASINLMVLQRSHNLTTDNSKLTCDSSFNDKPIRETVAGPLGKELFEKEQEDLLSDLNDIPKKACDRRINEFVKRSRSAKVHAHIISHLKKEMPALMGKAKAQQRLLDTLDEQFAKVQKELHLPAGDFPSVDEYRETLSAYNFDKFERLKPKLVQGVDDMLAYDIPDLLKSFRNPYE >ORUFI04G30670.1 pep chromosome:OR_W1943:4:29198101:29200619:1 gene:ORUFI04G30670 transcript:ORUFI04G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYQGKGRRVHPAPAPQAQADAAAAAAVVLPAAVLALASALTAEEQEVLAYLLSGGGAAGGRRRRRGAHPPEMGCGCFGCYKSFWARWDASPNRHVIHRIIDAVEEGSGGGGGGAGPPRRPPRRRRGGKRGGGDCEEDASATKEADASVEHHHHACGFDGEEDGDYEGDGDDEEEEEEGDSMDGDADDETTLSEGDCSSSSSSNAEKSTVGRLVRFIGEKIRRRKKTTKKVRSSLTVTVIVVLQYEMKDRKQVADNLWALHCTSLCREINKLQHGEAEAEAEAGWKKKRDVQEEMLHLMATTDVFDRQFGRRALLCEDAKAKRKREP >ORUFI04G30680.1 pep chromosome:OR_W1943:4:29203826:29206014:-1 gene:ORUFI04G30680 transcript:ORUFI04G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLRFLFSLAAAIAAASLLAAALRRRAPPSGLAARLVPAPMAAAAARNRSFVLWLHGLGDSGPANEPIRNFFSAPEFRLTKWAFPSAPNSPVSCNHGAVMPSWFDIHELPMSSGSPQDDSGVLKAVENVHAMIDKEVADGIPPENIFVCGFSQGGGGAVFSGWLPFGSSVTERISPEARKTPILWSHGIADNVVLFEAGQAGPPFLQNAGFSCEFKAYPGLGHSISKEELYSLESWIKNHLKASQEKEN >ORUFI04G30690.1 pep chromosome:OR_W1943:4:29206077:29211390:-1 gene:ORUFI04G30690 transcript:ORUFI04G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATSRAPRSRFVVWLHGLGDTGRANEFLADSFPTTAAFADARWAFPTAPTAPVTCNRGMLMPSWFDIHDAPITSVSVRDEEDVLRAVQSVHAMIDREIAAGTNPQDVFVFGLSQGGALGIASVLLHPKTLGGCAVFSGFLPFNSSFAVRVTAQAKKTPVLWIHGQAGSLIPIKEGRDGIKFLRGLGMSCEFKVYDRLGHSLEYYELDYCQRWVEKILHRSGREGLILTSSTVPKKRNHKTQTPVLWFHGMADGVVLFEAGHAGCAFLQEIGMHCEFKVAYPALGHTLVDEELQYFRQWIKDRLSQGTGVPVPSLSDKMDLHLVNYHTGS >ORUFI04G30690.2 pep chromosome:OR_W1943:4:29206435:29211390:-1 gene:ORUFI04G30690 transcript:ORUFI04G30690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATSRAPRSRFVVWLHGLGDTGRANEFLADSFPTTAAFADARWAFPTAPTAPVTCNRGMLMPSWFDIHDAPITSVSVRDEEDVLRAVQSVHAMIDREIAAGTNPQDVFVFGLSQGGALGIASVLLHPKTLGGCAVFSGFLPFNSSFAVRVTAQAKKTPVLWIHGQAGSLIPIKEGRDGIKFLRGLGMSCEFKVYDRLGHSLEYYELDYCQRWVEKILHRSGREGLILTSSTVPKKRNHKTQTPVLWFHGMADGVVLFEAGHAGCAFLQEIGMHCEFKVAYPALGHTLVDEELQYFRQWIKDRLSQGTGVPVPSLSDKMDLQ >ORUFI04G30700.1 pep chromosome:OR_W1943:4:29212319:29220953:-1 gene:ORUFI04G30700 transcript:ORUFI04G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEQQQQGFRPLDEASLVAYIKATPALAARLGGRLDALTIKEVGDGNLNFVYIVLSDAGSLVIKQALPYIRLVGDSWPMSRERAYFEASALQKHRALCPDHVPEVYHFDRAMSLIGMRYIEPPHIILRKGLVAGVEYPLLAEHMADYMAKTLFFTSLLYNSTTDHKKGVAQYCDNVEMSRLTEQVVFSDPYRVAKYNRCTSPFLDNDAAAVREDAELKLEIAELKSMFIERAQAFLHGDLHTSSIMVTPDSTQVIDPEFAFYGPMGYDIGAFLGNLILAYFSQDGHADQANDRKAYKKWILKTIEDSWNFFHKKFSTRSFFHKKFVELWNKHKDGNGEAYLPPIYNSSELLSLVQKKYMTSLFHDSLGFGSAKMIRRIVGIAHVEDFESIEDASKRASCERRALNCAKAILKGRRQFESIEQQQQQQQQQGXQGFRPLDEASLVAYIKATPALAARLGGSLDALTIKEVGDGNLNFVYIVLSDAGSVVIKQALPYIRCVGDSWPMTRERAYFEASALQKHRGLCPDHVPEVYHFDRAMSLIGMRYIEPPHIILRKGLIAGVEYPLLAEHMADYMAKTLFFTSLLYNSTTDHKKGVAQYCDNVEMCRLTEQVVFSDPYMLAKYNRCTSPFLDNDAAAVREDAELKLEIAELKSMFIERAQALLHGDLHTGSIMVTPDSTQVIDPEFAFYGPMGYDIGAFLGNLILAYFSQDGHADQANDRKAYKKWILKTIEDSWNLFHKNSELLRLAQKKYMTSLFHDSLGFGSAKMIRRIVGIAHVEDFESIEDASKRASCERRALNCAKAILKGRRQFESIGQVIVHVQSFDRD >ORUFI04G30700.2 pep chromosome:OR_W1943:4:29212319:29220953:-1 gene:ORUFI04G30700 transcript:ORUFI04G30700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEQQQQGFRPLDEASLVAYIKATPALAARLGGRLDALTIKEVGDGNLNFVYIVLSDAGSLVIKQALPYIRLVGDSWPMSRERAYFEASALQKHRALCPDHVPEVYHFDRAMSLIGMRYIEPPHIILRKGLVAGVEYPLLAEHMADYMAKTLFFTSLLYNSTTDHKKGVAQYCDNVEMSRLTEQVVFSDPYRVAKYNRCTSPFLDNDAAAVREDAELKLEIAELKSMFIERAQAFLHGDLHTSSIMVTPDSTQVIDPEFAFYGPMGYDIGAFLGNLILAYFSQDGHADQANDRKFVELWNKHKDGNGEAYLPPIYNSSELLSLVQKKYMTSLFHDSLGFGSAKMIRRIVGIAHVEDFESIEDASKRASCERRALNCAKAILKGRRQFESIEQQQQQQQQQGXQGFRPLDEASLVAYIKATPALAARLGGSLDALTIKEVGDGNLNFVYIVLSDAGSVVIKQALPYIRCVGDSWPMTRERAYFEASALQKHRGLCPDHVPEVYHFDRAMSLIGMRYIEPPHIILRKGLIAGVEYPLLAEHMADYMAKTLFFTSLLYNSTTDHKKGVAQYCDNVEMCRLTEQVVFSDPYMLAKYNRCTSPFLDNDAAAVREDAELKLEIAELKSMFIERAQALLHGDLHTGSIMVTPDSTQVIDPEFAFYGPMGYDIGAFLGNLILAYFSQDGHADQANDRKAYKKWILKTIEDSWNFLSNYGINTKMGMGKHTCPLYTTAFVELWNKHKDGNGEAYLPPIYNSSELLRLAQKKYMTSLFHDSLGFGSAKMIRRIVGIAHVEDFESIEDASKRASCERRALNCAKAILKGRRQFESIGQVIVHVQSFDRD >ORUFI04G30700.3 pep chromosome:OR_W1943:4:29212319:29220953:-1 gene:ORUFI04G30700 transcript:ORUFI04G30700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEQQQQGFRPLDEASLVAYIKATPALAARLGGRLDALTIKEVGDGNLNFVYIVLSDAGSLVIKQALPYIRLVGDSWPMSRERAYFEASALQKHRALCPDHVPEVYHFDRAMSLIGMRYIEPPHIILRKGLVAGVEYPLLAEHMADYMAKTLFFTSLLYNSTTDHKKGVAQYCDNVEMSRLTEQVVFSDPYRVAKYNRCTSPFLDNDAAAVREDAELKLEIAELKSMFIERAQAFLHGDLHTSSIMVTPDSTQVIDPEFAFYGPMGYDIGAFLGNLILAYFSQDGHADQANDRKAYKKWILKTIEDSWNFFHKKFSTRSFFHKKFVELWNKHKDGNGEAYLPPIYNSSELLSLVQKKYMTSLFHDSLGFGSAKMIRRIVGIAHVEDFESIEDASKRASCERRALNCAKAILKGRRQFESIEQQQQQQQQQGXQGFRPLDEASLVAYIKATPALAARLGGSLDALTIKEVGDGNLNFVYIVLSDAGSVVIKQALPYIRCVGDSWPMTRERAYFEASALQKHRGLCPDHVPEVYHFDRAMSLIGMRYIEPPHIILRKGLIAGVEYPLLAEHMADYMAKTLFFTSLLYNSTTDHKKGVAQYCDNVEMCRLTEQVVFSDPYMLAKYNRCTSPFLDNDAAAVREDAELKLEIAELKSMFIERAQALLHGDLHTGSIMVTPDSTQVIDPEFAFYGPMGYDIGAFLGNLILAYFSQDGHADQANDRKAYKKWILKTIEDSWNFLSNYGINTKMGMGKHTCPLYTTAFVELWNKHKDGNGEAYLPPIYNSSELLRLAQKKYMTSLFHDSLGFGSAKMIRRIVGIAHVEDFESIEDASKRASCERRALNCAKAILKGRRQFESIGQVIVHVQSFDRD >ORUFI04G30700.4 pep chromosome:OR_W1943:4:29212319:29220953:-1 gene:ORUFI04G30700 transcript:ORUFI04G30700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEQQQQGFRPLDEASLVAYIKATPALAARLGGRLDALTIKEVGDGNLNFVYIVLSDAGSLVIKQALPYIRLVGDSWPMSRERAYFEASALQKHRALCPDHVPEVYHFDRAMSLIGMRYIEPPHIILRKGLIAGVEYPLLAEHMADYMAKTLFFTSLLYNSTTDHKKGVAQYCDNVEMCRLTEQVVFSDPYMLAKYNRCTSPFLDNDAAAVREDAELKLEIAELKSMFIERAQALLHGDLHTGSIMVTPDSTQVIDPEFAFYGPMGYDIGAFLGNLILAYFSQDGHADQANDRKAYKKWILKTIEDSWNLFHKNSELLRLAQKKYMTSLFHDSLGFGSAKMIRRIVGIAHVEDFESIEDASKRASCERRALNCAKAILKGRRQFESIGQVIVHVQSFDRD >ORUFI04G30700.5 pep chromosome:OR_W1943:4:29212319:29220953:-1 gene:ORUFI04G30700 transcript:ORUFI04G30700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEQQQQGFRPLDEASLVAYIKATPALAARLGGRLDALTIKEVGDGNLNFVYIVLSDAGSLVIKQRAYFEASALQKHRGLCPDHVPEVYHFDRAMSLIGMRYIEPPHIILRKGLIAGVEYPLLAEHMADYMAKTLFFTSLLYNSTTDHKKGVAQYCDNVEMCRLTEQVVFSDPYMLAKYNRCTSPFLDNDAAAVREDAELKLEIAELKSMFIERAQALLHGDLHTGSIMVTPDSTQVIDPEFAFYGPMGYDIGAFLGNLILAYFSQDGHADQANDRKAYKKWILKTIEDSWNFLSNYGINTKMGMGKHTCPLYTTAFVELWNKHKDGNGEAYLPPIYNSSELLRLAQKKYMTSLFHDSLGFGSAKMIRRIVGIAHVEDFESIEDASKRASCERRALNCAKAILKGRRQFESIGQVIVHVQSFDRD >ORUFI04G30700.6 pep chromosome:OR_W1943:4:29212319:29220953:-1 gene:ORUFI04G30700 transcript:ORUFI04G30700.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEQQQQGFRPLDEASLVAYIKATPALAARLGGRLDALTIKEVGDGNLNFVYIVLSDAGSLVIKQALPYIRLVGDSWPMSRERAYFEASALQKHRALCPDHVPEVYHFDRAMSLIGMRYIEPPHIILRKGLVAGVEYPLLAEHMADYMAKTLFFTSLLYNSTTDHKKGVAQYCDNVEMSRLTEQVVFSDPYRVAKYNRCTSPFLDNDAAAVREDAELKLEIAELKSMFIERAQAFLHGDLHTSSIMVTPDSTQVIDPEFAFYGPMGYDIGAFLGNLILAYFSQDGHADQANDRKAYKKWILKTIEDSWNFLSNYGINTKMGMGKHTCPLYTTAFVELWNKHKDGNGEAYLPPIYNSSELLRLAQKKYMTSLFHDSLGFGSAKMIRRIVGIAHVEDFESIEDASKRASCERRALNCAKAILKGRRQFESIGQVIVHVQSFDRD >ORUFI04G30710.1 pep chromosome:OR_W1943:4:29223085:29225089:1 gene:ORUFI04G30710 transcript:ORUFI04G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSRRSLHALLGGGAVADLVLWRRRNVSAAAVAGATAVWFLFERAGYSFPSVMANALLLLVAILFFWAKSASLLNRPLPPLPKLEVSDVVVEKAADRALVWINKVLAVGHDIAIKRDRSVFIKVILILWVVSYIGMLFNFLTLIYIVPPLYEKYQDQVDEKIGMAHSVLSRHLDTIISKTGESTKQKKTE >ORUFI04G30720.1 pep chromosome:OR_W1943:4:29228237:29229679:1 gene:ORUFI04G30720 transcript:ORUFI04G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGHNARLLALALALLLAALHLHGVVCDPSHTHFAMVSRNAPSWRPDRGGQGKVSAPSLDTCGCGPAPPPADPLKAASLDKCGCPPAPAPSPEDFLNENLQALYPVIQAFKATITSDPRGVTASWVGPNLCDSYFGGDMYKGFYCEHPPAPPGATTPKDNTTLTIASIDFNGYGLGAPSLAGFVDAFPDLALFHANSNNFSGEVPDLTGLPYFYELDLSNNNFSGAFPATVVPLGRLLFLDLRFNRFVGTVPPPVFDLTVVALFLNNNGFYGNIPDNFGSTTAEYLVVANNQFTGPIPRSIYNTSANLSEVLFLNNHLSGCLPYEIGLVEGLTVFDAGGNDITGPIPLSLGCLGLVEELNLAGNQLYGHIPDVLCALAKTGKLQNLSLSDNYFHSVGRRHCLELVRSKVLDVRLNCIPNFPHQRPALECARFYADPPQHCPFVPHIPCDLPGFRPPAAALPSAVAAEEGGGGGGGGN >ORUFI04G30730.1 pep chromosome:OR_W1943:4:29230235:29233326:-1 gene:ORUFI04G30730 transcript:ORUFI04G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAAAAAFLLLLIVGAATAAPDMSIISYNAEHGARGLEEGPTEAEARAAYDLWLAENGGGSPNALGGEHERRFLVFWDNLKFVDAHNARADERGGFRLGMXRFVDAHNARADERGGFRLGMNRFADLTNEEFRATFLGAKVAERSRAAGERYRHDGVEELPESVDWREKGAVAPVKNQGQCGSCWAFSAVSTVESINQLVTGEMITLSEQELVECSTNGQNSGCNGGLMDDAFDFIIKNGGIDTEDDYPYKAVDGKCDINRENAKVVSIDGFEDVPQNDEKSLQKAVAHQPVSVAIEAGGREFQLYHSGVFSGRCGTSLDHGVVAVGYGTDNGKDYWIVRNSWGPKWGESGYVRMERNINVTTGKCGIAMMASYPTKSGANPPKPSPTPPTPPTPPPPSAPDHVCDDNFSCPAGSTCCCAFGFRNLCLVWGCCPVEGATCCKDHASCCPPDYPVCNTRAGTCSASKNGPLSVKALKRTLAKLNTA >ORUFI04G30740.1 pep chromosome:OR_W1943:4:29236960:29240961:-1 gene:ORUFI04G30740 transcript:ORUFI04G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKKKVAVAAPKARKPKRDAEEKKFAKKADMTEFRAQLDSLGLKIIEVSADGNCFFRAMGDQLEGNEEEHMKYRAMIVQYIKEHRVDFEPFIEDEEPFEKYCDSMLEDGTWAGHMELQAASILKRKNICIHMLNSPRWYIRNFSDREATSMIHLSYHQGEHYNSVRLREDPCQGPAMPVIIKADANVASTSNNAQTKAKDLKKSSDRSKYDHISVKLVMAGTGCSNIAAVEQVLKDMDGDIDAAIEYMLAEQLILGSDDADGDPYLDYACDEYVQTIEDEFSMKQDESQLDEHKKEEKDCSSKGETAQKHNSSHSKKGKSKTKECSCGSARKHKPSCNLATTVASREPPKTTAPSREPPKTKGGQGKGQKGKKQKKKEQDETPAIRDHDSKVAPDLGALCI >ORUFI04G30740.2 pep chromosome:OR_W1943:4:29236960:29240961:-1 gene:ORUFI04G30740 transcript:ORUFI04G30740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKKKVAVAAPKARKPKRDAEEKKFAKKADMTEFRAQLDSLGLKIIEVSADGNCFFRAMGDQLEGNEEEHMKYRAMIVQYIKEHRVDFEPFIEDEEPFEKYCDSMLEDGTWAGHMELQAASILKRKNICIHMLNSPRWYIRNFSDREATSMIHLSYHQGEHYNSVRLREDPCQGPAMPVIIKGKSKTKECSCGSARKHKPSCNLATTVASREPPKTTAPSREPPKTKGGQGKGQKGKKQKKKEQDETPAIRDHDSKVAPDLGALCI >ORUFI04G30750.1 pep chromosome:OR_W1943:4:29241513:29241861:1 gene:ORUFI04G30750 transcript:ORUFI04G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKSVAAALAMACFLLILAAFAPPAAAAPPDIMSIIRYNAEHGVRGLERTEAEARAAYDLWLARHRRGGGGGSRNGFIGEHERRFRVSSTPTTPAPTSAAGSASG >ORUFI04G30750.2 pep chromosome:OR_W1943:4:29241402:29243336:1 gene:ORUFI04G30750 transcript:ORUFI04G30750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFADLTNGEFRATYLGTTPAGRGRRVGEAYRHDGVEALPDSVDWRDKGAVVAPVKNQGQCGSCWAFSAVAAVEGINKIVTGELVSLSEQELVECARNGQNSGCNGGIMDDAFAFIARNGGLDTEEDYPYTAMDGKCNLAKRSRKVVSIDGFEDVPENDELSLQKAVAHQPVSVAIDAGGREFQLYDSGVFTGRCGTNLDHGVVAVGYGTDAATGAAYWTVRNSWGPDWGENGYIRMERNVTARTGKCGIAMMASYPIKKGPNPKPSPPSPAPSPPQQCDRYSKCPAGTTCCCNYGIRNHCIVWGCCPVEGATCCKDHSTCCPKEYPVCNAKARTCSKSKNSPYNVEALIRTPAAMARSVPEQPDSISFVVLNREDLV >ORUFI04G30760.1 pep chromosome:OR_W1943:4:29244434:29247456:1 gene:ORUFI04G30760 transcript:ORUFI04G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPVDVVRHFPCSSSVAASSSLLLSRSKSRLASPAAAAASSMRRRLVLGVGAAAAPAVAALAASATPAALRDGAATLLITAGAYSLVRAFDGLTARRLIEQNLSRKIVHVLSGVLFMSSWPLFSNSTEARFFAAIVPLLNCIRLLTYGLRLSTDEALVKSVTREGKPEELLRGPLYYVIVLLVSVLVFWRQSPIGIVSLSMMSGGDGFADIVGRRYGSAKLPFNENKSWIGSISMFISGFLLSALMLFYFSCLGYFTVCWDLALGKLALVALAATVVECIPVNDVVDDNISVPLATMLAAYLLFGYSSCC >ORUFI04G30770.1 pep chromosome:OR_W1943:4:29245256:29250247:-1 gene:ORUFI04G30770 transcript:ORUFI04G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPPPIRSKQSEIWKAAMARLALLAVPVALLLSLSFLLLRPASPPLPFSRQQAASGPDRRRLLRVYVAELPRALNHGLLDLYWSLPAADSRIPASSDPDHPPPRPPRAHSPTAPSTGSPPPPPRAPAVRVVADWRDADVVFVPFFATLSAEMELGWGAKGAFRRKEGNEDYRRQREVVDRVTAHPAWRRSGGRDHVFVLTDPVAMWHVRKEIAPAILLVVDFGGWYKLDSNSASSNVSHMIQHTQVSLLKDVIVPYTHLLPTMHLSENKDRPTLLYFKGAKHRHRGGLVREKLWDLMVNEPDVVMEEGYPNATGREQSIKGMRTSEFCLHPAGDTPTSCRLFDAVASLCIPVIVSDEIELPFEGMIDYTEFAIFVSVNNAMRPKWLTNYLRNVPRQQKDEFRRNMAHVQPIFEYDSIYPGRMASAAQDGAVNHIWKKIHQKLPMIQEAVTREKRKPDGTSIPLRCHLAVHLNQSDLPSN >ORUFI04G30770.2 pep chromosome:OR_W1943:4:29246909:29250247:-1 gene:ORUFI04G30770 transcript:ORUFI04G30770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPPPIRSKQSEIWKAAMARLALLAVPVALLLSLSFLLLRPASPPLPFSRQQAASGPDRRRLLRVYVAELPRALNHGLLDLYWSLPAADSRIPASSDPDHPPPRPPRAHSPTAPSTGSPPPPPRAPAVRVVADWRDADVVFVPFFATLSAEMELGWGAKGAFRRKEGNEDYRRQREVVDRVTAHPAWRRSGGRDHVFVLTDPVAMWHVRKEIAPAILLVVDFGGWYKLDSNSASSNVSHMIQHTQVSLLKDVIVPYTHLLPTMHLSENKDRPTLLYFKGAKHRHRGGLVREKLWDLMVNEPDVVMEEGYPNATGREQSIKGMRTSEFCLHPAGDTPTSCRLFDAVASLCIPVIVSDEIELPFEGMIDYTEFAIFVSVNNAMRPKWLTNYLRNVPRQQKDEFRRNMAHVQPIFEYDSIYPGRMASAAQDGAVNHIWKKIHQKLPMIQEAVTREKRKPDGTSIPLRCHCT >ORUFI04G30780.1 pep chromosome:OR_W1943:4:29251350:29258084:1 gene:ORUFI04G30780 transcript:ORUFI04G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPTEAEKESLVTSFLEIAAGQTPETATQFLQMTSWHLEEALQLFYIDGEAALAAHPAPSPAAAAEAAAAAVAAAAEVEDAMRFAPPPAAALGDGMLQGLGEEEDVRAPLPTKRETLYGDAPMVVVRPNSTVAFRNFEEESRQSAVWNSEQNAASSSRDNLAALYRPPFALMFNGPFDKAKLEASVLDKWLLINLQSTEEFSSHMLNRDTWANEAVAQTIRSNFIFWQVYHDTSEGRKVCTYYNLVSVPAILLIDPITGQKMRGWNGMIHPDRLLEDLMPYLDKGPKEHHAAQPQKRPRKVDQETSIGKQGKTPVPVVATEDEDEELARAVAASLEESKGSDTSEEKIEPEVENEPSLSAKLNYPPLPEEPKGSRELLCRVAIRLPDGRRIQRNFLHTDPIKLLWSFCYPQVEDGDKKAFHFVQPIPRASTNLEYESDKTFKEAGLANSMINLLWD >ORUFI04G30790.1 pep chromosome:OR_W1943:4:29266758:29268792:-1 gene:ORUFI04G30790 transcript:ORUFI04G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSADPSPSASTAGAAVSPLALLRAHGHGHGHLTATPPSGATGPAPPPPSPASGSAPRDYRKGNWTLHETLILITAKRLDDDRRAGVGGAAAGGGGAGSPPTPRSAEQRWKWVENYCWKNGCLRSQNQCNDKWDNLLRDYKKVRDYESRVAAAAATGGAAAANSAPLPSYWTMERHERKDCNLPTNLAPEVYDALSEVLSRRAARRGGATIAPTPPPPPLALPLPPPPPPSPPKPLVAQQQHHHHGHHHHPPPPQPPPSSLQLPPAVVAPPPASVSAEEEMSGSSESGEEEEGSGGEPEAKRRRLSRLGSSVVRSATVVARTLVACEEKRERRHRELLQLEERRLRLEEERTEVRRQGFAGLIAAVNSLSSAIHALVSDHRSGDSSGR >ORUFI04G30800.1 pep chromosome:OR_W1943:4:29272330:29274413:-1 gene:ORUFI04G30800 transcript:ORUFI04G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISRAIRACAAAGSRRSMASSAKEVAAAGARAAAAVARRGREREEDGRRVQWVFLGCPGVGKGTYASRLSQMLRVPHIATGDLVRDALASPGPFSEQLAEIVNNGKLVSDEIIINLLSKRLEEGAEKGELGFILDGFPRTIRQAEILEGVTDIDLVINLKLREEALLAKCLGRRMCSQCGGNFNVASIDMEGENGGPRMYMPPLLPPPQCESKLITRPDDTEEVVKERLRVYHDLCEPVEDFYRARGKLLEFNLPGGIPESWPKLLQALNLDPGNERSAAA >ORUFI04G30810.1 pep chromosome:OR_W1943:4:29275646:29278294:-1 gene:ORUFI04G30810 transcript:ORUFI04G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSLKTGGLLLPTIERQCASPPSVIVIGGGISGVAAARALSNASFEVTVLESRDRVGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIGYLGLKLYRTSGDNSVLYDHDLESYALFDKAGHQVSKETVAKVEETFERILDETVKVRDEQEHDMPLLQAISLVLERHPHLKLQGIDDQVMQWCVCRLEAWFAADADEISLKNWDQEHVLTGGHGLMVNGYYPIIQALAQGLDIRLNQRVTKIARQFNGVTVTTEDGTSYSADACIITVPLGVLKANIIKFEPELPSWKSSAIADLGVGIENKIAMHFDTVFWPNVEVLGMVGPTPKACGYFLNLHKATGNPVLVYMAAGRFAQEVEKLSDKEAVDLVMSHLKKMLPDATEPTKYLVSRWGSDPNSLGSYSCDLVGKPADVSARFAAPVENLYFAGEAASADHSGSVHGAYSSGIAAADECRKRILMQKGIPDLVQVKAYEEMAGVIAPLQICRT >ORUFI04G30820.1 pep chromosome:OR_W1943:4:29280013:29283431:-1 gene:ORUFI04G30820 transcript:ORUFI04G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPSNGFAAGGLFLRHIDGQNASPPSVIVIGGGISGIAAARALSNASFKVTLLESRDRLGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIRLLGLRLYRTSGDNSVLYDHDLESYALFDKDGRQVPQEIVTKVGETFEKILKETVKVRAEHEDDMPLIQAISIVLDRNPHLKLDGLQYEVLQWCICRLEAWFATDVDNISLKNWDQEHVLTGGHGLMVHGYDPVIKALARDLDIHLNHRVTKIIQRYNKTIVCVEDGTSFVADAAIITVPLGVLKANIIKFEPELPDWKLSSISDLGIGIENKIALRFNSVFWPNVEVLGRVAPTSNACGYFLNLHKATGHPVLVCMVAGRFAYEFEKLSDEESVNFVMSQLKKMLPGATEPVQYLVSRWGTDPNSLGSYSCDLVGKPADLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGIVAAEDCRRHLSTQLGISDLFQVGKIIMREEMTEVMVPFQISRL >ORUFI04G30830.1 pep chromosome:OR_W1943:4:29285302:29302419:1 gene:ORUFI04G30830 transcript:ORUFI04G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSSRETRWLAETYTDNSTFGNVRDERAASENSDKASRKEGKGKLPVLVVCLICTGSIQHDDAQALRDGDQRRGEQPTQNAFPGLKYP >ORUFI04G30840.1 pep chromosome:OR_W1943:4:29292351:29295288:-1 gene:ORUFI04G30840 transcript:ORUFI04G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKVLLLCGDYMEDYEAMVPFQALQAYGVSVDAACPGKKAGDSCRTAVHQGIGHQTYAESRGHNFALNASFDEVNINVYDGLVIPGGRAPEYLAMDEKVLDLVRKFSDAKKPIASVCHGQLILAAAGVVQNRKCTAYPAVKPVLVAAGAKWEEADTMDKCTVDGNLVTAVAYDAHPEFISLFVKALGGSVTGSNKRILFLCGDYMEDYEVMVPFQSLQALGCHVDAVCPDKGAGEKCPTAIHDFEGDQTYSEKPGHDFALTASFDNVDASSYDALVIPGGRAPEYLALNDKVISLVKGFMDKAKPVASICHGQQILSAAGVLQGRKCTAYPAVKLNVVLGGATWLEPNPIDRCFTDGNLVTGAAWPGHPEFISQLMALLGIKKELHLGIACV >ORUFI04G30840.2 pep chromosome:OR_W1943:4:29292600:29295288:-1 gene:ORUFI04G30840 transcript:ORUFI04G30840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKVLLLCGDYMEDYEAMVPFQALQAYGVSVDAACPGKKAGDSCRTAVHQGIGHQTYAESRGHNFALNASFDEVNINVYDGLVIPGGRAPEYLAMDEKVLDLVRKFSDAKKPIASVCHGQLILAAAGVVQNRKCTAYPAVKPVLVAAGAKWEEADTMDKCTVDGNLVTAVAYDAHPEFISLFVKALGGSVTGSNKRILFLCGDYMEDYEVMVPFQSLQALGCHVDAVCPDKGAGEKCPTAIHDFEGDQTYSEKPGHDFALTASFDNVDASSYDALVIPGGRAPEYLALNDKVISLVKGFMDKAKPVASICHGQQILSAAGVLQGRKCTAYPAVKLNVVLGGATWLEPNPIDRCFTDGNLVTGAAWPGHPEFISQLMALLGIKVSF >ORUFI04G30850.1 pep chromosome:OR_W1943:4:29297345:29300893:-1 gene:ORUFI04G30850 transcript:ORUFI04G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGAAAAAAAGEASTEAGARPLAPEEEALRRNTDCVYFLASPLTCKKGNECDFRHSDNARMNPRDCWYWLNSNCLNPKCPFRHPPIDGMFGAPTTGMPAVSSHYAPFNSGKQLVPCYYFKKGNCLKGDRCAFYHGPQSVGNNPSEQVVKVSSLPLEQLQTQKNDLLGIKDSVQSTNSIQHGAPITNERGKTAVDRSTVNSARTATVAIPVASNAMSCPKSEKVKSSTPAALKESFTTSSGGDHPECYQNHFPMDSDPVRDWNQSYEMPPADDLPQNSREADELLGESSPGFDVLVDNDADGAAYLHDEDFGGDMYPVEDYEYAPADFDVRAHHERERFNGMDEQDQMGHMYDGYERKRRRSSERSMERPFHSERRFLQRDRDRVEMDGSDLRHRLRRRRINESSLAISPERNGEQRRRDERYRERAHGHRSHRDHHQSSRGSTLSSRLQGRIKLPGRSPDRVDTRSEKERDRRRLRDRLSPVRRTEFQGTRHREAGQHEEQTQRRSSELALGSRNADGQHLTKDVPDSHNFPHRKNLRDSSKANGSVEPEASLDFEGPKPLSVILQRKREAAWANGTSACSPKQDKSAEVSHRQASLAEAEKEGDNVISSDEYKSGSGDEEFRDEGHIPVEGHGQSSSHGDKLEAEDIIEFIVPKPLFIRLITDKYRSGMKRG >ORUFI04G30860.1 pep chromosome:OR_W1943:4:29304008:29310164:1 gene:ORUFI04G30860 transcript:ORUFI04G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVLDHGSHLTPRESSHKLQLPPPPVDSLAVVPDKKLGAHDGGRRRKEKGKHKRAHAKRNHQIGKQQQQQLLLGTDRDRCSVRMDGASVVPRSQYQVLSHDYKG >ORUFI04G30860.2 pep chromosome:OR_W1943:4:29304008:29310144:1 gene:ORUFI04G30860 transcript:ORUFI04G30860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVLDHGSHLTPRESSHKLQLPPPPVDSLAVVPDKKLGAHDGGRRRKEKGKHKRAHAKRF >ORUFI04G30870.1 pep chromosome:OR_W1943:4:29305293:29311424:-1 gene:ORUFI04G30870 transcript:ORUFI04G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAASIGPPQPPPPPAPPEEEKKCLNSELWHACAGPLVCLPTVGTRVVYFPQGHSEQVAASTNKEVEGHIPNYPNLPAQLICQLHDVTMHADVETDEVYAQMTLQPLNPQEQNDAYLPAEMGIMSKQPTNYFCKTLTASDTSTHGGFSVPRRAAERVFPPLDFTQQPPAQELIARDIHDIEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLSKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITEVSDADPVRWPSSYWRSVKVGWDESTAGERPPRVSLWEIEPLTTFPMYPSLFPLRVKHPWYSGVASLHDDSNALMWLRGVAGEGGFQSLNFQSPGIGSWGQQRLHPSLLSSDHDQYQAVVAAAAASQSGGYLKQQFLHLQQPMQSPQEHCNLNPLLQQQILQQASQQQIINPDAQNIQTMLSPSAIQQQLQQLQQMQQVQNDQKQKIQPDQSYQVPTSAVLPSPTSLPSHLREKFGFSDPNANSSSFITSSSSDNMLDSSFLQGSSKAVDLSRFNQPVASEQQQQQQQQAWKQKFMGSQSVSFGGSVLHNSPTSKDGSVENKIGRDVQNQSLFSPQVDSSSLLYNMVPNLTSNVSDGNLSTIPSGSTYLQNAMYGCLDDSSGLLQNTGENDPATRTFVKVYKSGSVGRSLDITRFSNYAELREELGQMFGIKGQLDDPDRSGWQLVFVDRENDVLLLGDDPWESFVNSVWYIKILSPEDVHKMGKQGNDPRYLS >ORUFI04G30880.1 pep chromosome:OR_W1943:4:29319532:29323167:-1 gene:ORUFI04G30880 transcript:ORUFI04G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVPLGPATRTHSASGKRKPIAATPAADPHLDHVGTTFGPLIINHEEPTPTNATALSNHVHVREGKERDTRRERKRKMPRAQIRCWFSSMQQLFSEAIAVDPQFVIVPLHGDAMLLAHCILSSQAQKLSSAIPSRIKDAYMHARICVVFGDDRFEDLEIIFVM >ORUFI04G30890.1 pep chromosome:OR_W1943:4:29325470:29328599:-1 gene:ORUFI04G30890 transcript:ORUFI04G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPALRKLSLQENKLSGSLDDDLGNLTEITQIDLSYNMFNGNIPDVFGKLRSLESLNLASNQLNGTLPLSLSSCPMLRVVSLRNNSLLLTRLNNFDAGTNKLRGAIPPRLASCTELRTLNLARNKLQGELPESFKNLTSLSYLSLTGNGFTNLSSALQVLQHLPNLTSLVLTNNFRGGETMPMDGIEGFKRMQVLVLANCALLGTVPPWLQSLKSLSVLDISWNNLHGEIPPWLGNLDSLFYIDLSNNSFSGELPATFTQMKSLISSNGSSGQASTGDLPLXPLYNQLSSFPSSLILSNNKLVGPILPAFGRLVKLHVLDLSFNNFSGPIPDELSNMSSLEILDLAHNDLSGSIPSSLTKLNFLSKFDVSYNNLSGDVPAGGQFSTFTSEDFAGNHALHFPRNSSSTKNSPDTEAPHRKKNKATLVALGLGTAVGVIFVLCIASVVISRIIHSRMQEHNPKAVANADDCSESPNSSLVLLFQNNKDLGIEDILKSTNNFDQAYIVGCGGFGLVYKSTLPDGRRVAIKRLSGDYSQIEREFQAEVETLSRAQHDNLVLLEGYCKIGNDRLLIYAYMENGSLDYWLHERADGGALLDWQKRLRIAQGSARGLAYLHLSCEPHILHRDIKSSNILLDENFEAHLADFGLARLICAYETHVTTDVVGTLGYIPPEYGQSPVATYKGDVYSFGIVLLELLTGRRPVDMCRPKGSRDVVSWVLQMKKEDRETEVFDPTIYDKENESQLIRILEIALLCVTAAPKSRPTSQQLVEWLDHIAEATAMNASTAISESDTDGMASYIVLEFIQPILVNCCMVLSFMIL >ORUFI04G30900.1 pep chromosome:OR_W1943:4:29340323:29345913:-1 gene:ORUFI04G30900 transcript:ORUFI04G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQESNSLCLKRKLVDDCLSKECKSRRIKTEKGPSSDSSAKRCKCCCTRPNLASDCVNYLKSGVPSRVMFYKQGSWHNFPEQIMKSLIEEFRSNKSSVVAVMDDEPVLVDFLSMTLVNLKSRKQRSVAWFDDTGKCFYPSLFFDEEADEVAKVGGDFEGATQGIMLDKVANSPPEVVKQVVLESSPPVPQKPATADILRKKIASVERGSEGFLFAQDLFLSGMGPFATPNNILHIHRYSPNDITAQCRLQAFEKQMMSTKEERGDANVRYGWLGSRKNDIVRILINGFGNNGKPAEKAGLSAGVYLSPEDRAFSSVGLCDVDEKGVQYMLLCRLILGNMEAVMPGSQDSFPSSDIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLSSKVRNYLLGLKGLWFHPSPKEVAVDISTLVPIMSGNAEGPTSPWISFRVLFAMIQENISSVARELLFHHYEELKENKITREEMVKQMIILVGEKLLLETLKRLHYCPSLWYKSAGKIASSDPARTAAEDRSLDQTGNCSLIVSVAHGDSHAPNAVAENSTSLCTKGCDTPATGMISKGYDSLAPKGVPETSTSVGPVHGASPSVEPKVRDSPIQTVLSGNIATDCAKRQDPLVSRVAPVAHNGLLRMPSGKSASLAAQVCNSVRPSTGPSGRASTEPNNASKSCGIFAPGIRPKGGESLVPSLALGNSKYAGVEGLNSAPRATPPGIRPKGGESLVHGLALGNSKCAGAEGINSAPRVTPLGIRPKGGESIAPSLALGNSKCAGAEGLNSAPRVTPKDKEFLSLSISSQQSPVLNSGKGHDGTSGAARPVHAPGHGNPKALATEARGSLSLSIAPNVHDPPASSKEPKDDASPIAGMVSESQHSQAPNAVTKGYNAPTPIPKESKGQHLQSGVHSQSSGPDDASSSNVARAADTIVALSTLREKGR >ORUFI04G30900.2 pep chromosome:OR_W1943:4:29340323:29345913:-1 gene:ORUFI04G30900 transcript:ORUFI04G30900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQESNSLCLKRKLVDDCLSKECKSRRIKTEKGPSSDSSAKRCKCCCTRPNLASDCVNYLKSGVPSRVMFYKQGSWHNFPEQIMKSLIEEFRSNKSSVVAVMDDEPVLVDFLSMTLVNLKSRKQRSVAWFDDTGKCFYPSLFFDEEADEVAKVGGDFEGATQGIMLDKVANSPPEVVKQVVLESSPPVPQKPATADILRKKIASVERGSEGFLFAQDLFLSGMGPFATPNNILHIHRYSPNDITAQCRLQAFEKQMMSTKEERGDANVRYGWLGSRKNDIVRILINGFGNNGKPAEKAGLSAGVYLSPEDRAFSSVGLCDVDEKGVQYMLLCRLILGNMEAVMPGSQDSFPSSDIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLSSKVRNYLLGLKGLWFHPSPKEVAVDISTLVPIMSGNAEGPTSPWISFRVLFAMIQENISSVARELLFHHYEELKENKITREEMVKQMIILVGEKLLLETLKRLHYCPSLWYKSAGKIASSDPARTAAEDRSLDQTGNCSLIVSVAHGDSHAPNAVAENSTSLCTKGCDTPATGMISKGYDSLAPKGVPETSTSVGPVHGASPSVEPKVRDSPIQTVLSGNIATDCAKRQDPLVSRVAPVAHNGLLRMPSGKSASLAAQVCNSVRPSTGPSGRASTEPNNASKSCGIFAPGIRPKGGESLVPSLALGNSKYAGVEGLNSAPRATPPGIRPKGGESLVHGLALGNSKCAGAEGINSAPRVTPLGIRPKGGESIAPSLALGNSKCAGAEGINSAPRVTPPGIRPKGGESIAPSLALGNSKCAGAEGLNSAPRVTPPGIRPKGGESFVPSLALGNSKCAGAEGLNSAPRVTPKDKEFLSLSISSQQSPVLNSGKGHDGTSGAARPVHAPGHGNPKALATEARGSLSLSIAPNVHDPPASSKEPKDDASPIAGMVSESQHSQAPNAVTKGYNAPTPIPKESKGQHLQSGVHSQSSGPDDASSSNVARAADTIVALSTLREKGR >ORUFI04G30900.3 pep chromosome:OR_W1943:4:29340325:29344553:-1 gene:ORUFI04G30900 transcript:ORUFI04G30900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQESNSLCLKRKLVDDCLSKECKSRRIKTEKGPSSDSSAKRCKCCCTRPNLASDCVNYLKSGVPSRVMFYKQGSWHNFPEQIMKSLIEEFRSNKSSVVAVMDDEPVLVDFLSMTLVNLKSRKQRSVAWFDDTGKCFYPSLFFDEEADEVAKVGGDFEGATQGIMLDKVANSPPEVVKQVVLESSPPVPQKPATADILRKKIASVERGSEGFLFAQDLFLSGMGPFATPNNILHIHRYSPNDITAQCRLQAFEKQMMSTKEERGDANVRYGWLGSRKNDIVRILINGFGNNGKPAEKAGLSAGVYLSPEDRAFSSVGLCDVDEKGVQYMLLCRLILGNMEAVMPGSQDSFPSSDIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLSSKVRNYLLGLKGLWFHPSPKEVAVDISTLVPIMSGNAEGPTSPWISFRVLFAMIQENISSVARELLFHHYEELKENKITREEMVKQMIILVGEKLLLETLKRLHYCPSLWYKSAGKIASSDPARTAAEDRSLDQTGNCSLIVSVAHGDSHAPNAVAENSTSLCTKGCDTPATGMISKGYDSLAPKGVPETSTSVGPVHGASPSVEPKVRDSPIQTVLSGNIATDCAKRQDPLVSRVAPVAHNGLLRMPSGKSASLAAQVCNSVRPSTGPSGRASTEPNNASKSCGIFAPGIRPKGGESLVPSLALGNSKYAGVEGLNSAPRATPPGIRPKGGESLVHGLALGNSKCAGAEGINSAPRVTPLGIRPKGGESIAPSLALGNSKCAGAEGINSAPRVTPPGIRPKGGESIAPSLALGNSKCAGAEGLNSAPRVTPPGIRPKGGESFVPSLALGNSKCAGAEGLNSAPRVTPKDKEFLSLSISSQQSPVLNSGKGHDGTSGAARPVHAPGHGNPKALATEARGSLSLSIAPNVHDPPASSKEPKDDASPIAGMVSESQHSQAPNAVTKGYNAPTPIPKESKGQHLQSGVHSQSSGPDDASSSNVARAADTIVALSTLREKGR >ORUFI04G30900.4 pep chromosome:OR_W1943:4:29344499:29345979:-1 gene:ORUFI04G30900 transcript:ORUFI04G30900.4 gene_biotype:protein_coding transcript_biotype:protein_coding ILLTVKNRRWCFLFTNRNRNVGRNYLEAEAQQKFVSVAVAVACFIRAACGACSCGPRERASERSPSPTSTIPFPSPIARCWWSVASRPSPSPSATATATATACSLARFPGSPSLPRYLAAPPQPPAPSLTGIGTTSAAPFSQATGACVSALWGASTSPRRN >ORUFI04G30910.1 pep chromosome:OR_W1943:4:29347471:29351216:-1 gene:ORUFI04G30910 transcript:ORUFI04G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRCPWQYVPPAEATGVSGSVDQVHASFGFNCGELACCTFHIRCGVSFSYGRRFTAVRDFLQGQMTSMDKAANVVLDIEGLPQQPDKCCTGSPKMTRALSRKGSNRMERRSGEEQEQDDLVKKLIIKVVPSQLEQLKMPLVQNKALVTPQSQCAACAPILTDSGEGRNKKFNRLTSVHPRKILLFFATLSSVGTMILIYFTLAINGGKAEA >ORUFI04G30920.1 pep chromosome:OR_W1943:4:29356542:29357860:-1 gene:ORUFI04G30920 transcript:ORUFI04G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYYCFFHFLVVSVLLHVHGGRSESQTCDPTDLAALLAFSDGLDTKAAGMVGWGPGDAACCSWTGVSCDLGRVVALDLSNRSLSRNSLRGGEAVARLGRLPSLRRLDLSANGLAGAFPAGGFPAIEVVNVSSNGFTGPHPAFPGAPNLTVLDITGNAFSGGINASTGDLPLSFVKKNSTSTGKGLQYNQLSSFPSSLILSNNKLVGPILPAFGRLVKLHVLDLSFNIAILWPSSEASCAGLGL >ORUFI04G30930.1 pep chromosome:OR_W1943:4:29358389:29359312:-1 gene:ORUFI04G30930 transcript:ORUFI04G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRCLCGALQEMSLLSHFCLCLQRKAGSCCRCIRFLHVFVFVLHIHGGHSQMCDPADLASLLAFSDGLDRMGAGLVGWGPNDTSCCSWTGISCDLGRVVELDLSNRSLSRNSFRGVAVAQLGRLPCLRRLDLSTNGLVGAFPASGFPAIEVVNVSSNGFTGPHPAFPGAPNLTVLDITGNAFSGGINVTALCASPVKVLRFSANAFSGDVPAGFGQCKLLNDLFLDGNGLTGSLPKDLYTIPELRWLSLQENQLSGSLDKALGNLSKLTLIDLSYNMFFTKIRAAKIRDKYPAFIFLTHFLNKPR >ORUFI04G30940.1 pep chromosome:OR_W1943:4:29361510:29363669:-1 gene:ORUFI04G30940 transcript:ORUFI04G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDWSRLGLEEALAAAALLLRSCSPRRAVLRRARAVHALLVVSSTPSSPALTTFLANQLLSLYARLSAVPDALALLRSTPRPSVVSYNTVLSALSRAPRHAPEGLRLFRRLHASGLRPTAPSLCALLRTAGELRDRRAGAAAHSQAATLGFLASDIVPTALLQMYSQCGAPRDANQVFDEMATRDGVAWNCVMHCNVRYGYLDRALGQFCRMVRGGLPPTESTLSSVLSGCGRAGDCLRGRVLHGWVVKLEELDPDMPLQNALLDMYSSCGDLDTALRVFERIETPDLVSWNTLIAGFSGVGDGCSAMHAFVQLKAVQFDERVVPDEYTLAAVVSASATLPAMFGGKPLHAEVIKAGLENSVFVGNTLLNMYFTNDDPHSARILFDSITQEDVIMWTEMVAGHSSLGEGELALRYFVSMLQEGYKVDSFSLSSALNSTTELAGLKQGEMLHAQVVKSGYEGNICASGSLVDMYAKNGALPGAYLVFRNIQRPDLKCWNSIIGGYGNHGNSEMAFKLFGEMIRDGLQPDHVTYISILSACSHCGLVEKGKFYWFCMMTDGIVPGFKHYTSMVSLLGRAGLLDEAVDLMMKSPFAKKCPELWRILLSSCITFRNLSIGVHAAEQALEQDPDDISTHILLSNLYASLGKWDFVAEIRKRMRGLMADKEPGLSWVEMKNVVHVFSADDECHSHIDDCHSELLRLKGNMELLDTCENEFMSSG >ORUFI04G30950.1 pep chromosome:OR_W1943:4:29365830:29369114:1 gene:ORUFI04G30950 transcript:ORUFI04G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAADQDTVSLVRRVSRALNRRLTDLVGLLFHHKSAGSLGAVAGFAIAVVFAWKFLRSSPARPRRPPGPKRPLVGPAAPDSAARDAAEPANPGKLTTRQIVVKRLGGCRKVTCQLLGVVFEEITPQELQTHATVRPSVVELLLEIAKYCDLYLMETVLDDKSEENALLALESAGLFKTGGLMKEKVLFCSSEVGRTSFVRQLEADFHIDTSLDIISQLSRFIRCQLFVSTIEGGQLAAFIP >ORUFI04G30950.2 pep chromosome:OR_W1943:4:29365830:29369290:1 gene:ORUFI04G30950 transcript:ORUFI04G30950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAADQDTVSLVRRVSRALNRRLTDLVGLLFHHKSAGSLGAVAGFAIAVVFAWKFLRSSPARPRRPPGPKRPLVGPAAPDSAARDAAEPANPGKLTTRQIVVKRLGGCRKVTCQLLGVVFEEITPQELQTHATVRPSVVELLLEIAKYCDLYLMETVLDDKSEENALLALESAGLFKTGGLMKEKVLFCSSEVGRTSFVRQLEADFHIDTSLDIISQLSRFIRCQLFVSTIEGGQLAGNVFNSPSLEQFFS >ORUFI04G30960.1 pep chromosome:OR_W1943:4:29369618:29373345:1 gene:ORUFI04G30960 transcript:ORUFI04G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDAYAASAAASAASPRTPAPPETPSTQKRRQRGLVSRVWKGIFGRREDVEKLLQALSKEEEAVRSRLRRRARASRQSAHNVLAIAAALEIAAVGYAIMTTRSPDLSWQMRATRVLPMFLIPALAALIYSTITSVTKMLDNRDQLTLENLRAERQAKIDELKERTNYYTTQQLIQRYDLDPAAKAAAATVLASKLGADSGLRVFLGDESNRDATLSKSNDAQTTGPRQRKPGHLSNSTGRTYGPESLGGSYAYDGNEGVTTPNQRTVDHFRGPAGNDGGWLARAAALLVGEDPTQCYALICGNCHMHNGLARKEDFAFITYYCPHCNALNGSRQHDEHEMVSPGKETPISHSDGSIGHAGANLANSGAGSPIVKDLPTVEELPAESSVATNLPSAEELPAESPIANNLPAVEELAAESPVASSTPAIEELPAEGTVEKASIDHPAS >ORUFI04G30970.1 pep chromosome:OR_W1943:4:29374463:29378694:-1 gene:ORUFI04G30970 transcript:ORUFI04G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGGGAGAAAGMVMAPRSMNGTSSSAGLFVSPNTGVLGNASVAGPSRSSSGDAFSSTVAPKYKFVTGSPSDWNDRELNTLKEGLVRYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQCQVYDGRKVHTSHKVPVVDSATQHLLEENNHLLNQIATNIETFKTGQNTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMVRGDPFLRMA >ORUFI04G30970.2 pep chromosome:OR_W1943:4:29374463:29378694:-1 gene:ORUFI04G30970 transcript:ORUFI04G30970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGGGAGAAAGMVMAPRSMNGTSSSAGLYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQFPVVDSATQHLLEENNHLLNQIATNIETFKTGQNTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMVRGDPFLRMA >ORUFI04G30970.3 pep chromosome:OR_W1943:4:29374463:29378694:-1 gene:ORUFI04G30970 transcript:ORUFI04G30970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGGGAGAAAGMVMAPRSMNGTSSSAGLFVSPNTGVLGNASVAGPSRSSSGDAFSSTVAPKYKFVTGSPSDWNDRELNTLKEGLVRYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQFPVVDSATQHLLEENNHLLNQIATNIETFKTGQNTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMVRGDPFLRMA >ORUFI04G30970.4 pep chromosome:OR_W1943:4:29374299:29378694:-1 gene:ORUFI04G30970 transcript:ORUFI04G30970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGGGAGAAAGMVMAPRSMNGTSSSAGLFVSPNTGVLGNASVAGPSRSSSGDAFSSTVAPKYKFVTGSPSDWNDRELNTLKEGLVRYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQFPVVDSATQHLLEENNHLLNQIATNIETFKTGQNTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMGKKRADGWSSAAQVSCTMKKVKLPHRVSER >ORUFI04G30970.5 pep chromosome:OR_W1943:4:29374643:29378694:-1 gene:ORUFI04G30970 transcript:ORUFI04G30970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGGGAGAAAGMVMAPRSMNGTSSSAGLFVSPNTGVLGNASVAGPSRSSSGDAFSSTVAPKYKFVTGSPSDWNDRELNTLKEGLVRYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQCQVYDGRKVHTSHKVPVVDSATQHLLEENNHLLNQIATNIETFKTGQNTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMVRGDP >ORUFI04G30970.6 pep chromosome:OR_W1943:4:29374643:29378694:-1 gene:ORUFI04G30970 transcript:ORUFI04G30970.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGGGAGAAAGMVMAPRSMNGTSSSAGLFVSPNTGVLGNASVAGPSRSSSGDAFSSTVAPKYKFVTGSPSDWNDRELNTLKEGLVRYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQCQVYDGRKVHTSHKVPVVDSATQHLLEENNHLLNQIATNIETFKVCMSINLSHFNSKVVAFEVNECPYTGQNTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMVRGDP >ORUFI04G30970.7 pep chromosome:OR_W1943:4:29374643:29378694:-1 gene:ORUFI04G30970 transcript:ORUFI04G30970.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGGGAGAAAGMVMAPRSMNGTSSSAGLFVSPNTGVLGNASVAGPSRSSSGDAFSSTVAPKYKFVTGSPSDWNDRELNTLKEGLVRYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQFPVVDSATQHLLEENNHLLNQIATNIETFKTGQNTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMVRGDP >ORUFI04G30980.1 pep chromosome:OR_W1943:4:29378901:29379321:-1 gene:ORUFI04G30980 transcript:ORUFI04G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLLIRRAVWIWIRGEEFSRTLAAASGAGELLLRLGVCPHHCVGRWRWRRWRRRGRRRTILPRLRWQVWGLLPGHLPGPLDRILLGSRECEAECDACTTLEGGIPLV >ORUFI04G30990.1 pep chromosome:OR_W1943:4:29402828:29404586:1 gene:ORUFI04G30990 transcript:ORUFI04G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRWKDLLRDLLTWKQILQKRPCIACGLSALMSLECTVLIPDYEKRSEENKNLRERDAFRLVSNC >ORUFI04G31000.1 pep chromosome:OR_W1943:4:29409449:29414543:-1 gene:ORUFI04G31000 transcript:ORUFI04G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPPTAPKTIADYLIRPSKRLRPTSPATAAAASAPLSSSSLSPEQRRRADTNLALARARRHLRLAESKASGGTAKLEELLVEETWLEALPGELHKPYALELCRFVAHERLHSPVPVYPPPHLVFHALHATPFDRVKAVIIGQDPYHGPGQAMGLSFSVPEGIKIPSSLANIFKELQKDLGCTVPSHGNLERWAVQGVLMLNTVLTVREHQANSHAKKGWEQFTDAVIKTISLKKSGIVFILWGNSAQAKTRLIDETKHHILKSAHPSGLSASRGFFGCSTCISGLPYMRRHNIYLMLDNPPMAGTFLKRIRSWRGWDYLPLIGNSRPFEDIMWSVCLTTTSALKWAPLQWKHRKSPILEKIGTHLDGSLAAKQPVSCTVRRSS >ORUFI04G31010.1 pep chromosome:OR_W1943:4:29420033:29423329:1 gene:ORUFI04G31010 transcript:ORUFI04G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMVSITKAADSAKKMYIALELPRSPLVPSPSLNGLPASCPAGRRGGFKLADEGGPRRAQPSGGTEDSGRFFMISGEERIVEWIRGRDGG >ORUFI04G31010.2 pep chromosome:OR_W1943:4:29420033:29423329:1 gene:ORUFI04G31010 transcript:ORUFI04G31010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMVSITKAADSAKKMYIALELPRSPLVPSPSLNGLPASCPAGRRGGFKLADEGGPRRAQPSGGTEDSGRFFMISGEERIVEWIRGRDGG >ORUFI04G31020.1 pep chromosome:OR_W1943:4:29424462:29425263:-1 gene:ORUFI04G31020 transcript:ORUFI04G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNHLVSPALLLLLALLGASVRRAGATTFEVGGEHGWAVPPAKDAGVYNDWASKNRFLVGDSVHFKYAKDSVMVVTEDDYNKCKAEHPIFFSNNGDTEVGLDRQGLFYFISGVAGHCERGQRMVIKVIGHDAPPPASPPPPPSNAPPTPPHPSGAASALGAGGLAVAAMLLPVFVYGV >ORUFI04G31030.1 pep chromosome:OR_W1943:4:29427539:29428504:-1 gene:ORUFI04G31030 transcript:ORUFI04G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTDKYHALLPFHASGGGVKDHRRRPGKAVPGAAGRGPGSARFGAALSARLGSLLPLPASPLAALARLADLLALALADAVPALAGEGDAAAVAAHLDAGVALLDACNGITARLDRLRRRRLLSRFALHLLSSSSPPLPSSVRRARAALADRDERAPASPPPPLPSLPFDQPRDRVSGAATVLLAVDAVSSLAAAAASAVLCGDALHQIAFPLVSGEFPWTEAFNAVSIQLAALATKPSEVDAVDEAVGKLKSVLDNGDGDLDEAALRAAVQEVERRTEELTAPLDRLSDAVNGVFRAALCLRNAELGSIMVGPAEKTPCK >ORUFI04G31040.1 pep chromosome:OR_W1943:4:29428569:29429169:1 gene:ORUFI04G31040 transcript:ORUFI04G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGEGYKGGGGVAGGVNGGEDPRERRGLRPARREDGGIGPCAVTAQCSAATTAMPPQIRASRQDLEGGRLWWSATAVDLRRQLATAATGGRCRRRRRRRI >ORUFI04G31050.1 pep chromosome:OR_W1943:4:29434995:29435513:1 gene:ORUFI04G31050 transcript:ORUFI04G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPHAPAAAAPSPSPSPRRRPSGPPESRPGARTAAAGHPGARGGGGGQIQGWGGDGGGAEKVAATGRTRRRWLRPTGRRRRWRLWRQDGGGAGSRDRAGPAARNVTGSGNLLAGSGAPQSGSVLSGVGGRIPSVEAATGQHADDSVQPGVEAGKKETGGCVGAALAAGPA >ORUFI04G31060.1 pep chromosome:OR_W1943:4:29438817:29441268:-1 gene:ORUFI04G31060 transcript:ORUFI04G31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATAFSCSFASPRHRRSPTTARASGAAVEIRVCTNRTCARQGGREVLAALEGLAPPRVDVASCGCLGRCGAGPNFGASVSGGGAAVFGHVGTAARAAQLLEHLLGDSGFDAAAGLAALAAREKAEAALEKGGAAEAEALLTEAIEMNAGGGLHLAYRSRSRARLSMGNITGALADAEEATKIAPKFPQAHLSRGDALFAMEEYRAAEDAYADALDLDPSIRRTKSFRARVQKLREKVANADVSSSS >ORUFI04G31070.1 pep chromosome:OR_W1943:4:29441490:29445114:1 gene:ORUFI04G31070 transcript:ORUFI04G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGARVNLKGWQQAAVAFGSAFGALLDPRRADLIAALGETTGKPAFQRVLQRMRNSAEGRDVLLERPRVISTQVSHAWDMPQDTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFNEKQRTLFFQHYFPWASKAGLKCTDLMSVYYEKHFHEDLDEVRRNWGIIPCPDPKRSSV >ORUFI04G31080.1 pep chromosome:OR_W1943:4:29444396:29448379:-1 gene:ORUFI04G31080 transcript:ORUFI04G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELCDLKVHINGHHTLLLHQSVMCAFSGRLRTMVTQEKKKRKTSRAESLSVKLADFPGGGEGFELVARFCYNNGRVPLCPSNLPLLHCAAVFLEMTEEVCTCNLLTQAEAFVDGLYYWTWADVLAAVKSCEPFAAAADASGLLERLISALFSKITANPETPVAAGAVGTPNRSSSSCSSSPDTLGFGRSSSTKTPESMKPCFAREWWFDDMTSLCPQTIEKAMRVLGCYGIENKNLILTRFLLHYLRAATRRPALCREGGGGLAGLADTAVHGVALVGGTAFSCRGLFWVLRIVSAVGLSKECRHKLERLMGLMLDQATLDDLLVSGDDGGVYDVNLVMRLVRVFVGSEEEADLPSQRMRKVGRLVDKYLGEISPDHGLRVSKFLAVAESLPDSARECYDGVYRALDIYLESHPTLTIEERTTLCRCLNYEKLTLEACKDLAKNRRIPPGIAVQALASQQSKLQIRPAAAAAKGTGGGRPDPSQTPRKIIVSGSRARSVDLDAMDEKELLKLNLQKMQNRVVELERACKEMRGQMSKMAKGGRPSSSFGAAACHQTGGRGLPRLC >ORUFI04G31090.1 pep chromosome:OR_W1943:4:29448708:29451361:-1 gene:ORUFI04G31090 transcript:ORUFI04G31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGRACVRAAHITPAAWRWTDRVGWGGGRRIRDLVYAGTRGDLQTPVVPIAIPLQADLVYLVRERKADKNVALRASVQKQPQIVYKVMPWRPGRGESIAYPFSVGEWAPREHSSPLPNTRILAIANLWLSPETLSRDPSAGIIAAICGYLLDICFLTQSPSAQH >ORUFI04G31090.2 pep chromosome:OR_W1943:4:29448634:29451361:-1 gene:ORUFI04G31090 transcript:ORUFI04G31090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGRACVRAAHITPAAWRWTDRVGWGGGRRIRDLVYAGTRGDLQTPVVPIAIPLQADLVYLVRERKADKNVALRASVQKQPQIVYKGS >ORUFI04G31090.3 pep chromosome:OR_W1943:4:29448634:29451361:-1 gene:ORUFI04G31090 transcript:ORUFI04G31090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGRACVRAAHITPAAWRWTDRVGWGGGRRIRDLVYAGTRGDLQTPVVPIAIPLQADLVYLVRERKADKNVALRASVQKQPQIVYKVQILLLDQPISPALKSEEFREPVAYPDQNLLLFSSQGS >ORUFI04G31090.4 pep chromosome:OR_W1943:4:29448708:29450732:-1 gene:ORUFI04G31090 transcript:ORUFI04G31090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRPGRGESIAYPFSVGEWAPREHSSPLPNTRILAIANLWLSPETLSRDPSAGIIAAICGYLLDICFLTQSPSAQH >ORUFI04G31090.5 pep chromosome:OR_W1943:4:29450930:29451361:-1 gene:ORUFI04G31090 transcript:ORUFI04G31090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGRACVRAAHITPAAWRWTDRVGWGGGRRIRDLVYAGTRGDLQTPVVPIAIPLQADLVYLVRERKADKNVALRASVQKQPQIVYKVQILLLDRQL >ORUFI04G31090.6 pep chromosome:OR_W1943:4:29450928:29451361:-1 gene:ORUFI04G31090 transcript:ORUFI04G31090.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGRACVRAAHITPAAWRWTDRVGWGGGRRIRDLVYAGTRGDLQTPVVPIAIPLQADLVYLVRERKADKNVALRASVQKQPQIVYKVQILLLDRQL >ORUFI04G31100.1 pep chromosome:OR_W1943:4:29451573:29454499:1 gene:ORUFI04G31100 transcript:ORUFI04G31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAEALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGGKLPFDDASVGSVLAVIKKVENLGDLFITEISRVLKAGGMVLIQSSPSDQDPNNSIQRKLLLGGFVDVQASAASSQDSEHSVTIKAKKVSWSLGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPELPVVGDCEVGATRKACKNCTCGRAEAEEKAEEKVEKLNLTSEQINNPQSACGNCGLGDAFRCGTCPYRGLPAFKPGEKIALPGNFLAADM >ORUFI04G31100.2 pep chromosome:OR_W1943:4:29451713:29454499:1 gene:ORUFI04G31100 transcript:ORUFI04G31100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAEALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGGKLPFDDASVGSVLAVIKKVENLGDLFITEISRVLKAGGMVLIQSSPSDQDPNNSIQRKLLLGGFVDVQASAASSQDSEHSVTIKAKKVSWSLGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPELPVVGDCEVGATRKACKNCTCGRAEAEEKAEEKVEKLNLTSEQINNPQSACGNCGLGDAFRCGTCPYRGLPAFKPGEKIALPGNFLAADM >ORUFI04G31100.3 pep chromosome:OR_W1943:4:29451713:29454499:1 gene:ORUFI04G31100 transcript:ORUFI04G31100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAEALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGGKLPFDDASVGSVLAVIKKVENLGDLFITEISRVLKAGGMVLIQSSPSDQDPNNSIQRKLLLGGFVDVQASAASSQDSEHSVTIKAKKVSWSLGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPELPLGIVRWEPQGRHARTVLVAGLRLRRSVGWAMHFDVVLAHTEVYQHSSRARRLPYLATSLRLTCDDRREHRQQQQIGIGFFNLFVR >ORUFI04G31100.4 pep chromosome:OR_W1943:4:29451713:29454499:1 gene:ORUFI04G31100 transcript:ORUFI04G31100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAEALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGGKLPFDDASVGSVLAVIKKVENLGDLFITEISRVLKAGGMVLIQSSPSDQDPNNSIQRKLLLGGFVDVQASAASSQDSEHSVTIKAKKVSWSLGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPELPLGIVRWEPQGRHARTVLVAGLRLRRSVGWAMHFDVVLAHTEVYQHSSRARRLPYLATSLRLTCDDRREHRQQQQIGIGFFNLFVR >ORUFI04G31110.1 pep chromosome:OR_W1943:4:29456034:29461669:1 gene:ORUFI04G31110 transcript:ORUFI04G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFFLFGLLYRLKLTNLKSSSLKPVLIETYSLLFFVSASIPKCREPCEFPNHRLRSLKPASAPPIRGLPVSSGGSAMEEQQQQQPLLEKIMRPLVGDVDSVSFLQVMLLFLSVAGVEQSHKVTKLHENLTVYAFLIGGISGTGGGVWAVYPVVFSISTFCGIFHCILTGVLAVFTITSYCLASFKSAGAPADMRWGSYPMVGKNDLENYTFCTYCSKPKPPRAHHCRSCKILGTVWELQITVLFYAAGMTIYSSYRIWPPLDFENLASTCRSMGYIKMLIEIIGTLASSAFFLSARGLVTVYIAFASLSVNAGIGVLLFQQLSYIYEGNTYLNRLSSLNVMHGERGLQNLIRFFGCPYSVSRVLSGYSNTGKLQDNSRAS >ORUFI04G31110.2 pep chromosome:OR_W1943:4:29456034:29461669:1 gene:ORUFI04G31110 transcript:ORUFI04G31110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFFLFGLLYRLKLTNLKSSSLKPVLIETYSLLFFVSASIPKCREPCEFPNHRLRSLKPASAPPIRGLPVSSGGSAMEEQQQQQPLLEKIMRPLVGDVDSVSFLQVMLLFLSVAGVEQSHKVTKLHENLTVYAFLIGGISGTGVLAVFTITSYCLASFKSAGAPADMRWGSYPMVGKNDLENYTFCTYCSKPKPPRAHHCRSCKILGTVWELQITVLFYAAGMTIYSSYRIWPPLDFENLASTCRSMGYIKMLIEIIGTLASSAFFLSARGLVTVYIAFASLSVNAGIGVLLFQQLSYIYEGNTYLNRLSSLNVMHGERGLQNLIRFFGCPYSVSRVLSGYSNTGKLQDNSRAS >ORUFI04G31110.3 pep chromosome:OR_W1943:4:29456034:29461669:1 gene:ORUFI04G31110 transcript:ORUFI04G31110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFFLFGLLYRLKLTNLKSSSLKPVLIETYSLLFFVSASIPKCREPCEFPNHRLRSLKPASAPPIRGLPVSSGGSAMEEQQQQQPLLEVEQCVTSIPEDHEATCWGCGLRLIFASYAPVFKCGWCGAITQSNQTSRKPDSVCFSHWRNFRDRFFVTVLILFMLFVIYWELCGSFKSPYAAGMTIYSSYRIWPPLDFENLASTCRSMGYIKMLIEIIGTLASSAFFLSARGLVTVYIAFASLSVNAGIGVLLFQQLSYIYEGNTYLNRLSSLNVMHGERGLQNLIRFFGCPYSVSRVLSGYSNTGKLQDNSRAS >ORUFI04G31120.1 pep chromosome:OR_W1943:4:29464651:29467511:1 gene:ORUFI04G31120 transcript:ORUFI04G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRAEQPGWLAGCCCCVQSRAGHRTIYRPVPHHPPESPWKPCRVFVCFPFRHPENSGHTDPWGGLNSVNPSPDSSYLATRPEATDTWVSNSKTGTKLAYHASSLLITAAFTTKALFQSSPQPSLTSLTKKQTERRATLAFAACPH >ORUFI04G31120.2 pep chromosome:OR_W1943:4:29464670:29467511:1 gene:ORUFI04G31120 transcript:ORUFI04G31120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRAEQPGWLAGCCCCVQSRAGHRTIYRPNPSPDSSYLATRPEATDTWVSNSKTGTKLAYHASSLLITAAFTTKALFQSSPQPSLTSLTKKQTERRATLAFAACPH >ORUFI04G31130.1 pep chromosome:OR_W1943:4:29468870:29472313:-1 gene:ORUFI04G31130 transcript:ORUFI04G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGRERRDQEEEEAAVVSVERVFEGRVVPGWKEQVTLRALAVSALLGAMFSVIVMKLNLTTGIIPSLNVSAGLLGFFLLTSWTKLLDKAGVASVRPFTRQENTVVQTCVVACSGIAFSDADLCTGGTGGFGSYIFAMSDRISDQSGEARDEHNIKNPSLGWMIGFLFIVSFLGLFSVVPLRKIMIIDYKLIYPSGTATAHLINSFHTPQGAKLAKMQVKMLGKFFVMSFSWGFFQWFYTGGDGCGFMSFPTLGLEAYRNKFFFDFSATYVGVGMICPYLVNISVLLGGVMSWGIMWPLIEHKKGDWYPADLKPSSLRGIVGYRVFISISLILGDGLYNFLKVMTRTTTALVMQVRAMMSEPTLPVSGGGGGGQTPEETFDDKRRTELFLKDQIPNWLALSAYVVIAVVSIATVPRIFHQLRWYHVAVSYVVAPVLAFCNAYGCGLTDWSLATTYGKLAIFTVGAWADASDGGIIAGLAACGVMIGIVSTASDLTQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPSVFWLFYKAFHDIGMPGSEYPSPNALVYRNMAILGVQGLGSLPKHCLDLCIGFFVAAIAVNLARDLAAPKVARFLPLPMAMAIPFYLGPYFGIDMCIGSLIRFVWDRLDGARAKAFAPPVASGLICGDGIWTLPQSVLALAGVKPPICMKFLSRTTNIKVDAFIAKLPSS >ORUFI04G31140.1 pep chromosome:OR_W1943:4:29473224:29474986:-1 gene:ORUFI04G31140 transcript:ORUFI04G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLGANPANSCALTPLGFLERAATVFGDCPSVVYHDTVFTWSQTHRRCLRLASALVSSLGISRGDVVSVLLPNVPAMYEMHFAVPMSGAVLNSINTRLDARTVSVLLRHSGSKLIFVDPALLPVLRDALRLLPAGHTAPRVVLVEDPHEKEFPPAPAAALTYERLVEKGDPEFAWVRPASEWDPMILNYTSGTTSAPKGVVHCHRGIFLITVDSLVDWAVPPRPTYLWTLPMFHANGWSFPWGMAVVGGTNVCLRRVDAAEVFDTIARRGVNHLCGAPVVLNMLANAPEGVRKPLPGKVRILTAGAPPPAAVLYRTEAIGFEVSHGYGLTETAGLVLSCAWKGEWDKLPASERARLKARQGVRTPGMAEVDVVDGETGRSVPRDGSTMGEIVLRGGCITLGYLNDEAATKAAIRDNGWFYTGDVGVMHPDGYVEIRDRSKDVIISGGENISSVEVESVLYGHPAVNEAAVVARPDEFWGETPCAFVSLKQGGGAVTAADVVAWSRERMPRYMVPKTVIFRDELPKTSTGKIQKYVLRNIAKEMGPTTGTNTNRNSKM >ORUFI04G31150.1 pep chromosome:OR_W1943:4:29479144:29482582:-1 gene:ORUFI04G31150 transcript:ORUFI04G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMNKTPATTFLLIPAAASLVLLLAAAASVEASAFDYAGAFDKCLLFFEAQRSGKLPDDRLVRWRGDSALTDGFSQGVDLVGGYYDSGDHVKFGLPMAYAVTMLSWGVVEFEKEMVDGNKLHRVLDAIRWGTNYFVKAHTQHNALWVQVGDGDSDHLCWERAEDMSTPRTAFKIDINNPGSEVAGETAAALAAAAKAFKPYDRMYSDLLLLHSKQLFTFADTFRGKYDDSLQSAKKFYPSASGYQDELLWAAAWLYEATGDEQYLRYVSQNAEAFGGTGWAVTEFSWDNKYAGLQVLLSKVLFEQGGSAAGYADTLKQYQAKAEFFLCACLQKNNGHNVKMTPGGLMYVSDWSNMQYVSSSAFLLTVYADYLAESRGTLRCPDGEVKPAEILRFARSQVDYVLGKNPKGMSYMVGYGSYYPTHVHHRGASIPSIYAMNATVGCMEGFDKYYNSKNADPNVLHGALVGGPDANDAYDDDRCNYQHAEPTLAGNAPMSGVFARLAASPADNTPEYTPAPNAPSPSNGGSPLEFVHTVTNTWKANGVDYYRHVVTAKNTCGHAITYLKLQIKELSGEIYGVSRTNAKDMYEFPSWMTRLDAGAQLTIVYIQGGPAAKIAVVEYKTA >ORUFI04G31160.1 pep chromosome:OR_W1943:4:29486081:29490404:-1 gene:ORUFI04G31160 transcript:ORUFI04G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQPASTSGDVDRIANRKHRHIVPRRSTEKKNPHNIQFERQVAALEYRQEEQRKRANGGRLFFTLSLSSHLVENGDELETSASPSLLLFHFNDPEDLARCLTSSRALLEDSQQSDKAPDNDFSVNTFSNASVDVKRTSRKKSKKKNKRHKRVHGKKVSEASDTQSMQSKGASHCIDVAGGESLTLSSNHVAHAGSEMRCRKETFPSMADGGETLTLPPNHVADKLFGDLSSDSSVREVSAERPDSETDSSWNFSDDTENLLIDKNECPPCVQSKVTDLRGSKCGGSEGWLNKANHDKFSCFRNSADACSGTQEMQSCSNASSDGDFLPVISRKRARKNRKVQPLGGCNVEHICGVEHGQSGKQSKISSRPSNSCTQVASKDSTKDFIHPIKVRTWTPHEVTLNDYMIGANMNHLQDPKQNRRGKPHKYSRLSEVANGGFIEEKSACTAKMLPGITHSTETGVGQIASSSASDVTVREISEEICTPIGPVQKGGLQILLREENVVGTGSLDVLNHVSSVDSEEQKKVDNAVMSRSHGMEGHHLQSQDSGSQFPGCTTDYWKTSRPTESGLEVGYHGVSAFEGRCNTNQQRSDPQAGSSPYQYQISDVSLRNVWEWYEEPGSYGLEVEIHRSLNSTRSACGVSEFCAYFLPSLSAIQLFEQCKNNLDHKFDSDDDFLLSQPNGVYLPKPSLSVQDHGEPLFEYFESEHPSSRPPLFEKIKQLTSGENLSTCQIFGDPKMLENLKLRDLHPASWFCVAWYPICRIPQGNCRAAFLTYHSLGKVVPQIHSPDKADEPTHLVCPVVGFWSYNDKGEQWFQLRNPEIKPMSLDVGPKTDRAEVLKQRLKTLRHGASVMSSMVIPKANGEKSINRHPDYEFFLSRSN >ORUFI04G31160.2 pep chromosome:OR_W1943:4:29486081:29490404:-1 gene:ORUFI04G31160 transcript:ORUFI04G31160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQPASTSGDVDRIANRKHRHIVPRRSTEKKNPHNIQFERQVAALEYRQEEQRKRANGGRLFFTLSLSSHLVENGDELETSASPSLLLFHFNDPEDLARCLTSSRALLEDSQQSDKAPDNDFSVNTFSNASVDVKRTSRKKSKKKNKRHKRVHGKKVSEASDTQSMQSKGASHCIDVAGGESLTLSSNHVAHAGSEMRCRKETFPSMADGGETLTLPPNHVADKLFGDLSSDSSVREVSAERPDSETGNDGSFITLISSTSCSDEIELSRHASYFECCEQSNSNNSRCLDSASTSTLTDSSLDGHYTDSSWNFSDDTENLLIDKNECPPCVQSKVTDLRGSKCGGSEGWLNKANHDKFSCFRNSADACSGTQEMQSCSNASSDGDFLPVISRKRARKNRKVQPLGGCNVEHICGVEHGQSGKQSKISSRPSNSCTQVASKDSTKDFIHPIKVRTWTPHEVTLNDYMIGANMNHLQDPKQNRRGKPHKYSRLSEVANGGFIEEKSACTAKMLPGITHSTETGVGQIASSSASDVTVREISEEICTPIGPVQKGGLQILLREENVVGTGSLDVLNHVSSVDSEEQKKVDNAVMSRSHGMEGHHLQSQDSGSQFPGCTTDYWKTSRPTESGLEVGYHGVSAFEGRCNTNQQRSVSSKLQLGEMIKAANDACKVQGASDVHLISGHPLADFETFIYSASPVIAKTSCMRNGNCLQDPQAGSSPYQYQISDVSLRNVWEWYEEPGSYGLEVEIHRSLNSTRSACGVSEFCAYFLPSLSAIQLFEQCKNNLDHKFDSDDDFLLSQPNGVYLPKPSLSVQDHGEPLFEYFESEHPSSRPPLFEKIKQLTSGENLSTCQIFGDPKMLENLKLRDLHPASWFCVAWYPICRIPQGNCRAAFLTYHSLGKVVPQIHSPDKADEPTHLVCPVVGFWSYNDKGEQWFQLRNPEIKPMSLDVGPKTDRAEVLKQRLKTLRHGASVMSSMVIPKANGEKSINRHPDYEFFLSRSN >ORUFI04G31170.1 pep chromosome:OR_W1943:4:29497032:29516439:1 gene:ORUFI04G31170 transcript:ORUFI04G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGGMLLEDFGQRVDLTRRIREVLANYPEGTTALRELIQNADDAGASRVRLCLDRRAHGAGSLLAPALAQWQGPALLAYNDAVFTDEDFASISRIGDSRKVSQVWKTGRFGVGFNSVYHLTDLPSFVSGKYIVLFDPQGAYLPNVSAANPGKRIDFVSSSAITLYNDQLSPYCAFGCDMKAPFQGTLFRFPLRTAEQASLSRLSRQVYTEDDILSLFAQLYEEAVYNLLFLKNVLSLEMYVWESGMSEPKIVYSCSIGSQHDNLRWHRQALVRFSGTAAESSEKKIDSFSMDFVSKSFLGEKFEKKSYTYFIVQGMASALSKIGIFATTAAKDYDLHLLPWASVAACISNVGPEEVILRQGRAFCFLPLPVKTGLSVHVNGYFEVSSNRRDIWYGADMDRGGKLRSDWNMLLLEDVVAPLFRELLLQLRTVLDSKISYYSLWPTGLYEEPWSILVEQICKFIYTSPVFHSEIKGGTWITPAESLLHDEGFSRSDDLSEALVMLGMPVVRLPGAIADMFPKFHSKYMFKIVTPATVRHFLKDFENLGTLEKSQKLILLEYCLADLDSGNISKCMNGLPLIPLANKQFGIFSGISQENQYYVCDSIEYELLSAVSDRIIDRSIPPVILDKLYQIASSSQVNISLIEGRIFLQFFPWLFPPGWKCRNQVPWDPESGGSSPTAAWFKLFWQYIGDCSYDLDLFSDWPILPSTSGHLYRASTVSKLINTGSLSNLMKELLTKLGCKILDTKYLSTCQHLSNYVYDGDASGVLHSIFGVASLEGVDLQALFQRITPAEKNELYQFVLDAKWYLGPHLSDMSINLCKKLPIFRVFDGGSPSSYGFSDLSTSRKYLPPLGVAEQLLNDDFVFCISPSDEDIIMRYYGIERMPKSNFYQRYVLNRLDELQTEFRDSVLLTILQDLPQLSLENPRFKEALKVLRFVPTTNGVLKSPQSLYDPRVEELYALLQESDCFPHGLFQNPEVLDMLLCLGLRTSVSIDTIIQSARHIDSLVHKDHHKAHSRGKVLLSYLEVHAHKWYVHKPFDGRKKVNMLAKVTTVLRSRDTSWEADLEKFWSDLRMICWCPVLVTAPSPALPWPSVSSMVAPPKQVRMQDDMWIVSASSRILDGECTSSALSYSLGWLSPPSGSVIAAQLLELGKNNEIVTDQVLRQELALVMPKIYSLLSNLIGSDEMDIVKVVLEGCRWIWVGDGFAKTDEVVLTGHLHLAPYIRVIPIDLAVFKDLFLELGIKEQLDPVDYASILTRMATRKASTSLQAEELRTAVLVVQHLAEFRFQDHQTQIYLPDSSARLCLSSELVFNDAPWLLDFDEDITGNAPSIAFNSKKYVHNFVHGNISNDVAERLGVRSLRRLLLAESSDSMNLSLSGVAEAFGQHEDLTTRLKHIVEMYADGPGILFELVQNAEDAKASEVVFLLDKTHYGTSSILSPEMAEWQGPALYCFNDSIFSPQDLYAISRIGQDSKLEKPFAIGRFGLGFNCVYHFTDIPGFVSGENIVMFDPHASYLPGISPSHPGLRIKFVGRRILEQFPDQFTPFLHFGCNLQQPFPGTLFRFPLRNEAAASRSQIKREQYTPQDVEMLFSSFSEVVSEALLFLRNVKNITLYVKESDSQEMKLVHRVSKHNSYEMAKEPHALNTMLAFINGNQPSGMDRNQFFNKLNKTKDSDLPWSSQKVSIFEQSPAACLVHSWILTESIGGGHARKLSTASGSKSHFFVPWASVAAYLHSVTVDNTKELSGEAEVNLDDLVLKQLSLGSSKDRKFFEGRAFCFLPLPINTSMPVHVNAYFELSSNRRDIWIGNDMAGGGRVRSEWNLALLEDVAAPAYGHLLAAIAQELGPSDLFLSFWPTAVGVEPWSSMVRKLYVSIAELGLHVLYTKARGGHWVSTRQAIFPDFSFSKAIELAEVLSEAGLPVVSVSKQIVDSFLNAYPSVHLLNPHLLRNLLIRRKRGFRNREEAILVLEYCLSDMGDPSFPDKLQGLALLPLANGSFTTFTNRGEGERVFFSSQMEFELLKDSIPHLVVDNSLPDAILKKLYDIACSARSNIYLFTCNFLLELLPRILPPEWQHAKQLFWSPGHQGQPSVEWMVSLWNFLRHSCEDLSIFAKCPILPLVDGKLMQLGNASNVIIDDGWSENMYSLLQKLGCFFLRSDLQIEHPQLANFVQEATAVGVLNAVKSVASNFQDIKELFMGISLAETHELRSFIFQSKWFSGNHMNSSHMNTIRNLPIFESYKSRELVSLTSPRKWLKPEGVHEDLLNESFIRTESAKEKSILVSYFAIREPQKAEFYKDHVLPRISEFLSQPAVVSAILRDVKLLAENDTSVRAALHETPFVLAASGAWVHPSRLYDPRVPELHKLLHKETFFPSEKFMTTEVIELLASFGLKSKLGFSTLLDIARSVSLQQDDALEHGKRLLTYLNFLEFKASNMEDKKTFHGDDNQEASKTDGSFEAENDGDGYDPEETILSLFSNFDHDLPEDEFWSELKNISWCPVHVAPLLKGLPWFISEDHVAPPITTRPKSQMWLVSSKMRILSADSCSMYLQRKLGWLDPPNAYILSSQLVEISKSYDELKMFSEDSTNDAVPQKEIQLIYSKLQDIIDTADTNILKRNLDGHPWVYIGDRFVPPQALAFDSPVKYHPYLYAVPSELSEYKRLLSVLGVKQTFDAADYLNVLQCLQSDAKGEPLSTEQLSFVHRVLEAFVDCYPDNQAPDMMVNSLLIPDSFGVLTPARNLVYNDAPWMNADPTSKSFVHLSIGNDLANRLGVRSLRGSSLLDDELMTDLPCMEYAKISELLALYGESDFLLFDLIELADHCNAKKVHLIYDKRDHPKQSLLQQSLGDFQGSSLTVVFEGTIMSREEVCSLQLPPPWKLKGNILNYGLGLLSSYFVCDTLSILSGGYFYIFDPLGLTGGTTSTATSSARFFSLIGNDLVERFHDQFTPMRVTQEASLSSANSTVIRMPLSSKCLKELEAGCNRVKHIFDRFTQNPSSTLLFLRSIIQVSLSTWEGGASQPTLNYSVLVDPSVATLRNPFSEKKWRKFQLSRIFASTSAAIKMQAIDVHVIDNGCNYIDKWFVALCLGSGQTRNMALDRRYLAYNLTPVAGVAAHIARNGVSTNIHASSCILSPLPLSGSISMPVTTLGHFIVRHNGGRYIFGSSHDKSLSDLEMHKNKLVEAWNKELMLCVRDSYVEMVLEFQKLRKDPLSSAIESRSAHSVSTILQAYGDRVYSFWPRSKQHPASLTGYGSTVTNVNSPRASKADWQSLVEQVIRPFYVRLADLPVWQLYGGNLVKVDVGMFLSHSGSGDDDNLPSASVCSFIKEHYPVFSVPWELVREIQAVGVNVREIRPKMVRDLLKASSSILLRSIETYMDVLEYCFSDMDPYRFSDLHIHEESRVSNQQSEIMNSSISNSMPSSSSSVSYHRNTQRQGASGGDALEIVTYFGKALYDFGRGVVEDISKTGGSASHRTQAAENNVLSSIITELKGVPFPTSTKCLTRLGSTELWIASEEQQLLMRPFLHHFIHHQCLQKPFLELLLTTQVIHRPLKLRSFSPHLLSGHLKHIFDERWVHLAVEKKSPWIPWDNNANSSTAGPSPEWIRLFWKIFSSMSGDLSLLSDWPLIPAYLDRPVLCRVKECHLIFVPPADDSNPDSGDSAARVVDTSAHPGDETGEAEQNSILDTAFQSMNSAFPWLPALLYKLNIPVFDLSFPECGTICNLFPSRDRTLGQIIASKLVAIKNGGHLPLPLSLSSEDCDKLFALFVSEFRLSSNHLYQREELDVLRELPMYKTVTGTYTSLSGSDHCILSPTAFFHPADSRCLSSTANADLFLQALGVEQLSDQEILVRFALPGFGNKSAQEQENILAYLYSNWKDLQLNSSVVNTLKETNFLTSANEFCTELFKPRELLDPSDALLTSVFSGERHKFPAERFLSDGWLVILRKAGLRTSTEADMIVQCATKIESMGNDIVSSSEDPSDFEADFSGSKNEIPFELWSLAESVVNVILANFATLYDSSFCENIGKIAFIPAEKGFPSIGGKRGGRRVLASYSESILSKDWPLAWSSAPILTNQAIIPPEYSWGAFRLRSPPAFTTVLKHLQSVGRGNGEDTLAHWPTSSGIMTVEDAFLRILQYLDKIWGTISSSEKNELQTLAFIPVANGTRLVTVKSLFARLTINMSPFAFELPSLYLPFVTILREIGMQETLTNTYARELLLDIQKACGYQRLNPNELRAVMEILDFMCSGVNQATDGSEDIFDSVIPDDGCRLVSAVSCVYIDPYGSHLLSNIDTSRIRFAHPDLPQNICNTLGIKKLSDVIVEELDGKEELKMVNSICSVTLDKIKEKLLSKSLQDALRIVMIGVSNHFPSFEALNLAQIESVLKDISQNLQFVQRLHTRFLLLPMLQDVTRSSQRPPFPEWSSNGKHRSVCFVNKSTGQILVAEPPNFLTIHDAIAIVVSYRLGAPVILPIASVFACPDGTEKEVLKILRLGTDIGVSKREGRYNGSLGAELLSQDARQVQFLPLRPFYSGEIVAWKTGKEGEKLRYGRVPEDVRPSAGQALYRFPVETSAGETCMLLSSQVYSFKSVSMADLSSAPLQLDSGRAAGGQQGFSPINTGTEAADDVATGLEYGKVSSTELVQAVHDMLSAAGVRMDATKETLLQTTLSLQDQLKESQVALLVEQEKAEAAVREADVAKSAWSCRVCLNAEVNMTIIPCGHVLCNRCSSSVSRCPFCRTQVSRMMKIFRP >ORUFI04G31180.1 pep chromosome:OR_W1943:4:29518619:29521728:1 gene:ORUFI04G31180 transcript:ORUFI04G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLMNTGAVSMPFPTTRTAARRRTSRSRCQASSSGGSSNEGGGESYRGRSGRGGSTTWVTEYDLYGLLGVERSSPQSEIKAAYRSLQKRCHPDVAGAKGHDMAIVLNEVYSLLSDPAARLAYDQEQAKQSEFVGYTGKPLYSAWFGGEAEQRAVFVDEVRCVGCLKCALYANKTFAVESVYGRARVVAQWADAEDKILDAIQTCPVDCISMVERSDLAALEFLMSKQPRGRVRVSEGNTVGARAPDIFNEVSKFQKRFQEMKQKSATRESEESEAARQSRSSAVQTIRSISNWWYWQPFRAPATTVLASLHLPAPPPSPSMPADPVTDRLQEAAARRKAGGATAARTVASYARRDDYWTPQLNLPSLASPPERPHRRQSASPPRSQTRRATPTGDGGVTLGSIDLTAPLLMAIISAGFVGYNREEVAGVGGGGIQEHVGGAAALGLVNSFELKIVLASVTWFIIGAAIAGFIQFLARSEVNFRK >ORUFI04G31190.1 pep chromosome:OR_W1943:4:29521328:29525099:-1 gene:ORUFI04G31190 transcript:ORUFI04G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATALDSLPAPLRSLRLKTKQQELLLRVSALALIYVLAFVVRLFSVLRYESMIHEFDPYFNYRTTLFLSDHGFSEFWNWFDFESWYPLGRVVGGTLFPGLMVTAALLHRLLRALSLAVHIREVCVLTAPFFAANTTLVAYAFGREIWDSGAGLVAAALIAVCPGYISRSVAGSYDNEGVAIFALLLTFYLFVRAVNTGSLAWSLASAFGYFYMVSAWGGYVFIINLLPLYVLVLLVTGRYSQRLYVAYNSTYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLLQVFFFLDWVKYLLNDAKLFKSFLRITLTCVITVGTLALGIGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVAAPAVCLISAIAASATIKNLTTLIRTKSKSPQTVSGKSSGSKAAAKGAVDQSLPFQQNVAIALLLGAFYLLSRYAVHCTWVTSEAYSSPSIVLAARGHNGGRVIFDDYREAYYWLRQNTPSDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRVRGVEIGNKDIKLEYLEEAFTTSNWIVRIYKVKPPKNRS >ORUFI04G31200.1 pep chromosome:OR_W1943:4:29527572:29529194:-1 gene:ORUFI04G31200 transcript:ORUFI04G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGAWPAAAAAATSAPLSAAVRGAMRTLGHLLPRPQPSAAAAAAFSSSPHTLHDYNRLLDAFARDGDGDAALRVLRRMRHSSPACAPTAASYTSAMSALAKAGRPADAAALFDDMLANGVAPDRCAFSFLLHVYSSHLHLPSAAHSVLVWMSRLGLPPTPIDYADLVFSFCRAGRLPDALQLLDEMRALNYPLTLHSYTPILQVYCANADMQSADALISSMRSTGCHPDVVFYNIYVNGLCKVGDFDAVQRTIDESGRNGWVPDAVTYSTYIAGLCRFGYVEEALRQLEIMVTMGLQPTVVGLNILLDYVAQDLDMWAGKEVLERCQELGFVVDVVTYNTVMDHFCKKRKWLRVLKLFTDLLKKPITPNVQTCNIFISCLCRAGKFQFAKFVFSSKGFMADTVTCNILIHAFYEAGKEDELGFLFADVNAGKIAPDTITYNTLVDCLFRSGRRAEAVNLIRHIDDGYPVEPVARLAYWLVRSGNVREALRLFDDMLEKGLLLDSRIFANVIKAFCRKGPGECTEMLQLCSVLDRMLGIG >ORUFI04G31210.1 pep chromosome:OR_W1943:4:29530328:29541857:-1 gene:ORUFI04G31210 transcript:ORUFI04G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTVAAAAAVVPGVACGSSTRRVGVGNGNASAHAGGGCLAGGRRGAAAWVARARVAEAPPVAAEGSRQEAPAAPMVEIPVTCYQILGVTEKAEKDEIVKAAMELKNAEIEDGYMAEVSTCRQALLVDVRDKLLFEQEYAGSIKEKLPPRSSLHIPWSWLPAALCVLQEVGEEKLVLEIGQAALRRPDSRPYVHDVLLAMALAECSIAKASFEKSKVSLGFEALARAQYLLRRKPSLEKLPLLEQIEESLEELAPACTLELLSLPQTPENAERRQGAIAALCELLRQGLDVESSCRVHDWPCFLGQAMNKLLATEIVDLLSWDTLATTRKNKKSLESQSQRAVVDFNCFHVAMLAHFALGFSTRQADLISKAKTICDCLVASENTDLKFEESFCLYLLGEESGTTVFEKLQQLQSNGNSNSRNYGLPKKKDGNDKVTVCQSLELWLKDMALSRFADTRDCSPSLANFFGAPKRILSTSKQKLGATRMVRLSSQPSSSVSPCNRALGEQTPRLNSTSHLGEAVKQLAPNNLGVHSSMDRPANGSTTTSVPLKRNLVSHPARTLESWGLTGDIVGKLAYSALIGFALFGTLKLLRLQFGHMKPASASRGSAATQSLNEESTLEGSFITSSVRKHFEKLPKMLWLNNRLYSRSEESDLSSVANAVAATVCKQSMALQEAETLVKQWQDIKSEALGPDYQIDMLPEILDGSMLSKWQELALSAKDQSCYWRFVLLNLSVVRAEILLDESGTGEVAEIGAVLEEAAELVDESQPKKPSYYSMYEVQYILRRQSDGSWKICEASASSMARAPMLGFGILTVYKFSFFSEIPEAADILAKILSQLPINDAIRTSVLSRKWKYFWCSHTNLTLNKGTMRKPYVKTLTPYRWRWLRDYEFITRVDAVLRQHSGMGVQRMEIKFRLHSKHADHIDRWVNFAIASKTKELVVDLSGQDKGSFFTDLTHSNCIRIIKEPPYNLPPQLLGLNYGSYLRCLELTTVSLQLPADFKGFLDLKILSLVDMSITDEDVQRMLSKCNLLEFLEISYCEMVTSIRMLHPLDRLKHLVVDICPNLQEIELNCSPTTLKYSGTMVPLIFASTSRLTNISIVFINYQSAISYIITGFPSTLPRLETLTLHCGERERTIVPEGPFKFTYLRNLRLELALCGHGNIRKTDALDYAYILKIAPFMETLELSMWMLNCRHQPYREEDGELRIVGPPHQHAHLKRVRISGFFGHKDQVELALHILRSSMTLEQMVITPKLEIGNDLAFSDPCADEYEKKHYVDGHRVATEFVCKTDHRNVVTVERVVPEPADGEVERKRRRAN >ORUFI04G31220.1 pep chromosome:OR_W1943:4:29542319:29544123:1 gene:ORUFI04G31220 transcript:ORUFI04G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSTTASGLAPPPFSSARGARLLPGALLRLPPPPASVGSFRVVGPAAAPPGGRRIASARVRCGAAVRFIGQSEFEAEVLQSDLPVLVDFVADWCGPCRLIAPVVDWAAEEYEGRLKIVKIDHDANPQLIEEYKVYGLPSLILFKDGKEVPGSRREGAITKAKFKEYLEPLLSTSTVA >ORUFI04G31230.1 pep chromosome:OR_W1943:4:29542913:29546498:-1 gene:ORUFI04G31230 transcript:ORUFI04G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLVPRPRLLASTTLRAFHAAAHQRHQDPIIPGIYSYASLLQRSAATSDPRLAVSLHAALLKRGFLLASSHIFLCNHLLIACFKSRLHRHGLRLLDEMPRRNAVSWTTAIAGLTQGGQPREALALFKRLRRAGLPPNEFTLVSALNASSFVGGAGVGRARQLFTLAVRLGFDSNVFLTNAFLAAMVRHGQLADAVRLFDNANAWDIVSWNTLLTAFAHRSSLRLCTLWRRMAIEGVSADGFSFSTVLSGLSGSANVAATGLQVHAQLVKSGFVDDVCVGNSLLEMYMKNKQLESGIRAFTEMRHRDVVSWTELAAGLLHCGEPAESLRVVSDMILDGIRPNNYTIVAAANACANLANLNQGRKIHGYVIKLGGDSNVGVNNALIDMYAKCRSVTGAHKVFQSMQRQSVVSWTAMIMAFAQNGQAREAVKVFDDMLLEGMAPNHAAFYSVLYACSQGGFVDEGWIYFDAMADKFGVEPGEDHFACMMNT >ORUFI04G31240.1 pep chromosome:OR_W1943:4:29548538:29553119:1 gene:ORUFI04G31240 transcript:ORUFI04G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAALAWRRSLRDALLRGSAWRGAPAANSAAARLASTASASEAAAGPKKVPPPPRKGRLLTGAMIGLAIAGGAYVSTADEAKFCGWLFKSTQLVNPLFALLDAEFAHRLAVTAASHGFVPREKRPDPSVLGLEIWGRKFTNPIGLAAGFDKNAEAVEGLLGMGFGFVEVGSVTPLPQEGNPKPRIFRLREHGAVINRCGFNSEGIVVVAKRLGAQHGKRKMEETSSSTSPTTSDVKQGGKAGPGILGVNLGKNKISEDATADYVQGVHTLSQYADYLVINVSSPNTPGLRKLQGRKQLKDLVKKVQAARDEMQWAEDGPPPLLVKIAPDLSKQDLEDIAAVALALRLDGLIISNTTISRPSPADTHPLAQEAGGLSGKPLFDLSTNVLREMYILTRGKIPLIGCGGVSSGEDAYKKIRSGATLVQLYTAFAYGGPALIPRIKAELAECLERDGFKSVQEAVGADFK >ORUFI04G31250.1 pep chromosome:OR_W1943:4:29563196:29567209:1 gene:ORUFI04G31250 transcript:ORUFI04G31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSYASRRRGGGGGGGMAATVLAAKVAFAAAAVAGAGSFARLAVPQLVSVAGAVFPRVWAAARVWLVPPYLFVTVHLIILVIWKLSDHKHFQAQQQQLKDPWPXCRSRPSTAAGRPPPPPPMTTTTTTWTPQDAPGHRAGNGGPSGAEGASRRAAAAASARPVDRSGGDEPAIRRFHQEESPLLRPAISSLRSTPLRRTIHIEIFIIFGFSLFAEEIAGAEEAGLIVSSSSGCGGSRMNGWMWHLNHFIGMAWFLASHCRKGHETEPSLLQEQLNDCDLATTNPTTPIPQDATQILIVIATSDTLIIPGSNTCAMDKSLLKTKVDVTLDGTTNGTLFIETIIRQYEKGNAQEKSGWVMRLRV >ORUFI04G31260.1 pep chromosome:OR_W1943:4:29570413:29583426:-1 gene:ORUFI04G31260 transcript:ORUFI04G31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRGSTSSGGGDDRGREGHDDFTGGGQYNRYHRILEAVPSPLVRRENVVHHQYPTGLIHHPSSTMPVAPCSYVPRYTMVPTSAMLPLQHHHRQLQISQENFQDRVPSNNVAAPHLPSNFQDLRPMCNGPPFMSYGQTASNRNVLYQNLTPYSFNAWASNNMPRNPVYTSYHPTAIEDPHATPFHINNHDTDQGFFTVSTSFRVDQSFVHAPSPFPPVSSSSRSFSSAQISNGPTDAKKAKKSDIKDQPIVLRRSDTESEKNDELDQTPASDPSSMSHNSANSTIRFNCREYRVILRKELTNSDVGNIGRIVMPKRDAEAHLPALHQREGVMLKMDDFKLETTWNFKYRFWPNNKSRMYVLESTGGFVKQHGLQTGDIFIIYKSSESEKLVVRGEKAIKPNVIMPIVDCSCKNDLNNSEECGFAISLLTKKT >ORUFI04G31260.2 pep chromosome:OR_W1943:4:29570413:29574272:-1 gene:ORUFI04G31260 transcript:ORUFI04G31260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRGSTSSGGGDDRGREGHDDFTGGGQYNRYHRILEAVPSPLVRRENVVHHQYPTGLIHHPSSTMPVAPCSYVPRYTMVPTSAMLPLQHHHRQLQISQENFQDRVPSNNVAAPHLPSNFQDLRPMCNGPPFMSYGQTASNRNVLYQNLTPYSFNAWASNNMPRNPVYTSYHPTAIEDPHATPFHINNHDTDQGFFTVSTSFRVDQSFVHAPSPFPPVSSSSRSFSSAQISNGPTDAKKAKKSDIKDQPIVLRRSDTESEKNDELDQTPASDPSSMSHNSANSTIRFNCREYRVILRKELTNSDVGNIGRIVMPKRDAEAHLPALHQREGVMLKMDDFKLETTWNFKYRFWPNNKSRMYVLESTGGFVKQHGLQTGDIFIIYKSSESEKLVVRGEKAIKPNVIMPIVDCSCKNDLNNSEECGFAISLLTKKT >ORUFI04G31260.3 pep chromosome:OR_W1943:4:29577091:29580720:-1 gene:ORUFI04G31260 transcript:ORUFI04G31260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNPVYTSFHPAAIEDHHAPLFHSNNHDIDPEFLGNRHLHGVDIAGAPPAKATSPATAGGLLTNTAPATVSQIHARCRRRQIYRCCGLLPRAPPPSDPCAFTRAAITAARSARGLLSHLYGGLVFWLVGKRKLGEKRERKRKVVAEMDPPIVFRRSDMESEKNDDNPDQTPVSEPPSMNQNGENLIIRFNCREYRVILRKELTNSDVGNIGRIVMPKRDAEAHLPALHQREGVTLKMDDFKFETTWNFKYRFWPNNKSRMYVLESTGGFVKHHGLQTGDIFIIYKSSESGKFVVRGEKAIKPNAIMPVVDCSCKNELNKSEECGFTISLQTKKT >ORUFI04G31260.4 pep chromosome:OR_W1943:4:29577091:29580720:-1 gene:ORUFI04G31260 transcript:ORUFI04G31260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNPVYTSFHPAAIEDHHAPLFHSNNHDIDPGFQTNFRMDQAFVPASSPFPPVSSSSHSFSSAKISNGPTYTKKAKKSNVKDPPIVFRRSDMESEKNDDNPDQTPVSEPPSMNQNGENLIIRFNCREYRVILRKELTNSDVGNIGRIVMPKRDAEAHLPALHQREGVTLKMDDFKFETTWNFKYRFWPNNKSRMYVLESTGGFVKHHGLQTGDIFIIYKSSESGKFVVRGEKAIKPNAIMPVVDCSCKNELNKSEECGFTISLQTKKT >ORUFI04G31260.5 pep chromosome:OR_W1943:4:29570413:29580720:-1 gene:ORUFI04G31260 transcript:ORUFI04G31260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNPVYTSFHPAAIEDHHAPLFHSNNHDIDPGFQTNFRMDQAFVPASSPFPPVSSSSHSFSSAKISNGPTYTKKAKKSNVKDPPIVFRRSDMESEKNDDNPDQTPVSEPPSMNQNGENLIIRFNCREYRVILRKELTNSDVGNIGRIVMPKRDAEAHLPALHQREGVTLKMDDFKFETTWNFKYRFWPNNKSRMYVLESTGGFVKHHGLQTGDIFIIYKSSESGKFVVRGEKAIKPNVIMPIVDCSCKNDLNNSEECGFAISLLTKKT >ORUFI04G31270.1 pep chromosome:OR_W1943:4:29584203:29588194:-1 gene:ORUFI04G31270 transcript:ORUFI04G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASAVCLLPPRGGSLARPDTALPPASQPATVAVNQNIPRLASPRLAVTSITLLPRRGRRCAVDLLLLHLHRLLLFLSLFSEETPNLFLPRKPAAFLKRIKSPSLIRRCNPSPQNLAAPRAVLGFELMAVEEASSSSGGGRGGGGGGGGEEGLSGCGGGWTREQEKAFENALATVGDDEEEGDGLWEKLAEAVEGKTADEVRRHYELLVEDVDGIEAGRVPLLVYAGDGGVEEGSAGGGKKGGGGGGGGGGGGHGEKGSAKSSEQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRERRRSSIHDITSVNNGDTSAAQGPITGQPNGPSANPGKSSKQSPQPANAPPGVDAYGTTIGQPVGGPLVSAVGTPVTLPVPAAPHIAYGMHAPVPGAVVPGAPVNMPPMPYPMPPPTSHG >ORUFI04G31280.1 pep chromosome:OR_W1943:4:29593128:29595630:-1 gene:ORUFI04G31280 transcript:ORUFI04G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLDLADLIEEIGVVDTACVGEVDDAEEAVLLDMGERGVAPLPHPAIRPRCRHYYQHPPRPAPLLLLVAHVHHRAVPDPDPGRLRRRRIQHQAHLLVHCDVRRGKLVLYALLLAGITHSLTIAAVPGPKFTPIMALSRRFLNLIMDSRFPESKSLRCIDLTLHNFFNATPPNRNGPESKVVAADACIQKQNNNKEEAATATPKEIPISIFVPCLEEAGDFDGGNLYIMDKRSKTGKLGNNQFEAFIYCHYRGSSTLKSWTRQILLPPPCIYDRAYLGRYLEISSYALLGDGSNICISVKGVGTYCMDMRSFTWSHLGKWMLPFTGKVEYVPELKLWVGISADTQDLAAADLSSMNSQPQLLATCKEFDQPEEWKRCKDSQLVNLGSGKFCIARFFHNKTPQGDSDELIGKNITVLTGVEVVPSVYHANGNDNSRKGELQMIPHKSRLYAGSDTIWAVL >ORUFI04G31290.1 pep chromosome:OR_W1943:4:29595697:29603679:1 gene:ORUFI04G31290 transcript:ORUFI04G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQLPRPIFNFQASSVAHHWEIGCFPLRGREVLCIDQNGCCFLFDGDTRNAVTMPGLCQPKRFPLSLFVPSTGVDNDSGSLFIMESVVKPESTSSGPRSDQFEAFVYRTPSPRRHLSSTCQSLPPPPFVRDPKFSNIRTKITSYAVVSGGSEMCISVEGAGTYCMDTVKHTWRHVGEWILPFNGKVEYVPELKLWFGLSAKTNHLAATDLSAMDDDCFQQPELLKTWMELSPPKNWWDLSNSHLVSLGSGRFCIARFFYTRHLMGYYYDQIVDNRFVVLTGVDVVPCVHDTSSGIANGGTGDLRMIKYESKLHVPNGSGEIERVF >ORUFI04G31290.2 pep chromosome:OR_W1943:4:29602660:29604259:1 gene:ORUFI04G31290 transcript:ORUFI04G31290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQLPRPIFNFQASSVAHHWEIGCFPLRGREVLCIDQNGCCFLFDGDTRNAVTMPGLCQPKRFPLSLFVPSTGVDNDSGSLFIMESVVKPESTSSGPRSDQFEAFVYRTPSPRRHLSSTCQSLPPPPFVRDPKFSNIRTKITSYAVVSGGSEMCISVEGAGTYCMDTVKHTWRHVGEWILPFNGKVEYVPELKLWFGLSAKTNHLAATDLSAMDDDCFQQPELLKTWMELSPPKNWWDLSNSHLVSLGSGRFCIARFFYTRHLMGYYYDQIVDNRFVVLTGVDVVPCVHDTSSGIANGGTGDLRMIKYESKLHVPNGSVLPPFHKVSYSSISYIYIDVNKSK >ORUFI04G31300.1 pep chromosome:OR_W1943:4:29610172:29615695:1 gene:ORUFI04G31300 transcript:ORUFI04G31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSHLNGHSPLARRCPRLSAASPPATGDSDAAAAAADAPLAEHDRIYFQSYSHIGIHEAMIKDRVRTDAYRSAIMHHQKFIEGKVVMDVGCGTGILSVFCARAGAKCVYAVEASEMATQAREIVKANNLDDKVVVVHGRVEDVEVEDKVDVIISEWMGYMLLYESMLPSVLFARDKWLKPGGLILPSHATLFMAPITNSERYEGSVDFWSDVYGINMSALVPLAKKFTSEEPSIEIIGGENVLSWPFVVKHIDCYTFKAEELKSITTKYKVSSMMLAPIHGFGLWFEVEFNGPSNPTDKSPSDLNPLDVIRKKRRRGSEDPVVLSTAPEDEPTHWHQTILYFPDPIEVKQDQIIEGSVKVSQSEENPRFLNIQLDCTFININMNVGNARMTYIVKRRE >ORUFI04G31300.2 pep chromosome:OR_W1943:4:29610172:29614492:1 gene:ORUFI04G31300 transcript:ORUFI04G31300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSHLNGHSPLARRCPRLSAASPPATGDSDAAAAAADAPLAEHDRIYFQSYSHIGIHEAMIKDRVRTDAYRSAIMHHQKFIEGKVVMDVGCGTGILSVFCARAGAKCVYAVEASEMATQAREIVKANNLDDKVVVVHGRVEDVEVEDKVDVIISEWMGYMLLYESMLPSVLFARDKWLKPGGLILPSHATLFMAPITNSERYEGSVDFWSDVYGINMSALVPLAKKFTSEEPSIEIIGGENVLSWPFVVKHIDCYTFKAEELKSITTKYKVSSMMLAPIHGFGLWFEVEFNGPSNPTDKSPSDLNPLDVIRKKRRRGSEDPVVLSTAPEDEPTHWHQTILYFPDPIEVKQDQIIEGSVKFSLEM >ORUFI04G31310.1 pep chromosome:OR_W1943:4:29615860:29617281:-1 gene:ORUFI04G31310 transcript:ORUFI04G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVANGKVILFLLFVSTSVLIVSPASPPRFHYINPHNFTTPASSSSSASASAVHRSRNNNNPSLSLVHRDAISGATYPSRRHQVVGLVARDNARVEHLEKRLVASTSPYLPEDLVSEVVPGVDDGSGEYFVRVGVGSPPTDQYLVVDSGSDVIWVQCRPCEQCYAQTDPLFDPAASSSFSGVSCGSAICRTLSGTGCGGGGDAGKCDYSVTYGDGSYTKGELALETLTLGGTAVQGVAIGCGHRNSGLFVGAAGLLGLGWGAMSLVGQLGGAAGGVFSYCLASRGAGGAGSLVLGRTEAVPVGAVWVPLVRNNQASSFYYVGLTGIGVGGERLPLQDSLFQLTEDGAGGVVMDTGTAVTRLPREAYAALRGAFDGAMGALPRSPAVSLLDTCYDLSGYASVRVPTVSFYFDQGAVLTLPARNLLVEVGGAVFCLAFAPSSSGISILGNIQQEGIQITVDSANGYVGFGPNTC >ORUFI04G31320.1 pep chromosome:OR_W1943:4:29624604:29626208:1 gene:ORUFI04G31320 transcript:ORUFI04G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQVSKKSRLAAPSALLLLLVVAALLPPPRAEAGHDGAGGDDEPPPTPCSPADRAALLGFKAGVTVDTTGILATWDGGDDCCGAWEGVSCDAATGRVVALQLEAPPLPPPRRSYMEGALSASLGGLEFLETLVIRDMARIGGAIPASLSRLSRLKQLYLEGSMLAGGVPGSVLSGMASLQYLSLAGNRFEGKLPPELGSLPGLVQINLAGNRLSGEVPPSYKNLSRLAYLDLSNNLLSGAIPAFFGQQLKSLAMLDLSNNGFSGEIPASLCGLRNLTDLSLRHNKLTGVIPSQIGSISSLNSLSIDSNLLVGSIPESLFGLQKLWNLNLSRNGLSGSLPPGIRHGLPSLVSMDLSHNHLVGGIDHFFRSISPARRLTKNASSDMSVIFLPRQLQHLDLSKNSITGALPEFGAGASLRWLDVSGNAIGGQIPSSVWRLVGLQRLDISRNKIRGTIPASVASMASLRWLDISGNALVGRIPDSFARMARLRHASFRGNKLCGKIPQARPFNLLPAAAYAGNLCLCGKPLPPCRQI >ORUFI04G31330.1 pep chromosome:OR_W1943:4:29626983:29627750:-1 gene:ORUFI04G31330 transcript:ORUFI04G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHRIHPVGVGSPAPAPDSQQQVGKGRSTASYGEKEQLPITAPRPYAPAPLPPPPPRRRSRGRRCCRCVCWTLLAVLVLAVALGATAGILYAVFKPKIPDFHVDRLTVTRFDVNATAATVSDAFEVEVTSTNPNRRIGIYYDGGEVTASFNGTELCRGGFPALYQGHRNTVRPVILLAGETRLDSAVALQLARQQQAGFVPLTVWARVPIRIKFGAIKLWKMTGKATCNLVVDNLVAGRQIRIRSNSCSFKLKV >ORUFI04G31340.1 pep chromosome:OR_W1943:4:29630488:29633895:-1 gene:ORUFI04G31340 transcript:ORUFI04G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLENLLLAPRVDGGGGGDGEAMAAPDYAIPPLSPTAASVVHRCARIAGVEVEQLLRRFEPEKGDQPLAYARSVVEYCSYIALRVETKRHDYLSDSEFHSLTYDMMIAWEAPDDETDAALQKMSFSFVDGKDDDDCGSMFCLSPTQMAIQVDGRRTVSPEAFAKIIPACPAMAHAITVRNLFDALTNSTGGRLHFLIYHKYLKCLDKVLRFAKRISGGHKAPALQLSDGEVILDIYGAATTKPILQHIGTSTWPGRLTLTTHALYFEPVSVDFSYNEAVVYDLSRDLKQSIKRESTGPWGAQLFDKAVMYKSSSTREPVFFEFPQFKGHTRRDYWFAIIKEVLHAHKFIRKYRMINLHKAEALSVATLGILRYRTVKEGFHILPAHFKTTLAFNLAEKLPKGDKILEALYGQMKDYSSRFRVDEDSVQSSSDDLTLADPFPLSAYTLVNMGLLTLKEEDNPEEWDLTVRDVQTGGTSSVQMALERSVGYSGRVEAARATLDQVKVEDIDTNVAVLKELLFPLIEIGKRLLFLAEWEDPLKSYVFLFCFLFIVYRGWIWYIFPVFLLGSTIFMLWQRHTGNGQMIGAFEVTTPPRRRTVEQLLALQQAISQLEAQVQAGNIFLLKLRSLLLAAFPQHEQSSSYNARGICDIRVPALEKHTCTDCAGSIHEAYAGEEEEQREVGEETERVVAANPSCSCTALEASGYQEMEIEVEIEMTHIGNVGTLHMYYMYL >ORUFI04G31350.1 pep chromosome:OR_W1943:4:29634085:29637840:-1 gene:ORUFI04G31350 transcript:ORUFI04G31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDMGKILAGLENDDARVPKTKLVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLDNLRQAMHNTGVLCAVMLDTKGPEIRTGFLKDGKPIKLTKGQELTVTTDYEIKGDENMITMSYKKLPVDVKPGNVILCADGTISLTVLSCDPKAGTVRCRCENTAMLGERKNCNLPGIVVDLPTLTEKDKEDILGWGVPNDIDMIALSFVRKGSDLVTVRQLLGQHAKRIKLMSKVENQEGVVNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEVAVKIMARICVEAESSLDNEAVFKEMIRSAPLPMSPLESLASSAVRTANKAKAALIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWTISSEGPARHSLIYRGLVPLLAEGSAKATDSESTEVILDAALKSAVQKQLCKPGDAVVALHRIGVASVIKICIVKEEWLGGRPSPSETKERRKKKPARA >ORUFI04G31350.2 pep chromosome:OR_W1943:4:29634854:29637840:-1 gene:ORUFI04G31350 transcript:ORUFI04G31350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDMGKILAGLENDDARVPKTKLVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLDNLRQAMHNTGVLCAVMLDTKGPEIRTGFLKDGKPIKLTKGQELTVTTDYEIKGDENMITMSYKKLPVDVKPGNVILCADGTISLTVLSCDPKAGTVRCRCENTAMLGERKNCNLPGIVVDLPTLTEKDKEDILGWGVPNDIDMIALSFVRKGSDLVTVRQLLGQHAKRIKLMSKVENQEGVVNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEVAVKIMARICVEAESSLDNEAVFKEMIRSAPLPMSPLESLASSAVRTANKAKAALIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWTISSEGPARHSLIYRGLVPLLAEGSAKATDSESTEVILDAALKSAVQKQLCKPGDAVVALHRIGVASVIKICIVK >ORUFI04G31360.1 pep chromosome:OR_W1943:4:29641771:29642370:1 gene:ORUFI04G31360 transcript:ORUFI04G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSCSFSFAVVSVLLLMLAVVTASAATTAAEEPAHEEATHNERILLADSDADTDTDAHQLLHNVEEELAAATTIAAGQAAAAGVRVASAAEDQKKDGGGDAGAAAMPVALERQEAKTKTAGRLIATQGDDKSGGGGGNEHGKEGGGGGGKEAEKSKSCVTKEECHKKRLTCGKTCTMSAHTKCAAKCSKSCIPTCG >ORUFI04G31370.1 pep chromosome:OR_W1943:4:29643438:29651770:-1 gene:ORUFI04G31370 transcript:ORUFI04G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNTKRAYKLQEFVAHSSNVNCLKIGRKTSRVLVTGGDDHKVNLWAIGKPNSILSLSGHTSAVESVNFDSTEVFVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCMSVDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNVVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSSDKTVKFWDLETFELIGSTGPETTGVRSMTFNPDGRSLLCGLHESLKVFSWEPIRCHDTVDVGWSRLSDLNVHEGKLLGCSFNQSCVGIWVVDLTRLEPYATASGLVPVTPQRAGNGSSTKTVGNSTFASSGTNLKRGSLKSNNSSSLQNFSKIDVVPVIIPRTSSGPELATDSRSDAADVGPVLSKSGRRIEIANDSRKESSDVAAAVVPRTNSRTEMASDSAPVVGPRANLRMEVSADSAPIVPKSGRRLESSVESRKESTDVASAAAPKTSSRMEVAPDSAPLLSKAGRRVESATDSRKESADVAPVVPRTTSRMEMAPDSRREISAGRMSPFRVQSRYSELRKLNNAKADADKVDAGSKNSEADDFTCQIYLPRRNGVVQSGISEETREDAKPGVIDRMGFPSSAEPNTHRSENYVSRMRKPRDNCYIEVSRAGRTRPTASNWESRDQSPGNEEPTTSNSSSMAPTGRLYSSRGSSQAAETPTIASDEDVLSVLMEQHELFLSSTRSRLTKLQIVHQMWQRNDIRGIIAAMEKMSDHAVSADVASVLMEKSETITLDLCTVILPVLTDLLESKTDRHLGVSLELLVKLVRTFGSVIHSTVSAGPSSVGVDLQAEQRRERCNLCFIELEKVKNKLPFLSRRKGAVANTAQELSLVFQEVM >ORUFI04G31380.1 pep chromosome:OR_W1943:4:29655639:29660454:-1 gene:ORUFI04G31380 transcript:ORUFI04G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEMNRSCRGDEKRGNRSPSSSPFSPARRRGRNRVAGGRGWVRESGGGVALFGLEYPRLESDNRSDPQEPSRNPGIWQCTICEHGNDAKKKSCEQCGVLRYFSLYFNNALEVDGRAKRRDKHYAVSVLARTLFSPSSAKSKDVVLSGGFKASRNATGSTRATLDALHKTYMTRKERHINIVPFKFDTPSPDDVVATGLKSSRSFRKDAPHVTEKRVMDNDSSTPEKDTTADSNLPVKSNEFGESSESVSVGSQNETLCLDHELQHLSLERKSQKSKANIKKPVSSSLYKPEPWMLQHEDEGIPRQLNLAIVGHVDSGKSTLCGRLLHALGRISKKQMHKYEKEAKEKGKGSFAYAWAMDESADERERGITMTVGVAYFDTKNYHVVLLDSPGHKDFVPNMISGATQSDAAILVIDASIGSFEAGMGINGIGQTKEHSQLVRSFGVDNLIVVVNKMDSVEYSKERFNFIKSQLGAFLRSCGYKDSAVAWVPISAMENENLMTTASDTRLSSWYDGNCLLKAIDTLPPPSRDVSKPLRLPICDVFSSHKLGQVAIGGKVEVGATRSGSKILVMPFGELAVVKTIERNSSSCNLARAGDNVAIGLQGIDPSHIMPGGVICHPDYPVSVASCLELKILVLDITVPILVGLQFELHIHHAKVSASMVKILSLLEQKTGKASKKIPRFLTSRQTAVIEVKLEKEVCVEEFSNLKALGRVFLRSQGNTIAVGIVSRVREQA >ORUFI04G31390.1 pep chromosome:OR_W1943:4:29660670:29665877:1 gene:ORUFI04G31390 transcript:ORUFI04G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIILVVVVFVLDALAFVLAIGAEKRRSTATFSEDTSGRQYCVYSSDAATGYGIGALLLLLAGQAVVMVVTRCFCCGRALSPGRWRAFSGFCFIVCWFTFVIAELCLLAGSVRNAYHTKYSTLVISGPPRCAMLRKGVFAAGAAFTFLTALFAELHYLFFAKARHAAAVPPPIVGGIGMTRM >ORUFI04G31390.2 pep chromosome:OR_W1943:4:29663798:29665459:1 gene:ORUFI04G31390 transcript:ORUFI04G31390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIILVVVVFVLDALAFVLAIGAEKRRSTATFSEDTSGRQYCVYSSDAATGYGIGALLLLLAGQAVVMVVTRCFCCGRALSPGRWRAFSGFCFILCLLAGSVRNAYHTKYSTLVISGPPRCAMLRKGVFAAGAAFTFLTALFAELHYLFFAKARHAAAVPPPIVGGIGMTRM >ORUFI04G31390.3 pep chromosome:OR_W1943:4:29660670:29665459:1 gene:ORUFI04G31390 transcript:ORUFI04G31390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASIIILAVVFVLDVLAFVLAIGAEKRRNTAAYVNVDQNARPYCVYGSDAATGYGIGALVLLAAGQAVIMVATRCFCCGRALSPGRWRAFAGFCFITCCTLVISGPPRCAMLRKGVFAAGAAFTFLTALFAELHYLFFAKARHAAAVPPPIVGGIGMTRM >ORUFI04G31400.1 pep chromosome:OR_W1943:4:29670845:29676417:1 gene:ORUFI04G31400 transcript:ORUFI04G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAAAAAGHMDPVGDEAAERREMEEKEEEEEEEEEDEEFYESLDRILSSSCSSTSASDDDDQQHRRRRRHHPQPQQLSSSATFSAYEVWISEPTSVEERRRVLLRRLGLAHDSEPLPHPSPRVSSSSPRSPTPSPPSSSPPRPAPVVAAAEEPRSSGHGKPPLARNPSGGAEQCRIRNLDDGTEFEVGEVHDEVVREVGTGRQLTFEEFELCIGRSPIVQELMRRATTAASSSTSDHAAPASKPRRKPGGWLRGIRHLAGSVAYGRSSTDERDKEKEKEKKEREARRLSSATDDSLDGNGSRNAGRVRVRQYGKACKELTGLFMTQELAAHSGSIWCINFSLDGRYLASAGEDRVIHVWEVSEGERKGELLGEGTVARENGGGCSPFLAAVGNGSPELATLSLSCADGGFVEKKRRPRMQSSRKSVGSDHLVVPECVFGFRDKPVCSLLGHAADVLDLSWSKSQYLLSSSMDKTVKLWDITTSTCLKTFSHTDYVTCIQFNPVDDNFFISGSLDEKVRIWNVHDRKIEDWNDLHEMVTAACYSPDGQVALVGSHKGSCHLFDTTEKKLQYKSQIELRIRKKKSGQKKITGFQFAPGSSSEVLITSADSRIRVVNGDELVHKFKGFRNTSSQISASVAPNGKYVVCASEDSHVYVWRHDNTSHPSRSRSAVDVTNSYEHFHCHDVTVAITWPGAESRGSFGSRSSRNSDSDDAVMNTGRDAPVENSEHDLNGTVNRCTKRPVCEGVASTSNPPADGVSTSWPDEKQSSAKSSPGHCSSDLCIGALDVQRRSAWGLVIVTAGRGGEIRVFQNFGFPVQV >ORUFI04G31410.1 pep chromosome:OR_W1943:4:29676861:29677805:-1 gene:ORUFI04G31410 transcript:ORUFI04G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVASSPSLVLSAAAATASNKRPADSDASPPHQGDRTGQQEKKQQQLECPRCRSTNTKFCYYNNYSTSQPRHFCRACRRYWTHGGTLRDVPVGGASRRGGGGKRRRVSADADPSSASPPPPTTSTTDAYADLPAGFPFLSDGAFLPQFGLAGVAPAAFSWASAVPDLYNCGIAPWDDGTAVTGAAWDNFADIAGLDLSWPPPGN >ORUFI04G31420.1 pep chromosome:OR_W1943:4:29684257:29685843:1 gene:ORUFI04G31420 transcript:ORUFI04G31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVQAALLPSALSVPKKGNLSAVVKEPGFLSVSQKAKKPSLVVRAVATPAAPVASPGAGTSKADGKKTLRQGVVVITGASSGLGLAAAKALAETGKWHVVMACRDFLKAATAAKAAGMAAGSYTVMHLDLASLDSVRQFVDNFRRSGMPLDALVCNAAIYRPTARQPTFTADGYEMSVGVNHLGHFLLARLMLDDLKKSDYPSRRLIILGSITGNTNTLAGNVPPKAGLGDLRGLAGGLRGQNGSAMIDGAESFDGAKAYKDSKICNMLTMQEFHRRFHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQRFVTKGFVSEAESGKRLAQVVGDPSLTKSGVYWSWNKDSASFENQLSQEASDPEKARKLWDLSEKLVGLA >ORUFI04G31430.1 pep chromosome:OR_W1943:4:29686057:29690179:1 gene:ORUFI04G31430 transcript:ORUFI04G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVTPYSSPEVVDVEGTRSPPASGGATGEEGSELGVGENSRRPTRGQSTTAQPHRHGEEWRARPPEKAMAWIWMLMAAGAVLLWAVSLGRVLSSSSPACLPANSTFLSPPRGDRMSRNVLLVLAHPDDESMFFAPTILFLKSKGHSIHILCLSQGNADGLGNIRKEELYLACVTLKIPAEQVKVLDHSELQDGFHKNWDHGLIAELTLAQSQLWNIDTIVTFDSRGVSGHPNHCDVHHGICKLLRDNGQGHIEAWELVSLNIFRKYSGPVDIWLSSTLSSSSKQPTYTLVNNSPSRSFEAMASHKSQWVCVK >ORUFI04G31430.2 pep chromosome:OR_W1943:4:29690176:29696038:1 gene:ORUFI04G31430 transcript:ORUFI04G31430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGAEPPAASGGGLPGFFGGKSKYVRMDDVLPQEQEEDGVGGGGGGVRVRRSHSSRRYVFACSVFASLNSVLLGYDVGVMSGCILFIQRDLHINEVQQEVLVGCLSFISLLGSLAGGRTSDAVGRKWTIGLAAIVFQAGAAVMTLAPSFEVLMVGRLLAGVGIGFGVMIAPVYIAEISPAASRGSFTSFPEIFINLGILLGYISNYAFSGLPDHVSWRVMLAVGILPSVSIAFALLVIPESPRWLVMKNRADEAREVLLKVTDSEDEAKERLAEIEAAAAVASAGKYGDKTVWQELTRPSPVIRRMLITGLGIQCFQQITGIDALVYYSPTIFRDAGITTESQLLVATVAVGFFKTAFIALAIVLIDRVGRKPLLYVSTVGMTACLVVLAATLAALAHGSASRSAGIAVAILTVCGDVAFFSVGIGPICWVMSSEIFPLRLRSQAAALGAAMNRVTSGAVAMSFLSVCRAISVAGAFSVFAVISALSVVFVYRYVPETSGKTLEEIELLFGGGGGDGEAARGEVELGDGEHLILVLRMGLRATEAATGNGGGMRSLPDFLGRKSKYVRMDDVLPDEQGDGGGGGGVVRVRGGGGSGRYVFACSVFASLNHVLLGYDVGVMSGCIIFIQKDLHISEVQQEVLVGCLSFISLLGSLAAGRTSDAVGRKWTIGLAAAVFQAGAAVMTLAPSFAVLMMGRLLAGIGIGIGIMVAPVYISEITPATLRGSYASFPEIFISLGILLGYVSNLAFSGLPDHINWRVMLAAGIVPSISVAFVLLVIPESPRWLVMKGRAAEARAVLLKVTDGEDEAQERLAEIEEAARVTATGNGKAVWRELLRPSPVIRRMLVTGIGVQLFQQITGIDALVYYSPTIFRDAGITTESQLLAATVGVGLSKTVFIVIAIVLVDRVGRKPLLYVSTAGITACLAALAASLSLLAHGALPRAAAIGAAILTVCGFVAFFSVGIGPINMVLSSEIYPLRLRAQAVALGFAVNRLTSGAVAMSFLSICGAVSVAGAFAAFAAISALSVVFVHVFVPETSGKSLEQIESLFGAGEVELGDAEHLVQGKEMS >ORUFI04G31440.1 pep chromosome:OR_W1943:4:29698669:29703513:1 gene:ORUFI04G31440 transcript:ORUFI04G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFADDGADELPRTASHPFDADDDAAPDASGGAAADDTGYGGYASFVDGGVEDVEEEEEEIAVESEGVPIGHVSGGFSPSPFSPDPELDGGDGPILPPPAQMGAEEGILLREWRRQNAIVLEEKERKEKELRAQILAEAEEFKKAFYEKRIQNCETNKVHNREREKIFVAGQEKFHAEADKQYWKSISELIPHEIATIEKRGKKDKDKKPSITVIQGPKPGKPTDLSRMRQILVKLKHAPPPHMMQPPPASAAKDGAKDGAKDGTPAPANGTKKPAESKEKPANGSPAEAEKEQPAASE >ORUFI04G31450.1 pep chromosome:OR_W1943:4:29703174:29705257:-1 gene:ORUFI04G31450 transcript:ORUFI04G31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWCCIPKSKKGENPYSHGVSGIPPEKNIRLFSYSELRSATENFNRSNKIGRGGFGTVYKGTIRNGRDVAVKVLSAESRQGVREFLTEIDVITNVKHPNLVELIGCCVEGNNRILVYEYLENSSLDRALLGSNSEPANFTWSIRSTICIGIAKGLAYLHEEIASPIVHRDIKASNILLDKLYNPKIGDFGLAKLFPDNITHISTRVAGTTGYLAPEYAWHGQLTKRADIYSFGVLVLEIVSGKSSSRSLLADDKILLEKAWELHEVGKLKELVDSEMGDYPEEEVLRYIKTALFCTQAAAARRPSMPQVVTMLSKPIRINERELTAPGYIHDYNGTVSKATNSSNSRFKHSASDTSDMFSTVVPPTVSEISPR >ORUFI04G31460.1 pep chromosome:OR_W1943:4:29714829:29715916:-1 gene:ORUFI04G31460 transcript:ORUFI04G31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKQVAHAPAELNSPRSSAAKPKNPDEILRDFHALHPIEAFSTSFGGGAALACVAGHARNGLSGYERMFCGLDDIYCVFMGRLDNLSSLIRQYGLCSRSTNEALLVIEAYRTLRDRGPYPADQVVKDLSGSFAFVVFDNKSGAVFAALSTDGEVPLYWGIAADGSVVICDEREIVKGGCGKSYAPFPVGCMFHSEGGLKSFEHPMNRLKAMPRVDSEGVMCGATFKVDTFTKINSMPRVGSATNWAATWDDAAA >ORUFI04G31470.1 pep chromosome:OR_W1943:4:29718485:29721581:-1 gene:ORUFI04G31470 transcript:ORUFI04G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHRIHPVGVDSPPPAPDSQQQVGKGRSTASYGAAADHQATPVRAGPAAAAAAAVQEPRAAVLPVRVLCWTLLAVLALAGLEPEPADREVTATSPNRRIGIYYDSLEVTASFNGTELCRGGFPTLYQGHRHPARRRDTAGQRRGGEAAQQVGFVPLTVWARVLIRIKFGTIKLWKMTGKATCNLVVDNLIPLPPPPPAAAILLGVLGAPPSLLRLGEGTYRCLPLTVRSGTPLNKPVDVAMWQLNTQRSTRPPMDESHHTLRAARSGQAVCTTAPVKSDS >ORUFI04G31480.1 pep chromosome:OR_W1943:4:29731119:29732375:-1 gene:ORUFI04G31480 transcript:ORUFI04G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQSHDVSDLCIGKPPVRWLPPSSTVADAIAEIEAGGGRGPDAAVAVWDGTKGEVDGRVCMADVHLFLCGGDGEAASLASPAAALQATLSDLLAAGAPPVRRIEPHARSRARHVVVEAVDAFLDGAHCLVVPIRERWRRAAAAAAGEMCMCWLTVEDVVRFFVGCIGLFAPTASLSVSQLGIVREATLAVAAGDRALSAVPLLSAALATHSSVAVITGAGIAPRLAGEVSPSALCSCDVSVAAAIAALSAGDLTAFLHRSDLRCRRNLPGMVDLLYAGDPSSWPPSPSSSSSSSSSSSSLSSFSSSSDDEAEDGYKHYAPAPCARRDNNRQIIACHPGSSLVAVMAQAVAHRVTQVWVVDFDDGELVGVVRFLDVLWVLREHLNQPPPDLPIEFLIN >ORUFI04G31490.1 pep chromosome:OR_W1943:4:29738288:29740960:1 gene:ORUFI04G31490 transcript:ORUFI04G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCSMRKSFKDSLKVLEADIQHANTLASDFSRDYDGACLQMRMSYSPAAQFFLFLVQWTDCSLAGALGLLRILIYKVYVDGTTTLSTHERKASIREFYAVIFPSLMQLHKGISDVDDRRQKAICTERYRRRDEDESKRHVSEIDVEREEECGICMEMNNKVVLPNCSHAMCMKCYRQWRSRSQSCPFCRDSLKRVNSGDLWMLTDDRDVIDMATITRENLRRLFMYIEKLPLVAPDNIFYAYDSHVK >ORUFI04G31490.2 pep chromosome:OR_W1943:4:29738384:29740960:1 gene:ORUFI04G31490 transcript:ORUFI04G31490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCSMRKSFKDSLKVLEADIQHANTLASDFSRDYDGACLQMRMSYSPAAQFFLFLVQWTDCSLAGALGLLRILIYKVYVDGTTTLSTHERKASIREFYAVIFPSLMQLHKGISDVDDRRQKAICTERYRRRDEDESKRHVSEIDVEREEECGICMEMNNKVVLPNCSHAMCMKCYRQWRSRSQSCPFCRDSLKRVNSGDLWMLTDDRDVIDMATITRENLRRLFMYIEKLPLVAPDNIFYAYDSHVK >ORUFI04G31500.1 pep chromosome:OR_W1943:4:29741816:29744639:-1 gene:ORUFI04G31500 transcript:ORUFI04G31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVVRSCVQTGLKAVNSVLGLAGMAVILYALWMLRAWYRDVADLHYRLPVPWFIYTFIGLGVFMCLLTCSGAITTDVFLNSNWEEDFPDDPSGKFEEFKDFIRSNFEICEWIGLSVVAAQVLSIVLGMVLRALGPDRESDYDSDDDPSVPARLPLLRNQYQHGINYSEHTLPQSSDSWSLRILDKANK >ORUFI04G31510.1 pep chromosome:OR_W1943:4:29748749:29754224:1 gene:ORUFI04G31510 transcript:ORUFI04G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVMVVARNFMDMVAALPAAKLDMLYDSAFICEAVLRSLPPLAKKYALQMLYVSAPVAAAAMEEWVLDEYAAKHRVAIDRLLQLRVFVEVRDRRKEVSYKMNQKFQGNMQKYLVDGGSLPREPIPSSVTARLPTLAELESFALEQWECFLLQLINSSQVERGTSFSSSMMRTFQRGLLSSRDGEAPRLTENGFQFLLMETNAQLWYIMREYISSAEERGVDPTELISFLLELSFHTLGEAYSLNTLTDVQRNAIRDLAELGLVKLQQGRKDSWFIPTKLATNLSASLSDSSSNKEGFVVVETNFRMYAYSTSRLHCEILRLFSRVEYQLPNLIVGSITKESLYGAFENGITAEQIISFLQQNAHPRVADKIPAVPENVTDQIRLWETDRNRVDMTLSHLYEDFPSKDMFDQCCDYARDHGCLLWEDAKKMRLIVRVEFHSEMREFLRRLR >ORUFI04G31510.2 pep chromosome:OR_W1943:4:29748749:29754224:1 gene:ORUFI04G31510 transcript:ORUFI04G31510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVMVVARNFMDMVAALPAAKLDMLYDSAFICEAVLRSLPPLAKKYALQMLYVSAPVAAAAMEEWVLDEYAAKHRVAIDRLLQLRVFVEVRDRRKEVSYKMNQKFQGNMQKYLVDGGSLPREPIPSSVTARLPTLAELESFALEQWECFLLQLINSSQVERGTSFSSSMMRTFQRGLLSSRDGEAPRLTENGFQFLLMETNAQLWYIMREYISSAEERGVDPTELISFLLELSFHTLGEAYSLNTLTDVQRNAIRDLAELGLVKLQQGFVVVETNFRMYAYSTSRLHCEILRLFSRVEYQLPNLIVGSITKESLYGAFENGITAEQIISFLQQNAHPRVADKIPAVPENVTDQIRLWETDRNRVDMTLSHLYEDFPSKDMFDQCCDYARDHGCLLWEDAKKMRLIVRVEFHSEMREFLRRLR >ORUFI04G31520.1 pep chromosome:OR_W1943:4:29754683:29755072:1 gene:ORUFI04G31520 transcript:ORUFI04G31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKNKNKKNKKSSAPQARGRGSNSDRRSFTRGMLQSINNMTVMRATPRDPFVVATVTGRCDGDPIDVANPDIAAAAAAAADPVVAPAAGGGPWDEEEEVEPAPATTDLRAAATTGGEATETETAAV >ORUFI04G31530.1 pep chromosome:OR_W1943:4:29756412:29760409:1 gene:ORUFI04G31530 transcript:ORUFI04G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRFKIPRKRFRLLVRTPGGTMSMQDGERLKTTPLGREVWLRWHLLIFDQTIYAVDGIRTWDAYARHLPDIAAATAAIAAVLRAYRERRVELGLFHLRPLRKLLVFRLMSPLLVMPLPDALENSCRTAASTRRRTSPPPHLPSLSTPTAPELARSGAGVVEEGGGVGVAPVRVEAETRESKGGKERGGKRRWSGGGGVFFGLRSYIRDSDKHHNTTVPSQYSKLEFTGGMEI >ORUFI04G31540.1 pep chromosome:OR_W1943:4:29757621:29759589:-1 gene:ORUFI04G31540 transcript:ORUFI04G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGEWTPPCGSCCTKKYASLVQIPWRVFCKKGCDADGDTWDEYHQWSAYIDRSPGQDSYSLECFNACVSGCGYRFDIPAEKVEQIKPNRPSKPPPPPPPAVERATNSEPAVKGEDVPCTSA >ORUFI04G31550.1 pep chromosome:OR_W1943:4:29759600:29761225:-1 gene:ORUFI04G31550 transcript:ORUFI04G31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVDCLLGHFRPRSRLGPPVGKEETERKPKKTPPPPLHLLFPPLSFPPLLSLVSASTLTGATPTPPPSSTTPAPDRASSGAVGVDKEGR >ORUFI04G31560.1 pep chromosome:OR_W1943:4:29761689:29766433:1 gene:ORUFI04G31560 transcript:ORUFI04G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAKGRVLPLLAVAAALAAALLYRAPFSKVSGRFPFPRYSNLRSLLSYEKAEENPFVFAEPGRGGEGRADQRDRRRGLPELPAPATGGGLRHAHLDEPGRAEWEGFSTGTRAAAAGGITTLVDMPLNSYPSTVSEETLKLKLDAAKDKLHVDVGFWGGLVPENALNPSALESLLNAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYKRPLLIHAERIPDVQNEDGIDGELDPKAYTTYLKSRPPAWEEAAIKDLQRAMKDTEIGGRSEGAHIHIVHLSDAKTSLGLLKDAKQNGARVSVETCPHYLAFSAEEVPDGDTRFKCAPPIRDSTNRDNLWEALLDGHIDMLSSDHSPSAPDLKLMEEGNFLRAWGGISSLQFVLPVTWSHGKKYGISLNQLASWWSERPAMLAGLKKKGAVLPGYRADIVVWKPEAQFHLDDSHPVYHKHRNISAYLGKQLSGKILSTFVGGNLVFAEDKHAKAACGAPILAK >ORUFI04G31570.1 pep chromosome:OR_W1943:4:29767081:29773392:-1 gene:ORUFI04G31570 transcript:ORUFI04G31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGFDEKFALLRSIAEECICEDELRVLLKKKPNPICYVWFEPTSMMGIEQGIMKTIYVNKMAIIRYNIMMWKAVGMHLDKVEIIWFSDELNHHAVDYWPLAMDVSRKYTMKRMASYCKYVEPYGPGILPAAQIIYPCMLVSAVLCHKADIWLFSMDLRDIVMLTRDYCEDTNRGNIPTIFLPSVLPNLLENPEFQNRRNPGRTIFMLDDEDDIEEKISCAFCPSRVVACNPCLEYIKSVVLPWFGKFEVVQKEGNGSNKTYSSMKELIADYESGDLDSFDVKLALGKAINDILELVSEFFRSNEEAQAQIVPHRLQDEIGADIQKIQLQNEEMSGHVASTCQGISDLTFPSLSPTTEVATGGAADEKGAAHVRGRRPTGGEERCADTGGGRRG >ORUFI04G31570.2 pep chromosome:OR_W1943:4:29767081:29773392:-1 gene:ORUFI04G31570 transcript:ORUFI04G31570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGFDEKFALLRSIAEECICEDELRVLLKKKPNPICYVWFEPTSMMGIEQGIMKTIYVNKMAIIRYNIMMWKAVGMHLDKVEIIWFSDELNHHAVDYWPLAMDVSRKYTMKRMASYCKYVEPYGPGILPAAQIIYPCMLVSAVLCHKADIWLFSMDLRDIVMLTRDYCEDTNRGNIPTIFLPCILIQTVCIFCLFVICFWCVRVCEHAQMTVLPNLLENPEFQNRRNPGRTIFMLDDEDDIEEKISCAFCPSRVVACNPCLEYIKSVVLPWFGKFEVVQKEGNGSNKTYSSMKELIADYESGDLDSFDVKLALGKAINDILELVSEFFRSNEEAQAQIVPHRLQDEIGADIQKIQLQNEEMSGHVASTCQGISDLTFPSLSPTTEVATGGAADEKGAAHVRGRRPTGGEERCADTGGGRRG >ORUFI04G31570.3 pep chromosome:OR_W1943:4:29767081:29773392:-1 gene:ORUFI04G31570 transcript:ORUFI04G31570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGFDEKFALLRSIAEECICEDELRVLLKKKPNPICYVWFEPTSMMGIEQGIMKTIYVNKMVRAGCTVKILIADWFLQRNPRFTCNLNKIQAIIRYNIMMWKAVGMHLDKVEIIWFSDELNHHAVDYWPLAMDVSRKYTMKRMASYCKYVEPYGPGILPAAQIIYPCMLVSAVLCHKADIWLFSMDLRDIVMLTRDYCEDTNRGNIPTIFLPSVLPNLLENPEFQNRRNPGRTIFMLDDEDDIEEKISCAFCPSRVVACNPCLEYIKSVVLPWFGKFEVVQKEGNGSNKTYSSMKELIADYESGDLDSFDVKLALGKAINDILELVSEFFRSNEEAQAQIVPHRLQDEIGADIQKIQLQNEEMSGHVASTCQGISDLTFPSLSPTTEVATGGAADEKGAAHVRGRRPTGGEERCADTGGGRRG >ORUFI04G31570.4 pep chromosome:OR_W1943:4:29767081:29773392:-1 gene:ORUFI04G31570 transcript:ORUFI04G31570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGFDEKFALLRSIAEECICEDELRVLLKKKPNPICYVWFEPTSMMGIEQGIMKTIYVNKMAIIRYNIMMWKAVGMHLDKVEIIWFSDELNHHAVDYWPLAMDVSRKYTMKRMASYCKYVEPYGPGILPAAQIIYPCMLVSAVLCHKADIWLFSMDLRDIVMLTRDYCEDTNRGNIPTIFLPSVLPNLLENPEFQNRRNPGRTIFMLDDEELIADYESGDLDSFDVKLALGKAINDILELVSEFFRSNEEAQAQIVPHRLQDEIGADIQKIQLQNEEMSGHVASTCQGISDLTFPSLSPTTEVATGGAADEKGAAHVRGRRPTGGEERCADTGGGRRG >ORUFI04G31570.5 pep chromosome:OR_W1943:4:29767081:29773392:-1 gene:ORUFI04G31570 transcript:ORUFI04G31570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGFDEKFALLRSIAEECICEDELRVLLKKKPNPICYVWFEPTSMMGIEQGIMKTIYVNKMVRAGCTVKILIADWFLQRNPRFTCNLNKIQAIIRYNIMMWKAVGMHLDKVEIIWFSDELNHHAVDYWPLAMDVSRKYTMKRMASYCKYVEPYGPGILPAAQIIYPCMLVSAVLCHKADIWLFSMDLRDIVMLTRDYCEDTNRGNIPTIFLPCILIQTVCIFCLFVICFWCVRVCEHAQMTVLPNLLENPEFQNRRNPGRTIFMLDDEDDIEEKISCAFCPSRVVACNPCLEYIKSVVLPWFGKFEVVQKEGNGSNKTYSSMKELIADYESGDLDSFDVKLALGKAINDILELVSEFFRSNEEAQAQIVPHRLQDEIGADIQKIQLQNEEMSGHVASTCQGISDLTFPSLSPTTEVATGGAADEKGAAHVRGRRPTGGEERCADTGGGRRG >ORUFI04G31570.6 pep chromosome:OR_W1943:4:29767081:29773392:-1 gene:ORUFI04G31570 transcript:ORUFI04G31570.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGFDEKFALLRSIAEECICEDELRVLLKKKPNPICYVWFEPTSMMGIEQGIMKTIYVNKMVRAGCTVKILIADWFLQRNPRFTCNLNKIQAIIRYNIMMWKAVGMHLDKVEIIWFSDELNHHAVDYWPLAMDVSRKYTMKRMASYCKYVEPYGPGILPAAQIIYPCMLVSAVLCHKADIWLFSMDLRDIVMLTRDYCEDTNRGNIPTIFLPSVLPNLLENPEFQNRRNPGRTIFMLDDEELIADYESGDLDSFDVKLALGKAINDILELVSEFFRSNEEAQAQIVPHRLQDEIGADIQKIQLQNEEMSGHVASTCQGISDLTFPSLSPTTEVATGGAADEKGAAHVRGRRPTGGEERCADTGGGRRG >ORUFI04G31580.1 pep chromosome:OR_W1943:4:29775125:29779727:1 gene:ORUFI04G31580 transcript:ORUFI04G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAKPNLLLPVSVAIPSSRVSLPTGLVCSARSPPPRLRAAAAAAAASQALTSPVAAETPEAKQMRVETEAALEWGGVCARLAGFASTAAGRAACGEGRVPVGRSREESERLLEQTAAAALLPAPLDFGGVEDVSAAIAAAAGARLLAVREICGVGRSIRAARRVFDQLKTLSEETPDGRSYTPLLDIMQDCDFLTELVQRIEFCLDYTLSVVLDRASDKLATIRKERRKNIDMLESLLRDTSTKIFQGGGIDSPVVTKRRSRMCVGVKASHKHLVPGGIVLSSSGSGATYFMEPRDAIRLNNMEVKLSGDERAEELAILGLLTSSIADSEMKIRHLMGKILELDLACARGSYALWINAVRPAFTDRDSDTQLNPNSECSVFIEGIQHPLLLEQSLSMVKESTRVGKGQLSDEHLVSPMPIPLDMQVRNDTRIIVISGPNTGGKTATMKTLGLASLMSKAGMFFPAKGTPRLPWFDQVLADIGDHQSLEHSLSTFSGHISRLRKIVQVVSKDSLVLIDEIGSGTDPSDGVALSTSILKYLASRLNLAIVTTHYADLSRLKAVDDRFENAAMEFCLETLQPTYQILWGSTGNSNALSIAKSIGFDQKVLARAQEWVEKLLPDKQKERQGLLYGSLLDERKLLESQANEAASVLSDVERLYNEIRSEADDLDSRVAALRATESEKVQQELKFVKSQMDQIIKNFESQLKNSELEQYNSLMRKAEAATASLAATHQPTDFTFGDEENESSYVPEIGDKVYVEGLGGGSMASVVETLGEDGSCMVQYGKIKVRVKGNKIKLVQRGTKDTSASSPVKGKGRTPKRSAAEANQDGNVSFGPVVQTSKNTVDLRGMRVAEASHELQMAIDGCRSYQVLFVVHGMGTGAVKECALGILRNHPRVAKFEDESPLNYGCTVAYIE >ORUFI04G31590.1 pep chromosome:OR_W1943:4:29781486:29787598:1 gene:ORUFI04G31590 transcript:ORUFI04G31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGGGAAAVPEEGRSLALTPTWSVAIVLTLLVAGSLLIERSIHRLSYWLKKTHRNPLHKAMEKMKEEMMLLGFISLLLAATSRIISGICIDSKYYNSNFSPCTREEVEESIKIKHAVSSARKHLIEVILHHAARRNLKARYHHNQSCAEGYESFVSHEGLEQLHRFIFVMAVTHVTYSCLTMLLAILKRLPIYQLLEGNQHLADLIHSALGVKIMRSSFCFLAQFGQSVVRADYLILRKGFIMTHNLAPTYDFHDYMVRSMEEEFEKIVGVSGLLWGFVVAFMLFNINGSNLYFWIAILPVTLVLLVGAKLQYVIATLTAEGAKMNAYGPRIKPRDDLFWFKKPEFLLWLIHFILFQNSFELASFFWFWGCIIMLQWQFGYDSCFIKNHLIVYCRLILGFAGQFLCSYSTLPVYALVTQMGSKYKAALIPRRIRETMHGWGKDARRRRKKHRGGDDSTIRTETSTVCSLDDDDDGDDEHGQFVETTPSRPYLKIQLQPLRSGGGSARPGTPCHPGVVGLPPLHSVSTQGSSHPMLQRQPSSLSAPSSPSPRGGGMTRSMSMPGFASLTRTPGGSCPGTGAGTPTRLSDARN >ORUFI04G31590.2 pep chromosome:OR_W1943:4:29781486:29787598:1 gene:ORUFI04G31590 transcript:ORUFI04G31590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGGGAAAVPEEGRSLALTPTWSVAIVLTLLVAGSLLIERSIHRLSYWLKKTHRNPLHKAMEKMKEEMMLLGFISLLLAATSRIISGICIDSKYYNSNFSPCTREEVEESIKIKHAVSSARKHLIEVILHHAARRNLKARYHHNQSCAEGYESFVSHEGLEQLHRFIFVMAVTHVTYSCLTMLLAILKRLPIYQLLEGNQHLADLIHSALGVKIMRSSFCFLAQFGQSVVRADYLILRKGFIMTHNLAPTYDFHDYMVRSMEEEFEKIVGVSGLLWGFVVAFMLFNINGSNLYFWIAILPVTLVLLVGAKLQYVIATLTAEGAKMNAYGPRIKPRDDLFWFKKPEFLLWLIHFILFQNSFELASFFWFWWQFGYDSCFIKNHLIVYCRLILGFAGQFLCSYSTLPVYALVTQMGSKYKAALIPRRIRETMHGWGKDARRRRKKHRGGDDSTIRTETSTVCSLDDDDDGDDEHGQFVETTPSRPYLKIQLQPLRSGGGSARPGTPCHPGVVGLPPLHSVSTQGSSHPMLQRQPSSLSAPSSPSPRGGGMTRSMSMPGFASLTRTPGGSCPGTGAGTPTRLSDARN >ORUFI04G31590.3 pep chromosome:OR_W1943:4:29781486:29787598:1 gene:ORUFI04G31590 transcript:ORUFI04G31590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGGGAAAVPEEGRSLALTPTWSVAIVLTLLVAGSLLIERSIHRLSYWLKKTHRNPLHKAMEKMKEEMMLLGFISLLLAATSRIISGLEQLHRFIFVMAVTHVTYSCLTMLLAILKRLPIYQLLEGNQHLADLIHSALGVKIMRSSFCFLAQFGQSVVRADYLILRKGFIMTHNLAPTYDFHDYMVRSMEEEFEKIVGVSGLLWGFVVAFMLFNINGSNLYFWIAILPVTLVLLVGAKLQYVIATLTAEGAKMNAYGPRIKPRDDLFWFKKPEFLLWLIHFILFQNSFELASFFWFWWQFGYDSCFIKNHLIVYCRLILGFAGQFLCSYSTLPVYALVTQMGSKYKAALIPRRIRETMHGWGKDARRRRKKHRGGDDSTIRTETSTVCSLDDDDDGDDEHGQFVETTPSRPYLKIQLQPLRSGGGSARPGTPCHPGVVGLPPLHSVSTQGSSHPMLQRQPSSLSAPSSPSPRGGGMTRSMSMPGFASLTRTPGGSCPGTGAGTPTRLSDARN >ORUFI04G31590.4 pep chromosome:OR_W1943:4:29781486:29787598:1 gene:ORUFI04G31590 transcript:ORUFI04G31590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGGGAAAVPEEGRSLALTPTWSVAIVLTLLVAGSLLIERSIHRLSYWLKKTHRNPLHKAMEKMKEEMMLLGFISLLLAATSRIISGICIDSKYYNSNFSPCTREEVEESIKIKHAVSSARKHLIEVILHHAARRNLKARYHHNQSCAEGYESFVSHEGLEQLHRFIFVMAVTHVTYSCLTMLLAILKRLPIYQLLEGNQHLADLIHSALGVKIMRSSFCFLAQFGQSVVRADYLILRKGFIMTHNLAPTYDFHDYMVRSMEEEFEKIVGVSGLLWGFVVAFMLFNINGSNLYFWIAILPVTLVLLVGAKLQYVIATLTAEGAKMNAYGPRIKPRDDLFWFKKPEFLLWLIHFILFQWQFGYDSCFIKNHLIVYCRLILGFAGQFLCSYSTLPVYALVTQMGSKYKAALIPRRIRETMHGWGKDARRRRKKHRGGDDSTIRTETSTVCSLDDDDDGDDEHGQFVETTPSRPYLKIQLQPLRSGGGSARPGTPCHPGVVGLPPLHSVSTQGSSHPMLQRQPSSLSAPSSPSPRGGGMTRSMSMPGFASLTRTPGGSCPGTGAGTPTRLSDARN >ORUFI04G31600.1 pep chromosome:OR_W1943:4:29798244:29798606:-1 gene:ORUFI04G31600 transcript:ORUFI04G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFVTVAMPTALATEQIIDADKKLRRALVGGGAGKVAAALVLALFRSPGGVFVRSKALFDAYYVMLLAVAVFGAAEVGVGCWVSASPSDRRRGVGKLVVWASVVPIVVVAGLGGFAVLK >ORUFI04G31610.1 pep chromosome:OR_W1943:4:29802281:29811492:-1 gene:ORUFI04G31610 transcript:ORUFI04G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFNTNTIVLLALLVCGLGAVALHVVLQCTLHAGIPVVGSPAPLPGAGGVEMRSIRRGRGMSVTTPSPSLSCLLSSLRATASRRSAACRRSQASSSPQLTPPSLGARSSIGNRSTTASYHSIGSRASGGGGGGMGGPLGAIIGRHPAAAGGGGGDELGGVGGGGGGDGIIRHNRRCRDLAFLVLFAAFWVAMIVNSSFGFNQGNPLRLTYELDYKGNICGSRHGDPDLHELDVRYWMNPNQVYQSGVKDNKINLADAKAICLMECPMPAADGLNFVCDYPEGDIRLSVDDWIDRDYDYFEFLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLKHWQQMGGINIDENILIDKTIHKAINSKSSVLKRYVADIGKSWPVLIVCGGLLPLFLSVIWLLLIRYFVVAMPWITVVVFNALVISVTMFFYIKAGWLGNDPLTVVIGESDPYVHITGREISHLHAATVVMTVVMIIAFLTSIAIIRRILIATPVLKVAAKVIGEVQALIIFPVVPYFILAIFYMFWFSATLHLFSSGQVLRNDCNTDCCSYDLKLGKVNCDNCCGYSIHYTPHIGIAILFHLFGCYWATQFFIACSSTVIAGSVASYYWARGEISHDIPFVTVVSSMKRLLRYSLGSVAIGSLVVSAVEWVRFILECLRRKLKLVDSARESCFGKMTSSSSQCCLGCIDWTLKSVNRNAYIMIAITGKGFFKASVLATGLIMNNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLVPVVVSWALGFIVAKLFFQVVEMSIDTIILSFCQDAEEHQGNAQYAPPLLMETLDEQSELQRLTQGP >ORUFI04G31610.2 pep chromosome:OR_W1943:4:29811746:29824126:-1 gene:ORUFI04G31610 transcript:ORUFI04G31610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLGAIIGRHPAAAGGGGEDELGGGGGGGDGGGIIRHNRRCRDLAFLVLFAAFWVAMIVNSSFGFNQGNPLRLTYELDYKGNICGSRHGDPDLHELDVRYWMNPNQVYQSGVKDNKINLADAKAICLMECPIPAADGLNFVCDYPEGDIRLSIDDWINRDYDYFEFLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLKHWQQMGGINIDENILIYKTIHKAINSKSSVLKRYVADIGKSWPVLIVCGGLLPLFLSVIWLLLIRYFVAAMPWITVVLFNALVISVTMFFYIKAGWLGNDPLTVVIGESDPYVHITGREISHLHAATVVMTVVMIIAFLSSIAIIRRILIATPVLKVAAKVIGEVQALIIFPVVPYFILAIFYMFWFSATLHLFSSGQVLRNDCNTDCCSYDLKLGKVNCDNCCGYSIHYTPHIGIAILFHLFGCYWATQFFIACSSTVIAGSVASYYWARGEISHDIPFVTVVSSLKRLLRYSLGSVAIGSLVVSAVEWVRFILECLRRKLKLFDSARESCFGKMASSSSQCCLGCIDWTLKSVNRNAYIMIAITGKGFFKASVLATGLIMNNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLVPVVVSWALGFIVAKLFFQVVEMSIDTIILSFCQDSEEHQGNAQELGDIHVSAREGVIDDIAKHLAAGVDVNMREVV >ORUFI04G31610.3 pep chromosome:OR_W1943:4:29802283:29824126:-1 gene:ORUFI04G31610 transcript:ORUFI04G31610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLGAIIGRHPAAAGGGGEDELGGGGGGGDGGGIIRHNRRCRDLAFLVLFAAFWVAMIVNSSFGFNQGNPLRLTYELDYKGNICGSRHGDPDLHELDVRYWMNPNQVYQSGVKDNKINLADAKAICLMECPIPAADGLNFVCDYPEGDIRLSIDDWINRDYDYFEFLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLKHWQQMGGINIDENILIYKTIHKAINSKSSVLKRYVADIGKSWPVLIVCGGLLPLFLSVIWLLLIRYFVAAMPWITVVLFNALVISVTMFFYIKAGWLGNDPLTVVIGESDPYVHITGREISHLHAATVVMTVVMIIAFLSSIAIIRRILIATPVLKVAAKVIGEVQALIIFPVVPYFILAIFYMFWFSATLHLFSSGQVLRNDCNTDCCSYDLKLGKVNCDNCCGYSIHYTPHIGIAILFHLFGCYWATQFFIACSSTVIAGSVASYYWARGEISHDIPFVTVVSSLKRLLRYSLGSVAIGSLVVSAVEWVRFILECLRRKLKLFDSARESCFGKMASSSSQCCLGCIDWTLKSVNRNAYIMIAITGKGFFKASVLATGLIMNNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLVPVVVSWALGFIVAKLFFQVVEMSIDTIILSFCQDAEEHQGNAQYAPPLLMETLDEQSELQRLTQGP >ORUFI04G31620.1 pep chromosome:OR_W1943:4:29808905:29813783:1 gene:ORUFI04G31620 transcript:ORUFI04G31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAMALLLSTSVLLVLCLLPVDPAAPPVAAIPHRRSGRHDVPFGRHAAFGPFATEVELLLHGGGAVPDIRTCRDTLDRLPDWSHFDAELGPLERYFGSDGELNVKERLLYLFPMLDRAPKDGGVSCGELEAWLRRQAADRLDAVARRELKRHDKDGDGVVTLREYLAVDHDQHIEDSSQEKFHMIDHNSIVEHSADDDTSCAEAEKKFRELDSNNDGYLTVEEARPVIQSLISGEFSYAKSHAKLLMKADDNKDNKLSLEEMLNHYLSFYNIVYMDDHYDYDDIGNNIHDELR >ORUFI04G31620.2 pep chromosome:OR_W1943:4:29808905:29812890:1 gene:ORUFI04G31620 transcript:ORUFI04G31620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAMALLLSTSVLLVLCLLPVDPAAPPVAAIPHRRSGRHDVPFGRHAAFGPFATEVELLLHGGGAVPDIRTCRDTLDRLPDWSHFDAELGPLERYFGSDGELNVKERLLYLFPMLDRAPKDGGVSCGELEAWLRRQAADRLDAVARRELKRHDKDGDGVVTLREYLAVDHDQHIEDSSQEKVKLWLLKDKLRQAVSKHLHILIMLLITVH >ORUFI04G31620.3 pep chromosome:OR_W1943:4:29812939:29813783:1 gene:ORUFI04G31620 transcript:ORUFI04G31620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSGMDHDRDGKLSLDEFISQFHMIDHNSIVEHSADDDTSCAEAEKKFRELDSNNDGYLTVEEARPVIQSLISGEFSYAKSHAKLLMKADDNKDNKLSLEEMLNHYLSFYNIVYMDDHYDYDDIGNNIHDELR >ORUFI04G31630.1 pep chromosome:OR_W1943:4:29827753:29831410:1 gene:ORUFI04G31630 transcript:ORUFI04G31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGERAEVGAAGKKRKEVSASSDSEPAAASGKKTKKEKILPAWRANAIPCEGGEVLRRKKEAVAAGKLWSTPDVPGAMGSHLWDPIEVPAEMLELWLERQKANAEAAAAKKKKRKVLKCRVPNSLVEVMIARPYKCVDHDRSQEELAELTVSHRQGYILRKFIDEKKMNYEQTLIDQYVKQGYAEDEEERPCRSRAAMRDGERAEVGAAGVKRKEASASSDSEPAGKKAKKKILPKWRANAIPCEGGEVLRRKKEAVAASMLWRTPDVPGASDVWDSIEVPAEMLELWLERQKAKAEAAAAKKKRKVFKCRVPNSLVEVMITQPYKCVDHNRSQEELAELAVPHRQIYILRKFIDEKKMNYEQTLIDQYATQGYAEDEEEVTDDDDDEDPATLT >ORUFI04G31640.1 pep chromosome:OR_W1943:4:29831587:29832722:-1 gene:ORUFI04G31640 transcript:ORUFI04G31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQLLDLNLEPPIDWDAIGDWIGPFAIVDADAAGGEGDAAAGDGGAAAGDGGTAAQDGGEGDAAAGDGGGTVKKRRFYPDDLKIAIYLKLLACTHPPVMHHGVSKAVALQFDVPVRVVQRVWREGQNGGIEGVKNKLNFNCGRKRIEIDPEAIKAVPLAQRTTFQDLANALGLISSKLNIVNQ >ORUFI04G31650.1 pep chromosome:OR_W1943:4:29834532:29837018:-1 gene:ORUFI04G31650 transcript:ORUFI04G31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDWQELAQAAVIGLLFAFLVAKLISTVIAFKEDNLRITRSTPTSPSAADTPASPAPPPASLDGGHGDTSDGSGSDSDWEGVESTELDEEFSAASAFVAASAASGTSVPEQAQLQLYGLYKIATEGPCTAPQPSALKLKARAKWNAWHKLGAMPTEEAMQKYITVVDELFPNWSMGSSTKRKDEDTTVSASSSKGPMGPVFSSLMYEEEDQGNDSELGDIHVSAREGAIDDIAKHLAAGVEVNMRDSEGRTPLHWAVDRGHLNSVEILVNANADVNAQDNEGQTALHYAVLCEREDIAELLVKHHADVQIKDEDGNTVRELCPSSWSFMNLAN >ORUFI04G31660.1 pep chromosome:OR_W1943:4:29837854:29839528:-1 gene:ORUFI04G31660 transcript:ORUFI04G31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTTMPPPADVARFLSRDTIFDRVRLLDADPRALRAFAGTGLAVDVTVPNADVPRLAASRASARRWVRASVAPYAEATNVSRVLVGDEVISQANRTLLLSLVPAMRNLHAALAAVLPPSPRRREIIKVSTPHSLGILAASTPPSAGRFHDGYDTAVVKPLLGFLRATGAPFMVNAYPFYGGLTNDTLDYVLFRVNDGVTDNATGLLYANMLDAQLDAVHSAMRRLGFGDVDVVVSGTGWPSAGEYWEVGAGADLARDYNKNAIRHLGSGVGTPLMPNRTFELSIFSLFDENLKPGPVSERNFGLYHADMTPVYDAGILTAPQEIVGTKVTPAPAPALAPAEDGRRRWCVPKPAADEVALQVNIDFVCGQGGIDCGAIRAGGSCYDPNNVQAHAAFVMNLYFQSNGQHEFDCDFGQTGVITTVDPSYKSCKFT >ORUFI04G31670.1 pep chromosome:OR_W1943:4:29840079:29843718:-1 gene:ORUFI04G31670 transcript:ORUFI04G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFLGTSKVLTSSDVWFLGKCYKLSSEESSSDSDSESGHATFLEDFSSRIWITYRRGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRRPLEKPYNPEYIGILHMFGDSEACAFSIHNLLQAGNSYGLAAGSWVGPYAMCRAWQTLVRTNREQHEVVDGNESFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFNKGQSTWSPILLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQMAVDIAADNIEADTSSYHCSTVRDLALDLIDPSLAIGFYCRDKDDFDDFCSRATELVDKANGAPLFTVVQSVQPSKQMYNQDDVLGISGDGNINVEDLDASEFVWCRAEISIVQHI >ORUFI04G31670.2 pep chromosome:OR_W1943:4:29840081:29843026:-1 gene:ORUFI04G31670 transcript:ORUFI04G31670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPDRGVSSSSSDPLCEGNIAPCSSSSEQKEDCSLKRSKTSILSCVFNSPFNIFEAHQDSSANKSPKSSSGSYDWSRVLRRIVCSGSMWRFLGTSKVLTSSDVWFLGKCYKLSSEESSSDSDSESGHATFLEDFSSRIWITYRRGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRRPLEKPYNPEYIGILHMFGDSEACAFSIHNLLQAGNSYGLAAGSWVGPYAMCRAWQTLVRTNREQHEVVDGNESFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFNKGQSTWSPILLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQMNLDFINVISNSCLFNGALSSHSQQWQHKKTAKRGVFSTSQAVDIAADNIEADTSSYHCSTVRDLALDLIDPSLAIGFYCRDKDDFDDFCSRATELVDKANGAPLFTVVQSVQPSKQMYNQDDVLGISGDGNINVEDLDASEFVWCRAEISIVQHI >ORUFI04G31670.3 pep chromosome:OR_W1943:4:29840081:29843026:-1 gene:ORUFI04G31670 transcript:ORUFI04G31670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPDRGVSSSSSDPLCEGNIAPCSSSSEQKEDCSLKRSKTSILSCVFNSPFNIFEAHQDSSANKSPKSSSGSYDWSRVLRRIVCSGSMWRFLGTSKVLTSSDVWFLGKCYKLSSEESSSDSDSESGHATFLEDFSSRIWITYRRGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRRPLEKPYNPEYIGILHMFGDSEACAFSIHNLLQAGNSYGLAAGSWVGPYAMCRAWQTLVRTNREQHEVVDGNESFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFNKGQSTWSPILLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQMAVDIAADNIEADTSSYHCSTVRDLALDLIDPSLAIGFYCRDKDDFDDFCSRATELVDKANGAPLFTVVQSVQPSKQMYNQDDVLGISGDGNINVEDLDASEFVWCRAEISIVQHI >ORUFI04G31670.4 pep chromosome:OR_W1943:4:29840562:29843026:-1 gene:ORUFI04G31670 transcript:ORUFI04G31670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPDRGVSSSSSDPLCEGNIAPCSSSSEQKEDCSLKRSKTSILSCVFNSPFNIFEAHQDSSANKSPKSSSGSYDWSRVLRRIVCSGSMWRFLGTSKVLTSSDVWFLGKCYKLSSEESSSDSDSESGHATFLEDFSSRIWITYRRGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRRPLEKPYNPEYIGILHMFGDSEACAFSIHNLLQAGNSYGLAAGSWVGPYAMCRAWQTLVRTNREQHEVVDGNESFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFNKGQSTWSPILLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQMNLDFINVISNSCLFNGALSSHSQQWQHKKTAKRGVFSTSQAVDIAADNIEADTSSYHCSTVRDLALDLIDPSLAIGFYCRDKDDFDDFCSRATELVDKANGAPLFTVVQSVQPSKQMYNQDDVLGISGDGNINVEDLDASGETGEEEWQIL >ORUFI04G31670.5 pep chromosome:OR_W1943:4:29840562:29843026:-1 gene:ORUFI04G31670 transcript:ORUFI04G31670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPDRGVSSSSSDPLCEGNIAPCSSSSEQKEDCSLKRSKTSILSCVFNSPFNIFEAHQDSSANKSPKSSSGSYDWSRVLRRIVCSGSMWRFLGTSKVLTSSDVWFLGKCYKLSSEESSSDSDSESGHATFLEDFSSRIWITYRRGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRRPLEKPYNPEYIGILHMFGDSEACAFSIHNLLQAGNSYGLAAGSWVGPYAMCRAWQTLVRTNREQHEVVDGNESFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFNKGQSTWSPILLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQMAVDIAADNIEADTSSYHCSTVRDLALDLIDPSLAIGFYCRDKDDFDDFCSRATELVDKANGAPLFTVVQSVQPSKQMYNQDDVLGISGDGNINVEDLDASGETGEEEWQIL >ORUFI04G31670.6 pep chromosome:OR_W1943:4:29843147:29843718:-1 gene:ORUFI04G31670 transcript:ORUFI04G31670.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEVQS >ORUFI04G31680.1 pep chromosome:OR_W1943:4:29844573:29846139:-1 gene:ORUFI04G31680 transcript:ORUFI04G31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAALAVSDELALPLPRGGGSRAVGDLAAAAGVSREEVVVITQCASLGELLLVSGLPAFKPGEKIALPGNFLAADL >ORUFI04G31690.1 pep chromosome:OR_W1943:4:29847298:29849300:1 gene:ORUFI04G31690 transcript:ORUFI04G31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGKLEVLLVCAKGLEDTDFLNDMDPYVILTCRTQEQKSSVAKGAGSEPEWNETFVFTVSDDVPQLNVKIMDSDAFSADDFVGEANIPLEPVFLEGSLPPAVHRVVKEEKYCGEIKVALTFTPAAETRHHHNHENEGEGYSSWN >ORUFI04G31700.1 pep chromosome:OR_W1943:4:29851122:29854217:1 gene:ORUFI04G31700 transcript:ORUFI04G31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKNAGVLALFDVDGTLTAPRKVVTPEMLQFMKQLREHVTVGVVGGSDLVKISEQLGKSVTTDYDYCFSENGLVAHKNGELIGTQSLKSFLGDDQLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDYEIFESDRTIGHTVTSPDDTAEQCRSLFMSK >ORUFI04G31710.1 pep chromosome:OR_W1943:4:29855348:29860256:-1 gene:ORUFI04G31710 transcript:ORUFI04G31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFPHAAAALDPSSVLTHNTNTHTEGEGDAGSPPNHHHHLHHLLSSRDCYCSTHCLALLSPSSNFPLSFYSPPGSNPARCNKPGILNLFFVNQLQAQLRGGKVTLAAQQEGFSDKMNSGAEYEDGSEEEEPYERVFYDDEDDGNDDSICGDPCDDSVPKEEVHRKLMHEAEPYDDLVAGEEESVENSALQAFKKERNEQELKQAQKMGYSIKQKEIPVDKEMGMKPFKKRLVKFADDVSCYTYSTESFAAAKLEKRKAQFDDQDKHLHKKQEHTPPSFPQDGGKLKEVDNTNLYVGNLPASVGSHKLIELFLPFGQIVRSRVVDDCFTGLSQGYGFVKYSDPRCASEAIKRMNGRLVEGRALEVRVAGFPSSEDNSQQPSKETDMAKLYVCNLSLSMNTDRLIHLFLPFGEVTNAKVAKDHTTGLSKGYGFVQYSSPHHAAEAVIHLNGRLVDGRKIEVRVSGIPSTLPNSAVESPSTTRNSAVESPSTTRTVKEIDMSNLYVCNMPSSIDTKKLVELFLPFGKITHARVVADPDTFSAKGYGFIKFTDSESATKAIAAMNGALVGGEMIIVRVAGLSPSASISAVQTTQDINKSRLYITNLPRSMTADKMVNLFAPFGQITKVLMNLEYSLVWYADAPSATKAVQHMDGYMVEGKRLVVKRSELCTTNASQAGGKPIKEIDMANLYVGRVPSSLTEDQFIDLFRPFGRVVQARMFRFQRYGMVRFDNPSCAAAAIDHLDGYQIGGSILAVRVAGLPAESNAAKGALTSQMSSNEQGQIDMTNLYVSHLPSYVNNERLIDLFLPCGQITQAKVVVERYTGVSKGFGFVKFADAYSAAVALTHMNGYPLDGHVLEVRIAGVHPDAMSSYMAHFYSHFTMHDPARAAVGIPTSYWPHYYDESAYNTTAENLGQVTTTSATDASAAQTSQKERLPGSKSVDLVAEKDCSSASNKVANCSESQPTAWAGPPGFEPHAISKKCTAGSNASQACSKDHFAQSGGGHKRRSIV >ORUFI04G31710.2 pep chromosome:OR_W1943:4:29855348:29860256:-1 gene:ORUFI04G31710 transcript:ORUFI04G31710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFPHAAAALDPSSVLTHNTNTHTEGEGDAGSPPNHHHHLHHLLSSRDCYCSTHCLALLSPSSNFPLSFYSPPGSNPARCNKPGILAQLRGGKVTLAAQQEGFSDKMNSGAEYEDGSEEEEPYERVFYDDEDDGNDDSICGDPCDDSVPKEEVHRKLMHEAEPYDDLVAGEEESVENSALQAFKKERNEQELKQAQKMGYSIKQKEIPVDKEMGMKPFKKRLVKFADDVSCYTYSTESFAAAKLEKRKAQFDDQDKHLHKKQEHTPPSFPQDGGKLKEVDNTNLYVGNLPASVGSHKLIELFLPFGQIVRSRVVDDCFTGLSQGYGFVKYSDPRCASEAIKRMNGRLVEGRALEVRVAGFPSSEDNSQQPSKETDMAKLYVCNLSLSMNTDRLIHLFLPFGEVTNAKVAKDHTTGLSKGYGFVQYSSPHHAAEAVIHLNGRLVDGRKIEVRVSGIPSTLPNSAVESPSTTRNSAVESPSTTRTVKEIDMSNLYVCNMPSSIDTKKLVELFLPFGKITHARVVADPDTFSAKGYGFIKFTDSESATKAIAAMNGALVGGEMIIVRVAGLSPSASISAVQTTQDINKSRLYITNLPRSMTADKMVNLFAPFGQITKVLMNLEYSLVWYADAPSATKAVQHMDGYMVEGKRLVVKRSELCTTNASQAGGKPIKEIDMANLYVGRVPSSLTEDQFIDLFRPFGRVVQARMFRFQRYGMVRFDNPSCAAAAIDHLDGYQIGGSILAVRVAGLPAESNAAKGALTSQMSSNEQGQIDMTNLYVSHLPSYVNNERLIDLFLPCGQITQAKVVVERYTGVSKGFGFVKFADAYSAAVALTHMNGYPLDGHVLEVRIAGVHPDAMSSYMAHFYSHFTMHDPARAAVGIPTSYWPHYYDESAYNTTAENLGQVTTTSATDASAAQTSQKERLPGSKSVDLVAEKDCSSASNKVANCSESQPTAWAGPPGFEPHAISKKCTAGSNASQACSKDHFAQSGGGHKRRSIV >ORUFI04G31720.1 pep chromosome:OR_W1943:4:29862421:29866389:1 gene:ORUFI04G31720 transcript:ORUFI04G31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGGGGGGDESEFVGVGGGGGGGGEGEGNQSPPNRRFFVAVHVGAGFHAPANEKAYRRAMKRACLAAAAVLREGNGTSLDAVAAAIQVLEDDPITNAGRGSNLTESGHVECDASIMDGSTTTFGAVGAVQGVKNPIQIALHLAREQMVGPSLLGRIPPMFLVGEGACQWAKSKGLNLPEATSEGNSWLVTESAKAQWGKYRSLLASAKESVNHSTGSGSESSSVQLEAPGAEAEDITGVKKMKMITRSIMEDDQDCVMDTVGAVCVDAYGNIASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGTPFIVGCCATGAGEHLIRGFAARECCISSSLIQSGPASACTKVLRQAVQSSSEMSHDTGAGLLLIQADVLKVRGEVSALGAAELVAAYSSPSFGVGYLGSNMNSPKVAMLRSSKAAPNTINHFATRVNFDAQSDQ >ORUFI04G31730.1 pep chromosome:OR_W1943:4:29869568:29870010:1 gene:ORUFI04G31730 transcript:ORUFI04G31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYIGRCADWKQMQLIAVTKTCFMLSSPVPELLHYDLVAPKRHTIAYVMFGSYQMIDNWLGLGRIGSSCIILESIKPEVLEAKVGDWRKG >ORUFI04G31740.1 pep chromosome:OR_W1943:4:29870190:29870547:1 gene:ORUFI04G31740 transcript:ORUFI04G31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAHMQPNCVANILLWRSWYLRNVCVHAWHGQGFRLGKLDYISSRVIGNPCLLMLSHSALKVDHREAHVTNARWTPSPMGWAKANVRGWVFRELSAIVAQLKKRKRLSVGRE >ORUFI04G31750.1 pep chromosome:OR_W1943:4:29879112:29899589:-1 gene:ORUFI04G31750 transcript:ORUFI04G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSPVVNVYPLANYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLCSKLAVNSPSFPPNWQRYGPVISTIPQQLSRFQFNMWASGSPPTSPVSGVRRGACRRAPRKPLPPIAAHVPPFPAPTSTAIAMGKPKQQVLSRFFSPKPRPSAPATADDPPPPPRPPAEPPVAAVVSFSPAKRARALSASPKTTAKRAKPSPPPSDYVRRRLLEPPRPPPPAALNPSGKGYTPLEQQVVDLKARHPDVLLMVEVGYRFRFFGEDAAVAASVLGIIAHPDHSFLTASIPTFRLGFHVRRLVAAGHKVGVVRQTETAAIKAAHGGGAAGTPFARGLSAVYTRATIEAAAGELEGGGAPDEGSRYLVCVVDKEVDAMGTEGFEVKIGVVAIEVSTGEVVHGEFMDGVSRNGLEAVLLGLAPVEVILGTPISSATEKLMVAYAGPTSNVRVERTSRLCFSEGGALAELLSLFEKSGVDAPTVENGRHLMEMNEENNNPRGIEVPLSSSELTVIAPYDWVSICSLGIMAMPELVIHALALSVRYLKGFGMDRIICFGSSFQPFTANTEMSLSANTLQQLELTHPLCDRNQICTRHDAVSEISESIGSQQYSTNNLQDEVDMSCSSSVRSDLSTILSSVLRMLAGTLDIQRGITRIFHCKATAKEFVGVVQAILTAGKQLQKLVLEDTDTMSSQHRTVHSPLLRRLINTASSCTVLANAATLVSCLNKDAADQGDMLNLFIASVDQFPEVAEGHATVEMAKQKLELLITEYRKQLGLPVDRKVPSSWMKVNSTKKTIRYHTPEVSKNLENLLLAKEKLAVICRTTWNNFLMDFGRYYAQFQATVKSLATLDCLYSLATLAKQNKYVRPNFVRENEASQIHIKDGRHPVLESLLGVNFVPNDTELHANSEYCQIVTGPNMGGKSCYIRQVALITLMAQVGSFVPASSATLHAVDGIYTRMGASDSIQHGTSTFYEELSEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKEKKCMVIFVTHYPKILNILREFEGSVGAYHVSYLATRKLLEVADRQMVINNTETKDLGEITFLYKLVAGASDRSFGLNVALLAQLPSSCIERASVMAAKLQQELSEREKNKFCRLMDVPRESSPKELCAQPYQGLAEACHRILFNVTSAQSNDELTDTLSSLREAREIALKAIKGWGRVGMDLSRFTAPRPGLQIGAAGNGFRACSLRRLRHRVCGGNPMGASALGGCGSRSLFYLAPNHGSPLALRTRGRALRCQGNDSLAYVDGPLEGTNGSVVDNTEDEANSSGLDEEKGDDDAENLRDLLQKARKELEVARLNSTMFEEKAQRISESAIALKDRADKAQSDVSSAVTTIQEIISKEADAKEAVRTATMALSMAEARLQLASEALDAKRGSVGPMEVSIDDVEEEALASAQEEIKECQESLSKCEEELRRIQEKKMELQKEVDRLTELAERALLDASKAEEDVANIMVLAEQAVALEMEAAQRANDAELALQKAEKAISSVDAVVELPAPAEEQVSDEEDNVSEVYDYSSDAIDDIPERDEVSNVERLTVGDLAVEGIEQLESSREMSDDESTDKLLVEPQKEAEPDIDKSKQGKKQEIERKESQPSNAPKASLKRSSRFFPASFFSSKADGEFTPTSVFKGLMKSTRKHAPKLVVGIVLLGAGAFFLNRAEKSSQLFQQQEITTSIEEVTSTAKPIVREMRKIPQRVKKLIELLPHQEVNEEEASLFDILYLLLASVVFVPLFQKIPGGSPVLGYLAAGVLIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLEDISAYHFLPAAFCGKAKLNEEVLATTAAVGMIAHRFAVLPGPAAIVIGSGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEAMGMAAVKAIAAITAIIAGGRLLLRPIYKQIAENRNAEIFSANTLLVIFGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTIFFLVQHRGFYYLLWNDDKSLILPVGMSIDPKLLLSNFPAISVILGLLIIGKTMLVTFIGRVFGISTIAAVRVGLLLAPGGEFAFVAFGEAVNQGLLSPQLSSLLFLVVGISMALTPWLAAGGQFLASKFEQHDVRSLLPVESETDDLQDHIIILGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRAVWALSKYFPNVKTFVRAHDVDHGVNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEIAATVNEFRNRHLSELTELCATSGSSLGYGYSRVMSISKSKTVTSDDESETVDGALAI >ORUFI04G31760.1 pep chromosome:OR_W1943:4:29909988:29910389:-1 gene:ORUFI04G31760 transcript:ORUFI04G31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQARPYSAMFAGGVSARTGPHALPLARIKKIMKRSAGDSSVVDGGGGGGGGARMISGEAPVVFSKACELFIAELTRRAWAATLEGKRRTVHKEDVAAAVQNTDLFDFLVDVVTADLVDVVTADLGDDHTDYK >ORUFI04G31770.1 pep chromosome:OR_W1943:4:29911166:29916994:1 gene:ORUFI04G31770 transcript:ORUFI04G31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSAASSPPAPPPSPWDDVSWAESTSSTVLQHYNSLPKKGKPQGRESTVLAAFLLSTPQQDPRNLTVLSLATGTKCLGAARLNHHGDLVHDAHAEVVARRALLRLIYTEIGRSGASDWLVASGGVMPVPPSPSELLREQLDSVNGCDDVGFVQRKPGRGDTTLSMSCFDKITRWSVVGIQGALLSHILEPLYLSTITIGQSPTGASDGFSVENNIKKVLNARLSSLSSKLLLPFKLNKPLFYEAPIPPKEFQQTSGDLQPLTCGYSICWNKSGFHEVVLGTTGRKQGTSSKAACSPSTESLLCKRRLLEAFVLIEHPLVKKFHREEMSYRQIKDMAHEYQQTLELLRKAPFFSRWSAKPASLDSFTVSRTPGYAKAKVTAEKRGWRWLLTWDH >ORUFI04G31780.1 pep chromosome:OR_W1943:4:29919821:29925853:1 gene:ORUFI04G31780 transcript:ORUFI04G31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCWGGASSVLRLLLALQCGVVVVVLQCSANALGSDVSALIAFKRAIIEDPRSALADWSDADGNACDWHGVICSSPQGSVISLKLSNSSLKGFIAPELGQLSFLQELYLDRNMLFGTIPKQLGSLRNLRVLDLGVNRLTGPIPPELAGLSSVSVINFHSNGLTGNIPSELGKLQNLVQLRLDRNRLKGSIPGSNGSGFSPTANSGSTAHNGLCPSPRLNVGDFSYNFLVGKIPPCLKYLPRSSFQGNCLQDEYSVRQRAFQICISGSPAGQRGGVKGFKHPTSDHKHERSPQPTWLLILEISTGILLLVFVITGAITASRSCKLKPSIRISSWNRSKSWSDEITVLIDSDMLKSLPKLSRQELEVACEDFSNIIGSSPETVVYKGTMKDGPEVSVISLCAFEGHWTSQHELFYQNKVIDLARLNHENIAKFLGYCRESDPFSRMLVFEYASNGTLFEHLHYGEGAQLSWLRRMKIAIGIAQGLRYLHTELQPPFAISELNSNSVYVTEDFTPKLVDFECWKMMFTKQKHEKAPGRINNKSSFPGHLDSSEDKQADIQGNTFAFGVILLEIISGRLPYCKDKGYLIDWAIKYLQQTEEIGKLVDPELTNVRTEDLMVICSVVSRCIDPDPSKRPSMQIITGVLENGIDLSAAAILKESSLAWAELALAL >ORUFI04G31790.1 pep chromosome:OR_W1943:4:29926913:29928556:-1 gene:ORUFI04G31790 transcript:ORUFI04G31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPTLTTLLKAAVATFPSRRALAVPGKVDLSHAALDALVDAAAARLAADAGVLPGHVVALAFPNTVELVIMFLAVIRARAVAAPLNPAYTQEEFEFYLSDSGARLLITNPEGNVAAQAAASKLGLAHTTASLKDAAGQVHLAGFPASAAAAAKDFANDPSDVALFLHTSGTTSRPKGVPLTQRNLAASVQNIRAVYRLTEADATVIVLPLFHVHGLLCGLLASLASGASVTLPAAGRFSASTFWADMRGAGATWYTAVPTIHQIIIDRHTSKPEAEYPALRFIRSCSASLAPAIMEKLEAAFGAPVVEAYAMTEASHLMTSNPLPEDGARKAGSVGRAVGQEMAILDEEGRRVEAGKSGEVCVRGANVTSGYKGNPEANEAAFRFGWFHTGDIGVVDEEGYLRLVGRIKELINRGGEKISPIEVDSVLLGHPAIAQAVAFGVPDAKYGEEINCAVIPREGVSLGEEEVLAYCRRNLAAFKVPKKVYIADELPKTATGKIQRRIVAQHFVVPVLPTKA >ORUFI04G31800.1 pep chromosome:OR_W1943:4:29933658:29941846:1 gene:ORUFI04G31800 transcript:ORUFI04G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYKLGVEVASAHDLMPKDGQGSASACVELTFDGQRFRTAIKDKDLNPVWNERFYFNVSDPSNLPELALEAYVYNINRSIDGSRSFLGKVRIAGTSFVPFPDAVVMHYPLEKRGMFSRVKGELGLKVYITNDPSIKASNPLPAMDPVSNNPPPTPAEQIATDITGTNLSTTHEHRAEVKTLHTIAKEVQHQHHGHGHLPASFPDQPSKYAVDQMKPEPQQPKIVRMYSAASQQPMDYALKETSPFLGGGQVVGGRVIRAEKHASTYDLVERMQYLFVRVVKARDLPDMDVTGSLDPYVEVRVGNYRGITRHFEKQKNPEWNAVFAFSRDRMQATILEVVVKDKDLLKDDFVGLVRFDLNDVPMRVPPDSPLAPEWYRLVHKTGDKSRGELMLAVWIGTQADEAFPDAWHSDAATLEDPSAVTHMKSKVYHAPRLWYLRVNIIEAQDIAITDKTRYPDVFVRAQVGHQHGRTKPVQARNFNPFWNEDLMFVAAEPFEDHLILSLEDRVAPNKDEVLGRVIIPLTMIDRRADDRIVHGKWFNLEKPVLIDVDQLKKEKFSTRLHLRLCLDGGYHVLDESTNYSSDLRPTAKQLWKPSIGLLELGILGAQGIVPMKTRDGKGSSDTYCVAKYGSKWVRTRTIVNNPGPKFNEQYTWEVYDPATVLTVGVFDNGQLGEKGGEKTSSSKDAKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSTSLVNMMYLYSRPLLPKMHYVRPIPVLQVDMLRHQAVQIVSARLSRMEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAVSKWFNGVCSWRNPITTVLVHILFIMLVCFPELILPTVFLYMFLIGVWNYRYRPCYPPHMNTKISHAEAVHPDELDEEFDTFPTSRSPDVIRMRYDRLRSVAGRIQTVVGDIATQGERVQALLSWRDPRATAIFVLFCLIAAIVLYVTPLQVLAALAGFYVMRHPRFRYRLPSTPVNFFRRLPARTDSML >ORUFI04G31810.1 pep chromosome:OR_W1943:4:29938673:29938876:-1 gene:ORUFI04G31810 transcript:ORUFI04G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTGAQQTFTVNSWMEPASFEDDSAAPIFAFSNTEVLSWTSHWKKDWRRMQRDNEGTKRSVTTGN >ORUFI04G31820.1 pep chromosome:OR_W1943:4:29940635:29942889:-1 gene:ORUFI04G31820 transcript:ORUFI04G31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKELLSPSELSYYAHQQHQHQHQQHQQQHRMLGGGGGGGGRSASPLAGMHGGPSVIRPMPNMGMSPTAILQSIGPGPLAGMQFQMDAAPPPPPLMHNSMASVSASAGAGSPTVPPSATPMEPVKRKRGRPRKYGPDGTMKVSTAAAAQHQQQMLSAPPRMGSVSGADMVGGGSGMDDSAQKKRRGRPPGTGKKQQLSSPVKLSGGNAFSGSAGTSFTPHIITASPSEDVAGKIVAFANHSSRAVCVLSATGSVSRVVLRHPADGAMSRVHASSHYKNPAIYEGLYEILSMSGCYNLMNEGQSDGLSVTLCSPERHIIGGVLGGALVAASTVQGGSKPKSKKAGKQQQQQAAAAAFSSDSLTGGGQDASPSSGHNQNLTPPPPVTTTGGWPSSGIFDTRSSNIDINSSRG >ORUFI04G31830.1 pep chromosome:OR_W1943:4:29957468:29957863:1 gene:ORUFI04G31830 transcript:ORUFI04G31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGDAIIGCSSPQQRAGRVDRVHSATGDRVTERHHCRPAFRRTLGAHAPSVPRQATSRRHQTSHGAVAGRGLRRNRNTSMFAPPAHGEIRGAHAASKRKRKSNAADSHFRRARGGGGEIEVGWAFPFSC >ORUFI04G31840.1 pep chromosome:OR_W1943:4:29961215:29967997:1 gene:ORUFI04G31840 transcript:ORUFI04G31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVSKAVACCCCRSQHHGVVVESSEKTAEEDHGESYELPAFQEFSFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRSAWPDPRQFLEEAKSVGQLRSKRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWEAQAMKWPMRLRVVLYLAEALEYCTSKGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTLLLDVLSGKHIPPSHALDLIRDRNFNMLTDSCLEGQFSNEEGTELVRLASRCLHYEPRERPNVRSLVQALAPLQKDLETPSYELMDIPRGGATSVQSLLLSPLAEACSRKDLTAIHEILEKTGYKDDEGTANELSFQMWTNQMQDTLNSKKKGDNAFRQKDFSSAIDCYSQFIEVGTMVSPTIYARRCLSYLMNDKAEQALSDAMQALVISPTWPTAFYLQAAALLSLGMENEAQEAIKDGCAHETSVLV >ORUFI04G31840.2 pep chromosome:OR_W1943:4:29961247:29967997:1 gene:ORUFI04G31840 transcript:ORUFI04G31840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVSKAVACCCCRSQHHGVVVESSEKTAEEDHGESYELPAFQEFSFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRSAWPDPRQFLEEAKSVGQLRSKRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWEAQAMKWPMRLRVVLYLAEALEYCTSKGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTLLLDVLSGKHIPPSHALDLIRDRNFNMLTDSCLEGQFSNEEGTELVRLASRCLHYEPRERPNVRSLVQALAPLQKDLETPSYELMDIPRGGATSVQSLLLSPLAEACSRKDLTAIHEILEKTGYKDDEGTANELSFQMWTNQMQDTLNSKKKGDNAFRQKDFSSAIDCYSQFIEVGTMVSPTIYARRCLSYLMNDKAEQALSDAMQALVISPTWPTAFYLQAAALLSLGMENEAQEAIKDGCAHETSVLV >ORUFI04G31850.1 pep chromosome:OR_W1943:4:29968713:29970018:1 gene:ORUFI04G31850 transcript:ORUFI04G31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEPAAVSIPIHDHHGKAPATSSAVPAAAAAAPAAAPAVAPRKVGIPFFRRGDHHRGSRCLAFLDFILRIAAFGPALAAAISTGTSDETLSVFTEFYQFRARFDDFPAFLFFLVANAIVAGYLVLSLPFSAVLVIRPQTIGLRLLLLVCDMIMAAMLTAAASAAAAIVDLAHNGNLRANWVAICMQFHGFCQRTSGSVVASFLTVVILMFLVILAACSIRKR >ORUFI04G31860.1 pep chromosome:OR_W1943:4:29974794:29976112:1 gene:ORUFI04G31860 transcript:ORUFI04G31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVKWLLYLTAFDSLSPFHIRNRYWVDPLTFILTSIDLIRAKSNGIPALCGEENAGDGTDQTSGR >ORUFI04G31870.1 pep chromosome:OR_W1943:4:29976478:29988199:1 gene:ORUFI04G31870 transcript:ORUFI04G31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLSLSAAAASSPAAAVLPPKPFKPLSSAAPFRRPSPPPPPPSPVPSPAPPPPPHRPSPSPPPNPLSSKLWLSSKLSPPPPETLEQPEPSTTTTTTTPPPPEPEAEAEARRQEEFRHKGKVFVGNLPLWARKAEIAEFFRQFGPLEKVELVRGHDDPERNVGFCFLYYGGDDADAAAERAVEVDGVDFRGRSLTVRLDDGRKGRARAEQRARWVNDGRRREPRSPWHEGRDEACREFRRVVESRPDNWQAVVSAFERIPKPSRREFGLMIVYYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCVEEMKSEGLELTIVTYSILISGFAKINDSQSADNLFKEAKTKLSSLNGIIYSNIIHAHCQSGNMERAEELVREMEEDGIDAPIDVYHSMMHGYTIIQNENKCLVVFERLKECGFKPSIISYGCLLNLYVKIGKVAKALSISKEMESCGIKHNNKTYSMLINGFIHLHDFANAFAIFEEMLRSGLQPDRAIYNLLIEAFCKMGNMDRAICILEKMQKERMQPSNRAFRPIIEGYAVAGDMKSALDTLDLMRRSGCVPTVMTYNALIHGLVRKHKVQRAVSVLDKMSIAGITPNEHTYTIIMRGYAASGDIGKAFEYFTKIKESGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAEDLMKQMKEDGVPPNIHTYTSYINACCKAGDMQRAEKVIEEMVDVGLKPNVKTYTTLIKGWARVSLPDRALKCFEEMKLAGLKPDEASYHCLVTSLLSRATVMEGSTYTGIISVCREMSENDLTVDLRTAVHWSRWLHKIERTGGALTEALQRIFPPDWNSLEFLGEPSSSISMGESDDYSDSDFSGDEDEDHNIDDS >ORUFI04G31870.2 pep chromosome:OR_W1943:4:29976478:29988199:1 gene:ORUFI04G31870 transcript:ORUFI04G31870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLSLSAAAASSPAAAVLPPKPFKPLSSAAPFRRPSPPPPPPSPVPSPAPPPPPHRPSPSPPPNPLSSKLWLSSKLSPPPPETLEQPEPSTTTTTTTPPPPEPEAEAEARRQEEFRHKGKVFVGNLPLWARKAEIAEFFRQFGPLEKVELVRGHDDPERNVGFCFLYYGGDDADAAAERAVEVDGVDFRGRSLTVRLDDGRKGRARAEQRARWVNDGRRREPRSPWHEGRDEACREFRRVVESRPDNWQAVVSAFERIPKPSRREFGLMIVYYAKRGDKHHARATFENMRARGIEPNAFVFTRDMRGALSCVEEMKSEGLELTIVTYSILISGFAKINDSQSADNLFKEAKTKLSSLNGIIYSNIIHAHCQSGNMERAEELVREMEEDGIDAPIDVYHSMMHGYTIIQNENKCLVVFERLKECGFKPSIISYGCLLNLYVKIGKVAKALSISKEMESCGIKHNNKTYSMLINGFIHLHDFANAFAIFEEMLRSGLQPDRAIYNLLIEAFCKMGNMDRAICILEKMQKERMQPSNRAFRPIIEGYAVAGDMKSALDTLDLMRRSGCVPTVMTYNALIHGLVRKHKVQRAVSVLDKMSIAGITPNEHTYTIIMRGYAASGDIGKAFEYFTKIKESGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAEDLMKQMKEDGVPPNIHTYTSYINACCKAGDMQRAEKVIEEMVDVGLKPNVKTYTTLIKGWARVSLPDRALKCFEEMKLAGLKPDEASYHCLVTSLLSRATVMEGSTYTGIISVCREMSENDLTVDLRTAVHWSRWLHKIERTGGALTEALQRIFPPDWNSLEFLGEPSSSISMGESDDYSDSDFSGDEDEDHNIDDS >ORUFI04G31870.3 pep chromosome:OR_W1943:4:29976478:29989512:1 gene:ORUFI04G31870 transcript:ORUFI04G31870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLSLSAAAASSPAAAVLPPKPFKPLSSAAPFRRPSPPPPPPSPVPSPAPPPPPHRPSPSPPPNPLSSKLWLSSKLSPPPPETLEQPEPSTTTTTTTPPPPEPEAEAEARRQEEFRHKGKVFVGNLPLWARKAEIAEFFRQFGPLEKVELVRGHDDPERNVGFCFLYYGGDDADAAAERAVEVDGVDFRGRSLTVRLDDGRKGRARAEQRARWVNDGRRREPRSPWHEGRDEACREFRRVVESRPDNWQAVVSAFERIPKPSRREFGLMIVYYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCVEEMKSEGLELTIVTYSILISGFAKINDSQSADNLFKEAKTKLSSLNGIIYSNIIHAHCQSGNMERAEELVREMEEDGIDAPIDVYHSMMHGYTIIQNENKCLVVFERLKECGFKPSIISYGCLLNLYVKIGKVAKALSISKEMESCGIKHNNKTYSMLINGFIHLHDFANAFAIFEEMLRSGLQPDRAIYNLLIEAFCKMGNMDRAICILEKMQKERMQPSNRAFRPIIEGYAVAGDMKSALDTLDLMRRSGCVPTVMTYNALIHGLVRKHKVQRAVSVLDKMSIAGITPNEHTYTIIMRGYAASGDIGKAFEYFTKIKESGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAEDLMKQMKEDGVPPNIHTYTSYINACCKAGDMQRAEKVIEEMVDVGLKPNVKTYTTLIKGWARVSLPDRALKCFEEMKLAGLKPDEASYHCLVTSLLSRATVMEGSTYTGIISVCREMSENDLTVDLRTAVHWSRWLHKIERTGGALTEALQRIFPPDWNSLEFLGEPSSSISMGESDDYSDSDFSGDEDEDHNIDDS >ORUFI04G31870.4 pep chromosome:OR_W1943:4:29976478:29988199:1 gene:ORUFI04G31870 transcript:ORUFI04G31870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLSLSAAAASSPAAAVLPPKPFKPLSSAAPFRRPSPPPPPPSPVPSPAPPPPPHRPSPSPPPNPLSSKLWLSSKLSPPPPETLEQPEPSTTTTTTTPPPPEPEAEAEARRQEEFRHKGKVFVGNLPLWARKAEIAEFFRQFGPLEKVELVRGHDDPERNVGFCFLYYGGDDADAAAERAVEVDGVDFRGRSLTVRLDDGRKGRARAEQRARWVNDGRRREPRSPWHEGRDEACREFRRVVESRPDNWQAVVSAFERIPKPSRREFGLMIVYYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCVEEMKSEGLELTIVTYSILISGFAKINDSQSADNLFKEAKTKLSSLNGIIYSNIIHAHCQSGNMERAEELVREMEEDGIDAPIDVYHSMMHGYTIIQNENKCLVVFERLKECGFKPSIISYGCLLNLYVKIGKVAKALSISKEMESCGIKHNNKTYSMLINGFIHLHDFANAFAIFEEMLRSGLQPDRAIYNLLIEAFCKMGNMDRAICILEKMQKERMQPSNRAFRPIIEGYAVAGDMKSALDTLDLMRRSGCVPTLESTRWARRGDVWEAEDLMKQMKEDGVPPNIHTYTSYINACCKAGDMQRAEKVIEEMVDVGLKPNVKTYTTLIKGWARVSLPDRALKCFEEMKLAGLKPDEASYHCLVTSLLSRATVMEGSTYTGIISVCREMSENDLTVDLRTAVHWSRWLHKIERTGGALTEALQRIFPPDWNSLEFLGEPSSSISMGESDDYSDSDFSGDEDEDHNIDDS >ORUFI04G31870.5 pep chromosome:OR_W1943:4:29976478:29989512:1 gene:ORUFI04G31870 transcript:ORUFI04G31870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLSLSAAAASSPAAAVLPPKPFKPLSSAAPFRRPSPPPPPPSPVPSPAPPPPPHRPSPSPPPNPLSSKLWLSSKLSPPPPETLEQPEPSTTTTTTTPPPPEPEAEAEARRQEEFRHKGKVFVGNLPLWARKAEIAEFFRQFGPLEKVELVRGHDDPERNVGFCFLYYGGDDADAAAERAVEVDGVDFRGRSLTVRLDDGRKGRARAEQRARWVNDGRRREPRSPWHEGRDEACREFRRVVESRPDNWQAVVSAFERIPKPSRREFGLMIVYYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCVEEMKSEGLELTIVTYSILISGFAKINDSQSADNLFKEAKTKLSSLNGIIYSNIIHAHCQSGNMERAEELVREMEEDGIDAPIDVYHSMMHGYTIIQNENKCLVVFERLKECGFKPSIISYGCLLNLYVKIGKVAKALSISKEMESCGIKHNNKTYSMLINGFIHLHDFANAFAIFEEMLRSGLQPDRAIYNLLIEAFCKMGNMDRAICILEKMQKERMQPSNRAFRPIIEGYAVAGDMKSALDTLDLMRRSGCVPTVMTYNALIHGLVRKHKVQRAVSVLDKMSIAGITPNEHTYTIIMRGYAASGDIGKAFEYFTKIKESGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAEDLMKQMKEDGVPPNIHTYTSYINACCKAGDMQVREFCIGILSFLLIVSCNRVVEIA >ORUFI04G31870.6 pep chromosome:OR_W1943:4:29976477:29989512:1 gene:ORUFI04G31870 transcript:ORUFI04G31870.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFLQVARDMRGALSCVEEMKSEGLELTIVTYSILISGFAKINDSQSADNLFKEAKTKLSSLNGIIYSNIIHAHCQSGNMERAEELVREMEEDGIDAPIDVYHSMMHGYTIIQNENKCLVVFERLKECGFKPSIISYGCLLNLYVKIGKVAKALSISKEMESCGIKHNNKTYSMLINGFIHLHDFANAFAIFEEMLRSGLQPDRAIYNLLIEAFCKMGNMDRAICILEKMQKERMQPSNRAFRPIIEGYAVAGDMKSALDTLDLMRRSGCVPTVMTYNALIHGLVRKHKVQRAVSVLDKMSIAGITPNEHTYTIIMRGYAASGDIGKAFEYFTKIKESGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAEDLMKQMKEDGVPPNIHTYTSYINACCKAGDMQVREFCIGILSFLLIVSCNRVVEIA >ORUFI04G31870.7 pep chromosome:OR_W1943:4:29976478:29989512:1 gene:ORUFI04G31870 transcript:ORUFI04G31870.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLSLSAAAASSPAAAVLPPKPFKPLSSAAPFRRPSPPPPPPSPVPSPAPPPPPHRPSPSPPPNPLSSKLWLSSKLSPPPPETLEQPEPSTTTTTTTPPPPEPEAEAEARRQEEFRHKGKVFVGNLPLWARKAEIAEFFRQFGPLEKVELVRGHDDPERNVGFCFLYYGGDDADAAAERAVEVDGVDFRGRSLTVRLDDGRKGRARAEQRARWVNDGRRREPRSPWHEGRDEACREFRRVVESRPDNWQAVVSAFERIPKPSRREFGLMIVYYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCVEEMKSEGLELTIVTYSILISGFAKINDSQSADNLFKEAKTKLSSLNGIIYSNIIHAHCQSGNMERAEELVREMEEDGIDAPIDVYHSMMHGYTIIQNENKCLVVFERLKECGFKPSIISYGCLLNLYVKIGKVAKALSISKEMESCGIKHNNKTYSMLINGFIHLHDFANAFAIFEEMLRSGLQPDRAIYNLLIEAFCKMGNMDRAICILEKMQKERMQPSNRAFRPIIEGYAVAGDMKSALDTLDLMRRSGCVPTVMTYNALIHGLVRKHKMGSER >ORUFI04G31880.1 pep chromosome:OR_W1943:4:29992439:29994626:1 gene:ORUFI04G31880 transcript:ORUFI04G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGGASSSVVVVPRNFRLLEELERGEKGIGDGTVSYGMDDDGDDIFMRSWTGTIIGPLNSVHEGRIYQLKLFCDKDYPDKPPTVRFHSRINMPCVNPDTGLVESKKFHMLANWQREYTMENILTQLKKEMAAPHSRKLVQPPEGTFF >ORUFI04G31890.1 pep chromosome:OR_W1943:4:29997571:29998560:1 gene:ORUFI04G31890 transcript:ORUFI04G31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEFVAARKKPPPELLFAAGRKKQQPPPPGMAFVPSEFAAAGVGRKRQPAPPVEIRRVWAHNVEEEFRIIRNAIDHFPYVSMDTEFPGVIHRPTKHPALLTAGDRYDLLRRNVDALHLIQVGITLAASPTAAPALAFEINLSDFDQRVHRHAAESVQLLAEHGVDLAAHRRHGVRASALAPLLMSSGLVCSHGAVKWVTFHSAYDFAYLVKLLMGRKLPRSMAEFLNLVRVFFGDEVYDVKHMMRHCGGELYGGLERVAAALQVKRAAGRCHQAASDSLLTWDVFRRMRELYFLKHGVEAYQGVLFGLELDMDMPSNKTPSLPLVAAR >ORUFI04G31900.1 pep chromosome:OR_W1943:4:30000509:30000703:-1 gene:ORUFI04G31900 transcript:ORUFI04G31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGFRLFSCGGVKGRKRATEKEIHSSLAQLDEDNQEPSETNQREGDEGKEHDLDSAFRKVMAS >ORUFI04G31910.1 pep chromosome:OR_W1943:4:30005984:30006724:1 gene:ORUFI04G31910 transcript:ORUFI04G31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLVASLFLGGGGGGGGGNDACSLSSSSSTASSWQWPSCTQARTLSFARHDAPASADDDDSKRRRQQEEEEDDYCVYKTSVMNPAFFLDDHSTCRSYSSAASAVNDVVDDDDEVIIRGLRSSNRRLFFEPESTSSIVVKGRAVDADAAAFDGATAMSIDSADPYGDFRRSMEEMVMSHMSGGGHDWGWLEEMLGWYLRANGKKTHGFIVGAFVDLVVALASSPSSATASSSAFQLPLQKGSQIN >ORUFI04G31920.1 pep chromosome:OR_W1943:4:30009222:30011616:-1 gene:ORUFI04G31920 transcript:ORUFI04G31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAAPPAAAATNYEVDLGNLMAYDPSHHLPAASSSRSAPIAATAIVPCCFDSVTKFPGVARREELREECLRKGTELAQAGADALFALPATEDRDGPMVRLPPPTTRFPREKHLPRPKPPTKWEQFAKMKGITKHKKNKREWDEQTQTWKRTYGYDRVNDDKDIPIIEAKATDEPGVDPFAQRREDKKKHVEKQEKNRLENLKKAAKVGALPSHIQLAATALPITGTKADIPKKSRKKDLEDVAGMASSATASGGKFDEKLPGEKPPKNPGKHRKFLPVVEGKGMGNQEKQQNDKILNALLAKSSEDQMDVGRAITMYKVKKEKQRRKDKEKSSSSNKLKPQKKTLKKSSKKKA >ORUFI04G31930.1 pep chromosome:OR_W1943:4:30014436:30015911:1 gene:ORUFI04G31930 transcript:ORUFI04G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPPLVVLLQLLLLPLLSTAITLPLYRNLPPVPADTDHPHHHPLSRLAAASLARAAHLARPRPRSRQGTAPPPSVRASLYPHSYGGYAFTVSLGTPPQPLPVLLDTGSHLSWVPCTSSYQCRNCSSLSAASPLHVFHPKNSSSSRLIGCRNPSCLWIHSPDHLSDCRAASSCPGANCTPRNANANNVCPPYLVVYGSGSTAGLLISDTLRTPGRAVRNFVIGCSLASVHQPPSGLAGFGRGAPSVPSQLGLTKFSYCLLSRRFDDNAAVSGELILGGAGGKDGGVGMQYAPLARSASARPPYSVYYYLALTAITVGGKSVQLPERAFVAGGAGGGAIVDSGTTFSYFDRTVFEPVAAAVVAAVGGRYSRSKVVEEGLGLSPCFAMPPGTKTMELPEMSLHFKGGSVMNLPVENYFVVAGPAPSGGAPAMAEAICLAVVSDVPTSSGGAGVSSGGPAIILGSFQQQNYYIEYDLEKERLGFRRQQCASSS >ORUFI04G31940.1 pep chromosome:OR_W1943:4:30018605:30019222:-1 gene:ORUFI04G31940 transcript:ORUFI04G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASRAVSCLCCPCKCLACGLFSCLCSILISLLVTLGVLALIFYLIFRPHMIAATVDSAALTQFTLSTNSALAYSLTVDLTVRNPNKRVGLYYDNVESLALFDGQRFGYAPLDSFYQSTEASTKLSPAFKGQQPLQGDITAANFRSQQTAGKFDIEVKLNAKLRVKVWAFKVPGPKAKISCPITVPASAPNAPAFQRTDCKVWF >ORUFI04G31950.1 pep chromosome:OR_W1943:4:30029020:30037717:-1 gene:ORUFI04G31950 transcript:ORUFI04G31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAADEEAAAEALRAKIELLRQAMQSSEAMQKEAAVIGTRLNNHMVAIDEAMRPAHKRTYNACRVHDNIRRSLTAAGAIVRHLDLVREAEHVILLDRPNEDLNAYLEAIDKLTSVEYFFTSKIRCRVGNDVHERVNELLSKAIHGLENEFHRLLTKCRNVQKLITSSETSLLSHFPYSKPVDLENIFNCLPSLNRQLSSEDLIGPSAGDYSEAPLKQYAECTLPTLVDPCYLTLLSKLAQKSIQLDCHQKFMEIYREIRSSTLERTLKRLGVEYVTKEEMQQVEAQSMEAKIAEWTQFSRITVKLLFGAERILCDQVFEGKYTWKDHCFAEVTAKSLSILLSFGDAVVQSQILPDKLYILLDMYKATLELQSKVDAIFEGNACSENRKSALTLTKSLAQTAKKTIGDFMEYILNHSVTSTTVDGAVHYMTSYVTDYIKFLFDYQSSIKQIFGDPCVEDEKDTDVVSQIVGAIHALETNLAMKAKQYKDLALGHLFLMNNIHYIVKYIGRSELKDLLGADWIERQRRIVQQHATRYRRVAWLKVLECLSTQGLTSSVGSSIDVTQGSFRNIKNSTTSRSVIKERLKCFNMRFEEICQKQMNWGVPDRDLRDSLILMIAEILLPAYRSFLKHFGPLVENSHSALKYMKYTPESLEQALGNLFAKKLRSDQAKSANEVAGSKPYSSSAIAKAHGNHEGIFPNANLERSCTGDRAKSSKPPKSEQQQPTTEPTLLCSHCQPMLITSFQSINLPLLYMSHTTI >ORUFI04G31950.2 pep chromosome:OR_W1943:4:30029020:30037717:-1 gene:ORUFI04G31950 transcript:ORUFI04G31950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAADEEAAAEALRAKIELLRQAMQSSEAMQKEAAVIGTRLNNHMVAIDEAMRPAHKRTYNACRVHDNIRRSLTAAGAIVRHLDLVREAEHVILLDRPNEDLNAYLEAIDKLTSVEYFFTSKIRCRVGNDVHERVNELLSKAIHGLENEFHRLLTKCSKPVDLENIFNCLPSLNRQLSSEDLIGPSAGDYSEAPLKQYAECTLPTLVDPCYLTLLSKLAQKSIQLDCHQKFMEIYREIRSSTLERTLKRLGVEYVTKEEMQQVEAQSMEAKIAEWTQFSRITVKLLFGAERILCDQVFEGKYTWKDHCFAEVTAKSLSILLSFGDAVVQSQILPDKLYILLDMYKATLELQSKVDAIFEGNACSENRKSALTLTKSLAQTAKKTIGDFMEYILNHSVTSTTVDGAVHYMTSYVTDYIKFLFDYQSSIKQIFGDPCVEDEKDTDVVSQIVGAIHALETNLAMKAKQYKDLALGHLFLMNNIHYIVKYIGRSELKDLLGADWIERQRRIVQQHATRYRRVAWLKVLECLSTQGLTSSVGSSIDVTQGSFRNIKNSTTSRSVIKERLKCFNMRFEEICQKQMNWGVPDRDLRDSLILMIAEILLPAYRSFLKHFGPLVENSHSALKYMKYTPESLEQALGNLFAKKLRSDQAKSANEVAGSKPYSSSAIAKAHGNHEGIFPNANLERSCTGDRAKSSKPPKSEQQQPTTEPTLLCSHCQPMLITSFQSINLPLLYMSHTTI >ORUFI04G31950.3 pep chromosome:OR_W1943:4:30029182:30037717:-1 gene:ORUFI04G31950 transcript:ORUFI04G31950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERAADEEAAAEALRAKIELLRQAMQSSEAMQKEAAVIGTRLNNHMVAIDEAMRPAHKRTYNACRVHDNIRRSLTAAGAIVRHLDLVREAEHVILLDRPNEDLNAYLEAIDKLTSVEYFFTSKIRCRVGNDVHERVNELLSKAIHGLENEFHRLLTKCRNVQKLITSSETSLLSHFPYSKPVDLENIFNCLPSLNRQLSSEDLIGPSAGDYSEAPLKQYAECTLPTLVDPCYLTLLSKLAQKSIQLDCHQKFMEIYREIRSSTLERTLKRLGVEYVTKEEMQQVEAQSMEAKIAEWTQFSRITVKLLFGAERILCDQVFEGKYTWKDHCFAEVTAKSLSILLSFGDAVVQSQILPDKLYILLDMYKATLELQSKVDAIFEGNACSENRKSALTLTKSLAQTAKKTIGDFMEYILNHSVTSTTVDGAVHYMTSYVTDYIKFLFDYQSSIKQIFGDPCVEDEKDTDVVSQIVGAIHALETNLAMKAKQYKDLALGHLFLMNNIHYIVKYIGRSELKDLLGADWIERQRRIVQQHATRYRRVAWLKVLECLSTQGLTSSVGSSIDVTQGSFRNIKNSTTSRSVIKERLKCFNMRFEEICQKQMNWGVPDRDLRDSLILMIAEILLPAYRSFLKHFGPLVENSHSALKYMKYTPESLEQALGNLFAKKLRSDQAKSANEVAGSKPYSSSAIAKAHGNHEGIFPNANLERSCTAYHSPPSITSSTIIIHPFETYTMLTKLKLS >ORUFI04G31950.4 pep chromosome:OR_W1943:4:30019435:30037717:-1 gene:ORUFI04G31950 transcript:ORUFI04G31950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERAADEEAAAEALRAKIELLRQAMQSSEAMQKEAAVIGTRLNNHMVAIDEAMRPAHKRTYNACRVHDNIRRSLTAAGAIVRHLDLVREAEHVILLDRPNEDLNAYLEAIDKLTSVEYFFTSKIRCRVGNDVHERVNELLSKAIHGLENEFHRLLTKCRNVQKLITSSETSLLSHFPYSKPVDLENIFNCLPSLNRQLSSEDLIGPSAGDYSEAPLKQYAECTLPTLVDPCYLTLLSKLAQKSIQLDCHQKFMEIYREIRSSTLERTLKRLGVEYVTKEEMQQVEAQSMEAKIAEWTQFSRITVKLLFGAERILCDQVFEGKYTWKDHCFAEVTAKSLSILLSFGDAVVQSQILPDKLYILLDMYKATLELQSKVDAIFEGNACSENRKSALTLTKSLAQTAKKTIGDFMEYILNHSVTSTTVDGAVHYMTSYVTDYIKFLFDYQSSIKQIFGDPCVEDEKDTDVVSQIVGAIHALETNLAMKAKQYKDLALGHLFLMNNIHYIVKYIGRSELKDLLGADWIERQRRIVQQHATRYRRVAWLKVLECLSTQGLTSSVGSSIDVTQGSFRNIKNSTTSRSVIKERLKCFNMRFEEICQKQMNWGVPDRDLRDSLILMIAEILLPAYRSFLKHFGPLVENSHSALKYMKYTPESLEQALGNLFAKKLRSDQAKSANEVAGSKPYSSSAIAKAHGNHEGIFPNANLERSCTAEAISS >ORUFI04G31950.5 pep chromosome:OR_W1943:4:30029020:30037717:-1 gene:ORUFI04G31950 transcript:ORUFI04G31950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERAADEEAAAEALRAKIELLRQAMQSSEAMQKEAAVIGTRLNNHMVAIDEAMRPAHKRTYNACRVHDNIRRSLTAAGAIVRHLDLVREAEHVILLDRPNEDLNAYLEAIDKLTSVEYFFTSKIRCRVGNDVHEHLENIFNCLPSLNRQLSSEDLIGPSAGDYSEAPLKQYAECTLPTLVDPCYLTLLSKLAQKSIQLDCHQKFMEIYREIRSSTLERTLKRLGVEYVTKEEMQQVEAQSMEAKIAEWTQFSRITVKLLFGAERILCDQVFEGKYTWKDHCFAEVTAKSLSILLSFGDAVVQSQILPDKLYILLDMYKATLELQSKVDAIFEGNACSENRKSALTLTKSLAQTAKKTIGDFMEYILNHSVTSTTVDGAVHYMTSYVTDYIKFLFDYQSSIKQIFGDPCVEDEKDTDVVSQIVGAIHALETNLAMKAKQYKDLALGHLFLMNNIHYIVKYIGRSELKDLLGADWIERQRRIVQQHATRYRRVAWLKVLECLSTQGLTSSVGSSIDVTQGSFRNIKNSTTSRSVIKERLKCFNMRFEEICQKQMNWGVPDRDLRDSLILMIAEILLPAYRSFLKHFGPLVENSHSALKYMKYTPESLEQALGNLFAKKLRSDQAKSANEVAGSKPYSSSAIAKAHGNHEGIFPNANLERSCTGDRAKSSKPPKSEQQQPTTEPTLLCSHCQPMLITSFQSINLPLLYMSHTTI >ORUFI04G31950.6 pep chromosome:OR_W1943:4:30019435:30028938:-1 gene:ORUFI04G31950 transcript:ORUFI04G31950.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIKDCGGHKGCECEKEKVHRRLCWALVALVLLTLFIVLVVWLALRPHKPRFYLQDLSVLCLNVTPPASAYLFTTMQATVAARNDNGRVGVYYDKVDVYAQYKDVAITVPTRLPVEYQGHYDQSVWSPFLQSLDHVVLPPNLAVALAQDETAGYVLVDIRLDGWVRWKVGTWISGHYHLRVNCPALLTVNDGKGSYGVNYGGGDGYFRFQQAAACAVDTVVAEAISS >ORUFI04G31960.1 pep chromosome:OR_W1943:4:30039365:30044875:-1 gene:ORUFI04G31960 transcript:ORUFI04G31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLAQRAALLRESLQKSQSVTDAVVSILGSFDSRLSALDAAMRPIQVRTHAVRTAHENIDRTLRSADVILTQFDRTREAEREIQKGPHENLQGFLDAVDRLRSIERFFSSNRSYRSSDGVLNHVNALLSKALVKMEDEFQKQLTQRSKPIEPDRLFDCLPSTLRPSSESHPEGGKNQSHSENQQNSEAAVYSPPALIEPRFIPFLAKLAQQLVQAGCQQQCSEIYSEARASALESSLKSLGVEKLSKDEVQKMPWEILESKIGNWIHFMRIAVKLLFAAERQLCDQVFECSQSLRDKCFAQITRNSLATLLSFGEAIAMSKRSPEKLFVLLDMYEIMCELQADIDTIFVGESCSQMRESALSLTKCLAQTAQKTFSDFEEAVEKDATKNIHIDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFKREDGTGSELATVTMSIMQALQNNLDAKAKQYKDPALMHIFLMNNIHYIVKSVRRSEAKDLLGDDWIQRHRRIVQQNANHYRRIAWSKVLQCLSGQGLTSSGGSGQVGSEGGNSSGASRAAVKERSFNVLFEEIYQKQCGWSVPDTELRESLRLAVAEILLPAYRSFLKRFGPLIENSKAPGKYVKHTPEQVELLLANLFEGKQERT >ORUFI04G31970.1 pep chromosome:OR_W1943:4:30049095:30049940:-1 gene:ORUFI04G31970 transcript:ORUFI04G31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELASSPPPAAVLPVVFVDGDQTVDLGTVTVQPSLGVRKLQAVVADRVGLAPQQILASLARPRRARRVPLEEGTDLAAAVAREGSGCYVLAALRRSRRERRGGRSRREKKAAAAAAAAGAAPAAPTQERTILKRLPAMDLASLASTPSPAAAAMFGVWDYEAQLQELQRQREWYLMHTAAADPYFPLAPEREDPPFWSAQPLLRQGTPSSCPECEAAAAMMREPGFHWCVRDAVTVGFRSLVGPIERPAKKSPSPSPSPPPLPPTPGRLPPSFVGMMPVY >ORUFI04G31980.1 pep chromosome:OR_W1943:4:30052247:30055769:1 gene:ORUFI04G31980 transcript:ORUFI04G31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSVARLPPILVSPIRFRTTATRRRLLPSSALRLTRPLSSSCSASPLAVVASMETPPENYRTNVGICLADPSLTKIFTASRIDIANTWQMPQGGIDAGEDPREAAFRELREETGVTSAEMVAEVPVWLTYDFPVDVKEKLNARWGGTNWKGQAQKWFLFRFTGKEDEVNLNGDGSERPEFCEWTWMTPQQVIEKAVEFKKPVYEAALKHFAPYLQSDPATTTSS >ORUFI04G31990.1 pep chromosome:OR_W1943:4:30056672:30060965:-1 gene:ORUFI04G31990 transcript:ORUFI04G31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFLLLLLHVSDAAINPGDLSVLHDLRRSLTNADAVLGWGDPNAADPCAAWPHISCDRAGRVNNIDLKNAGLAGTLPSTFAALDALQDLSLQNNNLSGDLPSFRGMASLRHAFLNNNSFRSIPADFFSGLTSLLVISLDQNPLNVSSGGWTIPADVAAAQQLQSLSLNGCNLTGAIPDFLGAMNSLQELKLAYNALSGPIPSTFNASGLQTLWLNNQHGVPKLSGTLDLIATMPNLEQAWLHGNDFSGPIPDSIADCKRLSDLCLNSNQLVGLVPPALESMAGLKSVQLDNNNLLGPVPAIKAPKYTYSQNGFCADKPGVACSPQVMALLHFLAEVDYPKRLVASWSGNNSCVDWLGISCVAGNVTMLNLPEYGLNGTISDSLGNLSELSDINLIGNNLTGHVPDSLTSLRLLQKLDLSGNDLTGPLPTFSPSVKVNVTGNLNFNGTAPGSAPSKDTPGSSSSRAPTLPGQGVLPENKKKRSAVVLATTIPVAVSVVALASVCAVLIFRKKRGSVPPNAASVVVHPRENSDPDNLVKIVMVNNDGNSSSTQGNTLSGSSNRASDVHMIDTGNFVIAVQVLRGATKNFTQDNVLGRGGFGVVYKGELHDGTMIAVKRMEAAVISNKALDEFQAEITILTKVRHRNLVSILGYSIEGNERLLVYEYMSNGALSKHLFQWKQFELEPLSWKKRLNIALDVARGMEYLHNLAHQCYIHRDLKSANILLGDDFRAKVSDFGLVKHAPDGNFSVATRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELITGMTAIDESRLEEETRYLASWFCQIRKDEDRLRAAIDPTLDQSDETFESISVIAELAGHCTSREPTQRPDMGHAVNVLVPMVEKWKPVNDETEDYMGIDLHQPLLQMVKGWQDAEASMTDGSILSLEDSKGSIPARPAGFAESFTSADGRTS >ORUFI04G32000.1 pep chromosome:OR_W1943:4:30061030:30070480:1 gene:ORUFI04G32000 transcript:ORUFI04G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSVARWLAGEGGQRGGGFCVWGEERRRRVWDKKAISLFPAQQGERVTDGRWTVYIPTYQGAEVHIAVKLPISIQSTKEGEGPCGAMILFFSTGLFSENCLGISKQLAGSKICHGGVTTIDQ >ORUFI04G32010.1 pep chromosome:OR_W1943:4:30072191:30073432:-1 gene:ORUFI04G32010 transcript:ORUFI04G32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQQVEVEVEVPSYFVCPISLQIMRDPVTLPTGITYDRDGIERWLLTAGTCPLTKQPVPPDCDPTPNHTLRRLIQSWCALHADHGVDLVPTPKPPADRARVADLVSRLRAATSSAALLDALRELRDVAAESERNRKLLAAVPGAVDVLAAVVVASCRDAKAACDEALEIVCSLELSERCLARLVERNEELVDALVATLQRTNTTSRAHAALLLEAVTAVMPSNRLVSLPEEVFGEAVQLLRDRVSSPATRAALHVLVGTTSWGRNRVKAVDAGAVAVLVDMLLDGPVERRGCELALAALDRMCGCAEGRAALVSHGAGVAVVGRKVLRVSEVASEKAVRVLRSVARHAATAAVVQEMGQTGAVEKLCVVAQSEQCGERTRERARETLRLHARAWRNSPCLQPHLQALYPSC >ORUFI04G32020.1 pep chromosome:OR_W1943:4:30078128:30078970:1 gene:ORUFI04G32020 transcript:ORUFI04G32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLILRKYHMIHGRNRLISYRYHLIPCKYRIRT >ORUFI04G32030.1 pep chromosome:OR_W1943:4:30081412:30081681:-1 gene:ORUFI04G32030 transcript:ORUFI04G32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRRCIAIALLFLLAATFVASCVDGARTMQASYINRTPSTAPTAMMRSGRLFGYLPRAKLIPPSGPSERHNAIGPENGDGDELISKP >ORUFI04G32040.1 pep chromosome:OR_W1943:4:30085017:30091633:-1 gene:ORUFI04G32040 transcript:ORUFI04G32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVDIDKALIALKKGTQLLKYGRKGKPKFTPFRLSNDESTLIWVSNNKEKSLKLSSVSRVLSGQRTLVFQRFLLPEKDHLSFSLIYNDGKRSLDLICKDKVEAEVWFAGLNVLISPGQHGSQHQHIDGIRNGALSFECGRDSSLSSSSAYTTDSFENKLSSANSAKDRSSGEFTYSERTDVSDMQVKGASSDIRISVSSALSTSSHGSGDDSESFGDVYVWGEVMCDTTCRQGSDSNAYSATAATDILVPKPLESNVMLDVSYVACGVKHAALVTRQAEVFTWGEECSGRLGHGAGTSIFQPRLVESLSICNVETIACGEFHTCAITATGDLYTWGDGTHNAGLLGHGSNVSHWIPKRVSGPLEGLQVSAVSCGTWHTALITSSGKLYTFGDGTFGVLGHGNRETISYPKEVESLKGLRTISVSCGVWHTAAVVEVIMAQSNTSSGKLFTWGDGDKYRLGHGDRSSKLKPTCVPSLIDYNFHKAVCGHTLTIGLTTSGHIFTAGSSVYGQLGNPNNDGRYPRLVEEKLGGGGVVEVACGAYHVAVLTQSGEVYTWGKGANGRLGHGDIADRKTPTFVEALRDRSVKRIACGSGFTAAICQHKSVSGMEQSQCSSCRQPFGFTRKRHNCYNCGLVHCHSCSSKKALRAALSPNPGKPYRVCDSCYLKLSKVLDSGIGHNKNNTPRIPGDSKADKMDSKGNRVASANSSDMIKNLDVKAAKQTKKYDYPPQFPAILQLKDIPFIGAADQQPNDSTYSSPLLRLPNLNSSSSLSSESFDILRDANELLKQEVQKLKEEVNSLRQQREQQDADLQKSEAKAHEAMTLASEEASKSKAAKDVIKSLTAQLKEMAERLPPASCDMKQTRQPYLPGGAVSPDTGRENQKRYEPGSFQYPQTPTSVASARFNGFLAQAHQISEPNGNTMVPHDSRHENNGNTKEFPVAQQMTNGGMTGYRPRTEDHDRRETERFQINLHGFNMRGSSSPSNQVEAEWIEQYEPGVYLTLVSLRDGTKELKRVRFSRRRFGEHQAESWWNDNREKVYDKYNVRGTDRISSVMTA >ORUFI04G32050.1 pep chromosome:OR_W1943:4:30101874:30103624:-1 gene:ORUFI04G32050 transcript:ORUFI04G32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKQRGKAKGAPPPPAAPNAAAAGGFPACLRLMPPSTVAISIHAKPGSKLATITEIGDEAVGVQIDAPARDGEANAALVDFISSVLGVKKREVSIGSGSKSREKVVLVQDATLQGVFDALKKACASA >ORUFI04G32060.1 pep chromosome:OR_W1943:4:30104331:30106403:-1 gene:ORUFI04G32060 transcript:ORUFI04G32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRMIADLLRASARGSSLRGGVQLHAALMKLGFGSDTMLNNNLIDMYAKCGKLHMAGEVFDGMPERNVVSWTALMVGFLHHGEARECLRLFGEMRGSGTSPNEFTLSATLKACGGGTRAGVQIHGVCVRTGFEGHDVVANSLVVMYSKGRWTGDARRVFDVIPSRNLATWNSMISGYAHAGQGRDSLLVFREMQRRHDEQPDEFTFASLLKACSGLGAAREGAQVHAAMAVRGVSPASNAILAGALLDVYVKCHRLPVAMQVFDGLERRNAIQWTTVIVGHAQEGQVKEAMCLFRRFWSSGVRADGHVLSSVVAVFADFALVEQGKQVHCYTAKTPAGLDVSVANSLVDMYLKCGLTGEAGRRFREMPARNVVSWTAMINGVGKHGHGREAIDLFEEMQAEGVEADEVAYLALLSACSHSGLVDECRRYFSRICQDRRMRPKAEHYACMVDLLGRAGELREAKELILSMPMEPTVGVWQTLLSACRVHKDVAVGREVGDVLLAVDGDNPVNYVMLSNILAEAGEWRECQGIRGAMRRKGLRKQGGCSWTEVDKEVHFFYGGGDDAHPQAGDIRRALREVEARMRERLGYSGDARCALHDVDEESRVESLREHSERLAVGLWLLRDGTGDDGGGGGGEVVRVYKNLRVCGDCHEFLKGLSAVVRRVVVVRDANRFHRFQNGACSCRDYW >ORUFI04G32070.1 pep chromosome:OR_W1943:4:30106437:30108613:-1 gene:ORUFI04G32070 transcript:ORUFI04G32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPEPETTVGGYELRERLGGRPPSTVVWRAVERSSGSPVVVKQVRLTGLPSTLRDSLDCEVRFLAAVTHPNIIRLLDLIQTQSNLYLVLELCEGGDLAAYIQRNGRVEERVASNFMRQIGAGLQVLRRHHIVHRDLKPENILLSSPDSNAILKISDFGLSRVLRPGEYTDTNCGTCLYMAPEVMLFQKYDGGVDLWSIGAILFELLNGYPPFRGRSNVQLLQCINRTVSLPFSEVVISKLRPDSIDICTRLLCSNPAMIRSSAIPGPSDSGAVLMS >ORUFI04G32070.2 pep chromosome:OR_W1943:4:30106437:30108613:-1 gene:ORUFI04G32070 transcript:ORUFI04G32070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPEPETTVGGYELRERLGGRPPSTVVWRAVERSSGSPVVVKQVRLTGLPSTLRDSLDCETQSNLYLVLELCEGGDLAAYIQRNGRVEERVASNFMRQIGAGLQVLRRHHIVHRDLKPENILLSSPDSNAILKISDFGLSRVLRPGEYTDTNCGTCLYMAPEVMLFQKYDGGVDLWSIGAILFELLNGYPPFRGRSNVQLLQCINRTVSLPFSEVVISKLRPDSIDICTRLLCSNPAMIRSSAIPGPSDSGAVLMS >ORUFI04G32080.1 pep chromosome:OR_W1943:4:30109660:30115789:-1 gene:ORUFI04G32080 transcript:ORUFI04G32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDIEEGVAESSGPSPRAAAAAAGLGSGGGGGGGSVHGSPDIRNVIYERLVAIRNEEAISNPSSFRVELDRHFLRLPESYLIDLDVSKAEQVLLHRRILADCADPEKRPIFHARYIGVDSGSTPTENGNGGGFLPINLRDDEFTESEPYERMMEDLSLERGKGVDDFEASSARRDSKFVRVHEIIFSSIDKPKLLNGFCLDVFVVDGWDTEETDGLLQKLIEAEASSHGSLSNPTNLSQSEKVLELQEKIGDSEFDRSLLQIGEKIASGSSGDLYRGTYLGVDVAVKFLRSEHVNDSSKVEFLQEIMILNEVMSRSVDHENVVQFYGACTKHRKYLIVTEYMPGGNLYDFLHKQNNTLELPVVLRIAIGISKGMDYLHQNNIIHRDLKTANLLIGSGQVVKIADFGVSRLRSQGGEMTAETGTYRWMAPEVINHKPYDHKADVFSFAIVLWELVTTKIPYENLTPLQAALGVRQGMRMEIPPKVHPRLSKLIERCWDENPHVRPLFSEITVELEDILRHVLVSKTGSRHPKAKIQKKSAR >ORUFI04G32090.1 pep chromosome:OR_W1943:4:30123367:30124608:-1 gene:ORUFI04G32090 transcript:ORUFI04G32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDSDSDADAAAASLLPAPIHLLPPDALHNVLLRLPLRDAVVCRPVSRLFHETLSHNFLALLPSLRLLLLRHPRPEGGGCLHAFDPDRRHWLRLPFSAFLPNQSFSPVASSPSLLYLWLESPSPSPPSLPSSSSSSTASTAHPPKSLAVCNPFAGTYSFLPPLGSAWARHGTVLAGPGGVVLVLTELAALSYTPSGSGKWMKHPLSLPSKPRSPILASGAAAVFALCDVGTPWRSQWKLFSCPLSMLTGGWAPVERSAWGDVFEILKRPRLLAGAGGRRVLMIGGLRSSFAIDAPCSTVLILRLDLATMEWDEAGRMPPNMYRCFTGLCEAAAQGNAMPTAVAGGNNKVKVFGGDGKVWFAGKRVRGKLAMWEEDELGNSGGKWDWVDGVPGYSDGVYRGFVFDGGFTAMP >ORUFI04G32100.1 pep chromosome:OR_W1943:4:30129367:30129993:1 gene:ORUFI04G32100 transcript:ORUFI04G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMNNERSSSNKLQVDAENPAAVGDELDLAARANWLRAAVLGANDGLVSTASLMLGVGAVKAEARAMVISGFAGLLAGACSMAIGEFVSVCSQRDVELAQLERDGKRGGEEEKALPSPAQAAAASAMAFSVGAVVPLLAAGFIVNYRLRIAVVVAVASVALAAFGCVGAVLGRAAVARSSARVVLGGWAAMGITFGLMRLFKASGI >ORUFI04G32110.1 pep chromosome:OR_W1943:4:30132727:30132999:-1 gene:ORUFI04G32110 transcript:ORUFI04G32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRVLDETIRRLEQLFRFTQANNPGPSVLLRIIGRINRANARRSTLFAQLHTYIRRRRRRHHQQQAPPSPPAGGNIASNGNGAGRHQQR >ORUFI04G32120.1 pep chromosome:OR_W1943:4:30138893:30142075:-1 gene:ORUFI04G32120 transcript:ORUFI04G32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDTNAAAGQPRRAPKLNERILSSLSRRSVAAHPWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIKRFFEDYKKNENKEVAVDAFLPANTARDAIQYSMDLYAQYILQSLRQ >ORUFI04G32130.1 pep chromosome:OR_W1943:4:30144679:30147861:1 gene:ORUFI04G32130 transcript:ORUFI04G32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQHHEQQQQRMVEMRMGMAMGEAYVGGGEVVAREAAAQALGAVVQLHFDKTLEKKRAADAQKQELWRLFLAFFLFLAILLSAVAQSPPSRLQCRHLWAPAGLLSLAHLAFYAAVAHHLRCLNGFRYQRRCHKLTLALAADRLRMLKSGGDVVAAADVEVPYQEPPESYLAKFKRSWAIHFAFLITTFAFSVAAAVAILCF >ORUFI04G32130.2 pep chromosome:OR_W1943:4:30144796:30147861:1 gene:ORUFI04G32130 transcript:ORUFI04G32130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQHHEQQQQRMVEMRMGMAMGEAYVGGGEVVAREAAAQALGAVVQLHFDKTLEKKRAADAQKQELWRLFLAFFLFLAILLSAVAQSPPSRLQCRHLWAPAGLLSLAHLAFYAAVAHHLRCLNGFRYQRRCHKLTLALAADRLRMLKSGGDVVAAADVEVPYQEPPESYLAKFKRSWAIHFAFLITTFAFSVAAAVAILCF >ORUFI04G32140.1 pep chromosome:OR_W1943:4:30146891:30150254:-1 gene:ORUFI04G32140 transcript:ORUFI04G32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAPWRAVLRTTHLLVDPTRLAASSFHSTPVSSAKWKDKFDCKHEHGARKLSKNYERYVVRQKRAEGKKALKDYLLFGKSSPHLQGGSMGSFANSHDIPRFKTFRKGSQSHGSTKSRQGKKDRERFYNFFREEYYVHPDKIFEDMFGENHRFTWSHMSWESFSFRDSSSRFRRTGESKRERVCSDSDDENEDETTNIGSHAHRAILGLPACGPLTLDAVKTAFRASALRWHPDKHPGSSQAVAEEKFKLCVNAYNSICNVLKAA >ORUFI04G32150.1 pep chromosome:OR_W1943:4:30176482:30179987:1 gene:ORUFI04G32150 transcript:ORUFI04G32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEVVQGLKPVAAMVVVQVVFAGVNIFYKLAVVCDGMDMRVLVAYRYLFASAVLAPLAYFVERKNRTKMTWRVLMLSFVCGLSGGSLAQNLYISGMKLTSATFATAITNLIPAVTFVLAVLCRYERLAIRTVAGQAKVAGTLLGVGGAMLLTLYKGAELNPWHTHLDLVAALEARHPAAAAATGNNDRVIMGSMLVVGSCVFYAVWLILQAKLSREYPFHYTSTALMCVMSGAQSAAFALLVDREPARWRLGLDIRLLSVVYSGVLASGVMLVVLSWCVKRRGPLFASVFNPLMLVVVAVLGSLLLDEKMHVGTLLGAALIVVGLYAVLWGKGRETALEAAKVGDDNDNHHIHVVVVVPPEQAQPQPHQQAEADADATTTACEQPSDSDRANIASSLRHSHRYFHRSCGF >ORUFI04G32160.1 pep chromosome:OR_W1943:4:30182943:30189065:1 gene:ORUFI04G32160 transcript:ORUFI04G32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDFRDSFGTLSKRSFEVKISGFSGRHRGKSIGPSSELDDTPVVAQQSKWAGLPPELLRDVMKRLEEDDSNWPSRKDVVACASVCTTWRDMCKDIVRNPEFCGKLTFPVSLKQPGPRDGVIQCFIKRDKSKLTYHLYLCLSSAVLDETGKFLLSAKRSRRTTHTDYIISMDSKNISRSSSGYIGKLRSNFLGTKFIIYDTQPPYNARTLCSQERTSRRFSSRKVSPKVPTGCYPIVQVNYELNVLGTRGPRRMQCAMHSIPASAVEPGGIVPGQPKELLPRLFEESFRSMATSFSKYSITDHSTDFSSSRFSEFGGGALQGQEQEQDGDDVNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAAPQPSSGAASEPSQAGQAAQQQTQPSQPSSSSSSSSSNHDTVILQFGKVAKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >ORUFI04G32170.1 pep chromosome:OR_W1943:4:30190977:30192254:1 gene:ORUFI04G32170 transcript:ORUFI04G32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDSSSAAAPLTSFGRSFLSHRRDQIPPPPPDHHSHSHTQHPSSSDLEIDAFHRHAADLLHDLLSDSNSDPSAPDLLSLAWTRRLLDSFLICLEEFRAILFALADSQPLSRPPLDRLLLDFLDRAVKALDLCNALRDGLDLIRQWRKHLAIAAAALAPAPAAQRGEAQIRRARKALTDLTILMLDDKDAGGVVGQRNRSFGRAGTTRDSLPHGHGHHRRSSSGGSSGSGSDSHLRSLSWSVSRTWSAARQLQAIGGGLTVPRANDIAATGGLASAVYAMGAVLFVVTWALVAAIPCQDRGLQAHLTAVPRTFPWAGPLITLFDRILDESKKKDRKHSCGLLKEIHQIERCSRQLMEVTDAAEFPLADDKDSEVQEATQELVQVCGSLKDGLDPLERQVREMFHRVVRTRTEILDYLSRPHNAG >ORUFI04G32220.1 pep chromosome:OR_W1943:4:30226928:30233514:-1 gene:ORUFI04G32220 transcript:ORUFI04G32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAAARRLPVLELVSFVAVLLISSPTAAAAELSVDFHAASCPPLEAIVRSSVQAALQQEIALAAGLLRIFFHDCLPQARTQEHTPIFARTYEQ >ORUFI04G32240.1 pep chromosome:OR_W1943:4:30235257:30236374:-1 gene:ORUFI04G32240 transcript:ORUFI04G32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPRVAMTTAPGRKATSMRSIRRNSRWNHIRNVRDISVKYPAYPKRIRVRASIRYGYVTFVPFPGNTGCWCGAEVEAEAGAWSNKVSVVIVEGHDKIRVIEMHRWSEYEIMPRHIVMYKPLAYC >ORUFI04G32250.1 pep chromosome:OR_W1943:4:30241594:30242701:-1 gene:ORUFI04G32250 transcript:ORUFI04G32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAMVATSPCSRCARLRDKDRAAAGLLRLGQFGARRSVCDVSEGELAAAELAVDGCLLSAFPSAAMTLPAPVTCTDDLFTTRSVSVCSPEKKLVVPESVCGRPLGLQFHHASGDLYVADAYLGLLRVPARGGLAKVVTTEAIDILHYTIAHNKSKHTQALRHHTKEPFLFFLNGFDVDQRTGDVYLTDSSSTYRRRYPKLNQSLIVCHARPPPPRSPSASLPAAALAPAAAPLPRSPYAAPPTSAR >ORUFI04G32260.1 pep chromosome:OR_W1943:4:30244023:30247222:-1 gene:ORUFI04G32260 transcript:ORUFI04G32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTFPLALLLAIVAACCVAGGEGGALVGDTCTASSASSCGAGMRCATCSPLPGMGPPVCSRTTPLDPKAHGTDLAFNRYTWLTTHNSFAIVGSPSRTGTPIIAPPNQEDTVTAQLKNGVRGLMLDAYDFQNEVWLCHSFGGKCYNFAAYQRAMDVLKEIGAFLDANPSEVITVFVEDYAGPGSLGKVVGGSGLSKYLFPPAKMPKGGGDWPLLKDMIAQNHRLLMFTSKRGKDGSDGLAYEWDYVLETQYGNDGLVGGSCPKRAESMAMDSTKQSLILMNFFSTNPSQSWACGNNSAPLVAKLKACYDASAKRWPNFIAVDYYMRSKGGGAPLATDVANGRQQCGCDSIAYCKPGSAFGSCSLSSPPAAPPKMAAASPPAAAPAPAPEMAPSSPPAEPPETATEAAGSAVRPASIKLEKDDTTGTSPADTAKSSSSNRSPHPSSCSFLFGLLLPSLLLLHLYISD >ORUFI04G32260.2 pep chromosome:OR_W1943:4:30244023:30247222:-1 gene:ORUFI04G32260 transcript:ORUFI04G32260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTFPLALLLAIVAACCVAGGEGGALVGDTCTASSASSCGAGMRCATCSPLPGMGPPVCSRTTPLDPKAHGTDLAFNRYTWLTTHNSFAIVGSPSRTGTPIIAPPNQEDTVTAQLKNGVRGLMLDAYDFQNEVWLCHSFGGKCYNFAAYQRAMDVLKEIGAFLDANPSEVITVFVEDYAGPGSLGKVVGGSGLSKYLFPPAKMPKGGGDWPLLKDMIAQNHRLLMFTSKRGKDGSDGLAYEWDYVLETQYGNDGLVGGSCPKRAESMAMDSTKQSLILMNFFSTNPSQSWACGNNSAPLVAKLKACYDASAKRWPNFIAVDYYMVRSHNHSASRSKGGGAPLATDVANGRQQCGCDSIAYCKPGSAFGSCSLSSPPAAPPKMAAASPPAAAPAPAPEMAPSSPPAEPPETATEAAGSAVRPASIKLEKDDTTGTSPADTAKSSSSNRSPHPSSCSFLFGLLLPSLLLLHLYISD >ORUFI04G32270.1 pep chromosome:OR_W1943:4:30247887:30254008:1 gene:ORUFI04G32270 transcript:ORUFI04G32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRGGLVSVVLLLLASPFFFSANPAATAVGDCPLDFSWANFTLASAACSDPTQRAACCRYINAFVAISMARYANATGRLGVPPAFSEICLSSVSETFKLRGIPTDADVFCGLGPKIRVSYQCAGRDTVLEMLQSPNFNDVVGSCRGPLSLDITCKTCLNYGIVYLHRLIGSDDNVGLSVCRNAVFVTLATQQGIFSYDDIVKCFFGVQGITIFPGPSSVTSTPASSPNVTTPVDAPAPKTKTVLQKHHQPYRITVIPGIGIAVILLAVLLQLVLVILIRRKNRELKNADLHAQNPENAFCQSQSWRCPEGQSPMFQRYSYKETMKATNNFSTVIGKGGFGTVYKAQFSDGSIAAVKRMDKVSRQAEEEFCREMELLARLHHRHLVTLKGFCIERKERFLVYEYMANGSLKDHLHSSGRKALSWQSRLQIAMDVANALEYLHFFCNPPLCHRDIKSSNILLDENFVAKVADFGLAHASRTGAISFEAVNTDIRGTPGYMDPEYVITQELTEKSDIYSYGVLLLELVTGRRAIQDSRNLVEWAQGHLSSGKITPEFVDPTIRGLVDMDQLHLVVSIVQWCTQREGRERPSIRQVLRMLSERLDPGNGSFGEGMEDAEGGFYPRNSKCGVHRNELIPHSGDMRSLHSSSSTTRSYCSRSMLLEGGQAHSPPETL >ORUFI04G32280.1 pep chromosome:OR_W1943:4:30254535:30256178:1 gene:ORUFI04G32280 transcript:ORUFI04G32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSLAPSSPPRSPTSRGGRPVYYVQSPSRDSHDGEKTATSVHSTPALSPMGSPRHSVGRDSSSSRFSGHPKRKGDKSSSGRKGAPAGKGWQEIGVIEEEGLLDDEDERRGIPKRCKYFLIFVLGFVVLFSFFALVLWGASRSQKPQIVIKSITFDNFIIQAGTDASLVPTDMATTNSTVKLTYRNTGTFFGIHVTADPFTLSYSQLTLASGDLNKFYQARSSRRTVSVGVMGNKVPLYGGGPTLTAGKGSGSMAPVPMILRTTVHSRAYVLGALVKPKFTRAIECKVLMNPAKLNKPISLDKSCIYL >ORUFI04G32290.1 pep chromosome:OR_W1943:4:30257662:30259816:1 gene:ORUFI04G32290 transcript:ORUFI04G32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSDEDAKKAYADFEKKVKRTIYIDHLSPQVTSSVIKAALAQCPNVVDVEFIVNYTVPYDIPSAALVELDDEIQAKAALDLMNDFPFIIGGMPRPVRAICAKPEMFRERPPHPGIRKEFRWVKQEDGTEYQGMKKLRILAKRQEVENMALIKNQLEEEKELAKQQQELLDGNYNKYDMLENVVQNGNMKSLAQHYGVSLADEF >ORUFI04G32290.2 pep chromosome:OR_W1943:4:30257662:30259816:1 gene:ORUFI04G32290 transcript:ORUFI04G32290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSDEDAKKAYADFEKKVKRTIYIDHLSPQVTSSVIKAALAQCPNVVDVEFIVNYTVPYDIPSAALVELDDEIQAKAALDLMNDFPFIIGGMPRPVRAICAKPEMFRERPPHPGIRKEFRWVKQEDGTEYQGMKKLRILAKRQEVENMALIKNQLEEEKELAKQQQELLDGNYNKYDMLENVVQNGNMKSLAQHYGVSLADEF >ORUFI04G32300.1 pep chromosome:OR_W1943:4:30260165:30263584:1 gene:ORUFI04G32300 transcript:ORUFI04G32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAETKGRGGGEGRKCRREEEEAFAAKSRRIDDQDDEERMNNYTPMLEEEEDGCRDSRCAELVFGEEELDPVQMEEKLRQVKLELQDKYTERLCPPCDIHMGLSQDMLAVIIFSIEVVRIKKGLEWPLHVYGLVAVRDSVDHNRNLLFHRTRDDCQILTQKDSFLELTGPSRAILIVDPVEFDVELKVKGRRESDDQILSFQLFRQNGFFNGRQSVTLVRRFHPIMLGWYSKFKFTYAVLNGAVEATICRVKVVRGSWTKEYQGRIVCTTSSICHEDFMLLDSQDAETMPIGSDDVIKLSRRVVTVELSGELTVSLTATHVGKRTRDDDGGIAQNDEALFTTDKVRFRPKKSGESCATCKLGFCEVEITVAWSLLNCDNIE >ORUFI04G32310.1 pep chromosome:OR_W1943:4:30265002:30266393:1 gene:ORUFI04G32310 transcript:ORUFI04G32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGDVVVWRLAVALLCCCFVRRCESARVFTIINQCKTMVWPAVTPGESFGGGGFALKPGQSMVFTAPVGWSGRIWGRTECDFDQAGNGSCATGSCGSELKCGGSGATPATLAEFTLATKDFYDVSLVDGFNLPMVVRPLNGEGNCSVAGCDGDLRDSCPSELSKKVNGRTVACRSACDVFDTDQYCCRGMYGNPSTCQPTFYSKKFKAACPTAYSYAYDDPTSIFTCSNADYTITFCSTRKRPVCTYHNNRLICSASTRSWPSVISALLFTFLALQFSL >ORUFI04G32320.1 pep chromosome:OR_W1943:4:30275113:30277733:1 gene:ORUFI04G32320 transcript:ORUFI04G32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPGRARETKVTASASSSAGSDAAVMKKKKKKKKKLVVASRKVDEKDKKQVDYKCSRSSGRDECCKVESRHQQCTEPESPSYRLALRSLFSCRNSSSSSHAGHHHHRAADGKKLGCNSASICKVKQDNPMQQHMRRAEDELKVKDKPPVAEPYCKRRASVSACNISSERSVKKSVKQQQQEASSSLQSSASISASSCSSTAGGGGSFRGMQQLSLRRLSGCYECHMVVDPISGVFRDSSSMRATICSCPDCGEIFVRPDSLHLHQSIRHAVSELGAEDTSRNIISIIFQSSWLKKQSPVCAIDRILKVHNAARTLARFDDYRAAVKAKAMAHRHPRCTADGNELLRFHCATLSCDLGLHGATHLCDHLSCAACAIIRHGFRPPAPGAGIRTMATSGRAHDAVVSSRSEGDRRAMLVCRVIAGRVRREEAAAAAAEEEEEEYDSVAGTTPGLYSNLDELDVFNPTAILPCFVVVYRA >ORUFI04G32330.1 pep chromosome:OR_W1943:4:30280072:30286409:1 gene:ORUFI04G32330 transcript:ORUFI04G32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMTRSDSPISRLIVLSFLDFLNSVELAPGADPEALEVARECMESIFSINSSSVVERVHPGLLLELFSSMEAAQQDNSAPGPVSNKPSCSASTSTIQEDLTKCTTSNSEGQNEDTFDLDHSGDELFAKFYTSLDEINFFKTSSAGAEDPGQLSKATQFFDDALLGMRKSGRKRASLGDLAEFFKSKGNEFMRSKQHLKAVELYTCAIALSRNNAIYYCNRAAAYTLLNMFNEAVEDCLKSIEIDPNYSKAYSRLGSAYFALGKYHDALYKGYLKASELDPSNENVRQNIEVTKKKLAEQRGPPEEQNTYAPQSQASHGQFPGQSSSGVPFTFFPPGNSPTPEFFANIINRVSDISQQSSEHSININLNDIFNHANVNGNSQGTPQTETSSNHTPPPSFPTNTAVPPPLSFTGSTEGNRPQQTSSGHEGEHGQPGMHRDAGIQINLAGPEQAADAMRAVMEMLAPHMSQREGAPGSARGVKMDQARPEEQDECNSSILDFGNSLVGVRVHKLRPAEERVYSTE >ORUFI04G32330.2 pep chromosome:OR_W1943:4:30280072:30286409:1 gene:ORUFI04G32330 transcript:ORUFI04G32330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMTRSDSPISRLIVLSFLDFLNSVELAPGADPEALEVARECMESIFSINSSSVVERVHPGLLLELFSSMEAAQQDNSAPGPVSNKPSCSASTSTIQEDLTKCTTSNSEGQNEDTFDLDHSGDELFAKFYTSLDEINFFKTSSAGAEDPGQLSKATQFFDDALLGMRKSGRKRASLGDLAEFFKSKGNEFMRSKQHLKAVELYTCAIALSRNNAIYYCNRAAAYTLLNMFNEAVEDCLKSIEIDPNYSKAYSRLGSAYFALGKYHDALYKGYLKASELDPSNENVRQNIEVTKKKLAEQRGPPEEQNTYAPQSQASHGQFPGQSSSGVPFTFFPPGNSPTPEFFANIINRVSDISQQSSEHSININLNDIFNHANVNGNSQGTPQTETSSNHTPPPSFPTNTAVPPPLSFTGSTEGNRPQQTSSGHEGEHGQPGMHRDAGIQINLAGPEQAADAMRAVMEMLAPHMSQREGAPGTRLDLRNKTSVTVQFLTLVHKLRPAEERVYSTE >ORUFI04G32330.3 pep chromosome:OR_W1943:4:30280072:30286409:1 gene:ORUFI04G32330 transcript:ORUFI04G32330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMTRSDSPISRLIVLSFLDFLNSVELAPGADPEALEVARECMESIFSINSSSVVERSEGQNEDTFDLDHSGDELFAKFYTSLDEINFFKTSSAGAEDPGQLSKATQFFDDALLGMRKSGRKRASLGDLAEFFKSKGNEFMRSKQHLKAVELYTCAIALSRNNAIYYCNRAAAYTLLNMFNEAVEDCLKSIEIDPNYSKAYSRLGSAYFALGKYHDALYKGYLKASELDPSNENVRQNIEVTKKKLAEQRGPPEEQNTYAPQSQASHGQFPGQSSSGVPFTFFPPGNSPTPEFFANIINRVSDISQQSSEHSININLNDIFNHANVNGNSQGTPQTETSSNHTPPPSFPTNTAVPPPLSFTGSTEGNRPQQTSSGHEGEHGQPGMHRDAGIQINLAGPEQAADAMRAVMEMLAPHMSQREGAPGTRLDLRNKTSVTVQFLTLVHKLRPAEERVYSTE >ORUFI04G32340.1 pep chromosome:OR_W1943:4:30287913:30291204:1 gene:ORUFI04G32340 transcript:ORUFI04G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQMQSRSRIRGRDPPPASSGGRYRRRSPSPRRHHRAPPSNPNPHRDRRTPDRPRRHHHEDSLPLHVHLPPPPDALLTAAADRRSRADVLLEAGRLAARYLVAQGVIPEHRLRAREDPLPAARNHDVDDPRSRRNADFPRDRGDDDRLSRRSGWDRRSNSFDSRRKYNDAASADRSARRSHDYDDQRRPTMSRSYSQNDRRVSSDSRLDRRRRSRSRSRSRSRSRSRSRTRTRSYNYGSRRDSDWRASGADLDHSKVPEPGIVRDGDADVGYGDADDVPRDLKAPPRSVVVMETKESASQAAANEDTAEVESEIIEVDQAQDIYGDDDDDGDDAVAAFNYPSVAEINVTQHKLSNSNEDVVHPSQSDEEPLHRQSQFSDAEEGMEGPISPRDSCLVEPVAEEVRDGMEAPQSEVETDNADLSKDEQDLPAWYGIFDLNVVESQENCEMVEISNDSPLDNGRDSVPDQVGQMSQGANCVTSGTQGQDEHAFDNHQSEDEQVPLNQRNGTDDFNNEQGVGNQTGDEHGQDNHQLEDDQMHINHVMDVHTLDNGLMNGEEMLLKRCADEHTDHGHLVETEEMLLNQGQSTSVQVLENYNMNGEQVQLNHDADEHSGDDRPIKNEQMLLNHVMGVHDLDNHDQNSEQMLLNNGAGKQAADSAQLQEDQMLLDQAADGQATLHGQSIGQMIPVINLEDDYEEQSDTIEFSESKSDTLHKLTENVLPEHICSQGQQTSSIPDHPQTNVPAAAAASSVTLNHGNRWTRRGATVAQALKEFV >ORUFI04G32350.1 pep chromosome:OR_W1943:4:30297567:30299163:1 gene:ORUFI04G32350 transcript:ORUFI04G32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGVAGGGGERPCMCYFHPREEVVGVCSQCLRERLLLLLASKTSPAAAHLLADRPLHRKNSSISLPKVFALGSSFLQRLDSSRHHLRPAPHDSDANSDADTASIASLDDSFISIKFEDNGKATWDSQKAAAGEKKTDTTTTAVVEHVKRGGVTRWRKQVVGRLLQLARWKRSGNGKAAACHQLGIDGKKTAERSSSKGTTVRGRGRGRSWIRTLTITRRPPAMPLS >ORUFI04G32360.1 pep chromosome:OR_W1943:4:30301189:30303051:-1 gene:ORUFI04G32360 transcript:ORUFI04G32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQGDSWEFRHIYRGTPRRHLLTTGWSKFVNAKQLVAGDTVVFMWCGAPAPERKLLVGVRRAARYSGESACNARGRVQPQEVMEAVRLAAEQAAFRVTYYPRHGAGEFVVPRVEVDKGLTTPWRCGMQVRAQVMEAEDTRRLAWLNGTLTNLRHQQIWRTLEVEWDASAASSSMKNRFVNPWQVQPVDFPPLPMGLKISNNNISAPVCNGDSLLVPPILMHPQPQPPADIQGARHNNGHAYADIPSSSTPSMVRTQQLFPRDLQILVPHTDIVTPQNGSPPDNPVNTPLSASDGMKTIQLFGVTITSPVQGDTNGAFASAQVNQVPEGVDDETATEEASDTSLPDSLTNGHNQDGARL >ORUFI04G32370.1 pep chromosome:OR_W1943:4:30307469:30308215:1 gene:ORUFI04G32370 transcript:ORUFI04G32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPMMVVSDLGTAPRSSPMVQLQRMKKHLVVVAAFKSRTKASPKLDKSNKNKSIVEDGIFGTSGGIGFTKENELFVGRVAMLGFAASLLGEAVTGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDDATGLERAVIPPGKGFRAALGLSEGGPLFGFTKANELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGVPINEIEPLLLFNILFFFFAAINPGTGKFVTDDNDDQ >ORUFI04G32380.1 pep chromosome:OR_W1943:4:30309003:30311614:1 gene:ORUFI04G32380 transcript:ORUFI04G32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICTSQRGTHVKPTPPHLFPLISPLLPFSLPLTFLSRRRAALWGKRPPGEGRGGGPAAGVAPAEATPPSASSLPLLSLTLFSCCKPAGNEHSGAAAPPGRVVLRGARGRGGRRRCRGGGRGTVVVVASGKKVGAAAEARGLPRLVRYKVLVLVLVLQFLYSRQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLEVCVRVILSWETWMQCCTPRQPPSLRRRRAHLLPRRLGRRRRQRHVQGRLRWFPGHPASDNGATLSAAEGYDGEDRVSALPDDLLVARLPSRTRLAPPRSPSAGGASGAPRRSSSAASPLCAGGRRGRRWPPPTARSPWRRWWPARGGSAAAAALVADLLLSAAEAVGVAAVGRALAGHPDPFRVVHRSELAGSSTICLPASLALALNRQRERERRNRGAADVAF >ORUFI04G32390.1 pep chromosome:OR_W1943:4:30314946:30316998:1 gene:ORUFI04G32390 transcript:ORUFI04G32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEPVREIGAGNFGVAKLMRNKETRELVAMKFIERGNRIDENVFREIVNHRSLRHPNIIRFKEVVVTGRHLAIVMEYAAGGELFERICEAGRFHEDEARYFFQQLVCGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDPKDPKNFRKTISRIMSVQYKIPEYVHVSQPCRHLLSRIFVANPYKRISMGEIKSHPWFLKNLPRELKEEAQAVYYNRRGADHAAASASSAAAAAAFSPQSVEDIMRIVQEAQTVPKPDKPVSGYGWGTDDDDDDQQPAEEEDEEDDYDRTVREVHASVDLDMSNLQIS >ORUFI04G32400.1 pep chromosome:OR_W1943:4:30317809:30326798:-1 gene:ORUFI04G32400 transcript:ORUFI04G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVKQIRQLVLFPCRYAQECANSPSYNNTNARNSHTIGKLANLLRENDDFYELVFCKFLSDNSYSAAVRSAAARLLLSCYSAWTPQYPHAFEDAIVENIKKWVTEDGGASNECESKHLGKNNKPTDADMLRTYAIGLLAMALCGGGQLVEDVLTMGVSAKLMHFLRVRVHGDVACAQKDSNIPLDTKHPRSRDENRSKSRLVQDSSRLDGMRSGDGISIDPTSENCDNVMGMRHAHGERWIDDAASLQPERADSSLDLSDAMEAGATNDRTYSASICDTKSRVGERLSALRPGRDEEMNENTRDDLLKRKLSRTGSRLRGKSKAGESLPESERTPLSPTSGLKIGTRTSREKNMVRIEDANKAIDVNNSSPGIEPFNAISKEEYEDRFKDCIIGLKDISDIVLKAVRAAEAEARSANAPDEAVKAAGDAAAELVKSAASEVWKTGNNGDAVVLAAEKAAATVVDAAMSTSVSRSNQVGEEHVVEEPVQISEDHELEDFVITDHGQLLQLREKYSIQCLQILGEYVEALGPVLHEKGVDVCLALLQRSIKDQGGHGHFTLLPDVLRLICALAAHRKFAALFVDRGGIQKILSVPRIAQTYTALSACLFTFGSLQSTMERICALSSDTLNNVVELALQLLECPQDSARKNAAIFFAAAFVFKAILDSFDAKDGMQKVLGILHGAASVRSGGNSGALGSSNVNQGNDRSPAEVLTASEKQVAYHSCVALRQYFRAHLLQLVDSIRPSKSIRSIARNTSSARAGYKPFDIGNEAMDAVFRQIQRDRKLGPALVRARWPVLDKFLASNGHITMLELCQAPPTDRYLHDLTQYAFGVLHITTLVPYCRKLIVHATLSNNRVGMSVLLDAANSFGYVDPEVICPALNVLVNLVCPPPSISNKSSSTGNQQPAATQAVGGAFSENRDRNAEKCTTDRNLTANQGESRERCGDGNTSQQGNTVQISTPVVPSGVVGDRRISLGVGAGGPGLAAQLEQGYRQAREVVRANNGIKILLQLLSSRMVTPPVAIDPIRALACRVLLGLARDDAIAHILTKLQVGKKLSELIRDTSGQSIGGDNSRWQNELTQVAIELIAVLTNSGKETTLAATDAAAPALRRIERAGIAAATPVSYHSRELMQLIHEHLLGSGFTATAAMLQKEADLAPLPSTAAVTPVHQVAALETSSAQQQWPSGRVQGFVPDTTKVTTDQTGQRSDSVLPSSKKKSLSFSSSFSKRTQPSHLFSGNRASNSLKSPVPIGNVDNMICAASTVNTGDAETSHKTPLSLPQKRKLVDMKDLSSASAAKRPAMVDQACQSPVFQTPAPTRRGLSVAVDSPTASFHSGRPNFNNIYTENLDDSQGTPGATITTPHHGASDQQSVNLECMTLDSLVVQYLKHQHRQCPAPITTLPPLSLLHPHVCPEPSRSLSAPANIAARMGSREIRRQFSGIQIPRRDRQFIYSRFKLCRVCRDESSLLTCMTFLGDASRVAAGNHTGELRVFDCNTANILETQTCHQQLVTIVESASSGGNELILTSSLNEVKVWDAFSVSGGPLHTFEGCKAARFSHSGTSFAALSTDTTRREVLLYDVQTYNLDLRLPDNSGYSGGRGYVQPIIHFSPSDTMLLWNGVLWDRRSPNPVHQFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFKLLRSVPSLDQTVIKFNGRGDVIYAILRRNLDDVTSSIHTRRVRHPLFPAFRTIDAVTYSDIATVQIDRGVLDLATEPNDSLLGVVAMDDPDEMFSSARLFEVGRKRPTDDDSDPEDAGDTDDEDDDDNDDSEDGIIPLTDIGDSDSDISNSSDDGGDDEDIDSGDENDDDDDDAEFIDEGDFEGGGGLLEIMGEEDGDESDIMGSFSSGDEEGWIM >ORUFI04G32410.1 pep chromosome:OR_W1943:4:30329322:30330179:-1 gene:ORUFI04G32410 transcript:ORUFI04G32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEWCCVAPGFRFHPTEEELVGYYLARKVVGQQDDGIIQEVDLNSIEPWDLLQAQQHDQEYYCYFFSYKDRKYPSARGTGTRTNRATAAGFWKATGRDKPVLSSSRSSSSPAVIGMRKTLVFYRGRAPNGCKTDWIIHEYRLVAHHQQPDGSCWVVCRAFHKPTTTTLQHQLHLHRPPPLLHHPGYYDDQYLYPPPAAAAGGGGLLCSPALDMELEDEEDESKMMILSNDNIPLVVSPTAVHTQGTGGDIINDATTAPAAAAAADHRRHLAPPPPLHLLANSVD >ORUFI04G32420.1 pep chromosome:OR_W1943:4:30330667:30334481:1 gene:ORUFI04G32420 transcript:ORUFI04G32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRPLLPNEEEEEALPGVSDFRGRPVYRATSGGWRSALFVAVLELAGSFAYFGVSANLITYLTGPMGQSNASAAAAVNAWSGAACMLPLLGAFLADSFLGRYPSILLACTLYLLGYGMLTVASSVVASKSQVGILYVSLYLVALAQGFDKPCGLALGAEQFDPEHPRESASRSSLFNWWYFSMATGITVSIATVSYIQENVSWGVGFAIPFAVVSCAFLLFLLATPTYRLSSAAASPLLSLRHYKQSSEEARRMLRLLPIWATCLAYGVAYAQIMTLFNKQGRTLDRHIGHAGLELPPAALQTLGPVTIMVSVPIYDRAVVPMLRRMTGNPRGLTTLQRTGTGMALSLAAVAVAAAVEGRRLETVREQRPAMSWAWLVPQYVAMGVADVLAVVGMQEFFHGEMPEGMRSLGLALYYSVMGIGGFISSALISALDGITRRDGGDGWFADDLNRGHLDYFYWLLAGVSAAELAMFLCFARSYAYRNANKGPLLLVVPSNTPVSPCTNHHHA >ORUFI04G32430.1 pep chromosome:OR_W1943:4:30339749:30352778:1 gene:ORUFI04G32430 transcript:ORUFI04G32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALWQPQEEGLREICTLLDAHISPNSDQARIWQQLQHYSQFPDFNNYLVFLLARGEGKSFEARQAAGLLLKNNLRATFSSMPPASQQYVKSELLPCIGATNKAIRSTVGTVISVLFQIVRVAGWIELFQALHQCLDSNDLDHMEGAMDAIYKICEDVPEELDVDVPGLPERPINVFMPRLLQFFQSTHAILRKLALGCINQYIVVMPAALYMSMDQYLQGLFNLAKDPSADVRKLVCSAWVQLIEVRPSILEIFQLLISCVMLDLTLDSKLQPHLKNVTELMLQANKDSDDEVALEACEFWSAYCDVSMPPEGLREFLPRLIPTLLSNMSYSDDDESLADAEVEDESFPDRDQDLKPRFHASRLHGSETGEDDDDDDAVNVWNLRKCSAAGLDVLSNVFGDDILPTLMPLIQQNLARTDDDAWKEREAAVLSIGAIAEGCITGLYPHLPQIVAFLIPLLDDKFPLIRSITCWTLSRYSKFIVQSLEHPNGREQFDKILLGLLRRVLDTNKRVQEAACSAFATLEEEAAEELVPHLGIILQHLMCAYGKYQRRNLRILYDALGTLADAVGAELNQAKYLDIFMPPLITKWQQLANSDKDLFPLLECFTSIAQALGPGFSQFAEPVFQRCISLIQSQHLAKVDPAAAGALYDKEFIVCALDLLSGLAEGLGAGIESLVSQSSLRDILLQCCMDEAADVRQSALALLGDLSRVCPIHLHPRLQEFLNVAAKQLNPQCVKEAVSVANNACWAIGELAIKIGKEISPVVITVVSCLVPILKSPEGLNKSLLENSAITLGRLCWVCPDIVAPHMDHFMQAWCNALCMIRDDFEKEDAFHGLCAMVAANPTGAVGSLTFICQACASWNEIKSEGLHNEVCQILNGYKQWGLGTVHVHPRASGRSEIRQIRRLLSESIISQPIMLGCPTGNLIPYPSIRLSFFLSFHGWRGSQGYDSKEERALKMLLTTPFVSSPVRVQGNGGSGASPWAGAATALRIQAAKQLTGRVVTTKADKTVGVEVVRLAPHPKYKRRERIKKKYQAHDPDNQFKVGDVVELRRSRPISKTKHFLAVPLPPRDTRRKSQLLPPLQSQSQSQDQDQPPTPPPSSD >ORUFI04G32430.2 pep chromosome:OR_W1943:4:30339749:30352778:1 gene:ORUFI04G32430 transcript:ORUFI04G32430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALWQPQEEGLREICTLLDAHISPNSDQARIWQQLQHYSQFPDFNNYLVFLLARGEGKSFEARQAAGLLLKNNLRATFSSMPPASQQYVKSELLPCIGATNKAIRSTVGTVISVLFQIVRVAGWIELFQALHQCLDSNDLDHMEGAMDAIYKICEDVPEELDVDVPGLPERPINVFMPRLLQFFQSTHAILRKLALGCINQYIVVMPAALYMSMDQYLQGLFNLAKDPSADVRKLVCSAWVQLIEVRPSILEPHLKNVTELMLQANKDSDDEVALEACEFWSAYCDVSMPPEGLREFLPRLIPTLLSNMSYSDDDESLADAEVEDESFPDRDQDLKPRFHASRLHGSETGEDDDDDDAVNVWNLRKCSAAGLDVLSNVFGDDILPTLMPLIQQNLARTDDDAWKEREAAVLSIGAIAEGCITGLYPHLPQIVAFLIPLLDDKFPLIRSITCWTLSRYSKFIVQSLEHPNGREQFDKILLGLLRRVLDTNKRVQEAACSAFATLEEEAAEELVPHLGIILQHLMCAYGKYQRRNLRILYDALGTLADAVGAELNQAKYLDIFMPPLITKWQQLANSDKDLFPLLECFTSIAQALGPGFSQFAEPVFQRCISLIQSQHLAKVDPAAAGALYDKEFIVCALDLLSGLAEGLGAGIESLVSQSSLRDILLQCCMDEAADVRQSALALLGDLSRVCPIHLHPRLQEFLNVAAKQLNPQCVKEAVSVANNACWAIGELAIKIGKEISPVVITVVSCLVPILKSPEGLNKSLLENSAITLGRLCWVCPDIVAPHMDHFMQAWCNALCMIRDDFEKEDAFHGLCAMVAANPTGAVGSLTFICQACASWNEIKSEGLHNEVCQILNGYKQWGLGTVHVHPRASGRSEIRQIRRLLSESIISQPIMLGCPTGNLIPYPSIRLSFFLSFHGWRGSQGYDSKEERALKMLLTTPFVSSPVRVQGNGGSGASPWAGAATALRIQAAKQLTGRVVTTKADKTVGVEVVRLAPHPKYKRRERIKKKYQAHDPDNQFKVGDVVELRRSRPISKTKHFLAVPLPPRDTRRKSQLLPPLQSQSQSQDQDQPPTPPPSSD >ORUFI04G32440.1 pep chromosome:OR_W1943:4:30354873:30364055:-1 gene:ORUFI04G32440 transcript:ORUFI04G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHKLGFREEDALRFLFGEDLLAMHHSAAASSFDRSQPEVQVFRDVFSPSPPLLHVAETSSLLPTAAAAAPAPPPPPAPAPSLHHHLHALQPQPAPVEVDAAAIDPKHSHGPVLHGNGNGGLELDAALQGFVAYWQGGGSSLSVTDADLFHDMMQPTPPQHSAGSPPPPPPAAAGMCTALAASSSNSGVEDPLPSYMEALADFSDFHNDALLSDPFLNQWLQDNNHFPTDMSFTYDQPQMLDTTSHTLYSATTPDLSVTGPDHFSLYSNTVYDTTLLPHLSRDSTASAHFVQLDNLCQNFGTPGASIGSLDDAADVPADNTQHYGTTAVASKRNLSRELPDQLEVHAQHLFMDAGWTIKPRKRNDRAKMASYFTAPHREVVHTSLTQAWKFCGKKLYEATPCSQRGKCPKEWSDVDTFWKDLTDTMAYVDKMLVNRQDAPTLLHRWELLDPFIAVVFIGRKITALQQHKTLRAVDSSTYVLNDSRNVPSESKSMLKSSDLLPTRMIQPTPVITDSDCSTLATESGNGNHALQSCHDLEDGHNGDTNLSTLCTQSQLYCAAGDTSQRTENPISESNGQRELWSGATLINSAVKKTKKKSKRISDIDSTGLDGLHSESFMQPAVEIVLNQETELASVELSFAENNMRSEEHGICSSVGTSKRHLKAESKLAKLNANNQSNKLDVLLPSEVMQTSMLQGEETVEQPAGFNILPENGSTPTESGSSKFIPIGNEEKKLLSLKESSIGIFPKDVHNLPTVNSVPVNLSYESNAAVLKTDLSQESPTCKAVAAKRKPQAWEKYAKKRPRVLRINDDDLLITAMVKNRDLGSCHKFAADSIFLDAKKFTKFKSSKKCGRLLARMSGKGGSNLLGGKRVSLARKTVLCWLIATGFLTVKDVIQYRNLKSNEVVKDGQVTWEGILCNCCTKTFSISDFKVHGGCSLPKSSLGLFLQSGKSYTLCQVEAWSAEFLSRKCDASGRKVEAMDENDDTCGFCGDGGELLCCDNCPSTYHQTCLSDQELPEGSWYCHNCTCRSCGNPLSEKEVSTFSAILKCLQCGDSYHDTCIDQEMLPCGDKQSNIWFCGRYCKEIFIGLHNHVGIENFLDNELSWSILKCNTDGQKLHSSKKIAHMTECNTKLAVALTILEECFVRMVDPRTGVDMIPHVLSNFARLDYQGFYTVILEKGDEILCVASIRVHGTKAAELPFIATSVDYRRQGMCRRLMDTIEMMLRSFHVETLVLSAIPELVNTWVSGFGFKPIEDNEKKQLRNVNLMLFPGTSLLTKRLDGITAAKSEEDKDAYNVSGLPNGKCLPSRKGNGHLELHDLDLLEAELNTEDATNASFRALKHECGPATWFNHAKATHPSSSSPVLCNEFSRMQFTGPQLFYCKTERHLPTAVHDSIPSEVQLVNGADSHARNNKGWIDGLIYPCNMKNECLRCNAKLYFFALLSEAPESRSLGQKQNMTDPFLSDVDRDLPKLYAVTVDGSYKLHGGQKGYLQKHG >ORUFI04G32450.1 pep chromosome:OR_W1943:4:30369192:30372257:1 gene:ORUFI04G32450 transcript:ORUFI04G32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGVEILDASELAPKDGAGACNAFVEVEFDGQKQRTPTKPADRSPQWNHTLVFDVRDPSRLPSLPVDVSVHHDRSLTDHLATRPHTFLGRVRISAASLAPSPQDALLQRYPLEKRGLFSRVSGDIALRLYLIANDSPDPPPAPAVHHHQHQPPQSVSAEQPDSRPPPAFPHGEAQAQAQPPPPESESKGKTTHDHEPPRVFRSVPVQAPAPAASQPRRATLHAVAAPPPPPGQTVVMPRPPGPAPGPPPSAFGLVETKPPLPAKMGPRAAVAAAAKIASTYDMVEPMSYLYVSVVKARDLPTMDITGALDPYVEVRLGNFKGVTRHLEKNPNPVWRQVFAFSRDHLQSSQLEVVVKDKDVLKDDFVGRVVFDMTDIPNRVPPDSPLAPQWYRLADRSGEKIRHGEIMLAVWNGTQADEAFPEAWHSDAHSVSLDSLASTRSKVYYSPKLIYLKVVAIAAQDLIPAEKGRPLAPSIVKIQLGGQTRRTRSQGSANPMWNEEFLFVAAEPFDEPLVVTVEERVAAGRDEPVGRVIIPVAAPYVPRNDLAKSIEAKWFSLSRALTADEAAAAEATKLKSSFASKIHLRLSLETAYHVLDESTHYSSDLQPAAKKLRKSPIGILELGILGARNLAGGKSPYCVAKYGAKWVRTRTLVGTAAPRWNEQYTWEVFDLCTVVTVAVFDNCHLTGGGDAKDQRIGKVRVRLSTLETERVYTHFYPLMTLTPGGLKKTGELHLAVRFTCTAWANMLAMYGKPLLPKMHYTHPISVLQMDYLRFQAMQMVAARLGRAEPPLHREVVEYMLDVDSHMFSLRRSKANFKRMTSLFSGAVAVARWMDGICKWKNPVTTILVHVLFLILVCYPELILPTVFLYLFVIGVWNYRRRPRKPAHMDTALSHAEAEQVHPDELDEEFDTFPTSKPGDVVRMRYDRLRSVAGRVQTVVGDLATQGERAQALLSWRDPRATSIFVLLSLIIAVVLYVTPFQVVAVVVGLYLLRHPRFRSKQPSVPFNFYKRLPAKSDVLL >ORUFI04G32460.1 pep chromosome:OR_W1943:4:30384024:30390847:1 gene:ORUFI04G32460 transcript:ORUFI04G32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRTGATRYTHSRSISKRYLDTCSSCYKMNSVVEIMAISYPPEDGRYYCSSVAAGDDSPAAHHTNAHDDWDWDWVPPPPADAAAAAAADDDDDDGDPTPAGSPGSRGEDEEEERQRAQMVSAMNGQLNMLASRFLASAGVEEEWLEVVTALSWEAALLIQTHACTAGNDMDPASHVKIKCVASGRRRQSQVVRGLVFRKNAAHKHMPTKCHRPTLLLLHGALGLDSHLGFSSFDSMEQDKLILRASISHIIHTCSPNVVMVEKTVSRDIQELLLHHGVTLLLDMKLHRLQRIARCSGAPLLSFSQLLHDCPNHLKHCDYFHIDKFFEDHNTTTTTSAAALNKPSKTLMFLEGFSNPLGCTILLRGASTQELKKIKQVLHYTIFAAYHLVVETSFFEDQRVFLNDTNVDGTPQITHQTSIVSNRSLPTDYDVTCTSRGSLLEYHDGDHKATVPFTNKPDSYTQDEGTAIHCEAPPSENLLSSVSGSLRRFIDIFRYQNIYLPVTSSQDTTGHQNEQDTETSQETASDTLTKDHSCEYMDQLSDLQEQVFAKTNQKMSQPDPFGTEKHQQNVEQYRAGENINSDTDEADDVMDSQSILILLSSQCVTKQVVCEESHLYRINYYGNFDVSLGRYLQDILQNQNLSCSSCGEPPDAHMYSYTHRNGNLTINVRRLLPQHHLPGESEGKIWMWTRCLRCEHERGISKSSRRVLISTEARNLSFGKFLELSFSSHSAARRLSVCGHLVNRDCLRYFGLGSKVAKFQYSSVEIYTACKQQRTLEFHNPDMREWFEQEGRNVLARGVKLFSEVSSLIQHMKIFSEVAINCGDSLPVKEVSQLEEMLIEEKAQFVDSLVKAVDESGMSSSSVNEILGVNCLYQDLLILLYVWDRRFHQIVECKSGRMANCVGKKEAAEFAGEPAATGESAVPFENGYIKEMQYSSETLTDENSRREEQHITKVPSFRVLEGTDTQLINPECGDNRETWIWSPLHELRESYRHELQAGYLERFELVNNYSPSHLSPLHKQSSAEFIVGPGGNVLCISEDEISSIISRALAISEERRHLLLDALMVEGEAAYSRGSESSKMEKSYSSLSEASSASSSWSSIGSSDSDASFSSDDLFSSYDSSLLSSLLHPEVSVNGKSSLKGKYSVICVHANQFYTLRKKCCPSELAYITSLSRCMKWDAQGGKSKAFFAKTLDDRFIIKQIKKTEFESFIEFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQIRHGKEVKLDLMVMENLLFGHKLSRIYDLKGVVFSRHVSDSNDHGTVYLDQNFVDDMRVSPIYVGGRTKHLLQRAIWNDTAFLTSINVMDYSLLVGVDKEKHEFVFGIIDYLRQYTWDKQLETWVKTSLVVPKNASPTVISPKEYKKRFRKFMAKYFLTVPDDWST >ORUFI04G32470.1 pep chromosome:OR_W1943:4:30389931:30392007:-1 gene:ORUFI04G32470 transcript:ORUFI04G32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGVEAGTGGGLGNLRALLAILQWWGFNVTVIIINKWIFQKLDFKFPLTVSCVHFICSSIGAYIAIHVLKAKPLIQVEPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWSKHFEWRIWASLVPIVGGILLTSITELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPAVLLEGGGVVTWFYTHDSIASALVIIIGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISPMNAIGCAITLVGCTFYGYVRHLISQQQAVAPGTGSPTTSQTNSPRSRMEMLPLVGDKQEKV >ORUFI04G32470.2 pep chromosome:OR_W1943:4:30389933:30391952:-1 gene:ORUFI04G32470 transcript:ORUFI04G32470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGVEAGTGGGLGNLRALLAILQWWGFNVTVIIINKWIFQKLDFKFPLTVSCVHFICSSIGAYIAIHVLKAKPLIQVEPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWSKHFEWRIWASLVPIVGGILLTSITELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPAVLLEGGGVVTWFYTHDSIASALVIIIGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISPMNAIGCAITLVGCTFYGYVRHLISQQQAVAPGTGSPTTSQTNSPRSRMEMLPLVGDKQEKV >ORUFI04G32480.1 pep chromosome:OR_W1943:4:30393669:30397040:-1 gene:ORUFI04G32480 transcript:ORUFI04G32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSKLHLPADDSVLLLLTHSNLSTFSSDIRVSKQTSVEALKDKLWRKTGTSVAFMRLQLRDDTGAMIADLDHDDATLASYSPYDGYRLHIIDLDPSSITSGGWLEDTSLVEKYTISDEAYNNLDNDKQQQSDKHMEELCANIKVGDRCEVEPGAKRGTVKFVGRAEALGRGFWVGVQYDEPLGKHDGMVKGIRFFECPQGHGAIVRPEKVKVGDYPERDPFEEEEI >ORUFI04G32490.1 pep chromosome:OR_W1943:4:30395150:30401087:1 gene:ORUFI04G32490 transcript:ORUFI04G32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAMVSVQLTVAARPLRSRRALSVFTCAAPPRQRPPPGPTKHRRLRHDADAQPPRKRGHPPPPPPPRRTRTRGPPARPQQSYTDDDEEEDDQDEEEGSFGGGTRAAAMPKPPAGFVLDDQGRCIAAASKRIVTIIDDTNNRPLECIIRRVFRSTLDHDCMLLCPVDMPVQVLKSANFSGWIAVDDDQIKEIIPSVAYALARHGVAFAFLKTRFKNFMILVTAVIVYLLKDGAHYMIYTPVDPLLFVAVKDKDGVLRIAEDELMDDPAVVGAIDEETEFTALVEEEEALLESVLGER >ORUFI04G32500.1 pep chromosome:OR_W1943:4:30400734:30402786:-1 gene:ORUFI04G32500 transcript:ORUFI04G32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKVEDDPPSSGSVADADDSTDRLCRVCHCVEPDLRGHSALAFLGILPPPSSPDEDADDVATATATNNNVLEFVSPRGEIFVCTAATTDLESGPLHHHHHLMDLGCSCKNDLALAHYACALKWFITHGSTVCEICGHVAVNVRPADFNKVLASLKEYEALRERTSTGDLSYLHYGADSGVDPDAVAAIRRQRLSEISSWFNPQNSHLAISQGQTEQTPASPSNNSTHHGAVVTTVVHTRWSLEGTGVFLAIGLGVIVLAWLVAPHVGKKAAVICLHMLLGGLCALTIIISLRFVSFPKDPIWIYAMLGDLVCVLVPGFWSLGITDPQYTLLMRMEIMMYMHDHKKKEKFVIGVTVDC >ORUFI04G32510.1 pep chromosome:OR_W1943:4:30405165:30409116:1 gene:ORUFI04G32510 transcript:ORUFI04G32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSHTRLDVRRAQQHSSFCSPSSAAAVLVALALVAVWMASSTLVTPADFSPFRPTTTTARPRNRMDPVTVEEDADDPPPLTLRQTETGPGGDNGSHSHSPSLEAATEADPQAAQSNSNTKDTPHNKQQQQTASPTPSSYAWKLCNTEAGPDYIPCLDNLQAIRNLRTTKHYEHRERHCPQHPPTCLVPLPKGYTNPIRWPNSRDQIWYNNVPHTKLVEYKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIQEAKKDIAWGKQTRVVLDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPAMSAVMGTKRLPFPGRVFDVVHCARCRVPWHIEGGKLLLELDRLLRPGGYFVWSATPVYQKLPEDVEIWEAMSTLTRSMCWEMVNKVKDRVNRVGIAIFRKPTDNSCYEARSAANPPICGEYDDPDAAWNISLQSCVHRLPTDPAIRGSQWPVEWPLRLEKPPYWLKNSEAGVYGKPATEDFQADYEHWKQVISNSYMNDLGIDWSAVRNVMDMKAAYGGFAAALRDLKLWVMNVIPIDSPDTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHANHLFSKIKKRCKLVAVMVEVDRILRPGGRLIVRDSMETMHEVESMAKSLHWEVRKSYSQDNEGLLFVEKTMWRPNEVEAKL >ORUFI04G32510.2 pep chromosome:OR_W1943:4:30405260:30409116:1 gene:ORUFI04G32510 transcript:ORUFI04G32510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSHTRLDVRRAQQHSSFCSPSSAAAVLVALALVAVWMASSTLVTPADFSPFRPTTTTARPRNRMDPVTVEEDADDPPPLTLRQTETGPGGDNGSHSHSPSLEAATEADPQAAQSNSNTKDTPHNKQQQQTASPTPSSYAWKLCNTEAGPDYIPCLDNLQAIRNLRTTKHYEHRERHCPQHPPTCLVPLPKGYTNPIRWPNSRDQIWYNNVPHTKLVEYKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIQEAKKDIAWGKQTRVVLDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPAMSAVMGTKRLPFPGRVFDVVHCARCRVPWHIEGGKLLLELDRLLRPGGYFVWSATPVYQKLPEDVEIWEAMSTLTRSMCWEMVNKVKDRVNRVGIAIFRKPTDNSCYEARSAANPPICGEYDDPDAAWNISLQSCVHRLPTDPAIRGSQWPVEWPLRLEKPPYWLKNSEAGVYGKPATEDFQADYEHWKQVISNSYMNDLGIDWSAVRNVMDMKAAYGGFAAALRDLKLWVMNVIPIDSPDTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHANHLFSKIKKRCKLVAVMVEVDRILRPGGRLIVRDSMETMHEVESMAKSLHWEVRKSYSQDNEGLLFVEKTMWRPNEVEAKL >ORUFI04G32520.1 pep chromosome:OR_W1943:4:30409605:30416610:1 gene:ORUFI04G32520 transcript:ORUFI04G32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAAAAAAAAIRPTAALLLLFPPHRRTTSLRRSSLPFARPRRHSTTTTTAESHPKPNESNAARRRRARDSPEGLLKAKLDMCSRDNDLPTALALYEAAISPDSLIPLSLGHYNCLLYLCANAAAADSSSPDAAQRGFDIFSRMEADGVQPNEATLTILARLAAARRDPAMAFSIVRRMATAGTAPHLRSYGPALFAYCDAGDADGATEVEAHMDASGVVPEEAELAALLRVNSARGRPDQVYRLLHRARVLLRQVADATAQLLESWFASHAASEAGLDHWDATKVKQGLRNGGGGWHGQGWLGKGQWTVARTDMDKDGTCHRCGEKLVCIDIDPSETHSFAESVAQIAINRDANFVKFQKWLECHGPFDAVIDAANVGLYNRNSFSFYEVNRVVNGIQRITKSKKLPLIILHKNRVNGGPAKLPQNQKLLESWQRAGALYATPPGSNDDWYWLYAAVIYRSLLVTNDEMRDHLFQLLGTSFFPRWKENHQVRLTFSGRGWNFHLPPPYSIVIQESEDGSWHVPTTNGDDIEKPRQWICATRKISGKSSQALARAVG >ORUFI04G32520.2 pep chromosome:OR_W1943:4:30409605:30416610:1 gene:ORUFI04G32520 transcript:ORUFI04G32520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAAAAAAAAIRPTAALLLLFPPHRRTTSLRRSSLPFARPRRHSTTTTTAESHPKPNESNAARRRRARDSPEGLLKAKLDMCSRDNDLPTALALYEAAISPDSLIPLSLGHYNCLLYLCANAAAADSSSPDAAQRGFDIFSRMEADGVQPNEATLTILARLAAARRDPAMAFSIVRRMATAGTAPHLRSYGPALFAYCDAGDADGATEVEAHMDASGVVPEEAELAALLRVNSARGRPDQVYRLLHRARVLLRQVADATAQLLESWFASHAASEAGLDHWDATKVKQGLRNGGGGWHGQGWLGKGQWTVARTDMDKDGTCHRCGEKLVCIDIDPSETHSFAESVAQIAINRDANFVKFQKWLECHGPFDAVIDAANVGLYNRNSFSFYEVNRVVNGIQRITKSKKLPLIILHKNRVNGGPAKLPQNQKLLESWQRAGALYATPPGSNDDWYWLYAAVIYRSLLVTNDEMRDHLFQLLGTSFFPRWKENHQVRLTFSGRGWNFHLPPPYSIVIQESEDGSWHVPTTNGDDIEKPRQWICATRKISGKSSQALARAVG >ORUFI04G32530.1 pep chromosome:OR_W1943:4:30416193:30429979:-1 gene:ORUFI04G32530 transcript:ORUFI04G32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPFDICGDLDDDPTPPAPTPLAAPTPNGLNDRLLRLTRTHQRGPSQNPNPNPNPNPKPPPPPPPQEPEPAKVKLAGRRRLCKLSTAGDESAGDDDSIRDILDDLTTRLDSLSVDRPTARPRPHVSPLPCALHADPDPSQSQLNDGTKPSSSFVDCDDDDDDAGGAYGGFGVKEEVTRKVFKASSSSFGGRGNDDKMKAKGAYAFDTVSRKTTTESKASKFFGDYDDEDDIDQDAENGKENHADDVGWEKTEDFKMEPTGTGILGDDMGLGKTMQVSAFLAGLFHSCLIKRVLVVAPKTLLTHWTKELSVVGLKDKIRDYSGPNANARNYELKYAFKEGGILLTTYDIVRNNFKMIKGNFTNDFDDEEETLWNYVILDEGHIIKNPKTQRAQSLFEIPCVHRIVISGTPIQNNLKEMWALFYFCCPEVLGDKEQFKARYEHAIIQGNDKNATNRQKHIGSNVAKELRERIKPYFLRRMKNEVFLDSGTGEDKKLAKKNELIIWLKLTSCQRQLYEAFLNSELVHSSMQGSPLAAITILKKICDHPLLLTKKAAEGVLEGMDAMLNNQEMGMVEKMAMNLADMAHDDDDVELQVGQDVSCKLSFMMSLLQNLVSEGHNVLIFSQTRKMLNIIQEAIILEGYKFLRIDGTTKISERERIVKDFQEGPGAPIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQMKDVIVYRLMTSGTIEEKIYKLQVFKGALFRTATEHKEQTRYFSKRDIQELFSLPEQGFDVSLTQKQLQEEHGQQLVMDDSLRKHIQFLEQQGIAGVSHHSLLFSKTAILPTLNDNDGLDSSRRAMPMAKHYYKGASSDYVANGAAYAMKPKEFIARTYSPNSTSTESPEEIKAKINRLSQTLANTVLVAKLPDRGDKIRRQINELDEKLTVIESSPEPLERKGPTEAQTLKDWESLKAKEEEAGGRARGEGRKGSGLNSTPFRLNSTSSTLVVFKVPSTFTTGRGALAAAAANGRFVPMAPTSQPQPQQEVEVEVDEDEELVNMVVEAGVGAIKMKMKIPRRVLGSLYPHQRDGLAWLWALHCTATGGILADDMGLGKTIQVSALLAGLFHSNLIKRALIVAPKTDLTHWVNHLSLLGLQHHIRLYSGPSLNDRCYQLNCTFKEGGILLTSYHIVRNNYMLLRGNGNGNNVDNNEEEPLWDYVILDEGHIVKNTKTQRAQSLFQIPSAHRIVLTGTPIQNKLKEMWALFYFCCPDVLGDEDAFELRYEKPILCGNDKNATDQEKQMASNAAKELRERIKPHFLRRMKSEIFVDTGAKDDKRPPQKNELRRLYEAFLNKDPVRSQTGALKGSSLEASTILRKICDHPLLLTKRDTDDFLEEMGAMLNNRDMCMVERILEDNLYADKRLQIVQGASCKIAFILPLLRNLVEEGHYVLIFSQTRVMLNLIQDAVSIEGHKFLRIDGTTKISERKKILKDFQEGLDSPILLLTSHVGGLGNTLTKADRVIVVDPAWNPSIDNQSVDRAYRIGQTKDVIVYRLVTCGTIEEKIYKQQIFKGGLFRTATECKEQPQFYNQDLYLHNEQEYSSLPPHGFDASLTQHKMQVENGQQLVMDESLKKHIQFLEQQGIAGVNRHGVLFCKTETTATLGDDGAINRKVRDIMVRRCYAPWEHICRDVEKKSLIDQVKEMSKKMDGLGDTMGRIVALEEEYAAELIGMLHENRWERSHLEKIRMQIDDLHEEHMAKFDEMLERIKRMELADEGELIAKFGEMVERMRQRCDMDRLSLPLLSSTLVA >ORUFI04G32530.2 pep chromosome:OR_W1943:4:30415512:30429979:-1 gene:ORUFI04G32530 transcript:ORUFI04G32530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPFDICGDLDDDPTPPAPTPLAAPTPNGLNDRLLRLTRTHQRGPSQNPNPNPNPNPKPPPPPPPQEPEPAKVKLAGRRRLCKLSTAGDESAGDDDSIRDILDDLTTRLDSLSVDRPTARPRPHVSPLPCALHADPDPSQSQLNDGTKPSSSFVDCDDDDDDAGGAYGGFGVKEEVTRKVFKASSSSFGGRGNDDKMKAKGAYAFDTVSRKTTTESKASKFFGDYDDEDDIDQDAENGKENHADDVGWEKTEDFKMEPTGTGVTRKPYNLPGRIFNMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSCLIKRVLVVAPKTLLTHWTKELSVVGLKDKIRDYSGPNANARNYELKYAFKEGGILLTTYDIVRNNFKMIKGNFTNDFDDEEETLWNYVILDEGHIIKNPKTQRAQSLFEIPCVHRIVISGTPIQNNLKEMWALFYFCCPEVLGDKEQFKARYEHAIIQGNDKNATNRQKHIGSNVAKELRERIKPYFLRRMKNEVFLDSGTGEDKKLAKKNELIIWLKLTSCQRQLYEAFLNSELVHSSMQGSPLAAITILKKICDHPLLLTKKAAEGVLEGMDAMLNNQEMGMVEKMAMNLADMAHDDDDVELQVGQDVSCKLSFMMSLLQNLVSEGHNVLIFSQTRKMLNIIQEAIILEGYKFLRIDGTTKISERERIVKDFQEGPGAPIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQMKDVIVYRLMTSGTIEEKIYKLQVFKGALFRTATEHKEQTRYFSKRDIQELFSLPEQGFDVSLTQKQLQEEHGQQLVMDDSLRKHIQFLEQQGIAGVSHHSLLFSKTAILPTLNDNDGLDSSRRAMPMAKHYYKGASSDYVANGAAYAMKPKEFIARTYSPNSTSTESPEEIKAKINRLSQTLANTVLVAKLPDRGDKIRRQINELDEKLTVIESSPEPLERKGPTEAQTLKDWESLKAKEEEAGGRARGEGRKGSGLNSTPFRLNSTSSTLVVFKVPSTFTTGRGALAAAAANGRFVPMAPTSQPQPQQEVEVEVDEDEELVNMVVEAGVGAIKMKMKIPRRVLGSLYPHQRDGLAWLWALHCTATGGILADDMGLGKTIQVSALLAGLFHSNLIKRALIVAPKTDLTHWVNHLSLLGLQHHIRLYSGPSLNDRCYQLNCTFKEGGILLTSYHIVRNNYMLLRGNGNGNNVDNNEEEPLWDYVILDEGHIVKNTKTQRAQSLFQIPSAHRIVLTGTPIQNKLKEMWALFYFCCPDVLGDEDAFELRYEKPILCGNDKNATDQEKQMASNAAKELRERIKPHFLRRMKSEIFVDTGAKDDKRPPQKNELRRLYEAFLNKDPVRSQTGALKGSSLEASTILRKICDHPLLLTKRDTDDFLEEMGAMLNNRDMCMVERILEDNLYADKRLQIVQGASCKIAFILPLLRNLVEEGHYVLIFSQTRVMLNLIQDAVSIEGHKFLRIDGTTKISERKKILKDFQEGLDSPILLLTSHVGGLGNTLTKADRVIVVDPAWNPSIDNQSVDRAYRIGQTKDVIVYRLVTCGTIEEKIYKQQIFKGGLFRTATECKEQPQFYNQDLYLHNEQEYSSLPPHGFDASLTQHKMQVENGQQLVMDESLKKHIQFLEQQGIAGVNRHGVLFCKTETTATLGDDGAINRKVRDIMVRRCYAPWEHICRDVEKKSLIDQVKEMSKKMDGLGDTMGRIVALEEEYAAELIGMLHENRWERSHLEKIRMQIDDLHEEHMAKFDEMLERIKRMELADEGELIAKFGEMVERMRQRCDMDRLSLPLLSSTLVACSSACLLCVVCKRKAFGICIEFS >ORUFI04G32530.3 pep chromosome:OR_W1943:4:30416193:30429979:-1 gene:ORUFI04G32530 transcript:ORUFI04G32530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPFDICGDLDDDPTPPAPTPLAAPTPNGLNDRLLRLTRTHQRGPSQNPNPNPNPNPKPPPPPPPQEPEPAKVKLAGRRRLCKLSTAGDESAGDDDSIRDILDDLTTRLDSLSVDRPTARPRPHVSPLPCALHADPDPSQSQLNDGTKPSSSFVDCDDDDDDAGGAYGGFGVKEEVTRKVFKASSSSFGGRGNDDKMKAKGAYAFDTVSRKTTTESKASKFFGDYDDEDDIDQDAENGKENHADDVGWEKTEDFKMEPTGTGVTRKPYNLPGRIFNMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSCLIKRVLVVAPKTLLTHWTKELSVVGLKDKIRDYSGPNANARNYELKYAFKEGGILLTTYDIVRNNFKMIKGNFTNDFDDEEETLWNYVILDEGHIIKNPKTQRAQSLFEIPCVHRIVISGTPIQNNLKEMWALFYFCCPEVLGDKEQFKARYEHAIIQGNDKNATNRQKHIGSNVAKELRERIKPYFLRRMKNEVFLDSGTGEDKKLAKKNELIIWLKLTSCQRQLYEAFLNSELVHSSMQGSPLAAITILKKICDHPLLLTKKAAEGVLEGMDAMLNNQEMGMVEKMAMNLADMAHDDDDVELQVGQDVSCKLSFMMSLLQNLVSEGHNVLIFSQTRKMLNIIQEAIILEGYKFLRIDGTTKISERERIVKDFQEGPGAPIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQMKDVIVYRLMTSGTIEEKIYKLQVFKGALFRTATEHKEQTRYFSKRDIQELFSLPEQGFDVSLTQKQLQEEHGQQLVMDDSLRKHIQFLEQQGIAGVSHHSLLFSKTAILPTLNDNDGLDSSRRAMPMAKHYYKGASSDYVANGAAYAMKPKEFIARTYSPNSTSTESPEEIKAKINRLSQTLANTVLVAKLPDRGDKIRRQINELDEKLTVIESSPEPLERKGPTEAQTLKDWESLKAKEEEAGGRARGEGRKGSGLNSTPFRLNSTSSTLVVFKVPSTFTTGRGALAAAAANGRFVPMAPTSQPQPQQEVEVEVDEDEELVNMVVEAGVGAIKMKMKIPRRVLGSLYPHQRDGLAWLWALHCTATGGILADDMGLGKTIQVSALLAGLFHSNLIKRALIVAPKTDLTHWVNHLSLLGLQHHIRLYSGPSLNDRCYQLNCTFKEGGILLTSYHIVRNNYMLLRGNGNGNNVDNNEEEPLWDYVILDEGHIVKNTKTQRAQSLFQIPSAHRIVLTGTPIQNKLKEMWALFYFCCPDVLGDEDAFELRYEKPILCGNDKNATDQEKQMASNAAKELRERIKPHFLRRMKSEIFVDTGAKDDKRPPQKNELRRLYEAFLNKDPVRSQTGALKGSSLEASTILRKICDHPLLLTKRDTDDFLEEMGAMLNNRDMCMVERILEDNLYADKRLQIVQGASCKIAFILPLLRNLVEEGHYVLIFSQTRVMLNLIQDAVSIEGHKFLRIDGTTKISERKKILKDFQEGLDSPILLLTSHVGGLGNTLTKADRVIVVDPAWNPSIDNQSVDRAYRIGQTKDVIVYRLVTCGTIEEKIYKQQIFKGGLFRTATECKEQPQFYNQDLYLHNEQEYSSLPPHGFDASLTQHKMQVENGQQLVMDESLKKHIQFLEQQGIAGVNRHGVLFCKTETTATLGDDGAINRKVRDIMVRRCYAPWEHICRDVEKKSLIDQVKEMSKKMDGLGDTMGRIVALEEEYAAELIGMLHENRWERSHLEKIRMQIDDLHEEHMAKFDEMLERIKRMELADEGELIAKFGEMVERMRQRCDMDRLSLPLLSSTLVA >ORUFI04G32540.1 pep chromosome:OR_W1943:4:30432640:30436479:1 gene:ORUFI04G32540 transcript:ORUFI04G32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPMPILLLLLLLLPQGQGHGGAGDICIVGSGISGSSTAFFLTNYTTALSGAQLRVFERRAKVGGRLATVTVSGDHFEAGGSIIHPRNLHVRRFADLLGLEAKTDGDDDWLGIWDGHRFVFQTLRPLPPGTSWLRRKLHTLVNSLRLFKRYGLSLLKMDRFVQEMLQRFMLYYNGFESRPVFDTVEEMLKWSGLYGLTRRTLEAELLDAGLNSQTISELVTVITRINYGQSVSISGLAGAVSLAGSESGLWAVKGGNWQLAAGLLEAANATLHLQEGIDSIEYAGDHYILKSNKGLEYNCVATVVATPLDEVNITFSPPISIPARKTQHTHATFVRGILNPKFFGLSSVSDIPKLIGTMEVPEIPFSSISILKKYSEQDMTYKVFSRVKLNESLLDQIFSGRKETIRINWPAYPHYEAPEVFAPIILDGKQLYYVNTFESAASAMETGAVAAENVARLLIARLRLPLPSRPAAPAPDHHDQRADL >ORUFI04G32550.1 pep chromosome:OR_W1943:4:30436866:30440004:1 gene:ORUFI04G32550 transcript:ORUFI04G32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGPPRLLRGLIPQLLSVDAWLPCTCSSRLQLLLSHFHCRLHLRWPSCADAFKLLLALLLVSAALAEVRYIASSSMAPTLRPADRAVAERITYFFRRPSIGDIVFFKVPTTLQNYGVNKDVVFIKRILATPGDFIEVRQGQLIINGVARKEHYTASHASYTMEAMDPVLKPCMILQRLPEGHVFVMGDNRNNSCDSRAWGPLPISNIIGRYMMSFTRSSIQ >ORUFI04G32550.2 pep chromosome:OR_W1943:4:30436866:30440004:1 gene:ORUFI04G32550 transcript:ORUFI04G32550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGPPRLLRGLIPQLLSVDAWLPCTCSSRLQLLLSHFHCRLHLRWPSCADAFKLLLALLLVSAALAEVRYIASSSMAPTLRPADRAVAERITYFFRRPSIGDIVFFKVPTTLQNYGVNKDVVFIKRILATPGDFIEVRQGQLIINGVARKEHYTASHASYTMEAMRLPEGHVFVMGDNRNNSCDSRAWGPLPISNIIGRYMMSFTRSSIQ >ORUFI04G32560.1 pep chromosome:OR_W1943:4:30440178:30442710:-1 gene:ORUFI04G32560 transcript:ORUFI04G32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEARGGGGRWYAGGMSTADNIKGLLLALSSSLFIGASFIIKKKGLKKAASSSSALRAVVVGEVANFAAYAFAPAILVTPLGALSIIIRHVFHLRAILAHFMLREKLHIFGILGCILCVVGSTTIVLHAPAELVLAMVAILVCRFVPLYGQTHVMVYIGVCSLVGSISVMSVKALGIALKLTFCGTNQLIYPQTWAFTLVVLSCIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEACGFVTILSGTFLLHKTKDMADGLSTSSSFRLPTSSSFRFSKQTDEECEGIPLRSSESFRSPP >ORUFI04G32570.1 pep chromosome:OR_W1943:4:30443181:30443811:-1 gene:ORUFI04G32570 transcript:ORUFI04G32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARRVLEHPTPTDASSAAALPSGFYDAFVLRGIRVEAAEPGRLLCRFTVPSRLLNSGGFLHGGATASLIHLVASAVFHTTGNSSSSSSSTSPLEMNISYLDAAFPDEEIEIEAKVLRAGKAVGVALVDLKKKSGKLIAQARYSNYLAPSSKL >ORUFI04G32580.1 pep chromosome:OR_W1943:4:30444139:30444660:1 gene:ORUFI04G32580 transcript:ORUFI04G32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAFGSVFGEAKPPVAMRMRPILFHAHAYTNDRDDIGIGGSCSDFLDYLKSCLSSGEVNLLFPHNGLDRVHLVATKAKGLPRITISLNTLTHSALND >ORUFI04G32590.1 pep chromosome:OR_W1943:4:30451001:30454140:-1 gene:ORUFI04G32590 transcript:ORUFI04G32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSSTQAQSQPDFDYLFKLLLIGDSGVGKSSLLLRFTSDAFEDLSPTIGVDFKVKMVNTGGKKLKLAIWDTAGQERFRTLTSSYYRGAQVQIDFSVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIEFAREYGCLFLECSAKTKVNVEQCFEELVLKILDTPSLLADASSGAKKNIFKQKPPEADAAASSCC >ORUFI04G32600.1 pep chromosome:OR_W1943:4:30456378:30462986:-1 gene:ORUFI04G32600 transcript:ORUFI04G32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHQPLCTRAHQAAALLLVAATFFLTRLLDRSPLSAPPPPCAAPYSPWPHRDLRIYVYAEDEVDGLRALLRGRNDDVTAATCIKGQWGTQVKIHQLLLSSRFRTFDKDEADLFFVPTYVKCVRMTGKLNDKEINQTYVKVVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGISAFNTWKDIIIPGNVDDSMVKSDRLAVKPIPLTKRKYLANFLGRAQGKVGRLQLVKLAKQYPDKLESPELKLSGPDKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYTEVSIKWPASKIGPGLLEYLESIPDGRVEEMIGRGREIRCLWMYAADTERCSAMSAIMWELQRKVRRFHQSAETFWLHNRSIVNRDLVEFHEWRMPHHHPPSPLRGGAAFPSLADRHHQLLRPSMAKTSLPPGFRFHPTDVELTVYYLKRKLLGKHLRCNAVSELDLYKFAPWDLPEKSSLQSKDREWYFFCPRDRKYSSGSRTNRSTEAGYWKATGKDRPVIYNSQTVGMKRTLVFHLGKPPRGDRTDWVMYEYRLEDKELSASGVKLDACVLCKIFQKSGPGPKIGEQYGAPFNEDDWNEANGELSSFAFSVPPCALESSNGRLNTAGQQLAVSDNIGSSLDHCSETNDKIAVGGCGTTSPSVPFDTIHTQQLAEIISCFSTDLLNSVSRDGSLPDSTADYDNDNEVSSDDGEAIFNELDELDSQSDESISNHCNSCGEDLIPPTLEVLKTEQYLELNDLSFSLADDPDPCNLLLTTNLSDQNHPELETSSNRKVLRL >ORUFI04G32600.2 pep chromosome:OR_W1943:4:30456378:30462986:-1 gene:ORUFI04G32600 transcript:ORUFI04G32600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHQPLCTRAHQAAALLLVAATFFLTRLLDRSPLSAPPPPCAAPYSPWPHRDLRIYVYAEDEVDGLRALLRGRNDDVTAATCIKGQWGTQVKIHQLLLSSRFRTFDKDEADLFFVPTYVKCVRMTGKLNDKEINQTYVKVVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGISAFNTWKDIIIPGNVDDSMVKSDRLAVKPIPLTKRKYLANFLGRAQGKVGRLQLVKLAKQYPDKLESPELKLSGPDKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYTEVSIKWPASKIGPGLLEYLESIPDGRVEEMIGRGREIRCLWMYAADTERCSAMSAIMWELQRKVRRFHQSAETFWLHNRSIVNRDLVEFHEWRMPRFGGEEEEGRRRREPPASLRCAPPLSNSTSPLLLANTPASCVPFPSPLLSSQSLIPPLSYRIRISTTIHHLLSAAAPHFLPSPTATTSYRQRSILLSMAKTSLPPGFRFHPTDVELTVYYLKRKLLGKHLRCNAVSELDLYKFAPWDLPEKSSLQSKDREWYFFCPRDRKYSSGSRTNRSTEAGYWKATGKDRPVIYNSQTVGMKRTLVFHLGKPPRGDRTDWVMYEYRLEDKELSASGVKLDACVLCKIFQKSGPGPKIGEQYGAPFNEDDWNEANGELSSFAFSVPPCALESSNGRLNTAGQQLAVSDNIGSSLDHCSETNDKIAVGGCGTTSPSVPFDTIHTQQLAEIISCFSTDLLNSVSRDGSLPDSTADYDNDNEVSSDDGEAIFNELDELDSQSDESISNHCNSCGEDLIPPTLEVLKTEQYLELNDLSFSLADDPDPCNLLLTTNLSDQNHPELETSSNRKVLRL >ORUFI04G32600.3 pep chromosome:OR_W1943:4:30456378:30462986:-1 gene:ORUFI04G32600 transcript:ORUFI04G32600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHQPLCTRAHQAAALLLVAATFFLTRLLDRSPLSAPPPPCAAPYSPWPHRDLRIYVYAEDEVDGLRALLRGRNDDVTAATCIKGQWGTQVKIHQLLLSSRFRTFDKDEADLFFVPTYVKCVRMTGKLNDKEINQTYVKVVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGISAFNTWKDIIIPGNVDDSMVKSDRLAVKPIPLTKRKYLANFLGRAQGKVGRLQLVKLAKQYPDKLESPELKLSGPDKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYTEVSIKWPASKIGPGLLEYLESIPDGRVEEMIGRGREIRCLWMYAADTERCSAMSAIMWELQRKVRRFHQSAETFWLHNRSIVNRDLVEFHEWRMPYRQRSILLSMAKTSLPPGFRFHPTDVELTVYYLKRKLLGKHLRCNAVSELDLYKFAPWDLPEKSSLQSKDREWYFFCPRDRKYSSGSRTNRSTEAGYWKATGKDRPVIYNSQTVGMKRTLVFHLGKPPRGDRTDWVMYEYRLEDKELSASGVKLDACVLCKIFQKSGPGPKIGEQYGAPFNEDDWNEANGELSSFAFSVPPCALESSNGRLNTAGQQLAVSDNIGSSLDHCSETNDKIAVGGCGTTSPSVPFDTIHTQQLAEIISCFSTDLLNSVSRDGSLPDSTADYDNDNEVSSDDGEAIFNELDELDSQSDESISNHCNSCGEDLIPPTLEVLKTEQYLELNDLSFSLADDPDPCNLLLTTNLSDQNHPELETSSNRKVLRL >ORUFI04G32610.1 pep chromosome:OR_W1943:4:30478742:30479320:-1 gene:ORUFI04G32610 transcript:ORUFI04G32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGCCLLLVLLIAAGGRAAANSAAAVATTNNKPQQLVQSTCNSTTYYDVCVAALAADPSISTAADVRGLCAIAVSAAATNASAAGASLLASAAAYQSQPQAPLLRACAARYADARQALTSAQEAIKEEAYDYAFVHVSAAAQYPTMCRALFRRASSQQRAYPSDLAKREEGLRRLCTVVLDIISLLLLPY >ORUFI04G32620.1 pep chromosome:OR_W1943:4:30479365:30482705:1 gene:ORUFI04G32620 transcript:ORUFI04G32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSVRLRAQQFHGANLHKSARPPSSTSNHSDSLRHLATYDSTKGQEEEEPEEAADSTAATPLALPDQVLIVTDKEEAPGVDANAAPEPKPKLSLVAHVPNGVDWEHVAAGWPRWLTQVATEAVRGWLPRKSDSFHKLHKIGEGTYSSVYKAHDLENGKVVALKKVRFANMDPESVRFMAREIHVLRRLDHPHVVKLEGLVTSHMSSSLYLVFEYMEHDLAGLAATPGIKFTEPQVKCYMQQLLSGLDHCHSHGVLHRDIKGANLLLDNNGTLKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGRPIMPGRTEAEQLHKIFKLCGSPSEEFWASLKLSRATVFKPQHLYHRCVNNVYKGFSSSALELLDQLLAVDPSSRGTAASALESED >ORUFI04G32620.2 pep chromosome:OR_W1943:4:30479691:30482705:1 gene:ORUFI04G32620 transcript:ORUFI04G32620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVGSKQFHGANLHKSARPPSSTSNHSDSLRHLATYDSTKGQEEEEPEEAADSTAATPLALPDQVLIVTDKEEAPGVDANAAPEPKPKLSLVAHVPNGVDWEHVAAGWPRWLTQVATEAVRGWLPRKSDSFHKLHKIGEGTYSSVYKAHDLENGKVVALKKVRFANMDPESVRFMAREIHVLRRLDHPHVVKLEGLVTSHMSSSLYLVFEYMEHDLAGLAATPGIKFTEPQVKCYMQQLLSGLDHCHSHGVLHRDIKGANLLLDNNGTLKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGRPIMPGRTEAEQLHKIFKLCGSPSEEFWASLKLSRATVFKPQHLYHRCVNNVYKGFSSSALELLDQLLAVDPSSRGTAASALESED >ORUFI04G32620.3 pep chromosome:OR_W1943:4:30479691:30482705:1 gene:ORUFI04G32620 transcript:ORUFI04G32620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVGSKQFHGANLHKSARPPSSTSNHSDSLRHLATYDSTKGQEEEEPEEAADSTAATPLALPDQVLIVTDKEEAPGVDANAAPEPKPKLSLVAHVPNGVDWEHVAAGWPRWLTQVATEAVRGWLPRKSDSFHKLHKIGEGTYSSVYKAHDLENGKVVALKKVRFANMDPESVRFMAREIHVLRRLDHPHVVKLEGLVKCYMQQLLSGLDHCHSHGVLHRDIKGANLLLDNNGTLKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGRPIMPGRTEAEQLHKIFKLCGSPSEEFWASLKLSRATVFKPQHLYHRCVNNVYKGFSSSALELLDQLLAVDPSSRGTAASALESED >ORUFI04G32630.1 pep chromosome:OR_W1943:4:30486460:30490755:-1 gene:ORUFI04G32630 transcript:ORUFI04G32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSNTERARKALEAMKQLGFSKKEATPVLKNLLRLFGNNWEPIEDECYRALADAILDRHQVSLSPKPSSFFFGLHFDSTYLAAGVLQETAADRGCSATRPTPDDDHHPLTLCGASRDVDTETDEPRTKKPRATNSDPQSPPSLTDDQDVPAAISPPSHGASPQFRPQTRASARLRQASPSSVTAAHKRPRQMMDEDFQDSAFLREPKPEPDIDMDAIQGTAATSDCPNAHLGLIDYPLNASSSRVALPLALLPPDQNVPQISGPKKRAIQPCSKVNTGEGSSVIDVASSTMGEVKMSLKCSVDPKFRMPSLEAVFKMVEDKYLHSYKILPPEFSIGSLMNEICQCVVQLGSDHIAEHNTESDVAGNGRCSQNEPMTGSIPFVKPIACEDGGNRKCKSAGESFIVEDSENSSVAKQQTHLALANLKPIHDVTDISKGEERVRISVANEFASEKCPPSFYYIRGNLVFQNAYVNISVARIGDEDCCADCFGNCLSAPIPCACTRETGGEYVYTPEGLVRTPFLDECVSMNRFPEKCHKFFCKSSCPLERSRNEASPEPCRGHLARKFIKECWSKCGCNMQCGNRVVQRGITCNLQVFFTGEGKGWGLRTLDELPKGAFVCEYVGEVLTSTELHERTLQNMNNGRHTYPVLLDADWGSEGVLKDEEALSLDSTFYGNVGRFINHRCYDANLVEIPVEVETPDHHYYHLAFFTTKKVEAFEELTWDYGIDFGDGKDPVKAFQCLCGSRYCRGIRHPRKRGKAAAK >ORUFI04G32640.1 pep chromosome:OR_W1943:4:30493046:30497068:-1 gene:ORUFI04G32640 transcript:ORUFI04G32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLSTAVSSLLLLLLLAAAISVSSSPPMPEDSIRVISAEKRIDLTSPIVKVFLTLKLENDATAPEASQVLLAFTPTEVEHLAIVKATRAEGKRKKKIYVPLSVKASDLAAAPNGARLLPTSKCRAIGWSPLQGWTPPVELEFVRKVEISHWGNVQITEQYKLKHGGAQHKGVFSRLEYQSRPSISGVPSFKNLLARLPPRVHSVYYRDEIGNISSSHLRSDSHKSELEIEPRYPLFGGWHCTFTIGYGLPLQDFLFESDDGRRYINLTFGCPLLDTVVDDLTIKVVLPEGSTSPQAVVPFLTEQYLETSYSYLDVVGRTTVVLKKRNVVGEHNVPFQVYYEFNPIFMLAEPLMLISAVFLFFVACIAYLHMDLSIGKS >ORUFI04G32640.2 pep chromosome:OR_W1943:4:30493046:30497068:-1 gene:ORUFI04G32640 transcript:ORUFI04G32640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLSTAVSSLLLLLLLAAAISVSSSPPMPEDSIRVISAEKRIDLTSPIVKVFLTLKLENDATAPEASQVLLAFTPTEVEHLAIVKATRAEGKRKKKIYVPLSVKASDLAAAPNGARLYSILLSTPLKPAEVTTLEVFYALTHSLEPFPAEITQSDPQLVYYRDSAVLLSPYHVLEQVTYIKMPSNRVESFTRVDPTSRAGNEVKYGAYNNQLPNSYVPILVHYENNRPFAVVEEFVRKVEISHWGNVQITEQYKLKHGGAQHKGVFSRLEYQSRPSISGVPSFKNLLARLPPRVHSVYYRDEIGNISSSHLRSDSHKSELEIEPRYPLFGGWHCTFTIGYGLPLQDFLFESDDGRRYINLTFGCPLLDTVVDDLTIKVVLPEGSTSPQAVVPFLTEQYLETSYSYLDVVGRTTVVLKKRNVVGEHNVPFQVYYEFNPIFMLAEPLMLISAVFLFFVACIAYLHMDLSIGKS >ORUFI04G32650.1 pep chromosome:OR_W1943:4:30500467:30500954:-1 gene:ORUFI04G32650 transcript:ORUFI04G32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGAAPVGNAASGKKIFRTKCAQCHTVERGGAHMQGPNLHGLFGRQSGTTPGYAYSTANKNMAVVWEEGTLYDYLLNPKKYIPGTKMVFPGLKKPQERTDLIAYLKESTA >ORUFI04G32660.1 pep chromosome:OR_W1943:4:30504831:30508134:-1 gene:ORUFI04G32660 transcript:ORUFI04G32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFTTKPHACDPSSLPKYPPSKEYDAKLRDEETRRKRMIALKGQNNETRRRKQPQSGNGDLQQRRAQANRKGTRMDDGIRGFRIDPPARVGENGIAQRVPLLYAGRSSSTLGRSNETDEKTQRFYTSQMPNLSCAAEPRGSATRSSNHGDGAKRPHLREHPSRSRYRQLTAVDSSGRSEWTHQFQERPSSSHRKEGGAANKEHTVVSQLYTI >ORUFI04G32670.1 pep chromosome:OR_W1943:4:30509370:30510191:-1 gene:ORUFI04G32670 transcript:ORUFI04G32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMDAFGSVFGEAKPPVTMRMRPVLFHAHAHAHAHTDDVSQLRLLATDLHSLAWDRSLSLSNIDDLVSPFSFQSLISINLTNRIRDDVGIGSSCSDFLDYLKSCLSSREVNLIFPHNGLDRVHLVATKAKGLPRITISLNTLTHSALNDVIANFSLSLYAAFRTTQDYTSREQERASKLMETLSSEKVVSLIPLLLYLSLTDVSAA >ORUFI04G32680.1 pep chromosome:OR_W1943:4:30510335:30515539:1 gene:ORUFI04G32680 transcript:ORUFI04G32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPEQTHDSTSASHAPRRPRPSPSAASSTAEAPPPSRRRCDPRHPRPPTREEDVTPRHHRRGAASSPPSLRPLACPLIVTTAKEEYTPTACADSSPSFSSGLSATTTRKETPPPLLIPAAISSEASSPSGQGRRLVVANLPLTGSVAAGSSSGYIGRLQPGRECEELCCKILRKMSKNKSTVEPEKIFFLPAPALASSPQGEGMNNVRPSMVSFQDSLFLCDMLYC >ORUFI04G32680.2 pep chromosome:OR_W1943:4:30510963:30515539:1 gene:ORUFI04G32680 transcript:ORUFI04G32680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRNKHDVGIGGSCSDFLDYLKSCLSSGEVNLLFPHNGLDRVHLVATKAKGLPRITISLNTLTHSALNDSRQLNLKRSSFSQHQH >ORUFI04G32690.1 pep chromosome:OR_W1943:4:30516336:30519858:-1 gene:ORUFI04G32690 transcript:ORUFI04G32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIWSREEDEDEQQQQQHPTTTTTRHVLRNVSCRARPGELLAIVGPSGAGKSTLLEILAGRLHPSTPPDQDQLLLNGAPATTADLRRVSAYVTQRDVLFPLLTRDVLFPLLTVRETLSFSARLRLGARNDIDARVDALIHDLTLARVADTRVKDLSGGERRRRDVLFPLLTVHETLRFSARLRLGTRNRIDIDALIHDLILARVADTRVKDLSGGERRRVSIGRSRTSPAASDAASPSAVHDPAVLILDEPTSGLDSASALQIVGTLRAMADTRRRTVLLSIHQPGARIVKMVKDLSGGERRRVSIGVEAVHDPAVLILDEPTSGLDSASALHIVGALRAMADTRRRTVLLSIHQPGARIVKIIHQPGARIVKMFDSVLLLSGGCALHHGTVDALRSLLASAGLALPPHVDTVEFSIDSVDALRRRSAAEARDRCTLQQLFQLHKEDVEMEMEMEIEKKSRYANSWAREVGVLAQRFFKNVARTRQLFACRTVCMLVAGLALGSIFYDLGEEKVAERVGLFAFLLTFLLSSTTEALPIFLQEREILAKETSSGAYRVSSYAVANAVVFLPFQLALAVVFAAPVYWMAGLRRTAAAFGYFVVLVWLILYTANSVVVCFAAAAPDFVVGNAAIQGVMGSFFLFSGYFIARSAMPSCWVFMHYLSLFKWPFEALLVNEFAGGGRCVARVMGACVATGDEVLRREGLGDECRWRNVGVMLGFVAAYRLLGYAVLRARCTLALRPRPSRPTRGLMSTGSSPPTRGLMSTGSSPSSTSSSS >ORUFI05G00010.1 pep chromosome:OR_W1943:5:10682:11164:-1 gene:ORUFI05G00010 transcript:ORUFI05G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKHDVDRQLRYSARRSFTRAGRRTPARDDDGGAPPFPGYMASMASAKAKFWSMSMYAQGALERSNAGAVYAYSEQCFPFADCLLPPIPSMSPIPSIASDIVFARLSRPAVAQRSPRVKGPMTMTRSRSEGRQDATASASRPRCTTCRWSSTPLSGEEK >ORUFI05G00020.1 pep chromosome:OR_W1943:5:28868:31982:1 gene:ORUFI05G00020 transcript:ORUFI05G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIETISSLADADDVLENRGINQVEGINQVQFRLDEQISLVAATEVKVRTRPGRLGFRLLNPELMDCKFQTKVKLDEAYERMFTECMIECDQELVPLEAHIAELKRLLLLPNNEIEDIGPDIMQRGRGLQQVLYLHPPFPLYPEYEYHPPPQPQIPYQPAYATAKERENARSRDRRAQRAWWHANLTLLETKKKILEGKRIDLERGLRSEMRKALESQSDLGAGYTNYHFRHR >ORUFI05G00030.1 pep chromosome:OR_W1943:5:34490:42754:-1 gene:ORUFI05G00030 transcript:ORUFI05G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRSDGPGQARRSKEEVSSFHSISPVSSSSSVRLSRALLLLQYTTSWPSSLTSKEGRKGERRSILYSSQDTRIGVVRRRIEVRVCGRADPGGRGHKGIRLPWSVDGWVMKAAPTASQHLKRPNLARSDPSPMPAPAPAPAPSQQGQGPSQNQKLTTNDALLYLKAVKDKFQDKRDKYDEFLEVMRDFKSGRIDTAGVIIRVKTLFNGHHELILGFNAFLPKGFAIKLQDLEKKPVDFMEAINFVNKIKARFQQEDHVYKSFLGILNMYRLHNKSIQDVYGEVAALFRDYPDLLEEFKHFLPDTSTAPEPVTVPRGVSSRHDDRGPLMPSARNAQIIKRERAYPSTVDRDFSIDRPDPEDDPHRRRVDKGRDGKVDRSRKDYETDVKDVEYDSKDLDGGQRKRKLARKMDGALADTQQGGVSTSTSPYDDKDALKSAYTKEFRFCEKVKEKLEPEAYQEFLKCLHIYSQEIITRSELKNLVNDILQHYPDLMNGFNDFLEQCENIGQTSQIVKIVEKGSALNSKEGATHKATTFSSKDKYNLCKPISELDLSNCQRCTPSYRLLPKNYPMPPASCRTDLGASVLNDLWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVIVAIKRVEELIEKMQDNSIKPDSPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKALLAAIKEVNEKKRKEDDMLLTIASGNRRPIVPNMSFEYVDPEIHEDLYQIIKYSCGEVCSSSDQVDKVMKIWATFLEPILGVHPRGHGVEDEKHNSRSTKAGPANVEINNASTNGTVTVKHAHSDEIVPKEQASCSRAILVGGVAADAQNSLQDAERTVCRDEERPKTMLDRRLQNTTPAVDVVPAVYAQNISTERSVESSHLSRPEQNHSRANMEVKPGINACSATPAGGEVVSEAKGGNEAIMGSGEIRIPGSFNSKDNKHCPINEYCGSHNHSKVEREEGELSPNGDVGENFGPFDGVSVDGVSKAKEDSTRRLLQGRPMDATEFAGENDVDADDEGEESAQMMEDSENASEAGEDASGSESGDGEECSREDHEDEDDMDQDDPDAKAESEGEAAENTEAQDADAGISLPFSERSHNAVKPLAKHVPRALNDHEEKFSCIFYGNDSFYVLFRLHQILYERILSAKTNSSSAEKKWKASKDTNLPDQYSKFMSALYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVLQAIASDEMDNKLLQLYIYEKSRSPGRFFDLVYHENARVLLHDESIYRFERRSNPTRLSIQLMEYGHEKPEVTAVSIDPNFSSYLYNEYLSSISNTKLYDDIFLGRNKRKRGGNDDSQASLKAIDAFMVTNGLECKISCKSSKVSYVLDTEDFLFHIRKRRVSSSGTIPEKADFVKAYAVKPLYQIRDADVLRKLPCEP >ORUFI05G00030.2 pep chromosome:OR_W1943:5:34490:42754:-1 gene:ORUFI05G00030 transcript:ORUFI05G00030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRSDGPGQARRSKEEVSSFHSISPVSSSSSVRLSRALLLLQYTTSWPSSLTSKEGRKGERRSILYSSQDTRIGVVRRRIEVRVCGRADPGGRGHKGIRLPWSVDGWVMKAAPTASQHLKRPNLARSDPSPMPAPAPAPAPSQQGQGPSQNQKLTTNDALLYLKAVKDKFQDKRDKYDEFLEVMRDFKSGRIDTAGVIIRVKTLFNGHHELILGFNAFLPKGFAIKLQDLEKKPVDFMEAINFVNKIKARFQQEDHVYKSFLGILNMYRLHNKSIQDVYGEVAALFRDYPDLLEEFKHFLPDTSTAPEPVTVPRGVSSRHDDRGPLMPSARNAQIIKDDPHRRRVDKGRDGKVDRSRKDYETDVKDVEYDSKDLDGGQRKRKLARKMDGALADTQQGAYTKEFRFCEKVKEKLEPEAYQEFLKCLHIYSQEIITRSELKNLVNDILQHYPDLMNGFNDFLEQCENIGQTSQIVKIVEKGSALNSKEGATHKATTFSSKDKYNLCKPISELDLSNCQRCTPSYRLLPKNYPMPPASCRTDLGASVLNDLWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVIVAIKRVEELIEKMQDNSIKPDSPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKALLAAIKEVNEKKRKEDDMLLTIASGNRRPIVPNMSFEYVDPEIHEDLYQIIKYSCGEVCSSSDQVDKVMKIWATFLEPILGVHPRGHGVEDEKHNSRSTKAGPANVEINNASTNGTVTVKHAHSDEIVPKEQASCSRAILVGGVAADAQNSLQDAERTVCRDEERPKTMLDRRLQNTTPAVDVVPAVYAQNISTERSVESSHLSRPEQNHSRANMEVKPGINACSATPAGGEVVSEAKGGNEAIMGSGEIRIPGSFNSKDNKHCPINEYCGSHNHSKVEREEGELSPNGDVGENFGPFDGVSVDGVSKAKEDSTRRLLQGRPMDATEFAGENDVDADDEGEESAQMMEDSENASEAGEDASGSESGDGEECSREDHEDEDDMDQDDPDAKAESEGEAAENTEAQDADAGISLPFSERSHNAVKPLAKHVPRALNDHEEKFSCIFYGNDSFYVLFRLHQILYERILSAKTNSSSAEKKWKASKDTNLPDQYSKFMSALYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVLQAIASDEMDNKLLQLYIYEKSRSPGRFFDLVYHENARVLLHDESIYRFERRSNPTRLSIQLMEYGHEKPEVTAVSIDPNFSSYLYNEYLSSISNTKLYDDIFLGRNKRKRGGNDDSQASLKAIDAFMVTNGLECKISCKSSKVSYVLDTEDFLFHIRKRRVSSSGTIPEKADFVKAYAVKPLYQIRDADVLRKLPCEP >ORUFI05G00040.1 pep chromosome:OR_W1943:5:54313:62015:1 gene:ORUFI05G00040 transcript:ORUFI05G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATGGGDGRRRGRRRSKMRLSRLYSFACGRRPTAVDDESSSRIGGPGFTRVVNANGGGGIPEYGYRSNSVSTTKYNVVTFVPKSLLEQFRRVANIYFLISACLTYTNLAPYTSASAVAPLVLVLLATMVKEAIEDWRRKQQDTEVNNRKTKVLQDGAFHSTKWMNLQVGDIVKVEKDEFFPADLILLSSSYEDAICYVETMNLDGETNLKLKQSLEASSGLQEDDSFNSFRAVIRCEDPNPHLYSFVGNIEIEEQYPLSPQQILLRDSKLRNTEYVYGVVIFTGHDTKVMQNAMKAPSKRSKIERKMDRIIYLLLSALVLISVIGSVFFGIATRDDLQDGRPKRWYLRPDDSTIYFKPTKAAISAILHFFTAMMLYGNFIPISLYISIEIVKLLQALFINQDIHMYHEETDTPAHARTSNLNEELGQVDTILTDKTGTLTCNSMEFIKCSIAGTAYGRGITEVERAMAKRKGSPLIADMASNTQGSQAAIKGFNFTDERVMNGNWVSQPHSGVIQMFLRLLAVCHTCIPEVDEESGTISYEAESPDEAAFVVAARELGFTFYQRTQTGVFLHELDPSSGKQVDRSYKLLHVLEFNSARKRMSVIVRNEEGKIFLFSKGADSVMFERLSSSDCAYREVTQDHINEYADAGLRTLVLAYRQLDEAEYANFDRKFTAAKNSVSADRDEMIEEAADLLERKLILLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMTQITITLEQPDIIALEKGGGDKAAVAKASKENVVKQINEGKKRIDGSVVGEAFALIIDGKSLTYALEEDAKGALMDLAVGCKSVICCRSSPKQKALVTRLVKESTGKVSLAIGDGANDVGMIQEADIGVGISGAEGMQAVMASDVSIAQFRFLERLLLVHGHWCYSRISAMICYFFYKNITFGVTLFLYEAYTSFSGQTFYNDWALSTYNVFFTSLPVIAMGVFDQDVSARFCLRYPMLYQEGPQNLLFRWSRLLGWMAYGVASGVIIFFLTSAALQHQAFRRGGEVVDLAILSGTAYTCVVWAVNAQMTVTANYFTLVQHACIWGSVALWYVFLLAYGAITPAFSTNYFMLFTDGLAAAPSYWVVTLLVPAAALLPYFTYSAAKTRFFPDYHNKIQWLQHRGSNADDPEFGHALRQFSVRSTGVGVSARRDARDLHLPPPSQSHSHSQTTST >ORUFI05G00050.1 pep chromosome:OR_W1943:5:60486:63787:-1 gene:ORUFI05G00050 transcript:ORUFI05G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSKSAAASPSSSSSPSVALGLAATTPTTSPLPLAPAAAASSSNPNATPADTTPTSPPPASPPLPSATPPLAASPPPPPPPPPPRNSPSPPKPPSQAAQSPLPPTTTTTTPPTAPVPAAAPPPTAPSPYSAPSPTPTPTHTQPRPSPPLLPTPATAADPANPNKARHPSSNKSSSPAAPRRTNSSSSPPNLAIAVGAVLAILVLSLLGAAIWYTTKKKKKQRRRDNGYRAGFMSPTSPLSSHHPSSGSGASANVGSSLDPSFKTNYSAGSPKLKACMSDISVGNSRFFTYQELYQITDAFSAHNLLGEGGFGSVYKGHLPDGKQVAVKQLKDGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISNNQRLLVYDFVPNNTLHYHLHGQGRPVLDWSARVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAHVADFGLARLALDAVTHVTTRVMGTFGYMAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASRPLGDESLVEWARPLLTQAIETGNLEELVDPRLERNFNEAEMFRMIEAAAACVRYSASRRPRMSQVVRALDSLADIDLTNGVQPGQSELFNVANTAEVRMFQRMVLGNHDDSSDMSQYGWSSSRQ >ORUFI05G00060.1 pep chromosome:OR_W1943:5:65556:67547:-1 gene:ORUFI05G00060 transcript:ORUFI05G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGERGGPRARGRKRRGARQTEGDGYSLRCCGSPMADSGARRQPSFTKVDQLRPGTHGHNLLLKVVDSKMVLQRGGGPQGRHMRIAECLVGDETGIIVFTARNDQVDVMKAGASVDLRNAKIDMFKGSMRLAVDKWGIVKAAESPADFTVKEDNNMSLIEFELVTVVE >ORUFI05G00060.2 pep chromosome:OR_W1943:5:67134:67589:-1 gene:ORUFI05G00060 transcript:ORUFI05G00060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGERGGPRARGRKRRGARQTEGDGYSLRCCDPARLWIRSGQIRSDPIRRDPHLTSPDLT >ORUFI05G00060.3 pep chromosome:OR_W1943:5:65556:67093:-1 gene:ORUFI05G00060 transcript:ORUFI05G00060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGARRQPSFTKVDQLRPGTHGHNLLLKVVDSKMVLQRGGGPQGRHMRIAECLVGDETGIIVFTARNDQVDVMKAGASVDLRNAKIDMFKGSMRLAVDKWGIVKAAESPADFTVKEDNNMSLIEFELVTVVE >ORUFI05G00070.1 pep chromosome:OR_W1943:5:69828:77603:-1 gene:ORUFI05G00070 transcript:ORUFI05G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADELGRRLAAVAVSDSDSANNNLFQVMRAVEDAEATIRQQLEENSRLKDELMLKTRELDRFRSEATKPTSLADTFSTSPHGTSTCFGTTSSSLNSRTALADQHNGLFHHTDQMLHDAIKLKYLDTDQSNGMPRKLSGEQSAPESRVPSHLSTPSSRSLSPTRHRKGEYDPKFNLPGQCLLPASEANSTIMWKQDLLAKVKEHEEEIAQLRRHLADYSVKEAQILNEKHVLEKRIAYMRMAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAHQERSTFVSSLLPLLTEYNLQPSVLDAQSIVSNLKVLFKHLQEKLAITEEKLKESQYQLTPWRAESSNSTNIPVQAPSHPPGNAIVTTSKANLDIVPQQAYSHVQSPMSSPVRARRDWDLLGNENHQAIPSEVTAVNTEHENVGTTSPSSSNQIKKDVVAQGTEHDSRAVRFNFESKNQNPSFKDLVRNDVPENLEGAETHISQEPPAQWGPEGSPNLASGVDDANPPYPYLPTVLEEPSSSFSEAADDDPLPAIEGLRITGEAFPGRELQASGYSINGTTSCNFEWVRHLEDGSVNYIEGAKQPSYLVTADDVDSLLAIEVQPLDDRKRKGEIVKVYANEQKKITCDPETKELIKKILSIGHVSYEVLLPVRFLDMWEPAVLAIKREGYSIKCNGQRGVVITEKFQQATAINIPYGRPTEFSILAADGAEYNLKPAENAPSRDTIVLILRLFRMKAVEKSKGRRKGIFFK >ORUFI05G00080.1 pep chromosome:OR_W1943:5:80207:82253:-1 gene:ORUFI05G00080 transcript:ORUFI05G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLEQVARAVAEAVVRMTAEVSASSLAAVVVVLLVASGYLEIKRRCGALPAAADRAAAPPQDDDTMSMMTREEAAAQEDSNCSASALAQCNSICCSLSASTFRSGGSRNDDDNHSDVSTGRDPILWAVSPHTQRCAKFEPQLSPPSQSHLAVTNSSSQRLLESGSSLISPLAFAPITTHVDVIDVGTHTGNSFTLMLCWTRQSYMNTKRLELEATMS >ORUFI05G00090.1 pep chromosome:OR_W1943:5:85937:90734:1 gene:ORUFI05G00090 transcript:ORUFI05G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDPNPTTTPPSQQLQGVVAGADPQPQSQQDAAANATPAAVAVREDYVQNAVKFLSHPKVRGSPVLYRRSFLEKKGLTKDEIDEAFRRVPDPQPSATATAAASPSPSQHPNNQNHSSTVVQPYAPRQPATPAGSIIVATQPKFSWYRAFVAAGLLLGFGVSAAVFVKKLLLPRLKSWIRKVVAEGDENEGRQIKSKIDEETAEAVKASASAVSAIAKTNQELLASKDEEKKILVTLTQALDSQAKELKSLCESLNHSRDSINITREDRFSQYRALEEHAPSAARNGPVNTPWRASQQTNMYGVPNSDFGSGRPSFASTHNEATPGSFSRSYVETSAAHRGDNRSSGSKPWEMQQYSQQRIGYGSNSQLSDDGCPETQDNYGGGPSYSYHQNQNQNGKAPAPDIQAEEARPSVYISGAEERSPPPPPQRRWVPPQPPGVVMPEAVAAIRQPKSLAKQPSSEASQEAAGETHANGASSSSPLPEEALVNGSDAGRSEIEEQAEAI >ORUFI05G00100.1 pep chromosome:OR_W1943:5:90419:91146:-1 gene:ORUFI05G00100 transcript:ORUFI05G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISMEKNLEKRSRKRSRYLSPPYTFPFTTVTVQDDVSVSDSDQSEDLTNVAVADMLSALHAAALLDMDAANVHLLRRFFTLHKTTSPSSSSTRINAQAEFNPSSSRQKEEETTSKTKKKKKKEAAAAASTPTTTIRLPLTDVRNNLQKMISSLLGRSPTATATASHDHGAKLALAGEMRGLLAKVDKMLSATTPANRH >ORUFI05G00110.1 pep chromosome:OR_W1943:5:92013:94140:-1 gene:ORUFI05G00110 transcript:ORUFI05G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLCSFTPATRAPLLRTSSSSSSLGFATSQLAGLSLGLSAAATTAPSAAGPKLHPILARRICPFTDKKTNRANKVSFSNHKTKKQQFVNLQYKKLWWEEGKRFVKLRLSTKALKTIEKHGLDAVAKKAGIDLNKK >ORUFI05G00120.1 pep chromosome:OR_W1943:5:95205:95763:-1 gene:ORUFI05G00120 transcript:ORUFI05G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEEKRKHSRRSLVGPPHTNTGRTDNNPPHRLREGPLPVFLAIKEERINSTSSRVTSLIAPSARDGWAEVKEAVLEDGKEK >ORUFI05G00130.1 pep chromosome:OR_W1943:5:96060:98345:-1 gene:ORUFI05G00130 transcript:ORUFI05G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAAGAWWVVVLLLLVLTIVASWYRSWWKTTEAGGPLLPPPAAGAGPWWVWVWQWRETAAFLASHGSGRGFYHFVQERYKLYKGEGEGEATCCFRTALMGRVHVFVSASHPAASQLLTAEPPHLPKRYARTAADLLGPHSILCSTSHAHHRHARRALATTLFATPSTAAFAAAFDRLVIRHWTTLLPPHNQNQVVVVLDAALHISYRAICEMLLGAGGGKLRPLQSDVFAVTQAMLALPLRWLPGTRFRRGLHARKRIMAALREEMAARNHHHHHHHHHHDLLSVLMQRRQLGHPDALTEDQILDNMLTLIIAGQVTTATAITWMVKYLSDNRLIQDKLRAEAFRLELKGDYSLTMQHLNAMDYAYKAVKESLRMATIVSWFPRVALKDCQVAGFHIKKDWIVNIDARSLHYDPDVFDNPTVFDPSRFDEEGEGDDAKLGRAQPQKRRLLVFGAGGRTCLGMNHAKIMMLIFLHRLLTNFRWEMADDDPSLEKWAMFPRLKNGCPILLTPIHNS >ORUFI05G00140.1 pep chromosome:OR_W1943:5:105592:106689:-1 gene:ORUFI05G00140 transcript:ORUFI05G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVASQEAGGVVVVGMNSGDAGELSYANNSDMQRTIAAATRKERQEMAAAVRRGRRQARAIAIADLGCATGPNALLMAGDAVEAMLGDAERQQEAAPAEFHVFLNDLPSNDFNSVFRQKQKLVVPSNNANSSRCLVSAWPGSFYGRVFPADSLDYVVSSSSLHFLSRAPADAAPNEGRMYVSASSSSSSSSRVLHAYRAQFQADFRLFLSCRAEEVRRGGVLLLTFVARREAVPSPHDCHLWDLLAEAAADDRRLVDSFDAPFYGPCPEELREAIREEGSFQVTRMELFEVSRSRSCQSQADLDQLAAQTSSTIRAVVEPMLGPHFGWDAMDALFRRYTHLLHNYYRHNNDQLTNVFLALHKI >ORUFI05G00150.1 pep chromosome:OR_W1943:5:147294:148052:1 gene:ORUFI05G00150 transcript:ORUFI05G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPEMVFFDVETTAASADEGQRSVLEFGAIVVCPRRLVEVDSYHTVIRPGDMSAVSKRFAAMVDVDVASAPSFDQVAERIFGVLDGRVWAGHNIQRFDCHRIREAFAAIGRAAPEPVAIVDSLNVLAHDFGRRAGDLKMATLASYFGIGKQSHRSLDDARMNLEVLKRCATLLLLESTLPPGMLHSSAAGSITRKRSNHQEEPSSSSLVNVTPSKRKQRQGSGGKIRPKAATTTPKPCFHMILRHSRTILR >ORUFI05G00160.1 pep chromosome:OR_W1943:5:149031:150841:-1 gene:ORUFI05G00160 transcript:ORUFI05G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRGSLLSSQVPTQQTNSDGEIIFYFFVFVSGSHPHRGRSGGVPAAVEEEEEDEEAAASSRSLLHTTLKRARGMEHYSTKFSNGGGRLVDPMPDRRSRFWQMDSQPTELICPMPRRPSPRPPFLSDSINRTLPVYRADSASDVLDLILSKNDPDVDTDSSTQVGFFCGSPPVRANNPIVHDPQFGKNIPSFSPLGSSFGKKSAGRAEVGSPSCGASSPKVRIEGFACGNKEPHCAVTFA >ORUFI05G00170.1 pep chromosome:OR_W1943:5:154076:159139:1 gene:ORUFI05G00170 transcript:ORUFI05G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPCDGDGVCMVCRVASPPEVDLLRCSTCATPWHSPCLSKPPALADAAQWSCPDCSGDSTDAPPPAPAPLAPGSAGQLVAAIRAIECDATLSDQEKARRRQELLGGAAPAGADADDDEGDDVLEVIGKNFSCAFCMKLPERPVTTPCGHNFCLKCFQKWIHSGKRTCGKCRAQIPAKMAEQPRINSALVSVIRMAKVSKNANSAVSAAAYHYIRNDDRPDKAFTTERAKRAGKANASSGQIFVTIPPDHFGPILAENDPKRSIGVLVGDTWEDRLECRQWGAHFPHVAGIAGQSTHGAQSVALSGGYVDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSSDQKFEKLNAALRISCLKGYPVRVVRSHKEKRSSYAPEAGVRYDGVYRIEKCWRKISVQGKFKVCRYLFVRCDNEPAPWTSDIYGDRPRPLPKVDELKGATDISERKGTPSWDFDEKEGWKWVKPPPISRKPNLSGDPATDKEIRRVARRAQMSVTERLLKEFGCSICKQVMKEPLTTPCAHNFCKLCLVGTYGSQSSMRERSRGGRTLRAQKIVKKCPSCPTDICDFLENPQINREMMDLIESLQRKAVEEGDTKTSSDVSNGAESSGDDGNNEALEKGEDDSSLKDDGSLKDDGKVVKAVVVIKEEDLQPKKSKGEDEKEQGDKKMDSADVVDIAVEKKQATKRASEKAEKKQARKRKGDAVATNDGKRMKTGGDAMETAAEEDAPLSGGTPVKRNSRKSSEVDAKGGGGSPVVSSPRRVTRSNAKASGEADGSPATRTRRATRAEA >ORUFI05G00180.1 pep chromosome:OR_W1943:5:159823:165366:-1 gene:ORUFI05G00180 transcript:ORUFI05G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASGAIDRRHLSPFTPTSDDSSSSFFSQDLVPTERQVGFWNSESMVDHKGSKSVFASPLEKIQPNGANHAGDPETPGGQAFKGLDILSLSNLMRQENASGSPSLSWGEILTNPISRLGLSTRETAFVEPTTADQHVPGYGKGLSSSSLSEVFSGKSREIVSGVLCQSTGTHTSIYDSIADGFEFTGMSTNQDDADEDIFCTGGGMELENNDSVKGDKVQDGSFKSQISSGHSINKQPSRTLVVRNITANIEDSDLTVLFQQYGDIRMLYTSFKHHGFVTVSYYDIRAAQNAMRALHSKPLGLMKLDVQFSFPKENVPGKDIDKGMLVVSNIDSSISNDDLLQMLSVYGDVKEISSSPISCTKKFVEFYDVRAAEEALHDLNKGGISGPKFKVELSQHGEAGSCLRQQHSREWKQDSLPHQPKNSSPGTIGKLGTKCQDNSTVHNLFSPVNQQLESPTQCISTTGPQILSSPIRIKSTLQHNNQASVGDLSGPLGQGNFGRGIQTLHPRSLPEHHNRICNNSKSMTVSGRNASSRQDGVDHNIQKVGPAGFCGHSFDQNNEAFGFTEIGSCPLHGYHYTWNHTNVFPQSPSAPILWSNLQHPMHVHSYPGVPPHMLNTGSYPMDQHHLGSAPDNGGSFGNVHSFHPGSLGSIGLHGSPQLYPSELSAFASSRGNFREALFSPVGGGFQSLQQMCNAINGRNPMIHVSTSYDATNDRMRSRRHDGNPAQSENKRQFELDIDRIAKGEDSRTTLMIKNIPNKYNCKLLLAVIDENHRGTYDFIYLPIDFKTFNGKKWEKFNSEKVASLAYARIQGRSALIAHFQNSSLMNEDKWCRPMLFHKDGPNAGDQEPFPVGNNVRSRAGRNRSLISLDTKDASPSSSPDQESNSVGTANSTCRTTLEQT >ORUFI05G00180.2 pep chromosome:OR_W1943:5:159823:165366:-1 gene:ORUFI05G00180 transcript:ORUFI05G00180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASGAIDRRHLSPFTPTSDDSSSSFFSQDLVPTERQVGFWNSESMVDHKGSKSVFASPLEKIQPNGANHAGDPETPGGQAFKGLDILSLSNLMRQENASGSPSLSWGEILTNPISRLGLSTRETAFVEPTTADQHVPGYGKGLSSSSLSEVFSGKSREIVSGVLCQSTGTHTSIYDSIADGFEFTGMSTNQDDADEDIFCTGGGMELENNDSVKGDKVQDGSFKSQISSGHSINKQPSRTLVVRNITANIEDSDLTVLFQQYGDIRMLYTSFKHHGFVTVSYYDIRAAQNAMRALHSKPLGLMKLDVQFSFPKENVPGKDIDKGMLVVSNIDSSISNDDLLQMLSVYGDVKEISSSPISCTKKFVEFYDVRAAEEALHDLNKGGISGPKFKVELSQHGEAGSCLRQQHSREWKQDSLPHQPKNSSPGTIGKLGTKCQDNSTVHNLFSPVNQQLESPTQCISTTGPQILSSPIRIKSTLQHNNQASVGDLSGPLGQGNFGRGIQTLHPRSLPEHHNRICNNSKSMTVSGRNASSRQDGVDHNIQKVGPAGFCGHSFDQNNEAFGFTEIGSCPLHGYHYTWNHTNVFPQSPSAPILWSNLQHPMHVHSYPGVPPHMLNTGSYPMDQHHLGSAPDNGGSFGNVHSFHPGSLGSIGLHGSPQLYPSELSAFASSRGNFREALFSPVGGGFQSLQQMCNAINGRNPMIHVSTSYDATNDRMRSRRHDGNPAQSENKRQFELDIDRIAKGEDSRTTLMIKNIPNKYNCKLLLAVIDENHRGTYDFIYLPIDFKVYFFFLSVKDCNCEYNFRTDFCNVHFSQNKCNVGYAFINMTDPQHIIPFYKTFNGKKWEKFNSEKVASLAYARIQGRSALIAHFQNSSLMNEDKWCRPMLFHKDGPNAGDQEPFPVGNNVRSRAGRNRSLISLDTKDASPSSSPDQESNSVGTANSTCRTTLEQT >ORUFI05G00190.1 pep chromosome:OR_W1943:5:165438:169474:-1 gene:ORUFI05G00190 transcript:ORUFI05G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWCGSNIVSRSHFYAVKPSHVWPGAVLVSCLLAVRGKKEMKPLAREVDERGQKFERLRR >ORUFI05G00200.1 pep chromosome:OR_W1943:5:172967:176366:1 gene:ORUFI05G00200 transcript:ORUFI05G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKNQEPPPSIQDATDRISKRGDSVDDKIKKLDAELARYKDQIKKTRPGPAQEAIKARAMRVLKQRRMYEGQRDMLYNQTYNLDQVAFASEGLKDAQQTMTAMKAANKELKGMMKTVKLEDIDSLQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEELMGELDALEADMDFESNSVPSYLQPDKESDLDSELNLPAAPTGHTPAPPNRQQEDELGLPTVPQASIRS >ORUFI05G00210.1 pep chromosome:OR_W1943:5:178039:183319:-1 gene:ORUFI05G00210 transcript:ORUFI05G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQWRSLLFLLLLLLVPFAAAAGQDAPFVVAQKKVALSRPGPGVERLAVTLNLYNQGSATAYDVSLNDDSWPQEAFQLISGTTSKIVEKLDPGATASHNFILETKVQGKFQGSPAIITYRVPTKAALQEAYSTPMFPLDILAERPPQQKFELRLVGKYGSLVSVVSFVEPLPVPGFPAGCSNLEEKAAAAMAAYEYESSSCSSLDPTSMPMVYSPIVLQPQECPLSFVFDNAAAAAGDNKWVPGIQGSCPCSLGSTQDMDASWGKSRKHKRSNVGLKGLEEKKARRVVLHQHDDDVKKKAKEAAGGEPPAGYIHVRARRGQATDSHSLAERVRREKISERMKMLQSLVPGCDKVTGKALMLDEIISYVQSLQNQVEFLSMKLASLSPLMYEFGPGIDMHPDVLRQLAKMPHEMVQCMGQMGSTGISLQGLGGGPTGFAQDGSSHINMVVMQVGEQGQQQGSLHQVEMSSHCFFH >ORUFI05G00220.1 pep chromosome:OR_W1943:5:184227:187155:1 gene:ORUFI05G00220 transcript:ORUFI05G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATSFATLAIARPAAERALLASKTPSPLLSIRTGTGTARLPSSAVFGGFTPALSAAHSRARFVSSATADPKEVDLQSKITNKVYFDISIGNPVGKNVGRVVIGLYGDDVPQTAENFRALCTGEKGFGYKGSSFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLVHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDGRHVVFGQVIEGMDIVKMIESQETDRGDRPKKKVVISECGELPVV >ORUFI05G00230.1 pep chromosome:OR_W1943:5:188510:188728:1 gene:ORUFI05G00230 transcript:ORUFI05G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWGPVLISWVLFILLSPGLLFQIPGKCRLIEFGKFQTSVVSILVHTILFFALDAIFLVAIGVQINLGSSP >ORUFI05G00240.1 pep chromosome:OR_W1943:5:192058:192408:1 gene:ORUFI05G00240 transcript:ORUFI05G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVVIAVVLFVLLSPGLLIQLPGRHHFVEFGNLHTSAMSIVVHSIIYFALITLFVIVIGVHITTD >ORUFI05G00250.1 pep chromosome:OR_W1943:5:194541:203980:-1 gene:ORUFI05G00250 transcript:ORUFI05G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGNVAILVGSGILGSVLVGGDAKLPSAGEVLSGAAKFVKKHGNEGKDTSSNTDTHTAQLLSQVNHLRQEIQSLGSRPVTVVTNAARSGPGTFTITAVVVAGAVGYAYIKWKGWKLSDLMFVTKRGLSDACNVVGSQLDKVSDDVTSARKHLAGRIDRVDISLDETQEIIEGTRDEVTVIHGDLSAFQEDLQSVNLVVRSLESKLVSLEYTQVPAASVPPAIGSSERVVRRVSSLPQSTALPALPTTAPAAEPSPRAEVPQEEQWGFVSKASSSREGLGRLQQQRSVVTRTSSMREGSPESSNGASSSTGASTGRNTSTGTNTSTGRFGGLRLPGLGFLASSTSDGTGGFLLLLLLETRRRHLHLPSRLQKLLEHPSGFNSPLLGSGSSLPSSMADATAALSARSKVQAFLEAARAGDLDSLKKLAAALDEEGKGVAAVAAAVKDANKRTALHFAAREGRTHVCHFLISDLALPVDPKDDDGETPLIHATRQAHLQTVTYLLHHGADPSVASSLGATPLHHAAGIGNLDLMKLFLSKGVDVESESDAGTPLIWAAGHGQQEAVKLLLQHDAKPNTENDDGITPLLSAVAAGSLPCLDILIQAGAHPNIRAGGATPLHIAADGGNMEIINCLLKAGSDPNACDDDGLKPIQVAALRNNREVVELLLPLTSPIPGVSSWSIDGIIEYTKSVEEKAQVKEATTQKADRLQVPQLVEVSSEAKERSLEAKSRGDDAFRNKDYLVAVDAYTQAIELNPNDATLHSNRSLCWLRAGQAERALEDARACRALRPDWAKACYREGAALRLLQRFEEAANAFYEGVQLEPENGELVSAFREAVEAGRKFHGTDKKQKADASTLNSVCVVAGSRFEWLNCTHGDGPSPSSPPPPQLALALLLWRRSSFSCVAPSTSTAQISTKLIDQLARDDEKIKKKTRKPKPKKTVKQHQQEPQDNSRELPASEPKAPPGWPLQPPMYLPVTPAPPPPPPAFSELEAIRAVLEESEKVQEKLDKQHAGMRDELIKKSKDLRDKEFKLPYQNPTPCTEERSNCRQCYVSNAQDPLKCAEAVKRFEACVRLARQRGNTKVAQ >ORUFI05G00260.1 pep chromosome:OR_W1943:5:206264:206458:-1 gene:ORUFI05G00260 transcript:ORUFI05G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGSLAPKTKNFVVAGGLSAFVLGVYYYTMRAVGGTDELQVAIDKFEDMKKNDAGNSSTAGS >ORUFI05G00270.1 pep chromosome:OR_W1943:5:206461:208105:-1 gene:ORUFI05G00270 transcript:ORUFI05G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDHDHHGNGNTPFSLALARSCGEVHLIGPACSLAQPSKAHWPTGSGRNRKPTSSSSKTKTSLSRSPGAAFLHPTLSSSAAASSPTPNSPPSLLAKVSATTGAN >ORUFI05G00280.1 pep chromosome:OR_W1943:5:211936:212545:-1 gene:ORUFI05G00280 transcript:ORUFI05G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARRPGRRGRRWRGGRGDSGGGERWRRRRVGRVELLQGGLGWGGGAVVGVVVGDGDGAAVGCKKKKQQHEIEQGEEEGWGRRRHCHCHRHGVMILALASVGGPAKTVAGTAAAASLLGYWILGECSSSSLPLALPAPPCLVVLGIQLKRSSFSLVMDYYQWEMHFISH >ORUFI05G00290.1 pep chromosome:OR_W1943:5:212270:216236:1 gene:ORUFI05G00290 transcript:ORUFI05G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAMPSSSPPLFFSLLNLMLLLLLLAPYCSAVSVPNNNTHHRSSSPTQTTLQQLHSPDSPPPPPLPTPTVTTPTPPPPPPAPRPPRRHHRIPPPPPPLLPTPPPPPASISPTPAPPLPPPPAPAPPPTPTPKFPSSSANPSPPDAYPFTNYPFFPNFAAPPPPTQQQQQQPSGDGGLPTFPANISTLVHPTQRPPRRFPVLQALLLSFLSLCLLLLSALLSLHLFRRLRHRHHSHSHPNARSPSSRSGATNHHHDDDGDGDEEGRRLKPPPMPTSSSNPSTEFLYLGTLAAPPQQPPPTTSHLRPGSPELRPLPPLPRVGPPSGEFASRSSASDPSTAPPAAAEASSSSLSPSSPSASSPTLGSSPVHLRPPSIPQPRGRAPNPSPPKRRPQPPEPMAAHAWNPFVPMPPQAPPSEEEEEHSPSEKSMRKSRPLHSDKLKPGSLHMKDEMIHLYLNNSMAAAMPREVCLLGAPRCHGIGMLVGALGISKEQVREAILEGNAHGLGVEALRMLMQMVLTNEEELKLKYFKDDLSTKLCPVEAFLKAVLDIPFAFKRMDAMLYVANFYLEVNQLRMSYATLEAACQELKNSRLFHKVLEAVLNFGNLMSIDTGSPNSHAMEPNTLLKIVDVKGADGKAALLQFVVHEIVKPEGHSPVCKTNANTTQQYDVEYRKHGLQVVSKLAAELSNTKKASSIDMMKLSRDVSELGVGLGKIHDVLRLNSMVTSADSARRFHNTMSMFLRQAEEEILKLQAQESICLSCVKEVTEYFHGELSSGDEGHMARVFGSVREFLAMLDRICKEAGEEMKSSGWMMGRDWNMAAPMGMTTP >ORUFI05G00300.1 pep chromosome:OR_W1943:5:217418:225049:1 gene:ORUFI05G00300 transcript:ORUFI05G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNTPPSRAALEPFATLDPAALAGLPASSPLTVRSAAISSPYLYLGTGGGKLLLFTLDSPSPDFLRLLPIGPTRPVSAILPLPAVARLLLLADGLLLLADPLLSRPVRRLGSVRNVAAVAARASESDDDRPSCSLAVSVGKKLLLVDLTLHDADELEVRTRDIALVDGVKALAWVGDSVFVGTASGYSLFSTTNAQGGDIFTLPESSRPPRVKPLSGGDEVMLLVDNVGVVVDRSGHPVGSSFVFNTTPDCIAEVYPYVVVAGDSKVDVYRRKNGAHLQAIPFARPGTGGLIVASEDAGIGSDVVVIATAYKVFCYRKVSAVEQIKALLRRKSYAEAISLLQEFEADGEISNDMISFVHAQLGFLLFFDLRFEDAVNHFLLSETMQPAEIFPFIMRDPNRWSDLVPRKRYWGLHAPPKPLEEVIDDGLVTLQQALFLKKAGVDTVVDDDFLSNPPSRADLLEVAIRNIIRYLCASREKDLSSSEMEGVDTLLMYLYRALNLVDDMEKLASSQNSCVVEELESLLDDSGHLRTLAFLYGSKGMCSKSLGIWRILARNYSTGLWKDHAILPETDSLETSVGKMSGEEIAAVEASKILQASSDQDLVLEHLGWVADIDQELATAILTSEMREKQLSPEKVVAAIDPEKVGIHQRYLQWLIEEQECDEPHYHTSYALSLSRSAMDAVRLGSNNEERNNKEFDSDMQFIYLLRERLQFFLQSSDLYDPEEVLYVISESELWLEKAILYRKMGQENIVLQILALKLEDSEAAEQYCAEIGRDDAYIQLLDLYLDPKNGKGPMFTAAVRLLHNHGESLDPIQVLERLSADMPLQLASDTILRMLRARVHHHRQGQVVHNLSRATNLDARLTRLEERSRHVQLTDESICDSCRARLGTKLFVMYPDDSVVCYRCYRNQGDSASPHGRNFRKGGIFKQSWLVSR >ORUFI05G00310.1 pep chromosome:OR_W1943:5:225423:243351:1 gene:ORUFI05G00310 transcript:ORUFI05G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLLLLLLLSVLLLSAASMAKDDDEQQQKVQCHEDDEAALLAIDDALGNPYNLASWTRNTSCCDWYDVDCDQDSGRVVSLSVFQDTNLTGAIPDAIANLTHLRTLLLHHLPSLSGPIPDSLAALTDLTHLTISWTAVSGPVPSFLANLTSLTMLDLSFNSLTGLIPPSLAALTNLSAINLSRNRLSGPIPPGLFSNLQLQDDDDEVYLRLSHNNLSGSVPADLLAAANLALVDLSRNALTGDASAVFRRARYVDLSRNGFVFNMSGVEFAEETYYVDVSHNAIRGGIPAQVANLTNLQTFNVSYNKMCGAVPAMPRFDAYCYQHNKCLCGAPLATACRRYYLINTISYKNKMRAMVVVLVLAAAGAAAATTKKKECNAGDKAALLAIKKALGDPYHFASWTPDNLCCEWYDVTCDDTTDRVVGLSVFQDANLTGTIPDAVAGLTHLRTLTWHHLPQISGPIPPAIAKLNRLSLLIISWTAVSGPVPSFLGGLKSLTLLDLSFNSLTGAIPPSLAALPFLSGIDISRNRLTGPLPPALFSKLNTTQQGGAYLRLSRNNLTGGIPAEYGGVAFEVMDLSRNALSFDMTGLRLQEGVSSLDLSHNMLYGGVPAQVAGLSSLQDFNQGKERLRLYWMEMARAVVLLLLAVAALSCTSAAASGPSCHADDSAALLAVKAAFNNASFEYWTPEFPCCDWYGVDCGDDYLPSDDRVINLAITRDDNITGTIPGDAIAGLTRLRYITFFKVPGITGPIPAALANISGLRVLTISHTAVSGPIPSFIGDKFTDLGILDLSFNSLTGAIPASLAKPPKLNSIDLSRNRLTGSIPRLLLSKAGQQAFLTMSHNNLTGRIPAEFGAVNFVQIDLSRNQLTGDASMLFGSGKKELVSAYLSRNALSFNMSQLQLPEELNFLDVSHNSIYGSIPAQMANMTDMQLLNVSYNRLCGVVPTGGNMPSFDAYCFQHNNDPWISSNMASPGEMSVSNPLRFQGFPCNLGKRNGFIAARSSGLRRSQQCFHRHLCWPGVRRASVPNVRLLPTPGALVSRGLDSSLVHKSDNASEAGVIQLYRIPYLQDSETIELLRQVQAKVSSNIVGIKTEQCFNIQLDNALASEKLATLQWLLAETYEPDKLQAQSFLEEEVARNPYSVIVEVGPRMTFSTAFSTNAVSICKSLSLMEVTRLERSRRYLLCLDPGYGPLDESQLNDFTALVHDRMTECVYPKKLTSFHSDVVPEPVRIVPVIERGREALEEINVKMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPRTLFQLVKSPLKANPDNNSVIGFNDNSSAIKGYPANQLRPTVPGSTSPLSVMMRELDILFTAETHNFPCAVAPYPGAGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRIEGAYAPWEDPSFSYLSNLASPLQILIDASDGASDYGNKFGEPLIQGFTRNFGTRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGESNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCERERVSMAVIGTINGCGKIVLIDSAAVEHAKLNGLPPPTPVEDLELEKVLGDMPQKTFEFKRVSVVSEPLDIARGVTIMDALKRVLSLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPTKGLLNPKAMARLAIGEALTNLVWAKVSSLSDVKASGNWMYAAKLDGEGADMYDAAVALADCMIQLGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISAYVTCPDITLTVTPDLKLGKDGVLLHIDLSKGKRRLGGSALAQAFDQIGNDCPDIDDVLYLKKAFEAVQELLGERLISAGHDISDGGLIVSVLEMAFAGNCGVKLNIDSEDSSLLQALFAEELGLLLEVHLKDLSVVKQKLQAGGISANVIGKVTASPDIELVVDGRLHLKEKTSDLRDIWEETSFQLEGLQRLKSCVRLEKEGLKHRTSPSWSLSFTPKFTDEKLLTASSKPKVAILREEGSNGDREMAAAFYAAGFEPWDITMSDLLAGKSSLEDYRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQNFYNRPDTFSLGVCNGCQLMALLGWVPGSDVGGSLGSGGDMSQPRFIHNESGRFECRFTSVSIGASPAIMFKGMEGSTMGIWSAHGEGRAFFPDENVLASVVKSNLAPVRYCDDANNITEVYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWPISMVMVSQGLAA >ORUFI05G00310.2 pep chromosome:OR_W1943:5:238993:245875:1 gene:ORUFI05G00310 transcript:ORUFI05G00310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGTLSRSWCCGTLYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRIEGAYAPWEDPSFSYLSNLASPLQILIDASDGASDYGNKFGEPLIQGFTRNFGTRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGESNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCERERVSMAVIGTINGCGKIVLIDSAAVEHAKLNGLPPPTPVEDLELEKVLGDMPQKTFEFKRVSVVSEPLDIARGVTIMDALKRVLSLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPTKGLLNPKAMARLAIGEALTNLVWAKVSSLSDVKASGNWMYAAKLDGEGADMYDAAVALADCMIQLGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISAYVTCPDITLTVTPDLKLGKDGVLLHIDLSKGKRRLGGSALAQAFDQIGNDCPDIDDVLYLKKAFEAVQELLGERLISAGHDISDGGLIVSVLEMAFAGNCGVKLNIDSEDSSLLQALFAEELGLLLEVHLKDLSVVKQKLQAGGISANVIGKVTASPDIELVVDGRLHLKEKTSDLRDIWEETSFQLEGLQRLKSCVRLEKEGLKHRTSPSWSLSFTPKFTDEKLLTASSKPKVAILREEGSNGDREMAAAFYAAGFEPWDITMSDLLAGKSSLEDYRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQNFYNRPDTFSLGVCNGCQLMALLGWVPGSDVGGSLGSGGDMSQPRFIHNESGRFECRFTSVSIGASPAIMFKGMEGSTMGIWSAHGEGRAFFPDENVLASVVKSNLAPVRYCDDANNITEVYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWPISMVMVSQGLAA >ORUFI05G00320.1 pep chromosome:OR_W1943:5:246382:246788:1 gene:ORUFI05G00320 transcript:ORUFI05G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQRQGGNHLSRSISIPAEFAAVNLARIDLSAHRRRCSIGRGKAAQAIDVSRNALELELELPEQVVTVDPQHASSTATKLSRLQVFNVSLCGEVPTGVASFDGDVRVQPAAQRVPLWTSACALPFTTNNRAC >ORUFI05G00330.1 pep chromosome:OR_W1943:5:247896:249817:-1 gene:ORUFI05G00330 transcript:ORUFI05G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGPVLLFPSTSSTSARVEAVVVFNICDSYVRRPDQAERVIGTLLGSVLPDGTVHVRNSYVVPHNESPDQVALDIEYHHNMYASHHKVNPKEVIVGWFSTGFGVSGGSTLIHDFYSREVQSPIHLTVDTGFTRGDASIKAYISSNLSLGDRHLAAQFQEIPLDLRMLEAGKVGFDILKSTIVEKLPNDLEGMESSMEKLYVLIDEIYKYVDDVVEGRVAPDNKIGRFISDAVASMPKLSPAAFDKLFNDRIQDNLALVYLSSITRTQISIAEKLNTAAQIL >ORUFI05G00340.1 pep chromosome:OR_W1943:5:251614:254043:-1 gene:ORUFI05G00340 transcript:ORUFI05G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLFLVLGDDAFSDYIQLQVPINFLKKRKRRKKCSNRSKTGRKTNQIQEAASMATAADGVAAIALCPDVAVYWLNRGLCHFKRKEWAKVEEDSRRALALDDTLVKGHYLLGCAMLEKEQCALAIKEFNKALDLLKSSNLGDKMAEDIWQVLAKAKYQDWEIHSTKRVWKMQSLKEACENALQEHHFLSGTLVGDGSTNEYTDQLKLLSEVFTKATIDDTPTDVPDYLCCQITFEIFRDPVITPSGITYERSIILEHLCKVGNFDPVTREPLKEHQLVPNLAIKEAVQAYLKNHSWAYKLN >ORUFI05G00340.2 pep chromosome:OR_W1943:5:251614:254043:-1 gene:ORUFI05G00340 transcript:ORUFI05G00340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLFLVLGDDAFSDYIQLQVPINFLKKRKRRKKCSNRSKTGRKTNQIQEAASMATAADGVAAIALCPDVAVYWLNRGLCHFKRKEWAKVEEDSRRALALDDTLVKGHYLLGCAMLEKEQCALAIKEFNKALDLLKSSNLGDKMAEDIWQVLAKAKYQDWEIHSTKRVWKMQSLKEACENALQEHHFLSGTLVGDGSTNEYTDQLKLLSEVFTKATIDDTPTDVGNFDPVTREPLKEHQLVPNLAIKEAVQAYLKNHSWAYKLN >ORUFI05G00350.1 pep chromosome:OR_W1943:5:255805:265614:-1 gene:ORUFI05G00350 transcript:ORUFI05G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGVEDAAAVAAFLERCAPSGDAAYGELKAVLGRLHEPTTRRAARVFLTALRPFCSGGDSLARYGFRIHDLSLLHCAHDHQFSDRHDNAISPCHGISIACRPVISNFSSFPHLIHRFIRSDSDLTNPMAAAAEVESFLATCAASGDAAYGAAKAVLERLQDPASRPDARRLLGAVRRRFAGPAAGEECFRTFHFRIHDVVLDPHLRGFQQRKKLTMMEIPSIFIPEDWSFTFYEGLNRHPDSIFRDKTVAELGCGNGWISIALAEKWSPSKVYGLDINPRAVKIAWINLYLNALDDDGLPIYDGEGKTLLDRVEFYESDLLSYCRDNKIELDRIVGCIPQILNPNPEAMSKIVTENSSEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPMGIMIFNMGGRPGQGVCERLFRRRGFRITKLWQTKIMQAADTDISALVEIEKNSRHRFEFFMDLVGDQPVCARTAWAYMKSGGRISHALSVYSCQLRQPNQVKKIFEFLKDGFHEVSSSLDLSFDDDSVADEKIPFLAYLASFLKENKYNPCEPPAGCLNFRNLVAGFMKSYHHIPLTPDNVVVFPSRAVAIENALRLFSPALAIVDEHLTRHLPKQWLTSLAIEGKAKDTVTVIEAPRQSDLLIELIRKLKPQVVVTGMAQFEAITSAAFENLLSVTKDVGSRLFIDISEHLELSSLPSSNGVLKYLAGKTLPSHAAILCGLVKNQVYSDLEVAFAISEDAAVYRALSQTIELLEGHTSQISQHYYGCLFHELLAFQIADRHPQQERLPAEVIPQKMIGFSSSAMSTLKEAEFFIPDSKKSSVIHMDLDRSFLPVPSAVNASIFESFVRQNITESETDVRSSIQQLVKDSYGFPADGCSEILYGNTCLALFNKLALCCIQDQGTLLFPLGANGHYVSAAKFVNANTLTIPTKLESGFKIEPRVLADTLETVSRPWVYISGPTINPTGFLYSDSDIQELLSVCGKYGARVVIDTSFSGLEFQTDGWSRWNLERCLSAVNCPKPSFSVALLGELSFELTAAGHDFGFLILNDSSLVDTFHSFPSLSRPHSTLKYTFKKLLGLKNQKDEHFSNLIMEQKDTLKSRADHLIKTLEGCGWDVAGSHGGISMLAKPTAYIGKIIKVDGFDGKLDGCNIKEAILRSTGLCINSSSWTGIPDHCRFSFALESSEFERAMGCIVRFKELVLGSKAFHQINGN >ORUFI05G00350.2 pep chromosome:OR_W1943:5:255805:265614:-1 gene:ORUFI05G00350 transcript:ORUFI05G00350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGVEDAAAVAAFLERCAPSGDAAYGELKAVLGRLHEPTTRRAARVFLTALRPFCSGGDSLARYGFRIHDLSLLHCAHDHQFSGFQQRKKLTMMEIPSIFIPEDWSFTFYEGLNRHPDSIFRDKTVAELGCGNGWISIALAEKWSPSKVYGLDINPRAVKIAWINLYLNALDDDGLPIYDGEGKTLLDRVEFYESDLLSYCRDNKIELDRIVGCIPQILNPNPEAMSKIVTENSSEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPMGIMIFNMGGRPGQGVCERLFRRRGFRITKLWQTKIMQAADTDISALVEIEKNSRHRFEFFMDLVGDQPVCARTAWAYMKSGGRISHALSVYSCQLRQPNQVKKIFEFLKDGFHEVSSSLDLSFDDDSVADEKIPFLAYLASFLKENKYNPCEPPAGCLNFRNLVAGFMKSYHHIPLTPDNVVVFPSRAVAIENALRLFSPALAIVDEHLTRHLPKQWLTSLAIEGKAKDTVTVIEAPRQSDLLIELIRKLKPQVVVTGMAQFEAITSAAFENLLSVTKDVGSRLFIDISEHLELSSLPSSNGVLKYLAGKTLPSHAAILCGLVKNQVYSDLEVAFAISEDAAVYRALSQTIELLEGHTSQISQHYYGCLFHELLAFQIADRHPQQERLPAEVIPQKMIGFSSSAMSTLKEAEFFIPDSKKSSVIHMDLDRSFLPVPSAVNASIFESFVRQNITESETDVRSSIQQLVKDSYGFPADGCSEILYGNTCLALFNKLALCCIQDQGTLLFPLGANGHYVSAAKFVNANTLTIPTKLESGFKIEPRVLADTLETVSRPWVYISGPTINPTGFLYSDSDIQELLSVCGKYGARVVIDTSFSGLEFQTDGWSRWNLERCLSAVNCPKPSFSVALLGELSFELTAAGHDFGFLILNDSSLVDTFHSFPSLSRPHSTLKYTFKKLLGLKNQKDEHFSNLIMEQKDTLKSRADHLIKTLEGCGWDVAGSHGGISMLAKPTAYIGKIIKVDGFDGKLDGCNIKEAILRSTGLCINSSSWTGIPDHCRFSFALESSEFERAMGCIVRFKELVLGSKAFHQINGN >ORUFI05G00360.1 pep chromosome:OR_W1943:5:272511:276526:1 gene:ORUFI05G00360 transcript:ORUFI05G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRRAEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLHVEGKIIKAQIWDTAGQERYRAITSAYYRGALGAVLVYDVSKPTTFDNISRWLKELRDHADANIRIMLVGNKTDLKHLRAVATDDAHKFAEAEGLSYIETSALEATNVEEAFQLILGDIYRAISKKPVASDEGGAGAAGGVKEGKTINVAAGDANSEKKQCCST >ORUFI05G00370.1 pep chromosome:OR_W1943:5:275221:278207:-1 gene:ORUFI05G00370 transcript:ORUFI05G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEYDYLFKLLLIGDSSVGKSCLLLRFADDSYIDTYISTIGVDFKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDMESFNNVKQWLSEIDRYASDSVCKLLVGNKCDLVDSKVVDTEEAKAFAESLGISFLETSAKESINVEEAFLTMSSDIKKRMATQPAVERKPTVHIHMRGQPIQQQNSSSSCCSS >ORUFI05G00380.1 pep chromosome:OR_W1943:5:278965:284819:1 gene:ORUFI05G00380 transcript:ORUFI05G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAFRLGQRVHAAGDPARVGTVRYLGPVDGHPGDWLGVDWDAGAGGRHDGSLAGRRYFVAAGERSASFARPTALSAGITLPDAIRNRYRVEEFTKEEQDEMYVFSSSQKRVSVELVGKNKVEEKLKNLNDLTSASVSYMGVSSIGPGDELKNLVPNLRQLDLTGNLLSQWQDIFSLCEALASLEILDLTNNTMENDFTDSSSVKNIRVLVLNYCGVTWELVEKIKVSFSCLSELHLMTNRIKAMMTPVGNIVQGFDTLRLLNLEDNHIDSWDEIVKLSYLRSLEQLHLNKNMLKHVTYPSNPPPLGSLGDAAVLPFEKLRVLLLGSNQIDEVLSVDSLNLFPSLTLWLSTPILISTFLKDVRLSDNPVADPAKGGVPRFVLIARLGKVKILNGSEISPRERREAEIRYVRLVMGKAESYDPEEIKRLHPRFAELKAFHGIEDEKPSSRTSGPQKMASGLISITLKCVGPSMGEKQPLTKKLPPATTVGKLKSLCESFFKLKDVKLRLYLEEEGCPMPQFFEEDTVSLMELGIGTGSTIIVDEES >ORUFI05G00390.1 pep chromosome:OR_W1943:5:286789:293861:1 gene:ORUFI05G00390 transcript:ORUFI05G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGSEVKEDCWLACGGPTLTQPPPSWACAYDPYRGSRALHDLRLRNPSRPVSSPADLHLAEVAPASSCSRRIRSPVSILIHPIRPRPRPRISSPRPPLAPIPILRAEVAPGVLLQFFHRIVARRCNLFAKKMSFFTRIGVWPWIQNKVVDPLMQVVRRGAEPKQLAFSAALGVTLGIFPICGTTVILCGVAIAMLGSSCNAVTVMVLNFVVTPLELSLIVPFLRFGEVITGSGHFPLTSDAFKKVITGQASKDVMLSIVHAMLGWLIAAPFALAALYMVFIPCFKLMVDRFGGVPSSPRTPIKLV >ORUFI05G00390.2 pep chromosome:OR_W1943:5:286789:293861:1 gene:ORUFI05G00390 transcript:ORUFI05G00390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGSEVKEDCWLACGGPTLTQPPPSWACAYDPYRGSRALHDLRLRNPSRPVSSPADLHLAEVAPASSCSRRIRSPVSILIHPIRPRPRPRISSPRPPLAPIPILRAEVAPGVLLQFFHRIVARRGAEPKQLAFSAALGVTLGIFPICGTTVILCGVAIAMLGSSCNAVTVMVLNFVVTPLELSLIVPFLRFGEVITGSGHFPLTSDAFKKVITGQASKDVMLSIVHAMLGWLIAAPFALAALYMVFIPCFKLMVDRFGGVPSSPRTPIKLV >ORUFI05G00400.1 pep chromosome:OR_W1943:5:286844:290979:-1 gene:ORUFI05G00400 transcript:ORUFI05G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAASANEYLMRYGVGREGMLTGERRCGGRIEGGRRAPPLLAGWGSAQAAGEETKFEAEAEAGWDGLGCSPASECGGSRRTPAPPLLDGDRQARRRDGTDCGGGGRAALCCRGSLLIVVVVHVAAESV >ORUFI05G00410.1 pep chromosome:OR_W1943:5:291121:300034:-1 gene:ORUFI05G00410 transcript:ORUFI05G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGPDGIISHLQIQREERERERERLQGKGREEAEEAFFTLPTSIQGRGYVQEPRSNKAIPPSPPPPHPRHQIRRTRTMQEEFDWPTLVLSTSPETENVTSSSVRSTTKNDVVIQQGDVMKLENDTHFLSHSSGDGMKCTKADHFLSHANRGSLNLDYQEQPSKSNFATDLGSHAYSKEARTLGRVYHGQQPYFSPSSCSWEKSSALKVVPNSPDASGHIVGEMRTKTNILSARSNYLSYPTDVPHIRYRDEVKVDLSQDDNSKQYRRPDQFTAFSNFNGQSSEHLETAKGANYMDEITPISRQWCFDNRGPSLPRGLVYGDEIPSLSSKKCNGNVASSYSRIWDYSAETTSVRQCYGDKIPSLSHHWHYRDKIPLHSGQWCHDAEARPLSNYQQGASYGNGRLRDNISRGGRNEQVNIISSRRTMTKPRVANKVVSSTDHYKINKDNLWRNSEDIRDQVRGPRANKLNNSSTSSIKNNAIPPLVCRDQINRPEFTVQYEHAKFFMIKSYSEDDVHKGIKYNVWASTPNGNNKLDAAFHEAQILMKEQGKRCPIFLFFSVNTSGQFVGLAEMLGPVDFKKTMDFWQQDKWNGFFPVMWHIIKDIPNRFFKHITLENNEGKVVTFSRDTQEIGLPQGLEMLKIFKAYHQGTSILDDFYFYEEKENMRHAQKGRNLETTNQDLFSDDFRSISVNHASRMAQQNVGRDQSQSEDMTL >ORUFI05G00420.1 pep chromosome:OR_W1943:5:299604:301388:1 gene:ORUFI05G00420 transcript:ORUFI05G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLITFTATGAAAAFTSPKIKRRMRMAPTMASKPGAKVKVSAGCKTCRGKGAVECPGCKGTGRNKKNGNIFERWKCFDCQGFGLKSCPSCGKEGLTPEQRGER >ORUFI05G00420.2 pep chromosome:OR_W1943:5:299765:301388:1 gene:ORUFI05G00420 transcript:ORUFI05G00420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLITFTATGAAAAFTSPKIKRRMRMAPTMASKPGAKVKVSAGCKTCRGKGAVECPGCKGTGRNKKNGNIFERWKCFDCQGFGLKSCPSCGKEGLTPEQRGER >ORUFI05G00420.3 pep chromosome:OR_W1943:5:300154:301388:1 gene:ORUFI05G00420 transcript:ORUFI05G00420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLITFTATGAAAAFTSPKIKRRMRMAPTMASKPGAKVKVSAGCKTCRGKGAVECPGCKGTGRNKKNGNIFERWKCFDCQGFGLKSCPSCGKEGLTPEQRGER >ORUFI05G00430.1 pep chromosome:OR_W1943:5:304670:308213:1 gene:ORUFI05G00430 transcript:ORUFI05G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPRRRSPSLSSSSSTSYSRSPASPSSSPSRSRSPPRPAGGARSPSPPPPPPPPRKASPPPESTVLHVDHLSRNVNEDHLKEIFENYGEVVNVELSMDRVVNLPRGYGYVEFKNRADAEKALLYLDDSRFHHSSKELLLLQKLFTLHQKGMWLIIIKLVLVLKRPPNSSPGNHLLKESQLHLQEGDLLQVEELNHLGVGLILLQFAVEQLLLQSGMETRIPNQKALTLSAPSKTKITKAPITKKRSGQSNPQALPIASDQEGCSEVAVLEGL >ORUFI05G00440.1 pep chromosome:OR_W1943:5:308797:311662:-1 gene:ORUFI05G00440 transcript:ORUFI05G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAAPAGAGAGGYYYYPPSQQHKPRRPPRPAARWVKHWIPQDLATSSGKCALYKWVREDVYKNLKDGKAVPEPEAVKPEPTTEILFLCSYENCGKTFVDVAALRKHAHVHNERQYICQEPGCGKKFVDSSKLKRHHLIHTGQKDFICPHPGCGKAFSLDFNLRSHLKTHALENYHVCPFPACGKRFTSDSKLKSHVKGHEKTGTPITAQYVPSSDKPQSSSKPATPATTKPTTPAATKTTTPASTKPTTPAPTSFAERPYVCPYDGCGKAYIHSYKLNLHLKTQHPEHGQEENGRIAAHASEHAVNDRANQYNYAEIVDLAPNPKRSKTNSGHKTPSSNKAYNVKISSVLPADISGVKNQWPGKYEDDSEETEEDQGNNIEDGWRYGNQNADDEETEYED >ORUFI05G00450.1 pep chromosome:OR_W1943:5:313761:315417:-1 gene:ORUFI05G00450 transcript:ORUFI05G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTFSGDETAPFFGFLGAASALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYFLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >ORUFI05G00460.1 pep chromosome:OR_W1943:5:325957:334561:1 gene:ORUFI05G00460 transcript:ORUFI05G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKPYVIAIIIQVIYTGLYVVSKAAFDQGMNTFVFIFYRQAAATLLLLPLAIILERRNAPAMSLRLFTKLFMYALLGNTITMNMYNVSLKYTSATVASATSNSVPVVTFFLAVLLRLEVIRLRTLSGVAKAAGVALCLAGVLVIALYAGPAISPLNHHRALSGGVHGAESSVGTGTRARWMKGTFLMLLSNTTWSLWIVLQASLLKEYPNKLLATLIQCALSTLQSLLLAAAVVRADPAAWRLRLDAGLLAVAYTGFVVTGVSFYLQAWCIEKKGPVFLAMSNPLCFVFTIFCSSFFLAEIVHLGSIVGGVLLVAGLYSVLWGKSKEHNINMQLTLAAAASTEQVKQRQEEDHKMEITGGDEQEEIKQQQQGKMAASPEQQVSEVSKMEEKKPYVIAMLIQVIYAGMFVVTKAAFDEGMNTFVFIFYRQAAATLLLLPLALLLERKNARSMSLMLLIKLFFCAFIGNTFSLNLYNVSMKFTSATVASAASNSLPVITFFLALITRMECVKVRSSSGIAKLAGVALCFAGVMVLALYKGPALNPAAVLREFPNKMLVTAAQCVFSTVQTAVVAAAAEREMARWKLRLDISLLAVLYTGLVVTGVSYYLQAWCVELKGPVFLAMSNRDRGILLVGGLYSVLWGKSAEMTMNGNGDDQQQQQQQQQQSHHKISTEMVVVEKTMISGSQEKDQNNNEQSTPTKSPLQQV >ORUFI05G00460.2 pep chromosome:OR_W1943:5:326166:334561:1 gene:ORUFI05G00460 transcript:ORUFI05G00460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKPYVIAIIIQGMNTFVFIFYRQAAATLLLLPLALLLERKNARSMSLMLLIKLFFCAFIGNTFSLNLYNVSMKFTSATVASAASNSLPVITFFLALITRMECVKVRSSSGIAKLAGVALCFAGVMVLALYKGPALNPAAVLREFPNKMLVTAAQCVFSTVQTAVVAAAAEREMARWKLRLDISLLAVLYTGLVVTGVSYYLQAWCVELKGPVFLAMSNRDRGILLVGGLYSVLWGKSAEMTMNGNGDDQQQQQQQQQQSHHKISTEMVVVEKTMISGSQEKDQNNNEQSTPTKSPLQQV >ORUFI05G00470.1 pep chromosome:OR_W1943:5:338617:343014:1 gene:ORUFI05G00470 transcript:ORUFI05G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAARTWTWRWRWRCFHSTAAALSKPTPHIRFAVREKRADAKAALKNILLNGGPCQEGSNKQKRQQKGSGKSKLTNSSYGKNPHRKGKSAQKWKNFDEDDCSDTPYGNFGGKRSFTWYWPGEDDESGSPSGFQWRDESQSNKSRERVWNESDVDEEEPCYDNLRSHRISLGLPPLGPLELDHIKSAFRASALKWHPDKHQGASQAEAEERFKRCVEAYKALSGAFKPSD >ORUFI05G00480.1 pep chromosome:OR_W1943:5:341575:343255:-1 gene:ORUFI05G00480 transcript:ORUFI05G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYDESGPAIVHRKCF >ORUFI05G00490.1 pep chromosome:OR_W1943:5:347939:353041:1 gene:ORUFI05G00490 transcript:ORUFI05G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFDAFSAPCCISDVKEHNCLKPIILLAIDDIQLILAYSLISILFASTPRQYRVIYGQYYLQFLVTWRSNVTNMHTGGASSDVLRASISSAPSTSSHGSAQDDCDSLGDVYVWGEVFCENSVRVGSDTIIRSTEKTDFLLPKPLESRLVLDVYHVDCGVRHAALVTRNGDVFTWGEDSGGRLGHGTREDSVHPRLVESLAACNVDFVACGEFHTCAVTTTGELYTWGDGTHNVGLLGHGTDAGHWIPKRISGALDGLPVAYVSCGTWHTALITSMGQLFTFGDGSFGVLGHGNLTSISCPKEVESLSGLKTIAVACGVWHTAAIVEVIVTHSSSSVSAGKLFTWGDGDKHRLGHGDKESRLKPTCVASLIDYDFYRVACGHSLTVCLTTSGKVLSMGNSVYGQLGNPNSDGRLPCLVEDRIAGEHVLQVACGSYHVAVLTGRSEVFTWGKGANGRLGHGDIEDRKVPTQVEALKDRAVRHIACGANFTAAICLHKWVSGADQSQCSSCQQPFGFTRKRHNCYNCGLVHCNACTSRKALRAALAPNPGKPYRVCDSCFLKLKNALDSDSFNKRKDIVSHLAGESNGDTKASKTILSSNMDIIRSLDSKAARQGKKTDALSFLRTPQVSSLLQLRDIALSGSADMNRSVPRAVRTSAVRSVTTSRAVSPFSRKSSPPRSTTPVPTTHGLSFSKSATDNLAKTNELLNQEIDRLHAQVDNLRHRCEHQEVELHKSAKKVQEAMTLVAEESAKSKAAKEVIKSLTAQLKDMAERIPPEQGTYDVSEAKPVHVPNGIDSHIAIYSSINVAHQPQNELLNASNAQSLNSGRSLHPNGISSQHRLLGNATEASEGSAQSHRITSPCKLDVPHRRAHSNSDDMLTASHRGDDNVSIDAMSLQNGEDGYKPRGTVSSISSSQVQAEWIEQYEPGVYITLTTLLDGTRDLKRAEKWWNENREKVYERYNVRSSERVSSSSAASTRSAY >ORUFI05G00500.1 pep chromosome:OR_W1943:5:354184:363970:-1 gene:ORUFI05G00500 transcript:ORUFI05G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASPAVMASRPDPGELQAFLRGLRTHHAVLCAHAFLLRRGLLLGHRTTAGILLSAATSTATSASRPAHAHAHAHSHLLRLLLHHLPPPLPLFSLDNALRALAPRLPFSALLSLFAALLRSHHPAFPARFSFPTLLSKASSSSSPRLHLPSALALHAQLLRRGLLFSPPLHAANALLHFYAAATLLPCARNLFDEMPFRDVASYNTMMTAYAGAVDGIDAARHLFDGMLLRNVVSWNIMINGYVKVKRPEQALEVVRWMAEIGVRGTAVAMVGAATACARLGRLGAGKEVHCAFLRRFEERNLLFSTALVDMYGKCRNADAARKVFDRLSFRNVVCWNAMIIGHCVYGEPGDGIRLFHDMIGQDDQHGLLPDEVTFIGVLCACTRLALLDDGKAYFEQMSTMYNIKPTFAHYWCMANLYASVGLLEEAEGLLTSMPEELKAHALGGLLGLCRFRGEWELGERIVLRLIELEPNNSVHYALLCNVYASAGRWEDVHRVKAIIKERDEKLSPGHRLVNLNEILHQFRERQPENQEIYGILDGLVSRLKLTSRSSLPPPPSDPQVPLCYPAPRAVTVDASAAAAVVVVGRQLMEVATPNNNAHQVIDELVSNDDDDDRLSALPNEISIYILQRLPLRTSAQTTILARRWTHLFPSMTHLKIDINEFVPRILTRHNVARSMAMSWYTQALRTLLAPTIDPDRTIRTMHLRFYPTDSYLLSIARMVDDAVQSASASKIEVLDFAILNEVSEVHCTEKQMSRYGRRFMSFFQACPNGFRCLTSLSLWALSCSTSFYGLCDSGRNSVLKIDASPCSQLRTLRMIFCSYIKVELVHVPKLESVDCDTWVGVNPPVYFGCVPLLDKIRFSSTCLKMQQPFVLSSWLSTVWIMPEEPKKLFPIFRNLKDVYLYNISNDSGLDWTLFVLEGAPSLKSFHVKISHHICGGDGFEHNAGSSNVVWEASSDIIKHKNLRLLDIIGFETEENLIKYIRLAIQRAIALQRIHLHEKEPCEDCDDIYLNTPSLSRTRFPNNEQEKDLLREQLLQGFSSAQELYLGHMQCQVVDDRLSALPDEILIDILQRLQLPTAARTTTLARRWTHLLQSMNHLEIDVADFIPRRSAPSLKRNTMTRVKVAMSRTLHLCFYLTDPYLHSVGRMLEDAVQSAGGRASKIEVLSFSILTEVPELLCTEKHLARYGRRFMSFFQAYPNAFRRLTSLSLWALRFGDSDIPNLLASCLQLQHLTLQDCDNGKRYVLRIDAPNSQLSTLTMAFCSYIKVELINAPKLKCVDCDTWVGANPPVCFGCVPMLDRIRFSSTCHKMQLPFKLSDWLSTVPTLTSLHLDFQDEMVWILPEEPKKLFPIFRNLRNVYLCSISLDCGLDWTLFVLEGAPFLERFHVKISLHICDENGFKDRADRSNVVWEASSESIKHKTLRLLDINGFETTENLIKYIRLVIQRAVGLQRIHLHDKEPCEDCDGIYLNTPSLSRTIFPNNEAEKDLLRQQLLQGFSSSIEITIG >ORUFI05G00510.1 pep chromosome:OR_W1943:5:369088:370293:1 gene:ORUFI05G00510 transcript:ORUFI05G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRWAAFMAAAAAVLVVCAAALASAATPPKPSSMVVITPTKQGGVGKRNSAFTCEDTRRRRPKCMATCPDRCRTKCLVLCPTCKTFCLCDFYPGVSCGDPRFTGGDGNNFYFHGKKDRDFCLLSDAALHVNAHFIGKRNAAMSRDFTWIQALGE >ORUFI05G00520.1 pep chromosome:OR_W1943:5:370744:379395:1 gene:ORUFI05G00520 transcript:ORUFI05G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLRDPSAGSGGEAAPTPPPPPVAAPFAQYLSLEPLGWVEPKHSRHGELRRALQHQADDKPQELRRIRSSVADSSSKAREKVRSMQEAVQKVDRCRNVLNRKRQRSEPAAAAAAGAEKPSGSGALRIGAQNSNSSAVMSKRVRSSLADGRLEGRSNISTRQSPLVNNEKSSLVEKEKSCGRTSGLSEDKLQGLSTGGEGWEKKLKRKRSIGTVLNRGNDADRDVKSGGQHRPANEANPRPSDGPSHRHGASAVEYAGSRMDGSSQQNSNSSRILCKTDMDHATLPNERRERYVGIEKERGMVKGNRAQASEDMQTGSISPLPKAKACRAPRTGSHGMGSASSFQRSTGGSDEWEEIPYSNKASLLGGMTNRKRSIHSNASSPPIAWVGQRPQKMSRTRRANVVSPVSNFDEVLSEGSPLDTAIAAKPTSTESCGVVLTKKGTSSNTQMANTMNDIPSPVGLSESEGSAVKERKVKEKATNNGEVENEAANLVRNSAGSIVSSNKNTIPLKEELQDGGVRRQGRSGRGTMHVKEYSSSSISKEKLDAAETRKPNKGGRPGSEKNESKVGRPTMKKGSDQNNLSCFPQALNCEHTDDREELLAAVNAARGAIVGAYCGPFWKKMEPMLTFISSENLSFLKKQIDIVEELDLGMSCVPDGEYVLAPTNYSRQQTTEFSCQELVPSNSSILPEQSETNGVGLKGTIDCFSPSEENQHHVPQKIEADKWFHEMVPMEHRLLSAIVMEEDISEPNVVQRDILFEFSNSHVPCAASRFLGNELQASAISSNFGLSVDFMNSNNSSVVHQSLSNGFTSSSSFISSSSQSSVHNDNLSDEVNFVYPENGPFDNLIPQTSSLRQKPGKNFSSSPHEYQYGQMSVNDKIFIELQSIGIFPEAVPKLDDGEDNNINKMISELRKRLHDQVKQKKCKLSKLEKAIQDTKSIEERSLEQHAMNKLVERAYRKLKGGRTGSSHKAGASKSASKAAKQLALDFAKRTLLRCQKFEETKKSCFSEPSLWSVLSAPLPSSGTKSTEGVERLKHQKQDRSTLNHGGTKWNKCDREREHSRDASAKGSGTKSGRHSSGSGRSGERKNKTKPKQKIVQLLSTSGNVLDRAVESVPTPAMQEPPRPSVPLGAKITQQPRNHPENAASRLPEAPLTNLPGLFDIFAGTEGLGEQGNDISSWLTDDLDVPQDFDLSGALEIPLDDIAELGFM >ORUFI05G00520.2 pep chromosome:OR_W1943:5:370744:379395:1 gene:ORUFI05G00520 transcript:ORUFI05G00520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLRDPSAGSGGEAAPTPPPPPVAAPFAQYLSLEPLGWVEPKHSRHGELRRALQHQADDKPQELRRIRSSVADSSSKAREKVRSMQEAVQKVDRCRNVLNRKRQRSEPAAAAAAGAEKPSGSGALRIGAQNSNSSAVMSKRVRSSLADGRLEGRSNISTRQSPLVNNEKSSLVEKEKSCGRTSGLSEDKLQGLSTGGEGWEKKLKRKRSIGTVLNRGNDADRDVKSGGQHRPANEANPRPSDGPSHRHGASAVEYAGSRMDGSSQQNSNSSRILCKTDMDHATLPNERRERYVGIEKERGMVKGNRAQASEDMQTGSISPLPKAKACRAPRTGSHGMGSASSFQRSTGGSDEWEEIPYSNKASLLGGMTNRKRSIHSNASSPPIAWVGQRPQKMSRTRRANVVSPVSNFDEVLSEGSPLDTAIAAKPTSTESCGVVLTKKGTSSNTQMANTMNDIPSPVGLSESEGSAVKERKVKEKATNNGEVENEAANLVRNSAGSIVSSNKNTIPLKEELQDGGVRRQGRSGRGTMHVKEYSSSSISKEKLDAAETRKPNKGGRPGSEKNESKVGRPTMKKGSDQNNLSCFPQALNCEHTDDREELLAAVNAARGAIVGAYCGPFWKKMEPMLTFISSENLSFLKKQIDIVEELDLGMSCVPDGEYVLAPTNYSRQQTTEFSCQELVPSNSSILPEQSETNGVGLKGTIDCFSPSEENQHHVPQKIEADKWFHEMVPMEHRLLSAIVMEEDISEPNVVQRDILFEFSNSHTSSLRQKPGKNFSSSPHEYQYGQMSVNDKIFIELQSIGIFPEAVPKLDDGEDNNINKMISELRKRLHDQVKQKKCKLSKLEKAIQDTKSIEERSLEQHAMNKLVERAYRKLKGGRTGSSHKAGASKSASKAAKQLALDFAKRTLLRCQKFEETKKSCFSEPSLWSVLSAPLPSSGTKSTEGGTKWNKCDREREHSRDASAKGSGTKSGRHSSGSGRSGERKNKTKPKQKIVQLLSTSGNVLDRAVESVPTPAMQEPPRPSVPLGAKITQQPRNHPENAASRLPEAPLTNLPGLFDIFAGTEGLGEQGNDISSWLTDDLDVPQDFDLSGALEIPLDDIAELGFM >ORUFI05G00520.3 pep chromosome:OR_W1943:5:370744:379395:1 gene:ORUFI05G00520 transcript:ORUFI05G00520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLRDPSAGSGGEAAPTPPPPPVAAPFAQYLSLEPLGWVEPKHSRHGELRRALQHQADDKPQELRRIRSSVADSSSKAREKVRSMQEAVQKVDRCRNVLNRKRQRSEPAAAAAAGAEKPSGSGALRIGAQNSNSSAVMSKRVRSSLADGRLEGRSNISTRQSPLVNNEKSSLVEKEKSCGRTSGLSEDKLQGLSTGGEGWEKKLKRKRSIGTVLNRGNDADRDVKSGGQHRPANEANPRPSDGPSHRHGASAVEYAGSRMDGSSQQNSNSSRILCKTDMDHATLPNERRERYVGIEKERGMVKGNRAQASEDMQTGSISPLPKAKACRAPRTGSHGMGSASSFQRSTGGSDEWEEIPYSNKASLLGGMTNRKRSIHSNASSPPIAWVGQRPQKMSRTRRANVVSPVSNFDEVLSEGSPLDTAIAAKPTSTESCGVVLTKKGTSSNTQMANTMNDIPSPVGLSESEGSAVKERKVKEKATNNGEVENEAANLVRNSAGSIVSSNKNTIPLKEELQDGGVRRQGRSGRGTMHVKEYSSSSISKEKLDAAETRKPNKGGRPGSEKNESKVGRPTMKKGSDQNNLSCFPQALNCEHTDDREELLAAVNAARGAIVGAYCGPFWKKMEPMLTFISSENLSFLKKQIDIVEELDLGMSCVPDGEYVLAPTNYSRQQTTEFSCQELVPSNSSILPEQSETNGVGLKGTIDCFSPSEENQHHVPQKIEADKWFHEMVPMEHRLLSAIVMEEDISEPNVVQRDILFEFSNSHVPCAASRFLGNELQASAISSNFGLSVDFMNSNNSSVVHQSLSNGFTSSSSFISSSSQSSVHNDNLSDEVNFVYPENGPFDNLIPQTSSLRQKPGKNFSSSPHEYQYGQMSVNDKIFIELQSIGIFPEAVPKLDDGEDNNINKMISELRKRLHDQVKQKKCKLSKLEKAIQDTKSIEERSLEQHAMNKLVERAYRKLKGGRTGSSHKAGASKSASKAAKQLALDFAKRTLLRCQKFEETKKSCFSEPSLWSVLSAPLPSSGTKSTEGGTKWNKCDREREHSRDASAKGSGTKSGRHSSGSGRSGERKNKTKPKQKIVQLLSTSGNVLDRAVESVPTPAMQEPPRPSVPLGAKITQQPRNHPENAASRLPEAPLTNLPGLFDIFAGTEGLGEQGNDISSWLTDDLDVPQDFDLSGALEIPLDDIAELGFM >ORUFI05G00530.1 pep chromosome:OR_W1943:5:381370:392545:-1 gene:ORUFI05G00530 transcript:ORUFI05G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVAGDLSRRPASAEIDIQIRSSVSEMPSLQLLQLTERGRGLLASRRKTLAVVSGALLAGGALAYARSSQGQRRRRSEGDDATTALARNGDRMGQNGVDGRLAGTKRRKGGLRSLHFLAAILLKKIGPNGTRYLLGLTLTAVLRTAVGHRLARVQGYLFRAAFLRRVPTFTRLIIENLILCFLQSAVYQTSKYLTGSLSLRFKKILTDLVHADYFQNMVYYKISHVDHRISNPEQRIASDIPKFCSELSELVQDDLAAVAEGLIYTWRLCSYASPKYMLWIVGYILVAGGAIRNFSPAFGKLKSTEQQLEGDYRQLHSRLRTHAESVAFYGGENREAYYIMQRFQALIGHLNCVLHENWWFGMIQDFFLKYFGATVAVVLIIEPFFSGHLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGILSISTRRLNILSGYADRIRELLDVSRELSGVRDKSLNHNSSAGNYISEANHIEFSGVKVVTPASNVLVDDLTLRVERGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLREQLIYPLTADQEIEPLSYDGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTIDMEERFCKKVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWDVQHRRDDSSFSTEESDYTLLETDRKSDALTVQRAFMGRAKSNASSRSKEHCYTTKVIATSPKLEIEQTIQTHRVPHLRCFPRPLPARVAAMVKILVPKLLDKQGGQLLAVALLVFSRTWISDRIASLNGTTVKFVLEQDKAAFIRLIGISVLQSSANSFVAPSLRTLTGRLALGWRIRLTNHLLQYYLKRNAFYKVFNMSGKSIDADQRLTLDVDKLTTDLAGLVTGMLLSGRRGVAILYAYMLLGLGFLRAVSPDFGHLAGQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAIVEAKFMKLLDHSKILLRKQWLYGIVDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILDLHKKFLELSGGINRIFELEELLRVSQRDTFVPSDATSAEETISFHEVDIVTPSQKLLASKLSCNVVQGKSLLLTGPNGSGKSSIFRVLRDLWPVCSGRVTKPSDGMFHVPQRPYTSLGTLRDQIIYPLSREEAEMKICSLYNDGNGSSASNLLDDHLKTILVNVRLVYLLEREGWDSTSNWEDVLSLGEQQRLGMARLFFHHPKFGILDECTNATSVDVEEHLYKLATSMGITVITSSQRPALIPFHSLELKLIDGEGNWELCEIHQ >ORUFI05G00540.1 pep chromosome:OR_W1943:5:396582:403232:1 gene:ORUFI05G00540 transcript:ORUFI05G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTETLDEMVSSGTLSPELAIQVLVQFDKSMTEALENQVKSKVSIKGHLHTYRFCDNVWTFILTEASFKNEETTEQVGKVKIVAFEVFS >ORUFI05G00540.2 pep chromosome:OR_W1943:5:396804:403232:1 gene:ORUFI05G00540 transcript:ORUFI05G00540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTETLDEMVSSGTLSPELAIQVLVQFDKSMTEALENQVKSKVSIKGHLHTYRFCDNVWTFILTEASFKNEETTEQVGKVKIVAFEVFS >ORUFI05G00550.1 pep chromosome:OR_W1943:5:397253:406248:-1 gene:ORUFI05G00550 transcript:ORUFI05G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKINLFGNLEAKSNGKASAGGKLKQNTFITLQAEPGLAEETNKYQANPDLAVALRKEHASVNSPATKYLKYRLKKGKGPGVKRITNSEQRTQDRYVSNEQQHGNSVIRADTLIETLLLTWFSKASVID >ORUFI05G00560.1 pep chromosome:OR_W1943:5:405962:410090:1 gene:ORUFI05G00560 transcript:ORUFI05G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYHQQQGGSTFMAIPTINFQMYSEIAGDDEWWEYIPCPFCYIEVEVPFLCDHLQEEHCFDMKNAVCPICADNLDKDTDEHFRVQHSHLLKRRKSSSFSCKPSSAAADKGSYEEDSYFEAPSHCMGRPAPDSSPDPLLSQFICCSLAPPVDSPRRSEADAEGHGSSSSDDQKRREQGVMDDASKEELEERLQRIEFVKQMLMTTIAY >ORUFI05G00570.1 pep chromosome:OR_W1943:5:408908:418113:-1 gene:ORUFI05G00570 transcript:ORUFI05G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGVDDLGRAVHRVGGGRITFYCPLLPAAAAAQPAIERNSHSFPPGFRRCRPPLTIPGGPRGQELSPEQLHIADFHLLRLHSYTTAWENLQLFVLRLTNESMRIVMVTIIGVLLGFFIGISFPSVSITKLHFPSSFVSYIEDRNSGLTTQALLNHAWTSARNARENSSEPSSNTTLKIYVPTNPKGAERLAPAIVVPETDFHLRRLWGEPSEDLPFKPKYLVTFTVGYAQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSKRAIHISARKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFNAEEYIKLVKKYHLEISQPGLEPDRGLTWQMTKRRGDREVHKVTEERPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGKSENGRPAWEGVRARCRKEWGMFQTRMAEAEKAYYKMMGITPPNSTLIGRVRVRVHPDRRWISPPRRHSLPDKEPMLRTGGGARATAAAQLLSSSSSPPPLRLPRRFLSLTATPYPLYYDLLLYRPSYPNPPKSSAADDRHTRPTDDHLDRAKRRYLRKRRSRLLPDPDATTAKPSSSSSSEFVELKPEVVDFPRLHAREEALYFHDAFALPWEKDKHYRMLYRLEKKYFPDQSLDNAFVPADASPPSSDAADKGLVFFDDDDNNKEAEVKKKKDGEDRGEVLERKVEDFFRSLKKGPGHGEANVMKAKKKEPPQVRHEADEWPQPHLASRRTELPPRWNGPTGTVVLIDKPKGWTSFTVCGKLRRLVNVQKVGHAGTLDPMATGLLIVCVGKATKVVDRYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIRKVAASFRGEIWQVPPMFSAIKVGGEKMYDKARRGETVELSPRRISIHQFDIERSLEDRQNLIFRVICSKGTYIRSLCADLGKALGSCAHLTALRRDSIGDFSVNDAWSFAELEEQITKGYL >ORUFI05G00570.2 pep chromosome:OR_W1943:5:408908:418113:-1 gene:ORUFI05G00570 transcript:ORUFI05G00570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGVDDLGRAVHRVGGGRITFYCPLLPAAAAAQPAIERNSHSFPPGFRRCRPPLTIPGGPRGQELSPEQLHIADFHLLRLHSYTTAWENLQLFVLRLTNESMRIVMVTIIGVLLGFFIGISFPSVSITKLHFPSSFVSYIEDRNSGLTTQALLNHAWTSARNARENSSEPSSNTTLKIYVPTNPKGAERLAPAIVVPETDFHLRRLWGEPSEDLPFKPKYLVTFTVGYAQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSKRAIHISARKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFNAEEYIKLVKKYHLEISQPGLEPDRGLTWQMTKRRGDREVHNFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGKSENGRPAWEGVRARCRKEWGMFQTRMAEAEKAYYKMMGITPPNSTLIGRVRVRVHPDRRWISPPRRHSLPDKEPMLRTGGGARATAAAQLLSSSSSPPPLRLPRRFLSLTATPYPLYYDLLLYRPSYPNPPKSSAADDRHTRPTDDHLDRAKRRYLRKRRSRLLPDPDATTAKPSSSSSSEFVELKPEVVDFPRLHAREEALYFHDAFALPWEKDKHYRMLYRLEKKYFPDQSLDNAFVPADASPPSSDAADKGLVFFDDDDNNKEAEVKKKKDGEDRGEVLERKVEDFFRSLKKGPGHGEANVMKAKKKEPPQVRHEADEWPQPHLASRRTELPPRWNGPTGTVVLIDKPKGWTSFTVCGKLRRLVNVQKVGHAGTLDPMATGLLIVCVGKATKVVDRYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIRKVAASFRGEIWQVPPMFSAIKVGGEKMYDKARRGETVELSPRRISIHQFDIERSLEDRQNLIFRVICSKGTYIRSLCADLGKALGSCAHLTALRRDSIGDFSVNDAWSFAELEEQITKGYL >ORUFI05G00570.3 pep chromosome:OR_W1943:5:408908:418113:-1 gene:ORUFI05G00570 transcript:ORUFI05G00570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGVDDLGRAVHRVRGGLVLRLTNESMRIVMVTIIGVLLGFFIGISFPSVSITKLHFPSSFVSYIEDRNSGLTTQALLNHAWTSARNARENSSEPSSNTTLKIYVPTNPKGAERLAPAIVVPETDFHLRRLWGEPSEDLPFKPKYLVTFTVGYAQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSKRAIHISARKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFNAEEYIKLVKKYHLEISQPGLEPDRGLTWQMTKRRGDREVHKVTEERPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGKSENGRPAWEGVRARCRKEWGMFQTRMAEAEKAYYKMMGITPPNSTLIGRVRVRVHPDRRWISPPRRHSLPDKEPMLRTGGGARATAAAQLLSSSSSPPPLRLPRRFLSLTATPYPLYYDLLLYRPSYPNPPKSSAADDRHTRPTDDHLDRAKRRYLRKRRSRLLPDPDATTAKPSSSSSSEFVELKPEVVDFPRLHAREEALYFHDAFALPWEKDKHYRMLYRLEKKYFPDQSLDNAFVPADASPPSSDAADKGLVFFDDDDNNKEAEVKKKKDGEDRGEVLERKVEDFFRSLKKGPGHGEANVMKAKKKEPPQVRHEADEWPQPHLASRRTELPPRWNGPTGTVVLIDKPKGWTSFTVCGKLRRLVNVQKVGHAGTLDPMATGLLIVCVGKATKVVDRYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIRKVAASFRGEIWQVPPMFSAIKVGGEKMYDKARRGETVELSPRRISIHQFDIERSLEDRQNLIFRVICSKGTYIRSLCADLGKALGSCAHLTALRRDSIGDFSVNDAWSFAELEEQITKGYL >ORUFI05G00570.4 pep chromosome:OR_W1943:5:412799:418113:-1 gene:ORUFI05G00570 transcript:ORUFI05G00570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGVDDLGRAVHRVGGGRITFYCPLLPAAAAAQPAIERNSHSFPPGFRRCRPPLTIPGGPRGQELSPEQLHIADFHLLRLHSYTTAWENLQLFVLRLTNESMRIVMVTIIGVLLGFFIGISFPSVSITKLHFPSSFVSYIEDRNSGLTTQALLNHAWTSARNARENSSEPSSNTTLKIYVPTNPKGAERLAPAIVVPETDFHLRRLWGEPSEDLPFKPKYLVTFTVGYAQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSKRAIHISARKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFNAEEYIKLVKKYHLEISQPGLEPDRGLTWQMTKRRGDREVHNFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGKSENGRPAWEGVRARCRKEWGMFQTRMAEAEKAYYKMMGITPPNSTLVLAACVCVSTLIAVGSPLLAVTRCRIRNQCFAPAAEREPRRRRSCSPPPPRRRRSASPDASSR >ORUFI05G00570.5 pep chromosome:OR_W1943:5:408908:412717:-1 gene:ORUFI05G00570 transcript:ORUFI05G00570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPGPPTTTSTAPSAGGALLPRRYFPDQSLDNAFVPADASPPSSDAADKGLVFFDDDDNNKEAEVKKKKDGEDRGEVLERKVEDFFRSLKKGPGHGEANVMKAKKKEPPQVRHEADEWPQPHLASRRTELPPRWNGPTGTVVLIDKPKGWTSFTVCGKLRRLVNVQKVGHAGTLDPMATGLLIVCVGKATKVVDRYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIRKVAASFRGEIWQVPPMFSAIKVGGEKMYDKARRGETVELSPRRISIHQFDIERSLEDRQNLIFRVICSKGTYIRSLCADLGKALGSCAHLTALRRDSIGDFSVNDAWSFAELEEQITKGYL >ORUFI05G00580.1 pep chromosome:OR_W1943:5:431862:436805:1 gene:ORUFI05G00580 transcript:ORUFI05G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPPPELDLLDTEPEFAEVDPTARYGRYTEVLGKGAFKTVYPSSHARFLGFDVRFRMAFDQLEGLEVAWNQIKVGDILRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDVRALKKWSRQILSGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPSSLAKIEDPEVRFFIEKCIAKASQRLSAQELLMDPFLRDDGEKIFYPLQSNTKASDGAGSSNSSMGYKYDRDASSMAIREHTGSFAEEHPSDRYIHSTMDPQAAAGRIITVESQMKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWALEESVENQGDERAHSETDSSEADDETSELRNEPNATHNGFVQEHLPSGHKYWSDSPRRNIEMSHSAVEPHIGGNMPNGILKKNDTDDTVSNLGTSVDLPNPSMIDRKSGVASVSTSPQSFDDEHIEADVSERLVNLLAQQQEELNVLRRKHKADIEVILKGVPEEHREETLTRCRLKADERNRSDKP >ORUFI05G00580.2 pep chromosome:OR_W1943:5:431862:436805:1 gene:ORUFI05G00580 transcript:ORUFI05G00580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPPPELDLLDTEPEFAEVDPTARYGRYTEVLGKGAFKTAFDQLEGLEVAWNQIKVGDILRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDVRALKKWSRQILSGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPSSLAKIEDPEVRFFIEKCIAKASQRLSAQELLMDPFLRDDGEKIFYPLQSNTKASDGAGSSNSSMGYKYDRDASSMAIREHTGSFAEEHPSDRYIHSTMDPQAAAGRIITVESQMKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWALEESVENQGDERAHSETDSSEADDETSELRNEPNATHNGFVQEHLPSGHKYWSDSPRRNIEMSHSAVEPHIGGNMPNGILKKNDTDDTVSNLGTSVDLPNPSMIDRKSGVASVSTSPQSFDDEHIEADVSERLVNLLAQQQEELNVLRRKHKADIEVILKGVPEEHREETLTRCRLKADERNRSDKP >ORUFI05G00580.3 pep chromosome:OR_W1943:5:431862:436805:1 gene:ORUFI05G00580 transcript:ORUFI05G00580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAGSSNSSMGYKYDRDASSMAIREHTGSFAEEHPSDRYIHSTMDPQAAAGRIITVESQMKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWALEESVENQGDERAHSETDSSEADDETSELRNEPNATHNGFVQEHLPSGHKYWSDSPRRNIEMSHSAVEPHIGGNMPNGILKKNDTDDTVSNLGTSVDLPNPSMIDRKSGVASVSTSPQSFDDEHIEADVSERLVNLLAQQQEELNVLRRKHKADIEVILKGVPEEHREETLTRCRLKADERNRSDKP >ORUFI05G00580.4 pep chromosome:OR_W1943:5:431862:436337:1 gene:ORUFI05G00580 transcript:ORUFI05G00580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPPPELDLLDTEPEFAEVDPTARYGRYTEVLGKGAFKTVYPSSHARFLGFDVRFRMAFDQLEGLEVAWNQIKVGDILRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDVRALKKWSRQILSGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPSSLAKIEDPEVRFFIEKCIAKASQRLSAQELLMDPFLRDDGEKIFYPLQSNTKASGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWALEESVENQGDERAHSETDSSEADDETSELRNEPNATHNGFVQEHLPSGHKYWSDSPRRNIEMSHSAVEPHIGGNMPNGILKKNDTDDTVSNLGTSVDLPNPSMIDRKSGVASQQEELNVLRRKHKADIEVILKGVPEEHREETLTRCRLKADERNRSDKP >ORUFI05G00580.5 pep chromosome:OR_W1943:5:431862:436805:1 gene:ORUFI05G00580 transcript:ORUFI05G00580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAGSSNSSMGYKYDRDASSMAIREHTGSFAEEHPSDRYIHSTMDPQAAAGRIITVESQMKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWALEESVENQGDERAHSETDSSEADDETSELRNEPNATHNGFVQEHLPSGHKYWSDSPRRNIEMSHSAVEPHIGGNMPNGILKKNDTDDTVSNLGTSVDLPNPSMIDRKSGVASVSTSPQSFDDEHIEADVSERLVNLLAQQQEELNVLRRKHKADIEVILKGVPEEHREETLTRCRLKADERNRSDKP >ORUFI05G00590.1 pep chromosome:OR_W1943:5:438615:439535:1 gene:ORUFI05G00590 transcript:ORUFI05G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHVVVQQRSGDQPAPSCDIAADEIPVNGHKPGRAVTASVYRAKIAGHSRVLTVSWSRDMLSHSFAVSVTGVDGASAECRVDLRPWQFWRRAGSRRVELAGTAPATVRVMWDLRRARFGAGLPEPRSGYYVAVEAAGEVVLVVGDMRKDALRRASPRAAPAACDAVPVARREHVFGKRRFAAKARFHDQGTVHDIAIECGGGGEGGDADMEMTIAIDGEEAVQVKHLQWKFRGNQSVTFSRAKVEIYWDVHDWLFSAGMRPALFIFRPIVLSSASAPAAAMLLDGSPPPPPATGFCLYLYAWKLD >ORUFI05G00600.1 pep chromosome:OR_W1943:5:444479:444803:1 gene:ORUFI05G00600 transcript:ORUFI05G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAIKGLFISCSSNASMPASDKFILHMLDPTHMFVQPHVADMIRSKISEFRDQNSYEKPS >ORUFI05G00610.1 pep chromosome:OR_W1943:5:446641:448861:1 gene:ORUFI05G00610 transcript:ORUFI05G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKVTVGVVLLLLCLCGGAACAAGRSGGEFSIVGYSEEDLASHDRLIELFEKWVAKYRKAYASFEEKVRRFEVFKDNLNHIDDINKKVTSYWLGLNEFADLTHDEFKATYLGLTPPPTRSNSKHYSSEEFRYGKMSNGEVPKEMDWRKKNAVTEVKNQGQCGSCWAFSTVAAVEGINAIVTGNLTSLSEQELIDCSTDGNNGCNGGLMDYAFSYIASTGGLRTEEAYPYAMEEGDCDEGKGAAVVTISGYEDVPANDEQALGVFDGPCGEQLDHGVTAVGYGTSKGQDYIIVKNSWGPHWGEKGYIRMKRGTGKGEGLCGINKMASYPTKDN >ORUFI05G00620.1 pep chromosome:OR_W1943:5:453123:455262:1 gene:ORUFI05G00620 transcript:ORUFI05G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRNSLRTIQEVMMSCYLQLARTRLTILRMSGTARLLVR >ORUFI05G00630.1 pep chromosome:OR_W1943:5:455766:473079:-1 gene:ORUFI05G00630 transcript:ORUFI05G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPEQQQVEEEGFDLPFFMEEEEAEVEAAKQKRREESLKPQRERQMTSTEAKERWARRKELSSKLFEYDPKTGTSFYTRAWFLDLTTFDLDKETQHGPMRFADSIIKEDHQFTSSLNVLSVKILSSDVGYPINLYGTVIVRDILDFNCITIFPRNRDNCQVIRSENEDLILTGPSRGIVFWGEIFFEINLKIREDEECIDREFSKGLVDMKIYFIESQPKIVSETLESRLSEVELVFNCVKKALEGTVEIKILSDAQVFHGKITACTTNVPNHAVLLYDSDVVGCSTTVGDDRVIQLLRRVVVVSVNEMLILNIHAQNDNVSSGCSLELSPFTRGSDEEEISCGLCKMRVKDDRLRGKRKGHSKGLLMIDGIQSTVELRYAVVKEAVEATVEIKAVEGCFSGEIAACTTNIQDGVVLLDSTITCCVMDDNGDVQLSRRVMAVQCKEKLLLTVVNQDDM >ORUFI05G00640.1 pep chromosome:OR_W1943:5:474011:474370:1 gene:ORUFI05G00640 transcript:ORUFI05G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGANNLTDTDMGRHYPCPSRPIAIPRDSGDGSRLGHLLLTKAASASTSPRHRCLRLLSHRPKWGGAANKEDAASCSGLSFLLPTHQPRSLPPAASSTPLPHHHPAGATAGWRNSALV >ORUFI05G00650.1 pep chromosome:OR_W1943:5:475725:476405:-1 gene:ORUFI05G00650 transcript:ORUFI05G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVAWVWMTEDAVASDELRRDRPRRAPGITDTGNELQRARRGERRRRMRPTVTWSSDCCLQLQHRRPPSTAPLLTLTSSSICVAGRCTLRQRPNSVASPLQSPPSSPAVDPAASPGLHPIHLLLQGSKETRRGAKSLLTWSWLAMECGRIIFYVVRIA >ORUFI05G00660.1 pep chromosome:OR_W1943:5:476694:483056:1 gene:ORUFI05G00660 transcript:ORUFI05G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVFDLPLFVEDDDEDAAAAAKQRRREESQKPRRPRPREPWFLDTPEMREKSARHSALAAKYWEHDPKMGISYYTRAVFCNLTTFDLDKETQYGPMRFTDSIIREDHRLTGSLNVLSLKVKSSDVGYPINLYGTVIVRDGLDFNCNFIFRRNRNNCQVIQSENENIILTGPTRGIVFHDIFFEINLKIKEYEECNDKEFSKGLLEMKFHTRKSKIVSETFESRLSEVELVSACVKEALEGTVEITILSGPKVFHGKISACTTDVSNYIVLYDSNVCGATSVGDDRVMQLLRRVVAVSANEILILNIHAHNIHQNDNVSSRTLRFTPLARGADEEVINCDLYKMQVKVVWSVFKG >ORUFI05G00670.1 pep chromosome:OR_W1943:5:484575:489592:-1 gene:ORUFI05G00670 transcript:ORUFI05G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGEAAAAAAADRDMELFRSGSAPPTVEGAMASAAAAAGDVFLDDELRADPVYQSYYYSNAHLNPRLPPPLLSKEDWRSAQHRLRSSALGGIGDGRRQPAAAAQGDGLVGLPGIDLDRQGSFSSIFQESSYQLDMGKQGADRNISDFLDSSRPQYALHRETSRAMGGLQSDSNIQSLAEVQNNDSSAHTYASLLGSSLSRSASPDPELVRRVPSPCLPPIGVKVSADDKKNNGGSSSFRRSSSAIGESDNLIAALSGMNLSSSGAASGQQTVTQSELYQDVDNVRKFLFDRQGDQSNGNQQHSYMKHPEQGHFKAPDGYSANSPNSSMIRNQINAASFTSFDNLSAGSGFASPRIGSRSPGGTLSSRQNLVGGSNFLNYNGIGSPNAATSLQTAIDPSYIQYLQAAEIAAQLAASCDDPLMASGHLGSSYMDLLGPQKAYASPLLQSQKNCGYYGNLGFGLGYSGSPLMSPVLPSSPAAPGSPLRHGERSMRMQSGIRNFGGSFGSWNPDLGGKMNINMMPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFSEIMPQALTLMTDVFGNYVVQKFFEHGSPTQIKELADQLIGRVLALSLQMYGCRVIQKVAIEVVGLDQQTKMVAELDGHVMRCVRDQNGNHVIQKCIECIPQHAIQFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPTTQQIMMDEILQSVCLLAQDQYGNYVVQHVLEHGKPHERSAIIEKLIGQIVQMSQQKFASNVIEKCLAFGNPVERQILISEMLGSTNESEHLEVMMKDQFANYVVQKVLETCDDQQREAILTRIKAHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPACTSAA >ORUFI05G00680.1 pep chromosome:OR_W1943:5:495796:496011:-1 gene:ORUFI05G00680 transcript:ORUFI05G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVRSAAAKRSWPEVVGMTMEEAKAAILKDKPDADIVVLPVGAPMTRDLRPNRVRIFGSATVAETPRVG >ORUFI05G00690.1 pep chromosome:OR_W1943:5:504932:506092:1 gene:ORUFI05G00690 transcript:ORUFI05G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSSSSSPPSAAGASSYWCYSCDRFVRAPAPHDDSAVACPDCGGGFLEEMSAPPPRAAYLRRPRAHHANDLRLRRTRRAAAAAAAGDRSPFNPVIVLRRSPAAAGDDDSLAAATSFELFYDDGAGSGLRPLPETMSDFLMGSGFERLLDQLTQIEAGGLARARENPPASKASVESMPTVTIAASHVGADSHCAVCKEPFELGDEAREMPCSHIYHQDCILPWLALRNSCPVCRHEMPTDAARPRPSNAGTEEETVGLTIWRLPGGGFAVGRFAGGRRPEERELPVVYTEMDGGFNNGGAPRRISWGSRQSRSTERSAIRRIFRNVFSCFGRSHSSNSQASSSHSRPELNDASDRSAVFSHGSRSRSTSWRLEDGHADAMVQR >ORUFI05G00700.1 pep chromosome:OR_W1943:5:508964:509341:1 gene:ORUFI05G00700 transcript:ORUFI05G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPASTAAAVVNALLLPRGRSSWSWSCCNNNNSSMGRRWRAAAVGEVAAEGNTYLIAGAVAVALVGTAFPILFSRKDTCPECDGAGFVRKGGATLRANAARKDLPQIVCPNCNGLGKLGQIDK >ORUFI05G00710.1 pep chromosome:OR_W1943:5:515088:515402:-1 gene:ORUFI05G00710 transcript:ORUFI05G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGGAREVHVMKKTCKNLKTKEAAAGGNVAVRKEQVMKTTKGLKKSPPPPSSSPEQVKMPCRSYSAENIKHRLTKTVKEHRARFYIIRRCIQMLICWRDEY >ORUFI05G00720.1 pep chromosome:OR_W1943:5:518646:528544:1 gene:ORUFI05G00720 transcript:ORUFI05G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIAARMPFAGPRLRPPCNLTASRPGPRRAGLAVVSAAGGGPLPTVLVTGAGGRTGQIVYKKLKERADQFVGRGLVRTEESKAKIGGAADVFVGDIRDPASIAPAIDGIDALIILTSAVPKMKPGFDPSKGGRPEFYFEDGSYPEQVDWIGQRNQIDAAKSIGVKQVVLVGSMGGTDVNHPLNKLGNANILVWKRKAEQYLADSGLPYTIIRAGGLQDKDGGVRELLVGKDDEILKTETKTITRADVAEVCLQALLFEEARFKAFDLASKPEGEGVPTTDFRALFSQVNSRF >ORUFI05G00720.2 pep chromosome:OR_W1943:5:518646:528544:1 gene:ORUFI05G00720 transcript:ORUFI05G00720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIAARMPFAGPRLRPPCNLTASRPGPRRAGLAVVSAAGGGPLPTVLVTGAGGRTGQIVYKKLKERADQFVGRGLVRTEESKAKIGGAADVFVGDIRDPASIAPAIDGIDALIILTSAVPKMKPGFDPSKGGRPEFYFEDGSYPEQVDWIGQRNQIDAAKSIGVKQVVLVGSMGGTDVNHPLNKLGNANILVWKRKAEQYLADSGLPYTIIRAGGLQDKDGGVRELLVGKDDEILKTETKTITRADVAEVCLQALLFEEARFKAFDLASKPEGEGVPTTDFRALFSQVNSRF >ORUFI05G00730.1 pep chromosome:OR_W1943:5:529517:534701:1 gene:ORUFI05G00730 transcript:ORUFI05G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLGKSPVAKEEDKEGLFASCSFTDLGLHPTLCAHLQDKMGFQAPTRIQAQAIPVAMSGQHMLVKAATGTGKTLAYLAPIVHLLQMREPRVERTDGTFALVLVPTRELCLQVYGIAQQLVHRFHWLVPGYIMGGENRAKEKARLRKGISILIATPGRLLDHLQHTSSFVYPNMRWIVFDEADSILELGFGKALEDILEHLGSRNDTSNQNKNKMEPMKRQNLLLSATLNEKVNRLAKISLKNPVMIGLDEQNSSAHGKNHTSLLSDDEEEILEKHNVTVEQAVDDFKLPAQLVQRYVKVSCGSRLAILLTILKSLFERQLSHKVVVFLSTCDSVDFHHTVLSQLEWSPGLQLDTDKKQKFISCKVFRLHGNMDQDDRKKSFLGFSSEKSAILVSTDVAARGLDFPKVKCIIQYDSPGEASEYVHRVGRTARIGEKGEALLFLQPIETDYLRDLELHGASLTEYPLQKVLDSFPVNGQRLHKRKQISLDMHPWIMSLQRALESFVTSEDTTKKLARDAFCSWVRAYTAHRGELKKIFMVKKLHLGHVARSFGLKEQPSLLGRSHQVQLKKRKKEQKRERPAKRRKIPAKR >ORUFI05G00730.2 pep chromosome:OR_W1943:5:533616:534951:1 gene:ORUFI05G00730 transcript:ORUFI05G00730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVFLINLQQGTQLPTGRDGIGGVSNSQCDPDGADEWDGSPEQAAGEAEHLPDPVHGVQQLILLQRRAQPSKHPSGKENPEAAINGGKKEEEEVYLVPGGLRVEVPGELGVSGAAVLLRLRARLLRLPHLARRRRRRRRRRRWDREGAVNKSMDGAGLVGGDFADASLESLDIAFTSLLVMVG >ORUFI05G00740.1 pep chromosome:OR_W1943:5:533427:539192:-1 gene:ORUFI05G00740 transcript:ORUFI05G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGTAAGTRGGGGGAELCRQMAAQLAAYQRGMAVERERQASTSANYRAALLSSRSIAQELSGLNLQLRNLEDGLAEALSVKAGKESKYQLTKETISSTAAINEKLEGMVTDQRNKRDHHAAVISNHLEAVEALEAKFIEDETRMKKIEEAVIWYSKFLGFQVVGGEGVKFIFNKIDLQSPDKEYSVTLKLAKDRYNLLQCDPSIKDSEELMKDLNLTNDLFKFVRIVRERFQAEAATVNGGLLMSSVVCPDASSIPVSPPMLMPLDSRTENVLDKSLSQSKNKGRNLPSKRGAAALSAASPGSAVSIVRRSPHFVGRKCNIQTFQGGICKIATNQTSSIHRLVDCSLAIPSPPPPPPPPPPSEMRKTKQSRAQAQQNGGAGHSKLARYFDPEASWDKDQLLDAVHWIRQVLGLACGLLWGAVPLVGAIWIALFVTISTGLVYWYYAYLLKIDEEDFGGHGALLQEGMFASFTLFLLSWTLIYSLVHF >ORUFI05G00750.1 pep chromosome:OR_W1943:5:539330:541644:-1 gene:ORUFI05G00750 transcript:ORUFI05G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTYRHDGPSAAATAPTRSPARGRPRRRAPEAAGGAAVAMAPVSPSLEVGACGCPGEERWDIYDDDSTAVESNE >ORUFI05G00760.1 pep chromosome:OR_W1943:5:541523:543519:1 gene:ORUFI05G00760 transcript:ORUFI05G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPPAASARRCSRRSTIRDSYYSGQPLIVDDMFDKVELKLRVYGSPSVVKYPRCSLKRQSTYADAEEDKSMFMALSSIWMLLLLFGTSAFLVPSLCILSLTFGDAFGARYLLYGAKSFDVITRVNDMVLIGLGYLIGYPISSASVGALQGLLTNNLVALKGSCPNCGEQVFAFVKTDNSIKAPHRAECHVCSCPLEYRTKVEKSLSGTRRTWVYGRVYLVKQGHPRKRKWFKD >ORUFI05G00760.2 pep chromosome:OR_W1943:5:541386:543519:1 gene:ORUFI05G00760 transcript:ORUFI05G00760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPPAASGARRLGRPRAGLRVGAVAAAEGPSCLYVGPIETASQEMLEALYHQARDSYYSGQPLIVDDMFDKVELKLRVYGSPSVVKYPRCSLKRQSTYADAEVTFWEGNKFSISTFDLTTLAILSTYSPMFRLAFGCRAGGQINVHGTLKHLDAAALVWHIGISRSLSLYSKPHFWGCIRSKVSLVWVNDMVLIGLGYLIGYPISSASVGALQGLLTNNLVALKGSCPNCGEQVFAFVKTDNSIKAPHRAECHVCSCPLEYRTKVEKSLSGTRRTWVYGRVYLVKQGHPRKRKWFKD >ORUFI05G00770.1 pep chromosome:OR_W1943:5:542715:546454:-1 gene:ORUFI05G00770 transcript:ORUFI05G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAKISSESPCRSASSPSGGTSKYASNSSVSAASVPPTPRSEGEILEAANVKAFAFNELRTATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTKPGTGMVIAVKKLNQEGHQGHREWLAEVNYLGQLSHPYLVRLVGYCVEDEQRLLVYEFMPRGSLENHLFRRSTHFQPLSWNLRMKIALGAAKGLAFLHSDKVKVIYRDFKTSNVLLDANYDAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGRRALDKNRPTGEHNLVEWARPYLMSKRRIFRILDARLGGQYSLAKAQKAATLALQCISVEAKNRPNMEQVVAVLEQLQDSKETGANPQLQKKSSSKNAGSNGSKPSLKGKPANARLKEADLLTAIWARTF >ORUFI05G00770.2 pep chromosome:OR_W1943:5:542715:546454:-1 gene:ORUFI05G00770 transcript:ORUFI05G00770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAKISSESPCRSASSPSGGTSKYASNSSVSAASVPPTPRSEGEILEAANVKAFAFNELRTATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTKPGTGMVIAVKKLNQEGHQGHREWLAEVNYLGQLSHPYLVRLVGYCVEDEQRLLVYEFMPRGSLENHLFRRSTHFQPLSWNLRMKIALGAAKGLAFLHSDKVKVIYRDFKTSNVLLDAVRSPQNYYPLCSQDSLTGDLIDRLYFQNYDAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGRRALDKNRPTGEHNLVEWARPYLMSKRRIFRILDARLGGQYSLAKAQKAATLALQCISVEAKNRPNMEQVVAVLEQLQDSKETGANPQLQKKSSSKNAGSNGSKPSLKGKPANARLKEADLLTAIWARTF >ORUFI05G00780.1 pep chromosome:OR_W1943:5:556412:557933:1 gene:ORUFI05G00780 transcript:ORUFI05G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMEQGLRPVLQVTDVRPAAGRYLVALFDGTKSGQGVLVASMAHLVRACAIRAGTIIRVLDYLCIDTSLTPIAALSPYKCKWTIKARVTAKSGLQHLSNDRGEAKFFDFDLLDEQGGEMLAKCFSSAAEKFYGLIEVDKVYLISRGLVKPAQEPFNSDYELALDASASVEGSSPVHAASGANQRLSRAMSAH >ORUFI05G00800.1 pep chromosome:OR_W1943:5:565488:565856:-1 gene:ORUFI05G00800 transcript:ORUFI05G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAMAAGGGEEEEDKARMEAVADKLQTRDAIRLYNWVSHRCFSDCVTTFYRRTLGKKEEDCVRSCVRKFLLLSSASAARFAHLADPSSAFDD >ORUFI05G00810.1 pep chromosome:OR_W1943:5:566442:569639:1 gene:ORUFI05G00810 transcript:ORUFI05G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGFSGSISSPRIDVAIDMGNPFLNRTVDGFLKIGAVGACKVAAEDTFDCLHRGDVSKHKLEHMLKKMCKEGAYWGTVAGVYVGMEYGVERIRGRHDWKNAMIGGALSGALISAASNNHKDKIIKDAITGGAVATAVEFINYLT >ORUFI05G00810.2 pep chromosome:OR_W1943:5:566163:569639:1 gene:ORUFI05G00810 transcript:ORUFI05G00810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGFSGSISSPRIDVAIDMGNPFLNRTVDGFLKIGAVGACKVAAEDTFDCLHRGDVSKHKLEHMLKKMCKEGAYWGTVAGVYVGMEYGVERIRGRHDWKNAMIGGALSGALISAASNNHKDKIIKDAITGGAVATAVEFINYLT >ORUFI05G00820.1 pep chromosome:OR_W1943:5:571794:572918:1 gene:ORUFI05G00820 transcript:ORUFI05G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKCNGEIARLCGWWTLDRSPPFFLRISPQYASLLSRLYIPPPPRSSVHQQPKQEAILELNQQQHLSPSCLVKSFALPRRRCLAAAATAAAAAAASAAAAAADARCSLMWRPQPPPRPSSSLLHPTRRALEEWRWRWRAARTAAAAATPASVAPAAAAAPAAPATESIVVVARLHEDLSYGCCYCRSEL >ORUFI05G00830.1 pep chromosome:OR_W1943:5:578093:579171:1 gene:ORUFI05G00830 transcript:ORUFI05G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADVAATKDNVVDRRRSFLCGLVVWFWTGNRGRKPCRAVWPANDGDAVWRRSPSWRRRFRLPLSFPNHIFRVKTLLRSERAVAAIHVSSSLGAPLWRNLFVQGLSKGLCIGFELQS >ORUFI05G00840.1 pep chromosome:OR_W1943:5:579412:591067:-1 gene:ORUFI05G00840 transcript:ORUFI05G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRCETGFMFITSKGYSQSISFTSLLSKNQSPTASAMVYSADSTLDHEQNIEEVNQEDWRNTDTFMDDSDETAAAAAIRCAHRSEGGSKWRRRGGRRQKWAAREGHNER >ORUFI05G00850.1 pep chromosome:OR_W1943:5:580175:583128:1 gene:ORUFI05G00850 transcript:ORUFI05G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLASLATPCFADAAAGGGRGRGHHAAGDDAVAFDDDDAAGGCNSIGHILSFDGRDAPAFAIHGVLRGGGGGGASVLNDGALSIGSSSFDSSNSFSFRTLQPRQYSGPLEYCTTSPSTSGASSSRQLGPRTDKQILNDIYANRQRRRCQGSKGPPLLGRLRKAVASLLRAGPCGFPEQEEPAAMINGVGVVRNGEESISRNVDAAAADDGAERVQWARGKAGEDRVHVVVSEEHGWMFVGIYDGFNGPDATDYLADNLYAAVCRELNGVLSEDEPDPPEAAAAAGRCNGCGGAAQHREVLDAMARALRRTEEGYFAEAEARAAECPELAMMGSCVLVVLMKGADVYAMNVGDSRAVLAHQAEPDLSHVVLPRGSHHDGDGDLAGVKEAIKRQFDECEMGELAALQLTMDHSTNVYKEVRRIRSEHLDDPGCITNGRVKGCLKVTRAFGAGYLKEPRWNKALLEVFQVDYVGSSPYISCRPYIRHHRLGAQDKFLILSSDGLYDYFTKEEVVAQVEAFTAGYPDEDPAKDGVP >ORUFI05G00860.1 pep chromosome:OR_W1943:5:584175:592794:1 gene:ORUFI05G00860 transcript:ORUFI05G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECQIEFAGILSNDKFQNPDFYNWNKVKIRYCDGASFSGNVKNELQNGTKFFFRGQRIWEAVMSELLLKGLRHAKQAFLTGCSAGGLATFIHCDNFRTLLPKDSRVKCLADGGFFLDVEDISGQRTMRAFYNDVVRLQDLRGRFPHCGPNMDLGQCFFPSEVVKDIITPVFVLNPAYDAWQVQHVLSPVASDPQHSWLECRLDISKCDSNQLEILQGFRKKLHDTISELKHKKDWGFFIDSCFIHCQSLNSLTWHSPSSLRVNNKTIAEAVGDWFFDRREVKEIDCEYPCNPTCHNLGRARGSLRKEKEANENESGQDQENESTVGAQEKVQIARTTIQLQATTVFILSA >ORUFI05G00860.2 pep chromosome:OR_W1943:5:584316:592794:1 gene:ORUFI05G00860 transcript:ORUFI05G00860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTSSASAPASHHLRLWWRRRGRGGAVGATFTVALLAAALLLALSLYASSLPRAPTTPSSSSNLVGLTLVRRSKEKGAVCLDGSAPGYHLQRGSGTGSQNWLLHLEGGGWCRNLRSCASRQKSVLGSSQYMECQIEFAGILSNDKFQNPDFYNWNKVKIRYCDGASFSGNVKNELQNGTKFFFRGQRIWEAVMSELLLKGLRHAKQAFLTGCSAGGLATFIHCDNFRTLLPKDSRVKCLADGGFFLDVEDISGQRTMRAFYNDVVRLQDLRGRFPHCGPNMDLGQCFFPSEVVKDIITPVFVLNPAYDAWQVQHVLSPVASDPQHSWLECRLDISKCDSNQLEILQGFRKKLHDTISELKHKKDWGFFIDSCFIHCQSLNSLTWHSPSSLRVNNKTIAEAVGDWFFDRREVKEIDCEYPCNPTCHNLGRARGSLRKEKEANENESGQDQENESTVGAQEKVQIARTTIQLQATTVFILSA >ORUFI05G00870.1 pep chromosome:OR_W1943:5:591376:593713:-1 gene:ORUFI05G00870 transcript:ORUFI05G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGVSCARTGDEHDFFRAAQLGDLDALAALLAADPSLARRATLYDRLSVLHIAAANGRIEVLSMFLDRGAPPDAVNRHKQTPLMLAAMHGKIDCVLKLLQADANILMFDSVHARTCLHHAAYYGHVDCLQAILAAAQTTPVADSWGFARFVNVRDDHGATPLHLAARQGRPGCVQVLLENGAIVSALTGSYGFPGSTSLHLAARSGNLDCIRKLLAWGADRLQRDSAGRIPYSVALKRNHGACAALLNPTSAEPMVWPSPLKFISELEPEAKALLEAALMEANREREKKILNGTKYSLPSPSPGDDSADDDACSEVSDTELCCICFDQACTIEVQDCGHQMCAPCTLALCCHNKPNPTTLTPPSLACPFCRGSISRLVVAQTRSACDPDKPSSPQLTRKRSRRSHNLSEGSSSFKGLSSAMGSFSKLGRGSSRMADSDSSNLDKPEHDL >ORUFI05G00880.1 pep chromosome:OR_W1943:5:599354:601099:-1 gene:ORUFI05G00880 transcript:ORUFI05G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKALGAVKDQTSIGIAKVSAAIAPELDVAIVRATSHEDAPAEDRHVREVVTLTAHSRPYTAACAASLSRRLSRTRDYVVAAKCLALAHRLVADGDPHFRHELVRPAGRRGEPMLALLAEFRDEAHSASWDHSAFVRAYALYLDHRARFLISLLPAPRTVRFADETGSPPRGSTAATVQEMDTEALLGRALQLRQLLDRVLACRPSGGARQSRVVLATLYPVVKESTQLCSDVAVVLAVLLDRFFDMDYPDCVKVFEAHVSTAKQTDDLLAFYGWCDDVRLARPADFADVKRIDDKLLETLEQFVRERGRAGHSSPPPWQQQQQQTAQSDELDMNGIKALPAPEHHAAEPSRSAPEKVAPEQMAPPPPPPQTGDLVDLREPVVEDEQENKLALALFSGTENGGWVAFPSDDAAEVTSAWQTPAAEAGKAEWELALVETASKLSRQKASMGGGLDPLLLHGMYDQGAVRQQVGAHEAATGSASSVAAPRRAPVLALPAPDGTARTTGGDPFAASLGVPPPAYVQMAEMERKQQLLVQEQQMWAQYRQGGMQGQVAMDRLAAGAMPVQYGMPMASAYGGYY >ORUFI05G00890.1 pep chromosome:OR_W1943:5:608680:610117:-1 gene:ORUFI05G00890 transcript:ORUFI05G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPPAFLDSSSWNDNNNNNHNQQQQQQQQQHAHGHHQHHQVAAGCGGGGGGGDGNSHELLQQQSMIPGTLADGGGGGGAVGPAKPMSMSERARLARIPLPEPGLKCPRCDSTNTKFCYFNNYSLSQPRHFCRACRRYWTRGGALRNVPVGGGSRRHAKRAKPKPASAAGSASAATTTAGSTPAGSTTTTTTSSTCATPNAPALPAMLGGNLSILPPLLRLADFDAMSLGSTFSGMAAPAGKPPPVDAAGCYSVGAATGLEQWRLQQMQSFPFFHAMDHQAAMAAPPPAMAMPGMFQLGLDGDGHGGGGGEDGGELHHAMPSSKREGYPRGMYGDHHLAGGYTSYSSATTGNHLL >ORUFI05G00900.1 pep chromosome:OR_W1943:5:624169:624603:1 gene:ORUFI05G00900 transcript:ORUFI05G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGEGEDEMRWRLLTFEGGKVDLFRGRRSGRRRSTRRGGKPATPPGTRSSLEYDPKHGCRAGIRPDYPRPRNGRAWAVPPILYH >ORUFI05G00910.1 pep chromosome:OR_W1943:5:626304:626600:-1 gene:ORUFI05G00910 transcript:ORUFI05G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWRLDLELGRAERYSMEIGDCRTGEVEMVPPLAGAGLPCARAAVLVQQFVAAAATEGVGTRSREEYANVNLILFPTGCNPKNCVFTILPFAFVVSH >ORUFI05G00920.1 pep chromosome:OR_W1943:5:626399:627167:1 gene:ORUFI05G00920 transcript:ORUFI05G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAMDSSDTPTPVDGSTPTSTAQESASEPKTRRKTDIAWAYCTHITQGGEKRSNACTVTWFVAGSI >ORUFI05G00930.1 pep chromosome:OR_W1943:5:631820:633102:1 gene:ORUFI05G00930 transcript:ORUFI05G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDGGGDEWSGLEPFFYDEAVARADGERALERQREKERKEAEHQAWREACDAARDKILEYDPKHGCRAGIRPKHGCRTYTRLWFCSSILFNLDEESPIGPLCRTVDRGQPPLHRAGDSRLRMSLNVLAVNIVSSDVGYPVLVYGTVIARDDETLVLTGPTRSIEVSDSVFFEVNLKLKEEEDDGDVVVDDREFSKGLIEFRSLSMPRGVEDVVVGSCSTLDSRLSTVELSYAYIGGAVEAAVDVTLRLPAAAGAGRHRRCFHGQITACSSSIPDASIVLYDSSKVNATSNSSAGGAAGDVAVDLARRVMAVRAADELVLTLIAAAGDADCHHYRTNVEFTPRICGSESLEVSICGIKLLIKVSWSAF >ORUFI05G00940.1 pep chromosome:OR_W1943:5:634589:636899:-1 gene:ORUFI05G00940 transcript:ORUFI05G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDEVQPTTTGPSLVRRPKTKRQRLSEVPLGREFTSAAAAAASLRLERRSQRRRRRIAGGSLISSPPIFRRRRRSSAGGTRVNLLSDHTKERGTAVPMGMKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRPKLTLKDKWCILKEATRLYGASWVRDIGPDLRPNDYKKVKEEPDIKTEEGSSEPTTVEDLLGALKGGAEKAKPALQRMYMARASNYTDALKNYVESYKEGLKEHLEEEAMGKGHRQGNDATKPPQSPSS >ORUFI05G00950.1 pep chromosome:OR_W1943:5:637345:638163:-1 gene:ORUFI05G00950 transcript:ORUFI05G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSCSPLVAVLVALLLVAATCRGDIPAPDCALCASAAARQLAGACRGRADARVWYDYCFARYDDADFVGLPDTGYALILLNTQNATDPEAFEKAQRKVMARVAADAGDAGGGGLARETARFKDGVTIYGLGWCTRDITAADCGLCVAQAVAEMPNYCRFRRGCRVLYSSCMARYETYPFFFPLDGGQSADASASAAGDYDRVVLNP >ORUFI05G00960.1 pep chromosome:OR_W1943:5:640820:642805:1 gene:ORUFI05G00960 transcript:ORUFI05G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSCIKRLPRFALRRGVSDAFLRPLLRTAFLLRRRRRLSTAESTHPPRRGRFRRDPDSDESKLVSLARQRGAAAARAMFDGMPRRDDAVAYAAMVGIHLWDRDLPHAEALYRAAPPDCRGIHLDTVMLDGYVKAGQVDRARRLFDGMAVKNVVAWTCMVSGYCRAGLVDEARRLFDLMPYRNVFSWTTMVQGYAHNGMLREAREMFDKMPERNVVAWTVMVKAYVDNGCIQEALELFNRMPQMNSYSWNAMATGLMSAGKVDDAVQLFDKMPHKNVVSWTIMVTGLAQNGFVSRAREFFDRMPKKDIPAWNSMITAYTNDGQVNDAQRLFDIMPSKNLVTWNIIIDGYSMNNLKDEALRLFLLMLRSAVSPDSTTLISVLVVSESTMEVRQIHGLSTKLGYQPETNLGNTLVSMYSRSGDLSSAWLAFRRLNEKDAITWTSMIQALANHGCAPCALQGFAQMLRCGYKPSSTTFTAVLSACNHVGLVEKGRKIFKSISHVYGLGPTIEHYSCLVDLLGRAGYVREAKEVVDGMQRDMCDEAILGTLLGSCMTHNEVEVGRAVGEDLVKIDPSGSGGYTLLANVFASGGMWNEVASVWKIMKGSKVKKTPGFSQIEVNARNHVFYSRDQMHSQRTEIYEMLNSRLVPQMKGSSSLGTDFY >ORUFI05G00970.1 pep chromosome:OR_W1943:5:643279:646083:1 gene:ORUFI05G00970 transcript:ORUFI05G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLIILGRTEKCRYEEAGGASEVRTSFMPPAVFIAVLMIDGWIDRYWGWDDEELRSSKRQLCWERPLSNPRS >ORUFI05G00980.1 pep chromosome:OR_W1943:5:648025:652024:1 gene:ORUFI05G00980 transcript:ORUFI05G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVGEVAAAEARSGMVLGGGAAAAAVGGGEDAVVMQVAGAEGQDSVITINCPDQAGLGCDLCRTILEFGLRITRGDVSTDGQWCFVVFWVVPRTPSIKVRWANLKNRLMSMCPSNYPMTFYPEITQPGPSQFYLLKLFSADRKGLLHDVTHILSELELIIHRVKVSTTPDGRVIDLFFITDGMELLHTKERQEETCSMLIATLGPSISCEILLAEGFQQGFSSLPPTISEELFRLELADGDNCSRSICAEMKRVQKATINFDNTLSPAHTLLQINCADQKGLLYDILRTMKDCSIQVTYGRFWSDKKGFREVDLFIKQADGKKIIDPEKQDVLSSRLRSEMLHPLRVMIVNRGPDVELLVANPVELSGKGRPRVFYDATFALKALGICIFSAEIGRQAASERQWEVYRFLLDDSSEFPLSNSLVNRNRIVDRANAQTRTTPAGGFERRTDGDT >ORUFI05G00980.2 pep chromosome:OR_W1943:5:648025:651979:1 gene:ORUFI05G00980 transcript:ORUFI05G00980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVGEVAAAEARSGMVLGGGAAAAAVGGGEDAVVMQVAGAEGQDSVITINCPDQAGLGCDLCRTILEFGLRITRGDVSTDGQWCFVVFWVVPRTPSIKVRWANLKNRLMSMCPSNYPMTFYPEITQPGPSQFYLLKLFSADRKGLLHDVTHILSELELIIHRVKVSTTPDGRVIDLFFITDGMELLHTKERQEETCSMLIATLGPSISCEILLAEGFQQGFSSLPPTISEELFRLELADGDNCSRSICAEMKRVQKATINFDNTLSPAHTLLQINCADQKGLLYDILRTMKDCSIQVTYGRFWSDKKGFREVDLFIKQADGKKIIDPEKQDVLSSRLRSEMLHPLRVMIVNRGPDVELLVANPVELSGKGRPRVFYDATFALKALGICIFSAEIGRQAASERQWEVYRFLLDDSSEFPLSNSLVNRNRIVDRVRKTLLGCYN >ORUFI05G00990.1 pep chromosome:OR_W1943:5:657860:662404:1 gene:ORUFI05G00990 transcript:ORUFI05G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSSPVTEAEMATVKTSSNGVWQGDDPLHFAFPLLILQALLILLLSRLLALLLRPLRQPKVIAEIVAGILLGPSALGRNKAYLRALFPPWSAPVLESVASLGLLFFLFLVGLELDLRSVRRSGRRAFAIAAAGISLPFACGVGVAFVLRGELPGAARAGYAPFLVFMGVALSITAFPVLARILAELKLLTTPIGETALAAAAFNDVAAWVLLALAVAISGSGDHRSPIVSLWVLLSGAAFVTIWMVFVKPAMAWVARRSDGQGGGEVWVAATLAGVLASGLATDMIGIHAIFGAFVFGLTVPKEGEFAGRVTERVEDLVSELLLPLYFASSGLKTDVATIRGGGAWGMLALVIGTACAGKIVGTFAVAMACGMSAREALVLGVVMNTKGLVELIVLNIGRERKVLDEETFAILVLMALVTTFITTPTVMAIYKPARNAGRRRLHHRKLHGPSAPSSPSAAATAGAKELRVLACIHGGHDVPALINLIETIRGHTQPRRLVKLYILRMVELTERTSSILMARAARRNGVPFLRPRRGGGDQVDVAFDTYAQLGHVHVRPMTAVSALHTIHDDVAAVAEDKRVSLVVLPFHKRHPGHGHGDDLGPEWRAVNRRILREAPCSVAVLVDRGFGGGEQVSSEQVAHGVCVVFFGGPDDREALELAGRMAEHPGVQVTVVRFVDGKEGSEEHAEVTLRPSNTKNADKSYTFSTAIVDTHKEKELDEAAVAEFRQRMGAMVRYEERVVVGNVIEEVVSIGKSREYGLVVVGKGRLPSAMVAELAVRAAEHPELGPIGDALASAGHGVTSSVLVVQQHDMSNADELPVSIVVDGHAHDDGELGGNKDMAEP >ORUFI05G01000.1 pep chromosome:OR_W1943:5:664459:668268:1 gene:ORUFI05G01000 transcript:ORUFI05G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVDDECKLKFLELKAKRTYRFIIYKIDEKKKMVVVEKVGEPVLNYDDFAASLPANECRYAIFDYDFVTEENCQKSKIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEVGLDVIRGRAN >ORUFI05G01010.1 pep chromosome:OR_W1943:5:665797:667639:-1 gene:ORUFI05G01010 transcript:ORUFI05G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHSCGDLGRVSEVISDGTSSGSAGGGKSLPEKHSALADATSGVAAENADNAWEAGMAMDFSNSTSLGVKKGFQKCMTFPPSGGEAQQQQGSCCDADKVPKDAPTYERSVSLPPTLKIISAMKGSRQKNGMASPTESRHVKWAPDVYDPPVTSVCHSVNNSYQRRSKSRKKDKNKQKQKQKQKGRSKKNHQNAIQSSAVLQAPGLKDAETTSSNSAPDDLNKHETDILDYGISSQDAKCGSSFLRESAAKMHFSTAEAS >ORUFI05G01010.2 pep chromosome:OR_W1943:5:665797:667639:-1 gene:ORUFI05G01010 transcript:ORUFI05G01010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHSCGDLGRVSEVISDGTSSGSAGGGKSLPEKHSALADATSGVAAENADNAWEAGMAMDFSNSTSLGVKKGFQKCMTFPPSGGEAQQQQGSCCDADKVPKDAPTYERSVSLPPTLKIISAMKGSRQKNGMASPTESRHVKWAPDVYDPPVTSVCHSVNNSYQRRSKSRKKDKNKQKQKQKQKGRSKKNHQNAIQSSAVLQAPGEFDRLKDAETTSSNSAPDDLNKHETDILDYGISSQDAKCGSSFLRESAAKMHFSTAEAS >ORUFI05G01020.1 pep chromosome:OR_W1943:5:672601:673151:1 gene:ORUFI05G01020 transcript:ORUFI05G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGVGGKAAKKAVGRKLGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEASHCLSLPLPNPSNPAWLTGIVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAEKADKPAKASKDKAAKSPKKQARS >ORUFI05G01030.1 pep chromosome:OR_W1943:5:674120:677205:-1 gene:ORUFI05G01030 transcript:ORUFI05G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGASNEGGPKKREGFLLLPPPRTAPPRLFVPRFRSTTPTKVVAMAPAVEAVEKKTSSAPIKAPALNERILSSMSRRSIAAHPWHDLEIGPGAPTIFNCVIEIPRGSKVKYELDKKTGLIVVDRVLYSSVVYPHNYGFIPRTLCEDSDPLDVLVIMQEPVIPGCFLRAKAIGLMPMIDQGEADDKIIAVCADDPEYKHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASAAYEAIKHSMDLYATYIVEGLRR >ORUFI05G01040.1 pep chromosome:OR_W1943:5:685365:690503:-1 gene:ORUFI05G01040 transcript:ORUFI05G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGNARFPKSSSWPRTKTVVKKWLNLKNEEFHSDCINESFAQGRQERRKSCSDKDGSLLTGRDLSGGWLVESSENLRPPARYGSHPPSSSCQPPKELRMFVGTWNVGGRAPDQGLDISSWLLDQQPASSPAHIYVLGFQEIVPLNAGNVLGAEDKGPTYKWLDLIRRALNPSSSERSHSFPSNYPYATEASPERPKNERVSFSDLLAMEDRLSMVSELDDDSEPSTSNPESSSEEETTDVAARYPRSAGQGYRLAASKQMVGIFLCVWVRADLMPCITSLRVSCVGRGIMGYMGNKGSISISLTVQGSTTMCFVCTHLASGEKDGDEVRRNSDVVEILKRTRFTRRRRLFAPAAAVPSPETILEHDKIIWLGDLNYRLTGSGSGDTQELLDKNDWQALLEKDQLRVEQRAGRVFGGWEEGQISFPPTYKYLADSDTYAAAAAFTSSANKKRTPAWCDRILWRGSGMEQVRYARGESRFSDHRPVNSLFSVQLAAGGNNAKPDHLHLLLLRPRAAGPQAAAGIGLRSSRF >ORUFI05G01050.1 pep chromosome:OR_W1943:5:700019:700330:-1 gene:ORUFI05G01050 transcript:ORUFI05G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRADAPLLGSHAPLPGGPAPPRRGLERRIAARGRRAVAWEPRAAVGSPRATTARPGAPHRRGLGVSRRHQGSPCHCLGAAPPPRAPTPPPIGPPHRPRRP >ORUFI05G01060.1 pep chromosome:OR_W1943:5:701760:702998:1 gene:ORUFI05G01060 transcript:ORUFI05G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRPDTRQERRTGRRRERRARAERHGMDGDGTHCYGGDRLRRAGTGVERGSWVGWPGRSPSPASPAGTGIDLDRVDMSGGGDGGGRRRRRPSHVCLPPPSSCSNDWSPSVRRRWSSGRAPPPPLPHLCRSQSQAAASRPRLRRSPPSPPAAPALAVRRRR >ORUFI05G01070.1 pep chromosome:OR_W1943:5:709829:710425:-1 gene:ORUFI05G01070 transcript:ORUFI05G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFAFEDSDMARVLMLMSSHGQQEQALALPVPVQLPLAAARGDRAPERAFVCKTCNRVFPSFQALGGHRASHKKPRLDGDGDLSLSKPKLHGCSICGLEFAIGQALGGHMRRHRAMTGGMPRAIVVDKKPDVVDVHVHGHDDDGGIKRGGLWLDLNHPPCDDAGDDDAECGHNAAGAGITFHQFLDTGAMAVDCVGY >ORUFI05G01080.1 pep chromosome:OR_W1943:5:715298:718150:-1 gene:ORUFI05G01080 transcript:ORUFI05G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLARKKLKQKLREVVLNPGGDAEGEVEAEEGVVSSSRSKRVRPKRPKKVMTPMEAVERRKLKREEQFRREVELRREERRKVKKEKRRIRRLMETEAAGEVGEAAAEEEEEEHEAETEGEEEAAAEEQVPAKVVEKRKPKSEPKVKNQKQLQVADHAVGSDDPVLSEESEKNAKKVYVGGIPYYSSEDDIRSFFEACGSITSVDCMTFPESGKFRGIAILTFKTDAAAQRALALDGADMGGFFLKIQPYKSVREKEDFAPKMIEGYNRVYVGNLAWDITEDDLKKFFSDCKISSIRFGTDKETGDFKGYVHVDFSEGTSVAVAMKLDQKVIKGRPVRIRCAVPKKDNQKLNGNANPDSSNNKIRICYECGTLGHISSSCPNKKASEAISDEKKANVDSVTASSKKRRTCYECGIPGHLSSACPNKKAGDAVSSDKGPDDETKSAPSITPEDNKIGEESNSAPSKKRRKCYECGIPGHLSSACPNKVAAVNSDMEKSYGGSSTIPSATSDGNKASDDTNPVPAKKKKRRTCYECGIAGHLASECPNKTT >ORUFI05G01090.1 pep chromosome:OR_W1943:5:718304:722144:1 gene:ORUFI05G01090 transcript:ORUFI05G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAATATAAEAAARVYPWREKLAKYKGELTKGVWGYWELGAWKPLGLSARKRARLRKEVLLAGEDWTYDPPRGEMRTKRKGHKCDRISAEKRANTVELMKKMPQMLLDYKKRRWEKKMKEEESGKS >ORUFI05G01100.1 pep chromosome:OR_W1943:5:718937:740954:-1 gene:ORUFI05G01100 transcript:ORUFI05G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKRGGRRVTLVADTWVKPPRPTCARETAIQRRRKKKKKKKQMESTVYLLSRAPTRTLTGFHPDTVAIKQYT >ORUFI05G01110.1 pep chromosome:OR_W1943:5:729349:731358:1 gene:ORUFI05G01110 transcript:ORUFI05G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKKAATMAEAEAEEEMMMRMMCGSGGNEMMKTKMKKKNREGEEEDEVSGGGGRMRKGPWTEQEDVQLVWFVRLFGERRWDFLAKVSGLKRTGKSCRLRWVNYLHPGLKRGRITADEERLILHLHSQWGSRWSRIARSLPGRTDNEIKNFWRTHMRKIAHHAKKKTNSPSPAPTTSSGSLSSSLTTATTTMATAAALQESSSCGGDDEAVDQLVAAATTPASQLLTMDYTMDQLWNDIAAAEADTSCYDAAAMASPPSPVWEFCTDYSLWRIDDEEYYKKMLDASQ >ORUFI05G01120.1 pep chromosome:OR_W1943:5:762814:765679:1 gene:ORUFI05G01120 transcript:ORUFI05G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPLPLGFGDGLDATLLSSLWAFQDDLQPQESMEELKQSLMATTLELDAAKEELKKKEQSIAKLADLVRHVAKERDDARDQLQRLLAAAAAKPPPLVTSSVTDSDGGSLVSSPAADNPFFDPVTSSDKRCCAIASPPPAAKQQHAAAAGADAVLDMLAMKKPLPQRGRLLQSVMEAGPLLQNLLVAGLLPRWRNPPPVALDTLPVGVRAGGAVYPGASLSAGITSSAAVIGYGGGANACGKRPMAAAAAAVAASCSPAAFSAKRHRLH >ORUFI05G01130.1 pep chromosome:OR_W1943:5:764912:768730:-1 gene:ORUFI05G01130 transcript:ORUFI05G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRGGGGDRPAHGGEDAGPRVEEAGSCFFFSVDGRCRLALNAAGEQLAATAAAAAAMGLFPQALAPPP >ORUFI05G01140.1 pep chromosome:OR_W1943:5:769055:777681:1 gene:ORUFI05G01140 transcript:ORUFI05G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQVAHFVRLRSSVRSSRYLAAADDGTSVFLCGRRGVHNAVWAVEPVIGVIPGASAGPYVRLRGAYGRYLVATNYGAGRGPSDGVVAEQRDLGVRPTPPGYLWQAFRRRDSFVLRNGAGRYLRANGRFRRWHKDVSVAGDNASTMMQWRVEVVPPMASRPSLVDLPAQLMHRTNPPVESDLSRVIRYVRADNAGRYGEQEWAPVRVNTNNLTHLRLTMAERLGQNCDAGQITLCVRAGRYAHLSPLLVDLPMGNNPIHIVVLNHGTPARENPQTMGSRSKISLKLLVDSKSKKVLFAEAGKEFVDFVFSLLTLPVGAVVKLISAGTMQGSIGRLYQSVEHINASYLLPNKDRADLLQPKVLHPDARELLLLQPESGGAGGSPLARFKLYTCAGHCTTAAMEAKAACPQCKKAMDTEVALVLPSASSPAQSSAAASGGDGESSGYVKGLVTYMVTDGLEVTPMSAISSITLINKFSVGKDVELAEKYVSIAMDEGLGILKAALRSDTVLSDVFLAKKK >ORUFI05G01150.1 pep chromosome:OR_W1943:5:778879:790113:1 gene:ORUFI05G01150 transcript:ORUFI05G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAASSSASASAAVAGTLPVAAAATGKDKEDRRRLVGRCGFAVVGIMSTLLIYGLLQEKIMRVPYGAEKEFFRYSLFLVFCNRITTSTVSALVLTASKKSLDPVAPLQKYCVVSVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMRKKYGGKDYFFAVVVTVGCSLFILYPASMDASPFNRGRENTIWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTMCSCVLSLSDCFYDVIILSSVATASQFFISYTIRTFGALTFATIMTTRQAIVFGALYTKSFLRSKPQKPAAANPSNSANNS >ORUFI05G01160.1 pep chromosome:OR_W1943:5:786099:790171:-1 gene:ORUFI05G01160 transcript:ORUFI05G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRSVPLALRLSAPCKTKTPASNRGPHRAAARTNLGADRTASPALKTLTHFPSSPLLSSPLTRDLAARLDLPICSSPSAAIRRGFASPVVRFGWPWPRPTTAEGPLNITRRSLDEWPRAGSDDVGEWPNPTTPGASKAEGAGSAKPGEGLRLDLSSLRSQGRKDQIAFFDKECSKVADHVYLGGDAVAKNRDILRKNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQLVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSPYAPLHLVPKMLNEPSPAALDSRGAFIVHVLSSIYVWVGMKCDQVMEKDARAAAFQVVRYEKVQGHIKVVREGSEVPEFWDAFSSAPVNSDSNTKISKDQIDSASKTGPGNRRVESYDADFELVYKAITGGVVPAFSSSGAGDETHLPARESTWSSLRRKFISRSLARVYSDSALIRDLDPRVDRVQHLAAEASTSPPFLSPSSLSSDSSISSKSPLHQSSNEEPSKSGLGSIRSPSKTSSIAERRGGFSSLKLPSFQKDLVLPPRVPTSLRREEEVTDKSNNNSVKQLTGVCCPEKCTGNTSTVHTKTGITERTDSISEACGNLQLLVYRWPSKEKLTTFTRKDLDPKSVLIFVTPEDSRSEAVKTVHIWVGGEYESSKCVDTVDWQQVVGDFFHLKELGNTLPVKVFKEHETENLLEVLNAR >ORUFI05G01160.2 pep chromosome:OR_W1943:5:786099:790171:-1 gene:ORUFI05G01160 transcript:ORUFI05G01160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRSVPLALRLSAPCKTKTPASNRGPHRAAARTNLGADRTASPALKTLTHFPSSPLLSSPLTRDLAARLDLPICSSPSAAIRRGFASPVVRFGWPWPRPTTAEGPLNITRRSLDEWPRAGSDDVGEWPNPTTPGASKAEGAGSAKPGEGLRLDLSSLRSQGRKDQIAFFDKECSKVADHVYLGGDAVAKNRDILRKNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQLVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSPYAPLHLVPKMLNEPSPAALDSRGAFIVHVLSSIYVWVGMKCDQVMEKDARAAAFQVVRYEKVQGHIKVVREGSEVPEFWDAFSSAPVNSDSNTKISKDQIDSASKTGPGNRRVESYDADFELVYKAITGGVVPAFSSSGAGDETHLPARESTWSSLRRKFISRSLARVYSDSALIRDLDPRVDRVQHLAAEASTSPPFLSPSSLSSDSSISSKYSSDSPSLSPSTSSPTSLGLSPASSNFSHTLVPSSRSPLHQSSNEEPSKSGLGSIRSPSKTSSIAERRGGFSSLKLPSFQKDLVLPPRVPTSLRREEEVTDKSNNNSVKQLTGVCCPEKCTGNTSTVHTKTGITERTDSISEACGNLQLLVYRWPSKEKLTTFTRKDLDPKSVLIFVTPEDSRSEAVKTVHIWVGGEYESSKCVDTVDWQQVVGDFFHLKELGNTLPVKVFKEHETENLLEVLNAR >ORUFI05G01170.1 pep chromosome:OR_W1943:5:792464:797975:-1 gene:ORUFI05G01170 transcript:ORUFI05G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLLTYLILALLAASAAAARRHPSPASSAAAGEPVYLWPLPRNFTSGSRTLLVDPDLALDGQGPGGAAAAVAEAFERYRSLVFSPWAHAARNASGGYDVGKLTVVVASADEKLELGVDESYTIYVAAAGGVNSIVGGATIEANTIYGAIRGLETFSQLCVFNYDTKNVEVRHAPWYIEDEPRFAFRGLLLDTSRHFLPVDVIKQVIDSMSFSKLNVLHWHIIDEQSFPLEVPSYPKLWKGSYSKLERYTVEDARDIVRGKGYPKLWPSPKCREPLDVTSNFTFEVISGILSDMRKIFPFGLFHLGGDEVYTGCWNATPHVKQWLHERNMTTKDAYKYFVLKAQEIAINLNWIPVNWEETFNSFKENLNPLTVVHNWLGPGVCPKVVEKGFRCIMSNQGVWYLDHLDVPWQDFYTSEPLAGINNTAQQKLVLGGEVCMWGETADTSDVQQTIWPRAAAAAERMWSQLEAISAQDLETTVLARLHYFRCLLNHRGIAAAPVTNSYARRPPIGPGSCFIQ >ORUFI05G01170.2 pep chromosome:OR_W1943:5:792464:797530:-1 gene:ORUFI05G01170 transcript:ORUFI05G01170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSKLNVLHWHIIDEQSFPLEVPSYPKLWKGSYSKLERYTVEDARDIVSSLIKGIHVMAEIDVPGHAESWGKGYPKLWPSPKCREPLHERNMTTKDAYKYFVLKAQEIAINLNWIPVNWEETFNSFKENLNPLTVVHNWLGPGVCPKVVEKGFRCIMSNQGVWYLDHLDVPWQDFYTSEPLAGINNTAQQKLVLGGEVCMWGETADTSDVQQTIWPRAAAAAERMWSQLEAISAQDLETTVLARLHYFRCLLNHRGIAAAPVTNSYARRPPIGPGSCFIQ >ORUFI05G01180.1 pep chromosome:OR_W1943:5:800593:803085:-1 gene:ORUFI05G01180 transcript:ORUFI05G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDLTPRQARKAYGGDGGTYYEWSPADLPMLELANIGGAKLSLNAGGLALPSFSDSGKVAYVLQGKGTCGIVLPEASKEKVIAVKEGDSLALPFGVVTWWHNLPESPIELVILFLGDTSKAHKAGQFTNMQLTGATGIFTGFSTEFVGRAWDLAESDAVKLVSSQPASGIVKIKSGQKLPEPSAADREGMALNCLEAPLDVDIKNGGRVVVLNTANLPMVKEVGLGADLVRIDGHSMCSPGFSCDSAYQVTYFIRGSGRVQVVGADGKRVLDTHVEGGNLFIVPRFCVVSKIADASGLQWFSIITTPNPIFSHLAGKTSVWKAISPEVLEASFNATPEMEKLFRSKRIDSEIFFAPN >ORUFI05G01190.1 pep chromosome:OR_W1943:5:804661:807848:-1 gene:ORUFI05G01190 transcript:ORUFI05G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHVRMTITLPSPSRIPKPPEHGGTASWAPHVILTPSVSGELPLPINPASPISPVISPPIARRRRNPKSSLPVREKRQVVVAAMGVEVCVKAAVGHPDTLGDCPFSQRVLLTLEEKKVPYEMKLIDVQNKPDWFLKISPEGKVPVFNGGDGKWIPDSDVITQVIEEKYPTPSLVTPPEYASVGSKIFSCFTTFLKSKDPNDGSEKALLTELQALEEHLKAHGPFINGQNISAADLSLAPKLYHLQVALEHFKGWKIPEDLTNVHAYTEALFSRESFIKTKAAKEHLIAGWAPKVNA >ORUFI05G01200.1 pep chromosome:OR_W1943:5:810358:811860:1 gene:ORUFI05G01200 transcript:ORUFI05G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTPRGYAARRRPPPLPTTSSSTRSSRASPSPPPSASAPSPATGTPPSPPTTSSSPTAPGPPPPRAATRSCSDPELLFFAPPDHRNTTTFYACSLRGGEAPAAARELLTIDYFSAKHAVTSPTPCRCLTLVSDGRAPRYHLLNLSTGDHLALPPCQPAAKAHPDPLAWLPRGTTNYLPSMTPWRPFELSTTGLGFNTATGEHKVVRLFKRRNAEHACEVYTLGKPGGWRPCAGRVPASAASILPAMPPVFVNGYLYWLLQPAAPGDEQIRRILSFSIGAEQFGSVYVPPRLSSRMCHLANLDGSLCAVFDNRVEGDVYGLFTCSEPSASPSPSWSVRCSIYLNRLPREVSDELMEERVIVPLCTAGGKILLATGHHKVFTYDAERNTVERVFRMQEFVDLRHDYIKAPLLINIGLHDECIADVHNGDGGGERMLRVNMGRRDNMVVKQEVAVEYHDASNRQFNVLLKDLKRIAACFRRT >ORUFI05G01210.1 pep chromosome:OR_W1943:5:812313:813282:-1 gene:ORUFI05G01210 transcript:ORUFI05G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDSSPPDAKRLRRSPPSPSSSSPDHLLVDEILTRLPIAAAVRLRAVSRDWNAALTSDYFILAHRARAAAARRHPELLFFAPPEPRRTSSTTTFYACSLRGGEAPAAARELLTIDCFSAKHAVTSPRPCRGLTLVSDGRAPRYHLLNLSTGDHVTLPPCQPAAELHSEPIARVLPGGITSYLPSMSPWDPFELSTTGLGFDAATGEHKVVRLFKKRNGEHVCEVYTLGKPGGWRPCTGRVPASAANFLPGLPPPPPATSRSGESYRFSVGAEQFGSVYMPPRLSSRMRHLTNLDGSLCAVFDNRVEG >ORUFI05G01220.1 pep chromosome:OR_W1943:5:814146:816338:-1 gene:ORUFI05G01220 transcript:ORUFI05G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTKLRQLDLNLNLEKNRKCESQAGEERQKNKCSRSAHRPASSTQLVGAFPTEGLIPEDHVVAMVPLDPEAEEVEHLEKSEEIQRRTLLGTQLLKRVVGEVYNSKQK >ORUFI05G01230.1 pep chromosome:OR_W1943:5:816879:818783:1 gene:ORUFI05G01230 transcript:ORUFI05G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEPSFPHAADGDDDEVYSGSTTMSPLSLTSPRAGSGSGGAHDDSSSPTTWHGLSDADAIAPPTSTHLLDAGGSLLGSPVSDRWFMFGDARQARCPALLAGACAVVLDATRPDGFAMVGPEGDVFAVSSDIGGAGSDPAGGAREISWRVALFIDPNAAGVLPLPDGPYLVHSTRRGGRWRVFVRTSPDEASGVRVYVVGTRSREDLADSLHIAVDTTDDGGEDGLARTWRVMVESGLDGDTGTWRTTVHTGGRATATASHEHVSRPISGVIRSSSSSSHSRSRSSEGSSSGQHQRQSRWDQPPPPPCCSGCGTTHRVEWVMTCCHRLLCVGCAEVNPCGCPEWQNRRGFAVPILPQLALEEECVVEGAIVPQLPRWQIFYARRTGSEVYHAFFRVQDVIHDRGSVLCQLFFYEMDGDSRRWHQVRFEIVELPSRYTWMRFPPMTEEDTLAFEFLVIQYRHRRRQ >ORUFI05G01240.1 pep chromosome:OR_W1943:5:822345:824010:-1 gene:ORUFI05G01240 transcript:ORUFI05G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDSSPPDAKRLRRSPPTSTSPDHLLVDEILTRLPIAAAVRLRAVSRDWNAALTTDHFILAHRARAAAARHPELLFFAPPDHRNTTTFYACSLRGCEPPAAARELLTIDYFSAKHAVMSPTPCRGLTLVSDGRAPRYLPSMTPWRPFELSTTGLGFDTATDEHKVVRLFKRRNGEHACEVYTLGKPGGWRPCAGRVPASAASILPAMPPVSDELMEERVIVPLCTAGGRILLATGRHEVFAYDAGRNAVERVFRMQEFVDVPNDCREARLLLSVGLHDECIADLHPGAGGERMLFVNTGRRGNTVVKREVPVEYHDDSDRRFNVFFKDLATMAAQI >ORUFI05G01250.1 pep chromosome:OR_W1943:5:826342:830535:1 gene:ORUFI05G01250 transcript:ORUFI05G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGGTTGGSRPAAKHHRRSRPPSSPAPSSRRAARTATTSPESKGATALQDPVVSSMEETSFTFEFKRGSKRAKKTMLPEESHKGKNDSTKGFSNKRNLVPAKTPSAKERPEQVEFTHCSPGIVARLMGLDTVPRPKKALDRCQSDIQCNMQRVLSGGDQVYDASSEDQPCSSSADDLPELKDVFEVTEMENKETCIGLQSGNEEPCPRSDKDDLEFVRQKFLDAKRLSTDESHRNSKEFAEALEILYSKKDAFLEILQENSGALPGFSGHIFGHNGLQYSKLFEQENCSRMGVERDEFFNVPKELENPIPSSRLNETYGVPLDSLPPKGSKSKGSSRRSQIVVLKPNLQRKSFTPVLSSETSHFGEMSTNNCSRPQHHCMHRGRVTHSAPLNNDQVLQPKRDTPGLRGAIETPKIGSRRKSSERECQLAIGSGRATDTPSSFEDNLPIYPPNHSVGSSVCRKAKKHLSERWQMAYQSDEEISMPKDTITLGEMLEMTDRDATKVITHKISSETNYNHDNVQKAPACPVGISSKDGWKTGIYCKDNSRSGTSRNFSRSKSLPTSATNNAKLPCRKQSAPICNLPILKDLLNAPTDESGSEHVRNRSSFRKTKQRSGKAIIHAGKENMLPVKEIHVTSEKARHSICISDLSRASNTHSEHPDGVMSNEDHQTSGSTALDDDLQSSKERMGWTELKLTPPLPVTKEDTSIHNQDNIVLKDQEGRNQQVEIDIAEAESQAIDSSHIISLENHKCSNSTASLQQICGHDTAYSGIFKGVSDGIQELRMQLKMLKMGDQDDTCGDDIYMLSSDECSDTDNLTYQLMEEQLPVFKDEYDRDFSYTNDILGSASDFLVYPEDWQVSPDVFVWLEDKYSKLLLWSKSDRRLLFDLINSILADMTAPGNSLCSNIMVKCWSEMDPRKLAENVWQTVLNRRNYEPFSLDCVEALPLDHHSEVEAIGAEIVKMLHDDILEESVAEFISQ >ORUFI05G01260.1 pep chromosome:OR_W1943:5:830967:832276:-1 gene:ORUFI05G01260 transcript:ORUFI05G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRIESSKIVKPLYDAGGGARRRRRPAGRHRDATRSGRPPRPTRRSSWASPRRSPSTGSGRASWPTAATPFCSTTGGGRCSWRPRCCGCTRAGGGRAGRELVRVQLTRFACGSLVIGFTSHHRVAYGQAAGNFLVAWGLASRRLPVAPLPVCDRATRFPPRHPPLVQFPHRDTEYYAPKKKKKNHDAGAVAVEDDDDELATVAHDKIKHVRERGRPPLARGLAAGEATTLRVSVNGRTRMRPAVPRGYFGNLVLWAFPRCAAGELASRPVQHAAKLIRRAVARADDAYFRSFVDFASSGAVEAEGLAATADESQAVLCPDVEVDSWLGIDFYELDFGGGGGGGGPFYFTPSYLPMEGTVFLVPSFAGDGGIDAYVALFETHLDEFKKICYTY >ORUFI05G01270.1 pep chromosome:OR_W1943:5:837145:838003:1 gene:ORUFI05G01270 transcript:ORUFI05G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIWRRNTSPALLLLLLLAVTAAAAEARRAAVGMRQLKSASSSSQHDDPATSDDEGPVLFPSLTGTLPPSHLSGGSSGRASPLPVAGLAGGDEEVSFPAKPRGEVPPSGPSKPPPAVGLADDDEGVSFPAKPRGKALTLMEREWQEKELLLPRRSDDDDYTSTNTGMKRGLVELERDHRERKFGSGQWL >ORUFI05G01280.1 pep chromosome:OR_W1943:5:840437:840787:1 gene:ORUFI05G01280 transcript:ORUFI05G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERNVTAAAAMASLVLLMLSTTATARRARHGPPGELPPIRTPPPPSVISGPRVSPVLRSVPTGPNPITSDPPPPPPSHERFQADEDKGVLFPSKPRGHVPPSGPSKPPPSYHLS >ORUFI05G01290.1 pep chromosome:OR_W1943:5:844769:845676:1 gene:ORUFI05G01290 transcript:ORUFI05G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVERNNVAAAAAALLALLPMMMLSSTATAARPVHGGPPGELPPIIITTPPTAISGESDFSVLRKVPTGPDPITSDPPPPPPPSTPTQFSVLRKVPTGPDPITSDPPPPPLSEFPVLREVPSGPDPITSDPPPPPPPLSEFPVLREVPSGPDPITSDPPPPPPPLTEFPILRKVHHKALGIASKQDGLRPAKP >ORUFI05G01300.1 pep chromosome:OR_W1943:5:847211:851274:1 gene:ORUFI05G01300 transcript:ORUFI05G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAADPGAAYKLLLSCPAGLPRSRVSVKFDQSFDRIPHPDAALEESISVIWNQRLKQNPSSYSGTKFRYGGHAVHYKDEPNKEYCVSLHLGLTDYSTFVGTNLNPLWEKFLVPSEDDSVHCQHMSNPLGNGAIVQTSDEKIIVLQRSYNVGEFPGYFVFPGGHSEPQEIGILAHQTDEKDLAVLNERVSQEMFDGIIREVVEETGVPSNSLTEPVFIGISRREMNVRPTAFFFTKCNIDSGGVHELYSRAQDGFESTKMYAVSEEELLGMTDRMPGCHRGGFALYEMMKTAAKKS >ORUFI05G01310.1 pep chromosome:OR_W1943:5:851459:859315:1 gene:ORUFI05G01310 transcript:ORUFI05G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELLELQRQLEAAQSARSSVRLSERNVVELVQKLQERGIIDFELLHTTSGKEYITSDHLKHEIKMEIKKRGRASLVDLSDILGVDLYHVERQSQKVVADDPSLMLINGEIMSQSYWDTVTEEINEKLQERSQIALAEIAAQLHIGSELVVNILEPRLGTIVKGRLEGGQLYTPAYVSRITAMVRGAARGITVPTNLPSVWNSLQLQLQEMHGASGVSVEGSFFQSIFNGLLKEGVVLGSVRAGVQWTPAVFAHAQKESVDAFFSQNSYIGYEVLQKLAIPQPKQYLEARYPDGIALEAVFVHPSVVDMLDAAVGDTIENGQWIDALSVLPSYITGPDATKILSLCPSLQKAIKVGVFKSSKAVVFGESCVFSNAFIKGIFDRLEKEMDSFGIKHSAGQGKPSNMSSEHRIGSDGKDLGDNDTSSIGASSDKGPKKKRGKVSGSAKGAAVEKDDDNEESIPVKGKKAHRKNKDAGSSGDAKHGGKKASEKTKEDNTNIFPDDLIEQKVLTVAPELEELGGSDDLNGPLKLLSSHLRPMLMDAWMKKRNTMLSENAERRRRLLDNLQKQLDEAVLDMQLYEKSLDVFEDDPATSAILHKHLLRTMGAPVVDKILLTLHKDNKLKNGMDVEDSEENVQLSTADRTSLAKDLPGSLSVKAQALAETLEGKRFDSFMDALRDTAEESGLLFKKLDKRLERSMLHSYRKDLTAQVSSENDPISFLPKVVALLFLQAYNKALQAPGRAVGAVIALLKDKIPAPTYKVLADYHSTTVKVLALQAAATEDGEDCATDRMLERKEDLEERLMPELKSLVLGTSKE >ORUFI05G01310.2 pep chromosome:OR_W1943:5:851459:859315:1 gene:ORUFI05G01310 transcript:ORUFI05G01310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELLELQRQLEAAQSARSSVRLSERNVVELVQKLQERGIIDFELLHTTSGKEYITSDHLKHEIKMEIKKRGRASLVDLSDILGVDLYHVERQSQKVVADDPSLMLINGEIMSQSYWDTVTEEINEKLQERSQIALAEIAAQLHIGSELVVNILEPRLGTIVKGRLEGGQLYTPAYVSRITAMVRGAARGITVPTNLPSVWNSLQLQLQEMHGASGVSVEGSFFQSIFNGLLKEGVVLGSVRAGVQWTPAVFAHAQKESVDAFFSQNSYIGYEVLQKLAIPQPKQYLEARYPDGIALEAVFVHPSVVDMLDAAVGDTIENGQWIDALSVLPSYITGPDATKILSLCPSLQKAIKSSKAVVFGESCVFSNAFIKGIFDRLEKEMDSFGIKHSAGQGKPSNMSSEHRIGSDGKDLGDNDTSSIGASSDKGPKKKRGKVSGSAKGAAVEKDDDNEESIPVKGKKAHRKNKDAGSSGDAKHGGKKASEKTKEDNTNIFPDDLIEQKVLTVAPELEELGGSDDLNGPLKLLSSHLRPMLMDAWMKKRNTMLSENAERRRRLLDNLQKQLDEAVLDMQLYEKSLDVFEDDPATSAILHKHLLRTMGAPVVDKILLTLHKDNKLKNGMDVEDSEENVQLSTADRTSLAKDLPGSLSVKAQALAETLEGKRFDSFMDALRDTAEESGLLFKKLDKRLERSMLHSYRKDLTAQVSSENDPISFLPKVVALLFLQAYNKALQAPGRAVGAVIALLKDKIPAPTYKVLADYHSTTVKVLALQAAATEDGEDCATDRMLERKEDLEERLMPELKSLVLGTSKE >ORUFI05G01320.1 pep chromosome:OR_W1943:5:865681:877831:1 gene:ORUFI05G01320 transcript:ORUFI05G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRIGLTSPSPKSTEKSGRDLRSGGDANGGANTNSNSIPRGDKEKGVNVQVILRCRPMSDEETKSNTPVVISCNERRREVAATQIIANKQIDRTFAFDKVFGPASKQKDLFEQSISPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGGTRKTKNGELPTDAGVIPRAVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAPEEPKFPIVPEDKTKKPIALMEDGKGGVFVRGLEEEVVYSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIKNKPEVNQRMMKSAVIKDLYSEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIERLGADLEARDKQLVELKELYDAEQLLSAELSEKLGKTQKDLEDTKNVLHDLEEKYNEAESTIKEKEYVIFNLLKSEKSLVDCAYNLRAELENAAADVSGLFSKIERKDKIEDGNRSLVQRFRSQLTNQLDTLHKTVSTSVMQQENHLKEMEDDMQSFVSSKDEAAQGLRESIQKLKLLHGSGITALDSLAGEIDMNSQSTFERLNSQVQSHTSSLEQCFGGIASEADNLLNELQCSLSKQEERLTQFAKKQREGHLRAVEASRSISKITAGFFSSLDVHASKLTSILEETQSVQDQQLLDLEKKFEECAANEEKQLLEKVAEMLASSHARKKKLVQTAVGNLRESAVNRTSHLQNEISTAQDFTSSVREKWGFYMEETEKNYIEDTTAVDSGRSCLAEVLVECKAKTTMGAQQWKNAEDSLFSLGKGNVESADSIVRTGTEANQSLRSKLSSAVSTTLEEIDIANKALLSSIDSSLKLDHDACANIGSIIKPCHEEISELKGGHYHRVVEITENAGKCLEEEYLVDEPSCSTPRRRQIDLPSMESIEQLRTPDYDELLKSFRESRASLKQANGDMKHFLEVQEATPPSITDPRAPLIARN >ORUFI05G01330.1 pep chromosome:OR_W1943:5:866082:866798:-1 gene:ORUFI05G01330 transcript:ORUFI05G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLVFAPPLASPPDLKSLPDFSVDFGDGESSKKSQNAGGANAERDKRASTREKQMQILRNHELN >ORUFI05G01340.1 pep chromosome:OR_W1943:5:873746:877543:-1 gene:ORUFI05G01340 transcript:ORUFI05G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRSSGGGRVPRLDGEASPDATEEEEEEEEEESPATPSQESDAGDFSGGEENGGDVGMEEEEEEDDEVEEEEEDEEDSGMGSDELEITELGEAGSEMCQVGDQSVAVPLELYDLAGLGDVLSLDAWNTLLSEEERQRLAALLPDMDQETFARTLAELLRGDNFHFGSPLAALFDRLKGGLCDPRIALYRRGTRFAERRKHYYRLQSYHNSMVRGLWDVKDCWKGCQGYNINEKLRALDAMKAQQQQQQQQQQKAHLGLGGRAGSETDSESREYGDPSLMRLKPDKTVLKKSGKPEKERSKGLLRLGAPKGLGEEYIGGAGRDAAMALSELSRQDNAYGYDSGVMRRGKPCRSQQGLHSEELGDDRDLRMIRSHRPMPKPGKKELAASYDGNLYGNNYHENQNGSSYYYGRNANANQGVTVAAAYDRPYFDTAKNAKYSDRDWMYGGQGMSSKALKGDEMDWPAGSYAGSMNDWQRGQSAGDYRSRKTQAGHGLKVKSYKSIEKQISDANFGSDHRGKIPGKIKGKSTSQYDRIGQKYSRSNAVYTQSEETESDSSEKFEGGGDMDLKRQPEHHSGSHRPAYSAKKLNKLPKASKVNYPTATEDFEPYQSKGTHRVNVTESDYLRDVHVTETEQISEMMRPPAARGERKRKVMASVDTHDHGNTELPDSNENADESLRSPENGERLASGSGCVDSNGDVEKKKMPLASCSSGSKKQKRRVEATSPAEHGEDVPSAPKLVENSSSSKKKGKKKPAAPEAVTDAVVVDEPAPVLPEVNVVVVEPEKPKKKYVPITPTIHTGFSFSIVHLLTAVRKAMATPTEDTLSTKQPDGEESRKCFNNEEHCKTPQDPSATEQAQQGHEAVDASGPEKAQQGHETADASAAEQTTPSNLPAFTVQEIVTRIRSNPGDPNILETQEPLQDLVRGVLKILSSRTAPLGAKGWKALVSYDKSNKSWLWVGPLPSGSSDGDPNEETSPDAWGIPHKMLVKLVDAFANWLKSGQETLKQIGSLPPPPAPDPANLDLKERFKDLRAQKSLNTISPSSEEARAYFQREEFLRYSIPDRAFCYTAADGEKSIVAPLRRGGGKPTAKARGHPMLLPDRPPHVTILCLVRDAAARLPARTGTRADVCTLLKDSQYLNHEESNKEAAVNQVVSGALDRLHYERDPCVLYDNDKKLWTYLHRGREEEDFEDDGTSSTKKWKRPRKESDPADPGNDDLEDDGTPTASDAKKQKTDSTASGEDKDSEDPAIQDPSAGDLEGDPDPDH >ORUFI05G01350.1 pep chromosome:OR_W1943:5:881355:882215:1 gene:ORUFI05G01350 transcript:ORUFI05G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGDWTVVRRRGRRRGDAAGDAASQPDAPPPLPVTPIPWSPSDPSLDPARVSRLVDRARAAISRVVASRLYGRLLLPGSPLRRRLALLAPTRLSLLGVGSFENSPSSRLQLALAALLRRDLLLLPESSAHADLFDPVLSAAECAAAAALGFTVPGVNDGCRRRADEPTLFYMPHCEASLYDALLAANWEPPSQLRHVCVLGNSFRNYAIQAEENRSGPAARAKHVLAAERFAWEERVSEKGGVDDDDDDVFNRAFNETSWHFFEVDDAADLAAAVASTGGRR >ORUFI05G01360.1 pep chromosome:OR_W1943:5:897945:898885:1 gene:ORUFI05G01360 transcript:ORUFI05G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVQQQQSGGGGGSTGAAAVGSTTRWCPTPEQLMMLEEMYRGGLRTPNAAQIQQITAHLSTYGRIEGKNVFYWFQNHKARDRQKLRRRLCISHHLLSCAHYYHHHLAAAAAVVPPPQLLPPLHPSSSSSSCGGGLIDHANSLLSPTSATTPTSAAAAAAAAAYTTSYYYPFTAAAAPPPPRTSPAASPLFHYNQGGGGVVLPAAEAIGRSSSSSDYSLGKLVDNFGVALEETFPAQPQQPATTMAMTAVVDTTAVAAAAGGFCRPLKTLDLFPGGLKEEQHDVV >ORUFI05G01370.1 pep chromosome:OR_W1943:5:901027:902284:1 gene:ORUFI05G01370 transcript:ORUFI05G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELFSGSNADGSLAMDQQTCCDVDNKSDSSDDEGLNDISSYARPIDIAAEDSDTLPSPTCADNGSSGTSRAGKKRPRGSKSPSSKSPSKKQQPKPKNRFTDATEKISNTMDHLVDQLGNPPPPPPVPQFCDPYASLGSKSPSKKQQPKPKSRFTDATEKISNTMDRLVDQLGNPPPPPPFCDPYASLWKRIDALPISTNDKVAVGNYLGRQENEGVRGFLASSADTTVETWVYQF >ORUFI05G01380.1 pep chromosome:OR_W1943:5:909786:910921:1 gene:ORUFI05G01380 transcript:ORUFI05G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFPPKRKCSWDQVTFCMVFVYSIWISMPVDQLAPMGFLQNTFSVCVGIGCGIYIAQNYDVPNMKKLMRDWMGKAKEVEESYKKPGGSKN >ORUFI05G01390.1 pep chromosome:OR_W1943:5:911777:916544:-1 gene:ORUFI05G01390 transcript:ORUFI05G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKGLVSNLMHGVAGGGHAYPYPPQQGYYPPPPTAYPPPAGYAAPYQAMVEEGTWLRCLERVQPSPPRRTVHTSFLPMAAMEATVTVTAVTMAVAASLAAITMATAAITANTMEAITDTTTTMAASMAVITDTTATTAASMAVTTAVTMVATINTALSFSPCLLNNDTQGSPAVGMSGSLPAERHRSGVAEAMCRLASGVRMVVLDWEIYHGGRVVRVPSESLAQCFIGPTVATVSGVWKKLLRSDEESTLMVLTQRMKEMVARLKPTRTGVERGEATERVDEDEEELVVVSERKRGRRRAREATTPSSSAFAVFLSASLAASAWRLGATWGRHAGWWGPRCSGTTVFVFFLILSVDEELQCPPLFSIFY >ORUFI05G01390.2 pep chromosome:OR_W1943:5:913792:916544:-1 gene:ORUFI05G01390 transcript:ORUFI05G01390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKGLVSNLMHGVAGGGHAYPYPPQQGYYPPPPTAYPPPAGYAAPYQAMVEEGTWLRCLERVQPSPPRRTVHTSFLPMAAMEATVTVTAVTMAVAASLAAITMATAAITANTMEAITDTTTTMAASMAVITDTTATTAASMAVTTAVTMVATINTALSFSPCLLNNDTQGSPAVGMSGSLPAERHRSGVAEAMCRLASGVRMVVLDWEIYHGGRVVRVPSESLAQCFIGPTVATVSGVWKKLLRSDEESTLMVLTQRMKEMVARLKPTRTGVG >ORUFI05G01400.1 pep chromosome:OR_W1943:5:912096:912941:1 gene:ORUFI05G01400 transcript:ORUFI05G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLQRLLVVVNQVDPGAPGFWREFLVGMLKPVAATAVVAMAVALSFTQRLGLEGEMLYAMARAFLQLSVIGFVLQFIFTQKSAAWILLAYLFMVTVAGYTAGQRARHVPRGKHIAAVSILAGTSVTMALLVALRVFPFTPRYIIPVAGMMVGNAMTVTGVTMKKLREDVGMQRGVVETALALGATPRQATARQVRRSLVIALSPVIDNAKTVGLIALPGAMTGLIMGGASPLEAIQLQIVVMNMLMGASTVSSILSTYLCWPAFFTGAFQLNDAVFAAD >ORUFI05G01410.1 pep chromosome:OR_W1943:5:920182:921464:-1 gene:ORUFI05G01410 transcript:ORUFI05G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKDEQQDRGLFSNLMHGVAGGGGGHGYPYPPQQGYYPPPPTAYPPPPAGYGGGYGYPPAGYPGSSAPFQHGNHGGGNMGMLAAGAAAAAAAYGAHKLSHGHGHGGYGYGGHHGGLFGGHHGHHGGLFGGHHGHHGGGLFGGHHGHHGGLFGGHHGFGGHHGHHGHHGHH >ORUFI05G01420.1 pep chromosome:OR_W1943:5:923180:924333:-1 gene:ORUFI05G01420 transcript:ORUFI05G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDESDKGLFSNMMHGVAGGHGYPPHQGYPPQGYPPPPGAYPPPPGAYPPPPGAYPPPPGAYPPQHGYPQPGGYPPPGGYPQHGGYPPAGYPGSSGHQGGHGSSGGGHMGAMLAGGAAAAAAAYGAHKISSHGHGGHMGYGGHGGFGGYGHGYGGHHGGKFKHGKHGHHGKFKHGKHGHGMFGGGKFKKWK >ORUFI05G01430.1 pep chromosome:OR_W1943:5:926156:931737:1 gene:ORUFI05G01430 transcript:ORUFI05G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGRRPLAIPFPLLCYCFVPPSPALDGEELIIPAGAWGMGGLFVSAPMLDTCSTECLPRKCQGVVCAPSLNRALYVQRLIMHTYGLRRWCDEGYKPWRLLSSHLDCLVRMWLAHHHEYALLAARCSILPCP >ORUFI05G01440.1 pep chromosome:OR_W1943:5:926922:931047:-1 gene:ORUFI05G01440 transcript:ORUFI05G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLDNNLHDMEDRVKFILFLLGEEADSFAKRAEMYYKRRPEVISSVEEAYRAYRALAERYDHISGELHKANHTIATAFPDQVQYSMLEEDDDNLPKAFTAVDPRKIHKSTVEGLMKKKKGEKSGLKDGGKNSGDKINKENAQEEISRLQKEILVLQTQKEFLKSSYESGMAKYWDLEKQINDMQEEVCYFQEEFNESAVLEDNEARALMTATALKSCQETIIKLQEQQKLSFSEAMVESERVRSSRDKLKNIMKVHGKSLPDLGKFFEKTDSVKFANENVTNDGSNTVDVMYSINQEKIELQATVDKIKEYFQKDSEVSVVEMADKIDELVNKVVDLELMVSSQTAQLNRLRLENTELEKSLHELEEEKPALNSGPGESYSKFKQAEEDLIRVQNLVSSFHAEGTIIHSNFTETITRFRDVSDMLLSPLLEHHQDGSAPMPSDETTPSIDMETSSEHDKTNSENEVDELPEHSKELEPADLCDDNHSSSGYPETKAENCYRGDGSEDLWYSALEDKSSFAAASVNEEESGNADNDSSGDHNNRGEDHAPEIASDDGSSKQQYTVQSHEKPILERLHHISSNDPGDHNAKKEENEQDLSISDESISEGNSEQKINKAGNSCITADTAPISRKVDEVGDQEENMIKLHQLLMNGLQDKEKVLLTEYTSILRNYKNAKRKLTEVETKNQERLNEMSAMISELRSANSMKDEKIRSLLELLNAVLDKDVSGNGHQMNPTTSFSSISRTFRGHRRTPSFSPGHQRKQSVSSISRIILESPKEDDALYDTVTDQESLILEDIKLIDVVKTENASPLEEKFRQDIDALLEENLEFWMKFSTSFQQIQGFQTKYEQLQPEIGKLTNKDKLKTNNGRADDPSAKGDSNAIEKRLRELKIELQVWLEQNAMLKGELQYRFASLCSIQEEIEATMEMGADPEEGAHFTSYQAAKFQGEVMNMKQENNKVADELQSGLDHIKGLQAEIEKVIEKIVERTSLSEAKGSSTWKNAPSRTRVPLRLFLFPAKKKKPSLLACVNPALQKQHSDMVFFTK >ORUFI05G01450.1 pep chromosome:OR_W1943:5:932813:935150:1 gene:ORUFI05G01450 transcript:ORUFI05G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAMEDAAAQSEREWDGGGGADAVLGLAGAGASLSLCYHEAFGPHDELILLEAADDLLPDLLQGRVTVRGRPEEEAVLCTPSATYAMKFVGNSNSVFLIPPGESAAPTLRPNGADGDDNVASATDAVASIIKVASGNIELVRTAPRLDKLRKLLNERPYVLDEDLGSDLQQKGLYTWQDLCELVQASDGELTEQLSSISAVEIDGFWRMVDDSSANTILDMILHNSVLHDWSLNSMPENDVLDVMESDGFMRKIVTHCLNRFGTKVDKEARGCWSLDERRVCLQFARRALGAGKMKLENFMGKWERSIPSGMRADLQMLEGEVLCEKLGAETWVHAFSVADLPLAPADRFAALFQERPKWEWKDLQPYIRDLRVPGVSSEGLLIKYTRKTQPSADAEPIFTAR >ORUFI05G01460.1 pep chromosome:OR_W1943:5:936572:939828:-1 gene:ORUFI05G01460 transcript:ORUFI05G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMLPSKSRSGPNESPISRGRPSTPSSNHRPSTPSSIHRPSTPGATRRSIGGTPSTPRSRNNGVGGGGGGPFKSEPNSPPSATARPRLSFDRSPRSVDSKPVVERRVPKIGTPPDKQPRKEAELQARLESAQEDLKKAKDQLAFAVGERDRLVGELNEAKRVADETHEKLQDALMAKRWAEEATEIEKFRADELEQAGIDEAQKREEEWQREIECVRGQHAADLETLVTTTEELERFRRELAMANEAKKAALGHADDAMKIAEVNAEKVEILSSEVVRLKGLLDSTAESEESKNRETEELVKNLESEVSVLKGKLEEARIIEERLAETEKLIEELKSEVADAKKAESEARQLFEEWKHKAGLLEMELEAVTLSDKFKGESLASTTEELGKIQSALQDRESEIEVLKGKTTALEIEVARLLADVNESNEQFDASQQEVFGLQTTIDVLRNKLEAAEEAASEALNNEKAANVKIEGLTEENVKLISELNETRDREEKEKRAVEDLTAALSEESDKAKEAHERYLSKEDDHEHALAQIGDLKMALKSTKESYEVMLDEANYDITCLRKNVDKLEAEVNKYREECESKETDIVRLNKQSEEEISALQLEVDKAVESLQDAEHQLQVANEEKEKLQDRLVYTESACAEASKALHEAKTEKESLEEKLIYTEAAVAEANKSVQEATYENSQLKERLLDKENALQSLTQENDEFRLREADAMKKIDELSALLAEAMIKKHPEEEEKLVVVDEAHSSVHEEVTDSVVENGDAESENDKNPKLELDVLNRSSNGDMNHEEEKGETKVEQEEVKTECTTQESNKIIEKQPHPDRKQETVSSKDELEPKEDTNTEHPNGTVSEDTSKVAMSPTKPQQQQKKNKPLLKKFGSLLKKKNSK >ORUFI05G01470.1 pep chromosome:OR_W1943:5:948500:949913:1 gene:ORUFI05G01470 transcript:ORUFI05G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSCRRPLLSFLVKQMLCTEHDLAATAVTYNARGQDENTGDEAAAAYGEVAASIDNGGDSERASRRRRGEAITIRWSRDREGDRGGSGKGKAELRPEERRAVQLVDSASFWLLEPIRAS >ORUFI05G01480.1 pep chromosome:OR_W1943:5:963544:965586:-1 gene:ORUFI05G01480 transcript:ORUFI05G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPGDCVVLDIDDAAAQSTPVPYALTFTDLSYTVGSRRAGLLPPLPTDAPPAKALLDGISGEARDGEVLAVMGASGSGKSTLLDALAGRIARGSLRGRVELNGEALHGRRVRAISAYVMQDDVLYPMLTVRETLMFAAEFRLPRALSPDKKRARVDALIGQLGLARAADTIVGDEAHRGVSGGERRRVSIGTDIVHDPILLFLDEPTSGLDSASAFMVVQVLRHIAQSGSVIVMTIHQPSARILNILDRLLLLSRGRTVYAGSPSGLKPFFSVFGYPIPDNENLVEFALDTIRELEHQPDGAAMLVKFSSRWQSSLGALLDTKDDKDRSQRCTMPLELAIAESVSRGKLVAGSGSGTLSSTSVPTYANPWYVEVWVLMKRAFTNTRRMPELFVMRLGTIMVTGFILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRLSYVVANTAVAFPPLVVLSLAFAVTTFFAVRLSGGGASFAFFALTVLASFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFINRDRIPSYWIWFHYLSLVKYPYQAVLQNEFRDAARCFSRGVEMFDGTPIGAMSKAVKLKVLDAIGATLGAPLTAETCVVTGADVLAQQAVTDIGRWKCLLVTVAFGFFFRFLFYIVLHFGSKNKRR >ORUFI05G01490.1 pep chromosome:OR_W1943:5:967625:969740:-1 gene:ORUFI05G01490 transcript:ORUFI05G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGSTRLYVGRLSSRTRSRDLEYHFSRYGRIREVELKRDYAFIEFSDPRDAEEARYNLDGRDVDGSRILVEFAKGVPRGPGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRNLRRERSYSCSPSPRRGRGRSRSYSRSRSRSRSYSRSRSRSLSGSPRARRELERSRSLSYSRSPRRSISPAANEKKRSPTPDGSRSPRSPQDQVSPPPKDNAERNGSDHGDSPRGRENSRSPSDGYRSPAAANGRSPSPRNNGSPSPMDNGSRSPRDGNGDGGSRGGSRSPRPSESPEA >ORUFI05G01500.1 pep chromosome:OR_W1943:5:971578:973881:1 gene:ORUFI05G01500 transcript:ORUFI05G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAHTVLDVDSGGGAATAAAGPPVPYLLSFTDLSYSVRKGGGGVLSNRLASADAPAPPDAPTKALLDGISGEARDGELFAVMGASGSGKSTLVDALAGRIARESLRGAVELNGEPLHGRRLRAISAYVMQDDLLYPMLTVRETLLFAAEFRLPRALSPDKKRARVDALIDQLGLARAADTIIGDEAHRGVSGGERRRVSIGTDIVHDPILLFLDEPTSGLDSASAFMVVQPSARILNILDRLLLLSRGRTVYAGTPVGLKPFFSEFGDPIPDNENPAEFALDTIRELEHQPDGAAPLADFNVKWQSMHAALPAADSKDSKRCTMPLELAITESVSRGKLVAGSGSGTASSTSVPTFANPLSVEVWVLMKRSFTNTGRMPELFVMRLGTIMVTGFILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRLSYVFANAVVAFPPLVFLSLAFAVTTFFAVGLAGGGGSFLFFVLIILASFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFINRDRIPSYWIWFHYLSLVKYPYQAVLQNEFRDATRCFSRGVEMFDGTPIGAMSRAVKLKVLDAISKTLGTNMTANTCVTTGADVLAQQAVTDIGKWKCLLVTVAWGFFFRALFYVVLLVGSKNKRR >ORUFI05G01510.1 pep chromosome:OR_W1943:5:982699:983343:1 gene:ORUFI05G01510 transcript:ORUFI05G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCKAAIGCVDARVPVRASYVSLYKWPESDAEFVRSVAMARRHAAPPRESPAVPHHYYYNGSGSARRGGGEGWYYCSPRVVDSYSCRQIYLRSYTFSKKKETVPERTMACLGRVRDRGAAVFPLFIPHRAGSGGGGGSDAGSVNSASSITRETASTAGDRKRIRRRRSSKGCAVARRLQEASCGAVRALFHRLLACTTSVEVADAGEPTSSR >ORUFI05G01520.1 pep chromosome:OR_W1943:5:990751:991222:1 gene:ORUFI05G01520 transcript:ORUFI05G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAAVDDVEREVAAGDAARRWRCPDPCAGENLARPWPGRQRGLIPPPEGVVVLSHPSRVVAGRKPSLGSFKPRRTAAAGFRRFSS >ORUFI05G01530.1 pep chromosome:OR_W1943:5:1001450:1007286:1 gene:ORUFI05G01530 transcript:ORUFI05G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGQDAPPPPEKGVEVEVFPAWARGVEECEARLGVSASRGLSSREAAARLRAHGPNELAEHPGPTLLQLVAQQFDDTLVRILLAAAAVSFALALSSSAGAVTLSAFVEPLVIFLILVVNAAVGVWQETNAEKALEALREIQSDHAAVLRDGDWLPSLPARDLVPGDIVQLRVGDKVPADMRVLRLVTSTLRVEQGSLTGETASVNKTAHQVPHDDADIQAKECMVFAGTTVVNGSAICLVVHTGMATEIGKIHAQIHEAAQEDDDTPLKKKLNEFGEALTKIIGLICALVWLINVKYFLTFELDGWMPRNIRFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAAKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVAKLVAIGDAEGKVRSFKVDGTTYDPRDGRIHDWPAGRMDANLQTIAKISAVCNDASVAHSSHQYTATGMPTEAALKVLVEKMGIPEGMNGLSLDPSETLGCCQWWSNVAKRIATLEFDRTRKSMGVIVKSKSGRNALLVKGAVENLLERSSHIQLQDGSVVPLDEKSRKAILENLHEMSIKALRCLGFAYKEDLAEFASYDGENHSAHKLLLDPVNYAAIETNLIFTGLAGLRDPPREEVFDAIEDCRAAGIRVMVITGDNKETAEAICREIGVFSHDEDITLKSLTGKEFMALEDKKTLLRRKGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRKSDDSLITPWILFRYLVIGLYVGIATVGIFVIWYTHGSFMGIDLTGDGHTLVSYSQLSNWGQCSTWNNFTVTPFTAGARTFTFDDNPCEYFHGGKVKATTLSLSVLVAIEMFNSLNALSEDTSLLRMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSLNEWLLVLLVALPVVLIDEVLKFVGRCTSSSGPKRRTRKQKGE >ORUFI05G01540.1 pep chromosome:OR_W1943:5:1017524:1019517:1 gene:ORUFI05G01540 transcript:ORUFI05G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLHVRWAAASSSSSPPPPSSPEEEEMEFLHECAASTPVEDVAAALAGVAALQARLLSLCRSLRERGAAAAAAAGEVERAVAEAESYASKEQVRYNRFLSPRALREHIKNIEKTAVTALQESPEALCLQQKSSGDKLENVQLWWAGKELAMGKKLCDYIGVNDKTKIIIRLKHVPQSS >ORUFI05G01550.1 pep chromosome:OR_W1943:5:1023216:1024094:-1 gene:ORUFI05G01550 transcript:ORUFI05G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPTMVIQEDYIDMDLTPTTTPLPPSSPRLCGGGGFREFEFHSSGAVVSKAFASPADELFYKGNLLPLHLPPRLQLVQKLLQEQQVQVQGTKKEVEDDDMVDMSKVCAAKKYSWSKRLKLMKRWTSREYIKSLFLATAKPTGIAVVGGGNGGGVMDQEELCGHRKSFSGIIRRVRLVATKAASASAPGTSPLCSTSSSSSSTPSCGNASRFFPAAPALKRSSSAGSSEEGAIQGAIAHCKRSQHQHLQQQRRSVSDVVFYSVTNTPRVSSVAAAAAGEVAQGERQEMCRG >ORUFI05G01560.1 pep chromosome:OR_W1943:5:1029897:1032240:1 gene:ORUFI05G01560 transcript:ORUFI05G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSAQRIALTVSFFGLLSFLLGVIAENKKPPYGTPIKGKDVVICKFPSDPTIAMGSLSVVSLVLTTIVGHAAIFYPYKSKSVPRGALFRSASLSAFFVIAELVSALAFAMLLWATVTEGLHRSNNIHHDLDTQCPTAKTGLFGGAAFLALDAALFWLVCQMLALNARSDYLDEDDKGDYGQVFASDVDGTKV >ORUFI05G01560.2 pep chromosome:OR_W1943:5:1029861:1032240:1 gene:ORUFI05G01560 transcript:ORUFI05G01560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSAQRIALTVSFFGLLSFLLGVIAENKKPPYGTPIKGKDVVICKFPSDPTIAMGSLSVVSLVLTTIVGHAAIFYPYKSKSVPRGALFRSASLSAFFVIAELVSALAFAMLLWATVTEGLHRSNNIHHDLDTQCPTAKTGLFGGAAFLALDAALFWLVCQMLALNARSDYLDEDDKGDYGQVFASDVDGTKV >ORUFI05G01570.1 pep chromosome:OR_W1943:5:1036669:1040808:1 gene:ORUFI05G01570 transcript:ORUFI05G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQMAKKAELEEQGRSVARYIRKLYIQRCKKLNIEFEEDEEPEGVLHPSDAGPFQHTDKEYATPNPNDVGHLSEIPSQQEQAEMPRLPCLNKPVEYCMSDEYIDLLDKKAKAFFSRVSPVKQRSRKETIANGLQYLTEEAFLAFRNYIAEKDAFEEVDYKFGEILHHCFSVMEYRKVYCHYNFTVEMKNKDEECWTSRLYFAETKLMHGVKYYFCTPLEATDDGCCNACKNQGVNELKHPSEGGYEKGQSSTRCQYFDGDSDEEC >ORUFI05G01580.1 pep chromosome:OR_W1943:5:1042068:1046297:-1 gene:ORUFI05G01580 transcript:ORUFI05G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASTAVASATAGTTRSFEKLSAPWMIGRGHVALPPSPGRYPAVTVAAASRRTGEGGRRRRRARGADQEDGLSLSSEIEAPSSTPPVRTTDKESTKPASETGITKKGSAIRRVTLVVLAAVFFGVSIALRDGVGKASEYFAGYLLEQSLSVDNLFVFVLVFKYFKVPQEYQRFEAVNLLLALILLFTSYKLFAEEDEESDLSDNFIVKTCQRFIPVTDYYDGDRFFTTQEGLWKATPLLLTVAVIELSDIAFAIDSIPAVFGVTRDPLIVLSSNIFAISGLRSLYVLISESMSELDYLQPAIGIVLGFIGTKMVFDFFGYHIPTEASLAIVTTCLSGGVILSLRKASTEEKDK >ORUFI05G01590.1 pep chromosome:OR_W1943:5:1051914:1053919:-1 gene:ORUFI05G01590 transcript:ORUFI05G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMQQRRRGSNAKAIGPSRGHLPRVVTCPRAVPGVEEEDGGVGGRYPGVEEENGGGFPRGSEPELKKKKTEVGFLRSRRLELKKMEVHWACAYVIAKPWEAYQGYAFGEPASGACVVLPV >ORUFI05G01600.1 pep chromosome:OR_W1943:5:1055826:1060963:1 gene:ORUFI05G01600 transcript:ORUFI05G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVELGAEEREVAGGEGGSKGAAPPARDIRRYKCDFCSVVRSKKGLIRAHVLEHHKDEVDDLDDYLGRGGGETCKEMDHDCKHWIPENSKMREGLEWTSTRMGRPFWEAPSRMPLSRSSSGWGDSPEKLHQECLVHTLFQRPFSCHVDGCPFSYSRKDHLNRHLLTHQGKLFACPMEGCNRKFTIKGNIQRHVQEMHKDGSPCESKKEFICPEENCGKTFKYASKLQKHEESHVKLDYSEVICCEPGCMKAFTNLECLKAHNKSCHRHVVCDVCGTKQLKKNFKRHQRMHEGSCVTERVRCHLKDCKLSFSKKSNLDKHVKAVHEQKRPFVCGFSGCGKSFSYKHVRDNHEKSRAHVYVQANFEEIDGERPRQAGGRKRKAIPVESLMRKRVAAPDDDAPACDDGTEYLRWLLSG >ORUFI05G01610.1 pep chromosome:OR_W1943:5:1060415:1060990:-1 gene:ORUFI05G01610 transcript:ORUFI05G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVRAVGSTLPSIRAAAELARQELLRRELVECQLVAGIWCHGFTVSQLRSIRASLPPTARLVVAKNSDVAAAVAGTRWEAVRPFARGMNAWLFVRSDEIPPALRPYRDFQKEWKLQLNDFTGAVFEGRLYSPDDFAQLESMPTRVQSYQYLVGCLQMPAVSVLAALRARQEAMAQPPPADEPAPTPPADK >ORUFI05G01620.1 pep chromosome:OR_W1943:5:1069890:1073293:-1 gene:ORUFI05G01620 transcript:ORUFI05G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLNNVAEGVVEKHETAARSDSGTSESSVLNGEASGAAIAPAEEGSSSTPPPPPPPPAAVLEFSILRSSASASGENDADDDEEEEATPSPPPHHQHQQLLVTRELFPSAAPSPQHWAELGFLRPDPPRPHPDIRILAHAPPPAPPPPPPQPQPQAAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNLSDYEEDMRQMKSLSKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYDGELPTDAAAQGADVDLNLSISQPAASQQSPKRDSGSLGLQIHHGSFEAPSELASRPHRFPLLTEHPPIWTAQPHPLFPNNEDASRSSDQKRKPSEGVAVPSWAWKQVSHHHPAPPHTLPLPFFSSSSSSPSSSSAAASSGFSKAATTAAAAQHTATLRFDPTAPSSSSSSRHHHHH >ORUFI05G01620.2 pep chromosome:OR_W1943:5:1069890:1073293:-1 gene:ORUFI05G01620 transcript:ORUFI05G01620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLNNVAEGVVEKHETAARSDSGTSESSVLNGEASGAAIAPAEEGSSSTPPPPPPPPAAVLEFSILRSSASASGENDADDDEEEEATPSPPPHHQHQQLLVTRELFPSAAPSPQHWAELGFLRPDPPRPHPDIRILAHAPPPAPPPPPPQPQPQAAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNLSDYEEDMRQMKSLSKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYDGELPTDAAAQGADVDLNLSISQPAASQQSPKRDSGSLGLQIHHGSFEGSEFKRAKASCNSNDAAPSELASRPHRFPLLTEHPPIWTAQPHPLFPNNEDASRSSDQKRKPSEGVAVPSWAWKQVSHHHPAPPHTLPLPFFSSSSSSPSSSSAAASSGFSKAATTAAAAQHTATLRFDPTAPSSSSSSRHHHHH >ORUFI05G01630.1 pep chromosome:OR_W1943:5:1102025:1106421:-1 gene:ORUFI05G01630 transcript:ORUFI05G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGALALLEAFRARGDGRLATTLRGLDEALHGGIPAGKLTEVVGPSGIGKTQMAGRILVLRPTSLSEFTKSLEQMKVTLLQHDVKLLVVDSMAALMSSSIAEFSQIPVVVTNQVRSQSNDDGYRYSFEVEKKYDSNNAEGFESHLVAALGIQWAHAVTIRLVFEAHSGHRYIKVAKSPMTPAVAFPFTVESSGIILLSDEGIDVPSPEITSIRCQGCNIRTEDISSVPRDGTNG >ORUFI05G01630.2 pep chromosome:OR_W1943:5:1102025:1106421:-1 gene:ORUFI05G01630 transcript:ORUFI05G01630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGALALLEAFRARGDGRLATTLRGLDEALHGGIPAGKLTEVVGPSGIGKTQMAGRILVLRPTSLSEFTKRSIAEFSQIPVVVTNQVRSQSNDDGYRYSFEVEKKYDSNNAEGFESHLVAALGIQWAHAVTIRLVFEAHSGHRYIKVAKSPMTPAVAFPFTVESSGIILLSDEGIDVPSPEITSIRCQGCNIRTEDISSVPRDGTNG >ORUFI05G01630.3 pep chromosome:OR_W1943:5:1085508:1102025:-1 gene:ORUFI05G01630 transcript:ORUFI05G01630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLMQAKNYPRFPRRLACIAILVPSEMLTHHLTPSCARRPNSQFDHGICQYCCSNEGIDRTYSGRPASGAARRRVAIKRDEGVSASDSYCYSQLSIITLQKR >ORUFI05G01640.1 pep chromosome:OR_W1943:5:1108771:1109990:1 gene:ORUFI05G01640 transcript:ORUFI05G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRSKASTRSNMSEPPPASVHQQKGIAGSRPSDGNEPYHPYQFKGSYGRFPVIPNPAFQVLSV >ORUFI05G01650.1 pep chromosome:OR_W1943:5:1110991:1116318:1 gene:ORUFI05G01650 transcript:ORUFI05G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVLQIRAPISTSQGAAGGKQRIQATLKEPTTARVPISGSTEVKPSLKPPRALPRVATMRAPTNTAVASGIPDKRSSTGGVVNRQTVGKSVNNSVSAHSRPGGVTKSTSTSKSGALPSSLSSSAAATAASIGNMLGQKPKSSTLSNKSRIAQRIPVRSTSRTDVNKANPARASRNNIPTGGKSNRVSPSISPSSSVDSLSSVVSGASTASTVGKMSHTSESFSTRSSSLSPSLRNSNDHAPTRADADTQGKGSKPSGLRMPTPKIGYFDAKSIDQQIGAHMQVQPMKIQCSPQLSSAQMGNPASSILSQPELRLAASPHEKKSSVQSKASPLLPLEVVQIELEPSQAMEHEVCKPQPCPVVAAAAAAADTAKENIPALHQNIQPNDGAGSLAVDLICQRLSTISLGDATDLAS >ORUFI05G01660.1 pep chromosome:OR_W1943:5:1111791:1116932:-1 gene:ORUFI05G01660 transcript:ORUFI05G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQQQQENLTLLPMSSSSADAAAASSPAASAGRLFTAGLVASWYASNIGVLLLNKFLLSTYGFRYPVFLTACHMSACALLSYAAAAASAAAPRAARPRRSRGQLARVALLGAVFCASVVAGNVSLRYLPVSFNQAVGATTPFFTAVLAYAVAARREACATYAALIPVVAGVVIATGGEPSFHLFGFIMCIGATAARALKTVLQGILLSSEEEKLNPMELLGYMAPVAVVLLIPATFIMERNVLTMVLGNAKGAVAVVVSILIFRNPVTFMGMLGYGITVAGVVLYGEAKKRSKSPDAQSGILKAGMFSFAVSAAAAAAATTGQGWGDNEEDRVLKLSDVWLIFPTVEAVEAPETTELKLSTELLGEMLGDTRLLLPPACHLVLGL >ORUFI05G01670.1 pep chromosome:OR_W1943:5:1120531:1128411:1 gene:ORUFI05G01670 transcript:ORUFI05G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVRRLDGADQDLLLCVEDDVVATTTSDDGAWADDLEERAENLGEPGQFGLIKPHSNEIELKMVFEASIWWIQLRTLKLVVMRYQKRSYFRSLKLKLNKKKVSVDCNLKRKRSETAEVDFKNQLDSALLEIFRDNVLIGMKRKLYCHKSKKKSVMTDATEETKLTRFSVKYFSEVLEKLSKRHRDIISKSCFKTLLLFEKCSMPYRLALWIAQKVDVNSCDIIVRDKVIPLSKESVHIVLGLPVGGLPISSNYEIGKQKILDTFGLSSLPTVKFFGDKLIRNESMSDDQVLISFMMVSLNCFLCPNSSIQPSTKYLSAFADLTSIDKLDWSNLVFEWLMKHLSKLEKSKSFGGCLYYLVVNYLDFLNFGMRKVLQDTPRIKVWKGTMIKKFSKFDKISKGVYGKRPIKDFSESCYKMIETGTAKATFLQRLDSAIGVDLPQEIKKDINELLLHHLDPDENCIDDRVKNLLIDIFDLLSNASKPSVPDNTGMISSPPKITKARFVGFNERKPIYFDHEKPQFQIWDSDDDNINQEDNLRSEVTPRHGLKSSKIVSDSYSPACPTELNKTKIIPLDLSQNLYDLSQNQENNSENEDQLIMVTLEDSETQSQHNEKENLPVQQQYTKTTENKKDSPEVVFLGERQSTENCLDITSKTNVLYNKINTFIVNPDKKLKMCTASPERVLLCNVDRNVGQCSSSQKPQHDLRRILQPARYSTDPYSLERQSFCVTAYDRQVYNAVCKISKSSFQDKVVVDIDGVHCKFFTFGDSFKPGGELSNFLFFPIVKNRHWFVFAIDLKAQRFVFLDSMYDEDSIYHQQIRPKLISNFSLDWNLYVKDHPIDFNNYTVIYPPVPKQTNRFDCGIFTLKFMETFTNYWMITSEGVLKI >ORUFI05G01680.1 pep chromosome:OR_W1943:5:1124103:1137447:-1 gene:ORUFI05G01680 transcript:ORUFI05G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINEKIDDREANLQYTQKGITSLSDLEKEKGEEQEKSTGTKEKKLKISNVLKMADEKQFEAGKTSSDSGKKSHGLKIMKQLAGKIEAGTSTNSVNSKSEKKLKISNVLKMADEKQFEAGKTSSDSGKKSQGLKIMKQLAGKIEAGTSTDSVNSKSGTEEKILKISNDLKKADEKQLQEVKTSRGFETDTDKKAQRFKTMKQLPGIFEDGTCTALVQSNSGLAFLEKNYNTTQSYKMQENRDNIKSGNRGHLSDIGSKIEKKNTTNQTCLALLEENYNTTLSYRVQENMDITKTGKGKIMKQIAGIIENNDKGTISCMNMQEINSENGANNAKVDYENNVLSLLDQDYIADSFISYTSLMEHIISSQPKRCNELKVEGTKNDTIETGIGQDYQKVDESLNSHVEYQSEQRCSMDILNLQESVQDKSLEQTSEIGYSNVDNQSQHAWSLDIFNLQESVQSGEEEKKEATSKKRKPNKKENIEIGKSHQDES >ORUFI05G01690.1 pep chromosome:OR_W1943:5:1138338:1145545:1 gene:ORUFI05G01690 transcript:ORUFI05G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIEYVVAELRDEAAGAGAHVAALAALCDVLAVAADHLFDALPIAEFVARLPRLLASGEGDVPLFAARAIAEACEGVPPWATSFARYGAIEALRDKLLAIDCIELAEECLRALGVISMECPKECLSHGVPAAVLQFFDFFSMHKQKLVLKIVANVLGDFSAKDAAKAMEAAPVLCNLLQSTDKTILDSAVSCLVLVSDGACDSAQHMEKLYELNAVQATMRLMENDGWKSLSDETLSGILGLLKDLASLSARAVKSLFELNICDLLKQMITYYTSSHSDHNKVQTLVELIYYLMPPLEMCDHRTELIIAKKNVITEQSGYIQQLASILTFIIQVAKSAALSSICYSCVVVIRNIVELSTPSSLVEVQKTVNLSSLLAGWLARKNRHIIFQTLNVSKTLLRKDQKFFFETFIREGLKHAIDAILTQEKGKSRLPESCLCFDLDLETSTDDACRINNGAILKLAEEIKKNFLVKVAKSPHKFGCAFKSIKEFFSRLNCHATAPPAKDQDLCKQLSDFSRQLLSDELPSTSTFEFVQSGSIKHLAGYLSNGTYFNSNLRNCQDLIGELKEVKIRLQKFTHLALSVDNESSVKPLEILVEKLIDALHVWYDSFPVILADEQCTRESTMIPLRDSGTEEPMSLYIKFSRSAREEELEDYGGVLPVDLSSTPESIEEVLLPEICKRTGNETSYKENTQEANGSRKSVGLRNGDGHKFSRLKFSYKGTQLQSSTPLFESILRSMHEGETDLQIDPSFWDKEHKIVYRRRNKSKKISSHSSYNIQLCRVHEKLEMSLLKDPFFSTILTGKLPGDLDESDPSYNFLFMLKVLEGLNRFSYHLSMDDKLCKFAEGCLQEFDDLKVAICPIPRDQFVSSLLTNKLEQQMQDSLFGDGLIPSWCIYLVETCPFLLSFEARWKYFCLTAHHSFMTDEASSSTETKKYSVTRSKILEDASSMLNKHGSDTKFIEVEFDGEVGTGRGPTFEFYTTVSHELQRVGLGMWRGDDTSQECEAGFVHAPFGLFPQPWSSANTSSQGISLSNVVQKFKLLGHLVARAVLDGRVLDIPLSKAFYKIMLEQDLDIYDIPSFDPKLGKTVMEFQALVKRKKFLEERASNPAADLSYKNVRLEDLCLDFTLPGNPEYELVPGGSEKMVTLDNLEEYVSSIVDATLKSGISNQIEAFKAGINKVFALKTLRLFSEDEMERILCGEQDSWASNKLEDHINFDYGYDANSASVISFLEILREFGREDQRAFLHFTTGAPQLPLGGLASLDPKLTVVRKQCDGKVDNELPSVNTCRHFFKLPPYSSKEIMRQKLKYAIKEGLGSFQLS >ORUFI05G01700.1 pep chromosome:OR_W1943:5:1146493:1147977:-1 gene:ORUFI05G01700 transcript:ORUFI05G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEKGAAAHGAVAKNGGEGEVSAVRRPGRPRVVHPDVAEFLLNFRRLRREKKKRATPRPAVVVVPGERARYDCAFEDEGEVGRRGGFAPGRLVWGKVRCHPWWPGQVFDPADASEQALEERRKHGATLVAFFWDKTFAWVDADELLPFRGDGGDFALLAGQSAHAMPALTASVDAALGEVARRVAAGLSCCCCCDGAAVAKKQVIENAGIREGAHGATVDAAFTRGALRGEAFVGYVSALAVAPLAGADRLDLAIATAQLKAFDRWRGAAARSLPEYTCHHGIEANAMAPRRKRGRATKNTITGNVDDDASELENFEPTPQPLSHQMSTKIGKLMSRAAQQMSRSPAVIHRDTTTTTTNGDAPPPPPPAISLTMGRCTRSADEKKKNSDIREDPFLAGLVLNFICPSAVLPLSELVNIFSKFGPIMEAKTENAYAMVMFKRRADAEAAFSGTTKINALSSSLISFRLNYSMSASPIDSPECSLNTAMDRLLF >ORUFI05G01710.1 pep chromosome:OR_W1943:5:1155663:1160866:1 gene:ORUFI05G01710 transcript:ORUFI05G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHARATDSWAPTRAPGHKTTHTQQQLQQGSRRGVGGRRGSSKNHQRASKREVRSKTSKTRNGPSDAPQKASPATPRSSRVAKTGGNETDSAGITPTRTTPERSPKVTERRSPRSPITEKKRPSRLSELESRVSQLQDELKKAKEQLSLSESRRRHTQQEAEEAKKQEQAATSKLEDLQRQLAEFSAAEESRLQELRKVSQERDRAWESELEAVKKQQSVDSAALSSALSEIQRLKQQLEATTESDAARAKQCEFAESELEGLKQEMELRLATIEGLKVNVGESDKAVAEANAVAAETKKQLEMAQATIDSLLAEGARLQECLRSKDAELGQSMARLATLEEDLKKAHNKDDVDGSFGSPDHGEAVEKVVVTIPNGNGSCGGSGAEIEQLRTALEVAEIRYQEEQTRTTIETKTAYEMLENAKSEYDRRVRDLELELKSKNDELTEAKATLAVRCDGKSDVMQPELEAKLMKSITDATELKASLMDKETALQSVMEENETLKSEAGKKAAEVQRRYEAAVAELELAKAAEQDVRMRLGYVTEEADKSSRRAARASEQLDAAQAASVEMEAELRRLRVQSDQWRKAAEAAAAALGGGGGGIGRNVERTGSLEPAEYTNSMIGGKLASSPFSDEPEEESPKRRNSGVLRRMSGLWKKSPK >ORUFI05G01710.2 pep chromosome:OR_W1943:5:1155957:1160866:1 gene:ORUFI05G01710 transcript:ORUFI05G01710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFCLRKCVCACSIVLWGCFLGSLYVWTMAIVVVGGGRKEVRNGPSDAPQKASPATPRSSRVAKTGGNETDSAGITPTRTTPERSPKVTERRSPRSPITEKKRPSRLSELESRVSQLQDELKKAKEQLSLSESRRRHTQQEAEEAKKQEQAATSKLEDLQRQLAEFSAAEESRLQELRKVSQERDRAWESELEAVKKQQSVDSAALSSALSEIQRLKQQLEATTESDAARAKQCEFAESELEGLKQEMELRLATIEGLKVNVGESDKAVAEANAVAAETKKQLEMAQATIDSLLAEGARLQECLRSKDAELGQSMARLATLEEDLKKAHNKDDVDGSFGSPDHGEAVEKVVVTIPNGNGSCGGSGAEIEQLRTALEVAEIRYQEEQTRTTIETKTAYEMLENAKSEYDRRVRDLELELKSKNDELTEAKATLAVRCDGKSDVMQPELEAKLMKSITDATELKASLMDKETALQSVMEENETLKSEAGKKAAEVQRRYEAAVAELELAKAAEQDVRMRLGYVTEEADKSSRRAARASEQLDAAQAASVEMEAELRRLRVQSDQWRKAAEAAAAALGGGGGGIGRNVERTGSLEPAEYTNSMIGGKLASSPFSDEPEEESPKRRNSGVLRRMSGLWKKSPK >ORUFI05G01720.1 pep chromosome:OR_W1943:5:1162133:1162369:-1 gene:ORUFI05G01720 transcript:ORUFI05G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETFLEILLAILLPPLGVFLRYGIGMEFWIALLLTILGYLPGIIYAVYVLVA >ORUFI05G01730.1 pep chromosome:OR_W1943:5:1170010:1177499:1 gene:ORUFI05G01730 transcript:ORUFI05G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGTSLLGIVNFVTFLISIPILGGGIWLASRANSTDCIRFLQWPIIAIGLAVMVVSLMGFAGACYRQTWLLRLYLFAMFFIVVALLFFIVFAFAVTDRGDGQVVMNRRFLEYQLSDYNGWLRDRVADPAYWATISACLRDGRACAAMRRFARDPNTGMLVPETPSMFYARDLSPIQSGCCKPPTSCAYNYVNETFWTANPGVPTVVNDVDCSKWSNDQQTLCFQCDSCKAGVLAGIKKSWRKVAILNIVVLIILVIVYVAGCAAFRNARRIENDEPFGMARMTKTQPSRFQF >ORUFI05G01740.1 pep chromosome:OR_W1943:5:1175037:1177400:-1 gene:ORUFI05G01740 transcript:ORUFI05G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGHGGGTTYKGYTIPHNKRWHTVAGKGLCAVMWFWVFYRAKQDGAVLLGMRHPWDDHDDHSHGHGHEHEGSSSTSSSH >ORUFI05G01750.1 pep chromosome:OR_W1943:5:1182099:1182521:-1 gene:ORUFI05G01750 transcript:ORUFI05G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLMSPSWLQELPEEQRADAVSSMVYEANARIRDPVYGCAGAICQLQKQVNDLKAQLARAHAELAGARAQHAHLVALLCMEMAAAAPPQHPTTTAAAAAYCAASPAAPVDALYVVDGAGAAAMQASPIGWGDEPLWT >ORUFI05G01760.1 pep chromosome:OR_W1943:5:1194242:1201112:1 gene:ORUFI05G01760 transcript:ORUFI05G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAVAAAERPKQRRSSHLWKKALLHFSLCFVMGFFTGFAPSSSSSWRAGSGGGGGVQPRHQLAASHVAVNQQVSLVPDAAAAEAAGVGNGAVVDVGDDEGGEGARRMLIVVTTTRGERRRRRGELLRLAHTLRLVRPPVVWVVVEPAADAAATAEVLRGTGVMYRHLAFRPEENFTTADAEAHAQRNAALAHVEKHRLSGVVHFADAAGVYDAHFFDEIRQIEAFGTWPVATMSAGEKKVVVEGPLCSDSKVVGWFSRDFNDGTTRAVTYNTEADLNPAGAAGTRAHTIDVSGFAFNSSILWDPERWGRPTSLPDTSQDSIKFVQEVVLEDRTKLKGIPSDCSQIMVWQYTMPMQVHAQTSTPKTHNRR >ORUFI05G01770.1 pep chromosome:OR_W1943:5:1206916:1211679:1 gene:ORUFI05G01770 transcript:ORUFI05G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFGAVKKVFSPESKEKKEERLRRKLAASNPNPPDLTPSASLEVNVSVPPPPPPPPVQQIEEVKVPEVEQEQSKHVTVEAVPEAVPVPAQTSSLPPGVSREEQATIKIQTAFRGYLLSENSSWLFISSAAFIYHCVGANITKARRALRALRGLVRLKSLVEGNSVKRQAASTLRCMQTLARVQSQIRSRRLKMSEENQALQRQLLLKQELESLRMGEQWDDSTQSKEQIEASLISRQEAAVRRERALAYAFSHQWKSTSRSVNPMFVDPNNPQWGWSWLERWMAAKPWEGRAGTDKESNLDRASAKSASLNLGEGEITKAFNRRGSKPDKSSPTTPKLTRPASRQSPSTPSAKVSPIFAKKKSATPKNGLSQVDDDAKSVFSVQSERPRRHSIATSTVRDDESLASSPSVPSYMAPTKSARAKLRLQGSAVTDGAETPPEKVASVGSVKKKLSFQAGMAPPSPMRRHSGPPKVEVVKDIAEPPQPEALVINGGSK >ORUFI05G01780.1 pep chromosome:OR_W1943:5:1211391:1214060:-1 gene:ORUFI05G01780 transcript:ORUFI05G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEAALSAAAAASRPVLSSAAARRAVQLSSCAAYSAASGGDIEDSPPLPTPRRGSLLARFRDRRALAVTDITATEWCDKQQEFVLEHGKPEMTQAMKAGSERHAQLEQEVIERVEVTIRSAEESWAVKFMNFIVGANQLMLEGITREIPVIGVVEGSWMIGVIDELRMPPDGISVHPILVDTKTRYKPTVPSEAQKRNGRLQVMCYKYLWDNLISEKFPAENFFSYFDLDPGYLLSDDIKQYIRLLGLNAKTLEDVLKYFKVTCHTLPRSQEQLLLRYELQADNSLLEEYQFSYDARWLKDQFQEVLSFWQGAREPKFVSEEERWKCSFCKFANNCPINASTSRCC >ORUFI05G01790.1 pep chromosome:OR_W1943:5:1216116:1217610:-1 gene:ORUFI05G01790 transcript:ORUFI05G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTRSSLLADDEDRPRWSDLPSELTEDIASRLLGYDVAEYLRFRAVCKAWRECTPHPRELDSRFRPRRWILLSSTSGDMRCRFLNIATGACIQVDLPEELAAGGQIQIECRTEGLLVLRDKVTDAIRLLNPLTKAVTDLPPITAAMANVIPEKRVHAEIPWSLIAYAGISDETSPPTVAIFLRDMRLNIAYAKAGDRHWKLLDDKAWSTFPSSISRSDGQQLRYVNYLSVVTLRGRIYLVTYQGNILKLSIRPRPQLIPIVKDQTKHSMWHGRVLCPNVVSYLVPPNDDDHRMLMVRYYGDLTHLTDHEQRCIKRRKKNDLIKLPSKNPCRYNWRILQVFEVDIVRKKLVRVDGIADDRAVFVGDVACVSLSIDRFPSILGNTVYLGMNSCCAVGFGLCHLKDRTVEPRLEHVLESGRKAPLLVDISLFHLGRIVPFARPCTLEEYLVCSVGFKNGIKD >ORUFI05G01800.1 pep chromosome:OR_W1943:5:1240708:1240920:-1 gene:ORUFI05G01800 transcript:ORUFI05G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGADPTVSSPHARGFGGGGDGRGGSDSLSPSCADLTTSPPLSLQQQQRWASRGADVVVGEAADRQPRHG >ORUFI05G01810.1 pep chromosome:OR_W1943:5:1245312:1247519:1 gene:ORUFI05G01810 transcript:ORUFI05G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGCTHQQLADPWAWAAREEGGRVAGSSLPRRPTPTTAIGRVAAGRRPPAPATGPPQGTPAMSLAPPPPKASPSAARLQKPAASCHGGQPKASPWAGSPPGAATHLQQPSRMKLSPSPAPGAQHLPPAKPSPLAGSPPGAATHLQQPAARMLASSPAKRVLSVFSPSAAGSLRRERNHLPPLASCFCLRRLMSTGLPQIPSPPATLMRPWCNEDEQVPQLTPFKISHGVSDTMMKQYEEDYKYLVEEGLDLEEMKKLYHELVRPVLVASCLILEHHIVSPETKALDQKFKDKFGKPPIYLSALDIMKTLIAMEPSRWSYLMDFLREKRILVTENWEVSLGSIECIMHDDSISFMMNGRVVFKGQSDGRYNHGTGTADLCTAITGEATDIVDCAIYREVPCNSPTHAELLGMYVLERRAISLKILLFDVKTDNAFVSETVRDMFPITPNTTEKDLCQVLRSMKVYFEHFNCRCEPREKLELVDSLMKMKDNEMTMESIKDKWAHYLLRLPVFRAHQPTKTIRKDYINKAPTVGTVAFHGQYKIVAKGDTAKTEAVVNLVLSLQPMVASVFVGNHISAISVKEEIEKFVKGYLLDIKEAKGAYVVVMKFIETPNAGGKILDVLFNTEVGEVYKQTANSVCVKLLDKNDGTTPELSPACLLIFG >ORUFI05G01820.1 pep chromosome:OR_W1943:5:1248785:1254828:-1 gene:ORUFI05G01820 transcript:ORUFI05G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMGAFSLPRCSLPLLFFFFLLGKQKKAKLEEGREASSMPMQTTDKTDAVKSMLSGENSEGSDGSKSSDTVEWDPWDPPHPPCPTLPPTASLMSQVEMVKQHHFQVLAVLAASRATNIIAPDRTPQVSHQEVLEGVSSILDDHGFAPTGKGISIGDLECRPYDCNASNSVPKCNYEDSGTTKAVGESPNLNVKAKKAVGKQIQSRRELEQTRKMFFPHWRSLLTSRYTVKVFPFYAHMMERDHVSNLHMAGGTENGLKDKWCSPVGVSLLGKPPQLTSTSYQSRTRRLFGTAALTLLKTLKRAQFVVEHDHFPCDETRTSFARNGC >ORUFI05G01830.1 pep chromosome:OR_W1943:5:1278026:1278379:1 gene:ORUFI05G01830 transcript:ORUFI05G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLLLPATAAAAAARLPSRLAVGAAPPLRVLPFFLYPPPPSPVISKGRDVEDATVGCPVCGVFMQDADPNLPGFFKNPSRLSDDEMGEDWSPLAAELDGFIGNDEGNDVPSESDL >ORUFI05G01840.1 pep chromosome:OR_W1943:5:1279272:1284610:-1 gene:ORUFI05G01840 transcript:ORUFI05G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQTLDKTNAVKAVHSEDNGERTDISESSDAVEWDPWDPLDPPRPPFPPTADFTSKVQLIKQYGFQVRAALAASRSTNIIAPDRTPQVSHRGVLGNISSILKDHGVAPTGKGINIGDLKCCPYDCEESDSVLKCKHEDSGMTKASGESPNRNVEAKNLEASRRGLPPPVGCDFTAAVWRIIRKKMERNAKIFQHVSKTLDQLAEDIKEEIAVWGVAGIFSQFRE >ORUFI05G01850.1 pep chromosome:OR_W1943:5:1294449:1294707:-1 gene:ORUFI05G01850 transcript:ORUFI05G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDGLQEDLRFERNARIFDQKMRSPDQLVEDIKEEIMVWKTAAVFKDCNSTANLQSMCLRAFSSFPLLTGLEA >ORUFI05G01870.1 pep chromosome:OR_W1943:5:1295971:1300937:-1 gene:ORUFI05G01870 transcript:ORUFI05G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNRKRKARVIAAANRASSRRTLNPSHRDSPSPLPCPCARRRHAVLPRGCAALLPGCCVAAQPAPVATTPSPIGRPLPSCLLPDAIAASCLIDPAPVTARQWKMKRMAYLASYQSTCVINADYTPKVLGKISYIIIPGSLKFIVNW >ORUFI05G01880.1 pep chromosome:OR_W1943:5:1300678:1301637:1 gene:ORUFI05G01880 transcript:ORUFI05G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKRQEGRGRPMGDGVVATGAGCAATQQPGNKAAHPRGRTACRRRAQGQGNGDGESRWLGLRAAGIAMAAWMASAEGAKAAAVVPARQASNGAVLGGDGGGLPQIRASWPDLEGGRRWWSATAADLRRLATAVGDGGDGGGHGS >ORUFI05G01890.1 pep chromosome:OR_W1943:5:1305202:1317127:1 gene:ORUFI05G01890 transcript:ORUFI05G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSSCKDKLAYFRIKELKDILNQLGLPKQGKKQDLIDRVLALLTDEQGQRHHGWGRKNSLTKEAVAKIVDDTYRKMQIQCAPDLATRSHSGSDFSFRPIEEAYDSFQPEAKVRCICSSTMVNDSMIQCEDQRCQVWQHLNCVLIPDKPGESAEVPPVFYCELCRLSRADPFWVTAGNPLLPVKFVSSGVTNDGTSVPQSVEKSFQLSRSDRETVQRQEYDLQVWCMLLNDKVQFRMQWPQYAELHVNGISVRVVTRPGSQLLGINGRDDGPLITTCSREGINKICLSRVDARTFCFGVRIAKRRTVAQVLNLVPKEAEGESFEHALARVRRCLGGGDTAENADSDSDLEVVAESVTVNLRCPNSGSRMRIAGRFKPCIHMGCFDLETFVELNQRSRKWQCPICLKNYSLESLMIDPYFNRITSLLRNCNEDVNEVDVKPDGSWRVKGDAASRELSQWHMPDGTLCNPKEDVKPAMQNGNEQMMEGTSDGQKSLKIGIKRNPNGIWEVSSKADDKKPSVVGNRMQNNSGFRALNNIMHMSNSPTSSYRDGEDPSVNQESNRHVDLSLNNGNNEFDSFSLNFGQACNTDDRPQQQHNATDVIVLSDSDEENDAMVCPPAVYDNTTTANGSGFPFTTNGIGYTERYQEDAGVGTSGLGLLSNNVDDFEMNNWQMHSSYQQPEQGFQFFGNDTDVHNTFVGSHNSFGLAPNDYSLDCNVGVEEASVTPALSVCRNSNEMHGSLVDNPLALVGDDPSLQIFLPSQPSSVPLQEELSERANAPNGVQSDDWISLTLAAGGGGNEEPAPADVNSQPQIPSTETGIEPLTDAASAFLSTNIERRSGADLNPRRIENIFSHPRQPRLV >ORUFI05G01900.1 pep chromosome:OR_W1943:5:1319534:1320785:-1 gene:ORUFI05G01900 transcript:ORUFI05G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAASPGRAEKQLPAPPGLARLLLSKSRRGGGGGRSRRAPATSPMFVSRGRGGAGRAAAAAADGEPSSPKVTCIGQVRMRKGKKGKKAAAASKVAAPEKGRGYCRCLKKAFLCGGLFDFDRRRRPKAPSPEVVERSRRSPWVFSSRDVAVAAAPKQPDPRGEHAEEDEEMEASAGGVFRSLEGEEAERLGINGGGDDKEEDEEEEEEEAELVSSATTTPPKNALLLMRCRSAPQNRSSPLTSRFPVAAAPSPTKDAAAAAEIAAPSPRKSQKASAAAEAQHEERQEEMASVQEQEEVREEEEEDVDDDDDYDEEDMRCSSARPLVLPRCKSEPATTAAAKMAGGTAADATTAGCFWANGGSSGRGRHAPAGGGGHWPATEQLISLEW >ORUFI05G01910.1 pep chromosome:OR_W1943:5:1333370:1344927:1 gene:ORUFI05G01910 transcript:ORUFI05G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPPPPILLLLLLLLAAVAADVSTALRFDYATLTLGSLKLLGDAHLKNGTIRLSRDLPVPNSGAGRALYATPVALRGGFSTQFAFTVATLNADSVGGGLAFVLASDGVTLGDAGPYIGVSAASDVAAVEFDTLMDVQFGDVNGNHVGLDLGSMVSAAVADLDGVGVELTSGRTVNAWIEYSPKSGMEVFVSYSPKRPAEPVLSAPLDLGEYVKGDAFVGFSASTQGSTEMHAVEWWTFSTPTSSSSSPSKPSPRMATPSSPPPEAPVSSAAPPPASLNPMLPSPPQLPGVSTTTPSPPASTVSMPPTSSVAVASAPANSTAGISNAGSPHPPAHAAVAGAATAGAFVAVSFAGFALWALARRARARRRGTTALAAVADKRDSLASAAALARSPREFTYKELSAATRGFDASRVIGNGAFGTVYKGIIPDTGAMVAVKRCTNASADGAQARSEFLSELSIIAGLRHRNLLRLQGWCHEKGEILLVYDYMRNGSLDKALFDASSPVLPWSHRREILAGVASALAYLHHECERRVIHRDVKSSNVMLDDAYRARLGDFGLARQAEHGESPDATAAAGTMGYLAPEYLLTGRATEATDVFSFGALVLEVACGRRPIGATEGRCNNLVEWVWSLHGAGQVLDAVDARLRGEYDEAEMRRAMLVGLACSSPEPALRPGMRAVVQMLGGEADPPFVPAARPSMSFSANHQLLLSLQDSVSDYNALGLNDLSDDSSSDSLSSSSLTSTLRKGGHDIAAFSSAAAGDAARCIQDAREDEMSFFSCFKPDKKMLSKRMEEMPFTVVKKASSQHGSSLKNSESDKSPRGHSNNKKSSVAAKNTEPPKRIPITAKAERSFTFRELATATNNFHPDCIVGEGGFGRVYKGQLEDGQVVAVKQMERNGFQGNREFLIEVMILGHLNHPNLVNLVGYCSDGDQRLLAYEYMALGSLADHLLDITPDQEPLSWRTRMKIAHGTAKGLEHLHEKMSPPVIYRDLKSPNILLDKDYNPKLSDFGLAKLGPFEGDKHVSTRVMGTFGYCAPEYVRTGMLSTKTDVYSFGVFLLELITGRRAVDTCRPVCEQILAYWAKPMLHDRRRYHELVDPLLRGDYPDKDFNQAAAMAAICIEDEASVRPYMSDIVVALGFLAEVPAGCEERINAEPQNRKDEDPSVTGNTKKDQSTFDRQRAVAEAIEWGSVRQKQMAQIKEKKAQPQGIVAPKTNKLDHFFVAVHKNL >ORUFI05G01910.2 pep chromosome:OR_W1943:5:1333370:1347253:1 gene:ORUFI05G01910 transcript:ORUFI05G01910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPPPPILLLLLLLLAAVAADVSTALRFDYATLTLGSLKLLGDAHLKNGTIRLSRDLPVPNSGAGRALYATPVALRGGFSTQFAFTVATLNADSVGGGLAFVLASDGVTLGDAGPYIGVSAASDVAAVEFDTLMDVQFGDVNGNHVGLDLGSMVSAAVADLDGVGVELTSGRTVNAWIEYSPKSGMEVFVSYSPKRPAEPVLSAPLDLGEYVKGDAFVGFSASTQGSTEMHAVEWWTFSTPTSSSSSPSKPSPRMATPSSPPPEAPVSSAAPPPASLNPMLPSPPQLPGVSTTTPSPPASTVSMPPTSSVAVASAPANSTAGISNAGSPHPPAHAAVAGAATAGAFVAVSFAGFALWALARRARARRRGTTALAAVADKRDSLASAAALARSPREFTYKELSAATRGFDASRVIGNGAFGTVYKGIIPDTGAMVAVKRCTNASADGAQARSEFLSELSIIAGLRHRNLLRLQGWCHEKGEILLVYDYMRNGSLDKALFDASSPVLPWSHRREILAGVASALAYLHHECERRVIHRDVKSSNVMLDDAYRARLGDFGLARQAEHGESPDATAAAGTMGYLAPEYLLTGRATEATDVFSFGALVLEVACGRRPIGATEGRCNNLVEWVWSLHGAGQVLDAVDARLRGEYDEAEMRRAMLVGLACSSPEPALRPGMRAVVQMLGGEADPPFVPAARPSMSFSANHQLLLSLQDSVSDYNALGLNDLSDDSSSDSLSSSSLTSTLRKGGHDIAAFSSAAAGDAARCIQDAREDEMSFFSCFKPDKKMLSKRMEEMPFTVVKKASSQHGSSLKNSESDKSPRGHSNNKKSSVAAKNTEPPKRIPITAKAERSFTFRELATATNNFHPDCIVGEGGFGRVYKGQLEDGQVVAVKQMERNGFQGNREFLIEVMILGHLNHPNLVNLVGYCSDGDQRLLAYEYMALGSLADHLLDITPDQEPLSWRTRMKIAHGTAKGLEHLHEKMSPPVIYRDLKSPNILLDKDYNPKLSDFGLAKLGPFEGDKHVSTRVMGTFGYCAPEYVRTGMLSTKTDVYSFGVFLLELITGRRAVDTCRPVCEQILAYWAKPMLHDRRRYHELVDPLLRGDYPDKDFNQAAAMAAICIEDEASVRPYMSDIVVALGFLAEVPAGCEERINAEPQNRKDEDPSVTGNTKKDQSTFDRQRAVAEAIEWGSVRQKQMAQIKEKKAQPQGIVAPKTNKL >ORUFI05G01910.3 pep chromosome:OR_W1943:5:1344822:1347476:1 gene:ORUFI05G01910 transcript:ORUFI05G01910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCFKPEKKMKSKGMEAREVTVVKKHGASLKNSESERLPCVSSDHKQSSEAAANTEPHNGSPVTARTGKKFTFRELATATNNFRSDRLLGEGGFGRVYKGQLENGQLVAVKRLDLSGFQGNKEFLVEVMMLSLLNHPNLVSLVGYCSDGDQRLLVYEYMAHGSLADHLLENTPDQVPLSWHIRMKIAHGTAKGLEYLHEKANPPVIYRDLKSPNILLDNEYNPKLSDFGLAKLGPVGGKAHISTRVMGTYGYCAPEYIKTRQLTTKTDVYSFGVFLLELITGRRAVDSSRPECDQILVKWAKPMLKNPSRHHELVDPLLRGDYPRGDLNQAVAVAAMCLQEEASVRPYMSDTVVALGFLAEVPSGYKEKINTVPQNKQDKDPSFTGSTKQDQRSFDRQRAVTEAIEWGATRQKQKAQIQEKTSHLQGIVAPTETNRL >ORUFI05G01920.1 pep chromosome:OR_W1943:5:1339926:1352117:-1 gene:ORUFI05G01920 transcript:ORUFI05G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQRWLPGILRRGDGLARRLYSSASSLLFDDTQEQFKESVHKFAQETIAPHAAAIDASNHFPKDVNLWKLMGDFNLHGLTAPEEYGGMGLGYMYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRHGSPAQKLKYLPKLISGEHVGALAMSEPNSGSDVVSMKCKAEKVDGGYVINGNKMWCTNGPSAQTLVVYAKTDIAAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPHENVLGEEGKGVYVMMSGLDLERLVLAAGPIGLMQVCLDVAVPYVRQREQFGRPIGEFQFIQGKLADMYTSLQSSRSFVYSDCAGVILFAAERATQVALQAIQCLGGNGYINEYPTGRLLRDAKLFEIGAGTSEIRRMIIGRELFKEEYPEGTNVAQECFLSLDKEFLRDDPCCEEAFFTTVNGISSILFDSIFLSGLKHEKKLISAHCMKISLNPCVSFC >ORUFI05G01920.2 pep chromosome:OR_W1943:5:1342232:1352117:-1 gene:ORUFI05G01920 transcript:ORUFI05G01920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQRWLPGILRRGDGLARRLYSSASSLLFDDTQEQFKESVHKFAQETIAPHAAAIDASNHFPKDVNLWKLMGDFNLHGLTAPEEYGGMGLGYMYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRHGSPAQKLKYLPKLISGEHVGALAMSEPNSGSDVVSMKCKAEKVDGGYVINGNKMWCTNGPSAQTLVVYAKTDIAAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPHENVLGEEGKGVYVMMSGLDLERLVLAAGPIGLMQVCLDVAVPYVRQREQFGRPIGEFQFIQGKLADMYTSLQSSRSFVYSDCAGVILFAAERATQVALQAIQCLGGNGYINEYPTGRLLRDAKLFEIGAGTSEIRRMIIGRELFKEEAPFDGLSNCSLTVKCALIFLGVTSY >ORUFI05G01920.3 pep chromosome:OR_W1943:5:1339926:1352117:-1 gene:ORUFI05G01920 transcript:ORUFI05G01920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQRWLPGILRRGDGLARRLYSSASSLLFDDTQEQFKESVHKFAQETIAPHAAAIDASNHFPKDVNLWKLMGDFNLHGLTAPEEYGGMGLGYMYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRHGSPAQKLKYLPKLISGEHVGALAMSEPNSGSDVVSMKCKAEKVDGGYVINGNKMWCTNGPSAQTLVQYCTEVGQAWHERKCELVFENCFVPHENVLGEEGKGVYVMMSGLDLERLVLAAGPIGLMQVCLDVAVPYVRQREQFGRPIGEFQFIQGKLADMYTSLQSSRSFVYSDCAGVILFAAERATQVALQAIQCLGGNGYINEYPTGRLLRDAKLFEIGAGTSEIRRMIIGRELFKEEYPEGTNVAQECFLSLDKEFLRDDPCCEEAFFTTVNGISSILFDSIFLSGLKHEKKLISAHCMKISLNPCVSFC >ORUFI05G01930.1 pep chromosome:OR_W1943:5:1356335:1360954:-1 gene:ORUFI05G01930 transcript:ORUFI05G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSTVAHGVGEVAASTTVEEVGIWADDNEAAVLAPALSCGELEGGIPSRRRRRCAWIRRWRRRGGWFRPPPVRIRRGRPSSDPRRRGGGVRRPWRSREEAGAAIRSQWRHTAVRRRWRDRAETAAVARLRGGNEEGELWLWRRPSRLLSKWRRGRSWAPEKDRGVSPATAVVCRYPTNLIDHGQTFFSSSRELRERAIGRVCHGLILITCTHGIHLHKLRVFLSSMAAKPEAQMGSDVSCSTKNIVPASSVAQLMESVMAVCRIFGYRNNLLKMEKGKVPKAHWDAYASKVFCEICRDEVLAGNRPTAALSPLGYKNLEEKFFAQTGRQYDRTKLKNRWDTLKTQHKM >ORUFI05G01940.1 pep chromosome:OR_W1943:5:1362961:1364413:1 gene:ORUFI05G01940 transcript:ORUFI05G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVAAVLAVAAVVMNMMGGTSMGSNSPMVYLPNYFLFFNIFRTNFDSSFRVNTIYIMRRCIIEFTPEQYFCMILNHERFQFSEVLGQLHVYRKNSNI >ORUFI05G01950.1 pep chromosome:OR_W1943:5:1368059:1369303:1 gene:ORUFI05G01950 transcript:ORUFI05G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRRFVNLLVESSGGLYSLRRIDLSRHPLFYPTPAAAAAAGPRDLRVQREEEYEFQWPKLNDDDERRRKKKMKRHEAVETLRQLPSIVSMAPSPPTPAGGFGFDCFPLAESESKVVFADHAGRAFLYDADGNRFTGMPSLHAPKGDSPVAVSIASQGEEESKLYVMDNTLRPESSGGGGGGSLFQFEVFDHRKPEPTSPPWEKYWHCDPLPPPPFVFDSGGMVESYAVIGHVIVVSVSDVGTYCFDTASRSWSRAGEWALPFAGKAEYVPELKLWFGIAAKGECSPCAADLSPVARGEPPSPGYIWEDLDLPEEWEPSWGSHLVVLGSGRFCIARFFQLARTDDNIMNDHVEDITFPVFTGLEVLPPAPATATGDGGGSGDHRKEGLRMIKHKSRRYAELDDDGIRSVKSVL >ORUFI05G01960.1 pep chromosome:OR_W1943:5:1372086:1375026:1 gene:ORUFI05G01960 transcript:ORUFI05G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYRFSNVMIGYLNLATLLASIPVIGAGLWMAKGSTATCSSMLQTPLLVIGFVVLLVSLAGFVGACFHVAWALWLYLLAMMLLIAFLLGLTAFGFAVTAGGGGTQVPGRPYREYHTSDYSSWLQKHIQDAKYWRPALACVVGSKACPKIANWSPMDYLQHDLTPIQSGCCKPPTACAYSGGVAVGAQDEDCFRWNNAAGILCYGCESCRAGVMEKVREDWHKISVLNVMVLVVLICICACGCCAFRNARRSVSEYPYGVNRMHKIHPRWDYYWWRWWRDRREQLY >ORUFI05G01970.1 pep chromosome:OR_W1943:5:1376475:1377892:-1 gene:ORUFI05G01970 transcript:ORUFI05G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRALRRALPPLSSAAAGVLLRGATRHAAPLPLRPPPLLRLLDPIGLRPFSAAAATASQAPSMGAGLFSGLMDTRFPKRRPGFKSRRKRASLRPKGPYYWVKCKPGEPIPANQPNEGSVQGRKEKKRIKQRKAFIMAEKKKRKAQYSAAVKRKEAERTERKMAAVARERAWTERLADLKRIEEEMKPATA >ORUFI05G01980.1 pep chromosome:OR_W1943:5:1379731:1387351:-1 gene:ORUFI05G01980 transcript:ORUFI05G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAASRRESSAASRTLVWSWWRGGRGGGVLGLPARPRERSWPLIVGQGRTQGCGSIGVKGLGLRPLYRLTLSQAAAASVFSPLLSSPPHLSPLSPSFSPAPRPPPPPPPPPPPPPSLHADQGASASAHAAADASPTWGALGAAACTSDCLPDSTPRISSAACSTGGGEGKAWTSTSLSDLRRPTSAAAAATDSAGDGSPGIGGGRRRCRVGFFAARMRRPELGIRAGWTTTTTTTTTPWMPPPPPDRRDFLYRDGRRHDGDPLPPPAPTPPRWRDSPYHPPPPPPPLRDHSRPSPRRTPSSASSDGYYRQGGGSYDRSYPDESLGYTPSRSDRYWLDDDGGGGGYKGFSRYGGGGGGGSRRDGRDMRGSYRRSPFRGYGSDFSRNHQEHPPPPLRRSPLRSVAVPMSYDPPGDRADRGDRDHHHRVTPWRPLRRRESRSDAADAAGAGPVPVGQAAAAAASEKDVSARSSAVAAPQVSEEEAPRKKPRLGWGQGLAKYEKQKVQGPAESAEAVAEGSPTATEQKGITHTPAPAPCVSPVAAPSPTPCASPVAAPSPAPCVSPVAAPSPAPPCKSPVPEDKSCELTANTVTESNKNIPGPDVQACNNEVPTKLDQLEGDPIDSLAKVLSELVQHEDSCSGDSKRLSNVSKLLLLKESISKELEKTELEIDSLEGELKSVNVEARNRTLKDPPTAVTYAQNPSPSPVKEQGELTPSPKISMEQDADVKGSELMEIETAQAHNAKAVSSEESVACPGVALGQVPAAADVIPSDPCGKTGSGIDVDIEQREENPCQETFNAMKADGSSDLATRPCSYREVKYNLMDQIIAANRSEAKKNSQLLFKPVPADRSNLDLLASSYLSSQMKNDVIIKKKHAILKNRQRFKEQILTFKFRVLRHLWKEDVRLLSVRKQRSKSHKRTDQSNRSSQSGSQRQRSSNRSRLAVPAGNLSTFPITEMSGVARKLFSEFQLKRCRNYLKMPALIVDEKEKAGAKFVSKNGLVEDPVSVEKERALINPWIQEEKEIFMEKLATFVSGKKWNPEANAASLDMLGVATEVAAQGLEYVNEVKKNSAKSILRTVCGVDNSTKGSEKDCVGDVSLHERESVAADVLAGICGTLSPEGMGSCITSSADPGQKIGIISRMEHLLTPEADKNFDDDGTLSDQECEVDIVDWNDDEKSSFIEAMNRYGKDFARISSYVKSKSFEQCKVFFSKARKSLGLDLIHQGAADAGFPTGDANGGRSGTDGACIAEMDSAICSAQSCPKVEIDACPVSDGEIQGHNPLSDIASRQPEADKSNMPDVVDINVEEGESKAEKDCSILVDHKQLREDINQTSCARIDINCPESTDKLQDIEDVTPVNMHGDDLMATSVEQVAAAHVESRSSLHSEGIGIDVSRIEGCSHESTIGKGGKSTPSVCLPAENGVSKENIIHFSNMDGASSISPAFTSNYQQSKLADPIQSKPKPLTPKDLMPVQFSSSLPDPTSICFEGIAAITTPNFEDHGNRASIASGAKDVNMFPTFKDQSSNRHDALFSNVDGYMQQRRNNHFGTEVCGLSESTGISQSDQFTVSKFQNGRPSSLGLSNGNLGVLSTGRREEAREGLFRPCSVKTSAGNEEQQKRPGDVKLFGQILSHQSSLQSSGSSVHGSKSKPPSPKVDKPASSRLLSNPRERLVYSSRPPIIANLGLEERAMRSFDHMDGRTIQPEPMVMVAKCQRPSAGVPVYSTKNGTLSVFAEFQQPSMPPHTSDHKLLENFADLHKRNGIELLSGFQQPGRLGGAGVLVSGVSDPVAALKAQYGSGSKMLSSSNDVDTWKDIGSR >ORUFI05G01980.2 pep chromosome:OR_W1943:5:1379731:1387351:-1 gene:ORUFI05G01980 transcript:ORUFI05G01980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAASRRESSAASRTLVWSWWRGGRGGGVLGLPARPRERSWPLIVGQGRTQGCGSIGVKGLGLRPLYRLTLSQAAAASVFSPLLSSPPHLSPLSPSFSPAPRPPPPPPPPPPPPPSLHADQGASASAHAAADASPTWGALGAAACTSDCLPDSTPRISSAACSTGGGEGKAWTSSPGIGGGRRRCRVGFFAARMRRPELGIRAGWTTTTTTTTTPWMPPPPPDRRDFLYRDGRRHDGDPLPPPAPTPPRWRDSPYHPPPPPPPLRDHSRPSPRRTPSSASSDGYYRQGGGSYDRSYPDESLGYTPSRSDRYWLDDDGGGGGYKGFSRYGGGGGGGSRRDGRDMRGSYRRSPFRGYGSDFSRNHQEHPPPPLRRSPLRSVAVPMSYDPPGDRADRGDRDHHHRVTPWRPLRRRESRSDAADAAGAGPVPVGQAAAAAASEKDVSARSSAVAAPQVSEEEAPRKKPRLGWGQGLAKYEKQKVQGPAESAEAVAEGSPTATEQKGITHTPAPAPCVSPVAAPSPTPCASPVAAPSPAPCVSPVAAPSPAPPCKSPVPEDKSCELTANTVTESNKNIPGPDVQACNNEVPTKLDQLEGDPIDSLAKVLSELVQHEDSCSGDSKRLSNVSKLLLLKESISKELEKTELEIDSLEGELKSVNVEARNRTLKDPPTAVTYAQNPSPSPVKEQGELTPSPKISMEQDADVKGSELMEIETAQAHNAKAVSSEESVACPGVALGQVPAAADVIPSDPCGKTGSGIDVDIEQREENPCQETFNAMKADGSSDLATRPCSYREVKYNLMDQIIAANRSEAKKNSQLLFKPVPADRSNLDLLASSYLSSQMKNDVIIKKKHAILKNRQRFKEQILTFKFRVLRHLWKEDVRLLSVRKQRSKSHKRTDQSNRSSQSGSQRQRSSNRSRLAVPAGNLSTFPITEMSGVARKLFSEFQLKRCRNYLKMPALIVDEKEKAGAKFVSKNGLVEDPVSVEKERALINPWIQEEKEIFMEKLATFGKDFSKISSFLQHKTTADCIEFYYKHHKSDSFREVKKLLDLRQQQQPASNYLGAVSGKKWNPEANAASLDMLGVATEVAAQGLEYVNEVKKNSAKSILRTVCGVDNSTKGSEKDCVGDVSLHERESVAADVLAGICGTLSPEGMGSCITSSADPGQKIGIISRMEHLLTPEADKNFDDDGTLSDQECEVDIVDWNDDEKSSFIEAMNRYGKDFARISSYVKSKSFEQCKVFFSKARKSLGLDLIHQGAADAGFPTGDANGGRSGTDGACIAEMDSAICSAQSCPKVEIDACPVSDGEIQGHNPLSDIASRQPEADKSNMPDVVDINVEEGESKAEKDCSILVDHKQLREDINQTSCARIDINCPESTDKLQDIEDVTPVNMHGDDLMATSVEQVAAAHVESRSSLHSEGIGIDVSRIEGCSHESTIGKGGKSTPSVCLPAENGVSKENIIHFSNMDGASSISPAFTSNYQQSKLADPIQSKPKPLTPKDLMPVQFSSSLPDPTSICFEGIAAITTPNFEDHGNRASIASGAKDVNMFPTFKDQSSNRHDALFSNVDGYMQQRRNNHFGTEVCGLSESTGISQSDQFTVSKFQNGRPSSLGLSNGNLGVLSTGRREEAREGLFRPCSVKTSAGNEEQQKRPGDVKLFGQILSHQSSLQSSGSSVHGSKSKPPSPKVDKPASSRLLSNPRERLVYSSRPPIIANLGLEERAMRSFDHMDGRTIQPEPMVMVAKCQRPSAGVPVYSTKNGTLSVFAEFQQPSMPPHTSDHKLLENFADLHKRNGIELLSGFQQPGRLGGAGVLVSGVSDPVAALKAQYGSGSKMLSSSNDVDTWKDIGSR >ORUFI05G01980.3 pep chromosome:OR_W1943:5:1379731:1387351:-1 gene:ORUFI05G01980 transcript:ORUFI05G01980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAASRRESSAASRTLVWSWWRGGRGGGVLGLPARPRERSWPLIVGQGRTQGCGSIGVKGLGLRPLYRLTLSQAAAASVFSPLLSSPPHLSPLSPSFSPAPRPPPPPPPPPPPPPSLHADQGASASAHAAADASPTWGALGAAACTSDCLPDSTPRISSAACSTGGGEGKAWTSTSLSDLRRPTSAAAAATDSAGDGSPGIGGGRRRCRAAAGAGDSRGLDDDDDDDHHAVDASAAAGSEGLPVQGWPQARRRPPPTASPHAAEVARLALPPAAAATAPPRYGGGGGGGSRRDGRDMRGSYRRSPFRGYGSDFSRNHQEHPPPPLRRSPLRSVAVPMSYDPPGDRADRGDRDHHHRVTPWRPLRRRESRSDAADAAGAGPVPVGQAAAAAASEKDVSARSSAVAAPQVSEEEAPRKKPRLGWGQGLAKYEKQKVQGPAESAEAVAEGSPTATEQKGITHTPAPAPCVSPVAAPSPTPCASPVAAPSPAPCVSPVAAPSPAPPCKSPVPEDKSCELTANTVTESNKNIPGPDVQACNNEVPTKLDQLEGDPIDSLAKVLSELVQHEDSCSGDSKRLSNVSKLLLLKESISKELEKTELEIDSLEGELKSVNVEARNRTLKDPPTAVTYAQNPSPSPVKEQGELTPSPKISMEQDADVKGSELMEIETAQAHNAKAVSSEESVACPGVALGQVPAAADVIPSDPCGKTGSGIDVDIEQREENPCQETFNAMKADGSSDLATRPCSYREVKYNLMDQIIAANRSEAKKNSQLLFKPVPADRSNLDLLASSYLSSQMKNDVIIKKKHAILKNRQRFKEQILTFKFRVLRHLWKEDVRLLSVRKQRSKSHKRTDQSNRSSQSGSQRQRSSNRSRLAVPAGNLSTFPITEMSGVARKLFSEFQLKRCRNYLKMPALIVDEKEKAGAKFVSKNGLVEDPVSVEKERALINPWIQEEKEIFMEKLATFGKDFSKISSFLQHKTTADCIEFYYKHHKSDSFREVKKLLDLRQQQQPASNYLGAVSGKKWNPEANAASLDMLGVATEVAAQGLEYVNEVKKNSAKSILRTVCGVDNSTKGSEKDCVGDVSLHERESVAADVLAGICGTLSPEGMGSCITSSADPGQKIGIISRMEHLLTPEADKNFDDDGTLSDQECEVDIVDWNDDEKSSFIEAMNRYGKDFARISSYVKSKSFEQCKVFFSKARKSLGLDLIHQGAADAGFPTGDANGGRSGTDGACIAEMDSAICSAQSCPKVEIDACPVSDGEIQGHNPLSDIASRQPEADKSNMPDVVDINVEEGESKAEKDCSILVDHKQLREDINQTSCARIDINCPESTDKLQDIEDVTPVNMHGDDLMATSVEQVAAAHVESRSSLHSEGIGIDVSRIEGCSHESTIGKGGKSTPSVCLPAENGVSKENIIHFSNMDGASSISPAFTSNYQQSKLADPIQSKPKPLTPKDLMPVQFSSSLPDPTSICFEGIAAITTPNFEDHGNRASIASGAKDVNMFPTFKDQSSNRHDALFSNVDGYMQQRRNNHFGTEVCGLSESTGISQSDQFTVSKFQNGRPSSLGLSNGNLGVLSTGRREEAREGLFRPCSVKTSAGNEEQQKRPGDVKLFGQILSHQSSLQSSGSSVHGSKSKPPSPKVDKPASSRLLSNPRERLVYSSRPPIIANLGLEERAMRSFDHMDGRTIQPEPMVMVAKCQRPSAGVPVYSTKNGTLSVFAEFQQPSMPPHTSDHKLLENFADLHKRNGIELLSGFQQPGRLGGAGVLVSGVSDPVAALKAQYGSGSKMLSSSNDVDTWKDIGSR >ORUFI05G01980.4 pep chromosome:OR_W1943:5:1379731:1387351:-1 gene:ORUFI05G01980 transcript:ORUFI05G01980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAASRRESSAASRTLVWSWWRGGRGGGVLGLPARPRERSWPLIVGQGRTQGCGSIGVKGLGLRPLYRLTLSQAAAASVFSPLLSSPPHLSPLSPSFSPAPRPPPPPPPPPPPPPSLHADQGASASAHAAADASPTWGALGAAACTSDCLPDSTPRISSAACSTGGGEGKAWTSTSLSDLRRPTSAAAAATDSAGDGSPGIGGGRRRCRVGFFAARMRRPELGIRAGWTTTTTTTTTPWMPPPPPDRRDFLYRDGRRHDGDPLPPPAPTPPRWRDSPYHPPPPPPPLRDHSRPSPRRTPSSASSDGYYRQGGGSYDRSYPDESLGYTPSRSDRYWLDDDGGGGGYKGFSRYGGGGGGGSRRDGRDMRGSYRRSPFRGYGSDFSRNHQEHPPPPLRRSPLRSVAVPMSYDPPGDRADRGDRDHHHRVTPWRPLRRRESRSDAADAAGAGPVPVGQAAAAAASEKDVSARSSAVAAPQVSEEEAPRKKPRLGWGQGLAKYEKQKVQGPAESAEAVAEGSPTATEQKGITHTPAPAPCVSPVAAPSPTPCASPVAAPSPAPCVSPVAAPSPAPPCKSPVPEDKSCELTANTVTESNKNIPGPDVQACNNEVPTKLDQLEGDPIDSLAKVLSELVQHEDSCSGDSKRLSNVSKLLLLKESISKELEKTELEIDSLEGELKSVNVEARNRTLKDPPTAVTYAQNPSPSPVKEQGELTPSPKISMEQDADVKGSELMEIETAQAHNAKAVSSEESVACPGVALGQVPAAADVIPSDPCGKTGSGIDVDIEQREENPCQETFNAMKADGSSDLATRPCSYREVKYNLMDQIIAANRSEAKKNSQLLFKPVPADRSNLDLLASSYLSSQMKNDVIIKKKHAILKNRQRFKEQILTFKFRVLRHLWKEDVRLLSVRKQRSKSHKRTDQSNRSSQSGSQRQRSSNRSRLAVPAGNLSTFPITEMSGVARKLFSEFQLKRCRNYLKMPALIVDEKEKAGAKFVSKNGLVEDPVSVEKERALINPWIQEEKEIFMEKLATFGKDFSKISSFLQHKTTADCIEFYYKHHKSDSFREVKKLLDLRQQQQPASNYLGAVSGKKWNPEANAASLDMLGVATEVAAQGLEYVNEVKKNSAKSILRTVCGVDNSTKGSEKDCVGDVSLHERESVAADVLAGICGTLSPEGMGSCITSSADPGQKIGIISRMEHLLTPEADKNFDDDGTLSDQECEVDIVDWNDDEKSSFIEAMNRYGKDFARISSYVKSKSFEQCKVFFSKARKSLGLDLIHQGAADAGFPTGDANGGRSGTDGACIAEMDSAICSAQSCPKVEIDACPVSDGEIQGHNPLSDIASRQPEADKSNMPDVVDINVEEGESKAEKDCSILVDHKQLREDINQTSCARIDINCPESTDKLQDIEDVTPVNMHGDDLMATSVEQVAAAHVESRSSLHSEGIGIDVSRIEGCSHESTIGKGGKSTPSVCLPAENGVSKENIIHFSNMDGASSISPAFTSNYQQSKLADPIQSKPKPLTPKDLMPVQFSSSLPDPTSICFEGIAAITTPNFEDHGNRASIASGAKDVNMFPTFKDQSSNRHDALFSNVDGYMQQRRNNHFGTEVCGLSESTGISQSDQFTVSKFQNGRPSSLGLSNGNLGVLSTGRREEAREGLFRPCSVKTSAGNEEQQKRPGDVKLFGQILSHQSSLQSSGSSVHGSKSKPPSPKVDKPASSRLLSNPRERLVYSSRPPIIANLGLEERAMRSFDHMDGRTIQPEPMVMVAKCQRPSAGVPVYSTKNGTLSVFAEFQQPSMPPHTSDHKLLENFADLHKRNGIELLSGFQQPGRLGGAGVLVSGVSDPVAALKAQYGSGSKMLSSSNDVDTWKDIGSR >ORUFI05G01990.1 pep chromosome:OR_W1943:5:1389419:1391708:1 gene:ORUFI05G01990 transcript:ORUFI05G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRCGGAGARAGGGRDGVREGARGAGARPRKEVDDNAAANATSRLAVPVVPVACVELELLPMVEKEEGKGEHLFIISSHEAKGLAADEQEDPDYR >ORUFI05G02000.1 pep chromosome:OR_W1943:5:1394023:1399100:1 gene:ORUFI05G02000 transcript:ORUFI05G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAWRRTSKFFISQRDFARKRKYLCRPMPPYGTLEYRTVTCSMIKVPNPHTVVTHSKDSIASASLLATSPLFLQDDDDDDGENVIGDLVSAEDGSCRCKAHSVQPLRHCVLYIKYLWFPVSSACGVSREDKYAVQ >ORUFI05G02010.1 pep chromosome:OR_W1943:5:1400436:1401000:-1 gene:ORUFI05G02010 transcript:ORUFI05G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKPDQPALDLPSSLSTVSYCCGACGYDLKLSSSARDTAGMVVAGGGGGYRRRRGVVRFGAIDDARFGHVDEFRCVDVRARRLFTRRTRLLCRKCGAHVGFAYDDRAARSPPSSSPPPPRYDIKIRALHPIEAPQPSDL >ORUFI05G02020.1 pep chromosome:OR_W1943:5:1408581:1416218:1 gene:ORUFI05G02020 transcript:ORUFI05G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYRVCCFLRRFRAASNEPSEELGDVFQAYADGGGGVMGEEALRRFLREVQGEAAGGGDDELEATAREVMAFAAEQRLLRKGGAAAAGGGLTVEGFHRWLCSDANAALDPQKRVYQDMGLPLSHYFIYTGHNSYLTGNQLSSGCSEVPIVKALHDGVRVIELDLWPNAAKDAVEVLHGRTLTSPVGLMKCLEAIREYAFVASPYPVILTLEDHLTPDLQSKVAKMIKETFGDMLYVSETENMAEFPSPDELKGKIIVSTKPPKEYLQTKNDADADEAGVWGEEITDDKVAATAMTTEEKCAAAEEAVAAAAVDEEMQEAETDKKTQHGVDNEYRRLIAIPLTRRKHDMDQDLKVDPDMVTRLSLGEKAYEKAIVTHGAHIIRFTQRNLLRIFPRSTRITSSNYNPLMGWRYGVQMVAANMQGHGRKLWLTQGMFRANGGCGYVKKPDILMNNDPDKLFDPTSKLPVKTRLKVGIAGVEADTRMEQTKVKMDTWIPAWDHEFEFPLSVPELALLRVEVHESDNHQKDDFGGQTCLPVWELRRGIRSVRLCDHRGEPLRSVKLLMRFDFT >ORUFI05G02030.1 pep chromosome:OR_W1943:5:1422064:1424460:1 gene:ORUFI05G02030 transcript:ORUFI05G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLLLLLLPLLLLAPASASTVAIAAGPTACAVAEGNSIVYCASATNSSSSAAVAPFVSFSQVSGGGGAFVCGLQVGGRALFCWPAAAPGQLRRVYNGPGQLSQLAVGGGHVAAYDAAARVIRWWRGGDRFPLWFGGGFASLVSGDDFTCAVETSTSAVRCWGPRGGAVEAGFLNASVSALAAGGSRACGVRRNDGGVLCSGGGVLAPREDLYVDGLAVGDSHACGLLRPNHTAACWSLGGATTTLYYPAVGTAFELLVAGGNLTCGLVSANFSLLCWSRDGLVAAEVNLPPILPGVCVSDNSSCKCGPLPDSGRFCKVSGDVICRRFCDTSPPPPPPSPRTPSPPATPSSRRGVSKGWIAFAVVGAVGCFAGLCSIVYCLLFGFCSHKKVHNSVQPNIASNNNGGGGGGAAAAVGSGAPSPYGSPNGSLGRLRRQLSRVMTRQRSGPSSFKDPAEEFTFAQLAAATKDFAAEAKIGEGSFGTVYRGKLPDGREVAIKRGESGPRARKFQEKETAFRSELAFLSRLHHKHLVGFVGYCEESDERLLVYEYMKNGALYDHLHPKPNGSSSPSPSPVATSWKLRIKILLDASRGIDYLHSYAVPPIIHRDIKSSNILLDGSWVARVSDFGLSLMGPETEEVKHLSMKAAGTVGYMDPEYYGLHHLTVKSDVYGFGVVMLEALTGKRAIFKEAEGGSPVSVVDYAVPSIVAGELSKVLDARAPEPSAHEAEAVELVAYTAVHCVRLEGKDRPAMADIVANLETAVALCEDSATGGGAAGHGNSSSSASLSITSMELSRMD >ORUFI05G02040.1 pep chromosome:OR_W1943:5:1428101:1432049:1 gene:ORUFI05G02040 transcript:ORUFI05G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYYKVLGVGRGATDEELKRSYRRLAMKHHPDKNRSPHADDSLFKQVSEAYDVLSDPQKRAIYDQFGEEGLKAGAAPPPTTSSSSSHGGGGGFRFSPRSAEEIFSEMFGGAFGGAGPRAPGAGFPGFGGSPRAGETSATKAPAIERQLACSLEDLYRGATKKMKISRDVLDATGKPTNLEEILTIDIKPGWKKGTKVTFPKKGNEKPNIIPSDLVFIIEERSHARFKRDKDDLIYTHRISLVEALTGCTVQLTTLDGRNLTVPVKSVINPTSEEVVKGEGMPITKEPSKKGDLKIRFQIKFPTNLTSDQKSGIQQLLPKP >ORUFI05G02050.1 pep chromosome:OR_W1943:5:1437786:1443526:-1 gene:ORUFI05G02050 transcript:ORUFI05G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGDGGWPEPVVRVQALSESGAATIPDRYVRPETERPSSSSEANAVANINIPVVDMSSSPGTAAAAVAEACREWGFFQAVNHGVPAALLRRARGVWRGFFQQPMEVKQRYGNSPATYEGYGSRLGVDKGAILDWGDYYFLHVRPPHLLSPHKWPHLPPDLRETTTEYSEEVRRLCERLMAVMAVGLGVEEGRLQEAFGGREGAGVCVRVNYYPRCPQPDLTLGLSSHSDPGGMTVLLVDDRVKGLQIRHTGAWVTVDPVPDAFIINVGDQIQVVTNALYRSVEHRVVVNAAEERLSIATFYNPRSDLPVAPLPELVSPERPPLYSPMTFDDYRLYIRRNGPRGKSQVDRLAAAAATIPNSTTTTQ >ORUFI05G02060.1 pep chromosome:OR_W1943:5:1462557:1463558:1 gene:ORUFI05G02060 transcript:ORUFI05G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFHHLNSSFSNPYHPLLASPPHHFAPDPLPPPPPPPPPPLLPADPPSLERERLPQWSHAETAAFLAIRADLDHSFLSTKRNKALWEAASARLHALGFARTPDQCKSKWKNLVTRFKGTEGAAAVAAAGTDQMQGGQGGGAAARGSSSSFPFHDELRRIFDARVERARALERKKVKGKDPDDDHDGGGDGDEDDEEEADQQVDEEDDGASGDTTRAGSKKRRRKAAAAARRTGSTGGVEGEVEAMLREFMRRQIEMEERWMEAAEAREAERRAREEEWRTAMVALGEERLALVRRWREREDAWRARAEEREERRHQLVAALLAKLGAGDASA >ORUFI05G02070.1 pep chromosome:OR_W1943:5:1470895:1474463:1 gene:ORUFI05G02070 transcript:ORUFI05G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAALTTTTGAQYSCCFGLAHGGAAQRRRRTAAGFGAARWSGRRLRALPPQVSEFLSPEQLVPGSPADTGDEPKKAEESEEAASSPAATMSVAGDGQPEAKIRLKVKKTKKNKKAAMKV >ORUFI05G02080.1 pep chromosome:OR_W1943:5:1485508:1487480:-1 gene:ORUFI05G02080 transcript:ORUFI05G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLALDFLRRLLCAHAAAGNAGGGDDDGAVVVQVQVQQEEGMSPCVVARLMGLDAMPPPPPETECQPLRRQRRRRRSRSVSSAEGWPPPPAPYLKEEGDEFIVLSFSPDAASRHDNGEPDGKSGHVGAKKQSGGCPRRKLHYGGDDDDDEAQHPGHGRRRAAATERGMPSSSPVSVLHAQHSSSSSSSSSTTTTTTTTTTSSCSSEEVGPSSPSPTSEEIRLANNQQSSRRKLQPDFNDDLDNPLSPETSSCHVSKCSESGMRNRSVMNKSEVFIPGVSGTLQFICRLVEEDLNSVIWLTSDSENIAADMVSEILDQLTSETADELMQTGSETVHSSPGRLISMKHPSFRVDRNMQAIRSN >ORUFI05G02090.1 pep chromosome:OR_W1943:5:1498327:1501602:-1 gene:ORUFI05G02090 transcript:ORUFI05G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAWGFHVICLPKPPHPPLHISRLFHARTHPTPRSLLPISNARTRHHHHLDAGGDDHGDGNGGGGREALLIPKMDSHNSAPPQIAEVRMDISSSTSVAAGNKVCRGAACDFSDSSNSSKDARERMASMRKLIIAVILCIIFMAVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINESGTGHHHHDAEEPLLKSDAGCDSTQSGAKDAKKARRNINVHSAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLIFSVIVLFTTIKMLRNILEVLMESTPREIDATSLENGLRDMDGVVAVHELHIWAITVGKVLLACHVTITQDADADQMLDKVIGYIKSEYNISHVTIQIERE >ORUFI05G02090.2 pep chromosome:OR_W1943:5:1498327:1501602:-1 gene:ORUFI05G02090 transcript:ORUFI05G02090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAWGFHVICLPKPPHPPLHISRLFHARTHPTPRSLLPISNARTRHHHHLDAGGDDHGDGNGGGGREALLIPKMDSHNSAPPQIAEVRMDISSSTSVAAGNKVCRGAACDFSDSSNSSKDARERMASMRKLIIAVILCIIFMAVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINESGEVQGSLMFAVSAFGLFVNIIMAVLLGHDHGHGHGHGHGHGHSHDHDHGGSDHDHHHHEDQEHGHVHHHEDGHGNSITVNLHHHPGTGHHHHDAEEPLLKSDAGCDSTQSGAKDAKKARRNINVHSAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLIFSVIVLFTTIKMLRNILEVLMESTPREIDATSLENGLRDMDGVVAVHELHIWAITVGKVLLACHVTITQDADADQMLDKVIGYIKSEYNISHVTIQIERE >ORUFI05G02100.1 pep chromosome:OR_W1943:5:1504408:1504698:1 gene:ORUFI05G02100 transcript:ORUFI05G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELIGGGGQAGPKPNLAASILVLEEEGRRKWSWGVEELEVQVAGRLAGGAQQVSIGEIAALRGRSLLQICLLDSRGTRRSAVWSVTWLTARVLPD >ORUFI05G02110.1 pep chromosome:OR_W1943:5:1509244:1510309:1 gene:ORUFI05G02110 transcript:ORUFI05G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHGTERLGTAQPAADGKEFGGGALHRVRPRRLPRSQPPLASKAKVLGRLAFPALLAEASDYIAALEMQVWCCRRRPLATRQGSDRRRPGCDGKCRGTRGGERGLAEWSSDSCPARRGLHAGRRGGPLQQRLQASSPRTPSAATTSATASRHPMSLFLYRLDHWAYPDRVCVHLRGVDIAARETHPPTRLSASPTPTPTSAVRRTPVPALLLPPRAHLWPPRSPAGLPRCFPTATVAAGRSRGATLLLSRLAPAASTPAAGRLAQKREEKRREE >ORUFI05G02120.1 pep chromosome:OR_W1943:5:1514382:1522308:1 gene:ORUFI05G02120 transcript:ORUFI05G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGESRNAEQIDEHTSPPALVVKGEDAAKVEKKPHGYSFKSIDSGKETLRVKDEELQNLANNIRARDATIKKIADKLTQTAEAAEAAASVAHTLDGHRILLCSEIERLRHYKQWKDKWNNPCSR >ORUFI05G02130.1 pep chromosome:OR_W1943:5:1523939:1524569:-1 gene:ORUFI05G02130 transcript:ORUFI05G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGKTLDFVVCIGNDRSDEDTFKSIDSMTSSSAFPAVPEVFACSVGQKPSKAKYYVNNVGEVVRLLKNVAGISSHREAVSHGRDMFKSIDSMTSSSAFPAVPEVFACSVGQKPSKAKYYVDNAGEVVRLLKNVADISSHREAVSHGRVIFRDVIDYVD >ORUFI05G02140.1 pep chromosome:OR_W1943:5:1527653:1532309:1 gene:ORUFI05G02140 transcript:ORUFI05G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLAVARVAPDGGAAGRRRRRGRPVVAVPTAGRGRGGAVAASPPTEEAVQMTEPLTKEDLVAYLVSGCKPKENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMIRKFRTGLALQPIATAIFANSPFKEGKPNGYLSLRSHIWTDTDNNRSGMLPFVFDDSFGFERYVDYALDIPMYFVYRNKKYIDCTGMSFRDFMVGKLPQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPVGLLYDEESLQSISDMTSDWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEEILQLSKNGLERRGYKEVGFLREVDAVISSGVTPAERLLNLYETKWQRSVDPVFQELLY >ORUFI05G02140.2 pep chromosome:OR_W1943:5:1527861:1532309:1 gene:ORUFI05G02140 transcript:ORUFI05G02140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLAVARMTEPLTKEDLVAYLVSGCKPKENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMIRKFRTGLALQPIATAIFANSPFKEGKPNGYLSLRSHIWTDTDNNRSGMLPFVFDDSFGFERYVDYALDIPMYFVYRNKKYIDCTGMSFRDFMVGKLPQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPVGLLYDEESLQSISDMTSDWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEEILQLSKNGLERRGYKEVGFLREVDAVISSGVTPAERLLNLYETKWQRSVDPVFQELLY >ORUFI05G02140.3 pep chromosome:OR_W1943:5:1527507:1532335:1 gene:ORUFI05G02140 transcript:ORUFI05G02140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIINSSSKSSAHFNGESPADPLTYGPHPTVFITSPPRLPLSIIFSLASRCLALAAAAVAAPLSPAGRCTDLMAVASRLAVARVAPDGGAAGRRRRRGRPVVAVPTAGRGRGGAVAASPPTEEAVQMTEPLTKEDLVAYLVSGCKPKENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMIRKFRTGLALQPIATAIFANSPFKEGKPNGYLSLRSHIWTDTDNNRSGMLPFVFDDSFGFERYVDYALDIPMYFVYRNKKYIDCTGMSFRDFMVGKLPQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPVGLLYDEESLQSISDMTSDWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEEILQLSKNGLERRGYKEVGFLREVDAVISSGVTPAERLLNLYETKWQRSVDPVFQELLY >ORUFI05G02140.4 pep chromosome:OR_W1943:5:1527653:1532335:1 gene:ORUFI05G02140 transcript:ORUFI05G02140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLAVARVAPDGGAAGRRRRRGRPVVAVPTAGRGRGGAVAASPPTEEAVQMTEPLTKEDLVAYLVSGCKPKENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMIRKFRTGLALQPIATAIFANSPFKEGKPNGYLSLRSHIWTDTDNNRSGMLPFVFDDSFGFERYVDYALDIPMYFVYRNKKYIDCTGMSFRDFMVGKLPQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPVGLLYDEESLQSISDMTSDWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEEILQLSKNGLERRGYKEVGFLREVDAVISSGVTPAERLLNLYETKWQRSVDPVFQELLY >ORUFI05G02140.5 pep chromosome:OR_W1943:5:1527649:1532335:1 gene:ORUFI05G02140 transcript:ORUFI05G02140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLAVARVAPDGGAAGRRRRRGRPVVAVPTAGRGRGGAVAASPPTEEAVQMTEPLTKEDLVAYLVSGCKPKENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMIRKFRTGLALQPIATAIFANSPFKEGKPNGYLSLRSHIWTDTDNNRSGMLPFVFDDSFGFERYVDYALDIPMYFVYRNKKYIDCTGMSFRDFMVGKLPQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPVGLLYDEESLQSISDMTSDWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEEILQLSKNGLERRGYKEVGFLREVDAVISSGVTPAERLLNLYETKWQRSVDPVFQELLY >ORUFI05G02150.1 pep chromosome:OR_W1943:5:1534153:1536375:1 gene:ORUFI05G02150 transcript:ORUFI05G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQSFLALNPPAAAAALGGARLRPSRAATLVHASNVQYTVPQVALAKRLVEASFADRASFADRVFFANTGTEANEAAIKFARKFQRVARPDGDAPTEFMSFTNCFHGRTMGSLALTSKVQYREPFAPVMPGATFAEYGNLEEAKKVIQSGKIAAVFVEPVQGEGGIHSATKEFLQGLRDACDEAGALLVFDEVQCGLGRTGYLWAYEAYGVLPDIMTLAKPLAGGLPIGVVLVTEKVASAINFGDHGTTFGGGPLVCQAALTTLDKIQKPGFLAEVAKKGENFKQLLSTKLSGNAHVKEIRGIGLIVGIELDVPAGPLVDACLDRGVIVLTAGKGNVVRLVPPLIISEKELEQAAEVIRDCLPALDASTS >ORUFI05G02160.1 pep chromosome:OR_W1943:5:1536396:1538297:-1 gene:ORUFI05G02160 transcript:ORUFI05G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAIELVVIATSCMVRDAHGHDYRAALAMSLLYFEGQRSGRLPPAQRVQWRADSALADGADHRVPDLASPPSSNVSVCARTDAMQCDMQVDLTGGYYDSGDNVKFGFPMAFTVVALSWSVVEYGDRLDAAGELGHALDAVRWGADYLTRAHASAGGGEALYVQVGDGDSDHSCWQRPENMDTPRTAYMVNASSPGSDIAAETAAALASAADANFSSTLLLHAKQLFEFAKNHRGLYHNSVPSAAKFYASSGDEDELLWAAAWLYIATGGEEEYSAYIAGATNVGGVRSMFSWDDKFVGAQALLVLQGKLPADGSHAEMKTNLEQFICNLVQHSGGNGGGGGGARLSPGGMLWWDSWNNMQYVTLASLVLAVHADHLTAARSASLQCGGGASRSPAQLTAFVRSQVDYILGSNPETMSYMVGYGSRYPAEVHHRAASLPSIKSSPAKVTCKGGFDYLNKGSPDPNVIAGAIVGGPDADDRYDDSRQNFRQAEPSTVTVAPIVGILARLLPS >ORUFI05G02170.1 pep chromosome:OR_W1943:5:1547380:1547828:1 gene:ORUFI05G02170 transcript:ORUFI05G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSRRSSSPESNTSGGGGGADERKRKRMLSNRESARRSRARKQQRLEELIAEAARLQAENARVEAQIGAYAGELSKVDGENAVLRARHGELAGRLQALGGVLEILQVAGAPVDIPEIPDDPLLRPWQPPFAAQPIVATAMADAFQF >ORUFI05G02180.1 pep chromosome:OR_W1943:5:1549699:1550067:1 gene:ORUFI05G02180 transcript:ORUFI05G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAMFGHTPLFPLHHAAAAAADDDDNDTSPLAIETSRGTCEVGSHGAMMAGSSESEYYSEEEVGWEEEGLGSKGVEEKREWALKRLDGLGKRGVCYLSRVPPNMNPSHVRLRRLLSKHGEV >ORUFI05G02190.1 pep chromosome:OR_W1943:5:1553906:1559373:-1 gene:ORUFI05G02190 transcript:ORUFI05G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRGGGGGGGGAASEASGVAGGGSSPPHPPPPATTAAAADLTELMKAQIAGHPSYPSLLSAYIECRKVGAPPEVTTLLEEIGREGRGGGGGATAGGEIGLDPELDEFMETYCRVLERYKEELTRPFDEAASFLTGIHTQLASLCGGAPPPTDNSDEMVGSSEDEPCSGDADAADFGQEHSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARSALMDWWNTHYRWPYPTEEDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVTGGSSSGTTLYFDTGTIGP >ORUFI05G02200.1 pep chromosome:OR_W1943:5:1571293:1573182:1 gene:ORUFI05G02200 transcript:ORUFI05G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMSSMKADGSVPKRRRQDVQKVVVSLKDHKVEQGPPADSWSWRKYGQKPIKGSPHPRGYYKCSSYRGCPARKQVDKCRNDASLLIITYTSDHNHDNYAATTTNSVQEQAHNPDTSDPLSNGMSLAEVVTVASSKLSGEEESCDFFDELEELPVSASPLPSLSFMVQECSFSDARTLL >ORUFI05G02200.2 pep chromosome:OR_W1943:5:1571293:1573182:1 gene:ORUFI05G02200 transcript:ORUFI05G02200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMSSMKADGSVPKRRRQDVQKVVVSLKDHKVEQGPPADSWSWRKYGQKPIKGSPHPRGYYKCSSYRGCPARKQVDKCRNDASLLIITYTSDHNHDNYAATTTNSVQEQAHNPDTSDPLSNGMSLAEVVTVASSKLSGEEESCDFFDELEELPVSASPLPSLSFMVQECSFSDARTLL >ORUFI05G02210.1 pep chromosome:OR_W1943:5:1573072:1577008:-1 gene:ORUFI05G02210 transcript:ORUFI05G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQCLMPHQKRNRVMSISNRKSLPRLLSATQENYGRSGIKYSLLKLHQRIRLKPSGNFEPNLFRKDCRITCSLQI >ORUFI05G02220.1 pep chromosome:OR_W1943:5:1580781:1584250:1 gene:ORUFI05G02220 transcript:ORUFI05G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAMQRRGSLAAAAAAFRCLLLVVVAAAADDAGGAAAKPVILATVCGNTTAADQEGFDVSFVNTLELIYQNVTRSGFGAAASGEGADTVYGLGQCMGYLSPTDCQLCYAQSRVKLPHCLPATGGRIYLDGCFLRYGADNFTAAATDASDTAVCSNATVSSPASFAATSAALLRNVTAAAPGARDYYYYSASSSSSASALPSVSPRVYAAAQCWRSLNATACAACVATARDRVVGRCLPRAAEGYGLNAGCVVRYSTQPFYLPANAAAAAGSSTRHIVIVVIASVFCALAVIGIALVWAKMRNRRNDHHDDMDGSSEIIRTIAASQLSFKYEELCKATDDFNQINKLGQGGYGSVYKGVLLDGREIAVKRLFFNTREWADQFFNEVRLVSQVQHKNLVKLLGCSIEGPESLLVYEYLCNTSLDHYLFDRFRSFMVDVADAFKKTALDWERRFEIILGTAEGLSYLHNASEIRIIHRDIKASNVLLDERFRPKIADFGLARNFMEDQSHLSTGLAGTFGYMAPEYIVHGQLTEKADIYSYGVLVLEIITGRKSLNSVASSAEGHSLMSLIWKHYNEGTLMELLDPNLQEQCTEEGALKVFHVGLLCAQASPNLRPPMWKVVEMLGSRNNELPRPTQPPFINIKGSNAKSDSSGSSSLKSSSDKSPFSLNQLSVSGVQAR >ORUFI05G02220.2 pep chromosome:OR_W1943:5:1580781:1584250:1 gene:ORUFI05G02220 transcript:ORUFI05G02220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAMQRRGSLAAAAAAFRCLLLVVVAAAADDAGGAAAKPVILATVCGNTTAADQEGFDVSFVNTLELIYQNVTRSGFGAAASGEGADTVYGLGQCMGYLSPTDCQLCYAQSRVKLPHCLPATGGRIYLDGCFLRYGADNFTAAATDASDTAVCSNATVSSPASFAATSAALLRNVTAAAPGARDYYYYSASSSSSASALPSVSPRVYAAAQCWRSLNATACAACVATARDRVVGRCLPRAAEGYGLNAGCVVRYSTQPFYLPANAAAAAGSSTRHIVIVVIASVFCALAVIGIALVWAKMRNRRNDHHDDMDGSSEIIRTIAASQLSFKYEELCKATDDFNQINKLGQGGYGSVYKGVLLDGREIAVKRLFFNTREWADQFFNEVRLVSQVQHKNLVKLLGCSIEGPESLLVYEYLCNTSLDHYLFDAFKKTALDWERRFEIILGTAEGLSYLHNASEIRIIHRDIKASNVLLDERFRPKIADFGLARNFMEDQSHLSTGLAGTFGYMAPEYIVHGQLTEKADIYSYGVLVLEIITGRKSLNSVASSAEGHSLMSLIWKHYNEGTLMELLDPNLQEQCTEEGALKVFHVGLLCAQASPNLRPPMWKVVEMLGSRNNELPRPTQPPFINIKGSNAKSDSSGSSSLKSSSDKSPFSLNQLSVSGVQAR >ORUFI05G02230.1 pep chromosome:OR_W1943:5:1596847:1597943:1 gene:ORUFI05G02230 transcript:ORUFI05G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMEKSRRAHFLFDDDDQTPKHKQNGPMNDGSDGLCVRWCYGVGKSSSTAKDDDYAAGRPAAGSNRPTGSMAKSN >ORUFI05G02240.1 pep chromosome:OR_W1943:5:1598858:1599387:1 gene:ORUFI05G02240 transcript:ORUFI05G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAEKADPVPVVLFHRDHDNDLEHNNDDGDNHVDLELAHDADPDLKRTMTMVDPVVAWPVSENVS >ORUFI05G02250.1 pep chromosome:OR_W1943:5:1602857:1604070:1 gene:ORUFI05G02250 transcript:ORUFI05G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFHDVMEKSRRAHFLFDDDDQTPPRQNGRWNVDQHNSDDGDVDSVRVSCYGGVAKPKAKPSSTAKDVAVAGRPAAGSNRT >ORUFI05G02260.1 pep chromosome:OR_W1943:5:1605567:1611249:-1 gene:ORUFI05G02260 transcript:ORUFI05G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLDEAGQQQLPEVRVNIIHDDNDDGGDLPPSSLSFRWKTKKYRLWRVPEQVRAINKEEAYAPKFVSVGPYHRRHAGAGDRNRLCGEKLKKRYLHELLRDVEPDDHKHGGILQRCKSSLQEIVDDIRWFYAEEEYVREMKDEEMVRMLLHDGCFIIKHLYNFVQGYNEEELYATRWSPAQLRIDLGMLENQIPFFVLEEIFYHLTPRKLQRKITRDVGDDGMMITPQTFQRKTMMRQRKRHKLLVMAMWYMLKGWFVLPEDESDELYKLIADKEVHHLLHLLHLAHAHLVNVDDDKGAVLGLRRRDGSATNQQQQGHDKDDDDAKAKANIVSAAQLRGLGVKISKAPTKRGGILDVRLRNGLLSPVLEVPALTVDQGTVQLLQNLVAYEQQGTPPPSNDDEHPRDYFTTYAFLMYNLVSSTDDIAVLQEQGVLLNNFGSHETIIEYFKNLCRGNQRSGTEEKTHIGKVLQGLRDCSQNKAYRYWAEAKKYMDSPVKILALIVSTLLAISTVLQTTVAFYPK >ORUFI05G02270.1 pep chromosome:OR_W1943:5:1611870:1614084:-1 gene:ORUFI05G02270 transcript:ORUFI05G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSMRIAARLHCSASTSSSSAAATSASVFVSRAAACTSLHPSARRGAAPCSGHGRRRSASLAAVDRRERERKKISLLGEINHSSVWLITREAVMLQLQGLDRVDRKPNHQNITY >ORUFI05G02280.1 pep chromosome:OR_W1943:5:1615781:1616930:1 gene:ORUFI05G02280 transcript:ORUFI05G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIMLDFLLCLISSIPSFTRSSFHRKGFTRTSCVGRRAGRGWHVCLDRSYIYIYIYIYIDRGGSCNINPSSQLIKLRSIVIESEQLALATTRRQNGRDGEVSASSLLVRRRRPNAAQAERPPERRPAQQRRRQRLPLRVVLRRRRRKAKGQVVVVDGEGRRRRRPSCCRLQLYLILL >ORUFI05G02290.1 pep chromosome:OR_W1943:5:1616480:1618629:-1 gene:ORUFI05G02290 transcript:ORUFI05G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPVQVLGLVLGFFARACLGRHGHDGLAGPSGQPGTARGYLGRAWAVPVGWHGTACSSGHAGPTDLGPGPVVPGPCRAGRPIWPIIPSNKAVVVASVYPDPVIMVQLEPAAGRPPATTSFAVDDDDLAFGFATPPP >ORUFI05G02300.1 pep chromosome:OR_W1943:5:1620590:1623724:-1 gene:ORUFI05G02300 transcript:ORUFI05G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEETVRMLLLDGCFIIKHLYNIAKGEEEEELYATRWSPAQLRIDLGLLENQMPFFVLEEIFYHLTPQTFQRKITRGVAMDDDKTMRQRKRHKLIVMAMWYMLKGWFELPKRESHKLYRDIAEEEVHHLLHLVHLAHLVKVDSAPKSPLCEWQWPWHALQLLLCILPLLLLRLPLQMCRCCGGGGGKKPDPKANIASASQLQGLGVKIRMVERDRGGILDVRLRKSLEIWQIPPELEVPALSVEEATAVLLQNLVAYEQEGTPARQGQDQQTQGQKGKDYFTTYAFLMYNLVSSTEDIAKLQEKGVLLNNFGSHETIINYFKNLCRWNQRSNEDTPIGKVLDRLRECSQYQLYRDWAEAKKYMDSPVKILALVVSTLLAISTILQTTTAFYPK >ORUFI05G02310.1 pep chromosome:OR_W1943:5:1624770:1625754:-1 gene:ORUFI05G02310 transcript:ORUFI05G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAAAQQQPPPSPSPAPASSWPKEVRVDVIDHGGGASSASNSKPSSSFRLWRVPKHVRDINKEAYGPKFVCIGPYHRRRRRDRVADENEEEEEEEERRLRVEKLKERYLDELLIDVVGSDDHDDHRTQRDEILLLCTCLN >ORUFI05G02320.1 pep chromosome:OR_W1943:5:1628243:1628622:1 gene:ORUFI05G02320 transcript:ORUFI05G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MED >ORUFI05G02330.1 pep chromosome:OR_W1943:5:1630939:1632248:-1 gene:ORUFI05G02330 transcript:ORUFI05G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFDGVIARRRAMARRGGGGDGITVHVEQMARGLMQRQEAAASDEQHRIMASSHRVSRVPAHLRDANADAYTPRFVAVGPLHRGDARRLGAGERLKMAYLHSLISRGHSDQARQLAVIEEYIRAVAAREREARAFYSEDVDMYAEEFIMMLVLDGCFIIEHLVNVAIGRDEPSLHATPFAPVQLSVDLILAENQIPFFVLVDLVRITDLPEFASTGHPPPVLIVKLVLYYLAGEKGRDMVGDALPPAEGVSHILHLLHAMIVAARTKWEPPPRIQDGAVLGTAQDGARLLRRLPLLLLVVSVVHGNGGGEGKEEVVRLFRQVGAASGEVELERSYLGGMVVELRERSRHPLFMMWADVKRNYFTVPWAVVAEFVAFVTFVSTIVQMYSSFKQKGG >ORUFI05G02340.1 pep chromosome:OR_W1943:5:1633315:1642860:-1 gene:ORUFI05G02340 transcript:ORUFI05G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPPCLLHVLLPVAASSSRGLRRRRRPTTSLLRCSSPSADTASSSWEGGREYEPSFADDFLLAFFRAKMVEEVGWDSEKPGYTGLIEVANRPMVKGKSALEIEQSASNNTFKPMAGGAMFGEFCDIIQWRIIVFVEKCKYLEESKCLGMCINTCKLPTQTFFKDHIGVDLYMEPNFEDYSCQFNFGVPPPPLDTDKALKEPCLDICTNAGRWRVLRTASVRLARRLPLHLPVAMAPPSPPCLLRALLPVASPSSRGLRRLPTTSLLRCSSPSADAASPSGEGGREYEPSFADDFLLAFFRAKMVEEVGWDSEKPGYNGLIEVANRLMVKGKSALETEQSAVRVLRSLFPPLLLVLFKALLAPIANGQLASMMVARATALSCQWLMGPCSVNSVILSNGKSLSSGVSIYSYFFFVYPSLGEILQFTDIVFVEKCKYLEESKCLGVCINTCKLPTQTFFKDHMGVDLYMEPNFEDYSCQFNFGVSPPPLGTDKALKEPCLDICTNARRRKELGTGSSTDDGNEILCSTATDMWATLTKGPDVSDFYCRRILQS >ORUFI05G02340.2 pep chromosome:OR_W1943:5:1633315:1642860:-1 gene:ORUFI05G02340 transcript:ORUFI05G02340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPPCLLHVLLPVAASSSRGLRRRRRPTTSLLRCSSPSADTASSSWEGGREYEPSFADDFLLAFFRAKMVEEVGWDSEKPGYTGLIEVANRPMVKGKSALEIEQSASNNTFKPMAGGAMFGEFCDIIQWRIIVFVEKCKYLEESKCLGMCINTCKLPTQTFFKDHIGVDLYMEPNFEDYSCQFNFGVPPPPLDTDKALKEPCLDICTNAGRWRVLRTASVRLARRLPLHLPVAMAPPSPPCLLRALLPVASPSSRGLRRLPTTSLLRCSSPSADAASPSGEGGREYEPSFADDFLLAFFRAKMVEEVGWDSEKPGYNGLIEVANRLMVKGKSALETEQSAVRVLRSLFPPLLLVLFKALLAPIANGQLASMMVARATALSCQWLMGPCSVNSVILSNGKSLSSGVFVEKCKYLEESKCLGVCINTCKLPTQTFFKDHMGVDLYMEPNFEDYSCQFNFGVSPPPLGTDKALKEPCLDICTNARRRKELGTGSSTDDGNEILCSTATDMWATLTKGPDVSDFYCRRILQS >ORUFI05G02340.3 pep chromosome:OR_W1943:5:1633315:1642860:-1 gene:ORUFI05G02340 transcript:ORUFI05G02340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPPCLLHVLLPVAASSSRGLRRRRRPTTSLLRCSSPSADTASSSWEGGREYEPSFADDFLLAFFRAKMVEEVGWDSEKPGYNGLIEVANRLMVKGKSALETEQSAVRVLRSLFPPLLLVLFKALLAPIANGQLASMMVARATALSCQWLMGPCSVNSVILSNGKSLSSGVFVEKCKYLEESKCLGVCINTCKLPTQTFFKDHMGVDLYMEPNFEDYSCQFNFGVSPPPLGTDKALKEPCLDICTNARRRKELGTGSSTDDGNEILCSTATDMWATLTKGPDVSDFYCRRILQS >ORUFI05G02340.4 pep chromosome:OR_W1943:5:1633315:1642860:-1 gene:ORUFI05G02340 transcript:ORUFI05G02340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPPCLLHVLLPVAASSSRGLRRRRRPTTSLLRCSSPSADTASSSWEGGREYEPSFADDFLLAFFRAKMVEEVGWDSEKPGYNGLIEVANRLMVKGKSALETEQSAVRVLRSLFPPLLLVLFKALLAPIANGQLASMMVARATALSCQWLMGPCSVNSVILSNGKSLSSGVSIYSYFFFVYPSLGEILQFTDIVFVEKCKYLEESKCLGVCINTCKLPTQTFFKDHMGVDLYMEPNFEDYSCQFNFGVSPPPLGTDKALKEPCLDICTNARRRKELGTGSSTDDGNEILCSTATDMWATLTKGPDVSDFYCRRILQS >ORUFI05G02340.5 pep chromosome:OR_W1943:5:1633454:1642860:-1 gene:ORUFI05G02340 transcript:ORUFI05G02340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPPCLLHVLLPVAASSSRGLRRRRRPTTSLLRCSSPSADTASSSWEGGREYEPSFADDFLLAFFRAKMVEEVGWDSEKPGYNGLIEVANRLMVKGKSALETEQSAVRVLRSLFPPLLLVLFKALLAPIANGQLASMMVARATALSCQWLMGPCSVNSVILSNGKSLSSGVFVEKCKYLEESKCLGVCINTCKLPTQTFFKDHMGVDLYMEPNFEDYSCQFNFGVSPPPLGTDKALKEPCLDICTNARRRKELGTGSSTDGLQCPQV >ORUFI05G02350.1 pep chromosome:OR_W1943:5:1643527:1648218:-1 gene:ORUFI05G02350 transcript:ORUFI05G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASMSMSKLLSPPPTSPPALARSSGRRVAPPPVPHFPFPLPYCNSRRRLTSRRVFATSCSSSDSDHAPSTSSTALAGAGDDLSAGVTQEREGVLPFVQLSSGIVLRTEEQSLLGDDAPAKASAASSFASPDEINGGCREDDHLGETPAYPAAMNALYAACLAGNATEQLWNFTWPAAVAVLHPASLLPVAVLGFFTKLVVFAAGPLVGELISSLPRIPAYRSLAAIQTAAHLVSAATITYAFAVHRAAAVATASLLLRPWFAVLVVSTAVDRLACVALGIIAERDFVVQLAGAGRPVALANANATLSRVDLLCETVGASIFALLLSKNDPLTCIKLSCVISLCQLPLLIFLCGEMNRLADGIFDHTENTISHATAPTSSFSIGKTVAEAVDTVRNGWSEYMRQPVLPASLAYVLVCFNVALAPGALMTTFLIHQGEMTRMVTIADIIIIKLLLCVRPSVIGAFGGSSAAVGILATFATARLVKELGILKAGAAGLIAQSALLGAAVVVYLTGAVSRRAGALFAFLGLIVASRAGHVAYSAIGLQVVQTGNPASKAKLIGATEIAVASLAELAMMAVAVVASDASHFGALAALSATAVAAATGMYCRWLANPSGSDELRRIFPSQKLEGKLKMPRPGET >ORUFI05G02350.2 pep chromosome:OR_W1943:5:1643527:1648218:-1 gene:ORUFI05G02350 transcript:ORUFI05G02350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASMSMSKLLSPPPTSPPALARSSGRRVAPPPVPHFPFPLPYCNSRRRLTSRRVFATSCSSSDSDHAPSTSSTALAGAGDDLSAGVTQEREGVLPFVQLSSGIVLRTEEQSLLGDDAPAKASAASSFASPDEINGGCREDDHLGETPAYPAAMNALYAACLAGNATEQLWNFTWPAAVAVLHPASLLPVAVLGFFTKLVVFAAGPLVGELISSLPRIPAYRSLAAIQTAAHLVSAATITYAFAVHRAAAVATASLLLRPWFAVLVVSTAVDRLACVALGIIAERDFVVQLAGAGRPVALANANATLSRVDLLCETVGASIFALLLSKNDPLTCIKLSCVISLCQLPLLIFLCGEMNRLADGIFDHTENTISHATAPTSSFSIGKTVAEAVDTVRNGWSEYMRQPVLPASLAYVLVCFNVALAPGALMTTFLIHQGVRPSVIGAFGGSSAAVGILATFATARLVKELGILKAGAAGLIAQSALLGAAVVVYLTGAVSRRAGALFAFLGLIVASRAGHVAYSAIGLQVVQTGNPASKAKLIGATEIAVASLAELAMMAVAVVASDASHFGALAALSATAVAAATGMYCRWLANPSGSDELRRIFPSQKLEGKLKMPRPGET >ORUFI05G02350.3 pep chromosome:OR_W1943:5:1645776:1648218:-1 gene:ORUFI05G02350 transcript:ORUFI05G02350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPASMSMSKLLSPPPTSPPALARSSGRRVAPPPVPHFPFPLPYCNSRRRLTSRRVFATSCSSSDSDHAPSTSSTALAGAGDDLSAGVTQEREGVLPFVQLSSGIVLRTEEQSLLGDDAPAKASAASSFASPDEINGGCREDDHLGETPAYPAAMNALYAACLAGNATEQLWNFTWPAAVAVLHPASLLPVAVLGFFTKLVVFAAGPLVGELISSLPRIPAYRSLAAIQTAAHLVSAATITYAFAVHRAAAVATASLLLRPWFAVLVVSTAVDRLACVALGIIAERDFVVQLAGAGRPVALANANATLSRVDLLCETVGASIFALLLSKNDPLTCIKLSCVISLCQLPLLIFLCGEMNRLADGIFDHTENTISHATAPTSSFSIGKTVAEAVDTVRNGWSEYMRQPVLPASLAYVLVCFNVALAPGALMTTFLIHQGEMTRMVTIADIIIIKLLLCVRPSVIGAFGGSSAAVGILATFATARLVKELGILKAGAAGLIAQSALLGAAVVVYLTGAVSRRAGALFAFLGLIVREGSISDSPLLQEFNLAVKN >ORUFI05G02350.4 pep chromosome:OR_W1943:5:1643527:1645770:-1 gene:ORUFI05G02350 transcript:ORUFI05G02350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWRAQVASRAGHVAYSAIGLQVVQTGNPASKAKLIGATEIAVASLAELAMMAVAVVASDASHFGALAALSATAVAAATGMYCRWLANPSGSDELRRIFPSQKLEGKLKMPRPGET >ORUFI05G02360.1 pep chromosome:OR_W1943:5:1667438:1670638:1 gene:ORUFI05G02360 transcript:ORUFI05G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKGVNDRDALIDLESGNNIVVGEHNNGADANFAVAQERTAPNGAWNGAMGTNGCLKDDVNHQHMCCCPSSPDAAAKNGDDRKSDGEEKLGLLDTSGGEKTKKKRSKKPPRPPRPPTPTPLDVSDQKLLNELSELAILKRARIERMKALKKMKNAKHGSSSGNLFPLIITIIFCLVILWQGFFSRTGSAVSFHGSPESSVRAHSSLISIRFYKNNNSNSRSREAISAAPKNAETPSRLEIHSKARKITR >ORUFI05G02360.2 pep chromosome:OR_W1943:5:1666820:1670638:1 gene:ORUFI05G02360 transcript:ORUFI05G02360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKGVNDRDALIDLESGNNIVVGEHNNGADANFAVAQERTAPNGAWNGAMGTNGCLKDDVNHQHMCCCPSSPDAAAKNGDDRKSDGEEKLGLLDTSGGEKTKKKRSKKPPRPPRPPTPTPLDVSDQKLLNELSELAILKRARIERMKALKKMKNAKHGSSSGNLFPLIITIIFCLVILWQGFFSRTGSAVSFHGSPESSVRAHSSLISIRFYKNNNSNSRSREAISAAPKNAETPSRLEIHSKARKITR >ORUFI05G02370.1 pep chromosome:OR_W1943:5:1672013:1679053:1 gene:ORUFI05G02370 transcript:ORUFI05G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTKCRLRLLLPLPRRHLSTAPATSHRNKIPADPSRTERPRPDPGSGDSARHHEATVRRLAAAGDVDGVQYALQEMRLRGVACTEDALVAAIGAFARAGSADRALKTFYRASDLGCRDPGVRVYNHLLDALLRENMVGAVVPVYDNMRKAGVDPNVYTYNLLIRALCQNDRVDAARKMLDEMSRKGCHPDEVSHGTIVSGMCKLGRVEEARGFLAETVPVQASYNAVVHALCGEFRMWEVFSVVNEMVQRGLQPNVVTYTTIVDAFCKARELRMACAILARMVSMGCTPNVLTFTALVKGFFEDGKVHDALSMWHWMVDEGWAPSTISYNVLIRGLCCIGDLKGALDFFNSMKRNALLPNATTYSTLVDGFSNAGDLDGAMLIWNEMKSSGCKPNVVVYTNMIDVLCKKMMFDQAESLIDKMLMDNCPPNTVTFNTLIGRLCDCGRVGRALNVFHGMRRNGCHPNDRTYNELLHGLFREGNHKDAFAMVIEMLNNGFELSLVTYNTVINCLCQMCMRKHAMLLLGRMMVQGIQPDAFTFNAIIHAYCKEGKVSIAACLLGQMNAVNCPRNVVAYTILISELCNQGKLSNAMVYLLKMLYEGICPNEATWNVLAKTTTKPCFDLKQGNNNEEAGCPYSTKFTCIATIH >ORUFI05G02380.1 pep chromosome:OR_W1943:5:1674153:1680092:-1 gene:ORUFI05G02380 transcript:ORUFI05G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTKKVFTVQVEDGKPRKEDGRPAVGPVFRSALSKDGFPPLEPDMKTSWDVFRVAAGKYPDNRMLGWRPVKDGVIGPYIWKSYREVYEEVLQVGSALQQLGVKPGSRIGIYGSNCPQWIVAMQACNGYSLICVPLYDTLGAGAVDFIIDHAEIDVIFVQDKKIKEILSANCKSAKRVKGLVAFTSGTSEEITSADQIGMKLYSWKDFLKMGKENPAQPCAPKPNDTCTIMYTSGTSGEPKGVMLSHESHAIYVKGVDLFMEQFDDKMTTDDVFLSFLPLAHILDRMIEEFFFHKGASVGYYHGDLNALRDDLMELKPTLLVGVPRVYEKIYEGILKALSELRPLRRLIFNALYNRKLANMKAGCTHKTASPFADMLAFRKVKARLGGRLRLLISGGAPLSNEIEEFLRVTSCAYFIQGYGLTETLGPSTVCYPDDMSLVGTVGVAATYTELRLEEVPEMGYNPLGTPSRGEICVRGNFFTGYYKNPELTNEVMADGWFHTGDIGEMNSDGILKVIDRKKNIFKLSQGEYVAVEYLEKVYVFPPTVEDVWVYGDSFRSMLVAVVNPHEENTMKWAESNGCKGSFAEICKSEGLKEHILKELQSVAAKNKLRGFEYVKGVILDPIPFDLERDLVTATMKKKRNNMLKYYKPEIEKVYQKLEEQRVAAKSKTDTQKDNNND >ORUFI05G02380.2 pep chromosome:OR_W1943:5:1674598:1680092:-1 gene:ORUFI05G02380 transcript:ORUFI05G02380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTKKVFTVQVEDGKPRKEDGRPAVGPVFRSALSKDGFPPLEPDMKTSWDVFRVAAGKYPDNRMLGWRPVKDGVIGPYIWKSYREVYEEVLQVGSALQQLGVKPGSRIGIYGSNCPQWIVAMQVKARLGGRLRLLISGGAPLSNEIEEFLRVTSCAYFIQGYGLTETLGPSTVCYPDDMSLVGTVGVAATYTELRLEEVPEMGYNPLGTPSRGEICVRGNFFTGYYKNPELTNEVMADGWFHTGDIGEMNSDGILKVIDRKKNIFKLSQGEYVAVEYLEKVYVFPPTVEDVWVYGDSFRSMLVAVVNPHEENTMKWAESNGCKGSFAEICKSEGLKEHILKELQSVAAKNKLRGFEYVKGVILDPIPFDLERDLVTATMKKKRNNMLKYYKPEIEKVYQKLEEQRVAAKSK >ORUFI05G02390.1 pep chromosome:OR_W1943:5:1690776:1691015:-1 gene:ORUFI05G02390 transcript:ORUFI05G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRRFGGSSISESGNTSNETGATPTMIPALPPSPSPAPPPWRERVSHDRKRDESGAASATQAVVASVSGAALMETR >ORUFI05G02400.1 pep chromosome:OR_W1943:5:1693960:1697481:-1 gene:ORUFI05G02400 transcript:ORUFI05G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRLRRNPPPATAAAAAPAPDQFRPGDLVEVLPDEPGYRGAHFPASVTASRANPRGYTVAYDGSGCPLGEVVAASQLRPRPPDAPRGVPPAEHAVVDAFKGGAWWVGVALGGGRAAAGGRVAVCFPETREVVEFDAADVRPHLEWVAGEWLSPENMGISKTMPYKKGTQVEVAKPHPQHASAIIFCINDEVEGFQGNGWWLGVITDVHPEFRYTFKAAHSGKEIQLDQKALRLRYDWTHDQWQQVTQNVTKKFAKGSKVEVTSNDDGFHGAWFQGTALKYVNNKILVEYDALKADDEITPLTEAIEVQHVRPCPPDIPVTSGFNLLDEVDACWNDGWWVGVISKVNSGDRYMVYFRSSTEETEFGHEQLRLHCDWVGGRWMRASLVCCIFPEF >ORUFI05G02400.2 pep chromosome:OR_W1943:5:1693960:1697481:-1 gene:ORUFI05G02400 transcript:ORUFI05G02400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRLRRNPPPATAAAAAPAPDQFRPGDLVEVLPDEPGYRGAHFPASVTASRANPRGYTVAYDGSGCPLGEVVAASQLRPRPPDAPRGVPPAEHAVVDAFKGGAWWVGVALGGGRAAAGGRVAVCFPETREVVEFDAADVRPHLEWVAGEWLSPENMGISKTMPYKKGTQVEVAKLEGNSVVAWFSAAVEKAIWKNSLLVDYNCSKNDGSVLPKEIVDLKHIRPHPQHASAIIFCINDEVEGFQGNGWWLGVITDVHPEFRYTFKAAHSGKEIQLDQKALRLRYDWTHDQWQQVTQMIPGLFYMLLPTFHNNVTKKFAKGSKVEVTSNDDGFHGAWFQGTALKYVNNKILVEYDALKADDEITPLTEAIEVQHVRPCPPDIPVTSGFNLLDEVDACWNDGWWVGVISKVNSGDRYMVYFRSSTEETEFGHEQLRLHCDWVGGRWMRASLVCCIFPEF >ORUFI05G02400.3 pep chromosome:OR_W1943:5:1693960:1697481:-1 gene:ORUFI05G02400 transcript:ORUFI05G02400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRLRRNPPPATAAAAAPAPDQFRPGDLVEVLPDEPGYRGAHFPASVTASRANPRGYTVAYDGSGCPLGEVVAASQLRPRPPDAPRGVPPAEHAVVDAFKGGAWWVGVALGGGRAAAGGRVAVCFPETREVVEFDAADVRPHLEWVAGEWLSPENMGISKTMPYKKGTQVEVAKLEGNSVVAWFSAAVEKAIWKNSLLVDYNCSKNDGSVLPKEIVDLKHIRPHPQHASAIIFCINDEVEGFQGNGWWLGVITDVHPEFRYTFKAAHSGKEIQLDQKALRLRYDWTHDQWQQVTQNVTKKFAKGSKVEVTSNDDGFHGAWFQGTALKYVNNKILVEYDALKADDEITPLTEAIEVQHVRPCPPDIPVTSGFNLLDEVDACWNDGWWVGVISKVNSGDRYMVYFRSSTEETEFGHEQLRLHCDWVGGRWMRASLVCCIFPEF >ORUFI05G02410.1 pep chromosome:OR_W1943:5:1698582:1704198:-1 gene:ORUFI05G02410 transcript:ORUFI05G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLVHLFLANYSAGGITRYSLHMDDVLPFGPRPRPRRLWGSLSTLDHLHPYAKPRKIYPAPDYHNGFIYAKIYGGFEKIQSSICDLVAVARLLNATLVIPEIQATTRAKGISSKFKSFSYLYDEDQFISALSSDVAIVRGLPKDLREARKKIKFPTVSPKNSATPEYYVTEVLPKLSKSKVIGIIINGGKCLQSILPATLEEFQRLRCRVAFHALKFRPEIRALGNQIVSRLRVSGRPYLAYHPGLLRDTVAFHGCAELFQDIHTELIQYRRNQMIKRGTVKEQLSVDSVSRKINGSCPLMPEEVGLLLQALGYPSTTIIYLAGSETFGGQRILIPLRAMYANLVDRTSVCSQRELSDLVGPEAPLASDMAHPPPPKTEKELVDEWKRAGPRPRPLPPPPARPYYAHEKVGWYGWIGENDTEPDPSPVEFRRQAHRLLWDALDYFVSVEADAFFPGFHNDGSGWPDYSSLIMGHRLYQTPSGITYRPDRKTISALFENVSDHRYHPPRNWTLAARQHLNNSASVEGIVKSAMLSKPVSFLAHPLPECSCRTPKSPGVQSTKDSHGRLLFGGEEECPDWMVRSLAMVSTKNNEPQNEDYDGDLPEDDSSQDTQQESDRSDMNKSSEQDEEMDPDD >ORUFI05G02420.1 pep chromosome:OR_W1943:5:1712719:1714100:-1 gene:ORUFI05G02420 transcript:ORUFI05G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEMEMMRMAMSPAMSSATAAAASEDEGDLRRGPWTVEEDMLLVDYIANHGEGRWNSLARCAGLRRTGKSCRLRWLNYLRPDVRRGNITADEQLLILDLHSRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKQLRCDVNSKEFRDVVRHVWMPRLVERIQADAAAAGEVAAPAPVSAAATRSMSSPAGAIYLHHQQIPLAAGAMVVAPAVSSEAYHHHGCGGGGDTSCSEPSQAAVTMSPDDASSTLRSSSAAAENDTIHGDVLSGSWSELLATTTTTIAATAGLPDFDELGDFEDNLWSLEDIWLHQQC >ORUFI05G02430.1 pep chromosome:OR_W1943:5:1723647:1725480:1 gene:ORUFI05G02430 transcript:ORUFI05G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDGWDGLVAWLRGEFAAANAIIDLLAHIRDNVDPAAAPPGFDAVAAAVQRRRHHWAPVLHLQQDDEGDEAALKSGGDAAQCHGGGGEDGDGGVASSGPPDLLLARPPPGSLLPQGQFGHTTVIKYE >ORUFI05G02440.1 pep chromosome:OR_W1943:5:1747491:1750196:-1 gene:ORUFI05G02440 transcript:ORUFI05G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLATAAAAASCGVLRHHHPPASPRPPSTSTTARLLLASRSRGLQRPLRVNHAPPRRLPPVAARAQSAAAAGYQPESEFYKVEAILRPWRVPYVSSGLLQMGIRGVTGSDVRGFGAQGGSTERHEGSEFAEDTFIDKVKMEIVVSKDQVEAVVDKIIEKARTGEIVIPVSDVIRIRTGERGERAERMAGGLADKLSSAMPIS >ORUFI05G02450.1 pep chromosome:OR_W1943:5:1751582:1753215:1 gene:ORUFI05G02450 transcript:ORUFI05G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRGGRIALLLLMLLQCGGLAGIAAGRCVVFNFGDSNSDTGSLPAAFGFYLGPPAGRRFFHRQTGRWSDGRLYIDFIAEKLKISYLSPYMESSGSDFTSGVNFAVAGAAVTQKSAIPLGLDTQVNQFLHFKNRTRELRPRGAGSMIAESEFRDAVYAIDIGQNDITLAFLANLTLPEVERELAASAARVADAVRALRASGARKFWVYNTGPIGCLPQTLALRQKPGDELDAAGCLAAYNAAARSFNAELAAASPRSSAAARTAPRWYGFERPLMACCGHGGPPYNYANLKTCGQPTATACPEGERHVIWDGVHYTEDANAIVARKILSGDFSSPRTKLKALCK >ORUFI05G02460.1 pep chromosome:OR_W1943:5:1755330:1764774:1 gene:ORUFI05G02460 transcript:ORUFI05G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIEDDVEGSGINAYVGDLGDAAVNPQPALLRATVKEEEGQPSSSSSHVRSQFIGMGFSPMLVDRVLQKHGDRDSDTILEALLSQSALQKSGSESGSLGDLFDSDNEENSSHLAPRKEVIQDIKIEADSSSEKRSYLLSTMNFSQREVDLALNQLGHILDLFDSHSILCHIQHTVNFQTCLVSGEEASLEQLVDFIVTGQVSGFSGGNENGDASNEVKDESLFGVMDKTLHLLQMGFTEEEVSSVIDKAGPEATVLELADTIFARRIASSIEQKEVKVEPDFLDETETSYSAYHPSNSGLRYYDDDHDNIRIKRAKHMFIDDSAGSSSRAGNQPNLDPWLKDHRATTSDVSVKEEFDAMTPGIRRNVRSDVANPPYFLYGNVVEIPKATWRQLSEFLYNVEPEFVNSQFFSALSRKEGYIHNLPTEGRRNLVPRSPMTIEEAFPFTRQCWPSWDTRKQLNSVATEVAGIEQLCERLGKMVRDSGGYLSQEKKMHIMHQCKLANLIWVGPDRLSPLDPQQVERILGYPRKHTNLFGLNPQDRIEAMRYSFQTDTLGYLLSVLKDLYPDGLRVLSIYSGIGGAAIALHRLGIPLQCVVSVDQSDTNRKILRRWWSNTEQKGQLRQINTIWKLKINVLEDLVKEFGGFDIIIGGNFSSCKGGTTVNSTMGMDSNQFFEYVRVVQRVKHIMGRFQVRAHESARHRHRSPSN >ORUFI05G02460.2 pep chromosome:OR_W1943:5:1757228:1764774:1 gene:ORUFI05G02460 transcript:ORUFI05G02460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIEDDVEGSGINAYVGDLGDAAVNPQPALLRATVKEEEGQPSSSSSHVRSQFIGMGFSPMLVDRVLQKHGDRDSDTILEALLSQSALQKSGSESGSLGDLFDSDNEENSSHLAPRKEVIQDIKIEADSSSEKRSYLLSTMNFSQREVDLALNQLGHILDLFDSHSILCHIQHTVNFQTCLVSGEEASLEQLVDFIVTGQVSGFSGGNENGDASNEVKDESLFGVMDKTLHLLQMGFTEEEVSSVIDKAGPEATVLELADTIFARRIASSIEQKEVKVEPDFLDETETSYSAYHPSNSGLRYYDDDHDNIRIKRAKHMFIDDSAGSSSRAGNQPNLDPWLKDHRATTSDVSVKEEFDAMTPGIRRNVRSDVANPPYFLYGNVVEIPKATWRQLSEFLYNVEPEFVNSQFFSALSRKEGYIHNLPTEGRRNLVPRSPMTIEEAFPFTRQCWPSWDTRKQLNSVATEVAGIEQLCERLGKMVRDSGGYLSQEKKMHIMHQCKLANLIWVGPDRLSPLDPQQVERILGYPRKHTNLFGLNPQDRIEAMRYSFQTDTLGYLLSVLKDLYPDGLRVLSIYSGIGGAAIALHRLGIPLQCVVSVDQSDTNRKILRRWWSNTEQKGQLRQINTIWKLKINVLEDLVKEFGGFDIIIGGNFSSCKGGTTVNSTMGMDSNQFFEYVRVVQRVKHIMGRFQVRAHESARHRHRSPSN >ORUFI05G02470.1 pep chromosome:OR_W1943:5:1764374:1766713:-1 gene:ORUFI05G02470 transcript:ORUFI05G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVVRSSLGFQNETSTSGDADRLPNEMSNMSIRDDNKDIDDIVVNGNGTEPGHIIVTSIDGRNGQAKQTISYMAERVVGHGSFGTVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRVLDHPNVVSLKHCFFSKTEKEELYLNLVLEYVPETAHRVIKHYNKMNQRMPLIYAKLYMYQICRALAYIHNTIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPAEAVDLVSRLLQYSPYLRSTASEALIHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKGMPMEFLVKLIPEHARKQCAFVGW >ORUFI05G02480.1 pep chromosome:OR_W1943:5:1766735:1768001:-1 gene:ORUFI05G02480 transcript:ORUFI05G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTRDEIGATGPEPFNGQTDKRQRSSSSLSPSESSPAFRAGAEEGERASQRGRWGNPARGQIVPPAPLRPLSGDPPPRLIPWWINYNSSLGKPLEH >ORUFI05G02490.1 pep chromosome:OR_W1943:5:1784529:1787285:1 gene:ORUFI05G02490 transcript:ORUFI05G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEVMHQPVPVLEVPYHRCVAKGVEEVAAAAAVAPPPVVEVEVAVQVPHMGLESAAGAPSISVDALQFVPSIRSGSFADIGPRRYMEDEHIRIDDLSAHLGSLLVCPLPSAFYGVFDGHGGLDAAAYMKRHAMRFLFEDSEFPQASQVDETYVQSVENSVRRAFLQADLALADDLDISRSSGTTALTALVFGRQLLVANAGDCRAVLCRRGVAMEMSRDHRANYAEECERVAASGGYIEDGYLNGVLSVTRALGDWDMKMPDSSISPLIAEPEFRQTMLTEDDEFLIMGCDGIWDVMTSQHAVSIVRRGLRQHDDPERCARELVMEAKRLETADNLTVIVVCFVSELGSPRQEQVGGQAGVARPRSCKSLSAEALCNLRSWLETDR >ORUFI05G02500.1 pep chromosome:OR_W1943:5:1789082:1793126:-1 gene:ORUFI05G02500 transcript:ORUFI05G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTTATDAGARMKPTTVASAVHRVQMALYDGAAASREPLLRAAASLLSGPDYADVVTERSIADACGYPACPNPLPSEDARGKAAPRFRISLREHRVYDLEEARKLCSERCLVASAAFGASLPPDRPFGVSPDRLDALVALFEGGGGGGGDGGLALGFGASGDGKEVEEGRKVEIMEKEAAGTGEVTLQEWIGPSDAIEGYVPRRDRVVGGPKKEAKQNDACSAEQSSNINVDSRNASSGESGMVLTENTKAKKKEATKTPLKMFKQDEDNDMLSSCISDSIVKQLEDVVLEEKKDKKKNKAAKGTSRVGKSKPAKRPVGRDGHEVDFTSTIIMGDHGSEMMDHGALGQYNFSSSILANEQPSSSQYAAIDSVQAYTEELDELFSNAVNIAKDETSDDSGRCTLRSSLKAVGSKNAGRSVKWADENGSVLETSRAFVSHSSKSQESMDSSVRRESAEACAAALIEAAEAISSGTSEVEDAVSKAGIIILPDMVNQQQYNNDYDNDKDAGENEIFEIDRGVVKWPKKTVLLDTDMFDVDDSWHDTPPEGFSLTLSSFATMWAALFGWVSRSSLAYVYGLDESSMEDLLIAGGRECPQKRVLNDGHSSEIRRALDTCVCNALPVLVSNLRMQIPVSKLEITLGYLLDTMSFVDALPSLRSRQWQLMVLVLLDALSLHRLPALAPIMSDSKLLQKLLNSAQVSREEYDSMIDLLLPFGRSTQSQASLPS >ORUFI05G02520.1 pep chromosome:OR_W1943:5:1810770:1812397:-1 gene:ORUFI05G02520 transcript:ORUFI05G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQTDKGGTHAGIVFDGLLHLLPHRLLDALLAINVDADHVLTKNEGRSTNDNVIKAIDKKCPRLPVDRYRLGSMGVVPGVLDERLSSQSFRVCPVCSLALASALRASLLGCSEGRPAERAVSDMFTTSTFFIPRDGKSMLFWFDHWTGGRSVASLAPDILFAMPKRLRGSCTVASGLANNSWISDIRGALIVPIISQFLLIWDALSPGVGDHLVWRWTTDQRYLACSAY >ORUFI05G02530.1 pep chromosome:OR_W1943:5:1824931:1829047:-1 gene:ORUFI05G02530 transcript:ORUFI05G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNITLVFLLMMCLAAAVAAAATPGVAGGNGLLHTIPSKNSLAHCPSTCGDIGFSYPFGIGHGCFRQGFELICDNTTHPPTLLLANTTTKVIGQSGRTLEVIEIPAIAFNIAMNNSSIIDYIRYWVSPANGFTIVNESTLFVIGCGIEACLFDLDTNETMGSCITMCSDNLGIMEMHDGDCTGIGCCHIIVRRELRRFWLKLDHPDDRTTPRSYRVLSRAQVFILPTINSYQFNTNDLVSSSWMNTSSIGGTLLRGAIMDQETCPGASASKATYACTTNTNCFNATNGGYYCSCRNDVTDGNPYINLGCSDDPGITIGIGCGLGSIILALGAIVLINKWKRGVQKRIRRAHFKKNQGLLLEQLILDEKAQDKTKIFSLEELEKATNYFDATRVLGSGGHGTVYKGILSNQCIVAIKMSKIAEQTEIDQFINEVAILSQIIHRNVVKLFGCCLEAEVPLLVYEFISNGTLYDILHSDVSVKCLLSWDDRIRIAVEAAGALAYLHSAAAIPIYHRDVKSSNILLDDNFTTKVSDFGASRTMSLDQTHVMTNVQGTFGYLDPEYYYTGQLTAKSDVYSFGVILVELLVRKKSIFINDQGTKQSLAHYFVEGHQQGVVMEILDSQVMEEANREEIDEIVSIAESCLKTKGEERPTMKEVEMRLQFVRTIRQRKCQQFPVTEGEIEHFPFPNTSNSSDGRFSHSTGLTCDSVSGSYSLEQQFSSSINLPR >ORUFI05G02540.1 pep chromosome:OR_W1943:5:1834199:1843147:1 gene:ORUFI05G02540 transcript:ORUFI05G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSRDGGARRRSCSVLVAAAAIFFGYAATAAGLQVGYYNNSCPGAEDLIQTIVHGAVRNDAGNGPGLIRLFFHDCFVRGCDASVLLDADPASNGTVEKMAPPNFPSLRGFGVIDRAKRVVERRCPGVVSCADIVAFAARDASRIMGGIKFAMPAGRLDGRVSSASEALANLPPGSFNLTQLVARFATKNLTADDMVTLSGAHSIGRSHCSSFSSRLYPQIDPAMNATLGVRSRAKCAAAPGRLDRVVQLDFKTPLQLDNQYYQNVLTHEVVFTSDQSLIDRPDTAALVAQYAGSRKLWSQKFAAAMVKMGNLDVLTGPPGEIRQYCNKLASFDRLIMMRSPWMVFAWAAAMVAVAAASPVPTKLKVGFYEHSCPQAEEIVRNAVRRAVARDPGLAAGLIRMHFHDCFVRDSVANNPSMRGFEVVDDAKAIVEAHCPRTVSCADILAFAARDSAHLAGATVDYPVPSGRRDGRVSVSDEVLADNVPAPTFSLAQLVASFERKGLTADDMVTLSGAHTIGRSHCSSFTARLYNFSGEAGRTDPAIDPAYAAELKRRCPPATDDQMDPTTVPLDPVTPASFDNQYYKNVLKHRVVLNSDQALLDSPWAAGVVKLHSAVEKVFQVKFAAAMVKMGNIDVLTGDEGEIREKCFMVNNHY >ORUFI05G02560.1 pep chromosome:OR_W1943:5:1858549:1859739:1 gene:ORUFI05G02560 transcript:ORUFI05G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDTFLFTSESVNEGHPDKLCDQVSDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRETCRNIGFVSADVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYRNESGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVARQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDTYGTGRIPDKEILKIVKENFDFRPGMIIINLDLKKGGNGRYLKTAAYGHFGRDDPDFTWEVVKPLKWEKPSA >ORUFI05G02570.1 pep chromosome:OR_W1943:5:1864093:1869011:1 gene:ORUFI05G02570 transcript:ORUFI05G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFACCGDEDTQGVPDNRNPYPGNHPARSDAYRTADPTPRGPQPVKVQPIAVPIIPVDEIREVTKNFGDEALIGEGSFGRVYFGVLRNGRSAAVKKLDSSKQPDQEFLAQVSMVSRLKHEHVVELLGYCVDGNLRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARATNPGENAGS >ORUFI05G02580.1 pep chromosome:OR_W1943:5:1869054:1871252:-1 gene:ORUFI05G02580 transcript:ORUFI05G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSEKVVPPSSVSSSDLDPLLKDLTEKKLSFRRNVVSLAAELKDVRNKLASQEQLFVRESQTRKFAETKARSMEEEISKLQKCLNDKDEQLRSSTGCTEQMHFLNNCSNYVQYLHELDDLRSKLSVTQATAEASAASAKSAQSQCLSLLKELNEKDSSLKEHERRVNKLGEQLDHLQKDLEAREYSQRQLKDEVLRIETDIMDAVAKVGSNKDNELLKILSDVSPRNIDNLNKLLNAKDAEIARLRDEIRILSAHWTNKTKELESQLEKQKRTDQELKKRILKLEFCLQESRSQIRKLQRAGEKRDKQLKELKDQVGMKQPSVPYRDDKHNFWENQSFKFIASMSMLALVMLTKR >ORUFI05G02590.1 pep chromosome:OR_W1943:5:1878572:1881419:1 gene:ORUFI05G02590 transcript:ORUFI05G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTGGYPGHRGCGGDRCGSGRDAWPLHNVRHQGVFCRLCSSCVLLYHPAAFCSACLLLLPPAAAPVAAPPGPVSACSSCGLFVAHHSCVPDPVSFVCPTCADAAVGRVYSYTPAAAAGRRRTMDERAARVLLVAARLAHESISRAAAAAREEADRAAREAAVARKHARELLDAACRAAEAEALEAKKKAEAPVAPAAAPPPPPPQPKKKAPPKSSEASRDRDNKPLKLTATQQPALAFAAAAAAAASSMPLSMPSPMEVDEKPVIEELQGSGDGSLIYDRGSLFGTLQRFASLSSPCVCDVGIGLGWLDRPTSSEQIFQSSNYAFGKASLEAVSGGRLSPQKTVSVGLPKFYNIYRRAKLKNLT >ORUFI05G02600.1 pep chromosome:OR_W1943:5:1881120:1884335:-1 gene:ORUFI05G02600 transcript:ORUFI05G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEGREALLGGYEMGRTLGEGNFGKVKYARHLVTGGHFAVKILDRGRVVSLRAGDQIRREIATLKLLRHPHVVRLHEVAASKTKIYMVLEFVNGGELFERIAVKGKLSEKEGRRLFQQLIDGVSYCHDRGVYHRDLKPENVLVDQKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNKGYDGSLSDIWSCGVILYVMLIGYLPFDDRNIVVLYQKIFKGDTQIPKWLSHSAQNLLRRILEPNPMKRIDMAGIKSHEWFQKDYIPVLPYDDDDEDVQFGARLPAKEQINDEPGDKNSHQINAFQLIGMASSLDLSGFFEDEEVSQRRIRFTSTHPPKDAFDKIESSATELGFQVQRGHSKLKLMRNCKGSKNPESFMVSAEVFELGPSVNVVELRKSNGDPALYRQLCERISSDMGARNTEQIFATASLEDDLQNSNAGTPLFAL >ORUFI05G02600.2 pep chromosome:OR_W1943:5:1881120:1884335:-1 gene:ORUFI05G02600 transcript:ORUFI05G02600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEGREALLGGYEMGRTLGEGNFGKVKYARHLVTGGHFAVKILDRGRVVSLRAGDQIRREIATLKLLRHPHVVRLHEVAASKTKIYMVLEFVNGGELFERIVSPCFNDLLRYFLAAKIFMPATMAVKGKLSEKEGRRLFQQLIDGVSYCHDRGVYHRDLKPENVLVDQKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNKGYDGSLSDIWSCGVILYVMLIGYLPFDDRNIVVLYQKIFKGDTQIPKWLSHSAQNLLRRILEPNPMKRIDMAGIKSHEWFQKDYIPVLPYDDDDEDVQFGARLPAKEQINDEPGDKNSHQINAFQLIGMASSLDLSGFFEDEEVSQRRIRFTSTHPPKDAFDKIESSATELGFQVQRGHSKLKLMRNCKGSKNPESFMVSAEVFELGPSVNVVELRKSNGDPALYRQLCERISSDMGARNTEQIFATASLEDDLQNSNAGTPLFAL >ORUFI05G02600.3 pep chromosome:OR_W1943:5:1881120:1884335:-1 gene:ORUFI05G02600 transcript:ORUFI05G02600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEGREALLGGYEMGRTLGEGNFGKVKYARHLVTGGHFAVKILDRGRVVSLRAGDQIRREIATLKLLRHPHVVRLHEVAASKTKIYMVLEFVNGGELFERIAVKGKLSEKEGRRLFQQLIDGVSYCHDRGVYHRDLKPENVLVDQKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNKGYDGSLSDIWSCGVILYVMLIGYLPFDDRNIVVLYQKIFKGDTQIPKWLSHSAQNLLRRILEPNPMKRIDMAGIKSHEWFQKDYIPVLPYDDDDEDVQFGARLPAKELIGMASSLDLSGFFEDEEVSQRRIRFTSTHPPKDAFDKIESSATELGFQVQRGHSKLKLMRNCKGSKNPESFMVSAEVFELGPSVNVVELRKSNGDPALYRQLCERISSDMGARNTEQIFATASLEDDLQNSNAGTPLFAL >ORUFI05G02610.1 pep chromosome:OR_W1943:5:1892051:1892508:-1 gene:ORUFI05G02610 transcript:ORUFI05G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAAPGRGKRRRPVRAGWRQVKAASAGGGGRRGGGAQSEQTSGGSGYGWRRRWSGGSCSYRATTSRVTSSSRRGRGHGASSRRGCEGSAAPRPRGSGGPARALRLCFAWVSRCRNIHRGRFRYSHLSGVDHALAAASVCFW >ORUFI05G02620.1 pep chromosome:OR_W1943:5:1911516:1918222:1 gene:ORUFI05G02620 transcript:ORUFI05G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTVTRTSRSLVAPSSPGGGAPWGGPLRVSGAGARSGGGEPARVVIREALGKALVEYHPFAGRFVEGDGGGEVAVACTGEGAWFVEATAACSLEEVKLLDHPMVIPKEELLPEPAPDVQPLDIPLMMQVTEFTCGGFVVGLISVHTIADGLGAGQFINAVADYARGLAKPRVSPVWARDAIPDPPRMPAPPPRLELLDLRYFTVDLSPDHIAKVKSAFFESTGHRCSAFDVCVAKTWQARTRALVAAAAAAGDDDQERRTVRVCFFANTRHLMLKGDGAAAAATGFYGNCFYPVAAVASGGEVAGADIVDVVRIVRDAKARLAADVARWAVGGFEEDPYELTFTYDSLFVSDWTRLGFLDADYGWGTPSHVVPFSYHPFMAVAVIGAPPAPKLGARVMTMCVEEAHLPEFRDQMNAFAAAN >ORUFI05G02630.1 pep chromosome:OR_W1943:5:1933156:1939792:1 gene:ORUFI05G02630 transcript:ORUFI05G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCSLVLRILGLQSMSESSRAFGVNASSSSSGGGGGGEAVAGTTGKNGGGGSVAFHRLFAFADGTDAALMLLGTLGAVANGAALPFMTVLFGGLIDAFGGAAGGDVVARVSEVSLQFIYLAVASAAASFIQVACWMITGERQAARIRSLYLRTILRQEVAFFDKHTNTGEVVGRMSGDTVLIQDAMGEKVGKFVQLLVTFLGGFGVAFAQGWLLTLVMLATIPPLVLSGAVMSNVVARMASLGQAAYADASVVVEQTIGSIRTVASFTGEKQAVAKYSRSLKRAYSSGVREGLAAGVGMGTVMVLLFCGYSLGIWYGAKLILEKGYTGAQVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINREPEIDAYSATGRKLDDIQGDIEFRNVYFSYPTRPDEQIFRGFSLAIQSGTTVALVGQSGSGKSTVISLIERFYDPQLGEVLIDGVNLKELQLRWIRSKIGLVSQEPVLFAASIRDNIAYGRDNATNQEIRAAAELANASKFIDKMPQGFATLVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRVMSNRTTVIVAHRLTTVRNADTIAVIHQGSIVEKGSHHELISDPDGAYSQLIRLQENSHDSEDANYQNKSGKKSDSGIRSGKQSFSYQSTPQRSSRDNSNNHSFSVSATPLEIDVQGGSPKKIAEETPQEVPLSRLAALNKPEIPVLLLGSVASAVSGVIFPIFAILLSNVIKAFYEPPQVLKKDAEFWSSMFLVFGAVYFLSLPIGSYLFSVAGCRLIRRIRLMTFEKVVNMEIEWFDHPENSSGSIGARLSADAAKIRGLVGDALQLVVQNLATLVAGLLIAFISNWELSLIILALIPLIGVNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVASFSAEEKVMDLYKMKCEGPLRTGIRTAIISGIGFGVSIFLLFGVYAASFYAGARLVEDRKTTFPNVFRVFLALTMAAIGVSHTSNLTSDSSKAKSAVSSIFAIVDRKSRIDPSDDAGVSLEPLRGDIEFQHVSFRYPTRPDVQIFEDLCLTIQSGKTVALVGESGSGKSTAISLLQRFYDPDAGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNDTIRANIAYGKEGDATESDIVSSAQLANAHKFISSLHQGYETMVGERGAQLSGGQKQRIAIARAIVKDPKILLLDEATSALDAESERVVQDALDRVMMNRTTVIVAHRLSTIQGADMIAVVKNGMIIEKGKHDALIGIKDGAYASLVALHVSAAAIS >ORUFI05G02640.1 pep chromosome:OR_W1943:5:1940317:1942505:1 gene:ORUFI05G02640 transcript:ORUFI05G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGAAIRGSLATAASPVVLRGRGRRCAARVSCVGRGGGGFGDEGHLRYYEAPPRKAVEAVARDLAKLRAMGIAAGDAAKEKVLSEATELLLEELNRMRDEEGELKKKMKEDKDAMKALKKQQKEAMKAATTMKCDDDDSSESSESSESECEEQMMTMSCVATVTMPQIGEGVAISTTVPQVAASDVATAPAMEYDKAAMKAMKKREKEEKKAAKMAMKMKKKEKKMATLTLCKDEDSTSCSSESSDSECEEVIRMSRCATITTPQTQPSSTVFPIILPQIPESVTLEPCQDAQISSEPANTMLSTTATIAVVEKPMTNRIEVCMGGKCKKSGSLAVLQEFEKKVGTDGAVVGCKCLGKCGLGPNVRLRSEGAAQKKNPLFIGVVLEDVGTIASGLFGGGDDLGMVNN >ORUFI05G02650.1 pep chromosome:OR_W1943:5:1942852:1947390:1 gene:ORUFI05G02650 transcript:ORUFI05G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRHLLLVTTCLWALSCALLLHASSDGFLRVNLNKKRLDKEDLTAAKLAQQGNRLLKTGSSDSDPVPLVDYLNTQYYGVIGLGSPPQNFTAIFDTGSSNLWVPSAKCYFSIACYLHSRYNSKKSSSYKADGETCKITYGSGAISGFFSKDNVLVGDLVVKNQKFIEATRETSVTFIIGKFDGILGLGYPEISVGKAPPIWQSMQEQELLADDVFSFWLNRDPDASSGGELVFGGMDPKHYKGDHTYVPVSRKGYWQFNMGDLLIDGHSTGFCAKGCAAIVDSGTSLLAGPTAIVAQVNHAIGAEGIISTECKEVVSEYGEMILNLLIAQTDPQKVCSQVGLCMFDGKRSVSNGIESVVDKENLGSDAMCSVCEMAVVWIENQLRENKTKELILNYANQLCERLPSPNGESTVSCHQISKMPNLAFTIANKTFILTPEQYIVKLEQGGQTVCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGKDRIGFAKSA >ORUFI05G02650.2 pep chromosome:OR_W1943:5:1943216:1947390:1 gene:ORUFI05G02650 transcript:ORUFI05G02650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRHLLLVTTCLWALSCALLLHASSDGFLRVNLNKKRLDKEDLTAAKLAQQGNRLLKTGSSDSDPVPLVDYLNTQYYGVIGLGSPPQNFTAIFDTGSSNLWVPSAKCYFSIACYLHSRYNSKKSSSYKADGETCKITYGSGAISGFFSKDNVLVGDLVVKNQKFIEATRETSVTFIIGKFDGILGLGYPEISVGKAPPIWQSMQEQELLADDVFSFWLNRDPDASSGGELVFGGMDPKHYKGDHTYVPVSRKGYWQFNMGDLLIDGHSTGFCAKGCAAIVDSGTSLLAGPTAIVAQVNHAIGAEGIISTECKEVVSEYGEMILNLLIAQTDPQKVCSQVGLCMFDGKRSVSNGIESVVDKENLGSDAMCSVCEMAVVWIENQLRENKTKELILNYANQLCERLPSPNGESTVSCHQISKMPNLAFTIANKTFILTPEQYIVKLEQGGQTVCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGKDRIGFAKSA >ORUFI05G02660.1 pep chromosome:OR_W1943:5:1947787:1953170:-1 gene:ORUFI05G02660 transcript:ORUFI05G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMVQKQRHEEGEEERGGLCAREIKELDFFSAAGAGAGRRDDDDVLRADGISSSHAGFMVSTALDLLTAVNDGDHHEEKKGQSNIHQSKQMDAAATTVEGELRQAGEENRRLRRRLEELTSSYGALYHQLVQAQQLHTKHQQQAPIAGVQLLDALAAASPASHRRRAAAAVDGDRTADSDGGEGDENVSPSLGSKRPAAAATLTRLTPESGSGGENNGGGEQAPAAEMAPCRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMASQCPVRKQVQRCAEDKSILITTYEGTHSHPLPPAAAAMAKTTSAAAAMLLSGPAVSRDALFAAHHHVVAPPPFFHHPYAGSTMATLSASAPFPTITLDLTQPPPTTTTTAAAAMLQLHRPHAFSSLPFSMYGAGGGSHRPPVVLPPPSSVVETMTAAITRDPNFTTAVAAALSSIMAGGGAQARTPPRGGSDAAGDINGGGGADHATAGARAAAAATQPCGTSPT >ORUFI05G02670.1 pep chromosome:OR_W1943:5:1963390:1965839:1 gene:ORUFI05G02670 transcript:ORUFI05G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLASSRPPLVSAPATATARLPPHRHRRRRLYLSATSCASTNSYSSSVLSHGGTCAPRSLVAAELQSQSRPPPLAAGVSVRGDAATGLAFLLFVLAVVMSSFLSLAIFSFPTWRALKRLEIAVHKLSKVVAEEVPGTLSSLKLSCLEINDLTSQLKNFRFWSAGVLYWSY >ORUFI05G02670.2 pep chromosome:OR_W1943:5:1963390:1965839:1 gene:ORUFI05G02670 transcript:ORUFI05G02670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLASSRPPLVSAPATATARLPPHRHRRRRLYLSATSCASTNSYSSSVLSHGGTCAPRSLVAAELQSQSRPPPLAAGVSVRGDAATGLAFLLFVLAVALKRLEIAVHKLSKVVAEEVPGTLSSLKLSCLEINDLTSQLKNFRFWSAGVLYWSY >ORUFI05G02680.1 pep chromosome:OR_W1943:5:1964966:1966425:-1 gene:ORUFI05G02680 transcript:ORUFI05G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGEDGKRESSWPWPPEYGPPDNALHSLGMEFTTITAGEVVGRLLVTATCCQPFKVLGGGVSALMAEAAASIGGYIASGYRRVAGVQLSINHIRPAHLGETVQAKAKPMQLGRTIQVWEVQIWRIDPSTSECKHLVSTARVTLLCNLPTPEDLKHYEQGFIKKHAKL >ORUFI05G02690.1 pep chromosome:OR_W1943:5:1969688:1972162:-1 gene:ORUFI05G02690 transcript:ORUFI05G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLLASRCSDPAACCFPSSPPSAREPRLEGVQSKRSLGAMADDAFLETQAIPNVPKC >ORUFI05G02700.1 pep chromosome:OR_W1943:5:1978107:1978651:1 gene:ORUFI05G02700 transcript:ORUFI05G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVRTITSPFRKARTILNQQATNTSGSIDEHHHRHRAKKPRRHSSSSSAAGTPSSSSSSSTTTATRTGGDVEEEVVMRRSSQLYGDVMACAYEDVQVMWSMLDNKSRLCAAAAAATS >ORUFI05G02710.1 pep chromosome:OR_W1943:5:1982754:1983641:1 gene:ORUFI05G02710 transcript:ORUFI05G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRRPFAAPVINYLLLALWLAASSSSAVAVAVAGHGRGRRSHVSSIVTEEMYNKSLFIHKDDAACPARNFYTYAAFLRAADQYPSFGGAGGRDTRRREVAAFLAQVSHETTGGWATAPDGPYTWGLCFKEELKPASNYCDAAVAARWPCFPGKSYHGRGPIQLSWNFNYGPAGEAVGFDGLREPEVVAGDAVVAFKTALWFWMTPRPPSKPYSCHDVMTGRYRPSRADAAANRTAAGFGLTTNIINGGLECNNRTGGDPRVEDRIGFFRRYCGALGVDVGDNLDCAHQLPYS >ORUFI05G02720.1 pep chromosome:OR_W1943:5:1985075:1985636:-1 gene:ORUFI05G02720 transcript:ORUFI05G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTANCIDILIAIILPPLGVFLKFGCGHEFWICLLLTFLGYIPGIIYAIYAITKDGLQTASSIFSIAVCLLEFGNDICIQNSVLSAPILVI >ORUFI05G02730.1 pep chromosome:OR_W1943:5:1987618:1990168:1 gene:ORUFI05G02730 transcript:ORUFI05G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNESYFDCREYRRKAVFFLRCYALSTSGGAVSAGELGCIALAAAAGGGKWSGGRERPQRASGSARRRAAGAGEGAAAWRERGREAAGTAALVMLQLFIAGVVVVLVDLLHERGRRSSGGRVRRSSWPGRRAAGGKCGCGAAVCGQRRPLRRCGAPPPLPPASSQPLMPPFFFFFGREGIEGTEGSHAAATAALLLLLFLLLLLTGEDEVDGFLVVVVIPGRG >ORUFI05G02740.1 pep chromosome:OR_W1943:5:1990198:1990683:1 gene:ORUFI05G02740 transcript:ORUFI05G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWLFGAAEGQRRRFRAILRATSRRRKRAPDVKSFSHELNMRGPLLHRLQQRKPRVCVNSPEEFIGAIRTKFIRLKEEVDAELGVFARDLVAALDKADGGEERVALEDLLVVAQRCAEMSPEEPRRRLPAPPSAARPPTAPLRLRPGARRCRSPFALIAD >ORUFI05G02750.1 pep chromosome:OR_W1943:5:2005451:2008201:1 gene:ORUFI05G02750 transcript:ORUFI05G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGNDTGSDLAELLWDNGAPAPLRPPPPPPFQPFTCSAAATTSPPAHDYLFIKNLMRGGGAANHHHHDDDDDDDDDVPWLHYHPVVDDDDDADADTAPLPPDYCAALLSGLSDHLPPPAAAASRVDPDPCSSSHGAVVPSTSAAAAKQARTSGGGGGGVMNFTFFSRPLQQRPSGGETASASASAAATSTVPVESTVVQAATNRLRSTPLFSDQRMAWLHPPKPSPRAAAPPPPPPLAPTTRHRLDTAAATATVAQRLPPSEARAPDAPPPAATATATTSSVCSGNGDRRQLNWRDSHNNQSAEWSASQDELDLDDELAGVHRRSAARSSKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLEEAIEYLKTLQLQVQMMSMGTGMFVPPMMLPAAAAAMQHHHMQMQQMAGPMAAAAHFPHLGAAAAMGLAGFGMPAAAQFPCPMFPAAPPMSMFAPPPPPPPPPFPHAAATAVEQTPSPPGAADAGNAPAVKQA >ORUFI05G02760.1 pep chromosome:OR_W1943:5:2010333:2011532:-1 gene:ORUFI05G02760 transcript:ORUFI05G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELERATKKRCGGIDGDNPAADLTDDLIVEILSRLPAKSVCRFKCVSWRWRRLISHRDHRKKLPHTLAGFISHYSVPLHDGIVLIPHFDSIDGGEEDEEEHRLVPDPSLSFLPGYRTIFPKDCCNGLLLCLCCKNSPRDESDYVVCNPATQRWIILPEIDRADPVSTVRLGFDPALSPYFHVFAILEHVDGCVSGVEIFSLETGAWSHRENGWGDEDDHTVHPDAKSAFVDGMVNFISYNSAIIAVDTEGKKWKTIPFLEEMTCECISNGILAFIGQSQGHLYYINFRDWDSSILSVWTLDDYCSCGWSFKYNISTSQLFGWTNMKLEREYSLIAIHPECNMIFYVFRDEGQNTLLSYDMDRGKVNSICNMRDPFWKTWDPCLPYVPVFMESLPDHA >ORUFI05G02770.1 pep chromosome:OR_W1943:5:2017174:2018358:-1 gene:ORUFI05G02770 transcript:ORUFI05G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELERATKKRRGGIDDLPDDLIVDILSRLLAKSVCRFKCVSWRWRRLISHRDHRKKLPHTLSGFISRYCGPLNDDELVSIPHFDSIDGGEEDEEEHRLVPDPSLSFLLGYMSISPKDCCNGLLLCLCCKNSPRDESDYVVCNPATQRWIILPEIDDYDQLATIRLCFDPALSPYFHVFAILEDADGCITGVEIFSSETGRWSHRENGWVDQDDHMVRPDAKSVFVDGMVNFISFRSAIIAVDMEGKKWKTIPFLEQMNCQCFCSGNLAFIGQSQGHLYYINSRNRDSSILSVWTLDDYCSRQWSFKYNISTSQLFGWTNMRLERKYNLIAIHPDCNMIFYVSRDEGQNTLLSYDMDRGEINSICNIRDPFWNPWDPCLPYVPVFMESLPDHA >ORUFI05G02780.1 pep chromosome:OR_W1943:5:2055714:2065673:-1 gene:ORUFI05G02780 transcript:ORUFI05G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQHARLAAFLPPTGRGTNINQDVDSIDDCAVSLRRWLKFPRAPALDGLTSLDPEVLSVLTVGAAPLRSASLLVHHECFMQGQRKQQTTAGVLGLGGHLKVDK >ORUFI05G02790.1 pep chromosome:OR_W1943:5:2056066:2060536:1 gene:ORUFI05G02790 transcript:ORUFI05G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLTEADRRGAAPTVSTERTSGSSDVNPSSAGALGNLSHLLSETAQSSMLLPVYDKNHPETASLPRPKVPPKELFLDQLTAGHESPSSCRSSGPTLYFPFQQPLGYSNECGTGDGASMNSLWFNQNDFNCSTISTVMPPVSPSALSTSMGLNLPPENPRHGGTGIGNTPFYWDGSNPSSSGSTGSSGSNSMGFEPQSTTSILENSVFPWTDIGQEKDTRVHLVEELKWPDLLHGTFAEATTAMQNQSQSLYDDVIKAESQFNMEGICASWFQNQQPQQQLQAASDMYDKDLQRLPLSFEHI >ORUFI05G02790.2 pep chromosome:OR_W1943:5:2056066:2060195:1 gene:ORUFI05G02790 transcript:ORUFI05G02790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLTEADRRGAAPTVSTERTSGSSDVNPSSAGALGNLSHLLSETAQSSMLLPVYDKNHPETASLPRPKVPPKELFLDQLTAGHESPSSCRSSGPTLYFPFQQPLGYSNECGTGDGASMNSLWFNQNDFNCSTISTVMPPVSPSALSTSMGLNLPPENPRHGGTGIGNTPFYWDGSNPSSSGSTGSSGSNSMGFEPQSTTSILENSVFPWTDIGQEKDTRVHLVEELKWPDLLHGTFAEATTAMQNQSQSLYDDVIKAESQFNMEGICASWFQNQQPQQQLQAASDMYDKDLQRLPLSFEHI >ORUFI05G02800.1 pep chromosome:OR_W1943:5:2070119:2072446:1 gene:ORUFI05G02800 transcript:ORUFI05G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTESLLPIGRVEAAAAEEEVVLGAGDDDSDVESGGESSFSREVRKRVSRLSVEGGGGGGGGVRDRRGSSGGGRRVLPPPHAWLAVEETAARKSYGSDPEEQWMRVLQGGAYGGGGVAAAAAAQRQVQRRSSFSVVRRERAAREAWLDRAWEMKRSWHERNGGAPDADTPVVVVVGKGPPSSPTSHAAGSVGGGGVAMDMEEVRACRDLGLELPSDCTVEIQCYGLSATSSPTHTNSGSCSSGAASPSAAAACSLPSPGADDPMDVKARLKVWAQAVALASTTHLGS >ORUFI05G02810.1 pep chromosome:OR_W1943:5:2073852:2074311:1 gene:ORUFI05G02810 transcript:ORUFI05G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYCFYAAALFILCFLLPAAVAEEMRSQMDGDGSEETLCAVGGTASAIGPFFPSHTATAASKPRALAATAFDLRDAIVDGEADLFRVEQQRRRRRPGTGKCKWRYGKTLSTGSGWKTRRSSMDPIS >ORUFI05G02820.1 pep chromosome:OR_W1943:5:2075170:2078673:1 gene:ORUFI05G02820 transcript:ORUFI05G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTNADVEAVDFDPDDDDLMDEDAADPTPAPAPRLRSTIAGGGGGGGGGDDGQRKTKGRGFRDDAAPRDSRLAGAGRASDFDSLGSDGGPGPVRSIEGWIVLVTGVHEEAQEDDLHNIFRDFGQVKNLHLNLDRRTGFVKGYALIEYETFEEAQAAIKALDGTELLTQIISVDWAFSNGPITKTLQIPTEEKILSAPHHVMMVL >ORUFI05G02830.1 pep chromosome:OR_W1943:5:2078831:2083835:-1 gene:ORUFI05G02830 transcript:ORUFI05G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNVLGFAINDMRVTETGSFQYLLEYLDYPDEEKTWTEVFEKNPAYGKRNSNVVRERECMLRPSYPELYWGDQVPEQFPKSNVIVSVCDTPKVGDLVEWLSEGSYWTAKVTKLLSEDMVKVQLLKPPIGEGGSYTAYCKDIRPALDWCLEKGWTVPLSQANGRCWHAARLIHHKSDTEMSGSDEESTSDDDEEEAQKSLKRASNSSQEAPGSNLEITSDTTSSSRINSQTATIATTKGISRSSPETGSVTRPYKE >ORUFI05G02830.2 pep chromosome:OR_W1943:5:2079217:2083835:-1 gene:ORUFI05G02830 transcript:ORUFI05G02830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNVLGFAINDMRVTETGSFQYLLEYLDYPDEEKTWTEVFEKNPAYGKRNSNVVRERECMLRPSYPELYWGDQVPEQFPKSNVIVSVCDTPKVGDLVEWLSEGSYWTAKVTKLLSEDMVKVQLLKPPIGEGGSYTAYCKDIRPALDWCLEKGWTVPLSQANGRCWHAARLIHHKSDTEMSGSDEESTSDDDEEEAQKSLKRASNSSQEAPGSNLEITSDTTSSSRINSQTATIATTKGISRSSPVIDMSNSTNVSELDRISNSTIAAESLPKI >ORUFI05G02830.3 pep chromosome:OR_W1943:5:2078831:2083059:-1 gene:ORUFI05G02830 transcript:ORUFI05G02830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLILPFKKGDFAESKSFIDGYKCAWFRCKINDMRVTETGSFQYLLEYLDYPDEEKTWTEVFEKNPAYGKRNSNVVRERECMLRPSYPELYWGDQVPEQFPKSNVIVSVCDTPKVGDLVEWLSEGSYWTAKVTKLLSEDMVKVQLLKPPIGEGGSYTAYCKDIRPALDWCLEKGWTVPLSQANGRCWHAARLIHHKSDTEMSGSDEESTSDDDEEEAQKSLKRASNSSQEAPGSNLEITSDTTSSSRINSQTATIATTKGISRSSPETGSVTRPYKE >ORUFI05G02840.1 pep chromosome:OR_W1943:5:2089796:2091002:-1 gene:ORUFI05G02840 transcript:ORUFI05G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLAAPRTPHRMRALSLARASSPPPLLLHLRRALAPASSSSPAPVLLLRPAVSCSSLFVSDRAAAARRSSGSSRRSMASQQFPPQNQETQPGKEHAMDPRPEAIIQSYKPANKLKDKVAIVTGGDSGIGRAVCLCFALEGATVAFTYVKGQEEKDAEETLRALRDIRARTGAKDPMAIPADLGYDDNCRKVVDEVAGAYGGAIDILVNNAAEQYERPSITDITEDDLERVFRTNIFSYFFMSKHAVKRMRDRRGGAGAGGCSIINTSSINAYKGNKTLLDYTATKGAIVAFTRALALQLAEEGIRVNGVAPGPIWTPLIPASFAEEKVRQFGSQVPMGRAGQPSEVAPSFVFLASDDASYMSGQMLHVNGGVIVNG >ORUFI05G02850.1 pep chromosome:OR_W1943:5:2095733:2099038:-1 gene:ORUFI05G02850 transcript:ORUFI05G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTAVQFIDRFLGGYEDPAQQIFLRAPEALMKYKRTSTLKGLSWWRRRGTQQQQQEPTRRTRGGRRHKEEKIWYLCSIYGCQALLGMTILAAVWLARVAAVVTVLALSWKRLKKQDYLNPGDIMNDQNQNITWSLNIFYSLVFAQGIMFVTILLNPLSYYFLFNAGIKYKLFDPSGLKIILNYKRCNYLEFIAGNVKATLQMHLVTFAKKLAVSNMADDKLLGVGAMDRILRSMEFRSLALRKLRAFMEPDELGKLVNMLGYDKSHKNKTTEEEDIRGHTARVVLKLSPDLLVQSYPQILYAISSSLLSTSKSNNKRVCKCNMDSDLVWFGLRILDKLTDNPENCRKATNDESGGDLLSTIIDLTIPCCHGHGHGRSMRSNTSTISDSWIEQEIIPLLQTENEIPLPFIDKLDQEIIVGMALNILSKLVAAPDEAGEKLRKETSKHVHFLTNTGMILDHVQATRVISCLAVDKEGREYIGKFPEIIKKLKDCLLSKTPYVNITKVAAKLLVLECTGDEQLLNQIQLFIEENRTVEDQSFSLPISAFIEELDFDQLHQPWIWNFVQSLDVEDALFAPRVNHSDAAAKALILLTTECASNVEAFLQGINKEELNKIVNALSSEDGDKEKRRALAQFEGRRNLDPETLRRVKKIILAEGEEQATGPKEFDEYMKLIDAALPKVLKAVVDAVATLEDPSSAENLDHVKDDMWIKQGKVLESFIGLAVQICRSPNKRSDFSTALKYANLTADTLIKKLKKILEVYMSPSTDFPCIRVSTLELITWMVEENNSYWEILLQCGVYEELNEVARTARKLESFKLFHCGIGIPTERTIECISSLATKLQEKLKKIPDFERRYRYGEHASRISVLIA >ORUFI05G02860.1 pep chromosome:OR_W1943:5:2104571:2104816:-1 gene:ORUFI05G02860 transcript:ORUFI05G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAADGETKEESSKVKMLLPEDFLNTVLLCTAFLYKAMNTIGTLATIWATVVLLGGFSTLIKKEDFWYVTVIAFVQSIG >ORUFI05G02870.1 pep chromosome:OR_W1943:5:2106693:2115200:1 gene:ORUFI05G02870 transcript:ORUFI05G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPNPLPTAANPNGAGSSSSKPWEGAATATATATAEKAGWPTADERGDRADGACTSSLKKIGGGGNDFNH >ORUFI05G02880.1 pep chromosome:OR_W1943:5:2107683:2115889:-1 gene:ORUFI05G02880 transcript:ORUFI05G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLPMPARVIERNYTMDESTSALDGDEKRRSRKESPLPEEKFNIFLLCTAFVYRVMNGLGTLATIWATVVLLGGFSTLVKKQDFWYVTVIAFVQSIGILGGYEDPAHQIFLHAPEALIKNREAEAWERRKSWWRRRGTQQQQQQQPRGRPRRRKQEEKARKWCDDIYGFQAFLGIAIYVAMWLGKVAAVATCIALSSKRLEKQDYLESGDNEKGDHQNIRWSLNIFYSLVLAQGIIFICMLLNPLTVYFVLKVRRKYKLFEPSGLKIIYRYKKYNYLGFIAGNVRATLNMHLVTFAKNLAVSNTIDDQLAGVRAMDRILMSVEFRSLALRRLRASMEPDDLGKLIDMLGFVSTMEEEQNIIRGHAARVVLKFSPDLMLQSYPQILYLISSSLLSTSNKRVCKCNMDSDLVWFGLHILDKLTDNPENCRKAKDDDSDLLLPMIIDLANLCGHGHGNSVRSNTTISDSWIEQEIIPLLQKEDDIPLPFINKIDQEIIVGMALNILSKLIAVPGEAGEKLRKETSKDLHFLTNTGMIMEHVEATRVISCLAVDKEARQDIGKLPEIIKKLKDCLLSKTPYVNMTKVAAKLLLMEYTSEELLNRVLLFIEENRTVEDQSFSLPISAFIEELYLDQLPQSVVQRLDLEDVLSSPRVNHSEAAAKALILLTTGCENNVEAFLKGINEKELNKIVNVLSSEDRDKEKRRALAQFEGRHHLDPETLRIVKKIILAEGEEQETSMHAKLLQNLRAYSGPKEFDEYMKLIDAALPKVLKAVVDAVATLEDPSSSENLNHVKDDLWIKQGKVLESFIGLAVQICRSPNATSDFSTALKDANLTVHTFIKKLKKILEVYRSPSTDFPCIRVSTLELITWMVEENSSYREILLKCGIYEELNEVARTARKLESFKLFHCGVGIPTDGPIECISSRATELQEKLQQSPNFEKRYICYGEHASSISVLIA >ORUFI05G02890.1 pep chromosome:OR_W1943:5:2119028:2120362:-1 gene:ORUFI05G02890 transcript:ORUFI05G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKQQLRFSVRRREPELVGPAAPTPRETKRLSDLDDHETLRVQVKFAFFYRAGGEHDAAGVVRRALGEALVPYYPLAGRVREVEERKLVVDCTGEGVLFVEADADVRLEELEEDGDGGGELRPPFPSMDQLLLDVEGSGGGVLGSPLLLVQVTRLLCGGFVLAVRVNHTMCDAIGAAQFLLAVGELARGLPAPTVRPAWCRELLDARSPPAPSFPHREFDVVPPPPPPGDLVTRTFTFTAADVAAIREGLPPRLRGTATTFEALTAFLWRARTAALELPDGEDARLVVIANLRGVAELNLPGGYYGNACVAPTAITTGEALLRRGSLGDAAEMVREAKAAVTAEYARSAADVLVLRGRPLLALSNVFVVSDHRHAGFHRLDLGWGEPAYGGGADVVFGLAFLVSVKNGGGGGESAVGALVSLPPPAMERFASEMEKLYTRPN >ORUFI05G02900.1 pep chromosome:OR_W1943:5:2122939:2125675:-1 gene:ORUFI05G02900 transcript:ORUFI05G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVESVVVVHNVAKRHNVGTLARSATAFGVAEVVVVGRRDVSAFGSHGATSHLRFRHFASLPLARAYLKDERGCDICGVEITHNALPVTAHPFRRSTAFLFGNEGTGLSQKECEICDFFVYIPQYGGGTASLNVTVAASIVLHHFGVWAGFPERGREGNKFVVADKPKGQSRGLYCTDSIEEVIEERKMRRENACDIFEENGSSEHQESNVLGTMLSD >ORUFI05G02910.1 pep chromosome:OR_W1943:5:2126500:2130475:-1 gene:ORUFI05G02910 transcript:ORUFI05G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLAAHPPLGPAAAAQEKAASRNKRKYRAEPPSAELGSFGLEYPLTADCVGFEFMSPEKAAIAAAAAAAAVAAEGVNLDLIPGSCDCKDIHPTAEELLECQRYVNWNDPNEALLEEILLKGLDATFDNAVGVIIAMGYSEPTARAAVLRAATQYNWRESLAGFGEAAVEVLKTEGDMLSEGASEEDMRKIEQAVLGGMIALVNQAQPFYTTGDVMFCLLMSDMNVANACAMDYNPASLPAVDTQVIAQPVVGNYEPNNPSSDLSVSITNPQTGVTFRGKLTPVPPNSYNTAKADSSATPANLNVPSSKPSVSGKAQSEIPNLKPKENSNPVPDHSEEQPFVAAATQPVKDDKPIPSKRGSSKRDSLHRQKLMSFDKSSRALGSKGSLRSSKHSSSGSTVLDRKCRSFSDSTTSSLKASSKVGKGFSASMKGPEVSPDLSFTGAALPSNPSFDAKLSSNLNPLPAASTDLSLSLPLPSSNDSPAPSSNHDANTEGMDSSSKINLSYDEDQKVWIPQDKKDEMVLILVQRQKELQAHMRDWTDWAQQKVMQVTRRLAKEKEELHSLRKEKEEADRLQEERHNLEESTRKKLLEMESAISRANTQLEKAESSARRREAENEQLRIQMEAAKRHALVSATNILELSKKDENSHKRSQHWESERALLQEDLAAQRNKLSQVHQQLHHAKEQKDQIQARWRQEEAGKIEAIARVSLEKKERDQIETSLRSEENFLHLKAENDTQRYKSQIRALEQQISQLKVSLDSLRVGAPPKWGADNRTNALRLSEGRKNGSAQILANIAAVPQDFDFDDIQRDRECVMCLSEEMSVVFLPCAHQVVCAKCNDLHDKQGMKECPSCRTPIQRRVCARLAGC >ORUFI05G02920.1 pep chromosome:OR_W1943:5:2137705:2146248:1 gene:ORUFI05G02920 transcript:ORUFI05G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTPTGAAADDLAAANANAKECVFCEMTRQHHPQCARRLPKRIILVRHGESQGNLDMSAYTTTPDYRIPLTPLGVDQARAAGKGILDVVSSAANWKVYFYVSPYERTRATLREIGAAFPRHRVIGAREECRVREQDFGNFQVEERMRAVKETRDRFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLEQDASCETNLVIVSHGLTSRVFLMKWFKWTVDQFERLNNFDNCEFRVMQLGPAGEYSLLIHHTKEELQRWGLSPEMIADQQWRASANRRSWADECSSFLATFFDHWNEDDNDDDDDGKEENGKTNKLLE >ORUFI05G02920.2 pep chromosome:OR_W1943:5:2137705:2146247:1 gene:ORUFI05G02920 transcript:ORUFI05G02920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTPTGAAADDLAAANANAKECVFCEMTRQHHPQCARRLPKRIILVRHGESQGNLDMSAYTTTPDYRIPLTPLGVDQARAAGKGILDVVSSAANWKVYFYVSPYERTRATLREIGAAFPRHRVIGAREECRVREQDFGNFQVEERMRAVKETRDRFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLEQDASCETNLVIVSHGLTSRVFLMKWFKWTVDQFERLNNFDNCEFRVMQLGPAGEYSLLIHHTKEELQRWGLSPEMIADQQWRASANRRSWADECSSFLATFFDHWNEDDNDDDDDGKEENGKTNKLLE >ORUFI05G02920.3 pep chromosome:OR_W1943:5:2137919:2146247:1 gene:ORUFI05G02920 transcript:ORUFI05G02920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTPTGAAADDLAAANANAKECVFCEMTRQHHPQCARRLPKRIILVRHGESQGNLDMSAYTTTPDYRIPLTPLGVDQARAAGKGILDVVSSAANWKVYFYVSPYERTRATLREIGAAFPRHRVIGAREECRVREQDFGNFQVEERMRAVKETRDRFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLEQDASCETNLVIVSHGLTSRVFLMKWFKWTVDQFERLNNFDNCEFRVMQLGPAGEYSLLIHHTKEELQRWGLSPEMIADQQWRASANRRSWADECSSFLATFFDHWNEDDNDDDDDGKEENGKTNKLLE >ORUFI05G02930.1 pep chromosome:OR_W1943:5:2150064:2151143:-1 gene:ORUFI05G02930 transcript:ORUFI05G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGFEGFEKRLELVFELPASGGEVARHGLRLLPAEALREVLDAAQCAVVSAAGNAAADAYVLSESSMFVYPGRVVLKTCGTTPLLRAVPVLLRAAAALRLRLRSCRYSRGEYLFPEAQPFPHAHFADEVAYLDAALPRELLRFRRSAVMPSSSSSSPGASHRWHVYSASSSASSSDADGAARLTAEVCMTELDRGMAARFYQRPGDGRTSHAIGDEMTAASGIGGVVDENDPRSLVCAYAFAPCGYSMNALDGARYATVHVTPEDGHCYASFECATDDAAAALAAIRRAVAAFRPATVSVSISHTSSAASSLCTPVAEALEPLGLACHCRAAEVFPGAGTVTYQTFTAPATKHEHDN >ORUFI05G02940.1 pep chromosome:OR_W1943:5:2158680:2159003:-1 gene:ORUFI05G02940 transcript:ORUFI05G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWQSQKIIRKGGAGGGGSTAAPATAAAAGGIGGGGAGEVAVQKVYHNLAPKPTFRKIDSIKEDINKKADRFIKMTRARLFNQTKSFRQPAGSPPATAAGRDGKLF >ORUFI05G02950.1 pep chromosome:OR_W1943:5:2169975:2170262:-1 gene:ORUFI05G02950 transcript:ORUFI05G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSDSEVRVQKVDKLDLVFNILTKPPVYGAGKGNNPPKAPAPRRPAATGGDHGSGGAVAGRKQPGVVSIEDINKRSENYIRDRKRMFFGQN >ORUFI05G02960.1 pep chromosome:OR_W1943:5:2173285:2173587:-1 gene:ORUFI05G02960 transcript:ORUFI05G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKNSSSGNGEVDVQKVEKIAPVYNLVTKPSVYGANRRATTVKARPATTAVAAGFAGSSNSRQPAPAPASWPHGSVVTKEFISKYIEDKKRQFNQGDE >ORUFI05G02970.1 pep chromosome:OR_W1943:5:2178606:2178842:-1 gene:ORUFI05G02970 transcript:ORUFI05G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTGAKNMSSDLPVEGVVRVRKVEKIQAYNLVTKPSATTSRISPITGQAESMAVTVVRVGAVAGKPDDIVPVARMNN >ORUFI05G02980.1 pep chromosome:OR_W1943:5:2181752:2181973:-1 gene:ORUFI05G02980 transcript:ORUFI05G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGDGGVLDGEVHVQRVDKIVVVMNGVAPTASEKKAGDGLMNIDEIAADFIRRKKEAFQRGNNKPAGQVD >ORUFI05G02990.1 pep chromosome:OR_W1943:5:2184929:2185174:-1 gene:ORUFI05G02990 transcript:ORUFI05G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVERTKEIKISRVERIDDEPETKTKVIINAARTPRTAGGGGAPPERAAAAGRNIDKASQAYIDRLKQKWAAEEAADHSS >ORUFI05G03000.1 pep chromosome:OR_W1943:5:2187215:2187460:-1 gene:ORUFI05G03000 transcript:ORUFI05G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEISVLRVDRIEPAKKTKTPPPPPTAVNSVQTPRMGGGAPAPAATSTAGRSSIDAEAEGFINRVRRQWAAESSSAATRR >ORUFI05G03010.1 pep chromosome:OR_W1943:5:2199790:2200026:-1 gene:ORUFI05G03010 transcript:ORUFI05G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWAAGSVGVVAGDGQAAAVCVDKVAKIGRQREESMASAAAATTRRWRWRWTGWRRSAATAWTSGLRSSSTRREGR >ORUFI05G03020.1 pep chromosome:OR_W1943:5:2202693:2207507:1 gene:ORUFI05G03020 transcript:ORUFI05G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAEQELLLHLKLAFLAREPPACVLSLARKAGGGSVTPHVQNFILESCTGNNAGGDQNCSYVTTILKRIIAEAELSSDIVIDGLYEEFGQFMSSKSNNSSLNRTEKIYKEISFISPTNDNKRVSLVARLSCSINMLEGDTGCSLWPSGLFLSEFILSYPKIFSRKCCFELGSGVGLVGVCLNYVSASKVILTDGDASTLENMKGNMEMNNLCVEQEGSQLPEENKNKVQCKYLSWEETSESDLWDCRPDLVLGADIIYDPVCVPHLIRVLSMLLRRGNNRGTNNVANKEETSNEFSSAATEVPVAYIATVIRNAETFNCFDKAAADAKLSVVNITNSEAPLSLLPYMLSYDRSSVQLLKITLLS >ORUFI05G03020.2 pep chromosome:OR_W1943:5:2202693:2207507:1 gene:ORUFI05G03020 transcript:ORUFI05G03020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAEQELLLHLKLAFLAREPPACVLSLARKAGGGSVTPHVQNFILESCTGNNAGGDQNCSYVTTILKRIIAEAELSSDIVIDGLYEEFGQFMSSKSMTINECSLWPSGLFLSEFILSYPKIFSRKCCFELGSGVGLVGVCLNYVSASKVILTDGDASTLENMKGNMEMNNLCVEQEGSQLPEENKNKVQCKYLSWEETSESDLWDCRPDLVLGADIIYDPVCVPHLIRVLSMLLRRGNNRGTNNVANKEETSNEFSSAATEVPVAYIATVIRNAETFNCFDKAAADAKLSVVNITNSEAPLSLLPYMLSYDRSSVQLLKITLLS >ORUFI05G03020.3 pep chromosome:OR_W1943:5:2202693:2207507:1 gene:ORUFI05G03020 transcript:ORUFI05G03020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAEQELLLHLKLAFLAREPPACVLSLARIIAEAELSSDIVIDGLYEEFGQFMSSKSMTINECSLWPSGLFLSEFILSYPKIFSRKCCFELGSGVGLVGVCLNYVSASKVILTDGDASTLENMKGNMEMNNLCVEQEGSQLPEENKNKVQCKYLSWEETSESDLWDCRPDLVLGADIIYDPVCVPHLIRVLSMLLRRGNNRGTNNVANKEETSNEFSSAATEVPVAYIATVIRNAETFNCFDKAAADAKLSVVNITNSEAPLSLLPYMLSYDRSSVQLLKITLLS >ORUFI05G03020.4 pep chromosome:OR_W1943:5:2202778:2207507:1 gene:ORUFI05G03020 transcript:ORUFI05G03020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNMEMNNLCVEQEGSQLPEENKNKVQCKYLSWEETSESDLWDCRPDLVLGADIIYDPVCVPHLIRVLSMLLRRGNNRGTNNVANKEETSNEFSSAATEVPVAYIATVIRNAETFNCFDKAAADAKLSVVNITNSEAPLSLLPYMLSYDRSSVQLLKITLLS >ORUFI05G03020.5 pep chromosome:OR_W1943:5:2202693:2207507:1 gene:ORUFI05G03020 transcript:ORUFI05G03020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAEQELLLHLKLAFLAREPPACVLSLARKAGGGSVTPHVQNFILESCTGNNAGGDQNCSYVTTILKRIIAEAELSSDIVIDGLYEEFGQFMSSKSLGSGVGLVGVCLNYVSASKVILTDGDASTLENMKGNMEMNNLCVEQEGSQLPEENKNKVQCKYLSWEETSESDLWDCRPDLVLGADIIYDPVCVPHLIRVLSMLLRRGNNRGTNNVANKEETSNEFSSAATEVPVAYIATVIRNAETFNCFDKAAADAKLSVVNITNSEAPLSLLPYMLSYDRSSVQLLKITLLS >ORUFI05G03020.6 pep chromosome:OR_W1943:5:2205449:2207507:1 gene:ORUFI05G03020 transcript:ORUFI05G03020.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRSKKQESIWFKIKGLGSGVGLVGVCLNYVSASKVILTDGDASTLENMKGNMEMNNLCVEQEGSQLPEENKNKVQCKYLSWEETSESDLWDCRPDLVLGADIIYDPVCVPHLIRVLSMLLRRGNNRGTNNVANKEETSNEFSSAATEVPVAYIATVIRNAETFNCFDKAAADAKLSVVNITNSEAPLSLLPYMLSYDRSSVQLLKITLLS >ORUFI05G03030.1 pep chromosome:OR_W1943:5:2208001:2210980:-1 gene:ORUFI05G03030 transcript:ORUFI05G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLSSLLAAASSASPVVLAPSKELHGVHLPMPLQGKRPPLQPQDAAVHIEAAAAAAAAAFQGQVMVPGHAHAGAPAAFQAFAVPDMAALIDVQADSHPDSVQLSLGIAEQCSRQEKILKFLRSGSDVKELDVSLLTELTGHQTLPINLGTQPYIPDDKLSALPISMASQPYIPDDKLSIYEFELDEPQQYLPENQLVIPDPLVEFFQSHGSALTIDQNRRILFNGNGDDMRYLLSIVLAFNMSKREISSCKTAYLVPYFERKRRSRASSHASNSKLASTAVDASKSTANGKSKSSSRKKQKSKNIKERDLYPKNYFHASEAFLSMLLDKDNSSSTIHSLKKAGPEISELLTKCSIGIAGTGLAVLLSVVCKMATGMKSPIAATRLVNTGVGLGLFWLSWAVNGLRDTITSIFRSPGDMNLKDEEVAVRIQKSMNDILFRAITILAITAFKFA >ORUFI05G03040.1 pep chromosome:OR_W1943:5:2214078:2217686:1 gene:ORUFI05G03040 transcript:ORUFI05G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWEDDDSAAAAAAAAAASTDVELLKRAWRNEKASPEILRFDSPLVSRVREQIQLLEETLDDFGESGVDDLVVSLYQMDLDRTLFLLRSYLRLRLQKIEKYMMHISKSEDLLSRLSQQEQRFAKSCTEMMEKHLEQSVLSKLPYGYDSVTRQSLSSTEDDMGIPFDLIIIPEPQLDTFVFCKTKSDVGAFQLDDIGEEVVDLVADDLYVLRYKSIKGLVESGQIDLI >ORUFI05G03050.1 pep chromosome:OR_W1943:5:2219334:2225938:1 gene:ORUFI05G03050 transcript:ORUFI05G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGDKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYIATRWYRAPELCGSFFSKVYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSPETISRIRNEKARRYLNSMRRKKPIPFTQKFPNADPLAMRLLERMLAFDPKDRPSAEEALADPYFKNIANVDREPSAQPITKLEFEFERRRITKEDIRELIYREILEYHPKMLREFLEGTESTGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPCVVYSDNRPQSTASVTEDLSRCLIRDNNLKSQDSASVGASRIPQGAAARPGKAVGSVLRYGNCSTSAAEQQYEQRRVVRNPAIAPNSSVPLGSSYPRRNQTCKSETGDVERIDSSQTGPPKPYVANKLPATVDGRSGHW >ORUFI05G03060.1 pep chromosome:OR_W1943:5:2228681:2229139:1 gene:ORUFI05G03060 transcript:ORUFI05G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLAKIGPWGGDGGDPQDIALGAGAAPHRLVSIEVRSGGAIDALSFTYAAIDGAKHAAGPWGGSGGEKHKVKLGEAERVTEVSGTLGPWGPHACVVRSLAFVTSAGKTHGPFGEEVGGAAFRVPVKGGGRVVGFFARSGWLLDAVGVYVHP >ORUFI05G03070.1 pep chromosome:OR_W1943:5:2229725:2232983:-1 gene:ORUFI05G03070 transcript:ORUFI05G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAADELALRRLDDATRDARRLQLETLRAILAENAGAAYLRRYIPSDGGAHHLLRSTDLAAAADEFRRLVPVTSYDDYAESIRRVADGDAAPDELSPRPLLCFFLSSGTSSLRPKLIPYLDSPGARAATAAVMQANSALVRRLFPPRPAVSKALWFLYAGEVRKTKGGYEAMAATAWGIRSSGIRGASPVMSACVSPAEVILGADHQQQMYCHLLCGLRRWDAVDCIRAPYAAALARALRLLQSKWRQLCDDLECGTVCADVVTDAAMRGAVQDGVLAGPCPELAGRVRRICERDDWRGVLRQLWPDARYISCVTTGTMEQYFPAIKHFAGEALPVLGTDYLASECAIGINLERTSPPEETTYVLLPRAAYFEFIPFDMDAAGRGAAAAEPVDIAGVEAGKTYELVATTFRGLYRYKVGDVVKIAGFHHSSPRLQFVTRAPPPQEHGEVLTERDVMAAMDTFQLMLKDGGEVIEFAAFIIDGDGGQRRRRCATIAVEVSNGSKLLDHERSAAFLRRCTAPLEGCLGGAYRLSRATGDVAPLEVAVVRPGTFDRLAEAAIRGGAPANQYKPPKIVRHRHLVDVLQSSVKRNSDLCSSEAEEMEAASSSGALPLPLPLLVHDLGTRSDDSQTQFSICNQALSTAAIELLRDFRCFETPQGWVLTLNPASLQTFLWRPQDSKKIDLPTAKQNFPRSGKCLLSGNPISSSSDCAVLVLDLDTPAMLVCKIGGSEWDSFSYELSMVSKNNKTLEVHMAKLQGIDAVAGKVYYTFSGDALGVIEFSLESCGELFLVVVFFLGHNLHRIAEVAVYKMDFSGPSEWCKVDGIGDSVFLLGGDFIGASNFGASCSASDHGLSGNCIYFVNNIAAEENFVHVIDLEKGTEEVLRPFRHKGYPLPLRPPFWLLTTHD >ORUFI05G03080.1 pep chromosome:OR_W1943:5:2234858:2235301:-1 gene:ORUFI05G03080 transcript:ORUFI05G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAPTLGVEPRGQPRADAAVLKKKQPRRIVAPRRCARISVATSVRLAAEVIDSEERQQQPTPLWPAGATRRRRLQETIAANSARCGTAPVCKNIDLPRRGFQLRGTLSAATAADRPACASYHPAEDAELPLNQKRTFEIHSHHHE >ORUFI05G03090.1 pep chromosome:OR_W1943:5:2236506:2244120:-1 gene:ORUFI05G03090 transcript:ORUFI05G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRLELAALCAYALGFYLVVIRKSLRLSHDYSGRLYGLRAGSLAGHLNDLSDAQWRNFRGNLPVLTIVMGAFLMLANMLRYCYSLKGRGASLLFSRYKYCVGLIWSFNLAVLILNRVYEGYSFSLFGQQLAFLDNHRVVLRMISFGCDYCWSLRSSQFDHKERALIGDKYTLLTYLCYLTYAPLYIAGPIVSYNAFAAQLDAPQKNYSVAQISWYGVRWILSFLLMEAMTHFFHYNAFVVSRLWQQLSPFEIFIISYGVLNFMWLKFFLIWRYFRFWSLVGGVETPENMPRYLYIPLGGAQRKLLSIWVVFTFVAVWHDLEWKLISWAWLTCLFFVPEILVKSLSNKFQPRSALGLFIHRELSAIAGAVTISCLMVANLVGYVVGPSGIKLLMSRMLGKDALPVLAFIFISFYVGVKKSYFPKEP >ORUFI05G03100.1 pep chromosome:OR_W1943:5:2244413:2248620:1 gene:ORUFI05G03100 transcript:ORUFI05G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRRRAREADPVPEPFTIDDEVSHLTRIRSEPSQKTLGAFYAGRKRGISTFGLLSGRESGRSGAGGFSRADCAYAARKHLPTKGPWCVDDMTSEAYVSQFSSDGSLLVAGFRGSRIRIYDADNGWKVHKDISCRSLQWTVSDIALSPDQQLLAYSSLSPTVHIVNVQSSAKESQANVTEIHDGLEFSNDDDDEYSFGIFSVKFSKDGQEIVVGNSDRSINVYDLRANKVSVRIRAHAADVNAVTFADESGNLLYSGSDDNLCKVWDRRCLAREKPAGVLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDVRKMSASIKGRQPRFFDWDYRWMSFPLEARHCKHPNDQSLATYRGHSVLRTLIRCYFSPVYSTGQRYIYTGSSDEYVYIYDVVTGDIVEKLSWHGSIIRDCTWHPYNLTIVSSSWDGYLARWEASGDEDDLSVLTENEQRTSPYRQSYTRHLLL >ORUFI05G03100.2 pep chromosome:OR_W1943:5:2244413:2248620:1 gene:ORUFI05G03100 transcript:ORUFI05G03100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRRRAREADPVPEPFTIDDEVSHLTRIRSEPSQKTLGAFYAGRKRGISTFGLLSGRESGRSGAGGFSRADCAYAARKHLPTKGPWCVDDMTSEAYVSQFSSDGSLLVAGFRGSRIRIYDADNGWKVHKDISCRSLQWTVSDIALSPDQQLLAYSSLSPTVHIVNVQSSAKESQANVTEIHDGLEFSNDDDDEYSFGIFSVKFSKDGQEIVVGNSDRSINVYDLRANKVSVRIRAHAADVNAVTFADESGNLLYSGSDDNLCKVWDRRCLAREKPAGVLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDVRKMSASIKGRQPRFFDWDYRWMSFPLEARHCKHPNDQSLATYRGHSVLRTLIRCYFSPVYSTGQRYIYTGSSDEYVYIYDVVTGDIVEKLSWHGSIIRDCTWHPYNLTIVSSSWDGYLARWEASGDEDDLSVLTENEQRTSPYRQSYTRHLLL >ORUFI05G03100.3 pep chromosome:OR_W1943:5:2244413:2248620:1 gene:ORUFI05G03100 transcript:ORUFI05G03100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRRRAREADPVPEPFTIDDEVSHLTRIRSEPSQKTLGAFYAGRKRGISTFGLLSGRESGRSGAGGFSRADCAYAARKHLPTKGPWCVDDMTSEAYVSQFSSDGSLLVAGFRAYSSLSPTVHIVNVQSSAKESQANVTEIHDGLEFSNDDDDEYSFGIFSVKFSKDGQEIVVGNSDRSINVYDLRANKVSVRIRAHAADVNAVTFADESGNLLYSGSDDNLCKVWDRRCLAREKPAGVLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDVRKMSASIKGRQPRFFDWDYRWMSFPLEARHCKHPNDQSLATYRGHSVLRTLIRCYFSPVYSTGQRYIYTGSSDEYVYIYDVVTGDIVEKLSWHGSIIRDCTWHPYNLTIVSSSWDGYLARWEASGDEDDLSVLTENEQRTSPYRQSYTRHLLL >ORUFI05G03110.1 pep chromosome:OR_W1943:5:2249802:2253705:-1 gene:ORUFI05G03110 transcript:ORUFI05G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKGSRRGKKAWRANISTDDIEDFFEKQTRDAHAGAAAIPSLPSDSLFFVDKPASAASTSSAADAAAADAAPKDIPVKRKIEKKREKVLYHESVLKRNPYVQPVPSSLTTKKDKKKSKKKESKETQEAKIVPMEDDSGDKILDIWGEDVKGDHKAKKRSTASVIPAVEVEAPGCSFNPPFEAHQDSLAQAVADEMRKIYTKELGPKPVPLIVPGEAITEEDKFFLDADDGDEAVEEGDEDQAADALAVQRKTKTKRVTRVELNKRARRKERLRAEAEAKKMENLSKEIDSLPDIINEIAKEDEEKEKRHIRRTVAKQERLKSAPPQEISGSLRKLKGCCNLARDRYKSIEKRGILAPSRKIRFVFLFHLKDKSRNFA >ORUFI05G03110.2 pep chromosome:OR_W1943:5:2249802:2253705:-1 gene:ORUFI05G03110 transcript:ORUFI05G03110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKGSRRGKKAWRANISTDDIEDFFEKQTRDAHAGAAAIPSLPSDSLFFVDKPASAASTSSAADAAAADAAPKDIPVKRKIEKKREKVLYHESVLKRNPYVQPVPSSLTTKKDKKKSKKKESKETQEAKIVPMEDDSGDKILDIWGEDVKGDHKAKKRSTASVIPAVEVEAPGCSFNPPFEAHQDSLAQAVADEMRKIYTKELGPKPVPLIVPGEAITEEDKFFLDADDGDEAVEEGDEDQAADALAVQRKTKTKRVTRVELNKRARRKERLRAEAEAKKMENLSKEIDSLPDIINEIAKEDEEKEKRHIRRTVAKQERLKSAPPRLGRHKFEPAPVQVLLTEEISGSLRKLKGCCNLARDRYKSIEKRGILAPSRKIRFVFLFHLKDKSRNFA >ORUFI05G03120.1 pep chromosome:OR_W1943:5:2256767:2266827:-1 gene:ORUFI05G03120 transcript:ORUFI05G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEAAAAAAVVAPPASVGGDEVVVGGKGRRGVKRRWRRRRRLSGMDAARAGRGRRTARMLLPGVTLIQELEDAVLKNQGPYMSYSELTALKEDRFNTSIQHRLTELEGLPSTRGEDLQMKCLLELYGLKLLDLQKKVRTDISAEYWLHKKCAHPDRQLFDWGMMRIRYPFTMYGIGDSFSMDADDINRKKRFSERISRLEEEEKNQAEIRKRKFFSEILNAAREYQLQVPASYKRKKQRNDGVLAWHVRARQRINRMEKSRLQVLKAGDQEAYLRMVEESKNERLKLLLGKTNELLEGIGKAVQRQKDAEHVSRPDGSELPKGSESDDCSQISGLKVESPDEESPSDVDADHHSSADHSKFNAGHRLDSTVHSIEEKVTEQPSALEGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTIALIAYLLEKKEVTGPHLIIAPKAVLPNWSNEFKTWAPSIGTILYDGRPDDRKALREKNFGQRQFNVLLTHYDLILKDLKFLKKNFEEWFNAPFACEVSLNDEEQLLIIHRLHQVLRPFLLRRKKDEVEKYLPVKTQVILKCDMSAWQKAYYEQVTSNGRVSLGSGLKSKALQNLSMQLRKCCNHPYLFVEHYNMYQRQEIVRSSGKFELLDRLLPKLQRAGHRVLLFSQMTKLLDILEVYLQIYQFKYMRLDGSTKTEERGRLLADFNKKDSEYFLFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKNEVRVFVLVSVGSIEEEILDRAKQKMGIDAKDRRALLQEILRRGTSSLGTDIPSEREINRLAARNDEEFWLFEKMDEERRQRENYKPRLMEGIEVPDWVFANDTLTEKIPADEPQNVLLTTKRRRKEVVYSDSFGDQWMKADDVVEETPRMAPRAKRSAYSSDVQEVDFSERRKRHKSLVNIADDASIPMWTPEKVRAGVSSYSKDENEDDAEDESTTSGLQGGSFTWKTLRRKRSSHFSNSSDSKGRSAF >ORUFI05G03130.1 pep chromosome:OR_W1943:5:2273167:2282943:1 gene:ORUFI05G03130 transcript:ORUFI05G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGKGAWVVPAPAYREVEGWEGAGDDSPGFRCGHSLTVVAPTKGHGPRLILFGGATAIEAGASSGMPGIRLAGVTNSVHSYDVDTRRWTRLHPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLNPDGDRPSARMYATASARTDGMLLLCGGRDASGMPLSDAYGLLMHTSGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSHDHDASSDLLRRCRHAAASVGTQIYIYGGLRGDILLDDFLVADNAPIQSEFTSSMYDRVPRAENQNRNHNFNSDSPTTNNRHEIASGFSTDKKSIDMLTQASAAEAEAVSAVWRAAQEASHASSEDSLSEGIGSESPLSETSPMPEDLDDGGSLEPDVKLHSRAVVVSKEAVGDLGCLVRQLSLDQFENESRRMHPSSNDQSYPAKKALNRQRSPQGLHKKVISFLLKPRNWRAPAERAFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGYPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTIEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNRLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPVNSPESSPERAMDATWMQELNIQRPPTPTRGRPQSASDRNSLAYI >ORUFI05G03130.2 pep chromosome:OR_W1943:5:2273167:2282943:1 gene:ORUFI05G03130 transcript:ORUFI05G03130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGKGAWVVPAPAYREVEGWEGAGDDSPGFRCGHSLTVVAPTKGHGPRLILFGGATAIEAGASSGMPGIRLAGVTNSVHSYDVDTRRWTRLHPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLNPDGDRPSARMYATASARTDGMLLLCGGRDASGMPLSDAYGLLMHTSGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSHDHDASSDLLRRCRHAAASVGTQIYIYGGLRGDILLDDFLVADNAPIQSEFTSSITDKKSIDMLTQASAAEAEAVSAVWRAAQEASHASSEDSLSEGIGSESPLSETSPMPEDLDDGGSLEPDVKLHSRAVVVSKEAVGDLGCLVRQLSLDQFENESRRMHPSSNDQSYPAKKALNRQRSPQGLHKKVISFLLKPRNWRAPAERAFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGYPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTIEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNRLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPVNSPESSPERAMDATWMQELNIQRPPTPTRGRPQSASDRNSLAYI >ORUFI05G03130.3 pep chromosome:OR_W1943:5:2273523:2282943:1 gene:ORUFI05G03130 transcript:ORUFI05G03130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGKGAWVVPAPAYREVEGWEGAGDDSPGFRLHPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLNPDGDRPSARMYATASARTDGMLLLCGGRDASGMPLSDAYGLLMHTSGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSHDHDASSDLLRRCRHAAASVGTQIYIYGGLRGDILLDDFLVADNAPIQSEFTSSMYDRVPRAENQNRNHNFNSDSPTTNNRHEIASGFSTDKKSIDMLTQASAAEAEAVSAVWRAAQEASHASSEDSLSEGIGSESPLSETSPMPEDLDDGGSLEPDVKLHSRAVVVSKEAVGDLGCLVRQLSLDQFENESRRMHPSSNDQSYPAKKALNRQRSPQGLHKKVISFLLKPRNWRAPAERAFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGYPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTIEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNRLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPVNSPESSPERAMDATWMQELNIQRPPTPTRGRPQSASDRNSLAYI >ORUFI05G03140.1 pep chromosome:OR_W1943:5:2293328:2298203:-1 gene:ORUFI05G03140 transcript:ORUFI05G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDLEGLTVHFPYAAIYPEQHAYMGELKRALDARGHALLEMPTGTGKTSALISLITSYSLASPSRPLRLIYCTRTVHEMEKTLAELRLLFAHLPAAASRSLLALGLSSRKNLCVHPQASAAAARDSVDTACRRLTASWVRDRAASDPDSTPLCDFFESFDRAAAAGDLASYMPPGVYTLADLRALGRDRGICPYFLARQMVKYANVVVYSYQYLIDPKVASIVSREMQKECVVVFDEAHNIDNVCIEALSVSIRKQTLEGRFKATDANRLRAEYNRLVDGLAQRGNLPISDAWLANPALPEDILKEAVPGNIRRAEHFLAVLRRLVRFLDGRLETENVENEMPVAFVASIHSQAGIDQKMLRFCYDRLHSLMLTLEITDTDEFMHIQTICDFATLIGTYTRGFSIIIEPYDERMPDIRDPVIQLSCHDASLAIQPVFERFQTVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMASAVPDGIVCFFVSYSYMDGIVNSWNEMGILQDIMQHKLVFIETPDVVETTLALDNYRKACDCGRGAIFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLHDAHLNLSTDMALHIAREFLRRMAQPYDKTGSGGNKTLLTEEDLQNMAQDAMEM >ORUFI05G03150.1 pep chromosome:OR_W1943:5:2302973:2307434:1 gene:ORUFI05G03150 transcript:ORUFI05G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAYDSCSQLVIKVSPFCRRLGSSPSPVAARLSFSGQSSRRRRLLRPPSRHLAAPRRNMDKLDGSARLMIVSDLDQTMIDHNDPKNLSLLRFQALWESEFSQDSLLVFSTGRSPISYRGLRTQKPLITPDITIMSVGTVIAYGEEMIHDVGWAEFLSNKWDRDIPERSQGPHKVSFFVDKEGAREVMDSLPETLNRRGLDVKIIFSSGEALDVLPQGAGKGQALLYLLKKFNSDGKPPNSTLVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWYEKNARGNPMMIHATERCAAGIMQAIGHFNLGPNVSPRDLEFPYPKLDAIKPADVVVKFYVLYEKWRQGEVQKAPFIIQYLKRITHPNGTTIHPSGRECSLHASIDALSSCYADKQGKKFRVWVDRIVASSIGTINWLVRFDKWEMEGNVRYCCLTTLLLTMKPETEDGFEITHIHKTWLEGYSAGNEHACIL >ORUFI05G03150.2 pep chromosome:OR_W1943:5:2302979:2307434:1 gene:ORUFI05G03150 transcript:ORUFI05G03150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALKIVSMQPERSQGPHKVSFFVDKEGAREVMDSLPETLNRRGLDVKIIFSSGEALDVLPQGAGKGQALLYLLKKFNSDGKPPNSTLVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWYEKNARGNPMMIHATERCAAGIMQAIGHFNLGPNVSPRDLEFPYPKLDAIKPADVVVKFYVLYEKWRQGEVQKAPFIIQYLKRITHPNGTTIHPSGRECSLHASIDALSSCYADKQGKKFRVWVDRIVASSIGTINWLVRFDKWEMEGNVRYCCLTTLLLTMKPETEDGFEITHIHKTWLEGYSAGNEHACIL >ORUFI05G03150.3 pep chromosome:OR_W1943:5:2302979:2307434:1 gene:ORUFI05G03150 transcript:ORUFI05G03150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSALKIVSMQPERSQGPHKVSFFVDKEGAREVMDSLPETLNRRGLDVKIIFSSGEALDVLPQGAGKGQALLYLLKKFNSDGKPPNSTLVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWYEKNARGNPMMIHATERCAAGIMQAIGHFNLGPNVSPRDLEFPYPKLDAIKPADVVVKFYVLYEKWRQGEVQKAPFIIQYLKRITVSTFLSYILRITQSSFVHKPLFLKSIIPFVDCYS >ORUFI05G03150.4 pep chromosome:OR_W1943:5:2303682:2307434:1 gene:ORUFI05G03150 transcript:ORUFI05G03150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSALKIVSMQPERSQGPHKVSFFVDKEGAREVMDSLPETLNRRGLDVKIIFSSGEALDVLPQGAGKGQALLYLLKKFNSDGKPPNSTLVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWYEKNARGNPMMIHATERCAAGIMQAIGHFNLGPNVSPRDLEFPYPKLDAIKPADVVVKFYVLYEKWRQGEVQKAPFIIQYLKRITHPNGTTIHPSGRECSLHASIDALSSCYADKQGKKFRVWVDRIVASSIGTINWLVRFDKWEMEGNVRYCCLTTLLLTMKPETEDGFEITHIHKTWLEGYSAGNEHACIL >ORUFI05G03150.5 pep chromosome:OR_W1943:5:2302973:2305351:1 gene:ORUFI05G03150 transcript:ORUFI05G03150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAYDSCSQLVIKVSPFCRRLGSSPSPVAARLSFSGQSSRRRRLLRPPSRHLAAPRRNMDKLDGSARLMIVSDLDQTMIDHNDPKNLSLLRFQALWESEFSQDSLLVFSTGRSPISYRGLRTQKPLITPDITIMSVGTVIAYGEEMIHDVGWAEFLSNKWDRDIVVEETAKFPKLKPQACSMNCLITNPK >ORUFI05G03160.1 pep chromosome:OR_W1943:5:2306904:2314877:-1 gene:ORUFI05G03160 transcript:ORUFI05G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMRASLVKIFKRFRTWVKIGGISSAVGSETKRQDQASVKPPANHFPFPLTTNSPLKSFFASPPPPPLSGAFAARDPPGAAAAAARGPYSRRRREGVAVLPPPRVAPPRRRVDYTTVFIFRLLMFVDNGLAAGMGLDLGWQQRYARFCGRIVVLSVLVLLLYPFLWVWTVIGTLWFSTARGCLPEEGQKWGFLIWLLFSYCGLACIACVAVGKWLSRRHALQQRAQQGIPVSEYGVLVDMIRVPDWAFEAVGLEMRGMGQDTAYHPGLYLTAAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALNNLRPSSEPDRPSASEVTAATMARYVRSSQPAGQSYLLRLQGLLLRQVVVRHGGGDDMASAENGASHVAAAVTAPATTGGVESELPSIVVDGGHQLPDR >ORUFI05G03160.2 pep chromosome:OR_W1943:5:2306904:2314877:-1 gene:ORUFI05G03160 transcript:ORUFI05G03160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMRASLIGGISSAVGSETKRQDQASVKPPANHFPFPLTTNSPLKSFFASPPPPPLSGAFAARDPPGAAAAAARGPYSRRRREGVAVLPPPRVAPPRRRVDYTTVFIFRLLMFVDNGLAAGMGLDLGWQQRYARFCGRIVVLSVLVLLLYPFLWVWTVIGTLWFSTARGCLPEEGQKWGFLIWLLFSYCGLACIACVAVGKWLSRRHALQQRAQQGIPVSEYGVLVDMIRVPDWAFEAVGLEMRGMGQDTAYHPGLYLTAAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALNNLRPSSEPDRPSASEVTAATMARYVRSSQPAGQSYLLRLQGLLLRQVVVRHGGGDDMASAENGASHVAAAVTAPATTGGVESELPSIVVDGGHQLPDR >ORUFI05G03170.1 pep chromosome:OR_W1943:5:2315007:2315546:-1 gene:ORUFI05G03170 transcript:ORUFI05G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLTSRPPAIISMDHADDDHRRRRGHVPPPPPPAAAEAEERTGDSEYDDAAAAVSSSSSLTTGEGSSVADDDDDDAESCSGGGGGGYGRKNGNGQYVVGGGEEEGVESAVDEKAGVMMTPVPWWCWAAEKAPVTAAKAKATDGGARRAPPPAAAVEDAGGHTAESNRLFWEACIAHGY >ORUFI05G03180.1 pep chromosome:OR_W1943:5:2318724:2324124:1 gene:ORUFI05G03180 transcript:ORUFI05G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVRSLRRNLLRAASSPLHPRCPLPPGSFAAGCGGEAAAAASARSALLPPPGAGGGWWRRRMMSTTKGRSMRSKVEKRMARETGRTQRELRRAVKLRKKLMTDDERLIYSLRRAKKKVALLLQKLKKYELPDLPAPRHDPELLTAEQLQAYKKIGFRNRNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMAAMLTRLSGGIVINIHNTKTIIMFRGRNYRQPKNLIPLNTLTKRKALFKARFEQALESQKLNIKKIETQLRRKGINPEDPVAMASIQRVASTFFRAIDEQQGTPYVFRGDTQPTAGTTEIKEPHDQQSEDSDQEELDRFIAEIETAAEQQWEEEEAAEKEESSRLRYWDREEGSERRGFNRSYDNPGNEYRDQGRYTRDNNRRTADSRRWDDDSEIDVSGEEWDSDDDKDNVFDNDVDAPDEHPRRFESMRSEKSRSDRSRNYTSRGSRSSIEKPRNSATASGRSVFRESEDDELDTEDDELWGPDLKEERNLRAPKVNFANYHSGTSSEEDTDDNWKQDSRTGNTRKNTDESWDSD >ORUFI05G03190.1 pep chromosome:OR_W1943:5:2322625:2325264:-1 gene:ORUFI05G03190 transcript:ORUFI05G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKATPVKASKNLQLQKQSPTNLKETNGHVCKKEVVNGECPVRDVKCISTWICKNLACKAVVTSEDSFCKRCSCCICHQFDDNKDPSLWLVCASENDDKNCCGSSCHIECALQHKRVGCFNLGNIIQLDGSYSCASCGKVSGILGYWKRQLVIAKDARRVDMLCHRIYLSYRLLGGTTRFKELHGIIEDAKAKLESEVGPLDGMSAKMARGIVSRLPAGSDLQKLCSLAIERADELLSSPDLHLQDSLPAACRFRFVEITSSSIVIILKETPLPSSDTIRGYKLWYWKSREEPSMEEPVVLSKDQRKVLVFNLAPCTQYSFRIISFTDDGILGHSESKCYTGSKELLFKRTTQNVAGADAHTHRREKTQAFKSTGFKIRDVGKILRQAWTEEGRFEGFCEDMHEASCDRSVTDVEQTENSEQGQLLSGACRKLQFSTFSVPDLNVEAPVPMDISPDKCYNSKIVPVRSNDSGGSETCAVGRSAEAEPPAVESRPEGCEQDGASTICREKQLARPRELDEDFEYCVQKIRMLECKGHIDNDFRMKFLTWFSLRSTENDRRVVTTFIKTLINEPSGLAEQLVDSFGEAINCKRQRNGFCNELWHDDKGQ >ORUFI05G03200.1 pep chromosome:OR_W1943:5:2328056:2333731:-1 gene:ORUFI05G03200 transcript:ORUFI05G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRVKTLFLSLSRFVFLLHTQRQRHHHLRRSLHCAAGAMSLLSNSLLLQGRLRHAAASAVAAAAMGRRGVATSTEEYNRRNYANNISEYNTVFGHYLLRDAYDDMMLDGVQPVRDTFHNLIVGAMKGSRLQDAFYFRDQMKEMGLQPDVNLYNFLISTCGKSKNSDAAIMLLEEMKAHGVKLKGETYTCLLNALAATGRTDQVYAIVRDMTAAGLGLNKFCYAGLITAFKNKAPTTEETMTKIVEFVQQSKGWKNVERVSKDSSENIMMNVSEEELYNLPTAEYVHRQAFVYKQMTIYHVAIHACADLKSKETLEVLLDMLIKDGFTYDAFIAMQAMRCYLQCGDIDSAVKIFEEYTSSKSPPAELYVTLAEGAMIGHTPRGMQLAQETIEKMTSRNFFLNARMGTDLLLAASGEKTGGYTIANYVWDLMQNRRITPSLPAVEAYYKGLKEREIPSDDPRLMNVSRVLDNLSIRFGPRRNSNAQA >ORUFI05G03200.2 pep chromosome:OR_W1943:5:2328056:2333731:-1 gene:ORUFI05G03200 transcript:ORUFI05G03200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRVKTLFLSLSRFVFLLHTQRQRHHHLRRSLHCAAGAMSLLSNSLLLQGRLRHAAASAVAAAAMGRRGVATSTEEYNRRNYANNISEYNTVFGSLTAQRRHVSRHYLLRDAYDDMMLDGVQPVRDTFHNLIVGAMKGSRLQDAFYFRDQMKEMGLQPDVNLYNFLISTCGKSKNSDAAIMLLEEMKAHGVKLKGETYTCLLNALAATGRTDQVYAIVRDMTAAGLGLNKFCYAGLITAFKNKAPTTEETMTKIVEFVQQSKGWKNVERVSKDSSENIMMNVSEEELYNLPTAEYVHRQAFVYKQMTIYHVAIHACADLKSKETLEVLLDMLIKDGFTYDAFIAMQAMRCYLQCGDIDSAVKIFEEYTSSKSPPAELYVTLAEGAMIGHTPRGMQLAQETIEKMTSRNFFLNARMGTDLLLAASGEKTGGYTIANYVWDLMQNRRITPSLPAVEAYYKGLKEREIPSDDPRLMNVSRVLDNLSIRFGPRRNSNAQA >ORUFI05G03210.1 pep chromosome:OR_W1943:5:2335395:2339666:-1 gene:ORUFI05G03210 transcript:ORUFI05G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVETRSRAGRGRPAGSRSSPVRRRDGGVAASPGSQSAASTERRKKNRGSKRNLSDGSGEDGRPGKKINLEEEELEEERMPLEDEASACSSCSSPLCEPYIPRVVIGCNAKGKEIYKPIECDELRALDLWEAKYQAKRDRQMNLCTLKPCIPPTCLVDPKLLHIRESSTETVLRAAKFVMGLSSSVDGNPLSQCSGFIVDWDDKSKTGIIMTSALLICKKSSHTDDWKYASQYATDAQVVVHFVDGTTVEGQFLYCQEHYKIAFYKIVLDKPTHLPSFNKGVKWAEEVFILGRDGSSHLRISHGRVQYLNAHVNERHHYMYIHGVDAASEYYNGGPVIDFRGDVVGMYNLSTRGSFIPSNILLKCLQLWKKFHYIPRPHLQLKLWGIKFLEPAHIEIISCKCNIDDGLIVEEVSIGSCAERLGVRVGDIIECFNGKCISSTVELENMLLQILEDHFDEGNSLDSTIDIEIGVFHTRKGVRSTLNLTTNVSDKGEVVAIYPSPEKRFIQVVQLTKQIQVLPILPLAKRGHPPEYPPAGPSFVLN >ORUFI05G03220.1 pep chromosome:OR_W1943:5:2342362:2344658:1 gene:ORUFI05G03220 transcript:ORUFI05G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMVLEIYIYMVFGMSIVSSFSRRKQRFRMTLFSVLSSQLTQMIASSILSASSYWMRRRKVIVEAWWTMEALRRARRRDMQNCCVSCMDVSGTLG >ORUFI05G03220.2 pep chromosome:OR_W1943:5:2341595:2344658:1 gene:ORUFI05G03220 transcript:ORUFI05G03220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSHHAIALSRIDLLPTAHAHSSFPTPRSLAFPFSLCRLLFLTQRSPPPSPILRRAVAPTPPPNPNRHRQGH >ORUFI05G03230.1 pep chromosome:OR_W1943:5:2347179:2357361:-1 gene:ORUFI05G03230 transcript:ORUFI05G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAAGAEEASGSVKTRSSARRRRSVNRDGGSGVVASLASQSAARAERSERRSAKRSATLADQSSEGERAAKKMDLGVHEEEEGVKVAPPSASASVAEEQQGGKGGEDDGFGGSAGGGEGGALALPSASGVEEGIPVEDEASSCCSSPLRKPYIPRVVIGHNAMGREIYKPIGGEDFRALDPWEAKYQAKRDCQMKLPTLLPRVPDTCLTDRNLLHIRESSTKTVLHAAKFVVGLSSTIDGKSLSKSSGFMIDWDEKSKTGTILTSALLICKQSPSLDDWKSANQYASNAKVVVRFVDGTTVEGHFLYCQEHYNLAFYKIVVDRSIHLPSFNEGVKWAEEVFILGRDENSYLRTSYGRVQYLNPHMNERRHYVYIDGFSAPPEYYNGGPVIDLRGDVVGMSIRSTRGSFIPSNIILKCLQMWRKFQCIPRPHLQMKFWGMKFLNPAHLEVISCKCNIDEGLIVKEVSEGSIAEKLGVRVGDVIKFFNGKHISSTVELELLLLQISEDHFYNGNGLDSKIDIVWCLEKSEVDSTCIRQRRSCYKRQFSNLSHRSNIVIVIVIVIIIRFRA >ORUFI05G03240.1 pep chromosome:OR_W1943:5:2359387:2361283:-1 gene:ORUFI05G03240 transcript:ORUFI05G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTIFSNPLEKWIFHKTKESVFLVDFVPKKDADGKIEEILNRLETVPEDKRQKLTFEDRCCTGFVVDDKSQELKILCSAHCLDHLFTSENPISAQEIGDLYDINIICDHYECSFRKDKTPDKIRYYSRANIVQIDCDKDLILLNVSKKNVLAYGKNGRACRHSHPALVPSKRHLEPMEKVLMVSWPPFRPRTVALGKVSHCDREYADTSKTNLVGYTMTLVEVNIQSEPGGSGAPLLDADANFTGVLHGGADGCSWFISLPDICQALTSWGILTQ >ORUFI05G03250.1 pep chromosome:OR_W1943:5:2374667:2375755:1 gene:ORUFI05G03250 transcript:ORUFI05G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATANPHTGAAFSVRSGGDSIGGRGLFLLQFSTPWQVIISETRSSGFKIDWDEKSKTGTILTSALLFCKQSPSLNDWRSANQYASDAEVSLHRKMALLILPLSLM >ORUFI05G03260.1 pep chromosome:OR_W1943:5:2384138:2388254:-1 gene:ORUFI05G03260 transcript:ORUFI05G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSTGPRFRRRKSNAPRATSRKPDSGVPVAADADAAKEGDAPGSGSTTRVTRSRAARCRPGSQEPLPPPSERSRSRRTTLATDTAVRERKRIRANLIEEEKPLTKMEEVGGEEISSAPSSPLCEPYLPDDQEIDFDTIDLYKKKSKEFHKKRAHQLSFPTLNTDVSSSCLLHPKLLDIRESATKSILGAAKYVLGLSSCIDGNPLARCSGFLIDWNETTKVGVVITSADIICSASSLDRWSGDDEYSYSAKVFVHLLDDTTVEGRLIYAQTHYNLALFEIIVESPVQIPNFTFNLNYAQQIFVLGRDENLCLSISHGKVQYCNPFLCGRHHYMYVDTATPKCALGGLVIDFEGSTVGIACQTHAFIPSSILIKCLHLWRKIQCIPRPQLGVKLSAIKFLDLPHIEMILRKIHICDGLIVEEVSSGSTIEKLGVRVGDIIQHLNGEWVSDTIQLEEMLLRLSEDHFDKGNGLNSTLDIKVGLFHIRNGARNTINLTTVVSENGEVVKRGSFAVSVPTREEISAMYALQEATTGM >ORUFI05G03270.1 pep chromosome:OR_W1943:5:2403557:2411311:-1 gene:ORUFI05G03270 transcript:ORUFI05G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAGDGGGDGETQALEGGTTPPLGSPVSDDGDATPSEDGVLYGETQALDDAETQMVDDGLGEEEDGVAVDWGETQLVEGSEEEEEEEECGGGIDDQEDTQLVEDSEENEGDGEDAGGACEGEDAGAAAECDNNAGDLVRTQLVEEHKEGEDCGNDGAGDGLETQFVEECPEEEEKVNNSSDDEDAGEWGKTQLVEDSDEERDDDGDDELSVDTQVLSDDEGLSNDEREVIFDMGGSNTRVKGALEDYTKNLVDSDASTDEEGDTVCEASERKCEALTVNSLQQGKEQDSIHGAHLLRKVVDNSTSFSTSLGDHPDCGIDSDSHGYVQNHDKDGTKSRSRCSTAKKLFADTIVKESENNGRCFAGLSYIESQEPGDLSQANALELVDRLISISGMSSQEPTPQKLDSAKPHVSNKRGTLMLAEKVDCNRSSNGMAEIFAWVDSREDDGGGEFFSKNKDILLHKSADRGKSKSHFPRAKKRPTKIEPGEIGDCKKCKNTKLSGKFEALPLSDLMLSSDVKGKRASANRTKKNLLKDLDLDDLSNGKYLEVQQEKESVALHDVGPDTQIALEAMEALAQCSPAKNLSAKDKPQLRNGKSKKAKGHSKNSPQKRTGSIQEGVTTRSKRRKLTELTPEPEKQMYKGSILQGNPGDLKAKTRDKEAKSVPAKSNVLKISRDGDKCHGAPVARRTRHFCRNNPGEHTELCSNKHSKRVMNLRGGVSKVGKVQNDHIANEPGQPMISERTAKSTSIYVEKESTEHTCATDAQNLQLRRDASSQHASENTAQGYEPCRSKPTIEDACRENSSHFPKQRRTQTTIVQPKDPAATQSGTNHETPQDEPRPSKKRRVFIRSVSDYVKYAKREPSNGRSTSLLSTIIKKSSAASHILNSSLSADSKTSGFSSSGNKHKGSHVEDASKSPRSNSDIHSSVLKTPSKSANELSPIFSPLNPSKSSSRSLSKPSVARELLTLDPDSNPSNCQHKDSRRKKKNTNFSILFSHHLHGDVIKRQKKILARLGVSEALSIPDATHFVADSFFRTKKMLEAIALGKLVVTSMWLENCGQAGCFIDEKKYILRDAKKEREIGFSMPTSLAAACKHPLLLGKRVYVTLNVKPSREVVIGLVLASSGQPLERIGRSITKEVPDDLLVISCEDDYETCSPLLKRGASVFESELLLNGIVIQKLEYERHRLFSDCVKQTRSTRWLKDTSHGSSTVASPEGSMADHPPTVFLNELEKWVSVKSRESILSVRFKPHAAEVKRTLDALEVVPALKRIERSKVVGYATCFVVAENGNSLYLLTCAHTIDHVYTATKEISVQDINRLFDTEVVCDHQENNGLGGERKFTEAIVTRVDCKKDILLVLVDKSKLLNLKGKQCRFQHPPLVASQNLPCSLE >ORUFI05G03270.2 pep chromosome:OR_W1943:5:2402789:2403550:-1 gene:ORUFI05G03270 transcript:ORUFI05G03270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISWPPCMNRATSVGRISHPSRHYDDVSNTNEYGYNMNLIEVDMMRVAQEHRCLIAEPILLVYFKEVMAQGFVFLCYQSRFVNGQFCTASCVNPLIHSLQLLSSCKRFSGDSFEGASPPGL >ORUFI05G03280.1 pep chromosome:OR_W1943:5:2411610:2416423:1 gene:ORUFI05G03280 transcript:ORUFI05G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEKRSRKEKRKEARSEKQKLRFLSWVQHQGGKSKSKKPVEPSVESSPVEEKKPKKEPTNVKKRRRDTEAKPKSKSKFQEYLEMERGGAVSREEDLETERRLAKKLKVKKGKLGGPDDGMDSLFADLGFEGDFGSDDEAKEFDWNTVDDTEVDKKKGKKKKKKVKNDATEELFDGGVSEENDEAVQQSENEVDKKKGKKKKKKVKNDPTEELYDGGVGEENDEAVQQSENEEPNVVELPMASKAKYVPPSLRATSNSESEEIAQIRRRVRGLLNRLSESNVESITQEIAALFSVLSISSKKYRLPGMASLVGIDFSAKILASLAKLFEDEYSKEDGLSLRNLTLLLCYLCIFDVISSDLVYDLLSVLSKRLTELDVSTVLTILQCCGMKLRGDDPSAMKDFVLSIQNSVNQLKLKAHPAGQDNGQAEMHSKRMEFMLETICDIKNNKKRPKEDPAHHTRIKKWLQKLKAEDVLLRGLTWNKLLDPDKKGQWWLSGDVSSTVGNIEEVAAVISKEVVEAQKLVQLAAAQRMNTDIRRAIFCIIMSAEDYVDAFEKLLRLDLSGKQDREIIRVIVDCCLQEKMFNKYYAVLASKLCSHDKNHKFSLQYCIWDHFKELDNMELNRSMNLAKLVAEMLANFTLSLATLKVVKNLAVEVIPKRTIHFRMLFETLLQKDDAVVWNVFTRIAGLPELEILRDGLVLFIKQHVIAKDTGKDLASKFKIAKKALDNTAGVLM >ORUFI05G03280.2 pep chromosome:OR_W1943:5:2411916:2416423:1 gene:ORUFI05G03280 transcript:ORUFI05G03280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEKRSRKEKRKEARSEKQKLRFLSWVQHQGGKSKSKKPVEPSVESSPVEEKKPKKEPTNVKKRRRDTEAKPKSKSKFQEYLEMERGGAVSREEDLETERRLAKKLKVKKGKLGGPDDGMDSLFADLGFEGDFGSDDEAKEFDWNTVDDTEVDKKKGKKKKKKVKNDATEELFDGGVSEENDEAVQQSENEVDKKKGKKKKKKVKNDPTEELYDGGVGEENDEAVQQSENEEPNVVELPMASKAKYVPPSLRATSNSESEEIAQIRRRVRGLLNRLSESNVESITQEIAALFSVLSISSKKYRLPGMASLVGIDFSAKILASLAKLFEDEYSKEDGLSLRNLTLLLCYLCIFDVISSDLVYDLLSVLSKRLTELDVSTVLTILQCCGMKLRGDDPSAMKDFVLSIQNSVNQLKLKAHPAGQDNGQAEMHSKRMEFMLETICDIKNNKKRPKEDPAHHTRIKKWLQKLKAEDVLLRGLTWNKLLDPDKKGQWWLSGDVSSTVGNIEEVAAVISKEVVEAQKLVQLAAAQRMNTDIRRAIFCIIMSAEDYVDAFEKLLRLDLSGKQDREIIRVIVDCCLQEKMFNKYYAVLASKLCSHDKNHKFSLQYCIWDHFKELDNMELNRSMNLAKLVAEMLANFTLSLATLKVVKNLAVEVIPKRTIHFRMLFETLLQKDDAVVWNVFTRIAGLPELEILRDGLVLFIKQHVIAKDTGKDLASKFKIAKKALDNTAGVLM >ORUFI05G03290.1 pep chromosome:OR_W1943:5:2416379:2417806:-1 gene:ORUFI05G03290 transcript:ORUFI05G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASGNVPSSSLELHSNLHNNIAYHFISQLQNFIAEMGCVESKLVATIKYRRVEKDLDKKVAEALKERTKSKKKTFRSVNSITMGLPRFKEGLRNIRDVFDQYDEDSNGTIDNEELRNCLNKLQVQMSEEEIDNIHRYCDIDNRKGIQFPEFVVFLCLMYLLFGSDVTYRVSEFESARLNYVFDELIDAFLFFDKDGNGKMKRKDVTQRMNEATHQERTPSHITSQLFKEMDLNRNGHVNLKEFLLSIIRWAGVETDDDEARNETSP >ORUFI05G03300.1 pep chromosome:OR_W1943:5:2418432:2423094:-1 gene:ORUFI05G03300 transcript:ORUFI05G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDTSGDGTTSTVLFIGELMKQSERCIDEGTHPRFLVDGFDVAKRATLEFLEKFKTSVVMGDEPDREILKMIARTTLRTKLYEGLADQLTDIVVNAVLCIRKPDEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSNAEQREKMVAAERRQVDERVKRIIELKNKVCAGNDKNFVVINQKGIDPPSLDLLARAGIIGLRRAKRRNMERLVLACGGEAVDSVDDLTEDCLGWAGLVYEHTLGEEKYTFIENVKNPRSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTVEDEAVVLGAGAFEVAAKKHLIDNVKKTVKGRAQLGVEAFADALLVIPKTLAENSGLDTQDVIVSLQNEHDRGLVVGLNHHSGEPIDPQMEGIFDNYSVKRQIINSGPIIASQLLLVDEVIRAGRNMRKPT >ORUFI05G03310.1 pep chromosome:OR_W1943:5:2425473:2433561:1 gene:ORUFI05G03310 transcript:ORUFI05G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAALFASPAFPFPSTSSVSSCSCRFRPAVARAPRHQPPGRRVTRRFDEVEGVSKRRRGIGGGGGGGSQASSSSSRKDRGLAVDFKESQVSDFEDLEEDKFLNAVVKVYCTHIAPDYGLPWQKQRQHASTGRQVLARGIECDLALLSVENEEFWRGTEPLQLGRLPCLQDSVTVVGYPLGGDTISVTKGVVSRIEIIKLTLFCFGIAQVTPYAHGTSDLLGIQIDAAINPGNSGGPAFNDHGECIGVAFQVFRSDEAENIGYVIPTTVVSHFLNDYQKNGKYTGFPCLGVLLQKLENPALRESLKVPSSEGVLVRRVEPTAPASKVLRKGDVITSFDGVAVGCEGTVPFRSTERIAFRYLTSQKYAGDVAQLGIIRAGNTMKVQTVLQPRKHLVPFHVEGGQPSYLIVAGLVFTPLTEPFIEEECEETLGVRSTCLQLKLLAKARYSLSTFEGEQIVIVSQVIKLNGTVVKNIHHLAHLVDNCKDKFLTFEFEDDFLVVLDREEATTASSDILKEHAIPSVRSSDLSEPYVDTEQETQKPNDDFGDSPVTNYEMGVDCLLWA >ORUFI05G03320.1 pep chromosome:OR_W1943:5:2434046:2437604:1 gene:ORUFI05G03320 transcript:ORUFI05G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDASSGLLNYARFSGLLASCGREGDLRLGAALHAAVVKNPAHFRLCASRPWLRHVLVAWNSLVSMYARCGRREDAARVFDEMRVRDAVSWNSLIAASRGAGDALALFRRMLRSDARACDRATFTTVLSECARAGAASLPACAMVHGLVVSCGFEAEVPVGNALVTAYFECGSPASAERVFHGMAEKNVITWTAMISGMARAELYKESFSLFGQMIRTVDANNATYSCALLACARSLAAREGQQVHGLVVKAGFEDDLHVESGLMDVYSKCGLMEDALTVFRSCREPDEVFLTVILVGFAQNGLEEKAFELFAEMVGAGNEIDENTVSAVLGAFGASAPFALGKQIHALVIKRCFGVNTYVCNGLVNMYSKCGELRESVRVFDEMPSKNSVSWNSIIAAFARHGHGSEVYQLFESMKADGAKPTDVTFLSLLHACSHVGSAKKGLEILNSMSSQYGVLPRMEHYACVVDMLGRAGLLDDAKSFIEDGPFTDNALLWQALMGACSFHGNSEVGKYAAEKLLLLDPSCTAAYVLLSNIYSSEGRWDDRAKVMKRMSEMGLRKDTGKSWIELEKEVHSFVVRSTSHPNSAAAVQYRKKYAPSKSKP >ORUFI05G03330.1 pep chromosome:OR_W1943:5:2436432:2440766:-1 gene:ORUFI05G03330 transcript:ORUFI05G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAATGGVDVLGDDLLREILLRLPSPAALVRAALAGRSFLRAARDAGFLRRFRARHHPSPPRLLGFLFVVPGTTPPVLLSAASASGNPPAGDFSLSFLPGGGWGSAEWELLDCRDGLLLLLHNHGADMKLAVADPSRRACYPFDLPTADIPVLYGLAAAKSASFRVVCIARSLDSTTLRALVLSSDEPYHWEEVNAIPCEEEASIVYAARPMKAKTSLYWKLDDGTRMAAFDAAPGKMKLSILDLPPFPATLAFDVIDTEDDDDGLRVLAMSDDFCLETWKLSSAATAAAIDDDEEEETPWTLEDTSVRFYRALESMLGERKLSDRHRRRRRRGYEFEIVGVVDGFFFFRQSGAIGAGLLAGDDLPVHHGVAAVVPESYRLGVPCPCGTRAILRPRHMAPTAAAAAAVVAALSDDLLREVFLLLPTAADLVRASLACKPFLRAARNAGFLRRFRRRHGPLLLGCLLHHADLPAPVFVPSSPAAAAAAARADGDFSLSFLPHGGWLGGGGGAPWRFLDCRNGRVLLKNRGTQELAVADPLAWSCVSLPPPPAARAVGYGLVADDGDSSVFRVFCIAQLGDGDGGVSSETRAMVLSPGELSWAEVAVHPHRLNLAAASRAMQANGSLYWTLEGGASVVALNTATNEFSVLELPPPLRQLSFDVVEKGEEEDGGGGGGPLYLLTMRGFCVEVWAGAGDGGAGELTWTRVEKSVRFHKAMAMLQHDSVEMYHHGLDVVGVVAGVLFLRHWNCLLSIDLETMKLRKLSDEDCSSASIYPYAMPWPPSNVGGDTMLNLQLMLAYVSRHPHPATFAGVNWPPGSCSCYPPVAGSLPSSCSPTMLTTSMVRRRRATPEEDDS >ORUFI05G03340.1 pep chromosome:OR_W1943:5:2442451:2457343:-1 gene:ORUFI05G03340 transcript:ORUFI05G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAAEEDDVPRLRNTRSRATRRQPGLQELLRTVRGRGNEQDSKGRSHCSRSAPASPSSERDQRMKRRRKMIEADHSCEDGEEKVPEKMNEGEEEEEVSSAPSSPLHMPLLPFKFSGYDSDGQEILEPPDMDIVDAYQKRREEFEEKRARQLSLPTLNSSKSLCLSDPKLLDIYEPAKKAVLGAAKFILGLSSSIGGKPLAHCSGFLVDWDETRKKGIVMTTSDIICSKSSLDCWSGEDEYSPNAEVYVHLLDDTTVEARLIYSQTHYNLALFEIALETPGELPTFSSRVDRAQHIFMLGRDENLYPRISHGRVLYSNPYLCDRHHYMYVSSAIPEFGLGGLVIDLKGKVVGMTGLIHAFIPSSVILKCLKLWHKFRCIPRPQLGVKLWAIKFLDLPHIEMILRKTHICDGLIVKEVSEGSILEKLGVRIGDIIECLNGERIYDTIQLEELLLELCEGHFDNGNGLNSTLEMAVVLFHIRKGAQSIKKLTANVSENGEVVKRGVFFVAGPTCEEIPNLAPLGKGALREEGWAGDSHIPTADGASASVPLDQVGPGDPQIPTAEETSTSRPLDQVEPVYSYHIEAKLCYRPPCADFSISAIAKQGAFSILLGKNRLSEGSQPQACTGSQDHNHRVT >ORUFI05G03340.2 pep chromosome:OR_W1943:5:2442451:2457343:-1 gene:ORUFI05G03340 transcript:ORUFI05G03340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAAEEDDVPRLRNTRSRATRRQPGLQELLRTVRGRGNEQDSKGRSHCSRSAPASPSSERDQRMKRRRKMIEADHSCEDGEEKVPEKMNEGEEEEEVSSAPSSPLHMPLLPFKFSGYDSDGQEILEPPDMDIVDAYQKRREEFEEKRARQLSLPTLNSSKSLCLSDPKLLDIYEPAKKAVLGAAKFILGLSSSIGGKPLAHCSGFLVDWDETRKKGIVMTTSDIICSKSSLDCWSGEDEYSPNAEVYVHLLDDTTVEARLIYSQTHYNLALFEIALETPGELPTFSSRVDRAQHIFMLGRDENLYPRISHGRVLYSNPYLCDRHHYMYVSSAIPEFGLGGLVIDLKGKVVGMTGLIHAFIPSSVILKCLKLWHKFRCIPRPQLGVKLWAIKFLDLPHIEMILRKTHICDGLIVKEVSEGSILEKLGVRIGDIIECLNGERIYDTIQLEELLLELCEGHFDNGNGLNSTLEMAVVLFHIRKGAQSIKKLTANVSENGEVVKRGVFFVAGPTCEEIPNLAPLGKGALREEGWAGDSHIPTADGASASVPLDQVGPGDPQIPTAEETSTSRPLDQVEPGKNRLSEGSQPQACTGSQDHNHRVT >ORUFI05G03340.3 pep chromosome:OR_W1943:5:2449170:2457343:-1 gene:ORUFI05G03340 transcript:ORUFI05G03340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAAEEDDVPRLRNTRSRATRRQPGLQELLRTVRGRGNEQDSKGRSHCSRSAPASPSSERDQRMKRRRKMIEADHSCEDGEEKVPEKMNEGEEEEEVSSAPSSPLHMPLLPFKFSGYDSDGQEILEPPDMDIVDAYQKRREEFEEKRARQLSLPTLNSSKSLCLSDPKLLDIYEPAKKAVLGAAKFILGLSSSIGGKPLAHCSGFLVDWDETRKKGIVMTTSDIICSKSSLDCWSGEDEYSPNAEVYVHLLDDTTVEARLIYSQTHYNLALFEIALETPGELPTFSSRVDRAQHIFMLGRDENLYPRISHGRVLYSNPYLCDRHHYMYVSSAIPEFGLGGLVIDLKGKVVGMTGLIHAFIPSSVILKCLKLWHKFRCIPRPQLGVKLWAIKFLDLPHIEMILRKTHICDGLIVKEVSEGSILEKLGVRIGDIIECLNGERIYDTIQLEELLLELCEGHFDNGNGLNSTLEMAVVLFHIRKGAQSIKKLTANVSENGEVVKRGVFFVAGPTCEEIPNLAPLGKGALREEGWAGDSHIPTADGASASVPLDQVGPDSREEISTQEPLATTGKRSSNPYCRRNINLKAT >ORUFI05G03350.1 pep chromosome:OR_W1943:5:2462994:2465586:1 gene:ORUFI05G03350 transcript:ORUFI05G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARGGRASGRGRGRGRGRARGRASSSGGGGGAGGGGGAGADGDGGGGGGGGGGGGRGPISGYRVATPRPGGQIASWEEAAAAMSAGVGGLRQLLAAAVTTGVAEARAAIFGHALNPTGKRAATKLLRKKMVGEQLAQWYPYDIKRDDPLVMAREEKARLSKLEMLKRRGKGPPKKGQGRRAVKRSK >ORUFI05G03360.1 pep chromosome:OR_W1943:5:2465831:2466172:-1 gene:ORUFI05G03360 transcript:ORUFI05G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKKSPPPWKTKGSQQPRGGGEAAAAAGSKKAKKKKKETPLPPLLPPGTAVEVLRNGAWVGGGTVTIRNDRTYTVRLAGGMTVLATRRRVRPAPPPVTADVSPEPDKVAGD >ORUFI05G03370.1 pep chromosome:OR_W1943:5:2467007:2467657:-1 gene:ORUFI05G03370 transcript:ORUFI05G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDQPPAPPASARRRQCVAAAAAATAACLAPLAVLLAVLVLAPSLLPRLLLRPHHVVPVVASAELRLLAFDAAAPAVAYNLSATLRFDNPGGLYTWRCTALRAAPSYAGQRLGDAAALPGITARGAGAGDARAAAWAGTQRVPPGRRARAVAAALARDRAAGWYVIKVDVATVQNGAESDFACVLSFPAAALARNGSGAAVFDGGRCVDAVHGEI >ORUFI05G03380.1 pep chromosome:OR_W1943:5:2468145:2476191:-1 gene:ORUFI05G03380 transcript:ORUFI05G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPAGGYARRGSGGGYGHHYYGGGGGGSAQTSPPGGSPTASPVHRHARTGSLGGAGAASTVGRRGRAHNTAARAAAQRLARVMASTDGAGVGGGGGGVGGGGDGGSGSDDDEYELSGPPIELSSTPRRTGTRSPSPSAPVSRPPSLTNRYTPGKSVPMIPSIKQQNRPATSGPGSESPAINRREQRRSVDLGSSLRARRTSSSLHDEINSLQVETEGMYEKFNLAEERSEEGDAKSMHMAAVIADAIEPEANLISRKDAALQQRKASLRSASRRSNSASCDEIAALRSEAKVANSVSSVSQRLKSTGSIVRSLHGATNRMVLSQEEMEEVVLKRCWLARYWKLCVRLGIEILHTINTYLQSGGIPSVRFYKYDAKVKKGSKSIHCDIAEEKQEYWSSFAPLSLEVVLSIGQKARDGALSDHADMETKSKMSDASHVNDMSGDGNIESMLLVERGLRELSSLKRTQSCLLYQNIDASDLSQYMGNVCEPHCEGPSSEGQNPPESLELSEEEQDDVRFKQSWLTYFWRRAKSHDIEEDIADERLQFWIEQGNHPVSTIDIIEVERGLTELRKLGIESQLWESTRRSLDDDFSNHGSPTGSEI >ORUFI05G03380.2 pep chromosome:OR_W1943:5:2468145:2476191:-1 gene:ORUFI05G03380 transcript:ORUFI05G03380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPAGGYARRGSGGGYGHHYYGGGGGGSAQTSPPGGSPTASPVHRHARTGSLGGAGAASTVGRRGRAHNTAARAAAQRLARVMASTDGAGVGGGGGGVGGGGDGGSGSDDDEYELSGPPIELSSTPRRTGTRSPSPSAPVSRPPSLTNRYTPGKSVPMIPSIKQQNRPATSGPGSESPAINRREQRRSVDLGSSLRARRTSSSLHDEINSLQVETEGMYEKFNLAEERSEEGDAKSMHMAAVIADAIEPEANLISRKDAALQQRKASLRSASRRSNSASCDEIAALRSEAKVANSVSSVSQRLKSTGSIVRSLHGATNRMVLSQEEMEEVVLKRCWLARYWKLCVRLGIEILHTINTYLQSGGIPSVRFYKYDAKVKKGSKSIHCDIAEEKQEYWSSFAPLSLEVVLSIGQKARDGALSDHADMETKSKMSDASHVNDMSGDGNIESMLLVERGLRELSSLKVEDAVMLALSEHRRVRPLSGPSSEGQNPPESLELSEEEQDDVRFKQSWLTYFWRRAKSHDIEEDIADERLQFWIEQGNHPVSTIDIIEVERGLTELRKLGIESQLWESTRRSLDDDFSNHGSPTGSEI >ORUFI05G03380.3 pep chromosome:OR_W1943:5:2468145:2476191:-1 gene:ORUFI05G03380 transcript:ORUFI05G03380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPAGGYARRGSGGGYGHHYYGGGGGGSAQTSPPGGSPTASPVHRHARTGSLGGAGAASTVGRRGRAHNTAARAAAQRLARVMASTDGAGVGGGGGGVGGGGDGGSGSDDDEYELSGPPIELSSTPRRTGTRSPSPSIGRYLSDQAPVSRPPSLTNRYTPGKSVPMIPSIKQQNRPATSGPGSESPAINRREQRRSVDLGSSLRARRTSSSLHDEINSLQVETEGMYEKFNLAEERSEEGDAKSMHMAAVIADAIEPEANLISRKDAALQQRKASLRSASRRSNSASCDEIAALRSEAKVANSVSSVSQRLKSTGSIVRSLHGATNRMVLSQEEMEEVVLKRCWLARYWKLCVRLGIHCDIAEEKQEYWSSFAPLSLEVVLSIGQKARDGALSDHADMETKSKMSDASHVNDMSGDGNIESMLLVERGLRELSSLKVEDAVMLALSEHRRVRPLSGPSSEGQNPPESLELSEEEQDDVRFKQSWLTYFWRRAKSHDIEEDIADERLQFWIEQGNHPVSTIDIIEVERGLTELRKLGIESQLWESTRRSLDDDFSNHGSPTGSEI >ORUFI05G03380.4 pep chromosome:OR_W1943:5:2468145:2476191:-1 gene:ORUFI05G03380 transcript:ORUFI05G03380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPAGGYARRGSGGGYGHHYYGGGGGGSAQTSPPGGSPTASPVHRHARTGSLGGAGAASTVGRRGRAHNTAARAAAQRLARVMASTDGAGVGGGGGGVGGGGDGGSGSDDDEYELSGPPIELSSTPRRTGTRSPSPSAPVSRPPSLTNRYTPGKSVPMIPSIKQQNRPATSGPGSESPAINRREQRRSVDLGSSLRARRTSSSLHDEINSLQVETEGMYEKFNLAEERSEEGDAKSMHMAAVIADAIEPEANLISRKDAALQQRKASLRSASRRSNSASCDEIAALRSEAKVANSVSSVSQRLKSTGSIVRSLHGATNRMVLSQEEMEEVVLKRCWLARYWKLCVRLGIHCDIAEEKQEYWSSFAPLSLEVVLSIGQKARDGALSDHADMETKSKMSDASHVNDMSGDGNIESMLLVERGLRELSSLKRTQSCLLYQNIDASDLSQVASPKCPSSEGQNPPESLELSEEEQDDVRFKQSWLTYFWRRAKSHDIEEDIADERLQFWIEQGNHPVSTIDIIEVERGLTELRKLGIESQLWESTRRSLDDDFSNHGSPTGSEI >ORUFI05G03380.5 pep chromosome:OR_W1943:5:2468145:2476191:-1 gene:ORUFI05G03380 transcript:ORUFI05G03380.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPAGGYARRGSGGGYGHHYYGGGGGGSAQTSPPGGSPTASPVHRHARTGSLGGAGAASTVGRRGRAHNTAARAAAQRLARVMASTDGAGVGGGGGGVGGGGDGGSGSDDDEYELSGPPIELSSTPRRTGTRSPSPSAPVSRPPSLTNRYTPGKSVPMIPSIKQQNRPATSGPGSESPAINRREQRRSVDLGSSLRARRTSSSLHDEINSLQVETEGMYEKFNLAEERSEEGDAKSMHMAAVIADAIEPEANLISRKDAALQQRKASLRSASRRSNSASCDEIAALRSEAKVANSVSSVSQRLKSTGSIVRSLHGATNRMVLSQEEMEEVVLKRCWLARYWKLCVRLGIHCDIAEEKQEYWSSFAPLSLEVVLSIGQKARDGALSDHADMETKSKMSDASHVNDMSGDGNIESMLLVERGLRELSSLKVEDAVMLALSEHRRVRPLSGPSSEGQNPPESLELSEEEQDDVRFKQSWLTYFWRRAKSHDIEEDIADERLQFWIEQGNHPVSTIDIIEVERGLTELRKLGIESQLWESTRRSLDDDFSNHGSPTGSEI >ORUFI05G03390.1 pep chromosome:OR_W1943:5:2478511:2483847:-1 gene:ORUFI05G03390 transcript:ORUFI05G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLGALVLKSGGLLVSDYDSIVAINIFVALLCSCIVIGHLLEGNRWVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIEDIDIANFDSLVLLAFIGNFLYLFFTSTLLGVVAGLLSAYIIKKLCFARHSTDREVAIMILMAYLSYMLSMLLDLSGILTVFFSGIVMSHYTWHNVTESSRITTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSSPKKPIALSATILGLVMVGRAAFVFPLSFLSNLSKKETRPKISFKQQVIIWWAGLMRGAVSIALAYHKFTASGHTELRINAIMITSTVIVVLFSTMVFGFFTKPLLNLLIPPRPDIAADLSSQSIIDPLLGSLLGSDFDVGQPSPQNNLQLLLTIQTRSVHRVWRKFDDRFMRPMFGGRGFVPFVPGSPVERSIHGSQLGTVTEAEHS >ORUFI05G03400.1 pep chromosome:OR_W1943:5:2486905:2492105:1 gene:ORUFI05G03400 transcript:ORUFI05G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIPGTVAASGVYYNDQYRMPCKLKGIHCMALNCIPQKAKVRKCMNGYQSTFRFCVNEKNGQTTGQSNGSLIQQGQNFRCHSYGSHNSSETKECSLEDGTDSYRDFEEHSRGASQFSDNQVAAKKKSVKSSQGLAEACKFVYNDAKFVNERAQNDILLLSRGITRLNKRACQDVAVLGSGFLKLDACYLCMHIQILKEQAQSDLKKAADQHWSDGALEADLRRADSVVRRRAMEDAFMALKFVRDIHDMMANRLQEQFAKDGSSSPANSRSFITLEKNGNTFELFPHEVSTDQITAIEQAYWSMASALSEADGIDYTDPEELELLVATLIDLDAMDGKKSVSLLAECSSSPDVNTRKALANALAAAPSMWILGNAGMGALQRLAQDSNYAVARAATRAINELTKQWELEEGDSLRFVLNQNMVSKETADDSAAADDTR >ORUFI05G03400.2 pep chromosome:OR_W1943:5:2487793:2492105:1 gene:ORUFI05G03400 transcript:ORUFI05G03400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIPGTVAASGVYYNDQYRMPCKLKGIHCMALNCIPQKAKVRKCMNGYQSTFRFCVNEKNGQTTGQSNGSLIQQGQNFRCHSYGSHNSSETKECSLEDGTDSYRDFEEHSRGASQFSDNQVAAKKKSVKSSQGLAEACKFVYNDAKFVNERAQNDILLLSRGITRLNKRACQDVAVLGSGFLKLDACYLCMHIQILKEQAQSDLKKAADQHWSDGALEADLRRADSVVRRRAMEDAFMALKFVRDIHDMMANRLQEQFAKDGSSSPANSRSFITLEKNGNTFELFPHEVSTDQITAIEQAYWSMASALSEADGIDYTDPEELELLVATLIDLDAMDGKKSVSLLAECSSSPDVNTRKALANALAAAPSMWILGNAGMGALQRLAQDSNYAVARAATRAINELTKQWELEEGDSLRFVLNQNMVSKETADDSAAADDTR >ORUFI05G03410.1 pep chromosome:OR_W1943:5:2494478:2499391:1 gene:ORUFI05G03410 transcript:ORUFI05G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKVYGVAASPYVATVLVCLEEAGASYELVAVDMAAGENRSRHHLARSPFGKIPAFEDGEVTLFESRAIQRYVLRNYNKPDLLREGNLEESAMVDMWMEVEAHHYDPAIFHIIRECVIKPMIGGGARDQAIVDENVEKLRKVLEVYERRLSESEYLAGDFVSVADLNHFPYTYYLMTTEYATLVESCTNVMVGTHLSVSVR >ORUFI05G03410.2 pep chromosome:OR_W1943:5:2496709:2499391:1 gene:ORUFI05G03410 transcript:ORUFI05G03410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKVYGVAASPYVATVLVCLEEAGASYELVAVDMAAGENRSRHHLARSPFGKIPAFEDGEVTLFESRAIQRYVLRNYNKPDLLREGNLEESAMVDMWMEVEAHHYDPAIFHIIRECVIKPMIGGGARDQAIVDENVEKLRKVLEVYERRLSESEYLAGDFVSVADLNHFPYTYYLMTTEYATLVESCTNVMVGTHLSVSVR >ORUFI05G03410.3 pep chromosome:OR_W1943:5:2494478:2499391:1 gene:ORUFI05G03410 transcript:ORUFI05G03410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKVYGVAASPYVATVLVCLEEAGASYELVAVDMAAGENRSRHHLARSSRAIQRYVLRNYNKPDLLREGNLEESAMVDMWMEVEAHHYDPAIFHIIRECVIKPMIGGGARDQAIVDENVEKLRKVLEVYERRLSESEYLAGDFVSVADLNHFPYTYYLMTTEYATLVESCTNVMVGTHLSVSVR >ORUFI05G03410.4 pep chromosome:OR_W1943:5:2494479:2497842:1 gene:ORUFI05G03410 transcript:ORUFI05G03410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRVTGPAGSPLVAAVLASLEEAAAGGGYELVGTAAAREQSTRPHLARNPFGKIAAFAEDGELALVDAVGGHVDGSRSHARVTS >ORUFI05G03410.5 pep chromosome:OR_W1943:5:2494478:2496534:1 gene:ORUFI05G03410 transcript:ORUFI05G03410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRVTGPAGSPLVAAVLASLEEAAAGGGYELVGTAAAREQSTRPHLARNPFGKIAAFAEDGELALVDAVGGHVDGSRSHARVTS >ORUFI05G03420.1 pep chromosome:OR_W1943:5:2499824:2500375:1 gene:ORUFI05G03420 transcript:ORUFI05G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSLAAVAAAVLVAAACSGRAPVAEATIESTCGAAAKGDRRVDVGFCARQFASYHGAAEADAWGLAKIAALIGVNLADDAVFDIGAGKIRPSPGGGAKGDKAMDACAKAYDAVGVAFAEAADELGSRRYAAARQELARVAALVQRCDGGLSRAGARSPLPRYSADCQQVAIMGIAITNLLK >ORUFI05G03430.1 pep chromosome:OR_W1943:5:2501810:2502649:-1 gene:ORUFI05G03430 transcript:ORUFI05G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVLEVTLVSAKNLKKVTMFSKMRVYAVASISGGDPRVPTHRTHADREGGRSPMWHAPLRFPIPDAGADMRAIALHVLLRAERVFGDSDVGEVFVPVKDLVAAAPEGGEHRHLSYHVRRPVSGRKCGVLHISYQITDEAAEPASAAGARGASSTRHVSGKGLQLSAITAYPSAGRHSGGRRGAAQSPTLAYHHGSPYGGGGGGAHAQHHHHHHHHYGYGYGPAPYGHGAASHGGGGGMGMGAGLGMGVVGGAVAGMVLADMLADGEMDTPLDAGMSC >ORUFI05G03440.1 pep chromosome:OR_W1943:5:2505161:2513355:1 gene:ORUFI05G03440 transcript:ORUFI05G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIPSRSGPKLPKSPIPKSPKPKPKSRDPATKSRVSPPLRRMSDPAPAAAASTATATAAAGSGAAASGSGAIVHPGGGGAWPRGVRFGDMVWGKVKSHPWWPGHVYSITLTSDGEVRRGYRDGLVLVAFFGDSSYGWFEPHELLPFEEHFREKFAQPGGRNFPTAIDEAADEVARRAALAFLCPCHRPNAARPHELDPRYLLVDVPGFDTDAEYHPEQVAAEREKIDPRALLDYLKGAAVEQLDAAELIGKPKRHIPAVQMSSMLEAYRLSRYALKDPTYAQAFGMDYDEAQAAKTALEKKAREGKRRVWWDRKTQEEPQDDQGESSNTTPAGRPAKGRKKAAENPGGRRRKGSAASTAARLMEKIMPSAAAMKPRAKKKDQYLLKRRDDARAPPPPSMPDAFPAAPMAPALDDVPPGFPSDPPTPPLPSSTLAADEEFLLQRRTPPPLLSAPPTPLALPPAAAGQVGDGGAPTDAATAAAAPKKATKPKKAAARKREREEPADAAAAAAAGGVAGEPKKKKKKKLAELNGVAVAAAGKPGLLPAKVDHDLKQVISELQSLPLVASYVAGRRSISDEARSFLLAFRSKSFKKSHENDPPEDNKASKPNAATAADGQKPPAKKKPAARPGDTTAASAKVAGVKRAPSDRQEELATKKKAKLNKIKTLATDKKAAGLELAAAAPAAARKNDAIAARKKEKEPALAPAIKTPSPTALMMKFPPKTTLPSVASLKARFARFGPLDVDGIRVYWKSHMCRVIYRFKSDADVALKYARANTTMFGQVAPNYYLRGVESDGDLAADAAPPPPQQRSELRLMETAPFRPGTSGGNCAPLPLSRAVPARAVVGQQPKSILKKTVTDDGAPSAAALRDAPRVKFMLDAGDSKLEPPPPAAPASGGGDAAAPLAKSATKSVGFAPPPLQPPARPAQHPHLQPPARPAQQPPRPPVTQPLPPPPPLHQHQPYQPRHTDALPLPLPLPPPPPPPFSVQQQQLPPPPPYHLRHSIDGMHHQLPGPPLPPSYQHRAAGGVVFPGQHQQQPYRPNNDTQLGLPGAGAAAGDVTPAWKRGGREFDEELMRVMRGIAKMVEPLTDKNGNFPYHLFTSA >ORUFI05G03450.1 pep chromosome:OR_W1943:5:2512753:2514638:-1 gene:ORUFI05G03450 transcript:ORUFI05G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGNRRSVASFYNPAFEATISPTVEGGAGAGGEYPEYVFGEYMDVYAKQKFDAKEPRFEASPSY >ORUFI05G03460.1 pep chromosome:OR_W1943:5:2514666:2515983:-1 gene:ORUFI05G03460 transcript:ORUFI05G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVINFSKLDGTAAERAETMAQIDNGCEEWGFFQLPTPGGGVHGVEPVRTLEGLMAAERRGEAAAPVDDMDWEDIFYLHDDNQWPSKPPEFKETMREYRAALRGLAERVMEAMDENLGLDKGRMRRAFTGDGRHAPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDRVGGLQVLRGGEWVDVQPLADAIVVNTGNQVEVLSNGR >ORUFI05G03470.1 pep chromosome:OR_W1943:5:2519869:2521983:1 gene:ORUFI05G03470 transcript:ORUFI05G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGTAAERAETMAQIDNGCEEWGFFQLVNHGVPKELLDRVKKVCLESYRLREAAFMESEPVRTLEGLMAAERRGEAAAPVDDMDWEDIFYLHDDNQWPSNPPEFKETMREYRAALRGLAERVMEAMDENLGLDKGRMRRAFTGDGRHAPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDRVGGLQVLRGGEWVDVQPLADAIVVNTGDQVEVLSNGRYRSAWHRVLPMRDGNRRSVASFYNPAFEATISPAVGAGGEYPEYVFGEYMDVYAKQKFDAKEPRFEAVKAPKSA >ORUFI05G03480.1 pep chromosome:OR_W1943:5:2525153:2528541:1 gene:ORUFI05G03480 transcript:ORUFI05G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYAAEAGAAAGAGRGRAARHPPLTSLVVSTIAAFSAVVVFAILRSAYDAAVSRTTTLLGHNLEPTPWHLFKHDKGRPPARAAFRCAPSLTCRPPVAQPAPGTTNASANASAAPRLCPAYFGAIRRDLAPWRRGGGGVTRALLDAAQRRASMRVAITGGGRRLHVELYYACVQSRALFTAWSLLQLMRRYPGRVPDVELMFDCMDRPADHGSPPPPLPETNIEPWSKEFRDIKEGAKAIKWQDRVATAYWKGNPDVASPLRVALLNCNDTNMWHAEIMRQNWDEEVKSGYHNSKLSSQCTHRYKIYAEGFAWSVSLKYILSCGSMALVIDPQYEDFFSRGLRPEVNFWPVHIDVAAGGMCESIRDAVEWGEAHPAEAEAVGRRGQRLMEELDMDAVYDYMLHLLTEYARLMRFRPAEAPPPRPPAQEVCEASVLCLAGEKQRRFLEASAASPAVSEPCVMPPDAGE >ORUFI05G03490.1 pep chromosome:OR_W1943:5:2529216:2535258:-1 gene:ORUFI05G03490 transcript:ORUFI05G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQERRTIDLEEGWAFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSMVLPSLRDKHDEFMLRELVKRWSNHKIMVRWLSRFFFYLDRYFISRRSLIPLEQVGLTCFRDLIYQEIKGQVKGAVIALIDKEREGEQIDRALLKNVLGIFVEIGLGSMECYENDFEDFLLKDTTDYYSLKAQSWILEDSCPDYMIKAEECLKKEKERVGHYLHISSEQKLLEKVQNELLAQYATPLLEKEHSGCFALLRDDKEEDLSRMYRLFSKINRGLEPIANMFKTHVTNEGTALVKQAEDSASNKKPEKKDMVGMQEQVFVWKIIELHDKYVAYVTECFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGCSEKLSDEAIEDALEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFVAAHQELNPGIDLAVTVLTTGFWPSYKTFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFEAKTIELIVTTYQAALLLLFNGSDRLTYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPANRSISPNDVFEFNSKFTDRMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVMGHQQLVAECVEQLSRMFKPDFKAIKKRIEDLITRDYLEREKDNANVYRYLA >ORUFI05G03500.1 pep chromosome:OR_W1943:5:2540177:2546269:1 gene:ORUFI05G03500 transcript:ORUFI05G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAVFRIRKISFHTHFVFFLHPQQHASGWLDLDTPTKNRIAFEPSSRQGDNSHNASLPPPHALRRAPIRHPPRRQPAMSTASGDGGDGGGGGDGASSAAGGGGRRIPPASSMPWVRNLRRFVGTGAGLGSEALMELETKRILLEIFKERQRKSMEAGAIPSFYKKKPEDGSISNRVQKLAKYRFLKKQSELLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIATVCTEQIGQKCKRFFSPSNFMKFEKDDSGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPSAFVQMYCRIAARKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDTNGTLSKQELKEYADGTLTDIFIERVFDEHVRRSKVGGGNSREMDFESFLDFVLALENKDTPEGLTYLFRCLDLNGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRIALTDLLSCKQGGTIASMLIDVRGFWAHDNRENLLQEEEEQVEEA >ORUFI05G03510.1 pep chromosome:OR_W1943:5:2547456:2554816:1 gene:ORUFI05G03510 transcript:ORUFI05G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARESMAALVDAGLYDCAQTLGCFLVSSSPASSEAGMSMKVESLVLHGDALHGEKEFRRALSAYKQAMQYSKNIPRQATSNTRSSVTATGRSPSPNSSSLAPLNENEVKFKIALCYSALREHREALQEMEGIPSKARTLKMNLMLGKLYRISRNNRAAAVCYKECLRQCPYVFEAITALAEMGLSSKEFSLIFSQAPNRGGKAPGDSLDAQRWWNRYVEAQCCIASHDYKGGLDIYLDLMQRFLNNVHILLEIAKVEAIIGRNDEAIMNFEKARLIDPNIMTYMDEYAILLKSKSDYTKLNKLVHDMLHIDPARPETCLALAALWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLLLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVAEGRNKDAVLLLERYLRQWADDSLHIKLAQVFAATNMLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEEDEENEADDVDGDQDDAELLHGNWKTSDDDGCLSLTAMIRYVRYV >ORUFI05G03510.2 pep chromosome:OR_W1943:5:2547456:2555537:1 gene:ORUFI05G03510 transcript:ORUFI05G03510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARESMAALVDAGLYDCAQTLGCFLVSSSPASSEAGMSMKVESLVLHGDALHGEKEFRRALSAYKQAMQYSKNIPRQATSNTRSSVTATGRSPSPNSSSLAPLNENEVKFKIALCYSALREHREALQEMEGIPSKARTLKMNLMLGKLYRISRNNRAAAVCYKECLRQCPYVFEAITALAEMGLSSKEFSLIFSQAPNRGGKAPGDSLDAQRWWNRYVEAQCCIASHDYKGGLDIYLDLMQRFLNNVHILLEIAKVEAIIGRNDEAIMNFEKARLIDPNIMTYMDEYAILLKSKSDYTKLNKLVHDMLHIDPARPETCLALAALWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLLLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVAEGRNKDAVLLLERYLRQWADDSLHIKLAQVFAATNMLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEEDEENEADDVDGDQDDAELLHGNWKTSDDDGCLSLTANHIIP >ORUFI05G03510.3 pep chromosome:OR_W1943:5:2547456:2554816:1 gene:ORUFI05G03510 transcript:ORUFI05G03510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARESMAALVDAGLYDCAQTLGCFLVSSSPASSEAGMSMKVESLVLHGDALHGEKEFRRALSAYKQAMQYSKNIPRQATSNTRSSVTATGRSPSPNSSSLAPLNENEVKFKIALCYSALREHREALQEMEGIPSKARTLKMNLMLGKLYRISRNNRAAAVCYKECLRQCPYVFEAITALAEMGLSSKEFSLIFSQAPNRGGKAPGDSLDAQRWWNRYVEAQCCIASHDYKGGLDIYLDLMQRFLNNVHILLEIAKVEAIIGRNDEAIMNFEKARLIDPNIMTYMDEYAILLKSKSDYTKLNKLVHDMLHIDPARPETCLALAALWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLLLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVAEGRNKDAVLLLERYLRQWADDSLHIKLAQVFAATNMLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEEDEENEADDVDGDQDDAELL >ORUFI05G03510.4 pep chromosome:OR_W1943:5:2547456:2555045:1 gene:ORUFI05G03510 transcript:ORUFI05G03510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARESMAALVDAGLYDCAQTLGCFLVSSSPASSEAGMSMKVESLVLHGDALHGEKEFRRALSAYKQAMQYSKNIPRQATSNTRSSVTATGRSPSPNSSSLAPLNENEVKFKIALCYSALREHREALQEMEGIPSKARTLKMNLMLGKLYRISRNNRAAAVCYKECLRQCPYVFEAITALAEMGLSSKEFSLIFSQAPNRGGKAPGDSLDAQRWWNRYVEAQCCIASHDYKGGLDIYLDLMQRFLNNVHILLEIAKVEAIIGRNDEAIMNFEKARLIDPNIMTYMDEYAILLKSKSDYTKLNKLVHDMLHIDPARPETCLALAALWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLLLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVAEGRNKDAVLLLERYLRQWADDSLHIKLAQVFAATNMLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEEDEENEADDVDGDQDDAELL >ORUFI05G03520.1 pep chromosome:OR_W1943:5:2554020:2554364:-1 gene:ORUFI05G03520 transcript:ORUFI05G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTRLASQKAVVVFSKSSCGMSHAVTRLLRELGVDARVVELDEEPAGADMENALAGMLLAGTAANGGGRGRGVVVPTVFIGGRLVGSTDRVMSLHVAGGLVPLLRDAGALWV >ORUFI05G03530.1 pep chromosome:OR_W1943:5:2557420:2560352:-1 gene:ORUFI05G03530 transcript:ORUFI05G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAAEGAAAALRSVLSRAQQAAARSGRAPESVRVVAVSKTKPVGVIRGVYDAGHRCFGENYVQELIDKASQLPEDIEWHFIGNLQSNKARALLAGVPNLDMVESVDDQKIANRLDRVVADLGRKPLKVLVQVNTSGEESKFGVDPSGCVELAKHVKLGCPNLVFSGLMTIGMLDYSSTPENFKALANCRKEVCKELGIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIFGAREYPKKN >ORUFI05G03540.1 pep chromosome:OR_W1943:5:2561933:2562890:1 gene:ORUFI05G03540 transcript:ORUFI05G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPFSTLWKVDENYVMASAAKVQLGVEACLNGGLHNGGDEGKREGNPSFGDTRNRQEIVCSDRRMRCLDPPDWLMPIKNQTRKAIRCPTSIKFDMQICHLNGGDRARPARASAQAPPLCSNLPKLKSIPGSATVPLIIH >ORUFI05G03550.1 pep chromosome:OR_W1943:5:2563646:2568318:1 gene:ORUFI05G03550 transcript:ORUFI05G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAGDGGWSTLPADLLGEVSGRLSYDADVLHIHQVCAHWRASTALLAAARPWIVAGHETSRIVSAIGEDYSFWLPHGGGQRIIPCFGDAPPAGLPYCCGTPRGWLALADAPRSPTRLVLWEPVSRAEIAMPPLPRAGFAPQIFLSGDPLAAAASPGWMAIASQPFSVAGKWQTLFFWRPGDAAWTPQREPPMDRIDSAAFHGGFFYLTVRYWYLYAYDLRHDAGAPWPPPRVRSTFVYLDADRACELSRQGRSLRAAHVVAAADGVDLLLAVLYWECHRRSVQMVAKVFRMEWAAAAADLELSPVTDLGEHALLLGRGDALALSAAEFPAIRRNCVYFVEHDNAPHRHWAIAMDLGANASELIPHPQFQEDEPSEEDGKCAADRSLLPYSWFCLKQPFFKHNLGECGSISIYSCQFQFPWKKFAELVLAYVSVVLGVQVFPRSVTLQGFESTEALCLVWTSVSRWLRKQWILSLRISDVKAGFETMFRSAIPKRICFGGMKKKNAKGHPIISHATERCAAGVLQPAGHFKLGFYLNAMDFGVEAQHLSAIFHGVNVNGLDIDMVGRSAKAYGAECSGGVETCLMVDSTVVQMKGKMEGNPSFSDARNRQEIACGGIRMRCLDLTKLEKLSTARREKG >ORUFI05G03560.1 pep chromosome:OR_W1943:5:2568894:2578728:1 gene:ORUFI05G03560 transcript:ORUFI05G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGKYGDGDDDDSEEEQLSPSSSAGEEEEEEVEEEEGEEQQEEQGEEEEGFSGDEEEQEVEGEADGEQVEEEEEEESSVGEEEAEAEGEEEEEEVEEEQGAGEEEEEEVDEEEIEAVTTGAGGDDDDEEVGDDGGAEEESQSTEDDEVAAGKDGGGEDGDKLEDATGNAEIGKRERAKLREMQKLKKHKIQEILDAQNKAIDADMNNKGKGRLKYLLQQTEIFAHFAKGNQSTEKKSRGRGRHASKMTEEEEDEEYLKEEEDALDGAGGTRLVSQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIQRFCPVLRAIKFLGNPEERNHIRENLLVPGKFDVCVTSFEMAIKEKTALKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDDWFQISGENDQHEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSEMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIENAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYKGYQYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKAVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAQLDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKLDFKKLVTDNWIEPTSRRERKRNYSESDYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNTQRLNELYEKEVKYLVQANQKKDTVGEGDDEDQLEPLTVEEQEEKEQLLEEGFSTWTRRDFNTFIRACEKYGRNDIKNISSEMEGKTEEEVQRYAKVFQERYKELNDYDRVIKNIEKGEARIYRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCETLIRLVEKENQEYDERERLARKDKKNMSPAKRSSSRSLDTPPQSSSKRRRQSYTEANAGS >ORUFI05G03570.1 pep chromosome:OR_W1943:5:2579748:2583752:-1 gene:ORUFI05G03570 transcript:ORUFI05G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGLASWRVRLLPPPSRDFGKKRIAMAKENLERERDRDRGDSMYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKATVNFNGETFESPAFCSTLRLAEHAAAEVALNELSKRGPSSSLAAKVLDETGIYKNLLQETAHRAGLKLPVYTTIRSGPGHTPVFTCTVELAGMTFTGNPGKTKKQAQKNAAMAACAPSEPSSSSCPPDHDDDDQEQIIVARTLASLNQTNGGKTPRQKEKQQSSNRPSSRRPSYPKSNASFYGRLHLQKHAYPSVPPEQAMHHMWHQVQATQQKPHFPMVPTMGSTGFPPPPTVLHMYPPPRGQFTMPSSQDGLGLIPCYPEASPVLPRYFSPYPASFVPRRPLPVNVHKIHEKRLVGADMVELPDAAVFSRYTAPDFSGTSENAVQDNKKEEYTESSPASEQESKSHTASSSATRSPSQQLESNQDIEIMGGLRLESKKPAEQPPESSPSRVNPVLLCETGQRHHYSSVRHGDPVHRNSPQISVATSPSPIRRGDPAHINIPQISVATPPECRSPRAQAPPRFGTRMPVNLPSSLYQQRPPWLAASVTIRTTIPVCSARPNVVNSSAGAAQPAVQILSASPRKEEPEARTNTSDTSNAATASSELNKLHI >ORUFI05G03570.2 pep chromosome:OR_W1943:5:2579748:2583000:-1 gene:ORUFI05G03570 transcript:ORUFI05G03570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKATVNFNGETFESPAFCSTLRLAEHAAAEVALNELSKRGPSSSLAAKVLDETGIYKNLLQETAHRAGLKLPVYTTIRSGPGHTPVFTCTVELAGMTFTGNPGKTKKQAQKNAAMAACAPSEPSSSSCPPDHDDDDQEQIIVARTLASLNQTNGGKTPRQKEKQQSSNRPSSRRPSYPKSNASFYGRLHLQKHAYPSVPPEQAMHHMWHQVQATQQKPHFPMVPTMGSTGFPPPPTVLHMYPPPRGQFTMPSSQDGLGLIPCYPEASPVLPRYFSPYPASFVPRRPLPVNVHKIHEKRLVGADMVELPDAAVFSRYTAPDFSGTSENAVQDNKKEEYTESSPASEQESKSHTASSSATRSPSQQLESNQDIEIMGGLRLESKKPAEQPPESSPSRVNPVLLCETGQRHHYSSVRHGDPVHRNSPQISVATSPSPIRRGDPAHINIPQISVATPPECRSPRAQAPPRFGTRMPVNLPSSLYQQRPPWLAASVTIRTTIPVCSARPNVVNSSAGAAQPAVQILSASPRKEEPEARTNTSDTSNAATASSELNKLHI >ORUFI05G03580.1 pep chromosome:OR_W1943:5:2587789:2593579:-1 gene:ORUFI05G03580 transcript:ORUFI05G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGSRAACAAAAPPWHSLPDEVWEHAFSFLPAAADRGAAAGACSSWLRAERRSRRRLAVANCYAAAPRDAVERFPSVRAAEVKGKPHFADFGLVPPAWGAAAAPWIAAAADGWPLLEELSFKRMVVTDECLEMIAASFRNFQVLRLVSCDGFSTAGLAAIAAGCRHLRELDLQENEIEDCSIHWLSLFPESFTSLVTLNFSCLEGEVNITVLERLVTRCHNLKTLKLNNAIPLDKLASLLHKAPQLVELGTGKFSADYHSDLFAKLEAAFGGCKSLRRLSGAWDAVPDYLPAFYCVCEGLTSLNLSYATVRGPELIKFISRCRNLQQLWVMDLIEDHGLAVVASSCNKLQELRVFPSDPFGAGFLTERGLVDVSASCPMLESVLYFCRRMTNEALITIAKNRPNFTCFRLCILEPHTPDYITREPLDAGFSAIVESCRGLRRLSISGLLTDLVFKSIGAHADRLEMLSIAFAGNSDLGLHYILSGCKSLKKLEIRDCPFGDKPLLANAAKLETMRSLWMSSCLLTLGACRQLARKMPRLSVEIMNDPGRSCPLDSLPDETPVEKLYVYRTIAGPRSDTPACVQIV >ORUFI05G03590.1 pep chromosome:OR_W1943:5:2601432:2608347:-1 gene:ORUFI05G03590 transcript:ORUFI05G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGAAGGDVSADRVIAELLDMGFEFDEISRAIGAVGTRCADVLEFMLGGSGNGKMKKASRETRSRSCVSSNAEARPSDDGNKLVNPRKRLKQSSITDHLASNTGCRRGSCGEKASTSYPCLAASDDPRAPVAVSADVCSALKPESQFLLANSRSESDLGHKISAVLRKHFGFSCVKGFQKEALDAWFAHKDSLVLAATGSGKSLCFQIPALLTAKVVVVISPLISLMHDQCLKLAKHGISACFLGSGQPDSRVEGKAMSGMYKIIYVCPETVLRLMEPLKKLAEKPGIALFAIDEVHCVSKWGHDFRPDYRRLSVLRENFSASKLKFLEHDIPLMALTATATIPVRADIIKSLKMSEDTVVVLTSFFRPNLRFRVKHSRTSVSAYKKDFRELVVTYNDSRNFRGKGQKALREINPDSESSSYESPDDAMSDDEDANVDSMSHEDNSFGKTKVGMPLINENAENELDVYPGVDDFDVTCGEFLECSWPENSASSSQCNKTGSSSSEIADQGPTIIYVPTRKQTVELANYLCKTGLKAAAYNAKMPKSHLRQVHQQFHCNALEVVVATIAFGMGIDKSNVRRIIHYGFPQSLEAYYQEAGRAGRDGKLADCTLYCNLLRAPTLLPNKRSKEQTKVAYRMLRDCFHYALNTSICRAKILVKYFGEEFGPDGCRMCDICINGPPQMHDFKEDAVMFMSVLQAQNGQATEDMGCSNIPRYISGRQRFGEVPNFRGVVSYIREKFPRFDTTDKVWWEGLARILEGRGYIQEAAEIYPEVTEAGLNFLSMQSEEEGLYEYLNAAMLLVMNDPKPISTSSEWGRGWADPAIRRQRLAGKKMGRRKGKRHSRSHSTGFTTARQRLAEILSKRRR >ORUFI05G03590.2 pep chromosome:OR_W1943:5:2601434:2608347:-1 gene:ORUFI05G03590 transcript:ORUFI05G03590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGAAGGDVSADRVIAELLDMGFEFDEISRAIGAVGTRCADVLEFMLGGSGNGKMKKASRETRSRSCVSSNAEARPSDDGNKLVNPRKRLKQSSITDHLASNTGCRRGSCGEKASTSYPCLAASDDPRAPVAVSADVCSALKPESQFLLANSRSESDLGHKISAVLRKHFGFSCVKGFQKEALDAWFAHKDSLVLAATGSGKSLCFQIPALLTAKVVVVISPLISLMHDQCLKLAKHGISACFLGSGQPDSRVEGKAMSGMYKIIYVCPETVLRLMEPLKKLAEKPGIALFAIDEVHCVSKWGHDFRPDYRRLSVLRENFSASKLKFLEHDIPLMALTATATIPVRADIIKSLKMSEDTVVVLTSFFRPNLRFRVKHSRTSVSAYKKDFRELVVTYNDSRNFRGKGQKALREINPDSESSSYESPDDAMSDDEDANVDSMSHEDNSFGKTKVGMPLINENAENELDVYPGVDDFDVTCGEFLECSWPENSASSSQCNKTGSSSSEIADQGPTIIYVPTRKQTVELANYLCKTGLKAAAYNAKMPKSHLRQVHQQFHCNALEVVVATIAFGMGIDKSNVRRIIHYGFPQSLEAYYQEAGRAGRDGKLADCTLYCNLLRAPTLLPNKRSKEQTKVAYRMLRDCFHYALNTSICRAKILVKYFGEEFGPDGCRMCDICINGPPQMHDFKEDAVMFMSVLQAQNGQATEDMGCSNIPRYISGRQRFGEVPNFRGVVSYIREKFPRFDTTDKVWWEGLARILEGRGYIQEAAEIYPEVTEAGLNFLSMQSEEEGLYEYLNAAMLLVMNDPKPISTSSEWGRGWADPAIRRQRLAGKKMGRRKGKRHSRSHSTGFTTARQRLAEILSKRRR >ORUFI05G03590.3 pep chromosome:OR_W1943:5:2601434:2608347:-1 gene:ORUFI05G03590 transcript:ORUFI05G03590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGAAGGDVSADRVIAELLDMGFEFDEISRAIGAVGTRCADVLEFMLGGSGNGKMKKASRETRSRSCVSSNAEARPSDDGNKLVNPRKRLKQSSITDHLASNTGCRRGSCGEKASTSYPCLAASDDPRAPVAVSADVCSALKPESQFLLANSRSESDLGHKISAVLRKHFGFSCVKGFQKEALDAWFAHKDSLVLAATGSGKSLCFQIPALLTAKVVVVISPLISLMHDQCLKLAKHGISACFLGSGQPDSRVEGKAMSGMYKIIYVCPETVLRLMEPLKKLAEKPGIALFAIDEVHCVSKWGHDFRPDYRRLSVLRENFSASKLKFLEHDIPLMALTATATIPVRADIIKSLKMSEDTVVVLTSFFRPNLRFRVKHSRTSVSAYKKDFRELVVTYNDSRNFRGKGQKALREINPDSESSSYESPDDAMSDDEDANVDSMSHEDNSFGKTKVGMPLINENAENELDVYPGVDDFDVTCGEFLECSWPENSASSSQCNKTGSSSSEIADQGPTIIYVPTRKQTVELANYLCKTGLKAAAYNAKMPKSHLRQVHQQFHCNALEVVVATIAFGMGIDKSNVRRIIHYGFPQSLEAYYQEAGRAGRDGKLADCTLYCNLLRAPTLLPNKRSKEQTKVAYRMLRDCFQCDICINGPPQMHDFKEDAVMFMSVLQAQNGQATEDMGCSNIPRYISGRQRFGEVPNFRGVVSYIREKFPRFDTTDKVWWEGLARILEGRGYIQEAAEIYPEVTEAGLNFLSMQSEEEGLYEYLNAAMLLVMNDPKPISTSSEWGRGWADPAIRRQRLAGKKMGRRKGKRHSRSHSTGFTTARQRLAEILSKRRR >ORUFI05G03590.4 pep chromosome:OR_W1943:5:2601434:2608347:-1 gene:ORUFI05G03590 transcript:ORUFI05G03590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGAAGGDVSADRVIAELLDMGFEFDEISRAIGAVGTRCADVLEFMLGGSGNGKMKKASRETRSRSCVSSNAEARPSDDGNKLVNPRKRLKQSSITDHLASNTGCRRGSCGEKASTSYPCLAASDDPRAPVAVSADVCSALKPESQFLLANSRSESDLGHKISAVLRKHFGFSCVKGFQKEALDAWFAHKDSLVLAATGSGKSLCFQIPALLTAKVVVVISPLISLMHDQCLKLAKHGISACFLGSGQPDSRVEGKAMSGMYKIIYVCPETVLRLMEPLKKLAEKPGIALFAIDEVHCVSKWGHDFRPDYRRLSVLRENFSASKLKFLEHDIPLMALTATATIPVRADIIKSLKMSEDTVVVLTSFFRPNLRFRVKHSRTSVSAYKKDFRELVVTYNDSRNFRGKGQKALREINPDSESSSYESPDDAMSDDEDANVDSMSHEDNSFGKTKYPEVTEAGLNFLSMQSEEEGLYEYLNAAMLLVMNDPKPISTSSEWGRGWADPAIRRQRLAGKKMGRRKGKRHSRSHSTGFTTARQRLAEILSKRRR >ORUFI05G03600.1 pep chromosome:OR_W1943:5:2608386:2608743:-1 gene:ORUFI05G03600 transcript:ORUFI05G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATESRGFFLLFPRAIRNQKRNPRRRGHPNPRGERRRRRRRRRATDCGRSILVGSPFLLAAPGIVLSYWWRCSSSGGFSTAE >ORUFI05G03610.1 pep chromosome:OR_W1943:5:2609331:2613362:1 gene:ORUFI05G03610 transcript:ORUFI05G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEGPEPLKYQTLALKVSIHCEGCKKKVKKVLHSIEGVYKTDIDVQHQKVVVIGNVSVDTLVKKLVKTGKHAEPWPEPAPPPPAAAAAANSPGGGGGGKKKKKNKNKNKNPANGQPGEPAPPAATAEVAAGGGGGGGSVPPENDDHGGSCDEASDDEGNKQGGGGGSPKTMVAGDGQGGGAGAVAPPFAMTPHGKQPVAPPGNGNGGGGGGGGGGKKKGKKGGGGGGGGNGNGNGNAAAAAANATGDGAGAVVEASPQDAPTKPSPGNAGPLAVVDGGVFYPPATPMSYPASYYGGGGGGHMPPYVMSYSTAHPLRSSAYYYPMAGGFGGGGGGGYLYSTAAAAPPPASSYYMFSEENANACSVM >ORUFI05G03610.2 pep chromosome:OR_W1943:5:2610772:2613362:1 gene:ORUFI05G03610 transcript:ORUFI05G03610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEGPEPLKYQTLALKVSIHCEGCKKKVKKVLHSIEGVYKTDIDVQHQKVVVIGNVSVDTLVKKLVKTGKHAEPWPEPAPPPPAAAAAANSPGGGGGGKKKKKNKNKNKNPANGQPGEPAPPAATAEVAAGGGGGGGSVPPENDDHGGSCDEASDDEGNKQGGGGGSPKTMVAGDGQGGGAGAVAPPFAMTPHGKQPVAPPGNGNGGGGGGGGGGKKKGKKGGGGGGGGNGNGNGNAAAAAANATGDGAGAVVEASPQDAPTKPSPGNAGPLAVVDGGVFYPPATPMSYPASYYGGGGGGHMPPYVMSYSTAHPLRSSAYYYPMAGGFGGGGGGGYLYSTAAAAPPPASSYYMFSEENANACSVM >ORUFI05G03620.1 pep chromosome:OR_W1943:5:2621463:2627618:-1 gene:ORUFI05G03620 transcript:ORUFI05G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAAAAVTLGGKGAALTPAAAARGDVAAFDVPTSGDGLSAKDEADVAADVKMLLFGSKLVGAAGGADAASFTKVPTVNGIFREAVRALHARVRIELNAPVKLGKRDAVQTGEGKEEALVVLATQLARPVQAMLKLSVARARLCVARIDDAELRKKLTDGVEIDDLKGMLGKVTIDSDAVSVLRGVYNSLLKFRDILAWEAAVAMAVIEMDSSIEKPQAGEENEAGSSTENPHASGEKPKGDKKSKKKKTLGKGTSAVLMLLRDHVTNGKEVLSVNSALLAEWGTELSLLFDPKCPRLVSLVDKVKEIVETNEVRRLPKIPKGTRDFGKEQMAIREHAFSIITGVFKMHGAVSLDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYVAMNNISSLKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGVYETMEPDFEVIKVLTELLDQLDIGTYEIKLNHRKLLDGMLEICGVPPEKFRTVCSSIDKLDKQTFEQVKKELVDEKGISNETADKIGDLVKTRGPPLEVLLELRKEGSKFMGNAGSVTALNELEILFKALDKANAIGKIVFDLSLARGLDYYTGVIYEAVFKGTTQVGSIAAGGRYDNLVGMFSGKQVPAVGVSLGIERVFAIMEQQEMEKNQIRATETEVLVSIIGKDLILAAELVSELWNAGIKAEFKLTTRIQNHLKYATQSGIPWMVLVGESEISSGKVKLKNLAASQEEEVDRTEFAQVSGGDEATATGGEVGGLEGKRRMWVR >ORUFI05G03620.2 pep chromosome:OR_W1943:5:2621463:2627618:-1 gene:ORUFI05G03620 transcript:ORUFI05G03620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAAAAVTLGGKGAALTPAAVYALSHGLADPAIDPSALQRLSTRGPSPQDTPASLRGLALSPPESRAAAAVLLNKLLVTAGDSSALVTAATATGLAGSLDLAAALPPASRDEAAVAAASAPVAVALAAAIDCCASPLVRVADAVAALSCEAARGDVAAFDVPTSGDGLSAKDEADVAADVKMLLFGSKLVGAAGGADAASFTKVPTVNGIFREAVRALHARVRIELNAPVKLGKRDAVQTGEGKEEALVVLATQLARPVQAMLKLSVARARLCVARIDDAELRKKLTDGVEIDDLKGMLGKVTIDSDAVSVLRGVYNSLLKFRDILAWEAAVAMAVIEMDSSIEKPQAGEENEAGSSTENPHASGEKPKGDKKSKKKKTLGKGTSAVLMLLRDHVTNGKEVLSVNSALLAEWGTELSLLFDPKCPRLVSLVDKVKEIVETNEVRRLPKIPKGTRDFGKEQMAIREHAFSIITGVFKMHGAVSLDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYVAMNNISSLKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGVYETMEPDFEVIKVLTELLDQLDIGTYEIKLNHRKLLDGMLEICGVPPEKFRTVCSSIDKLDKQTFEQVKKELVDEKGISNETADKIGDLVKTRGPPLEVLLELRKEGSKFMGNAGSVTALNELEILFKALDKANAIGKIVFDLSLARGLDYYTGVIYEAVFKGTTQVGSIAAGGRYDNLVGMFSGKQVPAVGVSLGIERVFAIMEQQEMEKNQIRATETEVLVSIIGKDLILAAELVSELWNAGIKAEFKLTTRIQNHLKYATQSGIPWMVLVGESEISSGKVKLKNLAASQEEEVDRTEFAQVSGGDEATATGGEVGGLEGKRRMWVR >ORUFI05G03630.1 pep chromosome:OR_W1943:5:2621478:2624617:1 gene:ORUFI05G03630 transcript:ORUFI05G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIIDGKAVAADIRREVAADVAALSSAHNLVPGLAVVIVGSRKDSQTYVQMKRKACAEVGIRSVDVDLAEDISEAALVAEVHRLNADPAVHGILVQLPLPKHINEEKILNEISLEKDVDGFHPLNIGKLAMKGRDPLFLPCTPKGCMELLTRSGVTINGKRAVVVGRSNIVGLPVSLLLLKADATVSIVHSRTPNPESIVREADIVIAAAGQAMMIKGDWIKPGAAVIDVGTNSISDPTRKSGYRLVGDVDFAEVSKVAGHLTPVPGGVGPMTVAMLLKNTVDGAKRGIVQ >ORUFI05G03640.1 pep chromosome:OR_W1943:5:2627776:2630524:-1 gene:ORUFI05G03640 transcript:ORUFI05G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIASTDLIDLSCNFQMNNVLSVATPLFVVGSPPCHLFGCGVAASPRRRCTVSLRCRRFPPPPLSYLIIVAHGRSVIVVSLRRGHHPQGGAPSSSPLPPRPPSPEPRSADAVHIICHQPRIASSLRPPLASTRERIGERGRKKKAREEEHLGGGEGEGAAAALRSRRSSAAAAAVGNMMRALQTNTCHRNKYAAAADITLPNPAMRGEAEFNL >ORUFI05G03650.1 pep chromosome:OR_W1943:5:2628357:2629939:1 gene:ORUFI05G03650 transcript:ORUFI05G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIAVGRRWKPAARGGRKAVSCSTNTNAREMLFLSPPRTTRLHLCVYFRFTAHRRVREGDVSGGSVLVAVAGVRLQRPHHVANGRGGGAAPAAAQGGRGAFALAAAKMMWTASALLGSGDGGRGGRGEDDGAPPCGWWPRRKETTMTLLPWATMIR >ORUFI05G03660.1 pep chromosome:OR_W1943:5:2630538:2640239:-1 gene:ORUFI05G03660 transcript:ORUFI05G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARFPYSPAEVAKVEAVQFGVLSPDEIMGLIIFRVIRFNRLSASLNARTQKLHVECVRNTIFGMRQMSVVHIEHAETMEKGKPKPGGLSDPRMGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEDDIKFKQALKIRNPKNKLKRIYDACKNRKICAGGDNLDVQEQQGTDDPVKKRGGCGAQQPNITVDGMKMVAEYKAPKKKNDDQEQLPEPVDRKQILSAERVLNVLKHISDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFDGKVPAPAILKPRPIWTGKQVFNLIIPKQINLIRFSGWHSEAETGFITPGDTMVRIEKGELLSGTLCKKTLGTSTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADAATMENINETISKAKNDVKKLIKQFRDNQLEAEAGRTTSFENRVNEVLNKARDVAGSSAEKSLSESNNLKAMATAGSKGTFINISQMTACVGQQNVEGKRIPFGFTNRTLPHFTKNDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAIWIESQKLDSLKMKKAEFDNVFRYELDDENWKPNYLSTQHAEDLKTISEIRNVFEAEVQKLEADRFQLGTEIATTGDNTWPMPVNLKRLIWNAQKTFKIDLRRPSDMHPMEIVDAIDKLQERLKVVPGDDDISIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKNIKTPSLSVHLKPEVNKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDAEFVQSYYEMPDEDVDPDKISPWLLRIELNREMMVDKKLSMADIAEKINHEFDDDLSCIFNDDNADKLILRVRITNDEAQKGEIQDEYGEDDVFLKKIESNMLTEMALRGIPGINKVFIKEGNVNKFEDNDGFKTEKGWMLDTEGVNLLAVMCHEDVDATRTTIIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAVLCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDPLRGVSENIMLGQLAPIGTGGCDLYLNDQMLKQAIELQLPSYVEGLDFGMMTPACSPISGTPYHQGMMSPSYLLSPDIRASPTAADAQFSPYVGGMAFSPVSSPGNYTPSSGGGYSPSPPVCTPGPGSFTSSSPYNPVSPFYSPASPLSCPLTSPSYVPTSLPHSPTSPIYSATSPIYSPSSPIYSPTSLSYSPTSPVYSPTSPVYHPTSSAYSPTSPSYNPTSPSYSYSPTSPSYSPTSPSYSYSPTSPSYSPTSPSYSYSPTSPSCSYSPTSPSYSPTSPSYSYSPTSPSYSPTSPSYSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPGYSPTSPSYSPSSPSYNPSSVKYTPSHAYSPSSPNYYSSTSPTYSPTSPSYSQPSPSYSPTSRVEEEAHEEAEEEEPKR >ORUFI05G03670.1 pep chromosome:OR_W1943:5:2650227:2651323:1 gene:ORUFI05G03670 transcript:ORUFI05G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARARRAVAVAVAVLHLLLLTASQLAAPALSAMAPPAAPLPGSADPRCHASPPRRGAVAVYPSDMAHIQFLLNAKFVEAEWFLHGALGRGIDFIDGALSGGGPPPTGARKATLDFRATEVAAELGYQEVGHIRAITQSMGGFPRPAIDLSDAVFAAVMDDAMATRLDPPFDPYASSVNFLLASYILPHITASAALQASMLAVEAGQDAVIRMMLYERADEVVAPYKGRTVAEFTRRISEWRNAASRCGAKDEGVKVLDRRQGAERRTVSNILGAGDDSLGFARTPAEVLRILYGSGNEQVPGGFLPRGGNGTIARGFFQLA >ORUFI05G03680.1 pep chromosome:OR_W1943:5:2652263:2652844:1 gene:ORUFI05G03680 transcript:ORUFI05G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAAAQEGITHRAVRGDGGDAAATAGGGEAASRDPRKAGRAKRGLRSLAAAVSVSVALMAASFYGSGSASASASAARVTVARAGSVAAEAVMALAAWMVWAEGGLHRRPGATLAPFVAQLVAALAWAPLALGLAAPAAGLACCAAMAAGAAACARGFGGVNPVAGDLAKPCVAWAVLLAVINYKMMN >ORUFI05G03690.1 pep chromosome:OR_W1943:5:2653819:2655270:-1 gene:ORUFI05G03690 transcript:ORUFI05G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGSDAPISNRPEEEVTVEKTPEMEAAAEEERLRYLEFVQQAAAQVLVLAAAAYAYAKQGAGPLRPGVDHVEGTVKAVVGPVYDRFHGVPLDLLKFLDRKVGESVQELDRRVPPVVKEAPGLARSAAAEVRQAGLVGTATGLAKSAIARAEPRARDLYTRYEPVAERKAAEAWAALNRLPLVPSVTRAVLPAAASLSARYNTAVADGAKRGSAVATYLPLVPTERLSRVFGYPLADAATSPAPEMQAIPSQ >ORUFI05G03700.1 pep chromosome:OR_W1943:5:2656572:2660585:-1 gene:ORUFI05G03700 transcript:ORUFI05G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTDADAAPVAPVLEEKPPTPPPDGDEVPSAPAAAAAAEQPKVVEEEEVRLEGKGGGFGGQEVEVAGDGEDGGEVEVAEAKDEGGGGEFAGGDAKAASSLLAAAAEEEEEEEEASNGELGEEDAYPASSDAAVGEEKGELGEEPEEKAPALAPEANGAAESDVEEKPEEDNEGEEVATGGGDDGELGMEKEVDVSAGAAEAPQPEDKVAPEAEANGDLGDKAEEEASASAAVEVVEESNAPEELLEKAVVSEANGVAAAVELAVEEKLEDNKGEEEEMEAKPEPVSGVIPVVVDDTSSEMIAPVSAESAVEESTEKEQTVDDTSSEMIAHVSAESAVEESTEKEQTVESEASESVEIVGVEKPTEDESNVDGGASSVVSRELAPEETKENNVGQEDEGVAEVIDREEDADDDEEIVLAAADDEDDGTNEADDDEDGVGSDRGPARVAIIESSEAAKQIMKELGEGSASVSPVSGLSSSREYTNSMDGQIVLDDSEEDGDDDDNEDDDEKGFDSAALAALLKAATGASADGNVTVSSQDGSRIFSMDRPAGLGSSAPSLRPTAPRPVARSNLFSPSELAVTAEPTEEMTEEEKKLHDKVELIRVKFLRLVYRLGATPEETVAAQVLYRLSLAEGIRHGRQTNRAFSLDNARKKAMLLEAEGKEELNFSCNILVLGKIGVGKSATINSIFGEEKSKTDAFSSATNSVREIVGNVDGVQIRIIDTPGLRPNVMDQGSNRKILASVKKYTKRCPPDIVLYVDRLDSLSRDLNDLPLLKTITSVLGSSIWFNAIVALTHAASAPPEGLNGAPMTYEVLMAQRSHIIQQSIRQAAGDMRLMNPVALVENHPSCRRNREGQKVLPNGQSWRHQMLLLCYSSKILSEANSLLKLQDPNPGKLFGFRFRSPPLPFLLSSLLQSRAHPKLSPDQGGNEGDSDIDLDDYSDIEQDEDEEEYDQLPPFKPLTKSQLARLTKEQKNAYFDEYDYRVKLLQKKQWKDEIRRLKEMKKRGKTDMDAYGYANIAGENDLDPPPENVSVPLPDMVLPPSFDCDNPTYRYRFLEPTSTVLARPVLDAHGWDHDCGYDGVSVEETLALLNKFPANMAVQVTKDKKEFSIHLDSSISAKLGEDASSLAGFDIQTVGRQLAYILRGETKFKNIKKNKTTGGFSVTFLGDIVATGLKVEDQLSLGKRLALVASTGAMRAQGDTAYGANLEARLKDKDYPIGQSLSTLGLSLMKWRRDLALGANLQSQFSIGRGSKMVVRLGLNNKLSGQITVRTSTSEQVQIALLGLIPVAASIYRSFRPSEPSFAY >ORUFI05G03710.1 pep chromosome:OR_W1943:5:2666160:2666555:1 gene:ORUFI05G03710 transcript:ORUFI05G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPASGGGGGGGGRRLFPCLFCEKKFVKSQALGGHQNAHRKERGAAAAAGCLNPYVFYGAGAAAAPAPATLSLLLQVDNSYTTTSYIDEHGRAAAPPPPNSDHFFWTTAGAASRGGAGAGGEVDLELRLF >ORUFI05G03720.1 pep chromosome:OR_W1943:5:2667914:2668300:-1 gene:ORUFI05G03720 transcript:ORUFI05G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDSPEHRREREELHAYLDKVELEFEAFQEEVRREVQETGGYLQTFDEAAHADMEEFIAQAMEEWTGTGLNRVSTLNVLTFLSIHRSLCRSITVYLIVSSLCYASLFQFLQYLGDQNFSSFLKKKLF >ORUFI05G03730.1 pep chromosome:OR_W1943:5:2668299:2671137:1 gene:ORUFI05G03730 transcript:ORUFI05G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKFGDGPFSHARMKFGRQLHATRLLRRPGDRLLLIRLLLLALRRRRRVQDRRVVGVGIGIHVLGDVDEVMRIVEGRDCGAENTLKPGDVIQCRECGYRILYKKRTRRSMFNP >ORUFI05G03740.1 pep chromosome:OR_W1943:5:2680482:2680892:1 gene:ORUFI05G03740 transcript:ORUFI05G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFKHTGDAAEVGTTTGCAAAAVAGPRRVKLPMPQATIGFILAWRKGPSPNLEEMDDSEFLSPEHRRQREELHAYLDKLDLEFDEFQDEVRREVQETGGYLQTFDEAAHAETEKVVAQAREEWVGIDWAALHRL >ORUFI05G03750.1 pep chromosome:OR_W1943:5:2684964:2685407:1 gene:ORUFI05G03750 transcript:ORUFI05G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSISSSIVPRCTTELLLGKCPLCGTQLEARTSRTPMNPNNKFVKCPNLEHTPYAYRFFVGRSVCAIFGQWPCRSGTSDRTWTFNVEAMSSMGIEGLELKGFAAVGRMLVYLTVVQACC >ORUFI05G03760.1 pep chromosome:OR_W1943:5:2685762:2689532:-1 gene:ORUFI05G03760 transcript:ORUFI05G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQLFFPHRFLRSRHVSFLDSNSLCLCVAAASSQSNLVNCIFIDIQEGICWTCGSNDSQGSMNGLRALWQFILVCNGIDLSF >ORUFI05G03770.1 pep chromosome:OR_W1943:5:2702574:2704956:1 gene:ORUFI05G03770 transcript:ORUFI05G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGVRGGGGGASGSGGGGGGERWRWILFFAMVSVFFLLSLLLLLFSSSPPRLRLPGPAAAAPSLADDLRCGHGAPPCLAYLLVGARGDGARLLRLLLAVYHPRNRYVLHLSADASDSERRDLAAWVAAATPAVGAFRNVAVVGAPTAGTPVGSSGLAGTLRAAAVLLRLHPDWDWFITLNAADYPVVTQDERLICETLHSSRLIKNISQLYYKHVFLLLSFLSKWTYFYANLIYVLSNVSRQFNFVDHTSDIGQKESEKVQSMIVDAGIYLAGRTNFFRASEKRPTPDAFKFFTGISCCLCFCFGSWDSSPWVILNRQFIEYCILGWENLPRILLMYFNNIMLPQEGYFHSVICNSLEFRNFTVNNDLRYKAWDNPPQTEPVFLDMTHYDKMVDSGAPFARRFRENESLLDKIDGNVLGRWGHGPVPGAWCSGRKSWFSDPCSQWSDVNIVRPGPQGIKLRQYINRALEGGEFGSKSCRR >ORUFI05G03780.1 pep chromosome:OR_W1943:5:2707804:2714870:1 gene:ORUFI05G03780 transcript:ORUFI05G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARILPMAASSSSSKRRAMGMAASDQGDTSAHAMKKPRVRVAPDSSASEDDTDEDHGHDEGDGGEEEEEEEEPDGDGEEESQSYQDPLESDGDGVDEEASAGDMAASEPAAPSTRAAVAGVTVEDADALECGVCFLLLRPPIFQCEVGHVVCAPCRDTLAPAGRCYVCRVAVAGGEYRRCYALERLVDAIRVACPHAAHGCAARPAYHDVEAHRLACPHGPCHCPGERCGFVGSTAALLDHFAATHNWPCTTNVRAREVFDVRLHDGFNFLVVGGASRHHLVMMNMTREPLGRAITVLRIHPHATGRIQCELSLSRHVVLGDSWGLYRSHYQKSVFDVGCSDLADGLPDAKQCFQFVVPRCVAGDDDEGGTGIRINLAPAGRCHVCRVAVAGGEYRRCYALERLVDAIRVACPHAAHGCGATPAYHALDAHRRACPHAPCHCPGERCGFVGSTVALQDHIAATHSWPCTTNVRAGETVSVHLRDGLAFLRVHHHRRRGSATYSDHLIMLNVTREPYGRAVSVLCIRPHAAAEHQVSPPPPPAMQCELLLVSRFGYDGDGGHCRSHYQKSEFLIGCSDLADGLPDREQSFQFMVPRCVVGDDDEGGIQIHVPMSEEEEDDNGGCEEEESQRETAVVEEEEEESTGVHVGEAEMAASEEQAPPSSSRRAFVTVADADALECGVCRLPLRPPVFQCEDGHVVCSPCRDKLAAAAAVRCHATGAATPWSAWWTPSASRARTPPTAAPPHGLDAHRRACPHAPCHCPGERCGFVGSTAALVDHFAAAHRWPCAWASEAVSVLLRDGLNFLRVVDLRRPGDASHHRLVMLNVTREALGRAISVLCIHPLAAAAAAKTMQCELELFVPLNGDDGVDGGQLRRRHYQKSEFPLGCGDLADHKTTFKFVVPRCVVGDDDEGGIRIRVRII >ORUFI05G03790.1 pep chromosome:OR_W1943:5:2717612:2718575:1 gene:ORUFI05G03790 transcript:ORUFI05G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDHEGDTMARKKRRVYVAIEEIESHGHEEDDDGGEEVEEEDEQSHGEADGDGDDAAAAMEESDGHDEEGDNGGDEPDQSPDGDDMEEEEERGGGGGGGGGVHGGEAEVETFRHSEQASSARPVVAVAGVTVEDADALECGVAHAPCRCPGESCGFAGSTAALLDHFAAAHAWPCVADVRAGETHRLHDGFNFHRVEHRGGGDHRLIMLNMTREPLGRAISVLCIHPHAAPAAEMQCELRLHVSRPADDAGGGLCISHYQKSVFHIGYSDLADGVPDRRRRFQFVVPRHVVGGDNEDGVQIRVRIKY >ORUFI05G03800.1 pep chromosome:OR_W1943:5:2722466:2728584:1 gene:ORUFI05G03800 transcript:ORUFI05G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVDDAFLGVGDKPGLDIWCIMGSNLIAIEKSLHGKFYTGNTYIILSTVELKSGVHQHNVHYWVGEEAKEEDCLTASDKAIELDVALGSNTVQYRETQGEESDKFLSYFKPCIIPIQGSLSSHMRIYGDKSKDTTMFRCEGEHVARVTEVPFSRSSLDHKAVFVVDTESKIFLFSGCNSSMQTRAKALDVVKHLKENRHCGRCEIATIEDGKLVGDSDAGDFWNLFGGYAPIPRDVQDTVMTELMTTSSKKLFWINKRNLVPVETNLLEREMLNSDRNYILDCGTEVFLWMGMTTLVSERRTSVTALEDYVRCEGRQSNARSVILTEGHETVEFKMHFQHWPKNAVPKLYEAGREKVAAIFKHQGYDVTEIPEDKPRHFISCNGSLKVWLVDNGSVTLLCTEEQEQLYNGDCYIIRYSYIEDGKDYHLFFAWSGLNSINEDRVAAASLMSGMIDSVKGHAVVAQVFEGREPEMFFLVFKSLIIFKGGRSMEYKNFVSQRSDANGWYQKNGVALFRVQGLKHDCIRAIQVDLAASSLNSSHCYILQAGGSFFTWLGSLSSPSDHNLLDRMMDKLCPLKQSLLVREGSEPDRFWEALGGRSEYSREKQAKEVFSFSQDDLVTEEILILDCVEELHIWVGHQSGVLSKEQALDIGKMFLQAGIHQDGRRPIDTTMYIVTEGDEPRFFTSFFNWDYSKQTEAGNPERNFTKAGDTGEKPTQIIIVFAAEKVAGDIVVGADHAGAASGGEDVRLGLYREATQGEITGRAVTVAVDAFAVSEKPLLRLLVAGVVELDAVHGGAAALPALAARVGGGGCHRDPSPAVSFS >ORUFI05G03810.1 pep chromosome:OR_W1943:5:2729432:2733438:1 gene:ORUFI05G03810 transcript:ORUFI05G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEAATAAAAAQLHHLDLVRFEAAEGDEKRHGDHGLVVYTAPKTTVMCVDGAVVDKTDAAALVVVDRSSLHPGMEVTSASDPAGQIGVVTAVSTAVDLVEHRADGDYGDAEAAPAARGLSPSGLRRVTEFSLGDYVVCSGGGDQWLGRVVEVCVAVDVVFDDGAACRITGDRAQDRVIEVEVAANTYHRRGMNGAFYPGQRVTGHHVLASPSIAFKDARWLRGYWKLTRLEGTVAKVAMTGVLVYWIASAQLGTSKSLINASSPPAFQDPGDLTLFCSDDECPWAFGDRCFIATPPRHRRRRRQPRVPTDDDKQEASPAAATTNQDAAAAAPPVEKKENTYRNQLRKFFYKRDLRATRWGARARAVDKVMLVSGTRTTADVLWQDGTLRRGVPSLELVPFDILNDHEFFPGQHVVVDTMAAAEATTAAARRVGVVRRVDPKDQTVRVSWLDGGDGGGEETVASAYDLRKYSRHDVFYGDVVVRLLPPPPESADAAGEGAAPPAQGTKAAAADLSWVGRVVDVRDGHVQVRWGNGETSTAVHSEVRGVDMRSFWALEHEVGPWLAEGRDRAAAALAQPPPPPPPPPPPPAAGNNNNNVANAGAAGNTAGPAAAAPAPSPTLIVRVSAAVRKVFDAASQLVALGKSYLVTVSSSSSISAAAATATGNAEAPPPAGPTAGGDVNVEPAPAVPAAVAVNGVAGEDAAAPAPDAAALPSSSDAGGGDGDGDGDGGDSAGDGGGKEKVEDDSLGVAHFDVVQCPPDHHFLDCKLEGAAHGNKWVKRVQKEWQILGNDNLPGTIYVRAFEDRMDLLRAAMVGAAGSPYHDGLFLFDLHLPATYPAAPPEVYYHSFGLRVNPNLYPSGTVCLSLLNTFDGEGVEVWSPARSTLLQVLVSIHGLVLTADPYYNEAGYDAYAGTPGGRRNAASYAENACLLTLRSALHLLRRPPRGFEGVVGAHFRRRGAHVLAACESYLRGTRVAGDGGDGGGGERTCSAGFRLALRNVVPVLAAAFAEIGVEGCERFGDGELGQCSLTAIDDSAASADASD >ORUFI05G03820.1 pep chromosome:OR_W1943:5:2734373:2739236:1 gene:ORUFI05G03820 transcript:ORUFI05G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTSDEDPELEAYGSDTYALLEAGDIKVMNDKNMYQCPFCSDEKNDYNAHGLLQHALAVGSADDRPAKEKANHRALALHLKDDTAESSRPLSQPPQHSKNDLFVWPWMGIIVNMPAEYVGKSANRLKEHFSCYHPSKVYSVYSKGRPSGNAIIEFGKDWSSFRNALQFESEFEKKGCGKKAWLDSGRGGPEPFGWIARADDYNSSGPIGEHLKRNGDLKTVSDVENEGTVKTDKLMASLACQVKEKEIHLEKLQSVYDERYLSLGKMMESRENMLKSCNTEIQKMQQLAYEQAQRTVDENQKLRLNLQSMMHELDANCKRLEELTAQADSDKRNLEVLMQKNAKKADHLRLAELEQQKADGNVLRLVEEHNREKEATLNSNMRLHEQLDRKQKLELEIAHLKGKLQVTKHTQGTEDSDLEKTIEALTNELEEKIEDMNYMDNMNQTLILKEKRSKDELQEARKLVIDSLQRLPSDIRSQAQIGIKRIGELDLKVFSDACRRRFSEDFAEVESSVLCSKWQNEIKDPEWHPFKSVWVNGKESKVIREDDEKLQQLKEEYGQEVYDAVTNALFELDMHSIGGRDPFLELWNYEEGRKAGTREVIQQVIELYKATKRRR >ORUFI05G03820.2 pep chromosome:OR_W1943:5:2735485:2739236:1 gene:ORUFI05G03820 transcript:ORUFI05G03820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTSDEDPELEAYGSDTYALLEAGDIKVMNDKNMYQCPFCSDEKNDYNAHGLLQHALAVGSADDRPAKEKANHRALALHLKDDTAESSRPLSQPPQHSKNDLFVWPWMGIIVNMPAEYVGKSANRLKEHFSCYHPSKVYSVYSKGRPSGNAIIEFGKDWSSFRNALQFESEFEKKGCGKKAWLDSGRGGPEPFGWIARADDYNSSGPIGEHLKRNGDLKTVSDVENEGTVKTDKLMASLACQVKEKEIHLEKLQSVYDERYLSLGKMMESRENMLKSCNTEIQKMQQLAYEQAQRTVDENQKLRLNLQSMMHELDANCKRLEELTAQADSDKRNLEVLMQKNAKKADHLRLAELEQQKADGNVLRLVEEHNREKEATLNSNMRLHEQLDRKQKLELEIAHLKGKLQVTKHTQGTEDSDLEKTIEALTNELEEKIEDMNYMDNMNQTLILKEKRSKDELQEARKLVIDSLQRLPSDIRSQAQIGIKRIGELDLKVFSDACRRRFSEDFAEVESSVLCSKWQNEIKDPEWHPFKSVWVNGKESKVIREDDEKLQQLKEEYGQEVYDAVTNALFELDMHSIGGRDPFLELWNYEEGRKAGTREVIQQVIELYKATKRRR >ORUFI05G03830.1 pep chromosome:OR_W1943:5:2741473:2745905:-1 gene:ORUFI05G03830 transcript:ORUFI05G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAGGGSAAAANAKKKKKMGEEKLIIMSEKVRFIDILSLLLLRRPITSYHFVDAGDATAAAAGELGSTPGEWLVALTEIIQKALAAAYYPAKYLGAAVEFFLNFVSLNGGVIGILWNIVRFKLVIPLNREAPNFRSMIAMIDGRTELKPMKPAATAGVEDDDLESGGCAAAGVPLIRRHLVDGEHLLAEQYSISEFNFVGFYSCWNRSTNLVVAGNGGRGAEFIGSETTQAFVMTERATDAAAIVVAFRGTEPFNMQDWSTDVNLSWLGMAAMGHVHVGFLKALGLQEVDAKDAARAFPREPPAAAALVGRSFAYYKLRDVLRDQLRRHPNARVVVTGHSLGGALAAAFPALLAFHGEADVVSRIAAVHTYGQPRVGDATFAGFLAANAATPVAFQRVVYRYDIVPRVPFDVPPVADFRHGGTCVYYDGWYAGRTLAAGEDAPNKNYFNPKYIVSMYGNAWGDLFKAMFLWAKEGKDYREGPVSIVYRAAGLLFPGLASHSPRDYVNAIRLGHVAPKEA >ORUFI05G03840.1 pep chromosome:OR_W1943:5:2755336:2756859:1 gene:ORUFI05G03840 transcript:ORUFI05G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGLPWQSPAGERWDATSLAGALKAAAGVRSATHVMPLYAVLLKLGLSASAILATSLAHLALRCGLPGYARRVFDEMPHRDVVSWTSLITGHAHQGLYQDSLALLRRMVISGVVPNGYSLSGALLACAGIGPGALAAGKEIHARVVKMSLHGSVDAVVENGVLDMYTRCGKIDYARKLFGVMLVRDIVAWNSMMAGCLRSGQAEEALGLFSSMVSSGVDADGFSFAISVDACGELALLKQGMQAHARVIRGGFDSDVVVRNSLVDMYAKCGCVDSAGLVFRDALSSDAVLWTTMISAYGKFGRVHDAICMFDRMSQLGIKRDGVAYLAVLSACSHSGLVKEGWNYFKLMFHGQNSVKMQPEHYGCMADLLCRSGYLEEALDFITNMPFESSIAAWSALLNSCRIHGNAKLGQLAASRLVQLDPENHSNWVALSNVHASESDWHETWMIRESMSIECVKKEPGCSWVELHDGVHVFLMADQSQPELVDVLQTLDSLKEDIYWLMPT >ORUFI05G03850.1 pep chromosome:OR_W1943:5:2756164:2765805:-1 gene:ORUFI05G03850 transcript:ORUFI05G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPLPPTHALPPPPASDAEPPTCRHAVLSAAVPPSSSLDVERTRGALWQRDQLRRCRHFSPESKENGVIIHQQSGAHYCADLGHQGAVIQTPFFTTGIWVELASKRWGHMEDFEYGVYDDQTDHEGSLSSSGSSTDSSPINLSTESIRRQCIPKDKPC >ORUFI05G03870.1 pep chromosome:OR_W1943:5:2778803:2779663:1 gene:ORUFI05G03870 transcript:ORUFI05G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSQEPALFNDTIRANIAYGKQGEVIESDIVCAAPLVNAHKFISSLHQVKFKFSSPSIIETWCSCFPTENVSPEETKCRDMEPWSGSVELSYHINLRLCMLDGVGSKSLAQAKRCDSLVHD >ORUFI05G03880.1 pep chromosome:OR_W1943:5:2791542:2794882:-1 gene:ORUFI05G03880 transcript:ORUFI05G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVPPPEDGDGRPIAMEGQAAEDLAASALAARGLVTPPGGLGFDALAVAADGHVQQNANASALVTARTLLPNAPLPAAMEINLPVLQVQRKNVTKAAAAEPSTPWRPTREEILRDSPGRVTRSKLAMLLREGTSSQTDTTSPMRMPTAAAPKKMTPKRKLHIAYQPSQASQCSSHGQAPAGQPSQASQATS >ORUFI05G03890.1 pep chromosome:OR_W1943:5:2828133:2829045:-1 gene:ORUFI05G03890 transcript:ORUFI05G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIWLAITFLLMFQLPASMFVARSTKANAGDMATMRVLAQFLGADRALGWGRASPDPCDGSWLGITCDASGYVVYIIANNSGLTGHLPRETRNLSMLAAIYLNNNSLSGDVPPLGPNLMEISLSYNRFMSISPEFFKDMEIVFSLSAVNANIIGPIPEFVGDHFKYLGTLKLQGNSLFGHIPTSFASTRIRSVQLQDQRGLDKLSGPIFMLNATNIVYLDLHGNQFTGPIPNLASNIEMEYIDLSKNALTGDVPQSLMQLHHLRVLNLSDNSLCGQLPKFIKKYDCKD >ORUFI05G03900.1 pep chromosome:OR_W1943:5:2837260:2839093:1 gene:ORUFI05G03900 transcript:ORUFI05G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLASFQHEERREKENKGMPVMRQRLLCAPGINPIDLTASGAPLQPSDRQREEPAAVAGATTGAAPLPQAEANKKSKQRIPAPIGEIQNVSLSEQNNTYNMHILWIGSRRAQEPQLRKQNRMNENNPTVIHLLREVTN >ORUFI05G03900.2 pep chromosome:OR_W1943:5:2837260:2841469:1 gene:ORUFI05G03900 transcript:ORUFI05G03900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLASFQHEERREKENKGMPVMRQRLLCAPGINPIDLTASGAPLQPSDRQREEPAAVAGATTGAAPLPQAEANKKSKQRIPAPIGEIQNVSLSEQNNTYNMHILWRMNENNPTWLRS >ORUFI05G03900.3 pep chromosome:OR_W1943:5:2837260:2841469:1 gene:ORUFI05G03900 transcript:ORUFI05G03900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLASFQHEERREKENKGMPVMRQRLLCAPGINPIDLTASGAPLQPSDRQREEPAAVAGATTGAAPLPQAEANKKSKQRIPAPIGEIQNRMNENNPTWLRS >ORUFI05G03910.1 pep chromosome:OR_W1943:5:2850343:2852795:1 gene:ORUFI05G03910 transcript:ORUFI05G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDQAVAVAAAPRANKRAKVAAEEEEYDEPCRSPPAPAAKKKVARLTVWCKSLVFHGEGYAVFDDADGRMVFRVDSYGAGRRLVALMDHAGRVLLTVVRRHRRHCRVLLMSLRPDTWEVYKGDVGDGDGAATCSQDEPPRLVMRATKDLGNPSCTVSMLAAGAGAGNGDEAACGHGVYRMSWSRREEWSRVHCSSGCINSLVAEARIKFLQLLDHPPRRDQPPPHLCLGSDRARTHPSSLSSLFTYNTTKLTVLPLSSLTSPILTTPMSSPTSRSGVGREKVGGDTLGVRDDDRGVEKVEEIKYYNNSAQNDAPNRYRGEKEERRAEEDDAAGEGRVVTDGAARDGPGRRHGHAHDLQLLPLTDRLTG >ORUFI05G03920.1 pep chromosome:OR_W1943:5:2853523:2860102:-1 gene:ORUFI05G03920 transcript:ORUFI05G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHELLLALLGFTGDFVLDAASSPSATRRRRPVPPEAAAGGDVGPASFRLAPDLTFLQPSERSAIERLISLGFYYRELNRFATESRDLSWINSPIDVSPTHGEKTVKAKVRKGSAYRRAIANGIAEILSVYRSAVLQVEQNLLSDPLPILATVTHGLNKFEVLLPPLHELVMEIEQKDIKGGQLLNLLHKRCHCGVPELQSCIQRLLWHGHQVMFNQLTSWMVYGILQDQYNEFFIRRQEDRDKENDSSQVDVADKFTQKSAKETSLTSWHTGFHVSLDMLPEYIHMRVAESILFAGKAIRVLRNPSPGATLQESMNQSQNVKGSYRMQSLIGGSGALKELPNFPNISAEELLPQAEADKIDAMLKELKHSSEFHKRLFESAVGSIRTMAANHLWQCFLEESRQLMRLPPRQSTAEADLMVPFQLAALKTIGDEDKYFARVSLRMSSFGIKASTSQKDLQKSSTPEISSQGKATSELALDGWDSIALEYSVDWPLQLFFTPDVVSKYRKVFQYLIRLKRTQMELEKSWAAVMHQDHADFSDYCKDRKNGDATQLRRQRSKPFWRVREHMAFLIRNLQFYIQVDVIESQWNVLQAHVQDSHDFTELVTFHQEYLSALISQSFLDIGSVSRILDSIMKLCLQFCWSIEQYENRRNMLEIDHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNYNSFFETTARGVMNSAGGRLRPSTAGTQL >ORUFI05G03920.2 pep chromosome:OR_W1943:5:2853523:2860102:-1 gene:ORUFI05G03920 transcript:ORUFI05G03920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHELLLALLGFTGDFVLDAASSPSATRRRRPVPPEAAAGGDVGPASFRLAPDLTFLQPSERSAIERLISLGFYYRELNRFATESRDLSWINSPIDVSPTHGEKTVKAKVRKGSAYRRAIANGIAEILSVYRSAVLQVEQNLLSDPLPILATVTHGLNKFEVLLPPLHELVMEIEQKDIKGGQLLNLLHKRCHCGVPELQSCIQRLLWHGHQVMFNQLTSWMVYGILQDQYNEFFIRRQEDRDKENDSSQVDVADKFTQKSAKETSLTSWHTGFHVSLDMLPEYIHMRVAESILFAGKAIRVLRNPSPGATLQESMNQSQNVKGSYRMQSLIGGSGALKELPNFPNISAEELLPQAEADKIDAMLKELKCFLEESRQLMRLPPRQSTAEADLMVPFQLAALKTIGDEDKYFARVSLRMSSFGIKASTSQKDLQKSSTPEISSQGKATSELALDGWDSIALEYSVDWPLQLFFTPDVVSKYRKVFQYLIRLKRTQMELEKSWAAVMHQDHADFSDYCKDRKNGDATQLRRQRSKPFWRVREHMAFLIRNLQFYIQVDVIESQWNVLQAHVQDSHDFTELVTFHQEYLSALISQSFLDIGSVSRILDSIMKLCLQFCWSIEQYENRRNMLEIDHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNYNSFFETTARGVMNSAGGRLRPSTAGTQL >ORUFI05G03930.1 pep chromosome:OR_W1943:5:2862206:2867693:-1 gene:ORUFI05G03930 transcript:ORUFI05G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAREEVREEEGEEGEGVGGKEEEKAAAAAAAVSCSICLDAVVAAGGERSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRKIEKGNWLYANGSRPTQDVNMDEWAHDEDLYDVSYSEMGRRIITPIHDFMGQHMFTEHVAAVSSAPGAAHPCPYVAYLHPLPSLASSSSSHVPERTMDGPAYHDPWHPLAGPSDGRPLQSVQPADFHHNHWAHVPNSYPQPNNNNGVAEQQGVPFGTTRAARVDGDTQRRGSSISPSYFSNGSGSRSRAPNVPPMVPQFMRAHGSISEQYQQSSSSSLFAGAHRSGGMRTAPPPPLPENPAFCLFPPGSSGHNSMETDDAGGNRFYAWERDRFAPYPLMPVDCETNWWSSQQSHGTSEPAPAPRRLFGQWIGVGRSSPENRSPEGSSYRQMHTPRM >ORUFI05G03930.2 pep chromosome:OR_W1943:5:2862206:2867693:-1 gene:ORUFI05G03930 transcript:ORUFI05G03930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAREEVREEEGEEGEGVGGKEEEKAAAAAAAVSCSICLDAVVAAGGERSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRKIEKGNWLYANGSRPTQDVNMDEWAHDEDLYDVSYSEMPFRFHWCPFGRLAQLPSFFEYVLQSSLEIVVYLHDFMGQHMFTEHVAAVSSAPGAAHPCPYVAYLHPLPSLASSSSSHVPERTMDGPAYHDPWHPLAGPSDGRPLQSVQPADFHHNHWAHVPNSYPQPNNNNGVAEQQGVPFGTTRAARVDGDTQRRGSSISPSYFSNGSGSRSRAPNVPPMVPQFMRAHGSISEQYQQSSSSSLFAGAHRSGGMRTAPPPPLPENPAFCLFPPGSSGHNSMETDDAGGNRFYAWERDRFAPYPLMPVDCETNWWSSQQSHGTSEPAPAPRRLFGQWIGVGRSSPENRSPEGSSYRQMHTPRM >ORUFI05G03940.1 pep chromosome:OR_W1943:5:2867709:2869370:-1 gene:ORUFI05G03940 transcript:ORUFI05G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEQIDQERERKGSDEEEEEEDAVGARDRSPRARQIPAASAPSPGRPSPVPIWWDPGRRSTGW >ORUFI05G03950.1 pep chromosome:OR_W1943:5:2875354:2886928:1 gene:ORUFI05G03950 transcript:ORUFI05G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGDAVMARWLQSAGLQHLAASSTSSSSASTAGGGVDPRGGGGVGVGALGGGAGGGSLLPSLLMQGYGPQSIEEKQRLYMLLRSLNFNGETAPPSISEPYTPTAQSFGGGNSLEGFYSPELRGELGAGLLDLHAMDDTELLSEDVASEPFEPSPFIPKEMDEDDDDMLPGSQPGPSDNYNAVANEKESTARENNVAKIKVVVRKRPLNRKEVSRKEEDIITVHDSSSLTVYEPKLKVDLTAYVEKHEFCFDAVLDEQVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLLHQPVYRNQNFKLWLSYFEIYGGKLFDLLSDRRQLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAIKKHIIVTDTRRQRDRDANESKNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGSCEHTLNTLRYADRVKSLSKGSNTRKEQPTGPTIPSSKDSSSAPSYPMPIETEEIANQIQEKRPVETSRKAAENFTSNSSMEPDRNPVSMIPSYSNRGKEENGSSGLNDRERVDLNSSRISYNSKPQSVQSSANLQEEEKVTKVSPPRRKAYRDDKPERQSNYAKKDSGPETSRPGYKVQQAKQLQQQQRPTSASASQNSSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRKAAGLVSLQARLARFQHRLKEQEILSHAWLGLFCQFFHCMMRRVTTVFADMDGPNTKGEVERGEREREGRESSRARAARREEMSGEVAAAVGGGAPEENGAPPNVTIYINNLNEKIKLEELKKSLRAVFSQFGKILDVLAFKTLKHKGQAWVVFEDVASATEALKSMQDFPFHNKPMRIQYAKTKSDIIAKADGTFVPRERRKRNDEKPEKKQKREQHHDVSQVGLGVNAYPGVYGAPPLSQLPFAGAQKVMMPEIIVPNNILFVQNLPHETTPMMLQMLFCQYPGFKEVRMVEAKPGIAFVEYGDEGQATAAMNHLQGFKITKDNQMLISYAKK >ORUFI05G03950.2 pep chromosome:OR_W1943:5:2875354:2886928:1 gene:ORUFI05G03950 transcript:ORUFI05G03950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGDAVMARWLQSAGLQHLAASSTSSSSASTAGGGVDPRGGGGVGVGALGGGAGGGSLLPSLLMQGYGPQSIEEKQRLYMLLRSLNFNGETAPPSISEPYTPTAQSFGGGNSLEGFYSPELRGELGAGLLDLHAMDDTELLSEDVASEPFEPSPFIPKEMDEDDDDMLPGSQPGPSDNYNAVANEKESTARENNVAKIKVVVRKRPLNRKEVSRKEEDIITVHDSSSLTVYEPKLKVDLTAYVEKHEFCFDAVLDEQVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLLHQPVYRNQNFKLWLSYFEIYGGKLFDLLSDRRQLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAIKKHIIVTDTRRQRDRDANESKNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGSNTRKEQPTGPTIPSSKDSSSAPSYPMPIETEEIANQIQEKRPVETSRKAAENFTSNSSMEPDRNPVSMIPSYSNRGKEENGSSGLNDRERVDLNSSRISYNSKPQSVQSSANLQEEEKVTKVSPPRRKAYRDDKPERQSNYAKKDSGPETSRPGYKVQQAKQLQQQQRPTSASASQNSSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRKAAGLVSLQARLARFQHRLKEQEILSHAWLGLFCQFFHCMMRRVTTVFADMDGPNTKGEVERGEREREGRESSRARAARREEMSGEVAAAVGGGAPEENGAPPNVTIYINNLNEKIKLEELKKSLRAVFSQFGKILDVLAFKTLKHKGQAWVVFEDVASATEALKSMQDFPFHNKPMRIQYAKTKSDIIAKADGTFVPRERRKRNDEKPEKKQKREQHHDVSQVGLGVNAYPGVYGAPPLSQLPFAGAQKVMMPEIIVPNNILFVQNLPHETTPMMLQMLFCQYPGFKEVRMVEAKPGIAFVEYGDEGQATAAMNHLQGFKITKDNQMLISYAKK >ORUFI05G03960.1 pep chromosome:OR_W1943:5:2886671:2888368:-1 gene:ORUFI05G03960 transcript:ORUFI05G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPSQSPAQTPPQAAQQQQQGPGESARDDMMACVAALEAALLPCLPARELQAVDRSLQSSHQIDVERHARDFMEAAKKLQSYFISLQREDRPSTEEMLRKDIAIMEEELKTKSELIDKHKKLIEGWQKELKDQLGKHVTELERV >ORUFI05G03970.1 pep chromosome:OR_W1943:5:2892458:2899634:-1 gene:ORUFI05G03970 transcript:ORUFI05G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGRTEMEVRPGGVALITISNPPVNALSIHVLYSLKDHYEEALRRNDVKAIVVTGKGGVFSGGLDINTFGAIQRNKAEQLKVDYVSIDVMTNTLEAAGKPSVAAINGPALGGGLEISMVCQARISIPTAQLGLPELQLGVIPAFGGTQRLPRLVGLTKALEMMLMSKPIKAEEAHQLALIDAIVSPNDLLNTACRWALDISESRRPWVHTLSRTDKLESPDEAREILKFARAQVQKQAANLRHPLVCIDVIEEGIVSGPQAGLRKEAIAFQDLVFSDTCKSLVHVFFSQRATSKVPGITDLGLMPRKVSKVAIVGGGLMGSGIATALMLSNYPVVLKEVNDKFLDAGIDRIKANLQSRVRNGKMTKEIYEKTLSLLTGVVDYERFKDVDLVIEESNTSNCYLAIYFIEQYWMAVVENVKVKQQVFADLERYCPSHCVLATNTSTIDLDLIGEKTNSQDRIAGAHFFSPAHVMPLLEIVRSNHTSPQVVVDLLDVGKKIKKTPVVVGNCTGFAVNRMFSPYTSIALLLVDRGMDVYKIDQVCTEFGMPMGPFRLLDLVGFGVALASGMQYLENSPGSVDKSMLIPLMFEDKRTGEASQKGFYKYEGNRKAIPDPDIFKYVEKSRRMAGTVPDLELLKLDDKEIVEMVFFPVINEACQVLSEGIANKASDLDIASIFGMGFPPYRGGIVYWADSIGAKRIHARLSEWEMKHGQLFRPCSYLSERAAEGVPLSSTAKNNAKARM >ORUFI05G03980.1 pep chromosome:OR_W1943:5:2901762:2907028:1 gene:ORUFI05G03980 transcript:ORUFI05G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPRSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPLSLRRLAKFMEGKEENNIAVIVGTVTDDKRIQEIPKMKVTALRFTETARARIVNAGGECLTFDQLALRAPLGENTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >ORUFI05G03980.2 pep chromosome:OR_W1943:5:2901828:2907028:1 gene:ORUFI05G03980 transcript:ORUFI05G03980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPRSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPLSLRRLAKFMEGKEENNIAVIVGTVTDDKRIQEIPKMKVTALRFTETARARIVNAGGECLTFDQLALRAPLGENTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >ORUFI05G03990.1 pep chromosome:OR_W1943:5:2905528:2908181:-1 gene:ORUFI05G03990 transcript:ORUFI05G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSCDCIEPLWQADDLLVKYQYISDFFIALAYFSIPLELIYFVKKSAFFPYRWVLIQFGAFIVLCGATHLINLWTFAIYTKTIAVVLTVAKAATAVVSCITALMLVHIIPDLLNVKLRERFLKDKADELDREMGIIRTQEETGRHVHMLTHEIRSTLDRHTILRTTLVELGRTLVLAECALWMPTRSGSALQLSHTIYNSAAIGSVVPINLPIVSKVFNSNRVVKIPHTSPLASITADKSRYVPPEVVAIRVPLLHLTNFQINDWPELSAKSFAVMVLMLPPDSAREWRPHERELVEVVADQVAVALSHAAILEESMRARDLLMEQNIALDAARREAEMAICARNDFLAVMNHEMRTPMRAIVSLSSLLLETNLSAEQRLMVETILKSSDLLATLTNDVLDVSKLENGSLELEIAPFNLHSTFTDVVNLIKPVAACKRLSVMVTLAPELPLHAIGDQKRLMQIILNVAGNSIKFTKEGHVSITASMARPDALRGPHEPDYHPVVSDGFFYLAVQVKDTGCGISPQDMPHTFRKFAHPENAGKWNSGSGLGLALSRRFVSLMEGNIWLESEGVGKGCTAMFFVKLGMPEKPNANLRRMAPHPLQPNQGAGGPDALSISIMDSNPRVPRVRYQSSV >ORUFI05G04000.1 pep chromosome:OR_W1943:5:2912949:2916985:1 gene:ORUFI05G04000 transcript:ORUFI05G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVAALASQLLRRQLLMRRPPPRFLSSAAAAASGPLDRLRSPPFARTAARHGSPALSPWSRFGGQKRGMFIQTQSTPNPQSLMFYPGKPVMEVGSSDFPNARTAMTSPLAKALFAIDGVTRVFFGSDFVTVTKSEETSWDYLKPEVFAVIMDFYSSGQSLFLDSSTAASMDTAIHEVKGVEQELDGDEEAELTGQLE >ORUFI05G04010.1 pep chromosome:OR_W1943:5:2916982:2919281:-1 gene:ORUFI05G04010 transcript:ORUFI05G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDQKVIGIAAAAAAAAEEAGRRCCVECGATTTPMWRGGPTGPRISEIVISRSKSDCEGAMEGNCVPLKRLVQQVDFLLSSTGITESCQCVAVSCANQMGLQKAANVLLFLVPIRVLTMENNSCDILHIIRIIGRGCGIESKTRIIDR >ORUFI05G04020.1 pep chromosome:OR_W1943:5:2922339:2927657:-1 gene:ORUFI05G04020 transcript:ORUFI05G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERAEVRRSRYKVAVDADEGRRRREDNMVEIRKSRREESLLKKRRDGLPAAAAAAAAASPLLAHSSALQQKLEGLPAMVQAVQSDDSAVQLEATTQFRKLLSIERSPPIEEVINTGVVPRFIAFLQREDYPQLQFEAAWALTNIASGTSDNTKVVVESGAVPIFVKLLSSPSEDVREQAVWALGNVAGDSPKCRDLVLASGGLYPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFEQVKPALSALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQAVIESGVFPRLVELLMHPSASVLIPALRTVGNIVTGDDMQTQCVIDHQALPCLLNLLTNNHKKSIKKEACWTISNITAGNREQIQAVINANIIAPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKYLVAQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGAGDVNSYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKMLESYWLEEEDDAMPSGDNAQNGFNFGNQQPNISAAGKEIWCVGLVIPRSLAPGILFLLDVIFQVLLFPYASCTTFMFTPNLTLT >ORUFI05G04020.2 pep chromosome:OR_W1943:5:2923597:2927657:-1 gene:ORUFI05G04020 transcript:ORUFI05G04020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERAEVRRSRYKVAVDADEGRRRREDNMVEIRKSRREESLLKKRRDGLPAAAAAAAAASPLLAHSSALQQKLEGLPAMVQAVQSDDSAVQLEATTQFRKLLSIERSPPIEEVINTGVVPRFIAFLQREDYPQLQFEAAWALTNIASGTSDNTKVVVESGAVPIFVKLLSSPSEDVREQAVWALGNVAGDSPKCRDLVLASGGLYPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFEQVKPALSALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQAVIESGVFPRLVELLMHPSASVLIPALRTVGNIVTGDDMQTQCVIDHQALPCLLNLLTNNHKKSIKKEACWTISNITAGNREQIQAVINANIIAPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKYLVAQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGAGDVNSYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKMLESYWLEEEDDAMPSGDNAQNGFNFGNQQPNIPIWNDVPLFLSYLLGASQSWGSLVVGVLVGSWRSGLRQS >ORUFI05G04030.1 pep chromosome:OR_W1943:5:2929266:2934732:1 gene:ORUFI05G04030 transcript:ORUFI05G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVVAIAGDGDADAGHRRPLLASADDDDEIRPYPASSPSPQHPAGAERKPRRVASLDVFRGLTVAMMILVDDAGGAWPGMNHSPWLGVTVADFVMPAFLFIIGVSAALVFKKTPNKTVATKKAAIRAIKLFILGVILQGGYIHGRHNLTYGIDLDHIRWLGVLQRIAIGYFLAAISEIWLVNNISVDSAISFVKKYFMEWIVAVMISALYVGLLLGLYVSNWEFKVQTSNSILTIPTPGNEIGMKMIQCGVRGSLGPPCNAVGFVDRVLLGENHLYKNPVYKRTKECSVNSPDYGPLPPNAPDWCLAPFDPEGSFTSNAIMATSFHCVNSLRISTSVISKPLYTVSYMLLTGGVSGFLLLLLYYIVDVINIKKPFILFQWMGMNALIVYVLAACEIFPTLVQGFYWRSPENNLVDLTESLLQTIFHSKRWGTLAFVVLEIIFWCLAACFLHMKGIYLKL >ORUFI05G04030.2 pep chromosome:OR_W1943:5:2929266:2934732:1 gene:ORUFI05G04030 transcript:ORUFI05G04030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVVAIAGDGDADAGHRRPLLASADDDDEIRPYPASSPSPQHPAGAERKPRRVASLDVFRGLTVAMMILVDDAGGAWPGMNHSPWLGVTVADFVMPAFLFIIGVSAALVFKRIAIGYFLAAISEIWLVNNISVDSAISFVKKYFMEWIVAVMISALYVGLLLGLYVSNWEFKVQTSNSILTIPTPGNEIGMKMIQCGVRGSLGPPCNAVGFVDRVLLGENHLYKNPVYKRTKECSVNSPDYGPLPPNAPDWCLAPFDPEGSFTSNAIMATSFHCVNSLRISTSVISKPLYTVSYMLLTGGVSGFLLLLLYYIVDVINIKKPFILFQWMGMNALIVYVLAACEIFPTLVQGFYWRSPENNLVDLTESLLQTIFHSKRWGTLAFVVLEIIFWCLAACFLHMKGIYLKL >ORUFI05G04040.1 pep chromosome:OR_W1943:5:2941103:2942503:1 gene:ORUFI05G04040 transcript:ORUFI05G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTMSSVRRGAAELVAPARATPHEFKPLSDIDDQEGLRFYRSGLFLYRRRAAMDGVDPAAVLRAALAEALVHYYPLAGRIVEASPGRKLLVECTGEGAVFVAAESGVAMDELGEVTGPPVPRHEELLCAADGAYADGGVVGRPLLYFQVTRMRCGGFVWGLQICHCLADAAGVAQFMTAVGEFARGVPGAPTVKPVWARELLSARRPPLPRDVAAPRHPEYEAVPDAGRDKVSHSDALVHRPFFFGRREIAALRALAPPSLASRSSRFDLIAAFTWRCRANALQYDAADAVRVQFVVNARGGGRGRRSNAPPLLPDGYYGNAFAFAVAESPAGELRRRPFAHALRLVVDAKARAMEEGHLQSVADLMAARGRPRFAVARTYVVSDLTRSGLDGVDVGWGAPAYGGPATATLATFHVAGRRSGDGEEGVEVPIRLPAPAMERMVVEVARELGGVDAHTEACLAARL >ORUFI05G04050.1 pep chromosome:OR_W1943:5:2950625:2952197:-1 gene:ORUFI05G04050 transcript:ORUFI05G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHCRPHPISLSVAPQPKPSRHRPCLGEVNPFFPFPLSFSHHCRPKRALVVAVPASSLSLSASYRLPWICGTREHHPTPASPSSNYRRAGAPPPSLPSVTRLEGGERKKREEEGKEKEKERLTAKRQS >ORUFI05G04060.1 pep chromosome:OR_W1943:5:2957286:2960466:1 gene:ORUFI05G04060 transcript:ORUFI05G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALCVRGIFVARCSWGVAAAARIRGGFVSWLIWVSIMASSLNGLSVGDSLVDNIMESPARSENTSYFRDEIISQYSPMSEDSDDYRCYDTQLPNGSQTDAMVSPSTSPMSSPHRFQKPQSGLLSANPYPLPSCSLSSVVCSNPRRGSENEGRFPSSPNDMCHGGDLRKTALLRSVQMRVQGPHAYELSFCGRQEQEHAHDHEDEHQHEHLEGLEGAERSSSHRETISDGVSYQMPENSYGRPEHDIDYIEDCTPHGCLSDLKFKQEDKDCSKLTSMDKNR >ORUFI05G04070.1 pep chromosome:OR_W1943:5:2961090:2963998:-1 gene:ORUFI05G04070 transcript:ORUFI05G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQISRKALASLLLLVAAAAAVSTASADDVLALTESTFEKEVGQDRAALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALAEYVNSEAATNVKIAAVPSSVVVLTPETFDSVVLDETKDVLVEFYAPWCGHCKHLAPIYEKLASVYKQDEGVVIANLDADKHTALAEKYGVSGFPTLKFFPKGNKAGEDYDGGRELDDFVKFINEKCGTSRDSKGQLTSEAGIVESLAPLVKEFLGAANDKRKEALSKMEEDVAKLTGPAAKYGKIYVNSAKKIMEKGSEYTKKESERLQRMLEKSISPSKADEFVIKKNILSTFSS >ORUFI05G04080.1 pep chromosome:OR_W1943:5:2969210:2975033:1 gene:ORUFI05G04080 transcript:ORUFI05G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRWIGPLLLLLLHFVAAVAGKSYYDVLQVPKGASEDQIKRSYRKLALKYHPDKNPNNEEANKRFAEINNAYEILTDQEKRKIYDRYGEEGLKQFQAQGGRGGGGGMNIQDIFSSFFGGGGGGMEEEEEQIIKGDDVIVELDASLEDLYMGGSLKVWREKNVIKPAPGKRRCNCRNEVYHRQIGPGMYQQMTEQVCDQCANVKYVREGDFLTVDIEKGMQDGQEVSFFEEGEPKIDGEPGDLKFRIRTAPHERFRREGNDLHTTVTISLLQALVGFEKTIKHLDNHMVEIGTKGITKPKEVRKFKGEGMPLYQSNKKGDLYVTFEVLFPKTLTDDQKSKLKSILT >ORUFI05G04090.1 pep chromosome:OR_W1943:5:2974329:2978360:-1 gene:ORUFI05G04090 transcript:ORUFI05G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHYIPRALLVDLEPRVINGIQNSEYRNLYNHENIFVAEHGGGAGNNWASGYHQGEQVVDDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLANPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQTKNIMVSSYARNKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTTHRVSGLMLANHTSIRHLFSKCLGQYEKLRKKQAFLDNYRKFPMFEDNDLSEFDESREIIESLVDEYKACESPDYIKWGMEDAGEANVAAALDSKSYKNATLIA >ORUFI05G04100.1 pep chromosome:OR_W1943:5:2979731:2985707:-1 gene:ORUFI05G04100 transcript:ORUFI05G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAAAAAACASAPAWVVGGGAGGGARSARGPCGIRVCGLGGEAVALRSLRISQGAAVRRAAVAARAAAENGVAGSGGFDYDLVIIGAGVGGHGAALHAVEEGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELHDEHHMKSLGLQVSSPGYDRQAVADHANNLASKIRSNLTNSMKALGVDILSGFGAIVGKQKVRYGKVGFPDKEITARNIIIATGSVPFVPKGIEVDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRVLINPRNIDYHTGVFASKITPAKDGKPVQIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFIPVDERMRVMDADGNVVPNLYCIGDANGKLMLAHAASAQGISVVEQISGRDHILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEISVVKTSFKANTKALAENEGDGLAKLIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRLQDIKFAVHAHPTLSEVLDELFKAAKLQPREGPERKPKHPPQPLLKVLSFVTRLLTSQKRNRQP >ORUFI05G04110.1 pep chromosome:OR_W1943:5:2986275:3020064:-1 gene:ORUFI05G04110 transcript:ORUFI05G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRVYLHSTKEDRDIESTTTRASNLVEFPKLPSEVSTKGAKRKPTYRREWDLPALHRPSSANLLEPSNRGERYAGTGRGREKLTCMLICTASSGPACFLRGPCSSSPIVARPRPASPPGKDGNRGGLGDRGAAAARARARARARSSSGRRRRAAAKPYPPLTSTSERASELSSLLSLFSLRGFLLCFRT >ORUFI05G04120.1 pep chromosome:OR_W1943:5:3007523:3019175:1 gene:ORUFI05G04120 transcript:ORUFI05G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEQGPRRKQAGPDDAVQISMQRVKVYRLTDDGKWDDQGTGHVTIDYIEGSREIALTVVDEEDSDTLLLHHITSDDIYRKQEETIISWRDPEKALELALSFQEAAGCSYIWESVCTIQRNLQFNVLDVQEAAPPAAFESLEASRNPPFHDESLSSTSRELKKLPPLDLSSLSSILKTILECSMTERMRVSVAELILQYHDFFPQLVNLFRTCESSGNMDALHMIFRLVKGIILLNSSAIYDKIFSDDFILEIIGALEYDPEARTVQNHRTFLKEHVVFKEAIPIKNASVVSKIHQTYRIGYIKDVILPRVLDDSTMASIAAIIHANNAAVVCLLKDDASFVKELFAKMRSSNISAESKRELSQDKVLVSAGTDILVYFLNQDPNLLRSYIARQENSQEGNSLLGLLVQGMVTDFGEGMHCQFLEILKILMDGFATNMPTNYRGVIDVFHEKHLDKLIDVIALASSPMDITQSTSSPVGVGTRVENHSVKTEILSNICELLCFCVVHHPYKIKVNFLRSNSVEKILTLTHRREKVLVVAAVRFMRTVIARNDELLLSHVIKFNSLKPIIEVFVENGDRYNMLHSVVLELLEYIRKLMESGETTQSISLVDMRKKPEERGLDKEEEDYFNKGSDEEDSDKQTSHAQKESLDKLPKGSDIRHIPARSKSGGLVDYDDDDEGYNPPPKRAVKADEDDEALVIKRNPVDDKQADGRSPKKPKMEPRFICSKIVAAASVAGRRSNLADKQGPHPPSSSTKTSEGNGDVGEEGPGSQNLQHDPGSLDSTHQNGDDCTKDAGNSPSEMTVNTSKATDSEPYSVR >ORUFI05G04120.2 pep chromosome:OR_W1943:5:3007523:3019174:1 gene:ORUFI05G04120 transcript:ORUFI05G04120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEQGPRRKQAGPDDAVQISMQRVKVYRLTDDGKWDDQGTGHVTIDYIEGSREIALTVVDEEDSDTLLLHHITSDDIYRKQEVQEAAPPAAFESLEASRNPPFHDESLSSTSRELKKLPPLDLSSLSSILKTILECSMTERMRVSVAELILQYHDFFPQLVNLFRTCESSGNMDALHMIFRLVKGIILLNSSAIYDKIFSDDFILEIIGALEYDPEARTVQNHRTFLKEHVVFKEAIPIKNASVVSKIHQTYRIGYIKDVILPRVLDDSTMASIAAIIHANNAAVVCLLKDDASFVKELFAKMRSSNISAESKRELIYLDLASEGVFDIMSDVLQSQDKVLVSAGTDILVYFLNQDPNLLRSYIARQENSQEGNSLLGLLVQGMVTDFGEGMHCQFLEILKILMDGFATNMPTNYRGVIDVFHEKHLDKLIDVIALASSPMDITQSTSSPVGVGTRVENHSVKTEILSNICELLCFCVVHHPYKIKVNFLRSNSVEKILTLTHRREKVLVVAAVRFMRTVIARNDELLLSHVIKFNSLKPIIEVFVENGDRYNMLHSVVLELLEYIRKLMESGETTQSISLVDMRKKPEERGLDKEEEDYFNKGSDEEDSDKQTSHAQKESLDKLPKGSDIRHIPARSKSGGLVDYDDDDEGYNPPPKRAVKADEDDEALVIKRNPVDDKQADGRSPKKPKMEPRFICSKIVAAASVAGRRSNLADKQGPHPPSSSTKTSEGNGDVGEEGPGSQNLQHDPGSLDSTHQNGDDCTKDAGNSPSEMTVNTSKATDSEPYSVR >ORUFI05G04120.3 pep chromosome:OR_W1943:5:3007523:3019175:1 gene:ORUFI05G04120 transcript:ORUFI05G04120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEQGPRRKQAGPDDAVQISMQRVKVYRLTDDGKWDDQGTGHVTIDYIEGSREIALTVVDEEDSDTLLLHHITSDDIYRKQEVQEAAPPAAFESLEASRNPPFHDESLSSTSRELKKLPPLDLSSLSSILKTILECSMTERMRVSVAELILQYHDFFPQLVNLFRTCESSGNMDALHMIFRLVKGIILLNSSAIYDKIFSDDFILEIIGALEYDPEARTVQNHRTFLKEHVVFKEAIPIKNASVVSKIHQTYRIGYIKDVILPRVLDDSTMASIAAIIHANNAAVVCLLKDDASFVKELFAKMRSSNISAESKRELIYLDLASEGVFDIMSDVLQSQDKVLVSAGTDILVYFLNQDPNLLRSYIARQENSQEGNSLLGLLVQGMVTDFGEGMHCQFLEILKILMDGFATNMPTNYDELLLSHVIKFNSLKPIIEVFVENGDRYNMLHSVVLELLEYIRKLMESGETTQSISLVDMRKKPEERGLDKEEEDYFNKGSDEEDSDKQTSHAQKESLDKLPKGSDIRHIPARSKSGGLVDYDDDDEGYNPPPKRAVKADEDDEALVIKRNPVDDKQADGRSPKKPKMEPRFICSKIVAAASVAGRRSNLADKQGPHPPSSSTKTSEGNGDVGEEGPGSQNLQHDPGSLDSTHQNGDDCTKDAGNSPSEMTVNTSKATDSEPYSVR >ORUFI05G04130.1 pep chromosome:OR_W1943:5:3020167:3024256:-1 gene:ORUFI05G04130 transcript:ORUFI05G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGTVAAEVLIPLAAVIGILFAVLQWYMVSRVAVPPHDGVGGAGKVERESDGGDGDGDGVDDEEDGVDYRGVEARCAEIQHAISVGATSFLMTEYKYLGAFMAAFAAVIFVSLGSVGRFSTSTEPCPYDAARRCRPALANAAFTAAAFLLGATTSVVSGYLGMRVATFANARTALEARRGIGRAFAVAFRSGAAMGFLLASSALLVLFAAVNAFGLYYGDDWGGLYEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGADHDFAAMMYPLLVSAAGIVACAATTLVATDAGELGAADEVAPALKRQILISTVLMTAAVAAVTFLSLPRSFTLFDFGERKLVKNWHLFICVSAGLWAGLVIGYVTEYFTSNAYGPVQTVAQSCRTGAATNVIFGLAVGYKSVIVPIFAIAGAIYASFRLAAMYGIALAALGMLSTIATGLTIDAYGPISDNAGGIAEMAGMPRRVRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAYVSRAGIRTVNVVSPRVFVGLLAGAMLPYWFSAMTMRSVGSAALRMVEEVRRQFDEIPGLAEGLAAPDYATCVRISTDASLREMVAPGALVMASPLVAGTLFGVEALAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGATEEARSLGPKGSEAHKAAVIGDTIGDPLKDTSGPSLNILVKLMAVEALVFAPFFAAHGGIVFNHL >ORUFI05G04140.1 pep chromosome:OR_W1943:5:3028335:3028862:1 gene:ORUFI05G04140 transcript:ORUFI05G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLKVEMSLNVLISPSQLSPQGLLLRKAVIVRLLEDIANRKASKDHGYYIAVSELKAISEGKVRELTGDVLFPVTFTCITQKPMKGEVLVGSVDKILKHGIFLKSGPIESIFLSEKTMSDFKYIGGENAVFMNEHSKLEKDTVVRFKVMGFRWMEADRQFQLLATLAGDYLGPL >ORUFI05G04150.1 pep chromosome:OR_W1943:5:3029174:3031906:-1 gene:ORUFI05G04150 transcript:ORUFI05G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTVARQKEVVVVFKVLWGDPREKLCQAINEIPMSCLVIGSRGLGKLKRVLLGSVSDYVVNNATCPVTVVKTADGK >ORUFI05G04150.2 pep chromosome:OR_W1943:5:3029176:3031695:-1 gene:ORUFI05G04150 transcript:ORUFI05G04150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAAAAERWVGAAVDFSEGSRAALRWAADNLLRAGDHLILLHVLKDPDYEQGETLLWEATGSPLIPLSDFSEPTIAKKYGAKPDAETLDMLNTVARQKEVVVVFKVLWGDPREKLCQAINEIPMSCLVIGSRGLGKLKRVLLGSVSDYVVNNATCPVTVVKTADGK >ORUFI05G04160.1 pep chromosome:OR_W1943:5:3033776:3037298:-1 gene:ORUFI05G04160 transcript:ORUFI05G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTARSLLASHAAVRAAASARRGRLAGDHHPPQVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADASVVGAVNEGIDVAASIVPAVQRPWVMVSVNDDCRDLHFRKAEFDPEDCPPDCSKPCEKVCPADAILLERVMIEEEHSQSDPSCGKLEGGVITERCYGCGRCLSVCPYDRIRAMSYVRDPAMTAELLKRNDVDAIEIHTTGKGTDMFNALWSNLGESINNVKLIAVSLPDVGDSTVNLMNAIYTTMQSHLQGYNLWQLDGRPMSGDIGRGATRETVSFAVHLSSMSNRPPGFYQLAGGTNSYTIESLKKAGLFQSTTFPGAATSGVTDCQQAFIGGIAYGGYARKIVGRVLRKIPAQFGHARIEDHPDYLLEALQEALSLVGPVKGYPTLPSL >ORUFI05G04170.1 pep chromosome:OR_W1943:5:3040000:3040332:1 gene:ORUFI05G04170 transcript:ORUFI05G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHATINNGRCDAAPAAAAKSRPAVMPPPASNHGAACKNSGSNKERRRMRRCVEVRRKMEALRRLVPGGGGGEDSGEELLFRAADYIARLQVQVKVMQLMVDVLEQTKD >ORUFI05G04180.1 pep chromosome:OR_W1943:5:3042015:3049461:1 gene:ORUFI05G04180 transcript:ORUFI05G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSSPAMSTRSKSTPDSDLRSHDGSNPSPESWSGRLTRSRAKQIGLVLPIQAVESPGISSNNKKKRKRREIEEETAKKQAPTTSTTATTGVMHREGHGTLASRPLPPMHPQTTPRVRRSSRITRLEVDRLQKLTLRRDKEDEPSTAAALPTPSHKNMVLGMSRSIVRVSFPSSEGKLISPHTGIVISWDGATKCAMILTLFTYFKKKPHEPQPEVPAFGSAPKYGQEILALSRDENMSLVARRGAITWSDGSLMWRNHYMFVDCDVPEGGEGGPVVGTGGSTIGMVYIDGPGAVIISISIICTFFEIWKQFSCVARPVFEVDLKSVELAGVLFREELSLKHNINGGFIVERIADDSALEHLGVRRGDVIFFEDECGTTLPEIEDYLLSLGWRYLQGMKSMVLKLLVHDIEGPCKETITLPLEFSVDSGKFGCFDEQ >ORUFI05G04190.1 pep chromosome:OR_W1943:5:3053558:3056866:1 gene:ORUFI05G04190 transcript:ORUFI05G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKRRKSVAASSGGPKSSPAARSTRSKSKPDSDLAEHDDSPRSSSGRLTRSRAKVLEESAGVSSSKKKRRIEEESPAATTTTSTTATTGVMHREGHGTLASRPLPPIHPPTTPRVRKSSRIVRLEVDRLQKLTLWRDKKDDPSTAAALPTPSDKNMVLGMSRSIVRVSSPPSEGKLISPRTGFVVSWDGATKRAMIVTLSTYFKKKPHEPQPEVHLPDKSTVQGRLIFMNRHYNLSILEITSDLPLQVPAFGSAPKYGQKILALSRDENMSLVARRGAITWSDGSFMWRNHYMFVDCDVPEGGVGGPVVDSCGSTIAMVYRAGPGAIIISISIICTFFEMWKQFSCVARPVFDVDLRSVELAGVSLREELSVKHNINGGFIVKRIADDSALEHLGVRRGDVIFFENECGTSLPEIEGYLLSLGWRYLQGMKKSMVLKLEVHDIEGPCEETITLPLKFSVDSGKVYFSKPLYNCPTLLVLISRFYPLSIDKCVEFKVVRWRMA >ORUFI05G04200.1 pep chromosome:OR_W1943:5:3057287:3057568:1 gene:ORUFI05G04200 transcript:ORUFI05G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAARQWLIHTRANRAVGYVESQIKELHPYIDSLQFGREGVDPSSKNSILRFEKLDSCREKIDPAARASVRVHEVDQRELEISWWSSRTLLG >ORUFI05G04210.1 pep chromosome:OR_W1943:5:3064172:3064609:-1 gene:ORUFI05G04210 transcript:ORUFI05G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQICVSSTGVSEENRGGGGGGVEEGMEAVHSGVIGDGVDGTATERRDVATAGDCGCGDDKEGSVAGNGGALKWWRRVQEWVL >ORUFI05G04220.1 pep chromosome:OR_W1943:5:3067076:3068625:1 gene:ORUFI05G04220 transcript:ORUFI05G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDWHGYAAANAGVASMADLNNDNVFPAPHLLTHPAKHHLVSKTIHSVFNIEVNVRHQEEDNKSAFRHFCRILKRLPINKNLDRAEIEETTIPTEVCTGFVIEQRGNILYLLTTAHALDDYYDAKNHDLTPKDLNKSFIFKVLCIHQERHLLSLQGVDDKSEHLNRYFCDAKVVAVNTQVDLMLLKLNREDIYYSYQDADNFIICPEDHTPGTSGSAILNGAARCVAVYHGIQKNCKAGYAISYQDVKTFVDTALANLPGDGDGDGDGDGDGDGDGDDDDDEENDDNDSDSDYDESDEEEEERKRKRGKGIGSGRGRGRGRASGSEKGRGSGKGKAKMR >ORUFI05G04230.1 pep chromosome:OR_W1943:5:3091681:3093835:-1 gene:ORUFI05G04230 transcript:ORUFI05G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTVERVDKAARPRAAQRDGARERRIGRRRSGCRRGRQRLGCAQAREAPRCGGGLAQPRARLGRVAYGGGLAPWRREVRSWGLAGMRTTMMAASVAEADDNEGMEFDRGGEEEAGGWKGKEAMGRVSRRRSCTPCGSRVAAHRAWSPSVGDWLADRPRTLRFRLPTTKDHTTERISGLAKQKWKVFAKGQIVKIFVGVVVVCMGGKGRQSRLSVGWLEKDNRGGLLRLWEEIYLDCKCGLDYMVYCSLDNNWIWVGIDIPRMHKGGINGL >ORUFI05G04240.1 pep chromosome:OR_W1943:5:3107227:3121580:1 gene:ORUFI05G04240 transcript:ORUFI05G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGMSRSIVRVSSPPSEGKLISPRTGFVISWDGATKRAMIVTLSTYFKKKPHEPQPEVHLPDKSIVQGRLIFMNRHYNLSILEITSDLPLQVPAFGSAPKYGQKILALSRDENMSLVARRGAITWSDGSFMWRNHYMFVDCDVPEGGVGGPVVDSCGSSIAMVYRAGPGAVIISISIICTFFEMWKQFSCVARPVFDVDLRSVELAGVSLREELSVKHNINGGFMVKRIADDSALEHLGVRQGDVIFFEDECGTNLPEIEDYLLSLGWRYLQGMKSMVLKLLVHDIEGPCKETITLPLEFSVDSGKADMPPKSSPAMSARSKSTPDSDLRSHDGIPNPGSWSGRLTRSRAKQIGLVLPIQAVESPGISSNNNKKRRIEEETAGESPATVIPTTTTTGEPGTLARRPIHPPQPHSTAPRIGRESSWIAQRKVDRLRKATLRDAEDEPSTAAAMPTPSDKKMVLGMSRSIVRVSSPPTEGKFISPLTGTVISWDGATKRAMILTIYSTDFKSKPHEPQPELWVHLPDKSIVQGRLIFVNRHYSLAILEITSDLPLQVPTFGSAAKYGQEILALSRDENMSLVARRGAITWSDGSLMWRNHYMFLDCDVPEGGEGGPVVDYCGSIIAVVYRDGPCAAIISISIIHALYEMWEQFSCVARPVFDMDLKSVELASFSLREELSLKHNIEGGFIVEHIANDSALENLGVRRGDVIFFEDKCGTMLPEIEDYLLHLGRRYLGEKRSMVLKLEVHDIEGTCKETITLPLEFKVSSGKVA >ORUFI05G04240.2 pep chromosome:OR_W1943:5:3107203:3121580:1 gene:ORUFI05G04240 transcript:ORUFI05G04240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKPRKSVAALSGPKSSPARSTRSKSKPDSDIAEHDDSPKSSSGRLTRSRAKAIEQVGNRTVEESAGVSSNKKKRRIEEETAGESPATGAPEGHGTLASRPLPPTHPPPTPRVRKSSCIVRLEVARLQKLTLRRDKKDDPSTAAALPTPSDKNMVLGMSRSIVRVSSPPSEGKLISPRTGFVISWDGATKRAMIVTLSTYFKKKPHEPQPEVHLPDKSIVQGRLIFMNRHYNLSILEITSDLPLQVPAFGSAPKYGQKILALSRDENMSLVARRGAITWSDGSFMWRNHYMFVDCDVPEGGVGGPVVDSCGSSIAMVYRAGPGAVIISISIICTFFEMWKQFSCVARPVFDVDLRSVELAGVSLREELSVKHNINGGFMVKRIADDSALEHLGVRQGDVIFFEDECGTNLPEIEDYLLSLGWRYLQGMKSMVLKLLVHDIEGPCKETITLPLEFSVDSGKADMPPKSSPAMSARSKSTPDSDLRSHDGIPNPGSWSGRLTRSRAKQIGLVLPIQAVESPGISSNNNKKRRIEEETAGESPATVIPTTTTTGEPGTLARRPIHPPQPHSTAPRIGRESSWIAQRKVDRLRKATLRDAEDEPSTAAAMPTPSDKKMVLGMSRSIVRVSSPPTEGKFISPLTGTVISWDGATKRAMILTIYSTDFKSKPHEPQPELWVHLPDKSIVQGRLIFVNRHYSLAILEITSDLPLQVPTFGSAAKYGQEILALSRDENMSLVARRGAITWSDGSLMWRNHYMFLDCDVPEGGEGGPVVDYCGSIIAVVYRDGPCAAIISISIIHALYEMWEQFSCVARPVFDMDLKSVELASFSLREELSLKHNIEGGFIVEHIANDSALENLGVRRGDVIFFEDKCGTMLPEIEDYLLHLGRRYLGEKRSMVLKLEVHDIEGTCKETITLPLEFKVSSGKVA >ORUFI05G04240.3 pep chromosome:OR_W1943:5:3107203:3121580:1 gene:ORUFI05G04240 transcript:ORUFI05G04240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKPRKSVAALSGPKSSPARSTRSKSKPDSDIAEHDDSPKSSSGRLTRSRAKAIEQVGNRTVEESAGVSSNKKKRRIEEETAGESPATGAPEGHGTLASRPLPPTHPPPTPRVRKSSCIVRLEVARLQKLTLRRDKKDDPSTAAALPTPSDKNMVLGMSRSIVRVSSPPSEGKLISPRTGFVISWDGATKRAMIVTLSTYFKKKPHEPQPEVHLPDKSIVQGRLIFMNRHYNLSILEITSDLPLQVPAFGSAPKYGQKILALSRDENMSLVARRGAITWSDGSFMWRNHYMFVDCDVPEGGVGGPVVDSCGSSIAMVYRAGPGAVIISISIICTFFEMWKQFSCVARPVFDVDLRSVELAGVSLREELSVKHNINGGFMVKRIADDSALEHLGVRQGDVIFFEDECGTNLPEIEDYLLSLGWRYLQGMKSMVLKLLVHDIEGPCKETITLPLEFSVDSGKADMPPKSSPAMSARSKSTPDSDLRSHDGIPNPGSWSGRLTRSRAKQIGLVLPIQAVESPGISSNNNKKRRIEEETAGESPATVIPTTTTTGEPGTLARRPIHPPQPHSTAPRIGRESSWIAQRKVDRLRKATLRDAEDEPSTAAAMPTPSDKKMVLGMSRSIVRVSSPPTEGKFISPLTGTVISWDGATKRAMILTIYSTDFKNKSIVQGRLIFVNRHYSLAILEITSDLPLQVPTFGSAAKYGQEILALSRDENMSLVARRGAITWSDGSLMWRNHYMFLDCDVPEGGEGGPVVDYCGSIIAVVYRDGPCAAIISISIIHALYEMWEQFSCVARPVFDMDLKSVELASFSLREELSLKHNIEGGFIVEHIANDSALENLGVRRGDVIFFEDKCGTMLPEIEDYLLHLGRRYLGEKRSMVLKLEVHDIEGTCKETITLPLEFKVSSGKVA >ORUFI05G04240.4 pep chromosome:OR_W1943:5:3107203:3121580:1 gene:ORUFI05G04240 transcript:ORUFI05G04240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKPRKSVAALSGPKSSPARSTRSKSKPDSDIAEHDDSPKSSSGRLTRSRAKAIEQVGNRTVEESAGVSSNKKKRRIEEETAGESPATGAPEGHGTLASRPLPPTHPPPTPRVRKSSCIVRLEVARLQKLTLRRDKKDDPSTAAALPTPSDKNMVLGMSRSIVRVSSPPSEGKLISPRTGFVISWDGATKRAMIVTLSTYFKKKPHEPQPELWVHLPDKSIVQGRLIFVNRHYSLAILEITSDLPLQVPTFGSAAKYGQEILALSRDENMSLVARRGAITWSDGSLMWRNHYMFLDCDVPEGGEGGPVVDYCGSIIAVVYRDGPCAAIISISIIHALYEMWEQFSCVARPVFDMDLKSVELASFSLREELSLKHNIEGGFIVEHIANDSALENLGVRRGDVIFFEDKCGTMLPEIEDYLLHLGRRYLGEKRSMVLKLEVHDIEGTCKETITLPLEFKVSSGKVA >ORUFI05G04250.1 pep chromosome:OR_W1943:5:3122152:3126240:-1 gene:ORUFI05G04250 transcript:ORUFI05G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAAAAAARRRDVSCLLLLLCFSSSMAATGGGGGEQEADRVARLPGQPASPAVSQFAGYVGVDERHGRALFYWFFEAQASPAPEKKPLLLWLNGGPGCSSIGYGAASELGPLRVARQGAALEFNQYGWNKEANLLFLESPVGVGFSYTNTSSDLSNLNDDFVAEDAYSFLVNWFKRFPQYKDNEFYISGESYAGHYVPQLADLVYERNKDKRASTYINLKGFIVGNPLTDDYYDSKGLAEYAWSHAIVSDQVYERIKKTCNFKNSNWTDDCNAAMNIIFSQYNQIDIYNIYAPKCLLNSTSASSPDRAFFANNQEQFRWRIKMFSGYDPCYSSYAEDYFNKHDVQEAFHANASGLLPGKWQVCSDQILNSYNFSVLSILPIYSKLIKAGLRVWLYSNMHLHQKYATMPYNLPESVSVHQSSGDADGRVPVISSRYCVEALGLPIKTDWQSWYLDKQVAGRFVEYHGMTMVTVRGAGHLVPLNKPAEGLMLINAFLHGEKLPTSR >ORUFI05G04260.1 pep chromosome:OR_W1943:5:3141954:3151044:1 gene:ORUFI05G04260 transcript:ORUFI05G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSATTPARQETVVAAAPPAAAASGVVGGGGGVTIATVDMSAERGAVARQVATACAAHGFFRCVGHGVPAAAPVAARLDAATAAFFAMAPAEKQRAGPASPLGYGCRSIGFNGDVGELEYLLLHANPAAVAHRARTIDAMDPSRFSEICLHISASEYCSGVMQRSISAIVNEYIEAMKKLACEILDLLGEGLGLKDPRYFSKLTTNADSDCLLRINHYPPSCNIHKLDHDDQCNIKSLVSTKASNGGNLMAGGRIGFGEHSDPQILSLLRANDVEGLQVFVPDHEGKEMWVQVPSDPSAIFVNVGDVLQALTNGRLISIRHRVIATACRPRLSTIYFASPPLHARISALPETITASSPRRYRSFTWAEYKTTMYSLRLSHSRLELFKIDDDDSDNASEGKA >ORUFI05G04270.1 pep chromosome:OR_W1943:5:3149591:3151209:-1 gene:ORUFI05G04270 transcript:ORUFI05G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISPNTLGASERRKDGGSPPRATAWRDSEGALYQLGKHVEGCANGDRRRRRGWSCSKPEGLNRDHQVLARQGLRAAAATGGAGVLGPRVGWAEAGGLRNLLLLRLTALG >ORUFI05G04280.1 pep chromosome:OR_W1943:5:3154090:3167698:1 gene:ORUFI05G04280 transcript:ORUFI05G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKDVGRHGGDLGLERRLGTQGGTTCCRRAGAPNLLPRITGKASTSAASRRGGQSRKFSRYHHLSPSPQVSSLLPDEHELFPLHLSTPPSLLPLRKSPSLPHKPYLAPSLLRSGERSIAAKGKGESGMFFSGDPTARKRVDLGGRSSKERDRQVLLEQTREERRRRLGLRLQNSSATKIQKCFRGKRDLELARSEVRGNFCSTFGEHCQRVDWSSFGANSDFLRQFLFFFNAKKDSDIAILCQVCNLLLYYVKHGGDVLRLFGGTNYSSLEPLVIHRVKKLALICVQTVHQKRHDWGSQLLMPPESTPKPCGSLLETAACLINPKLAWNCKVVGYLQRKKIYCLFRGIIISIPQTARNLEHNNNTSALEQVLMLIASHIGDDPCCCPVVDPRWSFSSQLLSIPFLWHRLSHFKKVFSANGLSKYYIHQIACFLPSCADVLPNDISSNHPGYACVLANVLEAATWILSEPKFASETAADIIAVCTSLLDALPTITSPTGRADDDDDTPMDDVINGLDADLEKQITAAIDSRLLQHLVNALFRGTISTDYSYPTGPSDIEVEAVGSICAFLHVTFNTLPAERIMTGLAYRTEIVPALWNFIKRCNENQSWPQFSKFVSSPPADAVGWLLPVSVFCPIYKHMLKIIDNGEFYEQEKPLSLKDLKSLVLILKKALWELLWVIPSHASPAKKVLPNPSGFKKLSIENVKIGARIGLSELLAQLQDWNNRLPFTSSNDFYSQQATSENFVSQALLGNTRASEIIKLAPFLAPFTSRVKIFTSQLANSRQSTTSHSALTRHRFKIRRNRLLEDAFDQLSMLSEEDLKGPIRVVFVNEHGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGLVHEQHLQYFHFLGSLLGKAIYEGILVDLPFATFFLSKLKHKYNFLNDLPSLDPELYRHLLSLKHYDGDISQLELYFVIVNNEYGEQSEEELLPGGRDMRVTSENVITYIHLVANHRLNYQIRAQSTHFLRGFQQLIPNEWINMFNEHEFQVLISGSLESLDIDDLRSNTNYSGGYHPDHELIDIFWEVLKSFSSHNQKKFLKAGVPGMEEEDEDRLPTSATCMNLLKLPPYRNKTQLESKLLYAINAEAGFDLS >ORUFI05G04290.1 pep chromosome:OR_W1943:5:3171633:3174888:1 gene:ORUFI05G04290 transcript:ORUFI05G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQDFDRSPLGGREGGSLSQIQRFLGLGFMGVLSARIQVVTENYANPVTCLFHVLFKAGALVFYILFSLFVKSFVIIFVITVFLAALDFWVVKNVSGRILVGMRWWNEIDDEGNSVWKFECLDGEALARMNKKDSWLFWWTLYLTAAAWIILGIFSLIRLEADYLLVVGVCLTLSLANIVGFTRCNKDAKKNIRGYFEGHAQNAITSRITSTLQSAFGVI >ORUFI05G04300.1 pep chromosome:OR_W1943:5:3175648:3189444:-1 gene:ORUFI05G04300 transcript:ORUFI05G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMNGCGGGGGGGGGGVMKRMGLLRVQYYCVMGFVAAAVVLATLRYMPAPATAPPTVDGGGATVRSSAATVDSAAAAAAAAPGGGGVERGKGKRKHVWSGEEEEVAAEKKAAAGVVVFNFGDSNSDTGGVAAVMGIHIAAPEGRAYFHHPTGRLSDGRVILDFICESLNTHHLSPFMRPLGADYNNGVNFAIAGSTATPGETTFSLDVQLDQFIFFKERCLESIERGEDAPIDSKGFENALYTMDIGHNDLMGVLHLSYDEILRKLPPIVAEIRKAIETLHKNGAKKFWIHGTGALGCLPQKLATRGEIDRDLDEHGCITRINNVAKRFNKLLSETCDDLRLQFASSTIVFVDMFAIKYDLVANHTKHGIEKPLMTCCGHGGPPYNYDPKKSCTANDKDLCKLGEKFISWDGVHFTDAANEIVASKVISGEFSIPRIKLTASVVRPKKAKNSRRELRRARAMAMASPTNGGGGGGNKVISLRLQYYCVLAAVVVAVMVLSLAFVSPSAMGAAVRQNLGSVVAATAAAGEGADASAAAAGAGVAATTAAEEEREQAAAAGVVLFNFGDSNSDTGGVAAAGGIRIMPPEGRTYFHHPTGRLSDGRVIIDFICESLNTRELNPYLKSIGSDYSNGVNFAMAGSTVSHGVSPYSLNVQVDQFVYFKHRSLELFERGQKGPVSKEGFENALYMMDIGHNDVAGVMHTPSDNWDKKFSKIVSEIKDAIRILYDNGARKFWIHGTGALGCLPALVVQEKGEHDAHGCLANYNKAARQFNKKLSHLCDEMRLQLKNATVVYTDMFAIKYDFVANHTKYGIKWPLMVCCGNGGPPYNFKPGKFGCDDLCEPGSKVLSWDGVHFTDFGSGLAAKLAMSGEYSKPKVKLASLVNAGSNKSSDS >ORUFI05G04300.2 pep chromosome:OR_W1943:5:3175648:3189444:-1 gene:ORUFI05G04300 transcript:ORUFI05G04300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMNGCGGGGGGGGGGVMKRMGLLRVQYYCVMGFVAAAVVLATLRYMPAPATAPPTVDGGGATVRSSAATVDSAAAAAAAAPGGGGVERGKGKRKHVWSGEEEEVAAEKKAAAGVVVFNFGDSNSDTGGVAAVMGIHIAAPEGRAYFHHPTGRLSDGRVILDFIYYSNGVNFAMAGSTVSHGVSPYSLNVQVDQFVYFKHRSLELFERGQKGPVSKEGFENALYMMDIGHNDVAGVMHTPSDNWDKKFSKIVSEIKDAIRILYDNGARKFWIHGTGALGCLPALVVQEKGEHDAHGCLANYNKAARQFNKKLSHLCDEMRLQLKNATVVYTDMFAIKYDFVANHTKYGIKWPLMVCCGNGGPPYNFKPGKFGCDDLCEPGSKVLSWDGVHFTDFGSGLAAKLAMSGEYSKPKVKLASLVNAGSNKSSDS >ORUFI05G04310.1 pep chromosome:OR_W1943:5:3194324:3194593:1 gene:ORUFI05G04310 transcript:ORUFI05G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGRYIKEDENGKYIYEWNKSILRPLYEETEPSLQEGLAEFRNEYEEKGYIEAGEDYHTYMAEVQEWSKKYWDLYFNTSEMEEEEDD >ORUFI05G04320.1 pep chromosome:OR_W1943:5:3196475:3196660:1 gene:ORUFI05G04320 transcript:ORUFI05G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSPPPTNRSRSAAPARSAAAGAGAAVDADEAAPEKPVVAAARPRRRVGTEGHCPPPFR >ORUFI05G04330.1 pep chromosome:OR_W1943:5:3197653:3200490:-1 gene:ORUFI05G04330 transcript:ORUFI05G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAHATAVCFASPRHATRAPLAASTAGASSPARVSTTIITGDEGRGGSYRDAFRDRFKVLKNHAMQEDINPCFFYAVTGYFDYRAENRPRHKESAASSILGEIPKPLRYTHLVGGQQDRDSLKRQTADCSMSLGESSSIPKIARSILGEIPKPLRYTHQYNWADLAVVYEEAIFFVLQAYNEEVIHLSMRYDMLWTCSAGNHALDDAFRLAHQICAQKNCKCPIILFLKIMILKLGFTGSLTVPNVLVGMQTLPVEKKAGSSCSPAMNSKGEEDSSKLEEPKASKTPEYRIGSLIVPNVLNETQTLPVEKKAGSSCSHALNSKGKEESSGRLGKEPQASRANNPVLLSTPKSRSQGIKHKPQTGEKAASSRCSTAFNSKRGEDSSGCSSVSVPIGAMMINVNLLD >ORUFI05G04340.1 pep chromosome:OR_W1943:5:3208253:3212237:1 gene:ORUFI05G04340 transcript:ORUFI05G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASLARRRAAEAALLRRAPAAAWASAWRGYAAAAAGEESDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKASFAHHGIKFSNLEIDLPAMMSQKDKAVAGLTKGIEGLFKKNKVEYVKGFGKFVSPSEVSVDLLDGGSTTVKGKNIIIATGSDVKSLPGVTIDEKKIVSSTGALALSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFASDIVPSMDGEIRKQFQRMLEKQKMKFMLKTKVVGVDTSGSGVKLTVEPAAGGEQSVLECDIVLVSAGRVPYTSGLGLNALGVETDKGGRILVDKRFMTNVKGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKASGVAYQVGKFPLLANSRAKAIDDAEGLVKVVAEKETDRILGVHIMAPNAGEIIHEAVLALQYGASSEDIARTCHAHPTVSEALKEACLQTYLKAIHI >ORUFI05G04350.1 pep chromosome:OR_W1943:5:3213100:3216674:1 gene:ORUFI05G04350 transcript:ORUFI05G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAIDTPSPSPSASSAAAGRQTRAAESVRLEHQLLRVPLEALKSTVRTNHRLAEKEIAAVLSSAAAAPGGGGGGSGDAAAVDHLTSLVSRLHGLKRKMEEGARAEELQVQRCRARLNRLASASSGDDAEWEELRLKRILVDYMLRMSYYDTAANLAETSGIQDLVDVDVFLDAKRVIDSLQNKEIAPALAWCAENRSRLKKSKSKLEFFLRLQEFVELVKAKNFMHAIAYARKYLSPWGATHMKELQRVTATLVFRSSTNCAPYKVLFEQNQWDSLVDQFKQEFCKLYGMTLEPLLNIYMQAGLTALKTPFCFDGNCPKEDPLSLPGFRKLAEPLPFSKQHHSKLVCYITKELMDTENPPLVFPNGYVYSTKALDEMAKKNGGKVTCPRTGDICNYTDLVKAYIS >ORUFI05G04360.1 pep chromosome:OR_W1943:5:3217164:3217631:1 gene:ORUFI05G04360 transcript:ORUFI05G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLAVLQFYKVDDATGKVTRLRKECPNNDCGAGTFMANHFDRHYCGKCGLTYVYNQKA >ORUFI05G04370.1 pep chromosome:OR_W1943:5:3221589:3222618:-1 gene:ORUFI05G04370 transcript:ORUFI05G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGRLVILVAVAVVVVVAGGGAAEGAGECGRASADRVALRLAPCVSAADDPQSTPSSSCCSAVHTIGQSPSCLCAVMLSNTARVAGIKPEVAITIPKRCNMADRPVGYKCGDYTLP >ORUFI05G04380.1 pep chromosome:OR_W1943:5:3231106:3232347:-1 gene:ORUFI05G04380 transcript:ORUFI05G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRYLPHIFSNEAENYIATTAVDSVFLVEVDIRHFHVPNNQPRYKEMCKNVYTSISDRVLPKPPPENETGSPSKASKTNQSDGPSASRGKGKKKGPSTTTSKEMESSTSELAPETEPKQKPQPEPKQGLPMNITQGTRQRQATGFVIARRANIIKILTCAHIIEDVYTKDKHEVTLQELNAAFTFDVQCVHQERRLLATPSPLPTSRRLRSLTNAMVVAIDTSKDLLVLQVNADDILLSNSQTCTCEHAPINIALAPPRIREKVMLLGWPPQRSESSTEGCVSYLKRTYDAVCDIDFNVKGYTMRLMEVSGLVCGHGYSGGPLLNNEMEFVGIYHGTIEMKGYSVSLGDIRRFLAQFEVVVDFARFFFILIYDKDY >ORUFI05G04390.1 pep chromosome:OR_W1943:5:3248163:3258332:-1 gene:ORUFI05G04390 transcript:ORUFI05G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTLHTPQSPYLYKLLVRERRENTHHLPCRLRASLRPPPHKSSVPPARMPPKRSGTMKATAAAAVGAAGTAGASPVGGGPAGDASAAAGRRVTRLRSRELGLEATTGAGGDVASDDPGPARKKGKVQPATKGAKGKAARADPPTPPPRPRLPPFPKSGDPMDFLKWDKEFRRICALPEPAGTVIPCTVRRKPTGPRTVSXADNSMIRKASRSVVGISSRIPDGKEIMQCSGIVVDWNKTSRLATIVTCSAAVCFDGALVHPNPKLLVHLPNRSTAEGQLLFFNAHYRIALLEALVDSPLEPANFGSSPKFGQKVFTLARDKKSSFFARSGTVLLQDPPFFLKYKYWLSLSSAIELCGTGGPAIDERGDVAGMTFGRLPNPDVLSISILQTCIDMWRRFSRVARPFLDMDLIAFETLDITHQEEIESEHGITDGFIVDLVCDDSTAGRLGISRGDVIVSYNGLRDFTLHTFEEYLLNLGWGFLESTDPSWTINLELEIYDPVRGTIRGVTFPLGFSDICEDVCNILS >ORUFI05G04400.1 pep chromosome:OR_W1943:5:3267534:3272671:1 gene:ORUFI05G04400 transcript:ORUFI05G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAATRRKLQRKFKLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPLQSSILDRDAVRRVVALLVEADEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEHTSRLAIHGEAGDKAALYRDRYQVLLQRLARDIYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASLSMLMGLDFFGGGVIPTEETVRLSTLEKKAMNDMFVILSDVWLDNYEKTMEKLGVVLDGYDSLEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPDDAGPSKALPRCALPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFRQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWSYDHCLRLYPTPHTIVLGDKSDQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALES >ORUFI05G04400.2 pep chromosome:OR_W1943:5:3267534:3272671:1 gene:ORUFI05G04400 transcript:ORUFI05G04400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAATRRKLQRKFKLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPLQSSILDRDAVRRVVALLVEADEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEYVLLQRLARDIYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASLSMLMGLDFFGGGVIPTEETVRLSTLEKKAMNDMFVILSDVWLDNYEKTMEKLGVVLDGYDSLEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPDDAGPSKALPRCALPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFRQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWSYDHCLRLYPTPHTIVLGDKSDQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALES >ORUFI05G04400.3 pep chromosome:OR_W1943:5:3267534:3272671:1 gene:ORUFI05G04400 transcript:ORUFI05G04400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAATRRKLQRKFKLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPLQSSILDRDAVRRVVALLVEADEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEHTSRLAIHGEAGDKAALYRDRYQVLLQRLARDIYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASLSMLMGLDFFGGGVIPTEETVRLSTLEKKAMNDMFVILSDVWLDNYEFGKLGEMIAARSRLKEHSRFLFIPGPDDAGPSKALPRCALPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFRQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWSYDHCLRLYPTPHTIVLGDKSDQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALES >ORUFI05G04410.1 pep chromosome:OR_W1943:5:3293323:3304006:1 gene:ORUFI05G04410 transcript:ORUFI05G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSASVVRQAWLMAATMPSPTPVAPPSKLRLGLGSGELTGPRKVEKRLAKDDDAAVSLVMEPSLAGGGAFRSVACSRKLIVEY >ORUFI05G04410.2 pep chromosome:OR_W1943:5:3293323:3304006:1 gene:ORUFI05G04410 transcript:ORUFI05G04410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSASVVRQAWLMAATMPSPTPVAPPSKLRLGLGSGELTGPRKVEKRLAKDDDAAVSLVMEPSLAGGGAFRSVACSRKLIVEY >ORUFI05G04420.1 pep chromosome:OR_W1943:5:3294007:3296194:-1 gene:ORUFI05G04420 transcript:ORUFI05G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCLVVSHKKLQTFRTFAASKFSSFTKSAQKSIKYSFQFIYQNNPLFVHVAYFALISFAGYGSLKVLKPRDKSNTLKDLDVLFTSVSASTVSSMATVEMEDFSSAQLWVLTILMLIGGEVFTSMLGIHFMRAEFGTKESVSTRDHSPCIDIESITSTKFGPSTQGTKVTVSFSELRMENGGHVEPKTIKFLGFVVMGYLLITNLGGSLLIYLYLNLVPSAHKILKRKGIGIIVFSVFTAISSVGNCGFTPVNENMIIFQKNSILLLLILPQILAGNTLFAPCLRLMVWSLEKITGKKDCRYILEYPKAIGYKHLMSTRESVYLTLTVVSLIILQTVLFLSLEWSSVALDGMSNYQKIVSALFQSVNARHAGESVTDLSNLSSAILVLYTIMMYLPGYTSFLPRHDGEDSKTEKINKRKGLLENWIFSHMSYLAIFVMLICITERDSMATDPLNFNVFSIFAYGNVGFSVGYSCKRLLNHDARCKDASYGFAGKWSDNGKAILIIVMLFGRLKTFNMKGGRAWKLR >ORUFI05G04430.1 pep chromosome:OR_W1943:5:3301986:3303538:-1 gene:ORUFI05G04430 transcript:ORUFI05G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLEQATERKAPPPARLGSITKLTAASSSFANLFSTFLGPVSSPEPRPRRSFDGGATGVGLGIVAAMSHACLTTEAEPIAIGAAARRRAREEAELSESYTCVITHVAGVDGASGSVRKRVYFGFGDGGGGWLVEADEEPAPAADFLSRCFLCDKRLDGLDIYMYRGEKAFCSSECRCHQMLMDDHADNCGSEALKANDYSASPHSAPLPFSLSVAAA >ORUFI05G04440.1 pep chromosome:OR_W1943:5:3310189:3312934:-1 gene:ORUFI05G04440 transcript:ORUFI05G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRPPGLIAVAAAAALVAWCLCGPASAAAAAGGEAGGGGAGPEGDVLIAFRETLRGPDGAPPGPLRAWGTPAVPCRGKASQWFGVSCHGNGSVQGLQLERLGLSGAAPDLGLLAALPGLRVLSLANNAIAGAFPNVSALAMLKMLYLSRNRFSGVVPDGTFHTMRGLRKLHLSSNELSGPIPSSITSPRLLELSLAHNQFNGPLPDFSQPELRYVDVSSNNLSGPIPEGLSRFNASMFSGNEYLCGKPLDTPCDKLASPSNMSTFMTIAVVLIVVGVILAAAGIATGVIGRRRRKRRRRRPGPGEPGGDQTPSNPKLHTAPAVNINRGSATAAASTAAAAGTSASGGGGGAAAKRGGRRDEHGRLVFVQESRKRFEIEDLLRASAEVLGSGNFGSSYKATLQERPAVVVKRFKDMNGVGREDFSEHMRRLGRLSHPNLLPVVAYLYKKDEKLLITDYITNGSLAHFLHGNRGSELDWGKRLRIIRGTARGLGHLYDELPMLTVPHGHLKSSNVLLDGDMEAVLSDYALVPVVTASAAAQVMVAYKAPECVAAAAAGKPSKKSDVWSLGILILEVLTGKFPANYLRQGRQDNADLAGWVSSVVSEERTGEVFDKDMAAAGAGAEDDMLKLLHVGLGCCDADVDQRWELKTAIARIEEIRVPDPTPTPAAAADAAEPSPSTTTTTNSGETRS >ORUFI05G04450.1 pep chromosome:OR_W1943:5:3313322:3318267:-1 gene:ORUFI05G04450 transcript:ORUFI05G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAARRKAGLPADFDAEVYGAMEALTLVEEALLADATAGAGGAEIGEKSAAAAEVGEGDEVEAGEEDGNGDRGEVGEEDEGEVGEDGEEEDGNEMVEVEDDGNADDEDAQPDGGNAAASDDLEVCETGANNEGKKSQTDACELANKLQENAQHIHMLLKEEAGENENHNLAISSDSMETTRQKGDELIKSLGGLVSYLNQFTDLIKENGFENVVGLWQTLLPALASPFLSAIVYFSVWQSAVLGRFSALYSRIPGF >ORUFI05G04460.1 pep chromosome:OR_W1943:5:3320088:3323989:1 gene:ORUFI05G04460 transcript:ORUFI05G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATTSAAAIPTGGGGRRQHPHPRRPGLRPRRLHRLRLPAQAAAAAAASSPSTSSSSSSSTPAEGGGRLVAELVGAFNELTGRMGEGLATSSSSRLLFRALKLALPALRDGDGGRALARALAIAASLADLQMDAEVISAGILREALDAGAISMRDVKSEIGISTAHLLHESLRLKHAPSKLDVLDDESASALRKFCLSYYDIRAVILELALKLDMMRHLDCLPRYLQRIKSLEVLKIYAPLAHAVGAGNLSLELEDLSFRYLFPHSYDHIDQWLRSQETENKLLIDSYKEQLLQALKDDDELSQIVQDISIQGRYKSRFSTMKKLVKDGRKPEEVNDILALRVILEPRCDGSSLDWGPRACHRTHEIIQAMWKEVPGRTKDYVTRPKENGYQSLHVAIDVSEPGKMRPLIEIQIRTKEMHKFAVGGEASHSLYKGGLTDPGEAKRLKAIMLAAAELAAMRLRDLPASDQGDSNCTNRAFCQLDKNGDGRISIEELTEVMEDLGAGGKDAKELMHLLDANSDGSLSSDEFEAFQRQIELMRSLDDKDDRYRKILKEKLQTIDSAGLIQVYRKQLGDKLLVS >ORUFI05G04470.1 pep chromosome:OR_W1943:5:3324260:3325799:-1 gene:ORUFI05G04470 transcript:ORUFI05G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITEGTKYRYSTGFAIAERGEILRILTCAHIFEDIFTKNVHELTVENLNIMFRARSSSKAQCITPSQRLRQTTTARVIAVDTQKDLLVLEINTGYLCLFSGENQRTEYCRFEHPPIPIAPRPPRTMEEVVLLGWPPQRSESASTGTVSFIGRTYDMICTEDSNVKGYTMKLMESGLVCSNGYSGGPLLNNDVQTVGTYHGVIEKKGYSVSLEDINQFLTRFQVQLETEEKQLD >ORUFI05G04480.1 pep chromosome:OR_W1943:5:3327314:3332093:1 gene:ORUFI05G04480 transcript:ORUFI05G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSKIPHIYTARDVASTPDKLMIRKAARSVVAIETTYSDGKIIAVFSGIVVSWNETTRSATIVTCSEAVCDDGALIDPKPKVLVHLPNKTILDGQLLFFNDHYRIMLLEVVSDTPLQPANFGSTPKFGQDVFALSRDYESSMHARRGTVLWQEPPNVLEYMYYCLSLSCQLAPCGSGGSVIDQHGDVVGMAIGAPPNPDILPISIVQTCIEMWTKFSRIARPVLNMELRAFELIEVSHQEEIELDHNINDGFIVAVLVVYDAVRHATRSITYPLEFSDASERVLPP >ORUFI05G04480.2 pep chromosome:OR_W1943:5:3327314:3332093:1 gene:ORUFI05G04480 transcript:ORUFI05G04480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSKIPHIYTARDVASTPDKLMIRKAARSVVAIETTYSDGKIIAVFSGIVVSWNETTRSATIVTCSEAVCDDGALIDPKPKVLVHLPNKTILDGQLLFFNDHYRIMLLEVVSDTPLQPANFGSTPKFGQDVFALSRDYESSMHARRGTVLWQEPPNVLEYMYYCLSLSCQLAPCGSGGSVIDQHGDVVGMAIGAPPNPDILPISIVQTCIEMWTKFSRIARPVLNMELRAFELIEVSHQEEIELDHNINDGFIVAVLVVYDAVRHATRSITYPLEFSDASERVLPP >ORUFI05G04490.1 pep chromosome:OR_W1943:5:3339670:3342246:1 gene:ORUFI05G04490 transcript:ORUFI05G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGEVVVVDPAAAAVAPDVEHHAPAPRLTPAGSGGRLMAELLGVFNGLTERMGDDVATSSSWTLLFRALKLALPALRDAAGGRSLARALIVAASLADLQMDAEVISAGIVRQAMDAGAVAMADAEAQLGPGAAALLRESLDVKNAPSRVDVADEEAASAVRNRILSGYDVRAVILELAIRLDAMKHLDGVPKHQQRTTSLEVLKVFAPLAHAVGAGALSKELEDLSFWRLYPQAYAQVDQWLSGQEDDCKRVLATCKDDLLQALAADDELRHTVAGFDVKGRYKSRFSAMKKLVKDGRRPEDVHDILGMRVILDHRAGAGDGHRACIRTHEVIKGMWKDVPARTKDYIARPKGDGYRSLHIAVDMSEPGPEGKKRPLMEVQIRTKEMNDAAAKRLKDIMLAAAEVAAQHLRDEPATGDQTGVPAAAAAAASAGNIERAFRLLDKNGDGRISMEELTELMEDLGAGGKDAEELMRLLDDNNDGSLSSDEFALFQKRVELKAKLEDKDDEYKEILKQKLQKVDDTGLIHVYRKNLSDKLVSG >ORUFI05G04500.1 pep chromosome:OR_W1943:5:3350832:3353381:1 gene:ORUFI05G04500 transcript:ORUFI05G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGVNETVAVAVAIDAPGVGHDHGAAGEVRRPSTRRLAPAGSGGRLMAELLGVFNGLTERMGEDVATSSSSRLLFRALKLALPALRDGGGDGGGGQSVSRALVVAASLADLQMDAEVISAGMVRGALDTGALAMADVEAQLGASAAGLVEESLKVKRAPSEVDVADEEAASALRKRCLSSYDIRAVILELAVKLDAMKHLDVLPKHQQRTTSLEVLKVFALLAHAVGAGELSLELEDLSFQRLYPQAYAHIDQWLSSQEDDCKRVIAASKEELLRALTADDELRCTVTGVDVMGRYKSRFSTMKKLVKDGRRPEDVNDILGMRVILDPRPGGGGGGDGDGGDRACLRTHEVIKAMWKDVPARTKDYITRPKGNGYRSLHVAVDMSEPGPEGKKRPLMEIQVRTREMDMAAVGGQASHALYKGGLTDPEEAKRLKAIMLAAAEVAAQHLRDEPAGDGGQTTAAASAATAGNVERAFQLLDKNGDGRISMEELTEIMEDLGAGGHDAEELMRLLDANSDGSLSSDEFALFQKRVELKTKLENKDDEYKEILKQKLQKVDDTGLIHVYRKNLSDKLVLV >ORUFI05G04510.1 pep chromosome:OR_W1943:5:3355883:3356567:1 gene:ORUFI05G04510 transcript:ORUFI05G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGLAEKCKRTLDDPCLGRRLADGRSDHCLTGQEDGVTNSANDRSSSMWKWEWMDDQTMWTRSCLIKTLCYDAGNQHLITGSSHIRHTAIIQLLR >ORUFI05G04530.1 pep chromosome:OR_W1943:5:3365772:3376155:-1 gene:ORUFI05G04530 transcript:ORUFI05G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSRAGRSGVARAVRGYADAVAHHAGQAVADILQDRTHEIDGEPRQRQASLSRAPQRMVLRNACGVSLFGTAIATVGHAASHNNGLVDTKRDTARALAVSKSETALLRGPPPRSGFASDDRRYRIAGDYKSFKKTVARLEEAAVSCRGGERVELLRRWLGALQDIEAELSGSDLKDPEDRDPSSETDISKAPLALFYDADIEGGPMNFRDVFLYSQALEGITLSMVLEAPSEEEVSLLLEIFGLCLTGGKEVNKKIMDTVQDLAKALSNYKDEVLVKREELLEYTQSVISGLKRNADIMRIDAETLELWKKLDEKEKSRAQITEDQDKSSGNISVENIEIDKLKILADSLANSSSKAEQRILENRRQKEDALNFRVKKENEVSTVEKEVLDEIAELEKQRDELEAQLKKVNISLNAAAGRLKKTREERDQFDEANNQIIFKLKTKEDDLSKSIASCNVEANVVKTWINFLEDTWQLQSTYNEQKEKKTCDELERCVSSFLKLTKHHLSVFKEVLSPSIESIRTYVDNLVVLNSREETKQDEDDEASEKTNPRISLEEEYLETEKKIIIALSIADHIKKLFYSEQWANSRRDDPEVKNLIAEIEKLRGEFESIERPMLSIEANKSKPLPEERSELSPSPIQAPATPKAAHVDSPKSPMKPEQHLNPDNELANLGAELGSEDRDFSGEEINGWEFDELEEDLKN >ORUFI05G04530.2 pep chromosome:OR_W1943:5:3365772:3376155:-1 gene:ORUFI05G04530 transcript:ORUFI05G04530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSRAGRSGVARAVRGYADAVAHHAGQAVADILQDRTDYKSFKKTVARLEEAAVSCRGGERVELLRRWLGALQDIEAELSGSDLKDPEDRDPSSETDISKAPLALFYDADIEGGPMNFRDVFLYSQALEGITLSMVLEAPSEEEVSLLLEIFGLCLTGGKEVNKKIMDTVQDLAKALSNYKDEVLVKREELLEYTQSVISGLKRNADIMRIDAETLELWKKLDEKEKSRAQITEDQDKSSGNISVENIEIDKLKILADSLANSSSKAEQRILENRRQKEDALNFRVKKENEVSTVEKEVLDEIAELEKQRDELEAQLKKVNISLNAAAGRLKKTREERDQFDEANNQIIFKLKTKEDDLSKSIASCNVEANVVKTWINFLEDTWQLQSTYNEQKEKKTCDELERCVSSFLKLTKHHLSVFKEVLSPSIESIRTYVDNLVVLNSREETKQDEDDEASEKTNPRISLEEEYLETEKKIIIALSIADHIKKLFYSEQWANSRRDDPEVKNLIAEIEKLRGEFESIERPMLSIEANKSKPLPEERSELSPSPIQAPATPKAAHVDSPKSPMKPEQHLNPDNELANLGAELGSEDRDFSGEEINGWEFDELEEDLKN >ORUFI05G04540.1 pep chromosome:OR_W1943:5:3383781:3386309:1 gene:ORUFI05G04540 transcript:ORUFI05G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTFRKLKLVLALVGIITWIIGTCNAKFTPADNYLVNCGSTVDATVGQRVFVADNSQSIVLTTPQSQSIAARTTLNSVSGFDNAELFQTARIFTAPSSYSFKMRSSGRHFVRLYFFPFLYQSYDLASSKFKVSTEDVVLIDNFPQPSNSISVVMEYSLNITRDRLILTFVPEGNSTSFVNAIEVVSVPDDLITDSAQLLGVGQYLGLAAQPLQTFHRINVGGPKVTAENDTLARTWFADQSFFRNPTVAQAVTYQERLNYKDGSATQDDAPDSVYNTARRLVGQRNASSTPNMTWEFNVDGRSSYLIRFHFCDIVSKAAFQLYFDVYVYNFSAAKDLDLSAREFGTLAAPFYMDIVLPSSDPSGNLTVSIGPSSLPNATPDGILNGLEIMKMNFSSGSVYVVKPPSAAKQQLPIILGSVLGGIGAVIIVVVLCVVFRRKKKMKKPQTPLTSRPSSSWTPLSLNALSFLSTGTRTTSRTTYTSGTNSDTSYRIPFVVLQEATNHFDEQMVIGVGGFGKVYKAVLQDSTKVAVKRGNQKSHQGIREFRTEIELLSGLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKGHLYGGDQPPLSWKKRLEICIGAARGLHYLHTGFAKSIIHRDVKSANILLDENLMAKVSDFGLSKTGPEFDQTHVSTAVKGSFGYLDPEYYRRQKLTDKSDVYSFGVVLLEVICARPVIDPTLPRDMINLAEWAIKWQKRGELDQIIDKRIAGTIRPESLRKYGETVEKCLAEYGVERPTMGDVLWNLEFVLQLQEAGPDMSNIDSMNQISELPSNAQRISSLEISTADESRTAMDYSQMSTSNAFSQLINTEGR >ORUFI05G04550.1 pep chromosome:OR_W1943:5:3387184:3390029:-1 gene:ORUFI05G04550 transcript:ORUFI05G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYVDPNDRYEPRGRNSRLYVGHISLRTRAEDLENLFSRYGRVRFVDLKNEYGFVEFSDPRDANDARLDLDGRKYDGSDIIVQFARGVERGLGGSRGYKARPAHGSDHCFNCGMEGHWHRNCTAGDWTNMCYGCGERGHILRECKNSPKDLKQERGYSRSRSPRRRRSPSYGKSGPPSHWGSHGADREERLHSRRDGRGYSRSPRRHDSPSNQRNHSPRRYALPSNERYDGTRRYASPSYGRDRSPGGNALPANGRSHNLTSDGMNPSPRERDDQNGSHRRGDNDYLPSKRDDQNGSYRRGDSDYLSRERDDYLSRGRDDQNGSHRRGDSDYLSRDR >ORUFI05G04560.1 pep chromosome:OR_W1943:5:3392685:3393969:-1 gene:ORUFI05G04560 transcript:ORUFI05G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAAAAGTKKKARKPYTITRPRERWSAEEHERFLDALILFGRDWKRIEAFVATKTAIQIRSHAQKHFLKARKFGLAGGLPPPLHPRRATLLRANAAAADMMPPPWLPSAGGGSIGCSAPPSGVQQSMAGRSPACYSTDEASFRPLIHSNDNDCSFIETPSCIGSGGESWIGDDAFFMQDETIRLPISPDDLGFAQVYKFVGDMFGSGERRPVEAHLRRLQGMDPAISETILLVLKNLEANLSA >ORUFI05G04570.1 pep chromosome:OR_W1943:5:3394852:3398479:-1 gene:ORUFI05G04570 transcript:ORUFI05G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGGDNDFAKAVLAGLDKCEELGYEDVELWSDSQRACGVLSDFETINMDDRNRMECMLLRFKSTRFKRLVSVQKPREIKDWSLCEILFMVDDILIEKVHSRYLLRNLLKKWSPYLRGQSIYSITRTKLTRYIIRTKLTRDIIRKFGMSCSQNMFYLFFCIVFGLKLFGTLFYRLRHPIGAVPLHEAAERFSVDMIKLLFRQGASANVRTIGDEVTADLLPLHVAVEKYLHAYISMWRTIYPVSAGLYLRAHSSAMPT >ORUFI05G04580.1 pep chromosome:OR_W1943:5:3402678:3411159:1 gene:ORUFI05G04580 transcript:ORUFI05G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAAAAATATATAAAAAAPSPARFPLRLVVTPRASLGHCRASSSARSPRRACYATTMGDETSTSVATQSQEPAAVGAGSVKQQLSKLVIASLRTTVPEVEVDPMVEVCTAKFGDYQCNNAMGLWSRIKGSGTSFKNPNAIGQAIAKNLPPSDIIESTSVAGPGFVNIVLSNSWVAKRIQDMLVNGIKTWAPILPVKRAVLDFSSPNIAKEMHVGHLRSTIIGDTLARMFEFSNVEVLRRNHVGDWGTQFGMLIQYLFEKFPNWEEIGSQAIGDLQTFYKASKNRFDGDAEFKDRAQQAVVRLQGGEERYRAAWNKICEISRNEFDMVYKLLNVKLEEKGESFYNPFIPQVLEELNNKGLIKESEGAKVIFIEGHQIPLIVVKRDGGFNYASTDLAALWYRLNVEKAEWIIYVTDVGQQQHFDMFFNAARMAGWLPDPKEKKYPKTNHVGFGLVLGSDGKRFRTRSTEVVRLIELLDEAKSRSKSELLQRLTENGKIVDWTEEELEKTSEAVGYGAVKYADLKNNRLTNYTFSFEQMLSDKGNTAVYLQYAHARICSIIRKSNKDVEELKMSGAISLDHPDERVLGLYLIRFAEVVEEACTNLLPNVLCEYLYNLSEMFTRFYTNCQVVGSPEETSRLLLCQATAVVMRQCFELLGITPVYKL >ORUFI05G04580.2 pep chromosome:OR_W1943:5:3403295:3411159:1 gene:ORUFI05G04580 transcript:ORUFI05G04580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDETSTSVATQSQEPAAVGAGSVKQQLSKLVIASLRTTVPEVEVDPMVEVCTAKFGDYQCNNAMGLWSRIKGSGTSFKNPNAIGQAIAKNLPPSDIIESTSVAGPGFVNIVLSNSWVAKRIQDMLVNGIKTWAPILPVKRAVLDFSSPNIAKEMHVGHLRSTIIGDTLARMFEFSNVEVLRRNHVGDWGTQFGMLIQYLFEKFPNWEEIGSQAIGDLQTFYKASKNRFDGDAEFKDRAQQAVVRLQGGEERYRAAWNKICEISRNEFDMVYKLLNVKLEEKGESFYNPFIPQVLEELNNKGLIKESEGAKVIFIEGHQIPLIVVKRDGGFNYASTDLAALWYRLNVEKAEWIIYVTDVGQQQHFDMFFNAARMAGWLPDPKEKKYPKTNHVGFGLVLGSDGKRFRTRSTEVVRLIELLDEAKSRSKSELLQRLTENGKIVDWTEEELEKTSEAVGYGAVKYADLKNNRLTNYTFSFEQMLSDKGNTAVYLQYAHARICSIIRKSNKDVEELKMSGAISLDHPDERVLGLYLIRFAEVVEEACTNLLPNVLCEYLYNLSEMFTRFYTNCQVVGSPEETSRLLLCQATAVVMRQCFELLGITPVYKL >ORUFI05G04590.1 pep chromosome:OR_W1943:5:3410949:3415062:-1 gene:ORUFI05G04590 transcript:ORUFI05G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASYRRDGRGGGGGGGGGGSAPRSVEDIFKDFRARRTAILRALTHDVEDFYAQCDPEKENLCLYGYANEAKRLFGMMNDLPTVYEVVSGSRQSKERDRSGMDNSSRNKISSKHTSDVARVENNIKEEDEGYDEDDGDHSETLCGTCGGIYSADEFWIGCDVCERWYHGKCVKITPAKAESIKQYKCPSCSSKRPRQ >ORUFI05G04590.2 pep chromosome:OR_W1943:5:3410949:3415062:-1 gene:ORUFI05G04590 transcript:ORUFI05G04590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASYRRDGRGGGGGGGGGGSAPRSVEDIFKDFRARRTAILRALTHDVEDFYAQCDPEKENLCLYGYANEAWQGALPAGGGPPQLPEPALGINFARDGMTRRDWLALVAVHSDSWLVSVAFYYAARLNRNDRKRLFGMMNDLPTVYEVVSGSRQSKERDRSGMDNSSRNKISSKHTSDVARVENNIKEEDEGYDEDDGDHSETLCGTCGGIYSADEFWIGCDVCERWYHGKCVKITPAKAESIKQYKCPSCSSKRPRQ >ORUFI05G04600.1 pep chromosome:OR_W1943:5:3417435:3427321:-1 gene:ORUFI05G04600 transcript:ORUFI05G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGGGAPPPPLLGRAAPPAPPGGKKPLTPAELEAQLVEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDEEEDSAVHEWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVRLGVYHTPMIMYIKTEDPDLPAFYYDPLINPITSTSKVDRRERRTIEEDEDEDFCLPDGVEPLLKGTELYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWYKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDVWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPTMPNEFLTYADTKVETRHPIRLYSRYVDKVHIMFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTPFTKHYKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSFTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQIAGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPANLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDSGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNTPWNFNFMGVKHDPLMKYNMKLGTPRDFYHEDHRPTHFLEFSNIDEGEVAEGDREDTFT >ORUFI05G04610.1 pep chromosome:OR_W1943:5:3428799:3429596:-1 gene:ORUFI05G04610 transcript:ORUFI05G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATTTILVAAMLVVMAVESPVANGQAAAAAPAPAPAAPKTITAILTKAGQFTKFLQLLQSTQAGEQINNQIKGKASSSGGLTVFAPPDNAFAALPTGTLNKLSDQQKTSLVQFHVVSALLPMAQFDTVSNPLRTQAGETAAGKYPLNVTAEGSRVNISTGVVNATVDNTLYSGDRLVVYQVDKVLLPWALYGPPVPAPAPSPADKAKKKAGPVGVADAPAADTAAGTTTTTVATASEAAARGTVRRGLVGVAVAVAVAWCGM >ORUFI05G04620.1 pep chromosome:OR_W1943:5:3431316:3438421:-1 gene:ORUFI05G04620 transcript:ORUFI05G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLVDASLTASLHETIAAVACSREEEEREDQTLVSSSSGGGGRGGGGGEDEPSTGSAAHEERTYKFYNLGLSLEAEMFNMSKYNNSQMSRMDHMDRLNNEPPPFGQKLFMHPRSDPANGAGSSGYVGNTMRSNDLPSSSYAGQAYGQQNRAPIHASYSGHAPAGSSSGSYAPYNTQHMPASSYPHGSEDNFIPSSHVDGRRVALKRRNPIIHPTDGFGVGNYYAGSSSNTQFSRPMPPNPIPPPESCVRMPSHLGSNHWNDHRYVNHEGSQRNVRGRHDHSTIHLEQSPAAACPSSSINVPPYHPNANGPFGSAPVQRDRAPLSVHPRILPPGPDGSSIAFRERPYYPAPQSTNISAPVPTLPISCDSAPFAHGGYAPRSAHRNNLRTYPPPAFASSSNPGAVSHEPAIPSYPPAAPSYPPATSAASSSVQPFHAEAAAHLRHPRHVSVGGSGSARSRRMRDSYHGFHHLMIEDNNLGRSAAERFMMLDQLVIHESREAFDPHWDMRLDIDDMSYEELLALEERIGHVNTGLADEKISGCVMEVACCSSSHLQDDQDNERCVICLEEYKHEDTLGRLKCGHGFHCNCIKKWLQVKNTCPVCKAAAADEGS >ORUFI05G04620.2 pep chromosome:OR_W1943:5:3431316:3438421:-1 gene:ORUFI05G04620 transcript:ORUFI05G04620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLVDASLTASLHETIAAVACSREEEEREDQTLVSSSSGGGGRGGGGGEDEPSTGSAAHEEISLPHVIAQYDKGFILFHYNNSQMSRMDHMDRLNNEPPPFGQKLFMHPRSDPANGAGSSGYVGNTMRSNDLPSSSYAGQAYGQQNRAPIHASYSGHAPAGSSSGSYAPYNTQHMPASSYPHGSEDNFIPSSHVDGRRVALKRRNPIIHPTDGFGVGNYYAGSSSNTQFSRPMPPNPIPPPESCVRMPSHLGSNHWNDHRYVNHEGSQRNVRGRHDHSTIHLEQSPAAACPSSSINVPPYHPNANGPFGSAPVQRDRAPLSVHPRILPPGPDGSSIAFRERPYYPAPQSTNISAPVPTLPISCDSAPFAHGGYAPRSAHRNNLRTYPPPAFASSSNPGAVSHEPAIPSYPPAAPSYPPATSAASSSVQPFHAEAAAHLRHPRHVSVGGSGSARSRRMRDSYHGFHHLMIEDNNLGRSAAERFMMLDQLVIHESREAFDPHWDMRLDIDDMSYEELLALEERIGHVNTGLADEKISGCVMEVACCSSSHLQDDQDNERCVICLEEYKHEDTLGRLKCGHGFHCNCIKKWLQVKNTCPVCKAAAADEGS >ORUFI05G04620.3 pep chromosome:OR_W1943:5:3430285:3438421:-1 gene:ORUFI05G04620 transcript:ORUFI05G04620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLVDASLTASLHETIAAVACSREEEEREDQTLVSSSSGGGGRGGGGGEDEPSTGSAAHEEYNNSQMSRMDHMDRLNNEPPPFGQKLFMHPRSDPANGAGSSGYVGNTMRSNDLPSSSYAGQAYGQQNRAPIHASYSGHAPAGSSSGSYAPYNTQHMPASSYPHGSEDNFIPSSHVDGRRVALKRRNPIIHPTDGFGVGNYYAGSSSNTQFSRPMPPNPIPPPESCVRMPSHLGSNHWNDHRYVNHEGSQRNVRGRHDHSTIHLEQSPAAACPSSSINVPPYHPNANGPFGSAPVQRDRAPLSVHPRILPPGPDGSSIAFRERPYYPAPQSTNISAPVPTLPISCDSAPFAHGGYAPRSAHRNNLRTYPPPAFASSSNPGAVSHEPAIPSYPPAAPSYPPATSAASSSVQPFHAEAAAHLRHPRHVSVGGSGSARSRRMRDSYHGFHHLMIEDNNLGRSAAERFMMLDQLVIHESREAFDPHWDMRLDIDDMSYEELLALEERIGHVNTGLADEKISGCVMEVACCSSSHLQDDQDNERCVICLEEYKHEDTLGRLKCGHGFHCNCIKKWLQVKNTCPAFANEAPSSHPR >ORUFI05G04630.1 pep chromosome:OR_W1943:5:3438472:3443783:1 gene:ORUFI05G04630 transcript:ORUFI05G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLASISHSRPAAFQASHSSTIVAQRCSAEVALPSNTLALRSFHMSHVTRITPASNVFLSCLRTDFRTEHHQEERSSDGTEQERLSRSRILVQTCFVKGQSWKMWEQDSPSFAQRYQCLRVCRGKNLLSVSGWKKPSANLPLRETSFSSFGSASPAEIVSVAAEHAGECMEKSRGTRMMKV >ORUFI05G04630.2 pep chromosome:OR_W1943:5:3438472:3443528:1 gene:ORUFI05G04630 transcript:ORUFI05G04630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLASISHSRPAAFQASHSSTIVAQRCSAEVALPSNTLALRSFHMSHVTRITPASNVFLSCLRTDFRTEHHQEERSSDGTEQERLSRSRILVQTCFVKGQSWKMWEQDSPSFAQRYQCLRVCRGKNLLSVSGWKKPSANLPLRETSFSSFGSASPAEIVSVAAEHAGECMEKSRGTRMMKVRSMVNEEDKNEECDFAIYI >ORUFI05G04650.1 pep chromosome:OR_W1943:5:3443542:3447865:-1 gene:ORUFI05G04650 transcript:ORUFI05G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAGGGGGGKVGLPALDVALAFPQATTASQFPPAVSDYYQFDDLLTDEEKTLRKKVRGIMEREIAPIMTEYWEKAEFPFHAIPKLATLGLAGGTTKGYGCPGLSLTASAISVAEVARVDASCSTFILVHSSLAMSTIALCGSEAQKQKYLPSLTQFRTVGCWALTEPDYGSDASSLRTAATKVPGGWHLDGQKRWIGNGTFADVLIILARNSDTNQLNGFIVKKGASGLKCTKIENKIGLRMVQNADIVLNKVFVPDEDRLTGINSFQDINKVLAMSRIMVAWQPIGISMGVFDMCHRYLKERKQFGVPLAAFQLNQEKLVRMLGNIQAMLLVGWRLCKLYESGKMTPGHASLGKAWTSKKAREVVSLGRELLGGNGILADFLVAKAFCDLEPIFSYEGTYDINSLVTGREITGIASFKPAALTKSRL >ORUFI05G04660.1 pep chromosome:OR_W1943:5:3454098:3457781:-1 gene:ORUFI05G04660 transcript:ORUFI05G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGISTILTTHSHLSRPLRNRHTLLVSRKVRAAKTLSRQPSVRYPRCCRLPPARLHRQRERVTMVESTLQIGWIRSRINQTNALDKKLEAKHSATAADCQEVLAALSPDRVLDLPGHVPTASLPGLGMDPNGSSNGFALPSEI >ORUFI05G04660.2 pep chromosome:OR_W1943:5:3456271:3457781:-1 gene:ORUFI05G04660 transcript:ORUFI05G04660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGISTILTTHSHLSRPLRNRHTLLVSRKVRAAKTLSRQPSVRYPRCCRLPPARLHRQRERVTMVESTLQIGWIRSRINQTNALDKKLEAKHSATAADCQEVLAALSPDRVLDLPGHVPTASLPGLGEQLFFLIYTCSLIFLSPTVAYIISEIIDIRLCACVTQNLLYK >ORUFI05G04670.1 pep chromosome:OR_W1943:5:3461215:3465767:1 gene:ORUFI05G04670 transcript:ORUFI05G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACQQQIWQEGKQQQHLHHGGYDDLSSVYRGTVVLPRRQGGLAPEPPPPRPSSSSGRSAAAQATAMRIHSEAERRRRERINAHLATLRRILPDAKQMDKATLLASVVNQVKHLKTRATEATTPSTAATIPPEANEVTVQCYAGGEHTAAARIYVRATVSCDDRPGLLADIAATFRRLRLRPLSADMSCLGGRTRHAFVLCREEEEEEDAAAEARPLKEAVRQALAKVALPETVYGGGRSKRQRLMMESRYSTAVVHTHVDPQYCWYNSR >ORUFI05G04670.2 pep chromosome:OR_W1943:5:3461288:3464604:1 gene:ORUFI05G04670 transcript:ORUFI05G04670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACQQQIWQEGKQQQHLHHGGYDDLSSVYRGTVVLPRRQGGLAPEPPPPRPSSSSGRSAAAQATAMRIHSEAERRRRERINAHLATLRRILPDAKQMDKATLLASVVNQVKHLKTRATEATTPSTAATIPPEANEVTVQCYAGGEHTAAARIYVRATVSCDDRPGLLADIAATFRRLRLRPLSADMSCLGGRTRHAFVLCREEEEEEDAAAEARPLKEAVRQALAKVALPETVYGGGRSKRQRLMMESRYSTAVVHTHVDPQYCWYNSR >ORUFI05G04680.1 pep chromosome:OR_W1943:5:3467569:3476898:1 gene:ORUFI05G04680 transcript:ORUFI05G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGSKGIDGVGGVGGGAAGLGGGEAGDGGGGVGGAASRSWHGGAQLYVSLKMENARITGDLVPHVYGSEPIIGSWDPARALAMERELASMWALSFVVPPDHETLDFKFLLKPKDADTPCIIEEGPTRHLTGGMLEGDVRIAWFKMNGDHETLEFRVFNKADIVSPLDLAASWRVFKENFQPSKVRGIPDVSINVAPAHGTEDTSTESLELDLEHYVIPTPSAATSEYAANLAANPASLIQTGALWTNDMLLSDGIQSPSSATADFEDHNNCNKDNVALPSDSFKKLQVSGIVESKSVDTLTTLQKQDGQKGLFVDRGVGSTKFGKSSSACSLASGLNFGTGKAMPEAAGAVAAAAVADRLHGSKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETRHFNVGKYRRLKHGANQSADFFRDDNPEGIEARNEVAALAMEDMIDWMHGGGQVGIFDATNSTRKRRYMLMKMAEGNCKIIFLETICNDPNIIERNVRLKIQQSPDYADQPDYETGVRDFKERLANYEKVYEPVQEGSYIKMIDMVKGQGGQLQVNNISGYLPGRIVFFLVNSHLTPRPILLTRHGESLHNVRGRVGGDTVLSEDGELYSKKLANFIEKRLKSEKTASIWTSTLQRTILTASPIVGFPKIQWRALDEINSGVCDGMTYEEIKKVMPEEFESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLREVPDIEMPLHTIIEIQMGVTGVEEKRYKLMD >ORUFI05G04690.1 pep chromosome:OR_W1943:5:3477278:3477814:1 gene:ORUFI05G04690 transcript:ORUFI05G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSPVAYDANVLLAAVTALSAAIAFVAALHLYARCLLRRRVAGAGAGAAGNPHALRRPVTPGGNYELEVISVAACALEGGGLDAKQLGALPVFTWGSSSPATAAADAAVQCAVCLGEMEDGELGRLLPACRHVFHAECIDTWLAVSSTCPVCRAAVGAAEDDEPAAAPVAGVSPAS >ORUFI05G04700.1 pep chromosome:OR_W1943:5:3479672:3483245:1 gene:ORUFI05G04700 transcript:ORUFI05G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRPNMFSNIPQRYVGTKRADSLFFIKVQVASTDYKVQIKEHLVNNCGIRSKAVDKWFQAVTVATGFTAVEVENFYYILTCAHLFEYFYSAEIKVDCDKLNSWFNILIICQHYESDMIANHPNLYADPSNDPRFYSPARIVKLDQSKDLMLLKVSKRYLYGNHTMQLCQMPHPVLSLATVKPRPADDIMLVSWPPCRKDSVITGQLVARDRVYGQLTQYLWKGYSMHLVELNVVGGAGCSGAPVLSHQAAVIGLYHGRIESLGYAVSAADIYEFCLGAHQL >ORUFI05G04710.1 pep chromosome:OR_W1943:5:3488834:3489208:-1 gene:ORUFI05G04710 transcript:ORUFI05G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDQCLYKARSDLELEAVVVGADLDPPAGDEVVDDEVAGRLPGHLPQLDGAELDADRHARPHRERHEPGLLLLQQLRRHGAVQPRLASDGDGEDEHSEQRPAHAPAAPPPAAPAPTPTGWIRW >ORUFI05G04720.1 pep chromosome:OR_W1943:5:3490318:3500901:-1 gene:ORUFI05G04720 transcript:ORUFI05G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLLALLLALAREVAAGVVKENPKSSQFADHKAEIEAVGSGDERLEQEAATRTPLGASGGPGQLPHPPPRQRLGMEYYHSSDSEQGLRLGISATTRPTMDSSALPRSTVAHAADLPTLKQSDYYQNIADWHNLNQKRAVLGVAKSVLSLSSTHDEKEIYRCTGIIIEWDEVSKSATLVTSSQILCNEESQDNSIYYPNTKMIAHLLDGTTSEMELLYFSKHYEIVFFKVNGALDLQVALLDTELEFGSEACVLARDKNLDLICRRTTIVAMDPCEHQKNHYLFIDASDCEDCNGGALTNFNRNIVSMVLYALPNVAFIPSSLILKCFALWKKFRKLGRPHLGLKLTVNFLDISHLENLSRVYGISSGLIVAKVSNGSPAERNGIRMGDVIFHCQQESISTTAQFEDVLLDVCEKHFEKGINLNSKVDVELVVYNLRKRSRRTVSLSVELSDGMEGYGTTRTANCCEEIVIL >ORUFI05G04730.1 pep chromosome:OR_W1943:5:3506398:3508920:-1 gene:ORUFI05G04730 transcript:ORUFI05G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTGCFPAGEMAAVARVCRDGAAAARLKTGSLLAILVASAVGICLPVALTGAFRGKAGYARGLLLVKCYAAGVILSTSLVHVLPDAHAALADCAVATRRPWRDFPFAGLFSLVGALLALLVDLSASSHLEAHGHHQHAEEGESPPPPPPTHQPYAPIPTTKKSPVFELSGEMSPKKRAHSDDTDRDDVALFGAKSAVRSDEVVVAPRVGCHGHHDVVEVGEEGGGGEEEEARRKQKMVSKVLEIGIVFHSVIIGVTMGMSQDVCAIRPLVVALSFHQVFEGMGLGGCIAQAGFGIATVGYMCVMFSVTTPLGILLGMAIFHMTGYDDSSPNALIIEGLLGSLSSGILVYMALVDLISLDFFHNKMMSSSNKLKKVSYVALVLGSASMSILALWA >ORUFI05G04740.1 pep chromosome:OR_W1943:5:3511834:3516721:-1 gene:ORUFI05G04740 transcript:ORUFI05G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQHLNSQTVDLARNLRENQLGGVIFGCKHNTIEECFEKQLFGLPSVHYSYVRNVKPGLPLFLFNYSDRKLHGIFEAASPGQMCIDPYAWSHDGSLRTSFPAQVRICTKTRYPPLMESQFRTVLGDNYYNHHHFYFELDHAQTKALIAVFKSLAPANFTQVPAVSSKRTIAPLPSTKRQAPVIPDQKKGSASPKDINPFSVLSQSGGAVKDNWADSDVENGSISRSSDEKESRELVSDWEDLDDNVLHGQLGLCSNPDEISQNSSYNSVAKGAEFVECSHLVVNPVNGGIQSSDGDMLVISDDVHSGAVGVDGIESGGQNEPDDVSIQPERLSILQKLKELFVLRQQAVLSDQNLAYSNSDEYAPEETQANVSLSCPEQCAPEEPQANTTPSCPELHVLEETQANVSLLCPEQYVPEEPQANASLSCPEQHVPEEPQANASLSCPEQHVLEETQPNGNMYLKNHRPMQAFLVQSNMYLKKYKPMQAFLVQISMYLKNHRMMQVFLVQSNMYLKKHRPMQAFLVQISMYLKKHRMMQAFLVQSNMYLKKHRPMQAFLVLASMYLTMQAFLVQSNMCLKKHRSMQVFLVQISIFPKKQNQHVPEETQVTAGISCPDQHVPEETQVNASLPSPNQHVPEETKATAAISCPDQHVTQANASLSQHEFGAKVEDNTSLEQNQGNAELIKIVLDLIKKTDSLDMRQNKSHEEILSLKEVVKDSGTKVKQLEYRIDELQFKLDSSLSLVGDACDTLDKPSIFLIGGYNGVSWLSSLDAFSPEKDILVPLAPLSSARSYASVATLEGCIFVCGGGVGDSFGNTVECYNTMCNEWMACPCLNNKKGSLAAVSLDGKIYAIGGGDGIVTYSDVEMFDPFLGKWICSPSMMNSRFALGAAEMNSVIYATGGFDGFSYLQSAERYDPREGFWARLPSMNVRRGCHTVAALGGVLYAIGGYNGDRMVSSVEIFDPRRNSWRVGDPMNFPRGYASTVTLGDNVFVIGGLQSSEKFMDSVEVYNVKCGWSVPGFSSIGVRCFASAAVV >ORUFI05G04750.1 pep chromosome:OR_W1943:5:3531830:3532342:1 gene:ORUFI05G04750 transcript:ORUFI05G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGRVEEYGRPVAAGEILAANPNHVLSKPCCSQGGAVAVRRTILIVSPDSELERGEIYFLIPASSVPDKKKKSGGGAAAATPAASGRHGKSKQAAPSSDHGGNGRRHVRDVSSEKRSSSLHRRRMSAGSRTAAWRPHLECIVEDT >ORUFI05G04760.1 pep chromosome:OR_W1943:5:3538247:3542081:1 gene:ORUFI05G04760 transcript:ORUFI05G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKSMLRGADSGFCCHTLAWFSFSQVSANCICQRYTSHINQFPHVGRRVCQRDFYLIFQECPSENYPVPYLMEGLSTGSVWSIAFGILVAKCLQYKPVKKESVVIMPTFGVQLEIHFWSGRVHRRFVPIGKILKPLLNECVTPVTCYWSLALLLRDEEELRLVFQKSHPPVKMLVPVWKALCKFLNSGCQTSSAVSEPNRSET >ORUFI05G04760.2 pep chromosome:OR_W1943:5:3538247:3542081:1 gene:ORUFI05G04760 transcript:ORUFI05G04760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKSMLRGADSGFCCHTLAWFSFSQEGLSTGSVWSIAFGILVAKCLQYKPVKKESVVIMPTFGVQLEIHFWSGRVHRRFVPIGKILKPLLNECVTPVTCYWSLALLLRDEEELRLVFQKSHPPVKMLVPVWKALCKFLNSGCQTSSAVSEPNRSET >ORUFI05G04770.1 pep chromosome:OR_W1943:5:3542346:3544142:-1 gene:ORUFI05G04770 transcript:ORUFI05G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARRSCSMPAAGAGPGSAAAAVNTITPCAACKLLRRRCAQECPFSPYFSPLEPHKFASVHKVFGASNVSKMLLEVHESQRGDAANSLVYEANLRLRDPVYGCMGAILTLQQQVQALEAELAAVRADIVRHKYRLPSAAAVLPSSHASHLLAAAAAARQLPVAGTMGVVTTATLPASASSSSTTAVYAAASSSTDYSSITNENVPYFS >ORUFI05G04780.1 pep chromosome:OR_W1943:5:3556898:3557581:-1 gene:ORUFI05G04780 transcript:ORUFI05G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNGKEEVYVKEGSKLYSRMMSKEAAAAAAPLAVPSFRVYYGVASAGSVPFLWESQPGTPKSSPSTAVLPPLTPPPSYYASAGKKGGGGGASSGSRRSGWSAGGARGVLGAMFRRPWRRTSPCSTSSSSSWSYSSPSSVSMSPVFTVHQASPMAVAHSHHTRACSAGAAYDDAAAARCFGMERECERGLVKGCGVAVAVRNALSSVVGHKSGGHGGAPPAAAAAY >ORUFI05G04790.1 pep chromosome:OR_W1943:5:3557757:3566155:-1 gene:ORUFI05G04790 transcript:ORUFI05G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGLHTSRASSDGLTGCLSLPPKLLHPAPADRDDNEEYGDEEDAVLVGIIRRIPCAFDNANHLLSCSPAATIRASRHASRLLSFSPTATVIPTGSLPLSHHPLHRWLPLSPLPPRHSRESGEKRREKGKKGEITTGSEYNPQQDDTFTVAIVVAATAY >ORUFI05G04800.1 pep chromosome:OR_W1943:5:3569003:3574982:1 gene:ORUFI05G04800 transcript:ORUFI05G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMRHWRQRYVVLVNGEFLAARSLPGSPIQFVLGFSPRIPPLLNVGDMTKTNTYLGGYYG >ORUFI05G04810.1 pep chromosome:OR_W1943:5:3571521:3573972:-1 gene:ORUFI05G04810 transcript:ORUFI05G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLYISLGFLLFSLHVTPPCSAATNDTLAAGEVLAVGDKLVSRNGRFTLGFFQPSVVVKSGNITSPNWYVGIWFSNISVFTTVWVANRDSPVTELQLNQTQLKLSKDGNLVISSNASIIWSSTVNRTSATTMNSSTSVVLSNDGNLVIGSSPNVLWQSFDYPSDVLLPGAKFGWNKVTGFTRRFTSKKNLIDPGLGLYYVELDNTGIDLSRSNPPNMYWSWSSEKSSSALISLLNQLININPETKGRINMTYVNNNEEEYYEYILLDESYYAYVLLDISGQIEINVWSQDTQSWKQVYAQPADPCTAYATCGPFTICNGIAHPFCDCMESFSQKSPRDWELDNRTAGCSRNTPLDCSNTTSSTDVFHTIARVRLPYNPQIVDNATTQSKCAQACLSYCSCNAYSYENSKCSIWHGDLLSVNRNDGIDNSSEDVLYLRLAAKDVPSSRKNKIKPIVAVVAASIVSLLVMLMLILLVLRKKLRFCGAQLHDSQCSGGIVAFRYNDLCHATKNFSEKLGGGGFGSVFKGVLSDSTIIAVKKLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDERLLVYEHMVNGSLDAHLFQSKATVLNWTTRGTVGYLAPEWISGVAITPKVDVYSFGMVLLEILSGRRNSYKVHTDDNSDQVAFFPVQAISKLHEGDVQSLVDPQLNGDFSLVEVERVCKVACWCIQENEIDRPTMNEVVRVLEGLQELDMPPMPRLLAALAECTVVAHCDDDS >ORUFI05G04820.1 pep chromosome:OR_W1943:5:3582406:3583085:-1 gene:ORUFI05G04820 transcript:ORUFI05G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAMREPTSCVVARLEALAMGGAMPMRVTKSGTRGVCLECVELHRRAGRLAGTFDLVILFRSIGRILGKGRRRTINKFTQRNNPLQSASWSAVNQQERKCRTQAHEHD >ORUFI05G04830.1 pep chromosome:OR_W1943:5:3594658:3617292:-1 gene:ORUFI05G04830 transcript:ORUFI05G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLINISLGLILVFLHSTTTICATNDVLRAKRSPLATSWCQEMASSRSASSSQATVNKSGNITSPNWDKPVTELQLNLTQLKLSRDGNLVISNNATGSILWSTDIVNRTSSATTMNNTASVVLSNDGNLVIGSSSNVLWQSFDNPSDVLLPGAKFGWNKLTGFTRQIISKKNLIDPGLGLYHVELGNMSGQVEINIWSQLTQSLQKVYAQPVDPCTAFGTCGPFTICNGISRPFCDCMESFSWKSPQDWELDDDRTAGCMRNTQLNCGNMTSSTDVFHAIPRVTLPYNPQSVDNATTQSKCAQACLSYCSCNAYSYERSRCSIWHGDLLSVNMNDGIDNNSEDILYLRLAAKDLPGSAKNRTKPNVGVVTAATITSFGLLGGGGFGSVFKGVLSDSTIIAVKKLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCKGDKRLLVYEHMENGSLDAHLFQSKATVLNWTTRYNLATGVARGLSYLHHSCKEYIIHCDIKPENILLDALFTPKIADFGMAAFVGRNFSRVLTTFRGTIGYLAPEWISGVAITPKVDVYSFGMVLLEILSGKRNSHKVCTDDNNSNQVAFFPVTAISKLLEGDVQSLVDPELNGDFSLEEAERLCKVACWCIQDNEVNRPTMSEVVREIEGRAGGRRFSSTDLLLRRPAIAPXKEGRGEAAVREGRGKAAELSSTQHICILLLYFLRSNYFTMIPQLYIFLGLLLFSLHGAPPYSAAAVNDTLLAGESIAVSDKLMSRNGKFTLGFFQPSVVSKSGNITSPNWYVGIWFSNISEFTTVWVANRDNPVTDLQLNQTRLKLSNDGNLVISSNASTIWSSATVANTTIATTMNTTSVVLANNGNLMIIGSSSTSNVSWQSFEHPADVMLPGAKFGWNKATGATIKYFSKKNLIDPGLGLYYFQLDNTGIVLARSNPAKTYWSWSSQQSSKAISLLNQLMSINPQTRGRINMTYVDNNEEEYYAYILLDESLNVYGVLDISGQLIINVWSQDTRSWQQVYTQPISPCTAYATCGPFTICNSLAHPVCNCMESFSQTSPEDWEVGNRTVGCSRNTPLDCGNMTSSTDVFQAIARVQLPSNTPQRVDNATTQSKCAQACLSYCSCNAYSYENNICSIWHGDLLSVNSNDGIDNSSEEVLYLRLSAKDVPSSRKNNRKTIVGVIIATCIASFLVMLMLILLILRKKCLHTSQLVGGIVAFRYSDLCHGTKNFSEKLGGGGFGSVSKGVLSDSTIIAVKKLDGAHQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDKRLLVYEHMVNGSLDAHLFQSKATILNWTTRYNLAIGVARGLSYLHQSCKECIIHCDIKPENILLDASFTPKIADFGMAAFVGRNFSRVLTTFRGTVGYLAPEWISGVAITPKVDVYSFGMVLLEMLSGKRNSQKVCIDDNSNQVAPFPVTAISKLLEGDVRSLVDPKLNGDFSLEEAERLCKVAYWCIQDNEVDRPTMSEVVLVLEGLHNLDMPPMPRLLAALAL >ORUFI05G04840.1 pep chromosome:OR_W1943:5:3640833:3641162:1 gene:ORUFI05G04840 transcript:ORUFI05G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSPGGNPVNVQHREGDGVQSNSGSTAPGDTNNMRRSRSFDEYMRDRPARVDDDGCRALRTNGLPGGAAAADRLVSATPFLPKQNQCCSGSVDHHLCSFSKSSPSTKL >ORUFI05G04850.1 pep chromosome:OR_W1943:5:3655954:3658350:1 gene:ORUFI05G04850 transcript:ORUFI05G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPHEPAGSEPSENVQNIEEDNEYLNNKRGWLMAVATLFVGMAFQAAIQLPAWFPDDWPQAFSSHNMKHSGIIFRATVASAPSPISPQQHAATTLTEGQMRGIRWYIMFNTVTFTIALALLITLVAVGRSLASHSMRLMNAILFTVIISTSCTFVLAISSDWTVIRWMLPVLLVLGSYTLFISLVWPKIIEYRKEKKRQREAQSNTASPPP >ORUFI05G04860.1 pep chromosome:OR_W1943:5:3662548:3663096:1 gene:ORUFI05G04860 transcript:ORUFI05G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGDGTARSSVGMASATATVRGAGGARGGDGDGGDAMAQPRRGAQGRRRRDGTRRGDRTARGAAEESTATGRQVTQRRQRAAAQGARPTATVKRRGSDRARGSKAQKRDGDGSRRAAMATRRRRRAAWQRGRDATATAHGAAAATGRDGNGGAGREAARRGVAEEWEGLGDHIEHLGANE >ORUFI05G04870.1 pep chromosome:OR_W1943:5:3671096:3671290:-1 gene:ORUFI05G04870 transcript:ORUFI05G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVALFAAATFALCSADDYRLMAFVGIVVAVYAAVTVVFVRCNPALPFPGGDAGHGCSWVSRL >ORUFI05G04880.1 pep chromosome:OR_W1943:5:3671369:3671984:-1 gene:ORUFI05G04880 transcript:ORUFI05G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRIAEQFIRLVIDGTIQVPLNGHTVVQNIGRQAAAAVAGGVSEKAAATAGRLRLVVHTRMAAWMVVATLFAAMALEAALQPPAWMPRPRDWFAALLAVPDRQHVHFRHVSGRDPHG >ORUFI05G04890.1 pep chromosome:OR_W1943:5:3672538:3673437:-1 gene:ORUFI05G04890 transcript:ORUFI05G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRIRRDVEIESVADDSANSVQNIRLVIDIGDRTIQVPLNGHTVAQNIGRQAAAAVAGDSSAGGVSEKAGGGGEEWLQEQDGLLSMRGWLMAVATLFAAMAFQAALQPPGWMPRPRDWFAALLAADPAAAAVTRDQAGKAMLYLIVNTCTFATSLAVLLMLLAVGDAGGGGGGCASRRVTARLIANMMTAVALFAAATFALCAADDYRLMAFVGTVVAVYAAVTVVFVRCNLALPFRRGGGGGGHGCCSWVSRL >ORUFI05G04900.1 pep chromosome:OR_W1943:5:3675958:3679694:-1 gene:ORUFI05G04900 transcript:ORUFI05G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSFRTAASPSPFPSLPSSSRQAPPCSSLRFPRPRNGRMVGVRRRASGFEAFPPLPGKVFVEEAIGAEYGEGFETFRMDGPLKVDVDYLNEKLQECFLQRIRHAMKPDEACGLIFSWDNDIPNAGHVQKSILHGAADHVLRKVLYWAKDESQMERLKARLIELYYENLFKLDTPVNGLREWLDAVQTAGIPCAVASSLDRRCMIEALDRMELSKYFKLDRKPSKCVVFEDDPRGVTAAHNCTMMAVALIGAHPAYELVQADLAIAKYSELSVINLRRLFAHKGLSFMDLQKQIIERSPPKRKLTVDTIF >ORUFI05G04910.1 pep chromosome:OR_W1943:5:3681569:3684934:1 gene:ORUFI05G04910 transcript:ORUFI05G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSPAAAHHRLFLLLLLFSALLAPLASAYRPGDIVPMLRSGQYHGSRSVWFDVVGRHCPSFAVNHEVMMPIPKPTGFTGADPYKITFQIGHEKFHLPWLYVINRKSSEVPMIDFHLKYSGNDLLGVTAKVVDMPHIYVEHHPDIRKNFWDQQNWPKYVLVRYTWEEQSEIDVPGGFYVLFGSGLVLSFILAIYVLQSSQEKLTRFVREAVNDSSLPEGGFAKVE >ORUFI05G04920.1 pep chromosome:OR_W1943:5:3686428:3688911:-1 gene:ORUFI05G04920 transcript:ORUFI05G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKMQSCRWILAYLLVVLVSLHGGANGFYLPGTFMHTYTPNEVISAKVNSLTSIETELPFSYYSLPYCKPPEGVKKSAENLGEILMGDQIDNSPYRFRVNVNESVYLCTTDPLTKEQAELLKKRARDLYQVNMILDNLPVMRFTEQNGVTIQWTGFPVGYNPMGSNEDYIINHLRFKVLVHQYQAQGDVVITSEDGVAMVESDRKSGFQIVGFEVVPCSVRRDPEAMSKLKMYDKVDSVKCPLELEKSQAIRENERITFTYDVEYVKSNIKWPSRWDAYLKMDGAKVHWFSIMNSMMVVFFLAGIVFVIFLRTVRRDLTRYEEMDKEAQAQMNEELSGWKLVVGDVFREPCCSKLLCVMVADGIQITGMAVVTIVFAALGFLSPASRGMLLTGMIILYLFLGIIAGYVGVRVWRTIKGTSEGWKSVAWLTSCFFPGIVFVILTVLNSILWGKKSTGALPISLFFTLLALWFCISVPLTLIGGLLGTRAASIEYPVRTNQIPREIPERKFPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLFLLVIVCGEVSLVLTYMHLCVEDWKWWWKAFFASGSVAFYVFLYSINYLVFDLRSLSGPVSATLYLGYSLIMALAIMLSTGAIGFLLSFYFVHYLFSSVKID >ORUFI05G04930.1 pep chromosome:OR_W1943:5:3697220:3700274:-1 gene:ORUFI05G04930 transcript:ORUFI05G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALWMKFFEHKPFDSRTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRSIQLSLSVLLFGVGVATVTDLQLNAVGSVLSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQSLTLFLIGPFLDGFLTNQNVFAFDYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVVGMVLYSYFCTLEGQQKNAEVSPQQAKEGDSAPLISDSLSKVENGGGVVDDEPLKVPMWSSKYSRA >ORUFI05G04940.1 pep chromosome:OR_W1943:5:3708592:3710643:-1 gene:ORUFI05G04940 transcript:ORUFI05G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMQKTQSRKSSSWWWDSHISPKSSKWLSDNLEVMETQIKETLELIEEGEPSAEKAGVLITHVQNFQQMYRVLAERYGNVTGELRKNIPSSLQSSVSFGISESDSEAQSPSSPERDLQEKMSQKQKPRSDCFDVSIGSGMSSDVSKKGSDGSSSSSESDLELDEAKEENGNSIFYALSQKIIELEDELHEVRGKLDASEEKNMRCHCNFGANSELSEHEEKQQVSDVETSSLQKDLDEVKSEKEALEAVVLVNKDEIDRLKESMVSAAKQFEVELAHRDTEIDKCKQELEVLSEKYLHDISALEAEIGKLQGVIKTFEDDFAKISQEKLMLESRVEELEQSVNSSNYSVSEMVNLQELMKDTQAELEKVSQEKEVLRERVLEFEQLLGDFENSGMEVAKLPETIKNLGAQIEGTLQEKSVLQDRIKELEQAVHDSLQNHSLEKSSLSAELSKLSEANASLEAKLASVEAELKQVYDEKANESLNSEKEISRLNQELANVKTDLELLLSEKSLVNNKVTTLLTDITTRDEKMKQMDDQLNQLQLEHSKLMAQADLARKSLSELHARVCELEKEVEMQKLVISESAEGKREAIRQLCFSLEHYRSGYQELRQLLHDQKRPLVMAT >ORUFI05G04950.1 pep chromosome:OR_W1943:5:3715494:3717394:-1 gene:ORUFI05G04950 transcript:ORUFI05G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVGKGRRHIEEDKLDFKGGNVHVITSKEDWDRKIEEANKDGKIVVANFSASWCGPCRVIAPIYAEMSKTYPQLMFLTIDVDDLMDFSSSWDIRATPTFFFIKNEKQVDKLVGANKPELEKKVQALADGS >ORUFI05G04960.1 pep chromosome:OR_W1943:5:3721218:3728065:1 gene:ORUFI05G04960 transcript:ORUFI05G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFSHCVHLVSWEKENVTSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKPNNAVHASEALRRAKFKFPGRQKIIESRKWGFTKFSRDEYVRLKSEGRIMPDGVNAKSFSPMGHVEEASGNDDDGVELLSVSWNQDNSCFIAATTNGFRVFSCKPFHETMRRMFGPNGGIGIAEMLFRTSIFGLAGAESNTEFPPTMLQLWDDYNERRIHKYNFTSEIRAVRLSKDYFVVVLEKTINVYRFKDLRLFYQARTVSNPNGLCCLSHHANASVFACPGTSKGQVLIEHFGLKETRFIAAHDSPLSCMTMALDGTLLATASVRGTLIRIFNTRDGTCVQEVRRGLDRAEIYSIALSPNVQWLAVSSDKGTVHVFSLRVKDAEEDAKKGESATAGAQVNDNCNYGSTVPVTQTKIGSNTSSSLSFMKGILPKYFSSEWSFAQFRLPEITRYIMAFGDQDTVMMIGLDGSFYRYSFDPVNGGEMMLKEYHLFLKASKSL >ORUFI05G04970.1 pep chromosome:OR_W1943:5:3731503:3734690:1 gene:ORUFI05G04970 transcript:ORUFI05G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGGGGGKDGGGGMAAQAGRLGVVASVAFNLAALAFYLRRRYFGGDDAAAARKKAEAEVAPSSGKPPVTKDSIINLDHGDPMMYEAFWRGGAGERATIVIPGWQTMSYFSDVGSLCWFLEPGLEREVRRLHRLVGNAVADGYHVLVGTGSTQLFQAALYALSPPGPSAPMNVVSPAPYYSSYPAVTDFLKSGLYRWAGDAKMFDGDTYVELVCSPSNPDGGIREAVLKSGDGVAVHDLAYYWPQYTPITSAAAHDIMLFTVSKCTGHAGTRLGWALVKDRAVAQKMSKFIELNTIGVSKDSQLRAAKILKAITDGYDRAAGDDDDDSSGRLFHFARRKMVSRWAKLRAAVAASGIFTLPDELPGHCTFANETVSAYPPFAWLRCGKEGVDDLEGYLRERKIISRGGGKFGADGRVVRISMLDTDEAFAIFVDRLAAMN >ORUFI05G04980.1 pep chromosome:OR_W1943:5:3739980:3743326:-1 gene:ORUFI05G04980 transcript:ORUFI05G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQFMDKQILGLAASGAGAAAAAAAASSSPPAAGGGGGGGLFDLMSPDPQEDGGGHARRGQQQHGGADEVSAGMLESHVLKKVGHEEERGNFSAVSIADIDRTMKKYADNLLHALESVSSRLSQLEGRTHHLENSVGELKLIIGNYNGSTDGKLRQFENTLREVQAGVQILRDKQEIVETQVQLSKLQLSKAEDAQSEKAGVGQADSRQQPTLPQPQHQAPPPSHPPALPALPAPNAPPPPAPQSQPPSQFPGHLPHSQVQSVPPAPPTPLAPTIPQESYYPPSAVQPTDTTHQQYQAPPAPQSQAPPAPPQHYQTPPQYAQYSQPPPASANPSTAVPPSVHQQPEEVAAPYGPPPQSYPPNVRLPSPYVPPPSGPAPPFYGPNPGMYEPPAVRPNSGPPPSYNTGYKPQGGGGFPEPYGYSGSPSHRGNAGMKSPSPFHPTGSAGSGNYSRLPTAQMLPQAASASSTPSASSGNRVPIDDVVDKVATMGFSREQVRAAVRQLTESGQNVDLNMVLDKVMNGADAQPQRGWHGR >ORUFI05G04990.1 pep chromosome:OR_W1943:5:3750139:3755308:1 gene:ORUFI05G04990 transcript:ORUFI05G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSRWRAAPMASRSPAAMVMACVVVVLRVSCVLAVDEQGAALLAWKATLRGDGGALADWKAGDASPCRWTGVTCNADGGVTELSLEFVDLFGGVPGNLAAAVGRTLTRLVLTGANLTGPIPPELGELPALAHLDLSNNALTGTIPAALCRPGSKLETLYLNSNRLEGAIPDTIGNLTSLRELIVYDNQLAGKIPASIGKMSSLEVLRGGGNKNLQGALPAEIGDCSSLTMIGLAETSITGPLPASLGRLKNLTTLAIYTALLSGPIPPELGRCGCLENIYLYENALSGSIPAQLGGLGKLRNLLLWQNQLVGVIPPELGSCAALAVVDLSLNGLTGHIPPSFGNLSSLQELQLSVNKLSGAVPPELARCSNLTDLELDNNQLTGGIPAELGRLPALRMLYLWANQLTGSIPPELGRCGSLEALDLSSNALTGAIPRSLFRLPRLSKLLLINNNLSGELPPEIGSCAALVRFRASGNHIAGAIPPEIGMLGNLSFLDLASNRLAGALPPEMSGCRNLTFVDLHDNAISGELPPRLFRDWLSLQYLDLSDNVIAGGIPPEIGMLTSLTKLVLGGNRLSGPMPPEIGSCTRLQLLDVGGNSLSGHVPGSIGKIPGLEIALNLSCNGFSGAIPAEFAGLVRLGVLDVSRNQLSGDLQPLSALQNLVALNVSFNGFTGRLPETAFFARLPTSDVEGNPALCLSRCSGDASEREVEARRAARVAMAVLLSALVVLLAAAALVLFGWHRRGGGARGGEDKDGEMSPPWDVTLYQKLEIGVSDVARSLTPANVIGHGWSGEVYRASMPSSGVTIAVKKFRSCDEASIEAFAGEVSVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHGGAMGGGATTTAAVVEWEVRLAIAVGVAEGLTYLHHDCVPGIIHRDVKADNILLADRYEACLADFGLARVADDGASSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEMITGRRPLDPAFGEGQSVVQWVRDHLCRKRDPAEIIDVRLQGRPDTQVQEMLQALGIALLCASPRPEDRPTMKDVAALLRGIRHDDGVEARKAGNGVGTDAETRKRADPRQPISPTKLMALARPAQAQAQAQLQARANSGSLGLLNDQE >ORUFI05G05000.1 pep chromosome:OR_W1943:5:3757223:3757759:-1 gene:ORUFI05G05000 transcript:ORUFI05G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEFVDDEGRRREGHGVRGTVLRHGGDGRRHLRLRHQHRGRRVVHGVVPKGLITGSAPADGGRRRWRAPRQQLLQVRQLAADALHLVALHLRPAHLRAPPSWVTASRGRRASMILGGFAYIAGVAASGTAVNVSIAILGRAFLGLGLGFTTEVTTLKKSNYSQILDVPFGQIFCKVF >ORUFI05G05010.1 pep chromosome:OR_W1943:5:3758634:3763542:-1 gene:ORUFI05G05010 transcript:ORUFI05G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLMASCCFIISYKRPRPIIATFVPFLLLLFFFAVVVAASSSSNGTAAALHPGEELLRLERVRAQLARSPDGDVIDCVPSHLQPAFEHPRLRGQKPEVSSGGGGGHGCRCRSSGAEPPSARPTETTRRRRRRRRSHAHGGGGGEHREEEDDGEHGLRQAWWAAGEACPEGTIPVRRTTEADLLRASSAAAAGGRFGMKPRGVGVVGGAARRDSTSSGHEHAVGYMSGGQFYGAKASLNVWPAKVASPAEFSLSQIWLISGSFGNDLNTIEAGWQVSPQLYGDNNPRFFTYWTNDAYQETGCYNLHCSGFVQTNSRIAMGAAISPISSFAGRQFDITLLIWKDPKQGHWWLQLGSGALVGYWPSFLFSHLGARADMAQFGGEVVNTRPSGSHTPTQMGSGRFPGEGYGRAAYFRNVQVVDWDNNLIPAAALRLLADHPACYDIAGGQGAAWGRYFYYGGPGRNARCP >ORUFI05G05010.2 pep chromosome:OR_W1943:5:3758634:3763542:-1 gene:ORUFI05G05010 transcript:ORUFI05G05010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLMASCCFIISYKRPRPIIATFVPFLLLLFFFAVVVAASSSSNGTAAALHPGEELLRLERVRAQLARSPDGDVIDCVPSHLQPAFEHPRLRGQKPEEPPSARPTETTRRRRRRRRSHAHGGGGGEHREEEDDGEHGLRQAWWAAGEACPEGTIPVRRTTEADLLRASSAAAAGGRFGMKPRGVGVVGGAARRDSTSSGHEHAVGYMSGGQFYGAKASLNVWPAKVASPAEFSLSQIWLISGSFGNDLNTIEAGWQVSPQLYGDNNPRFFTYWTNDAYQETGCYNLHCSGFVQTNSRIAMGAAISPISSFAGRQFDITLLIWKDPKQGHWWLQLGSGALVGYWPSFLFSHLGARADMAQFGGEVVNTRPSGSHTPTQMGSGRFPGEGYGRAAYFRNVQVVDWDNNLIPAAALRLLADHPACYDIAGGQGAAWGRYFYYGGPGRNARCP >ORUFI05G05020.1 pep chromosome:OR_W1943:5:3770102:3774480:-1 gene:ORUFI05G05020 transcript:ORUFI05G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPRRWMYGRGGGKGKPAGLLLLGVFLCLSVVLLLLLHGSSPSLEGEGRKPEAVEAAGGGGEEEEVAVARAEVEEAPLPPGNARLAFLFIARNRLPLDLVWDAFFRGDKEGRFSIFVHSRPGFVLTRATTRSGFFYNRQVNNSVQVDWGEASMIEAERVLLAHALKDPLNERFVFVSDSCVPLYNFNYTYDYIMSSSTSFVDSFADTKAGRYNPRMDPIIPVENWRKGSQWAVLTRKHAEVVVEDEEVLPEFQKHCRRRPLPEFWRDWDRPIPAEAWKAHNCIPDEHYVQTLLAQHGLEEELTRRSVTHSAWDLSSSKDRERRGWHPVTYKISDATPALVKSIKDIDNIYYETENRKEWCTSNGKPAPCFLFARKFTRAAGLKLLDLSLIAANGASTM >ORUFI05G05030.1 pep chromosome:OR_W1943:5:3774511:3787135:-1 gene:ORUFI05G05030 transcript:ORUFI05G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTIISLDLYNAGLSMRIPSTDNGTDATLEQRDHPHGRRKGSAFAVLEASATHAGASKFWTLTTPAQNKRNPSRDDLRAAAAGRRAEAGNHLPSPPPRRRVEQKNGEETTPRVISYSLAAVATGCGGCGGGGGGGRFSLTTVTGQVAWLATNKHHHTERDRDAWMCLPLDGAEASVPDDEPVQGNPLRRLRQLLRRLAPEQHHRRLAAKQKPACLSENEVYWRGGALQGLAACQNQGVGRERNKLMLGTYNLSMPVSTTFEAPRRSGQPSRTVHRTHRHRPSSDPTAQTPPVISPDPEPLPRGGCGGCVAPPVPVAGASTLQTLQVQQLNFHFTCLASAALARHGNPREIGDSFDSRDHHESTTLLLSLDSMGAR >ORUFI05G05040.1 pep chromosome:OR_W1943:5:3779544:3781477:1 gene:ORUFI05G05040 transcript:ORUFI05G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICMRDRSRRGVARERMAAVSVGGGGRNIGVAMDFSACSKAALRWAAASLARPGDRLVLVHVKPSFQYEQGVAHLWEQQGSPMIPLVELADPRVSRIYGVAPDAETIGILTSAANQKGVEVVAKVYWGEPAKKLTEAAQGIPLHWLVVGNRGLGAVKRQAHPCISISLCMVVVLMGSVSTYVANHATCPVTVVRENLPPPPPPPQPPQPVATAASYY >ORUFI05G05050.1 pep chromosome:OR_W1943:5:3785660:3787404:1 gene:ORUFI05G05050 transcript:ORUFI05G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKERGALFYTHICPLTHVPSGSQILWLGSSTEGNKEKCELVRPMHAAAAVLPVMGAWICNYRGSAKISTSESMNRLRLLLCAHDEPPNLSYGVHSFMLTLSSPEFQHPVSSNSYAS >ORUFI05G05060.1 pep chromosome:OR_W1943:5:3787448:3790417:1 gene:ORUFI05G05060 transcript:ORUFI05G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLISSSIVLALLPLFCGILLAPSCEAATVDTTSATLLQVKSGFTDPNGVLSGWSPEADVCSWHGVTCLTGEGIVTGLNLSGYGLSGTISPAIAGLVSVESIDLSSNSLTGAIPPELGTMKSLKTLLLHSNLLTGAIPPELGGLKNLKLLRIGNNPLRGEIPPELGDCSELETIGMAYCQLIGAIPHQIGNLKQLQQLALDNNTLTGGLPEQLAGCANLRVLSVADNKLDGVIPSSIGGLSSLQSLNLANNQFSGVIPPEIGNLSGLTYLNLLGNRLTGGIPEELNRLSQLQVVDLSKNNLSGEISAISASQLKNLKYLVLSENLLEGTIPEGLCNGDGNGNGNSSLENLFLAGNDLGGSIDALLSCTSLKSIDVSNNSLTGEIPPAIDRLPGLVNLALHNNSFAGVLPPQIGNLSNLEVLSLYHNGLTGGIPPEIGRLQRLKLLFLYENEMTGAIPDEMTNCSSLEEVDFFGNHFHGPIPASIGNLKNLAVLQLRQNDLTGPIPASLGECRSLQALALADNRLSGELPESFGRLAELSVVTLYNNSLEGALPESMFELKNLTVINFSHNRFTGAVVPLLGSSSLTVLALTNNSFSGVIPAAVARSTGMVRLQLAGNRLAGAIPAELGDLTELKILDLSNNNFSGDIPPELSNCSRLTHLNLDGNSLTGAVPPWLGGLRSLGELDLSSNALTGGIPVELGGCSGLLKLSLSGNRLSGSIPPEIGKLTSLNVLNLQKNGFTGVIPPELRRCNKLYELRLSENSLEGPIPAELGQLPELQVILDLSRNKLSGEIPASLGDLVKLERLNLSSNQLHGQIPPSLLQLTSLHLLNLSDNLLSGGIPGALSAFPAASFAGNGELCGAPLPSCGAPRRLPGAEVSAIVAAIAVVSAAVCVALLYIMLRMWSNWRAVASVSSSDGEETASSVAAAHGKWCAGDGKYWKVGSVSVASSAAEEKYSSASSETTSVLHGKPAEAAGGGAGAVKPASKC >ORUFI05G05070.1 pep chromosome:OR_W1943:5:3801216:3803843:-1 gene:ORUFI05G05070 transcript:ORUFI05G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGISGQKNREEACNNLMGIACNANSCTSAENAALPMPCENVWISVRTLKKHVISRETPQKHKLAVGHRKRVGPDPGVDLMVAVGYFSQTDTAKPKHLGRISELKGGLEGKTQTNLTPLDPSSKPTSQRCIAEGDTVVVYERHDAMRAGGMLQNRFGVFRHDDWIGRPFGCKVHSAATAGGKGKGGFIHLLAPTSELWTLMLSHRTQILYLADISLVVSYLELVPGCHVLESGSGCGSLTMSLFDQENRGEADIRGPHRVIWSFLIVSLSVFTGNNKIMYPVSSS >ORUFI05G05080.1 pep chromosome:OR_W1943:5:3805167:3805490:-1 gene:ORUFI05G05080 transcript:ORUFI05G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTLIIVPLALRGASLLGNAVAAAVVPSSSPEQQQQQQRRPRPPPGSKNGASPSSSAHGQHWKDQSRHAAFTRRRFGTGTGGGGDDGFFSDDKRFSPTGSNPLHNL >ORUFI05G05090.1 pep chromosome:OR_W1943:5:3809063:3818898:-1 gene:ORUFI05G05090 transcript:ORUFI05G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRAAASLLAVLVLSLVVSASSAAAGVAPAAPPDVLSSPAAAAGEGEAEALLAVKAALHDTANVLADWNAGSGGVVVAGGGGGGGPCNWSMVTCSKTGHVSVLDLAHRNLSGTLSPAIGKLRRLRLLFLQHNAISGPIPDTIGRLKVLQTLDLAYNHFTGTIPSILGHSKGIFLMDLSFNNLSGPAPVFSAKSVLFSALTSVQKVILRGSETFVSRYSGHIFPYQRPEIYLGHLKQFMIKEIKEATNNFDRRNILGQGGFGIVYKGRLRDGTIVAVKRMKDCFSVCGDDQFHTEVEVISLIVHRNLLRLTGFCITDTERLLVYPFMPNGTVSSKLQEYVGGKPTLDWTRRRKIALGAACGLVYLHEQCDPKIIHRDIKASNVLLDEYFEAVVADFGLVKLLDHGESHAVTAVRGTMGRIPPEYLMTGQTSEKTDVYGFGFLLIELITGRKTMELHEDEYQEGGILDWAKELLEGNKLRSFVDSRLRDNYVIAELEEMVKIALLCTMYNPDQRPSMAEIAGMLQESDGSVVEKWETLKDAERSKPSTPEFMLSSPVNFASDECNSIQLEAVELSGPREFFFSPEFLVDMFGLLLSEVKSGLLASSAAVAKCELSRESSGFGRHTLSCSNALVTC >ORUFI05G05100.1 pep chromosome:OR_W1943:5:3822907:3825472:-1 gene:ORUFI05G05100 transcript:ORUFI05G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWAALSSPPAPAAPAAVWMGRRARTARLPSPGPRRVVLAAAASSSSPSPDANSSSNSNSPGSGGGGEEVEEEREDAARMEKAAAFLMRSQKYAMLKQQLAVAAQFEDYKEAARLRDSLKSFEEEEPVLRLRRSLKKAVEEERFEDAAKYRDELKILAPHALLKCSSDATTLGIRVQVRSVYIESRSQPLKGQFFFAYRIRITNNSQRPVQLLRRHWIVTDANGRTENIWGVGVVGEQPVIFPRTGFEYSSACPLNTPNGRMEGDFEMKHIDKAGSSTFNVAIAPFSLSILGDDNDDVLL >ORUFI05G05110.1 pep chromosome:OR_W1943:5:3829229:3832918:1 gene:ORUFI05G05110 transcript:ORUFI05G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVAAATSRAWAASPRRRRHVASCSSPPPPTTTATTTTSSLNRCPVAGAGAPVLPLGIRGGRMLLAPPLLWNSGAAARKAAVATAAAASPPAEGGGKANGGAVAGGISRTVQLGAMILVWYLLNIYFNIFNKLVLKSVPFPYTITTFQFASGSFFITLMWLLNLHPKPRLSLGQYAKILPLALVHTMGNVFTNMSLGKVAVSFTHTIKAMEPFFSVLLSVLFLGETPSFLVLGSLVPIVGGVVLASMTEVSFNWIGFWSAMASNLTNQSRNVFSKKLLADKEETLDDINLFSIMTVMSFLLSAPLMLSVEGIKFSPSYLQSNGVNLQELCMKAALAGTCFHFYQQVSYSLLARVSPVTHSVANCVKRVVVIVSSVLFFRTPISPINALGTGVALAGVFLYSRFKKAKPKAKTA >ORUFI05G05110.2 pep chromosome:OR_W1943:5:3829229:3832918:1 gene:ORUFI05G05110 transcript:ORUFI05G05110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVAAATSRAWAASPRRRRHVASCSSPPPPTTTATTTTSSLNRCPVAGAGAPVLPLGIRGGRMLLAPPLLWNSGAAARKAAVATAAAASPPAEGGGKANGGAVAGGISRTVQLGAMILVWYLLNIYFNIFNKLVLKSVPFPYTITTFQFASGSFFITLMWLLNLHPKPRLSLGQYAKILPLALVHTMGNVFTNMSLGKVAVSFTHTIKAMEPFFSVLLSVLFLGETPSFLVLGSLVPIVGGVVLASMTEVSFNWIGFWSAMASNLTNQSRNVFSKKLLADKEETLDDINLFSIMTVMSFLLSAPLMLSVEGIKFSPSYLQSNGVNLQELCMKAALAGTCFHFYQQVSYSLLARVSPVTHSVANCVKRVVVIVSSVLFFRTPISPINALGTGVALAGVFLYSRP >ORUFI05G05110.3 pep chromosome:OR_W1943:5:3829229:3832927:1 gene:ORUFI05G05110 transcript:ORUFI05G05110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVAAATSRAWAASPRRRRHVASCSSPPPPTTTATTTTSSLNRCPVAGAGAPVLPLGIRGGRMLLAPPLLWNSGAAARKAAVATAAAASPPAEGGGKANGGAVAGGISRTVQLGAMILVWYLLNIYFNIFNKLVLKSVPFPYTITTFQFASGSFFITLMWLLNLHPKPRLSLGQYAKILPLALVHTMGNVFTNMSLGKVAVSFTHTIKAMEPFFSVLLSVLFLGETPSFLVLGSLVPIVGGVVLASMTEVSFNWIGFWSAMASNLTNQSRNVFSKKLLADKEETLDDINLFSIMTVMSFLLSAPLMLSVEGIKFSPSYLQSNGVNLQELCMKAALAGTCFHFYQQVSYSLLARVSPVTHSVANCVKRVVVIVSSVLFFRTPISPINALGTGVALAGVFLYSRFKKAKPKAKTA >ORUFI05G05120.1 pep chromosome:OR_W1943:5:3833396:3837447:-1 gene:ORUFI05G05120 transcript:ORUFI05G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKILLHGTMHVTIFEAESLSNPSRPSSQAPQFLRKDTVGVGKGTSKVYATIGLDKARVGRTRTLADDTAAPRWYESFHVYCAHLATHVAFTLKAKNPIGASLLGVGYLPVRDVLAGDEVDRWLPLCDDTDARTPIGDGGGKVHVKLQYFDISKDRSWGRGVRSGKYPGVPYTFFSQRQGCKVTLYQDAHVPDGFIPRIPLDGGRSYEPHRCWEDIFDAINGARHFIYITGWSVYTEIALIRDADRPKPGGGVTLGELLKKKAGEGVRVLMLVWDDRTSVGMLKKDGLMATHDEETMNYFQGTEVNCVLCPRNPDDSGSIVQDLQISTMFTHHQKIVVVDHDMPSSRHGGGNGGGRRRVVSFVGGLDLCDGRYDTPFHSLFRTLGTAHHDDFHQPNFATATVAKGGPREPWHDIHCRLEGPVAWDVLYNFEQRWRKQGGKDLLVQLRDLAETVIPPSPAMFPEDAESWNVQLFRSIDGGAAFGFPDTPEDAARAGLVSGKDQIIDRSIQDAYIAAIRRARSFIYIENQYFLGSSYCWKPNDGVKPEDVGALHLIPKELSMKVVSKIEAGERFTVYVVVPMWPEGIPESGSVQAILDWQRRTMEMMYTDIAHAIQAKGIDADPKDYLTFFCLGNREAKSAGEYEPPEQAEPDTGYFHAQQNRRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPHHLAAAGRPARGQVHGFRMALWYEHLGTVDEAFQRPESLDCVRKVNAMADRCWDLYAGDGPERDLPGHLLTYPVGVAGDGTITQLPGVEFFPDTQARILGAKSDYLPPILTT >ORUFI05G05130.1 pep chromosome:OR_W1943:5:3837489:3844004:-1 gene:ORUFI05G05130 transcript:ORUFI05G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLFPRRDLEYASNPFDLAAGHRPTNPRASWTPAAAGKGKGREREEDKEEEDDDVQCSRCGGPGDLRRGRLGVGASRSSVSMRAPRWQQRGEFACRVAVSGSVQG >ORUFI05G05140.1 pep chromosome:OR_W1943:5:3840014:3840887:1 gene:ORUFI05G05140 transcript:ORUFI05G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMAPSSSAAATRRLPLLLLLAAVLLLHPCHAATEASAAARRECTYTVRVKTSCASPARTADIVSVAFGDAYRNEAYGARLPAGGASGALDRCAVDAFRVGGQCGYGVCYLYLRRAGRDGWAPEWVQVFEPGAAAGEKPSTFYFGSPLPDGVWYGHNRCPKASPAMAARRTNTSASPLG >ORUFI05G05150.1 pep chromosome:OR_W1943:5:3843655:3847989:1 gene:ORUFI05G05150 transcript:ORUFI05G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSCGGGGARLQCAAADWGGCFLALPPAAAAAVPSGGDTDGGFNLAWTLHQSFHPASGLFASVGVGFPATSSSSPSPPDAPGDPYAKYVSPEIEHHALPGQSVEVELMEKGKKNKKKTNKAFKLKIKVGNPHLKRLISGGIAGAVSRTAVAPLETIRTHLMVGSNGNSTAEVFQSIMKHEGWTGLFRGNFVNVIRVAPSKAIELFAFDTANKFLTPKSGEQKKVPLPPSLVAGAFAGVSSTLCTYPLELIKTRLTIQRGVYDNFLHALVKIVREEGPTELYRGLTPSLIGVVPYAATNYFAYDTLKKAYKKMFKTNEIGNVPTLLIGSAAGAISSTATFPLEVARKHMQVGAVGGRKVYKNMLHALLSILEDEGVGGLYRGLGPSCMKLVPAAGISFMCYEACKKVLTEEEDD >ORUFI05G05160.1 pep chromosome:OR_W1943:5:3858780:3859854:-1 gene:ORUFI05G05160 transcript:ORUFI05G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEAGAFQIRSAGNRQWQRRCGRAPLCLDDGRDNDSGSGRGCGGAVGGCEGSRTSVGDGMTATVAMFVSGVVHGLRLLPREGASCMVSAGDWHLCGSHALKGFWMVAAVGSDGDVAWEGQRFFGRKSRLFRVGSGSAFWRRNLLGDVGVESSSFLGDYSGENCPAPGTGDGDTLGVVTSLEVSFEGPFPLISNLDDLSSYAGAFGMAMLAGLRGGEWMHPSLSPSPSPT >ORUFI05G05170.1 pep chromosome:OR_W1943:5:3866079:3866884:1 gene:ORUFI05G05170 transcript:ORUFI05G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYTASVSSWRMGKPALPLIQCPQCELKTIVRRKAKTSENYGRIFYTCPSHQRNGTGCDFWYWEEYYEQYLIKRGYLQACSRSRGKRQVIDLHGEGEGEGEGVGGRQVAEQIEDKQLVKKMNVLIEIGSEIVLLLQCFVACCLTESQKNELTESHHNSEITTGDRTYITR >ORUFI05G05180.1 pep chromosome:OR_W1943:5:3871102:3875960:1 gene:ORUFI05G05180 transcript:ORUFI05G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTAAVAAAKGSRGSGLPLASLNHISIVCRSLQESLTFYTDVLGFFPVRRPGSFDFDGAWLFNYGIGIHLLQAEDPDSLPGKTEINPKDNHISFQCESMVAVERRLKELGIPYIQRCVEEGGIYVDQIFFHDPDGFMIEICNCDNLPVVPLGADQPLVMAACKRAAVIKQQQQASSSPATAAAAAQCAVPSSTKAIHVGEEAHISCA >ORUFI05G05190.1 pep chromosome:OR_W1943:5:3878887:3880212:-1 gene:ORUFI05G05190 transcript:ORUFI05G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKSKKEEEGSSATRNPAAELTDDLIVDILSRLPASRSADASCTWAVEPEAEQQQCILRRNRRTLGCSQTSSQLDMVIWLLAELKDVEAGRLCSTSW >ORUFI05G05200.1 pep chromosome:OR_W1943:5:3881012:3884090:-1 gene:ORUFI05G05200 transcript:ORUFI05G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKLKKEGSSTTRNPAAELTDDLIVDILSRLPASRSADASAYPGGGAASSPTPTTARSSPKPSPASSTAARTNHAAPKLARHFVNVTGRGRPLICPTISFLPRFDLEGMRMVDCCGGLLLFRCFMSSDEFCYLVCNPSTEEWVAFPDSGYNPEWQFFTHLGFDPAVSSHFHVFEFVMGDCGFVEGVEIYSSETGLWNFMESEWDPETTSKLTTVLDRLTKFSEASLKHYEELKLKQNYEMAYEMACDDYKTEGTEMTWLQSNLFSDL >ORUFI05G05210.1 pep chromosome:OR_W1943:5:3888098:3891184:-1 gene:ORUFI05G05210 transcript:ORUFI05G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPSKATGEDALVLCKERMRHIKRAIDSRDALSASHLSYTQSLRSVGTALRRYAESEISTESSLSISEADKSPSHSSMASPSPSQALESTGSPVHRGSQLTPPSTKIHYMKAAGTKPLTITIDPSAADFVGQESPVSTFVPPPPPLPPELCTSWDFFDSNYASGSATSNNENGVTLNFSRLKGLRDSRESEAVSLREEATNRSDGMHPELPGDNAAPKQEAQAKKSGMSKPSGSVEVTTEAATSGQVGEKVEEDDMEKELCTEAEDPSEFITHRAKDFVSSMKDIEIRFMRAAEAGNEVSRMLETKKIRLDICAKIPGSPGKPPTARFVSALRVCFNRENILNQETAQHVSKVVTWKRSVSSLSSSSKSPLTAAMITDDVGDSNSDFVEQFAMVSGSHSSTLDRLHAWERKLHDEIKASEHVRKTYDEKCNLLRHQFARGLNAQLIDKTRAIVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKTMLECHHKQFITISLAYHVKSATTVQQGEHHHRAATHLWNELDCFSSSFKIWVTAHKSYVESLNAWLQKCVLQPAQDRWRRKRKVSFPPRHALSPPIFVLCRDWLTMMESQSLPTDELCKSIKEVVQLLRGSFDHQADHQNKMTTESHLRNESQECGMLENNEQKVSGSVEAVEGLQSKLTTVLDRLTKFSEASLKHYEELQAEL >ORUFI05G05220.1 pep chromosome:OR_W1943:5:3892527:3893667:-1 gene:ORUFI05G05220 transcript:ORUFI05G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQGAWIGGVAIRRASAGRRWWAQTCGGRMAIAAGALLLYLSVAFFSSSVAKLRPFKSGQRKKLRKW >ORUFI05G05230.1 pep chromosome:OR_W1943:5:3895666:3896737:-1 gene:ORUFI05G05230 transcript:ORUFI05G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARHFVNVSGRGRPLVYPSFSFLPRFESIRMVDSCGGLLLCRCFESSDASRYVVCNPATEELVALPESSYDAEGGGEEEMCARLGFDPDVSSQFHVFQFVTDARLWLVLRSTLRKSENGITVLEDYSKDEWTLKHKVTIELLSGKISCKYQTMFYRGVAVHLDCNLVYYIADYILMSYDMDRKEPRVIQDLGSDCMMEYLPYVPLYAKTLSSGR >ORUFI05G05240.1 pep chromosome:OR_W1943:5:3899816:3900964:-1 gene:ORUFI05G05240 transcript:ORUFI05G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSKKKKGSSATRNPAAELTDDLIVEILSRLPAKSVRRCRRVCRRWRRLISDPHHRKKLPQTLAGFFHLSVNESRFPVEARHFVNVSGIGGRLPHVCPSFSFLPRFERISMVDSCGGLLLCQCFESSDAFRYVVFNPCTEEWIVLPESGFHPKDRGFCARLGFDPDVSSQFHVFEFVPCDDVTGVKIYSSETREWNYRESEWCTDTGISDICRSAFCNGMLHLVSYQRSIVSVDVEGRTWRTTKVPKMEGVEEVRDWLPGSICQSEGKLYYLSQYNTVPISLSIWLLEDYSKDEWTLKHSVTNELLYEKINSKYKSSEFCYVVIVHLDCNLIYYITRDYTLMAYDMDHKESRVIQALGSDCILECLPYVPLYAETLSNRS >ORUFI05G05250.1 pep chromosome:OR_W1943:5:3916129:3916861:-1 gene:ORUFI05G05250 transcript:ORUFI05G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVADVAATKLATTAADCGACRDAGPRQEPRRREGGAAPSIPLSFPYHILRVKALLRFRTSGGGDPRRILLGGTVLEKPLRARILSLVYALANFSPRPGRGLLAPYFVFLFLVWVLSSLVWCVQLVLNYTIFWSRSL >ORUFI05G05260.1 pep chromosome:OR_W1943:5:3932432:3938839:1 gene:ORUFI05G05260 transcript:ORUFI05G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKPSLSRVASETRLLFSSWEPESPLDATSGSGKDAPADQQEGDGKRGRQRSPGDEARPKRQRKTKKKNKKQLDLLEREDDPLIQLRSLKDRFKGRLKEGVASARLKCLDTLLNESRDLSQGNESKGNLLKSLIQKAELDLWQVKELETDPTSGASQSKEEASAAASDGQGDDKERGDLQAVEAYGSPPGKEKVDLQAAKDSSPTAGRKGKGKRIIGYEAQQKESNIQDVKTSSQQETRKPKRKKQKKRQRRKMEESTENLSCRSGSSPSSSHDATSTSTIEGEPEQPSFGDLASIAQDHDDKIASDVVDIKQEQESAEDILALLDMMDKDAIQEKFNYYLKQLGFESNEYDFWSETYEPEQLTALHERLAIYRIVGYELSKGRKLGKQDIAKLKEQYNPSILRKEGYFRHYEESLEWYFDLEWCKYSGFQDYQRLVLHDNVEFLEWEHYHLNYNTYEDDLAYVRYRARLANETKWIEDYLARDITQAEWRRVKDIASVQALKIARVSGGVKAQAALAGFRDHIWSIQFDFNHYKDFDGVYFKIWKRVAKRKMNFREALLEVYREDMFPVRKNGIKYELDNTQLRFKSMKEKYDAHVACLDESVPEDEVRQLIKEAVIKMKPKPHTYLDYARKKLQISMNIDLITKTLLWIKKGLPGSIFPGCE >ORUFI05G05260.2 pep chromosome:OR_W1943:5:3932432:3937824:1 gene:ORUFI05G05260 transcript:ORUFI05G05260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKPSLSRVASETRLLFSSWEPESPLDATSGSGKDAPADQQEGDGKRGRQRSPGDEARPKRQRKTKKKNKKQLDLLEREDDPLIQLRSLKDRFKGRLKEGVASARLKCLDTLLNESRDLSQGNESKGNLLKSLIQKAELDLWQVKELETDPTSGASQSKEEASAAASDGQGDDKERGDLQAVEAYGSPPGKEKVDLQAAKDSSPTAGRKGKGKRIIGYEAQQKESNIQDVKTSSQQETRKPKRKKQKKRQRRKMEESTENLSCRSGSSPSSSHDATSTSTIEGEPEQPSFGDLASIAQDHDDKIASDVVDIKQEQESAEDILALLDMMDKDAIQEKFNYYLKQLGFESNEYDFWSETYEPEQLTALHERLAIYRIVGYELSKGRKLGKQDIAKLKEQYNPSILRKEGYFRHYEESLEWYFDLEWCKYSGFQDYQRLVLHDNVEFLEWEHYHLNYNTYEDDLAYVRYRARLANETKWIEDYLARDITQAEWRRVKDIASVQALKIARVSGGVKAQAALAGFRDHIWSIQFDFNHYKDFDGVYFKIWKRVAKRKMNFREALLEVYREDMFPVRKNGIKYELDNTQLRFKSMKEKYDAHVACLDESVPEDEVRQLIKEAVIKMKPKPHTYLDYARKKLQISMNIDLITKSTCTLLNYQT >ORUFI05G05270.1 pep chromosome:OR_W1943:5:3942852:3944717:1 gene:ORUFI05G05270 transcript:ORUFI05G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVAGLPVRMGPRGSTRRGTSPTTRRRWADLGGGPPRGGVKEVSGAEELSALESARGRCRLCWRRWRRARSAELSPCGRHSVARGPLPPPVRAGAFTRLRAASVPPRPRGLQI >ORUFI05G05270.2 pep chromosome:OR_W1943:5:3942853:3943441:1 gene:ORUFI05G05270 transcript:ORUFI05G05270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVAGLPVRMGPRGSTRRGTSPTTRRRWADLGGGPPRGGVKEVSGAEELSALESARGRCRLCWRRWRRARSAELSPCGRHSVAPGGAGVVDVLVAGPYVGLAVPGLPIPTASWAARGGGLVQSRGDVGSEGSRSQ >ORUFI05G05280.1 pep chromosome:OR_W1943:5:3945939:3982782:-1 gene:ORUFI05G05280 transcript:ORUFI05G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSARRWWAAPSLPAGGETAGKGGTLPSADPVGREAAAALRPGSNGGGGRGGKAVAARCLGGGGASALPSAGSSGRGGGGGHVCQIRCPGSSGRGGEAVEHSPPPHLEGGEAAAAAQRRVGGSPAQQRIPPGATAGWRIPRAAAGRRLPAVRRRGGWGLLVRTADWDFYDDCDDVGFEEEVNFLGDLPGRFTGGRFLPPPGCRRVQRCVEEEKRAAEIHPRRRRICPLRPPASTASKRGGGERERESSAARGRREGRRREGERAVWRREGDAKDGGERERERRDGEREKRAVAEREAKKKRRGSGGKKNRERDRFYEHAKSAAYSTCHSLAIRGSHHLPFIFRATWRGHRWRGRGNGVVTEGAATAPVAAGEPHDPFPPRLLLLLRREKTGASPFGATTRSSRASASPPSPPPPAQRRGAAAPPRAPRRLPLRRNDEEQPRLHEPHLASPSCATTRSSHAAASSPPPRGRFTASGPTTTTWWTWPSPGRMGTAAAEAEAGGYAVSVEVPGARGREGGLVLRASGFGEGVPLAPAAGGGSLAAELSFDAPRVPVGVGPGSPAPLGMSISGDGAVNFAAWKGEKEGKRREREGKKSRG >ORUFI05G05290.1 pep chromosome:OR_W1943:5:3994676:3997368:1 gene:ORUFI05G05290 transcript:ORUFI05G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPEEYFPWKGSNSIFDSNERPKDLNNKKLNAYTGTYTVADDYVLKVLIKKGPQLRLESYDLLSNFRHTNAILLLDHYTEAGNQHRLVFPEVDGSFSAWCEMAGKAALFDEQGRMTHLLKNMAADLFDLVEQLHKVKLTLGNLDMDSIYVKNLDGSIKLLVLLTEERHSVAMDPITLGFSYFIKQEFCTSDKLRSYPDDWDDYRKGEFLMSLQNMHPRSLRELFKNVDGIGWPVKDKYLPKILSDIVEVDSLQGREHNLKDFSDYVNLLRNRYKHFNGLPDEVKAVLVNRKGLVKIISEWTPNFWIVVYERTGWPKTNLPGSLL >ORUFI05G05300.1 pep chromosome:OR_W1943:5:4010271:4011596:-1 gene:ORUFI05G05300 transcript:ORUFI05G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSDRWRREQVGLATRWWSRSGNPGELSTAVGTAVWMRRLRCIGGGGDSSATAGMAVWMRRLCCIGGGGDSSGSKGNGLDATAELHRREAGDGWMPMKLMKFKDKRATLTTGWNALVGANLCEQADVCVFLFTEVPILPELIAPLAGITATNLNLLLDEAHFKFSSYYESPHQMVEKMPESSGWLRRRMGRGGGGDVDAPATADAAGGDGDVYAAALKPRRPWRGRCDGCSAASMRRRLRRPRYDSGRRVCDAQPRRPRCDGG >ORUFI05G05310.1 pep chromosome:OR_W1943:5:4058220:4065885:-1 gene:ORUFI05G05310 transcript:ORUFI05G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDWDGGEERVHPQRWRRVISDPDHRRLLPRYHLHDAVVGFFDHRSFISFSGRGRFVGPSLPFLPDCGELRLLDICNGLLLCRRRRLSDPRRFDYLVANPATEQWIVLPESGWTHKEQIARLGFDPVVSSSHFHVFEFELVEHSAMGMSGDHDGNVVAVEIYSSETGVWIHRNNGWGCIIRTLDIWRSVFFKGMLHLITMDDVVAVVDVEGNSWRTIPMPETFVDPYYGVDDGFINVSQDCLCFVNTDRDDLYKLLVWVLEDYSSDQWTLKHTVSHLHLFGTDKQHFGYDYKVVSIHPKRNIIFLVSLNDGIFISYEMDSREVHYICELGDILTRHYLPYVPLYSESLANEARREGIPPPDDNLTDDLLVEVLSRVPYKTLCRLKCVCWRWRRVISHPDSDHRLPRYHLHGAIAGFFDHYDVRFADVSTAAGAGAGGRPLFLDPSLHFLPRCRALDLLDSCNGLLLCRCWRISDYRRRFDYLVVNHATRQWVVLPESARSDKRQIAYLGFDPAVSSSHFHAFELVEKNPANADGEADDGELDATIDALEIYSSETGVWSHKDIGWGHQIGVLDDWRSVFFNGMLHLITMGYVVAVVDVEGNSWRTIPMPQTLDDPDCSVDDGFVDLSQGRLYFVNTDRYDLYNSLSVWVLQDYSSDQWTLKHTVSHLHLFGRRRKDFGHDY >ORUFI05G05320.1 pep chromosome:OR_W1943:5:4066443:4069835:1 gene:ORUFI05G05320 transcript:ORUFI05G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGFGRGGGGRGDGGGRGGGGGRGFGRVGDSGGRGGRGGRGGRTPRGRGGGRGGGGRGGMKGGSKVVVVPHKHDGVFIAKAKEDALCTKNMVPGESVYGEKRISVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGGASGTTVSHVSDIVGPTGLVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPARYRMLVGMVDVIFSDVAQPDQARILALNASYFLKNGGHFVISIKANCIDSTMPAEAVFASEVEKLKADQFKPSEQVTLEPFERDHACVVGGYRMPKKQKGTS >ORUFI05G05330.1 pep chromosome:OR_W1943:5:4072018:4072279:-1 gene:ORUFI05G05330 transcript:ORUFI05G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGYAWLIIARPPTTPQKRDSENNATTSRHKDAAISHILRKMWFSPKENLPRIKRGTLSGALRGNDTRRHSLCRPSDPLGDAFA >ORUFI05G05340.1 pep chromosome:OR_W1943:5:4072937:4078383:-1 gene:ORUFI05G05340 transcript:ORUFI05G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAGMVAGSRNRNEFVMIRPDGDAPPPAKPGKSVNGQVCQICGDTVGVSATGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYKRHKGSPRVQGDEEEEDVDDLDNEFNYKHGNGKGPEWQIQRQGEDVDLSSSSRHEQHRIPRLTSGQQISGEIPDASPDRHSIRSGTSSYVDPSVPVPVRIVDPSKDLNSYGINSVDWQERVASWRNKQDKNMMQVANKYPEARGGDMEGTGSNGEDMQMVDDARLPLSRIVPIPSNQLNLYRIVIILRLIILMFFFQYRVTHPVRDAYGLWLVSVICEIWFALSWLLDQFPKWYPINRETYLDRLALRYDREGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMADGTAWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIVVKSCCGGRKKKSKSYMDSKNRMMKRTESSAPIFNMEDIEEGIEGYEDERSVLMSQKRLEKRFGQSPIFIASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYNGRLKLLERLAYINTIVYPITSIPLIAYCVLPAICLLTNKFIIPEISNYAGMFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLVINLVGMVAGISYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQKAVALGQCGVNC >ORUFI05G05350.1 pep chromosome:OR_W1943:5:4086388:4086910:-1 gene:ORUFI05G05350 transcript:ORUFI05G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGLQRSSQTFRRSGSSGLVWDGRLMSEDQNQSDQRATGDAEAGSLESKELRHSRSVGSSIKVQRRCSDSVERSRSGNQAFRTRHVPPAMDPPSPKVSRCLFCGIFSKEEPSQPPKPRSLYWQP >ORUFI05G05360.1 pep chromosome:OR_W1943:5:4088836:4091237:-1 gene:ORUFI05G05360 transcript:ORUFI05G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGDEDPRWRRCNTDCVYFLASPFTCTKGSKCEYRHADGARFNRRNCWYWFKGNCVNPSCTFRHPPLENLNKTKSLADPLSLCSTSVKAANPCYFYYNSHCSKGDNCPYLHEPLTSNDAVGTSCKATTSNPAVSKSYVGDEMVEESKDTITNPCQDTSCHIKEVPVSINPEFGEAEAVSGALETSTDIDEYMKCSAVSDLNSGDSTMDHTEQDERDSSPGFDVLVDDCLSNKSDLEHQLTTESDNKVLHAEYGIRDPVLYDMYYHDPEYYNYEPEFCGLDDRQGYLYLCQPNGAHEHESEITLGHLLPQNTEVTSDEYDRRFFNPRNFTSSVADTNFVHQHTQIRHISKRRPENRKGAKGKKDCIKRSRCLEPKNSTQQIESMPTRQRKDYLMGECPQPANHATFRGRRKKNRGKQQHVLSAKSSEHPTADFTGPKTLAQIKEEKCKSNSSFSHSTACTPNVRSFSDDFEGPKSLTELLMTKSRSSVGK >ORUFI05G05370.1 pep chromosome:OR_W1943:5:4092692:4096239:-1 gene:ORUFI05G05370 transcript:ORUFI05G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRARWAAGMLRRASSGEASLAARAVFARGFLGLNMKASKETIEKKEKDKARLMDEMSRGYFADIAEIRKNGGKLATANKIIIPEIAAVKFPDLSVKSPDGRTVNLPLVAPPRNDDPQTGNTVDTQNDDCKAGDMVVPDASLVCLSFRASSQKMAETWSLPFLDAFSSAGNIQVYEVSFIDSWLLSSSPVRQVFLKVMTKSNNPQRHAVYAFGDHYYFRKKLHILNLLTGYIYLVDRLGRVRWQGFGSATQEELSSLTACTSILLDGK >ORUFI05G05380.1 pep chromosome:OR_W1943:5:4096413:4099059:1 gene:ORUFI05G05380 transcript:ORUFI05G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSSSSSTQFDDAAARRQQQLQQQRKPPLLMLLPLIYAPVLPLIRIGLRHNPVWRDRLFYGVLAGAFAHGTYLIYISKESSFNMYE >ORUFI05G05390.1 pep chromosome:OR_W1943:5:4101063:4101413:-1 gene:ORUFI05G05390 transcript:ORUFI05G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSASTVSFSARPSAASAVRPCAAAGRARARAAAGESGKWWAPLLGWSGKADYIEAPAPAVVAAAEESEARRRPFVGGLTEEKARELRARMVETESFHDAMYHSAIASRLARSA >ORUFI05G05400.1 pep chromosome:OR_W1943:5:4108419:4116133:-1 gene:ORUFI05G05400 transcript:ORUFI05G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILAPTLYIFFASALPVVAFGEQLSNDTDGALTTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYIYNFAKNHPNLGERLFLPWAGWVCIWTAFMLFLMAMFNAAVVINRFTRFAGELFGMLITILFMQEAVKGMLGEFSVPEGKDHSLPIYQFQWAYVNGLLGIIFSMGLLYTAIRSRSARSSLYGTVWTALSYSLPSKIPSGVPRRLFTPLPWEPKSLQHWTVAKVVMQFILIPGSNDLFSVPPPYIFLAIVPAVMVAGLYFFDHSVASQLAQQKEFNLKNPSAYHYDILVLSFMDTNAMQVLICGLIGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMVQTAKEGMMNNASSSEVYGKMQEVFIKMDDKSNAKSVRKELKELKDAVIPEGNGAGRVSEVFDPEKHIEAYLPVRVNEQRVSNLLQSLLIAGCVGVMPIIQKIPTSVLWGYFAYMSIDSVPGNQFWERTQLLFISPQRRYKLLEGAHASFMESVPIKKISAFTIFQLVYLLIVWGMTWIPVAGILFPLLFFFLIVIRQYILPKFFDPRHLWELDAAEYEELEGVRRDPSTDEDASVSRCSDASPEYASEILDEFTTNRGELKHRTKSFRDERLIQLNSVKMTRELSRIPTFTPPRS >ORUFI05G05410.1 pep chromosome:OR_W1943:5:4118267:4123895:1 gene:ORUFI05G05410 transcript:ORUFI05G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLLRRLCVRHRRRPKPQALAAAVSNGSTSAIAEELTDDLLVEILSRVPYKSLCRLKCVSMRWRRVISHPDHRRQLPRHHLQPLAGFLYADYRVLNRFQIVPALDPPPLIDPSFSFLPKCDDLQLVDSCNGLLLCRCWNQLNEHRTFNYVVCSPTAKKFVVFPDSTCSKKVRPFLGFDPAVSSHFHVFEVVEDWDYYDCDDDDLECDGVEDVVGLRIYSSETGVWSDEIDNGWSNRIRIRRDQKGGSKSVFFNGMLHLVAIQPVVAVVDVEGKNWRTIPLAHKDGSPLCGAHPPCASGPEGFIALSRGLLHFASTDSYGDWEISVWVLDDYYGGQWTLQHTVSTMRPFERTMRRRMNPDDCTLVWIEGQTLASPRRRRLDSNRSAGSSPPPPSHCGLVEADSRDGRRGWIPAPAEKLTDDLLVEILSRVPYKSLCRSKCVSTRWRRVISHPDQRRRLPRYHLGNDIVGYFYKSNTFTNVTGEGRPFVDPSLPFLPKCEFLNVVDSCNGLLLCRCWRLADPRRFDYLVVNPATEHWVILPDSGWSDKVQTARLGFDPTVSSSHFHVFEFVEDGAADVDGNVDRDDYDGHVKGVEIYSSVTGEWSHKDNGWNWEIRLRDESNSVFFDGVLHLITIEDVVAAVDVEGNTWRTIPMPQSLVEPFNGIGEGFIVLSQGSLYFVNSDHDKPYKVSVWVLEDYSSEQWIWKHTVSHLHLFQTKRLLFGHDYKVVSIHPERNNIFLVWPHSKMLMSYELDSREVHFICGIGGCEWVMYYLPYVPLI >ORUFI05G05420.1 pep chromosome:OR_W1943:5:4129401:4130390:-1 gene:ORUFI05G05420 transcript:ORUFI05G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDSRDGRRGWIPPPAEKLTDDLLVEILSRVPYKSLCRSKCVSRRWRRVISHPDHRHLLPRYHLGDAIVGFFYSDTFTNVTGEGRPFVDPSLPFLPKCEFLNVLDSCNGLLLCRCWRLADPRRFDYLVVNPATEQWVILPDSGWSDKVQTARLGFDPVVSSSHFHVFEFVEDGAGDADGNVDDDDDFDGHVKGVEIYSSVTGEWSHKDNGWDWEIRIRDEWNSVFFDGVLHLITLEYVVAAVDVEGNAWRTIPMPQSLVEPFDGIGEGFIGLSQGSLYFVNTDHDEPYKVSVWVLEDYSSEQWIWKHTVSHLQSISSISNQETPFWS >ORUFI05G05430.1 pep chromosome:OR_W1943:5:4133000:4135747:-1 gene:ORUFI05G05430 transcript:ORUFI05G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSPSSPPIKSRKPASSEDSVAMAAAPLHFVLVPLPAQGHVIPMMDMARLIAGHGGGGARVTVVLTPVMAARHRAAVAHAARSGLAVDVSVLEFPGPALGLAAGCESYDMVADMSLFKTFTDAVWRLAAPLEAFLRALPRRPDCVVADSCSPWTAGVARRLGVPRLVFHGPSALYILAVHNLARHGVYDRVAGDLEPFDVPDLPAPRAVTTNRASSLGLFHWPGLESHRQDTLDAEATADGLVFNTCAAFEDAFVRRYAEVLGGGARNVWAVGPLCLLDADAEAKAARGNRAAVDAARVVSWLDARPPASVLYVSFGSIARLNPPQAAELAAGLEASHRPFIWVTKDTDADAAAAAGLDARVVADRGDPAPPRRGVLSHPAVGGFLTHCGWNSTVESLSHGVPLLTWPHFGDQFLNECLAVDVLGAGVRAGVKVPVTHVDAVNSPVQVRSGEVASAVEELMGNGAAAAARRARARELSAEARAAMADGGSSARDLADMVWHVARRRDMVVVDPPPPPSPGGIAGGHGKMI >ORUFI05G05440.1 pep chromosome:OR_W1943:5:4135786:4152954:-1 gene:ORUFI05G05440 transcript:ORUFI05G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVEVGVWSNDWAKEILKIDEVTIGASLSTASYLPQSRLTTGLSKDGLTHWTLGQAIIYMGCTCTTTISAAGAAAAAVVGDPELTAGSRLVSTWVVGQADNFTVVDTHRPIANLASLTEGHVQPDFIGRKVVSAMAWYVGITNKKRIRWVPSSKEAARPNLRTSVASMEAVATLYFTCTRGRASAGESTSPSPSPLP >ORUFI05G05450.1 pep chromosome:OR_W1943:5:4142737:4144646:1 gene:ORUFI05G05450 transcript:ORUFI05G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLDDAPKPHFVLIPFMAQGHTIPMIDMAHLLAKHGAMVSFITTPVNAARIQSTIDRARELNIPIRFVPLRLPCAEVGLLDGCENVNEILEKDQVMKMTDAYGMLHKPLVLYLQEQSVPPSCIVSDLCQPWTGDVARELGIPRLMFNGFCAFASLCRYLIHQDKVFENVPDGDELVILPGFPHHLEVSKARSPGNFNSPGFEKFRAKILDEERRADSVVTNSFYELEPSYVDSYQKMIGKRVWTIGPMFLCNTDRSTIADRGAKRHQLIKSILEEIALGLEASKRPFLWVIKSDNMPSETDKLFLPEGFEERTRGRGLIIQGWAPQALILSHPSVGGFVTHCGWNSKIEGVSAGLPMITWPHCAEQFLNEELIMNALKVGLAVGVQSITNRTMKAHEISVVKRDQIERAVVELMGDETGAEERRARAKELKEKARKAIDEGSSYNNVRQLIEYISSRGTRTDVQ >ORUFI05G05460.1 pep chromosome:OR_W1943:5:4152998:4157792:1 gene:ORUFI05G05460 transcript:ORUFI05G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSPSPSPPHGAAAVKQPASSPDARLTPSSVVAAAAVGIGVTPPRRSCDDAASCVVNDVDAFARTIASIRSKPASAAAAAASSSSDGGGDHLATVLAHYAARWLPDVASSPSGRFLLPPQSPTATWIRKRLLLESLVAALPPDGGDGDDGGGGVTCDFLLRLLRAGSMAGADAALLADLEARAARRLDQASLGAVMIPAFRAAAGDAPGAGATLLDVPLVLRLVRGFLREGGKAGAGGGGAAAACRVARLVDAYLAEAALEAGLRPAEFEELARAVPAHARAADDGLYRAVDTYLKAHPHAGKEERRSLCRLIDARKLTAEAAAHAVQNERLPVRCVVQVLFSEHGSKLTRLAEWTTGSFRSLQSRSPADLIVTGGGANGGARCPSKREVAAQHHELRRLREDVSRLQVQCHALQAQVDRLSSERRRRPGGLFKLLFGGGGGAGATGAVVVDDSDSGLDRTPLSGKKGVVVRATAAAAAASTPASGTPAVARWRRSHS >ORUFI05G05470.1 pep chromosome:OR_W1943:5:4160652:4162296:-1 gene:ORUFI05G05470 transcript:ORUFI05G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMTSSSTSPTSPTSPLAAAADNGVAAAYFNFRGAERVPESHVWKGMHEKDTAPVAAADADGGDAVPVVDMSGGDDAAVAAVARAAEEWGGFLLVGHGVTAEALARVEAQAARLFALPADDKARGARRPGGGNTGYGVPPYLLRYPKQMWAEGYTFPPPAIRDEFRRVWPDAGDDYHRFCSAMEEYDSSMRALGERLLAMFFKALGLAGNDAPGGETERKIRETLTSTIHLNMFPRCPDPDRVVGLAAHTDSGFFTFILQSPVPGLQLLRHRPDRWVTVPGTPGALIVVVGDLFHVLTNGRFHSVFHRAVVNRERDRISMPYFLGPPADMKVTPLVAAGSPESKAVYQAVTWPEYMAVRDKLFGTNISALSMIRVAKEEDKES >ORUFI05G05480.1 pep chromosome:OR_W1943:5:4163393:4164050:-1 gene:ORUFI05G05480 transcript:ORUFI05G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIRQPAVGGEKKGDQRVRVMEGGGEQAGGAAVGGAAAAPDGGMREEEPAQVDARKWRRAQPSSVPYMALPLHVQGEPREAAVASATFGKWTNASWRKKLMDVDGEVDNARASATCGHGLLRRREVEDAAPPLLSDAPCFVTGK >ORUFI05G05490.1 pep chromosome:OR_W1943:5:4165338:4167522:-1 gene:ORUFI05G05490 transcript:ORUFI05G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPPSAQEMTYKDHVQRRHEEKGCLYACLFTLCCCFCCYETCECCLETLCCCC >ORUFI05G05500.1 pep chromosome:OR_W1943:5:4178980:4179689:-1 gene:ORUFI05G05500 transcript:ORUFI05G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGGRQRLRLRHCFGPRCRTLSSTLTSKEANSNNQRLLHAGDIDRTSKSYICTSCSMRLAAEDRVESTGDGDQQYS >ORUFI05G05510.1 pep chromosome:OR_W1943:5:4180056:4186053:-1 gene:ORUFI05G05510 transcript:ORUFI05G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETERSSTESSAASGLDFEDTALTLRLPGSSSSSSSSSSSSSSSSPSEPDRKRASATDDDPDNRLGSTATESPPSPKYALSLSLSLSDLILAHLRLQPDMARRGGRRARVVGWPPVRAFRKNALAASAAASSSKAKFVKVAVDGAPYLRKVDLEAYRGYDQLLAALQDKFFSHFTIRKLGNEEMKLVDAVSGNEYVPTYEDKDGDWMLVGDVPWKMFVETCQRLRLMKSSEAHQDLLDESQISAPVFEKHERKPSLLAEISQANAAITQIS >ORUFI05G05520.1 pep chromosome:OR_W1943:5:4200883:4201612:1 gene:ORUFI05G05520 transcript:ORUFI05G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVCEKKDVMMKTVKTIKPFEEDCEQLLKDARDAHQRTGCIVNVLAIHPITGERHEVIVDSTNDGDVSTLAEDHFTVRVVRRYLRLKGRLGEVTLCMLSEELVGAMRLADVKKLMFRIRAVRLAVLRRSKAARMSTAPELLPELAHLGSFFRAPAALATTREHGVKFASHLVALGSSIMN >ORUFI05G05530.1 pep chromosome:OR_W1943:5:4201900:4202455:-1 gene:ORUFI05G05530 transcript:ORUFI05G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANMNCRGGCAKWTSAPWPAVKAPATTAGGWTLVGPAMIWNGDVRLEDQGLSSRVATSDGSAIVFGDIVPPMWTVGQTFKGKYGQF >ORUFI05G05540.1 pep chromosome:OR_W1943:5:4204219:4204596:1 gene:ORUFI05G05540 transcript:ORUFI05G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGPAAIPIYEVEPLLLLFNLFTLLGTIGSRDNFVVDQPATGLDKAVIAFSIISEIEIVTGKVALTQLNIETSIPVTEIESLVLFNVSSTIATPVTGRHSPISHWPPLPRSPAGHVGRKEKKE >ORUFI05G05550.1 pep chromosome:OR_W1943:5:4206135:4210284:1 gene:ORUFI05G05550 transcript:ORUFI05G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTSGKPIDLLMEKVLCMNIMSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVADPKILWTWYEPYLKDDEEFSPGSNGRMTTMGVYVRDLILGQYYFDSLLPRVPLPVFRQVTSNLEKMKLPTKLSGITGESNRHGSEDTARRPPSVKASLSVSFGQRAPHRASTRESSPVRRTVTHDGHRKSSSPSRHSGSREVPDRDRSSRDRSSRDYDRSSHDRDRDHSSRDYDRSSHDRDRDRDRSSRDYDRSSRDRDHDRDIRDYHRRERDSRDRDYRSRHSSERQDDRRDRDREGSRHRRSSSRHRSRSRSRSRSRSRSRSRSRSRNEERSSPFGNAGKEKTAAISSNLAKLKDLYGDVTGKKDDGEAPRRDSCAEEVIRLGGPRWR >ORUFI05G05560.1 pep chromosome:OR_W1943:5:4212126:4216949:1 gene:ORUFI05G05560 transcript:ORUFI05G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPVESETRSEETDNFPLLADHMENTGHHAHAVDIPWDSSPSTSRRDNHNGFDQLPRILEGSPGTSTPSNSQNGPLARRDDNRGRRQPSPLNSGCWISVELVVNVSQIIAAICVLSVSRNEHPHSPLFEWVIGYTVGCTATLPHLYWRYLHRNLPTTGQEPTVQNIPPNNTPEANSYGVTGTNGVSRNNEATVNPRFQAFADHFKMALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISILGFREDLNQNRGASAETINALGTCKFKSKKTRDGDGNEVGVGVVAAGTNKERVVSAEDAVCCICLARYVDNDDLRELPCAHFFHKDCVDKWLKINALCPLCKAEIDGVSTSAPAIGFGRRHSDNRVGNDIESQL >ORUFI05G05560.2 pep chromosome:OR_W1943:5:4212170:4216949:1 gene:ORUFI05G05560 transcript:ORUFI05G05560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPVESETRSEETDNFPLLADHMENTGHHAHAVDIPWDSSPSTSRRDNHNGFDQLPRILEGSPGTSTPSNSQNGPLARRDDNRGRRQPSPLNSGCWISVELVVNVSQIIAAICVLSVSRNEHPHSPLFEWVIGYTVGCTATLPHLYWRYLHRNLPTTGQEPTVQNIPPNNTPEANSYGVTGTNGVSRNNEATVNPRFQAFADHFKMALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISILGFREDLNQNRGASAETINALGTCKFKSKKTRDGDGNEVGVGVVAAGTNKERVVSAEDAVCCICLARYVDNDDLRELPCAHFFHKDCVDKWLKINALCPLCKAEIDGVSTSAPAIGFGRRHSDNRVGNDIESQL >ORUFI05G05570.1 pep chromosome:OR_W1943:5:4224106:4224390:1 gene:ORUFI05G05570 transcript:ORUFI05G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAIASASASICSAYRHLSATPADDNGDDNGARPLSSSEELPKSSSSSRRMTKPAELRRRCYAVLKQQRTRLYILRRCVSMLLCWHEHDLSD >ORUFI05G05580.1 pep chromosome:OR_W1943:5:4236744:4238155:-1 gene:ORUFI05G05580 transcript:ORUFI05G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPDKAVERLSQKLVHPSSPTPSAPLRLSWLDRYPTQMALIESLHVFKPDPARDAAGQGLAPARAIETALARALVEYYPLAGRLAVSRDSGELQVDCCGGAGGHGGVWFIEAAVPCRLEDVDYLEYPLAISKDELLPHPRPRPTRDEEDKLILLVQVTTFACGGFVVGFRFSHAVADGPGAAQFMGAVGELARGGERITVAPSWGRDAVPDPAGAMVGALPEPAGASRLEYLAIDISADYINHFKSQFAAATGGARCSAFEVLIAKAWQSRTRAAAFDPSTPINLSFAMNARPLLLPRGGAGFYGNCYYIMRVASTAGRVATASVTDVVRMIREGKKRLPSEFARWAAGEMAGVDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWVHKPGARLITQCVTPDRVTAFHDAMVDIN >ORUFI05G05590.1 pep chromosome:OR_W1943:5:4275572:4276601:1 gene:ORUFI05G05590 transcript:ORUFI05G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEMIQGMDVWEGWHG >ORUFI05G05600.1 pep chromosome:OR_W1943:5:4286975:4290328:1 gene:ORUFI05G05600 transcript:ORUFI05G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSRRGAAAAAEEARIGTSNVFAALDTLKRRKKPSSSSKKHAEKEKEVLWAPAPLTTRSWADVEDDDDDDYFATTAPPRPVWGTHHHAADAHDDDHDEQAALEQELESEDEEVDDDAEDEHEHETEDATPAEPAMNKAAAPPAPPKDTERQLSKKELKKKELEELDAILAELELSSKSNNDAQNETNGKKGAEQAADGENKEGAPAPAESKSSKKKKAKKDKSAKEAKETQELNGGVEEAAGAEPDEEVASMDVKDRIKKVASMKKKKSSKEMDTAAKIAASEAAARSAKLAAAKKKEKSHYNQQPVR >ORUFI05G05610.1 pep chromosome:OR_W1943:5:4291467:4292418:-1 gene:ORUFI05G05610 transcript:ORUFI05G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARVLGGGGGGGGDGETTVVLAHGYGGSSHIWDDVAPALAKTFRVVVFDWSFSGDVVVDDDDDAAAVSEDISCSYFGFADELVAMMDELALTAVVFVGHSMAGMIGCIASVARPELFRRLVLVGASPRYINDDGDGYVGGFERGEVDAMLAAIEADFAAWAPLFAEAVVGPAPSPGAGAVAKFAKQLGRMRPAAALRVMRAVLTCDVRAVLRDVAAPCTIVHCARDAVAPLAVARYMQRAMARGVDGAPAPAVVVMDSSGHFPQLTAPMEFVRVMEAILLDH >ORUFI05G05620.1 pep chromosome:OR_W1943:5:4299837:4301309:-1 gene:ORUFI05G05620 transcript:ORUFI05G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQQAHFLIVTYPSQGHVTPARHLARRLVHGAGARATVCVPVSAFRKMFPADDGEVVVEEEGGAVAYAAYSDGYDGGFDRAVDDHTRYMAQLSTVGARTVAGVLRRLRGEGRPVTCAVYTLLLPWVAGVARDHGVGAVAVFWIQPTTALAAYYHYFRGGRDAVVAAAASGDASAEVNLLPGLPPLRVRDIPSFLAITSDDDPFAFVLSEFAELIDTLERGGGGGGELPTYVLANTFDAMERDALASLRPHIDVVAVGPVLSFLHDADETKTASSPNDLFDHDGGGYLDWLGTKPARSVVYISFGSSSVMSKNQVAEIAAAMAESKKPFLWVIRKDNCKDDDDDNEAIKKLVTAAAAADTGGGGMAVEWCDQARVLSHASVGCFVTHCGWNSTVEAVACGVPVVAAPQYSDQGTSAWVVERIGVGVRAAARAGDGVVEAAELGRCVGAAMSEAVAGRAAAWREEARAAVARGGASERNLSEFVRRFVPK >ORUFI05G05630.1 pep chromosome:OR_W1943:5:4304597:4305641:-1 gene:ORUFI05G05630 transcript:ORUFI05G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEGHAPLLAGCRRRRREEVEDVRREGEAREVGEEGGEGAVKERAERWRRRTTGSEMARRWRDWERLGQGQREQGGEAGQGRVEEPVGRGEDGGELFPRARTAGELLPCARPAYEILPTQGWPARSYPRVDGRRLGGAASSSTTPLSDDVPAPSHLLCVLEPGHSPTHDAPSRRARPPCAVVAALPIEGERRVRYER >ORUFI05G05640.1 pep chromosome:OR_W1943:5:4306479:4314067:-1 gene:ORUFI05G05640 transcript:ORUFI05G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTDELAVHNYPQMDMETTLMFERNRRVFQNQEKTIPQIQDEIKLWSMGQGLHADDHDELGDASCTRGTEGWLTCLDT >ORUFI05G05650.1 pep chromosome:OR_W1943:5:4314115:4315356:-1 gene:ORUFI05G05650 transcript:ORUFI05G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEVVLVAMVVVVVVSWVVAAGGEAAAAAAVVVDPAWMFPSARLRDAYVALQTWKQTAIFSDPKNLTADWVGPAVCAYTGVFCAPLPGAGGAPGEVAVAGVDLNHGDIAGYLPAELGLLTDLALLHLNSNRFCGLVPDALRRLRRLHELDLSNNRLVGAFPSAVLDLPALRFLDLRYNDFEGAVPRQLFDLPLDAIFLNHNRLRFALPDNFGNSPASVIVLAGNHFGGCLPASLGNMSATLNEILLINNGLDSCVPPEVGLLREVTVFDVSFNSLAGPLPPEVTGMRKVEQLDVAHNRLAGAVPEAVCDLPRLKNFTFSYNYFTGEPPSCARVVPAADGDRRNCLPNRPYQRTPRECAAFYAAPPVDCAAFQCKPFVPSPLLPPPPPPAYPGPLPPVYPVPYASPPPPPLYR >ORUFI05G05660.1 pep chromosome:OR_W1943:5:4327671:4328521:-1 gene:ORUFI05G05660 transcript:ORUFI05G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPMLLLPLRARPRSINKFKQVIPQRQEWREHAALNSLRREIESKEEKRGSGVSMGSVDAWGTHVESAPERRGGDWGDRVTAEERRKVGLIGPVIFWWPVQLRNEWNIPF >ORUFI05G05670.1 pep chromosome:OR_W1943:5:4342182:4345704:-1 gene:ORUFI05G05670 transcript:ORUFI05G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHHLLLSPPPPHPRLSLVTSRRRPRAGHVAAACSPSPSALAAGRRAVLLVGVSVLPLLRLRDAAFAAAAARPPSTTTVDLVTDRIDTAKTEETQPEEPSAEESLAEVKVPPAVNPLAGLLNAIAVIASGVFAGLFGASQREKEALQSTVSTMEIKLAENEAAMSMLRENYEKQIWNEHAEQKKQARMFQEKEASLLDQLTLTKRTVTSLNEEVRREKELVEQLKQEIHRLKSSIAQAEDDKHVFEGKLREKLEALDSLQDKVNLLSQEVNSKEEAIRELSSSLSSKEEDYQKLQLIYNETEASLEYANSKIEQLEEDYSATKDDLNSKMSSIDSLNKEVQTLYTAKTGAEEKISELKKQYADLAASSEMRASCDSELLIEKDNLLNQLEEKLSAALSDTSKNKIIIAELNNELDTNRTMLDNEVEAHKKLSEILQSTEGALTDYRDKVFNLSEELNRVKISNQQLITQITKLTDESNITKQVLSNKIAEAEAVSKVLSDELASVRDVLQKTQEKLDVTSNQLVSTMEAREDLNKELLDAYKKLESATDELVRERKINATLNRELEALVEQSIVESEARQALQADLDEVTNSQKEVDESTQFLSERLDTANSRISSIEEKKEMLSEALEQQKRSTMEAQKGMEDAQNLIKMLGTERENFEIRSKKLEEELATAKGEILRLRRQISASGYLRTELAETSVTSNTSQPEQDVNDPDQNSNNTDAGDTRSPTRIYRRRKTKRAT >ORUFI05G05680.1 pep chromosome:OR_W1943:5:4347465:4348881:-1 gene:ORUFI05G05680 transcript:ORUFI05G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRRVAVAPPDAGDAADGKPRGGAAALFKVPRLLVGMAAAAAAARPCECDSPARSPTSPLDLRAFAAPPLLRSPRSWDARRAGLGGLIDDGLAEPPGAAAMSRLLMPQMRPTKPRPCGPAQPELGNAVGAAAGMSVPCSSRFYGDVKSGPEVTVAGAAQLRVNGGAHAAAADLGKFPATGSLPASIGRPPPPRYIGSVSATVVEQSEDYTRIIARGPNPKTTHIFGDCILEPCTESYWLVKLSGSGDELRRLCSSCKKNLDGSDLCFYRGEKAFCSGDCREQEILIEDEEESNTAVSSPISIDSSLSFHDDLFMAGMAMLDMSTSSPHA >ORUFI05G05690.1 pep chromosome:OR_W1943:5:4360914:4372137:-1 gene:ORUFI05G05690 transcript:ORUFI05G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGEFRFFLSCDISLPLAFRVDRLLHYPTPTQPSPPQDCTTLATNKKVPELVVECKLYIDGIQFGLPVSTRLESSGPPYFWNEVMTLTAKYRDLTSLSQLAFTVWDMSSGEDGNIVGGATIFLFNNKKQLKTGRQKLRLWPQKEADGRVPTTTPGKVVPKNERGEIERLERLVNKHERGQIQHVEWLDRLFFRAMDKAKEKCERTENLYPSLVVEFCSFEHRVVFQESGANFYAPAPVLLSNQLVTVWDPELGRTNPSEHKQLKLARSLTRGIIDKDLKPSSNERKCLQRIIKFPPTRSLQVDEKQLVWKFRFSLMSEKKALTKFVRAVDWSDIQEAKQAVELIRKWETIDVADALELLSPDFESEEVRAYAVNILERADDEELQCYLLQLVQALRFERSDESCLAHFLVKRAVSNIEIASFLRWYVVVELRDTAYARRYYSTYDLLENGMMKFARDDGDEDGFRLWQSLTRQTELMAQLGSIMKDVKQVRGSAQKKIDGLRQLLSGVFSELTNFDEPIRSPLAPTVLLTGVIPQESSIFKSALHPLRLAFKTANGGISKIIYKKGDDLRQDQLVIQMVSLMDRLLKLENMDLHLTPYRVLATQPDEGMLEFIPSSSLAQILSEHRTITSYLQKFHPDEDGPFGITAQCLETFIKSCAGYSVITYIMGVGDRHLDNLLLTDDGRLFHVDFAFILGKDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSSLILNLFNLMRRSNIPDITNEENAGLKLQEKFRLDLEDEEAIHFFQDLINESVSALFPQMVETIHRWAQYWR >ORUFI05G05700.1 pep chromosome:OR_W1943:5:4373011:4374796:1 gene:ORUFI05G05700 transcript:ORUFI05G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPSGSVAAAAAAAAAAGEEEGAMVEAVAEDGGAESRITALLFDVSQQVQEALQGMLKMTGEIEQCGAEIEVEIERAKEAVADKGRALDDDRERFQKAAVAALNILSGGAAGDI >ORUFI05G05710.1 pep chromosome:OR_W1943:5:4387299:4387499:1 gene:ORUFI05G05710 transcript:ORUFI05G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSLLPGRRLSGRRSVKGDHDHPHGGGAPATAAPARWIDGKAVDQAVAYALMAAALVATYLLH >ORUFI05G05720.1 pep chromosome:OR_W1943:5:4388969:4395030:1 gene:ORUFI05G05720 transcript:ORUFI05G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNESGIVAVARQRGIDVVLNEESKRETPAVVCFGDKQRFIGTAGAASSTMNPRNSVSQIKRLLGRAFADPELQRDLASFPFRVSEGPDGFPLVHARYLGEDRAFTPTQLLAMVLSNLKGIAEGNLNAAVFDCCIGIPAYFTDLQRKAVADAAAIAGLRPLRLFHETTATALAYGIYKTDLPEKEWLNVAFIDVGHASMQVSIVGYKKGQLNMLSHAYDRSLGGRDFDEVLFKHFADKFKDEYKIDVYQNARACVRLRVACEKLKKMLSANPEAPLNIECLMDEKDVRGFIKREEFEQISSPVLQRVKAPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIRIITEFFGKEPRRTMNASECVARGCALQCAVLSPTFKVREFEVNDGFPFSIALSCKPDSENTESEQTIVFPKGSPVPSAKTVTFYRSNTFAVDVVSVNADDLQMAKKISSYTIGPFQSSKPEKAKVNVKACLNIHGIVSIESAMMLEEEVDVPVATTNETLKDDTKMDTDDALGDPASGTDENMQESKCAADATHGAAENGKPDSEEISAPMDTDAKVEPLIKNVKKIDVPVSGLVYGALGSEELVKAAENEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYNDFVMSEYKEGFIAKLQEVEDWLYEDGEDETKGVYIAKLEELKKVGDPIEIRYKEWAERSSSINQLVHCINGFKEVASSNSQAFDHIDMSEKQKVLDECSEAEIWLIEKQQQQDALPKHADPVLLISDMKKKAEALDRSCRPIMSKPKPAPKPQTPPPPMPPTESPTTPEPQTPEQQQQSNGAGEAEEPTSEGGAQDQEPTAEQMDTDKPDGWAEPSA >ORUFI05G05730.1 pep chromosome:OR_W1943:5:4396723:4398291:1 gene:ORUFI05G05730 transcript:ORUFI05G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGALLSFLLSYPEFILAAACFLAFAAIRRARDSRRLAAPVPVSWPVVGMLPFVVGHLGRLLDAAAAALPELGCTFMFRGPWLVGADFLVTCDPAVFRHCLVANFAGYDKGRDFAEMFDVVGDGLLVADAASWAAKRHLAASVFTSAAFRAFVLSTVERQTRRLLVPFLDHAGGGGGGGGVVELEDVFMRYSLDVSYTVAFAADLDSLSVASAAEPFPPFGEATRVTGEAVLFRHIAPAGWWKLMRWLNVGVERRLADAKAVLDEFVYREIANRRSRPAPAVAGGDDLLSMYMASPIDPAMSDQTLRDAAVGFMFAAKDLIAAALTWLFYMICTHPHVEAKILDELRSLHVAAGDARDAAPMVFDADELRAATYLHAAVLETLRLYPSAPFEEKEAVGDDVLPGGTAVRKGTRVVFCLYAMARAEGIWGGDCREFRPERWLTGSGGGKVRQEPSYKFAAFNAGPRSCLGKDLGLSNIKVAAAAIVYNFTVELVAGHVVEPKDSVVLHTKNGLMVRVKRRETA >ORUFI05G05740.1 pep chromosome:OR_W1943:5:4398561:4401409:-1 gene:ORUFI05G05740 transcript:ORUFI05G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSPHSRFDSAMSYPEMLRSSKVLGNSDDFCQCQACLGRYTLLADEENPRLAMFERRLPFFGCGIGFLCPLIWYIAALLYYCKYYNRDPRERPGLAASAFLAVIFTAATIVTLSVLLIITIHEPVTLFKMWTYE >ORUFI05G05750.1 pep chromosome:OR_W1943:5:4407151:4407657:1 gene:ORUFI05G05750 transcript:ORUFI05G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLNSLKAEEQQCHGGGRVSQAIAMLVATAVTAQAAYRARHAPWDLAFVLFSYADLGLLFLCLSMYERLPQPAAAADDDGEAVRRPRRWLKMAVWALSTALSAAFAWRVAAVMPAPALKAAVWGMTSTVAVAGFYLLFAYRPAAISSYSELETCKHEQASSKLDQIL >ORUFI05G05760.1 pep chromosome:OR_W1943:5:4411529:4416406:1 gene:ORUFI05G05760 transcript:ORUFI05G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSSQCMRSFGWVHDQFFSHTGPTTKITFPIHLFLPCRRSSNLLTAPLQILLPAAQIDRSGAASSPPPDENRLIRRCFLSSSRRYAGGTEPTRSRGGRDQDG >ORUFI05G05770.1 pep chromosome:OR_W1943:5:4417540:4418487:-1 gene:ORUFI05G05770 transcript:ORUFI05G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASHGFLYSRGRGCAPEENRKKTTRRKKMIRAKQLFYGSGEEEHKKLSLILAHAECRLSTPSVVPVDFRDRKSAFTLGEKLRYALFSYKDLVILSHTWPTPPSVAPEL >ORUFI05G05780.1 pep chromosome:OR_W1943:5:4420319:4420852:1 gene:ORUFI05G05780 transcript:ORUFI05G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAKEEIRRAAATADSPDAGEPHAIAAHLQGWCRACLHLAALAFLACAFVQTAGRARHDPWDLAFVVAAYASLAALFVVLRRAERLTPESPADDRRWLQRAAWTLSTVLSCLFAYRVARIMPAAMAVTVWAMTASVVVGGLYFLVLNDGDRGSEEDCHVADDGKLVFQKIPREEMV >ORUFI05G05790.1 pep chromosome:OR_W1943:5:4422769:4423191:-1 gene:ORUFI05G05790 transcript:ORUFI05G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNPRLLLRAAASLLRPSSSSAAAAPTPALPPLLRRPPLFFPEGCPHRRAFSTADFGKDVDEVNRKFAEAREEIEAAMDSKETVYFDEEAACARDAAGEALAAFESLLARLPPPDADSLRRSMGLKMEQLKAELKQLDE >ORUFI05G05800.1 pep chromosome:OR_W1943:5:4424347:4426682:-1 gene:ORUFI05G05800 transcript:ORUFI05G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSFLSTTAAAAASSSQPPLPARRLPRRNPSLPFPLRPPHRLSPFSAPPPEPHRLTYRTHSTSSSSRTPTAAGLLSPVISTSRTLIFLLVASLLSLSGVRPLPSLASPPPPTQQPQETEEQEQQQESEEKQQQQQEEEGVEAEVEEAWLRQDEEEEVEEKEEEEEEEADDEVQMYMEILSRDPGDVDALKCALFAKMRRAEWGGALGFARRLREAEPGEVEWRFMEALLHELKGDLAEAERLFNEVLAEKPLLVRALHGLALCMHKRSEGPTVFEMLEKALQLAISEERVPEERNIKLLIAQMHVVKGQLDVASEKLQNLINEDPRDFRPHLCQGIVYALLDKKEEADELFDTYRSLVPDEFPDKSFISDVIQAARVESKDRLQKDFGSEFLSKK >ORUFI05G05810.1 pep chromosome:OR_W1943:5:4428594:4432192:-1 gene:ORUFI05G05810 transcript:ORUFI05G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGAGADAGIDRVLWTEAEIAARVSEVAAELAADLRALREPAVVVGVATGAFLFLADLVRRVDAPLAVDLVRAESYGDGTESSGRPRITSDLKVDVAGNPPPTLPTRAVEDIVDTGNTVSCLIAYLEKKGASSISVCTFLDKPARRTANFQLVGDGKFYRGFECPDSFVVGYGMDYAELYRNLPYVGVLKAEMYKKDTSN >ORUFI05G05820.1 pep chromosome:OR_W1943:5:4437240:4437910:1 gene:ORUFI05G05820 transcript:ORUFI05G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGSSRAPVVVRPPSRFPLGPSGIPLICCPSCGKGVEEYKSKKQGGRIFFKCPDNEQYDPNSCSFFKWIDSYLKMIEGMDLYTPEDGVVGDDFATPIAHVVPAHLDADGDKEVTGKMATGGKMDVMQMLVLINLGQLVVMFVALCVMFLK >ORUFI05G05830.1 pep chromosome:OR_W1943:5:4438250:4438658:-1 gene:ORUFI05G05830 transcript:ORUFI05G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALIFLAPKKVLMYTPQFIRLAMLMGSQPAATTSSQPAATSSSQPPQLAASSSHPPQLAASSSQPARTTSSQPSGSQPPQPPRRSPSKKLTPRKKLKN >ORUFI05G05840.1 pep chromosome:OR_W1943:5:4440096:4443722:1 gene:ORUFI05G05840 transcript:ORUFI05G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLMQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGEPLYNINKKLVVKAVRHPKATPDCLIAIAVRRKARRRLRHSIPIR >ORUFI05G05850.1 pep chromosome:OR_W1943:5:4446071:4451658:1 gene:ORUFI05G05850 transcript:ORUFI05G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGHHFNNISLGGRGGNNPGQFKLYSGGLAWKRQGGGKTIEVEKSDITSVTWMAIPRSYQLGVSTKEGLFYRFFGFREQDISSLTNFMEKNMRITPEEKQLSVGGHNWGGIEINGNMLSFNVGSKEAFEVSLADVAQTQMQGKTDVVLEFHVDDTTGGNEKDSLMDLSFHVPTSNTQFPGDENRPSAQVLWQAILNKADVGSSEEAVVTFDGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSILRLFVLPKSNNPHTFVVITLDPPIRKGQTLYPHIVIQFETEAVVQRDLTLSDEVLAEKYKDRLENSYQGLIHEVFSKVLRGLSGAKVTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEYVEFERHGAGGASISSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFNFISGKHLKILNLGEAQGRAGGVTAVLQSTDDDAVDPHLERIRNQTGDDESDEEDEDFVADKDDSGSPTDDSGEEGSDASLSGGEKEKSSKKEASSSKAPLKKRKPKGGDAAEGSEKRKPKKKKDPNAPKRAIAPFMYFSKAERANLKNSNPELATTEIAKKLGERWQKMTAEEKQPYVEQSQVDKKRYAEESAAYRGAAAMDVDSGPASD >ORUFI05G05860.1 pep chromosome:OR_W1943:5:4452165:4457336:1 gene:ORUFI05G05860 transcript:ORUFI05G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQAGMGLTRVVVLIGAGMAGSVVLRNGRLSEILGELQEILDKGEKGKDGEGGGGADMTDALTRQVRNLAMEVKQLASSRGSITVLNGGSGQTGVSGLIVPAATVGALGYGYMWWKGISFADLMYVTKRNMANAVSSMTKHLEQVQTSLAAAKRHLTQRIERLDDKLDQQKALSGQIRDDVTDARLKLENIGSEIKNIKQLVWGLNFSCAGVMYLCQFIEQNGGKLPERLEGSKMAGKRFGSQNLIQGLQLAIETGNFDKETFNALKNNSDSR >ORUFI05G05870.1 pep chromosome:OR_W1943:5:4457637:4462339:-1 gene:ORUFI05G05870 transcript:ORUFI05G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEAAAAAASPPELASFLAIGLDQRTAENALANRKVTANLTAVIAEAGVSGCDKSVGNLLYTVATKYPANALVHRPVVIQYIVSSKIKTPAQLDAALSFLSTLGPDPLDTAKFEETCGVGVVVSTEEIQSMVTDILKENMEAIVEQRYHINVGSLCRQVRKWHPWGDAKFIKEEIDKRLTEILGPKTEADNVKPVKKKKEKPAKVEEKKTAVAAPAPPSEEELNPYSIFPQPEENLKVHTEIFFSDGNIWRAHNRKDILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVRWMGWEPYKVTYTSDYFQELYELAVCLIKKGLAYVDHQTPEEIKEYREKQMNSPWRDRPIEESLKLFEDMRHGLVAEGKATLRMKQDMQNDNKNMADLIAYRIKFTPHPHAGDKWFIYPSYDYAHCLVDSLENITHSLCTLEFDIRRPSYYWLLVSLDQYQPYVWEYSRLNISNNVMSKRKLNKLVTEKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGMGITRSDNSLIRVERLEYHIREELNKVAPRAMVVLHPLKVVITNLDYGTIIDLDAKKWPDAPGDDASAYYKVPFSRTVYIEQSDFRVKDSKDYYGLAPGKTVLLRYAFPIKCTEVIYGDNSDNIIEIRAEYDPSKATKPKGVLHWVAEPSPGVNPLKVEIRLFEKLFLSENPVELEDWLGDLNPRSKEVIKGAYAVPSLATAALGDKFQFERLGYFAVDSDSTAEELVFNRTVTLRDSYGKAGPK >ORUFI05G05890.1 pep chromosome:OR_W1943:5:4472409:4473520:-1 gene:ORUFI05G05890 transcript:ORUFI05G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVGLNPEAFFFSNSYSYSSSPFMASYTPEFSAAAIDANLFSGELDFDCSLPAPAQEYPENENTMMRYESEEKMRARVNGRIGFRTRSEVEILDDGFKWRKYGKKAVKNSPNPRNYYRCSTEGCNVKKRVERDREDHRYVITTYDGVHNHASPAAAAAALQYAAAAGDYYSPPLSSAGSPPAAYSAGGSLLF >ORUFI05G05900.1 pep chromosome:OR_W1943:5:4482938:4485339:1 gene:ORUFI05G05900 transcript:ORUFI05G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFRSAGVQGKLAGDGTIRRPAQRRRKFSSDVWVNSSSPFEYIFDDITGEEKAMCINCGLCMSAKSKNGTSHLRRHLETDGCKKKRQQGPISPAADSAAGPSPAGDGDQQQEAVDEDDDDAFVASICACYDKLLADDLVDVVKRNDVQQMPPVPSLTMTRFFGKRRERVAARSSSSQDEKSVDMDVVSSGYYEV >ORUFI05G05910.1 pep chromosome:OR_W1943:5:4494002:4500843:-1 gene:ORUFI05G05910 transcript:ORUFI05G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGGNTRELDQTPTWAVASVCGVIVLISILLEKGLHKIGEFFSHRKKKAMVEALEKVKTELMVLGFISLLLVFGQNYIIKICITEKAADTMLPCRLKASTIHAETGKGATPAGGEHEATPAEHFSLVGVGPPFTAAFSRPHHRLLAEAKMATKCPDGKVSLISINALHQLHIFIFFLAVFHIRGWKEWEKEAAGQDFEFANDPTKFRFTHETSFVRQHMNVLNKTPASFYISNFFRQFFRSVRRADYCALRHSFVNVHLAPGSKFDFQKYIKRSLEDDFKVIVGISPPLWASALIFLLLNVSGLHTMLWISIMPVVTILSVGTKLQGIICRMAIDITERHAVIQGIPLVQVSDSYFWFSRPTFVLFLIHFTLFQNGFQIIYFLWILYEYGMDSCFNDSKEFVFARLCLGVVMGSTMKQSIFDDQTSKALKNWRAGVKKKPAAASSSKHGDAGGDHAAASPHSGSPKGEAGGVALTQRKAGGGEAGGEQQAGGGSGSKKGEEGDYEFVKLEP >ORUFI05G05930.1 pep chromosome:OR_W1943:5:4544378:4544986:1 gene:ORUFI05G05930 transcript:ORUFI05G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLAVVERGGGRRRGTGGCGSATWPGASARAAAAGRVAGRWLGAAPGEKRWGTEETAAVGERRMAAVWRRMPRWRDWCGMEGGGELRGSAPASSRLNRAPRCRVEEGTKATVDGGEEDSAVEWNGGPTRVHGSARPRQRRRATRARSRLSAGRGDGVGGARGRAVRGSARRRPRKEETTVRTTAASRHDSKIKNREKGRK >ORUFI05G05940.1 pep chromosome:OR_W1943:5:4553049:4555588:-1 gene:ORUFI05G05940 transcript:ORUFI05G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGKALLEEDLVGRSSRSVAQACSGDIRGGGDSSNIMVWYIEAWGGSSSKLWGDEARIYPMGNGWQGGSTDHWSSVSRSHCSNGCCTTQKPSSRRVLPPPNPSSSSQYLLGIPIAASRCMATNEEARRRWWRGRQPAGANRSRIRRALGASLLARRIGLFVTNPSTSRLLEGRGVSVSVINAETWDMVKDAIASSLAAALYVIEDFYGMIQICKRCSTRCCWLPATHGR >ORUFI05G05960.1 pep chromosome:OR_W1943:5:4604561:4606498:1 gene:ORUFI05G05960 transcript:ORUFI05G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAPLFRLAAAAAVVMGVVSPAVKAAGGGNSSTACPLDLGYVRSFPWDTAPCMPPVANQTACCTTLLSVLGVGLAARLRATGHFRLPSANASAACLGAFSDELASPPLSLQDTLVPACWPVSSQLAISPSYCAGVTTAKQYVATVGNAAVLGSLNSSCGSDLADLSLCSSCLAAAIDASGRLVAAAAKGTNPQNCFYLTVLYAAGVSSSAGPTSPGTANCALGLALSTPSSSSSPASSSNHTNMAVATAIPVASALLVSVIAALLVWRRRQDSIRSKSRRLSGERRLSRPRPNVGSVLFSLGELAKATCGFAERNLIGRGGFGVVYRGVLDDGSVVAVKKMLDPDMEGGDEEFTNEVEIISHLRHRNLVPLRGCCISDDDADEGKQMFLVYDYMPNGSLDHYIFKDGGDGGRRPPPLSWAQRRGVVLDVARGLEYLHHGVKPGIYHRDIKATNILLGTDMRARVADFGLARRSREGQSHVTTRVAGTHGYLSPEYALYGQLTEKSDVYSFGVLVLEVMSGRRALDLSDPSGVVLITDWAWALVRAGRAAEVVAAALREREGPAGVHAMERFVLVGILCAHVTVACRPTMPEALRMLEGDMDVPDLPERPQPYGQRIAFDEGEANFSASSVLSGPFMDFGDMLR >ORUFI05G05970.1 pep chromosome:OR_W1943:5:4606510:4610250:-1 gene:ORUFI05G05970 transcript:ORUFI05G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEEGGGGGGGGMGRGKIEIKRIENSTNRQVTFSKRRSGILKKAREISVLCDAEVGVVIFSSAGKLYDYCSPKTSLSRILEKYQTNSGKILWDEKHKSLSAEIDRIKKENDNMQIELRHLKGEDLNSLQPKELIMIEEALDNGIVNVNDKLMDHWERHDKMLEDENKLLAFKLHQQDIALSGSMRDLELGYHPDRDFAAQMPITFRIHGCLQTQNIFLVASIND >ORUFI05G05980.1 pep chromosome:OR_W1943:5:4611330:4617829:1 gene:ORUFI05G05980 transcript:ORUFI05G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSTWIDGFLYMGLREFKTANWQTGLNQSRPKTGASQPNHISPGPRARPSRQAIPSPGSETGKVHPPESDPKDPAQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYDTEDSFIDDAELDEYFEVDNLRTKHDGYFVNKGKLEQIEAGTSANVAPKKRRRKDSSSGYIENNQVAPADYPSIGNMPGKSAARSGAHVGKKLTSSNIGSYGEYYHDDNRVVKNKTGAGVHKRKSMDFAMGSDTAAYTKISSKDMPYASSELNKAAGLQPTDYTHRSKTAEAYDYAYSAYRDRDTSMQLDFQQKRAYTGENRDPSNKIHRKEKHGMGEFSGMATTGALYSGQVMQPITSRDGSGTKPKGTRLERAIRDLQKIAAEYRPPAIDINEVDPNGQVAVKRRLPPEVKQKLAKVARLSANHGKIQEHELMDRLMGIVGHLVQRRTLRRNMKEMVESGLSAKQEKADKFQRVKMEINEMIKSRVAAKAKVNEHHSGSADDFQIANDEKRYLKGKSVMDAALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPEGSMDNVGIKDAINRSKERRRSLYNQQKVRNEERMKRKRLAAAAKLQDGYPVVMQSALIQQVAQPPITNPVTDQGSKSFDRVREISASANPDDINRNTGEMKKKKRKPESDLVDTQANAMKGPSQHVEKNKPPKRADEAVETVLCLPFYDQQPS >ORUFI05G05990.1 pep chromosome:OR_W1943:5:4621369:4622765:-1 gene:ORUFI05G05990 transcript:ORUFI05G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVGCGCWLEVATTRSDDGGVEVRLLAPLLTVMWLGGLLVPWKQQSGAEALLGEGDAAWTTRARQHGLEVWMRCHAQKPKLASQAHGREAELAGGGSISRSGSREEDPSEHNPDTGDMVGLREGTGSWCSTGGGDGLAPWLDVELHQSS >ORUFI05G06000.1 pep chromosome:OR_W1943:5:4629982:4630509:1 gene:ORUFI05G06000 transcript:ORUFI05G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDARALIELSQGTPGSFCKVCNEVEKPNKRFLICAHSLCPYKFYHIRCLRYKQIASSEQQGNEYWYCPSCLCRVCKVDRDDEQIILCDGCDEGYHLYCLIPPLTLVPEGEWHCSFCIVQEEKETKRRLHGKDIATNVSMLETDGFAELEAANVLMLLKNSSTDGEIVVSPVSQ >ORUFI05G06010.1 pep chromosome:OR_W1943:5:4639159:4639788:1 gene:ORUFI05G06010 transcript:ORUFI05G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRCHSPPPRRRTSSSPPHRCVEPLHRRAPLSPPRRCRCKNNRRRRAGGSVSPNLVEARSDTQQSGDGGRGARRSGRGAKEAATWIWRGGGRKEEATRIWRGAGGKEAATRRRWAKELTTGRRRDGGGDHEAEGEGGGDLRRWAKEAAASASGPRRWTMDGAAPLLPETSPKSRRGRRGGRRSPRRGPRTRRRRCRLRLRHRSMKREG >ORUFI05G06030.1 pep chromosome:OR_W1943:5:4642502:4642852:-1 gene:ORUFI05G06030 transcript:ORUFI05G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSQRACVPTPMEVPSPGNQPIAPPLPPQFIVPPSFASTYRPGALFPTVITQSMAPSSAPCWFTTLQQPGMTGSSTQGPWLFSTGISPSTENAERPDIHALYDTVPLFSIFLVV >ORUFI05G06040.1 pep chromosome:OR_W1943:5:4672608:4673322:1 gene:ORUFI05G06040 transcript:ORUFI05G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVEEVHGSGRYWSPTAPTTERGRTEKPRATSAYPCSCYGWRNWNGVGGVLGRWWWRCCAASSPLPPLELHLRVSYAEPEYARWMLSRSPSSVVHRPPGAATAGEHLASAGIALSSKMLAMPCLAGEKRKRDGG >ORUFI05G06050.1 pep chromosome:OR_W1943:5:4683610:4695558:1 gene:ORUFI05G06050 transcript:ORUFI05G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHIPLARLRLRLRLPHLALALLAVASGNPSLGGFDRGDAEAEAYSILTFHDYTPPPPPSLPPPPPAPSATCAGDLRGVGDLDTRCVVPASVRLRGPGVYISGNGTLVLVDGVALTCERPGCVVSANLSGGIFFGREARVVAGWVSLSATNITLSSDAVIDTTALAGDPPDKTSGVPTGSYGDGGGHGGRGASCYVKEGQAQEDSWGGDMYAWAELKTPNSYGSKGGSTSVEKDYGGGGGGVVWLFAKDIMMNGTILANGGDGGTKGGGGSGGSIYLKAKTMQGGGTISACGGDGLAGGGGGRVSVDVFSRHDDSQFFVHGGRSSGCLDNAGAAGTLYEEVPKSITVSNNNLSTQTDTVFLEPPYDPLWTNVFIKNHAKVSLPLRWSRIQAQGQISLLSRATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMWNSRMLIDGGRESGVATSLLEGSNLIVLKESSVIHSIGNLGIHGQGILNLSGDGDTIQAQRLILSLFYNIVVGPGAVLQGPLVNGSSDDVAPKLNCEDESCPMEIFHPPEDCNLNTSLSFTLQICRVEDIVVSGLVQGTVINFNRARNVTVRSSGTISATGLGCRGGIGRGRMLSSGLSGGGGHGGKGGDAFYSGSHAGGGTAYGSADLPCELGSGSGNVSTSSSTAGGGIIVMGSLEQSLPLLSLAGSIEANGGSFAGAVTHAANEGPGGGSGGTILLFVRALSLEEGSVLSSAGGVGSNGSGGGGGGRIHFHWSDIPTGDDYIPFATVNGSILARGGTVDGQGFPGENGTVTGKDCPKGLYGTFCKACPLGTYKNITGSLKSLCSPCPTNELPHRAVYISIRGGVTETPCPYKCVSDRYRMPHCFTALEELIYTFGGPWLFGLFLSGLLFLLALVLSIARMKFVGTDELPGPAPTQHSSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEQISEIVYEDAFNKFVDEINALAAYQWWEGSIYSILCILSYPLAWSWQQWRRRRKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRPDLPPRLHQRLPMSLIFGGDGSYMAPFSLHSDSVVTSLISQGVPSSIWHRLVAGLNAQLRLARRGNLKATFLPVLKWLETHANPALNTYRVRVDLAWFQATALGYYQFGLVIHSVGPFSSGLQGGSRMKFDYHAQFQNTDVDSQLDHSRNNDAVMLKRITGRVLDIDNLRTLKDKRDLFYPLSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMIDVLLVLFVLPLGILAPFPAGINALFSHGPRRSAGLARVYALWNITSLLGSIFPLLDVSRKPGLIKIVAFACGLVHYKSSAKRHPSMQPWNLGGDDTSWWLFPTGLVLCKCIQARLVDWHVSILEIQDRAVYSNDPTIFWQ >ORUFI05G06050.2 pep chromosome:OR_W1943:5:4683610:4695558:1 gene:ORUFI05G06050 transcript:ORUFI05G06050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHIPLARLRLRLRLPHLALALLAVASGNPSLGGFDRGDAEAEAYSILTFHDYTPPPPPSLPPPPPAPSATCAGDLRGVGDLDTRCVVPASVRLRGPGVYISGNGTLVLVDGVALTCERPGCVVSANLSGGIFFGREARVVAGWVSLSATNITLSSDAVIDTTALAGDPPDKTSGVPTGSYGDGGGHGGRGASCYVKEGQAQEDSWGGDMYAWAELKTPNSYGSKGGSTSVEKDYGGGGGGVVWLFAKDIMMNGTILANGGDGGTKGGGGSGGSIYLKAKTMQGGGTISACGGDGLAGGGGGRVSVDVFSRHDDSQFFVHGGRSSGCLDNAGAAGTLYEEVPKSITVSNNNLSTQTDTVFLEPPYDPLWTNVFIKNHAKVSLPLRWSRIQAQGQISLLSRATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMWNSRMLIDGGRESGVATSLLEGSNLIVLKESSVIHSIGNLGIHGQGILNLSGDGDTIQAQRLILSLFYNIVVGPGAVLQGPLVNGSSDDVAPKLNCEDESCPMEIFHPPEDCNLNTSLSFTLQICRVEDIVVSGLVQGTVINFNRARNVTVRSSGTISATGLGCRGGIGRGRMLSSGLSGGGGHGGKGGDAFYSGSHAGGGTAYGSADLPCELGSGSGNVSTSSSTAGGGIIVMGSLEQSLPLLSLAGSIEANGGSFAGAVTHAANEGPGGGSGGTILLFVRALSLEEGSVLSSAGGVGSNGSGGGGGGRIHFHWSDIPTGDDYIPFATVNGSILARGGTVDGQGFPGENGTVTGKDCPKGLYGTFCKACPLGTYKNITGSLKSLCSPCPTNELPHRAVYISIRGGVTETPCPYKCVSDRYRMPHCFTALEELIYTFGGPWLFGLFLSGLLFLLALVLSIARMKFVGTDELPGPAPTQHSSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEQISEIVYEDAFNKFVDEINALAAYQWWEGSIYSILCILSYPLAWSWQQWRRRRKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRPDLPPRLHQRLPMSLIFGGDGSYMAPFSLHSDSVVTSLISQGVPSSIWHRLVAGLNAQLRLARRGNLKATFLPVLKWLETHANPALNTYRVRVDLAWFQATALGYYQFGLVIHSVGPFSSGLQGGSRMKFDYHAQFQNTDVDSQLDHSRNNDAVMLKRITGRVLDIDNLRTLKDKRDLFYPLSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMIDVLLVLFVLPLGILAPFPAGINALFSHGPRRSAGLARVYALWNITSLIVAFACGLVHYKSSAKRHPSMQPWNLGGDDTSWWLFPTGLVLCKCIQARLVDWHVSILEIQDRAVYSNDPTIFWQ >ORUFI05G06050.3 pep chromosome:OR_W1943:5:4683610:4695558:1 gene:ORUFI05G06050 transcript:ORUFI05G06050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATHIPLARLRLRLRLPHLALALLAVASGNPSLGGFDRGDAEAEAYSILTFHDYTPPPPPSLPPPPPAPSATCAGDLRGVGDLDTRCVVPASVRLRGPGVYISGNGTLVLVDGVALTCERPGCVVSANLSGGIFFGREARVVAGWVSLSATNITLSSDAVIDTTALAGDPPDKTSGVPTGSYGDGGGHGGRGASCYVKEGQAQEDSWGGDMYAWAELKTPNSYGSKGGSTSVEKDYGGGGGGVVWLFAKDIMMNGTILANGGDGGTKGGGGSGGSIYLKAKTMQGGGTISACGGDGLAGGGGGRVSVDVFSRHDDSQFFVHGGRSSGCLDNAGAAGTLYEEVPKSITVSNNNLSTQTDTVFLEPPYDPLWTNVFIKNHAKVSLPLRWSRIQAQGQISLLSRATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMWNSRMLIDGGRESGVATSLLEGSNLIVLKESSVIHSIGNLGIHGQGILNLSGDGDTIQAQRLILSLFYNIVICRVEDIVVSGLVQGTVINFNRARNVTVRSSGTISATGLGCRGGIGRGRMLSSGLSGGGGHGGKGGDAFYSGSHAGGGTAYGSADLPCELGSGSGNVSTSSSTAGGGIIVMGSLEQSLPLLSLAGSIEANGGSFAGAVTHAANEGPGGGSGGTILLFVRALSLEEGSVLSSAGGVGSNGSGGGGGGRIHFHWSDIPTGDDYIPFATVNGSILARGGTVDGQGFPGENGTVTGKDCPKGLYGTFCKACPLGTYKNITGSLKSLCSPCPTNELPHRAVYISIRGGVTETPCPYKCVSDRYRMPHCFTALEELIYTFGGPWLFGLFLSGLLFLLALVLSIARMKFVGTDELPGPAPTQHSSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEQISEIVYEDAFNKFVDEINALAAYQWWEGSIYSILCILSYPLAWSWQQWRRRRKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRPDLPPRLHQRLPMSLIFGGDGSYMAPFSLHSDSVVTSLISQGVPSSIWHRLVAGLNAQLRLARRGNLKATFLPVLKWLETHANPALNTYRVRVDLAWFQATALGYYQFGLVIHSVGPFSSGLQGGSRMKFDYHAQFQNTDVDSQLDHSRNNDAVMLKRITGRVLDIDNLRTLKDKRDLFYPLSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMIDVLLVLFVLPLGILAPFPAGINALFSHGPRRSAGLARVYALWNITSLLGSIFPLLDVSRKPGLIKIVAFACGLVHYKSSAKRHPSMQPWNLGGDDTSWWLFPTGLVLCKCIQARLVDWHVSILEIQDRAVYSNDPTIFWQ >ORUFI05G06050.4 pep chromosome:OR_W1943:5:4683610:4695558:1 gene:ORUFI05G06050 transcript:ORUFI05G06050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATHIPLARLRLRLRLPHLALALLAVASGNPSLGGFDRGDAEAEAYSILTFHDYTPPPPPSLPPPPPAPSATCAGDLRGVGDLDTRCVVPASVRLRGPGVYISGNGTLVLVDGVALTCERPGCVVSANLSGGIFFGREARVVAGWVSLSATNITLSSDAVIDTTALAGDPPDKTSGVPTGSYGDGGGHGGRGASCYVKEGQAQEDSWGGDMYAWAELKTPNSYGSKGGSTSVEKDYGGGGGGVVWLFAKDIMMNGTILANGGDGGTKGGGGSGGSIYLKAKTMQGGGTISACGGDGLAGGGGGRVSVDVFSRHDDSQFFVHGGRSSGCLDNAGAAGTLYEEVPKSITVSNNNLSTQTDTVFLEPPYDPLWTNVFIKNHAKVSLPLRWSRIQAQGQISLLSRATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMWNSRMLIDGGRESGVATSLLEGSNLIVLKESSVIHSIGNLGIHGQGILNLSGDGDTIQAQRLILSLFYNIVICRVEDIVVSGLVQGTVINFNRARNVTVRSSGTISATGLGCRGGIGRGRMLSSGLSGGGGHGGKGGDAFYSGSHAGGGTAYGSADLPCELGSGSGNVSTSSSTAGGGIIVMGSLEQSLPLLSLAGSIEANGGSFAGAVTHAANEGPGGGSGGTILLFVRALSLEEGSVLSSAGGVGSNGSGGGGGGRIHFHWSDIPTGDDYIPFATVNGSILARGGTVDGQGFPGENGTVTGKDCPKGLYGTFCKACPLGTYKNITGSLKSLCSPCPTNELPHRAVYISIRGGVTETPCPYKCVSDRYRMPHCFTALEELIYTFGGPWLFGLFLSGLLFLLALVLSIARMKFVGTDELPGPAPTQHSSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEQISEIVYEDAFNKFVDEINALAAYQWWEGSIYSILCILSYPLAWSWQQWRRRRKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRPDLPPRLHQRLPMSLIFGGDGSYMAPFSLHSDSVVTSLISQGVPSSIWHRLVAGLNAQLRLARRGNLKATFLPVLKWLETHANPALNTYRVRVDLAWFQATALGYYQFGLVIHSVGPFSSGLQGGSRMKFDYHAQFQNTDVDSQLDHSRNNDAVMLKRITGRVLDIDNLRTLKDKRDLFYPLSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMIDVLLVLFVLPLGILAPFPAGINALFSHGPRRSAGLARVYALWNITSLIVAFACGLVHYKSSAKRHPSMQPWNLGGDDTSWWLFPTGLVLCKCIQARLVDWHVSILEIQDRAVYSNDPTIFWQ >ORUFI05G06060.1 pep chromosome:OR_W1943:5:4700023:4707528:-1 gene:ORUFI05G06060 transcript:ORUFI05G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFARNLAAIIPLARCNATATTAAAPSSLVLKVGDTLRERRRFTDGEVEAYAAVSGDRNPVHLDDAFARQVGGFGRGRVVHGMLVASLFPALIAAHFPGAVYARQSLKFAAPVYVGDKVLVQVQALHIRASTKHIVKFGTKCFTSDSDDLLAVDGEAMAVLPSLYLRQQLNQASLVCHCPNWQILIGGFAIFGPLNLSFSMAGATTTSSPLRRMESVCRHLLPASPPILYQNPLGAIRLESSPVIIGGMVLDIHAKPSMQPHPGTTVPGMVKYVSGGVARNIAECICKLETRPFMISVVGNDMAGDFLLKYWRSAGLCTDVSNVFDGSGELIAGVASVGAVEKFLSPSWICQFRLHISTAPLLMLDANLSPDSLEAACKIAHESGVPVFFEPVSLAKGSRIAPIAKYITYTSPNEIELVAMANSLSPPEKYTFVKMEQSKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSNGVFVCCKESTSLMDQRKSEMMSFSTPLLQKLERCFPSNMLVDLPREGSSRTCVFHFPAVSASVVSLTGAGDCFVGGVISALCGGLGMMQSVAVGIAIAKSSVESEANIPDKFSAATIADDARRTLLSAKMMWCK >ORUFI05G06060.2 pep chromosome:OR_W1943:5:4700023:4707528:-1 gene:ORUFI05G06060 transcript:ORUFI05G06060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPSLYLRQQLNQASLVCHCPNWQILIGGFAIFGPLNLSFSMAGATTTSSPLRRMESVCRHLLPASPPILYQNPLGAIRLESSPVIIGGMVLDIHAKPSMQPHPGTTVPGMVKYVSGGVARNIAECICKLETRPFMISVVGNDMAGDFLLKYWRSAGLCTDVSNVFDGSGELIAGVASVGAVEKFLSPSWICQFRLHISTAPLLMLDANLSPDSLEAACKIAHESGVPVFFEPVSLAKGSRIAPIAKYITYTSPNEIELVAMANSLSPPEKYTFVKMEQSKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSNGVFVCCKESTSLMDQRKSEMMSFSTPLLQKLERCFPSNMLVDLPREGSSRTCVFHFPAVSASVVSLTGAGDCFVGGVISALCGGLGMMQSVAVGIAIAKSSVESEANIPDKFSAATIADDARRTLLSAKMMWCK >ORUFI05G06060.3 pep chromosome:OR_W1943:5:4700023:4707528:-1 gene:ORUFI05G06060 transcript:ORUFI05G06060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATTTSSPLRRMESVCRHLLPASPPILYQNPLGAIRLESSPVIIGGMVLDIHAKPSMQPHPGTTVPGMVKYVSGGVARNIAECICKLETRPFMISVVGNDMAGDFLLKYWRSAGLCTDVSNVFDGSGELIAGVASVGAVEKFLSPSWICQFRLHISTAPLLMLDANLSPDSLEAACKIAHESGVPVFFEPVSLAKGSRIAPIAKYITYTSPNEIELVAMANSLSPPEKYTFVKMEQSKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSNGVFVCCKESTSLMDQRKSEMMSFSTPLLQKLERCFPSNMLVDLPREGSSRTCVFHFPAVSASVVSLTGAGDCFVGGVISALCGGLGMMQSVAVGIAIAKSSVESEANIPDKFSAATIADDARRTLLSAKMMWCK >ORUFI05G06060.4 pep chromosome:OR_W1943:5:4700023:4707528:-1 gene:ORUFI05G06060 transcript:ORUFI05G06060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATTTSSPLRRMESVCRHLLPASPPILYQNPLGAIRLESSPVIIGGMVLDIHAKPSMQPHPGTTVPGMEKFLSPSWICQFRLHISTAPLLMLDANLSPDSLEAACKIAHESGVPVFFEPVSLAKGSRIAPIAKYITYTSPNEIELVAMANSLSPPEKYTFVKMEQSKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSNGVFVCCKESTSLMDQRKSEMMSFSTPLLQKLERCFPSNMLVDLPREGSSRTCVFHFPAVSASVVSLTGAGDCFVGGVISALCGGLGMMQSVAVGIAIAKSSVESEANIPDKFSAATIADDARRTLLSAKMMWCK >ORUFI05G06070.1 pep chromosome:OR_W1943:5:4711868:4716246:1 gene:ORUFI05G06070 transcript:ORUFI05G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVAGDGGGGGRRSEDLRVVFLRVGAAVALSVAGLLLSRRRPRQQLRLPPPPPRSDSDGMKGGGGLKDELRILKNEDTKAKIINGNSVHTTTTTTTMTTTALVPLPPKCRTLDDDEEFLLPEFNEIVLKEFGRDMGNIATSPAPRVSEEDATKTPEIFELREMVRSLQEREKTLELQLLESYGLQEQDVAVRELENQLKINTVESKLYTLKIESLQSENERLQAQLTESSKLASELEAARMKCKLLKKKLRQDAEQAKERIASLQEMADSWQCKEIITEGKFSAEVEEKLSKLEELENEARELRVVNSRLQQENAHLARRLELTRLPPVPKPINNMEVKALQEADHLRQENDKLAKEVEQLKTDRFSDVEELVYLKWINACLRYELRNQDAPSGKNVARDLSKTLSPQSEEKAKQLIMEYANAGPDEKNFDHIEFCSEYSSSRASSLGEPDDASIDVSLMNKHKNPKKKKFFSKLRKLVLGKEKENKTIPTLERRISISSCSFDEFNGRESIDSYSSFMTEPGNSGNQQHDNHGSRWHSMDSQSARHLSKEIADARNLHLGVKSVSFGEGRVSNFGHSSHLGNGEATVPEDAKKIHKFAEALKTSRPGSRSSRKDH >ORUFI05G06080.1 pep chromosome:OR_W1943:5:4718974:4722224:-1 gene:ORUFI05G06080 transcript:ORUFI05G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAVHAMAASPATFPSSHHHAAVSSYCALPAAAAFSRSRSRVAAAAAATLSAPLTPVLEVYCGRGDKKTKRGKRFNHSYGNTCHLLTAVCSSQARPRNKKKGTGPARLFAPPAPPRKDQFDDGELRKVVNNSYQMAAALDLSGADPARLNQGLISRMVY >ORUFI05G06080.2 pep chromosome:OR_W1943:5:4718974:4722224:-1 gene:ORUFI05G06080 transcript:ORUFI05G06080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAVHAMAASPATFPSSHHHAAVSSYCALPAAAAFSRSRSRVAAAAAATLSAPLTPVLEVYCGRGDKKTKRGKRFNHSYGNARPRNKKKGTGPARLFAPPAPPRKDQFDDGELRKVVNNSYQMAAALDLSGADPARLNQGLISRMVY >ORUFI05G06080.3 pep chromosome:OR_W1943:5:4721507:4722224:-1 gene:ORUFI05G06080 transcript:ORUFI05G06080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAVHAMAASPATFPSSHHHAAVSSYCALPAAAAFSRSRSRVAAAAAATLSAPLTPVLEVYCGRGDKKTKRGKRFNHSYGNTCHLLTAVCSSQARPRNKKKGTGPARLFAPPAPPRKDQFDDGEVTPIDIDDDILE >ORUFI05G06080.4 pep chromosome:OR_W1943:5:4721507:4722224:-1 gene:ORUFI05G06080 transcript:ORUFI05G06080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAVHAMAASPATFPSSHHHAAVSSYCALPAAAAFSRSRSRVAAAAAATLSAPLTPVLEVYCGRGDKKTKRGKRFNHSYGNARPRNKKKGTGPARLFAPPAPPRKDQFDDGEVTPIDIDDDILE >ORUFI05G06090.1 pep chromosome:OR_W1943:5:4722516:4733389:-1 gene:ORUFI05G06090 transcript:ORUFI05G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMPKGSLLRHAYYHLGPGPRTVVEHAVVPFLPNGPRPKGHDRVESTTMLPRNFESAGAVPLVEGNIAREEAMWEPEAREDGPPQLCATAWWRECEAPPTSRVPPTSAWTASPRPSLLPCAAAAAWPYPPRANGGRLLGYLDEQFNQLEELQDESSPNFVEEVAALFFKDSSRLLTNIEQAIDKYPQDFYRLDSLVQQLKGSGSSIGALRMKNECSVFKVNCNDRNLEGCRRSLQKMKREHATLKQKLESYFQLLRQVGPRDYAKS >ORUFI05G06090.2 pep chromosome:OR_W1943:5:4722516:4733389:-1 gene:ORUFI05G06090 transcript:ORUFI05G06090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMPKGSLLRHAYYHLGPGPRTVVEHAVVPFLPNGPRPKGHDRVESTTMLPRNFESAGAVPLVEGNIAREEAMWEPEAREDGPPQLCATAWWRECEAPPTSRVPPTSAWTASPRPSLLPCAAAAAWPYPPRANGGRFTLGYLDEQFNQLEELQDESSPNFVEEVAALFFKDSSRLLTNIEQAIDKYPQDFYRLDSLVQQLKGSGSSIGALRMKNECSVFKVNCNDRNLEGCRRSLQKMKREHATLKQKLESYFQLLRQVGPRDYAKS >ORUFI05G06090.3 pep chromosome:OR_W1943:5:4722423:4733389:-1 gene:ORUFI05G06090 transcript:ORUFI05G06090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMPKGSLLRHAYYHLGPGPRTVVEHAVVPFLPNGPRPKGHDRVESTTMLPRNFESAGAVPLVEGNIAREEAMWEPEAREDGPPQLCATAWWRECEAPPTSRVPPTSAWTASPRPSLLPCAAAAAWPYPPRANGGRICGYLDEQFNQLEELQDESSPNFVEEVAALFFKDSSRLLTNIEQAIDKYPQDFYRLDSLVQQLKGSGSSIGALRMKNECSVFKVNCNDRNLEGCRRSLQKMKREHATLKQKLESYFQKKK >ORUFI05G06100.1 pep chromosome:OR_W1943:5:4737017:4737763:-1 gene:ORUFI05G06100 transcript:ORUFI05G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYTHPPPSLPSLSLPKLSSPPERQWGQRRLAACLLPCGPRSYTHHHYHWRRLTPIVYVVIAAAVAAAVALLRSLVLRPAAEDEIHTFMPPWTRMATASMSSLPPATAPRATPTTTTVVIQIHRSWWRATTTEPDATATPDRHFPSTPLPSPLPLR >ORUFI05G06110.1 pep chromosome:OR_W1943:5:4738735:4742064:-1 gene:ORUFI05G06110 transcript:ORUFI05G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVEDTYGEDRATEDQLITPWSFSVASGYTLLRDPRHNKGLAFSEAERDAHYLRGLLPPSIVSQELQEKKLMHNLRNYTVPLQRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYRRPQGLYISLKDKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNESLLNDEFYIGLKQRRATGEEYHELLEEFMTAVKQNYGEKVLVQFEDFANHNAFDLLAKYSKSHLVFNDDIQGTASVVLAGLIAALKVVGGTLADHTYLFLGAGEAGTGIAELIALEMSKQTEIPINDCRKKVWLVDSRGLIVESRKESLQHFKQPFAHEHEPVKTLLEAVQSIKPTVLIGTSGVGKTFTQEVVEAMAAFNEKPVIFALSNPTSHSECTAEEAYTWTKGSAVFASGSPFDAVEYEGKTYVPGQSNNAYIFPGFGLGVVISGAIRVHDDMLLAASEALAEQVSEDNFARGLIFPPFTNIRKISAHIAAKVAAKAYELGLASRLPRPDDLVKYAESCMYTPAYRCYR >ORUFI05G06120.1 pep chromosome:OR_W1943:5:4747497:4748102:1 gene:ORUFI05G06120 transcript:ORUFI05G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFTMPCPKKVMHDKKVPLTNCSIIMGELGFLIVIGINQICVFYSLLMAVYTSKFRL >ORUFI05G06130.1 pep chromosome:OR_W1943:5:4754508:4755917:1 gene:ORUFI05G06130 transcript:ORUFI05G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAECEDESPESAMVASGDVGSGPTVGHSGVEHAQERLILVQQQPAGILSPLSSGTALLEATESIDGDESHA >ORUFI05G06140.1 pep chromosome:OR_W1943:5:4775296:4776995:1 gene:ORUFI05G06140 transcript:ORUFI05G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNGRFGEDGEEERSLELSLALPGYFSSSGLQGNTSTAADGAKGNDGFKASRPAAPVVGWPPVRSFRRNLASSSSSSKPPRGGRDAAAAAAGGKVARFVKVNMDGVPIGRKVDLAAHGGYGELSAAVDRLFRGLLAAQRDPTMATAAAAAAAGESCTGEEEAIAGLLDGGSGEYTLVYEDDEGDQMLVGDVPWNMFIAAARRLRVLRSSDLNASTIRAGSRKRAAAE >ORUFI05G06140.2 pep chromosome:OR_W1943:5:4775207:4776995:1 gene:ORUFI05G06140 transcript:ORUFI05G06140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNGRFGEDGEEERSLELSLALPGYFSSSGLQGNTSTAADGAKGNDGFKASRPAAPVVGWPPVRSFRRNLASSSSSSKPPRGGRDAAAAAAGGKVARFVKVNMDGVPIGRKVDLAAHGGYGELSAAVDRLFRGLLAAQRDPTMATAAAAAAAGESCTGEEEAIAGLLDGGSGEYTLVYEDDEGDQMLVGDVPWNMFIAAARRLRVLRSSDLNASTIRAGSRKRAAAE >ORUFI05G06150.1 pep chromosome:OR_W1943:5:4779539:4783677:1 gene:ORUFI05G06150 transcript:ORUFI05G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATDLPPKGGFSYDNCARNAMLVEKGLKMPGFLKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVVTSLTLLKSHLFSYQGHVSAALVLGGVDVTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESKYKEGLTREEGIQLVAEAIRGGIFNDLGSGSNVDVCVITKGKTEYLRNHQLPNPRTYVSSKGYNFTKGQTVVLSTKITQLKPKVEVTEGDAMEE >ORUFI05G06150.2 pep chromosome:OR_W1943:5:4779578:4783677:1 gene:ORUFI05G06150 transcript:ORUFI05G06150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATDLPPKGGFSYDNCARNAMLVEKGLKMPGFLKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVVTSLTLLKSHLFSYQGHVSAALVLGGVDVTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESKYKEGLTREEGIQLVAEAIRGGIFNDLGSGSNVDVCVITKGKTEYLRNHQLPNPRTYVSSKGYNFTKGQTVVLSTKITQLKPKVEVTEGDAMEE >ORUFI05G06150.3 pep chromosome:OR_W1943:5:4779539:4782839:1 gene:ORUFI05G06150 transcript:ORUFI05G06150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATDLPPKGGFSYDNCARNAMLVEKGLKMPGFLKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVVTSLTLLKSHLFSYQGHVSAALVLGGVDVTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESKYKEGLTREEGIQLVAEAIRGGIFNDLGSGSNVDVCVITKGKTEYLRNHQLPNPRTYVSSKGYNFTKGQTVVLSTKITQLKPKVEVTEGDAMEE >ORUFI05G06160.1 pep chromosome:OR_W1943:5:4783285:4786816:-1 gene:ORUFI05G06160 transcript:ORUFI05G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVAAAEQVVAALREECATPAARLDGVAAAMAGEMAAGLAEEGGSKIKMIVSYVDNLPNGTEEGLFYALDLGGTNFRVLRVQLAGKEKRVVKRESREVSIPPHLMSGNSSELFGFIASALAKFVADEGHNAVFNDRQRELGFTFSFPVRQTSIASGTLIKWTKAFSIDDAVGEDVVAELQMAMEKQGLDMRVSALINDTVGTLAAGSYYDEDVVVGVILGTGSNAAYLEKANAIPKLEGELPKSGNMVINTEWGNFSSSCLPITEYDEALDKESLNPGEQASFSRRLHTPHMSKIFEKLISGMYLGEIVRRVLLKISLQSSIFGNLDQTKLKTRFILRTPDISAMHHDGTPDLRIVAEKLADNLKITDTSLETRKMVVEICDIVTRRSARLAAAGIVGILRKIGRGVPGDKRKSVIAIDGGLYEHYTEFRQCLETTLTELLGEEASKSVAVKLANDGSGLGAALIAAAHSQYLN >ORUFI05G06170.1 pep chromosome:OR_W1943:5:4812125:4813704:1 gene:ORUFI05G06170 transcript:ORUFI05G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWFRNMWGGGRKEQKGEAPASGGKRWSFGKSSRDSAEAAAAAAAAAAEASGGNAAIARAAEAAWLRSVYADTEREQSKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSKGRSAPVLAATVAGDTRSLAAAAVRIQTAFRGFLAKKALRALKALVKLQALVRGFLVRRQAAATLQSMQALIRAQATVRAHRTGAGAAANLPHLHHAPFWPRRSLVRRWLNLADDIAMYMFDVDVVCWRWMQQERCAGDDTRSEHGVAAYSRRLSASIESSSYGYDRSPKIVEVDTGRPKSRSSSSRRASSPLLLDAAGCASGGEDWCANSMSSPLPCYLPGGAPPPRIAVPTSRHFPDYDWCALEKARPATAQSTPRYAHAPPTPTKSVCGGGGGGGIHSSPLNCPNYMSNTQSFEAKVRSQSAPKQRPETGGAGAGGGRKRVPLSEVVVVESRASLSGVGMQRSCNRVQEAFNFKTAVVGRLDRSSESGENDRHAFLQRRW >ORUFI05G06180.1 pep chromosome:OR_W1943:5:4836144:4840246:1 gene:ORUFI05G06180 transcript:ORUFI05G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASRLAVVVGREEGASSPVDGVASDEAGFREGGERSAARRRSERFPRVRGARRRELLRFFPVERARLERASEAASDPLAHAVLSFYDLENSQTGQLLPCSDVFCKHLSSSTSIPCQKDHPCIHFEKYGTENLLHGKIVQDFLVLEIVINHYKTSKVRTKVQFGSISQHLKSLSSSFTVDGVMGLGPSNTSLVYQLAKSQKWKKMFAHCLDGKRSGGIFVLGHIVGPKVRKTPLDQTRYRTTLLEITVGETSLSLSAGNVEIKSQNMTILETGSLISYLPEKIFSDLEDISVINIGGYSCFHYERRFPEVVFHFKELLTLRVYPHEYMFHNMENKGIIERRTCSFWAASKSSVHVRDEPTGKIYEVGSHRMNSDVKWDDEDVWSHDRVKLETEHTTPADNTSSQWTAFTFRNQVACDDWCSGVLRETVNNQAVCDDWCDHLLRDSLGHVVPLHHLPSIYQLEKYEYAEMVVGSSCGGDFGYHCRVHSFVDKAHRHCFPQIYSFEEKVLHVVDDTYNCKQPVCIVPHKTLKQGVEKVNCTYFLPVKI >ORUFI05G06190.1 pep chromosome:OR_W1943:5:4840805:4841815:-1 gene:ORUFI05G06190 transcript:ORUFI05G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAATRVVHVHYNNATVTIGTVGVAREDDGDDAEAVAKQIVVRLGIHPPAGSGADAHAVVDLRFALQEPTPAASVPWRWLAFSLCRFLDLPADGSRLEDELCSFATDVAGDGAGGALHLLLVDVRYLGVYDERPSTQEWLPVQLYLTPATDDDGAVVVLPLCPRHTGAEAERWCHACLGEFKVGDTLATPACCRRRAVHQECLRRHLAKGPDESCPLCGGATALTPAAAEADAARMQGMWWRYFLAGNLLYWLSTTAAVVTLRLADRRGVAGVHHYLTLGAASAAWLFHSVGTLLLADDAFGFGFTIDELARFLRPLCSPVTFILSSLANKRS >ORUFI05G06200.1 pep chromosome:OR_W1943:5:4844255:4845986:-1 gene:ORUFI05G06200 transcript:ORUFI05G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARYGVQLEKGAFEKRTADFLWMMIFGAISLLALSAIPFLDIYFLGVPMVSMLLYVWSREYPNSQISMYGLVQLRSFYLPWAMLGLDVIFGSEILPGLLGILVGHTYYFLSVLHPLATGKNYLKTPMRTLRSDPRPPTPDQAPSEEGATDSVNRSNLEDTLCCKPATPIWEMQCRMKQGTSMGQPGRY >ORUFI05G06210.1 pep chromosome:OR_W1943:5:4857721:4859024:-1 gene:ORUFI05G06210 transcript:ORUFI05G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSLVWRGGNCLDFWDRCLFLEEERRRAPAADGCLAKAASTREGRQWGEEARDGRLPRPAAAWQRSSGRRASEKAAGSRLAEAAAAHLDGGSGRALPMQRRRLLLELILIQEAVMKGSRDGLGGGTTMECGW >ORUFI05G06220.1 pep chromosome:OR_W1943:5:4859064:4859333:1 gene:ORUFI05G06220 transcript:ORUFI05G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGAKKSRNPHKAPADYRSDRKSASGMSGEPKKGGRGGKFTWEGADGYADEDLDLISPKNSTSHSKSAASAAAADSSSNKKSGDDE >ORUFI05G06230.1 pep chromosome:OR_W1943:5:4861278:4861859:1 gene:ORUFI05G06230 transcript:ORUFI05G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDSYCIWVSSPSPASSPSRPPRKSGSTGSITRWRRISELVIGRSHSDGKEKFRFISALSSLAREHPKPKPTTKGATATKPHSSLTAAKRPLEDKDDDDDLGGPSVNVDGCGPLYALGLISSVIADGAGKHRRGGRTRRRSPHLRRPIPAAARRRGCRLPPGVEPRGGGVTPRRRRPLRRASIALLLGKKGK >ORUFI05G06240.1 pep chromosome:OR_W1943:5:4863564:4865273:1 gene:ORUFI05G06240 transcript:ORUFI05G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGDAASMVAVGLVWGTTNALMRRGALVWDHRLRSLPATSSSVTGVLRRWAELLLTWQYSAPFAVNLAASAAFFSLLGAAPISVAVPVTNATTFAATAVAAALLGEGTRAAPAALGTALIVLGVWLLEMMNELWSNLFSTVAVLGILEIEQTKCEPVSASDSWNSMISVDESSEEDPNAVYKCNMYCNLEKASNNFDMQQVISWRAHMHLLPPSWTHLAGWMARIDDQQAPPPMDYGCLIIRVKAEEKSQIHNSQLPAGMGRQHAWLMVLGSYHPKIQSEMFAAASANSSTWADGHMAA >ORUFI05G06250.1 pep chromosome:OR_W1943:5:4868455:4877159:1 gene:ORUFI05G06250 transcript:ORUFI05G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETLASLRRPKRGRPPRPREDHLAAEDFEEEGEDEEAEAEALARPQTKRKRAASAAAAAALEDQTLIDIIKHNGRLISHAVKKLVEDYESDPKSVMFQILAMLFEACGARHNFYADYLYEADVDGVVFSLVELAKKGMVEDNYNTKQKDLKNFKENLVSFWDTLVHDTPPRVYRQVASLVGLQLVTSLISVAKTLSGQRETTQRQLNAEKKKQTDGPIVESLNKKLAHTHKSITYLEELMRKIFSGLFMHRYRDVDPEIRMSCIKSLGIWVVSYPSLFLQDIYLKYLGWTLNDKNAGVRRTSILALQSLYEVDENIPSLGLFTERFYSRMIQLADDVDISVAVSAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPLIRRAIGELVYDHLIAQNIKTSQSGARDGNNDSSEVHIGRMLQILREFSDDPVLSSYVIDDIWDDMKAMKDWKCIISMLLDENPLTELTDMDGTNLVRMLRASAKKAVGERIVPATDNRKMYYNKGQKEILENSKHEITTALLKKYPQLLRKYISDKAKISPLIDMMMLMKLELYSLKRQDQHFKAAIDLIADAFFKHGDKETLRSCIKAITFCCTNCQADLQNYAENKLKDLEDELVLKVKTAIKEVEAGDDEYSLMVNLKRFYELQLSKPVKNDGLFEDMYRILSHLKDMDNEVKSFLLLNMYLQLAWCLNAIDGENPSEASIDELLSRQSSLFEKLYYYLVVLPTYQKEGRSTTILSCRVCVITAEMWCLFKKPKYSSTRLESLGYLPQLDVVQNFWKLCEQQLNIPDEIEDEDANEEYIEDTNKDVVMIAAAKLVLADTVSKDYLGPELVSHYASHGTSTTEIIKHLITSLRKNADNNMGALFFEALKRGYERYMAHVSDGENQTLIGKSYSECQDLAGRLAGSYVGASRNKNKSEILKIIQDGVSFAFVDLPKQLSFLEAALLPFVSKLPSSDIPDILIDVQKRTQDTNTNEDPSAWRPYFTFVEHLRDKHAKNEVLQEEKEEKPVKRRGRPRKVRDVPARNLFDGHKSSDEESVSDSDQQGHGEDNDDDDADQPLINTFRSSASKLRSLKVSQQGTSGQKGPSRASGSNS >ORUFI05G06250.2 pep chromosome:OR_W1943:5:4868455:4876984:1 gene:ORUFI05G06250 transcript:ORUFI05G06250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDETLASLRRPKRGRPPRPREDHLAAEDFEEEGEDEEAEAEALARPQTKRKRAASAAAAAALEDQTLIDIIKHNGRLISHAVKKLVEDYESDPKSVMFQILAMLFEACGARHNFYADYLYEADVDGVVFSLVELAKKGMVEDNYNTKQKDLKNFKENLVSFWDTLVHDTPPRVYRQVASLVGLQLVTSLISVAKTLSGQRETTQRQLNAEKKKQTDGPIVESLNKKLAHTHKSITYLEELMRKIFSGLFMHRYRDVDPEIRMSCIKSLGIWVVSYPSLFLQDIYLKYLGWTLNDKNAGVRRTSILALQSLYEVDENIPSLGLFTERFYSRMIQLADDVDISVAVSAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPLIRRAIGELVYDHLIAQNIKTSQSGARDGNNDSSEVHIGRMLQILREFSDDPVLSSYVIDDIWDDMKAMKDWKCIISMLLDENPLTELTDMDGTNLVRMLRASAKKAVGERIVPATDNRKMYYNKGQKEILENSKHEITTALLKKYPQLLRKYISDKAKISPLIDMMMLMKLELYSLKRQDQHFKAAIDLIADAFFKHGDKETLRSCIKAITFCCTNCQADLQNYAENKLKDLEDELVLKVKTAIKEVEAGDDEYSLMVNLKRFYELQLSKPVKNDGLFEDMYRILSHLKDMDNEVKSFLLLNMYLQLAWCLNAIDGENPSEASIDELLSRQSSLFEKLYYYLVVLPTYQKEGRSTTILSCRVCVITAEMWCLFKKPKYSSTRLESLGYLPQLDVVQNFWKLCEQQLNIPDEIEDEDANEEYIEDTNKDVVMIAAAKLVLADTVSKDYLGPELVSHYASHGTSTTEIIKHLITSLRKNADNNMGALFFEALKRGYERYMAHVSDGENQTLIGKSYSECQDLAGRLAGSYVGASRNKNKSEILKIIQDGVSFAFVDLPKQLSFLEAALLPFVSKLPSSDIPDILIDVQKRTQDTNTNEDPSAWRPYFTFVEHLRDKHAKNEVLQEEKEEKPVKRRGRPRKVRDVPARNLFDGHKSSDEESVSDSDQQGHGEDNDDDDADQPLINTFRSSASKLRSLKVSQQGTSGQKGPSRASGHALTSLRMTELGTNECSRFVAL >ORUFI05G06260.1 pep chromosome:OR_W1943:5:4881166:4889593:1 gene:ORUFI05G06260 transcript:ORUFI05G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMVSVIEELSGLTSRELGEMLKESENFVLQEKTEDGGTKQVDMEKLVSSLPLHLLAVCLELERGSDLAYVLRGMRFLHSLSELSARHTRLEQVLLDDVKLSEQVMDLIFFVLSILSHWKKENHLGVSPFIHSSLVAASLHLLTSYFSSQWHELVHILLAHPKVDIFMDVAFDSLHDDVRSLSHRLSTLSTNTFPVGPFDSRLTHFICQQCEASLQFLLLLCQQKLFRDQCLKGSIDIAASMSRLKAKILSILLQLCEAETVSYLDEVATSPKSMQLGQTLALEVLDLLKTAFGRKQKLTLDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILAIPHDEFVSNWCSVNLPVIEEDANLDYDPFGAAELALAAAGNKLTEAKANYSCPFRPISMPSIAYAQTRTSCVVKIIANLHVFVPNICEEQERDLFLQKFQKYLVSGNPRSSVDHPASADLKATTVCRNLGSLSEYARSLIPNNLLNEEDVQLLSEFAYKLQTWCKSHVGQSTSQAVKIDPSSESKEDFKPLQHPLIPSTVVPDSSINNLPKNMEEPTPTNMEEPAPTPSTKQEGNARDETPRSTVALNGGFLQNSVGQDLVHLGVARTSSGFLGGGTSTSTGSLRCKMDLDPASSSMDHFKTPDRKESGLQDDEKGDTHMYDERQPKRRKRTIMNDRQINEIEKALIDEPEMHKNAALLQAWSEKLSGQGSEITLNNRKAKLARIAKERGVLSEGENADKPSTPATPHHCDSSESAGEESYLPPARVMSALGISKGSRFVSPDGNETTSQAEFNQNIMLSRPFTRSFSFEPGRLVSLIDNDGKEVGRGKIFQVEGRLQGKALTDTRVCIVDVIELKIEKWRELPHPSEASGRTFQEAESRNGGVMRVAWDVIRLSPVIIALVHDAATMHALSLFAPDAVAAC >ORUFI05G06260.2 pep chromosome:OR_W1943:5:4881166:4889231:1 gene:ORUFI05G06260 transcript:ORUFI05G06260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMVSVIEELSGLTSRELGEMLKESENFVLQEKTEDGGTKQVDMEKLVSSLPLHLLAVCLELERGSDLAYVLRGMRFLHSLSELSARHTRLEQVLLDDVKLSEQVMDLIFFVLSILSHWKKVDIFMDVAFDSLHDDVRSLSHRLSTLSTNTFPVGPFDSRLTHFICQQCEASLQFLLLLCQQKLFRDRILKNKELSRNGGILSLSRSILKLGVPECLKGSIDIAASMSRLKAKILSILLQLCEAETVSYLDEVATSPKSMQLGQTLALEVLDLLKTAFGRKQKLTLDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILAIPHDEFVSNWCSVNLPVIEEDANLDYDPFGAAELALAAAGNKLTEAKANYSCPFRPISMPSIAYAQTRTSCVVKIIANLHVFVPNICEEQERDLFLQKFQKYLVSGNPRSSVDHPASADLKATTVCRNLGSLSEYARSLIPNNLLNEEDVQLLSEFAYKLQTWCKSHVGQSTSQAVKIDPSSESKEDFKPLQHPLIPSTVVPDSSINNLPKNMEEPTPTNMEEPAPTPSTKQEGNARDETPRSTVALNGGFLQNSVGQDLVHLGVARTSSGFLGGGTSTSTGSLRCKMDLDPASSSMDHFKTPDRKESGLQDDEKGDTHMYDERQPKRRKRTIMNDRQINEIEKALIDEPEMHKNAALLQAWSEKLSGQGSEITLNNRKAKLARIAKERGVLSEGENADKPSTPATPHHCDSSESAGEESYLPPARVMSALGISKGSRFVSPDGNETTSQAEFNQNIMLSRPFTRSFSFEPGRLVSLIDNDGKEVGRGKIFQVEGRLQGKALTDTRVCIVDVIELKIEKWRELPHPSEASGRTFQEAESRNGGVMRVAWDVIRLSPVVQ >ORUFI05G06260.3 pep chromosome:OR_W1943:5:4881166:4889244:1 gene:ORUFI05G06260 transcript:ORUFI05G06260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMVSVIEELSGLTSRELGEMLKESENFVLQEKTEDGGTKQVDMEKLVSSLPLHLLAVCLELERGSDLAYVLRGMRFLHSLSELSARHTRLEQVLLDDVKLSEQVMDLIFFVLSILSHWKKVDIFMDVAFDSLHDDVRSLSHRLSTLSTNTFPVGPFDSRLTHFICQQCEASLQFLLLLCQQKLFRDRILKNKELSRNGGILSLSRSILKLGVPECLKGSIDIAASMSRLKAKILSILLQLCEAETVSYLDEVATSPKSMQLGQTLALEVLDLLKTAFGRKQKLTLDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILAIPHDEFVSNWCSVNLPVIEEDANLDYDPFGAAELALAAAGNKLTEAKANYSCPFRPISMPSIAYAQTRTSCVVKIIANLHVFVPNICEEQERDLFLQKFQKYLVSGNPRSSVDHPASADLKATTVCRNLGSLSEYARSLIPNNLLNEEDVQLLSEFAYKLQTWCKSHVGQSTSQAVKIDPSSESKEDFKPLQHPLIPSTVVPDSSINNLPKNMEEPTPTNMEEPAPTPSTKQEGNARDETPRSTVALNGGFLQNSVGQDLVHLGVARTSSGFLGGGTSTSTGSLRCKMDLDPASSSMDHFKTPDRKESGLQDDEKGDTHMYDERQPKRRKRTIMNDRQINEIEKALIDEPEMHKNAALLQAWSEKLSGQGSEITLNNRKAKLARIAKERGVLSEGENADKPSTPATPHHCDSSESAGEESYLPPARVMSALGISKGSRFVSPDGNETTSQAEFNQNIMLSRPFTRSFSFEPGRLVSLIDNDGKEVGRGKIFQVEGRLQGKALTDTRVCIVDVIELKIEKWRELPHPSEASGRTFQEAESRNGGVMRVAWDVIRLSPVVQ >ORUFI05G06270.1 pep chromosome:OR_W1943:5:4891024:4892238:1 gene:ORUFI05G06270 transcript:ORUFI05G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDLLLLLLLLSSSRLALAAAFGVWINGAASSSPQSQEYEALQALKAAVVEDPRGALTSWQGPNVCAYRGVYCSAPPDDAAASGAVVAGIDLNRANLRGTLPAAVSLLAHLTFLHLNSNRLAGQPPDSLRDLQYLTELDLSNNLFSGPFPAAALLIPSLVYLDLRFNAFSGGIPAEAFAKSSLDALFLNNNQFDGEIPETLWSSPATVITLANNRLTGPVPSAYGYGGRVREVLFLNNKLTGCIPEELGFLPTIEVLDLSYNSLSGHLPPTLSCLAGIEVLNIAHNQFTGELPDLVCDLKRITNLSVSFNFFSGISQHCDRLAGRSVFDFVGNCVPGRGLQRPPPECDGGQGDGGLSCLRSIPVTRPVPCAQASVSVGVGVGVIVGGAMPSFGAGGVVTVTVP >ORUFI05G06280.1 pep chromosome:OR_W1943:5:4894097:4900990:1 gene:ORUFI05G06280 transcript:ORUFI05G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVGLCGLSKSLSQASPTLVGRIVFRKVVRPITDWPIILQLGHSELRIHGRRAQLYAGGGDGEDHVTCGSARRQRRSISSLPPHDSEAQPSGERERSTARCAHANPVPLEGAGVNLKGWQQAAVAFGSAFGALLDPRRADLIATLGETTGKPAFHRVLQRMRNSAEGRDVLLERPRVISTQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFIDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPCSFLFVGGSARFNEKQRTLFFQHYFPWASKAGLKCTDLMSVYYEKHFHEDLEEVRRNWGIIPCPNPKRSSV >ORUFI05G06290.1 pep chromosome:OR_W1943:5:4903340:4903546:1 gene:ORUFI05G06290 transcript:ORUFI05G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARAVAGESGKWWAPLLGWSGKADYIEAPTPAKEKARELRARMAETESFHDAMYHSAIASRLAHSA >ORUFI05G06300.1 pep chromosome:OR_W1943:5:4905502:4907150:-1 gene:ORUFI05G06300 transcript:ORUFI05G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTARLLLLLTVAGFCLCHTTCQEAAPPPPPYCGSLRTAVEARNIIGWKTVPPPCAKYVADYITGERYGRDADVVINEAIAYAESLKLSGTGKEIWVFDVDDTALSTVPYQANHGYGVQPFDNQSFLKYVVQGSAPALQSTLRLYRRLLQLGIKPVFLTDRTEDQRTVTTNNLIKQGYCNWEKLVLQPVGLQTSTLAFKTCERQKLVNDGYIIVGNIGDQWNDIRRSPDGCRTFKFPNPMYYVD >ORUFI05G06310.1 pep chromosome:OR_W1943:5:4912951:4913479:1 gene:ORUFI05G06310 transcript:ORUFI05G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWLAEVAAAGTPPMAGGWLAEVAAAAAAAPSPLPDPAGGEAASSSPLDPAPGELVGRRRAPACQRRRRRNLAAAAAAPSPCGDDGDRGGQRWHGLFFEETPVAFLGDLDLDEYTSLLLSLSRIREVVMKGSRDGLEGGTAVECGW >ORUFI05G06320.1 pep chromosome:OR_W1943:5:4930913:4935633:-1 gene:ORUFI05G06320 transcript:ORUFI05G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDELPTEDVVVGDELRCGPWMGEEAAAGDEVWIQCGAWTGEDAAAGDDASTPRRPAPGSTAGDEGANRRGPATEWGRRRRAHRLAMQMEPRVGVNGGAVDGRRRCWSSRRQSDDHFTDNHVAGDGIGAPAEGAPAGHVDGALGSNLATTSPTATSLVAASSSPRAGLLPQPVPESPTATSSSQLRRSGSFLFGWERWMKGIPSAVV >ORUFI05G06330.1 pep chromosome:OR_W1943:5:4938859:4959894:-1 gene:ORUFI05G06330 transcript:ORUFI05G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRLLLLLTLSAAATAAPASAAARSRSRCHTLRQREDGESNRGDEYGNDKIFYETELPLPFLEFSQLPLVCGWGKLTSTAGNVMTLSTTVPARHQADVSNRSHRGPEGCHHPQISSSRATTQGYTTAGRSYCSNRLSSRPQLNRSRYLEISKQGDGNNGDVEAAPSGHTVAQRWAPASAAASAADEGAAAAAAYCGSVRTALIGGAEYHRSAGRRCRRTALATSPAGYMTSDRYGRDSDVVINEGIAYAESLKLSGNGKESIAIRPRELPPVNGRRECTRATGNATTLSMAALGIKPVFLTDRAENQRAITTHNLHLQGLLQLGEAIVPVGWTPDLNCLFKTSEQKKLVIAGYVIDGNIGDQWSNILGGPEGCRIFKYPNPINNTIKPPTPPPPPPACGSVRTAVEAHNIIGWKTFPADCAKYVADYITGDRYGRDSDVVINEAVAYAESLKLSGSGKEVWVFDVDETALSTPYDHANFLQYVAGGSAPALQGTLRLYQRLLQLGIKPVFLTDRTEDQIAITTHNLLSQGYSSWEKLLLQPIGLQTSTLAFKTSERKKLVDAGYVIIGNIGDQWSDILGSPEGCRTFKYPNPMYYVA >ORUFI05G06330.2 pep chromosome:OR_W1943:5:4938859:4959894:-1 gene:ORUFI05G06330 transcript:ORUFI05G06330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRLLLLLTLSAAATAAPASAAARSRSRCHTLRQREDGESNRGDEYGNDKIFYETELPLPFLEFSQLPLVCGWGKLTSTAGNVMTLSTTVPARHQADVSNRSHRGPEGCHHPQISSSRATTQGYTTAGRSYCSNRLSSRPQLNRSRYLEISKQGDGNNGDVEAAPSGHTVAQRWAPASAAASAADEGAAAAAAYCGSVRTALIGGAEYHRSAGRRCRRTALATSPAGYMTSDRYGRDSDVVINEGIAYAESLKLSGNGKEPYDHANFLQYVAGGSAPALQGTLRLYQRLLQLGIKPVFLTDRTEDQIAITTHNLLSQGYSSWEKLLLQPIGLQTSTLAFKTSERKKLVDAGYVIIGNIGDQWSDILGSPEGCRTFKYPNPMYYVA >ORUFI05G06340.1 pep chromosome:OR_W1943:5:4966335:4969190:1 gene:ORUFI05G06340 transcript:ORUFI05G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHVTRVFDRTCRNHKASTESKGCAWGEVIGQGAWAKPNDDNRRVRHQAHYPVKSSLWAEAIKQSKRPSPK >ORUFI05G06350.1 pep chromosome:OR_W1943:5:4977308:4978493:-1 gene:ORUFI05G06350 transcript:ORUFI05G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLLLVCLCHTTCQEAAPPPPPYCGSVRTAIEAHNIIGWKTFTADCAKYLADYLTGDRYPRDADVVINEAIAYAESLKLSGSGKEIWVFDVDETALSTLPYQANHGYGAPALQGTLRLYQRLLQLGVKPVFLTDRTEDQRTVTTNNLLSQGYCSWEKLLFQPVGLQTTTQAFKTDERQKLVDAGYVIVGNIGDQWTDILGSPEGCRTFKYPNPMYYVA >ORUFI05G06360.1 pep chromosome:OR_W1943:5:4996813:4997745:-1 gene:ORUFI05G06360 transcript:ORUFI05G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLILLLTVAAAAAGSCCFCSAQEVIVGGVGEQLATAPPAAPAPSPPPPYCGSVRTAVEAHNIIGWKTVPADCAEYVSDYLTGERYGRDSDVVINEAIAYAESLKLSGHGKEIWVFDVDETALSTLPYQAKHGYGTKPYDHASFVQYVAGGSAPALQGTLRLYRRLLQLGIKPVFLTDRTEDQRAVTTHNLLSQGYYSWEKLLLQPVGLQTTTQAFKTGERQKLVSAGYVIVGNIGDQWSDILGSPEGYRTFKYPNPIYYVA >ORUFI05G06370.1 pep chromosome:OR_W1943:5:5014880:5024941:1 gene:ORUFI05G06370 transcript:ORUFI05G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTNVEQSIPGSETHMMIMASDSTSSDDECSSNSKSDNKVADSDYANSISEEEETSDSDKYITKKISIYQKEKSAQNFNMTKINLEINYLDFKDFGIRNILIGPPPRISVWKGGMIKEYSKMDECKTGDFGKSYSIKAMEKLSYDAICSGARCIEQQGNNSNMSRSDALQQYHSKSFNSRLIDDISTVCKWFTREHSDTTYGKNAQLAAKPLKATQPISTQPDKEESNKDDLFVVPIYTIPAKKEVQPTKDLESNSTEFVIDIEGPYDVKDITGHTTDKTKFILMNYSNSSEDHKSQDPTQDESNNIKSANQPKFECLHHFDDKSKTNKSASLGHFLSQTTSGTNIMDIEHISMQVTQGRANFTANMGNRRLEGHHCDENEDQDDFAEFLYEEEPSDNINMVEQRAQPQINNEQSSPQTPLHINITSASTPATKQVIQISSQSSPDIGINSPRIAQMREPNQHAQTEERQYSMTRIIDSLNASGNCSGTRHNLYRPKRIVHLSKYKSSPYDNYTRHQTFSAAELNHYNNILSIVLMDNVKVTWSSLSKSLSPRGVVDTYVLNAYAKKIANDQNNKENEYRNFYFFHRISAGKEEDYENCARQTFTFARNKKPLHYYDLLIFPCLYDNHWFVFTVDIKGHHFVFLDSIYDENSKYHKKIQGLLILGLIAMWEEFSDVEKDFSKFDIQHPPITRQNNGHDCGIYAMKCMEWWNPRMHLKDMIRPEYIPNMSKQVANDLLFS >ORUFI05G06380.1 pep chromosome:OR_W1943:5:5028214:5028614:-1 gene:ORUFI05G06380 transcript:ORUFI05G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMVRAAGIAMSVVLFTDPAMAPRPVVEGTCSAGGLYRQMLPLWRRLATVVQGGWPDRDPRSRSSASSVEVGGVESSRRAGGVNNAGTIRWYLGASAVDALVYRVSEVKTLFRSDASNGDALGRRSTS >ORUFI05G06390.1 pep chromosome:OR_W1943:5:5030861:5031388:-1 gene:ORUFI05G06390 transcript:ORUFI05G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVDEVAVPLCVAFFLALKRGTWRMVGWAGHRRGAEASEFLDAYHATSSTDLAIFDHCWLSRFFLTLPDHRGQAHPGSNAARIPPKRVRRANAMLPAPSSSPKNHQNVDFFSSPLCSAQGTTAVPTTCLPVYTAGSPGSSTSRSSPAASQGRPTSSAVPPHSLARARVDKGQS >ORUFI05G06400.1 pep chromosome:OR_W1943:5:5036406:5040313:-1 gene:ORUFI05G06400 transcript:ORUFI05G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAARLLPLILFLTAVAVGCSAWEMNIRLPTERLAYGGGEAVVAPIIHALRPLLGSGRQLAARAGVACDSWRLGVEAHNVIDWRTVPAECEGYIGHYMLGEHYRRDFAVVVDEAVAYAETLKLAGNGKEIWVFDIDETSLSNLPYYAKHGFGATPYNATSFREYVAEGSAPALPETRRLYYRLLELGVKPVFLTGRTEDQRTITVTNLHRQGFSGWEKLLLKPAVHATGELQGSAVEYKSGERQKLQDAGFIIVGNIGDQWSDILGAPEGARTFKLPDPLYYIG >ORUFI05G06410.1 pep chromosome:OR_W1943:5:5043328:5043600:1 gene:ORUFI05G06410 transcript:ORUFI05G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEWVAGSEDGEAPAHGNPAPEWGEGCSTVALTPIVPLVSKPTARLLPLEQLLLDPDREKAAPMSRTRRSQAPGVEGAMAAGGDTAGW >ORUFI05G06420.1 pep chromosome:OR_W1943:5:5046258:5049255:-1 gene:ORUFI05G06420 transcript:ORUFI05G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARLLLLLFLTAAASAWEMNIRLPTERLAYGGGEAVVAPLIHALRPLLGSGGQLAARAGVACDSWRLAVEAHNLRGLRRPLHARRPLPPRLTVVVDEAIAYVDSLKLAGNGKEIWVFDIDETSLSNLPYFAKHGFGTTLYDDTCFREYVEGSGLALPETRRLYRRLLQLGVKPVFLTSRTEDERNITVTNLRRQGYSGWMKLLLKPAVHTAGELLGSVVAFKSGERQKLEDAGFTIVGNIGDQWSDILVYDSPIYIRRFKPAVHTAGELLGSVVAFKSGERQKLEDAGFTIVGNIGDQWSNILGAPEGARTFKLPDPLYYIG >ORUFI05G06430.1 pep chromosome:OR_W1943:5:5056947:5057216:-1 gene:ORUFI05G06430 transcript:ORUFI05G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMWSLSVMTSKAIGGEQEQWMHHAWALAPPLVLPPAPSSPLLLFHFLAEATALDGVARDDNNTSDLNGAAHKDELEGNILFSTAILL >ORUFI05G06440.1 pep chromosome:OR_W1943:5:5058623:5059024:1 gene:ORUFI05G06440 transcript:ORUFI05G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIESATANTVVLPVAEPDPNSSDDAAVAAAEEPVHGEAEEEDEDEMARFHHGRRSHHTIATIINRTSTRRTTTSRPTRLRPPWSGSAPDCRYRRSPPPQPPPLPAAIVPTATAPHRRRRHSGEEKGQGERVG >ORUFI05G06450.1 pep chromosome:OR_W1943:5:5061044:5062897:-1 gene:ORUFI05G06450 transcript:ORUFI05G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARLLLLLFLTAAASAWEMNIRLPTEMLNGGEAVVAPIIHALRPLLGSGGQLAARAGVACDSWRLGVEAHNVIGWKTVPARCEGYVGHYMLGGHYRRDSAVVIDEAIAYAESLQLSGNGKEIWVFDIDETSLSNLPYYAKHGFGATLYNDTSFREYVAEGSAPALPETRRLYRRLLQLGVKPVFLTGRTEDQRNITVTNLRRQGYSGWMELLLKPAVHAAGELQGSAVAYKSGERQKLEDAGFTILGNIGDQWSDILGTPEGARTFKLPDPMYYIG >ORUFI05G06460.1 pep chromosome:OR_W1943:5:5065096:5067589:-1 gene:ORUFI05G06460 transcript:ORUFI05G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHNSLQDDQQYCIKTHGVQGTQQIMSLNAWMRSDRSRAGRYYNYQKGQPYGAGANNVEIFTRVPLKNMMPASVPLKSSSLSLCHRQTLSRPPHHSVLFSPNGVKQRNQMTLLPLDLRAHLVKFVFFCAIRRAAIRAQGRGRCSGEARCGRRGEGADRAAVMVKERIARALRLYKEAAGGGGSGGGWMVQVWAPPFVLASQCHRLFQYRTVSLTRVFPVGGAAAADKQGLPARAFDTGTPEWTPNVQCYGSGEYARISYALIYDIQGSLFLPILDPDDASSPLAVHELVSTVLRLRGSGEVQGLLAVNQIVNPDETRAAMAELTSCHWHANMGEMQERRKRHGEGRADDRRRTVPPRRRRRRAKEVVGRQLEGWPVALSARLGGDGCDEGRGGQRGGAGVQQLGHARLGVGWE >ORUFI05G06470.1 pep chromosome:OR_W1943:5:5076412:5087690:-1 gene:ORUFI05G06470 transcript:ORUFI05G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEVSVSVSPTKKRKGESSALDGGEDDALAHPSRKKKMWLLPKEEVDWILAQCNEPICARFRELKRANPSLVPSPEEEKDEYTMLLYECTRESYEDEAKYAKFQAWVRGEYARKGFVEVDYDYFAKREEAIRLNEEAREEVLGHWSDRHHPSHTDLDDEDWKLMWRLPKEEVDWILAQFNEPVCAWFRELKRANPSLVPSPEEKDEYTMLLYKWTRDSYEDEAKFAKFQAWVRGEYARKGFVEVDYYYFAKREDAIRLNEEARVEMADVEVSVSSTKKRKAEEESSTVDGGLEEEHPPAKKMWLLPQEEVDWILAESNETVCTVYRELKRANPSLVPSPEEEKDESTMLLYTCARNAYEDDAKFAKFQAWVRDEYARKGFVEVDYDYFGERAEVFRLYDEAREEVMGHWDHPSDSDDDDEDWKLFIRAIRRTFV >ORUFI05G06480.1 pep chromosome:OR_W1943:5:5089824:5090078:-1 gene:ORUFI05G06480 transcript:ORUFI05G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDDHEGPLEGALEAAVLAGVCEITRNGGGGRGRGSGEGGGGGGGGGGGGGGGIESMAATTRVQAATATNLFQSSLTPRSSSF >ORUFI05G06490.1 pep chromosome:OR_W1943:5:5093226:5093735:-1 gene:ORUFI05G06490 transcript:ORUFI05G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVSVSPTKKRKAEEGSSAVDGGLEEEHPPAKKMWLLPKEEGDWILAQSNEPVPTEFRELKRANPSLVPSPEEEKDESTMLLYACVRKSYEEEAEFAELQALVRVEYARKGFVEVDYDYFGERAEVFRLNDEAQEEVMGHWDHPSDTDDDDEDWKLFIREIRRTFV >ORUFI05G06500.1 pep chromosome:OR_W1943:5:5107576:5108082:1 gene:ORUFI05G06500 transcript:ORUFI05G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGVEVSKKRKGESSALDGGEEDALAPPAKKKKMWLLPKEEVDWILAQSNEPVPTEFRELKRANPSLVPSPEEEKDESTMLLYACVRNSYEEEAKFAEFQAWVRGEYARKGFVEVDYDYFGERAEVSRLYDEAREEVMGHWDHPSDTDDDDEDWKLFIRAIRRTFV >ORUFI05G06510.1 pep chromosome:OR_W1943:5:5109562:5113406:-1 gene:ORUFI05G06510 transcript:ORUFI05G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSWEKHKLMVRWLRRFFDYLDRVYITWKSLHSLEHMGWIGFRDMVFDKLKSTLTTTVIGMINDERNGLLIDRALLKNVIHMCNKFGDSQLNSYPEYILKDTSDKGMALLKNGTDTAKSRKDTSDKGMALLKNGTDTAKSRKITSIIAFCKVQDEKMNMMPIDLLGGSNIINQILERAVEQTDVCHTPPKSCSTSLDHQKAEEFIGTSCRPHVVSESQEYYLVFLSLDLAEELEKMDEIYQDIRKRKRHSSRANVEHQWKLIEDHARKCGDIKKKIAAAGGCYQDIPSYMISFDDKKNGTSVYAMIGRSVHRAMHAHLGGVREEAAEPREVSCDGLRLSLPKKTGAEASRKRIWSRIRME >ORUFI05G06520.1 pep chromosome:OR_W1943:5:5114353:5118190:1 gene:ORUFI05G06520 transcript:ORUFI05G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEEARRTSTIAPRLPIFLPLPDLARGVEEWRGGGATVMLWSLAESPLSAASGKKSRSWIIALSEEKGKV >ORUFI05G06520.2 pep chromosome:OR_W1943:5:5114353:5115112:1 gene:ORUFI05G06520 transcript:ORUFI05G06520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLPSARRTSAPPTANRCHPWRRRGRARRSSPWLLTPRSSPSSSPSAFSPIASLVQLLLPSREALPL >ORUFI05G06530.1 pep chromosome:OR_W1943:5:5125076:5128267:-1 gene:ORUFI05G06530 transcript:ORUFI05G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGASGSIGMKHDDGDHRPITGASSRRCPSCGHDPDCNKPFDMVGMPAGVRFDPTDQELIEHLEAKVKDGGSTSHPLIDEFIHTIQGEDGICYTHPENLPGVTRDGLSKHFFHRSAKAYPTGTRKRRKASKGRNVAAAETRWHKTGKTRAITVRGQPKGCKKILVLYTSFGKKRKAEKTSWVMHQYHLGELDDEKEGELILSKVFYQTQTRSAAAAEAPVSSGAASMEVQGQQLQQQVLKLQADDGHFSSAPTKKRLHQDVVAQVKVDRGHHCMPAQRQVKFNLKVTPVPTTSSFPVVIDKQLEELQFISPKRQIGLTSAGLLTTKINMDNFLDSQ >ORUFI05G06530.2 pep chromosome:OR_W1943:5:5125076:5128267:-1 gene:ORUFI05G06530 transcript:ORUFI05G06530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGASGSIGMKHDDGDHRPITGASSRRCPSCGHDPDCNKPFDMVGMPAGVRFDPTDQELIEHLEAKVKDGGSTSHPLIDEFIHTIQGEDGICYTHPENLPGVTRDGLSKHFFHRSAKAYPTGTRKRRKVLADQQPDDQLPQASKGRNVAAAETRWHKTGKTRAITVRGQPKGCKKILVLYTSFGKKRKAEKTSWVMHQYHLGELDDEKEGELILSKVFYQTQTRSAAAAEAPVSSGAASMEVQGQQLQQQVLKLQADDGHFSSAPTKKRLHQDVVAQVKVDRGHHCMPAQRQVKFNLKVTPVPTTSSFPVVIDKQLEELQFISPKRQIGLTSAGLLTTKINMDNFLDSQ >ORUFI05G06540.1 pep chromosome:OR_W1943:5:5131202:5136101:-1 gene:ORUFI05G06540 transcript:ORUFI05G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPAARRRPPGPLALGLESSANKIGIGVVSLSGEILSNPRHTYVTPPGHGFLPRETAHHHLAHLLPLLRAALGEAGVTPADLACVCYTKGPGMGAPLQVAAAAARALSLLWGKPLVGVNHCVAHVEMGRAVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLELSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSFIEATAIEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDSKDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDDRYCIDNGAMIAYTGLLAYAHGMTTPLEESTFTQRFRTDEVHAIWREKEMPVLTNIRAHAMAEVSKDEASVPTPIAEIEYLERKPESRDMYFG >ORUFI05G06540.2 pep chromosome:OR_W1943:5:5131747:5136101:-1 gene:ORUFI05G06540 transcript:ORUFI05G06540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPAARRRPPGPLALGLESSANKIGIGVVSLSGEILSNPRHTYVTPPGHGFLPRETAHHHLAHLLPLLRAALGEAGVTPADLACVCYTKGPGMGAPLQVAAAAARALSLLWGKPLVGVNHCVAHVEMGRAVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLELSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSFIEATAIEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDSKDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDDRYCIDNGAMIAYTGLLAYAHGMTTPLEESTFTQRFRTDEVHAIWREKEMPVLTNIRAHAMAEVSKDEASVPTPIAVDS >ORUFI05G06550.1 pep chromosome:OR_W1943:5:5153394:5155805:1 gene:ORUFI05G06550 transcript:ORUFI05G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATVVAAPIPAADAAAKALQKKLLDLELPPFPAPAKKAAAKVVAAAPKKKLAGGAGGYVLEDVPHLTDYLPNLPSFPNPLQNHPAYSVVKQYFVNADDTVAKKIVVHKGSARGTHFRRAGPRQRVFFQPDEVSAAIVTCGGLCPGLNTVIRELVCGLHDMYGVTSVVGIEGGYRGFYARNTVELTPRSVNGIHKRGGTVLGTSRGGQDTGKIVDSIQDRGINQVYIIGGDGTQKGAATIHAEVQRRGLKCAVVGVPKTIDNDIAVIDRSFGFDTAVEEAQRAINAAHVEAESAENGVGVVKLMGRNSGFIAMYATLASRDVDLCLIPESPFYLEGKGGLLEFAEKRLRENGHMVIVVAEGAGQDVIARSMRLADAHDASGNKVLLDVGLWLCAKIKDHFKKKANFPITLKYIDPTYMIRAVPSNASDNVYCSLLAHSAIHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSLEDVEKAGQDDEEPIVPLVEGENSLVKAPPLLANAGDAPSATAPPEA >ORUFI05G06560.1 pep chromosome:OR_W1943:5:5156927:5157115:1 gene:ORUFI05G06560 transcript:ORUFI05G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGVLGWAVEHTSLSSGAAMGVVNMGTALARVHCRRVWWRSSIGLAGRLSPICRQRPPEK >ORUFI05G06570.1 pep chromosome:OR_W1943:5:5170448:5178136:1 gene:ORUFI05G06570 transcript:ORUFI05G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVRRWSRRAAAAAAVAAAVESAAAGAAVVEVERGIYKIYKEVHQRVLKIPRRAPLNN >ORUFI05G06580.1 pep chromosome:OR_W1943:5:5190136:5191530:-1 gene:ORUFI05G06580 transcript:ORUFI05G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRDTARVNPTAVSGGGLSGLYSRASSSPPLHHGGSRRRLRTNTLPRRSWRRGEELESKMMMMGEGAHAPPWQQHVASPVSGVEGGGGRESEVVAAPYHLLDALRHYLPSNEAAAAEDEEEAAAVAAAVDAYACDEFRMYEFKVRRCARGRSHDWTECPFAHPGEKARRRDPRRYCYSGTACPDFRKGGCKRGDACEFAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRVLPPSQQQGSNSPRGCGGGGAGAAASPLAESYDGSPLRRQAFESYLTKSIMSSSPTSTLVSPPRSPPSESPPLSPDAAGALRRGAWAGVGSPVNDVHVSLRQLRLGSPRSAPSCASFLPAGYQYGSPKSPAAAAAAALYSLPSTPTRLSPVTVTTASGATVTVEPLDLGLIEEEQPMERVESGRALREKVFERLSKEATVSTDAAAAAAGVAPDVGWVSDLIN >ORUFI05G06590.1 pep chromosome:OR_W1943:5:5198538:5198780:1 gene:ORUFI05G06590 transcript:ORUFI05G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRREVAAGGDGAAQRRQRRGEGRQWRHTAAASGASPPLDLAGGETVGSRGPCDEVPTGGEAVGSRSWHRAPPRQQR >ORUFI05G06600.1 pep chromosome:OR_W1943:5:5213852:5215169:-1 gene:ORUFI05G06600 transcript:ORUFI05G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSSCGNNGHNSRTCSGQRVLDHSISSSNSGSTTAAAATACGGLRLFGVQLQVGGGSSPLKKCLSMECLASPAYYGASASPSVSSSSSSLVSIEENTERVSNGYLSDGLMGRVQERKKGVPWTEEEHQMFLAGLDKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQNSMTQKKRRSSLFDVVEGIKRAAAMPISGSASELQIPGMSIGVGVVKEEVVLPPCLNLMSNSSSASQHSPSLTLLANPQVQLQMPDLELKMSTSRLSDQSGPSPSTPFFGTIRVT >ORUFI05G06610.1 pep chromosome:OR_W1943:5:5250287:5250670:1 gene:ORUFI05G06610 transcript:ORUFI05G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPVAAPPVPAMLAPASPVTGVSRRIQVQRVQFYTHHGWTALTDEPCSLPLEIDGVATGSARRHPATTNCSSTTFTIGNPAADKERPLPHSCTTGFRPDWHPRCVLWGAAPLHLRQYWYFFWSV >ORUFI05G06620.1 pep chromosome:OR_W1943:5:5252620:5259741:1 gene:ORUFI05G06620 transcript:ORUFI05G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTQGQIKSSMASHLGIMQGLGWSIASACEQQQEEDSLCFQANLIDLANILLLVVYLATLVIAGCEKRFLVAARWGGLRLRPPWLCLVASPCCAVLGVACVCLGALRSSSSSASAAAAVAVVRGVVWVFVAVSVVVRPTRLSSAVAMAWWAAMAAMRTAYGVEVVARGGSLPVLDVAAWGVSLVLLLCVFVVGRAGRRDDVAGGGDGETSTEPLLSARGGGERSSAFGEAGFLSRLLFTWMNPLLRLGYSKPLGLGDVPPLDADDEAAQACDTFLREWHRRRSATPGGGGEEKAASRLVFAVLAACYKKDLLLTALYTLLRTAAFGAMPVMLYSLVSYSYRRRERGLAAGMALIAALVVMKLVESLSQRHWFFGSRRLGMRMRSAAMAAVFEKQLRLSGEARRRNSAGEIVNYIAVDAYRLGEFPYWLHLAWSMPVQLALAVALLFWTVGAGALPGLVPVAACGVLNVPFAKLLQRYQSRFMAAQDERQRATAEALGAMKVVKLQSWEEFFRGNVQRLRDAEVRWLADAQVSKAYGSSLYWMSPTIISAVIFAGTAALRSAPLDAAVVFTILATLRVISEPMRMLPEVLSIMIQIKVSLDRIGKFLMEEEFRDDAVLPLPMPSSDMITMAINNGVFSWEPSKAIATLKSISIAAMQGEKIAVCGPVGAGKSSLLCAMLGEIPRMSGSVAMSGSIAYVPQTPWIQSGTVRDNILFGKPMNNEEYDRAIRCCALDKDMENFPHGDLTEIGQRGLNMSGGQKQRIQLARAVYNGADVYLLDDPFSAVDAHTAATLFNDCVMAALENKTVILVTHQVEFLSKVDKILVMENGEITQEGTYSELLQSGTAFEQLVNAHKDSKTILDTDDRREGAKELGAFQYQVPLIQQNSEAEISTGNLKSVQLTEEERRELGDIGLKPYKDYVSVSKGWFLLSMILVTQCAFFGLQCLATYWLAVAIQNQQFSAGVVIGVYAVMATVSCLFAYVRSLIAAHFGLKASREFFSRFMDSVFKAPMVFFDSTPTGRIMTRASSDLSILDFDIPFAMTFVISGSIEIATTIAIMILVTWQLVLVAIPVIVALLYIQRYYIASARELVRINGTTKAPVMNYAAESMLGVITIRAFAETKRFIQTNLQLIDTDATLFFYTNAALEWVLLRVEALQILVIVASSILLVLLPEGAVAPGFLGLCLSYALMLSSAQVFVTRFYSNLENYIISVERIKQFMHLPAEPPAVITDRRPPPSWPSAGRIELENLRVKYRRNAPTVLRGITCTFAAGHKIGVVGRTGSGKTTLLSTLFRLIDPYSGRILIDDLDICTIGLKDLRMKLSIIPQEPTLFRGSVRSNVDPLGLHTDEDIWEALNKCQLKKTISALPGLLESPVSDDGENWSAGQRQLFCLARVLLRRNKILVLDEATASIDSATDAVLQRVIKQEFSGCTVITIAHRVPTVTDSDMVMVLSYGKLIEYDRPSRLMENEDSAFCKLVAEYWSNYS >ORUFI05G06620.2 pep chromosome:OR_W1943:5:5252620:5259741:1 gene:ORUFI05G06620 transcript:ORUFI05G06620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTQGQIKSSMASHLGIMQGLGWSIASACEQQQEEDSLCFQANLIDLANILLLVVYLATLVIAGCEKRFLVAARWGGLRLRPPWLCLVASPCCAVLGVACVCLGALRSSSSSASAAAAVAVVRGVVWVFVAVSVVVRPTRLSSAVAMAWWAAMAAMRTAYGVEVVARGGSLPVLDVAAWGVSLVLLLCVFVVGRAGRRDDVAGGGDGETSTEPLLSARGGGERSSAFGEAGFLSRLLFTWMNPLLRLGYSKPLGLGDVPPLDADDEAAQACDTFLREWHRRRSATPGGGGEEKAASRLVFAVLAACYKKDLLLTALYTLLRTAAFGAMPVMLYSLVSYSYRRRERGLAAGMALIAALVVMKLVESLSQRHWFFGSRRLGMRMRSAAMAAVFEKQLRLSGEARRRNSAGEIVNYIAVDAYRLGEFPYWLHLAWSMPVQLALAVALLFWTVGAGALPGLVPVAACGVLNVPFAKLLQRYQSRFMAAQDERQRATAEALGAMKVVKLQSWEEFFRGNVQRLRDAEVRWLADAQVSKAYGSSLYWMSPTIISAVIFAGTAALRSAPLDAAVVFTILATLRVISEPMRMLPEVLSIMIQIKVSLDRIGKFLMEEEFRDDAVLPLPMPSSDMITMAINNGVFSWEPSKAIATLKSISIAAMQGEKIAVCGPVGAGKSSLLCAMLGEIPRMSGSVAMSGSIAYVPQTPWIQSGTVRDNILFGKPMNNEEYDRAIRCCALDKDMENFPHGDLTEIGQRGLNMSGGQKQRIQLARAVYNGADVYLLDDPFSAVDAHTAATLFNDCVMAALENKTVILVTHQVEFLSKVDKILVMENGEITQEGTYSELLQSGTAFEQLVNAHKDSKTILDTDDRREGAKELGAFQYQVPLIQQNSEAEISTGNLKSVQLTEEERRELGDIGLKPYKDYVSVSKGWFLLSMILVTQCAFFGLQCLATYWLAVAIQNQQFSAGVVIGVYAVMATVSCLFAYVRSLIAAHFGLKASREFFSRFMDSVFKAPMVFFDSTPTGRIMTRASSDLSILDFDIPFAMTFVISGSIEIATTIAIMILVTWQLVLVAIPVIVALLYIQRYYIASARELVRINGTTKAPVMNYAAESMLGVITIRAFAETKRFIQTNLQLIDTDATLFFYTNAALEWVLLRVEALQILVIVASSILLVLLPEGAVAPGFLGLCLSYALMLSSAQVFVTRFYSNLENYIISVERIKQFMHLPAEPPAVITDRRPPPSWPSAGRIELENLRVKYRRNAPTVLRGITCTFAAGHKIGVVGRTGSGKTTLLSTLFRLIDPYSGRILIDDLDICTIGLKDLRMKLSIIPQEPTLFRGSVRSNVDPLGLHTDEDIWEALNKCQLKKTISALPGLLESPVSDDGENWSAGQRQLFCLARVLLRRNKILVLDEATASIDSATDAVLQRVIKQEFSGCTVITIAHRVPTVTDSDMVMVLSYGKLIEYDRPSRLMENEDSAFCKLVAEYWSNYS >ORUFI05G06630.1 pep chromosome:OR_W1943:5:5259263:5261739:-1 gene:ORUFI05G06630 transcript:ORUFI05G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSGSGSGSVSVDVERISFGGKEHQVRTTCGSLSVAIYGDEDKPALITYPDIALNHMSCFQGLLFCPEVASLLLHNFCIYHINPQGHELGAAPIPSDVPVPSVEDLADQVADVLDFFGLGSVMCLGVTAGAYVLTLFATKYRERVIGLMLVSPLCRAPSWSEWLYNKVLLNLIYYYGTRGLVKECLLQRYFSKKVCGSGHYLESDIVQACRNLLDERQGENIWRRHDLTDALRKLQCRTLIFVGENSQFHEDAIHMTTKLDKRYCALVEVQGCGSLVTEEQPHAMLMPMEYFLMGYGLYRPYQMNSSPRSPLSPCCISPELLSPESMGVKLKPIKTRIAVDY >ORUFI05G06630.2 pep chromosome:OR_W1943:5:5259263:5261739:-1 gene:ORUFI05G06630 transcript:ORUFI05G06630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSGSGSGSVSVDVERISFGGKEHQVRTTCGSLSVAIYGDEDKPALITYPDIALNHMSCFQGLLFCPEVASLLLHNFCIYHINPQGHELGAAPIPSDVPVPSVEDLADQVADVLDFFGLGSVMCLGVTAGAYVLTLFATKYRERVIGLMLVSPLCRAPSWSEWLYNKVLLNLIYYYGTRGLVKECLLQRYFSKKVCGSGHYLESDIVQACRNLLDERQGENIWRFLHSINEYALPPLILFPSQWEYFISWSSYKLHSIRRHDLTDALRKLQCRTLIFVGENSQFHEDAIHMTTKLDKRYCALVEVQGCGSLVTEEQPHAMLMPMEYFLMGYGLYRPYQMNSSPRSPLSPCCISPELLSPESMGVKLKPIKTRIAVDY >ORUFI05G06640.1 pep chromosome:OR_W1943:5:5268089:5269280:-1 gene:ORUFI05G06640 transcript:ORUFI05G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLLGGGGTGQIHATRPDLAVEQLVAGLSGAAVVSGGGGSGVEALAATEETARSAPGSGGTTVGGGIWWRRWRRRQGRRGDGIGKVNLADGGGDEFAATAARATTAGCLRRVSSELDDGDKVREDDEMAPGMEGLQRLRWWRRRWRGDGVSEANLATPAADLVPEEFGGHGDGGDEFAATTARATTFLAAGQRATLENPI >ORUFI05G06650.1 pep chromosome:OR_W1943:5:5271692:5273001:-1 gene:ORUFI05G06650 transcript:ORUFI05G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEQNHIHLPSKKKKRKISANLLFLVLRMVKDGLPRMVEDGLVSHYELFLCLQMDDTLTTGSIRSTEGEKRRLAEASLAYNCESCHVISDTSSHMCQDNHVTCHVGRHVAIICAV >ORUFI05G06660.1 pep chromosome:OR_W1943:5:5282856:5291729:1 gene:ORUFI05G06660 transcript:ORUFI05G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYKAACPCVNCASVPVPVPVLVPNKRRAKFPLVVSSLACATADPPSIPPRRRRPEQRGVRLAAAAAAAASAASPAAPHRIYPVMMGVTTTLNEDTEPSIPPGFGPFATLPLWGIHNDAKPAVTHSTPVQALQSIRKDSEECQPSAAVSRSDTPCSTSGTQTCRKSLRNRPPIDYSRFEHISDEDSDVEIVEKVIAKWNPAGARRPALDEAPVFYPTEEEFEDTLKYIESIRPMAEPYGICRIVPPSSWKPPCLLKDKSIWEGSKFSTRVQKVDKLQNRKSSKKGRRGGMMKRRKLAESEENSATAHTQTGMQQSPERFGFEPGPEFTLQTFQKYADDFSKQYFRKDTSMDSVPSVEDIEGEYWRIVEVPTEEIEVIYGADLETGTFGSGFPKLSPETKSDAEDKYAQSGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKLWYGVPGKDAVNLESAMRKHLPELFEEQPDLLHNLVTQFSPSLLKSEGVHVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPIGHNAVELYREQARKITISHDKLLLGAAREAIRAQWDILFLKRNTADNMRWKSICGADSTIFKALKARIETELVQRKTLGVPAQSRKMDAEFDSIDRECALCYYDLHLSASGCPCCPEKYACLVHAKQLCSCDWDKRFFLFRYDVNELNILADALGGKLSAIHRWGVSDLGLSLSSCVKREKVQDSKTVRRLTDGPRRSYMSQASAVSLVSSSTSNEQKDEGNKIMKIASPQTNNVCPSVEQRKSENISPLKEPCVRNELSCTTNSDSNGLQYNGGLGGHKGSAPGLPVSSSPSFSSNVATRPISTSSVSMKIVQGLVASKSCIQASSRTGDSRSLLGEHHNRSPAMIHDGTNMKSSLESSNNSCRLIASDYNATPCHSSKDQVLVTPGTNASVVTLKDSSQVHSASSQQFVRTGPWTQSASHEASSPSTSALKPSLDPPAMKNLYGGFTQGSAHPGPPSFSNQQPNDGRLQRTSESLPGVEARARGHPTVTAQPALEIHSRNGGAQKGPRIANVVHRFKCSVEPLEIGVVLSGRLWSSSQAIFPKGFRSRVKYFSIVDPIQMAYYISEILDAGMQGPLFMVKLENCPGEVFINLSPTKCWNMVRERLNMEIRRQLNMGKSNLPTLQPPGSVDGLEMFGLLSPPIVQAIWARDRDHICTEYWRSRPHVLIEDPNNRHMLSQGPPLLALRGLIQRANRDELQVLRSLMTNSNNLDDSSRQQAAHIIEEEIAKQLC >ORUFI05G06670.1 pep chromosome:OR_W1943:5:5299322:5301062:-1 gene:ORUFI05G06670 transcript:ORUFI05G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRMLSSPEPTLSTMAMSAAHGEDSPYFAGWRAYDEDPYDPITNPQGVIQMGLAENQVSFDLLEEYMREHPEASDCGAGFRENALFQDYHGLKSFRKAMASFMETIRGGKARFDPDRVVLTAGATAANELLTFILADPGDALLVPTPYYPGFDRDLRWRTGVNIVPVSCDSAAGFQVTAGALRAAYDEAVAAGTRVRGVLITNPSNPLGTTAARGVLEGILDFVARHDMHLISDEIYSGSVFAAPDLVSVAELVDERRRARGGAADAEDIARRVHVVYSLSKDLGLPGFRVGVVYSYNDAVVAAARRMSSFTLVSSQTQRTLAAMLSDAAFAAAYVRSNRDRLRERHARAVAGLRRAGVACLRGANAGLFVWVDMRRLLGDGEATVAGELRLWRRVVAEAKLNISPGSSCHCREPGWFRVCFANMSLETLDVALHRLGCFIKKWEQEQHEN >ORUFI05G06680.1 pep chromosome:OR_W1943:5:5305765:5307599:-1 gene:ORUFI05G06680 transcript:ORUFI05G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEDEEGILASVEEGEMGIGYSRGCQRKRKRKEERYMGNIISFYLPKMKGNDTFASEGDKLSTLRVKRELFHDYKLAYMHIVLIGVSRNGTKRLHAVHEFQVKLS >ORUFI05G06690.1 pep chromosome:OR_W1943:5:5309961:5310934:1 gene:ORUFI05G06690 transcript:ORUFI05G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMDHGGGGAGLLAGGAAAVLTTMHGRELSHSSCSFSSAAASLSSPSSSTSSRSYHAAMSGKSLSCESIPEIMDKQSSFSSSASSYESFIQLEAADLDRITAAAAATRAPAVQTMMASHEQQQLAVAGGSGGYDPKRLPSSIFRTGSTSSGGGGGGGDWSVASNDSLFSINLRHSGDLSARYNSSNHSSSGDLFYDASGGGFHRIPSSTSAAAAAAAGAFACTTIAAGKNRKSVRFAPDAEIVSGEITNPSAVFPTEAAAPATEGKEAAKSPDAAAQGGWCLFRCCWPSPPSVWWPRCGCGGGCGVFCCGGENCRC >ORUFI05G06700.1 pep chromosome:OR_W1943:5:5316682:5323552:1 gene:ORUFI05G06700 transcript:ORUFI05G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSDGDGDGGGGEAHAPAAPAHHHRRPPRPRGGSGAIVEGFAAALRRRIRSGAAAAARASFGGDSGDEAASGEPSSSSSSSPSRRRGGDSNGAEASSAAGGGGGRGGGGDFSAFTFRAAAPVHRKAKESPLSSDAIFKQSHAGLFNLCIVVLVAVNSRLIIENLMKYGLLIRAGFWFNDKSLRDWPLLILSLPAFPLGAFAVEKLAFNNVITDAVATCLHIFLSTTEIVYPVLVILKCDSAVLSGFLLIFIACIVWLKLVSFAHTNHDIRQLTMGGKKVDNELSTVDMDNLQPPTLGNLIYFMMAPTLCYQPSYPRTSCVRKGWLIRQIILYLIFTGLQGFIIEQYINPIVVNSQHPLKGGLLNAVETVLKLSLPNVYLWLCMFYAFFHLWLSILAEILRFGDREFYKDWWNAKTIDEEVAVLISFLVSAVLHEICVAVPCRILKFWAFLGIMLQIPLIVLTAYLKSKFRDTMVGNMIFWFFFCIYGQPMCLLLYYHDVMNRIEKAR >ORUFI05G06710.1 pep chromosome:OR_W1943:5:5328837:5329301:-1 gene:ORUFI05G06710 transcript:ORUFI05G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGCIWRARERWEECSEASSVRRGTVDGSEAGVMRGGVAGSGGSRLGARKRGQRWEADLVRGGVANGGRGWPGAVRCGQWWRHARCEEEELPMGAVRSTTHRGRPAGGADAVVPHVGRGWMVVEHRGASRRLAGGERRVKTQPGLGRACNDDT >ORUFI05G06720.1 pep chromosome:OR_W1943:5:5329454:5329747:-1 gene:ORUFI05G06720 transcript:ORUFI05G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGLHVAQPGGHGCSVPDGREDGDGDGSEDDDACGDGDDATAAARLDPAVPCLDLAPPRWIQPEDVPALGEAGGRWLQLEEVAGMARVADGSSGG >ORUFI05G06730.1 pep chromosome:OR_W1943:5:5330812:5331581:1 gene:ORUFI05G06730 transcript:ORUFI05G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVGRSLLLLLLLVTLAAGHGVVVVVAFDPNPLQDFCVADPTSKVRVNGLPCKDPAALTADDFFFSGVGEPAAGGGRGATASRRYGFTARSVDIPGLNTLGASAARVDVAPGGVFPPHYHPRASETAVVLAGAVYFGFVTSYPDSRVVAKVLRRGDVFAVPQGLVHFLHNNGSEPAALYASLSSQNPGLVLVADALLAAPLPVDLVAKTLLTDEATVDKIRANFIVHRS >ORUFI05G06750.1 pep chromosome:OR_W1943:5:5353324:5353820:-1 gene:ORUFI05G06750 transcript:ORUFI05G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGARGHADGQRGDGGRGVEVDGGREEIELSGELWEGAGVGIGILLLLLPHRLGDKELGNANAEESGHGHRPVLGVPLGALVELAGGALHDGNHHGGCQRHDDKRRLRNADERGGGMGGDGGGSPPLR >ORUFI05G06760.1 pep chromosome:OR_W1943:5:5357734:5359758:1 gene:ORUFI05G06760 transcript:ORUFI05G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGTTGDAHTVLPLQLRQSPFLPDANDNPNFDEGLMNWRSRLGLRRGRRGVRGGGKKVTELRGMVVEYRGLVVGGGTSATEAVGSASRC >ORUFI05G06770.1 pep chromosome:OR_W1943:5:5369218:5370349:1 gene:ORUFI05G06770 transcript:ORUFI05G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGPLGRGVLGPRPAPSPFAGAALAGPSGGPLPGQVYPAPVPYQTAAGLGQQAQLGGVGQFGPSQPPTSVALQQPLGWFGGAPSQWDQASLAGSFNTTTLHQPATNDWYMDTGATAHMTSDTGLHIQRSSACDLAVYSDADWAGCPDTRRSTSGYA >ORUFI05G06780.1 pep chromosome:OR_W1943:5:5377378:5382370:1 gene:ORUFI05G06780 transcript:ORUFI05G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDGISDVEIEELGNSMKDKLKKYMSLDTVHADGSEFCLIPRIHEHIRMIDRDSYEPLILSIGPYHNGSSALSFMERKKWNCLDYILKLNCQKGLKDYLTIINRLEKRARSCYSGDIKMNKRKFLQTLLLDGCFVLVSLSQYNEFLWPDSLRYIPSPSNDKTFEGALSFGDHQKVTGRNESQQVNKGKQSAMKSTQLDHDRHSMEEYSISDIELSSEISGQYQDPSQQIGQWYDMFVPHDLLLLENQISLFVIQGIHEIVVSKLASKLTTTTALRRSIVQCIEQFVPCYPKAIRESNRPKDFDHLLHLCHMYVRPSPNQDEHHGHTGHHIRHFLQLGWDYLHLTYKQEAANLGSSQNGHFPYRWRRASQYHEAGIEFRRRAYSESNRHSLLDIKLRDAVLEIPFLLVDESTSFLFRNFVALEQTCPKVGNDVTAYVIFMAKLMNMPDDVALLARKGIIAHHLRTDRDVSQLFTKLTKGVVFDFYGNYYLMPLSLALEAHYQNRLHRWIAWLKHNHLSNPWLAVAGLAGVIVLFCTVAQTVLTVLSYVDPR >ORUFI05G06780.2 pep chromosome:OR_W1943:5:5377378:5382370:1 gene:ORUFI05G06780 transcript:ORUFI05G06780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDGISDVEIEELGNSMKDKLKKYMSLDTVHADGSEFCLIPRIHEHIRMIDRDSYEPLILSIGPYHNGSSALSFMERKKWNCLDYILKLNCQKGLKDYLTIINRLEKRARSCYSGDIKMNKRKFLQTLLLDGCFVLVSLSQYNEFLWPDSLRYIPSPSNDKTFEGALSFGDHQKVTGRNESQQVNKGKQSAMKSTQLDHDRHSMEEYSISDIELSSEISGQYQDPSQQIGQWYDMFVPHDLLLLENQISLFVIQGIHEIVVSKLASKLTTTTALRRSIVQCIEQFVPCYPKAIRESNRPKDFDHLLHLCHMYVRPSPNQDEHHGHTGHHIRHFLQLGWDYLHLTYKQEAANLGSSQNGHFPYRWRRASQYHEAGIEFRRRAYSESNRHSLLDIKLRDAVLEIPFLLVDESTSFLFRNFVALEQTCPKVGNDVTAYVIFMAKLMNMPDDVALLARKGIIAHHLRTDRDVSQLFTKLTKGVVFDFYGNYYLMPLSLALEAHYQNRLHRWIAWLKHNHLSNPWLAVAGLAGVIVLFCTVAQTVLTVLSYVDPR >ORUFI05G06780.3 pep chromosome:OR_W1943:5:5377378:5382370:1 gene:ORUFI05G06780 transcript:ORUFI05G06780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDGISDVEIEELGNSMKDKLKKYMSLDTVHADGSEFCLIPRIHEHIRMIDRDSYEPLILSIGPYHNGSSALSFMERKKWNCLDYILKLNCQKGLKDYLTIINRLEKRARSCYSGDIKMNKRKFLQTLLLDGCFVLVSLSQYNEFLWPDSLRYIPSPSNDKTFEGALSFGDHQKVTGRNESQQVNKGKQSAMKSTQLDHDRHSMEEYSISDIELSSEISGQYQDPSQQIGQWYDMFVPHDLLLLENQISLFVIQGIHEIVVSKLASKLTTTTALRRSIVQCIEQFVPCYPKAIRESNRPKDFDHLLHLCHMYVRPSPNQDEHHGHTGHHIRHFLQLGWDYLHLTYKQEAANLGSSQNGHFPYRWRRASQYHEAGIEFRRRAYSESNRHSLLDIKLRDAVLEIPFLLVDESTSFLFRNFVALEQTCPKVGNDVTAYVIFMAKLMNMPDDVALLARKGIIAHHLRTDRDVSQLFTKLTKGVVFDFYGNYYLMPLSLALEAHYQNRLHRWIAWLKHNHLSNPWLAVAGLAGVIVLFCTVAQTVLTVLSYVDPR >ORUFI05G06780.4 pep chromosome:OR_W1943:5:5378027:5381350:1 gene:ORUFI05G06780 transcript:ORUFI05G06780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDGISDVEIEELGNSMKDKLKKYMSLDTVHADGSEFCLIPRIHEHIRMIDRDSYEPLILSIGPYHNGSSALSFMERKKWNCLDYILKLNCQKGLKDYLTIINRLEKRARSCYSGDIKMNKRKFLQTLLLDGCFVLVSLSQYNEFLWPDSLRYIPSPSNDKTFEGALSFGDHQKVTGRNESQQVNKGKQSAMKSTQLDHDRHSMEEYSISDIELSSEISGQYQDPSQQIGQWYDMFVPHDLLLLENQISLFVIQGIHEIVVSKLASKLTTTTALRRSIVQCIEQFVPCYPKAIRESNRPKDFDHLLHLCHMYVRPSPNQDEHHGHTGHHIRHFLQLGWDYLHLTYKQEAANLGSSQNGHFPYRWRRASQYHEAGIEFRRRAYSESNRHSLLDIKLRDAVLEIPFLLVDESTSFLFRNFVALEQTCPKVGNDVTAYVIFMAKLMNMPDDVALLARKGIIAHHLRTDRDVSQLFTKLTKGVVFDFYGNYYLMPLSLALEAHYQNRLHRWIAWLKHNHLSNPWLAVAGLAGVIVLFCTVAQTVLTVLSYVDPRKHECTFKSATTLQCDHVIYDASVPILGVSLFYRCFINLFSSFLIPQGAFDKEGYPELLHFHAFNLVNPFGAYSQRTFQTGLLHSSTMGLNILICPLITGAMQVLISHISNRAYYDMLGN >ORUFI05G06780.5 pep chromosome:OR_W1943:5:5377378:5382370:1 gene:ORUFI05G06780 transcript:ORUFI05G06780.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDGISDVEIEELGNSMKDKLKKYMSLDTVHADGSEFCLIPRIHEHIRMIDRDSYEPLILSIGPYHNGSSALSFMERKKWNCLDYILKLNCQKGLKDYLTIINRLEKRARSCYSGDIKMNKRKFLQTLLLDGCFVLVSLSQYNEFLWPDSLRYIPSPSNDKTFEGALSFGDHQKVTGRNESQQVNKGKQSAMKSTQLDHDRHSMEEYSISDIELSSEISGQYQDPSQQIGQWYDMFVPHDLLLLENQISLFVIQGIHEIVVSKLASKLTTTTALRRSIVQCIEQFVPCYPKAIRESNRPKDFDHLLHLCHMYVRPSPNQDEHHGHTGHHIRHFLQLGWDYLHLTYKQEAANLGSSQNGHFPYRWRRASQYHEAGIEFRRRAYSESNRHSLLDIKLRDAVLEIPFLLVDESTSFLFRNFVALEQTCPKVGNDVTAYVIFMAKLMNMPDDVALLARKGIIAHHLRTDRDVSQLFTKLTKGVVFDFYGNYYLMPLSLALEAHYQNRLHRWIAWLKHNHLSNPWLAVAGLAGVIVLFCTVAQTVLTVLSYVDPR >ORUFI05G06780.6 pep chromosome:OR_W1943:5:5377662:5382370:1 gene:ORUFI05G06780 transcript:ORUFI05G06780.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDGISDVEIEELGNSMKDKLKKYMSLDTVHADGSEFCLIPRIHEHIRMIDRDSYEPLILSIGPYHNGSSALSFMERKKWNCLDYILKLNCQKGLKDYLTIINRLEKRARSCYSGDIKMNKRKFLQTLLLDGCFVLVSLSQYNEFLWPDSLRYIPSPSNDKTFEGALSFGDHQKVTGRNESQQVNKGKQSAMKSTQLDHDRHSMEEYSISDIELSSEISGQYQDPSQQIGQWYDMFVPHDLLLLENQISLFVIQGIHEIVVSKLASKLTTTTALRRSIVQCIEQFVPCYPKAIRESNRPKDFDHLLHLCHMYVRPSPNQDEHHGHTGHHIRHFLQLGWDYLHLTYKQEAANLGSSQNGHFPYRWRRASQYHEAGIEFRRRAYSESNRHSLLDIKLRDAVLEIPFLLVDESTSFLFRNFVALEQTCPKVGNDVTAYVIFMAKLMNMPDDVALLARKGIIAHHLRTDRDVSQLFTKLTKGVVFDFYGNYYLMPLSLALEAHYQNRLHRWIAWLKHNHLSNPWLAVAGLAGVIVLFCTVAQTVLTVLSYVDPR >ORUFI05G06780.7 pep chromosome:OR_W1943:5:5381503:5382370:1 gene:ORUFI05G06780 transcript:ORUFI05G06780.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTVALVLAVLFLLIADLMIASALGRDVLDLPEDSNISKRHIKRSHSGSSMNGQKPGFSEDKGFVLLKPTTRPIYLPPCASTASLHPSRGTTREKLC >ORUFI05G06790.1 pep chromosome:OR_W1943:5:5388286:5391697:-1 gene:ORUFI05G06790 transcript:ORUFI05G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEQYDNTDDVDIDELAGSMRADLNRRIALNEYRGNGNKCCLICKINDRNAYEPSVLSIGPYHHSELPLLAMETEKWICVDYILKLNHHVSLREYPSLLSGLEKQVRGCYSEDIDMDSKEFLQMLLLDSCFILVYLGGMQGICRAKDTHEASVDGHGIQEDSDTVEWYNSSAVYDLLLLENQIPFFVIRAIYQLFSRDTMATTPLLTSDISEFMEGILYHFPKAITEANRPVDFYHLLHLCRMYLKPGHKVEDKQHHGHAGKSHYFDYISDFGQKVFCVGQEQNMLYELSKLNSPEHVYRWRRAVDYHEAGIEFKKRDFHEEDPHSLLDIRFRKGVMEIPCLPIDDKSSLLFRNVVALEQTCPQVGDDITAYIVLMSEFVSTAADVALLAQKGIIVHQMESDEEVSTLFTKLFEYVAFDFRGVHYLKSLYCVMEAHYQSRLNRWNAWLWHNHFSNPWLGFAAITSAFIFNDLFGDLYSYTPCDTLIPIVIQSPV >ORUFI05G06800.1 pep chromosome:OR_W1943:5:5419095:5420090:1 gene:ORUFI05G06800 transcript:ORUFI05G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRREHARRRRRELRGGRGRAVVDEGGRRRRDAGADAVPVCTKILRGRWVPFAVSVALVMVIGWYYGRQRKTEMRRLLAAMGAAEEAAASAAAAAAVPALFVGGAPVGGLDGLMGLHLSGRLVPRLREVGALCG >ORUFI05G06810.1 pep chromosome:OR_W1943:5:5423720:5427169:1 gene:ORUFI05G06810 transcript:ORUFI05G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFVQFLRRGNGLMAASLAAGSCAEEVAKAEGAGCRDDAAALRLKGVAMATILVAGVVGVGLPLAGRKRRALRTDSAAFVAAKAFAAGVILATGFVHMLHDAEHALSSPCLPAHPWRSFPFPGFVAMSAALATLVLDFLATRFYEGKHRAETERVKAAAAAALAASSASDDDITVVTVTEDDNDNKAPLLQPHSHSHSHPHGHGHGHELAQPEGSGGEGEVPAQVRSVVVSQILEMGIVSHSVIIGLSLGVSRSPCTIRPLVAALSFHQFFEGFALGGCIAQAQFKTLSAAIMACFFAITTPAGIAAGAGVASFYNANSPRALVVEGILDSVSAGILIYMSLVDLIAADFLGGKMTGSTRQQVMAYIALFLGALSMSSLAIWA >ORUFI05G06820.1 pep chromosome:OR_W1943:5:5463452:5466054:1 gene:ORUFI05G06820 transcript:ORUFI05G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKVQNAGNRRSTLLGLDDKLREKSKRISRSDSHRFNQLLEGIDGGDLRRRADAAVARRPCSSRIAPPPVRPSTASPGTGASPTAARAASPRRPARPASTTSRGSRHSLLYRKLNILLQVLTLVSILLRSLRRRDESWPAISIGRAEQGRGSCDVPMAQFIVNLNASMPASDKFILHMLDPTHMFVQPHVAEMIRGKISEFRDQNSYEKPT >ORUFI05G06830.1 pep chromosome:OR_W1943:5:5478090:5478710:-1 gene:ORUFI05G06830 transcript:ORUFI05G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAGAARKRKRRGEGKPRPKATKGGSKAKPKGKAAAAAAAAAAAEEAAAAVEKVAAEPGVVVEEEEEEDYAEGITEESIAEVMSWLELEIKLASSAAAAGAAATPAPFAPPPPPPPAAGGGGYMPAAKGVNTSNMEGSCGASFSVSASTVMASVDLRAGAPPPPPLPWPLPGHGGGATAAAAAEEAVDDDEWVDQLLTDGPAME >ORUFI05G06840.1 pep chromosome:OR_W1943:5:5486454:5486870:1 gene:ORUFI05G06840 transcript:ORUFI05G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEERRHRCRCRLRRETAASPPAGCGRGEGAVATATAGQPPSGSHCIRQAIASLASPPPPPGSRGRPSPPLPSDLAEGRTRQPPASGARRHHRAAAGDRRLPFCRIWRRGGCGSRHLCQASARLGRPPPPPGSLRR >ORUFI05G06850.1 pep chromosome:OR_W1943:5:5493784:5494665:-1 gene:ORUFI05G06850 transcript:ORUFI05G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPAAKPNPPPAMANAGGGGATASFPAPKSQMYQRPIYRPQAAAAKRRRGRSCRCSFCCCFCWALLVVILLALVAAVAGGAFYLLYRPHRPSFTVSSVKLTALNLSSSPTSPSLTDSIQLTVTAKNPNKKVVYLYDDFSFSASTAANAVPLGAATSPGFTHDAGNTTVFTATIAANAVAVDPAAAASDIKKSGAFSVAVDAETRAGVRVGSLKTKKIGIQVHCEGIKVTPPPPAALPRPKAVKGKNGTVLAPAPAPADSDTAATTAATVSTAAHSCKVRVRVKIWKWTF >ORUFI05G06860.1 pep chromosome:OR_W1943:5:5518278:5523019:-1 gene:ORUFI05G06860 transcript:ORUFI05G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHHRHHHHSAAVAVAVADDDDEAKPRRPYSTFASPRAPTSAFSAAFSTHRLLVLFSVACLLVAAASLAFAFSARAATLQPPPLAAVAEATAKVAFRCGRAEDTLRAFLASSSGNYSSAAEGREREKVLAVVGVHTEIGSAARRAALRATWFPPKPEGIVSLEHGTGLSFRFVVGRTKDKEKMADLQKEVDMYHDFLFVDAEEDTKPPQKMLAFFKAAYDMFDADFYVKADDAIYLRPGNEYFSHASGLLYALSSEVVGSLAATNNDSLRMFDYEDVTIGSWMLAMNVKHEDNRAMCDSACTPTSIAVWDSKKCSNSCNTTEIVKALHNTTLCSKSPTLPPENQNPAYVRSE >ORUFI05G06860.2 pep chromosome:OR_W1943:5:5518762:5523019:-1 gene:ORUFI05G06860 transcript:ORUFI05G06860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHHRHHHHSAAVAVAVADDDDEAKPRRPYSTFASPRAPTSAFSAAFSTHRLLVLFSVACLLVAAASLAFAFSARAATLQPPPLAAVAEATAKVAFRCGRAEDTLRAFLASSSGNYSSAAEGREREKVLAVVGVHTEIGSAARRAALRATWFPPKPEGIVSLEHGTGLSFRFVVGRTKDKEKMADLQKEVDMYHDFLFVDAEEDTKPPQKMLAFFKAAYDMFDADFYVKADDAIYLRPGNEYFSHASGLLYALSSEVVGSLAATNNDSLRMFDYEDVTIGSWMLAMNVKHEDNRAMCDSACTPTSIAVWDSKKCSNSCNTTEIVKALHNTTLCSKSPTLPPEVEDE >ORUFI05G06870.1 pep chromosome:OR_W1943:5:5533854:5535820:1 gene:ORUFI05G06870 transcript:ORUFI05G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSWMLKAAAAGDMHGGAGDTMIRCSWSDMATTDQLLRHHEQEPAMTMMMNSQSQAMQQQLSQAIFMINSSCLLLIYMLMDMEEHDHQYATPPSPSSSSFRSFSAGTTTTTTSRDDNSSLMLAAAAASCHHQTTEVSSQILLPRPGQAARRSSGGHGAAAAATAFRPYSRYLGPKKHLLRRPGAATTATGGGGGQRAFKKAISVLSKIHAARLAQYYQIMEMAARASPAATAGGGGGENQQLQLQHVLSERKRREKLNDSFKALRDVLPPATKKDKASVLMRAKDYVNVLKARIAELEEKNRKLSESQQLHAGDGDGERDDGPDDDKIEVNTSRSAADQGSSPNKCQELHLKIVLGSSSGCSAMDAVAGILQGLNEKRDVSLLATGHNSSSSSSSGRRRLLPRAKSSQQPAGTF >ORUFI05G06880.1 pep chromosome:OR_W1943:5:5559267:5562885:-1 gene:ORUFI05G06880 transcript:ORUFI05G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLPLPRRLVVTASTPACSSASSSTSPSPHCLLSRANPRPPRLAAPSPPRHRRLKAHAAVSDKSEQPKWWEKNAGPNMIDIHSTQEFLDALRDAGDRLVIVEFYGTWCGSCRALFPRLCRTAVENPDILFLKVNFDENKPMCKRLNVKVLPYFHFYRGADGQLEAFSCSLAKFQKLKDAIAVHNTARCSIGPPVGVGDVLDSPEEKPAEASPR >ORUFI05G06890.1 pep chromosome:OR_W1943:5:5565284:5572383:1 gene:ORUFI05G06890 transcript:ORUFI05G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPARDSPRSSLLSPCAFSLLLASPESRLPLHYSATIPTGDTPPRSSCCRRRLRPLPWPVPSSQAAAGAEVFPFRRCFPPLPLSEPTSSPPPSAAARADVFPTPIRAAGADVFPTPIRRGRSRPQSPPEPRSSPSGADAPITFNIPFPIAIRSGQSRRHPHPASSSLPKFTAAASRPAEGHQLQVIELIYCILRFCGLKIGRSQD >ORUFI05G06900.1 pep chromosome:OR_W1943:5:5580285:5583042:-1 gene:ORUFI05G06900 transcript:ORUFI05G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSINWAVASPLPESRLPPSPPLWHHPDLLVLGRAAPGLLLLLPARLHATARAERRPWRELADPRAASVPRGLGGAYRRARANLGHFSMNYAIVVLAVVFLSLLWHPVSLIVFLACMVAWLFLYFLRDEPLALCGRAVGEGAVLAVLSVLTLVLLLLTGATVNILTSLLVGVVIVLLHAVFHRPADSIDEEAGRYYTPVPPQPSY >ORUFI05G06910.1 pep chromosome:OR_W1943:5:5592687:5599257:1 gene:ORUFI05G06910 transcript:ORUFI05G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMGESSILVLGGALQRRVHGLAGAFFKSPELKARVTADMRSRLAAAMDAWRATAATGAGAAVRVQDEAKLIVFEILVRALIGLEQGQEMNYLRQQFHIFIAGLISLPIKLPGTQLYRSLKAKKRMTSLIQNIIQEKRRRIFEGKDLCAVSRDLIDVLMSNGSDELSLTDELISDNMIDFMIPAEDSVPVLITLAIKYLSECPLALQQLEEENMELKRQKSDVGETLEWTDYMSLTFTQHVITETLRIGNIISGIMRKAVRDVEVKGQGDVVIPKGWCVLVYFRSVHLDANIYDDPYAFNPWRWKERDMAAATANSGSGFTPFGGGQRLCPGLDLARLQTSIFLHHLVTNFTWVAQGDVVVNFPTVRLKRGMPIKVTPKT >ORUFI05G06920.1 pep chromosome:OR_W1943:5:5598060:5607308:-1 gene:ORUFI05G06920 transcript:ORUFI05G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDSVVGSGDKAGEAPGDDGSSTPLPETVQVANSPTYKLDRKLGKGGFGQVYVGRRISSPGVTDRTPGANALEVAIKFEHRTSKGCNYGAPYEWQVYKMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGTPGTLEEKKLFLVDLGLATRWKDTGSGEHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFRQFIEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKVGQKRGRLTMDEDDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADGRLAQHITKGNEDGLFISSVASCSNLWALIMDAGTGFTSQVYELSQHFLHKEWIMDQWERNFYITSLAGANNGSSLVVMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFTDQVVELDFLYPSEGIHRRWDNGFRITATAATWDQAAFILSIPRRKPADETQETLRTSAFPSQHVKEKWSKNLYLASICYGRTSLYHQWMNGGKDIRGNFTNHIKLATCSKYSKKALIQQVFTHVC >ORUFI05G06930.1 pep chromosome:OR_W1943:5:5610050:5610459:-1 gene:ORUFI05G06930 transcript:ORUFI05G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSGGGLGLGGSTTRVYGTGGSAVGRPRTAEAMASSSRNDHASVGSNGGGDGFEDEH >ORUFI05G06940.1 pep chromosome:OR_W1943:5:5617541:5618260:-1 gene:ORUFI05G06940 transcript:ORUFI05G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGMARRLWHVVLAVCHMLRRGLCRKRLMVDLHVLLGRGKLAGKALRGLLAHHAAAGHGHHLAASSSSSAALASFYGRRPREVEFSCTTTPSSYPHYGLFPFKSRGGGGGRRGGGGGGEYGGLDAAAVARAFEMMSAEVEGTPSSSAAAVQGGGGGGWATATPSPMVAWILGRSPAGVRPLRVTDSPFPAVPENGGGGGGEQRVDDAEFEDFINKFYEQLRMQPSAATPDCQLRRRGR >ORUFI05G06950.1 pep chromosome:OR_W1943:5:5642453:5642869:1 gene:ORUFI05G06950 transcript:ORUFI05G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKFGYIRKAVAAARGGITTTVEFDLFTLPGGVDAFDKAARYCYRANFQLSVRNAAALLCASAFLDMQPPLARWPDASTRPRSWRQGRVPWLRRHSRASAPASRGEGGEAARQPPGPLPTRGCLAPLRPGVAAAAPS >ORUFI05G06960.1 pep chromosome:OR_W1943:5:5653590:5655219:-1 gene:ORUFI05G06960 transcript:ORUFI05G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRSLLNPDTDEDVRWGDSARLILTGDRTHLLAYTTLFFFLLFLPPFLSSLGREATIGTHGGKGEVGTEGGGKGMAGNGDRATGDGSHANDTGWQRPPWMVASLW >ORUFI05G06970.1 pep chromosome:OR_W1943:5:5656872:5657474:1 gene:ORUFI05G06970 transcript:ORUFI05G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAARRKQELEWTGRVTAAAPAATADEAWALLSDFLAFHRWHPGVAKCRRVSGSPRSPGCVRYCEGVPGRAGGVAGAADWAHETLLEHDAAGRALRYEMNDNNMGFGTFFATLSVAAAGAAAAAGGGELRWGEPVAGTAKEALAARLQDGIDGMARRVQEALAGRRGDAAAAAAVAMEAANSGDVNKLGTSIAV >ORUFI05G06980.1 pep chromosome:OR_W1943:5:5666122:5666997:1 gene:ORUFI05G06980 transcript:ORUFI05G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEQQWRWQRLSPRAAAATSRGSGGSVLLREWQGRPPPVSGDSGSPTTSGVAASSPTTGSAAASSPNTGNGGGALPHHRLLLPNPFLYSLICMPNVFWSEFEKLSRERIRVYQA >ORUFI05G06990.1 pep chromosome:OR_W1943:5:5668680:5670118:1 gene:ORUFI05G06990 transcript:ORUFI05G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIEDADVEMPELVELVDDEGGLQEIIDIIRVHRWKATYERIIATAADVNSLILSNHVQLLYQFDKDLDSCPLFKAAYCLGGLAKSWPSHMLSRSG >ORUFI05G07000.1 pep chromosome:OR_W1943:5:5672805:5673479:1 gene:ORUFI05G07000 transcript:ORUFI05G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPQQQQPEPEPEMGAQPQQQWEGAVEARLPSTPAAAAWPHLAAFCSLHRYNPGIDVCERVAGDDGVPGCVRYVASRPPPPPPRAKDGGDDDDDDQQPAAAAAGVETWAREELLERDDARRRLVYAVVGSNLGFGRYVATMTLVDDDGEDVDVNAPAPAAAAAAGCKLVWAFECEPVKGWTRDGLLAYLDAAGKGMAERIEAAAAAAVTDIAVEDDAAAARS >ORUFI05G07020.1 pep chromosome:OR_W1943:5:5698246:5702556:-1 gene:ORUFI05G07020 transcript:ORUFI05G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACAALVYCSFVIIVQSTISDQGVTFGEYVSEFSCSNGTFLKPPFCASVWRKDWAFKIR >ORUFI05G07030.1 pep chromosome:OR_W1943:5:5703588:5704960:1 gene:ORUFI05G07030 transcript:ORUFI05G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMAVNTSLEPTNCFLLLSKNKDQTELRRSYSEYSNTTRAADHLVAGAGVVAVASSGGASGGGGGGSGSDVETTVRCACCSVTEECTAAYIRRIRAAHYGDWVCGLCAEAVRERMRGGGGGGGVEAALRWHMEVCRDFNSTTRLNPKLSLAGSMRDIARRSFNRRTTASTSAAATCHDQLRAAKTMARTLSCQQRYLQVI >ORUFI05G07040.1 pep chromosome:OR_W1943:5:5706533:5709027:1 gene:ORUFI05G07040 transcript:ORUFI05G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATWVAGLGTLVDLLYPSSFSTCLETTITFYSHCKREENFGREDVHQSSAHHLFNEMSSRYGVSEGDVLHVMNEEMLTRDEAIHWLLEDFELMESQRRFDDKLDRLLQMFGVKEERMPTKCSTPGPSYATTKAVLNGTPTKPERVFPGTTSLFAPSIISAARATTLPSIETEEAEGDMTQVEEETEDTLHDLCAKVELKQRADSLIFVELKAPRPPPTKFISTKTPSIVAQQKLLLETNQRTLITRISPIEEKWVAGLKDKIRLEDVDFNWKILGLHDKEVVPKLSLIKNAMGRVAVKLSIKAMVYDERTMILESTKKKIETNKLVVHWNIFILVFDPGKDNDTLGERVANYMQELQTHWDPGGTGNNLHRLEDKSNIKERGLLGTQLGCRWAKLVMFQSWPKQAQLAAYIYEQQQQALGGIKHLESAKAASATTAAATWVAGLGTLLDLLLYPSSFSTCLETTITFYSVCIL >ORUFI05G07050.1 pep chromosome:OR_W1943:5:5718096:5719916:1 gene:ORUFI05G07050 transcript:ORUFI05G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKCGNCDCADKSQCVKKGTSYGVVIVDAEKSHFEMAEEVGYEENDGKCKCTTGCSCAGCNCGK >ORUFI05G07060.1 pep chromosome:OR_W1943:5:5729770:5730183:-1 gene:ORUFI05G07060 transcript:ORUFI05G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGIGVAAAAAAVVAATLVVSCLRASPAEAYSGGGLGYSQLLTTAHLGAISSSSCGGRLGRQCSAAVGADGGLLRRALAARKPTNRYVSYSALDANKVPCNKRGQTYYQNCASQQAANPYRRGCSAITRCSRNMN >ORUFI05G07070.1 pep chromosome:OR_W1943:5:5732729:5733624:-1 gene:ORUFI05G07070 transcript:ORUFI05G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPAPIASTPGSTPPSSLPSTSPPPPPAANATEDQPSSRQLVYDLAVDLSFRNAHRRLSIRYLDTKLGPADDALPLFRQNPKNTTVLHPAFRGVVAVDGGTAAELEREAAEGTVHVKKVQFDSHNIDSIRYGSRSCIPETKYIHYSTIKTGVE >ORUFI05G07080.1 pep chromosome:OR_W1943:5:5738510:5740127:1 gene:ORUFI05G07080 transcript:ORUFI05G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVRQGRCDGVDGTLARLSARARPSEIRKGRHVGHGRGVEALLRCEYPGVRQQRGGDVDVAPPLSAVLDVPLRRLLDPLLPWRPLRPPQRLELLVVDEILVVVERPVAHRRDGLAGVEPEHAADMAGDVDHPRLRRPPPVEHDVERLGYVVAVEVAPGVAGAVRRRGRGARLPPRMARRMSLGTSFSGNWRGPYTLLPRVVIAGRP >ORUFI05G07090.1 pep chromosome:OR_W1943:5:5750282:5758322:-1 gene:ORUFI05G07090 transcript:ORUFI05G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYKEQEAEPSTGLMMPEPAPAASPGSGSSEGSSIEDTADRQVTFCKRCNGLLKKAYELSMLCDAEVALIVFSSRGRLYEYSNNSVEETIERYKKANSDTSNTSTVAEINAQHYQQEAAKLKQHITYLQNSNRLPRVKEDCKQ >ORUFI05G07100.1 pep chromosome:OR_W1943:5:5771245:5780880:-1 gene:ORUFI05G07100 transcript:ORUFI05G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYKEQEAEPSTGLMMPEPAPVASPGSGGSGGSGSVGAEKIGSRGKIEIKRIENTTNRQVTFCKRRSGLLKKAYELSVLCDAEVALVVFSSRGRLYEYSNNSVKETIERYKKANSDTSNASTVAEINAQHYQQEAAKLKQQITNLQNSNRTLVGDNITTMNHRELKQLEGRLDKGLGKIRARKETELQNDNMYLKSKVAESERGLQTVNMMGSASTSEYVQNMIHYDPRNFLQFNIMHQPQYYPEQEDRKAFMSGKKYSQCNIVRVHSSTNEI >ORUFI05G07100.2 pep chromosome:OR_W1943:5:5771245:5780880:-1 gene:ORUFI05G07100 transcript:ORUFI05G07100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYKEQEAEPSTGLMMPEPAPVASPGSGGSGGSGSVGAEKIGSRGKIEIKRIENTTNRQVTFCKRRSGLLKKAYELSVLCDAEVALVVFSSRGRLYEYSNNSVKETIERYKKANSDTSNASTVAEINAQHYQQEAAKLKQQITNLQNSNSIPYPAERIAETELQNDNMYLKSKVAESERGLQTVNMMGSASTSEYVQNMIHYDPRNFLQFNIMHQPQYYPEQEDRKAFMSGKKYSQCNIVRVHSSTNEI >ORUFI05G07110.1 pep chromosome:OR_W1943:5:5789582:5799014:-1 gene:ORUFI05G07110 transcript:ORUFI05G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFSIREYAASMRGTAASRHPALSAAGDFPPMPIRRFRWWVDELHAAVSRRRRRRSSPAAAAAVAKKNSKRSVSDLFAATGEAPAMDSRRRKKPRSQEDDDGVEKMKKKGIFISSTPNAPKLDVDEVTVVGLRGGDVVAGVDEEELEVEHCWDEGVGDLAGLAQGVEVENVLVVDAALQRSARQKRRVHERQKHINIENMKMYDFEIWKPENSAKVPKNSILRKHTKRSSFTVSINKEKCSNLKGSEAIELSHKLGKHVTFSGVDDIHIRNKLSSTLPQLQNHCNVYSDKSNEADRLVSAKISSHENKEASGRDIYDRWTSESSGAKDPINLIDLNRTLPCIPDFNGAFISGSEVPDLEHTENATSDLQIPGDVREEAVLKHNQDLHSKSPRSQCELNSCDLGRIINLRSIASLLPDEAINISDRGMIGHPLNSTEVNKFYADYERSSVRDDTMEGKAPYILPQHTVQYTSQFTENWYTNMNLGNFHHAGREFSSCPCENQLNSEKPMLHSEINVQHEHAVMSQRTMRLMGKDLTVSTTGGQCIGETAKVHVNSSVSCHHTTNIFLELPRQGHPFLSLQSRSFSNIQVDAPSTSHDYVGYKMHNLKRRFPEADVFSGNGIECEDRLRDFSYLHCGQNALAGFSPQGGKYNTRSDQNSLSATTFLPTFIPHAKQSAVYRANSTWKHNPYPANLLVHPPDGTNFRKDQNQIIRGVAEIPSSVNTMSRDTVWKTRKIDVDNSNISSGVRSGPVKLRPGAKHVLEPRQDTDDGNYPPMDQQVHCCH >ORUFI05G07110.2 pep chromosome:OR_W1943:5:5789582:5799014:-1 gene:ORUFI05G07110 transcript:ORUFI05G07110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFSIREYAASMRGTAASRHPALSAAGDFPPMPIRRFRWWVDELHAAVSRRRRRRSSPAAAAAVAKKNSKRSVSDLFAATGEAPAMDSRRRKKPRSQEDDDGVEKMKKKGIFISSTPNAPKVHERQKHINIENMKMYDFEIWKPENSAKVPKNSILRKHTKRSSFTVSINKEKCSNLKGSEAIELSHKLGKHVTFSGVDDIHIRNKLSSTLPQLQNHCNVYSDKSNEADRLVSAKISSHENKEASGRDIYDRWTSESSGAKDPINLIDLNRTLPCIPDFNGAFISGSEVPDLEHTENATSDLQIPGDVREEAVLKHNQDLHSKSPRSQCELNSCDLGRIINLRSIASLLPDEAINISDRGMIGHPLNSTEVNKFYADYERSSVRDDTMEGKAPYILPQHTVQYTSQFTENWYTNMNLGNFHHAGREFSSCPCENQLNSEKPMLHSEINVQHEHAVMSQRTMRLMGKDLTVSTTGGQCIGETAKVHVNSSVSCHHTTNIFLELPRQGHPFLSLQSRSFSNIQVDAPSTSHDYVGYKMHNLKRRFPEADVFSGNGIECEDRLRDFSYLHCGQNALAGFSPQGGKYNTRSDQNSLSATTFLPTFIPHAKQSAVYRANSTWKHNPYPANLLVHPPDGTNFRKDQNQIIRGVAEIPSSVNTMSRDTVWKTRKIDVDNSNISSGVRSGPVKLRPGAKHVLEPRQDTDDGNYPPMDQQVHCCH >ORUFI05G07120.1 pep chromosome:OR_W1943:5:5792533:5794994:1 gene:ORUFI05G07120 transcript:ORUFI05G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLIVLKNSFFSYITSNATLLPSGTLECCVDHQHVLNLNPLCKPSEVAYTLIPTVFYFEFLLINSGNDVATPEPDHCDLVDVKLGHEYELVVTTYSGLYRYCVGDVLCVARFKNAAPMFTFDSLLGLGGTPELLETSSQFGGPFSYCLSPTSGGTGFLALGAPNGSNTAAGFSFTPMRCIPSVPTFYVMTLTGISVGGAPLAIPPSAFSSGMVIGSGTLITGLLTTAYAAVTAASDAAATSDLLHDLTPESYYCCGYAGVSLAAARALVDGAFEITINWSGGMHHASACKASGFCYINNTLIAINELLPYPCRPGRHCAAAELPESSVPPCIAAPCAGMPPCILVVGGEPRRCAAAAAPPWPSYLGSGRPRVSRLCSAQRGRRRGKERKESRGILVLTQLFPSPF >ORUFI05G07130.1 pep chromosome:OR_W1943:5:5806685:5808861:-1 gene:ORUFI05G07130 transcript:ORUFI05G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQLNNSSKFRSKQATIKPKREAFRSTREVEFEAKKKMGTMRKRMVIPTLTDKEAAGAAGKDGRAGGSWEAGDGDIGVARRRQRGGTRGWFQSILGASKQCKQLQKLRKFRDEAKPTSVERRSGAIIKEINKKFDVLVTKVSFEVFEKFNLMRKISKWSFESKE >ORUFI05G07140.1 pep chromosome:OR_W1943:5:5812593:5813583:1 gene:ORUFI05G07140 transcript:ORUFI05G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGFNSGSAAELQGAARVRHRQPQHVLPQARWLRYDQFQQGRRRPPHVAQRHAHLPGHLPRRLPQHHHRDAAAKMRNGAQQLFSSMLGSLSIGRRLLDRRGRHADVDRRGIRAERDGGAAPGPGEVHGQGRGRDGAAQPHCGAAPGSGEGESDVHGAAVEGVLNDHLCAE >ORUFI05G07150.1 pep chromosome:OR_W1943:5:5838595:5839109:1 gene:ORUFI05G07150 transcript:ORUFI05G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDGAAAAVEIRRWQQRGFEMLRLVAAVTQNQRWLQGLFGSGRGSSGERRHGHVAGSWLTVCSLVQCLGGIGNNINKGSLVIATFLFALHNIGMTLKPPFVFNPNPIPRVKERGWSRHRACGGGDRRERWAHAAATTAARVKGACSGGAN >ORUFI05G07160.1 pep chromosome:OR_W1943:5:5843832:5844605:-1 gene:ORUFI05G07160 transcript:ORUFI05G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEPTVCSHVLVLASSTPQTSLSARAKQADNAGISAVGDNDMAGSHDGHHGCEAHHLLACVGLLGAIDLAIHPGEPRRHSLLPPGLVLVLDINAIAVAWQQLPKSHLLREHHVHPLPAHLCTWDPPCPSYTVKNLVILLLAILFHVAAPFHRRLLRGGQEWRHKEDGVFHLPLVPDHRHRPMEHARRPSPCFVALPSQNSAFPPPLSPIVLAYTTNRLPEIRRPHSIPRQGPIVVGVVFPNSGAAVPTSASHGSGP >ORUFI05G07170.1 pep chromosome:OR_W1943:5:5846088:5848621:1 gene:ORUFI05G07170 transcript:ORUFI05G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPPYYHHLLLLRSSPTTTGGGARVLAAAELARMKLLCSACEAAEASVLCCADEAALCARCDRDIHAANRLAGKHLRLPLLSPASSSSSSAAALAPPPPSPPKCDICQESHAYFFCLEDRALLCRSCDVAVHTANAFVSAHRRFLLTGVQVGQEQDEHSPDPPEPSPPPPPPPPASKSDHPAPLYGEGGGGFSWDAADSPAAGGLPDWSAVVDQFGSPPPPRHTDTATVTTPPPTKRSPRAPAFGGQGGMMDWPLGEFFGGFTDFTGGFGFGFGDSGTSKADSGKLGGSTDGSPYYRSSSEDDRNADELFGQVPEIQWSVPELPSPPTASGLHWQRHPAATHGGGGGGPDTTAFVPDICSPDSCFPATTSKRRRQ >ORUFI05G07180.1 pep chromosome:OR_W1943:5:5860915:5868849:1 gene:ORUFI05G07180 transcript:ORUFI05G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANSSLNEQKSEELKLKANDAFKANKFSLAIELYSQAIELNSSNAVYWANRAFAHTKLEEYGSAVQDASKAIEIDARYSKGYYRRGAAYLAMGKFKEALKDFQQVKRISPNDPDATRKLKECEKAVQKIRFEEAISVGDEEKRSVADSIDYRIIEVEPQYTGPRVDGETITLDFVKAMLDEFEKQKCIHKRYAYQIVLQTVQLLRSVPSLVDVNVPDGSHFTVCGDVHGQYFDLLNIFKLNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCLYPTGMYLARGNHESKSMNKIYGFEGEVRSKLGEAFIELFAEAFCCLPLAHVINNKVFVVHGGLFSVDGVKLSDIRAIDRFREPPEEGLMCEVLWSDPQPQLGRGPSKRGVGLSFGADVTKKFLQENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAYIRFTAPELKPNISSFSAVPHPDVKPMAYANNFLRMFQ >ORUFI05G07190.1 pep chromosome:OR_W1943:5:5861880:5866226:-1 gene:ORUFI05G07190 transcript:ORUFI05G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHITTNRKMTSIRNVHINKRSRCHSTYPVEVKVGIHLRLASYPALALSGHAQRTPNSRGPPPAS >ORUFI05G07200.1 pep chromosome:OR_W1943:5:5871394:5875883:-1 gene:ORUFI05G07200 transcript:ORUFI05G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEHGVNGQHEETRAMEEGSRDHQARCENSEQDGGSKSSSNNHPMFSVQFAQKRTGGTVTFPGICITWGLAVMVMVYSVGHISGAHLNPAVTLAFATCGRFPWRRVPAYAAAQVAGSAAASAALRALFGGAPEHFFGTAPAGSDVQSLAMEFIITFYLMFVVSGVATDNRAIGELAGLAVGATVLVNVLFAGPISGASMNPARTIGPAIILGRYTGIWVYIAGPVFGAVAGAWAYNLIRFTDKPLREITMTASFIRSTRRN >ORUFI05G07210.1 pep chromosome:OR_W1943:5:5878298:5879221:-1 gene:ORUFI05G07210 transcript:ORUFI05G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTPTKQPLSPLPRAASGSPTKPTPSPSPSPASARRRRVLRRGSPGRIKSLAATFDTSLRGCRRRLLKLFARLAVLGSPTKRRAAAAGFRRLRSPPRSPSPPTPKPNQVAAVSPQLPLPLPPVSPGRRTLFLDLDETLIHSQTDPAARARHDFAVRPVIAGQAVTFYVVKRPGVDAFLAAAAAAFELVVFTAGLPEYASLVLDRLDPRGALFAHRLYRGACRDAGDGRLVKDLAATGRDLRRAVIVDDNPNAYSLQPDNAVPVAPFIDDADDHELERVMGILSIAAEFDDVRDAIKRYKEIVEAS >ORUFI05G07220.1 pep chromosome:OR_W1943:5:5890982:5891263:1 gene:ORUFI05G07220 transcript:ORUFI05G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVIFFTALLLLASSSSCLARARMMMMPGDHAVNGKETSASSKEATSPHDLLQGVAPPLPPSPPATEIIRPDSSGWMPQGSVPSPGIGHRA >ORUFI05G07230.1 pep chromosome:OR_W1943:5:5892892:5893155:-1 gene:ORUFI05G07230 transcript:ORUFI05G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTTVAAALDWPDLSPAPMRAADPSSPGMAGAAVPAYRLRGSGMLGKSGSATCGLWSDDDDDLVEGCNDGANKLPAASCDNDGLRR >ORUFI05G07240.1 pep chromosome:OR_W1943:5:5907149:5907469:1 gene:ORUFI05G07240 transcript:ORUFI05G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLHCHSTTILFVLIFLASSSSLQARMLPSDVHDDDNNVHVKELSAVSSNPTASTTVDSLALMAPPPPPPPAAGKPEMMPAVDGKRWGTAQLQGSVPSPGIGN >ORUFI05G07250.1 pep chromosome:OR_W1943:5:5913147:5913461:1 gene:ORUFI05G07250 transcript:ORUFI05G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHAIVAAILCLLLLQSSESLVQAARMMPAGDRPQAPVARTSSATDTAASSSSTSQDLLQEFMAPPRPIAGKPEIIAVVDIAKRRRAIQVQGSVPSPGIGHH >ORUFI05G07260.1 pep chromosome:OR_W1943:5:5921698:5930426:1 gene:ORUFI05G07260 transcript:ORUFI05G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLPAAVLSSPIQNRVFEHPPCSVPRWRLRPPRISSLLVGPVPRYRGQWTKHKYQNLFDLVKAYQNYDAGNRQLRGK >ORUFI05G07260.2 pep chromosome:OR_W1943:5:5921698:5924989:1 gene:ORUFI05G07260 transcript:ORUFI05G07260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLPAAVLSSPIQNRVFEHPPCSVPRWRLRPPRISSLLVGPVPRYRGQWTKHKYQNLFDLVKAYQNYDAGNRQTEVQ >ORUFI05G07270.1 pep chromosome:OR_W1943:5:5934957:5936016:1 gene:ORUFI05G07270 transcript:ORUFI05G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTAHGTLPPPSVTAVELVPLPAPSPSLPVTDFEEFSPICLSTQPAFLLPDAFSQFFSFAACPTLYGVHVHTFACVFAADLLQCMCSAFVHRVCMFFKWNVVLHVSDLDHPHELEGKNSDIGMDHCKFAMQSRNHCIHGGRSMSCLDRS >ORUFI05G07280.1 pep chromosome:OR_W1943:5:5937334:5938779:-1 gene:ORUFI05G07280 transcript:ORUFI05G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELPQQKAGGGLARRLVRLLRRKRSTSGSVAGGGEYDESSMDSSINSLSKLKLSAAKLDVLFRSAAQPAASPAVDAAAAHALVASLFAGVSAVKAAYAQLQQAQHPYDSEAIQSADAAMVAELTKLSDHKRRFARDPAAAAKSAAAGPAALAAHADEQRHLLRTYEITAGKLGRELRARDAEAERARAALADDLRAARALEERAHPGRTLAALDGLHLSGLNATHFLTALRHAARSVRSFAKSMLGEMRRAGWDPVAAAAAAHPGVPLRHPGDAKFALESFVALKMFDGFHRRDFGLSALHDRSSYDRRRLFDEFAELKAAPAAEFLDARSSRWGALGEFLRDRYLSVVHERMEAAFFGSTAQRGAAASAGAALPGTPWFAEFAEMARRVWLLHCLFLAFDDGGASTIFQVAAGARFSEVYMESVGDGDGDGDDGGAGTAVAAAAAGDRVVGFTVVPGFKVGRTVMQCRVYLSRPARQP >ORUFI05G07290.1 pep chromosome:OR_W1943:5:5946130:5946638:1 gene:ORUFI05G07290 transcript:ORUFI05G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGQEGWGEAVEVGDETRDAHRCLHASSGSAAFPKRRRALSLIGRRLQYPHQGRDGGGLVAAFARAIALPPLQPPPRKPSLATISIAEKKHGIRKEDAINILEVTPAHHPHHRGLFRAPSP >ORUFI05G07300.1 pep chromosome:OR_W1943:5:5976350:5977753:1 gene:ORUFI05G07300 transcript:ORUFI05G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATAKFSSSYHLAAALRREPDPAAALRLFLSPTPTAAAGPSSSPAPPFRYSLRCYDIIVCKLAAARLFPAMESVLARLPSSLRPREPLLCRVISAYGRARLPAAARRAFAHPAFPAPRTARALNTLLHALLACRAPLPELLSECRGSGIHPDACTYNILMRAAVADSGSVDNACLLFDEMLQRGIAPTVVTFGTLVTAFCEAGRLEEAFKVKEVMSLQYNIRPNAHVYASLMKALCEKGKVDDAHRLKEEMVSNSEPLVDSGAYATLARALFRLGKKGEVVSLLEEMKEKGIKVGREVHNSMIAGFCEDEGDLDAAFAALDDMQKGGCKPDSVSYNTLVGGLCKMGRWRDASELVEDMPRRGCRPDVVTYRRLFDGICDAGGFSEARRVFNEMVFKGFAPSKDGVRKFVAWIEREGDAASLESVLCQLASVNALESSEWEKAMSGVLHDPAEQKIVKLLDNLSLA >ORUFI05G07310.1 pep chromosome:OR_W1943:5:5978986:5981242:1 gene:ORUFI05G07310 transcript:ORUFI05G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >ORUFI05G07320.1 pep chromosome:OR_W1943:5:5982380:5985631:-1 gene:ORUFI05G07320 transcript:ORUFI05G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIAAAGGEGDAGVVAHVVVDVDESCAAAADDDDRSRRPSTGCRICHLGGGGDGEMAAESGSGRLVRLGCGCRGELAAAHRRCAEAWFSVRGNRRCEICGETAENITGWGGGGKEFMKRWHATAGVDVEGSSKACSGFCKSHSLCNLLIACLIIVIVLPWLLHNHVL >ORUFI05G07330.1 pep chromosome:OR_W1943:5:5987443:5990800:-1 gene:ORUFI05G07330 transcript:ORUFI05G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPAPAPEPMLLDAQPPAAVACDKKQQEGEAPYAEGNDAVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRAMDHPNVISLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANHRMPLIYVKLYMYQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKTLVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALDACAHPFFDELREPNARLPNGRPFPPLFNFKHELANSSQELISRLIPEHVRRQATHNFFNTGS >ORUFI05G07340.1 pep chromosome:OR_W1943:5:5993140:5993633:-1 gene:ORUFI05G07340 transcript:ORUFI05G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIRSAPEPSALTPSHTSSQGSNAMLGKRRLDAMDFSTMVLDLGAKQGSREDSNLKRPPPISSSGSVHSEGRFGQSIRHVGPT >ORUFI05G07350.1 pep chromosome:OR_W1943:5:5995313:6001280:-1 gene:ORUFI05G07350 transcript:ORUFI05G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGGDDYKREESVALMVIVSLAALSLLSLVAAFAYYCYITRKVSRRLHSLDLPKHHRRSSSSSPPPMPPPLPPPPPSANAPTLGKESPSSNSASDGAAAAVVVGGERGAVQVFSYRQLHAATGGFGRAHVVGQGSFGAVYRGVLPDGRKVAVKLMDRPGKQGEEEFEMEVELLSRLRSPYLLGLIGHCSEGGHRLLVYEFMANGGLQEHLYPNGGSCGGISKLDWPTRMRIALEAAKGLEYLHERVNPPVIHRDFKSSNILLDKDFRARVSDFGLAKLGSDRAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEGVLVNWALPMLTDREKVVQILDPALEGQYSLKDAVQVAAIAAMCVQQEADYRPLMADVVQSLVPLVKNRSTPKTCNPSRKLSRFQISSLTSKHMAWPHGPGVRFSDVSGRILP >ORUFI05G07360.1 pep chromosome:OR_W1943:5:6007629:6022228:1 gene:ORUFI05G07360 transcript:ORUFI05G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPEVNGLLCVGLHQTDGGDELYATECLKMNQGSSHETNKLDAVMSASTMHSDHNNANACVDDYQHTKMMAGIQPMEEVGFGCTQPFELQSQGIVPDSEEESLPSSPETSSTSNYDMPDLAEQNLEHIYNVLGEMVDKEGPVVLSPEYVMCGTTSHVEPRLTFSADGFKIEYWDSCENDEMAAQYWKISDIICIDCKWAQSVGSVLITLHVGSGTETGNSSHDRIQFCLIDSQWPRKQQNIWHLASRYQEIWNNIPSTEEFEDVIYPKGDHDAVSISKRDVELLLPETFVNDTIIDFYVKHLSTRIEPAEKHRYHFFNSFFFRKLADLDKDQGRAPEGRAAFLRVRKWTHGDTNISAKIPCILHMDSLKGSHSGLKDIIQSYLWEEWKERHPESASDCSDKFLNLRFISLELPQQDNSFDCGLFLLHYVELFLMDTPRSFNPLKIDSFANYLSDDWFPPAEASLKRSLIRKLIHKLLKEPSQDFPKLVCCSEQLDKTHGSENAELEQMSENAEREQAKELPAQMCTDGEPDSVGTILETQQPSISTCFNYSDENGPPVSVHNLHKLEVSSANKDAIVCLSNHDEKNESPPADSYNHLDLRSCDSEEAETAKGSAGVVKDPNSHKEPLLDSLDNNQDISIQAGAEMHDSMDSKLCSISNNADLMASEERSLDKNTNENEEHNRTSEDIVESVMMLGGSKSDTELDAEPERTAGEAEVRNCDHSKDIDYIALGDINKDAAKQSLNRNIVEAEDIKCEGTLVDHTVVEDATPYNVNETSASADKINDNEHNVSSELKEGNNGNGITTSISCEMEDRSIDNLMVGDSRNGTDETRADGQEAHDNSATAETVPCEDNATTSITDAEMPHEDSTCSVKGEAISDNTASDAKRPLPDSTYIEDIPDDKCLQKDDGGGDEAKTERHYKRRKFLVSEATS >ORUFI05G07370.1 pep chromosome:OR_W1943:5:6025686:6031589:1 gene:ORUFI05G07370 transcript:ORUFI05G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKQQQQQQTAAAATGVWKTVKPFVNGGASGMLATCVIQPIDMVKVKIQLGEGSAAQVTKNMLANEGIGSFYKGLSAGLLRQATYTTARLGSFRVLTNKAIEKNDGKPLPLVQKAFIGLTAGAIGACVGSPADLALIRMQADSTLPIAQRRNYKNAFHALYRIIADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVELFRDKLGAGEVSTVLGASAVSGFFASACSLPFDYVKTQIQKMQPDASGKYPYTGSLDCAMKTFKSGGPFKFYTGFPVYCVRIAPHVMMSFSDGLRSLLQNAWYSSVSPAVFFTSTT >ORUFI05G07380.1 pep chromosome:OR_W1943:5:6030796:6032196:-1 gene:ORUFI05G07380 transcript:ORUFI05G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKGTVVMSRYELGRSLGHGTFSKVYQARSLVSGETVAVKVIDKEKALRAGAGMVDQIEREVAVMRLVGRHPNVVRLHEVMASRSKIYFVMELVRGGELLARLGAGGGRLGEDAARRYFHQLVAAVDFCHSRGVYHRDLKPENLLVDDDGSGGGGNLKVTDFGLSALSASRRHDGLLHTTCGTPSYVAPEIIGDKGYDGATADVWSCGVILFLLLAGYLPFFDSNLMEMYKKITNGEFKVPDWFTPDARSLISRLLDPNPTTRITIDELVKHPWFKKGHTKRPASSNTMKLNEEEKPANAAMNMKPASLNAFDIISLSQGFDLSGMFCCHGHSSRTQDQLFVTGKPATAIVSRLEEIAETEHFTVKKKQKKRQEEDGMAVKLQGWKEGRKGQLAIDAEIFEVSPSCYVVEVKKTAGDTLEYQAFCNRDLRPSLNDICWTSPATAASEKNQLPAVSEVSPLSSPRN >ORUFI05G07390.1 pep chromosome:OR_W1943:5:6037333:6037554:-1 gene:ORUFI05G07390 transcript:ORUFI05G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGQDGGSRWPVRGVEEREEGEWICGRRMARKEKRGFAARRHVNSPAWCSANARHEARLMTGTEGDSGRLLA >ORUFI05G07400.1 pep chromosome:OR_W1943:5:6043433:6043687:-1 gene:ORUFI05G07400 transcript:ORUFI05G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGIVETGIRARLRIAAVVARTRLLMNWLFIAGLYHGREFYPTADIKDIDSSNDEDKSDDVAAREDMKLAIITTVGGINYINI >ORUFI05G07410.1 pep chromosome:OR_W1943:5:6049160:6055111:-1 gene:ORUFI05G07410 transcript:ORUFI05G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKGELEQIALPAAVQKAAPPLADVPEVDLGGGACRADAARAVVAACEGHGFFKVTGHGVPAGLLARVEAAAAAFFAMAQPEKEAAAAAAAEDRLQRGPRYLLLGVAAAAAAPLPAHGEASPSPSYGSFRDILNEYVVAVRAMMWEVLKLMAEGLGLKEKDALVRLVSHEESDSVLRVNHYPPHPELKQQGHGRLTGFGEHTDPQIISVLRSNDTSGLEISLRDGSWASVPPDRKSFFVNVGDVLQVLTNGRFRSVRHRVMVSSPRPRVSVIFFAGPPPRERLAPLPWLVAEDGGRRRYREFTWREYKASAYRTKLAENRLCHFETEAD >ORUFI05G07410.2 pep chromosome:OR_W1943:5:6050879:6055111:-1 gene:ORUFI05G07410 transcript:ORUFI05G07410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKGELEQIALPAAVQKAAPPLADVPEVDLGGGACRADAARAVVAACEGHGFFKVTGHGVPAGLLARVEAAAAAFFAMAQPEKEAAAAAAAEDRLQRGPRYLLLGVAAAAAAPLPAHGEASPSPSYGSFRDILNEYVVAVRAMMWEVLKLMAEGLGLKEKDALVRLVSHEESDSVLRVNHYPPHPELKQQGHGRLTGFGEHTDPQIISVLRSNDTSGLEISLRDGSWASVPPDRKSATREATRSRPAAGLLSLASPTSPPPEQPPAKPDGGKDGGGGAFYPLQHPAVGAPMGNRRRGGDALRRLRRRRRPEAAEPASLLADLAPSQPDRDEVAPAALHQDEALAGAVVAPAEKAAGWGSRGAGGGDVAGGGDEAASLGAAGSGSPRPDPTPAAGPRRRPAPGRRRRR >ORUFI05G07410.3 pep chromosome:OR_W1943:5:6049160:6050299:-1 gene:ORUFI05G07410 transcript:ORUFI05G07410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMVLTNGRFRSVRHRVMVSSPRPRVSVIFFAGPPPRERLAPLPWLVAEDGGRRRYREFTWREYKASAYRTKLAENRLCHFETEAD >ORUFI05G07420.1 pep chromosome:OR_W1943:5:6057086:6058467:1 gene:ORUFI05G07420 transcript:ORUFI05G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIVIFKHRLRELHARRRPRAITRFTVGVFYLQFSYDVCSMGNRTEDNSKEGGSREKYITWSDDATQFMLEWYISLRKDKPTTFKWRKQHHQQCATALNDKLGLRVNRSQVHRHFRLCKEKWSWICAALGKSGYGFDATSCKFNIDPSEKEANKLGVSYSMIAH >ORUFI05G07430.1 pep chromosome:OR_W1943:5:6076520:6077107:1 gene:ORUFI05G07430 transcript:ORUFI05G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFMPEQSCSSSSPCRYIRGGRARHAQPPCRRAELLLLLLVIPGHRLKPPSLPLLFPSPPLASTSSSSSSTRPPRGSRSSGPSPSPTPTLRTAAPPSGARWRPSSHAASRRRRWQRRRWPHRGRRPVELEARSGVLEVIATSTTHGKGDDGKHNSGGGIDGARSEEDAAERDPPLSAMVAVVAGSSPSQGCRRRC >ORUFI05G07440.1 pep chromosome:OR_W1943:5:6077507:6081915:-1 gene:ORUFI05G07440 transcript:ORUFI05G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGSGPLPYSMRDVDGRGAYNNAKFRHRSRLKMASQALFTNSSKYQCGKFTVGKFLSLLMVSGVIYLLVHKSSDGFVSGELHEKVGNTHTKKDFPKIRTFWRKPPRLPPRLPPNEIYRNNSLLLQSPQSEWTLRQKKVKEAFEHAWSGYRNYAMGYDELMPLTRRGIDGLGGLGATVVDSLDTAIIMGADDVVSEASKWIEDNLMKKLSEKGQVNLFETTIRVLGGLLSAYHLSGGDKARGDSGIPMTSKRANPERLLEVSKDLADRLLLAFTSSPTAIPLSDVVLRDRTAHAAPDGLSSTSEATTLQLEYSYLSTISGDPKYDLEAMKVLEHMRTLPTVEGLVPIYINPSSGQFSGENIRLGSRGDSYYEYLLKVWVQQERYRDTSLKYLFEMYTEAMKGVKHLLVRKTIPNGLVFVGELPYGRNSGFSPKMDHLVCFLPGTLALGATKGITKKKALENHLLTAEDIDNLQLAEDLAKTCVEMYFVTSTGLAPEIAYFHIEGNSEGGPDGGNKSSQYVNDIIIKPLDRHNLLRPETVESLFVLYRITEDPKYREWGWQIFQAFEKYTRVDSGGYTSLDDVTSLPPPRRDKMETFFLGETLKYLYLLFGESNILPLDKYVFNTEAHPLPIIQSAQQISHSIVMQSDMLILEY >ORUFI05G07450.1 pep chromosome:OR_W1943:5:6086186:6086968:-1 gene:ORUFI05G07450 transcript:ORUFI05G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSSVAAAPSGSNGTRRDGGSGSVTGCLPADQACFALSSSASSPGYLHASATTTRRDASATVARACCTTASYVVVLGISFGSLLAILLILCIIRWYLVWRSARPRRDDGAADEAVGSAKKRSAGLDDDAIAALPVFAYKQREEGGGGAVGAAEEEEEERECAVCLAVMADGEAARRLPRCMHVFHRGCVDVWLREHSTCPVCRAEVVVRPAGAARVEKLPESSASRALTSPVPAPAPRPTGTVVDDGRERDLEAQQ >ORUFI05G07460.1 pep chromosome:OR_W1943:5:6091373:6094125:1 gene:ORUFI05G07460 transcript:ORUFI05G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLARLFAPTYIAMGFSSSASPPSRRLAHLTRHLLLSSGELSSSVGAPAAAARPAYLAAPKGYAAVLVCLFEDPHGGDPRVILTKRAASLSSHSGEVSLPGGKVEEGDADATATALREAKEEIGLDPALVSIVTVLEPFLSKNGLHVTPVIGILSDKALFKPVLNESEVADIFDAPLEMFLKDDNRKTQESNWMGMNIPVQSFEYQSEDKTFVIWGLTAHILTRAAAVVLPREPSFVEFRPRYVNSPSGDTNETKR >ORUFI05G07470.1 pep chromosome:OR_W1943:5:6096338:6097280:1 gene:ORUFI05G07470 transcript:ORUFI05G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCCGGGGDGDGDGCKCRPLGWLLGLPFALLAVLVSIVGAIIWIIGLPISCICPCCLCVTLVLEAAVELIKAPLHVMTWFTSKIPC >ORUFI05G07480.1 pep chromosome:OR_W1943:5:6110188:6114103:1 gene:ORUFI05G07480 transcript:ORUFI05G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLAAAMVVVVFAHAAAAQRYNAIYSFGDSISDTGNLCVGGCPSWLTTGQPPYGKTFFGRPTGRCSDGRVVVDFLAEHFGLPLPPASKGGGDFKKGANMAIIGATSMDAAFFKSIGLSDKIWNNGPLDTQIQWFRQLLPSVCGNDCRSYLSKSLFVVGEFGGNDYNAPLFAGRAMTEVRDYVPQVVSKIIRGLETLIRMGAVDVVVPGVLPIGCFPIYLTLYGTSNGADYDRNGCLKSYNSLSSYHNTLLKRSLSNLQRTYPHARVMYADFYSQVTAMVRSPQNFGLKYGLKVCCGAGGQGTYNYNNKARCGMSGSSACADPANYLIWDGIHLTEAAYRSIADGWLKGPYCNPPILH >ORUFI05G07490.1 pep chromosome:OR_W1943:5:6115106:6115297:-1 gene:ORUFI05G07490 transcript:ORUFI05G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDIEDVLGPSRLTGGGAPPGLRLPLTVVAMKPKHLRSSRVTQTRPQPEAWILRTQVKPPP >ORUFI05G07500.1 pep chromosome:OR_W1943:5:6115871:6121322:1 gene:ORUFI05G07500 transcript:ORUFI05G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLRREAGGVTTIILVCSTVAAAAAAAGTLAVADAGAAAGGGVGGGARRQPRQAEVRTPPGGAHTIQRKVLNQLGATIELAGDGAKAVDIFKDAIERMPEMDGYEATMRIRKEESRYGICTPIIALTSHSMEDDLQKAIHAGMNLHMTNPIERRTIVEAVHGVCKRQ >ORUFI05G07510.1 pep chromosome:OR_W1943:5:6145664:6150059:1 gene:ORUFI05G07510 transcript:ORUFI05G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRVAVLGLVWLAAAATVAMADPLPSYYNAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPYARCSNGRLVIDFLAEAFGLPLLPPSANKGTNFSQGANFAVMGATALDLKYFKDNNVWSIPPFNTSMNVQLQWFDEVKQTICSSPQECREFFSKALFVFGEFGGNDYSFAWKAEWSLEKVKTMVPSVVASMVGGIERLLDEGARHVVVPGNLPAGCIPITLTMYATEDRSEYDPRTGCLKKYNSVALYHNAMLRIALDQLQRRHPDSRIVYADYYTPYIQFARTPHLYGYKRGALRACCGGGGPYNYNMSASCGLPGATTCEDPDAHVSWDGIHLTEAPYRFIANTWIRGPYAHPPLASVVRDDMVY >ORUFI05G07510.2 pep chromosome:OR_W1943:5:6145664:6147978:1 gene:ORUFI05G07510 transcript:ORUFI05G07510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRVAVLGLVWLAAAATVAMADPLPSYYNAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPYARCSNGRLVIDFLAEAFGLPLLPPSANKGTNFSQGANFAVMGATALDLKYFKDNNVWSIPPFNTSMNVQLQWFDEVKQTICSSPQECREFFSKALFVFGEFGGNDYSFAWKAEWSLEKVKTMVPSVVASMVGGIERLLDEGARHVVVPGNLPAGCIPITLTMYATEDRSEYDPRTGCLKKYNSVALYHNAMLRIALDQLQRRHPDSRIVYADYYTPYIQFARTPHLYVWGRGTMPGSIAIQQELALDDGWGYCVCRAASH >ORUFI05G07510.3 pep chromosome:OR_W1943:5:6148124:6150059:1 gene:ORUFI05G07510 transcript:ORUFI05G07510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQTAPVSTLGRGDGPELIIVVGELEAEQSRYAGVSGQSNGYKRGALRACCGGGGPYNYNMSASCGLPGATTCEDPDAHVSWDGIHLTEAPYRFIANTWIRGPYAHPPLASVVRDDMVY >ORUFI05G07520.1 pep chromosome:OR_W1943:5:6155135:6155695:-1 gene:ORUFI05G07520 transcript:ORUFI05G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMTVQSAGMKRLLRDIESRRTPPDHLAPITGRVAKKFSRPSSPFLAGAAGVDEPIIKKGTPVSVRTRVGKIGAGLNRHLVLRLGAVVVSDADEDDGFLDVVYNVGFPPHDPFRPVRVSRDQVKVITPTAAVTNAAAPPPPPHPTKSKSNDGGPRPTVAGKSLRLLTKLERERAGAIYYSLVLRP >ORUFI05G07530.1 pep chromosome:OR_W1943:5:6160830:6164628:1 gene:ORUFI05G07530 transcript:ORUFI05G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLLTAAAAVVLCFLLHGAAASGDPFPPRFNSIFSFGSSYSDTGNFVLQSAGLPSIPFNHSPYGDTFFRRPTGRPSDGRLPIDFIAEALGLPLVPPFLAKEANDFGGGGGANFAIVGGTALDVGFFIRRNNASVPPFQSSLRVQIGWLRSLLRRAGNATAAERLATALFVVGEFGGSDYRYLLSGGKSLEQAKSFVPEVVRAICRGVERLVEEGARYVVVTGTPPAGCMPMELTKYAAANASSAAAAYDRRTGCLRRLNGLAQYHNWLLREAVERMRGKYPTTKLVYADFYKPVASLVRRPAKFGFTQQPLKACCGGGGPYNYNPGAACGSPGASTCGDPSAYVNWDGIHLTEAAYKYVAGGWLNGVYAYPSILSLLAQ >ORUFI05G07540.1 pep chromosome:OR_W1943:5:6165463:6174937:-1 gene:ORUFI05G07540 transcript:ORUFI05G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAALSLTCAGLGGAEEDDGGAVVGYAKGEHCLDNLKDLQRFLRRDDPQRREVFKQVCKWKIASRDLVPIIENYQADRNLVITAVKVLVFLTMPVEPSSENVAQQIEYLWDLKAALTRNVAMAVIVSLLEDPLDHLERASFTEDDWKLVQLVLTLFRNILAIQEITLGQKASGEATQLLCLADSFLELMFQENVMDLILVLTQHMDEPSGYLQQENLLLLEIFHYLFLGRDPELIARASTAGSKDGSKSLCKGNPNSASANSLRKIRNVQRGPQKRIAWDNELLYTPREGTMEMIRNFLEQFLSGGYNGETTIFHFHVKLGITQCLPVLMQSVCDDIVKEHHSIQKSDITTFFKVARFVLAFQHEKASNAQKSNAAVQLPEASPSDHLDDNLPFLGDICGPVAATLNEGMFNLVISRWREAYDSLKETHDYKTLSAAGSLMKNMIDMVYLVLKVLPDDSRESQTARVLLYKLFYDQTEQGLTQFLLNLFRSFDTHKQPKSDLADLLGTIHIMLQLMEKLQARGALRVAKRTRKGRKKKASKNKIENTNLNAEKMEQSNVDSTDGTKSALESLPDLKNVDSVAEPPLTEQEKVVSNGMDAPDELAGTSVNLDSTSHCEGEPSRADNGELKGNLIDEEDGTSDSSLDDQPPATSEVDFNVSRLISTLANNSVVQNICWLLKHYKSNSFRTNHYIICMLRRFCEDLELAPMLYQLSLLTTFYDILADEKSSNSKEYTNIVNFLSKIVRKMLRVMKKQPLLFVDVLFWKTRKECHCIDADALLSDITKDATNKGGEIGSSKGWRGSINIADSLGDDEVDLVIPHEPYNADKDDDSSSGEHEDVSKRSMGATNKSNRILSFSDSEADDNDSSIQIEKNRNTVSRGSQNSEAPKRRGRSIFSEEQEKLMRDLYEKYKDDRKCTHLIAEALDPSGKISSVQVYRKLTQLGLRNAMKRRTKVPEAPLSAQELATQTDQMLGEHNCDPKPETTRRRRKRQNVSHASYEDNTTDGKSSDEETLQAIKSRTKNKKVPLVGFSPSTSQHQDGLADPDSDDETIGSMLRSGKKKRLLTPDNAMNIEKHQESPDSTNTSNYSPEISQKQEALQDTYSGDEIIDSMHRSGKKKRLLKSGFAANTQEHEEPLKNIGQDDETISSKDNLHHGLNSSNNSGGAGETELLDDFIEPELDNVENTEQRVIDDINITESGDMESSFADQKPGLKRRHKLVIDDDDD >ORUFI05G07550.1 pep chromosome:OR_W1943:5:6180583:6184609:1 gene:ORUFI05G07550 transcript:ORUFI05G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRAPMPGGSSSLSDALAAAALRDKPDSLPPGRLSPVSPLVHSRLPTPTSSSGSSGSAPPRPARRGGGDYHGVGEGFGPLIYTSCSSSAGGGGGGVGASTATSALAAGAFPAGNICPSGRLPTTPPVPPPRAARRDVLGSGTGNYGHGSVVRARCAAPVSSSEDHASAVRMSAAASAEAEEVRRAGNEQYKNGCFEEALRLYDRALALCPDSAACRANRAAALIGLTRLGEAVEECEEAIRLDPSYGRAHQRLASLQIRLGRTADARKQIGMGGLQPDIVELHKLEAVDKHLGRFADARKIGNWKSALRECNAAIAAGADSCAMLFASRAEALLQINQLDEADLAISRASKLDCSSSCSQDMMFCGFQSNSYLYYVHAQVDIAFGRFDSAMSSMEKARKIDSGNVEVMAMHKSVRTVAQARTLGNELFHSGKFAEAFLAYGEGLKHHPANSVLYCNRAACMFKLGQWEKSIEDCNEALKIQPNYWKALLRRAASYGKIEQWADSVKDYEVLRRELPGDTEVAEGHFHALVALRSSRGEDVSNMKFGGEVEALVGAEQFQMATTLPGTILLKIFPFHCFHVHHAAKPQSRPWTNEVIGQQVCVSVVHFMAPLNQQCSDIAPFVDALCTRYPSVNFLKVDITENPTVTQLENVKTVPTFKIYKDGTRVMEMICPSHQLLESSLRQYEV >ORUFI05G07550.2 pep chromosome:OR_W1943:5:6180583:6184609:1 gene:ORUFI05G07550 transcript:ORUFI05G07550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRAPMPGGSSSLSDALAAAALRDKPDSLPPGRLSPVSPLVHSRLPTPTSSSGSSGSAPPRPARRGGGDYHGVGEGFGPLIYTSCSSSAGGGGGGVGASTATSALAAGAFPAGNICPSGRLPTTPPVPPPRAARRDVLGSGTGNYGHGSVVRARCAAPVSSSEDHASAVRMSAAASAEAEEVRRAGNEQYKNGCFEEALRLYDRALALCPDSAACRANRAAALIGLTRLGEAVEECEEAIRLDPSYGRAHQRLASLQIRLGRTADARKQIGMGGLQPDIVELHKLEAVDKHLGRFADARKIGNWKSALRECNAAIAAGADSCAMLFASRAEALLQINQLDEADLAISRASKLDCSSSCSQDMMFCGFQSNSYLYYVHAQVDIAFGRFDSAMSSMEKARKIDSGNVEVMAMHKSVRTVAQARTLGNELFHSGKFAEAFLAYGEGLKHHPANSVLYCNRAACMFKLGQWEKSIEDCNEALKIQPNYWKALLRRAASYGKIEQWADSVKDYEVLRRELPGDTEVAEGHFHALVALRSSRGEDVSNMKFGGEVEALVGAEQFQMATTLPGVSVVHFMAPLNQQCSDIAPFVDALCTRYPSVNFLKVDITENPTVTQLENVKTVPTFKIYKDGTRVMEMICPSHQLLESSLRQYEV >ORUFI05G07560.1 pep chromosome:OR_W1943:5:6186045:6186506:-1 gene:ORUFI05G07560 transcript:ORUFI05G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEAGKGAEQACAAAAAATDGDDGGERPPRMIQMPQEYVDWVLAQKREAYYESPEDYPLLRTNNPVKVDGLSEEWVEKNSKSVIKTAALLKKADDSFEEFQKQVRKEVEKGYYEVGMDFFVQRAASRALFDEQWAKIDFTGIDVEEDDDDE >ORUFI05G07570.1 pep chromosome:OR_W1943:5:6189134:6190217:1 gene:ORUFI05G07570 transcript:ORUFI05G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVVRNHRRWLYVAGVVEEEQQRGERGCLLDEAHWRHWRLLVMNYQNLHIPYSRQG >ORUFI05G07580.1 pep chromosome:OR_W1943:5:6200054:6204097:1 gene:ORUFI05G07580 transcript:ORUFI05G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRVSVWINVSSGLDSGRQRGRRWEEALVAWGGAQRTSPVEAVARMESGGWHRRTSMIVREELEVAGDGRRASGVEAPGGSGPGGERTMAPANIDDSRRGAGGGLHYAPAGVPEQQDPTGDGGGQGWQAGAGLHGTPGSAAVFELTAKFCYGVSVDGGVRAIHRGADFMVELCWSYGVVADYAASASPFSLLEAMLDRVTHGAGLCYLGWVA >ORUFI05G07590.1 pep chromosome:OR_W1943:5:6204716:6206713:-1 gene:ORUFI05G07590 transcript:ORUFI05G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTTGAIWLFLAQLFVAATMLSKIATRERTRTTGTKFSRPPPPPLARGAPLVGVLPSLLANGPVEFIRHHYEKMGSVFTVSLLQQKVTFLVGSEASSHFYKGLDSEISQDEVSQFTIPTFGPGVAFDVDYATRHEQFRFFGDIMKPAKLRTYVDLMVAEVEQEFEQLVTLIASRCLLGEEVRDKMFDEVSTLLRELNDGMRLVTILFPRLPIPAHRRRDRARARLGEIFSDIVRSRRGSSGGGGGGGGARHDDMLQCLIDARYKDGRATTETEVAGMLVAALFAGQHTSSSTSTWAGARLLTNPDHLRAAVEEQARLLRRHGGDRVDHAALAAMDTLQRCVKETLRLHPPALMLLRHARRSFVVRGGSGEREYEVPEGHTVASPLLLHNALPRVYRDPGEFDPGRFGAGREEGAGGLAYTAFGGGRHACVGEAFAYMQIKVIWSHLLRNFELQLVSPFPETDWTVVMPGPKGKVMVTYNRRKLT >ORUFI05G07600.1 pep chromosome:OR_W1943:5:6242063:6242347:-1 gene:ORUFI05G07600 transcript:ORUFI05G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKPMTVKYIVTRFVEADAAEFKSVVQSLTGKDSTAATASPEEEGSRRRRTGHHRHVVPPPAPRRWLRRNVDDGGFLDVMPSIEEMDEFLRD >ORUFI05G07610.1 pep chromosome:OR_W1943:5:6244283:6244495:1 gene:ORUFI05G07610 transcript:ORUFI05G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARGVARDGDASPTATPQHRRPGKAARGREEVLDLGGGHTAVAEVAWQGHRVMWDRVEVVDLAAGCDGG >ORUFI05G07620.1 pep chromosome:OR_W1943:5:6245205:6245543:-1 gene:ORUFI05G07620 transcript:ORUFI05G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSSARWRARERVEQADDGRALVDEEEEALAFGDEDVVSIELGEVYLYGFASADVAEAAARGGCCGGVLPEHGPVARVEAAVNRGSVQHCRHAQHTINIYPSPAGLPRGR >ORUFI05G07630.1 pep chromosome:OR_W1943:5:6249844:6250276:1 gene:ORUFI05G07630 transcript:ORUFI05G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRALFLQRKIRDGSEKKQLPAAAGVVRVKVVLTRKQAARLVSLAGEGRRRRTAAQLVRELRRMEDGAGRVDGSPATATATAWRPVLETISEEWLGSEVR >ORUFI05G07640.1 pep chromosome:OR_W1943:5:6256087:6258555:1 gene:ORUFI05G07640 transcript:ORUFI05G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAALPGKLPRQPPPPPPPPSPPNSTFPRYPKSLAAHPALSSSHPSDVSALLAAAARAGDLRLGRALHRRLLRGDLLDRDAVVANSLLTLYSRCGAVASARNVFDGMRGLRDIVSWTAMASCLARNGAERESLLLIGEMLESGLLPNAYTLCAVAHACFPHELYCLVGGVVLGLVHKMGLWGTDIAVGSALIDMLARNGDLASARKVFDGLIEKTVVVWTLLISRYVQGECAEEAVEIFLDFLEDGFEPDRYTMSSMISACTELGSVRLGLQLHSLALRMGFASDACVSCGLVDMYAKSNIEQAMDYANKVFERMRKNDVISWTALISGYVQSGVQENKVMVLFGEMLNESIKPNHITYSSILKACANISDHDSGRQVHAHVIKSNQAAAHTVGNALVSMYAESGCMEEARRVFNQLYERSMISCITEGRDAPLDHRIGRMDMGISSSTFASLISAAASVGMLTKGQQLHAMTLKAGFGSDRFVSNSLVSMYSRCGYLEDACRSFNELKDRNVISWTSMISGLAKHGYAERALSLFHDMILTGVKPNDVTYIAVLSACSHVGLVREGKEYFRSMQRDHGLIPRMEHYACMVDLLARSGLVKEALEFINEMPLKADALVWKTLLGACRSHDNIEVGEIAAKNVIELEPRDPAPYVLLSNLYADAGLWDEVARIRSAMRDNNLNKETGLSWMEVENTTHEFRAGDTSHPRAQDIYGKLDTLVGEIKGMGYVPDTSIVLHDMSDELKEQYLLQHSEKIAVAFGLITTSAPKPIRIFKNLRVCADCHSAIKYMSKATRREIILRDSNRFHRMKDGECSCGEYW >ORUFI05G07650.1 pep chromosome:OR_W1943:5:6259422:6261559:-1 gene:ORUFI05G07650 transcript:ORUFI05G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLKELDLSRCSKISDAGIKHIASIESLEKLHVSQTGLTDNGVMAISSLINLRLLDLGGVRFTDKALRSLQVLTQLEHLDIWGSEITNEGASVLIAFTSLSFLNISWTRVTCLPILPTLRCLNMSNCTIHSICNGEFQVLIHLEKLIISAASFGNIDEVFSSILPSSLTYLDMSSCSSSNLYFLGNMRNLEHLDLSYSRIISDAIEYIANIGMNLKFLSLSNSEVTSQALCVLAGTVPSLTTLSLAHTKIDDSALLYISMMPSLRILNLSRTCIKGFMMENSVKVLSLSALEELKYLESLNLNNTQLMDDVIPPLASLRALKYLFLKSDFLSDPALHALSSASNLIHLGFCGNILSTTGLRKFVPPATLRMLDLSGCWILTGDAISAFCTCHPVIEVRHELIQELQANYGGTSHLHKSSRQPQQVKAKVAKSSAGPSRLAEICFVDERIKYSKEEMMELQHQAKSNSSMHVAQLPPELRRSV >ORUFI05G07660.1 pep chromosome:OR_W1943:5:6264009:6266390:-1 gene:ORUFI05G07660 transcript:ORUFI05G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNGGAHGAATLFGLLALASMVKLGFVAGGGHDYAMALRKSILYFQAQRSGVLPPNQRVSWRASSGLFDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSILEYGKQMAAAGELRNAMDAVKWGTDYFIKAHPEPDVLYGEVGDGDTDHSCWQRPEDMTTSRQAFRVDPQHPGSDLAAETAAAMAAASIVFRGTYPGYANLLLVHSKQLFEFADKYRGKYDASITVARNYYGSFSGYGDELLWAAAWLFEATEDRSYLEYLAGNGEALGGTGWSINQFGWDVKYPGVQVLAAKFLLQGRAGDHAAALQRYRQNAEFFVCSCVGKGAVNVARTPGGMMYHQRWNNLQFVTSASFLLTVYADFAAISGRGAVHCPAGAAQPFDILKFVKSQVNYILGDNPRGTSYMVGYGASYPRQVHHRGASIVSIKRDPSFVSCQEGYSSWYGREAGNPNLLDGAVVGGPDEYDDFADERDNYEQTEAATYNNAPLLGVLARLAASCGGLKEEEYEQETATPVVNRTSSSSSLPATATAIGIEQNVTGTWARRRRTYYRYAVTVTNRSRGKTVRELHLGVSGLRGRLWGLEEARYGYVPPRWLPALRPGRSLRFVYVQPAPAPANIWVTGYKLV >ORUFI05G07670.1 pep chromosome:OR_W1943:5:6279592:6285586:-1 gene:ORUFI05G07670 transcript:ORUFI05G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSQDVESKAKVHPLVQGDDEIKGEQPNTDAPLGDSDSLSAASNDNKRVSREDIELVQNLIERCLQLYMNRGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKKQINLFNHLLEHQYHLMKYPVPQQVPLTPTQNGIRPMPVNNLPMGYPVLQQPGIPAPVQPHVNSISCGPPGCHVVNGIPAPGGYNPIRMSSGNGMTENEVPGTAHAGAMSSEMAVSPSSAMSSNHVSFTPDISGMDVDASTVNATFGDDLGNGGPLQIGPNGGDSSSLGQQIWDFSLSDLSADLTNLGDLAALENYSGNPFLPSDSDIFESPDDDIVEYFADAINGPSQSDEEK >ORUFI05G07680.1 pep chromosome:OR_W1943:5:6285615:6285974:-1 gene:ORUFI05G07680 transcript:ORUFI05G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIVPKKKKEEKSWCGAGCRGYFSPSIPTSSSTPRELARRLRVPIHPGERAGAPSFVQLLLRPHPSCSFQEKC >ORUFI05G07690.1 pep chromosome:OR_W1943:5:6297024:6315366:-1 gene:ORUFI05G07690 transcript:ORUFI05G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAAAAVVDSRLCTQHAEGPAAVLAIGTANPANIVYQDGFADYYFGLTKSEHLTELKDKMKRICHRSGIEKRYIHLDDKLICEHPEIIDKHMPSLETRVDIVSTEVPKLAESATRKAIAEWGRPATDITHLIFSTYSGCSAPSADLKLASLLGLNPSVSRTILSLHGCSGGGRALQLAKELAENNRDARVLVACAELTLICFSNPDESKIVGHGLFGDGAGAIIVGAGPLVDEERPLFEMVLASQTTIPSTEHALGMQTTASGIDFHLSIQVPTLIKDNIRQCLLDTFRSVGNMDPNWNDLFWAVHPGGRAILDNIEGELQLQPAKLAASRHVLNEYGNMSGTTIAFVLDDLRRRREKEGDQHQQLEWGVMLAFGPAMPGTATAAVVDSRLCTQHAEGPAAVLAIGTANPANIVYQDGFADYYFGLTKSEYLTELKDKMKRIYIVTTEIPKLAESAARKAIAKWGRPATDITHLIFSTYSGCNAPSADLKLASLLGLNPSVSCTILSLHGCSGGGRALQLAKELAENNREARVPVACAELTLICFSNPDESKIVGPGLFGDGAGAIIVGAGPLVNAERPLFEMIVASQTTIPGYEQALGMQTTVHPGGRAILDNIEGELQLQPAKLAASRHVLSEYGNMSGTTIEFVLDDLRRRREKEGDEHQQPEWGVMLAFGPGITIEAMVLLPSAMPGTATAAVVDSRPCTKHAEGPAAVLAIGTANPTNIVYQDGSGIEKRYIHLDEKLIREHPEIIDKHMPSLETRVDIVTTEIPKLAESAARKAIAEWGRPAIDITHLIFSTYSGCSAPSADLKLASLLGLNPSVSRTILSLHGCSGGGRALQLAKELAENNRDARVLIACAELTLICFSNPDESKIVGHGLFGDGAGAIIVGADPLVDGERPLFEMVLASQTMIPGTEHALGMQTTSNGIDFHLSIQVPTLIKDNIRQCLLNTFRSVGNMDPNWNDLFWAVHPGGRAILDNIEGELQLQPAKLAASRHVLSEYGNMSGTTIAFVLDELRCRREKEGDEHQQPEWGVMLAFGPGITIEAMNMHESCVSLY >ORUFI05G07700.1 pep chromosome:OR_W1943:5:6319325:6319734:-1 gene:ORUFI05G07700 transcript:ORUFI05G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAEGYRKNMNLLRDTQKMWENFHNMGDLPLGPISTKSFVFFTNQVPGALMEWSPCVIVSRRERAVAEAEPCRLALLHGDADSEWQLLGRCGQIEKDVQASCEPDGGRLLAGGGQN >ORUFI05G07710.1 pep chromosome:OR_W1943:5:6325772:6327158:-1 gene:ORUFI05G07710 transcript:ORUFI05G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATAAVVDSRQCKQHAEGPAAVLAIGTANPTNIVYQDGFADYYFGLTKSEHLTELKDKMKRICKHIDPSTSIVALFIIIISHRSGIEKRYIHLDEKLIREHPEIIDKHMPSLENRVDIVTTEIPKLAESAARKAIAEWGRPATDITHLIFSTYSGCSAPSADLKLASLLGLNPSVSRTILSLHGCSGGGRALQLAKELAENNRDARVLIACAELTLICFSNPDESKIVGHGLFGDGAGAIIVGADPLVDGERPLFEMVLASQTTIPGTEHALGMQTTCSGIDFHLSIQVPMLIKDNIRQCLLDTFRLVGNMDPNWNDLFWAVHPGGRAILDNIEGELQLQPTKLAASRHVLSEYGNMSGTTIAFVLDDLRRRQEKEGDEHEQPEWGVMLAFGPGITIEAMVLRNPLS >ORUFI05G07720.1 pep chromosome:OR_W1943:5:6334402:6335075:-1 gene:ORUFI05G07720 transcript:ORUFI05G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELARRDPNSRRTSGGSRQGGQRRLGPEAVVLHALAVGGPRAIAVMSDYRKCRRAAASRLAGVVTKSAVKAVAEEDRREKKRSSPWTSAAAVSSYERAHRPLPLPWKRR >ORUFI05G07730.1 pep chromosome:OR_W1943:5:6355605:6358049:1 gene:ORUFI05G07730 transcript:ORUFI05G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLVGGGGWRVGDDAAGGGGGGAVAAGAAAAAEAEHMRRLHSHAPGEHQCSSALVKHIKAPVHLVWSLVRSFDQPQRYKPFVSRCVVRGGDLEIGSVREVNVKTGLPATTSTERLELLDDDEHILSVKFVGGDHRLRNYSSIVTVHPESIDGRPGTLVIESFVVDVPDGNTKDETCYFVEAVIKCNLTSLAEVSERLAVQSPTSPLEQ >ORUFI05G07740.1 pep chromosome:OR_W1943:5:6360924:6361588:1 gene:ORUFI05G07740 transcript:ORUFI05G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPAGESAGIEAAVVGAARVAEDDGSGARMEAAPVGAVRAAEAGGSKRATTNNNEAAVSAADDGRRRQRRQRMTTPPPSYPYAASGRPRRSPPSLAPLPPRLPSHWRRRFLHAAAPHPFFSLFGVQEGTAARRQKSALDAGGDKWEAAATLAVVPPCHRILFPGFSLSTAASGSRGDGNRRGRSWWRKSTLGKGVVPTICQSVWLAKFD >ORUFI05G07750.1 pep chromosome:OR_W1943:5:6366296:6368902:1 gene:ORUFI05G07750 transcript:ORUFI05G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRAFIPRLLHHLLPAILRSSLILIHKYPCSILKGRLSQFDLPL >ORUFI05G07760.1 pep chromosome:OR_W1943:5:6371518:6372024:-1 gene:ORUFI05G07760 transcript:ORUFI05G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAICLAVFFLLAVISSAASDDPLTTVQQPISQVSHGATMEEKRSSPVKQDEGEEKAASKAVQAGDRRDGELDVGRGSGQDAEHHRGMEIKKLDNDDDDGSDWDSVDDSDSDHDSDSDHDHDSDSDHDSDFDRGSDSDHDSDSNHDIDGDDNDDDNNDDEHKTNKQ >ORUFI05G07770.1 pep chromosome:OR_W1943:5:6382949:6383539:-1 gene:ORUFI05G07770 transcript:ORUFI05G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLHCRGGAGWLSSSRSCSRAAAAAFELLLPQSSRCRSLRSAAAAFKPPPPPPPPKARSGDLKAGSGEEGHGAAVVKPPPVPSSCRWGGRAAELGRNRAVKAELREPAASEGGQRGGRPATHCRRRPPLGPPRRPAPSPPFDPPLAPPPFGLPRHPASSPPLKPPSAPLSLEPGGPHRLHHPVARRCRLRSTCL >ORUFI05G07780.1 pep chromosome:OR_W1943:5:6398834:6400591:1 gene:ORUFI05G07780 transcript:ORUFI05G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRRARPADESSTPPPPPPPLRTAPSHLQPVVVFAHGAGAPSSSDWMVHWKDMVKDALDAIEVVTFDYPYMSGGKRRAPPKAEKLVDHHLGVVKGAVAKHPGHPLVLMGKSMGSRVSCMVADSDDIIVSAVICLGYPLKGVNGAVRDETLLKLKIPTMFVQGNKDGLCPLDKLEATRKKMNCKNELHVIDGGDHSFKIGKKYQESTGVNQQAAEMEAVKAIAKFVQNSIAGT >ORUFI05G07790.1 pep chromosome:OR_W1943:5:6402303:6404303:-1 gene:ORUFI05G07790 transcript:ORUFI05G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYSAPILTFKRVIKKASVEEFSCIPYILALFSCLTYSWYGFPVVSYGWENMTVCSISSLGVLFEGTFISIYVWFAPRGKKKQVMLMASLILAVFCMTVFFSSFSIHNHHIRKVFVGSVGLVSSISMYGSPLVAMKQVIRTKSVEFMPFYLSLFTLFTSLTWMAYGVIGRDPFIATPNCIGSIMGILQLVVYCIYSKCKEAPKVLHDIEQANVVKIPTSHVDTKGHNP >ORUFI05G07800.1 pep chromosome:OR_W1943:5:6425113:6430167:1 gene:ORUFI05G07800 transcript:ORUFI05G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRRWWWWPWATPPPFSSSASRHHKGEGWGLGGPALVKAVGWLLLAGLLFRVLCSFPSSTSSPEISEGKCNLFDGEWIPNPSGPAYTNKTCRFIDGHQNCMLNGRPDMSYLHWRWKPYECELPQFDEVRFLSAMRNKSWGLIGDSILRNQVQSLLCLLSKAEEPIEVYHDKEYRNRRWHFQSYNFTVSLVWSPFLTKSEVFENENGQSTSEIQLHLDILDPIWTSQYETFDYVVIAGGQWFLKVAVYWENDRVIGCHYCQDKKLRELGFEHLYRRTLQQIFRFIASSNHKPAVLFRTWAPDHFENAEWFNGGTCSRVLPYKKGEYSGKDMDRIMRPIELEEFRNAMAALGGSRNSANLKLLDTYSISSMRPDGHVGPYRYPFLKGDKDAKSVQNDCLHWKLRLRAECRPKQ >ORUFI05G07810.1 pep chromosome:OR_W1943:5:6432274:6436315:-1 gene:ORUFI05G07810 transcript:ORUFI05G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHFTRATAGAALLPRGRAESVPFASERLPEILSQLSIPAVSPTADAMWSTLAECEAARLAGETTKHKHYCATSLESMVEFVASSLGTRDVHAVSTEVISTLTPTPRQAYRVEAVRPVAVPGGDMVACHGMPYAYAVFGLHGLKGAGGGRVPRGRGRARRGGGGIQEARRGARERGHLPLPASGRHDLVMETNLSTNEVEDDIAISDKDDFIIEEVQNESDDQEIEKFVSNEQSTEEAMLQEPKKGILFDSARGGAASAAAAAEDDAGEEGTAALLRRRLLVGEAAANLEEEVGALERALAVAVAERAAVEARRRDAEARADDAEARLLAAEEEKDARAEELVLAAAKRRQIRDLELQIQAVNNMTSKFRWY >ORUFI05G07820.1 pep chromosome:OR_W1943:5:6437919:6438643:-1 gene:ORUFI05G07820 transcript:ORUFI05G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAIRDLINPVSSAASASKEDTVNNVFFLEKDLFPGSKMTLHFTRATAGAALLPRGRADSVPFASEKLPEILSQLSVPAGSPAADAMRSTLAECEAAPQAGEAKRCATSLESMVEFAASSLGTRDVHAVSTEVDRAGPTPRQAYRVEAVRPVPVSGGDMVACHGMAYAYAVFGCHTTTAAAYTAEALAACHTDAAPRVAEAYKRLGVAPGSVPVCHFLPQDDMLWVRN >ORUFI05G07830.1 pep chromosome:OR_W1943:5:6450621:6451025:-1 gene:ORUFI05G07830 transcript:ORUFI05G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSCFSSVLASLRGGAAAAAEDDAGEEGTAALLRRRLLVGEVAANLEEEVGALERALAVAVAERAAVEARRLDAEARADDAEARVLAAAEEKDARVEELVIAAAEAAAKRRQIRVLELQIQAVNNMTSKFRWY >ORUFI05G07840.1 pep chromosome:OR_W1943:5:6453405:6454877:1 gene:ORUFI05G07840 transcript:ORUFI05G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRGGAPATASARARRPHQPRVLLLCSPCLGHLIPFAELARRLVADHGLAATLLFASARSPPSEQYLAVAASVLAEGVDLVALPAPAPADALPGDASVRKRAAHAVARSVPRVRDVARSLAATAPLAALVVDMIGAPARAVAEELGVPFYMFFTSPWMLLSLFLHLPSLDADAARAGGEHRDATEPIRLPGCVPIHAHDLPSSMLADRSSATYAGLLAMARDAARADGVLVNTFRELEPAIGDGADGVKLPPVHAVGPLIWTRPVAMERDHECLSWLNQQPRGSVVYVSFGSGGTLTWQQTAELALGLELSQHRFIWAIKRPDQDTSSGAFFGTANSRGEEEGMDFLPEGFIERTRGVGLLVPSWAPQTSILGHASIGCFLTHCGWNSTLESVSNGVPMIAWPLYAEQKMNAAMMEVQAKVAIRINVGNERFIMNEEIANTIKRVMKGEEAEMLKMRIGELNDKAVYALSRGCSILAQVTHVWKSTVG >ORUFI05G07850.1 pep chromosome:OR_W1943:5:6462450:6470628:1 gene:ORUFI05G07850 transcript:ORUFI05G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVHLPNLAGARRPSPCGGHRRARGGARFSVSAAAPGGGVKEEEEEEEEEEEKGTRGKERIVIRVSDPVREGRPPPPLFSSPDAPSPAAGRRRRKGEDEDDGEDRRRRYHVNVGDAIRALREELPAAFYREPSFHIYRDDIVFKDPINNFTGIDNYKRIFWALRFTGQIFFKALWIDIISIWQPVEDVIMIRWIVHGIPRVLSDGPGRFEGTSEYKFDKNGKIYEHKVDNVAKNTPTKFKVLPVVELIRSLGCPSTPKPTYFETSSLQLISLLPFWFKLTWMRCYLSLYLILANLSKG >ORUFI05G07860.1 pep chromosome:OR_W1943:5:6470139:6470414:-1 gene:ORUFI05G07860 transcript:ORUFI05G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANKSSKPERSSSQPTHDAVVPASASGGAAASCEAGRTPSSGGLPLSTAASSEKRPGSGGGGELPGWKLDCLCRESGMSAAVISGGFPCF >ORUFI05G07870.1 pep chromosome:OR_W1943:5:6472561:6475474:-1 gene:ORUFI05G07870 transcript:ORUFI05G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSKAERKAALDAGAWMFNIVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTLVMKWLGYIQPSYLPVPELIKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCFLEILFDKVRYSRDTKFSIMVVLVGVAVCTVTDVSVNSQGLIAAIIAVWSTALQQHYVHHLQRKYSLGSFNLLGHTAPAQAASLLVLGPFVDFWLTNKRVDTFNYTAIVTFFIILSCIIAVGTNLSQFICIGRFTAVSFQVLGHMKTVLVLTLGFLFFGKEGLNFHVAIGMILAVIGMIWYGNASSKPGGKERQVYSAPSEKTQKHNILSSQSELDQKV >ORUFI05G07880.1 pep chromosome:OR_W1943:5:6488552:6488917:1 gene:ORUFI05G07880 transcript:ORUFI05G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPPCANQTFFGLEWITMSLKLKAPTMALAGAITVHDLTACLARWEYHAIDL >ORUFI05G07890.1 pep chromosome:OR_W1943:5:6489160:6489918:1 gene:ORUFI05G07890 transcript:ORUFI05G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKMNAPTMAIAGSITVHDLATAKWLVLLSGSSTPSTGAFVVHNNLTTAKWLVLLLLSGSSTPSSHSVHSVVFASLGSSMNASLSTAQVVPLPTKNFSNDDRESHEREMLGIHRRTGLSHLMFEIYHVMKPKRKKKLTTKKNINNSGTMTKTET >ORUFI05G07900.1 pep chromosome:OR_W1943:5:6490877:6491447:1 gene:ORUFI05G07900 transcript:ORUFI05G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNNLTTAKWLVLLLLSGSSTPSSHSVHSVVFASLGSSMNASLSTAQVVPLPTKNFSNDDWESHEREMLGIHRRTGLSHLMFEIYHVMKPKRKKKLTTKKNIKNSGTMTKTET >ORUFI05G07910.1 pep chromosome:OR_W1943:5:6492075:6492326:1 gene:ORUFI05G07910 transcript:ORUFI05G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKLKALMMAMAGAITVHDLMACPAQWDMSMPITANYAFFGLELMTISFQTAPRKLIKNA >ORUFI05G07920.1 pep chromosome:OR_W1943:5:6493296:6493497:1 gene:ORUFI05G07920 transcript:ORUFI05G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWLVLLLLSGSSTLSFVFASLGSSMNASLSTAQVVPLPTKNFNNDDRKCHEREMPGILRRTGL >ORUFI05G07930.1 pep chromosome:OR_W1943:5:6504346:6508129:-1 gene:ORUFI05G07930 transcript:ORUFI05G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEEEEDGEAVRNGYKETGNNHYGTVLKIGVEWERKTTTLFGSETLVRVRFCPFPHKSHRPEHSAATTSTRGRAPTQAAITRTSAPPLPLQPANERACRCRRRQLTHSCAAAFSPICAAVPEDEEDDSDKDDRKEEAVELGDAAHRLRVEVREANEHPRRVRPPREALRQHAVWDGVRVRRAAYVERSFLVESPPGSPPSTGDRHGLMQVELLRPVAAAEEEGFKVRVLDKCGETWEEADDIGDVVVVVDASGAGAASTRECPRLRLSTMYFAVDPTGETRVCTYSLAAAGSYVSPTSPAVVSGHAGRLSHPFPPTSSRPLLAAHCHARVALPFPLSRPSAVPRRAASARKPSHPYVF >ORUFI05G07940.1 pep chromosome:OR_W1943:5:6508132:6513108:1 gene:ORUFI05G07940 transcript:ORUFI05G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMETVEKIISTGINIHGATNLEDDLSCLRASLPNARLVINRGEWGRFKNKDLAVLLTQLKDTTYDTEDLLRKFDDQVLRQKMEDTDRSRAGKFFSSSLYRAKNLICGSKTRIKDAQDKLDKAVDDLERALKPLGLKMEKVQHMPETSSVIGVPQVFGRDKERDLVIEKLASKAKQLKRESIRARPRLAQAKFVSNVSVLPIVSIGGVGKTTLAQFIYNDPRVEAHFGKRIWVCISDLFNKKRITKEIIESITRKEYKSSNSLDALQVELRKQLRRRKFLLVLDDMWPNAKDEWETFFAPLRYGFEGSMILVTTRSPDVANLVASNNCNPFRIEGLDRDIFWEFFKKCAFGKQCPESYPQLHDIGRSIASRLCGSPLAAKTIGRLLNMELTVQHWKTVQNKELWELPNRDNDILPALQLSYLHLPQELKSCFAFCSMFPKGYSFERDEIVGMWVAQGFVAPEGSMRLEDIGIRYLDDLRGRFLLQTDTNCLDQSRYVMHDLIHDMAQSISVDKCFLMQDLSYQNQRRMPHAVRYMSVEVDSESLSQTRDIQYLNKLHSLKFGTILMFEITWFNQLSNILFLSLKGCMLVRLPESIGELHSLRYLDISRSHVQELPEKLWCLYCLQVLDASSSSLEVISPDVTKLINLRRLALPMGCSPKLSEISGLGNMSLLRNLIHFTVGIGNGRKISELKGMNQLSGTLTISSIYNVKSKEEAVEARLIDKQYLQALVLLWRDQPVPRVMNDDNGVAEGSPPWSSPSTRRRLSGSSAKPDGHKDGGGATLPSRGCPAAGDTGGFSAVADHRRWRPDGRRSGSAHTGCGSAFPGAKSSLPAVRSGLGDPNDGGPRGDGGKRRRTVVERWQAAGGWRDGRERPAGSWATAATATLLGPTRYGGVAQETHGDDGNSRQLAGGAVPAGWPAGRRHGPGEGGDRGCSRRWLRGRETHGDGGDSGQPAGGVVASWLAGGGGGSAHRGAAEVAAVRGGAAEVAVRHDKALLAWRRWPAQREERPVEVQPVAVRGDWPVGGTGAVMPMRWRKFRWRWSNGASVVDRQAVDGGRKPSPALAGSATMAFKRHSPPEGVVGPSQPLKCGCQVKARSRFSLRP >ORUFI05G07950.1 pep chromosome:OR_W1943:5:6515691:6516029:-1 gene:ORUFI05G07950 transcript:ORUFI05G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIPFAAIVVAILSFAIAAAAQAPAPSPTSDGTSVDQGIAYLLMIVALVLTYLIHPLDASSAYKLF >ORUFI05G07960.1 pep chromosome:OR_W1943:5:6526623:6527945:-1 gene:ORUFI05G07960 transcript:ORUFI05G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDALGALAPCRPLAIRPLLKSIHPSHQPFCVQASTTHPFILQGHMIAIESCKLGRYQGSLHKCPDVARNRIPARYVFCSAHPVRHFSTCEFHMIFVSIKFQYILR >ORUFI05G07970.1 pep chromosome:OR_W1943:5:6536191:6536409:-1 gene:ORUFI05G07970 transcript:ORUFI05G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHGPREVSLLIRLLDDSCSITEIVYDLDHSEDYARFSTGTIYSSKYSNDYTLLREVFLVGAPKEDEQAHI >ORUFI05G07980.1 pep chromosome:OR_W1943:5:6545774:6552680:1 gene:ORUFI05G07980 transcript:ORUFI05G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLAALLLLLVAAAGDSHAASPAEMYWKIALPTSPMPGAIRDLINPASSAGSASKEDTVGNCEAAPLAGEAKQCATSLESMVEFAASSLGTRDVHAVSTEVDRAGPAPRQAYRVEAVRPVPVSGGDMVACHGMAYAYAVFGCHTTTAAAYTVALSGADGTRAEALAACHADAAPGVAEAYKRLGVAPGSVPVCHFLPQDDMLWDLFPGSKMTLHFTRATAGAALLPRGRADSVPFASEKLPEILSQLSIPAGSPTADAMRSTLAVCEAARIASETAPKHKHYCATSLESMVELVASSLGTRDVHAVSTEVVNRAGPTPRQAYRVEAVRPVPVSGGEGDMVACHRMPYAYAVFGVHGIKGAAYTVTLAGADGTMAEAVAACHGDVDGHGVAVAEAYKRLGVAPGKVAVCHFLPQDDMLWVRN >ORUFI05G07980.2 pep chromosome:OR_W1943:5:6546397:6552682:1 gene:ORUFI05G07980 transcript:ORUFI05G07980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYWKIALPTSPMPGAIRDLINPASSAGSASKEDTVGNCEAAPLAGEAKQCATSLESMVEFAASSLGTRDVHAVSTEVDRAGPAPRQAYRVEAVRPVPVSGGDMVACHGMAYAYAVFGCHTTTAAAYTVALSGADGTRAEALAACHADAAPGVAEAYKRLGVAPGSVPVCHFLPQDDMLWDLFPGSKMTLHFTRATAGAALLPRGRADSVPFASEKLPEILSQLSIPAGSPTADAMRSTLAVCEAARIASETAPKHKHYCATSLESMVELVASSLGTRDVHAVSTEVVNRAGPTPRQAYRVEAVRPVPVSGGEGDMVACHRMPYAYAVFGVHGIKGAAYTVTLAGADGTMAEAVAACHGDVDGHGVAVAEAYKRLGVAPGKVAVCHFLPQDDMLWVRN >ORUFI05G07990.1 pep chromosome:OR_W1943:5:6560395:6560646:1 gene:ORUFI05G07990 transcript:ORUFI05G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVIAMRAADMAVGSVWASPCPQNLKEAGLLPPSSSSSRCRGGGRPLASFLFSPDVAESDSLLPPRRATTVESFIYVELPL >ORUFI05G08000.1 pep chromosome:OR_W1943:5:6562959:6563657:-1 gene:ORUFI05G08000 transcript:ORUFI05G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEENFVDDDADVKSMSSSSSLSSLFDLDLTAFGDRWVVSGGKEEVDFAGADDGGGGAVNVASDEDEDGRGGGVAAALGPAARLRELLLRKLRKPKAAGGGGAVSPEGQSGRFLAKVRADSMPRLEARAIAGGEEERRAATTTNPKEAARKYLNKIATSLARRRGGPDPAAMVVAAPTTTGKTKTSRSSMAAPPPPRRGIDGSAQHLQDGIESAIAHCKLSLRTATAEPS >ORUFI05G08010.1 pep chromosome:OR_W1943:5:6570716:6575553:-1 gene:ORUFI05G08010 transcript:ORUFI05G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTAAPAPTSPPAAPAANNTTPPPATPSTPPPTPTPTTPTTPSAPPPATPSAPSPPAPAKAPPSAGTPPAVPSASPPAPASTPAVPSASPPSASGGPATPAPPSDTPSPPSSGGGGNSPPSSGGGGGRSPSTPSTHPSPKSPASRSSGGGGSGVSTATVVGVAVGGLVLLLLASFICLCCLRKKRRRPPPPQQHYVYPPPPPQYKEDAYGGSYQQSWQQNAPPPPPEHVVKMHPSPPPAYANRPPQPPSTPPAAMINSSGGSGSYSGGEILPPPSPGAALGFSKSTFTYEELLRATDGFSDANLLGQGGFGYVHRGVLPTGKEIAVKQLKVGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGGKRLLVYEFVPNNTLEFHLHGKGRPTMEWPTRLKIALGAAKGLAYLHEDCHPKIIHRDIKASNILLDFKFESKVADFGLAKFTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELITGRRPVDTSQTYMDDSLVDWARPLLMQALENGNYEELVDPRLGKDFNPNEMARMIACAAACVRHSARRRPRMSQVVRALEGDVSLEDLNEGVRPGHSRYFGSYSSSDYDSGQYNEDMKKFRKMAFTNNNDTSSQYSAPTSEYGQIPSASSSEGQQTQEVESRTTKRGGYSGYSSGYSGAS >ORUFI05G08020.1 pep chromosome:OR_W1943:5:6589462:6589876:-1 gene:ORUFI05G08020 transcript:ORUFI05G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSVPDDGATADHAAAAAEAPGRRIVVAVDESEESTHALTWCLAKRRLLLQRRHARRPRPVYANMDSSGYMMTSDVMASMDKYAAVSFQVRQTWGGAGDCNGIGCGRRG >ORUFI05G08040.1 pep chromosome:OR_W1943:5:6596360:6599112:-1 gene:ORUFI05G08040 transcript:ORUFI05G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEMPSWLGDGSGVVLHVQVSQVLYPMTGEVLYQVYNTYGAVAVQHWQPFNGNSVDMMPTKCSTPLPSCAITKSDAESIPTTLEHVFPTTMNPSTPSAASEAAVPPVSIITTKEDKADMGKVEDKSENTLHDLCVEIKEMINQMLETCRNSKVEPIVGDDSAGVAVVPCTVTDSVSIALETSQEIDADVGNNDDLVREEDCVENTAVETKLYPVLSFSDQWVDHKEKASFNMQRKSGGVYASPAMATSFRANCKSSFVEQHLEPWPFFLCNHSLIKLKLPSKEDKLNMLPEQQGGCNPWEESLENLKLQGANTLSILHPKKVVFPCQELEIHIILIVSSVPKDAIEGLQLLSEQMLQEEQLKCEVNGIILFHGFFQLLSQALLFIESLLQNLVLGWYSCYSQQFSSAFWSFFWHLQQAINWLSLDDNEKPQFLILTIWPIHEKGGGCLSNCANEGHEVQIILVSGVSLQEVLKTVMLKVPWQPPTLAIHGGGNWTDIELCNGHSSITNHISSGVFSEMVLKSWPPEGEKPNNQLGEQQWLYNSREDVDRSAEFVQCWITFASVLVDNMELQGTDYSLFILGPLQVVAVDQELVIQIERIGKSASETERKGLQLFGELLLQGEQLKCGVVKLSWSYFSNYSVGNTLIVALLMQTCVQLVPSYNQSLSGSKKSLIQQVSRLNCVTIVFRSMLLLRIMSKKSYEGNEVRAQVNGLTGPWDPGMIWFDKFLMALSSRICGIDLGTSQILRRGECHISIVGNKWDGLDPDHWPRGSSISSINTSLPATKEGDEHMNWLMALLPPTVVSNPLLYVL >ORUFI05G08050.1 pep chromosome:OR_W1943:5:6611187:6619401:1 gene:ORUFI05G08050 transcript:ORUFI05G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKVNTVLNLLPKCSVWDQQATVQKGSLPLFQYNSCRRSAQRSVACFLRKLQWTSYSSPRNDPHEPLLLFHPKNLKVPSPNLLFQVPCK >ORUFI05G08060.1 pep chromosome:OR_W1943:5:6616471:6621104:-1 gene:ORUFI05G08060 transcript:ORUFI05G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESALVSFALKLGGKIMGMATGKVEKLLGVPGEITKLETTLGDLRCYLVDADNRRSLEEAVKRWVRELKDVMYDADDILDLCQLVEDEGYDDARTNPSCWNASKFWFCNPVASHKIGRKIQALNRRLDDLSRRRSRLKFLPSVCSAAGAGSSLDDRCRTGPSVEQTFIVGEKIEQDARSLVNLLVNRVDDDHDPARSSNGNVIVVAITGVGGIGKTTLATMVFNDSELENHFKEKIWLSVNQDVNEIDLLKHAIEQFGGNHEHCRGDTVLLENALERAVRKKRFLLVMDDVWSDNVWNNFLRVPLSSGASGSRVLLTTRNEGVARGMRAQHLHPVEKLDRFDGWSLLKNQCANLLANQKYGKFYVDITVKLQSTPLRKRYSALWPKLHTVMSYIAAFWVTTDESEICALEDIGMKIVDRCDGLPLAIKVIGGLLRQRNNTRNSWLRIYNHSAWSVNTTDYLNRAIILSYEELPPHLKQCFLYCSLFPKDEVIRRGDIVQMWMAEGFVQDEVSNSFLLEDLGFEYFNELASRNLLEQKREFYDHSACTMHDIVRYFAQSVGKEEGILLTEGQNTSIPTIRTLRLRQLSVSKKDVNWGALKQQVSLRALMLNKISMVDSNDFLNSLSSLRVLNLQNIVNLVELPQSICHLKHLRYLAVAGTSISTIHSNIGDLKFLQVIDLVDCTNITQLPQSILKLQKLRFLNLRRTRITSIPHGFGRLKDLVFMAGFPTHSSDDRTDGWCSLEELGTLSKLKILEITGLEKAPSGSSAAKANLSSKPNLTELYLMCASMLGTDNGDVQCNISAEEQDRIEKVLSNLCPPQSTELLTIGGYFGVELPKWMQMMSAFTNLTRLELKDYACCNRLPNGMGQLPFLDHLWIERAPAIKHIGRELLFPSSYGSSVAFPKLKTMGFKWMPRWEMWDWEEQVRAMPVLEGLSISYCELKYIPPGLPCQARALKSLYLESVRQLVSIENFPSLVNLQLIENPKLERVTNNPSLKNIYIWECPALKVLEELPLLNSIYWWDLKAEKLPECFGVPMLKKLFVHCNRRLFGLISLQDTTSEWGKIQHVSQLKAYGCTLRIDLSGYTLPTGLSGYNFLREVIDLFGYVSYTKEPYSFETRTYETSEQAQRYMASIALIFQISVHAIQLRLRMCPAVLNQSSGTETNS >ORUFI05G08080.1 pep chromosome:OR_W1943:5:6634109:6634375:-1 gene:ORUFI05G08080 transcript:ORUFI05G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHWRVHAAAAAAGLRALASQPRHWSAGLAARAVGQRCSDLCQPARGLCTCIKPSEQRVREAGKHPGTARYRKKKKKTDRYREAMHA >ORUFI05G08090.1 pep chromosome:OR_W1943:5:6649540:6650427:-1 gene:ORUFI05G08090 transcript:ORUFI05G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAKSGGGGGGRTKRLKDRLARLLLLRSPCSSSSSTSTTFTAATNVSTTTTTTTASSTATTAAFLPRVEPFSAALDLLRHPPHPPPPPEEKKDINRRNSSRRRGHFKPKLSSNPYGFTTSDDDGEGADGDDDDDDNDGTEAFFSSRSLVSSDSSGFYACSSKQQLLPHKSKANRHRHHRRHRQQKPTTTRRRRRRASGCVDDACSVRDAAAFRPLVSTTAEEEVRKGLAVVKRSSDPYGDFRESMAEMIVERQVFAAAELERLLRSYLSLNPPRLHPVILQAFSDIWVVLRGG >ORUFI05G08100.1 pep chromosome:OR_W1943:5:6667959:6674743:-1 gene:ORUFI05G08100 transcript:ORUFI05G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPPPPPPPRRDFPAFPFAPYPIQSEFMSFLYGALSSGPGALALLESPTGTGKTLSIICSALQWLGDHRDAAARGSTTAAAAGGGGEGDGDEPDWMRDFTPLPPEKPTAKKGRPPAAAARSKAPRRAAAGSEKPEGSEEAEDEEFLVEEYESDGEVCVRREAGKRPHRGGGSSSESEADGEEEEEEEVTPKVFFTSRTHSQLSQFVGELKKTEFGWRLRTVCLGSRKNLCINKDVLKLGSANRINERCLELQKSKKSSKTKVEGDNRKVCQSKTSCGCPMIRKRGLQKEFKSEVSEQGALDIEDLAQLGKKIGTCPYYGARDMVRTADLVVLPYQSLLLKSARESLGLNLKNSVVIIDEAHNLADSLTSMYNSKITSSQLNAILSHLEAYLNRFQSVLGAGNRRYIQTLTVLTRSFLRVLTSNQDDACTMTSMTINQFLFSLDIDNINIVKLCQYVKESNIIHKVSGYANKLNINQDGVNHLSHQQQYDEGSSIASFQTLADFLRSLLNCNDNGRIIVARKKFSGQPEDAYLKFVMLCAEKIILEITCDAYAVILAGGTLQPIEETRLRLFPNLPPSDIKFFTCNHIVPPESILPIAVTRGPSGKAFDFSYSSRSSHTMIEELGRFLCNVVTTVPEGVVMFFASYEYERFVYDAWAASGMISKISKKKHVFREPKNSVDVEMTLNKYKEAIQSCSKSSQDTGVTGALLLAVVGGKISEGINFSDGMGRCVVMVGLPYPSPSDVELTETIKYIENISKPVLVGGDNSSSSKYDDECKLQPGFDILRKCNKGGREYYENLCMKAVNQSIGRAIRHANDYAAMLLVDSRYAHTSSNRSSSSPTDKLPQWIKTRLACAQNYGEDSGGSSFTY >ORUFI05G08100.2 pep chromosome:OR_W1943:5:6667959:6674743:-1 gene:ORUFI05G08100 transcript:ORUFI05G08100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPPPPPPPRRDFPAFPFAPYPIQSEFMSFLYGALSSGPGALALLESPTGTGKTLSIICSALQWLGDHRDAAARGSTTAAAAGGGGEGDGDEPDWMRDFTPLPPEKPTAKKGRPPAAAARSKAPRRAAAGSEKPEGSEEAEDEEFLVEEYESDGEVCVRREAGKRPHRGGGSSSESEADGEEEEEEEVTPKVFFTSRTHSQLSQFVGELKKTEFGWRLRTVCLGSRKNLCINKDVLKLGSANRINERCLELQKSKKSSKTKVEGDNRKVCQSKTSCGCPMIRKRGLQKEFKSEVSEQGALDIEDLAQLGKKIGTCPYYGARDMVRTADLVVLPYQSLLLKSARESLGLNLKNSVVIIDEAHNLADSLTSMYNSKITSSQLNAILSHLEAYLNRFQSVLGAGNRRYIQTLTVLTRSFLRVLTSNQDDACTMTSMTINQFLFSLDIDNINIVKLCQYVKESNIIHKITCDAYAVILAGGTLQPIEETRLRLFPNLPPSDIKFFTCNHIVPPESILPIAVTRGPSGKAFDFSYSSRSSHTMIEELGRFLCNVVTTVPEGVVMFFASYEYERFVYDAWAASGMISKISKKKHVFREPKNSVDVEMTLNKYKEAIQSCSKSSQDTGVTGALLLAVVGGKISEGINFSDGMGRCVVMVGLPYPSPSDVELTETIKYIENISKPVLVGGDNSSSSKYDDECKLQPGFDILRKCNKGGREYYENLCMKAVNQSIGRAIRHANDYAAMLLVDSRYAHTSSNRSSSSPTDKLPQWIKTRLACAQNYGEDSGGSSFTY >ORUFI05G08100.3 pep chromosome:OR_W1943:5:6669943:6674743:-1 gene:ORUFI05G08100 transcript:ORUFI05G08100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPPPPPPPRRDFPAFPFAPYPIQSEFMSFLYGALSSGPGALALLESPTGTGKTLSIICSALQWLGDHRDAAARGSTTAAAAGGGGEGDGDEPDWMRDFTPLPPEKPTAKKGRPPAAAARSKAPRRAAAGSEKPEGSEEAEDEEFLVEEYESDGEVCVRREAGKRPHRGGGSSSESEADGEEEEEEEVTPKVFFTSRTHSQLSQFVGELKKTEFGWRLRTVCLGSRKNLCINKDVLKLGSANRINERCLELQKSKKSSKTKVEGDNRKVCQSKTSCGCPMIRKRGLQKEFKSEVSEQGALDIEDLAQLGKKIGTCPYYGARDMVRTADLVVLPYQSLLLKSARESLGLNLKNSVVIIDEAHNLADSLTSMYNSKITSSQLNAILSHLEAYLNRFQSVLGAGNRRYIQTLTVLTRSFLRVLTSNQDDACTMTSMTINQFLFSLDIDNINIVKLCQYVKESNIIHKVSGYANKLNINQDGVNHLSHQQQYDEGSSIASFQTLADFLRSLLNCNDNGRIIVARKKFSGQPEDAYLKFVMLCAEKIILEITCDAYAVILAGGTLQPIEETRLRLFPNLPPSDIKFFTCNHIVPPESILPIAVTRGPSGKAFDFSYSSRSSHTMIEELGRFLCNVVTTVPEGVVMFFASYEYERFVYDAWAASGMISKISKKKHVFREPKNSVDVEMTLNKYKEAIQSCSKSSQDTGVTGALLLAVVGGKISEGINFSDGMGRCVVMVGLPYPSPSDVELTETIKYIENISKPVLVGGDNSSSSKYDDECKLQPGFDILRKCNKGGREYYENLCMKAVNQSIGRAIRHANDYAAMLLVDSRYAHTSSNRSSSSPTDKLPQWIKTRLACAQNYGEVHRLLHQFFKLNRQTH >ORUFI05G08100.4 pep chromosome:OR_W1943:5:6669943:6674743:-1 gene:ORUFI05G08100 transcript:ORUFI05G08100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPPPPPPPRRDFPAFPFAPYPIQSEFMSFLYGALSSGPGALALLESPTGTGKTLSIICSALQWLGDHRDAAARGSTTAAAAGGGGEGDGDEPDWMRDFTPLPPEKPTAKKGRPPAAAARSKAPRRAAAGSEKPEGSEEAEDEEFLVEEYESDGEVCVRREAGKRPHRGGGSSSESEADGEEEEEEEVTPKVFFTSRTHSQLSQFVGELKKTEFGWRLRTVCLGSRKNLCINKDVLKLGSANRINERCLELQKSKKSSKTKVEGDNRKVCQSKTSCGCPMIRKRGLQKEFKSEVSEQGALDIEDLAQLGKKIGTCPYYGARDMVRTADLVVLPYQSLLLKSARESLGLNLKNSVVIIDEAHNLADSLTSMYNSKITSSQLNAILSHLEAYLNRFQSVLGAGNRRYIQTLTVLTRSFLRVLTSNQDDACTMTSMTINQFLFSLDIDNINIVKLCQYVKESNIIHKITCDAYAVILAGGTLQPIEETRLRLFPNLPPSDIKFFTCNHIVPPESILPIAVTRGPSGKAFDFSYSSRSSHTMIEELGRFLCNVVTTVPEGVVMFFASYEYERFVYDAWAASGMISKISKKKHVFREPKNSVDVEMTLNKYKEAIQSCSKSSQDTGVTGALLLAVVGGKISEGINFSDGMGRCVVMVGLPYPSPSDVELTETIKYIENISKPVLVGGDNSSSSKYDDECKLQPGFDILRKCNKGGREYYENLCMKAVNQSIGRAIRHANDYAAMLLVDSRYAHTSSNRSSSSPTDKLPQWIKTRLACAQNYGEVHRLLHQFFKLNRQTH >ORUFI05G08110.1 pep chromosome:OR_W1943:5:6679220:6681287:-1 gene:ORUFI05G08110 transcript:ORUFI05G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGGSKPGGEVPSLLPDVEVTNVASFDVTAPSPRSQPSPRPLPHPNTPTRPRAPSLVRVPRRGGEAVLPPLESPGFRSVQPVSVSLPASPSGFGAPTPVGGGGGGDSDVDLRRQAAANAAREPLHSPASQAKGGGNGVRFVQPERMMFLSQPIPGGQPSRTATRGGGGRAMCRDKRYDSFKTWSGKLERQLTHLAGVGPEAPVDKERGDAIGSHHTSSLPKVDRFFAALEGPELDQLKSEEELVLPSDKTWPFLLRFPVSAFGMCLGVSSQAILWKTIATSGPTAFLHVTTKVNLVLWCVSVALMCAISATYGAKVVFFFEAVRREYYHPIRVNFFFAPWIACLFLTIGVPDSVAPTLLPHWLWYALMAPVLCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLREGPVFFFAVGMAHYSVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWARITGEFGLGSRVAYFIAMFLYASLAVRINFFRGFRFSLAWWAYTFPMTGAAIASIRYSTEVDNALTRALCVALSAVATLVVTALFATTMIHAFVLHKLFPNDIAIAITDGQSMIPIKELLEMHADAADADADDEDIESAAAKPTAARS >ORUFI05G08120.1 pep chromosome:OR_W1943:5:6680543:6684224:1 gene:ORUFI05G08120 transcript:ORUFI05G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSLSTGASGPTPARWVSCRSSLPDHVLNESYRLSRHMARPPPPRVAVRDGCPPGIGCDRNIIRSGWTNRTPLPPPFACDAGECSGSLAAFAAACLLRSTSESPPPPPPTGVGAPKPLGDAGRLTDTGCTDRNPGDSSGGSTASPPRRGTRTSEGACIANEQTVSDLHDGGSTHKGFEFYWERWSMHVWWGLTSPLLLSFVKSSNYQGFPNRRGQGYCAPAVAGTPEFKF >ORUFI05G08130.1 pep chromosome:OR_W1943:5:6699089:6703028:-1 gene:ORUFI05G08130 transcript:ORUFI05G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWKQLNCMNDCRYYCMMQREGERQSRGLNPVKYHGKWPFIRVSVFQEPLSAALSAVNLLMHFTGWLSFFLLVNYKLPVRPQTKRTYYEYTGLWHIYAILSMNAWFWSSIFHTRDIDLTEKLDYSSAVALLGYSLILSLLRTFNVKDEATRVMFAAPILAFVTTHILYLNFYELDYGWNMKVCVVMAVVQLLAWAIWAGITQHPSRFKLWVVVFGGALAMLLEVYDFPPYKGYADAHSLWHASTIPLTYLWWSFIKDDAEFRTSTLIKKAK >ORUFI05G08140.1 pep chromosome:OR_W1943:5:6703112:6703459:1 gene:ORUFI05G08140 transcript:ORUFI05G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSIDRSYQKPGSSLHGGSGPGRATEEGVSPEEEEEPRERGLAGGRLGLRGVGLRAPRRRAERGSGRVGDGEEGFGNGGRRERRRGWRPPAARFEAEEETRRECDEIGIEFEMG >ORUFI05G08150.1 pep chromosome:OR_W1943:5:6706482:6707102:-1 gene:ORUFI05G08150 transcript:ORUFI05G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYIYRSNSADGVCRHFETQQLHHKAEATVNEWGWYKMEVSEDHREEICEVVLLRSPEPECAEIERFRDRSRVALTGNNGIKQGGLWYANPIAFFRKDPLPNFAPTTSTMTTPRTETDSFIHPSLHFKISISSYVSRKIYVSRLLRFLFGPQNRYRPVSTKEIM >ORUFI05G08160.1 pep chromosome:OR_W1943:5:6715592:6716824:1 gene:ORUFI05G08160 transcript:ORUFI05G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSVVVHQRGGAGAGDEEEEIGVFTAERYFSGADEVDALWCGGSSSSLSSAFKTGGGQQEYWSAAPTTLTAATTSSEASWNSRSALLRDAAAAVAVETEEPSGAGGDLCTPGTKASSPSHNLLLRWLLGVAACACAGGGAEEAVIADDCRRDEARAAGVAVGGEKRISTEAAEAVATTRVSRGMCDGDVFDAGTATPPPLPQLAEPRRIRTADSGEVSARVFNTRATAAAALAADERRRRSLDMFASATRQQQSSQNPAFTIVAGTSTAARDAGGAGASATIRRDDPGAAAGDDAASSDGELVECAYPPSEASVVWSVVTADGVASAGNFSSAASGYYHHYYYHNGGGGDGRRTDAGKSSRRSSAGGLLTMGCMSDRALDAISPARVVHRRPLPPGGGRRWCHVARRR >ORUFI05G08170.1 pep chromosome:OR_W1943:5:6718645:6722315:-1 gene:ORUFI05G08170 transcript:ORUFI05G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQLLLLLPLPLPSRTFSKPLPSLPIPLPALRLSSSAYAARRRDLLRSLRCGMRRSDLVADLELAKDKQWQGRRPNGIFWILLLNVGIYVADHLFQIEHIKAMYLYHAFPSWFQFVTSTFCHANWNHLSSNLFFVYIFGKLVEEEEGSFALWMSYILTGAGSNLISWLVLPTSSVSLGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVVDKVMEAARATTVTGHSLQVNNIAHLSGALIGAALVFLINRIPFPSDGDSSKSSKDTREKRS >ORUFI05G08180.1 pep chromosome:OR_W1943:5:6727508:6733787:1 gene:ORUFI05G08180 transcript:ORUFI05G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSISMYRGNLHRAGADTDHRWPAPRPTITPSRFRSLLRSRTLSLARLDGAARADSAASSSTSRLADGDAGVDEEEQDEEGMEMEEEEEEEQEQEQEEEDGQNEQQPQEAGEEQDEGAVEDADMDDAGEVLVGGEDAHGNGDAQEGQGESEGFDPNPEGSCLDVIEERKKELSDKLDTLNKKKHDLVQMLKQILNAEEEIRMRTMQASLRTTVPQPSENAADGSSISRLVPRMTVDVNFSDVAGESEAGSNQGTPGRPLHHVHSISPSTASFARSPFGSLQHNSGHTPRSPATFSTASPSRFAATGNQGHPIGHPSISLPGINFVASSPSPAASGGSSSVFRDYRPPNST >ORUFI05G08190.1 pep chromosome:OR_W1943:5:6750976:6756188:-1 gene:ORUFI05G08190 transcript:ORUFI05G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKVRPEAGDYTIFMGLDKFENEDLIKYGFPEDIWFHVDKMSSAHVYLRLNKGQTMDDISEGVLEDCAQLVKANSIQGNKTNNIDVVYTPWYNLKKTPSMDVGQVGFHNSKLVRIVKVEKRINEIVNRLNKTKVERKPDLKAEREAVSAAEKAERKVQLRDKKRREEMERLEKEKQAEIRSYKNLMVQEKMTSNKQVASGSKTLEELEDDFM >ORUFI05G08190.2 pep chromosome:OR_W1943:5:6750978:6756130:-1 gene:ORUFI05G08190 transcript:ORUFI05G08190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKVRPEAGDYTIFMGLDKFENEDLIKYGFPEDIWFHVDKMSSAHVYLRLNKGQTMDDISEGVLEDCAQLVKANSIQGNKTNNIDVVYTPWYNLKKTPSMDVGQVGFHNSKLVRIVKVEKRINEIVNRLNKTKVERKPDLKAEREAVSAAEKAERKVQLRDKKRREEMERLEKEKQAEIRSYKNLMVQEKMTSNKQVASGSKTLEELEDDFM >ORUFI05G08190.3 pep chromosome:OR_W1943:5:6741689:6750700:-1 gene:ORUFI05G08190 transcript:ORUFI05G08190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQPMDGVTTIPPLLLLICSKPNQWKARVSPTREWRALLVARLVAGGMAGRPSASSLRQTVWFRRLCLPRPSLSSTLSVELSDNHISEGVLEDCGQLVKANSIQGNKTNNIDVVYTPGYNLKKTPPWMWVKLVCCFPPPKASTMPPPLLLFFLLAGAGATTAVEEPPAALSPPHKNATLSEILLRYGLPPGVFPTSVTAFTLAANGSLAVDLQGPCYSHYEYLTYFEARVVGLLRYGSLTDLSGVKVRRFLVWFDVIRVKVDLPPPPHYVYLDIGWITRKLPADEFESPHKCDDSKKCRLSSALATAAVWFQV >ORUFI05G08190.4 pep chromosome:OR_W1943:5:6741689:6756188:-1 gene:ORUFI05G08190 transcript:ORUFI05G08190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWVKLVCCFPPPKASTMPPPLLLFFLLAGAGATTAVEEPPAALSPPHKNATLSEILLRYGLPPGVFPTSVTAFTLAANGSLAVDLQGPCYSHYEYLTYFEARVVGLLRYGSLTDLSGVKVRRFLVWFDVIRVKVDLPPPPHYVYLDIGWITRKLPADEFESPHKCDDSKKCRLSSALATAAVWFQV >ORUFI05G08200.1 pep chromosome:OR_W1943:5:6745898:6746344:1 gene:ORUFI05G08200 transcript:ORUFI05G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCAAVRARPNQFTPSSFPTHVDTSNASNDENTYTNTVVCMSAHPRRRHHRLRLRQEAAQQDHHLVPPPLEAHRHSAASCASRPQPASHSAVGPQAAPSVTSRTTTTLHLRAAAFLSPPPPALVAAVGTASTLVGPPSPSSSRLVSE >ORUFI05G08210.1 pep chromosome:OR_W1943:5:6746361:6746682:1 gene:ORUFI05G08210 transcript:ORUFI05G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTDFPPPVAAAAICSKIASCCTRRRTLSARVGGGGEKNREGRGKKGALRAAADGRRRQPHTLGSLENLILINKPIYKSCVDEFITMVDEETGEPV >ORUFI05G08220.1 pep chromosome:OR_W1943:5:6772236:6772947:-1 gene:ORUFI05G08220 transcript:ORUFI05G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTLAECEAAPQAGEAKRCATSLESMVEFAASSLGTRDVHAVAAAGASHAASPAEMYWKIALPTSPMPGAIRDLISPASSVGSASKEDTVGN >ORUFI05G08230.1 pep chromosome:OR_W1943:5:6808662:6809054:-1 gene:ORUFI05G08230 transcript:ORUFI05G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERGLAGNGWRRRIWRQEGEGGGDRRRSSDGEGGSGRSPGVPDPVVPNHLETGSGAHHLEATTGDHHRSSWTKKRPPELRDEEDPPEISRIRQGGRGGAVARGWPTTGGESSVALAAGRRGEASAEVEV >ORUFI05G08240.1 pep chromosome:OR_W1943:5:6832936:6833472:1 gene:ORUFI05G08240 transcript:ORUFI05G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKTVAGRMAGTARRMEASTAAGRLGRVLRLRLCRYSRSEYLFPEAQPFPHADFADEVAYLDSALPGELLLFRRSAVMSWSSPDASPRWHVYLASSSSSSSKSTFSARPLTTCGWPDKGRPCLCGRLTSGGTPLPATILHSPYSATMYIRPPATVQEPVHPSHIPRAISDHEYDATP >ORUFI05G08250.1 pep chromosome:OR_W1943:5:6834453:6835782:-1 gene:ORUFI05G08250 transcript:ORUFI05G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYRSLYRLASTETEGVAAARASHAASPAELYWKIALPTSPMPGAIRDLINPARSASQEDTDMDEVSTDAVFFLEKDLFPGSKITLHFTRGGACAMVLLRGRADAIPFASEKLPEILTQLSVPAGSRAAEDMRTTLAECEAALLGARDQAKHCVTSLESMVEFAAASLGTRDIRAVSTEVIGTGAAETPRQEYTVEAVKPVVSVSGGNMVTCHGMPYAYAVFGCHTTTATAYAVTLAGADGTRAEALATCHGDAFPGVAEAYERVGVAAGSVPVCHIMPLGDMLWVRN >ORUFI05G08260.1 pep chromosome:OR_W1943:5:6838366:6840460:1 gene:ORUFI05G08260 transcript:ORUFI05G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTWTTNELWQFTAKAQYVFTKLAKRSIKGCQAQLGAEAAWEFGTTQIQSLLEQYRRGDRWTREARRGGGGVVGGGARGTEATHGGVTRQGGGRGRVAEEVARGTEAIHGGVTRQGGGLRRQRAMEWRSAEEHGVAERACWRSSVGSNRIYAASLN >ORUFI05G08270.1 pep chromosome:OR_W1943:5:6842413:6842667:1 gene:ORUFI05G08270 transcript:ORUFI05G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREMIEKDQIALTHVASTHALPSCNAAPAPSAPPCCAGGGGRLESRGGLSAPGARRYSRCYERWSGRVPMVSGQRHEWPAPTS >ORUFI05G08280.1 pep chromosome:OR_W1943:5:6851850:6856772:-1 gene:ORUFI05G08280 transcript:ORUFI05G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGGGREGGGGMNGNGTAYAGALSPAARYAESGGASLTWENLTAVLPGGGGRATKKLVQGLYGYAVPGRVVAIMGPSGSGKSTLLDALSGRLARNVLLTGKVLLNGKKRRLDYGVLAYVTQENVLLGTLTVRETVTYSALLRLPSTMSKAEVRRVVDDTLDEMGLRECADRNIGNWHLRGISGGEKKRLSIALEILTRPRLLFLDEPTSGLDSASAFSVIETLRQLAVDGGRTIVSSVHQPSSEVFALFDDLCLLSSGECVYFGDAKLAPQFFAETGFPCPSRRNPSDHFLRCVNADFDDVAAAMKGSMKLRAEADFDPLLKYSTSEIRERLVDKYRISEYAMMVRNTIHEISKIEGVIEEVVMGSQASWCKQLTTLTRRSFTNMSRDFGYYWLRIVIYVLMAVCLGTIYYDVGTSYAAIQARASCGGFVSGFMTFMSIGGFPSFIEEMKMFTLERQNGHYGVAAYIISNFLSSMPFLLAVSWASASITYWMVKFRPGFSYFAFFALNLYGGVSVIESLMMIISALVPNFLMGLILGAGVIGIMMLTSGFFRLLPELPKIFWRYPVSYIVYGSWGLKGAYKNDLIGLEFEPMMPGQPKLTGEYIITKMMGLSLNHSKWLDLSMIFVLLFAYRLIFFLVLKAKEAAAPYIRVAYTRFTIKRLERRASFRKTLAMSSMSKRHNQPPHPMAVQEGLNSPMPY >ORUFI05G08290.1 pep chromosome:OR_W1943:5:6878587:6879078:-1 gene:ORUFI05G08290 transcript:ORUFI05G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSVEVEIQCSADGHALPHLDPAPGVASANAVAAAAAVDILVFAVTAAAAARCMRDSRIFPPSLPRSVSHPSSRGVLGGFAAGRIEPRQPPSGSPAARALRLLPPRTARLLPARRRCVALLPRRRATTPPGGMRRKLRKRREIERREEGKEMMTRSDVWGPR >ORUFI05G08300.1 pep chromosome:OR_W1943:5:6893927:6894496:-1 gene:ORUFI05G08300 transcript:ORUFI05G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRGGGGGVASPTPAKVEGGGGGGGGGPGMPMAELEQVFRRYDANGDGKISAEELASVLRALGAPLGPGEVRRMMDEMDSDRDGFVDLSEFAAFHCGPTPAHGGKGGDAKDQEAASEAELREAFRMYDADSNGKISARELHRVLRQLGDKCSVADCSRMIRSVDADGDGCVNFDEFKKMMGGGGGRR >ORUFI05G08310.1 pep chromosome:OR_W1943:5:6907728:6918018:1 gene:ORUFI05G08310 transcript:ORUFI05G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMGLERERKGRAMAAPWWDSEYKDRSSLEYRVYVGNLPYSVDEQTLMDYFADYGAISAEIAWDSEMGRPRGFGFVNFEDNESVNAAIHGMNGQDIGGRKRGRQGKDMAAPWRDSDYKDRSPLEYRVYVGNLPFSANDRSLKDSFANYGAISAEIAWDSVMGRSRGFGFVNFEDSESVNAAIQGMNGQDIGGRNVTVAQANTRPRRWRA >ORUFI05G08310.2 pep chromosome:OR_W1943:5:6907728:6918018:1 gene:ORUFI05G08310 transcript:ORUFI05G08310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMGLERERKGRAMAAPWWDSEYKDRSSLEYRVYVGNLPYSVDEQTLMDYFADYGAISAEIAWDSVMGRSRGFGFVNFEDSESVNAAIQGMNGQDIGGRNVTVAQANTRPRRWRA >ORUFI05G08310.3 pep chromosome:OR_W1943:5:6906321:6907861:1 gene:ORUFI05G08310 transcript:ORUFI05G08310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIPSLFIPGIISPFSSKIISLHFLSRSFRIRRKREELGFREGIKGKGRICLRRGGTTTTMAAPAPTRRGRRAACTSATCRTAPTSAPSRTPSPTTAPSAPRSLWTVRRGGPAGSGS >ORUFI05G08320.1 pep chromosome:OR_W1943:5:6920510:6921707:1 gene:ORUFI05G08320 transcript:ORUFI05G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRGQTLVLILVLFLDGGSIEEAPCISSRQPSFLNIIEELKMYILNPVTLLENACSVTYTYFFMLIIWDEVLISGFGHKGHAVGDIRGVRFEVVKFYSRRSRGLRYSWLASFEYIIHGIFKYYLIVIMLIRTMASLLFLFYYAESLSFVPLPVHLAFTSSNSFPLLI >ORUFI05G08330.1 pep chromosome:OR_W1943:5:6926545:6927140:1 gene:ORUFI05G08330 transcript:ORUFI05G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAVKLSYMVDETYFTIQAELEAQFKEVWAKIDWSGIETADWDDFNGPNYLFSGECSMLMSCSRAVYFKDAV >ORUFI05G08340.1 pep chromosome:OR_W1943:5:6934843:6936348:1 gene:ORUFI05G08340 transcript:ORUFI05G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSLDADEEDASRRLRVLHIVETAGVFDMVVPLLEQADAHGRLVLWRLEQEDGSDKGARRRDMGTRRVLVTQGWGRWVRAARPERRVRAGGDECPRRQRGKSDSFFVHFDGSRRRVQEGTQRLAAHAGRTDKLLPARWTNDGACMDRLTDATLDGRSGTK >ORUFI05G08350.1 pep chromosome:OR_W1943:5:6958715:6959747:-1 gene:ORUFI05G08350 transcript:ORUFI05G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMTNSGGGGKAMCGLSDDGGNGRAVTRGLSDGGGCRRTCEGGLRADLRQQRWRRSG >ORUFI05G08360.1 pep chromosome:OR_W1943:5:6963698:6967406:1 gene:ORUFI05G08360 transcript:ORUFI05G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLKVDMSWNVLISPSELSPKGLLLRKAVIVSLLEEIANRKASKDHGYYIAVSELKAISEGKVRELTGDVLFPVTFTCITQKPTKGEILVGSVDKILKHGVFLKSGPIESIFLSEKTLSDYKYIGGENPMFMNDHSKLEKDTAVRFKFDVMMMMIRPVAVMLAVDLTLEGEASIDRNRLGLTSRLNIGGFGHAFYKYPLNFRKNSNSPNDPSFATTFVFTITTWRDQPQEAGSDGIAFVLSSTNKLINHSLGGQYLGLFNASNTSQNILAIELDTFMNPDLNDMDDNHVGIDVNSLISINSHTAGFYTSDGGFQLLRLANGRSPILQLWVDYDGKAHQLNVTLGLPYSPKPEYPLLSSIVNLSSLLPSSSYIGFSASVNSPKTRQFILGWSFKENGRVPPLPSVPVTDPETYGWGGNFFAPPPPPQLNTHQVHKHSLQILLPIVMTSVILLLLVAFLGWRKKAGPQEDWEMKCRPPSFIYKDLYNATSGFSDKMLLGKGGFGKVYRGFLPASKRNVAIKRISPESKQGMKEFMSEVAILGNVRHRSLSVTWLSQEQARAPLGWSQRFRTIKGVACGLAYLHEEWERVIIHRDIKSSNVLLDEEMNGRLGDFGLARLHDHRVDAYTTHVAGTYGYIAPELARLGKSTKGTDVFAFGVFMMEAARGNRPIEVNSCGEPQALADHVLNAWQRSSIINSIDPSLEDHVAEEVVLVLKLGLLCSHSSPKVRPSMRLVMQYLEREATLQDFAFSFFSINEANNEVYGQHVVSNPSVATTITTLSGGR >ORUFI05G08370.1 pep chromosome:OR_W1943:5:6968211:6981891:-1 gene:ORUFI05G08370 transcript:ORUFI05G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVSQIRRSPPRIEKRKKKNHNSLSGKAPPTRGNCDSLPPVWNAKSASECHWTRRLTSNYLSLVVVVVGEELPPCFAPPPMETPPPDPVSPPPPAADEGSPGGDDGAEDAGGFSAGLDSLWTALFGSPEELEPMWSPPRGFGVGAEFAAAEVEPEIMDVAGGPWDGAPWRSSGVVAGEGAATALVPPTAAAGFAEFEPAAPIDSYPAGAAAASLGDVPEVSALDSGVDCSPDPPPSSSPPVDFDARGFDPVADSAPAMESPLPPSVASSEANLDGRMLDCTLNSVPSPPLASPYEVGLGAEDPIKDSSPSVAWGTTMDAKDPEVDATCANGTALRRSRRIMKIKSAASSMPLNQNGDSSRASKRRVADSRKSRSSEGSKLPAFTGPISVNTVDLINGVKVQGLQEIVAVENVSSSYDNNQKAGGLYNQVVVALPAASNSLLKDKGASVLPRRKTRLASKVLVNSDRVSAISPVVNGGPPVQKSDVCIPTKKHKLAVEECLTSLDGVDGGGIVLCNSKLKSAKSRVVSKTPQGRGRRSPQPPKTQRARTLSVKYLEKLKRAENNNNNGSMSKSPRVPMIPENNGSMSKSPRVPIIPELSTKHELVLDKHMVDSVMLETDDGSCFFVGDAVPDDEARKQWPHRYEINDQIMKKDKRTSSQTFAKLVTVSFCVVFMYVYLQMLDFRGPEGKPNYIGRLLEFFETKTGECYFRVQWFFTAEDTVIGEQAQSHDPRRLFYSDLTDDNLLDCIVSKVTIVQVPPSVDGKSKSVPSSDYYYDMKYSIDYSTFSTIEMEDTDDLMQSCYTSRINDKMKKIDVNKKHKSPVLEKMELSLLDLYCGCGGMSTGLCLGARGGGVNLSARWAIDDDEIACESFRNNHPETRVRNETTDDFLELLKEWEKLCKTYVKHSRTKACVDSTTESNNETPDCSTVPPEEFEVWKLVDICFGDPNKVSKHGLYFKVRWKGYGPHHDTWEPVEGLRNCKEAIRDFVIEGHRQRILPRPRRNIAVFLLRPSKFPLGDVDVVCGGPPCQGISGYNRNREFEAPFKCEKNKQIIVFMDVVQFLKPKYVYMENVLDILKFADATLARYALSRLVAMHYQARLGIMAAGCYGLPQFRMRVFLLGCHSKEKLPPFPLPTHEAIVKNGCPLAFERNLVGWPNDTPMQLARPIVLEDILSDLPEVANGESRDEMLYVKGPQTEFQRYIRSFNVEVHGPRAHVTKDSKSSKLYDHRPLVLDNDNYQRILQIPKRKGANFRDLSGVIVGPDNVARLDPTKERVLLPSGRPLVLDCILAYENGKSLRPFGRVWWDEVVGTVLTVPNARMQALIHPAQDRLLTIRESARLQGFPDNYRFRGTVKDRYRQIGNAVAVPVGRALGYALAMAYLKKSGDDPLMLLPPNFAFSHDLRGFA >ORUFI05G08390.1 pep chromosome:OR_W1943:5:6995649:6995886:-1 gene:ORUFI05G08390 transcript:ORUFI05G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRVIVDAREGVVDEGAQGHTEDDNGDTIAAVVEVDDAEEPIRTVKDETSRRVCQTRGQLGTNTCNGVANTARAR >ORUFI05G08400.1 pep chromosome:OR_W1943:5:7006261:7006743:-1 gene:ORUFI05G08400 transcript:ORUFI05G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDSQKHHEKNLPPSIPPQPASCIARCPHRPPPPNASPPITSSGGEGSAAASSPTTVVVACRPPRASWAPAAHIAHRRLTGLCRRLPSHNGHRRLPPPLLLDPAEGTPDPTEPPPPHTSRCRPPPPCAPQLPPPSRRATANSEPPIAVAGRGGGRGLER >ORUFI05G08410.1 pep chromosome:OR_W1943:5:7010701:7010996:1 gene:ORUFI05G08410 transcript:ORUFI05G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKGWVLVAVTYTKGWVVTMSMAADGSDDDQFKFTPDSNNEVDDHRFSLDQEFVPETEF >ORUFI05G08420.1 pep chromosome:OR_W1943:5:7011563:7012920:1 gene:ORUFI05G08420 transcript:ORUFI05G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGAGLSKHIRVESSISTSKARCLSNSCPTRRRYMARRCVETKKKVVISLVEEEYEPIDDIKLEMLCLLIPGYT >ORUFI05G08430.1 pep chromosome:OR_W1943:5:7016676:7022020:-1 gene:ORUFI05G08430 transcript:ORUFI05G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVGVALQGKGGDEIGELMSCDVALGMGRANSSVTTVSPCLAVAVLLLGVVVAAIGAFADEGAASGWGLGHGARFLSRRGRAAYEKSRGRAAYEKSSEPEAKPASQQEPKSDSYSQAKPGPKDEPNPEPEAMSASQPESKPATYSESKPESKAEPESKPEPKAESTPQPEAKSEPKSEPKPKSEPYAEPKSEPKSEPQSEPNPETKAEPKGGGGENTMGTSGGNPRGYGGRGPRGCSGESPRGYSNSKPTGTVEGWVAARGDNNEGSGGLGSGGGSLGKWVACGGDDGGGFAKCLLDAEFVPKTEFQECGGVEEKGDRIQDCGKVEEKGGGIHDCEGRMEVEKGGVICGNSSMVHSHHGGIWPPSRRCGQ >ORUFI05G08440.1 pep chromosome:OR_W1943:5:7022176:7025612:-1 gene:ORUFI05G08440 transcript:ORUFI05G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPCLAVAVLLLGVVVAAIGAFADEEAASGWGLGHGARFLSRRGQPKSESKAEPKPERKSYSKSKPEPESKPEAKTEPQPESKPEPKPESAPKKETPSGGGNTMGAGDGNPRGYGREGPRGCRGESPRGYSNSKPTGVVKSWVAAAVMTATEGWVVAAAMMTMATEGWVLMCLPDAVSNTVEECGTREDTTTYLKKANILFWIHKMGTTTTSSPQTRSLCPRLSSRIVGKWRRRVVGFKTMAKWRRRVGFQDCRETEENVGGIQDCREVEKGGVISGSSSMVHSHHGGIWPTARRCGQQLRDK >ORUFI05G08450.1 pep chromosome:OR_W1943:5:7037274:7040081:1 gene:ORUFI05G08450 transcript:ORUFI05G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVKNVLGKMGKRLGEAARKTESLNARDYPRRFPLLLEPLRRSTRDAGLAPTGHCSAEPPPRSHVLPIRARHAGPSLSPPAPSLSNVPPNILRDAWELVIWGLLQDGGISLRKGSFFVVYDRSSLLDQLLFGTPHSSCCVLKRLNHYFFPILVTSNRHKALRKDMAICESSIDIIKVTAASVVPVNLV >ORUFI05G08460.1 pep chromosome:OR_W1943:5:7054345:7055427:-1 gene:ORUFI05G08460 transcript:ORUFI05G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPCLTAAVLLLGALAVASALVDEAAAAGQGLGHGARFMSKQGRAMYEKPPELEPKPKPKPKPHPKHESKPEPKPEPKPEPKPYPEPKPETKPELKPEPKPNPEPKPEPKPEPKPEPKPYPEPKPKPKPEPKPEPKPEHKPEPKPEPEPKPYPKPKPEPKPGPKPEPKPEPKPHPEPKPEPKPKPVPHPEPKPEPKPEPKPHPEPKPEPKPEPKLHPKPEPKPHPEPEPKLKPEPKPEPKPEPEPKPEPKPEPKPEPKPYPKPKPEPKPVPKPKPIPHPGPKPKPKPDPKLEPKPHPEPKPHPMPEPEPKPKPEPKPEPKPYPEPKPKLKPEPKPGPKPIAPPNKHKPPHMPPATNQ >ORUFI05G08470.1 pep chromosome:OR_W1943:5:7077923:7079197:-1 gene:ORUFI05G08470 transcript:ORUFI05G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPCLAVAMLLLGALAVASAFIDEAAAAGRGLGHGARFMSKQGRVTYEKPPEPEPKPKPKPHPKPTPKPEPKPEPEPKPVPEPEPKPEPKPEPKPEPKPEPKPYPEPKPEPKPEPKPKPKPEPKPEPEPKPEPKPEPKPEPKPYPEPKPEPKPEPKPEPKPEPKPKPEPKPHPEPKPDPKPEPKPHPEPEPKPEPKPEPKPHPEPEPKPEPKPEPKPEPKPEPKPEPKPEPKPEPKPKPEPKPYPEPKPKPEPKPEPKPEPKPEPKPEPKPEPKPEPKPEPKPKPEPKPHPKPEPKPEPKPEPKPEPKPEPKPEPKPEPEPKPEPKPEPKPEPKPYPEPKPDPKPEPKPHPEPKPEPKPQPEPKPEPKPEPKPEPKPEPKPEPKPYPEPKPEPKPEPKPEPKPEAPPKKHKPPHIPPATDQ >ORUFI05G08480.1 pep chromosome:OR_W1943:5:7093516:7098959:-1 gene:ORUFI05G08480 transcript:ORUFI05G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAAFYRDREDAAPPSSGPGGGGAMAAYDPSYVPDSVKTFVSHLYRHIRDRNVYETHQMYEGGFTRLSDRHFRDTPWPPAEAVAAHCDGDHVFLLLYRELWFRHAHARVQGLTPAQRAESWDNYCSLFSVVLQGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKLKNKTHEEIALLKQYDQAWSVYGVLNYLKALVEKSMIGEILEREKVGLEQFTATDGYDYEGGSNVLKMLGYYSMIGLLRVHCLLGDYHTGLKCLAPIDISQQGVYTTVIGSHISTIYHYGFASLMMRRYIDGIREFNKILLYILKCKQYHQNSPQYDQLLKKNEQMYALLAICLSLCPQDKLIDENVGTQLKEKYGDKMTKMHRYDDEAYAIYDELFSYACPKFITASPPVLREPYTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSTITIGKLAKYMDVDEVTLRTILMTYKHKMHSIDSDGKVISSADFDFYIDEDIIHVVESKLTKNHGDYFLRQILKFEEMITQLDKVQFD >ORUFI05G08500.1 pep chromosome:OR_W1943:5:7105455:7111083:-1 gene:ORUFI05G08500 transcript:ORUFI05G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSSSPAKTTTTLALLLVVAAAAAAVAAEAAAVAADAARGVRQVNRRGPYLGVVVPNGFEMEPLLRSPAFSPAKKLPYLDVAGRRFRFGSIGEKKVIIVMTGLGMLNSGVTTQLLLTLFDVEGIVHFGIAGNADPDLHIGDVTVPRYWAHTGLWNWQRYGDGPEKELALESNGDYTRKYGALNFSDYGVAGGGGGNLLNGVWYQPEEVFPADGTPESRRHEFWVPVDGRYYQLAQKLEAMPLERCVNRTGTATSPATCLARAPAVARVERGCSASVFVDNAAYRQFLRSRLGVTPIDMESAAVALVAAQQGAPFIAIRSLSDLAGGGSAESNEAGVFAALAAQNAVAVAVKPRCLTCPVDQARQAPDNTQAVTRPIYQKTAAACDPGFQTLVSMGRSGPNS >ORUFI05G08500.2 pep chromosome:OR_W1943:5:7105834:7111083:-1 gene:ORUFI05G08500 transcript:ORUFI05G08500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSSSPAKTTTTLALLLVVAAAAAAVAAEAAAVAADAARGVRQVNRRGPYLGVVVPNGFEMEPLLRSPAFSPAKKLPYLDVAGRRFRFGSIGEKKVIIVMTGLGMLNSGVTTQLLLTLFDVEGIVHFGIAGNADPDLHIGDVTVPRYWAHTGLWNWQRYGDGPEKELALESNGDYTRKYGALNFSDYGVAGGGGGNLLNGVWYQPEEVFPADGTPESRRHEFWVPVDGRYYQLAQKLEAMPLERCVNRTGTATSPATCLARAPAVARVERGCSASVFVDNAAYRQFLRSRLGVTPIDMESAAVALVAAQQGAPFIAIRSLSDLAGGGSAESNEAGVFAALAAQNAVAVAVKFISLLS >ORUFI05G08510.1 pep chromosome:OR_W1943:5:7118010:7118908:-1 gene:ORUFI05G08510 transcript:ORUFI05G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNQSLPHRLNEGQNRNQNQRQSQSLSRRLNRSQSEKPNPETKAEPKSEPKSEPKSEPTPQTEPKAESQPEPKTEPKSESKAEPKPERNADDEVVDARTAMKTTKAAVREDNTAAAPCRCSGEKSTGDVAILRRAPLSTATTSGGVDHRRWHCGPRGRRSGNPKLDGVDACLMTIGCRSRCSRTPERVISPSMHGAKRCS >ORUFI05G08520.1 pep chromosome:OR_W1943:5:7126546:7134218:-1 gene:ORUFI05G08520 transcript:ORUFI05G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGEFQSSLVQQMIWSGSSTGTATGVGSGGGAGSLMGSLKPCHEDQEASPNMPSLSSPSLIFSQQFQHSSPGLVPMNGTAGAAASLPSLHDGGGGGHESSMPESWSQLLLGGLAGDQERYSATAALLSKGLENWGDHAAAAAASACMVGGMKEEGSMAQAAATAAAAAYSFYGSHLAGDHQHEIQAAAAGGGASNKSQLSQMLMASSPRSCITTSLGSNMLDFSNTAAPPELRSHHHNSDNSSECNSTATGSALKKARVQASSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETIGYIRFLLSQIEALSYPYMGDANGTGPMQNGPVGERNPGLFPEYPGQLLNHNGNTGAQQPAAQPEQQGANDDGKKDLRSRGLCLVPVSCTSHFGGDNAADYWAPAPLGGILRDTHKP >ORUFI05G08520.2 pep chromosome:OR_W1943:5:7126546:7134218:-1 gene:ORUFI05G08520 transcript:ORUFI05G08520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGEFQSSLVQQMIWSGSSTGTATGVGSGGGAGSLMGSLKPCHEDQEASPNMPSLSSPSLIFSQQFQHSSPGLVPMNGTAGAAASLPSLHDGGGGGHESSMPESWSQLLLGGLAGDQERYSATAALLSKGLENWGDHAAAAAASACMVGGMKEEGSMAQAAATAAAAAYSFYGSHLAGDHQHEIQAAAAGGGASNKSQLSQMLMASSPRSCITTSLGSNMLDFSNTAAPPELRSHHHNSDNSSECNSTATGSALKKARVQASSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETIGYIRFLLSQIEALSYPYMGDANGTGPMQNGPVGERNPGLFPEYPGQGANDDGKKDLRSRGLCLVPVSCTSHFGGDNAADYWAPAPLGGILRDTHKP >ORUFI05G08530.1 pep chromosome:OR_W1943:5:7160739:7161113:1 gene:ORUFI05G08530 transcript:ORUFI05G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGPLDWAAWMRIASAAAHGLAYIHHASRRGSGTPRLVHGNIKSTNILLDKAGVARLADSGLALLGSSPAAAAVRSAGYRGPEAPPPAAAPTHAPRAWPKGREEKEKEREKGERGEEEERERG >ORUFI05G08540.1 pep chromosome:OR_W1943:5:7161299:7168519:1 gene:ORUFI05G08540 transcript:ORUFI05G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRRQRCSRAAGKASWEEVLPTVVALSPFSRRASLEMAGVDSLETAGEGARFTSPLLAKGRRRFRRQQRH >ORUFI05G08550.1 pep chromosome:OR_W1943:5:7183849:7186547:1 gene:ORUFI05G08550 transcript:ORUFI05G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHDCFNLINIVDFGRHPDYRQKLNIEQLVESVCNGHGIDYNISKCKLILIPTDYCGTYVLIILDQETRTLYILDPTPLNPIYENNPNARYTNFYVSAMIKACPRPRWNEDINLWRQIILPNIPVRNRGAGEFRHTSAQRRRGDKASGRRN >ORUFI05G08560.1 pep chromosome:OR_W1943:5:7187042:7188000:-1 gene:ORUFI05G08560 transcript:ORUFI05G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRFAARKVSRGALQQATAFVSPSPAVKEEQRRLLPEHQTIASLRPHAKPVADVDLSRVPESIRPQFESWYRRHYAHTRFVNAESSAPNSCKEPASLRAQIEEKKHELFHLLFELKYGRKSIAGGEKLSIQDERILGELTPYRELKAMADKYGLKQALKYIGITYVLGFAVLGMAVNHM >ORUFI05G08560.2 pep chromosome:OR_W1943:5:7187042:7188000:-1 gene:ORUFI05G08560 transcript:ORUFI05G08560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRFAARKVSRGALQQATAFVSPSPAVKEEQRRLLPEHQTIARPQFESWYRRHYAHTRFVNAESSAPNSCKEPASLRAQIEEKKHELFHLLFELKYGRKSIAGGEKLSIQDERILGELTPYRELKAMADKYGLKQALKYIGITYVLGFAVLGMAVNHM >ORUFI05G08570.1 pep chromosome:OR_W1943:5:7192078:7193554:1 gene:ORUFI05G08570 transcript:ORUFI05G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSPLLLCERQGIDADEGACGGDRWWMAVTAVGRSTGTQSDKNMECSNVQTFNESKPLLHNKQIYNYLPQDYDMTDDDICAQITIETSSSTDVLVKINDIAPKQDQLLPILDENEYLDDNSITSAFLNDNKTSVHITRKISVLQHGQ >ORUFI05G08580.1 pep chromosome:OR_W1943:5:7225390:7226022:1 gene:ORUFI05G08580 transcript:ORUFI05G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDDGSSKGSRADESVSSLGTDDHAAAAEPMSSSPPPATATARPYYECVFCKRGFTTAQALGGHMNIHRRDRAAKPAGPGAAAPPRDASTTAVSRSVDCYSQFRHLAAAYPVPAATSGAAGTAGSSSSFTMYYGGTGAGAEAAAVAGPRELSLFDAATDHGLHLGVGRRGGGGDGGESRTPEGSEQQVAGELPERELDLELRLGRHTKQ >ORUFI05G08590.1 pep chromosome:OR_W1943:5:7228423:7229220:1 gene:ORUFI05G08590 transcript:ORUFI05G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLPHHTPVMSPPHHTNVAPSWRARARAVLCQRPRPSGDPAHVPGSELRAGQRACAGTTCRLDEPAKGKKEKERKKEEHGDFEKRTLFFPKITRKPLLCVMAKVMNTESAPHGETSLTLISNVEAAFEAMKLQPIAEASTSTNEGGHKVRRRDKGKKGRNPTKPYG >ORUFI05G08600.1 pep chromosome:OR_W1943:5:7234847:7235182:-1 gene:ORUFI05G08600 transcript:ORUFI05G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQALHFEDCQGISVMGNTLKNSHESHLKFTRCSHDKANYMRITSPEDSPDTTGVHVVSSRNVHIMDDSISTFWQANLPVAETDVSFEIS >ORUFI05G08610.1 pep chromosome:OR_W1943:5:7237546:7238121:1 gene:ORUFI05G08610 transcript:ORUFI05G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQRRGEILAQFNELRAHLHQVAGGEAAFRARLREVKKRQPVARAVAIGGEGLQGRVQVHQVTGLVRRRSKPEEVKDYRDEFKFTKLPGLVGPCQVRSQRIHGGGLDYFAKSQSGSELESTGGGVGKDRYHPSHTDCDDEGWNLVRTTLQQFTSAISRLINRKKLICE >ORUFI05G08620.1 pep chromosome:OR_W1943:5:7254247:7263671:1 gene:ORUFI05G08620 transcript:ORUFI05G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRHLLLLLLLGFLAAASLAFTLTAAVYEDQVGLADWGKMSVLQWQKAGRFGLKHQKYIGKVKQAVYHSQKSGRRRVVVLTEENVIASLDLRSGDIFWRHVIEKNDPVDELSLSLGKYVVTLSSGGSILRAWNLPDGQMIWETNLQSNNKVAKDNLVFVLAGRWIYAVSSIDGVISWGKEFSLDGLDLKQIIQSPENDIVYAVGLAGSSKLNLYQLNAKTGETVKHIQESFPIALCGETILGSHNVLVALDETRSDLFLIELKGESISYNKVHISDLVQDLSGTVKLLPLMSNGVIALQASSTVSLLKLKGIDGLEVIQSFEQPAAVSDALTITEKDEAFAVVQHTGSEIEFVVKFTSDVTGEVIREKINIDQHRGNIQKVFLNNYIRTDKSHGFRALVVMEDHSLLLVQQGEVVWSREDGLASIVDVTTSELPIEKDGVSVANVEHNLFEWLKGHMLKLKGTLMLANADELAAIQAMRLKSSEKNKMTRDHNGFRKLLIVLTKAGKVIALHTGDGRIIWSNLLQSLRSSKSGEMPSALRICQWQVPHHRVMHENPSILIIGRSGADSAAPGVLSVLDSYSGEELNSLKLDHSVVQIIPLTVKDSSEHRLQLIVDSHSRAHLYPRSPDAMNVFLHEMPNTYLYTVDIETNVIRGHSLQKSCDNSADEYCFSTKELWSIVFPSDTERIAISETRKMNEVVHAQAKIIADQDVMYKYLSKNLVFVATVSPKASGEIGSAAPEEAWLVAYLIDAVTGRILHRVTHHGAQGPVVSENWVVYHYFNLRAHRFEMAVIEIYDQSRADNKDVMKLILGKHNLSAPTTSYTRPEVMVKSQSYFFTFSVKAIAATQTAKGITSKQLLIGTIGDQVLALDKRFVDPRRSVNPTQQEKEEGIIPLTDALPIIPTSYLTHSLQVEALRGIVSIPSKLESTTLVFTYGVDLFYTQLAPSRTYDSLTDEFSYALLLITIAALVAAIVVTWIWSEKKELRDKWR >ORUFI05G08620.2 pep chromosome:OR_W1943:5:7254247:7263671:1 gene:ORUFI05G08620 transcript:ORUFI05G08620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRHLLLLLLLGFLAAASLAFTLTAAVYEDQVGLADWHQKYIGKVKQAVYHSQKSGRRRVVVLTEENVIASLDLRSGDIFWRHVIEKNDPVDELSLSLGKYVVTLSSGGSILRAWNLPDGQMIWETNLQSNNKVAKDNLVFVLAGRWIYAVSSIDGVISWGKEFSLDGLDLKQIIQSPENDIVYAVGLAGSSKLNLYQLNAKTGETVKHIQESFPIALCGETILGSHNVLVALDETRSDLFLIELKGESISYNKVHISDLVQDLSGTVKLLPLMSNGVIALQASSTVSLLKLKGIDGLEVIQSFEQPAAVSDALTITEKDEAFAVVQHTGSEIEFVVKFTSDVTGEVIREKINIDQHRGNIQKVFLNNYIRTDKSHGFRALVVMEDHSLLLVQQGEVVWSREDGLASIVDVTTSELPIEKDGVSVANVEHNLFEWLKGHMLKLKGTLMLANADELAAIQAMRLKSSEKNKMTRDHNGFRKLLIVLTKAGKVIALHTGDGRIIWSNLLQSLRSSKSGEMPSALRICQWQVPHHRVMHENPSILIIGRSGADSAAPGVLSVLDSYSGEELNSLKLDHSVVQIIPLTVKDSSEHRLQLIVDSHSRAHLYPRSPDAMNVFLHEMPNTYLYTVDIETNVIRGHSLQKSCDNSADEYCFSTKELWSIVFPSDTERIAISETRKMNEVVHAQAKIIADQDVMYKYLSKNLVFVATVSPKASGEIGSAAPEEAWLVAYLIDAVTGRILHRVTHHGAQGPVVSENWVVYHYFNLRAHRFEMAVIEIYDQSRADNKDVMKLILGKHNLSAPTTSYTRPEVMVKSQSYFFTFSVKAIAATQTAKGITSKQLLIGTIGDQVLALDKRFVDPRRSVNPTQQEKEEGIIPLTDALPIIPTSYLTHSLQVEALRGIVSIPSKLESTTLVFTYGVDLFYTQLAPSRTYDSLTDEFSYALLLITIAALVAAIVVTWIWSEKKELRDKWR >ORUFI05G08630.1 pep chromosome:OR_W1943:5:7277931:7281751:1 gene:ORUFI05G08630 transcript:ORUFI05G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLELDYIGLSPPVPAAADAAADNDLKGTELRLGLPGSHSPDRSPPAATLDLLPAAKGAKRGFSDEARPLPASAAAAAAAGKGKKAAAGEEDEDAEEEDKKVAAAPQAPAAKMFANSCRRLRIMKGSDAIGLAPRAVDKSKNRN >ORUFI05G08640.1 pep chromosome:OR_W1943:5:7287925:7293867:-1 gene:ORUFI05G08640 transcript:ORUFI05G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLPLPIAAAAASRLRLPVLSSSVARREALLFGGRVAAARAPVRLARRGVSAGAEAGGSSSAAAAAQVIGQDEAVEWVKKDRRRMLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVVELTYNYGVESYDIGTAFGHFGIAVEDVAKTVDLIKAKGGTVTREPGPVKGGKSVIAFIEDPDGYKFELIERGPTPEPLCQVMLRVGDLDHAINFYEKAFGMELLRKRDNPQYKYTIAMMGYGPEDKNAVLELTYNYGVKEYDKGNAYAQIAISTDDVYKTAEVIRQNGGQITREPGPLPGINTKITACTDPDGWKTVFVDNVDFLKELEE >ORUFI05G08650.1 pep chromosome:OR_W1943:5:7299871:7302725:-1 gene:ORUFI05G08650 transcript:ORUFI05G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLQYVAVLLSVLTLFLATSDAVLNCSTTAGNNSSTFTVDPRRKSQNNVYQTPSPSSSPPRSNNHAPFVPAGNQQGSGFNTKSFSTTVVAGSITAAIAVVAGVVVLLVCFMRKRARFNHHRLNRKTEILIEMPMEEEVHNTRRFSYAHLLAATENFSDSRKIGQGAFGAVYKAQLMNWTTPVAVKRIMRVADHERAARDYDNEIKVISKLSHPNLVPFVGSCDENGELLLVYELIHNGTLDYHLHYANTILSWSRRYKIALGMASALNYMHGNHPRVLHRDIKPGNVMLDEEFNAKVGDFGLVRQVPIDKTSCPMTIFGSSRYIDPQYCSTGCISPASDIYSFGVVLLEIASGEIPQCLKGNGLVEKFRRLYYSNSLLDAVDRRLNGDFDEEQMKRVILIGLLCVQFDHHMRPSSKEKVGYQCLNYISKHANKQNNDLASQTRYVFFLVSNHKKPFIVVQIYICKVSPHHDLNEQAMHILTKLILSIETLSVY >ORUFI05G08660.1 pep chromosome:OR_W1943:5:7307583:7307837:1 gene:ORUFI05G08660 transcript:ORUFI05G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDDEARPTVKKVQRNDAPAGWEGQPEEKDEGGVVVVGVHGASDGLERNGGEAEEEEAAARPTEVAPCRGPALLGSMGNGD >ORUFI05G08670.1 pep chromosome:OR_W1943:5:7326798:7329711:1 gene:ORUFI05G08670 transcript:ORUFI05G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEVDPCDHGEVVDAGCVRAVLAELVLTFVFVFTGVAATMAAGVPEVAGAAMPMAALAGVAIATALAAGVLVTAGFHVSGGHLNPAVTVALLARGHITAFRSALYVAAQLLASSLACILLRYLTGGMATPVHTLGSGIGPMQGLVMEIILTFSLLFVVYATILDPRSSVPGFGPLLTGLIVGANTIAGGNFSGASMNPARSFGPALATGVWTHHWIYWLGPLIGGPLAGLVYESLFLVKRTHEPLLDNSF >ORUFI05G08680.1 pep chromosome:OR_W1943:5:7332358:7333790:-1 gene:ORUFI05G08680 transcript:ORUFI05G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGAGGLSGDYYRRSCPQLELVVDMALAPVFAVDQTSPAALLRLFFHDCQVQGCDGSILLNSDERRNITSELGSDKNFGIRDVSTIGLVKAAVERACPGQVSCADIVVLAARSAVAHAGGPRIRGVPLGRRDATAASAERADAMLPDSFLGIDGALAMFQSKGMTVEETVAILGGHTLGGGHCATVDTARRGRGRSDAAFEAALRLACPAAAPRAVAAAVPVLSDATPSWFDNLYYWNAASGRGIFAVDAEEAADARTAGHVRRFAADGRRFFRAFSSAFVKLAMSGVLTGDEGEIRRRCDVVNH >ORUFI05G08690.1 pep chromosome:OR_W1943:5:7338744:7343624:1 gene:ORUFI05G08690 transcript:ORUFI05G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSAPTADRARSTAQRQQQLGVEAELGHAGTAVANMDLVRGMATSVVLATGSDGDNDEVAACGHGEDDAWNGVEEGHGCQGEPRWWSAAMEKVVHSLAGGHGKPAWVAPGVSYFSAAQSSAATAPLFLQVVLGKREYNGSIGQGEFSFPVTSLRESMVMLLYNADRSLISQAELKMKAVVESGTMDVDFSLDNGGSIILRLQFLLSDEDRRRVQEMRNSAVKRKQQELLSDGYVLSQDSLLSKQVENISNISREGDESSVRKSMSLDDLQEKAVFSAIIVDPQMKDTKESPEQSGSNSAVQKMISAFQSSSPQSSSDKSSSSLATQDVSGHTEAGLVAGTSGKMQLPPGDKSFSNKRSNATEQQAVLSTTSEGRIRRLFREKDLDNSEMVITVQNRSKKRSMPKRRRAAIGPYSLEHMHPHVCITTASRQLRELVELEPPLDSFVFVGQVDIKRPNVRGGASMQDQGVRVVIVIIACGAIFLNNR >ORUFI05G08690.2 pep chromosome:OR_W1943:5:7338744:7343624:1 gene:ORUFI05G08690 transcript:ORUFI05G08690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSAPTADRARSTAQRQQQLGVEAELGHAGTAVANMDLVRGMATSVVLATGSDGDNDEVAACGHGEDDAWNGVEEGHGCQGEPRWWSAAMEKVVHSLAGGHGKPAWVAPGAAQSSAATAPLFLQVVLGKREYNGSIGQGEFSFPVTSLRESMVMLLYNADRSLISQAELKMKAVVESGTMDVDFSLDNGGSIILRLQFLLSDEDRRRVQEMRNSAVKRKQQELLSDGYVLSQDSLLSKQVENISNISREGDESSVRKSMSLDDLQEKAVFSAIIVDPQMKDTKESPEQSGSNSAVQKMISAFQSSSPQSSSDKSSSSLATQDVSGHTEAGLVAGTSGKMQLPPGDKSFSNKRSNATEQQAVLSTTSEGRIRRLFREKDLDNSEMVITVQNRSKKRSMPKRRRAAIGPYSLEHMHPHVCITTASRQLRELVELEPPLDSFVFVGQVDIKRPNVRGGASMQDQGVRVVIVIIACGAIFLNNR >ORUFI05G08690.3 pep chromosome:OR_W1943:5:7338744:7343624:1 gene:ORUFI05G08690 transcript:ORUFI05G08690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSAPTADRARSTAQRQQQLGVEAELGHAGTAVANMDLVRGMATSVVLATGSDGDNDEVAACGHGEDDAWNGVEEGHGCQGEPRWWSAAMEKVVHSLAGGHGKPAWVAPGVSYFSAAQSSAATAPLFLQVVLGKREYNGSIGQGEFSFPVTSLRESMVMLLYNADRSLISQAGVFQLVHCSFFLLSQHKYELLPVLTNNPQITTELKMKAVVESGTMDVDFSLDNGGSIILRLQFLLSDEDRRRVQEMRNSAVKRKQQELLSDGYVLSQDSLLSKQVENISNISREGDESSVRKSMSLDDLQEKAVFSAIIVDPQMKDTKESPEQSGSNSAVQKMISAFQSSSPQSSSDKSSSSLATQDVSGHTEAGLVAGTSGKMQLPPGDKSFSNKRSNATEQQAVLSTTSEGRIRRLFREKDLDNSEMVITVQNRSKKRSMPKRRRAAIGPYSLEHMHPHVCITTASRQLRELVELEPPLDSFVFVGQVDIKRPNVRGGASMQDQGVRVVIVIIACGAIFLNNR >ORUFI05G08690.4 pep chromosome:OR_W1943:5:7338744:7343624:1 gene:ORUFI05G08690 transcript:ORUFI05G08690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSAPTADRARSTAQRQQQLGVEAELGHAGTAVANMDLVRGMATSVVLATGSDGDNDEVAACGHGEDDAWNGVEEGHGCQGEPRWWSAAMEKVVHSLAGGHGKPAWVAPGAAQSSAATAPLFLQVVLGKREYNGSIGQGEFSFPVTSLRESMVMLLYNADRSLISQAGVFQLVHCSFFLLSQHKYELLPVLTNNPQITTELKMKAVVESGTMDVDFSLDNGGSIILRLQFLLSDEDRRRVQEMRNSAVKRKQQELLSDGYVLSQDSLLSKQVENISNISREGDESSVRKSMSLDDLQEKAVFSAIIVDPQMKDTKESPEQSGSNSAVQKMISAFQSSSPQSSSDKSSSSLATQDVSGHTEAGLVAGTSGKMQLPPGDKSFSNKRSNATEQQAVLSTTSEGRIRRLFREKDLDNSEMVITVQNRSKKRSMPKRRRAAIGPYSLEHMHPHVCITTASRQLRELVELEPPLDSFVFVGQVDIKRPNVRGGASMQDQGVRVVIVIIACGAIFLNNR >ORUFI05G08700.1 pep chromosome:OR_W1943:5:7352963:7353660:-1 gene:ORUFI05G08700 transcript:ORUFI05G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPCDYSQDADWTSQLNGVQGTMAASSYDADGDGVLALWLLRDYDEEAWECVYRVAAGFLGDVARMMGFFVAHMSDDSGGGDALLRSSQGHRYGVYNLKRLKRGEVVAADREFGGDDDDGDDVSSRRNMSTRRASFLPCTHRRHLPSPRRS >ORUFI05G08710.1 pep chromosome:OR_W1943:5:7359743:7365318:1 gene:ORUFI05G08710 transcript:ORUFI05G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGYPKMQGGGASSSSSSSASCRAADAAVWDAVQQQKRQRCQKNVRARQRGSSSNDQVGLSTENNSFQAPEPELQNSDCVEDEEEDYYLYDEDDVCYDDDGDYEFDETDFNQQLADKFDGLDLPPGVEATVPWLQKKDIADGPSTFKSMAELDADITKKYEFFKQFDVVENFSDHHYADKPVGKTGKDWTKRIQYDWKLLEKDLPASIYVRVSENRMDLLRAVIIGPQGTPYHDGLFFFDAQFTSTYPSTPPVVYYHSGGLRLNPNLYACGKVCLSLLGTWSGSGCEKWNSAHSTMLQVLVSIQALVLNENPYFNEPGYETYANSATGQKSAMDYNDTTFQYSCRTMLYSLRRSPQHFDALVAGHFRHRGHAILAACKYYMEGHKVGSVVPNEDEEDAKQQDDTDAGGSGSSSGAKPQPEKPDLCKGRAASFKTNMAVLFEELLMEFNVKGADTKKFCDEKLKKNQQAAAAAR >ORUFI05G08710.2 pep chromosome:OR_W1943:5:7359743:7365318:1 gene:ORUFI05G08710 transcript:ORUFI05G08710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGYPKMQGGGASSSSSSSASCRAADAAVWDAVQQQKRQRCQGSSSNDQVGLSTENNSFQAPEPELQNSDCVEDEEEDYYLYDEDDVCYDDDGDYEFDETDFNQQLADKFDGLDLPPGVEATVPWLQKKDIADGPSTFKSMAELDADITKKYEFFKQFDVVENFSDHHYADKPVGKTGKDWTKRIQYDWKLLEKDLPASIYVRVSENRMDLLRAVIIGPQGTPYHDGLFFFDAQFTSTYPSTPPVVYYHSGGLRLNPNLYACGKVCLSLLGTWSGSGCEKWNSAHSTMLQVLVSIQALVLNENPYFNEPGYETYANSATGQKSAMDYNDTTFQYSCRTMLYSLRRSPQHFDALVAGHFRHRGHAILAACKYYMEGHKVGSVVPNEDEEDAKQQDDTDAGGSGSSSGAKPQPEKPDLCKGRAASFKTNMAVLFEELLMEFNVKGADTKKFCDEKLKKNQQAAAAAR >ORUFI05G08720.1 pep chromosome:OR_W1943:5:7366390:7371102:1 gene:ORUFI05G08720 transcript:ORUFI05G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLLTQTSKVVSISSDQGIVANQERCSDTCAAGLRLGAIRCFIVIVQNTNRVQVSVVCRANYCLPERIKATDVSTQFI >ORUFI05G08730.1 pep chromosome:OR_W1943:5:7372544:7372957:1 gene:ORUFI05G08730 transcript:ORUFI05G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRATTSLSRRAACATLLRRLPPRPATTLERHPPVHPGLRPAAAAAVQLRRLSDFGVSVPRRMARRSPPTRPEGYSTSDSEADGWSVSEDDEEEVEEVQLEPMSVDDVAAGKEWEGFTLEYDHEHDLDADDEDAAE >ORUFI05G08740.1 pep chromosome:OR_W1943:5:7394165:7395595:1 gene:ORUFI05G08740 transcript:ORUFI05G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVASSDEASRSVLRPAPPLKWEDEEAHGSSPGEGGAAGWAVDSGDQENGADLGPVIAVEGLGGLVPQPEVAVVAVELLPQLVQEPGKVSPRIHEKANSGEGENGDGKYRVVYTAGRRKRCLISPGGSDGDRITPRDLAVAFHGQEAGQVAPEAATAAIADGAGVAVDSVLTKMQELVNHGSCGNGKNNVRKSRRIHMKQATAVPVSDELKNLHSQEAEAAHGKITDQSDCEIKKEPRESCMSSIVGSDDSHISGLSIRLQSLGINITSVTPILSKNVSSTDCHPNQARLLLSRHAVEGSPLLGMLTPLEDALVHSSGLPIEVVDRYGCSYDMFLRYLDSTTSYRLIVQWRNFLEMSHMIPGDLVKLGAFRFEGQLALTLLHYGNAGKAKKVLDRKLKEKKVESNSTVTEKSKELTSRETEESKEELTSRKTDANDAESASSVAEAIKKKWPSEMLEAAETLLMLSCSGDKPKPSE >ORUFI05G08750.1 pep chromosome:OR_W1943:5:7400025:7402156:1 gene:ORUFI05G08750 transcript:ORUFI05G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKGSSCRYLPHSSAPCATDGARCFRCDHRPAIDEIVREQSLVTQLRAVVLPALETKADDGRAEIVAQLFGSILDCSRKVISALNSRYVGESPPDDDEIVDKRRAKRKNSEGKKGDDQVKVKPHEHKRSRRYTNSTSQITAVPHYDGHQWRKYGQKNINNSNHQRSYYRCSYKHEQNCKATKTVQQLDSAGETIMYTVVYYGQHTCKTNMSNAPLHVVETSTTQSISTTCCSDDLGDYSQKMENMHTPELAEVCSDELGSYHAIIGAEHSALGLEDEHMHKLLDTFACGALDLDSWEIDAIVRSGFC >ORUFI05G08760.1 pep chromosome:OR_W1943:5:7411617:7412043:-1 gene:ORUFI05G08760 transcript:ORUFI05G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMKSVGGGRFASLGYGIGTAREKERQLSLGRCGSQKRGLGGAEGGRGEADLACDVARHRRGGEAEPWCRVAQEEEAKRDVAWMRCGGDAGGGCGGVRCERGEERCKRGESMRVGRRSSAMRERRGGERCGRGGGAGE >ORUFI05G08770.1 pep chromosome:OR_W1943:5:7417442:7419892:1 gene:ORUFI05G08770 transcript:ORUFI05G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHFCREQTQDVLLWGQYGESFNEDATLHKSKDGIVIAIFVGLTAGKFSAITEASSSSATEIYIDLDTPQVREFRTRYKLLVTITDKSGSLDAVAFSFVAEDLVELDAAQASQNMKIDPADHPTALNNAIGKTKIFAIGMNTDTSSKFPISYVLKKSFTIEPTMSVPMLTDRENKEVLQLPPPAPHTDNPSTTIHNTGASSKSTPPEISLADKTPTEKTSSTRKRAIDFTKDSIEETRSKKLQHTEGKADFPEDSFEGTKGIELQTALHTNLPDQST >ORUFI05G08780.1 pep chromosome:OR_W1943:5:7451217:7451777:1 gene:ORUFI05G08780 transcript:ORUFI05G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHDNLVSEPLTMASDADQVLRVTCKQPSSSTQQAAATPLPRIAKKLMADVLELKAMLKASNAAKKVVDGCTEQEVAEQQKMAVSTSTTSPLPQFSLPEAGVLEEQDASSLVKAIG >ORUFI05G08790.1 pep chromosome:OR_W1943:5:7475660:7476576:-1 gene:ORUFI05G08790 transcript:ORUFI05G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWPRTFFAVVAFDPAGHASVHAHRGVRPSSQYSSIATADRSSIPVQIVSRQPGKPAETHRYTTNNDGGAQKQDVTAKLNTKATKQLPHRRRGVIDAELATQATQQRRLHNCSDTEDTKVAKAKSSSDI >ORUFI05G08800.1 pep chromosome:OR_W1943:5:7479722:7481807:-1 gene:ORUFI05G08800 transcript:ORUFI05G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNNNVVAAMSGTKGGSGTPCSSDVAASPLVEEAAASAEMTAEAAAGGWRAALGSGCQQWVLGRSRTSKGVLGRSSSGLEGLTTSALGWGGCDFWREKLRGGNAAAGIEQRDQRAATGGGKTTAFYINMDIEIRTNPNTLIRDAIVKQPVSGQFFEIALGKPSLHPAIQWTSLPIVVETDCLIVLHLLDSKEKDRSMFASIIQEAKALVVGGGREIVIRKVSRSQNSVSHFLANKARVESCLVFCRKKIVILFHR >ORUFI05G08810.1 pep chromosome:OR_W1943:5:7484213:7485330:-1 gene:ORUFI05G08810 transcript:ORUFI05G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASSISFRLHLQANQFITRQLDGRNKSKPQLQGPSAFGLMKLTHGAIIRVTIAGQKFEDTLQGL >ORUFI05G08820.1 pep chromosome:OR_W1943:5:7547386:7549331:1 gene:ORUFI05G08820 transcript:ORUFI05G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYMNNPCVLDNKLEQPWPQKLQPKPIVTDVYSTVKRDGHGHEMYQEFRFRRWLLNEDLRAGEPCNP >ORUFI05G08830.1 pep chromosome:OR_W1943:5:7563324:7589559:1 gene:ORUFI05G08830 transcript:ORUFI05G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPHFPEIGKKPKDLIAKEHGFNIAAYISSGADVIAAALRKHVEEEARDLSGEAFLRFMEQLYEQICSLLQSNDVAENLLALRAIDALIDMPFGEGASKVSKFANFLRTVFEVKRDPEVLVPASAVLGHLAKAGGAMTADEVERQIKTALGWLGGDRVEYRRFASVLILKEMAENASTVFNVHVPEFVDAIWVALRDPKQAVRERAVEALRACLHVIEKRETRWRVQWYYRMCEAAQVGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLNYLRHRDQLVRRSITSLLPRIAHFLRDRFVTNYLKICMDHILFVLRTPDERASGFVALGEMAGALGAELVPYLPLITSHLHDAIAPRRGRPSLEAISCVGSFAKAMGPAMEPHIRGGLLDAMFSAGLSDKLVEALESISTSIPSLLPTIQERLLDCISQALPKSSVRPGAAVGRGSRSSSLQQFVDSGGPVLVQLALGTLANFNFKGHELLEFARESVILYLEDEDCSTRKAAATCCCKLVAHSLSASSSSQFSSNRPNRMGGAKRRRLVEEIVEKLLMAAVADADVGVRSSVFKALYRNPSFDDFLAQADIMTSIFVALNDEEYHVRELAISVAGRLSEKNPAYVLPALRRYLIQLLTYLDQSMDSKCREESARLLGCLIRSCARLILPYIAPIHKALVARLREGTGPNANNALAAGVLATVGELAKVGGFAMRQYLPELMPLVVDALLDGGAVSKREVAVATLGQVIQSTGYVISPYNEYPPLLGLLLKLLNGELEWSTRLEVLKVLGIMGALDPHAHKRNQHKLPGQHREVLRPTMETAQHIVSMEELPTDFWPSFSASEDYYSTVAISSLMRILHDPSLSSYHQMVVGSLIFIFKSMGLGCVPYLPKVLPELFRAVRMCEDGGLKEFITWKLGTLVSIVRQHIRKYLQEILSLVSELWTSSFSLPAPNRTVQGPQASPVLHLVEQLCLALNDEFRMYILHILPSCIQVLGDAERCNDYYYVPDILHTLEVFGGNLDEHMHLVAPVLVRNNDDLRKDAAEALCCLAHALGEDFTIFVSSIHKLLVKHHMRYRKWDEIENRLLRREPLISENLSVQKYTQCPPEVISDPLDDFGGVPSEEADETQRQPRSHQVNDVRLRSAGEASQRSTREDWAEWMRHFSIALLKESPSPALRTCARLAQLQPSVGRELFAAGFASCWAQMNETSQEQLVRSLKTAFSSQNIPPEILATLLNLAEFMEHDEKPLPIDTRLLGALAEKCRAFAKALHYKEMEFEAVCSKKMGANPVTVVESLIHINNQLHQHEAAIGILTYSQQHLEVQLKESWYEKLHRWDEALKAYKAKSSQASGPLQNLDATLGRMRCLAALARWEDLSALCREQWTGSEPSARLEMAPMAANAAWHMGEWDHMAEYVSRLDDGDENKLRILGNTTASGDGSSNGAFFRAVLSVRCKKYEEARVYVERARRCLATELAALVLESYERAYNNMVRVQQLSELEEFDPESSPELTLYHGHPQVVLAYLKYQYAVGDELKRRDAFCRLQDLSVQLATATNSYSGTLASQVATSNAGVPLIARVYLTLASWKRALSPGLDDDSIQEILVSYKNATLNAKDWGKAWHLWALFNTEVMSRYTLRGRPDIAGKYVVAAVTGYFYSIACASTTKGVDDSLQIIARIHSNNKIVRELIQSLLVRIGKDHPQALMYPLLVACKSISILRQRAAQEVVDKIRQHSGGLVDQAQLVSKELIRVAILWHEMWHEALEEASRMYFGEHNIEGMLAVLEPLHAMLERGPETIKENTFIQAYGHELLEAHECCLKYRATGEDAELTKAWDLYYHVFRRIDKQLPSLTTLDLHSVSPELLECRKLELAVPGTYSADAPLVTIEYFVPQLIVITSKQRPRKLTIHGSDGNDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHALIREYRDARKIFLNQEHRCMLSFAPDYDHLPLIAKVEVFQHALENSEGNDLAKVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLDRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGTFRTTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQVTNYGNAHSHTVVNSEEAANRELMQPPRGARERELLQAVNQLGDANEVLNERAVAVMARMSHKLTGRDFSSGSSLSGAGSSTQHGNEHLASGDTREVEPGLSVKVQVQRLILQATSHENLCQNYVGWCPFW >ORUFI05G08840.1 pep chromosome:OR_W1943:5:7599084:7601830:1 gene:ORUFI05G08840 transcript:ORUFI05G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIELSLGDKAKLVETLKNKLQALAEQHVDMLESLAPVVRKRVDVLMEIQSQHDELEAKFFEEKAALEAKYQKLYGPLFSKRSKIVSGVLEVEGETEEREEKGVPDFWLNAMKKNEILAEEIHESDETEIEWHLGYCLTQQVLTKESSESTKPITKTEECESFFNFFSPPQVPDDDAKNDEYTNQMERDYDIASTLRDKIIPHAVSWFTREAVQDEDYGASWVDDEEEDDDDDEYSDEEA >ORUFI05G08850.1 pep chromosome:OR_W1943:5:7602990:7604771:-1 gene:ORUFI05G08850 transcript:ORUFI05G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSTTRDRAARVLATGIRWSIATMRIVDDILDVTMSSDELGKTAGKDLASDKTTYPKLLGLEKSRGFAEKLLSDAREQLFSGFDQEKAAPLLHLANYITYIDRTEVMVTPLIIVDLIVDDIIDVTKSSEEVGKTAGEDLASDKTTYPKLLGLEKSRGFAEKLLSDAREQLFSGFDQEKAA >ORUFI05G08860.1 pep chromosome:OR_W1943:5:7611159:7617648:1 gene:ORUFI05G08860 transcript:ORUFI05G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFGGFFVDEKAARVENIFLEFLRRFKEADAAEAFYETELEAMRSRESTTMYVDFAHVMRFNDVLQKAISEEYLRFEPYLRNACKRFVMEQRTGENRAPIISDDSPNKDINIAFYNIPMLKRLRELGTAEIGKLTAVMGVVTRTSEVRPELLQGTFKCLDCGNVVKNVEQQFKYTEPIICVNATCQNRSKWALLRQESKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEKARAGDTVIFTGTVVAVPDVMALTSPGERAECRREAPQRKNGSGVQEGVKGLKSLGVRDLSYRLAFVANSVQVADGRREVDIRDRDIDGDDSERQKFTEEEEDEVVRMRNVPDFFNKIVDSICPTVFGHQEIKRAILLMLLGGVHKITHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKREEALAPAFSTAELKRYIAFAKSLKPQLSSEAKKVLVESYVTLRRGDSTPGTRVAYRMTVRQLEALIRLSEAIARSHLERVIMHVESSEVDLSDFQDADDGTNVPADNDAGQPTEMDAAPQQDGPENEQAADTGKKKLVITEEHFQRVTQALVMRLRQHEESVTKDGDGLAGMKQGDLIIWYVEQQNAQGAYSSTAEVKEEVKCIKAIIERLIQRDGHLIVIDEGAAPAADDGAARRTSESRILAVNPNYVID >ORUFI05G08870.1 pep chromosome:OR_W1943:5:7636486:7637829:-1 gene:ORUFI05G08870 transcript:ORUFI05G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYARGLFAGVTVSQLGQKVRKELTHGIRGCEKYVKVKIIELELSMMYDILYTKAMILQTWIGCILRCISHIAMVVAFVLFLVTPKHGHRMADVAITYTLFAGALLMEACAIGVVAASPLTWARWRRHNCVNLLAIGKIIGAKEERSVVPISLGQFSLATYVLHDGSTPRIMSNALYAFGLEKIYRDVRHVKHVEATEIVGCFVDCFNNRPSNNDQDEVIMGVSKEGNVDVKLNLLSISQRFEVGIIQLHLFTDIYTTSVLLLEPGISEEKTGIVWECVMLSNYMMYLLLAHPSMLPVSSDYVVMEHLNYMAKLVDMYKYAGGKLDPHARMELLLKALEQMTSNTNSDLIVVAREIVATREYFYTTRVNDTLLKLVKETWILLLIYAASKSRGELHARRLDNGSELLTFIWLLLVHHGLGNVAEFGLTLGRYVTSPPSLFDMTAIP >ORUFI05G08880.1 pep chromosome:OR_W1943:5:7646516:7646791:-1 gene:ORUFI05G08880 transcript:ORUFI05G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTAAHGLLASLASTVRRPPSLTIDRLLSDKESHRRWRGSCINVDLPSMADFSDSDTTSQATGTVPSSALTTPLPQPFLFMVVIDGHRN >ORUFI05G08890.1 pep chromosome:OR_W1943:5:7657846:7661351:1 gene:ORUFI05G08890 transcript:ORUFI05G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDAMSTGDSTESLDIDCLDDGDSEVVSSLQHLADDKLHISDNRDVAGVASKWTKHGCNSVEIDYIVDIDNNHEDPQLCATLAFDIYKHLRVAETKKRPSTDFVETIQKNIDTSMRAVLIDWLVEVTEEYRLVPETLYLTVNYIDRYLSSKVINRRKMQLLGVACLLIASKYEEICPPQVEELCYISDNTYTKDEVLKMEASVLKYLKFEMTAPTTKCFLRRFLRAAQVCHEAPVLHLEFLANYIAELSLLEYSLICYVPSLIAASSIFLAKFILKPTENPWNSTLSFYTQYKPSDLCDCAKGLHRLFLVGPGGNLRAVREKYSQHKYKFVAKKYSPPSIPAEFFEDPSSYKPD >ORUFI05G08890.2 pep chromosome:OR_W1943:5:7658070:7661351:1 gene:ORUFI05G08890 transcript:ORUFI05G08890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGRSVEYLSLSPPLLASLRHRQDESRLNRGGPGGDLHRSGGEGILFDQAIPHRGSTLQKELACSPYLLTIVPSVGHHDCSPGLSDNSMSKEDAMSTGDSTESLDIDCLDDGDSEVVSSLQHLADDKLHISDNRDVAGVASKWTKHGCNSVEIDYIVDIDNNHEDPQLCATLAFDIYKHLRVAETKKRPSTDFVETIQKNIDTSMRAVLIDWLVEVTEEYRLVPETLYLTVNYIDRYLSSKVINRRKMQLLGVACLLIASKYEEICPPQVEELCYISDNTYTKDEVLKMEASVLKYLKFEMTAPTTKCFLRRFLRAAQVCHEAPVLHLEFLANYIAELSLLEYSLICYVPSLIAASSIFLAKFILKPTENPWNSTLSFYTQYKPSDLCDCAKGLHRLFLVGPGGNLRAVREKYSQHKYKFVAKKYSPPSIPAEFFEDPSSYKPD >ORUFI05G08900.1 pep chromosome:OR_W1943:5:7663118:7667077:-1 gene:ORUFI05G08900 transcript:ORUFI05G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTVPSGGLPRLKAKSLLAQINWAKLSLGTPYRRGPSSNPKPPSKHRRHMASATLDRRPSAGQLPVLPTTGLPPHSTDAPPSTDFNEPPSLRTGPGPFRSTTRQSPRQIWPKENPGLGGIASATWASPYSKLKSEDNITSIVLSAPYSSGWVGIGFSNDGKMVGSSAMVGWIDNQGRAYIKQYYLSNQTSSGVKVDEGKLLTTDVPSAAVLYGDNIYLVFQVKFPLHIARQSPNKFHLAEHDDKTTLSFDFSSGDSVSTYYPYQLKRNHGAFAIFGWGVLVPLGAIAARYLRHKDPLWYYLHVLVQFLGYIIGFAGVVSGIALYNRTHSNFTTHRSLGISVLALGSLQVIAFFLHPNKDSQVRKCWNQYHHWLGRICIFLAAINIILGIELSDTNISWKVIYGAIISVMIISTTFLEVMMCTKLPKEGTCNGGLQMPTHHPNS >ORUFI05G08900.2 pep chromosome:OR_W1943:5:7663118:7665417:-1 gene:ORUFI05G08900 transcript:ORUFI05G08900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSAMVGWIDNQGRAYIKQYYLSNQTSSGVKVDEGKLLTTDVPSAAVLYGDNIYLVFQVKFPLHIARQSPNKFHLAEHDDKTTLSFDFSSGDSVSTYYPYQLKRNHGAFAIFGWGVLVPLGAIAARYLRHKDPLWYYLHVLVQFLGYIIGFAGVVSGIALYNRTHSNFTTHRSLGISVLALGSLQVIAFFLHPNKDSQVRKCWNQYHHWLGRICIFLAAINIILGIELSDTNISWKVIYGAIISVMIISTTFLEVMMCTKLPKEGTCNGGLQMPTHHPNS >ORUFI05G08910.1 pep chromosome:OR_W1943:5:7669417:7673184:1 gene:ORUFI05G08910 transcript:ORUFI05G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGMDFSPHKNSTEQNRTSFEEDDQFSIRSAPSKPSPDKKSKPKNKPNHHSDKTANPPPQRPETTAAPVTTTAAAATAGTNRGEAVSNGSLDSISSGSTRSNSLESSSTGAAAAPTVLTTSASTASVSASGQNVKRHTGGDSRWEAVQAATARDAPAPLSLAHFRLLKRLGYGDIGSVYLVELRGTSAFFAMKVMDKASIASRNKMARAETEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCSGGNLHSLRQKQPSKHFSEPAARFYVAEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCTVCPTLVKSSSVHATGSGGGIGSRGDAIDGGESMPANQGCIQPSSFFPRILPRRSRKASKSDMGLLLNGAAAVEFNAEPTEARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTLGIFLYELIHGATPFKGAGNRATLCNVIEQPLRFPSDGGASAVARDLIRGLLVKEPHKRIAFTRGATEIKQHPFFDGVNWALVRSLTPPSVPEPVDFRQYAAAASATTPKDKKPPENAAAAAAPAAADGGGAANSSTGESYTDFEYF >ORUFI05G08920.1 pep chromosome:OR_W1943:5:7673805:7674155:-1 gene:ORUFI05G08920 transcript:ORUFI05G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRRVLTPFGLDTADPVLTEVELAPSRPDREGAHRPRRIQDRRRRSWCRWRRSWHRQRRPWRARAEEARDGQVRLLREGRGGEEAVEVRAAWEKQAAQLVATVGRHGARCVAAAT >ORUFI05G08930.1 pep chromosome:OR_W1943:5:7677814:7679391:1 gene:ORUFI05G08930 transcript:ORUFI05G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGMFAAAQVPRRQRSRREESMERTEGQVVVSTPSGSTGNGDGDGALVVSTTSSDRNRTPRVRPRETTTVAAAADRSVLGGNGGGSVDVADGDSNNAEKEQRRKEEFDRERRRKGKMVAEEESPSTASGGGMPIGLPAADKLMGDAIRGGRRQHVTTAIGTCKNTKRRGHGKFWASLGRDGASTSSAGSDMVVPLDDIAVVHDRLRSLLNGLGAVSPPVRVYGKMMWRSDRLKSQNRLQISRKKDGELSPFDSILTLAEKSAATSKRKKKSSKPKNKKNGESKEKKDEHKEYNDNGTNKPNNEPNNGDDGLFVQAYDRTGEEYILTLKYIKANNSYRLMGRPWKTFLKNCSLTLQEDAKKKGKKAIKKVKKVAIANEAMIDLWVFRSQKLSHGKDDHNDGRLGLVMVHYFKGDAPHADAAFKANEELLLLAPKKRKKKHEGASSSHDAVTEALPQEEPNVVTAVELEIAAVMAGETGVQGGAPEPHHGVEVVMEGVQLEIRDIDLEVLLAAQTLFEMKNSGRR >ORUFI05G08940.1 pep chromosome:OR_W1943:5:7694402:7698055:-1 gene:ORUFI05G08940 transcript:ORUFI05G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSECYPVYRKEEIGKCIKNASKFIENKQRKDGSWFGTWGICFTYGTFFAIKGLVAAGRTYENSSSIRKACNFILSKQLCTGGWGETYLSSETEVERDPSPLYHAAKELINMQLETGKFPQQRNTLDASTAPFISITAIIAIYSLFGLLGSFVVDWFYERTEPHEMT >ORUFI05G08950.1 pep chromosome:OR_W1943:5:7698185:7710269:-1 gene:ORUFI05G08950 transcript:ORUFI05G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDMALGRFWVFCRLIYMPMAYLYGKKFVGTITPTILQIREELYSVPYNEINWIIARDTCAKEDLRYPRSFVQNVIWTCLNKIVQPILNLWPLNNLRHVALNNLMKHIRYEDECTKYIGLCPINKALDMICCWIDNPNSDAYRLHLPRIYDYLWVAEDGMKAQVLENTPNNEAYYRHRSKGSWTLSTADNGWCVSDCTAEALKALLMLSKISPDLAGDAINGERLYDAVDGMLSFMNKDGTFSTYECKRTTHWLEVLNPSETFLNIIVDYP >ORUFI05G08960.1 pep chromosome:OR_W1943:5:7710521:7717895:-1 gene:ORUFI05G08960 transcript:ORUFI05G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVSEGRSPGLRSVNGFLGRCVWEFHPDAGTPEERAEVERVRREFTAHRFERRESQDLLLRGCSTQVTEEIILASLRRALTQHSALQAHDGHWPGDFSGIMFIMPILIFALYITGSLDVVLSAEHRREICRYIYNHQA >ORUFI05G08970.1 pep chromosome:OR_W1943:5:7786285:7788281:-1 gene:ORUFI05G08970 transcript:ORUFI05G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEVMEECTETARERREEERLRNVNLDDWLPITSSRTAKWYYSAFHNVTAMVGAGVLGLPFAMSQLGWPTGVAAIASSFAITLYTLWQLVELHEPAPGGGKRFDRYHELGQAAFGRRLGVCLIVPLQLIVQVGTDIVYMVTGGQTLKKFVELACDGRCADIRLTFYIMMFASAQFVLSQCPNFNSISAVSAAAAAMSLCYSMIAFFASVLKAHPAAAAAVDYGFKATTAAGRVFGAFNALGAVSFAFAGHNVVLEIQATIPSTPERPSKRPMWRGVVVAYAVVALCYFTVAFGGYHAFGNAVAPNVLISLEKPRWLVAAANLMVVVHVIGAYQVYAMPVFDMIETVLAKKLHLRPGLPLRIPCIIWLIMRKPAKYSLSWLMNWCFIIIGMLLMLVSPIGGLRQIILDASKYKFYS >ORUFI05G08980.1 pep chromosome:OR_W1943:5:7793199:7794425:1 gene:ORUFI05G08980 transcript:ORUFI05G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMAAAMLETRVGRFRGPSMAALLAEMWEPLAMTPTLTAPMVRRRRRRDPRRAPPLYIQLLPRTVIRGRETEQMKRKIERRKTATLGLSLI >ORUFI05G08990.1 pep chromosome:OR_W1943:5:7799567:7805292:1 gene:ORUFI05G08990 transcript:ORUFI05G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSVECLSLPDSSMDVDDVDGGGAVHHHHHHHHALPPHLPAGVAVGVGPGGRAFPKANVAGVGGGGAAGAPAAGGAVAGGGGPGGGPPATSVHELLECPVCTNSMFPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCSFRPYNCPYAGSECAVAGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQTNPDGACIPNLCS >ORUFI05G09000.1 pep chromosome:OR_W1943:5:7810060:7810751:-1 gene:ORUFI05G09000 transcript:ORUFI05G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPEPPRYYAAAGYHRPSPFSSVAASCVVAALFILLAAGGAAAALFLLYRPQAPAIAVTAVQLPSFASRNGTVAFTFQQLASVRNPNRSPLAHYDSSLRVAYAGGEVGSMYIPAGQIDGGRTQYMATSFTVPAFAVTSSAAAAASSSPAQTITVPASGPSPAAVGAVALQQEQPQQQQQQVAAPPVTILRVFTHHVVAAKVCRIGVSPADGRVLGFRC >ORUFI05G09010.1 pep chromosome:OR_W1943:5:7815542:7815745:-1 gene:ORUFI05G09010 transcript:ORUFI05G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYGARHTLISRGRTGQRCGGRGRQAAARRRPAQAALHSTAEGQQPAGIQAVRQAEETINLKYIVH >ORUFI05G09020.1 pep chromosome:OR_W1943:5:7815597:7816093:1 gene:ORUFI05G09020 transcript:ORUFI05G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGCCPSAVLCSAACAGRLRAAACLPRPPHRCPVRPREIKSSLNLRYMIITCGYLATCGAVPCTAAPEPNWYYKRATRRAVQRRRQGEPRRVRACNTGTRTKARKRGSLPFLWMDWTEESWAYGQDFNSEDVLGIGNMGLRPRY >ORUFI05G09030.1 pep chromosome:OR_W1943:5:7819596:7819814:1 gene:ORUFI05G09030 transcript:ORUFI05G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVPRTWSHQRSDSTINDVAITQAVIGKLVTGVGYLSLTWSTVVLLGGFVSTVPINEFWFLTAISLVLAST >ORUFI05G09040.1 pep chromosome:OR_W1943:5:7833917:7836305:1 gene:ORUFI05G09040 transcript:ORUFI05G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETFTAFQKSAKKHSTAAFVFSSMCGIVLMVLAQIVVVFLALLIEAVLIINMLGPMASMVISMIRLIRHDYGDDNGNLRAALFIFYSLALAHSVCFYCWFLLQYFLEKLSKSASKEFGLNKDFGGQKLLLQYLRETKAKCADDLSLPGGWNMVTYAVGLLKSVFRNDHLDGLRMLDAFVVNKRPSIRLELLSSSESIQNLIKMLQWTGPALEDQEMRERAARIVADVATGALHIVQIPGALQCISSLLQVSPLRQYCQEVEKGPQKQDQDKEGGEEEKDKNMNTAIDEQITDRLLRMDRRAKKFLFGTMDDKSSFKPQGTRELIHQGLQILERLACDDQNCREICCNQRLLTKIIAPITSPALLHTDYDNAWVDILSILLRLVRLLISAPGEAGTRVCHDISACEDAVRNLLGILGQNATYPMQLQENAMEILTEIAIGSPAIMAEDFIRKLWCIFLSNRGTSRLRRKAGEQLAKLLSAQGANGQVFVKDVFCENDTVVAQLIDILVQDKECQISAAAILEHLCCHFVRYNELSELCVVKLLRMILDLISKMEIKEETVPGAGESNSFDVHNDEESKPPKQSGPKKPWVPKNDELSEETKFLAALMSLLVVICNKMVDAHVFCYVTSVDAALVKKLKKIIEANNKNTADCLRIVKLACQVVIAIIHLKPSCIKDFNGNHFDAVLSTALKNMSDIDNCMLFAVQDCQITKPARTLSSLVKEAQGLLQNAQDVTVLSD >ORUFI05G09050.1 pep chromosome:OR_W1943:5:7840616:7842294:1 gene:ORUFI05G09050 transcript:ORUFI05G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPPKNPKEGMYGISTAMLLSTHEKRVRRGSRCTWCRGSGLEEDCFDSVQHCTAGMKGGGACTEDGREAAPALGRKGMRCLRGSRRMRWEALAPPPVRDERRRRRSTRQQGEAAREPLEKRRREAARSDGKGVATVDAMVVAKECQVGRRRGCDPIFIWTRSPYS >ORUFI05G09060.1 pep chromosome:OR_W1943:5:7847493:7849243:1 gene:ORUFI05G09060 transcript:ORUFI05G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESNNNNNSVIQGQHEGGSSAAALQAGRPEPDALINSIAIIRAFTAKVVAGVGFLALTWSTVVLLGGFVSALPIKEFCH >ORUFI05G09070.1 pep chromosome:OR_W1943:5:7850275:7850857:-1 gene:ORUFI05G09070 transcript:ORUFI05G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDELDWSMSRLVCKGDTMWAPIPYHIKSIKEEVEVGEEVQVEENAEVWSEVPDEKDVDVGKDLSDDGLDVTQMENKMVDEPDDRQMTFDSWG >ORUFI05G09080.1 pep chromosome:OR_W1943:5:7852153:7854983:1 gene:ORUFI05G09080 transcript:ORUFI05G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIAVTQCNRVLSVYDYVNETYAARVNPTFGMITGGYFTFLSKFEANLSAGCAVRCWRLCVMLLVLLIAMALLIGLLLIDAVFIVSLVGPMATMAISLTRLIQHDYDGSDASSKGKLRAALFIFYSLALLHSLCFYFWLLLHLSLEMLPIPASIEEYGHGDGGYYQMLLRQYLQETKTKCANDPKLPGDWNLVTYAVGLLDSASPDDHLDGLRMLDVLAINKQRSVRLELLSSRHSVQNLIEMLEWDGPDQEMRERAARIVADVAAALRVAQMPAGALHCISSLLEASPRSDTLKKPKGGKELIHRGLQILERLARDEHNCREMCNTQWLFPKITAPITSPAFLETEYDSEWVDILSILLRLVMRLISAPGEAGTVLCYEISASNDAVHNLLGILDGQIKFSLQLQENAMDVLSEISIGLSAAMTENLVKKLYHIFLANSGMSGLRPKAGEALVKLISTQGASGQVPVMEIFCKSMSMEQICESESTDALDVKRYGTVVDQLTDILVKDKECQISAAAILQHLCSRFTRSYELLEQDVVKLLMSILDLILYGKTEGDEETVSEARESNNSEMHNDEESKPPKPAGLKKSLVEKNDELSEERKHLAALLSLLVVICDNLVDADLFSNVTSVNDELAKKLKKIIEANNENTADCLRIVKLTCQVVIAIIHLKPSSLKDFNESNFNDVVSTAFKNMSDIENCMLFAVKDRQITKPAKTLSSLVKETQGLLHNAQETGNNSI >ORUFI05G09090.1 pep chromosome:OR_W1943:5:7860130:7864390:1 gene:ORUFI05G09090 transcript:ORUFI05G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVEGFKHSQFQGSLESGPSIHIQIGGLRWAAVWSRVPQRCIQTMLAMLLMGSSNLKGRVTHIFWYIFYNGNVLRGKSTCTRPLDNSVSFACTMQIMKILL >ORUFI05G09100.1 pep chromosome:OR_W1943:5:7884464:7893901:-1 gene:ORUFI05G09100 transcript:ORUFI05G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYPDASPPVVAPCRHKKSTNPFQGLDLAGERQGQQSCASLLLQAKRRRRIWGGRAFLAVYDRLVPDPQFASMELATGAMRSLLPKLLQLLEKEYGLQTGVREKIKSLSRELDVVHAVLRKIGDVPSEQVDELVKLWARDVREASYEMEDIVDTFLVHDDGPDPTDPHMLLRLRKKLGKLFKKSKARRKISNLIQDINKKFEQVKARHVKYTVDSVVLKSLAATTIDPRLQNLYKRATELVGIDGPRDDLINKLSLGGDVDVSDKKMKVISVVGFGGLGKTTLAKAVYDHFKPHFKCRASVPVDQNPDMNKAFDDQVEPHFECGAFVPVGRNPDMKKVFRDILIDLDKEKYMNPNMMVLDEKQLMDELKEFVKEKRCFIVIDDIWDKESWKLIRCALQESDCGSRLVITTRISEVATHAGEAYKIQPLSRDNSEKLLFARIVDGEWKYFDNPSAEACEKILKKCGGVPLAIITIASLLASKPGEDWSEVYNSIGFGHEDNDDVDHVIEKNSLIWKWIAEGFIHEELAAGLGLFELGERCFNELINRSMIQPVETKHEGYVDGCCVHDMVLDMIHSLSSEENFVTVLNGDERQKLPGSIARRLALQRVLVHKDGQLANITLKNVRSLIASQCFGSLCLHTPVLRVLDVINCAESETPNVLEDRDKTMPSHLGSLLHLRYLGLVDTYITKLPREVRYLKFLQTLDLRGSRIKELPEEVGLLTQLVCLRADWEIRVKIGLIGKLTSLQELWIEPAAAVYDDDAASVDDDDDAAAVDDTTKSTMKFVNELGLLRELRVLRTYFEDLNESMERALLESLSNLHNIRDLHIEGPPLVTSSARSVSCLHLRYLCLNCFVFSGLPAWIKSSLAPNLSCLDVVVLIVKEQDMETLARLPELRCLVLRSLETELVSIKIHTEDVGYFRKLRILRILGTSIWFDLHGSKCNITDNRSLASIIMPSLEFLKFAVHMRFLKDATQLGFDKLLSFEKFGRSSLQKVTIVLKCGDARLSEVEEVAAALMNSAAVHPKHPTVRTKWQDEQAVLSPYQEAYMHVSRTPELVNEAWKANIVSSGRIRALRMPVTEASSSKVICLLYRKSGKGLLALSSNAVHKLWKWKSNDKNPAGMSTTSVPPQVWQPESDILMNDTANGNPEEAAACSLLSKNDCYLISASGGKVSLFNMFNFKTMTTFIAPPPSATFLAFHPHDNNIIAIGTDDSSILLYNIRVDEVKWVLKGHQKKITGLVFSLSMNVLVSSGAGSQVYVFGVWKIWAKKKSRYIQPPSNHSGALVGDIMVQFHYDQKRLLVVHESQLAIYDVELECLCSWFPSDPLPAPVSSAVYSSDGLLIYAGFCDGAIGIFQVESLMLQCRIAPSAYIPSSVSSGGEIVYPMVVAAHPWKPNQFAVGMSDGAVHVLEPLDTPDDIISNSIQQGRFGDSG >ORUFI05G09110.1 pep chromosome:OR_W1943:5:7901075:7903164:-1 gene:ORUFI05G09110 transcript:ORUFI05G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMHCNANKSGVADYTCHAKELGVAEVPRQRQIDLVNDNVCHEAPFDMPCVTNGMAVQIGHTDYNGVAGSFLEIS >ORUFI05G09120.1 pep chromosome:OR_W1943:5:7929671:7930885:-1 gene:ORUFI05G09120 transcript:ORUFI05G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDAVSRRETSPAAALEEEMATVTQAPPPSTPSMVGNPDGGAPAAAADQSAPCATGGETLVGEGRPRKGKMVAEDQSPPSVSGGAPADLPPGGDTDTLLLGVRRQEDAIAMMTPSMKENVRKCKLAGLRAAAPAARRRPRPVEKKLIGGAAILGAGRPPHVAADEATPPPGAKKPKPDHRAFFWTALGHDMASTTSAGSDTGATLDNIAVVDDNLRANLRYLVASPWRPPARVYGKKMTFTDRSVAQHRLLMSCKGWHNTHRGGDEPFPFEELLTPAEKAAADGDEGLRVQAYDRTGRDYDLKCKFLNCNDAYRLILEWSEFLKENHLDVKDKNAAMANEAMIDLWAFRSRWLSHGVDGHEDGRLGLVMVHYFRGDAPHADAAMNVHDALMHSEATPRTPTLP >ORUFI05G09130.1 pep chromosome:OR_W1943:5:7936148:7940765:-1 gene:ORUFI05G09130 transcript:ORUFI05G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVENSMDDDHFQQSMGNGDGMNVEGGSMEIITRVELELAFASEKLLNLEMLVMEIARKATDFEPPTLEDESVSSETAESAFELDILYGFLDAEVGELDDMISTLETDIQNVEHMVCQDESGGKIKARLDAAMVSLKQMKELVSDIRKESAKFEKAIEFPHDKEGITGDAGYENGIVSSHTSMRTEDQRRNVLQMLEQSIASELDLEKKLSESRCIIEELKLKLHHHDQEKYFLEESIESLCGKTFAAENASEVLLGTSKELVDKVSTLECHLSASRCREGDLESKLGESLMGLSSLQVNAENIQEGSQHSGGTETHPSPELPSLQDKVKELEKQLRESDSQLQLAKASAETFQEEQNVLHAEISTLENIIKSLKEDVSRAESRAQNAELRCMQLTEANIELNGELNSLKSHGSEKTSLLERKLKESHTQLEHAKASLDATVEQQSMLRSTMSDMEHMIDDLKGKVLKAETRAENAESKCTLLTDTNLELSEELSFLRGRAESLENSLHEANHVKMSTVKDIGIRTKIITDLVTKLALERERLHQQISLLTKKNKILAQKCKGSVKDDTQLSKNVTGKDVELHSTKLAEEIVPDFSSSQTKAEKPVDPSNEEEKTRSSEDDDSGGEGTAEAVRTIQPSVLNWKYITVAFLILLVAVFIYLPLPEESSSV >ORUFI05G09140.1 pep chromosome:OR_W1943:5:7942033:7946898:1 gene:ORUFI05G09140 transcript:ORUFI05G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRVPEKPTCLVELLGTDLIGLPLKSPLASNEVIYVLPMQNILVDKGTGIVTSVPSDTPDDFIALQELVKNQDFRVACGVKDEWVFPFEVIPIIDVPSFGNKSAEKVCFDLKMDSPDEKEKLAKAKEITYLKGFDDGIMIVGEFSNRKVQEVKPLIKEKLLKADMAVLYYEPQEKVISRSGDDCVVALTDQWLITYGEAEWKQKAIECLDKMNTFSVEARNSFEHTLNWLTSRARSCSFGLGTRIPWDEKFLVDSLSDSTLYMAYYTIAHILQNGNIYGSDNSYIRPEQMTDEVWDYVFCNGPAPKTSIPSTVLTKMKQEFEYWYPFDLRISGKDLMQNYLAFCIFNHTTLLPKHHWPRGFRCNRHLLLNSEKMSKSTGNFRTLRQAIEEFSSDATRFALADVGDGMDDENFVSETANSAALRLTKEISWMKEVFDDKSSFRLGPPSTYADRVFANEINFAIKDTEIIMMLPCFEML >ORUFI05G09150.1 pep chromosome:OR_W1943:5:7946989:7948767:1 gene:ORUFI05G09150 transcript:ORUFI05G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQTRLITPICPHYAEHVWQKILKNERFVVRAGWPIADDPDSTLRITNKYLQSCIVLMRKSLHKQESCHKVAKKGAAASTTFAENKLSVGCLIYVNEHYDGWKEQCLRVLQDKFDTEARSFTPDEDIIDALVNCSFGQELNLKQIKKLIAQSDSRTQWNAAGDLK >ORUFI05G09160.1 pep chromosome:OR_W1943:5:7951501:7960927:1 gene:ORUFI05G09160 transcript:ORUFI05G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARALLPVGGAAAVAVPRRLRSGEASSTSLSGPVSDGARLVRRMQLRPAPLLGSSTCALPSLRGKRLIRTPAASSSSSLDSTGEAKPVGFAERYPALVTGFFFFMWYFLNVIFNILNKKIFDYFPYPYFVSVSHLLVGVLYCLVGWSFGLPKRAPINSTVLKLLFPVAVCHAIGHVTSTVSFAAVAVSFAHTIKALEPFFNAAASQFILGQQVPLTLWLSLAPVVIGVSMASLTELSFNWTGFVNAMISNISFTLRSVYSKKAMTDMDSTNLYAYISIIALLVCIPPAIIIEGPQLVQHGFKDAIAKVGLAKLVSNLLVVGLFYHLYNQVATNTLERVTPLTHAVGNVLKRVFVIGFSIIAFGNKITTQTGIGTCIAIAGVALYSYIKAKIEEEKTQMKSA >ORUFI05G09170.1 pep chromosome:OR_W1943:5:7964656:7965297:-1 gene:ORUFI05G09170 transcript:ORUFI05G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSGISPAVHHDLQPGGRSPYDGDDGDRTLVVLLTFGIFFSFVILYLVAGLIWAVVITAAAVVLSFLYLRVRRRRAAVGGTAAAAPPNDVVFIVGAHQAARSTGSSGGGGDVAAAAIVSAIPAFEYKRVNGGGGEDGGAAAGSGWAQCVICLGLVQVGEVVRRLPACKHMFHVECIDAWLSSHSTCPICRADVVDELAAAAAAAGGRLELPV >ORUFI05G09180.1 pep chromosome:OR_W1943:5:7967890:7969588:-1 gene:ORUFI05G09180 transcript:ORUFI05G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADWIWARRSWEKWAGKHVGASGKPVKAALLLNYDPTGPSRLLPVVAEQEGTELKAVDLLPFLDFVRRNNLQMEFFSIGSNQYLVTSIHEHWFCARCVNAVQPEGEGVIVMEIGAYLYDGSLGSASQAMVAVDQFAWHFNRRTH >ORUFI05G09190.1 pep chromosome:OR_W1943:5:8008268:8010589:-1 gene:ORUFI05G09190 transcript:ORUFI05G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAMPSLAMLFAVLLCVANTLAFSFMAVRIFRADGGASKLTASSVRRSTKCILVLACVVEVAVLFASLRLAADRHALSGEVDHMRDQIETLQDDLKQYEQPFSALSDYLGLSVLDLGSAVGRLRDKEEHLVKEYRDLKLEIEQIKSDIQSLRHEKEGRGFHKETLGGTSNHQKQGKNEKTKQPAIDGIMKSLRAKATKLQQVKISFPWEKLKKAKNIFSMDFKLRP >ORUFI05G09200.1 pep chromosome:OR_W1943:5:8011710:8012036:-1 gene:ORUFI05G09200 transcript:ORUFI05G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLQVSALLRLPIHCASFADHYAPPQLVVGDETLEWTGAAPTRVYPVQEDKGEGRKKKGKAARGAAETSRCSAPSTSAPAESSNSLIEMWTKPSVQVVIDIDGVPV >ORUFI05G09210.1 pep chromosome:OR_W1943:5:8020256:8026664:-1 gene:ORUFI05G09210 transcript:ORUFI05G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRYKPYPFFSPTSLLSSLLRRRRRRHRSGATTAGERHDSTSCNMHTQSIDNQTNPISAITHSGCCYTNTGTPPHGQQEVYSSGWDTALSGRAVGFWHMFTKALAGLRRINLDGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHVENGDMCVVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMEKDPTEVIRKAVMRMLPRNRLRDDRDRKLRIFSGNEHPFHDRPLEPFMMPRRQVREMRPRARRALLRAQKKEQDRAAAASTKDEENAKNAKSEITA >ORUFI05G09210.2 pep chromosome:OR_W1943:5:8020256:8026664:-1 gene:ORUFI05G09210 transcript:ORUFI05G09210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRYKPYPFFSPTSLLSSLLRRRRRRHRSGATTAGERHDSTSCNMHTQSIDNQTNPISAITHSGCCYTNTGTPPHGQQEVYSSGWDTALSGRAVGFWHMFTKALAGLRRINLDGLRWRVFDAKGQVTILLLVPMVLGRLASQIAVVLQGKDKPTYAPHVENGDMCVVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMEKDPTEVIRKAVMRMLPRNRLRDDRDRKLRIFSGNEHPFHDRPLEPFMMPRRQVREMRPRARRALLRAQKKEQDRAAAASTKDEENAKNAKSEITA >ORUFI05G09210.3 pep chromosome:OR_W1943:5:8020256:8026664:-1 gene:ORUFI05G09210 transcript:ORUFI05G09210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRYKPYPFFSPTSLLSSLLRRRRRRHRSGATTAGERHGLRRINLDGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHVENGDMCVVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMEKDPTEVIRKAVMRMLPRNRLRDDRDRKLRIFSGNEHPFHDRPLEPFMMPRRQVREMRPRARRALLRAQKKEQDRAAAASTKDEENAKNAKSEITA >ORUFI05G09210.4 pep chromosome:OR_W1943:5:8020256:8026664:-1 gene:ORUFI05G09210 transcript:ORUFI05G09210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRYKPYPFFSPTSLLSSLLRRRRRRHRSGATTAGERHGLRRINLDGLRWRVFDAKGQVTILLLVPMVLGRLASQIAVVLQGKDKPTYAPHVENGDMCVVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMEKDPTEVIRKAVMRMLPRNRLRDDRDRKLRIFSGNEHPFHDRPLEPFMMPRRQVREMRPRARRALLRAQKKEQDRAAAASTKDEENAKNAKSEITA >ORUFI05G09210.5 pep chromosome:OR_W1943:5:8020256:8026664:-1 gene:ORUFI05G09210 transcript:ORUFI05G09210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRYKPYPFFSPTSLLSSLLRRRRRRHRSGATTAGERHGLRRINLDGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHVENGDMDRKLRIFSGNEHPFHDRPLEPFMMPRRQVREMRPRARRALLRAQKKEQDRAAAASTKDEENAKNAKSEITA >ORUFI05G09220.1 pep chromosome:OR_W1943:5:8028097:8034273:-1 gene:ORUFI05G09220 transcript:ORUFI05G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQIVEAENQLAGEVPEGFFSLLLLQRLDLSHNRITGSISSEFNKLRRLATLYLENNSLNGTLPADL >ORUFI05G09230.1 pep chromosome:OR_W1943:5:8035994:8036330:-1 gene:ORUFI05G09230 transcript:ORUFI05G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFAWSTDLNVGPLVVKPGINQTQVLLLAPHALMRIAVGASLSPRPPLHAAQLALGLCMILAALPPLTVVGVTLPPH >ORUFI05G09240.1 pep chromosome:OR_W1943:5:8041667:8042286:-1 gene:ORUFI05G09240 transcript:ORUFI05G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRSEGSSSSSARQPYGSPIPYRVGPFEYEPAVLCRCELKAARWISWSVDNPGRRYFKCRNAREGGCDFYAWHDGPTSSFLREVLNDLRGAVHSLRREKADAVKEVEELRVKSEEQCRELASVGRELASVRELVRELDVKNDVLIDSKCRLEKERTVLIWCILSCMRVVILLVLGKN >ORUFI05G09250.1 pep chromosome:OR_W1943:5:8044281:8049206:-1 gene:ORUFI05G09250 transcript:ORUFI05G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIRRTRPAHRPYPTPRHLPSTLPPDRPYPTSSLARLVPLRTLSSSLSIHRRPICPPATPARSSSHLTTTLPSHNFCSSFYWKNKWILSSSR >ORUFI05G09250.2 pep chromosome:OR_W1943:5:8044281:8049206:-1 gene:ORUFI05G09250 transcript:ORUFI05G09250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIRRTRPAHRPYPTPRHLPSTLPPDRPYPTSSLARLVPLRTLSSSLSIHRRPICPPSVHPSPPLPVWMPQ >ORUFI05G09250.3 pep chromosome:OR_W1943:5:8045929:8049206:-1 gene:ORUFI05G09250 transcript:ORUFI05G09250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIRRTRPAHRPYPTPRHLPSTLPPDRPYPTSSLARLVPLRTLSSSLSIHRRPICPPATPARSSSHLTTTLPSHNFCSSFYWKNKWILSSSR >ORUFI05G09250.4 pep chromosome:OR_W1943:5:8045929:8049206:-1 gene:ORUFI05G09250 transcript:ORUFI05G09250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIRRTRPAHRPYPTPRHLPSTLPPDRPYPTSSLARLVPLRTLSSSLSIHRRPICPP >ORUFI05G09250.5 pep chromosome:OR_W1943:5:8045929:8048814:-1 gene:ORUFI05G09250 transcript:ORUFI05G09250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIRRTRPAHRPYPTPRHLPSTLPPDRPYPTSSLARLVPLRTLSSSLSIHRRPICPPCRSIPSAVADSPPPGRYTHRLRCQSGCPNEAGALE >ORUFI05G09260.1 pep chromosome:OR_W1943:5:8073521:8078076:-1 gene:ORUFI05G09260 transcript:ORUFI05G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRLLKRACLLLLVSSWLCSLSDAKRKTPPSPPPPPSSFGLPVRAVCLGGWLVTEGWILPSLFDAIPNKDLLDGAQLQLKAVAAGAYLTAADQGGAAAVVANRTQAAPSASETFKLWRINETTFNFRASSGRFVGAGSDGGAAVVAVAAAPGPSETFQVVRDDGDKSRVRIRAPNGHFLQIALGSNSVTADYYGESTSWGDDDPSVFVVTKVLELQGEYQICNGYGTAKATPILRNHWSTYIVEDDFKFISASGLTAVRIPVGWWIASDPNPPAPYVGGSLQTLDNAFKWAEKYKLGVIIDLHAAPGSQNPWEHSSSRDGTQEWGTSDANIAETVQVIDFLASRYAKSPSLLAVELMNEPFAPRATLESLMKYYHDGYNAVRKYSSTAYVIMSNRLGPHDPTEFLQFANGFPRAVIDVHYYTVFNDLFNNLTVQQNIDFIKSNFSSELKNVTTQNGPLTFVGEWVAEWRVPNATKEEYQRYAKVQMDVYGQATFGWSYWTLKNVNNHWNLEWMINNGYISLKT >ORUFI05G09270.1 pep chromosome:OR_W1943:5:8088904:8093208:-1 gene:ORUFI05G09270 transcript:ORUFI05G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAKGGEKPALRKPVFVKVDQLKPGTCGHTLTVKVVSANPVPARGRAGGGGPAVGSRPARIAECLVGDETGVIVFTARNEQVDMLMPGNTAILRNARIDMFKGSMRLAVDKWGRVEVTEPASFAVKEDNNLSLVEYELVNVPE >ORUFI05G09280.1 pep chromosome:OR_W1943:5:8096368:8098100:-1 gene:ORUFI05G09280 transcript:ORUFI05G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSVVGGIILDPSMMVIPIDDHMVHRGHGVFDTAMLSDGYLYELDSHLDRLLLSASKAKISSPFSRETLRAILVQMTAASKCRNGSIKYWLSAGPGDFLLSPKGCTAPAFYAVVIASAAAAAAGGHPRLREGVRAITSTVPMKDPFFAAMKSVNYLANALAMAEAEERGAYASVWVDGDGGVAEGPMMNVAFVTGGGDLVVPAFDRVLSGCTARRLLALAPRLVDAGVLRSVGAARISAADARRCAEMMFVGSGLPLLPIVEWDGQPVGDGQVGKIALALSDMLCEDIKAGLDRVLVPYDQAS >ORUFI05G09290.1 pep chromosome:OR_W1943:5:8101542:8102598:1 gene:ORUFI05G09290 transcript:ORUFI05G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARNPLRRWKPFLAAFASVDDAIEIEAADLGISRSEIRRARGRIVGMLRGAEDDREAEELCSVLDEVMAESLLTLRLVPVTPRTLATTDLAGVVGALRKHDSERIRGLATDIVRRWRAAVKRDLVRIGVAMEKLSQTPERIEAADRPVSDLDAKVKRALPHGEGLRAIAAQEECSGRHGLGNKAEGANPSAEEEAAGRRDGVKPNHSDGGEKLMTAATKRKLDEAQKRRKTADMAAAAKPEGSNSLPLLKMVAPAVVASHGRRESIELRNDEEKIAAAKRKLREGYQDAEEAKKRRKIHVIEDPKMLKHKQEKMDNPILSVRSRASHASSMAEKKKSFLVSSLGRL >ORUFI05G09300.1 pep chromosome:OR_W1943:5:8117759:8118013:1 gene:ORUFI05G09300 transcript:ORUFI05G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGRWWGKERGERGGANGEEEAPVRRRSAWRHSVLGVVALGSAARRPAVEDGRGKEGRLRWRLSGRRRLRPASATSTALVS >ORUFI05G09310.1 pep chromosome:OR_W1943:5:8118368:8120728:1 gene:ORUFI05G09310 transcript:ORUFI05G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAARRRDPASAAYSMASMLVLVLFFRCMGAVARAPAGPRRQWLRAAVVALCVALTGLVQLQIYSPAVPLSVALAIWAVSVTCFSAVFLVASARPQGQMTSVTMWREYMTTTKWTASATKPAMSAAMTWLQ >ORUFI05G09320.1 pep chromosome:OR_W1943:5:8120163:8121853:-1 gene:ORUFI05G09320 transcript:ORUFI05G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHRLMNAVLRLAAAAAAATAAVVMVTSRETTSFFGIQMEAKYSYTPSFIFFVVAYAVAAAYSLLVLAVPAGSALSRLALTTDVVLGMVLAGAVASAGAISDIAKNGNSHAGWLPVCGQIHAYCNHVMAALIAGFVALAVHFVVVMYSLHIVTDVICPCH >ORUFI05G09330.1 pep chromosome:OR_W1943:5:8137532:8139590:1 gene:ORUFI05G09330 transcript:ORUFI05G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDQGFGSLKSLRPDRILGCFAYILQMGCYRLSGISIHHSGTSCKWVVTGSLESAFTVQEPITYYKTSPLDIAVKRAMALELLP >ORUFI05G09340.1 pep chromosome:OR_W1943:5:8146314:8146601:1 gene:ORUFI05G09340 transcript:ORUFI05G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALNAACEAPFREALRWVVRRGGGQAAGWSEVVCAVVDRQWYARHAPLHAQLLAPPLPGTGAINEIRVGRLLGGKGEFFPGTVRDAAMHRRRRR >ORUFI05G09350.1 pep chromosome:OR_W1943:5:8147447:8148575:-1 gene:ORUFI05G09350 transcript:ORUFI05G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKFQLILSTFVVIAAFTLLPRPCASIEFHRKLSSWSNGGATWYGAANGAGSDGGACGYQAAVDQAPFSSMIAAGSPSIYKSGLGCGSCYQVKCSGNSACSGNPVTVVLTDECPGGPCLSEPVHFDLSGTAFGAMANPGQADQLRAAGVLQIQYNRVPCNWGGVMLTFAVDAGSNPSYFAVLVKYENGDGDLSGMDLMQTGAGAAWTPMQQSWGAVWKLSAGAALQAPLSIRLTSSSGKTLVASNVIPSGWKPGASYTSTVNY >ORUFI05G09360.1 pep chromosome:OR_W1943:5:8154043:8154740:1 gene:ORUFI05G09360 transcript:ORUFI05G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPREKKSFVPESEDEACGGDPNSEPSPDGCVVPDFEDEACGSDPDPELPPDGCIVPDSEDEACGGDPDSELPPNGGFVPKSEEKACGGDPTPNHLLTAASSLNSRMRRVAATPTPSCLPTASPSLVGM >ORUFI05G09370.1 pep chromosome:OR_W1943:5:8155676:8161897:1 gene:ORUFI05G09370 transcript:ORUFI05G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASTAVNASRMDLPWSSDASMDVGIGPEYIVVLYFAEVQAISDNLLRQFLVSVDNTPLAAAFSPRHMLADVFSGTVLGSDQHSISLITTIISDLPPLISAMEIFLGRTLNESSTGSSDAIAMMTIQTKYSVKRNWEGDPCAPEAFVWDGLSCIHTSIGDIQYNPRGLHRITALNLSFSELIGDIDASFGQLLLLRHLDLSYNNLSGSIPDFLGQMPLLTFLTGNNPNLCGNHTCDPISNKNKRNKFIGFVIAAAIVATVFALSLSALFIWYRRRKTNPDVLPEADPYKSRRFKYKELQVITNDWRNVIGEGGFGHVYAGQLEDVTDVAVKVESQTSLRGNHKQFLAEVQHLTRVHHKNLVSLIGYCNDKKHRCLVYEYMDGGTLEGRLRGREDPPEPPLTWLQRVNIALGSANGLNYLHTMCSPRLIHRDVKAGNILLTANLEAKISDFGLTRPSIHGTVETRTITQLAGTPGYMDPESLQASHPSESNDVYSFGVVLMVVITGRTAIVTINGTEKNLAQCVRDWLSSGRGIEAIADPMIRDDCNLSSVEMVAQLALDCTEPAGQDRPTMADVVTTLTESLQLEMSWSSPHSMRSSTTISSSAGFTGSGRADHDAAADSIAVLQVEQAVVETSTRGCSVFA >ORUFI05G09380.1 pep chromosome:OR_W1943:5:8165446:8165972:-1 gene:ORUFI05G09380 transcript:ORUFI05G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEAEVKLSIFEDAKSAFDIAEMAGEARSEVQKTRMAALAAGSRFIYAKRILQALEQAGEVALSLIESLKHKATSVVAATTKSTFMGCGSVPSARGQQDDNDAGEDQKLDSGPLHTHATGPTHAVGPTDQQ >ORUFI05G09390.1 pep chromosome:OR_W1943:5:8166357:8167066:1 gene:ORUFI05G09390 transcript:ORUFI05G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEAEVKLSIFEDAKSAFDTTEMAGEAGSEVERTRMATLAAGSQFIDAKRILKDLEQAGEVALSLIESLKHKATSVVGATTKSMFMGCGSVPAPGGQQDDNNAGEDQKLDNGHLHTRASGPTHAVGPTDQQ >ORUFI05G09400.1 pep chromosome:OR_W1943:5:8176539:8177432:-1 gene:ORUFI05G09400 transcript:ORUFI05G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLAPLLVLLLSSSLAAGQSTGDTVVFWGRNTDQLEGSLREACDTGLYTTVIISFLSAFGYIPGTYKLDISGHTVSAVGPDIKHCQSRGILVLLAIGGQGGEYSLPTSQAAVDLEDYLWNAFLGGGRPGVARPFGDAVVDGIDFFIDQGATEHYDELARRLYAHNKDYKGRLGVMLTATARCVFPDQRLQAALSTGLFSRIHVKVFGDGRCASRREELEKWMAAYPQSRVLVGVVASPEADRDGYVSHKDLYYDVLQFINKLPNYGGIMVWNRYWDKKTGWTAGNEPGLLDQVL >ORUFI05G09410.1 pep chromosome:OR_W1943:5:8179788:8180493:-1 gene:ORUFI05G09410 transcript:ORUFI05G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNRAMSGYGSVTQSAPKALVQEHLGLAGRRGGPRLGARMVGGFDEEEGGGGQAGRHVHNLSQSDTAWHCVAWCNAGMGHNGSVLCDVVRWLAASHNDRDGSAVTRASERPF >ORUFI05G09420.1 pep chromosome:OR_W1943:5:8192379:8193851:-1 gene:ORUFI05G09420 transcript:ORUFI05G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWIEAKTVVMALLAFQTGGDRGGSGISADGALPVMGRAKGGRWQTAKAFIAAASRCRSCNGFGTKGGGMVAKGNRDSSSVPAGVGGNSETGGSRRSAVVRSSGGFKPAVVPVLLVAAPLPHREEDRGVWTGRERDRGEGVGLGKRNGSPLK >ORUFI05G09430.1 pep chromosome:OR_W1943:5:8216260:8217141:1 gene:ORUFI05G09430 transcript:ORUFI05G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRCLAALAPLAVLLLLSSCLAAAPATAQQSSSIGDTVVFWGRNKAEGSLREACDTGLYNTVIISFLSAFGRGSYKLDLSGHPVVPVGGDIKYCQSKGKTVLLAIGGQGGEYYLPSSQAAADLDDYLWNAFLGGGRSGVARPFGDAVVNGIDFFIDQGATEHYDELARLLHGHSNGGVMLTATARCVFPDQRLQAALATGLFSRIHVKLFNDGRCTWGRRESLEKWAAAYPDSRIYVSIVASPEADRDAYMSHKDLYFDVLQFINKLPNYGGIMVWNRYWDKKTGYINGDVF >ORUFI05G09440.1 pep chromosome:OR_W1943:5:8224181:8225615:-1 gene:ORUFI05G09440 transcript:ORUFI05G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSVLSFSSARLVKTGTWRLLGYYMQAVEAIGKVTADGRSCPVVELRASGTKTKHGNELSRAAFLSSTFESKTRHSWDLGARNHGRQRTDRPLPPAARAMRLGGVVEHYDGVAGRWGGAVTWRGVSRAV >ORUFI05G09450.1 pep chromosome:OR_W1943:5:8228411:8229283:1 gene:ORUFI05G09450 transcript:ORUFI05G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLAALLSLAVLLSAGLAAVSATSQNTGDTVIIWGRNKDEGSLREACDAGRYTTVIISFLSAFGYIPGTYKLDISGHQVSAVGPDIKYCQSKGKLILLAIGGQGGEYSLPSSQAAVDLHDHLWYSYLGGHRNYRPFGDANVNGIDFFIDQGAREHYNELAKMLYDHNKDYRATVGVMVTATTRCGYPDHRLDEALATGLFHRIHVKKFSGRCPAWSRRQSFEKWAKTYPQSRVLIGVVASPDVDKDAYMPPEALNNLLQFINKQPNFGGVMVWDRFYDKKTGFTAHL >ORUFI05G09460.1 pep chromosome:OR_W1943:5:8235191:8241812:-1 gene:ORUFI05G09460 transcript:ORUFI05G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSLKETLQSSSFSQPQSESQPRSPPPLLARRPPKTSLSQQLLRLDASSSSSSFSVSPPPPPRTSPTSDAAADDAPPLPEEEDEVPCIRPRASLPPAAALDSRGPYEPLVLSPPGERPVVQVPSSINCRLLVHQRDGVRFLYNLYRNNHGGVLGDDMGLGKTIQTIAFLSAVIGKDNDHGDQLVEGRKIAPILILCPTSVIRNWENEFAEWARCSVAVYHGPNRDLVLQKVETQRLEIVITSFDTFRIHGKILCGISWDLVVVDEAHRLKNEKSKLYTACLEITTRKRFGLTGTIMQNKIMELFNLFDWIVPGCLGDREHFRVYYDEPLKHGQRFSAPERFVQVADKRKKHLVSVLSKFLLRRTKEETIGHLMLGKEDNIVFCRMSDVQKRVYRRMLQQPDVQILINKDLPCSCGSPLTQVECCKRTEPHGIIWSYLHRDNPEGCSLCPFCLVLPCLGKLQQISNHLELIKPNLKDEIEKQKKDAELAAAVFDTDIELVGGGAKSENFMGLSDAEHCGKMRALERLLSLWTLQGDKILLFSYSVRMLDILEKFLIRKGYCFSRFDGTTPMNARQLLIDEFNRCPSKQVFLISTRAGNLGVNLVSANRVVIFDPSWNPAQDLQAQDRSFRFGQRRHVTVFRLLGAGSLEELIYSRQIYKQQLSNIAVSGKIEKRYFEGVQDDKKFQGELFGICNLFRDLSDKLFTSEIIEMHGEHGKGNTAETIGIREIVDTNIFGTQDQMKSSMTAIHNENKNLYHCGIVYAHRNEDVVNTRINEASNCAEDKTVPRHLEELQSKKNETMHTIKAKSYSLVQKKKEFSRIASFMGMNDLEFSKWLLSVSPLQRHEVLDRYRNAK >ORUFI05G09470.1 pep chromosome:OR_W1943:5:8242276:8242892:-1 gene:ORUFI05G09470 transcript:ORUFI05G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTHSILLWLALFILLPAAHSSLAASELRAGPTRASPVEIERCDSGSKRQQTQHRVRVDGHELGADLATSAPPPRQHGKGAQCKAGGVDSDGAGDELRADLAAQHRWCLLLAGGGDWRRVLHLPLPTSLPRQCWRCPVVLIDAGKPLPSPAVSSSLSPHSSSPTLCALFSDKTDETLCT >ORUFI05G09480.1 pep chromosome:OR_W1943:5:8251978:8253787:-1 gene:ORUFI05G09480 transcript:ORUFI05G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLGDGRFILVDIKKEMHEVHNEMENDVIGPYKVKKKALQVLQNPKPFDGKQVDEHTISSEL >ORUFI05G09490.1 pep chromosome:OR_W1943:5:8261969:8262862:1 gene:ORUFI05G09490 transcript:ORUFI05G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRHAALLSLAVVLLFAGLAAASPTSQNTGDTVIFWGRNKDEGSLREACDTGLYTTVIISFLSAFGYKPGYYKVDISGHPVSAVGPDIKYCQSKGILILLAIGGQGGEYSLPTPQAAVELNDHLWYSYLGGHRNGVYRPFGDAIVNGIDFFIDQGGRENYNKLAKLLYAHNKDYRGTVGVMLTATTRCEYPDHRLDEALATGLFHRIHVKKFSDGRCPASSWIQSFQKWAKMYPQSRVLVGVVASREVDREAYISPEDLKKLMQYVFSKLPNFGGVMVWDRFYDEKTGFTGRLRA >ORUFI05G09500.1 pep chromosome:OR_W1943:5:8264246:8271212:1 gene:ORUFI05G09500 transcript:ORUFI05G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEKEKKRENKETITKKMEIKRIKQTRENIPGTKFAEPVQVQGSVKDQINCRRLTKKNIQSGQNARGGTETPNATSYGAQILYNQYSLLNEDGRLMEAGKMSYTNLMQQIIQSPRVTMQTNEVNGTTMAMQSNEHPNEEDIQQNSRNENGQERGQLVKTMKEKQLRRGQEDEAKMNR >ORUFI05G09510.1 pep chromosome:OR_W1943:5:8274761:8281830:-1 gene:ORUFI05G09510 transcript:ORUFI05G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKEMKENIMTNSSFDFQKPPVKKKKAHANTESSFTRFSVKYFSEVVSSLSDYQKSIIKKFEFDFLLMFNSSYVPIKFATWIANKVDVRTSEIIVKEKIILVEYLLAKIMNVAGNASFLCLVLYLDNVDFGSRNVDQSCPRISVWKDDMISTFSELDKIDENTFGLRPLKDFQDTCYFKRDSTQSRSVIIQDKLDSAIGSMLPDFLKHEISEMLSSHCFSHHLVDSESCEDLVVSILSLIAKASGSDAVQDQNESDILHSQVNTEAGPSNFQPASPKDIQFDVPPINCSSLRSAPNIRSLRNDEPFDKSALKSIEKDCPSLDRIAALYGSSVNCDDGNNNESIHINDITVVINGSGNGIAPSFAASQPVATPDVGYLRNINNDMNQSNAGSSVAAFSLVKNVANKFRSRLTQLNSRAAIFGEDRPSFRLLDSDDDVSDCDKDNELGVNALMQHGFIYFHSVEDTPIEIIRGYENVGTKERTNCQNPNKRLFQDLTNSPDIQFLGESKFADCCKKLCSKSDEIYNSSNNLSTSTQDFNSTGGKIPIHGPRRVLILARHATYPFVTEIRRFPITDEEICYYIVFCRLADSSKWQSYDAVDIDGVKEKEKLPSNMSSTSVMEKLEKSFVGAGKARKLHLCDMLHFPVNFNHHWFLFVVDIKDRMLVILDSLHNEGDEYFRPILSQLIANLQIAWDKFVCVPMDFQRFQIVFPPVPRQDFSCDSRIYVMKFTELWSPRIILSTVLSNENIKNIRVQYANQIFFHPKNRMLQTEIEDVVLNWFDQVSFATNIFPFLSNLFGLCIIVTPGNSLVISELICA >ORUFI05G09520.1 pep chromosome:OR_W1943:5:8313655:8315802:-1 gene:ORUFI05G09520 transcript:ORUFI05G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPKRRKALSTDGCTKSTYTECHGFTTPAAEDRALRGTSPRPPSSFLLRYGCQSSTTSVNLAHREPATHTTSSASPLRLLASLSHSSLRRPPPPRRMRLPLRRALSPAAVRRAFSTAAASRPQWAMIRQAPPVRSPSPHASLLLAEPPRDSYLLVPDHLIDRRPGPDPSSDIRGILGGTVHATSGDGGLLLVVYMDSHAPAPIISKIVTGAFPARPRVSDLAGLDLSDPDFIRFVCNPITGELFRLPDIDGTKKTMFRGCDNAGLLTRSAAGAGHGSPDSYAVAVLGEDRNGGTFNMRRFLSRTGKWEKLVGLPSPLPLTRRMDMYTEAVAFAGRLWWADLTWGVVSADPFSDWPELHFVELPRNSVWPVPSTDLVQEQAMHRRLGISEGRLRYVEVSQEDPFVVSSFALDDDGSGWTLEHEVALGRICQVKGGGPRDTARIAVIDPLNASVMYLIVGKHVLGVDMDMGKVMGCSLADETEGPPYAITSVLKPCVLPPWLSSSKIPAAGTFSRDNGDAKSKTLSDILVRADSDKR >ORUFI05G09530.1 pep chromosome:OR_W1943:5:8330924:8333253:-1 gene:ORUFI05G09530 transcript:ORUFI05G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREVYSNKKGRRKKIGILWEGALSRSKETHTAEPTNTTDDVYKKRHTLFLGSSKKTSSSRSLNGSVETMYHVRSSHHSYPTNSTASMYMFICHGSGSGDGLQEEPPHVEGSTVTVLAEHGDDIAVRRPVAGIGAGEEASVAAPGEHGAPLGAFDVREAEQLAGERVADGLHVFWFWVTEVASASGAGGGDMLGEAAFPSSTGYEVGDDRGRDAGIHVDEVEAIAACA >ORUFI05G09540.1 pep chromosome:OR_W1943:5:8330960:8331566:1 gene:ORUFI05G09540 transcript:ORUFI05G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARVPASVVSDLIARATWESGLSKHVAATGATRGSHLGDPEPEYMQSVCNPLTGELFRLPDIEGTKRSTMFPGCRNTSLFTCSDAGHGPPDSYVVTVLCEDSNSGAFNMRRFLLQTIPAPAPMADKHLWWLDLTWYIVSTDPFNDRLELVFFELPRNSVCLEPSTNIIQEQGMHRRLVVSEGRLRYIELF >ORUFI05G09550.1 pep chromosome:OR_W1943:5:8338957:8339428:-1 gene:ORUFI05G09550 transcript:ORUFI05G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVMRCWSTASWRPHAVVEFAKSHGRGKPPFFYVVMTGRMTSSRYLAGACCHGIVNVVESCPTEEVSSESSETSPSLPRLHQPNTSLGDGDCRTALGAMVLPSSESPCQSSDRHHPVESLVMTLLVAVISSPTSAGHLRWCYHLPHTRR >ORUFI05G09560.1 pep chromosome:OR_W1943:5:8340746:8341467:-1 gene:ORUFI05G09560 transcript:ORUFI05G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQTELIVEPEKFTPQSCLKLQTINKEEKLAKQDLINYFDPKCNDSKWFQYSQNLLINFSKVALDAKIAIKDITKFQNHSTTVPTTVQCVNCF >ORUFI05G09570.1 pep chromosome:OR_W1943:5:8363171:8380987:-1 gene:ORUFI05G09570 transcript:ORUFI05G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLPLRRALSAAASAPVRRALSTASRRPPWAMVEKYVAVGSPERRVSLRLAEPPCGSRLIAPAHLVGYAPRVPDPDTDELNAFFAGFVKAASGDGLLLLTFMEVTGTAPLVPGGGGWRALTGISIDPDMTRFVCNPISGELFGLPDIDGTKKTAWFSDIGILTQSERPHGPPDRYAVALFREDSVGYRGGDERFAMRRFLSQTGKWDKLVGLPSSLPLHRRRMNTSTQEVVAFAGRLWWVDLSWGALSADPFSDRPELRFVELPRGSVTQPMEKERRELRRFRRVGVSEGRLRYAEVSQEEPYVLSSFALDDDGGGWTLEHRVALSRLWPHDQNLCKNTPQIAAIDPLNAGCMHLVVGRQVVSIDMDNGDLLGCTLGGGSDPSVDTLTPCLLPPWLASCRIPRAETLSRNKADAKSKKDANPTATGRRTHSRCPLRAPGLVHQLTQLQNPTCRGLSELHPPRLFGFSFAGKPTTPKMQRLPLRRALSAAASVAGRRALSTAAASPSRTPPWAMIYHIPAVRSTAPRAFFILADPPGASNLYVPDHLFDRRPGPGPDNGDVMALLGGMVCTTSGDGLLLLCYSDAHAPVVSTHSGTREPKLIGVDMDPDITRFVCNPITGELFRLPDIDGTKKTLSYGPNAGLLTRSASAAGHGPPDRYAVALLNEDRSRNGDERTFVMRRFLSQTGKWEKLVGLPLPSPLPLPRRMELYLEAVAFAGQLLWVDPTWGAISADPFSHRPELRFVELPRGSVWPMPSTHPVQALGMFRRLGVSEGRLRYVELSNQDPFVLSSFALDDHGGSWTMEHQVELAPLCRDHVNGGGLPSKDYTSTPRIGVIDPLNSSCICVLIGKHVLAVDMDMGKVLGCSLTDESEGSPWAITTCLKPCVLPPWLGSSQIPNAEHRALGGTGPRSRGSPLPLSESELTSVNLVHREPAPPPRLLLDATRVPFSLAFAVRRPPPRLHRRRMQLPLRRALSAAASASAPVRRALSAAAAAAAPVRRALSAAAADADASRHPGWVMIHSIHHATEARTPSPRASLLLAEPPCSSYLLLPDHLVDRRPGPKPGTGIDVVGLLSAVIYATSGDGLLLFAYVDSHAPLSVVSKAFAAGATPTREGELDLDGLNPQDQDLTRFVCNPITGELFRLPDIDGTKKTFFWRHTGLLTRSAAGHGPPDSYAVAMLREHSNSGTFHMWRFLSRTGKWDKIDGLPSPLPLVRRLDIDTEAVAFAGRLWWVDLTWGVISADPFSDRPELHFVELPRGSVWPMPSEDLLVEVQSIHRRVGVSEGRLRYVEVSDKDPFVLSSFALDDDGGSWTLEHRVALGRICEVKGGGPEDTPRIAVIDPLNSSVICVIVGKHVLSVDMEMGKVLGSSPIEEGEGSPWFITSILKSCVLPPWLASSKIPAAGTSASNKGDAKSKTLSDILVRFAGKHIEDIAVKSDPVQSSVLLSSRLYVDNPLIFSHEKEEA >ORUFI05G09580.1 pep chromosome:OR_W1943:5:8387544:8388686:-1 gene:ORUFI05G09580 transcript:ORUFI05G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHTGLLMPPSSPRAAFQLAEPPCASHILVPEHLVEPQPCPPGMMRACSSHARASSGDGLLVLDFTDGLTAAPAAGARGPIPLDGKQLKPDVTRFVCNPLSGELFRVPDIDGTKKTLKWQLVGILTQSDRPNGPPDRYALASFSSNAAAADNDEWSFAVRRFLSQKGEWDEPVVFPSPLPLERPLFVDHDPVTFAGRIWWVDVSWGAISVDPLSDQPELRFVELPGGSVMEPVKDEKRRGLVRYRRLGVSEGRLRYAEASQKEPFVLSSFALDDNGSSWTLEHRVALSRLRVDGGLPLQQEDTPQIGVIDPLNASIMYLKIGVQCISVDMERGKVLGRSLLGDESYTRSCLTALLTPCVLPPWLPSYRIPSAGSFSCFL >ORUFI05G09590.1 pep chromosome:OR_W1943:5:8389083:8389262:-1 gene:ORUFI05G09590 transcript:ORUFI05G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVPGVLSMDRSSTFVGWPSPKSVSYTAYSGEXACRRRRRPAAAAAAAIEAAARLGFE >ORUFI05G09600.1 pep chromosome:OR_W1943:5:8391510:8394422:1 gene:ORUFI05G09600 transcript:ORUFI05G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTPAPWLALGTWQERKEHEPRERPRKEHEHSAGELGCRRQFKIRAVKVKEDLESFVPGVSVVINPEKPRRGCLEIREEGGEVFISLLNMPRPFTAMKKLDMDEVIKDIAK >ORUFI05G09600.2 pep chromosome:OR_W1943:5:8391510:8394422:1 gene:ORUFI05G09600 transcript:ORUFI05G09600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTPAPWLALGTWQERKEHEPRERPRKEHEHSAGELGCRRQFKIRAVKVKEDLESFVPGVSVPRRGCLEIREEGGEVFISLLNMPRPFTAMKKLDMDEVIKDIAK >ORUFI05G09610.1 pep chromosome:OR_W1943:5:8395278:8405693:-1 gene:ORUFI05G09610 transcript:ORUFI05G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLDAFASYVGDLLKQVAQDELTLLLGVSSEIASLHERLNSLKDYLADAERRRITDQSVQGWVRKLKDVMYDVTDILDLCHLKAMQRGGVGSSAPPVNISCLDSLLFCLRNPLFAHDIGSRIKALNARLDAICKSAAAFSFLKLEAYEDMAAPRRPSAADRKTDPVLERSAVVGEKIEEDTRTLVKMLTNGKNKKQNAVVVVAVVGTGGIGKTTLAKKVFNDEAIKEAFDKKIWLSVTQDVNEVELLRMAIRSVSVGGASDGRESNKSLLVPTLVDAIRDKRFFLVLDDVWSDRAWSGLLKVPFSHGAAGSRVLVTTRHDAVARGMQAMHPFHHVDKLCPPDAWSLLKKQVVSSEMEDVEIDETLKDIGMEIIDKCGGLPLAVKVMGGLLCQKERRRADWEKILHDSIWSVPQMPDELNYTIYLSYQDLHPCLKQCFLHYSLLPKNVDFFIDTVTSMWISEGFLHGETDDLEQLGEECYKELIYRNLIEPNAEYAGEWVSTMHDVVRSFAQHLARDEALVISSRDEIGRGALKSHKFLRLSIETDDLQPNDEFGWKIIQGQKSLRTLILVGELKINPGDSLITLSSLRTLHIENANCTSTLVESLHQLKHLRYISLKCGDITRLPENIGKMRFLQYLGLVCENLDRLPNSIVKLGQLSLQELGPLAQLWVLGLSNLENVPAISFAAKARLGEKAHLSYLMLECSSRLGEDGFVQDENGVPTEEQRQIEEVFNALTPPLCIESIEISGHFGEQLPRWMMSRVAGAYERLSMVIMDDLACCNQLPDGLCRLPSLYYFQLTHAPATKRVGPEFLTILPSSSQLRQAHAFPRLKRMNLIGMVEWEEWEWDQQLNSVHAMPALEELVIENCKLRRLPPGLSSQATALTSLYLRNIQQLNSVESFASLVKLEVYDNPNLESITSLNKLQKLVIDGCMKMRILEGVPELLRLELKDLDMEELPGYLLQSVSPRHLVLDCSLEMLTAISTGESGPEWSKLSRVQHVKAYADQGDNERRWHVLYTRDPYRFETNIGISSSSSSGGKTAISIGDRRVVAKDELNLLFGVSLEIATLHDKLRILKDYLADADRRRITDLSVQGWVTKLKHTIRIKALNARLDAICKSAATFSFLKLESYEDMVAPRRFSVANRRTDPVLEQSAVVGEKIKEDTRALVRRLTDGKHKKQDAVMVVAMAIKEASDKKIWLSVTQDVNEVNLLRTAIKSVGGASDGRESNKSLLVLALVDAIRDKRFFLVLDDVWSERSWDNLLKAPFSHGAAGSHLLITTRHDEVTQRMEAMQPFHHVDKLYPQYAWLLLKKQVASSDDMEEVEIDDTLKDIGMEIIKKCGGLPLAVKYLEIEFENLVRLPNSLVKLGRLRHPSLVKLGRLRHLDLLGVSINGIPRQFCGLTNLRYLCGFPAQADGLQDVSPMHLVLDCNLELLTSIALGESGPEWGKLSHVQHIKAYADQRDDERKWHMLYTREPYSFETNIGDNSSSSTGVN >ORUFI05G09620.1 pep chromosome:OR_W1943:5:8424102:8424401:-1 gene:ORUFI05G09620 transcript:ORUFI05G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGVALALAAAVCFLLVAPASARRPADLPPQAVLLLPEPVDYREAAAEPLLPPKPVADANADASDADLTTAAALAVPDEEERGPARARASLLCFVFR >ORUFI05G09630.1 pep chromosome:OR_W1943:5:8428535:8435747:-1 gene:ORUFI05G09630 transcript:ORUFI05G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLLRLRRSILSAAAAAGRTLSTAAPPSRPRWAMIFHVEAARSSLAALRASFQLAEPPRASHVHVPAHLLDLRPLTDPRSQMRLLGGCVRGASGDGLLLLDFTDGLSTGPVVGVHPNGLARQMTGFDRRFDVTRFVCNPVTGQLFRMPDIDGTKDTSWCQFTGILTQSDRPDGPPDRYAVAWLSVDGEDNRRIAMRRFLSQKGEWDKLVGLPSPLPLARQMDVSHEVVAFAGRLWWVDVSWGVVSVDPFSDRPELQFVQLPRGSVTEPVEGIRKLGRFRLVGVSEGRLRYAEVSQKEPFVLSSFALDDNGSSWTLEHRVALSRLGVDGCHPDPEEDDTPRIGVIDPLNASTMYLTIGDSCVAVDMERGEVLGRSQIGCSTGPFSPFTGFLTPCILPPWLEEFQIPSAGTLSSTKASIRSKTMADTLMLLPRHLSAAAAAASGRLRRGLSSAASQPPWAMIQYTRIRASTAERASIELAQPPAVSHLVVPEHLVGLDPEPPVDPNSDILRTVVGDAGATSDGLLLLDFTDIRATARVVANRRAGAQAQAQQQGKKLTGLSFNLHNSRGDTQERELAGVNTNPDITRLVCNPISGELFRLPDINGTKKTMFCHLPGLLTRSAQGHGHGPPDEYAVASLSEGNGRDGKDRGFAMWRFLSQTGEWDKLESLPSPLPLARQLNVHSHHEVVAFAGRIWWVDLGWGVVSADPFSDRPELRFIELPRSSVLPEPTTGEEFMASVLAQGMYRRIGVSEGRLRYVEVSQKKPFVLSSFALDDDYGCWTLEHQNAAAGRPWQDRIPWICAIDPVNASVVCVVVGDHVLAVDMDRREVVGCSDLGECEFHERTFLIGTFLPCVLPPWLGSSRIPSAGKEEGAENKTLADVLVRSSSD >ORUFI05G09640.1 pep chromosome:OR_W1943:5:8438908:8443001:1 gene:ORUFI05G09640 transcript:ORUFI05G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERATTSGGGAGGSQPPRGVGLPLVEVQAAAASLRRSEVFYVVKELLGFVLYMHHQIPAVLQNLENEFASLKEEMTEMALPPGEMKPSDQRKYNTRKREVRRRIKKQEKLMNGLSSVFSALQKALDEVPSIEGVLLILGGSLVRPLFVYDITISHGRFDAGSANERGASKLAQSVSRKVVPLQMCIKCNIAGIQIDNQQITSIVDASRCTSESTISEVPV >ORUFI05G09650.1 pep chromosome:OR_W1943:5:8445755:8449314:1 gene:ORUFI05G09650 transcript:ORUFI05G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFNSFLTVVLLVICTCTYIKIQFPAILNDRTGFRGFFWKAARIGERLSPWVALGCFAMGISTIFF >ORUFI05G09660.1 pep chromosome:OR_W1943:5:8455435:8458291:1 gene:ORUFI05G09660 transcript:ORUFI05G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASPCRQNSLEATSVTVPAPAKQPSPSWGHEHLAPRWNRRVVGRVVKGFSAAQTQQPLCHGAAPQGLAAVAAQSGAEPPPPPPPLPTVVDVAIARFAFLTTPMAVCNQLTDRRVEVVAY >ORUFI05G09670.1 pep chromosome:OR_W1943:5:8456303:8462233:-1 gene:ORUFI05G09670 transcript:ORUFI05G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMIGDPMSATSVEAVFEKQPSPEFRELVTPRAMAVAVVLSVVICFVGMRIQMTAGIVPALNMPASILSFFLLKWLIRLLQSCGFPMLPFTRQENMFLLTCIITCLNLALTSGFATNIIGMTSTVARSLADDPDPRDIMDHVPIGKWIVYLFLVGMTGVLINVPFNQVMIIDYKLLFPTGTVIAQLINSFHTPEGAYWFYTAGDDCGFQHFPTFGLGLYKHRFYFDFSATYIGLGMICPHIVNFGLFFGAIISWGFLYPFLETKRGQWYQTDSPTSLNGQNGYKVFISVTLIITDGMINFLTLITTASINFYQLRKEHDLGLANYFKKHPSLNYDDRKRIEVFLANRIPIPVPVAAYITCAAISTIAIPAMFNQIKFYHLAVLYMVIPVVTFCNTYATGLTDWSVAPTYAKFTTFVFAAWIAKPGAVVASLLASGVIVAALHISSQAMQDLKSGHMTLTSPRAMVTGQIFGVAVGSILCPCVFLAFQSTTKPNAPVGSKQSDYPCPFAGLYRAIGVIGTGGVKELPKHCMTFCVVAFCVTVIIDAVVLVSQKRGWSIHRYIPSMTVIALPFFAGSYFTIDMCVGSLLLLAWTRMNAKSAEMLSSAVAAGLICGEGLFTLPSALLNMFKVQPPMCMKFLSGGEEVEAADSFLNNLGTSRT >ORUFI05G09670.2 pep chromosome:OR_W1943:5:8456303:8462233:-1 gene:ORUFI05G09670 transcript:ORUFI05G09670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMIGDPMSATSVEAVFEKQPSPEFRELVTPRAMAVAVVLSVVICFVGMRIQMTAGIVPALNMPASILSFFLLKWLIRLLQSCGFPMLPFTRQENMFLLTCIITCLNLALTSGFATNIIGMTSTVARSLADDPDPRDIMDHVPIGKWIVYLFLVGMTGVLINVPFNQWFYTAGDDCGFQHFPTFGLGLYKHRFYFDFSATYIGLGMICPHIVNFGLFFGAIISWGFLYPFLETKRGQWYQTDSPTSLNGQNGYKVFISVTLIITDGMINFLTLITTASINFYQLRKEHDLGLANYFKKHPSLNYDDRKRIEVFLANRIPIPVPVAAYITCAAISTIAIPAMFNQIKFYHLAVLYMVIPVVTFCNTYATGLTDWSVAPTYAKFTTFVFAAWIAKPGAVVASLLASGVIVAALHISSQAMQDLKSGHMTLTSPRAMVTGQIFGVAVGSILCPCVFLAFQSTTKPNAPVGSKQSDYPCPFAGLYRAIGVIGTGGVKELPKHCMTFCVVAFCVTVIIDAVVLVSQKRGWSIHRYIPSMTVIALPFFAGSYFTIDMCVGSLLLLAWTRMNAKSAEMLSSAVAAGLICGEGLFTLPSALLNMFKVQPPMCMKFLSGGEEVEAADSFLNNLGTSRT >ORUFI05G09680.1 pep chromosome:OR_W1943:5:8463339:8468736:-1 gene:ORUFI05G09680 transcript:ORUFI05G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASIGDPRLTSVEAAFEKNPLPGFSWLVTPRAMAVAVLLGIVFCFVGMRIQMMTGFVPALNMPVTVLSFFLLKVLARQLQKWRLTVVPFTRQENMFLITCVITCLNLAITGGFATALTGMGTIVAKTLADDLDPRDIIDYIPTGKLIIYFFLIGMAGVLSNIPLNQIMIIDYQLLFPTGSVIGHLINSFHTPEGSGCGFSSFPTFGLELYKRRFYIDFSATYIGVGMMCPHIVNFGLLFGAIISWGFLYPYLETKHGEWYQTDSPSNLDGLNGYKVFISVTLIVTDGLINFLILVTSAAINFYHIRQQQQQTSGLASYISKNPSMNYDERKRIEMFLSSKIPMFVPVAAYVAWTAISMVAMPAMFDQIKYYHVGVLYLAIPVVGFCNTYATGLTDWSVSNTYAKFSPFIFAAWIARPGAIVASLLVSGITMASLHVSSQAMQDLKSAHMTLTSPRAMIAGQVFGVALSSVVSPCIFRAFEKAAKPGAPLGSKDSVYPCPYAGLYRAICIIGMGGVKGLPKYCVELCVIAVLVTIAIDALVLVSQLKGWRLHLYIPSMTVIALPFFAGSYFTLDMCLGGLLLLLWKKIDTMSAEILSAAVAAGLICGEGLFTLPSALLNMFKVLPPMCMKFLPSGQEVEVVDSFLNSSGGTVPKT >ORUFI05G09690.1 pep chromosome:OR_W1943:5:8471862:8473549:-1 gene:ORUFI05G09690 transcript:ORUFI05G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVCPNLDREDGLDTVLEVPVPELHRQAPRRRGRRGGGGTVKSWVRARMEHGRRRDGAAPSRAEVQLMLGVVGAPLVPQAVEARKAMVAGRGVGGEGEEPLDLEASKARYIVEQYVAAAGGEAALGAATSMYAMGKVRMRTTTTSKANKGKVMGVAAGGEVAGGFVVWQKKPDLWCVEMVVAGGVKMSAGSDGKVAWRQTPWQEAHASRGPPRPLRRCIQGLDPKSTADLFSSAAWVGERCVDGDDCFVLRVDADHAALRARSSGDVEVVRHAVLGYFSQRTGLLVRLEDSHLLRIGLAHAAAESAYWETTMESSIGDYRAVDGINIAHAGRTAVSLSRFESADDAAAAARGSNKRSWGTTTMEETWSIEEVDFNVVGLSMDCFLPPRDLVLNDCSKQQQKEDAAAAVVVKDAAAAVDVKDAAGAAANAKGGSDDGKTNGGDVGRGVVVKKALVPAVTGLGWFGPAKVVAVDDTVDDGVAAAADDDK >ORUFI05G09700.1 pep chromosome:OR_W1943:5:8473287:8474371:1 gene:ORUFI05G09700 transcript:ORUFI05G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLASTACGTSGAPTTPSISCTSARDGAAPSRLRPCSILARTHDFTVPPPPRRPRRRGAWRWSSGTGTSSTVSRPSSRSRVKWQQQCRGDMRFDR >ORUFI05G09710.1 pep chromosome:OR_W1943:5:8498786:8504719:-1 gene:ORUFI05G09710 transcript:ORUFI05G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMSSEAEEKIEPPDEFSDECSCVPVDLALLDMELEEKMAARALGKGISSSNNGCEGGGDEPKAAWEIDLSRLRIRQGAPVKHGFRGSLFRTDYDGRDVAVKLLDWGKEGFSTPEQIAHLRASLRGVAEAWHKIDHPNITKFVGASMATADLNIPQGQSTAPPLPPPPPDTPCCVVVEYLTGGTLKSYLIKHMERKLAYKDAGLSYLHSRKIVHRDVKTDNMLLDGELNLKIADFGVARIEASDPREMTGVMWTLGYMAPRCSSGSRTTASATFGICLWEIYCCEMPFMDLSLADVSSAVVHKDLRPEIPRCCPRDMALIMRRCWHANPAARPEMEEVVGMLEALDMKKGRGMVPLGETAGCFCISLRRRGSYITGGETLCSPGS >ORUFI05G09720.1 pep chromosome:OR_W1943:5:8521500:8522613:1 gene:ORUFI05G09720 transcript:ORUFI05G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKWMYKYSCATPNLHKLHALDVSRTNRGVYSLRSQSRLSEGCIPCGLNLDFRGVYSSVSVQTSDLWMAEMLLLVSSYYLCFLGTRILQQLNAGKLLRVVRSA >ORUFI05G09730.1 pep chromosome:OR_W1943:5:8527104:8535660:-1 gene:ORUFI05G09730 transcript:ORUFI05G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTSIAPSQVPITQPFQVPITQPSHIPLTQPSQTSQATNFPFDSHVHNAESDDDDLRIVKQPIASDASTRSRMGKRVASATNKHAGTSDKTEKATQRRRQDGKVVEMMGKFLEFKEKQAEIEIMARSNVHEDEFPIPVCIAVVDSMEDMSDDEKVLAYDVFKDPQNRAIFMTAKDSTRLKWLRRKITTA >ORUFI05G09740.1 pep chromosome:OR_W1943:5:8544892:8552023:1 gene:ORUFI05G09740 transcript:ORUFI05G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCCSSNRASGLLVWLVLVCSWQIAAAQPQQAAKTDPTEVAALNTILGRWSKKASSEWNISGEPCSGVASDPSNWDNFPNINPLIKCACTYNNNTVCHITKLGLALNPLSGPLPKELGNLTNLLSLGISLDNFTGELPEELGNLTKLQQLYIDSSGFSGPFPPTFSKLKNLNTLRASDNEFTGKIPDYLGSLTNLIDIILRNCKVSGNLGPVDFSMFTALILLDLSFNNITGEVPQSILNMGKLEFLFLGNNSLTGKLPDVISSSLKTIYRFLLQSLETFLPGLPRTICNYYSFAVDCGSNSSTRGSDNTIYEAEPTNLGDASYYVTGQTRWGVSNVGKFSLASNGMNIISSSEHFQNAVNSRLFETARMSPSSLRYYGLGLENGNYTILLQFAEGSLREKDFDIRKMAGGKSFIVVHRSYTATVSNNFLEIHLFWAGKGTCCIPTNGYYGPMISALSVSPNFTPTVRNGVPKRRSKVHTIAGILIGASILGLAALFGIFMMVKKRRTMAQQKEEELYNLIGRPDVFSNTELRLATDNFSSQNILGEGGYGMVYKGKLSNGRVIAVKQLSQSSHQGKSQFVAEVTTISAMQHRNLVKLHGFCIDSNTPLLVYEYLQNGSLDTALFGHSRLNLDWGTRFNIILGIASGLTYLHEESSVRIVHRDIKASNILLETDLTPKISDFGLAKLYDEKKTHVSTRIVGTLGYLAPEYAMRGRLTEKVDVFAFGVVVLEIVAGRSNTNNSLEESKIYLFEWLWDLYEKEQVLGIVDPSLKDFNNNKAFRVIRVALLCTQGSPHQRPPMSKALAMLTGEVELSEVVVKPSYITEWQLRDVNRSYATSSYSGSTTLSLAHRKR >ORUFI05G09750.1 pep chromosome:OR_W1943:5:8569537:8570199:-1 gene:ORUFI05G09750 transcript:ORUFI05G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHGVTLERSGALKTNPTGSSAGPPPAPAASASSPLPRQAQGHKVVSLAQLHNKRPPAATGLRLDFDDGGSEHVSMTTTSSASSILSDELATQFDRYKNEMARMFQDHVRIVDVVDRVDSLLAAAGVRLCVFYGGGVAFACLLQTERLRRTAGGRRRRRPRMRRGAAPSWRSVSRGCGRRRQRGTLPSSRGQGGAWKPPAAPDVDEVRPPLLKPPCPSF >ORUFI05G09760.1 pep chromosome:OR_W1943:5:8591682:8591858:-1 gene:ORUFI05G09760 transcript:ORUFI05G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRREEEEGDDEAEEARPRGEVRWWEADATGHRGGGEEEVGGAGVGRESGGGVGWRY >ORUFI05G09770.1 pep chromosome:OR_W1943:5:8597206:8598036:-1 gene:ORUFI05G09770 transcript:ORUFI05G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGHSAHPMRCGPRLVVGYPDTVYPTKTERGGVGDGAGLGALGIGVDGGDVGCSGDEQRHGGRAREQVHEVREVEVQ >ORUFI05G09780.1 pep chromosome:OR_W1943:5:8599159:8601800:-1 gene:ORUFI05G09780 transcript:ORUFI05G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRDRNNMVSELSVRGIPCQETRWLTHGCSYDFQILNPSIGGSCTGGARQVFDAMPSWLGAGAGAALHVQVSHIIYPVTNKVLYQVYDIYGAEELHILVVDAWRVEFRARGDAKKARGATHGRNIYDGGCLLEAQHAQPCSKDGAVPTPTKCSTPVPSCAITKSDAESTPTTLEHVFPATMSQSMPSAASAAAAPPVSLTATKEDEADMDKSEIKPEETFQELCAKMIAMLNNMLATCESTASVDITRVVAVTSTNTESVPNTLEVRKEANSISLVDTNESCMATPTKCLTKGNEQMINDDDDDMATEDLVEHTEVNSKFTLRQTSFNNPWFGHQAISVVYLTCYSCLDRSSEYTARSPLVPRWRAVIPWNQAEMTFGSRPLPRPDPQLSQGSEARGGEVSMELATSQANCKGDRVEHQPQPWPNPQLNESSGDVVYLLQRWSLPDLSLRIGVAIVQEQGQLLRKQDKKNPRSALFEAGDKTDVGAFLSLSRTLSKSNFVVRGCSVNLWEVHKQSYLAETLHLQYILLVGIIWDPGEFGLQGLSVQRKSNAVLDSFVIMEYWFMQQLMLTMHTERHWEFLFHQHHLDGLHVSMFMQATAFHEAQVQENAMSKPWDPGGIASAGKLNCICSEGSMTSTNMDKQMCIVKMVVHLNFIGVMLQVTMGSSYISMLISRARDWTVKGLSKYVNSWMYFSSSTRAQWYGASYFTKIWTNCYLNYSKARIFGKNW >ORUFI05G09790.1 pep chromosome:OR_W1943:5:8614158:8614814:-1 gene:ORUFI05G09790 transcript:ORUFI05G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYGYGVPTISTIIIILVVIGGRRDNTRQGEAASAGEHGGGEEAAAVAAMREGRPAPTQKQKPKATAWASVEAEGNGTRRGDAALATSGLLAFASTEGKSAAAETSRAAAYTSLGGTVGGPGSRCARSGKLREMGFYGVEQWRQFVASPYICIGVTTVAKYRAVIGNELRVGGSGAALMAVRELVARCHRERLQGNLPERTTLLLARRRRWRSTREQR >ORUFI05G09800.1 pep chromosome:OR_W1943:5:8621492:8623624:1 gene:ORUFI05G09800 transcript:ORUFI05G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAVGALVASASASPAPLHALNGLVASIRSPWTSSTRAASTAPLGVRPPPPLPSTLLPRVPDPLRRHATAHEHLEVLKGVTVLKDVSWEVQRGEKVRLVSVNSASKTTHLRIAAGLEDPDTGNVVKAKKNVRIASLSQEFEVCALPTIREEFLAAFEEEMEVRSRLEKVQAALEGATEDMDLGRLLDELDLLQRRSQDVEVKIQKLMPKLGFAPQDTDRLVASSGGR >ORUFI05G09810.1 pep chromosome:OR_W1943:5:8624017:8626717:1 gene:ORUFI05G09810 transcript:ORUFI05G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTHKANYSEYVLAKAIWVETQYATWEKQQEEIEQTKELINRLGAGVNAGRVWSEQKGEWQWRVSAERRWQEAVENGGRARPQRAGSGAWPEQVQGWR >ORUFI05G09820.1 pep chromosome:OR_W1943:5:8644165:8648127:1 gene:ORUFI05G09820 transcript:ORUFI05G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVMAAAARDYQKEIVAKEKAQAASASHDEVDLDELLDDPELEKLHAERIAALKKEVEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKALAPIYLGTKFIKLDAENAPFFVTKLGIKTLPCVILFKKGIAADRLIGFQDLGSKDDFSTRALENILKMKGIIDEKKKDEDDEDDETYMSMNRRVRSSTAQDSDSD >ORUFI05G09830.1 pep chromosome:OR_W1943:5:8650619:8655660:1 gene:ORUFI05G09830 transcript:ORUFI05G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALVLCKERMRHIRRAIDSRDALSASHLSYTQSLRSVGTALRRYAESEISPESSLSISEADKSPSHSSMASPSPSRAVESTGSPVHRGSQLTPPSTKIHYMKAAGTKPLTFTIDPSAADFVGQESPVSTFVPPPPPLPPELCTSWDFFDSNYTSGSATSNNENGVTLNFSRLKGLRDSRESEAVSLREETTNRSDRMHPELPGDNAAPKQEAQAKKGGMSKPSGSVEVTTEATTSGQVGAKVEEDDMEKELCTEAEDPSEFITHRAKDFVSSMKDIETRFVRAAEAGNEVSRMLETKKIRLDICAKIPGSPGKPPTARFVSALRVCCNRENILNQETAQNISKVVTWKRSVSSLSSSSKSPLTAAMITDDVGDSNSDFVEQFAMVSGSHSSTLDRLHAWERKLHDEIKASEHVRKTYDEKCNLLRRQFARGLNAQLIDKTRAVVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKAMLECHHKQFITISLAYHVKSSTMVQQGEHHRRAAAHLWNELDCFSSSFRIWVTAHKSYVESLNAWLQKCVLQPAQDRRRRKRKVSFPPRHALSPPIFVLCRDWLAMMESQSLPTDELCKSIKEVMQLLRGSFDHPADHQDKTTTESQSRNESQECGMLENNEQEVSGSVEAVEGLQSKLTTVLDRLTKFSEASLKHYEELKQNYEIARDDYQTGRSNAHLV >ORUFI05G09830.2 pep chromosome:OR_W1943:5:8652048:8655660:1 gene:ORUFI05G09830 transcript:ORUFI05G09830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALVLCKERMRHIRRAIDSRDALSASHLSYTQSLRSVGTALRRYAESEISPESSLSISEADKSPSHSSMASPSPSRAVESTGSPVHRGSQLTPPSTKIHYMKAAGTKPLTFTIDPSAADFVGQESPVSTFVPPPPPLPPELCTSWDFFDSNYTSGSATSNNENGVTLNFSRLKGLRDSRESEAVSLREETTNRSDRMHPELPGDNAAPKQEAQAKKGGMSKPSGSVEVTTEATTSGQVGAKVEEDDMEKELCTEAEDPSEFITHRAKDFVSSMKDIETRFVRAAEAGNEVSRMLETKKIRLDICAKIPGSPGKPPTARFVSALRVCCNRENILNQETAQNISKVVTWKRSVSSLSSSSKSPLTAAMITDDVGDSNSDFVEQFAMVSGSHSSTLDRLHAWERKLHDEIKASEHVRKTYDEKCNLLRRQFARGLNAQLIDKTRAVVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKAMLECHHKQFITISLAYHVKSSTMVQQGEHHRRAAAHLWNELDCFSSSFRIWVTAHKSYVESLNAWLQKCVLQPAQDRRRRKRKVSFPPRHALSPPIFVLCRDWLAMMESQSLPTDELCKSIKEVMQLLRGSFDHPADHQDKTTTESQSRNESQECGMLENNEQEVSGSVEAVEGLQSKLTTVLDRLTKFSEASLKHYEELKQNYEIARDDYQTGRSNAHLV >ORUFI05G09840.1 pep chromosome:OR_W1943:5:8660683:8666139:1 gene:ORUFI05G09840 transcript:ORUFI05G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPNVWFADNGDRLGTYRGHNGAVWSCDVSRDSTRLITGSADQTAKLWDVQTGAELFTFRFDAPARSVEFAIGDGLAVITTDNFMGNVPTAQVKRIADDPDDQSEESLLVISGIKGRINRAVWGPLNRTIITAGEDATVRIWDTEECDNLLITVTGTCLNESDKEQGHQKTITSLSKSADWSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPLLDHVVIGGGQDAMNVTMTDRRAGKFEAKFFHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKI >ORUFI05G09850.1 pep chromosome:OR_W1943:5:8672976:8685515:1 gene:ORUFI05G09850 transcript:ORUFI05G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSSSSSGFGGHLLWLVLVLWSWRIAAAQAQQAPKTDPIEVSALNTIMGRWGLTAPSEWNISGEPCSGVASDNSDWDNYPKDPAIKCDCSSNDNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFTGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLAFQGNSFEGPIPASLSNLTKLTNLRIGDIVNGSSSLGFISNLTSLTNLVLRNCRISENLETVDFSKFLGNNSLIGSLPDVISSSLKVMNLVANNIVLGSTKNSIPSGLNCLQQDTPCFHYSFAVDCGSDISTRGSDNTIYEADATNLGDASYYVTDQIRWGVSNVGYFYQATDRMDIIYSSEHFQTAVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKKGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTGIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPNLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPKVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGR >ORUFI05G09850.2 pep chromosome:OR_W1943:5:8672976:8685515:1 gene:ORUFI05G09850 transcript:ORUFI05G09850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSSSSSGFGGHLLWLVLVLWSWRIAAAQAQQAPKTDPIEVSALNTIMGRWGLTAPSEWNISGEPCSGVASDNSDWDNYPKDPAIKCDCSSNDNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFTGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLRIGDIVNGSSSLGFISNLTSLTNLVLRNCRISENLETVDFSKFLGNNSLIGSLPDVISSSLKVMNLVANNIVLGSTKNSDISTRGSDNTIYEADATNLGDASYYVTDQIRWGVSNVGYFYQATDRMDIIYSSEHFQTAVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKKGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTGIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPNLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPKVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGR >ORUFI05G09850.3 pep chromosome:OR_W1943:5:8672976:8685515:1 gene:ORUFI05G09850 transcript:ORUFI05G09850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSSSSSGFGGHLLWLVLVLWSWRIAAAQAQQAPKTDPIEVSALNTIMGRWGLTAPSEWNISGEPCSGVASDNSDWDNYPKDPAIKCDCSSNDNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFTGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLVLRNCRISENLETVDFSKFLGNNSLIGSLPDVISSSLKVMNLVANNIVLGSTKNSDISTRGSDNTIYEADATNLGDASYYVTDQIRWGVSNVGYFYQATDRMDIIYSSEHFQTAVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKKGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTGIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPNLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPKVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGR >ORUFI05G09850.4 pep chromosome:OR_W1943:5:8672976:8685515:1 gene:ORUFI05G09850 transcript:ORUFI05G09850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSSSSSGFGGHLLWLVLVLWSWRIAAAQAQQAPKTDPIEVSALNTIMGRWGLTAPSEWNISGEPCSGVASDNSDWDNYPKDPAIKCDCSSNDNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFTGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLAFQGNSFEGPIPANYSFAVDCGSDISTRGSDNTIYEADATNLGDASYYVTDQIRWGVSNVGYFYQATDRMDIIYSSEHFQTAVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKKGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTGIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPNLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPKVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGR >ORUFI05G09860.1 pep chromosome:OR_W1943:5:8706141:8716369:1 gene:ORUFI05G09860 transcript:ORUFI05G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSMAGVFTHLAWPLLVLVLCSWRIIAAAQAQPRTDPVEAAALNTILGRWGKTASPEWNISGELCSGVASDLSNWDDYPNLNPFIKCDCKYNNGTLCHINKLRVTKLDVVGPIPSELQNLTYLEDLALPFNPLSGPLPKELGNLTNLLSLGISYCNFSGELPDELGNMTSLKQLRASDNEFTGKIPDYFGRMTNLVDVRIGDIVNGSSSLGFISNMTSLSNLILRNCKLSGNLEAIDFSKFATLTLLDLSFNSITGQVPQSILNLGMLEFLFLGNNSLTGNLPDVISPSLKTIDFSYNQLTGSFPSWDSQNNLQLNLVANNFVLDRTTNSNSSTRGSDNTIYEADPMNLGAGSYFVTGEKRWGISNVGKFDQATNGMDIIYSSDHFQNTVDSKLFETARMSASSLRYYGLGLENGNYTVLLQFAEFAFPDSQGWQSLGKRVFDIYVQGALKEKDFNIKKTAGGKSFTVVNRNYTATVSKNFLEIHLFWTGKGTCCVPTQGYYGPMISALSVTPNFTPTVRNGIPKRGNRAGVIAGVLIGSLVLGLATFGIFIVVKKRRAMAQQKEELYNLVGRPDVFSNVELKLATDNFSSKNILGEGGYGPVYKGKLPDGRVIAVKQLSQSSHQGKSQFITEVTTISSVQHKNLVKLHGFCIDNNAPLLVYEYLENGSLDRALFRDNNLNLDWAMRFEIILGIARGITYLHEESNVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGRLTEKVDIFAFGVVMLETVAGRSNTNNSLMESEIYLFEWAWDLYEKEQPLGIVDPSLMEYDKDEALRVIRVALLCTQGSPHQRPSMSKVVAMLTGEVEVAEVVTKPSYITEWQLRDGNRSYVTTSYSGSTTHEFNSKDEIKPFT >ORUFI05G09860.2 pep chromosome:OR_W1943:5:8706141:8716369:1 gene:ORUFI05G09860 transcript:ORUFI05G09860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSMAGVFTHLAWPLLVLVLCSWRIIAAAQAQPRTDPVEAAALNTILGRWGKTASPEWNISGELCSGVASDLSNWDDYPNLNPFIKCDCKYNNGTLCHINKLRVTKLDVVGPIPSELQNLTYLEDLALPFNPLSGPLPKELGNLTNLLSLGISYCNFSGELPDELGNMTSLKQLRASDNEFTGKIPDYFGRMTNLVDVRIGDIVNGSSSLGFISNMTSLSNLILRNCKLSGNLEAIDFSKFATLTLLDLSFNSITGQVPQSILNLGMLEFLFLGNNSLTGNLPDVISPSLKTIDFSYNQLTGSFPSWDSQNNLQFNSSTRGSDNTIYEADPMNLGAGSYFVTGEKRWGISNVGKFDQATNGMDIIYSSDHFQNTVDSKLFETARMSASSLRYYGLGLENGNYTVLLQFAEFAFPDSQGWQSLGKRVFDIYVQGALKEKDFNIKKTAGGKSFTVVNRNYTATVSKNFLEIHLFWTGKGTCCVPTQGYYGPMISALSVTPNFTPTVRNGIPKRGNRAGVIAGVLIGSLVLGLATFGIFIVVKKRRAMAQQKEELYNLVGRPDVFSNVELKLATDNFSSKNILGEGGYGPVYKGKLPDGRVIAVKQLSQSSHQGKSQFITEVTTISSVQHKNLVKLHGFCIDNNAPLLVYEYLENGSLDRALFRDNNLNLDWAMRFEIILGIARGITYLHEESNVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGRLTEKVDIFAFGVVMLETVAGRSNTNNSLMESEIYLFEWAWDLYEKEQPLGIVDPSLMEYDKDEALRVIRVALLCTQGSPHQRPSMSKVVAMLTGEVEVAEVVTKPSYITEWQLRDGNRSYVTTSYSGSTTHEFNSKDEIKPFT >ORUFI05G09870.1 pep chromosome:OR_W1943:5:8721842:8722123:1 gene:ORUFI05G09870 transcript:ORUFI05G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPIVHIDAAPCSRQPHRHRALAPLPTPTSPSAAGAAAATCVSPLKLLRSRCRLPCRLPKAPNPPNTSASGEWWATAGNKGEATGCRMASA >ORUFI05G09880.1 pep chromosome:OR_W1943:5:8726486:8727247:-1 gene:ORUFI05G09880 transcript:ORUFI05G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSAAAAMPGARGLIGGRGRHGRLVARTEQLIDTQNQIEARRHRQRHARAPAASITETCSFAKLCMESQSSLPLTARAAADTSVLASAAVLLVKQNLHGATAAAGGGDLDGTPYDNADDGMVRYGRAVAARLAGAARRAQWRRRTPLQRRHGHHQAAPTPTFFLFLVGLELDLEPASLRRTGRTALANVALALVAAALLAATAHALPLAMAREVVAREAVPRPPVPSKPCALWASPPSPSKP >ORUFI05G09890.1 pep chromosome:OR_W1943:5:8755278:8764969:1 gene:ORUFI05G09890 transcript:ORUFI05G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCSCWQLLWLVLVCSWRIAAAQAQAAPRTDPTEAAALNTILGRWGKKASSEWNISGELCSGLASDKTNWDDYPNINPFIKCDCSYNNNSVCHIIKLRVYKLNVVGQLPSELQNFTYMEDLNLAYNYLSGVVPSFIGKNLAFNPLSGQLPKEIGNLTNLLMLGVSFNNFTGELPEELGNLVKLEQLRAQDNDFTGKIPDNFGSMSSLEDIDFSYNQLSGRFPSWVNQNNLQLNLVANNFVLVGTNSSILPSGLGCLQQDTPCFRGSPEYYSFAVDCGSNSSTSASDNTIFEADPTSLGTAAYYVTSQTRWGVSSVGNFFQGTNGMDRIYSSSKHFQNTVDSKLFETARMSPSSLRYYGLGLENGNYTVLLQFAEFSFTETPTWQSLGRRVFDIYVQGALKEKNFDIRKTAGGKSFTAVNRSYTATVSKNFIEIHLFWAGKGTCCVPTQGHYGPTISALSITPNFTPTVRNGVPKKRSKAGAIVGIVIGASVLGLAALFGIFFLVKKRRTMAQQRKELYDLVGRPDVFSSAELKLATNNFSSQNILGEGGYGPVYKGKLPDGKVVAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKSPLLVYEYLENGSLDQALFRDTGLKLDWTKRFEIILGIARGLTYLHEESSVRIVHRDIKASNILLDTDLTPKISDFGLAKLYDEKKTHISTAIAGTFGYLAPEYAMRGRLTEKADVFAFGVVALETVAGRSNIDNSLEESKVNLFGWAWSLYEKEQALEIVDPRIKEFSSDEALRVIHVALMCTQGSPHQRPPMSKVVAMLTGDVEVAEVIMKPSYITEWLHREGDSSYFTNYAGSATPEFSGHKESELSFIS >ORUFI05G09890.2 pep chromosome:OR_W1943:5:8755278:8764969:1 gene:ORUFI05G09890 transcript:ORUFI05G09890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCSCWQLLWLVLVCSWRIAAAQAQAAPRTDPTEAAALNTILGRWGKKASSEWNISGELCSGLASDKTNWDDYPNINPFIKCDCSYNNNSVCHIIKLRVYKLNVVGQLPSELQNFTYMEDLNLAYNYLSGVVPSFIGKNLAFNPLSGQLPKEIGNLTNLLMLGVSFNNFTGELPEELGNLVKLEQLRAQDNDFTGKIPDNFGSMSSLEDMILRNCRISGNLGLFSWEQQPYRKFTRSNKLFVKNVRFFLQPAQWKISFLDYSFAVDCGSNSSTSASDNTIFEADPTSLGTAAYYVTSQTRWGVSSVGNFFQGTNGMDRIYSSSKHFQNTVDSKLFETARMSPSSLRYYGLGLENGNYTVLLQFAEFSFTETPTWQSLGRRVFDIYVQGALKEKNFDIRKTAGGKSFTAVNRSYTATVSKNFIEIHLFWAGKGTCCVPTQGHYGPTISALSITPNFTPTVRNGVPKKRSKAGAIVGIVIGASVLGLAALFGIFFLVKKRRTMAQQRKELYDLVGRPDVFSSAELKLATNNFSSQNILGEGGYGPVYKGKLPDGKVVAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKSPLLVYEYLENGSLDQALFRDTGLKLDWTKRFEIILGIARGLTYLHEESSVRIVHRDIKASNILLDTDLTPKISDFGLAKLYDEKKTHISTAIAGTFGYLAPEYAMRGRLTEKADVFAFGVVALETVAGRSNIDNSLEESKVNLFGWAWSLYEKEQALEIVDPRIKEFSSDEALRVIHVALMCTQGSPHQRPPMSKVVAMLTGDVEVAEVIMKPSYITEWLHREGDSSYFTNYAGSATPEFSGHKESELSFIS >ORUFI05G09890.3 pep chromosome:OR_W1943:5:8755278:8764969:1 gene:ORUFI05G09890 transcript:ORUFI05G09890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCSCWQLLWLVLVCSWRIAAAQAQAAPRTDPTEAAALNTILGRWGKKASSEWNISGELCSGLASDKTNWDDYPNINPFIKCDCSYNNNSVCHIIKLRVYKLNVVGQLPSELQNFTYMEDLNLAYNYLSGVVPSFIGKNLAFNPLSGQLPKEIGNLTNLLMLGVSFNNFTGELPEELGNLVKLEQLRAQDNDFTGKIPDNFGSMSRLSKEIHLKDQSQQFSWEQQPYRKFTRSNKLFVKNVRFFLQPAQWKISFLDYSFAVDCGSNSSTSASDNTIFEADPTSLGTAAYYVTSQTRWGVSSVGNFFQGTNGMDRIYSSSKHFQNTVDSKLFETARMSPSSLRYYGLGLENGNYTVLLQFAEFSFTETPTWQSLGRRVFDIYVQGALKEKNFDIRKTAGGKSFTAVNRSYTATVSKNFIEIHLFWAGKGTCCVPTQGHYGPTISALSITPNFTPTVRNGVPKKRSKAGAIVGIVIGASVLGLAALFGIFFLVKKRRTMAQQRKELYDLVGRPDVFSSAELKLATNNFSSQNILGEGGYGPVYKGKLPDGKVVAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKSPLLVYEYLENGSLDQALFRDTGLKLDWTKRFEIILGIARGLTYLHEESSVRIVHRDIKASNILLDTDLTPKISDFGLAKLYDEKKTHISTAIAGTFGYLAPEYAMRGRLTEKADVFAFGVVALETVAGRSNIDNSLEESKVNLFGWAWSLYEKEQALEIVDPRIKEFSSDEALRVIHVALMCTQGSPHQRPPMSKVVAMLTGDVEVAEVIMKPSYITEWLHREGDSSYFTNYAGSATPEFSGHKESELSFIS >ORUFI05G09890.4 pep chromosome:OR_W1943:5:8755278:8764969:1 gene:ORUFI05G09890 transcript:ORUFI05G09890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCSCWQLLWLVLVCSWRIAAAQAQAAPRTDPTEAAALNTILGRWGKKASSEWNISGELCSGLASDKTNWDDYPNINPFIKCDCSYNNNSVCHIIKLRVYKLNVVGQLPSELQNFTYMEDLNLAYNYLSGVVPSFIGKNLAFNPLSGQLPKEIGNLTNLLMLGVSFNNFTGELPEELGNLVKLEQLRAQDNDFTGKIPDNFGSMSSLEDIDFSYNQLSGRFPSWVNQNNLQLNLVANNFVLVGTNSSILPSGLGCLQQDTPCFRGSPEYYSFAVDCGSNSSTSASDNTIFEADPTSLGTAAYYVTSQTRWGVSSVGNFFQGTNGMDRIYSSSKHFQNTVDSKLFETARMSPSSLRYYGLGLENGNYTVLLQFAEFSFTETPTWQSLGRRVFDIYVQGALKEKNFDIRKTAGGKSFTAVNRSYTATVSKNFIEIHLFWAGKGTCCVPTQGHYGPTISALSITPNFTPTVRNGVPKKRSKAGAIVGIVIGASVLGLAALFGIFFLVKKRRTMAQQRKELYDLVGRPDVFSSAELKLATNNFSSQNILGEGGYGPVYKGKLPDGKVVAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKSPLLVYEYLENGSLDQALFRDTGLKLDWTKRFEIILGIARGLTYLHEESSVRIVHRDIKASNILLDTDLTPKISDFGLAKLYDEKKTHISTAIAGTFGYLAPEYAMRGRLTEKADVFAFGVVALETVAGRSNIDNSLEESKVNLFGWAWSLYEKEQALEIVDPRIKEFSSDEALRVIHVALMCTQGSPHQRPPMSKVVAMLTGDVEVAEVIMKPSYITEWLHREGDSSYFTNYAGSATPEFSGHKESELSFIS >ORUFI05G09890.5 pep chromosome:OR_W1943:5:8755278:8764969:1 gene:ORUFI05G09890 transcript:ORUFI05G09890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCSCWQLLWLVLVCSWRIAAAQAQAAPRTDPTEAAALNTILGRWGKKASSEWNISGELCSGLASDKTNWDDYPNINPFIKCDCSYNNNSVCHIIKLRVYKLNVVGQLPSELQNFTYMEDLNLAYNYLSGVVPSFIGKNLAFNPLSGQLPKEIGNLTNLLMLGVSFNNFTGELPEELGNLVKLEQLRAQDNDFTGKIPDNFGSMSSLEDMILRNCRISGNLGLFSWEQQPYRKFTRSNKLFVKNVRFFLQPAQWKISFLDYSFAVDCGSNSSTSASDNTIFEADPTSLGTAAYYVTSQTRWGVSSVGNFFQGTNGMDRIYSSSKHFQNTVDSKLFETARMSPSSLRYYGLGLENGNYTVLLQFAEFSFTETPTWQSLGRRVFDIYVQGALKEKNFDIRKTAGGKSFTAVNRSYTATVSKNFIEIHLFWAGKGTCCVPTQGHYGPTISALSITPNFTPTVRNGVPKKRSKAGAIVGIVIGASVLGLAALFGIFFLVKKRRTMAQQRKELYDLVGRPDVFSSAELKLATNNFSSQNILGEGGYGPVYKGKLPDGKVVAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKSPLLVYEYLENGSLDQALFRDTGLKLDWTKRFEIILGIARGLTYLHEESSVRIVHRDIKASNILLDTDLTPKISDFGLAKLYDEKKTHISTAIAGTFGYLAPEYAMRGRLTEKADVFAFGVVALETVAGRSNIDNSLEESKVNLFGWAWSLYEKEQALEIVDPRIKEFSSDEALRVIHVALMCTQGSPHQRPPMSKVVAMLTGDVEVAEVIMKPSYITEWLHREGDSSYFTNYAGSATPEFSGHKESELSFIS >ORUFI05G09900.1 pep chromosome:OR_W1943:5:8772144:8772738:-1 gene:ORUFI05G09900 transcript:ORUFI05G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFHKEIRRRRRAAGVVPQGGHWVSPESRWKKMTATMHWYPRCPDLRRALGLSAHSNSGFCSRASCQGCSYSGGDQTGRWQCRRSFLAPSSSTSATSSICMLTNGRFHSVYHRAVVNRNRDRISLGYFLGPAAERRVPPGRSAAYRAVTWPEYKAVRKKAFTTGGSTLEMVSTPTATDEHNDVADVVRDVI >ORUFI05G09910.1 pep chromosome:OR_W1943:5:8781951:8793163:1 gene:ORUFI05G09910 transcript:ORUFI05G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWCSRMSGLVWVVLVCSWTWRIAAAQAPQPPKTDPLEAAALNTILGRWGKKASSEWNISGEPCSGLASDQSDWDNYPNINPFIKCDCTFSNNTLCHITRLRVTNLSVVGEIPLELQNFTYMLDLNLGYNYLTGAVPSFFGKAFPFNALSGPLPKELGNLTNLLSLGISFNNFSGQLPEELGNMTNLQQMYIDSCGFSGPFPSTFSKLQNLKILRSSDNDFTGKIPDYLGIMPKLEDMAFQGNSFEGPIPPSLANLTKLTNLRIGDIVNGSSSLAFISNLTSLSNLILRNCKISGNLAPVDFSKFGVLTLLFLGNNSFTGSLPDAISPSLKAIDFSYNQLTGGLPSWATQNNFQLNLVANNFELGTIGHSTLPSGLNCLQQDTPCFRGSAEYYSFAVDCGNNRTIRGLDGTIYEPDAANLGAASYYVTSDTRWGVSNVGNYFLATDGVNIINSPQKIQNVLDSRLFETARMSASSVRYYGLGLENGNYTVLLQFAEFAYPDSQTWLSLGRRVFDIYVQGDLKEKNFDIRKMAGGKSFTAVNRSYTARVSKNFLEIHLFWAGKGTCCVPTQGYYGPMISALSVTPSKRNHYVIFNKDYMESNKIIFYTDFTPTVRNGVPKRRSKAGAIVGITIGALVLGVVSLFGIFLLVKKRRTIAQQQEELYNLAGQPDVFSNTELKLATDNFSYQNIIGEGGYGPVYKGKLPDGRVIAVKQLSETSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKTPLLVYEYLENGSLDRAIFGHSILKLDWATRFDIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHVSTRIAGTMGYLAPEYAMRGHLSEKADVFAFGVLMLETVAGRSNTNNSLEESKIYLLEWAWGLYEMGQALRVVDPCLKEFDEKEAFRVICIALLCTQGSPHQRPPMSRVVAMLTGDVDVAEVVTKPSYITEWQLRDGGSSSYTTSSYAGSSNPEFSRQRETNPLAQSSPTITKASLVGR >ORUFI05G09910.2 pep chromosome:OR_W1943:5:8786030:8793163:1 gene:ORUFI05G09910 transcript:ORUFI05G09910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNHIMPISGQGGTWALGAVQWCRWQEGNKFERCWRNTFGTAEFHLYAGPVWNLGYNYLTGAVPSFFGKAFPFNALSGPLPKELGNLTNLLSLGISFNNFSGQLPEELGNMTNLQQMYIDSCGFSGPFPSTFSKLQNLKILRSSDNDFTGKIPDYLGIMPKLEDIRIGDIVNGSSSLAFISNLTSLSNLILRNCKISGNLAPVDFSKFGVLTLLFLGNNSFTGSLPDAISPSLKAIDFSYNQLTGGLPSWATQNNFQLNLVANNFELGTIGHSTLPSGLNCLQQDTPCFRGSAEYYSFAVDCGNNRTIRGLDGTIYEPDAANLGAASYYVTSDTRWGVSNVGNYFLATDGVNIINSPQKIQNVLDSRLFETARMSASSVRYYGLGLENGNYTVLLQFAEFAYPDSQTWLSLGRRVFDIYVQGDLKEKNFDIRKMAGGKSFTAVNRSYTARVSKNFLEIHLFWAGKGTCCVPTQGYYGPMISALSVTPNFTPTVRNGVPKRRSKAGAIVGITIGALVLGVVSLFGIFLLVKKRRTIAQQQEELYNLAGQPDVFSNTELKLATDNFSYQNIIGEGGYGPVYKGKLPDGRVIAVKQLSETSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKTPLLVYEYLENGSLDRAIFGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHVSTRIAGTMGYLAPEYAMRGHLSEKADVFAFGVLMLETVAGRSNTNNSLEESKIYLLEWAWGLYEMGQALRVVDPCLKEFDEKEAFRVICIALLCTQGSPHQRPPMSRVVAMLTGDVDVAEVVTKPSYITEWQLRDGGSSSYTTSSYAGSSNPEFSRQRETNPLAQSSPTITKASLVGR >ORUFI05G09910.3 pep chromosome:OR_W1943:5:8786027:8793163:1 gene:ORUFI05G09910 transcript:ORUFI05G09910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGNHIMPISGQGGTWALGAVQWCRWQVRPRRVTNLSVVGEIPLELQNFTYMLDLNLGYNYLTGAVPSFFGKAFPFNALSGPLPKELGNLTNLLSLGISFNNFSGQLPEELGNMTNLQQMYIDSCGFSGPFPSTFSKLQNLKILRSSDNDFTGKIPDYLGIMPKLEDIRIGDIVNGSSSLAFISNLTSLSNLILRNCKISGNLAPVDFSKFGVLTLLFLGNNSFTGSLPDAISPSLKAIDFSYNQLTGGLPSWATQNNFQLNLVANNFELGTIGHSTLPSGLNCLQQDTPCFRGSAEYYSFAVDCGNNRTIRGLDGTIYEPDAANLGAASYYVTSDTRWGVSNVGNYFLATDGVNIINSPQKIQNVLDSRLFETARMSASSVRYYGLGLENGNYTVLLQFAEFAYPDSQTWLSLGRRVFDIYVQGDLKEKNFDIRKMAGGKSFTAVNRSYTARVSKNFLEIHLFWADFTPTVRNGVPKRRSKAGAIVGITIGALVLGVVSLFGIFLLVKKRRTIAQQQEELYNLAGQPDVFSNTELKLATDNFSYQNIIGEGGYGPVYKGKLPDGRVIAVKQLSETSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKTPLLVYEYLENGSLDRAIFGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHVSTRIAGTMGYLAPEYAMRGHLSEKADVFAFGVLMLETVAGRSNTNNSLEESKIYLLEWAWGLYEMGQALRVVDPCLKEFDEKEAFRVICIALLCTQGSPHQRPPMSRVVAMLTGDVDVAEVVTKPSYITEWQLRDGGSSSYTTSSYAGSSNPEFSRQRETNPLAQSSPTITKASLVGR >ORUFI05G09910.4 pep chromosome:OR_W1943:5:8786030:8793163:1 gene:ORUFI05G09910 transcript:ORUFI05G09910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNHIMPISGQGGTWALGAVQWCRWQEGNKFERCWRNTFGTAEFHLYAGPVWNLGYNYLTGAVPSFFGKAFPFNALSGPLPKELGNLTNLLSLGISFNNFSGQLPEELGNMTNLQQMYIDSCGFSGPFPSTFSKLQNLKILRSSDNDFTGKIPDYLGIMPKLEDIRIGDIVNGSSSLAFISNLTSLSNLILRNCKISGNLAPVDFSKFGVLTLLFLGNNSFTGSLPDAISPSLKAIDFSYNQLTGGLPSWATQNNFQLNLVANNFELGTIGHSTLPSGLNCLQQDTPCFRGSAEYYSFAVDCGNNRTIRGLDGTIYEPDAANLGAASYYVTSDTRWGVSNVGNYFLATDGVNIINSPQKIQNVLDSRLFETARMSASSVRYYGLGLENGNYTVLLQFAEFAYPDSQTWLSLGRRVFDIYVQGDLKEKNFDIRKMAGGKSFTAVNRSYTARVSKNFLEIHLFWADFTPTVRNGVPKRRSKAGAIVGITIGALVLGVVSLFGIFLLVKKRRTIAQQQEELYNLAGQPDVFSNTELKLATDNFSYQNIIGEGGYGPVYKGKLPDGRVIAVKQLSETSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKTPLLVYEYLENGSLDRAIFGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHVSTRIAGTMGYLAPEYAMRGHLSEKADVFAFGVLMLETVAGRSNTNNSLEESKIYLLEWAWGLYEMGQALRVVDPCLKEFDEKEAFRVICIALLCTQGSPHQRPPMSRVVAMLTGDVDVAEVVTKPSYITEWQLRDGGSSSYTTSSYAGSSNPEFSRQRETNPLAQSSPTITKASLVGR >ORUFI05G09910.5 pep chromosome:OR_W1943:5:8781951:8785864:1 gene:ORUFI05G09910 transcript:ORUFI05G09910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWCSRMSGLVWVVLVCSWTWRIAAAQAPQPPKTDPLEAAALNTILGRWGKKASSEWNISGEPCSGLASDQSDWDNYPNINPFIKCDCTFSNNTLCHITRLEQHQS >ORUFI05G09920.1 pep chromosome:OR_W1943:5:8829180:8829796:1 gene:ORUFI05G09920 transcript:ORUFI05G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMYDDDGDEDDDDDGDEDEDGENGTVVNKGSKQSRSEKKSHKAMMKLGMKPVTGVSRITIKTAKNVRNCTTAANNCTRQG >ORUFI05G09930.1 pep chromosome:OR_W1943:5:8844974:8854155:1 gene:ORUFI05G09930 transcript:ORUFI05G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMAEKLKRDVRILFGTCNDIVEKMNLIDAVHRLGIDHLFQEEIGSAISDIKGSEFTSSSLHEVALWFRLLREHGIWVSPDAFRRFKGEDGRFINTGIADEPRGLLSLYNAAHLLIHDEPELEEAISLARHHLELMRGGADQINRALDLPLPRAYKRIETLHYMLEYGQEKGHNVDLLDFAKLEFNLLQHVHLKELRNFSQWWKNIYGYVQLSYARDRAVESYRWSYVVFYEKDLVLSRMIFAKIFALLVTMDDTYDDYATIEESRKLNEAIQRWDESAISLLPEYMTKFYNTLLNNFKEFEAQVDVSGQYRVLRIKKEFQKLSAYYLQEAEWSHQNYKPSFKEQVALSTLSSSMPLLCAITTVGQDDVVTREAFELATQHNSAVLACGKILRFMNDIAAFKSGRKNKGDATSTVECYMNEHKVTGKEAIARIDSIIEDEWKTLNEVRCEHPQLLPAVQRVMNLAISVPFFYNKRSDAYTSSKYLHKIVECLFVTPIPI >ORUFI05G09940.1 pep chromosome:OR_W1943:5:8856969:8857309:1 gene:ORUFI05G09940 transcript:ORUFI05G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSTAATASTSLGQLRHLHHRPALRHLPAAAPPWPSRPGSGQPPASIWSKDTTFSFGDILVVTEHFNIAYCIGKESFETMYCADLGGGRAVAVKRLHASETGDACCGS >ORUFI05G09950.1 pep chromosome:OR_W1943:5:8872474:8879032:1 gene:ORUFI05G09950 transcript:ORUFI05G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSCRSSTISGVVLHLAYWLVLVFSWQVAAAQAQQAPKTDPAEVAALNTILGRWGKKASSEWNISGEPCSGYAIDKTDWDYYPNINPFIKCDCTDSNNTVCHITKLRVTKLDVVGQIPTELQNLTHLVDLALAMNPLSGPLPKELGNLTNLVSLGISLDNFTGGLPEELGNLTKLRQLRASDNGFIGKIPDYLGSMTNLQDMLVTDFWKTRMSRQIGDIVDGNSSLAFIGNMTSLSELDLSFNKITGQVPQSILNLGNLQFLFLGNNSLTGMLPDGISSSLKAIDFSYNQLSGSFPSWANQNNLQFALPSGLNCLQQDIPCLRGSPEYYSFAIDCGSKTALRGSDNTIYEADSINLGAASYYVTDQTRWGVSSVGNYFQATDGNNIISSPQHFQNGALKEKDFDIRKMAGGKSFGAVNRSYVATVSKNFLEIHLFWAGKGTSCIPTQGYYGPMISALSVTPTLATIFGLFMLAKKRKTIAQQKDELYNLVGRPDVFSYAQLKLATENFSSQNILGEGGFGPVYKGKLPDGRVIAVKQLSQSSHQGTNQFVTEVATISAVQHRNLVRLHGCCIDSKTPLLVYEYLENGSLDRAIFGQNSFNLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNILLDIDLTPKISDFGLAKLYDENQTHVSTGIAGTIGYLAPEYAMRGRLTEKADVFAFGVVMLETIAGRPNTDNSLEESKIYLFEWGSPHQRPPMSKVVAMLTGDVDVVKVVKKPSYITEWQLRGGGNCSYKGYTNPEFDRQKEITRDCLHGR >ORUFI05G09960.1 pep chromosome:OR_W1943:5:8941756:8946623:1 gene:ORUFI05G09960 transcript:ORUFI05G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSFFVDQDVLIIRAYGTPAVSAQATKGWGYHTIGVQRLMWRGERYLLIQPQDPADLRQGSNCSNCGRKAKSRSLYCSIPCRLDSVATGARREMARALTDSAANFGRAIHLRDRFCTLCNLSFCSDSCPEHLDHHPGAGPGGQGKDNAEIPMEPADSVGNNKALHKGSSSVQPGAVDYTAVDNQLYQVEREVVE >ORUFI05G09970.1 pep chromosome:OR_W1943:5:9021721:9057011:-1 gene:ORUFI05G09970 transcript:ORUFI05G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSPSTPSPNHVAKLSANSAAYRQHDEQSGAKKAAWVVSPNGQVKREKAVEVARGEGAAAGAGEEEEKRIDLAVLLRSGALGVQQLPWRRPGKQCHACGGAAKILCPNDLFGCWSYVAYYDVAGHQRACPHAPCSCSEPRCDFLGSPPMLLAHLVADMWLVSIWNGVKWTGKPHHLNCFFIPMIVEIAAALNTILGRWGKKASPEWNISGELCSGFAADKTDWDNYRDINPFIKCDCTFNNNTLCHITRLNLFRNYLTGPIPSFIGKFTSMQYLSLSFNPLSGLLPKELGNLTNLLSLGISSDNFTGSLPEELGNLTKLQQLYFDSSGFSGPFPSSFSKLQNLKILSASDNVFKGKIPAYLGTMTNLEDIAFHGNSFEGPVPESLSNLTKLTRLWIGDIINGVSPLAFISNMASLSTLYVMLLAIKLTLQVTKVVFPRYRILRNCKISSDLGAVDFSMFKQLKLLFLGNNSLTGKLPDGIWWQTTSYSIPPVKDYSFAVDCGSNASIRGSDDTIYEADPTNLGAATYYVTGQTRWGVSSVGNAIDAKNIIYSSQPFQNVVDSELFETARMSSSSLRYYGLGLENGNYTVLLQFAELAFPDSQTWLSLGRRVFDIYIQGALKQKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWAGKGTVDIPTKDNYYGPMISALSVTPNFTPTVRNGIPKRKSKAGAISGILIGAIVLVLAALFGVFTLVKKRRALAQQKEELYNLVGRPDVFSYAELKLATDNFSSQNILGEGGFGPVYKLVINLSDLALKCCVLATFVYAQNTHGKLPDERVIAVKQLSQSSHQGTSQFVTEVATISAVQHRNLVILHGCCIDSKTPLLVYEYLENGSLDRAIFGDSNLNLDWVMRFEIILGIARGLTYLHEESTVRIVHRDIKASNVLLDTNLIPKISDFGLAKLYDENQTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRPNTDNSLEESKICLLEWAWGLYEMDQALGIVDPSLKEFDKDEAFRVIYVALVCTQGSPHQQPPMSKVVTMLTGDVDVAKVVTKPSYITEWQLRGGGYSSNTTSSYAWSSNPELSRQKEITEVSLQVR >ORUFI05G09970.10 pep chromosome:OR_W1943:5:9021721:9057586:-1 gene:ORUFI05G09970 transcript:ORUFI05G09970.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCSIRGVIRHHLAWLVLILCSWRVAAAQDQQAPKTDPVEAAALNTILGRWGKKASPEWNISGELCSGFAADKTDWDNYRDINPFIKCDCTFNNNTLCHITRLNLFRNYLTGPIPSFIGKFTSMQYLSLSFNPLSGLLPKELGNLTNLLSLGISSDNFTGSLPEELGNLTKLQQLYFDSSGFSGPFPSSFSKLQNLKILSASDNVFKGKIPAYLGTMTNLEDIILRNCKISSDLGAVDFSMFKQLKLLFLGNNSLTGKLPDGIWWQTTSYSIPPVKDYSFAVDCGSNASIRGSDDTIYEADPTNLGAATYYVTGQTRWGVSSVGNAIDAKNIIYSSQPFQNVVDSELFETARMSSSSLRYYGLGLENGNYTVLLQFAELAFPDSQTWLSLGRRVFDIYIQGALKQKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWAGKGTVDIPTKDNYYGPMISALSVTPNFTPTVRNGIPKRKSKAGAISGILIGAIVLVLAALFGVFTLVKKRRALAQQKEELYNLVGRPDVFSYAELKLATDNFSSQNILGEGGFGPVYKGKLPDERVIAVKQLSQSSHQGTSQFVTEVATISAVQHRNLVILHGCCIDSKTPLLVYEYLENGSLDRAIFGDSNLNLDWVMRFEIILGIARGLTYLHEESTVRIVHRDIKASNVLLDTNLIPKISDFGLAKLYDENQTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRPNTDNSLEESKICLLEWAWGLYEMDQALGIVDPSLKEFDKDEAFRVIYVALVCTQGSPHQQPPMSKVVTMLTGDVDVAKVVTKPSYITEWQLRGGGYSSNTTSSYAWSSNPELSRQKEITEVSLQVR >ORUFI05G09970.2 pep chromosome:OR_W1943:5:9021719:9057011:-1 gene:ORUFI05G09970 transcript:ORUFI05G09970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSPSTPSPNHVAKLSANSAAYRQHDEQSGAKKAAWVVSPNGQVKREKAVEVARGEGAAAGAGEEEEKRIDLAVLLRSGALGVQQLPWRRPGKQCHACGGAAKILCPNDLFGCWSYVAYYDVAGHQRACPHAPCSCSEPRCDFLGSPPMLLAHLVADMWLVSIWNGVKWTGKPHHLNCFFIPMIVEIAAALNTILGRWGKKASPEWNISGELCSGFAADKTDWDNYRDINPFIKCDCTFNNNTLCHITRLNLFRNYLTGPIPSFIGKFTSMQYLSLSFNPLSGLLPKELGNLTNLLSLGISSDNFTGSLPEELGNLTKLQQLYFDSSGFSGPFPSSFSKLQNLKILSASDNVFKGKIPAYLGTMTNLEDIAFHGNSFEGPVPESLSNLTKLTRLILRNCKISSDLGAVDFSMFKQLKLLFLGNNSLTGKLPDGIWWQTTSYSIPPVKDYSFAVDCGSNASIRGSDDTIYEADPTNLGAATYYVTGQTRWGVSSVGNAIDAKNIIYSSQPFQNVVDSELFETARMSSSSLRYYGLGLENGNYTVLLQFAELAFPDSQTWLSLGRRVFDIYIQGALKQKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWAGKGTVDIPTKDNYYGPMISALSVTPNFTPTVRNGIPKRKSKAGAISGILIGAIVLVLAALFGVFTLVKKRRALAQQKEELYNLVGRPDVFSYAELKLATDNFSSQNILGEGGFGPVYKLVINLSDLALKCCVLATFVYAQNTHGKLPDERVIAVKQLSQSSHQGTSQFVTEVATISAVQHRNLVILHGCCIDSKTPLLVYEYLENGSLDRAIFGDSNLNLDWVMRFEIILGIARGLTYLHEESTVRIVHRDIKASNVLLDTNLIPKISDFGLAKLYDENQTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRPNTDNSLEESKICLLEWAWGLYEMDQALGIVDPSLKEFDKDEAFRVIYVALVCTQGSPHQQPPMSKVVTMLTGDVDVAKVVTKPSYITEWQLRGGGYSSNTTSSYAWSSNPELSRQKEITEVSLQVR >ORUFI05G09970.3 pep chromosome:OR_W1943:5:9021721:9057011:-1 gene:ORUFI05G09970 transcript:ORUFI05G09970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSPSTPSPNHVAKLSANSAAYRQHDEQSGAKKAAWVVSPNGQVKREKAVEVARGEGAAAGAGEEEEKRIDLAVLLRSGALGVQQLPWRRPGKQCHACGGAAKILCPNDLFGCWSYVAYYDVAGHQRACPHAPCSCSEPRCDFLGSPPMLLAHLVADMWLVSIWNGVKWTGKPHHLNCFFIPMIVEIAAALNTILGRWGKKASPEWNISGELCSGFAADKTDWDNYRDINPFIKCDCTFNNNTLCHITRLNLFRNYLTGPIPSFIGKFTSMQYLSLSFNPLSGLLPKELGNLTNLLSLGISSDNFTGSLPEELGNLTKLQQLYFDSSGFSGPFPSSFSKLQNLKILSASDNVFKGKIPAYLGTMTNLEDIAFHGNSFEGPVPESLSNLTKLTRLILRNCKISSDLGAVDFSMFKQLKLLFLGNNSLTGKLPDGIWWQTTSYSIPPVKDYSFAVDCGSNASIRGSDDTIYEADPTNLGAATYYVTGQTRWGVSSVGNAIDAKNIIYSSQPFQNVVDSELFETARMSSSSLRYYGLGLENGNYTVLLQFAELAFPDSQTWLSLGRRVFDIYIQGALKQKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWAGKGTVDIPTKDNYYGPMISALSVTPNFTPTVRNGIPKRKSKAGAISGILIGAIVLVLAALFGVFTLVKKRRALAQQKEELYNLVGRPDVFSYAELKLATDNFSSQNILGEGGFGPVYKLVINLSDLALKCCVLATFVYAQNTHGKLPDERVIAVKQLSQSSHQGTSQFVTEVATISAVQHRNLVILHGCCIDSKTPLLVYEYLENGSLDRAIFGDSNLNLDWVMRFEIILGIARGLTYLHEESTVRIVHRDIKASNVLLDTNLIPKISDFGLAKLYDENQTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRPNTDNSLEESKICLLEWAWGLYEMDQALGIVDPSLKEFDKDEAFRVIYVALVCTQGSPHQQPPMSKVVTMLTGDVDVAKVVTKPSYITEWQLRGGGYSSNTTSSYAWSSNPELSRQKEITEVSLQVR >ORUFI05G09970.4 pep chromosome:OR_W1943:5:9021721:9057011:-1 gene:ORUFI05G09970 transcript:ORUFI05G09970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSPSTPSPNHVAKLSANSAAYRQHDEQSGAKKAAWVVSPNGQVKREKAVEVARGEGAAAGAGEEEEKRIDLAVLLRSGALGVQQLPWRRPGKQCHACGGAAKILCPNDLFGCWSYVAYYDVAGHQRACPHAPCSCSEPRCDFLGSPPMLLAHLVADMWLVSIWNGVKWTGKPHHLNCFFIPMIVEIAAALNTILGRWGKKASPEWNISGELCSGFAADKTDWDNYRDINPFIKCDCTFNNNTLCHITRLNLFRNYLTGPIPSFIGKFTSMQYLSLSFNPLSGLLPKELGNLTNLLSLGISSDNFTGSLPEELGNLTKLQQLYFDSSGFSGPFPSSFSKLQNLKILSASDNVFKGKIPAYLGTMTNLEDIAFHGNSFEGPVPESLSNLTKLTRLWIGDIINGVSPLAFISNMASLSTLYVMLLAIKLTLQVTKVVFPRYRILRNCKISSDLGAVDFSMFKQLKLLFLGNNSLTGKLPDGIWWQTTSYSIPPVKDYSFAVDCGSNASIRGSDDTIYEADPTNLGAATYYVTGQTRWGVSSVGNAIDAKNIIYSSQPFQNVVDSELFETARMSSSSLRYYGLGLENGNYTVLLQFAELAFPDSQTWLSLGRRVFDIYIQGALKQKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWAGKGTVDIPTKDNYYGPMISALSVTPNFTPTVRNGIPKRKSKAGAISGILIGAIVLVLAALFGVFTLVKKRRALAQQKEELYNLVGRPDVFSYAELKLATDNFSSQNILGEGGFGPVYKGKLPDERVIAVKQLSQSSHQGTSQFVTEVATISAVQHRNLVILHGCCIDSKTPLLVYEYLENGSLDRAIFGDSNLNLDWVMRFEIILGIARGLTYLHEESTVRIVHRDIKASNVLLDTNLIPKISDFGLAKLYDENQTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRPNTDNSLEESKICLLEWAWGLYEMDQALGIVDPSLKEFDKDEAFRVIYVALVCTQGSPHQQPPMSKVVTMLTGDVDVAKVVTKPSYITEWQLRGGGYSSNTTSSYAWSSNPELSRQKEITEVSLQVR >ORUFI05G09970.5 pep chromosome:OR_W1943:5:9021719:9057011:-1 gene:ORUFI05G09970 transcript:ORUFI05G09970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSPSTPSPNHVAKLSANSAAYRQHDEQSGAKKAAWVVSPNGQVKREKAVEVARGEGAAAGAGEEEEKRIDLAVLLRSGALGVQQLPWRRPGKQCHACGGAAKILCPNDLFGCWSYVAYYDVAGHQRACPHAPCSCSEPRCDFLGSPPMLLAHLVADMWLVSIWNGVKWTGKPHHLNCFFIPMIVEIAAALNTILGRWGKKASPEWNISGELCSGFAADKTDWDNYRDINPFIKCDCTFNNNTLCHITRLNLFRNYLTGPIPSFIGKFTSMQYLSLSFNPLSGLLPKELGNLTNLLSLGISSDNFTGSLPEELGNLTKLQQLYFDSSGFSGPFPSSFSKLQNLKILSASDNVFKGKIPAYLGTMTNLEDIAFHGNSFEGPVPESLSNLTKLTRLILRNCKISSDLGAVDFSMFKQLKLLFLGNNSLTGKLPDGIWWQTTSYSIPPVKDYSFAVDCGSNASIRGSDDTIYEADPTNLGAATYYVTGQTRWGVSSVGNAIDAKNIIYSSQPFQNVVDSELFETARMSSSSLRYYGLGLENGNYTVLLQFAELAFPDSQTWLSLGRRVFDIYIQGALKQKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWAGKGTVDIPTKDNYYGPMISALSVTPNFTPTVRNGIPKRKSKAGAISGILIGAIVLVLAALFGVFTLVKKRRALAQQKEELYNLVGRPDVFSYAELKLATDNFSSQNILGEGGFGPVYKGKLPDERVIAVKQLSQSSHQGTSQFVTEVATISAVQHRNLVILHGCCIDSKTPLLVYEYLENGSLDRAIFGDSNLNLDWVMRFEIILGIARGLTYLHEESTVRIVHRDIKASNVLLDTNLIPKISDFGLAKLYDENQTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRPNTDNSLEESKICLLEWAWGLYEMDQALGIVDPSLKEFDKDEAFRVIYVALVCTQGSPHQQPPMSKVVTMLTGDVDVAKVVTKPSYITEWQLRGGGYSSNTTSSYAWSSNPELSRQKEITEVSLQVR >ORUFI05G09970.6 pep chromosome:OR_W1943:5:9021721:9057011:-1 gene:ORUFI05G09970 transcript:ORUFI05G09970.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSPSTPSPNHVAKLSANSAAYRQHDEQSGAKKAAWVVSPNGQVKREKAVEVARGEGAAAGAGEEEEKRIDLAVLLRSGALGVQQLPWRRPGKQCHACGGAAKILCPNDLFGCWSYVAYYDVAGHQRACPHAPCSCSEPRCDFLGSPPMLLAHLVADMWLVSIWNGVKWTGKPHHLNCFFIPMIVEIAAALNTILGRWGKKASPEWNISGELCSGFAADKTDWDNYRDINPFIKCDCTFNNNTLCHITRLNLFRNYLTGPIPSFIGKFTSMQYLGISSDNFTGSLPEELGNLTKLQQLYFDSSGFSGPFPSSFSKLQNLKILSASDNVFKGKIPAYLGTMTNLEDIAFHGNSFEGPVPESLSNLTKLTRLILRNCKISSDLGAVDFSMFKQLKLLFLGNNSLTGKLPDGIWWQTTSYSIPPVKDYSFAVDCGSNASIRGSDDTIYEADPTNLGAATYYVTGQTRWGVSSVGNAIDAKNIIYSSQPFQNVVDSELFETARMSSSSLRYYGLGLENGNYTVLLQFAELAFPDSQTWLSLGRRVFDIYIQGALKQKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWAGKGTVDIPTKDNYYGPMISALSVTPNFTPTVRNGIPKRKSKAGAISGILIGAIVLVLAALFGVFTLVKKRRALAQQKEELYNLVGRPDVFSYAELKLATDNFSSQNILGEGGFGPVYKLVINLSDLALKCCVLATFVYAQNTHGKLPDERVIAVKQLSQSSHQGTSQFVTEVATISAVQHRNLVILHGCCIDSKTPLLVYEYLENGSLDRAIFGDSNLNLDWVMRFEIILGIARGLTYLHEESTVRIVHRDIKASNVLLDTNLIPKISDFGLAKLYDENQTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRPNTDNSLEESKICLLEWAWGLYEMDQALGIVDPSLKEFDKDEAFRVIYVALVCTQGSPHQQPPMSKVVTMLTGDVDVAKVVTKPSYITEWQLRGGGYSSNTTSSYAWSSNPELSRQKEITEVSLQVR >ORUFI05G09970.7 pep chromosome:OR_W1943:5:9021721:9057011:-1 gene:ORUFI05G09970 transcript:ORUFI05G09970.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSPSTPSPNHVAKLSANSAAYRQHDEQSGAKKAAWVVSPNGQVKREKAVEVARGEGAAAGAGEEEEKRIDLAVLLRSGALGVQQLPWRRPGKQCHACGGAAKILCPNDLFGCWSYVAYYDVAGHQRACPHAPCSCSEPRCDFLGSPPMLLAHLVADMWLVSIWNGVKWTGKPHHLNCFFIPMIVEIAAALNTILGRWGKKASPEWNISGELCSGFAADKTDWDNYRDINPFIKCDCTFNNNTLCHITRLNLFRNYLTGPIPSFIGKFTSMQYLSLSFNPLSGLLPKELGNLTNLLSLGISSDNFTGSLPEELGNLTKLQQLYFDSSGFSGPFPSSFSKLQNLKILSASDNVFKGKIPAYLGTMTNLEDIILRNCKISSDLGAVDFSMFKQLKLLFLGNNSLTGKLPDGIWWQTTSYSIPPVKDYSFAVDCGSNASIRGSDDTIYEADPTNLGAATYYVTGQTRWGVSSVGNAIDAKNIIYSSQPFQNVVDSELFETARMSSSSLRYYGLGLENGNYTVLLQFAELAFPDSQTWLSLGRRVFDIYIQGALKQKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWAGKGTVDIPTKDNYYGPMISALSVTPNFTPTVRNGIPKRKSKAGAISGILIGAIVLVLAALFGVFTLVKKRRALAQQKEELYNLVGRPDVFSYAELKLATDNFSSQNILGEGGFGPVYKLVINLSDLALKCCVLATFVYAQNTHGKLPDERVIAVKQLSQSSHQGTSQFVTEVATISAVQHRNLVILHGCCIDSKTPLLVYEYLENGSLDRAIFGDSNLNLDWVMRFEIILGIARGLTYLHEESTVRIVHRDIKASNVLLDTNLIPKISDFGLAKLYDENQTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRPNTDNSLEESKICLLEWAWGLYEMDQALGIVDPSLKEFDKDEAFRVIYVALVCTQGSPHQQPPMSKVVTMLTGDVDVAKVVTKPSYITEWQLRGGGYSSNTTSSYAWSSNPELSRQKEITEVSLQVR >ORUFI05G09970.8 pep chromosome:OR_W1943:5:9021721:9057586:-1 gene:ORUFI05G09970 transcript:ORUFI05G09970.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCSIRGVIRHHLAWLVLILCSWRVAAAQDQQAPKTDPVEAAALNTILGRWGKKASPEWNISGELCSGFAADKTDWDNYRDINPFIKCDCTFNNNTLCHITRLNLFRNYLTGPIPSFIGKFTSMQYLSLSFNPLSGLLPKELGNLTNLLSLGISSDNFTGSLPEELGNLTKLQQLYFDSSGFSGPFPSSFSKLQNLKILSASDNVFKGKIPAYLGTMTNLEDIAFHGNSFEGPVPESLSNLTKLTRLWIGDIINGVSPLAFISNMASLSTLYVMLLAIKLTLQVTKVVFPRYRILRNCKISSDLGAVDFSMFKQLKLLFLGNNSLTGKLPDGIWWQTTSYSIPPVKDYSFAVDCGSNASIRGSDDTIYEADPTNLGAATYYVTGQTRWGVSSVGNAIDAKNIIYSSQPFQNVVDSELFETARMSSSSLRYYGLGLENGNYTVLLQFAELAFPDSQTWLSLGRRVFDIYIQGALKQKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWAGKGTVDIPTKDNYYGPMISALSVTPNFTPTVRNGIPKRKSKAGAISGILIGAIVLVLAALFGVFTLVKKRRALAQQKEELYNLVGRPDVFSYAELKLATDNFSSQNILGEGGFGPVYKGKLPDERVIAVKQLSQSSHQGTSQFVTEVATISAVQHRNLVILHGCCIDSKTPLLVYEYLENGSLDRAIFGDSNLNLDWVMRFEIILGIARGLTYLHEESTVRIVHRDIKASNVLLDTNLIPKISDFGLAKLYDENQTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRPNTDNSLEESKICLLEWAWGLYEMDQALGIVDPSLKEFDKDEAFRVIYVALVCTQGSPHQQPPMSKVVTMLTGDVDVAKVVTKPSYITEWQLRGGGYSSNTTSSYAWSSNPELSRQKEITEVSLQVR >ORUFI05G09970.9 pep chromosome:OR_W1943:5:9021721:9057011:-1 gene:ORUFI05G09970 transcript:ORUFI05G09970.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSPSTPSPNHVAKLSANSAAYRQHDEQSGAKKAAWVVSPNGQVKREKAVEVARGEGAAAGAGEEEEKRIDLAVLLRSGALGVQQLPWRRPGKQCHACGGAAKILCPNDLFGCWSYVAYYDVAGHQRACPHAPCSCSEPRCDFLGSPPMLLAHLVADMWLVSIWNGVKWTGKPHHLNCFFIPMIVEIAAALNTILGRWGKKASPEWNISGELCSGFAADKTDWDNYRDINPFIKCDCTFNNNTLCHITRLNLFRNYLTGPIPSFIGKFTSMQYLSLSFNPLSGLLPKELGNLTNLLSLGISSDNFTGSLPEELGNLTKLQQLYFDSSGFSGPFPSSFSKLQNLKILSASDNVFKGKIPAYLGTMTNLEDIILRNCKISSDLGAVDFSMFKQLKLLFLGNNSLTGKLPDGIWWQTTSYSIPPVKDYSFAVDCGSNASIRGSDDTIYEADPTNLGAATYYVTGQTRWGVSSVGNAIDAKNIIYSSQPFQNVVDSELFETARMSSSSLRYYGLGLENGNYTVLLQFAELAFPDSQTWLSLGRRVFDIYIQGALKQKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWAGKGTVDIPTKDNYYGPMISALSVTPNFTPTVRNGIPKRKSKAGAISGILIGAIVLVLAALFGVFTLVKKRRALAQQKEELYNLVGRPDVFSYAELKLATDNFSSQNILGEGGFGPVYKGKLPDERVIAVKQLSQSSHQGTSQFVTEVATISAVQHRNLVILHGCCIDSKTPLLVYEYLENGSLDRAIFGDSNLNLDWVMRFEIILGIARGLTYLHEESTVRIVHRDIKASNVLLDTNLIPKISDFGLAKLYDENQTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRPNTDNSLEESKICLLEWAWGLYEMDQALGIVDPSLKEFDKDEAFRVIYVALVCTQGSPHQQPPMSKVVTMLTGDVDVAKVVTKPSYITEWQLRGGGYSSNTTSSYAWSSNPELSRQKEITEVSLQVR >ORUFI05G09980.1 pep chromosome:OR_W1943:5:9104396:9117185:1 gene:ORUFI05G09980 transcript:ORUFI05G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLSLSLNPLSGLLPKELGNLTNLVSLGIGSNNFTGGLPEELGNLTKLQRLYIDSSGFSGPFPSSFSKLQNLNTLKASDNGFNGKIPEYLGTITNLVEIALYGNSFEGPIPESLSNLAKLKTLRIGDIVNGISPLAFISNMTSLSTIILRNCKISSDLGAIDFSKFEHLELLDLSFNNITGEVPQSILNLGNLKFLFLGNNSLTGRLPDGISSSLKAIDFSYNQLTGSFPSWASQNNLQLNLVANNFLLESTSDSTLPWGLNCLQQDTPCFRGSPKYYSFAVDCGSNGSTRGSDDTIYEADPTNLGATTYYVTGQTRWGVSSVGNLTKMQRILYIAPKIFKM >ORUFI05G09990.1 pep chromosome:OR_W1943:5:9163994:9174355:1 gene:ORUFI05G09990 transcript:ORUFI05G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMNRTRDLLMEGFEGLVREGSFTWGLPRRGASPVGDGDDPDSSSSSGKQASVSGLSPKANAVVSRCARVLGTSTDELRYDFDMQASDSIKQQINYARNFLEYCCLRALAQASQIAGYLSDKSFRRLNFDMMLAWEVPSSSSQLTVKVEVESTVSLEAFSRIAPAIPTITDVVTCSNLFDVLSSSSGGRLTFPVYDKYLTGLDRAIKKMKGQSESSLLSGQRSQRGERIVEIDGTLTTQPVLEHVRISTWPGRLTLTDHALYFEALRVVTYDKPKAYELAEDLKQSVKPELTGPWGSRLFDKAVITEPVILEFPELAGHFRRDYWLAIISEILYVHRFVRKFDISGIDKEETILKAVLSILRLQAIEELAIPVSNRFESLLMFNLCDKLPGGDVILETLAGSISLRRSAQVNQPGISSARHSMSPFTVLSNLGVVSPINKGERLFVGEIVVGEMSALQKVVTESMNNYKKVELAQATVDGVKVDGLDTNLAVMKELLSPVSELWRFLVLLASWDEPIKSMDANIVLLKIRALLLASPSQATDKTILALVVMALSLAILPTRLLVLMMFLEVFTNHSPPRRASTERWTRRLREWWFSIPAAPVVVEKDKEDKKTK >ORUFI05G10000.1 pep chromosome:OR_W1943:5:9188054:9188927:1 gene:ORUFI05G10000 transcript:ORUFI05G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTNLNPHRFLRAGQVVHQGGDRLPRIDLSAATKPPRSHEEYASFG >ORUFI05G10010.1 pep chromosome:OR_W1943:5:9211033:9216609:1 gene:ORUFI05G10010 transcript:ORUFI05G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGISVIVVVRHLAWLVLILCSWPRVAAAQAQQAPKTDPVEAVALNTILGRWGKKASWEWNISGELCSGFASTEIDWDYYPTINPFIKCDCSFSNNTLCHITKLYVCFHQLCNMYLDAVPEKYKQKNMSDYRYVCFHQLCNMRVYKLGVVGQIPAELQNLTHLESGRDTTSSIDDNSLLRLSAQRMVGAKGIIAMIYCRVRCSGRSIGAVDDIVDVAVGAD >ORUFI05G10010.2 pep chromosome:OR_W1943:5:9211033:9216609:1 gene:ORUFI05G10010 transcript:ORUFI05G10010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGISVIVVVRHLAWLVLILCSWPRVAAAQAQQAPKTDPVEAVALNTILGRWGKKASWEWNISGELCSGFASTEIDWDYYPTINPFIKCDCSFSNNTLCHITKLRVYKLGVVGQIPAELQNLTHLEVRCSGRSIGAVDDIVDVAVGAD >ORUFI05G10010.3 pep chromosome:OR_W1943:5:9211033:9216609:1 gene:ORUFI05G10010 transcript:ORUFI05G10010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGISVIVVVRHLAWLVLILCSWPRVAAAQAQQAPKTDPVEAVALNTILGRWGKKASWEWNISGELCSGFASTEIDWDYYPTINPFIKCDCSFSNNTLCHITKLVRCSGRSIGAVDDIVDVAVGAD >ORUFI05G10020.1 pep chromosome:OR_W1943:5:9243446:9243712:1 gene:ORUFI05G10020 transcript:ORUFI05G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGPHVITLPSLVSSLSRRLQPRARGRTEEQRAAARRPEPEQLGARRGEPRRITGGPPAAAAKRGDRSARGTTARVGAEILNSAAAR >ORUFI05G10030.1 pep chromosome:OR_W1943:5:9292909:9294862:1 gene:ORUFI05G10030 transcript:ORUFI05G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKLWNHKINRLFSYALIQISYKISHSMPSEKEKYCNKGSTEKKLHTTKQMLDLFAHSLELHRNEGHLLQQNQQKYNMLVNEINMICAQSALYLPKHVKWLGQPAWPNK >ORUFI05G10030.2 pep chromosome:OR_W1943:5:9292888:9294799:1 gene:ORUFI05G10030 transcript:ORUFI05G10030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSYRSACSAPYLGAILLFLLFPHAAAPHRFGRSLRTVLAGLAGVPAAVHGSGGPLLKTLLCWSVDASFRHSLLFSFFGISSPSGCALASPRTFLRRLIDLQGVPPWETLGLHFPC >ORUFI05G10030.3 pep chromosome:OR_W1943:5:9293152:9294864:1 gene:ORUFI05G10030 transcript:ORUFI05G10030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEKEKYCNKGSTEKKLHTTKQMLDLFAHSLELHRNEGHLLQQNQQKYNMLVNEINMICAQSALYLPKHVKWLGQPAWPNK >ORUFI05G10040.1 pep chromosome:OR_W1943:5:9298676:9301302:-1 gene:ORUFI05G10040 transcript:ORUFI05G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLARRLSSSFFLDGFYRCKVAYDIALNRPVVCKLLFFRWARPKADKIYNWA >ORUFI05G10050.1 pep chromosome:OR_W1943:5:9305194:9311872:-1 gene:ORUFI05G10050 transcript:ORUFI05G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIQVRELLGSLAAEMPAFLSDTTIRRFLRARNWSTEQATKSLKETVKWRRQYRPESICWDDIADKEYEGRRAYIADYLDAKGRSILITKPTIKGRVSGKEQIKHFVYLLESLAMNSAEEQEEHVTWLIDLRGWSISSTPLSTSRESMHIVQNYYPGIIAVAILSNTPRIFESFWKIIKHFLEAKMSEKVKFLYTNNPESHKIVSEMFDMDLLETAFGGRNSITIDIDNYAERMRRSDLARGVLIIQTDINLISRHH >ORUFI05G10050.2 pep chromosome:OR_W1943:5:9305194:9312910:-1 gene:ORUFI05G10050 transcript:ORUFI05G10050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLKTRSESDKPKSPSSEELQQKIVQVRELLGSLAAEMPAFLSDTTIRRFLRARNWSTEQATKSLKETVKWRRQYRPESICWDDIADKEYEGRRAYIADYLDAKGRSILITKPTIKGRVSGKEQIKHFVYLLESLAMNSAEEQEEHVTWLIDLRGWSISSTPLSTSRESMHIVQNYYPGIIAVAILSNTPRIFESFWKIIKHFLEAKMSEKVKFLYTNNPESHKIVSEMFDMDLLETAFGGRNSITIDIDNYAERMRRSDLARGVLIIQTDINLISRHH >ORUFI05G10050.3 pep chromosome:OR_W1943:5:9311888:9312910:-1 gene:ORUFI05G10050 transcript:ORUFI05G10050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLKTRSESDKPKSPSSEELQQKRATSGEPLISTPTSSSMRNEEEPLLPSYIGDQRSPHAIAFTAFDAGHHVVVERHPLPLHEHLLWMLRWATCSGRTGREHEMRYG >ORUFI05G10060.1 pep chromosome:OR_W1943:5:9332163:9336399:-1 gene:ORUFI05G10060 transcript:ORUFI05G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVQDLEEGGADGFLFMSEDENGGDFSDVEQHPDDGAPPDSELQVGGGGHRRGVVLGAEDIYFVPESELQGGSDVPDFEEQVGAGVPNREQQLNNGLFADLDDQQMDDVEEPIDVEEMAVLGDHDDTVAGDEGIDEFAEIREDSKHGTTKMIIYYSYLLGFGHKVEIELEGSITVFFVLHIILSETMFFTALFIFL >ORUFI05G10070.1 pep chromosome:OR_W1943:5:9339957:9340528:1 gene:ORUFI05G10070 transcript:ORUFI05G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGRTMIITGSEFTPEDAARIPKPKTKKEQGTTVQPIRQPMTVDNSGHNPSTLPPTPAPVEANHGRSNVTKDVHKSLLVPLPKCIIRSLHPKTKQVDYVATVVSQDIVSVIVLFQEQQRQFVVIHKLPALPMTPIDT >ORUFI05G10080.1 pep chromosome:OR_W1943:5:9411050:9413934:-1 gene:ORUFI05G10080 transcript:ORUFI05G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLKAKSWVPEEKAAATASDEQNDKIKKVRELLGSQMTAEMPSFLSDATIRRFLRARNWSTEQATKALKETVKWRRQYRPDTIRWEDIPGREHEARRTYIADYFDKNGRIVFISNPTIKSKSSTKDQIKQLVYNLEIFAMHSENMEDECTVWLTDFQGWVLTNTPLPLLRECTHIIQNHYPGLISVAILSNPPRIFESFWKIVCYFIEPKLKEKVKFVYTNNPESHKIVADMFDLDKLESAFGGRNTLPFDMDKYAERMKRSDQMRGAPMHANGYSCSTQT >ORUFI05G10090.1 pep chromosome:OR_W1943:5:9415421:9415627:1 gene:ORUFI05G10090 transcript:ORUFI05G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAFPHCDAGEIVTRPVHHAAELIQRAIAGIDDTYFHSFIDFASSSANKAEGPVPIANADEVVVWPG >ORUFI05G10100.1 pep chromosome:OR_W1943:5:9425776:9428875:-1 gene:ORUFI05G10100 transcript:ORUFI05G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLLSALSKNYHPTYLVRRIYHRRAMAMVGAAATVSLGSADPVASPPSLRCPEGMGWPIQAAASSGNQRVRDPACGRHKYPHEEN >ORUFI05G10100.2 pep chromosome:OR_W1943:5:9425912:9428875:-1 gene:ORUFI05G10100 transcript:ORUFI05G10100.2 gene_biotype:protein_coding transcript_biotype:protein_coding VHSEKEKKIPSCFSPPPPPRLSCGPTAATPLARLRSRLPYPAATSDRLWPPPPARAVVVVAEGSGPHRRRRSRPPPPTRPPLPAASADALTKVVPHISRSLRAGNVCSAAIASEEGDRRWSGPRGGTFLLCRLGVVSGQETPQLRHSGSGDSAPSPADLPPAGDGNGRSSGYGELGQR >ORUFI05G10100.3 pep chromosome:OR_W1943:5:9425776:9428875:-1 gene:ORUFI05G10100 transcript:ORUFI05G10100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVGAAATVSLGSADPVASPPSLRCPEGMGWPIQAAASSGNQRVRDPACGRHKYPHEEN >ORUFI05G10110.1 pep chromosome:OR_W1943:5:9434271:9442273:1 gene:ORUFI05G10110 transcript:ORUFI05G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDRGEIQELPRPTPPPPPQNTGDKPLTTEETKKEYHKGCPGCQLEKANESRTGIPYLNFFYICIIGITSSLPIQSLFPYQYFMIRDMNIAKREEDIGFYAGFVAASYFLARTFSSVPWGIFADKYGRKPCIVISILSVIFFNTLFGLSTRYWMAIVTRGMLGMLCGILGPIKAYATEICRKEHQALGMSLVTSSRAVAFVLGPAIGGFLAQVSILPPMLYHINTSSRIMHRMHLASHAQISEEVEAQVDLSNIESGKAKVSSDTSLKNLLKNWKFVSSVIIYCTFSLHDVAYLETFSFWAVSKRKFRGLNLTSQDLATVSAISGIGVLVYQFTVYPFIAKYFGPIRPLRPTAILSVLLLTAYPYMHNLHGLELKATITTACNILQNTAVTQKQRGAANGISVTLLSLFQGLAPAGAGILFSWSQKHVTGLFLPGGQILFLVISMVAVIGLILTFKPFFSMSDALSRS >ORUFI05G10110.2 pep chromosome:OR_W1943:5:9434271:9442273:1 gene:ORUFI05G10110 transcript:ORUFI05G10110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDRGEIQELPRPTPPPPPQNTGDKPLTTEETKKEYHKGCPGCQLEKANESRTGIPYLNFFYICIIGITSSLPIQSLFPYQYFMIRDMNIAKREEDIGFYAGFVAASYFLARTFSSVPWGIFADKYGRKPCIVISILSVIFFNTLFGLSTRYWMAIVTRGMLGMLCGILGPIKAYATEICRKEHQALGMSLVTSSRAVAFVLGPAIGGFLAQKSTHIFSPKNPYLGDAQISEEVEAQVDLSNIESGKAKVSSDTSLKNLLKNWKFVSSVIIYCTFSLHDVAYLETFSFWAVSKRKFRGLNLTSQDLATVSAISGIGVLVYQFTVYPFIAKYFGPIRPLRPTAILSVLLLTAYPYMHNLHGLELKATITTACNILQNTAVTQKQRGAANGISVTLLSLFQGLAPAGAGILFSWSQKHVTGLFLPGGQILFLVISMVAVIGLILTFKPFFSMSDALSRS >ORUFI05G10110.3 pep chromosome:OR_W1943:5:9434271:9442273:1 gene:ORUFI05G10110 transcript:ORUFI05G10110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDRGEIQELPRPTPPPPPQNTGDKPLTTEETKKEYHKGCPGCQLEKANESRTGIPYLNFFYICIIGITSSLPIQSLFPYQYFMIRDMNIAKREEDIGFYAGFVAASYFLARTFSSVPWGIFADKYGRKPCIVISILSVIFFNTLFGLSTRYWMAIVTRGMLGMLCGILGPIKAYATEICRKEHQALGMSLVTSSRAVAFVLGPAIGGFLAQVSILPPMLYHINTSSRIMHRMHLASHAQISEEVEAQVDLSNIESGKAKVSSDTSLKNLLKNWKFVSSVIIYCTFSLHDVAYLETFSFWAVSKRKFRGLNLTSQDLATVSAISGIGVLVYQFTVYPFIAKYFGPIRPLRPTAILSVLLLTAYPYMHNLHGLELKATITTACNILQNTAVVFMVSKARDRVVLTRYTNKQHICSLINMVAGGQILFLVISMVAVIGLILTFKPFFSMSDALSRS >ORUFI05G10110.4 pep chromosome:OR_W1943:5:9434273:9442273:1 gene:ORUFI05G10110 transcript:ORUFI05G10110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDRGEIQELPRPTPPPPPQNTGDKPLTTEETKKEYHKGCPGCQLEKANESRTGIPYLNFFYICIIGITSSLPIQSLFPYQYFMIRDMNIAKREEDIGFYAGFVAASYFLARTFSSVPWGIFADKYGRKPCIVISILSVIFFNTLFGLSTRYWMAIVTRGMLGMLCGILGPIKAYATEICRKEHQALGMSLVTSSRAVAFVLGPAIGGFLAQKSTHIFSPKNPYLGDAQISEEVEAQVDLSNIESGKAKVSSDTSLKNLLKNWKFVSSVIIYCTFSLHDVAYLETFSFWAVSKRKFRGLNLTSQDLATVSAISGIGVLVYQFTVYPFIAKYFGPIRPLRPTAILSVLLLTAYPYMHNLHGLELKATITTACNILQNTAVVFMVSKARDRVVLTRYTNKQHICSLINMVAGGQILFLVISMVAVIGLILTFKPFFSMSDALSRS >ORUFI05G10110.5 pep chromosome:OR_W1943:5:9434271:9442273:1 gene:ORUFI05G10110 transcript:ORUFI05G10110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDRGEIQELPRPTPPPPPQNTGDKPLTTEETKKEYHKGCPGCQLEKANESRTGIPYLNFFYICIIGITSSLPIQSLFPYQYFMIRDMNIAKREEDIGFYAGFVAASYFLARTFSSVPWGIFADKYGRKPCIVISILSVIFFNTLFGLSTRYWMAIVTRGMLGMLCGILGPIKAYATEICRKEHQALGMSLVTSSRAVAFVLGPAIGGFLAQVSILPPMLYHINTSSRIMHRMHLASHAQISEEVEAQVDLSNIESGKAKVSSDTSLKNLLKNWKFVSSVIIYCTFSLHDVAYLETFSFWAVSKRKFRGLNLTSQDLATVSAISAYPYMHNLHGLELKATITTACNILQNTAVTQKQRGAANGISVTLLSLFQGLAPAGAGILFSWSQKHVTGLFLPGGQILFLVISMVAVIGLILTFKPFFSMSDALSRS >ORUFI05G10110.6 pep chromosome:OR_W1943:5:9434273:9442273:1 gene:ORUFI05G10110 transcript:ORUFI05G10110.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDRGEIQELPRPTPPPPPQNTGDKPLTTEETKKEYHKGCPGCQLEKANESRTGIPYLNFFYICIIGITSSLPIQSLFPYQYFMIRDMNIAKREEDIGFYAGFVAASYFLARTFSSVPWGIFADKYGRKPCIVISILSVIFFNTLFGLSTRYWMAIVTRGMLGMLCGILGPIKAYATEICRKEHQALGMSLVTSSRAVAFVLGPAIGGFLAQKSTHIFSPKNPYLGDAQISEEVEAQVDLSNIESGKAKVSSDTSLKNLLKNWKFVSSVIIYCTFSLHDVAYLETFSFWAVSKRKFRGLNLTSQDLATVSAISAYPYMHNLHGLELKATITTACNILQNTAVTQKQRGAANGISVTLLSLFQGLAPAGAGILFSWSQKHVTGLFLPGGQILFLVISMVAVIGLILTFKPFFSMSDALSRS >ORUFI05G10110.7 pep chromosome:OR_W1943:5:9434273:9442273:1 gene:ORUFI05G10110 transcript:ORUFI05G10110.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDRGEIQELPRPTPPPPPQNTGDKPLTTEETKKEYHKGCPGCQLEKANESRTGIPYLNFFYICIIGITSSLPIQSLFPYQYFMIRDMNIAKREEDIGIFFNTLFGLSTRYWMAIVTRGMLGMLCGILGPIKAYATEICRKEHQALGMSLVTSSRAVAFVLGPAIGGFLAQKSTHIFSPKNPYLGDAQISEEVEAQVDLSNIESGKAKVSSDTSLKNLLKNWKFVSSVIIYCTFSLHDVAYLETFSFWAVSKRKFRGLNLTSQDLATVSAISGIGVLVYQFTVYPFIAKYFGPIRPLRPTAILSVLLLTAYPYMHNLHGLELKATITTACNILQNTAVVFMVSKARDRVVLTRYTNKQHICSLINMVAGGQILFLVISMVAVIGLILTFKPFFSMSDALSRS >ORUFI05G10110.8 pep chromosome:OR_W1943:5:9434273:9442273:1 gene:ORUFI05G10110 transcript:ORUFI05G10110.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDRGEIQELPRPTPPPPPQNTGDKPLTTEETKKEYHKGCPGCQLEKANESRTGIPYLNFFYICIIGITSSLPIQSLFPYQYFMIRDMNIAKREEDIGIFFNTLFGLSTRYWMAIVTRGMLGMLCGILGPIKAYATEICRKEHQALGMSLVTSSRAVAFVLGPAIGGFLAQKSTHIFSPKNPYLGDAQISEEVEAQVDLSNIESGKAKVSSDTSLKNLLKNWKFVSSVIIYCTFSLHDVAYLETFSFWAVSKRKFRGLNLTSQDLATVSAISAYPYMHNLHGLELKATITTACNILQNTAVTQKQRGAANGISVTLLSLFQGLAPAGAGILFSWSQKHVTGLFLPGGQILFLVISMVAVIGLILTFKPFFSMSDALSRS >ORUFI05G10110.9 pep chromosome:OR_W1943:5:9434273:9442273:1 gene:ORUFI05G10110 transcript:ORUFI05G10110.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDRGEIQELPRPTPPPPPQNTGDKPLTTEETKKEYHKGCPGCQLEKANESRTGIPYLNFFYICIIGITSSASYFLARTFSSVPWGIFADKYGRKPCIVISILSVIFFNTLFGLSTRYWMAIVTRGMLGMLCGILGPIKAYATEICRKEHQALGMSLVTSSRAVAFVLGPAIGGFLAQVSILPPMLYHINTSSRIMHRMHLASHAQISEEVEAQVDLSNIESGKAKVSSDTSLKNLLKNWKFVSSVIIYCTFSLHDVAYLETFSFWAVSKRKFRGLNLTSQDLATVSAISGIGVLVYQFTVYPFIAKYFGPIRPLRPTAILSVLLLTAYPYMHNLHGLELKATITTACNILQNTAVVFMVSKARDRVVLTRYTNKQHICSLINMVAGGQILFLVISMVAVIGLILTFKPFFSMSDALSRS >ORUFI05G10120.1 pep chromosome:OR_W1943:5:9451381:9459836:-1 gene:ORUFI05G10120 transcript:ORUFI05G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRMASRRLPLPLVAQLVVLLGLACGLRSSSAAAAAASGLAGERVTYLPGQPPVDFDMYSGYVTVDKRAGRSLFYWLQEAPAAAQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRPDGATLFLNDYRWNKVANILFLDSPAGVGFSYTNTTSDLYDSGDKRTAHDSYKFLVKWFEKFPQYKYRDFYIAGESYAGITLLWHYVPQLSQLVYRNNKGVKEPLINFKGFMVGNAVTDDYHDYIGTFEYWWNHGIISDGTYRLLNASCVHDSGEHPAPACLAALNASTVEQGDIDMYSLYTPTCNETSTSSATRQRRLKQGHYPWMTGSYDPCTERYSTEYYNRPEVQRALHANVTGINYTWATCSDILNDNWRDSPRSVLPIYHELIAAGLRIWVFSGDTDAVVPLTATRYSIDALGLPTTVSWYPWYDAMKVGGWSQVYKGLSLVTVRGAGHEVPLHRPRQALILFKHFLQGKPMPDAPTKVQNEA >ORUFI05G10120.2 pep chromosome:OR_W1943:5:9451381:9459836:-1 gene:ORUFI05G10120 transcript:ORUFI05G10120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRMASRRLPLPLVAQLVVLLGLACGLRSSSAAAAAASGLAGERVTYLPGQPPVDFDMYSGYVTVDKRAGRSLFYWLQEAPAAAQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRPDGATLFLNDYRWNKVANILFLDSPAGVGFSYTNTTSDLYDSGDKRTGHYVPQLSQLVYRNNKGVKEPLINFKGFMVGNAVTDDYHDYIGTFEYWWNHGIISDGTYRLLNASCVHDSGEHPAPACLAALNASTVEQGDIDMYSLYTPTCNETSTSSATRQRRLKQGHYPWMTGSYDPCTERYSTEYYNRPEVQRALHANVTGINYTWATCSDILNDNWRDSPRSVLPIYHELIAAGLRIWVFSGDTDAVVPLTATRYSIDALGLPTTVSWYPWYDAMKVGGWSQVYKGLSLVTVRGAGHEVPLHRPRQALILFKHFLQGKPMPDAPTKVQNEA >ORUFI05G10130.1 pep chromosome:OR_W1943:5:9465676:9466277:-1 gene:ORUFI05G10130 transcript:ORUFI05G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGFGISNGDADGPEVVVMRAIRRGDNDGGVVSGCCRPATSSNNLDNNPLVAAPGHHKMATLGGLVWGRGDLFFSLALSLGNLTAWKDWEHGQMSSSCLMACRRRSAGVVATHRSQPRRRRATRLRVKAQPSGQQRRRPRTPFSPWGVVCVNFPALSEGVLQVKTMSWLLRTSGSGTQCCILVGGIV >ORUFI05G10140.1 pep chromosome:OR_W1943:5:9469871:9472414:-1 gene:ORUFI05G10140 transcript:ORUFI05G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAATSARSSSRAFDWRSVLTASTSALYCFPQCTREDDRERCELDIQGRGCNEENKGNLQLWSIRGKKVIKKKAGSISWTLQNDREN >ORUFI05G10150.1 pep chromosome:OR_W1943:5:9473630:9475023:-1 gene:ORUFI05G10150 transcript:ORUFI05G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLPVSAGDASRVLFTGIRDHTDILIEVLLRLPPWSLCQLCCVCKLWLERTTSSTFLSAYAERHTTNPSNWFLLDRTIFIDTAPTPRGPIRALLRNSEPPKVSSIITSSRMCSIRRKESFYDQLPMVVSYSGGLILLTGNENNYYVCNPFTGDTFLLPVPKPQLRNAESLGIVARDGEYVVAELMMSCLRSFSSVNGRWEEKPLVCPQFSRGDMVFSSGGMLHWVDLNCGILSCDPFASEPTVLFINLPEASGRPTRGLDEWIHMRYVGVSAGRLCFFDIDEDDGESGSMSLWALSGNSGEWVLEYKVDFEDLWEDESYDDYSLDEDEVPLVGLVDPLNEHSVYVISQDCLFNIDLKTKQILNCTAQTNAGREVGSSPPIACVVPPLPHLVSPYPSCLRKEASNSDPQEAGSSDKPQKSGKGRRRKSKT >ORUFI05G10160.1 pep chromosome:OR_W1943:5:9483247:9485567:-1 gene:ORUFI05G10160 transcript:ORUFI05G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSHKPLELFHALPPQAPADAPPPPSAFEGFSSILTHFDAGYFRISLSLCGQALLWRTLCGGGSDGDGDEHVQPRALGALARHLPPAASVLLWSLALLSLVALTALYAARCLLRFAAVRAEFRHRIAVNYLFAPWASWLLLLQSAPSSLLSPGAAPRRVLWCAFAAPVLALDVTVYGQWFTEGRTALSMAANPTGHITVVANLVTARAAAELGWREGAVAVFAVAVAHYAVLFVTLYQRLLGANALPAMLRPVFFLFFAAPSMASLAWGAISSSFDTACKMLFFLSLFLFASLVSRPTLFRRAMRRFSVAWWAFPFPLTALAVASVEYAREVEDHAAVVLVLVLSALSVVVTVAVVVCTAIRTSDLLPHGDDDPLPCASSSVMVPLDAFTGSIVSSCV >ORUFI05G10170.1 pep chromosome:OR_W1943:5:9500864:9507500:-1 gene:ORUFI05G10170 transcript:ORUFI05G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRGTPLPTILLLLAFVGGACGTEILSKSRLESCSHDSDAGGRLKCDRKLVVDLAVPSGASGGEASLVARVAGVEEENDTPSATKSIRDPPVITVSKSATYALYALTYLDRDVAYRPDEKYVKTHKCEPYAGAKVVGECERFHVFGIGAWSLRFSIRVQVKKGSSVWDVVVGPENKTVVSGDNFLRVKVVGDYTGYTSIPSFEDNYLVTPRKGTGSSQPQDLGNEHSKWMILDRVRFTLDGLECDKIGVGYEAYRNQPNFCSAPYGSCLGNQLWNFWEYDKRRIDNSQLPLYIVEGRFQRINQHPNAGAHTFSVGVTEDLNTNLLIELMADDIEYVYQRSPAKIIDIRVPTFEALSQVGIANVTTKNIGKLESSYSLTFKCSSGISPVEEQLYTMKPDEVIARSFELRSTTDQAAMHQCEAILKASDFSELDREGYRFSTAATVYNNGAQIGPTNDHKKGGFWDSIKALWRNLIDFLTGRLCWTKCPRLFDFGCHIQYVCIGWILLLLLIPAAVVFLWLLHQEGLFDPLYDWWGLEPDDDYRARRRHQKGRHHRHHHDHRHRHGHSHGDHHHHYHGGHHQRRRHHHPPAWDVEGHHHDRQQHSHEAGRNHHRGYGEVVAAGAAPLRLDRASRPGQTEVDAVVEYRERRSRHERHGGHGHRDGHYSPSV >ORUFI05G10170.2 pep chromosome:OR_W1943:5:9500864:9507500:-1 gene:ORUFI05G10170 transcript:ORUFI05G10170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRGTPLPTILLLLAFVGGACGTEILSKSRLESCSHDSDAGGRLKCDRKLVVDLAVPSGASGGEASLVARVAGVEEENDTPSATKSIRDPPVITVSKSATYALYALTYLDRDVAYRPDEKYVKTHKCEPYAGAKVVGECERFHVFGIGAWSLRFSIRVQVKKGSSVWDVVVGPENKTVVSGDNFLRVKVVGDYTGYTSIPSFEDNYLVTPRKGTGSSQPQDLGNEHSKWMILDRVRFTLDGLECDKIGVGYEAYRNQPNFCSAPYGSCLGNQLWNFWEYDKRRIDNSQLPLYIVEGRFQRINQHPNAGAHTFSVGVTEDLNTNLLIELMADDIEYVYQRSPAKIIDIRVPTFEALSQVGIANVTTKNIGKLESSYSLTFKCSSGISPVEEQLYTMKPDEVIARSFELRSTTDQAAMHQCEGMPLSSISAILKASDFSELDREGYRFSTAATVYNNGAQIGPTNDHKKGGFWDSIKALWRNLIDFLTGRLCWTKCPRLFDFGCHIQYVCIGWILLLLLIPAAVVFLWLLHQEGLFDPLYDWWGLEPDDDYRARRRHQKGRHHRHHHDHRHRHGHSHGDHHHHYHGGHHQRRRHHHPPAWDVEGHHHDRQQHSHEAGRNHHRGYGEVVAAGAAPLRLDRASRPGQTEVDAVVEYRERRSRHERHGGHGHRDGHYSPSV >ORUFI05G10180.1 pep chromosome:OR_W1943:5:9508131:9508718:-1 gene:ORUFI05G10180 transcript:ORUFI05G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPCLARAVLATLLRAFVPSCPRVWQTLCDVSSFTVRLHRLFDVIFLNDYRDCVTVFSTTPLPCVHDHSTAPHARPAARLTRHQLPDFGYIDHGYSTHSYLDHGSLTTFALATSTMAQRAIIRIEHSCRFLLQSKCXATSTMAQRAIIRIEHSCRFLLQSKCPHCSRLDCGRMLEYMVVRVILG >ORUFI05G10190.1 pep chromosome:OR_W1943:5:9508964:9509671:-1 gene:ORUFI05G10190 transcript:ORUFI05G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCCYMYPCFFFPFQKTALRRPPPRRHPRVSDIGIDSASTSSFRLTHIRLDHPFKRPATTTSATDCHQARVYAIKLWGAAAFAPLGSQCRCLWSTPPLVVVVLRGALLSTSTSPVDFLPLHRHGAAAVLSSRTAASPLSSSSSPFAHRQPRRPHWSSVFFLDFEHRRRIFKLPLSPL >ORUFI05G10200.1 pep chromosome:OR_W1943:5:9520604:9525010:1 gene:ORUFI05G10200 transcript:ORUFI05G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRRPLRALTLPLLRPHLSTAAAAEVSPADHHPDLLSPPFGYLPGHPRPDAKHDELILAVPRASPGRHVAAKERKAGRVPAIVFEQENGQEGGNKRLVSVQSKQIRKLVDHLGRSFFLSRLFRLQVWSEHAGQGVLIESVRVLPRKVHLHAGTDEPLNVTFMRAPSSALLKIDVPLMFIGDDASPGLRKGAYFNTIKRTVKYLCPADIVPPYIEVDLSELDVGQKLLMRDLKVHPALKLLQSPEQPICSIIGSRAPEQKKSK >ORUFI05G10210.1 pep chromosome:OR_W1943:5:9532597:9534908:-1 gene:ORUFI05G10210 transcript:ORUFI05G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSTAAVPTANTNGNHALSMDSHSSQDVRRRTVVVARKKASPELLADGGFNGTSSVDKITDKKDLSHTIRGESVLGKSKYPLEARKDAIASAAAADRQKKSGAKQEKAKWEIALSVLMKLCLLISAVAWMGQLFWRWQNGDLSFTTLDMESRLSKVEGFKKTTKMLQVQLDILDKKLGNEIDKTRRDITKQFEDKGNKLEIKMKALEGKTDKLDKSLAELRDMGFVSKKEFDEIVEQLKKKKGLDGTVGDISLDDIRLFAKEIVEMEIERHAADGLGMVDYALASGGGKVVKHSEAFRKAKSFMPSRNSLLEPAKKMLEPSFGQPGECFALQGSSGYVEIKLRTGIIPEAVSLEHVDKSVAYDRSSAPKDFQVSGWYEGPEDDSDKESRVVTNLGEFSYDLEKNNAQTFQLERTADSRVINMVRLDFSSNHGNSELTCIYRFRVHGREPGSP >ORUFI05G10220.1 pep chromosome:OR_W1943:5:9548641:9549018:1 gene:ORUFI05G10220 transcript:ORUFI05G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAPATGSTTVTVDPSPSSSSSAPASSAPPPAAESVVLRLKRRPKKKVTWKEGTVDNESLGRKSSKKCCIFHKEVPFDEDCSDDEPDGGGGRRSPPGDAGEGTSGGGGCCSSSSHGHGHGHDH >ORUFI05G10230.1 pep chromosome:OR_W1943:5:9551204:9554250:-1 gene:ORUFI05G10230 transcript:ORUFI05G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIHALRNMDDDEVFAYAKRIAAPYNLVMQTKQLGRLPVVQFAAGGNRYMVMEAFIDTAGKGQSDPLILTQLNSTKAIRDSIQINFGECGLAACLGSLQGIYELYSSIVKSELCVIRVSREDHQKVWAAITMVRSIGKIPVSFNLRDVSGSIRACKKAALECEEAKFEYYKLAAGDRITPKFVETMESCFNKD >ORUFI05G10230.2 pep chromosome:OR_W1943:5:9551204:9554250:-1 gene:ORUFI05G10230 transcript:ORUFI05G10230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIHALRNMDDDEVFAYAKRIAAPYNLVMQTKQLGRLPVVQFAAGGNRYMVMEAFIDTAGKGQSDPLILTQLNSTKAIRDSIQINFGECGLAACLGSLQDHQKVWAAITMVRSIGKIPVSFNLRDVSGSIRACKKAALECEEAKFEYYKLAAGDRITPKFVETMESCFNKD >ORUFI05G10240.1 pep chromosome:OR_W1943:5:9553331:9553549:1 gene:ORUFI05G10240 transcript:ORUFI05G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCETDAAEAAGRATATQLARSRDGWGSAQAVVVEDVLTRMDAAEAAGRTTKPYSLAGWIGIGGGRGQTQESG >ORUFI05G10250.1 pep chromosome:OR_W1943:5:9561934:9566138:1 gene:ORUFI05G10250 transcript:ORUFI05G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDVRGAAAVACGSAAAATAAVASAVAGAEAGGASGVRRRLQSSLQRARRRLRRAASGLGPSGVGASPACRPPVRQATTQARGVGVERKRLVVFVSGGGSNFRAIHDAALGGDVNGVVVALVTDKPGCGGAEHARGNGIPVVVFPKLKSAPEGVSTDELLNGLRELRVDFILLAGYLKLIPVELVQAYPKSILNIHPSLLPAFGGKGYYGLKVHKAVIASGARYSGPTVHFVDEHYDTGRTLAQRVVPVQANDTPEQLATRVLHEEHQVYVEAVTALCEDRIVWREDGIPLIRSQTNPDEYT >ORUFI05G10250.2 pep chromosome:OR_W1943:5:9561934:9565066:1 gene:ORUFI05G10250 transcript:ORUFI05G10250.2 gene_biotype:protein_coding transcript_biotype:protein_coding IDVRGAAAVACGSAAAATAAVASAVAGAEAGGASGVRRRLQSSLQRARRRLRRAASGLGPSGVGASPACRPPVRQATTQARGVGVERKRLVVFVSGGGSNFRAIHDAALGGDVNGVVVALVTDKPGCGGAEHARGNGIPVVVFPKLKSAPEGVSTDELLNGLRELRVDFILLAGYLKLIPVELVQAYPKSILNIHPSLLPAFGGKGYYGLKVHKAVIASGARYSGPTVHFVDEHYDTGRTLAQRVVPVQANDTPEQLATRVLHEEHQVYVEAVTALCEDRIVWREDGIPLIRSQTNPDEYT >ORUFI05G10250.3 pep chromosome:OR_W1943:5:9561868:9566138:1 gene:ORUFI05G10250 transcript:ORUFI05G10250.3 gene_biotype:protein_coding transcript_biotype:protein_coding HTLPLRARRRRPAALGFFGFRRIDVRGAAAVACGSAAAATAAVASAVAGAEAGRRRVGRAAATAVQSAACSSAAAACGVGARAVRRRRVAGVQAASPPGHHTGCGGAEHARGNGIPVVVFPKLKSAPEGVSTDELLNGLRELRVDFILLAGYLKLIPVELVQAYPKSILNIHPSLLPAFGGKGYYGLKVHKAVIASGARYSGPTVHFVDEHYDTGRTLAQRVVPVQANDTPEQLATRVLHEEHQVYVEAVTALCEDRIVWREDGIPLIRSQTNPDEYT >ORUFI05G10260.1 pep chromosome:OR_W1943:5:9566360:9570097:-1 gene:ORUFI05G10260 transcript:ORUFI05G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRPPKGGPFFLSESESSLVLMGCDSQVLVRELGGDNTLCHLPIVMALTTGGRFLGISGKGACSSIGCCQINIVLGYSSYLIQIHGMDQLGMDLLADIYMVDQGFNYTTDTFYSNSTEYPPRALPALLKWVIITLTSNCPRNLSAPSVAVPIALAKTRMLRHGGIDASALMPCFGECNNTQGGYNYWCLDGLKSNATQPKGCDATSRSGRGGCMRSCGNISIEYPFGVEPGCYHAVGFNLTCNHSYQLPRLFLDDGTVQVLNISIPNGTVRINSGRINLEDNGLGSTNGTWGRWPPNWRAVLSVGVGEQTGVDGLQLLGRCLGAKRKLIGCLLQCHLPIIALTRTLLRNFQDNSTVKQRRVKKLKEKFFKQNHGLLLQQLISKNTNFGERMIITLEELQKATNNFDRSLQREIGEFINEVAILSQINHRNVVKLLGFGVLLVELLTQKKPVADTFDGDSLVSHFVSLLLEGNLIDIINPQVKEEEGGEVHEVAALAALCTKLKGEEWPSTREVQMALENILSKKGPFHKGNRESSRPSKN >ORUFI05G10270.1 pep chromosome:OR_W1943:5:9570119:9570448:-1 gene:ORUFI05G10270 transcript:ORUFI05G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVPATKREAKLCVVLAALLLLLGAPGVATADAVATSGSGRGGCTRSSGNISIEYPFGMEPGCYHVVGFNLTCDTRTTHPGCSSVGARYLHPQWNSAHQQHQNEAPRE >ORUFI05G10280.1 pep chromosome:OR_W1943:5:9577397:9577591:1 gene:ORUFI05G10280 transcript:ORUFI05G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRGKKRKRERELLAIPSSPARVQSPWIHLPPCIRAHKLESGEDSCDSNTSNASTAKVATTMS >ORUFI05G10290.1 pep chromosome:OR_W1943:5:9594371:9604695:1 gene:ORUFI05G10290 transcript:ORUFI05G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAMKPASRKRGPPAPDPVELPPPGFVADRAEAAARVERLLRYQFRDGRLLEEALTHQSFADDAVSYQRLEFVGDSALGLAFSNFLYLTNPTLGPGPLSTLRAANISTEKLARVAVRHDLYPLLRRNCPRLDLLVGQFIETVKQEPEDDLGTVPYGGSVVKAPKVLADIVEAIAAAVYVDCKFDLEKLWKVTRWLFEPIITAETIDEQPVTMLHELCQKHGKMAQFKTWQKGGMTVVNVFVAGELVGIGSSEQKVIAKLNAARDATRKLAGAKKQVLTTGVGNGLGDEIGELRECKQKLNEQCSRQNWPKPIFKLEKEDGPAHERKFVCSVQVETAKGNFVTIGDPMSRVKDSENSAAQKMLEVILKF >ORUFI05G10300.1 pep chromosome:OR_W1943:5:9606277:9606654:1 gene:ORUFI05G10300 transcript:ORUFI05G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITVFLFLLVALVVVSAAEASPEPLPARRSRFLLTSSSFYSCSKKSAAAVCLAVGSPGATCCGGRCVDTGASGEHCGGCNKACKHGRSCCGGRCVDLLSDRDNCGSCSNQCSNKCTYGFCDYA >ORUFI05G10310.1 pep chromosome:OR_W1943:5:9608746:9609618:-1 gene:ORUFI05G10310 transcript:ORUFI05G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAACAAAGRRAEAAAATECDARFRCLALIATVLKWLQDFSDKVEERAKGVAAEVNGLLNEAVALELDMKTQV >ORUFI05G10320.1 pep chromosome:OR_W1943:5:9638799:9644268:1 gene:ORUFI05G10320 transcript:ORUFI05G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATILLFLVLAAATAAMAATSASTAPVGIRKSRFLMTQQGQGADPYYYYSCSKKSAAAVCLAAGSPGATCCGGRCVDTGASGEHCGGCNKACKHGRSCCGGRCVDLLSDRDNCGSCSNQCSNNHRPPPPPRARRRSSHGAHHQYGHDPDREEPIPSGASASGCWCRGSLLLPASPIDVRLLREVGRHVSRAGEPRADVLRRPVRGHRRQRPPLRRLQQGVQARADLLRRPLRGPALRQGQLRQLLQQVQQEVHLRLVQLCAVTM >ORUFI05G10330.1 pep chromosome:OR_W1943:5:9648331:9649797:1 gene:ORUFI05G10330 transcript:ORUFI05G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARSRHAASLAAAACGGTSSAARSYVGLDLLLQENFSAPRRVLQLHALLLTSGALSLPHPDPAATAAFPYNCLIHAHLRLRGSASPPWGPLRIFSAMLARGVRPNRHTFPSLLKSSASFDAATPTLHAQCLRRGLDEDRFVACSLLSAYGRDGHLVRDARKVFDDMASPDLATCNAMLDVLCLSGDMYGARCLFDRMVVRDVVSWTTIISGLTRIGCHWDAVEMFRAFLLQNKGRLSEATLVSVLSACANLDAVEGLAVGMAVHGHVVRHEVQFTAFLGTALIDMYGRAGRFLEAIQIIERMPFMADDSVWGALLGACKIHGNIELSAQIREKLIVLGGQQPGRYVTVRNMYLEEGNWYAATRMGEVMQEAGIKKIVGMSSVVLN >ORUFI05G10340.1 pep chromosome:OR_W1943:5:9650576:9651175:1 gene:ORUFI05G10340 transcript:ORUFI05G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMSDGSSSIRELKASPVRYWVGPLEYQPAVMCQCRPPAKAARWISWSTDNPGRRYYKCQNARQGGCDFWAWYDGPTSSFIRELLNDLRDRVNSLRRENEVMRKEVEQSRDKVEVQSKAIDDARGVVAVKNAEIMCLKARNDKLEKERKVFVICAVSCMFVLFVVLFGKK >ORUFI05G10350.1 pep chromosome:OR_W1943:5:9651955:9652933:-1 gene:ORUFI05G10350 transcript:ORUFI05G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDVLDTLVVRFHLKGVFVLDGSEKKYCGGSEALSYVERDKVSLPELFGHLKDHCNVMSGTLLHWLFPGKDLQTGLRALSNDKACKLMCECTGELDVADVYVEEPEIVDLCNGSDDDSDWEAWS >ORUFI05G10360.1 pep chromosome:OR_W1943:5:9669096:9691210:1 gene:ORUFI05G10360 transcript:ORUFI05G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVADAASLLGLLLLVSCCSLAQSVTTNGGGYVSAVGDPGMRRDGLRVAWEAWNFCNEVGREAPGMGSPRGADCFDLEDGVDEHGQPTRKVVHRVTDADNSLRAGDPFPGTPANITDVDRYAAAKELYLGDRCQVADGPSPWQFWMVMLKNGNLDTSAAICPDNGRPARPFPQGKFPCPGGAGCMNPPLVFHNRTALDATGRRLRGGLFGTYDLGAADLGNKDVSYYSVTWEKEVGGAGGWVFHHKLRTSPKYPWLMLYLRSDATSGFSGGYHYETRGMTKIVPESPNFKVRVNLEVKQGGGPSSQFYLMDMGSCWKNDGRPCDGDTATDVTRYSEMIINPETPSWCTPGKVGLCPPWHTFRNGTRVHRTDAARFPYAAYHMYCSPGNARAAEQPTTPCDPYSNPQPQEIMQLVPHPVWGEFGYPTAKGQGWIGDPRAWELDVGAMSQALYFYQDPGTPPAKRRWSSLDVGTEIYVSNHAEAEWTLSGFDILDCVGSSKGGLVDIVWSVDEGVRLYLDPHSSLLSSSIGSATGFPLISPRLMAAAAAVASLLGFVLALPFCLAAPSITTHGSDGGGGSYVSAVGDPGMRRDGLHVAWEAWNFCNEVGQEAPGMGSPRGADCFDLVRAGKFAENGVDENGQPTYKVVHRVTDADNNLRAGDPLPGSPANITDVDLYAAAKELYLGDRCQVPDSPSPWQFWMVMLKNGNLDTTAAICPENGRPARPFSQTSRFPCPGGAGCMNQPLVFHNRTALDATARRLRGGLFGTYDLDAADLGSREVSYYSVTWEKDIGSGGDGGWVFHHKLRTAPKYPWLMLYLRSDATKGFSGGYHYDTRGMTKMVPESPNFKVRVTLEVKQGGGPNSQFYLMDMGSCWKNDGRPCDGDTATDVTRYSEMIINPETPSWCTRRRIEECPPWHTFRNGTRVHRTDAARFPYAAYHVYCSPGNARAAEEPTTYCDAYSNPQPQEILQLVPHPVWGEFGYPTAKGQGWIGDPRTWELDVGALSQALYFYQDPGTPPAKRRWSSLDVGTEIYVSKYAEAEWTLSGFDIVVPEECIGSSQGGPVSRCL >ORUFI05G10360.2 pep chromosome:OR_W1943:5:9669096:9691210:1 gene:ORUFI05G10360 transcript:ORUFI05G10360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVADAASLLGLLLLVSCCSLAQSVTTNGGGYVSAVGDPGMRRDGLRVAWEAWNFCNEVGREAPGMGSPRGADCFDLEDGVDEHGQPTRKVVHRVTDADNSLRAGDPFPGTPANITDVDRYAAAKELYLGDRCQVADGPSPWQFWMVMLKNGNLDTSAAICPDNGRPARPFPQGKFPCPGGAGCMNPPLVFHNRTALDATGRRLRGGLFGTYDLGAADLGNKDVSYYSVTWEKEVGGAGGWVFHHKLRTSPKYPWLMLYLRSDATSGFSGGYHYETRGMTKIVPESPNFKVRVNLEVKQGGGPSSQFYLMDMGSCWKNDGRPCDGDTATDVTRYSEMIINPETPSWCTPGKVGLCPPWHTFRNGTRVHRTDAARFPYAAYHMYCSPGNARAAEQPTTPCDPYSNPQPQEIMQLVPHPVWGEFGYPTAKGQGWIGDPRAWELDVGAMSQALYFYQDPGTPPAKRRWSSLDVGTEIYVSNHAEAEWTLSGFDILDCVGSSKGGLVDIVWSVDEGVRLYLDPHSSLLSSSIGSATGFPLISPRLMAAAAAVASLLGFVLALPFCLAAPSITTHGSDGGGGSYVSAVGDPGMRRDGLHVAWEAWNFCNEVGQEAPGMGSPRGADCFDLENGVDENGQPTYKVVHRVTDADNNLRAGDPLPGSPANITDVDLYAAAKELYLGDRCQVPDSPSPWQFWMVMLKNGNLDTTAAICPENGRPARPFSQTSRFPCPGGAGCMNQPLVFHNRTALDATARRLRGGLFGTYDLDAADLGSREVSYYSVTWEKDIGSGGDGGWVFHHKLRTAPKYPWLMLYLRSDATKGFSGGYHYDTRGMTKMVPESPNFKVRVTLEVKQGGGPNSQFYLMDMGSCWKNDGRPCDGDTATDVTRYSEMIINPETPSWCTRRRIEECPPWHTFRNGTRVHRTDAARFPYAAYHVYCSPGNARAAEEPTTYCDAYSNPQPQEILQLVPHPVWGEFGYPTAKGQGWIGDPRTWELDVGALSQALYFYQDPGTPPAKRRWSSLDVGTEIYVSKYAEAEWTLSGFDIVVPEECIGSSQGGPVSRCL >ORUFI05G10360.3 pep chromosome:OR_W1943:5:9669096:9691210:1 gene:ORUFI05G10360 transcript:ORUFI05G10360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVADAASLLGLLLLVSCCSLAQSVTTNGGGYVSAVGDPGMRRDGLRVAWEAWNFCNEVGREAPGMGSPRGADCFDLENGVDENGQPTYKVVHRVTDADNNLRAGDPLPGSPANITDVDLYAAAKELYLGDRCQVPDSPSPWQFWMVMLKNGNLDTTAAICPENGRPARPFSQTSRFPCPGGAGCMNQPLVFHNRTALDATARRLRGGLFGTYDLDAADLGSREVSYYSVTWEKDIGSGGDGGWVFHHKLRTAPKYPWLMLYLRSDATKGFSGGYHYDTRGMTKMVPESPNFKVRVTLEVKQGGGPNSQFYLMDMGSCWKNDGRPCDGDTATDVTRYSEMIINPETPSWCTRRRIEECPPWHTFRNGTRVHRTDAARFPYAAYHVYCSPGNARAAEEPTTYCDAYSNPQPQEILQLVPHPVWGEFGYPTAKGQGWIGDPRTWELDVGALSQALYFYQDPGTPPAKRRWSSLDVGTEIYVSKYAEAEWTLSGFDIVVPEECIGSSQGGPVSRCL >ORUFI05G10370.1 pep chromosome:OR_W1943:5:9674755:9675231:-1 gene:ORUFI05G10370 transcript:ORUFI05G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGDQTTKSRILVVGGTGYIGRHVVAARARLGHLTTALVKAQLLQSFRNAGVTLLHGDLYDHASLLRAVRDTDVVISAPQCSRPRSLTRPVSSPPRATQVPDQTRLIDAIKEAGGGRVRRFIPSEFGLDPGRGASAAVEPVRSRPSTAARWASGAP >ORUFI05G10380.1 pep chromosome:OR_W1943:5:9694746:9697506:-1 gene:ORUFI05G10380 transcript:ORUFI05G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTLLAAEAALVLVLLFRTPFRRLALLAIDRAKRGRGPVMVKTVAATMLVVLGSSGYSIAKIRRRVGDLGQLTPTDQVLASRHLLEASLMGYSLFLGLIIDRLHHYIRELRTMKKNMEAVTKQSRTLEEAKHGSVEEIQQYQKEISRLNEQVQEFKRLSETKTEELKTAEANTLALQKQSEGLLTEYERLIAENEEFRNQLQSMDLRLSHSDNKKNT >ORUFI05G10390.1 pep chromosome:OR_W1943:5:9700297:9703051:1 gene:ORUFI05G10390 transcript:ORUFI05G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSDNNQLILDGRIGLVSSLCQVEPILRNPERKRKAVVLDQQFKGVFCAGQSSQSTSHVPDVYAGDFISTFHLPSRRTIRRWLSFASDNVPIPTGDGLSSGSPTLRAVETVYRIHLDVLLPSRGYMLILSPFHLGLFGGAKSQMIILYTNYTIHNYVNITQPQLLSQAAMIIGSHSPVDTAMSCCSTPQFILLVTL >ORUFI05G10390.2 pep chromosome:OR_W1943:5:9700297:9703051:1 gene:ORUFI05G10390 transcript:ORUFI05G10390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSDNNQLILDGRIGLVSSLCQVEPILRNPERKRKAVVLDQQFKGVFCAGQSSQSTSHVPDVYAGDFISTFHLPSRRTIRRWLSFASDNVPIPTGDGLSSGSPTLRAVETVYRIHLDVLLPSRGYMLILSPFHLGLFGGAKSQMIILYTNYTIHNYIIGSHSPVDTAMSCCSTPQFILLVTL >ORUFI05G10400.1 pep chromosome:OR_W1943:5:9730913:9733340:1 gene:ORUFI05G10400 transcript:ORUFI05G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALALSCVRRQRGKKGGGGGGDCGGGSPPPPSQIRPDQFAASFFLAAVSLRAAVLAFLVLFLWFTLLYFIRSLWNKDINGETFERSSNDHGSDADKRLGEGVAEEGKAEKKTLKISSEDCARRFEIEEVLHVNSDDSQNLPSMVSSDGCLNCKMHTDDEKLIKEVTVFEIKRRESGAAIVCSDGLSQKRQPRDMSIDWFEEETKSGDIIKSDIFYQQQEIHKVVVSDDNKPPEVLFLSGKQTVSSSGEFSSPNENGTSGFPFDSVCEDKNGTVAYPSVASHYNIGIEDDKCEDHTDNNIEEASSIASTNCGSADKHQTIQVLPVCLANGDNIDDVASLGSSICEDVEDKDNKSNANCISEGAPHGNGMPLVRSPASWWNLCGVIDVCWQVMGGTLGQQKTLDAHPNPLLTMKKYLTIWIEIA >ORUFI05G10400.2 pep chromosome:OR_W1943:5:9730913:9733340:1 gene:ORUFI05G10400 transcript:ORUFI05G10400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALALSCVRRQRGKKGGGGGGDCGGGSPPPPSQIRPDQFAASFFLAAVSLRAAVLAFLVLFLWFTLLYFIRSLWNKDINGETFERSSNDHGSDADKRLGEGVAEEGKAEKKTLKISSEDCARRFEIEEVLHVNSDDSQNLPSMVSSDGCLNCKMHTDDEKLIKEVTVFEIKRRESGAAIVCSDGLSQKRQPRDMSIDWFEEETKSGDIIKSGDSSPTVLSTFSSEFHDFSDNNEVVGLATDFLDVNNQNKAVLLDSSSHRGIMDNHCKCGEEFSSDKEAPAYHLFENYDFVDKHETKEVVLGDTVVLTFVDDSANDDSEYREDISEQKDPSNLSGLLDNVADIFYQQQEIHKVVVSDDNKPPEVLFLSGKQTVSSSGEFSSPNENGTSGFPFDSVCEDKNGTVAYPSVASHYNIGIEDDKCEDHTDNNIEEASSIASTNCGSADKHQTIQVLPVCLANGDNIDDVASLGSSICEDVEDKDNKSNANCISEGAPHGNGMPLVRSPASWWNLCGVIDVCWQVMGGTLGQQKTLDAHPNPLLTMKKYLTIWIEIA >ORUFI05G10400.3 pep chromosome:OR_W1943:5:9730838:9733340:1 gene:ORUFI05G10400 transcript:ORUFI05G10400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDGCLNCKMHTDDEKLIKEVTVFEIKRRESGAAIVCSDGLSQKRQPRDMSIDWFEEETKSGDIIKSGDSSPTVLSTFSSEFHDFSDNNEVVGLATDFLDVNNQNKAVLLDSSSHRGIMDNHCKCGEEFSSDKEAPAYHLFENYDFVDKHETKEVVLGDTVVLTFVDDSANDDSEYREDISEQKDPSNLSGLLDNVADIFYQQQEIHKVVVSDDNKPPEVLFLSGKQTVSSSGEFSSPNENGTSGFPFDSVCEDKNGTVAYPSVASHYNIGIEDDKCEDHTDNNIEEASSIASTNCGSADKHQTIQVLPVCLANGDNIDDVASLGSSICEDVEDKDNKSNANCISEVSTKGSFA >ORUFI05G10400.4 pep chromosome:OR_W1943:5:9730913:9732437:1 gene:ORUFI05G10400 transcript:ORUFI05G10400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALALSCVRRQRGKKGGGGGGDCGGGSPPPPSQIRPDQFAASFFLAAVSLRAAVLAFLVLFLWFTLLYFIRSLWNKDINGETFERSSNDHGSDADKRLGEGVAEEGKAEKKTLKISSEDCARRFEIEEVLHVNSDDSQNLPSMVSSDGCLNCKMHTDDEKLIKEVTVFEIKRRESGAAIVCSDGLSQKRQPRDMSIDWFEEETKSGDIIKSGDSSPTVLSTFSSEFHDFSDNNEVVGLATDFLDVNNQNKAVLLDSSSHRGIMDNHCKCGEEFSSDKEAPAYHLFENYDFVDKHETKEVVLGDTVVLTFVDDSANDDSEYREDISEQKDPSNLSGLLDNVADIFYQQQEIHKVVVSDDNKPPEVLFLSGKQTVSSSGEFSSPNENGTSGFPFDSVCEDKNGTVAYPSVASHYNIGIEDDKCEDHTDNNIEEASSIASTNCGSADKHQTIQVLPVCLANGDNIDDVASLGSSICEDVEDKDNKSNANCISEVSTKGSFA >ORUFI05G10410.1 pep chromosome:OR_W1943:5:9735863:9736723:1 gene:ORUFI05G10410 transcript:ORUFI05G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRRDGSRTECEGGAERDGVHGLRRREQLQLWRRIHRRVAGDDVTAQAPMKTRRKAALPPSPWGDLAHHDAAVVPGKVLFSLLPWFSARSVLSQRGRDLFGQVVELDERESIELRKRAAP >ORUFI05G10420.1 pep chromosome:OR_W1943:5:9736049:9737035:-1 gene:ORUFI05G10420 transcript:ORUFI05G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGARRRNGGRRRRPQWGCPTVARRSSTTRACSDLAQASTGRRGRVQASRAAGRREQRGHRRGEVGEEEDGGATAAGGGHGGQAPSPQPSSSRREVVLTDAKVHLFNTYHLPRHHGSIMMGQITPRRWRQRRFPPLTFQWTVHPIELWSWFGVSGGEQLSLASATPRSSWSATKRFNYGIVIANSLLSYPRKSGDAVNEMTSLSNLTEELTLYVPTVSEPFPRGRFGWPFLQLKMFSLGSLVGRASPASFLGTPVAEGRSG >ORUFI05G10430.1 pep chromosome:OR_W1943:5:9745715:9747359:-1 gene:ORUFI05G10430 transcript:ORUFI05G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVISWLHELCSPTCCLGAPSSPPHPPADSDAKKDEGPPPADNEVAVITHRTVDANGLRMHVAEAGPPGAPPVLLLHGFPQVWYAWRHQMRALADAGYRAVAPDLRGYGDSDAPAAELQYTAMHVVGDLVALLDAVVGAGKPVFVVAHDWGALTAWNLCLFRPDRVRALVSLSVAFTPRSPARRPVDGLRALYGDDYYICRIQEPGAIEAEFARLGTELVLRKFLAYRTPGPLMMPKSGWGSPDDEVPLPSWITEEDIKYYASKFDKTSFTGGLNYYRALNKTWELTAPWTGAEIKVPVKFIVGDLDLTYHTPGIQDFIHKGGFKKYVPLLDDVVVMKGVGHFINEEKPKEVSEHVISFIRKLSAEM >ORUFI05G10440.1 pep chromosome:OR_W1943:5:9772192:9780873:1 gene:ORUFI05G10440 transcript:ORUFI05G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDFLPEGGKLPELKLDARQAQGFISFFKKLPQDSRAIRLFDRRDYYTAHGENATFIAKIYYHTMSALRQLGSNSDGLSSVSVSKTMFETIARNLLLERTDRTLELYEGSGSSWRLTKSGTPGNIGSFEDILFANNDMQDSPVTVALFPVFQEGQLYVGLSFVDLTNRKLGLSEFPEDSRFTNVESALVALGCKECLLPADCEKSIDLKPLQDAITNCNVLLTERKKVEFKSRDLVQDLGRIIRGPVEPVRDLVSQFDYALGALGALVSYAELLADDTNYGNYTIEKYSLDRYMRLDSAAVRALNIAEAKTDVNKNFSLFGLMNRTCTVGMGNRLLNRWLKQPLLDVNEINNRLDMVQAFIEDPELRQGLRYQLKRMSDIDRLTHALRKRTANLQPVVKLYQSCIRVSYIKNVLQQYDGSFSALIRTKFLNSLDEWLTEDRFGRFASLVETAIDLDQLENGEYRISPRYSSDLAVLKDELSEVENHINNLHKHTAADLDLTIDKQLKLEKGQLGHVFRISKKDEQKVRKKLTSNYIIIETRKDGVKFTSSKLKKLGDQYQALLGEYTSCQKKVVDDVVRVSASFSEVFENFAAILSELDVLQSFADLATSSPIPYVRPEITASEEGDIILEGSRHPCLEAQDGVNFIPNDCTLVRGKSWFQIITGPNMGGKSTFIRQVGVNVLMAQVGSFVPCDRASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGASDKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVTRAPTLFATHFHELTALGHKSGDEHQHVPNLGIANYHVGAHIDPSSRKLTMLYKVEPGACDQSFGIHVAEFANFPEAVVALAKSKAEELEDFSTAPNFSDDSKDEVGSKRKRVFSPDDVTRGAARARLLLEELASLPLDEMDGTKAAETVTKLKSDFEKDAADNPWLQQFL >ORUFI05G10450.1 pep chromosome:OR_W1943:5:9783335:9789431:1 gene:ORUFI05G10450 transcript:ORUFI05G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDLSPSSAPSSPSSSSEPLPHEFAEYAAVSPAIDGESDGCCVCDDPEVEAFLHGGRLQDRSLREAKELIRRYKPGDLVEGVCGTKSGDYVLPDITTFLLVGPRDAGKSALVNRITRVFDKDDDPDAPDRAQVSCNSKSTGTSFLREYRVPRNSNSICIYDTRSLSNNHENNFKMLQRWMTKGLSHGDIITWDNDNYSKIQNIKSMGRQYSFLRCKTRKVNFVIFVVNGASVLESIENNNKNYIDMLHKTFMYPFLSFGDDKPAVVVTHGDRLTAQQRMHVRNELVELLGIPLQQIFDISGCDDYETDLSVLDMLRYCIQHAEQNFPIKKNYLLEMHGRETLKQIAVGLMGFDAVIETAIIFLCIVILLLRVSDKLVQW >ORUFI05G10460.1 pep chromosome:OR_W1943:5:9818641:9820628:1 gene:ORUFI05G10460 transcript:ORUFI05G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQVQPIIVNAENGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHSAIRNDPRINWLCKPVHKHRELRGLTSAGKKYRGLRGKGHTHHKARPSRRATWKRNQTVSLRRYR >ORUFI05G10460.2 pep chromosome:OR_W1943:5:9818669:9820628:1 gene:ORUFI05G10460 transcript:ORUFI05G10460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQVQPIIVNAENGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHSAIRNDPRINWLCKPVHKHRELRGLTSAGKKYRGLRGKGHTHHKARPSRRATWKRNQTVSLRRYR >ORUFI05G10460.3 pep chromosome:OR_W1943:5:9818641:9820628:1 gene:ORUFI05G10460 transcript:ORUFI05G10460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHSAIRNDPRINWLCKPVHKHRELRGLTSAGKKYRGLRGKGHTHHKARPSRRATWKRNQTVSLRRYR >ORUFI05G10460.4 pep chromosome:OR_W1943:5:9818669:9820628:1 gene:ORUFI05G10460 transcript:ORUFI05G10460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHSAIRNDPRINWLCKPVHKHRELRGLTSAGKKYRGLRGKGHTHHKARPSRRATWKRNQTVSLRRYR >ORUFI05G10470.1 pep chromosome:OR_W1943:5:9820762:9824507:-1 gene:ORUFI05G10470 transcript:ORUFI05G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLLHLQMVPHNVKLNGFSSHLQQVNKPTIPLGAGAGAALVSAFATMNDMFHMDAKTTDRRSDPDDSPAVPVETN >ORUFI05G10470.2 pep chromosome:OR_W1943:5:9820762:9824507:-1 gene:ORUFI05G10470 transcript:ORUFI05G10470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLLHLQMVPHNVKLNGFSSHLQQVNKPTIPLGAGAGAALVSAFATMMDAKTTDRRSDPDDSPAVPVETN >ORUFI05G10480.1 pep chromosome:OR_W1943:5:9824633:9827014:-1 gene:ORUFI05G10480 transcript:ORUFI05G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGRGVFPNKPALPAGPKKRPPPHTVLLPATPPPPPSRSSLPLDSLLLHLTAAPPPPQPPATRRPHPTPTPPHSFLSPAAQSLVAAISSQPLPSLPAFLSSRRDELLRSDLPSLLKALELSGHWEWALALLRWASDEGAADAAALEMVVRALGREGQHDVVCDLLDEMPLPPGSRLDVRAYTTVLHALSRAGRYERALQLFAELRRQGVVPTIVTYNVVLDVYGRMGRSWPRIVALLEEMRAAGVEPDDFTASTVIAACGRDGLLDQAVAFFEDLKARGHVPCVVTYNALLQVFGKAGNYTEALRVLKEMEDSGCQPDAVTYNELAGTYARAGFFEEAAKCLDTMTSKGLLPNTFTYNTVMTAYANVGRVDEALALFDRMKKNGYVPNVNTYNLIFGMLGKKSRFTAMLEMLEEMSRSGCTPNRVTWNTMLAVCGKRGMEDYVTRVLNGMKSCGVELSRDTYNTLISAYGRCGSRTYAFKMYDEMISSGFTPCLTTYNALLNVLSRQGDWSTAQSIVSKMLKNGFKPNDQSYSLLLQCYAKGGNAAGIESIEKEVYVGTIFPSWVILRTLVIANFKCRRLEGVEKAFQEVKAQGYKPDLVIFNSMLAMYAKNGLYSKATEMFDSIKQSGLSPDLITYNSLMDMYAKSNESWEAEKILKQLKSSQVKPDVVSYNTVINGFCKQGLIKEAQRILSEMIADGMAPCVVTYHTLVGGYASLEMFNEAREVVNYMIHHNLKPMELTYRRVVDSYCKAKRYDEAREFLSEISDTDQNFDQKLQHMLEARIKDAQFGR >ORUFI05G10490.1 pep chromosome:OR_W1943:5:9827203:9835194:-1 gene:ORUFI05G10490 transcript:ORUFI05G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGCHFLRPLSFTCRRRRLHSSLPRADGDGTEAGAATDTTLLGRFTRLLLLHRFPAAERLLASSSPLTPALLHAALRRVRLDPDAALHLFRLAPSRPSLVSHAQLLHILARARRFHDARALLSSLPPHAEPLFPHLAEVYRDFTFSAVSFDLLLRAHADAGQLSSALNVFDGMGKVGCRPSLRSCNRLLNKLVQSGDPGMAAMVYGQMRIAGVLPDEFTVAIMAKAYCRDGRVAQAVEFVEEMEGMGLEVNLVAYHAVMDCYCGMGWTKDARRILESLQRKGLSPNVVTYTLLVKGYCKDGRMEEAERVVKEMKETGDIVVDEVAYGMMINGYCQRGRMDDATRVRNEMRDAGIHVNLFVYNTMINGLCKLGRMEEVQKVLQEMEDVGMRPDKYSYNTLIDGYCREGSMRKAFEMCRMMVRNGLAATTLTYNTLLKGFCSLHAIDDALRLWFLMLKRGVAPNEISCSTLLDGLFKAGKTEQALNLWKETLARGLAKNVITFNTVINGLCKIGRMAEAEELLDRMKELRCPPDSLTYRTLFDGYCKLGQLGTATHLMNKMEHLGFAPSVEMFNSFITGHFIAKQWHKVNDIHSEMSARGLSPNLVTYGALIAGWCKEGNLHEACNLYFEMVNNGMNPNVFICSALMSCFYKEGKVDEANLVLQKLVNIDMIPGCSISTIEIDKISHVVDTIADGNPHSANVMWNVIIFGLCKSGRIADAKSLFESLRNKRFLPDNFTYSSLIHGCAASGSIDEAFSLRDVMLSAGLTPNIITYNSLIYGLCKSGKLSRAVNLFNKLQSKGISPNGITYNTLIDEYCKEGKTTEAFKLKQKMVEEGIQPTVITYSILIHGLCTQGYMEEAIKLLDQMIENNVDPNYITYCTLIHGYIKSGNMEEISKLYDEMHIRGLLPTNWIGNWKRSDPINGTDAR >ORUFI05G10490.2 pep chromosome:OR_W1943:5:9827995:9835194:-1 gene:ORUFI05G10490 transcript:ORUFI05G10490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGCHFLRPLSFTCRRRRLHSSLPRADGDGTEAGAATDTTLLGRFTRLLLLHRFPAAERLLASSSPLTPALLHAALRRVRLDPDAALHLFRLAPSRPSLVSHAQLLHILARARRFHDARALLSSLPPHAEPLFPHLAEVYRDFTFSAVSFDLLLRAHADAGQLSSALNVFDGMGKVGCRPSLRSCNRLLNKLVQSGDPGMAAMVYGQMRIAGVLPDEFTVAIMAKAYCRDGRVAQAVEFVEEMEGMGLEVNLVAYHAVMDCYCGMGWTKDARRILESLQRKGLSPNVVTYTLLVKGYCKDGRMEEAERVVKEMKETGDIVVDEVAYGMMINGYCQRGRMDDATRVRNEMRDAGIHVNLFVYNTMINGLCKLGRMEEVQKVLQEMEDVGMRPDKYSYNTLIDGYCREGSMRKAFEMCRMMVRNGLAATTLTYNTLLKGFCSLHAIDDALRLWFLMLKRGVAPNEISCSTLLDGLFKAGKTEQALNLWKETLARGLAKNVITFNTVINGLCKIGRMAEAEELLDRMKELRCPPDSLTYRTLFDGYCKLGQLGTATHLMNKMEHLGFAPSVEMFNSFITGHFIAKQWHKVNDIHSEMSARGLSPNLVTYGALIAGWCKEGNLHEACNLYFEMVNNGMNPNVFICSALMSCFYKEGKVDEANLVLQKLVNIDMIPGCSISTIEIDKISHVVDTIADGNPHSANVMWNVIIFGLCKSGRIADAKSLFESLRNKRFLPDNFTYSSLIHGCAASGSIDEAFSLRDVMLSAGLTPNIITYNSLIYGLCKSGKLSRAVNLFNKLQSKGISPNGITYNTLIDEYCKEGKTTEAFKLKQKMVEEGIQPTVITYSILIHGLCTQGYMEEAIKLLDQMIENNVDPNYITYCTLIHGYIKSGNMEEISKLYDEMHIRGLLPTNWIGNWKRSDPVVVNNWNRKGGHMKTYKIALCAL >ORUFI05G10490.3 pep chromosome:OR_W1943:5:9827933:9835194:-1 gene:ORUFI05G10490 transcript:ORUFI05G10490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGCHFLRPLSFTCRRRRLHSSLPRADGDGTEAGAATDTTLLGRFTRLLLLHRFPAAERLLASSSPLTPALLHAALRRVRLDPDAALHLFRLAPSRPSLVSHAQLLHILARARRFHDARALLSSLPPHAEPLFPHLAEVYRDFTFSAVSFDLLLRAHADAGQLSSALNVFDGMGKVGCRPSLRSCNRLLNKLVQSGDPGMAAMVYGQMRIAGVLPDEFTVAIMAKAYCRDGRVAQAVEFVEEMEGMGLEVNLVAYHAVMDCYCGMGWTKDARRILESLQRKGLSPNVVTYTLLVKGYCKDGRMEEAERVVKEMKETGDIVVDEVAYGMMINGYCQRGRMDDATRVRNEMRDAGIHVNLFVYNTMINGLCKLGRMEEVQKVLQEMEDVGMRPDKYSYNTLIDGYCREGSMRKAFEMCRMMVRNGLAATTLTYNTLLKGFCSLHAIDDALRLWFLMLKRGVAPNEISCSTLLDGLFKAGKTEQALNLWKETLARGLAKNVITFNTVINGLCKIGRMAEAEELLDRMKELRCPPDSLTYRTLFDGYCKLGQLGTATHLMNKMEHLGFAPSVEMFNSFITGHFIAKQWHKVNDIHSEMSARGLSPNLVTYGALIAGWCKEGNLHEACNLYFEMVNNGMNPNVFICSALMSCFYKEGKVDEANLVLQKLVNIDMIPGCSISTIEIDKISHVVDTIADGNPHSANVMWNVIIFGLCKSGRIADAKSLFESLRNKRFLPDNFTYSSLIHGCAASGSIDEAFSLRDVMLSAGLTPNIITYNSLIYGLCKSGKLSRAVNLFNKLQSKGISPNGITYNTLIDEYCKEGKTTEAFKLKQKMVEEGIQPTVITYSILIHGLCTQGYMEEAIKLLDQMIENNVDPNYITYCTLIHGYIKSGNMEEISKLYDEMHIRGLLPTNWIGNWKRSDPVVVNNWNRKGGHMKTYSRRTLFIITSDLKT >ORUFI05G10500.1 pep chromosome:OR_W1943:5:9855819:9861419:1 gene:ORUFI05G10500 transcript:ORUFI05G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSGAILRHISSLKDMLDKVNEEIEENIQKTREIESEIVKHSETEKEYIMKESELMKGVSIAEFELNGIIQVAAAETDSLKVMEGNLEFQKATLNGIRKRFSNKMEKFINESKGFQANMLGDLNKDLVLLLKEKGSLGDESENLKMKINAIESSSRDYFADILEELNMENSVLESELQYKISEYMDVMKDISNLKALFSILPNHEITRGNEMKIVHIASR >ORUFI05G10500.2 pep chromosome:OR_W1943:5:9855819:9861446:1 gene:ORUFI05G10500 transcript:ORUFI05G10500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSGAILRHISSLKDMLDKVNEEIEENIQKTREIESEIVKHSETEKEYIMKESELMKGVSIAEFELNGIIQVAAAETDSLKVMEGNLEFQKATLNGIRKRFSNKMEKFINESKGFQANMLGDLNKDLVLLLKEKGSLGDESENLKMKINAIESSSRDYFADILEELNMENSVLESELQYKISEYMDVMKDISNLKALFSSINS >ORUFI05G10500.3 pep chromosome:OR_W1943:5:9855819:9861446:1 gene:ORUFI05G10500 transcript:ORUFI05G10500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSGAILRHISSLKDMLDKVNEEIEENIQKTREIESEIVKHSETEKEYIMKESELMKGVSIAEFELNGIIQVAAAETDSLKVMEGNLEFQKATLNGIRKRFSNKMEKFINESKGFQANMLGDLNKDLVLLLKEKGSLGDESENLKMKINAIESSSRDYFADILEELNMENSVLESELQYKISEYMDVMKDISNLKALFSSINS >ORUFI05G10500.4 pep chromosome:OR_W1943:5:9855819:9861278:1 gene:ORUFI05G10500 transcript:ORUFI05G10500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSGAILRHISSLKDMLDKVNEEIEENIQKTREIESEIVKHSETEKEYIMKESELMKGVSIAEFELNGIIQVAAAETDSLKVMEGNLEFQKATLNGIRKRFSNKMEKFINESKGFQANMLGDLNKDLVLLLKEKGSLGDESENLKMKINAIESSSRDYFADILEELNMENSECE >ORUFI05G10510.1 pep chromosome:OR_W1943:5:9862103:9868311:-1 gene:ORUFI05G10510 transcript:ORUFI05G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPETLPSPSASTDSSSPPPDAWAAEYRRLLPQWESMRDSSKIAIPISISRVNQFDAARLDVEMSAMLKEQLVKVFSLVKPGLLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAAPITGKEGLEGPGLSVSQKRPLARRAWGLVQHAEGLYRASSFFNLLLFLYGARYKTIVERILKARLVYESPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKKFLLPFSKDKSASSSGDEADCPICRSSPSIPFVALPCQHSVTKANTANWIRDNYT >ORUFI05G10520.1 pep chromosome:OR_W1943:5:9876353:9879216:1 gene:ORUFI05G10520 transcript:ORUFI05G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLVFLLATTLPVFLGDRWQVAREGSRVGGRGTDRARATRTPHTSTHGTVRPAMAPEAAAAALKPMKATSDGVFQGEDPLEAALPLAIVQICIVVVLTRVLAFFLRPLRQPRVIAEIIGGIMLGPSAIGRNSAFINTVFPKQSLTVLDTLANIGLLFFLFLVGLELDLRAIRRTGAGALAIAVAGISLPFVLGIGTSVVLQNTVNRGVPTGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGSGSPFVSLWVLLSGVGFVLSSFFFIRPLLSWMARRSPEGEPVKELYICTTLTIVLAAGFITDTIGIHALFGAFIVGIIVPKEGPFAGVLLEKVEDLISGLFLPLYFVSSGLKTNVLTIKGGDSWGLLVLVVATACIGKIGGTVLASLIVRVPLREAVTLGVLMNTKGLVELIVLNIGKDRHVLNDETFAILVLMALINTFITTPLVMAIYKPARRAPPYKNRAVQRPNPDDELRMMVCFHSTRNIPTMINLMESSRGTRRRGITVYAMHLVELSERSSAINMVHKARRNGMPFWNRRRNGDGDQLVVAFETYQQLSHVSIRAMTAISDLHTIHEDVVTSAHQKRAALIVLPFHKLHQMDGHMESLGDEYQHINQRVLHHAPCSVGILVDRGLGGAAQVAASDVSYNIVVLFFGGRDDREALSYATRMVEHPGIALHVIRFVPESGGGGANDKAAADDAFLAEFRGKVAGGNDSIRYEERTSRGKADVVEAIKAMGPTNLFLVGQGSPCAPLMEPSAECPELGPVGSYLAMPDFSTVASVLVMKQYDPTAEHYELVEEVADTAVDIDTPGPRRG >ORUFI05G10530.1 pep chromosome:OR_W1943:5:9918260:9919695:-1 gene:ORUFI05G10530 transcript:ORUFI05G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPAMLLVLVTLCAFACKRSVAQSAFATFYGGKDGSGTMGGACGYGNLYNAGYGLYNAALSSALFNDGAMCGACYTITCDTSQTKWCKPGGNSITITATNLCPPNWALPSNSGGWCNPPRQHFDMSQPAWENIAVYQAGIVPVNYKRVPCQRSGGIRFAISGHDYFELVTVTNVGGSGVVAQMSIKGSNTGWMAMSRNWGANWQSNAYLAGQSLSFIVQLDDGRKVTAWNVAPSNWFFGATYSTSWVQF >ORUFI05G10540.1 pep chromosome:OR_W1943:5:9944835:9945839:-1 gene:ORUFI05G10540 transcript:ORUFI05G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPVVQVLLLCALAYQAVDAQWTPATATFYGGSDGAGTMGGACGYGNLYNAGYGLNNAALSSALFNDGAMCGACYTIACDTSQSTWCKPGTSITITATNLCPPNYAKKSDAGGWCNPPRKHFDMSQPAWTSIAIYQAGIVPVNFKRVPCQKSGGIRFTISGRDYFELVTVFNVGGSGVVAQVSIKGSKTDWMAMSRNWGQNWQSNAYLNTQSLSFKVKLDDAREVTVWNIAPSNWNFGTTYTSNINF >ORUFI05G10550.1 pep chromosome:OR_W1943:5:9960145:9960999:1 gene:ORUFI05G10550 transcript:ORUFI05G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPFRPSPSAGSIPRGCGGDRCASGRDAWPLHHVRHDGVFCRLCSSCVLLYHPAAFCSACLLLFPPASASASASAATAVQEPRLDPILSPPGPTAACSSCGLFVAHHSCVPDSASFVCPSCAAAAEGKPFSYTPAGGGRRALDERAARVLLVAARLAHDSVARAAAAAREDAERCVREAAVARKRSREMLDAAFRALEAEAREAKKPAAAPPPKKKIPKSSEANRDKLLKFNAMQQPALAFAAAAAAAASSMPLSIPSSREDKKPVKQEVQGEPTLNSIKMGS >ORUFI05G10560.1 pep chromosome:OR_W1943:5:9962627:9965413:-1 gene:ORUFI05G10560 transcript:ORUFI05G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCFCHFPHAVSTSPPIGWKCPSPRLYSTNEMNQQLPANLVGVMEQRMKLIEQRSAYLQEQINQPDASPEEYSRANKELHKLESTMDMIEELRSKQEEIEGLKSLMTNSVEEKDFREMAAQELLQALEEEKQLQHKLFRSLLPKDEADERDCILEVRAGTGGEEASLFAMDIFKMYEKYSQKNGWKFDAIDIMESALKGYKEASGTISGPGAYGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAILPQADEVVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHVPTGTVVAIQDERSQHQNKAKALKVLRARLYEIERHRLHMDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIEDVMEGESLDIFIDALLLRYEMDAIASFAS >ORUFI05G10560.2 pep chromosome:OR_W1943:5:9962627:9965413:-1 gene:ORUFI05G10560 transcript:ORUFI05G10560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCFCHFPHAVSTSPPIGWKCPSPRLYSTNEMNQQLPANLVGVMEQRMKLIEQRSAYLQEQINQVIFGWATSVSSTVQFLLSCGHVELSNFRQPDASPEEYSRANKELHKLESTMDMIEELRSKQEEIEGLKSLMTNSVEEKDFREMAAQELLQALEEEKQLQHKLFRSLLPKDEADERDCILEVRAGTGGEEASLFAMDIFKMYEKYSQKNGWKFDAIDIMESALKGYKEASGTISGPGAYGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAILPQADEVVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHVPTGTVVAIQDERSQHQNKAKALKVLRARLYEIERHRLHMDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIEDVMEGESLDIFIDALLLRYEMDAIASFAS >ORUFI05G10570.1 pep chromosome:OR_W1943:5:9978447:9978716:1 gene:ORUFI05G10570 transcript:ORUFI05G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTLREFTAPSTDNVPIGPQVNVGDGDFDLKTSLITMAQASPFCASLTTKQVLICNNSLKSVALSQGYLLWRQMPRFLSDVKPEDDL >ORUFI05G10580.1 pep chromosome:OR_W1943:5:9980091:9980396:1 gene:ORUFI05G10580 transcript:ORUFI05G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAISSPDQRLSSPCQSPRCRQQRTLRRVLVAASMEWPQRWAWIKDSVAIELPVERIKAGLIGERKSRLGTASEQADVGFPNPSVGFPSPSHDNLEASHGA >ORUFI05G10590.1 pep chromosome:OR_W1943:5:9981055:9982507:1 gene:ORUFI05G10590 transcript:ORUFI05G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSLPCAVVAVLLLALLPTPSTAGDPDLLQDICVADLTSAVKVNGFACKAAVTEDDFYFKGLAAAGNTNNTYGSVVTGANVEKLPGLNTLGVSMSRIDYAPGGLNPPHTHPRATEMVFVLQGTLDVGFITTANKLYTKTISAGDVFVFPRGLLHFQKNNGDTPAAVISAFNSQLPGTQSLAMTLFAASPEVPDGVLTKAFQVGTKEVEKIKSRLAPKKR >ORUFI05G10600.1 pep chromosome:OR_W1943:5:9986609:9986990:-1 gene:ORUFI05G10600 transcript:ORUFI05G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATIGQATEAKTKMPPTLSFLGSGWDESATNEGIGSGGRRWMLPPLHALGNASDRGLESGISRLLEMLA >ORUFI05G10610.1 pep chromosome:OR_W1943:5:10038302:10043894:-1 gene:ORUFI05G10610 transcript:ORUFI05G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTVMRKSRNFVGPSPPAPPAEITTTLELSSIDRVPGLRHNVRSLHVFRRHKNSGPVVDGDSRRPAAVIRAALARALADYPAFAGRFVGSLLAGDACVACTGEGAWFVEAAADCSLDDVNGLEYPLMISEEELLPAPEDGVDPTSIPVMMQVTEFTCGGFILGLVAVHTLADGLGAAQFITAVAELARGMDKLRVAPVWDRSLIPNPPKLPPGPPPSFQSFGFQHFSTDVTSDRIAHLKAEYFQTFGQYCSTFDVATAKVWQARTRAVGYKPEIQVHVCFFANTRHLLTQVLPKDGGYYGNCFYPVTVTAIAEDVATKELLDVIKIIRDGKARLPMEFAKWASGDVKVDPYALTFEHNVLFVSDWTRLGFFEVDYGWGTPNHIIPFTYADYMAVAVLGAPPMPKKGTRIMTQCVENKCIKEFQDEMKAFI >ORUFI05G10620.1 pep chromosome:OR_W1943:5:10050779:10050997:1 gene:ORUFI05G10620 transcript:ORUFI05G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSVVCSGAWGGSASNTGGSSGDDGVGLGRGRSTDENLDNSGSGGGDLRNSGSSMREKMMAELALGRPWR >ORUFI05G10630.1 pep chromosome:OR_W1943:5:10055680:10059566:-1 gene:ORUFI05G10630 transcript:ORUFI05G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIISKIYDGVQARAAHREESEGKFKGILGKLHRGGPYSQRLPGLFAQESNFAPSRPSPAH >ORUFI05G10640.1 pep chromosome:OR_W1943:5:10066673:10075041:-1 gene:ORUFI05G10640 transcript:ORUFI05G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAPLRFVSPPPRGAAAVSAAAIGGHSISLGDGCHCAVPPPGEKDADKARAETTEGASYKWRMVIAYDGTKFKGWQYQPSPPTIQCSIENALTRITKLDRKELCLVGAGRTDTGVAHFTTPFSYHCLDSMHSAINGLLPHEIRVREISAAKPEFHARTSTRSKIYHYKIYNGPVMDPFHNHYAYHSAYKLNSQAMREAAKHFVGTHDFTSFANAVHNDRVRSPIKKIMRFDVIEMGAILQLEIEGTGFLYRQVRNMVALLLQVGREALPPDIVAKIIAARDRKELAKVALSAPPHGLYLMSVNYDKEMLQPPEGAPHISFGRTHQISKCKLLFY >ORUFI05G10640.2 pep chromosome:OR_W1943:5:10066673:10075041:-1 gene:ORUFI05G10640 transcript:ORUFI05G10640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAPLRFVSPPPRGAAAVSAAAIGGHSISLGDGCHCAVPPPGEKDADKARAETTEGASYKWRMVIAYDGTKFKGWQYQPSPPTIQCSIENALTRITKLDRKELCLVGAGRTDTGVHAWGQVAHFTTPFSYHCLDSMHSAINGLLPHEIRVREISAAKPEFHARTSTRSKIYHYKIYNGPVMDPFHNHYAYHSAYKLNSQAMREAAKHFVGTHDFTSFANAVHNDRVRSPIKKIMRFDVIEMGAILQLEIEGTGFLYRQVRNMVALLLQVGREALPPDIVAKIIAARDRKELAKVALSAPPHGLYLMSVNYDKEMLQPPEGAPHISFGRTHQISKCKLLFY >ORUFI05G10650.1 pep chromosome:OR_W1943:5:10080180:10086074:1 gene:ORUFI05G10650 transcript:ORUFI05G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCADALEWVAYGACGHREVCSTCVVRLRFVMGDKHCCICKTECSSVFVTKAMGDYTKVINDFNIFPPVATEGKVGEYWYHEDSQAFFDDAEHYKMIRAMCRLSCSVCDKAEDQAGQAAQVRRKSKFRSIEQLKGHLFHQHRLYMCSLCLEGRKVFICEQKLYTRTQLNQHVKTGDSEVDGSEVERSGFAGHPMCEFCRSSFYGDNELYTHMSREHYSCHICQRQHPGQYDYFRNYDDLELHFRKDHFLCEDEACLAKKFRHNAMEHGGRMSRAQRNAALQIPTSFIYRRNEQDERRGRGRGRNSHRDGSDRDTPSMQNGSAIIGNGFPSRVDNVTGSVSVSSSSGRGESGQSSGNGRVFEHLSFPPLQDQDIPDARMDSAPDETSFPSLSEQQTRYAHALSQSSLAAKLGDELLFPPLPGSSSNRGSTSTQQGLQSLAKNTLASRLQQRSKGSVKVLHSARPRPSENPESVPPVSSSPQMWPTPDQGLLHSSSSQLRIVRENGIMSSADSAWNPGGGASNRMKHSVSTPNLMSGGSSVQALSTSNGGNKKQQPPQSSQTLPAADDVRAANKTLVERMRSALGMDEDRYSAFKEIAGEYRQGIIGTSEYLSYVEQFGLSHLVPEMARLLPDPQKQKELADAYHTNIRFRSLQENSDGLTITSKEGGRKKKGKGKSHDVTETSAAPAKDMKDSLADSFLDTVRKLQLNKTQEGEAEVLSRDGYRSSKGKAQLITGGSSSSTPCLDGDHGAISMASCAKDDVGKGGGSSNSNINKQSKKTSKFLRARLGDNSLATLDLSRPTMSPERPERESQGPQVGLPMRGVWKNGGGQKLFTGNGRK >ORUFI05G10650.2 pep chromosome:OR_W1943:5:10080180:10086074:1 gene:ORUFI05G10650 transcript:ORUFI05G10650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCADALEWVAYGACGHREVCSTCVVRLRFVMGDKHCCICKTECSSVFVTKAMGDYTKVINDFNIFPPVATEGKVGEYWYHEDSQAFFDDAEHYKMIRAMCRLSCSVCDKAEDQAGQAAQVRRKSKFRSIEQLKGHLFHQHRLYMCSLCLEGRKVFICEQKLYTRTQLNQHVKTGDSEVDGSEVERSGFAGHPMCEFCRSSFYGDNELYTHMSREHYSCHICQRQHPGQYDYFRNYDDLERHNAMEHGGRMSRAQRNAALQIPTSFIYRRNEQDERRGRGRGRNSHRDGSDRDTPSMQNGSAIIGNGFPSRVDNVTGSVSVSSSSGRGESGQSSGNGRVFEHLSFPPLQDQDIPDARMDSAPDETSFPSLSEQQTRYAHALSQSSLAAKLGDELLFPPLPGSSSNRGSTSTQQGLQSLAKNTLASRLQQRSKGSVKVLHSARPRPSENPESVPPVSSSPQMWPTPDQGLLHSSSSQLRIVRENGIMSSADSAWNPGGGASNRMKHSVSTPNLMSGGSSVQALSTSNGGNKKQQPPQSSQTLPAADDVRAANKTLVERMRSALGMDEDRYSAFKEIAGEYRQGIIGTSEYLSYVEQFGLSHLVPEMARLLPDPQKQKELADAYHTNIRFRSLQENSDGLTITSKEGGRKKKGKGKSHDVTETSAAPAKDMKDSLADSFLDTVRKLQLNKTQEGEAEVLSRDGYRSSKGKAQLITGGSSSSTPCLDGDHGAISMASCAKDDVGKGGGSSNSNINKQSKKTSKFLRARLGDNSLATLDLSRPTMSPERPERESQGPQVGLPMRGVWKNGGGQKLFTGNGRK >ORUFI05G10660.1 pep chromosome:OR_W1943:5:10091084:10101078:1 gene:ORUFI05G10660 transcript:ORUFI05G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSITVMTLNLHEGEQPSESPNSWERRRDICVSVITSYSPTILCTQQGLRWQLDYLQQCLPGYEQFGISRKGSEDNTDEYCTIFYEKEKVELTEGEPPGFSFQIVNTNLDEDSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGFKGEKQGALEFLKLIFRALCLCWDRQTQDLHIDWILFRGRPLVPALCEVINDNIDGVYPSSHFPIFAEFLLPRSVRLVETP >ORUFI05G10660.2 pep chromosome:OR_W1943:5:10095281:10101078:1 gene:ORUFI05G10660 transcript:ORUFI05G10660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGYEQFGISRKGSEDNTDEYCTIFYEKEKVELTEGGTFWLSESPSVPGSVSWGATAPCIATWAISFEPPGFSFQIVNTNLDEDSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGFKGEKQGALEFLKLIFRALCLCWDRQTQDLHIDWILFRGRPLVPALCEVINDNIDGVYPSSHFPIFAEFLLPRSVRLVETP >ORUFI05G10660.3 pep chromosome:OR_W1943:5:10091084:10094362:1 gene:ORUFI05G10660 transcript:ORUFI05G10660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSITVMTLNLHEGEQPSESPNSWERRRDICVSVITSYSPTILCTQQGLRWQLDYLQQCLPAKMPVRCNR >ORUFI05G10670.1 pep chromosome:OR_W1943:5:10102986:10104365:1 gene:ORUFI05G10670 transcript:ORUFI05G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTLTSKEVFTWVNSNNQRLLHIGDIDRTSKSYVCTSCSMWLAAEVGGVRCLIHLCGRLGVNEKLYEMWEAGSK >ORUFI05G10680.1 pep chromosome:OR_W1943:5:10117954:10121694:1 gene:ORUFI05G10680 transcript:ORUFI05G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPSRLGLPRLLMVVALVLLPLCGFGVHGRNHIHKKPHGGGGGGGRQHRGGGTVVSSPAVPPADEQTQPPGIVPSDPVIPAQPEQCVFDVRAFGAVGDGTTDDTEAFRAAWRAACAVESAVISVPSDGTFTITTTTFTGPCKPGLVFQVDGVLMPPDGPDCWPPSDNRRQWLVFSNLDGLTLRGAGTIEGNGEGWWNLPCKPHRLARLNTGSEFALVTLNSVQGPNGSTLRGPCDSPTLVRFFMSRNLVVEGLRVENSPEFHFRFDGCSDVRVDGLSIRSPANSPNTDGIHVENTQRVAIYNSMISNGDDCISIGTGSYDVDIQNVSCGPGHGISIGSLGVHNSQACVANVTVRNAVIRNSDNGLRIKTWQGGMGSVSGINFDTVSMENVRNCIIIDQYYCLDKRCMNQSTAVHVTDVSYANVRGSYDVRAAPIHFACSDTVPCTNITMSEVELLPFSGELVDDPFCWSAYGLQQTPTIPPIYCLQDGLPDSLLDNPDLRCR >ORUFI05G10690.1 pep chromosome:OR_W1943:5:10129534:10130325:1 gene:ORUFI05G10690 transcript:ORUFI05G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKTAASKYLCFASFTICIPSAKQPSGGDAKNRLSFSFPESINGGKDRRCQQHTEEEHKSESIIDPAASVVTRTDGKHCTIIVGTIFGRRSGHVTFCVQRDAAMPPPFLFELSVPMLSLAAEMGSGLLRIALECHHSSGKVVVGAADGDTINNAGTGGGGSRSVWKASCNGRDVGYAVRRRPTDQDCRVLESMRMTTTGVGVLPSTGFSEDGGGGDVLYMRATYERVVGSKDAVSYHLITPGTASGSPQQELSVFLLRTRGD >ORUFI05G10700.1 pep chromosome:OR_W1943:5:10140619:10142306:-1 gene:ORUFI05G10700 transcript:ORUFI05G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVDHEYSYLFKMVLIGDSGVGKSNILSRFTRNHFSLDSKSTIGVEFATKSLQMEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQSFDNVHRWLRELRDHADSSIVIMMVGNKSDLIHLRAVSEDEGKALAEKEGLFFLETSAMEAVNVEEAFQTIITEVYGIVNRKALAAKEAAAASAPLPSQGKTISIDSAAGNTKRACCSA >ORUFI05G10710.1 pep chromosome:OR_W1943:5:10177518:10180338:-1 gene:ORUFI05G10710 transcript:ORUFI05G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPCEFPTAVLSFRRFLQRRFLGGRHHHRPTSTTATGAAIPPADKLHNQTVMIDLESWLLRSPMSTFPYFMIVAIEAGSFLRGLILLLIYPLLWLLLSHDMLLKAMVMVSFFGLPEKEVVRIGKAVLPKFFLEGMAMEGLEVVRNAKKVVVFSPLFPRVMVEGFLKEYIGVNAVIGREVIAVAGRYVGLLVDHIDMDDGGFVDEVMEETKRGKGDGAVGLAGVGSKMHHLFSRYCKCICSTRNSAILMQETYVVCDADKKEWQPVPREKYPKPLIFHDGRLAFKLTPCAAVAMYTYLPWGIFLAVFRSLAFGLLPYRVSVPLAAFTGMRSRLIAGPSPDATRRNSGTAGGRLYVCNHRTLLDPITVAAVLNKPITAVTYSVSPVSELIAPIRTARLTRDRDEDRRRMEALLARGDLVVCPEGTTCREPYLLRFSPLFAELTGEVTPVALETRIDMFYGTSTKPAAKWLDPFYFMLNSRPEYHVEFLQPVSTAPVDGEAGGHGHSINAANRVQRVLGEALAFELTEQTRKDKYEMLAGNKGNVKGEAKM >ORUFI05G10720.1 pep chromosome:OR_W1943:5:10196838:10199447:-1 gene:ORUFI05G10720 transcript:ORUFI05G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHVLIAALIMVGVLEFANADKYKPTESILVNCGSDKEGQDIDGRKWLSDKDSKWLIDGEKSSIMANADFQDPSLPSPVPYMTARVFTKETMYNFSVGEERHWVRLHFYPASYHDLPAENFFFSVSTSTGITLLKNFSVYITAKALSQAYIIREFTLPPSTTGSLSLIFTPTAMNNASYAFVNGIEIISMPNIFSQAAASVDIAGNEVSTTDSSLQTIYRLNVGGSYVAPTNDSGLSRDWYDDTPYIYGAAVGVTYQANDTVQIKYPKNDPDAEYAAPASVYLTSRSMGPDPKVNKNYKLTWVFEVDGNFTYIVRLHFCELLLSKPNQRVFDILINNKTAQSGADVIGWGGQFVPVYKDYATIMPGGAGDKVLWVQLMPNVGSGSEFFDSLLNGLEIFKMSDSSGNLAGPNPDPSKLLEEAESSAQGKFKSKPSNLKATVIGGAAGGAAAFGIVAAICIVVYQSKKRKVLNNSASHSSGWLPVYGGNSHTSTSKSSGGRSAALINPNITAMCRHFSFGEIKSATKNFDESLVIGVGGFGKVYRGVVDGDTKVAIKRSNPSSEQGVLEFQTEIEMLSKLRHKHLVSLIGCCEDEGEMILVYDYMAHGTLREHLYKGGKPALSWKQRLEITIGAARGLHYLHTGAKYTIIHRDVKTTNILVDEKWVAKVSDFGLSKTGPTAMNQTHVSTMVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLPREQVSLADHAMSCQRKGTLHDIIDPLLNGKIAPDCLKKFAETAEKCLADHGVDRPSMGDVLWNLEFALQMQETFENGGKTEGADSTSDSTTTSVADSMAANAAALSLISEDMDEEDIANSVVFSQLVRPTGR >ORUFI05G10730.1 pep chromosome:OR_W1943:5:10233733:10234836:1 gene:ORUFI05G10730 transcript:ORUFI05G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKALRLRSLASSKGGSPRSGGCGGAKSAPPRWPATVGELTRVQMRISEPAATSSRRPRARHIAQGRAARAAPADAVPDVVELSVAKNKFKAFDLGGHQIARLCSNSTALSFFPPLLLQLLCYLLMDL >ORUFI05G10740.1 pep chromosome:OR_W1943:5:10240596:10241223:-1 gene:ORUFI05G10740 transcript:ORUFI05G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSSPSIPPL >ORUFI05G10740.2 pep chromosome:OR_W1943:5:10240357:10240595:-1 gene:ORUFI05G10740 transcript:ORUFI05G10740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWNLSYGVARLGSGFRRLREAAMVRRCVVVATGQMVWDAVAPWTPHCLFASSKIWLHQILLYALRRYLLAA >ORUFI05G10760.1 pep chromosome:OR_W1943:5:10259102:10268193:-1 gene:ORUFI05G10760 transcript:ORUFI05G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDGFEQPDLIRWLMDRTDPKTMTIQISENKKIAITPWKISIVLGTPFGGEPLQYPDKKCMAAAFAQLANELGVPPSSNISVPMLKSKLEHRKDDPTAVRFFIMILTNKLLLPSTSFYITKKDAWLGMDLSRVARIDWSKAVFDLLRDSLLLYIDNLDVIKLGLTIDRLHTPRIQLYTKDLVEAISQGDRVKDEEDKIVFGQLNDRCINIMSSILFKFNGILASCYSHPDYDKDKEPRGDNAGTPFADELVTAVHINFPSMFDTIGPHIYGLQAEQNKRVLDALGEYDRQAKICADNIAKNIRRVQTCHARVSDHIVSIIRGAMQTQETAQRAGTYTEKQPTFQGEPAAMPSNQEDVPKLDDTAPQSRTPPSTAVMECLTLLVPSSYQLSVLLRSACYPKNTRKIQPQSYVLQKLVYKRTPHLKNVQKGNEVANNVCTKKPTPFRRPQRRTKMPARFLTTPSAGRGTLPDDNSALNLLEFVLSHPDSFGSAILIQADLCFATCTDIVKSFSARQMAQGMFIDAFADFLSREDMENRPLSANNRIFIPTTISALINIENVTRNDTKDNYKPDALVEQLHFALGNIEMFLPVIHDDHWSLYIINHNQKSFDILDSKRYDMIGGTETQHHFPMAQKILKRLSDGFQVFMGGKFPKFGNYRKCYIKCPKMATGSNDCAFYVMRFMEKYNGDADKLLQSFPKVPSDKLRAQILHHLIFNRFNSVQELHQDIETFRVPDNAQ >ORUFI05G10770.1 pep chromosome:OR_W1943:5:10299416:10299784:-1 gene:ORUFI05G10770 transcript:ORUFI05G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARDGLAGGERRWGWLERRQGWLEEVNVHLLEAVARPGVSKGSERHGRRWRRFWTSAAGVLRSTAIRFLSRGGSRDYENPYPVRGWSGDGPRQRGDELPRRSAATMVAADLRSRGPKIDC >ORUFI05G10780.1 pep chromosome:OR_W1943:5:10331600:10333639:-1 gene:ORUFI05G10780 transcript:ORUFI05G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRGCLLLSSLLWMLFTPCYATADTRSKGRPWVPNPAATASLLADLVNRSSGQVLAVSMPDGVPQRYYAYWFIIGCILRNLEGNLPKANKISHETLMGCAKYTTMQPQAILIVKLASLCRSTTFLHTKTFTLDFGILWLKAFRLLAEWSSLVTLHLPLLYILAFSSSIPGSIVKVSTGPWLTLAHRIAKY >ORUFI05G10790.1 pep chromosome:OR_W1943:5:10352025:10352570:1 gene:ORUFI05G10790 transcript:ORUFI05G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAHGHLVLLVAAVALLSAGFLPHAVAKKGGAVPPAVADICSRTPFPDTCKSTAGRHASKYPVIDVVAVLNMQVDAFSKRTAHARERVTKVSRGAQPQQTQALSFCDTMYMNTQDTIGAAERAITFRDKATAKIMLQLAVQDFESCDRPFKQSGINNPLEKIDVELNQMAQNCMTLASMI >ORUFI05G10810.1 pep chromosome:OR_W1943:5:10421732:10422410:-1 gene:ORUFI05G10810 transcript:ORUFI05G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTWRRRARLLLSQHRRLPSVFTPQQGTKAESSISSSSPSSPSSATGKDALPFVVEVLPFDLTLSHAADHWERHHAHGSSKARPERRHHDLVAVPAAVARIRPSRRANIFPSRSSPNALKNPQDLSTEERDRAILLQVQPLSSIIDSSFSVSQADTVHARRSVVAEPFRTPSSTTKLR >ORUFI05G10820.1 pep chromosome:OR_W1943:5:10421924:10430441:1 gene:ORUFI05G10820 transcript:ORUFI05G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGWTWRRIARSLSSGIWRGPRGEDVGATARPDSRHSSRDSDEVVVTALRARFGAPVGMMAFPMIRCMREGEERGGYDGNRTSTTNGNASFPVADDGEEGEEDEMELSALVPCCGVKTDGRRRCWERSRRARRRHFNAHYGEVVVLQFVHDTNPSLER >ORUFI05G10830.1 pep chromosome:OR_W1943:5:10501038:10501241:1 gene:ORUFI05G10830 transcript:ORUFI05G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGPACQWLGCSLSLSRSFPIVFYLQTVREQQGRRSARGKAGGGRPDGDQGRERQGSGVHLPAVTA >ORUFI05G10840.1 pep chromosome:OR_W1943:5:10501277:10501592:1 gene:ORUFI05G10840 transcript:ORUFI05G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGWLGRAPGWLVHGKLAGGIMVAGPARLRALVAEIEGGSSFYGTRRDLRSVDLPIDGGTTKIGCSGGAEKK >ORUFI05G10850.1 pep chromosome:OR_W1943:5:10506401:10507662:1 gene:ORUFI05G10850 transcript:ORUFI05G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLGPVFFLRSQQTEGSTRAAPDGLAGGEQPRGWLGQRQGWLEEVHVHLLVAVAWPGVARGGWPWQAAAVVRYDGGRRLWRTATRLKDREAPRDYVVAYPAFFLGGGGPRWRGDELPRRSAAAMVAAALRSRGPKRDCGNGFREVL >ORUFI05G10860.1 pep chromosome:OR_W1943:5:10507712:10508375:1 gene:ORUFI05G10860 transcript:ORUFI05G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWVRRRRSVWSARGATVARPFPANQRGREEREADAEIVVTRSASLVRAGSGRRGTRRAASVGFAQGLQRGCRGSQREPGAVGLALSLRASALAEGVGFADGRRMSAALQTEEATIEFVASRRSGQRDGVGWAQRLRGQRWTRQGIGQRRGRGVVSFAQPCSEIRGQRRNGRLGGDDSRLASYVFDGMPTRKERG >ORUFI05G10870.1 pep chromosome:OR_W1943:5:10508392:10509185:1 gene:ORUFI05G10870 transcript:ORUFI05G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWATGRWGPRCLGPLIREVQEFLQFGFITNTVANRPSLLEPAFPTYDSNDDQSDSRPRKSYGRQLQSILVPSYPSKALRRGSIFYNNDAADALFAYDAYTEFDLPMDLDRAS >ORUFI05G10890.1 pep chromosome:OR_W1943:5:10515256:10515893:1 gene:ORUFI05G10890 transcript:ORUFI05G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLVSGAAQPAGYSPRRSLSSETPIAGDTSTCCRDVLASPAATSMSLPGDTLDRTSSPCRSRPLLHNIVDFRSPSSKLLSSTHIVLVLEENASRNATTRCPQGIIRSGCVPNHLAGVAVDTAEQPKSIDGKALPANACPRHHPLPAGECHCLVAKVLVADIRRSLTYHPQVAMGIVGPLLPAPVMMRPCWAEMSH >ORUFI05G10900.1 pep chromosome:OR_W1943:5:10545637:10545894:-1 gene:ORUFI05G10900 transcript:ORUFI05G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVAGEPRGTLMQTKGTRRKRRQRRCLPTLTQRRTATEDGRRRDRDGGEILSMVATVFLATFGDNGGVDGLRLGAAMPTTASG >ORUFI05G10910.1 pep chromosome:OR_W1943:5:10558233:10563809:1 gene:ORUFI05G10910 transcript:ORUFI05G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRRCEAHSE >ORUFI05G10920.1 pep chromosome:OR_W1943:5:10573546:10574502:1 gene:ORUFI05G10920 transcript:ORUFI05G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPARYWILARRKLGDQKAPLFPTPHITIGAGGSSTSYYESWEERAFAEDSAGHLGGCIWPPRSYSCSFCGREFRSAQALGGHMNVHRRDRARLKLSGVVEDGTGGESHGMPPNQNYMIQPCPPQIGTLQHAYSPNPRSGSILAADTNPNSICDVVAYPARSLLQVAAARTALGKQVLNAPLVSSKSPSAGREHGKRETLFLGAVRLAQDHDTVSSNLDLRVGKNELKITTVLGCRSRRDFMYDNDRADDEKTVEASHKKRRIDLEVNPLILTSSTSNHQQQDGGDDQHHEKILKLYRSSSVEELDLELRLGEAPKEQ >ORUFI05G10930.1 pep chromosome:OR_W1943:5:10588384:10589599:1 gene:ORUFI05G10930 transcript:ORUFI05G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDIVHVKKSPRFSNTSDQSRGQEKGLATGARVGVQKASVQKNVIEPRGHARVQQLENLHHVSMKREASSAELVTSQNLLKSSGGNGNQPRHSQSLSNWQKKQLEKLSVENVKKRGMAWIPKGSVQVHNETDVKVEVGCNSPRFITRYLEVLLLSFTFHIYYIAI >ORUFI05G10950.1 pep chromosome:OR_W1943:5:10672725:10673897:1 gene:ORUFI05G10950 transcript:ORUFI05G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEPSCSLLPLLHHFSQLASLCSVRWRDDSRGFLWYPAPRPPRRGPLAIVVYAHDETAMSLEQEDWEINGIDRSGLDAQVAEAYSANLVQIASPRTPHPVLVFTPVTVDKVRAYVVCCRDHGPTVRARSGGHDYEGLSYRSLRPSSDSEGSSTFRRGAGGRDEGSGAHQGGATRAVCRVVAAGLPPTPPVSSPPGGCAAMSQ >ORUFI05G10950.2 pep chromosome:OR_W1943:5:10672725:10673897:1 gene:ORUFI05G10950 transcript:ORUFI05G10950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEPSCSLLPLLHHFSQLASLCSVRWRDDSRGFLWYPAPRPPRRGPLAIVVYAHDETAMSLEQEDWEINGIDRSGLDAQVAEVFTPVTVDKVRAYVVCCRDHGPTVRARSGGHDYEGLSYRSLRPSSDSEGSSTFRRGAGGRDEGSGAHQGGATRAVCRVVAAGLPPTPPVSSPPGGCAAMSQ >ORUFI05G10960.1 pep chromosome:OR_W1943:5:10677390:10682207:1 gene:ORUFI05G10960 transcript:ORUFI05G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALYLARGASKVVRRITSETSVELKILTEKWQLLLAGLVFQYIHGLAARGVHYLHRPGPTLQDLGFMILPELGKERGYISETLFTFIFLSFVLWTFHPFILQTKRFYTVLIWRRVLAFLCASQFLRIVTFYSTQLPGPNYHCREGSALARLPHPQNVAEVLLINFPRGVIYGCAGSTSVLPVSIKEKDSKLKEDKTRMLNGNSVDSADWRPWTQMNGKHIENGNHLDTETTKT >ORUFI05G10970.1 pep chromosome:OR_W1943:5:10741968:10742246:-1 gene:ORUFI05G10970 transcript:ORUFI05G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVNEYGLQEENAYLQKAQMLIDGETKPNDPQEIDRDNCKDVKMETPKLLISHPSNHSS >ORUFI05G10980.1 pep chromosome:OR_W1943:5:10747623:10747965:1 gene:ORUFI05G10980 transcript:ORUFI05G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASPFDPEVSLVLNIPQEQKQKQRVRGGTTSPASSRVEQKQLAFCGTVSSASIDGAKPVGGRRRNIVGSFCCGRWFGEGQQKVLDAAARGSNLNIVG >ORUFI05G10990.1 pep chromosome:OR_W1943:5:10765019:10769451:-1 gene:ORUFI05G10990 transcript:ORUFI05G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALITPASGGGGGGGDKAPPSASDPSLGFLTKRDTEVKLPRATRVKNKTPASVQITAEQILREARERQEPEIRPPKQKITDSIELSEYRLRRRKEFEDVIRRVRWNVNAWVKYAKWEEQQRDFARARSVYERALDVAHRDHTLWLKYAEFEMRNRFVNHARNVWDRAVSLLPRVDQLWYKYIHMEELLGAVANARQVFERWMAWRPDTAGWNSYIKFELRYGEVERARAIYERFVAEHPRPDTFIRYAKFEMKRGEVERARQVYERAADLLADDEDAQVLFVAFAEFEERCREVERARAIYKYALDRVPKGQAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDVVRKNPLNYDSWFDYIRLEESVGNNDRIREVYERAIANIPPADEKRYWQRYIYLWINYALYEELDAKDVERTREVYSECLKLVPHKKFTFAKMWLMAAQFEIRQRNLKAARQILGNAIGMSPKGKIFKKYIEIELYLGNFDRCRTLYEKYIEWSPANCYAWRKYAELEKNLSETDRARSIYELAIAQPALDTPEVLWKEYLQFEIDENEFDRTRELYERLLDRTKHLKVWISYTEFEASAGLAGEDGESEEIKKEVSYHEQQIERVRRCRAIFERAFEYFRTSAPELKEERAMLLEEWLNKEVSFGDLGDVTLVQKKAPRKVKRKRPIPTEDGSTVAYEEYIDYIFPDEVALAPNLKILEAAYKWKKQKTDDTDDV >ORUFI05G11000.1 pep chromosome:OR_W1943:5:10770660:10771213:-1 gene:ORUFI05G11000 transcript:ORUFI05G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGNRRLATRIKSSVQQAASSVFAALDKDNDGKVSAFELRGCMVVELGEDVFEEAAAILGKAKG >ORUFI05G11010.1 pep chromosome:OR_W1943:5:10786266:10787766:1 gene:ORUFI05G11010 transcript:ORUFI05G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPTLAFSVRRRERELVAPAKPTPYEFKMLSDIDDQDILRFNRSGILFYRHSPSKDGLDPVKVIKAAISETLVHFYPVAGRFRELRPTRKLVVECTGEGVVFVEADANFRMDELGTSLAPPVPCYDMLLCEPESPTADVVDRPLLFIQVTRLACGGFVFGMHICHCMADGSGIVQFLTALTEFARGVHGAPTVRPVWEREVLTARWPPTVTRDHVEYTPLPNPGKDVLSPTDAYAHHVFFFGASEIAALRSQAPPDLRAVSSRFDLVGAFMWRCRTAALRYDPGDVVRLHMFVNARVRNRSKRPVPRGYYGNAIVFAAASVPAGELWRRPFGYALRLLMQAKARASEEGYVQSVANFNAAHRRPPFPKARTYLISDMTQAGLMAIDFGWGKPVYGGPATTMLATFHLEGRNEVGEAGVIVPIRLPNPVIERLIQEVNKGLTAGAVADAKANVVPDDCVLAKL >ORUFI05G11020.1 pep chromosome:OR_W1943:5:10822172:10822899:-1 gene:ORUFI05G11020 transcript:ORUFI05G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGATKREFVEGPATKLLLVGAMARVVGMGGGGGASGEDPRGGDACYAFKIMYE >ORUFI05G11030.1 pep chromosome:OR_W1943:5:10834226:10844564:-1 gene:ORUFI05G11030 transcript:ORUFI05G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEWCRIWWPQRRLQPEPLPAPQRFVLFGWLFARTDSVDVVVAAALPQEEILRSFPTPEALQTVILSSNTRMPARLQECAAFTILGDCMHLPREFEVCCSKQHHQPLGTQSVQKGHFYMTQNSPVVSSGSLESGAQDQSGYSSKWEYDCSILDGLLDACKKSVVKEHNRVHLCFKSSKSLKCNLNQVPVLHYLCLDDQKFETSHCHVVLYDVPTACGNHFSLGEDAPCRSKSSFRKPNWINNLECKRLEFDLDPIILGLNCSNAARLSVAQEAATIQVTWHSVGILLASISTIVYIFIQVFQKYLSNIYQYFMLQKVFGHSWKNMHLRCCHILYWPIILQDRSLSSTVNVEYAHKAAIQKHMLWSNIVMDLLTGLFLGVVLLLKTEIICSWTFALVHYMTDSVLRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWSIVGGFLRHIIWGLAFSGILLGLTVPVSFFIDVIQLATLHVTLLQWLISLIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLVLLPTTSIFYIFFSMLSTAVICLCILLEITVSIIHSTPYAELIMWVARRQRFPTGLFFHPVMWSSVSAVDGDGLLSTKGYRKTEHLVLGKSEPLVSELCCNYATFGHIIRPHYRKIFNGVALSFCKQLAYGILSGERVPTTLHLQFSLFPWMHLGIRHYWLLCRDSVLSCAPKR >ORUFI05G11040.1 pep chromosome:OR_W1943:5:10937151:10947532:1 gene:ORUFI05G11040 transcript:ORUFI05G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTPPAPLCNGHCLPALATGTPGFEASGGAGWPPAPTGGGGSGGIEEPHLLEAYLKPHLTLCVNVGGGRGVSMHRTFRIASRNGLFLLIIGTWQQQISRLQKLIDSGDAHVTRFLWGHPAARVHAGGAKHMPKLQCATSIDRTRCQADGRLSFREVLNLAGESYCHRRNQARGCSVENYCIEILRKASYWLYGIGVGVTIRAQQEVSCCFINDGLIHKHGYTGRSCVPLEGLVKSQELIALHRNLILCMFFPKKPYEVFLEFGGYGQSDILIRKSKARVMKPSFTVVRDKSTKSFILFIRGATSVKDCLTTATAAERLGGLQIRPFPVSAEQLSNFQTTELRFMVSSQRWIQQPQ >ORUFI05G11050.1 pep chromosome:OR_W1943:5:10949441:10954277:-1 gene:ORUFI05G11050 transcript:ORUFI05G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYRLPSHSPQSKHANAIPHASARPTHLHTFLIPRRPCPPPVRPPDTPAMPPALTSNPPSFRPLSTPLTRRRAATTFLCRVGPGKPSKDTGADDEPKKRSFFADFGKLSDGRSLIPAFPPAAAGSLFAGGRGRKDPQTVFVAGATGQAGVRIAQTLLRQGFAVRAGVPDLASAQELARLASAYRLISPTEARRLNAVESDFEDPEAIAKSIGPATKVVVTVGAAEKGPDGGVVTTDEALRVVQAADLAGVTHVVVVYDLGAGDPSGESTYNVLDGFTSFFSNLFSRVQSLPLNEFLAKVVETDVRYTLIKTSLTDDYSPESTYGLVLAKEGASSTTSSTETGKVSKLQIAGLVADVFSNVAVAENKVVQVSTSSSVTSKTIEEAFSAIPEDSRRKEYQDAVAKAQAEEETRASQRTRQAEEDTTTVKAGGKKTPSDEAAASEAQASLENLLSRAKGFGTDFSWEKLSTQLAGVATQDSDEVEPKAQIATVRGQAKAKKLAPQRAVVKPAAQKTRPTPKQPESKPDVRPVFGGLFKQETIFVDED >ORUFI05G11060.1 pep chromosome:OR_W1943:5:10958668:10959083:1 gene:ORUFI05G11060 transcript:ORUFI05G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGAHVPVAAGASSAASAGVVGNHSFDTAVALFNGGELHACHDVVEELWNTANEPTGLDAAPRILQCAVSFHHLFNQTHDRAQTQNHRGAMMELGEGLCKLRKLRLKE >ORUFI05G11060.2 pep chromosome:OR_W1943:5:10959088:10960886:1 gene:ORUFI05G11060 transcript:ORUFI05G11060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSRFEEEVTAALNFIYRTQKELAACNDDLCLTMDGSATSYQLLGNFAAGQKREKERGEAAVDNRAGSRKRPRKLIIDDDDEDSNDQTTGPTTSARKKLGYYIHSFILTCTFT >ORUFI05G11070.1 pep chromosome:OR_W1943:5:10969043:10975502:1 gene:ORUFI05G11070 transcript:ORUFI05G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNVSTVYISVIDDVISKVRDDFISYGVGDAVLNELQAVSIADSSALGDEDAALRRNLRDHRPLQGGSRATPLQTPIQTPLPGTDAGMYNIPTGPSDYAPSPISDVRNGMAMNGADPKTGRPSPYMPPPSPWMTQRPLGVDVNVAYVENREDPDRTGQPPQLTKDFLMMSSGKRKRDEYPGQLPSGSFVPQQDGSADQIVEFVVSKDNAQQLWSSIVNKQGTATKESSTKETIIAPTIPQRDGMDDYNDPFYFQGVPTEDYNTPGESSEYRAPTPAVGTPKPRNDVGDDDEPPLNEDDDDDDELDDLEQGEDEPNTQHLVLAQFDKVTRTKNRWKCTLKDGIMHLNGRDVLFNKATGEFDF >ORUFI05G11070.2 pep chromosome:OR_W1943:5:10968749:10975502:1 gene:ORUFI05G11070 transcript:ORUFI05G11070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNVSTVYISVIDDVISKVRDDFISYGVGDAVLNELQATPLQTPIQTPLPGTDAGMYNIPTGPSDYAPSPISDVRNGMAMNGADPKTGRPSPYMPPPSPWMTQRPLGVDVNVAYVENREDPDRTGQPPQLTKDFLMMSSGKRKRDEYPGQLPSGSFVPQQDGSADQIVEFVVSKDNAQQLWSSIVNKQGTATKESSTKETIIAPTIPQRDGMDDYNDPFYFQGVPTEDYNTPGESSEYRAPTPAVGTPKPRNDVGDDDEPPLNEDDDDDDELDDLEQGEDEPNTQHLVLAQFDKVTRTKNRWKCTLKDGIMHLNGRDVLFNKATGEFDF >ORUFI05G11080.1 pep chromosome:OR_W1943:5:10992696:10994044:1 gene:ORUFI05G11080 transcript:ORUFI05G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATLAAPSFLAHQSILSHKPLRKLGLSLELPRTRSVKIRAAKLPAGVEVPRKQPKLSEPFLGFTRTAEIWNSRACMIGLIGTFIVELVLNKGILQMIGVEVGKGLDLPL >ORUFI05G11090.1 pep chromosome:OR_W1943:5:11021865:11022260:-1 gene:ORUFI05G11090 transcript:ORUFI05G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGVRWRKRRRCWRGGGKKEASPSRRCRIQEKGKGVAVAPAWRPGWEERVPPSRATVRVRELGIEASTAPVGKGGGAAPFEKKPSLAASAVDGSARGRGAAPPPGEEGAPPRLTRSKPSPRMFGADPGTQ >ORUFI05G11100.1 pep chromosome:OR_W1943:5:11021953:11026656:1 gene:ORUFI05G11100 transcript:ORUFI05G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDTSPMILVLSMAASDTSPMILGTYGSHGLKMWIKSSCSLTTQVWLPLGQSPPISISKPPTDRRGIKRPYGRIPGANEREWISSYYTCAWHQLTTFPTVINNWLREGQRRMRRSTARCNKLTRNRRQGLCVVRAARRATQFARVGWCCELQGASCGRMDPQDYES >ORUFI05G11100.2 pep chromosome:OR_W1943:5:11021953:11026718:1 gene:ORUFI05G11100 transcript:ORUFI05G11100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDTSPMILVLSMAASDTSPMILGTYGSHGLKMWIKSSCSLTTQVWLPLGQSPPISISKPPTDRRGIKRPYGRIPVINNWLREGQRRMRRSTARCNKLTRNRRQGLCVVRAARRATQFARVGWCCELQGASCGRMDPQDYES >ORUFI05G11100.3 pep chromosome:OR_W1943:5:11021953:11026471:1 gene:ORUFI05G11100 transcript:ORUFI05G11100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDTSPMILVLSMAASDTSPMILGTYGSHGLKMWIKSSCSLTTQVWLPLGQSPPISISKLTTFPTVINNWLREGQRRMRRSTARCNKLTRNRRQGLCVVRAARRATQFARVGWCCELQGASCGRMDPQDYES >ORUFI05G11100.4 pep chromosome:OR_W1943:5:11021953:11026471:1 gene:ORUFI05G11100 transcript:ORUFI05G11100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVWVGAAIISSPPPFPVAAAVIVASPHYRNQTSASPCPSSPPSPIPSPSCSSAKPRSSVATSACHTGAASPSATTSVPRRRHNPRRPASAAHRRSLVVAPPPQQIPSPEPPPLSHTPLPPIDAP >ORUFI05G11100.5 pep chromosome:OR_W1943:5:11021953:11023317:1 gene:ORUFI05G11100 transcript:ORUFI05G11100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSAVPAGGFHGASLGRRRHHLLSSSLSRRRSRHRRVSSLSESDVGQSLSLLASIPNPLALLLLRQAPLLRRDQRMPHRRRLAKRHDLRSATPPQPQAPSLRRPPSIPRRRSTSTADPLPGTPSPFPHTTPSHRRTLMHVSPPFARSPPERMDGCLHAASHRSALNTSVIASCPSPSRSAVPSVVDAWRGSPIGPVDGCL >ORUFI05G11110.1 pep chromosome:OR_W1943:5:11028597:11033761:-1 gene:ORUFI05G11110 transcript:ORUFI05G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIFIFIWLFASVLSISSASSPLLINGSTADAAPDCGTGNPIDDCWRCDPGWADNRQRLADCAVGFGRRAVGGKGGRVYVVNDTGDDAARPAPGTLRYGLVQDEPLWIVFAGDMTISPAHELVVSSRKTVDGRGARVVVGDGGACFAVRGASDVVIHGLTIRRCRPAPKLEAGMSDGDGVHNSSDVWVDHCKVEACADGLIDVVVGSTRVTLSNNLLRNHDKAILLGHNDDYTDDKAMQVTVAFNRFGPGLVQRMPRCRFGLFHVINNDYIAWQKYAIGGSASPTIISHGNRFYADMAKEVTKRDDDVPESVWHHWNWVSDGDLMLNGAFFRASGEARTDNLKAPSFARSAPSVPSMTSSAGALSCKEGSHC >ORUFI05G11120.1 pep chromosome:OR_W1943:5:11057264:11059835:-1 gene:ORUFI05G11120 transcript:ORUFI05G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAHPLLSSSSLPPPTLPILCLRCPGSSLSSKRFLRSHSRSAIRPWRNPCAARPGGPRFLGQDDADSDADEEDEEEWRWAPSAGPSGAHLVGAVDEDDSVGEGGGGIGWGAPDGDAAGGSGIRESGVDDGGQVGEWDLPMSSFRGRVQVQHHQEEEEEEEEEEDEDGGGCEWSDPGFFLRGQEEEASSSVSTTTAMEEILTLARSPAVDGQAFAEFLAGYGRGALSVEECVELMRRMGEEGLALGCLHLLRWMQAPEEEPLLLPPQAWLLAVVALGRAQMADEVLEIVESLPPERRFSEAVLYNAAMSGLAYRGRYDDTWKVFKLMEKKNIQPDHMTSLIMLDVMNKSKTSAKDAWEFFQRMERKGVKWSLDICISLIKIFCDNGLKTEALIIQSAMEKKGIASNTSMYNTLINAYCKANQIEEAEGVFVEMKEKGLSATAMTYNILMGAYCRRLQPEVVESLLLEMQDLGLRPNARSYNFLIRVYGQQKKMSEKAEDAFLRMKTDGIMPTSSTYTSLLCAYAVNGLHEKAYLTYVDMKREGLKPSLETYTALIDMFRRAGDTEKLMETWRSMINEKVPGTRVIFHMVLDGLAKHGLYVQATDVIYEFRRAGLQPTVMTYNILMNAFARGGQHYKLPQLLKEMAAMELKPDSVTYSTMIYAYARVRDFSRAFYYHKLMVRSGQLPDVSSYKKLLNTLDVKAARKNIKDKNAIVGILKGKSSLKHRKEKKDEFWKNRKKRSMMNHVYGYPRKRFL >ORUFI05G11130.1 pep chromosome:OR_W1943:5:11060660:11062325:1 gene:ORUFI05G11130 transcript:ORUFI05G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSLQHHQVVGAAAPSLFSHRLSAMITPSAQLDPSPQRVDGFFFSPFLQGGEGERVHSYSCSCKKSKRLNL >ORUFI05G11140.1 pep chromosome:OR_W1943:5:11072976:11077068:1 gene:ORUFI05G11140 transcript:ORUFI05G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTHGGVINRFLSTLTQINRLLFLLYLRTSRRPYPVSRRPAHTPITPLPSRFPPSPLPRRRQHLHLRHCRIDGSRRSSSSAKHQPRRTEPRPHPISRQATCVFPFPVARASPSMTEKDEVASSFAAEGGME >ORUFI05G11150.1 pep chromosome:OR_W1943:5:11086187:11087821:-1 gene:ORUFI05G11150 transcript:ORUFI05G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLTLVLGAKTWLSVGVSDVLEVSDEEGDQEGRRQSSSPGPIHVGMKGKVARFSAHSKGFREEFSSAAKPKTFGSRFWALGDVSDATDSELEGEKDAATSVEEAFPEARFVRRALAEGFTVDEVLKAGEHLLLNPAATSGSCTKSTNLKGNGLLARRIVDSVAKRRKSSVKPWKGPLPRARISQPLTIGDKLVEAFTAKLSNSVKFRKAWVPIATRQENEATLSRERDESCAGLREEDDKEVFLATEEERRELIFGSTTGQLETRQPRGVEEFGRFLVSRFKLKKDSGLSKQFYLGTGHKVQIKFHFGAGQLLSWAGQHERVKNRLGQPPTLGILSNTAGHSSPVRTYTHILQRSSSETEQATESEQQIREVSTMAHREDWDRQGNRGWGRRDDSHWEDEGNFFQERGGGEDFHFRFHPGLGFNREGGGRGWMSQGFRPRGARSFGTRRGGFAGRPRRSGAHHAGRSNLPSYGQRVMDSGTGRAEKTTMGSGSNKGGTLVSRWDKSAEGSRKVLGGKKEAWQNKVGDLGASVAGKEGRGKIW >ORUFI05G11160.1 pep chromosome:OR_W1943:5:11093409:11111427:1 gene:ORUFI05G11160 transcript:ORUFI05G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKPASATAAPRGHKRRPKRTRPSGKGGGPEPREEKRKRRELDGVGLAEESPPPGSSLGKILSTESGAIGLEQSDEDDMEDEHTVPGDDETNDMINNKDDAFDEMEASWLVLFSFHRHVSRIITNEEVNALLKQKCKFKWEVPAEDIPKSKWVGTGEKMEGAYVDLIGGVKGKLRDHWQNTLSDQLNSRLNFFSLCSSYRDIMHCNKKPFYLKGGSTVDSSTMDSYLMHALNHINRTREIVVKNDAKLRSDPSKDILDDNSFLDQGFTRPKVLFLLPLKSIARRVVKRLIQLSPLSQKDIIAKFEGKFGESDDEVEEPVQSNKPADFDLLFAGDTDDEFLFGIKYTKKSMNLFSNFYSSDIIVASPLALIKKINGVDDNGKEPAKERDFDFLSSIESWSHLYAVFEQLNQLPSKEHGTNVMRIRPWYLDQHARYYRQTILLSSYLTPEMNALFNGLCLNYEGKVKLVTEFKGVLSKIQFEAPQVYERFDASSITEVDDARFDHFCKKVYPKIQESDEDNIATRYITCKTLIRGAHHLIIYSLPGRKEFYPELVNMLSESENRKCTVFFSRLDLLKLERIVGTFAAQRLVSSDKSIFVFR >ORUFI05G11160.2 pep chromosome:OR_W1943:5:11093409:11111427:1 gene:ORUFI05G11160 transcript:ORUFI05G11160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKPASATAAPRGHKRRPKRTRPSGKGGGPEPREEKRKRRELDGVGLAEESPPPGSSLGKILSTESGAIGLEQSDEDDMEDEHTVPGDDETNDMINNKDDAFDEMEASCRIITNEEVNALLKQKCKFKWEVPAEDIPKSKWVGTGEKMEGAYVDLIGGVKGKLRDHWQNTLSDQLNSRLNFFSLCSSYRDIMHCNKKPFYLKGGSTVDSSTMDSYLMHALNHINRTREIVVKNDAKLRSDPSKDILDDNSFLDQGFTRPKVLFLLPLKSIARRVVKRLIQLSPLSQKDIIAKFEGKFGESDDEVEEPVQSNKPADFDLLFAGDTDDEFLFGIKYTKKSMNLFSNFYSSDIIVASPLALIKKINGVDDNGKEPAKERDFDFLSSIESWSHLYAVFEQLNQLPSKEHGTNVMRIRPWYLDQHARYYRQTILLSSYLTPEMNALFNGLCLNYEGKVKLVTEFKGVLSKIQFEAPQVYERFDASSITEVDDARFDHFCKKVYPKIQESDEDNIATRYITCKTLIRGAHHLIIYSLPGRKEFYPELVNMLSESENRKCTVFFSRLDLLKLERIVGTFAAQRLVSSDKSIFVFR >ORUFI05G11170.1 pep chromosome:OR_W1943:5:11121474:11124809:-1 gene:ORUFI05G11170 transcript:ORUFI05G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLAPAALPPRGWKCGVAVVATWKRLHSGRRNAVRIFAAKSNERRRKSQSISQGPTFISEEASSSGSGENPTTSLEVNANDVTTDEKFTVAPRNAVLQACTLTSSLLLAGGLVLREASHFASLNGWPVADPMNLSFNFETWHLELIAGLTWPDFRYSSETANRQILTSLETFDYIVVACLPGISEEVLFRGALMPIFGLNWISAFVTGAIFGILHLGNGRKYSFAIWATFVGVAYGLATIASSSIIHFSQTGGNL >ORUFI05G11170.2 pep chromosome:OR_W1943:5:11121474:11124809:-1 gene:ORUFI05G11170 transcript:ORUFI05G11170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLAPAALPPRGLQSKAVGNAESQLLLHGKGCIVADAIIFAAKSNERRRKSQSISQGPTFISEEASSSGSGENPTTSLEVNANDVTTDEKFTVAPRNAVLQACTLTSSLLLAGGLVLREASHFASLNGWPVADPMNLSFNFETWHLELIAGLTWPDFRYSSETANRQILTSLETFDYIVVACLPGISEEVLFRGALMPIFGLNWISAFVTGAIFGILHLGNGRKYSFAIWATFVGVAYGLATIASSSIIHFSQTGGNL >ORUFI05G11180.1 pep chromosome:OR_W1943:5:11127402:11142766:-1 gene:ORUFI05G11180 transcript:ORUFI05G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRPVGIYYFRPHSPHLSPTPRDIRLLPPAPPPPPPRRRCRRRKDPNAAPRRLLRLLLLLLLLLLLLLLRGSLSGSCAHHFLALAQGLSSSLLSGTISHIWGFIFSLYGTTHLRNRAILLFGQQDNNDIHTCGDIGSWCPGTSSSPEKVSWHCICIIWVIKTLIPKEWEESNGGVSDSKKLNHSIRQGLAGIIDLPNDAASEVDISHGSEDPRGPTVPGSYQMNGIINETHNGRHASVSKVVEFCTALGGKTPIHSVLVANNGMAAAKFMRSVRTWANDTFGSEKAIQLIAMATPEDLRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTGVSAVWPGWGHASENPELPDALTAKGIVFLGPPASSMHALGDKVGSALIAQAAGVPTLAWSGSHVEVPLECCLDSIPDEMYRKACVTTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRTLFKQVQGEVPGSPIFIMRLAAQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPVTVAPRETVKELEQAARRLAKAVGYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGMNHGGGYDLWRKTAALATPFNFDEVDSKWPKGHCVAVRITSEDPDDGFKPTGGKVKEISFKSKPNVWAYFSVKSGGGIHEFADSQFGHVFAYGTTRSAAITTMALALKEVQIRGEIHSNVDYTVDLLNASDFRENKIHTGWLDTRIAMRVQAERPPWYISVVGGALYKTVTANTATVSDYVGYLTKGQIPPKLDGNSHVIYAEEEASGTRLLIDGKTCMLQNDHDPSKLLAETPCKLLRFLVADGAHVDADVPYAEVEVMKMCMPLLSPASGVIHVVMSEGQAMQAGDLIARLDLDDPSAVKRAEPFEDTFPQMGLPIAASGQVHKLCAASLNACRMILAGYEHDIDKVVPELVYCLDTPELPFLQWEELMSVLATRLPRNLKSELEGKYEEYKVKFDSGIINDFPANMLRVIIEENLACGSEKEKATNERLVEPLMSLLKSYEGGRESHAHFVVKSLFEEYLYVEELFSDGIQSDVIERLRLQHSKDLQKVVDIVLSHQSVRNKTKLILKLMESLVYPNPAAYRDQLIRFSSLNHKAYYKLALKASELLEQTKLSELRARIARSLSELEMFTEESKGLSMHKREIAIKESMEDLVTAPLPVEDALISLFDCSDTTVQQRVIETYIARLYQPHLVKDSIKMKWIESGVIALWEFPEGHFDARNGGAVLGDKRWGAMVIVKSLESLSMAIRFALKETSHYTSSEGNMMHIALLGADNKMNIIQESGDDADRIAKLPLILKDNVTDLHASGVKTISFIVQRDEARMTMRRTFLWSDEKLSYEEEPILRHVEPPLSALLELDKLKVKGYNEMKYTPSRDRQWHIYTLRNTENPKMLHRVFFRTLVRQPSVSNKFSSGQIGDMEVGSAEEPLSFTSTSILRSLMTAIEELELHAIRTGHSHMYLHVLKEQKLLDLVPVSGNTVLDVGQDEATAYSLLKEMAMKIHELVGARMHHLSVCQWEVKLKLDCDGPASGTWRIVTTNVTSHTCTVDIYREMEDKESRKLVYHPATPAAGPLHGVALNNPYQPLSVIDLKRCSARNNRTTYCYDFPLAFETAVRKSWSSSTSGASKGVENAQCYVKATELVFADKHGSWGTPLVQMDRPAGLNDIGMVAWTLKMSTPEFPSGREIIVVANDITFRAGSFGPREDAFFEAVTNLACEKKLPLIYLAANSGARIGIADEVKSCFRVGWSDDGSPERGFQYIYLSEEDYARIGTSVIAHKMQLDSGEIRWVIDSVVGKEDGLGVENIHGSAAIASAYSRAYKETFTLTFVTGRTVGIGAYLARLGIRCIQRLDQPIILTGYSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSNILRWLSYVPAYIGGPLPVTTPLDPPDRPVAYIPENSCDPRAAIRGVDDSQGKWLGGMFDKDSFVETFEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQTIPADPGQLDSREQSVPRAGQVWFPDSATKTAQALLDFNREGLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPMAAELRGGAWVVVDSKINPDRIECYAERTAKGNVLEPQGLIEIKFRSEELQDCMSRLDPTLIDLKAKLKVANKNGSADTKSLQENIEARTKQLMPLYTQIAIRFAELHDTSLRMAAKGVIKKVVDWEESRSFFYKRLRRRISEDVLAKEIRAVAGEQFSHQPAIELIKKWYSASHAAEWDDDDAFVAWMDNPENYKDYIQYLKAQRVSQSLSSLSDSSSDLQALPQGLSMLLDKMDPSRRAQLVEEIRKNPNFVKKKFEGKGQELWQF >ORUFI05G11180.2 pep chromosome:OR_W1943:5:11127400:11142843:-1 gene:ORUFI05G11180 transcript:ORUFI05G11180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTHVATLGVGAQAPPRHQKKSAGTAFVSSGSSRPSYRKNGQRTRSLREESNGGVSDSKKLNHSIRQGLAGIIDLPNDAASEVDISHGSEDPRGPTVPGSYQMNGIINETHNGRHASVSKVVEFCTALGGKTPIHSVLVANNGMAAAKFMRSVRTWANDTFGSEKAIQLIAMATPEDLRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTGVSAVWPGWGHASENPELPDALTAKGIVFLGPPASSMHALGDKVGSALIAQAAGVPTLAWSGSHVEVPLECCLDSIPDEMYRKACVTTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRTLFKQVQGEVPGSPIFIMRLAAQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPVTVAPRETVKELEQAARRLAKAVGYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGMNHGGGYDLWRKTAALATPFNFDEVDSKWPKGHCVAVRITSEDPDDGFKPTGGKVKEISFKSKPNVWAYFSVKSGGGIHEFADSQFGHVFAYGTTRSAAITTMALALKEVQIRGEIHSNVDYTVDLLNASDFRENKIHTGWLDTRIAMRVQAERPPWYISVVGGALYKTVTANTATVSDYVGYLTKGQIPPKLDGNSHVIYAEEEASGTRLLIDGKTCMLQNDHDPSKLLAETPCKLLRFLVADGAHVDADVPYAEVEVMKMCMPLLSPASGVIHVVMSEGQAMQAGDLIARLDLDDPSAVKRAEPFEDTFPQMGLPIAASGQVHKLCAASLNACRMILAGYEHDIDKVVPELVYCLDTPELPFLQWEELMSVLATRLPRNLKSELEGKYEEYKVKFDSGIINDFPANMLRVIIEENLACGSEKEKATNERLVEPLMSLLKSYEGGRESHAHFVVKSLFEEYLYVEELFSDGIQSDVIERLRLQHSKDLQKVVDIVLSHQSVRNKTKLILKLMESLVYPNPAAYRDQLIRFSSLNHKAYYKLALKASELLEQTKLSELRARIARSLSELEMFTEESKGLSMHKREIAIKESMEDLVTAPLPVEDALISLFDCSDTTVQQRVIETYIARLYQPHLVKDSIKMKWIESGVIALWEFPEGHFDARNGGAVLGDKRWGAMVIVKSLESLSMAIRFALKETSHYTSSEGNMMHIALLGADNKMNIIQESGDDADRIAKLPLILKDNVTDLHASGVKTISFIVQRDEARMTMRRTFLWSDEKLSYEEEPILRHVEPPLSALLELDKLKVKGYNEMKYTPSRDRQWHIYTLRNTENPKMLHRVFFRTLVRQPSVSNKFSSGQIGDMEVGSAEEPLSFTSTSILRSLMTAIEELELHAIRTGHSHMYLHVLKEQKLLDLVPVSGNTVLDVGQDEATAYSLLKEMAMKIHELVGARMHHLSVCQWEVKLKLDCDGPASGTWRIVTTNVTSHTCTVDIYREMEDKESRKLVYHPATPAAGPLHGVALNNPYQPLSVIDLKRCSARNNRTTYCYDFPLAFETAVRKSWSSSTSGASKGVENAQCYVKATELVFADKHGSWGTPLVQMDRPAGLNDIGMVAWTLKMSTPEFPSGREIIVVANDITFRAGSFGPREDAFFEAVTNLACEKKLPLIYLAANSGARIGIADEVKSCFRVGWSDDGSPERGFQYIYLSEEDYARIGTSVIAHKMQLDSGEIRWVIDSVVGKEDGLGVENIHGSAAIASAYSRAYKETFTLTFVTGRTVGIGAYLARLGIRCIQRLDQPIILTGYSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSNILRWLSYVPAYIGGPLPVTTPLDPPDRPVAYIPENSCDPRAAIRGVDDSQGKWLGGMFDKDSFVETFEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQTIPADPGQLDSREQSVPRAGQVWFPDSATKTAQALLDFNREGLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPMAAELRGGAWVVVDSKINPDRIECYAERTAKGNVLEPQGLIEIKFRSEELQDCMSRLDPTLIDLKAKLKVANKNGSADTKSLQENIEARTKQLMPLYTQIAIRFAELHDTSLRMAAKGVIKKVVDWEESRSFFYKRLRRRISEDVLAKEIRAVAGEQFSHQPAIELIKKWYSASHAAEWDDDDAFVAWMDNPENYKDYIQYLKAQRVSQSLSSLSDSSSDLQALPQGLSMLLDKMDPSRRAQLVEEIRKNPNFVKKKFEGKGQELWQF >ORUFI05G11190.1 pep chromosome:OR_W1943:5:11154378:11156366:-1 gene:ORUFI05G11190 transcript:ORUFI05G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAEEAGLASPLDELLPPVDFCCAYGSTLLHARSGAASMIDYILGIPDPLQWHSENLERNPAHYSGWMARLGPGAVRTTLDGWLASAPTLIGVGVYFNPFVEWRDKVGAGLPVIASVTHIIASGDPVCLLWFSHIVGSLSGTLGYVMSELEDGKRFSEVVKTARSLGYTEPGQKKNFIHLWHYKINVYSVFIRYLSLQLS >ORUFI05G11200.1 pep chromosome:OR_W1943:5:11158226:11162455:-1 gene:ORUFI05G11200 transcript:ORUFI05G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIAAASLLPSSAFALRRLSSAANVSRFAQLKRFDRARRFAPAAAMSTSSGPKEAPANNPGLQAPSEKDPATKGYFMQQTMFRVKDPKVSLDFYSRVMGMSLLKRLDFPEMKFSLYFLGYEDVESAPTDPVKRTVWTFGQRATLELTHNWGTENDPEFKGYHNGNSDPRGFGHIGVTVHDVYKACERFERLGVEFVKKPDDGKMKGIAFIKDPDGYWIEIFDLNRIGAVTAEAS >ORUFI05G11210.1 pep chromosome:OR_W1943:5:11167808:11176493:-1 gene:ORUFI05G11210 transcript:ORUFI05G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGGGFLADANDPWLKPRLLRAVVGERLPRPGTDLPPAELASILDAVRTHGLLTERQPGPHDPKLAEAWRAAVDAWVERIGELMQNNLPYSCWLGTCFLGLTFQECCNERFAESYSSWFEKILSNLQEPSTVQLVIIVSCTSMSDLFVRLARFLNLKKEASSFAGRLVEPVLLLLNGNGQVADEAVDLLRTVIKLYPSSLNRHYNKVESAIATNVMSSEVNIKLKEKFARALASLPSVRVSEESWSLMIQKILIVVNNLLNDAFIGLEEEKKGHEILMLLVPPGNDPPLMLGDQTKWSGGNVHVTKKFRVYTVPTISVLIHCCCVMLTSYYPVQVNVPVRALIALTRRVLSVDGSLHKKLFPSTTSLHQELICFELPSLHSTFLDLLSATIKGMRSQLLPHAANIVRLITKYFEIAKLSTMRTKVYGIVQQLLTSMGVGISMHLLEAIVSNSVADLDDSCGQDMTSLSTKPTIATNESSSKSYSKKRKQETDSQNLHVSGSDKTVISPKKRKSSSMSTTSETTADVRMLTPLSVKLAALETLEILLNVGGSLRTDHWRAEVDLLLINIARSACDTGGRYEYKLSSAVGETSIADFQLASLKALLASFLSSHARPPYLAQGIELFRRGKLEIGTKLAEFCSHALLALDVLTHPRALSLESAAPSGPGLTYGAPEKAIFGTEKYKFSLSGNQSQALEDDDPYDDWLTSTKVSEPTGSPAKDSPVGKEKAIEKSQGEKQITPVEEHQQTDLAVVPAAIQDVPTSGKTDIEMADAATTETVNLKATDNPSSSNDGLTQVRTLLPDLNQQVAAPVSDEGHTDIVDHLRNKTSAFDASLSKTVTGGEAPQSGSGSSHFMQSSKTDDSDVESEDSMPGIVDGDPDSD >ORUFI05G11220.1 pep chromosome:OR_W1943:5:11177709:11178210:-1 gene:ORUFI05G11220 transcript:ORUFI05G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPARHCADVHPIMPLLLAADPLAMASFFLHHHLSRFASCFNTPCPHCCIGGVFASASKHSLTRGFHLFLIFAPTIMRRDQYTILRRCCREVEMRPRGSHVCR >ORUFI05G11230.1 pep chromosome:OR_W1943:5:11209435:11210127:1 gene:ORUFI05G11230 transcript:ORUFI05G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGWQHGAVRVNRSKLLRIEAAAEKAGEAAVVAAPSKPTNASRITGKCRRPRCRGCHDHPADKARDKAKGAHKLRACDVALNHRLVSWRVVDSAGAWAAGTGIPDYKGASASAVLAYLAGGSSYHEDDDDGGAPALEAAPPASGSGLSDLYDLIVGHHAAARQEPDTARATDIEVANKDGIEEEPDQDAAAASGEEEEEDDMGFFMVGITIALEFSDGEEDWIVVEEI >ORUFI05G11240.1 pep chromosome:OR_W1943:5:11214844:11216252:-1 gene:ORUFI05G11240 transcript:ORUFI05G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFNRTTPVMHKRKTPHTLLPSTLTRHISIPSLPLYKAPQPCAYLSALSSHKVNARTPSKAIHNRSGMMQPFTTSPVAHAPRAGRLSWTGASCRPSRCWVRVRSMRNGSTESLDHLQRASKARPRQQQGTPSARRRVIQTTPFGLWDSFPEARTLDQMMRTMERIMDGDADSDRMLVVPASAVTAAPAAARAVDNGAATAAYRRGRTPWEIKERAGAYLVRYDMPGMTREDVTVSVQDRKLVVVAEKAAKDGEAVEAADGEDEGEAWPAASFGRYRTRVELPENVEVERIAAEVRDGVLYLTIPKVASGGKVVNIQVH >ORUFI05G11250.1 pep chromosome:OR_W1943:5:11229275:11229847:-1 gene:ORUFI05G11250 transcript:ORUFI05G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHHRLVEDEVELKLCIRRRLVELEFLIPKQLEFHRRARFMEKMERGGPLSSCSPAVGAEGKAGDVLELRRRNESPAPRPPISCSAPPMLSVWEEEGQRKKGKRRCGKKWPLTQAQEFEGVKWTYP >ORUFI05G11260.1 pep chromosome:OR_W1943:5:11233627:11235056:1 gene:ORUFI05G11260 transcript:ORUFI05G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGMTLHARLAEPPGVSSLHVRLNADELRRCRSCSSRPPHLKSGESEGGNGEIIDDSGGGESKGFSPNAIVETADKKLIVLSSSVPHCPDICFYVVYEAAETSLSMIPHLPSNCRPTFTQRPLPVRRRGGDGDGGGYTLALMASASVFDEQGGRSRKDVLCMWPPPDSSKPLPLLTRRGIEPWRAKRPRLPSDKPDDFAADTGVLCCSCDDVLSGGYDVEFRYLALPPECRLDANWTTRRQPQRYRTMSRVGDTIEFVSIGDGLHRQEFTASTTLAVWALVPATGEWKWKKLHELSMATLWRLDGFKNAGLPEVMPIHPILSTKQDGVIYMVSSADDLASAGREDSSASESEGWDSDVGDESDDDDEEDGVLVEEERQYLIGLDIRNESLLSWRRLPGSGYLDRPDLMGFDVSKCLDEHCLCPPADAAPNTDGAEVLIYGSSYTQEEVAKQPKLSLI >ORUFI05G11270.1 pep chromosome:OR_W1943:5:11236264:11237157:-1 gene:ORUFI05G11270 transcript:ORUFI05G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPAERSKHQAPSSSSVSAAAAAASAPSENTYTSYQSLLTIIRATGSTSDETRGGCRKCGRVGHLTFQCRNFLCVKDLDMDDDVEAGIRQAASSQAKLDEFRKKTSGGSSDAEEGSAEDDEEDSYDSSDSDIDPELERIIAKRELHKDGSKQSREEEKKEKKTSRQRRSSRGRSKHRRSSSKEDKKKSRRKRRERSCEEDSETDSDKKIKRRHHRKSSKEERERGRSRHRRRSYDDDSSDDDHHTLRRRRRRRRKDAFDRQ >ORUFI05G11280.1 pep chromosome:OR_W1943:5:11250858:11258454:-1 gene:ORUFI05G11280 transcript:ORUFI05G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSPPLADDRAPMDRRMAQLGSTSGTGLPLQPPTPPSPAPPSTGGDELPALLLRRRSSPTTSPVLPAAGTILRRLTGRSPLGRSLCGFGSSASRAAYDISDMLDEFQSTEPDAGKKKGVFHKLATAPSRFPMASKMKRMRKELAKNTEEHKNFSFVPNTASFEQHRADPRPQLPELTDESVIIGTSEDKRNIIAALLTRGSEEKKESFISDIQLMRKRLAGLLEGRRILIVLDNIWESDQFKLDNLKIMLNVGKKGSEVDVIVTTRTEEIAKRICTVKPYKLEPLNDDICWAIIKIYSAFEGRGDKQQVEVIGQEIATKCGDSHGHRTLEGLARPKSPDYKQTGPQTRTNTSPQPPKRSTAGSGDGQKKGGAPRSEGVVAGMKRNRELKTGATKTTVSSMNPARNSGHHGTNPTTAATTTNFTASAESTLGRADEQLRRSDGEGKGGRDVRGGLRRCRRREGGRRPDSPTTEGRPPISQKDDRLVTLTMHDPVHDMARSVIDDELIVLDDTKENKCGQSTYRYVFITNYDKPSKEFSMILHGKIRALHLVGCSKTKLNDGAFSSAKCLRVLDLNHCSIQKLPDSIYQLKQLQYLHAPQVRDGVIPESISMLSKLNYLNLRESPKISKLPESIGKLEALTYLNLSGCSHLVEFPESFGELRNLEHLDLSGCSRLVELPETVGKLDALMYLNLSGSRIVELPESFRELKNLVHLDLSNCTHLTDVSEHLGSLNRLYRPRLYSRCLVAYPRRRKIQELSSVQKENEASHIHMQNVMDAISRLVYSDSGYSARGILSEALGSLTELKYLNLSGCLLMVVLPGSFGNLENLVHLDLSGCSCLEWTPDNLVGLTKLQHLNLSHYCTGTPRSSMPSQGAARYFDRSYRTAFWKPQEATYPEPLELLPSKEPTRKFMRDRLPQAPICDRLPGTGVSQDKSPSQLHQNSFLDDGNGLLLRPVLLGTQPKLYQNLVLLPNFVVHDANPAELQISCLENVQSTGEVKRIKLSQKTSISKLALEWRRDAKRFADDMNVLEQLVPPNTLSQFELRGYNNVCLPRWLTCISSYLPDLVRIVLDDIPSCSSLPPLGQLTNLQELTLRSMPSISKIDGDICGGSEPFLQLIKFTLDSMEILEEWRTSYNDHGDKLQELEILDCPKLKLKLYEPRAFQWKISNSDNIVTSCGGGQYTGPSSSSSSTTLDVQHCKVPLDQWTLLCHLPALHELRIYECDDLTCSSPEIIESLSSIKQITVECQDMVELPASLCQFKSLPKLILWKCLKLKSLPESTKHLTSLKSLWMVGCSSMTSLPEGLGHLASLMELNINDCPHLKSLPESIQLLPMLEVVKVSYCPELKRWYEIEENKMKLAHIGKKVSINPANLLFLQLFMLTLFAVLYCP >ORUFI05G11280.2 pep chromosome:OR_W1943:5:11250858:11259331:-1 gene:ORUFI05G11280 transcript:ORUFI05G11280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTKKGVFHKLATAPSRFPMASKMKRMRKELAKNTEEHKNFSFVPNTASFEQHRADPRPQLPELTDESVIIGTSEDKRNIIAALLTRGSEEKKESFISDIQLMRKRLAGLLEGRRILIVLDNIWESDQFKLDNLKIMLNVGKKGSEVDVIVTTRTEEIAKRICTVKPYKLEPLNDDICWAIIKIYSAFEGRGDKQQVEVIGQEIATKCGDSHGHRTLEGLARPKSPDYKQTGPQTRTNTSPQPPKRSTAGSGDGQKKGGAPRSEGVVAGMKRNRELKTGATKTTVSSMNPARNSGHHGTNPTTAATTTNFTASAESTLGRADEQLRRSDGEGKGGRDVRGGLRRCRRREGGRRPDSPTTEGRPPISQKDDRLVTLTMHDPVHDMARSVIDDELIVLDDTKENKCGQSTYRYVFITNYDKPSKEFSMILHGKIRALHLVGCSKTKLNDGAFSSAKCLRVLDLNHCSIQKLPDSIYQLKQLQYLHAPQVRDGVIPESISMLSKLNYLNLRESPKISKLPESIGKLEALTYLNLSGCSHLVEFPESFGELRNLEHLDLSGCSRLVELPETVGKLDALMYLNLSGSRIVELPESFRELKNLVHLDLSNCTHLTDVSEHLGSLNRLYRPRLYSRCLVAYPRRRKIQELSSVQKENEASHIHMQNVMDAISRLVYSDSGYSARGILSEALGSLTELKYLNLSGCLLMVVLPGSFGNLENLVHLDLSGCSCLEWTPDNLVGLTKLQHLNLSHYCTGTPRSSMPSQGAARYFDRSYRTAFWKPQEATYPEPLELLPSKEPTRKFMRDRLPQAPICDRLPGTGVSQDKSPSQLHQNSFLDDGNGLLLRPVLLGTQPKLYQNLVLLPNFVVHVGDNELGSNIFLLQDANPAELQISCLENVQSTGEVKRIKLSQKTSISKLALEWRRDAKRFADDMNVLEQLVPPNTLSQFELRGYNNVCLPRWLTCISSYLPDLVRIVLDDIPSCSSLPPLGQLTNLQELTLRSMPSISKIDGDICGGSEPFLQLIKFTLDSMEILEEWRTSYNDHGDKLQELEILDCPKLKLKLYEPRAFQWKISNSDNIVTSCGGGQYTGPSSSSSSTTLDVQHCKVPLDQWTLLCHLPALHELRIYECDDLTCSSPEIIESLSSIKQITVECQDMVELPASLCQFKSLPKLILWKCLKLKSLPESTKHLTSLKSLWMVGCSSMTSLPEGLGHLASLMELNINDCPHLKSLPESIQLLPMLEVVKVSYCPELKRWYEIEENKMKLAHIGKKVSINPANLLFLQLFMLTLFAVLYCP >ORUFI05G11280.3 pep chromosome:OR_W1943:5:11250858:11258454:-1 gene:ORUFI05G11280 transcript:ORUFI05G11280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSPPLADDRAPMDRRMAQLGSTSGTGLPLQPPTPPSPAPPSTGGDELPALLLRRRSSPTTSPVLPAAGTILRRLTGRSPLGRSLCGFGSSASRAAYDISDMLDEFQSTEPDAGKKKGVFHKLATAPSRFPMASKMKRMRKELAKNTEEHKNFSFVPNTASFEQHRADPRPQLPELTDESVIIGTSEDKRNIIAALLTRGSEEKKESFISDIQLMRKRLAGLLEGRRILIVLDNIWESDQFKLDNLKIMLNVGKKGSEVDVIVTTRTEEIAKRICTVKPYKLEPLNDDICWAIIKIYSAFEGRGDKQQVEISQKDDRLVTLTMHDPVHDMARSVIDDELIVLDDTKENKCGQSTYRYVFITNYDKPSKEFSMILHGKIRALHLVGCSKTKLNDGAFSSAKCLRVLDLNHCSIQKLPDSIYQLKQLQYLHAPQVRDGVIPESISMLSKLNYLNLRESPKISKLPESIGKLEALTYLNLSGCSHLVEFPESFGELRNLEHLDLSGCSRLVELPETVGKLDALMYLNLSGSRIVELPESFRELKNLVHLDLSNCTHLTDVSEHLGSLNRLYRPRLYSRCLVAYPRRRKIQELSSVQKENEASHIHMQNVMDAISRLVYSDSGYSARGILSEALGSLTELKYLNLSGCLLMVVLPGSFGNLENLVHLDLSGCSCLEWTPDNLVGLTKLQHLNLSHYCTGTPRSSMPSQGAARYFDRSYRTAFWKPQEATYPEPLELLPSKEPTRKFMRDRLPQAPICDRLPGTGVSQDKSPSQLHQNSFLDDGNGLLLRPVLLGTQPKLYQNLVLLPNFVVHDANPAELQISCLENVQSTGEVKRIKLSQKTSISKLALEWRRDAKRFADDMNVLEQLVPPNTLSQFELRGYNNVCLPRWLTCISSYLPDLVRIVLDDIPSCSSLPPLGQLTNLQELTLRSMPSISKIDGDICGGSEPFLQLIKFTLDSMEILEEWRTSYNDHGDKLQELEILDCPKLKLKLYEPRAFQWKISNSDNIVTSCGGGQYTGPSSSSSSTTLDVQHCKVPLDQWTLLCHLPALHELRIYECDDLTCSSPEIIESLSSIKQITVECQDMVELPASLCQFKSLPKLILWKCLKLKSLPESTKHLTSLKSLWMVGCSSMTSLPEGLGHLASLMELNINDCPHLKSLPESIQLLPMLEVVKVSYCPELKRWYEIEENKMKLAHIGKKVSINPANLLFLQLFMLTLFAVLYCP >ORUFI05G11290.1 pep chromosome:OR_W1943:5:11260870:11261915:-1 gene:ORUFI05G11290 transcript:ORUFI05G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEVLTSIVLREVARKLGSAARDQVTAQWNFTRDLDGMRTTLESVNALLRLLRDAEQRSALEDVKLQQIASPLPTSVRGALVSPSGRPSSTSSSTSVLLRRPHRRGPAVVVASTGRRSRPDGQTDGAARLHLWHRSPPATPDSSISSTTVDRGDKLPALLLRRRSSPTTSPVLPAAGTILRRLTGRPPLGRSPCGFGSSASRAAYDISDMLDKFQSIEPDARKVSS >ORUFI05G11300.1 pep chromosome:OR_W1943:5:11263561:11267487:1 gene:ORUFI05G11300 transcript:ORUFI05G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIGDTVESIRSIQIRQVLAQIISLGMIVTSALIIWKGLMVATGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNIDGREIPIVHRVIKVHEREESAEVDILTKGDNNFGDDRLLYAHGQLWLHQHHIMGRAVGFLPYVGWVTIIMTEKPFIKYLLIGALGLLVITSKE >ORUFI05G11310.1 pep chromosome:OR_W1943:5:11288293:11292738:1 gene:ORUFI05G11310 transcript:ORUFI05G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESQSQSHFPLRWESTGDQWWYATPIDWAAASGHYDVVRELLRLDANLLIKLTSLRRIRRLESVWDDDMRFADAARNRAAVARRLLHDCEPPRGAAAGGGSRPNRLIRAGYGGWLLYTAAAAGDAAFVRELLGRQPLLVFGEGEYGVTDVLYAAARSDSSEVFRLLLSAVLSPPECSAGGEGDGGTAAIAGGFMFRCEMMNRAMHAAARGGNLEVLRELLQGCSDASAFRDAQGSTILHAAAARGQLEVVKDLIASFDIVNSTDEQGNTALHLAAFRGHLPVVKALITASPSLISATNEVGDTFLHMALTGFRTPGFRRLDRQMELMKQLIGGVIMDLSSIINMQNDDGRTVLHLAVIGNLHSSLVELLMTAPLIDLNVRDNDGMTPLDLLRKQPPTASSEILIKQLILAGGIANSMDHETRSAIASQLKMHCIVGSPGTSFKIPDAEIFLHAGIDASDISERTNSFSSVGQSEPEFPESSRKKLNSMQNAAKHLKILLRWPLRKEKKSSSGARNELDDDASSVDSVKSWSHGETPTPLRQKYSRMSSLFNNKRTMAARIGSPSPSMKKSFADGLAHGVMQPESPSGSGSWSSSSLVDRIEAVHLDKNGQASPDTSVVIRRTPKKHGSLNSRLMNQYFCIGAQGIAVEDSTTGQRSSRMFRSSLLSAA >ORUFI05G11330.1 pep chromosome:OR_W1943:5:11309788:11311870:-1 gene:ORUFI05G11330 transcript:ORUFI05G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHEVAFEVNLIEDDGGLAGWAPVGTRTALSAHAERDTAMLISGAVSAAEPNERIRRSSGRYIVASRRADEEDGLRRAVPAGALVPRVTYRVVGWVSVQGQGDGRHHAVRVGLRVDGDGGDDERGSWLDCGAARVEVGGGWAEINGAFRLRASPRVAAVHVHGAPAGVDVKVMDLQVYATDRKARLTQLKEQTDKVRKRDVILKLGGGATMAGASIRVAQLENRFPFGSCINKTAIRNPKFVDFFCDNFDWAVFENELKWYSTEPQRGQINYRDADELLDFCHRYGKSARGHCIFWAVDGDVQQWVKDLGRDDLAAAVQGRLHGLLSRYAGRFRHYDVNNEMLHGRFYRDRLGDGVAPLMFREAARLDPAARLFVNDYNVLRGNDPNATPEKYVELVDALRRGGAAVGGIGVQGHMDSPVAGQVIRAALDKLAAAGGAPIWITELDVSEPDVGLRADDLEVVLREAYAHPAVEGVVLWGFMEGQMWRRDAYLVDADGTVNEAGQRFLQLQREWRSDARGIVDGDGRFKFRGFHGTYVAQVTTATGKMLKTFTVENGDNSLELDLDIEI >ORUFI05G11340.1 pep chromosome:OR_W1943:5:11319241:11319603:-1 gene:ORUFI05G11340 transcript:ORUFI05G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKLTLAYATWLVTIGFNLHSGEAPTGIAPALCFEACHTIIFTIAVNTSRIAGPVEEADRESD >ORUFI05G11350.1 pep chromosome:OR_W1943:5:11326243:11326950:1 gene:ORUFI05G11350 transcript:ORUFI05G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGGSRGGGEPAINNGGLVGVAEGPTPSPIPSCSPPASLPSPTRLSSLPAAPSIPSISPAPRFYPLPATP >ORUFI05G11360.1 pep chromosome:OR_W1943:5:11329144:11329503:1 gene:ORUFI05G11360 transcript:ORUFI05G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMPGATVCEANLLYFQSGSVHGKTQRPLHGWIWPESMEKNWDKRNTMLMNYTKAPKKKRKQLGYGKNYTPFPIAGNRTTGIDKGKGPDGEGPPLSPGERTPTGTESPLRPYCKVVFG >ORUFI05G11370.1 pep chromosome:OR_W1943:5:11333708:11338716:-1 gene:ORUFI05G11370 transcript:ORUFI05G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAATAPATSVTAATFSPSLPLLSRYQLPRAHRAASTVAFAARRFRGVNPSSDRPRGRRASVPAAPTTSAARDDGGGGGGIDALEAELWRLQRRAELRLHRLAAEADEAYRDLRYSARVVGGDRVVLTFRRSSLRFAAAALLWSLALSAAAWALLGWAVRAWQRRGLGWRGGEGAAVVRRRDRSLGGKEVVVAVSSSPVAAPVSRVPEPAREVKRREPKARLPEWWPELREEVVVDQGPGMEKWARLANRLVRAIIDNRITGKDYKYDDAIQLRQLCKISGVKVSFDTENARDSFYRAAINFVLDDCSRAAQDIGAAQISGENPRNFLAGLASNIGLDKFRAATLVCASVAARTRSLFLQCWALEMQGKRSEALDELSKICRIHNMFPPEENSAEMEMVAGGLKKNLQVAERVHLLSLYRSICTAGNIRTAAEALGLGKLKLPDTTNCYGWGLGLQRDDEV >ORUFI05G11380.1 pep chromosome:OR_W1943:5:11334478:11334747:1 gene:ORUFI05G11380 transcript:ORUFI05G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAKVSCEVRSNTGWLHGRKLGAGQLHQRHGICRLPKLKPLPSILMLDYYLVNLPRELYLHSKKALLPAPPMTSLLLSSSSLSLCIKE >ORUFI05G11390.1 pep chromosome:OR_W1943:5:11341037:11346446:-1 gene:ORUFI05G11390 transcript:ORUFI05G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCTIVAITHPSDSTPGVGPPPPPPRLRRSPSRDRSSTCAGVCCFHRILASLPRRGFTSTLQSYESELSTEIGRNFQVDKILDLVFSVYIPKYQLDRLQGLFTFFKQCFTSPADAELFSALIKLELSVLRYYVVNALKSGRQDKVVEFFGANGNYLLQRREDWQAWFALLKISTEKNTIKCLKNDIKQLNNKLSELQALLEMKETEISQLRRNSTGVDLGNMNVPNTGAADSSLEGQDMPGVFEESSASRSAAQGFDSQSSSSVKSSTRDEKLHKSFQISNAENEQILVTEDDFPEVKVDFQETFLGHNSSISCCRFSASGSNVASSSVDGTANGGIKAWNADAKRVVCDLSTSKDFPSVLDLKCSPVEPVFVSAAASRRPGSTIFDRTGFASLTVWHMKTWKPLTVLPLGEDPPAITSVCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSPVSSVLFGPAETSIFSLGSDGKIFEWSLHNQGQILWSRDCSSPESFSKRMHEISLDSDGKRLLVTSGLVRAPIYQVQGHESGLRTLAHSASITSVDWHPTLPMYITGSADNSVRVTSIV >ORUFI05G11390.2 pep chromosome:OR_W1943:5:11341037:11346446:-1 gene:ORUFI05G11390 transcript:ORUFI05G11390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCTIVAITHPSDSTPGVGPPPPPPRLRRSPSRDRSSTCAGVCCFHRILASLPRRGFTSTLQSYESELSTEIGRNFQVDKILDLVFSVYIPKYQLDRLQGLFTFFKQCFTSPADAELFSALIKLELSVLRYYVVNALKSGRQDKVVEFFGANGNYLLQRREDWQAWFALLKISTEKNTIKCLKNDIKQLNNKLSELQALLEMKETEISQLRRNSTGVDLGNMNVPNTGAADSSLEGQDMPGVFEESSASRSAAQGFDSQSSSSVKSSTRDEKLHKSFQISNAENEQILVTEDDFPEVKVDFQLLIGTANGGIKAWNADAKRVVCDLSTSKDFPSVLDLKCSPVEPVFVSAAASRRPGSTIFDRTGFASLTVWHMKTWKPLTVLPLGEDPPAITSVCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSPVSSVLFGPAETSIFSLGSDGKIFEWSLHNQGQILWSRDCSSPESFSKRMHEISLDSDGKRLLVTSGLVRAPIYQVQGHESGLRTLAHSASITSVDWHPTLPMYITGSADNSVRVTSIV >ORUFI05G11390.3 pep chromosome:OR_W1943:5:11341037:11346446:-1 gene:ORUFI05G11390 transcript:ORUFI05G11390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCTIVAITHPSDSTPGVGPPPPPPRLRRSPSRDRSSTCAGVCCFHRILASLPRRGFTSTLQSYESELSTEIGRNFQVDKILDLVFSVYIPKYQLDRLQGLFTFFKQCFTSPADAELFSALIKLELSVLRYYVVNALKSGRQDKVVEFFGANGNYLLQRREDWQAWFALLKISTEKNTIKCLKNDIKQLNNKLSELQALLEMKETEISQLRRNSTGVDLGNMNVPNTGAADSSLEGQDMPGVFEESSASRSAAQGFDSQSSSSVKSSTRDEKLHKSFQISNAENEQILVTEDDFPEVKVDFQTVLPLGEDPPAITSVCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSPVSSVLFGPAETSIFSLGSDGKIFEWSLHNQGQILWSRDCSSPESFSKRMHEISLDSDGKRLLVTSGLVRAPIYQVQGHESGLRTLAHSASITSVDWHPTLPMYITGSADNSVRVTSIV >ORUFI05G11400.1 pep chromosome:OR_W1943:5:11360698:11366230:1 gene:ORUFI05G11400 transcript:ORUFI05G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVGGGWWRSRKTLVGLGLGQLVSLLVTATGFASSELSRRGINVPTSQSLLNYVLLGVVYGSILLYRRKSLQMKWYYYLVLALVDVEANYLVVKAYQYTSLTSVMLLDCWAIPAVIFLTWMFLKTNYRFRKYSGVAICVSGLVLVVFSDVHAGDRAGGTSPVKGDILVIAGATLYAISNVSEEFLVKVGDRVELMGMLGLFGAIISACQISIFERNEIKSIQWSVGAVVPFIGFAVAMFMFYSLVPILLKISGSTMLNLSLLTSDMWAILIRLFAYHEKVDWMYYVAFGAVAIGLVIYSGDSNSDDGRRGQVAEATDVEGKLPDEEEAAVHPKCQGAASSGIRKFDDGNGPYHALSNEELS >ORUFI05G11410.1 pep chromosome:OR_W1943:5:11366998:11367205:-1 gene:ORUFI05G11410 transcript:ORUFI05G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMQGSSTCRFCIARFFRSNSDFGDEQITVFTGVEVVPHVGDANGYANKGSNGKVQLQMIPH >ORUFI05G11420.1 pep chromosome:OR_W1943:5:11367250:11370248:-1 gene:ORUFI05G11420 transcript:ORUFI05G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQDSGSCRAGAKLGRCSSCIMTKSECVQGEKNSSGQTSLREQAATAESQTIVEFIPDNVVPLFVPRSGRTSHRRKPKRTGTGTGTAGCGCSDVVVVPLVGRRGGGGGGEKPQRRHCLVAAEWSPSPLGMLMPALAITITNHVMRAAAEAASTMGREMRWRRREEEEEVATLLLSCPRAVLLLAAPALALSSPFSDLAPPSDILSMSPSTIDFSRRFLNLIVGNRTPGVKLLWCFDLMRQQLFYPATPPPPHKVEEFWQKFRPPGTMMDSMGLPFSCFTFRASALNVNGQSRMDCFPLAGGEVICMDQSGRAFLVDADACQVGTMPSLHKPKSMPLAVFVPNAKADNDYDHDGYGSSLFVMERIPKPELGFNSDQFEAFIYRKPTISNYTKAWHCHQLPPPPFVREPKHWHSCSNPEISSYAVLGGGSHICLFVNGIGTYCLETASHTWSQVGKWTLPFHGRIDYVPEFNLWFGLSAEARRLAAADLSAMDS >ORUFI05G11430.1 pep chromosome:OR_W1943:5:11376381:11378244:-1 gene:ORUFI05G11430 transcript:ORUFI05G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESCDLNKDEAEILKPSSSSSPSPSPTTASPSPPTAQMTEPPPPQSTPPTPPAAAAAASAAAAPQFSAKNCEGILIEVSKKRKLAEATATDANAVVVAAVAEPLSPVLFVNRCNVCRKRVGLTGFRCRCGELFCPRHRHSETHECSFDYKTAGREEIARANPVIRAAKIIKI >ORUFI05G11440.1 pep chromosome:OR_W1943:5:11384876:11386048:-1 gene:ORUFI05G11440 transcript:ORUFI05G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGQRLDLEFPHLDLALQHVSRTCYPSHGDDGGWGGEEMAMDSTQQWPQASKHLVKGGFWSRPQVGRGSTDRLTMRASKHEVMLRVSCSAREESEGSRVGFLSRMREVAMQAVPIEQPCINVLGAKAEPLGRCGKLDNDNSQLFSFWLCGKGFLGGVVLMASVEQLSCRGGGATAPGGKLSNDNPQYLCSGNTRGVRQM >ORUFI05G11450.1 pep chromosome:OR_W1943:5:11409470:11410546:1 gene:ORUFI05G11450 transcript:ORUFI05G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFGQAGGREAAREGCGGRKGGVQLALTRSRQQVCNTVLFVYAHNKNEIKGSSSGATGKLAAHTHFIEHILSVLDFLNVLYCDQTNKVFNWHTVFSFGLK >ORUFI05G11460.1 pep chromosome:OR_W1943:5:11441266:11442033:1 gene:ORUFI05G11460 transcript:ORUFI05G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFHVPGFCFLLLPRLDPSSSFPRSSALAVAHRPPTMEAAGLEEEEEEAMPWWCCRSRRRRRPSNPRESTSSAAESMIRRTYRWWQLEPTGGVSSTAISRDDLGRGLAQQHEHRSMHTNSQSTTNATLPNDITDWEGEGEMRKRLKCGPILVLDPDQVHEGSMWHMPTLSHGADQGLVFDQ >ORUFI05G11470.1 pep chromosome:OR_W1943:5:11446324:11453695:1 gene:ORUFI05G11470 transcript:ORUFI05G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGVEVNGGGGGDVVVVVPQQHEVAAKQAAAAAKGKSVESKGVRVVGGRIYDPENGKTCHQCRQKTMDFAASCHKIKKNNKQCTIQYCRKCLFNRYGQEAEKVANDGTWTCPKCKDICNCSFCMKKKGLPPTGILAHAAKASGCASVHHLLKKGKEAVAAAQRSTQKVRSTPVKKSPKRAIQPDAAADEPLAEGDENVCIDFNAAPVKKQKRSRKVGNGVALTKDESPDAPKEQVVLPKGTPVTSVAGAEWEPEDVGLALQFFEFCRTFAEIFQVRKGQPERILRDIAGGRGLRVVSSVIADFHITLLSIIQEGRGIKPITYSRDNDAWIVDTGKCISESIFVPEGLPLDSLSQGVSGYKNLSPSCKLSVLNFLCDESLSTEKLRSCILSETKNPSREKAHSAKEKEEPKEETIKNTDEAVLLKTEGAAVAIEEDKNGISQQKDVKEVKNADTNEKKHGGFLRTNPFMVDKKVIYWKLDDYCNNTTMMLQEVDADDLMGNKDKWFMLNEDEKKIVENYLSTRIQTISSDVFTIDLKVLYKCFKNQDYIGLNAGGEKLHNSLLIILSRFLTWLKVGGLC >ORUFI05G11480.1 pep chromosome:OR_W1943:5:11456824:11458076:-1 gene:ORUFI05G11480 transcript:ORUFI05G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVTASKWSDPLHHEDDQQAGPLPNNVEINVAEANKWMRRTSCHALASGGKRPSAADHGESHHAAKQQPMVAPPPLSTSSTSTVGIRSKQTMHLTMTSSPVRAYTMLGLESIGCYMGLRVAKNKVYMCVVLLLKCSFDVPKTSSRLKPYL >ORUFI05G11490.1 pep chromosome:OR_W1943:5:11459289:11461167:-1 gene:ORUFI05G11490 transcript:ORUFI05G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTATRRAARGARGATATARGREWRRRAASAAQGSKRRGDGRAATGRATRRRDGARGDGGTRGAAAGWRRRRRGSGSAQLEAATRRAGGAARGSARLGGARRDGDRRRDGVAARQRRRREVAMGRRAAMGCDGDGAQRWDATATGGRLTDGGGGGARRRRSARHSAQCGGFEATARRNEGGGAAAQRRRRVEEEGETEGNGCGWRRGGGRGFIERGGGVG >ORUFI05G11500.1 pep chromosome:OR_W1943:5:11470088:11470451:-1 gene:ORUFI05G11500 transcript:ORUFI05G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVPSQFSKDVNSSIVSFNSSNLLAEQLLDLEEKRTLEAVEMIIGMNIQDRVKNQTMAKELTNMNMLLQGQVFDVMDVGADED >ORUFI05G11510.1 pep chromosome:OR_W1943:5:11477944:11479595:-1 gene:ORUFI05G11510 transcript:ORUFI05G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEAMLVVCANDVGLVYDINTCEKIMYIHDCVAPPCGLAFVDGFLLAASRTDKDQPIFGSAIYFWAPSKIKEVQKSYVAEAIGPIACSKDGVYLVGGASSGHTYIWEDATLIINWFAPFVNVSLDDLNKEVHVTDKRKKVYDHGS >ORUFI05G11520.1 pep chromosome:OR_W1943:5:11483827:11488718:1 gene:ORUFI05G11520 transcript:ORUFI05G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPLRRVAALLLLLVAAASTPTARADLVVTRADRKVDLTSHIVRVLTSLKVENSGPEAVSQFLLAFPNVQAKNLAAIRAFGTEEKVKGPSMVLPIEVVQPSGVPPELTFFSASLSKPLEKGKTLHLDVLTVFTHSVQPFPEEITQAESQLVVYQDSAQYLSPYPVKVQTLSIRLPGGRVESYTKYPNTKLAESELKYGPYEDLPPFSYSPMVVHYENNNPFAVAKEVIREIEISHWGNVQITEHYNIAHGGAKLKGEFSRIDYQSRPYIRGVSSFRHLIARLPPRAHSIYYRDEIGNISTSHLWSDSKKTQLEVEPRFPLFGGWQTTFTIGYGLPLQDFVFNSDGKRFLNITFGSPVEEILIEKLIVKVVLPEGSKDIDISVPFPTKQEQEVKYSHLDISGRPVVVLEKLDVIPEHNLYFQVYYRFNNISLLREPMMLITGFFLLFMACIVYMRTDMSISKNSPSYLAKVQWDEVQSIIQQIQAIFNQCLAAHDKLETSLHELSRSGDVKSCKVARKTADAQFKELAKELKPLLTSLQSSSQSYQIWPKVEELVAKERELQDKLMTRHSTVVDSFEKKLRGQDVENRIAAQQQKVAALRQEVESLLEYISEI >ORUFI05G11530.1 pep chromosome:OR_W1943:5:11489661:11495314:1 gene:ORUFI05G11530 transcript:ORUFI05G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIEQVVAHNGEDGGGESGENGAAVEQESSGKREVEDEGRERESSRRVKWNEDNLYEIESNKPVRQKITEPKTPYHPMVDDDGSLSPTRPFDKCLDETVNAEAILTALNGVASSSKTDPKDDGWASSDDDADAMEQDDDPEAEKSRLSFKEHRRAHYDEFLKVKELMRSGSLIDDEADEDDRGAKGSQAKAVGKKPAGCDSTPPPQT >ORUFI05G11530.2 pep chromosome:OR_W1943:5:11491501:11495314:1 gene:ORUFI05G11530 transcript:ORUFI05G11530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKFSRRVKWNEDNLYEIESNKPVRQKITEPKTPYHPMVDDDGSLSPTRPFDKCLDETVNAEAILTALNGVASSSKTDPKDDGWASSDDDADAMEQDDDPEAEKSRLSFKEHRRAHYDEFLKVKELMRSGSLIDDEADEDDRGAKGSQAKAVGKKPAGCDSTPPPQT >ORUFI05G11540.1 pep chromosome:OR_W1943:5:11497484:11499925:-1 gene:ORUFI05G11540 transcript:ORUFI05G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGINQLLRRTLHNQSSGSSLLSSFRIKHEESSAGLRALALLGVGASGLLSFATIASADEAEHGLAAAEYPWPHAGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYPNEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVQIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMRWSVLKSRKLVLDVVN >ORUFI05G11550.1 pep chromosome:OR_W1943:5:11518523:11519242:-1 gene:ORUFI05G11550 transcript:ORUFI05G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRNPSRHRRPRRSDLRDVVVDRGEVVGGGGKVEGREYVGGLAGHLVVWAPHIALLQMPSMEEEEEDGELLMVDMEMADEDELLSLNDGGKGHERQFYLIIRWRSEIDFENVQKGQNFAKWRCSGAQGSMHPEGRARGAPSAAAALPSSTSSPLLLVVVGAVSMGSLSSTKSRRKREGRRRDGGRARGIVVAAIFFLLFS >ORUFI05G11560.1 pep chromosome:OR_W1943:5:11521721:11522092:1 gene:ORUFI05G11560 transcript:ORUFI05G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSMGLPFSSINFRASALNVNNQSKMDCFPLASREVICTDQSGRAFLVNADTCEVGTMPSLHKPKSMPLSVFVVRSHDGYGSSLFVMERIPKPELGFNSDQFEAFVYRKSTLSSYRKAWHCH >ORUFI05G11570.1 pep chromosome:OR_W1943:5:11522214:11525652:1 gene:ORUFI05G11570 transcript:ORUFI05G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTAWRQQATHGAKLAKWTLPFHGRIDYVPEFNLWFGLSAEARRLAAADLSAMDSQPQLVGPWKELNLPEEWRECKDPQLVNLGSGRFCIARFFRSNSDFGNEPIAVFTDHMVSSDEINQLEDGLADDSDLDTGASSNLGDDGAINIDSDANLDNDGAVDLDHDTDLDDDTGIDLDVI >ORUFI05G11580.1 pep chromosome:OR_W1943:5:11527008:11532300:-1 gene:ORUFI05G11580 transcript:ORUFI05G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRDPGEEASAPPPPPPRRGEKRRMRGRTPSPEPASAPQDLCPSGACGDNVAGATTTNGKWHPHESYRPEIDDAPVFTPTEEEFKDPIRYITSIRPQAEKYGICRIVPPSSWRPPCSLKEKNFWECTEFNTRVQQVDKLQNREPTKKKSQPRVQKKRKRRKRLRFGMTHRRPSANTSEDCADADEKFGFQSGSDFTLDEFQKYADEFKQQYFGIKGSDEIPLSEIKKKKKNWQPSVDEIEGEYWRIVVCPTDEVEVDYGADLDTSMFSSGFSKLSSDSNRRDPYGLSCWNLNNLPRIPGSVLSFETEDISGVVVPWLYVGMCFSSFCWHVEDHFLYSMNYMHFGEPKVWYGVPGADAVKLEEAMRKNLPRLFEEQPDLLHELVTQLSPSVLKSEGVPVYRVVQNPGEFVLTLPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQCAVELYREQRRKTSISHDKLLLKTANEAVRQLWMNLSDCKSEQGVYRWQDTCGKDGMLTSAIKTRVKMEKAARGGNMALRYKKMDGDYDSADRECFSCFYDLHLSAVSCQCSPNRFACLNHANILCSCEMDRKTALLRYTIEELHTLVAALEGDPTAVYQWGQNDLGLVCPSGSTQYKKMDLGENTEFPDSATNVNHGCSLGSQDQYHYDPAKPAGYQQEKGIQIASEKHDKNKMVVNLESPATASNPSRSKSDCSGSLSLNHSSELPSSRIQTGNSTLASITTEKLLGVDIKSNLAQSSDGQVSQLAKPSSSQTDEVSKPAIAKYTVELLDSGTMMIGKKWCNQQAIFPKGFKSRVTFHSVLDPTRTCCYISEVLDAGLLGPLFRVTVEGLPEVSFTHTSPMQCWDSVRDRVNEEIAKQISFGKSGLPDFLSCNSLNGLEMFGFLSSPIIKEIEALDPCHQCLDYWLSRVSSVGTELPSESVMAAMVNDSTNPPIKLLGIEINRKESEQSSSFNNSCVRRSHLAGC >ORUFI05G11590.1 pep chromosome:OR_W1943:5:11560344:11561114:1 gene:ORUFI05G11590 transcript:ORUFI05G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGVLDSLAAEERWLYLGFLAMYAAIYCVGQLALLRRWAWPLRLDGASCLISLAHGTPAALAAAGAILALPPEARGFAAPNTRLQDHVLDYSVAYFTMDLLHYLAFLPGDTLFIAHHVATLFVFVTCRYLVRHGAYALLVLLVLAEVTSLLQNVWTLAGIWRAEKPAAARVYRALSPPFYFIYTVVRGVAGPLFFLKMSLFYLSGQAVDVIPWWVRISWIVVVGTAITVSNLWIWNLWKELFRERKQSMTKKST >ORUFI05G11600.1 pep chromosome:OR_W1943:5:11564974:11568243:-1 gene:ORUFI05G11600 transcript:ORUFI05G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGPFSPTQNMDKYTTHSPKSKARHASPSRQPTRPAPGGGAPAAAAALAIVGFTPHRQPSAGCFLKMADDLGPPTVLQKIHGQSMMFSKISPYSLKKPALYNANTSYSVPLKSYNGMDGNNGFSSVTSVSPVFASAPKEKGLSGFMIDFMMGGVSAAVSKTAAAPIERIKLLIQNQDEMIKSGRLSHPYKGIADCFGRTIKDEGVIALWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFAGNLASGGAAGACSLFFVYSLDYARTRLANDAKAAKKGGGRQFNGLVDVYRKTLASDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGNLQDNFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYNSSLDAFKQIVAKEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVVVFGKKYGSGGG >ORUFI05G11610.1 pep chromosome:OR_W1943:5:11568860:11569470:1 gene:ORUFI05G11610 transcript:ORUFI05G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGAGGMVTRRGAGRRRVRVGAGGRRRGSRPLPCPTVLGSERPRRWEKEEDVGVGGGSAVGEGEDELEGVDGDVVAVVELDGTVDGAAGVGLAEAVEAVEDGLVLADIEALERPNLVLLGLRHTRRFAPLTPVPPRPTGLLTYTRLPAAVAVPRSTPAMANWAEMARPSTTTTSSRPTSRSTWRAVARDRAPPASSP >ORUFI05G11620.1 pep chromosome:OR_W1943:5:11575838:11581364:1 gene:ORUFI05G11620 transcript:ORUFI05G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFPTSTPFFAHHGRRRPSPSVSVRTAAAVYGRGGGRRWRPLRVACEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGARTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVDEESKQVSYRVIRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLAGNFKNDEGIDLLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLRTPVDNALRDAKLSFKDIDEVILVGGSTRIPAVQDLVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVSAVDKGTGKKQDITITGASTLPKDEVEKMVEEAEKFAKEDKEKRDAIDTKNQAESVIYQTEKQLKELGDKVPGDVKGKVEAKLTELKDAVAGGSTQTMKDALAALNQEVMQLGQALYSQQGAPGAGPTPGADAAAGSAGPSEKPGGEEGDVIDADFTDSQ >ORUFI05G11630.1 pep chromosome:OR_W1943:5:11603353:11604009:-1 gene:ORUFI05G11630 transcript:ORUFI05G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGKGRAGRKKVEIKRIEKKDARDVCFSKRRQTLFNKAGELSLLCNANIAAVVISPAGRGFSFAHPSVDDVADRLASMAMGIPNNHSLGGGYHDSGEVTNIAQQQKIEYVELQKSLEKSEKKKRVQEAMEKERAGHLMQSLTSEVNLLGQDELEELHNKLSALPYTSIAKFYQVLQDAKGTRMPLPQPHIEIACQSQFLFEEQAVTPANADFPGSST >ORUFI05G11640.1 pep chromosome:OR_W1943:5:11611729:11613391:1 gene:ORUFI05G11640 transcript:ORUFI05G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRIRGKLKRISQFNSAKTGRKKKISKKRPAQQEPERRLGMIRFRIMACAKSPEQDICYICGDDDHMEHFCPYNYMFGRYFSDTCRGECPPQEHRITSWDHREFLRRFVRVTNLPPGFGVWDLEDLFSPFGALLMWNVPKFRNYLCGCTTGIHMSFGFVVFKRREDGERAVDELNGYQAGDRRLRVDWGWQQGRAGLGWIAPAPDPIKEVG >ORUFI05G11650.1 pep chromosome:OR_W1943:5:11614514:11618204:-1 gene:ORUFI05G11650 transcript:ORUFI05G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARIRGDEGIGKNSCNKTWRKKISKKQSAQQGGVNQPLVVPQLFKFHKFMRLFTLQEMLFLRRRKSKWEQQQEEVELFSEEEECSTTRFWRDPDTEFCNICGDDKGNHLELMCPYNYLSPAAYFPCRARLALWGNYTTTLRYKCSRHREEEQSEPPMHDEANARRLGFLRCLVRVNNLPELCPPEQLVELFGRFGPLWMWYVPTRGSGGTCKGFGCVVFQRHRHAEEAVEALNCWEFGGRKLRVDWAYPCLN >ORUFI05G11660.1 pep chromosome:OR_W1943:5:11625981:11626628:1 gene:ORUFI05G11660 transcript:ORUFI05G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWRAEVAAATSTPPLASGWLAEVAAAAGWQWGGSGEWEGSGLPSHGRRLAGRGGGGGGGRTLPSARSGERGGGELPSARSDARGASGEVAGSCLAEAAAAQLGGGSGRTLPMRRRRRAWRTAVARAMWRPATFLGELVLDEYTSLLLSLSRIQEAVTKGSRDGLEGGTAVECGW >ORUFI05G11670.1 pep chromosome:OR_W1943:5:11630890:11632254:-1 gene:ORUFI05G11670 transcript:ORUFI05G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVETTSSGLFSLPIDLVEEILMWLPSRSLARLRCTCRSWNELISSGGFVDRYLQNAAARHSAPAKLVLTPLSKRHARSFHAPMCCRDCPRIIGARPCRGLVLFCRPCALTYSVCNPSTGGVLHLPPCHSEWYMSSAGIGFDSATGKYKVVQLVDPSSPKVVGTQCRVLTVGDDPLGWREPLGEACTILQEDHAKEGGCIADVDPVFANGRLHWTLTPKFLVCDTPQGILAFSIGDESFVTVPLPPFASADLDVCSSSVCVATNVYLEHVRPSKLLPKNKEIFAPAGTVLAELDGCLCMVRDLRHRRNMDLNETTMFEIWKLGTYETGEWSLDYRIDLPRGYRAAERLVTPWLVMPLAYVGGDPASTGGQRRKAVLLATTAHEAHVYDPEAAVLHRVASMSDDESRSCDAAFYLDNRLRLTLYQESPVQMDGMEHDGGDAKLIGYSILNSEQN >ORUFI05G11680.1 pep chromosome:OR_W1943:5:11642263:11644547:-1 gene:ORUFI05G11680 transcript:ORUFI05G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEHVVEQDVAMLPAAVDGEREDRPSDRYVVAARRDGEEDGLRLAVPAGALVPRVTYRVSGWVAVQVQGGGDCDGDGERSHVVRVSLCLDDCGVEGECRRIDCGAVCTGVAGGWVEINGTFRLNETPRGTTAVHVHGAPAGVDVKVMDLRVFAEDRKARFRQLKDKTDKVRKRDVVLKFGVGAGVAASIVAGAAVRVAQRGQLNYRDADALLDFCDRHGKQARGHCIFWAIDGDVQQWIKDLGRDDLAAAVQGRLNGLLSRYAGRFPHYDVNNEMLHGRFYRDRLGDDAAALMFREAARLDPGAQLFVNDYNIECANDPNATPEKYVELVDALRRGGAAVGGIGIQGHVSNPSGEVICDALDKLATTGLPVWITELDVGEPDVSLRADDLEVVLREAYAHPAVAGVVLWGFMQGRMWRQDASLVDADGTINEAGQRLVDLRREWMSDARGTVDGDGNFRFRGYHGTYVVQVTTAAGKTLKTFTVDKGDTSLVVDMAN >ORUFI05G11690.1 pep chromosome:OR_W1943:5:11649169:11651143:1 gene:ORUFI05G11690 transcript:ORUFI05G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRGPPSPPSHPNPIDPFAAAAAAEAEGEAAPPPRNPIIPRDPPSPEMEATAEALTREEVLRRRRRRAKRLVDVYRRLYWTLGEELRARHRQYVWELGRSPLEAEQPPPPPPTSAAPGPGGDLVVVRPVSATVPRRKKCGFAGCKVRTMAMARFCHSHILSDPNQVLYKGCAYISKRQVADNPSSSPRFSDKAFWILGNSLSGSNNNLGGPQVQITCGRPILKASVPSLCNSHFQKCQKLITQGYKKFGVNPSPTGKVSPNFSLLVAECVRQIQAKRRESPS >ORUFI05G11700.1 pep chromosome:OR_W1943:5:11655458:11658059:-1 gene:ORUFI05G11700 transcript:ORUFI05G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQRLIRSSSDAGHDGQEQLSPFRHFPQFSSPSNPNHLIPKRRGGAAEPATAALARPHDSSAQGCRVPQEQVRGWAGERGCVAFDGDGPYTGVSDGRVLKWIPLECRWVEHSSASHNICCLKKKGYMVARLLPITLGQVFQRIDVVSKDFGNIVDVELGGPWLLLPVEVMATLAHKFGIIGEVGFCVQC >ORUFI05G11700.2 pep chromosome:OR_W1943:5:11655458:11658059:-1 gene:ORUFI05G11700 transcript:ORUFI05G11700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQRLIRSSSDAGHDGQEQLSPFRHFPQFSSPSNPNHLIPKRRGGAAEPATAALARPHDSSAQGCRVPQEQVRGWAGERGCVAFDGDGPYTGVSDGRVLKWIPLECRWVARLLPITLGQVFQRIDVVSKDFGNIVDVELGGPWLLLPVEVMATLAHKFGIIGEVGFCVQC >ORUFI05G11710.1 pep chromosome:OR_W1943:5:11662058:11667153:1 gene:ORUFI05G11710 transcript:ORUFI05G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGSSKDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYNEADPKTHQGLDLTTMTTRELIAKYGLSDDTVDFIGHALALHRDDRYLNEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPDCKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIASTNDSHSVQIILPQKQLGRKSDMYVFCCSYTHNVAPKGKFIAFVSTEAETDNPQSELKPGIDLLGQVDELFFDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTLITGKAVDLSVDLSAASAAEEY >ORUFI05G11720.1 pep chromosome:OR_W1943:5:11679762:11687450:1 gene:ORUFI05G11720 transcript:ORUFI05G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPFCPKLWSSAAPAPVQPGSVVINGTVVVANHFGLSAPGKSTTLRLFSGTEVDHETRKGRLSAEAALRGGKKTRHGKASTTMYQVTFFVDGEFGTPGAVAVKNGNRNDQFFLRHVRLDLAEDRSIHFDCNSWSYLPDKTPEALRLLREEELRSLRGNGRGERKDWERIYDFDYYNDLGNPDNDDHVRPVLGGTKTHPYPRRCRTGRPLSKTDGVTETRKHKLINLDYYIPPDERFSPGKLAEVLAMGVQAVTHFVIPEARSIFHGDVVNFKSTEQLRADLYGKPPQPAADARVMDELKSSVPSHKTYKQVSRIVKDNPAKFPTPQVIHYDTEAWRSDEEFAREMLAGLNPVVIKRLEVFPPNKSKITTDDIMTQIGGLTIQQAMEQKRMYILDHHDYLMPYLRRINTEGVCVYASRTLLFLRDDGALRPVAIELSLPDGGVGGSEISRVFLPASQGTDAHLWHLAKTHVAVNDSGYHQLISHWLFTHATVEPFIIATRRQLSAMHPIHKLLDPHFKDNMQINTLARSILLNAGGLLEKTMYPGKYSMEMSSDIYAHWRFTEQSLPNDLIKRGMASRDPKARGGVSLHIEDYPYAVDGIDVWLAIEGWVRSYCDHFYHADAAVAGDAELQAWWDDVRRVGHGDRQGDAACWLDLDTVAGLVETLSTLIWTASALHAAVNFGQYGYAGYPPNRPTRCRRFVPLPGSPEMAQLEADPGRFFLETVPDRFTATLGIALIEVLSNHTSDEVYLGQRATSTWTDDGEVLLLLDRFRDELRRVEKRVEERNKDPRLVNRRGPVRVPYTLLYPDAGDVAGKEKGITGRGIPNSVSI >ORUFI05G11730.1 pep chromosome:OR_W1943:5:11696195:11697178:1 gene:ORUFI05G11730 transcript:ORUFI05G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTKEEVEQNEEDNTFSRLQLLAQQRHAMEEFWRRSQEQIEASAGNHEHILPIDCVKNVIRPKNDAMMLSADTPTFVTKLCELFVQELTLRAWVCANSHNRDIILGTDIAEAITTTESYHFLGNVLRSHKALGSTAPDIDTSARKHIKLDQMTSLYHPTQEMQASRLAGYPPHVPIYPPIGQMGTQHKLSPFTFMMQGESLLNMKREKSLVNEVMVCTNKMSINNFDGATSIGGGSSSDVAIVVQQGETTHPFSSQNACPSLEDNYVVPMPTGHVQSFSPPTNINVKKLHQEEKNIYSQDVAEEDMSNESLEGSQKDEDLFLHEK >ORUFI05G11740.1 pep chromosome:OR_W1943:5:11701728:11709399:-1 gene:ORUFI05G11740 transcript:ORUFI05G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGKKHKEAAALSSSSSPLLPADRKALLLLLLLLIVLLARPAASSDGVRYDYRAYTECKSHPEPALYNGGILRWANKVTDFRTEDDGNYSPAFVLYNMSAATVYSFSCWVKIDGPTTAHVKAKILTLANAASQCLGTALVRNDCWSFLKGGFTLNSASETSVLYFQFAIYSLQTASPNASTISIRSASLQPFSPEQWNQHREDRIQLNRKRFVNVHVADSNGSRVVGAKVAVHQITRDFPFGSAISRTILGNKLYQEWFNKRFNAAVFENELKWYATEPYPGKEDYTVADQLLQFVQANDAVARGHNIFWEDPKYTPAWVKNLTGSQLRAAVSGRIESLLSRYKGDFVHWDVSNEMLHFDFYENRLGGNATVDFFDTAKRADPLATLFLNDFNVVEVCDDLSSSADSYVSRLRQLADGGVTFEGIGLEGHFGKPNIPYVRAVLDKLGTLRLPIWLTEIDISSSFDPKTQAAYLEEVLREGFAHPSVDGIMLWTAMDTNASCYQMCLTNQNFTNLPAGDVVDKLLGEWQTKETLGTTNDRGSFNFSAFLGEYKLSVTYLNLTAEGTFSLAHSDDTKHINIRLSPSC >ORUFI05G11750.1 pep chromosome:OR_W1943:5:11718264:11727901:1 gene:ORUFI05G11750 transcript:ORUFI05G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSHCQDNCPVVKDPDKNYTCCGLCGKVLDDQVYDGEPTFQKGADGQARLAGSILSSIESGNSVSHERTINKGREEIRQIVSSLHVAGGDTIISMAHRYYTLAVDKNFTRGRRTTHVAAACLYIACRQSKKAYLLIDFSDHLQISVFAKFCYSRNIQLSKSSSTPAFSYIVLQNTGRKPSGLCGAALYIAALSHGYDYTKADIVAVVHVCEATLTKRLIEFENTDSGSLTIEEFLAKADEQVLVTKISPKSGEVLCKHKDKAEHFAHGLCEKCYNKFMKLSGGLEGGSDPPAFQRAEKQRLEAAKNAKGTAASKEAALESVCEARESDVENNITTPPKNIIGDKHSTIPSVKVAGDSVATEDPEGEGKNDKADEGPESLSDIDDAEVDGYLHNEEETQYKKIIWEEMNKEYLEEQAAKAALAAELAARGVVVEEGKRKRRRHNEDGKNATPAQTPAEATQNMLKRKRLGSKINDEAVNKLYNTKDEDGKADKEMDFNDEYGQDTGDGETFEGGYDYPDYNYDGYGVGAYGDYDGVDF >ORUFI05G11760.1 pep chromosome:OR_W1943:5:11729139:11731451:1 gene:ORUFI05G11760 transcript:ORUFI05G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVADPGPVRAEGLLLQCPYCDSEAMHKLAQFLLPGLAAVCIDCTTGDLFRKPSVVAVDMRKEMVDYVTQRSETFISDSLIESEASQDQENEMPEDPFEIVSIFMDDFSSTKRNIIGHVSGWLMSDSREDKIDDFVQEMEMTRFWPLERREVIAEVLLKNVDLKTKYHCPEKYENEERLADHKAQCSFRPVTCPNDGCRAKVSVRCMQDHDSACLFKILTCEQNCEKRLLRRDMDRHCVTVCPMRPMKCPFGCDSSFPERNLEQHCSEFLQAHLHKLLKAIHKKGFTDEGLKDHALLLEKHDNDGKLAKSRDVRSLTNVVKNLEAKIKDDSS >ORUFI05G11770.1 pep chromosome:OR_W1943:5:11733792:11741835:-1 gene:ORUFI05G11770 transcript:ORUFI05G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNLPKAVKPIGVRFLSGARAACVGVDVYPSHFASLLKECKAILLHMSHLMPDVFVGNALIDAYGKCGLMENAVKVFNMMEFKDVVSWNAMVAGYSQSGNFKAAFELFKNIRKENIPLDVVTWTAIIAGYSQRGCSHEALNVFRQMIFSGSLPNCVTIISVLSACASLGAFSQGMEIHAYSLKNCLLTLDNDFGGEDEDLMVYNALIDMYSKCRSFKAARSIFDDIPLEERNVVTWTVMIGGHAQYGDSNDALKLFVEMISEPYGVAPNAYTISCILMACAHLAAIRIGKQIHAYVLCHHRYDLSAYFVANCLIDMYSKCGDVDTDRHVFDSMSQKSAISWTSMMIGYGMHGRGSEALDIFDKMRKAGFVPDDITFLVVLYACSYCGMVDQGLSYFDSMSADYGLTPRAEHYACAIDLLARFGRLDKAWKTVKDMPMEPTAVVWVALLSACRVHSNVELAEHALNKLVEMNAENDGSYTLISNIYATAGRWKDVARIRHLMKKSGIKKRPGCSWVHGQKGTASFFVGDRSHPLSPQIYALLESLIDRIKAMGYVPETNFALHDVDEEEKNNLLVEHSEKLALAYGLLTTFPGCPIRITKNLRVCGDCHSAFTYISKIVDHEIVVRDPSRFHHFKNEYNHVLRGGAMWIVDQEKGKGKM >ORUFI05G11780.1 pep chromosome:OR_W1943:5:11759449:11763245:1 gene:ORUFI05G11780 transcript:ORUFI05G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVASGLLKVAGHKLVSLIGSEFAAIARVAEDLSELHGIHGEITSWLSTVRDGSIECDPQFRWVIKLKDVAYDIDDLLHEVQLEYEKHKIHSNGDKHAIFDTLREKPKSFMFRRKMARKIKDIKVKYNEIVRQRRDANTIRSSLQVDQPIPSSNMIIGELSLLSNVEESKIPIRDQEMDTIVSMLVDSNEGENCWIVSVVGLGGSGKTTLAKHICHVNKIKELFKERIFWVHVSREFDVQKLIGKLYETIVGRKSDCQPQQQMVHEISKQLCCNKFLLVLDDAWHTDGYEWGQFMVHLQDRSIGSRILLTTRDRKVAEVVKSKQIHELVFLTESESWSLFLKCSGWVEDDLGSEFIQLGKEILKKCGGVPLAIRTIAGVLCEKREISTWRAIRGSDLWNVGSVNDRVFASLKLSYIHLADKLKQCFTFCSIFPKGYVINKDRLVAQWIAHGFITPMKEEQPKDIASEYFDSLVKAGFFLQDTIEEFGYLYKMHDLIHDLAQYCEKNEVVTSRPNSMSTYQTHKCRYLSLTSGNEKVKKGLLDKVHALYMSDGNLSFDKPVKKSCYIRSVILDNENCTTFPPVLLKFEFLGYLEIHGVDCKKLPEAISGCWNLQSLHFIRCSGFVMLPESVGKLKKLRTLELNYVIDLESLPQSIGDCQGLQSLQLHSCNKLQGMPTSIGRIENLRVLHITSCPCMQKLPSEPCGESNNLEIINLSNCHNFHDLPSTFACKALRTLNFTIPRLPCYLNGISELETLDMISGKMKIINLKYTLDPTDADKASLKRKNNMKYLELDWSRGETEKELVTDMVMEQDLAVLNALESPSRIEEILIYYYGGPCLPWWMKKQTDSSCWESTMLKQTNPCQLIYLTRMTLHEIPNLKHMQGLVELPLLNYLELFGLPNLEDMWTTTGGAEIRGDELQAKYCFPVLSTLWIRGCPRLNVVPYFPSSLERLFLRESNDQLLSSGSFSHLLPPLAHESSPCSNAHSAVPRLKELTIIKMTGSSCGWDFLQYLDALEYFNIFGSNDLTQLPESMRSLTTLHKLIIHDCPTFGMLPEWLGELCSLRSLFIKGTPMMDSLPQSIGCLTSLTHLTIACDNLKQLPETFHHLTSLRELDLAGCGALTALPENIGKLSALEALYVGPCSAIQCLPESIKHLTNLRRLNISGCPNLVKRCEQEVGEDWQLVSHIPNLISD >ORUFI05G11790.1 pep chromosome:OR_W1943:5:11765329:11770166:-1 gene:ORUFI05G11790 transcript:ORUFI05G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANADPTGVLLGGPHHRNPSPAPALAQPPGASTAAALRHDPGLSVRWTPEEQAVLEGGLASYAADAAVVRYAKIAMNLPDKTVRDVALRCRWMAKKESNKKRKEESSKKNKEKKERANDSSSKGPAHLVARPNAAPYSLPVLPMDDDDVSYKTIGGQTGQILEHNAQILNQIYTNISNMQVQENIPLLCQTRDNILAVLKEIGDVPEIMRQMPPLPVKLNEELANSMLPRPPHT >ORUFI05G11800.1 pep chromosome:OR_W1943:5:11775790:11781826:1 gene:ORUFI05G11800 transcript:ORUFI05G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRRLFLLLFLCLLAGSRIASADTNPQDAAALRSLMKKWTKNVPASWRKSNDPCARWDGITCDRNSRVTSLNLFGMNLEGTLSDDIGNLTELTVFVIRCLRPMVFHDDTESPNDELHRRDLSSNRGLGGTLTPAIGKLANLRIFGLNSNQFTGKIPPSLGKLSKVTWLDLADNQLTGPIPNSRDHGSGFDQLLKAQHFHLNKNKLQGSVPDFLFNSSMDVKHILFDRNNFNGSIPASIGVLPKLEVLRLNDNAFTGPVPAMNNLTKLHVLMLSNNKLSGLMPNLTGMDMLENVDLSNNSFIPSEVPSWFTSLIKLMTLKMQSVGLSGQLPQKLFSNPNLQYVVLSDNQLNGVLDMGNISDELHVDVRNNKIISLAVYNSFTGETLELAGNPVCGDSLLSSMKPCTDLTTEPLHKPPSIDVQCANPFVETIVFRAPSFGDVVKFLPSLQANLSSKLNSCTPNNLGLVYSNDDAYLNVDIRACPVNQKRFNYSQVLNCFNLTLQTYKPPEIFGPYYVKAHPYPFHDKTSRAVLIGVVTGSLLLVIGLTLVGVYAVRQKKRAQKLVSINDPFASWGSMGQDIGEAPKIKSARCFTLEDLKLSTNDFREINAIGAGGYGTVYRGKLPDGQLIAIKRSKQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEKGERMLVYEFIPNGTLSEALYGIKGVQLDWSRRLKIALDSARGLAYLHDHADPPIIHRDVKSTNILLDERMTAKVADFGLSLLVSDSEEGQFCTNVKGTLGYLDPEYYMTQQLTAKSDVYSFGVVLLELIVAQPPIHKQKYIVREVKTALDMGDQTYCGLKDVMDPVLQKTGDLRGFARFLKLALQCVEDLGTDRPSMNTIVREIEVIMQDNGIRTGMSSTSSSFSIDSRTMMAAPKYPYSNASTSSTAFDMDSRAFEYSGKFPSEGSLKNRGT >ORUFI05G11800.2 pep chromosome:OR_W1943:5:11775790:11781826:1 gene:ORUFI05G11800 transcript:ORUFI05G11800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRRLFLLLFLCLLAGSRIASADTNPQDAAALRSLMKKWTKNVPASWRKSNDPCARWDGITCDRNSRVTSLNLFGMNLEGTLSDDIGNLTELTVFGLNSNQFTGKIPPSLGKLSKVTWLDLADNQLTGPIPNSRDHGSGFDQLLKAQHFHLNKNKLQGSVPDFLFNSSMDVKHILFDRNNFNGSIPASIGVLPKLEVLRLNDNAFTGPVPAMNNLTKLHVLMLSNNKLSGLMPNLTGMDMLENVDLSNNSFIPSEVPSWFTSLIKLMTLKMQSVGLSGQLPQKLFSNPNLQYVVLSDNQLNGVLDMGNISDELHVDVRNNKIISLAVYNSFTGETLELAGNPVCGDSLLSSMKPCTDLTTEPLHKPPSIDVQCANPFVETIVFRAPSFGDVVKFLPSLQANLSSKLNSCTPNNLGLVYSNDDAYLNVDIRACPVNQKRFNYSQVLNCFNLTLQTYKPPEIFGPYYVKAHPYPFHDKTSRAVLIGVVTGSLLLVIGLTLVGVYAVRQKKRAQKLVSINDPFASWGSMGQDIGEAPKIKSARCFTLEDLKLSTNDFREINAIGAGGYGTVYRGKLPDGQLIAIKRSKQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEKGERMLVYEFIPNGTLSEALYGIKGVQLDWSRRLKIALDSARGLAYLHDHADPPIIHRDVKSTNILLDERMTAKVADFGLSLLVSDSEEGQFCTNVKGTLGYLDPEYYMTQQLTAKSDVYSFGVVLLELIVAQPPIHKQKYIVREVKTALDMGDQTYCGLKDVMDPVLQKTGDLRGFARFLKLALQCVEDLGTDRPSMNTIVREIEVIMQDNGIRTGMSSTSSSFSIDSRTMMAAPKYPYSNASTSSTAFDMDSRAFEYSGKFPSEGSLKNRGT >ORUFI05G11810.1 pep chromosome:OR_W1943:5:11782161:11790498:-1 gene:ORUFI05G11810 transcript:ORUFI05G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGLVPITRAYLARYYDKYPLPPLPDAATALADRLRAISAALALAAAAPITPDEELLEKEANGIPAHKIDENLWKNREQMEEILLLLNKSRRPVALQQRSTAEDTETFIILDDVETKLKDMLKKLEQFQIKNADNVFNTVMTYMPQDFRGTLIRQQRERSERNKQAEVDALVNAGGSIRDRYALLWKQQMDRRVQLAQLGSATGVYKTLVRYLVGVPQVLLDFIRQINDDNGPMEEQRERYGPALYTLTKLVLAIRLYLHVSLARYGQRKIEKDDIAVLQQAVAIYTEEFEKFTEFIGEVFVNAPFFISAEDAGAESRNSDDYKETIIPAGKTHEVILSVEAVNSYIAWDFSLQQGALNMVLDIGFHVEYISPSGQKTLILPYRRYEADQGNFCTVSAGSYKLVWDNSYSSFFKKGLQGLFVTLDYIDS >ORUFI05G11820.1 pep chromosome:OR_W1943:5:11822765:11823272:1 gene:ORUFI05G11820 transcript:ORUFI05G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEKVGGGVAGQGARGALCWTEHMSAFMLRKMVELIAQGTQVYNHLCKCRSRWVRIARLKDLSRALWDDQNNMIVLEEEHYMGHTKDKSKGVEFLNVLVENYTPMSIIFGGTQAT >ORUFI05G11830.1 pep chromosome:OR_W1943:5:11854922:11864489:1 gene:ORUFI05G11830 transcript:ORUFI05G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMADELGHLLVFGFLFNLGVYMVAPAMTDVTMDALCPGQDECSLAIYLTGLQQAITGLGALVATPIVGNLSDKYGRKALLLLPATASILPLACNRTKAFFYAYYITRMVTAMVAEGSMHCLSLAYVADKVPPSRRAAAFGVFSGVCLAGFVAGTVAARFLAVAAVVTAAAAVYMRAFVKETDGGASLLRATAGDENSSSHPLCVPSCSSSSSQDVAPPTLPPLRKALSLSDMADLLTTSSTFSREALVIFFYSLGETGLQTAILYFLKVQFQYSKNQYANLLLVIGIAGSLSQLVMMPILAPKLGEQKLLIIALLGGCVHVPYLGASFVIVSILVNPSIRSIVSKRAGPFEQGMVQGCLTGISSTANVISPIVFSPLTAWFLSETAPFNFRGFSLACAGFAMLIALTVSINMRPAELQPDSK >ORUFI05G11830.2 pep chromosome:OR_W1943:5:11861105:11864489:1 gene:ORUFI05G11830 transcript:ORUFI05G11830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKLFDPGVVAAVVTAAAAVYMRAFVKETDGGASLLRATAGDENSSSHPLCVPSCSSSSSQDVAPPTLPPLRKALSLSDMADLLTTSSTFSREALVIFFYSLGETGLQTAILYFLKVQFQYSKNQYANLLLVIGIAGSLSQLVMMPILAPKLGEQKLLIIALLGGCVHVPYLGASFVIVSILVNPSIRSIVSKRAGPFEQGMVQGCLTGISSTANVISPIVFSPLTAWFLSETAPFNFRGFSLACAGFAMLIALTVSINMRPAELQPDSK >ORUFI05G11830.3 pep chromosome:OR_W1943:5:11854922:11861416:1 gene:ORUFI05G11830 transcript:ORUFI05G11830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMADELGHLLVFGFLFNLGVYMVAPAMTDVTMDALCPGQDECSLAIYLTGLQQAITGLGALVATPIVGNLSDKYGRKALLLLPATASILPLACNRTKAFFYAYYITRMVTAMVAEGSMHCLSLAYVADKVPPSRRAAAFGVFSGVCLAGFVAGTVAARFLAVQSTFQACPFDPRTG >ORUFI05G11840.1 pep chromosome:OR_W1943:5:11862052:11867870:-1 gene:ORUFI05G11840 transcript:ORUFI05G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAIRPDSYTLPFLLLAAARYPAPSLARAAHALLGKIGLNGHDHTVHSLITMYSYLDDPGAARKVFDGIPTRDVVSWNAMMKAYGRVGMNGEVGRMFRDMVKEGTVAPNAVTVAVVLAACRDQGDLVLGRWVEEWSWSARMEMDSLVGSALLGMYEKCGEIAEARRVFDTIIDKDIVAWNAMITGYAQNGMSNEAISLFHSMRIAGMRPDKITLAGVLSACSAVGALELGSELDGYASRRGLYSNVYVGTALVDMYAKCGDLDKAIEVFRKMPCKNVASWNALICGLAFNGRGDEAIQHFQLMRNEEGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTPEFQIIPKIEHYSCMVDLLARSGHLEEAWDFIEKIPDKVDAVMLGALLAACRKCKNVEIGERVINRIIQLEPTNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVNKTPGCSWVEVSGKVLEFYAGDEPQHGADDMYQVLDLLVDEMRLEGYVPNLDVEVLPWASVYAAIHCLVSRSLQVYSGNMDAKWLLMQKWVKLYYFSEASALIKFARIRWKGSCYIMLDFSRCQIEIINSIDRELFSNSG >ORUFI05G11840.2 pep chromosome:OR_W1943:5:11862239:11867870:-1 gene:ORUFI05G11840 transcript:ORUFI05G11840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAIRPDSYTLPFLLLAAARYPAPSLARAAHALLGKIGLNGHDHTVHSLITMYSYLDDPGAARKVFDGIPTRDVVSWNAMMKAYGRVGMNGEVGRMFRDMVKEGTVAPNAVTVAVVLAACRDQGDLVLGRWVEEWSWSARMEMDSLVGSALLGMYEKCGEIAEARRVFDTIIDKDIVAWNAMITGYAQNGMSNEAISLFHSMRIAGMRPDKITLAGVLSACSAVGALELGSELDGYASRRGLYSNVYVGTALVDMYAKCGDLDKAIEVFRKMPCKNVASWNALICGLAFNGRGDEAIQHFQLMRNEEGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTPEFQIIPKIEHYSCMVDLLARSGHLEEAWDFIEKIPDKVDAVMLGALLAACRKCKNVEIGERVINRIIQLEPTNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVNKTPGCSWVEVSGKVLEFYAGDEPQHGADDMYQVLDLLVDEMRLEGYVPNLDVEVLPWASVYAAIHCLVSRSLQVGCVLDAKFVSLWLGWHEPEMVKPGSAVQVTKRQNVALKTDTGKVYSGNMDAKWLLMQKWVKLYYFSEASALIKFARIRWKGSCYIMLDFSRCQIEIINSIDRELVGTVLIT >ORUFI05G11840.3 pep chromosome:OR_W1943:5:11862293:11867870:-1 gene:ORUFI05G11840 transcript:ORUFI05G11840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAIRPDSYTLPFLLLAAARYPAPSLARAAHALLGKIGLNGHDHTVHSLITMYSYLDDPGAARKVFDGIPTRDVVSWNAMMKAYGRVGMNGEVGRMFRDMVKEGTVAPNAVTVAVVLAACRDQGDLVLGRWVEEWSWSARMEMDSLVGSALLGMYEKCGEIAEARRVFDTIIDKDIVAWNAMITGYAQNGMSNEAISLFHSMRIAGMRPDKITLAGVLSACSAVGALELGSELDGYASRRGLYSNVYVGTALVDMYAKCGDLDKAIEVFRKMPCKNVASWNALICGLAFNGRGDEAIQHFQLMRNEEGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTPEFQIIPKIEHYSCMVDLLARSGHLEEAWDFIEKIPDKVDAVMLGALLAACRKCKNVEIGERVINRIIQLEPTNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVNKTPGCSWVEVSGKVLEFYAGDEPQHGADDMYQVLDLLVDEMRLEGYVPNLDVEVLPWASVYAAIHCLVSRSLQVGCVLDAKFVSLWLGWHEPEMVKPGSAVQVTKRQNVALKTDTGKVYSGNMDAKWLLMQKWVKLYYFSEASALINVGIREGELLHHAGLQSLSD >ORUFI05G11840.4 pep chromosome:OR_W1943:5:11861257:11867870:-1 gene:ORUFI05G11840 transcript:ORUFI05G11840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAIRPDSYTLPFLLLAAARYPAPSLARAAHALLGKIGLNGHDHTVHSLITMYSYLDDPGAARKVFDGIPTRDVVSWNAMMKAYGRVGMNGEVGRMFRDMVKEGTVAPNAVTVAVVLAACRDQGDLVLGRWVEEWSWSARMEMDSLVGSALLGMYEKCGEIAEARRVFDTIIDKDIVAWNAMITGYAQNGMSNEAISLFHSMRIAGMRPDKITLAGVLSACSAVGALELGSELDGYASRRGLYSNVYVGTALVDMYAKCGDLDKAIEVFRKMPCKNVASWNALICGLAFNGRGDEAIQHFQLMRNEEGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTPEFQIIPKIEHYSCMVDLLARSGHLEEAWDFIEKIPDKVDAVMLGALLAACRKCKNVEIGERVINRIIQLEPTNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVNKTPGCSWVEVSGKVLEFYAGDEPQHGADDMYQVLDLLVDEMRLEGYVPNLDVEVLPWASVYAAIHCLVSRSLQVGCVLDAKFVSLWLGWHEPEMVSHANCVRLTSFVTQVHKQVKPGSAVQVYSGNMDAKWLLMQKWVKLYYFSEASALIKFARIRSRKRAATVPATKPARQTPENTPKAAARRDGGTLSAT >ORUFI05G11840.5 pep chromosome:OR_W1943:5:11861257:11867870:-1 gene:ORUFI05G11840 transcript:ORUFI05G11840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAIRPDSYTLPFLLLAAARYPAPSLARAAHALLGKIGLNGHDHTVHSLITMYSYLDDPGAARKVFDGIPTRDVVSWNAMMKAYGRVGMNGEVGRMFRDMVKEGTVAPNAVTVAVVLAACRDQGDLVLGRWVEEWSWSARMEMDSLVGSALLGMYEKCGEIAEARRVFDTIIDKDIVAWNAMITGYAQNGMSNEAISLFHSMRIAGMRPDKITLAGVLSACSAVGALELGSELDGYASRRGLYSNVYVGTALVDMYAKCGDLDKAIEVFRKMPCKNVASWNALICGLAFNGRGDEAIQHFQLMRNEEGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTPEFQIIPKIEHYSCMVDLLARSGHLEEAWDFIEKIPDKVDAVMLGALLAACRKCKNVEIGERVINRIIQLEPTNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVNKTPGCSWVEVSGKVLEFYAGDEPQHGADDMYQVLDLLVDEMRLEGYVPNLDVEVLPWASVYAAIHCLVSRSLQVGCVLDAKFVSLWLGWHEPEMVYSGNMDAKWLLMQKWVKLYYFSEASALIKFARIRSRKRAATVPATKPARQTPENTPKAAARRDGGTLSAT >ORUFI05G11840.6 pep chromosome:OR_W1943:5:11862239:11867870:-1 gene:ORUFI05G11840 transcript:ORUFI05G11840.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAIRPDSYTLPFLLLAAARYPAPSLARAAHALLGKIGLNGHDHTVHSLITMYSYLDDPGAARKVFDGIPTRDVVSWNAMMKAYGRVGMNGEVGRMFRDMVKEGTVAPNAVTVAVVLAACRDQGDLVLGRWVEEWSWSARMEMDSLVGSALLGMYEKCGEIAEARRVFDTIIDKDIVAWNAMITGYAQNGMSNEAISLFHSMRIAGMRPDKITLAGVLSACSAVGALELGSELDGYASRRGLYSNVYVGTALVDMYAKCGDLDKAIEVFRKMPCKNVASWNALICGLAFNGRGDEAIQHFQLMRNEEGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTPEFQIIPKIEHYSCMVDLLARSGHLEEAWDFIEKIPDKVDAVMLGALLAACRKCKNVEIGERVINRIIQLEPTNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVNKTPGCSWVEVSGKVLEFYAGDEPQHGADDMYQVLDLLVDEMRLEGYVPNLDVEVLPWASVYAAIHCLVSRSLQVGCVLDAKFVSLWLGWHEPEMVYSGNMDAKWLLMQKWVKLYYFSEASALIKFARIRWKGSCYIMLDFSRCQIEIINSIDRELVGTVLIT >ORUFI05G11840.7 pep chromosome:OR_W1943:5:11862293:11867870:-1 gene:ORUFI05G11840 transcript:ORUFI05G11840.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAIRPDSYTLPFLLLAAARYPAPSLARAAHALLGKIGLNGHDHTVHSLITMYSYLDDPGAARKVFDGIPTRDVVSWNAMMKAYGRVGMNGEVGRMFRDMVKEGTVAPNAVTVAVVLAACRDQGDLVLGRWVEEWSWSARMEMDSLVGSALLGMYEKCGEIAEARRVFDTIIDKDIVAWNAMITGYAQNGMSNEAISLFHSMRIAGMRPDKITLAGVLSACSAVGALELGSELDGYASRRGLYSNVYVGTALVDMYAKCGDLDKAIEVFRKMPCKNVASWNALICGLAFNGRGDEAIQHFQLMRNEEGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTPEFQIIPKIEHYSCMVDLLARSGHLEEAWDFIEKIPDKVDAVMLGALLAACRKCKNVEIGERVINRIIQLEPTNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVNKTPGCSWVEVSGKVLEFYAGDEPQHGADDMYQVLDLLVDEMRLEGYVPNLDVEVLPWASVYAAIHCLVSRSLQVGCVLDAKFVSLWLGWHEPEMVYSGNMDAKWLLMQKWVKLYYFSEASALINVGIREGELLHHAGLQSLSD >ORUFI05G11850.1 pep chromosome:OR_W1943:5:11872694:11878942:-1 gene:ORUFI05G11850 transcript:ORUFI05G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSAPTPLPPETANTSPAPIGATAGIRVENCYVFKSRLQEYAQKAGLQTPEYHTSKEGPSHEPVFKSTVVINNTSYGSLPGFSNRKAAEQSAAEVALMEIVKSIPANANIPAVQETGLCNIGSQQLNQREMHREADHHHCLLATLARHRRLAAAATLFSSTLRTARALNSLLAAICSSPAFLRFAPKVLLLAAPSVSPNATSFHILTSTLCQAHRPTAAADLLCCMPSLLLDPDPASCRAVLSSLCQYASAQDAVAFLDKMCHWGISPSRSDYHAVFDALLQEGKVAEAYEVMKNKMGSNGVAPALAYFKLIMQAFSETAEFDSVEEGFDEMLLRGLVPDVDVYNVYISALCRKGDLAGARRMMTCMEHAGCPPDIRTFGVVVSGCMSAGDMGTVRELVQEAIRRGLRWDPTALSELIGLRQAGGGATQAHELLLEPLFVHDALVLGQLIGALCKQGLLGPAAQETGLCKNLLQEYAQKMNYAIPSYICTKPASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGSANGATKYIVVPGKRVGKEVEKRPIETPKPLKAKKGGFKKKWNKRKFMKKDGQAVDVEKDEARVAGDAHDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEDEPPRDIEMVQPDKENQHSDAALVQPDDEARVEQEPSRDISVVQPNEEAISAKQEPSIDAATLQPKEEAVSVKPEGFSLSTPEMKTGCVALVLCLNISVDPPDVIKISPCVRKECWIDPFSMAPPKALETIGKTLHSQYERWQPKARYKLQLDPTLEEV >ORUFI05G11850.2 pep chromosome:OR_W1943:5:11872694:11878942:-1 gene:ORUFI05G11850 transcript:ORUFI05G11850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSAPTPLPPETANTSPAPIGATAGIRVENCYVFKSRLQEYAQKAGLQTPEYHTSKEGPSHEPVFKSTVVINNTSYGSLPGFSNRKAAEQSAAEVALMEIVKSIPANANIPAVQETGLCKNLLQEYAQKMNYAIPSYICTKPASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGSANGATKYIVVPGKRVGKEVEKRPIETPKPLKAKKGGFKKKWNKRKFMKKDGQAVDVEKDEARVAGDAHDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEDEPPRDIEMVQPDKENQHSDAALVQPDDEARVEQEPSRDISVVQPNEEAISAKQEPSIDAATLQPKEEAMKTGCVALVLCLNISVDPPDVIKISPCVRKECWIDPFSMAPPKALETIGKTLHSQYERWQPKARYKLQLDPTLEEV >ORUFI05G11850.3 pep chromosome:OR_W1943:5:11872694:11878942:-1 gene:ORUFI05G11850 transcript:ORUFI05G11850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSAPTPLPPETANTSPAPIGATAGIRVENCYVFKSRLQEYAQKAGLQTPEYHTSKEGPSHEPVFKSTVVINNTSYGSLPGFSNRKAAEQSAAEVALMEIVKSIPANANIPAVQETGLCKNLLQEYAQKMNYAIPSYICTKPASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGSANGATKYIVVPGKRVGKEVEKRPIETPKPLKAKKGGFKKKWNKRKFMKKDGQAVDVEKDEARVAGDAHDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEDEPPRDIEMVQPDKENQHSDAALVQPDDEARVEQEPSRDISVVQPNEEAISAKQEPSIDAATLQPKEEAVSVKPEGFSLSTPEMKTGCVALVLCLNISVDPPDVIKISPCVRKECWIDPFSMAPPKALETIGKTLHSQYERWQPKARYKLQLDPTLEEV >ORUFI05G11850.4 pep chromosome:OR_W1943:5:11878669:11879340:-1 gene:ORUFI05G11850 transcript:ORUFI05G11850.4 gene_biotype:protein_coding transcript_biotype:protein_coding RPRRRGATRRRRRRSPCPPPPPAARPAHPPRPAHSRANRQRPAGSSQQRARPRPPLQQSSTAGQQAPTPVHTSTAPPADEGLKHEEKKCSHTPSAGDRQHLARSHRRHRRNPYVSLSPFLPSRPLTLRTLLWSEQEPWTVHSSALTTGFGSSPLFKWRLLNVFTLLESIHHHT >ORUFI05G11860.1 pep chromosome:OR_W1943:5:11934233:11934520:1 gene:ORUFI05G11860 transcript:ORUFI05G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAAAPPSPYSWWTLQDAASLPTTPPPRESERREWEEIEKRGASAATIPPPPTTGRCRPTSGQIRRRESAHCRRATLLSGTGVEERGIEWGEE >ORUFI05G11870.1 pep chromosome:OR_W1943:5:11941564:11942155:-1 gene:ORUFI05G11870 transcript:ORUFI05G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEREYDKQGGINWSTKNEMHWELMYNVRWLTDTPIVVSSGMLFTTPEGERSLEESRVNNDSNSNWVS >ORUFI05G11880.1 pep chromosome:OR_W1943:5:11958754:11958936:1 gene:ORUFI05G11880 transcript:ORUFI05G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYAPQPCPVPSSTFSLFDLLSIGGCIESEQLRVRRTGAAPELVEEKRGSGGGLLPYAP >ORUFI05G11890.1 pep chromosome:OR_W1943:5:11960645:11961019:1 gene:ORUFI05G11890 transcript:ORUFI05G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLCRKGTPDEAKETLDEMVARGFRPTIATFSAVVGCLCKRDRITRGMEMFDTTRAVGCEPTIRTCNSLIGGSATSGGSRRHWTCSTSSRSCCSPAPVCCCRHQTRPPSVAAAPVKRLRGRKR >ORUFI05G11900.1 pep chromosome:OR_W1943:5:12034255:12034476:1 gene:ORUFI05G11900 transcript:ORUFI05G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTQPPLTSSSPPHAVEPSSPLRQAAAPPRAVEPSSPLASSSPPHRSRRRPGGRHAERRDKGEGMRERVRDI >ORUFI05G11910.1 pep chromosome:OR_W1943:5:12061423:12074719:1 gene:ORUFI05G11910 transcript:ORUFI05G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYLPFLSHGMVGRRPVLQPVQRCNPTGAQARGFFRQQSASGVLLHAYTHKKSGDAAPSMEASSFQPSVWGDFFINYEPKQLQRSKEWMMNKADKLKQAVRTLFRTCNDMVDKMHLVDAVQRLGIDHLFQEEISSTLSDINGSQFASNSLHEVALRFRLLRENGFWVSPDVFKIFKGEDGRFTDAISNEPRGLLSLYNGAHLLVHDETELVEAISFARDHLQSICDSSELKPPLADQVKRALDLPLPRAYKRMEALHYMFEYGQEEGHIVVLLDLAKLEFNLLQHVHLKELKSFSQWWKDLYGNMGLSYIRDRAVESYVWSNMVFYEEDLAVTRMVFAKLFVLAVIMDDTYDCHANIEECRKLHEAIQRWDESAISFLPDYMKTLYNEIMNNFKEFEDQVGVKGRYRVAQTKKEFQKLSTYYLQESEWSHQNHKPNFKEQMELSTMTAGGPLLCVCTTVGRDDTLTKEAFEWAASDTGAIRAYAKILRFMNDVAAFKV >ORUFI05G11910.2 pep chromosome:OR_W1943:5:12061423:12065385:1 gene:ORUFI05G11910 transcript:ORUFI05G11910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYLPFLSHGMVGRRPVLQPVQRCNPTGAQARGFFRQQSASGVLLHAYTHKKSGDAAPSMEASSFQPSVWGDFFINYEPKQLQRSKEWMMNKADKLKQAVRTLFRTCNDMVDKMHLVDAVQRLGIDHLFQEEISSTLSDINGSQFASNSLHEVALRFRLLRENGFWVSPDVFKIFKGEDGRFTDAISNEPRGLLSLYNGAHLLVHDETELVEAISFARDHLQSICDSSELKPPLADQVKRALDLPLPRAYKRMEALHYMFEYGQEEGHIVVLLDLAKLEFNLLQHVHLKELKSFSQYASFLDIYTYTK >ORUFI05G11920.1 pep chromosome:OR_W1943:5:12069981:12070187:-1 gene:ORUFI05G11920 transcript:ORUFI05G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATRYAATMMREAGSATVMTGVARSIATTMGEAATTTMRLPQGHGQRFWPREGRKLWIELPPALRCR >ORUFI05G11930.1 pep chromosome:OR_W1943:5:12094452:12100465:1 gene:ORUFI05G11930 transcript:ORUFI05G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPLLLLLLILVAAGPQAGRAAKPIPNLQLMTKEGGSSRIIQDDIIKAINKHPNAGWTAARNPYFANYTTAQFKHILGVKPTPHSVLNDVPVKTYPRSLMLPKEFDARSAWSQCNTIGTILDQGHCGSCWAFGAVECLQDRFCIHFNMNISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKRSTKMAL >ORUFI05G11930.2 pep chromosome:OR_W1943:5:12094452:12098708:1 gene:ORUFI05G11930 transcript:ORUFI05G11930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPLLLLLLILVAAGPQAGRAAKPIPNLQLMTKEGGSSRIIQDDIIKAINKHPNAGWTAARNPYFANYTTAQFKHILGVKPTPHSVLNDVPVKTYPRSLMLPKEFDARVTVARVGHLVLWSVSRINISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNMVRNYDSAFGVGTAIV >ORUFI05G11930.3 pep chromosome:OR_W1943:5:12094452:12100465:1 gene:ORUFI05G11930 transcript:ORUFI05G11930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPLLLLLLILVAAGPQAGRAAKPIPNLQLMTKEGGSSRIIQDDIIKAINKHPNAGWTAARNPYFANYTVNNNTLLLFIAYIKTFISCLFGGLNNPPVQTAQFKHILGVKPTPHSVLNDVPVKTYPRSLMLPKEFDARVTVARVGHLVLWSVSRINISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNMVRNYDSAFGVGTAIV >ORUFI05G11930.4 pep chromosome:OR_W1943:5:12094452:12100465:1 gene:ORUFI05G11930 transcript:ORUFI05G11930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPLLLLLLILVAAGPQAGRAAKPIPNLQLMTKEGGSSRIIQDDIIKAINKHPNAGWTAARNPYFANYTTAQFKHILGVKPTPHSVLNDVPVKTYPRSLMLPKEFDARSAWSQCNTIGTILGQGHCGSCWAFGAVECLQDRFCIHFNMNISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNMVRNYDSAFGVGTAIV >ORUFI05G11930.5 pep chromosome:OR_W1943:5:12094452:12100465:1 gene:ORUFI05G11930 transcript:ORUFI05G11930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPLLLLLLILVAAGPQAGRAAKPIPNLQLMTKEGGSSRIIQDDIIKAINKHPNAGWTAARNPYFANYTTAQFKHILGVKPTPHSVLNDVPVKTYPRSLMLPKEFDARVTVARVGHLVLWSVSRINISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNMVRNYDSAFGVGTAIV >ORUFI05G11930.6 pep chromosome:OR_W1943:5:12094452:12100465:1 gene:ORUFI05G11930 transcript:ORUFI05G11930.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPLLLLLLILVAAGPQAGRAAKPIPNLQLMTKEGGSSRIIQDDIIKAINKHPNAGWTAARNPYFANYTTAQFKHILGVKPTPHSVLNDVPVKTYPRSLMLPKEFDARVTVARVGHLVLWSVSRINISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKDFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNMVRNYDSAFGVGTAIV >ORUFI05G11930.7 pep chromosome:OR_W1943:5:12094452:12100465:1 gene:ORUFI05G11930 transcript:ORUFI05G11930.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKEFDARSAWSQCNTIGTILGQGHCGSCWAFGAVECLQDRFCIHFNMNISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNMVRNYDSAFGVGTAIV >ORUFI05G11940.1 pep chromosome:OR_W1943:5:12099224:12101122:-1 gene:ORUFI05G11940 transcript:ORUFI05G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLSNRVDRLDLAAGDHIYSWRTAYLYAHHGIYIGDAMVIHFTRATGHEIGTGTFLDMFLFSSSPATEEGPPCEKCGHLIKQQGVIMSCLDCFLDGGNPYLFDYAVSPAFFLAKARGGTCTLAASDPADIVIHRAQHLLNSGFGTYSLFKNNCEDFAIYCKTGLLVETAFSVGRSGQLASLTAAFSAVASSPLRFLTTSAGGLVVVTSGMYCVGRYVSDIGVRRDVVKVPVERLVEHWPPRDVAVAPPPQESHQECQGNDVAPQECHGDGDGGCVSESLS >ORUFI05G11950.1 pep chromosome:OR_W1943:5:12104157:12105029:-1 gene:ORUFI05G11950 transcript:ORUFI05G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGGGRIRSATGKRCSWRWRLDHGDGGRREKCARRLNAEMRGIGDGDESAAAPEWFHLKPTRYNTRTDKNTPISTLLPPPSVTSGVGIAGARPARRAVACLSLGASAQATTLPSPAPVRCGTPRPRRPPPRGSRSCRCATGGSAAEAVCSGGKVCMVNLRSRDAKERLVFDLRADRWKDMPPRMLAGWKGPTAASPPDNGETIYVLDEERGALTAYDWGTAR >ORUFI05G11960.1 pep chromosome:OR_W1943:5:12104671:12112305:1 gene:ORUFI05G11960 transcript:ORUFI05G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQLLSRYLDDPKNFFSISSDFCHWGTRFSYTYYDKSHGAIHKSIEALDHMGMEIIETGNPDAFKQYLQEYENTICGRHPISVFLSMLKHCSTKIKIGFVRYEQSSQCKSMRDSSVSYASAAAKVDTPAEEEKDWIE >ORUFI05G11960.2 pep chromosome:OR_W1943:5:12104671:12111799:1 gene:ORUFI05G11960 transcript:ORUFI05G11960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQLLSRYLDDPKNFFSISSDFCHWGTRFSYTYYDKSHGAIHKSIEALDHMGMEIIETGNPDAFKQYLQEYENTICGRHPISVFLSMLKHCSTKIKIGFVRYEQSSQCKSMRDSSVSYASAAAKVDTPAEEEKDWIE >ORUFI05G11970.1 pep chromosome:OR_W1943:5:12114658:12130576:1 gene:ORUFI05G11970 transcript:ORUFI05G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGVCKTAFELIFAFDEAISLGNKENVTVQQVKQYCEMESHEEKAHKLMMQSKINETRDVMKKKASELDKMRMERGKLDKGGYSSISGPRVIEKTFNDMSITGSGFGSGSGLGGLGMDMDSFASKPKGGRPSAAATAPGKGLGMKLGKTQKTNQFLESLKAEGEVILEDVQPSSVQSRASPLPPSDPVTVTIEEKLNVTVKRDGGVNNFDVQGTLALQVLNDADGFIQLQIENQDVPGLSFKTHPNINKDLFNSQQVVGAKDPNRPFPSGQNETPLVKWRIQGMDESSLPLSVNCWPSVSGSETYVNIEYEAAEMFDLHNVVISIPLPALREAPSVRQIDGEWKYDSRNSVLEWSILLIDQSNRSGSMEFVVPPADPSTFFPISIGFSASSTFSDLKVTGIRPLKDGNPPKYSQRARLVAANYQENLASRLPSLRHLAADRVGAPISIWRAEQSRRGEGILVVLAASIISKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGVCKTAFELIFAFDEAICLGNKENVTVQQVKQYCEMESHEEKAHKLMMQSKINETRDVMKKKASELDKMKMERGKLDKGGYSAISGPRVVEKAFGDMSITGSGFGSGSGLGGLSMDMDSFASKPKGGRPSAAATAPGKGLGMKLGKTQKTNQFLESLKAEGEVILEDVQPSSVQSRVSPLPPSDPVTVTIEEKLNVTVKRDGGVNNFDVQGTLALQIENQDVPGLSFKTHPNINKDLFNSQQVVGAKDPNRPFPSGQNETPLVKWRIHGMDESSLPLSVNCWPSVSGNETYVNIEYEAAEMFDLHNVVISIPLPALREAPSVRQIDGEWRYDSRNSVLEWSILLIDQSNHSGSMEFVVPPADPSTFFPISIGFSASSTFSDLKVTGIRPLKDGNPPKYSQRARLVTANYQVV >ORUFI05G11970.2 pep chromosome:OR_W1943:5:12114658:12130576:1 gene:ORUFI05G11970 transcript:ORUFI05G11970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGVCKTAFELIFAFDEAISLGNKENVTVQQVKQYCEMESHEEKAHKLMMQSKINETRDVMKKKASELDKMRMERGKLDKGGYSSISGPRVIEKTFNDMSITGSGFGSGSGLGGLGMDMDSFASKPKGGRPSAAATAPGKGLGMKLGKTQKTNQFLESLKAEGEVILEDVQPSSVQSRASPLPPSDPVTVTIEEKLNVTVKRDGGVNNFDVQGTLALQIENQDVPGLSFKTHPNINKDLFNSQQVVGAKDPNRPFPSGQNETPLVKWRIHGMDESSLPLSVNCWPSVSGNETYVNIEYEAAEMFDLHNVVISIPLPALREAPSVRQIDGEWRYDSRNSVLEWSILLIDQSNHSGSMEFVVPPADPSTFFPISIGFSASSTFSDLKVTGIRPLKDGNPPKYSQRARLVTANYQVV >ORUFI05G11980.1 pep chromosome:OR_W1943:5:12160787:12162717:1 gene:ORUFI05G11980 transcript:ORUFI05G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTQLSTELVLMDPNRSSGYNNFLKDPDLVHPFGQASTQSDFCHPHDDFPNAHAQFPPFSTQPPPLATGNGGLTLASISRVRQRVQANPVSQDDGKARMYYTHDEDIRLASIFDHIPNI >ORUFI05G11990.1 pep chromosome:OR_W1943:5:12174596:12176544:1 gene:ORUFI05G11990 transcript:ORUFI05G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPNVAVVAPAVVEEEKGEAVSLTVWRRSLLFNGKGFTVFDGKGNLVFRVESYAGGSPREVVLMDADGRALLTIRRKKLSFADEWLIYDGDAASPAAPAPKRFTARRHVSLRPTKSLAHLSPARASSSAAAGGGSATAPSGACRYDVEGSYAARCLDVFASASAGEQRRRVAAVCSKEAAVGPDVFRLVVEPGFEPALAMAVVILLDQMHAS >ORUFI05G12000.1 pep chromosome:OR_W1943:5:12188740:12189765:-1 gene:ORUFI05G12000 transcript:ORUFI05G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRGDKGKVVVVMGATATGKSKLAIDLALRFGGEVINSDKIQVHDGLDVVTNKVTDEERAGVPHHLIGGVPPDADYGVDDFRRDAARAVASVLARGRVPVIAGGSNRYLEALLDGEGGSFRERHELCFLWVDSRAPALHRYVRHRVDRMVEQGLVGEVRGLFRLDDADYSRGIRRSIGVPEMDAYLRQEATGALLTHGDKYKVALLASAVGEIKANTWSLARRQLRKIHRLRGLPGWSLRRLDVTRVLELKVEARSEAECAAAWEADVIAPAAREVGMFLHGGGNVVESGREEQPVVVEKMEVAAVGGAGAAAAAEKWCGRRLLETTAAYHGMEAAAAV >ORUFI05G12010.1 pep chromosome:OR_W1943:5:12238101:12250752:-1 gene:ORUFI05G12010 transcript:ORUFI05G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPPPSAARGDPPLLQAAEAARCAREASSSISVDGGAGAALAAALVSNLCFAHNTGAMWKVLDQAMASRLVSPLLALALLTPRVVPNRQAQPEAYRLYLELLGQYTVAPVCTESVETKAMLVKSIDDALHLSDSYGFQRVDFGHTVILFVLSVIKILTDCILEDCGLPTIDGDGHDISYAIGAEKSMNIDGKGSSFDRKDEHRECLRRKNTIMTLEVVEKITANKNTQVFLRLVYRNTPENFNILLQRLQLIGALKSKNFGTAHNLLGSLMMNIRKVVTTGHQLHKGNLLGSIVSTKPCSSAARSVFGAGKSSCWIPFDMFMENTMDGRHLDTISSIEALTELAKTLQVLNRATWQETFQALWISALRLIQRMRKLECSFNDRGIPEGPFPHLHSRLCMLLAIVPLSIASILKEESDKIEGGMVSVRRGELLSSLQVLGQFFGLLSPPPAVVQSANIAARKALVALSVLKDRNERGHNYSKDISSIKAAGNMLHLIVEACIARNLVDTSVYFWPSYVVPVKDASAVEESPWSALMEGSPLMGLKDALMVTPASSLAELEKLQPFAVSGSDEEKLAASKILCGASLLRGWNIQEHVIQMVLKLLSTLLPLDSGSDGFYIHHMPMLHALISGISSIDVVHILSMYGLVPELAAILMPLCEIFGSLPSSDHRNCSFEEASVYSVFSCAFLCLLRLWKFHRPPVEYALSKHGVFVCSEISLDFLLLLRNSHFALNSPYDVSRKSIFQLDPSFQKPVYIDSFPKLRAWYFQNQACIASTLSSSYKRKSILQVANKILKIVCHKMSKSGIPPVSSQSTSSSSMSGSSLGTQDDVSQGPPATAWEVLEAVPFVLETVLAACAHGRLSSRDLITGLRNLADFLPASVAVIVSYFSAEITRGLWKPVMLNGTDWPSPAATLLAVESDIEEALASAGVHINISPRIRPPIPMLPLPIATLISLSITVKMEEFNHLQGIIDKGVEICATSSSWPSMAIIGAFWAQKVRRWHDYIIQSCSETPFTRDKNAVAQLIRSCFSSFLGPLVDGRSCFVADRGVNRLLGQAHQERSPRFSLSPGLLYTRCCRMFPDNYFVCEEILKVVIERARALANECDSSRPHLLKSGRMTLSSATCSVEQIASLAASMLCHAGGIKLVRLLYEQILPTMLLSAGEARLGSAGPVCSSFEGFALAYVLLVSGASTWGVGETSPVYTSVYTSKRQRVVDRHLEFVANVMQGNIELGCGQVTWRTYVICFVGLLVDFAPTWIPEVKLETLKKLASGLWKWHECDLALSLLERGGPKAISTVVEYIL >ORUFI05G12010.2 pep chromosome:OR_W1943:5:12238101:12250752:-1 gene:ORUFI05G12010 transcript:ORUFI05G12010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPPPSAARGDPPLLQAAEAARCAREASSSISVDGGAGAALAAALVSNLCFAHNTGAMWKVLDQAMASRLVSPLLALALLTPRVVPNRQAQPEAYRLYLELLGQYTVAPVCTESVETKAMLVKSIDDALHLSDSYGFQRVDFGHTVILFVLSVIKILTDCILEDCGLPTIDGDGHDISYAIGAEKSMNIDGKGSSFDRKDEHRECLRRKNTIMTLEVVEKITANKNTQVFLRLVYRNTPENFNILLQRLQLIGALKSKNFGTAHNLLGSLMMNIRKVVTTGHQLHKGNLLGSIVSTKPCSSAARSVFGAGKSSCWIPFDMFMENTMDGRHLDTISSIEALTELAKTLQVLNRATWQETFQALWISALRLIQRDRGIPEGPFPHLHSRLCMLLAIVPLSIASILKEESDKIEGGMVSVRRGELLSSLQVLGQFFGLLSPPPAVVQSANIAARKALVALSVLKDRNERGHNYSKDISSIKAAGNMLHLIVEACIARNLVDTSVYFWPSYVVPVKDASAVEESPWSALMEGSPLMGLKDALMVTPASSLAELEKLQPFAVSGSDEEKLAASKILCGASLLRGWNIQEHVIQMVLKLLSTLLPLDSGSDGFYIHHMPMLHALISGISSIDVVHILSMYGLVPELAAILMPLCEIFGSLPSSDHRNCSFEEASVYSVFSCAFLCLLRLWKFHRPPVEYALSKHGVFVCSEISLDFLLLLRNSHFALNSPYDVSRKSIFQLDPSFQKPVYIDSFPKLRAWYFQNQACIASTLSSSYKRKSILQVANKILKIVCHKMSKSGIPPVSSQSTSSSSMSGSSLGTQDDVSQGPPATAWEVLEAVPFVLETVLAACAHGRLSSRDLITGLRNLADFLPASVAVIVSYFSAEITRGLWKPVMLNGTDWPSPAATLLAVESDIEEALASAGVHINISPRIRPPIPMLPLPIATLISLSITVKMEEFNHLQGIIDKGVEICATSSSWPSMAIIGAFWAQKVRRWHDYIIQSCSETPFTRDKNAVAQLIRSCFSSFLGPLVDGRSCFVADRGVNRLLGQAHQERSPRFSLSPGLLYTRCCRMFPDNYFVCEEILKVVIERARALANECDSSRPHLLKSGRMTLSSATCSVEQIASLAASMLCHAGGIKLVRLLYEQILPTMLLSAGEARLGSAGPVCSSFEGFALAYVLLVSGASTWGVGETSPVYTSVYTSKRQRVVDRHLEFVANVMQGNIELGCGQVTWRTYVICFVGLLVDFAPTWIPEVKLETLKKLASGLWKWHECDLALSLLERGGPKAISTVVEYIL >ORUFI05G12020.1 pep chromosome:OR_W1943:5:12266111:12266764:1 gene:ORUFI05G12020 transcript:ORUFI05G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLAKRHCVAARIRELWLRLWRSSAVRRPRRRRRMHSPSSPLALFLVAALYQHLEAVSLASSPAAIGGSFRGATKRQNEEHVTVIPFLYFSRGHRSSAGGESERKTFSGGGACAPTTILTVAWRGPTRTWRWMDIGGGGKNLRDDGDSSNGSKI >ORUFI05G12030.1 pep chromosome:OR_W1943:5:12266796:12267131:1 gene:ORUFI05G12030 transcript:ORUFI05G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYARGIGQWLTRGGGINDGSRQSELEKMMPISVHDASFSTVLEGGVVARLDLVQNDGNGDEITTTSRRARPKAGDDTVAFPLICCTIEDEIKRRNLRNGE >ORUFI05G12040.1 pep chromosome:OR_W1943:5:12267135:12267740:1 gene:ORUFI05G12040 transcript:ORUFI05G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERDNGERGLTTIGNASFSAADDGVEGEEDTMELSLSVSLAQGWRAAREEAPATCAGCCTSRSSPSSGHRHPPLRHARLADTSVSPPCLPSCAPTVGPSPRAATRLHVRLRCRLHERATGARASPPPPPAARTTSSSALGAEGQSRRRRAGGQRGPPRAPPSAARPSMSAFAARHQRLAVRTCRPVSERERDLGRREAK >ORUFI05G12050.1 pep chromosome:OR_W1943:5:12291306:12291947:1 gene:ORUFI05G12050 transcript:ORUFI05G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSSSSSATLCKHHGPPTASRRRLRVCVAISVTALLLAAVVAAAVLAVAAARPRPADATVTALRLASLSVSPGGSVNATLDAVLAIRNPSPVAAFAHDAGRAEVYYRGALAADADVPPGRVAPRGSEALAVRLTVLADRLAGRAPELYGDVVGAAGDVSLTVRTTVPGTVTVLGVFRRHAVVITACDVALSVRRPGAHSSSCRVLTKL >ORUFI05G12060.1 pep chromosome:OR_W1943:5:12292575:12295233:-1 gene:ORUFI05G12060 transcript:ORUFI05G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEGEEHGSLLEKINDKIHEFKKGSSSSSSSDSDDDKKHHHKSKSKKKRLFGRTNPLHHVLGGGKAADLVLWRDKQTSGSILAGVTVIWLLFEGIGYHLLTFFCHSLMVFLTVCFVWANAASFINRGPPKFPDAILSEVQCLKIAHILRKEINEAFLTLRHVASGKDLKTYLMTVAGLWFVSIIGSCFSFLTLSYTIFLMAYTLPMLYEKYEDEVDVVGEKALIELKKQYAVLDHKLLSKIPMLAEKKQH >ORUFI05G12070.1 pep chromosome:OR_W1943:5:12297012:12297605:-1 gene:ORUFI05G12070 transcript:ORUFI05G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPPPSSVLTASAAAARPPASVVQPQRQAAHRRRAETLRLRRVFEMFDRDGDGVITPAELSGALCRLGARGEAPPAAAALDAVVAAYIAPGMAGLRFAEFEALHAELAGLGGRQAVAAAEAEEEKEADMREAFGVFDEDGDGYISAAELQAVLSRMGLPEAACMARVRDMIAAADRDSDGRVDYEEFKAMMAAGN >ORUFI05G12080.1 pep chromosome:OR_W1943:5:12319591:12322276:1 gene:ORUFI05G12080 transcript:ORUFI05G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGCAHWRLRVALVCGGSGGHQLRPPASWQRRSGALMVPMASRASTAGPMAASAGGRCSSGWRRLGGLFSRGVGVSCVQEVVLWCISQQFNKDDITAYEISCFLHPVSDIQLFILSIFRQELSIHLSALHCQMADMGARQQVMMRTGTQAKLHVQGYSGKGTNYPLNYHGRPNYPLEPQNRIFFTPNFANQTNNPPGLIRGGFDPTCVPSEAAPVRADAAADVQTGCRTMLGLIVTGIAGGALAQAALAEAAPG >ORUFI05G12090.1 pep chromosome:OR_W1943:5:12341212:12341481:1 gene:ORUFI05G12090 transcript:ORUFI05G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWMCSVDGSQGEVEASRKQAEVGGAAWREGGRRRHGGASHVARRRQAAARRGGRAGPSGGRDEVPCESRLGSMCRRRRGVAELRITE >ORUFI05G12100.1 pep chromosome:OR_W1943:5:12343355:12349461:1 gene:ORUFI05G12100 transcript:ORUFI05G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVVRRLGDPTLAPGGEASPFAPVTGDHPAPALASPTSVRVRVAATSLNFATYLQVQGKYQERPALPFVPGSDYAGFVDAVGPAVRRFRPGDRVCGLAAVGSFADLIVADEKQLFSVPDGCDLVAAGALPVAFGTSHLALVHRAELKAGQVLLVLGAAGGVGASAVQIGKVCGAVVIAVARGTEKLQYLKTIGADHVIDSSKESIMESAKSFLKAKGLKGVDVLYDPVGGKLTQDSLKLLNWGAHILIIGFASGDVPIIRANIALVKNWTIHGLYWGSYFTHRPPVLIDSLNELFSWLSKGLIKIQISHTYRLPEAHLAFAALRDRKAVGKVMIVMGSPAKSRL >ORUFI05G12110.1 pep chromosome:OR_W1943:5:12349722:12352870:1 gene:ORUFI05G12110 transcript:ORUFI05G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRISSSPATASASSSYGPTSPPSSCRNAAPSPPSSNSTPTSSTTPTVPPSLTTTSSPSSSPSSPPPLPPLQPTPPPLPPTTLSCSSHPTPPPPPSPTTSPSASSLPPVPTPRSASSSKCSAPTSAQTPTRFLSSSSPPPDAPRHLSPVAHALLEKIDLNSHDHTVHSLITIYSYLDDPGAARKVFNGIPSRDVVSWNAMMKAYGRVGMNGEVGRMLRDMVKDGAVVPNAVTLAVVLAACRDEGDLVLGRWVEEWSKSAGMETDSLVGSALVGMYEKCGEIAEARRVFDSIIDKDVVAWNAMITGYAQNGMSNEAISLFHNMKKAGVCPDKITLAGVLSACSAVGALELGSELDGYASCRGLYNNVYVGTALVDMYAKCGDLDKAIEVFRKMRCKNVASWNALICGLAFNGQGDEAIQHFELMRNEDGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTSEFQIIPKIEHYSCMVDPLARSGHLEEVWDFIEKIPDKVDAVMLGALLAACRKCKNVEIGERVINRIIQLEPTNSWNYVVSSKIYASSGRLDDSAKMRGLMRERGVNKTPGCSWVEISGKVLEFYAGDEPQHGADDMYQVLDLLVDEMRLEGYVPNLDVV >ORUFI05G12120.1 pep chromosome:OR_W1943:5:12353216:12355286:1 gene:ORUFI05G12120 transcript:ORUFI05G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCASPEIPSCFMSLGSMMSKTGCAVLFISCSCSRAAFLSSSRAAFLSSSRWSFCLSWAHAISSCISVRGDAALSVISSCISVELADRYRGVDAATDVDQLVILLLLLRERRELSLVHDQGRDAAADVYAHVRDAELRCRRCCCPLSDQLLVLLHDLVLHHWLHLSFGGMICGGVWPVARTVGVGVGDDDPEEAPLLEDEEEPEPLLELEDDEESLLLLLERGESGKGDATIDVDQLVILLLLRKWQELSTVHDEGRDAAADVHAHGRTLIGYLTVLEEVADRRAAGGVGCLDLGRFLLLNNLGGLLLSEFHEQVQQLEQGSILRGAKIDIHLFAVRVHDAAALASHQADDVRRQWRVRRQRGEEAVEAVPLRLGHGRLDHLNLHLHDRARGRRLPPLLGGRDIPVDRGGGLRWGLLAAQETTALLAAARVIGVLLPRRRGAAMGALGAGRHYQGLRLVEEGGDHLVLRVDLLRQAAPTGRHVAQRVGRVRSAARGRR >ORUFI05G12130.1 pep chromosome:OR_W1943:5:12353412:12355235:-1 gene:ORUFI05G12130 transcript:ORUFI05G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRRLTEQIHSEHQVVAALLDKAEALVMAARAKGAHGGAAAAGEQHPDHPSRGKKSGRFLRRQETPPEAATAIDGDVSPPEKRRKTTAASPIVEVEVEVIEPTMPKAQRDRLYGLLASLSADTPLPPHIVGLMRSQCCCVVDPNGEEMDVDLSSAKDAALFQLLNLLVEFAQQQTTKIIEEQEPPKIEASDATSSSSICDLLEDGEIADEGAAMGMDICGGVSPLIVDSAQLLPLPKQQEDDELIDIYGGVSPVSVNNFPDSPRSSSSRSDSSSSSSSSNGSGSSSSSSSGASSGSSSCAGSSSSSSGSDTDADADSASNRPDTTTDHPTEAEVKPMVEHEVMEQDKKLITERAAASPASQLCITDMGIDICGGVSPLVVDKAQFSPLPKQQQEDDELIDICGGIDSPVSVSKFPETPRSSSSDSSSSSSCSGSSSSSERNDSASSRPDTTADHPTEDEVKPMEEQKLIIERAASPHTEMQELITERAASPHTEMQELITERAASPRTEMQELIAWAQERQKLQRELERKAARELERKAAREQLQEMKRTAQPVFDIIDPRDMKQLGISGEAQYIVSPVKSRDSLRRRGGGLLQRLGFFLKAEF >ORUFI05G12140.1 pep chromosome:OR_W1943:5:12363494:12367868:-1 gene:ORUFI05G12140 transcript:ORUFI05G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLARSPSSRSLCAPRSFSFSTSASPPPRAPVPASPSYLAHHLLDEFSRPRATRDAARLRRLAAELTAPAAESVLLRLPSWRHALDFFRWAADQPGFRHSCYSLNAMASLLPRHQRAHLDRLAADAISARCLMTPGALGFLLRCLGAAGLPDTAVRAFDAARASFGCTPNSYTYNCLLDALAKAGRADDAQARLREMVARCGDGSVDKYTLTSLLRCYCNAGRPDDANDVFQRMSELGWVDEHVLTTLMVAFSKWGKVDGAVELLGSMEALGMRLSEKTLSVLVHGFTKQGRVDKAMDMFAKMVSYGFVVDLAMYSVLIEGLCQQKDIARAVKLFKEMKSSGVAPDVRLLKKVIEAFCREGDFAVIGPFINENAEYLKSGSVVPLYNVVLEELVHCGEVEAAYQLLRSMVCGGQAVNNDVAGGAHMLHIREDAKPNSDSFNIVVCGLCKVKKLDMALALTKDMISLGCKGKILMFNDLIHELCNMDRLEEGYGIFNQMKDLGLTPSEFTYNSLFYGICRRKDPKAALDLLREMQTNGHPPWIKNCTEMVQQLCFSGRVTEAVQFLDGMLQIGFLPDIVTYSAAMNGMCNTGEVDDALHLFRDISCKYYLPDVVAHNILINGFRKSSKLDEAQKIMEEMLEKGLFPSVVTYNLMIDVCCKTGRIEKAISYLDKMVYEEKQPTVITYTSLIDGFCSAGRPDEAIKLWCEMREKGCAPNNIAYTAFINGLRKCGRIETALTYFEEMVTKGFELDTFSLLYFINFLISNGYPMKGCELLKEVLQKDTYGNNLKMVGLINEAVVELSKDGITSSDILKFVDKDCSLLLSTCPSASCNYFPAAYTGVNSVVPGNLLQILEGLEARGNWRWALSVTEWDFLQELSENDEKIKSLVSMGFPEDEAKTAITRCGCRVQFLWRKKEYKIHGWKQEKEWYGSGPQGNQMPFGDSHEEPMPLPNPMVDWWDSACPPEFVGSFCAAARKMDYIHNLPIENRSPVLPLPPNTISEAFLPTNMWWPSRSKKTVQLLAILRGKREAYRTLCSC >ORUFI05G12150.1 pep chromosome:OR_W1943:5:12368057:12368997:-1 gene:ORUFI05G12150 transcript:ORUFI05G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESGTADGEKKSDGEVEGELCQQWGWGRGKGSGASGVAEGERCRWWGVDVEEFTKIYSHFKASHKYILPPYRRAGVDKSSYGRTIYATWYPVGGDPLPAINHPREERFIAGRRLSRKLPLHAPLLRCHFTLICSFCPRPTVGWEEHIFVEL >ORUFI05G12160.1 pep chromosome:OR_W1943:5:12384218:12386342:-1 gene:ORUFI05G12160 transcript:ORUFI05G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATQQGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >ORUFI05G12170.1 pep chromosome:OR_W1943:5:12393573:12394553:1 gene:ORUFI05G12170 transcript:ORUFI05G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTREWLKEWNWIILNIIQYQLQELWQCLWLVETLNKICVIAVFKPVGMHMAAYSLPTKSVHIAVEKLDPKATRSSTFSVSWLFLGCWDLAPGFSGAFLGDLLLSPATGAGCELEPAYNCFPW >ORUFI05G12180.1 pep chromosome:OR_W1943:5:12407914:12410156:1 gene:ORUFI05G12180 transcript:ORUFI05G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEFTCTEEDEFLIDYINTSPHHRVMVRMEGLLLTREQLQPLTNRFLPDGEARYVIDEIIDTYIMHLEHKYLEESQALRRVYMMKTFITGKTRNLQAAVGKGIHLKNY >ORUFI05G12190.1 pep chromosome:OR_W1943:5:12422628:12424102:-1 gene:ORUFI05G12190 transcript:ORUFI05G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIRREKISKDGCGVRVIVPGSGGVQVIVPGGGGVHPGGDWRRTTKSRRNRFRFSARPEIKVAALEEEHGSGEEEEHGGGRGGEKHGGSTEEDVKCEKIGSVRLFLPSIAREVTFLPFHVSAMRVFRGVYSIIAHGFQIGLAHLIVRPQRRAAARLLLLPLVAARQCRSPPPSSSSRRHSRRLLPIPTYRSRIRAAEVANAPEGIHKCWEGVEKTTGVGQGGTRLYATVDLGKARLGWSRQHFLPSS >ORUFI05G12200.1 pep chromosome:OR_W1943:5:12426085:12433772:-1 gene:ORUFI05G12200 transcript:ORUFI05G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPSFPLPRLHLSLQLHNPSAIPSPRRRPRPAPTSETLRRRLLRKGVSPTPKILHALRKKEALKSLRRARKDTAAAAAAAEAASNALPREEDGAAVVGEDDEARFRAAVAEYRALMGRPWHGGASAPPRGALRGEGEGLDGLREMLAARRAGKFEWLLEDDDVEEGEEEAAVGRRGRAGVGWNSDFRDEERRIESLVRRLNEDDLSMRDWRLTRLMKKADLIYNEDNLLQILEGLEARGNWRQALSVTEWVYNENIYKHRKSRFVYTKLLSILGKAWRPTEALRVFTIMRSDAQIYPDMAAYHSIAVTLGRAGLLNELIKIIEYMRQKPSKRVMKMRRKDWDPSLEPDVLIYNSVLNACVLSQQWKGVFWVFQQMRRNSLIPTGATFGLAMEVMLKAKKYDFVQKFFQKMQKSGVPPRAITYKVLVRAYWEQGKVNEAVEAVKDMEQRGIVGAASVYYELACCLCNKGRWRDALSQVEKLKQLPLTKPLEFTFTGMILASFDGGYISECISIFESMEGHCAPNIGTINVMIKVYGRCDMFVKARDLFETIKVNLPSSNHSSHKADAYTYSSMLEAAASAQQWEYFENVYREMTLSQYHLDQSKYSWMLIKASKAGKSYLLEHALDSILERGETPNVQLFSEMICQTIAQRNYAKTLHLINIMAEASTDVSELQWSKLLEQNMHRFSVNALKDLLKYLSTSDIIKSDPELCFVSALQSQCGTTFVNDTSFVADGTYTGQSQLSLPENITKSSNSNLDQLSCMNSLNTNVFPDEKVSSEFSDYIMNTPQSDANAGLSEDIVIGSHFESEQKEQHDLGWLGTGVSAVDEVLDSMNLYGDGSCGEMPSASEILELWEQDRINGTFSAKTAEPPCEDRIFIKKGEITPEVEVDVQ >ORUFI05G12200.2 pep chromosome:OR_W1943:5:12426085:12433772:-1 gene:ORUFI05G12200 transcript:ORUFI05G12200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPSFPLPRLHLSLQLHNPSAIPSPRRRPRPAPTSETLRRRLLRKGVSPTPKILHALRKKEALKSLRRARKDTAAAAAAAEAASNALPREEDGAAVVGEDDEARFRAAVAEYRALMGRPWHGGASAPPRGALRGEGEGLDGLREMLAARRAGKFEWLLEDDDVEEGEEEAAVGRRGRAGVGWNSDFRDEERRIESLVRRLNEDDLSMRDWRLTRLMKKADLIYNEDNLLQILEGLEARGNWRQALSVTEWVYNENIYKHRKSRFVYTKLLSILGKAWRPTEALRVFTIMRSDAQIYPDMAAYHSIAVTLGRAGLLNELIKIIEYMRQKPSKRVMKMRRKDWDPSLEPDVLIYNSVLNACVLSQQWKGVFWVFQQMRRNSLIPTGATFGLAMEVMLKAKKYDFVQKFFQKMQKSGVPPRAITYKVLVRAYWEQGKVNEAVEAVKDMEQRGIVGAASVYYELACCLCNKGRWRDALSQVEKLKQLPLTKPLEFTFTGMILASFDGGYISECISIFESMEGHCAPNIGTINVMIKVYGRCDMFVKARDLFETIKVNLPSSNHSSHKADAYTYSSMLEAAASAQQWEYFENVYREMTLSQYHLDQSKYSWMLIKASKAGKSYLLEHALDSILERGETPNVQLFSEMICQTIAQRNYAKTLHLINIMAEASTDVSELQWSKLLEQNMHRFSVNALKDLLKYLSTSDIIKSDPELCFVSALQSQCGTTFVNDTSFVADGTYTGQSQLSLPENITKSSNSNLDQLSCMNSLNTNVFPDEKVSSEFSDYIMNTPQSDANAGLSEDIVIGSHFESEQKEQHDLGWLGTGVSAVDEVLDSMNLYGDGSCGEMPSASEILELWEQDRINGTFSAKTAEPPCEDRWNNSRGREITPEVEVDVQ >ORUFI05G12210.1 pep chromosome:OR_W1943:5:12437239:12441289:1 gene:ORUFI05G12210 transcript:ORUFI05G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKPQPPQTPPSLDHHHHHHTPSVGPSSPPQDSLAAQAMRASAAHRDASSLSSAYSSSSASAAAAAAAARRGHHEPSVSTPSPGSSGYEYTSMKNLNEAKYGFWGALARKAKSFLDEDGSPGQYDSPARQQPSRDAPPVGVQYTRSQQPPSETWKSETPPSHKRSEAIASSLNYIGGTIKSALEEGRTIVENKTADIIHETRKLNIRRKGAGSTTQGEAPQRFTQRNLPQNPLDYETQLKASRDVANAMAAKAKLLLRELKTVKADLAFAKERCAQLEDENKILRESHDKGDNPEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVIYVDEGIEEVTEVYPTQVLPPAPSRAGSGLGRSVTPATPKTASSSPSSTSIVVPETCPVVPASPKSLSRTSSKQ >ORUFI05G12220.1 pep chromosome:OR_W1943:5:12451119:12452180:-1 gene:ORUFI05G12220 transcript:ORUFI05G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPASSTATTACRAQICFQGIDSFTSPFFVKSGGCGFWEWCDDKAEPYMKQLLLDLRNAVWSAREQVNGLEAALRDRTVAQHNLQSTPKKESNEVQGLRAAVEKMEAANCVLVDRINKQQTSVLLESVIANWNECKIVVLFEMTVKNQPYWVTGNQGMLWQLEIMETAKVMINNDIYCHIWNPLYLITSASKQEWWQ >ORUFI05G12230.1 pep chromosome:OR_W1943:5:12498924:12500357:1 gene:ORUFI05G12230 transcript:ORUFI05G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPTSLGFSVRRRERELVAPARPTPYEFKMLSDIDDQDILRFNRSGISFYRHNPNQDGVDPVTVIRAALSEALVHFYPLAGRLRELRPTRKLVVECTGEGVVFVEADASFRMDDLGDGTSTSSPLLAPPVPCYDMLLCEAESPTADVVDRPLLFVQMTRLACGGFVFGMHICHCMADGSGMVQFLTAVTEFARGVPGAPTVPPVWEREALTTRSWPPTVTRDHVEYAPLPVDDDDDDVLLSLSPSTNAYAHHVFFFGDREIAALRSQVVAACSRFDLVGAFMWRCRTAALRHGRGDVVRLNMFVNARVRNRPVPRGYYGNAIVFASASAPAGELCGRPLGHALRLLVEAKARAWEDGYVQSVASFNAARRRPAFPKGARTYFISDMTRAGMTDIDFGWGKPVYGGPATTMLATFHLQGRNEAGEAGIVVPISLPSPVMERLIQEVDKGLNAGAAAVLDDAKARVVPDEGYVLAKL >ORUFI05G12240.1 pep chromosome:OR_W1943:5:12512812:12517949:-1 gene:ORUFI05G12240 transcript:ORUFI05G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAGGSSDHRRGAGTGAGAGGAASPSGLRRYGFRFSASSLLQAPLAALLEYSGVVPSGPGAPQAGPPSALSSSPSSSSSSSEADGLLSAAAAGDGEVSIRIQGGPGEADAAGVAGAASSGEDSIEATAAGDVDPASAAAGRGGGADAEASGGGADGASGNGGGDRAYQRYDVHHVARWIEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKIAVLVGITVIFMIHVFGVYWWYRNDDLLRPLFMLPPKEIPPFWHAIFIIMVNDTMVRQAAMAIKCMLLMYYKNSRGRNYRKQGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLAAVKALSRKDVHYGSYATAEQVIAAGDMCAICQEKMHVPVLLRCKHIFCEDCVSEWFERERTCPLCRALVKPADIRSFGDGSTSLFFQLF >ORUFI05G12250.1 pep chromosome:OR_W1943:5:12522530:12528887:-1 gene:ORUFI05G12250 transcript:ORUFI05G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRVGVVLFFLLFVLVRDVSAVAETEVGVVRVVQEAPDRKLEGAGGQDGFKSGKVPVSTVAWSTLAMAAATGLGALPFFFLELEAQWAGLCNGLAAGVMLAASFDLVQEGQMYGSGSWVVFGILSGGFFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMLLSSRGVSPQKAMIWSIITSLPQPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEAAPSQVASAGTLAVAFMETLGTVLQGFTDGHNSEDTAGFLVSLVFGLGPLFGGIVLVAFSLTFSMPHPLLTGVASGIAFRLAAWRPLQLLMSSKMGLFTTLFLLIGGSLIYHVATSNILQLVNRKKSSVNVITSSSGLSLSVLTLQSLLACGSVFLHAYAEGLQLGVAARKAYGLGRYMVLPVSLHGLPRGAAVASCIYGATDSWRAALAAAALTGFAGPSAAISAILAKIDYSGLDYWMVIACGALIPSFGRVFKRSLRLDMRKSICGLLIGFAFASVCLMSTRFICLHTPYCNSAPEAVT >ORUFI05G12260.1 pep chromosome:OR_W1943:5:12535370:12540791:1 gene:ORUFI05G12260 transcript:ORUFI05G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEAERDGEEQRRPLLSSSSPPPPSPAAEHQHQHQQQYQYLGRSSSSALRGGGGGGGGGAGWGVGPEVSAAEVRSAASISSASYYPPPPALHHDVYPPDIHSPSPSPAAPTAPHPHGGLAIVPQGPYPYGGEYQPSHDGRRDVLDEVEIRQLLIDHVGHRCCWGSRPARTWKITSIEDCNVYVGTLETFIEERDTVTNKEPYDGGKIDGRDKGPVLAVWELDLRSEFPLLFVPEKEVMVKIPHSEVIEKCLDCESRGEIPCPICNAGQEHGFYKANQMTRCSACHGRGLLAHQDGSDTVCGMCSGKGMLPCIACGSRGLVTCKTCSGYGSLLAKSTAHTLSARKVSATRGAASVPDEVFHRAQGIQLCNIQAYQCTPAFFADSYQLNQFSSEVVASRLPVPPSARVISERHIISVVPVIRVTMAHRKQCFSFYVVGYNRDVFIRDYPSKFCWGLCCCFEWLRN >ORUFI05G12260.2 pep chromosome:OR_W1943:5:12535601:12540791:1 gene:ORUFI05G12260 transcript:ORUFI05G12260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEAERDGEEQRRPLLSSSSPPPPSPGRRTATAFAVRPRSLPDPPFQAPSRDRLTLPASFRRIPFPAAAEHQHQHQQQYQYLGRSSSSALRGGGGGGGGGAGWGVGPEVSAAEVRSAASISSASYYPPPPALHHDVYPPDIHSPSPSPAAPTAPHPHGGLAIVPQGPYPYGGEYQPSHDGRRDVLDEVEIRQLLIDHVGHRCCWGSRPARTWKITSIEDCNVYVGTLETFIEERDTVTNKEPYDGGKIDGRDKGPVLAVWELDLRSEFPLLFVPEKEVMVKIPHSEVIEKCLDCESRGEIPCPICNAGQEHGFYKANQMTRCSACHGRGLLAHQDGSDTVCGMCSGKGMLPCIACGSRGLVTCKTCSGYGSLLAKSTAHTLSARKVSATRGAASVPDEVFHRAQGIQLCNIQAYQCTPAFFADSYQLNQFSSEVVASRLPVPPSARVISERHIISVVPVIRVTMAHRKQCFSFYVVGYNRDVFIRDYPSKFCWGLCCCFEWLRN >ORUFI05G12270.1 pep chromosome:OR_W1943:5:12541535:12543563:1 gene:ORUFI05G12270 transcript:ORUFI05G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKKPLSSSPSTSAVAKLLLRWRGRSKAAKDESIEFFSELRSSQPDRRGAASDHAGGGGAPDGRGKAKSAAPAAAGGDAGGGGKLLSTGTEKHDYDWLLTPPASPLWSPATSAAAGHHVSAAPPPSRLERASSAPYAKGNSRLPLTRRENGPPASRLSRSSSATSQLSTVAHAPGTVFSGRRTLSSASVSSINTASSTSVGSTPRGSSASTSPRTPATARGAPAGAAWPRHRDRTQALHVFGAAAAAGQPSASSLVSRSRPSLTAPSSGALQRATPGAAGTSSPRSTAPACQQPAATRRGANSVARSGSTPRAASPSPRARDVSIAAGASRVAPPPMSSSKPRQAPASGKQSNGNGMAAASTAAQRWRSAGRNARREEAVTHESSRNSDSRRKIDVANTSAAARRTADLSSPRGASGGSPTSGGGGRNKSTDTDAKRSLWQGAAARHLMAAARRDATPTTRRSGGLSSVASRSRLGITPAASSGDISATPTGRRSTPAKGRPAADAAAAASSPRVAAGDAFPSSRYDAMLLREDPRNLTWLHGCDDGEEIDGGDLVEASLESFDVPAGLSSTGLHGGKTLNFGANL >ORUFI05G12280.1 pep chromosome:OR_W1943:5:12567969:12568686:1 gene:ORUFI05G12280 transcript:ORUFI05G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHDELDGGDSAHRLLFNLYLTYWPLLLHCHLLLFKRPVTKTRAASSTDVVAKLDLDTEGWESGEEVDLELTGTLRRRRLVKARGRQCMEEALGEAEFELANTDFMAKRCSLLEVGPGDRCGRWWRSLPLKASKKRGRGGPVDERKDRERDVP >ORUFI05G12290.1 pep chromosome:OR_W1943:5:12580623:12581021:-1 gene:ORUFI05G12290 transcript:ORUFI05G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGATVIAAAAAAATLAPRRRHDEEDRQRQRRLQRGDEGWQTIGSGCVSSGGSWARRQRRDEEDHRQHDGYRGARRRGQEDHRRRRPRRHAVGAAAEAINHGGSATAVRSGNRGGLGDGGERERRRERRRG >ORUFI05G12300.1 pep chromosome:OR_W1943:5:12584226:12585056:1 gene:ORUFI05G12300 transcript:ORUFI05G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPADSLAAGRSRRVGASMPRPKRVRVYFVDADATDTDSSGDERSRPRVREVIDIDVGAASPAPAPKHPRRLVPTATAALARRRAGMAASRRFRGVRRRPWGRFSAEIRDPSLQKRLWLGTFDTAEEAAAVYDDAALRIKGSHAVTNFPSDSDTPSAKAKQMKLHPRRHTTPDKTPSSSTAAAAKASVPDPTPAPPEEDRANDSSFNPFASPTSVLRYAAADEVTAPSFEHLLVELGDLSAPPPSTKAAEFDWLPWWEGEDFVTAGLTASNAVSVK >ORUFI05G12310.1 pep chromosome:OR_W1943:5:12588575:12589850:-1 gene:ORUFI05G12310 transcript:ORUFI05G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGITTSPLSSGDSFPLSFINKATKETRSETVRVDIKTAGCGLRGQRCKSGCHSVDQGGDDGSAQCGGRSGRIAKMPQWRFESVFICSRITYRCHSGLRRKAVVLGEKEVVQAPDRKPSEKVQERVHLVSLLRGLPPSPSATAKLADCAGVGIGEVGASPSTRCAANTWDPITVTKEGTLIRANNSDRRRRGGREGARSSWRRRGSSIVTEAGRELVVLNKQRPDPTTLRLDPVVKGSLPSCSHHVPSPPVADDAAVDGKGRDAEMDDEGVGIGGGSKHHQR >ORUFI05G12320.1 pep chromosome:OR_W1943:5:12608952:12625426:1 gene:ORUFI05G12320 transcript:ORUFI05G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENTGDNPNMSILQRIATSDVPLVKEYGLPGIIGAILLAVVIPIMLSSIFNKKGKKRAVQADVGGEAGLAMRNSRFSMLVEVPWEGATTMAALFEMASKKYPRHRCLGTRKLINREFVESPDGRKFEKLHLGEYEWDTYAEAFSRACNFSSGLIKLGHQRDSRAAIFADTRTEWIIAAQGCFRQNLTVVTIYASLGEDALVHSLNESVKHVIYIEDEPVEAEVLNQMKHWTTFSFGEVEELGKTSHTDARLPSSTDTAVIMYTSGSTGLPKGVMITHGNMVATTAAVMTIIPKLGTGDVYLAYLPLAHVFELAAETVMLASGAAIGYGSALTMTDTSNKIKKGTKGDVSALKPTLMISVPAILDRIRDAVFKKVGEKGGLTKKLFDIAYKRNLGAIEGSWFGSWAPERMIWDNLIFKPIRSMLGGRIRFVLCGGAPLSSDTQRFMNICLGVPVGQGYGLTETCAGAAFSEWDDTSVGRVGPPLPCCYVKLVSWEEGGYKISDSPMPRGEVVVGGYSITKGYFNNEAKTNEVYKVDERGMRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVESALTTSNYVDSIMVYADPFHNYCVALVVPAHQALEQWAQNSGINYKNFDELCHNDQAIKEVQQSLSKAAKAARLEKFEIPAKIVLLPEPWTPESGLVTAALKLKREQIKTKFKDDLGKLYH >ORUFI05G12320.2 pep chromosome:OR_W1943:5:12608998:12625426:1 gene:ORUFI05G12320 transcript:ORUFI05G12320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENTGDNPNMSILQRIATSDVPLVKEYGLPGIIGAILLAVVIPIMLSSIFNKKGKKRAVQADVGGEAGLAMRNSRFSMLVEVPWEGATTMAALFEMASKKYPRHRCLGTRKLINREFVESPDGRKFEKLHLGEYEWDTYAEAFSRACNFSSGLIKLGHQRDSRAAIFADTRTEWIIAAQGCFRQNLTVVTIYASLGEDALVHSLNETQVSTLICDSKQLKKLPAISSKLQSVKHVIYIEDEPVEAEVLNQMKHWTTFSFGEVEELGKTSHTDARLPSSTDTAVIMYTSGSTGLPKGVMITHGNMVATTAAVMTIIPKLGTGDVYLAYLPLAHVFELAAETVMLASGAAIGYGSALTMTDTSNKIKKGTKGDVSALKPTLMISVPAILDRIRDAVFKKVGEKGGLTKKLFDIAYKRNLGAIEGSWFGSWAPERMIWDNLIFKPIRSMLGGRIRFVLCGGAPLSSDTQRFMNICLGVPVGQGYGLTETCAGAAFSEWDDTSVGRVGPPLPCCYVKLVSWEEGGYKISDSPMPRGEVVVGGYSITKGYFNNEAKTNEVYKVDERGMRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVESALTTSNYVDSIMVYADPFHNYCVALVVPAHQALEQWAQNSGINYKNFDELCHNDQAIKEVQQSLSKAAKAARLEKFEIPAKIVLLPEPWTPESGLVTAALKLKREQIKTKFKDDLGKLYH >ORUFI05G12340.1 pep chromosome:OR_W1943:5:12635246:12636015:1 gene:ORUFI05G12340 transcript:ORUFI05G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALLHPFLSLSLSHLLSLGGCIESEQLRRWMHREGVVEGEENRCSAGARGGEAIYAAAEAYCPTRRSCRPMPTGSLSWTRTALIPSPRHPFAIAAGRLATRRRCGTSVGHYDASTTISNAIEGGALWQHRRQVSFQGQLRRWRTARSSSSKTAFWNREAHVDGEVGGAEEDNMGEQTPFSPAAVRPCGSPLTRRGREEEGRDKWKERG >ORUFI05G12350.1 pep chromosome:OR_W1943:5:12636507:12642757:1 gene:ORUFI05G12350 transcript:ORUFI05G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPSLLTTIQWVALSTLILITIAADNYSSSSSPIFLNCGASTMQLDINNRSWEGDTRSKFASAMNGIAASATYQDPSLPSLVPYMTSRIFISNYTYSFPISPGRIFVRLYFYPVAYGYYASEDAYFGVKTNNLILLDNFNASQTAQAANYAYILREFSLNVTLGSLDLTFFPSTQNGSYAFVNGIEIVPTPDIFTTRTPTHNTEGNLDPSDIDSMTSFQTMYRLNVGGQAIIPQGDSRFYRSWEDDSPYIYGAAFGVTFGKDSNVTITYPGFYYLLRFHFCEIQYPITKMNQRSFFIYINNQTVQDQMDVIRWSGGIGMATYADYLIVTVGSGQMDLWVALHPDLSSRPQYYDAILNGLEVFKLWDIGKKNLAGLNPPLPPQPKTDVNPKGVSGGGKLKAAVPAAICAVVVLITACFCVCIICRRKKVAKHSGKTDKKCLTYQTELYKSPSNLCRNFTFHEMQIATSSFDETLLLGRGGFGDVYRGEIDNGTTVAIKRSNPLSLQGVHEFQTEIETLSKVRHGHLVSLIGYCQEKNEMILVYEYMARGTLREHLYSTKRPPLPWKERLKICIGAARGLYYLHTGPKETIIHRDVKTANILLDDKWVAKVSDFGLSKVNPDIDATHVSTVVKGTFGYFDPEYFRLKQLTQRSDVFSFGVVLFEILCARPPVNTELPEEQVSLREWALSCKKIGTLGEIIDPYLQGEIAPDCLKKFADCAEQCVADRSIDRPEMGDVLRNLEVALKMQECAENNSKFSEETTSSKTTPDMMTIMDTDKQSTYSTMSITGQRTIFSDMMDPQAR >ORUFI05G12350.2 pep chromosome:OR_W1943:5:12636507:12642757:1 gene:ORUFI05G12350 transcript:ORUFI05G12350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPSLLTTIQWVALSTLILITIAADNYSSSSSPIFLNCGASTMQLDINNRSWEGDTRSKFASAMNGIAASATYQDPSLPSLVPYMTSRIFISNYTYSFPISPGRIFVRLYFYPVAYGYYASEDAYFGVKTNNLILLDNFNASQTAQAANYAYILREFSLNVTLGSLDLTFFPSTQNGSYAFVNGIEIVPTPDIFTTRTPTHNTEGNLDPSDIDSMTSFQTMYRLNVGGQAIIPQGDSRFYRSWEDDSPYIYGAAFGVTFGKDSNVTITYPGFYYLLRFHFCEIQYPITKMNQRSFFIYINNQTVQDQMDVIRWSGGIGMATYADYLIVTVGSGQMDLWVALHPDLSSRPQYYDAILNGLEVFKLWDIGKKNLAGLNPPLPPQPKTDVNPKGVSGGGKLKAAVPAAICAVVVLITACFCVCIICRRKKVAKHSGKTDKKCLTYQTELYKSPSNLCRNFTFHEMQIATSSFDETLLLGRGGFGDVYRGEIDNGTTVAIKRSNPLSLQGVHEFQTEIETLSKVRHGHLVSLIGYCQEKNEMILVYEYMARGTLREHLYSTKRPPLPWKERLKICIGAARGLYYLHTGPKETIIHRDVKTANILLDDKWVAKVSDFGLSKVNPDIDATHVSTVVKGTFGYFDPEYFRLKQLTQRSDVFSFGVVLFEILCARPPVNTELPEEQVSLREWALSCKKIGTLGEIIDPYLQGEIAPDCLKKFADCAEQCVADRSIDRPEMGDVLRNLEVALKMQECAENNSKFSEETTSSKTTPDMMTIMDTDKQSTYSTMSITGQRTIFSDMMDPQAR >ORUFI05G12350.3 pep chromosome:OR_W1943:5:12636210:12637235:1 gene:ORUFI05G12350 transcript:ORUFI05G12350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPSHRRKRSLADAVKKLVKQEEEWSWGEKVNFVGTGPLEVSVSLAPQGNDY >ORUFI05G12360.1 pep chromosome:OR_W1943:5:12643610:12644116:1 gene:ORUFI05G12360 transcript:ORUFI05G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMVPAMGKKTKPSPSGRPGAREAGQSAECGGGVEAAEEDDRRDRRRGGSRPWRRIRRAGGWIHVVAEEEEETRGFGDFAAASARDLARLGFATKSGREGRGERGATMLRCPPPPAMVWSPASSLSSHELASLREMAHLTPLSLLLLPLLHVSYSADVAGWRRARR >ORUFI05G12370.1 pep chromosome:OR_W1943:5:12653832:12656372:1 gene:ORUFI05G12370 transcript:ORUFI05G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHPSLLATTQWVTLSTLLSIAIAADNYFSSSSPIFLNCGASAMQLDSNNRSWDGDTSSTFAPSVKGLAARASYQDPSLPSLVPYMTSRIFISNYTYSFPVIPGRMFVRLHFYPVAYGNYASRDAYFGVTTNNLTLLDNFNASQTALAAKYAYILREFSLNVTSGSLDLTFFPSTQNGSYAFVNGIEIVPTPDIFTTLSPIPPTNGNPDPSDIDSMISFQTMYRLNVGGMTISPQGDSMFYRSWENDSPYIYGSAFGVTFSKDSNVTITYPRFYYLLRFHFCEIEYPITKVNQRSFFIYINNQTVQEQMDVIVWSGGIGITTYTDYVIVTVGSGQMDLWVALHPDLSSGPEYYDAILNGLEVFKLQDIGKKSLAGLNPPLPPQPKSDVNPKGVSGGGKSKGAVPASIRGAMGSTATMLIACFSVCIICRLKKVAKHSFMTDKKCMTYRTEFYHSPSNLCRNFTFDEIQVATRNFDESLLLGRGGFGDVYRGEIDNNGENVAIKRSNPLSVQGVHEFQTEIELLSKLRYCHLVSLIGYCKEKNEMILVYEYMAQGTLREHLYNSNKPSLPWKQRLKICIGAARGLHYLHMGANQTIIHRDVKTANILLDDKWVAKVSDFGLSKANPDIDSTHVSTVVKGTFGYLDPEYYRRKQLTQKSDVYSFGVVLFEILCARPAVNIELPEEQASLRDWALSCQKKGMLGKIIDPHLHGEISPPCLRMFADCAKQCVADRSIDRPLMSDVLWSLEAALKLQENAENNKKFSEATTSSKRTPDLITIMGTDKPSTYSTMSITGQKIIFSDMMHPQGR >ORUFI05G12380.1 pep chromosome:OR_W1943:5:12656022:12660704:-1 gene:ORUFI05G12380 transcript:ORUFI05G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTVATPSCERLLDDVAATTLRGSGQQSASGVGKADAGRGQAKAPQTLGVGHRWLGYGEVQQGERRRLQYKAVATDHCEIVCSQLQCSFQTPKNITHQRPVDAAISNTLFCTISKHPKAGRTDFSMEMWINNFAKHAFLLAR >ORUFI05G12390.1 pep chromosome:OR_W1943:5:12670374:12672986:1 gene:ORUFI05G12390 transcript:ORUFI05G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLSRRALLLFFLEVAVAMALLLPGGHARVCPPCGSTEVPYPLSTADGCGDPEYKVRCAAAAAGGTAPTLLFDALNGTSYPITSISPASQRLVVSPAPFVSPGACVSVGAAASRGVQLDPSRPFNVSSSNTVMLLNCTELLLRSPLNCSSNSLCHAYAGAAGSTASACAPLPLCCTFVAGGSSTSYRIRLGPQSCSAYRSFVGLDPSQPPATWGSRLGLELQWATPREPLCRTQADCEDGAAATCADDPSATGGAGTVRRCLCVSGLVWNPIAGACQQQNLTDSGSNHAPLIAGNYIASFLQR >ORUFI05G12400.1 pep chromosome:OR_W1943:5:12673566:12675065:1 gene:ORUFI05G12400 transcript:ORUFI05G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIVCGLGGALLVATAGLFAYRRQQRIRLAKEKLAKEREEILNANNSSGRTAKNFSGRELRRATANFSRDNLLGAGGYGEVYRGVLADGTVVAVKCAKLGNTKSTEQVLNEVRVLSQVNHRSLVRLLGCCVDLEQPLMVYEFIPNGTLADHLYGPLSHPPLPWRRRLAIAHHTAQGIAYLHFSAVPPIYHRDIKSSNILLDERMDGKVSDFGLSRLAEQGLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTCKRAIDFGRGADDVNLAVHVQRAAEEERLMDVVDPVLKDNATQLQCDTIKALGFLALGCLEERRQNRPSMKEVAEEIEYIMNIEAGNAHLKELHSL >ORUFI05G12410.1 pep chromosome:OR_W1943:5:12675847:12676386:-1 gene:ORUFI05G12410 transcript:ORUFI05G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDDDTVAVDGGGRWRLRRRCCFEPRSRTLGSTLTSKEAESNNRRLLHVGDIDRTSKSYICTSCSMWLAVEDRVEFADDGWMLLRNVELIFIPRHYVLS >ORUFI05G12420.1 pep chromosome:OR_W1943:5:12681302:12682947:1 gene:ORUFI05G12420 transcript:ORUFI05G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIRRTRPAHRPYPAPRHLPPTLPPDHPYPTSSLVRLAPPPTLSLSSSIHRRPICPPCRSIPSAVADSPPPESWQ >ORUFI05G12430.1 pep chromosome:OR_W1943:5:12688484:12688978:-1 gene:ORUFI05G12430 transcript:ORUFI05G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGVPELRFSSAEANARLHRIVFEVNSIYSTLRSHRPRCMRPSPQRSPPPSFLLAANLIPTNHQAPSSGFYLPPPPNDRLPSPYWSPATTHLSRNSASSVLFACMHGHSWSQWCSRVYSGVATYSISQLLEILSPIPTVWLTCDHNADTAQMRGELPRNNVVV >ORUFI05G12440.1 pep chromosome:OR_W1943:5:12689560:12689823:-1 gene:ORUFI05G12440 transcript:ORUFI05G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGCSPANDDAVEEGGRRAPPLLAGWGSAQAVGEETKFEAEARWDGLGCKCGGSRRTPAPPLLAGWRSAGEETGVEGDGRGDGTD >ORUFI05G12450.1 pep chromosome:OR_W1943:5:12690286:12692868:1 gene:ORUFI05G12450 transcript:ORUFI05G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAATTMVAAVHHPRPCFRVRAAWDMNPSASPKPKAKPPPPPPPPLPTAPAPTHADLFARHSNSEGQVPKKTTSMGFERWWLPPPPEVKKPRSLYNAASLAYLGDCIYELYARRHFFFPPLSINDYNKRVMDVVKCESQDLLLNKLLGEDFLTQEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLIGYLYLTNFKRLEQLMFQLGFTSGASSHHIADELVSGFKKKNSTSVQSQQQAAQ >ORUFI05G12460.1 pep chromosome:OR_W1943:5:12704760:12714915:-1 gene:ORUFI05G12460 transcript:ORUFI05G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSEEPPLNRRHPAAAPSRFAVATMLSTNVDSEICVHARCSMECLGEGRWPRNKWLWNRMFTAGMANRTELATLLCIPLFSLLLLDVVAGNFTGPHQIRLRCGGTSTAIDSDGRTWEGDANSKLALDGLAANASYLDPLLPSPVPYMTARIFSSNFTYWFGLNPGRVFLRLYFYPTEYADRAAADALFSVTAGILVLLNDFNPSQVAHAMGRTYLILEYSVNVPSGDLDVTFSLSPHHTGSYAFVNGIEVVSTPDIFTKPAPTFLNVGISDPFPISVNIGLQTMYRLNVGGETISPKDDSEFCRTWGKDSPYISGDSGLNFFKDDTVTISYPRTMPSYIAPVGLYETARSMGLKGYINLRYNLTWILPIDAGFHYLLRLHFCEIQHPITKANQRTFFVYINNQTAQKMDVIVLSGGIGVPIYTNYIVGPIGYGQTDLRVALHPDVETNPEFVDAILNGLEVFKLQDVNKSNLAGMNPIPWSHRDGDPRLATIGGAIFVLVVLLIASLSMYIINIRKKRVDHGNTNKELLLATLLSKKSNLCHQFTFLQIQEATSNFDEAFLLGKGGFGNVYKGELDHGMKVAIKRGDPLSQQGINEFQTEIEMLSKLRHRHLVSLIGYCEDENEMILVYDYMENGTLQEHLYGSQKPPLPWKQRLEICIGAALGLHYLHTGAKQTIIHRDVKSTNILLDGKWVAKVSDFGLSKVSTDKDKTYVSTVVKGSFGYLDPEYFRRQKLTKKSDVFSFGVLLFEVLCARPVINPELPEEQVSLRDWALSCRKKGILSEIIDPHLQGEITPQCFRKFTETAEQCVADYSMNRPSMGDVLWNLEVALQLQESAEENCEETALNVLSSPLTTRLQPSSRSTMSISGQKAVFSEMMHPDGR >ORUFI05G12460.2 pep chromosome:OR_W1943:5:12704758:12714915:-1 gene:ORUFI05G12460 transcript:ORUFI05G12460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSEEPPLNRRHPAAAPSRFAVATMLSTNVDSEICVHARCSMECLGEGRWPRNKWLWNRMFTGFHYLLRLHFCEIQHPITKANQRTFFVYINNQTAQKMDVIVLSGGIGVPIYTNYIVGPIGYGQTDLRVALHPDVETNPEFVDAILNGLEVFKLQDVNKSNLAGMNPIPWSHRDGDPRLATIGGAIFVLVVLLIASLSMYIINIRKKRVDHGNTNKELLLATLLSKKSNLCHQFTFLQIQEATSNFDEAFLLGKGGFGNVYKGELDHGMKVAIKRGDPLSQQGINEFQTEIEMLSKLRHRHLVSLIGYCEDENEMILVYDYMENGTLQEHLYGSQKPPLPWKQRLEICIGAALGLHYLHTGAKQTIIHRDVKSTNILLDGKWVAKVSDFGLSKVSTDKDKTYVSTVVKGSFGYLDPEYFRRQKLTKKSDVFSFGVLLFEVLCARPVINPELPEEQVSLRDWALSCRKKGILSEIIDPHLQGEITPQCFRKFTETAEQCVADYSMNRPSMGDVLWNLEVALQLQESAEENCEETALNVLSSPLTTRLQPSSRSTMSISGQKAVFSEMMHPDGR >ORUFI05G12460.3 pep chromosome:OR_W1943:5:12707244:12714915:-1 gene:ORUFI05G12460 transcript:ORUFI05G12460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSEEPPLNRRHPAAAPSRFAVATMLSTNVDSEICVHARCSMECLGEGRWPRNKWLWNRMFTEILT >ORUFI05G12470.1 pep chromosome:OR_W1943:5:12715368:12717947:-1 gene:ORUFI05G12470 transcript:ORUFI05G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADNNSTASAPIFLNCGASGVQPDSYNRSWDGDASSKFAPSVKGNVARASYQDPSLPSPVPYMTARFFTSNYTYSFPVSPGRMFVRLHFYPTNYNGNLDSANAYFGVTTNNLILLDNFNASQTALATSSAYFFREFSVNVTSSSLKLTFAPSTRNGSYAFVNGIEIVPTPDIFTTPTPTSANGGDNVQYGIDPVMGLQTMYRLNVGGQPISPQGDSGFYRSWDNDSPYIYGAAYGVTFSKDGNVTIKYPNTEPNYTAPVAVYATARSMGPTAQINLNYNLTWILPVDAGFTYLLRFHFCEIQYPITKVNQRSFFIYINNQTAQNQMDVIVWSGGIGRTTYTNYVVTTVGSGQTDLWVALHPDLSSKPEYFDAILNGLEVFKLQDLGRNNLAGLNPPLPPKPGVNPNGGSSRGKSKSVAPAAIGGAVGGLAVLLIACVGLCIICRRKKKVAKDTGKSDEGRWTPLTDFTKSQSATSGKTTNTGSHSMLPANLCRHFSFAEIQAATNNFDKSFLLGKGGFGNVYLGEIDSGTRVAIKRGNPLSEQGVHEFQNEIEMLSKLRHRHLVSLIGYCEDRNEMILVYDYMAHGTLREHLYNTKNPPLSWKQRLEICIGAARGLYYLHTGAKQTIIHRDVKTTNILLDDKWVAKVSDFGLSKAGPNVDNTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARNALSPSLPKEQVSLADWALRCQKKGVLGEIIDPLLKGKIAPQCFLKFAETAEKCVADRSVDRPSMGDVLWNLEFALQLQESTEDSSSLTEGTSASTSPLVVARLHSDEPSTDVTTTTTTTTSLSITDRSIASVESDGLTPSNIFSQLMTPDGR >ORUFI05G12480.1 pep chromosome:OR_W1943:5:12718163:12719226:-1 gene:ORUFI05G12480 transcript:ORUFI05G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSTIYKSSTSTSTSPSSTPQLHYGPELDFPPSFWPPKHAQQLETAAGRAEFISPSPTPRGRRGLQLSGCAFFS >ORUFI05G12490.1 pep chromosome:OR_W1943:5:12720327:12721054:1 gene:ORUFI05G12490 transcript:ORUFI05G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGALLSTRSSRGGGGGDCGGGRRPPLRQILPGGESATAGGAVTARQRPPHPVVVAARQQRRLRWPLKKILINIYVRVYGPYFGGGGRGDIARLRGMTVRDDGSTVDSEHEQEVRRRPNGGQ >ORUFI05G12500.1 pep chromosome:OR_W1943:5:12728702:12731107:1 gene:ORUFI05G12500 transcript:ORUFI05G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIKLSSHASLRYKLQPCGASPPAAAAAMETSYREEEARRKASLLHCIFFFLLGALAMAAAIAVLHESSYWEWRCNRLTDIVVDGDDGDGPSSSEVVDGGGEWGMVRTRGAQFVVGGGRPFYVNGFNTYWLMVLAVDPSTRGKVTEVFRQAAAVGLTVCRTWAFNDGGWRALQKSPGVYDEEVFKALDFVVSEARKHKIRLILPLINNWDDYGGKAQYVRWAQAAAAGAGADAFFSDETVRGYFKSHVTAVLTRVNAYTGVAYRDDPTIMAWELMNEPRCASDPTGDTLQAWIAEMAFHVKSVDPAHLLGVGAEGFYGPSSPPARLRVNPNADVALAGADFVRNHRVLGVDFASVHVYPDTWLPAGATKEAQLRFATSWVEAHIADAEGALGGMPVLFAEFGVSTRGARAAFNATSRDAFIEAVYGAMLRSTRRGGGGAGALLWQVFPEGTDYMDDGYAVVLPRAAATAGIVAAHSRRLQSFNSRCAWSCRWGCNKRDNDTAETTTAEADVDVSFHHEL >ORUFI05G12510.1 pep chromosome:OR_W1943:5:12734343:12736917:-1 gene:ORUFI05G12510 transcript:ORUFI05G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKLLADGCAGASSSPALSRVATSAAHGEGSPYFAGWKAYDEDPYDAAANPDGVIQMGLAENQVSIDLLEGYLREHPEAAAWGVAGDGGGDSFRDNALFQDYHGLANFRKAMARFMEKIMGGKATFDPDRIVLTAGATAANELLTFILADPRDALLIPTPYYPGFDRDLRWRTGVNVVPVHCDSANGFQVTAAALQAAHDEAAAAGMRVRGVLITNPSNPLGTTARREALEGILGFVARNDIHLVSDEIYSGSVFAAPDLVSVAELVESSSSRARHRGEDDDGDVGVADRVHVVYSLSKDLGLPGFRVGVVYSRNDAVVAAARRMSSFTLVSSQTQRTLAAVLSDEAFVDAYVAANRARLRERHDHVVAGLARAGVPCLRGNAGLFVWMDMRRLLLGDGGDAATFAGELRLWDRLLREVKLNVSPGSSCHCSEPGWFRVCFANMSLATLDVALERISRFMDAWCKATIGKFNHLQPNRCEVNYFALERYQGHVQQ >ORUFI05G12520.1 pep chromosome:OR_W1943:5:12737774:12738304:1 gene:ORUFI05G12520 transcript:ORUFI05G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLPRLLCLHCHHATLVSTAREGGSAILEPSGGGSTLFEPAGDRSVTPEPTRAAIVIMVAAPGAVVTCCPSASFPPHARTDQPLPSQAADSSFPSLLAADLSFPSPLAAYPSFLSLPTVDPPHAATVIVVTAHGDVVTTAARSQHYCSCGCPRPLLLQARIPPLPLGEARRPAG >ORUFI05G12530.1 pep chromosome:OR_W1943:5:12738612:12738803:1 gene:ORUFI05G12530 transcript:ORUFI05G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGERGGERPVEVAVLVAKVGRGERPQQWQSSISVVGKDRLEVPAGTYGDALGGRESELECLR >ORUFI05G12540.1 pep chromosome:OR_W1943:5:12750005:12750497:1 gene:ORUFI05G12540 transcript:ORUFI05G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAMSSPNPATSSLDLAAADLASSDTTTTVAVCTARVHGTTVPTTMGARLRRGGLDHMIGWNVVHGLISPQTYKFHTQAKAPFSAKQAETYRQKHLSPHRSTRPERRQLKP >ORUFI05G12550.1 pep chromosome:OR_W1943:5:12752985:12756916:1 gene:ORUFI05G12550 transcript:ORUFI05G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISPEHRRQDAAKGIKLVPILSRFNSVKMSRKRLVGMFEYPSLEAATEKFSESNMLGVGGFGRVYKAAFDAGVTAAVKRLDGGGPDCEKEFENELDLLGRIRHPNIVSLLGFCIHEGNHYIVYELMEKGSLETQLHGSSHGSTLSWHIRMKIALDTARGLEYLHEHCSPPVIHRDLKSSNILLDSDFNAKIADFGLAVSSGSVNKGSVKLSGTLGYVAPEYLLDAAMSISGKLTEKSDVYAFGVVLLELLMGRKPVEKMSPSQCQSIVTWAMPQLTDRSKLPSIVDPVIKDTMDPKHLYQVAAVAVLCVQAEPSYRPLITDVLHSLVPLVPTELGGTLRAGEPPSPNLRNSPC >ORUFI05G12550.2 pep chromosome:OR_W1943:5:12752985:12756513:1 gene:ORUFI05G12550 transcript:ORUFI05G12550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISPEHRRQDAAKGIKLVPILSRFNSVKMSRKRLVGMFEYPSLEAATEKFSESNMLGVGGFGRVYKAAFDAGVTAAVKRLDGGGPDCEKEFENELDLLGRIRHPNIVSLLGFCIHEGNHYIVYELMEKGSLETQLHGSSHGSTLSWHIRMKIALDTARGLEYLHEHCSPPVIHRDLKSSNILLDSDFNAKIADFGLAVSSGSVNKGSVKLSGTLGYVAPEYLLDAAMSISGKLTEKSDVYAFGVVLLELLMGRKPVEKMSPSQCQSIVTWAMPQLTDRSKLPSIVDPVIKDTMDPKHLYQVAAVAVLCVQAEPSYRPLITDVLHSLVPLVPTELGGTLRAGEPPSPNLRNSPC >ORUFI05G12560.1 pep chromosome:OR_W1943:5:12761412:12765396:-1 gene:ORUFI05G12560 transcript:ORUFI05G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLEDLKKENVDLVSVLHHSANIAFIRESIPIQEVFAVLKSSPQGLTSADGNGRLEIFGRNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIALANGGGRPPDWQDFVGIVTLLFINSTISFIEENNAGNAAAALMASLAPQTKARRACALLRDGKWSEQDAAILVPGDIISIKLGDIIPADARLMEGDPLKIDQSALTGESLPVNKMPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVSCMHPSATSRRRGANCLLALLTINGGQVLTAIGNFCICSIAAGMLIEIIVMYPIQHRQYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEARTAHTINLSVLPLIFFPIDRPFVKDLDKDAIVLYAARASRTENQDAIDASIVGMLADPSEARAGIQEVHFMPFNPVDKRTAITYIDTKDGSWHRISKGAPEQIIELCRLRDDVSRRVHAIIDKFADRGLRSLAVARQKVPEGSKDAPGTPWQFLAVLPLFDPPRHDSSETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLKDGDTGGLPVDELIEKADGFAGVFPEHKYEIVRRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRVVLGFLLLALIWRFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDAWRLQEIFATGIVLGTYLALATVLFFWAVRDTDFFTVTTHHPTSHASPPRALTPCVCGQRTFGVHPIGGSTEELMAAVYLQVSIISQALIFVTRARSWFFVERPGLLLVGAFLIAQLMATLIAVYANWPFAKMKGIGWSWGMVIWLFSIVTFFPLDIFKFAIRYFLSGKAWNNAFDNKTAFANELDYGKSKREAQWAIAQRSLHGLQQAETSTALFDDNKDYLELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQNHYTV >ORUFI05G12570.1 pep chromosome:OR_W1943:5:12767134:12768984:-1 gene:ORUFI05G12570 transcript:ORUFI05G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTREVAFGVNLIGNNGAAPADEASSLAGWAPVGSRTTLSAHVEKDDPPAAMLPAVDDGGREHRPSGSRYVLAARRDGEEDGLRHPVPAGALVPRVTYRVAGWVAVQSGGGEHAGGESHVVRVSLHVDDGGECRVLGCGAVCAGVAGGWVEINGAFRLKATPRGATAVHVHGAPAGVDVKLMDLRVFAADRKARFRHLKEKTDKVRKRDVVLKFSGGAGVEATASIPGAAVRVVQMDNVFPLGTCINGSVIQDPNFVDFFTNNFDWAVFENELKWYWTEAQRGQLNYRDADALLDFCDRHGKPARGHCIFWAVDGSVQQWIKDLGRDDLASAVRGRLTGLLSRYAGRFPHYDVNNEMLHGRFYRDRLGDDAAALMFREAARLDPAARLFVNDYNVECANDPNATPEKYIELIDALRRGGAAVGGVGIQGHVSNPSGEVICGALDKLAASTGLPIWITELDVSEPDVSLRADDLEVVLREAYAHPAVAGVVLWGFMQGRMWRQDASLVDADGTVNEAGQRLVNLRREWTSDARGTIDGDGHFTFRGYHGTYVVQVTTATGKILKTFTVDKGDTSLVLDMEI >ORUFI05G12580.1 pep chromosome:OR_W1943:5:12778336:12782381:-1 gene:ORUFI05G12580 transcript:ORUFI05G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLRRRRGTSVAPPVTLPDDDDLLSEILLRLPPRPSSLPRASLVCKRWRRLVTDPAFHRRFRARHRNPPLIGVFEDYLGYPFFRSVLDPPDLIPRERFRLRLAEDEGGQWRFYGCRHGRVLLFNRAKNEILVWVPDTGDHRRVAVPPEIDGKEKIIWNGAVLSAANADDGFSSCPFKVVLVGVAGNNTQMFACVYCSESGKWSDLISVAAPFLVFFFRDPGILVGHALYWMASGDHGSTILQFDLDDQTPAVIEWPSDSDPNCYTQTWLTEGDCLRVATFSRGSLQMWERKVCSEGVAKWVLQKTYELKNVLNPEIRLNVEYVTKLGYAQDIKVMFVWAAHSVFMLQLDSLQAKKVWESCVIAPIHPYAILSKEGKKSEASPDNILLVNEMLAV >ORUFI05G12590.1 pep chromosome:OR_W1943:5:12786839:12787226:1 gene:ORUFI05G12590 transcript:ORUFI05G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEGIGEGIDTAQKQDSIGNQPNWWSAAPAGKDLAIRNHHGASGGSGVARQKGKDFTVGNHHGVGEGRLRCSVVEAGKDLAVGKHHGAGGDWRRSRIQRG >ORUFI05G12600.1 pep chromosome:OR_W1943:5:12792100:12794588:1 gene:ORUFI05G12600 transcript:ORUFI05G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPYQLEVLKRTYTGLTDKQLQMWFCHRRLKDRKPPPKRQQLEEEVHVPVMAPPPVLPPPLPHSELTMAPGGMYGEQLLPSSSRRGTGRPSAILDMSDLQCLPLLTSHGTKSSPGEMIRLRWDTTDSEEVVRTRIPSSTPGQHKARVLPAAGRGWPDTRGPPASPPWPTRCPLSLHTYPPRRHRLRQPSV >ORUFI05G12610.1 pep chromosome:OR_W1943:5:12806618:12807277:-1 gene:ORUFI05G12610 transcript:ORUFI05G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSARARLGARTVRTELKRGGSKAGGLNRKPALFEEAVVDAAMEAKGKKRKREVEVSHPALERAVVPTNSALPIHRSALESGEDSSESSPKAVIEFVRRVSPSTVSRSIDWDLINEDKSSKVWLAGVVCLCHSFCLLTSISVDVRIAWSLDRFRATCVS >ORUFI05G12620.1 pep chromosome:OR_W1943:5:12808896:12813393:1 gene:ORUFI05G12620 transcript:ORUFI05G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARCGGLAVVAVRRPLQPRDTNVVASPAAALAGKAAKGKGKGKGTARAASSPPSVRSYAKAGGCRVGLREVSLAEELEKARERRGRMRAAREVTGRALEERAAALRREAREWERRADEQRRLVAELMRLIGMPEVYTPVESLRSKEERKRKEGIAHSGSLLEEQHTVAPQIQTSDYMSHVRHRLVKETWPELLGKDQDTAYDTIKRENPQVTNIVYLISNSIGREENDEFCCNRVVLVIGALPTGGEGISKVPQVG >ORUFI05G12630.1 pep chromosome:OR_W1943:5:12815702:12819896:-1 gene:ORUFI05G12630 transcript:ORUFI05G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLVEKVLLGLFVAAVLALVVAKLTGKRLRLPPGPAGAPIVGNWLQVGDDLNHRNLMALARRFGDILLLRMGVRNLVVVSSPDLAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVAQNRAGWEEEARLVVEDVRRDPAAATSGVVIRRRLQLMMYNDMFRIMFDRRFDSVDDPLFNKLKAFNAERSRLSQSFEYNYGDFIPVLRPFLRRYLARCHQLKSQRMKLFEDHFVQERK >ORUFI05G12640.1 pep chromosome:OR_W1943:5:12822094:12822390:1 gene:ORUFI05G12640 transcript:ORUFI05G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDNDSFLLSSPLLPPNGSGALRQCKRTEEVCHDFLFFSPLLFLPTVVEGSGNGGEQRRRATAAAFAARVDPTAVTLPLHGSGGSGGGEAQRWRIR >ORUFI05G12650.1 pep chromosome:OR_W1943:5:12825931:12826128:-1 gene:ORUFI05G12650 transcript:ORUFI05G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGEARVGGGSGRRSERRRGAVGGGMWRLAGGGGGAVVLTRRQRFRWWWSIGVSTVDWQAVDGG >ORUFI05G12660.1 pep chromosome:OR_W1943:5:12838459:12838950:1 gene:ORUFI05G12660 transcript:ORUFI05G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIGKQWHPLHELDPEVVERDGHLHRRVWQVLVAVPQQHHLVVVREVAIRHNDRRRPHDGVDEPVGAPRQRTVVHPHVARRVERDAVAVGAGAPPVVRRQGVHIRVAGGDTIMDVDVVDDDVGDVLERDARAAGAGAVTRRRSRSLLATSWNPEGVCSWWSD >ORUFI05G12670.1 pep chromosome:OR_W1943:5:12850059:12850358:-1 gene:ORUFI05G12670 transcript:ORUFI05G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSEDGSGDREEHGRSSWRPTARGAATKLVVRRQGELRRLGPRAADLVARKSWAVGDGEGTDPAVGGEGEGGRGGRGGFGSGERRGGQSRGREGHVR >ORUFI05G12680.1 pep chromosome:OR_W1943:5:12852178:12852348:1 gene:ORUFI05G12680 transcript:ORUFI05G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEIEQCGGEIEAEIERAKEVVANKGRALDDDRERFQKAAVAALNILSGGAAGSI >ORUFI05G12690.1 pep chromosome:OR_W1943:5:12861995:12867069:1 gene:ORUFI05G12690 transcript:ORUFI05G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFAPHQVTPLSVLLAATAGGGFDRRDRSRVVGGGGGGREGGEMDEVLAQISEGFRLAGELMSELAATQNDPDYLAARCHAIAGAYAAAARVLQGARHGGGGVGAASTSFGGEGYLHHQHRQQLDLLRMCVGGTAPDEAAAAANPFLGGGGGATTAAFRAPSDAYGGAGAGTSGGPVRRVSSSSRSPPSPVQPRQGGAGSRRRRESGEKVTVMVAAQRTGNTEQPPDDGYTWRKYGQKDILGSRYPRSYYRCTHKNYYGCEAKKKVQRLDDDPFTYEVTYCGNHTCLTSTTPLLTLPAAAATSVTNSPTAAAVLGQDFVMAPAADQQPPQHQQAQPPLSTSIHLGIGWPMTPASLAGAVGEGSTSTTATAPQVTTMGATAAGGGGAAARDADHYPVADLADVMFNSGGSGSSSIMDGIFSSHDRRDN >ORUFI05G12700.1 pep chromosome:OR_W1943:5:12872218:12872803:1 gene:ORUFI05G12700 transcript:ORUFI05G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSDRFARIWPPGRAGESGERSRHRVERVGRCPATTTTTCCGREEGAAASAGVGDERGGDGDADACPATTTTTTTCCIVRGDHTYKFILLPPTKRKIESPNPSSSLQPNKRLYHHIRSTKQKTGSPYLTKYGPLYPIEP >ORUFI05G12710.1 pep chromosome:OR_W1943:5:12897014:12899172:1 gene:ORUFI05G12710 transcript:ORUFI05G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMSPAPAPAYAQVMEDMEKGKELAAQLQGLLRDSPEAGRFVDQILHTFSRAMRALDKAAVSAAGGEGSEVQSEVTCGGGASAGGKRKAPAADRKANCRRRTQQSSGNSVVVKNLDDGQAWRKYGQKEIQNSKHPKAYFRCTHKYDQLCTAQRQVQRCDDDPASYRVTYIGEHTCRDPATAPIIAAHVIHQVAAGDNDDGCGGLQAGSRLISFVAAPAAPVDAAAAPTTSTITTVTAPGPLLQPLKVEGGVGSSDQEEVLSSLTPGSSAARGGGGGGGVAGPFGPDQGDVTSSLHWSYDAVAGMEFFKNDEVVFDLDDIMGLSF >ORUFI05G12720.1 pep chromosome:OR_W1943:5:12903307:12906236:1 gene:ORUFI05G12720 transcript:ORUFI05G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPPLAALSKAAAAAAARRAATVAARSRRRLLVLPAVASLVPGPPPVLCRRVRLMPQLEGPRAPPPPHGRGFAAAAAGGGGGTPGGVVSFYRFADFPDHAELRWPLKELCEELRVSGGIILAPEGINGSICGTPEAVEKVLNFIQSDDRLKGLRMIQSPVTPEDEAIHHGHTSQSPVGAGEDAPFRWDHVRVKLKKEIVALGDPGVMPTKTVGKYVKPKDWNALISDPDTVVIDVRNMYEIRIGKFKRAVDPCTNSFREFPSWVDDQFQLAESDSQLCSENNDNTIGRQSEDVNSSKPKELPRVAMYCTGGIRCEKASSFLLSKGFKEVYHLEGGILKYLEEIPKAESLWEGECFVFDKRVSVEHGLAQGTHKLCYGCKKPVSDEDMESPEWEYGVSCPYCFATKSEEEKERARARQRQFETWGVIGGPDKGRSPKRLEANHTAEEPKQLSSSV >ORUFI05G12730.1 pep chromosome:OR_W1943:5:12911957:12923561:-1 gene:ORUFI05G12730 transcript:ORUFI05G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPLASPCIRRPLLQRVGSRRSPPRHHPSCVDLLRVPLWQPPPWLVFARWWHARRGSICRRRGYRCSGFGDSEQREEQLNRTEEMARRKSNPHKGRGDEEEYPMVAYACCPCYVLSSVIRGIGRCLFVACYPAIQCCGLDECRHHHTTTQLSHFR >ORUFI05G12750.1 pep chromosome:OR_W1943:5:12923483:12924492:1 gene:ORUFI05G12750 transcript:ORUFI05G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDASGIGMLEKGVAVDEEGRAATAARLMSTEKGVEDDGEGRAATTVRSMATAVEWRMGRRSMGRRTWRRWRGEATQRRGLIASHRRRVEGRLHDATRWRRGRGGRAGGRGRRTATEGAHKPAWRRGRRRMRRGRRGRLRRREAADRGGDGEADSDGGALAGEKTARKGEGVEGAEKATALEGEETPGQATEAASEMDGDGGGDGGEWRWEN >ORUFI05G12760.1 pep chromosome:OR_W1943:5:12928166:12929497:-1 gene:ORUFI05G12760 transcript:ORUFI05G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKLASVLEESEATMVGEPSEATRRMGSPRGGGEGEVGEDDNKGRPVGGGWGDKRQQRGGAEVLYRLLIGVPYWRVALMIDEKIQFLGVGTWNVYWRLLTTFSVLYIIPD >ORUFI05G12770.1 pep chromosome:OR_W1943:5:12942692:12946520:1 gene:ORUFI05G12770 transcript:ORUFI05G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAGPASPAVSFSLPSPPLPRRADCRRGRGHGHRPRRGPPLLRAASTAAPPSSSPSSQSPGSLSIQLSPRASPPAAPTHVASLARDRAEDLQAESRAMTRAAAATVFSPELLSSRYGSRPVKANPPILTAPLSAEVVSKIGAFGLKVFLDEQRGQSSSAVRRARAVELRTILTRLGPTFVKIGQGLSTRPDLCPPEYLEELSELQDSLPTFPDEEAFACIERELGFPLDSIYSTISPSPIAAASLGQVYKARLKYSGQLVAVKVQRPGIEDIIGLDFYLLRGLGYLINKYVDFISSDVVALMDEFARRVYQELNYVQEGQNARRFKKLYADKQDVLVPDIFWDYTSAKVLTMEWIEGVKLNQQAAIEKQGLKVLDLVNIGIQCSLRQLLEYGYFHADPHPGNILATPEGKLAFLDFGMMSETPEDARVAIIGHVVHMVNRDYEAMARDYYALDFLEPDVDVSPIVPALKSFFDDALNSTVSELNFKTIVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRQVYVLMKWNRLENLLVQGSQDREFAAKDALQPVLKLLLGPDGEELRVLVVKEAVRVTEAITFGTLIDSYNAAPEFLKPLISSGNPAGPFKISDTEREQMIELRDRVFRIWGLLRSSDGFDPTILQPIVQVLQEPEARVLGSRVAGGVTQRLAARLLQQLLRTPPAPGSP >ORUFI05G12780.1 pep chromosome:OR_W1943:5:12948569:12953452:1 gene:ORUFI05G12780 transcript:ORUFI05G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTLASRKTLAAAALPLAAAAAARGVTTVALPDLPYDYGALEPAISGEIMRLHHQKHHATYVANYNKALEQLDAAVAKGDAPAIVHLQSAIKFNGGGEAKSRPPYPFLAYGFLSFFFFVLFIAGDAGGGGSEELGGEGGGEPPHAKLGWAIDEDFGSFEALVKKMSAEGAALQGSGWVWLALDKEAKKLSVETTANQDPLVTKGANLVPLLGIDVWEHAYYLQYKNVRPDYLSNIWKVMNWKYAGEVYENATA >ORUFI05G12780.2 pep chromosome:OR_W1943:5:12948569:12953311:1 gene:ORUFI05G12780 transcript:ORUFI05G12780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTLASRKTLAAAALPLAAAAAARGVTTVALPDLPYDYGALEPAISGEIMRLHHQKHHATYVANYNKALEQLDAAVAKGDAPAIVHLQSAIKFNGGGEAKSRPPYPFLAYGFLSFFFFVLFIAGDAGGGGSEELGGEGGGEPPHAKLGWAIDEDFGSFEALVKKMSAEGAALQGSGWVWLALDKEAKKLSVETTANQDPLVTKGANLVPLLGIDVWEHAYYLQYKNVRPDYLSNIWKVMNWKYAGEVYENATA >ORUFI05G12790.1 pep chromosome:OR_W1943:5:12954350:12956095:1 gene:ORUFI05G12790 transcript:ORUFI05G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMAPPPPTAAALPSRRRLRERIQSERQVVGGLLKKAEALVARAKEDVHGGRAAAAHSEACALPRRGRFLRRPEARPEAEATAAMDGAASPRKKRRKAATSASSIVEVEVIEPTMPKAQRDRLYGLLSSLSAEMPLPPHIVALMQSQCCCVVDPNGEEMDVDLGSAKDAALFQLLNLLEEFAQQQTTKIQPRLAEEQEPPKIEAPDATSRSSSICQLMEDGEVADEGADMDMDICGGVSPLVVDKVQFSPLPKQEEDDELINTSGGGGFSLQSPPAKQQEEEFVRDASPVAVDKFPQTESPSSSTGSSSGSSSSSSSSGGSSGSSCSGCSSSGSDSNDDGDSASSRPDNSELPTEAAAKPLEQQQVTVCGGVSPLIDEFSPLPKQQEDDELIDVTGGVSPVSVNKFPDSPRSSSSGSSSSSSSGSSSSSSESDSDDDGDSASSKPDTADHPTEAEAPKLQPLEQHEVAEQDKKLIAERAASPNTEMQELIARAQERQKLRLELERKTARELERKMAREQLQEMERTARPVYDSIDPSVMKQLGISGDAQYIVSPVKSRHSLHRRGGGGLLQKLGFFLKE >ORUFI05G12800.1 pep chromosome:OR_W1943:5:12956868:12959921:-1 gene:ORUFI05G12800 transcript:ORUFI05G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAAAAAAAALSFPRALPSPLQPRRHRHRRRSAEPPACAHSERGVTFDPGSAFYRSDSAAGRDLAVLAATLHRRAAGPSAPFLCLDAMCGCGVRALRYLAQVGADFVWANDASEALRPVIVGNLSRFERAPDRRWAVSHLDATRLLSERYLRREYFDVIDVDSFGGDAAYIRAALLALRIGGLLYLTSTDWRSARGYGSRSNYGFICHCKSCGQSRTFGFDELGQITCGCADKIDPDSITVVGPLWTGPLHDTTFLTEMLSLATEWGWANTIENGVSLEKLLDTMIEESDSRLPPGYIRLDEIASRAKVNSPPLGTLINSLRKEGYAACRSHIGANAIKTNCPIECCLDVAQEIRNLR >ORUFI05G12800.2 pep chromosome:OR_W1943:5:12956868:12959921:-1 gene:ORUFI05G12800 transcript:ORUFI05G12800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAAAAAAAALSFPRALPSPLQPRRHRHRRRSAEPPACAHSERGVTFDPGSAFYRSDSAAGRDLAVLAATLHRRAAGPSAPFLCLDAMCGCGVRALRYLAQVGADFVWANDASEALRPVIVGNLSRFERAPDRRWAVSHLDATRLLSERYLRREYFDVIDVDSFGGDAAYIRAALLALRIGGLLYLTSTDWRSARGYGSRRWCCTGSCYSGISHYSIDPDSITVVGPLWTGPLHDTTFLTEMLSLATEWGWANTIENGVSLEKLLDTMIEESDSRLPPGYIRLDEIASRAKVNSPPLGTLINSLRKEGYAACRSHIGANAIKTNCPIECCLDVAQEIRNLR >ORUFI05G12810.1 pep chromosome:OR_W1943:5:12967249:12969210:1 gene:ORUFI05G12810 transcript:ORUFI05G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIQLWNDWELQVLVLLSFMLQVFVFFSGGLRQRSTNSSLRILVWLAYLVADFIAVYALGQLSRQKTDASEAGQPHKFAFFWTPFLLIHLGGQDTITAFSVEDNELWLRHLLNLLVQVCLALYVFWKSAAGNQFVVSAIFAFISGIIKYGERTWALKSASQKSLRRSTDGGVVGQFPELEDYQELGYKTMVMFALSSSPVVRNLLVGRKIDQMEERVRHAFSGRLYSQVSENAQLVFKILEIELGMMYDNLYTKARVIRTWTGAILRFITCISLMVAFVLFLTGNKKWHHSRVDVAITYALFIGALCLEVCAIFFMVMMSPWTWASLQYWKYHRLADAAWYVFKSLQTESMSWWSNSLGQYNFLSSCFSDNVFGKVMSLVGAKEFWRNFRYSQRVGVKAEMKKLVFEAKCLAEIFGASQTSSVDADPNSGVGSALDIILREQFEVAILSLHVYTDIFLHRCMNPTSADSCDATRERRHLMDACRTISEYMCYLLVVHPEMLPVSGSVRDVLDKASETVAKVSSGAAASKGRVSVVLEKLATDRDLNDLSDPITLAGFVFRGHKEEAVHCHESLQVLARAWVGVLLYAAGKSRGENHARQLSMGGEFLSFVWLHMAHCSLGDMGTFEVELVRPSAVNEGGRKMFVWGYQRPR >ORUFI05G12820.1 pep chromosome:OR_W1943:5:12972598:12973380:-1 gene:ORUFI05G12820 transcript:ORUFI05G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHERFRLSHLMPNSWFYKLRDMKRPRPTSSRMITAADHAARSSRRSSSSSSSIHHYYLHGHGTTTPKPLPLSPPRRSYYPYLERAKQMPLMEKESQLISHSPLHQRIPATAIPGDHHDGEFQDLQLRPIRTRPPSAAAASAEPRRTASGSGTCPSSPRMRSRRLHVLGGCECRAGSGRRRSGGGGFAVVKASAEPARDFRESMVEMVVGNGMRSPEDLLELLECYLSLNAREHHGVIMEAFRGVWVEIVADADCCVGL >ORUFI05G12830.1 pep chromosome:OR_W1943:5:12981042:12981311:-1 gene:ORUFI05G12830 transcript:ORUFI05G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSSSRSRAVAMWLVALMVVFLVAGPQPASAARPLRPAGWNAPSIDGEGHYASGVVDKYAPLLLSMLPRGPVTPSGPSGGTNGDGN >ORUFI05G12840.1 pep chromosome:OR_W1943:5:12987991:12989206:1 gene:ORUFI05G12840 transcript:ORUFI05G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAVAPDNGDHAVLRDDERGIPRSLSLLAALVEAEALRHAAAADADADSDLIRAFRGGTTPIVRIGVFLERIHTFIQRESVRHVIEIQDACYVLAGIYLFRFIRSGAAREAGILVDPSTAHRLVAVAIFVGAKFGGPIDRLPTRWTVVFETSSDAAIRAREMAGLERRFLIAIDYRLFVRADEFDWFCRVLERGPRPSGRCGGGGGGRKRTAAEAVEGEAEDDHRRVRASLPPPSVVAN >ORUFI05G12850.1 pep chromosome:OR_W1943:5:12992951:12994654:1 gene:ORUFI05G12850 transcript:ORUFI05G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGLLPGLKFDPSDHDLVGRYLLRRLQGQPLPLDGVILEADPLSAPPWKLLADHGRGDEAFFFAEAHAKNGKGKRQKRTVEGGGFWQGQNTCVDGERLCVPDDGDGSGGGGGGLEIAWRKYVLSFFANGERGSSGWVMHEYAVTAPDGLASSQLRLYRVRFSGYGKKRKREPQCPGAHGDDDGELQCAPPPRSMAETALLEERGPLPHPVLGPASVVDQCTDQGSSGVIDDSSLVFRDLPDLIDLPVAEEADASHGAETALLNEHLPLPPPQLFVPPTAVPLDLADDSNGADQNSYGMMGDDQLLLPDLPGTINDDMPDLFVSQAEEASAVPAISYHSSGFMGNEVAALSDFELPESYSSSDAMDGEALALSNYEFPESFEEDLSCIDFATANASSLGFPMDGYPMDELFDDMPDQGSSGAMDDSSVVFRDLPGLINLPAAEEADAIGDAETALLRDLADDSNGTDRNSYGVMGDDQDRLLLPEIPRRIDMPDLFVSQAEEAGLGGGAALDSSSGAMDGEALALSDFEFPESVEEVLSCMDFSTVDMSFLDVPIDELLDDLPAD >ORUFI05G12860.1 pep chromosome:OR_W1943:5:12996892:12998087:-1 gene:ORUFI05G12860 transcript:ORUFI05G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGATTRGTSTYRRREWCALSPSWGGTAWKRISIAAVVSKVSGDKRFDLFVTEKLELEQPWRNPWANEQCPPNPGVTART >ORUFI05G12870.1 pep chromosome:OR_W1943:5:12999160:13000373:-1 gene:ORUFI05G12870 transcript:ORUFI05G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAANKVGVWYGDMKREDSKATEHFKVESQGLGRVYTPFVLNLPQTYQICHCVSSRTHCSDSGRGGEGSGSSPGREGGDVGGGLDRQGLGGGGWLVGAVGRAGGGRGEEGLQLPQSVSTLSCAAPTPPLLTTASATTHLRLRHRPPGRVPPQQPTTWAISASAAGLPGELRLCHRPPERVRLLRSRSS >ORUFI05G12880.1 pep chromosome:OR_W1943:5:13026771:13039347:1 gene:ORUFI05G12880 transcript:ORUFI05G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHEVGGLYPIDLASDVDDDEDSGKAIWDDLASEIGGGAATVDTPATEGVAVADLVTGAAKGEDVSYERTSTLDEKVPIEVADMHFSQDIQSKAEIQPACGTLKEQPSKAVYGSCISGQMFGLQDSQYILAKPSSSERFYNHNSDAIGSNHLKLHRQISADGNAESRSELNKDAIGVLSGEKSPLAKSGKQIEQLMVNDLSAHPYNPVCPFSQGVSYYSSSSQHIVSSSGEVKYIRNSGQEMQIACDIVEEPSHIALYGSKNPYELTGLGVNISSISSTSSGMNLSTNSAKENNEYNQLKLQKQIDGYQRFEIGSGLNMDDADGDLTATGVPQKVQDGKNMPYQPVFTVLHGTSYSLSSLHLNKLNQLLEHPEYHSRLHCIQPSPQPIIKDVSTSMTLYVKEPCSQENFYLADNFPSLQGFDNVADNNPRMSVPHNTVVSARNARNQSPTMSGMVHADVLEIYYSLDQQTSANNIQIQYALQAARQPSQPFLHANVAETNSPFGHQFADNLLLRLQHNHQTYPYMGATTAAYGLDLLMELFKPSSSHITSNDVISGALPKINLQGGTMIGKDDLKHKNLHGQIGFHENVASHYFGMNPELPRISSFLHQKCSEFLQLNSDAMRCLTRVEKQVEQSTIIGPPFPSVIVPSPLSGNHLSSMSRGYCLGYKDPNNQGIGFSPMYEPSGFNTNYTLPLRMEAHAMESYDIDALSKATRQSCQRKQYRHLERHHKKIVASEAQALHSNVDTPIRMNKAKEFNEIVRDHEACFLSFYHPTPIPSHVLNMLHWCFGIDMERKMPLPRGIQHNAMVHQGLSSLIAAETLLSIHMHARLFPMCGSRFIQQKLQNATPEEKFMVFEEIMPDAIELVMDIYGNYVLQKWGSNQSPSVWCFNKNLGEDVRLIGDTDFLDIFEMYATEASFHLLVAVLEESMDVASAVELGDLDQKIQITTELNNDIMKCIHDQNANHVVQKCIEHAPPQFIQFFLEDMYGHVVELSVHPYGCCVVHHGKALVRSLIINIFIGKIVTMSKQKYASNVIEKSLVFGSYDETQKIINEVLTTADLVLVSDQYANYVVQKVIVTCDEWQRKIPEDAPQAAPQLHLCKACRCTDREAH >ORUFI05G12890.1 pep chromosome:OR_W1943:5:13041696:13041881:-1 gene:ORUFI05G12890 transcript:ORUFI05G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANASVPSNRGTPNPSDLDVVTITQPYYSNRLNRAWSPYIQPCPHRITNSILASAVAPP >ORUFI05G12900.1 pep chromosome:OR_W1943:5:13046916:13059647:1 gene:ORUFI05G12900 transcript:ORUFI05G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPIQAVGTPAHTFAATDLHLAACLCVASSSSAKVAGLVVAKPVKPAASSTNVLLRIKRHRGQSKSHPPPIGDIVGLIVYLGPLQHVYNRLYREVTLLNTRKLQSVLKKDEPLDHDCFNMSIRKFMYESIQTGLGRRIEDLKGAAGRDEDVGRCDTKERGGDHLGDAENIGDRKFRALRGVRWRRSSTLDFIQMMTWTVPLAMKE >ORUFI05G12900.2 pep chromosome:OR_W1943:5:13046916:13059647:1 gene:ORUFI05G12900 transcript:ORUFI05G12900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPIQAVGTPAHTFAATDLHLAACLCVASSSSAKVAGLVVAKPVKPAASSTNIFPITTTLISLVLLSALSKSHTIKLSLRKLQSVLKKDEPLDHDCFNMSIRKFMYESIQTGLGRRIEDLKGAAGRDEDVGRCDTKERGGDHLGDAENIGDRKFRALRGVRWRRSSTLDFIQMMTWTVPLAMKE >ORUFI05G12900.3 pep chromosome:OR_W1943:5:13046916:13059540:1 gene:ORUFI05G12900 transcript:ORUFI05G12900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPIQAVGTPAHTFAATDLHLAACLCVASSSSAKVAGLVVAKPVKPAASSTNVLLRIKRHRGQSKSHPPPIGDIVGLIVYLGPLQHVYNRLYREVTLLNTRGRQGGMRTWGDATPRNEEEITWVTPKI >ORUFI05G12910.1 pep chromosome:OR_W1943:5:13069641:13070348:1 gene:ORUFI05G12910 transcript:ORUFI05G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSSTRPLAPSCVACGLLAPCRRALARLFRIPASAALSVRAFRFRTLRRAASKMSPRRHRHCRHPRRRTFRSVRAVFWPLVPPPATTTASSTDQGESAAGATARSVVAPEEEAAAEEEEAATVLAPVPSPETPAYVKMVARLRSRRRRGEEEEGPCRSFEERLMEMLLEEGKVRDQQDVEELLRCWERLKSPVFVELVCRFYGELCKDLFSPGEEDGGDEGGVHNHNHTGWRL >ORUFI05G12920.1 pep chromosome:OR_W1943:5:13080174:13090824:1 gene:ORUFI05G12920 transcript:ORUFI05G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFVFALLAIAACNASAQYQLQSPLLLQQQVLSPYNDFVRQQYGIAASPFLQSAAFKLRNNQVWQQLGLVAQQSHYQDINIQFGDLYFDRNPAQAQALLAFNVPSRYGIYPRCMRPHDLNVQGVMH >ORUFI05G12930.1 pep chromosome:OR_W1943:5:13091353:13091805:1 gene:ORUFI05G12930 transcript:ORUFI05G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILFVFALLAIAACSASAQFDVLGQSYGQYLLQSPLLIQQQVLSPYNEFVRHQYGIAASPFLQSAAFQLRNNQAIAQQLQLQQFGDLYFDRNLAQAQALLAFNVPSKYRIYPRYYGAPSTITTLGGVL >ORUFI05G12940.1 pep chromosome:OR_W1943:5:13155185:13155637:-1 gene:ORUFI05G12940 transcript:ORUFI05G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFVFALLAIAACSASAQFDVLGQSYRQYQLQSPVLLQQQVLSPYNVFVRQQYGIAASPFLQSAAFQLRNNQVWQQLALVAQQSHYQDINIVQAIAQQLQLQQFGDLYFDRNLAQAQALLAFNVPSRYGIYPRYYGAPSTITTLGGVL >ORUFI05G12950.1 pep chromosome:OR_W1943:5:13180159:13180611:1 gene:ORUFI05G12950 transcript:ORUFI05G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFVFALLAIAACSASAQFDVLGQSYRQYQLQSPVLPQQQVLSPYNEFVRQQYGIAASPFLQSAAFQLRNNQVWQQLGLVAQQSHYQDINIVQAIVQQLQLQQFGDLYFDRNLAQAQALLAFNVPSRYGIYPRYYGAPSTITTLGGVL >ORUFI05G12960.1 pep chromosome:OR_W1943:5:13186421:13186735:-1 gene:ORUFI05G12960 transcript:ORUFI05G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGRGAGEGAARRGSTDAGPRERCWEGAAGTLGVSATGEGTTGNGSGADEPWVSGSGATEPQEQSGEGGDGGTWRQRQREQIWHRPFTPFLGSTNPSLPRVS >ORUFI05G12970.1 pep chromosome:OR_W1943:5:13190015:13195470:-1 gene:ORUFI05G12970 transcript:ORUFI05G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGGLSLVRRAVEAARRTPRWQKRLLVLTAGVGSLTYACQDNQVLQINDGTGKKRVVIVGTGWAGASFLRNIDTSLYDVHVVSPRNYFTFTPLLPSVTCGTVEARSIVEPIRNIVRKRGGAFRFWEAECYKIDPTNKKIHCRSDSDGNSEFVVDYDYLVVSVGARPNTFNTPGVVENCHFLKEVEDAQKIRKSVLKCFERASLPNLTEEERKKNLHFVVIGGGPTGVEFAAELHDFVNEDLAKLYPDVKKYANISVIEAGDHILTMFDKRITQFAEDKFKRTGIDLKTNFKVVKVSDKAITMTNSATGEIAVPYGMAVWSTGIGTRPLIMDFMKQVGQANRRVLATDEWLRVHGCDDVYALGDCATITQRKVMEDIASIFRVADKDNSGSLTVKKIKNVLGDIYERYPQVELYLKTNQMKDFHDLLKDSDGNAIKESKELNIEEFKKALARVDSQVKMLPATAQVASQEGAYLANCFNKMKYCEENPEGPLRIRGTGRHRFKPFRYRHLGQFAPLGGEQTAAQLPGDWIHVGHSTQWLWYSVYASKQFSWRTRMLVVSDWGRRFIYGRDSSSL >ORUFI05G12980.1 pep chromosome:OR_W1943:5:13197951:13198265:-1 gene:ORUFI05G12980 transcript:ORUFI05G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDDVDDEDFSPHQATTKESMNSKDDVKFICDGCQRVESSMEEYKSKKVEKGQGEKRKVGEGYDPRWYLPSRKQ >ORUFI05G12990.1 pep chromosome:OR_W1943:5:13206179:13206559:-1 gene:ORUFI05G12990 transcript:ORUFI05G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFAFALLAIAACSASAQFDVLGQSYRQYQLQSPLLLQQHVLSPYNEFVRQQYGITASPLLQSVAFQLRNNQVWQQLWLVAQQSHYQDINIVQAIAQQLQLQQFDDLYFGRNLAQAQAHSGLLY >ORUFI05G13000.1 pep chromosome:OR_W1943:5:13213960:13214421:-1 gene:ORUFI05G13000 transcript:ORUFI05G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFVFALLAIAANSASAQFDVLGQSYRQYQLQSPLLLQQHVLSPYNEFVRQQYGIAASPLLQSAAFQLRNNQVWQQLRLVAQQSHYQDINIVQAIAQQLQLQQFDDLYFGRNLAQAQAQALLALNLPSRYGIYPRYYSAPSSITTLGGVLY >ORUFI05G13010.1 pep chromosome:OR_W1943:5:13225825:13226286:-1 gene:ORUFI05G13010 transcript:ORUFI05G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFSFALLTIAACSASAQFDVLGQSYRQYQLQSPLLLQQQVLSPYNEFVRQQYGITASPLLQSVAFQLRNNQVWQQLWLVAQQSHYEDINIFQVIAQQLQLQQFDDLYFDRNLAQAPAQALLALNLPSRYGIYPRYYSAPGSITTLGGLLY >ORUFI05G13020.1 pep chromosome:OR_W1943:5:13228974:13230591:1 gene:ORUFI05G13020 transcript:ORUFI05G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAERMRPHSRSSCKLHVADAISSASVCCVSGPPQPAHATLAHGTLAATTINLRRSVVMTDEGSDCQDVYSVNCLEGIVKSPWGFAMLKGRCPKGHWVQIFLGYFSMSKQSINNAGNKRKLDIVTVLI >ORUFI05G13030.1 pep chromosome:OR_W1943:5:13232232:13232546:-1 gene:ORUFI05G13030 transcript:ORUFI05G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFIFALLAIAACSASAQFDVLGQSYRQYQLQSPLLLQQHVLSPYNEFVRQQYGIAASPLLQSAAFQLKNNQVWQQLRLVAQQSHYQDINIVQAIAQQLQLQ >ORUFI05G13040.1 pep chromosome:OR_W1943:5:13248576:13249682:-1 gene:ORUFI05G13040 transcript:ORUFI05G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGEGKGRRHQDNIPSVVLLELWGHVADDDHRPDATTATSTTSTGLPISVTLCAATPPSLSHLSVDCPGLVDLDPNPLDKFVAPTVISTDADLVLLRVPVDRFARFDHCFSDYFVYKVHSHSESAKLHRLPSPRGRGFADDNIAILSCGNDDDDSYAVAALQPLHHVHFRLHLCRSTPDGKPGSWTSHQLTVEEPLRGTVCPVPDSALRRIFHATTKVITLGGAKGTVGWVDLWRGILLCDVLDEVESPKLRDLPLPLPSTGNWPLFLNRCPYYCRDIVVNQSRDTIKYVEMEFTILNSSQDPRSSYHEWVASQEFRSSHLDILVDDGSLKINTWNMPIPVTHGMTGSLDAPSLPTTWTLPCIVT >ORUFI05G13050.1 pep chromosome:OR_W1943:5:13251349:13255989:1 gene:ORUFI05G13050 transcript:ORUFI05G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELEKNGNILLRRYEIGKLLGQGTFAKVYHGRNIVTSQSVAIKVIDKDKIFKVGLMDQIKREISVMKLVRHPNIVQLYEVMATKSKIYFVLEYVKGGELFNKVAKGRLKEDAARKYFQQLVSAVDFCHSRGVYHRDLKPENLLVDENGNLKITDFGLSALAESRRQDGLLHTTCGTPAYVAPEVISRKGYDGVKVDTWSCGVILFVLMAGYLPFQDSNLMEMYRKIGKAEFKCPAWFSSDVRKLVSRILDPNPRSRMPITKIMETYWFKKGLDSKLILKNVETNEPVTALADVNVVFSSMGSSSSKKTEEKQDAGKLTNLNAFDIISLSEGFDLSGLFEETDKKKEARFTSSQSASAIISKLEDVASCSKLTVKKKEGGVLKMEGASEGRKGVLAIDAEIFEVTPSFHLVEIKKNNGDTLEYQHLWKEDMKPALKDIVWAWQGERQDQQPEDHGQP >ORUFI05G13060.1 pep chromosome:OR_W1943:5:13257209:13257415:-1 gene:ORUFI05G13060 transcript:ORUFI05G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTMTMTMMMWPLQNHVMLMINAMPIKVAFANDGESDLHFLDHWWVLDQRLKANLKQKFHLLNETLQ >ORUFI05G13070.1 pep chromosome:OR_W1943:5:13269703:13271394:-1 gene:ORUFI05G13070 transcript:ORUFI05G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPIIPSSSGSDGEEARTKLGRLNAAVERSWVGRRFKLAARGSTFTTELRAGTTTFLTMAYILAVNASILSDSGATCTADDCDAPSPACRFPPVDPGYAACVARARRDLIVATAASSVIGSFIMGTFANLPIALAPGMGTNAYFAYTVVGFHGSGTLPYRTALAAVFLEGLIFLFISLVGLRSKLAKFIPKPVRISSSAGIGLFLAFIGLQSSEGVGLVGFSSSTLVTLGACPASQRASVAPVVTFPNGTVALMPGGTVSGGILCLSGRMTSPTFWLAVVGFLIIAFCLIKNVKGAMIYGILFVTFISWPRNTAVTVFPDTPAGDESFGYFKKVFDVHRIQSTAGALDFRGARHGYFWEALFTFLYVDILDTTGGLYSMARFAGFVDDATGDFEGQYFAFMSDATAIVFGSLLGTSPVTAFIESSTGIREGGRTGLTALTAAAYFAAALFVTPLLASIPSWAVGPPLVLVGVMMMRAVAEVDWADMRQAVPAFLTLALMPLTYSIAYGLIGGIASYMLLNSWDWACHAVAVLRSRRRRGARAETRSAAAGDNGEQRKNLEMA >ORUFI05G13080.1 pep chromosome:OR_W1943:5:13282248:13282508:1 gene:ORUFI05G13080 transcript:ORUFI05G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMRRAAGGSWICSPCPEPPPRHPLPDPPPPAPRAASMWMDTLPRSISAAGFVARTPPGNILQTMGLLSSHCCGCGCTPRPQLVA >ORUFI05G13090.1 pep chromosome:OR_W1943:5:13283175:13285692:1 gene:ORUFI05G13090 transcript:ORUFI05G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGAREGKVGGLDGSSDSGREVERSLPGDKICAEACVAYALAPLASIWAVGFPADGVGVGARGTPAGARTHCPKGGVADDPTWISDGDEVVCGHGRAEEASVAVEKPSLTPNFPEAVAGDDNGGEGEEVVAASKQQQQAATTTATTEKRLQLEHRSSNSRRLDGERGGKGKERGRGDWLGRGAPKK >ORUFI05G13100.1 pep chromosome:OR_W1943:5:13289514:13290713:-1 gene:ORUFI05G13100 transcript:ORUFI05G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYVKAIRKQPQPHHHPCRSPEAAAAAQRCVEREVAALRRVHGHPHVVGLLDVLATRSTVYLVLELARGGSVLSALDGRGGGHYDEPAARRLFAQLASAVAHAHSLGVFHRDVKPENLLLDERGDLRFTDFGLSAFTDADQHLGATDGLAATHCGSPAYVAPEILLKRRYDAGKADVWSCGVVLFVLTAGYLPFNDGNLMAMYRKICAAKFRCPKWCSPELRSLIGRMLDPEPDTRIKIGEIFDHPWFQQDGSLSSFGMIQAASSHSKPEVKKWEAELEQAMELNAFDIIGFASGCDLSGLIGPLPDRVRFVVPGADSRSVLDRVEKLGREEWLVVRRKEEEWCGGVHVEATSGKFTAYVRVSLLPKKMLMIEAERYIGSEIPKFWHQLQIGNLFVRK >ORUFI05G13110.1 pep chromosome:OR_W1943:5:13302880:13307274:-1 gene:ORUFI05G13110 transcript:ORUFI05G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLTCVLDNMGSSCSRSHSLSEAETTKNAKTLTGEFCKRQKQSNTSTSSYFLIKLLFQTGFDEAELRSYTSVIHANVYQTIKILYEGAKELSQVESDSSKLDYPLLNKELVLDVKRLWQDPAIQEDVLYARVRTNGVVQIQFSPVGENKRGGEEARGMRGESGFIFLKVLMRYDQMLFEDETKNRMMETKELFDWVLKQRCFEVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >ORUFI05G13110.2 pep chromosome:OR_W1943:5:13302882:13307274:-1 gene:ORUFI05G13110 transcript:ORUFI05G13110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLTCVLDNMGSSCSRSHSLSEAETTKNAKTLTGEFCKRQKQSNTSTSSYFLILYEGAKELSQVESDSSKYVISPDNQEIGEKLSDIDGRLDYPLLNKELVLDVKRLWQDPAIQEDVLYARVRTNGVVQIQFSPVGENKRGGEEARGMRGESGFIFLKVLMRYDQMLFEDETKNRMMETKELFDWVLKQRCFEVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >ORUFI05G13110.3 pep chromosome:OR_W1943:5:13302882:13307274:-1 gene:ORUFI05G13110 transcript:ORUFI05G13110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLTCVLDNMGSSCSRSHSLSEAETTKNAKTLTGEFCKRQKQSNTSTSSYFLIKLLFQTGFDEAELRSYTSVIHANVYQTIKILYEGAKELSQVESDSSKLDYPLLNKELVLDVKRLWQDPAIQEDVLYARVRTNGVVQIQFSPVGENKRGGEEARGMRGESGFIFLKVLMRYDQMLFEDETKNRMMETKELFDWVLKQRCFEVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >ORUFI05G13110.4 pep chromosome:OR_W1943:5:13302880:13307274:-1 gene:ORUFI05G13110 transcript:ORUFI05G13110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLTCVLDNMGSSCSRSHSLSEAETTKNAKIKLLFQTGFDEAELRSYTSVIHANVYQTIKILYEGAKELSQVESDSSKYVISPDNQEIGEKLSDIDGRLDYPLLNKELVLDVKRLWQDPAIQEDVLYARVRTNGVVQIQFSPVGENKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVIFCAAISEYDQMLFEDETKNRMMETKELFDWVLKQRCFEVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >ORUFI05G13110.5 pep chromosome:OR_W1943:5:13302882:13307274:-1 gene:ORUFI05G13110 transcript:ORUFI05G13110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLTCVLDNMGSSCSRSHSLSEAETTKNAKIKLLFQTGFDEAELRSYTSVIHANVYQTIKILYEGAKELSQVESDSSKYVISPDNQEIGEKLSDIDGRLDYPLLNKELVLDVKRLWQDPAIQEDVLYARVRTNGVVQIQFSPVGENKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVIFCAAISEYDQMLFEDETKNRMMETKELFDWVLKQRCFEVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >ORUFI05G13120.1 pep chromosome:OR_W1943:5:13310065:13315797:-1 gene:ORUFI05G13120 transcript:ORUFI05G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGDDESPAATGDGYSKKVLQGRYELGRVLGQGASSKVYRARDARTGAHVAVKAIRKQQQPHHHPSCRSPEAAAAARRCVEVEREVAALRRVRGHPHVVALLDVLATRSTVYLVLELASGGSVLSALDGRGGGHYDEPAARRLFAQLASAVAHAHSLGVFHRDIKPENLLLDERGDLRLTDFGLSAFADADQHLGATDGLAATHCGSPAYVAPEIXIGFASGCDLSGLIGPLPDRVRFVVPGGDSKSVLDKVEKLGREEGLVVRRKEEEWCGGVHVEATSGKFTAYVRVNLLPKKILMIEAERVIGSEIPKFWHQLQIENDGSRPPSDRGSVGSDNGNHGSHSEAYGLAATHCGSPAYVAPEILLKRRYDAGKADVWSCGVVLFVLTAGYLPFNDGNLMAMYRKICAAKFRCPKWCSQELRSLIGRMLDPEPDTRIKIGEIFDHPWLQQDGSSSSFGMIQAASSHSKPEVEKWEAELEQAMELNAFDIIGTLAVRLPLPSPVVSVRKPGGGGCAGGEEWLQQDGLLCMGGSAGGLWRWPRCSPATDPAAAAFTRDDA >ORUFI05G13130.1 pep chromosome:OR_W1943:5:13327514:13330935:-1 gene:ORUFI05G13130 transcript:ORUFI05G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRVVFMFIIAVMLLGDTSLSFDNAVGEKISNMETSLTMKVDPQLCQICEEFATEALFYLNENETQVEIIATLHQACSKFPSFKLECTKLVDYYVSLFFTKVTSLSPEEFCESVSLCHKVTFIRLPRHEDSCDLCHEVVDEILTDLENPDVELKIIEVLLKGCNNAENFVQKCKKLIIQNAPIILEHIKKFLKKRDFCNSIHVCGGKIIPARAGDLGALSAA >ORUFI05G13140.1 pep chromosome:OR_W1943:5:13347818:13353306:-1 gene:ORUFI05G13140 transcript:ORUFI05G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATGLAALVAVLNLPSSSPLSSTCRSSTVNVVSRIIRYNEIWGAVGLYTMIDANGLALAVRSTVTTRSSICVWKPAEVAISGGGSEDHRSAWLLPCSLSYMVAYFSTTAAVSATRSESGKRWPERKDLACCMCASHWRCEATATVMLPLSRGGSSAGDEKGSGNINVSTNVTLDLAKGVYAKFIDWDEQITAISEDLGQVEYILSDGTGTLTENRMIFRRCCMSDTLYGENNGDALKDARLLDAVSCNDPDIVKFLTVMALCSTVVPIKSNGGTITYQAQSQDEEALVTAASKLNMVLRFLSTVFTSDRKRMSAVVKDVQSGKILLLSKGADEAILPRCHQGTWYNRENCIVFM >ORUFI05G13140.2 pep chromosome:OR_W1943:5:13347818:13353306:-1 gene:ORUFI05G13140 transcript:ORUFI05G13140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATGLAALVAVLNLPSSSPLSSTCRSSTVNVVSRIIRYNEIWGAVGLYTMIDANGLALAVRSTVTTRSSICVWKPAEVAISGGGSEDHRSAWLLPCSLSYMVAYFSTTAAVSATRSESGKRWPERKDLACCMCASHWRCEATATVMLPLSRGGSSAGDEKGSGNINVSTNVTLDLAKGVYAKFIDWDEQITAISEDLGQVEYILSDGTGTLTENRMIFRRCCMSDTLYGENNGDALKDARLLDAVSCNDPDIVKFLTVMALCSTVVPIKSNGGTITYQAQSQDEEALVTAASKLNMVLVSKDSNTAEISFNGCKFYYDLLDILEFTSDRKRMSAVVKDVQSGKILLLSKGADEAILPRCHQGTWYNRENCIVFM >ORUFI05G13150.1 pep chromosome:OR_W1943:5:13364093:13365560:1 gene:ORUFI05G13150 transcript:ORUFI05G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEERAASATSTTTMASRLLHSPDAAVSNPSFRVYYGVASAGSVPFLWESAPGTPKNDAIFAAALPPLTPPPSYYTTSKKGSAAAAKAKLAKSTSSKRLLCSSSRQAASFVHSIIPKLRRSHTMPSPMRSSASATAAAASDGEQVQCGAPRKRLVASPRSSFSSTSRGDDDDGEVASPTSTLCFRTRHSGGGGGGRRLHGLLASVVGDIH >ORUFI05G13160.1 pep chromosome:OR_W1943:5:13382185:13382722:-1 gene:ORUFI05G13160 transcript:ORUFI05G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAKQEFTKDGSVDLRGRPAVAARTGRWKACSFLVGYEAFERMAFYGVAANLVVYLTTELREETVSSVRNVNNWTGSVWMTPIAGAYIADAFLGRFWTFTVSSLIYLTVN >ORUFI05G13170.1 pep chromosome:OR_W1943:5:13389836:13399902:1 gene:ORUFI05G13170 transcript:ORUFI05G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLERQPRRVLPQLGASMIPLINGSVLPVGNLPVPSNYGVLFILLIDDILIHFAENKAALILMGQPAQLGDWDDLVQQQQAADIAVEEAWGQDHPMGQVMEVNVDERLALVPIVDNNTQIQQPAHEPQVENFLKALEVLARNGSPRHPYFYPMTGLNDRIEKLCKAKDFMALSCKLAGFQLLLRWQIPHYSGSTKEEGSQAHAEMEIVDVVPLDIQPPSSLVCVAPPVLKLPKAYVKKRDGKTSFFNPYRRQSSRLSNEQRRSEGGSQDGDSIKESDFSENLNDDLHFDSFPSDCSISVLQKMGVDNWTSASQKKYGVDMELWTPHTSKLKGSKVLATYCTDQA >ORUFI05G13180.1 pep chromosome:OR_W1943:5:13400719:13402243:-1 gene:ORUFI05G13180 transcript:ORUFI05G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREQKRASLHEKLQILRTLTHSHAVNKMSIISDASTYIKDLKQKIAALNKELGCAKNMNICEEPSPVVRVQVLDKGFLINVFMDKSSPGLLSSILQAFDELGLTVIEARASCSNSFRLEAVGGEHEEADGGIDANAVELAVMQAIKSTPGK >ORUFI05G13190.1 pep chromosome:OR_W1943:5:13418212:13420907:-1 gene:ORUFI05G13190 transcript:ORUFI05G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAATACLPASPSLGPRSLRLLRGALARRGSRRLGVVRAASAEVAAPDAAAAAPGYTSDSLILYFKAEGTMEERAIPKITQALEGVEGVSDLEVLIEEGIGSVVLTKETTVQATGVASNLVEAIQGAGFKLQTLSLSFDDFNEDAATVAGEDDDQATE >ORUFI05G13200.1 pep chromosome:OR_W1943:5:13421020:13421777:-1 gene:ORUFI05G13200 transcript:ORUFI05G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLARRRGELAGCLPLPPKPLRLAPVDRDDDAEDGDDEDAILLGIVRRIPCAFDCADCLLSSSPATAVTPAVCSLFLPLSLSCRPALFPSPTAAVTPAASSPFLPLPPSHWPALSPSPTTPASPPATSLPSVVAPTRENWERREERKERKNVAHVWPMGSCTNKPSWASPSLALPAQ >ORUFI05G13210.1 pep chromosome:OR_W1943:5:13423256:13426333:-1 gene:ORUFI05G13210 transcript:ORUFI05G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGQLDPAPPAPYSPEYSTYEPCRHVWRSCSPLRCCRRTCGSPPSSCCSSSNPPSATSSPLPYSPEQWGHQTAGNESGAARSSATSPMAVAPARRWPGAALPSSGEEGPGQDLQASYLSMKIGGMFQEVIAMSVPVLNQSSSAPFQAIIGTTSDILLTAKPGSHFSLSVSCIFGSVTYLDSVVGWRNDLQVNWLICILFEVLSDCLRYHSSTLF >ORUFI05G13210.2 pep chromosome:OR_W1943:5:13422965:13426333:-1 gene:ORUFI05G13210 transcript:ORUFI05G13210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGQLDPAPPAPYSPEYSTYEPCRHVWRSCSPLRCCRRTCGSPPSSCCSSSNPPSATSSPLPYSPEQWGHQTAGNESGAARSSATSPMAVAPARRWPGAALPSSGEEGPGQDLQASYLSMKIGGMFQEVIAMSVPVLNQSSSAPFQAIIGTTSDILLTAKPGSHFSLSVS >ORUFI05G13210.3 pep chromosome:OR_W1943:5:13423256:13426333:-1 gene:ORUFI05G13210 transcript:ORUFI05G13210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGQLDPAPPAPYSPEYSTYEPCRHVWRSCSPLRCCRRTCGSPPSSCCSSSNPPSATSSPLPYSPEQWGHQTAGNESGAARSSATSPMAVAPARRWPGAALPSSGEEGPGQDLQASYLSMKIGGMFQEVIAMSVPVLNQSSSAPFQAIIGTTSDILLTAKPGSHFSLSGWHDLQVNWLICILFEVLSDCLRYHSSTLF >ORUFI05G13210.4 pep chromosome:OR_W1943:5:13425020:13426333:-1 gene:ORUFI05G13210 transcript:ORUFI05G13210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGQLDPAPPAPYSPEYSTYEPCRHVWRSCSPLRCCRRTCGSPPSSCCSSSNPPSATSSPLPYSPEQWGHQTAGNESGAARSSATSPMAVAPARRWPGAALPSSGEEGPGQDLQASYLSMKIGGMFQEVIAMSVPVLNQSSSAPFQAIIGTTSDILLTAKPGSHFSLSGWHVSFLLVKS >ORUFI05G13220.1 pep chromosome:OR_W1943:5:13444189:13444623:1 gene:ORUFI05G13220 transcript:ORUFI05G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLGGNGGAGADATGAGLEARCKGVVAVQRELAAVFLWPKLVRRPAGGGTEESWASSRGGGKVLQHVWKSVGGGVPVQWGGGLMLSLPVRWFLS >ORUFI05G13230.1 pep chromosome:OR_W1943:5:13471526:13471987:1 gene:ORUFI05G13230 transcript:ORUFI05G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIPIESSNPSTNRSMPQPSTFIPLRTPPFPELPCRIASLPSAATRTSTRHCLCALTGKASRPLPPSAASPTFPSAAVANGYPGSNGRAAFLPRSAQPASQAAARPPSLAPVQPMGDDTPAEEPPSQQTGDDAACHQRRHHNKGDTTPLLES >ORUFI05G13240.1 pep chromosome:OR_W1943:5:13479386:13480556:-1 gene:ORUFI05G13240 transcript:ORUFI05G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKKEQGTTVQPIRQPMTIDNSGHNLSALPLTPAPVEANHGGSNVTKDVHKPLLVPVSAAKVHNQKPAPRGQATAKVHNQKPAPRDQDIVSVIVLFQEQQRQFVDIVSVIVLFQEQQRQFVVIHKLPALPTTPIDT >ORUFI05G13250.1 pep chromosome:OR_W1943:5:13488076:13495030:1 gene:ORUFI05G13250 transcript:ORUFI05G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERSRAGGAAVAVDGESLRRPEEEGGRRKKGGWITFPFMAVSLLAFGLSSAGAMGNLVVYLVKEYHVPSVDAAQISTIVSGCISVAPVAGAIVADAFFGCFPVVAVAMVFSVLALVVFTLTASVRGLRPAACVPGATACEAATAGQMAVLYAGVFLLCVSSAGARFNQATMGADQFDAAADRDVFFNWYFIFFYGSAVLGSTVLVYVQDAVSWELGFGLAATIAAAGLAALLLGARYYRRPAARGSPFTGIARVVVAAARKRKIDVAAAAASGDLKFYYGPRSGDGDDDGGKPSDDNNFAPSDSFSFLNRAAVITDGDVDAADAAAPLRPWRVCTVRQVEDLKAVLRILPLWSSSIFLSISIGVQLNFTVLQALAMDRAIGRFHVPAASMVVSSFVAVVVSLGLIDRALLPLWRALTGGRRAPTPLQRIGVGHVLTVLSMAASAAVERRRLATVRAHGEAARDDPAWVSPLPAAWLVLPFALSGAGEAFHFPAQVTLYYQEFPPSLKNTASGMVAMIVALGFYLSTALVDAVRRATAWLPDNMNASRLENLYWLLAVLVAINFGYYLACAKLYKYQNFGK >ORUFI05G13260.1 pep chromosome:OR_W1943:5:13495523:13501725:-1 gene:ORUFI05G13260 transcript:ORUFI05G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRVDKATSELLLGPDWTLNIDICDAVNSDHGQAKEVIKALKKRLQHKNSKVQFFALTLLETLMKNCGDHVHSQVVERDILQEMIKIVKKKTDMQLRDKILVLLESWQEAFGGNGGKHPQYYWAYAEMKKLGLEFPRRSPDAAPILTPPITRPTSLESYHQPSYGMPVNSSSRFDEAMPSNGPSLSSSEMERMLGAVELLSEMLKAVNPHDRGAVNDEIITELVKQCRSDQKKIISLVTSLRDEELLGQALDLNDRMQILLGKHDAIASGSPLPDEETDIMNESSAETTSTPVATGAPRAAVAAIVPTNVFDEEEEDEDDEFSQLARRNSKFRSTNAESTPSGVATSLSTAHDDEITSSGSSGTSTVSPPVQSHALALPDPPAPVRTAEEQVMSDLLALTISNPSPPQTPPTPEATTTMNQGGSQANDHPQPSYSNQGLAAASYNSYVAPWAQPQCQTPGIQLQQHHKPSQSQLPYNSSPYPPPPWASEDTAESNPFIAASLKNQPTSASPVNVPLNLRPLQQSNSFGVPLRSAGPQSPINGSTKQPMSAGARRPSYVSSNKYFDDLFEKNADGSLMKVGGSVGGGASSPYKT >ORUFI05G13270.1 pep chromosome:OR_W1943:5:13510090:13511905:1 gene:ORUFI05G13270 transcript:ORUFI05G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSNFQDTKASYRLIGNTSRRACTIISGDSIVSQTNLLYKLKKVVYSTRKFRVTIYPGNDTLLVMAMVMNFFMEK >ORUFI05G13280.1 pep chromosome:OR_W1943:5:13516210:13517345:-1 gene:ORUFI05G13280 transcript:ORUFI05G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTFLPAYAPNQFSQKIENWMNEVTTLLSLLICFNNFGLEIMCDGARRSRDAKHAMVTKAIYLYFNCANEAIMGAQFNRATEAVENLH >ORUFI05G13290.1 pep chromosome:OR_W1943:5:13549984:13551086:-1 gene:ORUFI05G13290 transcript:ORUFI05G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTSNQASPERTELGPRWGEEEHTPVGPSVTATGLPPPAFAPTAATLPDWQSPSSRRHRRSNQSS >ORUFI05G13300.1 pep chromosome:OR_W1943:5:13594404:13597300:1 gene:ORUFI05G13300 transcript:ORUFI05G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESQVIIVTLLLFCIVLIIRGEEIQHINPRRSTNQDLTNQEVNKIIQAEDGDVYDCIDINRQPAFNHPLLKDHKIQLKPNSFPVGIDVENPFMYPISEAQLPTAECPTGTIPILCNNRQENISTKNTDAIVTSQQQEVAGIKYFDDIYGTQATINIYEPMVKHHWDLSGSWIQIENGPDVIGAGSWVSPSFSGDSFARFHISWRDEVQNKSCNNHKCPGFVQVSSSVVLGGRIQPVSVYNGPQYAIKFLIFKDPKTENWWLVYGEEKTAIGYWPSSQFSYMKEMASKALWGGYVQGPTASEDSPQMGSGHFASEGYGKAAFVRDIQVVNEDNMRVIPNPVKADPGSTNRRKYTYEYYGHNPNGMHVYYGGPGSYS >ORUFI05G13310.1 pep chromosome:OR_W1943:5:13597703:13598869:-1 gene:ORUFI05G13310 transcript:ORUFI05G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPITKRTMIFEKKIQKVKAALYTHTEFKRIVKKFEELYIRSSRPDCVDHVFKIYRTTALDQKLVKKTFKLIDESMRCSREGT >ORUFI05G13320.1 pep chromosome:OR_W1943:5:13600491:13603929:1 gene:ORUFI05G13320 transcript:ORUFI05G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGRAFGQPKAQLPPPHPQNPRRSPPHLPLAIIWYPGGAHLPLWSTFPMPSLLQTLEEEEGKENKGKEGSGGNQCEDGNEGFDYRCPWRPRYQYMIFFPCFGFLLGFRSSVGSEIGGIELLIYVAFIGRLSFEAESVFIQVMPLWNATRVHLAIGEGKERQNAGLCLFVQPPVTFWSRARHTPEEWKI >ORUFI05G13330.1 pep chromosome:OR_W1943:5:13606450:13608900:-1 gene:ORUFI05G13330 transcript:ORUFI05G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLTYVLDNIGSSCSRSHSLSEAETAENAKTLTGEFCKRQKQSNTSTSSYFLMSFTLSYHHEIGEKLSDIDGRLDYPLLNKELVLDVKRLWQDPAIQETYLRGSILQLPDCAQYFMENLDRLAEADYVPTKGLKFNIREEKLLFYHYYAVYITKIVPQDHDSDELWSAIRGDDCVEYGYVHPECRRQ >ORUFI05G13340.1 pep chromosome:OR_W1943:5:13618199:13622164:-1 gene:ORUFI05G13340 transcript:ORUFI05G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPPPPPQPSVSFKLVLLGDGRVGKTSLVLRYVNDVFSDKQEATVQASYLTKRLVVEGVPITLSIWDTAGQEKFHALGPIYYRDADAALLVYDITDNDTFLRVTKWVKELKQMANKDIVMAIAANKSDLVRSKHIDTNEAASYAESIGATLFVTSAKAGTGIDDIFSDIAKRLLERRKNSSDGLSLAHPKKGILIVDDEPEKEPPPKCCS >ORUFI05G13350.1 pep chromosome:OR_W1943:5:13624865:13626398:1 gene:ORUFI05G13350 transcript:ORUFI05G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIPFESSNASVRAAWKNVVDCLLRLKRLKLLPPSVVDQDGGTSAVSSSTERLGHLAKSESGVIFPSSHRGAGTSKHMSGVIGRFSQFLSLDAGGESLLSVGSEFENNIKIIQQCRIGSIFTESVKLPDESVQNLGRALIFAATGKGQKFSTPVEEEETAIVALFRIAVRLLSGAGGGDRMSEELVFKSGYSDPGNAWSSSSSSFSSSSMVAAAATMMMMEDASRMGNLATSMFIKLAEALRKTSLVQREEVWDQCRHAYVAQLGLVHAYGCALLANLARMHQLAFLACCSLRFWL >ORUFI05G13360.1 pep chromosome:OR_W1943:5:13626935:13628075:1 gene:ORUFI05G13360 transcript:ORUFI05G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPELNSLMDDVVEEILLRLPPDDPSCAVRASLVCKRWRRLLTDDPCFQRRYRAFHRRRARAPPPLLGFIHHVSDDQHPGAPTVSRFVLTTAFRPAEPERRRGWWWPIDCRHGRALFHSAGEGLAVWDPMAGDVRWQQEPRIPASDCMYSTAAVACAAPGCDHDHDHGDCGGGPFVLVFVAVDERHETASAFSCSSETGEWSSAPSTVHLDRDVLAGGAVHFLTDYGRTVLRYDLAKLELSAIEPPEVHSDVLLTTTEGGDLGLAILDDQRYLRLWAWAADHGVTRRWVRRRVVDLFAELPFLQHVLPLNLTGFDEGTGMIFFQASDGDYAIDELMSSPRAKKLWGRDNFSNVFPYRSFYVHSNSLRRRLT >ORUFI05G13370.1 pep chromosome:OR_W1943:5:13634170:13635735:1 gene:ORUFI05G13370 transcript:ORUFI05G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARADSAGAGAPEAIDGELVELELGERNNGVPPVAEEEGGEPRPGGRPPASGRRLLRRLSPASVARACGRWLRHPAHLVLLAWALCVAASGAMLALLLLGALDGAFPRKSARNRWIEVNNQVLNALFTLMSIYQHPALFHHAAMLLRWRPDDVKALRKAYRRRRKAAAAGDGAGGWERLHMSVVVALLHVACFAQYAMCGLYWGYSRKARPDAAETSLAVIGAATPALAGLYAYFGPLGRRKPGTATSARHQEEPDDLELAAAAAADVVVAEWAGGLLDVGDDPTAWWLSCLCTFCVFGWNMERMGLGNKHVHAVTFALLCFAPLWVLNVAAMNIRDEAVGDAVGAVGVALCALGLLYGGYWRARMRRRFGLLPGRHGGGGACCGSPSSLADYLRWMFCWSCALAQEVRTANVLLLDADEAGGAGGGSSSSGGGGRGDATLLQPLPRENGVKLAFHHAAAVPVDTDAAYGPPVNGSPHRGSGGGGDESPLLQRQQGRESPAEEMRPPLQPLMTEAECRRVQ >ORUFI05G13380.1 pep chromosome:OR_W1943:5:13636314:13639905:-1 gene:ORUFI05G13380 transcript:ORUFI05G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVHFNMWHGMMVNGFMDISNLSAKIICQGKMLISVSRSGTGDRLRDYKLIYALDVGKTFGDYDSPAAAAELASDKVHANGDAADAGGGGEEEVVVSSSKAVVEELYRALERGDGDAVRRLLNPDVDWWFHGPRAHQHLVLMRLLTGGGGGAAGLPFKVRGVDAFGETVLAEGTDATGKLYWVHAWTVGPGGRVTGVREYCNTALVVTRLGGGGGGKGAEAAAPCSRSQSEQLWQSRLPDRARKNLPALVLAI >ORUFI05G13390.1 pep chromosome:OR_W1943:5:13647648:13648178:-1 gene:ORUFI05G13390 transcript:ORUFI05G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAEEEAMMTARRENERLVESLYAAVAAGDGAAASAVLAGDVDWWFHGPRRCEHMRRRLTGEAEAASASSFVFVPRRVAAVGRGGGWVVAEGWEGPRAYWVHAWAVEGGRITRLREYFNTSVTVRDVGCGGHCRPQLDGGGVRRRAAVCWQSQRGRGGGDDDDDRSLPGLVLAI >ORUFI05G13400.1 pep chromosome:OR_W1943:5:13685204:13689849:1 gene:ORUFI05G13400 transcript:ORUFI05G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKKPRAPSRVEVKKREKKPATCRKRKKKRQNPRQFYTKPLPSRRLFCKILSPRAASPTNLPLAAAAAAPLPEKKPDRASPRRAAKRHRAVAAAHGGGERRGGGGGEEAAVGGGGRLRAALVPGRAQGGPPRRLRHAGARRADVPLRLRHPQERAQGKSVG >ORUFI05G13410.1 pep chromosome:OR_W1943:5:13701699:13702292:1 gene:ORUFI05G13410 transcript:ORUFI05G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGFILASDRTSNSVMMERTSSVTVSRTTPTTTAMPPPLVPPLCLLHRCEEPIRRHYTSSASAATARSLSATAMPFPLPAPPLYLLGRCEELVRRRYASSSASAAATPPPSTPPLRLHCLHEELVCRCYASSSAGVAALHPPLPRGAPSAAASPYSSPLLYLLHHHDGATLDRRERRREERLTSGSHTGFYPSLPC >ORUFI05G13420.1 pep chromosome:OR_W1943:5:13704993:13711292:-1 gene:ORUFI05G13420 transcript:ORUFI05G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATDDDEDVEEMQGYRIGRIGSASCKEDRLVQMGSAVGTRVPSSGVGKVTIDRARRAAATDCRPGEPLRSPLMGMEAFDTAAASPSSMYDGARCSSNRFLGVEFQICTDAVMLIPGIAGFLPCDSLRSAGRHCSRPRGNCVQWPIAVDLETSIWPSLNFAPSSIPPARLPHGSDKQDIGRSQNASSSCRLVFFMHYIDGPTAAL >ORUFI05G13430.1 pep chromosome:OR_W1943:5:13714538:13714759:1 gene:ORUFI05G13430 transcript:ORUFI05G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDEILSLLRRFTEFEVRHIYREGNSVAHTLCRQAYQGPGLWTERVPMPGAVREKIDEDCRGVLHERLCKKNK >ORUFI05G13440.1 pep chromosome:OR_W1943:5:13716261:13716782:-1 gene:ORUFI05G13440 transcript:ORUFI05G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVARGGGKLNPWAEPFVPAGWSATYWRCGGVAAVEPAVAEVEDFSPEWWRLVGSSPAFRDRWLRDYSALGLLDDNDNGDGYDLEGFLLPDDLFSSTPHLVGEPADEKEGKGFGGAGGKKVKGGSAEVVAWGIDKWWRAHSSPPEVPRYADKAPRRVAAAAARVNPRPIQQPR >ORUFI05G13450.1 pep chromosome:OR_W1943:5:13721618:13724105:-1 gene:ORUFI05G13450 transcript:ORUFI05G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVAASSGAPVADVEKGAAAADANVDGGGAPAAAAASGEGVVSAVVRRWRRQDLLEKSGSALRVAAWAFSLLAFVVMGANDQGDWRQFEHYEEYRYVVAIGVLAFIYTTLQLVRHGVRLTGGQDLQGKVAVLVDFAGDQVTAYLLMSAVSAAIPITNRMREGADNVFTDSSAASISMAFFAFLCLALSALVSGFKLAKQTYI >ORUFI05G13460.1 pep chromosome:OR_W1943:5:13740031:13740474:-1 gene:ORUFI05G13460 transcript:ORUFI05G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGSTCQSHFLHLYPFLSGVSLFSKVQEQTDAREDERERSVAADPPSRAPTPLPPIRGDGAQPPIPIRFCYSIGLCHAPPRGSPVSAMPSDVPVMVLLPSWLHLRFVYDVLTAAVVTAEAGTLRAGTMSTGASSPESWLSVKTRQL >ORUFI05G13470.1 pep chromosome:OR_W1943:5:13747180:13747666:1 gene:ORUFI05G13470 transcript:ORUFI05G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSDIQPQPSTLKIPSDVDLFRAQVRNAESKLVAPILWGKREHSGWGGERGNEARGRPYPGAIITIVFELPPLCRIWRASWREKRPPPRAVELCSSGVRELRGSSGKGEQLRGERAVVADREGELPRAGSGLHATTPPGVVAASAASPPSAIA >ORUFI05G13480.1 pep chromosome:OR_W1943:5:13753517:13757088:1 gene:ORUFI05G13480 transcript:ORUFI05G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTATPSPAPAAVAAAPKPPPSGTGAKRSLMSSLMEATALLRSSSFKEDSYVASALPASDLRALADLRALLSTHPDPISIWGVPLNPAPPQGGEGAPAPAAAADERADVVLLKFLRARDFRVRDAHAMLLRCAAWRAEFRADAVLDEDLGFKDLEGVVAYMHGWDREGHPVCYNAYGVFKDRDMYDRVFGDGERLARFLRWRVQVMERGVRALHLRPGGVNAIIQVTDLKDMPKRELRAASNQILSLFQDNYPEMVARKVFINVPWYFSVLFSMISPFLTERTKSKFVIAREGNVAETLFKFIRPELVPVQYGGLSRAGDLENGPPKPASEFTIKGGEKVFLEIDGIEAGATITWDLVVGGWELEYGAEYVPAAEDSYTLCVERTRKVPAAADEPVHNAFTAREAGKMVLSIDNSGSRKRKVAAYRYFVRKPSA >ORUFI05G13490.1 pep chromosome:OR_W1943:5:13791518:13803223:-1 gene:ORUFI05G13490 transcript:ORUFI05G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFGLAGGIPERRVRPIWDAVDSRQYKAALKLCTALLAKHPTSPYVLALKGLILERMGKPDEALSVCLNAKEQLYSDNIFHFDDLTLSTLQIVFQRLERLDLATSCYEYACTKYPNNLELMMGLFNCYVREYSYVKQQHTAIKMYKTVGEERFLLWAICSIQLQVHFSIGGEKLLPLAEALLKKHITSHSLHEPEALALYISILEQQSKYDAALEVLSGDLGSLMGREEDKLRLQGRLLAQACNYTAASEIYQKILESCPDDWESFLHYLGCLLEHDVNLPKSRTSEHPSSLPVDSALALKTSLSDELVESRLASALSFVQKLQVNDTSDCVRGPHLASIEIERQRCRSGNPTDRKFIEALINYFHRFGHLSCAASDVEIYLHMLSSDETTELLDTISRSFDASSLSVKGLGLAITTFKVQELLGTFFSKSTTELQHIAKGMVEAFYKNLPLSRDLDPQESMHGEELLCMASSILVQLFWRTRNLGYLIEAILVLEFGLTVRKYVTLEVKNILLESASHHILPQMLNSPLLQQTADLVIEFVQFKERLQHSMQYLSVRSDSIILSLKQKAESLDEVESILENVNHGARLVELSNEDNVKRFTFNEDLQARPWWTPTTSVNFLSEPFDEGSTPACFRAKACEHKSTEKDDSKIKYAERKALLPRLVYLSMHGCASSLRETQLNGSGLDTDATEMKPLLLKYARSIGYSIDDALSVILGMSSGKKSVKDFTPDIVSWMSFAVFINAWNLWSNESVVPRADESSPSSWQIVDSLVKICVEEQLIDANRILTSPGNNIPVLVQMITEPISWHLVVIQSCVRSMAPQGKKKKKGGPSERPNVPRLQAIQRSVQCMIDTLRSVQSWLSDQMRPEEQALDILLSYLQGGNEDGPGQISCILEENSARHNPELGERIAQSLETWSSAGVVRRIVGAEKELLVELKKICDSKLKLLASVSASLSSALH >ORUFI05G13490.2 pep chromosome:OR_W1943:5:13791520:13803223:-1 gene:ORUFI05G13490 transcript:ORUFI05G13490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFGLAGGIPERRVRPIWDAVDSRQYKAALKLCTALLAKHPTSPYVLALKGLILERMGKPDEALSVCLNAKEQLYSDNIFHFDDLTLSTLQIVFQRLERLDLATSCYEYACTKYPNNLELMMGLFNCYVREYSYVKQQHTAIKMYKTVGEERFLLWAICSIQLQVHFSIGGEKLLPLAEALLKKHITSHSLHEPEALALYISILEQQSKYDAALEVLSGDLGSLMGREEDKLRLQGRLLAQACNYTAASEIYQKILESCPDDWESFLHYLGCLLEHDVNLPKSRTSEHPSSLPVDSALALKTSLSDELVESRLASALSFVQKLQVNDTSDCVRGPHLASIEIERQRCRSGNPTDRKFIEALINYFHRFGHLSCAASDVEIYLHMLSSDETTELLDTISRSFDASSLSVKGLGLAITTFKVQELLGTFFSKSTTELQHIAKGMVEAFYKNLPLSRDLDPQESMHGEELLCMASSILVQLFWRTRNLGYLIEAILVLEFGLTVRKYVTLEVKNILLESASHHILPQMLNSPLLQQTADLVIEFVQFKERLQHSMQYLSVRSDSIILSLKQKAESLDEVESILENVNHGARLVELSNEDNVKRFTFNEDLQARPWWTPTTSVNFLSEPFDEGSTPACFRAKACEHKSTEKDDSKIKYAERKALLPRLVYLSMHGCASSLRETQLNGSGLDTDATEMKPLLLKYARSIGYSIDDALSVILGMSSGKKSVKDFTPDIVSWMSFAVFINAWNLWSNESVVPRADESSPSSWQIVDSLVKICVEEQLIDANRILTSPGNNIPVLVQMITEPISWHLVVIQSCVRSMAPQGKKKKKGGPSERPNVPRLQAIQRSVQCMIDTLRSVQSWLSDQMRPEEQALDILLSYLQGGNEDGPGQISCILEENSARHNPELGERIAQSLETWSSAGVVRRIVGAEKELLVELKKICDSKLKLLASVSASLSSALH >ORUFI05G13500.1 pep chromosome:OR_W1943:5:13805947:13812144:-1 gene:ORUFI05G13500 transcript:ORUFI05G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPPHPVEEKKRSITVAPFECAWDEEFRFRETGRGCITFEASAHNDVTLVFREQPGSQHYHYKMDNSRHYIVILGSHRNKRLKIEVDGKTVVDVAGIGLCCSSSFQSYWISIYDGLISIGQGRHPNNNILFQWLDPDPNRNVQYVGLSSWDKHVGYRNISLMPSAPQNSILWSQIECAYVEPDGAGGHTRKQESKDGLDQRALANFLENWDFSDSIFVVGSERKVVPAHKVVLGSCGDFPFNLMMSRPAIELPSVSYPVLHSLLEYIYTGSTQISEWQLVSLLELSSQFKVKPLVMYCEEIIGCLKMSDAVSESSKKIQLSSGGSQAHQFYYFPFKAPLNTQKIEQFLVNGEHSDVNIYVNGHGLVTHAHKLILSLWSMTFDKMFTNGMKESSASNVFFEDVPVEAFFLLIQFMYSGELKVDIEEITPVLVELLLLSDQFGITALQFECCKRIMEFLSKHGHMTVTSEERVLDAILTWCMEACDCFNWTSVHELLSTSRPEKLFGGRLTAINTLLPFVRFPLVQPSVLHLMEKSNLAKNIEAFRQLVAEAIEFSNAGLRMATNTCERFHHRRSSYKELQYISDGDNNGVIYYAVTASSPNSRYTDPKALVSKNYQATCFAGPRLEDGKMCSWWMVDIGPDHQLMCNYYTVRQDGSATFMRSWVLQGSMDGRSWTSLHVHEDDQTICQPGQFASWPITGQTALLPFRFFRVMLTAPATGVSNTWNLCICFLELYGYFR >ORUFI05G13500.2 pep chromosome:OR_W1943:5:13805947:13812144:-1 gene:ORUFI05G13500 transcript:ORUFI05G13500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPPHPVEEKKRSITVAPFECAWDEEFRFRETGRGCITFEASAHNDVTLVFREQPGSQHYHYKMDNSRHYIVILGSHRNKRLKIEVDGKTVVDVAGIGLCCSSSFQSYWISIYDGLISIGQGRHPNNNILFQWLDPDPNRNVQYVGLSSWDKHVGYRNISLMPSAPQNSILWSQIECAYVEPDGAGGHTRKQESKDGLDQRALANFLENWDFSDSIFVVGSERKVVPAHKVVLGSCGDFPFNLMMSRPAIELPSVSYPVLHSLLEYIYTGSTQISEWQLVSLLELSSQFKVKPLVMYCEEIIGCLKMSDAVSESSKKIQLSSGGSQAHQFYYFPFKAPLNTQKIEQFLVNGEHSDVNIYVNGHGLVTHAHKLILSLWSMTFDKMFTNGMKESSASNVFFEDVPVEAFFLLIQFMYSGELKVDIEEITPVLVELLLLSDQFGITALQFECCKRIMEFLSKHGHMTVTSEERVLDAILTWCMEACDCFNWTSVHELLSTSRPEKLFGGRLTAINTLLPFVRFPLVQPSVLHLMEKSNLAKNIEAFRQLVAEAIEFSNAGLRMATNTCERFHHRRSSYKELQYISDGDNNGVIYYAGTSFGKHQWINPVLAKNITVTASSPNSRYTDPKALVSKNYQATCFAGPRLEDGKMCSWWMVDIGPDHQLMCNYYTVRQDGSATFMRSWVLQGSMDGRSWTSLHVHEDDQTICQPGQFASWPITGQTALLPFRFFRVMLTAPATGVSNTWNLCICFLELYGYFR >ORUFI05G13510.1 pep chromosome:OR_W1943:5:13815141:13818273:-1 gene:ORUFI05G13510 transcript:ORUFI05G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQDAHFVRLRCSVVRRSKYLAAEDDGRGVCLSGQRGAHNTVWAVEHITGDVPGAAPGPYVRLRGAYGRYLVATDLQAKAGPAHGVTAEQRDAAHHPTPPPWAWQAFRRRSSSLLRNGTGRYLRANGRYLRWRTAVTVAGDNASPMMLWAVEVVPPKPGRVTLVDRPAQLIRRRRGPATEGETSRVIRFVRGDEGGEFEESEWRALRVNTNSLMHLRLTLANLLGHNRDALHTTVCVRAGAYAQLSPLLVDLPIGNDRIDVVVLSHGTPAEDALKYPCVDA >ORUFI05G13520.1 pep chromosome:OR_W1943:5:13822768:13823232:1 gene:ORUFI05G13520 transcript:ORUFI05G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGGRSNNIVQLGREAVVEVRSLGGVAARWLCRHTGGAKLVLIEGEGEGVRTGGVELREEDDQAVLSRGGGGSKTQICLRIWTSDAAHGIFGVNMMAGSEQGWFGGGITVMVLELNTIAS >ORUFI05G13530.1 pep chromosome:OR_W1943:5:13824340:13825260:-1 gene:ORUFI05G13530 transcript:ORUFI05G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNMVWQPQVVEEMLRYYKEKIQAEGKQFIFKEVHYEECAKRINEKYHTKFTSRQVYHKFHKLKAQWKVIMEAKNLSGANFDDVEKRFLYDETEVVQMNNFINLQAPYDHPMTEDTDFIGEKNGSPSDVDPSLHYDSDYLPEENNNRSSSSSKRPKGSKIDKGKRVKADDNPILHITGAMNNMSDTMHFTHVTHPNESLFKIIDEMVEYPTIVRLHLQTYLATHESIAAMLKGRPLDAIKDYVAQ >ORUFI05G13540.1 pep chromosome:OR_W1943:5:13828119:13828496:1 gene:ORUFI05G13540 transcript:ORUFI05G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWTSSAAYAPLSSTTRLSDAISPSERRENKASTATARGSRRRRTATRGSPAAHAGDHSVKVEEDVAATTKQEASGESVAASKESSDLRSSGSLCRRRKLGADDDGDGEEASAPQPCA >ORUFI05G13550.1 pep chromosome:OR_W1943:5:13829072:13836371:1 gene:ORUFI05G13550 transcript:ORUFI05G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTAPPDMPAGDGDGDMETLPLASDYASVASTFDPLLSSAAAAASPPSPTIAAAAAFPLSPSSSSSFVDPPSYADVAASSSSSPRSASASASASPRSAASDYALIAVSDPTPEAEPAATSLVPGSAPTYISYLVTSARRGDHRRHAVRRRFRDFVTLADRLAEAFRGHFVPPRPDKNTVESQVMQRDEFVAQRRAALERYLWRLAEHPAIGPSDELRVFLQAEGKMPLPSTTDVASRMLDGAARLPRQLLAGEEAVAAPQEVVQPAKGGRDLLRIFKELKQSVVSDWGGVRPPLVEEDKEFLDKKQKLQDWEQQLTSASQQAEALVKAQQDMGETMGALGLAFIKLTKFETEEAMYDSQRIRAADSKRIATAAVKASRACRDLNTQTVKYLDTLHEHLGIMLSVHTAFSDRSSALLTVQTLMSDLASLQLRIEKLEAAASKIFGGDKSRLRKVEELRETIRATEDAKCCALREYERIKENNRSELNRLDREKKEDMLEMIKGYVTSQAAYAEKIVEGWETVAEETSGYARRSDNNIAW >ORUFI05G13550.2 pep chromosome:OR_W1943:5:13829072:13839958:1 gene:ORUFI05G13550 transcript:ORUFI05G13550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTAPPDMPAGDGDGDMETLPLASDYASVASTFDPLLSSAAAAASPPSPTIAAAAAFPLSPSSSSSFVDPPSYADVAASSSSSPRSASASASASPRSAASDYALIAVSDPTPEAEPAATSLVPGSAPTYISYLVTSARRGDHRRHAVRRRFRDFVTLADRLAEAFRGHFVPPRPDKNTVESQVMQRDEFVAQRRAALERYLWRLAEHPAIGPSDELRVFLQAEGKMPLPSTTDVASRMLDGAARLPRQLLAGEEAVAAPQEVVQPAKGGRDLLRIFKELKQSVVSDWGGVRPPLVEEDKEFLDKKQKLQDWEQQLTSASQQAEALVKAQQDMGETMGALGLAFIKLTKFETEEAMYDSQRIRAADSKRIATAAVKASRACRDLNTQTVKYLDTLHEHLGIMLSVHTAFSDRSSALLTVQTLMSDLASLQLRIEKLEAAASKIFGGDKSRLRKVEELRETIRATEDAKCCALREYERIKENNRSELNRLDREKKEDMLEMIKGYVTSQAAYAEKIVEGWETVAEETSGYARRSDNNIAW >ORUFI05G13560.1 pep chromosome:OR_W1943:5:13836960:13839831:-1 gene:ORUFI05G13560 transcript:ORUFI05G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDQRTTAKAIMPPVEMPPVQPGRKCGGEESTGNLDSVQPIGALPCNEHALLAQQTPKGDAPSVGSKIWKKRPRRSRDGPTSVAETIKRWAELNNQQELDPQGPKKARKAPAKGSKKGCMKGKGGPENTRCDFRGVRQRTWGKWVAEIREPNQQSRLWLGTFPTAEAAACAYDEAARAMYGPMARTNFGQHHAPAASVQVALAAVKCALPGGGLTASKSRTSTQGASADVQDVLTGGLSACESTTTTINNQSDVVSTLHKPEEVSEISSPLRAPPAVLEDGSNEDKAESVTYDENIVSQQRAPPEAEASNGRGEEVFEPLEPIASLPEDQGDYCFDIDEMLRMMEADPTNEGLWKGDKDGSDAILELGQDEPFYYEGVDPGMLDNLLRSDEPAWLLADPAMFISGGFEDDSQFFEGL >ORUFI05G13560.2 pep chromosome:OR_W1943:5:13836960:13839831:-1 gene:ORUFI05G13560 transcript:ORUFI05G13560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDQRTTAKAIMPPVEMPPVQPGRKKRPRRSRDGPTSVAETIKRWAELNNQQELDPQGPKKARKAPAKGSKKGCMKGKGGPENTRCDFRGVRQRTWGKWVAEIREPNQQSRLWLGTFPTAEAAACAYDEAARAMYGPMARTNFGQHHAPAASVQVALAAVKCALPGGGLTASKSRTSTQGASADVQDVLTGGLSACESTTTTINNQSDVVSTLHKPEEVSEISSPLRAPPAVLEDGSNEDKAESVTYDENIVSQQRAPPEAEASNGRGEEVFEPLEPIASLPEDQGDYCFDIDEMLRMMEADPTNEGLWKGDKDGSDAILELGQDEPFYYEGVDPGMLDNLLRSDEPAWLLADPAMFISGGFEDDSQFFEGL >ORUFI05G13570.1 pep chromosome:OR_W1943:5:13842714:13845803:-1 gene:ORUFI05G13570 transcript:ORUFI05G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFRCRGPARPIGVRTVYKQLGTQTLALEVKERTAKRTLLRRLRSSLHGASSPPSVSRASRRKIQKDKGLEPTEFEDTVAQAFFDLENGNQELKSDLKDLYINGAVQMDLPGNRKAVIIYVPYRLRKAYKKIHVRLVRELEKKFSGKDVVLVATRRIVRPPKKGSAVVRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYHLDGRKIMKIFLDPKERNNTEYKLDTFSSVYRRLCGKDVVFDYPMTETA >ORUFI05G13570.2 pep chromosome:OR_W1943:5:13842714:13845803:-1 gene:ORUFI05G13570 transcript:ORUFI05G13570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFRCRGPARPIGVRTVYKQLGTQTLALEVKERTAKRTLLRRLRSSLHGASSPPSVSRASSQGLILRSLQGYHKMYTARRKIQKDKGLEPTEFEDTVAQAFFDLENGNQELKSDLKDLYINGAVQMDLPGNRKAVIIYVPYRLRKAYKKIHVRLVRELEKKFSGKDVVLVATRRIVRPPKKGSAVVRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYHLDGRKIMKIFLDPKERNNTEYKLDTFSSVYRRLCGKDVVFDYPMTETA >ORUFI05G13580.1 pep chromosome:OR_W1943:5:13846059:13850320:1 gene:ORUFI05G13580 transcript:ORUFI05G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVLNKVGSYWFSKRASKEIDSIGDDISLLVEADAADTGKGIEWNSRRRLVEIVTVQSISTSIGTGAKWMVNKIKGKMQKALPDLLKEYDMPAGLFPRDATNYEFNEETKKLTVYIPSACDVGYKDSSVLRFFTCVTGYLEKGKLSDIEGLKTKVLVWTKVTAIKTEGSKVHFTAGVKKTRSRDAYEVVRDGIPIDKF >ORUFI05G13590.1 pep chromosome:OR_W1943:5:13852515:13858987:-1 gene:ORUFI05G13590 transcript:ORUFI05G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSPRRLLRRLRSMLPSAAPAAAAGEEEEEGERRPWEPPFDASQPAPPISYPITTLAALASRAYLSEAGNFHLPFNRASSSPRAAPLPPRRRILACHDFRGGYRDDAAPQGGHDPGAYALWHWHLIDVFVYFSHYLVTLPPPCWVNAAHLHGVKVLGTFITEWEKGAEICEEMLATEASAQMYAERLTELAAYLGFDGWLINIEVKLDIQFIDNLKEFINHLTKTMHAAVPGSLVIWYDAITIKGALDWQNKLNEYNKPFFDLCDGLFSNYTWKAKYPQESAVVAGERKYDVYMGIDVYGRNTFGGGQWNTNVALDLLKKDDVSAAIFAPGWVYETKQPPNFRTAQNRWWGLVQESWGVLQSYPKQLPFYSDFDQGHGYQVSIEGVKVYGAPWDNISCQSFQPMLKYAGDRGLQTVINFEDEPYSGGNCVTVKGSLQQNEIFSEQLFNGGLSMEGESVYVFYSVKADERSGLGLSLDLSSGNNESSSILIADDTAAFTRKKQHRKYGSYVKADKAEPHTPVHQNWVVYKATIQPSAGFTLTGINIVCTMKTTSGTDPETDGDGSSEAGANRSLHYHASLGHVSIRNTEETEFPPARSWVTEGDYISWSNGSDESKLASLKISWELENKQQAPFMKYNVYVEKLTADSNAKAPRIFLGVASVQVFYVSDLEVPSEVTALKFFIQPCGRDGSCQGLHECPKFHLVPVDSAM >ORUFI05G13600.1 pep chromosome:OR_W1943:5:13860562:13861362:-1 gene:ORUFI05G13600 transcript:ORUFI05G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSVCIWKKYTEGPSTCHRVTKSSATRKTGYNASPTYKTSANPSAVLTLVLADVAAKSAWDPRGPHMLGWHVSLSLPLLSSPRDCDRGAAEVKAAVDARGGADARATAASAGRVRKGAGGRRARPLLLSDSNHRAAPLSSPTPPFPPLWRRRPRRLPPIWRRSPSPPVAMVFGPAAVQRYGPDMTLREALDGRGDIYRTLLREATAVLLNAYYNTPGVAAAAATALARRRRLAPPQRGSRRASAAGWRLIGTLTRSWLLGKKNM >ORUFI05G13610.1 pep chromosome:OR_W1943:5:13867187:13867897:-1 gene:ORUFI05G13610 transcript:ORUFI05G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSPCASCKLLRRRCTKDCIFAPFFPADDPHKFAIVHKVFGASNVSKMLQELPAQQRGDAVSSLVYEANARMRDPVYGCVGAISFLQNQVSQLQMQLAVAQAEILCIQMQHRDDGAAHQLDAAADHHPLLDQQQQQQMVVDAADAAASFLVQNGGGGGGPAAQLISGYGSPAAGGGGGHGVVHYAAAQEHLKRESLWT >ORUFI05G13620.1 pep chromosome:OR_W1943:5:13881535:13882164:1 gene:ORUFI05G13620 transcript:ORUFI05G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDKGAGEEVIAIEEEVGAHEHLWSLVLASSSTSALMSVWRMPSTRRLGKMSARGAKVVDVKEQLLVTKVDAVEEHDTEDIDPAWLEWEPPPKLIGGAASDKEVAVAAHGLPLRSPVVGEGHRGEGEKEIVDSAREEEDKVGEDKINGRGILVHI >ORUFI05G13630.1 pep chromosome:OR_W1943:5:13884145:13886324:-1 gene:ORUFI05G13630 transcript:ORUFI05G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVAERIERLMNESAAASSSSNPEDASLDLSFSEDGRNGTFMIGNESFPASLLDLPTVVESYKTYDDSVLIKTADIGQMIMVREEEDPAPEGVEYKHGLTPPMRDARRRRFRREPDLNNASAILRAGEGGDRKKAGPAPATKPNVKQPAANGEEAEAERSDSDESVDP >ORUFI05G13640.1 pep chromosome:OR_W1943:5:13891768:13891971:1 gene:ORUFI05G13640 transcript:ORUFI05G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGDCRQRRRQQQLAATPAGDGDWLRRQWQMAVGEGTVVTMAAAGGGTVVATMPEVGGGMAASGG >ORUFI05G13650.1 pep chromosome:OR_W1943:5:13902475:13903317:-1 gene:ORUFI05G13650 transcript:ORUFI05G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPDAPRAGAAEEQPGPSSSASAPAPAASSNEEEGRHQSQAQQQVQEAQPQPLAQQAPAAAELSRYESQKRRDWNTFLQYLRNHKPPLTLPRCSGAHVIEFLKYLDQFGKTKVHADGCAYFGEPNPPAPCACPLRQAWGSLDALIGRLRAAYEESGGRPESNPFAARAVRIYLREVREAQAKARGIPYEKKRKRGAAAAAAAPPVVVAPPPVVTAPDDATGTSGGAGEHDDDDEATHSGEQQDTTPAASPTTPPATSVGTTTAAAAKGSAAKGSATSS >ORUFI05G13660.1 pep chromosome:OR_W1943:5:13934051:13940225:1 gene:ORUFI05G13660 transcript:ORUFI05G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTPQSDYVSNSETTQQGARFVESSPQGRLRRRRSDRPSSPIPPPFSASPSPEQPPAKPRGRKDGGGGALLVSSRSGGLGSLPGMDWRRGRAMVAAEVSAPGGVVGDGDGVGAVVVVADPAAACPDPAAARRPAVA >ORUFI05G13670.1 pep chromosome:OR_W1943:5:13942220:13943612:-1 gene:ORUFI05G13670 transcript:ORUFI05G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTASWRLLPPAASSPPPRRQAALLRRHPAATTTSSSSGKRTTRLLCLLHDTISSPPLRKLAAALQCGAIWAAVEAPAALAPIIMNWMRLRWFKRKFVETYLQFMFTYLFFPGMMLWAPFVNFRKFPRDPTMKYPWSKPKEGTPLFKDRYPPIETYK >ORUFI05G13680.1 pep chromosome:OR_W1943:5:13955039:13955238:-1 gene:ORUFI05G13680 transcript:ORUFI05G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPARHRLPSGRLPFLHIWQRKGITAMPPPPSTLPSGHRRLLPSRRVTAASYPHAGLPPPPL >ORUFI05G13690.1 pep chromosome:OR_W1943:5:13967681:13969666:-1 gene:ORUFI05G13690 transcript:ORUFI05G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPDNKLTDFIQGNEKAKWMPINSHSIKEFTEDEIEWITNNYSTPIGKGGFGEVYKGYLYGGDPVAVKKYICQNSKEEFAKEITVHHQINHKNVVRLLGYCAEENALMIVTEFIPGGSLRDLLHDNGGPVPLDGRLSIAIECADALHYMHCSVSQPIVHGDIKPDNILLDNNLVARLSDFGISRLICNMDKMQYTIHVIGSRGYIDPEHIESGLVDPKNDVYSFGVVLLELITRAKACENGLSTGLVRNFSQALQRGKKKAREMFDTEIVNATRNMTVLDNIGKLATECLRNDIKQRPEMKDVAERLRLIRKMHREEEEKTGQWSLWRRLDKVKKQDTGFSSSNSTRGRFFERDGELVLGKDSTLKIFTEEELRKATNNYSLDNVIRGSEPVDKVFSSKKKYEAYRGRLEDNMQVVVKWVTFVSKDNQDDFVNKLTVVSRFSHRNIIKLLGCCFNKRDAVFLVYEYATKGSLHDILQSASGDLPLQLRLDIATGSAHALAYLHSGATSTDIIPHGHVKSANILLDDSFVPKFSDVAAIILRELYIAGDLSYIVHSLRSMEGFFTPKRDVYNFGIVLLELITMKQYNGYCILPHEFIEVYEKEKSGRAMFDKEIAAKEENIAILEEMAKLATECFKRDSKERPDMVEVAKCLEKLQKRSAP >ORUFI05G13700.1 pep chromosome:OR_W1943:5:13979953:13980790:-1 gene:ORUFI05G13700 transcript:ORUFI05G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGADGLLPGPKLDPSDDELVGGYLLRRLQGQPLPLEADPLSARPRNLAADHGRGDEAFFLAEAQAKNAKGKRQRSTVEGQSMCVDGGRLRVPDDGRGGGGLAFSHFLPLSPSIVPSPAPSPRCSTSTPLSPPKLLADHGRGDEAAFFADAWAKNGKRQKQRSTVEGGGLWQGQGMLVDGERLRVADDGGGGGMPAAVWEKVEDDRRGVVHERIRKNK >ORUFI05G13710.1 pep chromosome:OR_W1943:5:13984272:13984475:1 gene:ORUFI05G13710 transcript:ORUFI05G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGELQMMTSVTWQRCVVYGFGWLGDVPSNSDEMACDVARADDALQAPSSSAPAGVLLEQQADEF >ORUFI05G13720.1 pep chromosome:OR_W1943:5:13990244:13991037:-1 gene:ORUFI05G13720 transcript:ORUFI05G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATYDAFNREKLSPHAGLSRFAIRRFFEWAQLRGHAAAYRVTRFLYATSCVAVPVLSEVARLVSMYQDEELSITATGHNLGAALATLNAFDIVANGYNRHPGHRSRFDGARGLGLRLLRVHNARDVVPRYSTAPPNRGVGTELAIDTGESPYLRRLANELVWHKLDSYLHGVAGARGGEAGRFKLAANAGEQGLRRAGRGARSKSTGGGERG >ORUFI05G13730.1 pep chromosome:OR_W1943:5:13995500:13998244:-1 gene:ORUFI05G13730 transcript:ORUFI05G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPAAGADGLLPGLRFNPLSAPPPWMLLADHGRGDEAAFLEDARAKNANGKRQNHTADGGGFWQGKRMCVGGPDDGGGGGGGLAFLPPTIFPSPPPARHCSTPLSPSSPPFQPRGLLVRWAPPPAPRCSTPLSPYSPPFRPARLIVRWARPPPGWHKLNFDGSVFHDGSRQASIGGVIRGCDGGVVLAFAETTEHRGVGVVEARAMMRGLRLALSCGVDRLVVEGDDLVLVELLRGEKPHTRIPAAMHEEILSLLRRFAEVEVRHIYREGNSVAHTLCRQAYVCPGIWSEGGGGMPAVVWDKVDDDRRGVVHERLRKKKTKIKRVLDNIVSGPLICGVSGVEMVCDSTDGQRSGQQLDGGASERDAHHLFGEMPSQLGHDSSAVLHVAVSHGLFPVTHEVLSQVYDAYGAVAVQRAQLFPRNGADVTPTKSSASGTSGTITKPVAESTAVAVEHVFPATPASSAPLISSTAMMTPISLTMTKEADADMGKCITENFLTKKVEPALPYSHFINRNEIQSYKILG >ORUFI05G13740.1 pep chromosome:OR_W1943:5:14001875:14012673:-1 gene:ORUFI05G13740 transcript:ORUFI05G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDASDPSYRLHLACAALVGASVAAASGYYLHCRSVAAQLGDGLSRSASAQRRGGGGRAPPRAAGKSPAPPPPPPRRAPAGSSSLPDLSSLYSVGLGVGGAGAARRYPVAEEEEEEDDDDDGMKELADAAEACLPVPLGLPRLHVGPDGNKQLVRSSSNRRVGIIKPNSPKSPVASASAFESVEGSDEDDAKQPNGKLENGYLKMNGNVEGEQKGNKVLENGAAAPLAAASLIRSHSISNDLHGVQPDPVAADILRKEPEQESFIKLLTAPHEIPSPDEIEVYKLLQKCLELRDCYLFREEVAPWEKEVINDPCTPKPDPNPFTYVPEPKSEHVFQMVDGVVHVYADKDYTEILYPVADATTFFTDLHYMLRVISAGNTRTVCHNRLNLLEHKFKFHLMLNADREFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLTASKYQMAEYRISIYGRKQSEWDNLASWIVNNELSSENVVWLVQIPRLYNVYKEMGIVTSFQTLLDNIFLPLFEVTIDPASHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYAYYCYANLYTLNKAGDIDHLAATFLLCHNISHGINLRKSPVLQYLYYLGQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASAHWIGKNYYKRGPTGNDIHKTNVPHIRVQFRDLLLEVSMRSVVIHIVSGFQADERETSNQVVPPKSTTKRRMKRLSWVLDFGV >ORUFI05G13740.2 pep chromosome:OR_W1943:5:14001875:14012673:-1 gene:ORUFI05G13740 transcript:ORUFI05G13740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDASDPSYRLHLACAALVGASVAAASGYYLHCRSVAAQLGDGLSRSASAQRRGGGGRAPPRAAGKSPAPPPPPPRRAPAGSSSLPDLSSLYSVGLGVGGAGAARRYPVAEEEEEEDDDDDGMKELADAAEACLPVPLGLPRLHVGPDGNKQLVRSSSNRRVGIIKPNSPKSPVASASAFESVEGSDEDDAKQPNGKLENGYLKMNGNVEGEQKGNKVLENGAAAPLAAASLIRSHSISNDLHGVQPDPVAADILRKEPEQESFIKLLTAPHEIPSPDEIEVYKLLQKCLELRDCYLFREEVAPWEKEVINDPCTPKPDPNPFTYVPEPKSEIKTVMSTAHTEILYPVADATTFFTDLHYMLRVISAGNTRTVCHNRLNLLEHKFKFHLMLNADREFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLTASKYQMAEYRISIYGRKQSEWDNLASWIVNNELSSENVVWLVQIPRLYNVYKEMGIVTSFQTLLDNIFLPLFEVTIDPASHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYAYYCYANLYTLNKAGDIDHLAATFLLCHNISHGINLRKSPVLQYLYYLGQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASAHWIGKNYYKRGPTGNDIHKTNVPHIRVQFRDLLLEVSMRSVVIHIVSGFQADERETSNQVVPPKSTTKRRMKRLSWVLDFGV >ORUFI05G13750.1 pep chromosome:OR_W1943:5:14027497:14028915:-1 gene:ORUFI05G13750 transcript:ORUFI05G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHAPTSRKRVAGTQINKDNPEPDDDSTEQEMGTFKRASEEVMATRRIVKVRRQQPSSAPSNPFSAIRFTPSDTSAQATIPVSEPQPSDVITANAKDSSSEKADEGSNGSGKDALPVTDKSAGSSEVAETEKDGSDLKGSDEKAKSSDSIEPPSQPVETTDEAKDLGGGSVVAGEAKEDNSKASDIEDKTAKEGDAEEEDGANEAGAEDKISKGDDEKKDGDESETKDGSSEEQKDADNKGQSSSPTPLFSFKNLSSGQNAFTGLAGTGFSGSSFSFGSGSKESSSAPLFGLKTDGSSFPSFSIGASNNGSSSPALATSAEAPKKFAMPEGPVETGEENEKAIFTADSALYEYLDGGWKERGKGELKLNIPVSGGERSRLVMRTKGNYRLVLNASLYEDMSLKDMDKKGVTFACMNSIGDSQSGLATFALKFRDTSIREEFKAAVEMHKAKKASGTLKTPENSPKASDD >ORUFI05G13760.1 pep chromosome:OR_W1943:5:14030995:14031381:-1 gene:ORUFI05G13760 transcript:ORUFI05G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTTPRPWVLRASPPHHHQQQPAQTAAVDMQDAAGALAAQFLPQGWAVDELLQFSDYETVDKASPPQPPARLPKKEKRGVKEREMRKGKREEADVATLTCGAHVGPTLTQQPRRIKPESKPPMDLL >ORUFI05G13770.1 pep chromosome:OR_W1943:5:14036079:14042034:1 gene:ORUFI05G13770 transcript:ORUFI05G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHLLAAASSTAASSATFRPPLLSLRSPPPSSLRLNRRRHFQVVRAAETDKETKANAPEKAPAGGSSFNQLLGIKGAKQENDIWKIRLQLTKPVTWPPLVWGVLCGAAASGNFHWTVEDVAKSIVCMIMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISENEVITQIWALLLAGLGLGALLDVWAGHDFPIIFYLAVGGSLLSYIYSAPPLKLKQNGWIGNFALGASYIGLPWWAGQALFGTLTPDIVVLTSLYSIAGLGIAIVNDFKSVEGDRALGLQSLPVAFGMETAKWICVGAIDITQLSVAGYLFSSGKPYYALALLGLTIPQVVFQASAQPFFVLGLLVTALATSH >ORUFI05G13780.1 pep chromosome:OR_W1943:5:14043030:14043423:-1 gene:ORUFI05G13780 transcript:ORUFI05G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQQQGRSELDRMAREGQTVVPGGTGGKSLEAQENLAEGRSRGTRKEQMGEEGYREMGRKGGLSTGDESGGERAAREGIDIDESKYKTKS >ORUFI05G13790.1 pep chromosome:OR_W1943:5:14071760:14072362:-1 gene:ORUFI05G13790 transcript:ORUFI05G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDYFEAYQHAKDELFKVFRLYQTKLSVARQVPEETPQKKQSKSSAMNMWKKIRGKKQASSSGSRSNWNPDAELNHYLNTNHTKHDRTLDGENFDLLERWKEKERTLHVLAHFAQGVLLVPVSSISSEHAFSEVGRIIEEQRSCLAPDTVEAIFCLKDWIKAYYARTQYRLEDPKITDAAADALMEFGITTYGSGANRN >ORUFI05G13800.1 pep chromosome:OR_W1943:5:14074755:14075031:1 gene:ORUFI05G13800 transcript:ORUFI05G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCVLDLQTAVPSLLPGPGTRCEAGGGTEHARRRRHGSRVQRRRRDAAKPLTPMPDRPLSLPWAIGRRAYGPFACRVGPSTAH >ORUFI05G13810.1 pep chromosome:OR_W1943:5:14075724:14079772:1 gene:ORUFI05G13810 transcript:ORUFI05G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPVSVTSHPRRRLTTSLPLASILIPPKPPPNPNPTTTSPSSAAAATATATATSSLRSPVRIEAMSSDDEVREEKELDLSSSDVVTKYKDAADIINMSLCKPKAKIVDICEKGDSYIREQTGNIYKNVKRKIERGVAFPTCVSVNNTVCHFSPLATDEAVLEENDMVKIDMGCHIDGFIAVVAHTHVIHDGAVTGKAADVLAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNADTKVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEISQKFPIMPFTARALEEKRARLGLVECMNHELLQPYPVLHEKPGDLVAHIKFTVLLLPSGSQRVTSHSLQELQPTKSIEDNAEIKAWLALGTKTKKKSGGKKKKGKKGDAAEAVPMEEGSNDANKE >ORUFI05G13820.1 pep chromosome:OR_W1943:5:14083313:14086345:1 gene:ORUFI05G13820 transcript:ORUFI05G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEPVVDRPEDEEEASAAAAAAGGEEEDTGAQVAPIVRLEEVAVTTGEEDEDVLLDMKAKLYRFDKEGNQWKERGTGTVKLLKHKENGKVRLVMRQAKTLKICANHLVASTTKMQEHAGSDKSCVWHAADFADGELKEEMFAIRFGSVENCKKFKDLVEEISESLAKTEGKETEEDSSAAGLLEKLSVTEKKSEEVATKEESTEAVKETDTKSAATSE >ORUFI05G13830.1 pep chromosome:OR_W1943:5:14089676:14101157:1 gene:ORUFI05G13830 transcript:ORUFI05G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKSDLMSGSPDGHGYFSGQRGLYSAASLERSASFRESGDSYAAFPVSGSSRSPAVDSATLLQSLAMDLRTTTLEPKTSRLDVKKSISLILGISPEESTSTPCTGRNSSLPFEEIRRMKNNLSDISNKARERSRAYGAAVTKIERCCPNILRKRSRGDGSSNERSTALLSGGLISKMPPQGHLNADDTELVSPRGEERIKNAGQNRRLRTSMSEMDARTTVLSRGLGSTDRSADPGKVTGGGPAVPEEKIRGLATGIDGWEKPKMKKKRSAIKADVSMTGPSRNVDVDREQKPGMQHKFNNEARARMTNSPSFRSGTVSSVSSISKSDLLSGQNGVGRSLSRSDQDSGFHPTNKRDRQAVLDKEISAPKSHNKPSEDDGGANVTAVPKANGSTRGPRSNSGSLLKSSPNIHRLQANSDDWEHPSGMTKLNSTSGSGNPKRTKSTHSLSPPTQWGGQRPQKISRSARKSNLVPIITNTDGQSVSGSLESPSINEESAGLPRRASINCSQQTRRGDHGLSTGSEGDESGVAEKKLRDKSKRAGELDDGHSGFQKIAMLGHPSKRNKLSADDDVGDAARRQGRIGRGFTPTRPSTPASIDKLENAPTTKQRSVRTVTERNESKSGRPLIKKMSERKGNARPRHISSNAQLDSPGSANSSPFWRQIHLSDDSTASRSIEGDESRKYKEYLKVVLNTSRNHQLRLVATKMTIALFKMGIP >ORUFI05G13840.1 pep chromosome:OR_W1943:5:14103306:14103925:1 gene:ORUFI05G13840 transcript:ORUFI05G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSRRQPATWVGSGDVNVPHRFPRAANLTKVLAATEIGGNGDNVAAARTNERRRRCRGLRLLTPTREAAGGRAGGSDGGGGGSGNWHLRQKSAMTTTLLLLLLTPSRCSGDANANGGSSAPSPSAAAAPNRCLCCARMRRRRHKVVWGPPFGQ >ORUFI05G13850.1 pep chromosome:OR_W1943:5:14105510:14106421:-1 gene:ORUFI05G13850 transcript:ORUFI05G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHGEGSSSSAAAAGGKIKGSWSPEEDEQLRGAVARHGPRNWTAISEEVPGRSGKSCRLRWCNQLSPGVHRRPFTPDEDALIVAAHAKYGNKWATIARLLDGRTDNSVKNHWNSSLRRNRRAAAAAAAAAASVSYQSMDLTEEADNDDEGTSDDSVAIPAQSSPAAVVAGVPVLPPPPPPAKRLCVAPPTGVEHRAPPPDPPTSLSLSPPGAAAAAISASTVVGGSSAARAEEEAVAREKARMEQDPWLMAMMRQMITEEVQRQVSVVYSLVASSAAMAAQTGNAGGVGRKGPDGRPSNGQD >ORUFI05G13860.1 pep chromosome:OR_W1943:5:14108461:14109962:1 gene:ORUFI05G13860 transcript:ORUFI05G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLHEFYMKAFRKGLGRGNRLAMSTQLIKICKTQHTIELHVNCMELKYIWWCFYLVIVLDPSNYDKNSYIDVSNNPQAPYYVLCIYYVCKMLRVNEKYKSNPDNDFNYYALLFAKNDLLDRSYV >ORUFI05G13870.1 pep chromosome:OR_W1943:5:14118561:14121208:-1 gene:ORUFI05G13870 transcript:ORUFI05G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDDACTVAAPAAETAASSSGAGGGGGGGRTKKKAAGKGGPENGKFRYRGVRQRSWGKWVAEIREPRKRSRKWLGTFATAXPPPPLRPLLPRPPHLHPAFHHQPFHHHLLQPQPPPPPPPLYYAATASTSTVTTTTTAPPPQLAAAAPAAVLVAAAVSSTAETQRRRRRRRRRRPRGASTAATRRTTRRRYCGASRTPDE >ORUFI05G13880.1 pep chromosome:OR_W1943:5:14141880:14144870:-1 gene:ORUFI05G13880 transcript:ORUFI05G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTDAPVSPRSQFALACFEELLETAVVDVASECHRIARLGLDRGVDAEEEELRAWAARDHPGAEEGGGAVGGGGGNKGVVDVFGQVLPAVAADLVDCMNCGRPVAAGRFAPHLEKCMGKGRKARTKTTRSSTAGRTRNNNVPVSQERITAITYCRSPEHLTSRQTLHSGDWQQ >ORUFI05G13880.2 pep chromosome:OR_W1943:5:14142108:14144870:-1 gene:ORUFI05G13880 transcript:ORUFI05G13880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTDAPVSPRSQFALACFEELLETAVVDVASECHRIARLGLDRGVDAEEEELRAWAARDHPGAEEGGGAVGGGGGNKGVVDVFGQVLPAVAADLVDCMNCGRPVAAGRFAPHLEKCMGKGRKARTKTTRSSTAGRTRNNNGEDHSNHILPEP >ORUFI05G13880.3 pep chromosome:OR_W1943:5:14142108:14144870:-1 gene:ORUFI05G13880 transcript:ORUFI05G13880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTDAPVSPRSQFALACFEELLETAVVDVASECHRIARLGLDRGVDAEEEELRAWAARDHPGAEEGGGAVGGGGGNKGVVDVFGQVLPAVAADLVDCMNCGRPVAAGRFAPHLEKCMGKGRKARTKTTRSSTAGRTRNNNGSAASSYSPYSSPAIANRASLPNGVTDGSASVTGEDHSNHILPEP >ORUFI05G13890.1 pep chromosome:OR_W1943:5:14160690:14161247:-1 gene:ORUFI05G13890 transcript:ORUFI05G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSSAMSNIAPVAPTRITRYDKDDKHKPVIVCALAALPIPSQPPKASQERKRQRRTFWTKCATCKEKNKFPITNLACQVVCPACTETFTAIEVARPRNTSLYCKEKLESSSSVAANSSLQSTAVTPIADVAYHPSNIQGKRKDGEVKISEAFPKPAVEKLLQARMKEILEKKLNDRQAKDEGQ >ORUFI05G13900.1 pep chromosome:OR_W1943:5:14176300:14184135:1 gene:ORUFI05G13900 transcript:ORUFI05G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGGSPGHYGGGGIHLVCEYCGHGSEYAEDDADDGFFTCRQCSAIHTSTQNTATNPFDFPMTPAHLSAHRRPTQPTPTPKPFPAPRGAATGAAAPDFDDLGEPSEPRDFATGANAWGNPEDVAARVRWRYVRGLQVILQRQLEALVERHRVGSLAASLAGTIWLRWVAASKVFDEMWVHKMLAIAASVEEGHSASKDKQSELEGDAQKSQSSYEFLFLRSLRMMLPVYSTLAVCFLACHVARETILPTDICRWAMEGKLPYVAAFTQVDKLLGSSLNDCPLSSRQLFRPTRVIGAWQLEAAAGSIAQKIGLLLPSVNFYLIAQRFLKELSLPIEKILPHACRIYEWAMPAELWLSSNPGRVPSRVCVMAILIVALRVLYGINGQGIWESIAQTENAVGSDPEASAPHSIEPDSNNSEEFDARELLCTLAASYDKINVGHDYSKEVHSYLKYCKDVVFTGMTFSLEEEHLIDIFWDMYKGKEVMLLDENAKLCQEKLRTTNGVNKRCRDGRFADTKCCSTPLGNCALQSIKSKMEENGFCYVSPRKRLVSDGYLLYTRRESSGSLIYVAHADYYILLRPFAKLAEVDVRVLHSSVLKLERRLGWIEERVGRSLNTLQNLHDEASDDERPKAGTTVTSIRICHALLYARVAFRPVHNDAVLCSVGSCTEPQIVLVRNKGILIFHIIPDYHPENPWNVLHPSNKRFEEVRGGKRVQRLAAPPAPPAALLRGSSSPPRRRCIRSRKSCTDAGMLPGPLLPLPPPPPPELVVYPSVPSLPPSATPPSSSSSIGSSIAIVVLVVITTAIVTVAIVLPPWAPPVLLIVLPTPQLIPKGFVIFAISNVSDVARGSCSCRFFTEGQCCQCQVLAGNGRALFSSRRSRQRPSSGIVELCTRGSAGDGWIDGVLGYLSCCDGAAAVCAVSAGGGAGDIGAALAATFAVAAGDERQHGDLLHLQQTASPN >ORUFI05G13900.2 pep chromosome:OR_W1943:5:14176300:14184135:1 gene:ORUFI05G13900 transcript:ORUFI05G13900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGGSPGHYGGGGIHLVCEYCGHGSEYAEDDADDGFFTCRQCSAIHTSTQNTATNPFDFPMTPAHLSAHRRPTQPTPTPKPFPAPRGAATGAAAPDFDDLGEPSEPRDFATGANAWGNPEDVAARVRWRYVRGLQVILQRQLEALVERHRVGSLAASLAGTIWLRWVAASKVFDEMWVHKMLAIAASVEEGHSASKDKQSELEGDAQKSQSSYEFLFLRSLRMMLPVYSTLAVCFLACHVARETILPTDICRWAMEGKLPYVAAFTQVDKLLGSSLNDCPLSSRQLFRPTRVIGAWQLEAAAGSIAQKIGLLLPSVNFYLIAQRFLKELSLPIEKILPHACRIYEWAMPAELWLSSNPGRVPSRVCVMAILIVALRVLYGINGQGIWESIAQTENAVGSDPEASAPHSIEPDSNNSEEFDARELLCTLAASYDKINVGHDYSKEVHSYLKYCKDVVFTGMTFSLEEEHLIDIFWDMYKGKEDENAKLCQEKLRTTNGVNKRCRDGRFADTKCCSTPLGNCALQSIKSKMEENGFCYVSPRKRLVSDGYLLYTRRESSGSLIYVAHADYYILLRPFAKLAEVDVRVLHSSVLKLERRLGWIEERVGRSLNTLQNLHDEASDDERPKAGTTVTSIRICHALLYARVAFRPVHNDAVLCSVGSCTEPQIVLVRNKGILIFHIIPDYHPENPWNVLHPSNKRFEEVRGGKRVQRLAAPPAPPAALLRGSSSPPRRRCIRSRKSCTDAGMLPGPLLPLPPPPPPELVVYPSVPSLPPSATPPSSSSSIGSSIAIVVLVVITTAIVTVAILPPWAPPVLLIVLPTPQLIPKGFVIFAISNVSDVARGSCSCRFFTEGQCCQCQVLAGNGRALFSSRRSRQRPSSGIVELCTRGSAGDGWIDGVLGYLSCCDGAAAVCAVSAGGGAGDIGAALAATFAVAAGDERQHGDLLHLQQTASPN >ORUFI05G13910.1 pep chromosome:OR_W1943:5:14186439:14191444:-1 gene:ORUFI05G13910 transcript:ORUFI05G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHNTSMTCEGDYETEQKKQAAADVLFHYSQFVMVCIGEGVRPTDLRLHLMKEVSGMATSLTKEPQQAAASPDSSEPSSSGTTK >ORUFI05G13910.2 pep chromosome:OR_W1943:5:14186439:14191444:-1 gene:ORUFI05G13910 transcript:ORUFI05G13910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRQATPRARPPPPQSVPSPRPPPSTPVSSPAAGADLHPASRGGAGVLLLPPHSLTGEHPNPSHPASASNFVSSPAACADPHPASRGGARRPPPSTASSLAD >ORUFI05G13920.1 pep chromosome:OR_W1943:5:14193568:14194443:1 gene:ORUFI05G13920 transcript:ORUFI05G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGQRDASSGTVGVDGFLSGGGFGLMLRKHGLASDLVIDATMVNAEGEAPRQGRHWGGPLLGHPRWRRRELLHVIVQNQNAQFESLYLGSRHTPWPRRRHGRHLPGARRDGKRLHRDDVDLVHALLRVLRHREAIGDAPGQGHQQAGQVLEGQVRLRTSKNMPSQVWETTWSWLLKDGAGLLILDPYGGEMVRVAPAVTSFPHRQVLYNIQYYGFWSKSGAAAAENDMGWMRGLYSEMEPYVSKNPRGGAAAIAADTGSLAVCRSWHGGIWLHGQSCHFEITSFDPEIIK >ORUFI05G13930.1 pep chromosome:OR_W1943:5:14200067:14204543:1 gene:ORUFI05G13930 transcript:ORUFI05G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSRAAAFPHISLPSPRPRVSLRPPPPAPRSLRLDRVVADTTAELGLDGGGGGGLLAAAIEHLEREPAFAGEGEDAAAAASAQLAALSPRELQLVLVYFAQEGRDAYCALEVFDWLRRANRVDGETMELMAAIACGWIERLVGGGGDVADVAALLGEMDCVGLRPGFSLVEKAVALYWDRGDRGSAVDFVRDVLRRGGVGVGVGDGGEYGGASDGERGGPVGYLAWRMMMDGDYRDAVKLIIEFKESGLQPEVYSYLIGLTALVKEQKEFSKALRKLNSSVKEGSISKLDSETMHSIENYQSELLSDGVLLSKWAIEEGSSDVLGLVHERLLSLYTCAGCGLEAEHQLWEMKLLGREPDTQLYDVVLAICASQGEVAAVRRLLAGVESTSAGRRKKSLSWLLRGYVKGGFYLEASETLMKMLDMGLYPEYLDRAAVLTALRRNIQESGSLESYMKLCKRLSETDLIGPCVIYLYVRKFKLWMMHML >ORUFI05G13940.1 pep chromosome:OR_W1943:5:14209794:14214725:1 gene:ORUFI05G13940 transcript:ORUFI05G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITQILLSAQSADGNLRVVAEGNLKQFQEQNLPNFLLSLSVELSDNEKPPESRRLAGIILKNSLDAKDSAKKELLIQQWVSLDPSIKQKIKESLLITLGSSVHDARHTSSQVIAKVASIEIPRREWQELIAKLLGNMTQQGAPAPLKQATLEALGYVCEEISPEHLEQDQVNAVLTAVVQGMNQTELSPEVRLAAVKALYNALDFAESNFANEMERNYIMKVICDTAVSKEVEIRQAAFECLVAIASTYYVHLDPYMQTIFNLTANAVKGDEEAVALQAIEFWSTICDEEIELQEEYEGSDDANSTVNYRFIEKALPSLVPMLLETLLKQEEDQDQDDNVWNISMSGGTCLGLIARTVGDAIVPLVMPFVEGNITKPDWHCREAATFAFGSILEGPSVEKLAPLVQAGLDFLLNTTKDPNSQVRDTTAWTLGRVFELLHSPASANPIITSANLTRIMTVLLESSKDVPNVAEKVCGAIYFLAQGYEDAESISSVLTPFLPNLIAALLSAADRADTTHFRLRASAYEALNEIVRVSNISETSGIIGQLLQEIMRRLNLTFDLHILSSGDKEKQSDLQALLCGVLQVIIQKLSSSDAKSIIAQTADQLMFLFLHVFACHSSTVHEEAMLAIGALAYATGTDFVKYMPEFFKYLEAGLQNYEEYQVCSISVGVVGDICRALEDKILPFCDGIMTVLLKDLSNSMLNRSVKPPIFSCFGDIALAIGDNFEKYLPYAMPMLQGAAELLAVLDHTDEDMVDYGNQLRRGIFEAYSGILQGIKGAKAQLMIPYASHLLQFTEAVYKDRSRDESVTKAAVAVLGDLADTLGSSSKDLFKSNLFHVEFLRECHDLDDEVRDTASWAQGMINQALVS >ORUFI05G13950.1 pep chromosome:OR_W1943:5:14215258:14217943:-1 gene:ORUFI05G13950 transcript:ORUFI05G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMSRVAVAVLLLLCLQLQLGISGGGGGGHGARRGPHLCSIIRRLIHAKKSSSSSQQQQQQRSRQAGSKAGWPSTSSTPSNPFGLPMLLPPPPPLKDWPPWLDMPPVQSPSSSPSPSPSPAPSPASSAAVAEHAAPPRRGEEHARPRSIALPPASSSGDAGETSRSEVTDGSATRRGGGGGKTNYVLVAAAGASVLLAASAAAFAACYRSSKVVRSVRPWATGLSGQLQRAFVTGVPALRRAELEAACEDFSNVIGSLPEYTMYKGTLSSGVEIAVVSTTKTSPKDWSKKCEAHFRKKITSLSRVNHKNFVNLLGYCEEEQPFTRMMVFEYAPNGTLFEHLHARDEGHLDWPTRLRVAVGVAYCLEHMHQLAPPEIVRTLDASTVYLTDDFAAKISDVGFCEEMAAAAAAPAMADRESVVHGYGMLLLEMMAGRLAASEGGLVQGWAAALLRGERRLRDVMDPALRGAFHAETVDRLDAVVRSCADRDPRRRPSMADVAARLREITAMPPDAATPKVSPLWWAELEIISTEAA >ORUFI05G13960.1 pep chromosome:OR_W1943:5:14230504:14231274:-1 gene:ORUFI05G13960 transcript:ORUFI05G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPWVRRGRATGRLTQSPSFSPSCVASPPSSGGGGGGGGGKADHQGIGSVLDDDDAAPAPPGPGSRNVLHRVRSSTKLRACKSFAVAAEAGAAGGAGAPAAAVAGERRVVLYFTSLRAVRGTFEDCRDVRAILRGLRVAVDERDVSMDAAYLAELRALMRRDRPALPQLFVGGRLVGDADEVRLLHESGELHRVVAGAARAAATPCASCGGTRFVPCGTCDGSHRRYSEKTGGFRVCTACNENGLVRCAACCSGG >ORUFI05G13970.1 pep chromosome:OR_W1943:5:14245258:14246734:1 gene:ORUFI05G13970 transcript:ORUFI05G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSERGGMPATVWEKVDDDRPGVVIEVNFDQWRGSGLCSGRSRGLARSRSCDKIRTKLSEELRSRTIRKSCDKVEIKVTHGGQTPCREVIATGFAKSTASVEIRKEIGIKTYFVCSV >ORUFI05G13980.1 pep chromosome:OR_W1943:5:14248033:14248299:1 gene:ORUFI05G13980 transcript:ORUFI05G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAVMVQVTAARLRLRVRGKATETRRIRTVRDPQATWCASTGRQTRGDAASIRRRGARVERRLHSLSSGPAGARAPLATWRRRVRAV >ORUFI05G13990.1 pep chromosome:OR_W1943:5:14248668:14249370:1 gene:ORUFI05G13990 transcript:ORUFI05G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAGVAPRLGRRCAVMREVVPELEGRLCRRSWAASARRQGRPGSAARPRQERGCSRPTSGGWTGWHRRRRGKAQAVAAGALLMRDLQHLNTPSTQPDGDAQQQCRRIEHAIGLVVEAAAPAVETASSLVVEAAAERMQQRAAVAASMMVDEPDSCGDGGSGEQCWEAGGGDSFDDDQRAGGSGFDGDGWRAALGSGCQQWGLDRAKGNFVFLKFLSPLFDKK >ORUFI05G14000.1 pep chromosome:OR_W1943:5:14264508:14286722:1 gene:ORUFI05G14000 transcript:ORUFI05G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIGSRRLTVLREFRPHGLAAEEADGEGGPGERPPQDYDYFLFDPALAASPAPEPGEEAASSSSGADGDHELFIRGNRIIWSAGSRVHKRYLSPNTVIMARLPVFHYHMLFHQYGLFLLAFYFRNLLMEAIWLDMMKDFDEKTIWTSDIVPLMASYHKGKFQHSVWQIDGTTYQEEINDNAVPPIPCDISMHKFAFRKIWQGKCSQSAASKVFLATDIDGTPIICFLLHEQKILLAVRFQVDENNGESFGDIKPHMSWNIPALAAAPVVVTRPRAWAGVLPFTDILILTPDNDLLLYSGKQCLCRYTLPTELGKGIFSNYELNSGVTEFYSDMEITSITDAVEGRINVTCSNGLMLRCSLRKSPSSSLVGDCITAMAEGLQSCFYSHFVSLLWGDSDAAYLCSSSHVDSEWESFSYEVEKICAKYGQISPAKSSESPCTAWDFLINSKHHAKYGKQSRTSLPMSYNTSSMSFHSFPQDGNSADVSFYIRFISETLDTLHALYENLKLNILRKQDLASLASLLCRVASSLGENSYVDYYCRDFPDNLVEFHSLSSATALRAPPCLFRWLENCLRHGCDLKTSDDIPALMCKEKSSAALDKCRESPPDDWPAPAYVLVGRDDLAMARMGSGRRENGSVEDGMEHIFTSTTQLRYGRDLRLNEVRRLLCSARPVAIQTPNNPSVSDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEALVFPKLVLAGRLPAQQNATVNLDLSTRSVSEFKSWAEFHNGVAAGLRLAPFQEHDITRLGLLLGLAASNRGTMHPAISKMLYFHVPSRHPSSTPELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGLVALGRGSNAFGFMDTYLDRLFEYIGSKEVYHEKHLNAAIAADEQSGNTGQMMEGAQINVDVTAPGAIIALALIFLKAESEEIAARLSVPNSHFDLQYVRPDFVMLRIVARNLILWNRIQPTKDWVESQVPSFVNFGVSNTSQEAMDSDELDSEALFQAYVNIVTGACIALGLKYAGSRNSDAQELLYAYAVHFLNEIKHISIQTASILPKGLLQHVDRGTLELCLHLIVLSLSLVMAGSGHLQTFRLLRYLRGRSSAEGQVSLAIGFLFLGGGTHTFSTSNSAVAALLITLYPQPRWIQTVDVDTGLPVYCPLEVTVAETEYYDETNYCEVTPCLLPERSVLKNIRVCGPRYWSQVITLTPEDKPWWKSGDRTDPFNGGVLYIKRKVGSCSYSDDPIGCQSLLSRAMHEVCDTPSTSCSNQANSATRSSLRVDQRNGSFEEFCSQILYECMSKDRPALLQVYISFYTIIETMWEHLKIGHFPFSDSLFLSSLKVASAYNEALIDGRITTGGIIQSTFLESLMKRIEYIFAELPNLHDSFINYLNKGKWPDAQNEAVLLSWYLQWYSIPPPHIVSSAIEKVKPRTRTSLSMLPLLRLLLPTTHLVGLMEIEKLHMTHGHEGLTLH >ORUFI05G14000.2 pep chromosome:OR_W1943:5:14264508:14286722:1 gene:ORUFI05G14000 transcript:ORUFI05G14000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIGSRRLTVLREFRPHGLAAEEADGEGGPGERPPQDYDYFLFDPALAASPAPEPGEEAASSSSGADGDHELFIRGNRIIWSAGSRVHKRYLSPNTVIMARLPVFHYHMLFHQYGLFLLAFYFRNLLMEAIWLDMMKDFDEKTIWTSDIVPLMASYHKGKFQHSVWQIDGTTYQEEINDNAVPPIPCDISMHKFAFRKIWQGKCSQSAASKVFLATDIDGTPIICFLLHEQKILLAVRFQVDENNGESFGDIKPHMSWNIPALAAAPVVVTRPRAWAGVLPFTDILILTPDNDLLLYSGKQCLCRYTLPTELGKGIFSNYELNSGVTEFYSDMEITSITDAVEGRINVTCSNGLMLRCSLRKSPSSSLVGDCITAMAEGLQSCFYSHFVSLLWGDSDAAYLCSSSHVDSEWESFSYEVEKICAKYGQISPAKSSESPCTAWDFLINSKHHAKYGKQSRTSLPMSYNTSSMSFHSFPQDGNSADVSFYIRFISETLDTLHALYENLKLNILRKQDLASLASLLCRVASSLGENSYVDYYCRDFPDNLVEFHSLSSATALRAPPCLFRWLENCLRHGCDLKTSDDIPALMCKEKSSAALDKCRESPPDDWPAPAYVLVGRDDLAMARMGSGRRENGFWNNDNLTSISVPYMLHLQPVTVLTTALDVPPSEILNSEDTDSVYRSVEDGMEHIFTSTTQLRYGRDLRLNEVRRLLCSARPVAIQTPNNPSVSDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEALVFPKLVLAGRLPAQQNATVNLDLSTRSVSEFKSWAEFHNGVAAGLRLAPFQEHDITRLGLLLGLAASNRGTMHPAISKMLYFHVPSRHPSSTPELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGLVALGRGSNAFGFMDTYLDRLFEYIGSKEVYHEKHLNAAIAADEQSGNTGQMMEGAQINVDVTAPGAIIALALIFLKAESEEIAARLSVPNSHFDLQYVRPDFVMLRIVARNLILWNRIQPTKDWVESQVPSFVNFGVSNTSQEAMDSDELDSEALFQAYVNIVTGACIALGLKYAGSRNSDAQELLYAYAVHFLNEIKHISIQTASILPKGLLQHVDRGTLELCLHLIVLSLSLVMAGSGHLQTFRLLRYLRGRSSAEGQVSLAIGFLFLGGGTHTFSTSNSAVAALLITLYPQPRWIQTVDVDTGLPVYCPLEVTVAETEYYDETNYCEVTPCLLPERSVLKNIRVCGPRYWSQVITLTPEDKPWWKSGDRTDPFNGGVLYIKRKVGSCSYSDDPIGCQSLLSRAMHEVCDTPSTSCSNQANSATRSSLRVDQRNGSFEEFCSQILYECMSKDRPALLQVYISFYTIIETMWEHLKIGHFPFSDSLFLSSLKVASAYNEALIDGRITTGGIIQSTFLESLMKRIEYIFAELPNLHDSFINYLNKGKWPDAQNEAVLLSWYLQWYSIPPPHIVSSAIEKVKPRTRTSLSMLPLLRLLLPTTHLVGLMEIEKLHMTHGHEGLTLH >ORUFI05G14010.1 pep chromosome:OR_W1943:5:14290573:14294095:-1 gene:ORUFI05G14010 transcript:ORUFI05G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSESLNAVVSEENAFSASRPKKRKHYYKNTKTYFINNNDNTRTSQAQKHRRRKGRVPPSPPNRRLHHRDTGPPLAPLPLDPTEGRAPSLPATMGGGGGAASPPVGEGEVERRLRRWGRGVAPLAPSF >ORUFI05G14020.1 pep chromosome:OR_W1943:5:14294287:14298441:-1 gene:ORUFI05G14020 transcript:ORUFI05G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPRRKGGAGPLVGAPSRRAQVAAVFALALLLGVSVLYDSAHIAASLRRHGVGGGGSSGGGGGGGGDGARAYTNTRLSATTEEAEAAEVRSPPAQGVESAVEATDRGEAPPEQPVAADSGASSAETPPSLLEQVTETPPPSPSSSSSSAAAAEAQVGGDHGGESCDVYKGRWVYDEANAPLYKESACEFLTEQLLLEKLRNKRLMFVGDSLNRNQWESMVCLVQSEAPWEKKSLVKNDSLNVFRLEEYNATIEFYWSPFLVESNSDDPNMHSIVDRIIKPTSIAKHAANWEGVDYLIFNTYIWWMNTPEMKILSEGWGKPDAIKCFSETQPAINYTKKLEVGTDWDLFSTAHHVTKAMKRVPVHFINITALSEIRKDAHTSVNTLRQGKLLTKEQKANPRKFADCIHWCLPGLPDTWNEFIYGHIVSSPQRRPVEPIENQPQR >ORUFI05G14030.1 pep chromosome:OR_W1943:5:14324188:14324497:-1 gene:ORUFI05G14030 transcript:ORUFI05G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSTSDDGRGSGGFVAAHVREKRAGGEGGGVVRRPHEELGTVAWPQERGGGGGTPATTPARDGQIRCCQSLELWGLGDGDDDRGLRRRR >ORUFI05G14040.1 pep chromosome:OR_W1943:5:14331407:14341405:1 gene:ORUFI05G14040 transcript:ORUFI05G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQMAATVEEQMMVKAIREELPWESLPKRIQAALVSKDDWHRRIVDYCIRKRLPWTSCFARKICKEGEYYEELMRYLRRNLALYPYHLADHICRVMRISPFKYYCDVLFEAMKNEQPYDSIPNFSAADSLRITGVGRNEFIDIMNKCRSKKIMWKLSKSIAKELLPALPADLAIEPWWGVRFVNFTLEEFKRLSEAEASAIDKISKDEDNSYVLFDPKVINGLYKRGMVYFDVAVYPEDRFRVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSENATVAELAKTLQADLYQLQAAASFACRLGWAVKLMDADSILRDSNGSTIPSNILSDDDEGSLTSINSERSGHALLTSDSDGPRRISGASYVGFIVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIADLCKDLASLEGKRFEGVLQEFANHAFSLRCFLECLLSGGTSPDEAIEPNSQEFCLQENFSKTLSKESIDEGISNVVKSNGGSLETVDTADTDHHNELSQADHPMVDSDVADASTSSPSSIVSESKESTDKNDSDTSKTPLPDGSTDSSSLSKTKRSYRINILRCESLASLSATTLERLLVRDYDIMVSMIPLPYSSVLLPSTAGLVHFGPPSYSSMTPWMKLALYTSGSCGPVSAVFMKGHRLRMLPEPLASCEKALIWSWDQSVVGGLGGKFEGNLVKGSLLLHYLNSMTKHSAVIVQPLSLNDLDETGNLVTVDVPLPLKNADGSIASTVASMDLPEEKILNLSSLLYNLSSRVELGTVGYLSLVRLHRISKSNEIFSKDENYEWIPLSLEFGIPLFNPKLCEKICERVIESHMLQKDDLTEHYEAMQNVRKRLRELCAEYQATGPTARLFNQRGSSKNSPRQLINIVSGRWSPFHDTVAPTTGGSPRENDRLKVARRQKCFTEVLSFDGSILRSYALAPVYEAATRSVTEDQPTTPVAKPEPDDADTKDVVLPGVNLIFDGAEIHPFDIGACLQARQPLSLIAEASAASLAMK >ORUFI05G14050.1 pep chromosome:OR_W1943:5:14358352:14359572:1 gene:ORUFI05G14050 transcript:ORUFI05G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHILGKARQFQSMVALIQEMGKEGALCMDAFKIAIKSFAAAGEIKNAVGVFEMMRTHGFDDGVESFNCLLVALAQEGLGREANQVFDRMRDRYAPDLRSYTALMLAWCNARNLVEAGRVWNEMLENGLKPDVVVHNTMIEGLLRGQRRPEAVKMFELMKAKGPAPNVWTYTMLIRDHCKRGKMDMAMRCFEEMQDVGCQPDVATYTCLLVGYGNAKRMDRVTALLEEMTQKGCPPDGRTYNALIKLLTNRNMPDDAARIYKKMIKKGLEPTIHTYNMMMKSYFLGGRNYMMGCAVWEEMHRKGICPDVNSYTVFINGHIRHGRPEEACKYIEEMIQKGMKTPQIDYNKFAADFSKAGKPDILYELAQKVKFTGKFDASNVFHQWAERMKKRVKRNVPNQTESRTF >ORUFI05G14060.1 pep chromosome:OR_W1943:5:14362247:14365188:-1 gene:ORUFI05G14060 transcript:ORUFI05G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHELSSSSSSSEPSSPSFSSSSSSSSSSSLLRQCRICHEEEDEWCAAIESPCGCSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFESDYTIPPKKVQVVETAVTVRDEEMLPEELSQEDQEQYAGSEAQTGNGDCSSWCRSLTITFTIMLLVWHLIAVVTIEAADHCAFSLVTIFLLRAAGILLPFYAIMRMVRMIQQGQRQFRLQLLQDQRRRNASNLHSMSGQEQHQQLLEKPKI >ORUFI05G14070.1 pep chromosome:OR_W1943:5:14371391:14372652:1 gene:ORUFI05G14070 transcript:ORUFI05G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTGGGGGGSPRRVVVAVDESEESMHALSWCLSNVVSAAAKSPAAAPPPAVVLVHARPARPLYYPVIDGGGYVLTQEVMDSMDRYMATAADTVVAKARDICTAFPNVKVETRVEKGDPRDVICGAVEKAGADMVVMGSHGYGFLQRTLLGSVSNHCVQHCKCPVVVVKRPGANAKAS >ORUFI05G14080.1 pep chromosome:OR_W1943:5:14373153:14374258:-1 gene:ORUFI05G14080 transcript:ORUFI05G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNQRYSRKHNKKSGEAESEE >ORUFI05G14090.1 pep chromosome:OR_W1943:5:14382677:14385482:1 gene:ORUFI05G14090 transcript:ORUFI05G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKLRHVCLTVVLFSIGISSCRGQGGGGAGGGGAVVPGTQDAIQIVAQAALCFDNRQVINGCLQSMGINVTTTTGGGGAATPAPAPAAANGSAAATMCSAPCFGQMTMMMGCVNGIFGNFAGYSPGLMQGVQAVFQMACGNVNGQGGAGAGAGATGGGGGGAGASGGSGGGGGGAGGATGGGAGSGNASPNSGSHVAVKRPGYPTSGAAGPTVSLTRHIDIDFYNPHTSADFM >ORUFI05G14100.1 pep chromosome:OR_W1943:5:14386446:14388786:1 gene:ORUFI05G14100 transcript:ORUFI05G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLHPSPRSVCLAFAIAVCLLLPCCFGSKAAVEMFEKACHCFDDHNVYSECKEELRLGVEGAFHVGKESVDEYCGGPCLMETKMALQCVEEVAHDGFRFYSGASLPAVKAALDTGCSYTPERGTFEIRERKQCGDEYYHYSHHEQTTEQQYGGYYGSEEGEYPTTTSTLPASDYCYGAGAGSQGLRYSLLQMLMLFSASMVLLLLVI >ORUFI05G14110.1 pep chromosome:OR_W1943:5:14397241:14397564:1 gene:ORUFI05G14110 transcript:ORUFI05G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADRRLFAVAMALLVLVATTTGAAAARDVRPAAVERKTAAVMRHDVPSGPSPVHNGAPTPPAAADDPTVAVMERLVPTGSNRLHNMPSPLQGRTPTKTTVNKLR >ORUFI05G14120.1 pep chromosome:OR_W1943:5:14413053:14413394:1 gene:ORUFI05G14120 transcript:ORUFI05G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAAAANHGRCSLLLFAAVAMVLLVLVATTTAAAARDVRPAAVEKKKMAAVMRHDVPSGPSPVHNGAPTPPAAADDPTVAVTERLVPTGSNPLHNMSSPLQGRTPTKKTVN >ORUFI05G14130.1 pep chromosome:OR_W1943:5:14433094:14433501:1 gene:ORUFI05G14130 transcript:ORUFI05G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHAQRRRCLLFMALVFAVASAAAAARPQPSFSGTADGDDGNVLVAAAGSRDNRVGIKHDVPGGPNPIHNDHPPPHHPPSSSRVTIMHDVPSGSNPIHHDLPPPHPPSSSRVTIMHDVPSGQNPVHNHQPSPP >ORUFI05G14140.1 pep chromosome:OR_W1943:5:14435296:14435634:1 gene:ORUFI05G14140 transcript:ORUFI05G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADRRLFAVAMALLVLVSMMTAAAAARDIRRPAAVERKTAAVMSHDVPSGPSPVHNGALTPPAAADDPTVAVMERLVPTGSNPLHNMPSPLQGRTPTKKTAN >ORUFI05G14150.1 pep chromosome:OR_W1943:5:14440632:14442167:-1 gene:ORUFI05G14150 transcript:ORUFI05G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPPPPSPVAKRARVSPFVFLLVLFLLLFSFLYGEDLKELLGSQAQARPRLHFNAAGAGGDGIELPAAAAAAATTEGRKARRWRGRLPFAANGDGEEEEECDVFSGRWVRDEAARPLYREADCPYIPAQLACEAHGRPETAYQRWRWQPHGCALPAFDAAAMLDRLRGKRVMFVGDSLGRGQFTSLVCLLLAAVPDPAARSFATSPDQQRSVFTAAAYNATVEFYWAPFLLQSNADNAAVHRISDRMVRRGSIGHHGRHWEGADVIVFNTYLWWCTGLQFRILKASNDTNGETRREDGPFDAAAGNASTTTWVSTEEAYAMAFREMLQWAREHMDFATTRVFFTSMSPTHGKSQDWGGGEPGGNCYGETEMIGDAAYWGSDSRRGVMRAIREVLDGDGADVPVTFLNVTQLSLYRKDAHTSVYKKQWTPPTPEQLADPKTYADCVHWCLPGLQDTWNELLYTKLFYP >ORUFI05G14160.1 pep chromosome:OR_W1943:5:14461892:14462149:-1 gene:ORUFI05G14160 transcript:ORUFI05G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHRPYRSDLDLRPPPPPPSSASFPHSNGYYLPSSSXFSDPEMKRRRRVASYKAYSVEGKMKASLRRGLRWFKGKCSEIFHGW >ORUFI05G14170.1 pep chromosome:OR_W1943:5:14467072:14467475:-1 gene:ORUFI05G14170 transcript:ORUFI05G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEFYVVELDCWSSSSSNRGGRARALRQKKELELELGTMEDAKLDIGEDEDRNLQDQLIQWFTVVLGGESSKREKLEKKSGLKVKYVAKISGTN >ORUFI05G14180.1 pep chromosome:OR_W1943:5:14470865:14477074:1 gene:ORUFI05G14180 transcript:ORUFI05G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSKTQTQEDSEFNQCNEERSLCLHSFSDLSHVSAATFMYLLKDCYLYGTDKATPKFKILQLQVKRALNNDPQPGPFTYVVQCMYIVPLLGKTHAEGFSHMLISSLRHLKSVESVQKDFLDAKHLAARLILDIVASIVPHEERILIKLLEAYDIELRDMADALYGSELGDEDLVKAKEHLKQYVQCLMESESYVTAVNLITRFSIQCYDESFLTKLIENNHLEAAEKWAVFMGNEMICLIIQTYLDIKMLKRANELVKQHDLTEKFPDVNYLYKESVLKKLAEKGCWDIAEVRAKKETKLMEYLVYLAMEAGYMEKVEELCQRYSLEGYVNSLVPEEICCGSDYLDLKELILEDIIWVDEIDGLLNSISYIEACKIIGVDCEWKPNFEKGSKPNKVSIIQIASDKKAFIFDLIKLYEDDPKVLDSCFRRIMCSSNILKLELKCFQSYEMLLDIQKLFKGTTGGLSGLSKKILGAGLNKTRRNSNWEQRPLTQNEKEYAALDAVVLVHIFHHVKGQPQFGVTEGCKVEWKSHIVSRVKNSRSTLRF >ORUFI05G14190.1 pep chromosome:OR_W1943:5:14480096:14484168:1 gene:ORUFI05G14190 transcript:ORUFI05G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDVATSPAPAPPSASSQQSHHATSARGLLCHAAAGASAGVVAATFVCPLDVIKTRFQVHGWPKLATGTIGGSVIIGSLQQIAQREGFRGMYRGLSPTILALLPNWAVYFTVYEQLKSLLSSNDRSHHLSLGANVIAASCAGGATTIATNPLWVVKTRFQTQGIRAGVIPYKGTLAALKRIAHEEGIRGLYSGLVPALAGISHVAIQFPAYEKIKAYLAERDNTTVEALSFGDVAVASSLAKVAASTLTYPHEVVRSRLQEQGAHSKARYTGVMDCIRKVYHIEGLTGFYRGCATNLLRTTPAAVITFTSFEMIHRFLLDVFPPLPEQQPQPLKH >ORUFI05G14200.1 pep chromosome:OR_W1943:5:14485729:14485977:1 gene:ORUFI05G14200 transcript:ORUFI05G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKSSHIEVELARSHEEERLRLEREAKSAKKRTTCIVEEYERVILLIGSLTCLLFSSLAVDHWSPLRRCHALWMGSLVRS >ORUFI05G14210.1 pep chromosome:OR_W1943:5:14495253:14501050:1 gene:ORUFI05G14210 transcript:ORUFI05G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARTGEAAVVVVGVAEQEAAVVEQQREEEEQAAAVGAAAVLLPVGMVMVQVFTAVTLLLSELALGAGAARPLVLLVYRNLVGAAAVAPLAVLFERVLLATGMYYYGLRDTNAAYSANFLNLIPIVTFIIAVIFRAEKLAIASCAGKMKVLGTVLSVSGTMVVSLFRGQLLHLWPTHLLRLGSHAAAVAPPSSSSSSPAGTTISGTLLLCGSCLSYALWFIVQAKLAKVFPSKYWATVLTCLSGSLQALVAGVLTTGDWSEWKLSWDLRLLAVAYSGVFNTGITFVLISWAITRRGPIYPSIVIGALLIIVGLYAFLWGKGQELQLKAAGVKQERHKAAGDDDPEI >ORUFI05G14220.1 pep chromosome:OR_W1943:5:14499703:14500212:-1 gene:ORUFI05G14220 transcript:ORUFI05G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPTMLLLRLRLPGDGSWWPWTRARRARTRSPGASPNVVSSSSGDTLVPLHARRPRPVYATMDSSGYMMTSDVMASMDKYAAVSFQVRQTWGGAGDCNGIGHGSVGGGDREMEDMTCGPKDILELSRAFSLLFSQKLLF >ORUFI05G14230.1 pep chromosome:OR_W1943:5:14506303:14512621:1 gene:ORUFI05G14230 transcript:ORUFI05G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSTGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIQYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKKKKTGGISFNSMIPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDFIDVIEGNRKYIKCVYVYNKIDVVGIDDVDKLARQPNSLLNLDRLLARMWEEMGLVRVYTKPQGQQPDFTDPVVLSTDRGGCTVEDFCNHIHRSLVKDVKYVLVWGTSARHYPQHCGLGHVLQDEDVVQIVKKKEKEDGGRGRFKSHTNAPARISDREKKAPLKT >ORUFI05G14240.1 pep chromosome:OR_W1943:5:14513248:14516263:1 gene:ORUFI05G14240 transcript:ORUFI05G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASFHHPASPQPAIPGAAAAAVAACAAMAVSYVAVLYAPTALLRLPPPTSLHVFLHRRFLCAAFSSSASLLATASLLRVWSIGDSSVVLAVFGIRRDHLLQAVAIPLLLTSLVYAGSFVARLWLLANSCGGGYEEPEIGWAQRLAHRIRASVGDVMVWRNCVVAPITEELVFRACMVPLLLCGGFKIYNIIFLSPVFFSLGVQLGYTVIFGWYAVFLFTRTGNIVSPIVAHIFCNIMGLPVFSSPQKRGVASVAFLAGSLSFFWLLFPATSPKLYNSRIDQCSCWHGYCRWS >ORUFI05G14240.2 pep chromosome:OR_W1943:5:14513248:14516263:1 gene:ORUFI05G14240 transcript:ORUFI05G14240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASFHHPASPQPAIPGAAAAAVAACAAMAVSYVAVLYAPTALLRLPPPTSLHVFLHRRFLCAAFSSSASLLATASLLRVWSIGDSSVVLAVFGIRRDHLLQAVAIPLLLTSLVYAGSFVARLWLLANSCGGGYEEPEIGWAQRLAHRIRASVGDVMVWRNCVVAPITEELVFRACMVPLLLCGGFKIYNIIFLSPVFFSLGVQLGYTVIFGWYAVFLFTRTGVASVAFLAGSLSFFWLLFPATSPKLYNSRIDQCSCWHGYCRWS >ORUFI05G14240.3 pep chromosome:OR_W1943:5:14513248:14516263:1 gene:ORUFI05G14240 transcript:ORUFI05G14240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASFHHPASPQPAIPGAAAAAVAACAAMAVSYVAVLYAPTALLRLPPPTSLHVFLHRRFLCAAFSSSASLLATASLLRVWSIGDSSVVLAVFGIRRDHLLQAVAIPLLLTSLVYAGSFVARLWLLANSCGGGYEEPEIGWAQRLAHRIRASVGDVMVWRNCVVAPITEELVFRACMVPLLLCGGFKIYNIIFLSPVFFSLGVQLGYTVIFGWSGISSISGWFIVLLLAPFPCDEPKTI >ORUFI05G14250.1 pep chromosome:OR_W1943:5:14515725:14522413:-1 gene:ORUFI05G14250 transcript:ORUFI05G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLHDATTSPSDPDDLGGGGEEEEERLASKPLLSSPSTYPSAGTEEGVEELELDRRYAPYARRDAYGAMGRGPLGAAGAGRLAVGAAVLFPLRLAAGVLVLVAYYLVCRVCTLRVEEEEREGGGGGAAGEVEGDGYARLEGWRREGVVRCGRALARAMLFVFGFYWIREYDCRFPDAEDEHQEQSKELGRPGAVVSNHVSYVDILYHMSSSFPSFVAKRSVARLPMVGLISKCLGCIFVQRESKTSDFKGVSGAVTERIQRAHQQKNSPMMLLFPEGTTTNGDYLLPFKTGAFLAKAPVKPVILRYPYKRFSPAWDSMSGARHVFLLLCQFVNNLEVIHLPVYYPSEQEKEDPKLYANNVRKLMAVEGNLILSDLGLAEKRVYHAALNGKRSQKKDNEPARNATDATPGTQSTR >ORUFI05G14250.2 pep chromosome:OR_W1943:5:14518352:14522413:-1 gene:ORUFI05G14250 transcript:ORUFI05G14250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLHDATTSPSDPDDLGGGGEEEEERLASKPLLSSPSTYPSAGTEEGVEELELDRRYAPYARRDAYGAMGRGPLGAAGAGRLAVGAAVLFPLRLAAGVLVLVAYYLVCRVCTLRVEEEEREGGGGGAAGEVEGDGYARLEGWRREGVVRCGRALARAMLFVFGFYWIREYDCRFPDAEDEHQEQSKELGRPGAVVSNHVSYVDILYHMSSSFPSFVAKRSVARLPMVGLISKCLGCIFVQRESKTSDFKGVSGAVTERIQRAHQQKNSPMMLLFPEGTTTNGDYLLPFKTGAFLAKAPVKPVILRYPYKRFSPAWDSMSGARHVFLLLCQFVNNLEVIHLPVYYPSEQEKEDPKLYANNVRKLMAVEGNLILSDLGLAEKRVYHAALNGNNSLPRALHQKDD >ORUFI05G14260.1 pep chromosome:OR_W1943:5:14525611:14527176:-1 gene:ORUFI05G14260 transcript:ORUFI05G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKHATMPARSVCGGRRKERMIIHMHPWYSLRMILRWQWFSRKIKPDNLGILSHRTFQFGHSGAQCNARKQKQYLLLFSCKYGVIASYIR >ORUFI05G14260.2 pep chromosome:OR_W1943:5:14526582:14527176:-1 gene:ORUFI05G14260 transcript:ORUFI05G14260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKHATMPARSVCGGRRKERMIIHMHPWYSLRMILRWQWFSRKIKPDNLGILSHRTFQFGHSGGTILLFSIFWLNFLICM >ORUFI05G14270.1 pep chromosome:OR_W1943:5:14527319:14529129:1 gene:ORUFI05G14270 transcript:ORUFI05G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARIGEEEVEAEAVRPCVRRGGGATQVRRGGGGRLGFWCGVATSAPFIPEPTIARLRKEGIEEEMACARFRRGSSEAGGKELV >ORUFI05G14280.1 pep chromosome:OR_W1943:5:14529669:14534162:-1 gene:ORUFI05G14280 transcript:ORUFI05G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAWGRSSSSSSSAAAAARRLQARYDLYMGFDDADAAGVEEVEARGGGEAYNCPFCGEDFDFVAFCCHVDDEHAVEAKSGVYATSSHLTPIVTVRCLRRYQQISTVTNQDQRKLAGFRSWNFGLFGWSYKIGCFGVWVGMSHLCYKGWSGLDRSLDNAAWKRRVRKISSGSHSLLSLLRKDLRDGSLQSFLGGSSYVSNPPAAAPDPFLSSLICSLPVAEPSKDLHSDSSDNNFLLNKFPDDKTAERAEPSLSEKDQKERAQRSKFVRGLVLSTIFEDDNL >ORUFI05G14280.2 pep chromosome:OR_W1943:5:14529669:14534162:-1 gene:ORUFI05G14280 transcript:ORUFI05G14280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAWGRSSSSSSSAAAAARRLQARYDLYMGFDDADAAGVEEVEARGGGEAYNCPFCGEDFDFVAFCCHVDDEHAVEAKSGAKSPKNIFRVPFFVVFVEKGLKRWQSAVLSWWIILYDKTAERYVTSSFALSAASLRSLFATIPLAEPSLSEKDQKERAQRSKFVRGLVLSTIFEDDNL >ORUFI05G14280.3 pep chromosome:OR_W1943:5:14529669:14534162:-1 gene:ORUFI05G14280 transcript:ORUFI05G14280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAWGRSSSSSSSAAAAARRLQARYDLYMGFDDADAAGVEEVEARGGGEAYNCPFCGEDFDFVAFCCHVDDEHAVEAKSGAKSPKNIFRVPFFVVFVEKGLKRWQSAVLSWWIILYDKTAERAEPSLSEKDQKERAQRSKFVRGLVLSTIFEDDNL >ORUFI05G14290.1 pep chromosome:OR_W1943:5:14535434:14535634:-1 gene:ORUFI05G14290 transcript:ORUFI05G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGFVRDEAKGGKSGGVAPLRRPAAHVPCSFTARSASSKNGGGGVLATRSLRKGTDTGERWQEVL >ORUFI05G14300.1 pep chromosome:OR_W1943:5:14538989:14539507:-1 gene:ORUFI05G14300 transcript:ORUFI05G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGATYKGGIKAYWKRRGYGRLDPASSAHRRPRLPTAELGDGRGAAGGAGRWRRGWRVRRRGLGRRILRALSPRRLLARLRDAYVRGMLRLASSAAVAGGGSALYGGPAGGADPFGRPRPLREYDEKALVEIYRSILARGGGGGGGVVPVAGDAAAVVAVARLPTVAGA >ORUFI05G14310.1 pep chromosome:OR_W1943:5:14546929:14550526:-1 gene:ORUFI05G14310 transcript:ORUFI05G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDEKADAMEIDGQHQQVANTAVPDGFNADYLRIYYGKLFPHVDFFKWLSYGNDGKHPGCDQSYVGRREFSFTLENDIYVRFQSFDSVAEMENSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFVPVERELIFDIMILVSITYCGYIVVVVVSIAGLNNEQRAAIADYFRVYKVGGENTMKKVSLTGAVLHPFLARSYTDVLKGFFEDKLLLSQQLFASEERYQKILDLIPDENVASELHDKWQGNRRSSISKEDVNATRWEQLKSTLQSGKHKGLRRCIEEIVFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPNNCDDFDPTTVPTLSQGIVSATIAESLQGGIRKLIQCQAPAVQEYLDLVKFTQLPADLTNLVPHEKLLLHETNH >ORUFI05G14310.2 pep chromosome:OR_W1943:5:14546929:14550526:-1 gene:ORUFI05G14310 transcript:ORUFI05G14310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDEKADAMEIDGQHQQVANTAVPDGFNADYLRIYYGKLFPHVDFFKWLSYGNDGKHPGCDQSYVGRREFSFTLENDIYVRFQSFDSVAEMENSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFVPVERELIFDIMILVSITYCGYIVVVVVSIAGFVIVEQESMHDFGDPKMLWYFPVRLNNEQRAAIADYFRVYKVGGENTMKKVSLTGAVLHPFLARSYTDVLKGFFEDKLLLSQQLFASEERYQKILDLIPDENVASELHDKWQGNRRSSISKEDVNATRWEQLKSTLQSGKHKGLRRCIEEIVFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPNNCDDFDPTTVPTLSQGIVSATIAESLQGGIRKLIQCQAPAVQEYLDLVKFTQLPADLTNLVPHEKLLLHETNH >ORUFI05G14320.1 pep chromosome:OR_W1943:5:14554597:14558279:1 gene:ORUFI05G14320 transcript:ORUFI05G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVAASACTLRPGPPGAVRSKSNGPDRLSHLPTRPLSAPRLHLRRSPEPTHRRLPLMASSPSAAATGSGGSFPEMNSVDDFAAVTAPRGGGRVSVVGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHSAPIFFERGIAIEATKVRDSVATVREFSSLSVEPCEGELIVVTVFEIKEDEVPAFIEREHEFRFLAVVPEGLDGVPYANPAVVCARYSDEEYFQVRCKGSKEIYYQRYGRYNIDRIWRDDILPCRAYLRHCVLAAKNLGEPAYSNFLDHTYLGDRTTTIREYLATTGAGIMEEEPPESLKSRYGG >ORUFI05G14320.2 pep chromosome:OR_W1943:5:14554597:14558279:1 gene:ORUFI05G14320 transcript:ORUFI05G14320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVAASACTLRPGPPGAVRSKSNGPDRLSHLPTRPLSAPRLHLRRSPEPTHRRLPLMASSPSAAATGSGGSFPEMNSVDDFAAVTAPRGGGRVSVVGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHSAPIFFERGIAIEATKEFSSLSVEPCEGELIVVTVFEIKEDEVPAFIEREHEFRFLAVVPEGLDGVPYANPAVVCARYSDEEYFQVRCKGSKEIYYQRYGRYNIDRIWRDDILPCRAYLRHCVLAAKNLGEPAYSNFLDHTYLGDRTTTIREYLATTGAGIMEEEPPESLKSRYGG >ORUFI05G14330.1 pep chromosome:OR_W1943:5:14558023:14561426:-1 gene:ORUFI05G14330 transcript:ORUFI05G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHISSLLQGLARSLSVGKERKGGDGDDGKAAAATATAVLRTSGTLWGEGSETFAAVCSRRGEKGINQDCSIVCEGFGCEEGSVLCGIFDGHGQWGHYVAKAVRESLPPALLRRWREAVTLAALIDGGEKRLCECRPDLWRQSYLAACAAVDAELRASRRLDAVHSGCTALSLVKHGDLLVVANVGDSRAVLATASPDDGGGARLAAVQLTVDFKPNLPQERERIMECNGRVQCLADEPGVHRVWRPDREGPGLAMSRAFGDYCVKDYGVISAPEVTHRRITAQDHFVILATDGVWDVVSNEEAVQIVASAPEREKAAKRLVEFAVRAWRRKRRGIAVDDCSAICLFFHSPPS >ORUFI05G14340.1 pep chromosome:OR_W1943:5:14567857:14568381:1 gene:ORUFI05G14340 transcript:ORUFI05G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLYLENINVTSGGGRLGSTRDSRLRITPITVTTAGSTTSFAACARDGRIRATDAADDDGEEEVAGSGVAFPTRGDDGSGGGEAWRPSPAWIQRRPPSPHMAAAVDLKVVRHGTRRPRGSDGDRPPLTRRRRI >ORUFI05G14350.1 pep chromosome:OR_W1943:5:14591153:14601863:-1 gene:ORUFI05G14350 transcript:ORUFI05G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVERLVPGGAGGGGGRFRYERMPARGPADDGEGEEEEEEAAVPERRPEVLAASASFRLSEAARVFEELPRASIVAVSRPDAGDITPMLLSYTIEVHYKQRYLIRHNQFKIKKLTNLEDISNIDWSAMFRWRLYKKASQVLYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGEHMPVGHDEDEADDVNVPAQAEENSIRHRNVPSSAVLPVIRPALGRQHSVSDRAKVAMQEYLNHFLGNLDIVNSPEVWVVLKPGFLALLKDPFDPKLLDVLIFDALPHMDISGEGQISLAKEIKERNPLHFGLQVSSGGQTLKLRTRSSSKVKDWVSAINAARQTPEGWCYPHRFGSFAPPRGLMPDGSMVQWFIDGEAAFQAIASSIEQAKSEIFITGWWLCPELFLRRPFQHHGSSRLDALLEARAKQGVQIYILLYKEVALALKINSLYSKQKLLNIHENVKVLRYPDHFSSGVYLWSHHEKIVIVDNQVCYLGGLDLCFGRYDNSAHKLSDVPPVIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKAPNEQGIPLLMPHHHMVIPHYKGIGQEINSEADGKQNHDKDCDVKKPVSVDSRESCQDIPLLLPQELEPPALPNGDLRVNDLDANHSDHLHKTSFNQPLLNRKAKLDSSRQDLPMRGFVDNISSLESSSIRHFDSSKEEKYHMDKNWWEMQERGDQVASVLDIGQVGPRATCHCQVIRSVGQWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDETIKNRVLEALYRRILRAEREKKRFKAIIIIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLYDVIGPKAHDYISFYGLRAHGRLCEGGPLVTNQIYVHSKLMIIDDRITLIGSANINDRSLLGSRDSEIAVVIEDKEVVSSKMNGKPWEAGKFSLSLRLSLWAEHLGLHRGEVSHIMDPIDDSTFKNIWMATAKTNTMIYQDVFSCVPNDLIHSRAQFRQSFAHCRDKIGHSTIDLGVAQEKLETYQDGDLKGTDPIERLQMIKGHLVSFPLDFMSQEDLRPYFSESEYYTSPQVFH >ORUFI05G14350.2 pep chromosome:OR_W1943:5:14591153:14601863:-1 gene:ORUFI05G14350 transcript:ORUFI05G14350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVERLVPGGAGGGGGRFRYERMPARGPADDGEGEEEEEEAAVPERRPEVLAASASFRLSEAARVFEELPRASIVAVSRPDAGDITPMLLSYTIEVHYKQFRWRLYKKASQVLYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGEHMPVGHDEDEADDVNVPAQAEENSIRHRNVPSSAVLPVIRPALGRQHSVSDRAKVAMQEYLNHFLGNLDIVNSPEVWVVLKPGFLALLKDPFDPKLLDVLIFDALPHMDISGEGQISLAKEIKERNPLHFGLQVSSGGQTLKLRTRSSSKVKDWVSAINAARQTPEGWCYPHRFGSFAPPRGLMPDGSMVQWFIDGEAAFQAIASSIEQAKSEIFITGWWLCPELFLRRPFQHHGSSRLDALLEARAKQGVQIYILLYKEVALALKINSLYSKQKLLNIHENVKVLRYPDHFSSGVYLWSHHEKIVIVDNQVCYLGGLDLCFGRYDNSAHKLSDVPPVIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKAPNEQGIPLLMPHHHMVIPHYKGIGQEINSEADGKQNHDKDCDVKKPVSVDSRESCQDIPLLLPQELEPPALPNGDLRVNDLDANHSDHLHKTSFNQPLLNRKAKLDSSRQDLPMRGFVDNISSLESSSIRHFDSSKEEKYHMDKNWWEMQERGDQVASVLDIGQVGPRATCHCQVIRSVGQWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDETIKNRVLEALYRRILRAEREKKRFKAIIIIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLYDVIGPKAHDYISFYGLRAHGRLCEGGPLVTNQIYVHSKLMIIDDRITLIGSANINDRSLLGSRDSEIAVVIEDKEVVSSKMNGKPWEAGKFSLSLRLSLWAEHLGLHRGEVSHIMDPIDDSTFKNIWMATAKTNTMIYQDVFSCVPNDLIHSRAQFRQSFAHCRDKIGHSTIDLGVAQEKLETYQDGDLKGTDPIERLQMIKGHLVSFPLDFMSQEDLRPYFSESEYYTSPQVFH >ORUFI05G14350.3 pep chromosome:OR_W1943:5:14591153:14601863:-1 gene:ORUFI05G14350 transcript:ORUFI05G14350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNVERLVPGGAGGGGGRFRYERMPARGPADDGEGEEEEEEAAVPERRPEVLAASASFRLSEAARVFEELPRASIVAVSRPDAGDITPMLLSYTIEVHYKQRYLIRHNQFKIKKLTNLEDISNIDWSAMFRWRLYKKASQVLYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGEHMPVGHDEDEADDVNVPAQAEENSIRHRNVPSSAVLPVIRPALGRQHSVSDRAKVAMQEYLNHFLGNLDIVNSPEVWVVLKPGFLALLKDPFDPKLLDVLIFDALPHMDISGEGQISLAKEIKERNPLHFGLQIFITGWWLCPELFLRRPFQHHGSSRLDALLEARAKQGVQIYILLYKEVALALKINSLYSKQKLLNIHENVKVLRYPDHFSSGVYLWSHHEKIVIVDNQVCYLGGLDLCFGRYDNSAHKLSDVPPVIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKAPNEQGIPLLMPHHHMVIPHYKGIGQEINSEADGKQNHDKDCDVKKPVSVDSRESCQDIPLLLPQELEPPALPNGDLRVNDLDANHSDHLHKTSFNQPLLNRKAKLDSSRQDLPMRGFVDNISSLESSSIRHFDSSKEEKYHMDKNWWEMQERGDQVASVLDIGQVGPRATCHCQVIRSVGQWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDETIKNRVLEALYRRILRAEREKKRFKAIIIIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLYDVIGPKAHDYISFYGLRAHGRLCEGGPLVTNQIYVHSKLMIIDDRITLIGSANINDRSLLGSRDSEIAVVIEDKEVVSSKMNGKPWEAGKFSLSLRLSLWAEHLGLHRGEVSHIMDPIDDSTFKNIWMATAKTNTMIYQDVFSCVPNDLIHSRAQFRQSFAHCRDKIGHSTIDLGVAQEKLETYQDGDLKGTDPIERLQMIKGHLVSFPLDFMSQEDLRPYFSESEYYTSPQVFH >ORUFI05G14350.4 pep chromosome:OR_W1943:5:14591153:14601863:-1 gene:ORUFI05G14350 transcript:ORUFI05G14350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNVERLVPGGAGGGGGRFRYERMPARGPADDGEGEEEEEEAAVPERRPEVLAASASFRLSEAARVFEELPRASIVAVSRPDAGDITPMLLSYTIEVHYKQFRWRLYKKASQVLYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGEHMPVGHDEDEADDVNVPAQAEENSIRHRNVPSSAVLPVIRPALGRQHSVSDRAKVAMQEYLNHFLGNLDIVNSPEVWVVLKPGFLALLKDPFDPKLLDVLIFDALPHMDISGEGQISLAKEIKERNPLHFGLQIFITGWWLCPELFLRRPFQHHGSSRLDALLEARAKQGVQIYILLYKEVALALKINSLYSKQKLLNIHENVKVLRYPDHFSSGVYLWSHHEKIVIVDNQVCYLGGLDLCFGRYDNSAHKLSDVPPVIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKAPNEQGIPLLMPHHHMVIPHYKGIGQEINSEADGKQNHDKDCDVKKPVSVDSRESCQDIPLLLPQELEPPALPNGDLRVNDLDANHSDHLHKTSFNQPLLNRKAKLDSSRQDLPMRGFVDNISSLESSSIRHFDSSKEEKYHMDKNWWEMQERGDQVASVLDIGQVGPRATCHCQVIRSVGQWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDETIKNRVLEALYRRILRAEREKKRFKAIIIIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLYDVIGPKAHDYISFYGLRAHGRLCEGGPLVTNQIYVHSKLMIIDDRITLIGSANINDRSLLGSRDSEIAVVIEDKEVVSSKMNGKPWEAGKFSLSLRLSLWAEHLGLHRGEVSHIMDPIDDSTFKNIWMATAKTNTMIYQDVFSCVPNDLIHSRAQFRQSFAHCRDKIGHSTIDLGVAQEKLETYQDGDLKGTDPIERLQMIKGHLVSFPLDFMSQEDLRPYFSESEYYTSPQVFH >ORUFI05G14360.1 pep chromosome:OR_W1943:5:14606959:14607648:-1 gene:ORUFI05G14360 transcript:ORUFI05G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNRDTLMQESLGMVTRRRLAMLLGDSSGTTGEAGGNLGASSAMALEYGEQQLCYGAGATSCI >ORUFI05G14370.1 pep chromosome:OR_W1943:5:14613490:14613918:-1 gene:ORUFI05G14370 transcript:ORUFI05G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESARFKLQKPISKKHHHKKQQQRRRWWSSALLFFRRGGSSSSSSFDDDDATASVEYPSSYSTAALSPAGPLYLAAVEDDDDDGAAAACACWAPAMRSGGRHLAASELGASASVLPYVSLRDSAGVAGGARAPPAMPIYLVT >ORUFI05G14390.1 pep chromosome:OR_W1943:5:14663960:14664625:1 gene:ORUFI05G14390 transcript:ORUFI05G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGAGGDVSGGERWAPSGRQLTASLVGLNVFVVLLIYYYLWRFFSGKSDGGVPGGGGGGDDEDAESLAAPSRAVSPKPRDREAMELAITALPVFVVHVPTPSDNSGDGGGGAGDAAAAAAARCWSARSASRSSPTARRGGCCRGAGTGSTRGASTCGSSSTPPARSAAPASCRRLQRRRVPPPRRTTTTASSRSSRHRRITQTTRIGQTIVPCDELIN >ORUFI05G14400.1 pep chromosome:OR_W1943:5:14685263:14685862:1 gene:ORUFI05G14400 transcript:ORUFI05G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAAPAVEDANKHWAPHGAVLTAFVVGINLLMVLLVFFYFWRFFSGKRGPPPSSSSMAGGADDEEAASSDSDTSPAASPRVSWRRLREWPAGRRQQEEDIASSLPVSVYSSADVGNGKAAAECAVCIVEFRDGDLARLLPRCGHRFHADCVGAWLRLHSTCPLCRAAALPLAASTATASVPNNNDDPKDDAAAHCPV >ORUFI05G14410.1 pep chromosome:OR_W1943:5:14685943:14688232:1 gene:ORUFI05G14410 transcript:ORUFI05G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALSPALRATRAGESGSIELGRSSTQRRWGEKATDKHRRRRLCPDGMHDCGGGKRPIGVPVLVLLAPGADPAAAVGGRREEVGCQEEDEQEPHGSDLIGERVKRYALKAQLANSAWPIRHQLFGYSALGIGLDTRRWGWLTAIEGLRRRRRGASGHEVRRAHGATTAA >ORUFI05G14420.1 pep chromosome:OR_W1943:5:14690369:14692439:-1 gene:ORUFI05G14420 transcript:ORUFI05G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHLVELCRDKYQPAACYRSRSWPVPRQRRPALGGGPPAAAAAATSDDGGEQGHSGKKVRLPDPYVAAILSLKREPPPSAQYLEVLSPEKELEYTGHCKELEDELEAFEKDGYFVVDESYLEETAACLAMANEQLAKLDFSGIVFGDWDYDDLD >ORUFI05G14430.1 pep chromosome:OR_W1943:5:14711901:14712503:1 gene:ORUFI05G14430 transcript:ORUFI05G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTVQMVAPAAAVVEDPSKHWTRHGPVLTACLVGINLLMVLLVFFYFWRFFSGKRGPPTSSTSTMASGGDDDEEEGASSSSSSADTSPGRHHQDREDIASSLPVFVYSSSAAAPDVGDAGGNGKAAAAAECAVCIVEFRDGDRARLLPRCGHRFHADCIGAWLQLHSTCPLCRAAVLLHPAAAEPAKNDQPKDDDCPV >ORUFI05G14440.1 pep chromosome:OR_W1943:5:14729173:14729769:1 gene:ORUFI05G14440 transcript:ORUFI05G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSVLVVVAACLAAALVCLAANVAPASCARATAALPHASIAETCSFVDYHKLCEESLSSLPLTARAAADARVLARAAVLLARQNATATAAYLSHLHAAAAAAADDGDDADHRCVGDCTVRYDRAVAYLGDAAAALDAGEFDEAELLVGAGRTEAELCQKGCEHARLPALLAARNGAVERLCNVAMDITRLLHQQH >ORUFI05G14450.1 pep chromosome:OR_W1943:5:14731867:14733835:1 gene:ORUFI05G14450 transcript:ORUFI05G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISLASLVLVLLAFVLPLLYLLLQLPGKKSGGGGGDGPRLPPSPAGCLPLLGHLHQLGPLPHVALRSMAAAHGPVLRLRLGRVPTVVVSSAAAAEEVLRARDAAFSSRPRSAMAERILYGRDIAFAPYGEYWRQARRVCVVHLLSAQRVSSFRRVREEEAAALADAVRAAGRGGGRAFDLSGLIVAYASAVVSRAAFGDESARGMYGGADGGRAVRKAFSDFSHLFGTKPVSDYLPWLGWVDTLRGRERKARRTFEALDGVLDKVIDDHRRRRDSGRRQTGDADAGHRDFVDVLLDVNEMDNEAGIHLDAIEIKAIIMDMFVAGSDATSKPMEWAMAELVSHPRHMRRLQDEIRAVVGGGRVTEDHVDKLPYLRAALKEALRLHAPLPLLVARETVADTEIMGYHVAARTRVVINGWAIGRDTAVWGETAEEFMPERFLAGGNGGGAAAADYKVQGFEMLPFGGGRRGCPGVTFGMATVEMAVASLLYHFDWEAAAADGKGGREGTPLLDMSETSGISMGLKHGLPLVAKPRFP >ORUFI05G14460.1 pep chromosome:OR_W1943:5:14741815:14748854:1 gene:ORUFI05G14460 transcript:ORUFI05G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPKNAGTNRCGSSSPAARGCCLLPLHSTTRAPRLRRLAGIEAASSPLPLSPDGTDPFPAPVSTVLLASKGGRMWSSSQASTRGVIEVGRVEAGPSHFPKRPAPRNSSRVNLSRTYAIKSCSVSSRTGLCLGQCYHKKSSACKCKLGWSSQPLSSLRHHLRVHSSASEAVLTSQSDFTKLLVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPVIATLNCNCSALRFTNYGAKTINGEKFDIIRLPRLFRFLQKPLAQFISVVRAPKSKEGYASIGGGSPLRQITDAQAEALRKALCDKDIPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLEGIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIEKELRTFSEPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRGITNSCTLAYQSRVGPVEWLRPYTDETIIELGQKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIKHWGRVPALGCEPTFITDLADAVIESLPYVGAMAVSNLEARQPLVPLGSVEELLAAYDSKRDELPPPVTVWEWGWTKSAETWNGRAAMLAVLALLVLEVTTGEGFLHQWGILPLFH >ORUFI05G14460.2 pep chromosome:OR_W1943:5:14741815:14748846:1 gene:ORUFI05G14460 transcript:ORUFI05G14460.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPKNAGTNRCGSSSPAARGCCLLPLHSTTRAPRLRRLAGIEAASSPLPLSPDGTDPFPAPVSTVLLASKGGRMWSSSQASTRGVIEVGRVEAGPSHFPKRPAPRNSSRVNLSRTYAIKSCSVSSRTGLCLGQCYHKKSSACKCKLGWSSQPLSSLRHHLRVHSSASEAVLTSQSDFTKLLVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVVRAPKSKEGYASIGGGSPLRQITDAQAEALRKALCDKDIPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLEGIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIEKELRTFSEPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRGITNSCTLAYQSRVGPVEWLRPYTDETIIELGQKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIKHWGRVPALGCEPTFITDLADAVIESLPYVGAMAVSNLEARQPLVPLGSVEELLAAYDSKRDELPPPVTVWEWGWTKSAETWNGRAAMLAVLALLVLEVTTGEGFLHQWGILPLFH >ORUFI05G14460.3 pep chromosome:OR_W1943:5:14741815:14748854:1 gene:ORUFI05G14460 transcript:ORUFI05G14460.3 gene_biotype:protein_coding transcript_biotype:protein_coding METPKNAGTNRCGSSSPAARGCCLLPLHSTTRAPRLRRLAGIEAASSPLPLSPDGTDPFPAPVSTVLLASKGGRMWSSSQASTRGVIEVGRVEAGPSHFPKRPAPRNSSRVNLSRTYAIKSCSVSSRTGLCLGQCYHKKSSACKCKLGWSSQPLSSLRHHLRVHSSASEAVLTSQSDFTKLLVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVVRAPKSKEGYASIGGGSPLRQITDAQAEALRKALCDKDIPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLEGIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIEKELRTFSEPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRGITNSCTLAYQSRVGPVEWLRPYTDETIIELGQKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIKHWGRVPALGCEPTFITDLADAVIESLPYVGAMAVSNLEARQPLVPLGSVEELLAAYDSKRDELPPPVTVWEWGWTKSAETWNGRAAMLAVLALLVLEVTTGEGFLHQWGILPLFH >ORUFI05G14470.1 pep chromosome:OR_W1943:5:14768936:14772315:1 gene:ORUFI05G14470 transcript:ORUFI05G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRYEKKAMCALLLSLIMVALSVAAAGDGDAPPSTPVSPTTACNDTTDPSFCRTVLPPRGSSDLYTYGRFSVARSLDSARRFAGLVGRYLARHRGLSPAAVGALRDCQLMSELNVDFLSAAGATLRSAADALPDPQADDVHTLLSAILTNQQTCLDGLQAASSSWSERGGGGLAAPIANGTKLYSLSLSLFTRAWVPTAKGSKHHGGGKKPHQGHGKKQPPAAAASMRRGLFDAADGEMARRVAMEGPEATVAVNGVVTVDQGGGGNYTTVGDAVAAAPSNLDGSTGHYVIYVAGGVYEENVVVPKHKRYIMMVGDGVGQTVITGNRSVVDGWTTFNSATFAVVGQGFVAMNMTFRNTAGPSKHQAVALRSGADLSAFYGCSFEAYQDTLYAHSLRQFYRRCDVYGTVDYVFGNAAVVFQSCAFLSRLPLPGQCNTVTAQGRSDPNQNTGTSIQGCSLLAAPDLAAAGDGGRTLTYLGRPWKNFSRTVVMESYVGGLVDPAGWMPWSGDFALDTLFYAEYNNSGPGADTSRRVAWPGYHVLGAGADAGNFTVTSMVLGDNWLPQTGVPFTSGFLTSDPPIS >ORUFI05G14480.1 pep chromosome:OR_W1943:5:14773903:14775550:-1 gene:ORUFI05G14480 transcript:ORUFI05G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDATSSLSLPPFSLSHSPLLLFLGQAGRRLVRRPPGQDGRGGGQLRDGSRSLEARWQPGEEEEAPLSPSRAKATVPSLEYEMSSVTWGVIQGRKEQLVSRVLALDFLQSVGVSDPAGELEAVELPSSLEVLQERLDFDIRLGLSIDNLSSYPLLPACSLRKNAIPVLSYLEAGDAEHRRAAMAACPLLLPLSHSVAHVVIDEEVGEGAIAHVLVDEEAVGALVAAAEQAHQVAVALPHDGAHLSLELPVAVLHQLLQPLHGNRPLAAVPQCPLEHRAKRGAEASSCTDLRQVLGVAAIVADEVEHAAGIEAQGDGEAYLERAGVLTCGAHMGPTLTQPPHRIKPESKPPKDLFMTSFN >ORUFI05G14490.1 pep chromosome:OR_W1943:5:14780102:14781622:1 gene:ORUFI05G14490 transcript:ORUFI05G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIADFVPPAGARRAAASDISDNAVLSAAGAGDESFAAAKAPAPGRKTAYRGIRRRPWGRWAAEIRDPRKGARVWLGTYATAEEAARAYDVAARDIRGAKAKLNFPPTIGAAAAPPPPKKRRKAAAAANHHHHHHQQESSGSSSASSLPPTPPPAAEHQLRECMSGLEAFLGLEEEEDDGGAGEPWDAVDMMLE >ORUFI05G14500.1 pep chromosome:OR_W1943:5:14802121:14805422:-1 gene:ORUFI05G14500 transcript:ORUFI05G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGEEEKPFNFLQILCEGVIAGGTAGVVVETALYPIDTIKTRLQAARGGSQIQWKGLYSGLAGNIAGVLPASAVFVGIYEPTKRKLLETFPENLSAVAHFTAGAIGGIAASLIRVPTEVVKQRMQTGQFRSAPDAVRLIVGKEGFRGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKVVAKRELNDPENALIGAFAGAITGAITTPLDVMKTRLMVQNNTSFLSTPVGIADPIYFFGPQSQGSANQYSGIVSCAQTILREEGPGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSMLAERRSREPPAGKDE >ORUFI05G14510.1 pep chromosome:OR_W1943:5:14805749:14806563:-1 gene:ORUFI05G14510 transcript:ORUFI05G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEERRQRQKKGPPDIFEKIKKNPRTEGRKRRGRSGSETSTPTRSSDADGRRLPVEPPMAGSGTATASYSLLGPVV >ORUFI05G14520.1 pep chromosome:OR_W1943:5:14806719:14807837:1 gene:ORUFI05G14520 transcript:ORUFI05G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSSRASSPTRPSHGDEQEGVAAGNEQQPSLTTGLGGRDLTRSWRQGGAGSVRLGCKQVAKGGAAGCGKS >ORUFI05G14530.1 pep chromosome:OR_W1943:5:14808631:14809483:1 gene:ORUFI05G14530 transcript:ORUFI05G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISYFLVAMLLCNGFGFIVSAQVVGGGSSSRIPSFVGIDGGSNVIGVGKRLTPTGPNPVHNEFQPPPPPPPPSPPNGGNVIGNGKRLTPTGPDPIHNEFQPPPPPPPPSPPNGGKVIGDGKRLTPTGPDPVHNKFQPPPPSPPNGGNVIGDGKRLTPTGPDPIHNEFQPPPPPPPPSPPNGANVIGDGKRLTPIGPDPIHNEFPPPPPSPPNGANVIGDGKRLTPTGPDPVHNEFQPPPPSPPNGMIA >ORUFI05G14540.1 pep chromosome:OR_W1943:5:14813615:14820943:1 gene:ORUFI05G14540 transcript:ORUFI05G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSTSVEVRRDGVAVITVSNPPVNALSLDVIASLQRDYGEALRRSDVKAIVLTGAKGRFSGGFDINAFDKKPKNEKPGYLSIDFLTDIVEDAPKPSVAAIDGVALGGGLELAMVCHARVSTSSAQLGLPELQLGIIPGLGGTQRLPRLVGLPKALEMLLMSKPLKGVEAHKFGLVDAVVSADELISTACSWALEIVEDKRPWFRSLHRTDRLPALEETKKILNFARVQAQKQSASLQHPLVCIDVIEEGIISGPRAGLMKETLCGKMLEMSQISKSLRHVFFAQRATSKIPNISILGLTPRRIHKVAIVGGGLMGSGIATALISNNLLVILKEVNEQFLDAGISRVKANLQSLVKRGQMTKEDYENKLSLLSGVLDYEQFREADVVIEAVIEDLSLKQKIFADLEKYCHSNCILATNTSTIDLHLIGQKTSCQDRIAGAHFFSPAHAMPLLEIIRTHRTSSQVVIDLLNVAKQIRKTPIVVGNCTGFAVNRMFFPFTQVAYFLVDYGLDVYHIDHVITKFGMPMGPFRLADLVGFGVAIASRKQYLQSYPERCYKSMLIQIMLEENRTGESSRKGFYLYDDKRKASPDPEMNKYIEKSRSMASIVQDPKLPKLTDDEIVEMMLFPVVNEACRLLDEGVAMKASDLDVASIMGRGFPSYRGGVMFWADSFGAKYIYDRLKDWSKYHGGIFEPYEYLSTRARQGLSLAAMADGAMSRL >ORUFI05G14540.2 pep chromosome:OR_W1943:5:14813615:14820943:1 gene:ORUFI05G14540 transcript:ORUFI05G14540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSTSVEVRRDGVAVITVSNPPVNALSLDVIASLQRDYGEALRRSDVKAIVLTGAKGRFSGGFDINAFDKKPSKDCPVLSFVNKLNIYVVKFMLLPDAPKPSVAAIDGVALGGGLELAMVCHARVSTSSAQLGLPELQLGIIPGLGGTQRLPRLVGLPKALEMLLMSKPLKGVEAHKFGLVDAVVSADELISTACSWALEIVEDKRPWFRSLHRTDRLPALEETKKILNFARVQAQKQSASLQHPLVCIDVIEEGIISGPRAGLMKETLCGKMLEMSQISKSLRHVFFAQRATSKIPNISILGLTPRRIHKVAIVGGGLMGSGIATALISNNLLVILKEVNEQFLDAGISRVKANLQSLVKRGQMTKEDYENKLSLLSGVLDYEQFREADVVIEAVIEDLSLKQKIFADLEKYCHSNCILATNTSTIDLHLIGQKTSCQDRIAGAHFFSPAHAMPLLEIIRTHRTSSQVVIDLLNVAKQIRKTPIVVGNCTGFAVNRMFFPFTQVAYFLVDYGLDVYHIDHVITKFGMPMGPFRLADLVGFGVAIASRKQYLQSYPERCYKSMLIQIMLEENRTGESSRKGFYLYDDKRKASPDPEMNKYIEKSRSMASIVQDPKLPKLTDDEIVEMMLFPVVNEACRLLDEGVAMKASDLDVASIMGRGFPSYRGGVMFWADSFGAKYIYDRLKDWSKYHGGIFEPYEYLSTRARQGLSLAAMADGAMSRL >ORUFI05G14540.3 pep chromosome:OR_W1943:5:14813615:14820943:1 gene:ORUFI05G14540 transcript:ORUFI05G14540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSTSVEVRRDGVAVITVSNPPVNALSLDVIASLQRDYGEALRRSDVKAIVLTGAKGRFSGGFDINAFDKKPNAPKPSVAAIDGVALGGGLELAMVCHARVSTSSAQLGLPELQLGIIPGLGGTQRLPRLVGLPKALEMLLMSKPLKGVEAHKFGLVDAVVSADELISTACSWALEIVEDKRPWFRSLHRTDRLPALEETKKILNFARVQAQKQSASLQHPLVCIDVIEEGIISGPRAGLMKETLCGKMLEMSQISKSLRHVFFAQRATSKIPNISILGLTPRRIHKVAIVGGGLMGSGIATALISNNLLVILKEVNEQFLDAGISRVKANLQSLVKRGQMTKEDYENKLSLLSGVLDYEQFREADVVIEAVIEDLSLKQKIFADLEKYCHSNCILATNTSTIDLHLIGQKTSCQDRIAGAHFFSPAHAMPLLEIIRTHRTSSQVVIDLLNVAKQIRKTPIVVGNCTGFAVNRMFFPFTQVAYFLVDYGLDVYHIDHVITKFGMPMGPFRLADLVGFGVAIASRKQYLQSYPERCYKSMLIQIMLEENRTGESSRKGFYLYDDKRKASPDPEMNKYIEKSRSMASIVQDPKLPKLTDDEIVEMMLFPVVNEACRLLDEGVAMKASDLDVASIMGRGFPSYRGGVMFWADSFGAKYIYDRLKDWSKYHGGIFEPYEYLSTRARQGLSLAAMADGAMSRL >ORUFI05G14550.1 pep chromosome:OR_W1943:5:14830166:14830687:1 gene:ORUFI05G14550 transcript:ORUFI05G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVPLPLLLLVALLVAGGGGAAAETKPTAYEALATFDFPPGILPKGVVSYTLDDATGDFTATLNTTSTCAFSIQGSYSLRYQRRLSGRISADRLTNLQGVSVKILFLWVNIVEVTRHGDELGFSVGIASADFTVDNFLESPQCGCGFDCHDHRDLSLPLPLPEPSLRLRGAF >ORUFI05G14560.1 pep chromosome:OR_W1943:5:14840188:14840736:1 gene:ORUFI05G14560 transcript:ORUFI05G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLHLLLLAVLAVAAAAAEAAAEKKPTAYEVLESYDFPVGILPKGVTSYTLEATTGDFTATLDTGDDDDSSSSTCEFAIEGSYSLRYQRAITGRIATGHLTDLRGVAVKVLFFWLNIVEVTRRGDRLEFSVGIASADFTVDNFLESPQCGCGFDCDDDGISSSSSLPPPLEPSLLRLRGAF >ORUFI05G14570.1 pep chromosome:OR_W1943:5:14843275:14844046:-1 gene:ORUFI05G14570 transcript:ORUFI05G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAASCVALLAQRRGLSAAITAAEGSAKTIDDKAVKLGTAAKDVATATATTTEEKTAFWEPDPDTGYYRPVTGTKEVDAADLRAEMLKQRMLHD >ORUFI05G14580.1 pep chromosome:OR_W1943:5:14848772:14849796:1 gene:ORUFI05G14580 transcript:ORUFI05G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELASYSYDDKSLEKSNARFASASHTDGRGGRGEAEVWRWRPEVAGPALGRVGLGWLEVVGRVVGSDKASAMESLWKVVGAAKHGGGPVAAMARQLPSALATLGAATVPVGASGPRDSGGSRRCRWP >ORUFI05G14590.1 pep chromosome:OR_W1943:5:14860135:14871081:1 gene:ORUFI05G14590 transcript:ORUFI05G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEMADDVEYHEEFVTNPRGLRLFTCGWLPASSSPKALIFLCHGYGMEVSGFMKACGVELATAGYGVFGIDYEGHGKSMGARCYIQKFEHLVDDCDRFFKSICELEEYRDKSRFLYGESMGGAVALLLHRKDPTFWDGAVLVAPMCKISEKVKPHPLVVTLLTQVEEIIPKWKIVPTKDVIDSAFKDPIKREKIRKNKLIYQDKPRLKTALELLRTSISVEQSLSQVSMPFFILHGEADTVTDPEVSRALYERAASADKTIKLYPGMWHGLTAGEPDHNVHLVFSDIVAWLDRRSHRQDRASITPPAACTDSAAAAAADSPVSPEPPRQGAAGGFLCGLTGRANPQQCRM >ORUFI05G14600.1 pep chromosome:OR_W1943:5:14867373:14870787:-1 gene:ORUFI05G14600 transcript:ORUFI05G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAAAIFSLATPYSSSTPAAAAAGSTAANPLARFSVEPAVSRRQQQLPARQFVGGKVPLGLKRKGLRVLVTGGAGFVGSHLVDRLVERGDSVIVVDNLFTGRKENVVHHFGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKYNPTNVVGTLNMLGLAKRINARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNAKIEFRPNTQDDPHKRKPDIGRAKELLGWEPKIPLHKGLPLMVTDFRKRIFGDQDSTATTTGGQQG >ORUFI05G14600.2 pep chromosome:OR_W1943:5:14867373:14870787:-1 gene:ORUFI05G14600 transcript:ORUFI05G14600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAAAIFSLATPYSSSTPAAAAAGSTAANPLARFSVEPAVSRRQQQLPARQFVGGKVPLGLKRKGLRVLVTGGAGFVGSHLVDRLVERGDSVIVVDNLFTGRKENVVHHFGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIISFFLLRSYAWHKTNVVGTLNMLGLAKRINARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNAKIEFRPNTQDDPHKRKPDIGRAKELLGWEPKIPLHKGLPLMVTDFRKRIFGDQDSTATTTGGQQG >ORUFI05G14610.1 pep chromosome:OR_W1943:5:14875130:14875529:-1 gene:ORUFI05G14610 transcript:ORUFI05G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVRRTGGNDGKKAVLRRGGGVVVAERKRRYGQGPQGGGMAARRGGDKVGSKREDKTGPVFVINRYQRVIIDADF >ORUFI05G14620.1 pep chromosome:OR_W1943:5:14885343:14892412:-1 gene:ORUFI05G14620 transcript:ORUFI05G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATTAMAVPPHSSICSLIAFLHHHIRALLADRDALLAARARCLALLDPPGAGGAAHDDGDGDVLAALRHAADALTAGADAGGLDGAEAALQGPALLPEEGETGGLDNRRVAACAYFYLALVRAAQGDAWQMAMHFLQAVVVSPAAVAGAGAGGGLAPRALWDGLFDGAVLARAGGASEDDAARRAARRYKDWLIYYKVVAGAPASGGGGGGCIQFGRSVSSVIPKWPEFSEDGTIHSIDQEEKCRAFDSNCGDHDSFAELKDFLNCEDPDLQEDTKGSSDSRCLHEMLEEYQSDSPVSFYSHLDSSEESDNENKNLTWCTSPENAMIYTPESPLYQVDDCDMKQNDLQSSRSQCSANSLSNSVLNINKADSYSTSNYFNKDGMFPQCTPKHDLRCFSNFSTKFMKRSALSDIVSRGSMSRKFKAFSQSDEWSDVSSRWGKESQVDFLERFEKAVSKLLVSDGLESYLDAGSEVTTIWHLLNSSSEVRYKSSARQDILDQLLDSISTSKKDKVIRASVYVLLLMLSEDRNAMRGIKRKEFHLSNLASALKRDVHEAAILIYLLDPSPLQIKNLELLPSLLHVACNSDTKKWPAVLPLTPTSASIALIEILVTAFDYVTNNVHLGAISSPHILSKLVDVAKNNNLEEGVALAAILVRCVRLNGNCKKFLSQATPVEPFLHLVRRKEHRAKCAALEYFHEILQIPRSAANSLLQEIKKLGGIAIMHTLMACLHQTEPEHRVLAANLLLQLDMLDKPDGKSVFRDEAMEVLLDSLSSQENCTVQALAASFLCNLGGTYSWSGESYTAAWLAKKAGLTSTSHRNMIRNIDWVDPCLQDTEIGPWSSKSARTIIRTGVPVLRALAKGIQSKAKGTSHDCLVCAAWLGSELAALGENNMRYSACEILLHDIARHLHPGFELDERLLACMSLYTYTSGKGKQKLMGLSEGSRESLRRLSSFTWMAEELLQVTDYYLPSKPRVSCVHTQILEIGQPGNGAATAIIFFGGQLFVGYSSGTIRAWDIKGQRAVVIREVKEHKRAVTCFALSDTGENLLSGSADKSIRVWKMAQRKLECVEVIQIREAVEQFEIYNDKIIVLTPNNVLKFSYSSRSTQTFYKSKHVKSLAVAHGKAYLGCTDLSIQELDVAVGSKIEIRAPTRSWRIRKQPISSIVVYKDWMYCAGTQVEGSTIKDWKKRCKPTMTMAISKGTNVEAMAVVEDFIYLNCDKSPSIIQIWLRENQQKVGRLSAGNKITSMFTANDIIFCGTETGLIKAWIPF >ORUFI05G14630.1 pep chromosome:OR_W1943:5:14900892:14901600:1 gene:ORUFI05G14630 transcript:ORUFI05G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLEALKINSYTNCLENMDFLGRLRGLTLLFLAKFLHSKICHVKQTCAVTKQLYGSILFSAVKRADIIQYGKTSTNQHGDLRQGEQNKAMKRTADLGMKRGNVGASLKAPKAWVPWSSGQHCKNPMLAKGRELFKDAFLTLNPAPKTSYENAKELFLNNTY >ORUFI05G14640.1 pep chromosome:OR_W1943:5:14902085:14905286:-1 gene:ORUFI05G14640 transcript:ORUFI05G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYRAVLRAARRTFAGDRLMLAESAVEIRRRFEEHRGLAPGSGEAARALSDAREAAHFITHMIVQAQRAPSGSFVVKPEKEHAGATLEVPSEEILSKLK >ORUFI05G14650.1 pep chromosome:OR_W1943:5:14944532:14944928:-1 gene:ORUFI05G14650 transcript:ORUFI05G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRWEGRHGRSSPAAPPSSRCRLASYPSARAPLRPVINVSNRASLQSRLPPPVATASSVPSRRAPLRPATSSPALSKPVCYSTGQQQPA >ORUFI05G14660.1 pep chromosome:OR_W1943:5:14954391:14955494:-1 gene:ORUFI05G14660 transcript:ORUFI05G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDEALRSVKLAETALASGDRQRAEKFLRIAQRLDPSLPIDDMLGTPKKYDTLDGAVRQYRARSGEVGESQNLRKESVGPSNVDKGYTEENVRVVRNITKNKDYYAILGVERSCSVEEIRKAYRKLSLKVHPDKNKAPGAEDAFKLVSKAFKCLSNDQSRRTYDQTGAIEDHEFNYQYSNVMRQRTTRRQRQARSSFYGYEEDLDPDEIFRSFFYGTHDNMFQSHNAYRARGTVRQQQQQRREHPVQGGSGINLTMLVHLAGVLFFILFAFIPARHPEYSLKRTSYFSISKVTEKHGVEYFVSKQEFDQQFPRGSSSRDNLEQYVFKDYKSMLGRFCHVELQRRQWAKDYPTPHCDKLRSLSVA >ORUFI05G14670.1 pep chromosome:OR_W1943:5:14957585:14964650:1 gene:ORUFI05G14670 transcript:ORUFI05G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAQDAIYGRHGYDFDGHRLRVELAHGGRGPSFDRSSSYSSAGRRGAAKRTDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREGGATIGIVDYTNYEDMKQAIRKLDDSEFRNAFSRAYIRVCFSVSLTCERKI >ORUFI05G14670.2 pep chromosome:OR_W1943:5:14957585:14964653:1 gene:ORUFI05G14670 transcript:ORUFI05G14670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAQDAIYGRHGYDFDGHRLRVELAHGGRGPSFDRSSSYSSAGRRGAAKRTDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREGGATIGIVDYTNYEDMKQAIRKLDDSEFRNAFSRAYIRVCFSVSLTCERKI >ORUFI05G14670.3 pep chromosome:OR_W1943:5:14957585:14963582:1 gene:ORUFI05G14670 transcript:ORUFI05G14670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAQDAIYGRHGYDFDGHRLRVELAHGGRGPSFDRSSSYSSAGRRGAAKRTDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREGGATIGIVDYTNYEDMKQAIRKLDDSEFRNAFSRAYIRVCFSVSLTCERKI >ORUFI05G14680.1 pep chromosome:OR_W1943:5:14966037:14968382:1 gene:ORUFI05G14680 transcript:ORUFI05G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDNKIGGVPVVEGPNKFQAPPFFDSGNGLVKPPLTCSPDASLGSVIDSIASRITHRIYTSWTVTSRLLVS >ORUFI05G14690.1 pep chromosome:OR_W1943:5:14977021:14979695:-1 gene:ORUFI05G14690 transcript:ORUFI05G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLLLPTDMEQQLFPTDGVSFCITGASFRRSCLNFSNGGWLSLALFAMVGAICFYTGNLIDRCMCADRCVRSYPDIGYLAFGAYGWTTIGLVMYVELYLVAISFLILEGDNLDKLLPSTVVEILGYQVHGKQLFVLATAAVILPMTWLKNLSMLTYVSVVGLISGADGVWAGVPDKGFHMAGNNLLNLSGLPTALTLYFVCFAGHGVFPTVYSSMKSKKDFPKVLLISSVLCSLNYAVTVVLRYLIYGEDVQSQVTQNLPTGKLYTRFAILTTLITPLANYTLVIQPVTTAIEEKLSATTDVENNWLTRVLTSIAVVISTVVLACTVPFFGYLMLFIGSSLNVTVAVLVPCLSYLKIYMSRGGVGCFERTMIVGILVIGVCVNVVGTYTSLHQIIGTF >ORUFI05G14700.1 pep chromosome:OR_W1943:5:14991603:14992730:-1 gene:ORUFI05G14700 transcript:ORUFI05G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTPAAAADLRFCLLEEGRPPPVAAACNPTPPWLLAIRVSRVDFLSLMWLLPQWFCIIAAVAMVAVFAAEIFPWCESKGDVALCAIALAGALLMGPILGLAMTACAADDDEAAARIPSRYTRSEENMGRAAIMAVALLGLYVIYLAAVRGGDSGRFLDAACYVMMGLGLIVGHSVTWIEE >ORUFI05G14710.1 pep chromosome:OR_W1943:5:15000389:15002141:1 gene:ORUFI05G14710 transcript:ORUFI05G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTGSPPPRPQVATDPSPADSFRRQVTGNRSPSSVIPPPSVPPHRPRQPGLATAPSADHRRLIVKDRSSVALEGGEGGGLEPRWISMEREGDSGGGNGKRKNSRGLEKEEENEPIEVHSSTLAHKLWCLGYAITLICRH >ORUFI05G14720.1 pep chromosome:OR_W1943:5:15008494:15009555:1 gene:ORUFI05G14720 transcript:ORUFI05G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADEDAASRGGGGGGKRKAVAEGGSPSPLSVLADDVLLQILGRLEGDPRDWARASCASPRLAALLRAACLPPRLTRALPAELLPPPSPDGAPRAWAALHKLSVCCPGLLRAGVLLEPSDDFGLELDIGPDLSVPAPSPSSSSLDHASTATSAPAPPPDSSSSSAAAATTWSLYDDLYLDTAYDCSPSEAAQISNTAATPAPAAARRGVASGSRRRARRWLGTVGAHLASGSWTLSREQGNKLLASRFRGDRLYICDWPGCVHAEERRKYMVFRGVFHDFPRSQVRRALRDTRRPTVAVDCAFCGCTEAWDLYAAFCLRSFYGYHDDGEPVVRAYVCENGHVAGAWTERPLYS >ORUFI05G14730.1 pep chromosome:OR_W1943:5:15017360:15021526:1 gene:ORUFI05G14730 transcript:ORUFI05G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGMGGNGNGADDKARDVTDQSKALGGNSCEDRALPSAVRVTVSGDPVGTFGSFGNMADYNVHLHQPDEGDDHGDSTECSSSFGPSCSASSDDDDDDTKSEMDGMEVDSPFLGPTRTGADRASSAPRMVRRRQVTAEWRKIVGPIMWRCQWLELHMKNLLSQVAKYDRELAIINHEKDLQLEMVKADGPKSEPGKLYSQSHERIIMKRRKRKRDEDTVDTSLYMKRHPALSYYENKNGGVQTDGPLVNGGFDSSVVEDIESTDDALVENDRVFEQYSLREILLTVDDVQSRILSLQGRLSNAHSKYKKLSQCLDRKQVKVPQKIQKVQNQMTCCKKDGRRSHQKTKCMHTLLQKDDLDRSLAVVPPVFGRSTDCVLECMKKNDAQEDAVQSDPNGITIEMFCGKDNFLTNAHVGELYKESADDVLIDNQAAKEEGYQLFEKVKPEEHSELVMPPSKVQKASADIVDYEQVQETAPVAKQIISGDKRGQKPNKKHGLPVLAKKIKTEKDPGNMKNEKTVLVAVDPRRSTRVRKPKTY >ORUFI05G14740.1 pep chromosome:OR_W1943:5:15024038:15027980:-1 gene:ORUFI05G14740 transcript:ORUFI05G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVALASLRLAASPILNKLLADASTYLGVDMASELRELETSIMPQFELLIEEAEKGNHRAKLDKWIRELKEALYNAEDLLDEHEYDILKRKVKNGGEDPSPDLEHASSIGSIIKKPMRAASSSLSNLRPKNIKLVRQLKELKAILAKARDFREMLGLPAGSSVEGAQTGHTKTVVVTAATSTPPPKVFGRDADRDRIVDLLTQHKTCAEASRFVVSIVGPGGMGKSTLAQYVYNDKTIQEHFDVTMWVCISRKLDVHRHTREIIESATKEKCQRVGNMDVLQYKLKEILQKKEKVLLVLDDIWFDKSQDVEEWDLLLAPILSSQNGATKVLVTSRSKTLPPALFSEDVIDLENMKDTEFQALFKHHAFSGATIRDLQMCGWFEEHAVKITERLGRSPLAAKVVGSNLKRVMNIDDWKGALTIKIDNLSEPKRALLWSYQKLDPCLQRCFLYCSLFPKGYKYIIDELVHLWVAEGFIDARDTNKRMEDTGMDYFKEMVSGSFFQPFSERFDSTVYIMHDLLHDLAESLSREDCFRLEDDKVREIPCTVRHLSVRVESIIQHKPSVCKLQHLRTLICIDPLVDVGSNIFEQVVLNLKKLQVLYLSFYNTRKLPESIGQLKHLRYLNIKKTLISELPKSLCDLYHLELLYLRPKSRLPDKLCNLCKLRHLQMYSDGLELSRIPDIGRLTLLQRIDSFHVLKQKGHELRQLRNMNEIGGYLSLRNLENVIGKDEALESKLYQKSRLEGLTLEWNDANNMNPENCLHVEILEGLVPPPQLEHLSKLVTRGALERLPSNTKLFRRCRELSLKNLPNMKELSFLPAGLTTLSIRRCPLLLFVTNDELEYHDHSEHITRTEQLVAQFALVGVMGPFLDALSSDHSSMKQLAALMDSDISKNLQTIECALEREDEVVMTQDVIKEWMCCHEQRMRLISTRRIGLPLVPPSGLSELSVKSCTITDAALSICLGGLASLRFLSLHDIMTLTALPSEEVLKSLTKLDHFIIDACLFLGSLGGLRAATSLSKLWLYSCPALELARGAEFMPASLEILSISYCVLAPDLFCGHWPHLEDICIDNCRSSVSLFVGDLCSLKQFTLNHLPDLCVLEGLSSLQLHRVRLIDIPKFTAECVSQFRVQDSLLVSSSAVLNNIISAEGFTVPASLTLDSCKEPSVSFEETRNSSYIKELKLRDCQMSSLQGNLKCLSRLEVLDIFCCPNISSLPDLPSSLQRIDIWDCPNISCLPDLPSSLQRISIDNCPNISSLPDLPSSLQRIYILHCELLKESCRAPDGESWPKIAHIRWKYID >ORUFI05G14750.1 pep chromosome:OR_W1943:5:15030737:15031636:1 gene:ORUFI05G14750 transcript:ORUFI05G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHLTRRGWGSGGGWRQWRGNQGAARCGEPEGGHGWVEGGEEVAGARRERRAASHWWPQPSAEATGAATEEKGGCRCRCFDTRWLFPGYN >ORUFI05G14760.1 pep chromosome:OR_W1943:5:15032057:15032245:-1 gene:ORUFI05G14760 transcript:ORUFI05G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAHALLMATVSSVIFAGMLLSTLTEIRDTRWSWRGWSRTTPFRWLLCFPPGTRSSGYIFF >ORUFI05G14770.1 pep chromosome:OR_W1943:5:15032251:15032730:-1 gene:ORUFI05G14770 transcript:ORUFI05G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTTAMMAVTGDAAAVGGAVAGGRRGRGGVLAAGEGAARGLPHRPPPMRPTTRRLGKELRAGGGGGAAGGRRRSSARAAGKEEGAPTTRRHQRPPCPPPPPSPPPLPPTGSREHPAIVSFRWSLTGLWFSTWAFLLGFLASNIALFLTLLRVAGGRSH >ORUFI05G14780.1 pep chromosome:OR_W1943:5:15033287:15036022:1 gene:ORUFI05G14780 transcript:ORUFI05G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRRLLLLRRLSTLHPHPSLSSSSSSTASPAAAASATHHLLPPHAPLAPHARGFAFSSAEEAAAERRRRKRRLRIEPPLHALRRDPSAPPPPRDPNAPRLPDTTSALVGPRLSLHNRVQSLIRSGDLDGASVAARAAVTSRVRPTVFTCNAVAASMVRAGRHDDAVSLFDFFFRRSNIVPNVVSYNTLILAHCEAARVDSALQVYREMLDKAPFSPSAVTYRHLTKGLVAAGRIQDALDLLREMLNRGQGADSLVYNNLIAGYIEEDNWDKAFELFEELQGRCLVYDGVVHTSFMEGYWKKGMDKEAMENYQSLLDKKFRMTPATCNVLLETLFKHDKDKEANDLWETMIDNHTPPSFIGINSESYNVMVNQCFKKCKFHEAIEVFHRQPRKNVQMDVGCFNNIIGKLCENGMLEEAEKLFEEMETKSVLPDVYTYTYLVDSCFKVGRVDDTIQYFYKMADGREHGPKFNIGFFNRMFEGLTEAGRMDDALKVYGRMPDKEIKPNTTTFETLVNALCKEGDLDKARELVSDMAKGGVTPPPEFRDSVIDIFKNAGRQEEIEKAFEEKPVPLPPQPRPEYRPRNSPQGLPGFASNQTRGSYMPHQGQPTYGSQPLHPGVGGSQAIQPQGMPLRPQQPVFGGPQVDKSEFGGRPLQHGPSAPDPRQPGVVSMTQPPNVSTPNTWQHSGVHATQGTHHGMGSPRPWQQSVGIGQVQQTDYSSGPPMQPGFGHPQPPQPMHSAPQTQQPGYGTSHPWHTGFNAPQVQQPSYGGPQSSQHAVGSTQPPQAQFGAPQAPPPLPGFHYQNRPEYGQAVDQHNRFGSPQGEPRFHTQPRQQGFDAQAPGNHALQGQNSFNARRGQVGFGNQGAPPEYGASPSQPSHGAAWNQRGYGLSEDQEDVNQQVVPHAYARVR >ORUFI05G14790.1 pep chromosome:OR_W1943:5:15042397:15053897:1 gene:ORUFI05G14790 transcript:ORUFI05G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRPLHPLLLLFSPWLLLLLLLVVQGVRSLQFTRDDFPDGFTFGAGTAAFQYEGAAAEDGRTPSIWDTYAHSWRNPGGETGDVACDGYHKYKEDVMLMNETGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNSMINELVKADLPQSLQDEYGGWVSPKVVDDFAAYAGVCFREFGDRVAHWTTSIEPNVMAQSGYDDGYLPPNRCSYPFGRSHCTLGNSTVEPYLFIHHTLLAHASAVRLYREKYQAAQKGIVGMNIYSMWFYPLTESAEDIAATERVKDFMILHPLVFGDYPETMKKAAGSRLPLFSGYESELVTNAFDFIGLNHYTSNYVSDNSNAVKAPLQDVTDDISSLFWASKNSTPTRETVKWFCMLLLRQFLPGTSLDPKGLELALEYLQEKYGNLLFYIQENGSGSNATLDDVGRIDCLTQYIAATLRSIRNGANVKGYCVWSFMDQYEMFGDYKAHFGIVAVDFGSEELTRQPRRSARWYSDFLKNNTVIKVEDDPIVLTLIDLGDLEEDDDDQEQLEVKNAMEKRPLHLHLLLFFFLAWLLLLLLLQGASSLQFRRDDFPDGFAFGAGTAAYQYEGAAAEDGRTPSIWDTYTHSGRHPEDGTGDIASDGYHKYKEDVKLMTEIGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNNMINELVKAGIQIQVVLYHSDLPQSLQDEYGGWINPKIVDDFTAYADVCFREFGDRVAHWTTVLEPNVMAQGCYDTGILPPNHCSYSFGSNCTGGNSTVEPYLFIHHNLLAHASAVRLYREKYQVAQKGIVGINMYSLWFYPLTDSAEDIGATERAKQFMILHPLVFGDYPETIKKVVGSRLPFFSNHESELVTNAFDFVGLNHYSSVYTSNNNNVVKAPLQDLTADIATLFRATKNDTPTPEVITDSIVSAENYKTYGNTVDPQGLENALEYIRENYGNLTIYIQENGSGAPDGTLDDVERINYLQKYIAATLKAIRNGANVKGYSMWSFIDIYEIFGGYNSWHYGLVAVDFGSTERRRQPRRSASWYSDFLKNNAPIRVEDGSFVSAASHAQL >ORUFI05G14790.2 pep chromosome:OR_W1943:5:15042397:15053897:1 gene:ORUFI05G14790 transcript:ORUFI05G14790.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRRPLHPLLLLFSPWLLLLLLLVVQGVRSLQFTRDDFPDGFTFGAGTAAFQYEGAAAEDGRTPSIWDTYAHSWRNPGGETGDVACDGYHKYKEDVMLMNETGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNSMINELVKADLPQSLQDEYGGWVSPKVVDDFAAYAGVCFREFGDRVAHWTTSIEPNVMAQSGYDDGYLPPNRCSYPFGRSHCTLGNSTVEPYLFIHHTLLAHASAVRLYREKYQAAQKGIVGMNIYSMWFYPLTESAEDIAATERVKDFMILHPLVFGDYPETMKKAAGSRLPLFSGYESELVTNAFDFIGLNHYTSNYVSDNSNAVKAPLQDVTDDISSLFWASKNSTPTRETVKWFCMLLLRQFLPGTSLDPKGLELALEYLQEKYGNLLFYIQENGSGSNATLDDVGRIDCLTQYIAATLRSIRNGANVKGYCVWSFMDQYEMFGDYKAHFGIVAVDFGSEELTRQPRRSARWYSDFLKNNTVIKVEDDPIVLTLIDLGDLEEDDDDQEQLEVKNAMEKRPLHLHLLLFFFLAWLLLLLLLQGASSLQFRRDDFPDGFAFGAGTAAYQYEGAAAEDGRTPSIWDTYTHSGRHPEDGTGDIASDGYHKYKEDVKLMTEIGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNNMINELVKAGIQIQVVLYHSDLPQSLQDEYGGWINPKIVDDFTAYADVCFREFGDRVAHWTTVLEPNVMAQGCYDTGILPPNHCSYSFGSNCTGGNSTVEPYLFIHHNLLAHASAVRLYREKYQVAQKGIVGINMYSLWFYPLTDSAEDIGATERAKQFMILHPLVFGDYPETIKKVVGSRLPFFSNHESELVTNAFDFVGLNHYSSVYTSNNNNVVKAPLQDLTADIATLFRATKNDTPTPEFLPGNTVDPQGLENALEYIRENYGNLTIYIQENGSGAPDGTLDDVERINYLQKYIAATLKAIRNGANVKGYSMWSFIDIYEIFGGYNSWHYGLVAVDFGSTERRRQPRRSASWYSDFLKNNAPIRVEDGSFVSAASHAQL >ORUFI05G14790.3 pep chromosome:OR_W1943:5:15042397:15053897:1 gene:ORUFI05G14790 transcript:ORUFI05G14790.3 gene_biotype:protein_coding transcript_biotype:protein_coding METRRPLHPLLLLFSPWLLLLLLLVVQGVRSLQFTRDDFPDGFTFGAGTAAFQYEGAAAEDGRTPSIWDTYAHSWRNPGGETGDVACDGYHKYKEDVMLMNETGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNSMINELVKADLPQSLQDEYGGWVSPKVVDDFAAYAGVCFREFGDRVAHWTTSIEPNVMAQSGYDDGYLPPNRCSYPFGRSHCTLGNSTVEPYLFIHHTLLAHASAVRLYREKYQAAQKGIVGMNIYSMWFYPLTESAEDIAATERVKDFMILHPLVFGDYPETMKKAAGSRLPLFSGYESELVTNAFDFIGLNHYTSNYVSDNSNAVKAPLQDVTDDISSLFWASKNSTPTREFLPGTSLDPKGLELALEYLQEKYGNLLFYIQENGSGSNATLDDVGRIDCLTQYIAATLRSIRNGANVKGYCVWSFMDQYEMFGDYKAHFGIVAVDFGSEELTRQPRRSARWYSDFLKNNTVIKVEDDPIVLTLIDLGDLEEDDDDQEQLEVKNAMEKRPLHLHLLLFFFLAWLLLLLLLQGASSLQFRRDDFPDGFAFGAGTAAYQYEGAAAEDGRTPSIWDTYTHSGRHPEDGTGDIASDGYHKYKEDVKLMTEIGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNNMINELVKAGIQIQVVLYHSDLPQSLQDEYGGWINPKIVDDFTAYADVCFREFGDRVAHWTTVLEPNVMAQGCYDTGILPPNHCSYSFGSNCTGGNSTVEPYLFIHHNLLAHASAVRLYREKYQVAQKGIVGINMYSLWFYPLTDSAEDIGATERAKQFMILHPLVFGDYPETIKKVVGSRLPFFSNHESELVTNAFDFVGLNHYSSVYTSNNNNVVKAPLQDLTADIATLFRATKNDTPTPEVITDSIVSAENYKTYGNTVDPQGLENALEYIRENYGNLTIYIQENGSGAPDGTLDDVERINYLQKYIAATLKAIRNGANVKGYSMWSFIDIYEIFGGYNSWHYGLVAVDFGSTERRRQPRRSASWYSDFLKNNAPIRVEDGSFVSAASHAQL >ORUFI05G14790.4 pep chromosome:OR_W1943:5:15042397:15050210:1 gene:ORUFI05G14790 transcript:ORUFI05G14790.4 gene_biotype:protein_coding transcript_biotype:protein_coding METRRPLHPLLLLFSPWLLLLLLLVVQGVRSLQFTRDDFPDGFTFGAGTAAFQYEGAAAEDGRTPSIWDTYAHSWRNPGGETGDVACDGYHKYKEDVMLMNETGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNSMINELVKADLPQSLQDEYGGWVSPKVVDDFAAYAGVCFREFGDRVAHWTTSIEPNVMAQSGYDDGYLPPNRCSYPFGRSHCTLGNSTVEPYLFIHHTLLAHASAVRLYREKYQAAQKGIVGMNIYSMWFYPLTESAEDIAATERVKDFMILHPLVFGDYPETMKKAAGSRLPLFSGYESELVTNAFDFIGLNHYTSNYVSDNSNAVKAPLQDVTDDISSLFWASKNSTPTRETVKWFCMLLLRQFLPGTSLDPKGLELALEYLQEKYGNLLFYIQENGSGSNATLDDVGRIDCLTQYIAATLRSIRNGANVKGYCVWSFMDQYEMFGDYKAHFGIVAVDFGSEELTRQPRRSARWYSDFLKNNTVIKVEDDPIVLTLIDLGDLEEDDDDQEQLEVKNAMEKRPLHLHLLLFFFLAWLLLLLLLQGASSLQFRRDDFPDGFAFGAGTAAYQYEGAAAEDGRTPSIWDTYTHSGMQVGKLTTCIKT >ORUFI05G14790.5 pep chromosome:OR_W1943:5:15042397:15059932:1 gene:ORUFI05G14790 transcript:ORUFI05G14790.5 gene_biotype:protein_coding transcript_biotype:protein_coding METRRPLHPLLLLFSPWLLLLLLLVVQGVRSLQFTRDDFPDGFTFGAGTAAFQYEGAAAEDGRTPSIWDTYAHSWRNPGGETGDVACDGYHKYKEDVMLMNETGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNSMINELVKADLPQSLQDEYGGWVSPKVVDDFAAYAGVCFREFGDRVAHWTTSIEPNVMAQSGYDDGYLPPNRCSYPFGRSHCTLGNSTVEPYLFIHHTLLAHASAVRLYREKYQAAQKGIVGMNIYSMWFYPLTESAEDIAATERVKDFMILHPLVFGDYPETMKKAAGSRLPLFSGYESELVTNAFDFIGLNHYTSNYVSDNSNAVKAPLQDVTDDISSLFWASKNSTPTREFLPGTSLDPKGLELALEYLQEKYGNLLFYIQENGSGSNATLDDVGRIDCLTQYIAATLRSIRNGANVKGYCVWSFMDQYEMFGDYKAHFGIVAVDFGSEELTRQPRRSARWYSDFLKNNTVIKVEDGSVSTASHAQLWVMAAVPTFSCNEQYILRVGRCRRCYGKIIIKHILKLLYDITTYLTSIVHI >ORUFI05G14790.6 pep chromosome:OR_W1943:5:15050508:15053897:1 gene:ORUFI05G14790 transcript:ORUFI05G14790.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAASGRHPEDGTGDIASDGYHKYKEDVKLMTEIGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNNMINELVKAGIQIQVVLYHSDLPQSLQDEYGGWINPKIVDDFTAYADVCFREFGDRVAHWTTVLEPNVMAQGCYDTGILPPNHCSYSFGSNCTGGNSTVEPYLFIHHNLLAHASAVRLYREKYQVAQKGIVGINMYSLWFYPLTDSAEDIGATERAKQFMILHPLVFGDYPETIKKVVGSRLPFFSNHESELVTNAFDFVGLNHYSSVYTSNNNNVVKAPLQDLTADIATLFRATKNDTPTPEVITDSIVSAENYKTYGNTVDPQGLENALEYIRENYGNLTIYIQENGSGAPDGTLDDVERINYLQKYIAATLKAIRNGANVKGYSMWSFIDIYEIFGGYNSWHYGLVAVDFGSTERRRQPRRSASWYSDFLKNNAPIRVEDGSFVSAASHAQL >ORUFI05G14800.1 pep chromosome:OR_W1943:5:15064022:15077387:1 gene:ORUFI05G14800 transcript:ORUFI05G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLHLLLVFLSSPWLLLLQGVSSLQFTRDDFPHDFAFGAGTSAYQYEGGAAEDGRTPSIWDTYTHSGRHPEDETDVKLMSEIGLEAYRFTISWSRLIPSMATTYQVSILRTVSSVMILDNPGGRGAVNLKALQFYNSMINELIHVVMYHMDLPQSLQDEYGGWISPKIVDDFTAYADVCFREFGDRVVHWTTVLEPNAMAQAGYDMGILPPNRCSYPFGSNCTAGNSSVEPYLFIHHSLLAHASAVRLYREKYKVAQKGIIGINIYSMWFYPFTDSAEEIGATERAKKFIYGWILHPLVFGDYPDTMKKAAGSRLPIFSNHESEMVTNSFDFIGLNHYSSVYTSNNNNVVKAPLQDLTADVATLFRVTKNDTPTPVFVPGTIVDPRGLEHALKYIREKYGNLPIYIQENGSGSSSETLDDVERINYLAKYIAATLKAIRSGANVKGYSMWSFVDLYELFGGYSTWHFGLVAVDFDSEKRRRQPRRSASWYSEFLKNNSVIRVEEDGSGTLDGTLDDMERIDCLAKYIAATLKAIRNGANVKGYSVWSFMDLYELFGGYNTWHYGLIAVDFSSAERRRQPRRSASWYSDFLKNNAVIRVEDGSSVSAAAHAQL >ORUFI05G14810.1 pep chromosome:OR_W1943:5:15079201:15086158:1 gene:ORUFI05G14810 transcript:ORUFI05G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGASSIMEDANGVVDLDVDIVGLESGAACSKFVKTEDPDATECSSSFGDTLSGSEDDARPSEISDIEVDSPFCRYPANGDAAALMDAAVSDNLDRLLKKKRVTDHWRKYISPLMWRCQWLELRMKDLQSQVSRYDRQLAVLKHEKELQTKMIELDCSSSRSVPFSSHCCRKTMKRRRRKRNEEKMNASSYISNHTVFSYYEKTEADAFSIDDDEDTADENTTVNNETDWLLGIKRGEATVEQILLSIQSAQDKVLSLRSSLKKAMAKKSKGAILKVNTHMNGAQSSSCSPGKGKVLERSPRDMSDCDMDDAAMPESALSSYGEANDMDIFESTMSLLSAEVPHQMGEFHQSSEDVLIDNQAAEEGYQNFEVISHPCKRLRVSVKRESGAHSEDESVAPTVSVKKEAQEEATTSFGLQAAFFKPGYTGKRRRRMPKIQRRGGSSASPFSSWISSRIRKKRQF >ORUFI05G14820.1 pep chromosome:OR_W1943:5:15094896:15100448:1 gene:ORUFI05G14820 transcript:ORUFI05G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTSTCSSFSLLLLLLLLAAAPWRSGEAAAAAAATTAARALNFTRQDFPGEFVFGAGTSAYQYEGATDEDGRSPSIWDTFTHAGKMPDKSTGDMGAGGYHKYKEDVKLMSDTSLEAYRFSISWSRLIPKHVSLISRSNLDPISMINTGGRGPVNPKGLEYYNSLIDELVERGIEIHVTLYHLDFPQILEDEYHGWLSPRVIDDFTAYADVCFREFGDRVRHWTTMDEPNVLSIAAYDSGAFPPCRCSPPFGANCTAGNSTVEPYVVAHNSILAHASVTRLYRDKYQATQKGFVGMNIYSFWNYPFSSSSADIAATQRALDFMVGWILDPLVYGDYPEIMKKKAGSRIPSFTEEQSELIRGSADFIGINHYTSVYISDASNGETVGPRDYSADMAATFRISRNDTPSGQFVPTRLPRDPKGLQCMLEYLRDTYQGIPVYIQENGKNGANVKGYFVWSFLDVFELLAGYHSPFGLHYVDFEDPNLPRQPKLSAHWYSKFLRGEIGINIENTISPDEHEHADQ >ORUFI05G14830.1 pep chromosome:OR_W1943:5:15117460:15123685:1 gene:ORUFI05G14830 transcript:ORUFI05G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNPNPDLDGLMRGLPVKTEGPQASGTLLLIQVCLLWDGRVSYMIKKIHICVQQKVYIFYGPKHKGRMADNSTGDRAAAGYHKYKEDVKLMSDTGLEAYRFSISWSRLIPRGRGPINPKGLEYYNDLIDKLVKRGAQIFCAIPKKGEICDCSMGIEIHVTLYHLDFPQALQDEYNGWLSPRIIEDFTAYADVCFREFGDLVRHWTTVGEPNVLSIAGYDSGVIPPCRCSPPFGTSCAAGDSTVEPYFAAHNSILAHASAVRLYWDKYQAKQKGVVGTNIYSFWPYPLSRSCADIDAVQRVLDFTIGWILDPLVYGDYPEIMKKQAGSRIPSFTKEQSELIRGSADFIGINHYKSLYVSDGSNREKAGLRDYNADMAAHFRVSRNDTPSDKCLSIYQILGFGQFDKEDSLNDTERVEYLSSYMGGTLAALRNGANVKGYFVWSFLDVFELFAGYHSPFGLHHVDFEDPSLPRQPKLSAQWYSKFLRSEIGINIEKMVSPDEHEHAYYQ >ORUFI05G14840.1 pep chromosome:OR_W1943:5:15124093:15126839:-1 gene:ORUFI05G14840 transcript:ORUFI05G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKESTERCRDIVRPSPNLQCSGWLDRQLAAAQGAAAPAASCEARGIPAAAAAGEEERHQQQQQQPGGTFEFNLPGHGNVKASWSVADDEESRWLDRLAADAESSSSSSSASAAGGGGGHRIPFGDDSVKFGSDAYEFIADLLRQGTDDGEGEKPTGYWEKVDEEGSRMLDRIAANARHRAEANGDDDSIGGFVHNVFSGKSKQHGEEPSHVESDKEFSNMLIRGVNCQLLSRKLEGSLSTKARRLTCISLKV >ORUFI05G14850.1 pep chromosome:OR_W1943:5:15129357:15129689:-1 gene:ORUFI05G14850 transcript:ORUFI05G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >ORUFI05G14860.1 pep chromosome:OR_W1943:5:15129693:15131354:-1 gene:ORUFI05G14860 transcript:ORUFI05G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding HNASRGRAAAKPHFFFAAGRLASPLPPRSIILSPPVPRRRRRAASQLRQVPTPSIPRLAFD >ORUFI05G14870.1 pep chromosome:OR_W1943:5:15133577:15135550:-1 gene:ORUFI05G14870 transcript:ORUFI05G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRKLKRSRAQVKVGLPRKKPREFKPAFDLPEALAAAAAAEGGGHAPSWDAEGSVVKNYAAFGVVANPNLLGAHARGTPRLVQSAPLQAPDIDAARAPVDEFEPVDSGSDLESDDLKSALGKQRRDGKSAPLEPLTKVQRIYIGRLIEKHGDDYKAMFMDIKLNTMQHSVGTLKKLCERYHVVGKSIIHPLK >ORUFI05G14880.1 pep chromosome:OR_W1943:5:15140334:15143547:-1 gene:ORUFI05G14880 transcript:ORUFI05G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQVRKHEGSHGKVDAPPPKKPRSTERPLAPLAAATTTAKPRRDVVRPAARKSSASSDRGPVAPGSDLETEDSDGLGCLTPDSTKATLQQDDYGKGEYSPGVVLSKTDSVVKMAVADVQDLPVEDLRDNEVITVDSEGPGKPLQSIPLVQDKDAIQLARTFVNEKVKELMEGVSEPGVLQSRLSKITSFLVQATSIAAGLHDEVPLQIRGQTAALVTQISGLEQQVEELSKKLCSTEDELEVTNAMLKETQAAMLEAQSDRATAITAMNSLAMRMGASFARLGTILDPPPNAADSLEKSIKQMTALVSLLGPVSHSHSLSLARSSLTVGIAALLCRERGIEGLREPSGMDTRQFVRSQGPEFHSLISQVVDSMEQRLAKMVEGSGWRDSGATKEPGKPADSNKGTMPEPH >ORUFI05G14880.2 pep chromosome:OR_W1943:5:15140334:15143547:-1 gene:ORUFI05G14880 transcript:ORUFI05G14880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQVRKHEGSHGKVDAPPPKKPRSTERPLAPLAAATTTAKPRRDVVRPAARKSSASSDRGPVAPGSDLETEDSDGLGCLTPDSTKATLQQDDYGKGEYSPGVVLSKTVSLLSFENSPFYEGDSVVKMAVADVQDLPVEDLRDNEVITVDSEGPGKPLQSIPLVQDKDAIQLARTFVNEKVKELMEGVSEPGVLQSRLSKITSFLVQATSIAAGLHDEVPLQIRGQTAALVTQISGLEQQVEELSKKLCSTEDELEVTNAMLKETQAAMLEAQSDRATAITAMNSLAMRMGASFARLGTILDPPPNAADSLEKSIKQMTALVSLLGPVSHSHSLSLARSSLTVGIAALLCRERGIEGLREPSGMDTRQFVRSQGPEFHSLISQVVDSMEQRLAKMVEGSGWRDSGATKEPGKPADSNKGTMPEPH >ORUFI05G14890.1 pep chromosome:OR_W1943:5:15143814:15144467:1 gene:ORUFI05G14890 transcript:ORUFI05G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVPLLGVLGGAALYTSRRFFVGSRRQATRWGLGALVDTTTASAISHSTLDLVARRRATAVGRNPRRRRERETPTRETGGITRAARREAASRERGERGDGDDNRIWRRSNRTAPPPPSPLLEAPVLATEEHADNFVVVVAAVGHRAETGSGERPSRPSPPPSWTPPHGERGEQRQEREEKVAAAAAGKGEEGGGTGAREIEREREGEDDDGAERRE >ORUFI05G14900.1 pep chromosome:OR_W1943:5:15147424:15151563:-1 gene:ORUFI05G14900 transcript:ORUFI05G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPAMSHSSAFLLPSPSAAAAGADAPPAPPPASGTAVRVCADGGANRVYKPDVIKGDLDSVRPEVKEYYSNMGTQIVDESHDQDTTDLHKCVAFITENSAIPNKSNLCIFALGALGGRFDHEMGNINVLHLFPNNRIILLSDDCLIFLLPRTHTHNIHIERSIEGPHCGLIPIGAPSATTTTTGLQWNLDNTSMSFGGLISTSNIVREESTVVTITSDSDLIWTISLRHHS >ORUFI05G14910.1 pep chromosome:OR_W1943:5:15157981:15158811:1 gene:ORUFI05G14910 transcript:ORUFI05G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSYFIVAMLISNCLGFIATPIAQVVGSSSSSGVGGRKVLSAGGLHQIYHHGGTVPGSSDPLGPPSDEIYHHGGTVPGPSDPLGPPSDEIYRHGGGHHTTPGPSDPIGHPPSDEIYGHGGGHHTAPGPSDPIDPPPSDEIYNRGGHHTAPGPSDPIDPIGPPPSDEIYNHGGHHTAPGPSNPIDPIGPPPSDEIYNRGGHHTAPGPSNPIDPIGPPPSDEIYNRGGHHTTPGPSDPIDPIGPPPNDEIYNRGGHHTAPGPSNPIDPIGPPPSDI >ORUFI05G14920.1 pep chromosome:OR_W1943:5:15161630:15163639:-1 gene:ORUFI05G14920 transcript:ORUFI05G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGATWTRRLHLHGLFLAVLLLLTLPAGSTAAAGGGGGTVIGIDLGTTYSCVGVYRNGHVEIIANDQGNRITPSWVAFTGGGERLIGEAAKNQAAANPGRTVYDAKRLIGRRFADAEVQRDMRLLPFAVVDKGGKPHVRVEVRGGDVRLLSPEEVSAMVLARMKETAEAYLGEEVTRAVVTVPAYFNDAQRQATKDAATIAGLAVERILNEPTAAALAYGVGKEGAGGKNVLVFDLGGGTFDVSVLAIDGGVYEVLATNGDTHLGGEDFDQRVMEHFVELVRRKHGRDIAGDARALGKLRRECERAKRALSIQHQVRVEVESLFDGVDLSEPLSRARFEELNNDLFRKTMAPVRKAMADARLSNADIDEIVLVGGSTRIPKVRQLLRDYFGGKQPNQGVNPDEAVAYGAAIQANIVGGDTDNKTRDMVVLDVTPLTLGLETAGGVMATLIPRNTPVPTKRAQLFSTYKDKQTTVTVKVFEGERSMTRDNRLLGRFDLAGIAPAPRGAPQIEVAFEVDADGILSVSAADRATGRSERITISGDDRKTSREEIDRMLGEAEEFADEDRHHRERAGARNSLEAYVYGVKNAVVGGEMAGAMDGGEKEKVEAAVMEAYEWLDGNQDVGKEEYEEKLRELEDVCNPVMSAVYQRSGGSRRDGDGGGDDDHDEL >ORUFI05G14930.1 pep chromosome:OR_W1943:5:15166487:15167269:1 gene:ORUFI05G14930 transcript:ORUFI05G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHHLPPEPADEPHHHNHGGKAAVHADDLKPGGRRRRYGYYYGDGYGSADPARTLCFVVLVVILLAGITALVLYLVYRPSRPAFAVTSVAVYSLSLNGTGTGTGAVAGGPATLAASFQLTLVIRNPNERSAARYDRLAAYVAYRGEPITAPAPMPPLVQDADSAVAVAPVLGAGAAAPPVPVSPDTAAALATDVSYGVVALRVVVLGRVRFVSGPFRSGWHSMYARCDLLVGVRKSGGGGAGGGPEAPLLGNPTCAVDM >ORUFI05G14940.1 pep chromosome:OR_W1943:5:15172830:15183810:1 gene:ORUFI05G14940 transcript:ORUFI05G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDVKAPRPGCGGDDGGAAAASLSARREEEEEGAVVGGEDEQVERFYALLANIRALRGMYSRYNGEEGAAGGDGDGASGRKRARRAEPPWRPAFRMEDFEFEEAAAGAGDDDAACSGRTTKKQRSGGGGHGAAVEKRRTEKEAAAAAAEDDDDEQEGGEVVEGKEEHRPGRRVEAHGPTDHWSRRSRSHVFNLQKMLLPPFHNAEAAGGGGEKKAASVAPRDAGDAPSSPRAAVGGEQQSSAAAASSGDGVAAAANVDRSGGVAAAGEAEEDEDDEQRAVERFYALVANVRAMRGMYRSSGDGASADSATGGNAGGGGERKRARRADQPWRPVFRMEDFADDVAGGAATTTTRSDDGARAPPIEIEDLQLVFDLEDSLFLDVEAGGVEPLMELGSL >ORUFI05G14950.1 pep chromosome:OR_W1943:5:15194445:15194849:1 gene:ORUFI05G14950 transcript:ORUFI05G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSWAWRQAAAVSRVEEDSDGLPPRKPEPRWTQYLPWWRWKSIGVGHPDVEVDGGGGSSALAVQGGEESRRWCTFACKWLWRTSKNNRTQEDKVNQIGRRPEQRPPLDALQKKVCPSLDAIGNSVLPIFTNLI >ORUFI05G14960.1 pep chromosome:OR_W1943:5:15200312:15203003:1 gene:ORUFI05G14960 transcript:ORUFI05G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPSHWMLDKLGGAFAPKPSSGPHKARECLPLILILRNRLKYALTYREVISILMQRHVMVDGKVRTDKTYPAGFMDVVSIAKTGENFRLLYDTKGRFRLHSIKDEDAKFKLCKVRSVQFGQKGIPFLNTNDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKSREKHKGSFETIHVEDALGHQFATRMGNVFTIGKERKPWVSLPKGKGIKLSIIEEARKRNAEAAAEA >ORUFI05G14970.1 pep chromosome:OR_W1943:5:15203269:15204220:1 gene:ORUFI05G14970 transcript:ORUFI05G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRACPAMPPETPAAAARLVAVRGPQRPLRETEELPRLGGGAPPSPPTSEATTIAGHSGGRWQAGRWQHQSRELFLCLLDCLAGKIYASKCWLELWDLGLGKV >ORUFI05G14980.1 pep chromosome:OR_W1943:5:15212745:15214145:-1 gene:ORUFI05G14980 transcript:ORUFI05G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESLQCKVLALRVSIHCEGCKKKVKKVLQRVEGVYRCDVDGRSNKATVTVTGKVSADTLVRKLRRAGKHAEQWPEEQQQQQPNGGQCQEETKNQAAEPGMSGEPAEPEKPASGDAAEPSDPKVTHEEPKKVAGEGAAAVAPAEDGGTEITDANVSESAGDGGGGGGGVETVKAQQPSEPKRRRKQQPPQQQKEEKAGEATMATAAAAAASTQGNHTSHHFPAAPLQQQPVHVMSYNVARPSSSAAYYAAARPASAARPPPPLPPAPPQEHSYAYSPYYSQSQPSPYRYGGYYSYYYYGGGGGGGGGGQRTPQRSAASPARNSYGDLFSDDNANSCSVM >ORUFI05G14990.1 pep chromosome:OR_W1943:5:15218899:15221253:1 gene:ORUFI05G14990 transcript:ORUFI05G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMMTSSPTACVVLALAFVLLAVTPTLCYVTDGATRRRGASTSRRHGEARTYIVLVEPPDADGDDDEAAHRRWHESFLPGGGGGGGGEERASPTRIRHSYTGVVSGFAATLTRGEVAAVSRRRGFVRAFPERRLPLLTTRSPGFLGLTPERGVWKAAGYGEGVVVGLLDTGIDAAHPSFRGEGMPPPPARWKGACTPPARCNNKLVGAASFVYGNETGDEVGHGTHTAATAAGRFVDGVSAFGLAAGTASGMAPGAHLAMYKVCNDQGCFESDVLAGMDAAVKDGVDVLSISLGGPSLPFDKDPIAIGAFGAMSKGIAVVCAGGNSGPTHFTLSNEAPWMLTVAAGSVDRSFRATVRLGDGEAFDGESLSQDKRFSSKEYPLYYSQGTNYCDFFDVNVTGAVVVCDTETPLPPTSSINAVKEAGGAGVVFINEADFGYTIVVEKYYGLPMSQVTAGDGAKIMGYAAVGSPAASHNATIVFNSTVVGVKPAPVVAAFSSRGPSAASPGVPKPDIMAPGLNILSAWPSQVPVGEGGGESYDFNVVSGTSMATPHVTGVVALIKKLHPDWSPAMIKSAIMTTSSAVDNDGHAIMDEEHRKARLYSVGAGHVDPAKAIDPGLVYDLAAGDYAAYICALLGEASLRVITGDAAATCAAAGSVAEAQLNYPAILVPLRGPGVEVTVNRTVTNVGPARARYAAHVDAPGSGTTTTTTVKVEPAELVFEEAMERKTFAVTVTASGGGGAGGGGHVVAEGSLRWVSRRHVVRSPIVADSSVGGPSRRSAQDA >ORUFI05G15000.1 pep chromosome:OR_W1943:5:15223982:15224645:1 gene:ORUFI05G15000 transcript:ORUFI05G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQLPTVISMEWDSTTEPAKSSPPSQRYGYLERIRNLSVVSAEAGRWWWPCSSSSTARSSYYSSSMSSSVSGTGSQNQRDFDREPDHALERWFSELDVGWVLRSAAEKELAAKLGLDDLVQRWTRGYAVMVEALVATQQNMKFQDHTNMELEDDLRPLQVTRFVEATVSKMLAFADALAADNT >ORUFI05G15010.1 pep chromosome:OR_W1943:5:15224679:15234245:1 gene:ORUFI05G15010 transcript:ORUFI05G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCTSINECGIRILSEIIGLLRHILLSKWDPHSDDRCSQLPITMIQQMLINFEDQLEKNSESFSDPSLRYQFLLNNSYFVREEFLEPSNYVYILPSGTTLKFMQYQEKYMLASWEPVLYCLQDKMPLWFPKHSSQLSRFKSEFQKTCTPHQKLWKVPNPRLRQKLREAITDKVITGYKRYLEDHPELEKCSSDLQDMEDMVNELFEG >ORUFI05G15020.1 pep chromosome:OR_W1943:5:15238087:15238541:-1 gene:ORUFI05G15020 transcript:ORUFI05G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQWRRDLAGAGEEKERCRVNDMSIVNWYKPGSKSPLRGGCWSLPRRKVVSSDHRLHARSHKVSKKVHRRDQDQEQQLQRDHAVAETYDIDGNQPPTDY >ORUFI05G15030.1 pep chromosome:OR_W1943:5:15246570:15248132:1 gene:ORUFI05G15030 transcript:ORUFI05G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQLPTVMELDSSEPANFTQPSRRYSYLEKIRSLSVVSGEVAGWSSSASASSSRSVRSSYYSSSMSSNASGGPHNHRYAPYSNSSPGDIDIHGAQDIARQMVHDGFMVNLIREFDRAPGPALERWFSELDVGWLLRSAAAADKEQSELGLADLVRRWTRGYTVMAEALSATQTKVAGVPAIILPQAQRDHNNIMCDQELEDDLRLQVARFVEATVSKMLAFVDALAAENTRLSIDNLSRLVGLCNCIYSCIYKCKVVEITDSEEIVDSEEITDSELQCLARKVDGAFRITTETLCTAIWRMAKVAEAVTPVLSGWDSCENFKQNAEIHEATRLIVDYVRLFWGYESLWINMQYLKWVQIPITTIRQMLINFEDQLEKNSESFSDPSLRYLFLLNNSYFVREEFLEPSNYVYILPSGTTLKFMQYQEKYMLASWEPVLYCLHEKMPLWFPKHSSQLARFKSEFQKTFRHQKLWKVPNPRLRQKLREAIIDKVITGYKRYLEKCSSDLQDMEDMVNELFEG >ORUFI05G15040.1 pep chromosome:OR_W1943:5:15252603:15253400:1 gene:ORUFI05G15040 transcript:ORUFI05G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQGQCREPPVTAARAAATVAGGKAGREEESEEERRGVDNVTGYGEKRRGYGEKEKRMRLVDHMAYPCKWRKKPLEDMVNK >ORUFI05G15050.1 pep chromosome:OR_W1943:5:15254363:15256042:-1 gene:ORUFI05G15050 transcript:ORUFI05G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSELDATEASLSLPSWRWSYSYLEKIRSMSVVGSSSSSSSSRSARSSNYSSTVSSTESGAGSHRRRCKTGAASGGSFLRVVGFQVPGPRDIASQMVRDGFVLALIGAFGRAPGPVLERWFSELDVGWVLRPTDQQQQEEEEEEEAERLVWRWTRALTVMAHALSATQGHLHDERSAAAAAAAAVAVVGGVEFAISQPPHHTAPAVASSSDHELLLRGVVGGGDDLCLVRFTDTTISKMIAFAAALAAASKAGTCPTPTADSFFGLMEVHTCISDVSEILMPALKQEALDLRLPDSEQMQSLVNKIGEAMANTQDSLGEAIRKTAKDAEAVTPVLSAMDSWEKFTQTEGIHRATRLIVDYASLFWGYRRVLESILCCYRSESSQNCWEIVQSLIEQMIITFLDQLEKKSESFSDPSLRYIFLINNSYFIQDQFLATNTDYSFPSSKGIRYWHYQNCYLDVSWEPVLSFLYLYNKMPKFFPKHSPQLLARFQSEFQKACRHQKLWKVPNTEHRNSLRKAISDKVITAYRKYLEGHLEPEKSSSDLLAMEDMVNELFEG >ORUFI05G15060.1 pep chromosome:OR_W1943:5:15262819:15264300:1 gene:ORUFI05G15060 transcript:ORUFI05G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMLETVSEMESTEAADGDGATATWRRSVRSSNYSSASSTASSARPRYVPCYDDADFVTYSFQRAVGLGAPGPARDIRGQLGEWFSELDVSCVLRNDQEEEEATGSLVGRWARALTVMARALIATLQDQEDNLTGRLCQLGRLMEASFSKIQLAQFAQATFSKMLAFANALADKPWTRPTDKFSGLMQLRICINDVSDILVPLYNEDELEKKSKLFSDHSLRYLFLLNNSYVVQYQFLVPSDYSPPSEIKFHYEQYQKEYMRASWEPVLSCLHDKMPPCFPKLSSHSELSRFELEFEKTCSHQKLWKVPLPNLRQSLRETIINKIITRYKKYMEDHPEQEKCGRDPLDMEGMVNDLFEG >ORUFI05G15070.1 pep chromosome:OR_W1943:5:15270849:15271280:-1 gene:ORUFI05G15070 transcript:ORUFI05G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKHGISPRMEHYSCMVTLLGRAGKLDDAYDIINQMPFEPDGCIWGSLLGSCRVHGNVVLAEPENASNYVLLSNIYAYKKMWDGVNRLRDMMKTVGLKKEKGCSWIEIKNKVHMLLAGDSSNPMMAAITEKQ >ORUFI05G15080.1 pep chromosome:OR_W1943:5:15271360:15282991:-1 gene:ORUFI05G15080 transcript:ORUFI05G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFETAGQSQIHLIFALVGLPTHPSIPPPPAPANPPPPDASTAHLIRRHPPLISLLLHGIPRCCSPARWRSRPLPIEPAPFSSRSVASRAHHHKRLDRRRRSSGGDARRPGAAEPMSTRSTTSSVLNFLRHVSFPPDPRLLPSALKSCSALRLARALHAAAAVAGVSRDAFVASSLLHAYLRFGATADARSVLDGMPHRTVVGWSALIAAHASHGDAEGAWGLLERMRSDGVEPNVITWNGLVSGLNRSGRARDAVLALVRMHGEGFLPDATGVSCALSAVGDVGDVAVGEQLHGYVVKAGCRLDACVATALIDMYGKCGRADEIVRVFDESSHMDVASCNALVAGLSRNAQVSEALRLFREFVGRGIELNVVSWTSIVACCVQNGRDLEAVDLFREMRSEGIEPNSVTIPCVLPAFANIAALMHGRSAHCFSLRKGFHHDIYVGSALVDMYAKCGRVRDARMIFEAMPYRNVVSWNAMIGGYAMHGEAENAVRLFRSMQSSKEKPDLVTFTCVLGACSQAGWTEEGRSYFNEMQHKHGISPRMEHYACMVTLLGRAGKLDDAYDIINQMPFEPDGCIWGSLLGSCRVHGNVVLAEVAAENLFQLEPENAGNYVLLSNIYASKKMWDGVNRLRDMMKTVGLKKEKGCSWIEIKNKVHMLLAGDSSHPMMAAITEKLKHLTMEMRRLGFAPSTDYVLHDVEEQEKDDILSVHSEKLAVALGLISTSHGTPLQVIKNLRICGDCHEAMKFISSFERREIYVRDTNRFHHFKDGKCSCADYWTMAYQQKNGTGHSKLVRHYDSEASWNNLLPHDEAIFGYVIEARETKSSSHLLHKVKELVCFTFIAPIDRILIHGHITSVVRIIQASLTSWTMEPLYKQVHGKKDLYVSSLVDMYAKCGRVRDARMIFEAMPSRNVVSWNAMIGGYAMHGEAENAVRKFRREA >ORUFI05G15090.1 pep chromosome:OR_W1943:5:15276968:15278506:1 gene:ORUFI05G15090 transcript:ORUFI05G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEPQLAITMELGRSRDPNISLQSRRHSYLQEIRSLAVDSAGGWSPSSSSRSARSSSYYSSSKSSSSRHRYAPYSISSSRRLARLEDKSPRDIARRMVRDGFMGKLIGEFGRAPRPALERWFSELDVGWVLRSALEKKSELALDKLYDLAVQRWTRGFTVMAEALSATQRHLQEEGRSTAQVDRDDDLRLVRFVDATVCKMLAFANSLVAVADKTWNPMNKLSGLMNVRSCISHASEIIMLSLKKETLWLPDSDEMLQGLLNKTSNIFSTAKDNLGQAIQRMANDAEAVTPVLSGMHSWETFPQSAEIHKATHLIMGYARLFWGHIDELNSILGQCWPHRILKCDIIEQMISNLIDHLEKKSESFSDPILRYLFLLNNSYFIQDQYIAITGYSLPSDSKIGIKYCDYRNCYLNVSWDTVLSCLHIKMTTLWFSKPSQLARFKSEFQRTCRHQKLWKVPNPELRRSLRKAIIDKVITGPTGYRTYLEAHPEQEKCGSNQQDMEDMVNELFEG >ORUFI05G15100.1 pep chromosome:OR_W1943:5:15290388:15291552:-1 gene:ORUFI05G15100 transcript:ORUFI05G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEASMLSSPAATYSGLWLSSPSSVAEKARKERNSFGKEDVADVAVAPNTDADLREPDVVKLRGSTLPKTTKLRGEQHATDSNPVGLGFSTSKLTTIEIVPRVENGISLTTYTDHIYG >ORUFI05G15110.1 pep chromosome:OR_W1943:5:15299845:15300507:-1 gene:ORUFI05G15110 transcript:ORUFI05G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMACRTLELTLLSASDLRGVNLVSKMEVYAVVYLAGDPRARQRVATDRAGGRNPSWKGKDATVRLAVPASGAGSGAVRVLLRAERAGLGGDRDVGEVFVPLPDVLAGSGDGPTAAAVASYPVRKVGSSRTTHGVLNLSYKLGGVVHPDPAAAAAAACSCKPAAPAAGGSTMAYLAAAAAAYRAAPPPQPPLYGYRQLPPIPQPVPPMGAVAGGGVRAGR >ORUFI05G15120.1 pep chromosome:OR_W1943:5:15310140:15312464:1 gene:ORUFI05G15120 transcript:ORUFI05G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRPFRPEEYSLKETSPHLGGGAAGDKLTTTYDLVEQMQYLYVRVVKAKDLPSKDITGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKERIQSSVVEIIVKDKDFVKDDFIGRVLFDLNEVPKRVPPDSPLAPQWYRLEERNGHKVKGELMLAVWMGTQADEAFPEAWHSDAASIPGDGLASIRSKVYLTPKLWYLRVNVIEAQDLIPNDRTRFPDVYVKAMLGNQALRTRVSPSRTLNPMWNEDLMFVAAEPFEEHLILSVEDRIAPGKDDVLGRTIISLQHVPRRLDHKLLNSQWYNLEKHVIVDGEQKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWKHSIGILELGILTAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVYDPCTVITIGVFDNCHLNGGEKANGARDTRIGKVRIRLSTLETDRVYTHAYPLIVLTPAGVKKMGEVQLAVRFTCSSLLNMMHLYSQPLLPKMHYVHPLSVMQVDNLRRQATNIVSTRLSRAEPPLRKEIVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLIAVAKWFDQICHWRNPLTTILIHILFVILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMDTRLSHAESAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVTFCFVAAIVLYVTPFRVVVFLAGLYTLRHPRFRHKMPSVPLNFFRRLPARTDSML >ORUFI05G15130.1 pep chromosome:OR_W1943:5:15318515:15340407:-1 gene:ORUFI05G15130 transcript:ORUFI05G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCMMMMSQAASSTAAMAPRDGEGGGRRHHFVLVHGLCHGAWCWYRVATALRRAGHRVTALDMDAAGASPARVDEVRTFEDYSRPLLAALAALPPSGDGERVVLVGHSHGGFSVALAAERFPERLAAVVFLTASMPPVGRAMANTTDELTSRTNSSNIGKVAVEDRIFGRSAANRVYRVAVRWCAGGSGAAFAAICSAACGWGATKRPVREGRKGRRPAAAREWPHPRFKGGQSRLRVEGGRGEGKHRLPSASRLREKRRNGPREYVSFVGADFFLDSRVLEQTNPDIPGNPEIFGPNFMAQKLYQLSPPEDLTLALSLIRPANRFTGDALMRDAGLLTKERYGSTRRVFVVVEDDHAIPVEFQRRMVAENPGVEVVDIAGADHMAMISKPAKLADLLVILAWYQEPGTNAPSLVVQPSTSHSSSPVAASSIVHDTSQLVANGRVLRHPRPTLELVADGHILHRPCHVGARRRWTRPPSSTPHARAHPPMSTGGGAMELGGGGHESVERRRRHQHHFVLVHGLCHGAWCWYKAAAALRRAGHRATALDMAASGAHPARVDEVRTFEDYSRPLLDALAALPPAGGDGDDEERVVLVGHSQGGFSVALAAERFPERVAAVVFLTAAMPPVGRPMSATTVEHVNYVGVEFFLDSMELEQQNADIPGNPVIFGPNFMAQILYHLSPQEDLTLGLSLIRPTNKFTGDALMRDPGLLTKERYGSTRRVFVVVEDDRGIPVEFQRRMIAENPGVEVVDFAGADHMAMISSPAKLAELLVRIADKAHEP >ORUFI05G15130.2 pep chromosome:OR_W1943:5:15318515:15340407:-1 gene:ORUFI05G15130 transcript:ORUFI05G15130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCMMMMSQAASSTAAMAPRDGEGGGRRHHFVLVHGLCHGAWCWYRVATALRRAGHRVTALDMDAAGASPARVDEVRTFEDYSRPLLAALAALPPSGDGERVVLVGHSHGGFSVALAAERFPERLAAVVFLTASMPPVGRAMANTTDEYVSFVGADFFLDSRVLEQTNPDIPGNPEIFGPNFMAQKLYQLSPPEDLTLALSLIRPANRFTGDALMRDAGLLTKERYGSTRRVFVVVEDDHAIPVEFQRRMVAENPGVEVVDIAGADHMAMISKPAKLADLLVILAWYQEPGTNAPSLVVQPSTSHSSSPVAASSIVHDTSQLVANGRVLRHPRPTLELVADGHILHRPCHVGARRRWTRPPSSTPHARAHPPMSTGGGAMELGGGGHESVERRRRHQHHFVLVHGLCHGAWCWYKAAAALRRAGHRATALDMAASGAHPARVDEVRTFEDYSRPLLDALAALPPAGGDGDDEERVVLVGHSQGGFSVALAAERFPERVAAVVFLTAAMPPVGRPMSATTVEHVNYVGVEFFLDSMELEQQNADIPGNPVIFGPNFMAQILYHLSPQEDLTLGLSLIRPTNKFTGDALMRDPGLLTKERYGSTRRVFVVVEDDRGIPVEFQRRMIAENPGVEVVDFAGADHMAMISSPAKLAELLVRIADKAHEP >ORUFI05G15130.3 pep chromosome:OR_W1943:5:15318515:15340407:-1 gene:ORUFI05G15130 transcript:ORUFI05G15130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCMMMMSQAASSTAAMAPRDGEGGGRRHHFVLVHGLCHGAWCWYRVATALRRAGHRVTALDMDAAGASPARVDEVRTFEDYSRPLLAALAALPPSGDGERVVLVGHSHGGFSVALAAERFPERLAAVVFLTASMPPVGRAMANTTDELTSRTNSSNIGKVAVEDRIFGRSAANRVYRVAVRWCAGGSGAAFAAICSAACGWGATKRPVREGRKGRRPAAAREWPHPRFKGGQSRLRVEGGRGEGKHRLPSASRLREKRRNGPREYVSFVGADFFLDSRVLEQTNPDIPGNPEIFGPNFMAQKLYQLSPPEDLTLALSLIRPANRFTGDALMRDAGLLTKERYGSTRRVFVVVEDDHAIPVEFQRRMVAENPGVEVVDIAGADHMAMISKPAKLADLLVILAWYQEPGTNAPSLVVQPSTSHSSSPVAASSIVHDTSQLVANGRVLRHPRPTLELVADGHILHRPCHVGARRRWTRPPSSTPHARAHPPMSTGGGAMELGGGGHESVERRRRHQHHFVLVHGLCHGAWCWYKAAAALRRAGHRATALDMAASGAHPARVDEVRTFEDYSRPLLDALAALPPAGGDGDDEERVVLVGHSQGGFSVALAAERFPERVAAVVFLTAAMPPVGRPMSATTVEDLTLGLSLIRPTNKFTGDALMRDPGLLTKERYGSTRRVFVVVEDDRGIPVEFQRRMIAENPGVEVVDFAGADHMAMISSPAKLAELLVRIADKAHEP >ORUFI05G15130.4 pep chromosome:OR_W1943:5:15318515:15340407:-1 gene:ORUFI05G15130 transcript:ORUFI05G15130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCMMMMSQAASSTAAMAPRDGEGGGRRHHFVLVHGLCHGAWCWYRVATALRRAGHRVTALDMDAAGASPARVDEVRTFEDYSRPLLAALAALPPSGDGERVVLVGHSHGGFSVALAAERFPERLAAVVFLTASMPPVGRAMANTTDEDLTLALSLIRPANRFTGDALMRDAGLLTKERYGSTRRVFVVVEDDHAIPVEFQRRMVAENPGVEVVDIAGADHMAMISKPAKLADLLVILAKYQVILAWYQEPGTNAPSLVVQPSTSHSSSPVAASSIVHDTSQLVANGRVLRHPRPTLELVADGHILHRPCHVGARRRWTRPPSSTPHARAHPPMSTGGGAMELGGGGHESVERRRRHQHHFVLVHGLCHGAWCWYKAAAALRRAGHRATALDMAASGAHPARVDEVRTFEDYSRPLLDALAALPPAGGDGDDEERVVLVGHSQGGFSVALAAERFPERVAAVVFLTAAMPPVGRPMSATTVEHVNYVGVEFFLDSMELEQQNADIPGNPVIFGPNFMAQILYHLSPQEDLTLGLSLIRPTNKFTGDALMRDPGLLTKERYGSTRRVFVVVEDDRGIPVEFQRRMIAENPGVEVVDFAGADHMAMISSPAKLAELLVRIADKAHEP >ORUFI05G15140.1 pep chromosome:OR_W1943:5:15350415:15360342:-1 gene:ORUFI05G15140 transcript:ORUFI05G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRRGGRREAEEGVAVAGRSRGVAAAAARHEVAGELELASAGGLGGGGGDELVDPDQLTYIDEKLQNILGHFQKEFEGGVSAENLGSQYGGYGSFLPTYQRSPPALSQSGSPAVLPNHGSASRSPYIPLESVQKNHFVKQAIDGRRKNNYCQRTSSENDSNHSQQLLNSGPEQKTAKIRIKVNNKCLERNNAAIYSGLGLDISPSSSIDDSPQWSIEAPESKLFPDESADTIFQIMTCHSVPGGLLLSPLAENVLELRQKSTAVTKKHEAPVYDNDKEELQRNCCHTSSAAPDNNYQLVKKIKLDEQRDHLPEFENSKYRHKNATIMKKGAKPELKDISDEIDSIRAPRCAKTEKHAVGESADFIADTSGRLKEAKNGQFKGKGSTQSSLSIIDVKAANSANDDKHPKGKAKLKVTLVRNAKMESSLDDGFSHKTKSDKCNDQPVTTSSQLQIDPAKKTSLKRDRGKVVCAKDEPSQYKSKELRSLVDAESMGTTTENVAGNSSELLKGKKVSALQASLFGKKLKIKTHKKPNYDTTRKPNGENEGYVLDHRNGSTYLHTEDKNLKTEKESATSGLTDKDFSGGGNDGDHKISPIVVDKSASMPSRCKNETTEASMAVPASEPVDQWVCCDKCETWRLLPYGMNSDTLPKKWRCSMQSWLPGMNNCKLSEGETTNAIRALYVVPIPENNISLDSRCDTATLVRSNDAAIMSDNLGMPEISKSSKKLHAPRNRDGLDCFPKLKEKQKRIESSDKGQTMAKDRMHRKRKTSGADYDNLIASKKLKKVYNEPPKHQPPQFELSKSRPSTKGSLKELPKHTNISPGMGKHALPSSGKQFCDGDNSDRGARASDAGKSDPRDLFIKKNKSKQMQLRQHGPDPRPSDAFAKHVVKEVLSESNAAKEKLGSDLKFLKVDDHEKSAHARGPVTGTNSNAIFSEKEDLIEQHLENIHFQHPLLSESSVRRNICNVQASTAATSSSSKVSSSHKNKPEFQETRTSPVESVSSSPLRTSDKKHLDRHRTNSYAVAEIVHSQESVKTGASCSKEKYGFECGSDHTKPHVSGCSNRVMHQDALEDGDLDKQNILTNGVFNNRSSGLGIRNDQGQPNSLVEQKVNSHVLPIHGSGDFRRPTPDQNGKTLPQYNSNQSDQAKLSSGKHPTQVRPDKGNVEYIDLKTNPSTVAGSKLLPGLNNKVNGNASNKSKQSVVENMKHAAIHVDASTPINASALLKEARDLKHLSDRLKGKGDDLESANICFEACLKFLHVASLKEAAGVDSSKQGDPINTMTLYSDTGNLCGFCAREFERLKKMANAALAYKCVEVAYMKAAFYKHPGAIKDSHALQAASVIAPPAESPSSSASDVDNLNNPSTIAKIVSTRGLCTSQIAKNPISRSNHHLMGLLAYVEDTNYAFEGTRKSQSAFFSYLSGIEKDQADGIALLTEVLNFSFHNVKGLLQLIRHSLECINHESCGGA >ORUFI05G15140.2 pep chromosome:OR_W1943:5:15350415:15360342:-1 gene:ORUFI05G15140 transcript:ORUFI05G15140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRRGGRREAEEGVAVAGRSRGVAAAAARHEVAGELELASAGGLGGGGGDELVDPDQLTYIDEKLQNILGHFQKEFEGGVSAENLGSQYGGYGSFLPTYQRSPPALSQSGSPAVLPNHGSASRSPYIPLESVQKNHFVKQAIDGRRKNNYCQRTSSENDSNHSQQLLNSGPEQKTAKIRIKVNNKCLERNNAAIYSGLGLDISPSSSIDDSPQWSIEAPESKLFPDESADTIFQIMTCHSVPGGLLLSPLAENVLELRQKSTAVTKKHEAPVYDNDKEELQRNCCHTSSAAPDNNYQLVKKIKLDEQRDHLPEFENSKYRHKNATIMKKGAKPELKDISDEIDSIRAPRCAKTEKHAVGESADFIADTSGRLKEAKNGQFKGKGSTQSSLSIIDVKAANSANDDKHPKGKAKLKVTLVRNAKMESSLDDGFSHKTKSDKCNDQPVTTSSQLQIDPAKKTSLKRDRGKVVCAKDEPSQYKSKELRSLVDAESMGTTTENVAGNSSELLKGKKVSALQASLFGKKLKIKTHKKPNYDTTRKPNGENEGYVLDHRNGSTYLHTEDKNLKTEKESATSGLTDKDFSGGGNDGDHKISPIVVDKSASMPSRCKNETTEASMAVPASEPVDQWVCCDKCETWRLLPYGMNSDTLPKKWRCSMQSWLPGMNNCKLSEGETTNAIRALYVVPIPENNISLDSRCDTATLVRSNDAAIMSDNLGMPEISKSSKKLHAPRNRDGLDCFPKLKEKQKRIESSDKGEKSTVTISSGQTMAKDRMHRKRKTSGADYDNLIASKKLKKVYNEPPKHQPPQFELSKSRPSTKGSLKELPKHTNISPGMGKHALPSSGKQFCDGDNSDRGARASDAGKSDPRDLFIKKNKSKQMQLRQHGPDPRPSDAFAKHVVKEVLSESNAAKEKLGSDLKFLKVDDHEKSAHARGPVTGTNSNAIFSEKEDLIEQHLENIHFQHPLLSESSVRRNICNVQASTAATSSSSKVSSSHKNKPEFQETRTSPVESVSSSPLRTSDKKHLDRHRTNSYAVAEIVHSQESVKTGASCSKEKYGFECGSDHTKPHVSGCSNRVMHQDALEDGDLDKQNILTNGVFNNRSSGLGIRNDQGQPNSLVEQKVNSHVLPIHGSGDFRRPTPDQNGKTLPQYNSNQSDQAKLSSGKHPTQVRPDKGNVEYIDLKTNPSTVAGSKLLPGLNNKVNGNASNKSKQSVVENMKHAAIHVDASTPINASALLKEARDLKHLSDRLKGKGDDLESANICFEACLKFLHVASLKEAAGVDSSKQGDPINTMTLYSDTGNLCGFCAREFERLKKMANAALAYKCVEVAYMKAAFYKHPGAIKDSHALQAASVIAPPAESPSSSASDVDNLNNPSTIAKIVSTRGLCTSQIAKNPISRSNHHLMGLLAYVEDTNYAFEGTRKSQSAFFSYLSGIEKDQADGIALLTEVLNFSFHNVKGLLQLIRHSLECINHESCGGA >ORUFI05G15140.3 pep chromosome:OR_W1943:5:15352096:15360342:-1 gene:ORUFI05G15140 transcript:ORUFI05G15140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRRGGRREAEEGVAVAGRSRGVAAAAARHEVAGELELASAGGLGGGGGDELVDPDQLTYIDEKLQNILGHFQKEFEGGVSAENLGSQYGGYGSFLPTYQRSPPALSQSGSPAVLPNHGSASRSPYIPLESVQKNHFVKQAIDGRRKNNYCQRTSSENDSNHSQQLLNSGPEQKTAKIRIKVNNKCLERNNAAIYSGLGLDISPSSSIDDSPQWSIEAPESKLFPDESADTIFQIMTCHSVPGGLLLSPLAENVLELRQKSTAVTKKHEAPVYDNDKEELQRNCCHTSSAAPDNNYQLVKKIKLDEQRDHLPEFENSKYRHKNATIMKKGAKPELKDISDEIDSIRAPRCAKTEKHAVGESADFIADTSGRLKEAKNGQFKGKGSTQSSLSIIDVKAANSANDDKHPKGKAKLKVTLVRNAKMESSLDDGFSHKTKSDKCNDQPVTTSSQLQIDPAKKTSLKRDRGKVVCAKDEPSQYKSKELRSLVDAESMGTTTENVAGNSSELLKGKKVSALQASLFGKKLKIKTHKKPNYDTTRKPNGENEGYVLDHRNGSTYLHTEDKNLKTEKESATSGLTDKDFSGGGNDGDHKISPIVVDKSASMPSRCKNETTEASMAVPASEPVDQWVCCDKCETWRLLPYGMNSDTLPKKWRCSMQSWLPGMNNCKLSEGETTNAIRALYVVPIPENNISLDSRCDTATLVRSNDAAIMSDNLGMPEISKSSKKLHAPRNRDGLDCFPKLKEKQKRIESSDKGQTMAKDRMHRKRKTSGADYDNLIASKKLKKVYNEPPKHQPPQFELSKSRPSTKGSLKELPKHTNISPGMGKHALPSSGKQFCDGDNSDRGARASDAGKSDPRDLFIKKNKSKQMQLRQHGPDPRPSDAFAKHVVKEVLSESNAAKEKLGSDLKFLKVDDHEKSAHARGPVTGTNSNAIFSEKEDLIEQHLENIHFQHPLLSESSVRRNICNVQASTAATSSSSKVSSSHKNKPEFQETRTSPVESVSSSPLRTSDKKHLDRHRTNSYAVAEIVHSQESVKTGASCSKEKYGFECGSDHTKPHVSGCSNRVMHQDALEDGDLDKQNILTNGVFNNRSSGLGIRNDQGQPNSLVEQKVNSHVLPIHGSGDFRRPTPDQNGKTLPQYNSNQSDQAKLSSGKHPTQVRPDKGNVEYIDLKTNPSTVAGSKLLPGLNNKVNGNASNKSKQSVVENMKHAAIHVDASTPINASALLKEARDLKHLSDRLKGKGDDLESANICFEACLKFLHVASLKEAAGVDSSKQGDPINTMTLYSDTGNLCGFCAREFERLKKMANAALAYKCVEVAYMKAAFYKHPGAIKDSHALQAASVIAPPAESPSSSASDVDNLNNPSTIAKIVSTRGLCTSQIAKNPISRSNHHLMGLLAYVSCLFLLLIFAIWIIGY >ORUFI05G15150.1 pep chromosome:OR_W1943:5:15365177:15368839:1 gene:ORUFI05G15150 transcript:ORUFI05G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGASGMGQPPSDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >ORUFI05G15160.1 pep chromosome:OR_W1943:5:15376444:15376820:-1 gene:ORUFI05G15160 transcript:ORUFI05G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRLLPQRLPQLFRQMEQDVETVINVLQPGPIGIVEHKFTDAEIRNAQAVVRRAVENWQKSSTLERNLGSGSFAK >ORUFI05G15170.1 pep chromosome:OR_W1943:5:15381261:15384039:-1 gene:ORUFI05G15170 transcript:ORUFI05G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVAEVAGIAAACVALLAAVAALWCAARRMARRRGRNSDETGSSDPSTLVEWGKGGRSSSAPEHQGARQFSLDELAQATKSFSEANLVGLGSFGLVYKGLLLDGSVVAIKKRIGAPRQEFAEEVRKLSEINHRNIVTLIGYCQEGGLQMLVYEYLPNGSVSRHLYDTGKSSMTRLEFKQRLSIAIGAAKGLNHLHTLVPPLIHKDFKTSNVLVDENFIAKVADAGLVRLLRGYEDVSPSHGFSSSVYQDPEVQSVLQFSESSDVYSFGVFLLELITGREAACLISPDSRESLAQWIEGHFSSNELIDPRLGANFTSEGMKEFVGLTFQCLTPSSRRRPKMRLVATELDRILETEMSLTTIMGDGTAIITLGSTLFK >ORUFI05G15180.1 pep chromosome:OR_W1943:5:15399464:15403807:-1 gene:ORUFI05G15180 transcript:ORUFI05G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVARSRRGLALALSRAGAGAPSRPSPPGLGKTLGYEPTSHLHGAQFLPCWFSTIASNGSHMQKAQETCKPVAGMEHSDALKVMEGTSPKVVAFSPLEAAITKPRSSPLTIESSKVKRSEIATLVTFYMIPSLLVSSKNGLATSILVGAVFHQIYMFHKEIFLDYVHHDITRKWALIYFKLLLLIMAKETIDQSSLVNTLEFPNNLQYPTTFRKPNSLEIHDTSHVHVRAEKTAFQLSSAGLNCRLDPAAAMFTRDGRPDGGDPIGCHAHMPGDRRPPVLTISYYHHHMGGFARVDLRPRGPEPSEDE >ORUFI05G15190.1 pep chromosome:OR_W1943:5:15408344:15416338:1 gene:ORUFI05G15190 transcript:ORUFI05G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFGSGGKGEAKKGGGGRKDGGSADRRVARVGSDKSKSQGGLDSRKDAFIPRDANGQPIAAHTFTFRELAAATKNFRQDCLLGEGGFGRVYKGHLENGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPDKEPLDWNTRMKIAAGAAKGLEFLHDKANPPVIYRDFKSSNILLEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPLGEQNLVAWARPLFKDRRKFPKMADPLLAGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQTYDPNTPVQHSRSNASTPRARNRVGANFDQRRLHSPNHQQSPDLRKEGTTTSKYEAEVSRTNSGSGSGRRAGLDSMDVTGSQMGSPAHAGRKRESSRSTDRQRAVAEAKTWGENSRERKWPNARGSFDSTNE >ORUFI05G15190.2 pep chromosome:OR_W1943:5:15408344:15416338:1 gene:ORUFI05G15190 transcript:ORUFI05G15190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFGSGGKGEAKKGGGGRKDGGSADRRVARVGSDKSKSQGGLDSRKDAFIPRDANGQPIAAHTFTFRELAAATKNFRQDCLLGEGGFGRVYKGHLENGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPDKEPLDWNTRMKIAAGAAKGLEFLHDKANPPVIYRDFKSSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPLGEQNLVAWARPLFKDRRKFPKMADPLLAGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQTYDPNTPVQHSRSNASTPRARNRVGANFDQRRLHSPNHQQSPDLRKEGTTTSKYEAEVSRTNSGSGSGRRAGLDSMDVTGSQMGSPAHAGRKRESSRSTDRQRAVAEAKTWGENSRERKWPNARGSFDSTNE >ORUFI05G15200.1 pep chromosome:OR_W1943:5:15418096:15419256:-1 gene:ORUFI05G15200 transcript:ORUFI05G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPSSAAAVDHGALSASAKAADDLLAASAGCGGAHGHSLFFDALVQLIPPRFYLSAADEDRPWYQGLSKSAKAAMKAQSRANVKAARRARLDPSAPPSSTLDLLKKSLADQDAHDSSSSGEDASDDDDDDDDDEVEEREEDEGDEEGMQLAPAAVVSEDRSVTYEELRERLHRRIAELRGNRCTRPEFLNKPKREKGKKGKKGKDVGKKRKREDGGGGGGAQDGEGKDGKKSKKEEDSKAPDIVYGNVWVDPKEARRRKKRRIKNKKKALEEAKRMQQAKEDPEKATKLAWDLARRRAAGEKVHDDPKLIKESMKKDKKRQQKHAEQWKERQKMVDKQKKERQSKRTENIRERANQKKMRKIEKREKKLMRPGFEGRKEGYVNE >ORUFI05G15210.1 pep chromosome:OR_W1943:5:15421736:15428883:1 gene:ORUFI05G15210 transcript:ORUFI05G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMLGLGLVPAGAAAALAVALVCLAAAAWWTVERAPRRLERALRAQGVGGGRYQLLLGGDVAENGRLNREAWSRPLPLGCHRIAPRVLPLLWNAVRDHDRAAQLQKCDEDIKLIKTACRIHETMFYSHSSPLTTYIIYGYHINAVPRVMIPDPELVREVFNKFDQFGKPKMIRVGKLLATGVVSYEGEKWAKHRRILNHAFHHEKIKRMLPVFANCCTEMVTRWENSISLEAASEIDVWPEFRNLTGDVISRTAFGSSYQEGRRIFQLQEELAQYLTEALQKLFIPGYWYLPTKNNRRMREIDREVRKILLEIIGNKERAITNGENSNDDMLGLLVESNTKQPELRMSTDDIIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQERAREEVLHHFGRTTTPDYDSLSRLKIVTMILYEVLRLYPPVVLLNRRTFKETNLGGIKFPADMNLILPILFIHHDPEIWGKDASEFNPGRFADGISNASKYHDASFFPFGWGPRICIGQSFALLEAKMALSMILQRFSLELSPSYIHAPYIVLTLRPQHGAQIKLKRI >ORUFI05G15220.1 pep chromosome:OR_W1943:5:15429326:15432172:-1 gene:ORUFI05G15220 transcript:ORUFI05G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRWLQAAVLCCLLVLCSGRELKTKHTPIYNSTLARTLAEYTSAVYTADLTQLFSWTCERCCDLTEGFEVIELIVDVKNCLQAYVGYASDMNAVVVVFRGTQETSIQNWIEDLFWKQLDLDYPGMPQAKVHSGFYSAYHNTTLRDGVVNGIKKTREAYGNIPIMVTGHSMGGAMASFCALDLVVNYRLKDVTLITFGQPRIGNAVFASHFKCHLPNAIRVTNAHDIVPHLPPYYHYFPQKTYHHFPREVWVHNVGLGSLVYSIEQICDDSGEDPTCSRSVSGNSVQDHINYLGISMHAEASGSCRIVTGDNKLQYKMDSDGNIVFSKQPGLSVDQLHSSQ >ORUFI05G15230.1 pep chromosome:OR_W1943:5:15438791:15441961:1 gene:ORUFI05G15230 transcript:ORUFI05G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPPSPAPAPEGRWADLPGDIAISVASRLQEADVCALGGCSRSWRRACDADCVWEALFRRRWPLAAAAGGGGGEGEGASGVQGWKALYINHHRRTAVAISGVAEFVENNLRNGSLEAEYYLKAIANLASMRDIGFIDAQFFLLSRNYSAIMNLIGLHYSISSLNIPPNEVYKALQARKVEERKVCVSLYKLGRWFYGFRLPDESESHEISLSELTMSEGATILAILKRGAVHEVFRLQVSLVDINK >ORUFI05G15240.1 pep chromosome:OR_W1943:5:15449182:15450449:-1 gene:ORUFI05G15240 transcript:ORUFI05G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKEDVATGNTTAPAAAGSSKLFRRKSSVSASHRSSSSSSSDGCTSVSVKDVATKKEEEPEADAVEVTSVEEAAAAVVVKKDVTIAAATLAVAEAMATAAEPTEEEEEEQLPESTMADEEPAAVVEAAPAKADETKEHNTVEAKPAVNDDGEHKVEEVTTTVVSESSPSPSEAEKETAAEKTNDVAVPESSSPSEAEEKKDAAAVAAAAASESSSPAN >ORUFI05G15250.1 pep chromosome:OR_W1943:5:15451730:15457632:-1 gene:ORUFI05G15250 transcript:ORUFI05G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTPPGPHASRPQKPYPTETKTPPPPTPQLEARNRRRVGGGVPVPVPSVVRPVRPPPRRLPPPSVSTVSPARLFSSTSNHQAAAHTRRDPDASADSDQQPLATSPSPVSDATRPAAAAMGSVDFGLVEIHPGEIRFEFEVKKKIIMQAQMIAPPDLQIRDKFLVQTTIVPFGTADEDIAPAFFSKEVGRYIEENKLRVVLVSATQLEEQQLIAGVPSAKTGVEVRVAKETLNIESEASNVTNEVHHSLKTNFPPLRENPATLNEMPFPVTDDVQNLMTKLSNLEAKLEEAESVIVKLREDTRTTIRERDKLKHEMVVLTRKGASRSQAGFPLLFVVYMAILGASLGYLLHL >ORUFI05G15250.2 pep chromosome:OR_W1943:5:15451730:15457632:-1 gene:ORUFI05G15250 transcript:ORUFI05G15250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTPPGPHASRPQKPYPTETKTPPPPTPQLEARNRRRVGGGVPVPVPSVVRPVRPPPRRLPPPSVSTVSPARLFSSTSNHQAAAHTRRDPDASADSDQQPLATSPSPVSDATRPAAAAMGSVDFGLVEIHPGEIRFEFEVKKKIIMQAQMIAPPDLQIRDKFLVQTTIVPFGTADEDIAPAFFSKEVGRYIEENKLRVVLVSATQLEEQQLIAGVPSAKTGVEVRVAKETLNIESEASNVTNEVHHSLKTNFPPLRENPATLNEMPFPVKQTTILAPSKEVPAISAESAHHWKETPAESLFSSNAVHHSLKTSFPPLRENPATLNEMPFPVKQTTILPPSEEVPAISAESGHHWKETPAESLFATNALPHSLKTSYLLRENPAILNEIPFPVRQTTILPPSKEVPVISAESAHHWKETLNVPLESHFSSTETNVVSSECPETLENTSPSKEFAILRDTLVNAENLHYVTDDVQNLMTKLSNLEAKLEEAESVIVKLREDTRTTIRERDKLKHEMVVLTRKGASRSQAGFPLLFVVYMAILGASLGYLLHL >ORUFI05G15250.3 pep chromosome:OR_W1943:5:15451730:15457632:-1 gene:ORUFI05G15250 transcript:ORUFI05G15250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTPPGPHASRPQKPYPTETKTPPPPTPQLEARNRRRVGGGVPVPVPSVVRPVRPPPRRLPPPSVSTVSPARLFSSTSNHQAAAHTRRDPDASADSDQQPLATSPSPVSDATRPAAAAMGSVDFGLVEIHPGEIRFEFEVKKKSSCSVCLVNKSEEYVAFKVVIMQAQMIAPPDLQIRDKFLVQTTIVPFGTADEDIAPAFFSKEVGRYIEENKLRVVLVSATQLEEQQLIAGVPSAKTGVEVRVAKETLNIESEASNVTNEVHHSLKTNFPPLRENPATLNEMPFPVKQTTILAPSKEVPAISAESAHHWKETPAESLFSSNAVHHSLKTSFPPLRENPATLNEMPFPVKQTTILPPSEEVPAISAESGHHWKETPAESLFATNALPHSLKTSYLLRENPAILNEIPFPVRQTTILPPSKEVPVISAESAHHWKETLNVPLESHFSSTETNVVSSECPETLENTSPSKEFAILRDTLVNAENLHYVTDDVQNLMTKLSNLEAKLEEAESVIVKLREDTRTTIRERDKLKHEMVVLTRKGASRSQAGFPLLFVVYMAILGASLGYLLHL >ORUFI05G15260.1 pep chromosome:OR_W1943:5:15464488:15472609:1 gene:ORUFI05G15260 transcript:ORUFI05G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCLSGDVRGGMEAVGGSGGRGAAGTGGGGGGGAGQGGGANEAVDHFFNAAGLRGLYSPLELSFSASKLRNMDAFSKSDPMLVIYIRKDTRLEEIGRTEVILNSLEPSWITKATISYQFEIIQPLVFKIYDIDTRYHNTPVKTLNLAQQDFLGEACCNLSEIVTNNHSLTLNLRDSCGHALLGTVTVHAEESNSSRMAVEMTLHALNLENKDDPFLRISRLVETAGPIPICKTEVINNNLNPDNPLLVECFDFDSSGDHELIGAFQTTITQLENLYNSKSGANFFSHKGQKKLKGQLFVDKFQEKVQHTFLDYISSGFELNFMVAVDFTASNGDPRSPQSLHYIDPSGRPNSYQQAILGVGEVLQFYDNDRRFPAWGFGAKTPQGYISHCFNLNATTNDCEVVGVEGIMSAYTSTLYSVTLAGPTLFGPIINKAAEIASHSLQYGNNKYFVLLIITDGVLTDIQETKDSIVRASDLPLSILIVGVGNADFKQMEILDGDNGKRLESSTGRIATRDIVQFVPMRDVQGGQISVVQSLLEELPGQFLAYMRSRDIKPRAPLQHDNASSAPPLYPPTK >ORUFI05G15270.1 pep chromosome:OR_W1943:5:15477223:15483103:1 gene:ORUFI05G15270 transcript:ORUFI05G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGGDRDQQNQQQQQEEAAPAPAAAAAGVGGGEEQGSGRGEESVKLFVGQVPKQMTEDELAAMFAAVAVVDEVTLIRDKATKASRGCCFLICPSREEADKAVNAYHNKRTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVTDAEMTDLFSQYGNIKDLQILRGSQQTSKAGCAFLKYETKEQALAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQFHPSNMSNPNAMQQSSLFGAMQMGYVPQYNGYGYQPQGTYGLMQYPLSPMQNQAAFPNMVQSVNQGSSIRGVNSELSPNSAPRSFNSMQLGSPYSPVPSMQYPGSYPGNAINSRPFVNSHNSMKVPNANASSPTSSSTSSNPGPQIEGPPGANLFIYHIPQEFGDQDLAGAFQGFGRVLSAKVFVDKATGLSKCFGFISYDSPASAQTAISMMNGYQLGGKKLKVQLKRDNSKHSKTY >ORUFI05G15280.1 pep chromosome:OR_W1943:5:15484301:15489616:-1 gene:ORUFI05G15280 transcript:ORUFI05G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVAGQRRGAPEAAGGGGGGGGDGGGGGGCRGGAVRPQCVAALLLGAAMALSALFLLKPFAAHRGRAGAPPPPEPPGDAFAADIVASFMLQKTVSELSGSTSKLEFDIYGEIGIPNSTVAVNFLQPIGAPNWTNVIFSIVPYPKYSSISSMYLSILRASFMSLVVEQSTLHLTESLFGDTSLFEVLKFPGGITIIPPQAAFLLQKPYASFNFTLNFPIYKVQGRMNELKDQMKAGLQLDPYENLYIKLTNSEGSTVRPPTIVQASIVLEVGNHQPSLPRMKQLAKTIANSSSGNLGLNHTVFGKVKQISLSSYLRHSLHSGSDSDAPSPAPLPQQGHHNHHHHHHHHHHHHHSHEEKRHLSPSPAPVHSPVEQPKYRSPPSSFSHPYTNKPKNRTPVAPAAEPVPSKHNNHFDSPANVPRAMSPSPISPSTSVRHSPNNPNRHHSPSDPSPVLVKPPMPAASLAHAHSTAQTPAVAPAPHSSYATRQHSCQWALAFLLCMLMGLP >ORUFI05G15290.1 pep chromosome:OR_W1943:5:15494293:15494535:1 gene:ORUFI05G15290 transcript:ORUFI05G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRGAKRRRKAAAEKKAAMAAAAVAEGPGGEGQLHTRRVAQGLGCDGFKVREEKGNFFLCWQDRALFSVSAWRARCFD >ORUFI05G15300.1 pep chromosome:OR_W1943:5:15495830:15498279:1 gene:ORUFI05G15300 transcript:ORUFI05G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAEIEKQEEELRAQIEEQKLNEGDEQVVVEDDDDDEDDDDEDDKDDDDAEGGDASGRSKQSRSEKKSRKAMVKLGMKSITGVSRVTVKKSKNILFVISKPDVFKSPTSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSSMLSKPEASTAAQEDDEAVDETGVEPKDIELVMTQATVSRSKAVKALKAANGDIVTAIMELTT >ORUFI05G15300.2 pep chromosome:OR_W1943:5:15495415:15498279:1 gene:ORUFI05G15300 transcript:ORUFI05G15300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAEIEKQEEELRAQIEEQKLNEGDEQVVVEDDDDDEDDDDEDDKDDDDAEGGDASGRSKQSRSEKKSRKAMVKLGMKSITGVSRVTVKKSKNILFVISKPDVFKSPTSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSSMLSKPEASTAAQEDDEAVDETGVEPKDIELVMTQATVSRSKAVKALKAANGDIVTAIMELTT >ORUFI05G15310.1 pep chromosome:OR_W1943:5:15499521:15501269:1 gene:ORUFI05G15310 transcript:ORUFI05G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSLFIAVGILVLVGFLYAAVLSKIFLPQTPHSDDNLLLLSAIQNDRYYCLLIPLTLPVIVAAVYLHWLSMKMFKHA >ORUFI05G15320.1 pep chromosome:OR_W1943:5:15502997:15507277:1 gene:ORUFI05G15320 transcript:ORUFI05G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGHETDKNIEVWKVKKLIKALDAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYSRVPANGLVLYTGTIVTDDGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLYGTLSGNSREVLYKFSVDLPKKHGRGGQSAVRFARLRMERRHNYLRKAAELATQFFINSATNQPNIAGLILAGSADFKTELGKSEMFDPRLQAKVIKTLDVSYGGESGFNQAIEMSAEVLSDVKFVQEKKLIGKYFEEISQDTGKYVLGVQDTITALEMGAVDTLIVWENLDVRRYELKNTATGETVIKYLNSDQEADQSNFVDEATSGEFDIIDKPLLLEWFTENYQQYGCTLEFVTNKSQEGSQFCRGFGGIGGILRYPADIAAFNDDDDDMLDEADYEDFE >ORUFI05G15330.1 pep chromosome:OR_W1943:5:15517936:15520785:1 gene:ORUFI05G15330 transcript:ORUFI05G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLLQYLKLLLLLALGGVTTMHVPKQDVPSSLEELTLDGHFSFHDVSAAAQDFGNLSSFPPVAVLHPGSVADIATTIRHVFLMGEHSTLTVAARGHGHSLYGQSQAAEGIIISMESLQSNTMRVNPGVSPYVDASGGELWINVLHETLKYGLAPKSWTDYLHLTVGGTLSNAGVSGQTFRHGPQISNVNELEIVTGRGDVITCSPEQNSDLFHAALGGLGQFGVITRARIPLEPAPKMVRWLRVLYLDFTSFTEDQEMLISAEKTFDYIEGFVIINRTGILNNWRSSFNPQDPVRSSQFESDGKVLFCLEMTKNFNPDEADVMEQEVNTLLSQLRYMPSSLFHTDVTYIEFLDRVHSSEMKLRAKGMWEVPHPWLNIIIPRSMIHKFAKEVFGKILKDSNNGPILLYPVNKSRWDNRTSVVIPDEEVFYLVAFLSSALGPHNIKHTLDLNYRIIEFSDKAGIGVKQYLPNYTTEQEWQSHFGARWDTFQQRKKAYDPLAILAPGQRIFQKASASLPLPS >ORUFI05G15340.1 pep chromosome:OR_W1943:5:15524760:15525174:-1 gene:ORUFI05G15340 transcript:ORUFI05G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVGKASVRVSTSKRARHRLQEVSTRRLARDGSSLAATALSFRLIGSSGRGAPETRRRLVDCLSGELLLERWLSRIPADGWGMHLVSL >ORUFI05G15350.1 pep chromosome:OR_W1943:5:15529698:15541510:1 gene:ORUFI05G15350 transcript:ORUFI05G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAVAAPAPYPTTGQNPSGTPLPAAAMGNPNLGLGLASSSDHAAGPPPPSRRAPRLAKRRHAAASSRSRQPPPASPAAAPWNPFGGGGGGGTDASGQDGIGGIAPGGVGVGAGEGQDGGFVFGGAASATSQQPPVASSNGEAPFVFGSVRDSLPRFDEGWAASAKLPEKMGRMNLQTRGESSVNANKKDRSSIFGVDIPGLVLNSEVNVLPEKLTQLNLGTRVPLQGDNDVPKTFVFGGNGSGPSSDGTSSDVHRAGSYASANANGVAEKLTQYGIGNQAPLGRMGTESTNDAPPVFVFGGNVSSDHADRTNDVSSGANTNPSDTFNVTDGTGLCPEKISDVPFQQKGSGGSSRQAKAFVSGSTSNEGSVFSSAANSDAFVPPSSVNNLPPELPSCLNIGGGVTQCKQSDNSGYPSEAFVFGSDASLSSHSSKKVMDDRGNFVTGANSKAFTSVHGNMESTLPARMTKLNLGHGAPSNNNKDETAHQPPEVSGFGTNAATTFSSAQAASMPFTSIQTNVSFELKGNGGNLANEDIGNITHSRSDNDQGYGPSSFVFSSGSNAVPPSEGYAEHALQEDIKKLNINREGASVGSTKVNGSSQFVFRSKAEAIPVFGAIPQPNVPESRAFSHSNHSSSFSTSGNDMPSFSFKTTNTASDTIPGESCAVRQEPAWCSRESLFGIDYIKSAYRDKKEAHKNARKNKRPTKLKQHAQPHHFASQETCTTGPDMDLAGDYSPMDCSPYSATVEQVAKEASVMSDQSVHIHDYGVSNQSSSCAEDLVSATEHLVIDADLPTCEDEGRIPNVDTSANSFASSFSSFDEEVTIPIAPQPSSSNMHDTANGKPKSAPAEVWDDAYRLNNQGQAYEENGYRTAHEIGEHATFQESSEDFSGLNFTFGASTSPQSSVSTQRRNTRRKVRTKSGQLPKPSVTQAYVQPKSSQDKKTMQFSPEKNKAGDSADEQSTRGASTSAALETCETWRTSGNQAYTNGHFATAEEYYTRGINSVSGHDSSGYCSRALMLCYSNRAATRMSLGRMREALQDCLIATSIDPTFLKAKVRAANCQLALGDLEDALRSYTACLTSSKTSGSDRKMLAEASDGLERVQSVVDWISLSEELLKKRTVSEATTALQFISNALHISSHSDKLMEMKAEALLTLRKYEEVIQLCQETVVLAEKNSSASETTEWSGRLWRTYLICKTYFLSGKLEDALELLNKHQQVTNVKESEGRTSQECFSSLSTTIRELLSHKAAGNEAFQARRYSEAVEQYSAALARNSDSRPFSAVCFCNRAASYQALGQVTDAIADCSLAMVLDATYLKAISRRATLYEMIRDYGQAANDLRKLISLIEKQANNSGLSPKVLNKHSDLKQARTRLLSVEDEAKRDTPLNLYLILGIEPSSSPADIKKAYRKAALRHHPDKAAQLLVRNEKPDDGFWRDVAKEVHADADHLFKAIGEAYNVLSDSDKRQEYDIEENLRNATKRVSKGGRMHRSPEQRYTKHSVFLVLSFGEGGSWSCKDWLVGMISFDTSDVVA >ORUFI05G15350.2 pep chromosome:OR_W1943:5:15529698:15540914:1 gene:ORUFI05G15350 transcript:ORUFI05G15350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAVAAPAPYPTTGQNPSGTPLPAAAMGNPNLGLGLASSSDHAAGPPPPSRRAPRLAKRRHAAASSRSRQPPPASPAAAPWNPFGGGGGGGTDASGQDGIGGIAPGGVGVGAGEGQDGGFVFGGAASATSQQPPVASSNGEAPFVFGSVRDSLPRFDEGWAASAKLPEKMGRMNLQTRGESSVNANKKDRSSIFGVDIPGLVLNSEVNVLPEKLTQLNLGTRVPLQGDNDVPKTFVFGGNGSGPSSDGTSSDVHRAGSYASANANGVAEKLTQYGIGNQAPLGRMGTESTNDAPPVFVFGGNVSSDHADRTNDVSSGANTNPSDTFNVTDGTGLCPEKISDVPFQQKGSGGSSRQAKAFVSGSTSNEGSVFSSAANSDAFVPPSSVNNLPPELPSCLNIGGGVTQCKQSDNSGYPSEAFVFGSDASLSSHSSKKVMDDRGNFVTGANSKAFTSVHGNMESTLPARMTKLNLGHGAPSNNNKDETAHQPPEVSGFGTNAATTFSSAQAASMPFTSIQTNVSFELKGNGGNLANEDIGNITHSRSDNDQGYGPSSFVFSSGSNAVPPSEGYAEHALQEDIKKLNINREGASVGSTKVNGSSQFVFRSKAEAIPVFGAIPQPNVPESRAFSHSNHSSSFSTSGNDMPSFSFKTTNTASDTIPGESCAVRQEPAWCSRESLFGIDYIKSAYRDKKEAHKNARKNKRPTKLKQHAQPHHFASQETCTTGPDMDLADLPTCEDEGRIPNVDTSANSFASSFSSFDEEVTIPIAPQPSSSNMHDTANGKPKSAPAEVWDDAYRLNNQGQAYEENGYRTAHEIGEHATFQESSEDFSGLNFTFGASTSPQSSVSTQRRNTRRKVRTKSGQLPKPSVTQAYVQPKSSQDKKTMQFSPEKNKAGDSADEQSTRGASTSAALETCETWRTSGNQAYTNGHFATAEEYYTRGINSVSGHDSSGYCSRALMLCYSNRAATRMSLGRMREALQDCLIATSIDPTFLKAKVRAANCQLALGDLEDALRSYTACLTSSKTSGSDRKMLAEASDGLERVQSVVDWISLSEELLKKRTVSEATTALQFISNALHISSHSDKLMEMKAEALLTLRKYEEVIQLCQETVVLAEKNSSASETTEWSGRLWRTYLICKTYFLSGKLEDALELLNKHQQVTNVKESEGRTSQECFSSLSTTIRELLSHKAAGNEAFQARRYSEAVEQYSAALARNSDSRPFSAVCFCNRAASYQALGQVTDAIADCSLAMVLDATYLKAISRRATLYEMIRDYGQAANDLRKLISLIEKQANNSGLSPKVLNKHSDLKQARTRLLSVEDEAKRDTPLNLYLILGIEPSSSPADIKKAYRKAALRHHPDKAAQLLVRNEKPDDGFWRDVAKEVHADADHLFKAIGEAYNVLSDSDKRQEYDIEENLRNATKRVSKGGRMHRSPEQRYTKQYDRGFNPRPWQSNRSSGSRSRWSGYDDDYW >ORUFI05G15350.3 pep chromosome:OR_W1943:5:15529698:15540914:1 gene:ORUFI05G15350 transcript:ORUFI05G15350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAVAAPAPYPTTGQNPSGTPLPAAAMGNPNLGLGLASSSDHAAGPPPPSRRAPRLAKRRHAAASSRSRQPPPASPAAAPWNPFGGGGGGGTDASGQDGIGGIAPGGVGVGAGEGQDGGFVFGGAASATSQQPPVASSNGEAPFVFGSVRDSLPRFDEGWAASAKLPEKMGRMNLQTRGESSVNANKKDRSSIFGVDIPGLVLNSEVNVLPEKLTQLNLGTRVPLQGDNDVPKTFVFGGNGSGPSSDGTSSDVHRAGSYASANANGVAEKLTQYGIGNQAPLGRMGTESTNDAPPVFVFGGNVSSDHADRTNDVSSGANTNPSDTFNVTDGTGLCPEKISDVPFQQKGSGGSSRQAKAFVSGSTSNEGSVFSSAANSDAFVPPSSVNNLPPELPSCLNIGGGVTQCKQSDNSGYPSEAFVFGSDASLSSHSSKKVMDDRGNFVTGANSKAFTSVHGNMESTLPARMTKLNLGHGAPSNNNKDETAHQPPEVSGFGTNAATTFSSAQAASMPFTSIQTNVSFELKGNGGNLANEDIGNITHSRSDNDQGYGPSSFVFSSGSNAVPPSEGYAEHALQEDIKKLNINREGASVGSTKVNGSSQFVFRSKAEAIPVFGAIPQPNVPESRAFSHSNHSSSFSTSGNDMPSFSFKTTNTASDTIPGESCAVRQEPAWCSRESLFGIDYIKSAYRDKKEAHKNARKNKRPTKLKQHAQPHHFASQETCTTGPDMDLAGDYSPMDCSPYSATVEQVAKEASVMSDQSVHIHDYGVSNQSSSCAEDLVSATEHLVIDADLPTCEDEGRIPNVDTSANSFASSFSSFDEEVTIPIAPQPSSSNMHDTANGKPKSAPAEVWDDAYRLNNQGQAYEENGYRTAHEIGEHATFQESSEDFSGLNFTFGASTSPQSSVSTQRRNTRRKVRTKSGQLPKPSVTQAYVQPKSSQDKKTMQFSPEKNKAGDSADEQSTRGASTSAALETCETWRTSGNQAYTNGHFATAEEYYTRGINSVSGHDSSGYCSRALMLCYSNRAATRMSLGRMREALQDCLIATSIDPTFLKAKVRAANCQLALGDLEDALRSYTACLTSSKTSGSDRKMLAEASDGLERVQSVVDWISLSEELLKKRTVSEATTALQFISNALHISSHSDKLMEMKAEALLTLRKYEEVIQLCQETVVLAEKNSSASETTEWSGRLWRTYLICKTYFLSGKLEDALELLNKHQQVTNVKESEGRTSQECFSSLSTTIRELLSHKAAGNEAFQARRYSEAVEQYSAALARNSDSRPFSAVCFCNRAASYQALGQVTDAIADCSLAMVLDATYLKAISRRATLYEMIRDYGQAANDLRKLISLIEKQANNSGLSPKVLNKHSDLKQARTRLLSVEDEAKRDTPLNLYLILGIEPSSSPADIKKAYRKAALRHHPDKAAQLLVRNEKPDDGFWRDVAKEVHADADHLFKAIGEAYNVLSDSDKRQEYDIEENLRNATKRVSKGGRMHRSPEQRYTKQYDRGFNPRPWQSNRSSGSRSRWSGYDDDYW >ORUFI05G15360.1 pep chromosome:OR_W1943:5:15549663:15550022:-1 gene:ORUFI05G15360 transcript:ORUFI05G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGRRWRSAAVAICLLPVVLPLALLCLPLLCFAVTVVRFRRRRRLRMAARKGKAPGCCFVDGEERTSPEKEEGGGSRAALLLQYLEDQMELVGGGSGGEAEEGKKASAPIGDEHHELS >ORUFI05G15370.1 pep chromosome:OR_W1943:5:15563561:15564280:1 gene:ORUFI05G15370 transcript:ORUFI05G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHAPPPPQPPLPPAPAPLPLSHRRHRASSSSSCSLSTASSSSTASSPSPSPRGRTTNTSAAAATAATPTATSVVPFSWEHHPGIPKTSLHPSNSPPTPPLPLPPAPLRRAPAHPSSRHHHRRRHHHQRGGAIDAPHSDPFAAALAECTRERSTTAIDDLFPAPPAPPPPPSSSRRWSLSAAAGGGGGVFGSLLDLYGCKSAMAVAEGAFVVRRPVAAARPSGPRRAAGQGRARAGR >ORUFI05G15380.1 pep chromosome:OR_W1943:5:15563632:15564174:-1 gene:ORUFI05G15380 transcript:ORUFI05G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQPYRSRREPKTPPPPPAAAESDQRREEDGGGGGAGGAGKRSSMAVVERSLVHSASAAAKGSECGASMAPPRWWWWRRRWWWRDDGCAGARRSGAGGRGSGGVGGELDGWREVLGMPGWCSHENGTTEVAVGVAAVAAAALVLVVRPRGDGEGEEAVEEEEAVEREQEEEEEARWRR >ORUFI05G15390.1 pep chromosome:OR_W1943:5:15569413:15572647:-1 gene:ORUFI05G15390 transcript:ORUFI05G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVLRRGVKVERATMTAAAAAKGAPPGRISHTGAGGGGGGAQEDRTILKEITGEVRLGEVLAVLGPSGSGKSTLVSILGGRLTGQHAGMGVVPCRAVAHGDDVLHPHLTVRETLLFCAMLRLPASSPAAAKAAAAEAVIAELGLAPCADTIVGNAFVRGVSGGERKRVSIGHELLVNPSLLVLDEPTSGLDSTAAARLVATLSSLARRGRTVVMSVHQPSTRVYRMFDSVLLLAEGTCLYFGAGRDAMDYFAAVGFSPAFHVNPADFMLDLANGFAQTEYDNCNAADGGNVKQSLISSYNRVLAPWVKASINAGDVHGGEQQPPPPAAESCSGCTSWSNQFAILLRRSLKERRHEAFTSLRLFQIIAPALVAGAMWWRSSPAAVGDRMGLLFFVSIFWGVFASFNAVFAFPQERPVLARERASGMYALSSYFMSRMAGDLPMELALPAAFTVIVYLMAGLNPSPAAFALTLAVILSYVLVAEGLGLAVGAVMMDAKRASTLVTVVMLAYLLTGGFYVHNVPGFMAWAKYTSFTYYCYRLLIAVQYSGRLARLLPPEEARGEASPAACVAALVAMFFAYRLLAYLALRRVRT >ORUFI05G15400.1 pep chromosome:OR_W1943:5:15576637:15577278:1 gene:ORUFI05G15400 transcript:ORUFI05G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKRGDGGWSADASELRNRRGDGACWRRSARGVQRSTAAWGPRLADAVEDEQEGVYNLLLLVVMDDDGGSLLLVAASLLLVCGFNSLALDLAACLWIWICSLLADEDALVADGRADGMTRPTDGGSPATDACCLTPAIPTAEVRVLLLLEPIAIVVVVFSGVGIGIGIVVVFSSGVGMRRLRRRRAPRSGCVWGECGMRVFATSAAEVEVEG >ORUFI05G15410.1 pep chromosome:OR_W1943:5:15581324:15581662:-1 gene:ORUFI05G15410 transcript:ORUFI05G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRERMGHGGGGKSGATSGEGGECARHGGGGESGATGSEGGERATHGGGGESGATGGEGGERATHGDGGESGATGGATDSEDGDRGGNDGTVEDEGVAAKTAMCGAGRRP >ORUFI05G15420.1 pep chromosome:OR_W1943:5:15583336:15589198:-1 gene:ORUFI05G15420 transcript:ORUFI05G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRAAGWVRVAAVGWAVAACAVAAGMVARRGAARVRWNRAVAVVRDLEERCATPAELLQRVVNSLAIEMFAGLASDGGSKVRMLLTCVDALPDGSSHDMTRSEEGISYAIDLGGTSFRVLKVELGAGSTIINRKVEHQPIPENLTKGTSDDLFNFIASALKNFIEREGGEVEGRALGFTFSFPVRQTSISSGTLIRWTKEFSIEEAVGKDVAQCLNEALARNGLNMKVNVLVNNTVGTLALGHYYDDDTVAAVIIGAGTNACYIERNDAIIKSLGRVTNSERTVVNVEWGSFRPPQIELTPYDICFNNETWNYYDQGFEKMISGVYLGEIARLVFQKMAEESDIFGTAVDGLSTPFVLSTPNLAAIREDDSPDLREVGKILEEHLKLPDVPLKTRKLVARVSDIITRRAARLAAAAIVAILQKIGCDGTLCGSTQVRTMRGVRRRTVVAIEGGLFEGYSVFREYLNEALVEILGEEIAATVSLRVMEEGSGTGAALLAAAYSSARQKNSE >ORUFI05G15430.1 pep chromosome:OR_W1943:5:15614729:15618873:-1 gene:ORUFI05G15430 transcript:ORUFI05G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGVASMFALALLLGAFASIPQKAEAIGVCYGMSANNLPPASSVVGMYRSNGITSMRLYAPDQAALQSVGGTGISVVVGAPNDVLSNLAASPAAAASWVRNNIQAYPSVSFRYVAVGNEVAGGATSSLVPAMENVRGALVSAGLGHIKVTTSVSQALLAVYSPPSAAEFTGESQAFMAPVLSFLARTGAPLLANIYPYFSYTYSQGSVDVSYALFTAAGTVVQDGAYGYQNLFDTTVDAFYAAMAKHGGSGVSLVVSETGWPSAGGMSASPANARIYNQNLINHVGRGTPRHPGAIETYVFSMFNENQKDAGVEQNWGLFYPNMQHVYPISF >ORUFI05G15440.1 pep chromosome:OR_W1943:5:15634281:15638210:1 gene:ORUFI05G15440 transcript:ORUFI05G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAPSPASVRAAASPRCCSRSRPWSSAGRVAALPADGRGDGASTAASYKELGLYSLKKRIEDAVVRVETTASSALEMEEARRIRQEEVLRGRNLWDNPAKSHETLSALADAIRVVDHLKDLRYKAEEAKLISQLSEMDVINVELFKQAYKTSVDATEFLDRYQMYKLLKGPYDKEGACIILWAERIFCMYSSWARKQGCKDGLVEKITSTSGRVWTAAIEIESEYMFGTLTGEKGTHRMIYPSVDNAGTYEATSARVDIIPLFLDRPVNLHLDENDLEISPSPSDHKRRDHRNSAIRVQHIRTGVTAESSGERSYFANKMKAISRLKAKLLVISRELRSSNLKTIKRQTVEELYSRETRRYKFGPQKLVHDLNTGLQLSELNSVLDGDIDPFIRGRIVSRLG >ORUFI05G15450.1 pep chromosome:OR_W1943:5:15642779:15644218:-1 gene:ORUFI05G15450 transcript:ORUFI05G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLLLELLCFSMALAGGAALRLELAHVDANEHCTMEERVRRATERTHHRRLLHASTAAAAGGVAAPLRWSGKTQYIASYGIGDPPQPAEAVVDTGSDLVWTQCSTCRLPAAAAAAAGGCFPQNLPYYNFSLSRTARAVPCDDDDGALCGVAPETAGCARGGGSGDDACVVAASYGAGVALGVLGTDAFTFPSSSSVTLAFGCVSQTRISPGALNGASGIIGLGRGALSLVSQLNATEFSYCLTPYFRDTVSPSHLFVGDGELAGLSAGGGGGGGAPVTTVPFAKNPKDSPFSTFYYLPLVGLAAGNATVALPAGAFDLREAAPKVWAGGALIDSGSPFTRLVDPAHRALTKELARQLRGSGSLVPPPAKLGGALELCVEAGDDGDSLAAAAVPPLVLRFDDGVGGGRELVIPAEKYWARVEASTWCMAVVSSASGNATLPTNETTIIGNFMQQDMRVLYDLANGLLSFQPANCSAV >ORUFI05G15460.1 pep chromosome:OR_W1943:5:15649881:15650910:-1 gene:ORUFI05G15460 transcript:ORUFI05G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRASGITKLDNTATAMDLELEVTMMQTPSNLGELTGEQGFGTTTVGTREDSQGGGNRRASLLLLRLLDPRGRGDGRG >ORUFI05G15470.1 pep chromosome:OR_W1943:5:15656136:15664420:-1 gene:ORUFI05G15470 transcript:ORUFI05G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIWLSPLITFINSSQKVVKDEHILGNRTLEVKIATPKEEMRAPGIKKATRIFVARIPQSVDESKFRRHFEAFGEIIDLYMPKELRSKSHRGIGFITFRSAECVDNIMQETHELDGTTVAVDRATPKLWVHQHRMIIQDQLMEDPKRSGHGGFGFVTFADDGVADRVAQRSHEILGQEVAVDTAEPLEGGSGGGYLEPAEAYGPYGAYGSLLPYGRFSGSLGYDVSSHQHQG >ORUFI05G15480.1 pep chromosome:OR_W1943:5:15667106:15667403:1 gene:ORUFI05G15480 transcript:ORUFI05G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGDDVDLWKVAERTELFTGADLEGLEGKSEAALRSLRPSLTQSVVDEYSNAAIHGPLTRRKH >ORUFI05G15490.1 pep chromosome:OR_W1943:5:15667886:15675234:-1 gene:ORUFI05G15490 transcript:ORUFI05G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPEFPIRSKPSPTSPHLSRSASVFPAEARPPPPFSPTSPRLASSSGSPPASRAPWGDTASTGLLSSPTPPSSLLPLACGLLARARGYHEHPATAASVSSLSVFPSSMTRGEKGMQPKISAFFKRQAPEPETSSGGDTHREGTCGTEVKRPKSCADGKVLNKKRNYAQFHLELGQPDFLLHMCSVCGMMYARGNDDDEKAHKAYHKSYFEGVPFKRIVGCLVVEPIKTAHKVIPGSTEENGSDLPVDKIEPVKTNHTLEFGKISFKREVLKRHDHSDKNKEEYRDPGAIICEKEDVPAHCGFRAIWVVPSRRRKRIGSQLMDAARKSFLEGETLCISQCAFSPPTSSGKALARSYCKTSAFLVYKEQDA >ORUFI05G15490.2 pep chromosome:OR_W1943:5:15667884:15669569:-1 gene:ORUFI05G15490 transcript:ORUFI05G15490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGEKGMQPKISAFFKRQAPEPETSSGGDTHREGTCGTEVKRPKSCADGKVLNKKRNYAQFHLELGQPDFLLHMCSVCGMMYARGNDDDEKAHKAYHKSYFEGVPFKRIVGCLVVEPIKTAHKVIPGSTEENGSDLPVDKIEPVKTNHTLEFGKISFKREVLKRHDHSDKNKEEYRDPGAIICEKEDVPAHCGFRAIWVVPSRRRKRIGSQLMDAARKSFLEGETLCISQCAFSPPTSSGKALARSYCKTSAFLVYKEQDA >ORUFI05G15500.1 pep chromosome:OR_W1943:5:15671893:15674448:1 gene:ORUFI05G15500 transcript:ORUFI05G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQEEDDDAALREAGGGASFPGGWLRRLSRELRWSFVLAVVAVYGACQGVGDAVGGVTAGYYWKDVQRVQPSAAQFYQGFVSAPWVVKPIWGLLTDVVPVAGYRRRPLSLDIQAGMFYWYTDPIVGPGFSEEFIGLVYSVGSIGSLLGVLLYQISLKDYPFRGVLFWGQDCPFRGVLFWGQVLSSLAGMLNLIMVTRFNTRIGIPDYVFAVIDNSVSQMVGRLKWLPLLVLCSKLCPPGIEGTFYALLMSIQNAGLLMSGWWGGLMLHLLNVTRTEFSNLWKEAHSIIWKDIEAVPSASFWDV >ORUFI05G15510.1 pep chromosome:OR_W1943:5:15676781:15685631:-1 gene:ORUFI05G15510 transcript:ORUFI05G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRKPAKPAAAASSSASAAAAFSIGNCKVEIHGSSLRCQSTEQEEEELTISGPRGAKIVVSVDGAKSSSVGDGFDFILLSPSDVNERNKALLQEVLLLYKQELPTMDYAANTGRKSGKYKTLVLSSTAGHEEVTAALSYQLVPADTQYAEIPLAVVRPPYQRVGIGQLLYKELSQRLRNVGVTTIFCWADNGFESVGEVDTKGKICRIPVRADIKRALCFPGGSMLMVSHLKDLPAPQKSLLSSQQTYQFHTFAPDSISPSDTGTSTPSCEKLLPQTVACHKVSKTAAVEKNEDFHGTGGCSFSDQQENKRHVKSKISGDAKANISSNGSPSVMLMNIADETKKTQLIEVVEMLGGVVTCEGNSCTHVITGKVRMTMNFCIALCSGAWIVSPKWLKESFKKGKFVGEAEYVFQDDEFKVKYKSELRDAVVRAKERPCSLFSGYTFCLTKNIQPSVNVLSRIIKSSGGKLINKLDDITQPLKTIFLACEEDMELALDAAKRGIKTFSGEWFLSCVMTQELDLEAPQFTESL >ORUFI05G15510.2 pep chromosome:OR_W1943:5:15676781:15685631:-1 gene:ORUFI05G15510 transcript:ORUFI05G15510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRKPAKPAAAASSSASAAAAFSIGNCKVEIHGSSLRCQSTEQEEEELTISGPRGAKIVVSVDGAKSSSVGDGFDFILLSPSDVNERNKALLQEVLLLYKQELPTMDYAANTGRKSGKYKTLVLSSTAGHEEVTAALSYQLVPADTQYAEIPLAVVRPPYQRVGIGQLLYKELSQRLRNVGVTTIFCWADNGFESVGEVDTKGKICRIPVRADIKRALCFPGGSMLMVSHLKDLPAPQKSLLSSQQTYQFHTFAPDSISPSDTGTSTPSCEKLLPQTVACHKVSKTAAVEKNEDFHGTGGCSFSDQQENKRTHETSSSSLKSKRVRCSQHSDHHQDMNQNEICGKPLSISNTPIHENSVHLMPNELSNPSMVGHVKSKISGDAKANISSNGSPSVMLMNIADETKKTQLIEVVEMLGGVVTCEGNSCTHVITGKVRMTMNFCIALCSGAWIVSPKWLKESFKKGKFVGEAEYVFQDDEFKVKYKSELRDAVVRAKERPCSLFSGYTFCLTKNIQPSVNVLSRIIKSSGGKLINKLDDITQPLKTIFLACEEDMELALDAAKRGIKTFSGEWFLSCVMTQELDLEAPQFTESL >ORUFI05G15520.1 pep chromosome:OR_W1943:5:15687090:15687831:-1 gene:ORUFI05G15520 transcript:ORUFI05G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGVGVRIRALLCCIAMAAMLLSSYQQGQAEASYMPWPPATPPPPAAAAANSTSTAAANNSSSSSSTTAPPQQPTAFPMYGVTPGSLRPQECGGRCAYRCSATAYRKPCMFFCQKCCASCLCVPPGTYGNKQSCPCYNDWKTKRGGPKCP >ORUFI05G15530.1 pep chromosome:OR_W1943:5:15694076:15696189:-1 gene:ORUFI05G15530 transcript:ORUFI05G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAARRALLSHLRVPVLARPAAAAGSVPAARLLSSATEEGSKGSFLDKGEVADRIVSVVKNFQKVEPSKVSTVADAHRTADSDLGVLGLCSVTPNAHFQKDLGLDSLDTVEIVMAFEEEFGFEIPDNEAEKIDSIKTAVDFVASHPQAK >ORUFI05G15540.1 pep chromosome:OR_W1943:5:15703622:15704273:-1 gene:ORUFI05G15540 transcript:ORUFI05G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVEAQHSVDALDSEAMESVESQESIFGVLLDSVVAMESDSISGVVLDSIVAMESVEALDSIVNTLDSDVVPDSIDMVPNYVDMVPDSIECVLSAARSTLVASSEKPATKLAAKLAEKFDCELYIPDMEMLEMNGDTIILPDHVQMKLDEIYNMKKLEDAKLKQDAKKEHVFF >ORUFI05G15550.1 pep chromosome:OR_W1943:5:15745606:15746280:-1 gene:ORUFI05G15550 transcript:ORUFI05G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNKNSQLQPPPGYPRLDSEQQAGKKKGRCCGSSCRRSTKRGETSFIEGCIAALCCCWLCELCCD >ORUFI05G15560.1 pep chromosome:OR_W1943:5:15750294:15750773:1 gene:ORUFI05G15560 transcript:ORUFI05G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLPLRRSPDLPLAVVCALCSELSGQQDPVAMSPRLPDLAESSSWPPDPLVFWLMKEGKRSSQDGESVTSEEESGGRRGWRRWRREGXRASICWIRWRVATCSRPSVLAREVETETEAVEKSVRVKDLEVGDNGARRRRRRRGRRAARSNRMGERNK >ORUFI05G15570.1 pep chromosome:OR_W1943:5:15754177:15758569:-1 gene:ORUFI05G15570 transcript:ORUFI05G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWWPPTSAAAATAEPRSVQLLLLGVALVAASFYAGTVFRSPSAPALLLPPSASRSPDPSRTPGAPKFTNRVSLSYRTKPISVPDYGVDVCPLKYNEYIPCHDASYISQLKKLDRSRHEDLESICPPQEKHLFCLVPPPNDYKIPIRWPTSRDYVWRSNVNHSRLAEVKGGQNWVHEKGKLWWFPGGGTHFKHGASEYIERLGNMTTNSTGDLRSAGVVQVLDVGCGVASFSAYLLPLDIHTMSFAPKDGHENQIQFALERGIGAMISVLATKQLPYPENAFEMVHCSRCRVDWHENDGILLKEVDRLLRPNGYFVYSAPPAYRKDKDFPVIWEKLMNITTSMCWKLIAKHVQTAIWIKPEDQSCRQKNADTKLLNICDSYDNSSPSWKIPLMNCVRLNKDQSNMQKLPSRPDRLSFYSRSLEMIGVTPEKFAKNNKFWRDQVSMYWSFLGVEKTSIRNVMDMNANIGGFAVALSNDPVWIMNVVPHTMINTLPVIYDRGLIGSYHDWCEPFSTYPRTYDLLHAFHIFSHYQSRKEDCSMEDIMLEMDRIIRPEGFIIIRDENAILSGINDLAPRFLWDVTTHMLENEESKPEKVLICRKKFWSIV >ORUFI05G15580.1 pep chromosome:OR_W1943:5:15759684:15764079:-1 gene:ORUFI05G15580 transcript:ORUFI05G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATPRLLLSPTSKDLIAAASSSFPSPPSPTTSSSAPDPASPLDAFASDPVLSAFLSPSFSPSDFSSAALSSGLAASRAEQLQEAIRLLRRHLRAEVLRRHPLLLSHLLSLRSASASLSSLPSHLHLLSSHLSLLSSHLSAPRAHLAHSSSSLSTLLSTADLLLHSHRLVRLSSRLLTSTPPPDLARQAELHREIRLLYEEKNLAGINAVDEEMRKVDAAASKLRSEASAVIDRGIAESNQNDVWCGLQVYYNLGELKPAVEGLVSKYKAAGAKSVAVALDMKAISMAAGGGGGPGGGQRSGTPQIGGSKKAAEALWDMEARSGTPQIGGSKKAAEALWDRMRQCMEELHRSVTAAWQLQTVLTKKRVPFTQMLFLEEVWQEGEPLLTERVWDAIVKAFASQLKSAFTASSFVKEIFTLGYPRLFSMIENLLERILRDTDVKGTLPALTPEAKNHMTAAIEIFQTAFLALCHSRLSDYVNSIFPMSGRGIPSKDQISRLISRIQEEVEVVRTHGHLLARVLQEIGKVLLLLAQRAEYQISTGTEARQVTGTVTPAQLKNFALCLHLQDVHTRISSIVSTLPNVTSEKLSKSLEAIYTVACDSVSPLFKEMFDRLGSCILKMHEQDFGTHGMDATMDNNASAYMEELQKCAVHFRSEFLSKLLPSSSSRSETICTIMVRSMASRVLILFIRHASLVRPLSEAGKLRMTRDMAELELAVGQNLFPVEQLGAPYRALRAFRPVLFLETSQLEKSPLLQDLPPSVILHHLYSRGPDELQSPLQRNKLTPLQYSLWLDSQGEDQIWKGVKATLDDYEMKVRSRGDKEFSPVYPLMLQIGSALSQAKG >ORUFI05G15590.1 pep chromosome:OR_W1943:5:15771512:15771886:1 gene:ORUFI05G15590 transcript:ORUFI05G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFYIPTNLQVKTLFQFSDERRRRHASCPSWGHRFGESKLLADGGAATLGNDDMLQSLPGSSSAGHVKEVAPRWLG >ORUFI05G15600.1 pep chromosome:OR_W1943:5:15773143:15776822:1 gene:ORUFI05G15600 transcript:ORUFI05G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAALASASVVGRHESASEGMPIWRPGVLKTYHESFAGDLELVPCKPEVGQSKLETICILVVSTRASPIPFSSRRGGGRRRGRLRLRLQAVGRKEELGTEEAGAASAPTLRAMGTSAQGAGHPEANRSMTEVIPTVGLL >ORUFI05G15610.1 pep chromosome:OR_W1943:5:15788307:15792635:1 gene:ORUFI05G15610 transcript:ORUFI05G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELASGAVSSLLGLLQKEAQLLGRMGSDVEFIREEMESMSRTAHLASGHDKQVRTWMKQVRDLAHDCSNCVDDYLRSGDLAVHLARGGVRRYIWWTYWLVRKMHDQQNAALRLRELRDRVSDVGKRRLRYGVEIPSKGRAAQILPSSTPSRGSAAAPGVIEDEDDDDDDTLHQVVAAMTGDLDLRRGIQEHRTLEDFCAEKLVNWVSTSREQEPGQQGPSSIPSIAIVALDAGASSAAAQGALELATAHFDKSFSINLQALHHPWDLPVLPWEILYYILFQCKQHGTGQGEADDEKRRKAFQDKLNTHDEIWKEIEKMNIDDKIEEVKRKIGEVSGTTEEVKNNKIEGTKYLKATTDISIGMLHQALRLMLNSEGLSIIGRSSDTKIMQETAMMLKQHMESVVPKPPIQLDDIQYLDILQKVFLDTKPSHAKETSTNTATTLGEDHIKEILNNHKIALDIVRELLRWSQLPEGNSVKELAKGVLQGVRDQNSVIDAAISETEEKMNENPRDTKAKGTNAAIQETNEKVGEISSAIKFSLFIKGIVDKIKVPLERKRTLFILIDDRGYISEWEGIKNALSLLDCSNGNAVIVITNNNQKAQGFCSTPWEPITYSLVGLYYDIVLKMTSQRENEGGNNNSQIFRDILVKCDPNEFCMRMFAHAMYANPNRSYEELRRLLGSLQVFGNSTDAYATKAKMIFKFSYRDLPREHKTCLLYLAIFPQGHEIKRSTLIERWAIEELITNEDWPTVVRHGKRCFQALIDRWFVTPVELSAAGKVKSCKVDGLVHDFITKIAKKEHILDMRLSQLRAHHFSTFSGLRLRASDTIDTVVEKLPRYLHKLHLLKLLDLEGCQYHLDKNHLKDICSILHLKYLSLRRTDVADLPHEINNLHELEVLDIRQTKVSEHATKNIVLLKLRRLLAGQVDPSTSHEMGKPLRSAVLIPRKINKMENMEVLSNVKAYSRNGAELKEIRKLGQLRKLGVVIVNNENHLKNLLWAMSDLKECLQSLSITILKTRNEGDTDNEQKVLPHDLYNHLIQPPKVLESLSIDGPTKIVRLLTMFAKGSNELAKVTLRHTSLKKKNLVHITMLPKLCCVRLRQNAYTDKELTFETEEFPHLKNFLVEQLHETDMINFKKGAAPELEKIVLFRTSIKHLCGVGALPNLKELELKENEQLVLSPEAGTVSADPVILEDWTDSTDIIVHKDGATSAEKSALTFKKKEFKHLKYFLVEGHHMQTDIKFEVGAPELEKIVLSNTNIESLDGVDGLAKLMEIDLKGNKTILSLFATANHIAKVTLSDTCLKQGDLQILAKKPKLCWLLLLDKSYDESHLTFNKDEFPKLKHLTITCSKISGINFAKESACKLEKITWSFTELESLSGIDNLPELKELELEGKSVPLQVWRDINAHNKKLIHKRTQVQDEPKGGPTLANKKKSAFFSIFPSTHR >ORUFI05G15620.1 pep chromosome:OR_W1943:5:15798206:15798814:-1 gene:ORUFI05G15620 transcript:ORUFI05G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVRAFFSRKGRGNSSGRSRSMREAAMNVDWSPRPSDLAAAAAAAKPRPPAAEDETERVFRKFDANGDGRISRAELAALFRSVGHAVTDDEVARMMQEADSDGDGYISLGEFAAISAPPPGDAAAAEEDLRHAFGVFDADGNGVITPAELARVLRGIGEAATVAQCRRMIDGVDRNGDGLINFEEFKLMMAAGAGFGRIAS >ORUFI05G15630.1 pep chromosome:OR_W1943:5:15814279:15814524:1 gene:ORUFI05G15630 transcript:ORUFI05G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQEMVRVAASAKVCTEHGGSAQVDLQAIVPHSKSSTTASVRLTSGKAIVSVSTEEPTKNLPKKKAGGALILLPWETKKL >ORUFI05G15640.1 pep chromosome:OR_W1943:5:15815198:15815899:-1 gene:ORUFI05G15640 transcript:ORUFI05G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMSDGSSSTRESKASPVPYRVGPLEYQPAVMCRCRPPAKAARWISWSTDNPGRRYYKCQNARQGGCDFWAWYDGPTSSFIRELLNDLRDRVNSLRRENEVMRKESKVIDDARGVVAVKNAEIMCLKARNHKLEKERKVFVICVVSCMFVLFVVLFGKK >ORUFI05G15650.1 pep chromosome:OR_W1943:5:15817120:15817533:-1 gene:ORUFI05G15650 transcript:ORUFI05G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEGGAAGGAGGNRSPPPPTPGQQEEASSSSKNSKVAGWSKEEDDPIIGGGGGEKEEPTPSPVCLPLFPLTPGFHHQKQAQAPAQAPPPLAVTPGFPTPEFLILFPLTPGLRGCDLKDNAAAMDVDSEAVRRSLS >ORUFI05G15660.1 pep chromosome:OR_W1943:5:15819481:15820170:-1 gene:ORUFI05G15660 transcript:ORUFI05G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDLLCAYVMLGRYTKISAKRHVADLVFSSFRNQPSVRSDRPTSPAMGVADDCSSERSNRSTGATMSVAASGSCCCSINIYVNNNVQGVTNSVLVRSKVAMRDPGARIRLRHPPLPCPTKRKKKTAAVTATTTATAATRSFPSSAATILIATLVLLLLALLCMWMC >ORUFI05G15670.1 pep chromosome:OR_W1943:5:15821015:15821536:-1 gene:ORUFI05G15670 transcript:ORUFI05G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRTMIAPLLVLNLIMYLIVIGFASWNLNHYINGETNHPGVAGNGATFYFLVFAILAGVVGAASKLAGVHHVRSWGAHSLAAGAASALIAWAITALAFGLACKEIHIGGYRGWRLRVLEAFVIILAFTQLLYVAMLHGGLFSGNHAAGAGGYGGDYPADHHHKPAAAARV >ORUFI05G15680.1 pep chromosome:OR_W1943:5:15824637:15829055:1 gene:ORUFI05G15680 transcript:ORUFI05G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLPSTTTSPRRRLPFPPTRKPHSAAAAAAKPPTTLTTKPLRLARPLASSSSSPPPPPPPEEAEPKDPIALAFARAAAYKKERDSPPPPPPPPTPAPPPQPPAATEEDSRSSKESFARAVEYRNGNGGGLGSGGGSPLLGASPRFGQSTFSTEDGAFGKFTNKKEEYEYDETDFLGLDFFEKKSYKGPPPGLAPAADPFPDKDFPEVEIIIGDPSKFGKTHRSTEVQPADDSEPEETSRSTTEEKKEENKPDETPPSTVTEPEEDEDEYKPTVRSWGMFPRPQNISKAYGGGRNIRLGGETRSAEEKAAKDKRTKELIAAYRNSQNMIVDAKTKAECTEALKEGDELMNTGRLKQALPYYEKVMQAVDFKTELHGMAALQWSICLDSLCRSKEAMSMYSKLKNHPNSEISKKANMFMFSFQAMDFMKVNSSPLPRNTGYEKYFDKFGGQKNYYAALDEPEMGIDQIIPYMLFLVSPIFLVAFVALRKSFQL >ORUFI05G15690.1 pep chromosome:OR_W1943:5:15840783:15845378:1 gene:ORUFI05G15690 transcript:ORUFI05G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSKEVHKKPKDPLMTPPPSSAKKRGFRDDGGGGGGGSRPRNREGAATSLTPASVPNYMRGTSSSDAKVGRGAASSASPRRRPVRVVARGKVLFPKVSAAAASAGLGRATCSSTMKEAKFPDALDLAPGATDAEGPAATRVCPYTYCSLNGHAHSPAVPLRSFLASRRRLIKTQQSMKLRGVSAFRKGAAHQRPEDTNGAGGGARVAPPPPLIDEEALGDFFVEVYAGPRVSTDMSCSDMSLDEMDATVRKMEFVVFDRCGADESDEKGKNDLDVCGDGGDDDGEARPEERFGAFRDSTSECSDASTSGEFVEELPWIRYQGYEDDSLDGEFSDEYGIRDEEITRAVVSEFQEDQEEEGTSGRLGDGCEDEAAQQQEENDEQNISDFARESEIASEHEGVDFRVEACEEQERVSEDNILDAAHQTEVCREQEMQEEKNFAAVCKLGIPEQELAETADNIPDECCKEETSMEQDEGGDGTNMESESISEVAEHPNVEDEENTQDDGGSEMEISEEIISGFGCEEDFSEEVTSKYVSEGEISDSGAIVSLHVEMQKQPVENHAFEQDDSSTADNAFHQDDSTADRAFDQDDIRADGYDDSQKELDIGMREFRVASEEVGIQEANSDDPVDCTEDANMELGVFLCDLQDASEGSGIAQESSQEGNSACFNDGAQMVPDITTQTTEDASEESDTAQETTLDDNSTPLAAGAQMELGIGTSELIEGSSDVTEQSGIAEETCQDDNAGYFSDDDSQKATVITTCQLQVDYEENVIAQEADDNSTGVRDDAQNEPEQTCELATSEECHFTQKTIQNHGALEESVVIASASEDAHEESDPTQDGHEEDYSVGINSGAQKEGELDTSESGGASEGTTVHQEDDGHVNTTDLNGSAQKEITVSILDDSEELCSSEENNQSSNMLIPEFSDNFSAEEPQNQDSVAKESSLDDICNAFSGMHLKGDAYLDPTESMTCPGNRLIIARRRRTPEEEEYLRGFNPRAPNFLPLELDPESEKVDLKHQMMDERKNAEEWMIDYALRRAVNNLGPARKKKVELLVQAFETVLPHDEEEKKGITPTRPLVVELIKILTRELLTQWSLQYYRRRPA >ORUFI05G15700.1 pep chromosome:OR_W1943:5:15846412:15846693:-1 gene:ORUFI05G15700 transcript:ORUFI05G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSTPLILNGADLLPDDGGGRPKFSGATSQTPYLASSPRTLTRSSASAHGDGAPPSHLPRRPPLPPLLDREVGPSVVSGGAVLASMGAVDE >ORUFI05G15710.1 pep chromosome:OR_W1943:5:15849504:15849716:1 gene:ORUFI05G15710 transcript:ORUFI05G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEEDTVDFFSQSYTHHLAAAIDAFKYGFSQGSSGQAGSGAGFHFRGRGSGGLDLYSQTDAFPEFASY >ORUFI05G15720.1 pep chromosome:OR_W1943:5:15855463:15857061:1 gene:ORUFI05G15720 transcript:ORUFI05G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNLPVVPAAFLSVAGVIKYGERIWALKCGSQESLKSSISSSYVAALKKLAHDEEDGIQSLDAQLTFKTAEMELSMMYDELYTKAKVIQTGKGTILRCVSLTSTVVAFVLFILMSGSKQRSFLLGGLRHHHCHGFTESVGIHGSSRWWMQQQFAHPSNLIHPEKRLWWSNSLGQYNFMSSRLADGKSRITEEMMAIVGAKEPAMLPVQRNVYDLLALVLNDAGYARTSSKEQFLETVASGEYSWDQPFMDFRAAPPDDMLQQGWKGLHAALQVMVQVWVRLLIYAAGKSQHARRLSMGGELLTFVWLLMAHRELGDIYNIQFQLVEKEKDGSVRTGPNSTITTDIYTLFRLGHPIDMIK >ORUFI05G15730.1 pep chromosome:OR_W1943:5:15859304:15863356:1 gene:ORUFI05G15730 transcript:ORUFI05G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQDVRTKEAEEERMEENNLLHFLDSPNAHYRRKCEEYVSAHDDEAHCDASDLANARERLEHLLKQPANKFCADCGTPDPKWAALPFGALICIKCSGTHRSLGVHISKVISVNLDEWTDEEVNCLAGSGGNATVNTRYEAFLPENFKKPRHDCTTEERCNFIRKKYEFQQFVTDPQFSCPLRLNTKHAPDKNQQQQNCSARHGFGHAFRNSWKRKDTDNKGLKKMTDVGMVEFVGLIKVDIRRGTNLAVRDVMSSDPYVMLNLGHQTMKTKVIKNTLNPVWNERLMLSIPHPVPPLKLQVFDKDTFSSDDRMGDVEVDIQPLIAAAREHESSAAIAGSVEVTKLLASDDGTLARDSVISVVDGKVKQDIALRLQNVEHGELEIELECVPLSQ >ORUFI05G15730.2 pep chromosome:OR_W1943:5:15859304:15863356:1 gene:ORUFI05G15730 transcript:ORUFI05G15730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQDVRTKEAEEERMEENNLLHFLDSPNAHYRRKCEEYVSAHDDEAHCDASDLANARERLEHLLKQPANKFCADCGTPDPKWAALPFGALICIKCSGTHRSLGVHISKVISVNLDEWTDEEVNCLAGSGGNATVNTRYEAFLPENFKKPRHDCTTEERCNFIRKKYEFQQFVTDPQFSCPLRLNTKHAPDKNQQQQNCSARHGFGHAFRNSWKRKDTDNKGLKKMTDVGMVEFVGLIKVDIRRGTNLAVRDVMSSDPYVMLNLGHQTMKTKVIKNTLNPVWNERLMLSIPHPVPPLKLQVFDKDTFSSDDRMGDVEVDIQPLIAAAREHESSAAIAGSVEVTKLLASDDGTLARDSVISVVDGKVKQDIALRLQNVEHGELEIELECVPLSQ >ORUFI05G15740.1 pep chromosome:OR_W1943:5:15868111:15872068:-1 gene:ORUFI05G15740 transcript:ORUFI05G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTVKMASDGMWQGENPLDFALPLLALQVAVILVITQGLALALKPLRQPRVGGILLGPSALGRWGPFRRTLFPAWSAAALDTVSGLGLLLFLFLVGLELDFRSVRRVGPRSVAIAAAGIAPPFLAAAGLVPLLDVAVPAPRRASFLPLCVFVGAALSVTALPVLACILKELSLLGVPFGDTAMAGAALNDVFAWVLLALALAVSGGGGGEPKGPPLAPVYILASGAAFVAFMLGALRPLMARLARRLGPDRAGDLACTGAVACVLLAGAATDAIGVHPVFGAFVFGLAMPREGGLAERAGEKVAPLVSGLMLPLYFATSGLHTDIDNVRGAAAWGMVALVVAVAIGGKFAGTFAVAAGTGMPRREAAALGVAMSAKGLVELIVLNIGKERKVLDDTTFAIFVIMALTTTVLATPFMTALYRRTPTATTPESDDVELNGGDACPA >ORUFI05G15750.1 pep chromosome:OR_W1943:5:15879519:15880872:-1 gene:ORUFI05G15750 transcript:ORUFI05G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEIQHLTRAFSGLGGLGVDEPAMVSALAKWRRQPEKLSGFRKSFNGFFKDHGGVIEKCEEEYMLHLAAEFSRLSMEMELIGLLWLCLFTVQNLMVMWAMHPWERDARLAHHVLHQAHPAAIVVEIACTRTAEELLGARKAYQALFHHSLEEDVAYRARDKPYCGLLVGLVSAYRYEGPRVSEETARAEAKALVAAVKGAGHAAAKLVENDDVVRILTTRSKPHLVETFKHYKEIHGRHIEEDLGHEETLREAALCLATPARYFSEVVAAAVSDGADHHAKEALTRVAVTRADVDMDAIRAAYHEQFGGRLEDAVAGKAHGYYRDALLSLVAGGK >ORUFI05G15760.1 pep chromosome:OR_W1943:5:15884798:15885374:-1 gene:ORUFI05G15760 transcript:ORUFI05G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEDERRSLARTARSGAVEFGSGPPLTGSGLDARVGGGGGGRGARAARRRRRRLTDMRQLLLLWPVCTGKQLAAATSLLPGRLKKPTSWSSSPPMARSCPGRGELRERVDAVVCAEDDGAMAMCGSRP >ORUFI05G15770.1 pep chromosome:OR_W1943:5:15885638:15888097:1 gene:ORUFI05G15770 transcript:ORUFI05G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWCCCLDCIHNIPPINLLFLHFSPHSLSSSAASAGGGEAAAAAAVAPMASISVPNPAPSPTEDAESIRKAVQGWGTDENALIEILGHRTAAQRAEIAVAYEGLYDETLLDRLHSELSGDFRSALMLWTMDPAARDAKLANEALKKKKKGELRHIWVLVEVACASSPDHLVAVRKAYRAAYASSLEEDVASCSLFGDPLRRFLVRLVSSYRYGGGGVDGELAIAEAAELHDAVVGRGQALHGDDVVRIVGTRSKAQLAVTLERYRQEHGKGIDEVLDGRRGDQLAAVLKAALWCLTSPEKHFAEVIRTSILGLGTDEEMLTRGIVSRAEVDMEKVKEEYKVRYNTTVTADVRGDTSGYYMNTLLTLVGPEK >ORUFI05G15780.1 pep chromosome:OR_W1943:5:15888213:15890636:-1 gene:ORUFI05G15780 transcript:ORUFI05G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIGKLVESVGSFFSGGDTIPWCTRDIIAGCEREVAEAANEEQKSDSIMRLSWALVHSKNQEDVNRGIGMLEASLGQSNSPLQTREKLYLLAVGHYRNGDYPRSRQLVDRCLEIQPDWRQALSLRKAIEDKIAKDGLIGIGIATTAVGLLVGGIAAIAARKK >ORUFI05G15790.1 pep chromosome:OR_W1943:5:15893919:15897551:-1 gene:ORUFI05G15790 transcript:ORUFI05G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCVFLLSDSGEVMVEKQMTAHRVDRGICGWFWDYVLAHAAGDASKVVVSPTHYLFQVYRNGVTFLACTQVEMPPLLAIEFLSRVADVLTDYLGDLNEDIIKDNFVLVYQILDEMMDNGFPLTTEPNILKEMIAPPNIVSKMLNVVTGKSSNLGNKLPDAAASFVPWRTTVVKDASNEVYVNIVEELDACVNREGALVKCEAYGKIQVNSSLPGVPELTLSFSNPTIINDVRFHPCVRFRPWESNQILSFVPPDGQFELMSYRVKKLKTTPIYVKPQLTSDSGNCRVNVMVGIKNDPGKPIDSITVQFRLPPLIASADLTANYGTVDILADQTCFWTIGQIPKDKAPSLSGNLRLEEGLTHLHTFPTFEVKFKIMGVALSGLQIDKLEVKNTPNAPYKGFRAQTQAGRYEVRS >ORUFI05G15800.1 pep chromosome:OR_W1943:5:15901975:15902568:-1 gene:ORUFI05G15800 transcript:ORUFI05G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGGEKRTNGGLDGAGAGGEGRGALRRRRQPPTSGSAASSSYPSTSGSAGSSSSGRRVVEEAEEQGGGGGGGGSKQGRRRKAVARAIRERLPAAVACWGNGAVVEGIGGRSGRRSRRERPGDDGGGEDNAGAAARAPAAAWCCVCPDEECRLEANPSANGKEDPGLRALLENNDFFSDDCNPHAAAAFPEPGDRSD >ORUFI05G15810.1 pep chromosome:OR_W1943:5:15917655:15918785:1 gene:ORUFI05G15810 transcript:ORUFI05G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRIRSFAIATVVVSLVLVPMPEMVSAGFPSALFSILRVNPKMMSKQLGKLLERGGLDLLDMGAGLVLPPDSTSEARDGNAIATTSSWHAPATTVVNYTINFTVGSQDVSGALDITSELVWVPCRMSCTAVGGGGGGDDNTSSCTMADTTAAGGVYVAGAGDIYQLGSQTYKNCIVPKECATIGSCSYTYKYGGGGGGVGGTGTSGLLAVQNFTFGDTNVGEVTFGCGTMNEVGFGTGGVVGLNRGRLSLVSQLKMGRFSYYFAPEDAAAAADSSTFIVFGNDDNQPRRTSNPRYYTPFLGIHSIPNAARYPDLYWVGLTGIRVGSKVLPISGGGGGEFAAVVLATSVPVTYLEKSAYSLLRRELIGALGINWKK >ORUFI05G15820.1 pep chromosome:OR_W1943:5:15918828:15919148:1 gene:ORUFI05G15820 transcript:ORUFI05G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKVPDMSLVFVGGAVMELKARNFLYKDESTGLVCLTILPSRDADGVSLLGSLIEGSKLGFESFDQPTKSPDQPPSSSTAPPRISPAAVTIACFVGWVVHSCWW >ORUFI05G15830.1 pep chromosome:OR_W1943:5:15920925:15923117:-1 gene:ORUFI05G15830 transcript:ORUFI05G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRIPAVAIAIPSLIIFLVLMPGIVTAGLVPRFKVSPKANKQLRDFFKDRAWDTVGQATIPGDGDGGGSNNDGQTRAPATTFNTYVISLYVGRPPQIVYGSLDVGSELVWVPCSFCEDRTNTACDQQAKQEGFYLMSSSESFVGQTCGGTNCLNFLPNDARRCDGIGRCAYVYTYGGANGKKTSGTLSMDEFTFGSTKINVSFGCGFPDQVDFRGQPGVIGLNRGRFSLVTQLQLGRFSYYFAPEDRAGDSVFRFAEDAVPQTSRPSYTRFLTTGAAASRYPLLYIVGLAGVRVGGKSLSFSGGSDGDGSIDAFLSTSVPVTYLEKTAYGLLKQELTNTVGFAIGSSVLGLDMCYTDGKVFPDMALVFAGGAVMQLQPRNYLYRDASTGLECLTILPSPDHLIQTGTHMIYDIEGSRLGFESFDQPSNRASSASAAASPQIPTAAAIACSVWCVVASMFL >ORUFI05G15840.1 pep chromosome:OR_W1943:5:15927670:15929248:-1 gene:ORUFI05G15840 transcript:ORUFI05G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNHDNLPSPKTKGTKGFSEPVKKAIEDMHAWEALTSPSVEDGQQAQTSIEAISKVLPKSNTFLRNVKIQQPATKITNVMKEIQAELDAKKLESAVLQEELERLKAQAQESDAEVEKQAEEIESLRKMAADTQSLLRQMIAFGQCQISPP >ORUFI05G15850.1 pep chromosome:OR_W1943:5:15935240:15936610:1 gene:ORUFI05G15850 transcript:ORUFI05G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRTRAVSITIASHLIVILATAMVVSPNSVDERFGVSPRTNTQLGAFLKENAADMATRLPRRPYVFSLIVGTPPQNITGALHINGELVSMPCVECAANTPCNDNRPDAYLVGESRTLDVELCTSQRCQRLAPQNQRTCGGGSRACQYTYTYGGRNETAGFLATEAFAFGETRANVTFACGVRNVESFGGAPGVVGLSRGNLSLVTQLQLGRFSYYLAPEEDHAGDAGNASFVLFGDDAAPRTGNTSYTRLVVTNATGHPDYYYVALAGVRVGAKNLAIAGGGGGGSLDVVVSSSLPITYLEKSAYDLLKQELMSTLGSNTADGSALGLDLCYTNTGGGGVKEFPAMALVFAGGEVMELKPRNYVYRDESTGLVCLTILPSPEDVSSSALGTLIQTGTHMIYDVQGSRLGFESFDLLPSKSGSSDRPPSSAATPPRNISPATIACFVWCVVASIVL >ORUFI05G15860.1 pep chromosome:OR_W1943:5:15943196:15945172:-1 gene:ORUFI05G15860 transcript:ORUFI05G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSLSSELDPALLSTSTSSSSPPDSASPSFSFYHPSPPNYTLAVSNLSCRDPRRGSGGAGLLSWLLGSFSTSSPPATGGAGLLNNVSFTASSSQILAVVGPSGAGKSTLLRILSGRGTGGEIAARPHAVVSVNGRAVTSRARLRRMCGFVTQDDNLLPLLTVRETILFAARFRLRSAVTARERGERVEALMQELRLSEVADSYVGGCGGAGGAPRGVSGGERKRVSIAVDIVHDPPVLLLDEPTSGLDSRSAMDVLSLLRDVARARRQVVVLSIHQPSYRMLAYISSMLLLSRGAVAHFGTLKSLEHSLSRLGHEIPMQLNPLELAMEVTEQLEADHARFGAALATTIHQHQHNKVIDDEDESSGAGEHEHEHGYYCSRAVEVGALAVRCWRTMHRTKELFAARAAQAVIAGLGLGSVYFRIRPDPEGVALRLGLFAFSLSFLLSSTVEALPILLHERRVLMREASRRAYRLSSYVVANALVFAPCLLAVSLLFSAPVYFLAGLRATTQAFACFALAVWLIVLMASSLVLFLSAVSPDFVLGNSLICMSLGVFFLFSGYFIPRESIPRYWAFMYYVSMYRYPLDLLLINEYGGSGSGRCVAWAGGVCLRTGGDVLRGRGIDEGMRWVNVGVMLGFFVLYRVMCWAVLVRRAAKTTL >ORUFI05G15870.1 pep chromosome:OR_W1943:5:15952190:15952747:-1 gene:ORUFI05G15870 transcript:ORUFI05G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSSIQRLNKVFTQSSLLREEGYLNSTLRRVIMLKIVVTVGPKKPWAKISLGTPTTLPSWSVAQSETTIQTSVAHGLQHTRPTPLRQPASSPANDKTATTPVTLASALTQAAFTTLPTGFPVESDLWEPAQGTTLPRQSEFSLLTDHRLQPAKKLSTKKGRSSLAGLAWPTTRKTTCHHSLAR >ORUFI05G15880.1 pep chromosome:OR_W1943:5:15953851:15961432:-1 gene:ORUFI05G15880 transcript:ORUFI05G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASSDLGGARPGAVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESILIWFSGKEEKQLRLSHVSRIIPGQRTAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLITRSHQRKWRTESRSDMLSSGTTSPRTYTRRSSPLSSPFSSNDSVHKDGVENYRLRSPFGSPPKVGLEKAFSDIVSYAAPPKPFFPSDSNAGSVHSVSSGQSDNTNLHSRGIPMDAFRVSLSSAVSSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGNSRVGNSSGVKMDCLIPKPLEFAVKLDVQNISCGGRHATLVTKQGEIYSWGEESGGRLGHGVDCDVPQPKLIDALANMNIELVACGEYHTCAVTLSGDLYTWGNGTSNSGLLGHGNEVSHWVPKRVNGPLEGIHVSAISCGPWHTAIVTSAGQLFTFGDGSFGVLGHGDRQSLSVPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKIFTWGDGDKGRLGHGDKDTRLVPTCVAALVEPNFCQIACGHCMTVALTTSGHVYTMGSPVYGQLGNPQADGMLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRSSPTLVEALKDKQVRTVVCGINFTAAICIHKWVSGVDQSMCSGCRLPFNLRRKRHNCYNCALVFCHACSSKKSLKASLAPNPNKPYRVCDSCYSKLNKGPETDRYSSAKRGSVIQGFNDSVDEELETKSNAQLSRLSSLESFKHMDSRTSKKNKKFEFNSSRVSPIPNGSSHWSGLNISKSFGSSKKFFSASVPGSRIVSRATSPVSRRASPPRSTTPTPTLGGLTSPRVVDGVKPNDSISQEVLSLRSQVENLTRKSQLLEADLERTTKQLKEAISIAGEETAKCKAAKEVIKSLTAQLKGMAEKLPEGAGLVKNSRLPPLPGISIPTDISVASESLGSPRSSGEPCSNGSNGLLVSNGPTSVRNKLSHPEIPKNGTRLPDSDSKHESEWVEQDEPGVYITLTALPGGTRDLKRVRFSRKRFSETQAEQWWQENRARVYEQYNVRVVDKSVGSIDNDVHH >ORUFI05G15890.1 pep chromosome:OR_W1943:5:15995719:15996390:1 gene:ORUFI05G15890 transcript:ORUFI05G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGMSPCLCSPPVHGEEAAAEAAAARLVFWGGAASQLVASAATTAGDVMAELPGHLVCAGDSFFIGLPIPALPAGEELAAGRTYFVLPAARFSCQQALTAASLASLSPSPAAKVSLAGGASSPFEYVTGDDGMALIRVLPEFIERAITCVARVAGGGKAGGEAAADDQLCSTPELRKHYMQLVGARQQRPWSPGLETISEAEKRRRRRSPVRLVALAKAASR >ORUFI05G15900.1 pep chromosome:OR_W1943:5:16007152:16007583:1 gene:ORUFI05G15900 transcript:ORUFI05G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAIPDCDDYDQKSRTHLSWLKLWLCPMVYKDAAYVDSKYSCAPVKTSSKEQTPTTHVLLHAERVLVVSVVSRSAT >ORUFI05G15910.1 pep chromosome:OR_W1943:5:16007647:16009417:1 gene:ORUFI05G15910 transcript:ORUFI05G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLLVYHHGNDRAAFMAARVLFTDGMSGSIPSGIVSVAPCFNFLGPSTSPVGSSHLPYYHQHRTNTFIASSSGDDVSAPHANIGNATNGGSNDDNNNNGNILCNPSHQTKRPNTTPSLSRLNVASSPSKAWLDEGIGFCKLDHLNFFYGHTNRYRTDAKDRPACSWYNVPLLSWLKLSLGPWSTAIRRTSIPSSNSRARVKMLLKERMLAIAMLQHVVVVLADGVVSRSIAQP >ORUFI05G15920.1 pep chromosome:OR_W1943:5:16009623:16010268:1 gene:ORUFI05G15920 transcript:ORUFI05G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQQALAPTPVMMAPHHLPTLQTPQTAVAITTTNNNDDDNFLLNLPYPCDTNQAGEHQSITMALPPSKSRLSSCDATHARESSVTSQPTFKRWPTSSSNKATKICVTVHQRHYVCACLTISCFSMRIPTDAALTQKIGCCILSILSFLAYSWDNVPPSSCLKLSLGLVVYGDEANIDSNSSCALVKTTPEERTPMVLILQHAMGVLVASVAS >ORUFI05G15930.1 pep chromosome:OR_W1943:5:16024707:16025048:1 gene:ORUFI05G15930 transcript:ORUFI05G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCGEAPSSTLLFSGPMLVQGSGKVLPCPPFTSTPGDCNSRLPASTSTTSGICRCSSPQLTSKALESGEDAAAFCLHAGRSQPLSTFWLSHAGTKTHSCRLVLRRLSAPLGS >ORUFI05G15940.1 pep chromosome:OR_W1943:5:16025434:16035613:1 gene:ORUFI05G15940 transcript:ORUFI05G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSGSIEGGPGDGDPYGHRTRDSFGNNVREGIEGALGVLMIGRKETCSNMEEEWPYPLLSKEIDARHRAKKISDGNSCSSLVVLIPRTPGWWGIDPRWKPRCINGCQLQPQWARIQVHNVYEYFTEAFESLRENEVRWTPYTNEEAILRAPHGVSILCYHDEAYWMTRKMLVYDIFVEGYNVQRVMRHMGLYQQVPVPIGLHLPPDVNTQKRQADNRFHRSMHSRMTPWIEAWSQALNDVVQETRAYDHNTYEQYMAWYSSQTRIRLLAPEDPDERGPPTIDQIYDMQLAPPAHLTTDIARELVRDAKTLWEKLRDGIAEGTRMVCPVPHMPHAMPQMISQMAPDVPTSHWQGGFAPFAGTSQGIPTIPQMPADFIRQRGFTSLGGPTQSVPLHAPTYVTNPWQGQSMDYGGSFRPELMSGFRPYTASYGDMSSFGGGSSSVPNELRTSQTDDAPQVTQPTQPEVGEGNDNDPRRSNRERHEPNRLSLSGPRHAAGQRKKKLQKSKVDHLGLRLTMTMMTKRSEHYVFQFTGNPI >ORUFI05G15950.1 pep chromosome:OR_W1943:5:16048564:16053055:1 gene:ORUFI05G15950 transcript:ORUFI05G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYWYREMRDRPGSDMGGFTRILHSGKPDGLMDEIPTLVVDPLPEGADRGYIVLNRPWAFVQWLKKSNIKEDYVLMAEPDHIFVRPLPNLAHGDEPAAFPFFYIKPTENEIILRKFFPEENGPVSKIDPIGNSPVIIKKAQLEKIAPTWMNISLKMKEDVETDKAFGWVLEMYAYAVASALHGVHYSLRKDFMIQPPWDAKSDNTFIIHYTYGCDYTLKGELTYGKIGEWRFDKRSYLRSPPPRNLTLPPPGVPESVATLVKMVNEATANIPGWDEER >ORUFI05G15960.1 pep chromosome:OR_W1943:5:16061640:16062741:-1 gene:ORUFI05G15960 transcript:ORUFI05G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAPAASYHHHRAAAAAAAAAAAAAAAEPVFPLLGTGQCALDADTAKSSGAAAAAGVPPGSASAIHFWQSQPTTAAGAGGGSADKKPLPMLDYGGIGGPGGSGAATCHDCGNQAKKDCVHHRCRTCCKSRGFDCPTHVRSTWVPAARRRERQQLAGAASSPPTSSAFPAATTASAKKPRLLGSQTTTTTSRTSTSNATTPRSFDTSSSHQVASFRDALPRHVRAPAVFRCVRVTSVDDGDDEFAYQAAVTINGHMFRGFLYDQGADDGRGGMASTSNDESSHGAGAAVPSISDLHLGSASAAVPPHLYSGGSGGPLILGGLGYGNTMN >ORUFI05G15970.1 pep chromosome:OR_W1943:5:16077496:16078101:-1 gene:ORUFI05G15970 transcript:ORUFI05G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYHIISLPPSLFSPRSWLTRYRAAGGRTRSPGDDGEVGGGSGGGGDENGGEEEGSESRAAGETVSAARWERRASAAPTPATTQHTPPMPGGPSRAAVADDDDELSRLLSLAKANLDAGHLCAARLDPDSPRGSLLLTVVSVLVADHSSHRATLLAIASGVALDEGGGERGGVNGARDNGPWGRREGGLGRRRQRAVGGR >ORUFI05G15980.1 pep chromosome:OR_W1943:5:16080768:16081463:1 gene:ORUFI05G15980 transcript:ORUFI05G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRKKRKAPAPAGPPPPPRRPPSPSRLEFRSPADGAWYEARVAVQGGALRVMYELFTEEQDEWYDPLDAAALRARFRAPSTPLDDARCRDLRPGDPLCVACALAGGVELKFFDAVLESVRTAPALLLLPPAGCSSRETPAKTQVSPAAHETVDGEERCACRFSVRWAEGPLAGAMAEVGVEQVCCVRSTTPVRDPVLAEFLDGVVSKSPGDDGEGSATAASRSSGAVAP >ORUFI05G15990.1 pep chromosome:OR_W1943:5:16088524:16091373:-1 gene:ORUFI05G15990 transcript:ORUFI05G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGAGSSRSVAPCCCCAVLLAAALLFSAPATTEAYDALDPNGNITIKWDVMSWTPDGYVAVVTMFNYQQFRHIQAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGGTPHCCKKDPTVVDLLPGTPYNMQIANCCKAGVINTFNQDPSNAASSFQISVGLAGTTNKTVKLPKNFTLKAPGPGYTCGRAMIVRPTKFFTGDGRRATQALMTWNVTCTYSQFLAQKTPSCCVSLSSFYNDTIVNCPTCSCGCQNNGTSPGSCVNENSPYLQSAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKEYWRVKITITNFNYRMNYTQWNLVAQHPNFNNITQLFSFNYKPLTPYGSKINDTAMFWGVKFYNDLLMQAGPLGNAQSELLLRKDSKDFTFDKGWAFPHRVYFNGDNCVMPPPDAYPWLPNASPLTKQPLTLSVLVFSIVLATLLAYA >ORUFI05G16000.1 pep chromosome:OR_W1943:5:16095149:16101753:1 gene:ORUFI05G16000 transcript:ORUFI05G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQRPHQKPPATDSLPASAAAAAAAPSRPLPLLTLPYLFSLLALLLLLALLFPWGPPRHSSAPASPWRGYTLQEAAAFAARAGNGTIVLAAVSGPYLPFLSNWLITVRRAGRADQVLVVAEDYDTLERINAAWPGHAVLVPPAPDAQVAHKFGSQGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLADPFPYIVGDHDVYFMDDMTPVKPLDHSHELPPPGKKGRTYICSCMIFLRPTEGAKLLLRKWIEELKEQPWSKKQKANDQPAFNWALNKTAGQVDVYLLPQSAFPTGGLYFKNKTWVKETKGKHVIIHNNYITGFEKKIKRFRDHGLWLVDEHSDESPLGRI >ORUFI05G16000.2 pep chromosome:OR_W1943:5:16095149:16101753:1 gene:ORUFI05G16000 transcript:ORUFI05G16000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQRPHQKPPATDSLPASAAAAAAAPSRPLPLLTLPYLFSLLALLLLLALLFPWGPPRHSSAPASPWRGYTLQEAAAFAARAGNGTIVLAAVSGPYLPFLSNWLITVRRAGRADQVLVVAEDYDTLERINAAWPGHAVLVPPAPDAQVAHKFGSQGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLADPFPYIVGDHDVYFMDDMTPVKPLDHSHELPPPGKKGRTYICSCMIFLRPTEGAKLLLRKWIEELKEQPWSKKQKANDQPAFNWALNKTAGQVDVYLLPQSAFPTGGLYFKNKTWVKETKGKHVIIHNNYITGFEKKIKRFRDHGLWLVDEHSDESPLGRI >ORUFI05G16000.3 pep chromosome:OR_W1943:5:16095149:16100467:1 gene:ORUFI05G16000 transcript:ORUFI05G16000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQRPHQKPPATDSLPASAAAAAAAPSRPLPLLTLPYLFSLLALLLLLALLFPWGPPRHSSAPASPWRGYTLQEAAAFAARAGNGTIVLAAVSGPYLPFLSNWLITVRRAGRADQVLVVAEDYDTLERINAAWPGHAVLVPPAPDAQVAHKFGSQGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLADPFPYIVGDHDVYFMDDMTPVKPLDHSHELPPPGKKGRTYICSCMIFLRPTEGAKLLLRKWIEELKEQPWSKKQKANDQPAFNWALNKTAGQVDVYLLPQSAFPTGGLYFKNKTWVKETKGKHVIIHNNYITGFEKKIKRFRDHGLWLVDEHSDESPLGRI >ORUFI05G16010.1 pep chromosome:OR_W1943:5:16105453:16108759:1 gene:ORUFI05G16010 transcript:ORUFI05G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAHMVTNCSFSPSPAVKTYSKSPGYCCNVTQFQSSKCSNLVLKSCTATRPNRPFVARASAAVQGQTQTPLTGSQQASGHSSSKPKKVMVIGGDGYCGWATALHLSNKGYEVAIVDNLVRRLFDHQLGLDSLTPIASIQNRIRRWKALTGKTIQLYVGDICDFDFLSEAFKSFEPDSAVHFGEQRSAPYSMIDRSRAVFTQHNNVIGTLNVLFAIKEFSEECHLVKLGTMGEYGTPNIDIEEGFITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVRTDETAMHEELSNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNELAKLVTAAGAKLGLEVQTKSVPNPRVEAEEHYYNAKHTKLMELGLEPHLLSDSLLDSLLNFAVQYKDRVDTAQIMPSVSWKKMGAKPKTVSV >ORUFI05G16020.1 pep chromosome:OR_W1943:5:16109964:16110307:-1 gene:ORUFI05G16020 transcript:ORUFI05G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEAASSSSSSASPVMDGGKAMAVLLAGGAAGLLLLPLVLPPLPPPPSLLLLLPVVLLLLRRRRRRRRGRRHLPAAAASACSVVTAAVVVVVIVVAAAVKS >ORUFI05G16030.1 pep chromosome:OR_W1943:5:16116330:16116635:1 gene:ORUFI05G16030 transcript:ORUFI05G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSQPKLLWAFVTSSFPWALALVTFLDIWIGLVWTDADADAGKRRCRGSTAEGQPRLHVKAVGTRRRQQQQQQQQQPPPPADAARKSRRKDTDLALKVLC >ORUFI05G16040.1 pep chromosome:OR_W1943:5:16123865:16125904:1 gene:ORUFI05G16040 transcript:ORUFI05G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCRADSSVATCRSITAISPLPLSRRSGVGGRRRALPAAAREGDGGEASSAAATIERFAYDELEAATSHFADAALLGRGSHGAVYKAVLASGRAVAVKRPSPRRPEVDNEIRILSSVRGPRLVNLLGFSDSGAGAGADQQQQQHRPRLLVVEYMPNGTLYELLHSNPRPPGWPRRVRLALQTARALRALHDADPPVIHRDVKSANVLLDANLDARLGDFGLALRVPKRLPGDAAANAAATPAPAGTLGYLDPAYVTPESLSTKTDVFSFGILLLEIMSGRKAIDVQHSPPSVVEWAVPLLRKGKVASLFDPRVAPPRDPVTRRDLAALAASCVRSCRERRPSMADIVDRLVVLSKAVSGKMWNGLAVVGNPCAVVDVQKTIAKRAAAAAAGDRAASQRELTSALAFDDDEKKEEEDAPNAGALEEDEVPLVGAKKAPRPLKNGKMFSEPGARERRNLLELMARIDGVAGQRFGITRARTVRAASESIEKDAAVLLLRRNQTVKVLGSEALSKADIFSSLDAKIKHELGKEQQEEAGKIKHELVKEQQEKAGNIKQELVKEQQEKAGNIKQESGEEQEKAGKTKHDAGKGHVEKAVGINLEAGKEQEKVEKNQEKEMKIQEKLGEIFDKAMKSEEKTGQNPGIEKKIQDTAEKKQEHDARVVQDKVEKIQDEAKKIQ >ORUFI05G16050.1 pep chromosome:OR_W1943:5:16130277:16133534:-1 gene:ORUFI05G16050 transcript:ORUFI05G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKKRPRSSSSNGGVGKRLSRKEILGRKKAVKELIRKAVAMKDHLAQFPDFHKYQSNGLLVYLEYGYGNQLPLPTRKYIQNLLKVNMEGQYGPEWPSEEKVKRREMVAPEARYIFVRQSSNAITTQNIMKQDSRLEFTHEAYGVFCPGEGAGKISNGVGRTYSLYVISSTSPSRVDPLIGLEKNYEILCKAFESEAKSILEEGN >ORUFI05G16050.2 pep chromosome:OR_W1943:5:16130277:16133534:-1 gene:ORUFI05G16050 transcript:ORUFI05G16050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKKRPRSSSSNGGVGKRLSRKEILGRKKAVKELIRKAVAMKDHLAQFPDFHKYQSNGLLVYLEYGYGNQLPLPTRKYIQNLLKVNMEGQYGPEWPSEEKVKRREMVAPEARYIFVRQSSNAITTQNIMKQDSRLEFTHEACNEDRLIGFVHYRFVLEEDVPVVYVYELQMESSAQGKGLGKFLMELVELIACKSQMGAVMLTVQKANNLAMAFYKKLRYVISSTSPSRVDPLIGLEKNYEILCKAFESEAKSILEEGN >ORUFI05G16050.3 pep chromosome:OR_W1943:5:16130277:16133534:-1 gene:ORUFI05G16050 transcript:ORUFI05G16050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKKRPRSSSSNGGVGKRLSRKEILGRKKAVKELIRKAVAMKDHLAQFPDFHKYQSNGLLVYLEYGYGNQLPLPTRKYIQNLLKVNMEGQYGPEWPSEEKVKRREMVAPEARYIFVRQSSNAITTQNIMKQDSRLEFTHEACNEDRLIGFVHYRFVLEEDVPVVYVYELQMESSAQGKGLGKFLMELVELIACKHGGSSDFDSVYMQSQMGAVMLTVQKANNLAMAFYKKLRYVISSTSPSRVDPLFPQIGLEKNYEILCKAFESEAKSILEEGN >ORUFI05G16050.4 pep chromosome:OR_W1943:5:16130277:16133534:-1 gene:ORUFI05G16050 transcript:ORUFI05G16050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYGPEWPSEEKVKRREMVAPEARYIFVRQSSNAITTQNIMKQDSRLEFTHEAYGVFCPGEGAGKISNGVGRTYSLYVISSTSPSRVDPLIGLEKNYEILCKAFESEAKSILEEGN >ORUFI05G16050.5 pep chromosome:OR_W1943:5:16130279:16132495:-1 gene:ORUFI05G16050 transcript:ORUFI05G16050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKKRPRSSSSNGGVGKRLSRKEILGRKKAVKELIRKAVAMKDHLAQFPDFHKYQSNGLLVYLEYGYGNQLPLPTRKYIQNLLKVNMEGQYGPEWPSEEKVKRREMVAPEARYIFVRQSSNAITTQNIMKQDSRLEFTHEAYGVFCPGEGAGKISNGVGRTYSLYVISSTSPSRVDPLIGLEKNYEILCKAFESEAKSILEEGN >ORUFI05G16050.6 pep chromosome:OR_W1943:5:16130277:16133534:-1 gene:ORUFI05G16050 transcript:ORUFI05G16050.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYGPEWPSEEKVKRREMVAPEARYIFVRQSSNAITTQNIMKQDSRLEFTHEACNEDRLIGFVHYRFVLEEDVPVVYVYELQMESSAQGKGLGKFLMELVELIACKSQMGAVMLTVQKANNLAMAFYKKLRYVISSTSPSRVDPLIGLEKNYEILCKAFESEAKSILEEGN >ORUFI05G16050.7 pep chromosome:OR_W1943:5:16130279:16132495:-1 gene:ORUFI05G16050 transcript:ORUFI05G16050.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKKRPRSSSSNGGVGKRLSRKEILGRKKAVKELIRKAVAMKDHLAQFPDFHKYQSNGLLVYLEYGYGNQLPLPTRKYIQNLLKVNMEGQYGPEWPSEEKVKRREMVAPEARYIFVRQSSNAITTQNIMKQDSRLEFTHEACNEDRLIGFVHYRFVLEEDVPVVYVYELQMESSAQGKGLGKFLMELVELIACKSQMGAVMLTVQKANNLAMAFYKKLRYVISSTSPSRVDPLIGLEKNYEILCKAFESEAKSILEEGN >ORUFI05G16050.8 pep chromosome:OR_W1943:5:16130277:16133534:-1 gene:ORUFI05G16050 transcript:ORUFI05G16050.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYGPEWPSEEKVKRREMVAPEARYIFVRQSSNAITTQNIMKQDSRLEFTHEACNEDRLIGFVHYRFVLEEDVPVVYVYELQMESSAQGKGLGKFLMELVELIACKHGGSSDFDSVYMQSQMGAVMLTVQKANNLAMAFYKKLRYVISSTSPSRVDPLFPQIGLEKNYEILCKAFESEAKSILEEGN >ORUFI05G16050.9 pep chromosome:OR_W1943:5:16130279:16132495:-1 gene:ORUFI05G16050 transcript:ORUFI05G16050.9 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKKRPRSSSSNGGVGKRLSRKEILGRKKAVKELIRKAVAMKDHLAQFPDFHKYQSNGLLVYLEYGYGNQLPLPTRKYIQNLLKVNMEGQYGPEWPSEEKVKRREMVAPEARYIFVRQSSNAITTQNIMKQDSRLEFTHEACNEDRLIGFVHYRFVLEEDVPVVYVYELQMESSAQGKGLGKFLMELVELIACKHGGSSDFDSVYMQSQMGAVMLTVQKANNLAMAFYKKLRYVISSTSPSRVDPLFPQIGLEKNYEILCKAFESEAKSILEEGN >ORUFI05G16060.1 pep chromosome:OR_W1943:5:16135624:16138510:-1 gene:ORUFI05G16060 transcript:ORUFI05G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDRVAGGATPPPPPPPPPLDASAFTHTPYYCEENVHLLCKELIRSGISDPAGTDLYAVFISNEEKKVPLWYQKASHSGDGFVLWDYHVICIQSRRKNGEVLDLVWDLDSSLPFPCSFIQYVSDAIRPLSFGNSTYRRLFRVIHAPVFLRSFASDRSHMKDHAGNWIQLPPKYESIVAEDGTTNNLNEYITMSMDDVKDLESMADDVYSSKHGVVINETILPEFFSRLPG >ORUFI05G16070.1 pep chromosome:OR_W1943:5:16140562:16140858:1 gene:ORUFI05G16070 transcript:ORUFI05G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRAPPSVAAGEEKGGSFRWVSPEAALGDGKQLAQDQYDLIDLSRSRALIRNRVCLGRLCRAKRECRDAGHNDDQGRAQWLPHRRRRGRPRRDEGD >ORUFI05G16080.1 pep chromosome:OR_W1943:5:16141101:16152354:1 gene:ORUFI05G16080 transcript:ORUFI05G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLHERVPRIDEINDGIKLATAPPLAPLPTRPRAAPPTATRAPLLRRRPPPALASTSAPIAAAPACARPVAAVSPRHPAACFLPRPAGQPARGEREGRLARAALSPRAGRTTLRRRAPLWAAALAPSLCESREREEGEKQRRRRGEKDTLKGPACPSVCRVHPSIHPLRAFDPSQPRHPSSPTLAQPHPHSAADRPRRGSALLAAGEPPSAPPRPTAVPAHALPPSCRSPQSATVPPPVAVISSLDVRCFARPATTARAPRGRVEGPSLPPSSTSRLPVAARGYGWPALDQTLDSSMESERAAYAFLPQTPIKSTDAHLVEFSEAMREGKAAAQAEAAEWKRKYELEKAVKAHRHNTVTKGCSNCDKEKLEQLASQLTLETTSVDPTSCCGNHEICSRQILQDECPGTNKISHDKIAARKAPFKLSWGCNGDNNGQHKHDFVSFEKGDITTAERSNKQILLKWESPPQTVLFVTKPNSNSVHALCAEMVRWLKEHNNINIFVEPRVSKELVTEDSYFNFIQTWDNDEEMKTLHTKVDLIVTLGGDGTVLWCHVIYDSAKNEVDTEEPILVLNEVTIDRGMSSYLTYLECYCDSSFVTRVQGDGLIISTTSGSTAYSLAAGGSMVHPQGLHWNLRKSQSFDGPVA >ORUFI05G16080.2 pep chromosome:OR_W1943:5:16141101:16152749:1 gene:ORUFI05G16080 transcript:ORUFI05G16080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLHERVPRIDEINDGIKLATAPPLAPLPTRPRAAPPTATRAPLLRRRPPPALASTSAPIAAAPACARPVAAVSPRHPAACFLPRPAGQPARGEREGRLARAALSPRAGRTTLRRRAPLWAAALAPSLCESREREEGEKQRRRRGEKPSLPISLSRPSIHPSIAGLRSIPTAPPILADARAAAPAQRRRSTPSRIRAPRRRRTAVRPAPPHRSARARTAPLVPQPAVGDGPSSGGGDLVAGRTVLRSTSHDSAGPAWPRRGSFPPSLIHLQAPRRRPRLWMAGAWLDCDGRVNLSVATSDQTLDSSMESERAAYAFLPQTPIKSTDAHLVEFSEAMREGKAAAQAEAAEWKRKYELEKAVKAHRHNTVTKGCSNCDKEKLEQLASQLTLETTSVDPTSCCGNHEICSRQILQDECPGTNKISHDKIAARKAPFKLSWGCNGDNNGQHKHDFVSFEKGDITTAERSNKQILLKWESPPQTVLFVTKPNSNSVHALCAEMVRWLKEHNNINIFVEPRVSKELVTEDSYFNFIQTWDNDEEMKTLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFCILNPSELYRECLDHVLKRPFGITLRSRLQCHVIYDSAKNEVDTEEPILVLNEVTIDRGMSSYLTYLECYCDSSFVTRVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPINSRGQAWASFDGKGRKQLGPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPVA >ORUFI05G16080.3 pep chromosome:OR_W1943:5:16141101:16152748:1 gene:ORUFI05G16080 transcript:ORUFI05G16080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLHERVPRIDEINDGIKLATAPPLAPLPTRPRAAPPTATRAPLLRRRPPPALASTSAPIAAAPACARPVAAVSPRHPAACFLPRPAGQPARGEREGRLARAALSPRAGRTTLRRRAPLWAAALAPSLCESREREEGEKQRRRRGEKDTLKGPACPSVCRVHPSIHPLRAFDPSQPRHPSSPTLAQPHPHSAADRPRRGSALLAAGEPPSAPPRPTAVPAHALPPSCRSPQSATVPPPVAVISSLDVRCFARPATTARAPRGRVEGPSLPPSSTSRLPVAARGYGWPALDQTLDSSMESERAAYAFLPQTPIKSTDAHLVEFSEAMREGKAAAQAEAAEWKRKYELEKAVKAHRHNTVTKGCSNCDKEKLEQLASQLTLETTSVDPTSCCGNHEICSRQILQDECPGTNKISHDKIAARKAPFKLSWGCNGDNNGQHKHDFVSFEKGDITTAERSNKQILLKWESPPQTVLFVTKPNSNSVHALCAEMVRWLKEHNNINIFVEPRVSKELVTEDSYFNFIQTWDNDEEMKTLHTKVDLIVTLGGDGTVLWCHVIYDSAKNEVDTEEPILVLNEVTIDRGMSSYLTYLECYCDSSFVTRVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPINSRGQAWASFDGKGRKQLGPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPVA >ORUFI05G16080.4 pep chromosome:OR_W1943:5:16141101:16152749:1 gene:ORUFI05G16080 transcript:ORUFI05G16080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLHERVPRIDEINDGIKLATAPPLAPLPTRPRAAPPTATRAPLLRRRPPPALASTSAPIAAAPACARPVAAVSPRHPAACFLPRPAGQPARGEREGRLARAALSPRAGRTTLRRRAPLWAAALAPSLCESREREEGEKQRRRRGEKPSLPISLSRPSIHPSIAGLRSIPTAPPILADARAAAPAQRRRSTPSRIRAPRRRRTAVRPAPPHRSARARTAPLVPQPAVGDGPSSGGGDLVAGRTVLRSTSHDSAGPAWPRRGSFPPSLIHLQAPRRRPRLWMAGAWLDCDGRVNLSVATSDQTLDSSMESERAAYAFLPQTPIKSTDAHLVEFSEAMREGKAAAQAEAAEWKRKYELEKAVKAHRHNTVTKGCSNCDKEKLEQLASQLTLETTSVDPTSCCGNHEICSRQILQDECPGTNKISHDKIAARKAPFKLSWGCNGDNNGQHKHDFVSFEKGDITTAERSNKQILLKWESPPQTVLFVTKPNSNSVHALCAEMVRWLKEHNNINIFVEPRVSKELVTEDSYFNFIQTWDNDEEMKTLHTKVDLIVTLGGDGTVLWCHVIYDSAKNEVDTEEPILVLNEVTIDRGMSSYLTYLECYCDSSFVTRVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPINSRGQAWASFDGKGRKQLGPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPVA >ORUFI05G16090.1 pep chromosome:OR_W1943:5:16155370:16159735:1 gene:ORUFI05G16090 transcript:ORUFI05G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASLSATASSLLAPAPATTGAAAAQCCSSSSTALFPTPVPSLRAYYPRLLLAFRRPAVAAVADPQGAVLEEEQGEQQDEGQEQYDDDDYDDGYEGGRGPAFTPPTRPRTGKAALPLKRDRTRSKRFLEIQKLRESKKEHDVPTAISLVKQMASARFVESAEAHFRMNLDPKYNDQQLRATVNLPKGTGQSVKIAVLTQGEKIDQARAAGADIVGGDDLIDQIKGGFMEFDKLIASPDMMPKVAGLGKILGPRGLMPNPKAGTVSPNITQTGIVHIPFGKVDFPEEDLIANFMAVVRSVERNKPSGAKGIYWKTAYLCSSMGPSIKLNIKEMLDYGSESSD >ORUFI05G16090.2 pep chromosome:OR_W1943:5:16155370:16159735:1 gene:ORUFI05G16090 transcript:ORUFI05G16090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASLSATASSLLAPAPATTGAAAAQCCSSSSTALFPTPVPSLRAYYPRLLLAFRRPAVAAVADPQGAVLEEEQGEQQDEGQEQYDDDDYDDGYEGGRGPAFTPPTRPRTGKAALPLKRDRTRSKRFLEIQKLRESKKEHDVPTAISLVKQMASARFVESAEAHFRMNLDPKYNDQQLRATVNLPKGTGQSVKIAVLTQGEKIDQARAAGADIVGGDDLIDQIKGGFMEFDKLIASPDMMPKVAGLGKILGPRGLMPNPKAGTVSPNITQVDFPEEDLIANFMAVVRSVERNKPSGAKGIYWKTAYLCSSMGPSIKLNIKEMLDYGSESSD >ORUFI05G16100.1 pep chromosome:OR_W1943:5:16162267:16167987:1 gene:ORUFI05G16100 transcript:ORUFI05G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSPNSLSAASHPTSSSSSFFHLHLQLQQPVPHLPFPRSLPLNLPVLRLARPLLPPAPLASSGSGGIGIGGGGDDDEGRDNAGGGDGGDDDASVNRREALFVLAQLGRKLESLPADLAAAIEGGRVPGEIVQRFADLEKSGLFRWLLQFGGFKERLLADDLFLAKVAMECGVGIFTKTAAEYERRRENFVKELDFVIADVVMAIVADFMLVWLPAPTVSLQPPLAVNAGSIAKFFHNCPDNAFQVALAGTSYSLLQRVGAIMRNGAKLFAVGTSASLIGTGVTNALIKARKAVSKDFEGESEDIPIVSTSVAYGVYMAVSSNLRYQILAGVIEQRMLEPLLHHHKLVLSALCFAVRTGNTFLGSLLWVDYAKWIGIQ >ORUFI05G16110.1 pep chromosome:OR_W1943:5:16168358:16168684:1 gene:ORUFI05G16110 transcript:ORUFI05G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLLGWLIPLSVGWYGGWKTARHLSEVEKLAEAAAPKPMARLLREYVFNGGAEARRAKQLDNDVREVSRLVAEVRELAVDIKRQRPPAAQPPPPPPPQGSPSRPSS >ORUFI05G16120.1 pep chromosome:OR_W1943:5:16170167:16171554:-1 gene:ORUFI05G16120 transcript:ORUFI05G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGNDNTLQFSRGAGVSFLRCLWQR >ORUFI05G16130.1 pep chromosome:OR_W1943:5:16174781:16175062:1 gene:ORUFI05G16130 transcript:ORUFI05G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLSDELDGGKGEVVREGAAARRRRPARSWRRGEAAVEERQWRCSAAHQRRRCDKGIWSWRRGEVAVEAEVGVRTVACEDATAAPRLGERQ >ORUFI05G16140.1 pep chromosome:OR_W1943:5:16178168:16183689:1 gene:ORUFI05G16140 transcript:ORUFI05G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGPAAGMQQKLEAAAAAAGGGDGAEWGRGMQKMEAVGAGGEGVGAGAEQVAPPPRRPVAARKERVCTAKERISRMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQGAYDDEEAAARAYDLAALKYWGAGTQINFPVSDYARDLEEMQMISKEDYLVSLRRQLHNSRWDASLGHLLGNDYMSLGKDITLDGKFAGTFGLERKIDLTNYIRWWLPKKTRQSDTSKMEEVTDEIRAIESSMQRTEPYKFPSLGLHSNSKPSSVVLSACDILSQSDAFKSFSEKSTKLSEECTFSKEMDEGKTVTPVPATGHDTTAVNMNVNGLLVQRAPYTLPSVTAQMKNTWNPADPSADPLFWTNFILPASQPVTMATIATTTFAKNEVSSSDPFHGQE >ORUFI05G16150.1 pep chromosome:OR_W1943:5:16190885:16191685:1 gene:ORUFI05G16150 transcript:ORUFI05G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQANSAQGDRLGISYSYCAGQTPANSAQVETLAGISYPAGQTPANAAQVEALGVSYPAGQTPEENLAKALARWREKLRAPGRAGLPPADYSYIKIGDVCDESAAVLSRLRELGASEPACVYYGDVTNAQADLSLGRLSLSGGAAARHIAESFTDDELDGVLDDGYDGDDGLEVPVFDEEGRRYDFRCGYNDDGFTGQYQLVGAGGDYQRLMANNNVVRDVAELGKGVSFLVFTFRSAALLTKHKWEEDHEASGALCMVILFFVSL >ORUFI05G16160.1 pep chromosome:OR_W1943:5:16199214:16201182:-1 gene:ORUFI05G16160 transcript:ORUFI05G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLCGFDVYVNMVLEDVTEYEYTAEGRRITKLDQILLNGNNIAILVPGGSPPDVA >ORUFI05G16170.1 pep chromosome:OR_W1943:5:16201889:16202171:-1 gene:ORUFI05G16170 transcript:ORUFI05G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAALGFVTLLAFCFLAPAALMLGYYHDSPELVVVGSGCSRLVETNSFIVQDIKVIILP >ORUFI05G16180.1 pep chromosome:OR_W1943:5:16203302:16208565:1 gene:ORUFI05G16180 transcript:ORUFI05G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERKMEGERRVEATRRWVIAVGFWVQGFRLFPWLGVNFFLKDGMGVAASSLQILQASANLPMVAKPLLGLLSDAVPIRGHRRLPYVAIGALLQAISWLAIALWPAISLPVLTIFLLLSNFGASICEVANDAIKLGSKQPCPQGQFCTTVAIPESSLQLPKADTNLSAVSSVRKQIKELSYALCMPEIFWSVIWFSLSYAAIPFLLGTMFFYQTEVLRLDSSVIGLSKVFGQVTLLAWSVAYNKYFKTTPAQKVLSVLQFLTALVMLSDVLFVQGIYRNFGIPDSMYTIVFSGLLEGLMLFKVLPFSVLVAKLCPSGCEGSLMAFVMSALALATIISGYLGVALAEFMGVSGGDFSALPTCLLIEAACTMLPLFFSSLIKERREKEKKEE >ORUFI05G16190.1 pep chromosome:OR_W1943:5:16206725:16210429:-1 gene:ORUFI05G16190 transcript:ORUFI05G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLQASLPLFRLRPRPSSPPPCGPRLPFVPICKRRMSTQAQPSFAPLPTAQSESDAGAAGYQFRLVSYNILAQVYVKSAFFPHSPSACLKWKTRSKAVLSELKSFEADLMCIQELDEYDTFYKKNMENSGYSSIYIQRSGDKRDGCGIFYKPKSMELVQKEVLHYNDLVEKYVHTDHVNSDTSNNSSPTEEASKKVDNNKHGDPNDPRFRLKRDCVGLLAAFKLNDPFMIAGDFNSTPGDKVYNYLVSANSDSTDEAPIKLRSLYAANGGEPEFTNCTPGFTGTLDYIFLSDGSSVKPTSLLRIPRGDSAEVQGGLPNFHHPSDHLPIGADFQVLGSEG >ORUFI05G16190.2 pep chromosome:OR_W1943:5:16206725:16210429:-1 gene:ORUFI05G16190 transcript:ORUFI05G16190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLQASLPLFRLRPRPSSPPPCGPRLPFVPICKRRMSTQAQPSFAPLPTAQSESDAGAAGYQFRLVSYNILAQVYVKSAFFPHSPSACLKWKTRSKAVLSELKSFEADLMCIQELDEYDTFYKKNMENSGYSSIYIQRSGDKRDGCGIFYKPKSMELVQKEVLHYNDLVEKYVHTDHVNSDTSNNSSPTEEASKKVDNNKHGDPNDPRFRLKRDCVGLLAAFKLNDPCDHILIMANTHIYWQVKWPEFSIILVLKMDPEWIDVKLAQAKYLLSRVTQFEKLISNKFNCKPSVMIAGDFNSTPGDKVYNYLVSANSDSTDEAPIKLRSLYAANGGEPEFTNCTPGFTGTLDYIFLSDGSSVKPTSLLRIPRGDSAEVQGGLPNFHHPSDHLPIGADFQVLGSEG >ORUFI05G16190.3 pep chromosome:OR_W1943:5:16206725:16210429:-1 gene:ORUFI05G16190 transcript:ORUFI05G16190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLQASLPLFRLRPRPSSPPPCGPRLPFVPICKRRMSTQAQPSFAPLPTAQSESDAGAAGYQFRLVSYNILAQVYVKSAFFPHSPSACLNPCSSIIHLWTVCFPSCLRNRWKTRSKAVLSELKSFEADLMCIQELDEYDTFYKKNMENSGYSSIYIQRSGDKRDGCGIFYKPKSMELVQKEVLHYNDLVEKYVHTDHVNSDTSNNSSPTEEASKKVDNNKHGDPNDPRFRLKRDCVGLLAAFKLNDPCDHILIMANTHIYWQVKWPEFSIILVLKMDPEWIDVKLAQAKYLLSRVTQFEKLISNKFNCKPSVMIAGDFNSTPGDKVYNYLVSANSDSTDEAPIKLRSLYAANGGEPEFTNCTPGFTGTLDYIFLSDGSSVKPTSLLRIPRGDSAEVQGGLPNFHHPSDHLPIGADFQVLGSEG >ORUFI05G16200.1 pep chromosome:OR_W1943:5:16219772:16224379:1 gene:ORUFI05G16200 transcript:ORUFI05G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSKAGASSASSSSSASASASASGGDEASKGNGKGQRRGRGRGRGLLQRLPSSSSSCFRGHGTPSRDDASSASSPPPPPRPPRRPFESSKGEENGSLPSIAQMDKSEEDAPTIPKSHPGEGATLPSSHINRDQDVDVLQNATAVNNRVEVNQSPNHSDSSRPRFGVNFGLSRAVSLGSSVACSILSSDLSTSANPDGGHGNVDNSSDANISQQGGASTAGIDSTLDMLRDSVTAQARAAHQARRNLLESDNANLRYSNRRMGPQEPFEGSVRFSRTLSVGRLRDRVLRRTPFSDGLFTPSLLYDRAIWPSGNASARQNSAIMQRTNSERNSELQLDSSTDSATLREANNRDLLERRSAFLERRRIRSQVRALQRLGSRTSSRPGNSDEETNTRASISRIVMLAEALFEVLDEIHQQSAALSSRPSFSSIGSVPAPKEVVERLPVKVYRRSLKHQTEEAAQCYICLVEYAEGDCVRILPCNHEFHLTCVDKWLKEIHRVCPLCRGDVCRSNASGIGKTT >ORUFI05G16200.2 pep chromosome:OR_W1943:5:16219772:16224379:1 gene:ORUFI05G16200 transcript:ORUFI05G16200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSKAGASSASSSSSASASASASGGDEASKGNGKGQRRGRGRGRGLLQRLPSSSSSCFRGHGTPSRDDASSASSPPPPPRPPRRPFESSKGEENGSLPSIAQMDKSEEDAPTIPKSHPGEGATLPSSHINRDQDVDVLQNATAVNNRVEVNQSPNHSDSSRPRFGVNFGLSRAVSLGSSVACSILSSDLSTSANPDGGHGNVDNSSDANISQQGGASTAGIDSTLDMLRDSVTAQARAAHQARRNLLESDNANLRYSNRRMGPQEPFEGSVRFSRTLSVGRLRDRVLRRTPFSDGLFTPSLLYDRAIWPSGNASARQNSAIMQRTNSERNSELQLDSSTDSATLREANNRDLLERRSAFLERRRIRSQVRALQRLGSRYENLSGLSGHERSCILSGQHRTGNCNCRTSSRPGNSDEETNTRASISRIVMLAEALFEVLDEIHQQSAALSSRPSFSSIGSVPAPKEVVERLPVKVYRRSLKHQTEEAAQCYICLVEYAEGDCVRILPCNHEFHLTCVDKWLKEIHRVCPLCRGDVCRSNASGIGKTT >ORUFI05G16210.1 pep chromosome:OR_W1943:5:16225540:16230029:1 gene:ORUFI05G16210 transcript:ORUFI05G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVGCIFAELLNGKPILPGKNEPEQLSKIFDVCGTPDESNWPGVTKMPWYNNFKPPRQLKRRVKEYFKHFDRLALDLLEKMLTLDPAQRISAQDALDAEYFWSDPLPCDPKSLPKYESSHEFQTKKKRQQMRQADEAAKRQKTQHPQPHGRLPPIQQTGQPHPQIRPGQPMNNPHAPMAAGPGHHYAKPRGPGGSSRYPQGGNQGGGYPNRGGQGGGGSYGNAPYPQQGRGPPPPYPGSGMAGTGGPRGGVGGGYGGGSNYPQQGGPYGPSGPGRGSNYPQQGGSRNQQQYGNWQ >ORUFI05G16210.2 pep chromosome:OR_W1943:5:16225540:16230029:1 gene:ORUFI05G16210 transcript:ORUFI05G16210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPEQLSKIFDVCGTPDESNWPGVTKMPWYNNFKPPRQLKRRVKEYFKHFDRLALDLLEKMLTLDPAQRISAQDALDAEYFWSDPLPCDPKSLPKYESSHEFQTKKKRQQMRQADEAAKRQKTQHPQPHGRLPPIQQTGQPHPQIRPGQPMNNPHAPMAAGPGHHYAKPRGPGGSSRYPQGGNQGGGYPNRGGQGGGGSYGNAPYPQQGRGPPPPYPGSGMAGTGGPRGGVGGGYGGGSNYPQQGGPYGPSGPGRGSNYPQQGGSRNQQQYGNWQ >ORUFI05G16220.1 pep chromosome:OR_W1943:5:16229508:16232963:-1 gene:ORUFI05G16220 transcript:ORUFI05G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTQSRFASVPGFPALTRTAIAEPPPRRIRQTQTRSRTDKAALAAPPTGDHKAQARNPLRYRLSRHRRAAAANEATEAEAMAASDDGQLRTWVSDRLMALLGYSQGLVARLVVRLARECASAGDLAARLVDLAGFPPSPDTAAFAEDVYGRVPRSCGGGGDDAGVSEYQRQMQEAAAMAKKQSTIKLLDDDGEIGVSASPSSGGRKRFRRKAVGEDDDDAGRNARRRRSPDDEEEDGDAGEEEEMERDQIERAQLERNIRERDAASTRRLTDRKTTKREQGELARRSDAMDKNDTSGLRRLSRRAYLQRRKEKKVEEMRDEIVDHEFLFPGVQLTAAEERDMRHKKQVYGLVHDRDDYYRMPDAYDAAANVDQEKRFSVARRRYEEPAEAARDGKTANALSEQEAWEEQQIRKSRLQFGSMDRGRRGDEYELLFDDGVEFVRSTVMAGTAPADDDDTAAAAAADEYHAEAMDAKATLQDERKTLPVYKLKDDLLKAIDEHKVLIVVGETGSGKTTQIPQYLHEVGYTAGGRKKVACTQPRRVAAMSVAARVAEEMGVKLGHEVGYSIRFEDCTSEKTVIKYMTDGMLLREFLGEPDLGSYSVVVVDEAHERTLATDILFGLVKDIARLRPDMKLLISSATLNADKFSDFFDAAPVFRIPGRRFEVGIHYTVAPEADYIDAAVVTVLQLHVTEPPGGGDILLFLTGQEEIETVEEILRHRLRVLGGKVAELVICPIYANLPAELQAKIFEPAPAGARKVVLATNIAETSLTIDGIKYVVDPGFCKVKSYNPRTGMESLLVAPVSRASAEQRAGRSGRTGPGECFRLYTEYNFVSDLDDDAVPEIQRSNLASVVLALKALGINDLVGFDFMDPPPAESLLRALEELFALGALNSRGELTKTGRRMAEFPLDPMLSKAIVASERYGCSEEVITIAAMLSAGNAVFYRPRDKRVHADAARRAFHAGNAGDHVALLNVYNAWAESGYSPQWCRESFVQHRTMRRARDVRDQLGALLERVEIAPCSSAGGGDLDAVRKAVTAGYFRHAARLQRDGSYRAVKSRQTVFVHPSSGVAQAPPRWALYHELVLTTKEYMRQVTELKPEWLVEIAPHYYERKDVDEPEPKKTAAATTPQEQTTAGSTPTKQTPNLNSFFDV >ORUFI05G16230.1 pep chromosome:OR_W1943:5:16237905:16245083:-1 gene:ORUFI05G16230 transcript:ORUFI05G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISCRELSPHLSSLPRAAATTTAHTHLSFRRAPPPCRLLTGTASDDGDRLRLLPSAATRDDALASLVGQLEHDVVVRHGQAAEEEEEEEEEDEELYHHDSQDLGAARRRHHRQHHQDGHELAARWREIHGRDDWAGLLDPMDPLLRSELIRYGEFAQACYDAFDYDPSSRYCGSCKYPRRAFFDRLGMPAAARGYTVTRYLYATSNFRFPNFFSQSRAGAKIWSQRANWIGYVAVSTDEETARLGRRDIAIAWRGTVTRLEWVSDLMDFLRPVADEGIPCPDREVKVESGFVDLYTDKDPTCRFCKYSAREQVLTEVRRLVTRYAALGEDVSVTVTGHSLGSALAMISAYDIAESGAASAAHGGGKEAAAAVCVYSFAGPRVGNARFKERFEGELGVKALRVVNVHDGVARMPGILLNEGAPAALRRVAEGILRVPWCYAHVGVELALDHKRSPFLKDTLDPACFHNLEAHLHLLDGYHGRGERFVLASGRDPALVNKACDFLKDHHCVPPCWRQDENKGMVRAPDGRWVQPDRHSWHLDDHDDHHGEGQHGHDGAVDGAHHRHSSHIRLVRRQPN >ORUFI05G16240.1 pep chromosome:OR_W1943:5:16249366:16258431:1 gene:ORUFI05G16240 transcript:ORUFI05G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAASTSSTGLPLPRAGGLLLLPTSRSLLLPRHRHRLRLRDVGAAAAAGGGVSGGGGGAASSREPPRTLFPGGFKRPEIRVPALVLRVGADEALASGDAVVAAVARGVGIVVLEAGEEGGGRVYEAALSLKASVGDRAYLLVAERVDVASAVGASGVVLADDGIPAIVARSMMMKSNSDSIYLPLVARTIRSADTARSATSSEGADFLIIDTGSDDAINVMNGVSGTQHVKIPIFSTLSDSQSEGSYSDNTSRLLQSGASGIVMSLAGIQVLADDIIERDFSKVDTAESVLQANYSSASTLEEADNVMVLTREKAKVAGFTKLDEKVMQLISIEKPILSEAVAVIRKAAPMMEEVELLVDAASRLSEPFLLVTVGEFNSGKSTFINALLGRKYLQEGVVPTTNEIMLLSYSDVDSESAERCERHPDGQYMCYLSAPVLKEMNLVDTPGTNVILQRQQRLTEEYVPRADLILFVLSSDRPLTDSELEEATAFIKENARKLLNTEDVTLFPVSSRSALEAKLLYSKNDGREHHGEALFNDPRWRNSKFYDLEHYLLSFLDGSTENGKERVRLKLETPIGIADRLLTSCQRLVKLEYEKAIDDLTSIKDLVSGANNYAVKIEADSDSWQRQISSLIARAKGRAISLMESTLQLSNIDLIFTYTLSGGKSTPTKVTSFFQNDILSPSLDDAANLLSEYSTWLSSTNVREANIYVDCFHERWGALVAQEQRIPPEKNELVNEEEKLCVKALDGFSASAAAKVFEEEIREVAWGTFGGLGVAGLSASLLTSVLTSTLEDLLALALCSAGGFFAISNFPTRRKLAVEKIGKAAEKLSSKVDEAIQQDISRSANQLVHFVETVSKPYQDACQQKIDWLQGVQGELSTVERKLQTLKVEIQNLHES >ORUFI05G16250.1 pep chromosome:OR_W1943:5:16268579:16269765:1 gene:ORUFI05G16250 transcript:ORUFI05G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGEQWPAAVQAKALLRSSLPDAGQHLKQQGGVNSNNQRLLHVSDNARTSKSYICTSFSMWLAMILHLHIILHVASHERIGWSTPVIEMMDGCYYAKSSSSTTSFHDSIVDYCSPPGMASYDVEAFMRVNLLLLNEPMWEAGSK >ORUFI05G16260.1 pep chromosome:OR_W1943:5:16271686:16272391:1 gene:ORUFI05G16260 transcript:ORUFI05G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYHKVYISSNTFKASCGGLQSLNPPGTPSPAAVPQPSRNPLTGGGMVALFPDPYLHGGTDEVNTACWENDPVVRRFLAEGGTHNHLLEVFINTTRPFVAQELNQLPWHSVPQPLGQPVCRPPSHRIESAAATVASSFRRIAAATIAELPMNGSCNHRRTPTDSAPTSHRDHRPPSLMNRHRNHHQAPVADESPPQPSLIWATIGSPI >ORUFI05G16270.1 pep chromosome:OR_W1943:5:16276468:16277288:1 gene:ORUFI05G16270 transcript:ORUFI05G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLDSPPQITPAAAAGDWSSLYAAAQAETAVKAPRHGAVAEGGEPGGGAGAAPPPADAVVVAAAAAAAAAEDAMPVVVETDGAERKRRAREEEAGSMVELAAAAARARRAGPRGGAPLPPPLTTLARGGSRVRMVKERRDGRLAVYAVRTAGVQAERCGGRLRLLLVPCAGCSNAAECHQKEKQLIKQEAEDAAAIVTKKEEEHDAGDEATPEEEYGGVAKYVRGGRCVEAEVAAAAAARRGKKWEPEQAAPFWVATS >ORUFI05G16280.1 pep chromosome:OR_W1943:5:16285659:16290871:1 gene:ORUFI05G16280 transcript:ORUFI05G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAESPSPSSPSSSSGSDDFAALLDAELELTSAADSASAGDPSAATDDDDEGGDEEEEDVVVVVEQEDAIVEQSSTKRRRVEDQHRHQAVVMKSDEDTVGSSKDVKIDECPPHPGFFGGLCYRCGKRQDEEDVPGVAFGYIHKGLRLGTTEIDRLRGADLKNLLRERKLVLILDLDHTLINSTKLFDLSAAENELGIQSAAKEVVPDRSLFTLETMQMLTKLRPFVRRFLKEASDMFEMYIYTMGDKAYAIEIAKLLDPDNVYFGSKVISNSDCTQRHQKGLDVVLGDESVAVILDDTEYVWQKHKENLILMERYHYFASSCRQFGFGARSLSETMQDERENDGALATILDVLERIHTIFFDPVIKRVRQEVLQGCKLVFTRVFPLHQRPQDQMLWKMAEQLGAVCCTDVDSTVTHVVALDLGTEKARWAVSNKKFLVHPRWIEAANFRWQRQQEEDFPVARPKEKGKENAADAPISKENGDKNAADAANAKKGNENVADAGSTKEGSKENADDAANSEEGSKENADDAANAEKSKSDGAASGATGS >ORUFI05G16280.2 pep chromosome:OR_W1943:5:16285659:16290871:1 gene:ORUFI05G16280 transcript:ORUFI05G16280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAESPSPSSPSSSSGSDDFAALLDAELELTSAADSASAGDPSAATDDDDEGGDEEEEDVVVVVEQEDAIVEQSSTKRRRVEDQHRHQAVVMKSDEDTVDVKIDECPPHPGFFGGLCYRCGKRQDEEDVPGVAFGYIHKGLRLGTTEIDRLRGADLKNLLRERKLVLILDLDHTLINSTKLFDLSAAENELGIQSAAKEVVPDRSLFTLETMQMLTKLRPFVRRFLKEASDMFEMYIYTMGDKAYAIEIAKLLDPDNVYFGSKVISNSDCTQRHQKGLDVVLGDESVAVILDDTEYVWQKHKENLILMERYHYFASSCRQFGFGARSLSETMQDERENDGALATILDVLERIHTIFFDPVIKRVRQEVLQGCKLVFTRVFPLHQRPQDQMLWKMAEQLGAVCCTDVDSTVTHVVALDLGTEKARWAVSNKKFLVHPRWIEAANFRWQRQQEEDFPVARPKEKGKENAADAPISKENGDKNAADAANAKKGNENVADAGSTKEGSKENADDAANSEEGSKENADDAANAEKSKSDGAASGATGS >ORUFI05G16290.1 pep chromosome:OR_W1943:5:16298769:16299935:-1 gene:ORUFI05G16290 transcript:ORUFI05G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGKDGGGRSFPSPASSSSASSSEFEFTVTLSPASKQRSAAQLCPADELFYKGQLLPLQLSPRISMVRTLLLSSASTSSASASDSTSNSSSSRDSNGSTSSSFSADCAALLLPDSAASSSRPSSATEDDRHLAPPPPPPGVAASFAAKRTGKQYLSSFATRFSSVFHRGGAPAPAAAAANAKKPPSKSLAKEVIKKYAKKVKPLYEKLSQIPKNQSNSSGQPLQQQQQQGLKKPFSFSMRKKRGDDDHAAAAPAAAAAVAAEVGGGGKYAHSNSFSGNLRFPRQKRCAASCPSSMRSSPSHSGLLTFGGAGGVGFPDVPAAAAAAMSGGISVGPVSLSTASSMEELQSAIEGAIAHCKSTMGGAVTMCSRKAAAAAAAADEICAF >ORUFI05G16300.1 pep chromosome:OR_W1943:5:16301701:16304167:1 gene:ORUFI05G16300 transcript:ORUFI05G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQMSINENVQPQGPSFLEMLGQVDWLFSQPPIMQPQITGMYTADQMMGYAGSTLSYGEPCSYGGGSSTAQHEIGPSQLDEPPPITQPTQDYGYINFSGVEVAHI >ORUFI05G16300.2 pep chromosome:OR_W1943:5:16303102:16304167:1 gene:ORUFI05G16300 transcript:ORUFI05G16300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQMSINENVQPQGPSFLEMLGQVDWLFSQPPIMQPQITGMYTADQMMGYAGSTLSYGEPCSYGGGSSTAQHEIGPSQLDEPPPITQPTQDYGYINFSGVEVAHI >ORUFI05G16300.3 pep chromosome:OR_W1943:5:16303219:16304167:1 gene:ORUFI05G16300 transcript:ORUFI05G16300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYQMSINENVQPQGPSFLEMLGQVDWLFSQPPIMQPQITGMYTADQMMGYAGSTLSYGEPCSYGGGSSTAQHEIGPSQLDEPPPITQPTQDYGYINFSGVEVAHI >ORUFI05G16310.1 pep chromosome:OR_W1943:5:16315653:16316235:-1 gene:ORUFI05G16310 transcript:ORUFI05G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQRQQSSRGNASATATRGGGGGGGGKGGGNGKAAAAGKKPIKVVYISNPMRVRTSAAGFRALVQELTGRNADPSNAAGFRALVQELTGRNADPSKYSPRASAGDDGGGATALPDTGAASDADALEAGAAPGRHPAETATFDEGGGGGGGGYDDDDVFRSQLLDTSYSVFSPPTLLYDDHPHSKV >ORUFI05G16320.1 pep chromosome:OR_W1943:5:16330084:16332937:1 gene:ORUFI05G16320 transcript:ORUFI05G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTWHELVSGLVEEPALSLSVLEPDVGVGGGSGGGGGGGEGDNGGVFFVSPAGVVEQSYNKPASNAHDGRTSSHNFSATSSHNFKYPAY >ORUFI05G16330.1 pep chromosome:OR_W1943:5:16333102:16334296:-1 gene:ORUFI05G16330 transcript:ORUFI05G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATVLKHRRRRQRVLLEPSLSLCCGGFLSASARMAEARRSQLGGNPNPRAMQRRFGVASEEGSSSLPGLIGATRYPIGPSGLTLVRCPRCGSAVVECRSWRQGDAFSSNARITNNLCQIVVHSSSGLRAIRRWWKQWSLIILMRPFRHETAQKWKVQVQIAQNDSFMFGNKQQATNSYTYQIWQQRTSNPFRFRQRSKFSHITKGHRFRSKFRHYRFREV >ORUFI05G16340.1 pep chromosome:OR_W1943:5:16350407:16354211:-1 gene:ORUFI05G16340 transcript:ORUFI05G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDRVSPHAAVAVGGGGGQKTPTSPRGAPGADRRHPRPFAKNLDFASWASEHSSKLLLLLFVVASAAAVFLLRGAAPDAAALLCLDRSRSSSSSAAGSARLPYPDVKWAAVPPLAIAAGAPFASFRAERWIVVSVSSPPTAALGALARVKGWQLLAVGNSHTPSGWELKGAIFLSLELQAQLGYRSVDFLPYASHVRKTAGYLFAIQHGAKVIFDADDRAEVPGNDLGKHFDVDLGSGVTNHPVLLQYSHADPNRTVVNPYVHFGQRSVWPRGLPLDKVGEVAHEVFYTEVFSGQQYIQQGLSDGLPDVDAVFYFTRKPPTAAFDLRFDAEAPKVALPQGTMAPVNSFNTLFHTPAFWGLMMPVSVSSMASDVIRGYWAQRILWEIGGYVAFYPPTIYRKDHIQAYPFAEEKDLHVNVGRLIKFLNEWRSNKRTLFERILDLSYAMAEEGFWTEQDVRLTAAWLQDLLAVGYRQPRLMSLEIDRQRATIGEGDMKEFVPKKLPSVHLGVDEIGTVNYEIGNLIKWRKNFGNVVLIMHVSGPVDRTALEWRLLYGRIFKTVIILAEQSNTELAVERCALSHAYKFLPKVFARYGGADGFLFLQDHMILNYWNLLQADKEKLWITNKIAHSWVTVPLENNKEEWFVKQGSMVKQVIGSSPVHFQTNYKESMGEDKIAFCGSELFYIPRQFVEDFGDLVGLVGDLELHHKVAVPMFFLAMDSPKNFDSDALAGTVFRSNLVGNETFSSIYTAHAPAVFPVKVQNEIDFIKLIRVMSTGDPLLMELV >ORUFI05G16350.1 pep chromosome:OR_W1943:5:16367179:16376235:1 gene:ORUFI05G16350 transcript:ORUFI05G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAAAAEVGKRVLDTGWLAARSTEVALTGEQLTTTDPPPADPEPTAPWMHAAVPGTVLGTLLKNKLIPDPFYGLNNESIIDIAKSGRGHYTFWFFTTFQCAPAGHQHVSLNFRGINYSAEVYLNGHKEVLPKGMFRRHTLDITDVLRPDGKNLLAVLVHPPVHPGAIPPQGGQGGDHEIGKDVATQYVEGWDWMCPIRDRNTGIWDEVSISVTGPVRIMDPHLVSTFYDDFKRSYLHCTLQLENRSSWLSDCKLKIQVSTELEGNICLVEHLQSYEISVPPNSVLEYTIPPLFFYKPNLWWPNGMGKQSLYNVEIGVDANGFGESDSSNHHFGFRKIESTIDGSTGGRIFKVNGEPVFIRGGNWILSDGLLRLTRKRYMTDIKFHADMNFNMLRCWGGGLAERPDFYHFCDIYGLMVWQEFWITGDVDGRGIPISNPNGPLDHDLFLLCARDTVKLLRNHASLALWVGGNEQVPPVDINKALKNDLKLHPMFVSNHTTKSPGKDISEDPTDPSKYLDGTRVYVQGSMWDGFANGKGDFTDGPYEIQYPESVFKDSFYKYGFNPEVGSVGVPVAATIRATMPSEGWSIPIFKKRIDGYINEVPNPIWDYHKYIPYSKPGKVHDQIELYGHPSDLDDFCEKAQLVNYVQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLDSYFIEVVNTTADELRDVAVEISAWDLDGASPYYRVTEKIAVPPKKVQQVTEMSYPKTKNPKPVYFLLLKLFKLSDNQVLSRNFYWLHLPGKDYKLLEQYRQKQIPLKINSKISISGSGYKVRMSIENRSKKPENANVSTMNLADANGSDRTGEEAIQDGHSSGLWGKIRRGLIITRSDDNVRTVEVKGADSGVSFFLHFSVHTSEPSSSQDVYKDTRILPVHYSDNYFSLVPGEKMAIDISFEAPQGSTPRVILKGWNYHLDHAVTL >ORUFI05G16360.1 pep chromosome:OR_W1943:5:16378678:16386881:-1 gene:ORUFI05G16360 transcript:ORUFI05G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQASSHQHHYQHSAKPPVSRSSSWIRRSPPPSPPHKKLWGGGGGGGGGRSRYVCRIVPLLVLTVYSIFTVVRIPSSSLVVSTADSERVERREDVEAFKTHLPSNQNNLEAREETRSPASLPCSALINGEAGGGQAAAESALCCDRSHYRSDVCYLRGDVRTDPSTSSVLLYNAPRGSAPEKVRPYTRKFEGSIMSTIDEVTIVPVVDAGSGSNGTTAGDTGKDSLRRRCDVRHPPGVPAVVFSTGGYTGNVYHEFSDGLIPLFITAQRFAGEVVFVVLEYHYWWLGRYGAVLERLTNYKVVDFRYDRRVHCFSEMIVGLRIHGELVVDPKLMPNAGRRRRPPRRRRRSRRDHAKVAKPKLVIFIRKQNRVLLNLPHIVTACRRAGFAPHVMNLRRQTPLPAIHAALSSADAMVAVHGAAVTHFLFMRPGSVLLQIVPVGLDWAADAFYGKPAQQLGLGYLEYKVAPEESSLAAEYGVNSTVVRDPSVISSRGWWEMKKVYMDRQNVTLNIKRFGELLRSARLHLKNATACGKAASAATTTAASATSWS >ORUFI05G16360.2 pep chromosome:OR_W1943:5:16379186:16386881:-1 gene:ORUFI05G16360 transcript:ORUFI05G16360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQASSHQHHYQHSAKPPVSRSSSWIRRSPPPSPPHKKLWGGGGGGGGGRSRYVCRIVPLLVLTVYSIFTVVRIPSSSLVVSTADSERVERREDVEAFKTHLPSNQNNLEAREETRSPASLPCSALINGEAGGGQAAAESALCCDRSHYRSDVCYLRGDVRTDPSTSSVLLYNAPRGSAPEKVRPYTRKFEGSIMSTIDEVTIVPVVDAGSGSNGTTAGDTGKDSLRRRCDVRHPPGVPAVVFSTGGYTGNVYHEFSDGLIPLFITAQRFAGEVVFVVLEYHYWWLGRYGAVLERLTNYKVVDFRYDRRVHCFSEMIVGLRIHGELVVDPKLMPNGSLQVPAFKNWTETFEGSRKARASRTSRRCSTRGTAGRRRRPPRRRRRSRRDHAKVAKPKLVIFIRKQNRVLLNLPHIVTACRRAGFAPHVMNLRRQTPLPAIHAALSSADAMVAVHGAAVTHFLFMRPGSVLLQIVPVGLDWAADAFYGKPAQQLGLGYLEYKVAPEESSLAAEYGVNSTVVRDPSVISSRGWWEMKKVYMDRQNVTLNIKRFGELLRSARLHLKNATACGKAASAATTTAAR >ORUFI05G16360.3 pep chromosome:OR_W1943:5:16379186:16386881:-1 gene:ORUFI05G16360 transcript:ORUFI05G16360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQASSHQHHYQHSAKPPVSRSSSWIRRSPPPSPPHKKLWGGGGGGGGGRSRYVCRIVPLLVLTVYSIFTVVRIPSSSLVVSTADSERVERREDVEAFKTHLPSNQNNLEAREETRSPASLPCSALINGEAGGGQAAAESALCCDRSHYRSDVCYLRGDVRTDPSTSSVLLYNAPRGSAPEKVRPYTRKFEGSIMSTIDEVTIVPVVDAGSGSNGTTAGDTGKDSLRRRCDVRHPPGVPAVVFSTGGYTGNVYHEFSDGLIPLFITAQRFAGEVVFVVLEYHYWWLGRYGAVLERLTNYKVVDFRYDRRVHCFSEMIVGLRIHGELVVDPKLMPNAGRRRRPPRRRRRSRRDHAKVAKPKLVIFIRKQNRVLLNLPHIVTACRRAGFAPHVMNLRRQTPLPAIHAALSSADAMVAVHGAAVTHFLFMRPGSVLLQIVPVGLDWAADAFYGKPAQQLGLGYLEYKVAPEESSLAAEYGVNSTVVRDPSVISSRGWWEMKKVYMDRQNVTLNIKRFGELLRSARLHLKNATACGKAASAATTTAAR >ORUFI05G16370.1 pep chromosome:OR_W1943:5:16412537:16424235:-1 gene:ORUFI05G16370 transcript:ORUFI05G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPCCSLCHVRYDEEERAPLLLHCGHGFCRACLARMLANAAGAVLACPRCRHPTAVGNSVSALRKNFPILSLLSSSPSSPSFLHSDSGGSSSDGSDDDDDDFFGRPSRRSSAAGAGAGAAAPSLQPAGCASFDLASHPDLKLARRIGSGPPGPAGQEVWSGTLSRGGGGGGAKRCKHPVAVKRVPVTAGDVLEGVQEEVERLRRAATWCRNVTTFHGAVRVGGHLCFVMDRYAGSVQTEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDTNGHAVVSDYGLSAILKNLTSRRVSDDSNMVGLDATLLSPNYTAPEAWVPLKKSMNLFWDSANGILPESDAWSFGCTLVEMCTGAVPWAGLSAEEICKSVVKERKPPPQYSRVVGVGLPGELWKMIGDCLQFKPSRRPSFQDMLKTFLRHLLDIPRSPPASPENDFTNASMPNGTDVPPASVLDMVQDNPNALHHLVCEGDAAGVRNLLAEAASDGNGRLIRSLLEAQNADGYTALHLACRRGSAEIVEAIVAYQENVDLLDKNENPPIIFAMAAGSPQCVRALVRRSSDVNSRLREGLGPTLAHVCAHHGQPECMRELLMAGADPNAIDGEGESILHIAVAKRYTDCAIVLLENGGCRSMGIPNSVNKTPLHLCIKTWNADVVKRWVEVASEEEIAEAIDVPSPVGTALCMAAALKKEHEKEGRELVRVLLSAGADPTAQDDPHCRTALHTAAMINDAELVKIILEAGVDVNIRNAQNTTPLLVALNRGANSCVGLLLAAGANCNLQDDDGDNAFHIAADAAKMIRENLSWIVQMLQQPSPAVDVYDVSGVKLNLLQYNVMQSKRGWTLRDFLERLPREWISEELMETLEEKGVHLTPTIYEFADWVKFRRTVTEPAFGWQGAGPRSIGFVQSVVDHDHLVVSFCSGEARVLTSEVIKVIPLNRGQHVQLKPDVLEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEIVRVEEYKVGNWVRIRPSLTVAVHGMESITPGSVGIVYSIRPDSSLLLGLCYLSSPWLCEPEEVEHVDPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIESDGLLIIDIPNRAVHWQADPSDMEKIEKFKVGDWVRVKAAVPSPKYGWEDVTRSSIGVVHSLEEDGDMGVAFCFRSKPFSCSVADVEKAQPFEVGEKIHVLPSISQPRLGWSNETAATIGAISRIDMDGTLNVKVSGRNSLWKVAPGDAERLSAFEVGDWVRLKSSIGSRPTYDWSVGKISIAVVHSIQDSGYLELAGCFRKGKWLTHNTEIDKVEPLKIGHHVRFRAGITEPRWGWRDAKPDSRGIIAGVHADGEVRVAFFGVPGLWKGDPADLEIEQVYEVGEWVRLRNNADDWKSLKPGSIGVVHGIGYEDDVWDGTIHVAFCGEQERWIGPSSQLEGVSKFVVGQRVRVKLCIHQPRFGWSNHNHSSIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVEEEEEVCVGDWVKVKDCVATPTYQWGDVNHNSIGVIHRAEDGELWVAFCFCERQWLCKRWEVEKVRPFRLGDRVRIRPGLVTPRWGWGEETYESKGEVVGVDANGKLRIKFRWRDGLWIGDPADIVLDDIPSLTEASNGFCS >ORUFI05G16370.2 pep chromosome:OR_W1943:5:16412537:16424235:-1 gene:ORUFI05G16370 transcript:ORUFI05G16370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPCCSLCHVRYDEEERAPLLLHCGHGFCRACLARMLANAAGAVLACPRCRHPTAVGNSVSALRKNFPILSLLSSSPSSPSFLHSDSGGSSSDGSDDDDDDFFGRPSRRSSAAGAGAGAAAPSLQPAGCASFDLASHPDLKLARRIGSGPPGPAGQEVWSGTLSRGGGGGGAKRCKHPVAVKRVPVTAGDVLEGVQEEVERLRRAATWCRNVTTFHGAVRVGGHLCFVMDRYAGSVQTEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDTNGHAVVSDYGLSAILKNLTSRRVSDDSNMVGLDATLLSPNYTAPEAWVPLKKSMNLFWDSANGILPESDAWSFGCTLVEMCTGAVPWAGLSAEEICKSVVKERKPPPQYSRVVGVGLPGELWKMIGDCLQFKPSRRPSFQDMLKTFLRHLLDIPRSPPASPENDFTNASMPNGTDVPPASVLDMVQDNPNALHHLVCEGDAAGVRNLLAEAASDGNGRLIRSLLEAQNADGYTALHLACRRGSAEIVEAIVAYQENVDLLDKNENPPIIFAMAAGSPQCVRALVRRSSDVNSRLREGLGPTLAHVCAHHGQPECMRELLMAGADPNAIDGEGESILHIAVAKRYTDCAIVLLENGGCRSMGIPNSVNKTPLHLCIKTWNADVVKRWVEVASEEEIAEAIDVPSPVGTALCMAAALKKEHEKEGRELVRVLLSAGADPTAQDDPHCRTALHTAAMINDAELVKIILEAGVDVNIRNAQNTTPLLVALNRGANSCVGLLLAAGANCNLQDDDGDNAFHIAADAAKMIRENLSWIVQIGWTLRDFLERLPREWISEELMETLEEKGVHLTPTIYEFADWVKFRRTVTEPAFGWQGAGPRSIGFVQSVVDHDHLVVSFCSGEARVLTSEVIKVIPLNRGQHVQLKPDVLEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEIVRVEEYKVGNWVRIRPSLTVAVHGMESITPGSVGIVYSIRPDSSLLLGLCYLSSPWLCEPEEVEHVDPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIESDGLLIIDIPNRAVHWQADPSDMEKIEKFKVGDWVRVKAAVPSPKYGWEDVTRSSIGVVHSLEEDGDMGVAFCFRSKPFSCSVADVEKAQPFEVGEKIHVLPSISQPRLGWSNETAATIGAISRIDMDGTLNVKVSGRNSLWKVAPGDAERLSAFEVGDWVRLKSSIGSRPTYDWSVGKISIAVVHSIQDSGYLELAGCFRKGKWLTHNTEIDKVEPLKIGHHVRFRAGITEPRWGWRDAKPDSRGIIAGVHADGEVRVAFFGVPGLWKGDPADLEIEQVYEVGEWVRLRNNADDWKSLKPGSIGVVHGIGYEDDVWDGTIHVAFCGEQERWIGPSSQLEGVSKFVVGQRVRVKLCIHQPRFGWSNHNHSSIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVEEEEEVCVGDWVKVKDCVATPTYQWGDVNHNSIGVIHRAEDGELWVAFCFCERQWLCKRWEVEKVRPFRLGDRVRIRPGLVTPRWGWGEETYESKGEVVGVDANGKLRIKFRWRDGLWIGDPADIVLDDIPSLTEASNGFCS >ORUFI05G16380.1 pep chromosome:OR_W1943:5:16428019:16435103:1 gene:ORUFI05G16380 transcript:ORUFI05G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEQDVEIFGEDYDDAEADGGGGGGASSGSSSPSSSSSSSAAGSSSSSSGASSSSGGGGGGGGGEDEDGVDQGDARGYDDDPFDGAPARAAGGYGDEERGEGDAEEEEEEEEERDLFGSDNEDYVKTPARSNYLVPVLPSIRNTNNHSRGGYGGRNGRGPPLLPRPGGHPGRHNFGYGGRFSHGNGRNVEGFVSEMKLNKSEETLSRKFVAFQEPSEIACYSRIEGGDVYFDDRSLRLFKRNICDYVGENLNKGFESFIEKRDLGSEGFGDLLACIRNSTVPLQNIHFVTYRNNLNKILATAYLREPWKMGVHKRNGVVYLDVHKLPERPQSEVERRRCYWGYSFENLATENSIDEDGRGIDANVEFCAVIKTKLGAHRIIMGAEMDCCDATDDGRRFYVELKTSREIQSFIAGVPYVVVGFRNDAGVLIRTERLRTKEITQKVKAKNYWQGGVCLAFADEVLCWLYGTVRENEDYILQFVHPFNRLELLRAQSPCPDAITHHVEQLSGTAG >ORUFI05G16390.1 pep chromosome:OR_W1943:5:16437650:16440062:1 gene:ORUFI05G16390 transcript:ORUFI05G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGECRSNDYGAAAYWDARYSSPSPSSTATTGGGGGAFFDWYQAYPALRPLLRARLPASSRVLMLGCGNSLLSEDMVKDGYEEVVNVDISSVVIEQMREKHMDVRDMSLFGDGTFDCVLDKGTLDAMMCGDDAPLGASKMLAEVARILRPGGIYMLITYGCPKERVQLLYQSGSHKKIELYIMPTPGYQLKWSKGVSLAQPIMEEVSLTVDGQLPPDYVLKDPESHFIYICKKPDAANEANSVVANEGETITSK >ORUFI05G16400.1 pep chromosome:OR_W1943:5:16440751:16446646:1 gene:ORUFI05G16400 transcript:ORUFI05G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDGGDDAGVKRVADRYLKREVLGEGTYGVTGNTVAIKKIRLGKYKEGVNFTALREIKLLKELKDSNIIELIDAFPYKGNLHLVFEFMETDLEAVIRDRNIVLSPADTKSYIQMMLKGLAFCHKKWVLHRDMKPNNLLIGADGQLKLADFGLARIFGSPERNFTHQVFARWYRAPELLFGTKQYGSAVDIWAAGCIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPKSSQWPDMVYLPDYVEYQFVSAPPLRSLFPMASDDALDLLSRMFTYDPKARITAQQALEHRYFLSVPAPTKPSQLPRPPPKGDSGNNKIPDLNLQDGPVVLSPPRKLRRVTAHEGMEVHMHRADRTEEHPSGARHMDDMSSQSSRIPMSVDVGAIFGTRPAPRPTLNSADKSRLKRKLDMDPEFGYTE >ORUFI05G16400.2 pep chromosome:OR_W1943:5:16440751:16446646:1 gene:ORUFI05G16400 transcript:ORUFI05G16400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDGGDDAGVKRVADRYLKREVLGEGTYGVTGNTVAIKKIRLGKYKEGVNFTALREIKLLKELKDSNIIELIDAFPYKGNLHLVFEFMETDLEAVIRDRNIVLSPADTKSYIQMMLKGLAFCHKKWLKLADFGLARIFGSPERNFTHQVFARWYRAPELLFGTKQYGSAVDIWAAGCIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPKSSQWPDMVYLPDYVEYQFVSAPPLRSLFPMASDDALDLLSRMFTYDPKARITAQQALEHRYFLSVPAPTKPSQLPRPPPKGDSGNNKIPDLNLQDGPVVLSPPRKLRRVTAHEGMEVHMHRADRTEEHPSGARHMDDMSSQSSRIPMSVDVGAIFGTRPAPRPTLNSADKSRLKRKLDMDPEFGYTE >ORUFI05G16410.1 pep chromosome:OR_W1943:5:16450121:16457207:1 gene:ORUFI05G16410 transcript:ORUFI05G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAARLARRRRGGGVTVAEYRMVRGRRRGGDAGPVVVIDVEDDGEDAADDSAGGGGGAAAAVKRRVVVPGAVATRTRSRRMAMAQQAPVTPPAAAEEAPSRRRKRKGAASAEAGGGGPSKRRVRSSGSAGGRGARKRKEAEADEEEAEAEAEEEAEAEAGTPTRGESMEVSQVDGGGSSGRADDASHNGNGESRVCNADGIDQASEERPSVVGGDLIEEEHYGNGEASVAGGDRIEEHCGNVEASVANSNRDGGEIIAGEGTEDRGNTELSVVDPVNEELASDEDDYDDEMLEEKLVGDVIRAYSNGADLDTNGVDWEAEDEMEFADLDTNVVDWEAEDEMEFDDDNDNDADDDGDNFGGDADEGDKSVQMHDFSKVETQDLVSHNVNVSEVRPHEDEEAIKDEMESKGKGSLSFNEGSSYIEILDSDEEVKVVNDTGNALRRKPLVPAKLPIVPSCVAWRTRSSWGMKEERISYNTYFEVLSDEPKEDDDDTEVELDDEEDDENDDDCNSASCDEEDEEEEEEREEEEEEAQRRKQKKGIDSSDDEMIDDAVDCGIDWEEDYPEVDFTRPLTFQKDGSEAPVGSEAFTEQQKRSRFTWELERRKKLKLGMMTNHRLYERDLESDSNSSDSSQNRKNGCQGSGDHRTGRKRKNPLSKSGKKSSRMLKRQSLMKLLMDKMCSNDDGKSTPFDQKPQIEYSFKDLHPLVFSFGDDDPSPTDRSEQDAALDMLWADLDFTLESENIGTYYDDEGQEDSLLDHALAPITPCSRGKHEFIIDEQIGIRCKYCSLVNLEIRFILPLLASNFAEKPAWRNSSCLKTALMCPDLYEQTGTGDGQSQDFHINGTVWDLIPGVITDMYQHQREAFEFMWTNLVGDIRLNEIKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWEQEFKKWNVNVPFHIMNTTDYSGKEDRDICRLIKKEHRTEKLTRLVKLFSWNRGHGVLGISYGLYMKLTSEKVGCTGENKVRTILLENPGLLVLDEGHTPRNERSVIWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTKTRVGRRHCVSKKQRDKFSDKYEKGVWASLTSNVTDDNAEKVRSILKPFVHIHNGTILRTLPGLRECVIVLKPLPLQKSIIRKVENVGSGNNFEHEYVISLASTHPSLVNAINMTEEEASLIDKPMLERLRSNPYEGVKTRFVMEVVRLCEALKEKVLIFSQFIQPLELIKEHLRKIFKWREGKEILQMDGKILPRYRQNSIEVFNNPDSDARVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSTEDEFSNVRNMLSKAEMEHCSKLISEDKVLEEMTSHDQLKGMFLKIHYPPTESNIVFTYNQIAPELS >ORUFI05G16410.2 pep chromosome:OR_W1943:5:16450121:16455998:1 gene:ORUFI05G16410 transcript:ORUFI05G16410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAARLARRRRGGGVTVAEYRMVRGRRRGGDAGPVVVIDVEDDGEDAADDSAGGGGGAAAAVKRRVVVPGAVATRTRSRRMAMAQQAPVTPPAAAEEAPSRRRKRKGAASAEAGGGGPSKRRVRSSGSAGGRGARKRKEAEADEEEAEAEAEEEAEAEAGTPTRGESMEVSQVDGGGSSGRADDASHNGNGESRVCNADGIDQASEERPSVVGGDLIEEEHYGNGEASVAGGDRIEEHCGNVEASVANSNRDGGEIIAGEGTEDRGNTELSVVDPVNEELASDEDDYDDEMLEEKLVGDVIRAYSNGADLDTNGVDWEAEDEMEFADLDTNVVDWEAEDEMEFDDDNDNDADDDGDNFGGDADEGDKSVQMHDFSKVETQDLVSHNVNVSEVRPHEDEEAIKDEMESKGKGSLSFNEGSSYIEILDSDEEVKVVNDTGNALRRKPLVPAKLPIVPSCVAWRTRSSWGMKEERISYNTYFEVLSDEPKEDDDDTEVELDDEEDDENDDDCNSASCDEEDEEEEEEREEEEEEAQRRKQKKGIDSSDDEMIDDAVDCGIDWEEDYPEVDFTRPLTFQKDGSEAPVGSEAFTEQQKRSRFTWELERRKKLKLGMMTNHRLYERDLESDSNSSDSSQNRKNGCQGSGDHRTGRKRKNPLSKSGKKSSRMLKRQSLMKLLMDKMCSNDDGKSTPFDQKPQIEYSFKDLHPLVFSFGDDDPSPTDRSEQDAALDMLWADLDFTLESENIGTYYDDEGQEDSLLDHALAPITPCSRGKHEFIIDEQIGIRCKYCSLVNLEIRFILPLLASNFAEKPAWRNSSCLKTALMCPDLYEQTGTGDGQSQDFHINGTVWDLIPGVITDMYQHQREAFEFMWTNLVGDIRLNEIKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWEQEFKKWNVNVPFHIMNTTDYSGKEDRDICRLIKKEHRTEKLTRLVKLFSWNRGHGVLGISYGLYMKLTSEKVGCTGENKVRTILLENPGLLVLDEGHTPRNERSVIWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTKTRVGRRHCVSKKQRDKFSDKYEKGVWASLTSNVTDDNAEKVRSILKPFVHIHNGTILRTLPGLRECVIVLKPLPLQKSIIRKVENVGSGNNFEHEYVISLASTHPSLVNAINMTEEEASLIDKPMLERLRSNPYEGVKTRFVMEVVRLCEALKEKVLIFSQFIQPLELIKEHLRKIFKWREGKEILQMDGKILPRYRQNSIEVFNNPDSDARVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSTEDEFSNVRNMLSKAEMEHCSKLISEDKVLEEMTSHDQLKGMFLKIHYPPTESNIVFTYNQIAPELS >ORUFI05G16410.3 pep chromosome:OR_W1943:5:16450121:16455998:1 gene:ORUFI05G16410 transcript:ORUFI05G16410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAARLARRRRGGGVTVAEYRMVRGRRRGGDAGPVVVIDVEDDGEDAADDSAGGGGGAAAAVKRRVVVPGAVATRTRSRRMAMAQQAPVTPPAAAEEAPSRRRKRKGAASAEAGGGGPSKRRVRSSGSAGGRGARKRKEAEADEEEAEAEAEEEAEAEAGTPTRGESMEVSQVDGGGSSGRADDASHNGNGESRVCNADGIDQASEERPSVVGGDLIEEEHYGNGEASVAGGDRIEEHCGNVEASVANSNRDGGEIIAGEGTEDRGNTELSVVDPVNEELASDEDDYDDEMLEEKLVGDVIRAYSNGADLDTNGVDWEAEDEMEFADLDTNVVDWEAEDEMEFDDDNDNDADDDGDNFGGDADEGDKSVQMHDFSKVETQDLVSHNVNVSEVRPHEDEEAIKDEMESKGKGSLSFNEGSSYIEILDSDEEVKVVNDTGNALRRKPLVPAKLPIVPSCVAWRTRSSWGMKEERISYNTYFEVLSDEPKEDDDDTEVELDDEEDDENDDDCNSASCDEEDEEEEEEREEEEEEAQRRKQKKGIDSSDDEMIDDAVDCGIDWEEDYPEVDFTRPLTFQKDGSEAPVGSEAFTEQQKRSRFTWELERRKKLKLGMMTNHRLYERDLESDSNSSDSSQNRKNGCQGSGDHRTGRKRKNPLSKSGKKSSRMLKRQSLMKLLMDKMCSNDDGKSTPFDQKPQIEYSFKDLHPLVFSFGDDDPSPTDRSEQDAALDMLWADLDFTLESENIGTYYDDEGQEDSLLDHALAPITPCSRGKHEFIIDEQIGIRCKYCSLVNLEIRFILPLLASNFAEKPAWRNSSCLKTALMCPDLYEQTGTGDGQSQDFHINGTVWDLIPGVITDMYQHQREAFEFMWTNLVGDIRLNEIKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWEQEFKKWNVNVPFHIMNTTDYSGKEDRDICRLIKKEHRTEKLTRLVKLFSWNRGHGVLGISYGLYMKLTSEKVGCTGENKVRTILLENPGLLVLDEGHTPRNERSVIWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTKTRVGRRHCVSKKQRDKFSDKYEKGVWASLTSNVTDDNAEKVRSILKPFVHIHNGTILRTLPGLRECVIVLKPLPLQKSIIRKVENVGSGNNFEHEYVISLASTHPSLVNAINMTEEEASLIDKPMLERLRSNPYEGVKTRFVMEVVRLCEALKEKVLIFSQFIQPLELIKEHLRKIFKWREGKEILQMDGKILPRYRQNSIEVFNNPDSDARVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSTEDEFSNVRNMLSKAEMEHCSKLISEDKVLEEMTSHDQLKGMFLKIHYPPTESNIVFTYNQIAPELS >ORUFI05G16420.1 pep chromosome:OR_W1943:5:16461171:16461440:1 gene:ORUFI05G16420 transcript:ORUFI05G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASIEAVREGREREGRAETPPSGKPYPHRGASRVMSSPTADATAYAFFARFGIELTFPPYLMQIDIEDELDSAGEGTMKGEFVGGDT >ORUFI05G16430.1 pep chromosome:OR_W1943:5:16465647:16468958:-1 gene:ORUFI05G16430 transcript:ORUFI05G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGVDWESVAEATSGAVGALVSTTVLYPLDTCKTKFQAELQTQPGAHKYRNLSDVFWEAVQKRQILSLYQGLKTKNIQSFISQFVYFYGYSYFKRLYLEKSGAKSIGTKANLLVAAAAGACTVVVTQPLDTASSRMQTSAFGKSKGLRATLAEGTWLEAFDGLGISLILTCNPSIQYTVFDQLKQKLIQRQRRKNAEAGGGSSPVALSAFSAFLLGAISKSVATILTYPLIRCKVMIQAADPDEDDDDESERPGKSKSPKTMLGALHAMWSKEGIPGFFKGLHAQILKTVLSSALLLMIKEKISRFTWISLLALRRYLFVSQKRIKSA >ORUFI05G16440.1 pep chromosome:OR_W1943:5:16473086:16473732:-1 gene:ORUFI05G16440 transcript:ORUFI05G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAETMMAQSDTSVHGQRAVTTVGDWRQEVNAGDGKEAALADIVGGQRGDDKKGDDDSVPQRAVFESFLVKTMSWFSLRSQGKVASVLIVTLLPGDVV >ORUFI05G16450.1 pep chromosome:OR_W1943:5:16474269:16479494:1 gene:ORUFI05G16450 transcript:ORUFI05G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCPNRTRSRDTPPTASILNPSRALDRAHLLRPAPAEPESTTPAQRENTAFPPPFPPEINRAKKKKKQHTADEMRLHLALLAALLTLAAAAQPPFRGYYYLLDCGAAASTTDSRGLEWLPDGGYVTGGEPHQLPDQGLLDPALATRRDFPHEPGKKFCYELPVDRNRRYLLRPTFFYGASSPPPPVFDLIVDGTFWTAVNTTDDVLAGSASYYEAVFGASGRNMSFCLGVNPDYTSAGPFINALQVIQLHDSVYNATNFTASAMGLIARTKFGSTDGVERYPNDTFNRYWQPFPDSKHAVSSTHNVTSADFWNLPPPGVFNTALVAEQDAPLVLQWPPIPLQNDSYYVALYFADTVSESSRTFNVYINDYSFYEGLTVTSAGLSVFATQWILSGLTRVILAPISGLPPLINAGEVFGLFPLGGYTFPRDAHALEAIKRSLQNIPDDWNGDPCMPHGYAWTGVTCDKGQIPRVISLNFSSMGLSGYLSSDIARLTALTDISFANNSLSGPIPNLSNLRNLTRLHLQDNKLNGTVPQTLGIITSLRELFLQNNELDGAVPLNLLLNQGLTYQFLPGNNFFPRPPR >ORUFI05G16460.1 pep chromosome:OR_W1943:5:16480395:16484796:1 gene:ORUFI05G16460 transcript:ORUFI05G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPFPSSNRTAAAAAVAVAAAPFAAASAMPHPSSSSRSAGGGHHVPSVYPPPPSSSSSSACRHTPSSATLDLLILLLVLFSVAFLLASSLAHVSRSLTPLLASPPAAAALASAAAAMPYLGAAAALAGATFLSCSRLPRRRCRNPRCRGLVKALEFDVQLQTEEAVRAGTGSTSGGADAAMWREIEALPWKGGQGGNNPDYECLRAELRRMAPPNGRAVLLFRNRCGCPIAKLEGWGVPKSKRRSKRSTLGLPADGGVR >ORUFI05G16470.1 pep chromosome:OR_W1943:5:16486545:16492268:1 gene:ORUFI05G16470 transcript:ORUFI05G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPALPAFASLLLPSSSSPPRRIPWPRPLPSRRGALRPVKLLPAISAVEKGKGAAAEAKEVELEGMPPEYYDEEWQARQREKTKEWNAYRKKEEAEEERLTNEYREIGMRLKAYPQEEVRKARILVSSFIRAGEDIEEEIEKAAERGELTELVLMVIWNRLDVARRDDERDAIRSLDLLYRRVETEILRSEATPAMRLLNDLLNLHDGSDDDKWLKKCKKHMLEVFPREDPFTMVFPAGFNMEEHQGQIKLPPQDDDVLLRVDFIREVDELLKEVQAAHENNKVPTGNDPEAVATKLKYQEKLRTIRQVESLLELASSLKW >ORUFI05G16480.1 pep chromosome:OR_W1943:5:16509977:16512379:-1 gene:ORUFI05G16480 transcript:ORUFI05G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPAPPTPLGSCPRGRGGGRVVARPRRAGLACAARSCYRFRTDDDGVVDVAVSGEDGDGGGGGYAVSVEVPGTRGREGGLVLRASGSGEGVPLAPAAGGASLAAELSFDPPRAPFYLSFLLTDASGAEIRTHRKTSFRVPVGVGPGSPAPLGMSISGDGAVNFAVYSKNANAVSLYLYAAAVGGGGGGEPALEIDLDPYIHRTGNVWHVSLASVDGYVSYAFCCGGIRRPLLDPYAKVIGDFVSSNSVYDEGVTAPSMRCFASLAIAPSYNWGRDRHPRLPLEKLVVYRANVALFTKDRSSGLPDDAAGTFTGLSAKVEHFRSLGVNAILLEPVFPFHQVKGPYFPYHFFSPMNLYSSKGLSVSAIKSMKDMVRVMHRNGIEVLLEVVFTHTAEGESECQTISMRGIDNSSYYIANGIAGCKASILNCNHPVTQKLILDSLRHWVLDFHVDGFCFINAPFLVRGPGGEYLSRPPLLEAIAFDPVLSMTKIIADPWSPLDISNVQFPFPHWKRWAEVNTRFSIDVRKFLKREALISDLATRLCGSGDLFSTRGPAFSFNHVSRNSGLSLVDLVSFSNDDLLSESSWNCGEEGPSENSAVLQTRLRQIRNFLFILFVSLGVPVLNMGDECGHSAAGSVSYKDRGPLNWRGMKTTFVKEVTGFISFLTALRSRRGDIFQRREFLKLENIHWYGSDLCEPGWDDPTSNFLCMHINAEVDEMAADSVRGDLYICFNANEESVSAALPALAEGSVWLRLVDTSLAFPGFFATESNPKVQQVPGLSSYHVEAHTCVLFESKSALA >ORUFI05G16490.1 pep chromosome:OR_W1943:5:16515230:16521327:1 gene:ORUFI05G16490 transcript:ORUFI05G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTDIGVAAGINILSALGFLLAFAVLRIQPINDRVYFPKWYLKGTRSSPRSMGTVFSKFVNADLSTYIRFLNWMPAALQMPEPELIEHAGLDSAVYVRIYLLGLKIFVPIAVLAFIVLVPINWASGTLEKEKSLSYDQIDKLSISNLGKGSKRFWAHIVMAYVFTFWTFFVLYREYKVVTTMRLRFLAIQNRRADQFTVLVRNVPPDPDETVSEHVEHFFAVNHRDHYLSHQTVYNANTLAGLVEQKKGLQNWLVYYENQHAKNPAKKPTMKTGLWGLWGKRVDAIEHYTTAIEELCKQEDEERHKVITDPNAIMPAAFVSFKSRWGAAVCAQTQQTSNPTLWLTEWAPEPRDVFWPNLAIPFVELSVRRLIMAVALFFLTFFFMIPIAIVQSMANLDDIERMLPFLKPIIERNSLKSIVQGFLPGIALKIFLILLPTFLVMMSKIEGHTSLSGLDRRTASKYYLFLFVNVFLGSVITGTAFQQLNNFIHQSANKYAQSVIASLLWMWVRGIPEIVGESIPMKATFFITYVMVDGWAGVAAEVLRLKPLVMFHIKNTFLVRTERDREQAMDPGSLDFGTTEPRIQLYFLLGLVYAVVTPILLPFIIVFFSLAYLVFRHQIINVYNQQYESGAQFWPDVQRRLVIALIVSQILLLGLLSTQEAEKSTVALLPLPVLSIWFHYVCKGRFEPAFIKFPLQDAMVKDTLERANDPTLNLREYLKDAYVHPVFQKNDIYEFAGIDEEEKNPMVATKRQSRMNTPVDSKFNSSSGTNEGEFSRMAPT >ORUFI05G16500.1 pep chromosome:OR_W1943:5:16521666:16523327:-1 gene:ORUFI05G16500 transcript:ORUFI05G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSRPLPRLLLLRRRCLSSAPHRDRRFLPLLRFAALAKELSDHRPRPGTPPPPTRPRSPHPYDYNRLMSAHAGTRDGAGADRALHLLDEMRALLRRRPDAACFTTVAAALSSASRPGAALAVLDAMAADGVAPDAAACTVLVGVYACRLRRFDAAYEVVRWMVANGVAPDVVTYSTLISGLCSAGQVAEALGVLDLMLEEGCQPNAHTYTPIVHAYCTGGRIHEAKRLLNTMIASGFAPSTATYNVLVEALCKVGAFEEVDALLEESTAKGWTPDVITYSSYMDGLCKAGRIDKSFALVDKMLSNGLQPSEVTLNILLDGVCRSSTAWAAKRLLECSAELGWDANVVNYNTVMRKLCDEHRWLSVVKLFTDMAKKGIAPNSWTFNIVVHSLCKLGRLHKALCLLRSKEFVATVITYNTLIRHLSISGEGNEVCLLLYQMIEGGIAPNDITYSLVIDCLCREEKFLVALCCFYQSLEDDFFPSAFLSIIRGLIVGGMLGQLHTLIGCVLGQGFIIEVYIYQELIKALCKNGYCQSVEMYKVCHILERMLRLR >ORUFI05G16510.1 pep chromosome:OR_W1943:5:16528363:16533120:1 gene:ORUFI05G16510 transcript:ORUFI05G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRLRHRPQVPPLVSSPAPGTPVTPQPPPPPPPPDSKPGVDTWDYFFSMDEGMASIAPDDDEIIQEPEDEKYVPASPPRPPLSPPTPVAAPAPQEEFEEEPRTPEMVTPPPSLPPRPPAVSSKKKKGKGKHRAAHHQHTESAPPVTLVGGGGGKAGKVVPVELPRIDLLRVLAEIDDRFLKASESAGEVSKVLEANRMHYHSNFVDTRGHIDHSARVMKIITWNRSFRAMQNGDDGKDDFENDEWETLATVIDKILAWEKKLYDEVKAGELMKLEYQRKVALLNRQKKHNAATEVLEKTKAAVTHLHTRYIVDMQSMDSTVSEIQHLRDNQLYPRLLDLADRMAKMWEDMHLHHENQLKTVLNLKSVDISDSHIETSDHHHSHTRQLRDIVEKWTTNFSDLMSYQKEYINALYSWLKLNLIPIESSLKEKVASPPRVQQPPVKALLQAWNEHLTKLPDDLAKTAIVSFRAVLDTILSVQDEELKQKETCEHTRKEYMRKARAFEDWYHKHAQRRAFDVDPESGEGTSQKDTVAEKRFAVESLKNKLDDEVEAHNKLSKQVREKSLSILKAHLPELFRALTDFSLASFEMYSKMRLMSLMQDQGNN >ORUFI05G16520.1 pep chromosome:OR_W1943:5:16544022:16546835:-1 gene:ORUFI05G16520 transcript:ORUFI05G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKESSKLERLRSTAKQQKGKLYIIKICISMLICGSPKAALLTFIITQKIQTDRSHIEVFTQSGWQEFLNAQTTISYQCKSIGGERGTPHTGDRSGDRRARDGSHGDRPHRRERKGGGASGREVGEASTARSVSQRPDPCPGGRLGGCRRCSSSSSLELGAGDPELLEAG >ORUFI05G16530.1 pep chromosome:OR_W1943:5:16556785:16557453:1 gene:ORUFI05G16530 transcript:ORUFI05G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGPIGPVQREGRWLVVPQAPSRSEPFATSTAWTDKNSGCMAFSRMVGGLELVGSLAMDRWHSLVDSSSTSHRGYSLVQTGKLKERGEVDMWKRGNRVACQAVKIKD >ORUFI05G16540.1 pep chromosome:OR_W1943:5:16560510:16560907:-1 gene:ORUFI05G16540 transcript:ORUFI05G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLEKIQSAAREQKAKLYIIVACIALLVCGCTTAAHHHQRKGYRQCKSARCIWLSTAILGGSRLISSGLSSKAN >ORUFI05G16550.1 pep chromosome:OR_W1943:5:16569855:16576732:-1 gene:ORUFI05G16550 transcript:ORUFI05G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLYLLLLLPLLACADEVPDRYAVLRAPQGQGASAAAKEYLDPTFPLPAPPPAAPSCTVPVLSYSFGDTYGAAPAKASYAPPAGCPAPWSLVVLTFSASCAGDQYDRVAAVWLDGAELLRTTTAEPTPEGVRWTVRKDVTRYSALLRSPPGGVLSVMLENVVNDKYTGVYSVNVSLEFHGTPPYLSDAASSSPAGVASNDPKEPMLPESYFQPADLIVPISDVAGNGKGGFWFRIQNASDSHSRLVTIPSSTYRAVLEVFVSPHSNDEYWYSNPPDIYIRENNLTTRRGNAAYREVVVSVDHRFVGSFVPFPVIYTGGINPLFWQPVAALGAFDLPTYDVELTPFLGLLVDSNAHEIGLSVFDGIAEWLVDANLHLWLDPSTSDVHAALGAYQTPRLKISRHYSTRLLEGRFKIKAKRKSSFSGWVKSSFGNFTTEVEAELKATSLVEFTGDGRNKTVSLEAKQETKTLIRAGDTRKVIGRVEREAKYPLSLFAETEDGENGTSVVTVSLTHGLSVETEVETKALESKEKLDDAQAAQGWMVLLDHDVLNGSVTTTQAYRFSDDEREYERAIDVVDGAVLSDNVTESFSALAAAAADARRRRFHAGIAALIRFRPHRRDQDYCFSSEGEGKVSTGDDLLDALVEVFPQLNFLTLVEVCMEYKNDIDGAADYIIHNVLPSIPDNNDAHANEGPASVDNDADSVQSYLANKYTAEQEEEEEEEEEEEEEEEEEEEDEDDDEEEEDEDDEEEDEDDEEEDRLMEENSDCLVAIDLNSKPGTSAFDDDLVTHDDGLPAMNIQSSYPVRLELLDNAIADEIDKKVTLMSNVTAINQMLEDIKLKEEKTKQAVLEATQAGNDIFVKVAGEVFAEQSILASEAHGLQARLSNISEERNNCALIIDEMRYTLQNRFAEAEVETVAAEKKKVERETLAEKMLNEQELILDATKERSKMLEQQVRENAKLRELLMDRGQIVDALQGEMLGIFDKISQLQLRVDKQLPEPLLSSMSSSVNSADNIAQLQCRVDDPQHSVDDSLQLASPRLSSSFKSTDSTAQAHCRVDEPQISVDESLLPVDECEQLKLISPRLSSSVMSAQSQYRVDEPLLPVDEVLQLASSSLSSSLKSGDNIAQLLGVLDVHLPVDELLQLPSLILSSSVKSSDSIAQFQQKADADEMLQSSSLASSEKPATFKNWSSASDTITQFQQRANADESLPLPSSSLASSEKPATFKSTWSSAAESNSVFSGDEEIDDASFHDSIDLDDSWDLVDDEAIYMCAN >ORUFI05G16560.1 pep chromosome:OR_W1943:5:16578724:16579785:1 gene:ORUFI05G16560 transcript:ORUFI05G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARTEKMSIFFRVRQREREGRLSAAARRRPGAAIRPCARGKGGRHFRPFHRLLTSHLHSIPAGFHHGVRRLPPPPPRGARRAEAGGPSGDRGGRRPAVRRGGEQGGAVVDAEAGGRHRCRRSPRRSPPALACRTRVEADVPCGVGVVVVAAHQRLPAHGLCELLIPDEHVADVVELVLLDGDELPAHGLGERGHGLPDLDVLGELLVHGRGGPDEEAAGAEDAVELEEEGAVSVSRAVPSSTRLRGDDTGGRRARARRRASGTRRWRPSGRWRCSRSPLSRRGRQRRRTGAARTGSGAPREAAGGAGAVTGVLPARVAALESLMLVQALECYFELAVAEGRASGAVLDGGPS >ORUFI05G16570.1 pep chromosome:OR_W1943:5:16580931:16581742:1 gene:ORUFI05G16570 transcript:ORUFI05G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVTFFFLLPSLLSYPSPTLPSLLVLSRVAVSRAKGWRYVDLEVEAKTTMTSSVGGPHVRRTSGEEEGGTSVGRVKSRDNGKRQKWDSLRPLLKKFASNGHTEHLPFKVVSGIIRQLRQVARQSGCCGRSTWSHQSHSVGRFWRVTVSRVAEKEQEGGDIISIRTAVMLSTSLNRCLVSSFSSLPSSTGGGGGVQGFGGMTLDEGEHILVQGLCVELDVT >ORUFI05G16580.1 pep chromosome:OR_W1943:5:16583306:16583917:-1 gene:ORUFI05G16580 transcript:ORUFI05G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERGCGRRAGAGAGEAAASAGERGCGGGGGELAADEAGSGVSGASGRWRDDGGGHAGRRRRAGTARPMMCGGTARTSKADGRWGARRRDGRSKQGQRFHPFRGRMAASGWRRTARPCPSRRARGPVLEHRGYFAAAASQQACTRWPAPLLASGKTVSAGPRAASAPADSAISGAGLETPPPRRVSVERSGLVHATRCSAISA >ORUFI05G16590.1 pep chromosome:OR_W1943:5:16585228:16592746:1 gene:ORUFI05G16590 transcript:ORUFI05G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGRARGAASLAAAVRVAAATARPASSVAAAAGLGFAGLVVQGEDTPFGSVWWWAYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTDAEKAQAAAILPVVQKQHQLLVTLLLCNACAMEALPIFLDRIFHPVVAVILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMIICYPISYPIGKLLDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGNPRNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKPKIVPLPDKTEPNREVSGAPQLTAPLLSNNEERVESLVVDIEKPQSRQVNGNKPCSMQQNEMPYAMSRSSEDIDDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSIRRLTGQKGTGTQNRQGQPTGILKKPTEDETAAQVNTIG >ORUFI05G16590.2 pep chromosome:OR_W1943:5:16585228:16593745:1 gene:ORUFI05G16590 transcript:ORUFI05G16590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGRARGAASLAAAVRVAAATARPASSVAAAAGLGFAGLVVQGEDTPFGSVWWWAYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTDAEKAQAAAILPVVQKQHQLLVTLLLCNACAMEALPIFLDRIFHPVVAVILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMIICYPISYPIGKLLDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGNPRNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKPKIVPLPDKTEPNREVSGAPQLTAPLLSNNEERVESLVVDIEKPQSRQVNGNKPCSMQQNEMPYAMSRSSEDIDDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSIRRLTGQKGTGTQNRQGQPTGILKKPTEGDSNPSKHQVNLVEPLLENKR >ORUFI05G16590.3 pep chromosome:OR_W1943:5:16585228:16594134:1 gene:ORUFI05G16590 transcript:ORUFI05G16590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGRARGAASLAAAVRVAAATARPASSVAAAAGLGFAGLVVQGEDTPFGSVWWWAYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTDAEKAQAAAILPVVQKQHQLLVTLLLCNACAMEALPIFLDRIFHPVVAVILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMIICYPISYPIGKLLDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGNPRNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKPKIVPLPDKTEPNREVSGAPQLTAPLLSNNEERVESLVVDIEKPQSRQVNGNKPCSMQQNEMPYAMSRSSEDIDDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSIRRLTGQKGTGTQNRQGQPTGILKKPTEGDSNPSKHQVNLVEPLLENKR >ORUFI05G16600.1 pep chromosome:OR_W1943:5:16601056:16604593:-1 gene:ORUFI05G16600 transcript:ORUFI05G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTVAVDRQLKLQQQQRQRRRCWARSLLPRASEPRRIFSSKLQRHQLALLDGVIQIKEHLRSEVTSVGLVVRAYGSNQARGNEMLDNDMLMNSLFYGDQLQQGVVPAMDRTKMRMLLILSVTSTQYRLKTLTVILPPIR >ORUFI05G16610.1 pep chromosome:OR_W1943:5:16606643:16607900:1 gene:ORUFI05G16610 transcript:ORUFI05G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKPSSWTNLYLQPNPGTIMSLSLFSSSPHLNPSTLLRSLSETEAQLFVPVGTRSNHCSPYENPPLPSNHNPIGGAQLPLSLSSSIVNHNGLTCCTTPSKWIFGRKHTQNTEPQEWASLLVDFRPIEAGCCCHGLAGQGGSQVHDADDGFHAGEGAEGTTMLLSIEAVMISIT >ORUFI05G16620.1 pep chromosome:OR_W1943:5:16608769:16612952:-1 gene:ORUFI05G16620 transcript:ORUFI05G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENNGSVPPGCKLPAGGFFGRLHVLVVDDDAAYLEELKLMLLLAGYAVTGKTTAEEALKEVDQNPEDYFHIVMTDVHMSGMDGFDLLHRINGRVPVIMFSEGEDVVMVMRTVMNGACDYMVKPMTSEAIKFIWKHVLRWRLSALPANASSSLQPSDHLAAALAAVAPPPPPAVQLPAAPAQAGNRDGEAHEEAELSTQPPALVPSGVQEAAAAVWSIRGDGQEAPPAAVAAAAKAPSKKRGASEVSDRGSNNLEATTGRKKVRTRFTWTTVSHTSFVRAYEQLKDQEGPKKIKQLMELDGIFVTKTQVSSHLQKYRSWLENERKKEEATSSSPCNPLSYTNCLDRGYSTWKQSSVITEGQQSSSFSGRPIHSMATSNGCLTTTDTQAGNYVGVGAKEIENFISSHQRSLGTAIGQESTIEQASLHSEITSVSRDAHENGNSQARGSAMSNGTSGTRGVLVTNENLLHVVSASLPSNMGQPTQPSQSFCTNELAANYSIISDQNPGSSHPTSSSAINNQNSKTQEMFVSQTVELGCGNDVMLDWPELVGLEDQLDNDVLMNSFFDGDLLQQGVVTAIDGTQEMLAFDSTGDLGSVPPRGLNNEIASHENTNGKNGASSGP >ORUFI05G16630.1 pep chromosome:OR_W1943:5:16614677:16618782:-1 gene:ORUFI05G16630 transcript:ORUFI05G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCSVASSNKSKLADEEISPVGMRVLLIDESTTYLKIITKLLLNCGYKGLTVTNFEITYVWRVDAVTPKTAARDAVEELHENPWSYDMVLTEVHAPAGIDGFNLLQYAGTDMDLPVVVFSADDDKRTVLKCVNSGACDYLVKPLRHEELKNIWQHVYRRKLRSGGRRAAAAGNSSKGEIKKRFRWPKELHERFVSVVLQLGVDKATPNQILPLLNREDLTRDQRFRIALTKSKALPSCSTIPIGETVHGIPLALQAIGTGNNQHLIVPFNRITFVRPRGVEIGQNGVVGGLVTGNNNVVVPTATSIIARAFGREIVNGANLFDHGTLIRDSSSTSHDGAQNELGETNLAFELMAKKGSDVEPRIGG >ORUFI05G16640.1 pep chromosome:OR_W1943:5:16627398:16629034:-1 gene:ORUFI05G16640 transcript:ORUFI05G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPVSTPIPTGESVHGIPLAFQTVGTGNNQHLIVPFNQITFVRPTLIEIGQNGALGGLVMGNVPTATSVLARAFRREMVNGAALSDH >ORUFI05G16650.1 pep chromosome:OR_W1943:5:16634378:16645362:1 gene:ORUFI05G16650 transcript:ORUFI05G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPEEREDGDGERLTRDVEGLSSHLLRSMHGHSACIELPAITAAGFVDEEGLQRSGGGRVTAFVALSCATAAMGGAIYGYDISTAGGCSGGGVRRVSNYCKFDRQLLTLFTSSLYIPGLLTASVPLYMAEIAVARYRGAFSNGIQFSLCLGALAATTVNFTVEKVRGSWGWRLSLALAGVPAVLLTVGAVFLPETPNSLVQQGKDRDKVKALLQKIRGVDTQYKSKG >ORUFI05G16660.1 pep chromosome:OR_W1943:5:16663980:16665785:-1 gene:ORUFI05G16660 transcript:ORUFI05G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHHPPHGIAAGDARKAHHLGGDPKNLSWCGVGVGDHHFLPRKLVGGGGPSAAKLALVSFLAVILVLAVDLSLTGAGANRRLRLQYLHYIGDGGGGGGGGGVGGGGEEAKNNELPWLSVPDPSNFTEELIDRWLTPGGTPCRDSRTANISIPVLDDAAAAGEVTTLGSAEIHEVTFWALDDAGQRRCLGGDFFEIDFAGDEWKSRPPVVDHGDGSYSFRLQVAPRFAEGDFRLTIILLFRSFEGLKFSSLRFKYHAEMRRIPLLFGPSNSTRFLPALETCRAADFARDVWSGRWTRLAKNDSCEEVDDAGRYRCLEPQHPCEAPWCAGPLGALESNGWVYSAHCSFSLFTADAAWRCLDGKWLFFWGDSNHVDTIRNLLTFVLGVTNTSAVTRRFDAAFTNPSGGPGTVRITSIFNGHWNMSMNYLGLHSLRNKGFQRLVRSYFLAEDRAPDIVILNSGLHDGCYWTSVRTYVQAAEYAAQFWAGVMAEVRSRGHAVPRMFYRTTIATGGYARDLAFNPSKMEAFNGVLVEKLRRHGVLTGGLIDNFDMTFPWHYDNRCNDGVHYGRAPAKLLWRDGKVGHQYFVDLMLGHVLLNAICNG >ORUFI05G16670.1 pep chromosome:OR_W1943:5:16679834:16682778:-1 gene:ORUFI05G16670 transcript:ORUFI05G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMMEDDVFFAELSKRISLLITDDDEAADFGAARFPASAAAPIPGFSLAHVPMGASMVAPPAYTLYHHAASYNNGGGMIGAGDNAAVRAWQQQQQQLCGSKGTGVFIPRSSPGSVHPKKKGKSKGNVHKAVRGQAAPAKKQSSQK >ORUFI05G16680.1 pep chromosome:OR_W1943:5:16685292:16685803:1 gene:ORUFI05G16680 transcript:ORUFI05G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTRAAGGAGEEEARVGEAVGETTGKRRKAVGAAAMASSASGGWPPTSPHHQRLSHRADSSPTSCAAASPWPYDAAVTLAIGQGSGRGSRRRGGTGAGNEAGLLGLRHGELGVAIGGRPKGILVARVGNQVEME >ORUFI05G16690.1 pep chromosome:OR_W1943:5:16688039:16689016:-1 gene:ORUFI05G16690 transcript:ORUFI05G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPKEQFRVWFPTQPPYYSKHEDLMKGKALQQVVTFCRFTTCSNICDPNLQAALKRRGKLSNRTARWRWRRLVPRARRDGTPLQRRAVLRAGRQGGNGAGQLRELDGVQYRGGGAAEQPDRKVAMAPAGSARPTGWNAAAAPSSSASWTARWQGRRPAPRARRGGTPRRRRQVASRDGAPWGGSSAN >ORUFI05G16700.1 pep chromosome:OR_W1943:5:16694351:16695105:-1 gene:ORUFI05G16700 transcript:ORUFI05G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSDGEKYELQEIYGIVNSTEADVPDADDSDMGKECVICLTEPRDTAVFPCRHLCMCSECAKTLRFQTDKCPICRQPVEKLMEIKVRSPEP >ORUFI05G16710.1 pep chromosome:OR_W1943:5:16696070:16696567:-1 gene:ORUFI05G16710 transcript:ORUFI05G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSSTSRRRRDEYYAARPPPPPPPPPHHYYTYPPPPPPPPHHHQHPPPPPPPPHHHHQHPYRPPPPPHHATSSSSYYYHHPPPPHAYHGPWHPAPRPPHPEQPALTGPPPEFVEHQQARKVKNDVNLHKDTIRLVPDVTDPDRPPPGGPGGGGGGGGGGFLEL >ORUFI05G16720.1 pep chromosome:OR_W1943:5:16697619:16704690:-1 gene:ORUFI05G16720 transcript:ORUFI05G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSLSLLLAPSRALSLSSPPLRLAPTHPPLRLHHDGGHLLVGTTRRQAPSPRRRRLHAARASAPSAAAASPVGPAGEGGEVGGRARKAAGYRNRFLDLARLGAVAESAAEALFRSEIRRRLAVTAVLILLSRVGYFVPLPGFDRRLIPDSYLSFAPLPADDLGDFSSELKLSFFQLGISHQISASIVMQVLCHVLPSLEKLRKEGLDGHEKIKGYIWWLSLGFALVAAFTVSCYSLQYSIYAASYRVKHVMITSLFLVLGAMTMTWICDTISESGFGHGSSLIICVGILTGYTDTLHKMLTQFSGNWYSCWPYILGIAGTFILVTMGAVLVTEGCRKIKLQYYGFKLASGARSESSPVTEVEPYIPFNINPTGMQPLLTTSYLLAFPSIMASIFGTQFWESLKETLNPKTSVGGGPWVYYLTYAFLVFVFNIFDIANLPKEISDYLNKMSARVPKIKPGRATVEYLTKIQTSTRFWGGILLSLLATSSLLLDRYLRQINEGFSIGFTSVLIIVGSIIELRRSYQAYNVMPALSKVLRRYVELPLLS >ORUFI05G16720.2 pep chromosome:OR_W1943:5:16698139:16704690:-1 gene:ORUFI05G16720 transcript:ORUFI05G16720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSLSLLLAPSRALSLSSPPLRLAPTHPPLRLHHDGGHLLVGTTRRQAPSPRRRRLHAARASAPSAAAASPVGPAGEGGEVGGRARKAAGYRNRFLDLARLGAVAESAAEALFRSEIRRRLAVTAVLILLSRVGYFVPLPGFDRRLIPDSYLSFAPLPADDLGDFSSELKLSFFQLGISHQISASIVMQVLCHVLPSLEKLRKEGLDGHEKIKGYIWWLSLGFALVAAFTVSCYSLQYSIYAASYRVKHVMITSLFLVLGAMTMTWICDTISESGFGHGSSLIICVGILTGYTDTLHKMLTQFSGNWYSCWPYILGIAGTFILVTMGAVLVTEGCRKIKLQYYGFKLASGARSESSPVTEVEPYIPFNINPTGMQPLLTTSYLLAFPSIMASIFGTQFWESLKETLNPKTSVGGGPWVYYLTYAFLVFVFNIFDIANLPKEISDYLNKMSARVPKIKPGRATVEYLTKIQTSTRFWGGILLSLLATSSLLLDRYLRQINEGFSIGFTSVLIIVGSIIELRRSYQAYNVMPALSKKKRAISKKLQSLQAYSRLDAVTLIIVNNLQYGAVRRVL >ORUFI05G16720.3 pep chromosome:OR_W1943:5:16698370:16704690:-1 gene:ORUFI05G16720 transcript:ORUFI05G16720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSLSLLLAPSRALSLSSPPLRLAPTHPPLRLHHDGGHLLVGTTRRQAPSPRRRRLHAARASAPSAAAASPVGPAGEGGEVGGRARKAAGYRNRFLDLARLGAVAESAAEALFRSEIRRRLAVTAVLILLSRVGYFVPLPGFDRRLIPDSYLSFAPLPADDLGDFSSELKLSFFQLGISHQISASIVMQVLCHVLPSLEKLRKEGLDGHEKIKGYIWWLSLGFALVAAFTVSCYSLQYSIYAASYRVKHVMITSLFLVLGAMTMTWICDTISESGFGHGSSLIICVGILTGYTDTLHKMLTQFSGNWYSCWPYILGIAGTFILVTMGAVLVTEGCRKIKLQYYGFKLASGARSESSPVTEVEPYIPFNINPTGMQPLLTTSYLLAFPSIMASIFGTQFWESLKETLNPKTSVGGGPWVYYLTYAFLVFVFNIFDIANLPKEISDYLNKMSARVPKIKPGRATVEYLTKIQTSTRFWGGILLSLLATSSLLLDRYLRQINEGFSIGFTSVLIIVGSIIELRRSYQAYNVMPALSKVLRRYGA >ORUFI05G16730.1 pep chromosome:OR_W1943:5:16704931:16709326:1 gene:ORUFI05G16730 transcript:ORUFI05G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVASASASCFAPRSDHGGGWSYGGTRGVPSPRRGAARRLRRVLARSGGGGGGGGGGEGRGILDPLATPLQILGLDASASYTAAQLKAAFRARVKEFHPDVCKDTENADLIMRRVLEAYEWTNNVDPFDEPECASCDIFVNELLCIGTGCPYSCVKRAPHAFAFADDTGTAHASSQGHYDDYNVQLAVGQCPRKCIYYVTPCQRTILEEILASVLMTPYDLSEAAVLDSLLSKAMFENNRYKKPKRETKSSSDYVDWM >ORUFI05G16740.1 pep chromosome:OR_W1943:5:16711185:16717865:1 gene:ORUFI05G16740 transcript:ORUFI05G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTGAPSNGRLVSASSKIKSAPPISPLPQTPPRLLLRSPNQIPNSAPPRARRRKQIAAMEADPAPSSTPPPSSPAPAASPSRHPPGEEGGGAERVEVEEYVDPPSPDCCGGADPDHAPPPSPKGEEPVVSAEEEQAAVAGGEGEALRSFLEEFGDQGDDSLVPSPKLKQINTPDRLAALRFLGGKYNSLLERYKQQVAKCAEECALRYDGLKKKYADECAERRRLYNELIELRGNIRVFCRCRPLSTAEISNGCSSIVQIDPSHETELQFVPSDKDRKAFKFDHVFGPSDNQETVFAESLPVVRSVMDGFNVCIFAYGQTGTGKTFTMEGIPEDRGVNYRALEELFRLSEERSSSVAYTFAVSILEVYNEKIRDLLDESSEQTGRKLDIKQTADGTQEVAGLIEAPIYTIDGVWEKLKVGAKNRSVGATSANELSSRSHSLVKVTVRSEHLVTGQKWRSHIWLVDLAGSERVNKTEVEGDRLKESQFINKSLSALGDVISALASKNAHIPYRNSKLTHLLQSSLGGDCKTLMFVQISPSSADSGETLCSLNFASRVRAIDHGPARKQADPAETFKLKQMTEKIRHEEKENAKLLESLQLTQLKYASRENVIKTLQEKIREAEQTSKTYQQRVRELENELANEKKAARDTARSTKPPLAPMRQRPPLGRIGNHIPPKAPLRLRLSKAPTIQNKENIPVMMNKGSSGADTSKAVAGKARRVSLTPVIRHIPLQPKRRSSLAVLPTQREQLSIFPDKRSVSRLSHIQMPRRSIATFNSIPATPLAAAAHKQVDGTPEARQLRRIEFSSSKFRSPPALARFNSRNNALSPQQKLRLASGSGNASKICFSVQKRVILGSPAPVKSSLLSGTGIFNPALREKMMAAKIGNAQRVFNTNRRKSVL >ORUFI05G16750.1 pep chromosome:OR_W1943:5:16715976:16716829:-1 gene:ORUFI05G16750 transcript:ORUFI05G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGTFTTDESDKHEESYLSLGLTVSQSKKNNTEYPKVLLLLAAYLDRSVQKNEDLLDSNKIKDSSTIFHGHRAPDLSIKLYAERIFKYSECSPSCFVLALIYMERYLQQPHVYMTSLSVHRLLITSVVVAAKFTDDAFFNNAFYARVGGISTVEMNRLELDLLFNLDFRLKVDLETFGSYCLQLEKETMVLVIDRPIQQVHGVNSTKDLSRNSSIDESCKSELMRYSSQALQGCS >ORUFI05G16760.1 pep chromosome:OR_W1943:5:16721170:16729571:-1 gene:ORUFI05G16760 transcript:ORUFI05G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQQQQPPHRPRRKGQKRKLEDEAAASASAAAAAAAAAAAAATATPSSLGSGGADDDNEEEEDGSAGPEICCRHSQAALAREVRTQVDALHRCFSWRHADRAAAKRATHVLAELAKNEEVVNVIVEGGAVPALVCHLKEPPAVAVLQEEQQPRPFEHEVEKGAAFALGLLAVKPEHQQLIVDAGALPLLVNLLKRHKNATNLRAVNSVIRRAADAITNLAHENSNIKTCVRIEGGIPPLVELLESQDLKVQRAAAGALRTLAFKNDENKSQIVDCNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLNAGALQPVIGLLSSCCTESQREAALLLGQFASADSDCKVHIVQRGAVRPLIEMLQSADVQLREMSAFALGRLAQRSSFVSQDTHNQAGIAYNGGLVPLLKLLDSKNGSLQHNAAFALYGVADNEDYVSDFIKVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKINGRVLKHLLYMMRVGEKSVQRRVALALAHLCAPEDQRTIFIDNNGLELLLDLLVSVSLKHQLDGSVALYKLANKAAALSPMDAAPPSPTPQVYLGEQYVNSSTLSDVTFLVEGKRFYAHRIALLASSDAFRAMFDGGYREKDARDIEIPNIRWNVFELMMRFIYTGSVEVTSDISQDLLRAADQYLLEGLKRLCEYTIAQDVNVDNVSDMYDLSEAFHAMSLRHTCVLFILEQFEKICVKSGSSQLIQRVIPELRNFFAKALRPSHRNAQP >ORUFI05G16770.1 pep chromosome:OR_W1943:5:16731932:16733560:1 gene:ORUFI05G16770 transcript:ORUFI05G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYSQLVPSRGGGARRRPDLRGAAAVLVEVAAAAVADEAEVAAVVEARRLPNLRRAAAVLVDEAAAFADEAEAAAGADEAAALAEVALVKTEAVALTEVADGAVTPFARPRWDKFVRQFFMDRLVLDLRGIFLSWDQPIAPTPKPNTPKIF >ORUFI05G16780.1 pep chromosome:OR_W1943:5:16750774:16755399:1 gene:ORUFI05G16780 transcript:ORUFI05G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHARLGLISHMHLDQLQIYNASSYVLLRLDRRSLAWSTLSQADGEEEMDGQLVKLLDRREIAGTTPSCV >ORUFI05G16790.1 pep chromosome:OR_W1943:5:16761424:16770599:1 gene:ORUFI05G16790 transcript:ORUFI05G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTLNPAGGEGKVVESFGGIKAYVAGAAESKAAVVLISDVFGFEAPNLRKIADKVASSGYFVVVPDFLHGDPLVPESTEKPFQMWIKEHGPDKAFEEAKPIIAALKEKGVSSIGAVGYCWGAKVVVELVKAHEIQAAVMCHPSFVTVDDMKEVKCPIAILGAEIDRMSPPEVVKQFEQVLSSKSGIGHFVKIFPGVEHGWTVRYKNDDAAAVKSAEEALADMIDWFNKNLNGGGLCEADLKDRRCSMASSQCWENPPALDPAGGGGEVVGDFGGQKAYVAGSAGSKAAVVLISDAFGFEAPNLRKIADKVALFGYFVVVPDFLHGDPYQPDNPNNPGIWLQSHNPAFEEAKPVIAALKEKGASFIRAAAKVVVELAKVHEIQAAVLLHPSLLAVDDIKEVKCPISILGSEIDKTSPPELLKQFEQILSANPEIAHFVKIFPGVEHGWAVRYSHDDAAAVKSAEEALEDMMDWFKKYLK >ORUFI05G16800.1 pep chromosome:OR_W1943:5:16773796:16775331:1 gene:ORUFI05G16800 transcript:ORUFI05G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRAAASLAKKAALVALAVLAAALATAARAEQCGAQAGGARCPNCLCCSRWGWCGTTSDFCGDGCQSQCSGCGPTPTPTPPSPSDGVGSIVPRDLFERLLLHRNDGACPARGFYTYEAFLAAAAAFPAFGGTGNTETRKREVAAFLGQTSHETTGGGPTAPDGPFSWGYCFKQEQNPPSDYCQPSPEWPCAPGRKYYGRGPIQLSFNFNYGPAGRAIGVDLLSNPDLVATDATVSFKTALWFWMTPQGNKPSSHDVITGRWAPSPADAAAGRAPGYGVITNIVNGGLECGHGPDDRVANRIGFYQRYCGAFGIGTGGNLDCYNQRPFNSGSSVGLAEQ >ORUFI05G16810.1 pep chromosome:OR_W1943:5:16782727:16784101:1 gene:ORUFI05G16810 transcript:ORUFI05G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATTTAVALLVAAAAMAAQVVAEQCGSQAGGALCPNCLCCSSYGWCGSTSDYCGDGCQSQCDGCGGGGGGGGGGGGGGGGGGGAVEAVVSKELFEQLLLHRNDAACPARGFYTYDALVTAAAAFPDFAATGDDEARKREVAAFLGQTSHETTGGWATAPDGPYSWGYCFKEEIGATASYCVPSAEWPCAPDKKYFGRGPIQLSYNYNYGPAGEAIGEDLLNNPELVASDPVVSFKTALWFWMTPQSPKPSCHDVITGQWTPSSGDIAAGRVPGYGVITNIINGGLECGFGPDDRVANRIGFYQRYCDVLGIGYGSNLDCYDQRPFNSGLTAAQ >ORUFI05G16820.1 pep chromosome:OR_W1943:5:16793198:16795155:1 gene:ORUFI05G16820 transcript:ORUFI05G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAARAANLQVAMKALALAVLALAYAAATARAEQCGRQAGGARCPNRLCCSRWGWCGLTDDYCKGGCQSQCRVSRDGGDDDVAAVLLTAPGGGRAGVASVVTSDQFERMLPHRDDAACPARGFYAYRAFVAAAGAFPAFAATGDADTRKREVAAFLAQTSHATSGGPYSWGYCYKEVKGATSDFCVPNARWPCAPGKAYHARGPMQIAYNYNYGAAGEAIGADLLGNPELVATDPTVAFKTALWLWMTARSPSQPSPHAVVTGQWTPTPADSAAGRAPGYGLTTNILTGGLQCAGGNGGADRVAFYKRYCDVLGVGYGPNLDCFGQAPFDGDIMSASAAK >ORUFI05G16830.1 pep chromosome:OR_W1943:5:16795377:16796144:-1 gene:ORUFI05G16830 transcript:ORUFI05G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLVQLLLLTVALTLPTSVHPQSGTPREDDVRCLQGIKTSLSDPDGRLASWTFSNTSAGAICELSGVSCWSPDESRIIGLSLSGFGLTGAIPSELQFCSAITALDLSSNRLGGQIPPALCDWLPFVVNLDLSGNQLSGPIPAELANCKFINSLKLSANSHSGKIPASLVLLGHLKSLDLSNNNLDGAIPPQLAASFSADAFADNPDLVEPHSGFDLGVLFGRPEAAAAIAFVFGFVGTLFFGPSIIRRVAGRSC >ORUFI05G16840.1 pep chromosome:OR_W1943:5:16805365:16811254:1 gene:ORUFI05G16840 transcript:ORUFI05G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENTGGAGWQVSPSPAPSTEKHHPCLDNSPNMTEKTGGEAGEVVHDYGGLECYVTGPRRSGGRAVILVSDYYGFRAPKLRQIADKVADSGYYVVVPDLLYGDPYTDDPARPFWVWIMAHSPDEAAEKTKPLIAALKKEGMSSVGIGGYCWGGKVAVELSKTEETQAVKRPIEILGGERDTITPPLVVHQFEHALDQNNRVDHFVKIFPKAPHAFACRYNASDPFAVKTAEEARADMVQWFDGYLKQPGEFQLQ >ORUFI05G16840.2 pep chromosome:OR_W1943:5:16802474:16805499:1 gene:ORUFI05G16840 transcript:ORUFI05G16840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRCLLVVALAPAAGRLALAEENNGGRARWQVYSPAPAPKKHPCLENPPNMTENTGGEAGEVVHDYGGLECYVTGPRRSGGRAVILVSDYYGFRAPKLRKIADKVAYQLGCYVVVPDLLFGDPYTDDPARPFEEWIKTHSPVEAAENTKPLIAALKKDGTSTVGVGGYCWGGKVAVELSKTEETIARSYVPLKSLGVHHLVKIFPDAPHGFACRYNATDPFAVKTAEEARADMVKWFDKYLKIMKR >ORUFI05G16850.1 pep chromosome:OR_W1943:5:16813652:16815833:-1 gene:ORUFI05G16850 transcript:ORUFI05G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDALYRVVMRRNAVYVTFVVAGAFAGERAVDYGVHKVWEMNNIGKRYEDISVLGQRPAE >ORUFI05G16860.1 pep chromosome:OR_W1943:5:16818002:16819647:1 gene:ORUFI05G16860 transcript:ORUFI05G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGPSSMSAGLLFLNLVLYVVVAVIAGWAINYSIDESFNSLQGVSPPVRLFPIYFPIGNLATGFFVIFALLAGVVGVSTSLTGLHDVSQGYPASMMSAAAASIVTWTLTLLAMGLACKEISIGWRPPSLRALETFTIILAGTQLLCAGSLHAGAHAAIIQNPMVSRV >ORUFI05G16870.1 pep chromosome:OR_W1943:5:16820058:16834856:1 gene:ORUFI05G16870 transcript:ORUFI05G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVHLRPLHSLSLPLPLLAAKAATPNPGCLPLSAKPRAGRRSSLLVCAASDPSKAAGKGEAGDAVARWAAWIPRAAVGGAGPEQVLRLISGAAATPICQFVDSPRTFLHAIDPRVKLVTKISPRQGTLPHLTLICSVFLDRIASKRQVWLLALVVLPARSNIYLRFGLVAYLTLLSMWVLPNHVWKDQLGRVALLSGIIFIMLGFGSDGAPSLVQTRTPPPSVIGLPNIPTSTNGYSYTIMKLGPLQFTRKGLSVASTSACLSFAIFQSASLCLTTTTPEQLASALWWFMIPLKHIGVPVPEIILTLLLSLRFINLVRNSALAIVARRINWKKLATMETIDIFFNYVRRIFKNIFDHAEQISKDVNDNTNSISPCPILHSFLVKVVLQAMIARGFRGDPNNHKIYFLTESSFGIVDVFSLLCLFALVALASISDKLV >ORUFI05G16870.2 pep chromosome:OR_W1943:5:16819998:16835421:1 gene:ORUFI05G16870 transcript:ORUFI05G16870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLETSLSRRCGPKCRGCSCMFRSSTMWKNISPPPRPRRRRASERFLNSSTQLAWLGFSRERGNIISPDEAFEPSDQGPCASWPSNKSLYLVRLGPRTDSDSDSDSPPPLRRLPSPPAAAAKRLHWMASRCAARVPSPPCAAARSGWAGPVVSIRPARSGVARGRAVVCAVSFRPCIDIHKGKVKQIVGSTLRDSSNDGTALVTNFESDKPPAEFANIYKEDELIGGHVIMLGADPASQAAAMEALHAYPGGLQVGGGINLENAISYLNEGASHVIVTSYVFSEGKMNIERLKQLVDLVGKHRLVLDLSCRKKDGRYAIVTDRWQKFSDVFVDEPTLKHLAAYADEFLVHGVDVEGKRLGIDEELVELLGRYSPIPVTYAGGVSTMDDLERIKRAGNSRVDVTVGSALDIFGGDLPYKDVVLWHKEQNMYFHKESHFQCVSRTRLQVQFSDSASRLFDRLVTERTMEAVDCSRTHRTGTA >ORUFI05G16870.3 pep chromosome:OR_W1943:5:16819998:16835421:1 gene:ORUFI05G16870 transcript:ORUFI05G16870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVHLRPLHSLSLPLPLLAAKAATPNPGCLPLSAKPRAGRRSSLLVCAASDPSKAAGKGEAGDAVARWAAWIPRAAVGGAGPEQVLRLISGAAATPICQFVDSPRTFLHAIDPRVKLVWLLALVVLPARSNIYLRFGLVAYLTLLSMWVLPNHVWKDQLGRVALLSGIIFIMLGFGSDGAPSLVQTRTPPPSVIGLPNIPTSTNGYSYTIMKLGPLQFTRKGLSVASTSACLSFAIFQSASLCLTTTTPEQLASALWWFMIPLKHIGVPVPEIILTLLLSLRFINLVFDEVRNSALAIVARRINWKKLATMETIDIFFNYVRRIFKNIFDHAEQISKAMIARGFRGDPNNHKIYFLTESSFGIVDVFSLLCLFALVALASISDKLV >ORUFI05G16870.4 pep chromosome:OR_W1943:5:16820058:16834856:1 gene:ORUFI05G16870 transcript:ORUFI05G16870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVHLRPLHSLSLPLPLLAAKAATPNPGCLPLSAKPRAGRRSSLLVCAASDPSKAAGKGEAGDAVARWAAWIPRAAVGGAGPEQVLRLISGAAATPICQFVDSPRTFLHAIDPRVKLVTKISPRQGTLPHLTLICSVFLDRIASKRQVWLLALVVLPARSNIYLRFGLVAYLTLLSMWVLPNHVWKDQLGRVALLSGIIFIMLGFGSDGAPSLVQTRTPPPSVIGLPNIPTSTNGYSYTIMKLGPLQFTRKGLSVASTSACLSFAIFQSASLCLTTTTPEQLASALWWFMIPLKHIGVPVPEIILTLLLSLRFINLVRNSALAIVARRINWKKLATMETIDIFFNYVRRIFKNIFDHAEQISKDVNDNTNSISPCPILHSFLVKVVLQAMIARGFRGDPNNHKIYFLTESSFGIVDVFSLLCLFALVALASISDKLV >ORUFI05G16880.1 pep chromosome:OR_W1943:5:16825964:16827793:-1 gene:ORUFI05G16880 transcript:ORUFI05G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERNMQLHPRHFGPHLRDKLVSKLIKDVEGTCSGRHGFVVAITGVEDVGKGLIREGTGYVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDVPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVISDPGAAV >ORUFI05G16890.1 pep chromosome:OR_W1943:5:16827968:16828294:-1 gene:ORUFI05G16890 transcript:ORUFI05G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTGPAQPDRAAAHGGDGTLAAHLDAIQCSRCEQPTHKTPTKQIQNREASKRMQEPPNPGHDSEKKKKPARTCRTFAAAAGGEGRRRKGGGESESESESVRGPSRTR >ORUFI05G16900.1 pep chromosome:OR_W1943:5:16840153:16845903:1 gene:ORUFI05G16900 transcript:ORUFI05G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPPHAAAVLLVAAAALCLGGRAEELEASSPEFNYPAVFNFGDSNSDTGGRVAAGFESIAPPYGSTFFGSPSGRFCDGRLIIDFLMDAMDMPFLNAYLDSVGAPNLRAGVNFAQAGCSITPATATSVSPFSFGLQIKQFFAFKDKVTKLLSKGDTYRRYIPQSDYFSRGLYTFDIGQNDLAGEFYWKTEDQVAASIPTILLEFETGLKKLYEQGARKFWIHNTGPLGCLPQNVAFFGKDRSQLDELRCVAKHNRVAKLFNLQLHALCTKLRGEFAGASITYVDIYTIKYSLIANYSRYGFENPIQACCGYGGPPLNYDSRVPCGQTASLNGNLVTAKGCKDSTEFVNWDGIHYTEAANFHIASQILTGRYSDPPFADKMPFLIKPKF >ORUFI05G16910.1 pep chromosome:OR_W1943:5:16845088:16846373:-1 gene:ORUFI05G16910 transcript:ORUFI05G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHAGPSPYPAPESHPPNHNPTHAIATKFPIHKTAETLVITSSAQLSSLLLPGEAAAGTAAMETLLSPSTLLSPLRGSKKKPASPAASASSSSSSSSPARSVVSCALRRQQPPPQAVAAWRGDGGRGGGVGSWATFLQHGLAAAALSLAISMAPAPAPAVASEFDVLNGGPPEDTYVVDDAGVLSRVTKSDVKRLVRDLESRKNIRINFITVRKLTSKADAFEYADQVLEKWYPTVEEGNNKGIVVLVTSQKEGAITGGPAFVQAVGDEILDSTVSENLPVLATDEKYNEAIYTTAKRLAAAIDGLPDPGGPTFKDNKRESNFKTKEETEEKRGQFTLVVGGLLVIAFVVPMAQYYAYISKK >ORUFI05G16920.1 pep chromosome:OR_W1943:5:16847480:16850518:-1 gene:ORUFI05G16920 transcript:ORUFI05G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTLSLSSPLFLAAPPKVQGVISCRAALASASWNSVMLGRKSKTRETIVPDPDYRLPIVILGIAGAFAYADNLLAAAPVGLLGCLLLFQTTRVRFVFDDEALEVKVGDQLEESGENVFVGGKNRWRYSTFVNWELWWPQFPILVYFKETQTKPEGQIHFFPVIFNGRQLYDAMVERAGPSETSGPK >ORUFI05G16930.1 pep chromosome:OR_W1943:5:16851969:16855354:1 gene:ORUFI05G16930 transcript:ORUFI05G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVLLRLAPSPPYPQNPPPRRRSPASACGASRRDFAIHTAIASASAAVAVSVRPATAAAADEEAPPGEPSQNKKGSPLLGGIANTKSWSQYYGSGFSIRVPPSFDDILEPEEFNVGMTYYGDKAKPRTYAARFASPDRSELVSVVIKPSNQLKITFLEAKDITDLGTLKEASKIFVPGGAKLYSARTIKVKDEDDIRTYYFYEFGVDKEHVAVMATVNSGKTYIAGATAPETKWDDDGVKLRSAAVSLSVL >ORUFI05G16930.2 pep chromosome:OR_W1943:5:16851969:16855354:1 gene:ORUFI05G16930 transcript:ORUFI05G16930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVLLRLAPSPPYPQNPPPRRRSPASACGASRRDFAIHTAIASASAAVAVSVRPATAAAADEEAPPGEPSQNKKGSPLLGGIANTKSWSQYYGSGFSIRVPPSFDDILEPEEFNVGMTYYGDKAKPRTYAARFASPDRYNRPGHFKGGIKDICGAKLYSARTIKVKDEDDIRTYYFYEFGVDKEHVAVMATVNSGKTYIAGATAPETKWDDDGVKLRSAAVSLSVL >ORUFI05G16940.1 pep chromosome:OR_W1943:5:16866298:16873816:-1 gene:ORUFI05G16940 transcript:ORUFI05G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGAAAGAAAAAGAAPASPVAAAAAAAPEVAARDAVIGWFRGEFAAANAVIDALCGHLAQIGGDAEYEAVFAALHRRRLNWFPVLHMQKFYSVADVAAELRRVADARASAAYSEEEAASTVIHEPMDELVAMAAEPEPEQEQEPDHDHVPQDPAPEPEPDGAVNNPVSPTAAEMIILTKDLKGNTAYQRVTLSALITRSALSAPRGSRFRKVNVVKGLKIYEDVFTTSELMKVADFINEIRQAGRNGELSGHIEAIPLVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETTMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARHVVCPSSNRRVSITFVRVRPSTPVDLSPLPSPTKAMTLWQAPPPQQQQTIPAPGMQQKPQHGGAIIGYGPAPQAVLAPAWGMAVRAAPVMMVAAPAPARPMVMAPAGGNKRMSRSGTGVFLPWTVGPKRYNKHLPPRIQKRRFSAMMSPIEAQG >ORUFI05G16950.1 pep chromosome:OR_W1943:5:16918601:16920385:1 gene:ORUFI05G16950 transcript:ORUFI05G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTIYPTLPPVDRILIVASQFCSRNLLVCSPIDHRQLGFHVGRRLAGWAEAGEEEGVGSRQRSGGGRSGGNDDAGEGGDIAACASSGLERPERRKKEKGIVCGR >ORUFI05G16960.1 pep chromosome:OR_W1943:5:16923639:16925625:-1 gene:ORUFI05G16960 transcript:ORUFI05G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAEMQPLAPAGYRRAPEMKEKVDASAVDLEAGTGETLYPGISRGESALRWGFVRKVYGILAAQLLLTTAVSALTVLHPTLNATLSSSPTLALVLAVLPFVLMVPLYHYQHKHPHNFVYLGLFTLCLSFSIGVACANTQGKIVLEALILTSAVVASLTAYTFWASKKGKEFGYLGPILFSALVLLVVISFIQASVFFPLGSGPVALFGGLGALVFSGFIIYDTENLIKRHTYDDYIWASVELYLDILNLFLYILNMIRSMQSDN >ORUFI05G16970.1 pep chromosome:OR_W1943:5:16932730:16935128:1 gene:ORUFI05G16970 transcript:ORUFI05G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENVEENRRSLRELLFCTPGALQYLSGVILFEETLYQKTKDGKPFVDVLKEGGVLPGIKVDKGTIEVAGTEKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLKIGPNEPSQLAIDLNAQGLARYAIICQENGLVPIVEPEILVDGPHDIDRCAYVSEVVLAACYKALNEHHVLLEGTLLKPNMVTPGSDAKKVSPEVIAEYTVRTLQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLSTKKPWSLSFSFGRALQQSTLKAWSGKAENIEKARAAFLTRCKANSEATLGTYKGDAVLGEGASESLHVKDYKY >ORUFI05G16980.1 pep chromosome:OR_W1943:5:16934925:16950489:-1 gene:ORUFI05G16980 transcript:ORUFI05G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFEVGGKSVEGVDLLRRRHWASRLDFWPFLALYALWLVVVVPALDFTDALVVLGALSASHVLAFLFTAWSVDFRAFVKDIRAANSCKVTPAKFSGSKEIVPLHIQKTVASSSAAGETEEIYFDFRKQRFIYSSQEDNFFKLRYPTKEPFEHYIKGTGYGTEAKINTAVDKWGRNIFEYPQPTFQKLMKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDNQIVATYRCGKWVRIPGTELLPGDIVSIGRSVSGEDRSVPADMLLLAGSAIVNEAILTGESTPQWKVSVAGRGPEETLSVKRDKNHILFGGTKILQHTPDKSINLRAPDGGCIAFVLRTGFETSQGKLMRTILFSTERVTANSKESGLFILFLLFFAVIASGYVLVKGLEDPTRSRYKLFLSCSLILTSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGVVSLEDDEELITDANKLPLRTQEVLSSCHALVFVDNKLVGDPLEKAAIKGIDWIYTSDEKAISKKSGGQPVKIVHRYHFASHLKRMSVVVSIHEKYYAFIKLADASGANNQREGDRWIWLSVRRERHRRASYEDGDGAPSSPTMVMATALRKLSSGSLRRPPTAAAFARRRSARSPPLRLPTAVSPGAPETIQERLVDLPAGYVETYKKYTRQGSRVLALAYKLLPDMPVNEARSLERDQVESDLTFAGFAVFNCPIRSDSGAVLQELEQSSHDLVMITGDQALTACHVAGQVHICSKPVLILTRTKTGGFEWVSPDETDRAPYSAEEVAAVSGSHDLCISGDCFEMLQRTDAVIQVIPYVKVFARVAPEQKELVLTTFKTVGRVTLMCGDGTNDVGALKQAHVGIALLNAEPVQKSDTKSQASKSENKQGKLKKPKPSQEGSSSQLTQPANSSARASSSRPLTAAERQRERLQKMMDEMNEESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQTLSAERPHPNIFCAYVFLSILGQFAMHLFFLISAVNEATKYMPEECIEPDSEFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSITENKPFKYALYAAVAFFTVITSDMFRDLNDYMKLEPLPEGMRGKLMLWAILMFCGCYGWERILRWAFPGKMPAWEKRN >ORUFI05G16980.2 pep chromosome:OR_W1943:5:16934925:16950489:-1 gene:ORUFI05G16980 transcript:ORUFI05G16980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFEVGGKSVEGVDLLRRRHWASRLDFWPFLALYALWLVVVVPALDFTDALVVLGALSASHVLAFLFTAWSVDFRAFVKDIRAANSCKVTPAKFSGSKEIVPLHIQKTVASSSAAGETEEIYFDFRKQRFIYSSQEDNFFKLRYPTKEPFEHYIKGTGYGTEAKINTAVDKWGRNIFEYPQPTFQKLMKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDNQIVATYRCGKWVRIPGTELLPGDIVSIGRSVSGEDRSVPADMLLLAGSAIVNEAILTGESTPQWKVSVAGRGPEETLSVKRDKNHILFGGTKILQHTPDKSINLRAPDGGCIAFVLRTGFETSQGKLMRTILFSTERVTANSKESGLFILFLLFFAVIASGYVLVKGLEDPTRSRYKLFLSCSLILTSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGVVSLEDDEELITDANKLPLRTQEVLSSCHALVFVDNKLVGDPLEKAAIKGIDWIYTSDEKAISKKSGGQPVKIVHRYHFASHLKRMSVVVSIHEKYYAFIKGAPETIQERLVDLPAGYVETYKKYTRQGSRVLALAYKLLPDMPVNEARSLERDQVESDLTFAGFAVFNCPIRSDSGAVLQELEQSSHDLVMITGDQALTACHVAGQVHICSKPVLILTRTKTGGFEWVSPDETDRAPYSAEEVAAVSGSHDLCISGDCFEMLQRTDAVIQVIPYVKVFARVAPEQKELVLTTFKTVGRVTLMCGDGTNDVGALKQAHVGIALLNAEPVQKSDTKSQASKSENKQGKLKKPKPSQEGSSSQLTQPANSSARASSSRPLTAAERQRERLQKMMDEMNEESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQTLSAERPHPNIFCAYVFLSILGQFAMHLFFLISAVNEATKYMPEECIEPDSEFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSITENKPFKYALYAAVAFFTVITSDMFRDLNDYMKLEPLPEGMRGKLMLWAILMFCGCYGWERILRWAFPGKMPAWEKRN >ORUFI05G16990.1 pep chromosome:OR_W1943:5:16958015:16959328:1 gene:ORUFI05G16990 transcript:ORUFI05G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMPPPLAVACTALLLLLFVSVSPCRAASGGDPSAVLLPVSKDDATQQYVTMFRQRTPQAPLKAVLDLAGATLWVDCEAGYVSSSYARVPCGSKQCRLAKTNACATSCDGAPSPACLNDTCGGFPENTVTHVSTSGNVITDVLSLPTTFRPAPGPLATAPAFLFTCGATFLTEGLAAGATGMVSLSRARFAFPTQLAATFRFSRKFALCLPPAAAAGVVIFGDAPYVFQPGVDLSKSLIYTPLLVNPVSTGGVSTKGDKSTEYFVGLTRIKVNGRAVPLNTTLLAINKKGVGGTKLSTVTPYTVLETSIHKAVTDAFAAETSMIPRVPAVAPFKLCYDGSKVAGTRVGPAVPTVELVFQSEATSWVVFGANSMVATKGGALCLGVVDGGVASETSVVIGGHMMEDNLLEFDLVGSRLGFSSSLLFRQTTCNNFRLG >ORUFI05G17000.1 pep chromosome:OR_W1943:5:16961475:16973410:1 gene:ORUFI05G17000 transcript:ORUFI05G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCMACLPPRLVLASTAPVLVLLLFVPLSPCRAASGSSPSAVLLPVDKDGATQQYVTMFWQRTPSVPVKAVVDLAGAMLWVDCESGYESSSYARVPCGSKPCRLAKSAACATGCSGAASPGCLNDTCTGFPEYTITRVSTGGNIITDKLSLYTTCRPMPVPRATAPGFLFTCGATSLTKGLGAAATGMMSLSRARFALPTQLASIFRFSRKFALCLAPAESSGVVVFGDAPYEFQPVMDLSKSLIYTPLLVNPVTTTGGDKSTEYFIGVTGIKVNGRAVPLNATLLAIAKSGVGGTKLSMLSPYTVLETSIYKAVTDAFAAETAMIPRVPAVAPFKLCYDGTMVGSTRAGPAVPTVELVLQSKAVSWVVFGANSMVATKDGALCFGVVDGGVAPETSVVIGGHMMEDNLLEFDLEGSRLEFPVHFVVSPRGGQVARHYVLPNPRRGIVTRNKRLRLETGRPKSLVLRL >ORUFI05G17000.2 pep chromosome:OR_W1943:5:16959387:16961827:1 gene:ORUFI05G17000 transcript:ORUFI05G17000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCISLCNRVKRMARFPPPLASGALLLLLFVLVSPCRSAAGGRPRAVVLPVSKDDATQQYATVFRQRTPQVPVKAVLDLAGATLWVDCDTGYVSSSYARVPCGSKPCRLTKTGGCFNSCFGAPSPACLNGTCSGFPDNTVTRVTAGGNIITDVLSLPTTFRTAPGPFATVPEFLFTCGHTFLTEGLANGATGMVSLSRARFAFPTQLARTFGFSRRFALCLPPASAAGVVVFGDAPYVFQPGVDLSKSSLIYTPLLVNAVRTAGKYTTGETSIEYLIGLTGIKVNGRDVPLNATLLAIDKNGVGGTTLSTASPYTVLETSIYKAVIDAFAAETATIPRVPAVAPFELCYDGRKVGSTRAGPAVPTIELVLQREAVSWIMYGANSMVPAKGGALCLGVVDGGPALYPSSVVIGGHMMEDNLLEFDLEGSRLGFSSYLPLRQTTCNNFRLG >ORUFI05G17010.1 pep chromosome:OR_W1943:5:16975906:16977087:-1 gene:ORUFI05G17010 transcript:ORUFI05G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTAGGRRLLVLIRRASAAAAAGANPNHHHHHATLFTHRYSSSPLARTPEAESAAAASYLVASVGLSPAAAARISRKARFRSNADAEAVVSLLRGHGFSDANIAQVLPKIPGLLILNPDKILRPKLEYFASLGVVPSALSRAPLLARSLEKHLVPCVEFIRGVVGTDANLCAAISRNPWALWCDINSSMRPAVESLRRHGLAEANISRLVVINLSALTMSPDRIDGIFGDLEALELPISHSRFVYGFWALSRLKRGAWEERMSVFMRFGVSRSELLKAFREQPGILVFTAKTIQRKLSFYQEKLKVAPADVIAHPLLLTFSLEKNIIPKCAVLNVLLREGKIKRYGREMDLLRPLQRSNISFFERFVRKYEEDVPDVVKAYEGKIKFTGFMD >ORUFI05G17020.1 pep chromosome:OR_W1943:5:16979857:16980366:1 gene:ORUFI05G17020 transcript:ORUFI05G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQAADGGTWGLLYRTALATVFLEGHIFLFISVIGLRSKFAKFIPKLIRISSSTGIGLFLAFIGLQSSEGVGLVGFSSSTLITLGACPASQRASVAPVVTFPIVN >ORUFI05G17030.1 pep chromosome:OR_W1943:5:16984685:16985884:-1 gene:ORUFI05G17030 transcript:ORUFI05G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAICRRRLAALFPQIRGGGGGAYHVQSNPQAALLFHSYSSTAVTGGSDPEPCPDTVSYLVSCGLPPAVARHTAANTRGLRIRSTEKADAVRTLLRSYGFSDADVARIARSAPLLLTVDPDRIIRPKLEFFATMGFQPSKLSTAPLLLARSLEKHLVPTIQFLRSIIGSDDGIRRGFSRIPRALLVSLDNCMRPAVEALHRHGLTGREDVSKVLVLQMGVLMLSPVRIGEIFEDLKAMGMSITDGRFANSFRAMCSMRRATWLRKVALYRSFGLSESEVFEAFKKQPTALLGADETIKKNASFFRDALKLEMREVMVHPVVMAYSFEKTILPRCAVLSVLMREGKINPDIQLLHALLGSAKTFSGRYVDRFAADVPDVVEAYEGKIKFKGFKGQGQGV >ORUFI05G17040.1 pep chromosome:OR_W1943:5:16987428:16988632:-1 gene:ORUFI05G17040 transcript:ORUFI05G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGVLGRGGQALRYDIGRDTNIWSYGDKKGSPFALSTVHVCNLGSQDYTHTKIYDAITNLSFVPFRGGNRRELPVCQAVWIFIEAVGCSCRGNAAASCQLTIFITVCFHYEACFFSLVTLPFNKDGTMLSLILYGDVLGIIPLIPDYL >ORUFI05G17050.1 pep chromosome:OR_W1943:5:16998758:17000281:1 gene:ORUFI05G17050 transcript:ORUFI05G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFLFSAHPKPPPLPPSPHLRKLLRLTASASTSASSPPRAGCSRGPAHARPRPSPRPSPSSSLYARPSLLDMERGRAARRADVDAFLASLGVDPGELAGLELPATVDVMRERVEFLHSLDLSNEDLAAYPLALGCSVRKNMVPVLDYLGKLGVRQDALPDLLRRYPQVLHASVVVDLAPVVKYLQGMDVRPHDVPRVLERYPELLGFKLEGTMSTSIAYLVGIGVARRQVGSVITRFPEVLGMRVGKIIKPFVEHLEGIGLQRLAIARIIEKKPYVLGFGLEDKVKPNIEALLEFGVRKEALAFIVAQYPDILGIELRDKLATQQSLFESSILVSSEDFGRVIERMPQAISLGRTAVLKHVNFLTSCGFLLSQVSKMVVACPQLLALNMDIMKMSFEYFQNEMERDLEELVEFPAFFTYGLESTVRPRHEMVAKKGFTCSLAWLLNCSDAKFDERMKYDTIGIEEMEVDNSFDTNTLSERVEDEVEDEDLDEDSDYDSTDDEFIE >ORUFI05G17060.1 pep chromosome:OR_W1943:5:17001584:17001802:-1 gene:ORUFI05G17060 transcript:ORUFI05G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPDSPLESSSSSATDPVALCHTKLDVKDLITTMSWAGHASSTRHREGEEEHRQQRRRGAPPSEKEKRERRE >ORUFI05G17070.1 pep chromosome:OR_W1943:5:17001857:17006919:1 gene:ORUFI05G17070 transcript:ORUFI05G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGSGRATAVVSVFPEVASICSEPPLGSLSSLPGGTGHNEAWAGGDDLQPVNSSMASITTLAQEPYQLSAPPENEKDTCTTDCQTKHAKEEKKMGVQHLLKLRMASTHPHPHPGAPLAARPLSALASFFLARPSSTAAAPPPRHVTLSCSRPHCNHNQWAASRCRGTAGRRRLQVVVAMSSSAPPPPPGSVQKSEEEWEAILSPEQFRILRLKGTEYPGTGEYDKLFAEGVYECAGCGTPLYKSSTKFNSGCGWPAFYEGFPGAIARTPDPDGRRIEITCAACGGHLGHVFKGEGFNTPTDERHCVNSISLKFIPASEDSKL >ORUFI05G17080.1 pep chromosome:OR_W1943:5:17009885:17010531:1 gene:ORUFI05G17080 transcript:ORUFI05G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKGSPEYWVAVVKPSRILYEMGRVSETIARAAISIAASKMPIRNNSGARKLMCIRVIGAASNQQYARIGDVIVAVIKDAVPQMPLERSQVIRAVIVRTCKEFKCEDGIIIRYDDNAAVIIDQKGNPKGTRVFGTIVEELRELNFTKLVSLAPEVL >ORUFI05G17090.1 pep chromosome:OR_W1943:5:17010684:17014878:-1 gene:ORUFI05G17090 transcript:ORUFI05G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPLGSGCAFAAAASSAFPPATVPGGIFAGRRRRRPARLVLAWASSDGSDGGGAAAGAVAAEASAVGESKEGEVASGGGSSAESSAEKKPAPAVQKTAATFAPRASTATKNPAVPGTALYTIFEVQGYASMLLGGALSFNLVFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSSKEKEALNYLFLLVPLINVIIPFFVKSFAVVWSADTVAFFVMYAWKRLVNQQ >ORUFI05G17100.1 pep chromosome:OR_W1943:5:17021429:17024596:1 gene:ORUFI05G17100 transcript:ORUFI05G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSWGWFSRVQVQARRGRRVTRREGVRSDAPLRAKVATLATYQFNCRNKQLFCEVDTSADLINNKTNAAVRRPRFIRVLLPGSFAKMPGEKKDGKGLNDRLWKSPFPAAPLR >ORUFI05G17100.2 pep chromosome:OR_W1943:5:17021429:17024914:1 gene:ORUFI05G17100 transcript:ORUFI05G17100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSWGWFSRVQVQARRGRRVTRREGVRSDAPLRAKVATLATYQFNCRNKQLFCEVDTSADLINNKTNAAVRRPRFIRVLLPGSFAKMPGEKKDGKGLNDRLWKPINSRC >ORUFI05G17110.1 pep chromosome:OR_W1943:5:17023524:17025318:-1 gene:ORUFI05G17110 transcript:ORUFI05G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPVSPPSAPSTQVRLSPFRLLDLMNGNRKRGSSTDSIGMYAVQCCECHKWRKVPTKDEFETIRENFTEEPWHCSRRPDCSCEDPADIEYDSSRIWVLDKPNIPKPPAGTERLVIMRGDLSKMDTYYVMPNGKRVRCTAEVDKFLEANPQYKDRFSVESFSFTTPKIVEETVSHNSVWKSGKAKKQDKINALSNNN >ORUFI05G17110.2 pep chromosome:OR_W1943:5:17023524:17025318:-1 gene:ORUFI05G17110 transcript:ORUFI05G17110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPVSPPSAPSTQRKRGSSTDSIGMYAVQCCECHKWRKVPTKDEFETIRENFTEEPWHCSRRPDCSCEDPADIEYDSSRIWVLDKPNIPKPPAGTERLVIMRGDLSKMDTYYVMPNGKRVRCTAEVDKFLEANPQYKDRFSVESFSFTTPKIVEETVSHNSVWKSGKAKKQDKINALSNNN >ORUFI05G17110.3 pep chromosome:OR_W1943:5:17023524:17025318:-1 gene:ORUFI05G17110 transcript:ORUFI05G17110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPVSPPSAPSTQVRLSPFRLLDHSIGMYAVQCCECHKWRKVPTKDEFETIRENFTEEPWHCSRRPDCSCEDPADIEYDSSRIWVLDKPNIPKPPAGTERLVIMRGDLSKMDTYYVMPNGKRVRCTAEVDKFLEANPQYKDRFSVESFSFTTPKIVEETVSHNSVWKSGKAKKQDKINALSNNN >ORUFI05G17120.1 pep chromosome:OR_W1943:5:17026105:17027881:-1 gene:ORUFI05G17120 transcript:ORUFI05G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGKPGFITMYAITCCKCEKWRTIPTKEEFEVIRENYPAKPWFCSKKRDCSCEHPEDIQYDTSRIWAIDRPNIPKPPPKTERLLIMRNDLSKMDAYYVLPNGKRAKGKPDIDRFLKENPEYAATLPLSSFNFSTPKIVKETVSDSAKWVMAKSEREEQCMQLDAKEVPSSSSK >ORUFI05G17130.1 pep chromosome:OR_W1943:5:17032300:17032563:1 gene:ORUFI05G17130 transcript:ORUFI05G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGPRRLTAVVVMAGDGGAVQQTTRRRQRQHMKAGKELGGRKGGDRERAWRGRRLRVAVLHGSGGLRRARWLCGVEAGSGRVARWW >ORUFI05G17140.1 pep chromosome:OR_W1943:5:17032516:17033160:-1 gene:ORUFI05G17140 transcript:ORUFI05G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISQATKETLPSHGQHQLLGRDYNLSSLPSIAAPHQRAAPTSSAEATEEPVAHHKNEADPRRRRPRRTSRYESFRTEKDHPRSLMHRNFSEATPPRRTRRVTSSLLVRNRNKVFTRRFVGNMKERHDDAFKKVNGAQERRRGRTGQRHGKAFASVFTLLRQAPHVDDHGIDRDVSQAIIPRRDQTRQRIHIHTSRAGGSPPASNPAGARLDSA >ORUFI05G17150.1 pep chromosome:OR_W1943:5:17035806:17044236:-1 gene:ORUFI05G17150 transcript:ORUFI05G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGLSVPPGFTVSTEACQQYQAAGKTLPAGLWEEIVEGLQWVEEYMAARLGDPARPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLAAKSGDRFAYDSYRRFLDMFGNVVMDIPHALFEEKLEAMKAVKGLHNDTDLTATDLKELVAQYKDVYVEAKGEPFPSDPKKQLQLAVLAVFNSWDSPRAIKYRSINKITGLKGTAVNVQTMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMRDHMPEPYEELVENCKILESHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLVERRTALKMVEPGHLDQLLHPQFENPSGYKDKVIATGLPASPGAAVGQIVFTAEDAEAWHAQGKDVILVRTETSPEDVGGMHAAVGILTARGGMTSHAAVVARGWGKCCVSGCSSVRVNDASKIVVIEDKALHEGEWLSLNGSTGEVIIGKQPLCPPALSGDLETFMSWVDEVRKLKVMANADTPEDATTARQNGAEGIGLCRTEHMFFASDERIKAVRQMIMASSLELRQKALDRLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGHVEDMVRELCSETGAAQDDVLARVEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAITMTNQGIQVFPEIMVPLVGTPQELGHQVDVIRQIANKVFTDMGKTIGYKVGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSQGILQHDPFEVLDQRGVGELVKLATERGRKARPNLKVGICGEHGGEPLSVAFFAKAGLDYVSCSPFRVPIARLAAAQVLL >ORUFI05G17160.1 pep chromosome:OR_W1943:5:17054296:17054862:-1 gene:ORUFI05G17160 transcript:ORUFI05G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSRAVCVQRASGNNGRRCRDGAAAAGRRSVVAQRARHGKPEVAIRSGSGGSARGGHCSPLRAVAAPIPTTKKMHAFNIF >ORUFI05G17170.1 pep chromosome:OR_W1943:5:17057669:17058022:1 gene:ORUFI05G17170 transcript:ORUFI05G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVLRLHDCLDRVRARPHATRRSPRQQAARRRDARPRGGQAAPAVTRVVRVKVAAAGAGADAYAGPAFGSMSPSPRALPLPRFSSSSSSSRAAAAAAAGVDDSATRELRRLLGLH >ORUFI05G17180.1 pep chromosome:OR_W1943:5:17059821:17062381:-1 gene:ORUFI05G17180 transcript:ORUFI05G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGILLVAFLAAAVPFALRLLHSFLWVPRRLERRFRRQGIRGPPRRPLSGNAAGYRDLLAAAQSAPLASFHHAVVARVAPHYREWPERYGRPFVFWLGPRPRLVVSDPEVVKAVLTDPTGTFDKAGSGGGNPLARQLFGEGLVGLTGEKWARHRRVIAPAFNMERIKGWISEITAITSSMLDKWEVQDEARAEFEIDVHKEFHTLIADVISSVAFGSSYEEGKRVFELQEEQLKLAILAMTTVYIPGFRFVPTKKNRRRHMLNQEVRNSLRKLIEINGRKCEDSNNLLGMMLSASKLGSEFRMGIEEIIDECKTFYFTGKETTANLLTWATLLLALHQEWQNKARDEVLQACGKSEHPNAENLSNLKIVNMVLKETLRLYPPAMFLNRMVNRDVKLGKLDIPAGTQLHFPILDIHHDVNIWGTNADEFDPSRFAEGKSYHLGAYFPFGIGPTICVGQNLTMVEEKVALAMILQRFALVVSRPMFMRQCMESHSNPSTVLKFLPIRFETSMDLCVDFWVFADVSSSSELSIKSGICWSHGSIFHVQTTLNTCRNVQKIKWFAIVNIPVLSFLFLDIHCIC >ORUFI05G17180.2 pep chromosome:OR_W1943:5:17059891:17062381:-1 gene:ORUFI05G17180 transcript:ORUFI05G17180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGILLVAFLAAAVPFALRLLHSFLWVPRRLERRFRRQGIRGPPRRPLSGNAAGYRDLLAAAQSAPLASFHHAVVARVAPHYREWPERYGRPFVFWLGPRPRLVVSDPEVVKAVLTDPTGTFDKAGSGGGNPLARQLFGEGLVGLTGEKWARHRRVIAPAFNMERIKGWISEITAITSSMLDKWEVQDEARAEFEIDVHKEFHTLIADVISSVAFGSSYEEGKRVFELQEEQLKLAILAMTTVYIPGFRFVPTKKNRRRHMLNQEVRNSLRKLIEINGRKCEDSNNLLGMMLSASKLGSEFRMGIEEIIDECKTFYFTGKETTANLLTWATLLLALHQEWQNKARDEVLQACGKSEHPNAENLSNLKIVNMVLKETLRLYPPAMFLNRMVNRDVKLGKLDIPAGTQLHFPILDIHHDVNIWGTNADEFDPSRFAEGKSYHLGAYFPFGIGPTICVGQNLTMVEEKVALAMILQRFALVVSRPMFMRQCMESHSNPSTVLKFLPIRFETSMDLCVDFWVFAVNMVNAADVHRVLYIFVNNFGRMFPARLNFLSKVEFAGAMGAFSMYKQLLIPAEMYRK >ORUFI05G17190.1 pep chromosome:OR_W1943:5:17072555:17072770:-1 gene:ORUFI05G17190 transcript:ORUFI05G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLLATVADATAAGNVMVSGSCREPNGCRRQQVEARKESDSEGGDWVRVRARRRRRKRATVTERRHGRR >ORUFI05G17200.1 pep chromosome:OR_W1943:5:17073891:17076374:-1 gene:ORUFI05G17200 transcript:ORUFI05G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGILLAAFLAAAAVLFALRLLHSFLWVPRRLERRFRRQGIRGPPRRPLSGNAAGYRDLLAAAQSAPLASFHHAVVARVAPHHREWPERYGRPFVFWLGPRPRLVVSDPEVVKAVLTDTTGTFGKAGPGGNNPLARQLFSEGLVGLTGEAWARHRRVIAPAFNMERVKAWIPEITSITSSMLDKWELQDEVRTEFEIDVNKEFHTLIADVISCVAFGSSYEEGKRVFQLQEEQLKLVILAMRTVYIPGFRFVPTKKNRRRKIVNQEIRNSLRKLIEINGRKCEDSNNLLGMMLSASKIDSEFKMGIEEIIDECKTFYFAGKETTATLLTWATLLLALHQEWQNKARDEVLQVCGKYEHPKAENLSELKIVNMVLKETLRLYPPAVFLNRIANRDVKLGKLDIPAGTQLQLPILDIHHDVSIWGADADEFDPSRFAEGKSYHLGAYFPFGIGPTICVGQNLAMVEAKVALAMILQRFALVVSPSYVHAPMLSHSNPSMVLKFLSIRFETSMDLKYGERFGRMFPARLNFLSEVESAGAMGAFSVYKQHLVPAEMYRK >ORUFI05G17210.1 pep chromosome:OR_W1943:5:17086322:17092565:1 gene:ORUFI05G17210 transcript:ORUFI05G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKGGRNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIAPQAEDEKDQVLWAGFDKLELHPSSFKHVLLVGYSNGFQVLDVEDAANVCELVSKRDGPVTFLQMQPTPVYSDGTEGFRTSHPMLLVVAGDETNGSGMVQGGRLSALIRDNSSETPNGNCISTPTVVRFYSLKSHSYVHVLRFRSAVYIVRCSPRIVAVALAAQVYCFDAVTLENKFSVLTYPLQGAPGINIGYGPMAVGPRWLAYASNSPLLSSTGRLSPQNLTPSPGVSPSTSPSSGSLVARYAMESSKQIAAGIINLGDMGYKTLSKYCQEFLPDGSGSPLSSSPGRRSGKLPSSVHPLEADNAGMVVIKDFISKEIISQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPTVIANSSGSIRYDWTASHVHLYKLYRGMTAAVIQDISFSHFSQWISIVSSRGTCHIFTLSPFGGDASLLPQNSHSDGLPLAPCQSRPWWSKPSFLMDHQLHPAPSTVTNSVVSRIKNSSSGWLNTVSNVAASASGKLSVPSGAVTAVFHNSNYEGSLPVPSKANAMEHLLVYSPSGHVIQHELLPSGSESSDSSPIVGPGSLQIQDDELHVTAEPTQWWDVCRRTNWPERDENIANIVFHNQRNSMMAMDASDCDSEHSDSVPSDGISGKEMMRSRERSSWYLSNAEIFFYVIDQPPAKSGESLSSSGGEIEIEKLPLHEVELRRRELLPVFKQFHYSEQNFSDRNLAIGRFQNALTYIDKDNGAHGSKAGFPISGFYSDMRKMQNMNGLEGQLLLEPITNDLQPMEKCNSVQSPKVANFTALHNVDNESMNHVSTATGATTSVTTVDTLPSTIRPLSSYSLLDGSLDDGLPSPASNVSFRPQITNNSSVSNGTMTDISNGCLTSINSGQNEASDSHNSVEFTQYFQEGYCKISELDDCRELTEAVTDADSSSSHCEREKPEEDGDNDDMLGAVFAFSEEG >ORUFI05G17220.1 pep chromosome:OR_W1943:5:17094067:17102046:1 gene:ORUFI05G17220 transcript:ORUFI05G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGEVVEEEEEEVTAAPAPAAMVAAAEFGLTAEVMAVLPEDPFEQLDVARKITSIALASRLGRLEAEGARLRAQLAERDAAAEDLSERVEQLDAALAVATGRLRRAEEEKEALQRDNSLLSNTVRRLNRDVAKLEVFKKTLMQSLQEDEDPANTTPKARVSETSNFSSATSVGDEDSAFPVSKSSQLSETASSVSEESSHVEPDVPRPPRPHVFLPSYNSTPRVTPPDSPPRSFASISPPRRHSISITSRNLFDDRSSAYSGHSSVTSPFDAGSHTGRTRVDGKEFFRQVRNRLSYEQFSAFLANVKELNSHKQTREDTLRKADEIFGPDNKDLYTIFEGLITRNIH >ORUFI05G17230.1 pep chromosome:OR_W1943:5:17098108:17123346:-1 gene:ORUFI05G17230 transcript:ORUFI05G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGTKMTPLRRAATAVLVVVLAVVVAAAAAAKPRRILVDTDMDTDDLFALLYLLKQNRSEFELKRMRSPVGWGAGAATTTATRQCHRRAPVDGARRRVDSGGIRGRVAMPRAAAGRGSKCGGDTTAAAAGEFKLGGDGALHLSPWIRRRIPYRGICASGWDTRGNWPEFSALPRATGELLDKFFVGLCKKSMAVTIDVNAWTDAGHAVNHLYDMLYMMGRDDIPVGVGGDGGISGHGTIHPNVGGYLPLIDQGMKTFGPCRYRQAIPLEGGGRLDIDTNSGIRKGFLPQGNRRYIPLHQSTAQQVLIETISAGPTTVMLIGSHTNFAIFLMTHPHLKKNVEHIYIMGGGVRSENPTGCCPKNSTTSCTPQQCGDHGNLFTSYRTNPNAEFNMFADPFAAYQVFHSGIAITLVPLDATNTIPVNEEFFYAFQHQQSTYEAQYCFDSLKMARDTWFNDEFYTSYFMWDSFTSGVALSSMRNDNNCQSENDFAELKYMNITVITSNKPYGIHDGSNPLFDDHVIPKFGLQKGGVHSGHVQTGITDSFCLAKESKKGRCEDGYTKEESGPEAVRVCVATKAKVNVDKSSLLDREFFKSFLETLNLPENTGLFNITAQFPFYREVLYSPDFTNKSRGKPVIFDMDMSPGDFISLIYLLKVPTELIDLKGILVSGNGWANVASIDIVYDILHMMGRDDIPVGRGSTSALGTESLGCKYVSAIPQGSGGLLDSDTLYGLARSLPRSPRRYTAENSVKYGAPRDTDHPELRQPLAFEVWQFVKHQLDPNEKITILTNGPLTNLANIVLSDRNASSVIKSVYVVGGHIRDDSNTKGNVFSVPSNTYAEFNIFLDPLAAKTVLDSTLDITLIPLRAQRKAASFHALLEALKHAETPESRFVHHLLTLLHDLQQKHQLYHHMDMFLGELLGAVSLVEGSNIKQSLQRKPISIVANSTTSIDGQTVVDNQSANLVKVLLDFNSEEYYKRVANSLGDKERSAVISGFAEQRAIWSNPPENGGVQCGCGQPDRLHHYLPDWGGLHHPRSPDGCCHCQPAPPPINALQLPTHSEAGRRSIKRRTGQLAKLILLFLLLLLLLILVDTDMDTDDLFALLYLLKQNRSEFDVKAITINANEWSDAGHAVNHLYDLLHMMGRDDIPVGVGGDGGVSDSGALRGPDVGGYLPLIDQGTSTAGGCRYRQAVPAGRGGRLDVDTNSGVRRGFLPQGRRRYRPVTQPTAQRVMADTVSGGPTTVLLFGAHTNLALLLMAHPRLARNIDRVYVSGGAVRAADPAGNLFTAFATNPFAEFNIFGDPFAAYQVIHSGIPITMIPLDATNTISVTEEFVSEFQQHQQTYEAQYCFQSLDKSYYMWDSFAAGVALSSMRNGEVDGENEFSELEYMNITVITSNKPYGKRDGSNPFFDGRATPKLGLKEGGVHSGHVQTGIRDSFCLVPGSNRGRCEDGYTREVSGPEGVRVRVATRAKPNTDKNSSLENEFSKSFLEVLNRPEQTGLFNINTQFPYYREVLYKPVFRNVSRGKPVIFDMDMSPGDFVSLIYLLKTPIEVIDLKAVLVNGNGWANIASIDIVYDILHMMGRDDIPVGLGNTTALGIPTLGCNNSYAIPHGSGGFIDSDTLYGLARSLPRSPRRYAPESLDHPEDRQPLALEVWQSVRKQLDPGEKITVLTNGPLTNMANISLSDRDASSVIERVYVVGGLIKDGGDENGNLFTVPSNKHAEFNIFLDPLAAKTVLESDLKIALIPLTAQRKAASFRAVLAALEDIQHTHESKFVHELLSLLQEFQIKQKLYHHLDIFLGEILGAVYMVEGSGLKPSVELKPVSVIANTNKSTDGQIVISKNSAKLVRVLSDFDGEIYSKQLANSLANKRQSAVIGSFEEQKAIWSRPVNSSGNIKKQK >ORUFI05G17230.2 pep chromosome:OR_W1943:5:17098108:17123346:-1 gene:ORUFI05G17230 transcript:ORUFI05G17230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGTKMTPLRRAATAVLVVVLAVVVAAAAAAKPRRILVDTDMDTDDLFALLYLLKQNRSEFELKRMRSPVGWGAGAATTTATRQCHRRAPVDGARRRVDSGGIRGRVAMPRAAAGRGSKCGGDTTAAAAGEFKLGGDGALHLSPWIRRRIPYRGICASGWDTRGNWPEFSALPRATGELLDKFFVGLCKKSMAVTIDVNAWTDAGHAVNHLYDMLYMMGRDDIPVGVGGDGGISGHGTIHPNVGGYLPLIDQGMKTFGPCRYRQAIPLEGGGRLDIDTNSGIRKGFLPQGNRRYIPLHQSTAQQVLIETISAGPTTVMLIGSHTNFAIFLMTHPHLKKNVEHIYIMGGGVRSENPTGCCPKNSTTSCTPQQCGDHGNLFTSYRTNPNAEFNMFADPFAAYQVFHSGIAITLVPLDATNTIPVNEEFFYAFQHQQSTYEAQYCFDSLKMARDTWFNDEFYTSYFMWDSFTSGVALSSMRNDNNCQSENDFAELKYMNITVITSNKPYGIHDGSNPLFDDHVIPKFGLQKGGVHSGHVQTGITDSFCLAKESKKGRCEDGYTKEESGPEAVRVCVATKAKVNVDKSSLLDREFFKSFLETLNLPENTGLFNITAQFPFYREVLYSPDFTNKSRGKPVIFDMDMSPGDFISLIYLLKVPTELIDLKGILVSGNGWANVASIDIVYDILHMMGRDDIPVGRGSTSALGTESLGCKYVSAIPQGSGGLLDSDTLYGLARSLPRSPRRYTAENSVKYGAPRDTDHPELRQPLAFEVWQFVKHQLDPNEKITILTNGPLTNLANIVLSDRNASSVIKSVYVVGGHIRDDSNTKGNVFSVPSNTYAEFNIFLDPLAAKTVLDSTLDITLIPLRAQRKAASFHALLEALKHAETPESRFVHHLLTLLHDLQQKHQLYHHMDMFLGELLGAVSLVEGSNIKQSLQRKPISIVANSTTSIDGQTVVDNQSANLVKVLLDFNSEEYYKRVANSLGDKERSAVISGFAEQRAIWSNPPENGGVQCGCGQPDRLHHYLPDWGGLHHPRSPDGCCHCQPAPPPINALQLPTHSEAGRRSIKRRTGQLAKLILLFLLLLLLLILVDTDMDTDDLFALLYLLKQNRSEFDVKAITINANEWSDAGHAVNHLYDLLHMMGRDDIPVGVGGDGGVSDSGALRGPDVGGYLPLIDQGTSTAGGCRYRQAVPAGRGGRLDVDTNSGVRRGFLPQGRRRYRPVTQPTAQRVMADTVSGGPTTVLLFGAHTNLALLLMAHPRLARNIDRVYVSGGAVRAADPAGNLFTAFATNPFAEFNIFGDPFAAYQVIHSGIPITMIPLDATNTISVTEEFVSEFQQHQQTYEAQYCFQSLDKSYYMWDSFAAGVALSSMRNGEVDGENEFSELEYMNITVITSNKPYGKRDGSNPFFDGRATPKLGLKEGGVHSGHVQTGIRDSFCLVPGSNRGRCEDGYTREVSGPEGVRVRVATRAKPNTDKNSSLENEFSKSFLEVLNRPEQTGLFNINTQFPYYREVLYKPVFRNVSRGKPVIFDMDMSPGDFVSLIYLLKTPIEVIDLKAVLVNGNGWANIASIDIVYDILHMMGRDDIPVGLGNTTALGIPTLGCNNSYAIPHGSGGFIDSDTLYGLARSLPRSPRRYAPESLDHPEDRQPLALEVWQSVRKQLDPGEKITVLTNGPLTNMANISLSDRDASSVIEFVMSSSVVVNLNKQPFPMQRVYVVGGLIKDGGDENGNLFTVPSNKHAEFNIFLDPLAAKTVLESDLKIALIPLTAQRKAASFRAVLAALEDIQHTHESKFVHELLSLLQEFQIKQKLYHHLDIFLGEILGAVYMVEGSGLKPSVELKPVSVIANTNKSTDGQIVISKNSAKLVRVLSDFDGEIYSKQLANSLANKRQSAVIGSFEEQKAIWSRPVNSSGNIKKQK >ORUFI05G17230.3 pep chromosome:OR_W1943:5:17098108:17123346:-1 gene:ORUFI05G17230 transcript:ORUFI05G17230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGTKMTPLRRAATAVLVVVLAVVVAAAAAAKPRRILVDTDMDTDDLFALLYLLKQNRSEFELKAVTIDVNAWTDAGHAVNHLYDMLYMMGRDDIPVGVGGDGGISGHGTIHPNVGGYLPLIDQGMKTFGPCRYRQAIPLEGGGRLDIDTNSGIRKGFLPQGNRRYIPLHQSTAQQVLIETISAGPTTVMLIGSHTNFAIFLMTHPHLKKNVEHIYIMGGGVRSENPTGCCPKNSTTSCTPQQCGDHGNLFTSYRTNPNAEFNMFADPFAAYQVFHSGIAITLVPLDATNTIPVNEEFFYAFQHQQSTYEAQYCFDSLKMARDTWFNDEFYTSYFMWDSFTSGVALSSMRNDNNCQSENDFAELKYMNITVITSNKPYGIHDGSNPLFDDHVIPKFGLQKGGVHSGHVQTGITDSFCLAKESKKGRCEDGYTKEESGPEAVRVCVATKAKVNVDKSSLLDREFFKSFLETLNLPENTGLFNITAQFPFYREVLYSPDFTNKSRGKPVIFDMDMSPGDFISLIYLLKVPTELIDLKGILVSGNGWANVASIDIVYDILHMMGRDDIPVGRGSTSALGTESLGCKYVSAIPQGSGGLLDSDTLYGLARSLPRSPRRYTAENSVKYGAPRDTDHPELRQPLAFEVWQFVKHQLDPNEKITILTNGPLTNLANIVLSDRNASSVIKSVYVVGGHIRDDSNTKGNVFSVPSNTYAEFNIFLDPLAAKTVLDSTLDITLIPLRAQRKAASFHALLEALKHAETPESRFVHHLLTLLHDLQQKHQLYHHMDMFLGELLGAVSLVEGSNIKQSLQRKPISIVANSTTSIDGQTVVDNQSANLVKVLLDFNSEEYYKRVANSLGDKERSAVISGFAEQRAIWSNPPENGGVQCGCGQPDRLHHYLPDWGGLHHPRSPDGCCHCQPAPPPINALQLPTHSEAGRRSIKRRTGQLAKLILLFLLLLLLLILVDTDMDTDDLFALLYLLKQNRSEFDVKAITINANEWSDAGHAVNHLYDLLHMMGRDDIPVGVGGDGGVSDSGALRGPDVGGYLPLIDQGTSTAGGCRYRQAVPAGRGGRLDVDTNSGVRRGFLPQGRRRYRPVTQPTAQRVMADTVSGGPTTVLLFGAHTNLALLLMAHPRLARNIDRVYVSGGAVRAADPAGNLFTAFATNPFAEFNIFGDPFAAYQVIHSGIPITMIPLDATNTISVTEEFVSEFQQHQQTYEAQYCFQSLDKSYYMWDSFAAGVALSSMRNGEVDGENEFSELEYMNITVITSNKPYGKRDGSNPFFDGRATPKLGLKEGGVHSGHVQTGIRDSFCLVPGSNRGRCEDGYTREVSGPEGVRVRVATRAKPNTDKNSSLENEFSKSFLEVLNRPEQTGLFNINTQFPYYREVLYKPVFRNVSRGKPVIFDMDMSPGDFVSLIYLLKTPIEVIDLKAVLVNGNGWANIASIDIVYDILHMMGRDDIPVGLGNTTALGIPTLGCNNSYAIPHGSGGFIDSDTLYGLARSLPRSPRRYAPESLDHPEDRQPLALEVWQSVRKQLDPGEKITVLTNGPLTNMANISLSDRDASSVIERVYVVGGLIKDGGDENGNLFTVPSNKHAEFNIFLDPLAAKTVLESDLKIALIPLTAQRKAASFRAVLAALEDIQHTHESKFVHELLSLLQEFQIKQKLYHHLDIFLGEILGAVYMVEGSGLKPSVELKPVSVIANTNKSTDGQIVISKNSAKLVRVLSDFDGEIYSKQLANSLANKRQSAVIGSFEEQKAIWSRPVNSSGNIKKQK >ORUFI05G17230.4 pep chromosome:OR_W1943:5:17098108:17118788:-1 gene:ORUFI05G17230 transcript:ORUFI05G17230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDDIPVGVGGDGGISGHGTIHPNVGGYLPLIDQGMKTFGPCRYRQAIPLEGGGRLDIDTNSGIRKGFLPQGNRRYIPLHQSTAQQVLIETISAGPTTVMLIGSHTNFAIFLMTHPHLKKNVEHIYIMGGGVRSENPTGCCPKNSTTSCTPQQCGDHGNLFTSYRTNPNAEFNMFADPFAAYQVFHSGIAITLVPLDATNTIPVNEEFFYAFQHQQSTYEAQYCFDSLKMARDTWFNDEFYTSYFMWDSFTSGVALSSMRNDNNCQSENDFAELKYMNITVITSNKPYGIHDGSNPLFDDHVIPKFGLQKGGVHSGHVQTGITDSFCLAKESKKGRCEDGYTKEESGPEAVRVCVATKAKVNVDKSSLLDREFFKSFLETLNLPENTGLFNITAQFPFYREVLYSPDFTNKSRGKPVIFDMDMSPGDFISLIYLLKVPTELIDLKGILVSGNGWANVASIDIVYDILHMMGRDDIPVGRGSTSALGTESLGCKYVSAIPQGSGGLLDSDTLYGLARSLPRSPRRYTAENSVKYGAPRDTDHPELRQPLAFEVWQFVKHQLDPNEKITILTNGPLTNLANIVLSDRNASSVIKSVYVVGGHIRDDSNTKGNVFSVPSNTYAEFNIFLDPLAAKTVLDSTLDITLIPLRAQRKAASFHALLEALKHAETPESRFVHHLLTLLHDLQQKHQLYHHMDMFLGELLGAVSLVEGSNIKQSLQRKPISIVANSTTSIDGQTVVDNQSANLVKVLLDFNSEEYYKRVANSLGDKERSAVISGFAEQRAIWSNPPENGGVQCGCGQPDRLHHYLPDWGGLHHPRSPDGCCHCQPAPPPINALQLPTHSEAGRRSIKRRTGQLAKLILLFLLLLLLLILVDTDMDTDDLFALLYLLKQNRSEFDVKAITINANEWSDAGHAVNHLYDLLHMMGRDDIPVGVGGDGGVSDSGALRGPDVGGYLPLIDQGTSTAGGCRYRQAVPAGRGGRLDVDTNSGVRRGFLPQGRRRYRPVTQPTAQRVMADTVSGGPTTVLLFGAHTNLALLLMAHPRLARNIDRVYVSGGAVRAADPAGNLFTAFATNPFAEFNIFGDPFAAYQVIHSGIPITMIPLDATNTISVTEEFVSEFQQHQQTYEAQYCFQSLDKSYYMWDSFAAGVALSSMRNGEVDGENEFSELEYMNITVITSNKPYGKRDGSNPFFDGRATPKLGLKEGGVHSGHVQTGIRDSFCLVPGSNRGRCEDGYTREVSGPEGVRVRVATRAKPNTDKNSSLENEFSKSFLEVLNRPEQTGLFNINTQFPYYREVLYKPVFRNVSRGKPVIFDMDMSPGDFVSLIYLLKTPIEVIDLKAVLVNGNGWANIASIDIVYDILHMMGRDDIPVGLGNTTALGIPTLGCNNSYAIPHGSGGFIDSDTLYGLARSLPRSPRRYAPESLDHPEDRQPLALEVWQSVRKQLDPGEKITVLTNGPLTNMANISLSDRDASSVIERVYVVGGLIKDGGDENGNLFTVPSNKHAEFNIFLDPLAAKTVLESDLKIALIPLTAQRKAASFRAVLAALEDIQHTHESKFVHELLSLLQEFQIKQKLYHHLDIFLGEILGAVYMVEGSGLKPSVELKPVSVIANTNKSTDGQIVISKNSAKLVRVLSDFDGEIYSKQLANSLANKRQSAVIGSFEEQKAIWSRPVNSSGNIKKQK >ORUFI05G17230.5 pep chromosome:OR_W1943:5:17118845:17123346:-1 gene:ORUFI05G17230 transcript:ORUFI05G17230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGTKMTPLRRAATAVLVVVLAVVVAAAAAAKPRRILVDTDMDTDDLFALLYLLKQNRSEFELKRMRSPVGWGAGAATTTATRQCHRRAPVDGARRRVDSGGIRGRVAMPRAAAGRGSKCGGDTTAAAAGEFKLGGDGALHLSPWIRRRIPYRGICASGWDTRGNWPEFSALPRATGECAEWRSPST >ORUFI05G17240.1 pep chromosome:OR_W1943:5:17133855:17136961:1 gene:ORUFI05G17240 transcript:ORUFI05G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGNAVGCVARKEGTRRDKQAAGTSSSPPPGGRLVATTASGSRMGGGTSARWRRLRRGAWRPTAGERSWAQQAKMGGRLIPAAATHARGEREARAEGDVLGGGGGEAGIPTRDIPVDLVGAKLLPHQPRTPVARRPSPVDSTKFISAWHGPPQNASAASESPMAHRTPSAPSGQACTAPGTRYDGPGNDVFSVSFLTMEGCCCLVCDGDGDMHLDGAHDGQSPVGRRRTAPETASVRKPTTWVELGASPVASEQPKRTCRAEDAVAGLMALSNGQIQGAGVDKDSNSGDVVCRVKRTEYSPPIEGEYVDTGDP >ORUFI05G17250.1 pep chromosome:OR_W1943:5:17148546:17149727:1 gene:ORUFI05G17250 transcript:ORUFI05G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLARLGVIVVLWAVVAEGILDPVDFLALQAVRRSLDDMPGSSFFEAWDFTADPCGFPGVFCDGNKVSALALGDPRAGSPGLSGRLDQALGRLSALTELSLVPGRVEGELPESLSSCRNLRFLAVSKNLISGQIPDGLGGLSNLRTLDVSFNQISGTIPASIATLPSITNLILCHNHLTGGIPSFPDSSPLIRLDLKHNDLSGGVPNLPSTLQYLSLSANRLTGTVDSVLPRLTRLNYLDLSMNQLDGPIPASVFTLPLSVLQLQRNFFSGLLQPANDVTIQVVDLSYNRFWGPVSPLLAGVGQLYLNNNRFTGEVPARLVQELVGSGGLQVLYLQHNFLTGIEISPASSLPSSVSLCLMYNCMVPPVYAPCPLKAGSTNTRPADQCPEWRG >ORUFI05G17260.1 pep chromosome:OR_W1943:5:17156778:17158163:1 gene:ORUFI05G17260 transcript:ORUFI05G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTGRAAAVGSSSLTRGCARGDQRERDRQRAQARKPVVKGRDDGLTPEQRRERDAKALQEKAARKAAQATGQGGNGGAGAKGKSGGKK >ORUFI05G17270.1 pep chromosome:OR_W1943:5:17158648:17165245:-1 gene:ORUFI05G17270 transcript:ORUFI05G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGMSRMEEEYSEHEDQNNVDGPEIVDRMDSRAYVSQFSADGSLFVAGFQGSHIRIYDVDKGWKVHRDIHARSLRWTISDASLSPDQQFLVYSSLAPIIHIVNVGTAAKQSYANITDIHDGLDFSQHEDVRYTFGIFSVKFSSDGRELVAGSNDDSIYVYDLVANKLTLRLPAHHSDVNTVAFADETGHLIYSGSDDNLCKVWDRRCLSTEEPAGVLTGHLHGITHIDSRGDGRCFISNGKDQAIKMWDIRKMTSNADSYEDRTSNWDYRYSRYPQQYKQLKHPHDQSIATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSYDSSVCIYDVVSGSQVAKLKGYHQLAIRDCSWHPFDPMLVSSSWDGRVAKWSRSSCQQEETTDLD >ORUFI05G17270.2 pep chromosome:OR_W1943:5:17158648:17165245:-1 gene:ORUFI05G17270 transcript:ORUFI05G17270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGMSRMEEEYSEHEDQNNGGSNSQVNNEFLNTHNDIFHMTQIRSGPSESLRKSIVDGPEIVDRMDSRAYVSQFSADGSLFVAGFQGSHIRIYDVDKGWKVHRDIHARSLRWTISDASLSPDQQFLVYSSLAPIIHIVNVGTAAKQSYANITDIHDGLDFSQHEDVRYTFGIFSVKFSSDGRELVAGSNDDSIYVYDLVANKLTLRLPAHHSDVNTVAFADETGHLIYSGSDDNLCKVWDRRCLSTEEPAGVLTGHLHGITHIDSRGDGRCFISNGKDQAIKMWDIRKMTSNADSYEDRTSNWDYRYSRYPQQYKQLKHPHDQSIATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSYDSSVCIYDVVSGSQVAKLKGYHQLAIRDCSWHPFDPMLVSSSWDGRVAKWSRSSCQQEETTDLD >ORUFI05G17270.3 pep chromosome:OR_W1943:5:17158648:17165245:-1 gene:ORUFI05G17270 transcript:ORUFI05G17270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGMSRMEEEYSEHEDQNNGGSNSQVNNEFLNTHNDIFHMTQIRSGPSESLRKSIGTSKDVISTTRLLSGREINSSGNGKFSSVDRAFLLGRYLPVDGPEIVDRMDSRAYVSQFSADGSLFVAGFQGSHIRIYDVDKGWKVHRDIHARSLRWTISDASLSPDQQFLVYSSLAPIIHIVNVGTAAKQSYANITDIHDGLDFSQHEDVRYTFGIFSVKFSSDGRELVAGSNDDSIYVYDLVANKLTLRLPAHHSDVNTVAFADETGHLIYSGSDDNLCKVWDRRCLSTEEPAGVLTGHLHGITHIDSRGDGRCFISNGKDQAIKMWDIRKMTSNADSYEDRTSNWDYRYSRYPQQYKQLKHPHDQSIATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSYDSSVCIYDVVSGSQVAKLKGYHQLAIRDCSWHPFDPMLVSSSWDGRVAKWSRSSCQQEETTDLD >ORUFI05G17280.1 pep chromosome:OR_W1943:5:17165315:17166629:-1 gene:ORUFI05G17280 transcript:ORUFI05G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPKSPVRPSCRLSPGRKSPARPSWAHSAALLSVKTPAVVTVGPTCKSNGKWEKKNLSYPTDSEKSGDERDRENTRLSSSSSPFSTGSCGSGGRATRGSPKSAQN >ORUFI05G17290.1 pep chromosome:OR_W1943:5:17180653:17183896:1 gene:ORUFI05G17290 transcript:ORUFI05G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDEVNRNECKTVVPLHTWVLISNFKLSYNILRRADGTFERDLGEYLDRRVPANARPLEGVSSFDHIIDQSVGLEVRIYRAAAEGDAEEGAAAVTRPILEFLTDAPAAEPFPVIIFFHGGSFVHSSASSTIYDSLCRRFVKLSKGVVVSVNYRRAPEHRYPCAYDDGWTALKWVMSQPFMRSGGDAQARVFLSGDSSGGNIAHHVAVRAADEGVKVCGNILLNAMFGGTERTESERRLDGKYFVTLQDRDWYWKAYLPEDADRDHPACNPFGPNGRRLGGLPFAKSLIIVSGLDLTCDRQLAYADALREDGHHVKVVQCENATVGFYLLPNTVHYHEVMEEISDFLNANLYY >ORUFI05G17300.1 pep chromosome:OR_W1943:5:17215350:17220493:-1 gene:ORUFI05G17300 transcript:ORUFI05G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYMHSGLADRCIEAFHTLERDPRCGPPNADSYNILIALFGRSLLVDHMEATLLSLDASGHPRTIGTYNAIIAGYLTAWMWEKMESVFDEMVSGHVAPDKTTYLLMLRGYAHAGMIYKMELAYERARQHVGQVDMVHIRAMICAYCKFKHVDRIQKIEELLQKLGPDDYRPWLAVLLIRAYAQEGLVERMEQWIAEALERNTIVTTVQVMRSIITSYFQCDAVDKLAHFIRQAEEAGWKLCRSLYHCKMVMYGKQHRLPEMHGVLDEMEFFRFDRTKKTFWIMYKAYVSSGRMAEANTILCMMGKHGFGFPRGGPGGTHMFHFPTN >ORUFI05G17300.2 pep chromosome:OR_W1943:5:17217086:17220493:-1 gene:ORUFI05G17300 transcript:ORUFI05G17300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYMHSGLADRCIEAFHTLERDPRCGPPNADSYNILIALFGRSLLVDHMEATLLSLDASGHPRTIGTYNAIIAGYLTAWMWEKMESVFDEMVSGHVAPDKTTYLLMLRGYAHAGMIYKMELAYERARQHVGQVDMVHIRAMICAYCKFKHVDRIQKIEELLQKLGPDDYRPWLAVLLIRAYAQEGLVERMEQWIAEALERNTIVTTVQVMRSIITSYFQCDAVDKLAHFIRQAEEAGWKLCRSLYHCKMVMYGKQHRLPEMHGVLDEMEFFRFDRTKKTFWIMYKAYVSSGRMAEANTILCMMGKHGFGFPRGGTGADVEEASDGIGGGDVSGVRDSPVLGVDSSEVAAPYLRQCGRSQRQRRWRLVEGEVMGMALTWAAGKTALTAPNQIGSRGACMDGGAQCDTRRKCPAKKRRRLGGEDHTDI >ORUFI05G17310.1 pep chromosome:OR_W1943:5:17221256:17221654:1 gene:ORUFI05G17310 transcript:ORUFI05G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQEMTTRSSLPDRLISFSTATPSSSPPLPTVAARLLVRWRLIGWLWGAGDAGEGSERDVPGGRSGGGAAANPRGFVNLLCKQLDHLGNARFDPALFRVDAYDNVLYLHADTASPLAWDIDHWFPAPIRNT >ORUFI05G17320.1 pep chromosome:OR_W1943:5:17235776:17245177:1 gene:ORUFI05G17320 transcript:ORUFI05G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPGGGGGGGGVDAGEPVWDWGNLLDFAVHDDDSLVLPWGDDSIGIEADPAEAALLPPAPSPQPAEAEAEAAGPASLPSSMQAEGSKRRVRKRDPRLVCPNYLAGRVPCACPEIDEMAAALEVEDVATELLAGARKKPKGAGRGSGAAVGGSGGGASRGTPAEMKCQVPGCEADIRELKGYHRRHRVCLRCAHAAAVMLDGVQKRYCQQCGKFHILLDFDEDKRSCRRKLERHNKRRRRKPDSKGILEKDIDDQLDFSADGSGDGELREENIDVTTSETLETVLSNKVLDRETPVGSDDVLSSPTCAQPSLQIDQSKSLVTFAASVEACLGTKQENTKLTNSPVHDTKSTYSSSCPTGRVSFKLYDWNPAEFPRRLRHQIFEWLSSMPVELEGYIRPGCTILTVFVAMPQHMWDKLSEDTGNLVKSLVNAPNSLLLGKGAFFIHVNNMIFQVLKDGATLTSTRLEVQSPRIHYVHPSWFEAGKPIDLILCGSSLDQPKFRSLVSFDGLYLKHDCRRILSHETFDCIGSGEHILDSQHEIFRINITTSKLDTHGPAFVEVENMFGLSNFVPILVGSKHLCSELEQIHDALCGSSDISSDPCELRGLRQTAMLGFLIDIGWLIRKPSIDEFQNLLSLANIQRWICMMKFLIQNDFINVLEIIVNSLDNIIGSELLSNLEKGRLENHVTEFLGYVSEARNIVDNRPKYDKQRQVDTRWAGDYAPNQPKLGISVPLAESTGTSGEHDLHSTNAASGEEENMPLVTKALPHRQCCHPETSARWLNAASIGAFPGGAMRMRLATTVVIGAVVCFAACVVLFHPHRVGVLAAPVKRYLSRNYSS >ORUFI05G17330.1 pep chromosome:OR_W1943:5:17247449:17250437:-1 gene:ORUFI05G17330 transcript:ORUFI05G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFILSLLELGVSATVHLLFGLYVFSTAVAADISQAAAASGCLLLRRPAAPGLVNVAAAGEEEERRGAAPVVLDGSPPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDDRVLVPDLGSLTSIHDRARELFYYLKGGQVDYGEEHSKVVRVLHQMLADKAFPGHDTSEDWVLSLTSLSGALNGTTRTYYDGMLAEDGRSMKSICLLQLCRIGVIVYDWLDIPWLKNYYNFGFDHFEMSWRKVGLSGLIDLLLGHTGPFASGDWILPDLTIQGSLTLNSTLRTFPNTFYFSYATKRTRKLFGITVPSSVLGIHPMLFLRVLQMCMWRHPQNAPLPYKGYRDEDWEDNDGALNTISMTHPRIPTEHPNRLVVDDSDCHPLQPGIWYYKIIEADHILFIVNRERAGVQFDLLYDGIFQRCRKHAFRRSPPTVPNESSQSQ >ORUFI05G17340.1 pep chromosome:OR_W1943:5:17267345:17268577:1 gene:ORUFI05G17340 transcript:ORUFI05G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRAGARARGNRDRMGRRNKAERKTTTQSQRDTSHDRSHPSFRRRSFLPAPHHAIDVDVAYIHLMQHVVSLPMRGRMLVSPTDETGSDKQSNVTYGIHQSMPITLITTSAK >ORUFI05G17350.1 pep chromosome:OR_W1943:5:17268387:17269076:-1 gene:ORUFI05G17350 transcript:ORUFI05G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSKAELLGRVLIRSLLLLLPALSPDGSHHLLRIPATDLDAAILLLAMCDSYSPPAAASSSSPSCSSPVDWHALLIDDAVGSALSISGLGATPWASLAPYVDAAAKCRRFADVVSQERVAVGGGKDGEWRGGASYAAVLAMPPAAGDGAPCAICREEMVRRGGGGVCALRPCGHRFHWHCALRWLARRNTCPCCRAELPAEDARAETRRLWRAVERMAAGDDSVGCA >ORUFI05G17360.1 pep chromosome:OR_W1943:5:17272465:17276555:-1 gene:ORUFI05G17360 transcript:ORUFI05G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTFSISRGGFVGALPQEGHFAPAAAELSLHKLQSRPHKARRRSSSSISASLSTEREAAEYHSQRPPTPLLDTVNYPIHMKNLSLKELQQLADELRSDVIFHVSKTGGHLGSSLGVVELTVALHYVFNTPQDKILWDVGHQSYPHKILTGRRDKMPTMRQTNGLSGFTKRSESEYDSFGTGHSSTTISAALGMAVGRDLKGGKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPAPPVGALSSALSKLQSSRPLRELREVAKGVTKQIGGSVHELAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNIDDLITILREVKSTKTTGPVLIHVVTEKGRGYPYAERAADKYHGVAKFDPATGKQFKSPAKTLSYTNYFAEALIAEAEQDNRVVAIHAAMGGGTGLNYFLRRFPNRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPSDEAELCHMVATAAAIDDRPSCFRYPRGNGIGVPLPPNYKGVPLEVGKGRVLLEGERVALLGYGSAVQYCLAAASLVERHGLKVTVADARFCKPLDQALIRRLASSHEVLLTVEEGSIGGFGSHVAQFMALDGLLDGKLKWRPLVLPDRYIDHGSPADQLAEAGLTPSHIAATVFNVLGQAREALAIMTVPNA >ORUFI05G17370.1 pep chromosome:OR_W1943:5:17282817:17286215:-1 gene:ORUFI05G17370 transcript:ORUFI05G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENMFRANRIQQGDRRLKMPSASKSKSKDRSAAKAPKEQPKVAAKPMGNGTVASAYNNFSGKFHLLEPSSSLLVSQGNDKLRNTDEIDEHSRSSHGTGDFDCASNNGSCSGESEDPKEKSTSTAPRVDSVPGCDVDKREKIRQKNEKKHQRQKERRAQELHERCKGYLMSRKLEALAQKLVAMGFSSDQATMALIQNEGCLEESVAWLCSFDGSEEAKQQTAADQQPGVNLKIDITDELAKIATLEVKFKCTKQEIERAVVSSEGDLEKAEEVLKTQKQESTATASKPEGSGDSSGLANKAQLMLAQNPTRPQTNGFSSVGAQQMRRDEKDLNYKLLLNGSGPKEHAVKGFQPLAPSVKPEMGRPQFVQPEKRRLNANSVPSVSYITSSPLPVAAPQIKSETRHVAGGNEVKNAMHNGNLRESVVVMQRPQSAAAKQSLPSTSHSMFASEPPREWYLNGASGVDMMLNGGLGHGLRNMSLDGVNSSKQFVHANHQQSFVSNPIDLAANGWGGTWGSGGTSSSLGAASSLGLFRGWSSSESSSSLSRPDWRTNGPSPCDYTSIDWSLDTTLLNPAAKSEWLSDTWSTMFMGGRSTRPSGNLGGPGIAGLHESNFPMDPSPSPRPYDWPSFCRGGSS >ORUFI05G17380.1 pep chromosome:OR_W1943:5:17291070:17292415:1 gene:ORUFI05G17380 transcript:ORUFI05G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAHPSLAALLALCAFAAVERPPAGVGAANVPITTCRSFCGNITVDYPFALRAGCGHAGFRELLYCINGALMLHLPSGSYRVLDIDYAYRGLTLHDPAMSDCRALDRSRGGRGNGFVVEPWRAPYLAPDPDNVFLLLGCRASSPLFQGFPDRHLPCRNVSGMGCGEYYGCPAWDDYGGRRPSGAAYGAAAPPECCAVSWDAIRAVNVSRLECEGYSSAYSLAPVRAAGPAGWAYGIRVSWALPEANRGFCGACRATGGVCGHDGDSHGDLCLCGDWNSTSNCDSSADAARPNAASAAPRAIVALCLGVLASGFSFL >ORUFI05G17390.1 pep chromosome:OR_W1943:5:17295174:17296135:1 gene:ORUFI05G17390 transcript:ORUFI05G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDVMRISSKVLEGEKGVRAFEELLKSQDTLEEEELYMMNNGISEEAAKTFYELIPSTEKLKVLHFHNNMMGDEGAMFVAEMNKGILAIVNTRKQLTPQIEVLEMARNKINAKEAQALAECLTTLQSLKKLTLAENGLKDDGAVVIAKALEDGHRDLKELDVSKEYVAEDGSSNDPERDLDDDGKEEEDDGECDSKLQVLKVE >ORUFI05G17400.1 pep chromosome:OR_W1943:5:17297395:17301635:1 gene:ORUFI05G17400 transcript:ORUFI05G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRGFCCCSGAPAAAAAALLLLAVAAAAPRAAGFHLGGDESVLVRGMLAAIRREQAEAEDAARFAVAEYNKNQGAELEFARIVKAKRQVVTGTLHDLMLEVVDSGKKSLYSAKVWVKPWLDFKAVVEFRHVGDSQSQSATAADGNAGQDTADPTVASRNDLHNTENNKVSVDLSTFSQTYSV >ORUFI05G17410.1 pep chromosome:OR_W1943:5:17302414:17307614:1 gene:ORUFI05G17410 transcript:ORUFI05G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPPRVSCGSLLQELQVLWGQIGQNEAERDRMILQLEEDCLNVYRKKVEQTRKQKEDLIEDLSFGELDIEKILSALGERESFSRVEKLGGTLLEQLAKVEPVLEDLRRRRDERVEEFMVVQAQIVRLHAEISGTIENGDPVPPLVDETNLSLRRLAEFKSQLKELQTEKNLRLQKIDVQINCIHEICNMMSLDLKKELYDVHPSFVELGRTTSMSISDSTLERLAGKVHSLNQEKKQRLRKLQDLGSTLIELWNLMDTPTAEQKCFDHVTSLISVSPNTKMPQGCLARELIEKVEVEVKRLNCLKASKMKELVLKKMIELEEIYKSVHMDIDSDYERRILNDLIDSGKADLSDLLTGMDGRITKAREHALSRKEILDKVEKWTLASEEESWLDEYERDQNRYNAGRGAHKNLKRAEKARMLIRLLDSLEEYTSRRQQKDEEKRRSRELKKLQEQYAAEQGATFGTKPSPARPPSARKPLGQSSNANIISGTPTSRRVCTPMARKGGLSSGKVKEAGKTAFIPANYVALPKDCSDNSNL >ORUFI05G17420.1 pep chromosome:OR_W1943:5:17311362:17313036:-1 gene:ORUFI05G17420 transcript:ORUFI05G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQQQQQQRQATGESLMQKCKPYVAMVSLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATLSIAPFALVLERKVRPRMSFWVFLQIFVLALLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVIFRMEKVNLKKARCVAKVVGTLVTVAGAMLMTLYKGRAVEMVWTKHMHLHGPHQDAVAAAAADKDWLRGSIFLIIATLAWASLFILQAATLKRYDAPLSLTTLICFVGTLQAIVVTFAMEHSMSVWKIGFDMNLLAAAYAGIVTSSIAYYVQGLVMQSRGPVFASAFSPLMMIIVAIMGSFILAENIYLGGIIGSVLIVAGLYSVLWGKHTENAEKKEAEAMEIPVAIKGVDGNGRVMDIVELDEVQLEKAQVNGKAAAAAAHEHAAVVAVAVPAEEARMQGKDEA >ORUFI05G17430.1 pep chromosome:OR_W1943:5:17315908:17316455:-1 gene:ORUFI05G17430 transcript:ORUFI05G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFQICAQVWLATSLLADGLTIAGQALFASVFAKKDHYKMAVTTARVLQLAVVLGVGLTAFLATGMWFGSGVFTSDTAVISTIHKGVPFVAGTQTINTLAFVFDGEWRGMASIRIG >ORUFI05G17440.1 pep chromosome:OR_W1943:5:17341831:17342928:1 gene:ORUFI05G17440 transcript:ORUFI05G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARGVPPDHFTLPPVLRSCALTGSSALAASAHALALKIGAQGNLFVASALVLCYSGLSNLPDARRLFDGMRERDAVLWTSMLSAYAQGGHPEAALRFFQGMVAARVQLDAVVMVSSLLACGQIGWRRHGRSVHACCIRRLPGMPLSLGNALVDMYVKCGEFAFAERVFAGMPRRDVISWSALILGHGLNGRSDVALRLFDEMAAEGVRPNSVTFLGALSACAHSGMVDKAYAIFEGMKRHGVKPELKHYSCMADALGRDGRVVAAVKLIEEMPFEPDEAMLGGVLAACRVHGEMEAAERISKKLMGMSPSKSGYFMSLANIYSDAGRYSDAERIRGFMKEVKVDKLPGYSSVEFDVNVSEPRSG >ORUFI05G17450.1 pep chromosome:OR_W1943:5:17345793:17346092:-1 gene:ORUFI05G17450 transcript:ORUFI05G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGNAMDSAIEYARVSSDAMDSGARVDATYQCVFQEYDRDALKANITAMGPLVLPTWDELLFVLLLPPLACLLKLITAMKLKLCCCLFSAVKCTLKMN >ORUFI05G17460.1 pep chromosome:OR_W1943:5:17351754:17352842:1 gene:ORUFI05G17460 transcript:ORUFI05G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATESQQAQVQAAATDAVAAAAVGRKVVDEVSGWLRVFDDGTVDRTWTGPPEALPLMQPVPAYAEPRDGHTLHDLPGEPNLRVYLPEVALAGRRLPVVVQLHGGGFCISHPSWLMYHHFYARLACALPAVVVAVELPLAPERRLPAHIDTGVDGLRRLRSIALSDAAALGDPAAELLRTAADFSRVFLIGDSSGGNLVHHVGARVGEDGADSWAPLRVAGGIPLHPGFVHATRSKSELEPRPDSVFFTLDMLDKFLAMALPEGATKDHPYTCPMGPNAPPLESVPLPPLLVAVAEHDLIRDTNLEYCDALRAAGKDVEVLVNRGMSHSFYLNKYAVDMDPATGERTRELVDAIKSFVDRH >ORUFI05G17470.1 pep chromosome:OR_W1943:5:17359497:17364668:-1 gene:ORUFI05G17470 transcript:ORUFI05G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAERDGVEEEQKKRKQGGFRTMPFILANEICDRFATAGFGANLITYLTQQLHLPLVEASNTLTNFNGTSSLTPILGALAADAFAGRFWTIIAGSVFYQLGMLALVASALLPSLRPAPCAPTHGAASCRRATGWQLAVLYLALLCTSLGSGGIRPCVVAFGADQFDGQQQKQRQKGGGGAAAAAAGRKRSYFNLYFFTMGLAVLLALTVVVYIQENVGWGWGFGIPAIAMFVSIVVFVAGYPLYVRLKPGGSPFTRLAQVVAAAFRKRRAAVPEDPGMLYQDKELDALISTNGRLLHTNQLTFFDRAAIVTPGDIAGSGEPDLWRLSTVHRVEELKSIVRLLPIWSAGILLATAASHNGTFTIQQARTMDRHLTPRFEIPPATMSIFTTVAMLAGLALYDRAFVPLARRVTGLPSGITYFQRMAIGLGISILGVASAALVEVRRRGAAADHGLLDSPAAVVPISVFWLVPQYAVHGVAEAFSSVAHMEFLYDQAPESMRSSAAALFWLSSSLGNYMGTVLVTAVQRATRGGGEWLQDNINRGRLDCYYWLVTTLMVLNLGYYLVCFHFYTMKPLEVAEEDDDEKECELSSVHKNGGPGGLV >ORUFI05G17480.1 pep chromosome:OR_W1943:5:17368899:17369500:-1 gene:ORUFI05G17480 transcript:ORUFI05G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANKKEVPKKYPRTLIPLVIWEIWKERNQRVFKHKETTTPSLLTKIKEKARTWALACAKRLREFLPNCISHTATYWLRKWAQLQRHDDHIKLIKDVYRKLKSMIMQIFVNFRWKFTNII >ORUFI05G17490.1 pep chromosome:OR_W1943:5:17369583:17369923:-1 gene:ORUFI05G17490 transcript:ORUFI05G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEEDLNGKTHLAVDLINQLVSLWNATQGIHLEQTRAWTLQNANSIKIAYGSRIDSQPEAGQTNNEHCPLCHRI >ORUFI05G17500.1 pep chromosome:OR_W1943:5:17384017:17386062:1 gene:ORUFI05G17500 transcript:ORUFI05G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVADENGSPKKTKQGGFKTLPFILVQVLHLKCSIRVLRVHYSVNAANEVCDRFATAGFNANMITYLTQQLHLPLVEASNLLTNFTGTAAFTPVLGAIAADSFAGRFWTIAGGGVLYQIGMLGLVVSALVPALRPAPCGGGGAAAPCQRADGGQLAMLYLSLLCTALGSGGIRPCVVAFGADQFGLGGRRPGGEQKWSYFNLYFFSMGLAVLLALTVVVYIQETVGWGWGFGIPAIAMFVSVLSFVVGYPLYVKVKPEGSPFKRLVQVVVAAFKKIKEPVPDDAGELYHNKELDAAIAADGRLLHSDQLRQAFSMHRSLCPFTCGSLFILTQFNVFLDRAAIVTAGDIAGSGEPNLWRVSTVHRVEELKSIVRMLPLWAASITAIAAGSHNFTFAIQQARTMDRHLAPSFQIPPATMIIFTTLTMLVSLALYDRVLVPVARRYTGRRSGITYFQRMGAGFAVAALGVLAGALVEAKRRAAAAEHGLLDAPGAVVPVSVFWLVPQYALHGVGDALATVGHMEFLYDQSPESMRSSAAALFWVAGSLGNYLGTVLVTVVQSASRGEWLQDNINRGRLDYYYWLVTFLLVLNLAYYFVCFHFYTLKSFEVDAGDEAPRRRDVAGSAEGETELSAGCVVASRNGVL >ORUFI05G17510.1 pep chromosome:OR_W1943:5:17386449:17390378:-1 gene:ORUFI05G17510 transcript:ORUFI05G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGRGEEKAVDVVAVKKPKQGGFRTMPFILANDFCDRLANVGFSSNLITYLTLQLHLPLVDASNTLTNFHGTANLTPLVGGLIADSFAGRFWTITFGSVIYQLGMVFLTLSAALPSLRPPPCAKHAADCQRASSSQIAVLYASLLFTSIGTGGTRPCIMAFGADQLELDAGARGRRGRKGPKWSFFNLYFFGIELAKLTAVTVIVYIQENVGWGWGLGVPTIAMFAAVVAFVSGYSMYVKMPPAGSPLVRLAQVAAAAFKKRKAVMPEPSRLYEDKVLDAGISTTGRLLHTDQLKFFDKAAIITDGDVLPSGEPKLWRLSTVHRVEELKSILRMLPIWAAGILLVTSASHNSSFAIQQARTMDRDITPHFKIPPASMLIFTNLAMLLTLAFYDRVLVRVLRRFTGHPNGITHLQRAGVGMTIAMLANAVAAVVESRRKSVAAASGMLDAPKGSSLPISVFWLVPQYAIHGVADAFMDVGRMEFLYDQAPESMRSTAAALYWLTMSIGSYLGTLLVTIIHAKTQRSGQWLQDNLNRAKLDSYYWLVFGLQGLNLIYYFVCVRYYTFKPLETVKPEEELELYRGNGNEGDGKKGGTLK >ORUFI05G17520.1 pep chromosome:OR_W1943:5:17392037:17393430:1 gene:ORUFI05G17520 transcript:ORUFI05G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSVSSPRRGSGGRRRTWRSGAKREDDDEVRDAEREMTTRSVAQERDMATRLAAQERGTATSSPVSLHQVSLATNRVSSPEWVYLLALVLSSLIPLRPFLPCLCRNPTCPRRFFTVAGELQARSDLGNGGAGLQQIAEIVIFSAGLLATLAEMRRDTIATCFLCQLPVPLPAIEKNCKGQPLYFKFVADWGICE >ORUFI05G17530.1 pep chromosome:OR_W1943:5:17398249:17400340:1 gene:ORUFI05G17530 transcript:ORUFI05G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEESNDDAGEQMPQQGGIKTMPFILANDFCDRFATIGFNANLITYLTAEMHLPLVEASNTLTNFHGASNLTPIVGGLIADSFAGRFWTIAAGSVAYQLGMVGLTVSALVPSLRPPPCRGEAVAVAGEACQRATPWQLLVLYLSLLCTSVGTGGTRPCVMAFGADQFELGKPPRRRPGEAGAPRWSFFNLYFFGVELAKLVAVTAVVYIQENVGWGWGLGVPTIAMLAAVTAFVAGYPLYVKMAPGGSPLTRLAQVAVAAFRKRNVAVPDDPGLLHDDKELDAGVSTTGRLLHTNQLTFFDRAAVVTDGDMEGGGGARPWRLSTVHRVEELKSIIRMLPIWAAGILLVTSASHNHSFAIQQARTMDRRVTASLEIPPASMLIFSNVAMLATLALYDRALVPRLRRLTGHPAGITHLQRTGVGLAISAVSNAVAAAVEGRRRRAAASHGLLDEPGATVPMSVLWMAPQYAIHGAADAFMDVGRMEFLYDQAPEGMRSTAAALYWLTMSAGSYMSTLLVTAVHERTRGEGEWLQDNLNRGRLDRYYWLVVTLQVINVVYFVICAKLYTYKKLETVDGVSTGERNDIVDDQENAGERDEKGSDVKDVELQPLLLSDVTLP >ORUFI05G17540.1 pep chromosome:OR_W1943:5:17402194:17411315:-1 gene:ORUFI05G17540 transcript:ORUFI05G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAASVSVLHLPAISLPLPCLQGSNPSRPSLGLTNALPWRRRGGRGAGAVAGAAGYWRLSKLAVPARDDPGKDFAGVSPPLLQAIAKALKFPVSSMLPEEAFTVIRKSFDARKILKEPQFVYTVDVAVKRLLDLEPRTWDFIARLEPKLGTIEYMPDEKVASDLVSMLNVYKQGSDGELGINDTVNNGSICSPRKKPRVAVVGSGPSGLFASLVLGELGAEVTLIERGQPVEQRGRDIGALVVRRILHSESNFCFGEGGAGTWSDGKLVTRIGRNTDGVQAVMKTFVQFGGPPNILVDGKPHLGTDKLVPLLRNFRHHLKELGVNIIFNTRVDDLVVEGGQVKGVVVSDSRLQLGSPNQTLSFDAVVLAVGHSARDTYSMLLRHNVDMHPKSFAVGLRIEHPQELINDIQYSELAAEVHKGRGRIPVADYKIVKSFGEGDAELPEQNRSCYSFCMCPGGQVVLTSTNPSELCINGMSFSRRASKWANSAFVATVSSHDFRPFESHGSLAGVEFQREFERRAATMGGGNFVVPAQCVTDFLSNRLSVTTLPPSSYRLGVRPSKLHELFPSHITEVLQQSIIMIEEEMPGFVSSEALLHGVETRTSSPLQISRNTGTYESTSLQGLYPIGEGAGYAGGILSASVDGMYCGFALAKQLSLFHGDIESTLGKAQNQKGFVKY >ORUFI05G17540.2 pep chromosome:OR_W1943:5:17402194:17411315:-1 gene:ORUFI05G17540 transcript:ORUFI05G17540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAASVSVLHLPAISLPLPCLQGSNPSRPSLGLTNALPWRRRGGRGAGAVAGAAGYWRLSKLAVPARDDPGKDFAGVSPPLLQAIAKALKFPVSSMLPEEAFTVIRKSFDARKILKEPQFVYTVDVAVKRLLDLEPRTWDFIARLEPKLGTIEYMPDEKVASDLVSMLNVYKQGSDGELGINDTVNNGSICSPRKKPRVAVVGSGPSGLFASLVLGELGAEVTLIERGQPVEQRGRDIGALVVRRILHSESNFCFGEVMKTFVQFGGPPNILVDGKPHLGTDKLVPLLRNFRHHLKELGVNIIFNTRVDDLVVEGGQVKGVVVSDSRLQLGSPNQTLSFDAVVLAVGHSARDTYSMLLRHNVDMHPKSFAVGLRIEHPQELINDIQYSELAAEVHKGRGRIPVADYKIVKSFGEGDAELPEQNRSCYSFCMCPGGQVVLTSTNPSELCINGMSFSRRASKWANSAFVATVSSHDFRPFESHGSLAGVEFQREFERRAATMGGGNFVVPAQCVTDFLSNRLSVTTLPPSSYRLGVRPSKLHELFPSHITEVLQQSIIMIEEEMPGFVSSEALLHGVETRTSSPLQISRNTGTYESTSLQGLYPIGEGAGYAGGILSASVDGMYCGFALAKQLSLFHGDIESTLGKAQNQKGFVKY >ORUFI05G17550.1 pep chromosome:OR_W1943:5:17412481:17416103:-1 gene:ORUFI05G17550 transcript:ORUFI05G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALLDPTAAFDLRLYPAHLFDHELPLAGGGGGDDDDALPLDGLEFDLPGDFSVEDFLLRSPERDDSGEGSAAGSGPTASPSSSPTTSASNSAVANGSGGEVKHEESDEGRSGGGDPKWSLKRKQASPGPSSDAAKCRRSGDGDVSPSASASRTAVDSDEGGTVCEEEEDERRAARLMRNRESAQLSRQRKKRYVEELEEKVKSMHSVINDLNSRISFVVAENATLRQQLSGGSVNCPPPGVYPPAPIPGMHFPWMPGYAMRPPGSHVPLVPIPRLKPQQPVPSSKVVKKPESKKTVENKSKSKTKTKKVASVSLLGLLLIMLVFGAFIPGFNHNFGMCGQSDNAMFRNFGQSHARVLSVSSQDKSSLNNSDMIGVDVGKMTGNTDGPGKKHQPAHNSSEILPALLYVPRNGKHVKINGNLIIHSVLASEKAVAHKASKDDSDQSARDHKETSVAIARYLSLPGKDVNRQETSSADGPLPQWFREGMEGPILNSGMCSEVFQFDISTASSNPGGIIPASPVVNSSSVNATEKIPAHSAAYHGKLKNRRVMYNEAIPLTGKTANNTEPFNRTSESSSKLPDSKPASSVVVSVLADPREAGNGDGDPRVSPKPLSKIFVVVLVDGVRYVTYSCTLPFKSSSPHLVN >ORUFI05G17560.1 pep chromosome:OR_W1943:5:17420828:17421239:1 gene:ORUFI05G17560 transcript:ORUFI05G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEPHWSDLAVGDELVGVGHRWGGQAWWPPPVLVVVAGDGGSEVVVAVEVMSPPLPHSDAEMYEYDEEAEKDYEEDLRAPG >ORUFI05G17570.1 pep chromosome:OR_W1943:5:17426492:17430111:1 gene:ORUFI05G17570 transcript:ORUFI05G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAASPPPPPPAAAEDGRVTSHVDPFLVEALDNPRHRLMVLRMAMDIQKFMQNPQLHEFEFQHFPTSYLRCAAHRVAQHYGLETTVADSLVDGSVSKIVAKKTSESKLPVIALSEVPSKQARNEHEAAEKLKFVICPRPKAFQNGAGDAGAKNNAARTVEERIEEYNKARARIFNGSISDIEGTSDLGALSVARDEPINVEPPVDENKVNTMNSRSRVAVFKDTEKDRSDPDYDRNYKRYVRGPVHDFNVSPGGFNFVVPQFMQYGVGFMQSANMSRNQPSVYFGQPDLSMGSSSGTAVYPQWPTPAMIYPHCYDNLGHMISQVPVYQSFNHG >ORUFI05G17580.1 pep chromosome:OR_W1943:5:17435924:17436708:-1 gene:ORUFI05G17580 transcript:ORUFI05G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQQRRAREERASCNGGGYEGGRRRARGLPGERSVHEGGGENTSTAELLLGMGGKAAYARRETAAEADSGPSSRWRWRYSSSWQRRRDSKLAAAEAQPGRHGPAEDALAAAELVAMPAGRSATMETGEARPMVVEADTVRPVAVEAAAVEARARRVPVRYDEAGRRGARKCSRRQRWPTQREARPVLAKPDEARPVAAHKGWPGGRASMQWRPRTGGGLNGGGAMIHQW >ORUFI05G17590.1 pep chromosome:OR_W1943:5:17439486:17440067:-1 gene:ORUFI05G17590 transcript:ORUFI05G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEVDESKDGSISMTVLLIPMASGEARDSAQRGRDGDGDGGVCRCCCCCVDFRGGGEGVGRRGGGRRRRVLMMILRGGEEHAGVNVGVERDEALSDVTTRAGRTGTGTDLWFDGKRKIWLTARRCISRLRSETRRGREAAKARRVGFVRVRAG >ORUFI05G17600.1 pep chromosome:OR_W1943:5:17441829:17442140:-1 gene:ORUFI05G17600 transcript:ORUFI05G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHHHHPPQIGHFHHHPAARPLGHYGSGPGAGFDHGFLSRAVAGGGPPVGPPGMHHRMVGPAAGMAMMAPSPFAEELELGSRGGGGGGGRRELTLFPTTGDH >ORUFI05G17610.1 pep chromosome:OR_W1943:5:17450839:17453429:-1 gene:ORUFI05G17610 transcript:ORUFI05G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSCCCNRRRGQSAGSCYHGRREAAEGAGSSFLGEGAMPVEFTSDQTHPEPMWPPHCTLQVAY >ORUFI05G17620.1 pep chromosome:OR_W1943:5:17453526:17453841:-1 gene:ORUFI05G17620 transcript:ORUFI05G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVPLDASTSISGGWPSWWMVTDLLTINFISVAPVEGDAMGDELVVMMGLARELTAMQRARAMT >ORUFI05G17630.1 pep chromosome:OR_W1943:5:17466527:17467060:-1 gene:ORUFI05G17630 transcript:ORUFI05G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGATPRRARSGQRRRALGRIHAGQGSGGAVELRWRLISRTASDPRRRHHLEGALSPPSSHHRFYARPRGCRSPDAGSVVETLLGVEGPGEPSRRRSPPRPHRRPEVEGPVRSRAAAAELVTISHSCAPASPSPAPRSNPLRRVRRRPSPEERRAGAGGGEEGSQRRRREEGREPA >ORUFI05G17640.1 pep chromosome:OR_W1943:5:17484081:17484975:-1 gene:ORUFI05G17640 transcript:ORUFI05G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQENGGEAPELKLFGSWASSYTHRVQLALRLKALEFVYAEEDLGNKSEALLRLNPVHKKVPVLVHRGRPLAESVIILQYLDDAWPESRPLLPSDPFDRALARFWCHFADDKLGPAVGAVFALTGKEQEAAVQQVHDNLALLEAELREGAFKGRRFFGGDQVGLLDVVLGCGSYWLAVFEEVTGVRLVDADAFPLFHAWLRDFEAQEEVKETIPSVDRLLEYARGLRQMLLALAAGAGAGAAAAAGSSPVTTAVDAPAPAAPPAAPQAAAVDI >ORUFI05G17650.1 pep chromosome:OR_W1943:5:17488371:17489558:1 gene:ORUFI05G17650 transcript:ORUFI05G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVRRRRLLLLPLPLRFFSAAAAAASTTTDPKVVSYLISSCGLTPAAAARAAATSPWLPLASPDFASNADAVVALLRRYGFTDADISATVRAFSRILASDPARTLQPKLDYLRSVGITAPLLPRVVSLSPVILHRSIESHLAPLIASLREVLGSDSRIVTALRQMPFAMRCSPKATFLRTLPVLRDVHGLTPSELSKLVASQPGVILLGPGRAGEIVQAVKDAGVEPGSPMFVYIFAAFSKLKAPTLENKFAIYRSLGFGKDDIAVMLRRLPNAAGISEERLKRTVGFLTGKAGLRREDIVAYPNLLSRSLDSHARRCAVLAVLRREGKPEGQHRVPHVLVASLARFMKAYVRRYEGEVPDVLRAINGEIPFEGFGLGELEKKKPQRQEKIRR >ORUFI05G17660.1 pep chromosome:OR_W1943:5:17495778:17499095:1 gene:ORUFI05G17660 transcript:ORUFI05G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYAGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEAEYEEEEDAIQE >ORUFI05G17660.2 pep chromosome:OR_W1943:5:17495778:17499188:1 gene:ORUFI05G17660 transcript:ORUFI05G17660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYAGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEAEYEEEEDAIQE >ORUFI05G17660.3 pep chromosome:OR_W1943:5:17496208:17499095:1 gene:ORUFI05G17660 transcript:ORUFI05G17660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYAGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEAEYEEEEDAIQE >ORUFI05G17670.1 pep chromosome:OR_W1943:5:17501873:17505227:1 gene:ORUFI05G17670 transcript:ORUFI05G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSLALLSTASASLGLGVARSSARPRSPFGRGLALRGLSSSSSSFAATAAVMGKAGTAEAADAGMDAVQRRLMFEDECILVDEQDNVIGHDSKYNCHLMEKINSGHVLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIEDKSLGVRNAAQRKLFDELGIQAEELPVDQFIPLGRMLYKAPSDGKWGEHELDYLLFMVRDVELSPNPEEVADVKYVNRDELKELLKKADAGEGGIKLSPWFRLVVDNFLMGWWDHVEQGTLEEAIDMKTIHKL >ORUFI05G17680.1 pep chromosome:OR_W1943:5:17525685:17528202:-1 gene:ORUFI05G17680 transcript:ORUFI05G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLDRWRELLRGAAGSAGICDVIENAILVAAADAPQELLRRRDRICEILFTAPRAPPCHHGCGSAATPTPATPVEEDKGSVRRVPEKQSKVDSSSNGALGGGGGRRDDGDDDSDSTLTLTPTTSASAAPPLATTEEEEQEAAPAEEDEEQELDPEAVELEALTNEIDEESQVVGEVLRIKDLFLHKEDHSDATLFESLRRLQLMQLSVSTLKATEIGRAVNGLRKHNSQQIRHLVRTLIEGWKILVDEWVSTTNVALTDNSPGSSKTSVVDDADDEGLPSPPLDEGAFFATQTTAIQLSELFDEMDEDGNLRHNNDGSLGNKRENNGRRPTSHPALTKQEPPRNMGTVEKVQSRRPELARQEPSMRQANPQTPQNSSLQVKQQAMLHKQSKPLSSDSGPGRPLRAAPQQKPFVEMKSRLAREQVAVERKPAASHVDKSRLPAQPSAGVKLESAKPKIYDGLESNSRLEAAKRRLQERYQEAENAKRQRTIQVMELGDIPKPKHQNRQPMMKSRNHIRSWANGRR >ORUFI05G17680.2 pep chromosome:OR_W1943:5:17525685:17528202:-1 gene:ORUFI05G17680 transcript:ORUFI05G17680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLDRWRELLRGAAGSAGICDVIENAILVAAADAPQELLRRRDRICEILFTAPRAPPCHHGCGSAATPTPATPVEEDKGSVRRVPEKQSKVDSSSNGALGGGGGRRDDGDDDSDSTLTLTPTTSASAAPPLATTEEEEQEAAPAEEDEEQELDPEAVELEALTNEIDEESQVVGEVLRIKDLFLHKEDHSDATLFESLRRLQLMQLSVSTLKATEIGRAVNGLRKHNSQQIRHLVRTLIEGWKILVDEWVSTTNVALTDNSPGSSKTSVVDDADDEGLPSPPLDEGAFFATQTTAIQLSEVLIFVCSWYLNCFGPEHNSNFALLFFFLLHLPDLKLFDEMDEDGNLRHNNDGSLGNKRENNGRRPTSHPALTKQEPPRNMGTVEKVQSRRPELARQEPSMRQANPQTPQNSSLQVKQQAMLHKQSKPLSSDSGPGRPLRAAPQQKPFVEMKSRLAREQVAVERKPAASHVDKSRLPAQPSAGVKLESAKPKIYDGLESNSRLEAAKRRLQERYQEAENAKRQRTIQVMELGDIPKPKHQNRQPMMKSRNHIRSWANGRR >ORUFI05G17690.1 pep chromosome:OR_W1943:5:17541046:17544984:1 gene:ORUFI05G17690 transcript:ORUFI05G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAVVGWLVCPVIRIVVDKARSCASDRFRWLNGGVPDALKQLEGELTQLRAEAGAVERCLGGGGGGGRGNGELVRWLRQLKEVVYEADDVLDEFAYRRLAPNDGKVSLLGPSSIGKIGKQLVGKDESVNRLKAVVEKLSSIRASSGRLMQAAGLTKPGSGEPSSTLLTSDGPVTGSILEDGEVFGRDKEREQLVSWLIGSTPEAEGEDRSAAAADTIPVAAILGLGGIGKTTLARVLCHDHEVKGAFDLIMWVCPAGNYSKLDLAKQILQSAELPDDTNSFDRLQRRLKEAVSSRRFLLILDNVWNKDEDENSYRDMWADVLAPLRFGRAGSKIVVTTRKRIVADLLNASKFVWLNGLEFTDVWLLFKKFAFDNNDVDRHPELKEIGEQIAVKLKGLPLAAKVVGGMLKRKPSLTEWKRILKMEIYDNVSSTLELCYQNLQEHLQPCFAICSIFPKNWRFKRDKLIKIWMALGFIQFRPDDTKNQLQEDVGKEYFNQLVAQSFFHERKEGRRTYYCIHDLMHDLADNVSRIDCARVESVEFEKKDIRIPDTVRHLSVTSDAVMQLKGRAELKRLRTFIILKHSSSSVVPLPDDVLKELKGLRVLGLDGCDMVELSDKVGQLIHLRYLSLCKTITKLPKSVTKLFLLETLYIPKRCQLEEFPKDMWKLKYLRHLDINRTNTSKIVGIGKMIHLQGSIEFHVKKEKGHTLEDLNDMNDLRRKLHIKNLDVVASKEEASKAGLSKKQSIKVLELEWNSPGKSVPSVDAEVLEGLKPHPDVEEIHIRRYHGNTSPCWLDRKDITFLKYLHLTNCRKWAVLPPLGQLPFLKVLHLKEMCSLKQIGSEFYGTNPTAFPYLEDLEFDDMPKWVEWTKEEEKYDSVFPRLRKLKLLSCPDLIKVPPFPQSVRKQQVQEIVDLTLRHCQDVKFEELHALTSLKRLQISYLEITDEELGTCLQGLQSLTLLDIVHCSKITTLPQIENPSNLMKFHELNIRQCPQLSSLHSLPSFATLETVLIENCSRVTVESFPANFNSLTSLRKLSIMNCTGLESLPSGFPSSLQVVHLIGCKPTLLSQLQNKDGPEWDKIASIPMKLIH >ORUFI05G17700.1 pep chromosome:OR_W1943:5:17546668:17548602:-1 gene:ORUFI05G17700 transcript:ORUFI05G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPAPAAAWPVSQEISSLAERLLAHAGGGGDGDGAAGMRDLAGALLRIQPVARGVERRGGAGQRDLADWLLQLKGAVAEADDLLDELLLRRSRRFHLGCVARCKPSSGELKRLVGRIDRIHDVSERLVDAAAEEEAGEAGRGVRSPNRVTGSVLTEGKVFGREKECGEIISRLVDCPENACSSALPVVAIVGHGGMGKTTVAQFVYNDERIEQQFDIKAWVCVWDRSDAAEITREILQSIGSPDDTLYGDNSAKLDILQARLEVLVRSKRFLLVLDDVWNDEGKTELDNKDLWRKVLAPIRSAGRGSKILVTTRMKLVARYLNSTHVVSLDLLGSSGCWLLLKEAALGGESKDIPPDLQEIGRTIAAKAKGSPLVSKALGQMLRNTRSTWRWRALLDAEISHNIIISSLQHSYQHLPGHLQRCFAYCSIFPRGCRFQRDKLVKMWVALGFIQISRKEGKKEFDLAQEYFQDLLSRSFFSTASKDNQTFYFLDNLMHDLAQHASVHDCIKIDEGMPSVIPPTVRHLSVSTDYFPQLKSKCRLESLRTLFVLRSSSLPLSHLPSKFLSEFKNLRVLDLSESDILELPETISQLFHLHYLALCRMPSKLPKCINRLIQNVVLDMPLLLFPDSHARGMSKLVRHKIS >ORUFI05G17710.1 pep chromosome:OR_W1943:5:17552163:17552734:-1 gene:ORUFI05G17710 transcript:ORUFI05G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSVARSKDCDMEYDDSSSRQSGEPLWEHAEGVERSVDYSDGLPPTSKAMFQSNKRKSKAADEDLHMKLRTRLDAEVARMFYSSGLSLKAATDPFFKSAFSRATSMPGFAAEEDEHQDDQPSLVTQMFFSIG >ORUFI05G17720.1 pep chromosome:OR_W1943:5:17561506:17563457:-1 gene:ORUFI05G17720 transcript:ORUFI05G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHYYAPLRLILLLILSATCFGSELDVQCLKTIFQSVTDPIGILKSSWSFVNNGTPGYICKFTGVECWHPDENRVLSLRLGNLGLQGPFPQGLQNCTSMTGLDLSSNNFTGPIPQDISRQIPYLTSLDLSYNRFSGQIPENISNMTYLNTLNLQHNQFTGQIPLQFNLLGRLTSFNVAENRLSGPIPNNLNKFPSSNFAGNQGLCGLPLDGCQASAKSKNNAAIIGAVVGVVVVVIIGVIIVFFCLRKLPAKKPKDEEENKWAKSIKGTKTIKVSMFENPVSKMKLSDLMKATNEFCKENIIGTGRTGTMYRAVLPDGSFLAVKRLQDSQHSETQFTSEMKTLGQVRHRNLVPLLGFCIAKRERLLVYKHMPKGSLYDQLNQEEGKDCKMDWPLRLRIGIGAAKGLAYLHHTCNPRVLHRNISSKCILLDEAYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELITGERPTHVSTAPENFRGSLVEWITYLSNNALLQDAVDKSLIGKGSDGELMQFLKVACSCTISTPKERPTMFEVYQLLRAIGEKYHFSAEDDLMMLPPLSTDGETLDELIVAQ >ORUFI05G17730.1 pep chromosome:OR_W1943:5:17576148:17579981:1 gene:ORUFI05G17730 transcript:ORUFI05G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPSGRAESNQAAAAAAVPSLYGRALPSPPAVEFASAEGRRLFAEALQGGTMQGFFTLVSVFQTQSEPAFCGLATLAVVLNALRIDPGRRWKGPWRWFDESMLDCCERLDTVRAEGITFGKVACLAHCSGADVRTFRAAQATLADLRRHLLRCASSQDCHLVASYHRKLLGQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWIPLPLLWEAMNTIDEATGLLRGFMLISRNTEAPLLIRAVNCRDESWQSMAKYCIEVVPNLLRDNSVDNVLTILSRLVNHLPPNAGNFIKWVIEVRRQEEGGSRPSKEAKEMPFLKEKVLQQIRDTKLFQLVHKLQCSKQPCCSCSSLTDEDSISQIAASVCCEGTALLSGNLSSRDGLFFSETCSGCAQVNDEGLKNVTTGKVVSEGNGHVDKLAPISSTETCFCNSTLSNETVNYPSNTDILTVLLLSLHPSTWLCIEDEKLKAEFQSLVSTDDLPDPLKLEILHLRRQLRYLKACREKEAYEDTWPQSWEQC >ORUFI05G17730.2 pep chromosome:OR_W1943:5:17576148:17579005:1 gene:ORUFI05G17730 transcript:ORUFI05G17730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPSGRAESNQAAAAAAVPSLYGRALPSPPAVEFASAEGRRLFAEALQGGTMQGFFTLVSVFQTQSEPAFCGLATLAVVLNALRIDPGRRWKGPWRWFDESMLDCCERLDTVRAEGITFGKVACLAHCSGADVRTFRAAQATLADLRRHLLRCASSQDCHLVASYHRKLLGQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWIPLPLLWEAMNTIDEATGLLRGFMLISRNTEAPLLIRAVNCRDESWQSMAKYCIEVVPNLLRDNSVDNVLTILSRLVNHLPPNAGNFIKWVIEVRRQEEGGSRPSKEAKEMPFLKEKVLQQIRDTKLFQLVHKLQCSKQPCCSCSSLTDEDSISQIAASVCCEGTALLSGNLSSRDGLFFSETCSGCAQVNDEGLKNVTTGKVVSEGNGHVDKLAPISSTETCFCNSTLSNETVNYPSNTDILTVLLLSLHPSTWLCIEDEKLKAEFQSLVSTDDLPDPLKLEVRCLTPRTLHCLFTFALYQITNMFFPD >ORUFI05G17730.3 pep chromosome:OR_W1943:5:17576148:17579005:1 gene:ORUFI05G17730 transcript:ORUFI05G17730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPSGRAESNQAAAAAAVPSLYGRALPSPPAVEFASAEGRRLFAEALQGGTMQGFFTLVSVFQTQSEPAFCGLATLAVVLNALRIDPGRRWKGPWRWFDESMLDCCERLDTVRAEGITFGKVACLAHCSGADVRTFRAAQATLADLRRHLLRCASSQDCHLVASYHRKLLGQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWIPLPLLWEAMNTIDEATGLLRGFMLISRNTEAPLLIRAVLGDKRKEDPGQEKVLQQIRDTKLFQLVHKLQCSKQPCCSCSSLTDEDSISQIAASVCCEGTALLSGNLSSRDGLFFSETCSGCAQVNDEGLKNVTTGKVVSEGNGHVDKLAPISSTETCFCNSTLSNETVNYPSNTDILTVLLLSLHPSTWLCIEDEKLKAEFQSLVSTDDLPDPLKLEVRCLTPRTLHCLFTFALYQITNMFFPD >ORUFI05G17740.1 pep chromosome:OR_W1943:5:17580709:17581178:-1 gene:ORUFI05G17740 transcript:ORUFI05G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATDIASGLVVLLYLPNLANLMVGKHNSDWWWWSTMAAHSSRSQQPRRPPTSGGKKPVPPKMRDLEASHPSPCRQPDAGHRTRPAFMRMRKRGEMGEEERVGANMRGPRTTIPGIAV >ORUFI05G17750.1 pep chromosome:OR_W1943:5:17585141:17586533:-1 gene:ORUFI05G17750 transcript:ORUFI05G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQLSLPVLPTGFRFHPTDEELVINYLQRRATGLSCPIPIIADVEIYNFNPWELPSMALFGEHEWYFFTLRDHRYPNSVRPSRSAASGFWKATGTDKPVQVANMQSTPIAMKKALVFYVGRPPMETKTTWIMHEYRLTNTRGSTASHPSLSSSTAHPSVKLDEWVLCKIFNKSPEPDNTAPPSNVVSRLQCSPPLPPPAAPPGNYPPLPAGATNDGGVFAGAGDMLFTIQEHQEGTPSMLPPIPNLEPPAATIGNSSLNGTTAAAAAAAADGHGLVEEEDTSAYTFTDQEMEQMLMDLMDQDFFGNDQPQE >ORUFI05G17760.1 pep chromosome:OR_W1943:5:17594075:17599687:1 gene:ORUFI05G17760 transcript:ORUFI05G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVPFLHIQAQISPYPNTTPSHHMLVLDMMAPAMALRLLVVVAVAAVVSCAVAADEVGSVVEVWPMPATASKGGQTLHVSRELRMTAEGSKYADGEAILKDAFQRMVTLIELDHVINGSSQGLPLLAGVNVVVHLPGDELNFGVDESYNLSVPATGNPIYAQIEAQTVFGALHALETFSQLCNFDFTSRLIELQSAPWTITDMPRFPYRGLLIDTSRHYLPVPVIKSVIDSMTYSKLNVLHWHIVDEQSFPIEIPSYPKLWNGAYSYSERYTMDDAIDIVQYAERRGVNVLAEIDVPGHALSWGVGYPSLWPSATCKEPLDVSSESTFQVINGILSDFSKVFKFKFVHLGGDEVNTSCWTSTPRVKAWLAQHGMKESDAYRYFVLRAQKIAKSHGYEVINWEETFNNFGDKLDRRTVVHNWLGGGVAEKVVAAGLRCIVSNQDKWYLDHLEVTWDGFYMNEPLRNIKNPAQQKLVLGGEVCMWAEHIDASDIQQTIWPRAAAAAERLWTPFEKLSKEWEIAALSARLARFRCLLNHRGIAAGPVTGYGRSAPAEPSSCIKQ >ORUFI05G17760.2 pep chromosome:OR_W1943:5:17594075:17599687:1 gene:ORUFI05G17760 transcript:ORUFI05G17760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVPFLHIQAQISPYPNTTPSHHMLVLDMMAPAMALRLLVVVAVAAVVSCAVAADEVGSVVEVWPMPATASKGGQTLHVSRELRMTAEGSKYADGEAILKDAFQRMVTLIELDHVINGSSQGLPLLAGVNVVVHLPGDEAQTVFGALHALETFSQLCNFDFTSRLIELQSAPWTITDMPRFPYRGLLIDTSRHYLPVPVIKSVIDSMTYSKLNVLHWHIVDEQSFPIEIPSYPKLWNGAYSYSERYTMDDAIDIVQYAERRGVNVLAEIDVPGHALSWGVGYPSLWPSATCKEPLDVSSESTFQVINGILSDFSKVFKFKFVHLGGDEVNTSCWTSTPRVKAWLAQHGMKESDAYRYFVLRAQKIAKSHGYEVINWEETFNNFGDKLDRRTVVHNWLGGGVAEKVVAAGLRCIVSNQDKWYLDHLEVTWDGFYMNEPLRNIKNPAQQKLVLGGEVCMWAEHIDASDIQQTIWPRAAAAAERLWTPFEKLSKEWEIAALSARLARFRCLLNHRGIAAGPVTGYGRSAPAEPSSCIKQ >ORUFI05G17770.1 pep chromosome:OR_W1943:5:17598912:17600428:-1 gene:ORUFI05G17770 transcript:ORUFI05G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGRKCVSRLAAGGVVEASLATTVERPASYLRQLAVFQTSRSLALLARNVHNHCCSTWPNGLLNVRRIYVFSPHAYLATKNQLLLCRVLDISQWFIHIKSIPCNFQVI >ORUFI05G17780.1 pep chromosome:OR_W1943:5:17604240:17607138:1 gene:ORUFI05G17780 transcript:ORUFI05G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTSSSAMWLAMAILAITAALTKIALGGGRRRCLSESSDLTCKTPPPPPVVNCIALLGLLPALFRGDVPATMQQLYAKFGSVFTVSVAGLLKATFLVGPEVSAHFFQGLESEVSHGDLFEFTVPMFGKEVGHGVDNATRIEQGRFFAEALKPARLRIHVDPMVQEVEDYFAKWGQHGTVDLKHELEQLLLLISGRCLLGKEVMGTKFDEVCNLFRDIEGGVNLMSVFFPYTPLIPSNRRRDMARERLHAIFSDIHTSTHTSVWTGARLLTHEKFLAAAVDEQDQIVRKHGIINGRIVTDHYGFLMEMHMLHICIKETLRLHPPAPMIVRTTLRQFTVRTREGHEYCVPAGHTMASPIVISNRVPYIYKDAHLYDPDRFGPRREEDKVGGKFSYTSFGGGRNSCVGENYAYMQIKAIWSHLLRNFELKLLSPFPKTDWSKLVPEPQGKVMVSYKRRQLLPTS >ORUFI05G17790.1 pep chromosome:OR_W1943:5:17614530:17624673:1 gene:ORUFI05G17790 transcript:ORUFI05G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILAITAAVTKIARGGRRRSVEIMDIPYLHGDERGIDDSGNITLEVSVYRRVDFIIQGRNFFLEHQIIMTFLVGPEVSAHFFQGLESEISHGNLLEFTVPMFGKEIAHGVDSATRNEQARFFVDALKPARLRIHVDPMDYFAKWGQHGTVDLRRELEQLLLLISGRCLLGKEVMGTMFDEVCNLFRDIEGGVNLMSVFFPYTPLIPSNRRRDMARKRLHAIFSDIVRSRKQREGDNVDKDVLQSLIDSSHERFLTAAVDEQDKIAREHSNINGGGRITDDRYGSLMEMRTLHSCIKETLRLHPPVPMLVRTAHKQFTVRTREGHEYAVPAGHTIASPIVISNQVPYIYKDGHLYDPDRFGPAGREEDKVGGKFSYASFGGGRTGCVGEGYAYMQIKAIWSHLLRNFELRLLSPLPKSDFTKFVPEPHGELMVSYKRRQLLPTTLLEKC >ORUFI05G17800.1 pep chromosome:OR_W1943:5:17644525:17650147:1 gene:ORUFI05G17800 transcript:ORUFI05G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSISIYMWSMVALCTIITAMVTTKLARVRRPITLNPKSKRPLPPVVNVIALLEHLPRLCTKGVIAVMHDLYTRFGSVFTVSLFGLKATFLVGPEVSAHFYQGMDSEISQGDLYEFTVPLFSKGVGFDIDNATQTEHLRFFIDAIKTSKLRNHVNSMVKEVEDYFAKWGENGIVDIKHEFEKLLMLISGHCLLGKEVRDNMFDEVFSLFHELDSGVGLGSVIFPYIPIPSHIRRDKAHAKLAKIFFKIVRSRRDSNRPAEQDVLQYLIDSKHRDGNSTTEQEVTGWIISMVFAGKHTSTNSTTWTGACLLTHDKFLTEALDEQKHMIQKHGDHIDYNVLLDMDILHCCIKEALRMHPVAPIIYRKAQKSFVVRTREGDAYDIPEGHNLLSPMIFNNRLPYIYKDPHMYDPDRFASKREEDKVGGMFSYTSFGGGRHICIGEAYAYMQIKVIWSHLLRNFELKLESPFPKTNWRKILLEPWGKVMVSYKRRRLPTA >ORUFI05G17810.1 pep chromosome:OR_W1943:5:17653856:17661464:1 gene:ORUFI05G17810 transcript:ORUFI05G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKRDGEVGDAVKEREKVAATVGVLEAAVVGAVQGGALGGLMGTLASDSGSQAAARGEANPLAESAAASYALAAASAESQLPPLPPTPPHRHRSRSAARSAPMATAFCERRAGSSSLSPPRSRSRRVEGKTKGGAISSRPRRSRDGSRTTTAALGGWGGEQGGSRDGMGKGGEREERGGGTHTRWPAVLAGQLWLRTADAVEDTITASRPLSGNQKLVSRGEKFALGFFRSAGGHLKKWYIAIWYNKVSIQTAVWIANREAPISNLDESQLAISQDGTLVLLNQSRSVVWSSNVPNVTSSNVDSSEAKTVAVLLNTGNLALPYLTDGKYDPSTGAFSGIPEMTPIRNSIYAFQYVDNNEEAYFMVTVKNDNILFRLTIDVSSQAKSTVWVADRNQWMLFFLQPKEKLAASELPDSKTKKWRVVSIIIGGFILLVCGVITCICFLRKRTMKAIIPIAVDGHLTTLKYSDLQLITKSFSEKLGSGSFGSVFKGALPDKTVVAVKKLEGFRQGEKQVRAEMSTIRTIHHINLVRLLGFCSHGAQRLLVCEHMQNGSLDRHLFVNNAGALSWSRRYQIAIGISKGLPYLHERCRDCIIHCDIKPDNILLDASFVPKVADFGLAKLLGRDFSRVLTSMRGTIGYLAPEWISGMAITSKADVFSYGMLLFEIISQRRNAEQGEQGANMFFPVLAAKKLLEDDVQTLLDPESVDVIDLEELGRACKVACWCVQDEESSRPSMGEIVQILEGFVDVSIPPVPRYLHVLAERANHDSN >ORUFI05G17820.1 pep chromosome:OR_W1943:5:17662737:17663472:1 gene:ORUFI05G17820 transcript:ORUFI05G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIAVATILHLGWFPCTGKLRRGQPEVESPGGASAVEGGKWRDLEIQHGMSSGVNHVRNLWTSDVDRFGLLKNVILYSFVRPAHIPPALRPTSGPWPTNQWHLVPELTLIV >ORUFI05G17830.1 pep chromosome:OR_W1943:5:17687066:17688012:-1 gene:ORUFI05G17830 transcript:ORUFI05G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSASSLPLSSGSVITVSSSPAVGASSGGGGGGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVGVISVLQHQLRQLQQDLSRARFELSKYQAAVAVSSSNGQAAAAAAMVDHFIGSAVQPNCTQNFISGGGVAAAAIGVGGGAGGGFVNDHHQFSAVQMLARSYDGESAVARLGGAVNVSGGGGYEFGYSSAMGGAGGPMSGLGPLGGGTFLKPGTAGGGDRPTAAQ >ORUFI05G17840.1 pep chromosome:OR_W1943:5:17704314:17708806:1 gene:ORUFI05G17840 transcript:ORUFI05G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASVRSLRRLSSSSSSSSLSPASLRRLLLLLHRPPPPPPPPRPPLPPFQTLARGLLPRIAARPVPRRFSTASCSSTLFRVGECGAPGATAIPEAERGEEEEREGEDGGEADAAVEVAAGRHDTDAYAAVELALDSVVKVFTVSSSPNYFLPWQNKAQRESMGSGFVIPGRRIVTNAHVIADHTFVLVRKHGSPTKYKAEVQAVGHECDLALLKVDSEEFWDGMNSLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYAHGATQLMAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPVIKRFISGVEESGKYSGFCTLGISCQATENIQLRECFGMKPDLTGVLVSRINPLSDAHRVLKKDDILLEFDGVPIANDGTVPFRNRERITFDHLVSMKKPGEMAVLKVLRDGKEHELNVTVRPLQPLVPVHQFDKLPSYYIFAGFVFIPLTQPYLHEFGEDWYNNSPRRLCERALRELPKKAGEQLVILSQVLMDDINVGYERLAELQVKKVNGVEVENLKHLCSLVESCTEENLRFDLDDERVIVLKYQNAKLATSRILKRHRIPSAISADLVDEQATDDGEEATNGEIEASCTS >ORUFI05G17850.1 pep chromosome:OR_W1943:5:17709229:17711464:1 gene:ORUFI05G17850 transcript:ORUFI05G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSCCSSSASVPATCSALCLAEATRAASLFVRPRAAARRLVLARCARGREGGESKAVQLVLGGRARDDGSESESSDDEDDDEPMQMTDEQRRTLRRKIREMMDRVPETAEITDPAERKAKMLELLTKYQLVVEEEDPNWPEDDEDGHGFSLGQFFDKITIKAEKKNDDDEEDDAKGNQSDKEIVWEDDNYIKPIRDVKTMDWDDTVFTDFGPLIVLVHNRYKRPQDNENARDQLVKAIEMFWEYNLPSPRCVAVDACAEPDLVKALNVSGFPEVLFTNAGKIVHRDKVVRSAEEWTRMMAFFYYKAARPPCLSEADGQGQEKVPLMS >ORUFI05G17860.1 pep chromosome:OR_W1943:5:17713629:17717485:1 gene:ORUFI05G17860 transcript:ORUFI05G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRPRRDPIKSRRRPDWREELRSNCLKRIKEERVQFLWKKRIDGPLPASDMIKVESAVRDIISDELQKLKQHGDGKAEEEADMIWEYQGPQTAKPVETESEDILLEMERLLYEDMRDEAIQIEVEALDEEDEYLAQAFLEHMQLTDKEANAKLWCPVCKQGELRETHNLVYCTMCKLRLDLGEDKINLEFLRERLANVHMDHLDRGCKSAPKFCLQTMFELTALYIQCEECNTFDVVI >ORUFI05G17870.1 pep chromosome:OR_W1943:5:17719114:17720822:-1 gene:ORUFI05G17870 transcript:ORUFI05G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPNGSRPSTTARSIYDDEGATPTIILEVGDGEGKDRMPFIISKDLPELTPIMCLTKCSSLDVEPDFTVAVVVTCSNTAVDSKELVATDGATGTTIIDPRVCSKETHTNANCIRDQTPSVALYCAPKGDLNHEKSDRAHKDTLVAIFNLEQFHYVGGEEKVTKGMLYGLSTVSCNYLIIPAAIMTTTFLSVPSSTQNIYGACWLEAQEGLNDIAKGRTYKICKSMRMTLNHVESWLQNPTNILYNGQLFNCPAGIHFGVRRISGIIARGAGENQNRKVKTCGYDSIFDFCENNPSDGSAAARFSIDTGTDSEMIYTSIANRDYWSVKLLEVIKEGCPIRHLLLGDIKKEQLLQCETFNTTQTNTEQVIKLQVSNSKEIQELQVPWDPGGFLHRLGDKPNFKKRGLSGARVGSTWAAGYTTGWSAHRQEAQASPS >ORUFI05G17880.1 pep chromosome:OR_W1943:5:17726193:17726531:1 gene:ORUFI05G17880 transcript:ORUFI05G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLLYKAIKDRRGGRPDGGAAAGVDLYDPEQRRLWLEQEVRSPLHPSAAAAGGQHQQQQQPHRRNLSLEELAGEVGLSPGRRLPRVALPKARSVRVFSCIGAGAGVAA >ORUFI05G17890.1 pep chromosome:OR_W1943:5:17728009:17728314:1 gene:ORUFI05G17890 transcript:ORUFI05G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGGSYGPVIVALAIIATLTVASIAVGQLCVGRGTPIKPGQGMGAFVKKSIGGNKAAYDDALPEKKKEEDVENATVEEVEKSEPPKVEEDDDGSSSQVSS >ORUFI05G17900.1 pep chromosome:OR_W1943:5:17731437:17737507:1 gene:ORUFI05G17900 transcript:ORUFI05G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRREELNVQAKPSDDKGPPTRCNPGCVRSLAKLLPTAVEQKIQELGLGNLFKLKLEALSCRKICGDLLDKAVVHAASDLIELPMGDVSLWILEKVVQHAIDMPVGSVKGLSKSNNATEYKRMYNALRFVCKKFPLPKGKARTAAGGAQDSEAVGGAQGSTIAGDQADARGAQDSTAAGDAQDSTTAAGDAHDSEEDFGDYDSTPADKRRNIFVPDRIVNLVIHCEDEEVVILDCIEGNDLQVNEAGRMYLYNDDKVKGLLKENPNDMIKKWRGRMTSKPLEAFIFKKWEDTCYAKFVEVPERIEVPKGTEMPKRIEVLERTEVIEIFIPIVNEGHWSLVVVTIKPEHVYILDSEPLRHQSEAAAVIDRLTEHLSSKHVIDIFGYPKDTPNVKPQDNNWDCGFHVLLYIKGFENRDIFDINEEAVFKFRMKLSVELRHHKMNRARAVGPIHIRPKEGKGASRDAYIYMEESIAASAEDNQEEAEVKGKDASGNDKKSAGSNQEEEDASGNDEKSAGSNQEEEDAEVKGKDASGKPAGEDDDNALISPQDKRTRRKTTPSSSFHEPPKFEVATQLTATKTAEVYDYTGDGMQGIGTEIASWLMGGKKDVASGKKLRNIRQRFVIVPIFQHEEWTVFFVDTCSSNQVSVLISTTTKLGASEIEKSARTFAEQAHDGFMHGGYASPFPVLDQIRAATVFIKSRPCTLATMVYLERYNGYEASLPPASVFPLNTTFMFPTSHCK >ORUFI05G17910.1 pep chromosome:OR_W1943:5:17740821:17741129:-1 gene:ORUFI05G17910 transcript:ORUFI05G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESRETTRSGSPSADGGEEGEVAAARRRREPEASAAYFTAGLTALFACLAALLVFLPLVLPPLPPPPSLLLLVPVGLMAVLLALAFLPADGRRSSIASSCV >ORUFI05G17920.1 pep chromosome:OR_W1943:5:17746861:17753290:1 gene:ORUFI05G17920 transcript:ORUFI05G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVALLRPACPKAEIYPGLRAKPPVPCRAPEDRNRPPPPIDRAMDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYDEADPKTHQGLDLTTMTTRELIAKYGLSDDTVDFIGHALALHRDDRYLNEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPDCKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIANTNDSHSVQIILPQKQLGRKSDMYVFGCSYTHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGQVDELFFDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTLITGKTVDLSVDLSAASAAEEY >ORUFI05G17920.2 pep chromosome:OR_W1943:5:17747030:17753290:1 gene:ORUFI05G17920 transcript:ORUFI05G17920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYDEADPKTHQGLDLTTMTTRELIAKYGLSDDTVDFIGHALALHRDDRYLNEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPDCKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIANTNDSHSVQIILPQKQLGRKSDMYVFGCSYTHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGQVDELFFDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTLITGKTVDLSVDLSAASAAEEY >ORUFI05G17920.3 pep chromosome:OR_W1943:5:17746861:17753290:1 gene:ORUFI05G17920 transcript:ORUFI05G17920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYDEADPKTHQGLDLTTMTTRELIAKYGLSDDTVDFIGHALALHRDDRYLNEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPDCKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIANTNDSHSVQIILPQKQLGRKSDMYVFGCSYTHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGQVDELFFDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTLITGKTVDLSVDLSAASAAEEY >ORUFI05G17930.1 pep chromosome:OR_W1943:5:17754789:17759441:-1 gene:ORUFI05G17930 transcript:ORUFI05G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAATLEFTPTWIVAAVCSLMVLISLVAERCLHYLGKMLKRKNQKPLYEALLKVKEELMLLGFISLLLTVFQGLIQRTCIPPRWTVHMLPCQREAVGPAKEHVAAAQIVGRIGRRLLSEGGAGAELCQNKGKVPLLSLEAIHQLHIFIFVLAITHVIFSVSTMLLGGAKIHQWKQWEVEIQKDAVGSGQPGPAKVTHVHQFEFINDHFKGMGKDSKILSWLHSFVKQFYGSVSKSDYTTMRLGFIMTHCRGNPKFDFHKYMMRVLESDFKKVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFLPLILLLAVGTKLEHVIAQLAHDVAEKNSAIEGDLVVKPSDDHFWLGRPRIILYLIHFILFQNAFEIAFFFWILTTYGFSSCIMGQVSFIVPRLVIGVTIQLLCSYSTMPLYAVVTQMGSFYKKEIFNDHVQQGVLGWAQKVKMRKGLKEGSAGAGVAAEPSNGGGGDTAGPSVKIEMMRRAAREGNDEAGVSIE >ORUFI05G17940.1 pep chromosome:OR_W1943:5:17760612:17761368:1 gene:ORUFI05G17940 transcript:ORUFI05G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAITGGAGGASSMERVAATTAIGDGRDVGEIEESVEQFEVAIVDKVTVIKDKASRGYQTRYVDIMFGTIGNQHNIVYLYSASSIDVNVRSEHDVIT >ORUFI05G17950.1 pep chromosome:OR_W1943:5:17768325:17775231:-1 gene:ORUFI05G17950 transcript:ORUFI05G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERRREAERRRRPSPLAEGAPPTSRSSSPAAAPQPVADRCSPGSLGVGSHVEGEGERRRHGGVAGYGQILGRKTRSGSCACFLRPPPPLAHHTPNPAALVSAYAKSRLLLDALHLFDETPRRDIYLYFSLLAAVSRSASLELALPVLRRMLSADALRPDHFVIASVASVSARLRSLRLGRQLHEHFVVSPYSGDDVVKSSLVDMYCKCGFPDDARKVFDSMGAKNSVVWTALVSGYASNGRGEEALQLFRSMPGRNLFAWTALISGLVKTGESVGAVELFVEMRRDDIRIDDAFVLSIVIGGAGQAVACDILSAREVFEGITFRAVISWTTMVVGEAQHGRAEEALALYDRMVLAGAKPNKVTFVGLIYACSHAGLVQKGRQLFESMKNEYGITPGLQHYTCYLDLLSRSGHLLEAEELMTTMPYEPDEATWGALLSACKKYKDAEMCIRIADKLLELRPKDSSTYILLSNVYAVNGKWDSVAKVRKCTIGLEIRKEPVYSWIEAGREFRLFHAGEVPLDVREEIMGFLEEMVLEMRKRGYVPDTSSVMHDLEESEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRVC >ORUFI05G17960.1 pep chromosome:OR_W1943:5:17777105:17777666:1 gene:ORUFI05G17960 transcript:ORUFI05G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGDRYLFYHSSAGAASRHIVGVVEVAREWYEGEGEAASGGVVDVRVVGEFRRLGTLR >ORUFI05G17970.1 pep chromosome:OR_W1943:5:17785428:17787757:-1 gene:ORUFI05G17970 transcript:ORUFI05G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDDVDDVTAGAAGSGEEAAADQEEAAAAVAGDSHENDLVMPGFRFHPTEEELIEFYLRRKVEGRRFNVELITFLDLYRFDPWELPAMAVIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRGENSRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPPAADADLFYKSEISLCRVYKRSGIDDGHGHHQRPAGNVQASSSSAAARPPEQHSGNNTAAGLPACRHRPSPSSSSTTTAQQHTSFHQLLQGECSAAAAAAPPPPSLPASATTRNSNASQLLMPPPPPRPPCAAAYTSAAAAPTESAAVLAAASTYSLLAAAGSSSTHIDELSTLLAGHSHGGAYGNNHIVAGSHHHFPLPPSQLMPQLGTLPISPPLAAVSDKLWDWSSVPDTSTARDYDSSGFSDPK >ORUFI05G17980.1 pep chromosome:OR_W1943:5:17800765:17804210:-1 gene:ORUFI05G17980 transcript:ORUFI05G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAAAAHHHRLSSSSPAARTRVVHHHRRRRSSSLSFSAPASSHGCPRGARALRRAAAGDGGGNGSGGVSTGTVDPAAASSPSSSLEELYRSCATWTWRGMRVNYLVRGEGPPLLLVHGFGASVGHWRRNIGVLSESYTVYAIDLLGFGASDKPPGFSYTMETWAELILDFLDEVVRRPTVLVGNSVGSLACVIAAADSSRDLVRGLVLLNCSGGMNNKAIVDDWRIKLLLPLLWLIDFLLKQRRIASALFERIIRGPADGEGALDAFVSTVTGPPGPSPIALMPAVAARSPVLVLWGDRDPFTPIDGPVGRYFSALPSELPNVTLHMLEGVGHCPHDDRPDLAQPNLDHTIGCYPIRPT >ORUFI05G17990.1 pep chromosome:OR_W1943:5:17806726:17811820:1 gene:ORUFI05G17990 transcript:ORUFI05G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSGGPYTSRTAEEVFRDFRGRRAGMIKALTTDVEKFYQLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLAVAFYFAARFGFDKEARRRLFNMINNLPTIFEVVTGAAKKQTKEKAPNSTNKPNKPSSKMDDDESGDEYADEEEEERDNTLCGSCGTNDGKDEFWICCDSCERWYHGKCVKITPARAEHIKHYKCPDCGNKRARA >ORUFI05G17990.2 pep chromosome:OR_W1943:5:17806726:17811678:1 gene:ORUFI05G17990 transcript:ORUFI05G17990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSGGPYTSRTAEEVFRDFRGRRAGMIKALTTDVEKFYQLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLAVAFYFAARFGFDKEARRRLFNMINNLPTIFEVVTGAAKKQTKEKAPNSTNKPNKPSSKMQPRPESHSKAPKPPAPPKDDDESGDEYADEEEEERDNTLCGSCGTNDGKDEFWICCDSCERWYHGKCVKITPARAEHIKHYKCPDCGNKRARA >ORUFI05G18000.1 pep chromosome:OR_W1943:5:17813301:17817676:-1 gene:ORUFI05G18000 transcript:ORUFI05G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAVDNLSLTRTTHHPTDRWAHQDAAPLEVDGRKWSYVVEGGASPGKAGRVSGSGRGRGRGGAASPMGVTFKAVPLQSPLPPVEEIMSFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLNSVGVAQSRATSGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSGDLLMELGAGIELATAAFPQLFLPMACIANVVKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGESVGNIADLLGTGLSILISKRNPSLVTSFAFLSCGYLLSSYHEVRSVVLNTLNTARFTVAVDSFIKSGHVPSLKEGNSQETIFNPPWRHQPVAIGSRFGEAFQEPASFVAIRPLFEDERYIVTYNPTKDKVYALLKDQAKPDDILKAAFHAHVLLHFINASHANLNARKRMNSNRSYQNANPLNMDFIPHIAESCKIVTSSYGVFKKKAREQGWIMSESLLNPGRARLCGIVPE >ORUFI05G18000.2 pep chromosome:OR_W1943:5:17813303:17817449:-1 gene:ORUFI05G18000 transcript:ORUFI05G18000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMGMKRPPAAAAATATQTVTLPAPDARAAVRDAVRVAVREAEPPQAPALPPRAPAPAVAVDGVLCLEEVDGRKWSYVVEGGASPGKAGRVSGSGRGRGRGGAASPMGVTFKAVPLQSPLPPVEEIMSFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLNSVGVAQSRATSGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSGDLLMELGAGIELATAAFPQLFLPMACIANVVKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGESVGNIADLLGTGLSILISKRNPSLVTSFAFLSCGYLLSSYHEVRSVVLNTLNTARFTVAVDSFIKSGHVPSLKEGNSQETIFNPPWRHQPVAIGSRFGEAFQEPASFVAIRPLFEDERYIVTYNPTKDKVYALLKDQAKPDDILKAAFHAHVLLHFINASHANLNARKRMNSNRSYQNANPLNMDFIPHIAESCKIVTSSYGVFKKKAREQGWIMSESLLNPGRARLCGIVPE >ORUFI05G18010.1 pep chromosome:OR_W1943:5:17831533:17841021:-1 gene:ORUFI05G18010 transcript:ORUFI05G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGIAERFRDKTILITGATGFLGKLLVEKILRVQPEVRKLYLLVRAPDAIAAEERVLTEVVGKGLFDVLREQYGAGFNSFIKEKIYALPGDVMHENFGLESYEVLQLSKKVDIIVNGAATTNFMERYDVALATNAAGVMHLCQFAKQCDNLKMVLHVSTAYVAGEQAGQLLEKPFQIGRALRLDYQLDIEAELQLVDSIKSELRIKCSSDDKLEKTTMRKLGLKRTIDVIFVAYNDQTLPCFIFDGSVIFDLMMRAANVLLGGIYTKNYYEFNRDYNILMTVAKLFAPYVFFKGW >ORUFI05G18020.1 pep chromosome:OR_W1943:5:17857570:17877945:-1 gene:ORUFI05G18020 transcript:ORUFI05G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGNSGAFQRQDYTHHRRYWLPGKMCQFHSWYQCKVLVEKILRVQPEVRKLYLLVRAPDAIAAEERVLTEVVGNGLFDVLREQYGAGFHSFIKEKIYALPGDVTHENFGLESYDILQLSQKVDIIVNGAATTNFMERYDVALATNTTGVVHLCQFAKQCDNLKMVLHVSTAYVAGEQAGQIFEKPFQMGTALRLDYQLDIEAELQLVDKIKSELGINSDSKLEKITMRKLGLERTIDVIFVAYNDQTLPCFIFDGSVIFDLIPGDMVINAMMAAINSQWNKQAQVIYHVTSSHQNPLPLSLIEESLYKYFHKNPRTSKDGKTIQNEKILTFNRLVYFQAYMILRYKVPLEMMRAANVLLGGIYTKNYYELNRGYNILMTVAKLYAPYVFFKGCAVLVEKILRVQPEVRKLYLLVRAPDATAAEERVLAEVVGKGLFDVLRKQHSAAFYSFIKKKICPLAGDVMHENFGLGSSEILRLSQEVDIIVNGAATTNFMERYDVALATNTEGVVHLCHFAKQCDNLKMLLHVSTAYVAGEQAGLLLEKPFQICEALRQGYTLDVEAEVQLVDRIKSKLRIKSSIDNKLEKTTMKKLGLKRNIIT >ORUFI05G18030.1 pep chromosome:OR_W1943:5:17892514:17896259:-1 gene:ORUFI05G18030 transcript:ORUFI05G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPPAFFQFLPSGAHSSPHHQSPLRSPASERERYLAELLAERQKLAPFMQVLPFCNRLLNQEILRASSLPPNPNFVEPERVNHGSPLRLTGHPMNGQPMDLEGWSGMQTEMGVLQSPSMGWNVAPGVAGSPVVKKVVRIDVPVDKYPNYNFVGRLLGPRGNSLKRVEATTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNDPLHVLVEAEFPSDIVDVRLNQAVAILEDLLKPVDESMDYYKKQQLRELAILNGTLREESPSPHLSPSPSVSPFNSTGMKRAKTGR >ORUFI05G18030.2 pep chromosome:OR_W1943:5:17892514:17896259:-1 gene:ORUFI05G18030 transcript:ORUFI05G18030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPPAFFQFLPSGAHSSPHHQSPLRSPASERERYLAELLAERQKLAPFMQVLPFCNRLLNQEILRASSLPPNPNFVEPERVNHGSPLRLTGHPMNGQPMDLEGWSGMQTEMGVLQSPSMGWNVAPGVAGSPVVKKVVRIDVPVDKYPNEDKLRDKPGYEHLNDPLHVLVEAEFPSDIVDVRLNQAVAILEDLLKPVDESMDYYKKQQLRELAILNGTLREESPSPHLSPSPSVSPFNSTGMKRAKTGR >ORUFI05G18040.1 pep chromosome:OR_W1943:5:17905245:17906132:-1 gene:ORUFI05G18040 transcript:ORUFI05G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPHAWCDGSTSPAAFLLAAAAICGQFATGLAGDTQTSKDDKKAQSKGHTGRTVLIVLLGIGAVVLLSFFLFKYWQKKKREEQHARLLKLFEEDDDIEVELGLRD >ORUFI05G18050.1 pep chromosome:OR_W1943:5:17907239:17907785:-1 gene:ORUFI05G18050 transcript:ORUFI05G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFISFNFNRTTPGEPHCLGGARQYPMWQPMKPVAPMTRTEIPHPLARLTCAKARTFFS >ORUFI05G18060.1 pep chromosome:OR_W1943:5:17913046:17917965:1 gene:ORUFI05G18060 transcript:ORUFI05G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPVLMLLVVVVMSWHWGGAAAQVFRPPWNGTFPMGPGGGGGGSVGGGGGAAAAASVPAMFVFGDSLTDNGNNNDMTSLAKANYLPYGIDFAGGPTGRFSNGYTMVDEIENLTGINEDFPNQVESKAHNNSYNGLLEMGVVTELLGLPLLPSHNDATGDAALHGVNYASAAAGILDNTGQNFVGRSPFNQQIKNFEATLQQISGKLGGGAAGKLAPSLARSIFYVGMGSNDYLNNYLMPNYNTRNEYNGDQYSTLLRLYNLGARRFVIAGVGSMACIPNMRARNPANMCSPDVDDLIIPFNSKVKSMVNTLNVNLPRAKFIFVDTYAMISEVLRNPWSYGFSVVDRGCCGIGRNRGMITCLPFQRPCLNRNTYIFWDAFHPTERVNILLGKAAYSGGADLVHPMNIQQLAAWQP >ORUFI05G18070.1 pep chromosome:OR_W1943:5:17918372:17918776:-1 gene:ORUFI05G18070 transcript:ORUFI05G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRRSPSPVASYLPSRQIRGRGGRRRNPSPATSCLPSRQIQGRGGRRCRRNPSPTASRLPSRQIRGKGGRRRNPSPAASSQIRGRGGRRRNPSPAVSPPAGSDGGEGAAAAASARPPPGHHCARERRQETRER >ORUFI05G18080.1 pep chromosome:OR_W1943:5:17922715:17925947:1 gene:ORUFI05G18080 transcript:ORUFI05G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAGCVLVATEVLDDDSIFERTVILLLRLGSRGTFDGPFGVILNRPLYTKIKNVNPSFQDQATPFGDSPLFFGGPVDMSMFLVRASDNSRLKGFEEVIPGIRFGFRTDLEKAAVLMKSGAIKSQDLRFFVGHAAWDYEQLLSEIRAGYWAVASCSTELISDALTGDPSCLWTEILQLMGGHYSELSQKPKEDNQ >ORUFI05G18090.1 pep chromosome:OR_W1943:5:17928334:17928901:-1 gene:ORUFI05G18090 transcript:ORUFI05G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVAASGGGGGGGEMMRYRGVRRRRWGKWVSEIRVPGTRERLRPPARPQPARRAAAGGGGGLNFPARALAAAAAASSYGGAGGLLSPRSVQRVASDAGMAADAQLVDLRRDHPPAAAAASSSGSGVAGDGARKQGTRGEVSDTYWCSNGEDGSRSWSSGSEELIVYEGLSVDDMEILM >ORUFI05G18100.1 pep chromosome:OR_W1943:5:17932361:17932660:1 gene:ORUFI05G18100 transcript:ORUFI05G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARGDGGWRWGIREVEELATREWRRWMAHDRREDGDDEDWIWWRDGVAGGAGEWHWSLDAPALAAGETASQKALATRGEAAAQDGTWQVGGAATGSQ >ORUFI05G18110.1 pep chromosome:OR_W1943:5:17945922:17953036:1 gene:ORUFI05G18110 transcript:ORUFI05G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVTQNYFAWSQEESPMQDSSQGTPQVFDHGSISFGRFELESLAWEKWSVFANDRRHEEFGKFNGLVAKKKAYFEEYFKRIRELKALQQQNQQTELNLDYSGDGSDSSQTGEDVPTAHQASPSGSGTLLDSMVQTGVQTIFENDLECYDDNDKEMLDKDISPSVGGTCQIEQEFRESASGGNHPDRMVDVLQQNINCGPDDLGRPMESMMTPKRTVKKDSLVGQAAKTMPKTVNMTSSNIPGHAVVNKGTDSGKSSVVNRRAKPETIQQRLKAVTGNIVDIVGRSKLVVKEVPGIMGVRRPSSPALQRPSTRERRPVTRDSSRKAPEVATMCRPSTAERRLATRELAPKQANTVVPCRPSTPNRRPMTRELAPVHSSIATPRRPSTAERRPITRGMAPMHPSIATPVRPSTAERRPTSKQMAQKHVGMATPSRASTAERRPITREAARKNADVAILHRPSTAERRPITRETPQKHANVVALHRPSTAERRPVAREIAPKHADVTLTPARRPSTSERRPVTRETALRHSNFTGSCWPLTPQRHISRGSAPIHADVSTTPRRPSTGERRPITKESNIKLDEKTPIKLRGMLANPKGAMATVVTPQKAITQKLVKSSKPEMKSCAKERTELQAVGKHKASSVNLPPREMFTSNVRANRVPESFRRPNKGIQETARSQISSSKSATPAQTRSIKTRAPNPPPPPPPPRRPSQISSKTNTNNLSVGGRKPKASTPHWH >ORUFI05G18120.1 pep chromosome:OR_W1943:5:17954528:17957214:1 gene:ORUFI05G18120 transcript:ORUFI05G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSEAPPGNPKAGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSTANKNMAVIWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLISYLKEATS >ORUFI05G18130.1 pep chromosome:OR_W1943:5:17961884:17971572:1 gene:ORUFI05G18130 transcript:ORUFI05G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRGRRRRCSGKRRGGDADGGGGGKRRREGESEEDYCFACKDGGLLRFCDHRNCHKAYHPECVDKDDSFLNSDEQFICKFVPVMRQTMGFCNNCLRMAIMIEKNVDVDSDGERVDFSDRETYEFLFKDYWDIIRDKEGLTLDNMREAYTLLRSGLNCNELSDMEKLPNSEQSSDDDFLGNSDDDDEPVYPSVSNGTSNKVKTILKEGKTKKQVYVGWGSIELIGFMSSIGKDTSKPLDQFGAAEVVKQYIRQNDLLQKDKKKQVICDGKLWSLFRKSKLKYNKIYSLLEKHIAENITPEDESLDSSEDNTDSIMERKSRIMNSELSTPEEVSERYRRCFASLVRENIKLIYLRRTLVIDLLKQPDTFECKVIGCFVRVKNDPREYSRHKPQKLYQLGQVTGIRKSSEEYKIRDISTDILLCISDMWSDVKISVLSDEDFEEEECEDLRSLSRKEPSKRQTVSSELDVFIQAEFEEKARSMHADIMSHWINKELQRLEKLIEMANEKERQRLLEEVPRIIPDVEDSKDSGFLVMAANKSSQRNTGTNSNKDRVDCLKSCSGEKLKGSKGDADAPGTCLEKVITKAIEVNPPGDMPRSHVQNHGTKAVNPGQVIDIDDGEDDLHGKSGDMTVDLDSDGSEDHGTRQHEAKPKLCSGQKAVEAKEEISEHASVWYYNDPQGDEQGPFPLRILRHWSKAGYFKEDFRVWRTGQSCDSAILLKDALLLTSI >ORUFI05G18130.2 pep chromosome:OR_W1943:5:17961884:17971572:1 gene:ORUFI05G18130 transcript:ORUFI05G18130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRGRRRRCSGKRRGGDADGGGGGKRRREGESEEDYCFACKDGGLLRFCDHRNCHKAYHPECVDKDDSFLNSDEQFICKFVPVMRQTMGFCNNCLRMAIMIEKNVDVDSDGERVDFSDRETYEFLFKDYWDIIRDKEGLTLDNMREAYTLLRSGLNCNELSDMEKLPNSEQSSDDDFLGNSDDDDEPVYPSVSNGTSNKVKTILKEGKTKKQVYVGWGSIELIGFMSSIGKDTSKPLDQFGAAEVVKQYIRQNDLLQKDKKKQVICDGKLWSLFRKSKLKYNKIYSLLEKHIAENITPEDESLDSSEDNTDSIMERKSRIMNSELSTPEEVSERYRRCFASLVRENIKLIYLRRTLVIDLLKQPDTFECKVIGCFVRVKNDPREYSRHKPQKLYQLGQVTGIRKSSEEYKIRDISTDILLCISDMWSDVKISVLSDEDFEEEECEDLRSLSRKEPSKRQTVAEFEEKARSMHADIMSHWINKELQRLEKLIEMANEKERQRLLEEVPRIIPDVEDSKDSGFLVMAANKSSQRNTGTNSNKDRVDCLKSCSGEKLKGSKGDADAPGTCLEKVITKAIEVNPPGDMPRSHVQNHGTKAVNPGQVIDIDDGEDDLHGKSGDMTVDLDSDGSEDHGTRQHEAKPKLCSGQKAVEAKEEISEHASVWYYNDPQGDEQGPFPLRILRHWSKAGYFKEDFRVWRTGQSCDSAILLKDALLLTSI >ORUFI05G18130.3 pep chromosome:OR_W1943:5:17961884:17971572:1 gene:ORUFI05G18130 transcript:ORUFI05G18130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRGRRRRCSGKRRGGDADGGGGGKRRREGESEEDYCFACKDGGLLRFCDHRNCHKAYHPECVDKDDSFLNSDEQFICKFVPVMRQTMGFCNNCLRMAIMIEKNVDVDSDGERVDFSDRETYEFLFKDYWDIIRDKEGLTLDNMREAYTLLRSGLNCNELSDMEKLPNSEQSSDDDFLGNSDDDDEPVYPSVSNGTSNKVKTILKEGKTKKQVYVGWGSIELIGFMSSIGKDTSKPLDQFGAAEVVKQYIRQNDLLQKDKKKQVICDGKLWSLFRKSKLKYNKIYSLLEKHIAENITPEDESLDSSEDNTDSIMERKSRIMNSELSTPEEVSERYRRCFASLVRENIKLIYLRRTLVIDLLKQPDTFECKVIGCFVRVKNDPREYSRHKPQKLYQLGQVTGIRKSSEEYKIRDISTDILLCISDMWSDVKISVLSDEDFEEEECEDLRSLSRKEPSKRQTVSSELDVFIQAEFEEKARSMHADIMSHWINKELQRLEKLIEMANEKGTNSNKDRVDCLKSCSGEKLKGSKGDADAPGTCLEKVITKAIEVNPPGDMPRSHVQNHGTKAVNPGQVIDIDDGEDDLHGKSGDMTVDLDSDGSEDHGTRQHEAKPKLCSGQKAVEAKEEISEHASVWYYNDPQGDEQGPFPLRILRHWSKAGYFKEDFRVWRTGQSCDSAILLKDALLLTSI >ORUFI05G18140.1 pep chromosome:OR_W1943:5:17972061:17972944:-1 gene:ORUFI05G18140 transcript:ORUFI05G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKDVSLSSIFFSCPLPACAFSPRRSLASAAPAAAAVWITCARRLRFTMQRGRKNGATPEGDVQQPGRVQGARQGSLRYLGPRRWPEPVDGVDALPGTRPRAAARQGGPAAWRRNGADEGAARRGGAGVEEPAEEEGCGDGARGGAGLDGERRSRHHAERVNAKLGKALADAERELEREWRSRERLEKVCDELVRGGLTGGVDGNRGGKEEVEEMRREAERAQEELEKEREMLRLADELRHRGLPTRGAAHRHTKAMNCGDQLRRSSTGAYSHLSFSLRFP >ORUFI05G18150.1 pep chromosome:OR_W1943:5:17973552:17974124:1 gene:ORUFI05G18150 transcript:ORUFI05G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATPRHHHRLLLLLFILFLAAGAAAPVSGAWDAKGKTMCSGRLLGVADCGDEEPSAYEMLERFGFPRGILPEGVTGYTLRPSDGEFAVYLGTGECEFEVDGGYRLTYQGRITGRVAGGSITGLRGVTVRVFMMNWGIDRVVVADADHLVFYVGPLSQAFPVDGFEESPQCRCRHGGGAAVSGVAAM >ORUFI05G18160.1 pep chromosome:OR_W1943:5:17981124:17982843:-1 gene:ORUFI05G18160 transcript:ORUFI05G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFTVHDLGTPGLKGILSIIHSFPLPEVCKVQYLHPHAGLVFSFSGVFGTGAFAVGTDVAFDTASGNFTKRALTTTRDWKRRRWQSVMVDIPATRLHLQDHYQSSPYCVKKKTGG >ORUFI05G18170.1 pep chromosome:OR_W1943:5:17982917:17983105:-1 gene:ORUFI05G18170 transcript:ORUFI05G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGAGDRGAARSQTGRGGCRLGAPAQHLPGRPAAERRVAGSSDWRRRTAAGGCGLLGG >ORUFI05G18180.1 pep chromosome:OR_W1943:5:17985055:17990676:1 gene:ORUFI05G18180 transcript:ORUFI05G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQSDCPYPGCFFCVMKEANPSKRRASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFINLGIFECMSALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAERAVRAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYPSTFPAVADHGEVLELAIQLASSSLEIVYSHFYQFVDRRIGYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKSEFLHDICKADFLVKLPGMWGGLVNENSPAGVGLLRTICQSKLGRGHVANIPSVVEALCNIARSSDDWQYMAVDCLLWLVQDSNTCHKVIDRVASTLIDLANISMLGDYKKLGDTIVTVLQECMQQYANSRNSISTHTKEQIDELLSSKQSFKLEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNIAGAAAKYSEALALCPMKSKKERVVLYSNRAQCYLLLQQPLAAISDATRALCLHSPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLKQNKVPDYAERLVKKQMRTAWLFREAALKHGGIHCEGEASDAFGQEADDSEWETASESDAENDATGEADDETEWKNDNQV >ORUFI05G18190.1 pep chromosome:OR_W1943:5:18000375:18002566:1 gene:ORUFI05G18190 transcript:ORUFI05G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGEGAAAAERQELQLPPGFRFHPTDEELVMHYLCRRCAGLPIAVPIIAEVDLYKFDPWHLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKGARAVFYAGKAPKGDKTNWIMHEYRLADVDRSARKKNTLRLDDWVLCRIYNKKGGVEKPSGGGGGERSNMMSHGETASAGSPPEQKPAVLPPPPPPYAAAAPFSELAAFYDVRPSDSVPRAHGADSSCSEHVLTTSASSGGVVERPEVQSQPKIAEWERTFAGAAAPAGAVSTAGPILGQLDPAAAAAAVAGGGDPLLQDILMYWGKPF >ORUFI05G18200.1 pep chromosome:OR_W1943:5:18007899:18014458:-1 gene:ORUFI05G18200 transcript:ORUFI05G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYISNAQDASKLIVTAKGGGGEADDAAASSAAVVLDLWRQPAKIPAPFVWPRADVALPPSSPPTGELDVPVVDLAAALRDAAGMRRAVAQVAAACASHGFFQVSGHGVPPSLARAALDGAAGFFRLPPAAKQRARRAPGTVTGYTAAHADRFVDNLPWKETLSFGHRHANAAGNNSSTVADYFSTLGDDFKHLGEVYQEYCEAMEEVTKAIMAVLGESLGVGGGYYREFFEDSSSIMRCNYYPPCPEPERTLGTGPHCDPSALTVLLQDGDVDGLQVLVAGAWRPVRPLPGAFVVNIGDTFMVCTLVLGRDMDLGSIDRRVVSSCPAMMVSHRDLDVRRRLAPLAPPVLLASAALTNGRYKSCLHRAVVHREQERRSLAFFLCPREDRVVRPPAGAGAGERRLYPDFTWADFMRFTQRHYRADTRTLDAFARWLRPPACSGAAPVVGPPTTATQAATV >ORUFI05G18210.1 pep chromosome:OR_W1943:5:18020173:18020622:-1 gene:ORUFI05G18210 transcript:ORUFI05G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVDVVGTSDFDWVEHSSWCASLFSLTWRRSFYDNEAVTKTTATSIFGFVARSNSYRGRSGQTWCCLGSSLHLRRFVFLLSLAGQKLQ >ORUFI05G18220.1 pep chromosome:OR_W1943:5:18044831:18048497:-1 gene:ORUFI05G18220 transcript:ORUFI05G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTASPPPDAEPPECPVCLSPFDAASAAPRVLPCGHSLCGPCIASLPPASASASAASLRCPLCSQCVPFSRALGPSSLPKNLALISLLPSPPNPSRSRTAAAAPPPPHVPLHADHSRLLSRFRHAVLPESASPLHSPAPAAGLAIGSFASDLGAPWFCPRGHPVSLLPIETPAAAAKQESPLYYRPSHAARVAAAIDALSAAARDEVIDLVAVSSRLARRVCRVYGFWMGPEAAPLWLLSERHSRGVSCLLEERSRREETVALIRNVGMEVCEAFMGLHGEGLVLGCIGLGCFCLDRFGHCLLDLNQVLALCHGVRVGVCSSKSKAFMAPEVAEVVHDKLQIKDHDFSGLLGPSSDAWLLGCLLVALVTGDEQLAAGWSTEGSYDDWKNEVLTKVDASLVGTHMEPWSATIVSCLNYDPEGRPEIADVWKCINGSLMNSDIEALIPNVDLAARKSFMCLLLGELSSMCSNLGAVESDDTMHLSQDLDDKHSTPDDASSGGIINNEEVGAAGMDGPKCGLYNSSTLLAHRDCITGLAIGGGFLFSSSYDKTINVWSLQDFSHKQSLKGHEHKITAIVVVDNDNQSLCISGDSGSGIFVWCVDPSLSNEPLNKWYESNDWIYRGVHCLAVSGTGYLYTGHKSTVSCLAVACGILYSGSWDGSIRSWWLTDHSPLSVLEDGTPGSITPVLSISTELDFVVASYENGCLKIWKDDVLVKSEKLQNGAIYAAKLNDKWLYAGGWDKVVNIQELLEDDSEVEIRDVATFTCDSIITSILYWDGRLIVGLSNREIKVCIPLFVCCLLY >ORUFI05G18220.2 pep chromosome:OR_W1943:5:18044831:18048497:-1 gene:ORUFI05G18220 transcript:ORUFI05G18220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTASPPPDAEPPECPVCLSPFDAASAAPRVLPCGHSLCGPCIASLPPASASASAASLRCPLCSQCVPFSRALGPSSLPKNLALISLLPSPPNPSRSRTAAAAPPPPHVPLHADHSRLLSRFRHAVLPESASPLHSPAPAAGLAIGSFASDLGAPWFCPRGHPVSLLPIETPAAAAKQESPLYYRPSHAARVAAAIDALSAAARDEVIDLVAVSSRLARRVCRVYGFWMGPEAAPLWLLSERHSRGVSCLLEERSRREETVALIRNVGMEVCEAFMGLHGEGLVLGCIGLGCFCLDRFGHCLLDLNQVLALCHGVRVGVCSSKSKAFMAPEVAEVVHDKLQIKDHDFSGLLGPSSDAWLLGCLLVALVTGDEQLAAGWSTEGSYDDWKNEVLTKVDASLVGTHMEPWSATIVSCLNYDPEGRPEIADVWKCINGSLMNSDIEALIPNVDLAARKSFMCLLLGELSSMCSNLGAVESDDTMHLSQDLDDKHSTPDDASSGGIINNEEVGAAGMDGPKCGLYNSSTLLAHRDCITGLAIGGGFLFSSSYDKTINVWSLQDFSHKQSLKGHEHKITAIVVVDNDNQSLCISGDSGSGIFVWCVDPSLSNEPLNKWYESNDWIYRGVHCLAVSGTGYLYTGSRDKSIKAWSLEDYSLRCTMTGHKSTVSCLAVACGILYSGSWDGSIRSWWLTDHSPLSVLEDGTPGSITPVLSISTELDFVVASYENGCLKIWKDDVLVKSEKLQNGAIYAAKLNDKWLYAGGWDKVVNIQELLEDDSEVEIRDVATFTCDSIITSILYWDGRLIVGLSNREIKVCIPLFVCCLLY >ORUFI05G18230.1 pep chromosome:OR_W1943:5:18049670:18058115:-1 gene:ORUFI05G18230 transcript:ORUFI05G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPVVPPRAPAAHAAVAAPKPPGDAVAAEGEARAPPRPPPAVAAGAGAGASGGGRRVFSVELRPGETTIVSWRKMLKEAGLGAALPPPLPVAAAQPAVAPLPGPSENDCAQSNRFNSVIEKIERLYMGKNSSDEEDLDDAPDDDQYDTEDSFIDDDELDEYFEVDNFATKHNGYFVNKGKLEQIDFDSVQTVEPKKRRRKDSSSSYIENNKEFSPGSSSYMGTPLRDSKRSTLQTGKSTSNGHKSGANGTFEYPYSAYRDKDAPGHLGLQQKITSNGANQDLSKNMHHKEKYNAGQFSGLHASSNIYSTETMHLATKIHTEGSGTKTKGTRLERAIRDLQNIVTEYKPQILDVHEAEANCQVAVKRRLPQEVKQKLAKVARLSANQGKIPEHELINRLMGIVGHLVHRRTLKRNMKEMVQSGLCAKQEKAGKLQQVKMEIYEMVKARLATKPKGAEHKVESIDGFQDPVTHDDRMALRGKSVMDAVLEDRICDLYDLYVEGMDEDKGPQSRKLYLELANLWPHGDMDKVGIRDAISRSKERRNLLYRQRKVRNDQRMKRRRLAAAAKLRDSDPAAPQSAQSLQNMTSTHTMYPVVNNGNSQSSRSVDKVNEMSVGAGSDGNRSSTSMKKRKIDSEDRQVNPPKATAELHHHGIEIQKPAKRADEATKSAAATGDLQWSISFRGNWGGGQPSPKRSWPYFFWGHSQPNHNAAKRQPVGEAKA >ORUFI05G18230.2 pep chromosome:OR_W1943:5:18049670:18058115:-1 gene:ORUFI05G18230 transcript:ORUFI05G18230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPVVPPRAPAAHAAVAAPKPPGDAVAAEGEARAPPRPPPAVAAGAGAGASGGGRRVFSVELRPGETTIVSWRKMLKEAGLGAALPPPLPVAAAQPAVAPLPGPSGATHPTENDCAQSNRFNSVIEKIERLYMGKNSSDEEDLDDAPDDDQYDTEDSFIDDDELDEYFEVDNFATKHNGYFVNKGKLEQIDFDSVQTVEPKKRRRKDSSSSYIENNKEFSPGSSSYMGTPLRDSKRSTLQTGKSTSNGHKSGANGTFEYPYSAYRDKDAPGHLGLQQKITSNGANQDLSKNMHHKEKYNAGQFSGLHASSNIYSTETMHLATKIHTEGSGTKTKGTRLERAIRDLQNIVTEYKPQILDVHEAEANCQVAVKRRLPQEVKQKLAKVARLSANQGKIPEHELINRLMGIVGHLVHRRTLKRNMKEMVQSGLCAKQEKAGKLQQVKMEIYEMVKARLATKPKGAEHKVESIDGFQDPVTHDDRMALRGKSVMDAVLEDRICDLYDLYVEGMDEDKGPQSRKLYLELANLWPHGDMDKVGIRDAISRSKERRNLLYRQRKVRNDQRMKRRRLAAAAKLRDSDPAAPQSAQSLQNMTSTHTMYPVVNNGNSQSSRSVDKVNEMSVGAGSDGNRSSTSMKKRKIDSEDRQVNPPKATAELHHHGIEIQKPAKRADEATKSAAATGDLQWSISFRGNWGGGQPSPKRSWPYFFWGHSQPNHNAAKRQPVGEAKA >ORUFI05G18240.1 pep chromosome:OR_W1943:5:18058975:18059619:-1 gene:ORUFI05G18240 transcript:ORUFI05G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMWVSCSRTAAAVWRVVLTSGGGTAQRSAFHTICRVLHYLKRFLVMNPEQPDSARPAVDYLDMDAQLLRRFPEWEGNGVADVPFEMYAYRVMERDKSNACRDRSSDSGSDDNSLCGDDSVSGGSSTTTTATNASALASSRPLLDRRGSTRSSAPRRKVAIAATKAGKCRSGIVTRLKPSKITASAPCP >ORUFI05G18250.1 pep chromosome:OR_W1943:5:18069881:18075358:1 gene:ORUFI05G18250 transcript:ORUFI05G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSRFPYPLKNSNSGKRTVVFLDRPKGIKNRGRGHVVEGSCQMKAQDMVSRSSCSKNKVFGSLPVDSIPEIDPSYRLFLEHLREDGNGYVLDAPRGDHGSPVFLRYAQDHASNGYANAKSGTNITKSSLRRSHCNQNSEGPGVTSDKAGSANAGHCFSLGTSFAMETSEIDESYATFLRLLKIKNGLMVIEPEPGVTIVYGQAEETPVGYDELRIATSTNGRDSLMTAFENMGEENAMNTDGDGLGKINNSASECEMDGLALENMGGQDLVCIDEHGLPPCTELSDLNVCGDEQGEPLALSCGIPSTFDEKLNDVLSKPYDLNEYKELLRKATDRKLVSRQRHLRNASKPYATRAVGLSFLDHYPDLAIQIDSADSDERKLCLLRKFFFWLENLCHEGAYMPWIDKPLACNPIDADDYETDDPTAIEIIQDGD >ORUFI05G18260.1 pep chromosome:OR_W1943:5:18077697:18078520:-1 gene:ORUFI05G18260 transcript:ORUFI05G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSNSSCWCGRLVAPARLNPCLCEVSSSLQRATIIYPRAMSMEDNACHFSRVESDTSVGNGMRALCLGSTRLRRCGQVLPYPIETHAFYWAMAGGPRVQLGMVNPIHRVVAWIK >ORUFI05G18270.1 pep chromosome:OR_W1943:5:18080649:18085702:1 gene:ORUFI05G18270 transcript:ORUFI05G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCTTRERERERERAVSISGAPALPHRESPPHLHSFHGGLPPPPPPLHPPLLLHSNHGVQSSTPPTIPTLKTGRTATPQPSCFFESEVKICFLVCVLGVEEEEELDMGRGKIEIKRIENSTNRQVTFSKRRAGILKKAREIGVLCDAEVGVVIFSSAGKLSDYCTPKTTLSRILEKYQTNSGKILWDEKHKSLSAEIDRVKKENDNMQIELRLVHMKGEDLNSLQPKELIAIEEALNNGQANLRDKMMDHWRMHKRNEKMLEDEHKMLAFRVHQQEVELSGGIRELELGYHHDDRDFAASMPFTFRVQPSHPNLQQEK >ORUFI05G18270.2 pep chromosome:OR_W1943:5:18080649:18085702:1 gene:ORUFI05G18270 transcript:ORUFI05G18270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPLRRSLLGDLVDLLLLLLLVMPPPDQEREERGEQEECDGDGGGVDDRVVGFGRRGGRGGEGEAEGAGGGRWVGGAGDVGDAGGVRDGEVEAVARRRGAVGGGVGGDVGGGFEAGGGGDARVEAAEAVRRRGEVAARGVQRGHGVVPDDRPVLRGGGDAGAEGRRGDELLRRREAGLHEGRSREGERRRRQLRPVVLEAGRGLPHRRDAEVARGADLLREADGEHGQQRIAAPRHVPRPAGAQVGVPRERRHVAQIERARRVPTPARPIHPLHRAGEQQQQRHGDRPEQRQARHFREQLLRAEDEWWSRAA >ORUFI05G18280.1 pep chromosome:OR_W1943:5:18083662:18085596:-1 gene:ORUFI05G18280 transcript:ORUFI05G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLALLRAVAMALLLLLARSMEGVDGAGGGGNATCPLDLSYVTTFPWDADLCAGGAGNMTRCCNTLLSVLAIGLAEQVRATGHFRIPSVGESAACLKDYGAKLSAAPLSLPGASLVQTCFPSPEQFVSSPSFCAGVTTAAEYRAVVGNDSVAALDSACGDLSSTPHCLRCLDAGIAATSRLKAAANISANATTDGAATTRNCFYLTVTYAAGISNVAGPTNPPTAACTLGLALSTPPAAPPKSHDTVIYATAIPVAFLLLASLLAFLVWRRHDKKKKKKKIHEISKEGSAKRRSHPRPNTGSILYDIAELSKATDAFADRNLVGRGGFGAVYCGVLADGSVVAVKKMLDPDVEGGDEEFTNEVEIISHLRHRNLVPLRGCCIVDDDAEEGKQKFLVYDFMPNGALEDFIFRDGKRPALTWAQRRSIIMDVAKGLEYLHYGVKPAIYHRDIKATNILLDGDMRARVADFGLARRSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVLVLEVLSARRVLDMSAPSGPVLITDWAWAHVKAGQAREVLDGALSTADSPRGGAMERFVLVGILCAHVMVALRPTITEAVKMLEGDMDIPELPDRPLPYGHSAMFSEAGSNFSASPAFSGPFIDNGDMLR >ORUFI05G18290.1 pep chromosome:OR_W1943:5:18095010:18095886:1 gene:ORUFI05G18290 transcript:ORUFI05G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVALREAMAGLPEHGDSRVRYGDAWRRASEGSEGEPAAAVAKEAVDGEGREQDDDGKGVGRRHQAMQWKASAVSRLVPAAPRCQWKVLAPETPPPPPSPLPYPAAAAAHSYAIPLTGKRGLEEEDKGKRGHEEEEEERGAAGGHGGAPGGTVVVSPSSSPLCSVGHRALARRLRPPPLGSASRRALARCLRRPPLSPARCYPLLLHCGKREEKKEESRATPLLSSASLPPPPLPPRRRRSPEIFSRHTPCPHRSPPHLSTDLREKSERERERRQGEKGKEGGADCTP >ORUFI05G18300.1 pep chromosome:OR_W1943:5:18099137:18102825:1 gene:ORUFI05G18300 transcript:ORUFI05G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHTKFNPNYISICNPASSLSLIFTSLFLSWKRVRGSRRGDFCKEMGENGVVASKLCYPAAAMEVVAAELGHTAGSKLYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSFVTYYTSALLADCYRSGDESTGKRNYTYMDAVNANLSGIKVQVCGFLQYANIVGVAIGYTIAASISMLAIKRANCFHVEGHGDPCNISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGVVQVVANGGVKGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESRVMRRATVVSVAVTTLFYMLCGCTGYAAFGDAAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAQQRWPKSWYITKDIDVPLSLSGGGGGGGRCYKLNLFRLTWRSAFVVATTVVSMLLPFFNDVVGFLGAVGFWPLTVYFPVEMYIVQKRIPRWSTRWVCLQLLSLACLAITVASAAGSIAGILSDLKVYKPFATTY >ORUFI05G18300.2 pep chromosome:OR_W1943:5:18100319:18102825:1 gene:ORUFI05G18300 transcript:ORUFI05G18300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGVVASKLCYPAAAMEVVAAELGHTAGSKLYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSFVTYYTSALLADCYRSGDESTGKRNYTYMDAVNANLSGIKVQVCGFLQYANIVGVAIGYTIAASISMLAIKRANCFHVEGHGDPCNISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGVVQVVANGGVKGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESRVMRRATVVSVAVTTLFYMLCGCTGYAAFGDAAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAQQRWPKSWYITKDIDVPLSLSGGGGGGGRCYKLNLFRLTWRSAFVVATTVVSMLLPFFNDVVGFLGAVGFWPLTVYFPVEMYIVQKRIPRWSTRWVCLQLLSLACLAITVASAAGSIAGILSDLKVYKPFATTY >ORUFI05G18310.1 pep chromosome:OR_W1943:5:18112712:18113546:1 gene:ORUFI05G18310 transcript:ORUFI05G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKSAALFLGRCWTNRLGFIPVELEDGDPILLICRCRREVWREHTSRILLQGVLLGVRIGSIFSDLVGTVKERENQGIHRRVLIKSQST >ORUFI05G18320.1 pep chromosome:OR_W1943:5:18114829:18116388:-1 gene:ORUFI05G18320 transcript:ORUFI05G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELSPVLLLLPFLLLGFLYLTGGVLRSGGNARRRLAPAPRGLPVIGNLHQVGALPHRALRALAAATGAPHLLRLRLGHVTALVASSPAAAAAVMREHDHVFATRPYFRTAEILTYGFKDLVFAPYGEHWRHARRLCSEHVLSAARSHRYGPMREQEVALLVNAIRTEAAAAAVDVSKALYAFTNAVICRAVSGRLSREDEGRSELFRELIEENATLLGGFCVGDYFPALAWADAFLSGFAARACRNLRRWDELLEEVIAEHEARLRGGDDGGGEEHREEDFVDVLLALQEESQRHDGSFKLTRDIIKSLLQDMFAAGTDTSFITLEWAMSELVKNPAAMRKLQDEVRRGGGATTAATPYLKAVVKETLRLHPPVPLLVPRECARDTDDDATVLGYHVAGGTRVFVNAWAIHRDAGAWSSPEEFRPERFLPGGGEAEAMDLRGGHFQLVPFGAGRRVCPGMQFALATVELALASLVRLFDWEIPPPGELDMSDDPGFTVRRRIPLRLVAKPVGSEDDK >ORUFI05G18330.1 pep chromosome:OR_W1943:5:18126587:18127042:1 gene:ORUFI05G18330 transcript:ORUFI05G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGNGMAMPPPPAPVKKARYMHMTFFWGKNTEVLFTLWPGARGGMYALAILFMFALAVLLEFRGYRVLEARLARRRAPPAAAALRTAVHAVRVGVAYLIMLALMSFNGGVFLAIVAGHAAGFLAFRAGLCGGGPAPPLEEDRKNDPVCC >ORUFI05G18340.1 pep chromosome:OR_W1943:5:18129986:18133187:-1 gene:ORUFI05G18340 transcript:ORUFI05G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARLGRWVEGMSADNVKGLLLALSSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLLEPLWWAGMTAMIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILREKLHIFGILGCILCVVGSTSIVLHAPPERQIESVAEVWDLATEPAFLLYAAIVLAAAFVLIFHFVPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLVYPQMWVFLLFVVACIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQDPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPNLPIRLPKHAEEDGYAAEGIPLRSAADGIPLRSPRATESFRATL >ORUFI05G18350.1 pep chromosome:OR_W1943:5:18139517:18143241:-1 gene:ORUFI05G18350 transcript:ORUFI05G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSSRLDAADASPVAALCRERRDLLRAAAERRAALAAAHAAYFRALPRVADALARFAEQHHAATPPGSPVLTLPPSEPDEHKKRSASSSTLHTDSGHSHLHFHTDGGSDSEPNSADDDCACGAAAAAAGHGVRGEISPPAEELQERRIPEPGASSRLQMPWEYAPYDPYPSSFPNVTFPNYYYMKASSTPANTVYQEPYGYGNFAANVSYNGYDYGYSNPMYGIPVPPDGERLAEDRVREAAAGAGTAAPPPEAKEAGAYNQQLPQYKDANGSFTSSPNSSEVREREGIPELEEETEQESMRESIKARKAVESTASTRIDNADAVNAKVKTASMEHKECEIESVGSASVLDSGEESVCSCECDHADGNAGAGAATAAPAGDDPRMVKKVASEEHSSMVVAEDVLPKNFGTRDVADVVNEIKEQFNSVVACGDDVARILEVGSMRYRPRRRIVRLVFSRLMGAFALLFSSVSEPPVENLEQTALSASGRNHNSSQRIGSASDIEFNTLSSVMDRLYVWEKRLHKEIMEEEKLRITYDKQWKRLKELDDNGAEPYKIDSTRASISTLLTRINITIRSAKVISRRIHILRDDELHPHLVKLIQGLVRMWKFILECHRKQFHAILETKSHVLIPKNGPERNSKITLELEMELLNWCSCFSNWILSQKAYIETLNGWLVKWLPEEKEETPDGIAPFSPGRLGAPAVFITANDWCQSMKRIPEGTVIGAMEAFAVNVHMLRERQDEEQQQKLKADYLSRDYAKRLKSFQKEHGLAGHHEADKTVLPVAENNRAVDSRMVALDALHKRLDEQRSRHEEAVNQIQESSATDLKAGLGPIFEALESFTQDTLKGYENVRIPINGCGA >ORUFI05G18350.2 pep chromosome:OR_W1943:5:18139519:18143241:-1 gene:ORUFI05G18350 transcript:ORUFI05G18350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSSRLDAADASPVAALCRERRDLLRAAAERRAALAAAHAAYFRALPRVADALARFAEQHHAATPPGSPVLTLPPSEPDEHKKRSASSSTLHTDSGHSHLHFHTDGGSDSEPNSADDDCACGAAAAAAGHGVRGEISPPAEELQERRIPEPGASSRLQMPWEYAPYDPYPSSFPNVTFPNYYYMKASSTPANTVYQEPYGYGNFAANVSYNGYDYGYSNPMYGIPVPPDGERLAEDRVREAAAGAGTAAPPPEAKEAGAYNQQLPQYKDANGSFTSSPNSSEVREREGIPELEEETEQESMRESIKARKAVESTASTRIDNADAVNAKVKTASMEHKECEIESVGSASVLDSGEESVCSCECDHADGNAGAGAATAAPAGDDPRMVKKVASEEHSSMVVAEDVLPKNFGTRDVADVVNEIKEQFNSVVACGDDVARILEVGSMRYRPRRRIVRLVFSRLMGAFALLFSSVSEPPVENLEQTALSASGRNHNSSQRIGSASDIEFNTLSSVMDRLYVWEKRLHKEIMEEEKLRITYDKQWKRLKELDDNGAEPYKIDSTRASISTLLTRINITIRSAKVISRRIHILRDDELHPHLVKLIQGLVRMWKFILECHRKQFHAILETKSHVLIPKNGPERNSKITLELEMELLNWCSCFSNWILSQKAYIETLNGWLVKWLPEEKEETPDGIAPFSPGRLGAPAVFITANDWCQSMKRIPEGTVIGAMEAFAVNVHMLRERQDEEQQQKLKADYLSRDYAKRLKSFQKEHGLAGHHEADKTVLPVAENNRAVDSRMVALDALHKRLDEQRSRHEEAVNQIQESSATDLKAGLGPIFEALESFTQDTLKGYENVRIPINGCGA >ORUFI05G18360.1 pep chromosome:OR_W1943:5:18149897:18151552:1 gene:ORUFI05G18360 transcript:ORUFI05G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTWDPYISLPRHLSLPSSSSHSLTLLFSSHVLWASRGGGRQGEAAGEEHVGRAKEELGEGWLSPRPTRGAAVAASDGGRWAAGVELGGGGGWRERAAAMACRRGGPPPTDEAESWLLRVATSRAVAQG >ORUFI05G18370.1 pep chromosome:OR_W1943:5:18166346:18172947:1 gene:ORUFI05G18370 transcript:ORUFI05G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRSANGELDACFRSLMLSISSGRGQAEGGGAMPTLSGWKDLPIELLLRIMSIIGDDRMLVVASGVCTGWRDALGWGLTNLSLSRCQQNMNNLMISLAHKFTKLQVLTLRQNIPQLEDSAVEAVSNYCHDLRELDLSRSFRLSDRSLYALARGCPQLTKLNISGCSNFSDTALTYLTFHCKNFKCLNLCGCGKAATDRALQAIARNCGQLQSLNLGWCEDVTDKGVTSLASGCPDLRALDLCGCVLITDESVIALATGCPHLRSLGLYYCQNITDRAMYSLANSRVKSKRRRWDSVRSSSSKEEDGLANLNISQCTALTPPAVQAVCDSFPALHTCPGRHSLIISGCLSLTSVHCACALHPHRAGRTMVPSHAY >ORUFI05G18370.2 pep chromosome:OR_W1943:5:18169186:18172947:1 gene:ORUFI05G18370 transcript:ORUFI05G18370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRSANGELDACFRSLMLSISSGRGQAEGGGAMPTLSGWKDLPIELLLRIMSIIGDDRMLVVASGVCTGWRDALGWGLTNLSLSRCQQNMNNLMISLAHKFTKLQVLTLRQNIPQLEDSAVEAVSNYCHDLRELDLSRSFRLSDRSLYALARGCPQLTKLNISGCSNFSDTALTYLTFHCKNFKCLNLCGCGKAATDRALQAIARNCGQLQSLNLGWCEDVTDKGVTSLASGCPDLRALDLCGCVLITDESVIALATGCPHLRSLGLYYCQNITDRAMYSLANSRVKSKRRRWDSVRSSSSKEEDGLANLNISQCTALTPPAVQAVCDSFPALHTCPGRHSLIISGCLSLTSVHCACALHPHRAGRTMVPSHAY >ORUFI05G18370.3 pep chromosome:OR_W1943:5:18168879:18172947:1 gene:ORUFI05G18370 transcript:ORUFI05G18370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRSANGELDACFRSLMLSISSGRGQAEGGGAMPTLSGWKDLPIELLLRIMSIIGDDRMLVVASGVCTGWRDALGWGLTNLSLSRCQQNMNNLMISLAHKFTKLQVLTLRQNIPQLEDSAVEAVSNYCHDLRELDLSRSFRLSDRSLYALARGCPQLTKLNISGCSNFSDTALTYLTFHCKNFKCLNLCGCGKAATDRALQAIARNCGQLQSLNLGWCEDVTDKGVTSLASGCPDLRALDLCGCVLITDESVIALATGCPHLRSLGLYYCQNITDRAMYSLANSRVKSKRRRWDSVRSSSSKEEDGLANLNISQCTALTPPAVQAVCDSFPALHTCPGRHSLIISGCLSLTSVHCACALHPHRAGRTMVPSHAY >ORUFI05G18370.4 pep chromosome:OR_W1943:5:18166346:18171841:1 gene:ORUFI05G18370 transcript:ORUFI05G18370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRSANGELDACFRSLMLSISSGRGQAEGGGAMPTLSGWKDLPIELLLRIMSIIGDDRMLVVASGVCTGWRDALGWGLTNLSLSRCQQNMNNLMISLAHKFTKLQVLTLRQNIPQLEDSAVEAVSNYCHDLRELDLSRSFRLSDRSLYALARGCPQLTKLNISGCSNFSDTALTYLTFHCKNFKCLNLCGCGKAATDRALQVLPVNPLF >ORUFI05G18380.1 pep chromosome:OR_W1943:5:18189884:18195148:1 gene:ORUFI05G18380 transcript:ORUFI05G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAKFLFGVSGNVIALFLFLSPVPTFWRIIRRKSTEDFSGVPYNMTLINCLLSAWYGLPFVSPNNILVSTINGAGAVIETAYVVVFLVFASTHKTRLRTLGLAAAVASVFAAVALVSLLALHGQHRKLLCGVAATVCSICMYASPLSIMRLVIKTKSVEYMPFLMSLAVFLCGTSWFIYGLLGRDPFVTIPNGCGSFLGAVQLVLYAIYRNNKGAGGGSGGKQAGDDDVEMAEGRNNKVADGGAADDDSTAGGKAGTEV >ORUFI05G18390.1 pep chromosome:OR_W1943:5:18196370:18196891:1 gene:ORUFI05G18390 transcript:ORUFI05G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRSLRPLRHPRIADEAARRDGSPPVDAVVVEGAGTSGEDADWPSGHHDVDADRAELRLILLGIPDHQRANPTHHRRLLLRSANTLERNTLKKKPKEAVTTEEKVTEPGEKQLSPNPPNRVTSNKESGATKGKKRLPGGEQPPPPHPQSTTKNENSTSARWTNLDRFRNRR >ORUFI05G18400.1 pep chromosome:OR_W1943:5:18197549:18199713:1 gene:ORUFI05G18400 transcript:ORUFI05G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQEVKRDLSHTVHGVHPPPQSAGDLSAARNPRGAHFNHRRLHHPPIRLKHRRDGEQPANVVAMPPSKLRKAIGAVKDQTSIGLAKVGSGGAAASELDVAIVKATRHSESFPADERHVREVIALTLHSRAYVGACVASLSRRLGRTRSWAVALKTLALVHRLLADGDQAFEQEVFYATRRGTRMLNMSDFCDRSRTDAWDFSAFVRTYAAYLDDRLEPSTAXDFSAFVRTYAAYLDDRLEYRMQAKHGGAARPGRPLREQLYASPGNRFNYDDFIMRDDEADKAVALVARETPTSEMTLEQLLAKAQQLQHLLDRFIACRPVGAAKTNRVVSVSLYPLVKESVQLYCELTEVMAALVEQFPEMEADDCERVHAVFCGLAKQLDELEALYTWCKDAYVCRQSDVPEVELITQKKLELMDEFVRDRRAAAAQSPEPPRREASPVVEDDVSATKALPAPEEPVAAAQEEQNAGETVPAEPEAPPLIANGDADFLNLKGDAMSGEEHGRQLALALFDGNPAGSAPAPDAFDPSSTDWETALVQSASALAHQRAELGGGLSMLVLDGMYSQAAAASTAANAQAFSGSASSVAMRPPGAPMLALPAPPGTSGASGDPFAASMAVAPPAYVQMSDMETKQHQLVEEQMVWQQYGKNGMSGQGALAMLEQQRPPQQQMQMQMLPNGGYNYAGYHRSS >ORUFI05G18410.1 pep chromosome:OR_W1943:5:18200684:18202801:-1 gene:ORUFI05G18410 transcript:ORUFI05G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTCLPPGFRFHPTDVELVSYYLKRKIMGKKPLIQAISDVELYKFAPWDLPAQSCLQSRDLEWFFFCPRDKKYPKGSRTNRSTPNGYWKTSGKDRTIELNSRIVGSKKTLIFHEGKAPKGNRTDWVMYEYKMEDNQLVSAGFSKDDFVLCKIFKKSGLGPRIGEQYGAPFNEEEWEHADAEMFPLLPNVETSVFPLLPSSEVVNSTDDTRVQPSVAARAIEELPVQHLPHVCAGNGSTYQNITVTGESALMELPSQHSVESIGDEVVSVDNCSNVVNNADSPVIEGLVLEELSRFLTDSPHHGNPVGEHSGLPPMSEAEAHAFEVSTNDLYNEIAGLAELGVPNGDGFSPSNAGVTEQQPTYFGVPNSENYVNMDDIFAPDTRLSYAYPLPNNQFWHYPMDHFTYSTTLSSAFPSGDSRPTMRIVDDLPAAANNGGFASKPSMQFPLS >ORUFI05G18420.1 pep chromosome:OR_W1943:5:18213602:18217404:1 gene:ORUFI05G18420 transcript:ORUFI05G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTYPTPSPHLPQAKQSNRLPSSPPPPSPGSRRHATRRAATPTRARGVTKTASSPRLAAPRRRHPHARIAEAGRHSGRFGELGEPADGRSGGGVGGGGGGGGGGGGGVEMVSAACSRAGGGPARHAPRGGAGPGSPRVSVQRRRWWWWAAPLPSASGASSLERVALAFFLASVALVLSCALYLYVFRYLGRGSAVAGFVGGDLEPCDVFDGAWVPDAGYPLYNSSLCPFAERGFNCLANGRRDTGYLKWRWKPRRCDAPRFTARAALERLRGKRVVFVGDSMSRTQWESFICMLMAGVDDPKTVYEVNGNEITKTIRSLAVRFASFDLTVEFFRSVFLVQQRPPPRHAPKRVKSTLRLDRLDNISRKWVNSDVLVFNTGHWWTPTKLFEMGCYFQSGRALKLGTSVEAAFRTALETWASWVEKRIDLNRTHVFFRTYEPSHWSDTNQKVCEVTEQPSSEAKGNDKSEFGTILADVVANMKAPVTLLNVTLMGAFRSDAHVGTWSYPPTVLDCSHWCLPGVPDAWNELVFSYLLTNGWRKMAR >ORUFI05G18430.1 pep chromosome:OR_W1943:5:18218148:18222196:-1 gene:ORUFI05G18430 transcript:ORUFI05G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLETRYRPAGAPSDDTTKRRTPKSRIYKDVENFGVLVLEKNSGCKFKTLRYLLLAITSATFLTLLTPTFYEHQLQSSRYVDVGWIWDKPSYDPRYVSSVDVQWEDVYKALENLNVGSQKLKVGLLNFNSTEYGSWAQLLPGSAVSIVRLEHAKDSITWDTLYPEWIDEEEETDIPACPSLPDPNVRKGSHFDVIAVKLPCTRVGGWSRDVARLHLQLSAAKLAVASSKGNQKVHVLFVTDCFPIPNLFPCKNLVKHEGNAWLYSPDLKALREKLRLPVGSCELAVPLKAKARLYSVDRRREAYATILHSASEYVCGAISAAQSIRQAGSTRDLVILVDDTISDHHRKGLEAAGWKVRVIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDDDSAKAKKTELFGADPPILYVLHYLGMKPWLCFRDYDCNWNIPLMREFASDVAHARWWKVHDNMPEKLQSYCLLRSKLKAGLEWERRQAEKANLEDGHWRRNITDPRLTICYEKFCYWESMLLHWGEKNPTNNNPVPATISSS >ORUFI05G18430.2 pep chromosome:OR_W1943:5:18222203:18222930:-1 gene:ORUFI05G18430 transcript:ORUFI05G18430.2 gene_biotype:protein_coding transcript_biotype:protein_coding REAEEHKPSLTSPAFFFIIFFFFFLPLRRFRFSFAADEKRRLGGGGGGVILLSRERKKRERERERRGEEA >ORUFI05G18440.1 pep chromosome:OR_W1943:5:18248610:18249728:-1 gene:ORUFI05G18440 transcript:ORUFI05G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASQHVNNFVWGKMVYPSLQRSYYRGNHQYIYNDGVVSTGKISLRGALITQEAKEWKKDRSKLIVETKPNRNMDSLLQLYVALVSQHKQSAVPPQRKAHWK >ORUFI05G18450.1 pep chromosome:OR_W1943:5:18250740:18253395:1 gene:ORUFI05G18450 transcript:ORUFI05G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFAGQRSRPWMGDAAASDQAAAGGVGGGGGDVRDDGDGGAASAAAAKGLGDASTNASAISFGFAATAILISMFLLMAIFEHLIKPSLSSSSSSSSSSSSSSRASHGDGDGHGQSSSSHHAAAAAAGVSPDKLFCTPGKLEVVPAEDLTVLMPGQRYPTFLAQPAPLLPWPREGVRWPPHGHRHCFVPP >ORUFI05G18460.1 pep chromosome:OR_W1943:5:18255432:18256004:1 gene:ORUFI05G18460 transcript:ORUFI05G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYSNLACSSSSPPPVAAAGNGAGCRARRSLELTNTKETNAWEGLAIGAVTLARTFSTGSHRISSSSRSGAGERVGRTAGGGGGLPGAVRRAFSMRRHPAGLGKGDGYYWRIHDNMDGDSDDDGDNPAAAAAEEEERDKKEQLAESADEKKKEQLAEAADEKVSVTATATPKKKKGGRIMKACKKLLRL >ORUFI05G18470.1 pep chromosome:OR_W1943:5:18258572:18261321:1 gene:ORUFI05G18470 transcript:ORUFI05G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTTSESGSTTTDDDVHLSPTSICSGGGRGACVDGGSRIKILCSFGGRIMPRPSDGALKYIGGETRVLAVPRSIPFSDLKKKVEEMFRTEVAAIKYQLVAEDLDVLVSVTCDEDLTHMLDEYDRFEAKRSPSASPRFRVYVFSSQPPVAAAVPSTSRHAGYAPPASHHHLLQHHLHHFQPDHYVATPDGSPPYAGHSHGAVSAGNSPRADAVGPDHPAVFGLKMQRVRSTPNLGSLDASPQHYHQHAADVGGGGGGMAGYMGGSSPVHAGAGHLVSQGGFHSYYHPHGQYAPAPVPVPHHAGVGRYDTRGGGGYVRGSNYVAAPPPPMMPVAVRSGRPVSRGGGAPPYNEMHTPKNATTIWD >ORUFI05G18470.2 pep chromosome:OR_W1943:5:18258572:18261321:1 gene:ORUFI05G18470 transcript:ORUFI05G18470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTTSESGSTTTDDDVHLSPTSICSGGGRGACVDGGSRIKILCSFGGRIMPRPSDGALKYIGGETRVLAVPRSIPFSDLKKKVEEMFRTEVAAIKYQLVAEDLDVLVSVTCDEDLTHMLDEYDRFEAKRSPSASPRFRVYVFSSQPPVAAAVPSTSRHAGYAPPASHHHLLQHHLHHFQPDHYVATPDGSPPYAGHSHGAVSAGNSPRADAVGPDHPAVFGLKMQRVRSTPNLGSLDASPQHYHQHAADVGGGGGGMAGYMGGSSPVHAGAGHLVSQGGFHSYYHPHGQYAPAPVPVPHHAGVGRYDTRGGGGYVRGSNYVAAPPPPMMPVAVRSGRPVSRGGGAPPYNEMHTPKNATTIWD >ORUFI05G18480.1 pep chromosome:OR_W1943:5:18264350:18270208:-1 gene:ORUFI05G18480 transcript:ORUFI05G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNPGCTVFIGNLDEKVPERVLYEILIQVGRVVDLHIPRDKETNRSKGYAFAEYETEEIAQYAVKLFSGLVRLHNRTLRFAISGQDKQSSNGNIPVTPKVNPIPPPKPAQLMRSSDTPASQHTVALTERQPQSEKKAARARPMSAKAVVVLCATSFFVGLLLSGRMTLLMPPPSGSVGAASSGHGSRLSLFSDDCEHRHKLDEGNPNDIMNEVSRTHQAIQSLDKSVSSLEMELAVERAKQNGGLGAAVPSKRGRRPPRAFVVIGINTAFSSKKRRDSLRDTWVPRGERLRRLEEKGVVVRFVIGHSATPGGALDRAIDVEDAETRDFMRLDHVEGYHELSSKTRTYFTAAVATWDADFYVKVDDDVHVNLGMLTSRLARGVKYHEPEYWKFGDEGNRYFRHATGQIYAISKDLASYISINQPILHRFANEDVSLGAWLIGLEVEHVDDRSLCCATPPDCEWKKQAGNVCAASFDWSCSGICKSVDRMRAIHSACGEGDGAVSNNFAAAAAA >ORUFI05G18490.1 pep chromosome:OR_W1943:5:18272669:18274819:-1 gene:ORUFI05G18490 transcript:ORUFI05G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECETGYVAAAADGGLCMEVPRADPLNWGKAAEEMAGSHLDEVKRMVAEYRQPVVRIEGASLRIAQVAAVAGAGDGEAAMVELDESARERVKASSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGADGHVLPAGATRAAMLVRINTLLQGYSGIRFEILEAVAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRENSVAVAPDGRKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKEAKRLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHIEENVKGAVKTCVMTVAKKTLSTNSTGGLHVARFCEKDLLSEIDREAVFAYADDPCSANYPLMKKLRSVLVERALANGAAEFDAETSVLAKVARFEEELRAALPRAVEAARAAVESGTAAAPNRIAECRSYPLYRFVREELGTAYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGAPLPIC >ORUFI05G18500.1 pep chromosome:OR_W1943:5:18280837:18282436:-1 gene:ORUFI05G18500 transcript:ORUFI05G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGPAVCSTSVLTPLRHRLLPLGNYNSNNVAALDVGSEYGFDTSYYANRTVRARVRRHAPLAKTLPKLIQLKGNQTQSTSSFANAMVKMGNLRGGYPGEVCDNCRRVRT >ORUFI05G18510.1 pep chromosome:OR_W1943:5:18285231:18288270:1 gene:ORUFI05G18510 transcript:ORUFI05G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEAVSNGHFAVLETLLLEEAWLGSTVNARGVSPLYLAVLSGRADMVQLLIEQSPEVVRSPAYYSGPDGKTALHAAALVSEDMTESLRLSMPMLTRRGDDFGNTALHYATSAGRIRVVNLLLEDPTLAYLPNSYGQYPVHIAAIKGHVHIVDQFFELYPNCGELLDNNGRNALHCAIEHGRIKVVTNICKSPSFTQMMNTRDKQGNTPLHLAIKLGYASMAFPLMLDARVSLNATNNEGLTPLDVAIYKRDQWCTLSTFNPRIITMISCLEWRGANSRPWCLPERQSEQLDMRETENILSSLYSNLSQNLLTISVLIAAASFAAFFTVPGGYIAEGEDAGVPVLSEVPAFMSFMEFNALALGFSISATMLLLLTSLPDSSQRYRRYNIRYSIALVETGILAMVSTVVYVVRLTPLPAGDWYEFLHLKGDLHFFLRSMIIMFILIANKRSLWWPLRYARLIYSQFGLEGLFAALLGHRPVRLLKQLRSIYPWQNIFGILSSIVIYALIIIPVSQMDTGLPWQSSHNWNV >ORUFI05G18520.1 pep chromosome:OR_W1943:5:18297318:18300442:-1 gene:ORUFI05G18520 transcript:ORUFI05G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPPELYYRILNISRDTSPKEIRAAYKTLVRQWHPDKHPPSSKNEAEARFKAITEAYEALLDQQENRAAFGARGNVDAVDEKGDRTAAAGGGGGGGATTTGGVGGDGRAPSSAMPRAQGAEKKKAPSAAAPPPTRTAPCGTPAREFKKPVLYSSTGLGEAAGGGRRRAFAEFSSCVVRKAPPLERRVECTLEELCSGCKKEVKYTRDVVAKNGLVSKKEETKTIRVKPGWKKGMKVTFEGMGDERPGCLPGDAVFTISERKHKVFKRKGNDLVLKAEVPLVSALTGWSFSFRLIGGEKMSFTFRDEVISPGYEKVVAGEGMPVVAAGGGGEKAAAARGDLRVKFDVVFPKNLTGEQRAGLASILRACP >ORUFI05G18530.1 pep chromosome:OR_W1943:5:18304627:18304839:-1 gene:ORUFI05G18530 transcript:ORUFI05G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPPPPFFLPPLRRSRLFVISLSDHATPLPVPGSWLGATGARGTGCSAVDGEPDKVAGRPVGTDHSDG >ORUFI05G18540.1 pep chromosome:OR_W1943:5:18307911:18313075:1 gene:ORUFI05G18540 transcript:ORUFI05G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPMRGCDELSSRPEETEGGEQDQHCYAVGRRSPESERHRVGVESFRSSEYSELQSSERRQTYQTGKSEYLTLDRLVNVPEDIGLYHIEATV >ORUFI05G18550.1 pep chromosome:OR_W1943:5:18308301:18316859:-1 gene:ORUFI05G18550 transcript:ORUFI05G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGMAITAALVVVAAAAESRWAELGREITYDGRALVVSGARRMFFSGDMHYARSTPEMWPKLIAKAKNGGLDVIQTYVFWNVHEPIQGQYNFEGRYDLVKFIREIQAQGLYVSLRIGPFVEAEWKYGGFPFWLHDVPSITFRSDNEPFKQHMQNFVTKIVTMMKHEGLYYPQGGPIIISQIENEYQMIEPAFGASGPRYVRWAAAMAVGLQTGVPWMMCKQNDAPDPVINTCNGLICGETFVGPNSPNKPALWTENWTSRYPIYGNDTKLRAPEDIAFAVALFIARKKGSFVSYYMYHGGTNFGRFAASYVTTSYYDGAPLDEYGLIWQPTWGHLRELHCAVKQSSEPLLFGSYSNFSLGQQQEHNTPKVEFRNISLELAPKSISVLSDCRNVVFETAKVNAQHGSRTANAVQSLNDINNWKAFIEPVPQDLSKSTYTGNQLFEQLTTTKDETDYLWYIVSYKNRASDGNQIAHLYVKSLAHILHAFVNNEYVGSVHGSHDGPRNIVLNTHMSLKEGDNTISLLSVMVGSPDSGAYMERRTFGIQTVGIQQGQQPMHLLNNDLWGYQVGLFGEKDSIYTQEGTNSVRWMDINNLIYHPLTWYKTTFSTPPGNDAVTLNLTSMGKGEVWVNGESIGRYWVSFKAPSGQPSQSLYHIPRGFLTPKDNLLVLVEEMGGDPLQITVNTMSVTTVCGNVDEFSVPPLQSRGKVPKVRIWCQGGNRISSIEFASYGNPVGDCRSFRIGSCHAESSESVVKQSCIGRRGCSIPVMAAKFGGDPCPGIQKSLLVVADCR >ORUFI05G18550.2 pep chromosome:OR_W1943:5:18308301:18316859:-1 gene:ORUFI05G18550 transcript:ORUFI05G18550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGMAITAALVVVAAAAESRWAELGREITYDGRALVVSGARRMFFSGDMHYARSTPEMWPKLIAKAKNGGLDVIQTYVFWNVHEPIQGQYNFEGRYDLVKFIREIQAQGLYVSLRIGPFVEAEWKYGGFPFWLHDVPSITFRSDNEPFKQHMQNFVTKIVTMMKHEGLYYPQGGPIIISQIENEYQMIEPAFGASGPRYVRWAAAMAVGLQTGVPWMMCKQNDAPDPVINTCNGLICGETFVGPNSPNKPALWTENWTSRSNGQNNSAFSYPIYGNDTKLRAPEDIAFAVALFIARKKGSFVSYYMYHGGTNFGRFAASYVTTSYYDGAPLDEYVNFDQHNTPKVEFRNISLELAPKSISVLSDCRNVVFETAKVNAQHGSRTANAVQSLNDINNWKAFIEPVPQDLSKSTYTGNQLFEQLTTTKDETDYLWYIVSYKNRASDGNQIAHLYVKSLAHILHAFVNNEYVGSVHGSHDGPRNIVLNTHMSLKEGDNTISLLSVMVGSPDSGAYMERRTFGIQTVGIQQGQQPMHLLNNDLWGYQVGLFGEKDSIYTQEGTNSVRWMDINNLIYHPLTWYKTTFSTPPGNDAVTLNLTSMGKGEVWVNGESIGRYWVSFKAPSGQPSQSLYHIPRGFLTPKDNLLVLVEEMGGDPLQITVNTMSVTTVCGNVDEFSVPPLQSRGKVPKVRIWCQGGNRISSIEFASYGNPVGDCRSFRIGSCHAESSESVVKQSCIGRRGCSIPVMAAKFGGDPCPGIQKSLLVVADCR >ORUFI05G18560.1 pep chromosome:OR_W1943:5:18318828:18319850:-1 gene:ORUFI05G18560 transcript:ORUFI05G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVLAVVLFIGVALWSSSSSPAAAAAGTKEELEDGDDQRGEVTYDGRALIVNGTRVMLFSGEIHYARSTPEE >ORUFI05G18570.1 pep chromosome:OR_W1943:5:18325589:18328133:-1 gene:ORUFI05G18570 transcript:ORUFI05G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGSSEHFLRQFSASDGAPLPRELGEEWAAAECGRRGSRRWSRKKARGHHRRGGGGGGGGGLCRSREEAPAGRKRVMVVVDQSSGAKHAMMWALTHVASKGDFLTLLHVLPHGGGDASALANSLGSLCKACKPEVEVEALVIQGPKLGTVLSQVKKLDASVLVLSQCKPSPFCCFMRSSGEEFVEECINRADCLTLAVRRQSKGVGGYLISTRWQKNFWLLA >ORUFI05G18580.1 pep chromosome:OR_W1943:5:18342037:18344175:-1 gene:ORUFI05G18580 transcript:ORUFI05G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRASTATTMQLGLLLAALLLFTSSLAGSVAAAAPPPPAGAKGGGAKSGGGGGTVIGIDLGTTYSCVGVYRNDRVEIIANDQGNRITPSWGAEKNVLVFDLGGGTFDVSILAIDNGVFEVLATNGDTHLGGEDFDQRLMDHFVKVIRRKHGRDIAGDARALGKLRRECERAKRALSNQHQLRRECERAKRALSNQHQVRVEIESLFDGVDFSEPLSRARFEELNGDLFKKTMVPVRKAMADAGLGKGDIDEIVLVGGSTRIPKVQQLLKDYFGGKEPNRGVNPDEAVAYGAAVQASIISGHVDENTESMILLDVAPLTLGLETAGGVMTKLIPRNTVVPTKKTQVFTTYKDRQTTVTIQVFEGERSMTRDNRLLGKFDLTGIAPAPRGAPQIAVTFEVDANGILSVLAADKATGSVLAADKATGRSEKITISGDDRKISQEEIDRMVREAEEFADEDRRHREQVDARNSLEAYVYNVKSTLGGKMADAMEGEEKEKVEEAVREAHEWLDGNPDAGKEEYEEKLRELEDVCNPVMSAVYQRSGGGGGAPEDGNVDDEDDHDEL >ORUFI05G18590.1 pep chromosome:OR_W1943:5:18347899:18353028:-1 gene:ORUFI05G18590 transcript:ORUFI05G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSFESASSSGGSGGGGGGGGGEGSGSFNLRNLSKLILPPLGVPAGGHAQSGHAGPNDRRVISPLDSRYRCWDTFMVVLVAYSAWVYPFEVAFMNASPKGGLEVADIVVDLFFAVDIVLTFFVAYIDSRTQLLVRDRRRIATRYLSTFFIMDVASTIPFQGLAYIVTGEVRESPAFSLLGILRLWRLRKVKQFFTRLEKDIRFNYFWIRCARLIAVTLFLVHCAGCLYYLIADRYPHREKTWIGAVIPDFQEASLWIRYTSSVYWSITTMTTVGYGDMHAQNTVEMIFNIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIRAASNFVGRNHLPPRLKQQILAYMCLKFRAESLNQQQLMDQLPKSICKGICEYLFLPVVKDVYLFKGVSREVTKMKPEYIPPKEDVIVQNEAPDDVYIVVSGEVEVIYSDGEAEERVVATLGTRGVFGEVSALSDRPQSFTLRTRTLCQLLRLRQAALKEAMQSKPEDSVVIIKNFLKHQIEMHDMKVEDLLGEDAAGEYDHGNIPCNLLTVAATGNSSFLEDLLKVGMDPDVGDSKGRTALHIAASKGYEDCVLVLLKQACNVNIKDAQGNTALWNAIAARHHKIFNILYHFARVSSPHHAAGDLLCLAARRGDLDTLRELLKHGLAVDSEDRDGATALRVALAEGHADVARLLVLNGASVDRAASHNEQQAAAAVSVDELRELMKTRELAHPVTIVVDSPSPAAAAVIREVGSSGDSRNGRRQSARSDGAHWPRVSIYRGHPFVRNRSSEAGKLINLPGTMEEFRIIIEEKLKVDARKTLIMNDEGAEIDSIDVIRDNDKLFIVTEEHMTAVASMDSVSGS >ORUFI05G18600.1 pep chromosome:OR_W1943:5:18355870:18357034:-1 gene:ORUFI05G18600 transcript:ORUFI05G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILAHAEKASRQRFVQSRWRSWRKKAAKRFKPIPRSCRRSSRTWPAMRDIKIMGFFISKRRGRGHGGSFRFHTTVEAAQQAIATGHVEAESAANGVGLTKLMGRSAGHRGEERQTARRAAQERDGRRCPTRLARHRPPPAMRCPSSRRPPPPRGPPSPAVLDATRREEGAERWGEPERRKKRIGFGYLRMTCVAHVGHTSVADMWDPPFLNYFFYG >ORUFI05G18610.1 pep chromosome:OR_W1943:5:18359333:18362690:-1 gene:ORUFI05G18610 transcript:ORUFI05G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRATGGRRRAAGEGMDHRPDLSLALHPPPPPEGSSAAAAEEVGEEEAEGGEGRFSLMGQPLFLKRPRPRPPRPPRARGVGDDVDVDVDDDSSPCCSSSCLSPAAKRRGGGGGLGARPLTEADPDVHELMERERRRQAGGVELIASENYACRAVLDALGSHLTNKYSEGLPGARYYCGNQHIDAIERLCCDRALAAFGLDPSRWGVNVQPYSCTSANFAVYTGLLLPNDRIMGLDSPSGGHVSHGYYTPSGKKVSGASIFFENLSYRVNPHTGYIDYDKVEEKAVDFHPKILICGASSYPRDWDYARMRLVADKCGAVLMCDMAQISGLVAAKECRNPFDYCDIVTSTTHKSLRGPRGGIIFFRKGKNLRKRVGSLTQVVENDQYDFEDRINFAVFPSMQGGPHNNHIAALAIALKQVAMPEFKAYIQQVKKNAQALAMALLRRKCRLVTGGTDNHLMLWDLRTFGLTGKNFEKVCEACHISLNKTPIYGDNGSISPGGVRIGTPAMTTRGCLESDFEIMAEFLLRAAHIASIVLKEHGRLQKDFLKGLENNNDIIELRNQVETFALQFAMPGFDV >ORUFI05G18620.1 pep chromosome:OR_W1943:5:18370990:18371232:-1 gene:ORUFI05G18620 transcript:ORUFI05G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRHGSDSGASRSSNGEVWSGDEVRVRRQRQQIGRNDVERLGMTTSRWSGDGASRFSSVEVEDSVSCSSEVSSFKLQGF >ORUFI05G18630.1 pep chromosome:OR_W1943:5:18373765:18376128:-1 gene:ORUFI05G18630 transcript:ORUFI05G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKQETPAAAEVVVVEKADEVVAVEKAVEVEAEEKKLAEQEEEEEKKAEEAEEAAGGDEAAVIEGTGSFKEESNLVSELPDPERTALAQLKELVAAALAAGEFDLPPPPPPPPAKAEEPAKEEEPKAAEAPAAEEPKAEAEAEAEAAATEEPKTEEPKTEEPAKEEEPKAAAAAAAEEPKAEAAAEEAKPAEPETEEKTVVVTEDEGTSKTVEAIEETVVVAAPAAAAEAEAAAPKEELIWGVPLTGDDERTDTVLLKFLRAREFKVKEAMAMLKAAVLWRKRFGIDAVLAADLGLPELENVVFYRGADREGHPVCYNVYGEFQDKDLYEKAFGDEEKRERFLKWRIQLLERGILDQLDFSPSGICSMVQVTDLKNSPPMLGKHRTVTRQALALLQDNYPEFIAKKIFINVPWWYIAANKMVSPFLTQRTKSKIIFCTAAKSAETLFRYIAPEQVPVQFGGLYKEDDTEFSTSDAVTELPIKPSSKETVEIPATENSTVVWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPANEEPIMKGSFKVGEPGKIVLTVDNAASKKKKQLLYRFKVKSSSESA >ORUFI05G18640.1 pep chromosome:OR_W1943:5:18386347:18387880:-1 gene:ORUFI05G18640 transcript:ORUFI05G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTAPPYAAGAPRRRLLAARPLGRGAALALAPAARTSSSSVTGPRRGSWEDVARRRSGRTEVASVDDDEACELVSGADLVIGGDVDEGDGVRAYLLKAVKNNNGTGVLLLSDVFGFEDSATRDFAYRVACHGYNVLVPDLFRGSPWKKAEKDGFDAWLAGHAPERVSGDIDACTNWLVDEFTAAGVSRKLGIIGFCYGGGRLVETLARDGGGGGGAYSAGDGRVAGAAAPPAPAVPPVLFVCGDGDPLCGVETVRALEARARGARAAVYAGRGPGADPMGHGFAHRPQSLEDDGDAEDAFALMRGWLHDHLLA >ORUFI05G18650.1 pep chromosome:OR_W1943:5:18389567:18391981:-1 gene:ORUFI05G18650 transcript:ORUFI05G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAMPPRPFMMPGPGGPMPPPQQFGLVETRPPLAAVLRPRFNIPGLHPSAAAASAAGKIASTYDLVESMRFLYVHVVKAKDLPAVSAAGTIDPFVEVKLGNFKGTTPVLGGNHNPSWKQVFAFSATHLQAHVLEVAVKAKDLAGGDDLIGRVGFDLSEVPIRVPPDSPLAPQWYRLENKRGEKTRGEIMLSVWLGTQADEAFPDAWHSDAHAAAGPGAVASTRAKVYFSPKLVYLRVAAIGAQDLVPLDASRPANACVKLQLAGQVRRTRPGAPPGTLNPIWNEEFMFVVSEPFDEPLFVTVEDRVGPGRDEPLGRIMLPLNAAMPRHDHFGKPVEPRWYSLARPSDDPDKKEGKFASKIQLRMSLDFGYHVLDESTYYSSDLQPSSKHTRKPSIGILELGILGARNLIPMKGKDGRTTDAYCVAKYGPKWVRTRTILNTLNPQWNEQYTWEVFDPCTVITVVVFDNNQIGKNGDARDESIGKVRIRLSTLETDRVYTHFYPLLALKPSGLKKTGELHLAVRFTCTAWVNMIALYGRPLLPKMHYTQPISVMQLDYLRHQAMQIVAARLSRAEPPLRREVVEYMLDVGSHMFSLRRSKANFYRITSLFCGFAATAKWYDGIRSWRNPITTVLVHMLFLILICYPELILPTIFLYMFMIGLWNYRYKPRHPPYMDTKLCHAEFTNPDELDEEFDSFPSSRPADIVRMRYDRLRSVGGRVQTVVGDLATQGERAHALLSWRDPRATAIFIFLSLVVAIVLYVTPFQVLLVIAMLYLLRHPRFRSRMPSVPFNFYRRLPAKSDMLL >ORUFI05G18660.1 pep chromosome:OR_W1943:5:18400790:18401152:-1 gene:ORUFI05G18660 transcript:ORUFI05G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAPVRGNEGRWSVGAGAGNEGGSGELQKRAGVVGRRRHETRRRWRETRRVVRGGWVLTGMRRKQRPPAQLAGMEIGTACSSRRWPQLLTRRPQPPSRPPAASSVHRESKGQRRIEKKR >ORUFI05G18670.1 pep chromosome:OR_W1943:5:18409289:18410173:-1 gene:ORUFI05G18670 transcript:ORUFI05G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFTDDEDELIIRLHSLLGNKWSLIAGQLPGRTDNEIKNYWNTHIKRKLLARGIDPQTHRPLLSGGDGIAASNKAAPPPPHPISVPAKAAAAAIFAVAKPPPPPRPVDSSDDGCRSSSGTTSTGEPRCPDLNLELSVGPTPSSPPAETPTSARPVCLCYHLGFRGGEACSCQADSKGPHEFRYFRPLEQGQYI >ORUFI05G18680.1 pep chromosome:OR_W1943:5:18412972:18415700:1 gene:ORUFI05G18680 transcript:ORUFI05G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSCAMPWPDPARLPSSFLLKPAMQVNEFFRGLGCKRAMPSKKQRQKTGFLDTSSISIDLHQQGGEAVNDVLVRADQPRLAIGGGVAGEEGLSDHISHETESSGSGDQPASAGRQQMPATTLQQTTGTFRCTRCR >ORUFI05G18690.1 pep chromosome:OR_W1943:5:18422519:18427073:1 gene:ORUFI05G18690 transcript:ORUFI05G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSVEALARRGGGGESESENEEEEEKRRGRAGERRLRGGGSAAAAAAAAGSGELLSIPGVGPRNQRKLVDNGFEGVAQLKQLYRDKFFGKYSEKMVEFLQSSVGIIHKNHAESITSFIKESVDEELKDADSSKASQKKRLTFCVEGNISVGKSTFLQRIANETIELRDLVEIVPEPVAKWQDIGPDHFNILDAFYAEPHRYAYTFQNYVFVTRVMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMLRKRAEEGGVTLDYLQGLHEKHESWLLPSKGGGTGVLSVSQLPTHLEGSLPPAIRDRVFYLEGDHMHSSIQKVPALVLDCEPDIDFNKDIEAKRQYAQQVAEFFQFVKKKKEAPSEQTSTDKNRINPQIMLPHKGGLWVPDGRNPFSGSAMNLNFRRAMSSYLST >ORUFI05G18700.1 pep chromosome:OR_W1943:5:18430482:18436913:1 gene:ORUFI05G18700 transcript:ORUFI05G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKVSAVDKSPSDTTLVRDQIVDPEPALTKRAKSPVVEEATAKRVEDQQQSFAFLESVVPGLAVYNGADAGQAGSRTPQLARTLSQKAGLGKTKAGAAKVSEVSSLLGRAGTVGLGKAVEVLDTLGSSMSSLNTSSGFISAAKGDKISILAFEVANTIVKGSNLMRALSKTNIKHLKEVVLYSEGVQHLISKDMDELHKIAATDKREELEIFSKEVVRFGNRCKNPQWHSLDRYFEKCSLISALYLMKELYHELHTLDRFEQDCRRKQQELDGLGSRGDSLHMLKQDVKSQTKHVKSLKKRSLWSKNLEEVMEKLVDIVHFLHLEINNAFGLADSEAPQEPAKHHNRLGPAGLALHYANIINQIDTLVSRSSLIPPTTRDTLYQGLPLTIKSALRSKLQSFELKEELTASQIKAEMEKTLRWLVPIANNTTKSELNCKLSGQMDLTRIETLYHAEKEKVDGHILELVVWLHHLISKSKNANGGVRSPIKSPVRSPTQKGITLMPDKSNSSSPILTQEDKDMLKNVKFRKFVPGISKSQEFDTKSRHSKQIRLIKSNSQSPTSGSRKDMLSLRRSSMLPVIDFQMDRTKALDLIDRLDGLKKQ >ORUFI05G18710.1 pep chromosome:OR_W1943:5:18436836:18439295:-1 gene:ORUFI05G18710 transcript:ORUFI05G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLAPPTASFSPAPAPGRVKAAAPLLSRRPPGRFLLRVASESSSISGDTLLGLYEKERLSHSLYANEDYDKEMFWENLDADLRYWTRSLRPVQWYPGHIAKTEKELKEQLKLMDVVIEVRDARIPLATSHPKMDAWLGNRKRIIVMNREDMVSNEDRNAWASYFANQGTKVVYSNGQLGMGTMKLGRMAKSLASTVNTKRREKGLLPRAVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGSDLELLDSPGILPMRISDQTAAIKLAICDDIGERSYDFADVAAILVQLLVRHPAVGPEAFRRRYRLDMDSDCGKMFVTKLSVHLFNGDASQAAFRILSDYRKGKFGCVALERPPT >ORUFI05G18720.1 pep chromosome:OR_W1943:5:18439558:18439854:1 gene:ORUFI05G18720 transcript:ORUFI05G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAQFVAAAALPALLPTPCGRTSSSRASSDERWDIQKKPWLQADALHGEEDRNAAMTMRLTTNKEISKPRHAVFAGPSFVAPEPCMLPLPKFLMAR >ORUFI05G18730.1 pep chromosome:OR_W1943:5:18441754:18442131:-1 gene:ORUFI05G18730 transcript:ORUFI05G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVGMVMAAGMAMAVAEFADPAMVRRPTVEGSCGVGGLSIARCCRLWRLLAMVMQGGQPDSAPLGPDLARPQSEVGWCGVRSSCSWHLGASAMDALVNRVSEVKTLLRFWC >ORUFI05G18740.1 pep chromosome:OR_W1943:5:18445542:18448053:-1 gene:ORUFI05G18740 transcript:ORUFI05G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPPDAAGDLFAANLKGSLLAVASSAFIGVSFIVKKKGLRRAGAAGPRAGVGGYGYLLEPLWWVGMITMLIGEIANFVAYMFAPAVLVTPLGALSIIVSAVLAHFILNEKLQRMGVLGCVLCIVGSTVIILHAPEEETPSSVEQIWHLATQPAFLCYVAFALVVSLILMAHCAPRYGQTNIAVYIGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWLFATVSAICIIIQLIYLNKALDTFNTAVVSPIYYAMFTSLTILASAIMFKDWSGQSISSIASEICGFLTVLSGTVVLHSTREYDQTISPDLYTPLPPIYWHIQGNGETVKQKEDDSLSADFITVVRQDYFV >ORUFI05G18750.1 pep chromosome:OR_W1943:5:18451106:18452731:1 gene:ORUFI05G18750 transcript:ORUFI05G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASTSRLLLLRHHHHAAADGSKQQQQLRYSSKPSSLALPRLRLLPAAGALLPDRVTPFSYEEDDESDDHPREECGLVGVVGDPDASSLCYLGLQKLQHRGEEGAGIVAVGGDGKLKSVTGLGLVADVFGDPARLASLPGPAAIGHVRYSTAGAAASLRNVQPFLAGYRFGQVAVAHNGNLVNYQALRNKLEARGSIFNTSSDTEVILHLIATSLSRPLLSRICDACERLAGAYSLLFLTADKMFAVRDPHGFRPLVLGRRRNGTVAFASETCALDLIEATYEREVEPGEVVVVDRRDMSVSSACLVPHRPRRSCVFEHIYFALPNSVVFSHAVHERRTAFGRALAEESPAAGADVVIPVPDSGFYAALGFARASGLEFQQGLIRWHYSGRSFIQPTQAIRDLAVKLKLAPVHGVIRGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVVGSCLYGIDTPSEGELISNRMDLEGVRREIGSDSLAFLSLGKLHSIYGAEAEGYCDACFSRNYPVLPTLPEPVVELEE >ORUFI05G18760.1 pep chromosome:OR_W1943:5:18454182:18457705:-1 gene:ORUFI05G18760 transcript:ORUFI05G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSTDPLLPRAGAARRRPATGGWRSALFIIWVEVAERFAYYGVSSNLISYLTGPFGETTAAAAAAVNAWSGAASMLPLLGAAVADSWLGRYRTIVASSVLYITGLGLLALSSTFSSPQSQQCSSSGDGRQVCRSSSVQRAFFYVSLYLVAIAQSGHKPCVQAFGADQFDATDPGESSSRSTFFNWWYLGLCASATVTAAVMSYVQDNVGWGLGFGVPGMVMLLGLLVFLLGTRTYRFYDGGGSGAFSGVGEAVREWRKSRRRGEGGGGGGATVEAEHGELAEEVRGMARLFPIWATCLLYGVLFAQPPTLFTKQAATLDRRIGPSSSFQVPPAALQSFLGVSIIPCVLLYEHVLVPAARRATGVATGITMLQRIGAGIAMCAVTLLVAALVEMRRLSAARDADPGAAVPMSLWWMVPQYVLFGAADVFAMVGMQEFFYDQVPGALKSLGLALYLSVLGVGSFISSFLISAIDVVTRRDGGTSWRKVVDVQ >ORUFI05G18760.2 pep chromosome:OR_W1943:5:18453727:18457705:-1 gene:ORUFI05G18760 transcript:ORUFI05G18760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSTDPLLPRAGAARRRPATGGWRSALFIIWVEVAERFAYYGVSSNLISYLTGPFGETTAAAAAAVNAWSGAASMLPLLGAAVADSWLGRYRTIVASSVLYITGLGLLALSSTFSSPQSQQCSSSGDGRQVCRSSSVQRAFFYVSLYLVAIAQSGHKPCVQAFGADQFDATDPGESSSRSTFFNWWYLGLCASATVTAAVMSYVQDNVGWGLGFGVPGMVMLLGLLVFLLGTRTYRFYDGGGSGAFSGVGEAVREWRKSRRRGEGGGGGGATVEAEHGELAEEVRGMARLFPIWATCLLYGVLFAQPPTLFTKQAATLDRRIGPSSSFQVPPAALQSFLGVSIIPCVLLYEHVLVPAARRATGVATGITMLQRIGAGIAMCAVTLLVAALVEMRRLSAARDADPGAAVPMSLWWMVPQYVLFGAADVFAMVGMQEFFYDQVPGALKSLGLALYLSVLGVGSFISSFLISAIDVVTRRDGGTSWFDDDLNRGHLDYFYLLLAALTVLDLLAYRTTKLGDDSRKAWPWAEHGVTTFKQIPRNVI >ORUFI05G18760.3 pep chromosome:OR_W1943:5:18454182:18457705:-1 gene:ORUFI05G18760 transcript:ORUFI05G18760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSTDPLLPRAGAARRRPATGGWRSALFIIWVEVAERFAYYGVSSNLISYLTGPFGETTAAAAAAVNAWSGAASMLPLLGAAVADSWLGRYRTIVASSVLYITPGSCSFQWPVVIMLNTFSGVRGRIRHGLGLLALSSTFSSPQSQQCSSSGDGRQVCRSSSVQRAFFYVSLYLVAIAQSGHKPCVQAFGADQFDATDPGESSSRSTFFNWWYLGLCASATVTAAVMSYVQDNVGWGLGFGVPGMVMLLGLLVFLLGTRTYRFYDGGGSGAFSGVGEAVREWRKSRRRGEGGGGGGATVEAEHGELAEEVRGMARLFPIWATCLLYGVLFAQPPTLFTKQAATLDRRIGPSSSFQVPPAALQSFLGVSIIPCVLLYEHVLVPAARRATGVATGITMLQRIGAGIAMCAVTLLVAALVEMRRLSAARDADPGAAVPMSLWWMVPQYVLFGAADVFAMVGMQEFFYDQVPGALKSLGLALYLSVLGVGSFISSFLISAIDVVTRRDGGTSWFDDDLNRGHLDYFYLLLAALTVLDLLAYVYFSMSYIYRRKVVDVQ >ORUFI05G18770.1 pep chromosome:OR_W1943:5:18470901:18471594:1 gene:ORUFI05G18770 transcript:ORUFI05G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAESACGPHMASGRATDEWGRSRHAARGVRRPGRQRRRPRCRRLLLRLFPAAERSRWRWFPPPRAAGLDAGDADGGGATSRHAAAVDDPHAAADNAAEAAGDRVRRRAGPGLELECNERQFVPASCRSSSQRRRRHGPPASPPPPSRRQRWGEGGGGAVNDTQDDVVKKECDKRQPRQEMAVDAASQNGRTVACSSEASWTSRSGLLSGHA >ORUFI05G18780.1 pep chromosome:OR_W1943:5:18472440:18472796:-1 gene:ORUFI05G18780 transcript:ORUFI05G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLKRQLAPGPSTAPPAPSTATAPANRMMNFAESSSRQQQAAAANNEDIELPDESDEEEDDDQIAKKSVPAAVFGELGNRAAENREEESSGAQENGQLGALERIKRRRQ >ORUFI05G18790.1 pep chromosome:OR_W1943:5:18474356:18476242:-1 gene:ORUFI05G18790 transcript:ORUFI05G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSIRRQRRKRSAEGAVSCQYLRCHLTTLKHRCRHATGGQVLLRLAGATVYHASAVRRIGWHMEMIPELNAKC >ORUFI05G18800.1 pep chromosome:OR_W1943:5:18476466:18476915:1 gene:ORUFI05G18800 transcript:ORUFI05G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPAAWRTSVHGAADGWARRATRKAPSAAKEWGHRGAGASQTGVGGAADGGGCGPCDEATPPSAVLVIIVVHNSSTAAEEWRSGVGAAADEAPRQFLARVADLRRRRIMGFGGEARFLAWGWDNEATGRDATQGRTGEGMNAACGRSA >ORUFI05G18810.1 pep chromosome:OR_W1943:5:18490125:18497575:-1 gene:ORUFI05G18810 transcript:ORUFI05G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSCVVVNLTWIIGGSAAVEIAERFAFYGVSANLITYLTGSLGEGNAAAAAAINAWNGVSQLLPLLGGALADSWLGRYRTILLASLLYILGLAMLAFSTLISTGGNQCSSAAVAGGKTCPPSTLRVAFFYISLYMVAVAQGGHKPCVQAFGADQFDPSDPEESVSRSSFFNWWYFGMCGGTAVTLVFLSYVQDNIGWGLGFGIPCVVMACALAVFLLGTRTYRYYVSGSKKGVVARAGEALAAWRNRAKSIPLLPPASQECHPTATSAPEFSTGVEEDEQVVGKAGLVEQAKGIVRLFPIWATCLIYAVALAQSSTFFTKQAGTLDRRIGDHIQVPPAALQSFISITIVAIIPVYDRVIVPVARRYTGVPSGITMLQRIGAGMVLSLVSMVIAALVETRRLRAARDAGLVDKAGVPVPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPDKLRSLGLALYLSIFGVGSFISSALVSGIDRATAARGGSWFSNNLNRAHLDYFYWLIAALSALELLAYGYFAVTFKYKNKNKGALLATSTSC >ORUFI05G18820.1 pep chromosome:OR_W1943:5:18504095:18505321:1 gene:ORUFI05G18820 transcript:ORUFI05G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAKSLLCISLVAILLLVETTAPHGQAYAIDCGAKCGYRCSKSGRPKMCLRACGTCCQRCGCVPPGTSGNENVCPCYANMTTHNGRHKCP >ORUFI05G18830.1 pep chromosome:OR_W1943:5:18506013:18506586:-1 gene:ORUFI05G18830 transcript:ORUFI05G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRVEAEQRRVEVERRRAVGRVTAGAKRSRGAVGGSGALAGRWAEQRRVPGTEWNIGAVGGAGACPQGTASIDPTALAAFPTRAFSSSVATAGGSRVAPMRLGLLLRLIGSLTSILFSATGTPQLPPCCLAGHFRRCCLASPLTPIGTHRG >ORUFI05G18840.1 pep chromosome:OR_W1943:5:18507980:18511233:1 gene:ORUFI05G18840 transcript:ORUFI05G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSIRPPRAALVLLLLALSFSLTLAAHFEGFGSDDLHSAHADAASADDEDDEGLDVELPPPPRISLSTSTPSPPVTTTTTTTSAPNPNPDPNPTLTPPNPTPTLDLWDEDEFEGIPVPEAASSDESSTPAEAAPSDPAADAAAEAAPAPPRRPAELLRAYTVEIACVSFLICFLLNYFTGKRQNEAIALAWATRFATRDSIFDKNFSLLGTGDGKDTPLLMKEGQDVFKFYASGRRYCQGMLATMEMRARHDLLSKLVELVFPRKDTITFEVVMNEEAMDHVMLAVARKKAAKTMQKEERDLQKFAGVLTSAPAGRRWVADELAVVAESKEVAGDMITEAVLDQVLGDKAFEKFGKWFISLHFSDQLAGSYKKVLSFKFVLPDASNMAEMTRLVALVPYYIDLVGRYKLSNHARSKTEAARTKASQEAFREQQGLRQEALQRKKAEKKKLMEEAEAKLSAEALRKKEEKERARQMKKSMPKVKMLRS >ORUFI05G18850.1 pep chromosome:OR_W1943:5:18511913:18514871:1 gene:ORUFI05G18850 transcript:ORUFI05G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQAAVMAHLDQVSGLVQALSAELRRGIGPAADSLLAFVRAVDWTEPWLICLMVFHATLLLTAVGLRRNANFQLFMLFLAYSGVYLAEKINSYMAEHWKSIATRNYFDRAGVFVSVVWSGPLIFISMVIVVSSLITLCRLMVKWKRAELRHRAQLARDKQD >ORUFI05G18860.1 pep chromosome:OR_W1943:5:18513962:18520558:-1 gene:ORUFI05G18860 transcript:ORUFI05G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAAGDSAAELLLRAAALVPPAHYALAALLLACALLYRFLELHLIGDLLRGLRGGRVALTFHPASHVYHRVASKCRSLHGRYLATPWLASPHLQTLFLSISGRPPSFTYRRQLYTVRDGGTIALDWLLASDCEEEDVGFCDGVISRDDSTPLVVVIPGLTSDSTAAYVKHLVFSMASKGWNVVVGNHRGLGGISITSDCFYNAGWTEDFREIVNYLHQKYPQAPLFAVGTSIGANILVKYLGEEGEGTPVAGAVSICSPWDLLVTNRFIQRKLVQRCYDKALAIGLKGYAKLHQPVLARLANWEDIRKSRSIREFDRHATCVVAKYETVDTFYRRCSSANYISNVSVPLLCISALDDPLCTREAIPWDECRANKNIVLATAPNGGHLAFFQGLTAGKLWWVGAVSEFLLALHDSPCMHRQKAQEHSLHTSLESSIDKSPYVNFMEDGMVTAVTNDDANNSDSDNPISNEMELRNGMVGVQQDGIATEIQNECDGNRSQENVTPAQGPVGSQEQPKQNIDKIQDAIAPVKISINQLIRSQGRSVWLLTYIAFVTSWPFLGALGFILFRKKFRNSLPAKRGSVRDLANVKLLWRGRDGSGSTHGYPH >ORUFI05G18870.1 pep chromosome:OR_W1943:5:18521267:18522996:-1 gene:ORUFI05G18870 transcript:ORUFI05G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKSSSVLSNSFPILLLIFLAAAASVPQIAMSAEQPEQAAPAAQEATVQIVYLDRPADADPEEFHIRTLAPVLGSEEKAKEAVLYHYKHAASGFSAKLTPQQVEELKKQPGVLQVVPSQTYQLHGPGAGTGTMNTFSLV >ORUFI05G18880.1 pep chromosome:OR_W1943:5:18527635:18533319:-1 gene:ORUFI05G18880 transcript:ORUFI05G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYEEVRSIGSGNFGVTRLMCNRDTGELVAVKTIPRGNHRINKSAYREIINHRSLRHPNIIQFIEAILTHTHLAIVMEYASGGELFDRIVDLERFSEDEARYFFQQLIWGVSYCHHMKICHRDLKLENVLLDGSAAPRLKICDFGYSKSSMLHSRPKSAVGTPAYIAPEILNLQEYDGKLIKAIQYEIPQQVHISTDCRELISRIFVSDPSKKIPKSFGDGYIDYRSDEEEMQEEEEGPEENEEEEDECDKILREVQEKETPNMKALSIG >ORUFI05G18880.2 pep chromosome:OR_W1943:5:18527635:18533319:-1 gene:ORUFI05G18880 transcript:ORUFI05G18880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYEEVRSIGSGNFGVTRLMCNRDTGELVAVKTIPRGNHRINKSAYREIINHRSLRHPNIIQFIEAILTHTHLAIVMEYASGGELFDRIVDLERFSEDEARYFFQQLIWGVSYCHHMKICHRDLKLENVLLDGSAAPRLKICDFGYSKSSMLHSRPKSAVGTPAYIAPEILNLQEYDGKLIKAIQYEIPQQVHISTDCRELISRIFVSDPSKRITMQEIKNHPWFLKNFPRELTEEAQSIYFTKNDYFPTFSAQTSGEIMTFMEEAQKIPKSFGDGYIDYRSDEEEMQEEEEGPEENEEEEDECDKILREVQEKETPNMKALSIG >ORUFI05G18880.3 pep chromosome:OR_W1943:5:18527635:18533319:-1 gene:ORUFI05G18880 transcript:ORUFI05G18880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYEEVRSIGSGNFGVTRLMCNRDTGELVAVKTIPRGNHRINKSAYREIINHRSLRHPNIIQFIEAILTHTHLAIVMEYASGGELFDRIVDLERFSEDEARYFFQQLIWGVSYCHHMSSMLHSRPKSAVGTPAYIAPEILNLQEYDGKLIKAIQYEIPQQVHISTDCRELISRIFVSDPSKRITMQEIKNHPWFLKNFPRELTEEAQSIYFTKNDYFPTFSAQTSGEIMTFMEEAQKIPKSFGDGYIDYRSDEEEMQEEEEGPEENEEEEDECDKILREVQEKETPNMKALSIG >ORUFI05G18880.4 pep chromosome:OR_W1943:5:18527635:18533319:-1 gene:ORUFI05G18880 transcript:ORUFI05G18880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYEEVRSIGSGNFGVTRLMCNRDTGELVAVKTIPRGNHRINKSAYREIINHRSLRHPNIIQFIEAILTHTHLAIVMEYASGGELFDRIVDLERFSEDEARYFFQQLIWGVSYCHHMSSMLHSRPKSAVGTPAYIAPEILNLQEYDGKLIKAIQYEIPQQVHISTDCRELISRIFVSDPSKKIPKSFGDGYIDYRSDEEEMQEEEEGPEENEEEEDECDKILREVQEKETPNMKALSIG >ORUFI05G18890.1 pep chromosome:OR_W1943:5:18540633:18542195:1 gene:ORUFI05G18890 transcript:ORUFI05G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTTVIVSAVAASLGALSAILGFAADAAKHSDCASALGMAVAASIFLMMAKVTVAAGGGCRESRAVVPSATKRTVAVACAAISWIATVIAFVMFLDTGGISVVHGSSSRSAAAELGICAAIFLMITHVAMAAAGCCCRSFCIPSETARVVCAITSWIVPVIVFVLLLHAAVEESDCDKIHKGVYAGAGVLVLVSTVLGIISYLMLRTRPEPTPPIVVPMVIAFQPVYPNPLLVPVPVQAPPPNQAFAHPATLPPQGGWYGQAPNQQFAAPAPAQGYGWQAPNQQHFPCAGVVP >ORUFI05G18900.1 pep chromosome:OR_W1943:5:18552484:18553582:-1 gene:ORUFI05G18900 transcript:ORUFI05G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKLTEGSLLITYSSLSSRVVLHERLGRRRRRRLFVFSASSPASPPPERVLAKPCARKDGGGGASLPLAPGGWGRRSSATVVPRSTMAGRIWGSGSRWRGMKVAAVDDAAREVAAGDAARQWRCPDSCGERQPAAGKEAGENLARPWPGRQRWLIPLPEGVVVLSHPSRVVAGRKPSLGSFEPRRTAAVTSFWHPLAEASRSVQACSRSWLCVGSEGVLLGNLVCIPLSSSGGRSRLAAAGPVLAFSQLCVLALSVCGWRYIFFFFLVTTL >ORUFI05G18910.1 pep chromosome:OR_W1943:5:18557826:18559171:1 gene:ORUFI05G18910 transcript:ORUFI05G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKMDKTTIIASAVVGSLGLLSAILGFSAEVTKITATDVLVGARGECLYPQNPAAELGVCAAVFLLLVQITVSAVGGCCGCCMSGRSIPSETKRIIGVVCAVMSWLVTTDITAGTDSGIAWFLFGVGAVVNIEGKGATMPDCYVVKRGIFAGAAVLALAATAFGITSYVMLRTQADEAPAKKPPLAGVAMGQPQFLPPQASHV >ORUFI05G18920.1 pep chromosome:OR_W1943:5:18562860:18573189:1 gene:ORUFI05G18920 transcript:ORUFI05G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKMDKTTIIVSAVVGSLGLLSAILGFSAEGTKITVTDILVGGKCLYPENPATALGVCAAVFLLLAQITVSAVGGCCGCCKSRSIPSETKRIIGVICAVMSWIAAGIAWFLFGMGAVVNNDCYVVKDGIFAGAAVLALAATASGITSYVMLRRQADEAPAKQPPLAGVAMGQPQFPPPPDSQRPRHDPLVGLGWHEPDNSRVVSCLGRAKSSCREPYHRVAGLLANGLDDGSSSGGLGLSGSTAGTYEGSRSTQFRNGKWRWPHTKRIYHDATGVADPLSPPGDSMSGDGGGWHQVAGATVVMVALAAATAVAAATITALVTVAIVSAIVGPLGVLSAILGFSAEGTKIIISDVLLIGDECLYPQNPSFALGICAAIFLLMAQITVTAVGGCCGCCKSRAIPSETKRIVGIVCAVVSWIAAGVAWVLFVVGAAWNANVARDTAPCYVLKDGIFAGAAVLALAATALGIASYVTLRGQRNEAVRTPKPGEQQPTPAAGIAMGHPAAQLSPPVSAPPAPPQQGGDGRALNPQPQVAAAFPAPAQVGSHAPDQPLPPHPPPGDAQHETLSINTVYGSIASHLRAKLEAREKTTRQGET >ORUFI05G18920.2 pep chromosome:OR_W1943:5:18560726:18562918:1 gene:ORUFI05G18920 transcript:ORUFI05G18920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRCYVTCIHGKRRVFCVACRIVPVIVFVLLLHAAVEDSDCDKIHEGVYAGPHLHGARGHLLRTSCSARGQSRTHAAIVVPMVIAFQPVYPNPLLVPVPAQGIGASQAPPPNQLPFAHPATLPPQGGWYGQAPNQQFAAPASAQGYYGWQAPNQQRFPCAQLPPNRVLA >ORUFI05G18930.1 pep chromosome:OR_W1943:5:18573212:18574150:1 gene:ORUFI05G18930 transcript:ORUFI05G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGVFVVSAVVGLFAVASAVLGFIAEEKKLTPEDIDVSSGECEYPANAAFVLGICAVLLLAVAQIIVSSVAGCCGCCRPRAGASESRRVTGIVCSVFSWIAAIVAGVSFVQGAAWNAPVTRDTAPLCYYLKDGVFRRAAVLSLAAAVFGIKSYIMLRVAAAVEPKPDGQQPQPQQAPAAPVVTGYPPQGYAPNQQFTAAADQVYGPSALYPPTKGYGQV >ORUFI05G18940.1 pep chromosome:OR_W1943:5:18575273:18575611:-1 gene:ORUFI05G18940 transcript:ORUFI05G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFVGGVEQGAGRVLKEAARRCLRCGGAADLVETEKVLKLFFVPVKRWPGKDPAYLCRECGLLGPGSLGGGGGEAGTGPPLLPRDARCGACNRAVADPQFRFCPFCGSAL >ORUFI05G18950.1 pep chromosome:OR_W1943:5:18577765:18581002:-1 gene:ORUFI05G18950 transcript:ORUFI05G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTAENSMPPSLKPRRQASMSAPRPSAGAATVCCGWYPSITVRRSSRSAITVVPWCAHGQHTNCLMKCMIESYTSSSWMPLVYRYLWIERVTGSSFEVGLDDLKLSEEDSSRIASLEPAKHFYILDFKDWFRRHTNSI >ORUFI05G18950.2 pep chromosome:OR_W1943:5:18577254:18581002:-1 gene:ORUFI05G18950 transcript:ORUFI05G18950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTAENSMPPSLKPRRQASMSAPRPSAGAATVCCGWYPSITVRRSSRSAITVVPWCAHGQHTNCLMKCMIESYTSSSWMPLVYRYLWIERVTGSSFEVGLDDLKLSDDR >ORUFI05G18960.1 pep chromosome:OR_W1943:5:18581063:18581852:1 gene:ORUFI05G18960 transcript:ORUFI05G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLRRWLGKTSPLVQCAAAAEGDDDVRRVRRRRRIRVTAISAASQDSGDGDLGGGFAGGGGRGKDERRGASSGQRDGDDGGRAMIAAVPDLEESVAVAICGGVCGRSAGGEGLGADVEQPSAGGEGCGRLGMGRKEDEGDARTTDRRRRRLIRRGSRQWSRGRWERGERSAGSERCGSDMSHWIRQSGGSYSGAMRAQMVGATWSVDPAGRILGKYSTLDR >ORUFI05G18970.1 pep chromosome:OR_W1943:5:18584054:18586669:1 gene:ORUFI05G18970 transcript:ORUFI05G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAMPRSPCCSLPSARVLPSRLPLLPRPAPAALSAPAARPVVARCAAAAGHGGEGEMPIEKRFPPFPAVMDINQIRDILPHRFPFLLVDRVIDYKPGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRENFFFAGIDKVRFRKPVIAGDTLIIRMTLIKLQKRFGIAKMEGKAYVGGDLVCEGEFLMATGSE >ORUFI05G18980.1 pep chromosome:OR_W1943:5:18587225:18589510:-1 gene:ORUFI05G18980 transcript:ORUFI05G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVVPGICHAVALMWLLLVLFCWAPGLTSAADTAAYIVHMDKSAMPRAFASQASWYESTLAAAAPGADMFYVYDNAMHGFAARVTADELEKLRGSRGFVSCYPDDARAVRRDTTHTPEFLGVSASSGGLWEASEYGEDVIVGVVDTGVWPESASFRDDGLPPVPARWKGYCESGTAFDAGKVCNRKLVGARKFNKGLVAATNLTIAVNSPRDTDGHGTHTSSTAAGSPVAGASFFGYAPGTARGMAPRARVAMYKALWDEGTYPSDILAAIDQAIADGVDVLSLSLGLNDVPFYRDPIAIGAFAAMQRGVFVSTSAGNDGPDPGFLHNGTPWTLTVASGTGDREFAGIVRLGDGTTVIGQSMYPGSPSTIASSGFVFLGACDNDTALARNRDKVVLCDATDSLSAAIFAVQVAKARAGLFLSNDSFRELSEHFTFPGVILSPQDAPALLQYIKRSRAPRASIKFGVTILGTKPAPVVATYSSRGPSASCPTVLKPDVLAPGSLILASWPENVSVSTVGSQQLYSRFNVISGTSMSCPHASGVAALIKAVHPEWSPAAVRSAMMTTASAVDNTNAPIKDMGRANRGATPLAMGSGHIDPNRAVDPGLVYDAGADDYVKLMCAMNYTAAQIKTVAQSPSSAVDCAGATLDLNYPSFIAFFDPGATAPAARTFTRAVTNVGDAPASYSAKVKGLGGLTVSVSPERLVFGRKHETQKYTVVIRGQMKNKTDEVLHGSLTWVDDAGKYTVRSPIVATTASSAPL >ORUFI05G18990.1 pep chromosome:OR_W1943:5:18594152:18594475:-1 gene:ORUFI05G18990 transcript:ORUFI05G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLTVAAAAVFAAAVSVAHGARVLEERMWRPVGARVVSAGNWPNILDSLPLGEPDFAGAGGPVASASASAGADGKKGSGAFGVHGERFGERELSINVYDKIPLFGP >ORUFI05G19000.1 pep chromosome:OR_W1943:5:18602801:18605694:-1 gene:ORUFI05G19000 transcript:ORUFI05G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPAAARAPPVLRNELSRRTAVLGLRLWVLVGIAVGAAFLLLLAVISFHLAQARRRKPAAKRASSAAAAAAAAPGGAAVPLSPATIPPVSKEIQEVAVHVGSLRHYLEAGATFLKEGGGVGGAVVDGDSLGGSTVYGSQRVHIEAGKGRRMVAYADGEVGPVASDLAASAQAAVGVGVGPEVSHLGWGHWYTLRELEEATAAFAPEHVVGEGGYGIVYRGVLADGCEVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGAHRILVYEYVDNGNLEQWLHGDVGPVSPLSWDIRMNIVLGTAKGITYLHEGLEPKVVHRDIKSSNILLDKRWNPKVSDFGLAKLLGSDNNYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRSPVDYARAPGEVNLVEWLKNMVSNRDYEAVLDPKLPEKPTSKALKKALLVALRCVDPDSQKRPKMGHVIHMLEVDDFPYREIILYVHGSSDSAGEPTGQNKNICEAGDGVGRRGQHHHSCQYAIQIARELEIGLT >ORUFI05G19000.2 pep chromosome:OR_W1943:5:18602812:18605694:-1 gene:ORUFI05G19000 transcript:ORUFI05G19000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPAAARAPPVLRNELSRRTAVLGLRLWVLVGIAVGAAFLLLLAVISFHLAQARRRKPAAKRASSAAAAAAAAPGGAAVPLSPATIPPVSKEIQEVAVHVGSLRHYLEAGATFLKEGGGVGGAVVDGDSLGGSTVYGSQRVHIEAGKGRRMVAYADGEVGPVASDLAASAQAAVGVGVGPEVSHLGWGHWYTLRELEEATAAFAPEHVVGEGGYGIVYRGVLADGCEVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGAHRILVYEYVDNGNLEQWLHGDVGPVSPLSWDIRMNIVLGTAKGITYLHEGLEPKVVHRDIKSSNILLDKRWNPKVSDFGLAKLLGSDNNYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRSPVDYARAPGEVNLVEWLKNMVSNRDYEAVLDPKLPEKPTSKALKKALLVALRCVDPDSQKRPKMGHVIHMLEVDDFPYREDRRTPRASPLDRTRTYAKPVTESGDEGNTTTAASTPSRLHESLR >ORUFI05G19010.1 pep chromosome:OR_W1943:5:18622749:18630204:1 gene:ORUFI05G19010 transcript:ORUFI05G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHERAANLALAGLSLAPLVVKVNPNANVILTACLAVYVGCYRSVKPTPPAETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFILGIAALCATLLPSIKRFLPKEWNDNAIVWRAPLFHSLSVEFTRSQVVASIPGFFFCIWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLSGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKPLLEYNESKAEEEEACEEDTDSKQNKKKETAGATGQRDSGRNAGGITKWRELDGSDRTAGAARRRELSGSGGAAGEVGQRELGGSGRTARVARRQDLGKAAGARRERQDSRDGCCCSAATLPTTLPAWKEELVSRP >ORUFI05G19010.2 pep chromosome:OR_W1943:5:18622936:18630204:1 gene:ORUFI05G19010 transcript:ORUFI05G19010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLPCGTSNPLRSRRADLKARPADLLPPTSSLVSTRIEERIFLIFSVTMKTHERAANLALAGLSLAPLVVKVNPNANVILTACLAVYVGCYRSVKPTPPAETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFILGIAALCATLLPSIKRFLPKEWNDNAIVWRAPLFHSLSVEFTRSQVVASIPGFFFCIWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLSGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKPLLEYNESKAEEEEACEEDTDSKQNKKKETAGATGQRDSGRNAGGITKWRELDGSDRTAGAARRRELSGSGGAAGEVGQRELGGSGRTARVARRQDLGKAAGARRERQDSRDGCCCSAATLPTTLPAWKEELVSRP >ORUFI05G19010.3 pep chromosome:OR_W1943:5:18622644:18630206:1 gene:ORUFI05G19010 transcript:ORUFI05G19010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHERAANLALAGLSLAPLVVKVNPNANVILTACLAVYVGCYRSVKPTPPAETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFILGIAALCATLLPSIKRFLPKEWNDNAIVWRAPLFHSLSVEFTRSQVVASIPGFFFCIWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLSGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKPLLEYNESKAEEEEACEEDTDSKQNKKKETAGATGQRDSGRNAGGITKWRELDGSDRTAGAARRRELSGSGGAAGEVGQRELGGSGRTARVARRQDLGKAAGARRERQDSRDGCCCSAATLPTTLPAWKEELVSRP >ORUFI05G19010.4 pep chromosome:OR_W1943:5:18622644:18630204:1 gene:ORUFI05G19010 transcript:ORUFI05G19010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHERAANLALAGLSLAPLVVKVNPNANVILTACLAVYVGCYRSVKPTPPAETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFILGIAALCATLLPSIKRFLPKEWNDNAIVWRAPLFHSLSVEFTRSQVVASIPGFFFCIWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLSGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKPLLEYNESKAEEEEACEEDTDSKQNKKKETAGATGQRDSGRNAGGITKWRELDGSDRTAGAARRRELSGSGGAAGEVGQRELGGSGRTARVARRQDLGKAAGARRERQDSRDGCCCSAATLPTTLPAWKEELVSRP >ORUFI05G19010.5 pep chromosome:OR_W1943:5:18622644:18629255:1 gene:ORUFI05G19010 transcript:ORUFI05G19010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHERAANLALAGLSLAPLVVKVNPNANVILTACLAVYVGCYRSVKPTPPAETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFILGIAALCATLLPSIKRFLPKEWNDNAIVWRAPLFHSLSVEFTRSQVVASIPGFFFCIWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLSGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKPLLEYNESKAEEEEACEEDTDSKQNKKKE >ORUFI05G19020.1 pep chromosome:OR_W1943:5:18630304:18645958:1 gene:ORUFI05G19020 transcript:ORUFI05G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAAPPSPTTAPVAAADVETPVPTRSSHRPAGHYHGLSRRRPPLSCRICAAAVVLPIREVESEAAMAMPRLSSADFDKGSKVSVSSLWTDGDERSQLVGGDGSGGDSMTHPGEPLPLRLPWQANPSRAGAVAGEPLPRGARLHPPAVPIPPARSGTSPDRALSTERGERRVESGGGRVEMALTCGPSHNFSIFSMTRLPRQQNHCQKPGSCFVLVLTLGEVIYPFFWLRDVIHAAQEMREVKWTYLRCGGALCPAIVQAHMAAVEREAAHLARYWLILGLWGWIACAGLRMHNLCCGLGSHRAFAPFVAAGGGRCCKGGAGEEGGGGGEARRRIPPKAPSSDASLSDGGGSEGSDSGAAPIESPSKRPRRSEEAKPQVDAKPGLMKTANAGGWLNMGIPVAHLMNGNFVTIISAASHKKQWKGVIRIAVGRFFFFPSKEFAAVGLCIEGVGSRKSKILSISKMEKRRQTGHKVKLSSKREKGTRCTPHLTLKGNASTQLFFLGLASPRLCPSPLPLDSSTSAPNLPFRVRASIPPCSHHPIWGPV >ORUFI05G19020.2 pep chromosome:OR_W1943:5:18631282:18645958:1 gene:ORUFI05G19020 transcript:ORUFI05G19020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQKRVYEAWKGNNRFLFGGRLIFGPDAKSLLVSVSLIVVPVLVFCVFVARHLRHQFSTYNAGYAIPAVAVLFMIYVLTLLFITSAQDPGIVPRASHPPEEEFAYGNPLNGGTPGRLQFPRVKEIMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFFLFVSSSTLLCIYVFAMSALYIKFLMEEGYPTVWKALKHSPASLVLMIYCFIALWFVGGLTGFHSYLICTNQTTYENFRYRSDNRPNVYDQGCLNNCLGVFCSKTKPSKHKFRAYVQEEVRAPVVNFGRQMEEEPAGGPRAKVEDDLEIGSDLLQISQRRNYGDVDLEMGSQDCSEMEGIPNAKLAIGSESQIPAIGSEVRVRHSSWDRRSGNWDMSLDVIGRSASDVIRRSASGHEAAPPFQTETH >ORUFI05G19020.3 pep chromosome:OR_W1943:5:18630304:18645958:1 gene:ORUFI05G19020 transcript:ORUFI05G19020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAAPPSPTTAPVAAADVETPVPTRSSHRPAGHYHGLSRRRPPLSCRICAAAVVLPIREVESEAAMAMPRLSSADFDKGSKVSVSSLWTDGDERSQLVGGDGSGGDSMTHPGEPLPLRLPWQANPSRAGAVAGEPLPRGARLHPPAVPIPPARSGTSPDRALSTERGERRVESGGGRVEMALTCGPSHNFSIFSMTRLPRQQNHCQKPGSCFVLVLTLGEVIYPFFWLRDVIHAAQEMREVKWTYLRCGGALCPAIVQAHMAAVEREAAHLARYWLILGLWGWIACAGLRMHNLCCGLGSHRAFAPFVAAGGGRCCKGGAGEEGGGGGEARRRIPPKAPSSDASLSDGGGSEGSDSGAAPIESPSKRPRRRCWLNMGIPVAHLMNGNFVTIISAASHKKQWKGVIRIAVGRFFFFPSKEFAAVGLCIEGVGSRKSKILSISKMEKRRQTGHKVKLSSKREKGTRCTPHLTLKGNASTQLFFLGLASPRLCPSPLPLDSSTSAPNLPFRVRASIPPCSHHPIWGPV >ORUFI05G19020.4 pep chromosome:OR_W1943:5:18630304:18645958:1 gene:ORUFI05G19020 transcript:ORUFI05G19020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAAPPSPTTAPVAAADVETPVPTRSSHRPAGHYHGLSRRRPPLSCRICAAAVVLPIREVESEAAMAMPRLSSADFDKGSKVSVSSLWTDGDERSQLVGGDGSGGDSMTHPGEPLPLRLPWQANPSRAGAVAGEPLPRGARLHPPAVPIPPARSGTSPDRALSTERGERRVESGGGRVEMALTCGPSHNFSIFSMTRLPRQQNHCQKPGSCFVLVLTLGEVIYPFFWLRDVIHAAQEMREVKWTYLRCGGALCPAIVQAHMAAVEREAAHLARYWLILGLWGWIACAGLRMHNLCCGLGSHRAFAPFVAAGGGRCCKGGAGEEGGGGGEARRRIPPKAPSSDASLSDGGGSEGSDSGAAPIESPSKRPRRRCWLNMGIPVAHLMNGNFVTIISAASHKKQWKGVIRIAVGRFFFFPSKEFAAVGLCIEGVGSRKSKILSISKMEKRRQTGHKVKVFIPDMSREKGTRCTPHLTLKGNASTQLFFLGLASPRLCPSPLPLDSSTSAPNLPFRVRASIPPCSHHPIWGPV >ORUFI05G19020.5 pep chromosome:OR_W1943:5:18630304:18645958:1 gene:ORUFI05G19020 transcript:ORUFI05G19020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAAPPSPTTAPVAAADVETPVPTRSSHRPAGHYHGLSRRRPPLSCRICAAAVVLPIREVESEAAMAMPRLSSADFDKGSKVSVSSLWTDGDERSQLVGGDGSGGDSMTHPGEPLPLRLPWQANPSRAGAVAGEPLPRGARLHPPAVPIPPARSGTSPDRALSTERGERRVESGGGRVEMALTCGPSHNFSIFSMTRLPRQQNHCQKPGSCFVLVLTLGEVIYPFFWLRDVIHAAQEMREVKWTYLRCGGALCPAIVQAHMAAVEREAAHLARYWLILGLWGWIACAGLRMHNLCCGLGSHRAFAPFVAAGGGRCCKGGAGEEGGGGGEARRRIPPKAPSSDASLSDGGGSEGSDSGAAPIESPSKRPRRRLVEHGHSGCSPDEWQFRYDNLSRYYQSQRWKREGKPGIKEKGTRCTPHLTLKGNASTQLFFLGLASPRLCPSPLPLDSSTSAPNLPFRVRASIPPCSHHPIWGPV >ORUFI05G19020.6 pep chromosome:OR_W1943:5:18630304:18645958:1 gene:ORUFI05G19020 transcript:ORUFI05G19020.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAAPPSPTTAPVAAADVETPVPTRSSHRPAGHYHGLSRRRPPLSCRICAAAVVLPIREVESEAAMAMPRLSSADFDKGSKVSVSSLWTDGDERSQLVGGDGSGGDSMTHPGEPLPLRLPWQANPSRAGAVAGEPLPRGARLHPPAVPIPPARSGTSPDRALSTERGERRVESGGGRVEMALTCGPSHNFSIFSMTRLPRQQNHCQKPGSCFVLVLTLGEVIYPFFWLRDVIHAAQEMREVKWTYLRCGGALCPAIVQAHMAAVEREAAHLARYWLILGLWGWIACAGLRMHNLCCGLGSHRAFAPFVAAGGGRCCKGGAGEEGGGGGEARRRIPPKAPSSDASLSDGGGSEGSDSGAAPIESPSKRPRRRCWLNMGIPVAHLMNGNFVTIISAASHKKQWKGVIRIAVGRFFFFPSKEFAAVGLCIEGVGSRKSKILSISKMEKRRQTGHKVKRFLFGGRLIFGPDAKSLLVSVSLIVVPVLVFCVFVARHLRHQFSTYNAGYAIPAVAVLFMIYVLTLLFITSAQDPGIVPRASHPPEEEFAYGNPLNGGTPGRLQFPRVKEIMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFFLFVSSSTLLCIYVFAMSALYIKFLMEEGYPTVWKALKHSPASLVLMIYCFIALWFVGGLTGFHSYLICTNQTTYENFRYRSDNRPNVYDQGCLNNCLGVFCSKTKPSKHKFRAYVQEEVRAPVVNFGRQMEEEPAGGPRAKVEDDLEIGSDLLQISQRRNYGDVDLEMGSQDCSEMEGIPNAKLAIGSESQIPAIGSEVRVRHSSWDRRSGNWDMSLDVIGRSASDVIRRSASGHEAAPPFQTETH >ORUFI05G19030.1 pep chromosome:OR_W1943:5:18657876:18662656:1 gene:ORUFI05G19030 transcript:ORUFI05G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRRRFRGGGDWQASVDDVVDDGGELEAAAAAAARGSVLSGEYQAQEMSTMVSALTWVVAAGHDDHGGGQWSGLVDVPATTLASGGGGDYGHGAQGSYYYYGAAPTSTPEFVAGGQQEQLSSDVPQGGASLGLAMDEHSPTYTVEASSSADQHGGGGGGRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLSSPPAGAGAGGATAAAQTVPVAYPASAVSDYLQYQMLLHGGGGGGGGRYPLYYGGGAAAAMSSSLGPYSSIPTSSVTVASVPSSSSAASSSSGYGAPAEHGEAVQWTSWPDGGGWTYPATTSSWSGSSQYPPPPRPPQQ >ORUFI05G19040.1 pep chromosome:OR_W1943:5:18663147:18667678:1 gene:ORUFI05G19040 transcript:ORUFI05G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEKEYAPVPLGQAPEAVDPEDPVKSPPRPTSPANSTRKACFAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFVKFVDGFFSPLYAKLGFDIFGLGFLTSLLFIFLVGIFVSSWVGSTVFWIGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTTAFKEVAIISHPRIGEYAFGFITSTMILQTDKGDEELCSVYVPTNHLYIGDIFLVRSEEIIRPNLSIREGIEIIVSGGMTMPQVIAAPGQTPHKGQSTRLNRMMSA >ORUFI05G19050.1 pep chromosome:OR_W1943:5:18668556:18673864:-1 gene:ORUFI05G19050 transcript:ORUFI05G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEIAEADLLQLLQPFGNVSKIALLQMQDLHNSVSALQYYSTVQPSVRGRNVYMQFSSHQELTTDQNSHGRNSDQESEPNRILLVTIHHMMYPITVEVLHQVFKAYGYVEKIVTFQKSAGFQALIQYQSLQEAMDAFGALHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRPRASQQGYPDPGGLYAFQQPGASYAQMGRAAMITAAFGGTLPPGVTGTNERCTLIVSNLNTDKINEDKLFNLFSLYGNIVRIKILRNKPDHALVEMADGFQAELAVHYLKGAVLFAKKLEVNYSKYPNITSAPDAHDYTTSSLNRFNSNVIKNYRHCCAPTKMIHISALPQEITEDAILNHVSEHGSVVNTKLFEVNGKRQALIQFESEEEATEALVSKHATSLEGNTIRISFSQMQSI >ORUFI05G19050.2 pep chromosome:OR_W1943:5:18668556:18673864:-1 gene:ORUFI05G19050 transcript:ORUFI05G19050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEIAEALLQMQDLHNSVSALQYYSTVQPSVRGRNVYMQFSSHQELTTDQNSHGRNSDQESEPNRILLVTIHHMMYPITVEVLHQVFKAYGYVEKIVTFQKSAGFQALIQYQSLQEAMDAFGALHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRPRASQQGYPDPGGLYAFQQPGASYAQMGRAAMITAAFGGTLPPGVTGTNERCTLIVSNLNTDKINEDKLFNLFSLYGNIVRIKILRNKPDHALVEMADGFQAELAVHYLKGAVLFAKKLEVNYSKYPNITSAPDAHDYTTSSLNRFNSNVIKNYRHCCAPTKMIHISALPQEITEDAILNHVSEHGSVVNTKLFEVNGKRQALIQFESEEEATEALVSKHATSLEGNTIRISFSQMQSI >ORUFI05G19060.1 pep chromosome:OR_W1943:5:18674627:18675118:1 gene:ORUFI05G19060 transcript:ORUFI05G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDDIVAVDGGGRRLMAALEVKALLQASLPQHLLQINAEETSGAWRTSLTSIGLSTHLGVLLYSPLHGGYHVRDVYDNEAVTKTTATSIFGFVAGSNSY >ORUFI05G19070.1 pep chromosome:OR_W1943:5:18678295:18679220:-1 gene:ORUFI05G19070 transcript:ORUFI05G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEVDVMAGATELDMEAAEQLIQLSGCCSGDGGGGESESRSTDSVSKNNRCGKKAATGRKAAAAAVESCSRKRAAAAGDIDEVVIGGEARRRPRFRSLAAVYRETRRPNDHVVVVVAGAAEEDGERTTTTTTTKRAANDAAVAGKGRRTQVHNIGGKRGLSYADTTFPVERKYTRIR >ORUFI05G19080.1 pep chromosome:OR_W1943:5:18681193:18684760:-1 gene:ORUFI05G19080 transcript:ORUFI05G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGDTIPLHSSSAQSDMDEIESLIHAAPPSAAAVLPARPPSPPRASIPVSSSPPLLPPPVAGSKPQLPPFSSSSSVASSSSPPLPSSVSVAIAGDGFGPPPNTLTEPVLDTVKRDLARIVSNLKLVVFPNPFREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGRINFFQSLSLLGYCLFPLDVGALICMLKDNVLLKIIAVVVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYVSVGFLIIAID >ORUFI05G19090.1 pep chromosome:OR_W1943:5:18685605:18689477:1 gene:ORUFI05G19090 transcript:ORUFI05G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPISTVFTPTLVKDLSRADNPRPRAGGLAKTQKHTHAAHSAVTHTRGLPPSLPHVTSHASRRSLAFALVSSTLSLFPFRLQPTNHPPTTARAAVQPASCRAARGRRGSGAGGRMASSRVMAAAAASSSSSPPPPPPAAAAAGGAADLARFRSTSSGIGSMNMDDILRNIYGEAAPPPGAAGSAPAPPPAGEAAGAPVAEVAARRTAEEVWKEISSSGGLSAPAPAPAAGAAGRGGGPEMTLEDFLAREDDPRATAVEGNMVVGFPNVTEGVGTAGGGRGGGGGGRGRKRTLMDPADRAAMQRQKRMIKNRESAARSRERKQAYIAELEAQVAELEEEHAQLLREQEEKNQKRLKEIKEQAVAVVIRKKTQDLRRTNSMEW >ORUFI05G19090.2 pep chromosome:OR_W1943:5:18685605:18688597:1 gene:ORUFI05G19090 transcript:ORUFI05G19090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPISTVFTPTLVKDLSRADNPRPRAGGLAKTQKHTHAAHSAVTHTRGLPPSLPHVTSHASRRSLAFALVSSTLSLFPFRLQPTNHPPTTARAAVQPASCRAARGRRGSGAGGRMASSRVMAAAAASSSSSPPPPPPAAAAAGGAADLARFRSTSSGIGSMNMDDILRNIYGEAAPPPGAAGSAPAPPPAGEAAGAPVAEVAARRTAEEVWKEISSSGGLSAPAPAPAAGAAGRGGGPEMTLEDFLAREDDPRATAVEGNMVVGFPNVTEGVGTAGGGRGGGGGGRGRKRTLMDPADRAAMQRQKRMIKNRESAARSRERKQAYIAELEAQVAELEEEHAQLLREQEEKNQKRLKEKWHVFMQIKEQAVAVVIRKKTQDLRRTNSMEW >ORUFI05G19100.1 pep chromosome:OR_W1943:5:18696347:18696574:1 gene:ORUFI05G19100 transcript:ORUFI05G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMHDDDDDRRSGGKRGGGGGGRGEKRKKKSRFYSIAEAAVNHVPAAWAGPHVSASPTPPACFSAQIIEEPTPY >ORUFI05G19110.1 pep chromosome:OR_W1943:5:18700438:18703041:-1 gene:ORUFI05G19110 transcript:ORUFI05G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDSFGSLSRRSFEVTLAGLSGLTGHHRGKSQSTVHELCDADLIIQESRWASLPPELLRDVIRRLEASESTWPSRKDVVSCAAVCKAWREMCKEIVLSPEFCGKLTFPLSLKQPGPRDGMIQCFIKRDKSKSTYHLYLCLSTAVLADSGKFLLSAKRHRKTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPSYNGAVIPPVGRSSRRFNSKKVSPKMPSGSYNIAQVTYELNVLGTRGPRRMHCVMHSIPASSVEPGGIVPGQPEQIVPRAFEESFRSTTSFSKSSIMDRSMDFSSSRDFSSARFSDIAGGTINGDEEGQNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLIAAPAQPPAGAPTPSQPAPPEQDKIILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >ORUFI05G19120.1 pep chromosome:OR_W1943:5:18724389:18724913:1 gene:ORUFI05G19120 transcript:ORUFI05G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFFLSRCPRWRGLEDDQVWCRRAVEPAAPARTFGLVCLQDVQSYFGVWMEVAYARGITHCHQFAGDAVEREP >ORUFI05G19130.1 pep chromosome:OR_W1943:5:18727979:18732866:1 gene:ORUFI05G19130 transcript:ORUFI05G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSENGENGHGAADEVVEPYQQTPRPGPKLNERILSSLSRRSVAAHPWHDLEIGPDAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFNNLSELSPHRLQEIRRFFEDYKKNENKEVAVNDFLPAPTAREAIQYSMDLYAQYILQSLKR >ORUFI05G19140.1 pep chromosome:OR_W1943:5:18730160:18732664:-1 gene:ORUFI05G19140 transcript:ORUFI05G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHVLNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGDTNGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIIVDAPLRGNHEFDKIMVTVSSHQKLHGYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLDDVLQPGTDMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPNRGKIYSVNEGNAKNWDAPTAKFVEKCKFPQDGSPSKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALELVPRKIHDRSPIFLGSYDDVEDIKALYASESIIG >ORUFI05G19140.2 pep chromosome:OR_W1943:5:18730160:18732664:-1 gene:ORUFI05G19140 transcript:ORUFI05G19140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHVLNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGDTNGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIIVDAPLRGNHEFDKIMVTVSSHQKLHGYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLDDVLQPGTDMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPNRGKIYSVNEGNAKNWDAPTAKFVEKCKFPQDGSPSKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRYADATLNCKGRVIAEVRYMWHGSVLYEVFPMSFLMEQAGGQAFTGKQRALELVPRKIHDRSPIFLGSYDDVEDIKALYASESIIG >ORUFI05G19140.3 pep chromosome:OR_W1943:5:18730160:18732664:-1 gene:ORUFI05G19140 transcript:ORUFI05G19140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHVLNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGDTNVQASNPISDPLYSTLLFLHTNPCDGGWPPRVAVQGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIIVDAPLRGNHEFDKIMVTVSSHQKLHGYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLDDVLQPGTDMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPNRGKIYSVNEGNAKNWDAPTAKFVEKCKFPQDGSPSKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRYADATLNCKGRVIAEVRYMWHGSVLYEVFPMSFLMEQAGGQAFTGKQRALELVPRKIHDRSPIFLGSYDDVEDIKALYASESIIG >ORUFI05G19140.4 pep chromosome:OR_W1943:5:18730160:18732664:-1 gene:ORUFI05G19140 transcript:ORUFI05G19140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHVLNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGDTNGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIIVDAPLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLDDVLQPGTDMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPNRGKIYSVNEGNAKNWDAPTAKFVEKCKFPQDGSPSKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALELVPRKIHDRSPIFLGSYDDVEDIKALYASESIIG >ORUFI05G19140.5 pep chromosome:OR_W1943:5:18730160:18732664:-1 gene:ORUFI05G19140 transcript:ORUFI05G19140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHVLNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGDTNVQASNPISDPLYSTLLFLHTNPCDGGWPPRVAVQGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIIVDAPLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLDDVLQPGTDMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPNRGKIYSVNEGNAKNWDAPTAKFVEKCKFPQDGSPSKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALELVPRKIHDRSPIFLGSYDDVEDIKALYASESIIG >ORUFI05G19140.6 pep chromosome:OR_W1943:5:18730160:18732664:-1 gene:ORUFI05G19140 transcript:ORUFI05G19140.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHVLNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGDTNVQASNPISDPLYSTLLFLHTNPCDGGWPPRVAVQGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIIVDAPLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLDDVLQPGTDMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPNRGKIYSVNEGNAKNWDAPTAKFVEKCKFPQDGSPSKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRYADATLNCKGRVIAEVRYMWHGSVLYEVFPMSFLMEQAGGQAFTGKQRALELVPRKIHDRSPIFLGSYDDVEDIKALYASESIIG >ORUFI05G19150.1 pep chromosome:OR_W1943:5:18736900:18738726:-1 gene:ORUFI05G19150 transcript:ORUFI05G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEDHPVLLTEAPLNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDHLMKILTERGYSLTTSAEREIVRDIKEKLAYVALDYEQELETSRSSSSVEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMESPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITSLAPSSMKVKVIAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPGIVHMKCF >ORUFI05G19160.1 pep chromosome:OR_W1943:5:18738803:18740013:-1 gene:ORUFI05G19160 transcript:ORUFI05G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYKPAPRVFFVRPPTQQCTSRSPRSRARVTLHRRRRALQTPEEEAIVTRTPRQAEAVYLVLPSDF >ORUFI05G19170.1 pep chromosome:OR_W1943:5:18743854:18744120:-1 gene:ORUFI05G19170 transcript:ORUFI05G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAKWMSGTVTFAVDKGVGWRSPGPVVRHLITGCCCVTALHLHLILAFDLVRKMALGCVKSNRIVPRLPPAREGRAEEEKDGGRRE >ORUFI05G19180.1 pep chromosome:OR_W1943:5:18745442:18746104:-1 gene:ORUFI05G19180 transcript:ORUFI05G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRLHHSARALLLVAPSASPAPAAVPPGVAGALPVAENGRGGPLAVSSLNTNTIVLLALLVCGLVAAVALHVVLQCALRVTRRACYGAETAAAAAAGGGGEGGRARRGGGGGGRKRTPPLSKTIPRVAYTEGLELAGSSRSECVICLAEFARGEHVRVLPGCNHGFHDRCIDRWLAARPTCPTCRQAPFAAAAADPIAPPDPAPAAVQVVRVIVLTSQ >ORUFI05G19190.1 pep chromosome:OR_W1943:5:18754114:18754338:1 gene:ORUFI05G19190 transcript:ORUFI05G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSTRSPGSPAPATAPHTIQVPAAVLPHPAPHHRTTPPSKPSPPRAHPHRGGLTFVPTCDGRLHPLLREERYT >ORUFI05G19200.1 pep chromosome:OR_W1943:5:18765346:18766329:1 gene:ORUFI05G19200 transcript:ORUFI05G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSHCESMLAYAAAAGRRAVVVDHHQRRYRPNVEVAPNCPRCESPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKAVRAMVGETMTARGGGGGGAAAFSHRFHGPVRPDMILEGMAGSTAASAGLGEQPGVAAPDEKPAAADGSTIDLALLYAKFLNHHQPTMAEQGGGAAVPESVDTSSGSSSDRTTSPAAAQPAAAAAYGPGQDGLVGEPISTEEHGAAAMARCAQALGELNFSVDQISCYTSLGLPTTDGGDLILPSTLDQHAKYEPFDSLPEDALSLHDIISGDDDVWCNALGCQGLEAALCRP >ORUFI05G19210.1 pep chromosome:OR_W1943:5:18767607:18769683:-1 gene:ORUFI05G19210 transcript:ORUFI05G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRIGKETLKAGDHIYSWRAAWVYAHHGIYVGDDKVIHFTRGRGQEVGTGTFIDLLLMSSGPNTNTPCSICNNEGATTNTETNGVVSSCLNCFLSGGALYRFEYAVNPALFLAKARGGTCTLAPTDPDEVVVRRANHLLSNGFRCYNLFKSNCEDFAIYCKTGLLVAEQGVVGQSGQAISIIGGPLAAVISTPFRLVTTNIYGMAVMAVGVYCASRYAADIGNRRDVLKVEVEDLTAGLASGRIRAVENISQLVAPAEAQGLAVTAPVAA >ORUFI05G19220.1 pep chromosome:OR_W1943:5:18771550:18780922:-1 gene:ORUFI05G19220 transcript:ORUFI05G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRVITNLMEERRWVEVTNNGRRTTENVFTGSVVRHCPRRLFSLLTLALACVEEGRSRSLSAAPYNACLCSTRYRVASRLRRKTRSTDFHQREAAPRKTVRRHPSPTDHPMASSSVAATAAEPGPHAAGEKVAAAAVFWHEGMLAHDAGRGVFGRGLDPGFLDVLDHHPENADRVRNMVSILRRGPIARFLSWHSGRPAHAAELLSFHSAEYIEELVQADSAGANNKKICECTFLNPGSWDAALLAAGTTLSAMKHILDGHAKIAYALVRPPGHHAQPDRADGYCFLNNAGLAVKLALDSGRRRVAVVDIDVHYGNGTAEGFYHTDSVLTISLHMKHGSWGPSHPQSGLVDETGEGRGLGYNLNIPLPNGSGDAGYEYAMNELVFDPNGRQCLTMDGYRKIGQIMRGMADQHSNGQILIVQEGGYHITYSAYCLHATLEGVLNLQAPLLDDPLGFYPDDEKYTMQAVDIIKKCWKESIPQRGPPEFRSYTAHAYLVNFQRCEPARTHGAPEEEDSRSRVKTSTNRPTRSGKGNVTVAASPMESSASSSAAVAAEGAPATGEQLAVFWHEGMVAHDAGRGVFDSGHDPGFLDVLDQHPENADRVRNMVSILRRGPIARFISWHSGRPAHAAELLSFHSAEYIEELVQANAVGAKKLCEGTFLNPGSWGAALLAAGTTLSAMKHILDGHAKIAYALVRPPGHHAQPDRADGYCFLNNAGLAVKLALDSGRRKVAVVDIDVHYGNGTAEGFYHTDSVLTISLHMKHGSWGPSHPQSGSVDEIGEGRGLGYNLNIPLPNGSGDAGYEYAMNELVVPAIEKFRPELLVFVVGQDSSTFDPNGRQCLTMDGYRKIGQIMRGMADQHSNGQILIVQEGGYHISYSAYCLHATLEGVLNLQAPLLDDPIAYYPEDEKYTMKVVDIMKKCWKESIPFLKDI >ORUFI05G19220.2 pep chromosome:OR_W1943:5:18771550:18780922:-1 gene:ORUFI05G19220 transcript:ORUFI05G19220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRVITNLMEERRWVEVTNNGRRTTENVFTGSVVRHCPRRLFSLLTLALACVEEGRFRQRLISGRVSCKQIKIFISCAVQRVPLLDQVPCSITVTELVQADSAGANNKKICECTFLNPGSWDAALLAAGTTLSAMKHILDGHAKIAYALVRPPGHHAQPDRADGYCFLNNAGLAVKLALDSGRRRVAVVDIDVHYGNGTAEGFYHTDSVLTISLHMKHGSWGPSHPQSGLVDETGEGRGLGYNLNIPLPNGSGDAGYEYAMNELVFDPNGRQCLTMDGYRKIGQIMRGMADQHSNGQILIVQEGGYHITYSAYCLHATLEGVLNLQAPLLDDPLGFYPDDEKYTMQAVDIIKKCWKESIPQRGPPEFRSYTAHAYLVNFQRCEPARTHGAPEEEDSRSRVKTSTNRPTRSGKGNVTVAASPMESSASSSAAVAAEGAPATGEQLAVFWHEGMVAHDAGRGVFDSGHDPGFLDVLDQHPENADRVRNMVSILRRGPIARFISWHSGRPAHAAELLSFHSAEYIEELVQANAVGAKKLCEGTFLNPGSWGAALLAAGTTLSAMKHILDGHAKIAYALVRPPGHHAQPDRADGYCFLNNAGLAVKLALDSGRRKVAVVDIDVHYGNGTAEGFYHTDSVLTISLHMKHGSWGPSHPQSGSVDEIGEGRGLGYNLNIPLPNGSGDAGYEYAMNELVVPAIEKFRPELLVFVVGQDSSTFDPNGRQCLTMDGYRKIGQIMRGMADQHSNGQILIVQEGGYHISYSAYCLHATLEGVLNLQAPLLDDPIAYYPEDEKYTMKVVDIMKKCWKESIPFLKDI >ORUFI05G19230.1 pep chromosome:OR_W1943:5:18782108:18782956:-1 gene:ORUFI05G19230 transcript:ORUFI05G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGFVRDEAEGGKSGGVAPLRRPAAHVPCSLAARSASSKKRVENLREGNDTGERWQEVLTPSLRHDSVKRQRICQIAILAMRFSSHHSPLVSPASQQGKSPLAFSATRSPPPRDSICSDFQRWRKRYTRYCG >ORUFI05G19240.1 pep chromosome:OR_W1943:5:18791255:18797488:1 gene:ORUFI05G19240 transcript:ORUFI05G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQVGRGAFGAAILVNHKIERKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKLNGTYFLEEKLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPACYSSSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQCRPLSDAPTPIRMPEKPLSTSRSNQRCTSESQSSSISCSDIDSTQSSDRSTSGGAPSTDSKLNDIRSIQDADRADSDEKCVTPEDLRGNKNISGAELKRQDSSKSVHQHHRGESKQPKIIEKIMTTLREESRLRENNSPVSSSGVKLTSAVSNKNQAEQSSESSRPHSGVSYSSKFGDISSNGWTNTSDECVDPVQVPLQLKQLSPTVEHCPKLKNSGSSTPEPAKQIAENGSSASGMSKTKSSPSSSRRPSPQRQTVAGIPIVPFTVSKRAHIKAESEKTPPRPAHSPNNSLHNLPPLIPISTNLSEENIKLGNSQAMPAPLEFVTAASKEDISFYSNSVVDCVEKAEPSEVFESNSPAYLTPPWTGPVLDAKGENGLIAIPCSEIHTGTLQKSMASNDDSSLSSPLDTFYLSFEQEFVCKDDSQSSKHGHSAVTLLSGEDKFTVQELLASTPVISPFVSSTSNTLPEDKSSYQSFKKQSDSHSGPPVDVPAQTIRLNSFLVSDEWPTSETVQGEARDTAASKLLNVVREDFDVRSSSCSTSTQPSGQTPVRSKLNVPETNLASNISIPSISEAVRLSTAMDVKPYTSEASNGVKEEASPAKEALDVTSFRQRAEALEGLLELSADLLENNRLEELAIVLQPFGKNKVSPRETAIWLARSFKGMMNEEGGRLSM >ORUFI05G19240.2 pep chromosome:OR_W1943:5:18791255:18797488:1 gene:ORUFI05G19240 transcript:ORUFI05G19240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQVGRGAFGAAILVNHKIERKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKLNEIAEMVCSTGISRGLFAFELCLASGPEGDFGLAKTLKEDDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPACYSSSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQCRPLSDAPTPIRMPEKPLSTSRSNQRCTSESQSSSISCSDIDSTQSSDRSTSGGAPSTDSKLNDIRSIQDADRADSDEKCVTPEDLRGNKNISGAELKRQDSSKSVHQHHRGESKQPKIIEKIMTTLREESRLRENNSPVSSSGVKLTSAVSNKNQAEQSSESSRPHSGVSYSSKFGDISSNGWTNTSDECVDPVQVPLQLKQLSPTVEHCPKLKNSGSSTPEPAKQIAENGSSASGMSKTKSSPSSSRRPSPQRQTVAGIPIVPFTVSKRAHIKAESEKTPPRPAHSPNNSLHNLPPLIPISTNLSEENIKLGNSQAMPAPLEFVTAASKEDISFYSNSVVDCVEKAEPSEVFESNSPAYLTPPWTGPVLDAKGENGLIAIPCSEIHTGTLQKSMASNDDSSLSSPLDTFYLSFEQEFVCKDDSQSSKHGHSAVTLLSGEDKFTVQELLASTPVISPFVSSTSNTLPEDKSSYQSFKKQSDSHSGPPVDVPAQTIRLNSFLVSDEWPTSETVQGEARDTAASKLLNVVREDFDVRSSSCSTSTQPSGQTPVRSKLNVPETNLASNISIPSISEAVRLSTAMDVKPYTSEASNGVKEEASPAKEALDVTSFRQRAEALEGLLELSADLLENNRLEELAIVLQPFGKNKVSPRETAIWLARSFKGMMNEEGGRLSM >ORUFI05G19240.3 pep chromosome:OR_W1943:5:18791689:18797488:1 gene:ORUFI05G19240 transcript:ORUFI05G19240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQVGRGAFGAAILVNHKIERKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKLNGTYFLEEKLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPACYSSSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQCRPLSDAPTPIRMPEKPLSTSRSNQRCTSESQSSSISCSDIDSTQSSDRSTSGGAPSTDSKLNDIRSIQDADRADSDEKCVTPEDLRGNKNISGAELKRQDSSKSVHQHHRGESKQPKIIEKIMTTLREESRLRENNSPVSSSGVKLTSAVSNKNQAEQSSESSRPHSGVSYSSKFGDISSNGWTNTSDECVDPVQVPLQLKQLSPTVEHCPKLKNSGSSTPEPAKQIAENGSSASGMSKTKSSPSSSRRPSPQRQTVAGIPIVPFTVSKRAHIKAESEKTPPRPAHSPNNSLHNLPPLIPISTNLSEENIKLGNSQAMPAPLEFVTAASKEDISFYSNSVVDCVEKAEPSEVFESNSPAYLTPPWTGPVLDAKGENGLIAIPCSEIHTGTLQKSMASNDDSSLSSPLDTFYLSFEQEFVCKDDSQSSKHGHSAVTLLSGEDKFTVQELLASTPVISPFVSSTSNTLPEDKSSYQSFKKQSDSHSGPPVDVPAQTIRLNSFLVSDEWPTSETVQGEARDTAASKLLNVVREDFDVRSSSCSTSTQPSGQTPVRSKLNVPETNLASNISIPSISEAVRLSTAMDVKPYTSEASNGVKEEASPAKEALDVTSFRQRAEALEGLLELSADLLENNRLEELAIVLQPFGKNKVSPRETAIWLARSFKGMMNEEGGRLSM >ORUFI05G19250.1 pep chromosome:OR_W1943:5:18799754:18800449:1 gene:ORUFI05G19250 transcript:ORUFI05G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLMSGSGGGGSARRRPLRQPPVVDDDDVGCSCPKQRLLRSLLSSLVSRARGALGGRAVSRPKSSAPPSSSASTTTTAAAAFTSTSTTGASATTVDSSKESWGPATYAATNTHTLYEVEDEVRRQRRKDMRRRRRRRAAAWDEEEEEEGAAAVAVAVEVESAAPYEDFRESMVAMVVEKEMYAWEELNALLHQFLTLNSPRHHALILHAFADLWAPRSGLFCPPSPCQAL >ORUFI05G19260.1 pep chromosome:OR_W1943:5:18803183:18806877:-1 gene:ORUFI05G19260 transcript:ORUFI05G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVAATFFSCKNFMCCGRREAQGTAIKREALRPACGSEQGQGRRASRAARRACSAVQLYWRTGATHEHLRCIRLFPSAQRSGYSVVIIVGALDTEAAAAAAQDVEAAAALDTEAAAARDAGVGAVVSWDAEAVAAAVWDAKAAEAWDTEPASLPAARRWRRERECAEIRDGAGAGGRRRSHAAAAAAATSSAAASFATASCTATASVSSVAAPSASRAAAALCPRREREGGKRRERVYDRWAPPCFKKRKC >ORUFI05G19270.1 pep chromosome:OR_W1943:5:18814236:18815003:-1 gene:ORUFI05G19270 transcript:ORUFI05G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLPLSSVLYTINSARDIPPSSPPPPAATPPAWMWPSCKHPRAHSFRSPSAASAAAAAKTIASIFLDSGESSFANSSARMHHDCASDSLSTESDVSATAEDMADAIVRGLRSDRLLFEPRAPSSSILDKKPVRRAAGGGDDDDDGAASFGGGVAVAFDSEDPYEDFRASMAEMLAAHGVGDWGWLEAMLGWYLRANGKETHAAIVAAFVDLVVSTAARGSSSSRHSSFTLAGTDLESSSAGGGAAGHISFRLR >ORUFI05G19280.1 pep chromosome:OR_W1943:5:18817290:18817514:1 gene:ORUFI05G19280 transcript:ORUFI05G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSTCSPGSPAPAAAPHTIQVPAAVLLHPAPHHHTTPPSKPSPPWAHPHRGGLTFIPTCDGRLHPLLREERYT >ORUFI05G19290.1 pep chromosome:OR_W1943:5:18827268:18830221:1 gene:ORUFI05G19290 transcript:ORUFI05G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIDAGDALFCGGVEPPTLTAVSDLAATTDDATVSDAAELALLDAPVPTTFPAGASDAVAAFARFIGSLGKKIFQVEDSFAEGYDKLRLSAYDALGAWRKSIDGVVGGLTASVDATKKQAASGMLARVSLLILNPARPYH >ORUFI05G19300.1 pep chromosome:OR_W1943:5:18833720:18834200:1 gene:ORUFI05G19300 transcript:ORUFI05G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADGQCKWGSGDGLRAVGDDGWHAAAARQLAAPRQIPLRVACPLRRGGEDGDGGWRAVAEVFWSRRRCPFGLRWPKRRQRILGKETAGLGGGGIVRSGVWQKCLGVRAEDFSGSDGGPRGSDRTETVAA >ORUFI05G19310.1 pep chromosome:OR_W1943:5:18844552:18844950:-1 gene:ORUFI05G19310 transcript:ORUFI05G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGGKGGKLQEARVNLPSTRGGGGTSSGVVGGSSSPRRLSSSSSSTASPPSSCVSSEGSPEAGGGGGGGGSSGMILAGCPRCMMYVMLSREDPKCPKCHSTVLLDFNDAAAAADPRQVGAGKSKGKHRG >ORUFI05G19320.1 pep chromosome:OR_W1943:5:18850240:18851021:-1 gene:ORUFI05G19320 transcript:ORUFI05G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSSSWAAAMMAAPPVPSSRLWSKVEDKVFESALVAFSEHTHNRWVLVASRLPGRLAQDVWEHYQVLMDDVNLIEHGMIASPGYSWKKAGEVWTWRLAQYLTLVGEDMNAAAGGEPCSEVLHPSGQRRQP >ORUFI05G19330.1 pep chromosome:OR_W1943:5:18853418:18854358:-1 gene:ORUFI05G19330 transcript:ORUFI05G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYGGEMGGSSSSWVAPLVPSSRPWSKAEDKVFESALVAFPEHTHNRWAIVASRLPGRSAHEVWEHYRVLVDDVDLIERGMVASPGCWDDGAGRGGAQGASRGGDERRRGVPWTEEEHRLFLEGLEKYGRGDWRNISRWSVKTRTPTQVASHAQKFFIRQANAGNRSDSKRKSIHDIIAP >ORUFI05G19340.1 pep chromosome:OR_W1943:5:18857760:18858572:-1 gene:ORUFI05G19340 transcript:ORUFI05G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYLGSMGGSPSSWGVAEVPVPSSRPWSKAEDKVFESALVAFPEHTHNRWALVASRLPGRSAHEVWEHYQVLVDDVDLIERGMVASPGCWDDDNNSAGHGRGSGGDERRRGVPWTEEEHRLFLEGLEKYGRGDWRNISRWSVKTRTPTQVASHAQKFFIRQANASSRGDSKRKSIHDITAP >ORUFI05G19350.1 pep chromosome:OR_W1943:5:18864857:18866067:-1 gene:ORUFI05G19350 transcript:ORUFI05G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELPPGYRFYPTEEELVCFYLRHKLDGGRRVPDIERVIPVADAHQGAWTGDGEPWFYFCPRQEREARGGRPSRTTPSGYWKAAGTPGWVYSSDGRPIGTKKTMVFYRGRAPAGAKTKWKMNEYRAFEEDDDNAAAAAPAQNHYLQTRSDFSLCRLYTRSGCPRQFDRRPPSSSVAGGGGENRAAPSSTAAAFANEDAAESSGKSQKRKRSAPDDRLDSTSSSDDNGGCDGSMLQQQQQRQRGTDEELVECSMTDWADLLDWF >ORUFI05G19360.1 pep chromosome:OR_W1943:5:18872223:18872474:1 gene:ORUFI05G19360 transcript:ORUFI05G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTAVAAAPETSHRRWRQGRWRRRRLPIHPVVDGIEDSDGGSGSRALLRGWRRGRPRRQRWRVLSPPVADGVEDSNSGSGS >ORUFI05G19370.1 pep chromosome:OR_W1943:5:18888579:18888986:1 gene:ORUFI05G19370 transcript:ORUFI05G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSMSRAHLLFLCSLAAAAAAAAGALAVPAAEVDWARQLRHHHGASPASDAPAPSPAPLSTPELCRPGEPVPAPSSSPAGATTTPAPAAPAATAPAPSPEADGKSSGAAAAPPLMTWPAVLAGAAGVATTLIL >ORUFI05G19380.1 pep chromosome:OR_W1943:5:18889785:18894719:-1 gene:ORUFI05G19380 transcript:ORUFI05G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARATFSRFPVTAEALEACAVQWGIAVTPFAAADERGQAPAAGAGGDRVPRCEHCWAYLNSHCDMERWGWSCALCGTLNGFDDDALRRLQHPEGWPELTSSFVDLEIPVDGSEGAGDGVQARPVYVAAVDLACSEEFLELIKSALLAALEALIPGSLFGLMTFSHKIGLYDVQGPIPVVKNVFIPPDTEEDGLPVALEDAMPLLSFLAPIDTCKDRIAAALDTLRPTSSWERGAASGQEPDTVLLGGRGFGTAMSALTDYLSSEYGTTFALGRPNYIFFLKKIQTARVFAFLSGAPDYGDGQLDTRRYGEQYASKGEDPDLALLPEQIPFYKDLAAVAVQAGVCVDIFAITDEYTDLASLKFLSIESGGSLFLYTNTDDSTLPQDIYRLLSRPYAFGCVLRLRTSSDFEPGNSYGHFFPDPQYEHVQHIICCDSFATYAYDFNFSHPEGFSRHTDPAVVQIAFQYSVIEPVKHTSENETQSSTSNMFCLKRRLRIRTLQYRPAKNINEIYDSVDPETLLHILVHKVILISLDKGVKEGRSLVHDWLSLLIARYNQALRSDARIPESHVDVDFLQCPQLQMLPQLVFALLRNPLLQLHEEGIHPDYRIYLQCLFSALEPSSLAKAIYPVLVSYSSPDKQAFPRHTLSRAALIMSESPIFLLDAFTNLIVYYSPSADPSLPFPPPHDCLLRTMINELKQGRCITPKLTFIHGGREDPALFERYLIEEQDVDGTGFTSGKGFVSFRESIRHAATDIIETESSI >ORUFI05G19390.1 pep chromosome:OR_W1943:5:18896580:18900579:-1 gene:ORUFI05G19390 transcript:ORUFI05G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCAVFFSSAFSLLLLLLLLSAANDVVVSAATSPPLKFGINYGQIANNLPHPTQVSGLLQSLSVNRVKLYDADPAVLAAFAGTGVEFIVGNEDLHDLTDARKARAWVAQHVQPFLPATRITCVTVGNEVLSGKDTAAMQSLLPAMQSVHQALLDLGLAGRVNVSTAHSVNILATSYPPSAGAFREDLAQYIQPLLNFHAEVGSPFLVNAYPFFAYKASPASVSLPYVLFEPNPGVRDPATNLTYDNMLYAQIDAVYAAMKAMGHADITVRISETGWPSKGDDDEVGATPQNAAAYNGNLMKRIAAGEGTPLKPAVPVDVFVFALFNEDMKPGPSSERNYGLFYPNGTPVYNIGFDAASFSPSPTTSTFSSSSRPTVMGRSYLSGKICLDIFASGASCEGCRSVSAFPREDSRVHFSSIVWILKIVIIEHRRRVMHIIGTPTQEIVFPKESDSSQELNWKHRWQ >ORUFI05G19400.1 pep chromosome:OR_W1943:5:18903175:18903621:-1 gene:ORUFI05G19400 transcript:ORUFI05G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAPRLCFPKPGAAIAPATKSPSFIGYAKQTLNMSGLRISNKFRVSATAVHKVKLIGPDGVEHEFEAPEDTYILEAAETAGVELPFSCRAGSCSTCAGKMSSGEVDQSEGSFLDENQMGEGYVLTCISYPKADCVIHTHKEEELY >ORUFI05G19410.1 pep chromosome:OR_W1943:5:18906365:18908656:-1 gene:ORUFI05G19410 transcript:ORUFI05G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFGRWEADPLFPAAECVQDSADRMEGVYRLLLHERKLIQDDTSHTKRRVSMQYERDVCTALGTTKWQLEQFEREVNAAALSNKSNSRENAILQFRQFIRAIAEQISQVEDSLESLRNDSIRTPKHSYLTEHDGDELASFLSGSNRKDNHVIYSTGTDEIVELKLDSVPAVNGYHSTQERTSCEFRYSGEDVEGAAKPQCSCGENACEGDHNGSSMRGLDSDDSIGRKHHFRSKLSRKYHSFMRNLWFTNRGRESFTKRRKDGEVMDSLRNGSTLPSFNLPPAGRAMYFWPELIKRRLSKFKCSTHHKHLQVRLATVLLIALAVLGELHQSHLFLSISDD >ORUFI05G19420.1 pep chromosome:OR_W1943:5:18909281:18912778:-1 gene:ORUFI05G19420 transcript:ORUFI05G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPRSRTSSHQGLTNQIISLTSSPSPSTGCSDHRGELLLLLSTTPPRLHAPPSPAGARRFRFPALFCKRAIINRRKPRRAGRHTEFRMSTQLVFPSASNGGCPLGVSRNNFRKVVLGVGAGHLQGKKCFSGGSSRPSKLECSANSRRVGPRRTKDALYDLHPEISMLYGEDNGAVAAPGKEQDIVKTTERLEDVSASHRYSEPRIKVIGVGGGGSNAVNRMIESDMKGVEFWIVNTDFQAMRMSPIDPDNKLQIGQELTRGLGAGGNPEIGMNAAKESQELVEQAVSGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFAFEGRRRALQAQEGIASLRSNVDTLIVIPNDKLLTAVSPNTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSVMSDAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLTEVNAAAEVIYDLVDPGANLIFGSVIDPSYTGQVSITLIATGFKRQEEAESRQAGGDNSRSHSSWFSSSSQEEGPTLQIPEFLQRKGRSGFSRG >ORUFI05G19430.1 pep chromosome:OR_W1943:5:18912802:18921719:1 gene:ORUFI05G19430 transcript:ORUFI05G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVAYWPKGLYLNASSPATRIVSRSSRPSAAVAGGRRRPLPLKKGGNNTLNIRPLPPLAATESTARLLSSTAGGVRLHRSHGKSCIGLQYKSQRVEAKKSELQPAAAAYFGELEKALIHGTSAGAGVDHGMIQSDAYRESAGYLAARPPTLEIFPSWPMSHLQEPYSNSQSVGSTTDSSSAQNTMSQAELVSPASMRSDSGQEQQQQEVLMVTIDDYNYKQGLGAAIATAPSFQQHAGGLDMRKHGSTRKDGKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQRARSQGLFPGGCSAPGDMSSGAVMFDMEYTRWIDDDSKCMAELQGALQAQLPDGNLGAIVEECMRHYDELFHLRAVLASSDVFHLMTGMWAAPAERCFLWMAGFRPSEILKMLIPQLDPLTEQQLMGMCSLQQSSEQTEEALAQGLHQLHQSLADAVGGGPLNDGADVANYTGLMALALGRLENLESFYRQADNLRQETLHHMRRILTTRQTARCFLSIGEYNRRLRALSSLWASRPRENFIATENVSPTGTEFQVIQQSQQNQFSGF >ORUFI05G19430.2 pep chromosome:OR_W1943:5:18912802:18921719:1 gene:ORUFI05G19430 transcript:ORUFI05G19430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVAYWPKGLYLNASSPATRIVSRSSRPSAAVAGGRRRPLPLKKGGNNTLNIRPLPPLAATESTARLLSSTAGGVRLHRSHGKSCIGLQYKSQRVEAKKSELQPAAAAYFGELEKALIHGTSAGAGVDHGMIQSDAYRESAGYLAARPPTLEIFPSWPMSHLQEPYSNSQSVGSTTDSSSAQNTMSQAELVSPASMRSDSGQEQQQQEVLMVTIDDYNYKQGLGAAIATAPSFQQHAGGLDMRKHGSTRKDGKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQRARSQVPTLRKPHKIATSNNTILTKGAVMFDMEYTRWIDDDSKCMAELQGALQAQLPDGNLGAIVEECMRHYDELFHLRAVLASSDVFHLMTGMWAAPAERCFLWMAGFRPSEILKMLIPQLDPLTEQQLMGMCSLQQSSEQTEEALAQGLHQLHQSLADAVGGGPLNDGADVANYTGLMALALGRLENLESFYRQADNLRQETLHHMRRILTTRQTARCFLSIGEYNRRLRALSSLWASRPRENFIATENVSPTGTEFQVIQQSQQNQFSGF >ORUFI05G19430.3 pep chromosome:OR_W1943:5:18912802:18921719:1 gene:ORUFI05G19430 transcript:ORUFI05G19430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVAYWPKGLYLNASSPATRIVSRSSRPSAAVAGGRRRPLPLKKGGNNTLNIRPLPPLAATESTARLLSSTAGGVRLHRSHGKSCIGLQYKTAGYLAARPPTLEIFPSWPMSHLQEPYSNSQSVGSTTDSSSAQNTMSQAELVSPASMRSDSGQEQQQQEVLMVTIDDYNYKQGLGAAIATAPSFQQHAGGLDMRKHGSTRKDGKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQRARSQGLFPGGCSAPGDMSSGAVMFDMEYTRWIDDDSKCMAELQGALQAQLPDGNLGAIVEECMRHYDELFHLRAVLASSDVFHLMTGMWAAPAERCFLWMAGFRPSEILKMLIPQLDPLTEQQLMGMCSLQQSSEQTEEALAQGLHQLHQSLADAVGGGPLNDGADVANYTGLMALALGRLENLESFYRQADNLRQETLHHMRRILTTRQTARCFLSIGEYNRRLRALSSLWASRPRENFIATENVSPTGTEFQVIQQSQQNQFSGF >ORUFI05G19440.1 pep chromosome:OR_W1943:5:18930332:18932839:1 gene:ORUFI05G19440 transcript:ORUFI05G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRACTMVEGSSFVVGGGGGVPFFEWLKPRSSPPPSPSSSSTTTTSSSLTAQRQPRGAGTMLCLPLLGRLGEEPVDADDGGAMNNPPVKEEVSNTTDDYAGVDLNIGLPATTGGCSSEDAPMDEDEEDDDDEEEETEDDEEKAAGLEGCKVEEEEREQVHSEGSKYYVSVGGGEDQSSNAGDVDAGAACRGRRYWIPTPAQILIGPVQFVCHVCNKAFNRYNNMQMHMWGHGREYRKGPESLKGTQATATLAMLKLPCYCCAAGCRNNVGHPRARPLKDFRTLQTHYKRKHGAKPFACRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGGGHFPVAAAAAAAHAAAAPPKQQQRIIRFDDAMAQMHGGGLMN >ORUFI05G19450.1 pep chromosome:OR_W1943:5:18942919:18954354:1 gene:ORUFI05G19450 transcript:ORUFI05G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIMEAKGKDAWGLLLVLLLGQLVAFSMAVSSFTSSLIATLGVDAPLTQSFFAYLLLTLVYVPILLKRRQKLQIPWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVVILTWYALGTRYSFWQIVGAGTCVAGLALVLLSDSKSADAQDPSKIPLLGDALVIAGTIFFAFSNVGEEYCVKKKDRVEFVAMFALFGLLVSIIQMYPFNKAQFTTLLLCLFAGFAVALFMFYSITPFVLKMSGSTLFNLSLLTSDMWAVAIRVLFYHQQINWLYYIAFAVVAIGLIIYSLNDHSSDSGTRTTANTEAAAQYQQLPGENNSTGIGSNDSRERKQEEERAEKIRRSRKAIIPEGVLHHFLPPLKARERLMKNKSAPWSMMFLVFLGQLVSFSMAVCSFITSQISSLGADAPFTQSFLSYLLLALVYGPVLLHRQRKFLMPWYWYLALAFIDVQGNCLAIKAYHYSYITSVNLLNCWTITWVMILTRFALGTRYSLWQFVGAGTCMTGLALVLLSDSNYSDVQDESKRPLLGDALIIVATFCFAFSNVGEEYCVKNKDRIEFVAMLGIFGMLVTGIQLYPYQNIIS >ORUFI05G19450.2 pep chromosome:OR_W1943:5:18942919:18954354:1 gene:ORUFI05G19450 transcript:ORUFI05G19450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIMEAKGKDAWGLLLVLLLGQLVAFSMAVSSFTSSLIATLGVDAPLTQSFFAYLLLTLVYVPILLKRRQKLQIPWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVVILTWYALGTRYSFWQIVGAGTCVAGLALVLLSDSKSADAQDPSKIPLLGDALVIAGTIFFAFSNVGEEYCVKKKDRVEFVAMFALFGLLLCLFAGFAVALFMFYSITPFVLKMSGSTLFNLSLLTSDMWAVAIRVLFYHQQINWLYYIAFAVVAIGLIIYSLNDHSSDSGTRTTANTEAAAQYQQLPGENNSTGIGSNDSRERKQEEERAEKIRRSRKAIIPEGVLHHFLPPLKARERLMKNKSAPWSMMFLVFLGQLVSFSMAVCSFITSQISSLGADAPFTQSFLSYLLLALVYGPVLLHRQRKFLMPWYWYLALAFIDVQGNCLAIKAYHYSYITSVNLLNCWTITWVMILTRFALGTRYSLWQFVGAGTCMTGLALVLLSDSNYSDVQDESKRPLLGDALIIVATFCFAFSNVGEEYCVKNKDRIEFVAMLGIFGMLVTGIQLYPYQNIIS >ORUFI05G19450.3 pep chromosome:OR_W1943:5:18942919:18954354:1 gene:ORUFI05G19450 transcript:ORUFI05G19450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIMEAKGKDAWGLLLVLLLGQLVAFSMAVSSFTSSLIATLGVDAPLTQSFFAYLLLTLVYVPILLKRRQKLQIPWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVVILTWYALGTRYSFWQIVGAGTCVAGLALVLLSDSKSADAQDPSKIPLLGDALVIAGTIFFAFSNVGEEYCVKKKDRVEFVAMFALFGLLVSIIQMYPFNKAQFTTLLLCLFAGFAVALFMFYSITPFVLKMSGSTLFNLSLLTSDMWAVAIRVLFYHQQKPNKFNCGIYEVTILRTVEQEQLQIQKQQPNTSNFQERIIQQELVRTTAEKGNKRRKFISRAEKIRRSRKAIIPEGVLHHFLPPLKARERLMKNKSAPWSMMFLVFLGQLVSFSMAVCSFITSQISSLGADAPFTQSFLSYLLLALVYGPVLLHRQRKFLMPWYWYLALAFIDVQGNCLAIKAYHYSYITSVNLLNCWTITWVMILTRFALGTRYSLWQFVGAGTCMTGLALVLLSDSNYSDVQDESKRPLLGDALIIVATFCFAFSNVGEEYCVKNKDRIEFVAMLGIFGMLVTGIQLYPYQNIIS >ORUFI05G19450.4 pep chromosome:OR_W1943:5:18942919:18954354:1 gene:ORUFI05G19450 transcript:ORUFI05G19450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIMEAKGKDAWGLLLVLLLGQLVAFSMAVSSFTSSLIATLGVDAPLTQSFFAYLLLTLVYVPILLKRRQKLQIPWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVVILTWYALGTRYSFWQIVGAGTCVAGLALVLLSDSKSADAQDPSKIPLLGDALVIAGTIFFAFSNVGEEYCVKKKDRVEFVAMFALFGLLLCLFAGFAVALFMFYSITPFVLKMSGSTLFNLSLLTSDMWAVAIRVLFYHQQKPNKFNCGIYEVTILRTVEQEQLQIQKQQPNTSNFQERIIQQELVRTTAEKGNKRRKFISRAEKIRRSRKAIIPEGVLHHFLPPLKARERLMKNKSAPWSMMFLVFLGQLVSFSMAVCSFITSQISSLGADAPFTQSFLSYLLLALVYGPVLLHRQRKFLMPWYWYLALAFIDVQGNCLAIKAYHYSYITSVNLLNCWTITWVMILTRFALGTRYSLWQFVGAGTCMTGLALVLLSDSNYSDVQDESKRPLLGDALIIVATFCFAFSNVGEEYCVKNKDRIEFVAMLGIFGMLVTGIQLYPYQNIIS >ORUFI05G19450.5 pep chromosome:OR_W1943:5:18942919:18954354:1 gene:ORUFI05G19450 transcript:ORUFI05G19450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIMEAKGKDAWGLLLVLLLGQLVAFSMAVSSFTSSLIATLGVDAPLTQSFFAYLLLTLVYVPILLKRRQKLQIPWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVVILTWYALGTRYSFWQIVGAGTCVAGLALVLLSDSKSADAQDPSKIPLLGDALVIAGTIFFAFSNVGEEYCVKKKDRVEFVAMFALFGLLVSIIQMYPFNKAQFTTLLLCLFAGFAVALFMFYSITPFVLKMSGSTLFNLSLLTSDMWAVAIRVLFYHQQKPNKFNCGIYEVTILRTVEQEQLQIQKQQPNTSNFQERIIQQELVRTTAEKGNKRRKFISRAEKIRRSRKAIIPEGVLHHFLPPLKARERLMKNKSAPWSMMFLVFLGQLVSFSMAVCSFITSQISSLGADAPFTQSFLSYLLLALVYGPVLLHRQRKFLMPWYWYLALAFIDVQGNCLAIKAYHYSYITSVNLLNCWTITWVMILTRFALGTRYSLWQFVGAGTCMTGLALVLLSDSNYSDVQDESKRPLLGDALIIVATFCFAFSNVGEEYCVKNKDRIEFVAMLGIFGMLIGLFIGFAVASLVFSSIAPFVLKMSGATMFNLSLLTTDVWAVAIRVFLYHQQVNWLYYLAFAVVAIGLIIYSINESSDDETAASTMETETQYDYEQLLCE >ORUFI05G19450.6 pep chromosome:OR_W1943:5:18942919:18954354:1 gene:ORUFI05G19450 transcript:ORUFI05G19450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIMEAKGKDAWGLLLVLLLGQLVAFSMAVSSFTSSLIATLGVDAPLTQSFFAYLLLTLVYVPILLKRRQKLQIPWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVVILTWYALGTRYSFWQIVGAGTCVAGLALVLLSDSKSADAQDPSKIPLLGDALVIAGTIFFAFSNVGEEYCVKKKDRVEFVAMFALFGLLVSIIQMYPFNKAQFTTLLLCLFAGFAVALFMFYSITPFVLKMSGSTLFNLSLLTSDMWAVAIRVLFYHQQKPNKFNCGIYEVTILRTVEQEQLQIQKQQPNTSNFQERIIQQELVRTTAEKGNKRRKFISRAEKIRRSRKAIIPEGVLHHFLPPLKARERLMKNKSAPWSMMFLVFLGQLVSFSMAVCSFITSQISSLGADAPFTQSFLSYLLLALVYGPVLLHRQRKFLMPWYWYLALAFIDVQGNCLAIKAYHYSYITSVNLLNCWTITWVMILTRFALGTRYSLWQFVGAGTCMTGLALVLLSDSNYSDVQDESKRPLLGDALIIVATFCFAFSNVGEEYCVKNKDRIEFVAMLGIFGMLVTGIQLYPYQNIIS >ORUFI05G19450.7 pep chromosome:OR_W1943:5:18942919:18953515:1 gene:ORUFI05G19450 transcript:ORUFI05G19450.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIMEAKGKDAWGLLLVLLLGQLVAFSMAVSSFTSSLIATLGVDAPLTQSFFAYLLLTLVYVPILLKRRQKLQIPWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVVILTWYALGTRYSFWQIVGAGTCVAGLALVLLSDSKSADAQDPSKIPLLGDALVIAGTIFFAFSNVGEEYCVKKKDRVEFVAMFALFGLLVSIIQMYPFNKAQFTTLLLCLFAGFAVALFMFYSITPFVLKMSGSTLFNLSLLTSDMWAVAIRVLFYHQQKPNKFNCGIYEVTILRTVEQEQLQIQKQQPNTSNFQERIIQQELVRTTAEKGNKRRKFISRAEKIRRSRKAIIPEGVLHHFLPPLKARERLMKNKSAPWSMMFLVFLGQLVSFSMAVCSFITSQISSLGADAPFTQSFLSYLLLALVYGPVLLHRQRKFLMPWYWYLALAFIDVQGNCLAIKAYHYSYITSVNLLNCWTITWVMILTRFALGTRYSLWQFVGAGTCMTGLALVLLSDSNYSDVQDESKRPLLGDALIIVATFCFAFSNVGEEYCVKNKDRIEFVAMLGIFGMLVTGIQLYPYQNIIS >ORUFI05G19450.8 pep chromosome:OR_W1943:5:18951013:18954354:1 gene:ORUFI05G19450 transcript:ORUFI05G19450.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKSAPWSMMFLVFLGQLVSFSMAVCSFITSQISSLGADAPFTQSFLSYLLLALVYGPVLLHRQRKFLMPWYWYLALAFIDVQGNCLAIKAYHYSYITSVNLLNCWTITWVMILTRFALGTRYSLWQFVGAGTCMTGLALVLLSDSNYSDVQGK >ORUFI05G19460.1 pep chromosome:OR_W1943:5:18955274:18955642:-1 gene:ORUFI05G19460 transcript:ORUFI05G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRTAAALSGRLLRGKKAVNLAPEQVPKLLATLASPARLEEDGARLRHPPAPATRSRKFLAGMTRWAPSACSSTICAARDGLSEVLWISSLPRDAAACPLTAPRCPVFSRFVGSVPDRVLG >ORUFI05G19470.1 pep chromosome:OR_W1943:5:18986688:18988411:1 gene:ORUFI05G19470 transcript:ORUFI05G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADATTLHIAVHALASSLQAQVAAVFFVSAACTVALALLLALLRLRPPWWCACPVCEAYVTASWAREFDNLCDWYAHLLRRAPGRTVHVHVLGNVLTANPATVDHMLRCRFDNYPKGAPFSAILADFLGRGIFNVDGDGWLFQRKLAAAELASPAIRAFAANVVASELRCRLIPLLRSASSYGSERLLDLQDVFRRFAFDCICKISFGLDPGCLELSLPISAFADAFDTASMLSARRATVPMHVVWKLKRLLNIGEERELRDAIRLVDALAAEVIRQRRKLGSAASGDDLLSRFMGSINDDKYLRDIVVSFMLAGRDTVASGLTAFFLLLSDHPEVAAAIRDEVFRVAGGRDPTAASFDFDKLKDMHYVHAALYESMRLFPPVQFDSKFAAGDDTLPDGTFVAKGTRVTYHAYAMGRMESLWGPDCAVFRPERWLSGGRFVPESPYRYPVFQGGVRVCVGKDLAIMEMKAVIVAVVLSFDVEAVDRSSRRPKFAPGLTATFAGGVPVRVRRRAHCAPS >ORUFI05G19480.1 pep chromosome:OR_W1943:5:18993423:18994432:-1 gene:ORUFI05G19480 transcript:ORUFI05G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLDDVFSNIAHSRYVLPQTIEDFGLKDMGSDVEITTRESEIGNDIMGRRRQIKYKIRYLETKRTLADLLQDSSFLKANKRDL >ORUFI05G19490.1 pep chromosome:OR_W1943:5:19010040:19010520:-1 gene:ORUFI05G19490 transcript:ORUFI05G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAEMLAVYQACGIKKKRSARVRRNSFLSVMDLEKNTSQESTRLPRSCMEALYESSYIKFMTKKRSQKARLNSPNSIQPNIDQKNRKLYLRFQMIRGSTLTFIAKYQ >ORUFI05G19500.1 pep chromosome:OR_W1943:5:19011486:19013578:1 gene:ORUFI05G19500 transcript:ORUFI05G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIAAYLLAVLGGNTSPSADDIKNILESVGVEANDERLEFLLSELEGKDITEVIAAGREKFASVPSGGGGGIAVAAPTAAGGGAAPAEEAKKEEKVEEKEESDDDMGFSLFD >ORUFI05G19500.2 pep chromosome:OR_W1943:5:19012252:19013578:1 gene:ORUFI05G19500 transcript:ORUFI05G19500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIAAYLLAVLGGNTSPSADDIKNILESVGVEANDERLEFLLSELEGKDITEVIAAGREKFASVPSGGGGGIAVAAPTAAGGGAAPAEEAKKEEKVEEKEESDDDMGFSLFD >ORUFI05G19510.1 pep chromosome:OR_W1943:5:19013909:19014529:1 gene:ORUFI05G19510 transcript:ORUFI05G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFPSQEILALPEPAEYRSRIIPRDDVKVPVGLAGLFRLPTDSFAQNELCSADGYRSRFSLTATSRENLSNHFRANLSLSRYGSEFSPDPCFSARNSMLLSTPTFGGCSNPVSDFRVGGAYFPSEVPRSSNRRGMPSGDQGMLV >ORUFI05G19520.1 pep chromosome:OR_W1943:5:19016441:19019050:1 gene:ORUFI05G19520 transcript:ORUFI05G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADATTAMTIDFLRARLLSERSVSRAAKERADQLTKRVAELEEQLRAVTAQRRKAERAAGEVLAILESQGLARFSDAADSGSGSDDEDGLESAESGGKARGEAEDALSGSELGDTVNAAAAAAAGGLSWKGRAVSHESQRRQQQQLKGRHLRQRNSHRRGYFYLLAADSSPKYQPGQSCRKVKRKELRFHTEGNEGKDNAMESTEEGQERSDCTVCTDEQPDIDGEVSQDGQGSCGDGRDGDNDDRYSVEYEKDGEMERVLEKQAELIGQYEAEEYAQREWEKKFNNCRDSTAGDVELGNKLNQIEKACEQRDRAAQIKDKEVSEVGGPSDKNLFADDSPSECLSTNSVFGLPPNAPEENAIKHCKVTECDHDFGEATSIVVSVDSGPQARKDESVNKSFTEIIEGSGNNIAKSSSSLQGNYDSSQNARHNEDQVDESSDSGPGYHVNACSYEHYINTPSVASRSSDTPKSKVSEWSSSCFHNHTDNQIDTQMHQPSSDGVGGVLEALQRAKMSLREKLSKPSPPSLEMLALPAPEYHYATDDSPVRDTELSLCMSTFXLKFQGLAIEEGCLLEIKGCCSIMLAITARISGRYSYAFLEIIV >ORUFI05G19530.1 pep chromosome:OR_W1943:5:19020389:19033266:-1 gene:ORUFI05G19530 transcript:ORUFI05G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDESPSYLHLIFLSSRIRVFILDPPLSLPLSHGQCELQVVEEAAMDPSGLNLQGNPAENQESWTSGVSVGRGTPNLGVGTAVAGRSCPSSTLFPGSSLSSTALLNTMHEGSFPQTALVAGSVSSADEQHGAPPVRPSYNLPAGCTQVPISILVFHRRLTGRGSRRRSPQSRSFMPAPALSGVSEDGAYGPIPQSDFLSLRGPSEVFPGYMAMNHSEPATSYGYNSEYAPMHLQPNGLYTEASNTESEREASQLQQSAEAVICDSLSKLESAMEKIQGQNPQESSGLVAEGSADDNIHKYHQKAKRARTQITHSDKIDLPTQAVSACKEKTITQIEMQIVDAERTEALKGEDAPAQKLKTRRRKHRPKMSTYICQIHLPDLPEAAPKPSEQIPLAASGHVSEELARKQYDVRHTHDPDSTSYNIERSGLITTKGHKKDLDLNYSNTNGFQMYCSASLLPEMDSTKGSMTKVLKMDKNKKRHYGGESSLAGTQSSIIMRTAAEMLAVYQACGIKKKRSARVRRNSFLSVMDLEKNTSQESTRLPRSCMEALYESSYIKFMTKKRSQKARLNSPNSIQPNIDQKNRFSSETVFSGGFNGLKRSEETFQKTLPQIPDDKRINLDIHCKVPVESSPNTSTPPYMDYLQGVTSKFRYFDLNTEQVHKTEMHLSQTMPSLSSLGATNYLPNALVPYVGGAVVPYQTQFHLVKKQRPRAKVDLDFETTRVWNLLMGKAADPVDGTDVDKERWWKQEREVFQGRANSFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMALAASFPTGSHGNCNDGIAGQDNEEIISTSAVGDRGTFEFFYNGSRPDIGLNFEFSMACEKIHMEPKDNTTVNELTIGENYSLHCKESAGSLCDHETEIDHKAKSISDISAVELTARMKNLHATQFQTEISLSQSAVTSESILQPGLPLSSGMDHARRNFVGSISDTASQQRNGNMIVDTNLSSALESTELPVKLLHCGKRSCYEASEFQDHESLYATGGVIPETATKADDSTLKSGFASFNGLPDTAAQASKPKKSRTTSKKNSENFDWDKLRRQACGNYQMKERIFDRRDSVDWEAVRCADVQRISHAIRERGMNNVLAERIQKFLNRLVTDHGSIDLEWLRDVPPDSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYELHYQMITFGKVFCTKSKPNCNACPMRSECRHFASAFASARLALPSPQDKRSQQVQXQLEGSIHARDVHANNTNPIIEEPASPREEECRELLENDIEDFDEDTDEIPTIKLNMEAFAQNLENCIKESNKDFQSDDITKALVAISNEAASIPVPKLKNVHRLRTEHYVTQGRHQNRAAILKLKVAYAAMRCATTVYLNEKTNIDTSEAXEGGLCSNEMCHNCVSERENQYRYVRGTVLVPCRTAMRGSFPLNGTYFQVNEVFADHSSSHNPINIPREQLWNLHRRMVYFGTSVPTIFKGLTTEEIQHCFWRGFVCVRGFNMETRAPRPLCPHFHLAASKLRRSSKKAATEQTH >ORUFI05G19540.1 pep chromosome:OR_W1943:5:19034031:19034354:-1 gene:ORUFI05G19540 transcript:ORUFI05G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASHNATVYRPRLSSATTSAEAWWTRRAAREPAPELTSTHATVATNKGAWATDGELGGDEGAGAELVETDGVDDGLRGEDGAADQPISRSMSSLLISLVRPETTA >ORUFI05G19550.1 pep chromosome:OR_W1943:5:19043907:19046692:1 gene:ORUFI05G19550 transcript:ORUFI05G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPEGWELIEPTLRDLEAKMREAENDPHDGKRKCEALWPIFRISHQKSRYIYDLYYRRKEISKELYEFCLDQGHADKNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVIECVHCGCRGCASGD >ORUFI05G19560.1 pep chromosome:OR_W1943:5:19049695:19058641:1 gene:ORUFI05G19560 transcript:ORUFI05G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVSTRKWGVEVPANRSRLHESGIERWRRWRTRHRCRWHGGRASPFAAALPSSPPPLCFRLPPPLFRPRGPPRRARPLSPMNWIDPLSVGSEVCAGTERSGSRAAPATSGAPPILLLRPAASGSPTGRIWRDAALPPPPRGGAGVASRDLRRSSPLLRPPCLSSSSSDRRGEVGVARSGVERCRGFPAPAGRHERHERHPELRREGSGEEGFEMAAAADATTAMTIDFLRARLLSERSVSRAAKERADQLTKRVAELEEQVRAVTAQRRKAERAAGEVLAILESQGLARFSDVADSGSGSDDEDGLESAESGSKARGDAEDALSGSEFGGTATAAAAGGLSWKGRAASHESQRRRQQQQQQLKGRHLRPRHSHRRGYFYLVAADSSPKYHPGQSCRKVKRKELRLHTEGDEGKDNAMESTEEGHERSDCTVCTDEQPDIDGEVSQDGQGSCGNGRDGDDDNPYTVEYEKDGEMERVLEKQAELIEQYEEEEYAQREWERKFNNSRDSTAGDVELCNKLNQIEKACEQRDKAAQIKDKEVSEVGGPSDKNLFANDSPSECLSTDSVSGVPQNAPEEDAIKHCKVTECDHDFGEATSTVVSVDSGPQIRKDELVDKSFTEIIEGSGNNIEKLSSSLQRNYESVQNARHNEDGVDESSDGGPGYHVNACSYEHYINTPSVASRSSDTPKSKVSEWSSSCFHNHTDNQIDTQMHQPSSDGVGGVLEALQRAKMSLREKLSKPSPPSLEMLAFPAPEYHYATDDSPVRDTELSLCMSTFXLKFQGLAIEEGCLLEIKGCCSIMLAITARISGRYSYAFLEIIV >ORUFI05G19570.1 pep chromosome:OR_W1943:5:19054269:19064277:-1 gene:ORUFI05G19570 transcript:ORUFI05G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDENPSYLHLIFLSSRIRVFILDPPHSLSLSNGQCELQVVEEAAMDPSGLNLQGNPAENQESWTSGVSVGRGTPNLGVGTAVAGRSCPPSTLFPGSSLSSTALLNAMHEGSFPQAALVAGSGSSADEQHGGPPVRPSYNLPAGCTQVPISILVFHRRLTGRGSRRRSFMPAPALSGVSEDGAYGPIPQSDFLSLGGPSEVFAGDLAMNHSEPATSYGYNSEHAPMLLQPNGLYTEASNTESEREASQLQQSAEAVICDSHSKLESVMEKIQGQNPQESIGLVAEGSTDDNIHKYHQKAKRARTQITHSDKMDLPTQAVSACKEKTLTQIEMQIADAERTEAFKSEDAPAQKLKTRRRKHRPKVEHGQELHMENSPEGLFFGINSKLNKILDEYIHLPEAAPKPSEEIPLATSGHVSEVLARKQDDVRHIHDHNERSGLITTKRNKKDLDLNYSNTNGFQMYCSASLLPEMDSTKGRMTKVSKMDKNQKRHYGGESSLAGTQSSIIMRTAAEMLAVYQACGIKKKRSARVRRNSFLSVMDLEKNTSQESTRLPRSCMEALYESSYIKFMTKKRSQKARLNSPNSIQPNIDQKNRFSSETIFSGGFNGLKRSEETFQKTLPQIPDDKRINLDIHCKVPVESSPNTSTPPYMDYLQGVTSKFRYFDLNTEQVHKTEMHLYQTMPSLSSLGATNYLPNALVPYVGGAVVPYQTQFHLVKKQRPRAKVDLDFETTRVWNLLMGKAADPVDGTDVDKERWWKQEREVFQGRANSFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMALAASFPPGSDSNCNDGIAGQDNEEIISTSAVRDRGTFEFFYNGSRPDIGLNFEELSMACEKIHMEPKGNATVNELTKGENYSLHCKEPAGSLCDHETRIDHKAKSISDISLVELTARMKNLHATQFQTEISLSQSVVTSESILQPGLPLSSGMDHAPRNFVGGISDTASQQMNGNMIAGTNVSSALASTELPVKLLHCCKRSCYEASEFQDHESLYATGGAIPETATKADDSTLKSGFASFNGLPDTAAQASKPKKPRTTSKKNSENFDWDKLRRQACGNYQMKERIFDRRDSVDWEAVRCADVQRISHAIRERGMNNVLAERIQKFLNRLVTDHGSIDLEWLRDVPPDSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYELHYQMITFGKVFCTKSKPNCNACPMRSECKHFASAFASIYNPDKSVPCRTAMRGSFPLNGTYFQVNEVFADHSSSHNPINIPREQLWNLHRRMVYFGTSVPTIFKGLTTEEIQHCFWRGFVCVRGFDMETRAPRPLCPHFHLAASKLRRSSKTAATEQTH >ORUFI05G19580.1 pep chromosome:OR_W1943:5:19066526:19067062:-1 gene:ORUFI05G19580 transcript:ORUFI05G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLSLRPLPVGTTVATLSPSTTGATLPFHFLYSKVAWGRRHLKVVVLGDDNPEDGVEVCAPRPREGDFAGVYRGELRGSSGESQDIRRSRIPSDGCRDLNGVEQVKVNGAVSRYSFSSFSRRGRLISRLGREKVIMETKLHLGGRLVEQASSEGSCGASELGIKWVAWNTNLSLVVA >ORUFI05G19590.1 pep chromosome:OR_W1943:5:19071100:19078710:-1 gene:ORUFI05G19590 transcript:ORUFI05G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGASSSGAASASGPGGGGGGESVVIDYGRRRTACGYCRSTGQTSISHGLWANSLRADDYQALLDRGWRRSGCFLYKPEMERTCCPQYTIRLKANDFICSKEQGRVLKKMQRFLDGELDPQVGSPQNKTSPTKHSLAEPMNLPVSKISKTLTNDFQAAKCPNLFEEDEFISCLSSKINEAVGMSFQVGTLGSDVQLPKAVVKTVKPQLKKKVGGASQDKKVGEAVQDLLYTCNISFQIVAEIRRALPKEKDANHNEVVADISPNSIAEKLAMTMECHGDIAGLAVKACNGHLNFYSVTNQTKQNKTSIIVSTHAPDKSSSSKQSSVNKNTVRVPQKRRKLEIKMRRSHFDPEEFALYQRYQTKVHKEKKVSESSYKRFLVDTPIVFVPPRSGDNTVPPCGFGSFHQQYRIDGKLVAVGVVDILPKCLSSKYLFWDPDFAFLSLGKYTALKEIDWVKTTQEHCPNLQYYYLGYYIHSCNKMRYKAAYRPSELLCPVRFEWVCYDSAKRLLDKSLYSVLSDFAQIQDEMPQPQNSHLDTELSKNDNCESPIDEDDEDLSYDDSDMMVDEEMVRSESNTDVMEDCSSIIDFENVMMDLNGSRVKYKDLLGVVGRIERRHLEQLERQLSKYVKVVGKELSDRMVYSL >ORUFI05G19590.2 pep chromosome:OR_W1943:5:19071100:19075527:-1 gene:ORUFI05G19590 transcript:ORUFI05G19590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNDQHPTSREEEKIQGQYPLLDRGWRRSGCFLYKPEMERTCCPQYTIRLKANDFICSKEQGRVLKKMQRFLDGELDPQVGSPQNKTSPTKHSLAEPMNLPVSKISKTLTNDFQAAKCPNLFEEDEFISCLSSKINEAVGMSFQVGTLGSDVQLPKAVVKTVKPQLKKKVGGASQDKKVGEAVQDLLYTCNISFQIVAEIRRALPKEKDANHNEVVADISPNSIAEKLAMTMECHGDIAGLAVKACNGHLNFYSVTNQTKQNKTSIIVSTHAPDKSSSSKQSSVNKNTVRVPQKRRKLEIKMRRSHFDPEEFALYQRYQTKVHKEKKVSESSYKRFLVDTPIVFVPPRSGDNTVPPCGFGSFHQQYRIDGKLVAVGVVDILPKCLSSKYLFWDPDFAFLSLGKYTALKEIDWVKTTQEHCPNLQYYYLGYYIHSCNKMRYKAAYRPSELLCPVRFEWVCYDSAKRLLDKSLYSVLSDFAQIQDEMPQPQNSHLDTELSKNDNCESPIDEDDEDLSYDDSDMMVDEEMVRSESNTDVMEDCSSIIDFENVMMDLNGSRVKYKDLLGVVGRIERRHLEQLERQLSKYVKVVGKELSDRMVYSL >ORUFI05G19590.3 pep chromosome:OR_W1943:5:19076838:19078710:-1 gene:ORUFI05G19590 transcript:ORUFI05G19590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADGASSSGAASASGPGGGGGGESVVIDYGRRRTACGYCRSTGQTSISHGLWANSLRADDYQGTTFI >ORUFI05G19600.1 pep chromosome:OR_W1943:5:19082625:19084589:-1 gene:ORUFI05G19600 transcript:ORUFI05G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALGVPLVQVRAAVAAYGRGAGKGKGKRRVVGAFHAPPGRRRTALVAALPEPLQPLSPAQDGAVAPASTEADGGEEVHGDVASAEISSPSGVLGKTVRVRFVLKRECTFGQSFHLVGDDPALGLWDPSKAVPLDWSEGHDWTVEKDLPANKLIEYKFVLQDLSGKLHWQNGRNRSVQTGETANILVVYEDWGNANSQTVEEEGKVSIGMEEGKLSVGMEEAVVPDDSESRDDIIVADELQVDDNLAVMQNESSVREDDKKSTVGTVTSVQAELMKLHEANQPELIVDEPQIQEALPETADTEPENGGVATCADDRYAESTDDDGVPVGGTDDDGVPVENRWTGAFEHELLWGWKALQQLLMSLGFKMDTS >ORUFI05G19610.1 pep chromosome:OR_W1943:5:19087779:19088297:-1 gene:ORUFI05G19610 transcript:ORUFI05G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLWRAVMGAAGGGGSSSSSAAGGDAGGGVEFWHGGERTGWLNKQGEYIKTWRRRWFVLKQGRLFWFKDAAVTRGSVPRGVIPVATCLTVKGAEDVINRQFAFELSTPTDTMYFIADSEKEKEEWINSIGRSIVQHSRSVTDAEVVDYDSRPNSKPPPQPKTSEESEPSA >ORUFI05G19620.1 pep chromosome:OR_W1943:5:19094346:19098658:-1 gene:ORUFI05G19620 transcript:ORUFI05G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGDQAEEAIVADAGKEEAEVRAAMGVEQDGKFSMTSLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGLLLQVFYGLMGSWTAYLISVLYVEYRARKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCSTTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAAAVHGQVDGVTHSGPSKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLVATLYVFTLTLPSASAMYWAFGDALLTHSNAFSLLPRSGWRDAAVILMLIHQFITFGFACTPLYFVWEKAIGMHGTRSVLTRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLSHILTYRSASARLNAAEKPPPFLPSWSGMFVVNVFVVAWVLVVGFGLGGWASVTNFIKQIDTFGLFAKCYQCPPRAHAGAPLPAPPRH >ORUFI05G19620.2 pep chromosome:OR_W1943:5:19094346:19098658:-1 gene:ORUFI05G19620 transcript:ORUFI05G19620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGDQAEEAIVADAGKEEAEVRAAMGVEQDGKFSMTSLLWHGGSVWDAWFSCASNQVRPTTNDLVMPLAHISFGILQVAQVLLTLPYSFSQLGMLSGLLLQVFYGLMGSWTAYLISVLYVEYRARKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCSTTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAAAVHGQVDGVTHSGPSKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLVATLYVFTLTLPSASAMYWAFGDALLTHSNAFSLLPRSGWRDAAVILMLIHQFITFGFACTPLYFVWEKAIGMHGTRSVLTRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLSHILTYRSASARLNAAEKPPPFLPSWSGMFVVNVFVVAWVLVVGFGLGGWASVTNFIKQIDTFGLFAKCYQCPPRAHAGAPLPAPPRH >ORUFI05G19630.1 pep chromosome:OR_W1943:5:19101580:19101996:1 gene:ORUFI05G19630 transcript:ORUFI05G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGAAGGVDGGRPLMVQREVGIDSQLWRCGGDSSCVDSTDGSGRLAPLACRGGFGGADWRPWWWREYAWSAAGGRCGVRTRVGSRRGSRDEGGRVAARGGWTGCGTGAAVSMRQQRFRLWWSNGVLVVDRQAAGGR >ORUFI05G19640.1 pep chromosome:OR_W1943:5:19106139:19106453:1 gene:ORUFI05G19640 transcript:ORUFI05G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPRDGCGVETAVGDGCSGDSVRRWMRRWRRRQRLEMDAAVGVRRWMRRRQCLEMDAAAGDGCGGDGDGIRRWMQRRREMDAAATAAGDGCSGDGRAMLL >ORUFI05G19650.1 pep chromosome:OR_W1943:5:19110361:19126750:-1 gene:ORUFI05G19650 transcript:ORUFI05G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFPSPSSPAGGGRRRMLNNADNKPDLHGTMNDPYYYDCRILVDATPEKSSRKKSTFVRHFSCTSSHALVKIAAAIVDPRAVVLHGREPHGEQGGGGATGERGHSRSRAERTDPTTTAPFFSGSNRRQVADLFRRPPSSFSDVFGMLIRDPRCYVYSCIGYLKGELQNVSWFQFLPVEPDPSTASERSSKAEQKDALNNIVLSAHLHLQSEGFLSTWTNSFVGPWDPSQGEHNPVVSNGLWVAPGNLEEVAAALCQALRNSLERRAQPTIEFVFAATEEAIFVHVIISARYMRNLCSDDIEKVLTHSPRSVGEGLPVIVAPSGMLGRLVGCCPSDLVRQVYSSKLSAATLPGFTQPTMCQLRGQSYYVEVALGFPAATTDKVPESENNQIKKELDSAKDPQLSADEHQKLESADSVPVLERTFIYPPEAVMVPMVHQAFVRFSSKRMCSQACVGNSSWEGWPFWNFSPSSYFRNSSCLGSSRGLGVNSNFLRLRRQKNSNYSSMASSISSVSSTSNGSEHAVAAEGGDLSADADSMACHQSDLPSNIAGSKMVSKRPRSEIPEVSSRAGKESVDNNQGVNGQGRCSWGWDEEGVAMDINLLISEFGDFSDFFQEEELDFGEPPGTAESHSLVIPGPDCGDATFTDSPSTAMDIPEQRFSPVGLSSLEVFNHQTVAPIHDVVSKVQEPQKDIASPTSSQSVVLSSGRSSHLTRAEALLTYAPEYAAIEISTGETPTSLFTNPYQPRSIKPGSSSFNSRVYSYDAAQSSQMESGEDKPEKSVRLASGNLSRDIGSSNLYTVVQVGKKESDKGLKNTDIQSGKEEASRPISGETSLDSSVVSQRKSDSMFNAGYFLLSMKTALATEMECIKFQAAMCRIRHTLLSLSSKASAELKSALSSLVHTDVSNKLDLVPKYDIKRKENIPARLSIDVDHEVYDRSLENVGVWKPVGTPKGPTHLESFSAKTYTGTSQGLPVKRQPIVDLLSAMALIVQQSTSFVDIALDMDDGDGSFFWLSLDEQKRRGFSCDPSMVHAGCGGILGTCHSKDCAGVDLVDPLSAEVSDSSVISLLQSDIKAALKTAFANMDGPLLVTDWCRGRSNAAEYASMGDAYSFQHPTGDIRESSSSISIGGDSMSPPQSSHVISNDRGTSELEHHRGYHRVRPTVAVLPLPSLLVGYQDDWLKTSANCLSLWEKAPLEPYASPKPVTYYALCPDIEMLTSAATDFFLQLGTIYEVCKLGTHSPQNSGGQMELSPGKYLSSGLVLTECPDQVKIGNGHSSSISSTSEYVQALSRNWTVKSFVTSLTRVIKDIKLNSSILANQKESTSGPCTVIYVVCPFPEPSAVLETLVECSVALGSVMLSPERERKSFLYSQVAKALNCNASVDESSASNVVMLSGFSIPKLVLQIVTVETLLRLHKPNNELAVLKDMAFTVYNKARRIPKAISTSDMFQSPAYMGRSQSTMMHATSPGPTLWKECLVPRMSGSTLSRETEFDASMRSSVTWDNSWPGRAGGFMDPNKIPDVCVQDDRKYAFEPLFILAEPGSVDYSSGMESSKSGVDASGSGIYSSISGGGSDSGASASALLEGSDNDNAASLHCCYGWTEDWRWLVCIWTDSKGELLDSLIFPFGGISSRQDTKVLQSLFIQILQHGCQIMSSAPESSNTRPRDVIITRIGGFLELEIQEWQKAIYSFGGNEVKKWPVQLRRSIPEGIPSNSNGPALQQQDMGLMQDRNMPSSPSPLYSPHAKSSFMKGALGQSGNKKQILVEQAGMDSSKGSLHLVRSISLVAISQDHSLHLTCQADLLTRPTPGEGNQTSSGPSSYLEGFTPVKSIGSMSASYLLVPSPSMRYLSPATLQLPTCLTSESPPLAHLLHSKGTAIPLAMGYVVSKAVPPVRKDSARLANEDRPSVLSVSIIDHYGGSIAAVQEKMSRGSGKQTRNFTQEAAGRDHETEMHNVLEAVAAELHSLSWMTVSPVYMERRSALPSHCDMVLRLRRLLHYADRHLSQSTEKGDVA >ORUFI05G19660.1 pep chromosome:OR_W1943:5:19128405:19129369:-1 gene:ORUFI05G19660 transcript:ORUFI05G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLDGCDDQDGPLAPTSSPAATAAAVRTVAGRRAAASLSPQRLLLLLICPPTPSSSICPPSAAVVALLVRQGREGAAVGRLDAFATISATSSSSTPLARAGARAKDWREGEEEEGGGE >ORUFI05G19670.1 pep chromosome:OR_W1943:5:19128502:19128858:1 gene:ORUFI05G19670 transcript:ORUFI05G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKASSRPTAAPSLPCRTRSATTAALGGQIEDDGVGGQIRRRRSRCGEREAAARRPATVRTAAAVAAGDDVGARGYARENNRQGDGRRMCVGPTVGQGFLAGGDTVGGRFFAEMAKI >ORUFI05G19680.1 pep chromosome:OR_W1943:5:19131300:19132866:-1 gene:ORUFI05G19680 transcript:ORUFI05G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQVAAVAPSPCARSSASSSPPSTSSSRPALGGAGLARSRAPVNWGAGVMARRRGLRQPARCALSASLDGVGGGDAEFLRRIEELAAAVGVQPTGCGWPASVERSASSAGMPLSLRMLKRKKQQQQLVARQTRWDERLLGSAGDSVGRAFSSMVLIVRELQSFALQQMREAMLGDDLQSVLARVHGEMHASFVWLFQHIFAGTPALMVSLMLLLANFTVHSMGHSVAAAAAIPPAPPTSAAVAVVDTQHADPSLPRFDAASVKTFSIGRAASVGGSSGGGGKVRPVAGATGDDRWDESLARLSGVAPQQPAPPAGTGAGMAVDEQAIWERMVAEASNMQENARAEELSDPDVLGNLVAPVEAEIETEGHAEYTRTEQRYELAVSEEPNNPLILANFAQFLYLVQNDHDRAEQYFERAVRAEPADAEALSRYATFLWKARNDLAAAEDTYQEAIAADPGNAHHAAAYAHFLWNTGGEDTCFPLD >ORUFI05G19690.1 pep chromosome:OR_W1943:5:19137334:19137732:1 gene:ORUFI05G19690 transcript:ORUFI05G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRGSGGAGRVLPWGDADSGKEQRRSGGGLDGSGDDGGMDRGGYPVGRRPRQTREEHARAINDLPNELYDVAWDIVLIDGPSGWNLTSPGQMPSRQSSPARWRRWGKGLDSGLATTDRGRGGPRWRWPGWS >ORUFI05G19700.1 pep chromosome:OR_W1943:5:19143198:19144547:-1 gene:ORUFI05G19700 transcript:ORUFI05G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTTRRCQPTKSIQAFFSFWICRMEIKVALGGFQIENGNNGRWPLLPPVKKMKMENSERGMLGAAVSMDARRCSMDAGGQAHHREGCRHLASCP >ORUFI05G19710.1 pep chromosome:OR_W1943:5:19152564:19156257:1 gene:ORUFI05G19710 transcript:ORUFI05G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFKPIEQCSTEGRSQQTVASDLDGTLLLSRSAFPYYLLVALEAGGPLRAVALLMSVPFVYLTYVTISESLAVRALLYIAVAGLEVRDVESVARSVLPRFYAGDVHPEGWRVFSSFGRRCVVTASPRVMVEPFARAFLGADRVIGTELEVGEDGRATGFVAKPGVLIREHKRNAVVREFGDALPDVGMGDRESDFDFMAICKDAYVVTTSRKHRPVPESQLLRTVVLHDGRLAQRPTAINTLLVFLWMPVGFALALLRACLSLLLPERVLSYAYKLTGVGLVVRGRPPPPDGSPGVLFVCNHRTVLDPVAVAAALGRKVICVTYSVPRKTYGMSSRLPEALTASPVKAAVALCRERDRDADRVRRLLEEGVDIVAFPEGTTCRGAFLLRFSSLFAELTDRIVPVAIATRETMFHGSTARGFKGMDPYFFFMNPRPAYEVTFLSQLPSELTSGGGGKSPVEVANYVQKALAGQLGSEHIGITRKEK >ORUFI05G19720.1 pep chromosome:OR_W1943:5:19164981:19165685:-1 gene:ORUFI05G19720 transcript:ORUFI05G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWRLEAEADDGYGELPALSRHRRRDRAGSQGSRHSRRFFKSDSPHLKSTQVAAAGDEDEMDVAGWPRGSRQSGNMASSSSPSASFSPLPLPWQPPRRLLKQSVILSLPLLSIVATVGGVVEKRRGWWSPSPSSRICPPPGSRCLPHRAWDGQAMAGGSSGGGRSARTVARGSGRLAAARDTKAEAANSAAAAEAEAEKARREWVGWWWWQCGESSQWWRESEEARMEEEGLG >ORUFI05G19730.1 pep chromosome:OR_W1943:5:19171163:19172979:1 gene:ORUFI05G19730 transcript:ORUFI05G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNYSDYHPNSVPFDAYYEHGHGHLDDHGHGHHHLIPAHPEPSYSYGNWSFLHADATATSSSESSSASASSGAAHIVGASGPSASFVRQLHFGGEYYDDDAADISALMEAASISCWTTNGGAGASTSQTTGLEGVEVMRRAAAPAPDNGSSDKEEAARPLIGVRKRPWGKYAAEIRDSTRNGARVWLGTFNTPEQAALAYDQAALSVRGPGAVLNYPLHRVRESLRTLELGAAAAASESPVLTLKRRHRIRKRSTTKKALAGKEADEAPATTSSEGKKKRQVNTTSHSHDGAWRRHLLSSGRLSMEGSTAAGGHGGLAGGRGEAAGSNVRAADDDEDGEAAAGSSVHVANDDDDGSEAAAVSSMHAADNDDEAVAGSGVRVTTTTAARRRSGAG >ORUFI05G19740.1 pep chromosome:OR_W1943:5:19175670:19180108:-1 gene:ORUFI05G19740 transcript:ORUFI05G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKKKEARKMKACVYKQQKEEYPADNENLGLLKSYAWRMIPWSTT >ORUFI05G19740.2 pep chromosome:OR_W1943:5:19175685:19180155:-1 gene:ORUFI05G19740 transcript:ORUFI05G19740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRILPPNRRSRRPYPPTPSRSNYPLRGYALHPRLSALPASARPLAFRRILAALPLARSPSPSSSTS >ORUFI05G19740.3 pep chromosome:OR_W1943:5:19175711:19180224:-1 gene:ORUFI05G19740 transcript:ORUFI05G19740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKKKEARKMKACVYKQQKEEYPGLNQTANNYVKGSYIKIICLLHANENTIYTILSVHYIMQKMSMYNRLSYLTASHLMRQLTMKILDC >ORUFI05G19740.4 pep chromosome:OR_W1943:5:19176765:19180108:-1 gene:ORUFI05G19740 transcript:ORUFI05G19740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSINAKCKRCKL >ORUFI05G19740.5 pep chromosome:OR_W1943:5:19176631:19180155:-1 gene:ORUFI05G19740 transcript:ORUFI05G19740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRILPPNRRSRRPYPPTPSRSNYPLRGYALHPRLSALPASARPLAFRRILAALPLARSPSPSSSTS >ORUFI05G19740.6 pep chromosome:OR_W1943:5:19177134:19180108:-1 gene:ORUFI05G19740 transcript:ORUFI05G19740.6 gene_biotype:protein_coding transcript_biotype:protein_coding DTPTKSTLQTPLSAHTVALQLPTTRLRAASASLRPSGFRAPARFSPHSRRPPSRPLALAVFLDQLILPAVPPSSPTVPRRHRHRSSPSPTPSSTRSLPHCRRHRSSPPSSSPPSPSSPTSFDAIDPPRRPLFHPPPAPSPTVTVIPLNDQRHRLHRSSPPPSPFSSTRSLPHRRHRHHRYSPPSSPSLDAGALPSLSTAPLSIHGRTVH >ORUFI05G19750.1 pep chromosome:OR_W1943:5:19179738:19180112:1 gene:ORUFI05G19750 transcript:ORUFI05G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVGEDGDGGDEDGGDDRWRRQWGSDLVEDGVGDGEDRWRWRRGTVGEDGGTAGRISWSRKTARASGREGGRRECGEKRAGARKPEGRRDADAARSRVVGSWSATVWADRGVWSVDLVGVS >ORUFI05G19760.1 pep chromosome:OR_W1943:5:19181928:19183370:-1 gene:ORUFI05G19760 transcript:ORUFI05G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAASAAPATVVVPLTQTLCANAPATSVTVVSKQTVRPDGASAVGDVKLSVSDMPMLSCHYIQKGLFFPPPGVPIASLVSSLVCALSRALAVFPALAGRLVTLDDGRIVIRCDGVAVEFYHAVAPALSLGDFLVPNADVPTRLTKDLFPMDRTVSYDGHRRPLTSFQLTVLGDGAVFVGFVANHAVVDGTSFWHFFNTWAGLCRGTPVQPPDLRRNFFGDSTAVIRFPGGAGPAVTFDADAPLRERVLHFSAAAIRELKAKANQWKRSDKFAEANGKHVDETKAHGGYREISSFQSLCAHIWRAVTRSRRLLAADATTTFRMAVNCRHRLRPAISPLYFGNAIQSVATTATVAELASNDLRWAAARLNATVVAHEDGAIRRAAAEWEAAPRCFPLGNPDGAALTMGSSPRFPMYDGNDFGWGRAIAVRSGRANKFDGKMSAFPGQAGDGSVDVEFCLAPDTMARLLGDHEFLQYVSRAP >ORUFI05G19770.1 pep chromosome:OR_W1943:5:19203841:19207411:1 gene:ORUFI05G19770 transcript:ORUFI05G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAPEARRLDRAMSFGGAGSIPEEALHLVLGYVDDPRDREAVSLVCRRWHRIDALTRKHVTVPFCYAASPAHLLARFPRLESLAVKGKPRAAMYGLIPEDWGAYARPWVAELAAPLECLKALHLRRMVVTDDDLAALVRARGHMLQELKLDKCSGFSTDALRLVARSCRSLRTLFLEECSIADNGTEWLHDLAVNNPVLETLNFHMTELTVVPADLELLAKKCKSLISLKISDCDFSDLIGFFRMAASLQEFAGGAFIEQGELTKYGNVKFPSRLCSLGLTYMGTNEMPIIFPFSALLKKLDLQYTFLTTEDHCQLIAKCPNLLVLAVRNVIGDRGLGVVADTCKKLQRLRVERGDDDPGLQEEQGGVSQVGLTTVAVGCRELEYIAAYVSDITNGALESIGTFCKNLCDFRLVLLDREERITDLPLDNGVRALLRGCTKLRRFALYLRPGGLSDTGLGYIGQYSGIIQYMLLGNVGETDDGLIRFALGCENLRKLELRSCCFSEQALARAIRSMPSLRYVWVQGYKASKTGHDLMLMARPFWNIEFTPPSSENANRMREDGEPCVDSQAQILAYYSLAGKRSDCPRSVVPLYPA >ORUFI05G19780.1 pep chromosome:OR_W1943:5:19211384:19215530:1 gene:ORUFI05G19780 transcript:ORUFI05G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALYKDAAAPVEARVRDLLGRMTLREKAAQMAQIERTVASPRAIAELGAGSVLNGGGSAPLPRASAADWARMVDGMQRHALSSRLAVPILYGTDAVHGHNNVYGATVFPHNVGLGATRDAELARKIGEATALEVRATGIHWTFAPCVAVCRDPRWGRCYECYSEDTEVVRSLTTIVSGLQGQPPADHPHGYPFLSSPRVNVLACAKHFVGDGGTDKGINEGNTICSLEDLEGIHIRPYPDCISQGVATVMASYTQWNGEPLHASRYLLTDVLKGKLGFKGFVVSDWEGIDRLCEPRGSDYRYCIAQSVNAGMDMIMIPFRFEKFLEDLVFLVEAGEIPMSRIDDAVERILRVKFISGVFEHPFSDPSLADIIGCKEHRLLAREAVRKSLVLLKNGKNQKEPFLPLAKNVKRILVAGTHSDNIGYQCGGWTIAWNGDSGRITIGTTILEAIKESVGAETEVVYEECPTEATVETGEFSYAVVVVGEVPYAEWLGDRTDLSIPFNGSDLISRIASKVPTLVVVISGRPLVVEPQVLDKVDALVAAWLPGSEGMGVTDCLFGDHDFLGTLPVTWFRSTDQLPINARDASDDPLFPFGYGLKMFRGRSTINNRIGQAMIGPFVVSLKSVYQKLKLQVKSWPLLAYHYCCFMENVISNSAPWNDTIAGDRRHRSYLVNILKFDSGSCCDIDGLYLE >ORUFI05G19790.1 pep chromosome:OR_W1943:5:19218609:19219243:1 gene:ORUFI05G19790 transcript:ORUFI05G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDADAAAVLILFTCLCSFALIHIDDNTTPIWSFGVQVQQQLGVSQKGFDEANLDDMNPKA >ORUFI05G19800.1 pep chromosome:OR_W1943:5:19224917:19225969:-1 gene:ORUFI05G19800 transcript:ORUFI05G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKESYMEVLPPAPAHYFVGQAAAAGGWFLPDRRGGGGAWSQEENKVFEQALAALDRNDPERWERVALLLPGKTVADVMTHYDDLENDVCFIEAGLVPFPHYGAAGGGGGSGFTLDWDGGDDPAGLGFKRSCYMVGGKRARGPDQERKKGVPWTEEEHKLFLMGLKKYGRGDWRNISRNFVTSRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPDDDHGNPSPSPPPSVLTAHSSSSAAAVSEQFGVLVDGKPPPPPLGRGAGHHHFMPHPYAQVKIEAGNSHVAGGGRLDDSVLVQMQCGQLMQPLG >ORUFI05G19810.1 pep chromosome:OR_W1943:5:19252764:19253349:-1 gene:ORUFI05G19810 transcript:ORUFI05G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRLLPSAKLPKTTAASIGYSGRLPPLARPLFQLDPPSGLGEVATNELRHRLDHLSGQIRHVGLRRLLSPRGLKEAATAVWAQGAPRLPPLRGLGVAKGRDRKGKRIREREEDVRPAAAPPSAVNARATLLATAT >ORUFI05G19820.1 pep chromosome:OR_W1943:5:19263253:19264313:1 gene:ORUFI05G19820 transcript:ORUFI05G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVQTVHAALLPSTTTTRRRPSPGRLPRRSPIRARAGSSETSSPRGRENWRVQEALARVAEIQVLKVRIASFLDDCSENLLWLAENAALDATAQDSLRVLDLDGAADDEIMERLYCKLGRSTTRKQRRSGWT >ORUFI05G19830.1 pep chromosome:OR_W1943:5:19270795:19271236:1 gene:ORUFI05G19830 transcript:ORUFI05G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLFLIKGRLGVQKISLAGKMTILACKGNKEMGIKRESWKGKVHIGCQEEKRFRRNVMLSDFALTS >ORUFI05G19840.1 pep chromosome:OR_W1943:5:19280552:19282264:1 gene:ORUFI05G19840 transcript:ORUFI05G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQMEPPPPPPRRSVSTSCDLHPGETFTGFCAACLRERLAGLEASTAAAAAAPGRRSTSAIRSLFSRPFVAAGGGXQPQPPPPPPPCVPEVFLEEEIAMAEESDEITPVVEPILVVDTSGEMETEANGGREAKAMKDHIDLECSQAKKPQPKDLKEIAGSFWLAASVFSKKWQKWRRKQKLKKQDAAGSKAAAAAMPPPEKPSKPSFLRRSRLRGEACSEFAGGRRSCDTDPRFSLDAGRMSVDDVGFSWDEPRASWDGYLFGAGTGIGLGRAPPPLSRLPPILSAMEDSPAGIVERSDGQIPVEDDSQPEPDPDADTPGGSVQTRDYYDTSSSSRRRRSLERTSSVRRPSFEVTDAKPVLPAAAAITSVKDSPLIGSSEFYHFQHAEDLLEHHRFSTSSLIEDFPMSLDAAFPGPDKKPRRWRKAWSLWGLIHRRAAGRRGGASDVADRAFSEPWPELRVRGCNARMQRCNSNASARSSFSSNSGGLGSSRRSYVDGNGNVVKRRREECALERNRSARYSPGHADNGMLRFYLTPMRSASGRRAPGLPAKGGRQLRSQSFARSMLRLY >ORUFI05G19850.1 pep chromosome:OR_W1943:5:19290111:19290941:1 gene:ORUFI05G19850 transcript:ORUFI05G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGRRARRGRVWQERGVAAKGVALEAEGVLAGAEGGVGGRVHDGDARDGAAQEGRGRRSSLSPLLLFRFPILSSAATVVGLRRRRRRSGQRRRRRRRWFGQLHVHEAGRQVMKTPPLKHSARAQPILVKLSLTMKTKWPHLWKSTPAVQLPVSLSYKCSSYKCRLGNLCLRRRTPRQLRQAPPPLPATRAISASAAGLPDELRLCRRSSESVCLLRYQPSERVCETERERIGLMKGYDR >ORUFI05G19860.1 pep chromosome:OR_W1943:5:19293269:19294402:1 gene:ORUFI05G19860 transcript:ORUFI05G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQRGGRRQHRVTPVANKPPSKAMGDAAVTKADTGGTSRSVRQSALLHLKPEPKPICQTRWPRWTRPLASASYQSEPLLIKHFCACGYLDGGSKSNGRRRRRREPDAAAVFAPPLGYRPRQQLHFRRQASCGPAWPRAGSALAAWWWPRARRRWRRSGWRFVRAEMEVGGADNRGGEAAVARGWCSRGRCGRAWRQRPSVATAWRGGGEVKEVVGGGGEWPDERTFFFLTWHKGIYIRPRQKLDFRPPRQHNKYPLDDTVSISKYPRLWDVFPQNFTFTVSLSLNHKIVMFCSKICLIYKKARCFHYFRYATNMVFHTLL >ORUFI05G19870.1 pep chromosome:OR_W1943:5:19296464:19299831:1 gene:ORUFI05G19870 transcript:ORUFI05G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCNADRVVMSVAVVPFAAQYGWSSSFLGIVQSSFLWGYVFSSMVGGALADRYGGKKVMAGAAALWSLATFLTPWAASQSTIMLLAIRALFGLAEGVAFPTMSTFLPKWFPTHERATAVGISMGGFHLGNVISFLATPIIMSHIGLAGTFAFFASLGYLWLSVWLFNVESDPLDSRTISKSELQLILAGRSASKIQGSKFPSLREILSKIEMWAIIVANVVNNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAIPWAVMALSGYVAGASADFLIKSGFSVALVRKIMQSIGFIGPGVSLLCLRFAQTPSVAAVLMTIALSLSSFSQAGYFCNVQDIAPKYAGSLHGLTNGIGTVAAIVSTIGTGYFVQWLGSFQAFLTLTAVLYFSATVFYNTYATGDLIFD >ORUFI05G19880.1 pep chromosome:OR_W1943:5:19300349:19302044:-1 gene:ORUFI05G19880 transcript:ORUFI05G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRPARSDPHLPPEEAARVEAEVRGYFDSMAPRRPSKPPRSDPSDAGEGGAEADADADLPELRRLRDLEAKPQKLVLDGGDVNGEEYVETQYYNGLNCIDKQHHTTGTGFIKVERPNGSSFNVTTVAYSSDSIIRCMSNPATNDWIPSSETVIPVSNKPSRSDS >ORUFI05G19890.1 pep chromosome:OR_W1943:5:19303557:19303811:1 gene:ORUFI05G19890 transcript:ORUFI05G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEPRRGRKAMLGAAPLPSTMPSPSLLARQSRQPPFPNRARRRHRYVPMWGKEKRTKEHKRMRGEERAGDTNMWASHADSIN >ORUFI05G19900.1 pep chromosome:OR_W1943:5:19309912:19311788:-1 gene:ORUFI05G19900 transcript:ORUFI05G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAKTMPHPSLLPFPVLSEVTSPPLLRSFLPLPALSVSGRRAEARRREEAGHGHQRWLEEGGAASAAVGGGAAGGRRQATGGGGRRPAASVVGGRWRCGGKWRCGGMRRQVGSVGGRRRVAGVSGRRRVAGRPQAASRTRFGSGWWRYDL >ORUFI05G19910.1 pep chromosome:OR_W1943:5:19316603:19317950:-1 gene:ORUFI05G19910 transcript:ORUFI05G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLQVAIEARGLVGVERVELPNPASMVTAYWQEMLPHSPMPTAILELLNPPTDVNQGVYGNGYAQVYGNGYDEGYLNGYSHSYGNGYSNGYFHKANLHFLEDALKPGSIITPYITGIATRAPFLRRDIADSISMSTKNFADILKVFSPISLVMADGIQSALDTCEHHHPIEGEERACATSIESVVEFVMFVLGTHDLHWWRNHLSSVGRFPQ >ORUFI05G19920.1 pep chromosome:OR_W1943:5:19321500:19326852:-1 gene:ORUFI05G19920 transcript:ORUFI05G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRMDPLEYLPVRFHFGGDFINNGRETFYIGGSEGMSYIERDKVSLPEIVRHLKDHCEVIPGMLLQWLFPGKELADGLRVLIDDEVCNYMSNCVGEGGVADIYVETVMAEGSSESGSKEDSDFEDELQDISPADDEWDDEEDEDLFELNTGKEVLVIVSTPEKTKRDLEQVRAFRTPDKEKAMPPPIAAVGGSALTEAADNGSATSGPSTDGSILGGAAADKVHPCRVGDGERGESQWPPTSASATTADIHHRHHRGEQRILIERREEN >ORUFI05G19930.1 pep chromosome:OR_W1943:5:19329410:19334149:1 gene:ORUFI05G19930 transcript:ORUFI05G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDSEGYSQCIERPKNQRRTNNATVGYLIVDANGGLNQMRMGISDMVAVAKIMNASLVIPTLDHQSFWTDPSDFKDIFNVEHFKEILKEDIVIVDSLPPTYKRVKPYMRAPTSWSRASYYRDFSRILRKYKVVRFTHTDSRIVNNGLAPSLQRLRCRANYKALQYRKEIEELGRTLVDRLRNGMDHYIALHLRYEKDMLSFTGCNHNLTVHEADELTDMRLKVRHWKEKEINSEEKRLQGGCPMTPREAAVFLKAMGYPSTTKIYIVAGEIYGAHSMDALKLEYPNIYTHYSLATADELEPLELYQNRLAAVDYIVALQSDVFVYTYDGNMARAVQGHRRFEGFRKTINPDRLKFVELIDKLDEGSMDWNEFQSEVKKHHENRLGGPYDRLPGESPRQEEYFYSNPIPGCLCRKVQKTSGICICTIL >ORUFI05G19930.2 pep chromosome:OR_W1943:5:19329248:19334149:1 gene:ORUFI05G19930 transcript:ORUFI05G19930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATTTSSTATTTTTTCSSPSSTTSPIHPAVPHRRRLNDIERVDYAHGAAADDCAACGGVAPDAALADDDECGHGHPVVGAVTPCGCGGRAALLLARRKRAWVVGAGGQAWMRGVVLCLLGLVAVVGFLGSHRRGGSGGGGGGAGSGAVGGGGGGDGDDGGRLVKKVEVADADVMGWTEENLTALTRRPPDPPIPEIWMKPDSEGYSQCIERPKNQRRTNNATVGYLIVDANGGLNQMRMGISDMVAVAKIMNASLVIPTLDHQSFWTDPSDFKDIFNVEHFKEILKEDIVIVDSLPPTYKRVKPYMRAPTSWSRASYYRDFSRILRKYKVVRFTHTDSRIVNNGLAPSLQRLRCRANYKALQYRKEIEELGRTLVDRLRNGMDHYIALHLRYEKDMLSFTGCNHNLTVHEADELTDMRLKVRHWKEKEINSEEKRLQGGCPMTPREAAVFLKAMGYPSTTKIYIVAGEIYGAHSMDALKLEYPNIYTHYSLATADELEPLELYQNRLAAVDYIVALQSDVFVYTYDGNMARAVQGHRRFEGFRKTINPDRLKFVELIDKLDEGSMDWNEFQSEVKKHHENRLGGPYDRLPGESPRQEEYFYSNPIPGCLCRKVQKTSGICICTIL >ORUFI05G19940.1 pep chromosome:OR_W1943:5:19338120:19345327:1 gene:ORUFI05G19940 transcript:ORUFI05G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLEKQRASAAASSSKTSSARRRGQAAVLYKNRFGDNPESTSDVYIHTHPCTMRLVSAAGTGFFYVKRKNPRRITEKLEFRKTSAAPQVLPDLAISAVKQHWDFSGLLFITRITGPGLLIAAF >ORUFI05G19950.1 pep chromosome:OR_W1943:5:19344853:19345314:-1 gene:ORUFI05G19950 transcript:ORUFI05G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPGPVIRVMKRSPEKSQCCLTADIARSDHGQIQDNSQVLTNMFKGIHTVKFSMVNPIYKLP >ORUFI05G19960.1 pep chromosome:OR_W1943:5:19353944:19358065:1 gene:ORUFI05G19960 transcript:ORUFI05G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAASSTTAGSRRACRSARWTTSTWRPGWPRSSRAAPRRRRGAAAFATSASRPRRRSPAPASRSAAPARATSPTPTSSAPRHGSRLEATRYVKSVALPHAMW >ORUFI05G19970.1 pep chromosome:OR_W1943:5:19360380:19364682:-1 gene:ORUFI05G19970 transcript:ORUFI05G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYIAREASKVWRKVTTETSVELSLLREKWGLLLAGIVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYLSESIFASIFASFVLVLRIITFYSTQLPGPNYHCREGSKLATLPPPNNVFEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRFVKLLAWFMAIVQSLLIIASRKHYSVDVVVAWYTVNLVVFFVDNKLPEMPDRTNGVPLLPLSTREKDGRLKEEKDSRLKEEFHKLLNGNHGDPTDRRQRAQMNGRHDEDINHAHSTLSDAAVNGGT >ORUFI05G19970.2 pep chromosome:OR_W1943:5:19360380:19364682:-1 gene:ORUFI05G19970 transcript:ORUFI05G19970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYIAREASKVWRKVTTETSVELSLLREKWGLLLAGIVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYLSESIFASIFASFVLVLRIITFYSTQLPGPNYHCREGSKLATLPPPNNVFEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRFVKLLAWFMAIVQSLLIIASRKHYSVDVVVACRILRGQQTPRDLYGKHFVTSFVEIFTEMPDRTNGVPLLPLSTREKDGRLKEEKDSRLKEEFHKLLNGNHGDPTDRRQRAQMNGRHDEDINHAHSTLSDAAVNGGT >ORUFI05G19970.3 pep chromosome:OR_W1943:5:19360380:19364682:-1 gene:ORUFI05G19970 transcript:ORUFI05G19970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYIAREASKVWRKVTTETSVELSLLREKWGLLLAGIVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYLSESIFASIFASFVLVLRIITFYSTQLPGPNYHCREGSKLATLPPPNNVFEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRFVKLLAWFMAIVQSLLIIASRKHYSVDVVVAWDLYGKHFVTSFVEIFTEMPDRTNGVPLLPLSTREKDGRLKEEKDSRLKEEFHKLLNGNHGDPTDRRQRAQMNGRHDEDINHAHSTLSDAAVNGGT >ORUFI05G19970.4 pep chromosome:OR_W1943:5:19360380:19364682:-1 gene:ORUFI05G19970 transcript:ORUFI05G19970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYIAREASKVWRKVTTETSVELSLLREKWGLLLAGIVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYLSESIFASIFASFVLLLAWFMAIVQSLLIIASRKHYSVDVVVAWYTVNLVVFFVDNKLPEMPDRTNGVPLLPLSTREKDGRLKEEKDSRLKEEFHKLLNGNHGDPTDRRQRAQMNGRHDEDINHAHSTLSDAAVNGGT >ORUFI05G19980.1 pep chromosome:OR_W1943:5:19369809:19370186:1 gene:ORUFI05G19980 transcript:ORUFI05G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEAAATSADAAVEAGKESGGGDHDGGGRRHGGLGRLAEGVADGYIGPAQHRLEKGSETSLTQSGATDGSGGRLDARGASSGDGGRLG >ORUFI05G19990.1 pep chromosome:OR_W1943:5:19371686:19372009:-1 gene:ORUFI05G19990 transcript:ORUFI05G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNWAGSLTLTSPPAEEREGSAAAAVEDVVSEEHCPVVGGRRDGLCGVHRWTTPQDVVARLVSTVAAICCSLVSNRLGENLPKALGSPPDRAMEKQKPTLDGPSLL >ORUFI05G20000.1 pep chromosome:OR_W1943:5:19384890:19386343:-1 gene:ORUFI05G20000 transcript:ORUFI05G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEWSDGGEEFLLPDEFLDDDFFSEEEKAAVAASSDSSDEEDCLAGLSRRLAGLLGDDGERDAPPKVAEVTVGSPQSTLCGLPKSGQESPNGGASQVSSPPSSPLEQKPADPWDMLYEAAGQVARMRVTNSIPVPNNPYGFPAHGGFAAPARKASPPPPVSPPATKVAPAAYYHPLAQLLTQRQIQAAQFHLLKQQQLLKLQRDRHLAAAAAWGARQTAAAKTAGCGVAASPVDMNPAAWPPLQKQQHAPAPGVGGGGGGGMRAVFLTPPGAKRERNGTGVFLPRPAGAPAEPKRKTGCSTVLVPARVVQALNLNLDDLGAQPRYPGGFVLDHDALINRSNAMLASQKRRASPAVPSPAPAPALCHSS >ORUFI05G20010.1 pep chromosome:OR_W1943:5:19394859:19395399:1 gene:ORUFI05G20010 transcript:ORUFI05G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGKRWPAALEAKALLQDSPPQHLLQIDVEETSGPWRTRWTSWGRLTSIGLSAHLGVLLYYPLHGGDPVCDVYDSEAVKTAMATSIFGSVAGSGSY >ORUFI05G20020.1 pep chromosome:OR_W1943:5:19408289:19411307:-1 gene:ORUFI05G20020 transcript:ORUFI05G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLGFFSERLFKVAGEGDGGEGADDSPPAPDGRVPLARRSYYVDVPHVQQAFTWDCGLACVLMVLRTLGMDCCDGIADLEKLCRTTSIWTVDLAYLLNRFSVCFSFFTVTLGANPQYSAETFYREQLQEDIDRVDELFGRALEAGISIQCRSISAYDIAFLLLSGHCIAIALVDKTKLNSSWSNDLQDMQQFSEDSDYMGHYVVICGYDADACEFEIRDPASSRQRERVSMKSLDQARKSFGTDEDIILVSLTGKIGMKLSRKLLVGSL >ORUFI05G20030.1 pep chromosome:OR_W1943:5:19417715:19418116:-1 gene:ORUFI05G20030 transcript:ORUFI05G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRTDREVFKARVPIVTYEDLRPEIERTANSDRSNIISSHPITEFLTRYHVLLLRESQVGGGGRGA >ORUFI05G20040.1 pep chromosome:OR_W1943:5:19420956:19423807:1 gene:ORUFI05G20040 transcript:ORUFI05G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRADDERRIGVAMDYSASSKRALDWAIANLLRRGDHLVVLHVLHHGGEEAKHALWGKSGSLDSIPPALIPLSEFRDPTAMQQYGVHCDAEVLDMLDTAARQLELTVVAKLYWGDAREKLCDAVEEQKIDTLVMGSRGLGSIQRILLGSVTNYVLSNASCPVTVVKGK >ORUFI05G20050.1 pep chromosome:OR_W1943:5:19426275:19429152:1 gene:ORUFI05G20050 transcript:ORUFI05G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRRASQLLKEVDSCEAGQLVPFNSDVFDQVIRECNEHNTQFQSLIRKMVEQNLDIETTRNDDHYGAAVHHLSLLRNKRCLMAYMYNRAEVIQSFRWKIGPVLPHEIQEKLHFSEKEYFKNHSAAIKSYMSEMDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGDHSISLTKNSLHFLRRTDTEQFISQFWISDMELVRKHNQADSKQEW >ORUFI05G20060.1 pep chromosome:OR_W1943:5:19438712:19442433:1 gene:ORUFI05G20060 transcript:ORUFI05G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGEEAAEEEREVSEALTADSSADEECRRGSSSSSASSGDASSESYCPPDEWQKVAIKTCVSSDLVVVSAEPAKEKKQPPPPSSPRVDAAPADKHHRPSEMEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLCATIFGQLWRLEPLLPEKKTMWRREMDWLLCVSDHIVELVPTWQTFPDGSKLEIMTSRPRSDLYINLPALRKLDHMLLEILESFRDPEFWYVDQGICPPDRDGSAPFMLTFHRRDEKWWLPVPRVPPGGVGETTRRQLEHKRDCASQILKAAMAINSNALAEMDVPDSYLDSLPKNGRATLGDIIYRYITSDQFSPDCLLDCLDLSSEYQALEIANRVEASIYVWRRRGTSGAASRAGNKSSWGIVKDMIMDTEKRDDLLADRAEGLLMCLKQRFPGLTQTSLDTSKIQYNKDVGKSILESYSRVLESLASNIIARIDDLLYVDERSRQAELLPTAGAGSGKISCMPAMSASSVPAYPVVSTSGTPPPYATAYATPSFSPAQLSSPSKIGRALLVDRRSHDGRAFDGSMEFMGMAVSNAVFDLPGL >ORUFI05G20070.1 pep chromosome:OR_W1943:5:19443822:19445385:-1 gene:ORUFI05G20070 transcript:ORUFI05G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVPAHARSATCASPRPRHLPRARGRHCRARRRRHGGAHAGTLVRGTRVAAVVISLLIVTTSSSSKEGSGLVLLPTPSRDQAAAAFGGRRPGCGDKRRGTRSGERRGTSGGEHVDLANAEVGGYQRGVHPLDGLVLLQVLQLGGGDDPKGVAGVDLMIKHD >ORUFI05G20080.1 pep chromosome:OR_W1943:5:19447356:19447634:1 gene:ORUFI05G20080 transcript:ORUFI05G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIDDPLKLGEEFLEVGSHGSSKDLSVTGDLGGCSRLRHRCSASRLLCQLRQRLRRPLFEPVTVWHCGEMRGEQGREKMGEEKCGVGIWFS >ORUFI05G20090.1 pep chromosome:OR_W1943:5:19449984:19450782:-1 gene:ORUFI05G20090 transcript:ORUFI05G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKQNSKGQNGGGFADGKSHSPTKARGAYKDGKQSYPNESSESPYFGSSVHYGAREFYGNTPPKQGDASPGNQKEQEQNPDGSLATRGDWWQGSLYY >ORUFI05G20100.1 pep chromosome:OR_W1943:5:19455930:19463442:-1 gene:ORUFI05G20100 transcript:ORUFI05G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDPNPRTRITVLGLLETPWIRKTAPVPRPSADPAPTPVDTHGNAGDDKDEPQVRDAGGGKRRGRVAGGARYGPHHAHARDEERRARVFAIPPSNQEAFTVTVHAVHTTHLSQSSTFSTHI >ORUFI05G20110.1 pep chromosome:OR_W1943:5:19475929:19476132:-1 gene:ORUFI05G20110 transcript:ORUFI05G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCPCRVCLWGLRVTRNPACMRAAYVRGVGMRRKEDDEAIDDRRCIAGRPLMVADASLEDWEAVSR >ORUFI05G20120.1 pep chromosome:OR_W1943:5:19486375:19491099:1 gene:ORUFI05G20120 transcript:ORUFI05G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGGGGGYGGGGGEHQHQQQHHHLLLGQAAGQLYHVPQHSRREKLRFPPDHPAESPPPPPPGSWPLPPAFYSYASSSSSYSPHSPTLAHAQLVAHGMPPGAATSGGAQIPSQNFALSLSSASSNPPPTPRRQFGGGGGGAAGPYGPFTGYAAVLGRSRFLGPAQKLLEEICDVGGRPAQLDRGSDEGLLDVDAMEAAGSVDHEMDGSDRAVADAVTVSGAEQQWRKTRLISLMEDVCKRYRQYYQQLQAVVSSFETVAGLSNAAPFASMALRTMSKHFKYLKGIILNQLRNTGKGATKDGLGKEDTANFGLMGGGAGLLRGNNVNSFSQPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDSDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQLQKNPSLDKNQLSMQHTQHSSDSSGKPCDPSNSLQGQSSSMTRNHSISASRHIEDGLSQMPHDISGQNNNRAYIAEPLPAALPLNLAHRFGLEDVSDAYVMSSFGGQDRHFTKEIGGHLLHDFVG >ORUFI05G20130.1 pep chromosome:OR_W1943:5:19499485:19500156:-1 gene:ORUFI05G20130 transcript:ORUFI05G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPPMLKRSNGVGVTVDWGGDSEAAMLDLAPLPDLAPSRLDPASPMREDGRDDEPLASGAVGDNCIMVLRAGAASTSLRGGSVDVEAVGCNCEAVARASRRGDASSTVGTTAQGGAASGGRGLLGASSSSRQWRLVRHKVSWPTAEFGTVRMLAGGGADAAVSTH >ORUFI05G20140.1 pep chromosome:OR_W1943:5:19503261:19506012:1 gene:ORUFI05G20140 transcript:ORUFI05G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGAGQSGWLLEYGLVEEEIQGSDFIYMVDDPAAVSSVLLGFDNRAHRRAPRLAAKSSGETGSMKGMFNELSAILEPGKPPRADKVSILSDAARLLSQLRAEAQKLKSSNESLQDSIKSLKAEKSELRDEKTRLKAERERLEQMLKGVGAATPAAPAPFVPHHAAAVAAAPAYHPAAFAQAGGKYVPYATSYAPPAAFWQWIPPTSLDTSKDPVMWPPVA >ORUFI05G20140.2 pep chromosome:OR_W1943:5:19503261:19506012:1 gene:ORUFI05G20140 transcript:ORUFI05G20140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGAGQSGWLLEYGLVEEEIQGSDFIYMVDDPAAVSRFNELSAILEPGKPPRADKVSILSDAARLLSQLRAEAQKLKSSNESLQDSIKSLKAEKSELRDEKTRLKAERERLEQMLKGVGAATPAAPAPFVPHHAAAVAAAPAYHPAAFAQAGGKYVPYATSYAPPAAFWQWIPPTSLDTSKDPVMWPPVA >ORUFI05G20150.1 pep chromosome:OR_W1943:5:19506960:19512910:-1 gene:ORUFI05G20150 transcript:ORUFI05G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDPSRSFVRDVKRVIIKIIRSGALLGLFCGLLDVTAYVEVANLSTLVGTAVVSRQDGRLALGRVGALCEQVPNQRKVAMQVKELNSLGYEVILVTSGAVGVGRQRLRYRKLVNSSFADLQKPQMELDGKACAAVGQSGLMALYDMLFNQLDVSSSQLLVTDSDFENPKFREQLTETVESLLDLKVIPIFNENDAISTRKAPYEDSSGIFWDNDSLAGLLALELKADLLILLSDVDGLYSGPPSEPSSKIIHTYIKEKHQQEITFGDKSRVGRGGMTAKVKAAVLASNSGTPVVITSGFENRSILKVLHGEKIGTLFHKNANLWESSKDVSAREMAVAARDCSRHLQNLSSEERKKILLDVADALEANEDLIRSENEADVAAAQVAGYEKPLVARLTIKPGKIASLAKSIRTLANMEDPINQILKKTEVADDLVLEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAIRSNTILHKVITDAIPRNVGEKLIGLVTTRDEIADLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGICHVYIDKSADMDMAKHIVMDAKIDYPAACNAMETLLVHKDLMKSPGLDDILVALKTEGVNIYGGPIAHKALGFPKAVSFHHEYSSMACTVEFVDDVQSAIDHIHRYGSAHTDCIVTTDDKVAETFLRRVDSAAVFHNASTRFSDGARFGLGAEVGISTGRIHARGPVGVEGLLTTRWILRGRGQVVNGDKDVVYTHKSLPLQ >ORUFI05G20160.1 pep chromosome:OR_W1943:5:19516341:19522913:1 gene:ORUFI05G20160 transcript:ORUFI05G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSAVTAEDLVDALREVDWSTPPRPVPEFFSRFTVPRSYSKWTSRLKCNLYYYRTNYFILIMFILVTFNEKVTRTVRQFSPHLAAKMRPPITPVLRGRPSSKRSIHICGRPRWLFVLLFSAVSCMLWLTSCSLLTVLWALLIAIFATLLHASFRTPNLKARLNTFREEFRAVWRNYSSCGKKCLVLKLRAVCELCLWEIPRYEQW >ORUFI05G20160.2 pep chromosome:OR_W1943:5:19516341:19522913:1 gene:ORUFI05G20160 transcript:ORUFI05G20160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSAVTAEDLVDALREVDWSTPPRPVPEFFSRFTVPRSYSKWTSRLKCNLYYYRTNYFILIMFILVTFNEKVTRTVRQFSPHLAAKMRPPITPVLRGRPSSKRSIHICGRPRWLFVLLFSAATLLHASFRTPNLKARLNTFREEFRAVWRNYSSCGKKCLVLKLRAVCELCLWEIPRYEQW >ORUFI05G20170.1 pep chromosome:OR_W1943:5:19520530:19524601:-1 gene:ORUFI05G20170 transcript:ORUFI05G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLATAAAAGAGAPLRRGPCAPRRQSAHASSSSSSSLPSPALATSTRFAATAPILRLVQRRPRAPLTAARAASPDAATGARSPSSGGQMLVFVPPHPLIKHWVSVLRNEQTPCAIFKSAMAELGRLLIYEASRDWLPTITGEIQTPVAVSSVEFIDPREPVMVVPILRAGLAMAENASSVLPATKTYHLGLRRDEETLQPSIYLNNLPDKIPEGTRVLVVDPMLATGGTIVAAIDLLHVYTGTIDSEVDERGFIVPGLGDAGDRSFAT >ORUFI05G20180.1 pep chromosome:OR_W1943:5:19525715:19529415:1 gene:ORUFI05G20180 transcript:ORUFI05G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFFRKIDANPDMYGPLWITTTLIFMLAAFGNFATYLMQRKTDLNIWSFDVGYFNWAASVMYGYAAAVPAIFFFLFQYFGSRPSLVRFWCMWGYSLFIFIPASVLLLIPVEFLRWVIIILVGGASSWFISLNLKEFTEGADMMVLIASAAVLQFTLALFIKVFFFA >ORUFI05G20180.2 pep chromosome:OR_W1943:5:19525715:19529042:1 gene:ORUFI05G20180 transcript:ORUFI05G20180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFFRKIDANPDMYGPLWITTTLIFMLAAFGNFATYLMQRKTDLNIWSFDVGYFNWAASVMYGYAAAVPAIFFFLFQYFGSRPSLVRFWCMWGYSLFIFIPASVLLLIPVEFLRWVIIILVGGASSWFISLNLKEFTEGADMMVLIASAAVLQFTLALFIKVFFFA >ORUFI05G20190.1 pep chromosome:OR_W1943:5:19530361:19536176:1 gene:ORUFI05G20190 transcript:ORUFI05G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRTAAALSGLLRGKNAVNLAPEQVPKLLATRASPARVEDGVCLRDPPGARYPLDEIPRRDAAVGANRVLFDYARRGMVLEVLDQFSVARRGGVLVDSATLSCVLKACRSVPDRVLGEQLHCLCVKCGHDRGEVSAGTSLVDMYMKCGSVCEGIEVFEGMPKKNVVTWTSLLTGCAHAQMHSEVMALFFRMRAEGIWPNPFTFASVLSAVASQGALDLGQRVHAQSVKFGCRSSVFVCNSLMNMYARCGLVEDAKSVFNWMETRDMVSWNTLMAGLQLNECELEALQLFHESRATMGKMTQSTYATVIKLCANLKQLALARQLHSCVLKHGFHLTGNVMTALADAYSKCGELADALNIFSMTTGSRNVVSWTAIISGCIQNGDIPLAVVLFSRMREDRVMPNEFTYSAMLKASLSILPPQIHAQVIKTNYQHIPFVGTALLASYSKFGSTEDALSIFKMIEQKDVVAWSAMLSCHAQAGDCEGATYLFNKMAIQGIKPNEFTISSVIDACACPSAGVDQGRQFHAISIKYRYHDAICVSSALVSMYSRKGNIDSAQIVFERQTDRDLVSWNSMISGYAQHGYSMKAIETFRQMEASGIQMDGVTFLAVIMGCTHNGLVVEGQQYFDSMVRDHKINPTMEHYACMVDLYSRAGKLDETMSLIRDMPFPAGAMVWRTLLGACRVHKNVELGKFSADKLLSLEPHDSSTYVLLSNIYAAAGKWKERDEVRKLMDYRKVKKEAGCSWIQIKNKVHSFIAFDKSHPMSDQIYKKLKVIITRLKQDGYSPNTSFVLHDIAEDQKEAMLVAHSERLALAFGLIATPPGTPLQIVKNLRVCGDCHMVMKMVSMIEDREIIMRDCSRFHHFNGGACSCGDEQTHEKAPPEAQLHCTSQIQVVVLIPVEFLRWVIIILLGGASSWVISLNLKECTEGADMMVLIASAAVLQFAVALFIKVFFFA >ORUFI05G20200.1 pep chromosome:OR_W1943:5:19536867:19538586:-1 gene:ORUFI05G20200 transcript:ORUFI05G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRALYRSGPTWMGLTWNPTVQKRRHLQLDGNGERPEKTLAKTFAASMAVSSTLRRARSHPSWAAAARGLLATWGAGSGGRVRHPRHGAASASLFSSLSSSQTSAADAQLLRVINMEISYAQKDCKNRNWELGEGFPFEIQDKDGTNMMTLKRSDHKEQIEVEVFLPSAVNEAEENGEPEDQCEDGKHRTHIGNGVPAQYCIPLIVRVRKEAASYLKISCSSYPNELIIESLSFEPNDESGDSASLEAKLSNLPEEFQKAVYSYLKSRCISTDITDFLHAYMINKECHEYLSWLRKVKGLIKS >ORUFI05G20210.1 pep chromosome:OR_W1943:5:19538619:19544641:1 gene:ORUFI05G20210 transcript:ORUFI05G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEFVLEKPNLHVANRTYSSGKIFRVSGFQQVICQNMEPCLLNLVELCHQFFRDAPVKYVNVLPCPHLIVALWEHALSHLNTPAKSNLSRTFLQLLGNGNHSRMAQNVWSIVPW >ORUFI05G20210.2 pep chromosome:OR_W1943:5:19538619:19543434:1 gene:ORUFI05G20210 transcript:ORUFI05G20210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEFVLEKPNLHVANRTYSSGKIFRVSGFQQVICQNMEPCLLNLVELCHQFFRDAPVKYVNVLPCPHLIVALWEHALSHLNTPAKSNLSRTFLQLLGNGNHSRMAQNVWSIVPW >ORUFI05G20210.3 pep chromosome:OR_W1943:5:19539299:19544641:1 gene:ORUFI05G20210 transcript:ORUFI05G20210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEFVLEKPNLHVANRTYSSGKIFRVSGFQQVICQNMEPCLLNLVELCHQFFRDAPVKYVNVLPCPHLIVALWEHALSHLNTPAKSNLSRTFLQLLGNGNHSRMAQNVLRDGPVIDVNVLLDPLLVGALREHAVPHLNPPPATCAGVFPSFSAVETTTGCASTLPTAFTDAVPGEPNGDIRQNLRSLVCCHTGWHSTWSTAGGTRATASRSSSFLLEKLLTPMARALPESWSFSIAAHVAGISVGRTFCPPKPAPFFILTGQWI >ORUFI05G20210.4 pep chromosome:OR_W1943:5:19539299:19544566:1 gene:ORUFI05G20210 transcript:ORUFI05G20210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEFVLEKPNLHVANRTYSSGKIFRVSGFQQVICQNMEPCLLNLVELCHQFFRDAPVKYVNVLPCPHLIVALWEHALSHLNTPAKSNLSRTFLQLLGNGNHSRMAQNVLRDGPVIDVNVLLDPLLVGALREHAVPHLNPPPATCAGVFPSFSAVETTTGCASTLPTAFTDAVPGEPNGDLVCCHTGWHSTWSTAGGTRATASRSSSFLLEKLLTPMARALPESWSFSIAAHVAGISVGRTFCPPKPAPFFILTGQWI >ORUFI05G20210.5 pep chromosome:OR_W1943:5:19538619:19541838:1 gene:ORUFI05G20210 transcript:ORUFI05G20210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEFVLEKPNLHVANRTYSSGKIFRVSGFQQVICQNMEPCLLNLVELCHQFFRDAPVKYVNVLPCPHLIVALWEHALSHLNTPAKSNLSRTFLQLLGNGNHSRMAQNVWSIVPW >ORUFI05G20210.6 pep chromosome:OR_W1943:5:19539299:19544641:1 gene:ORUFI05G20210 transcript:ORUFI05G20210.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEFVLEKPNLHVANRTYSSGKIFRVSGFQQVICQNMEPCLLNLVELCHQFFRDAPVKYVNVLPCPHLIVALWEHALSHLNTPAKSNLSRTFLQLLGNGNHSRMAQNWRAGCAAGAGSVSCWTLPGDVLSPADAFRNLRSLVCCHTGWHSTWSTAGGTRATASRSSSFLLEKLLTPMARALPESWSFSIAAHVAGISVGRTFCPPKPAPFFILTGQWI >ORUFI05G20210.7 pep chromosome:OR_W1943:5:19539299:19544641:1 gene:ORUFI05G20210 transcript:ORUFI05G20210.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEFVLEKPNLHVANRTYSSGKIFRVSGFQQVICQNMEPCLLNLVELCHQFFRDAPVKYVNVLPCPHLIVALWEHALSHLNTPAKSNLSRTFLQLLGNGNHSRMAQNNLRSLVCCHTGWHSTWSTAGGTRATASRSSSFLLEKLLTPMARALPESWSFSIAAHVAGISVGRTFCPPKPAPFFILTGQWI >ORUFI05G20220.1 pep chromosome:OR_W1943:5:19539380:19541228:-1 gene:ORUFI05G20220 transcript:ORUFI05G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFVLNTNAAIPSVGLGTWQISPGAVQDAIRAAVQVGYRHIDCSPQYGNQKEVGLALKKLFDEGAVKREDLFITSKLWCTHHAPEDVPEAINTTLKDLQLYYLDLYLVHGPVRAKKGTKLSVENYLKPDIPATWQAMEKLYDSGKARAIGVSNFSCKKLEDLLNVARVPPAVNQVECHPVWQQGKLRKLCQSKGVHLSAYAPLGSPGSPGNDGPNVLSHPTVISIAKKLQKSPAQVALRWGIQMGQSVLPKSDNEVWTRENIDIFDWCIPEELMAKFYEIQQAWLHILTNYLLKA >ORUFI05G20230.1 pep chromosome:OR_W1943:5:19542516:19545452:-1 gene:ORUFI05G20230 transcript:ORUFI05G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCFVLNTGAKIPSVGLGTWQAEPGVVGNAVYAAVKAGYRHIDCAQAYFNEKEIGVALKKVFDEGIVKREDIFITSKLWCTNHAPEDVPVALDSTLQDLQTDYVDLYLIHWPVRMKKGAGFGGQNVLPTDIPATWAAMEKLHDSGKARAIGVSNFSSKKLEDLLAVARVPPAVDQVECHPVWQQTKLRKFCTSKGIHLSAYSPLGSPGTASVKAVGNVLAHPVVVSTAEKLGKTPAQVALRWGIQMGHSVLPKSTHEERIKENIDMKLIRGEFWTHPEGVYKSIEELWDGEI >ORUFI05G20240.1 pep chromosome:OR_W1943:5:19549659:19552260:1 gene:ORUFI05G20240 transcript:ORUFI05G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEVAWWRPGTWGGLAMRVGQVAFAGASIGVMASGAGFANYTAFCYLIASMGLQSLWSLGLACLDVYALTVKRDLNNALLVTALLSFAASCSAGGVMVLFKRDVLFCRRYPQLPCGRFELAVALAFLSWALSATSAIIMFCLLAAF >ORUFI05G20250.1 pep chromosome:OR_W1943:5:19561040:19561560:-1 gene:ORUFI05G20250 transcript:ORUFI05G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFIPFIYKAIVQYRKEGQVSVGDLFFDEPSPSSYFRLPGDSGRYQQQQQAAMSVLFSQATTADSDAAAPRRSPLRCTIVICENVQK >ORUFI05G20260.1 pep chromosome:OR_W1943:5:19565368:19569829:-1 gene:ORUFI05G20260 transcript:ORUFI05G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGGGGEGEEMEEDGGGAGRRKERVVLMWGYLPGVSQQRSPLLGPVPVRMPDAAAAAGDAWRDVAGGGCGFAMAVSEQGKLFTWGSTDDMGQSYVTAGKHEETPEAFPLPSDIAIVRADAGWAHCVAITGKGDVYTWGWKECVPTGRVIADHSATGAMDCDKRQSGVATDQGNSITVSPRSKVSRTSTKAASGPAESRSSEDSTKRRRLSSSKHGAESESSSDENLSAPPCVVTFNTGVKIVEVAAGGRHTLVLSDFGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCVQSAFYSKDRTGIVMGSRTLEGTNDRAATCVKAIACGGRHSAVVTDSGTLLTFGWGLYGQCGQGNTDDILSPTCVSAIMGVKIQRVAAGLWHTVCTSADGDVYSFGGNQFGQLGTGSDQAEIVPKLVEASKLENNHARGVSCGARHSAVITDKGDVFCWGWNKYGQLGLGDSTDRNVPCQVPVEAYNPLNVSCGWWHTLVLAEYPT >ORUFI05G20260.2 pep chromosome:OR_W1943:5:19565368:19569829:-1 gene:ORUFI05G20260 transcript:ORUFI05G20260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGGGGEGEEMEEDGGGAGRRKERVVLMWGYLPGVSQQRSPLLGPVPVRMPDAAAAAGDAWRDVAGGGCGFAMAVSEQGKLFTWGSTDDMGQSYVTAGKHEETPEAFPLPSDIAIVRADAGWAHCVAITGKGDVYTWGWKECVPTGRVIADHSATGAMDCDKRQSGVATDQVSPRSKVSRTSTKAASGPAESRSSEDSTKRRRLSSSKHGAESESSSDENLSAPPCVVTFNTGVKIVEVAAGGRHTLVLSDFGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCVQSAFYSKDRTGIVMGSRTLEGTNDRAATCVKAIACGGRHSAVVTDSGTLLTFGWGLYGQCGQGNTDDILSPTCVSAIMGVKIQRVAAGLWHTVCTSADGDVYSFGGNQFGQLGTGSDQAEIVPKLVEASKLENNHARGVSCGARHSAVITDKGDVFCWGWNKYGQLGLGDSTDRNVPCQVPVEAYNPLNVSCGWWHTLVLAEYPT >ORUFI05G20260.3 pep chromosome:OR_W1943:5:19565421:19569829:-1 gene:ORUFI05G20260 transcript:ORUFI05G20260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGGGGEGEEMEEDGGGAGRRKERVVLMWGYLPGVSQQRSPLLGPVPVRMPDAAAAAGDAWRDVAGGGCGFAMAVSEQGKLFTWGSTDDMGQSYVTAGKHEETPEAFPLPSDIAIVRADAGWAHCVAITGKGDVYTWGWKECVPTGRVIADHSATGAMDCDKRQSGVATDQGNSITVSPRSKVSRTSTKAASGPAESRSSEDSTKRRRLSSSKHGAESESSSDENLSAPPCVVTFNTGVKIVEVAAGGRHTLVLSDFGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCVQSAFYSKDRTGIVMGSRTLEGTNDRAATCVKAIACGGRHSAVVTDSGTLLTFGWGLYGQCGQGNTDDILSPTCVSAIMGVKIQRVAAGLWHTVCTSADGDVYSFGGNQFGQLGTGSDQAEIVPKLVEASKLENNHARGVSCGARHSAVITDKGDVFCWGWNKYGQAFCSLSKSVNGTHRTMSETFNCANSLVWATQQTETYHAKCPWKRTTR >ORUFI05G20260.4 pep chromosome:OR_W1943:5:19565421:19569829:-1 gene:ORUFI05G20260 transcript:ORUFI05G20260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGGGGEGEEMEEDGGGAGRRKERVVLMWGYLPGVSQQRSPLLGPVPVRMPDAAAAAGDAWRDVAGGGCGFAMAVSEQGKLFTWGSTDDMGQSYVTAGKHEETPEAFPLPSDIAIVRADAGWAHCVAITGKGDVYTWGWKECVPTGRVIADHSATGAMDCDKRQSGVATDQVSPRSKVSRTSTKAASGPAESRSSEDSTKRRRLSSSKHGAESESSSDENLSAPPCVVTFNTGVKIVEVAAGGRHTLVLSDFGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCVQSAFYSKDRTGIVMGSRTLEGTNDRAATCVKAIACGGRHSAVVTDSGTLLTFGWGLYGQCGQGNTDDILSPTCVSAIMGVKIQRVAAGLWHTVCTSADGDVYSFGGNQFGQLGTGSDQAEIVPKLVEASKLENNHARGVSCGARHSAVITDKGDVFCWGWNKYGQAFCSLSKSVNGTHRTMSETFNCANSLVWATQQTETYHAKCPWKRTTR >ORUFI05G20270.1 pep chromosome:OR_W1943:5:19572648:19575321:1 gene:ORUFI05G20270 transcript:ORUFI05G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHGTGRRRSTTTTLTLSALRRAIASFARSNAASAHALSDSALSTPFTTASPPPPPDAPAGGGSTALGREHPGGDGGPLLRKHARTTSHAISLVTTSHSPSLARIRHSSSSARSVTVTSGSDVTYGFR >ORUFI05G20280.1 pep chromosome:OR_W1943:5:19574250:19575780:-1 gene:ORUFI05G20280 transcript:ORUFI05G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEICREEAAKSMPAAAAGATAIARRRRRVEGFRFVAGSLEPPQEDADAGVARCGKRQRVAGARAGAGAATAGPCRPSAGAGFGSRWWPRYGVTSVFGRRREMEDAVSIRPDFLRGSTSSGKHHFFGVFDGHGCSHVARMCQDRMHELVVDAYKKAVSGKEAAAAAPAWKDVMEKGFARMDDEATIWAKSRTGGEPACRCELQTPARCDHVGSTAVVAVVGPNRVVVANSGDSRAVLCRAGVPVPLSVDHKPDRPDELERIKAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPYVTSEPEVTVTERADDDECLILASDGLWDVVTNEMACEVVRACFRSNGPPSPPGCSRPKAVLPPPAGASGGGGGDAVVKGVDKAESDKACADAALLLAKLAIARRSADNVSVVVVDLRRPVP >ORUFI05G20290.1 pep chromosome:OR_W1943:5:19578947:19580672:-1 gene:ORUFI05G20290 transcript:ORUFI05G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKSITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGCTLADYNIQKESTLHLVLCTPSSQGYQLPQKEVRPQQ >ORUFI05G20300.1 pep chromosome:OR_W1943:5:19587491:19588981:1 gene:ORUFI05G20300 transcript:ORUFI05G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWADDGGEGDDDDDLRLPGAGRRSPSLGILAFEAASTMTKLLSLHRSLSEKEVARLRSNTMRAAGVEYLSSTDQAFLLRLACAEAVAALDAAAAAVARLGARCGLDFAGPYASLKAGAPDARLDQFVAKGLKVKAKRMERLVAATAKLCAEMEALDKLEAAEQKLARRGWGRLSVPIPSPAAAAVDAVGSDSLRLGIRAQRARVRRLKEESLWSQSYEKAVILMARAACAVFVRVCVVFGAHVPGLPPPLPPAEAVHSRLSKLLLHPMSAAAQPRSLSGPIQRRDVPLRIEMSSNSCPIIRSHCQQPWQTSPPGVDWRKLLEPPPGTVGGAGLDLQYANVITTAERLLLETDHAEGRQRHEEARAELYAMLPSKLRAAVRAKLRGWWRERGAAAAVAVELDAGLAEGWRSAAGRILAWLAPMARDTARWHAERSLDRQRRFEVGGGGGGSARAWALQTLRWADAEKAEAAVVEVLVALSCVGWYDERRRVASLRF >ORUFI05G20310.1 pep chromosome:OR_W1943:5:19591097:19594864:-1 gene:ORUFI05G20310 transcript:ORUFI05G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIRSALARALLSPKPRPPRARHYATSSTGGATQPESVAAEMVRYALGGARPGSSPDEAMRILEQGASNLQGGGEGCGEAVGMLMLAMSTLLYRSGRRQEAMEKLKATNQVAPAAFRVAAWEATMGLCMEAGQVINTSVSPDDLVDLSIKDDNIKWSDQGHLKCRVNAIKGLIALLNGETESAQLFFDGCKDLCAGVGNKQTENAVLSYCEYLHCVGDFPLATQMYERVLEALTMEDMSGNFLATCNMVPEEVSLGATCSYGQLLSHSGKFSEAEDYLTRALKKAEDQFGANHPKVGIVLTCVARMYKLKAKAEGSSSIMVQEVSKYLIPVLLALLSISRNYNGLVPLIFSFNDETIHGLYRKALEVLRAPAINSEGVSKQMDWRDIISLARGEYAELLLIQSNRRAEGERMKEWAEHAWRNSRLTLAQALEFSGPSKPTVVDTRIGRVM >ORUFI05G20310.2 pep chromosome:OR_W1943:5:19591097:19594864:-1 gene:ORUFI05G20310 transcript:ORUFI05G20310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIRSALARALLSPKPRPPRARHYATSSTGGATQPESVAAEMVRYALGGARPGSSPDEAMRILEQGASNLQGGGEGCGEAVGMLMLAMSTLLYRSGRRQEAMEKLKATNQVAPAAFRVAAWEATMGLCMEAGQVINTSVSPDDLVDLSIKDDNIKWSDQGHLKCRVNAIKGLIALLNGETESAQLFFDGCKDLCAGVGNKQTENAVLSYCEYLHCVGDFPLATQMYERVLEALTMEDMSGNFLATCNMVPEEVSLGATCSYGQLLSHSGKFSEAEDYLTRALKKAEDQFGANHPKVGIVLTCVARMYKLKAKAEGSSSIMVQEGLYRKALEVLRAPAINSEGVSKQMDWRDIISLARGEYAELLLIQSNRRAEGERMKEWAEHAWRNSRLTLAQALEFSGPSKPTVVDTRIGRVM >ORUFI05G20310.3 pep chromosome:OR_W1943:5:19591097:19594864:-1 gene:ORUFI05G20310 transcript:ORUFI05G20310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIRSALARALLSPKPRPPRARHYATSSTGGATQPESVAAEMVRYALGGARPGSSPDEAMRILEQGASNLQGGGEGCGEAVGMLMLAMSTLLYRSGRRQEAMEKLKATNQVAPAAFRVAAWEATMGLCMEAGQVINTSVSPDDLVDLSIKDDNIKWSDQGHLKCRVNAIKGLIALLNGETESAQLFFDGCKDLCAGVGNKQTGMEVSETIWPFTFFPAIISYTIVMFLTENAVLSYCEYLHCVGDFPLATQMYERVLEALTMEDMSGNFLATCNMVPEEVSLGATCSYGQLLSHSGKFSEAEDYLTRALKKAEDQFGANHPKVGIVLTCVARMYKLKAKAEGSSSIMVQEVSKYLIPVLLALLSISRNYNGLVPLIFSFNDETIHGLYRKALEVLRAPAINSEGVSKQMDWRDIISLARGEYAELLLIQSNRRAEGERMKEWAEHAWRNSRLTLAQALEFSGPSKPTVVDTRIGRVM >ORUFI05G20310.4 pep chromosome:OR_W1943:5:19591097:19594864:-1 gene:ORUFI05G20310 transcript:ORUFI05G20310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIRSALARALLSPKPRPPRARHYATSSTGGATQPESVAAEMVRYALGGARPGSSPDEAMRILEQGASNLQGGGEGCGEAVGMLMLAMSTLLYRSGRRQEAMEKLKATNQVAPAAFRVAAWEATMGLCMEAGQVINTSVSPDDLVDLSIKDDNIKWSDQGHLKCRVNAIKGLIALLNGETESAQLFFDGCKDLCAGVGNKQTGMEVSETIWPFTFFPAIISYTIVMFLTENAVLSYCEYLHCVGDFPLATQMYERVLEALTMEDMSGNFLATCNMVPEEVSLGATCSYGQLLSHSGKFSEAEDYLTRALKKAEDQFGANHPKVGIVLTCVARMYKLKAKAEGSSSIMVQEGLYRKALEVLRAPAINSEGVSKQMDWRDIISLARGEYAELLLIQSNRRAEGERMKEWAEHAWRNSRLTLAQALEFSGPSKPTVVDTRIGRVM >ORUFI05G20320.1 pep chromosome:OR_W1943:5:19604214:19607447:1 gene:ORUFI05G20320 transcript:ORUFI05G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMSASAEAKSRRERRSVVAELEGALLRDAATFPYFMLVAFEASGLPRFAALLALWPLLWALERALGRGDLALRAAAFVATAGVPRAEVEAVARAVLPKFMADDVDPAAWAAFGSCGGRRVVVTRMPRVMVERFAREHLGAHEVVGCDLEYSRLRRSTGFVRGGGGGDAERVRALFADGDRPDVGIARSESATRSFLPFCKKQLRPPFCEDDGDDVAAAGEQHKCPPFRPVIFHDGRLVCRPTPLMSLVILLWLPLGALVAFVRIAVGISVPIQIIPRIAPYFGGAVVVHGAPPPAAASGPASGVLFVCTHRTLMDPVVLATVLGRRVAAVTYSISRLSEVLSPIPTVRLTRDRGVDAAQMRAELSRGDVAVCPEGTTCREPFLLRFSALFAELSDRIVPVAMNYRVGLFHPTTARGWKAMDPIFFFMNPRPVYEVTFLNQLPAEETCAAGKSAVDVANYVQRILAAKLGFECTTFTRKDKYRVLAGNDGIVNVKPPAAADPAPWQRRLKEVLGFLLH >ORUFI05G20330.1 pep chromosome:OR_W1943:5:19611556:19615202:1 gene:ORUFI05G20330 transcript:ORUFI05G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPGYLTLPILSVLAAIGYVYYTTVFVAVARWLGLATAAGAANAAAITALAAACVATYAVAVCRDPGRVPPSFAPDVEDAESPLHEIKRKGGDLRYCQKCAGT >ORUFI05G20340.1 pep chromosome:OR_W1943:5:19615722:19618159:-1 gene:ORUFI05G20340 transcript:ORUFI05G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVADLTGDGGVLKTVVRKAKDDAIAPTDSLPLVDVHYEGTLAENGEVFDTTHEDNSIFSFEIGQGAVIKAWDIALRTMKVGEVAKITCKPEYAYGSAGSPPEIPPNATLIFEVELVACRPRKGSSLGSVSDEKARLEELKKQRELAAATKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKGK >ORUFI05G20350.1 pep chromosome:OR_W1943:5:19619669:19619932:-1 gene:ORUFI05G20350 transcript:ORUFI05G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMESRAEDQGVKRREPCKKRIGRTAGAGSEAGNGSRHQASCSPPPPPSSSFPRRCARLVKEQRARLYIVRRCITMLACWRDVDYL >ORUFI05G20360.1 pep chromosome:OR_W1943:5:19624390:19626447:-1 gene:ORUFI05G20360 transcript:ORUFI05G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTGRHLLCLASPACLLFAAAVLLAMPGLTAARTRRYSFNVTMATVTRLCVTKSVPTVNGQFPGPKLVVREGDTLVIRVTNNINNNVTFHWHGIRQVRSGWADGPAYITQCPIRSGGSYVYRFTVTGQRGTLWWHAHFSWLRATLYGPLVILPPRGVAYPFPKPHREVPLLLGEWFNADPEAVIKQALQTGGGPNVSDAYTFNGLPGPTYNCSSSNDTFKLRVRPGKTYLLRLINAALNDELFFGVANHTLMVVQADASYVKPFAATALVISPGQTMDVLLTAAANNPPSRSFAIAVAPYTNTVGTFDNTTAVAVLEYYGAATSAAALRSLPLPSLPAYNDTGAVANFSASFRSLASAQYPARVPRTVDRHFFFAVGLGADPCQSPVNGTCQGPNNTRFAASMNNVSFVMPRTSLLQAHYQRRYNGVLAANFPAAPRTPFNYTGTPPNNTFVTHGTRVVPLSFNTTVEVVLQDTSILGAESHPLHLHGYDFYVVGTGFGNYDASNDTAKYNLVDPVQRNTISVPTAGWVAIRFVADNPGWLPALYLYLHLKREFL >ORUFI05G20370.1 pep chromosome:OR_W1943:5:19639378:19645773:1 gene:ORUFI05G20370 transcript:ORUFI05G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASLARALSRRSAPSSSRARQGFSLGGLGGTTRSPPPPSSPLPSLHGGEGGGLGLGFVRGYLTAALGRPASVKAGTDWRSILANPQFRRLFSDGSKKNYENYYPKGKKEAPKGDGSNKSDSKQDSSTDDQWNFQETASKQLQNFLAPLLFLGLMLSSLSSSSSDQKEISFQEFKNKLLEPGLVDRIVVSNKSVAKVYVRSSPQSNSQGQNTDAIITTNDVPSKHTPSRYKYYFNIGSVDSFEEKLEEAQEALGVDPHDFVPVTYVAEVNWFQEVMRFAPTVFLVGLIYLMSKRMQSGFNIGGGPGKGGRGIFNIGKAQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLKKLKLDNEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEETQITMQHFESAIDRIIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEEVLIGRISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDDGFEMTKPYSNQTASIIDDEVREWVGKAYKKTVELITEHKEQVAKIAEMLLEKEVLHQDDLARVLGERPFKASEPTNYDLFKQGFQDEEDSKNQEAAKTPQPDDDGTPSLGEVVPT >ORUFI05G20380.1 pep chromosome:OR_W1943:5:19647800:19649917:-1 gene:ORUFI05G20380 transcript:ORUFI05G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSVLRCCLLVAALMTLSAMGAEAITRQYLFDVQTTSVTRLCSTKSIVTVNGQYPGPTLFAREGDHVEVTVVNHSPYNMSIHWHGIRQLLSGWADGPSYITQCPIQPGGSYVYRFTITGQRGTLWWHAHISWLRATVHGPMVILPPAGVGYPFPAPHEEVPIMFGEWWNNDTEAVISQALQTGGGPNISDAYTLNGLPGPLYNCSAQDTFKLKVKPGKTYMLRLINAALNDDLFFSIANHTLTVVDVDALYVKPFTVDTLIIAPGQTSNVLLTAKPMYPGASYYMLARPYTTTQGTFDNTTVAGVLEYDDPCPTTAAGKIVPIFSPTLPQINDTNAVSNFTAKLRSLASAGYPAAVPQQVDHRFFFTVGLGTHPCAVNGTCQGPNGSRFAASINNVSFVLPATALLQSHFAGKSKGVYASNFPYYPLNPFNYTGTPPNNTNVMNGTKVLVLPYGANVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPINDPAKFNLYDPVERNTVGVPAGGWVAIRFHADNPGIYLCRYDCTISGVWFMHCHLEVHMSWGLKMAWLVLDGSRPDQKLPPPPLDLPKC >ORUFI05G20390.1 pep chromosome:OR_W1943:5:19673842:19680546:-1 gene:ORUFI05G20390 transcript:ORUFI05G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVKVEEEEEERNPVASSPSVSEGSAHAAALASPTAADSIFGRRRKSGPVRRAKGGWTPEEDEKLRKAVDIYNGKNWKKIAESFSDRTEVQCLHRWQKVLDPELIKGPWTQEEDDVIINMVKKHGPKKWSVIARSLNGRIGKQCRERWHNHLDPQIRKEAWTVEEERVLARAHCMYGNKWAEIAKLLPGRTDNSIKNHWNSSLRKKIDDYNTRDILPVHPPVVGDGLKQLPKRPPADNHFDLNKEPIICSRDRLGVVHSDPTSHQRASNLKDFKGCADYLSLGQPVTSCEASAADDSAFDLATQGMRMDSVHDKGTGNNFVCGKVQGINFLGDKGLKINQISDKMGCSRQAKREGEAAINGGGSSLQSEAHSVGSLCYQIPKMEDIAPAQSPVFTANYVPEHSRNVMHSPNGYTTPPTHGKGSDQLSVESILRSAAEKFHGTPSILRRRKRDKPTPAEDNDLKIGRLSSDDFHTPIGKCTTDSPQSFKTAALLSLGPMDEQGSLDVSPPYRLRSKRLAVLKTVQNHLDFSSDEMSICDTTMKSACGNSDCANASSGVSSIQGKKLDEHMIGLETLTMNFAHTTKLDATQPNL >ORUFI05G20400.1 pep chromosome:OR_W1943:5:19686211:19689036:1 gene:ORUFI05G20400 transcript:ORUFI05G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLDSRRAACQTAMAASSNTETASSARRRGEEVVVVVVGLTFPWGAAQHRSTAQLSSFTPAAAAAEAESG >ORUFI05G20410.1 pep chromosome:OR_W1943:5:19689039:19689710:1 gene:ORUFI05G20410 transcript:ORUFI05G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERKKEKEKKKKHKEKEKEKAGTHGGEAHFKPSGEVKGVRFGGQFIVKSFTVRRASPLELLRLLDIPPAYLSECQSLPFPSTTAYMPTSFTILAHQAWHTLTLGLGTKKSKVVLFVFESEGMKAAVDQLWPSMIPLGDVNKKLIRGLTGSEMARFKFRKGCLTIYVYAVRRLGAAGFMCADDLRRILESVVELKDFLDHTAMLAMPSQKSITLQSRVAVTH >ORUFI05G20420.1 pep chromosome:OR_W1943:5:19694038:19697605:1 gene:ORUFI05G20420 transcript:ORUFI05G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATQSQPVRVVLRVRPHLPSEANSAEAPCVGLLGSHPGGEVTVQLKDQYTSRNECYKLDAFFGQESRVCEIFDQEVSAVIPGIFEGTNATVFAYGATGSGKTYTMQGTEDLPGLIPLAVSTVLALCTGTWCSVEISYYEVYMERCYDLLEPKAREIMVLDDKDGNLQLKGLAWVPVRSLEEFHEIYSIGVQRRKVAHTGLNDVSSRSHAVLSIRITTDVVKGKLNLIDLAGNEDNRRTCNEGIRLQESAKINQSLFALSNVISALNKKEPRIPYRESKLTRILQDSLGGNSHAVMIACLNPVEYQEAVHTVSLAARSRHVTNHMSSASKQETPKDKVDMEAKLRAWLESKGKTKSIQRMDGLLSPNAIKTPLSMSHKKQSASGRVSGRGKAMDQDGGKIKKVLFDSAARIPAENFHREGTQDIVNTTKKVVLPSLTPCKEDKTGSSLRKALSPISSNMDPQKQRTADDSNCLMLLELRTPMGSCNIVGKVTGATPLDKFIALGSNLKESLIQQYLDFLNVANKEELQKLKGIGERRAEYILELREDSPRPFKSLSDLGNIGLSSKQIQDILCKTATGIFK >ORUFI05G20430.1 pep chromosome:OR_W1943:5:19700449:19705415:1 gene:ORUFI05G20430 transcript:ORUFI05G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQTYSRLGSLGGAPSPPPVPPAVAAVASLQRGGGGSGRRTPGKGGSASASASASAAAGWTGVGCGCAARRAARAVLAALHRRQAVFLFAPLLYVAAMLLYMGSIPLDVVPRIVARPAPGSVYRSPQLYARLRADMDADNSTDAICNAVAIAGFLNATLVIPNFHFHSIWRDPSTFSDIYDEAHFVKRLQNDVRVVEKVPDFIMERFGHNLSNVFNFKIKAWSPIQYYKDAVLPKLIEERLIRISPFANRLSFDAPPVVQRLRCLANFEALKFSNPIATLSETLVSRMKEKSTASNGKYIAVHLRFEEDMVAFSCCVYDGGDEEKKEMNAAREIGWRGKFTKRGRVIRPGVIRMNGKCPLTPLEVGLMLRGMGFSNNTAIFLASGKIYRAEKNMVPLLEMFPLLQTKETLASAEELAPFKDFSSRMAAIDYSVCVHSDAFVTTQGGNFPHFLMGHRRYLYAGHSRTIKPDKRKLAILFDNPHGNH >ORUFI05G20440.1 pep chromosome:OR_W1943:5:19707378:19709137:1 gene:ORUFI05G20440 transcript:ORUFI05G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRQIPPPTAAASSRVVVAAGAALLLAAALQPLPVASGVDVAAVLAAFPDLAGFARLLASSPVARELAGRSSLTLLAVPNGNLPQSPSAYAAASGADLADVLRYHVLLEYLAPADLRRLPASGKLVTTLFQTTGRAPADLGAVNVTAAGPSLAVVRSPAPFPGSNATVLGAITAVPYNLSVLAVDGLIVPSGFDLAASESRPPAAVNITKVLADARAFNVAASMLEASGVADEFEADERGAGITVFAPTDDAFAGLPAGDRLQSLPAERKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEFTQAGRFTLNITRANGSVAIDTGVVQATITRTVFDQNPVAVFAVSKVLLPKEMFSRSDSAIVAVASAPPPAALPAESPESAPTKLSSPPALRDTAGNANHTAAAAAVAAAAATTKPTIGRWCIALLYLLLLPLLLPLICMGLGEEGGEVTGEVRGEERRRVGGGSELAG >ORUFI05G20450.1 pep chromosome:OR_W1943:5:19713356:19718906:1 gene:ORUFI05G20450 transcript:ORUFI05G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYDIRLIWAFSCLVLAGSYPGKPSQLGNSNPAAYYYTSDGKQWLVLMGMTSSQSVPTDQYHCYYCVPVNKKSSAKGYCWSVAGGWVIMLGYNADSGMLDSYFISAKEDDDKAKTAWLRGKLEERIPPPLHFSQVRKKGSPMAPSQPKSGLFVGINKGHVVTKRELPPRPSDRKGKSTKRVTFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVLRKMRSGGGHAHTEKKK >ORUFI05G20450.2 pep chromosome:OR_W1943:5:19713356:19718906:1 gene:ORUFI05G20450 transcript:ORUFI05G20450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYDIRLIWAFSCLVLAGSYPGKPSQLGNSNPAAYYYTSDGKQWLVLMGMTSSQSVPTDQYHCYYCVPVNKKSSAKGYCWSVAGGWVIMLGYNADSGMLDSYFISAKEDDDKAKTAWLRGKLEERIGSPMAPSQPKSGLFVGINKGHVVTKRELPPRPSDRKGKSTKRVTFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVLRKMRSGGGHAHTEKKK >ORUFI05G20460.1 pep chromosome:OR_W1943:5:19719800:19722543:-1 gene:ORUFI05G20460 transcript:ORUFI05G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTGEEKQFAAEEISSMVLIKMREIAEAYLGSSIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQINVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDEKIASKLAADDKKRIEDAIDGAISWLDTNQLAEADEFEDKMKELEGICNPIIAKMYQGPGADMAGGMDEDAPAGGSGAGPKIEEVD >ORUFI05G20470.1 pep chromosome:OR_W1943:5:19730505:19735148:1 gene:ORUFI05G20470 transcript:ORUFI05G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASQASLLLQKQLKDLAKNPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMTFPQNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKDWREKRDDFKKKVRRIVRKSQEML >ORUFI05G20480.1 pep chromosome:OR_W1943:5:19739823:19740323:1 gene:ORUFI05G20480 transcript:ORUFI05G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRIHFLSRCQFESSQPLDPGVMAPKAEKKPAEKKPAEEKVHPDIGISSKAMPIMNSFINDIFEKLEQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >ORUFI05G20490.1 pep chromosome:OR_W1943:5:19740491:19743702:-1 gene:ORUFI05G20490 transcript:ORUFI05G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLLSSQLSSQTVVNTRVQQGSGGINSIGFAVIRKGSLKLRCYAIGGLGGGENLNDPLKESNNGPVLQGFNGSSASFRTVGAKITQETGDFFVSDAEGDPDKPTDGFSSIDEAIGALREGKFVIAVDDESGDNEGDLVMAATLADPESIAFMIRNGSGIISVGMKEEDLTRLMIPMMSPIAEIEDISAAASTVTVDARVGISTGVSAADRAKTIFTLASPDSKPTDLRRPGHIFPLKYRNGGVLKRAGHTEASVDLVALAGLRPVSVLSTVINPVDGSMAGMPVLKQMALEHDIPIVSIADLIRYRRKREKLVELIAVSRLPTKWGLFRAYCYQSKLDGTEHIAVAKGDIGDGEDVLVRVHSECLTGDILGSARCDCGNQLDLAMQLIDKAGRGVLVYLRGHEGRGIGLGQKLRAYNLQDDGHDTVQANVELGLAVDSREYGIGAQILRDMGVRTMRLMTNNPAKFVGLKGYGLAVVGRVPVISPITKENQRYLETKRTKMGHVYGSDLPGNVPEEFLNPDDIAGDQDEDDTHN >ORUFI05G20500.1 pep chromosome:OR_W1943:5:19745095:19747164:-1 gene:ORUFI05G20500 transcript:ORUFI05G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRKLGRHAAHRVSMLRTMVSQLVKHERIETTVAKAKEVRTKADQMVQLGKEQDVPQPLFGVTMLFTSYSQNSPTATKIELVDIQDYCGLGYGLAMLHQWHTLSLSTGRMNFERQNLQHHSHLSGSLLIHGPSHSPANNGQVLKSARTPEQKAYDKPERSLALFYVVERFF >ORUFI05G20510.1 pep chromosome:OR_W1943:5:19748074:19750805:-1 gene:ORUFI05G20510 transcript:ORUFI05G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSQLYLLSYNSVQALGWFVALLRLLPCLAPPSSVHSSYAVAGDLTWAILETIHAAIGLVHTSPLLAFLQWGGRTHFVLAVVRQIPEVQSSPSVFITFMAWSISEVIRYSHYALTTLKVCPPWLTYLRYTAFIPLYPIGVGPGEMWTMYQALPFVKERKLYSGFFGKFSMSYHSFLVAVLAVYPFLWMKLYLHVFKQRRSKLGKSGGAKKRA >ORUFI05G20510.2 pep chromosome:OR_W1943:5:19748074:19750805:-1 gene:ORUFI05G20510 transcript:ORUFI05G20510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSQLYLLSYNSVQALGWFVALLRLLPCLAPPSSVHSSYAVAGDLTWAILETIHAAIGLVHTSPLLAFLQWGGRTHFVLAVVRQIPEVGRTANFCFVQSSPSVFITFMAWSISESNFFMVQVIRYSHYALTTLKVCPPWLTYLRYTAFIPLYPIGVGPGEMWTMYQALPFVKERKLYSGFFGKFSMSYHSFLVAVLAVYPFLWMKLYLHVFKQRRSKLGKSGGAKKRA >ORUFI05G20510.3 pep chromosome:OR_W1943:5:19748074:19750805:-1 gene:ORUFI05G20510 transcript:ORUFI05G20510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSQLYLLSYNSVQALGWFVALLRLLPCLAPPSSVHSSYAVAGDLTWAILETIHAAIGLVHTSPLLAFLQWGGRTHFVLAVVRQIPEVGRTANFCFVQSSPSVFITFMAWSISEVIRYSHYALTTLKVCPPWLTYLRYTAFIPLYPIGVGPGEMWTMYQALPFVKERKLYSGFFGKFSMSYHSFLVAVLAVYPFLWMKLYLHVFKQRRSKLGKSGGAKKRA >ORUFI05G20520.1 pep chromosome:OR_W1943:5:19753254:19753778:-1 gene:ORUFI05G20520 transcript:ORUFI05G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQRAVGEGSGGLRPTTHVAGGGGSARGEYFRCKTCSKTFTSFQALGGHRTGHTRMAARQRQEHGAAGAAVVGATNNQRRVVSAHQWHLCAVCGVEFRMGQALGGHMRRHRGEAAAATPPPAAAAASAGAVSSMEPPEMIDLNSPPAVEEAGEGDQEVERAEQEPHLLNLLV >ORUFI05G20530.1 pep chromosome:OR_W1943:5:19756720:19759080:-1 gene:ORUFI05G20530 transcript:ORUFI05G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCPSVKNILLLDSEGKRVAVKYYTDDWPTLSAKLAFEKSVFVKTQKATAGAEAEIVMFDGHIVVYKFIQDLHFFVTGGEEENELILASVLQGFTDAVDIILRNNVDKRTALENLDLILLCLDEIVDGGIVLETEGSVIAEKVSAHGIEGATSLAEQTIVQALTTAREHLTKSLLM >ORUFI05G20540.1 pep chromosome:OR_W1943:5:19763158:19763778:1 gene:ORUFI05G20540 transcript:ORUFI05G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQFGEMDGGIDTARVLMLLSRRRRQHGDVGHARAARVFECRTCGRRFPTFQALGGHRASHKRPRHGAERAPRPAGDDDVGAGAGAALRLVGAASSLSTDEARAGGGGRRTRGAGAAHGCPVCGLEFAVGQALGGHMRRHRAAAGDVAAPRVKTDDVVVGDECTGGICLDLNLTPSENCDKCRHAQLGVAVNSVQRTILLDRPL >ORUFI05G20550.1 pep chromosome:OR_W1943:5:19764370:19766905:-1 gene:ORUFI05G20550 transcript:ORUFI05G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDKTIKINNKTDQGADKPAASGSACCGS >ORUFI05G20560.1 pep chromosome:OR_W1943:5:19769843:19771856:-1 gene:ORUFI05G20560 transcript:ORUFI05G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELSLNVVTLSLLVMFMGAELVMLCPTCRLSMTTIAEHADLPVVGIALRPLQAPSPFPPWHGLAGGWMLGFSGDLLSATLSNTFTGASVDLLSSCYGHASHNARRCAGFFFFLLCLPSG >ORUFI05G20570.1 pep chromosome:OR_W1943:5:19772113:19772681:-1 gene:ORUFI05G20570 transcript:ORUFI05G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAKVPKKAGAGGRRGGGGPKKKPVSRSVKAGLQFPVGRIGRYLKQGRYSQRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTGSAAAKEAKEGKTPKSPKKATTKSPKKAAAA >ORUFI05G20580.1 pep chromosome:OR_W1943:5:19776489:19776956:-1 gene:ORUFI05G20580 transcript:ORUFI05G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHPSSFPFRSCCRQGRDGQQRRRMSPLPLPTRAFGCAGARSNGTTGGCPCLPPSDDIDEAEAEVRSSRRPHGGGGLRSISPGTAAASPPRPSPSSSPARLASSCCCLFTTSPFASVTGEPSVAGKARQQLLLSLHRVPLRLRHRRGHGATSKP >ORUFI05G20590.1 pep chromosome:OR_W1943:5:19783814:19784098:1 gene:ORUFI05G20590 transcript:ORUFI05G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRPGRHQRRASQSVFVLPDNFADLDDVPAGAGGAGEDGRKGAAATADAAGGQQQARPSPAARHRRAMSVAVASSRELEMIKEDMGSYKIGA >ORUFI05G20600.1 pep chromosome:OR_W1943:5:19789296:19793412:1 gene:ORUFI05G20600 transcript:ORUFI05G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGVAAGTGRGSGEGEELLPNAVEGDGGCGGGGTCAGDRPWRLNFDGLRRPEAHQEKPPRRFHDRLGGLAEDIILKGGKRTHPETCFLKKLLYPFDAGIWIFQFKALEMMLQNITSNSRNCWKEECEKVARSEALAIRLSNIANMVLFAAKVYASIRSGSLAIIASTLDSLLDLLSGFILWFTAFSKKTSNPYRYPIGKRRMQPLGDTFRLTKEQEKWIVDIMLSVTLVKLLLVVYCRSFTNEIVKAYAHDHFFDVITYVIGLVAALLANYLVIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPCDMPLQEAHDIGEAPQEKLESLPEIERAFVHLDYDVCAVIDGA >ORUFI05G20600.2 pep chromosome:OR_W1943:5:19789296:19793467:1 gene:ORUFI05G20600 transcript:ORUFI05G20600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGVAAGTGRGSGEGEELLPNAVEGDGGCGGGGTCAGDRPWRLNFDGLRRPEAHQEKPPRRFHDRLGGLAEDIILKGGKRTHPETCFLKKLLYPFDAGIWIFQFKALEMMLQNITSNSRNCWKEECEKVARSEALAIRLSNIANMVLFAAKVYASIRSGSLAIIASTLDSLLDLLSGFILWFTAFSKKTSNPYRYPIGKRRMQPLGDTFRLTKEQEKWIVDIMLSVTLVKLLLVVYCRSFTNEILVIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPCDMPLQEAHDIGEAPQEKLESLPEIERAFVHLDYEFTHQPEHARSHDTL >ORUFI05G20600.3 pep chromosome:OR_W1943:5:19789296:19793516:1 gene:ORUFI05G20600 transcript:ORUFI05G20600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGVAAGTGRGSGEGEELLPNAVEGDGGCGGGGTCAGDRPWRLNFDGLRRPEAHQEKPPRRFHDRLGGLAEDIILKGGKRTHPETCFLKKLLYPFDAGIWIFQFKALEMMLQNITSNSRNCWKEECEKVARSEALAIRLSNIANMVLFAAKVYASIRSGSLAIIASTLDSLLDLLSGFILWFTAFSKKTSNPYRYPIGKRRMQPLGDTFRLTKEQEKWIVDIMLSVTLVKLLLVVYCRSFTNEIVKAYAHDHFFDVITYVIGLVAALLANYLVIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPCDMPLQEAHDIGEAPQEKLESLPEIERAFVHLDYEFTHQPEHARSHDTL >ORUFI05G20600.4 pep chromosome:OR_W1943:5:19789296:19793516:1 gene:ORUFI05G20600 transcript:ORUFI05G20600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGVAAGTGRGSGEGEELLPNAVEGDGGCGGGGTCAGDRPWRLNFDGLRRPEAHQEKPPRRFHDRLGGLAEDIILKGGKRTHPETCFLKKLLYPFDAGIWIFQFKALEMMLQNITSNSRNCWKEECEKVARSEALAIRLSNIANMVLFAAKVYASIRSGSLAIIASTLDSLLDLLSGFILWFTAFSKKTSNPYRYPIGKRRMQPLLVIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPCDMPLQEAHDIGEAPQEKLESLPEIERAFVHLDYEFTHQPEHARSHDTL >ORUFI05G20610.1 pep chromosome:OR_W1943:5:19796910:19797614:-1 gene:ORUFI05G20610 transcript:ORUFI05G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSSSASYIRMVHHLIEKCICFNLNKEECMEALEKHANINPVVTSTVWKELEKENKEFFETYNKDRAERNIEAETMQRIQKMLSDAAASKGSDDDDDDES >ORUFI05G20620.1 pep chromosome:OR_W1943:5:19816914:19818044:-1 gene:ORUFI05G20620 transcript:ORUFI05G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHQLGQQEAMQEEDYIDMDLTSAAAATAPGEFEFDFHMSGPLGGGGARWEQEPLASPADELFYKGKLLPLHLPPRIQMVEELLDGRVVVGGAGRRQLAISTAPATPYDSCTASPANSCYASGELNVEEYFQEYAARLADAAAAACEKKPWSRKLRFMRQLNLGLKLKASKAYIKTIFAAKPASSGDDDDKDAILGATRETKELSHGGHHHHRAWRRNPFGQMRSNRCIASSQSGGGAAAGGGGRGSVGGGKHKERDHGGHRRSFSSVIVRYSTSNKTSPAPQSSSCSSSSSVRTSSESDGGAAAPALRRSSSASSEVENPIQGLIAYCKRSQQLASVRKSASDAGFRFLSSAASKIAAAESDGPEELVEICRG >ORUFI05G20630.1 pep chromosome:OR_W1943:5:19825475:19831773:-1 gene:ORUFI05G20630 transcript:ORUFI05G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGRVGSLISQGVYSVATPFHPFGGAVDIIAVEQPDGSYRSTPWYVRFGKFQGVLKGAEKVVTITVNGVDASFHMQLDNSGQAYFMRELVPGSENSGTSSEEEEASCEPEPPARSKSDGDLYIGAKGRPGSPESNVECQEKQAIEEFDSYGYSRMEVAEDSAKQPDGSNSEMVLVSVDGHVLTAPISSTEENVEDVQLSEPQFHLGPGESSSGDFSRSGEVWEAGIVGDLYMPQEKVKFDSGNQQEALEELGDVPIETDKSHHVPVTGDEVLHTSVYEDVTSALTNEVESLVVPELGNNDEDYQALINVDEVHDISENKNEGCRPPSSQDEVCDVSKTDDEGYQPLATEELGDVPIETDRSHHVPVTGDEVLHTSVYEDVPSALTNEVESLVVLESGNNDEDYQALINVDEVHDISENKNEGCRPPSSQDEVCDVSKTDDEGYRPLATEDEARDVSKNNDEGYQPLATENEACDVPLLENDEACRVPANVDKVCDVSNEDTELEIASPSFGKYDTFKSCLDLSSQIDDGDSGTEPFSPEFDHQQGFEFSLSNRSVVDIDLEEDISKTTHCDRNDPLQYLEEDNKTEGEDSSAHCRKVSDMSIVGGSHGKTKETASSENEANRPDGLHSTIGTSDKDKLGSIPENSAAEEELNKEEHPKLQKGLGFEISLCGHMLRPGMGQASAEEVFQQHLVLEEDFKLSGSSIMKNVNLVVKFDSQYFLWSKISHIILGKAVFGPNFCTEHIDAIPVEHQETPTSRDSLGLSPSSRRWRLWNIPFRISRSLQRSNSDSSEDIFLDTETVLSPMDEQTPENNINQSPRKQFVRTLIPTSEQVASLNLKEGQNIVTFSFSTRVLGKQQVEAHIYLWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSQSGVARLFSAIKENGYQLLFLSARAIVQAYLTKNFLFNLKQDGKVLPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAINSSVDVKSYTSLHTLVHDMFPPTTLVEQEDYNSWNYWKMPLPDVDL >ORUFI05G20640.1 pep chromosome:OR_W1943:5:19839274:19840650:1 gene:ORUFI05G20640 transcript:ORUFI05G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRLLLLAIAVAAVSLAPRAAAEIRTEAFREDPRPTILFEKFGFSKTGAVRIVITGAGISSTFARPDPKQLGFFLLSDESMFQAIYEAQARRPPERREEVAGGGADEPDVSRCILTSPYVKTLFTFHDLKRGHYNKTFPVTHPDEYSLYFANCAPESLVTMRVRTEMYNGNADGSVDYLPVGQAPVPAIYGFFAACYAAFLAAWGYLTLSSRDHRAAAHQIHHLMSGLLAARLLYCLSAAEDQHYIRVTGTPHGWDVAFYLFQLVKGVVLFAVIVLVGTGWSFLRPVLQDREKKVLMVVIPLQVMANIASAVIGETGPFLQGWVTWNQILLFVDVACCCAVLFPVVWSMRSLRETSKTDGKAARTLSKLTLFRQFYIVVIGYLYFTRIVVYALKTIASYQFRWVSVLAEEVATLAFYLFMFYTFRPAERSRYFSFDEDEEEAAEMVLREEEFEL >ORUFI05G20650.1 pep chromosome:OR_W1943:5:19845997:19853658:-1 gene:ORUFI05G20650 transcript:ORUFI05G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAGVVAAGSDAAPAEVSIVGQTNPSTYPPLALGHHSWSSSTGAATVPWSYPVNNQSQDTVYYDPQRDVSVSGDNQSVASSAPHNAQPTMGTENATHSHMPYSSSLQHGYTAAEYANYYYSYPQTTNGSSVQQGGTNQHSGAAHQPLTSFQNSESYVGPTSNTYYNAGGHQTAPGYGTSNSYYQNSTWNGGSFENNYAQSYQNYPSSNTNTVQHSISVPTNSFSYQQQYNQWPYYYNHTVPNPAGDPVGNSNSIVNTTSSYSYPSIQPPPPGTTSWKSNSSSSIAPPIQASGGPGPQDQYINQAHAPVLENQYAGQVAGNPRSQNHYASQTPACPQSTVNLNPVQQSNHGDQQNTVGSNGPNSNIYSVDHVFIATENSSENKMQVPRIAPGFSMVIPKSEKKILGADLSKKPAYVSVSMVKNDARSLPFSLHNYATRNLNCCKDEAQKAACQSMIEEIKNSAIADGTLLTKNWDTEPLLPLVQNVATIPETSANNSSPSLSTSTNRRRQKSRWEPVVEEKVTDKVEPVKGLVNGTTHNNLEAKNRMSNNWDSRKFFQSHHATANKVSQRPAKKQKISSYSDQMQNGNASSDSDKEQDLTKYYASATALANSPEEKKRREHRSKRFEKNQNSSSKSRNSAASKDVMANIHARRAVSALLARSCEDGTTLAVEDMDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEHVLEKALSMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNNKRDLLSSLARLSKQAKQDEAVKHALAVHSAVSSGNYVLFFKLYKQAPNLNSCLMDLYVERMRFEAVKCMSKSYRPTIPVGYVAQILGFSRIDSEASEECEMWLKAHGAILSIDNSRDLQLDTKASTTTLYMPEPENAVAHGDASLAVNDFLART >ORUFI05G20650.2 pep chromosome:OR_W1943:5:19845997:19853658:-1 gene:ORUFI05G20650 transcript:ORUFI05G20650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAGVVAAGSDAAPAEVSIVGQTNPSTYPPLALGHHSWSSSTGAATVPWSYPVNNQSQDTVYYDPQRDVSVSGDNQSVASSAPHNAQPTMGTENATHSHMPYSSSLQHGYTAAEYANYYYSYPQTTNGSSVQQGGTNQHSGAAHQPLTSFQNSESYVGPTSNTYYNAGGHQTAPGYGTSNSYYQNSTWNGGSFENNYAQSYQNYPSSNTNTVQHSISVPTNSFSYQQQYNQWPYYYNHTVPNPAGDPVGNSNSIVNTTSSYSYPSIQPPPPGTTSWKSNSSSSIAPPIQASGGPGPQDQYINQAHAPVLENQYAGQVAGNPRSQNHYASQTPACPQSTVNLNPVQQSNHGDQQNTVFIATENSSENKMQVPRIAPGFSMVIPKSEKKILGADLSKKPAYVSVSMVKNDARSLPFSLHNYATRNLNCCKDEAQKAACQSMIEEIKNSAIADGTLLTKNWDTEPLLPLVQNVATIPETSANNSSPSLSTSTNRRRQKSRWEPVVEEKVTDKVEPVKGLVNGTTHNNLEAKNRMSNNWDSRKFFQSHHATANKVSQRPAKKQKISSYSDQMQNGNASSDSDKEQDLTKYYASATALANSPEEKKRREHRSKRFEKNQNSSSKSRNSAASKDVMANIHARRAVSALLARSCEDGTTLAVEDMDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEHVLEKALSMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNNKRDLLSSLARLSKQAKQDEAVKHALAVHSAVSSGNYVLFFKLYKQAPNLNSCLMDLYVERMRFEAVKCMSKSYRPTIPVGYVAQILGFSRIDSEASEECEMWLKAHGAILSIDNSRDLQLDTKASTTTLYMPEPENAVAHGDASLAVNDFLART >ORUFI05G20650.3 pep chromosome:OR_W1943:5:19845997:19853658:-1 gene:ORUFI05G20650 transcript:ORUFI05G20650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAGVVAAGSDAAPAEVSIVGQTNPSTYPPLALGHHSWSSSTGAATVPWSYPVNNQSQDTVYYDPQRDVSVSGDNQSVASSAPHNAQPTMGTENATHSHMPYSSSLQHGYTAAEYANYYYSYPQTTNGSSVQQGGTNQHSGAAHQPLTSFQNSESYVGPTSNTYYNAGGHQTAPGYGTSNSYYQNSTWNGGSFENNYAQSYQNYPSSNTNTVQHSISVPTNSFSYQQQYNQWPYYYNHTVPNPAGDPVGNSNSIVNTTSSYSYPSIQPPPPGTTSWKSNSSSSIAPPIQASGGPGPQDQYINQAHAPVLENQYAGQVAGNPRSQNHYASQTPACPQSTVNLNPVQQSNHGDQQNTVFIATENSSENKMQVPRIAPGFSMVIPKSEKKILGADLSKKPAYVSVSMVKNDARSLPFSLHNYATRNLNCCKDEAQKAACQSMIEEIKNSAIADGTLLTKNWDTEPLLPLVQNVATIPETSANNSSPSLSTSTNRRRQKSRWEPVVEEKVTDKVEPSNNWDSRKFFQSHHATANKVSQRPAKKQKISSYSDQMQNGNASSDSDKEQDLTKYYASATALANSPEEKKRREHRSKRFEKNQNSSSKSRNSAASKDVMANIHARRAVSALLARSCEDGTTLAVEDMDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEHVLEKALSMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNNKRDLLSSLARLSKQAKQDEAVKHALAVHSAVSSGNYVLFFKLYKQAPNLNSCLMDLYVERMRFEAVKCMSKSYRPTIPVGYVAQILGFSRIDSEASEECEMWLKAHGAILSIDNSRDLQLDTKASTTTLYMPEPENAVAHGDASLAVNDFLART >ORUFI05G20660.1 pep chromosome:OR_W1943:5:19862803:19863366:-1 gene:ORUFI05G20660 transcript:ORUFI05G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSVAISGRGTSGARRHRIVFRGYIQGIAKPVIRRLARKGGVKRISGLIYKETRGVLEIFLKNVICDAITYTEHAHRKTVMAMDVVYALKLQGRTIYDFGG >ORUFI05G20670.1 pep chromosome:OR_W1943:5:19864279:19866112:-1 gene:ORUFI05G20670 transcript:ORUFI05G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCFGGGKKKSLSADTARFDDADAAPASQMTPPAPAAAPMTPPRPDQAPKPSEDASAGLAIAGQAFAFRELAAATDHFTPYNLIGEGGFFRVYKGQLEKTGQTVVIKQLDRHGFQGNNEFLDEVSKLSRLHHDNLVDIIGYCADGDQRLLVYEFMSAGNLEEHLFDLPADKKPMDWCTRMKVAYGAAQGLEYLHEKASPPVVYGDFKASNVLLDDALTPKLSDFGLAQLGQVGGNAPAPMMGSFGCCAPEYDRSGQATMKSDVYSFGVVLVQLISGRRAIDPDKPTEEQNVVAWAMPMFKDQKRYHELVDPLIKSEYAAKALNQVVAMAAMCLQEEDSVRPLMADVVMTLGFLTSLPPDPPAASVPAPAPSASPAPKSDHSDSSSSSSSDDDDDDNDNEEEEGEEEEEEDAEEQ >ORUFI05G20680.1 pep chromosome:OR_W1943:5:19870462:19871037:1 gene:ORUFI05G20680 transcript:ORUFI05G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFLRAMPGESSDDILPKSIDRRKKGAVVEVKYQEDCGSCWAFSAVAAIEGINKNGELVSLSEQELVDCDDEAVGCGGGYMSCSSSSSATTASPVTTEAS >ORUFI05G20690.1 pep chromosome:OR_W1943:5:19874413:19876886:-1 gene:ORUFI05G20690 transcript:ORUFI05G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFDAVQRALSTSENDRHENEKKGKRSTLKKILQFSKSSASTSSPPVTSPSARQQPHHHHPPPPQAAPPDRQRDDGIKEAKSSDAAAAAAQKTATATAVTRPTTTAPRAPARSAEELAAVKIQKACRVYLGRRSQRARGLDRLMLLLEGLAVKRQTYEALYCMQTMTRVQTQIHSRRVKTEEDKKALKSQVHVKQSLDRIKIGESWDHGHQSKEQIETVLTMKQEAALRRQRALAYAFSHQETFICASLAASNVHGHRQPQLGMELGGAMDGGGEAVGEPDHAGEQRPCSRGGERRRQREAGAHVGADTHVDAGVGQVHPPAELPVAVDTHAAVAVEDVGGAAVEPGRQPVPEVGDGDDRPAPHDEPAAGAAAELRAARGGERQPGPRREGRRRPPLAAAHDEPAVRGAAEEAEPRRRRRRPGERRRGAADAELHADDQVREGQGGSAGGDRGPRHRREDGARSPSGDLTVGDQQAPFPGLRGQAEQRPVAEQGEGREVDAATLAASEPKVLISQQPNVNPVAAMLRSGWLVA >ORUFI05G20700.1 pep chromosome:OR_W1943:5:19877196:19887145:1 gene:ORUFI05G20700 transcript:ORUFI05G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPRATVWARGPWAQPARPLGPFALVCLSDHDQSSPRTRRSPVHHARAHTETEAAKTLAPSSTSSSSRAADGDATLRLRSAPVAVDRGGMELEGDPRLVGVALGEYLTDGEEVMVVGGAGEVAVAARVVKVDGGKVVVAMDEDAAAGGGVGVGVVSDLLYATGSAAVGEGYGGECTDNMQELLDGGGAEERMLGVELGGFRGEAGWTLMPVGDAAIETSEEMSDPVTLQYSETGLTGDDQRAKYRLPPLDGYGFRASGLVWSKLKGHPWWPGEIFDTSDASELALKHQKKKGSHLVAYFGSNTFAWCDESQLKPFMSNYSQMANQSNSDAFISSVNLALEEISRRILSGMCCFCLPEALSDNCMSYMVENSGLRDGVTCSKVTRSEILECFNAENFLSYLKSLALFPGQGGELLDLVIACSQLTSFFQSKGCHELASFGSGSELVDDGMDSSSTKNVLLPEAVTYEQKPSEGKPKRRRIKTCVKKPQNDLELTEENPISSLNNECTFVDCMGLNIIGKVKGKRSEKRRKYVPSPEVHTTDHGQDDSWSGFCLNNDPTDTLGEASAKMRPRRKQRSSKETCAPSSDLSSHVPPLQLGLLGPKKQIQLIERSIIHADEQRIDEIMPSALVLSFGRSAALPSKLDLIRLFSRYGPLKENETEVHQNTNTVKVAFKRRFDAANAFSVVGKYSYFGPSLCSFRLVNLPFSLSKLSPEDPGTEVPACRESGVDIVHVGIISKVCLLDESVVSSGFLRRWGWGENGGGVVAMSSTHVAVAAPDPGGDGGLGEARLVDADAAAAPAAGDAEGRLGGGGGGSSAPAAAGDADVTMTEAVEEEVEVEVAGDAEAEAAVVAGDAAGDPLYGTESAGMVVDEPVDAAEGGVDGGDGGEEGLEAEARVLQGEAATEPVPAGGDVAIASEVAAEAHDSATPEHAEAESNDLEENHVDRGKDNGVAVAHCDDEMQNNVEGSSEIQEDDGAPTLEQQDDESEMPLPSSVSNFELCARYSLPPLDKGEFRVSDLVWGKVKSHPWWPGEIFDPSDASELALKHQKKGSHLIAYFGDNTFAWCDESQLKPFVSNYSQMEKQSSSDAFVSSVNYALEELSRRILSGMSCSCLPEELSDNGMSYMVENAGLKDGVTCSAVNRSEILSCCSPENLLNYVKSLALFPGQGGDLLELVIACSQLTSFYRSKGCPELASFQTGSAWVENGVDPSSIKDDVVDEVVTNEEPPANDKPKRGRGRPRKQKPQDGLELTEKKSTSNLSTDNAYDHPAERQMDMEFDEFDGLQSKKKRSLDSFEDPETKAAAPSFGSSFKIGECIRRAASQLTGSSSIVKSQNEQVPHKNIAETENGDFDVSSDDAINELSVEKRAKRRRMHRHHSADPKELLSQLCSVAVEPTHGYSFSAMVINYFSDYRNYVVSTTTEANIVEKTTAKKGRKRKVMPSPEVETTDHMQDSYWSGLSLHNHPIHDLRRASTSTRPRRRRRSLRETYFHAQQNLQHGLLSPKKQIQVIERSIIHVDEKMVDEVKPTALVLSFGRSSALPSETDLVKMFGRYGPLKESEIEVHASSNTVKVVFKKRADAERAFSFAGKFSTFGPSLRSYRLVNMPFFLSSQTNNTEAHSEYHGLEIPGPSESKVPLDAAEADQVDKTDEKVEDKALADKTTEGEITAEVQVEETTTTEKIVEDKELAEETTEGEITAEVQVAETTSTEKIVEDKELAEETTEGETTAEVHIEETSTTERTVEDKELAEETTEGEATAEVHIEETTTTEKTVEDKVVPEETTEGEATAEVLEECTAIEKIVEDNTIAEEITEGETVAEVHVEVATAIDKAVEENTLAEETAKGETTPEVHVEETTTAEESVGDKAVDETTKGETTAEVYAEESTEKTVEDTTVEAPDEKTKTANDPVEDATVEEPDKKTAANDPVEDATVEEPDKKTAANDPAEDATVEEPDKKTAANDHVEDATPEEPDKNTTTNDHVEDVTVEEPDKKTAANDPVEEVIPEETDKNTTTNDPVEDVTIEEPDMKTEANDPVEEATVEELAVEAGTIEEIATAEARDEKTMITEETAQDPMVEDEKTMTTEETVQEPMVEDEKTVTTEETVQDPMVVDDKTMTTEKTVQDSMVEEGGTNIAAAEETVEHAAATAEALAGQASSTEQTG >ORUFI05G20700.2 pep chromosome:OR_W1943:5:19877196:19888180:1 gene:ORUFI05G20700 transcript:ORUFI05G20700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPRATVWARGPWAQPARPLGPFALVCLSDHDQSSPRTRRSPVHHARAHTETEAAKTLAPSSTSSSSRAADGDATLRLRSAPVAVDRGGMELEGDPRLVGVALGEYLTDGEEVMVVGGAGEVAVAARVVKVDGGKVVVAMDEDAAAGGGVGVGVVSDLLYATGSAAVGEGYGGECTDNMQELLDGGGAEERMLGVELGGFRGEAGWTLMPVGDAAIETSEEMSDPVTLQYSETGLTGDDQRAKYRLPPLDGYGFRASGLVWSKLKGHPWWPGEIFDTSDASELALKHQKKKGSHLVAYFGSNTFAWCDESQLKPFMSNYSQMANQSNSDAFISSVNLALEEISRRILSGMCCFCLPEALSDNCMSYMVENSGLRDGVTCSKVTRSEILECFNAENFLSYLKSLALFPGQGGELLDLVIACSQLTSFFQSKGCHELASFGSGSELVDDGMDSSSTKNVLLPEAVTYEQKPSEGKPKRRRIKTCVKKPQNDLELTEENPISSLNNECTFVDCMGLNIIGKVKGKRSEKRRKYVPSPEVHTTDHGQDDSWSGFCLNNDPTDTLGEASAKMRPRRKQRSSKETCAPSSDLSSHVPPLQLGLLGPKKQIQLIERSIIHADEQRIDEIMPSALVLSFGRSAALPSKLDLIRLFSRYGPLKENETEVHQNTNTVKVAFKRRFDAANAFSVVGKYSYFGPSLCSFRLVNLPFSLSKLSPEDPGTEVPACRESGVDIVHVGIISKVCLLDESVVSSGFLRRWGWGENGGGVVAMSSTHVAVAAPDPGGDGGLGEARLVDADAAAAPAAGDAEGRLGGGGGGSSAPAAAGDADVTMTEAVEEEVEVEVAGDAEAEAAVVAGDAAGDPLYGTESAGMVVDEPVDAAEGGVDGGDGGEEGLEAEARVLQGEAATEPVPAGGDVAIASEVAAEAHDSATPEHAEAESNDLEENHVDRGKDNGVAVAHCDDEMQNNVEGSSEIQEDDGAPTLEQQDDESEMPLPSSVSNFELCARYSLPPLDKGEFRVSDLVWGKVKSHPWWPGEIFDPSDASELALKHQKKGSHLIAYFGDNTFAWCDESQLKPFVSNYSQMEKQSSSDAFVSSVNYALEELSRRILSGMSCSCLPEELSDNGMSYMVENAGLKDGVTCSAVNRSEILSCCSPENLLNYVKSLALFPGQGGDLLELVIACSQLTSFYRSKGCPELASFQTGSAWVENGVDPSSIKDDVVDEVVTNEEPPANDKPKRGRGRPRKQKPQDGLELTEKKSTSNLSTDNAYDHPAERQMDMEFDEFDGLQSKKKRSLDSFEDPETKAAAPSFGSSFKIGECIRRAASQLTGSSSIVKSQNEQVPHKNIAETENGDFDVSSDDAINELSVEKRAKRRRMHRHHSADPKELLSQLCSVAVEPTHGYSFSAMVINYFSDYRNYVVSTTTEANIVEKTTAKKGRKRKVMPSPEVETTDHMQDSYWSGLSLHNHPIHDLRRASTSTRPRRRRRSLRETYFHAQQNLQHGLLSPKKQIQVIERSIIHVDEKMVDEVKPTALVLSFGRSSALPSETDLVKMFGRYGPLKESEIEVHASSNTVKVVFKKRADAERAFSFAGKFSTFGPSLRSYRLVNMPFFLSSQTNNTEAHSEYHGLEIPGPSESKVPLDAAEADQVDKTDEKVEDKGTAEVLARETGDSITAPGALDEKTEKEATAEALADKTTEGEITAEVQVEETTTTEKIVEDKELAEETTEGEITAEVQVAETTSTEKIVEDKELAEETTEGETTAEVHIEETSTTERTVEDKELAEETTEGEATAEVHIEETTTTEKTVEDKVVPEETTEGEATAEVLEECTAIEKIVEDNTIAEEITEGETVAEVHVEVATAIDKAVEENTLAEETAKGETTPEVHVEETTTAEESVGDKAVDETTKGETTAEVYAEESTEKTVEDTTVEAPDEKTKTANDPVEDATVEEPDKKTAANDPVEDATVEEPDKKTAANDPAEDATVEEPDKKTAANDHVEDATPEEPDKNTTTNDHVEDVTVEEPDKKTAANDPVEEVIPEETDKNTTTNDPVEDVTIEEPDMKTEANDPVEEATVEELAVEAGTIEEIATAEARDEKTMITEETAQDPMVEDEKTMTTEETVQEPMVEDEKTVTTEETVQDPMVVDDKTMTTEKTVQDSMVEEGGTNIAAAEETVEHAAATAEALAGQASSTEQTG >ORUFI05G20700.3 pep chromosome:OR_W1943:5:19877196:19888180:1 gene:ORUFI05G20700 transcript:ORUFI05G20700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPRATVWARGPWAQPARPLGPFALVCLSDHDQSSPRTRRSPVHHARAHTETEAAKTLAPSSTSSSSRAADGDATLRLRSAPVAVDRGGMELEGDPRLVGVALGEYLTDGEEVMVVGGAGEVAVAARVVKVDGGKVVVAMDEDAAAGGGVGVGVVSDLLYATGSAAVGEGYGGECTDNMQELLDGGGAEERMLGVELGGFRGEAGWTLMPVGDAAIETSEEMSDPVTLQYSETGLTGDDQRAKYRLPPLDGYGFRASGLVWSKLKGHPWWPGEIFDTSDASELALKHQKKKGSHLVAYFGSNTFAWCDESQLKPFMSNYSQMANQSNSDAFISSVNLALEEISRRILSGMCCFCLPEALSDNCMSYMVENSGLRDGVTCSKVTRSEILECFNAENFLSYLKSLALFPGQGGELLDLVIACSQLTSFFQSKGCHELASFGSGSELVDDGMDSSSTKNVLLPEAVTYEQKPSEGKPKRRRIKTCVKKPQNDLELTEENPISSLNNECTFVDCMGLNIIGKVKGKRSEKRRKYVPSPEVHTTDHGQDDSWSGFCLNNDPTDTLGEASAKMRPRRKQRSSKETCAPSSDLSSHVPPLQLGLLGPKKQIQLIERSIIHADEQRIDEIMPSALVLSFGRSAALPSKLDLIRLFSRYGPLKENETEVHQNTNTVKVAFKRRFDAANAFSVVGKYSYFGPSLCSFRLVNLPFSLSKLSPEDPGTEVPACRESGVDIVHVGIISKVDKAQNLFLRRWGWGENGGGVVAMSSTHVAVAAPDPGGDGGLGEARLVDADAAAAPAAGDAEGRLGGGGGGSSAPAAAGDADVTMTEAVEEEVEVEVAGDAEAEAAVVAGDAAGDPLYGTESAGMVVDEPVDAAEGGVDGGDGGEEGLEAEARVLQGEAATEPVPAGGDVAIASEVAAEAHDSATPEHAEAESNDLEENHVDRGKDNGVAVAHCDDEMQNNVEGSSEIQEDDGAPTLEQQDDESEMPLPSSVSNFELCARYSLPPLDKGEFRVSDLVWGKVKSHPWWPGEIFDPSDASELALKHQKKGSHLIAYFGDNTFAWCDESQLKPFVSNYSQMEKQSSSDAFVSSVNYALEELSRRILSGMSCSCLPEELSDNGMSYMVENAGLKDGVTCSAVNRSEILSCCSPENLLNYVKSLALFPGQGGDLLELVIACSQLTSFYRSKGCPELASFQTGSAWVENGVDPSSIKDDVVDEVVTNEEPPANDKPKRGRGRPRKQKPQDGLELTEKKSTSNLSTDNAYDHPAERQMDMEFDEFDGLQSKKKRSLDSFEDPETKAAAPSFGSSFKIGECIRRAASQLTGSSSIVKSQNEQVPHKNIAETENGDFDVSSDDAINELSVEKRAKRRRMHRHHSADPKELLSQLCSVAVEPTHGYSFSAMVINYFSDYRNYVVSTTTEANIVEKTTAKKGRKRKVMPSPEVETTDHMQDSYWSGLSLHNHPIHDLRRASTSTRPRRRRRSLRETYFHAQQNLQHGLLSPKKQIQVIERSIIHVDEKMVDEVKPTALVLSFGRSSALPSETDLVKMFGRYGPLKESEIEVHASSNTVKVVFKKRADAERAFSFAGKFSTFGPSLRSYRLVNMPFFLSSQTNNTEAHSEYHGLEIPGPSESKVPLDAAEADQVDKTDEKVEDKGTAEVLARETGDSITAPGALDEKTEKEATAEALADKTTEGEITAEVQVEETTTTEKIVEDKELAEETTEGEITAEVQVAETTSTEKIVEDKELAEETTEGETTAEVHIEETSTTERTVEDKELAEETTEGEATAEVHIEETTTTEKTVEDKVVPEETTEGEATAEVLEECTAIEKIVEDNTIAEEITEGETVAEVHVEVATAIDKAVEENTLAEETAKGETTPEVHVEETTTAEESVGDKAVDETTKGETTAEVYAEESTEKTVEDTTVEAPDEKTKTANDPVEDATVEEPDKKTAANDPVEDATVEEPDKKTAANDPAEDATVEEPDKKTAANDHVEDATPEEPDKNTTTNDHVEDVTVEEPDKKTAANDPVEEVIPEETDKNTTTNDPVEDVTIEEPDMKTEANDPVEEATVEELAVEAGTIEEIATAEARDEKTMITEETAQDPMVEDEKTMTTEETVQEPMVEDEKTVTTEETVQDPMVVDDKTMTTEKTVQDSMVEEGGTNIAAAEETVEHAAATAEALAGQASSTEQTG >ORUFI05G20710.1 pep chromosome:OR_W1943:5:19888988:19891760:-1 gene:ORUFI05G20710 transcript:ORUFI05G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDWVFVVVGQHYRASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKVYLQKYREMEMGQQAAYNQGMGYMQPQYHNGDVSN >ORUFI05G20720.1 pep chromosome:OR_W1943:5:19891865:19892119:-1 gene:ORUFI05G20720 transcript:ORUFI05G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGPGSPGGGGGSHESGSPRGGGGGGGGGGGGGGVREQDRFLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSE >ORUFI05G20730.1 pep chromosome:OR_W1943:5:19894776:19902056:-1 gene:ORUFI05G20730 transcript:ORUFI05G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSVRAITYLCDAMPRAADAVVRHGLLPLLCSRLLAIEYLDVAEQCLQAFEKISQRQPTPCLQAGMITAVLTYIDFFSASIQRVAVSAAANACKKVPKDCSQFVMDSVPVLCNLLQSEDKMVVEKVASCLINIVDSFSSSVELLDMFCHQGVIEKVLPLINTGGLTSLSPSTCSNLIGLLAKLACNSLVAVKSLFELNVGNTISRILVTSDLSHGMPYLPLENQSNQVNEALKLANQLIPSAARDVEDTQMVLAKEKIIVDEPRQSTLVQIHTFAMDVLQFFLAGLLSRKDHHVLFSSLKLIEILMQKLPDAYLGSFIKEGVVYAVEALLMQEDCSKSTNLSDEAQQSENQPIIRNKPTCFCYAFDYPRSDAAETRTCMIGKGNLFTFARHVKTTYFTTEAVNSEMGLTEILQKLKTCCAVLNDSADKSLNRDNIQNEEHLTNILSEVMMELHGGETMTTFEFLESGLIKSLSNYLSNGKYLQLERIPNDYNTEHFLAVLKRFQSFTQISFSRMEQGWGDMLLTLLVRKLQNALTSLDNFPVIMSHNFKPRNNISDIPTRHSTITPCIRVRFKKDEDQTNLSSYDNVVNLEISSLLHTIEEFLWPKICTGTSNQKPESSANGTASENKYPEDDLQERYSSPESSPPPEGVIIGNQSPSVEPGSNKGPSSSGAGQQETNTSDHAAQPKLLFSLKGKELDQSVTLYQSILQDQINAGSDIILDNQFWRIVHDVTYRTATNPEIDDPLKYSSCATTPAHPDKAGYICQTLPFFTSLLLGKLPCKLDRSSPSYDILFMLKVLEGLNRYSFHLVSDERNRAFVHGSITDLDDLKVDVSVVPQQEFVSAKLTDKLEQQMHDPLVLRSRCLPLWCTELMSACPFLFSFEARWKYFQLTAFGSLTPQHGNMMDTSGSGVMTERVPSFSRKKFKVDRDNILVSAAKVMQSHARSNAMLEVEYEEEVGTGLGPTMEFYTLISHEFQKSGLGMWRGELSGEAGLDNVHGGSVFVVAPNGLFPKPWSTHVDCSSFSEVNKQFHLLGQVVAKAVKDNRILDIPFSKAFYRLILGQELNIYDIHSFDPELAMTLMEFKALAARRKYLESSSSGDCKSTSDLSYRGCRIEDLAIEFALPGYPEYVLSLENSLDNVSADNLEQYVSFVVDATIRSGIARQLEAFKSGFNEVFPLSMLQVFSEDELERLLCGEQDTWDFAKLVDHIKFDHGYTSSSPPVINLLEVIQEFEGHQRRAFLQFITGSPRLPPGGLAALNPKLTVVRKHNSNEADDDLPSVMTCANYLKLPPYSSKDKMREKLLYAITEGQGSFHLS >ORUFI05G20740.1 pep chromosome:OR_W1943:5:19903285:19903827:-1 gene:ORUFI05G20740 transcript:ORUFI05G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAARDEGTGGKHHGREVAMATRGRIPHAALAISHRGKSCVRARGRRGRIPPAPLTAGHESCLVSAVPLSGTLFLRSGARELRLAASNGGGAQRWAVEIALLVPRPPAPSPAMGPRGWGSHAATGRGGEESRAAMVLDSPELGQRRSGSWLGQVWRTSTTGSEWQRTRCRRGRQSGRDAE >ORUFI05G20750.1 pep chromosome:OR_W1943:5:19905822:19914908:1 gene:ORUFI05G20750 transcript:ORUFI05G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDDGPRKGKPGGLSMDAATAAGLAAVWPEYFPEESEFAADGRSARLAADLVDLFSSPDASDLLSRVEDDGDILSLPVDFQQLSNLTWITEALQENPKEALLSMGAAVHLIVCASRDLQLGDINKINIRLYNHTKTIALKNLKAAETCDSAWNSLKSKYGEASSAAAEFPMHEMRYKIPSIQELASGESHEEGRVPRTIECELTEDLVDCCIPGETVTVTGIVKVLNNYMDVGGGKSKSRNQGLYYLYLEAISVRNSKVHAASGNSDAASGSFGFQAFTEKDLEFISKFKEEHGADVFRQILHSFCPSIYGHELVKAGITLALFGGVQKHSIDQNKVPVRGDIHAVVVGDPGLGKSQLLQAAAAVSPRGIYVCGNTTTNAGLTVAVVKDSMSNDYAFEAGAMVLADRGICCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYDRAKTVNENLKMSAALLSRFDLVFILLDKPDELLDKRVSDHIIALHSNDGGPFTANKRIRTVPQFNASTEFGVGRTSLASRLRLHPEKDKDFCPLPGPLLRKYISYARSHVNPRISMPSPAADSLQKFYLDLRKQSDSADGTPITARQLESLVRLAEARARVDLREEVTLEDAKEVIDIMTESLYDKCVDEHGVVDFARSGGMSNQKQSKKFLRALNEQCDLQKKDCFSMNEMYNLADHISLQVANLDAIVESSDFVIPGEPSYMVKTMTAVAYPSRRTGLCLDRRWRGIGLRVEGAVRGNGPYVSGTTVNCTNSEDQFP >ORUFI05G20750.2 pep chromosome:OR_W1943:5:19905822:19914908:1 gene:ORUFI05G20750 transcript:ORUFI05G20750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDDGPRKGKPGGLSMDAATAAGLAAVWPEYFPEESEFAADGRSARLAADLVDLFSSPDASDLLSRVEDDGDILSLPVDFQQLSNLTWITEALQENPKEALLSMGAAVHLIVCASRDLQLGDINKINIRLYNHTKTIALKNLKAAETCDSAWNSLKSKYGEASSAAAEFPMHEMRYKIPSIQELASGESHEEGRVPRTIECELTEDLVDCCIPGETVTVTGIVKVLNNYMDVGGGKSKSRNQGLYYLYLEAISVRNSKVHAASGNSDAASGSFGFQAFTEKDLEFISKFKEEHGADVFRQILHSFCPSIYGHELVKAGITLALFGGVQKHSIDQNKVPVRGDIHAVVVGNPSLIEGLSFLVRIFLAFLTVQLKLLLNAILGDPGLGKSQLLQAAAAVSPRGIYVCGNTTTNAGLTVAVVKDSMSNDYAFEAGAMVLADRGICCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYDRAKTVNENLKMSAALLSRFDLVFILLDKPDELLDKRVSDHIIALHSNDGGPFTANKRIRTVPQFNASTEFGVGRTSLASRLRLHPEKDKDFCPLPGPLLRKYISYARSHVNPRISMPSPAADSLQKFYLDLRKQSDSADGTPITARQLESLVRLAEARARVDLREEVTLEDAKEVIDIMTESLYDKCVDEHGVVDFARSGGMSNQKQSKKFLRALNEQCDLQKKDCFSMNEMYNLADHISLQVANLDAIVESSDFVIPGEPSYMVKTMTAVAYPSRRTGLCLDRRWRGIGLRVEGAVRGNGPYVSGTTVNCTNSEDQFP >ORUFI05G20760.1 pep chromosome:OR_W1943:5:19917275:19917526:-1 gene:ORUFI05G20760 transcript:ORUFI05G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESYPAQAPAPAMPPAAGDRAGGGGGGRAARAALLPPPRRGQIKEQIIKDIVAAFSGVIAGRGRNDRNGGGGVPVSDDTDN >ORUFI05G20770.1 pep chromosome:OR_W1943:5:19924178:19924402:-1 gene:ORUFI05G20770 transcript:ORUFI05G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSYYGNYLSGGGANMAKEKRPPLKRGQVKRRIVRSISNLMANNAAADDDSNSAQAADHRNSFIRREASYNNN >ORUFI05G20780.1 pep chromosome:OR_W1943:5:19948187:19948381:-1 gene:ORUFI05G20780 transcript:ORUFI05G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVECHHQYTNSMKEKRPPPRRGQLKRQIARTLSNLMVPGGGKQIAAGSEEGQAAAKAHGCFRLR >ORUFI05G20790.1 pep chromosome:OR_W1943:5:19950242:19950529:1 gene:ORUFI05G20790 transcript:ORUFI05G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGYGCYSYYQQYKSSGSFISGKEKRPPLKRGQLKRQIVRTLSNLMAPATRSSGDAAAAADSKKKAADRSSFRREASYKPTTESIQSNSNIIII >ORUFI05G20800.1 pep chromosome:OR_W1943:5:19957122:19963564:-1 gene:ORUFI05G20800 transcript:ORUFI05G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSLPFIAFEHKRDAYGFAVRPQHLQRYKEYAGIYKEEEEERSDRWKNFLERQSEPSGQEEKGEAAGRVVDSSGIGGSSLLQEKIVQGPHKIEIWKPIRPSLGNIEQIMSVRVEEKQSPASGNQDTKDVIHPVKVQEGKLSEDSDDEFYDVDKVETSQEMHSSDSANTGIDNRGQEENFPSMEELECLVHGGLPMALRGELWQAFVGIGVRRVKGYYDSLLVAEGELEDTSGSRSSTSDVAGENTEVSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLLAYARHNPAVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDGYFSEEMIESQVDQLVLEELVREKFPKLANHLDYLGLQVAWVTGPWFLSIFTNVLPWESVLRVWDVLLFDGNRVMLFRTALALLEFYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTARMGYQSVDETGLQELRNKHRPSVLSSMEERAKGLGALDTNGLASKLYNFKHDPEPLVSISDSQDQMSDVGDGNANQSDSGNMDDMYGGLTVSSEIEALPDPKDQISWLKFELCRLLEERRSAVLRADELETALMEMVKQDNRRQLSAKVEQSEQELSELRQTLLDKQEQEQAMCQVLLRVEQELKIAEEARISAEQDAAAQRYAVNVLQEKYEEAMASLAKMENRAVMAETMLEATLQYQTSQQKALLSPLPSPRTSMIDASPGRANHDSSQEFQPKKINLLSPFSLSWRDKNKGKQNNVDDSAKLTDAHDQREEITNNNDEKQVETPKLDVLEESMGSPKEDNKSRVEVPKEDSDLASVQVVANDMNGQHEQLQEIKLD >ORUFI05G20810.1 pep chromosome:OR_W1943:5:19975259:19976123:-1 gene:ORUFI05G20810 transcript:ORUFI05G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSQSAQLGMATAFFGALSFLLAILGELNKPPHGTPIRGRGVVVCKFPADPTVALGALSAVAAACGAGVGALAVFFPYNGKPVPRKALFDYTLLYVFFHLAIGITVAGIATTAWVTASEAMHRVRNVHGVDPEYACPTAKTGVLGGAAFLNLDASLFWLLCLMLAGNVKEEYFDDGGGGGEVGDGVAGLEEK >ORUFI05G20820.1 pep chromosome:OR_W1943:5:19980968:19986011:1 gene:ORUFI05G20820 transcript:ORUFI05G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDYVDVPLGGGGQSTLPPVAPLKKQPSRLASGMKRLASMVPDTMKLKRTHSSAQPALRGLRFLDKTSAGKDGWKNVEKRFDEMSADGRLPQESFAKCIGMADSKEFASEVFVALARRRSIKPEDGITKEQLKEFWEELTDQNFDSRLRIFFDMCDKNGDGQLTEDEVKEVIVLSAAANKLAKLKSHAATYASLIMEELDPDHRGYIEIWQLETLLRGMVTAQGPPEKVKLASASLARTMVPSSHRSPMQRRFNKTVDFIHENWKRIWVLSLWAILNIALFMYKFVQYSRRDAFQVMGYCVCIAKGAAETLKLNMAVILLPVCRNTLTRLRSTALSKVVPFDDNINFHKVIALTIAIGAATHTLAHVTCDFPRLVSCPRDKFEATLGPYFNYVQPTYSSLVASTPGWTGILMILIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVIAYILLVLHSYFIFLTKQWYNRTTWMFLAVPVLFYSCERTIRRVRESSYGVTVIKAAIYPGNVLSIHMNKPSSFKYKSGMYMFVKCPDVSPFEWYHLIVSVQMHPFSITSAPGDDYLSVHIRTLGDWTTELRNLFGKACEAQVSSKKATLARLETTIIADGLKEETCFPKVFIDGPFGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKSNGDVQSTHDAELGCTFKSNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDHDNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFSDLANAHQNSRIGVFYCGSPTLTKMLRDLSLEFSQTTTTRFHFHKENF >ORUFI05G20820.2 pep chromosome:OR_W1943:5:19980968:19986011:1 gene:ORUFI05G20820 transcript:ORUFI05G20820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDYVDVPLGGGGQSTLPPVAPLKKQPSRLASGMKRLASMVPDTMKLKRTHSSAQPALRGLRFLDKTSAGKDGWKNVEKRFDEMSADGRLPQESFAKCIGMADSKEFASEVFVALARRRSIKPEDGITKEQLKEFWEELTDQNFDSRLRIFFDMCDKNGDGQLTEDEVKEVIVLSAAANKLAKLKSHAATYASLIMEELDPDHRGYIEIWQLETLLRGMVTAQGPPEKVKLASASLARTMVPSSHRSPMQRRFNKTVDFIHENWKRIWVLSLWAILNIALFMYKFVQYSRRDAFQVMGYCVCIAKGAAETLKLNMAVILLPVCRNTLTRLRSTALSKVVPFDDNINFHKVIALTIAIGAATHTLAHVTCDFPRLVSCPRDKFEATLGPYFNYVQPTYSSLVASTPGWTGILMILIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVIAYILLVLHSYFIFLTKQWYNRTTWMFLAVPVLFYSCERTIRRVRESSYGVTVIKAAIYPGNVLSIHMNKPSSFKYKSGMHPFSITSAPGDDYLSVHIRTLGDWTTELRNLFGKACEAQVSSKKATLARLETTIIADGLKEETCFPKVFIDGPFGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKSNGDVQSTHDAELGCTFKSNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDHDNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFSDLANAHQNSRIGVFYCGSPTLTKMLRDLSLEFSQTTTTRFHFHKENF >ORUFI05G20830.1 pep chromosome:OR_W1943:5:19987103:19989612:1 gene:ORUFI05G20830 transcript:ORUFI05G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYVYERWRRPDRPAARGTASPCFSVAAAPLRRSWRRWPPRGGAGGGRRRRNAGEKRAAEPSIEAPPRSSKYYALCVAGGGRTWLRPWKAPGVDVCCANPGGRILLRRAGAPRAAGSRLVGKSVGS >ORUFI05G20840.1 pep chromosome:OR_W1943:5:19992833:19993983:-1 gene:ORUFI05G20840 transcript:ORUFI05G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPSSSSSPPTYSDSSMHHALSFSSALPTAPTEIPGSGGGFVDDKGSMFSLPNVAGSAPPPSYYSSLPSFYIHRSTSSHSLLHHRLSDLLNSNAAFSYSSAPACQLQPLPPVSSSTSSSSGDLLEFSSGTLRRVFSTGDLQAMNVPPSPPPPPPFSGDICIQEVGGPFSQKVGRYSAEERKERIERYRVKRQQRNFHKKITVLAYKTAFPFPLQAIDHGSYCSSSSSSSPGRFQYACRKTLADSRPRVQGRFARNAETEADAEADAVAGLDTEVYGNGYGYCAYSGLTNSISSNCYDNQSQSQWWGTPAGAANWQHQQQKQQLGFDVAVDGDDEDYELWASIADMCSGT >ORUFI05G20850.1 pep chromosome:OR_W1943:5:19997046:19999776:-1 gene:ORUFI05G20850 transcript:ORUFI05G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTTQSKWLDTTLHEMEDRVKAMLNLIGADGDSFGKKAELYFKSRPELINHVEEMFRSYQALADRYDRISSELHKANHTIATAFPDQIQFSMQDADGEGFQKAISGIDLSNFKFPALEGLPMGSRGASRGTSPVPKRTQMHRRITSHMNKENAQEEIDKLQKQILVLQTEKEFLKTSYDSALGRYLDIEKQVVELQDEVCSLQDAFSTGAAIEDNEARALMAARAIVSCEDTLVNLQDQQRRSSEEARTEFKRFIEAKKKLDTFKAECGQPHTQNDEPDNSDKEYIHAMPSGDVDDSVQNEIRFDLQEVCQKVKELIELHPGVSVTDLADKVDRLVEKVIDLELATTSQNAQINRMKTEIDDLHKCLQALEEEKSALVADSSKLVDRLKQVEEVLQAVQHLGNSIQNGTQNIHKEMNAACSELAEFVEKLHEPEPQNSGFMNSSQESSCQEEDSEVTSQYAKKQTSDSIDGSKNEVEKQDKGSEGPLVQQHPDTNGSDGEDKILLEGYASVLQSYKGTEQKLSEIEKTNQEYHSRSMSELKDLKSANAMKDEEIHSLRRMLSSLQRKMNAPAPENVDKSEETSKISTTPVTEDKEIAEIEEYMKQCQVEEQLASSISEEKFRAEIDRVLENNLGFWLRFSTSYHQIRNFQTSFDKLKTEMDKLIDAQAQCGADGVPISYQVAKLESAVLEKKFRDLNTDLQVWIEKNVLLKGELENRFSSLCGIQEEISKIATLDKSDEVHFTPFQAAKLQGEVLNMKQENNKVAKELEAGLDHVRGLQVEVGRVLLKLRENLELSIARSNRAQQNFRNLSTKAGVPLRTFLFGTKPKKPSLFSCMGPGVHKHHSGSRAGRR >ORUFI05G20860.1 pep chromosome:OR_W1943:5:20019987:20020298:1 gene:ORUFI05G20860 transcript:ORUFI05G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ORUFI05G20870.1 pep chromosome:OR_W1943:5:20021545:20022679:-1 gene:ORUFI05G20870 transcript:ORUFI05G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPERSYSCSSAKEVAYSCGYCGYALNLSSSTRNTANIGSKYGKQIRKGVISFFAIDESRFTQTDEVSCMPYFHSRRSWGLFRKRTRLICRKCGGRIGNAYEDEDSTLYDGSDDLHMSSEGYSMSSGKKYVIKINALQPSTDDSGVPFTL >ORUFI05G20880.1 pep chromosome:OR_W1943:5:20024587:20029869:1 gene:ORUFI05G20880 transcript:ORUFI05G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEFLSPEAAAAAGVGPVRQQQHPGEAAWRAVVGWLGFLLQILLQIVRGTPSSWAHLLSFLGLRHPLLSAAPPQPSPSPSPSVAFVRLPSEAPADASSTGPPPPLRRLTVVLDLDETLVCAYESSSLPAALRAEAVEAGLHCFDMECISAEKASCIFLDIKDAEGSQRVNRVTVFERPGLHEFLQRTSEFADLILFTAGLEGYAKPLVDRIDAHNRFCHRLYRPSTVTTEYREHVKDLSCLSKDFHRIVLVDNNPYSFLLQPLNGIPCLTFSAGQPVDDQLMGVIFPLLKHLSLQNDVRPALYETFHMPEWFQRHGIPQIDQAA >ORUFI05G20890.1 pep chromosome:OR_W1943:5:20025830:20032340:-1 gene:ORUFI05G20890 transcript:ORUFI05G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGAEGAAMGFLPAAEHGFARSAAPSPLREEEEVLDDDPKLPPLRMPTSFAAFPGSSSGSDSDSFLSMSSTPSGLMNPYGVWSPRAPPSEASSSEMEFGTAREYDTTDLFFGENWLYDDHLFHTNSDGDEGNGEDKFIVGADSTAQWSETRELDDCGGRHQVHTKSKADAEACAEVYTCSSAPCSCCYGGRKNDDGLTRDSCSAVYGRYLIMDDQTEVLDECGADAFLFTRDGDAMLKSEQPIDSKGGDIELLDMSTVEKELQMLSPYLAEADALEKAELEHDFSGNGELDINIVTNEKTADDKELLKNSYSIHSLPEIGDPLDVYEMEDFGRTDTSVQNSTANKITEDARTDIDLALSRFHEEYEVFELRIFHRKNRTGFEENKEFPIVMNSVVGGRYRITEYLGSAAFSKVVRAQDLWTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPDDEHHILRLYDFFYYQEHLFIVTELLRANLYEFQKYNQESGDEVYFSLRRIQAIARQCLEALVYLHHLNIVHCDLKPENILMKSYSRCEIKVIDLGSSCFLTDNLSLYVQSRSYRAPEVILGLPYDQKIDIWSLGCILAELYTGEVLFPNESVQIILARMIGTIGPIDMEMLALGQDTQKYFTQDYDLFHKNEETDQLEYLIPEKISLRRRLQCPDTKFVKFLSYLLQINPRKRPTASEALQHPWLSYAYHGYALHVKAMQSCFNSLGTKSSRKT >ORUFI05G20900.1 pep chromosome:OR_W1943:5:20036367:20040937:-1 gene:ORUFI05G20900 transcript:ORUFI05G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKKPHQARNGGGGGGGGGGGGGEKKRLSVLGEEGSEVSGGIEEKYALDRELGRGEFGVTYLCMDRCSRELLACKSISKRKLRTPVDVEDVRREVAIMRHLPRSASIVSLREACEDDGAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAALLFCKFLPSKIAETEQGVAQAILRGNIDFKREPWPNVSDNAKDLVRQMLQPDPKLRLTAKQVLEHTWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKDMFKVMDTDNDGIVSYEELKSGIAKFGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANGEHLRRAFLFFDKDGNGYIEPEELQEALVEDGATDIMEVVKDILQEVDTDKDGKISYEEFVAMMKTGTDWRKASRHYSRGRFNSLSIRLIKDGSVKLGNE >ORUFI05G20910.1 pep chromosome:OR_W1943:5:20049141:20053129:1 gene:ORUFI05G20910 transcript:ORUFI05G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLLLVGLPALLFLSDLSHIFAPPPPHLRHPHHHPPHHHPHPPHHHPHHPHPPHHPHPPHHPPHHPHPPHHPHPPHHPHPPHHHPHPHPDPAAEAIQANVDGAGYGTTVELQFCASCSYKGTAMTMKRMLETSFPGIHVILHNYPPPFPKRVLGKLVPILQVGAIATIMAGDHIFPRLGMVPPPWYYSLRANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGDLVFSKLAEQRFPSEFELRDLINSRLPDSLVGKNVGKSLGHICLKSKAQSRVATGAVVLCQAGKSPMLIGSALPFGGKLMASCVINVL >ORUFI05G20910.2 pep chromosome:OR_W1943:5:20049141:20052747:1 gene:ORUFI05G20910 transcript:ORUFI05G20910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLLLVGLPALLFLSDLSHIFAPPPPHLRHPHHHPPHHHPHPPHHHPHHPHPPHHPHPPHHPPHHPHPPHHPHPPHHPHPPHHHPHPHPDPAAEAIQANVDGAGYGTTVELQFCASCSYKGTAMTMKRMLETSFPGIHVILHNYPPPFPKRVLGKLVPILQVGAIATIMAGDHIFPRLGMVPPPWYYSLRANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGDLVFSKLAEQRFPSEFELRDLINSRLPDSLVGKNVGKSLS >ORUFI05G20920.1 pep chromosome:OR_W1943:5:20053934:20057338:1 gene:ORUFI05G20920 transcript:ORUFI05G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLRRLAGAAAGRHLRRALSTAASRPPWGMILTEAELDRSGAPSRSARASLDLVEPPRSSRLSVPAHFVNPGPLPDAEGDAVGFVGWVIGGSGDGLLLLKFYDTRYHAPVVANVRLAVALQLSRTTSEGVLDPDVAHFVCNPLSGQMYRLPPAIETTKYSTGRGLLTRSESRHGPPDRYVVAELTRGESGSSVLRRFMSETEEWDDVATVRSSSGSERAAAAERMMHLDHQVVAFGGRLWWVDVSWGALSVDPFSDRPEERFVELPKGSVLPDLTGIGGRRILGLYRRMGVSEGKLRYVEVSNAKKPFVVSAFSLDDEGSSWRLEHRMEITPSWKGELKVPEKPRIGAIDPLNANVVYLIFLHEVLAVDMAKGEVIGRSSPDDVNSSSVVPCILPPWLESCQIPSAATLSSKKTDVERNTLADTLVRVDRGS >ORUFI05G20930.1 pep chromosome:OR_W1943:5:20060364:20069293:1 gene:ORUFI05G20930 transcript:ORUFI05G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLALGKGRYFALGRVFSGKVTSGMNVQFLSPSYDQLIKKNSTLTSVKVMPMRAPAVAVRVDCKAYPDLPKFLEALKKLANTSVKTRLNDIPLSELEGCGRYPPFQEMKDMLWRTGGCRTEHVAGRATERPLTASSYWYAG >ORUFI05G20940.1 pep chromosome:OR_W1943:5:20072203:20077063:-1 gene:ORUFI05G20940 transcript:ORUFI05G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDSRRLADLDVDELDRLLPLIKVTPFAAHRTSAPRGCGCPCAAPRRMEEAQASSERTPNPPPQSLSRLWTIPRKTPRKLYAVTPFAAHRTSAPGGCGCPCAATRRMEEGQASSERTPNPPTVAQSSLDNSKKDSEKALRKRSIKMAMKIINYSEDCMRKIVELNATLPLDQHFFPACIWPRDLEDLRFSKIGFYVDYRLNDTSPTTWGCKRFAHEDKYVASTMLRINGLVFTGDFPHGRSMHVYGFVAVRDDKEPLRNYIFNVSREKACQLNLDSPSLEISPPMRGISVWDSALIEFHLKVKGSDSDSSSDDDILINACMEFDYETIEHDKKLISRIDGPFGPLDMRYIFLKNGIEATIDIDLGSTSEA >ORUFI05G20950.1 pep chromosome:OR_W1943:5:20077568:20088031:1 gene:ORUFI05G20950 transcript:ORUFI05G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRLTGAVSGGLARYLSTRAASPARPPWALLQLSKMDRSGASSQPGASLHADYPPCVSYLTFAASFVDPRLRHDAESEMFGTVSTDVRATSGDGLVLVRFYDSRNHLPTVGSRGGEPMRQWALDGVDRDPEVTRFVCNPLSGEMYRLPDLNGTKKTSRYLHFGLLTQSDAGQGPPARYAVAELDGNREEDGQGWLVRRFLSDSGEWDKLVGMPSPLPARRTVDIDQEVVAFGDRLWWVDASWGAVTIDPFSDRPELRFVELPKESVLPDLDDVVMLRELGKYRRMGVSDGKLRYVEVSLGKQFLIRSFSLADDDEGGDSWTLEHEVAFGPIWKDEHHASVPLGGMPRIGAIDPLNANIVHLIVGDQMLSIDMIKERAIDSSRLGCADFPLLPCVLPPWLESSQIPEGIHWSKKAKMKSNTPSYSDMSLRRRLLGLSGEVSGRLRRSLSTGPSRPQWAMVNTVTPLPKPNVPLETRATFRLAEPPLASELVVPYGALWRRPSGPAAGLEGEIRRYGPRYTANSDGLLLLRVVDEVAKLTGQAATNMFDNRGDPSWFVQSGIRYDFDKVVTRVVCNPLSGEVLRLPDGGLARQAYAGFLTQSDSWGGPPDRFAVVEFIGKDLPIHRFLSETGRWDALPDYLFGCGLTRPRKVIVDHPVVAAGGRLWWLDMTWGAVHVDPFSHDPDFRFLELPIGSVLLNPEATNPEWRHKLRLGDYRRMGVSEGRLRYTELSWDEPFVLSSFALDGDTVEGSGWKWTLEHRVELSQIWGDGGYPWLPFRGTKPCVGFLDPLNAHVAYPTVGDHVIGVDMERGVVIGSSLVEDPSELMPCVLPSWLGSCQIPPSGKDNAKNKTLGDILVRSGSDKKRRTTRFLQETNGTSLRAPSPHEPGVTIAKASSQFLLLSSLSATPPPPPPTRRAAAMQLRRLLGLSGEVSGRLRRSLSTAASRPAPAWAMMDDAVRLDERVPPERRPTLRLAEPPRVSRLTVPLHCLLCPATPGTEGNVACRINICTASSGGLLLLRTVFDLAQVPDHVKFPIPISKDDTTWPPLPGLKSHTEVSRVVCNPLTAELLRLPEDPDTVGESKSWHNLLHGFLTQADRADGPPDRFAVTEVRGADCVMHRFLSEKGRWEATMSLSSLSFTRQILIDQPVVSFGGRMWWIDLAWGAVSVDPFPDQPDVRYVLLPSGSVLPADAASIEMRRGKVGLRRWRRIGVSEGRLRYVEVSGAKPFVLSSFVLDDDGGGRWTLAHRVALGPLSPAGPLQIGAIDPLNASVVYLVVGGDDGKLLGTRVGLMMGWFSRKHWPKPALMERQQNNDNNNAREGIEQIRHQRLRPQRRRRRRRLDY >ORUFI05G20960.1 pep chromosome:OR_W1943:5:20088096:20110462:1 gene:ORUFI05G20960 transcript:ORUFI05G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGRQPCKMEQELGWEGFHPSSAHQLFDGMPSQPGLSKEDQRISKPVPINSTMNKEEKWLDETLDRILEKFEQMEAKRRQEDKLNQIFQKLEEIEACRSKASEETIAXGQAQSNFSEAGGDRGLSKASEETIAAIRATTAILKAASSPTPMAPPPPVPTNCLMECHNNNITLVAVNSSHIGEVLTPMVAFELGDVEDKDPVPYIVNKDSRDKDDSLLNLDTSNVIPVIAASSTSLLVHAETDSMMFAKCLKLGLNVNTSTVQTGVVFPLFLDELDIITAPGESMLVMVQRLGSVFFLKMLAHDGCSMKCARDDKLLMEPSNKNPWPPPWLGGVVRVCELRHAPWTELNSCWATGHLMPPWPPPIRPWPPSQQASFRFVPFQARNSECGNSVTALSTIAWNKWKKIRSTACDSCFEQEMKERGLLTQARHRRRHGKAHGDCEFSARRAHRVYAFRAPSVACIKPDTSTTRRQDAVAAMMQLRHLLGLSGEVSGRLRRSHSTAASRPAWAMMADMGLVHTEQEPPEPITTATFCLASPPRVSQLVVPIRFIVSLAVPVDDGSKGEVISRGTICAANSGGLFLVRTALELVQVPAHGGNPVFIPRPKDDTWPPLPGLKSDTKVVRVVCNPLTGGELLPLPEEDPDTGDMGGTWRFVKPGFLTQADRGDGPPDRYAVAEIRGEDSIMHRFLSETGRWDATPGFSSAIPAARPAITADHPVVSFGGRMWWIDLAWGAVSVDPFAAEPDFRFVELPSGSVLPAADAISVERRRLQEAPLSRYRRVGVSEGRLRYVEVSEASPFVLSCFTLDDEGGSGWTLEHRVALGRLWSEPLQETPRIGALDPLKASVVYLMIGEDGRHVVGVDLEKGVMIGSCLLEHPTGLTPCVLPPWLETSRIPSTGTLSSKKTNAESKSLGDRLLRRLLALSGEVSGRLRRRSHSTFASPSRPAWAMVVSEPQEEAPELRATFRLAEPPRASQLLVPYDAIRPRGSQGPLCAAAEEATSEDGLLLLRAIVAHGACACAHATAPNLPASSRPPGQRSVARVVCNPLTGQLLRLPDIDGASSEGSPGLMGYAGLLTQADGGDGPPDRYAVAEVSCDDFVMHRFLSETGRWDAMPGFLSPLPAARPIVVDQPVVAFGGRLWWIDLAWGAVSVDPFADEPDFRFVELPRGRVLPSSNEMSFERRRRKKVLSTHRRVGVSEGTLRYVEVSGVEQFVVRSYVLDDDGSSWTMEQSTALRAQGAPFPGMPGIACIDPVNADVVYIMVESGLVLGVDMERGMGFGLSVLDEPAWPTPCVLPPWLESTRIPSSTETLLSKKTNVERKSLADMLLRRLLGLSGEVSGRLRRSHSTVASPSRPAWAMIDIARQDPPELHATSRIAEPPCASQLFFPLGVLSPRGRHGPGAHAKQVPPFAGFIDRATSDGFLLLRGVHENDVARVVCNPLTGQLFRLPDIGGARSEASPGGAAGLLTQADRGDGHGPPDRYAVIEVGVNGHVMHRFLSETGRWDAMPSFFSSLPFGRSTIIDHPPVAFGGRMWWIDLGWGAVSFDPFADEPDFRIVELPAGSVLPAEASGFATRRKLRLSRYRRVGVSDGRLRYVEVSASAGAEPFELNSFVLDEASNRWTLVSSGEPLADGRQLCPDGSHIFAEAPFICCIDPLKGNVVYLMAGPGNQVVIGVDMETGLVTGASLLDQLNWLTPCLLPPWLGSCQIPSSGKNNVKNEALAEILVRSDRANTSAEPSRPPLSLSPLSKMLCLRGLSSAAAARLRRALSTAASRPPWALIHRISTADGSTGAGVSLALAPPPRASRVTIPAKAIALNDRPISADESRVTLRGRGVLAASSDGLLLVYTFKACFREPAHPVPELPLDVTIPELARTTAKTTYEHFASFVCNPLTGELFRLPDFDGTENTVDVHHTGILTQRDGGGEDGPPKRYAAAQLSNVDEGRRFLLRRYSSETREWSKLVMPSPLPPGRAMGMNHEVVAFGGRLWWVDVSWGAVAVDPFSHRPEPRSIKLPAGSFLSEKPYSREMERIVKHRRMGVSDGKLRYVEVSDQEPFVVKSFTLDDESASGHWTLDHQVALSTLGAKWGFPKGIPYIGAIDPFNADVLYFAIERVSVSVDMRLKKVIECSQLCSDVFPTVSSSGVLLPCVLPPWLDSFPIPNAGKNNMKNETLADILAFKIFQVFKIKTGILMDKEADDGSCQTLVFWGKIWRQGEVCF >ORUFI05G20960.2 pep chromosome:OR_W1943:5:20088096:20110462:1 gene:ORUFI05G20960 transcript:ORUFI05G20960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGRQPCKMEQELGWEGFHPSSAHQLFDGMPSQPGLSKEDQRISKPVPINSTMNKEEKWLDETLDRILEKFEQMEAKRRQEDKLNQIFQKLEEIEACRSKASEETIAXGQAQSNFSEAGGDRGLSKASEETIAAIRATTAILKAASSPTPMAPPPPVPTNCLMECHNNNITLVAVNSSHIGEVLTPMVAFELGDVEDKDPVPYIVNKDSRDKDDSLLNLDTSNVIPVIAASSTSLLVHAETDSMMFAKCLKLGLNVNTSTVQTGVVFPLFLDELDIITAPGESMLVMVQRLGSVFFLKMLAHDGCSMKCARDDKLLMEPSNKNPWPPPWLGGVVRVCELRHAPWTELNSCWATGHLMPPWPPPIRPWPPSQQASFRFVPFQARNSECGNSVTALSTIAWNKWKKIRSTACDSCFEQEMKERGLLTQARHRRRHGKAHGDCEFSARRAHRVYAFRAPSVACIKPDTSTTRRQDAVAAMMQLRHLLGLSGEVSGRLRRSHSTAASRPAWAMMADMGLVHTEQEPPEPITTATFCLASPPRVSQLVVPIRFIVSLAVPVDDGSKGEVISRGTICAANSGGLFLVRTALELVQVPAHGGNPVFIPRPKDDTWPPLPGLKSDTKVVRVVCNPLTGGELLPLPEEDPDTGDMGGTWRFVKPGFLTQADRGDGPPDRYAVAEIRGEDSIMHRFLSETGRWDATPGFSSAIPAARPAITADHPVVSFGGRMWWIDLAWGAVSVDPFAAEPDFRFVELPSGSVLPAADAISVERRRLQEAPLSRYRRVGVSEGRLRYVEVSEASPFVLSCFTLDDEGGSGWTLEHRVALGRLWSEPLQETPRIGALDPLKASVVYLMIGEDGRHVVGVDLEKGVMIGSCLLEHPTGLTPCVLPPWLETSRIPSTGTLSSKKTNAESKSLGDRLLRRLLALSGEVSGRLRRRSHSTFASPSRPAWAMVVSEPQEEAPELRATFRLAEPPRASQLLVPYDAIRPRGSQGPLCAAAEEATSEDGLLLLRAIVAHGACACAHATAPNLPASSRPPGQRSVARVVCNPLTGQLLRLPDIDGASSEGSPGLMGYAGLLTQADGGDGPPDRYAVAEVSCDDFVMHRFLSETGRWDAMPGFLSPLPAARPIVVDQPVVAFGGRLWWIDLAWGAVSVDPFADEPDFRFVELPRGRVLPSSNEMSFERRRRKKVLSTHRRVGVSEGTLRYVEVSGVEQFVVRSYVLDDDGSSWTMEQSTALRAQGAPFPGMPGIACIDPVNADVVYIMVESGLVLGVDMERGMGFGLSVLDEPAWPTPCVLPPWLESTRIPSSTETLLSKKTNVERKSLADMLLRRLLGLSGEVSGRLRRSHSTVASPSRPAWAMIDIARQDPPELHATSRIAEPPCASQLFFPLGVLSPRGRHGPGAHAKQVPPFAGFIDRATSDGFLLLRGVHENDVARVVCNPLTGQLFRLPDIGGARSEASPGGAAGLLTQADRGDGHGPPDRYAVIEVGVNGHVMHRFLSETGRWDAMPSFFSSLPFGRSTIIDHPPVAFGGRMWWIDLGWGAVSFDPFADEPDFRIVELPAGSVLPAEASGFATRRKLRLSRYRRVGVSDGRLRYVEVSASAGAEPFELNSFVLDEASNRWTLVSSGEPLADGRQLCPDGSHIFAEAPFICCIDPLKGNVVYLMAGPGNQVVIGVDMETGLVTGASLLDQLNWLTPCLLPPWLGSCQIPSSGKNNVKNEALAEILVRSDRANTSAEPSRPPLSLSPLSKMLCLRGLSSAAAARLRRALSTAASRPPWALIHRISTADGSTGAGVSLALAPPPRASRVTIPAKAIALNDRPISADESRVTLRGRGVLAASSDGLLLVYTFKACFREPAHPVPELPLDVTIPELARTTAKTTYEHFASFVCNPLTGELFRLPDFDGTENTVDVHHTGILTQRDGGGEDGPPKRYAAAQLSNVDEGRRFLLRRYSSETREWSKLVMPSPLPPGRAMGMNHEVVAFGGRLWWVDVSWGAVAVDPFSHRPEPRSIKLPAGSFLSEKPYSREMERIVKHRRMGVSDGKLRYVEVSDQEPFVVKSFTLDDESASGHWTLDHQVALSTLGAKWGFPKGIPYIGAIDPFNADVLYFAIERVSVSVDMRLKKVIECSQLCSDVFPTVSSSGVLLPCVLPPWLDSFPIPNAGKNNMKNETLADILVFKIKTGILMDKEADDGSCQTLVFWGKIWRQGEVCF >ORUFI05G20970.1 pep chromosome:OR_W1943:5:20110741:20112396:-1 gene:ORUFI05G20970 transcript:ORUFI05G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLASVATIFLAAILLSSPCSAAASPAPASASAAAGHGVPAVFAFGDSTLDPGNNNRLATLVRADHAPYGRDFPGGAATGRFTDGKLITDYIVSSLGIKDLLPAYHSSGLAVADASTGVSFASGGSGLDDLTANNALVSTFGSQLNDFQELLGHIGSPKSGEIAGKSLYVISAGTNDVTMYYLLPFRATNFPTVDQYGDYLIGLLQSNLKSLYKMGARKMMVAGLPPLGCLPVQKSLRGAGSGGCVTEQNEAAERYNAALQKALSKLEADSPGAKIAYVDIYTPLKDMAENPKKYGFTQASLGCCGTGMMEMGALCTSALPQCQSPSQYMFFDSVHPTQATYKALADEIVKSHVPQLMQ >ORUFI05G20980.1 pep chromosome:OR_W1943:5:20114826:20123621:1 gene:ORUFI05G20980 transcript:ORUFI05G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTLPPPHLRLDLCSPRLPPLRSPGCRRRRRRGRVPSALSSPSPSPSSASRSQSVSTAPLERGVGRGPATSREQPRGGGDPALAAELARLSALRARLRGARSLADKLRALDAETRVVEFFGEGSNGGVLGALEPREVFLLKCLVAAGQEHVLGAELDWDGRGHEHLHHHYNGGSDGRSALRQALSSLAGLVSKWSSEGVVEGEAESGESELLRRLLKFLGDIDVFYDCIGGIIGYQIMALELLSASKDHKHRPSKHKSIDFHVPSGLNLLEDTEYASQAALWGIEGLPELGEIYPIGGAGDRLGLVDSDTGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGKQCITAVAIMTSSVKDNHEHITAICERLEWFGRGRENFRLFEQPLVPVVNAKDGKWLTSGALFPVGKPGGHGAIWKLACDRGIFQWLYQNGRKGATVRQVSNVVAATDLTLMALAGIGLRHDKKLGFASCERRPGATEGVNVLIEKENQDGQWAYGITCIEYTEFEKYGIPEPTVTNGSLQANYPANTNILYVDLQAAEEVGSRKNASCLPGMVLNLKKAVSYLDHLGFECSAAGGRLECTMQNIADNFMNTYNYRCSKGIESELDTFIVYNERKKVTSSAKRKLKSEDKSLHQTPEGSLLDIMRNAYDLLSSCNVKVPKVKDNCEYLRSGPPFLIFLHPALGPFWDITRQKFVGGSVSQGSELQIEVAEFLWQDVELDGSLIVLADNIMGSTNKNNTGEQIMHYGARCGRCKLQSVKIVNKGINWSSANNVYWKHDVERSESVKIILHGNAEFEAKDVVLKGNHIFEVPSGHRMRIVQDGPEFVAKLDPISKEMMDSGTWYWKYAVDGAHVKLEMVEL >ORUFI05G20980.2 pep chromosome:OR_W1943:5:20114826:20123621:1 gene:ORUFI05G20980 transcript:ORUFI05G20980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTLPPPHLRLDLCSPRLPPLRSPGCRRRRRRGRVPSALSSPSPSPSSASRSQSVSTAPLERGVGRGPATSREQPRGGGDPALAAELARLSALRARLRGARSLADKLRALDAETRVVEFFGEGSNGGVLGALEPREVFLLKCLVAAGQEHVLGAELDWDGRGHEHLHHHYNGGSDGRSALRQALSSLAGLVSKWSSEGVVEGEAESGESELLRRLLKFLGDIDVFYDCIGGIIGYQIMALELLSASKDHKHRPSKHKSIDFHVPSGLNLLEDTEYASQAALWGIEGLPELGEIYPIGGAGDRLGLVDSDTGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGKQCITAVAIMTSSVKDNHEHITAICERLEWFGRGRENFRLFEQPLVPVVNAKDGKWLTSGALFPVGKPGGHGAIWKLACDRGIFQWLYQNGRKGATVRQVSNVVAATDLTLMALAGIGLRHDKKLGFASCERRPGATEGVNVLIEKENQDGQWAYGITCIEYTEFEKYGIPEPTVTNGSLQANYPANTNILYVDLQAAEEVGSRKNASCLPGMVLNLKKAVSYLDHLGFELLLEAGELDTFIVYNERKKVTSSAKRKLKSEDKSLHQTPEGSLLDIMRNAYDLLSSCNVKVPKVKDNCEYLRSGPPFLIFLHPALGPFWDITRQKFVGGSVSQGSELQIEVAEFLWQDVELDGSLIVLADNIMGSTNKNNTGEQIMHYGARCGRCKLQSVKIVNKGINWSSANNVYWKHDVERSESVKIILHGNAEFEAKDVVLKGNHIFEVPSGHRMRIVQDGPEFVAKLDPISKEMMDSGTWYWKYAVDGAHVKLEMVEL >ORUFI05G20990.1 pep chromosome:OR_W1943:5:20126762:20132557:1 gene:ORUFI05G20990 transcript:ORUFI05G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAYSASLPAVPDWLNKGDNAWQLTASTLVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASSLLVWVLVGFRMAFGDQLLPFWGKAGVALTQSYLVGRATLPATAHGAIPRTEPFYPEATLVLFQFEFAAITLVLLAGSVLGRMNIKAWMAFTPLWLLLSYTVGAFSLWGGGFLYRWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWMGWAGFNGGAPYAANIAASVAVLNTNVCAATSLLMWTCLDVIFFRKPSVIGAVQGMMTGLVCITPGAGLVQTWAAVVMGIFAGSVPWFTMMILHKKSALLMKVDDTLAVFHTHAVAGLLGGILTGLLATPELFSLESTVPGLRGAFYGGGIKQIGKQLGGAAFVIAWNLVVTTAILLGIGLFIPLRMPDEQLMIGDDAAHGEEAYALWGDGEKFDATRHDLSRGGGGGDRDGPAGERLSVLGARGVTIQL >ORUFI05G21000.1 pep chromosome:OR_W1943:5:20131863:20132461:-1 gene:ORUFI05G21000 transcript:ORUFI05G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESLRLVSHPIAAHEGRLPRHYTLEGQGAKKDISPPVEWYGVPDGTRSLALVVQDVDAPDPDGPIVPWTHWVVANIPPSVKGLPEGFSGKEGAAAREYGGLQEGVNDWKQPGWRGPIPPSRGHRIQFKLYALDDEVTKDKLMDAIEGHVLGEAELMAVF >ORUFI05G21010.1 pep chromosome:OR_W1943:5:20139085:20139678:1 gene:ORUFI05G21010 transcript:ORUFI05G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQESAAGTTNQVMRWRYGDVDDSNFAVHGRAVYLLVGLLVAVVVFVALCLYLRWACHRYTPDPEASSSSSSAGAAGAAAAAPMHGLDAEAIGGLPVTLYRPRDSSSPPAGKGGGVGGGGVDDDQAAQCSICISALVAGEKVKALPPCGHCFHPDCVDAWLRSQPSCPLCRSLLLAAAATAAKPDVNGGDDDDSAV >ORUFI05G21020.1 pep chromosome:OR_W1943:5:20145707:20146117:1 gene:ORUFI05G21020 transcript:ORUFI05G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYRRQFEPQKYSVPRGLFTCAVLCSLSLGSVRLTLPAAIALPSLETLLLADVTDHERNIQRLISDCPRLADLTLEACYAMAPLSVAGLALRCCHGLDTVVLDDMSLPSEYKPSSYKPRLSGNSYKPCGRRSGRG >ORUFI05G21030.1 pep chromosome:OR_W1943:5:20149128:20165126:1 gene:ORUFI05G21030 transcript:ORUFI05G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGPKIRVAKAKKAFAGIAESSGYPFAVMPSAKGLVPEHHPRFIGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMTEFLDALAKRLDRNTTASSGSPRAAASHKQHKSKTSQAFAPSQTAPHCHLRLRAMELALVGNPSNGVAKPSCNSVGSLPVVSSNAVIHSPVTSAAGATLGRHLARRLVQIGATDVFAVPGDFNLTLLDYLIAEPGLKLIGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCYQAVINNLDDAHEQIDTAIATALRESKPVYISVGCNLAGLSHPTFSREPVPLFISPRLSNKANLEYAVEAAADFLNKAVKPVMVGGPKIRVAXRLDRNTTAYDNYRRIFIPDREPPNGQPDEALRVNILFKHIKELLSGDTAVIAETGDSWFNCQKLRLPEGCGLSNKANLEHAVEAAADFLNKAVKPVMVGGPKIRVAXRLDRNTTAYDNYRRIFIPDREPPNGQPDEPLRVNILFKHIKELLSGDTAVIAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVISCIGDGSFQMTAQDVSTMLRCRQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLIDAIHNSDGNCWTKKVRTEEELIEAIATATDAKKDCLCFIEIIVHKDDTSKELLEWGSRVSAANSRPPNPQNAQGSSG >ORUFI05G21030.2 pep chromosome:OR_W1943:5:20149128:20165126:1 gene:ORUFI05G21030 transcript:ORUFI05G21030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGPKIRVAKAKKAFAGIAESSGYPFAVMPSAKGLVPEHHPRFIGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMTEFLDALAKRLDRNTTASSGSPRAAASHKQHKSKTSQAFAPSQTAPHCHLRLRAMELALVGNPSNGVAKPSCNSVGSLPVVSSNAVIHSPVTSAAGATLGRHLARRLVQIGATDVFAVPGDFNLTLLDYLIAEPGLKLIGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCYQAVINNLDDAHEQIDTAIATALRESKPVYISVGCNLAGLSHPTFSREPVPLFISPRLSNKANLEYAVEAAADFLNKAVKPVMVGGPKIRVAXRLDRNTTAYDNYRRIFIPDREPPNGQPDEALRVNILFKHIKELLSGDTAVIAETGDSWFNCQKLRLPEGCGLSNKANLEHAVEAAADFLNKAVKPVMVGGPKIRVAXRLDRNTTAYDNYRRIFIPDREPPNGQPDEPLRVNILFKHIKELLSGDTAVIAETGDSWFNCQKLRLPEGCGATHTDRLHAPDNLPRFHLSFPKFNNERLVIPNRYEFQMQYGSIGWSVGATLGYAQAAKDKRVISCIGDGSFQMTAQDVSTMLRCRQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLIDAIHNSDGNCWTKKVRTEEELIEAIATATDAKKDCLCFIEIIVHKDDTSKELLEWGSRVSAANSRPPNPQNAQGSSG >ORUFI05G21030.3 pep chromosome:OR_W1943:5:20149128:20165126:1 gene:ORUFI05G21030 transcript:ORUFI05G21030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGPKIRVAKAKKAFAGIAESSGYPFAVMPSAKGLVPEHHPRFIGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMTEFLDALAKRLDRNTTASSGSPRAAASHKQHKSKTSQAFAPSQTAPHCHLRLRAMELALVGNPSNGVAKPSCNSVGSLPVVSSNAVIHSPVTSAAGATLGRHLARRLVQIGATDVFAVPGDFNLTLLDYLIAEPGLKLIGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCYQAVINNLDDAHEQIDTAIATALRESKPVYISVGCNLAGLSHPTFSREPVPLFISPRLSNKANLEYAVEAAADFLNKAVKPVMVGGPKIRVAXRLDRNTTAYDNYRRIFIPDREPPNGQPDEALRVNILFKHIKELLSGDTAVIAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVISCIGDGSFQMTAQDVSTMLRCRQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLIDAIHNSDGNCWTKKVRTEEELIEAIATATDAKKDCLCFIEIIVHKDDTSKELLEWGSRVSAANSRPPNPQNAQGSSG >ORUFI05G21030.4 pep chromosome:OR_W1943:5:20149128:20165126:1 gene:ORUFI05G21030 transcript:ORUFI05G21030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGPKIRVAKAKKAFAGIAESSGYPFAVMPSAKGLVPEHHPRFIGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMTEFLDALAKRLDRNTTASSGSPRAAASHKQHKSKTSQAFAPSQTAPHCHLRLRAMELALVGNPSNGVAKPSCNSVGSLPVVSSNAVIHSPVTSAAGATLGRHLARRLVQIGATDVFAVPGDFNLTLLDYLIAEPGLKLIGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCYQAVINNLDDAHEQIDTAIATALRESKPVYISVGCNLAGLSHPTFSREPVPLFISPRLSNKANLEYAVEAAADFLNKAVKPVMVGGPKIRVAXRLDRNTTAYDNYRRIFIPDREPPNGQPDEALRVNILFKHIKELLSGDTAVIAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVISCIGDGSFQMTAQDVSTMLRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLIDAIHNSDGNCWTKKVRTEEELIEAIATATDAKKDCLCFIEIIVHKDDTSKELLEWGSRVSAANSRPPNPQNAQGSSG >ORUFI05G21030.5 pep chromosome:OR_W1943:5:20158295:20165126:1 gene:ORUFI05G21030 transcript:ORUFI05G21030.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSERRCLLSSLALVGDPSNGVAKPSCDSVGSLPAVSSKAVIHPPVTSAAGATLGRHLARRLVQIGATDVFAVPGDFNLTLLDYLIAEPGLTLIGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCYQAVINNLDDAHEQIDTAIATALRESKPVYISVGCNLAGLSHPTFSREPVPLSNKANLEHAVEAAADFLNKAVKPVMVGGPKIRVAXRLDRNTTAYDNYRRIFIPDREPPNGQPDEPLRVNILFKHIKELLSGDTAVIAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVISCIGDGSFQMTAQDVSTMLRCRQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLIDAIHNSDGNCWTKKVRTEEELIEAIATATDAKKDCLCFIEIIVHKDDTSKELLEWGSRVSAANSRPPNPQNAQGSSG >ORUFI05G21030.6 pep chromosome:OR_W1943:5:20149128:20158524:1 gene:ORUFI05G21030 transcript:ORUFI05G21030.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGPKIRVAKAKKAFAGIAESSGYPFAVMPSAKGLVPEHHPRFIGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMTEFLDALAKRLDRNTTASSGSPRAAASHKQHKSKTSQAFAPSQTAPHCHLRLRAMELALVGNPSNGVAKPSCNSVGSLPVVSSNAVIHSPVTSAAGATLGRHLARRLVQIGATDVFAVPGDFNLTLLDYLIAEPGLKLIGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCYQAVINNLDDAHEQIDTAIATALRESKPVYISVGCNLAGLSHPTFSREPVPLFISPRLSNKANLEYAVEAAADFLNKAVKPVMVGGPKIRVAXRLDRNTTAYDNYRRIFIPDREPPNGQPDEALRVNILFKHIKELLSGDTAVIAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVISCIGDGSFQMTAQDVSTMLRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLIDAIHNSDGNCWTKKVRTEEELIEAIATATGAKKDCLCFIEIIVHKDDTSKELLEWGSRVSAANSRPPNPQ >ORUFI05G21030.7 pep chromosome:OR_W1943:5:20149128:20158524:1 gene:ORUFI05G21030 transcript:ORUFI05G21030.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGPKIRVAKAKKAFAGIAESSGYPFAVMPSAKGLVPEHHPRFIGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMTEFLDALAKRLDRNTTAYEFQMQYGSIGWSVGATLGYAQAAKDKRVISCIGDGSFQMTAQDVSTMLRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLIDAIHNSDGNCWTKKVRTEEELIEAIATATGAKKDCLCFIEIIVHKDDTSKELLEWGSRVSAANSRPPNPQ >ORUFI05G21040.1 pep chromosome:OR_W1943:5:20174130:20176487:-1 gene:ORUFI05G21040 transcript:ORUFI05G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGRRNPLLSARRAAASLRRSRRLPVYVAAVFFVASVLLMFRDEILYLTTARSPSSSLPTTGGSAGGAGLARREELVSVNKPVLLGHGGKPEKHHSVTERHRPKVSAKRRPNKKAAKAARKKFMASPAVAAGAEVNVPETCNLSKGKWVFDNATYPLYREQECEYLTAQVTCTRNGRRDDGYQKWRWQPRDCDLPLAFDARLFMERLRGKRLMFVGDSLNRNQWESMVCLVRPALSPGKSYVTWWDGQRVVLHAWEYNATVEFYWAPFLVESNSDDPKAHSIRDRVIKPEAIAAHAGDWVGVDYLVFNTYIWWMNTVNMKVVRPTGKTWEEYDEVGRIEAYRRVLDTWATWVNDNVDPARTSVFFMSVSPLHISPEAWGNPGGVRCAKEDAPVQNWHGPLWLGTDWDMFRAARNASRAAGRVPVTFVDVTAMSELRKDGHTSVHTIRQGRVLTPEQQADPATYADCIHWCLPGVPDVWNLMLYARILSRPPAAAAAAAGHVA >ORUFI05G21050.1 pep chromosome:OR_W1943:5:20182190:20188599:1 gene:ORUFI05G21050 transcript:ORUFI05G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQEDQDDGGKGAPASIEEQQYMDFPPSSLHSYVPEQPEISLDAESMKMLTSLPLYGEPLCTNESIIAHVKSVKGILESLLRRNDWLKVDEYLTKIVSENYLNSYSAISGVPMSEINQAHPELALLARRQHVYDLLVEGKYDEASDYYNANVAILEKCRSKRVRTASSDLKVLISNRTAAVNNDVDTGMAIKDYIYLYYPIFRPDIRKRNFGRKPHELWEFAWRKSTVSGFRCFACHKVFKHKKLTMIESHLKGDRTDDITACPAVTENVLSRLQLALDTGAAGRLSRKIERGRMSRDTQSTGDEHPSPDGEEDGRGDGCTDSAGPSNAVPAC >ORUFI05G21060.1 pep chromosome:OR_W1943:5:20189005:20189879:1 gene:ORUFI05G21060 transcript:ORUFI05G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIKNRADFMDVELLTMKRRSICFLSPPLKSSTSDFTLNTPKGVYINLPKETIHGMRITGMYTNFTSRCIPAPIVHFNSEFRMQIAYYRCNSCWSNLYIHWVIIIASYSFLVT >ORUFI05G21070.1 pep chromosome:OR_W1943:5:20194431:20196116:1 gene:ORUFI05G21070 transcript:ORUFI05G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDAARSSRRMDLNLYLGLPRAPRLRRPDLGSDLALGTPMLSSSPSSSAASADAPPLETEPLHPPYSPPPAELVRPPTPLPEPYDPSAPEAHPPYVPPPVPPPEAIPELADDLEFGFSHPPLLLRPSELLGWVDRPSSSTASSSFRPERVDRYRPPVICLNSRQSRCLRPRRFRSDLPPLGSEAPALENDAAAQPPPQEPIQDTVEENKVVADGAIVGASEEPAERGKSVAMFECNICFEMASEPVVTSCGHLFCWPCLYQWLHVHSTHKECPVCKGEVTEGNITPIYGRGNSTSDAEKKVAEEGNVSGPTIPPRPHGNRLESFRQKFHHLRPISRRLGEAHGILSSWRRILDQQIMNSVSRFEGPPESTVQEMIDHAHHASRLGRITTRMRARRLQREAENSTFVASSAAESGLPANSTSDLPRRSSSPFSSERIDLLQHFVDLASTERLASAVSDLRRMVRPSPYGASTSSNPPNPPNTELLPVDGNHVAVALAADQASNSSTMAVIQEDAAFTESTGEPSNAGSSRSLRRRGRNDALGSLDVDGVGLHRNKRRRLN >ORUFI05G21080.1 pep chromosome:OR_W1943:5:20198234:20202047:-1 gene:ORUFI05G21080 transcript:ORUFI05G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRTVAAEREKVQAVFKRLSSDPVGIRVHDVIIKGNAKTKEELIEAEVAELLRAAPTVQDLLRNASIASARLRQLDVFDSVNITLDAGPPELPGTTNVVVEVVEAANPITGSAGVYSKPEARSWSLEGSVKLKNLFGYGDIWDASGAYSWDQTSEVGIGVSLPRFKSISTPLMARASLSSQDWLKFSSYKERLLGLSFGLISTMQHDLSYNLTWRTLTDPSQVSSKSIRRQLGHNLLSALKYTYKIDQRNSHLRPTKGYAFLSTSQVGGLWDSKGLRFFRQEFDVRGAVPLGFYNSALNVGLGVGAILPLGRGFMNLSSSVPDRFYLGGHSSPVCSLSGLSSLLGFRTRGIGPTEPRRLVPSESEDGSAASPGRDYLGGDLAVSAFADLSFDLPLKIFRDAGIHGHAFLTAGNLAKLSEGEYKKFSLSEFGRTFRSSAGVGIILPTKLFRVEVNYCYILKQAEHDSGRTGIQFSFSSPL >ORUFI05G21090.1 pep chromosome:OR_W1943:5:20203646:20205028:1 gene:ORUFI05G21090 transcript:ORUFI05G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTARVGGCGYGYEEEGGTRRQQRRRRKVSDGHVVAQLLDSPLPTPRRSCCGSSSAAGTPRSAARCGGGGSPGAPPSSPPLSPQRTHVPFSWESSPGVPKDAACGRKVVREVLPPRPPPGRGGGGGGSPAHAHARAYFGNATETTSSDDDDSDDTFSDALDRISASDRFAAFSSRLSSIDGAGSLRLPSFIMDRFLPAANAIATTSADKRPKKTPRRGARSSKQDEEATASARRRAQSLRRASGREQPKQPPPRHHVSTLQRKESEPPPPPRQSRDIDEETQSDEMSPRSCGFMLFLPWSVKPVLCGFARSRTSRAADASTTASSPPRRSVTLGNALEKEKEKEKDKSKLRGGGGDPSRWSDEKSGSGREWSSPGWGTAILGTSKRYCADARKALSRLARSATDGRGSPRVTGERRAGKPAAAASPRRSTSGEIPPLSPPSESWLSHARGSSTLSNKR >ORUFI05G21100.1 pep chromosome:OR_W1943:5:20205484:20209088:-1 gene:ORUFI05G21100 transcript:ORUFI05G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRRNPVIFLFLWFVMAFELCASLNHEGVALMRFKEMIDADPFDALLDWDEGNASPCSWFGVECSDDGRVVALNLPNLGLKGMLPQEIGTLAHMRSIILHNNSFYGIIPTEMKYLHELKVLDLGYNTFSGPFPSELRNILSLKFLFLEGNKLSGSLPIEYELASMDQTSLNKISTERNEENATRRKLLASKQKRSQKNRMLLSGTSESPLENVTMPKSHPDNITVPHRPVAPRSSSPQPPLPSEPIPSPAPSMPSPAPSVSTTTNGTSGQENKSKTAIYASIGGVACLVVVAMSAALILCYRHRKTSTVVPFSPTASGQLHTATLGGITKFKRSELETACEGFSNIIDTLPRFTLYKGTLPCGAEIAAVSTLVTYASGWTTVAEAQFKDKVEVLSKVSHKNLMNLVGYCEDEEPFTRMMVFEYVSNGTLFEHLHVKEADQLDWQSRLRIAMGVMYCLNYMQQLNPPVLLRDLSTSCIYLTEDNAAKVSDISFWGDKKEDEKSEASDEHITVYKFALLLLETISGRRPYSDDYGLLILWAHRYLIGDKPLMDMVDPTLKSVPEEQVRELTKLVKLCLSEDPMERPTVAEVTAWMQEITGISEEEAIPKNSPLWWAELEIITS >ORUFI05G21110.1 pep chromosome:OR_W1943:5:20223828:20224061:1 gene:ORUFI05G21110 transcript:ORUFI05G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCLVACPPSGRRVISSKTRARVAVPCAVEVVPSLGILLPLPLGPEEAAASATVPLEIAMYTAPAGDKPEDAAPLL >ORUFI05G21120.1 pep chromosome:OR_W1943:5:20225869:20226642:-1 gene:ORUFI05G21120 transcript:ORUFI05G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSWVRTRSSKSKPAAAAADTTSTALVAASKRLTFSSPSLKDLQSLLVPPHAALSSSSPSPPRVFHRIRVAACALRVLRNLQSAGQQQQPHAAAAIWSEPGGGEGARVVLYYTSLRVVRGTYEDCRAVRAILRGLRAAVDERDLSMDPAFLPELAALLPHRRHVALPQVFVNGRHLGGAEEVRRLHESGELRRIVAAANPTPASCGRCAGERYVLCGSCDGSHKRYSHKVGGGFRACAMCNENGLVRCPDCCLPPA >ORUFI05G21130.1 pep chromosome:OR_W1943:5:20252584:20253303:1 gene:ORUFI05G21130 transcript:ORUFI05G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGADAQAQPVAQAPPAMQPMQQLSRYESQKRRDWNTFLQYLKNHRPPLTLARCSGAHVIEFLKYLDQFGKTKVHASGCAYYGQPSPPAPCPCPLRQAWGSLDALIGRLRAAYEESGHAPESNPFAARAVRIYLREVRDAQAKARGIPYEKKKRKRTQQQQPPPPPPPPPQHQPGAAAGEASSSSSAAAAAVAAEGSGSSAAAAAATSQTGGGGGGGSTTTTTASAAAPTTATRV >ORUFI05G21140.1 pep chromosome:OR_W1943:5:20266518:20270567:-1 gene:ORUFI05G21140 transcript:ORUFI05G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTSDQRKRRPFLLSLSLFLLVSALLALAFLFLDPSAQSLSSADATEKAEETASRPDDTAAAVNADAAAGEGGGSSESPRLDADKGAAATEGVADDDGGGGGDEPAAKVRWETCRPGRGVSAADYIPCLDNMRAIKALRSRRHMEHRERHCPVAPRPRCLVRVPSGYRSPVPWPRSRDMIWYNNVPHPKLVEYKKDQNWVTKSGDYLVFPGGGTQFKTGVTRYIQFIEQIMPTIQWGTHTKTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPAFLAVIGTQKLPFPDEAFDVVHCGKPLLELNRVLRPGGYYIWSATPVYRQEKRDQDDWNVVKSEDSNGIGVVVYQKPASNSCYLERRTNEPPMCSKKDGPRFPWYAPLDTCISSSIEKSSWPLPWPERLNARYLNVPDDSSSTDEKFDVDTKYWKHAISEIYYNDFPVNWSSTRNVMDMNAGYGGFAAALVDKPLWVMNVVPVGQPDTLPVIFNRGLIGVYHDWCESFNTYPRTYDLLHMSYLLGSLTNRCDIMEVAAEIDRILRPDRWFVLRDTTEMIKKMRPVLKSLHYETVVVKQQFLVAKKGFWRPGK >ORUFI05G21140.2 pep chromosome:OR_W1943:5:20266518:20270567:-1 gene:ORUFI05G21140 transcript:ORUFI05G21140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTSDQRKRRPFLLSLSLFLLVSALLALAFLFLDPSAQSLSSADATEKAEETASRPDDTAAAVNADAAAGEGGGSSESPRLDADKGAAATEGVADDDGGGGGDEPAAKVRWETCRPGRGVSAADYIPCLDNMRAIKALRSRRHMEHRERHCPVAPRPRCLVRVPSGYRSPVPWPRSRDMIWYNNVPHPKLVEYKKDQNWVTKSGDYLVFPGGGTQFKTGVTRYIQFIEQIMPTIQWGTHTKTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPAFLAVIGTQKLPFPDEAFDVVHCGKPLLELNRVLRPGGYYIWSATPVYRQEKRDQDDWNAMVKLTKSICWRTVVKSEDSNGIGVVVYQKPASNSCYLERRTNEPPMCSKKDGPRFPWYAPLDTCISSSIEKSSWPLPWPERLNARYLNVPDDSSSTDEKFDVDTKYWKHAISEIYYNDFPVNWSSTRNVMDMNAGYGGFAAALVDKPLWVMNVVPVGQPDTLPVIFNRGLIGVYHDWCESFNTYPRTYDLLHMSYLLGSLTNRCDIMEVAAEIDRILRPDRWFVLRDTTEMIKKMRPVLKSLHYETVVVKQQFLVAKKGFWRPGK >ORUFI05G21140.3 pep chromosome:OR_W1943:5:20266518:20270567:-1 gene:ORUFI05G21140 transcript:ORUFI05G21140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTSDQRKRRPFLLSLSLFLLVSALLALAFLFLDPSAQSLSVLSSRLTAPTTTLAPPAAPGERNPTPIPAPGDTPPPAVVAGGAEAGESADATEKAEETASRPDDTAAAVNADAAAGEGGGSSESPRLDADKGAAATEGVADDDGGGGGDEPAAKVRWETCRPGRGVSAADYIPCLDNMRAIKALRSRRHMEHRERHCPVAPRPRCLVRVPSGYRSPVPWPRSRDMIWYNNVPHPKLVEYKKDQNWVTKSGDYLVFPGGGTQFKTGVTRYIQFIEQIMPTIQWGTHTKTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPAFLAVIGTQKLPFPDEAFDVVHCGKPLLELNRVLRPGGYYIWSATPVYRQEKRDQDDWNAMVKLTKSICWRTVVKSEDSNGIGVVVYQKPASNSCYLERRTNEPPMCSKKDGPRFPWYAPLDTCISSSIEKSSWPLPWPERLNARYLNVPDDSSSTDEKFDVDTKYWKHAISEIYYNDFPVNWSSTRNVMDMNAGYGGFAAALVDKPLWVMNVVPVGQPDTLPVIFNRGLIGVYHDWCESFNTYPRTYDLLHMSYLLGSLTNRCDIMEVAAEIDRILRPDRWFVLRDTTEMIKKMRPVLKSLHYETVVVKQQFLVAKKGFWRPGK >ORUFI05G21150.1 pep chromosome:OR_W1943:5:20272049:20279061:-1 gene:ORUFI05G21150 transcript:ORUFI05G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVACGKSPSQSSPIHLARAVILASGNGGSAGCLLRTRNGATGDSPRRRPPVAWPASRAPPATASAQIDGRRDAQPQSRRGAECLRAQPAADRRGRLAALPVSSPNSGSPLRRSTSDSDWQLDNTEGMSTISDQKKRTLEALQQRYAAAKAKKLQDKPRCQTRNKDSAPKPNLDASRKGKAPDFIPSRTSAPFPSKKGLAISSSSASSGGETNPVYSELSFAPHDNLLQRSISDLDSMDVVHNVVYDIIQKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLRKFDLYKPMHEMWKEYITELTKSTPKKQLSETLLSADLHGALVIVAECKSASSYQGVCGIMIRDTAETFGIISDDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRVQAQIR >ORUFI05G21160.1 pep chromosome:OR_W1943:5:20281810:20291374:1 gene:ORUFI05G21160 transcript:ORUFI05G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLKLTACLLLAVFSLAAAADCECQPSDEGHDAAKSRTLKVIAIFCILVGSSAGCAIPSLGRRFPALRPDTSLFFALKAFAAGVILATAFVHILPVSFDKLGSPCLVDGPWRKYPFTGLVAMLAAVATLLLDTIATGYFLQRAQDSRGAVAAVAACGGDASSSHDHERGHAHGVSSAVIASATMPNDAADDCDDAEDRAKLVRHRVISQVFELGIIVHSIIIGISLGASESPSTIRPLVAALTFHQFFEGIGLGGCIVQVTTNLTSPSSTDGPQAPSGMIRQPPSSRELDLVADVLGRGRESSPHWGEVDPAVPLAGVGQVTGIEGRESGHREAGLLGRDEETKGGRWERGIEPRKVQIYSMARFHLKSAVTMAIFFSLTTPVGIMIGIGISSAYNENSPTALIVEGILDAAAAGILNYMALVDLLAEDFMNPRVRKSGRLQLIISISLLS >ORUFI05G21160.2 pep chromosome:OR_W1943:5:20281810:20291374:1 gene:ORUFI05G21160 transcript:ORUFI05G21160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLKLTACLLLAVFSLAAAADCECQPSDEGHDAAKSRTLKVIAIFCILVGSSAGCAIPSLGRRFPALRPDTSLFFALKAFAAGVILATAFVHILPVSFDKLGSPCLVDGPWRKYPFTGLVAMLAAVATLLLDTIATGYFLQRAQDSRGAVAAVAACGGDASSSHDHERGHAHGVSSAVIASATMPNDAADDCDDAEDRAKLVRHRVISQVFELGIIVHSIIIGISLGASESPSTIRPLVAALTFHQFFEGIGLGGCIVQARFHLKSAVTMAIFFSLTTPVGIMIGIGISSAYNENSPTALIVEGILDAAAAGILNYMALVDLLAEDFMNPRVRKSGRLQLIISISLLS >ORUFI05G21160.3 pep chromosome:OR_W1943:5:20291182:20296017:1 gene:ORUFI05G21160 transcript:ORUFI05G21160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAMTKVFVLLFLVAACYLPAHAAAAECDCATDTAGRDKAQALRLKVIAIFCILAGSAVGAALPSLGGRFPAIQPETDVFLSVKAFAGGVILATGLVHILPAAFEALSSPCLVGGPWKRFPFAGMVAMVSAIGTLIVDTVATGYFHRTDAKRKAAAVADEPADDLEASDEHSHGHAHGMSVMSVAPAGEEDLVRHRVISQVLELGVVVHSLIIGMSLGASDFPSTVRPLVPALTFHQFFEGIGLGGCIVQAKFRVRSVVTMALFFSLTTPAGIAVGIGISSVYDANSPTALVVQGLLEAAAAGILVYMALVDILAEDFMKTKVQSRGRLQLAMNVALLLGAGLMSMIAIWA >ORUFI05G21170.1 pep chromosome:OR_W1943:5:20310324:20310975:-1 gene:ORUFI05G21170 transcript:ORUFI05G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTAPRPSPPQHSRIGGCGGGGVLKAAGAAGHAASCVAVPAEVARHHEHAAGVGQCCSAVVQAIAAPVDAVWSVVAEAYKHFIRSCRLLDGDGDGGAVAVGSVREVRVVSGLPATSSRERLEILDDERRVLSFRVVGGEHRLSNYRSVTTVHETAAGAAAAVVVESYVVDVPHGNTADETRMFVDTIVRCNLQSLARTAEQLALAAPRAA >ORUFI05G21180.1 pep chromosome:OR_W1943:5:20316653:20316892:1 gene:ORUFI05G21180 transcript:ORUFI05G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDAAATWCGTDEDGVSADEDGVEPGGGPSVTASSSTPLATAQREGKRAPTERRDVELPDRGRWGEVGEEEVRRPAAP >ORUFI05G21190.1 pep chromosome:OR_W1943:5:20317984:20324493:1 gene:ORUFI05G21190 transcript:ORUFI05G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQPSRQVSFANRHGQSSLTRRAGRGGQEEPAARRPRHCRRAQWGADAEEADAEESLGMDKIDCYVVPQTTGTGRNIFQGGSPLSASLPLLGVQLVLIVAVTRVLYFLLKPLKQPRVGGIILGPSVLSRHAAFREVVFPARGEPVLNTVATFGLMYVIFLIGVRMDPRLVVRSGRKGVVIGLSGFLLPLAMTGAGSSGEAMATEPDVSRRSTFLFALATSLSVTSFAVLSPILSELSLLNSDLGRIAMSASMTTDGIAWIIMVVYILAEAFLVSPATSIWAFLSLAVLAAFILFVVRPVALRVIERTPPGKPVEETYVFVFLLVVLLVGFYSDVIGTNSFHGALMLGLAIPDGPPLGTALGEKIEAMVSGLILPLYYAMTGLSTDVWRMHWGRLQVVMFLAWVGKLVGVMVSSLYLEIPLRDAVSLSLFMNSKGIVEVITFNFFLTNKLIGKNTFSILICLSVAITAVSVPVAGWLYDPARRYAVYKRRTVQHLKADADLRILACVHDQSHVPGTLAVLEASNATPQTPLSLYLLQLVEIAGRSAPVFIPHKLRHSASRAGAAAASSAPPTDSDRIINAFFQYELKHPEGAVSVHPFTTISPYSSMHDEVCRLAVEKRTSLILLHYHKRHMLAGGVRAAVGLRVVNRKVLEVAPCSVAVFVDRNAGSVGLCAFIPGPVQDQSTSSRSRSGGHAASGSGQGFKAAVASLFFGGGDDREALAYATRMARHEGVAVGVIRFLPARGIKDEPSDRRIDNRAIEEVKALAARSRNIKVQEELVGDMERIVQVLRGLDEAGYDLVLVGMRHRWYPVMPANGMSDWSECPELGVIGDLLASSDFDTPYSVLIMKQQDQGGLNAAVPGAQDVWHVNDGGAEDAPAPPRNMSTAGS >ORUFI05G21190.2 pep chromosome:OR_W1943:5:20317984:20320138:1 gene:ORUFI05G21190 transcript:ORUFI05G21190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEGDVGMEVETKAPAMPPPPPASSSAARKKQARAKNGDTPEPDAAGGARARASRRAKRGPGSYRGVRQRRWGKWVSEIREPSRGKRHWLGTFGSAVDAALAYDKAAASILAVAGSGGGAVFEERDVKPVVLPLPLPAILQGGGGTEAMAQHWDWEWDASWPELEMFECLDDIAMYLDVDAVMTTRDCKVEELDADIVDSPLWTLSD >ORUFI05G21190.3 pep chromosome:OR_W1943:5:20317984:20320388:1 gene:ORUFI05G21190 transcript:ORUFI05G21190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEGDVGMEVETKAPAMPPPPPASSSAARKKQARAKNGDTPEPDAAGGARARASRRAKRGPGSYRGVRQRRWGKWVSEIREPSRGKRHWLGTFGSAVDAALAYDKAAASILGPRAVLNFPAFSPPAAAIAAPEQREPPFCSPATTAAATAPEQRQTPGCSPAAVAGSGGGAVFEERDVKPVVLPLPLPAILQGGGGTEAMAQHWDWEWDASWPELEMFECLDDIAMYLDVDAVMTTRDCKVEELDADIVDSPLWTLSD >ORUFI05G21190.4 pep chromosome:OR_W1943:5:20317986:20320388:1 gene:ORUFI05G21190 transcript:ORUFI05G21190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEGDVGMEVETKAPAMPPPPPASSSAARKKQARAKNGDTPEPDAAGGARARASRRAKRGPGSYRGVRQRRWGKWVSEIREPSRGKRHWLGTFGSAVDAALAYDKAAASILGPRAVLNFPAFSPPAAAIAAPEQREPPFCSPATTAAATAPEQRQTPGCSPAAVAGSGGGAVFEERDVKPVVLPLPLPAILQGGGGTEAMAQHWDWEWDASWPELEMFECLDDIAMYLDVDAVMTTRDCKVEELDADIVDSPLWTLSD >ORUFI05G21190.5 pep chromosome:OR_W1943:5:20317828:20318230:1 gene:ORUFI05G21190 transcript:ORUFI05G21190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRQELGGEESDPSCGPHASASLHKCCSGGPTCHVTEARLTRVSGEWSGEEELKKPFVLERAAIRSNLSPLCLAHQELD >ORUFI05G21190.6 pep chromosome:OR_W1943:5:20318043:20320388:1 gene:ORUFI05G21190 transcript:ORUFI05G21190.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEGDVGMEVETKAPAMPPPPPASSSAARKKQARAKNGDTPEPDAAGGARARASRRAKRGPGSYRGVRQRRWGKWVSEIREPSRGKRHWLGTFGSAVDAALAYDKAAASILGPRAVLNFPAFSPPAAAIAAPEQREPPFCSPATTAAATAPEQRQTPGCSPAAVAGSGGGAVFEERDVKPVVLPLPLPAILQGGGGTEAMAQHWDWEWDASWPELEMFECLDDIAMYLDVDAVMTTRDCKVEELDADIVDSPLWTLSD >ORUFI05G21200.1 pep chromosome:OR_W1943:5:20325632:20327579:-1 gene:ORUFI05G21200 transcript:ORUFI05G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVQTLGSSDTMTEDILRVFSNYDGRLSLDKLYAARAAAASAAGGGGGAPAPPAAPAASGCGVGGGGRGEAAARDFVAMDRLIWADSADADAFLEAVDDLIGTVQELEAAGTNRGLFDRAEELLSRCMARLEEEFRALIERPDDAAPAAPGGFRSDGSDDEEDFGGGDGYGDEPIPIAKPVTDYDVVIDALSPGSVANVHQIARRMVDAGFGRECAEVYAAARRGFVDESVARLGVRPRTAEEVHASSWEELEFDIARWIPAFNMVFRILIPSERRLCDRVFDGLAPFGDLAFVAAVRTQALQLISFGDAISSSSRAPERLFRVVDMYEAVRDLLPDLDPVFADPYSAALRAEVTAVCNTLGSSIKGIFMELENLIRRDPARVAAQGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDFGAVGGAAAAVDPDRPTSSLAVHIAWIMDVLHKNLDIKSKIYRDPSLACVFLMNNGKYIIQKVNDSELGVLLGDEWIKQMTNRVRRWSMDYQRVTWGKVTTVLQTGGPGVGGLPATAMKQKLRMFNTYFQEIYEVQSEWVIADEQLRVDVRAAVAEAVMPVYTALISRLKSSPEARHDLYIKYTPEDVEACIQHLFEGAAK >ORUFI05G21210.1 pep chromosome:OR_W1943:5:20336212:20336565:1 gene:ORUFI05G21210 transcript:ORUFI05G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWLKEAKEMEVLECMGQAARRPGSHGKDKAQRVWEAEMARRLKETEELQSWAATEAPTKSEEEKRKRVHRKLEKLHRPTSPATTPPVGSACCRFALHLLRPPSPLQCVDQGQTCL >ORUFI05G21220.1 pep chromosome:OR_W1943:5:20337617:20344511:-1 gene:ORUFI05G21220 transcript:ORUFI05G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSDYILFPFCDIVLPYEAVHFCHMRLTGKGSPSQILQGGGTAYSAWCRRPSLSIHRHRLPFSERVQILPIDPISGLILTDGYLYSCSPLLQSTRPLFCQTSHLPFPSAAMLPAGSLTDKQLHFFDANGYLVLGSFSSAEEVKAMRDRMAVLIDGFDGAGDVFSTKDHRQVKNDFFFKSAENISFFFEEKAFGDDGCLKQAKELSINKVGHALHELDPVFKKFSFGENVSSLFSSLRYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTNPPSCTGLWLALEDATKTNGCLWAIPGSHKNGLKRRMIRDENDTHFDHPSPTYDLKEFVPLEVKSGDLVVIHGDLVHQSFENLSLVSRHALSLHVTKENPTSATL >ORUFI05G21220.2 pep chromosome:OR_W1943:5:20337617:20344511:-1 gene:ORUFI05G21220 transcript:ORUFI05G21220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSDYILFPFCDIGCEDYHVNNAHGQGISLTDSSRRRDCILSIAVAWCRRPSLSIHRHRLPFSERVQILPIDPISGLILTDGYLYSCSPLLQSTRPLFCQTSHLPFPSAAMLPAGSLTDKQLHFFDANGYLVLGSFSSAEEVKAMRDRMAVLIDGFDGAGDVFSTKDHRQVKNDFFFKSAENISFFFEEKAFGDDGCLKQAKELSINKVGHALHELDPVFKKFSFGENVSSLFSSLRYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTNPPSCTGLWLALEDATKTNGCLWAIPGSHKNGLKRRMIRDENDTHFDHPSPTYDLKEFVPLEVKSGDLVVIHGDLVHQSFENLSLVSRHALSLHVTKENPTSATL >ORUFI05G21220.3 pep chromosome:OR_W1943:5:20337617:20344973:-1 gene:ORUFI05G21220 transcript:ORUFI05G21220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPGISHQPNTHSSPDPRRCRQTRPSPPSHAARLTLTATPPPPIYTLAAPASAPTRPSRPSRTDLRCSSPRRPRSPPPEHSRSPRRSPLRLVIHFHSPTAERLTGKGSPSQILQGGGTAYSAWCRRPSLSIHRHRLPFSERVQILPIDPISGYLVLGSFSSAEEVKAMRDRMAVLIDGFDGAGDVFSTKDHRQVKNDFFFKSAENISFFFEEKAFGDDGCLKQAKELSINKVGHALHELDPVFKKFSFGENVSSLFSSLRYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTNPPSCTGLWLALEDATKTNGCLWAIPGSHKNGLKRRMIRDENDTHFDHPSPTYDLKEFVPLEVKSGDLVVIHGDLVHQSFENLSLVSRHALSLHVTKENPTSATL >ORUFI05G21220.4 pep chromosome:OR_W1943:5:20337617:20340526:-1 gene:ORUFI05G21220 transcript:ORUFI05G21220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAGSLTDKQLHFFDANGYLVLGSFSSAEEVKAMRDRMAVLIDGFDGAGDVFSTKDHRQVKNDFFFKSAENISFFFEEKAFGDDGCLKQAKELSINKVGHALHELDPVFKKFSFGENVSSLFSSLRYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTNPPSCTGLWLALEDATKTNGCLWAIPGSHKNGLKRRMIRDENDTHFDHPSPTYDLKEFVPLEVKSGDLVVIHGDLVHQSFENLSLVSRHALSLHVTKENPTSATL >ORUFI05G21220.5 pep chromosome:OR_W1943:5:20340833:20344973:-1 gene:ORUFI05G21220 transcript:ORUFI05G21220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPGISHQPNTHSSPDPRRCRQTRPSPPSHAARLTLTATPPPPIYTLAAPASAPTRPSRPSRTDLRCSSPRRPRSPPPEHSRSPRRSPLRLVIHFHSPTAERLTGKGSPSQILQGGGTAYSAWCRRPSLSIHRHRLPFSERVQILPIDPISELSHLIITAMLVISNS >ORUFI05G21220.6 pep chromosome:OR_W1943:5:20340594:20344973:-1 gene:ORUFI05G21220 transcript:ORUFI05G21220.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPGISHQPNTHSSPDPRRCRQTRPSPPSHAARLTLTATPPPPIYTLAAPASAPTRPSRPSRTDLRCSSPRRPRSPPPEHSRSPRRSPLRLVIHFHSPTAERLTGKGSPSQILQGGGTAYSAWCRRPSLSIHRHRLPFSERVQILPIDPISGERRAMRFRVVFL >ORUFI05G21230.1 pep chromosome:OR_W1943:5:20346802:20348050:1 gene:ORUFI05G21230 transcript:ORUFI05G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAFLESGKDGGQDGLSTLPDDVIVGGLGLRDVVRASVLSRRLDIDVADFMPHDHGDSSSSDDRHRIMSAYANATRWLLAPSAERAIKSVSLSFLIAEPYLLHAVARAVDDLLLDGSNSSLESLEMDMWTDRVAAGAATLEQRRLFGQRFQSLFDAYPAPFSQGPSLGKFRPGWAGPTQQMFTWPSRTATYGPVPRSTSKEAFIPTNPGPHQQNMKKRGLPMTCGPGVYIVPTVIDTSTRQRKNPRLRDCLDGG >ORUFI05G21240.1 pep chromosome:OR_W1943:5:20348413:20349075:1 gene:ORUFI05G21240 transcript:ORUFI05G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPTPPPLLPVTNPAAAGSSPAATAVGSDAPIATPAFRLFLSKLSDSARRSLSDRRPWTELVDRSAFSRPDSLSDATSRLRRNLAYFRVNYAAVVAFALGASLLAHPFSLLVLLGLLAAWCFLYLFRGSDQPVVLFGRTFSDRETLLGLVVASFVAFFFTSVASLIISGLLVGGAIVAVHGACRMPEDLFLDDADAASGNSAAQGLLSFLGAPGSRV >ORUFI05G21250.1 pep chromosome:OR_W1943:5:20350992:20364241:1 gene:ORUFI05G21250 transcript:ORUFI05G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPAAASAVEDLETLPLDSSYSSAPATDPLLRPPASPSAASAAAGGDHDPFVIDDDFLVDEEDDDDVGPPAPTAIPLVAAAARAPEFARIAVSDPKKHAEPTSGAAGVIPGSGSYFSYLITTRVGEAEVRVRRRFRDVVALADRLAAAHRGLFIPARPDKSVVEGQVMQRHDFVNQRCSALQRYLRRLAAHPVVGHSPDLRTFLTEPGAIPAFEGEQPRYWTTTLSSAAPSTPAKAGRDLFGMFKGLKQTVVNGMVATKPPPVEEETDTEFLAHKARFQDLQQQLTTTSQQAEALVKARDDLRTTTAHLGMTLIKLAKFEREQATCSPQRRRAADINNFGSSVVKFSRSQAKLNSEIVKHLGSIHEYLEMMISVHHAFTDRSNALHHVQSLSADLFFLHTRAEKLESVSSRSRSIDQEWTRHQKLGGLKETISATEAAKSHALKEYENIKENNTIEIKRFDKDRRRDFVQMLKGFIVNQVSYSDHYANMWAKVADETKVKLELSAAKMSHAPCRNAASFKPLLPSRLRAKPLAGISSSPRRNVSASAASSQSRRDFLLLIPSLAAASAILRPLPSSAADGEAPPTDSSSPSPPSAEEAGAVVEEVDESALSRVYDATVIGEPEAVGKDARGRVWEKLTAARVVYLGEAELVPDPDDRVLELEIMKGLATRCAEAERGVAVALEAFPCDLQQQLDQFMDGRIDGSILKLYTLHWPQDRWEEYEPLLNYCRDNGIKLIACGTPLEVKRTVQADGIRGLSKAERQEYAPPAGSGFISGFSSISGRSLIDKISSARDSPFGPTSYLSAQARVVDDYTMSQIILKELNGGDTSRMLIVITGASHVIYGSRGIGVPARVSKKMPKKDQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNVLGQNWNMKQRVAAVLVGGLKLASVGFISSVGAGASSDLLYAARGVLKSSVNAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEHRLGESLATSYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKEVPPVEATAPPAETSQLATPPAETSQLATPPAETSQLEDGRTEVQNLDDNSNQSSG >ORUFI05G21250.2 pep chromosome:OR_W1943:5:20350992:20364241:1 gene:ORUFI05G21250 transcript:ORUFI05G21250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPAAASAVEDLETLPLDSSYSSAPATDPLLRPPASPSAASAAAGGDHDPFVIDDDFLVDEEDDDDVGPPAPTAIPLVAAAARAPEFARIAVSDPKKHAEPTSGAAGVIPGSGSYFSYLITTRVGEAEVRVRRRFRDVVALADRLAAAHRGLFIPARPDKSVVEGQVMQRHDFVNQRCSALQRYLRRLAAHPVVGHSPDLRTFLTEPGAIPAFEGEQPRYWTTTLSSAAPSTPAKAGRDLFGMFKGLKQTVVNGMVATKPPPVEEETDTEFLAHKARFQDLQQQLTTTSQQAEALVKARDDLRTTTAHLGMTLIKLAKFEREQATCSPQRRRAADINNFGSSVVKFSRSQAKLNSEIVKHLGSIHEYLEMMISVHHAFTDRSNALHHVQSLSADLFFLHTRAEKLESVSSRSRSIDQEWTRHQKLGGLKETISATEAAKSHALKEYENIKENNTIEIKRFDKDRRRDFVQMLKGFIVNQVSYSDHYANMWAKVADETKVKLELSAAKMSHAPCRNAASFKPLLPSRLRAKPLAGISSSPRRNVSASAASSQSRRDFLLLIPSLAAASAILRPLPSSAADGEAPPTDSSSPSPPSAEEAGAVVEEVDESALSRVYDATVIGEPEAVGKDARGRVWEKLTAARVVYLGEAELVPDPDDRVLELEIMKGLATRCAEAERGVAVALEAFPCDLQQQLDQFMDGRIDGSILKLYTLHWPQDRWEEYEPLLNYCRDNGIKLIACGTPLEVKRTVQADGIRGLSKAERQEYAPPAGSGFISGFSSISGRSLIDKISSARDSPFGPTSYLSAQARVVDDYTMSQIILKELNGGDTSRMLIVITGASHVIYGSRGIGVPARVSKKMPKKDQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNVLGQNWNMKQRVAAVLVGGLKLASVGFISSVGAGASSDLLYAARGVLKSSVNAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEHRLGESLATSYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKEVPPVEATAPPAETSQLATPPAETSQLATPPAETSQLEDGRTEVQNLDDNSNQSSG >ORUFI05G21250.3 pep chromosome:OR_W1943:5:20350992:20364241:1 gene:ORUFI05G21250 transcript:ORUFI05G21250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPAAASAVEDLETLPLDSSYSSAPATDPLLRPPASPSAASAAAGGDHDPFVIDDDFLVDEEDDDDVGPPAPTAIPLVAAAARAPEFARIAVSDPKKHAEPTSGAAGVIPGSGSYFSYLITTRVGEAEVRVRRRFRDVVALADRLAAAHRGLFIPARPDKSVVEGQVMQRHDFVNQRCSALQRYLRRLAAHPVVGHSPDLRTFLTEPGAIPAFEGEQPRYWTTTLSSAAPSTPAKAGRDLFGMFKGLKQTVVNGMVATKPPPVEEETDTEFLAHKARFQDLQQQLTTTSQQAEALVKARDDLRTTTAHLGMTLIKLAKFEREQATCSPQRRRAADINNFGSSVVKFSRSQAKLNSEIVKHLGSIHEYLEMMISVHHAFTDRSNALHHVQSLSADLFFLHTRAEKLESVSSRSRSIDQEWTRHQKLGGLKETISATEAAKSHALKEYENIKENNTIEIKRFDKDRRRDFVQMLKGFIVNQVSYSDHYANMWAKVADETKVKLELSAAKMSHAPCRNAASFKPLLPSRLRAKPLAGISSSPRRNVSASAASSQSRRDFLLLIPSLAAASAILRPLPSSAADGEAPPTDSSSPSPPSAEEAGAVVEEVDESALSRVYDATVIGEPEAVGKDARGRVWEKLTAARVVYLGEAELVPDPDDRVLELEIMKGLATRCAEAERGVAVALEAFPCDLQQQLDQFMDGRIDGSILKLYTLHWPQDRWEEYEPLLNYCRDNGIKLIACGTPLEVKRTVQADGIRGLSKAERQEYAPPAGSGFISGFSSISGRSLIDKISSARDSPFGPTSYLSAQARVVDDYTMSQIILKELNGGDTSRMLIVITGASHVIYGSRGIGVPARVSKKMPKKDQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNVLGQNWNMKQRVAAVLVGGLKLASVGFISSVGAGASSDLLYAARGVLKSSVNAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEHRLGESLATSYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKEVPPVEATAPPAETSQLATPPAETSQLATPPAETSQLEDGRTEVQNLDDNSNQSSG >ORUFI05G21250.4 pep chromosome:OR_W1943:5:20350992:20363464:1 gene:ORUFI05G21250 transcript:ORUFI05G21250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPAAASAVEDLETLPLDSSYSSAPATDPLLRPPASPSAASAAAGGDHDPFVIDDDFLVDEEDDDDVGPPAPTAIPLVAAAARAPEFARIAVSDPKKHAEPTSGAAGVIPGSGSYFSYLITTRVGEAEVRVRRRFRDVVALADRLAAAHRGLFIPARPDKSVVEGQVMQRHDFVNQRCSALQRYLRRLAAHPVVGHSPDLRTFLTEPGAIPAFEGEQPRYWTTTLSSAAPSTPAKAGRDLFGMFKGLKQTVVNGMVATKPPPVEEETDTEFLAHKARFQDLQQQLTTTSQQAEALVKARDDLRTTTAHLGMTLIKLAKFEREQATCSPQRRRAADINNFGSSVVKFSRSQAKLNSEIVKHLGSIHEYLEMMISVHHAFTDRSNALHHVQSLSADLFFLHTRAEKLESVSSRSRSIDQEWTRHQKLGGLKETISATEAAKSHALKEYENIKENNTIEIKRFDKDRRRDFVQMLKGFIVNQVSYSDHYANMWAKVADETKVKLELSAAKMSHAPCRNAASFKPLLPSRLRAKPLAGISSSPRRNVSASAASSQSRRDFLLLIPSLAAASAILRPLPSSAADGEAPPTDSSSPSPPSAEEAGAVVEEVDESALSRVYDATVIGEPEAVGKDARGRVWEKLTAARVVYLGEAELVPDPDDRVLELEIMKGLATRCAEAERGVAVALEAFPCDLQQQLDQFMDGRIDGSILKLYTLHWPQDRWEEYEPLLNYCRDNGIKLIACGTPLEVKRTVQADGIRGLSKAERQEYAPPAGSGFISGFSSISGRSLIDKISSARDSPFGPTSYLSAQARVVDDYTMSQIILKELNGGDTSRMLIVITGASHVIYGSRGIGVPARVSKKMPKKDQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNVLGQNWNMKQRVAAVLVGGLKLASVGFISSVGAGASSDLLYAARGVLKSSVNAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEHRLGESLATSYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKEVPPVEATAPPAETSQLATPPAETSQLATPPAETSQLEDGRTEVQNLDDNSNQSSG >ORUFI05G21250.5 pep chromosome:OR_W1943:5:20361228:20364241:1 gene:ORUFI05G21250 transcript:ORUFI05G21250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKAMAQDEHHFVLKSGHAIPAVGLGTWRAGSDTAHSVQTAITEVYSAYLPYLAIYMRRSVQHTNQVAMASKPILSVFANNLIVNHLQAGYRHVDTAAQYGIEQEVGKGLKAAMEAGINRKDLFVTSKIWCTNLAPERVRPALKNTLKDLQLDYIDLYLIHWPFRLKDGAHQPPEAGEVLEFDMEAVWREMERLVTDGLVKDIGVCNFSVTKLNRLLQSANIPPAMEMHPGWKNNKIFEACKKHGIHVTAYSPLGSSEKNLAHDPVVEKIANKLNKTPGQVLIKWALQRGTSVIPKSTKDERIKENMQVFGWEIPEEDFQVLCGIKDEKRVLTGEELFVNKTHGPYKSASEVWDNED >ORUFI05G21260.1 pep chromosome:OR_W1943:5:20371238:20371753:1 gene:ORUFI05G21260 transcript:ORUFI05G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACMLPCGALDVVRVVHLSGRVDEFTSCPLTAADVLAAHPNHALTAAWSPGGAGAGGAPCRKIVIVSPDSELKRGRIYFLIPSACSAPAADKMMTRRKKKKRCHGNGNGKGGSAAAASTAEQDNYLMELLSEKRGTSHRRRRSGARAGVWRPQLESIAEEPSD >ORUFI05G21270.1 pep chromosome:OR_W1943:5:20374617:20377038:-1 gene:ORUFI05G21270 transcript:ORUFI05G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPGSLPLVNSRPVSLSLAASRSSFSSLLSGGAGSSLNLMTPPSSLPPSSPSSYFGGVSSSGFLDSPILLTPSLFPSPTTTGALFSWMTTATATAAIAPESQVQGGVKDEQQQYSDFTFLPTASTAPATTMAGATATTSNSFMQDSMLMAPLGGDPYNGEQQQPWSYQEPTMDADTRPAEFTSSAAAGDVAGNGSYSQVAAPAAAGGFRQQSRRSSDDGYNWRKYGQKQMKGSENPRSYYKCTFPGCPTKKKVEQSPDGQVTEIVYKGAHSHPKPPQNGRGRGGSGYALHGGAASDAYSSADALSGTPVATPENSSASFGDDEAVNGVSSSLRVASSVGGGEDLDDDEPDSKRWRRDGGDGEGVSLVAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTAGCPVRKHVERASNDLRAVITTYEGKHNHDVPAARGSAAAALYRATPPPQASNAGMMPTTAQPSSYLQGGGGVLPAGGYGASYGGAPTTTQPANGGGFAALSGRFDDDATGASYSYTSQQQQQPNDAVYYASRAKDEPRDDGIMSFFEQPLLF >ORUFI05G21280.1 pep chromosome:OR_W1943:5:20382644:20383726:-1 gene:ORUFI05G21280 transcript:ORUFI05G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPPPHIVEKEKKKKKGKKKSSSGGGWREEEGKDPAGRGRLSFPSFASVVLLAAACSCRQQWTMILEPQVPVLFLLKVFHDKGSSGMGF >ORUFI05G21280.2 pep chromosome:OR_W1943:5:20380712:20383726:-1 gene:ORUFI05G21280 transcript:ORUFI05G21280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPPPHIVEKEKKKKKGKKKSSLRLPPQKKKKKNPPPPPPPPKQQRRRLAGGGRQGSGGERPPLFPFLRVRRPACCGLQLIIQFSPDQPVYFINHKTVTQWTFCFRIRHQPFHYWY >ORUFI05G21290.1 pep chromosome:OR_W1943:5:20400758:20407852:1 gene:ORUFI05G21290 transcript:ORUFI05G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVVTGPPPARLPASTRVDKATSHLLQGPDWAINLEICDTLNADRWQTKDVVKAVKKRLQHKDPRVQFFTLTLLETMMKNCGEYVHFEVVEQHILQEMVRIVQKKHDTQVRDKVLILLDSWQEAFGGPGGKYPQYYWSYIELKRSGIMFPRRPVDAPPIFTPPATHHTQSYGSPTYPAGSLNERMTSDVETLSLGDLNNIRDTTELLCDMVNALNPSDRMAVKDEIISELVTQCRSNQQKLMRFVSSTGNEELLKQGLEINDHLQSVLAKHDAIASGAPLPVETPRKDEIPREDPKIKPSAPPIAPPSAPPVEEDEDDEFAQIARRKNKSVISSDEASSSAGDQALVPVDPVTSEASSSVASNAVVPVDSSPASGTRTREQDMIDLLSLTLYSPPEASTDSSSQTQNGTQQSVTSNGPELPPNYQPAASNGSHYSSNQQAYPTNQAYTPYNNYVVPWAQAGQGTQAGGAYQTQPMQQYGSSYPAPPWAMPASVNSTNPFQPATYQMQNPPVASVAPTNTYPAPSSPYAAPPRQQVPSPATKPMQQYNSFVSQTRSGPAMAQDVRMNGNPRPSETTAAAAKPYYMPDNLFGDLIDVKSYGGGKMSRTASMPSPNGSGQPLLGGKK >ORUFI05G21300.1 pep chromosome:OR_W1943:5:20405483:20408901:-1 gene:ORUFI05G21300 transcript:ORUFI05G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLASSRRLLQAALAPGRAQSSLSAAAAAAAPAPENAFAAAPKMPPFDYTPPPYDGPRAEEISRKRAEFLSPSLFHFYDRPLNIVDGKMQYLFDEDGRRYLDAFGGIATVCCGHCHPDVVEAMVNQAKRIQHSTVLYLNHAIADFAEALAAKMPGDLKVVFFTNSGTEANELALMIARLYTGCHDIISLRNGYHGNAAGTMGATAQCNWKFNVVQASTGVHHALNPDPYRGAFGSDGEKYARDVQEIIDFGTTGRVGGFISEAIQGVGGIVELAPGYLPAAYNMVRKAGGLCIADEVQAGVARTGSHFWGFESHGVIPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAGGHAVLKVLEKEKLQENAFVVGSYLKERLNKLKEKHDIIGDVRGKGFLLGVELVTDHQKKTPAKVEIGHVMNHMKDMGVLVGKGGFYGNVFRVTPPLCFTKEDSDFFIEAMDISLSKL >ORUFI05G21310.1 pep chromosome:OR_W1943:5:20424165:20426709:1 gene:ORUFI05G21310 transcript:ORUFI05G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSAHWLSLVGSVWLQTINGPNADFPVYSSQLKEVKGISQVQLNFLAFASDAGKLFGWFAGVAALYLPLWLVAVVGASFGLVGYGVQFLFLERPGLAYWHLFLLTSLAGNGICWINTVCYLLCIKNFPSDSRVAVSLATSYLGLSAKLYTTMAEKMPRGATARYSKEKVYLLLNAVVPMLVTLVAAPSLRVVELTSHRRTEPAFLAMFAITLATGACAVVGSIGSKSIGLSTSEHMISLYILLALPVLIPAALKVRESMDKLREAKRENRVHDVAAATDVPETAVSVLEVAEAAENKEEDDAAAGESGGQDEVGGIRLLRRLDFWLYFLSYMFSGTLGLVFLNNLGQIAESRGLSDPSTLVSLSSSFGFFGRLLPAFLDYYTAKSGYSLSRTASMAALMAPMAGAFFLLLDPRDMFLYTSTAVVGTCTGAITSVAVSATGELFGRKNFGVNHNVLVANIPVGSLCFGYLAAFLYQREARGASRCAGAACYRGTFLVWGATCAVGTALCTVLYARSRGFAGRLPPPARSTTMPCAGQRPATNLGDDNKGPEPEVSSTAV >ORUFI05G21320.1 pep chromosome:OR_W1943:5:20435695:20436944:-1 gene:ORUFI05G21320 transcript:ORUFI05G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPIRKTILGGDRLPTNHSGDEQHLHTYSLRQDCLSCITKSFFLVCFWEFWNHRHDIVFRNAPTSIPSILRRCIEDASLWAERAAIYRNSVQKRDDTDDIYEVSDDIYQVSCDSYHVTGDTFARYQVLIDSRYRTIPIMYQVIFARYHMIPLRYSAISTTYRVILTRYQMIPARYQELGIKASAAAAPRPPPSTLHTEPEPRRRQPHPPPSTVLSRPRSTSKLVAGDHVLRQELVTGGRVRRPHLSRWQRPPRRSSSPAPSPSPRRILRYPRPTPELVVSAVSITTQDPPPSMRPCPTPELAGGRVLHAGAHRRHCFHHHVAISCYIERASCGKEEHLVGGTVYRSLAFL >ORUFI05G21330.1 pep chromosome:OR_W1943:5:20442986:20443633:-1 gene:ORUFI05G21330 transcript:ORUFI05G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPQSPLRITHDGEFYARLLTKESSQGNPSFRYYGAGTGAVPFVWESHPGTPKVDASSPFAEAGGVPAITPPPSYHLRAAAAMSSSPQPHGRSGRRNVVNGRGKYCGYCRLKWIKIGFIAAVFRRLALGKPSRASSSSSAVQPSPSTRWLFSGSGSVETSDEPQPPAISPASTKQGGLLCLGVRPSPWMVQLCGVQSIRRVDTGSSWATHGWA >ORUFI05G21340.1 pep chromosome:OR_W1943:5:20450939:20454608:1 gene:ORUFI05G21340 transcript:ORUFI05G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAATQHGHAVAARAMPGRGEVDTSSPFESVRQAVDLFGGGALSPWRHHHPPPPPPPPPLQLRPEEVELMKVEEQTVKLEMELFVKEKETFKVLKELQATKQVADNLKLQLEKAASESAASARGHSDAGKVYPLPDTERKCSYHTGQPVESAKGNQSALTTLIKLNQAKAFLNMDTVMTIKRQIEEEKGSLEKTRERLQLNRAKASSLEADLNKIVSQLQAARGPKPTLEPSDIWLQMKQLNSEIEKHRKIADDSKNEIGELTATIEHTKSRTKTLQFRIVMAEKLKEASRRGEALALAERKNLSNGEHEASTASDVTLSVEEHSVLVQKAEEADAACRKKIDAAMQELDQANRGKLELLERVEEAMAAVETSRKALEEALKREESTNKAKLVAEESLRKLRSEQIIQNWRPTGNSSVKFKTSAATTAAVVAHRRAGSGIYDVNGLSLVTATPKSTKAMSIGQILSMKLDHRELEVAGKGGGAKKKVSLGQILSQKYDALSPLRIDHGGASRRQFHPRRRKLGFVVYALLLAKHRHRKKRQPAAAAAADSSSCTHGSFSTKAVY >ORUFI05G21350.1 pep chromosome:OR_W1943:5:20454784:20459904:1 gene:ORUFI05G21350 transcript:ORUFI05G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNEEAMAAHKRAFLDFLDQDVGKGVYMQAVRDMVQNKRHRLIIGMDDLRNHSLDLARRVIRSPAEYMQPASDAVTEVARNLDPKFLKEGQRVLVGFSGPFGFHRVTPRDLMSSFIGTMVCVEGIVTKCSLVRPKVVKSVHYCPATGGTLSREYRDITSFVGLPTGSVYPTRDENGNLLVTEYGMCEYKDHQTLSMQEVPENSAPGQLPRTVDIIVEDDLVDSCKPGDRVSIVGVYKALPGKSKGSVSGVFRTVLIANNVSLMNKEANAPVYTREDLKRMKEISRRNDTFDLLGNSLAPSIYGHLWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAVMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVIAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPEIDRQISEHVARMHRYCTDDGGARSLDKTGYAEEDDGDVNAAIFVKYDRMLHGQDRRRGKKSKQDRLTVKFLKKYIHYAKNLIQPRLTDEASDHIATSYAELRDGGANAKSGGGTLPITARTLETIIRLSTAHAKMKLRHEVLKTDVEAALQVLNFAIYHKELTEMEEREQREMEMKQQADHDAGASGGNADEHRSSGNDPMDVDVGNASNDQDVPAERIEAFEAILGQHVLANHLDQISIDEIEQTVNREAAAPYNRRQVEFILERMQDANRIMIRDGIVRII >ORUFI05G21360.1 pep chromosome:OR_W1943:5:20461902:20463164:-1 gene:ORUFI05G21360 transcript:ORUFI05G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQPHAHLLPQRNDTQVERATAFGKEAIGITGSAIVAGVSGYKDIGKGAATTLLFKAGGFSLLVTFVSAVVLMHFQMHQPPAAPRSRCADLSSAVLVSLTGVLLVATNGMFVALMDRDNDTMLVILVLPVVLVLGMLAGADLPPTEGAVTAAAVAQDEAYEEAMKSSAELATFGATAAFAIEGALILGYLKYPSSLDGCGRSPPAQVDLAVASFASTVSVLAMAATALPVRTLFPSARARAVAVAGHLNRAMLAALVSMATILAVEFLQWWFMLSLLPEAIAVALNVAIMAWTTEGGANVVDGSVEAAAAAASAGARERRAKGFRAVATTSFTLMAGTYAVYLGQKKYDVYLRAAMLVMLTAVVSSLRQMLRPFGRSRARGWWAVAAGAVSLVFPGLALVIAIPLFVKIFVHFYFGHVN >ORUFI05G21370.1 pep chromosome:OR_W1943:5:20464736:20469272:-1 gene:ORUFI05G21370 transcript:ORUFI05G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLQTFGALLKYPKVEGPIRKNASAPASACSSPHRRGDPLDADERRLARGGSRSAEFGAEALILAEGEQASRRVMQGSILVKTESDSPGNAEICAKRSVLMERYVIGRQLGQGTFGKVYYARNLSSGQSVAIKMIDKEKILKVGLMEQIKREISIMRLVRHPNVLQLFEVMATKSKIYFALEYAKGGELFHKMARAKLNEESARNYFQQLISAMDYCHSRGVYHRDLKPENLLLDENETLKVSDFGLSALAESRRQDGLLHTACGTPAYVAPEVLSRKGYSGSKADVWSCGVILFVLVANYLPFHDRNIIQMYRKIAKAEYRCPRHFSAELKELLYGILDPDPSTRMSISRIKRSAWYRKPIAISALNNETGKKSCTSEAPFSGPTICISSERNQEPPNLHNLNAFDIISLSTGFDLSGLFGERYGRRESLFTSRKPAAAVLVKLKELAKALNLKVTKTDNGVLKLATTKEGRKGRLELDAEVSEVAPSFLLVELKKTNGDTLEYQRMMKEDIRPSLKDIIWTWQGNSIELPNDELTVQCSEGEVQKQSRLPSIKNFLDRVTVTYSTSRTSWSWTHGHGNCSKNASKNGIKGEAYNADCVSRRSIEVCAKLLEPDDQMTKLIDRLDRRRCKLIDRFRPKERLEMTD >ORUFI05G21380.1 pep chromosome:OR_W1943:5:20470069:20471424:-1 gene:ORUFI05G21380 transcript:ORUFI05G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACGMGSSRRPRRWSGGSKLAVACLAAVAVTSLQLCCLSGCFIAACGGAGRDDDDVRRYSDHFGRLEGAGAHSGDLLEGGGHYHVGLGRRLLSGGPGSHPPRCTSKCGSCSPCSPVHVSVPPGVLVTTEYYPEAWRCKCRNRLYMP >ORUFI05G21390.1 pep chromosome:OR_W1943:5:20471897:20477107:1 gene:ORUFI05G21390 transcript:ORUFI05G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESASVYAIRVYQSDLKSENLLQDENENLKVFDFELRALAESRRLDGLLHTACGTPAYVAPEVLIRKGNSGSKANEKSRATKPAQLDAFEIISLSIGFDLSGLFGEREARFTSRKPVATVLVKLKELTKALKLKVTKTDNGVLKLPTTKEGRKGRLELDAVLKKTNGDTLEYQRQVKEDIRPSLKDIIWSWQEWLDKIETLKFSDFGLSALAESRRQDTLLHTACGTPVYVALEVLSRKGYSGSKADDVYLNFSRIKRSAWYRKPIAISALNSETRKKSCTSEAPFSGPTTCISSERNQEPPNLHNLNAFDIISLSTRFDRSGLFGESILVKTESDSPGNAEICANRSVLMERYEIGRQLGQGTFGKVYYARNLSSGHSVAIKMIDKEIIMKVSLVEQIKREISIMRLVRHPNILQLFEVMATKSKIYFALEYAKSGKLFHKMARAKLNEESARKKIAKAEYRCPRHFSAELKELLYGILDPDPSIRMSILRIKISAWYKKPIAISALNSETRKKSCISEAPFSGPTTCISSERNQEPPNLHNLNAFDIISLSTGFDLSGLFGERYGRREARFSSRKPAAAVLVKLKEPAKSLNLKVTKTDNGVLKLATTKE >ORUFI05G21400.1 pep chromosome:OR_W1943:5:20485403:20486809:1 gene:ORUFI05G21400 transcript:ORUFI05G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVPAVWEGEGVNSGTGRAYGDGDGAGAIVATLLLMLLNSVLVVAAGSQNITPFPDLKFELRTRQEAKNTLNTCTPLQESEPKTTGEK >ORUFI05G21410.1 pep chromosome:OR_W1943:5:20497472:20499527:1 gene:ORUFI05G21410 transcript:ORUFI05G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANARNAAAASPPPPSSSSSYSSSASDGEILRSLHRLARDLAAAEAPAPFLETVFAAVSRRAKLLAAVFDDLLRCGRLPRSASLCLREVLLVLQRFKAVVADCSARSRMRLLLQADEVAARVRELQHDLATLIDLLPVPELGLADDVVDLLALASRQCRRSSPSADAAEHELKTGVLALIQEVEREIVPERERLEGILEEVGINDPACCSDEIETLEREIGDRVAERWTSAMIALVGLLRYAKCVLFTAATPRPMDTKVDVDDDDDDDDAEPPSPPPDFRCPISLDLMRDPVVSASGQTYDRESITRWFGSGKSTCPKTGQVLANLELVPNKALKNLISRWCRENGVAMESSEPSKPEPAPVVTANKAALEAARMTASFLVKKLSVSFSPAAANRVVHEIRQLARSGNDTRAFIGEAGAVPLLVPLLHSDDTATQLNAVTALLNLSILDANKKRIMHAEGAVEAICHAMGSGATWRAKENAAATVLSLASVHSYRRRLGRNPRVVERVVHLVRTGPSSTKKDALAALLCLSGERENVGKLVEAGAAEAALSAISEEETAVAVLASLAKRGGAEAIVNIDGAVVRLVAELRRGTEWSRECAAAALVLLCRRVGAAVVAQVMSVSGVEWAIWELMATGTERARRKAASLGRACRRWAAAEQTAEYPATSDVTTTAITAS >ORUFI05G21420.1 pep chromosome:OR_W1943:5:20507346:20507789:-1 gene:ORUFI05G21420 transcript:ORUFI05G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSLLLFTGAVAGVAMLVLPWWCAVGEDDDTYIDGVVDDSYDSDSWYTDYSDEDDDDVGGDNKDGLTPDQLRRLPWFAYCGGGGRSCSICLEEMRDGERCRRPGRCRHAFHAACVDEWLTTRRTCPCCRELVLVPPAARLAAPTYR >ORUFI05G21430.1 pep chromosome:OR_W1943:5:20511450:20512604:1 gene:ORUFI05G21430 transcript:ORUFI05G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKFRLSDMMPNAWFYKLRDMRARGGRGATAMQPPSSSSLMRGSRAAQQQAGTSRLGTSSSSSSLLPHRASYYYTTRDREVPPPPPPPPRGVDDQFPSLTLSPPLPTRNSRRRHRVGRFGSTEMDGGELVLAPSDDHDGCSHQEPPVADASGSSRCRRDMFIGRDGGRGVEFRRRATTVDGPEEDAAVDVKVITSDAGIIIDLGADDDDDDTPERVLRPVVTRPARRELDWCEPAEVKHVDLAELMTPRASSASASSEKSISTGKPRRSSVSSRRRLKTRTNSPRLAACRKGKPTARATTTTPTQPPLAHSFAVVKTSSDPRRDFLESMEEMIAENGIRDAGDLEDLLACYLSLNSGEYHDLIVEVFEQVWTGLAAACGVMP >ORUFI05G21440.1 pep chromosome:OR_W1943:5:20517750:20519967:-1 gene:ORUFI05G21440 transcript:ORUFI05G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRDRRPPERFRRREDRPTGPRPGGAGGPGAPAAAGPGGPPNVART >ORUFI05G21450.1 pep chromosome:OR_W1943:5:20520606:20521396:1 gene:ORUFI05G21450 transcript:ORUFI05G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDEGIGDVDDAVAGPTEQGVIRDAKEVGVGLLADVAVWGRGRRGGGDEGEVVGGVAVAKRDGVGVAEHPRHHCPLRSTSRTRNITCLPPPLHCVGRALTATLLQTLRDLRDLIPSDISLSKCAASKKLERWALSNEAVGLRAFPWNIAFAFR >ORUFI05G21460.1 pep chromosome:OR_W1943:5:20522292:20525927:-1 gene:ORUFI05G21460 transcript:ORUFI05G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFQFLEAPSDRSDSSVSSLVPTSPAPARLMARDTTKSREPRVNPQVRLAQAKRFAEGALEHYNRRKKVKFELVDAVPCIGIPEPHCIYTHINFTARSSKKGSQELLFLAELYHCQRRQEVFTARLSKKGSRGEPSNAGRSLVQRGFVVTCCEPLGPDSMVGRKLLERDDTKVVRKNTDFTYCYGCPQMISHPKGEMYIAGHCNIPHVYEDHLRVLKSRGVRRELDYKKGNITAKRFKISRFYEFCNVNQINDDGVPCGLDQHKVKGTINNFLSKTMMIASFLVSYNCYDFEDRFEHVYQYDINDPVCENDGTDRIITQAAVIYWLRV >ORUFI05G21470.1 pep chromosome:OR_W1943:5:20530315:20531664:-1 gene:ORUFI05G21470 transcript:ORUFI05G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGVLFLLFLARQASAAGYGGWQSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNDGAACGSCYELRCDNAGSSCLPGSITVTATNFCPPNYGLPSDDGGWCNPPRPHFDMAEPAFLHIAQYRAGIVPVSFRRVPCVKKGGIRFTVNGHSYFNLVLVTNVAGAGDVRSVSIKGSRTGWQPMSRNWGQNWQSNAFLDGQSLSFQVTASDGRTVTSNNVAHPGWQFGQTFEGGQF >ORUFI05G21480.1 pep chromosome:OR_W1943:5:20537425:20538303:-1 gene:ORUFI05G21480 transcript:ORUFI05G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTNTFDGCVCRLIVANTDLCFVPPLVYAVMGSSRNLGVGPVATSSLLVASIVGGKVRASDDPRLYTQLVFVSALFTGAEDPDGFHVAVSNHRVHGWHGGRDRAAAAQGLPRHDALHHQEVSTFVSAFVVGAVALIAAAFAIPPATSGTPLPSARSVTAAIDGERRRRPARREIAGGEVGPAAAARTRKWRRSASTGREARRSVERGEKRARERGGEREDDDVATLTCGAHVGLTLTQLTRRIKPGSKPLN >ORUFI05G21490.1 pep chromosome:OR_W1943:5:20538377:20538866:-1 gene:ORUFI05G21490 transcript:ORUFI05G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGRPAERRADGGKQARGRRSGGRASGREPAAGPSLSLVAPLTSSRPAGLLPCPASPPSHRVACHRPPSHVRLHVRRWGHCRARLNLQPAATRPFSVAVRAGLKDTLFPDDPFRGSAGCRPRSARGGWRGAGYSAASFWYDLLAGVTIANLSIP >ORUFI05G21500.1 pep chromosome:OR_W1943:5:20539987:20540539:-1 gene:ORUFI05G21500 transcript:ORUFI05G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASIAPCDGVCNRQAINSLRAMRRGDCCLFYHSGAGAASRHIVGVVEVAREWYEGEGEAASGGGISAAGGAREDEDRRWRPHGGGISAYGGAVTAAANALPSAAPPCVAASPRR >ORUFI05G21510.1 pep chromosome:OR_W1943:5:20542567:20543898:1 gene:ORUFI05G21510 transcript:ORUFI05G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAARSGWPAAAAVLVVVLVLSSPPGTSTVVVARAALSCSTVYNTLLPCLPYVQSGGAVPAACCGGIRSVVAAARTTADRRAACTCLKNVAAGAAGGPYISRAAGLPGRCGVSVPFKISPNGKLRWKQHFRPACMWAQGSHGPWAVNE >ORUFI05G21520.1 pep chromosome:OR_W1943:5:20544464:20545033:1 gene:ORUFI05G21520 transcript:ORUFI05G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVAAPAPSVFEAARPALESGGGGGGAPPPGRADASFDTNMVIILAALFFALLFAIGLNSLARCALRCGGRGAAAAGGGGGGGGGGAAAAGVGCGGIKKRALRSIPVEVYCGGEETAETDVCAICLGEFADGEKVRVLPRCRHGFHVRCVDAWLVSHGSCPTCRRQVIGGGGSTPPPDSDTIAVVVA >ORUFI05G21530.1 pep chromosome:OR_W1943:5:20546032:20546475:-1 gene:ORUFI05G21530 transcript:ORUFI05G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAREEDEVGGGGGGVVARYSLDVSDGCGGRHSALLDEYERMAFEAQLNRAIVLRRCYSEPSPARFPVAPPQRGGAEGDGCTAPWRSCRLHVVEAVFLRWLEAVKPVLCWLRSAWEQRRRRMERAAAAPRGPPATVPRVQLMDYFC >ORUFI05G21540.1 pep chromosome:OR_W1943:5:20549045:20554967:1 gene:ORUFI05G21540 transcript:ORUFI05G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRFAAVVVVLLLALALIPGPAAAAGRALQGEKARPSEAAPAPTVAAGGSHKESAKSSNGQNPVTKETHHQTPPPAKPPKDQTPPPPPAVSESKGQKGDAGNNSGHPVPPTDAHKTSPPPEGPGPTGGKEQEGGAGGEKKNPTEEIKKVLSCEDAAEKCSVPGEITACLQVFKDGSIRPFVVVQNEGQNDVKVNVVIDGKMLPLQLAKGFSRQVNITYSNPNGVEITVKSGKGQCSLHTKQTVFDWQQQFQQFAAYATRANPIYGASFLVFTVVLVGVVCACCKFARRRASGVPYQQLEMGAQAPNSSGVENTTSTVDGWEDGWDDDWDDEEAAAKPSDKKPSGSISANGLSLRPQTNSKDGWDVDWDD >ORUFI05G21550.1 pep chromosome:OR_W1943:5:20558396:20561843:1 gene:ORUFI05G21550 transcript:ORUFI05G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAALLLLTLAAILAAAGAVNDDVLALVVFKSGVSDPGGVLAAWSEDADRACAWPGVSCDARAGRVDAVALPSAGLSGRLPRSALLRLDALLSLALPGNNLSGPLPDALPPRARALDLSANSLSGYLPAALASCGSLVSLNLSGNLLSGPVPDGIWSLPSLRSLDLSGNQLAGSVPGGFPRSSSLRVLDLSRNLLEGEIPADVGEAGLLKSLDVGHNLFTGELPESLRGLTGLSSLGAGGNALAGELPGWIGEMAALETLDLSGNRFVGAIPDGISGCKNLVEVDLSGNALTGELPWWVFGLAALQRVSLAGNALSGWIKAPGDNASALQELDLSGNAFSGVIPREIASLSRLQHLNLSSNTMSGKLPVSIGRMALLEVMDVSRNQLSGGVPPEIGGAAALRKLLMGSNSLTGIIPPQIGNCRNLIALDLSHNKLTGPIPATIGNLTGLQMVDFSENKLNGTLPVELSKLANLRVFNVSHNLLSGNLPISHFFDTIPDSFILDNAGLCSSQRDNSCSGVMPKPIVFNPNASSDPLSEASPGAPSSQHHKKIILSISTLIAIVGGALIIVGVVTITVLNRRVRSAASHSAVPTALSDDYDSQSPENEANPGKLVMFGRGSPDFSAGGHALLNKDCELGRGGFGTVYKAVLRDGQPVAIKKLTVSSLVKSEDEFKRQVKLLGKVRHHNVVTLRGFYWTSSLQLLIYDFVPGGNLYQHLHESSAERLVSWMERFDIIIGVARALAHLHRHGIIHYNLKSSNVLLDSNGEPRVGDYGLVKLLPMLDRYVLSSKIQSALGYMAPEFTCRTVNVTEKCDVYGFGVIVLEILTGRRPVEYLEDDVVVLCDVVRAALDDGRVEDCMDPRLSGEFSMEEAMLIIKLGLVCTSQVPSHRPDMGEVVSMLEMVRSSQGTPEDDLV >ORUFI05G21560.1 pep chromosome:OR_W1943:5:20563733:20564809:-1 gene:ORUFI05G21560 transcript:ORUFI05G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIATGATATATAAPVASPAASSMASELMAQGRESAAVLEALLHGASLPPAHGGAHALAAEILRCCDRALAALRAGGDAESSSADTKRKPATAQPSTRRRRRYIYMYVSSRSCDGARDRAATQQEIFCRATASGGGAAAAAEPARVEKARTSEDGFLWRKYGQKEIKNSKHPRLYYRCSYKDDHGCTATKQVQQSEEDPSLYVITYFGDHTCSCQTAAAAAMDDDDDDENSQHFVINFGPATASRSGSPPLLYDDGDDGDVWRETAATPPSSRQSRCSPEGDGEESGVKMSKEEPVDSCPGPSAVSSPADVVSCSSPAMEPDLLGCLNWDDDFGDSSFVDADEFMNFDEIDLFQIYS >ORUFI05G21570.1 pep chromosome:OR_W1943:5:20568805:20569670:1 gene:ORUFI05G21570 transcript:ORUFI05G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLWCPRAALTRRLRGETLAVAAPLPSSLLRRRQFRATRWDGGGGAISLGSISPRRRHGDNGGWAARSRRRDGEVALTAWWWGSLRRRQRCGRAPRGSGDGVASSDRPARSGRGDDGGAAVGLCAAGDRLGRCRRLRALAGGLQLPRGFVGDDGIGSAAARPVGRRSAPRRRLPRGPGRGQQACCGFCRLRGRRIHPLVPLDPVLPFFSGCSSAGFLGVRWSLVELQVIGC >ORUFI05G21580.1 pep chromosome:OR_W1943:5:20569710:20569975:1 gene:ORUFI05G21580 transcript:ORUFI05G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSERPGNAARVWKWSLLREPRVKTLPSENRVPFGTGVDSILDVAPLLKASLRRFMLH >ORUFI05G21590.1 pep chromosome:OR_W1943:5:20570667:20573237:-1 gene:ORUFI05G21590 transcript:ORUFI05G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLPKSERSPSPPPPPPGDQRDAAIQELSKGSELATQLMAQLELIPERELDGRRDDALANVRSLSMSLSSSLYALRSERREHYYCGSSSSSGGAGPAAVTSVSGAGGARKTKRRRGKHGEELIETVFITTTPENDGFHWRKYGEKNILNSEFRKLYYRCGYSDERKCQAKKYVQQENNKHPPEFRVTLTNEHTCNTVFQDQPSSSSTNSQVLDFTKASISSSLMDSHVGTPILKEEEEEEVPSIDESTRIMSTIMRNYGSYGDYDESSPQPWNGAGWK >ORUFI05G21600.1 pep chromosome:OR_W1943:5:20584907:20586012:-1 gene:ORUFI05G21600 transcript:ORUFI05G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTMVSLAAIAGKPTAASAQLVAEGRESAARLYALLVGSSALHGPVGLAEQILLCFDRALAKLHGVNLAGAEDDDDAAGNGNGRKRKPGRGRGLTAASAAASSKRMRVSNAGGNGARIERKATMDDKFLWRKYGQKEIKNSKHPRFYYRCSYKDDHGCTATKQVQQSETADDDTASPVYIITYFGEHTCRHGDDAAAMVVDGGEEEDQLSPAQMVISFASSNGGDASVSWPCSGDDAQNNSETSHESSPPEAPAGEEERLRPCTAAGVSDEPIMESTPPAPELLADLKPMDGCLLDGESLFGMDELVYFHELSAALGLLDRDWGAPV >ORUFI05G21610.1 pep chromosome:OR_W1943:5:20608181:20611830:-1 gene:ORUFI05G21610 transcript:ORUFI05G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVFNLPGRLDELLRRHRSILPKGAEDEIPLIKQDLEEIVSILHGHCSEAKLEDHAMVVRCWMKEVRELSYDIEDCIDQYEECIEQYEHITAARYYIRRRKFNRRHGNRLPPWVPERLKQRLWMANKIREFSLRVQEVIQRYAMYKNDLSGIASIAAAATTTTIISDVSSSSSWHPAPGGKCGYAGIDAAMNKIEAWLTDEEHKKLKVVSIVGVGGVGKTTLANELYLKLGHQFKYRAFVRSSHKPDMRSILISMLSRIHPQQPPAIGRYLIIIDDLWSTSTWDIISRTLPPDGNSCSRILTTTEIEDLALQSCSYDSKYIFKMKPLGEEDSRNLFFSTVFGSRPTCPPELSEVSYDIVKKCGGLPLAVVTIASLLASQLEKQEQWDYINKTLGYSLMANPNLEGMKQLLSLCYNSLPQHLKACMLYFSTYQEDTIIWKDDLVNQWIAEGFICAIEGHDKEEISRAYFDELVDKKIIQPVHINDNGEVLSCVVHYMVLNLITNTSIEENFIIAIDHSQATTRLADKVRRLSIHFSNVEDATPPTNMRLSQVRTLAFSGVLKCVSFITGFRLVRVLILHIWGDEDSITVNLNKISELVRLRYLKVSSNVTLKLPTQMQGLQNLETMKIDGKICAVPSDIIHLPGLLHLSLPAKTNLPNGIFHLISLRTLGYFDLGCNSIENMWSLGDLTNLQDLQLTYSTAHSDDLKNYMQCLGSILEKLKSLKSITLSRADPSDATLHIESAIRMRISVDGWSNLSSPPALLQRIELLPCVCIFSSIPNWIGQLGNLCILKIGIREITSTDVDVLGRLPALAVLSLYVHRKPMERIIFDNVGFSILEYFKFRCIVAWMKFEAGAMPNLQKLKLGFDVRRADQHGTIPVGIKHLSGLKEISAKIRVACTVDDLCKGFAESELTNAIRMHPGRPRVNIRCIDWTFDGKDDNNVGTREEESTTFEKQHHIVKVDSTVKFAVPEKDPGREADKSIDRRYGFLTLKIPYPLINRTFLVYYDEYCFYYEYFC >ORUFI05G21620.1 pep chromosome:OR_W1943:5:20612488:20617716:-1 gene:ORUFI05G21620 transcript:ORUFI05G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKQDGPLLLGQAHQEKGGNTSFQAPAMGRGRAAAAAAAAAAAGSGREEDEGGAPSSALFLLGSSVWWVLDGVDDGDREPSSRLHRGRLIQWNHTLTWHIYARDLPRKTGGLPQWPLSPPDERKPEAVKKKALKGYLRTAV >ORUFI05G21620.2 pep chromosome:OR_W1943:5:20612488:20617716:-1 gene:ORUFI05G21620 transcript:ORUFI05G21620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKQDGPLLLGQAHQEKGGNTSFQAPAMGRGRAAAAAAAAAAAGSGREEDEGGAPSSALFLLGSSVWWVLDGVDDGDREPSSRLHRGRLIQWNHTLTGYLRTAV >ORUFI05G21630.1 pep chromosome:OR_W1943:5:20621053:20622204:-1 gene:ORUFI05G21630 transcript:ORUFI05G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDVVDAEGLPETSPGEGAERFERHESFAEWRRRMEDGGSLRWGSEAEGKFIAIVLLGSPDANRCPRRWTLLLPPTAAATATAAANLLANGSSFSNHGNIYLAVSLAKFDLFSICCDPDTNVASTEGFDLGRFWK >ORUFI05G21640.1 pep chromosome:OR_W1943:5:20628291:20628669:-1 gene:ORUFI05G21640 transcript:ORUFI05G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARFLTSSSAGAAAGPSRRRLLAAGPSRHRRRDQSPPRPVATSPPSEQPTPALPRPIASSRRHAPHRRRRAKPTPPAAAAPHRLLACLTVKPQDLICD >ORUFI05G21650.1 pep chromosome:OR_W1943:5:20630037:20634215:-1 gene:ORUFI05G21650 transcript:ORUFI05G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMVNLPGRLDELLRRHGNILPKGADKEIPLIRQDIEEITSILHGHHSDATELEDYHDMVVRCWTKEVRELSYDIEDCIDQYEDAVEQYEHAATVGRYPSVSTIRRRKFSRRPVGSKTPLVPEKLKQRLWMANKIREFSLRVQEALQRHAILHSSDLGGIANTSAGHPTLCRKRADGVRHVGLDAAINKVQEWLADGEKKLKGVSIVGVGGVGKTTLANELYRKLGRQFECQAFVRSSQKVDMRRLLISMLSQVRLQQPPDNWKLHSLISSIRTHLQDKRYLIIIDDLWDICTWDIIKCTLPDGNSCSRILITTEIEDLALQSCGYESNYIFKMKPLSEDDSRNLFFSTVFGSHSNCPPELCEVSYDIVRKCGGLPLAVVTIASLLATQLEKHEQWDYINETLGYSLMANPNLEGMKQLLNLCYNSLPQHLKACMLYLRMYQENSIIWKDDLVNQWIAEGFICPSEGHEKEEISRAYFSELVDRKFIQPVHINDNGEVLSCVVHHMVLNLITYMSTEENFAIAIDHTQATTRLADKVRRLSIHFGNVEDATPPTNMRLSQVRTLAFCGVLNCMPSITGFQLLKVLILHFCGDEDSISFDLTEILELVRLRYLKVTSNITLKMPTHMQGLQYLEALKIDGKIDAVPSDIVHLPGLLHLSLPARTNPPNGIAHMSSLRTLGYIDLSCNTSENLWSLGELTNLQDLQLTYSAIHSDNLKNNMQYLGSILGKLPNLKSITLSPVGSSYANTLHIHSATSTSVSVYGWRSVSSTPALLQRLELLPCVCIFSSLPNWIGQLGNLCILMIGIREVTSYDVDVLGGLPALTVLSLYVHTKPAESIVFDNARFSVLKYLKFRCSLAWMKFEAGAMPNLRKLKLGFDVHRADQHDAIPVGIENLSGLEEITAKIKVDCTAGDLCRRFAESALTDAIRMHPGRPIVNIRCVDWTFDDKDNNNVRTRDEEHRTTEKQHLIVKEGLNEKSVVLQKDHGEGACKSVEGERRGVLSAGSWWRRQPQFERFYKSAESRADDGGGGGSIISGAQTVPIKNFTGGWPAPPWQEGSGTTMPPVYINEGEYINVIYYSPPRSSTTTSSQDESTEASERERSGSGTGSSEGGFYGPTFQAVSRYIDRKFGLDRED >ORUFI05G21660.1 pep chromosome:OR_W1943:5:20642165:20643301:-1 gene:ORUFI05G21660 transcript:ORUFI05G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEEVKMRFGRCPYCRAMIYQNPKAVIFYCSRCRTPIRGKNPEPTDEAEYALSRLEILSADTASVFSDEPEDAGSDRRADEVRPLSRRTRRPSSSSDWTTTTDSERSEEAFYTPRNAQEGRPWQSPSPVSSQELGASGGGGGLPRPPDEPGAVAAARLMDPAFHKELLHALDNLRSLIVTIEQPRPASGGGGRALTRRDSRLFRRLESQLERALPPQDTASTLASSSSCRGDGGGGRPSAPARREGTDPCRPVLGGAPFVICGKCSELLRTPPPPRPRRRRWTTRIRCGECNEVLELSLPAGGVPAQHRPIRTCSAPLVSDHHRPLPRRLE >ORUFI05G21670.1 pep chromosome:OR_W1943:5:20647098:20651257:1 gene:ORUFI05G21670 transcript:ORUFI05G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLLPRATFAATTKHLAVLHPPAAAACRPHPPRLIRCGAAAVPDDELLRSLYLVQADAASPVVSADTGNDGWAALLDEIRGSLQAEDSSSSIPAATSGGVVVPDELLTAPPSVVIPDEILGADPSSTLQAPGPGGGAIPEDLLAALHLDASNPVVRAAWGALSRLDELTSGLSGPQRWAAAAFAAATWAYLTARPGVLSGAVDAYVLAPLQLAVDSAVGRRSLRMSDFVVGERIGEGSFGVVYSGAVVPRGGAAPAARKGKAKTRLELDERYKEKVILKKIKVGTAGAKECGDYEEWFNYRVARAAPESCAEFLGSFVADKTKSEFVKGGKWLVWKFEGDRTLGNYMSDRNFPFNLEGLMFGRAVRGLDDGSRAALVVKQVMRQLVTSLKRIHGTGIVHRDIKPSNLVVTRRGQVKLIDFGAATDLRIGKNYVPDRALLDPDYCPPELYVLPEETPQPPAEPIAAILSPILWQINSPDLFDMYSAGIVLMQMASPMLRSPSGLKNFNAELKAAGYDLNRWRETTRLRPDLQILDLDSGRGWDLATKLISQRGADKRGRLTAAAALRHPYFLLGGDQAAAVLSKLSLSK >ORUFI05G21680.1 pep chromosome:OR_W1943:5:20649201:20656918:-1 gene:ORUFI05G21680 transcript:ORUFI05G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLVLIAFLSASFLFLHIPCARCADLNSDRQALLAFAASVPHGRKLNWTLTTQVCTSWVGITCTPDGRRVRELRLPAVGLFGPIPSDTLGKLDALQVLSLRSNRLTISLPPDVASIPSLHSLYLQHNNLSGIIPTSLSSNLTFLDLSYNSFDGEIPLKVQNITQLTALLLQNNSLSGPIPDLHLPNLRHLNLSNNNLSGPIPPSLQKFPASSFFGNAFLCGLPLEPCPGTAPSPSPMSPLPPNTKKSFWKRLSLGVIIAIAAGGGLLLLILIVVLLICIFKRKKDGEPGIASFSSKGKAAAGGRAEKSKQEYSSSGIQEAERNKLIFFNGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVAGKREFEQQMEIIGRVGQHQNAVQLRAYYYSKDEKLLVYDYMTPGSLCAALHGNRTAGRTTLDWATRVKISLEAARGIAHLHAEGGGKFIHGNIKSSNILLSQGLSACISEFGLAQLMAIPHIPARLIGYRAPEVLETKRQTQKSDVYSYGVLLLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTSEVFDADLLRHPNSEDEMVQMLQLAMACVAIVPDQRPRMEEVVRRIEEIRNSSSGTSFYLEDLLAIHPGISDRQAVVSAVDKELRFPGWAWRPPVPFASPGAIPVPPTPPPGAAAAAVDARPTCSAQNQADGEPNFSCPFALAEWSRGHLHLDEFSQVDGLLWQHAEEHLDYSGGNVTLVTDQKNWDNTMEEVAEHGKTVVLKFSAIWCTPCRNAAPLFAELSLKYPDIVFVSVDVDEMPELVTQYDVRATPTFIFMKNNEEIDKLVGGNHEDLQEKFEQLNRPKLYDDQRDDGDRRRRKIERRGRRRRRCEVFDTCQRIGERMAAMGSAGGWGVSSGRT >ORUFI05G21680.2 pep chromosome:OR_W1943:5:20649201:20654269:-1 gene:ORUFI05G21680 transcript:ORUFI05G21680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLPLALQISLVAKPAWNLKGDSDLLAIHPGISDRQAVVSAVDKELRFPGWAWRPPVPFASPGAIPVPPTPPPGAAAAAVDARPTCSAQNQADGEPNFSCPFALAEARRLRLDKGTRGRWALVQAVAAYREELAAAASQLGIGGFMREGDDGSGPAKRWRLARQATGRAQRAEDTCILTNFLKSMGCCGSSTVDAEEHLDYSGGNVTLVTDQKNWDNTMEEVAEHGKTVVLKFSAIWCTPCRNAAPLFAELSLKYPDIVFVSVDVDEMPELVTQYDVRATPTFIFMKNNEEIDKLVGGNHEDLQEKFEQLNRPKLYDDQRDDGDRRRRKIERRGRRRRRCEVFDTCQRIGERMAAMGSAGGWGVSSGRT >ORUFI05G21680.3 pep chromosome:OR_W1943:5:20649201:20654269:-1 gene:ORUFI05G21680 transcript:ORUFI05G21680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLPLALQISLVAKPAWNLKGDSDLLAIHPGISDRQAVVSAVDKELRFPGWAWRPPVPFASPGAIPVPPTPPPGAAAAAVDARPTCSAQNQADGEPNFSCPFALAEWSRGHLHLDEFSQVDGLLWQHAEEHLDYSGGNVTLVTDQKNWDNTMEEVAEHGKTVVLKFSAIWCTPCRNAAPLFAELSLKYPDIVFVSVDVDEMPELVTQYDVRATPTFIFMKNNEEIDKLVGGNHEDLQEKFEQLNRPKLYDDQRDDGDRRRRKIERRGRRRRRCEVFDTCQRIGERMAAMGSAGGWGVSSGRT >ORUFI05G21680.4 pep chromosome:OR_W1943:5:20650159:20654269:-1 gene:ORUFI05G21680 transcript:ORUFI05G21680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLPLALQISLVAKPAWNLKGDSDLLAIHPGISDRQAVVSAVDKELRFPGWAWRPPVPFASPGAIPVPPTPPPGAAAAAVDARPTCSAQNQADGEPNFSCPFALAEARRLRLDKGTRGRWALVQAVAAYREELAAAASQLGIGGFMREGDDGSGPAKRWRLARQATGRAQRAEDTCILTNFLKSMGCCGSSTVDAEEHLDYSGGNVTLVTDQKNWDNTMEEVAEHGKTVVLKFSAIWCTPCRNAAPLFAELSLKYPDIVFVSVDVDEMPELVTQYDVRATPTFIFMKNNEEIDKLVGGNHEDLQEKFEQLNRPKLYDDV >ORUFI05G21680.5 pep chromosome:OR_W1943:5:20654342:20656918:-1 gene:ORUFI05G21680 transcript:ORUFI05G21680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLVLIAFLSASFLFLHIPCARCADLNSDRQALLAFAASVPHGRKLNWTLTTQVCTSWVGITCTPDGRRVRELRLPAVGLFGPIPSDTLGKLDALQVLSLRSNRLTISLPPDVASIPSLHSLYLQHNNLSGIIPTSLSSNLTFLDLSYNSFDGEIPLKVQNITQLTALLLQNNSLSGPIPDLHLPNLRHLNLSNNNLSGPIPPSLQKFPASSFFGNAFLCGLPLEPCPGTAPSPSPMSPLPPNTKKSFWKRLSLGVIIAIAAGGGLLLLILIVVLLICIFKRKKDGEPGIASFSSKGKAAAGGRAEKSKQEYSSSGIQEAERNKLIFFNGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVAGKREFEQQMEIIGRVGQHQNAVQLRAYYYSKDEKLLVYDYMTPGSLCAALHGNRTAGRTTLDWATRVKISLEAARGIAHLHAEGGGKFIHGNIKSSNILLSQGLSACISEFGLAQLMAIPHIPARLIGYRAPEVLETKRQTQKSDVYSYGVLLLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTSEVFDADLLRHPNSEDEMVQMLQLAMACVAIVPDQRPRMEEVVRRIEEIRNSSSGTRSSPEDKLKEEAIQIT >ORUFI05G21690.1 pep chromosome:OR_W1943:5:20666111:20667972:-1 gene:ORUFI05G21690 transcript:ORUFI05G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVTRRLGGSCSGRGAAGRAAARRRDAEGRRWRRMRRRGSDSATRRAGGSCGCGGAAAWRRDAEGRRWRWMRRSGGGGAAARRRGGLPLATTGGVGSVTRRTTGGGLAVAAEGRRSLVDEDDGRRWRWLGYDDCRRQHA >ORUFI05G21700.1 pep chromosome:OR_W1943:5:20671706:20675232:-1 gene:ORUFI05G21700 transcript:ORUFI05G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLGAEVGKNDYDWLLTPPGTPRCPVLEVAEKTPSPNILPKRTATRSSSTTRASRLSVSQTENGHSTAPTRPARSNSVTRPSIQSTLMSSSNRTAVLNTSISSVSSRPTTPSRRSSTVVAPKQSIAASRPVPARSSTPVKTRPSTPTKTRPSTPVRTRQTANSTSDSAAARTTSAQNSRPSTPTSRSRAMPNSSSGAIPAMSRPGASTISATSRSNASTISATSRPGSSSSNVPGISRATSLSSSTVPSMSRSSSRSSTPTRQPAMRSSAPAVGRSPSVGRSSSISSLTSSINRPAANGGRNSAPSSAPSSRPSSPGPRPRAPVRPLDIPDFPNETPPNLRTKLPERPLSAGRSRPGMALGVRSTSNTEPSAASAPVKKVSVPAMSRSKFSDAPSRTPTLTNGRQNRQSERSTVDSQPSKVSRPATGTDNGFGMTMSKKSLDMAIRHMDIRQNLGGIRGASLFPHSIRSTAGKGRPARMSDPGHTISNGDHRHYADNGSTNGHFSGDSNGALSRNGGSSTDSPDRGSIGGKETLSELDMYGSSRYEAMLLREDVRNTSWLHGFDDSKPDQSPLFDHRFEPLPEPFSPL >ORUFI05G21710.1 pep chromosome:OR_W1943:5:20677903:20680682:-1 gene:ORUFI05G21710 transcript:ORUFI05G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTQIAHYARNDGHDDGCRRAPRGCVVVVVVGGGGGSFFIFSGVKAELGQARGVPGGAADEPNCELHRWSHGEHCIWVNQQL >ORUFI05G21720.1 pep chromosome:OR_W1943:5:20680889:20682756:1 gene:ORUFI05G21720 transcript:ORUFI05G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVGKQIQQMVRFILQEAEEKASEISVAAEEEFNIEKLQLVESEKRRIRQDYERKAKQVDVGRKIEYSTQLNAARIKVLRAQDGVVGEMKEDAGKSLLRVTKDATAYRKVLKGLIVQSLLRLREPSVVLRCREADRGHVESVLEAAKKEYAEKAKVNLPKILIDGKVYLPPPKTARDAHGPFCSGGVVIASQDGKIVCDNTLDARVEISFKQKLPEIRKKLFSQKVSQ >ORUFI05G21730.1 pep chromosome:OR_W1943:5:20681410:20684408:-1 gene:ORUFI05G21730 transcript:ORUFI05G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRASRSGQGEDESLGRCLRWRRNRFKRLFGDSACDVRRPLERLIEEKPEGDNYERGKGLMGVRGFGGDHLHDEALEDLPVGGGVLGDAEEALAGILLHLAHHAVLRPQHLDPRRVQLRRVLAATMRKIKSKHRVNNQERH >ORUFI05G21730.2 pep chromosome:OR_W1943:5:20682820:20684408:-1 gene:ORUFI05G21730 transcript:ORUFI05G21730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRASRSGQGEDESLGRCLRWRRNRFKRLFGDSACDVRRPLERLIEEKPEGDNYERGKGLMVSFA >ORUFI05G21740.1 pep chromosome:OR_W1943:5:20684930:20686524:1 gene:ORUFI05G21740 transcript:ORUFI05G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLYLHRLVQANWTRMCRRGRFCFHCRSPFCHHCCPEHWDRHHPAGGRGRVATIGLLGSGDPAAFAKYPVGRWGYNWNYIQRVKDWNRDWILLNPRMTPLQGRGRTCVNCNQKIGESSARYCCLMCKHNHVHQGKGRDMIQALAAGNYFQIHRPDRFCTICMSSFCSACCAEHIERHHPEKANAHGDQIIEVVHVDAWAAVAPSVLVPEDVLHGVQVVHAGGGALVYPVMRLEAPPAVQHVGDVPWQHNCGAPGCHEMILVQAQFCCLRCKAAVHWAA >ORUFI05G21750.1 pep chromosome:OR_W1943:5:20689084:20689371:1 gene:ORUFI05G21750 transcript:ORUFI05G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHQELHEQEDPRKKETSESRATLRRHQEHPRESINSLRDQRAGRRRIDRKQEKKRKGEESIGSGAASSRKNARTGVADAKKQRS >ORUFI05G21760.1 pep chromosome:OR_W1943:5:20689414:20690882:1 gene:ORUFI05G21760 transcript:ORUFI05G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLYLQRLVQEDWRMTCRRNRFCFYCWLSFCDHCCKEHWDHHHPEEGLPRVATVATVELLAENPAVLARYPVGTEYDWEGIQRLRGDEQTNWILLRPWVPPMYGRKKDFSSCVDCHQRIKKPTNALYCCTMCKLNQVQEEDQGRDMVEALATGDYSTQALLHDNFCVLCTSSFSSDCCTYHMELHHPDVEDIGVWLVLIEVVYVDGWAAVAPSELVSENVLAGVQVLQVQADDETVLYPLRRTVAAAVDRLGHVPGWHGCGAPGCHEMIPAQALFCCLRCKAAVHWAA >ORUFI05G21770.1 pep chromosome:OR_W1943:5:20691872:20695952:1 gene:ORUFI05G21770 transcript:ORUFI05G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASASASAVVTPSSFRCVPTASCGLGARGKAPAPRRLLHDHAQGKKRAAATWSLKAGLWDSLRSGFLKSNNSTETVEPPSAPIEEEEPLPEELVLLERTLADGSTEQIIFSSAGDVNVYDLQALCDKTPNQNSSILKKQLPGCYTTFSYYAFKSRSYLTEGEERKQLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALMEKVIRTLLQRDISNITLFADNKVVDFYKNLGFEADPQGIKGMFWYPRF >ORUFI05G21780.1 pep chromosome:OR_W1943:5:20702786:20714316:1 gene:ORUFI05G21780 transcript:ORUFI05G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLRRSTSRSAEIEADRRKEEESWAGLIPRPSSIAADYLEDMINFGGVLCAVTLVVLLPFLEAADGKSTDPSEVSALMAIKGSLVDPMNNLKNWNRGDPCTKNWTGVFCHDLGDTYLHVTELQLFRRNLSGNLVPEVSLLSQLKILDFMWNNLTGNIPKEIGNITTLKLILLNGNQLSGLLPDEIGNLQSLTRLQVDQNHLSGAIPKSFANLRSVKHLLVDNNNLSGPLPPELAAAKSLKILQADNNNFSGSSIPTLYYNMSGLFKLLQGAIPDLSAIPQLDYLDLSWNQLTGSIPTNKLASNITTIDLSHNMLNGTIPSNFSGLPYLQLLSLKNNLLDGSVPSEIWAGVNPNRNGSLVLDFQNNSLNMLPAEISPPPPNVTVVLYGNPICENSSETLIINLCRLQSINLEKSKQETSTAMVCGACPTEKNYEYNPSFSDQCFCAVPLGVGLRLKSPGVTDFHPYENAFKIDLTSLLQLFPYQLYIENYIWEVGPRLNMHLKLFPSNTSLFNMSEVVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNIASSGLSKAALGGILASTIASAIALSAVVTALIMRRNSRTNRISRLSRFSVKIDGVRCFTYEEMASATNNFDMSAQVGQGGYGIVYKGILADGTIVAIKRAHEDSLQGSTEFCTEIELLSRLHHRNLVALVGYCDEENEQMLVYEFMPNGTLRDHLSGKSKQPLGFGLRLHIALGASKGILYLHTDADPPIFHRDVKASNILLDSKYVAKVADFGLSRLAPVPDVEGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMKPIEHGKNIVREVKKAYRSGNISEIMDTRMGLCSPECVDSFLQLAMKCSRDETDARPSMTEIVRELELILKIMPEGDLIQLETPQTYSGRAMSKDPMSKSTSNSTNGNYLASSQTFTSVDASSSGVLSGMVSPR >ORUFI05G21780.2 pep chromosome:OR_W1943:5:20702786:20712471:1 gene:ORUFI05G21780 transcript:ORUFI05G21780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLRRSTSRSAEIEADRRKEEESWAGLIPRPSSIAADYLEDMINFGGVLCAVTLVVLLPFLEAADGKSTDPSEVSALMAIKGSLVDPMNNLKNWNRGDPCTKNWTGVFCHDLGDTYLHVTELQLFRRNLSGNLVPEVSLLSQLKILDFMWNNLTGNIPKEIGNITTLKLILLNGNQLSGLLPDEIGNLQSLTRLQVDQNHLSGAIPKSFANLRSVKHLLVDNNNLSGPLPPELAAAKSLKILQADNNNFSGSSIPTLYYNMSGLFKLLQGAIPDLSAIPQLDYLDLSWNQLTGSIPTNKLASNITTIDLSHNMLNGTIPSNFSGLPYLQLLSLKNNLLDGSVPSEIWAGVNPNRNGSLVLDFQNNSLNMLPAEISPPPPNVTVVLYGNPICENSSETLIINLCRLQSINLEKSKQETSTAMVCGACPTEKNYEYNPSFSDQCFCAVPLGVGLRLKSPGVTDFHPYENAFKIDLTSLLQLFPYQLYIENYIWEVGPRLNMHLKLFPSNTSLFNMSEVVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNIASSGLSKAALGGILASTIASAIALSAVVTALIMRRNSRTNRISRLSRFSVKIDGVRCFTYEEMASATNNFDMSAQVGQGGYGIVYKGILADGTIVAIKRAHEDSLQGSTEFCTEIELLSRLHHRNLVALVGYCDEENEQMLVYEFMPNGTLRDHLSGKSKQPLGFGLRLHIALGASKGILYLHTDADPPIFHRDVKASNILLDSKYVAKVADFGLSRLAPVPDVEGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMKPIEHGKNIVREVKKAYRSGNISEIMDTRMGLCSPECVDSFLQLAMKCSRDETDARPSMTEIVRELELILKIMPEGDLIQLETPQTYSGRAMSKDPMSKSTSNSTNGNYLASSQTFTSVDASSSGVLSGMVSPR >ORUFI05G21780.3 pep chromosome:OR_W1943:5:20702786:20714316:1 gene:ORUFI05G21780 transcript:ORUFI05G21780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLRRSTSRSAEIEADRRKEEESWAGLIPRPSSIAADYLEDMINFGGVLCAVTLVVLLPFLEAADGKSTDPSEVSALMAIKGSLVDPMNNLKNWNRGDPCTKNWTGVFCHDLGDTYLHVTELQLFRRNLSGNLVPEVSLLSQLKILDFMWNNLTGNIPKEIGNITTLKLILLNGNQLSGLLPDEIGNLQSLTRLQVDQNHLSGAIPKSFANLRSVKHLLVDNNNLSGPLPPELAAAKSLKILQADNNNFSGSSIPTLYYNMSGLFKLLQGAIPDLSAIPQLDYLDLSWNQLTGSIPTNKLASNITTMSLKNNLLDGSVPSEIWAGVNPNRNGSLVLDFQNNSLNMLPAEISPPPPNVTVVLYGNPICENSSETLIINLCRLQSINLEKSKQETSTAMVCGACPTEKNYEYNPSFSDQCFCAVPLGVGLRLKSPGVTDFHPYENAFKIDLTSLLQLFPYQLYIENYIWEVGPRLNMHLKLFPSNTSLFNMSEVVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNIASSGLSKAALGGILASTIASAIALSAVVTALIMRRNSRTNRISRLSRFSVKIDGVRCFTYEEMASATNNFDMSAQVGQGGYGIVYKGILADGTIVAIKRAHEDSLQGSTEFCTEIELLSRLHHRNLVALVGYCDEENEQMLVYEFMPNGTLRDHLSGKSKQPLGFGLRLHIALGASKGILYLHTDADPPIFHRDVKASNILLDSKYVAKVADFGLSRLAPVPDVEGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMKPIEHGKNIVREVKKAYRSGNISEIMDTRMGLCSPECVDSFLQLAMKCSRDETDARPSMTEIVRELELILKIMPEGDLIQLETPQTYSGRAMSKDPMSKSTSNSTNGNYLASSQTFTSVDASSSGVLSGMVSPR >ORUFI05G21780.4 pep chromosome:OR_W1943:5:20702786:20712471:1 gene:ORUFI05G21780 transcript:ORUFI05G21780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLRRSTSRSAEIEADRRKEEESWAGLIPRPSSIAADYLEDMINFGGVLCAVTLVVLLPFLEAADGKSTDPSEVSALMAIKGSLVDPMNNLKNWNRGDPCTKNWTGVFCHDLGDTYLHVTELQLFRRNLSGNLVPEVSLLSQLKILDFMWNNLTGNIPKEIGNITTLKLILLNGNQLSGLLPDEIGNLQSLTRLQVDQNHLSGAIPKSFANLRSVKHLLVDNNNLSGPLPPELAAAKSLKILQADNNNFSGSSIPTLYYNMSGLFKLLQGAIPDLSAIPQLDYLDLSWNQLTGSIPTNKLASNITTIDLSHNMLNGTIPSNFSGLPYLQLLDFQNNSLNMLPAEISPPPPNVTVVLYGNPICENSSETLIINLCRLQSINLEKSKQETSTAMVCGACPTEKNYEYNPSFSDQCFCAVPLGVGLRLKSPGVTDFHPYENAFKIDLTSLLQLFPYQLYIENYIWEVGPRLNMHLKLFPSNTSLFNMSEVVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNIASSGLSKAALGGILASTIASAIALSAVVTALIMRRNSRTNRISRLSRFSVKIDGVRCFTYEEMASATNNFDMSAQVGQGGYGIVYKGILADGTIVAIKRAHEDSLQGSTEFCTEIELLSRLHHRNLVALVGYCDEENEQMLVYEFMPNGTLRDHLSGKSKQPLGFGLRLHIALGASKGILYLHTDADPPIFHRDVKASNILLDSKYVAKVADFGLSRLAPVPDVEGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMKPIEHGKNIVREVKKAYRSGNISEIMDTRMGLCSPECVDSFLQLAMKCSRDETDARPSMTEIVRELELILKIMPEGDLIQLETPQTYSGRAMSKDPMSKSTSNSTNGNYLASSQTFTSVDASSSGVLSGMVSPR >ORUFI05G21780.5 pep chromosome:OR_W1943:5:20702786:20714316:1 gene:ORUFI05G21780 transcript:ORUFI05G21780.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLRRSTSRSADALMAIKGSLVDPMNNLKNWNRGDPCTKNWTGVFCHDLGDTYLHVTELDFMWNNLTGNIPKEIGNITTLKLILLNGNQLSGLLPDEIGNLQSLTRLQVDQNHLSGAIPKSFANLRSVKHLLVDNNNLSGPLPPELAAAKSLKILQADNNNFSGSSIPTLYYNMSGLFKLLQGAIPDLSAIPQLDYLDLSWNQLTGSIPTNKLASNITTIDLSHNMLNGTIPSNFSGLPYLQLLSLKNNLLDGSVPSEIWAGVNPNRNGSLVLDFQNNSLNMLPAEISPPPPNVTVVLYGNPICENSSETLIINLCRLQSINLEKSKQETSTAMVCGACPTEKNYEYNPSFSDQCFCAVPLGVGLRLKSPGVTDFHPYENAFKIDLTSLLQLFPYQLYIENYIWEVGPRLNMHLKLFPSNTSLFNMSEVVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNIASSGLSKAALGGILASTIASAIALSAVVTALIMRRNSRTNRISRLSRFSVKIDGVRCFTYEEMASATNNFDMSAQVGQGGYGIVYKGILADGTIVAIKRAHEDSLQGSTEFCTEIELLSRLHHRNLVALVGYCDEENEQMLVYEFMPNGTLRDHLSGKSKQPLGFGLRLHIALGASKGILYLHTDADPPIFHRDVKASNILLDSKYVAKVADFGLSRLAPVPDVEGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMKPIEHGKNIVREVKKAYRSGNISEIMDTRMGLCSPECVDSFLQLAMKCSRDETDARPSMTEIVRELELILKIMPEGDLIQLETPQTYSGRAMSKDPMSKSTSNSTNGNYLASSQTFTSVDASSSGVLSGMVSPR >ORUFI05G21790.1 pep chromosome:OR_W1943:5:20711503:20715881:-1 gene:ORUFI05G21790 transcript:ORUFI05G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGSAAAGAAYEEERRKRVLENLKHLEDLGIKKMSKSLLEAARLQKSTRASPKPRKKFEVGATEVRRSSRARNSVSYKENFDELDSFLCRRRGSRIRSTEQGRDYTGRVASYEQQQRAFKKAERLQNSLDPENPSFVKTMVRSHVSSCFWLGLPTRFCKLHLPPKEYKMVLEDEEGGEFDSVYIGNRTGLSGGWRGFAMHHNLEDGDSLVFELAEPDRFKIYIIKAVDEDANESEPADEEAVGDKDTSTEDAAEQDDSPNAEPLKGTKRRKLRGRRLWVFHKTITTLFVAATDRVFTIIARLAGSLTNESVKFTHRVLAHSTS >ORUFI05G21790.2 pep chromosome:OR_W1943:5:20710766:20715881:-1 gene:ORUFI05G21790 transcript:ORUFI05G21790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGSAAAGAAYEEERRKRVLENLKHLEDLGIKKMSKSLLEAARLQKSTRASPKPRKKFEVGATEVRRSSRARNSVSYKENFDELDSFLCRRRGSRIRSTEQGRDYTGRVASYEQQQRAFKKAERLQNSLDPENPSFVKTMVRSHVSSCFWLGLPTRFCKLHLPPKEYKMVLEDEEGGEFDSVYIGNRTGLSGGWRGFAMHHNLEDGDSLVFELAEPDRFKIYIIKAVDEDANESEPADEEAVGDKDTSTEDAAEQDDSPNAEPLKGTKRRKLRGRRLWVFHKTITTLFVAATDRVFTIIGVPLTTVET >ORUFI05G21790.3 pep chromosome:OR_W1943:5:20710766:20715881:-1 gene:ORUFI05G21790 transcript:ORUFI05G21790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGSAAAGAAYEEERRKRVLENLKHLEDLGIKKMSKSLLEAARLQKSTRASPKPRKKFEVGATEVRRSSRARNSVSGSRIRSTEQGRDYTGRVASYEQQQRAFKKAERLQNSLDPENPSFVKTMVRSHVSSCFWLGLPTRFCKLHLPPKEYKMVLEDEEGGEFDSVYIGNRTGLSGGWRGFAMHHNLEDGDSLVFELAEPDRFKIYIIKAVDEDANESEPADEEAVGDKDTSTEDAAEQDDSPNAEPLKGTKRRKLRGRRLWVFHKTITTLFVAATDRVFTIIGVPLTTVET >ORUFI05G21800.1 pep chromosome:OR_W1943:5:20722642:20726961:-1 gene:ORUFI05G21800 transcript:ORUFI05G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHGTDGCFSPGRAMSPQEHQKLGPFMQVLPICSRLLNQEIMRVSGMFRQPGVGDFERSQPASPNQMHPSHIVPNFCGNAFGPWNGMRPERVSFSQGPGWQGAPQSPSSYIVKKILRLEIPTDAYPNFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDPNKEEQLKGRAGYEHLDDPLHILIEAELPANVIDARLAKAQEILEELLKPVDESQDYYKRQQLRELALLNSPLREESPHPGSASPFSNGYRKIVAFVVKHPKIVYLLVDTVKK >ORUFI05G21800.2 pep chromosome:OR_W1943:5:20723166:20726961:-1 gene:ORUFI05G21800 transcript:ORUFI05G21800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHGTDGCFSPGRAMSPQEHQKLGPFMQVLPICSRLLNQEIMRVSGMFRQPGVGDFERSQPASPNQMHPSHIVPNFCGNAFGPWNGMRPERVSFSQGPGWQGAPQSPSSYIVKKILRLEIPTDAYPNFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDPNKEEQLKGRAGYEHLDDPLHILIEAELPANVIDARLAKAQEILEELLKPVDESQDYYKRQQLRELALLNSPLREESPHPGSASPFSNGGMKRMKQ >ORUFI05G21800.3 pep chromosome:OR_W1943:5:20723166:20726961:-1 gene:ORUFI05G21800 transcript:ORUFI05G21800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHVLGGVVAGASEAGAVHAEIMRVSGMFRQPGVGDFERSQPASPNQMHPSHIVPNFCGNAFGPWNGMRPEFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDPNKEEQLKGRAGYEHLDDPLHILIEAELPANVIDARLAKAQEILEELLKPVDESQDYYKRQQLRELALLNSPLREESPHPGSASPFSNGGMKRMKQ >ORUFI05G21800.4 pep chromosome:OR_W1943:5:20723166:20726961:-1 gene:ORUFI05G21800 transcript:ORUFI05G21800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHGTDGCFSPGRAMSPQEHQKLGPFMQVLPICSRLLNQEIMRVSGMFRQPGVGDFERSQPASPNQMHPSHIVPNFCGNAFGPWNGMRPEFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDPNKEEQLKGRAGYEHLDDPLHILIEAELPANVIDARLAKAQEILEELLKPVDESQDYYKRQQLRELALLNSPLREESPHPGSASPFSNGGMKRMKQ >ORUFI05G21810.1 pep chromosome:OR_W1943:5:20734987:20737783:1 gene:ORUFI05G21810 transcript:ORUFI05G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHWLEAMLPLGIIGGMLCIMGNAQYYIHRAAHGRPKHIGNDNWDMAMARRDKVLLHQASSENN >ORUFI05G21820.1 pep chromosome:OR_W1943:5:20738207:20740343:-1 gene:ORUFI05G21820 transcript:ORUFI05G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKHGIQRSATFVEDHRQQPPQPGDTSSPAIASPRATRFADDSRRPDRSLAAASSSPQPDGSTPDPVTQLYTSARGAKGNETKHGFWGVLAQQAIVMLDENGGTDDNHSVTSQSRWSYDRVRKPENPPLDIGCKIKTALEEGLTKVEGSSRTGDGVHGRKLHIRRKACSMDLRNSSMGLSSPEAMSPTMSDTESPQIKASRDVASAMAAKVKLLQRELKTVKADMAFSRERCAQLEEENRMLRDGKHDADEDLIRQQLETLLAEKARLANENTVYARENRFLREIVEFHQLNMQDVVDLDDEDMAGDGDGEEGDDDHQQYGCHLRAHEAAHGLWAGGGLGTPPQSPLGHAGRMGMSRSNSRAAESPTMRRSLKEENVDEPETPPTRRSLKEKADVDAPPETPPTRRSLKEADVDEPDTPPTRRSIKEDADDAPETPTIKQDIGSPETATTPARRSSNDDLGAAETTTPTRRSFKDDNGVTEMKNEH >ORUFI05G21830.1 pep chromosome:OR_W1943:5:20742320:20747946:1 gene:ORUFI05G21830 transcript:ORUFI05G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSPATAALLLRRSLAAAAAAASPALRSGPRQLVRASLLDESLSTQTSSAASTMAVQYHQWSSSADGDDDEVLEAFNRDCCTDAGAGVLDDSASTAYVEKLCTSGNLPDAVQILRHLHDRKIHVGLGTFNLVLEQTGEVNNFVLFAKVFRYLLLSKIAPDLTSYTNVAKALQKLDDYELILKFVRQVMEITHDRDPTVMNRIVFTTAKYGQIDKCLIIFEELKKDQRGLDVVTFNTILDMLGKAGRVDQMLQEVKLMDELGHSPDIVTYNTVINCLRRLGRLDQCKIFAREMVERGIDPDLRTYTALIDIFGRAGHITEALEMFDQMKRSHQPSIYVYRALISDLKKAGQFELAQKLSEEMKTSASELLGPEDFKQKFKGRKINKNNTHWFNFCLFCTSTSSISALPFMFSAVKNSWSLEWREGGSYMRGEVMGAISSPVDPAAVSAIYDWRPVVSSLARLLSVRDELQC >ORUFI05G21840.1 pep chromosome:OR_W1943:5:20747375:20749882:-1 gene:ORUFI05G21840 transcript:ORUFI05G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRSVLEMVVAAAQGGGGAAGESVLGMLRYAVLPIAKVFVVCFMGFLMASKRVGVLKPSGRKLLNALVFSLLLPCLIFAQLGRSITIDKIMEWWFIPANIALGAVSASLVGLIVALIVRPPYPYFKFTITHIGIGNIGNIPLVLISALCRDQLNPFGDSNKCTQDGNAYLSFGQWVGAIIVYTYVFKMLAPPPGQTFDSCDEERDKLPIKAPNTMSSVAKYPSSAHGNTHEEEPLLSIEEEEEEGQDVHSLGSKIMIPIKGMVRFLQKKQLLQPPIIASVLAITLGVVPFLKNLILTDDAPLFFLTDSCLILGEAMIPCILLAVGGNLVDGPGEGSRRLGVRTTVAIIFARLILVPIAGIGIVSFADKLGFIPKGDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILYLTMLY >ORUFI05G21840.2 pep chromosome:OR_W1943:5:20747535:20749882:-1 gene:ORUFI05G21840 transcript:ORUFI05G21840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRSVLEMVVAAAQGGGGAAGESVLGMLRYAVLPIAKVFVVCFMGFLMASKRVGVLKPSGRKLLNALVFSLLLPCLIFAQLGRSITIDKIMEWWFIPANIALGAVSASLVGLIVALIVRPPYPYFKFTITHIGIGNIGNIPLVLISALCRDQLNPFGDSNKCTQDGNAYLSFGQWVGAIIVYTYVFKMLAPPPGQTFDSCDEERDKLPIKAPNTMSSVAKYPSSAHGNTHEEEPLLSIEEEEEEGQDVHSLGSKIMIPIKGMVRFLQKKQLLQPPIIASVLAITLGVVPFLKNLILTDDAPLFFLTDSCLILGEAMIPCILLAVGGNLVDGPGEGSRRLGVRTTVAIIFARLILVPIAGIGIVSFADKLGFIPKGDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILYLTMLF >ORUFI05G21850.1 pep chromosome:OR_W1943:5:20754234:20760501:-1 gene:ORUFI05G21850 transcript:ORUFI05G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRSMAARAKAKQMNRPSATSATMKELALCHDNVVHIACLVAATSPEPIADLLSLHATCKAMHAAAKERDVGKCVPLERLDNMKWMENERYLAIVNHLVGAGNPDACFITGVTLVFAHQDMEQGLLFLNKAATAGHKAAAYVLGLLLYKFDDARATGKKYISQVEDDGNEAATGVGVKRTNRECQQYRKIVGDVIQEVTWKVGGRRGRMLVLPEDSHHCTATGCGVESGWEGYGIFCSDDCRIKHEYSKFFTEAKQMKRPSMASATTKELALRHDNIVHIACLVAATSSEPITDLLSLCATCKAMHAVAKECDVGSYVPLERLDNMKWMENERYFIVVNHLVTADNLDTCFIVGVTLVFAHQDMEQGLLFLDKAAITGHKAAVYVLGLLLHGDGEEAATGVGVKRTNQECQQYWKIAEDMIQEATWKVKGRHSRMLVLSEDSHHCMATGCGMESGWGSYGVFCSDECGIKHEYYKFFTEEGDVGRHVPLERPNNMKWMENERYLTVVNHLVSAGFIIGVTLVFTHQDMKQGLLFLNKAATFRHKMAAYVLILLLYKSNEAHATRKKCISQVEGDSDKAATGVGVKRINRECQRCWKITEDVIQEAMWKVGGCRSRMLVLPEDSHQCMTACCGVE >ORUFI05G21860.1 pep chromosome:OR_W1943:5:20777240:20787040:1 gene:ORUFI05G21860 transcript:ORUFI05G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFFVFFTAAALPVVVAAAVIAGLCITAAWLARPRRVAEVFRRQGIDGPPPSSFLAGNLPEMKARVAAAASAAAPTADGEETASAGGGGGGRDFEKDGFDDYCTRIFPYFHKWRKAYGETYLYWLRRRPALYVTDPELIGEIGRCVSLDMGKPKYLQKGQEPLFGGGVLKANGACWARQRKVIAPEFYMARVRAMVQLMVDAAQPLIASWESRIDAAGGAAAAEVVVDGDLRSFSFDVISRACFGSDYSRGREIFLRLRELSGLMSETSVIFSIPSLRHLPTGKNRRIWRLTGEIRSLIMELVRERRCAARAAREHGGKAAPPSPPERDFLGSIIENSGGQPRPDDFVVDNCKNIYFAGHETSAVTATWCLMLLAAHPEWQGRARAEVLEVCGGDGAAAPAAPDFDMVSRMRTVGMVVQETLRLFPPSSFVVRETFRDMQLGRLLAPKGTYLFVPVSTMHHDVAAWGPTARLFDPSRFRDGVAAACKHPQASFMPFGLGARTCLGQNLALVEVKTLVAVVLARFEFTLSPEYRHSPAFRLIIEPEFGLRLRIRRAGGQDATSQVDTSTAPVHSSHN >ORUFI05G21870.1 pep chromosome:OR_W1943:5:20787832:20788467:1 gene:ORUFI05G21870 transcript:ORUFI05G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGDAAPARHNAGHGRRRRRVLVWASFAALVLLLVAAAAAIAALAVLRPRDPTTELLSVNATGATPRVAALPAVSVQLNVTFLLVVRVRNPNRAEFRHGAATTALLYRGAEVGAAGVPAGTVPSRGAATLRLNMTVRADRVVAAAGVGGLLADVLAGEMEFEARTEVRGRVKLLGLVRRSAVARSLCRVVIGVADVKVRRQECHNESKL >ORUFI05G21880.1 pep chromosome:OR_W1943:5:20792157:20796331:1 gene:ORUFI05G21880 transcript:ORUFI05G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRISASPRPCSGRRVVARKRPRHEAAVNSVRKLQRREISSCRDRAFSMSAAQERFRNIQLQEEFDTHDPKENSLLLPYLRKRSKIIEIVAARDIVFALSQSGVCAAFSRETNRRICFLNGSPDEVIRSLFYNKNNESLITVSVYGSENFSALRCRTTRIEYIRRAKPDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYSISDKNVQEIKISPGIMLLIYTRTSSSVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQEGENLQILDVRNFQLTEVSRTEFMTPSAFIFLYELQLFLTFRNRSVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSNDSSSEENAGSINISNILTGKCLAKIKASDLCKQKKAWKFQSTALEALEDITALYYDEERDEIYTGNRQGLVHVWSN >ORUFI05G21890.1 pep chromosome:OR_W1943:5:20797582:20801170:-1 gene:ORUFI05G21890 transcript:ORUFI05G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDFSWTLPDHPKLPKGKPVAVVVLDGWGEADADQYNCIHVAETPTMDSLKKGAPEKWKLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDTALASGKIFEGEGFKYIKESFDQGTLHLIGLLSDGGVHSRLDQLQLLLNGASANGAKKIRVHILTDGRDVLDGTSVGFVETLENDLSQLRAKGIDACIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPHKFQNAVEAVKTLRSETKANDQYLPPFVIVDDSDKAVGPIVDGDAVVTFNFRADRMVMIAKALEYEDFDKFDRVRVPKIRYAGMLQYDGELKLPSHYLVSPPEIERTSGEYLVKNGIRTFACRQFGHVTFFWNGNRSGYFDETKEEYVEIPSDSGITFNVKPKMKALEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKMILDAIEQVGGIYLVTADHGNAEDMVKRNKSGQPQLDKKGEIQILTSHTLQPVPVAIGGPGLHSGVRFRNDVQTPGLANVAATVMNLHGFEAPADYEPTLIEVVDK >ORUFI05G21900.1 pep chromosome:OR_W1943:5:20803520:20811688:1 gene:ORUFI05G21900 transcript:ORUFI05G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALWCDLNADVLRLVHKRLPCLVDRRNMRRACKSWRAAVAAPAPPQQRPVPWILVPSAGGPTFSCAVGGCRRHDFGVPDYAREARYFGTYSGGWLFLNFGNTRGHGRHVLLSLRTKYRIGLPGIVYLHLNPEFIRDMVMIAATLSSPPEDEHCIGAAISSYWPPGMNGARVHAFWRMRRQVAVMPTAIEGVIGPILEDVIHHKEAFYFLTAQEHLHVFALPEFRVNRRGHLHIAPMEIRSFPHDGRDYDGRAVARYLVESRENLLMVVRFVSDPPQMPPKTSAFKVFEMVELPINNGEARYAWNELESLGGRMLFVARGCSKSYEVADYPGLGFSAGVYFLDDGRIYDEFTVLDDTARRYPCRDSGKWLLGAAEADNFLPEQAPTDWWMKARMAFRTGYRSIFDSVFALTCWLLWEERNARVFEQKFRSIEQLVQNIKEEVIVWKTAGFYRLVAHLLLLPNQPTISFRFSLSKKKKIPFRFEKQRNPARTAHRLASSPRHRQAAPPASPMDDAPWRELDADVLRLIHSRLPCHVDRRRMGRVCRNWRVAVAPQQPPPPPLPSILVPRADVEPSFACAIAGCATHAFRLPLPADARAARYFGAYDGRWLFVAFGQTKDYALLSLRTHHRLRIPYPYVSWATVAATLSSPPENEDCLAAAICHYCQETGPRVHRFWRMGQHQAALKRTRISVPTIMSATNLEDVIHHRGAFHFLTGEENLHVFPVPGFHEDGNGNLEIPPMVIRRFSRGGRDYDGKKVVVRYLVESGGYLLMVVRLAPFPPLQAPPPPRTSAFKVFEMVEPPPPTPINSSEAQYSWKELDSLGGRMLFVARGCSRSYDAGDYPRGLEFTAGVYFLDDGRLYGEERVIGAAAERRYPCRDSGKWLPLPAAAAAGRVDKLLPEQAPSNYSPPVWILP >ORUFI05G21910.1 pep chromosome:OR_W1943:5:20815521:20816138:-1 gene:ORUFI05G21910 transcript:ORUFI05G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASVGSTPPPPDQEDEDEETTRAHKPWRMFLIPEDHVFVVDYCAGDEPTVHIKPRSDADTDADDDDQPPITYVRPPRHEAAGSAPAPEEGAIIVPAPWSRPLSEKVIKAILKDNGETKTTTTTVPESVRLSPDLVMFYRPVEGSTEVLVASRDYIEYLDLTKKPGCHQAVSLLDQRAVLPTSFSTHDA >ORUFI05G21920.1 pep chromosome:OR_W1943:5:20824400:20845058:-1 gene:ORUFI05G21920 transcript:ORUFI05G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLENASSTALTGQINNHHIKSRREGRAVGARDEDRWERPRLRQRLLRRDGEAPVVADSCHAAAVDEAEDVGVEVAPWRVVHWRGGGAACRWQGEEAMRYSAVCAPSPGLVPLLLGTGGHGRSGWATILTLWYRAPEVLLGATHYSTPVDIWLLGTPNEQVWPGVSKLPNWHEYPQWNPSKVSDLVHGLDADAVLNDLSPDARHHATSAEAIDDVGVHDPGAVADRLSDAANVSAVDSLRVDQRQPEIEVAVAVVAVENWDGDAGAAPAGRSLGRRWRLMEKRSASQLVLHVFERRPEVDDVLRARARGGKAARRRRERRYGLGGPTESAFPASRSFESQHLMMTDGRNSINKSDELISTRIRDSRRRSVTLSGGRSGASLLPNLCFGAVGVWVVKEAEESIPTHTARLCLLAAGQPRHRLSPPASPMDARWCDLNADVLRLVHKRLPCLVDRRNMRRACKSWRAAVAAPAPPQQRPLPWILVPSSGGPTFSCAVGGCRRHDFGVPDYAREARYFGTYSGGWLFLDFGNTRGHGRHGLLNLRTKYCISIPGIVCLDLNPSIIRDMVMIAATLSSPPEDEHCIGAAISSYWPGMNGARMHAFWYMRRQVAVMPTASEGVFGPFLEDVIHHKEAFYFLTAQERLHAFAVPEFRVGRRGYLDIPPRKIPSFPHDGRDYDGRDVARYLVESRENLLMVVRFVSDPPQMPPRTSAFKVFEMVELAINNGEAQYAWNELQSLGGRMLFVARGCSKSYNVADYPGLGSAPASTSWTTTESTTSSRSSSMAPDGATPAETAASGCWGRRRRTTSCRSKPRRATHRRLGFSPESVLMLYRRERPRPRRRLRLRRDGDAPVVADSGHAAPVDEAREALVDEAGDVADEVAPQRVHLRGGGAACRWRGGDALCVRAAGMEEQHPLERPLPWILVPRGADGPSFSCPIAGCRGHGFGIPDDARAARYFGTYGGGWLFLAFGQIKRHALLSLRTEQRFYLPDIARWDFAGRPAFDTDIVMVAATLSSPPEDKGCVGAAIVFHRASLYSPRVHAFWRMGKQIAVATTCTNTIAGRLLEDVIHHKGAFYFLTAQEHLHVFEVEEFYEDGDGNLKIAPMVFRRFSRGGRDYGGAIAVRYLVESGENLLMVVRLVPHPPRLPPRTSAFKVFEMVEPPLETPINNDEAPYGWNELESLGGRMLFVARGCSRSYDANKYPGAEFNEGVYFLDDGRLYCEAAVFVDQAAAAAADEPRCPCWPCTDSGKWLAAAGEVPRVDKFLPEQGPSNHYSPPAWPMKKCSTKCSYQKFRPKQTVTINWAQAQLLARLAKGWPSLLLLPNQTTPSFPCFQKPSSNMAHNDEASSSRSTTSSAAQPSPPPPPRLASASSSPMDAVAPARDFMAESLRLVHNRLTCLVDRRTMARKPSSNMAHNDEASSSRSTSSSAAQPSPPSRLAPASAFASPMDAAAPARDFMAEALRLVHNRLTCLVDRRTMARVCHAWRAAVKPLHPPPEERPLPYILLPGDGERSFSCALRGCATHRFHIPDIPRDARCFGAHPGGWLFFAVAQTTKNAILRDEFPSGIPDVLHLDDRPPDKDTDVVMFAATISSHPEDQRCIGAAILSHFPDVTNPSIYAFFRMGVLPAMVANGDDASNAGSASGLEDLIHYDDAFYFLTGEEGLLVFRVSEFHDFKDEELDIAPIEIRRFPRRGRGHYGEDDVAVVRYLVESRGRLLMVARIAAGAGPLRPSPPSPTTTSEFRVFEMARRSTAFAATNNDGAEYDWVELDSLGGRMLFVARGCSTSYEVARHPGFEEGVYFLDDGRLYGEVAMFRDPNLRQYPCRDSGRWLASAPEAVPRVDNFLPEQAPSNYSPPACKFQSVSRRGPLKPYARRPPRCAVAVAVAVAAAVAAAVAAGALVMRPGRRWGYRRVAVALAVCVHAAVLLSAVVYLSSVLSAAGPGASSSSLQETEMMRLTAKLEQIIENQDKYNSGMMHGFASMLSKNPGIIKEMTYRITNPDDTVRVQLAVTMRDDVQKETPKRRERNDERARAWGARQPERRRGNRYRRPQPCASGHSDQGGHRTTALTSPVTPSPQASQASPLLSKPLTGERMPRRMRTTSLLRSYTNVQRRHSIRKPPKHAKQLLHLRRPRLSIRKSMPEARKRSSTILQREERDRAPLRPLRKRHKRKMQRRRPEKKQH >ORUFI05G21930.1 pep chromosome:OR_W1943:5:20845002:20862459:1 gene:ORUFI05G21930 transcript:ORUFI05G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKRRDTEWLGLEEEAESEKASLMVVGVHVFDSSIALGWDGMGWDEPTFRGASGMGWSLERNIPPRSGTNPSGKIGRTSSSHLDGAHSVSSATAGAPPRPPTTTSTTTDAPPRPPASTPVAATSEMLGTIPPLIAMLDESGCGDVDAAGSSGGEAWRSKLIACWPTHLLLPNEAVISTRFQKQRNQSHTHLATGKPRLRLSPSPMDAPWFNLNADVLRLVHKRLPCLVDRRRMARVCHNWRVAVAPQPQPPPGTRPLPSILVRRAYRTSFACALAGCATHGFGHPLPADARAARYFGAYDGGWVFVCFYRTLDYALLSLRGDEKLPLADVETLTDMVAATLSSPPDDEHCLAAAIGHSCVMYDPRVHVFWRMEHQVEEKATAVESVTTSVLEDVIHHKKAFHFLTKEENLHVFSVPGFHEDDDGNLEIPAKEVRRFSRGGRDYGGGFAVRYLVESGESLLMVVRLVPHPPLFPPTTWAFKVFEMVETPLGTPINNDEAPYAWKELESLGGRMLFVSRGCSRSYDANKYPGDEFKEGVYFLDDGRLYDEASQFVNPLPQYPCSDNGKWLGAPPRARPVVLHAAGLDSAANPPLPSSKAGLLCFFFQTNPLCSPLFPCFQKPSSNMAHNDEASSSRXGGHGLGVPDGARAARCFGAYDGGWIFLGETCRNTLLSLRDHQLRFNLPFFVRPDKTAAELGRPDGPVPNTGVIMLAATLSSPPEDEDCVAAAIITYWPFQAARRTHAFWRVQSANASQDQVAAMGHGPSAIDEPALEDVIHHKGAFLFLTAEEDLHVFTARDFHEDGDGNMKMAPRVILRFWRGRRDYGGDVVARYLVESRGNLLMVVRRVPAPLAAPPTTSAFKVFEMVQPPPRKRNKALHGWKELESLGERMLFVARGCSRSYDAGDYPGDEFGEGVYFLDDGRLYRESTVFASRAVAGKYPCRDTGKWLPAADAAGVPRVDKFLPEQGPSDYSPPAWLLP >ORUFI05G21930.2 pep chromosome:OR_W1943:5:20833441:20844995:1 gene:ORUFI05G21930 transcript:ORUFI05G21930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPISQWPTHFFQTIPPSPSVSRSRGTIPNHSRRAHTQRIASSPSASRASASQMDALWRDLVGDVPRLVHKRLPCLVDRRRMARVCHDWRVAVAPQPQPPPGTRPLPSILVPRADDGHCFACALAGCATHAFGHPLPADALAARYFGAYDGGWVFVAFGQILDYALLSLRNGTRFHFPDTGTDMVAATLSSPPGDERCVAAAISHSCMMNNPRIHAFGILRHRGVEEATHDPAEFFTGHALEDVVHHKKAFHFLTREENLHVFSVPDFHEDDDGNLVIPPMEVRRFSRGGRDYGGCFAVRYLVESGKSLLMVVRLLPHPPLFPPTTWAFKVAPYAWKELESLGGRVLFVARGCSRSYDAGDYPGDEFNEGIYFLDDGRLYDEAFQILNPFAQYREYPCRDHGKWLPPGAPGAAGGGGGGSSCRSKARRTTRRRFGFSHMEDELGGAPLLHEPPPPPQTPPRRRRTGVPVPILHGNNCNSDLYFWLPLVDAKGVHRGDLRLPDVRGIAEAVRDGARVVYADVVDGLGGGRVVARIRGQLVLLEVAPLAAYAGGDGGGGGDDGDGLQRNQSRRGRAHSGIAHRLLALPPASRASASPMDDAPWRDLDADVLRLVDRSRMARVCHNWRLAVAPQQPLPETRPLPSILVPRADGPSFAS >ORUFI05G21940.1 pep chromosome:OR_W1943:5:20845158:20860537:-1 gene:ORUFI05G21940 transcript:ORUFI05G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPPSPFQTKHISSFFQKQRNPSVPFLLLASPPASRASASPMDSPWRDLDADVLGFILKRLPCLVDRRHMARVCRNWRVAVKPEQPRPGTRPLPSILVPRADGPSFACALAGCATHAFRPPLPADARTARYFGAYDGGWVFVGIYRTMDYALFSLRTDERFPLADIEMLTDILAATLSSPPDDEHCLAAAIINTSFSLMDDPRVHVFWRMEHQVVEKATAVEFVTTSVLEDVIHHKGSFHFLTREENLHVFPVAGFHEDDDGNLEIPPMVVRRFSRGGRDYGGAIAVRYLVESGENLLMVVRLVPHPPQLPPGTWAFKVFEMVHETPINNDGAPYAWKELESLGGRMLFVARGCSRSYDADKYPGAEFNEGVYFLDDGRLYDEAFQILNPFAQFPCSDNGKWLPPAAAAAAEAVTGRVDKLLPEQGPSYYTPPYALLSLRNGTRFHVPDMSMGMVAATLSSPPGDERCVAAAISHSCMMDNPRIHAFGILRHRVAEEATHDPAEFVTTSVLEDVIHHNKAFKFLTREENLHVFPVAGFHEDVNGNLEIPPVEVHRFSRGGRDYGGAVAVRYLVESGGNLLMVVRLVTDPLLLPTRTSAFKVFEMVEPPTRTPIHDEEPAFAWKELESLGGRMLFVARGCSRSYSKNNYPDAEFNEGVYFLDDGRLYREGLSFLAPDSPEYPCRDNGKWTAAAAGSSMDKFLPEQGPHIVAIDRLASPRFASSPMESLHHDVIRLIHSRLPCLVDRRRMGRVCHSWHLAVAERQRSKLPSILVPRADGPSFACALAGCCATHGFAHPLKDDARAARYFGAYDGGWAFVAFGHTVGYALLSLRDGDRLHIPDIGMAVVAATLSSPPDDERCLAAAINLSFLIDDLRTHAFWPMRRQSAAAPEKAAKPAKVISGHALEDVVHHDDAFHFLTREENLHVFPVAGFREDDEGNLEIPPVEVHRFSRGGRDYGQNNAVRYLVESGENLLMVVRLVPHPPQLPPRTSAFKVFEMVEPPLGTPINNDEAPYAWNELESLGGRMLFVARGCSRSYDANNNPGSEFSEGVYFLDDGRLYDEELMLGEPDFREYPCRDTGKWLPAAEANPRVVEFLPEQAPSSYSPPAIDGSGLVPGGGCGCGATATRQLWQTRAMRRRSTRHGRRLWTRRRTSALRLNHGASIGEGERRRRGLPVASISLVAATGVEAGGRGGASVVVEVVVGGRGGAPAVAEETLCAPSKWDELVRPILPDGPKKKRSAKSSDPNFRPKKTGTINWAQPLSRLAKGLAFSASSKPPIHSVPLSSPASRSQVQTWRTTTKRPRRVXPWILVPRADGPSFSCALRGCGGHGLGVPHDARAARCFGAYDGGWLFLAFRETFRHKLLSLRDVQLRLRLPFFVRPDMTAAELGRPVPYIGMVMLAATLSSPPEDEDCVGAAIITYGPYEAGRRTHAFWRVQSAKAFPDQAAAMGHGSDAIDEPALEDVIHHKGAFLFLITEEDLHVFAVRDFHEDGKGNMKMAPRAIRRFSRGGRDYGGDIVVRYLVESRGNLLMVVRRVPDRLHAPPRTSAFKVFEMVEPPSGTRIEALYAWNELESLGGRMLFVARGCSRSYDAGDYPGDEFGEGVYFLDDGRLYRESAVFTARRRRRRYPCRDTGKWLPAADAAGVPRVDKFLPEQGPSDYSPPGRDLKQTESKLHCCRSSFVFPAI >ORUFI05G21950.1 pep chromosome:OR_W1943:5:20866545:20867249:-1 gene:ORUFI05G21950 transcript:ORUFI05G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVHPNLAVPSLIQPPMAPPAAMAAGDSVMKTKAAAAGGDVVLTVWRKSLLFNCRGFTVFDASGDLVYRVDSYAADSRAEVVLMDAAGVPVLTVRRKKAIGSQLGLGGDQWLVHPGEETRLPPLYAVKRTPQYVRGGGSVKTMAHVAPCGVALGAGGGGGYEIEGSYLRRSCAVYDARRRAVVAEVQAKEAVGTDVFRLVVRPGMEVSVAMAVVLALEQMFGKPSLLRSWSS >ORUFI05G21960.1 pep chromosome:OR_W1943:5:20879574:20882295:-1 gene:ORUFI05G21960 transcript:ORUFI05G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIMSGAAAAAGGTGGAVPLIKNATSASQMSRGKAGTGAGAVVCYSPMMVTAYGIWQGASPLDFSLPLFLLQVAIIVATTRLLVILLKPFRQPRVIAEILAGVILGPSVMGQVSTWAVKVFPERSLLTLETVAHLGLLYFLFLVGLEMDVNTIRRSGKKALIIAVAGMALPFCIGTATSFIFRHQVSKNVHQASFLLFLGVALSVTAFPVLARILAEVKLLNSDLGRIAMSAAIVNDMCAWILLALAIAISEVNSAAFSSLWVLIAGVAFVLACFYVVRPLMWWIVRRVPEGEAIGDVHITLILTGVMVAGVCTDAIGIHSVFGAFVYGLVMPSGPLGVVLIEKLEDFVTGLLLPLFFAISGLRTNVTKVRDPITVGLLVLVFVMASFAKIMGTILIAVSYTMTFRDGVALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTALVTPVVTTVYRPARRLVGYKRRNLQRSKHDAELRMLACVHTTRNVPSIISLLELSNPTKRSPIFIYALHLVELTGRASNMLAAHHSASNPGGASDHIFNAFESYEEMVGGVSVQALTAVSPYQTMHEDVCVLAEDKHVSLIVLPFHKQQTVDGGMEPINASLRGFNESILASAPCSVGILVDRGLSAAAARMAAVHHVALLFFGGPDDREGLAYAWRMVENPGVCLTIVRLIPPGYTAPAISPPQPPMPAAHSRAINVVPEVAKSERQMDEEYLNEFRSRNLGNDAILYVEQVVANSEETVAAIRSQLDNAHELYIVGRHPGEASSPLTSALAEWMESPELGPIGDLLVSSEFSKMASVLVMQQYVITAPLPPPVALAGPPTDDPVRQYLTNANQRPSVAIGGNQMGAAGRGGWSGGAGGY >ORUFI05G21970.1 pep chromosome:OR_W1943:5:20882958:20883456:-1 gene:ORUFI05G21970 transcript:ORUFI05G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPINASLRGFNESILASAPCSVGILVDRGLSAAAARMAAVHHVALLFFGGPDDREGLAYAWRMVENPGVCLTIR >ORUFI05G21980.1 pep chromosome:OR_W1943:5:20894216:20898067:1 gene:ORUFI05G21980 transcript:ORUFI05G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRFGPEEQIVWPASVLAGILMCAAVYDITREVSSRCYKGYNGLNELHKLEWNNRGFSTFHALVAAVVSFYLLVISDLFSKDVHGAIIIDRKSWMSDAMFGVSLGYFLTDLLMILWHFPSLGGKEYLLHHGLSMYAISLSLLSGKGHVYILMVLITEATTPFVNLRWYLDLAGRKDSKLYLYNGVALFAGWLVARVILFVYFFAHVYLHFDQVRTVFPLGFYSMMAVPPAMSAMNLLWFRKICKGMVKAMSSANRSQCVKTD >ORUFI05G21990.1 pep chromosome:OR_W1943:5:20900031:20901512:1 gene:ORUFI05G21990 transcript:ORUFI05G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSLGLMQFHDHHQYLYSSSSSNLPLQQPLLSHHHRFLEANEGCAGEDDSPEFVEPPAAAAAAGTFEQRPELGACKEVYSEEGGAAEERTGVAMAGADVEQVAVEDEEEAHGVRMIALLMECAAAMSVGNLAGANGALLELSQMASPYAASCGERLVAYFARAMAARLVGSWVGVVAPMAPPPSCGAINAAFRALYNVAPFARLAYLACNQAILEAFHGKRLVHIVDLDVVPGGALQWLSLLPALAARPGGPPVIRVTGFGMSASVLHDTGNQLAGLARKLCMSFEFYAVAKRPGDADAVADMPGRRPGEAVAVHWLRHAMYDAAGDDGASMRLVRWLEPAAVTLVEQERAHGGGGGHGRFLDRFVSALHHYSAVFDAMGASRPDGEDASRHLAEHGVLGREIANVLAVGGPARSSGREGPGSWREVLARHGFAHAGGGGGGRAQLVAAACPGGLGYTVAGDHDGTVRLGWKGTPLYAVSAWTWCSPPHARA >ORUFI05G22000.1 pep chromosome:OR_W1943:5:20902657:20906819:-1 gene:ORUFI05G22000 transcript:ORUFI05G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQGGGAGAPAKRRWRSVAAAAAALALLFLSVGVPLAVLLGLHQRFPSMYLADESAVSVFGGSEGGGWEPNTSQENDRLPVNDTNKFLPSIEKSAFAISWILFHMALFCISTAIKALFLSFWHDWSKTNTGNSDAESNGTNNQPSIDKPISNTSIHPGLPIKQIVIFDDISLLSNTDADPKDNFEQGLPGDESIKSCQLEFGSYCVWSVEHKEVMKDSVVKRLKDQLFVARAYYPSIAKLEGMEKLSHEMKQSIQEHEHMLSEAICDADLPAFHGANMAKMEKTIAAAKSCLIECTNFEKKLRQLLDMTEDEAHFHARQGAYLYRLGVQTLPKSLHCLSMRLTVDYFKSFADMEYSNVQKLENPVLRHYVIFSTNLLASSMTVNSTVINSEESANVVFHLVTDAQNFYAFKNWFIRNSYKEATIGVLNFEDFQATHLDNRRVEHLSPYEEFRIASHSNARIPNTQMRTEYISVFGHSLFLLPELFSNLKRVIVLEDDTIVQRDLSHIWNLDLKGKVIGAVQSCRVRLRHLRPYLVDFPYDASSCIWMSGVSVIDLNKWREHDVTAVRNRVLQKLQHGPEASWRAAVLPAGLLAFQNLVHPIEAQWIQSGLGHDYGVNHGAIKKAGILHYNGNMKPWLELGIRRYRKYWRRYLPRDDPFLIDCNVNP >ORUFI05G22010.1 pep chromosome:OR_W1943:5:20907400:20909784:1 gene:ORUFI05G22010 transcript:ORUFI05G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRTIGYLLTRSRRGFSPRGIPRAQAESSLGILTRAGRPAAHSFHSVRLSSHPVLKSKIFSFVHSQLICLLSRYAIQMGFAVGGLAAIILCFKQNTDKSGAREEDKEEVTKKLPAAQDAGKEPYLSKEAAIEAGFVDKDGKILWSSYLNYVEHGKTLPDDEAFAKEARDYQEAIKKQEVKVDEATMKARFHDLMKEYGRSYSTEEEKARRYEVFKEATLWADKVNALEPRTIPYGPNGYADFTDEEFKRMHCHCSAIDWERYIDELNTMAARGWTYFRDPDATTNV >ORUFI05G22020.1 pep chromosome:OR_W1943:5:20912146:20914368:-1 gene:ORUFI05G22020 transcript:ORUFI05G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTRVAGGAAGVLLVAAALAGVARGEDPYVFFEWKVTYGTKTLLDAPQKVILINGEFPGPRINCSSNNNIVVNVFNQLDEPLLFTWNGMQHRKNSWQDGLAGTQCPIAPGTNYTYKWQPKDQIGSFFYFPSLGMHRAAGGYGGISVVSRLLIPVPFDPPADDHMVLIGDWYTKDHAAMAKMLDAGKSFGRPHGVVINGKSGKAAADPPMFTVEAGKTYRLRVCNVGIKASLNFRIQGHDMKLVEMEGSHTVQDMYDSLDVHVGHCLSVLVDADQKPGDYYAVASTRFIHEAKSVSAVIRYAGSSTPPSPAVPEPPAGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIRLMVSRGHIDGKLKYGFNGVSHVDAETPLKLAEYFNVTDGVFRYNQMTDVPPAVNGPLHVVPNVITAEFRTFIEIIFENPEKSMDSVHLDGYAFFAVGMGPGKWSAEERKTYNLLDGVSRHSVQVYPRSWTAIMLTFDNAGMWNVRSNIWERHYLGEQLYISVVSPARSLRDEYNMPENALRCGKVVGLPLPPSYLPA >ORUFI05G22030.1 pep chromosome:OR_W1943:5:20917836:20918139:-1 gene:ORUFI05G22030 transcript:ORUFI05G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGRRGAAPPLLLAATTPTGFCETNGVDVDALRGLMQQWRNYPSSWNSGDPCGGGWDGVMCSNGRVTSL >ORUFI05G22040.1 pep chromosome:OR_W1943:5:20921742:20927439:-1 gene:ORUFI05G22040 transcript:ORUFI05G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVLMALALVVVAAGVPAVLCQTNAQDAAALEGLKSQWTNYPLSWNSGDPCGGGWDGIMCTNGRVTTLRLSSVSLQGTLSSSIGQLGQLTYLDLSFNINLGGPLPAEIGNLGELTTLILAGCSFTGNIPIAIGNLRKLGFLALNSNKFSGGIPSSIGVLTNLLWLDLADNQLTGSVPISTSTSPGLDQLVKTQHFHFNKNQLTGTLTGLFNSNMTLIHIRLDRNGFTGAIPATIGSLVKLNELNLANNKLTGSVPDLSNMTNLNVVDLSNNTFDPSVAPSWFTSLTSLASVSIVSGSLSGQVPKGLFTLPTLQQVVLSNNQFNGTLEITGNISSSLQTVNLMDNRIVSTDTASYKKTLLLAGNPFCAEQDPNNRAFCSRQLQNASPYSTSMEKCGSAQCSDGQNVNPASCGCAFSYNGKMVFRAPFFVDLVSSTPFQLLESTMAAKLNLLPGSVALSDIHFNSDNYLQVQVKLFPTSGVTFNLSELTRIGSSLSNQIYKPPANFGPYFFIADPYAPLAVALGGKKSKMSTGAIAGIAVAGGVLVIALIFMSLFALRQKRRAKELKERADPFASWAAGQKDSGGAPQLKGARFFSFDELKICTNNFSDNHEIGSGGYGKVYRGILGDGTRVAIKRADRNSMQGAVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLTGSGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDIKSTNILLDNNLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIEKGRYVVREVRLAIDPADHDHHYGLRGIVDPAIRDAARTPVFRRFVQLAMRCVDESAAARPAMGAVVKEIEAMLQNEPDDAGAGEGDSSADPSANEFDRHRGGGGPPAHPYSDVEISRGSYAGDGASDYMPYFEVKPK >ORUFI05G22050.1 pep chromosome:OR_W1943:5:20922118:20927874:1 gene:ORUFI05G22050 transcript:ORUFI05G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHSRSLQGLVMLMLRWGQIHVVSSPRFPISAGSGPPRLMLKERSLQVTLSRIISSRYVSWPSWPMLLLSVPCRLTLDSLLQKPETSMARFQRKAHHMILVVGGEGDGCNLQRRHPAVGAHDAVPSAAAGVAGVPAQRVVRPLALQPLERRSCTHACPRRRSSTPATKTKRFFSGQEDPA >ORUFI05G22050.2 pep chromosome:OR_W1943:5:20924230:20927165:1 gene:ORUFI05G22050 transcript:ORUFI05G22050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSERATEPGSRFSFAAMVDSSSWNGVLLTKSTKKGALKTILPLYEKAQPQLAGPGDVDVEMGTDPCRKLPKVPNLSWQRTTEIDVEGKIPASNFVTDHFIQEEKEMAVTYSVVTLPLVHMMPSHPPPQGSPEFQLNG >ORUFI05G22050.3 pep chromosome:OR_W1943:5:20924230:20927874:1 gene:ORUFI05G22050 transcript:ORUFI05G22050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHSRSLQGLVMLMLRWGQIHVVSSPRFPISAGSGPPRLMLKERSLQVTLSRIISSRYVSWPSWPMLLLSVPCRLTLDSLLQKPETSMARFQRKAHHMILVVGGEGDGCNLQRRHPAVGAHDAVPSAAAGVAGVPAQRVVRPLALQPLERRSCTHACPRRRSSTPATKTKRFFSGQEDPA >ORUFI05G22060.1 pep chromosome:OR_W1943:5:20930518:20932737:-1 gene:ORUFI05G22060 transcript:ORUFI05G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGRSMALSLLLFAVTLSLLEMYRGRFASSELMTIAGGFVSSLLFLFLLTFIGNYQEANGVKTGWGAVVVAELTALIVAGTVHRVCITTCFLFSAGFLYEVDKLSGMILAKSESKARRH >ORUFI05G22070.1 pep chromosome:OR_W1943:5:20934657:20945718:1 gene:ORUFI05G22070 transcript:ORUFI05G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRSQIILLVYRVVFDAVCFMNSCSAADASVCDLIQAGELNLRRTWICISSSSGARSNLPVSTGKALTPTFSGQSGSVSGFRHPGSLAPRGSSMTGSSSLGVQPPRGSISGAWFPPNSLQASIYQVGYPALSNRGAAPVCGNFGPRITYVASVAGGGNIGRTISSAGMSMPTVASPVNLSGSGALNIRGSNQMGGTHQQGLPAMNMLGSSSSAPGGTLSKNQLQAGSSSSGSPGMRHDGNFGENSLFDINDFPQLVGRPNSAGNIQGLYGPLRQQAFGINAVQQNQEFRIHNEDFPALPRLEGMELHRKDHLPKNANIMQAQHYPMGKSSGFNTGSSCPPRKQHKQTANSVQNTGPENVGPRPVNSPRSPLNPRPREQVIQQNHEPQAQKSVRLQSSSGPESHKVQSPKSSQRTDTTLESHKVQSPKPSQRTDTAPESHKVQSPKSSQRTDTAPDPYDPYGLHGLLRVMKLKEEGPASLALGIDLTTLGLDMNSSDNLYKTFGSPWSSEPVKEEYSYEIPDCYSSMQPPPLQALHFLRFHLMTLFYIFYSMPQDAAQLYAANEICKYGWVYHKELRQWVKRAPNTTPLVKTTTYEQGLCYLFDANIWDAIPKDNFILRYDDIEKIPALPPLLPATQNPATQNGSVRINKWYESSARAWTPSAAASSIGQALVVVDGLTLYARLGAGAGDDLLSGLVFGAADEALLRIKMNTIMSCRPPLDQGDHLLLQAARRGCLGHTRGFFCTDTIFSFDGNTFWADLSQGFMCCDTAIVFTGDGGGSPPPVDLRYYLLLDTFKSEKLGCMEMHHTIGILDNFIKLVSINTVRIAVAAATTKEEEDMPSSPCHAATEAANATVAMWTLDKSAGET >ORUFI05G22070.2 pep chromosome:OR_W1943:5:20934657:20945718:1 gene:ORUFI05G22070 transcript:ORUFI05G22070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRSQIILLVYRVVFDAVCFMNSCSAADASVCDLIQAGELNLRRTWICISSSSGARSNLPVSTGKALTPTFSGQSGSVSGFRHPGSLAPRGSSMTGSSSLGVQPPRGSISGAWFPPNSLQASIYQVGYPALSNRGAAPVCGNFGPRITYVASVAGGGNIGRTISSAGMSMPTVASPVNLSGSGALNIRGSNQMGGTHQQGLPAMNMLGSSSSAPGGTLSKNQLQAGSSSSGSPGMRHDGPLRQQAFGINAVQQNQEFRIHNEDFPALPRLEGMELHRKDHLPKNANIMQAQHYPMGKSSGFNTGSSCPPRKQHKQTANSVQNTGPENVGPRPVNSPRSPLNPRPREQVIQQNHEPQAQKSVRLQSSSGPESHKVQSPKSSQRTDTTLESHKVQSPKPSQRTDTAPESHKVQSPKSSQRTDTAPDPYDPYGLHGLLRVMKLKEEGPASLALGIDLTTLGLDMNSSDNLYKTFGSPWSSEPVKEEYSYEIPDCYSSMQPPPLQALHFLRFHLMTLFYIFYSMPQDAAQLYAANEICKYGWVYHKELRQWVKRAPNTTPLVKTTTYEQGLCYLFDANIWDAIPKDNFILRYDDIEKIPALPPLLPATQNPATQNGSVRINKWYESSARAWTPSAAASSIGQALVVVDGLTLYARLGAGAGDDLLSGLVFGAADEALLRIKMNTIMSCRPPLDQGDHLLLQAARRGCLGHTRGFFCTDTIFSFDGNTFWADLSQGFMCCDTAIVFTGDGGGSPPPVDLRYYLLLDTFKSEKLGCMEMHHTIGILDNFIKLVSINTVRIAVAAATTKEEEDMPSSPCHAATEAANATVAMWTLDKSAGET >ORUFI05G22070.3 pep chromosome:OR_W1943:5:20934494:20945718:1 gene:ORUFI05G22070 transcript:ORUFI05G22070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLALEFPAWSSSSGARSNLPVSTGKALTPTFSGQSGSVSGFRHPGSLAPRGSSMTGSSSLGVQPPRGSISGAWFPPNSLQASIYQVGYPALSNRGAAPVCGNFGPRITYVASVAGGGNIGRTISSAGMSMPTVASPVNLSGSGALNIRGSNQMGGTHQQGLPAMNMLGSSSSAPGGTLSKNQLQAGSSSSGSPGMRHDGPLRQQAFGINAVQQNQEFRIHNEDFPALPRLEGMELHRKDHLPKNANIMQAQHYPMGKSSGFNTGSSCPPRKQHKQTANSVQNTGPENVGPRPVNSPRSPLNPRPREQVIQQNHEPQAQKSVRLQSSSGPESHKVQSPKSSQRTDTTLESHKVQSPKPSQRTDTAPESHKVQSPKSSQRTDTAPDPYDPYGLHGLLRVMKLKEEGPASLALGIDLTTLGLDMNSSDNLYKTFGSPWSSEPVKEEYSYEIPDCYSSMQPPPLQALHFLRFHLMTLFYIFYSMPQDAAQLYAANEICKYGWVYHKELRQWVKRAPNTTPLVKTTTYEQGLCYLFDANIWDAIPKDNFILRYDDIEKIPALPPLLPATQNPATQNGSVRINKWYESSARAWTPSAAASSIGQALVVVDGLTLYARLGAGAGDDLLSGLVFGAADEALLRIKMNTIMSCRPPLDQGDHLLLQAARRGCLGHTRGFFCTDTIFSFDGNTFWADLSQGFMCCDTAIVFTGDGGGSPPPVDLRYYLLLDTFKSEKLGCMEMHHTIGILDNFIKLVSINTVRIAVAAATTKEEEDMPSSPCHAATEAANATVAMWTLDKSAGET >ORUFI05G22070.4 pep chromosome:OR_W1943:5:20934657:20945718:1 gene:ORUFI05G22070 transcript:ORUFI05G22070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRSQIILLVYRVVFDAVCFMNSCSAADASVCDLIQAGELNLRRTWICISSSSGARSNLPVSTGKALTPTFSGQSGSVSGFRHPGSLAPRGSSMTGSSSLGVQPPRGSISGAWFPPNSLQASIYQVGYPALSNRGGMHVGGSPVFTGNMSAIDGSIQASSSYLTKDGNHNSALGLAAAPVCGNFGPRITYVASVAGGGNIGRTISSAGMSMPTVASPVNLSGSGALNIRGSNQMGGTHQQGLPAMNMLGSSSSAPGGTLSKNQLQAGSSSSGSPGMRHDGPLRQQAFGINAVQQNQEFRIHNEDFPALPRLEGMELHRKDHLPKNANIMQAQHYPMGKSSGFNTGSSCPPRKQHKQTANSVQNTGPENVGPRPVNSPRSPLNPRPREQVIQQNHEPQAQKSVRLQSSSGPESHKVQSPKSSQRTDTTLESHKVQSPKPSQRTDTAPESHKVQSPKSSQRTDTAPDPYDPYGLHGLLRVMKLKEEGPASLALGIDLTTLGLDMNSSDNLYKTFGSPWSSEPVKEEYSYEIPDCYSSMQPPPLQALHFLRFHLMTLFYIFYSMPQDAAQLYAANEICKYGWVYHKELRQWVKRAPNTTPLVKTTTYEQGLCYLFDANIWDAIPKDNFILRYDDIEKIPALPPLLPATQNPATQNGSVRINKWYESSARAWTPSAAASSIGQALVVVDGLTLYARLGAGAGDDLLSGLVFGAADEALLRIKMNTIMSCRPPLDQGDHLLLQAARRGCLGHTRGFFCTDTIFSFDGNTFWADLSQGFMCCDTAIVFTGDGGGSPPPVDLRYYLLLDTFKSEKLGCMEMHHTIGILDNFIKLVSINTVRIAVAAATTKEEEDMPSSPCHAATEAANATVAMWTLDKSAGET >ORUFI05G22070.5 pep chromosome:OR_W1943:5:20934494:20945718:1 gene:ORUFI05G22070 transcript:ORUFI05G22070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLALEFPAWSSSSGARSNLPVSTGKALTPTFSGQSGSVSGFRHPGSLAPRGSSMTGSSSLGVQPPRGSISGAWFPPNSLQASIYQVGYPALSNRGGMHVGGSPVFTGNMSAIDGSIQASSSYLTKDGNHNSALGLAAAPVCGNFGPRITYVASVAGGGNIGRTISSAGMSMPTVASPVNLSGSGALNIRGSNQMGGTHQQGLPAMNMLGSSSSAPGGTLSKNQLQAGSSSSGSPGMRHDGPLRQQAFGINAVQQNQEFRIHNEDFPALPRLEGMELHRKDHLPKNANIMQAQHYPMGKSSGFNTGSSCPPRKQHKQTANSVQNTGPENVGPRPVNSPRSPLNPRPREQVIQQNHEPQAQKSVRLQSSSGPESHKVQSPKSSQRTDTTLESHKVQSPKPSQRTDTAPESHKVQSPKSSQRTDTAPDPYDPYGLHGLLRVMKLKEEGPASLALGIDLTTLGLDMNSSDNLYKTFGSPWSSEPVKEEYSYEIPDCYSSMQPPPLQALHFLRFHLMTLFYIFYSMPQDAAQLYAANEICKYGWVYHKELRQWVKRAPNTTPLVKTTTYEQGLCYLFDANIWDAIPKDNFILRYDDIEKIPALPPLLPATQNPATQNGSVRINKWYESSARAWTPSAAASSIGQALVVVDGLTLYARLGAGAGDDLLSGLVFGAADEALLRIKMNTIMSCRPPLDQGDHLLLQAARRGCLGHTRGFFCTDTIFSFDGNTFWADLSQGFMCCDTAIVFTGDGGGSPPPVDLRYYLLLDTFKSEKLGCMEMHHTIGILDNFIKLVSINTVRIAVAAATTKEEEDMPSSPCHAATEAANATVAMWTLDKSAGET >ORUFI05G22080.1 pep chromosome:OR_W1943:5:20948136:20950909:1 gene:ORUFI05G22080 transcript:ORUFI05G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDRMVPVMKLDPARQPWLTVPGRSSCTCDCCNVTTKKKPSNKALIPAWLFRSDDDDDDHHEESAEEEEEDNLLQGLNKHLAEYRIGQYEIPLLVQPRRRRRQRRLLVYGIVAARDDMEGLPNFVFNRTRDNAQEVTLSSPALELSSPLRGISAFEHVLLEFDLKLKNTAGDGADADADDVLVDACIEFVDRTITCSAGRLLRSRIEGPICSLDMDYMFVKSSVEAAVEVFLGDSCASCFQSVAAVYRAIAGDGDGGGDGIVIHEESIPLPPKLMLAADTATAQAAAAATVVAVPSAGELTVTLGEAERAELQRVVGFVVPAGARGGEPGAGQRCRRRLVHPVLGVPREAEEAVAVGGAREDEGRGVAPLAGRQLGVQLVEKQQH >ORUFI05G22090.1 pep chromosome:OR_W1943:5:20953044:20958388:-1 gene:ORUFI05G22090 transcript:ORUFI05G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKHGNDGMSKDMGCATRLKRLQTPINLFEDECVFCHSFRTPPQFHGPMAHYQKGKLVSSDNGSPCPTDIIYVHKKCLEWAPQVFYEGDTIVNLELEIKRAAKLKCKRCKLPGAALGCYYTKCNRSYHVPCAMMTLNCRWDVDNGCVMCPEHATMPLPCDKISSPRNESGNSSSFPQSQSSIEQSDSADCEWEYPIIDQWNTSSSLSQGQSSAKEGISAVPKREIDQLNTSCSSFPEGQYLDKEGISTDEYRKEKQTDHLYTERDCPSDLWVLLGSALSESEKDSLQEFASWTDATVVNEWTENVTHVIVGKSAGSAWSRSYEVLMALLFGKWVVTFIPSPEASFELRFSHDSRASIGGNKKRRNQASEGAQKLFSGLNFCLSVYINPDDRQHIQSLIAAAGGQILETNGGSHLLRENLEKVAVKPCYFVYDGGAPRDFTQGLLDDLPKEMEEGREYAACGAQVISHLRVFDAIAAYDAQILSHKDHFTPDV >ORUFI05G22090.2 pep chromosome:OR_W1943:5:20953044:20958388:-1 gene:ORUFI05G22090 transcript:ORUFI05G22090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKHGNDGMSKDMGCATRLKRLQTPINLFEDECVFCHSFRTPPQFHGPMAHYQKGKLVSSDNGSPCPTDIIYVHKKCLEWAPQVFYEGDTIVNLELEIKRAAKLKCKRCKLPGAALGCYYTKCNRSYHVPCAMMTLNCRWDVDNGCVMCPEHATMPLPCDKISSPRNESGNSSSFPQSQSSIEQSDSADCEWEYPIIDQWNTSSSLSQGQSSAKEGISAVPKREIDQLNTSCSSFPEGQYLDKEGISTDEYRKEKQTDHLYTERDCPSDLWVLLGSALSESEKDSLQEFASWTDATVVNEWTENVTHVIVGKSAGSAWSRSYEVLMALLFGKWVVTFIPSPEASFELRFSHDSRASIGGNKKRRNQASEGSKFSAATISMMIMRCLQAQKLFSGLNFCLSVYINPDDRQHIQSLIAAAGGQILETNGGSHLLRENLEKVAVKPCYFVYDGGAPRDFTQGLLDDLPKEMEEGREYAACGAQVISHLRVFDAIAAYDAQILSHKDHFTPDV >ORUFI05G22100.1 pep chromosome:OR_W1943:5:20958867:20959232:-1 gene:ORUFI05G22100 transcript:ORUFI05G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTASLAHPLASSSSSSTRVEVEVMGDVFVSSDDIARNLIIDYGSGKIALTAACIHFRIPGAALNSPFHHCVASVLPTIALLSLPPKKKPLPPLSPTAVAKRSPQPPQPFVLRPVWRCGPS >ORUFI05G22110.1 pep chromosome:OR_W1943:5:20961522:20963146:-1 gene:ORUFI05G22110 transcript:ORUFI05G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGASLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTKSQKSQSKGAAPRGSKGPKIGGGGGKR >ORUFI05G22110.2 pep chromosome:OR_W1943:5:20961522:20964265:-1 gene:ORUFI05G22110 transcript:ORUFI05G22110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEILELVNGDGFFFFSLIVDLDTVTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGASLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTKSQKSQSKGAAPRGSKGPKIGGGGGKR >ORUFI05G22110.3 pep chromosome:OR_W1943:5:20963156:20964668:-1 gene:ORUFI05G22110 transcript:ORUFI05G22110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGPTDHTHRIQPDGPHADGSGPYRPVPNFPNLKPGPLVSSPPSHKKPSRSTRCSPHSLPRRRRRRHRRIAAADGCAPKNSVRI >ORUFI05G22120.1 pep chromosome:OR_W1943:5:20977393:20978056:1 gene:ORUFI05G22120 transcript:ORUFI05G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRPRDLAAVLAMSCLLLLPLLVSSVPVSGIVHLSSQQQHPDMVAAATDVEVNDYPALRANPRHNPKRPPGREMSVQDMVAATTNAEVNDYPMPGANHRHNPKRPPRRE >ORUFI05G22130.1 pep chromosome:OR_W1943:5:20979928:20980850:1 gene:ORUFI05G22130 transcript:ORUFI05G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVMEMRSRDLVAVLAMSSLLLLPLLVSSVPMSGSLHLSSQQQHLSSPNISADDMVAATMDVEVNDYPAPGANPRHNPKRPPGREMSVQGMVAATTDVEVNDYLAPGANPRHNPKRPPGRE >ORUFI05G22140.1 pep chromosome:OR_W1943:5:20987851:20989269:1 gene:ORUFI05G22140 transcript:ORUFI05G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAMERRPRDLVAVLALSCLLLLLPLLVSSVPMSRSLHLSSQQQQHPPSLNLSPDEMAAAAAARGLGRRPAARMDVEVNDYPGSGPNNRHDPPKGPGRA >ORUFI05G22150.1 pep chromosome:OR_W1943:5:20997961:21006125:-1 gene:ORUFI05G22150 transcript:ORUFI05G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRADGPVPPPPSYPPTLAAQPASVLPSPFFSRILAACSSSRPHLSTTPPSPCVSSNSCRSASLAHGSSFPFPFLSFPVAGATSPSGSGGIPFAIYPSLLPTPAGRTSFSPFIQHFHEVGMTGAAAIRGTTEEKIVVFEDTSGVDNKYTIVQFTGEYKGGAAEGGRRPIIWNIFEQRSTPAPNQNQVNDRSAGELHLSPVAAILDAVRWPPLRWRPKLRSAGTRRPSLAKDNPRRSTFSTTPTPSHRALPRHCRLLHSGDRRSS >ORUFI05G22150.2 pep chromosome:OR_W1943:5:20997961:21006125:-1 gene:ORUFI05G22150 transcript:ORUFI05G22150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRADGPVPPPPSYPPTLAAQPASVLPSPFFSRILAACSSSRPHLSTTPPSPCVSSNSCRSASLAHGSSFPFPFLSFPVAGATSPSGSGGIPFAIYPSLLPTPAGRTSFSPFIQHFHEVGMTGAAAIRGTTEEKIVVFEDTSGVDNKYTIYKGGAAEGGRRPIIWNIFEQRSTPAPNQNQVNDRSAGELHLSPVAAILDAVRWPPLRWRPKLRSAGTRRPSLAKDNPRRSTFSTTPTPSHRALPRHCRLLHSGDRRSS >ORUFI05G22150.3 pep chromosome:OR_W1943:5:20997961:21006125:-1 gene:ORUFI05G22150 transcript:ORUFI05G22150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRADGPVPPPPSYPPTLAAQPASVLPSPFFSRILAACSSSRPHLSTTPPSPCVSSNSCRSASLAHGSSFPFPFLSFPVAGATSPSGSGGIPFAIYPSLLPTPAGRTSFSPFIQHFHEVGMTGAAAIRGTTEEKIVVFEDTSGVDNKYTIVQFTGEALLSPSPPLYSSTFDPLLSAASPLSPPTCADVTAASLLFDPPSYADVAASSSRCSASGARGRASHATRVVQLRVAEDQSSGTSLNNEARPPRRSAGELHLSPVAAILDAVRWPPLRWRPKLRSAGTRRPSLAKDNPRRSTFSTTPTPSHRALPRHCRLLHSGDRRSS >ORUFI05G22150.4 pep chromosome:OR_W1943:5:20997961:21006125:-1 gene:ORUFI05G22150 transcript:ORUFI05G22150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRADGPVPPPPSYPPTLAAQPASVLPSPFFSRILAACSSSRPHLSTTPPSPCVSSNSCRSASLAHGSSFPFPFLSFPVAGATSPSGSGGIPFAIYPSLLPTPAGRTSFSPFIQHFHEVGMTGAAAIRGTTEEKIVVFEDTSGVDNKYTIVQFTGERSAGELHLSPVAAILDAVRWPPLRWRPKLRSAGTRRPSLAKDNPRRSTFSTTPTPSHRALPRHCRLLHSGDRRSS >ORUFI05G22160.1 pep chromosome:OR_W1943:5:21000022:21010837:1 gene:ORUFI05G22160 transcript:ORUFI05G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKKGEGSTDAGCAAKTKPDRRTLTMASKLVTAVARQAAATSRAARLAAVARHDAAAASRSAGLAAAAASRAARLAAAAASRAARLKPRPPLDDAARLSFRYSSEPPDDGKCVTKEDLESDEAVWALFERYCKSYNRKYDHAQMVRRFRIFKFNAKRTYCWNQYLHKDVKELARAKKDRDLGLPVDSWYLQKELGEYDDGGEPLTENWRKF >ORUFI05G22170.1 pep chromosome:OR_W1943:5:21012403:21012753:-1 gene:ORUFI05G22170 transcript:ORUFI05G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLTVTCFKDVDGFLTLGVLKINKRLFDDKVAALWTVVGSFSGEKVGKRAMLLRSQGAAEVVVWEPLEERVVAMDLEGLVTGNFGPLTNEGTDDDQE >ORUFI05G22180.1 pep chromosome:OR_W1943:5:21012781:21014149:-1 gene:ORUFI05G22180 transcript:ORUFI05G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAALASRINWTEYQLASIEAAAIGNIIRDGKVIEIAPNSKGGPLQDVLRSLLEKNELMINKLCDEDAPLESTASRPLSGFFFNTLCKEPGYLAFDTIRCGKLLYPESRFAALPEPVSFLASTRGLVCVCGKTTGLYYVTNTTTFKWVQLPRHSCDHGEPAVVITFEEPLTSCFDGAVEHYHVVAAFHLKGSVWTSESYSSRTGRWTIAKDAPPAVEVKAVHWAAPSGALPLGLSSAMTRGRTSLKSSLPLEW >ORUFI05G22190.1 pep chromosome:OR_W1943:5:21014370:21014633:-1 gene:ORUFI05G22190 transcript:ORUFI05G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERFDPAGRRRRGILPPPVGAGASTGLRRRPPDVHIAGTGASAERSEPALSPPDRQIEVRPPASDVSSVCRHRRIDGTVGARAVAS >ORUFI05G22200.1 pep chromosome:OR_W1943:5:21023588:21024130:1 gene:ORUFI05G22200 transcript:ORUFI05G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDAVALLAVVSALAFLVTPYVRMVAAEVGGLVSDLDAAGVASSYYAPFAAAGAGAAIAAVAGVVAWDAVGHRARRCGKPRCRGLRKAVEFDIQLETEECVRGQQQRLLPLPGGRAALLAAAGARPVQLGDAHRELEAELRKMAPPNGRTVLIFRSPCGCPKGRMEVWGAKKVRRIKK >ORUFI05G22210.1 pep chromosome:OR_W1943:5:21025313:21027404:-1 gene:ORUFI05G22210 transcript:ORUFI05G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQHQGRSDLFTTRTSFPMERHLFLHGGNTQGDSGLVLSTDAKPRLKWTPELHQRFVDAVNQLGGAEKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQGQANVGTTKNALGCTGVADRIPGTSALAMASASTIPQAEKTIQIGEALQMQIEVQRQLNEQLEVQRHLQLRIEAQGKYLQAVLEQAQETLGKQNLGPASLEDAKIKISELVSQVSNECLSNAVTEIRESSSIHRLEPRQIQFVESSANNCLTAAEGFKEHRLQNHGVLKAYDDSTLFCRKQSQDQESQYSLNRSLSERRMGHLYSGKQYHKSEGSDSDTEVLHEYITPQKNGRGSTTSSTSGSKEINVEKLYLDEPSCKRQTVDYQRESKLLDFDQQSSGKNLDLNTHNIDDNDQGYRHFDLNGFSWS >ORUFI05G22220.1 pep chromosome:OR_W1943:5:21030351:21031367:1 gene:ORUFI05G22220 transcript:ORUFI05G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSIELKNGLKPSRIPVPDDAGGAKSRGLTESFAADLTDGANPRCGIRWKAIGRTRSAPRLNSFIVNARIY >ORUFI05G22230.1 pep chromosome:OR_W1943:5:21034150:21035121:-1 gene:ORUFI05G22230 transcript:ORUFI05G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAITRYWCHECEQAIEEAMVDEIKCPSCGGGFVEEMTDEGIERLTNRQPEPGFSQWNPIEHPGETMDSDDEDNDLGREFEGFIRRHRRASTLRRVLDSIHDDLADDQERDSSILINAFNQALALQGSVLDPDEGQGDQGGSTNDDGLLEEYVLGAGLSLLLQHLAESDPSRNGTPPAKKEAVEALPTVKIEEVVSCSVCLDDLEVGSQAKQMPCEHKFHSSCILPWLELHSSCPVCRFELPSEETKDLNEPSNIGRVEDSHEEVRADGPGNVSESSNRPWAIVPWLNELFSTREAQNAGGVSTDQQSPHTSGTNPNAGHS >ORUFI05G22240.1 pep chromosome:OR_W1943:5:21037650:21040864:1 gene:ORUFI05G22240 transcript:ORUFI05G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPIHLLPPLHLAAAAAAAASAEGGSREGKDLWHEVGQSTETAVAVAVAVVAVAAGAAFLLLLLRSSKKPKGCLDPENFKEFKLVEKRQISHNVAKFRFALPTPASVLGLPIGQHISCRGQDATGEEVIKPYTPTTLDSDLGRFELVIKMYPQGRMSHHFHEMKVGDYLSVRGPKGRFKYQPGQVRAFGMLAGGSGITPMFQVTRAILENPSDNTKVHLIYANVTYDDILLKEELDSMVETYPDRFKIYYVLNQPPEIWNGGVGFVSMEMIQTHCPAPAADIQILRCGPPPMNKAMAEHLENLGYTKEMQFQF >ORUFI05G22250.1 pep chromosome:OR_W1943:5:21043401:21048984:1 gene:ORUFI05G22250 transcript:ORUFI05G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPDDDEKMKGCRPKLFGTKDKKVVKRADYQSCSAAHLVESGELLKSVGQSANYIHKEVFAEVRSIRLSHLLGHSSSTTKTEPFRIFVSTWNVGGNTPTAELNLDDFLPADDNSDIYVLGFQEIVPLNAGNVLVVEDNEPAARWLALINRTLNKPVDSNADIFQHKPSSSLDSTSSLSSSNLDASFSSRTRTASGSSAIFQKSSLKSIRKPYMPTQRKLLKLCNCSVEMTRKSYKDACFGCPQAYANETDSSEDDTDDRSNDPCGYIVDGMNSAASASRDQLKYNLVSCKRMVGIFITVWAKKELVHHIGHVRTSCIGRGIMGYLGNKGCISVSMTVHQTSFCFICSHLASGEKEGDELRRNLDVLEILRLTQFQRICRAGRRIPEKILDHDRVIWLGDLNYRISLSYEDTKKLLTENNWDALFEKDQLNIERKSGRVFKGWSEEKIYFAPTYKYSSNSDSYAGETATSKKKRRTPAWLQLYWCDRILWHGDGIVQLSYFRGESKFSDHRPVCGTFIVDVEIQESRSKRRSSNTNIRIGAEELLPTSKSKANKNKGNKGSGT >ORUFI05G22260.1 pep chromosome:OR_W1943:5:21050505:21053465:1 gene:ORUFI05G22260 transcript:ORUFI05G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRLGAPTSGALLLLVLLLICGGGGGGGVAAGGGGGGGGGKGSSVYPAPVVYPHHSRQISWKPRVFLYQHFLSDDEANHLVSLARTELKRSAVADNLSGKSELSDARTSSGTFIRKSQDPIVAGIEEKIAAWTFLPKENGEDIQVLRYKHGEKYERHYDYFSDNVNTLRGGHRIATVLMYLTDVAEGGETVFPLAEEFTESGTNNEDSTLSECAKKGVAVKPRKGDALLFFNLSPDASKDSLSLHAGCPVIKGEKWSATKWIRVASFDKVYHTQGNCTDDNESCEKWAALGECIKNPEYMIGTAALPGYCRKSCNIC >ORUFI05G22270.1 pep chromosome:OR_W1943:5:21056846:21057262:-1 gene:ORUFI05G22270 transcript:ORUFI05G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRRWPTSTLAAVAVAVVVLLAASAATTAEAQSAPAAAPGPAGPVLDQACLTALLNMSDCLTYVQNGSRARRPDKPCCPELAGLVESNPVCLCELLSGAGDSYGIAVDYSRALALPAICRVSTPPVSTCAGNQSPP >ORUFI05G22280.1 pep chromosome:OR_W1943:5:21066271:21069365:-1 gene:ORUFI05G22280 transcript:ORUFI05G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >ORUFI05G22280.2 pep chromosome:OR_W1943:5:21066273:21068443:-1 gene:ORUFI05G22280 transcript:ORUFI05G22280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >ORUFI05G22290.1 pep chromosome:OR_W1943:5:21072107:21075650:1 gene:ORUFI05G22290 transcript:ORUFI05G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAMASHAGGSGGGGGGSGRDAGSAQRGSMQGLARQGSLYGLTLNEVQSQLGEPLLSMNLDELLKSVFPDDVDLDGGGGGIAGQSQPALGLQRQGSITMPLELSKKTVDEVWKGIQDVPKRGAEEGGRRRRERQPTLGEMTLEDFLVKAGVVTDPNDLPGNMDVVGGAAAAAATAGTSDLNAGAQWLQQYHQQALEPQHPSIGAPYMATHLAPQPLAVATGAVLDPIYSDGQITSPMLGALSDPQTPGRKRGATGEIADKLVERRQKRMIKNRESAARSRARKQAYTNELENKVLRLEEENERLKKQKELDEILNTAPPPEPKYQLRRTSSAAF >ORUFI05G22300.1 pep chromosome:OR_W1943:5:21077423:21079589:-1 gene:ORUFI05G22300 transcript:ORUFI05G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKHPAVRKSKAEPKKKLQFERSPRPSKAQRAGGGTGTSATTRSAAGTSASGTPRQQTKQRKPHRFRPGTVALREIRKFQKTTELLIPFAPFSRLVREITDFYSKDVSRWTLEALLALQEAAEYHLVDIFEVSNLCAIHAKRVTIMQKDMQLARRIGGRRPW >ORUFI05G22300.2 pep chromosome:OR_W1943:5:21077423:21079589:-1 gene:ORUFI05G22300 transcript:ORUFI05G22300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKHPAVRKSKAEPKKKLQFERSPRPSKAQRAGGGTGTSATTVCAGAGTPRQQTKQRKPHRFRPGTVALREIRKFQKTTELLIPFAPFSRLVREITDFYSKDVSRWTLEALLALQEAAEYHLVDIFEVSNLCAIHAKRVTIMQKDMQLARRIGGRRPW >ORUFI05G22310.1 pep chromosome:OR_W1943:5:21080907:21087270:-1 gene:ORUFI05G22310 transcript:ORUFI05G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRREEEKKKKDILAGSDNESDGGGGEEDLSKIQINEEYARRFEHNKRREALQRLEERKKKGLVPPEEEEEDDDDDDESSSDDDDAAIASRRVDRQMFEVIRRIRRGDAAILDAKAKMYSSSSESEPGDEEGEKPKKTKKERPLYLKDVNARHLLEEGPEFAAQASHGGHSSSKYDKIAYDEQQRKGLEAFLAAEKAALGNGDGDGGEDDDDLFQVKPKGGDGGDKEDDDEEKKETEQILIDIFGKDEELDENSKFLKKFFLERPYLETGSEKKYSPDDIQEVSDEEEHLIEQEDYETRYNFRHEEAAATGADVMDRVMGHSRFVEGSVRKKESSRKQQRKNKEERIARAKQEQAEELKHLKNLKKKEIAEKLERIRMIAGIDSDAACKLGADDLEEDFDPEEYDRKMKETFNDNYYEADDVDPEFGSGEEIDLEKPDFDKEDELLGLPKDWALDGQDGSSAAAEGASQKKKGGKDIANGEGTNQKMKGKISLKDKVELEKEMEEYYKLDYEDTIGDLKTRFKYKQVKPNSFGLSTYEILASDDKDLNQYVSMKKIAPYREAEWKVTHHKKLIKDSILGGQDKEGKKVKKKKSKSEEGPASSEPEKELPNEQGDADGKAKSTRSERRKRRRRELKMTTERQAAYGKINPKRLQEKELALQSKSIMSKTESRKLSDDYEVVDVLGRGGFSIVRRGVSKSEEKTQVAIKTLRRLGPAMAGMKQGTKPVPGSGLPMWKQVSISDALLTNEILVMRRIVESVAPHPNVINLHDVYEDVHGVHLVLELCSGGELFDRIVGRDRYSEFDAACVIRQIASGLEALHKASIVHRDLKPENCLFSDKDEKSTLKIMDFGLSSVEDFSDPIVALFGSIDYVSPEALSRQEVSAASDMWSVGVILYILLSGCPPFHAATNREKQQRILQGEFSFQDHTWKTISSSAKDLISRLLSVQPYKRPTASDLLRHPWVIGDCAKQDLMDAEVVSKLQKFNARRKLRAAAIASVLSCKVALRTKRLRNLLGTHDLTSEELDNLRLHFGRICADGENATLSEFEQVLRAMKMDSLIPLAPRVFDLFDNNRDGTVDMREILCGFSSLRNSRGDDALRLCFQMYDADRSGCISKEELASMLRALPEECLPGDITEPGKLDEVFDQMDADSDGKVTFDEFKAAMNKDSALQDVLLSSLRPQ >ORUFI05G22320.1 pep chromosome:OR_W1943:5:21087519:21089641:1 gene:ORUFI05G22320 transcript:ORUFI05G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKKAKKSTDNINNKLQLVMKSGKYTLGYKTVLKTLRNSKGKLIILANNCPPLRKSEIEYYAMLGKVSVHHFHGNNVDLGTACGKYYRVCCLSIIDPGDSDIINTTPASQ >ORUFI05G22330.1 pep chromosome:OR_W1943:5:21090402:21097070:1 gene:ORUFI05G22330 transcript:ORUFI05G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLQKLKGLDAYPKVNEDFYKRTLSGGVVTVVASVVMLLLFVSETRSYFYSATETKLVVDTSRGERLRVNFDVTFPSVPCTLLSVDTMDISGEQHHDIRHDIEKRRLDAHGNVIEARKEGIGGAKIESPLQKHGGRLSKGEEYCGTCYGAEESDEQCCNSCEEVREAYKKKGWALTNPDLIDQCTREDFVERVKTQQGEGCNVHGFLDVSKVAGSLHFAPGKGFYESNINVPELSALEHGFNVVPTIYTDLRGRKIHSNQFSVTEHFRDGNIRPKPQPGVFFFYDFSPIKVIFTEENSSLLHYLTNLCAIVGGVFTVSGIIDSFIYHGQKALKKKMELGKYR >ORUFI05G22340.1 pep chromosome:OR_W1943:5:21099387:21100442:-1 gene:ORUFI05G22340 transcript:ORUFI05G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIHADPVLEADQFDRLPDSLVLVILNNVEDVRSLGRCSAVSKRFYGLVPLVHDVYVKIDRVVTVDGEAEDALNLSSPKPRNILSHFLKMMLFTIIKPFHSMRGPNGAGRPLFPQLAQHSPAQVLRNFTHIRNLRVELPSGDVGTEEGVLLKWRAEYGSTLQNCVILGGTQVDRKPVGAEHELYSEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIIKDHPTLTSLVLTDADGQGTLSMGAEQLKEFRENQLSASACSNRTQVPACNMKLKYAPYLELPGGIALQGATLVAIKPSPEGSNGGHTSRKETDAFVSGAFDGPFKFAVKALMKRRTYLLEMNGF >ORUFI05G22350.1 pep chromosome:OR_W1943:5:21103168:21103374:-1 gene:ORUFI05G22350 transcript:ORUFI05G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQREAKPMEVEPSEVAACGDWPAGGTGAVCPRGGRGLVGSGAMVHLWYIGRWWMASENPARPWPDR >ORUFI05G22360.1 pep chromosome:OR_W1943:5:21113513:21119308:-1 gene:ORUFI05G22360 transcript:ORUFI05G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDAAGAGPPSSELARVGSLGGRLVAADEARACLARRLHAALQVREESRRQGAALDEMRARVELRRARVGELLVARRDAARGVDRRREQLQAQIDRVLRLSGAVAAASRRLQDAKEALSGEKARLGDLQRLLRMRQQSMIGQVAALYPVKVFHDLPHGRNLNSNTNGAHRSLSEENGTLPEENGTHLLNVIKLPQIHALTFLGWQIGKQRRKQNDICEKDLQRSAAVLGYAAHAILLIASYLHVPLRYPLHFGGSRSYVSDCLPSAETASIASAERTCINMTDPKLTVYPLFVECQEDDPTKASYAIYLLHKICAVKLIEDKAMGVEADHGTPCIRTILRCSIRMSYRYASENWVLLFPVLLLYLLFRSSPGFFAFLLSHSPVIICAALILGVLISHGSTNVAEIKEERKSVAEVSDPKYADLSRNIHLEANKGFSAKENTASLNDGEIKDGLNSSREDAIEVVEMVGKISHDRGSTDSQSDEMKVDSEDKPAGTCKWGRAFSVRRRKKLSDIKVEPINAAVDSPLDSSLDSPFGRVGCHDGSPGFDHDQTEGTTPGTPRTRIASVLDEIDPLSSADSPHPDPIQNDDSDNHMSLQDSRTVRDNNYESDKSKANKNDDKNVSTDPAFLGTVDDDKNVMDLGYSEVERHRRLEILMVKRRSRKNIVFDPDSNLDIDNDKVCKRNPSDILSCSDETEFPGSAPSVLHTWRNPFDHPFEQSDESDLHEHVAIPHQDMFFTRHESFSIGSQGRRPSRFKPPFIIEAMDIDEPSASDFQRQFSDKSASTLSTVTESDIISSVADQEDISNNIKNDSSREYESPELPTIPTMGSDIICVGGT >ORUFI05G22370.1 pep chromosome:OR_W1943:5:21120418:21122668:-1 gene:ORUFI05G22370 transcript:ORUFI05G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHESDSDPDSDRTHWYMSNSDDESFVDKDKDCRRSTVAPLLKKGPWTSWEDSILEKYIKKHGERNWKLVQKNTGLLRCGKSCRLRWMNHLRPNLKKGAFSKEEENKIINLHRKMGNKWSRMAADLPGRTDNEIKNYWNTRIKKCKNNRSPLYPANVCNDALNEDQHESADPNVREKLTNNQLEDTTSMYSAPQFSDASISNILDRRLASKDYDSIEDQRNQIEVVAKYEIPLPVLKTTNNDIFPSASIFANHGNFKSMMELPLIQFDPNNQFVYSRAYAMHLTNFALLNDQSEELLNDTDVLNYVVMKEELSGGSLSPTINMPCEAHNSMAASNELVVPRYEGDAPPLQDDFTSYFYLNDTNLSIFEDTNELFLENKLDTKGELVMACYVS >ORUFI05G22380.1 pep chromosome:OR_W1943:5:21126223:21128241:-1 gene:ORUFI05G22380 transcript:ORUFI05G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASNFIPGPYQELVDAKPIRSLAPMFPAPLGINVNQSSTPPLVCVTPVGQFPVGFGSGILPTFGSTTAFTTTANGVSYTSYTNNGAIDATPISAYKTRPGIVSLDGDEPYSGSASGRKSKRSSGSAADGSNGVKFKRPKPVYKNFVAGKELAFLPPSSSDPREVVEAVHMTFEALRRRHLQLDEIQETSKRADLKAGAIMMASNIRANVGKRVGLVPGVEIGDIFYFRMELCIIGLHAPSMGGIDYMSAKFGSDEDSVAICIVAAGGYENVDDDTDTLVYSGSGGNSRNSEERHDQKLERGNLALERSLHRKNEIRVVRGFRDPFCLTGKIYIYDGLYKIQESWKERTKSGINCFKYKLLREPGQPDGAALWKMTQGWIDNPASRGRVILPDLSSAAEALPVCLVNEVDHEKGPGHFTYASQVKYLRPLSSMKPLQGCGCQSVCLPGDPNCACGQHNGGDLPYSSSGLLACRKPIIYECGDACHCTTNCRNRVTQKGVRFHFEVFRTANRGWGLRCWDPIRAGAFICEYTGEVIDELKVNLDDSEDDYIFQTVCPGEKTLKFNFGPELIGEESTYVSADEFEPLPIKISAKKMGNVSRFMNHSCSPNVFWQPVQHDHGDDSHPHIMFFALKHIPPMTELTFDYGVAGSESSGSRRTKNCFCGSSNCRGVF >ORUFI05G22390.1 pep chromosome:OR_W1943:5:21132086:21136076:-1 gene:ORUFI05G22390 transcript:ORUFI05G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQVEYAGKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMILKGSNRRLHSVHRHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEPMPVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGLSYEWVGNHQMEIEKLNLSELTCREGIVEVAKIIYGVHDEAKDKDFELELSWVCDESKRQHEKVPDDLVEQAKAAAQAALEEMDAD >ORUFI05G22390.2 pep chromosome:OR_W1943:5:21132086:21136076:-1 gene:ORUFI05G22390 transcript:ORUFI05G22390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQVEYAGKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMILKGSNRRLHSVHRHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEPMPVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGLSYIEKLNLSELTCREGIVEVAKIIYGVHDEAKDKDFELELSWVCDESKRQHEKVPDDLVEQAKAAAQAALEEMDAD >ORUFI05G22400.1 pep chromosome:OR_W1943:5:21137927:21138645:-1 gene:ORUFI05G22400 transcript:ORUFI05G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSACSSRCLRLILGRPPRARLASAVEVVEKRRGACCGRCRRGGLVAVAASEGSAKSSGEADEQVPSWARPGSDDPPPWAREGGGGGGGQQEPGAVELPFFAYLLASAITAIAAIGSIFEYANQRPVFGVVSPDSALYAPLLGFFVFTGIPTSGFLWFKAVQTANKEAEEQDRRDGFS >ORUFI05G22410.1 pep chromosome:OR_W1943:5:21139594:21143770:-1 gene:ORUFI05G22410 transcript:ORUFI05G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMAKKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMANRLEPNEATKSTEAYLFLVTFEMSKSSIPIRYQADTLTQQLGEILDLSLSSMAAKLTQEQVDECREIFDLFDSDEDGRIAAGELVTALRSLGQNVDEAEARRFLADATASGGGGGGGGGDIDFAAFLSVAARKMGRGATEKELAACLDVFDDARSGVIPAEQLRQAMVSHGDRLTEEEADEMVRKADPAGEGRVEYKEFVKVLMNKK >ORUFI05G22410.2 pep chromosome:OR_W1943:5:21140595:21143770:-1 gene:ORUFI05G22410 transcript:ORUFI05G22410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMAKKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAKYRGLSFPRYL >ORUFI05G22410.3 pep chromosome:OR_W1943:5:21139594:21140131:-1 gene:ORUFI05G22410 transcript:ORUFI05G22410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLTQEQVDECREIFDLFDSDEDGRIAAGELVTALRSLGQNVDEAEARRFLADATASGGGGGGGGGDIDFAAFLSVAARKMGRGATEKELAACLDVFDDARSGVIPAEQLRQAMVSHGDRLTEEEADEMVRKADPAGEGRVEYKEFVKVLMNKK >ORUFI05G22420.1 pep chromosome:OR_W1943:5:21147195:21150123:-1 gene:ORUFI05G22420 transcript:ORUFI05G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGKEGEENGHVAAGAAAGVAGSAGAAARAPPPLMPPDAVMRELPPPVPYVFTPQVPVAPLHIPTEFSPVFNNSWINESDESTNNHPQEKGIPTLISWSQGGNEVFVEGSWDNWTSRRVLEKSGKDHTILLVLPSGVYHYRIIVDGEPKYVPELPHVADEGGQVANLLDVHDYIPESLGSVAGFDAPPSPEHSYDLQLPGDEEFAKEPPILPPQLVMSVLGDTDNSEEQTLKPKHVVLNHLYIEKGWGSQSLLALGVTHRFQSKYVSFVLYKPLRRSSTAKRTKNGG >ORUFI05G22420.2 pep chromosome:OR_W1943:5:21147195:21149870:-1 gene:ORUFI05G22420 transcript:ORUFI05G22420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGKEGEENGHVAAGAAAGVAGSAGAAARAPPPLMPPDAVMRELPPPVPYVFTPQVPVAPLHIPTEFSPVFNNSWINESDESTNNHPQEKGIPTLISWSQGGNEVFVEGSWDNWTSRRVLEKSGKDHTILLVLPSGVYHYRIIVDGEPKYVPELPHVADEGGQVANLLDVHDYIPESLGSVAGFDAPPSPEHSYDLQLPGDEEFAKEPPILPPQLVMSVLGDTDNSEEQTLKPKHVVLNHLYIEKGWGSQSLLALGVTHRFQSKYVSFVLYKPLRRSSTAKRTKNGG >ORUFI05G22430.1 pep chromosome:OR_W1943:5:21158870:21160778:-1 gene:ORUFI05G22430 transcript:ORUFI05G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSAGNLAPATAILVVVVAVVLAAAAASQDGDALTEFRKGMSDPDGALASWDPDLVNPCTWFRVTCNADNRVIRLDLEEMNLSDHLSADLARLDQLQFMEIASNNIEGPIPPEFGNLENLISLDLCNNTISGPIPPSLGKLKSLKFMRIDHNLLTGPIPNELAGLSNLMILNVSNNDLCGTIPTSGPFDHFPPSSFASNPRLRYPGMDDDDTGR >ORUFI05G22440.1 pep chromosome:OR_W1943:5:21163757:21167476:-1 gene:ORUFI05G22440 transcript:ORUFI05G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTPWTRPTVHSSFPLPSRRRENPNQHNETTRKRECEAKRAKKEREKKNPPKSQGLPLLSLSLSPPRASLSPDTTAPRRFPLLSSPRVASFLPFLTPPIPNWESPLGRRRKRKQQRRASGSPALPAFWWWWRRPAADRCLAVPVSILMMYHAKKFSVPFGPQSTQSNEHMSNIGAFGGSNMGSPANPAGSGKQRLRWTSDLHNRFVDAIAQLGGPDRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGSKDEKKDSSDSLSNTDSAPGMQINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQMIIEEQQKLGGSLKACEEQKLPHSPPSLDDYPDSMQPSPKKPKMDNLSPDSVRDVTQSDFESHLIGPWDQEAAFRVDEFKADPGLNKS >ORUFI05G22440.2 pep chromosome:OR_W1943:5:21163757:21167476:-1 gene:ORUFI05G22440 transcript:ORUFI05G22440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTPWTRPTVHSSFPLPSRRRENPNQHNETTRKRECEAKRAKKEREKKNPPKSQGLPLLSLSLSPPRASLSPDTTAPRRFPLLSSPRVASFLPFLTPPIPNWESPLGRRRKRKQQRRASGSPALPAFWWWWRRPAADRCLAVPVSILMMYHAKKFSVPFGPQSTQSNEHMSNIGAFGGSNMGSPANPAGSGKQRLRWTSDLHNRFVDAIAQLGGPDSGYSLSRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGSKDEKKDSSDSLSNTDSAPGMQINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQMIIEEQQKLGGSLKACEEQKLPHSPPSLDDYPDSMQPSPKKPKMDNLSPDSVRDVTQSDFESHLIGPWDQEAAFRVDEFKADPGLNKS >ORUFI05G22450.1 pep chromosome:OR_W1943:5:21181299:21181865:-1 gene:ORUFI05G22450 transcript:ORUFI05G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQCGAKGGGGGNARRSATGGGAGRMHRKGKHQGDGGGGGGKRREIKVVYIANPMRVTTSEEGFRALVQELTGRHADPSKYRGGGGGAPVDETSGGGGGGGGEMMQGAAAMMQPSPGSTVDSSSTDHGGAGAGGQGAGLQAAALDDDENSFIAPELIDNRYSVCFSPPTFLYGGGSHTYDGDDYGL >ORUFI05G22460.1 pep chromosome:OR_W1943:5:21185850:21188154:-1 gene:ORUFI05G22460 transcript:ORUFI05G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCPPGSSSEPDPPPASSGSSRPAGSAGAAASPATISPSAAPAPAKPPAPIGPVLGRPMEDVKSIYTVGKELGRGQFGVTSLCTHKATGQRFACKTISKRKLSTKEDVEDVRREVQIMYHLAGQPGVVELKGAYEDKHAVHLVMELCAGGELFDRIIAKGHYTEHAASSLLRTIVEIIHTCHSMGVIHRDLKPENFLLLSKDEHAPLKATDFGLSVFFKEGLHQFLHCCSLLELIIIVVINGVPIADQDLGVAESEHGIFNSILRGHVDFSSEPWSRISHGAKDLVRRMLHSDPKQRISAYDVLSEYPSLYAEHPKSFNTLNFSDHPWIKEDGEAPDTPLDNAVLGRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDSDNSGTITVDELRKGLAKKGTKLTEAEVQQLMEAVRIATLTRIIWNCTSHSENFQTYKMFVDLGGKKQADADGNGTIDYEEFITATMHMNRMDREEHLYTAFQYFDKDNSGYITIEELEQALREKGLMDGREIKDIISEVDADNDGRINYTEFVAMMRKGDPEANPKKRRDVVL >ORUFI05G22470.1 pep chromosome:OR_W1943:5:21189322:21192414:1 gene:ORUFI05G22470 transcript:ORUFI05G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYASPGTDASTDPDIDKNIRMTLRRLAQNREAARKSRLRKKAYVQQLEDSRMKLTQLEQELQRARQQGIIISTSGDQQRSTSENEALAFNMEYMRWLEEHNKQINELRSAVHTHAGDDDLQSIVSSVMAHHEEIFRIKGLAAKADALHVLSATWRTPLERCFLWLGGFRPSDLLKLLADQLEPLTEQQLASIYNQQQSSQQAEETLSQGMEIIQDSLAKTVASQLGRAGSSSPSNAADHTAAALGKIGDMESLLQQADDLRMQSLQKMQRVLTTRQSARALLLVSDYFSRLRALNSLWIARPQQ >ORUFI05G22480.1 pep chromosome:OR_W1943:5:21194916:21198290:1 gene:ORUFI05G22480 transcript:ORUFI05G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGETVLSAFMQALFDKVIAAAIGELKFPQDIAEELQKLSSSLSTIQAHVEDAEARQLKDRAARSWLAKLKDVAYEMDDLLDEYAAETLQTKLEGSSRSRHLSKVRSSFCCLWLNNCFSNHKIVQQIRKIEEKIDRLVKERQLIGPDMSSAMDREEIKERPKTSSLIDGSSVFGREEDKEIIVKMLLTPNNSNNANISVLPIVGMGGLGKTTLTQLVYNDPRVKEYFQLRVWLCVSEHFDEMKLTKETIESVASGFSSVTTNMNLLQENLSKKLEGKRFLLVLDDVWNEDPEKWDRYRCALVSGSNGSRIVVTTRNKNVGKLMGGMTPYFLKQLSENDCWNLFRSYAFADGDLSLHPHLEIIGKEIVKKLKGLPLAAKAIGSLLCTKDTEDDWKNVLRSEIWELPSDKNNILPALRLSYNHLPAILKRCFAFCSVFHKDYVFGKESLVQIWMALGFIQSPGRRTMEELGSSYFDELLSRSFFQHNKGGYVMHDAMHDLAQSVSIDECLRLDVPPNSNSSSRSARYLSFSCHNRSRTSFEAFLGFKRARTLLLLNGYKSRTSPICSDLFLKLRYLHVLELNRRDIIELPDSISNLKMLRYLNLSGTGITALPSSIGRLFSLQTLKLKNCHVLEYLPESIIDLVNLRWLEARTKLITGIARIGNLNCLQQLEEFVVRRDKGYKISELKTMMSIGGRICIKNLEAVDSAEEAGEALLSKKARISILDLVWSDRRHLTSEEANEEKDILEQLQPHCELKELTVKAFAGFYFPKWLSRLSHLQTIHLSDCTNCSILPALGELPLLKVLDVGGFPSIIQINQEFSGSDEVKGFPSLKELVLEDMANLKRWVSVQDGELLPCLTELDVIYCPQVTEFPPLPPTLVKLRISETGFAILPEVHVPNCQFSSSLTCLQIHQCPNLISLQDGLLSQKLFSLQQLTITNCAELTHLPAEGFRYLTALKSLHIYDCQMLAPSGQHSLLPSMLEDLRITSCSNLINPLLQEFNELSSLTHLTITNCANFHSFPVKLPATLQILEILHCSDLSYLPADLNEASCLTVMTILKCPLIPCLSEHGLPESLKELYIKECPLITERCQENSGEDWPKIAHVPVIEIDDDYFIPNRSITRRLS >ORUFI05G22490.1 pep chromosome:OR_W1943:5:21200888:21202535:-1 gene:ORUFI05G22490 transcript:ORUFI05G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVGWACKFGSEDFSSMLIKQVIHLIRDVLEPGKPASCRLIFQLPLLRMFNFHSYVLREFQLAGSSGHRFLEKRLHERGQHSFSDWHDLWMVYNLPGCELEQQLNEHRKMNPKGQDFKLDKFPGKARKAKGNIVIMG >ORUFI05G22500.1 pep chromosome:OR_W1943:5:21202230:21203818:1 gene:ORUFI05G22500 transcript:ORUFI05G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGEAVLSAFMQALFEKAVAAASSELKFPQNIAVELQNLSSSLSTIQAHVEHAEERQLKDQAARSWLSRLKDVAYEMDDLLDEHAAEVLRSKLAGPSNYHHLKVRICFCCIWLKNGLFNRDLVKQIMRIEGKIDRLIKDRHIVDPIMRFNREEIRERPKTSSLIDDSSVYGREEDKEVIVNMLLTTNNSNHVNLSVLPIVGKTYQGNNRISCERIIIRHNMNLLQEDLSNKLRGKRFLLVLDDVWNEDPDRWDRYRRALVAGAKGSKIMVTTRNENVGKLMGGLTPYYLKQLSYNDCWHLFRSYAFVDGDSSAHPNLEMTGKEIVHKLKGLPLAARALGSLLCAKDNEDDWKNILESEIWELPSDKNNILPALRLSYNHLPPILKRCFAFCSVFHKDYVFEKDILVQIWMAVGYIQPQGWRRMEEIGNNYFDELLSRSFFQKHKDGYVMHDAMHDLAQSVSIDECMRLDNLPNNSTAERNARHLSFSLLS >ORUFI05G22510.1 pep chromosome:OR_W1943:5:21205557:21206173:1 gene:ORUFI05G22510 transcript:ORUFI05G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLGKAAENYSIGAGTSAKLFKISRLVNTRVKCQGADGSDGVKGFPSLQELALEDLLISKDGSSVQDGQLLPSITELEGRSTRSPFP >ORUFI05G22520.1 pep chromosome:OR_W1943:5:21206737:21209086:-1 gene:ORUFI05G22520 transcript:ORUFI05G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRALGSLLTCRRFSPRAQAQAEAESSRGIFTQATAGRSARSLRALVKSPSVSPGSQIENFISCVHSQLMCSHLCMIQRDLAVGDGGAYGVVATIALAGLATILYFNESTDKSGAIKAGFVDKDGTVQWASYLDHVNFQTHHGGMPPYDEEASAKEVSDWEEAVKQQDVKVDEATMKARFQGWMKEHSRSYSTEEEKARRYEIFKETAIRADKANAVRPMDVPFAPNGFADWTDEECNSLYSHPGSFDWERYIDHMNTMNANGGYIGNQDVIVSEAVKKKDKELAAKYAERRRRAANNQTEKRLTNI >ORUFI05G22530.1 pep chromosome:OR_W1943:5:21210830:21215162:1 gene:ORUFI05G22530 transcript:ORUFI05G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSPPCRPRVKPERALLCFSLLLLLTATTANASITDCPTTSSTNSSHVDDGAFGANLRALLSSLSAAAAASSSGFAENATGAAPDTAYGLAQCRGDIVGGGNGTSCRSCLDDSVRDAAKACPGEKSAVIISDYCLVRYSNASFAGAADERTVKLWWNTDNATQPERFKSLLGTLMGNLTDAAARASSPLMFAAGETDLPPFTKIYGMAQCTRDLAAGDCYRCLVGAVNNIPKCCDGKQGGQVITRSCSIRFEVYPFFDAQAAKAAMSPAPAPAPTPTGVNGSNHTVSKSVIVPVTVGVAVLLVVILLLIALCLCKRNRKPHKHMMIGSVDLGDEDEMRGSESLLYDLSTLRAATANFSEENKLGEGGFGPVYKGTLQNGQEIAVKRLSATSHQGQLEMKNEVVLVAKLQHKNLVRLLGCCIEEREKILVYEFLCNKSLDTILFDTSRQQDLNWEQRFKIIEGIGRGLLYLHEDSRLKIIHRDLKASNILLDVDMNPKISDFGLAKLFNMEASVANTSRIAGTYGYMAPEYALHGIFSAKSDVFSYGVLLLEIVTGRRNTCLHDSEDLLAFVWRHWSRGGAGELLDGCPAAGRRPQELLRCIHVGLLCVQEDPQLRPGMAAVVVMLNSRSVTLPAPSAPAFVSASRGIGGSPPPAAAAGDAPARSTDHEVPTAAR >ORUFI05G22540.1 pep chromosome:OR_W1943:5:21217579:21218112:1 gene:ORUFI05G22540 transcript:ORUFI05G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAGGRPLSGRARQVGRSREEELLGLLSDFSGDSGEFGRELSFSDLVVVEDTANNPSPRGGDGGGDRAAPTAAAAENGQGRPSSSSEQEEAAEAASRRQQQQQAAAAARERRLRRRRSDSRGSCGGSGDGVLLNFYVPGLLTRSMTTPRPAARGTLPGAAAAAAAPATAAAGKAR >ORUFI05G22550.1 pep chromosome:OR_W1943:5:21221328:21223979:1 gene:ORUFI05G22550 transcript:ORUFI05G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHQRAAAAPQPANRGAAVAAGKQKAAATAAAGRPGARNRQALGDIGNVLNAHVVDGKIQLPEGINRPITRSFGAQLLKKAQENAVAANKIVVQNPARKEPAPKPAKKVVPRPENAAKASTGAGVNENKKPSESEGAGSSSGGSALKYSRKKVVNTLTSVLTARSKHACGITEKPKEVVEDIDKLDGDNQLAVVEYIEDIYNFYRTAQLERRPTDYMSSQVEVNPKMRAILADWIIDVHYKFELMPETLYLTMYVIDRYLSLQPVLRRELQLVGVAAMLIASKYEEMWAPEVQDLIHVCDNAYSRQQILAMEKNILNRLQWNITVPTPYVFLLRFIKAAGGDKELENMVFFFSEMALKEYGMASLCPSLVAASAVYAAQCTLKRSPLWTSTLKHHTGFTESQLRECAKVLVNAHAAAPESKLKTAYRKYASEQLGRVSLRPPAVCLA >ORUFI05G22560.1 pep chromosome:OR_W1943:5:21224543:21226023:-1 gene:ORUFI05G22560 transcript:ORUFI05G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAEPPPPCHVVAVPYPGRGHVNAMLNLCRILAARDGVTATVVVTEEWLGLLGGAAAAAAEGGVRLEAIPNVVPSEHGRAGDMLGFVRAVYTRMEAPFERLLDRLALGAAPPPPAAIVADTFVLPWAVGVGNRSGLPVCVLSPLSATMFSVHYHFDRLPTATDIADGDEVGNYIPGLKSIRFSDLEPTHTNKNMVDLILEAYSHARKAQCVIFTSFYELESNAMDALRRDLPYPAFSAGPCIPYMALQADEHHAGDEEEEPYMAWLDAQPVGSVLYVSLGSFLSVSRPQLDEIAAGLADSKVTFLWVLRGDSGARDILRGGGGMVVPWTDQLKVLCHPSVGGFFTHSGMNSTLEAVHAGVPMLTLPIAFDQPIVARLVADEWRIGYGLRENGDGGGCGGVVGREEIAAAVRRLMVMDSDAAAAEEAKEMRRRASLMREASRAAVQEGGSSYRDVTSLINYISEFKN >ORUFI05G22570.1 pep chromosome:OR_W1943:5:21236895:21239417:1 gene:ORUFI05G22570 transcript:ORUFI05G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCCGGFMEKAKPYFAMICLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATISIAPFALILERKVRPKMTWSIFFQIFILALLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKLELKKVRCQAKIAGTLVTVAGAMLMTLYKGPLMEMAWSRHAGAGAAEAPAAAAAAISGRDWFLGSMFVIVATLAWASLFILQTHTIKQYSAQLSLTTLICLVGTLQAVVVTFAMERRRPSVWAIGFDMNLLAAAYAVSTILSHLRRRRSLARTLTFLGIVTSSIAYYVQGLVIQRTGPVFASAFSPLMMIIVAVMGSFILSEQIYLGGVVGAALIVVGLYSVLWGKHKETQEKQADTKLSLPTSKGAAAAAEAEEITGAGEDDGDGDDDAERSKNHRSSGGVRSSSDSNGHGASAV >ORUFI05G22580.1 pep chromosome:OR_W1943:5:21242754:21244722:-1 gene:ORUFI05G22580 transcript:ORUFI05G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVDETRLGIHAGMADGYRRRALTAASTLVPAACGSVGGMPCVSAARGGGRKLLGIATGDGRQLRCGWPRKAVESVFQMADRRGKVSGLIRQPLTKGKTSCGGVWVSLRAGGGACSKIQLPEGINRPITRSFGAQLLKKAQENAVGVNKIVVQNPEGTSSEVGQVVPRPDNTAKASTGAGVNENKKPSKSEGTGSSGSGGGSAHKYSRKKVVNTLTSGLTARSKVSDRSNTYACLLISA >ORUFI05G22590.1 pep chromosome:OR_W1943:5:21252472:21256566:1 gene:ORUFI05G22590 transcript:ORUFI05G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNLWQIKPVRCRGFLEWAERYGPIVSVWFGSSLNVVVSTSELAKEVLKENDQLLADRPRNRSTQRFSRNGMDLIWADYGPHYIKVRKLCNLELFTPKRLEALRPIREDEVTAMVESVHRAVTQPGSEHKPIVVRNHLAMVAFNNITRLAFGKRFMNANGDIDEQGREFKTIVNNGIKIGASLSVAEYIWYLRWLCPLNEELYKTHNERRDRLTKKIIDEHAKALKESGAKQHFVDALFTLREQYDLSDDTVIGLLWDMITAGMDTTVISVEWAMAELVRNPRVQKKLQEELDHVVGRDRVMSETDFQSLPYLNAVVKESLRLHPPTPLMLPHKASTNVKIGGYNIPKGANVMVNVWAIARDPKVWSNPLEYRPERFIEENIDIKGSDFRVLPFGAGRRVCPGAQLGINLVASMIGHLLHQFEWSLPEGTRPEDVNMMESNGVVTFMSTSLQVIAKPRLDNPDLYKRFPVEM >ORUFI05G22600.1 pep chromosome:OR_W1943:5:21257166:21262083:1 gene:ORUFI05G22600 transcript:ORUFI05G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAPRIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCNRTYDITVQRGVKTLSSSHLKQCIHSYNVYDFLRDVVSKVPDMGTSDAGVDDKLGKRRKTAEDDSEEESKRTRNEAASQTSTGRGRGRGRGRGRRGGRVSEREIISAYEKFEENHEFPPGQFSKPSQLKVDVSVDGTDAIETKEATPLSNARASLRNIDLNIELTDYDDEGSAPLEVQPPAPAAGVVTTSSGPLVSEVNEEAKTKDFLGWQLPELTKMAMDPVQFALSSNHRLEEDEDYDNEE >ORUFI05G22610.1 pep chromosome:OR_W1943:5:21261921:21263016:-1 gene:ORUFI05G22610 transcript:ORUFI05G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAQQPRGVKVGGIHDAPAGRENDLTTVELARFAVAEHNSKAVVGGFMHYLTVEVKEPGGANKLYEAKVWERAWENFKQLQDFKPLDDATA >ORUFI05G22620.1 pep chromosome:OR_W1943:5:21263799:21264230:1 gene:ORUFI05G22620 transcript:ORUFI05G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANHSGVEEEQDGGKTFWSVAVHGDSSNDINGRPIPSFTNVGWPPASCSSIDPSSSSLLDLAFISCGGGIMEYTWVGVVRPSPLCARTPWPSSHFLATVAALATGTPMPPCRELGGEALQAGSHDSGEDSSIASDRRALRRQ >ORUFI05G22630.1 pep chromosome:OR_W1943:5:21269088:21270152:1 gene:ORUFI05G22630 transcript:ORUFI05G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAGGMSESVLRKVLLSYCYVGVWIFLSFAVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVALVRLLRVVEPPSSPAMTPQLYTSSVVPIGALYAMSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFRSSSMLNMLSISFGVAIAAYGEARFDVRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLGFLLVPWVFVELPRLRAVGTFRPDFFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVAYYNHVKLQALKAKEAQKKISQADEEAGSLLQERDGHSDRKSDNQA >ORUFI05G22640.1 pep chromosome:OR_W1943:5:21273284:21274262:-1 gene:ORUFI05G22640 transcript:ORUFI05G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGSGNAGGGAAVAGEWDGGGIAGRMRGVNAGIMDEKVLELVFRALNWDPRELCVVARVSRRLRAVAERVLWRELCVSRAPRMVSALSGPTAAVAAAAGRIGGGWPAMAKLLFFCCGAAGAALLFFCCGAAGAAVPGHFAPVSRFSKTSGRSFLSRRCAGDLLFVSDPCEHAAGAASDDDVVGAYRGVYRGFMRSRTRAFLVGHRAPLEPRVRCPYCGARVWSMTAAGLAPRSACRRLGANEGRLEYFVCVSGHLHGSCWLARLSSSSSSSDGERSADSDSNHSDDETFAAADVSLPLPPAGRVPARRLRGRPAM >ORUFI05G22650.1 pep chromosome:OR_W1943:5:21279754:21280257:1 gene:ORUFI05G22650 transcript:ORUFI05G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAEEEAVPVAEARAQAEKRAAAEDGEGAEAEEEGNEMPEPKRRRACVAALEGVRRAAADAAEENGDGGGGGAGGPAADGGSSFSFHARSFSGVETTPKFGSFNPADDLLVAFQLKPPPPPMDAPAKEEPPAAAAGDDDDEEEHEATAEEGNDGISQQLGVVDQ >ORUFI05G22660.1 pep chromosome:OR_W1943:5:21282822:21291851:1 gene:ORUFI05G22660 transcript:ORUFI05G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRTVLSDEEDEIEEEEEEENPRPSRRGRDNMDRDDDDDEEDEEDEGQDEYEKDGFIVDDADEEEEEEDERESDDERRKKKRKKKKKRESEGFMLDEDDYELLQDNNITGIQRPKPGNKFKRLKKAGRESEMDERSGFSDDDGSGKRRTAEERVQYSLFGDHQDASIEEDIVEEDQQADEDEDGDPEDEMAGFIVDEDEIDANGQVVKRKKGKARPRRPAAGVSSSALQEAHDIFGDVDELLALRKQELERDAANSGELRGNRLEDEFEPFILAEKYMTPKDEQIRENDVPERIQLSEELTGYPPTDTTMIEEESVWIHSQLTGDGFLSFFNNEHVNKDIDQKDIASVLTMLHVNKFEIPFIAMYRKENCPSLLKDLDANEQTNEEHSDEEDQRKMMWHKMLWAVQTLDKKWLLLQKRKVALEMYYDKRFDDENRRIDDVTRQALNRQLYSSIIEALKDAKSEKEVEDVDAKFNLHFPPGEVEEEGQFKRPKRKSLYSICHKAGLWQVANQFGRSAEQLGHHLTLTKIPEAGELDSGKDSPEEVAANFTCAMFETPQDVLRGARHMAAVEIGCEPIVRKHIRSIFMNKAVVSTCPTAEGNLIIDPYHQLSGVKWLRDKPLNKFVDAQWLLIQKAEEEKLLKVTIKLPEDAKKELMSEARENYLSDCVSKSAQLWDEQRKMILDDAFFNFLLPSMEKEARSLLTAKAKNWLNMEYGKQLWNKVSVAPWKKKDADKKDSDIDLDDESELRVMACCWGPGKPATTFVMLDSSGELVDVLYAGSISIRSQGVAEQQRKKNDQQRVLKFMTDHQPHVVCVGASNYNCRQLKDDIYEVFFDSIHLQLLSSHKVTCSVNLYKVISSSSMACLVASNHPRDVNPQMENFSIVYGDESVPRLYENSRISSDQLPGQSGIVKRAVALGRYLQNPLAMAATLCGPGKEILSWKLHPLEQFLTPDEKYEVVEQIMVDATNQIGFDVNLAASHEWHFSTLQFVAGLGPRKASALQKELLREGSIFSRKDLVKPLGRKVFMNASGFLRVRRSGGAAASAQIIDLLEDTRIHPESYALAKTLAKDVFAEEAPHEANEMDDDEQEMAIEHVREKPRYLKSLDIREYMKSMPEEFHNKEQTLKDIKWELLCGFPDWRTPYAEPTPDEEFWMLSGETEDTISDGRIVQVTVRSIQDNRIICTFDSGLKAIVMADNYSDEGFDLETLQLHEGDVLTGKIKNVNKNRFMVYLTCKASELRRRPLSRGNHDPYNHEQDMTSQNEQDKLRKQKELAKKHFKPRMIVHPHFQNLTAEEAMQFLSDKEPGEKVIRPSSRGPSFLTLTLKIFDGVLAHKEITEGGKDHKDITSLLRLGKTLTIDNETFEDLDEVIDRYVDPLVGHLKSMLLYRKFKKGSKSEVDEMLRAEKSENPMRIVYCFGISHEHPGTFILSYIRSTNPHHEYIGLYPKGFRFRKRDFDNIDRLVSYFQKHIDKPPPDAGPSMRNVAAMVPMKSSGWGNGGGTGGGNDGWRGDGNNDRDRPFSGRSGGRFDSRNSSGGRGRGRGRGRGNFGSDDGGGGGWSGGGGGGGNSGGWTDNIGSGGGGWGTGGGSSWAGGGDGGSGGGDSNRGGGGGWGTPAGGSDGGGGGWGAAPGGSNDAPGWGSGKKAVPAQDGGSGWGASAGGGSGGWN >ORUFI05G22670.1 pep chromosome:OR_W1943:5:21291377:21292237:-1 gene:ORUFI05G22670 transcript:ORUFI05G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRATHWCYVCRRPVRIRGGSQDVTCPSCDDGFVQEMSEMGRRTASSTLGFVGPDAGDEFLLRRSPVMEAMSTLMRHAATVGGDEREVDVHDEHGGGDGVPAHARLGVLFRGGPRVGVERRGGYYRAGLEALFEQLQNQLGSSRQGPPPAPPSAIDAMPVVTISRRHLRAEPRCPVCQDEFQLGAEAREMPCAHLYHADCIVPWLVHHNSCPVCRHSLPPPATTASGGGASGGERQVRRGSRSFLWPFGPTSSTSHSHECEDGSSDTTVYEDPGKVRYIRWHYNH >ORUFI05G22680.1 pep chromosome:OR_W1943:5:21294362:21298580:1 gene:ORUFI05G22680 transcript:ORUFI05G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKALFRAKLREREAKEKQERRIDPSLVRYNEFDQPICRICNVTLKSEALWPAHQVSRKHHEAKAAAAASAKAPSGAGSRGNKANHEQPAESQKPKSSTLPANFFENQGMKRHSDGAGSEGRSVRREVADVQQKTKEASKTKPSVNFEKIPKKESQANTNVKGVLPGNFFDYNDEDEDPAPTEANSAPGNPPISNRMQVKGVPDGFFDGNKNSNGMQPSEPSQSSKAVKSSETSEVKGSLPEGFFDNKDADLRARGIQPPKIDINDAYKEFEKEIQEDLQEVDDRLEEEEIDAAAEREEYLTLEQEEYRQRVDMLKKQLTESKAARTTKANSKPVGMDMLSSSDSSSDEEDDSTDFAVDWRAQHLK >ORUFI05G22690.1 pep chromosome:OR_W1943:5:21302847:21303521:-1 gene:ORUFI05G22690 transcript:ORUFI05G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVPSQLLFSHPEVPDSFDDFLNNITTCTHTHTCNPPGPSATMHTHTCLHTHTQVFASGSGEDDIKEDLTKTRRPLGNREAVRKYREKKKAHAAFLEEEVKKLRAANQQLLKRLQGHAALEAEVIRLRSILLDVRGKIDMEIGTFPYQKPCSVGSVACTDPGMCFNGNSEIGGVWEECSRPVGADRMIDKDGSMSQEIDIPGPVHSISMDVVGSLVTSASLSE >ORUFI05G22700.1 pep chromosome:OR_W1943:5:21305097:21310748:-1 gene:ORUFI05G22700 transcript:ORUFI05G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIGGDSTQLRWNLQSKPASVCELKTGFIPMPLTIGSTSFVGVAILELIRALGWGGFEGVFWSFKLDETMGDRYTVVSGARPKCWQRQCRVRQNSDAVLARLAGNGDPVRQGTSKISASWVLPCTL >ORUFI05G22710.1 pep chromosome:OR_W1943:5:21316798:21317178:-1 gene:ORUFI05G22710 transcript:ORUFI05G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRPAVCSASAPRAAAPPPPPPHLRGLPRPPPPRPHCPAPRSESRCHLLPLSLPNSLVAVGLLLLAIVLRHFLYHCRYRLTCRHASPAEGEEEGERKEREGREGGGKRKGRRERTHMSVGPHVFV >ORUFI05G22720.1 pep chromosome:OR_W1943:5:21321394:21328837:1 gene:ORUFI05G22720 transcript:ORUFI05G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRSWSIESYLNEYFDIPAKNPPGEARRRWRRAVGLIVRNRRRRFGRFSDVDAIDEAQRRKILGKVQVVINVHKAALQFIDGVKQYHLPPELIEEGFCISPDELAAIANMREDYTMLRMHGGINGISRKIKASLEDGAKETDIATRQMLYGANRHAEKPPRSFWMFVWDALHDLTLIILVVCALVSIVVGLATKGWPMGIYDGFGIILSILLVVLVTATSDYQQARKFMELDREKQKIYIRVTRDKKTKEVLVHDLVVGDILHLSIGDVVPADGLFISGDCLMIDESSLSGESEPVNISEERPFLHAGNKVVDGAAKMLVTAVGTRTEWGKIMGTLNGDGVDETPLQVKLNGVATIIGQIGLVFAVLTFLVLLARFLADKGMHVGLLNWSANDALTIVNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMHDKALVRHLAACETMGSASCICTDKTGTLTTNHMIVDKVWIGDVKFVGDKKNSELKSTISERVMAILIQGIFVNTASEVVKGDDGKNTILGLATETALLEFGLSLEEHLYDDYNKLTRIKVDPFNSVKKKMSVTIQLPNGGIRTFCKGASEIILEQCNTIHNTDGNIVPLSEMQKHNVLNIINSFASEALRTLCIAFKDMDEFPNDQPISDDGYTLIAVFGIKDPVRPGVKDAVRTCMAAGIRVRMVTGDNINTAKAIAKECGILTEDGIAIEGQQLNNKSSDELKELLPKIQVIARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALHESDIGLAMGITGTEVAKESADVIIMDDNFETIVNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFVSACIIGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRRGDNFITRIMWRNILGQGLYQLLVLATLMVIGKKLLSIEGPQSDKTINTLIFNSFVFCQESVLYFNGNFTILEKVSGGTLRYFLKEGKISLILTVFNEINCREMEKINVLQGIFRNWIFVGILTATVIFQVIIVEFLGTFANTVPLSGELWLLSVVIGSISMIISVILKCIPVEFNKTNTKPHGYELIPEGPEIL >ORUFI05G22720.2 pep chromosome:OR_W1943:5:21321394:21328837:1 gene:ORUFI05G22720 transcript:ORUFI05G22720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRSWSIESYLNEYFDIPAKNPPGEARRRWRRAVGLIVRNRRRRFGRFSDVDAIDEAQRRKILGKVQVVINVHKAALQFIDGVKQYHLPPELIEEGFCISPDELAAIANMREDYTMLRMHGGINGISRKIKASLEDGAKETDIATRQMLYGANRHAEKPPRSFWMFVWDALHDLTLIILVVCALVSIVVGLATKGWPMGIYDGFGIILSILLVVLVTATSDYQQARKFMELDREKQKIYIRVTRDKKTKEVLVHDLVVGDILHLSIGDVVPADGLFISGDCLMIDESSLSGESEPVNISEERPFLHAGNKVVDGAAKMLVTAVGTRTEWGKIMGTLNGDGVDETPLQVKLNGVATIIGQIGLVFAVLTFLVLLARFLADKGMHVGLLNWSANDALTIVNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMHDKALVRHLAACETMGSASCICTDKTGTLTTNHMIVDKVWIGDVKFVGDKKNSELKSTISERVMAILIQGIFVNTASEVVKGDDGKNTILGLATETALLEFGLSLEEHLYDDYNKLTRIKVDPFNSVKKKMSVTIQLPNGGIRTFCKGASEIILEQCNTIHNTDGNIVPLSEMQKHNVLNIINSFASEALRTLCIAFKDMDEFPNDQPISDDGYTLIAVFGIKDPVRPGVKDAVRTCMAAGIRVRMVTGDNINTAKAIAKECGILTEDGIAIEGQQLNNKSSDELKELLPKIQVIARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALHESDIGLAMGITGTEVAKESADVIIMDDNFETIVNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFVSACIIGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRRGDNFITRIMWRNILGQGLYQLLVLATLMVIGKKLLSIEGPQSDKTINTLIFNSFVFCQESVLYFNGNFTILEKVSGGTLRYFLKEGKISLILTVFNEINCREMEKINVLQGIFRNWIFVGILTATVIFQVIIVEFLGTFANTVPLSGELWLLSVVIGSISMIISVILKCIPVEFNKTNTKPHGYELIPEGPEIL >ORUFI05G22730.1 pep chromosome:OR_W1943:5:21332089:21335261:1 gene:ORUFI05G22730 transcript:ORUFI05G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSYAAGGGRGAAVAAVAEGKLDELRRRMGKADGDLLRIVGVGGGAWGSAFCALLQDAYGRHRDKAQVRVWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMVDTPLCPLKVVTNLQEAVWDADIVINGLPSTETREVFGEIGRYWKERIRPPVIISLAKGIEASIDPVPRIITPTQMISNATGVPLDNILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNIYAIGAGMVAALTNESATSKSVYFSLCTSEMIYITHLLAEDPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVHAFYELLSQSSLSVTHPEVKKLVAPVELCPILKTLYKILIKRELATDSILQAIRDESMYDPRERIEMSQRQCLYRPSLLGLPKDSLMYSLHSGPQDPSLCAKCISCSNTDSILY >ORUFI05G22730.2 pep chromosome:OR_W1943:5:21332089:21335261:1 gene:ORUFI05G22730 transcript:ORUFI05G22730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSYAAGGGRGAAVAAVAEGKLDELRRRMGKADGDLLRIVGVGGGAWGSAFCALLQDAYGRHRDKAQVRVWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMVDTPLCPLKVVTNLQEAVWDADIVINGLPSTETREVFGEIGRYWKERIRPPVIISLAKGIEASIDPVPRIITPTQMISNATGVPLDNILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNIYAIGAGMVAALTNESATSKSVYFSLCTSEMIYITHLLAEDPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVHAFYELLSQSSLSVTHPEVKKLVAPVELCPILKTLYKILIKRLVRLPLLLFLLVLIYVYRLKFFAHFTCRELATDSILQAIRDESMYDPRERIEMSQRQCLYRPSLLGLPKDSLMYSLHSGPQDPSLCAKCISCSNTDSILY >ORUFI05G22740.1 pep chromosome:OR_W1943:5:21337802:21338312:1 gene:ORUFI05G22740 transcript:ORUFI05G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVVRRGQGPSAAWRRGEGPLLVAGGGGDVDRAGDDGGGEGDGQVTKSTMDGVRRLKMAKRLGEEEKGHPWSLEGEEMSIALEMMEAEREMDKRRSRRWMGSGA >ORUFI05G22750.1 pep chromosome:OR_W1943:5:21338487:21340520:1 gene:ORUFI05G22750 transcript:ORUFI05G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVDRFGPLRSPLVRSPAATKCHRLTRAPPPHVAGCHSSFLGQSEPSTTSPYYTRSFPVAHSSYLAAVRRNLSNFVRRPIARRSPVLSSAVGKDLQRLGEEEKGHPWSLEGEEMSIALEMMEAEREMDKRRNQRWMGSGA >ORUFI05G22760.1 pep chromosome:OR_W1943:5:21346922:21350642:1 gene:ORUFI05G22760 transcript:ORUFI05G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVISMVVAPWILACGFLLCSSSFLGAEGAIGVNYGMLGNNLPSPAQVISMYKAKNINYVRLFHPDTAVLAALRNSGIGVVLGTYNEDLARLASDPSFAASWVSSYVQPFAGAVSFRYINAGNEVIPGDPAANVLPAMRNLDAALKAAGISGIPVTTAVATSVLGVSYPPSQGAFSEAASPYMAPIVAYLASRGAPLLVNVYPYFAYAADAERVQLGYALLSASQSASVTDGGVTYTNMFDAIVDAAHAAVEKATGGQAVELVVSETGWPSGGGGVGATVENAAAYNNNLIRHVSGGAGTPRRPGKPVETYLFAMFNENQKPEGVEQHFGLFQPDMTEVYHVDFAASS >ORUFI05G22770.1 pep chromosome:OR_W1943:5:21350800:21352659:1 gene:ORUFI05G22770 transcript:ORUFI05G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKNLGNRRQNHEKSTMTEDARSRDQETLQRFADSDITVSPVNSHESGDVNMEAAISAEDVLRAGGFGAKDDIGSLLPTAVDSTDFEASLRDARDFEGESEKPSHPGLGYKANETDSGGKPSDVQQQQQQQ >ORUFI05G22780.1 pep chromosome:OR_W1943:5:21352945:21353811:-1 gene:ORUFI05G22780 transcript:ORUFI05G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWDDDEFSDEEEQSMGKRLWEDEDAGEDFAAVKDTWDDDDDVKPGKETAATAASTKPPATKGKKSQANAKAKAEAADATPSETSTSNAAAEIAQKQPDDDEPIEKFVPKSEKEFAEYAERIAKDLLRPYEKSYHYIGLMKAMNKLAVASLTSTSVKEIVSSMTTVANEKLKAEKAADAGKKKPGQKKKRLHVNKAEGQKFRDADDDDDDDCLSS >ORUFI05G22790.1 pep chromosome:OR_W1943:5:21354732:21357283:-1 gene:ORUFI05G22790 transcript:ORUFI05G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPTTSSLSLAARRAAAVGPAAASPLRRGGLAAGCQPARSLAFAAAADPRLATHVASRCRQAASSSSRGTRAVATMAKKSVGDLTAADLEGKRVFVRADLNVPLDDNQNITDDTRVRAAIPTIQYLIKNGAKVILSSHLGRPKGVTPKFSLAPLVPRLSELLGIQVTKAEDVIGPDVEKLVSELPNGSVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLAKAKEKGVSLLLPSDVIIADKFAPDANSQVVPASAIPDGWMGLDIGPDSVASFSSTLETTQTVIWNGPMGVFEFDKFAVGTEAIAKKLADLSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVIALDEAVTVARSKL >ORUFI05G22790.2 pep chromosome:OR_W1943:5:21355047:21357283:-1 gene:ORUFI05G22790 transcript:ORUFI05G22790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPTTSSLSLAARRAAAVGPAAASPLRRGGLAAGCQPARSLAFAAAADPRLATHVASRCRQAASSSSRGTRAVATMAKKSVGDLTAADLEGKRVFVRADLNVPLDDNQNITDDTRVRAAIPTIQYLIKNGAKVILSSHLGRPKGVTPKFSLAPLVPRLSELLGIQVTKAEDVIGPDVEKLVSELPNGSVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLAKAKEKGVSLLLPSDVIIADKFAPDANSQVVPASAIPDGWMGLDIGPDSVASFSSTLETTQTVIWNGPMGVFEFDKFAVGTEAIAKKLADLSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVIALDEAVTVAV >ORUFI05G22800.1 pep chromosome:OR_W1943:5:21359014:21360330:-1 gene:ORUFI05G22800 transcript:ORUFI05G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRAVLVGINYAGTEGELKGCLNDVARMRRCLVDRFGFDEADIRVLADADPSTPQPTGANIRLELERLVGDARPGDTLFFHYSGHGLQLPIETGGDDDDTGYDECIVPCDMNLIKDQDFTELVQKVPDGCLFTMVSDSCHSGGLIDKTKEQIGSSTKQSKIQQRERELRRQQAPSPGTCSCASLLQIALRHLPRRGGQRIIGSRSRDGVGEDQPPRSQAELLAADATRAGIKNRSLPLSTFVEMLRERTGKDDVGVGSIRTTLFHHFGDDASPKIRRLVNAMLGRRHGSATASEEHPDKAKPERVDGEGEAAAAKQGAPETRPLPRNGVLISGCQTDETSADATTPEGVSYGALSDAIQSVLAEERRGKVTNMELVRRARELLAKQGYTQQPGLYCRDKHANVAFIC >ORUFI05G22810.1 pep chromosome:OR_W1943:5:21360957:21363168:-1 gene:ORUFI05G22810 transcript:ORUFI05G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKRALLVGINYPGTKAELKGCHNDVARMRRALVDRFGFDEADIRVLADADRSAPQPTGANIRRELARLVGDARPGDFLFFHYSGHGTRLPAETGQDDDTGYDECIVPSDMNLITDQDFTELVQKVPDDCLFTIVSDSCHSGGLLDKTKEQIGHSTKQNQAQQIKREERSDSGTGGFRSFLKETLKETVRDAFESRGVHIPHQSSRRNDDEDEEPHMGSSSHGGDRIKNRSLPLSTLIEMLKEKTGKDDIDVGSIRMTLFSLFGDDASPKIKKFMKVMLTKLQEGQHGGVMGLVGALAQEFMKAKLEGNQEADALEPAMKQEVHSVHEAYAGTTARVSNGVLISGCQTDQTSADATTPKGVSYGALSNAIQTILSEKSGRVTNKELVLRARELLSKQGYTQQPGLYCSDKHTSVAFIC >ORUFI05G22820.1 pep chromosome:OR_W1943:5:21377284:21377868:-1 gene:ORUFI05G22820 transcript:ORUFI05G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPEDAPAIPSNPSVPQEVGNQLPRRSPPPPPRLETAADEIGGVRCGVLCFHLPRRSKKKKKKKPPPPVMQLAGGAAGIKSVQLETTATDDDDASSASPPPQRVTFLASASLSTWWPASPPAAAAAGGRGASSSSFSHWRRSLSSSRRRVTPHGAAAAAPSAPTSFSFPSSPASASTSCTSTPKLVHGCHVD >ORUFI05G22830.1 pep chromosome:OR_W1943:5:21380967:21390441:1 gene:ORUFI05G22830 transcript:ORUFI05G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPRSPAPSPGRAGRPRLFIKEMVLRNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIIDLDDGNYRAVEGSDFIITRVAFRDNTSKYYINDRGSNFTEVTKLLKGKGVDLDNNRFLILQGEVEQISLMKPKSQGPHDEGFLEYLEDIIGTNQYVEKIEEASKQLEMLNEKRTASVQMLKLAEKERDNLESAKNEAETFMLKELLLLKWQEKATTLASDDATSHVAQLQENVADLEKNLASEREKIQHSSQTLKEMESVYNKHAKRQEDLENNMKSCKDQFKEFERKDVKYREDLKHLKQKIKKLEDKTEKDTSKIDESTKEVEESSSLIPQLEEEIPKLQEKFNEEEKVLEQIKENSREETERLRSKLTQVRSELEPWENQIIEHKGSLDVASAEKKLMKEKHDGARAELTAAQNQMESIKEQIKAKDTYIMELQEKIEKHHSEANEARKVEQECLKQEESLIPLEQAARQKVAEIKTTRDSEKNQGTVLKAILQAKESKEIEGIYGRLGDLGAIDAKYDVAISTACPGLDYIVVETTNSAQACVELLRRRNLGIATFMILEKQTHHLRKLQEKVKTPEGVPRLFDLVKVKDEKLKLAFFATLGNTIVASDLDQATRIAYSAASEFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRESISEEAVANAENDLNKLVDQLNRLREKINDAKKGYRALEDAKSRFEMELAKAKKEVESMNAQFSYNEKRLDSLKAASHPKADEVRRMEELDDIISAEQAELNRLAKCSSKLKDQASELQQKIENAGGQVLKDQKLKVANIQSQLDKTSSDINRHKVRITTCEKLVKKLTKGIEESRKEKEKLLAEKEKMMSIFKEIEKAAFTVQEDYKKTQEMMDNHKDELDKTKVEYNKLKKAMDELRSSEVDVEYKLQDTKKLAKEWEMKVKAFRKKLDDIQTNLVKHMDQIQKDAIDHEKLKETLSDEQFNEACDMRKAVEMVALLEALLKDLSPNLDSIAEYRTKARVYGERVDELNATTQERDDLKKQYDALRKRRLDEFMAGFNIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFAESMKVV >ORUFI05G22830.2 pep chromosome:OR_W1943:5:21380967:21390441:1 gene:ORUFI05G22830 transcript:ORUFI05G22830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPRSPAPSPGRAGRPRLFIKEMVLRNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIIDLDDGNYRAVEGSDFIITRVAFRDNTSKYYINDRGSNFTEVTKLLKGKGVDLDNNRFLILQGEVEQISLMKPKSQGPHDEGFLEYLEDIIGTNQYVEKIEEASKQLEMLNEKRTASVQMLKLAEKERDNLESAKNEAETFMLKELLLLKWQEKATTLASDDATSHVAQLQENVADLEKNLASEREKIQHSSQTLKEMESVYNKHAKRQESCKDQFKEFERKDVKYREDLKHLKQKIKKLEDKTEKDTSKIDESTKEVEESSSLIPQLEEEIPKLQEKFNEEEKVLEQIKENSREETERLRSKLTQVRSELEPWENQIIEHKGSLDVASAEKKLMKEKMESIKEQIKAKDTYIMELQEKIEKHHSEANEARKVEQECLKQEESLIPLEQAARQKVAEIKTTRDSEKNQGTVLKAILQAKESKEIEGIYGRLGDLGAIDAKYDVAISTACPGLDYIVVETTNSAQACVELLRRRNLGIATFMILEKQTHHLRKLQEKVKTPEGVPRLFDLVKVKDEKLKLAFFATLGNTIVASDLDQATRIAYSAASEFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRESISEEAVANAENDLNKLVDQLNRLREKINDAKKGYRALEDAKSRFEMELAKAKKEVESMNAQFSYNEKRLDSLKAASHPKADEVRRMEELDDIISAEQAELNRLAKCSSKLKDQQKIENAGGQVLKDQKLKVANIQSQLDKTSSDINRHKVRITTCEKLVKKLTKGIEESRKEKEKLLAEKEKMMSIFKEIEKAAFTVQEDYKKTQEMMDNHKDELDKTKVEYNKLKKAMDELRSSEVDVEYKLQDTKKLAKEWEMKVKAFRKKLDDIQTNLVKHMDQIQKDAIDHEKLKETLSDEQFNEACDMRKAVEMVALLEALLKDLSPNLDSIAEYRTKARVYGERVDELNATTQERDDLKKQYDALRKRRLDEFMAGFNIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFAESMKVV >ORUFI05G22840.1 pep chromosome:OR_W1943:5:21392127:21392696:-1 gene:ORUFI05G22840 transcript:ORUFI05G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDMGAGGGGGVVGGGRAEAHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTYDTPVEAALAYDRAAVALRGVKARTNFGSGSSGGGGVGGHGHGHSHAQLPQLHHRMHPPRPPQGPGHFGGLDISHPSPWHYVYFPARVQAMAPAAAGHVAAHVAASLPSTTLELRTGPSAGELPFDLNEPPPALLFGS >ORUFI05G22850.1 pep chromosome:OR_W1943:5:21403156:21403866:-1 gene:ORUFI05G22850 transcript:ORUFI05G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTSDKTMSPAAAATGLALGVGGVAGAAAVGTGQHFRGVRKRPWGRYAAEIRDPAKKSRVWLGTFDTAEEAARAYDAAAREYRGAKAKTNFPYPNGAPAAGVNSGSSNSSTVESFGSDVQAPMKAMPIPPSLELDLFHRAAAAAAAGAGGMRFPFEGYPVSHPYYFFGQAAAAAAASGCRMLKIAPAPVTVAALAQSDSDSSSIVDLAPSPPAALAKKAIAFDLDLNCPPPMEV >ORUFI05G22860.1 pep chromosome:OR_W1943:5:21423341:21428107:-1 gene:ORUFI05G22860 transcript:ORUFI05G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRGAGLPAAGEAAKAGRVGVGTTKRARDPSPNSKDPNGVVANLLWRRYSGKVVAEVKASILPYVASTLEAKQVEKGKEEGLTGKTSRERERRKGGFVGVIAAEKKPALQLHGDEKYQKKAGNDPVPPTIDDTSKTGGLHLHGGHVSQSPPDSNALSSQRFGSSSPGGDMKNKTRKRTCTFYAQGRCKNGKSCTFLHEGEVSGSDNQVYGNHGGTGEGSEIQHPSSSKERQFKNSAGSSQHEIYRTLVHAYGEDNRGLTHPVVKHSCHMLKASHGFKIGGSLTANPTNEVVQLPVVQEKNHEPYFMGHQISLGTNNCLNDMGAYSRLRLDGGKLQFEVAKGDSPRDSHLSRSYLEKNPLKPDYRYQPFDSTISLDPHQYSKKLSAYGGATENLPHKHQEEKSSSHVSYSLNSYTGFRKQGHDSSDFFLVNQSLRATSHHGTLPLHQLTPDKDASHHKGADFDKGGTSRSTLHVSSSSQPVVASAGKLSPIKDEVWITSVPFVPSFNFPDFPGSTSPSKSQYDPLVDSIDPPKVESLNNLKTSNISCSISSQHVDTNVIRGGSLEKPLTFADKLARNVSAKGSNDFGLISYDRGHSSSLDGDNRVKTCERKNDASLNNEKSDFRFHLVEHVKELVKPIWKEGNLSKEAHKLIVKKSVDKIFASLEPNQMPETEKAITTYITASAPKIEKLVKAYVDRYRTS >ORUFI05G22870.1 pep chromosome:OR_W1943:5:21431781:21438231:1 gene:ORUFI05G22870 transcript:ORUFI05G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARTQARAYIGRAASRPENCTTQRQAGKGQGGRPTTSTTIAALRLRCLCFSFPLALRLLLLLLPPTASRVASTHLTSLPPPRACSTTSRRRGRARGERGGGEEAGMPAQKRPAPEAASAPAGGDGHVEGGGAGGGGGADEDAHRGGERSPKVMNGGGPEKEKERRDADSDAEEEEEEAGGGGGGGGADEDRDSPSSESDGDMDEFVLVKLMDIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALILALYPDIDKYEEEELAFSEEERTRNKKIQASIAETFRRQSEALVKKRSVAKATGSTITRRTRGNMRAKRRGRTSSPDIVATDNEDEDRDENGNEGSKESSSVDDRSPDVRQKRVRRWPVPRSSPAKSIGGIDSSFEDIDDLGSGRDIMSTSPLRGEMLAWGKNGTRSQTRHGNSGGSSGRMAKGGRVTKLVEYLRNTDEFDNKFNLYLVLLPLNGQSMPKLEKPYLSCQPTFSVRHLCQFVALQLSRHAKEVEIFIRKNPNNGCFASIDTSADEIKLNHDALERLEEEKSLSELYPSLASGHGDLELLYSLKAEVMTIRRTRISGSDFGSVFWVFVSFESLTMQAPWTRYGALNNEQMVGCRKNREVIKSLLTGAGLTVCHAMLPLKLADWPSTLTQSRCQSNWPMSLVLEI >ORUFI05G22880.1 pep chromosome:OR_W1943:5:21439437:21442311:1 gene:ORUFI05G22880 transcript:ORUFI05G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLVRPGRAAPLLRRLRRRLLLLSSPQTPSPSSSPYSVSSSKVSPLLLLLSARPSPSRAGDRGAWRGVSSCGATRAVDVGDEAASSSGSPAAAGSDLSSPYLSVRIRCRKEDAEVLSESLLCFGATSVTVDDIAAAGNLDEITITSIFAHGEDVGSCVSSAASSAGLEYNPVYESSVGKQCDWVTVVQETYESTKVIDGLWVIPKWRTPPDPQAINIIINPGLAFGTGEHPTTKLCLLLLRETVKGGERFLDYGTGTGVLGIAALKMGAALSNGIDIDPQAVTSACENMMPTGNLELKSSKGSYDIVAANILLNPLLELVEDIVGYAKSGGIVAVSGILSEQVPKVEEAYSRYLENISVSEIDGWACLRGNRRA >ORUFI05G22880.2 pep chromosome:OR_W1943:5:21439437:21442311:1 gene:ORUFI05G22880 transcript:ORUFI05G22880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLVRPGRAAPLLRRLRRRLLLLSSPQTPSPSSSPYSVSSSKVSPLLLLLSARPSPSRAGDRGAWRGVSSCGATRAVDVGDEAASSSGSPAAAGSDLSSPYLSVRIRCRKEDAEVLSESLLCFGATSVTVDDIAAAGNLDEITITSIFAHGEDVGSCVSSAASSAGLEYNPVYESSVGKQCDWVTVVQETYESTKVIDGLWVIPKWRTPPDPQAINIIINPGLAFGTGEHPTTKLCLLLLRETVKGGERFLDYGTGTGVLGIAALKMGAALSNGIDIDPQAVTSACENMMLNGIDSNKMLVYLVPTNAQSACFPSNIDKSEENRPTGNLELKSSKGSYDIVAANILLNPLLELVEDIVGYAKSGGIVAVSGILSEQVPKVEEAYSRYLENISVSEIDGWACLRGNRRA >ORUFI05G22890.1 pep chromosome:OR_W1943:5:21443073:21448131:-1 gene:ORUFI05G22890 transcript:ORUFI05G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPREVAAAARGFSAMARIVGPDPKAVKMRRHAFHLHQSGSTTLSASALLLPPGSLAEPPPLLDRICAAHGHAGGVALTSASLVEPFLVEEQRNSPSQELQPRLVPEAHLDVLVEHEESRNIGGGKTGAPRWLSARLLAIVDVQASADSVLSLLQHEGSLIRSSSWDVCWSLADVNQKQVDNDARYSLECNRKNAYAESTEPPMLAKSATRIAILGVSNLNSSNTRCINVSLMQQRGDSLLIMGSPFGILSPVHFFNSISVGVVANCLPPGTARSSLLMADVHCLPGAPVFDKNSCLVGMLMKPLRQRGSSTEVQLVITWDAICNAWNSDKLERIGHPPSELVDDKSSDCKYKESCVADKHRSETSWASGIILNKNGLIMTNAHLLEPWRFGRTSPLGLQNKIASFSEHICGGENNLLQPQQCKVSNEDAVKHELSLFNFGLKKDRAISVRLDHGERKTWCNASVVFISKGPLDVALLQMEKTPIELCAIRPEFVCPTAGSSVYVVGHGLLGPRSGLSSSLSSGVVSKIVKIPSTQHSQLSSVVEVNNMDIPVMLQTTAAVHPGASGGVLLDSLGRMVGLITSNAKHGGGSTIPHLNFSIPCKSLEMVFKYSAKGDFKILEQLDKPNEVLSSVWALAPTSSPFFSTSPENGRGGKVLEFSKFLADKQEGLKSIKDIEAFLRDRIPSKI >ORUFI05G22890.2 pep chromosome:OR_W1943:5:21443073:21448131:-1 gene:ORUFI05G22890 transcript:ORUFI05G22890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPREVAAAARGFSAMARIVGPDPKAVKMRRHAFHLHQSGSTTLSASALLLPPGSLAEPPPLLDRICAAHGHAGGVALTSASLVEPFLVEEQRNSPSQELQPRLVPEAHLDVLVEHEESRNIGGGKTGAPRWLSARLLAIVDVQASADSVLSLLQHEGSLIRSSSWDVCWSLADVNQKQVDNDARYSLECNRKNAYAESTEPPMLAKSATRIAILGVSNLNSSNTRCINVSLMQQRGDSLLIMGSPFGILSPVHFFNSISVGVVANCLPPGTARSSLLMADVHCLPGAPVFDKNSCLVGMLMKPLRQRGSSTEVQLVITWDAICNAWNSDKLERIGHPPSELVDDKSSDCKYKESCVADKHRRFVPNSANNLNQYDVSPSLTEAISSVVLVTVSETSWASGIILNKNGLIMTNAHLLEPWRFGRTSPLGLQNKIASFSEHICGGENNLLQPQQCKVSNEDAVKHELSLFNFGLKKDRAISVRLDHGERKTWCNASVVFISKGPLDVALLQMEKTPIELCAIRPEFVCPTAGSSVYVVGHGLLGPRSGLSSSLSSGVVSKIVKIPSTQHSQLSSVVEVNNMDIPVMLQTTAAVHPGASGGVLLDSLGRMVGLITSNAKHGGGSTIPHLNFSIPCKSLEMVFKYSAKGDFKILEQLDKPNEVLSSVWALAPTSSPFFSTSPENGRGGKVLEFSKFLADKQEGLKSIKDIEAFLRDRIPSKI >ORUFI05G22900.1 pep chromosome:OR_W1943:5:21451885:21452205:-1 gene:ORUFI05G22900 transcript:ORUFI05G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGRWAICALLLAALCVAAQFEGALCRGGGRGGKGGGGGGGKGGGGRGGAGRPIAGAAAAGIGSRAGSGSHRHSAAAAGPHGRGAWRTSGGAAAVAAAALVWWC >ORUFI05G22910.1 pep chromosome:OR_W1943:5:21461827:21462450:-1 gene:ORUFI05G22910 transcript:ORUFI05G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSTRRLRVLLLVLAVVVASAVQYSGAGAHAARVPAVLREDETTTTATDGLACRHRRRASAVVVTAAAAPPLVPVHAAAGHGVPSTKSFNEGPAPSTTGGGDDGHAIFAAAAAAGRVSSRLGGGGVGGRGGGGYGRAGGGAGYAAGMYTGGGTGSAKADIIIDVLLVLTLVGGIITIVWSFCFICTNQQADSGSTDQDPNPPLPKQ >ORUFI05G22920.1 pep chromosome:OR_W1943:5:21475607:21476350:-1 gene:ORUFI05G22920 transcript:ORUFI05G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTRRVCVLLLLVLLGLAATSNRARAARVVPAAGAAALRREEGEAPAAVHRGRRGVPRIGRGGGVGGGGVTVPVEHVARRRLQEHEHLNEEMLPSFSGGGGSRGGGVSSGGSSRGGGSSIGSGGGSRGSSGGSSSGSRGAGGTESGRRFIPPIIPAVPGGGGGGGSGSGRGSSRGGGGARARVGCCCVTRAVAATPSRRCRIINNCGVIAVFRFAMHTGSVPTCIGACSGFNFRLVWMWLGGAYR >ORUFI05G22930.1 pep chromosome:OR_W1943:5:21479585:21491110:1 gene:ORUFI05G22930 transcript:ORUFI05G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHHLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISFYPFIRNDIIRTKCANVLISMDKFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGFSVFGMLNKKFNSPSSFCTSSDWYAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLPDFEFAFSEEGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVCQFIPQLTKAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTSEQSTFMIDLHQVGTMLRHATSRSLCLLDEFGKGTLTEGKNGIGLLGGTISHFTDYDCPPKVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVFPS >ORUFI05G22930.2 pep chromosome:OR_W1943:5:21479585:21491110:1 gene:ORUFI05G22930 transcript:ORUFI05G22930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHHLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISFYPFIRNDIIRTKCANVLISMDKFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGFSVFGMLNKKFNSPSSFCTSSDWYAFLKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLPDFEFAFSEEGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVCQFIPQLTKAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTSEQSTFMIDLHQVGTMLRHATSRSLCLLDEFGKGTLTEGKNGIGLLGGTISHFTDYDCPPKVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVFPS >ORUFI05G22930.3 pep chromosome:OR_W1943:5:21479585:21491110:1 gene:ORUFI05G22930 transcript:ORUFI05G22930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHHLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISFYPFIRNDIIRTKCANVLISMDKFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGFSVFGMLNKKFNSPSSFCTSSDWYAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLPDFEFAFSEEGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVCQFIPQLTKAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTNGIGLLGGTISHFTDYDCPPKVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVFPS >ORUFI05G22930.4 pep chromosome:OR_W1943:5:21479585:21491110:1 gene:ORUFI05G22930 transcript:ORUFI05G22930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHHLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGFSVFGMLNKKFNSPSSFCTSSDWYAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLPDFEFAFSEEGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVCQFIPQLTKAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTNGIGLLGGTISHFTDYDCPPKVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVFPS >ORUFI05G22930.5 pep chromosome:OR_W1943:5:21479585:21491110:1 gene:ORUFI05G22930 transcript:ORUFI05G22930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHHLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISFYPFIRNDIIRTKCANVLISMDKFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGFSVFGMLNKKFNSPSSFCTSSDWYAFLKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLPDFEFAFSEEGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVCQFIPQLTKAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTNGIGLLGGTISHFTDYDCPPKVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVFPS >ORUFI05G22930.6 pep chromosome:OR_W1943:5:21479585:21491110:1 gene:ORUFI05G22930 transcript:ORUFI05G22930.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHHLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGFSVFGMLNKKFNSPSSFCTSSDWYAFLKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLPDFEFAFSEEGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVCQFIPQLTKAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTNGIGLLGGTISHFTDYDCPPKVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVFPS >ORUFI05G22930.7 pep chromosome:OR_W1943:5:21479585:21491110:1 gene:ORUFI05G22930 transcript:ORUFI05G22930.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHHLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISFYPFIRNDIIRTKCANKFNSPSSFCTSSDWYAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLPDFEFAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTNGIGLLGGTISHFTDYDCPPKVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVFPS >ORUFI05G22930.8 pep chromosome:OR_W1943:5:21479585:21491110:1 gene:ORUFI05G22930 transcript:ORUFI05G22930.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHHLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISFYPFIRNDIIRTKCANKFNSPSSFCTSSDWYAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYVHQIDMERAIIRDLVCRVCQFIPQLTKAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTNGIGLLGGTISHFTDYDCPPKVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVFPS >ORUFI05G22930.9 pep chromosome:OR_W1943:5:21479585:21491110:1 gene:ORUFI05G22930 transcript:ORUFI05G22930.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHHLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISFYPFIRNDIIRTKCANKFNSPSSFCTSSDWYAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLPDFEFAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTNGIGLLGGTISHFTDYDCPPKVLLSTHLTQIFTESYLPQDYTVHSLLRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVFPS >ORUFI05G22940.1 pep chromosome:OR_W1943:5:21492945:21498056:1 gene:ORUFI05G22940 transcript:ORUFI05G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLPSTIIFLLISITQLIGIFVQWFFQEDVCFRTNCMDLRCMPLGGTSITLPNLLLISIIPLSEFLEHSKNVL >ORUFI05G22950.1 pep chromosome:OR_W1943:5:21496476:21499594:-1 gene:ORUFI05G22950 transcript:ORUFI05G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRPRRPAPARSPDPAATTPRQAAPGSRHRTPRRGGRGRLLVQSPSLASARRAAAAAAPLPVAPPPDTPPLRWPAARGGDAVAPCGAGAGAGASVRKIAAALWRVHPPQAPPPTESREAPRRRFEPSPKQPHTPDRCNYYKALLEGRTGSKPLGNDIIQEVGAYSPSPRIEMEVATKWDRRGLNNLRGTDGALCDRYPVSADAEISALKAELLQAHNRIHELEAESRSAKKKLDHLVRNLAEEKASWRSKENDKVRNILDAVKEELNRERKNRQRAEIMNSKLVSELSELKSAAKRYLQDYEKERKARELMEEVCDELAKEIAEDKAEVEALKSESMKMRDEVEEEKKMLQMAEVWREERVQMKLVDAKLTLDSKYSQLSKLQSDLESFLSFHQGNGVNKEALRDGERLREAICSMKFHDIKEFSYKPPPPSEDIFAVFEELRERDDANEKEIGQCNGGTPKRHATKIHTVSPETDIFLEKPLNKYSNQLCDRNEEEDDSGWETVSHVDEQGSSNSPDGSEPSVNGFCGGNDASVSGTDWDDNRSNSEISEVCSTTAEKYRKKGSSFGRLWRSSNGDGHKKTGSELLNGRLSSGRMSNAALSPSLKNGEVCTVSPSVGEWSPDLLNPHVVRAMKGCIEWPRGAQKQNTHNLKSKLLEAKLDGHKVQLRQALKQKI >ORUFI05G22960.1 pep chromosome:OR_W1943:5:21506878:21507135:1 gene:ORUFI05G22960 transcript:ORUFI05G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAAAPSDDGELGSPEREEMTGVEVRIRISKRQLQELLEMASMTAAGDEKVIVGIINAGEVVDHHQQRHWQPTLQSIPEAGEP >ORUFI05G22970.1 pep chromosome:OR_W1943:5:21507561:21510067:-1 gene:ORUFI05G22970 transcript:ORUFI05G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLWHLGDEFRGQSKVVEDRQWSLMTSKLAEINKSKAERTNELDYARMNTIPDVKQWDKVSYHQDESKMDHLNLGLMNLDLKMNDIRMNDAAMKNPFRGMAYNMNQLYPKGGNGNVNSFKMNVGVNKYLHSPNGKDVNGKNSGANSNGSNSSGNNSSNSAVDKRFKTLPTSEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPARYRDSVRAIIPGLPLFLYNYTTHQLHGVFEASSFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKLCKPLEEDAFRPVLHHYDGPKFRLELSIAEVVHYVLMFVKRKLLVAPCKDLTQETTCCCT >ORUFI05G22980.1 pep chromosome:OR_W1943:5:21515730:21521369:1 gene:ORUFI05G22980 transcript:ORUFI05G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSHFPSSSFFPSSSFLLQPVRRRPRLREALRRRNEDTDTTGAAAAARGAWRDPEATATATARLTPPAATFSPIAHAPIRLGEDQPPPSWDLVPSPSWDPVPSPRVNMLGRGSPRLTMLLAKAAQKKNSLKGRPTKKHGGSPIEKTRASWNPALEKILVELLHEHNTPEYRGQNGWTSEAWNKIVKEFHEKDRYVCLTKSQI >ORUFI05G22980.2 pep chromosome:OR_W1943:5:21515730:21521369:1 gene:ORUFI05G22980 transcript:ORUFI05G22980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSHFPSSSFFPSSSFLLQPVRRRPRLREALRRRNEDTDTTGAAAAARGAWRDPEATATATARLTPPAATFSPIAHAPIRLGEDQPPPSWDLVPSPSWDPVPSPRVNMLGRGSPRLTMLLAKAAQKKNSLKGRPTKKHGGSPIEKTRASWNPALEKILVELLHEHNTPEYRGQNGWTSEAWNKIVKEFHEKDRYVCLTKSQI >ORUFI05G22980.3 pep chromosome:OR_W1943:5:21517161:21521369:1 gene:ORUFI05G22980 transcript:ORUFI05G22980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEARKQSGASWNNQRCIIEAEPAIWNNIIISFPKAKKFRTKSFPLFEALGELSDGHTAEGTYNFTSTEPLQHPIITQIESDQDDLGNTEIIFPDYEDTLAYQVQDDADATEDDNANAERLKEMPQRRVVAVPRNKEEKEPKRQKKSVGVEGLMERYLDMRTKQT >ORUFI05G22980.4 pep chromosome:OR_W1943:5:21515730:21520544:1 gene:ORUFI05G22980 transcript:ORUFI05G22980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSHFPSSSFFPSSSFLLQPVRRRPRLREALRRRNEDTDTTGAAAAARGAWRDPEATATATARLTPPAATFSPIAHAPIRLGEDQPPPSWDLVPSPSWDPVPSPRVNMLGRGSPRLTMLLAKAAQKKNSLKGRPTKKHGGSPIEKTRASWNPALEKILVELLHEHNTPEYRGQNGWTSEAWNKIVKEFHEKDRYVCLTKSQI >ORUFI05G22980.5 pep chromosome:OR_W1943:5:21519199:21521369:1 gene:ORUFI05G22980 transcript:ORUFI05G22980.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEARKQSGASWNNQRCIIEAEPAIWNNIIISFPKAKKFRTKSFPLFEALGELSDGHTAEGTYNFTSTEPLQHPIITQIESDQDDLGNTEIIFPDYEDTLAYQVQDDADATEDDNANAERLKEMPQRRVVAVPRNKEEKEPKRQKKSVGVEGLMERYLDMRTKQT >ORUFI05G22980.6 pep chromosome:OR_W1943:5:21519199:21520544:1 gene:ORUFI05G22980 transcript:ORUFI05G22980.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEARKQSGASWNNQRCIIEAEPAIWNNIIISFPKAKKFRTKSFPLFEALGELSDGHTAEGTYNFTSTEPLQHPIITQIESDQDDLGNTEIIFPDYEDTLAYQVQDDADATEDDNANAERLKEMPQRRVVAVPRNKEEKEPKRQKKSVGVEGLMERYLDMRTKQT >ORUFI05G22980.7 pep chromosome:OR_W1943:5:21520401:21521369:1 gene:ORUFI05G22980 transcript:ORUFI05G22980.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTKAKKVKAYTVFKNAENREIFVSACDEDPESALSWLRSSLKSTGREIPDNLFDGSNFLKFMKIYCFR >ORUFI05G22980.8 pep chromosome:OR_W1943:5:21515730:21521133:1 gene:ORUFI05G22980 transcript:ORUFI05G22980.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSHFPSSSFFPSSSFLLQPVRRRPRLREALRRRNEDTDTTGAAAAARGAWRDPEATATATARLTPPAATFSPHDESQGIIIVSPAAQLIPLINLFSL >ORUFI05G22990.1 pep chromosome:OR_W1943:5:21516261:21520011:-1 gene:ORUFI05G22990 transcript:ORUFI05G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRLSLNDASLGPRKERVQGPRKEEVGLSGSTALRELHLAENKISDVEGLHRLLKPTWRVPTL >ORUFI05G23000.1 pep chromosome:OR_W1943:5:21524687:21527185:-1 gene:ORUFI05G23000 transcript:ORUFI05G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSASRSCCCCSWVRGGCASCCSWIRGFCGGGGGATTSAQDTAASDAKKRKKRKWVVRGVFGKAAREAEEPLTLETMKKRKSAATSPELEKNKWGTKKNWKKKKGKTQPTGLASLVKEISLENSTRNRAAAGEILRIGNHNIPSRVFTFRQLADATGSFSPENLLGEGGFGRVYKGFIPDTKEVIAVKQLDKDGLQGNREFLVEVLMLSLLHHPNLVTLLGYSTECDQRILVYEYMPLGSLQDHLLDLTPNSSPLSWHTRMKIAVGAARGMEYLHEIANPPVIYRDLKASNILLDGGFNAKLSDFGLAKLGPVGDKSHVTTRVMGTYGYCAPEYAMTGKLTKMSDIYSFGVVLLEIITGRRAIDTTKPTREQILVHWAAPLFRDKKKFVKMADPLLDMKFPLKGLYQALAISSMCLQEEASSRPLISDVVTALTFLADPNYDPPDDVEPLPIKAPNLDRESSQKEAEGGDNDSDEGGEEQV >ORUFI05G23010.1 pep chromosome:OR_W1943:5:21532254:21532814:-1 gene:ORUFI05G23010 transcript:ORUFI05G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVVFFAAALMAAMVAISGAQLSESEMRFRDRQCQREVQDSPLDACRQVLDRQLTGRERFQPMFRRPGALGLRMQCCQQLQDVSRECRCAAIRRMVRSYEESMPMPLEQGWSSSSSEYYGGEGSSSEQGYYGEGSSEEGYYGEQQQQPGMTRVRLTRARQYAAQLPSMCRVEPQQCSIFAAGQY >ORUFI05G23020.1 pep chromosome:OR_W1943:5:21537208:21543138:1 gene:ORUFI05G23020 transcript:ORUFI05G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVILALLLAAAAVMASSAQLDEKFYSNSCPSVEAVVRKEMVRALGAAPSLAGPLLRMHFHDCFVRGCDGSVLLDSAGNSTAEKDATPNQTLRGFGFVERVKAAVEKACPGTVSCADVLALMARDAVWLSKGPFWAVPLGRRDGRVSIANETDQLPPPTANFTELTQMFAAKNLDLKDLVVLSAGHTIGTSHCFSFTDRLYNFTGLDNAHDIDPTLELQYMARLRSKCTSLQDNTTLVEMDPGSFKTFDLGYFKNVAKRRGLFHSDGELLTNGFTRAYVQRHAGGGYKDEFFADFAASMVKMGGVEVLTGSQGEIRKKCNVRAMHLLPQYSLIVARNLPWLRSRLRRGARLGVETVCRLMSRELELLLFLLALRASGEVVAGSAEAAAAAAAWPGLQVGFYHAKCPVAEDVVLGEMRMILEEDPTLAPSLLRMHYHDCFVQGCDGSIMLRSRSGKGERDATPNRSMRGYDAINRIKARLETVCPLTVSCADIIAMAARDAVYLSKGPWYDVETGRRDGDVSVAEYAENDLAPPDSNIVDVKTFFSVKSLNAKDIAVLFGCHSIGTSHCGAFQKRLYNFTGRMDQDPSLDAGYAAKLKKLCPPGHGHDHDHDGHGGAGGAAKVPMDPGSGFTFDLSYYRHVLATGGLFQSDGSLRDDPVTRGYVEKLANASSSEEYFADFAAAMVKMGRTDVLTGDLGAVRPTCDSLVD >ORUFI05G23030.1 pep chromosome:OR_W1943:5:21543055:21547068:-1 gene:ORUFI05G23030 transcript:ORUFI05G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAPRRLLPDSSSPPIHRRRLPLPPLFRRRLSPLQASLVQALLCSGPIRGGRGLTPLGLLMDPAADGEGGGYEDASEFADAETGGGEVVRGEGEGERERRELPEELAKGVVCLECETSPEAEAAGAGGTCRVYVVGTAHVSQESCDQVKAVIDYLKPQAVFLELCASRVAILTPQNLQVPTMNEMIDMWKKKKMNTFGILYSWFLAKVASQLDVLPGAEFRVAFEEAMSYGGKVILGDRPVQITLRRTWGRMSLWHRAKFLYYIVFQSIFLPSPEELNKMLKDMEDVDMLTLVIQEMSKAFPTLMETLLHERDMYMSSKLLKVAKEHSSVVAVVGKGHVSGIKKNWEQPIEIESLLVLPVTKQGASKMKILASIGALGGVVIATGIYIWKGRYFYILHPGIVLKLEAVSNRAAHERDF >ORUFI05G23040.1 pep chromosome:OR_W1943:5:21548845:21554719:1 gene:ORUFI05G23040 transcript:ORUFI05G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDRSKKLRVLLIPFFATSHIGPFTDLAVRLVTARPDAVEPTIAVTPANVSVVRSALERHGSAATSVVSIATYPFPEVAGLPRGVENLSTAGADGWRIDVAATNEALTRPAQEALISGQSPDALITDAHFFWNAGLAEELGVPCVSFSVIGLFSGLAMRFVTAAAANDDSDSAELTLAGFPGAELRFPKSELPDFLIRQGNLDGIDPNKIPQGQRMCHGLAVNAFLGMEQPYRERFLRDGLAKRVYLVGPLSLPQPPAEANAGEASCIGWLDSKPSRSVLYEQLHELALGLEASGKPFLWAVRAADGWAPPAGWEERVGDRGLLVRDWVPQTAILSHPATAAFLTHCGSSSLLEAVAAGVPLLTWPLVFDQFIEERLVTDVLRIGERVWDGPRSVRHEEAMVVPAAAVARAVASVRHEEAMVVPAAAVARAVARFLEPGGVGDAARLRAQELAAEAHAAVAEGGSSYRDLRRLVDDMVEARAAGGEAAAAPQPQVVAGRKAQSCSFEPRRMAAARSVTLSSGRSGACLFPGLCVGIVGVWVVSTAPPCRATGARRRKWCAASILPTTTTVLLL >ORUFI05G23050.1 pep chromosome:OR_W1943:5:21555094:21565726:1 gene:ORUFI05G23050 transcript:ORUFI05G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAERSKKLRVLLMPFFATSHIGPCTDLAVRLAAARPDVVEPTLAVTPANVSVVRSALRRHGSAASTVVSIATYPFPEAAGLPPGVENLSTAGDERWRVDAAAFDEAMTRPAQEALIKDQSPDVLITDFHFSWNVGIAEELAMPCVQLNVIGLFSTLAVYLAAAVVNDSDSEELTVAGFPGPELRIPRSELPDFLTAHRNLDLVDNMRKLVQVNTRCHGFAVNSFLFLDKPYCEKFMCNGFAKRGYYVGPLCLPQPPAVASVGEPTCISWLDSKPSRSVVYICFGTFAPVSEEQLHELALGLEASGKPFLWAVRAADGWAPPAGWEERVGDRGLLVRDWVPQTAILAHSATAAFLTHCGWNSVLEGVTAGVPLLTWPLVFEQFITERLVMDVLRIGERVWDGARSVRPQASAAPPPVLRPQVLRAASLHIGPFTDLAVRLAAARPDIVEPTIAVTPANVSVVRSALKRHGSVASSMVSIAKYPFPDVAGLSPGVENLSTAGDEGWRIDNAAFNEALTRPPQEAVIREQSPDVLITDSHFSWIVYIAEGLGMACFRFCVIGFFSILAMRLLAGAAADANGSDSESLTAAGFPGPKLQIPRSEVPDFLTRQRNFDKFDMRKLQQSQDRCHGIVVNSFLFLDKPYCEKFVCNGFAKRGYHVGPLCLPQPPAVGNVGEPSCISWLDSKPSRSVVYICFGTFAPVSEEQLHELALGLEAXGACGTRRRWWCRRRRWREPWRGDAARIRAQELAAEAHAAVAEGGSSYDDLRRLIDDMVEARAAAGGVAPARQPQKMASDGSSKKLRVVLIPFFATSHIGPFTDFAVRLAAARPDAVEATLAVTPANVPVVRSLLERHGPAGAGSVAIATYPFPAVDGLPAGVENLSKAAPGDAWRINAVADDEALMRPAQESLVRELRPDVIVTDAHFFWNAGLADELGVPCVQFYAIGAFSTIAMAHLVGAVKEGAKEVTIPRLPGHDLTIPTTELLQTQERQFGAISLLWRRRQHVLGPRGRVL >ORUFI05G23050.2 pep chromosome:OR_W1943:5:21555094:21565726:1 gene:ORUFI05G23050 transcript:ORUFI05G23050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAERSKKLRVLLMPFFATSHIGPCTDLAVRLAAARPDVVEPTLAVTPANVSVVRSALRRHGSAASTVVSIATYPFPEAAGLPPGVENLSTAGDERWRVDAAAFDEAMTRPAQEALIKDQSPDVLITDFHFSWNVGIAEELAMPCVQLNVIGLFSTLAVYLAAAVVNDSDSEELTVAGFPGPELRIPRSELPDFLTAHRNLDLVDNMRKLVQVNTRCHGFAVNSFLFLDKPYCEKFMCNGFAKRGYYVGPLCLPQPPAVASVGEPTCISWLDSKPSRSVVYICFGTFAPVSEEQLHELALGLEASGKPFLWAVRAADGWAPPAGWEERVGDRGLLVRDWVPQTAILAHSATAAFLTHCGWNSVLEGVTAGVPLLTWPLVFEQFITERLVMDVLRIGERVWDGARSVRYKEAALVPAAAVARAVARFLEPGGAGDAARIRAQELAAEAHAAVAEGGSSYGDLRRLIDDLVEARADAGESALQPLPQASAAPPPVLRPQVLRAASLHIGPFTDLAVRLAAARPDIVEPTIAVTPANVSVVRSALKRHGSVASSMVSIAKYPFPDVAGLSPGVENLSTAGDEGWRIDNAAFNEALTRPPQEAVIREQSPDVLITDSHFSWIVYIAEGLGMACFRFCVIGFFSILAMRLLAGAAADANGSDSESLTAAGFPGPKLQIPRSEVPDFLTRQRNFDKFDMRKLQQSQDRCHGIVVNSFLFLDKPYCEKFVCNGFAKRGYHVGPLCLPQPPAVGNVGEPSCISWLDSKPSRSVVYICFGTFAPVSEEQLHELALGLEAXGACGTRRRWWCRRRRWREPWRGDAARIRAQELAAEAHAAVAEGGSSYDDLRRLIDDMVEARAAAGGVAPARQPQKMASDGSSKKLRVVLIPFFATSHIGPFTDFAVRLAAARPDAVEATLAVTPANVPVVRSLLERHGPAGAGSVAIATYPFPAVDGLPAGVENLSKAAPGDAWRINAVADDEALMRPAQESLVRELRPDVIVTDAHFFWNAGLADELGVPCVQFYAIGAFSTIAMAHLVGAVKEGAKEVTIPRLPGHDLTIPTTELLQTQERQFGAISLLWRRRQHVLGPRGRVL >ORUFI05G23050.3 pep chromosome:OR_W1943:5:21565498:21567409:1 gene:ORUFI05G23050 transcript:ORUFI05G23050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRDKHAKRAYFVGPVSPAPPPLPASGESPSLDWLSSKPSSTKDEEKEVVPAKAVAEAVTKRGREAARCAIKELAVKANTAVAEGGSSHRDLLRLIDDLMQAK >ORUFI05G23060.1 pep chromosome:OR_W1943:5:21572048:21573070:-1 gene:ORUFI05G23060 transcript:ORUFI05G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFLNTPPSKLAPKPSFPPPSRQFAPPQRQRSLLHLSLLLREQQPPRPVRSSSSTQAGAAPSPPSSREEAVSQARSCLAAALRKPLGKQRKQQRQPRFRAEIPVVDDSPGSLARLASDVFSSGLGLSRKGGGGGAPARLLLVWPSSEEMGVALREPHDWGKSTAHAQLDAVAPDALSSCDAAVFLAPGRSQVEKMKAAADALDTKPVVMFNPAWSFDEEEEGFAGGARGFVGSFSVVYSFTGLEVRGLLSKKKGVLLRCVDGGRFGGESWVLMVEDDAAAPASEQFKVVSRLKRRPTIGEVETMLYNVMAANSPVTKSARFLRGLVSNVTGGRKEKKQ >ORUFI05G23070.1 pep chromosome:OR_W1943:5:21574994:21575293:-1 gene:ORUFI05G23070 transcript:ORUFI05G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSWWRRGGGGSPEPAKGAGGAGVAEVAAAGGTQGAVEVLRRQRQADATVFEFGSAAESGAAVTLAGYCPVSDDLEPCRWELVPAAGEGAPQFRIVF >ORUFI05G23080.1 pep chromosome:OR_W1943:5:21575583:21577920:1 gene:ORUFI05G23080 transcript:ORUFI05G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRGIEVRQAVGDGAAPRWRMSLLENTFSSFLQSIGGGAAADGAAARAVFGEGSLFSPFLFGKFFDPADAFPLWEFEPEVLLAALRRGARTTVDWAETDSEYYLRADIPGGRKCDVEVSGDDAMRVVDVSGLWRAAPPPPPPDGRDWRAGRWWEHGFVRRVELPEDADWRKVEAFFDDGEGLLEIKVPKSGDAHQAAAATA >ORUFI05G23090.1 pep chromosome:OR_W1943:5:21579738:21581015:1 gene:ORUFI05G23090 transcript:ORUFI05G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEHELRGGCVGAAAHGHGSICFSGGPVLVDGRRIQQLLLHCAAALESNDVTLAQQAMWVLNNIASSQGDPSQRLTSWLLRALVARACRLCAAAPAGAAVEFLERGRAPPWGRAMSVTELADYVDLTPWHRFGFTASNAAILRAVAGASAVHVVDLSVTHCMQWPTLIDVLSKRPGGAPAIRITVPSVRPAVPPLLAVSSSELGARLAIFAKSKGVQLEFNVVESATTTSPKKTSTTLCQELASVLSDPPSLGLRDGEAVVVNCQSWLRHVAPDTRDLFLDTVRALNPCLVTVTDEDADLGSPSLASRMAGCFDFHWILLDALDMSAPKDSPRRLEQEAAVGRKIESVIGEEDGAERSEPGARLAERMSRKGFAGVVFDEEAAAEVRRLLSEHATGWGVKREDDMLVLTWKGHAAVFTGAWTPN >ORUFI05G23100.1 pep chromosome:OR_W1943:5:21581513:21584274:1 gene:ORUFI05G23100 transcript:ORUFI05G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPTTTAASPPAMDRRVQRLVSGVAAAAATVSLLYLISHASTSCFPGATTLPLARFPRTSCDAASRRVVPPGRRLAKLRASARWRRRSVALASSSAFASLRGLRLLAGSSRALCLAAGAGHAVDALRAEGVGDVTGIDLVDFPPLVRRADPHHLPFSDGAFDLIFSDDPAGFSGALFPSRFAAEAERAVRSGGAIALAVDRHLDPSAVAVLFKRSRIVDQRDLTMDGSQSYAGVTSFTFNPEHTRQKEEGNQNARKQGRKTAYI >ORUFI05G23110.1 pep chromosome:OR_W1943:5:21592626:21594605:-1 gene:ORUFI05G23110 transcript:ORUFI05G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAVAAAVSPAAAAATAMCAEHREKLEHIERVTRNAGQEQRRVLEEILAQNAQAEYLRRLGVPGDAPGADEAFRRLAPLVTYEDILPDVLRIANGDTSPILSGKPVSEFLTSSGTSGGERKLMPTIEEEMERRSGLYSLLMPVMSRQVPGLDKGKAMYLYFVKSEWRTPGGLPARPVLTSFYRSRYFLERPHDPYTVYTSPDEAVLCEDAYQSMYAQLICGLVHRADVLRVGAVFASGFLRAIRFLEKHWPSLCRDIRAGELDGGVTDPAVRGAVGRVLRGADPALADAIEAECARPSWQGIIRRVWPSTKYIDVIVTGAMAQYIPTLEFYGGGLPLACTMYASSECYFGLNLNPMCKPSEVAYTLIPTMCYFEFLPVNSGANDVAAPEPDHRGLVDLVDVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNAAPMFAFVRRKNVALSIDSDKTDEAELHAAVTEAVQHLAPFGASLVEYTSYADTATTIPGHYVLFWELRSPAGGTPVPASVFEDCCLAVEEGLNSVYRQCRAADRSIGPLEIRVVADGTFDKLMDYALSRGASINQYKAPRCVRPGPVVELLDGRVQATYFSPKCPKWCAGGNKQWISSGAAAKKTTTTCDSLAV >ORUFI05G23120.1 pep chromosome:OR_W1943:5:21605881:21606338:1 gene:ORUFI05G23120 transcript:ORUFI05G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLAALHDRMENWGECRPILLLEQAMGASGFDAVVMGNLDAMMEQAPPVSSVQAASPRDSCLLCHLRAAVNRTPAADPCLLDLVP >ORUFI05G23130.1 pep chromosome:OR_W1943:5:21610668:21613382:1 gene:ORUFI05G23130 transcript:ORUFI05G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHEWWNSPCSARTGDDEAACSTADADESAVGSTPMSFGHGGQPASLSDAAASSSSSSFLLAGQHMDYWTQDFMGGRAAAAATASFDTLLQLQLQGGDAASRRLLLGDHAAAPPRHLVVPGAPYGGGGGDDTAAPPRGLSPTPYEAADNLQQQQSFPGGHHVVSNTDRLHDHHQDAGSPSPATRSSPGSPAAAKKPRIEAPSPMPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVASLSSPYLRCGRPVQLQHQQGSHKVNGNCEGKQLDLRSRGLCLVPVASTYTVASETATEFWHPTFGGTFR >ORUFI05G23130.2 pep chromosome:OR_W1943:5:21610668:21613382:1 gene:ORUFI05G23130 transcript:ORUFI05G23130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHEWWNSPCSARTGDDEAACSTADADESAVGSTPMSFGHGGQPASLSDAAASSSSSSFLLAGQHMDYWTQDFMGGRAAAAATASFDTLLQLQLQGGDAASRRLLLGDHAAAPPRHLVVPGAPYGGGGGDDTAAPPRGLSPTPYEAADNLQQQQSFPGGHHVVVGSSSGLFRPATTAPPPQFLLQA >ORUFI05G23140.1 pep chromosome:OR_W1943:5:21617095:21630000:-1 gene:ORUFI05G23140 transcript:ORUFI05G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHGKRRWSVVVAVVEEPAMEEEEGGRDASLKFGLDGNMDLTYQRWRLVLMQHENNVFMSQCRQADKIRTGAMQKQGRSEVKHAKLPGAAVATGKQKAATAAAGRPGSRNRQALGDIGNVLNAHVVDGKIQLPEGINRPITRSFGAQLLKKAQENAVGANKIPVRKEPAPKPAKNVVPRLENAAKASTGAGVNENKKPSESEGAGSSGSGGGSAHKYSRKKVVNTLNNCAYCSFQGSSSPDQKVTFQNIMLLSEVAQSLSKQTPTVFFLLPDLRSVDRPSSSSTTSSPMAVKVYVVYYSMYGHVAKLAEEIKKGASSVEGVEVKIWQVPETLSEEVLGKMGAPPRSDAPVIAPQELAEADGVLFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGVFFSTGTQGGGQETTPLTAVTQLAHHGMVFVPVGYTFGAKMFDMAAVHGGSPYGAGTFAGDGSRWPSEAELEHAFHQGKYFAGIAKKLKGASA >ORUFI05G23140.2 pep chromosome:OR_W1943:5:21617095:21626941:-1 gene:ORUFI05G23140 transcript:ORUFI05G23140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTTQICDGTRHQQVRLREASAAVATGKQKAATAAAGRPGSRNRQALGDIGNVLNAHVVDGKIQLPEGINRPITRSFGAQLLKKAQENAVGANKIPVRKEPAPKPAKNVVPRLENAAKASTGAGVNENKKPSESEGAGSSGSGGGSAHKYSRKKVVNTLNNCAYCSFQGSSSPDQKVTFQNIMLLSEVAQSLSKQTPTVFFLLPDLRSVDRPSSSSTTSSPMAVKVYVVYYSMYGHVAKLAEEIKKGASSVEGVEVKIWQVPETLSEEVLGKMGAPPRSDAPVIAPQELAEADGVLFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGVFFSTGTQGGGQETTPLTAVTQLAHHGMVFVPVGYTFGAKMFDMAAVHGGSPYGAGTFAGDGSRWPSEAELEHAFHQGKYFAGIAKKLKGASA >ORUFI05G23140.3 pep chromosome:OR_W1943:5:21617095:21625984:-1 gene:ORUFI05G23140 transcript:ORUFI05G23140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTGYKGTGAAVATGKQKAATAAAGRPGSRNRQALGDIGNVLNAHVVDGKIQLPEGINRPITRSFGAQLLKKAQENAVGANKIPVRKEPAPKPAKNVVPRLENAAKASTGAGVNENKKPSESEGAGSSGSGGGSAHKYSRKKVVNTLNNCAYCSFQGSSSPDQKVTFQNIMLLSEVAQSLSKQTPTVFFLLPDLRSVDRPSSSSTTSSPMAVKVYVVYYSMYGHVAKLAEEIKKGASSVEGVEVKIWQVPETLSEEVLGKMGAPPRSDAPVIAPQELAEADGVLFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGVFFSTGTQGGGQETTPLTAVTQLAHHGMVFVPVGYTFGAKMFDMAAVHGGSPYGAGTFAGDGSRWPSEAELEHAFHQGKYFAGIAKKLKGASA >ORUFI05G23150.1 pep chromosome:OR_W1943:5:21623943:21629878:1 gene:ORUFI05G23150 transcript:ORUFI05G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHQLSFVLLLMLLAPATSRARDSIAPGEPLAGHDTLVSAGAGDGGGFALGFFTPPGSNDTYVGVWYARVSPRTVVWVANRADPVPGPVDGNAGATLSVSRACELAVADANSTVVWSVTPATTGPCTARIRDDGNLVVTDERGRVAWQGFDHPTDTLLPGMRIGVDFAAGNNMTLTAWKSPSDPSPSSVVVAMDTSGDPEVFLWNGPNKVWRSGPWDGMQFTGVPDTITYKNFSFSFVNSAREVTYSFQVPDASIMSRLVLNSSGGGLVQRWTWVEAAGAWNLYWYAPKDQCDAVSPCGANGVCDTNSLPVCSCLRGFAPRSPAAWALRDGRDGCARETPLGCANGTDGFAVVRHAKAPDTTAATVDYDAGLQLCRRRCLGNCSCTAYANANLSAPPGRRGCVMWTGELEDLRVYPAFGQDLYVRLAAADLDSTSKSKKKTHIIIAVVVSICALAIILALTGMYIWRTKKTKARRQGPSNWSGGLHSRELHSEGNSHGDDLDLPLFDLETIASATNGFSADNKLGEGGFGPVYKGTLEDGQEIAVKTLSKTSVQGLDEFRNEVMLIAKLQHRNLVQLIGYSVCGQEKMLLYEFMENKSLDCFLFGTNRNLPMFSDKSKSKLLDWQTRYHIIEGIARGLLYLHQDSRYRIIHRDLKTSNILLDKEMTPKISDFGMARMFGSDDTEINTVRVVGTYGYMAPEYAMDGVFSVKSDVFSFGVIVLEIISGKRNRGVYSYSSHLNLLARAWSSWSEGNSLDLVDKTLNGSFNQEEVLKCLKVGLLCVQENPDDRPLMSQVLLMLASADATSLPDPRKPGFVARRAATEDTSSSRPDCSFVDSMTITMIEGR >ORUFI05G23160.1 pep chromosome:OR_W1943:5:21638308:21642604:1 gene:ORUFI05G23160 transcript:ORUFI05G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSCFSYSSRHHRSKKLVASSVEDRWAYPNLTQKVDGKNCSNSNVEQHRSPPFEDCCRSEDFSFCTENESGKIKKSQSLGDMLEMEKLYDFDKTNNKGEDCAIDGSHDEKTCTGECTRKKKENRTSCCGDFTDPHQHECQSSLGNSEHLIEKQCDCEDIVSTYCLVNSEEQSFLPEPQPLLSRSQSVNLDVHIPTVIEDSIDSAQLGLRSRSFGNLSSLDGAPYTEEARVSPSHCKDASEDFVQSNAQSQCHCRDEHINNSSTDEISQCCVESGNDCHHSDFTSTAAVTPVRNSNSFDDPPSLSHDAGNTEEIFQQIDKREVATSVKNCEPEPCYQNCCTSSRKEFNVRRIENWISQIPDSNDIAPYEQGECSSSAHLMNSKQVDTIRKLNAKSPLGMETAYNYIAMLKPSSSIAQLSNLGLVAIPILSAFSDLRLLNLAGNSIIRITSGALPKGLRMLNLSRNNISTIEGLKELTLLRVLDLSYNRITKIGHGLASCPFLKELYIGGNKISEVEGLHRLKLKVLDLHGNSLSSSKCLDQLANCGTLQSITLEGNPAQRNVGDEQLKRHVLRLLPHLVYYNKQAVRSRRCSKPQGGGGRHGRAVDLGGGGGGGGGRSKRLELRLPRRSACASVALKSSGCHHHVRAGAAAAAHGSVRTSRQSRNNAPPMAPTIRGADRSSEGERRLPGTEISGQIFRIRSADDL >ORUFI05G23170.1 pep chromosome:OR_W1943:5:21642962:21646526:1 gene:ORUFI05G23170 transcript:ORUFI05G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPASPVAGGEKATPPSTPPPVRLAGGAAAAIQPNSPRFFFSSLAAASASASSPHRRIAIAVDLSDESAFAVKWSVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVDDDDSAPDAAQHANAHAATRDEPEEAKKKREEDFDAFTSTKAQDLAQPLVAAQIPFKIHIVKDHDMKERLCLEAERLGLSAMIMGSRGFGASRRAGKGRLGSVSDYCVHHCVCPVVVVRYPDDGAAAGGGEAVGDELRTVPEDEPVYHEAPEGQKEN >ORUFI05G23180.1 pep chromosome:OR_W1943:5:21649440:21650673:-1 gene:ORUFI05G23180 transcript:ORUFI05G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPFFLWATNATSSSSSSAAAAAVSDHDVGGLRAEEETAAAEATQLSPELAAAVARPRLRRQASSSSPAKQQQQQVGGGGSKRAPQRGLGVAELERLRCGGDPFRDLNAAVAAMGDAAAAIHRHHHHLPLPARGGRPLRAAAGPPGTSSPSSATGGCSLLPPPLVLVGRRRPQRGAAGAAILEGSVHGWLRRRRPAAAARARAPFKPKQHHLAPGVFFFLLLLPPSHPPLRPLLQGGARGAATTTTNTPDYSIYDLAAAMATARKEKGHGVFLGRERKNDEAAAAAEKEVREIEFFPTSTTHADESEFAAAPFSSSAGGGCGAVPLDLSLRL >ORUFI05G23190.1 pep chromosome:OR_W1943:5:21659350:21664137:1 gene:ORUFI05G23190 transcript:ORUFI05G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPLFLYAVSLSGPLMCVFLDGWLAAAVTALRCMVDAMHAWNIVTQLRVSRAGRERACAAGPDEEQPEAEAAAPAPAADADAAASNKLRDHGRYRKWLVLDFFVILPVMQVVVWVAAPAMIRAGSTTAVMTVMLVAFMLEYLPKIYHSVVFLRRMQNQSGHIFGTIWWGIALNLMAYFVAAHAVGACWYLLGVQRATKCLKEQCLLAGLPACASSTAAVACVDPLYYGAAVASVGGDRLPRGGDATGRNVCLSSGDNYQYGAYKWTVMLVSNPSRLEKMLLPIFWGLMTLSTFGNLESTTEWVEIVFNIMTITGGLILVTMLIGNIKVFLNATTSKKQAMQTRLRGLEWWMEHKGVPHGFRQRVRQFERQRWAATRGVDECQIVRDLPEGLRRDIKYHLCLDLVRQVPLFHHMDDLVLENICDRVKSLIFPKGEIIVREGDPVQRMLFIVRGHLQCSQVMRNGATSWCTLGPGNFSGDELLSWCMRRPFMERLPASSSTLVTAESTEAFGLEAGDVKYVTQHFRYTFTSDKVRRSARYYSHGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNQDDDF >ORUFI05G23190.2 pep chromosome:OR_W1943:5:21659244:21662507:1 gene:ORUFI05G23190 transcript:ORUFI05G23190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPLFLYAVSLSGPLMCVFLDGWLAAAVTALRCMVDAMHAWNIVTQLRVSRAGRERACAAGPDEEQPEAEAAAPAPAADADAAASNKLRDHGRYRKWLVLDFFVILPVMQYLPKIYHSVVFLRRMQNQSGHIFGTIWWGIALNLMAYFVAAHAVGACWYLLGVQRATKCLKEQCLLAGLPACASSTAAVACVDPLYYGAAVANVCLSSGDNYQYGAYKWTVMLVSNPSRLEKMLLPIFWGLMTLRSCST >ORUFI05G23190.3 pep chromosome:OR_W1943:5:21659244:21664137:1 gene:ORUFI05G23190 transcript:ORUFI05G23190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPLFLYAVSLSGPLMCVFLDGWLAAAVTALRCMVDAMHAWNIVTQLRVSRAGRERACAAGPDEEQPEAEAAAPAPAADADAAASNKLRDHGRYRKWLVLDFFVILPVMQYLPKIYHSVVFLRRMQNQSGHIFGTIWWGIALNLMAYFVAAHAVGACWYLLGVQRATKCLKEQCLLAGLPACASSTAAVACVDPLYYGAAVASVGGDRLPRGGDATGREGGGRERDGQERVPQQRRQLPVWRVQVDRHARLQPQPPREDAPPHLLGPHDPQIVFNIMTITGGLILVTMLIGNIKVFLNATTSKKQAMQTRLRGLEWWMEHKGVPHGFRQRVRQFERQRWAATRGVDECQIVRDLPEGLRRDIKYHLCLDLVRQVPLFHHMDDLVLENICDRVKSLIFPKGEIIVREGDPVQRMLFIVRGHLQCSQVMRNGATSWCTLGPGNFSGDELLSWCMRRPFMERLPASSSTLVTAESTEAFGLEAGDVKYVTQHFRYTFTSDKVRRSARYYSHGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNQDDDF >ORUFI05G23200.1 pep chromosome:OR_W1943:5:21671672:21674730:1 gene:ORUFI05G23200 transcript:ORUFI05G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGSNGSQGYHVNGKQVQSVVPSIQNNGPRHRPLTLMRRCRGMLCLVIMPLTAFMMMVYLSPVTTFLIRLFSVHYSRKSTCFLFGMWLAMWPFLFEKINKTKFVFSGETVPPKERVLLFANHRTEVDWMYLWDLALRKGRLQCIKYILKKSLMKLPIFNWAFHIIEFIPVERKWEVDEPLIRSRLSELKNPKDPLWLAVFPEGTDYTEKKCIKSQEYAKEHGLPILNNVLLPKTKGFHCCLQELRDSMDSVCDITIAYKHRPPTFMDNVYGIDPSEVHIHVKIIQVSDIPTSEDEVADWLIERFKLKDKLLSDFSALGHFPNEGTEDDLSTLKCIANFVAVISTTTVLTYLTLFSSVWFKIFVAFSSAFLTFATLYSIHLPQLICSPEAGTHAKKS >ORUFI05G23210.1 pep chromosome:OR_W1943:5:21677164:21680182:-1 gene:ORUFI05G23210 transcript:ORUFI05G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPPTHLLTPPPLCCCSYALLLPRARRAPPRFSNGAFSAAESLVRGEFLGKVGTLARRMEGRRRLAVASAGRGPLFGGGGGGGGQRGSGRVVGNLAFAALLTFLATTGQLRWVLDAIVSLWLLTILLPILGLAAFFFFAGQDILQSNCPNCGKSFQILKSSLKDGPQLCPYCTQPFSVQGNKFVRESARFSSGRTTTSAQAFSESFKRGSEGKKTPSGTVVDIEAEVKDAE >ORUFI05G23210.2 pep chromosome:OR_W1943:5:21677164:21680182:-1 gene:ORUFI05G23210 transcript:ORUFI05G23210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPPTHLLTPPPLCCCSYALLLPRARRAPPRFSNGAFSAAESLVRGEFLGKVGTLARRMEGRRRLAVASAGRGPLFGGGGGGGGQRGSGRVVGNLAFAALLTFLATTGQLRWVLDAIVSLWLLTILLPILGLAAFFFFAGQDILQSNCPNCGKSFQILKSSLKDGPQLCPYCTQPFSGKKTPSGTVVDIEAEVKDAE >ORUFI05G23220.1 pep chromosome:OR_W1943:5:21681576:21684600:1 gene:ORUFI05G23220 transcript:ORUFI05G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENGAALFGEEELRDVSGVRVGDRFVEVTCGCTSARYGDAVGRLRLFASGELQVSCDCTPGCDQDKLSPAAFEKHSGRETAGRWQNTVWVMVKGDKVALSKTCLLRYYHKKLKSSSANGGGRRPPCHRDEFVRCAGCGKERRFRLRTKEECRLYHDAMARHDWTCKDMPPAGRCRVRVSCEEEEERASRKASRGCTRAATCKGCVRCVCFGCETCRFAGCACQTCVDFYRINCMINS >ORUFI05G23230.1 pep chromosome:OR_W1943:5:21686576:21689571:-1 gene:ORUFI05G23230 transcript:ORUFI05G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPRPPTPSPPRASSDTDAAFDPVVEWLLDLDQAPMADEVAKGLGIAEEPASFDAGKVSGGSVSESRGVEEKGNALPEQSGASFDGELDVEMEPKTGSGGLVPQLVQDQHPASDIGDLAVREDGSEIMVDVERSTVPVDADMNNVSLALVKEEAEGGGDREDKSDEEESESSKEESSEASSSSDEEEDKRESSEASSSSDEEEQMAKKTGGAGDTDSLFEEGELMLASDDDDEEAPKGPIKSKHEVEVLPPVSKIDVQLEPHHQTLPVGTISAIMGERVIVEGSVQHNPLNEGSILWITESRIPLGIVDELFGPVKNPYYLVRYNSAEEVPADISAGTAVSFVAEFADHILNMKELYAKGYDGSGENDEDQTDPEFSDDEKEAEYKRSLRLAKRQTDRQHEGKKTSGDKKRAQPRGSGFRKDMPPRSHDGPAPGRQSQPHFHRPDKAPVVDAIRPLGSQDGPMSAPTKLPPGPVHPVMPAANQLTNQMGGRFMNPSQQFLPQQPNVVWPGGLTPTPHPNMGIEGAAFAANIMQNLLIGANQYQQQFQNHNFGGFLNQMPVPPPQFMSQGGMPSNPMAFGGPTMAQMNPPFGPPQLPMDQGNFGQAPHMAGHTVQQGPPAGFPNGQGFGQSASPQGDGEQPPMQFSSGQFNQGNSSFHGRRQQQRGGRHSSGRGGGRHRR >ORUFI05G23240.1 pep chromosome:OR_W1943:5:21698217:21703283:-1 gene:ORUFI05G23240 transcript:ORUFI05G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKHGRNGFEDDDVNPFAGGSVPPANNSRLPPLSHEPADFYNVDIPLDSSKDLKKKEKELQAMEAELNKRERELKRKEEAAAQAGIVIEDKNWPPFFPLIHHNISNEIPIHLQRMQYLAFSSFLGLAACLFWNIIATTTAWVKGEGVIIWLLAIIYFISGVPGAYVLWYRPLYNAMSGILPAIDVIGRSAIVGIFYFVGFGLFCLESLLSIGVIQIMTIDCSLNMQQVYMYFRGSGKAAEMKREAARGALSSAF >ORUFI05G23250.1 pep chromosome:OR_W1943:5:21714197:21715111:1 gene:ORUFI05G23250 transcript:ORUFI05G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSKKSDELLPGSAAAAGEEVEAPRRRVDQARDPPPTEEEKVKEVLSETPAPSARARPRQRRVAGAVAPSVERGGGGGGEKVARAKEGGGGGGRVRARRAVGAERATSEKSEAASESSVATTATGPERSPGKPARKRAVVSGELGRARRDRGPAAAVHGAGRPGGGRASPSPPPPPRREPGERPTRRSPSPATKRPPDQRRTAASAGAAAGAASGPQRKPPVPPRPCGRASPRRVQETNSPASSTTTTQSRGPPPHCSSPPPPQDAGASAAGAGEEVAGGGGEGKESLDNPSVAMECFIFL >ORUFI05G23260.1 pep chromosome:OR_W1943:5:21717239:21721435:-1 gene:ORUFI05G23260 transcript:ORUFI05G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVGGAEFHGFRGGGGGAAQLQRSRMLGRPLRVATPHAAAPAGGGGSSSASIRAVSAPLKKDASEVKRSKVEIIKEKSNFLRYPLNEELVSEAPNINDSAVQLIKFHGSYQQTDRDVRGQKNYSFMLRTKNPCGKVPNQLYLAMDTLADEFGIGTLRLTTRQTFQLHGVLKKNLKTVISTVIKNMGSSLGACGDLNRNVLAPAAPYVRKDILFAQETAENIAALLTPQSGAYYDLWVDGEKIMSAEEPPEVTKARNDNTYGTNFPDSPEPIYGTQYLPRKFKIAVTVAGDNSVDILTNDIGVVVVSDSAGEPVGFNIYVGGGMGRTHRVETTFPRLADPLGYVPKEDILYAIKAIVVTQRENGRRDDRRYSRMKYLIDNWGIEKFRAEVEKYYGKKFEDSRPLPEWQFNSYLGWQEQGDGKLFYGVHVDNGRVAGQAKKTLREIIEKYNLEVSITPNQNLILCGIDQAWKDPITAALAQSGLLEPKDVDPLNITSMACPALPLCPLAQTEAERGILPILKRIRAVFDKVGIKDHESVVVRITGCPNGCARPYMAEVGFVGDGPNSYQIWLGGTPNQSTLAETFMNKVKLQDIEKVLEPLFSYWNSTRQEGESFGSFTRRTGFDKLKEVVNKWAESASAA >ORUFI05G23270.1 pep chromosome:OR_W1943:5:21723169:21724822:-1 gene:ORUFI05G23270 transcript:ORUFI05G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLRLRLLAAAAAAAVMASSMVGIASAAEAPAPAPAPTSGATAAPAFAVASLAVAAVTSSTNPWKSNVNQSIATAFIYRYPKARAVKDAAAAAAAKAGAATGASDVGEGAGASAADAVPTSDVSRTAAAAATARSLSFSVAAICFLASWLTDRSALGEQEH >ORUFI05G23280.1 pep chromosome:OR_W1943:5:21754709:21755005:1 gene:ORUFI05G23280 transcript:ORUFI05G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRWNRGCTGAVCACAAHVNPVHTVGVDDCLGHARRCWAVRRSDEGADAGAVARGLCGRGGVRQWVQVAPNLAGFVATSGLVLPSMRSGETTVGHGG >ORUFI05G23290.1 pep chromosome:OR_W1943:5:21765268:21765832:1 gene:ORUFI05G23290 transcript:ORUFI05G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHPYERTHAKSYPYEYLRRLGRQILEIDEVTTGGSLSTGFKSGMHREHEPKSVTVQKRVFRTVDLQARSSTATRCRRVLCVLR >ORUFI05G23300.1 pep chromosome:OR_W1943:5:21768061:21768453:-1 gene:ORUFI05G23300 transcript:ORUFI05G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKSQLVATWIRREEERQPPMKSPFECEFLLRRAIVRIEGEKNELLGMD >ORUFI05G23310.1 pep chromosome:OR_W1943:5:21795453:21795659:1 gene:ORUFI05G23310 transcript:ORUFI05G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAKYRRPRTYWRAAAKNEGEEVADTEDKRAEERAGCHGGPGGGAEGGAGRKEASSRWDGGEREQG >ORUFI05G23320.1 pep chromosome:OR_W1943:5:21814921:21815760:-1 gene:ORUFI05G23320 transcript:ORUFI05G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTHGGVRQSGEKRTTEIESDEEEPTPQAVCCVDPANRKLVFKGKAKASVLAQHNRLPHIDLARKNINDNVTLNHCICKLLIYPDHFY >ORUFI05G23330.1 pep chromosome:OR_W1943:5:21824999:21826690:1 gene:ORUFI05G23330 transcript:ORUFI05G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALCDDLLQEVFRLLPRASAPAVSLVSRRWYALLRASIASLTLRLPVSSDASVLAPLSALLSRFPYLSALAVVCTAATAQVADAMLLVVASSPSAAQLSGLRFLPDSAISPAALVAACPAFYGLTSLHLTALRPLSFCWIAFLPRLKSFYLVNSAAAAAVDYAGWSSDDVDGNGETIGTLPLERLSLCGICSGDRGIGWLWRRCGNLQWLQLRACDGTGDGPSSQFFAGCLAGLLALELRACRSVSDHVLLLAADRCRVLKSLLVYDGGSREALHRFIHQRGAALHTLDLRLPLDLHNDHLLAIGAEAEQGQQSQNGGHSLAALRLQSCVLITGDGLRSLARTTTGAGIEELALVNCDVVEREPGLLTFLSQSMRRLRRLDLSYNETLSDKEEATRLIQVIIEDSLLSEELRAIAHKKGIRVGPLRCD >ORUFI05G23340.1 pep chromosome:OR_W1943:5:21827694:21831062:-1 gene:ORUFI05G23340 transcript:ORUFI05G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHLALAYIRIKLRDSVVNPFGKSEGNYFEEDQHTAECSVTHKVIVGLVQIENRTLSEAVLDSIIVEHGVSPAVKMSIAAHVSQLLKAESTAGLDATGGRGGVNAMAPRRETTSMRRRRGGLDATAMRQMEGRRRGWHGGTRYWSSGRGTITVDLL >ORUFI05G23350.1 pep chromosome:OR_W1943:5:21865549:21867287:-1 gene:ORUFI05G23350 transcript:ORUFI05G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPSMPMCMGHRSRIPNPCFSQSRDNNIINYEDEDASGKPATYLQRIDHSNASIGNA >ORUFI05G23350.2 pep chromosome:OR_W1943:5:21865549:21867287:-1 gene:ORUFI05G23350 transcript:ORUFI05G23350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPSMPMCMGHRSRKRHTIHNPEDICSRQKGDNNIINYEDEDASGKPATYLQRIDHSNASIGNA >ORUFI05G23360.1 pep chromosome:OR_W1943:5:21869673:21881234:1 gene:ORUFI05G23360 transcript:ORUFI05G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQFRFPDRANDRVWWKVSPVPFIDPNEKLCERKGKLSSNSVHVVRMLEYLTPSLAAQSLVTDEPPRRRMTAGARGQSPCPQKRRLTNQYAMSMLDVGDGVGRAQPVGDEDLARGHTEPGPEDIGVQREVCKEEAEIDEDSRDVIDLIGIGRIMNSV >ORUFI05G23370.1 pep chromosome:OR_W1943:5:21881471:21887836:1 gene:ORUFI05G23370 transcript:ORUFI05G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAADVERGAAAPPGVVIAARDIPPPGASVAARASLFGPPPPPSSSSPPSSRATDVSVGSGEERSGGSGRPHPAPAPPTPPLLRGRRRGEEGTTVELEPPHRPAPSSSRASAIAISARRVLCVGKGGGGDDRAAAHRAATSAKKMRRRRTCGGGGAWAGGAESLRRRPGTMAPAPAETASGSSAAGFRAASPLASRQIRRGRGAPAEGERGAGGGWEREGHRRRSRGAGAAGKGREREERERVGPTRRLSDEDQKT >ORUFI05G23380.1 pep chromosome:OR_W1943:5:21890181:21902797:1 gene:ORUFI05G23380 transcript:ORUFI05G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWQHDQSKILTILPTNENIVPNKDPRRRRGRVSGVAAWGWRRAEASCTWIVSSSPLFARTLQIRERNPHFVCLLGHRTHKYTCDEN >ORUFI05G23390.1 pep chromosome:OR_W1943:5:21891564:21894426:-1 gene:ORUFI05G23390 transcript:ORUFI05G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGMPKNYQVTMVFYNVKWQMSKNFSVSRALQSYQGYFSMRKQSANSVGSKHRLKMRMLI >ORUFI05G23390.2 pep chromosome:OR_W1943:5:21891566:21894426:-1 gene:ORUFI05G23390 transcript:ORUFI05G23390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGMPKNYQVTMVFYNVKWQMSKNFSVSRALQSYQGYFSMRKQSANSVGSKHRLKMRMLI >ORUFI05G23390.3 pep chromosome:OR_W1943:5:21892448:21892801:-1 gene:ORUFI05G23390 transcript:ORUFI05G23390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKSIVWQELSSHHGVLQCQMADVQKLLSSGLVAYDLKRTL >ORUFI05G23400.1 pep chromosome:OR_W1943:5:21909279:21915525:1 gene:ORUFI05G23400 transcript:ORUFI05G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAQQQKQQLACLSNLSSGHNNGVNSLRRGATVVFPARLKSHISVSHGALVTNLAEGPLGILLLLPLNSSAPAEYLAGDPAVNSVHLPPPPPPAAAALVPFTASIVVVVATKTQTQKKKKKKKKTRTILQHAIALSNLSDRRLIPI >ORUFI05G23410.1 pep chromosome:OR_W1943:5:21914446:21915482:-1 gene:ORUFI05G23410 transcript:ORUFI05G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCRIVLVFFFFFFFFCVCVLVATTTTMDAVKGTSAAAAGGGGGGRWTELTAGSPARYSAGADEFRGSKRRIPKGPDPIHNRRAGKTTVAPRRRD >ORUFI05G23420.1 pep chromosome:OR_W1943:5:21923763:21926033:1 gene:ORUFI05G23420 transcript:ORUFI05G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKRRGGAGGGGAEKKDLFHVVHKVPAGDSPYVRAKHLQLVDKDPETAIVWFWKAINSRDKVDSALKDMAVVMKQQDRAKEAIEAIRSFRHLCSRQAQESLDNLLIDLYKKCGKVDEQIDLLKQKLKMIYLGEAFNGKATKTARSHGKKFQVSIQQETSRILGNLGWAYMQQSNYSAAELVYRKAQSIEPDANRACNLGLCLIKQSRHDEARQVLHDVVLRRISGSEDDKVVARAKQLLHELEPVTHVTSPNNAGLSVSEEIMERLDLVLNEWTPFRSRRLPVFEEIATLRDQIAC >ORUFI05G23430.1 pep chromosome:OR_W1943:5:21926703:21927978:-1 gene:ORUFI05G23430 transcript:ORUFI05G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFENARSVRLRSHLGTYLCAADVGDGGGEAVTHGYRRNCRGTVWAVETPRTSGDDYVRLQGHRGLYLCATELPAALDGGCRGSAACCWVIQAGSPPSPNDGAFLWTPRREGEHLTLTGLYGRLLRARFGLTPRENAVTVDRDAAPEESSWVVEVVPESEAPPPPPPPRCRALSCDARLEAATSEPDTASTTAFVRFYSTKELKVVKPPPPEEEEPPSEAAPGALVARTIFYNTARDDGGVDDFDQGTWRYFTFKEQSLAALRRRLEEEARREDFVVCRRRCAAPPPGLFPVVLDLPPGNRDMEFVLVLHSSRVASALQFPFGNGHTARISV >ORUFI05G23440.1 pep chromosome:OR_W1943:5:21929634:21940808:1 gene:ORUFI05G23440 transcript:ORUFI05G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGKGKAVAAASASASGGGVKRRKAPGDAAGPSSSSSAAAEAAKRRRRSGVLQFFDDAAFLGDDDEDEDEEEEEEEEMFASDGDDDGFFTEGKAENVNLKRTERSHPLPFLGIVKEEELSGDELEEFIKDRYSSRVKHTPFDGSTNVQDDEFTEDGLLKEPVIWRIKCMVGRERQIAFCLMQKYVDLQKFGTKVPIISAFALDHVRGFVFVEAEKACDVTEACKGFCSVYISRINSVPVAEVPSLLSSRAKPFAISPGTWVRMKTGIYKGDLAQGGAIPLKEAAIPAPRLISSQELEFFGSHIERKHDRQTNDFYEVLDGLMFKDGFLYKRVALSSLIYWGIQPTETELLKFSSTPTNTSSTDDLDWVSSMYGPKKRNVPKEPDMEPSSSKASSSKGKYSKASSKASTSTEDYEDKGFNLHDLVLFGRRDFGVVIAFEKDGLRILKGGPEGSAVTVRKQDIKDVCADKMLTAVDHKKKIICINDTVNVLEGPFQRDGAMGKQPGMALENLHRSKKDVRRGLRERWGDGMEIEVSRVPKSSTVTVLRTGHDGAGKQGVVKHLYMGILFIHNESENERPYRSTREQLFSIGEMLRIRKGPMKGYLCRVVRIFRNDVTVKLDSLLKIVTVQAEFLSVPAKRGDNSSGAPSGPFGSEADKPSWDNGLPSFGSDSWQPFSSAALPVQNADGESEVDPWCKKTSSSANDSDPWGTKTKSASVDVWNNSTTQVENSSDNAWDKQPGGSGPNIGGSSWDRPAADKESEKSDNWGEACKETEKTGSDTDPWGSKVKEIDLKETDSWGKASMQPEKKLEDDSQGWGQPVGKSNQDQEKGADKCGAWDTVIAGSSLSVPGRGDDDSWVKTDTLPVAQDDAWGKSKDSSDGAAGWNETRTSNQSHSTGGWDAAAANLNESSDVDAHKDAWGKAKDTTANAEEKNNESGNWNKAGPLDKVCGSDWGSPKFSSGDGPSSWNKGDKVGGDSQNGSWSRPGGNFEGGRGFGRGQGRGRGRESGDLGGRNDQGSWKNSGTSESSGRPSWRSDSQVDKEVGDSGGYRGRGRGRGQYGGQGRGDNGWRNGGQSNSEFGRSDADAPNWGNKGVSNKGSWDSGDNWNALKSSDENQTSAWNSSEDKRPSGGQEQQSGAWDSKTTSTAGAEDKSDAWGTKADGNSGNTGGKRENASSGKEQETDPWTSKVTSAADADDNNNVWNTSARDTASGSESKWGNAGAEEKADAWKSKGGNENSGGWNSAGSSWGNQKSSWGKPSFSGGEQEPAWSNPKNGDDNSGYGRGGFGRGNRGRGRGRSFGDSGSSWSGGSYRNDESHGERSEDRWNTRDSDGGRGRGRGRFGRGDRNQGNNYGSGDNNDRTWGSGRGNRDQDGCKNWNRNDDRRPFGQDRGGGWSQSSDWNANKGQSSWGSDKNDSWGAPKPSGGDDQAGKNNGGWNSSKGEVTQGGGGGSSWDKADGGNSNKGQDAQGGGGGSSWDKADGGWNSSSKGGSSGNGGW >ORUFI05G23450.1 pep chromosome:OR_W1943:5:21943572:21946384:-1 gene:ORUFI05G23450 transcript:ORUFI05G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAEEACHGGGAGAGFDLAEHLQQQPLLTSDDRPKAPTMIDDDDDDASLGNNLYTNLEDRDYPITMIQIFSMRFTGDGVQLDQSMRVYGFVAIRDELDCRRNYVFNRSRDDPCEITPVCPTLPLISPARGTSIIDGVLLEYSLKAKRGGGGDGDGANDVELIDGCIEFTSPSAMPVDEKLKTRIYGRAAPPPGGGAAVAVDMAYAFIERGVEATVEVEVRGAPPPSPPGHGRRRLNAAALTRGYEDEIVLFDGPLSSSSSSSSPAKQLAFSAVVAVARDDELSLRLEAVTGGEGDLSMAVSRSYLSFEAQKHGSSVAELVMAKDLELVVRVTWSTMGL >ORUFI05G23460.1 pep chromosome:OR_W1943:5:21950370:21964028:-1 gene:ORUFI05G23460 transcript:ORUFI05G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLVFFIVLLFCISGASSISHYFTSIFSLGDSYIDTGNFVIMAPSGPPLRYDKLPYGMTFFGHPTGRMSDGRVIVDFIAEEFELPLLPASMANCSSVSHGVNFAVGGALATGIDYFQRNNIVSFKLLNTSLDVQLGWFQQLKPSICNTTTEQANGKSKQEVESYVPQVVRKITMGVEMLINQGAIYVVVAGNPPNGCAPALLTVLMSPNRTDYDGLGCLRALNGVAKRHNMLLRVALGRLRGKYPHAKIIFADFYQPIIQVMRNPSYFGFASDGLLKACCGTGGTYNFNVSSACALPGVVACKDPSASISWDGIHYTEAINRFVAKGWLYGSIMELKLVFSIAFIFCLSHVSSTSHFFTSIFSLGDSYIDTGNFVIMATPVAPVWNDKPPYGMTFFGHPTGRVSDGRVIIDFIAEEFGLPFLPASLANSSSVSHGVNFAVGGAPATGIDYFERKKIVAFKLLNNSLDVQLGWFEELKPSICNTTKEDANGDRSLFIVGEFGVNDYNFMWMAGKAKHEVESYMPRVVKKITMGVERLINQGVVYVVVPGNPPTGCAPALLTQRVSPNRTDYDGHGCLRAINSVAKSHNTLLRAALGRLRRKYPHAKIIFADFYQPIIRVTQEPRRFGFAADGVLKACCGSGGVYNWNASATCAMPGVVACQNPSASVSWDGIHYTEAVYRYVAKGWLYGRESIMELKLVFPIAFLFCLSRVSSTSQFFTSMFSLGDSYIDTGNFVIMASPVVPVWNDKLPYGMTFFGHPTGRMSDGRVIIDFIAEEFGLPFLPASLANSSSVSQGVNFAVGGAPATGVDYFENNNIVPFKLLNNSLDVQLGWFEELKPSICNSTDETNGLNCFGKTLFIVGEFGVNDYNFMWMAGKPKQEVESYVPQVVKKITTAVERLITQGAAYVVVPGNPPTGCAPALLTSRMSPNKTDYDGLGCLRFINDVVERHNTMLRAALGVLRGKYPHAKIILADFYSPIIRVLQNPSHFGVAADGVLKACCGTGGAYNWNASAICAMPGVVACQDPSAAVSWDGVHYTEAINSYIAKGWLHGPYADPPILAAIPH >ORUFI05G23460.2 pep chromosome:OR_W1943:5:21950370:21964028:-1 gene:ORUFI05G23460 transcript:ORUFI05G23460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLVFFIVLLFCISGASSISHYFTSIFSLGDSYIDTGNFVIMAPSGPPLRYDKLPYGMTFFGHPTGRMSDGRVIVDFIAEEFELPLLPASMANCSSVSHGVNFAVGGALATGIDYFQRNNIVSFKLLNTSLDVQLGWFQQLKPSICNTTTEQANGKSKQEVESYVPQVVRKITMGVEMLINQGAIYVVVAGNPPNGCAPALLTVLMSPNRTDYDGLGCLRALNGVAKRHNMLLRVALGRLRGKYPHAKIIFADFYQPIIQVMRNPSYFGFASDGLLKACCGTGGTYNFNVSSACALPGVVACKDPSASISWDGIHYTEAINRFVAKGWLYGSIMELKLVFSIAFIFCLSHVSSTSHFFTSIFSLGDSYIDTGNFVIMATPVAPVWNDKPPYGMTFFGHPTGRVSDGRVIIDFIDIKNCFSRSLFIVGEFGVNDYNFMWMAGKAKHEVESYMPRVVKKITMGVERLINQGVVYVVVPGNPPTGCAPALLTQRVSPNRTDYDGHGCLRAINSVAKSHNTLLRAALGRLRRKYPHAKIIFADFYQPIIRVTQEPRRFGFAADGVLKACCGSGGVYNWNASATCAMPGVVACQNPSASVSWDGIHYTEAVYRYVAKGWLYGRESIMELKLVFPIAFLFCLSRVSSTSQFFTSMFSLGDSYIDTGNFVIMASPVVPVWNDKLPYGMTFFGHPTGRMSDGRVIIDFIAEEFGLPFLPASLANSSSVSQGVNFAVGGAPATGVDYFENNNIVPFKLLNNSLDVQLGWFEELKPSICNSTDETNGLNCFGKTLFIVGEFGVNDYNFMWMAGKPKQEVESYVPQVVKKITTAVERLITQGAAYVVVPGNPPTGCAPALLTSRMSPNKTDYDGLGCLRFINDVVERHNTMLRAALGVLRGKYPHAKIILADFYSPIIRVLQNPSHFGVAADGVLKACCGTGGAYNWNASAICAMPGVVACQDPSAAVSWDGVHYTEAINSYIAKGWLHGPYADPPILAAIPH >ORUFI05G23460.3 pep chromosome:OR_W1943:5:21950370:21964028:-1 gene:ORUFI05G23460 transcript:ORUFI05G23460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLVFFIVLLFCISGASSISHYFTSIFSLGDSYIDTGNFVIMAPSGPPLRYDKLPYGMTFFGHPTGRMSDGRVIVDFIAEEFELPLLPASMANCSSVSHGVNFAVGGALATGIDYFQRNNIVSFKLLNTSLDVQLGWFQQLKPSICNTTTEQANGKSKQEVESYVPQVVRKITMGVEMLINQGAIYVVVAGNPPNGCAPALLTVLMSPNRTDYDGLGCLRALNGVAKRHNMLLRVALGRLRGKYPHAKIIFADFYQPIIQVMRNPSYFGFASDGLLKACCGTGGTYNFNVSSACALPGVVACKDPSASISWDGIHYTEAINRFVAKGWLYGSIMELKLVFSIAFIFCLSHVSSTSHFFTSIFSLGDSYIDTGNFVIMATPVAPVWNDKPPYGMTFFGHPTGRVSDGRVIIDFIAEEFGLPFLPASLANSSSVSHGVNFAVGGAPATGIDYFERKKIVAFKLLNNSLDVQLGCRSLFIVGEFGVNDYNFMWMAGKAKHEVESYMPRVVKKITMGVERLINQGVVYVVVPGNPPTGCAPALLTQRVSPNRTDYDGHGCLRAINSVAKSHNTLLRAALGRLRRKYPHAKIIFADFYQPIIRVTQEPRRFGVAADGVLKACCGTGGAYNWNASAICAMPGVVACQDPSAAVSWDGVHYTEAINSYIAKGWLHGPYADPPILAAIPH >ORUFI05G23460.4 pep chromosome:OR_W1943:5:21946536:21964028:-1 gene:ORUFI05G23460 transcript:ORUFI05G23460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLVFFIVLLFCISGASSISHYFTSIFSLGDSYIDTGNFVIMAPSGPPLRYDKLPYGMTFFGHPTGRMSDGRVIVDFIAEEFELPLLPASMANCSSVSHGVNFAVGGALATGIDYFQRNNIVSFKLLNTSLDVQLGWFQQLKPSICNTTTEQANGKSKQEVESYVPQVVRKITMGVEMLINQGAIYVVVAGNPPNGCAPALLTVLMSPNRTDYDGLGCLRALNGVAKRHNMLLRVALGRLRGKYPHAKIIFADFYQPIIQVMRNPSYFGFASDGLLKACCGTGGTYNFNVSSACALPGVVACKDPSASISWDGIHYTEAINRFVAKGWLYGSIMELKLVFSIAFIFCLSHVSSTSHFFTSIFSLGDSYIDTGNFVIMATPVAPVWNDKPPYGMTFFGHPTGRVSDGRVIIDFIDIKNCFSRSLFIVGEFGVNDYNFMWMAGKAKHEVESYMPRVVKKITMGVERLINQGVVYVVVPGNPPTGCAPALLTQRVSPNRTDYDGHGCLRAINSVAKSHNTLLRAALGRLRRKYPHAKIIFADFYQPIIRVTQEPRRFGFAVGGILRACCGGGGPYNWNGSAICGMAPAPSRARTHRRPCTGTVVTTRRPLHPNPIVRIKYHLLSDDWARS >ORUFI05G23460.5 pep chromosome:OR_W1943:5:21946536:21950357:-1 gene:ORUFI05G23460 transcript:ORUFI05G23460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHGIIIQADCQVAFMELKLVLSITFLYCLSGVSSTSHYFTSMFSFGDSYIDTGNFVIMATPVMPMWIDKPPYGMTFFGHPTGRVCNGRVIVDFIAEEFGLPFLPAFLENSSSFSHGVNFAVGTAPAIDAAFFKRNSIADKLLNNSLDVQLGWFEHLKPSICSSTDEANGESAGIGFKNCFSKSLFIVGEFRVNDYNLMWTAKKTEKDVKSLVPQVVEKITMAVERLINQGAVYVVVPGNPPRGCSPIVLTLFMSPNWSDYDSLGCLRSINRVSRHHNAMLRAALNGLRGKYPHAKIIFADFYQPIIQVLQDPVRFGFAVGGILRACCGGGGPYNWNGSAICGMAPAPSRARTHRRPCTGTVVTTRRPLHPNPIVRIKYHLLSDDWARS >ORUFI05G23470.1 pep chromosome:OR_W1943:5:21966669:21968343:-1 gene:ORUFI05G23470 transcript:ORUFI05G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIRAPKSHRAKRDLLKHAPKLVETGKKTLVLHGTKTSAVLNSVLADWFHLKRDCYSKKNENIRPFESGGETSLEFFSLKTDCSLIIVVVIGAKEYFSSPILFQYGSHSKKRPNNLVLGRTYDHHIYDLVEVGVENYKSIESYVYDKKLAPKLGSKPFFAFIGEHFESVEELKHLKEMLLDLFRGEVVENLNLSGVDRVFVCTAISPTTVYLMHCALRLKRSGTSIPRMELVEVGPSMDLVVRRHRYPAESLKKEAMKTADHAKKMKNVMKDPVQGKLGKVYIPDQQIAKMALSNDIKGLKRERREAKKNKEHSKKQKINVE >ORUFI05G23470.2 pep chromosome:OR_W1943:5:21966669:21968343:-1 gene:ORUFI05G23470 transcript:ORUFI05G23470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIRAPKSHRAKRDLLKHAPKLVETGKKTLVLHGTKTSAVLNSVLADWFHLKRDCYSKKNENIRPFESGGETSLEFFSLKTDCSLIIYGSHSKKRPNNLVLGRTYDHHIYDLVEVGVENYKSIESYVYDKKLAPKLGSKPFFAFIGEHFESVEELKHLKEMLLDLFRGEVVENLNLSGVDRVFVCTAISPTTVYLMHCALRLKRSGTSIPRMELVEVGPSMDLVVRRHRYPAESLKKEAMKTADHAKKMKNVMKDPVQGKLGKVYIPDQQIAKMALSNDIKGLKRERREAKKNKEHSKKQKINVE >ORUFI05G23480.1 pep chromosome:OR_W1943:5:21969505:21972654:1 gene:ORUFI05G23480 transcript:ORUFI05G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHTRRAFLLCNYLLLGAASGCIFLTLSLRLLPSPCGLLLVFLHALTAVLAAAACSGSFTTAHAAHTASAVLTAIFQGAAALLAFTRTGDFLAELRSYVREEDGEVILELVGGLGAAIFVLEWAALALAFALRLGDDGADGDEHDGGYAKSWQSGYHSRSPPPLAAMPKQIHEIKDFLLTARRKDARSVRIKRSKDAVKFKVRCSKYLYTLCVHDTDKANKLKQSLPPGLTIQEV >ORUFI05G23490.1 pep chromosome:OR_W1943:5:21973824:21978167:1 gene:ORUFI05G23490 transcript:ORUFI05G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRRGGVGLLRGAVVLASLLLVVSGEVIFEERFDDDWGSRWVKSDWKKSEGKAGTFKHTAGSYSGDPDDRGIQTTSDAKHFAISAKFPEFSNKNRTLVVQYSIKIEQDIECGGAYIKLMSGYVNQKKFGGDTPYSFMFGPDICGDQTKKLHLILSYQGQNYPIKKDLKCETDKLTHFYTFILRPDASYSLLVDNREREFGSMYTDWDILPPRKIKESNAKKPKDWDDREYIEDPDEVKPEGYDSIPKEIPDPKDKKPESWDDDDDGVWKPRMIPNPEYKGRWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPLQYVGIEVWQVKAGSVFDNILICDDPEYARSVVDEVRAANKEAEKEAFEEAEKRRKAREDEDITGKSIAVLKFTKIRVIIVGTTTMTSYEVSRVSHSFKELQEVSVAHRLFHHPIDDCTNKIEHIISRPDRTKLYFRCG >ORUFI05G23500.1 pep chromosome:OR_W1943:5:21989284:21990076:-1 gene:ORUFI05G23500 transcript:ORUFI05G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRVRPMQRWCHPCMQQPKPWKEAEEVLSGYGSDVEEQWRMQKEIAVGLSKLDRVTVPLPSTYVFTPVVGARDPPLPYWIRPCGGPRAGSAPTEEVVQAPTIHKGMPHVHKIVQTPLHTNWGKKKRKKEETAGRRGHEGDDGELDRVDHGVEDPNGTCGEQVEGVDCRGRVGAGGEEGMGAAEQGCGEDDDGGCDDLGNGVLASWEREDGKEGGDEDGNGDGVREEDRKSVWSGMVPILEISSGIQPI >ORUFI05G23510.1 pep chromosome:OR_W1943:5:22058000:22061910:1 gene:ORUFI05G23510 transcript:ORUFI05G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSCLSPLMLMLMTLMLSAAAAGSGGGGVAEYEAQFEAWCAEHGRSYATPGERAARLAAFADNAAFVAAHNGAPASYALALNAFADLTHDEFRAARLGRLAAAAAAGGPGRDGGAPYLGVDGGVGAVPDAVDWRQSGAVTKVKDQGSCGACWSFSATGAMEGINKIKTGSLISLSEQELIDCDRSYNSGCGGGLMDYAYKFVVKNGGIDTEADYPYRETDGTCNKNKLKRRVVTIDGYKDVPANNEDMLLQAVAQQPVSVGICGSARAFQLYSKGIFDGPCPTSLDHAILIVGYGSEGGKDYWIVKNSWGESWGMKGYMYMHRNTGNSNGVCGINQMPSFPTKSSPNPPPSPGPGPTKCSLLTYCPEGSTCCCSWRVLGLCLSWSCCELDNAVCCKDNRYCCPHDYPVCDTASQRCFKANNGNFSVMEGGSRKQPFSKVPSLGGLLELLDQ >ORUFI05G23510.2 pep chromosome:OR_W1943:5:22058000:22061910:1 gene:ORUFI05G23510 transcript:ORUFI05G23510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSCLSPLMLMLMTLMLSAAAAGSGGGGVAEYEAQFEAWCAEHGRSYATPGERAARLAAFADNAAFVAAHNGAPASYALALNAFADLTHDEFRAARLGRLAAAAAAGGPGRDGGAPYLGVDGGVGAVPDAVDWRQSGAVTKVKDQGSCGACWSFSATGAMEGINKIKTGSLISLSEQELIDCDRSYNSGCGGGLMDYAYKFVVKNGGIDTEADYPYRETDGTCNKNKLKRRVVTIDGYKDVPANNEDMLLQAVAQQPVSVGICGSARAFQLYSKGIFDGPCPTSLDHAILIVGYGSEGGKDYWIVKNSWGESWGMKGYMYMHRNTGNSNGVCGINQMPSFPTKSSPNPPPSPGPGPTKCSLLTYCPEGSTCCCSWRVLGLCLSWSCCELDNAVCCKDNRYCCPHDYPVCDTASQRCFKANNGNFSVMEGGSRKQPFSKVPSLGGLLELLDQ >ORUFI05G23520.1 pep chromosome:OR_W1943:5:22063158:22067596:1 gene:ORUFI05G23520 transcript:ORUFI05G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAPPLSRKRGKPMGYGAGFTPPVAWRPLRSVHTVDRRFAFERAIPLRRRPRRCPNVLQFIKGIMQSQSGMGGPEKENISGWEKTSFLFMSLEPFMRLPACDSGWFPGVVCSGHPINWQSIKSSDGKSPIVVGPWGGTGGYPWDDGVYSTVRQVIITHGAAIDSIRIEYDLKGSSVWSETHGSTDGGSETDKVKLDFPDEILVSVSGYYGSVCGTPVIIRSLTFQSNRSIYGPFGTEDGTPFSLPVSSGKIIGFHGRSGSYLNSIGFYLKQVNVSDRSNSPVLPQSRSITSAYNKNGYSFPEGASGYDMVLAVRDRGDSYAVYTSNYPNQQYTNPSPDYNDGIRWNKVPQTSPSLQMVSFPSGYGDRGGAALSSHETYGPWGGSGGTMFDDGMYTGVWQINLTRAVGITSIKVLYDRNGQAVWGNKHGFSGAVSPDKVVFDFPSEVLTHITGYYGTTMIMGPTVVRSLTFHTNKRRYGPYGDECGTYFSTSFSDGRIVGFHGREGWYIDGIGVHVQEGNLAAPRVSSRSTIEMNPSLRYDMLAQSRSETYNEVPYSMVKEPVPMGPGPWGGEGGRPWDDGVYTGVKQVYVMRGTFIGSIQIEYDRGDQSVWSARHGTSGHITHRIKLDYPHEVLTCVYGYYNTNREEGPRVLRSITFISNRGKYGPFGEEFGAYFSSAKTEGKVVGFHGRSGQHLDAIGVHMQHWMGDRRPAPKYVLSKYLF >ORUFI05G23530.1 pep chromosome:OR_W1943:5:22068469:22073393:1 gene:ORUFI05G23530 transcript:ORUFI05G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARGFVERLSTVEGRLLRLEVVVLASAVVLAALVLYGATRRRSSDKLLRGVMWMAYSLSYVVVSYAVGLIQDGPFRGETFVLWAAALLLIQASAYAAPVHSRREFSQRKKLLLQHVLQTALVLWLIANATGRNASYRAAIWAFWCLNVLKTVAKIVEMIKASIPDQSVKLIADYMDVEESLAGVGDGEPPDPTTMKGYRYIFHGEDTMVPATRDDMVRQSDGKSVVTIDRVYRWIDDEPGYSGVEKDMARDFCLAFALFKLLKRRFYGFVPAEAGSPRARDLVCGGLIRPAVTGPDAAFRVVEAELAFLYDEFYTRNVVLVGARTYVCIAAAVAGITMWTAFFGTLGPGYHRLRIGVRGLDRSVTVLIVVITAGLELCQAVAGFSSNWRYVKTVYRCVRDDQPWTNRRHGHLWWKESITPPATRYWDDKVGQYVLLKRFGHRPWNILSWLTLYLVEPRRQGQKRGRRKRLPQEVRRAVLVSLKASYGHLTNGVSTLRRHGLTPQLEWACAFPKLTDQILVWHVVTTRLDWTSGGGHGRSRRRDNGGDVNRVVATKLSNYCAYLVAFVPEMLPDPSYNAEQMFDTAVQQARDHLGGCRTESAVLQRLQEIEDEERRGAVRERAGSATVIEKAALLGGQLRAAVDEARRWQVLAEFWAEFILFLAPSDNVDIHAEVLGAGGEFMTQLWALLSHAGVLERPAVAATPSPPTV >ORUFI05G23540.1 pep chromosome:OR_W1943:5:22080967:22091317:1 gene:ORUFI05G23540 transcript:ORUFI05G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAATDDSAASTAGMRDEDRSLSGESLSEWRSCEQVESESPSTSPPFWDTDGDDDDPGPKPSDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNIDPKKVKYSDTLHKFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDKPFRCLDRPYRRELLRVYTTNIESIYRRFVEERRNKLSKLIEDKMRWSSFCAFWSAIDPSTRHRMSREKTDVILKVLVKHFFVEKEVTSTLVMDSLYTGLKVLEYQSKGKKGRTIADLDELPAPMIHVDMDMFVLASDVIDLLERAALEPLPCQPVSPKDDKCSQSRMKDGASGEVNKISMEREERRLTELGRKILETFVLSHIFSGIEVAYQEAVALKRQEELIREEEEEAWLLGNEMKGKRGGGANEKDKRAKKKQAKQKKNNRKIKDKERDEKFEAKILERLHDETAIDDSDGLSSKQAEEVTTKVENLEEGASDRQGDLDSSEIAHRPDSGDKYPRQMNGLSDVTGNAQKVKKASSMEANSPVFLADSVAASGTHSRGNNLSDSKNRMTPNRGKNQRNKGISIISFSEEGEGIPSSSTGGSARCSSSCGTSAKLDQDTVLLTLKDKLRKLGQRLHEKNMEGRKLLQAHFEAMEAKTSGSSPSSSPLDETPDVVKSPEQSAEGTTDAKANGTPNKDEPVTNCVAEESVSVMPGTKSTEALSGMALAKTKVEPVSNKDHVPKPTLQANRASANCSKSTPVDMEKDVPLPSRSPQINKPAPVPPKSPQVGNATPVPPKSPPIEKACPVPPKSPPSAKDTSLPSVRSLQIDKPVPVPPRLPQVDKAASLSSELPQTSTTSNSEAQEETAAIRVASPSVSEVTVTASRPSSAPVFPAPRSTVPATQVQVSTLLSRSMSEATRRSGNDPSPSAPAYIPQTYRNAIIGKHGRGTTSGTTAYQSTSLGQGTALSQPLSTYAPTMSVTMPPAGRNDQFSGRHGLESGLGKPEARDSWQPWNANRHVDKHLWRDDSTYQQTTNGHAYPQPWKDVNFLQARGTETEIPSRFGGPQLPRQFQAETHADYLLQQPQGPVAEEFPHLDIINDLLEEEQSNGSMPESIGHDYHTFGLPLPFLLRGNLADQEMASASSPGRFNLTEPYYDEGYSRAYDMSAFQGTRERQFPSLDAYSNGLSDMSPSKPWLNGSPNPSMNHAVGTNGYPQQIPDYTNLASELNGASLYHRRYANGRW >ORUFI05G23540.2 pep chromosome:OR_W1943:5:22081385:22091317:1 gene:ORUFI05G23540 transcript:ORUFI05G23540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAATDDSAASTAGMRDEDRSLSGESLSEWRSCEQVESESPSTSPPFWDTDGDDDDPGPKPSDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNIDPKKVKYSDTLHKFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDKPFRCLDRPYRRELLRVYTTNIESIYRRFVEERRNKLSKLIEDKMRWSSFCAFWSAIDPSTRHRMSREKTDVILKVLVKHFFVEKEVTSTLVMDSLYTGLKVLEYQSKGKKGRTIADLDELPAPMIHVDMDMFVLASDVIDLLERAALEPLPCQPVSPKDDKCSQSRMKDGASGEVNKISMEREERRLTELGRKILETFVLSHIFSGIEVAYQEAVALKRQEELIREEEEEAWLLGNEMKGKRGGGANEKDKRAKKKQAKQKKNNRKIKDKERDEKFEAKILERLHDETAIDDSDGLSSKQAEEVTTKVENLEEGASDRQGDLDSSEIAHRPDSGDKYPRQMNGLSDVTGNAQKVKKASSMEANSPVFLADSVAASGTHSRGNNLSDSKNRMTPNRGKNQRNKGISIISFSEEGEGIPSSSTGGSARCSSSCGTSAKLDQDTVLLTLKDKLRKLGQRLHEKNMEGRKLLQAHFEAMEAKTSGSSPSSSPLDETPDVVKSPEQSAEGTTDAKANGTPNKDEPVTNCVAEESVSVMPGTKSTEALSGMALAKTKVEPVSNKDHVPKPTLQANRASANCSKSTPVDMEKDVPLPSRSPQINKPAPVPPKSPQVGNATPVPPKSPPIEKACPVPPKSPPSAKDTSLPSVRSLQIDKPVPVPPRLPQVDKAASLSSELPQTSTTSNSEAQEETAAIRVASPSVSEVTVTASRPSSAPVFPAPRSTVPATQVQVSTLLSRSMSEATRRSGNDPSPSAPAYIPQTYRNAIIGKHGRGTTSGTTAYQSTSLGQGTALSQPLSTYAPTMSVTMPPAGRNDQFSGRHGLESGLGKPEARDSWQPWNANRHVDKHLWRDDSTYQQTTNGHAYPQPWKDVNFLQARGTETEIPSRFGGPQLPRQFQAETHADYLLQQPQGPVAEEFPHLDIINDLLEEEQSNGSMPESIGHDYHTFGLPLPFLLRGNLADQEMASASSPGRFNLTEPYYDEGYSRAYDMSAFQGTRERQFPSLDAYSNGLSDMSPSKPWLNGSPNPSMNHAVGTNGYPQQIPDYTNLASELNGASLYHRRYANGRW >ORUFI05G23550.1 pep chromosome:OR_W1943:5:22092481:22097417:1 gene:ORUFI05G23550 transcript:ORUFI05G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREYGPAAAPRLLVSDSGRVHEMERFSHYVARQIGFDHVDECPHLCTLAYDYLRKNKGYEENIFAFFQNSQDPETLIVKFIEELDKCILGYFSFHWNYATYIISQVLTVEGAPKRKLRNMVLEATRKQRFERVTRNLKVTRLFSTLVEELKAIGLSSHVEAPRSDVMVPAAHCDRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELVHQSSMDAASSLLVTALNEGRDVIMDGTMSWEPFVQQTITMARAVHRQRYRMGVGYKVTEDGSITEEYWEPVEDSSTDEEGETRNRKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLKSHKRFATAFHSYCNLVDNARLYCTNSTGAAKLIGWKDGESNLLVDPEEIGCLERVSHLNDEADCVHEIYPDGSAAAAWEALVTSPSRAPAQREIMAAVQRSEARFRTTSTPS >ORUFI05G23560.1 pep chromosome:OR_W1943:5:22098342:22098515:1 gene:ORUFI05G23560 transcript:ORUFI05G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYTSTLDDDDESGGLSL >ORUFI05G23570.1 pep chromosome:OR_W1943:5:22098978:22099555:1 gene:ORUFI05G23570 transcript:ORUFI05G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLQLQGWFPGRLGVPTCQSLAPPDSALRCLSSASFNLALSFETLRPTCPGRESELSHFSPFPAP >ORUFI05G23580.1 pep chromosome:OR_W1943:5:22102961:22103717:-1 gene:ORUFI05G23580 transcript:ORUFI05G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIRRPRTCVWDVEETGNHWIQERELHDLWHWCTVSDSGGLQYGGCCWICRMEGSSSAPSYLFFCLFGRSGGLQYGGCCWICRMEGSSSAPSYLFFCLFGRR >ORUFI05G23590.1 pep chromosome:OR_W1943:5:22117763:22121297:1 gene:ORUFI05G23590 transcript:ORUFI05G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAARLAARRLLGLATSSASESAAARRLSRSPISYAAAGCFSRLFSTALNYHLDSPENNPDMPWEFTEANMKKVLLFVSFIHFPVNEILSHYPSNYKQSGIIPMLDLAQQQHGGWVPVAAMNAIAKIVEVAPIRVYEVATFYTMFNRTKVGKYHLLVCGTTPCMIRGSREIEEALLEHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMIAVADYSKGSEGYTYNYYEDLTPKRVVEIVEMLKRGETPPRGTQHPERKNCGPAGGNTTLHADMRRQW >ORUFI05G23590.2 pep chromosome:OR_W1943:5:22117816:22121297:1 gene:ORUFI05G23590 transcript:ORUFI05G23590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAARLAARRLLGLATSSASESAAARRLSRSPVSAPLPLLQMPLYLLAVRRRSFAVLISPSGGNVSLQISYAAAGCFSRLFSTALNYHLDSPENNPDMPWEFTEANMKKVNEILSHYPSNYKQSGIIPMLDLAQQQHGGWVPVAAMNAIAKIVEVAPIRVYEVATFYTMFNRTKVGKYHLLVCGTTPCMIRGSREIEEALLEHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMIAVADYSKGSEGYTYNYYEDLTPKRVVEIVEMLKRGETPPRGTQHPERKNCGPAGGNTTLHADMRRQW >ORUFI05G23600.1 pep chromosome:OR_W1943:5:22121790:22127770:1 gene:ORUFI05G23600 transcript:ORUFI05G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTSLTEAEAGITCFASSLPGFRGVLKHRYSDFIVHEVARDGSVARLTSFDLPDECVDVSEEDKAAPSADADHSQALESFRALCGDADCDALKGLLEKASAGAEADVSPVILSPDADKAHRSEVHNFFKRSFKFLVTDTVEHNDGVQRCIRVRLGSGARGGRGGGGRSGGGRGRKRKNMGGSDWRDDRPFDSRGSTSWPNHVGKFLRFHLCKENKDTQEALGVIGKMLGLQSRSFGFAGTKDKRAVTTQQVTVFKISANRLAALNNRLFGIKVGNFSYVKEGLVLGQLMGNRFTITLRGVVAESEDIIKASVEGLGKNGFINYYGLQRDDIREMREHYKEHGDIDMALRNFPRHLVAEKAILQCLKKCPGNYLQALKSIPRTLRMMYVHSYQSYLWNHAASARVEKYGISQAVEGDLVYSKEPPPGEATSVDTSEPCDDQINSSDIDLCSETLPEETIQSVKIVDSEDLLKGVYTFEDVVLPLPGSQALFPGNGIADIYHELAKKDGISLTENAHGVKELMTYTDDTASLAETDLDVLSRNKPTKAKEVNETISSAISNAQSHDSKVAGPLDSSMPGSETGLVEEKSVGSSDMLARKLAIKLAFTLPASSYATMAIRELLKTSTSYCI >ORUFI05G23610.1 pep chromosome:OR_W1943:5:22128349:22130579:-1 gene:ORUFI05G23610 transcript:ORUFI05G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPISVKPPSPVAAAPPPPPVQVPVPPPPPPPLPPAAAAVEPLPPQPVAVVVAEAEPCSMNQLALTPTPKRQKVEESADGNGCKHCACKKSRCLKLYCPCFAGGGYCSEKCGCQPCFNKALYAETVQTTRKVLLSRQKRMSLKINRRSEANAEAVVVFLTEKAGATEDAHHSSSSTPPRRGCNCKKSSCLKKYCDCYQDGTGCSLFCRCEDCRNPFGKNEGIMAEESKRFLYTGADLDHSEDEQDFIVERSPRLQSPISKESSFQQTPPHIRATNRDTHMFPQAISQWQPRSWHCSKRQSNDRVIDDSGEYKNSNHDWQLAKPEDSYSISRCVQILNGMAELSQVEKSVAPDVFLQAGNREIFISLNGDVRALWLKRKIQNLT >ORUFI05G23620.1 pep chromosome:OR_W1943:5:22133220:22136172:-1 gene:ORUFI05G23620 transcript:ORUFI05G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRALAQMSNATVIDDKAFADCLHEIARALLQSDVQIRMVSDMRANIRRAVNLDALPAGTNKRRIIQQAVFAELCNMLDPGKPSFTPTKGKPSVVMFVGLQGSGKTTTCTKYAHYHQLKGFKPSLVCADTFRAGAFDQLKQNATKAKIPYYGSYMESDPVKIAVEGVERFRKEKSDLIIVDTSGRHKQEAALFEEMRQVAEATKPDLVIFVMDGSIGQAAFDQAQAFKQSASVGAVIVTKLDGHAKGGGALSAVAATKSPVVFIGTGEHMQDFEVFDVKPFVSRLLGMGDLSGLVNKIKDAMPADQQPELMQRLIEGTFTLRVFYELFQNLLNMGPIGQVLSMIPGFRSELMPKGHDKESQAKIKRYMTIMDSMTNAELDSTNPKLMSESRIKRVARGSGRTMKDVTDMLEEYKRIAKVCSKLKKKLPKNMDRNVMNNKDTLNTINNLIPKQLLNQIGGVNPLQSVMKQMGLKT >ORUFI05G23630.1 pep chromosome:OR_W1943:5:22139395:22140877:1 gene:ORUFI05G23630 transcript:ORUFI05G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGDAAVRTGQQSNIVSMNAKAKLATQAGGSATVNLQAIVPYSKGSASASIQVTSGKACVSVSAQEPAKKTKIGGPPPPKKAKTPGSILIQPPWESDKL >ORUFI05G23640.1 pep chromosome:OR_W1943:5:22146408:22147001:-1 gene:ORUFI05G23640 transcript:ORUFI05G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSEGSSASSRRTSPSPIPYRVGPLEYQPAVACRCGSKAARWILWSPDNPGRRYFKCADARSGGCDFFAWVDGPTSSFLREVLNDLWDELLAAVQEGRSVESELDLARKELATSRNAVGEKEAIVGMMKDRNNRLELEIFVMLLVVLGLVVVVFTMLMGRK >ORUFI05G23650.1 pep chromosome:OR_W1943:5:22150753:22151722:1 gene:ORUFI05G23650 transcript:ORUFI05G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESVRCACCGLEEDCTQEYIENVKANFGGKWLCGLCSEAVGDELSKDRREQDGIEDAIKAHMAFCRMALSSPAVKVADGMKEMLRKRSKDKVILCSSSPLENIYSEVCFSPN >ORUFI05G23660.1 pep chromosome:OR_W1943:5:22152171:22154495:-1 gene:ORUFI05G23660 transcript:ORUFI05G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDDYTDSRRELEPQSVDPKKGWGFRGVHRAIICGKVGQVPVQKILRNGRTVTVFTVGTGGMFDQRVVGDADLPKPAQWHRIAIHNDQLGAFAVQKLVKNSAVYVEGDIETRVYNDSINDQVKNIPEICLRRDGKIRLIKSGESAASISLDELSKFTENLNILIYENLALLVFVVIMQL >ORUFI05G23670.1 pep chromosome:OR_W1943:5:22155714:22158242:-1 gene:ORUFI05G23670 transcript:ORUFI05G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAKYLETARAERSVWLMKCPPVVSHAWQGAVSSSDAAGSNPNPVVAKFKMEMAQTNTGNTPKSYSLNMSKDFVPMCVFSESNQGKLSCEGKVEHKFDMKPHSDNLVNYGKLCRERTQKSMIKTRKVQVIEDHRMSLIPLPGMVGLIPSGSKEKKKQTPTKPSDAKRIRRDRRELENIIFKLFERQPNWALKALVQETDQPEQFLKEILNDLCFYNKRGPNQGTHELKPEYKKSTGDTDAS >ORUFI05G23680.1 pep chromosome:OR_W1943:5:22161000:22161713:1 gene:ORUFI05G23680 transcript:ORUFI05G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQRMVIVGEEHCGGGEDRELTVRKTTLFCPGDGLEAYDHGTGTLAFRVETYGRGGVCGGGAAAGDLALLGPEGEPVLTVRRRRPSLHHRWDGFLGDGAASGQKPLFSARRSSILGVGSGAAAVLVDLLAPGAAGEFRVDGSFPRRCCRVVAVKAAAPAGGGGEEEEEEVVVAEVRRKVDEDAHVVMGRDVFVLWLRAGFDAAFAMGIVLVLDRITGDELNGDLSEDLAVASSPV >ORUFI05G23690.1 pep chromosome:OR_W1943:5:22162999:22166627:-1 gene:ORUFI05G23690 transcript:ORUFI05G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQQSVNAGKAKVDVHVDLTHMLCEALLLPPMRNSGATFSQIVGRISLKHPSLFGRSEKLDVILDKGINESNAVIAFRRPRPEWLSQQSFVIQHTMTPEIAVHGFPADNFTRSGSRGINLSRLSLGVELNEPSTSNWTSGTSVKFEHIRPVNNEGRSIARDHDGFPLTCSGNLHDNMIILKQESGYADVNDNSFLKVNLQMEQGLPLVPKSLTFNRVKCAVSKGMKLGPTFLVTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGAGRLCLIANCEYTVPLAKHLEGSIFMDCGSDLGSACHVPGNPALRQGKPGFGVGFGYGIHFNTDLGQIRVDYAMNAFSRKTIYFGINSGGGS >ORUFI05G23700.1 pep chromosome:OR_W1943:5:22169706:22175334:1 gene:ORUFI05G23700 transcript:ORUFI05G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFACWHAGKKVLPNKDKECVFCVVERQISRLLRTEAGALDSPAKIIRCLPLFAEHFRWGRQEDAHEFLRYIIDACHTAGLRIRKRLPASNANGDAGEEEVRVQGPYMVMKETFGGALLSQVKCLTCKGESNKTDEIMDISLDLPGSNSVADALARFFQPEILEGSNKYSCERCKKLTSARKQLFVLRAPKVLVIQLKDSLPVYNLFGSIVHSGFSPDSGHYYAYVKDAIGRWYCCNDSHISPSSSQDVLSEKVYILFYILSTKTQKPSTNGYSSSAAKSSNSNGNGISNATSNEPLKIPLVKQNGLCSSKGIAPPPLKNGKIAPGMHLKPIHLKNNGTGKVSSNGKASIIPGNKLEVSEGLTLPAVNGRDSGKYAEPGKMNANGSVSCNKTDVNSQRVLPNTNGNGNPIHFSDLQETIDAKATCAEQYSEKSSIASLEDSKNPVSCHEMSAVIVKDVVSSGKDSSSLKHHLEEGKFKEMLAESASSELHLSGWVDDVRNFMHTIKRRRLQNTGTPQDSDTMRKELISESGRIFRSKIPESLREHLIQALRSYYQDKFSLGG >ORUFI05G23710.1 pep chromosome:OR_W1943:5:22177900:22179138:1 gene:ORUFI05G23710 transcript:ORUFI05G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDPAGSRRWRCDAGDEHGCWLSSSAGGGGDDHFDRLPDPLLLVIFNRIGDVKALGRCSLVSRRFHDLVPLVDSVLVRVDCVIPDDPASSSSSSSSPSAAPSSPTASARARTVFSQIARIVLGGIVKPIQALGQILSPANSASVLAASVTSSPSSSSSSSSSSPPLPGDVSHHSPSEVLRSFKELRRLRIELPAGELSMEEGVLLKWKADFGSTLGSCVILGASSAGKDGGAGAAPAVDCGESDETGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIADHTTLESLDLTDADGQGVLTMDKWQLQELRVKPVSASGGSHRTLMPALSMRLWYAPHIELPGGLVLNGATLVAIKPTEEATRDTVGSGIAGSAGGCWVSDAFEEPYRTAVGMLLKRRTYSLEMNSF >ORUFI05G23720.1 pep chromosome:OR_W1943:5:22185739:22187436:-1 gene:ORUFI05G23720 transcript:ORUFI05G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILNHSDAAFPVAATTPLLGRRPLDAGEFRRQGRQVVDFIADYYAGINDYPVRPAVAPGFLAGKLPATAPSTPEPDALTAGLRDVRELMLPGLTHWQSPRHFAHFSATASNVGALGEALAAGLNVNPFTWEASPAATELEVVVTDWLGKALHLPERLLFAGGGGGTLLGTSCEAMLCTIVAARDEKLAEIGEERIGDLVVYCSDQTHFSFQKAARIAGIRRGNCREIPTCRESGFVLTATALQAAVAADEAAGRVPLFLCATVGTTPTAAVDPLRELCAAVEGRGVWVHVDAAYAGAACVCPEFRHAIAGAEAVDSFSTNPHKWLLANMDCCALWVARPAALVAALGTDDDVILKDAAAAARPARGDHHHHAAVDYKDWQVALSRRFRALKLWLVLRCHGVDGLRAVVRSHVRMAAALERMVRADARFEVPVPRQFALVCFRLRGGGAAAQLVGGDELTASNELNRRLLEAVNATGRAYMSSAVVGGMYVLRCAVGNSLTEEHHVREAWSVVQGQAAAVLATAGAAADTARTKDHAAGDDHGADQPHAMTTTTTMGCRSGPWEL >ORUFI05G23730.1 pep chromosome:OR_W1943:5:22190369:22193808:1 gene:ORUFI05G23730 transcript:ORUFI05G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAATLSSPRGEPDPDADEPDHAGDRASSPEGSSPRDPDSDEAEQPDTPSRRGVKDDISELTETLTRRLWGVASFLAPPPPPESSTPRGEEEEEGRDGEEESAQSPRIAGIRSDLAEIGGRVRSGISMLQSNKAVAEISKIASSLLPFGQGDADEGEPVAGVTEEVVVFVRHISTRPETWLDFPLFISERYADDFELSDAQYVHALSMEHLVPSLSDIKAAICSTDMSEACFWKIYFVLLHSKLNKQDAELLSTPQILQAREELLQSLQTKNKRGSEVPEEEESSKTVIMSSAPAEEKVIQPSSIENKAGKPEVSSFEEPSSDISPDVEAEKFPIAITEMEIVDKSVIEEELSVKNETKSLAIEPKIHSETDEDEVDEWPDDDDDAEEVVGTEGNRTSLGQEEDVSFSDLEDDDDDGNKGIAK >ORUFI05G23730.2 pep chromosome:OR_W1943:5:22190369:22193805:1 gene:ORUFI05G23730 transcript:ORUFI05G23730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAATLSSPRGEPDPDADEPDHAGDRASSPEGSSPRDPDSDEAEQPDTPSRRGVKDDISELTETLTRRLWGVASFLAPPPPPESSTPRGEEEEEGRDGEEESAQSPRIAGIRSDLAEIGGRVRSGISMLQSNKAVAEISKIASSLLPFGQGDADEGEPVAGVTEEVVVFVRHISTRPETWLDFPLFISERYADDFELSDAQYVHALSMEHLVPSLSDIKAAICSTDMSEACFWKIYFVLLHSKLNKQDAELLSTPQILQAREELLQSLQTKNKRGSEVPEEEESSKTVIMSSAPAEEKVIQPSSIENKAGKPEVSSFEEPSSDISPDVEAEKFPIAITEMEIVDKSVIEEELSVKNETKSLAIEPKIHSETDEDEVDEWPDDDDDAEEVVGTEGNRTSLGQEEDVSFSDLEDDDDDGNKGIAK >ORUFI05G23740.1 pep chromosome:OR_W1943:5:22195802:22199137:-1 gene:ORUFI05G23740 transcript:ORUFI05G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWWGRSGGGGVGNGGGTPVVVKMENPNWSISEVEAAEVAPGSPAGAGKAGRGKNARQITWVLLLKAHRAAGRLTGAASAALAVASAARRRVASGRTDADAAPGESTALRARSYGCIRVSLVLSLLLLAVEVAAYLQGWHLEEVASLLAVDGLFAASYAGWMRLRLDYLAPPLQFLTNACVALFMVQSIDRLVLCLGCFWIRFKGIKPVPQAAAAGKPDVEAGAGDYPMVLVQMPMCNEREVYQQSIGAVCNLDWPKSNFLVQVLDDSDDATTSALIKEEVEKWQREGVRIIYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQADFLKRTVPHFKGKDDVGLVQARWSFVNKDENLLTRLQNVNLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKFNLIFLFFLLRKLILPFYSFTLFCVILPMTMFVPEAELPAWVVCYIPATMSILNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVGLVEKHSKQQRVGSAPNLDALTKEESNPKKDSKKKKHNRIYRKELALSFLLLTAAARSLLSAQGIHFYFLLFQGVSFLVVGLDLIGEQVE >ORUFI05G23750.1 pep chromosome:OR_W1943:5:22207392:22210979:1 gene:ORUFI05G23750 transcript:ORUFI05G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLMAAKAYKYKAELLVKDYLLADSYVPYASVLGGILMCKLSYDFTRFISSFYFKGYGSLTKMQKVEWNNRGMSTVHAIFITLMSAYLVFFSGLFSDQQDGPVTFRSSSLSNFTLGVSVGYFIADLAMILWFYPSLGGMEYLVHHVLSLTAVTYTMLSGEGQLYTYMSLISETTTPGINLRWFLDVAGMKRSKRYVVNGVAMFLNWLVARIILFMYLFYQIFLHYDQIKQMETFGYLLVCVVPAILFVMNMIWFSKILRGLKKTLAKRH >ORUFI05G23750.2 pep chromosome:OR_W1943:5:22208331:22210979:1 gene:ORUFI05G23750 transcript:ORUFI05G23750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLMAAKAYKYKAELLVKDYLLADSYVPYASVLGGILMCKLSYDFTRFISSFYFKGYGSLTKMQKVEWNNRGMSTVHAIFITLMSAYLVFFSGLFSDQQDGPVTFRSSSLSNFTLGVSVGYFIADLAMILWFYPSLGGMEYLVHHVLSLTAVTYTMLSGEGQLYTYMSLISETTTPGINLRWFLDVAGMKRSKRYVVNGVAMFLNWLVARIILFMYLFYQIFLHYDQIKQMETFGYLLVCVVPAILFVMNMIWFSKILRGLKKTLAKRH >ORUFI05G23760.1 pep chromosome:OR_W1943:5:22211709:22213007:1 gene:ORUFI05G23760 transcript:ORUFI05G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIINSTRFDFLDIIEPVTTYTDGYLLSLNLGMPPQVFQVYLDTGSDLTWVPCGTNSSYQCLECGNEHSTSKPIPSFSPSQSSSNMKELCGSRFCVDIHSSDNSHDPCAAVGCAIPSFMSGLCTRPCPPFSYTYGGGALVLGSLAKDIVTLHGSIFGIAILLDVPGFCFGCVGSSIREPIGIAGFGKGILSLPSQLGFLDKGFSHCFLGFRFARNPNFTSSLIMGDLALSAKDDFLFTPMLKSITNPNFYYIGLEGVSIGDGAAIAAPPSLSSIDSEGNGGMIVDTGTTYTHLPDPFYTAILSSLASVILYERSYDLEMRTGFDLCFKIPCTHTPCTQDELPLINFHFLGDVKLTLPKDSCYYAVTAPKNSVVVKCLLFQRMDNDDDDDDVGGANNGPGAVLGSFQMQNVEVVYDMEAGRIGFQPKDCALHS >ORUFI05G23770.1 pep chromosome:OR_W1943:5:22216301:22225458:-1 gene:ORUFI05G23770 transcript:ORUFI05G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRYTEQEEALEISSLRRIIAAYANYHDAAERDVKRYERSFKRLPPAHKELLFNLGLKYQRLRWCISMNASFIMDMLEAFEPPFDMSQHIDMDGHDCAENMHGHCHADCAHSVDRGDCFRSSISVSNSELHEPDGCPRKDDKTHELSRETDNKVVDMESCSRPVGDKLGASQVEDKSCNGDKAMDAAANCQDTDCVACSADENVIPQQFMAPSLQLNVPPIDVDKVRCIIRNIVRDWAQEGQKERDECYKPILEELNRLFPNRSKERPPSCLVPGAGLGRLALEISTLGFVSQGNEFSYYMMICSSFILNHTQETNEWTIYPWIHSNCNSLSDNDQLRPVSFPDIHPSSSGITEGFSMCAGDFVEVYNEESQESSWDAVVTCFFLDTAHNIVEYIEIISKVLKDGGVWINLGPLLYHFADSYGPDDDMSIELSLEDVKRVAYHYGFVMEVEKMIDTTYAANMKSMMQAHIVFNCCIVNSTESVPRSILDDEEECISIKSSKTPVRWRYSVWNGWHRLTGYRSNFSHSMVMPIRFGDGQYG >ORUFI05G23780.1 pep chromosome:OR_W1943:5:22226948:22233543:-1 gene:ORUFI05G23780 transcript:ORUFI05G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFQKFQPRDKSKSPAVAASHGKDPGKPPIDDAPSSATKQRVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKASKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAFIVKLYYSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHSYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSNFPNLNEPDYTSTKGTKPLPDSSSRLSSSAPKRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFRGLPWERLYQMKAAFIPEVNSELDTQNFEKFEETGAQIQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKSSKSKRPTIKTLFESMDEDEPVQGSFLNMLPHKEGQPSSHSTIPPEQYQPRHK >ORUFI05G23780.2 pep chromosome:OR_W1943:5:22226948:22233543:-1 gene:ORUFI05G23780 transcript:ORUFI05G23780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFQKFQPRDKSKSPAVAASHGKDPGKPPIDDAPSSATKQRVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKASKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAFIVKLYYSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHSYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSNFPNLNEPDYTSTKGTKPLPDSSSRLSSSAPKRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKACTLPTIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFRGLPWERLYQMKAAFIPEVNSELDTQNFEKFEETGAQIQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKSSKSKRPTIKTLFESMDEDEPVQGSFLNMLPHKEGQPSSHSTIPPEQYQPRHK >ORUFI05G23790.1 pep chromosome:OR_W1943:5:22233585:22234827:-1 gene:ORUFI05G23790 transcript:ORUFI05G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDFIAGRSRCAWQVGAGRREAEEEERGKAARSFREKEEEEEKKIKLRQFVRSPLLPAPIAAPRPAASSPARDPLRVASRRRRDRGIVPSIGFHGELPIWISDPISACSWLLDWSI >ORUFI05G23800.1 pep chromosome:OR_W1943:5:22238808:22244741:1 gene:ORUFI05G23800 transcript:ORUFI05G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYCSRVYHHHPVSPSTMQGSLARPSIHAGSASLTFRARPNSVSIVRCDADSPPEGSAVAGWAPPGPYTGRDPAARKPAWLRQRAAHGEKYARLRESLGELKLNTVCVEAQCPNIGECWNGGGGAGGDGDGIATATIMLLGDTCTRGCRFCAVKTSNKPPPPDALEPLRTAVAVASWGVDYVVLTSVDRDDLPDGGSGHFAQTVKALKELKPGILVECLTSDFRGDLEAVSSLASSGLDVFAHNIETVRSLQRIVRDPRAAYDQSLAVLKHAKNCKDGMVTKSSIMLGLGETDEEVKQTMCDLRAIDVDILTLGQYLQPTERHLRVREYVTPEKFDFWKEYGESLGFLYVASGPLFFDESFALKLCADDRNLAVTLLKGADWFAILNYTIIVVFPVAGVEDAVVGFVTGKRKATELAHAIWNESSVNGKCSLGFSGRCVKEYVYTLAIHILSFGFLIRVWRSIVRKGDTVVDATCGNGNDTFAMLKMVADERVQGRVYGLDIQESAIASTSSFLKMAVNSHELELVKLFTICHSRMEEVVPKDFPVRLVAFNLGYLPGGDKTIITVPKTTELALQAASSIVSSGGLISVLVYIGHPGGRDELDVVESFASSLPIDTWMSCKFEMLNRPAAPVLILLYKK >ORUFI05G23810.1 pep chromosome:OR_W1943:5:22246802:22248372:1 gene:ORUFI05G23810 transcript:ORUFI05G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTWSLTAASCSMLFSALRFSETEKFGLVWRSIVRKGDTVVDATCRNSNDTFAMLKMVADERDKEYKVESAIASTSSFLKMAVNSHELELVKLFTICQSRMEEVVPKDFPVSIQLGLSSRMR >ORUFI05G23810.2 pep chromosome:OR_W1943:5:22248377:22248887:1 gene:ORUFI05G23810 transcript:ORUFI05G23810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITVAKTTELALQAASRIVSSGGLISVLVYIGHLGERDELDVVESFASSLPMKTWMSCKFEMMNRPFEMMNRPMVPVLIILHKK >ORUFI05G23820.1 pep chromosome:OR_W1943:5:22250596:22250763:1 gene:ORUFI05G23820 transcript:ORUFI05G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVESAHYIKTEHLVPLSEQQLVDYADIALNHTSRRALEWIAENDGITMQLDYP >ORUFI05G23830.1 pep chromosome:OR_W1943:5:22252404:22257688:-1 gene:ORUFI05G23830 transcript:ORUFI05G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGSLEKMGRELKCPICLSLLSSAVSISCNHVFCNDCLTESMKSTSSCPVCKVPFRRREMRLAPHMDNLVSIFKSMEAAAGTNVVSTQEAPVVKLADCVNSGKNSKRSQKSLTRKRKVTSEMEKNTAKDATASASQPTTKPSFSTNKRIQVKPFPESETPIRAEKIMKPEEPKNNLNNDVEGKNKAVASGQPGSPSLSPFFWLREQEEQEGCTAETLSETQSLDTPLRHNAPSFSDIKDSDDEIPLNTTPNSKAAATELFDSEIFEWTQRPCSPELYSTPLKKQSKAKSKLDQIEEKGDEEDVHIGGSFDKLGNASNAAQLVNTKATKQKRKKTSPSNKNSAKLSNRAEPCIKKSDANQQGSNRRKSAALKSCQKSSSAVGRNTSGRRNKASSNSKPIHGSSDNSPESYLPKEGLDVEAPDKPLSERIQNLEKTSRRKGSARKLEMAGKTISDTTEKNSEPRSKRVRRMSDHAIAKPVEVPSGSGNETEIPQLHTLTKGSIQRKSSNARRHSKVCGEQEVCTAPSVRTASVKYKQAKFSEQPDCFGTENFGNLQACPARNVLLKKCEVSTLKVSCAFCQTDVITEESGEMVHYQNGKQVPAEFNGGANVVHSHKNCLEWAPDVYFEDDSAFNLTTELARSRRIKCACCGIKGAALGCFEMSCRRSFHFTCAKLIPECRWDNENFVMLCPLHRSTKLPNENSEQQKQPKRKTTLKGSSQIGSNQDCGNNWKWPSGSPQKWVLCCSSLSSSEKELVSEFAKLAGVPISATWSPNVTHVIASTDLSGACKRTLKFLMAILNGRWIVSIDWVKTCMECMEPIDEHKFEVATDVHGITDGPRLGRCRVIDRQPKLFDSMRFYLHGDYTKSYRGYLQDLVVAAGGIVLQRKPVSRDQQKLLDDSSDLLIVYSFENQDRAKSKAETKAADRRQADAQALACASGGRVVSSAWVIDSIAACNLQPL >ORUFI05G23830.2 pep chromosome:OR_W1943:5:22252404:22257688:-1 gene:ORUFI05G23830 transcript:ORUFI05G23830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGSLEKMGRELKCPICLSLLSSAVSISCNHVFCNDCLTESMKSTSSCPVCKVPFRRREMRLAPHMDNLVSIFKSMEAAAGTNVVSTQEAPVVKLADGSDCVNSGKNSKRSQKSLTRKRKVTSEMEKNTAKDATASASQPTTKPSFSTNKRIQVKPFPESETPIRAEKIMKPEEPKNNLNNDVEGKNKAVASGQPGSPSLSPFFWLREQEEQEGCTAETLSETQSLDTPLRHNAPSFSDIKDSDDEIPLNTTPNSKAAATELFDSEIFEWTQRPCSPELYSTPLKKQSKAKSKLDQIEEKGDEEDVHIGGSFDKLGNASNAAQLVNTKATKQKRKKTSPSNKNSAKLSNRAEPCIKKSDANQQGSNRRKSAALKSCQKSSSAVGRNTSGRRNKASSNSKPIHGSSDNSPESYLPKEGLDVEAPDKPLSERIQNLEKTSRRKGSARKLEMAGKTISDTTEKNSEPRSKRVRRMSDHAIAKPVEVPSGSGNETEIPQLHTLTKGSIQRKSSNARRHSKVCGEQEGKNKLENTTMTPIILHGKCQNKEAVCTAPSVRTASVKYKQAKFSEQPDCFGTENFGNLQACPARNVLLKKCEVSTLKVSCAFCQTDVITEESGEMVHYQNGKQVPAEFNGGANVVHSHKNCLEWAPDVYFEDDSAFNLTTELARSRRIKCACCGIKGAALGCFEMSCRRSFHFTCAKLIPECRWDNENFVMLCPLHRSTKLPNENSEQQKQPKRKTTLKGSSQIGSNQDCGNNWKWPSGSPQKWVLCCSSLSSSEKELVSEFAKLAGVPISATWSPNVTHVIASTDLSGACKRTLKFLMAILNGRWIVSIDWVKTCMECMEPIDEHKFEVATDVHGITDGPRLGRCRVIDRQPKLFDSMRFYLHGDYTKSYRGYLQDLVVAAGGIVLQRKPVSRDQQKLLDDSSDLLIVYSFENQDRAKSKAETKAADRRQADAQALACASGGRVVSSAWVIDSIAACNLQPL >ORUFI05G23840.1 pep chromosome:OR_W1943:5:22262575:22263105:1 gene:ORUFI05G23840 transcript:ORUFI05G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEAGAGSKLGRWLGAPVRALSRACDSYVRKMSACAGHMPTHAAGAMGRGGFAPGAMQAATFSSRSRRGGVDGGGDDDDVGALVRALSQRQAASSASAATSVPVRSRSVAVGRIDEDAPCEFGAEDARLGPVAAPPHVRRSRSVAVGAGRAGVGFGAGAGAMRMGPGVGVGVVRG >ORUFI05G23850.1 pep chromosome:OR_W1943:5:22265646:22268814:1 gene:ORUFI05G23850 transcript:ORUFI05G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAVASTAPPQPLRPRRGIAVPSSYSHRAATRPRLAVSASSTTTGTTETTSERGGAERFYFNFPGSPSPLGPFLTRRTIRTEAVKGRIWLFEQEQALGFSSVSTNTRMTVIKLKSGGLWQSPISPVSSDSASSHVAAVQLLKELDAPVEHIVLPTFAYEHKVFFGPFTRKFPRAQIWVAPRQWSWPINLPLEFFGIFRAKPLQDEDDDTPWAGEIEQKMLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVIFVPRQPPECISKESLLASAKNGLAVKILSKGKEVPDEPVVDNKLNRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDRIAADWPFRRIIPAHFAAPINANRSDFLAAFAFLDEFLPERSPASPGLSLLFASLMGKAASYFPPDDMKTLSSLDEFLVSVGAVKKTVSGRKR >ORUFI05G23850.2 pep chromosome:OR_W1943:5:22265646:22268814:1 gene:ORUFI05G23850 transcript:ORUFI05G23850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAVASTAPPQPLRPRRGIAVPSSYSHRAATRPRLAVSASSTTTGTTETTSERGGAERFYFNFPGSPSPLGPFLTRRTIRTEAVKGRIWLFEQEQALGFSSVSTNTRMTVIKLKSGGLWLLKELDAPVEHIVLPTFAYEHKVFFGPFTRKFPRAQIWVAPRQWSWPINLPLEFFGIFRAKPLQDEDDDTPWAGEIEQKMLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVIFVPRQPPECISKESLLASAKNGLAVKILSKGKEVPDEPVVDNKLNRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDRIAADWPFRRIIPAHFAAPINANRSDFLAAFAFLDEFLPERSPASPGLSLLFASLMGKAASYFPPDDMKTLSSLDEFLVSVGAVKKTVSGRKR >ORUFI05G23860.1 pep chromosome:OR_W1943:5:22270758:22271120:-1 gene:ORUFI05G23860 transcript:ORUFI05G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLAPSAPWRWSWSVARAVFLASLLVLASAQQQPRPPRAPEMSAVDVDAILARVCGGGSSRQAAPVPPLPLCHELMRHRGGVRRHHRRPAPPPGRDEEVDLRYGVAKRLVPTGPNPLHN >ORUFI05G23870.1 pep chromosome:OR_W1943:5:22274396:22279219:-1 gene:ORUFI05G23870 transcript:ORUFI05G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAADEEQEGISAQSPAQAPPSSASSLPKEQSQVELELRVLQALEFYPPSKLKGIHRHFVIYGLMEYLRKSLDRQFSADEVLQLLDRFFNLEMLKCLVTTTTTRLRLRCGCDGGCYPAPTSYYYPVPPPPPPAPTSASDHLLHAIAAHLLLGSAPPPPAPTPPQPQTQPPPPPPPPPTSAAHHAYHYQYQYQYEQPKPHQYPQPQQANPSDHSHAVLHSLLRRVAALESTLPRCFASPPVPPPLHRNPRHRPRAAAHREEEDDEEEEEDDEDAPASLPPPPRRARAPPSPARERAARTIQAHFRRFLARRSRTLRHLKELAVLRSKAAALRGSLSGRGRVGDPAAISEAAMALLFHLDSIQGGDPMIREGKRAVSRELTRILEFVDKVLVKEHGEMAMNGELDCKDYHEGCNAAFAANPSAMNKKKVGFCGNGKVQELHDEAEQEHGSDADESSETSSSAEAEARKRSNSKRGAHAKPGLAAPMPVYMEPRRIDEERR >ORUFI05G23880.1 pep chromosome:OR_W1943:5:22282395:22285327:1 gene:ORUFI05G23880 transcript:ORUFI05G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLLLLLLLAMSTGSDGAFCVCKPDQSPAAMQKAIDYACWRGADCTQIMQSGACYQPSTIVAHCSYATNSYFQKNSPIGATCDFGGVATLTNTDPSSGTCKYPATASGVGTGMGTGTSTGTGTGVGTGGTGTGVGTGTGGAGVGAGTGTGVGTGTGTGAGMGTGAGAGTGITTPGSTTGTQGGALSPPFGGAYGPSAGAMNPDYNEAAPARSQLAAATSVLLAAAPFLFHLI >ORUFI05G23890.1 pep chromosome:OR_W1943:5:22285689:22287746:-1 gene:ORUFI05G23890 transcript:ORUFI05G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTPSSVAVKVRGQEGLEGAKEGVHGEVDGGDRGVVGVTGNTTPKVVVGGGGGLGGENRVVVVGDDALEHEKGSEVGGGGGCRRGDDDEQGHDVRGTLESLRDGEEREEGEGEEGAKTGHYTVEGPKLTLRHGIVSGRMGYT >ORUFI05G23900.1 pep chromosome:OR_W1943:5:22286043:22287453:1 gene:ORUFI05G23900 transcript:ORUFI05G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLFGALQAFLPPHLHRLDQSRLVQCRSLGLINLSHNVHEGSIPCSLARLATLRVLDVPRNSLTDRIPTHLIAYQNLVVLLLTNIIAKSQREQPKFNASPSSLSCLVVPFHTHTVSSSSSSIDLWSSSTRRHLHSPMALADVISPLVGHPLVAVDCRGPYFAVARRWSPLLAGRLAPRRGARGNELVANMWGPLASSYSRGRRRAEQRRASANVGGGLSAGGPARPAAGERGSGQRAEGGERQAERRRVEQRWASAEVDLGGRRLAALFFNKHERLAAGGG >ORUFI05G23910.1 pep chromosome:OR_W1943:5:22297438:22302951:1 gene:ORUFI05G23910 transcript:ORUFI05G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMAGVDSRGGEALSLAAVCCSTVAAAACATVPLNSFSFRSNHEQLKMISNNSTNEELGGGGRKAADQPSGGGGAAAAVASSRHWSASTESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSVPTAIQLYDLQDRLGLSQPSKVVDWLINAAQAEIDKLPPLQFPPHDHDLVAAAASSMAPPPFANGGDGHHGASASSMLEDGDKAAGGGGMKAFMSLSNSLGLLNAATMPATLAAHHHHHHHAAAYYAAAESWGNGGNGGHHHDVSHGVSPSAHNSPFPSLLSLAPGSHHQFVFYSPEGGGFAVKEAAAEQFPVDSLDHSQGQLTLSSARSFLHSGSQG >ORUFI05G23920.1 pep chromosome:OR_W1943:5:22310886:22316691:1 gene:ORUFI05G23920 transcript:ORUFI05G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTRAARSRALLLLPRASAAAPHFSTTASSGAAAAAAAPVEAAAAGASDASAAAAAGAGEQPAPPPKRWGLLKFGAFAAVCGALGAAGYSSYAYTLEEVDQKTREFRKAMTTPRPVAEDASEFEKFQAMIYSTAMKAPVAAIEFYMDVRHTIEDHIRGFAEPTSDKLLPDLDPLNQHIFTLVLDLNETLVYSDWLRERGWRTFKRPGVDAFIEHMGKFYEVVVYSDQMPMYVDPVLERLDTKGFITGRLSRPATKYQDGKHYRDLSKLNRNPAQVIYISSHALESCLQPENCVQIKPWKLETDDTQLLDLIPFLEYVAMVRPSDIRTVLASYQGRDVAAEFIERSKEHQRRMQEQKQHGRIWRQ >ORUFI05G23930.1 pep chromosome:OR_W1943:5:22317787:22321066:1 gene:ORUFI05G23930 transcript:ORUFI05G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQPAGEALAANISAMSRPEMYDLMSQMKVMIDHDQERVRRMLVDNPDVTRALFRAQVVLGMVKAPKTAQSSDKAQPAAVQATPSSSVKPTVQDHSSFPQPQLPSSQQNIQPSGPFSSGPSNPASSLDLPAMSANPQQSAQAKGYPIHQMPPTSTTQTSQHQSATLPPHVSSQYSNIPSHMPIVHSQPQQPLQNPGMFNQQLQPPLPQLPRPPNMQPFVHQMHPQVPSSFGLSHTNAPQHMLQQSMFHPGGNPQTSFLTGQPPLPNQPPPLPNQPPPQLYQGSSHAASHYNSQSMQMDRSTPWGRGNAEASSAGTHFPGHLPGLPGQMTQGIGGIHSARPEAPLTPEMEKMLVQQVLSMSPDQINMLPPEQRQQVLQLRDMLRQ >ORUFI05G23940.1 pep chromosome:OR_W1943:5:22322376:22325966:1 gene:ORUFI05G23940 transcript:ORUFI05G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGQELSTDNVKGIVLALLSSGFIGASFIIKKKGLRRAAVASGIRAGVGGYSYLLEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSVREIWNMAIQPAFLLYVASVIVVVFVLVFHFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLVYPETWFFVLIVATCVLTQMNYLNKALDTFNTAIVSPIYYVMFTTLTILASVIMFKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSRSIYAPLSPSLTARLNGDLLKHVEDDRNPDEEKALRRQEMY >ORUFI05G23940.2 pep chromosome:OR_W1943:5:22322376:22325966:1 gene:ORUFI05G23940 transcript:ORUFI05G23940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGQELSTDNVKGIVLALLSSGFIGASFIIKKKGLRRAAVASGIRAGVGGYSYLLEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSVILRVVCVFGGVFAFSAVLAHFILNERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSVREIWNMAIQPAFLLYVASVIVVVFVLVFHFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLVYPETWFFVLIVATCVLTQMNYLNKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSRSIYAPLSPSLTARLNGDLLKHVEDDRNPDEEKALRRQEMY >ORUFI05G23940.3 pep chromosome:OR_W1943:5:22322376:22325966:1 gene:ORUFI05G23940 transcript:ORUFI05G23940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGQELSTDNVKGIVLALLSSGFIGASFIIKKKGLRRAAVASGIRAGVGGYSYLLEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSVREIWNMAIQPAFLLYVASVIVVVFVLVFHFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLVYPETWFFVLIVATCVLTQMNYLNKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSRSIYAPLSPSLTARLNGDLLKHVEDDRNPDEEKALRRQEMY >ORUFI05G23950.1 pep chromosome:OR_W1943:5:22326418:22328054:1 gene:ORUFI05G23950 transcript:ORUFI05G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARSTCRGGFPFHSSLLLGSPPPLSPSAPPPRSLAAAASYRPPTAPTAPPPRRSPASLSHLLLLLRRWYPPVRVLLLSRIKMGKAKRASIFIRLVSAAGTGFFYVKRKNPRRITEKLEFRKYDPRVNKHVLFTEAKMK >ORUFI05G23960.1 pep chromosome:OR_W1943:5:22328560:22330200:-1 gene:ORUFI05G23960 transcript:ORUFI05G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAMSGSMEASPAAAVVPCRRDMKRRLQEEIDAVRGLLGKAEALVAVASEDVNGSAAASASAVAKRSPRRVRSPPRRGRSNREELDRARDRRHGGRSDREVFDRGRDRRHGGRSDRDREVFDRGRDRRHGGRSDREELDRERDRRRSRSRRSHCEELDRERDRRRRRSSDREVFDRARKIPRRRPHEAESEPRKIEAAAGAPPQCQAKDGEIAPAMDASPSLCEREEGEIADDHGAAMDIDIDIPRGGAISPLVVNKAQSSPLAKNDDDDELVDISGEASPVAIENFPEATKSSISPSSDEPSLGNYSGDDDDDDGDDGESSKKPDTTCLPTEAAATATTPLVAAAASPPATQTSQLIAIAKEKQRLRRELERRAAREALEAMARAARPIRDDIAATDMMQLGLFETQYIDCKP >ORUFI05G23970.1 pep chromosome:OR_W1943:5:22330903:22333351:-1 gene:ORUFI05G23970 transcript:ORUFI05G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVASRHGAHPRRRRAGGNGVADELALPLAGGLALLLAFVTAAAVLSGGDRREEGDRRRPDLPGGAAGPRVAIFSAPLPPPDSSPARQELAVRSWLALPGNVSVVLLAAHPSAHALAGRLGGRVTVDAAIDISFTGTPFFHSIVARAQAADSDICVLVDAEIILLPETITLLKHFSRSDLDWLVFSASRNISAFPYHLVDNGTQWADEHGKQVSFKKENQSDKWAGHGSDRGLIVAWNNPSTRMVAGVMPSFLNGRGVHNWWLIHEVLSSETRLVFDASNLVLGLYPENFSEKRGTSTSRNVSNPDGSWEYDVNRHLAALYGSYCYELPRRNSPMVYKVVKQFEDYMFSKNEGPNLSNSVINKEQNVHPEGGSLCEKEISYSSAVNLPHSLEVLLELVADKNRSVVLAVAGASYRDMLMSWVCRLRRLRVTNFVVCALDQETYEFSVLQGMPVSRDTLSPNNVSFDDCHFGTQCFQQVTKVKSRIVLKILRLGYNVLLSDVDVYWFHNPVSFLHSLGPGTFAAQSDEFNQTGPINMPRRLNSGFYYARSDDATITAMEMIVKHATNSGLSEQPSFYDILCGKDGANRIGDDRCLEPSTNLTIVFLSRDMFPNGAYGGLWEKKHGVSSACRELGCVIIHNNWVNGRRKKLHRQMASGLWDYDPGSRLCLQNWSNASRFSVQTDDPVSYDS >ORUFI05G23980.1 pep chromosome:OR_W1943:5:22338265:22341593:1 gene:ORUFI05G23980 transcript:ORUFI05G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLRDHRSYLADHPAASAITTAQGEELRKQIGAAAYIECSSKTQQNIKAVFDTAIKVVLQPPRRRGETTMARKKTRRSTGCSLKNLMCGSACVV >ORUFI05G23980.2 pep chromosome:OR_W1943:5:22338265:22341737:1 gene:ORUFI05G23980 transcript:ORUFI05G23980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLRDHRSYLADHPAASAITTAQGEELRKQIGAAAYIECSSKTQQNIKAVFDTAIKVVLQPPRRRGETTMARKKTRRSTGCSLKNLMCGSACVV >ORUFI05G23990.1 pep chromosome:OR_W1943:5:22340952:22347865:-1 gene:ORUFI05G23990 transcript:ORUFI05G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRALRATSSPRTPTPTPTLPTSRRPRPPPPPPAPSTAGGRQGLGCHLRCRATLAAATNAPMGQLQQQHQEQQLRKDLYPQTEPYDFGFLKVSGVHTIYYEQSGNPQGHPVVFLHGGPGAGTSPGNRRFFDPEFFRIVLFDQRGAGRSTPHACLEENTTWDLVFGGSWGSTLALAYSESHPDKVTGIVLRGIFLLRKKELDWFYEGGAAAIFPDAWEPFRDFIPEDERNCFIAAYSKRLTSSDADVQAEAAKRWTMWEMMTAHLIQNHENIKRGEDDKFSLAFARIENHYFVNKGFLPSDSHLLDNVDKIRHIKAFIVQGRYDVCCPMMSAWDLHKAWPEAEFKMVPDAGHSANEVGVAAELVSANEKLKSMFTK >ORUFI05G24000.1 pep chromosome:OR_W1943:5:22352753:22354279:1 gene:ORUFI05G24000 transcript:ORUFI05G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPSSQHRRPLSSSASAASLAGKPRGGGLLLGRYELGRLLGHGTFAKVYQARSADSGEPVAIKVLDKEKAMRHGLVPHIKREIAILRRVRHPNIVRLFEVMATKSKIYFVMELVRGGELFGRVAKGRLKEDTARRYFQQLVSAVGFCHARGVFHRDLKPENLLVDEHGDLKVSDFGLSAVADQFHPDGLLHTFCGTPSYVAPEVLARRGYDGAKADIWSCGIILFVLMAGYLPFHDQNLMAMYRKIYRGEFRCPRWFSKDLSSLLNRILDTNPETRITVKEVMESRWFQKGFRPVRFYVEDDQVHSLADGDNDMPELEPSEPPPPPPFPPPPPQQDDDGEESGWESDSSVASCPATLSYEERRQRPLGSLTRPASLNAFDIISFSKGFDLSGLFEERGSEVRFISAEPMQTIITKLEEIAKVKSFFVRRKDWRVSIEGTREGLKGPLTIGAEIFELTPSLVVVEVKKKAGDKEEYDDFCNRELKPGMQHLVHHMGSVPNIPSDTE >ORUFI05G24010.1 pep chromosome:OR_W1943:5:22360603:22365079:1 gene:ORUFI05G24010 transcript:ORUFI05G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRCSSSWLSSVSRSSGGVGGGESKVSPEIAPVSGGEGEGEEEEGEEERWSRLLPELLTEIMRRVDAGAERWPPRRDVVACACVCRRWRDAAVSVVRPPLECGRITFPSSLKQPGPRDAPMHCFIRRNKKNSTFYLYLSLTQALTDKGKFLLAARRFRNGAHTEYIISYDCDDLFPGSNSSDFLGTKFIIYDSQPPYDGAKPSRSQSSRRFASKQINPNVSGGNYEVGQVSYKFNFLKSRGPRRMQCNIQCPVGQSTASDPLKKLISTSSPLALRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAPAGTSDPWGIADEETVILQFGKIEDDAFTMDYRQPLSAFQAFAICLTSFGTKLACE >ORUFI05G24020.1 pep chromosome:OR_W1943:5:22366780:22369259:-1 gene:ORUFI05G24020 transcript:ORUFI05G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYLPVVKLSKELDMHRVMLMQLQLKSKENLSERTPEKTPINSLKNLLIEIDISSSKNNLNHSYESGQTFTQCSGEASDTARVTSGGEARRQLAAPVMADANRRIDLAAPLVSVRRHGGGAAGEAATRTDGTRPGHPKSVRTRRATMVHATARDEEPARDAMAVVAVAAPVRERDQEARFSDALSVADSCLTVNCSSASGLSDAVARPPRGVGVGVGGGVMMDRFLPAAHAVAVLSPQCSSRKASVAAAAARNGHGADALLPPPEPTPTIRTLCIVPREKTDDADAAVDDNGGGGEWDAHSTRGVSSRRCGLLLPTRCMKSTLLLLNPAPAMRRRGGGRRRDRGAPLLSKIGRSQSLGNPLVRSAHDTGIMRSWEEVYINSLRRSGRGGRKGIGALLSPELDTTMPSVRELYLEQGDGVVHPKATHLGFLLVLDRSHDQCHDSHDDPKLLPPPRFPRPAPPKVFDGGKKQRRDAAGGGGGGYGWPLLLEDKAAASRDMVPPLPPLPSMKSPSESWLSRALPSVSSNPPATSFLGIHVQHKKQSPPPRCSSRAPAKLVADGHARPRQMRIHDLQKS >ORUFI05G24030.1 pep chromosome:OR_W1943:5:22372127:22373652:1 gene:ORUFI05G24030 transcript:ORUFI05G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVRTVKKPSKFEDSDTVTAKPARAVFEMPHRTSPRAPVRSKTTAAAPAAAGSEHHRDRVVVGAGGGAARGTVLFLTSVCMVACLQKKPAGGGAAVSRVAELEAKLGKAEGQLAEMREQLAAAEKARKDARAALVESKKRFSAAKKRVATAGAASSSAAAEQTPPQAVSDEKCGVISPAGDVPEAAEPGDAQGEETKEMADDDEVNSVTAAIVGDLEGNKGGQEVEQLRTKLMEKDMEVYELKAKLIAMDAEADDLRASLATKGMEIDELRAKLTSKDADIAAVEADNAELMKMAEEASHAVKETATKARDTEHALRESAAREAARVAERLRASERAREALEAELQRGRAQSEQWRKAAEEAAAVLAAVEHGAGAPAADVEWRRHSSGAAAGERVAKDTDEHHVSGGKRNSGGAMRMLSELWKKKAQK >ORUFI05G24040.1 pep chromosome:OR_W1943:5:22378328:22379479:-1 gene:ORUFI05G24040 transcript:ORUFI05G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKPAALEQISLVRSPSVEDNFGAGLPVVDLAADGAAGEVVRACERFGFFKVVSHGVGEGVVGRLEAEAVRFFASPQAAKDAHGPASPFGYGSKRIGRNGDMGWLEYLLLAIDGASLSRSSPAPSSSLRDAANKYVGAMRGMARTVLEMVAEGLGVAPRGALADMVVGDGAASDQILRLNHYPPCPPLLQNLMPNCSPTGFGEHTDPQLISILHSNSTSGLQVALHHDADAGDHQWVTVPPDPASFLVIVGDSLQVMTNGRMRSVRHRVVANKLKSRVSMIYFGGPPLEQRIAPLRQLLVAGVGNGEEEEQSRYEEFTWGEYKKAAYLSRLSDNRLAPFHRQPPPVANPLA >ORUFI05G24050.1 pep chromosome:OR_W1943:5:22390141:22390493:1 gene:ORUFI05G24050 transcript:ORUFI05G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPPAAACRSKSAPSKSARVVLNSPVWPDSRFPEIGVKVMNRVHDTPAMSGEGTSKS >ORUFI05G24060.1 pep chromosome:OR_W1943:5:22394062:22394301:1 gene:ORUFI05G24060 transcript:ORUFI05G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAGKKKVLCCVVVVLLLCAAAVQASAARPLLRETAVVGGGDEGSQVGGGVVAGGNQSPAAYDDEKRLSPGGPDPQHH >ORUFI05G24070.1 pep chromosome:OR_W1943:5:22401490:22402387:1 gene:ORUFI05G24070 transcript:ORUFI05G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARTTGIVLVVILLVAAELAAAPEARRIVYAAAVGYSTGACEGGVAGNGGGGAQPRFKWNTRREIGGDKRTVPGGPDPQHHH >ORUFI05G24080.1 pep chromosome:OR_W1943:5:22406521:22409623:1 gene:ORUFI05G24080 transcript:ORUFI05G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQLDSGLLVGFLFLATCLAVAIRSYLRSGGAAIPSPPALPVIGNLHQLGRGRHHRALRELARRHGPLFQLRLGSVRALVVSSAPMAEAVLRHQDHVFCGRPQQRTARGTLYGCRDVAFSPYGERWRRLRRVAVVRLLSARRVDSFRALREEEVASFVNRIRAASGGGVVNLTELIVGLTHAVVSRAAFGKKLGGVDPAKVRETIGELADLLETIAVSDMFPRLRWVDWATGLDARTKRTAAKLDEVLEMALRDHEQSRGDDDDGGGGDGEPRDLMDDLLSMANDGGGDHGHKLDRIDVKGLILDMFIAGTDTIYKSIEWTMAELIKNPAEMAKVQAEEWLSLTWSAF >ORUFI05G24090.1 pep chromosome:OR_W1943:5:22433914:22439542:1 gene:ORUFI05G24090 transcript:ORUFI05G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNTVEEEAEEGAAAAVCGELWHACAGPGVALPRRGSALVYLPQAHLAADGGGGEVPPAGAAAVPPHVACRVVGVELRADAATDEVYARLALVAEGEMLQRNFREGGGEDGAGEMEGCDAEKKPRMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLVIHLKEKLVTFNDYKTVRPSQELIAVDLHGTQWKFRHIYRGQPRRHLLTIGWSSFVNRKKLVSGDAVLFLRGDDGQLRLGVRRAVQLRNEALFEPVNSSDSKLRILSSVASSLENKSVFHICFNPRSGASEFIVPYWRLLKSLNHPFSIGMRFRVCYESEDANERSAGLISGISEVDPIRWPGSRWKCLLVRWDDSTDSSHQNRVSPWEIERVGGSVSVTHSLSSGSKRTKLHFPQGSLDTPFLNGNGHPDSMGTENFHRVLQGQEFRGSRSHGVVCSESPGVPNFQSPDNRRFSADMRGYMMPASGPPQRNTEFTYQPIGFSESLGFPEVLQGQEMSQVVPLFRGATFGARTQNDRVVSANSVHRSAAQSGLLASTLGHPISQFTLSSSKVSSPSSVLMFNQATAPNHETVSGTNNKGMHVSQFASQEMLSETVTWPGTQRQTPSEITSNQFALARIPAPPSGAESGLPKRDAGRSSCRLFGFSLTGNMLGEDGEGLDDGAIEAGCENPPVLELFGHSHSTPGALHALCAAAPLGM >ORUFI05G24100.1 pep chromosome:OR_W1943:5:22442171:22444329:1 gene:ORUFI05G24100 transcript:ORUFI05G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTESKCDGGQEVSLLDAQLELYWNTFAVIKSMALKSALDLRIADAVHLHGGAATLAEIASEVALHPEVALHPSKIPCLRRLMRALTVSGVFAAAVKPGDGGGGEPVYELTPSSRLLVGSSNLSGIMSMILHPTLVVPFLGVGEWLRRDREPPEEDPYCIFKQAHGRSLWELAGRDAAFDALINDGMVSDSRVIMDYVVREHGEVFRGIASLVDLAGGLGAAAQVISKAFPEVRCSVMDLGHVVAKAPAGTDVEYIAGDMFESVPPADAVFLKWVLHDWGDDDCIKILKNCKKAIPPRDKGGKVIIMDIVVGAGPSDQKHREVQALFDMYIMFVNGIERDEQEWKKVFMGAGFSGYKIMPVLGFRSMIEVYP >ORUFI05G24110.1 pep chromosome:OR_W1943:5:22447637:22448815:-1 gene:ORUFI05G24110 transcript:ORUFI05G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLELSKNKCNGGQEVSLLDAQLELYSNTFAVIKSMALKSALDLGIADAVHRHGGAATLAEIASEWVLHDWGDNDCIKILKNCKKSITPRDKGGKVIIMDIVVGAGPSDQKHREVQALFDMYIMLVNGIERDEQEWKKVFVEAGFSGYKIMPILGFRSMIEVYP >ORUFI05G24120.1 pep chromosome:OR_W1943:5:22453058:22456687:1 gene:ORUFI05G24120 transcript:ORUFI05G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPAAGGDGGRGSSGGKGSSRSSSRHQQFRNLAKTRVDDLQEMFSGLQSARKESRSADAALLEEQVHHMLREWRAELNVPSPASSLQAIPPNPSFPPSSFTCANSQSQGNNREASDPPSETLRLLQLAGAEEEDDATSKLVMPRSPMPMQSSHEGHNLSPVLQGGTMAGGAAELMVPRSPLQQMPSSHQSHGHGQDGGQNLQGEAVMGSTAATAAPHLVQGMQGDCGGMAGVTNAMFHDQLYYIDHELNIDDFLQDDDYKINLPGSNPDGPNTMQGIGQLEHQQYNLPLDLPPNSFVDANNSAQSSGDVFFHMSDLLTTMCPSPSQYLGPKCALWDCGRPVRGSDECQHYCNPYHAGLALNDDGLLGTRPVMRPRGIDLKDGPLFAALSAKVQGKNVGIPVCEGAATTKSPWNAPELFDLSLLEGESLREWLFFDTPRRAFDSGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKRSYYMDPQPSSNYEWHLFEYETNDSDALALYRLEYKSSDTKRSVKSKLASSPLSEIQQQMVRLSADSPVESKRTARSRAKANQKDNNSNAYPALNTPVQVSASNAHQTMSVNTPDQVNVSNAYQTMPLNTPNQPGPSNAYHAASQMDQMTFLDGSVVYGPHLPYGYSTERSDFYWNPSDGT >ORUFI05G24130.1 pep chromosome:OR_W1943:5:22457441:22459074:-1 gene:ORUFI05G24130 transcript:ORUFI05G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGKGESSGGGGGGGGEMGFRFKPREAEAVEYYLLPRLQGRPPVPNPAIVVENVYEFEPERLINEKCNGGVGGEGEEGWYFLSPRDRKYRNGKRPSRSTEDKAGRWKASTGKTEGKDPITECYGWVKFCVTSLVYFKGPVKTEKKTKWLMREFTIPHFENKLDKTAAAGGSSNQRQLDQYVLCRIYTSPKKGADDGEQAEVVRGGGGGGEDIDEWAEACAVFDLGPETAEGSDNADAAAAEGDMRSAKQAGKRPVAAAAVAEQPSKRPWLPPSPSTPCDGGPSQAMGNRQVPMQGLSLMHNFPPPPTTFCGHAPFQQGFPVHNNRAQMRWPTMQHNCMPSPAHSFQPRPVQRRPVLVGQAPPQRRPVHQVGGHAPMHMHQAQWTPVHIAQAPMQQLPFDDWVFDPFDDPPPPMQQLPVMMNNYQPQAPMQLPPMMNNDQPAMVHGGELQAPMQLLPATTHGGEVQAPMPLNVYEEEQRPSQEDGGQCTNAEG >ORUFI05G24140.1 pep chromosome:OR_W1943:5:22459364:22464002:1 gene:ORUFI05G24140 transcript:ORUFI05G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKFKGKPTGERSFSSEEQIAAGTSAGRPKNFKKKQSEKEVYDRRQESDEEYDRSQESDEEESDNFQKNKHKGTEGLIEIENPNLVKPKNIKAKDIDIGRTSDLSRREREELEKQKSHERHMKLQEQGKTEQARKDLERLTLIRQQRAEAAKKREEEKAAKDERKAEARK >ORUFI05G24150.1 pep chromosome:OR_W1943:5:22466746:22467105:-1 gene:ORUFI05G24150 transcript:ORUFI05G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEPSTPMTQSSYFAGCMGSPAWLPAVQRSPARFHLLSRDAAAGRDDGGRRAWSRLLRRLVRESRSFCSLGSRHGGAMAAATTTFHYDAASYAKNFDDGRRAHYAASAQAPPPVAGAS >ORUFI05G24160.1 pep chromosome:OR_W1943:5:22470947:22473729:1 gene:ORUFI05G24160 transcript:ORUFI05G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAMAAAAAKLPRLTTSAALFPTPRPFGSPRPAKARPMPPPPPITISMDPAAVDPAHLQALMLACAHSCAIRPSPPSAAEDPVDLRKLRVALAHSFLVVSVFCSARFLDDGDGGVDGDGRRRLLGLGLGLGRREDRRLVGFGRAVSDVGLTASVHDVVVHPSLQRRGIGRQIVERMTRPFFEACGFGDDAMGSTTMMYTGKMHR >ORUFI05G24170.1 pep chromosome:OR_W1943:5:22475742:22481636:1 gene:ORUFI05G24170 transcript:ORUFI05G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIVAAIVALLVLGAVAAGLLCFCSRRRRRRRRRQPTSPGDFLGPLPVTSRHHQQSQFIKPTVTYPPQLNAHSPLQSSSNSDPPSPLLQPSPPPPAASGGTVSYGDLVAATNGFSEGNLLGEGGFGHVYRGELLLHDGRRQPVAIKKLRPGSRQGEREFRAEVDIISRVHHRNLVSLVGYCIHADHRLLVYEFVPNKTLDFHLHGSSRPTLDWPQRWMIAVGSAKGLAYLHEDCRPKIIHRDIKAANILLDYKFEPKVADFGLAKIQPGDDTHVSTRVMGTFGYLAPEYATTGKVNDRSDVFSFGVVLLELITGKRPVISTEPFNDETLVSWARPLLTKALEQHVYDDLIDPKLDALYDAHDMHRLISCAAAAVRHTARSRPRMTQIVRYLEGELSIDDLNAGVAPGQSSLRSQEHSGDTTELVRRRLRRVAFPPGSGVTVTGTGAVTDSGYLSEATSEYGVNPSISSSSGGDDDDTAGEVVGGVTATSRPHAAAAASSPDTSEVASPHAGELAADAAKPMSRRTRLGRFP >ORUFI05G24180.1 pep chromosome:OR_W1943:5:22486717:22489812:1 gene:ORUFI05G24180 transcript:ORUFI05G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKDGTNVEEAFQCIVKNALKNEPEEELYVPDTVDVVGGNRAQRSSGCC >ORUFI05G24190.1 pep chromosome:OR_W1943:5:22490475:22490930:1 gene:ORUFI05G24190 transcript:ORUFI05G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRTGARRAAAAVVVLSHASGGGGGRGPRGGGEREEEPTDASSSWLVDEDMATLRRRIREAREAEEEEGYGGGGGGGLPAEWTELERRHHGSYVAGVRGAVGLLQALLVSARPGLGAGLLALLLLGVPASVLLVSAQLLAVASAVLSGT >ORUFI05G24200.1 pep chromosome:OR_W1943:5:22491678:22493411:-1 gene:ORUFI05G24200 transcript:ORUFI05G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSLQVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDNVGRWLRELRDHTDPSIVCMLIGNKSDLRHLVAVSTEDGKEFAEAESMYFMETSALDATNVDNAFAEVLTQIYQIVNKKPVEAPEDGSAGPGKGEKINVKDDVSAMKRVGCCSN >ORUFI05G24210.1 pep chromosome:OR_W1943:5:22495104:22501644:1 gene:ORUFI05G24210 transcript:ORUFI05G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKDALQKTKESFFIPKNCLGRSGKMFSPGFVSLSESLPSWPIVSAGISVTASLVLSLFLIFEHLCAYHQPEEQKFLIGLILMVPVYAVQSFFSLLNSNVAFICELMRDCYEAFAMYCFERYLIACLGGEESTIRFMEGRFQFSESSPLLDVDYDYGIVKHPFPLNWFMRNWYLGPDFYHAVKVGIVQYMILKPICAILAIFMQLIGIYGEGKFAWRYGYPYLAIVLNFSQTWALYCLIQFYTATKEKLEPIKPLSKFLTFKSIVFLTWWQGIAVAFLFSTGLFKGHLAQRFQTRIQDYIICLEMGVAAVVHLKVFPAKPYRRGERSVSNVAVMSDYASLGAPDPEEEREIDNVAIMQAARPDSRDRRLSFPQSVRDVVLGSGEIMVDDVKYTVSHVVEPVERSFSKINRTLHQISENVKQLEKQKRKAKDDSDVPLEPFSEEFAEAHDNVFGGSVSDSGLARKKYKNTKRAPSSLKPFEFRLGRWFQ >ORUFI05G24220.1 pep chromosome:OR_W1943:5:22502280:22503230:-1 gene:ORUFI05G24220 transcript:ORUFI05G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGIRRQPQQQAAAAAERGGGGKGKAAAFSFSPLSWIAKLTARSSHGKCGGAKHAPAASMAGPSCRLPKRAAAAAASSSSVVAAVDDVAAGRSSPPRRSPVDVAPRRLSVGNDSAEAVARRLCQQQRRRRRHCSLGGDRDLPPLGHLIPFSLAGSPASQPPENAAAAAAGGATPSDTDAGAKLRTRRHRRRAHRRRRSSLGGSGRRSFSVSGRMPAVRIRPPRAAASAPELERLAVVRRTRDPQRAFRESMVEMIASSGGSIAARPEELERLLACYLALNADEHHDCIVKVFRQVWFEYINLHLHLSRRRRARHC >ORUFI05G24230.1 pep chromosome:OR_W1943:5:22507825:22513061:1 gene:ORUFI05G24230 transcript:ORUFI05G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLAAGNFEALGPAGGGRRRSFGAKRMTRVMTVPGTLSELDDEDDEPAATSSVASDVPSSAACERLIVVANQLPVVARRRPGAAAGGWAFSWDDDSLLLRLRDGVPDEMEVLFIGTLRADVPACEQDEVSQSLIDGFGCAPVFLPAGLYDRFYQHFCKGYLWPLFHYMLPFASALPAAASGDGRFDRGAWEAYVLANKYFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRSLPVREEILRTLLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGIHMGQLQSVLRLSEKEKKVAELRQQFEGKSVLLGVDDMDIFKGINLKLLAFENMLRTHPKWKGRAVLVQIANPARGKGKDLEAVQAEIRESCDRINKEFGQSGYSPVIFIDQSVPSAVRLAYYTVAECVVVTAVRDGMNLTPYEYIVCREGIPGSECAPEVSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEALNEAISMSEREKQLRHEKHYRYVSTHDVAYWSKSFVQDLERACKDHFRKPCWGIGLGFGFRVVALDPHFTKLNFDSIIMSYERSKSRAIFLDYDGTLVPQASLNKNPSEELLRIINTLCADRNNTVFIVSGRSKDDLSKKLISCPKLGIAAEHGYFLRWTRDEEWQTTAQTSDFGWMQMAKPVMDLYTESTDGSTIETKETALVWHHQDADQGFGSSQAKEMLDHLESVLANEPVSVKSGQFIVEVKPQGVTKGLIAEKVLTSMKEKGQLADFVLCIGDDRSDEDMFENIADVMKRSIVAPKTPLFACTVGQKPSKARFYLDDTFEVVTMLSSLADASEPDLMADLEDDLATSVSSIEISDRVVSFSNLRTEGS >ORUFI05G24240.1 pep chromosome:OR_W1943:5:22513357:22515333:-1 gene:ORUFI05G24240 transcript:ORUFI05G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGRHSLTGAVRGGHGSEVWGGGGSGAPKAGSGHPSLGSGAAVGGCGSAGPARARRRRTTAARWRLSVAHPGAEAADAWGGSGVAGPCAEAAGAWGNGGVVSPCADAARQRPLNAAATPPLTRSPRPAGGSPRRSDRGSPVREQPLGSVGRLPRQPGLGGGLASVAEQPGCQRGGQVKYGGRTMGAPVRSGSATGGQMAVQRELAAVCRLSCYQSAPSSFLELIFFFAGSWIEAAARQRGKLRLPKQCHLVPDSPSAKTSEAAGGWWNGGVLRQLSGTVVQWSRPTEGHRCGPKRKPSLVIHWTGGGYAFGRRNLIGALSRPSGVRLHLAGVKWDPSRSWGTVGPTKTNKIYIFKLAQCNKKIFTWQREPLTCRVPWTRGYPKHSGHAGTRLGCLDSRGPRQGHEHTLSTGLSWETRTRCVANSTKVVFDCVGWNLGTLP >ORUFI05G24250.1 pep chromosome:OR_W1943:5:22517102:22518956:1 gene:ORUFI05G24250 transcript:ORUFI05G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFHRLSLAFLLLVVVVAGDLMISTATSMAVGTSDDDGPPIKISMRYANAEESRWLDSWAEKTQSAGGGGGDDFEVRRATDEESARLNRMRADADRRARDGSGFGFDGHIDFGRVVVTDFPPSSKPNDDL >ORUFI05G24260.1 pep chromosome:OR_W1943:5:22519882:22523936:-1 gene:ORUFI05G24260 transcript:ORUFI05G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPPHRLLLLLAVAAVLHRSAAAAEGVIRLPRGRACAAPTDPAAYDRPVIGIVSHPGDGAGGRVSNGTAASYIAASYVKFVESAGARVVPLIYNEPEERLLEKLSLVNGVLFTGGSVKSGPYFETIKKVFQYVLDKNDAGIPFPLFAQCLGFELVSMIVSKDNNILESFSATNQASTLQFPNYSSLEGSVFERFDPDLIKKLSTSCLVMQNHKYGISPKTLRENVALSSFFKILTTSPDENGEVYVSTVQANKYPITCTQWHPEKAIFEFGKQMIPHSEEAVQVTQNFANYFISQARKSQNRPPADKVLDNLIYNYSPTFIGKKSKSFDVVYIFS >ORUFI05G24270.1 pep chromosome:OR_W1943:5:22525240:22526352:-1 gene:ORUFI05G24270 transcript:ORUFI05G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSETQEELVLRSWQSMKKDSESIALKFFLRIFEIAPAAKQMFSFLRDSGDDVPLESHPKVKACESATQLRKTGDVKVREATLRRLGATHVVKTALLDTIKDAVPEMWSPEMKGAWEEAYDQLAAAIKEEMKKAA >ORUFI05G24280.1 pep chromosome:OR_W1943:5:22528274:22528765:1 gene:ORUFI05G24280 transcript:ORUFI05G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGSPFMAFHALSNELLLLLAEGGSRSRRRILLHDHGRRRGGGHARRRCIAAAAAAHLVLLSDKEGSREQPIDVSKGQDSGHCDSASVALYPAERGLITSSPHQVAKGQDFAGLTSGSMDGSRSKGYGCRYRQRAENICKYRQATPTAEACNRAFSLKLSE >ORUFI05G24290.1 pep chromosome:OR_W1943:5:22529412:22531939:1 gene:ORUFI05G24290 transcript:ORUFI05G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFILTVVGVGTAVLLLRSDVKQSASIFRRNVRHIRKWLEEESAAAAKSAERAAPKELESQAAKKDVTPKDDKH >ORUFI05G24300.1 pep chromosome:OR_W1943:5:22532256:22533772:1 gene:ORUFI05G24300 transcript:ORUFI05G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDQSPKEVYSVWALPPEPVRARLRGVMAGLRAAHGGPAFEPHATVVGAIRLRRSAAVEALRAAAAGVRPYTARVVGVARGDFFYQCIYLLLEPTPEVVEASDHCCGHFGYERSTPYMPHVSLLYGDLTDEEKEVARKKVEEIDKEICGLQFEISELALYRTDTEDKSLESWELVEICHLERK >ORUFI05G24310.1 pep chromosome:OR_W1943:5:22533639:22535557:-1 gene:ORUFI05G24310 transcript:ORUFI05G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLYLSQIIPDVLDPFISTISLRVTYNSRLLLAGAALKPSAVVSKPQVDVGGNDMRVSYTLVLVDPDAPSPSDPSLREYLHWMVTDIPETTSISFVENFINPKCPNDPAGEELILYEKPEPRSGIHRMVFVLFRQLGRRTVFAPEKRHNFNCRIFARQHHLNIVAATYFNCQREAGWGGRKFAPEGP >ORUFI05G24320.1 pep chromosome:OR_W1943:5:22543711:22548257:1 gene:ORUFI05G24320 transcript:ORUFI05G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVPFSIRGYAARARAGAADEGGRCWPFAGKAGALPPMEVRGFRWWEDEAAALAEEEGEEERRLAAKRRKRSIVELFAAVPKVVAAADEGLGRGKRVRRKLDKGDPPAVGVEAAKKKGFRKQKVLVEIGVRKKLFQNAIQKQKKKSLSKKKGVPLEKKSMKGNKTTTLSSQKTTKSSCHVQSILKKHLKTGVGTLLKNTDVMSPSKSLLKPKRVTFSDDNDILGRTDSQLGDGTEKSQLLQTSQQHYKDGKSQGGDNHCSTYEPQFSYQRAGAIVDSVEEDTSSTVLLTKSKEKTILANPVDLNHCLEISSSGSCLNSINSAVLSGQVLPQNFAGVGSIPNEGSNVHVGFQAEENHHKYHGSSVGASLAVKARSSDLIRRQLPEPSSSCFVASLRVNDGNRSKMLQERLTALHPRLIRSKDMVNSISSSAGSNKSTDAQTPNCVSACRNMHSEDYQGLPLNSHGEFVKLHPSGTIDPNGMFKRQFPVGDYVRPSAFPAFITPETCVDYAHLKSSYQGPQFCAVDTFDFQSEPYHSPTASAAYGMGFRQSPSSERMEVHGYAVPSNNDPYSNQQELSVGCFCPAFTGQGNQTHKPLEMQNCFPSQHYEQNSQPAPETTVRLMGKNFTLGTSSNQFRGLDNKNPCPSKQSRDEDHGTSAKAFSQLFHGTRVEPPSTLRNSNGGVEHPSRFSSVPEAELRCGLDSYSFRTSDRYQQPHLAVQNKLYVNPVSRHNESEPWQQQLHVENHILGASEPQLLGTMHLRQSKTAATVPSYSPKHNFSNLVEIRPARSQFAYFPRQNVTQRTPISSFLSGYAVQSSPGLTTPTKFTSLRPLPPSVTSSHVYSSEDAQPHGSVPPFYPSIALSDQASKNCDPGDLKDNRSMQQTPITSNHDSSEQLNRGFKRPAVEDDVFLKPRKSFIAVGKDLNLLPLQEERLGLCGSRPDAQLPVCLSKDSEVDVQLLNNDTQIAWSDSVNRVRTILPVKLRSGAKHILEPGASPTATLGQEESWPLHSIKTFVVEDDAHAVGTSKKRDEEICRV >ORUFI05G24330.1 pep chromosome:OR_W1943:5:22549225:22551105:-1 gene:ORUFI05G24330 transcript:ORUFI05G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAWRRWPVVVAAAVVLAVAAPVQAAPQVPCYFVFGDSLVDNGNNNDIVSLARANYPPYGIDFAGGAATGRFSNGLTTVDVICKLSYFLPKLLGFEDFIPPFAGASSDQLLTGVNFASAAAGIREETGQQLGARISFSGQVQNYQSAVQQLVSILGDEDTAAAHLSQCIFTVGMGSNDYLNNYFMPAFYNTGSQYTPEQYADDLAARYAQLLRAMYSNGARKVALVGVGQVGCSPNELAQQSANGVTCVERINSAIRIFNQKLVGLVDQFNTLPGAHFTYINIYGIFDDILGAPGSHGLKVTNQGCCGVGRNNGQVTCLPFQTPCANRHEYAFWDAFHPTEAANVLVGQRTYSARLQSDVHPVDLRTLASL >ORUFI05G24340.1 pep chromosome:OR_W1943:5:22580470:22588892:1 gene:ORUFI05G24340 transcript:ORUFI05G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPAPSASSSSSFSCGGGGGGAGAASSYSSSSSSSPDDRMLRGECGRRHPFASSAAVGAGSPDAMDTDSAEPSSAATSVADFGARSPFSPGAASPANMDDAGGASAAGHAARPPLAGPRSGFRRLGLRGMKQRLLVVANRLPVSANRRGEDQWSLEISAGGLVSALLGVKDVDAKWIGWAGVNVPDEVGQRALTRALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRNFESQFNAYKRANQMFADVVYQHYKEGDVIWCHDYHLMFLPKCLKDHDINMKVGWFLHTPFPSSEIYRTLPSRSELLRSVLCADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFKRALELPAVKRHITELTQRFDGRKVMLGVDRLDMIKGIPQKILAFEKFLEENHEWNDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLFAGAAQSLGAGAILVNPWNITEVADSIKHALTMSSDEREKRHRHNYAHVTTHTAQDWAETFVCELNETVAEAQLRTRQVPPDLPSQAAIQQYLHSKNRLLILGFNSTLTEPVESSGRRGGDQIKEMELKLHPELKGPLRALCEDEHTTVIVLSGSDRSVLDENFGEFNMWLAAEHGMFLRPTNGEWMTTMPEHLNMDWVDSVKNVFEYFTERTPRSHFEHRETSFVWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMITPIDYVLCIGHFLGKDEDIYVFFDPEYPSESKVKPDSSGSVSLDRRPNGRPSNGRSNSRNSQSRTPKAQAAPERSSSSSSSSQGTPNSHHDWREGSSVLDLKGENYFSCAVGRKRSNARYLLNSSEEVVSFLKEMADATAAHNGFQSTTADYMFLDRQ >ORUFI05G24350.1 pep chromosome:OR_W1943:5:22594198:22594704:1 gene:ORUFI05G24350 transcript:ORUFI05G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQEADVLWPESETTPPPPSRGAHLPVLVLQLQGAAAPVLPSRGSSTSTATPFGRRRRSDVASTAAWLDGDDDDDVQAEEFQEADVLWPDDDDAGGEDTARDHQLDDEVGEFWWLSGEAGSRRMDTEAAAAAAAAGERLLSSPIDIPTRDRDPTTVLVHLHRRRRR >ORUFI05G24360.1 pep chromosome:OR_W1943:5:22613178:22613570:1 gene:ORUFI05G24360 transcript:ORUFI05G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQEADVLWPDHHQHLRRDDDARRRRHQEQQHGGVDDADDSRGGTGTPSAPVGIPVTRAPTTRRISHGSTAPAAAFVPPHELVAARARRCSEERAAFSVCVGNGRTLKGRDLRDVRTAVLRMTGFLET >ORUFI05G24370.1 pep chromosome:OR_W1943:5:22625035:22625751:1 gene:ORUFI05G24370 transcript:ORUFI05G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPNSPSSSTSSSSPSSAPSSSSQPALPPSPRTVVPRTIDTTPFPTTFVQADTASFKQVVQMLTGSDTTPPSQRPPAKSNHHQHHHSGAPCRPKKQAFKLYERRSGVHKNFKMIAPLAMAAAAAAGASSSPRKAAQHQQQEALSPSVLDFPSLALSPVTPLVADPFNRSPASASSSASPEEEAAAIAQKGFFLHPSPRSAEPPRLLPLFPVTSPRVASSSSSSAAAAVAVASPSFE >ORUFI05G24380.1 pep chromosome:OR_W1943:5:22636442:22642646:1 gene:ORUFI05G24380 transcript:ORUFI05G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDYSWVRRTKFSHSIVKSNSGREQFGAFLDPFDSSTAWRQKGFSGELMIHGHNLQPRAKGAVSKSPRPSIPLAKSPVSQAERKLKAKFSDGELKQRGKISDGSLREASKEKDRKVGHKGEGLSLDISCPILDRTVQDDSSDTLDFSFHSEEQSLRLLRVCSSPAPFYSKDTTTFGDSKIHSTSVKIVGEGSKPRRRAKSPMPTRVISEVFKEAKAATKRFSSPQRQRKSSSPHSPRSPDDSPRFGFPSMRTPGNLKARRASSWPRNYDNGVAKAVALDILERWTIDRSQLLIGQRFASGAYSRLFHGIYKEQPVAVKFIRQPDEEEDAELAAKLEKQFTAEVTILARLHHRNVIKLIGACNAPPVFCVITEFLCGGSLRAFLRKLQRQKLPLEKIICIALDIAHGLEYIHSQRVIHRDVKPENILFDGECCAKVVDFGVACEEVYCNSLEDDPGTYRWMAPEMYKRKPYGRKVDVYSFGLVLWELFSGSIPYEEMTPLQAAFAVVNKNLRPVVPSSCPAQLRLLIEQCWSCQPEKRPEFSQVVQILKNLKEALDRDGTLDKIPSTNCQEPPDQNKKRLSNWIQRLSYSQADFSGPPPPKLL >ORUFI05G24380.2 pep chromosome:OR_W1943:5:22636583:22642646:1 gene:ORUFI05G24380 transcript:ORUFI05G24380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDYSWVRRTKFSHSIVKSNSGREQFGAFLDPFDSSTAWRQKGFSGELMIHGHNLQPRAKGAVSKSPRPSIPLAKSPVSQAERKLKAKFSDGELKQRGKISDGSLREASKEKDRKVGHKGEGLSLDISCPILDRTVQDDSSDTLDFSFHSEEQSLRLLRVCSSPAPFYSKDTTTFGDSKIHSTSVKIVGEGSKPRRRAKSPMPTRVISEVFKEAKAATKRFSSPQRQRKSSSPHSPRSPDDSPRFGFPSMRTPGNLKARRASSWPRNYDNGVAKAVALDILERWTIDRSQLLIGQRFASGAYSRLFHGIYKEQPVAVKFIRQPDEEEDAELAAKLEKQFTAEVTILARLHHRNVIKLIGACNAPPVFCVITEFLCGGSLRAFLRKLQRQKLPLEKIICIALDIAHGLEYIHSQRVIHRDVKPENILFDGECCAKVVDFGVACEEVYCNSLEDDPGTYRWMAPEMYKRKPYGRKVDVYSFGLVLWELFSGSIPYEEMTPLQAAFAVVNKNLRPVVPSSCPAQLRLLIEQCWSCQPEKRPEFSQVVQILKNLKEALDRDGTLDKIPSTNCQEPPDQNKKRLSNWIQRLSYSQADFSGPPPPKLL >ORUFI05G24380.3 pep chromosome:OR_W1943:5:22636583:22642646:1 gene:ORUFI05G24380 transcript:ORUFI05G24380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDYSWVRRTKFSHSIVKSNSGREQFGAFLDPFDSSTAWRQKGFSGELMIHGHNLQPRAKGAVSKSPRPSIPLAKSPVSQAERKLKAKFSDGELKQRGKISDGSLREASKEKDRKVGHKGEGLSLDISCPILDRTVQDDSSDTLDFSFHSEEQSLRLLRVCSSPAPFYSKDTTTFGDSKIHSTSVKIVGEGSKPRRRAKSPMPTRVISEVFKEAKAATKRFSSPQRQRKSSSPHSPRSPDDSPRFGFPSMRTPGNLKARRASSWPRNYDNGVAKAVALDILERWTIDRSQLLIGQRFASGAYSRLFHGIYKEQPVAVKFIRQPDEEEDAELAAKLEKQFTAEVTILARLHHRNVIKLIGACNAPPVFCVITEFLCGGSLRAFLRKLQRQKLPLEKIICIALDIAHGLEYIHSQRVIHRDVKPENILFDGECCAKVVDFGVACEEVYCNSLEDDPGTYRWMAPEMYKRKPYGRKVDVYSFGLVLWELFSGSIPYEEMTPLQAAFAVVNKNLRPVVPSSCPAQLRLLIEQCWSCQPEKRPEFSQVVQILKNLKEALDRDGTLDKIPSTNCQEPPDQNKKRLSNWIQRLSYSQADFSGPPPPKLL >ORUFI05G24380.4 pep chromosome:OR_W1943:5:22636487:22642646:1 gene:ORUFI05G24380 transcript:ORUFI05G24380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDYSWVRRTKFSHSIVKSNSGREQFGAFLDPFDSSTAWRQKGFSGELMIHGHNLQPRAKGAVSKSPRPSIPLAKSPVSQAERKLKAKFSDGELKQRGKISDGSLREASKEKDRKVGHKGEGLSLDISCPILDRTVQDDSSDTLDFSFHSEEQSLRLLRVCSSPAPFYSKDTTTFGDSKIHSTSVKIVGEGSKPRRRAKSPMPTRVISEVFKEAKAATKRFSSPQRQRKSSSPHSPRSPDDSPRFGFPSMRTPGNLKARRASSWPRNYDNGVAKAVALDILERWTIDRSQLLIGQRFASGAYSRLFHGIYKEQPVAVKFIRQPDEEEDAELAAKLEKQFTAEVTILARLHHRNVIKLIGACNAPPVFCVITEFLCGGSLRAFLRKLQRQKLPLEKIICIALDIAHGLEYIHSQRVIHRDVKPENILFDGECCAKVVDFGVACEEVYCNSLEDDPGTYRWMAPEMYKRKPYGRKVDVYSFGLVLWELFSGSIPYEEMTPLQAAFAVVNKNLRPVVPSSCPAQLRLLIEQCWSCQPEKRPEFSQVVQILKNLKEALDRDGTLDKIPSTNCQEPPDQNKKRLSNWIQRLSYSQADFSGPPPPKLL >ORUFI05G24380.5 pep chromosome:OR_W1943:5:22637068:22642646:1 gene:ORUFI05G24380 transcript:ORUFI05G24380.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDYSWVRRTKFSHSIVKSNSGREQFGAFLDPFDSSTAWRQKGFSGELMIHGHNLQPRAKGAVSKSPRPSIPLAKSPVSQAERKLKAKFSDGELKQRGKISDGSLREASKEKDRKVGHKGEGLSLDISCPILDRTVQDDSSDTLDFSFHSEEQSLRLLRVCSSPAPFYSKDTTTFGDSKIHSTSVKIVGEGSKPRRRAKSPMPTRVISEVFKEAKAATKRFSSPQRQRKSSSPHSPRSPDDSPRFGFPSMRTPGNLKARRASSWPRNYDNGVAKAVALDILERWTIDRSQLLIGQRFASGAYSRLFHGIYKEQPVAVKFIRQPDEEEDAELAAKLEKQFTAEVTILARLHHRNVIKLIGACNAPPVFCVITEFLCGGSLRAFLRKLQRQKLPLEKIICIALDIAHGLEYIHSQRVIHRDVKPENILFDGECCAKVVDFGVACEEVYCNSLEDDPGTYRWMAPEMYKRKPYGRKVDVYSFGLVLWELFSGSIPYEEMTPLQAAFAVVNKNLRPVVPSSCPAQLRLLIEQCWSCQPEKRPEFSQVVQILKNLKEALDRDGTLDKIPSTNCQEPPDQNKKRLSNWIQRLSYSQADFSGPPPPKLL >ORUFI05G24390.1 pep chromosome:OR_W1943:5:22641292:22643030:-1 gene:ORUFI05G24390 transcript:ORUFI05G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEAVVAAGNEMSLSNMVLGFYEEAELQSSPPGDCAAAAGDDDDGSDDEGSGGAAKCRAFWKEQQSQLYEALAKMSSAESRIQADAEEAMRQMRAAAGGACSCASRGAAAAAAGGGGCRSCTLRFLAERLRDAGYNSAICRSKWPRSPEIPSGEHSYVDVVAPTRSGKAVRVVVEPSFRGEFEMARGGAGYRALVASLPEAFVGRADRLRGVVRVMCAAAKQCARESGMHMAPWRKQRYMEAKWLATPERVAPPGNAGGAGDAVAVGSPSSPLSPGMTNRQMQPKFRASMLTLDFGGRTAVEVV >ORUFI05G24400.1 pep chromosome:OR_W1943:5:22646795:22653119:-1 gene:ORUFI05G24400 transcript:ORUFI05G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNYHGYGGGGGGGMSMVVASTPGQELALTNCAYVSSADIRRFPNALALVGDAFVFTLRYPFADLVTKSRRQTKVSAGDSITVRSFAPPDDFKLALLTLELEYTKARANRNEECNLICMSLVSQLDAVVLAQQLRRRFLDQVMTSGQRVPFEFCGTNYIFTVNQALLDGQENSTPLDRGFLSSDTYIIFEAAPNSGIKVVNQKEAASSKLFKHKEFNLEKLGIGGLSAEFTDIFRRAFASRVFPPHVVNKLGIKHVKGILLYGPPGTGKTLMARQIGKLLNGNEPKIVNGPEVLSKFVGETEKNVRDLFADAENDQKTRGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVHIEINLPDENGRLQILQIHTNKMKESSFLSPDVNLQELAARTKNYSGAELEGVVKSAVSYALNRQISMDDLTKPLDEESIKVTMDDFVNALHEITPAFGASTDDLERCRLRGMVDCGKAHRHLYERGMLLVEQVKVSKGSPLVTCLLEGPAGSGKSALAATVGIDSDFAYVKIISAETMIGFSESSKCAQICKNYIEAVCFVIAIQVFEDAYKSQFGIIILDDIERLLEYVAIGPRFSNIISQTLLVLLKRVPPKGKNLLVIGTTSEVGFLESIGMCDVFSVTYHVPKLKKEDATKVLRHLNVFDEADIDAAAEALDDMPIKKLYTLVEMAAQGPSGGSAEAVYGGEEKIDINHFFSILSDIIRY >ORUFI05G24410.1 pep chromosome:OR_W1943:5:22654008:22658184:-1 gene:ORUFI05G24410 transcript:ORUFI05G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRFHNLLGAPYRGGDAVFAGDSSVLLSAVGNRVASTDLSASSSLTLPFESSSNVTRLAVSPSGDFLLSVDDAGRALYANLGRRAVLHRIAFKSAPSAVRFSPDGNLIAVAVGKLVQIWRSPAFRKEFFPFHLLRTFPGFAAGVTAFDWSPDSSFLLASCKDLTARLLPVKKGLGGKPFLFVGHRAAVVGAFFATDKKTGRVTGAYTISKDGAIFTWSLVEGSDDSPPPSPGTPEQEAVQDGEAELDGDEPELDGGSRKRKSFRESEEPDTTPLHFARWELQKKDFFMQSPAKLTACDYHRELDMVVVGFSNGVFGLYQMPDFVCLHLLSISREKITTAIFNSLGNWLVFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCIAYSPDSQLLATGADDNKVKIFVWSMKTGRLLDILSGHQGPVHGLMFSPINAILASSSWDKTVRLWDVFESKGAVETFQHSHDVLTLAYRPDGRQIACSTLDGLIHFWDPFDGLLMYTIEGRRDIAGGRLMTDRRSAANTSIGKYFTTLCYSADGTYILAGGNSKYICMYDVGEQVLLRRFQITRNLSLDGVLDFLNSKKMTDAGALDLIDDEDSDVEEGIDQQTRGNLGLGLPGSMANRGRPIARTKCVKFAPTGRSFAAATTDGVLLYSVDDSFIFDPTDLDIDVTPEKVEEALEENQQQRALLLSLRLNEDSLIKKCIFSVDPSNVRAICSSTPLKYLQRLIEAFSDLLESCPHLEFILLWSQELCKIHGNYIQQNSRALLPALKSFQKSITRIHQDLADTCSSNEYMLKYLCSSGTRN >ORUFI05G24420.1 pep chromosome:OR_W1943:5:22660264:22667043:1 gene:ORUFI05G24420 transcript:ORUFI05G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSRYLLAPAPLPAMVVRPPPPHPPSRGTSPLAQPPLCRAMARAAPSLSAAASTAASSSTTPAKKKVLLPIAMGTEEMEAVILAGVLRRAGADVTLASVEDGLEVEASRGSHIVADKRIAACADQGGMPGSVRLRDSAILQRITVRQAEEKRLYGAICAAPAVVLMPWGLHKRKKVSGELTTSRGPGTAFQFALSFVEQLFGPCKAEDMDNTLLTKVDDNLERSIEVNEIEWTSDHNPHVLIPIANGSEEMEIIMLTDVLRRANVNVVLASVEKSTSIVGSQRMRIVADKCISDASALEYDLIILPGGPAGAERLHKSSVLKKLLKEQKQTGRMYGGICSSPVILQKQGLLQDKTVTAHPSIVNQLTCEVIDRSKVVIDGNLITGMGLGTVIDFSLAIIKKFFGHGRAKGVANGMVFEYPKS >ORUFI05G24430.1 pep chromosome:OR_W1943:5:22667329:22670882:-1 gene:ORUFI05G24430 transcript:ORUFI05G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDNFTHKTNEALVAAHEMASEAGHAQLTPLHLAAALAADKGGILRQAISQASGGDAGAPDSFERVVSGALKKLPSQSPPPDSVPASTALIKVIRRAQSAQKKRGDSHLAVDQLLRGLLEDSLISDCLKEAGEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVFVAEPSVPDTISILRGLKEKYEGHHGVRIQDRALVVAAQLSARYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVEHHALEKEKDKASKARLVEVKKELDDLRDKLQPLTMKYRKEKERIDEIRKLKQRREELQFTLQEAERRMDLARVADLKYGALQEIDVAIAKLESETGENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNDKERLVGLADRLHQRVVGQAEAVSAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGKNSMKVARDLVMQEVRRHFRPELLNRLDEIVIFDPLSHEQLRKVARLQMKDVAVRLAERGIALAVTDAALDVILSLSYDPVYGARPIRRWIEKRVVTQLSKMLIQEEIDENCTVYIDAAPHKDELAYRVDNRGGLVNAETGQKSDILIQVPNGAATGSDAAQAVKKMRIMEDEEDGMDEE >ORUFI05G24440.1 pep chromosome:OR_W1943:5:22673624:22677229:1 gene:ORUFI05G24440 transcript:ORUFI05G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARALGALVHKASSLSSSASALRSAAVLQGRGSAGGSARLFQKHAARWRISTFQPLCMGRRSCKIAGRKDAQNLKKMKRNSKIGKEIVAAIKKGGPSPSSNTTLAAILEKARELDIPKEILERNIKRASEKGQDTYTEKVYEVYGFGGVGMVVEVLTDKITRSIADIRNVVKDCGAKLADPGSVTFRFRQARVVNIKVTDADKDQLLTVALDAGADDVIEPNFDDDDDDDDDYDDDSSEDVSERFYKIVTTSENYPVVLSKLQEEGLKFETDNGYELLPLNPIEVDDEAMELNKVLVSKLLELDDVDAVYTDQR >ORUFI05G24450.1 pep chromosome:OR_W1943:5:22677635:22683166:-1 gene:ORUFI05G24450 transcript:ORUFI05G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPESSTAAAGGSRLRNACGGVLCAFTLLLIGVLAFSIRLFSVIKYESVIHEFDPYFNFRVTQVAKFSKHSIVSGDCLCVHCSNILSKRFLGYLPFNKGEAKGTGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPIHVLLCIVTGRYSSRLYIAYAPLVILGTLLAALVPVVGFNAVMTSEHFASFLVFIILHVVALVYYIKGLLTPRLFKVAMTLVITVGLTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLIPAGIISCFLPLSDASSFVVLYLVTAVYFSGVMVRLMLVLAPAACILSGIALSEAFDVLTRSVKYQLSKLFDDSPAASGDSSAESSSAGTVSTNSAKNETRPEKTETAPKEKPSKKNRKKEKEVAESVPVKPKKEKKLLVLPMEASVLGILLLIVLGGFYVVHCVWAAAEAYSAPSIVLTSRSRDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGNYRVDAQGTPTMLNCLMYKLCYYRFVETDGKGFDRVRGYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRVRGKLKKLKSGSKASSTNAAGRKKNPWQ >ORUFI05G24460.1 pep chromosome:OR_W1943:5:22684370:22684918:1 gene:ORUFI05G24460 transcript:ORUFI05G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEVDKPKIMLVGKGSRKSLFSFVPLSKWLQEASNQDTVSRHKDGLLQALPWVESGEHEEKDCLFNPCTGYRMIYWNRHKELLQLQLHPMWKAPISCCEQEGNPFAIDNKNVGLAFSQVIQDHVVVAIFYDWRDYKTRGYYLRCVMFGCGFGYSMHLPGPPLPVNDMPPASLDGFLYWMSY >ORUFI05G24470.1 pep chromosome:OR_W1943:5:22690210:22692033:-1 gene:ORUFI05G24470 transcript:ORUFI05G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSRSPASTSRRGGGARQSPFFRDLASPIPSHRAASRFASSAAGPTAATTPPPPPLFTLDDRAAAVDFSPDAAASELLLPVASSPSPRSAAAAASRSPSWDRSRGRASAPGSPMDGVVEPPRKEVLALPPPPSPGTPAPPPPAAEAQSPVTPAPVSTGMEQEMNGGGEVDREEWITVFGFSLKDTNLVIREFEKCGVILRHHSGPRDEFHIVDMTKLTIFSPGLGLILTIMFVYLALKHSYDARKALQKNGVQLSSGVIIGVKPIDPMHRQQLDERFAGNKQGGFMVSLPPKSLVLKGTGASNQLGALPRPYDPKANTNVIRDANRRATGSVAAPAKSLVTNVMDLIFGI >ORUFI05G24480.1 pep chromosome:OR_W1943:5:22693762:22696240:-1 gene:ORUFI05G24480 transcript:ORUFI05G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPRRTPNPERGSRRKGEDPWLAASLRPGNFLPGLAIGFLLGLLVDLTSSWRPQSSPPLAPAAPAPRGSKRTGGSSSAGGGIGGEELKMVLVVRKDLKMRAGKIASQCAHAATGLYAELMASNRGLLRQWEQFGQAKIVLTCKNQQEMNRIKETAEHRGIPTFVVADAGRTQVQAGSKTVLAVGPGRKADIDSVTGKLSLL >ORUFI05G24490.1 pep chromosome:OR_W1943:5:22698351:22702906:1 gene:ORUFI05G24490 transcript:ORUFI05G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAVENVGADRIFGPKQNRPQHLSRLPRPIHLGIGEPAGPGPSYLIQPSSPLRCRPRGGPYASNGHGAPSGGGGPRGAYVVARDRPVTCASPTKAKSYDGWRDVAISWTLVQSTTTKKPWTLNRSFELT >ORUFI05G24500.1 pep chromosome:OR_W1943:5:22702937:22704959:1 gene:ORUFI05G24500 transcript:ORUFI05G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAADYAGGVRVKKEAGGCGGSGDMFLVDDLLDLPCDEEEEETGLCGAYGGAGAGLGAGVVGGGGDDRAAGNASADSSTVTAVDSCSNSFSGLADGDFSGGLCEPYEQLAELEWVSTYMGEETLPTEDLRKLQLISGIPAAPRAPPALAVSAVQLPAGGAGALPTEAPVPGKARSKRSRVAPCSWSSRLMVLPPPPASPPSPASAVISPSESGTAAPAFPAKKAAKSAKKKDGPSPAPAPNAAAQAAAEGRRCLHCETDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSKHSNSHRKVVELRRQKEMQLLHHHQQPPPHVGAGGGGAAGGLLHVTSPLLFDGPTSSAPLFAGADEFLIHNRISPDYRRQAT >ORUFI05G24510.1 pep chromosome:OR_W1943:5:22717512:22717964:1 gene:ORUFI05G24510 transcript:ORUFI05G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAAALQRSNSDGGGGMAAGADQEARSVRCECCGMAEECTPRYIGRVRERFHGKWVCGLCSEAVKERQKREPALTVDGAVDAHAALCERFNSTVRLNPKLSLASSMRDIARKSCQHRATATGADVIPSACSGAGAATMARSTSCALPYV >ORUFI05G24520.1 pep chromosome:OR_W1943:5:22724823:22725740:1 gene:ORUFI05G24520 transcript:ORUFI05G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGCQHHQERQAATAGESAKKLCRVVRAVYLVLVKGLGKHQPKLAALGVHLHQMMSSRRHGGGGHDHGLDDLREHPALLTYLSSTMSCRSMDPAAAVHPYPRGRGAHGAGRRRSGGGISSASGGVSGLSSMSCRSMDPSAAVSQYQYRPREVEFSCSSTPLHRRRRAQRRSQLRLQQHGQWHDRSSAADPYGSAATVSRLFELMDVKEEAAAEAMTTDIDDEDGDVVAWPAVVVPAPRQVRITDSPFPAWEADGDDDEEGRLGVVDRRADEFIMWFHEQLRMQQQQRAAAAAAKERSTYYFVR >ORUFI05G24530.1 pep chromosome:OR_W1943:5:22753151:22753954:1 gene:ORUFI05G24530 transcript:ORUFI05G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISDPVPPPCPSTCLKNYADRSGGVAASSSRGKSWRSRGKDADVAFEVGGGGGVRFAAHRCVLAARSKVFKAELFGAMKEGDAACVVRIDDMEPQVFRTLLFFVYTDSLPEMRKEEEEAICQRLLVAAEVYGMERLKLICESKLCKYIDVGTVASIMATIAMAHGLIKACLDFVSFPDNLMAVLATDGFYHLSRSCPFITEELIGML >ORUFI05G24540.1 pep chromosome:OR_W1943:5:22753200:22753397:-1 gene:ORUFI05G24540 transcript:ORUFI05G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVGQSGRLISDDKALGRRRVIVDDDDDDVAAEVKESFFIYLDFSKTSLSMNLQQLRHSGQRSS >ORUFI05G24550.1 pep chromosome:OR_W1943:5:22754701:22755749:1 gene:ORUFI05G24550 transcript:ORUFI05G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSASIVQANVTSGYNLLTIDGYMATTPIPTGVYMTSSAFAIGGHQWRISYYPNGKNSGCADYISFDLILDENVAAPVYAQHRFRFAGDAEKEAEQAPAPPPPPSSSLTSFTSGSAARGRAGSILERSRRRHLKNDSFIVRCDVVVTEFRPAEVAPGSVDVDGPPSDLHRHLGDLLRGETGADVVFEVGGERFAAHRCVLDARSSVFDLELFGATTAKEVVVGQSTGIVRVDGMEARVFKALLFFAYTDSLPEMMTTTKKKMEEQAAGDGDDRYDIDAFTVGKVIALAEQHDCRVLRKLASISSCVVLDFRRMYCWAPATAAPSAAPFLLDKSCLKASSKSGS >ORUFI05G24560.1 pep chromosome:OR_W1943:5:22757121:22760068:1 gene:ORUFI05G24560 transcript:ORUFI05G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLCFVTLLAFCFLAPAALMLGYYHGSPELVVIGSGCSRLVETNSFFAQDIKARTEGGSPENGLVLYGMPVAPPLGVPAAWSEARRAVVPANSHMEWVYFLNRGSEIEVAYSVRSETESSRPICMIIARGKESFLQWAENPSANETTLSWHLVHGNGTIKQTINLSSEYFIALGNFNNQDVTVLLEFRIRTLFYNTSAADYTCSPASSLCTYKLPFLGQNVVVLSSGPKEGLNSDGHYVKLSYGPRWIVYIIGLVLLAVALLIMYDILNMLFGPGPGGGDARASLLSSSSAAAASKEEDDASLGSSYDSVSHDGDGEDDDDDVEERGGGGGGGEGRHLCVVCCDARRDCFFLPCGHSATCHACGTRVAEEDGSCPLCRRKLKKVRRIFSV >ORUFI05G24560.2 pep chromosome:OR_W1943:5:22757121:22760068:1 gene:ORUFI05G24560 transcript:ORUFI05G24560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLCFVTLLAFCFLAPAALMLGYYHGSPELVVIGSGCSRLVETNSFFAQDIKARTEGGSPENGLVLYGMPVAPPLGVPAAWSEARRAVVPANSHMEWVYFLNRGSEIEVAYSVRSETESSRPICMIIARGKESFLQWAENPSANETTLSWHLVHGNGTIKQTINLSSEYFIALGNFNNQDVTGLNSDGHYVKLSYGPRWIVYIIGLVLLAVALLIMYDILNMLFGPGPGGGDARASLLSSSSAAAASKEEDDASLGSSYDSVSHDGDGEDDDDDVEERGGGGGGGEGRHLCVVCCDARRDCFFLPCGHSATCHACGTRVAEEDGSCPLCRRKLKKVRRIFSV >ORUFI05G24570.1 pep chromosome:OR_W1943:5:22763144:22779325:-1 gene:ORUFI05G24570 transcript:ORUFI05G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFPTGVAASPRCSLFRWKRKLPNQLGSAKAVRSVGDECSPRVMQSSAAAVEMRRNPEELQYVNNGYLDEQFCQVEDLQDEANPNFAEEVVSLFFKDSARVMLNFEQAIEKHPKDFARWDTHMQQLKGSCSSIGASRVKNECTSFRNFCGEENAEGCTRSFQKVKREHAVLRQKWESYFQDSSDDELRELLSDGTVLCHIVNALIPGVLEESWGAYASSDQHAGHVKKFLAVVADMGLPGFSVKDLEEGSMSGVVDCLLVLRESVSSGLCDGTSKAPLRKKWRVPETGEPLVPGVVQGKTSPGEDKRNGLPDPKSQQKTPIFNGRKLREIFQLKRGSYADLPAAKISEMMHSNSLDNAPTQSLLSVVNGILDESIERKKGEIPHRVVYLLRKVVQEIERRLCIQAEHIRSQNVIIKTREDKYHSKIKALEILVNGTNEENQMAINRLQIIKEEKSKIEEKRKLGEQDVARLMKEKEISENTIASLKKEMEVMTSMHEQQLQKIELTAKQMEEHLTTKIKEVESLLVQSNKKIEEVEAASLLKSQLWNKKEGIFQKYMNSQQLYVKGLRISSWSIKNEMHALEMELRDEMSNFGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDKKSTTVDYIGENGELLISNPFKQGKDGHRMFKFNKVFSPFSSQAEVFSDIQPLIRSVLDGFNSGPSTSKQDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQANRAVGSTALNERSSRILTVHVRGLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTIVRKDTEIEQLQLMKDKVKSPSFAVNINGASMPKNSNSDLRSVLSITTNQQSQLSDPQSYAEVNRDGGPTSYTDITPTCLDEADFEDNASEDGFSGGTDYSVGCAAGASVFPNSCSDRTADTSIRRISSRIARFSLTKNGQPATSRPKPKDTAPKTPSMYYKPLIYFNRLVTITVLPTQF >ORUFI05G24570.2 pep chromosome:OR_W1943:5:22762808:22771125:-1 gene:ORUFI05G24570 transcript:ORUFI05G24570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKADRRAEVIEWLNALLPEYCLPQDSSDDELRELLSDGTVLCHIVNALIPGVLEESWGAYASSDQHAGHVKKFLAVVADMGLPGFSVKDLEEGSMSGVVDCLLVLRESVSSGLCDGTSKAPLRKKWRVPETGEPLVPGVVQGKTSPGEDKRNGLPDPKSQQKTPIFNGRKLREIFQLKRGSYADLPAAKISEMMHSNSLDNAPTQSLLSVVNGILDESIERKKGEIPHRVVYLLRKVVQEIERRLCIQAEHIRSQNVIIKTREDKYHSKIKALEILVNGTNEENQMAINRLQIIKEEKSKIEEKRKLGEQDVARLMKEKEISENTIASLKKEMEVMTSMHEQQLQKIELTAKQMEEHLTTKIKEVESLLVQSNKKIEEVEAASLLKSQLWNKKEGIFQKYMNSQQLYVKGLRISSWSIKNEMHALEMELRDEMSNFGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDKKSTTVDYIGENGELLISNPFKQGKDGHRMFKFNKVFSPFSSQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPSTSKQDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQVRDLLTSQPNGLVVPDASLHPVKSTSDVLDLMEIGQANRAVGSTALNERSSRILTVHVRGLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTIVRKDTEIEQLQLMKDKVKSPSFAVNINGASMPKNSNSDLRSVLSITTNQQSQLSDPQSYAEVNRDGGPTSYTDITPTCLDEADFEDNASEDGFSGGTDYSVGCAAGASENFITNSSIQSHKEWTTSNIQTKTKGYCSEDSKSNESSIEPIDRRLFTEGI >ORUFI05G24570.3 pep chromosome:OR_W1943:5:22763144:22771125:-1 gene:ORUFI05G24570 transcript:ORUFI05G24570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKADRRAEVIEWLNALLPEYCLPQDSSDDELRELLSDGTVLCHIVNALIPGVLEESWGAYASSDQHAGHVKKFLAVVADMGLPGFSVKDLEEGSMSGVVDCLLVLRESVSSGLCDGTSKAPLRKKWRVPETGEPLVPGVVQGKTSPGEDKRNGLPDPKSQQKTPIFNGRKLREIFQLKRGSYADLPAAKISEMMHSNSLDNAPTQSLLSVVNGILDESIERKKGEIPHRVVYLLRKVVQEIERRLCIQAEHIRSQNVIIKTREDKYHSKIKALEILVNGTNEENQMAINRLQIIKEEKSKIEEKRKLGEQDVARLMKEKEISENTIASLKKEMEVMTSMHEQQLQKIELTAKQMEEHLTTKIKEVESLLVQSNKKIEEVEAASLLKSQLWNKKEGIFQKYMNSQQLYVKGLRISSWSIKNEMHALEMELRDEMSNFGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDKKSTTVDYIGENGELLISNPFKQGKDGHRMFKFNKVFSPFSSQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPSTSKQDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQVRDLLTSQPNGLVVPDASLHPVKSTSDVLDLMEIGQANRAVGSTALNERSSRILTVHVRGLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTIVRKDTEIEQLQLMKDKVKSPSFAVNINGASMPKNSNSDLRSVLSITTNQQSQLSDPQSYAEVNRDGGPTSYTDITPTCLDEADFEDNASEDGFSGGTDYSVGCAAGASVFPNSCSDRTADTSIRRISSRIARFSLTKNGQPATSRPKPKDTAPKTPSMYYKPLIYFNRLVTITVLPTQF >ORUFI05G24570.4 pep chromosome:OR_W1943:5:22763144:22771125:-1 gene:ORUFI05G24570 transcript:ORUFI05G24570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKADRRAEVIEWLNALLPEYCLPQDSSDDELRELLSDGTVLCHIVNALIPGVLEESWGAYASSDQHAGHVKKFLAVVADMGLPGFSVKDLEEGSMSGVVDCLLVLRESVSSGLCDGTSKAPLRKKWRVPETGEPLVPGVVQGKTSPGEDKRNGLPDPKSQQKTPIFNGRKLREIFQLKRGSYADLPAAKISEMMHSNSLDNAPTQSLLSVVNGILDESIERKKGEIPHRVVYLLRKVVQEIERRLCIQAEHIRSQNVIIKTREDKYHSKIKALEILVNGTNEENQMAINRLQIIKEEKSKIEEKRKLGEQDVARLMKEKEISENTIASLKKEMEVMTSMHEQQLQKIELTAKQMEEHLTTKIKEVESLLVQSNKKIEEVEAASLLKSQLWNKKEGIFQKYMNSQQLYVKGLRISSWSIKNEMHALEMELRDEMSNFGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDKKSTTVDYIGENGELLISNPFKQGKDGHRMFKFNKVFSPFSSQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPSTSKQDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQANRAVGSTALNERSSRILTVHVRGLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTIVRKDTEIEQLQLMKDKVKSPSFAVNINGASMPKNSNSDLRSVLSITTNQQSQLSDPQSYAEVNRDGGPTSYTDITPTCLDEADFEDNASEDGFSGGTDYSVGCAAGASVFPNSCSDRTADTSIRRISSRIARFSLTKNGQPATSRPKPKDTAPKTPSMYYKPLIYFNRLVTITVLPTQF >ORUFI05G24580.1 pep chromosome:OR_W1943:5:22779295:22783061:1 gene:ORUFI05G24580 transcript:ORUFI05G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCQVTQSHAVVGIFYYWKNYESHQYYLTCIIWSCSSQGQPLPDPPLPVNDMPPVSLDGVLYWMSEPRLGQSYERAIVFFNIAAKIFDVIPCPSCIAIWDSRNPCHAFVVELQGMLCAVLSNPVADKLDIWKWDHGLWSIAYAINLKFWPDYSLATNVVVPLAVDPIDGKVLLNTGRKLGLYNPCEQTIENLHLIRRRSNRTLELTEISTLPDAKMFLRSSLSGNYHWLRARSLLTLQVLHQGRIHFTPETNLRS >ORUFI05G24580.2 pep chromosome:OR_W1943:5:22780392:22783061:1 gene:ORUFI05G24580 transcript:ORUFI05G24580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDSKRTRSAPPREPLEMTEDMTPRSKRRKFVPFGEGAVLPEEMLTEVFLRLPIKSILPNFNSTAVYTCSPSKPIDDLLFTLDDVRGNYVEVAPSPCHGLTLLYNAVAPAYYVFNATTRAITRLPPYQDVIHATAGVGFDARTKEFKVVRLFRGKSHEIHSVKCEIFILGGEEGDHWRPTAGGVPFRFCSFALSAIRNAVANKLQPVFVNGFLHWLINPSFLLKAPRAAIISFSLTDETFRWIRSPPFEVSRVHLAELDDQLCMVRDLRNGLPTGSMFEIWRLNDYNSGDWSLDYRIGLTGNLPRDLLEPRIVKVIGSFGSYRSSKKIIIATSKHKVCAYDPVSRTLETIVSIMVTCSAYQNEKSDIRFSSFTKSFTPVHRTRKEIGFSTPLSKATKEILLRLPAESILKLELVCKQWRGLIKNEGFVHAYFEHKNMDRRPKIMLVGKGSEKSVFNFIPLSKWLQEANLGTMFLDKKVVCSKPCHGLNLVSIEMKDYLFNPCTGYRMIY >ORUFI05G24590.1 pep chromosome:OR_W1943:5:22786449:22792116:1 gene:ORUFI05G24590 transcript:ORUFI05G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWDGVPARERRQMEEILQLDMEELNVEMVNDDGSSSEEANGGDDGADAFLRDTDREGVASTSGQFTFNTSLASLHTYLGEVDDTRGRVSLLDGGTILSLPMLYLQGVVLFPGATLPLRLIQGRFVAAVEKALRQVDAPCTIGVVLMYKRHSTRHYANASVGTTAEIRQLGRMDDGSLNVVARGQQRFRLKRHWMDVDGVVWGDVQIIEEDTPLRTPRDAFAQLASCNSLRQHTSSPVISLDVSPIKQRDHVDSELDCGTPSPKSTASNHSAIDSRMCHSDSRSSSSMRSSNEDGIFMHEQFYSQELHPLKGSAAVQSGENTNMGEEDFCLTSLRSLSSAGTRDTKEQRQYILPKQHFQAPLSFWPRWAYQMYDSYALARRAADLWRQIITHPSMDDYVRKPDLLSFSIGSKLPVSESVRQKLLEIDGISYRLRREIQLLKAFNLIKCRCCKSLIAKRSDMVVMSTDGPLGAYVNPHGFVHETITVSRATGLALDGNPSTVHSWFPGYSWTIASCTDCESNIGWLFKATKKNLRPRSFWGIRSSQIADDAQELDQDE >ORUFI05G24600.1 pep chromosome:OR_W1943:5:22793203:22796560:1 gene:ORUFI05G24600 transcript:ORUFI05G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVSWSWAPRFLACVAVAVLLVTSGLGGAAAGGGGRRHGHTKGLRPGKAAAKPYYPVNATAVEAIERQFTRWVRSMVGRRHSTFQRALYRGLLPTRTLVVDKNPAAGNFTSIQAAVDSIPLINLARVVIKVNAGTYTEKVTISPLRAFVTIEGAGADKTVVQWGDTADTVGPLGRPFGTFASATFAVNAQFFVAKNITFKVSTTTTLQMRHHLCRGVAHSFRLSRGQNTAPVPRPGALGKQGVALRISADNAAFLGCNFLGAQDTLYDHLGRHYYRDCYIEGSVDFIFGNALSLYEGCHVHAIARNYGALTAQNRMSILEDTGFSFVYCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWGDPTREMTVFYGQYKCTGPGSNYAGRVAWSRELTDQEAKPFISLSFIDGLEWVKL >ORUFI05G24610.1 pep chromosome:OR_W1943:5:22798997:22802480:1 gene:ORUFI05G24610 transcript:ORUFI05G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMVGRADEDRRSGINTAAAVAGALGTGHGHVNPMWQQLPRRRRPCKFVLRKGSMRLHICGNDGGWLCCKCSGFLTKGVKHHCYQSHLTDI >ORUFI05G24610.2 pep chromosome:OR_W1943:5:22798997:22799716:1 gene:ORUFI05G24610 transcript:ORUFI05G24610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMVGRADEDRRSGINTAAAVAGALGTGHGHVNPMWQQLPRRRRPCKFVLRKGSMRLHICGNDGGWLCCKVCAIHSTIHILQLGIMIFLLNNYHYVSQKKVCSIYCQ >ORUFI05G24620.1 pep chromosome:OR_W1943:5:22808285:22810009:-1 gene:ORUFI05G24620 transcript:ORUFI05G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAMRWFKKVLTGKKEGGDRDRKEHSAAGGANGGVAPPPMERRRWSFAKPRSSVADGSRRPSVTAVVAGELSQVRPCSCGQQREVEAAVMIQKAFRGYLARRALRALKALVKIQALVRGYLVRKQAATTLQRLQALMRLQASSRAIKMASSRKSVEQERIVVQMQGGRVKTLTLPVVHRRRVSDGGDINFDRSPRIVEMDTCQLRCRSSRITSRYAADPPPDGTPGSVPLSSPHLYCYKPPPSRHLQAEEHEHDARAQPKTTHNTPRLAAALPAGYHGPASPAKGRVVSPRYMADTASSVARARCQSAPRQRHGAAGEPRPSLARAGSRKSRPDSAISLKSSEMSRHEDSEFSDDVTRDYYLDQLW >ORUFI05G24630.1 pep chromosome:OR_W1943:5:22813179:22814416:1 gene:ORUFI05G24630 transcript:ORUFI05G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVAANSEGEEKGMAMAVASSIICHSVNPQPALGSSLLGECRGAASSATRDRAYRGHPLVTTSGSLSPVWIKASLGESRGCGAWEIEGRGSTAKEHIAGDGLMEGVGMPTNSSSSSERKHEQFPLALISSSDTPYACHMLVRSPRHATSSSPLWYQLAESAAEKPRSKNEVLLLLHLAVPTRRHPCYRCRRGLPRHRRHYFRSVDRHAQMCRGCASLPLKPQPRLGVGVRGGGYVYNNERR >ORUFI05G24650.1 pep chromosome:OR_W1943:5:22831612:22837219:1 gene:ORUFI05G24650 transcript:ORUFI05G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAAVGTAVVVAAAVGVAVVLARRRRRRDLELVEGAAAERKRKVAAVIEDVEHALSTPTALLRGISDAMVTEMERGLRGDSHAMVKMLITYVDNLPTGNEQGLFYALDLGGTNFRVLRVQLGGKEKRVVQQQYEEVSIPPHLMVGTSMELFDFIASALSKFVDTEGDDFHLPEGRQRELGFTFSFPVSQTSISSGTLIKWTKGFSINDAVGEDVVSELGKAMERQGLDMKITALVNDTVGTLAGGRYADNSVVAAIILGTGTNAAYVENANAIPKWTGLLPRSGNMVINTEWGSFKSDKLPLSEFDKAMDFESLNPGEQIYEKLISGMYLGEIVRRILLKLAHDAALFGDVVPSKLEQPFVLRTPDMSAMHHDSSHDLKTVGAKLKDIVGVPDTSLEVRYITSHICDIVAERAARLAAAGIYGVLKKLGRDKMPKDGSKMPRTVIALDGGLYEHYKKFSSCLESTLTDLLGDDVSSSVVTKLANDGSGIGAALLAASHSQYAEID >ORUFI05G24660.1 pep chromosome:OR_W1943:5:22836861:22840105:-1 gene:ORUFI05G24660 transcript:ORUFI05G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSGKAYYLHLLLLAILPSLVARRGAAQQGGVDEKQLLLQVKRAWGDPAALASWTDAAPHCRWVYVSCDGGGTGRVTSLSLPNVAVAGAVPDAIGGLTALTVLNLQNTSVGGVFPAFLYNLTAITSIDLSMNSIGGELPADIDRLGKNLTYLALNNNNFTGVIPAAVSKLKNLKVFTLNCNQLTGTIPAALGELTSLETLKLEVNQFTPGELPGSFKNLTSLKTVWLAQCNLTGDFPSYVTEMMEMEYLDLSQNSFTGSIPPGIWNLPKLQYLFLYTNQLTGDVVVNGKIGAASLIYLDISENQLTGTIPESFGSLMNLTNLALMTNNFSGEIPASLAQLPSLVIMKLFENNLTGQIPAELGKHSPFLRDIEVDNNDLTGPIPEGVCDNRRLWIISAAGNRLNGSIPASLATCPALLSLQLQDNELSGEVPAALWTETRLITVLLQNNGHLTGSLPEKLYWNLTRLYIHNNRFSGRLPATATKLQKFNAENNLFSGEIPDGFAAGMPLLQELDLSRNQLSGAIPASIASLSGLSQMNFSRNQFTGDIPAGLGSMPVLTLLDLSSNKLSGGIPTSLGSLKINQLNLSSNQLTGEIPAALAISAYDQSFLGNPGLCVSAAPAGNFAGLRSCAAKASDGVSPGLRSGLLAAGAALVVLIGALAFFVVRDIKRRKRLARTEPAWKMTPFQPLDFSEASLVRGLADENLIGKGGAGRVYRVAYARRSSGGAGGTVAVKRIWTGGKLDKNLEREFDSEVDILGHVRHTNIVKLLCCLSRAETKLLVYEYMENGSLDKWLHGNKLLAGGATARAPSVRRAPLDWLARVRVAVGAARGLCYMHHECSPPIVHRDIKSSNILLDAELMAKVADFGLARMLVQAGTPDTMTAVAGSFGYMAPECAYTRKVNEKVDVYSFGVVLLELITGREAHDGGEHGSLAEWAWRHLQSGRSIADAVDRCITDAGYGDDAEVVFKLGIICTGAQPATRPTMRDVLQILVRCEQALQNTVDGKVAEYDGDGAPFLPIRGGSRRKQLSDTKGIDDGNGSLDSIV >ORUFI05G24670.1 pep chromosome:OR_W1943:5:22840212:22844602:1 gene:ORUFI05G24670 transcript:ORUFI05G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCERHVDHPPEAGNVLDDNAGSDRKCFWMMQLCSPLTRLPQRDPSFDLSRTFGDPGSRARTAHTPVRCRRSSRRSAPAPVDTTIAPIRMFGSGSLSSPY >ORUFI05G24680.1 pep chromosome:OR_W1943:5:22842399:22847872:-1 gene:ORUFI05G24680 transcript:ORUFI05G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGVRDGDEEAALWPRPIMLEEDYYSRRRRAREHDRRRAAALREEESNERLARECLDHYNALHPGDEHDLAPGDVTLCSAPCNSTTWTHGNFVAPPPPRRPAVPALPAADALLLRAHDFLGVVTCVPMADEPDGGGLLARVPLCRRWATRRRRSGRWDCVCRTCNRGLHVKHPWLKKKVVGEFPCGHLEAASVCKMCFSYSDVVHPSPGKFARGEGDGGGGDDGVGDGELRLLLGAVDGGDGGGGEAGDGGPDPGTPEADPAPRGWIRPPIWADLARELHVLGGWWLVAVAATGGGPDGWWRRRGCRGGGFGWRRRQRTWRLRQGGCWQRLRWRWRRFAMAVAGVGGCFGPRNIQPTKTIKAETQAHNDEGLPDSPVDRVARSLGEALIGGRSRAMIDDDEEAALWSRRNTPVEHPIRRAYDRVAAALREKRSNERLARECLDHYNALHPGDEHDLAPGGDVTLSRSHCSTGAWTHGNFVARRRRRQWRRCLAFVLPATRTLFFFEHMSGDDYLGVITCIPMPDEPVGGFLARIPVIRRWATPRRSGRWDCVCKTCRRGLRVTHHWLKRKVIGEFPCGHMVAESVCKMCYHYSDVVHPSPGKFARGYLEHEDEFGHYGRNGLRDYPLQKELTE >ORUFI05G24690.1 pep chromosome:OR_W1943:5:22848835:22857555:1 gene:ORUFI05G24690 transcript:ORUFI05G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLRKSDGLMSHGCEFSVSLAICWDCLNELPFVRFLLKDRIGGQPTTGGIAPLHQIKALLLSDGGGVMVTHAAMLLVLSYYTALLGPLVPRYTDRVFQLDLFVFARKAHEEPEGQIIPGRRTMKVILVAVPKVVSTTNRERATIPADFGSPSPSLTPRASLIVVHRAHPRGCPGRSLAA >ORUFI05G24690.2 pep chromosome:OR_W1943:5:22848835:22854596:1 gene:ORUFI05G24690 transcript:ORUFI05G24690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLRKSDGLMSHGWDCLNELPFVRFLLKDRIGGQPTTGGIAPLHQIKALLRKDSSANVKGFNTQKLYHK >ORUFI05G24690.3 pep chromosome:OR_W1943:5:22848835:22854596:1 gene:ORUFI05G24690 transcript:ORUFI05G24690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLRKSDGLMSHGCEFSVSLAICWDCLNELPFVRFLLKDRIGGQPTTGGIAPLHQIKALLRKDSSANVKGFNTQKLYHK >ORUFI05G24690.4 pep chromosome:OR_W1943:5:22848732:22857130:1 gene:ORUFI05G24690 transcript:ORUFI05G24690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMQFSHFYGVGGLKSGATLTRTRRSPPASSRRSAPAPVGTSSTEASGVVEEGVVRVGRQGWSWTGRRGVAPALRFDGRPMRSLKAKSYQVPVAHHIITTSSFNSVH >ORUFI05G24700.1 pep chromosome:OR_W1943:5:22852050:22854448:-1 gene:ORUFI05G24700 transcript:ORUFI05G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEFKDKGLPPTLLHLIPDGREWKVKEADGEGSRNTNLDADEDKELELKLGLPGVQQEERAADSREKIQQQQRESSSEPSIGCFPTHSKPTTSIGTTGAKRGFFATVGATLEGYNQSHRDTEECGKELTLGDENMAGERKKGCCPSPPCSAAAHSSNPQGRGAIPPVVGWPPIRSFRRNLTNGSSFKQSPERQNDEADDKAKPICKKRPLVKINMDGIPIGRKVDLQIYDSYQKLSSAVEELFRGFLEAQKDLSCAESGEQGAEDKIFSGLLDGTGVYTLVYEDNDGDRMLAGDIPWKVFVSTVKRLRVMRRSELPHDMIGADPVK >ORUFI05G24710.1 pep chromosome:OR_W1943:5:22856943:22857498:-1 gene:ORUFI05G24710 transcript:ORUFI05G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREARGVSEGEGEPKSAGMVALSLLVVDTTFGTATSITFMVRRPTGEELKREKEREIGKRGVGILLRLTRGSHCISFLFVDCSSWAFLAKTNRSSWKTRSVYLGTLQLQINRAVSMKV >ORUFI05G24720.1 pep chromosome:OR_W1943:5:22859533:22860000:-1 gene:ORUFI05G24720 transcript:ORUFI05G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVVGGGGGWWRWRCEIRRPVDELTLASCGGVGFIACGRGVGFFVACGLGVDYFVVSGHGVGCFVVSGHGVGCFVIYGHSVGCFVWLGGHRPISCDGCGDFSWLASSGAIVAQSLLLVVLDDNPSAWWRRFHWCQFESSQCLGSISQDS >ORUFI05G24730.1 pep chromosome:OR_W1943:5:22879896:22884740:1 gene:ORUFI05G24730 transcript:ORUFI05G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNRAPRSPANVTRGGSSVRAIAHARTVASALSFFFFFLRQIPHATLRFLLVKRTRAVPVPRSKASGLLGARRVIPFLPYITRATPAPPPLQRPAPSERQPPPPEQLRFQLLFRAVFCWGDRVRRRDEQQQARRGRSGGDVRGGGGRRAVRGVVVGVRRRVGRRWGRGGPVLGGRRGGGGGVGPDGAPPVRAAAAAAVELGQHLRHVVHDGAAPSQERVVQVLRGEVPVVRVHVGGEVPGGSAQEGEAIQVEDQVVQQLRRAGRDRQDAVVEFLRESEHDGGGRIQGSPDPCKQERLPSVVATL >ORUFI05G24740.1 pep chromosome:OR_W1943:5:22881641:22884492:-1 gene:ORUFI05G24740 transcript:ORUFI05G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRELRDGAGGLEEAEEDEREEARGGGGGGGGGEVVAVVRLRAKRALVGAGARVLFYPTLLYNVLRNRFESEFRWWDRIDQYVLLGAVPFSSDVPHLKQLGVRGVVTLNESYETLVPTSLYQAHGINHLEIPTRDYLFAPSLEDICQAVDFIHRNASQGGSTYVHCKAGRGRSTTIVLCYLIKYRNMTPEAALDHARSVRPRAVKLFSNLNTRCLSIENSNQTHSAKSCEESSEPFSRLASSCHQIQGSNRTHPVRFSEQSSEAIVEAEVDGFTTEFDNEHFVLPLWEGMLAKPSSPSRSIDAVVVTEEDLEGYETYADASSDTVSVEVVIRQKPMIRRLSCFLGSLKLTSNCEPSPPRRLAEVRAC >ORUFI05G24750.1 pep chromosome:OR_W1943:5:22887064:22892464:1 gene:ORUFI05G24750 transcript:ORUFI05G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRALRSLKHHGASRFASTSVVKQSSGGLLGWFLGGNSSQLPPLDVPLPGVIISPPLPDFVEPSKTKITTLPNGIKIASETSPIPAVSVGLYIDCGSVYETSSSSGTSHLLERMAFKSTTNRSHLRLVREVEAIGGNVFASASREQMSYTYDALKCYAPEMVEVLIDSVRNPAFLEWEVKEQLQKIKSEISEVSGDPHGLLMEALHSAGYSGALAKPLMASESAVNRLDVATLEEFVSENYTAPRMVLAASGIEHDELVSVAEPLLSDLPSVERPEEPKSVYVGGDYRCQADSTSTHIALAFEVPGGWRQEKTAMIVTVLQVLMGGGGSFSTGGPGKGMHSWLYLRVLNNYGQIESFSAFSSIYNNSGLFGIHATTNPDFVSSAVDLAARELHEVATPGKVTQEQLDRAKEATKSSVLMDLESRIVASEDIGRQVLTYGERKPIEYFLKTVEEITLNDISSTAKKIISSPLTLASWGDVIHVPSYESVRRKFHSK >ORUFI05G24760.1 pep chromosome:OR_W1943:5:22893118:22898573:1 gene:ORUFI05G24760 transcript:ORUFI05G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVIPSAATRFHGVKLSPTSEKPPRAAHKTSAAARPAPDPSLTLRPHHHHRRSLTHRAPLLNPRKNRRPRSTSRPQKAKPRLRLPKPAAKRSESEFLRSRPLALLMASSHIILPPDDDDEEQRRLEEEEDEDPWARSGPPPAAPEHAVKAALPFSATCVRISRDSYPNLRALRNASSVSLADAAYVKISEGDFGYVLDDVPHLVDHLPDAPTYPNPLQDHPAYSTVKQYFVNEDDTVPQKVVVQKNSRRGVHFRRAGPRQKVYFESDEVKACIVTCGGLCPGLNTVIRELVCGLAHMYNVSKIYGIQNGYKGFYSSNYLTLTPKSVDDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIFKEIRKRGLKVSVAGIPKTIDNDIAIIDKSFGFDTAVEEAQRAIDSAHVEAFSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYMDGEGGLLQYVERRLKENKHMVIVVAEGAGQDLIAKSLSTSEQQDASGNKLLLDIGLWLTHKIKDHFKSKKIEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGNVNGRHAYIPFYRVTSTRNKVKITDRMWARLLSSTNQPSFLSQKDIDEAKENDRTANKPPLPTGLSHHVANSFDQSASSSSNSQI >ORUFI05G24770.1 pep chromosome:OR_W1943:5:22899317:22916018:1 gene:ORUFI05G24770 transcript:ORUFI05G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPGTMAARSWLLLLCFVAVATAGVLQARAQPDSNGFISVDCGLPGKTGFVDDKTKISYAADDGFTDGGACHNISAEYITPGLAKRYHTLRSFPDGKRNCYTLRSLVAGLKYLFRATFFYGNYDGLNKLPVFDLYVGVNYWTMVNITGPGDAVIVEAIVVVPDDFVQVCLVNTGAGTPFISGLDLRPLKNTLYPQSNATQGLVLLGRLNFGPTDYTDVIRYPDDPHDRIWFPWVDSTKWSQISSTKKVQDLDNDMYETPTAVMQTAITPRNASRNIEFSWDPVPLPNDPTPGYIAIFHFSELQLLPGNAVREFYINLNGKPWSLTAFKPEYLYNDATFNRNPFLRYPQYNISINATANSTLPPLINAVEVFSVIPTATIGTDPEDVAAITAIKEKYQVVKNWMGDPCVPKMLAWDKLTCSYAISNPARIIGLNLSSSGLSGEVSSYFGNLKAIQNLDLTGNQLSGSIPSGLLKRIQDGSLNLRYGNNPNLCTNGDSCQPAKKKSKLAIYIVIPIVIVLVVVIISVAVLLCCLLRRKKQAMSNSVKPQNETVSNVSSNGGYGHSSSLRLENRRFTYNELEKITNNFQRVLGRGGFGYVYDGFLEDGTQVAVKLRSESSNQGAKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLQEHIAGKNNNRIYLTWRERLRIALESAQGLEYLHKACNPPLIHRDVKATNILLNTRLEAKIADFGLSKTFNHVNDTHVSTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELITGKPSILREPGPISIIQWARQRLARGNIEGVVDAHMHGDHDVNGVWKAADIALKCTAQTSTQRPTMTDVVAQLQECLELEDRRCGMEDTYNNFYAGNNNDPNSSYNMYNTDQSTDVSQNNTMEHNFERVPTMATGPRRSSPATMAARSSLFLLCLATATAGVLQARAQPDSKGFISVDCGLPGKTSYIDDKTKISYASDDGFTDGGKHYNVSPEYIKPAVTARYYNVRSFPDGARNCYTLRSLVAGLKYLIRATFMYGNYDGLNKLPVSFDLHIGVNFWTVVNITDPIQPVNREAIVVVPDDSVQVCLVNTGAGTPFISGLDLRPLMNKLYPQVNATQGLLQLARLNFGPSDETCIRYPDDPHDRVWFPWFDAAKWNEISTTNRVQNIDNDLFEAPTAVMQTAVTPINASNNIDFFWNSQPQPNDPAPGYIAIFHFSELENLPNNASRQFYININGILFDDGFTPSFLYAEASYSSKPFVRHPQYNITINATANSTMPPLINAVENWMGDPCLPRNLAWDNLTCSYAISNPARITSLNLSKIGLSGEISSSFGNLKALQYLYGSIKQQLDRLDSKCPFTLVVDNDSDGRRLKARRARLRAEGEGSSGNQLNGTIPPGLLKRIQDGFLNLRYGNNPNICTNGNSCQPPKNKSKLAIYIVVPIVLVLAIVSVTTLLYCLLRRKKQVPFFTYKGSMNNSVKPQNETMRYGPTNNGSWHNSSLRLENRRFTYNELEKITNKFQRVLGQGGFGKVYDGFLEDGTEVAVKVRTESSNQGDKEFLVEAQILTRIHHKNLVSMIGYCKDEKYMALVYEYMSEGTLQEHIAGKGNDGRYLTWKERLRIALESAQGLEYLHKGCNPPLIHRDVKGTNILLNTRLEAKIADFGLSKVFNPENGTHVSTNKLVGTPGYVDPEEILIVRLIGTDQMRRYQSTMQPTTKSDVYSFGVVLLELVTGKPAILRDPEPISIIHWAQQRLARGNIEGVVDASMHGDYDVNGLWKVADIALKCTALSSAHRPTMTDVVAQLQECLELEDKHQVSDINNGFYNGNSGNLNSNFYTT >ORUFI05G24770.2 pep chromosome:OR_W1943:5:22899317:22916018:1 gene:ORUFI05G24770 transcript:ORUFI05G24770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPGTMAARSWLLLLCFVAVATAGVLQARAQPDSNGFISVDCGLPGKTGFVDDKTKISYAADDGFTDGGACHNISAEYITPGLAKRYHTLRSFPDGKRNCYTLRSLVAGLKYLFRATFFYGNYDGLNKLPVFDLYVGVNYWTMVNITGPGDAVIVEAIVVVPDDFVQVCLVNTGAGTPFISGLDLRPLKNTLYPQSNATQGLVLLGRLNFGPTDYTDVIRYPDDPHDRIWFPWVDSTKWSQISSTKKVQDLDNDMYETPTAVMQTAITPRNASRNIEFSWDPVPLPNDPTPGYIAIFHFSELQLLPGNAVREFYINLNGKPWSLTAFKPEYLYNDATFNRNPFLRYPQYNISINATANSTLPPLINAVEVFSVIPTATIGTDPEDVAAITAIKEKYQVVKNWMGDPCVPKMLAWDKLTCSYAISNPARIIGLDLTGNQLSGSIPSGLLKRIQDGSLNLRYGNNPNLCTNGDSCQPAKKKSKLAIYIVIPIVIVLVVVIISVAVLLCCLLRRKKQAMSNSVKPQNETVSNVSSNGGYGHSSSLRLENRRFTYNELEKITNNFQRVLGRGGFGYVYDGFLEDGTQVAVKLRSESSNQGAKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLQEHIAGKNNNRIYLTWRERLRIALESAQGLEYLHKACNPPLIHRDVKATNILLNTRLEAKIADFGLSKTFNHVNDTHVSTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELITGKPSILREPGPISIIQWARQRLARGNIEGVVDAHMHGDHDVNGVWKAADIALKCTAQTSTQRPTMTDVVAQLQECLELEDRRCGMEDTYNNFYAGNNNDPNSSYNMYNTDQSTDVSQNNTMEHNFERVPTMATGPRRSSPATMAARSSLFLLCLATATAGVLQARAQPDSKGFISVDCGLPGKTSYIDDKTKISYASDDGFTDGGKHYNVSPEYIKPAVTARYYNVRSFPDGARNCYTLRSLVAGLKYLIRATFMYGNYDGLNKLPVSFDLHIGVNFWTVVNITDPIQPVNREAIVVVPDDSVQVCLVNTGAGTPFISGLDLRPLMNKLYPQVNATQGLLQLARLNFGPSDETCIRYPDDPHDRVWFPWFDAAKWNEISTTNRVQNIDNDLFEAPTAVMQTAVTPINASNNIDFFWNSQPQPNDPAPGYIAIFHFSELENLPNNASRQFYININGILFDDGFTPSFLYAEASYSSKPFVRHPQYNITINATANSTMPPLINAVENWMGDPCLPRNLAWDNLTCSYAISNPARITSLNLSKIGLSGEISSSFGNLKALQYLYGSIKQQLDRLDSKCPFTLVVDNDSDGRRLKARRARLRAEGEGSSGNQLNGTIPPGLLKRIQDGFLNLRYGNNPNICTNGNSCQPPKNKSKLAIYIVVPIVLVLAIVSVTTLLYCLLRRKKQVPFFTYKGSMNNSVKPQNETMRYGPTNNGSWHNSSLRLENRRFTYNELEKITNKFQRVLGQGGFGKVYDGFLEDGTEVAVKVRTESSNQGDKEFLVEAQILTRIHHKNLVSMIGYCKDEKYMALVYEYMSEGTLQEHIAGKGNDGRYLTWKERLRIALESAQGLEYLHKGCNPPLIHRDVKGTNILLNTRLEAKIADFGLSKVFNPENGTHVSTNKLVGTPGYVDPEEILIVRLIGTDQMRRYQSTMQPTTKSDVYSFGVVLLELVTGKPAILRDPEPISIIHWAQQRLARGNIEGVVDASMHGDYDVNGLWKVADIALKCTALSSAHRPTMTDVVAQLQECLELEDKHQVSDINNGFYNGNSGNLNSNFYTT >ORUFI05G24780.1 pep chromosome:OR_W1943:5:22913296:22913655:-1 gene:ORUFI05G24780 transcript:ORUFI05G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFDATNGFGFITPDNGGEDLFVHRSSLKFDSYRSLNDGDVIELSVGSSDDGRTKAVDITALGGGVHTGGSRPSCGQIPMAASRRLSTSPRCLLALDVLLLPAPRRSPPLVIPSSSPG >ORUFI05G24790.1 pep chromosome:OR_W1943:5:22916058:22953570:1 gene:ORUFI05G24790 transcript:ORUFI05G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNFGRIPSITGGPAARASEAMQESTNDYRCTGKGSWCQERSTPERRLQEGERRLMLTACMCNGAFNAGSNGGKLSLANVCRCRRLAVGFISVDCGLPGKTSYVDDKTKISYAADDGFTDGGSFHNISAEYFAPALSERYYNVRSFPDGARNCYTLRSLVAGHKYLIRATFMYGNYDGLSKLPIFDVYIGVNFWMMVNITDSAGSTLLEAIVIVPNDFVQVCLVNTGTGTPFISGLDLRPLKKKLYPQATETQGLSLFGRWNFGPTSNTEIIRYPDDPRDRDSPSNWTEISTTRPVQQTYDDLFEVPTAVMQTAIVPMFATDNIELAWVAYTQPKDPSPGYIAIMHFSELELSPPSRDVREFYINLNGNMMYSKGYKPVYLYAHAIYNTNPFLRYPQYNISINATYNSTMRPFINAMEVYSVFSTTTIGTYGQDASAMMVIKEKYQVKKNWMGDPCIPTEFTWESLTCSYENSKHVIKINLSSSGLSGEISSSFGDLKALQYLDLSNNNLTGSIPDALSQLPSLTVLDLTGNQLNGSIPSGLLKRIQDGTLNIKYGNNPNLCTNDNSCQAAKHKSKLAIYIVAPVVLVLVIVSVTILLFCLLGQKKKQGSMNTSIKPQNEANYVPTNDSDGHGSSMQLENRRFTYKDLEKITNNFQRVLGRGGFGKVYDGFLEEGTQVAVKLRSESSNQGDKEFLVEAQILTRIHHKNLVSMIGYCKDGKYMALVYEYINNGRHLTWRERLRIALESAQGLEYLHKWCNPPLIHRDVKATNILLNARLEAKIADFGLSKSFNLENGTHVSTNTLVGTPGYVDPEYQATMQPSTKSDVYSFGVVLLELVTGKSAVLRDPEPISIIHWAQQRLAQGNIEEVVDACMCGDHDVNGVWKVADIAFKCTAQVSARRPTMTDVVAQLQECLELEEEHCAVNDANNNFYTSNNSNPNSSYDTYAADHSIDVSQNSVAFEMEKNFGRMPSTAPGFISVDCGLPGKTSYVDDKTKISYAADDGLTDGGSFHNISAEYITPALSARYHNVRSFPDGARNCYTLRSLVAGLKYLIRATFMYGNYDGLSKLPIFDVYIGVNFWMMVNISDPSGATLLEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLEKKLYPQANDKRGLSLFGRWNFGPISTTEFIRYPDDPHDRIWMPWVSPSYWVEVSTTRPVQHTDEDVFDAPTKVMQTAIAPLNASSNIEFAWVPYTQPKDPAPGYITVMHFSELQLRSSNATRQFYINLNGNMVFSQGYTPAYLYADAIFNSNPFLRYPQYNISINATANSTLPPIINAIEVFSVFSTATVGTDGQDASAMMVIKEKYQVKKNWMGDPCVPKTLAWDKDLSNNNLTGSIPDALSQLPSLAVLDLTGNQLNGSIPSGLLKRIQDGTLNIKYGNNPNLCTNDNSCQPAKHKSKLAIYVAVPVVLVLVIVSVTILLFCLLGRKKKQGSMNTSVKPQNETTSYVPTNGSHGHGSSMQLENRRFTYNDLEKITNNFQRVLGEGGFGKVYDGFLEDGTQVAVKLRSESSNQGDKEFLAEAQILTRIHHKSLVSMIGYCKDGKYMALVYEYMSEGTLREHISGKRNNGRYLTWRERLRIALESAQGLEYLHKWCNPPLIHRDVKATNILLNAKLEAKIADFGLSKTFNLENGTHVSTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELVTGKPAVLRDPEPISIIHWAQQRLAQGNIEGVVDARMHGDHDVNGVWKATDIALKCTTQVSAQRPTMTDVVAQLQECLELEEGRCAISNANNNFYTDNNSNSNSSYDMYATDHSIDVSQNSAAFETERNFGRMPSTATGFISIDCGLPEKTSYVDDATKLKFTSDDAFTDAGTIHNVSSEFATPTTTTDRSLYNVRSFPAGARNCYTVPSVVPGSKYLVRAKFLYGNYDGLNKPPVFDLHLGVNFWQTVTVPSADWLGNAEVIAVVPDDFLQVCLVNTGAGTPFISGLDLRPLPSSLYAPANATQGLVLLDRRNFGASGSTVIRYPDDTYDRVWWPWSNPPAEWSDISTADKVQNTIAPVFDVPSVVMQTAITTRNSSIPIQFSWDTKPNHVYPDPGSIFTLYVTELELLAGNAVRQFNVTINGVIWTKAPYKPVYLSTDAMYNGDRPYRGITRYNFSLNAAGSSTLPPILNAAEAFSVISTADLATDAQDVSAITAIKAKYQVNKNWTGDPCAPKTLAWDGLTCSYAISTPPRITGVNMSYAGLSGDISSYFANLKEIKNLDLSHNNLTGSIPNVISQLQFLAVLDLTGNQLNGSIPSSLLKRSQDGSLTLRYGNNPNLCSNSSSCQLPQKKSNSMLAVYVAVPVVVIGAVAVFLIFFIRKKKNKSKGAVKPQILGNGVQSHSQNGSGGSLLELHNRQFTYKDLAVITNNFQRVLGKGGFGPVYDGFLKDGTHVAVKLRDESSSQGYSEFLTEAQTLTKIHHKNLVALIGYCKDEIHLALVYEHMSEGTLEDKLRGKDRKGRSLTWRERLRIVLESAQGLEYLHKACSPRFVHRDVKSSNILLNANLEAKVADFGLTTAFKCDGDTHVSTVRVVGTYGYLAPEYATALQVSEKIDVYSFGVVLLEVITGQPPIIKLPEPTTIIQWTRQRLARGNIEGVVDVNMPDDRYDINCIWKVADVALKCTAHAPGQRPTMTDVVTQLKECLELEETSFKGDTSSSYMSGSSIDPNSTRAMAARSWLFILCLAVADAGVLQTSAQPDLKGFISIDCGLEGKTGYLDDKTNLSYVPDDGFTDAGTNHNISVEFMTPLISRRNYNLRSFPDGERNCYTLRSLTAGLKYLIRAAFVYGNYDGLKKPPVFDLYIGVNFLTMVNITGLDGAALEEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLKSTLYPQVTETQGLSLFGRWNFGPTMNQRTDSIVTRYPDDPHDREWVPWINPFDWTVISTTTMVQNIENDIFEAPSRVMQTAITPRNASGNIEFAWDAYTQPKDPTPGYIANFYFTEVQLLPSNALRQFYINLNGRLVYNESYTPLYLYADLIYEKKPFLRYPEYNISINATSNSTLPPIINAIEVFSVMPTINVATDSEDASAMMAIKVKYQVKKNWMGDPCVPKTLAWDSLTCSYSTSIRPRITSLNLSSSDLRGDISSSFANLKGEFVKQQFDRLNSRCPFTITSIVSLRFGRKPAQWINSIWTSQKNSRWLPRSKLENRRFTYRELEMMTDNFQLELGRGGFGCVYDGFLEDHTRVAVKLMFKNSKQGDKEFLGEDGDNMALVYEYMSEGTLQEHIAVPKIFRFYVHEENSRRRFLPWRRRLQIALESAQGKYLMTMQPTTKSDVYSFGVVLLELVTGKPALLRDLDNTSIIQWVQQHLARGNIEDVVDARMHGDHDINSVWKVVDIALKCTMQESIHRPTMTGVVAMLQECIELENRHLKDYAANSENHNSSYNTYGVDQSTNVIQSNDAFEVGHNIARVPTMATSPVAR >ORUFI05G24790.2 pep chromosome:OR_W1943:5:22916058:22953570:1 gene:ORUFI05G24790 transcript:ORUFI05G24790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNFGRIPSITGGPAARSLPACAMERSTPGAMAARSWLILLCLALAATAGILQARAQLDSKGFISVDCGLPGKTSYVDDKTKISYAADDGFTDGGSFHNISAEYFAPALSERYYNVRSFPDGARNCYTLRSLVAGHKYLIRATFMYGNYDGLSKLPIFDVYIGVNFWMMVNITDSAGSTLLEAIVIVPNDFVQVCLVNTGTGTPFISGLDLRPLKKKLYPQATETQGLSLFGRWNFGPTSNTEIIRYPDDPRDRDSPSNWTEISTTRPVQQTYDDLFEVPTAVMQTAIVPMFATDNIELAWVAYTQPKDPSPGYIAIMHFSELELSPPSRDVREFYINLNGNMMYSKGYKPVYLYAHAIYNTNPFLRYPQYNISINATYNSTMRPFINAMEVYSVFSTTTIGTYGQDASAMMVIKEKYQVKKNWMGDPCIPTEFTWESLTCSYENSKHVIKINLSSSGLSGEISSSFGDLKALQYLDLSNNNLTGSIPDALSQLPSLTVLYGNNPNLCTNDNSCQAAKHKSKLAIYIVAPVVLVLVIVSVTILLFCLLGQKKKQGSMNTSIKPQNEANYVPTNDSDGHGSSMQLENRRFTYKDLEKITNNFQRVLGRGGFGKVYDGFLEEGTQVAVKLRSESSNQGDKEFLVEAQILTRIHHKNLVSMIGYCKDGKYMALVYEYINNGRHLTWRERLRIALESAQGLEYLHKWCNPPLIHRDVKATNILLNARLEAKIADFGLSKSFNLENGTHVSTNTLVGTPGYVDPEYQATMQPSTKSDVYSFGVVLLELVTGKSAVLRDPEPISIIHWAQQRLAQGNIEEVVDACMCGDHDVNGVWKVADIAFKCTAQVSARRPTMTDVVAQLQECLELEEEHCAVNDANNNFYTSNNSNPNSSYDTYAADHSIDVSQNSVAFEMEKNFGRMPSTAPGFISVDCGLPGKTSYVDDKTKISYAADDGLTDGGSFHNISAEYITPALSARYHNVRSFPDGARNCYTLRSLVAGLKYLIRATFMYGNYDGLSKLPIFDVYIGVNFWMMVNISDPSGATLLEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLEKKLYPQANDKRGLSLFGRWNFGPISTTEFIRYPDDPHDRIWMPWVSPSYWVEVSTTRPVQHTDEDVFDAPTKVMQTAIAPLNASSNIEFAWVPYTQPKDPAPGYITVMHFSELQLRSSNATRQFYINLNGNMVFSQGYTPAYLYADAIFNSNPFLRYPQYNISINATANSTLPPIINAIEVFSVFSTATVGTDGQDASAMMVIKEKYQVKKNWMGDPCVPKTLAWDKDLSNNNLTGSIPDALSQLPSLAVLDLTGNQLNGSIPSGLLKRIQDGTLNIKYGNNPNLCTNDNSCQPAKHKSKLAIYVAVPVVLVLVIVSVTILLFCLLGRKKKQGSMNTSVKPQNETTSYVPTNGSHGHGSSMQLENRRFTYNDLEKITNNFQRVLGEGGFGKVYDGFLEDGTQVAVKLRSESSNQGDKEFLAEAQILTRIHHKSLVSMIGYCKDGKYMALVYEYMSEGTLREHISGKRNNGRYLTWRERLRIALESAQGLEYLHKWCNPPLIHRDVKATNILLNAKLEAKIADFGLSKTFNLENGTHVSTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELVTGKPAVLRDPEPISIIHWAQQRLAQGNIEGVVDARMHGDHDVNGVWKATDIALKCTTQVSAQRPTMTDVVAQLQECLELEEGRCAISNANNNFYTDNNSNSNSSYDMYATDHSIDVSQNSAAFETERNFGRMPSTATGFISIDCGLPEKTSYVDDATKLKFTSDDAFTDAGTIHNVSSEFATPTTTTDRSLYNVRSFPAGARNCYTVPSVVPGSKYLVRAKFLYGNYDGLNKPPVFDLHLGVNFWQTVTVPSADWLGNAEVIAVVPDDFLQVCLVNTGAGTPFISGLDLRPLPSSLYAPANATQGLVLLDRRNFGASGSTVIRYPDDTYDRVWWPWSNPPAEWSDISTADKVQNTIAPVFDVPSVVMQTAITTRNSSIPIQFSWDTKPNHVYPDPGSIFTLYVTELELLAGNAVRQFNVTINGVIWTKAPYKPVYLSTDAMYNGDRPYRGITRYNFSLNAAGSSTLPPILNAAEAFSVISTADLATDAQDVSAITAIKAKYQVNKNWTGDPCAPKTLAWDGLTCSYAISTPPRITGVNMSYAGLSGDISSYFANLKEIKNLDLSHNNLTGSIPNVISQLQFLAVLDLTGNQLNGSIPSSLLKRSQDGSLTLRYGNNPNLCSNSSSCQLPQKKSNSMLAVYVAVPVVVIGAVAVFLIFFIRKKKNKSKGAVKPQILGNGVQSHSQNGSGGSLLELHNRQFTYKDLAVITNNFQRVLGKGGFGPVYDGFLKDGTHVAVKLRDESSSQGYSEFLTEAQTLTKIHHKNLVALIGYCKDEIHLALVYEHMSEGTLEDKLRGKDRKGRSLTWRERLRIVLESAQGLEYLHKACSPRFVHRDVKSSNILLNANLEAKVADFGLTTAFKCDGDTHVSTVRVVGTYGYLAPEYATALQVSEKIDVYSFGVVLLEVITGQPPIIKLPEPTTIIQWTRQRLARGNIEGVVDVNMPDDRYDINCIWKVADVALKCTAHAPGQRPTMTDVVTQLKECLELEETSFKGDTSSSYMSGSSIDPNSTRAMAARSWLFILCLAVADAGVLQTSAQPDLKGFISIDCGLEGKTGYLDDKTNLSYVPDDGFTDAGTNHNISVEFMTPLISRRNYNLRSFPDGERNCYTLRSLTAGLKYLIRAAFVYGNYDGLKKPPVFDLYIGVNFLTMVNITGLDGAALEEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLKSTLYPQVTETQGLSLFGRWNFGPTMNQRTDSIVTRYPDDPHDREWVPWINPFDWTVISTTTMVQNIENDIFEAPSRVMQTAITPRNASGNIEFAWDAYTQPKDPTPGYIANFYFTEVQLLPSNALRQFYINLNGRLVYNESYTPLYLYADLIYEKKPFLRYPEYNISINATSNSTLPPIINAIEVFSVMPTINVATDSEDASAMMAIKVKYQVKKNWMGDPCVPKTLAWDSLTCSYSTSIRPRITSLNLSSSDLRGDISSSFANLKGEFVKQQFDRLNSRCPFTITSIVSLRFGRKPAQWINSIWTSQKNSRWLPRSKLENRRFTYRELEMMTDNFQLELGRGGFGCVYDGFLEDHTRVAVKLMFKNSKQGDKEFLGEDGDNMALVYEYMSEGTLQEHIAVPKIFRFYVHEENSRRRFLPWRRRLQIALESAQGKYLMTMQPTTKSDVYSFGVVLLELVTGKPALLRDLDNTSIIQWVQQHLARGNIEDVVDARMHGDHDINSVWKVVDIALKCTMQESIHRPTMTGVVAMLQECIELENRHLKDYAANSENHNSSYNTYGVDQSTNVIQSNDAFEVGHNIARVPTMATSPVAR >ORUFI05G24810.1 pep chromosome:OR_W1943:5:22961297:22963274:1 gene:ORUFI05G24810 transcript:ORUFI05G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPVAHRFSLASAFLPRHRRPSPSAPNRRRRHGTVVAYMEPNPNSPSSIAGRLIGALPVVGLVARILSDEGGVGGDMIDFAEFRRRVSKKCTVMDSQAFYDFNERRGKAGDPFYVLLCCWLAAVGGGLLKTEEILEGVARLRLSNDIEFEEETFLDMMKTAKEKRAKLKAPAPQIPMEARAEKALEAIYVCCFGQDMVEDVDVKLLCKMLNAVFPSVGRQAVERIVTSMAKQVAAGERKGPGVKTVSKEAAQRQLKDLEFLKQNKLDSA >ORUFI05G24810.2 pep chromosome:OR_W1943:5:22961625:22963274:1 gene:ORUFI05G24810 transcript:ORUFI05G24810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPVAHRFSLASAFLPRHRRPSPSAPNRRRRHGTVVAYMEPNPNSPSSIAGRLIGALPVVGLVARILSDEGGVGGDMIDFAEFRRRVSKKCTVMDSQAFYDFNERRGKAGDPFYVLLCCWLAAVGGGLLKTEEILEGVARLRLSNDIEFEEETFLDMMKTAKEKRAKLKAPAPQIPMEARAEKALEAIYVCCFGQDMVEDVDVKLLCKMLNAVFPSVGRQAVERIVTSMAKQVAAGERKGPGVKTVSKEAAQRQLKDLEFLKQNKLDSA >ORUFI05G24820.1 pep chromosome:OR_W1943:5:22963439:22967684:1 gene:ORUFI05G24820 transcript:ORUFI05G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRQPRRRETGAAERYREMGISAALSRPWDYPTACGEIAALLRIGYGDLPKAAQALVAGDVLLAFRLLPDVQTGYALSAANGLLQAVDGSLPKQKKAQAVSEFKRSVVAHKRRARVQQDPGVPHIPYDVLVHIFSFLDMRSLVAAGLVCWPWNSAANDNHLWEMNYSLFFGICHINCNSTPTAGNVQNTDYHVQNSIYQVSPDPGFNWKEAFHKKYAEQETWSSASNRALCGYCRSVIWLCDLTCATPHYCLNNGKDGVKLGPLLPHTVADYILDIADLAASSTESDDTDSDSENYPQARFWSLSYFLSVEIAAAPKNRENPETHDNLNKEDQHKQIEHVEEIPLNNEGLKKEAHLLMKVEALDQRKSMARVRMIQWHNRVRR >ORUFI05G24820.2 pep chromosome:OR_W1943:5:22963439:22968074:1 gene:ORUFI05G24820 transcript:ORUFI05G24820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRQPRRRETGAAERYREMGISAALSRPWDYPTACGEIAALLRIGYGDLPKAAQALVAGDVLLAFRLLPDVQTGYALSAANGLLQAVDGSLPKQKKAQAVSEFKRSVVAHKRRARVQQDPGVPHIPYDVLVHIFSFLDMRSLVAAGLVCWPWNSAANDNHLWEMNYSLFFGICHINCNSTPTAGNVQNTDYHVQNSIYQVSPDPGFNWKEAFHKKYAEQETWSSASNRALCGYCRSVIWLCDLTCATPHYCLNNGKDGVKLGPLLPHTVADYILDIADLAASSTESDDTDSDSENYPQARFWSLS >ORUFI05G24830.1 pep chromosome:OR_W1943:5:22966585:22968394:-1 gene:ORUFI05G24830 transcript:ORUFI05G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKEEESLVESVMDKISDKLHGRGGSSSSSSDSDGERTADLKAKIYRLFGREKPVHSVLGGGKPADLFLWRNKKISVGVLAGATAIWLLFEVMDYHLLTLLCHCIILTLAMLFLWSNASTFINKSPPNIPEVKIPEDLAVNVARSLRFEINRGFATLREIGQGHDLKKFLIVVVGLWVLSVLGSCCNFLTLFYIVFMVLYTVPVLYEKYEDQIDAFGEKAMIELKKYYAIFDEKCLSKIPKGPLKNKKH >ORUFI05G24840.1 pep chromosome:OR_W1943:5:22972741:22973364:1 gene:ORUFI05G24840 transcript:ORUFI05G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLDSFSSLGVGYAVAIALGFLVLLASLLLAFYFCSRRGGAGVVRRGGGQGVHSARHAVSSASSSGHISITVPRVIFVADDSDSPGSSSRGGAGGGAASSPVGLDPAVIASYPKVPFSRAATGADAEAACSICLCEYKEGEMQRMMPECRHRFHLMCLDAWLRRSASCPVCRSSPIPTPVSTPLATPLSELVPLSQYAADRRRHR >ORUFI05G24850.1 pep chromosome:OR_W1943:5:22975955:22976716:-1 gene:ORUFI05G24850 transcript:ORUFI05G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAENKNEPARPFAVPSPSVHPAATGVGEEEEAQAATGWRSMQYLRKRRRALCCCGCCVTTLVVVGLVILVLALTVFRVKDPRITMNGVWVTAISTGPGTGAGIGSTVATNATLTADVSVKNPNAASLRFSRSETDVYYKGKTVSVAYVPAGSVGADRTVRMNITLDLLADRLASVLNGTGLILGQEYDLTTYTAMRARVSVLGIIKKSLDVRMNCSVILDVAGIAGVLLPGDGAKSGVQTRSVDCVAIVS >ORUFI05G24860.1 pep chromosome:OR_W1943:5:22979218:22980660:1 gene:ORUFI05G24860 transcript:ORUFI05G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADPNPMVVLHACLGVGHLIPMVELAKLLLRRGLAVVIAVPTPPASTADFFSSSAPAVDRMAAANPSISFHHLPPPEYPDPDPDAFLQMLDTMRLTVPPLLAFLRSLPSVAALVLDLFCVDALDAATAAGVPAYFYYTSSAGDLAAFLHLPHHFATTEGSLKDMGKTPLRFPGVPPIPASDMPHTVLDRADRTCATRLGHYGRIPEARGILINSYEWLEARSVRALREGACIPDRPTPPVYCIGPLMAKGEEAANGERHACLSWLDAQPERSVVFLCFGSLGAVSVKQLKEIARGLENSGHRFLWVVRSPPQDPAKFFLPRPEPDLGMLLPEGFTERTRDRGMVVTSWAPQVEVLRHAATAAFVTHCGWNSVLEAASAGVPMLCWPQYAEQRMNKVLLVDGMQLGVVMDGYDEELVKAEEVEKKVRLVMEFEEGKKLRDRLTMAKEMAAKALADGGSSSLAFTEFLKDLNFGNTTKEKA >ORUFI05G24870.1 pep chromosome:OR_W1943:5:22982542:22983975:1 gene:ORUFI05G24870 transcript:ORUFI05G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNSKQSQTVGLHTILGAGHLAPMVELAKLFLGRGFAVIIAVPTPPASTADVAASSAPAVARIAAANPSISFHNLPPPDYPEPDPDGFQQLLDVIRLTVPILLTFLRSLPPVAAVVLDLFCVDALDAAAAVGVPAYFYFTSSAGVLAAFLHLPHYFATTEGDLKDMGKALLHFPGVPPIPASDMPHNVLDCADVIGASLVYHYRRMPEARGMLINTYEWLEAKAVTALGDGACVPDRPTPPVYCIGPLIVKGEDAAKGERHACLAWLDAQPERSVVFVSFGSMGAVSAEQLKEIARGLENSGHRFLWVVRSPPPEDPAKFSLPRSEPDLGALLPEKFLERTRERGMVVMSWAPQVEVLRHAATAAFVTHCGWNSILEAATAGVPMLCWPQYAEQRLNKVLVVDGMQLGVVMDGYDEELVKAEEVEKKVRLVMDSDEGKKLRGRLAMAKEMAAEALADGGPSCTAFSDFVDDLQRCK >ORUFI05G24880.1 pep chromosome:OR_W1943:5:22983989:22989172:1 gene:ORUFI05G24880 transcript:ORUFI05G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDDPLCLIGDSTRLLLRGDTFALNYALSDLVVTVSQLRTPFSKLLFSKSLMNSSNATSEDPASLMASNAISAATSSASTQNMSSRSASTAGSERRNSRSTGLASRSVSRLRLTWCAPGSGLAGAGRMRNVTEGLPAARRAIASADMASLLGGSITATMTPRPRRRRCLASSTMGFRWPTPSDGNSTKVFVVMARCCSELPIKRDVKKTETNALKSDSHKYI >ORUFI05G24890.1 pep chromosome:OR_W1943:5:22984780:22986198:-1 gene:ORUFI05G24890 transcript:ORUFI05G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKTFVMYPSLGVGHLNPMVELAKHLRRRGLGVVVAVIDPPNNDAVSADAMARLAAANPSITFRLLPAPDSPDVGAHPIKRSHDTLKLANPVLREFLRSLPAVDALLLDMFCVDALDVAAELAIPAYFFFPSQASALAVFLHLPYYYPNLPTFMEMGKAALLRFPGMPPIRTVDMPAMLRDKDSEATKVRLYQFKRMTEAKGVLVNSFDWLQPKALKALAAGVCVPDKPTPRVYCIGPLVDAGRKSRIGGERHACLAWLDAQPRRSVVFLCFGSQGAFPEAQLLEIARGLESSGHRFLWTVRSPPEEQSTSPEPDLERLLPAGFLERTKDRGMVVKNWVPQAEVVQHEAVGAFVTHCGWNSTLEAIMSALPMICWPLYAEQAMNKVIMVEEMKIAVSLDGYEEGGLVKAEEVEAKVRLVMETEEGRKLREKLVETRDMALDAITEGGSSEMAFDKFMRDLEESSLENGVRS >ORUFI05G24900.1 pep chromosome:OR_W1943:5:22987581:22989081:-1 gene:ORUFI05G24900 transcript:ORUFI05G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKTFVLFPSLGVGHLNPMVELAKHLRRRGLGVIVAVIDPPNNDAMSADAMARLAAGNPSVTFRILPAPASPDPGAHHVKRNLDTLRLANPVLREFLRSLPAVDALLLDMFCVDALDVAAELAIPAYFFFPSPASVLAVFSHLPYYYRNAPSLREMDKAALIRFPGIPPIRNVDMLATVKDKESETTKIRLYQFKRMMEGKGVLDKESETTKIRLYQFKRMMEGKGVLVNSFDWLEPKALKALAAGVCVPNEPKQRVYFIGPLVDARKKVGSGAERHACLAWLDAQPQRSVVFLCFGSQGAFPEAQLKELAHGLESSGHRFLWTVRSPPEEQSTSPEPDLERLLPAGFLERTKGRGMVVKNWVPQAEVVQHEAVGAFVTHCGWNSTLEAIMSALPMICWPLYAEQAMNKVIMVEEMKIAVSLDGYEEGGLVKAEEVEAKVRLVMEAEEGRKLRERLVETRDMALDAIKEAGSSEVAFDEFMRDLEKSSLENGVRS >ORUFI05G24910.1 pep chromosome:OR_W1943:5:22992470:22997943:-1 gene:ORUFI05G24910 transcript:ORUFI05G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTMVLYPGLSVSHFLPMMQFADELIDRGYAITVALIDPVFQQHIAFPATVDRAISSKPAIRFHRLPRVELPPAITTKDNDFSLLGYLDLVRRHNECLHDFLCSMPPGGAHALVVDPLSVEALDVAKRLNVPGYVFHPGNASAFAIHLQLPLIRAEGQPSFRELGDTPLELPGLPPIPVSYLYEELLEDPESEVYKAIVDLFHRDIQDSNGFLMNTFESLEARVVNALRDARRHGDPAALPPFYCLKEIAVGLEKSGHRFLWVVRAPIVVNNDPEKPYDPRADPDLEALLPAGFLERTSGQGAVVKQWAPQVDVLHHRATGAFVTHSGWNSVLEGITAGVPMLCWPLYSEQKMNKVLMVEDIGIAVEMVGWQQGLVTAEEVEAKVRLVMESEAGNQLRARVTTHKEAAAVAWGDGGSSRAAFAEFLLDADSRQSH >ORUFI05G24920.1 pep chromosome:OR_W1943:5:22997965:23013233:-1 gene:ORUFI05G24920 transcript:ORUFI05G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTMVLYPGLSVSHFLPMMKLADELVEHGYAVTVALIDDPLQKQIAFTATVDRVISSKPSICFHRLPRVDHLPAVTTNDGEFYLPGYLDLVRRHNEPLHGFLSSHFRGGIQALVVDMMSVEALDIAERLKVPGYLFHPSNASLFAFFLQIPSICAESKRSFSELGDTPLEIPGLPPMPASHFIDNRPEEPPESEVYKAVMDLVRRYTNKCSNGFLVNTVDSLEARVVNTLRHARRQGGRALPPFYCVGPLVNKAGERGERPERHECLAWLDRQPDRTVVFLCFGSTGIGNHSTEQLREIAVGLEKSGHRFLWVVRAPVVSDDPDRPDLDALLPAGFLERTSGQGAVVKQWAPQVDVLHHRATGAFVTHCGWNSVLEGITAGVPMLCWPLHSEQKMNKVLMVEEMGIAVEMVGWQQGLVTAEEVEAKVRLVMESEAGVELRARVTAHKEAAAVAWTDVGSSRAAFTEQPTDILADELVDHGYAVAVALIDPAFQQHTAFPATVDRVVSSKPTVRFHRLPRVELPPATATDDGDFLLLGYLDLVRRHNECLHDFLCSMLPGGVHAFVVDSLSVEALDVGERLNVPGFPRVVNALRDSRCHHGGPALPPFYCIRPLVEKADERRDRAERHECLAWLDRQPERSVVFLCFGSTGAGSHSVEQLREIAVGLEKSGQRFLWVVRAPRVAIDDDDDSFNPRAEPDVDALLPAGFLERTTGRGVVVKLWAPQVDVLYHRATGAFVTHCGWNSVLEGITAGVPMLCWPLHSEQKMNMVLMVEEMDIAVEMAGWKQGLVTAEELEAKVRLVMESEAGSQLRARVTAHKEGAATAWADGGSSRSAFARRGKRKWEAPHCGAIARREDGEPEPKRCRPAAPTLPFPALLRHLPCSPRSPSAQPPLCRGLDRHPLSCLSSLRSGPPSATTFIFTTSRAHLSATIVIGAAIPTANFAVGVHGYGSEDLVGGSGCTDLERIGECYGGVGGDQGWQCGGGDERGGGMEREEKNRREGEEGLKKGSEPNIWDSLFRLDSVGRETTLQSTLQCLHSTN >ORUFI05G24930.1 pep chromosome:OR_W1943:5:23013260:23018655:-1 gene:ORUFI05G24930 transcript:ORUFI05G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCACAPNIISSLPSSEYGPTSSLHSCAATASHLAVAFCTSRTCRIADQDNTPASGRSATSPSGSPGESTEAWPLRERVALAVAGEERAEVAVKNSGSLHQAFVEAPLIPHRSTPN >ORUFI05G24940.1 pep chromosome:OR_W1943:5:23021372:23022766:-1 gene:ORUFI05G24940 transcript:ORUFI05G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETVVVNAGLGVGHLAPMVELANLFPRHGLAVTVVLIEPPAKPPSFAAAVSRSMASNPRITFHVMPSPSCHSNVPELIRAMNAPLREYLRSSVPSARAVVFDMFCACALDVAAELGLPAYFFQCGGASHLAVGLHLPHVQAEINASFGEIGDEPLLFPSVPPFKPSDLPKAALDRNDEMYRWILGVFERLPESRGILVNTFQWLETKALRALGDGACVVGRPTPPVCCVGPLVSRSGEDKKHGCLSWLDAQPEKSVVFLCFGSMGSFPKEQLAEIAIGLERSGQRFLWVVRRPHAGEASLSGLLAGCHGTHGELDIDELMPEGFLERTKGRGLAAGSWAPQADVLRHRATGAFVTHCGWNSVLEGIAAGVPLLCWPLYAEQRLNKVFIVEEVGVGAVMAGYDGEVVRAEEVEAKVRWMLESNEASPIRERVALAKERAEEATRKSGSSHQSFVKFLIDFGVTK >ORUFI05G24950.1 pep chromosome:OR_W1943:5:23024766:23032317:-1 gene:ORUFI05G24950 transcript:ORUFI05G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGIGSGSGGGTTPVRPRWGSGVTTPRSLSTGSSPRGSDRSSDDGEELVEVTLDLQEDDTIVLRSVEPAAGGAAVASSSGASPSAVAPPRRAEPPGGVASRSRSPAMRRTSSHRLLQFSQELKAEAMARARQFSQDLTKRFTRTQSTTTAPPGIESALAARAERRQRAQLDRTKSGAQRAIRGLRFISGPNKASNAWIEVQANFDRLARDGYLSRDDFPQCIGMTESKEFAMELFDTLSRRRQMQVDKINKEELREIWQQITDNSFDSRLQIFFDMVDKNADGHITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEQLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLAGLRKRSPIRKISTKLSYYLEDNWKRLWVLALWIGIMAGLFIWKFIQYRHRYVFNVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRNTRAARALPFDDNINFHKTIAAAIVVGVILHGGLHLVCDFPRLIGSSEEKYAPLGKYFGETKPTYLTLVKGVEGITGVIMLVCMIIAFTLATRWFRRSLVKLPKPFDKLTGFNAFWYSHHLFIIVYISLVIHGEWLYLIRIWYKRTTWMYLAVPVCLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFSAACEPPVGGKSGLLRADETTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLINSIIKMEEEEEASGDLYPPIGRNKAHVDLDTLMRITSKPKRVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNFKKVLSKIASKHPYAKIGVFYCGAPVLAQELSDLCHDFNGRCTSKFEFHKEHF >ORUFI05G24960.1 pep chromosome:OR_W1943:5:23036346:23036944:-1 gene:ORUFI05G24960 transcript:ORUFI05G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRIHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDVSRTAFPGNRPVKKEGLASIL >ORUFI05G24970.1 pep chromosome:OR_W1943:5:23039012:23044326:-1 gene:ORUFI05G24970 transcript:ORUFI05G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLEAAAGDQQHGRLLIPQLPAAYLASSSMAALSPAGDDWAASLILPDGGSAAAGVGEDDLGGGVMAAAAAESSCGGSSTVTSSGVTEAAAAAATTTRRGRGNGKKAGGGGRTPRFAFHTRSENDILDDGYRWRKYGQKAVKNSDFPRSYYRCTHHTCNVKKQVQRLAKDRGIVVTTYEGVHNHPCEKLMEALSPILRQLQLLSQL >ORUFI05G24980.1 pep chromosome:OR_W1943:5:23054289:23056920:-1 gene:ORUFI05G24980 transcript:ORUFI05G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSHQLVWVQGPIVVGAGPSGLAAAACLKEKGIDSLVLERSSCLAPLWQLKMYDRLSLHLPRQFCELPLFPFPASYPDYPTKQQFVAYLESYAAKFGINPMYNHTVVCAEFDERLMLWRVRTTQATGMMEDDVEYVSQWLVVATGENSEAVLPVIDGLEEFRGSVIHTSAYKSGSKFAGKTVLVVGCGNSGMEVCLDLCNHNGYPRIVVHILPREMLGQPTFRLAMWLLKWLPIHIVDRILLLVARAILGDTSQFGLKRPSLGPLELKSLSGKTPILDIGTLAKIKSGDIKVRPAIRRIAGQQVKFVDGRSEQFDAIVLATGYKSNVPCWLKDQGLFSEKDGLPRKAFPNGWKGERGLYSVGFSRRGLMGTAADARRIAHDIHMQWKSSKGRSRPAKPSP >ORUFI05G24990.1 pep chromosome:OR_W1943:5:23061428:23062550:1 gene:ORUFI05G24990 transcript:ORUFI05G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRFVIRNSPRFASCVGDSSGGNLAATAPLQLSSLPRRRLSEWPAKLAGLAAAVTPGSGAEDQKMEPATSMPASRRVHGRGGGGEGAAGSGPEAGGMALATTSSSGAAELGSGRI >ORUFI05G25000.1 pep chromosome:OR_W1943:5:23062693:23063181:-1 gene:ORUFI05G25000 transcript:ORUFI05G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSSQSKASQGFRQRHPKPHNPPALRTLPDHHIVPLATKPSPLPCRCHPLLHGLTKRDPSPQAPATPPTRLDPAKATMDPAVKARPAAAIITTSAHTPLRRRQDSLPQPPVSAAGGRHCLRADEGTHPPSVARAQPPPLSLASRSHPRTGKGAPAPTSP >ORUFI05G25010.1 pep chromosome:OR_W1943:5:23065056:23065992:-1 gene:ORUFI05G25010 transcript:ORUFI05G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLANLRGSFPFVCANPLLLWLRLPQAFLILTVLDPICLRMIVLMLGEKSDENVAIVFKVANMKGMIPVLAMNLVYKLANGPIITVWFLL >ORUFI05G25020.1 pep chromosome:OR_W1943:5:23068051:23073990:1 gene:ORUFI05G25020 transcript:ORUFI05G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARAALLRRHCLAAAGGANPVLFSGHGLRYRKLEVILTTTIDKLGKAGEVVKVAPGHFRNHLMPKMLAVPNIDKFALLIHEQRKLYQRQEEEVVKEVRQEDDDAKQQEEKLKEYQTAAKCLDNALLVLRRFISVGNELRSPVTKDEIVSEVARQLNINIHPDNLHLPSPLASLGEFELPLRLPRDIPRPEGKLQWTLTVKIRRK >ORUFI05G25030.1 pep chromosome:OR_W1943:5:23071310:23074212:-1 gene:ORUFI05G25030 transcript:ORUFI05G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKSPLSQMGSRDRDRELLIPVSGGGSAPGDGDGDGDRAASSSASAALSSSSREAFHKVVRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGINMFGLGFITSVTFIFVVGVFMSSWVGASVLSLGEWIIKRMPLVRHIYNASKQISAAISPDQNKQAFKEVVIIRHPRIGEYAFGFITSSVSLQSYTGQEELYCVYVPTNHLYIGDIFMVNSKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDPQTILGDRTGASRS >ORUFI05G25040.1 pep chromosome:OR_W1943:5:23079002:23079730:-1 gene:ORUFI05G25040 transcript:ORUFI05G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEQRGRVFVLTLTGDGEHRLGHSLIGSIRAAVSSVAAAAAAAGPGAALVTVAEGRFFSNGLDIGPGGIPPSRVGELVVALRPLAADLLALPMPTVAAVTGHASAGGFLLTLCHDYRLMRADRGVLYMSEIDIGLPLPPYFVAILRAKITAAHALRDVTLRGRKLKAAEAKEMGIVDVVCPTAAETAAEAVKLAEQLAARKWNGNVYSSIRISMFPEACRSVGIVEESDEEKRNHFASKL >ORUFI05G25050.1 pep chromosome:OR_W1943:5:23080892:23083344:-1 gene:ORUFI05G25050 transcript:ORUFI05G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFRFLGDMTHLFSVLVLLLKIYATKSCSGVSRKTQELYMLVFVARYMDLFTDYISLYNSVMKVVFITSSAAIVWCMRRHPQVRRTYDKDQDTFRHVVLVAAAFVLALLFNERFTFREICWAFSIYLEAVAILPQLVLLQRSRNVDNLTGQYVFFLGAYRAFYILNWIYRYFTEGHHSRWIPWIAGLVQTALYADFFYYYFLSWKNNVKLELPA >ORUFI05G25060.1 pep chromosome:OR_W1943:5:23083774:23086291:1 gene:ORUFI05G25060 transcript:ORUFI05G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTPKVDENSKMLKKPKPWKHTQAITPTQLSKMREEFWDTAPHYGGQKEIWDALRAAAEAELPLAQTIVNSAGIVVSNSDMTLCYDERGAKYELPKYVLSEPTNLIREP >ORUFI05G25070.1 pep chromosome:OR_W1943:5:23087014:23087721:-1 gene:ORUFI05G25070 transcript:ORUFI05G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFGRQPCKREQELGWESFHPSSAHHLFDEMSSPLEVFEEDVLLVMSEENITWDEALHLLQEELKDAQCRFDEKLDRFLEVFGLMGDKSNQSEGDKRSNEFKEFSASIKELTPTTEAAAFQSPQASPSSAPTKCSMICFGLDTMSDLNMAAAVVCATTSLASVELVARGNATCEPYVNTPGHPKETHTKCSMVGFEVKGGTDHTKVTCHTMMGVPDGVLVPEASFHEASKYPIL >ORUFI05G25080.1 pep chromosome:OR_W1943:5:23092576:23097236:1 gene:ORUFI05G25080 transcript:ORUFI05G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRQALKTLSEAEKQLRVSNDKMTWLTAALLQLAPDKQYVLPSSSTSASFNQGLLTCPEGDIGRSTAIDHTNIYAGPHGLPRNANLGVDSDNNMAGTRRGKGPGEHTPDNHVLLARATRVNLSRYRKSDNEMIWQAVLEHIRSDSVRKMLAKEARLISVSLGTAPTVQLMFSSRVNKSKAERSREQVLHAFESVLASAITLEIRYEPKDDARAGHVPAISPYPEDSTSNVALRRSFTRHSSASSRGENLIRRLQKDNVVHGANSNQTRWVQSDPHILTEGEIIEVGPSQVDWHSEPNNSAGVRKENIVLQGREVVNNEHGRQNSIVRGKVSLAHVINKAETCSQQGGWSRQKAMSIAEKLEQENLRLEPKSSLLCWKASSTTRRKLSALKMRTRRSRALSRLAFCGKCISTKSPR >ORUFI05G25080.2 pep chromosome:OR_W1943:5:23091870:23097236:1 gene:ORUFI05G25080 transcript:ORUFI05G25080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAATAAAGMGSGGGGGGSGEHHMRGHAHLTNCIHLRHHHAHGLGAGSASSGRRRSPTGSSASASAALMRDLLALQRSRSLRDPSTRRSVDSSSRVAAEPQGVGLGLGDDLHDDSSRPGGALKTLLDQLAENPQPKPSRGPRRRFKGGAGRRAAPASATGGALDRGVDGAAAVSVNSSSQEAVCGNKYLFGVVGGDDDDDDECGVELQRPQASQDSRNVCGIPWNWSRIHHRGKSFLDMAGRSFSCGLSDPKSASAARRSIAATSAGSCGHMDGSRSHPHFPVTARLTSTSSDSDSLPLLVDGVRNGIGGIARSFSGELGIFSNQTSELDSDLASEARSGQRSRGSHRGRHQSLTQKYSPKTFKDMIGQSLVVQALSNAILKRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSPEHPRPCDSCASCIAHNLGKSRSLVEIGPVGNIDLDSIVDILDNVMLSPVPTQHRVFIVDDCNTLPPDTWSVISKVIERAPRRVVFILISPNLDLPHIIVSRWVTEGCRDDFGSAELAWAENLNVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETVSKDDMEKLRQALKTLSEAEKQLRVSNDKMTWLTAALLQLAPDKQYVLPSSSTSASFNQGLLTCPEGDIGRSTAIDHTNIYAGPHGLPRNANLGVDSDNNMAGTRRGKGPGEHTPDNHVLLARATRVNLSRYRKSDNEMIWQAVLEHIRSDSVRKMLAKEARLISHQLCN >ORUFI05G25080.3 pep chromosome:OR_W1943:5:23091714:23097236:1 gene:ORUFI05G25080 transcript:ORUFI05G25080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAATAAAGMGSGGGGGGSGEHHMRGHAHLTNCIHLRHHHAHGLGAGSASSGRRRSPTGSSASASAALMRDLLALQRSRSLRDPSTRRSVDSSSRVAAEPQGVGLGLGDDLHDDSSRPGGALKTLLDQLAENPQPKPSRGPRRRFKGGAGRRAAPASATGGALDRGVDGAAAVSVNSSSQEAVCGNKYLFGVVGGDDDDDDECGVELQRPQASQDSRNVCGIPWNWSRIHHRGKSFLDMAGRSFSCGLSDPKSASAARRSIAATSAGSCGHMDGSRSHPHFPVTARLTSTSSDSDSLPLLVDGVRNGIGGIARSFSGELGIFSNQTSELDSDLASEARSGQRSRGSHRGRHQSLTQKYSPKTFKDMIGQSLVVQALSNAILKRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSPEHPRPCDSCASCIAHNLGKSRSLVEIGPVGNIDLDSIVDILDNVMLSPVPTQHRVFIVDDCNTLPPDTWSVISKVIERAPRRVVFILISPNLDLPHIIVSRCQKFFFPKLKECDIVNTLQWISTSEGLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGTYAFTQERVRRKFFKCPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKMTWLTAALLQLAPDKQYVLPSSSTSASFNQGLLTCPEGDIGRSTAIDHTNIYAGPHGLPRNANLGVDSDNNMAGTRRGKGPGEHTPDNHVLLARATRVNLSRYRKSDNEMIWQAVLEHIRSDSVRKMLAKEARLISVSLGTAPTVQLMFSSRVNKSKAERSREQVLHAFESVLASAITLEIRYEPKDDARAGHVPAISPYPEDSTSNVALRRSFTRHSSASSRGENLIRRLQKDNVVHGANSNQTRWVQSDPHILTEGEIIEVGPSQVDWHSEPNNSAGVRKENIVLQGREVVNNEHGRQNSIVRGKVSLAHVINKAETCSQQGGWSRQKAMSIAEKLEQENLRLEPKSSLLCWKASSTTRRKLSALKMRTRRSRALSRLAFCGKCISTKSPR >ORUFI05G25080.4 pep chromosome:OR_W1943:5:23091714:23097236:1 gene:ORUFI05G25080 transcript:ORUFI05G25080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAATAAAGMGSGGGGGGSGEHHMRGHAHLTNCIHLRHHHAHGLGAGSASSGRRRSPTGSSASASAALMRDLLALQRSRSLRDPSTRRSVDSSSRVAAEPQGVGLGLGDDLHDDSSRPGGALKTLLDQLAENPQPKPSRGPRRRFKGGAGRRAAPASATGGALDRGVDGAAAVSVNSSSQEAVCGNKYLFGVVGGDDDDDDECGVELQRPQASQDSRNVCGIPWNWSRIHHRGKSFLDMAGRSFSCGLSDPKSASAARRSIAATSAGSCGHMDGSRSHPHFPVTARLTSTSSDSDSLPLLVDGVRNGIGGIARSFSGELGIFSNQTSELDSDLASEARSGQRSRGSHRGRHQSLTQKYSPKTFKDMIGQSLVVQALSNAILKRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSPEHPRPCDSCASCIAHNLGKSRSLVEIGPVGNIDLDSIVDILDNVMLSPVPTQHRVFIVDDCNTLPPDTWSVISKVIERAPRRVVFILISPNLDLPHIIVSRCQKFFFPKLKECDIVNTLQWISTSEGLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGTYAFTQERVRRKFFKCPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKMTWLTAALLQLAPDKQYVLPSSSTSASFNQGLLTCPEGDIGRSTAIDHTNIYAGPHGLPRNANLGVDSDNNMAGTRRGKGPGEHTPDNHVLLARATRVNLSRYRKSDNEMIWQAVLEHIRSDSVRKMLAKEARLISHQLCN >ORUFI05G25080.5 pep chromosome:OR_W1943:5:23091714:23097236:1 gene:ORUFI05G25080 transcript:ORUFI05G25080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAATAAAGMGSGGGGGGSGEHHMRGHAHLTNCIHLRHHHAHGLGAGSASSGRRRSPTGSSASASAALMRDLLALQRSRSLRDPSTRRSVDSSSRVAAEPQGVGLGLGDDLHDDSSRPGGALKTLLDQLAENPQPKPSRGPRRRFKGGAGRRAAPASATGGALDRGVDGAAAVSVNSSSQEAVCGNKYLFGVVGGDDDDDDECGVELQRPQASQDSRNVCGIPWNWSRIHHRGKSFLDMAGRSFSCGLSDPKSASAARRSIAATSAGSCGHMDGSRSHPHFPVTARLTSTSSDSDSLPLLVDGVRNGIGGIARSFSGELGIFSNQTSELDSDLASEARSGQRSRGSHRGRHQSLTQKYSPKTFKDMIGQSLVVQALSNAILKRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSPEHPRPCDSCASCIAHNLGKSRSLVEIGPVGNIDLDSIVDILDNVMLSPVPTQHRVFIVDDCNTLPPDTWSVISKVIERAPRRVVFILISPNLDLPHIIVSRCQKFFFPKLKECDIVNTLQWISTSEGLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGTYAFTQERVRRKFFKCPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKMTWLTAALLQLAPDKQYVLPSSSTSASFNQGLLTCPEGDIGRSTAIDHTNIYAGPHGLPRNANLGVDSDNNMAGTRRGKGPAPTVQLMFSSRVNKSKAERSREQVLHAFESVLASAITLEIRYEPKDDARAGHVPAISPYPEDSTSNVALRRSFTRHSSASSRGENLIRRLQKDNVVHGANSNQTRWVQSDPHILTEGEIIEVGPSQVDWHSEPNNSAGVRKENIVLQGREVVNNEHGRQNSIVRGKVSLAHVINKAETCSQQGGWSRQKAMSIAEKLEQENLRLEPKSSLLCWKASSTTRRKLSALKMRTRRSRALSRLAFCGKCISTKSPR >ORUFI05G25090.1 pep chromosome:OR_W1943:5:23102771:23105558:-1 gene:ORUFI05G25090 transcript:ORUFI05G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPSPPLLAESLATLRTASPSPPIPCSPRRTRPLVSARFARTAGRRSRSTGGRRDLRSCAYAAEAEYGSAEDEVADDFYSVLGVMPDATPEEIKKAYYSCMKACHPDLSGDNPEVTNFCMFINEVYTVLSDPVQRAVYDEIHGYTATATNPFFDDSAPKDQVFVDEFTCIGCKYCANVCPNVFRIEEDYGRSRVYSQSGSTELIQEAIDSCPVNCIHWTSAAQLSLLENEMRRIERVNVGLMSAGMGVSVDVFRLASVRWEKRQSKVLKKKEQRERREQLLLLGDGENTREKAQTGHRSTNFQRQRAIKNEFRGKFPLAVVW >ORUFI05G25100.1 pep chromosome:OR_W1943:5:23113909:23117840:-1 gene:ORUFI05G25100 transcript:ORUFI05G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMSTSGSESDSVAAKRSMKPKYSKFTQQELPAWKPLYTPGIVIGAFSLIGIIFIPIGLVSIAASQEVVELVDKYDGECVTANDKVGFIQDTKTDKACTRTITVPKPMKGPIQVYYQLENFYQNHRRYVKSRSDKQLRSKEFSSVIKTCDPEAISEGGAPIVPCGLIAWSLFNDTFTFSVNKKTVQVNKKNIAWSSDRTIKFGSDVFPENFQKGGLIGGGQLNEKLPLSEQEDLIVWMRTAALPTFRKLYGRIETDIMASDEITVVIQNNYNTYSFGGTKALVLSTTSWIGGKNNFIGFAYVAIGTISFLIALAFVGLNMVKPRTLGDPSYLSWNKENPDYVQ >ORUFI05G25110.1 pep chromosome:OR_W1943:5:23119792:23120097:1 gene:ORUFI05G25110 transcript:ORUFI05G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYGVTRFVAACLAASLVVLLLRCSWCEGRKLMLAAEERGGDEVMHFEGGLELRVAVWRRRRGGGSGVAVLPPPRPRGFSASGGRTERLMRSVPSPGVGH >ORUFI05G25120.1 pep chromosome:OR_W1943:5:23122138:23122647:-1 gene:ORUFI05G25120 transcript:ORUFI05G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALRSAQTAYYFQPVHPQIVPDPSSFEKGPFYALIRSKVKRLIASRGIKKRQQSSPTMVSMSESPEPEEASAQHQESALVALTARLCRRSPRIPSASAAAAAPDGYPELQAALEVHHLITASLLRRQHKLPPFAPRAAEQEHDEERGEARLREPSDTVAAHGLDGRAA >ORUFI05G25130.1 pep chromosome:OR_W1943:5:23122162:23127467:1 gene:ORUFI05G25130 transcript:ORUFI05G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYGVTRFAEACLAAFLVVLLLRCSRCEGRKLMLAAEERGGDEVMHFEGGLELRVAVWRRRRRRGRGDSRRAAAEPSEAYGQNLSMSMQKKIPSILNQ >ORUFI05G25140.1 pep chromosome:OR_W1943:5:23125416:23128365:-1 gene:ORUFI05G25140 transcript:ORUFI05G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFDAWVGVQRHGQDLADRLAQGFSGLLLHAHPPQLHWSAPALPAKLIPFEIDLPVVPFVVGGRRAGGGRGAADLPAAAVASFVEIGGRLGQAGSELGACVGGAVQQLARQILVPFLAESARGRKWEAIPPQPAAAAAAAATVNEGEVALAVERAEDKGLASERVGDRGPLEVAAAAAAAATGSATATSVGGAEGGLDEEDDGFGCDIGTIGNFKKAKGTINISATYETRHHDFETSVVARGDLWRLESSRGGSNSGDENAPLFLVQLGPLLFVRDSTLLLPIHLSKQHLLWYGYDRKNKMHSLCPAIWSKHRRWMVMSMMCLNPVTCSFMDVQFPNGQLTYVAGEGITASGFFPFFGGLLQAHGKCPGETRLSFSFKSKQGTRFTPMFQWPDNSLSFGVAQALAWKRSGLMVRPSIQVSVCPTFGGSDPGIRAEFVHSLKEELNVMCGFSCARHPSAFTAVSLGRSKWNGQVGSSGLVITLETPLDNLARPSLSVQLNGGFEL >ORUFI05G25140.2 pep chromosome:OR_W1943:5:23125418:23128345:-1 gene:ORUFI05G25140 transcript:ORUFI05G25140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFDAWVGVQRHGQDLADRLAQGFSGLLLHAHPPQLHWSAPALPAKLIPFEIDLPVVPFVVGGRRAGGGRGAADLPAAAVASFVEIGGRLGQAGSELGACVGGAVQQLARQILVPFLAESARGRKWEAIPPQPAAAAAAAATVNEGEVALAVERAEDKGLASERVGDRGPLEVAAAAAAAATGSATATSVGGAEGGLDEEDDGFGCDIGTIGNFKKAKGTINISATYETRHHDFETSVVARGDLWRLESSRGGSNSGDENAPLFLVQLGPLLFVRDSTLLLPIHLSKQHLLWYGYDRKNKMHSLCPAIWSKHRRWMVMSMMCLNPVTCSFMDVQFPNGQLTYVAGEGITASGFFPFFGGLLQAHGKCPGETRLSFSFKSKQGTRFTPMFQWPDNSLSFGVAQALAWKRSGLMVRPSIQVSVCPTFGGSDPGIRAEFVHSLKEELNVMCGFSCARHPSAFTAVSLGRSKWNGQVGSSGLVITLETPLDNLARPSLSVQLNGGFEL >ORUFI05G25150.1 pep chromosome:OR_W1943:5:23135736:23138244:1 gene:ORUFI05G25150 transcript:ORUFI05G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNLGGGGGGGGGGGPPPFLIKTYEMVEDAATNHVVSWGPGGASFVVWNPLDFSRDLLPKYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEDFIRGHTHLLKNIHRRKPVHSHSLQNQINGPLAESERRELEEEINRLKYEKSILVADLQRQNQQQYVINWQMQAMEGRLVAMEQRQKNIVASLCEMLQRRGGAVSSSLLESDHFSKKRRVPKMDLFVDDCAAGEEQKVFQFQGIGTDAPAMPPVLPVTNGEAFDRVELSLVSLEKLFQRANDACTAAEEMYSHGHGGTEPSTAICPEEMNTAPMETGIDLQLPASLHPSSPNTGNAHLHLSTELTESPGFVQSPELPMAEIREDIHVTRYPTQADVNSEIASSTDTSQDGTSETEASHGPTNDVFWERFLTETPRSCLDESERQESPKDDVKAELGCNGFHHREKVDQITEQMGHLASAEQTLHT >ORUFI05G25160.1 pep chromosome:OR_W1943:5:23138831:23142195:-1 gene:ORUFI05G25160 transcript:ORUFI05G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGRDGNPLGGYRIGKTLGIGSFGKVKIAEHILTGHKVAIKILNRRKIKSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPLARDLIPRMLVVDPMKRITIREIREHQWFTVGLPRYLAVPPPDTAQQVKKLDDETLNDVINMGFDKNQLIESLHKRLQNEATVAYYLLLDNRLRTTSGYLGAEFHESMESSLAQVTPAETPNSATDHRQHGHMESPGFGLRHHFAADRKWALGLQSRAHPREIITEVLKALQELNVCWKKIGHYNMKCRWSPSFPSHESMMHNNHGFGAESAIIETDDSEKSTHTVKFEIQLYKTRDEKYLLDLQRVSGPQLLFLDLCSAFLTQLRVL >ORUFI05G25170.1 pep chromosome:OR_W1943:5:23148099:23149514:-1 gene:ORUFI05G25170 transcript:ORUFI05G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASVVLLLHLLSMAAAATAALAMDPAERETLLLVMEAVSSDREWRSVGPDPCGSPWPGLECKPVPAAGNVSSAAARLHVTRLDFGVAPNPTCKDGAAFPHLAFALPHLQSLFLVDCFKNPAATTAFTLPPSANLTSSRLQQLSVRSNPSLSGTLPPQLSSIRSLQVLTVSQNALIRGEVPQGIGELKSLVHLDLSYNSLTGTIPSRIGELRSLVGLDLSYNSFSGSIPGQLGDLAMLQKLDLSSNNLTGGVPATITGLTSLTFLALSNNGLSGHLPAGLSDLLDLQYLIMENNPMGVPLPSELGDIARLQELRLANSGYSGSIPETLGRLASLTTLSLENNNLTGRIPAGLSRLKRMYHLNLSKNGLDGVVPFDGAFLRRLGRNLDLSGNPGLCVDGRAVLQADVGVGVCRRAGDGGDIASVSAATDVLSVGTLFRRDGQLWLAGGRWSALLLIRPVAVALCCSCCLLL >ORUFI05G25180.1 pep chromosome:OR_W1943:5:23157554:23162758:1 gene:ORUFI05G25180 transcript:ORUFI05G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVEELDEFEVLWPDTDAADDDAPPPAISPAPPVQPYETRAPTPRVKHSRPVDVPCRGARLHRWNWRDGGASMEEDGHGSVVGKVVIVPPHLLLLFGVRRPEEEEEEEMAAAPCTLPSSLGTRPCKRARDLRHLRNSVLRMTGDQTLRMPWILTPPYINTPSPSPRRPLSVVQETAGDGDGDAMEEFQEADILWPEPAEDNSDDGAVVVTTTPSPVARRPVGSPESSSLSAPVEIAASRRKRRSRSWASEYNMFDQTNDDDDAVKKKMMNNGVMVAPPHAIVDRRRLRGRTAAYSMCAGKGRTLKGRDLRNVRNLDCKLLARGINASKTSTLSCLQQRTGVV >ORUFI05G25190.1 pep chromosome:OR_W1943:5:23163022:23163911:1 gene:ORUFI05G25190 transcript:ORUFI05G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKIHCAMAALLLAILLPVSHASGRYVAPAPAPVPVPPPPRTSPPSRIQPVVVVQGTIYCKSCNLSGYNRYMDATATDKNGYFLVMVYRLDVFRRSRCRVYLGSSPSPLCAAPFIPSNKWLGLTLERERVASLPKGVRGVYRPKSTLMFGPGTGGKCPAAAAADAAGVPMM >ORUFI05G25200.1 pep chromosome:OR_W1943:5:23165974:23167234:1 gene:ORUFI05G25200 transcript:ORUFI05G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNASIQPSCSAKQQGHTGGGEAVACERSASSSPYGQTQLPINLCSMYSTIQAVKLATNSSSRPLEFATAPQPNVLLRDWTNLGEGPAGLIAERLLASDVADYVTFRAVCRPWRLCCADPRAHGVLDRRFHPRRWITLRGTRAPPRAAAASAVCTPPPPARENMIVATASYHMAHRCC >ORUFI05G25210.1 pep chromosome:OR_W1943:5:23168564:23170047:1 gene:ORUFI05G25210 transcript:ORUFI05G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSIAMALLFVKSFVVLSLAAVLLALDGGGGGGGASAMGLPPPPPTVNFSIGVQGMVWCKTCRYPGYLAAMDASPLAAVAYLRCRHGHRRVASIRGVAGSGGYFRIETSQLTSFTSQECRVYVPRSPSRACAVPGHGRRGLPLKFEEFVKRDNGLQGLYSVGNFVFSPKYPNKCY >ORUFI05G25220.1 pep chromosome:OR_W1943:5:23171261:23175897:1 gene:ORUFI05G25220 transcript:ORUFI05G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAATLGMRCGSYGSLASAGGGRKGGGRGWGWRGGGEKERLQLLHRALRLVGRRRAGVLLLLAAASAAVFCSIFAVVKDDNASMIIANNYEVANTIQNSVYPSMTRPLMTSSDQFSASSVNKTELPNRLRLSFANFTHHPCEGFSVAPPLVDPKRTGPRPCDVCYVPVDQAFALMPPQPSPSPVLKNLSYVFEDNITANFSNQGSVFGGHPSLEQRNKSFDISESMTVHCGFVRGKKPGQGSGFDINDDDLLEMEKCRELVVASAIFGNYDMIQHPRNASEFSKANAYEETEAYVKNSSSLYRNNKVGLWRLVVVRNLPYEDPRRTGKIPKLLLHRLFPNVRFSVWIDAKLKLVVDPYLLLERFLWRKNATFAISRHYKRFDVFEEAEANKAAGKYDNASIDYQIEFYRNEGLTYYAPAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQISFSTVRDKIRARVGWMPEMFLDCERRNFVIQGYHRELLEQMIASGWKPPPTASEPSRKLRLGSRKAPPSKKSSMKRKRVKKSSSRRRLPKPITRMTDTTTWQKLGA >ORUFI05G25230.1 pep chromosome:OR_W1943:5:23176171:23177001:-1 gene:ORUFI05G25230 transcript:ORUFI05G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKSEEIRVDSLEAVQKLAEKRGDERGVLVFIYAVDGVHHAKEIADALGDLKKNNDWHVNNLGVVEALSTLYGPLFLSIGILRIILRHRHDNGITAVRKQAPQLLRQGRKLKTMELGGITGTVFLGQNHQESVPQGGRGMGDRIINLCNQVIGNDAEHRTKRNKPVSDEWFDKADKLLKDIEMFVTQPVHLPVDPDAASGRDERLPAAAADDDHLPPLPALLRNKRSASPAAAAEAEGEHLLAASGSASDHEESLPRRRRRLSPNLPAVPDVIPK >ORUFI05G25240.1 pep chromosome:OR_W1943:5:23177885:23179057:1 gene:ORUFI05G25240 transcript:ORUFI05G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVGNRGADDGMLQANGVDLVRMFDAEPWTAGALVDTRIQIVIAVPEDQIAYVSSDPRSGRLWVRAERLLLPQDQHRRQMLTELVHSKHTQNVEWINAELG >ORUFI05G25250.1 pep chromosome:OR_W1943:5:23180646:23182589:-1 gene:ORUFI05G25250 transcript:ORUFI05G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLSAIEELSLTQFPVYNAKYYNFPSSILFNRGGNSIKHIHLSYCAFRPTGGLKFLRRLHLREVHITGDELECLLSNSFALEQLTLKHCKELNYLRIPCKLQQLKDLEVYECKALQMMEVKAPNLSTFYYDGNLARLSDGGLLAVKKLHISSFYRYNNGIIMFYRYNNVHYASANLSSIVPTIETLIISSFGEEVNTVVAPFKFLHLKSLMINLIGFNGAFSPAYDYLSLAYFIDVSPVLETFTLIVSQIRMEHDVISEDSSHLRQMPRSIHGNIKNVNIIGFCSAKSMIELTCHILENATSLECLTLDTIHDDYVHPDRLSVHEVGFCGCIGSPMIMEAENALLAIKRYIVGKVPSTVKLVVLKPCGWCHATKGLGVKDHRVA >ORUFI05G25260.1 pep chromosome:OR_W1943:5:23186258:23187067:1 gene:ORUFI05G25260 transcript:ORUFI05G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRHIFHHILSRRLRSPSFPHIGRLRGAAAVHDEAATTVAIAMVDIRAPLADLSRSKDAVAGDSSPLRGCGGHQGHATTQPTPSTFSPHAVDALHALLGPSPSSPSTPSSPPSIRLTRTSSISVVGAARPPTPPSMTTATTTTARHGSRASSPPCSWRRTGGGAKLVADRQWWRVARAGRLRHRAGGRRARLAPASASHHGVEWSGGGVIHARESNEVGAVDGEGMENVTEGHGSNFLKFQWHLADIANMCGIFLICHISSGMDPINP >ORUFI05G25270.1 pep chromosome:OR_W1943:5:23186281:23186959:-1 gene:ORUFI05G25270 transcript:ORUFI05G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRHVFHPLPIHGANFVAFPCVDDAAAAPLDAMIEEVRVSRIEGGEDGVDGELGEGPNSAWRASTACGEKVEGVGCVVACPWWPPHPRRGDESPATASLERERSASGARMSTMAMATVVAASSCTAAAPRSLPMWGKEGERRRRERI >ORUFI05G25280.1 pep chromosome:OR_W1943:5:23197694:23198679:1 gene:ORUFI05G25280 transcript:ORUFI05G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWWGSPRRWQRGGRALRGSVEGVARSGSPARSGRGTTAARRRVSAWPATVSVDAGALGRSRWPAASARFPGFLGVRWSLVELQGWLLRWSRSCLSCKLGNDDPQRTSRQRDEGFEVEQFERALGENRVPFGTGVDSILDVVSLLKASLRRFLLH >ORUFI05G25290.1 pep chromosome:OR_W1943:5:23199267:23204115:-1 gene:ORUFI05G25290 transcript:ORUFI05G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNEGLRFEEAVAEVASDEGWGLQKRWRLRRTSSSGADGSVTLLAKRKGSPCQQEEDDNQDAKRMRNPRVSLPEDIWYHIHSLLPLRDAARAACVSSTFLYSWRCRPNLIFSKKTLGLNGNWRENVRELVNKVDHIMKNHSGIGLRTFGLQSYNLINTYYLDHWLNIAITPAIEELSLTQFPENNTKYYNFPCSILFNRGGNSIKHICLSHCAFRPTGGLNFLRRLHLGEVHITGDELECLLSNSFALEQLTLKYCKELNYLRIPCQLQQLKDLEVYERKALQMMEVKAPNLSTFHYDGNLARLSDGGLLAVKKLRISSFYQYNNVHYASANLSSIVPTIETLIISSFVVAPFKFLHLKSLKISLIGFNGAFSPAYDYLSLAYFIGASPVLETFTLIVSQIRMEHDVISENSSHLRQMPRSSHGNIKNVNIIGFCSAKSMIELTCHILENATSLECLTLDTIHDDYVHPDRLSVHEVGFCGRIGSPMIMEAENALLAIKRYIVGKVPSTVKLVVLKPCSWCHATEGLGVKDHRVA >ORUFI05G25300.1 pep chromosome:OR_W1943:5:23205555:23209619:-1 gene:ORUFI05G25300 transcript:ORUFI05G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVERITSMPRRQVQARDGSVASLAKRTGSPCQQEDDYEGAKTMTNPWTFLPEDIWYHIHSLLPLKDAARTACVSHTFLRSWRYRPNLVFSDAKLGLSGLSESDEVTKELNEKVDLIMKNHSGIGLRTFGLEYYNLVDASYLDRWLQIAVTPAIEELILMFFPEIKAKYYDFPFSLLFDRGGNSIKHLRLSYCAFRPTTSLNFLQRLHLFEVRITGDELGCLLSNSFALEQLKLTHCKELNYLKIPCVLQRLSKLTVFGCTTLQKSQGPTTARRRPLRLLPGNRTPHPPPPQGSRPRKPAPTPAAACRPATACPPASDAAAIDRLLLARSDLAGLVSQIDELVCAALECQSVSAKGKQEIESFSCFLSDTNSSLKQWSSRLKLALQASPEKSKNESKFTSAACSVPATIGNDRLLCSNIDEPDLVVSPSPLVSWRAGACMVDSGKQLFLLTPLTKTKTCSSRCPKSSTTQLKTTTGLDQLNLPNIPVLKLTISDDDCLDLEQSAKANESNTCVMTPNFIKAKKGSSGNSLFSPFSFTVQKSGRALPSPCLRTALSCKQQMFSPISEGSRKEEIPSTGPTQSGKPSEASGDISSDEISKDLASRYPDFYGFNQPTMTTYRRREADDTLDWFLSPLKTCVLMDPSDEKPIAPPARDSKSFIDTPCKGLESDNLQKIKELSDDKPIQTSSVHSKALLGTPWKGLESNILKKGQGISDDKPIQTPAIHSRALLGTPWKGLESTNLKGKQAGETTLKRELWTRFEAVSTNELHFDSSVFQRSDGRRFIDILEEEAS >ORUFI05G25310.1 pep chromosome:OR_W1943:5:23205988:23207291:1 gene:ORUFI05G25310 transcript:ORUFI05G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSEGSINTQSGYLEARSFEISSDEISPLASEGLPLWVGPRNNCFPLSTIHAPARHDTRGEGETTKSGSSMLLQSNLSFPIVADTDWHSRAAQTNSSI >ORUFI05G25320.1 pep chromosome:OR_W1943:5:23211540:23215073:1 gene:ORUFI05G25320 transcript:ORUFI05G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVGVVALLLLLPLAASAYGDGLGRAAVGVNWGTMTSHPILPCEVVRMLAANGVARVKMFDADPWTAAPLAHTGIQVMLAVPNDQLARLAGDPRRAYRWAEQNVSAYLEAGVDVRYVAVGNEPFLKSYNGSLINVTFPALKNMQRALDKLGLGDHVKAVVPLNADVYNSPENKPVPSAGSFRKDINALMVDIVNFLNMNNAPFVVNIYPFLSLYQNPNFPLNFSFFDGGSKPVYDKGVVYTNVFDANFDTLVWSLRKAGVPDMKIIVGEVGWPTDGDKHANVRYAQKFYDGFLKKMVRNIGTPLRPGWMEVYLFALIDENQKSVLPGRFERHWGLLTYDGKPKFSMDLSGDGLDNLVGVEVEYLPAQWCVFNKDAKDKFKDLPAAVNYACSNADCTPLGYGSSCNNLSHDGNISYAFNIYFQTMDQDVRACSFEGLAKITTINASQGGCLFPVQILSASERVVPLRFLPTSFLILLMVVSILT >ORUFI05G25330.1 pep chromosome:OR_W1943:5:23218489:23224317:-1 gene:ORUFI05G25330 transcript:ORUFI05G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLPLLLLHSALVSKPAPSRLLSSSSSSAAAAAIAAASSGARVGGGHGIVSAVLEIVGPIELLFPSSEARLYVRLLRRCARDALAAGAGAVHGHVLKRGFASVSLVSNVLMDTYAKGGLMAACRHLFDEMPNKDIVSWCTVIAAHASRGHCFEAIGLFKELLSSEVKPNRFVISSVLNACARSGVIEQGLMVHGMVVKSGLGADSSGSVRVDNETNLLSSSH >ORUFI05G25340.1 pep chromosome:OR_W1943:5:23224332:23233352:1 gene:ORUFI05G25340 transcript:ORUFI05G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRWATAAAAAVEEDGIATGIVAGGERGLEPRDVGPPSSETPLPKVPRFAERSTPLALRSHALARDVIIFFPQTLKSRIGPYVRGNKKRNYGQSMQTAKRRLPGSLSRARMALSFLSSSFTLLPIHPLLCSAIRSAATTNRRWCSDFQERDASKISRWSGGVEEVGREGEVSVGVEALKGSVTFASPRWLVAWPWSWENNGNKPSDSEIGEELTTNSVERRGGGVVFVLSLP >ORUFI05G25350.1 pep chromosome:OR_W1943:5:23225687:23230281:-1 gene:ORUFI05G25350 transcript:ORUFI05G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVKEEERNERAIRALLKLPGNRRCINCNSLGPQYVCTSFSTFVCVSCSGIHREFTHRVKSISMAKFTSQEVSALQEGGNERGKEIYLKHWDFQGQPLPDISDVDRLRNFIKIVYVDRRFTAERIGNHQPQAKGSRDDTYRNNNIDSSRGVQRGPYGGTSEDNHGPQHSTASTSEDQNNLNKHPVPAKVDQKNRTTTERENANTGKHQYLDGLQKTGGSSENNLKDTTKSVSSVVEPSKETNRKVLPIRLPDPPRSHKATTSTTPAEIQKVVPPRAADPSSKTTTDVKLEISKSLIDFDSDFEPHQGFGQTEVQKSSPLPDVGWATFDDTTPKNATATSISSTNSLNGPLVQILNSVSAPQISFPTRQSTKSLSFSQANNGSQQNQFFFRPTDNIQSYSSPLNRANSAPVNSQLWGAASQASIQGSHALPSNHGSNILAGTLASQRPAVDTTSSRGKALPEDIFTMSYHPYAANWDWRANPQLNMGYGQYNMQYPVGAANFPSLSSMRGALPHTGSTSMLPRAPFTGFVNSDLITPQILPPMTTNNHQFIVQQHDVKVTHQMQNASFPINQSHLPQVGGNPFF >ORUFI05G25350.2 pep chromosome:OR_W1943:5:23225687:23230281:-1 gene:ORUFI05G25350 transcript:ORUFI05G25350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVKEEERNERAIRALLKLPGNRRCINCNSLGPQYVCTSFSTFVCVSCSGIHREFTHRVKSISMAKFTSQEVSALQEGGNERGKEIYLKHWDFQGQPLPDIRSATKPDDYHRLLIDVDRLRNFIKIVYVDRRFTAERIGNHQPQAKGSRDDTYRNNNIDSSRGVQRGPYGGTSEDNHGPQHSTASTSEDQNNLNKHPVPAKVDQKNRTTTERENANTGKHQYLDGLQKTGGSSENNLKDTTKSVSSVVEPSKETNRKVLPIRLPDPPRSHKATTSTTPAEIQKVVPPRAADPSSKTTTDVKLEISKSLIDFDSDFEPHQGFGQTEVQKSSPLPDVGWATFDDTTPKNATATSISSTNSLNGPLVQILNSVSAPQISFPTRQSTKSLSFSQANNGSQQNQFFFRPTDNIQSYSSPLNRANSAPVNSQLWGAASQASIQGSHALPSNHGSNILAGTLASQRPAVDTTSSRGKALPEDIFTMSYHPYAANWDWRANPQLNMGYGQYNMQYPVGAANFPSLSSMRGALPHTGSTSMLPRAPFTGFVNSDLITPQILPPMTTNNHQFIVQQHDVKVTHQMQNASFPINQSHLPQVGGNPFF >ORUFI05G25360.1 pep chromosome:OR_W1943:5:23233383:23240762:1 gene:ORUFI05G25360 transcript:ORUFI05G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADREKAEETEEEYESDLDDAPLPAVRRRDAASDDEEEEEEEEEGARPSPPTKAGSDAESDGQGAAEVYDDDDAYEDDEGYEEYGEVYEEFEQGRGVAGGVATGAVAAAGEEAGMGMKGEAEGEASAAAAEGEEGKKGSEPYAVPTAGAFYMHDDRFQEARGHGRQRRMVGDRRLWNAKEDQAWVHDRFDEMNLHDFHNDYTRRRPRGRFRGRGGAPVGKVRGGSRDNFRGNRSQTYYRDGAKNYIYVPKEPHSYHDNTKKVQQVLNDNGKNRTIKPPNPRDGDANNFDFVRKESRPLYGNAKSNKSAPRVVRGRGSKRYQPRWRSTAEISSEHNNKSQNLENTSSNANLGKHQHQASNSQPERGFPMKQSFASNLNSASPPFYPSRPSHQELPVSQRGDGQPSTTTRHFSSPIGMEHVSPTPQYGPLLRGKAFVPSAGHGKLHAEVPIKGMDHPSFHSSTSSSTSQFPVATNQVTGNSAKSPHPIVQQRLVQSFNQSTPKMPGQMFAAQFASSDKLPSSMQSTSTILTEGTEISSPHGSNKSNTRLMAKGQHSDQGEEHASFMYGGAQVLGTTGSLGDQNFHGTPALFPVMQFGGQHPGGTGVPSIGMALPGFVSQQQLGLSNSEMTWLPILAGASGALGATYGSPYITVDGSYYPRTSEHASSSVSLREPSASSQLKSQEITEALNDELSQRQHKPRRYSEMNFGK >ORUFI05G25360.2 pep chromosome:OR_W1943:5:23233383:23240762:1 gene:ORUFI05G25360 transcript:ORUFI05G25360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADREKAEETEEEYESDLDDAPLPAVRRRDAASDDEEEEEEEEEGARPSPPTKAGSDAESDGQGAAEVYDDDDAYEDDEGYEEYGEVYEEFEQGRGVAGGVATGAVAAAGEEAGMGMKGEAEGEASAAAAEGEEGKKGSEPYAVPTAGAFYMHDDRFQEARGHGRQRRMVGDRRLWNAKEDQAWVHDRFDEMNLHDFHNDYTRRRPRGRFRGRGGAPVGKVRGGSRDNFRGNRSQTYYRDGAKNYIYVPKEPHSYHDNTKKVQQVLNDNGKNRTIKPPNPRDGDANNFDFVRKESRPLYGNAKSNKSAPRVVRGRGSKRYQPRWRSTAEISSEHNNKSQNLENTSSNANLGKHQHQASNSQPERGFPMKQSFASNLNSASPPFYPSRPSHQELPVSQRGDGQPSTTTRHFSSPIGMEHVSPTPQYGPLLRGKAFVPSAGHGKLHAEVPIKGMDHPSFHSSTSSSTSQFPVATNQVTGNSAKSPHPIVQQRLVQSFNQSTPKMPGQMFAAQFASSDKLPSSMQSTSTILTEGTEISSPHGSNKSNTRLMAKGQHSDQGEEHASFMYGGAQVLGTTGSLGDQNFHGTPALFPVMQFGGQHPGGTGVPSIGMALPGFVSQQQLGLSNSEMTWLPILAGASGALGATYGSPYITVDGSYYPRTSEHASSSVSLREPSASSQLKSQEITEALNDELSQRQHKPRRYSEMNFGK >ORUFI05G25360.3 pep chromosome:OR_W1943:5:23233383:23240633:1 gene:ORUFI05G25360 transcript:ORUFI05G25360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADREKAEETEEEYESDLDDAPLPAVRRRDAASDDEEEEEEEEEGARPSPPTKAGSDAESDGQGAAEVYDDDDAYEDDEGYEEYGEVYEEFEQGRGVAGGVATGAVAAAGEEAGMGMKGEAEGEASAAAAEGEEGKKGSEPYAVPTAGAFYMHDDRFQEARGHGRQRRMVGDRRLWNAKEDQAWVHDRFDEMNLHDFHNDYTRRRPRGRFRGRGGAPVGKVRGGSRDNFRGNRSQTYYRDGAKNYIYVPKEPHSYHDNTKKVQQVLNDNGKNRTIKPPNPRDGDANNFDFVRKESRPLYGNAKSNKSAPRVVRGRGSKRYQPRWRSTAEISSEHNNKSQNLENTSSNANLGKHQHQASNSQPERGFPMKQSFASNLNSASPPFYPSRPSHQELPVSQRGDGQPSTTTRHFSSPIGMEHVSPTPQYGPLLRGKAFVPSAGHGKLHAEVPIKGMDHPSFHSSTSSSTSQFPVATNQVTGNSAKSPHPIVQQRDNIVTREKNMLLLCMVELKFLGLQDHLVTKISMEPLHYFQFGGQHPGGTGVPSIGMALPGFVSQQQLGLSNSEMTWLPILAGASGALGATYGSPYITVDGSYYPRTSEHASSSVSLREPSASSQLKSQEITEALNDELSQRQHKPRSGV >ORUFI05G25360.4 pep chromosome:OR_W1943:5:23233383:23240633:1 gene:ORUFI05G25360 transcript:ORUFI05G25360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADREKAEETEEEYESDLDDAPLPAVRRRDAASDDEEEEEEEEEGARPSPPTKAGSDAESDGQGAAEVYDDDDAYEDDEGYEEYGEVYEEFEQGRGVAGGVATGAVAAAGEEAGMGMKGEAEGEASAAAAEGEEGKKGSEPYAVPTAGAFYMHDDRFQEARGHGRQRRMVGDRRLWNAKEDQAWVHDRFDEMNLHDFHNDYTRRRPRGRFRGRGGAPVGKVRGGSRDNFRGNRSQTYYRDGAKNYIYVPKEPHSYHDNTKKVQQVLNDNGKNRTIKPPNPRDGDANNFDFVRKESRPLYGNAKSNKSAPRVVRGRGSKRYQPRWRSTAEISSEHNNKSQNLENTSSNANLGKHQHQASNSQPERGFPMKQSFASNLNSASPPFYPSRPSHQELPVSQRGDGQPSTTTRHFSSPIGMEHVSPTPQYGPLLRGKAFVPSAGHGKLHAEVPIKGMDHPSFHSSTSSSTSQFPVATNQVTGNSAKSPHPIVQQRLVQSFNQSTPKMPGQMFAAQFASSDKLPSSMQSTSTILTEGTEISSPHGSNKSNTRLMAKGQHSDQGEEHASFMYGGAQVLGTTGSLGDQNFHGTPALFPVMQFGGQHPGGTGVPSIGMALPGFVSQQQLGLSNSEMTWLPILAGASGALGATYGSPYITVDGSYYPRTSEHASSSVSLREPSASSQLKSQEITEALNDELSQRQHKPRSGV >ORUFI05G25370.1 pep chromosome:OR_W1943:5:23243699:23244237:1 gene:ORUFI05G25370 transcript:ORUFI05G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRLRIGKVLDCFSFSMCCARSSGCLCLRASEEDGDEEAAMERKSLVSSSSQVDQVIRLRDLVDGTRTLGFHLEPKTVELKVSMHCNGCAKKVQKHISRMEVQKNSLFLPDSFQTV >ORUFI05G25380.1 pep chromosome:OR_W1943:5:23247895:23248152:-1 gene:ORUFI05G25380 transcript:ORUFI05G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALGGRSRARQNAMRSGLVVLGAAAFGYLSFRVGFKPYLDRAQEAMDDTTHHGSASGAAAQPDHTGEEDDVATSKDPAVVLRD >ORUFI05G25390.1 pep chromosome:OR_W1943:5:23258813:23262803:1 gene:ORUFI05G25390 transcript:ORUFI05G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANPFDLLGGDDNDDPAQLLAKAAVAAQKAEAKKAAAAAAAPAAGKGGAQPAASKFPTKPAPPSQAVRESRDGSAPSRGGYGRGERGRGRGGRGYGQNRDFGGEEMNGFQGGYGGGGGSRAGGEEGAQDRERGPRPPFQGGGRRGGYGDDSERMSRRPYERHSGTGRGYEMKREGSGRGNWGTTTDEILAQETGEALKLDEKAPVTEKQGAPEGAPQADENKDNKDVTPNEEEKEEDKEMTLEEFEKLREEKRKALLSLKTEERKVEIDKDLKSMQPLSNKKENDEVFIKLGSDKDALKKKENAERDERAKKSVSINEFLKPAEGERYYGGRGRGRGRGDRGGFRGGYGEGHRSAAPPAPEIKDQSQFPTLGRK >ORUFI05G25400.1 pep chromosome:OR_W1943:5:23262253:23263691:-1 gene:ORUFI05G25400 transcript:ORUFI05G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSAILGDLTSRSISYVMDRYCSNQPAAIDDGVRQLRRLLLRTHTIVEEAEGRHITNQGMLRQLKAMRDELFRGHYVLDTFRHRADLLQKEEEKEDEQVRSSFAMSRLNPAKRIRFSRARTSSFQDLESMIRSLEDAIADTKEFIVFLMSCPLVMYRQPFSTHLYLDKCMFSRQIEREQVIDFLLRIDPDPHGSCNDIGVLPIIGPALIGKSTLIEHVCRDERVKSHFSLILFYNGDELKHETVATFRDRCDVDEDTWKELYYSSENRIPRGSKIIITSRSEVFVFGSADPDEHPKLTSIAMEIAAELRRSLFCAHVVGALLRVHLDAHFWRRVLEGTREYMQKNLILASEYPHDLKTDKNHPRYAWIISEPKPIKSLLIYDSYQKGSENAEVPNITIQDLLFGEASGRGKFDILLWKSQMPPYCSHICSCVV >ORUFI05G25410.1 pep chromosome:OR_W1943:5:23266972:23272045:-1 gene:ORUFI05G25410 transcript:ORUFI05G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRSQLMEMFISAAIGDLISRSMSFLVGKYCNCNQATAEENLQRLRQLLMRISTIVEEAEGRHVRNQGMLQQLKILRDEMLKGCYILDNFRYRAIQDKAKDDEVSHSFALSRFNPAKRLRFPTSKPQQTVFSGGEVEDLQKMVHRLEILIADMKEFIEFLVQYRPMYRQPYSTHLFLDKCMFNRHMELEHAIEFLLQMEPPGSSNLGVLPIIGPRHIGKSTLVEHVCIDERVRNHFSLILFYSGDSMKDETPTTLRENFIVKHRGNAFHKRLLLVIELSRYADEEKWRLYSSELRMPHGTHLRKYRSEEHVKLASIAMEIAKEMEGSFMHGNVFAGLLRTNFNAQFWYRVLAWTREHIPNNLNLYSEYPDDVTMNHPAYIKGIAQPIKHFCMYEPYPKGSLEDDVPDTTVQDMLCGKAKARSNSEILVWKSQIPPYHNFISRCVIRRLVSPATLVQANNTTPPAKLHFSALISASMALTTFFREGFLMELLSAILGDFVSRSITFMIDRYPKQRPSVDENLQRLHHLLVRIRTVVEEAEQRNVTNHGMIRQLELLREQMFRGHCVLDAFRFRDEEEDEVTPRFALSKFNRAKRIRFSDSSSNTQIQTRSTNDLHQTVTSLERIIGDTKEFVVFLMSYPPVYRQPYSTHLYLGGCMFSRQMERENAISFLLQREPLAVAEEMVQVLPVVGPEFVGKSTLVEHICRDQRVREHFSLILYYSGDDLRYEKAETFSEICQTKHRNESNDAMDGRLLLIIELLGDVDERTLKKIHSSFRKQMTHEIKIMITSRSEKIIRLGAKQALRLNFLPFEAYWYFFKVLAFGATDPEQHPKLASMAMEIATVLRGCFLCAHIGGALLKANFNSKFWSRFVAFVREYRDEINSLMPCDCQDHPKFGWVIVRPKPENYFVLRDSYQKALVEDDGPKIKLVDLLSGRVRRRGKFEVLVWKSRIPPYYSYISNCVMY >ORUFI05G25420.1 pep chromosome:OR_W1943:5:23275123:23276067:-1 gene:ORUFI05G25420 transcript:ORUFI05G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGQPHAHEPDGGGASHRRPQSPPSLPAEVVPAFAPPESEDEESWVWSQIKAEARRDADAEPALASFLYATVLSHPSLPRSISFHLANKLCSSTLLSTLLYDLFLASFTAHPSLRAAVVADLLAARSRDPACVGFSQCLLNFKGFLAIQAHRVSHVLWAQQRRPLALALQSRVADVFAVDIHPAAVVGKGILLDHATGVVIGETAVVGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVKIGAGAKIGAGSVVLIDVPARNTAVGNPARLIGRKNGEVEKDEDMPGESMDHTSFIRQWSDYTI >ORUFI05G25430.1 pep chromosome:OR_W1943:5:23281640:23290019:1 gene:ORUFI05G25430 transcript:ORUFI05G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMAAGAEAAPLLFRRRLAPSPVAARRRLLVSCRARRRGLRTAAELPRKSTSNDKHHNRVNMQRDEASVSSDKERQEKYGDENGISNLQLEDLIQMIQNTEKNIMLLNQARLQALEHVETVLKEKEDLQRKLKILETRLSETDARLKLSAEGQFGTEINDSLPVLELDDIKEENMLLKDDIQFLKTMLIEVAETENSIFTLEKERALLDASLRELESRFIDAQADMLKSDPRQYDAWWEKVENLGDLLETATNKVENAAMVLGRNHDLEDKVDKLEASLAEANISKFSCYFVDLLQEKIKSVEERFQVCNHEMHSQIELYENSIAEFHDILSKLVEETEKRSLEHSASSMPSELWSRISLLIDGWLLEKRISYNDANTLREMVRKRDSCLREAYLSCRGMKDREIVDNFLKITLPGTSSGLHIIHIAAEMAPVAKVGGLADVISGLGKALQKKGHLVEIILPKYDCMQNDQVNNLKVLDVVVQSYFEGNLFNNKIWTGTVEGLPVYFIEPQHPAKFFWRAQYYGEHDDFKRFAYFSRAALELLYQSQKKIDIIHCHDWQTAFVAPLYWEAYANLGFNSARICFTCHNFEYQGAAPAQDLACCGLDVQQLDREDRMRDNSHGRINVVKGAIVYSNIVTTVSPTYALEVRSEGGRGLQDSLKLHSRKFVGILNGIDTDTWNPSTDRHLKVQYNANDLQGKAANKAALRKQLNLSSTNASQPLVGCITRLVPQKGVHLIRHAIYKTAELGGQFVLLGSSPVPHIQREFEGIADHFQNNNNIRLLLKYDDSLSHWIYAASDMFIVPSMFEPCGLTQMIAMRYGSVPIVRKTGGLNDSVFDFDDETIPKELRNGFTFVHPDEKALSGAMERAFNYYNRKPEVWKQLVQKDMRIDFSWASSASQYEDIYQRAVARARAAA >ORUFI05G25430.2 pep chromosome:OR_W1943:5:23281640:23290019:1 gene:ORUFI05G25430 transcript:ORUFI05G25430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACMAAGAEAAPLLFRRRLAPSPVAARRRLLVSCRARRRGLRTAAELPRKSTSNDKHHNRVNMQRDEASVSSDKERQEKYGDENGISNLQLEDLIQMIQNTEKNIMLLNQARLQALEHVETVLKEKEDLQRKLKILETRLSETDARLKLSAEGQFGTEINDSLPVLELDDIKEENMLLKDDIQFLKTMLIEVAETENSIFTLEKERALLDASLRELESRFIDAQADMLKSDPRQYDAWWEKVENLGDLLETATNKVENAAMVLGRNHDLEDKVDKLEASLAEANISKFSCYFVDLLQEKIKSVEERFQVCNHEMHSQIELYENSIAEFHDILSKLVEETEKRSLEHSASSMPSELWSRISLLIDGWLLEKRISYNDANTLREMVRKRDSCLREAYLSCRGMKDREIVDNFLKITLPGTSSGLHIIHIAAEMAPVAKVGGLADVISGLGKALQKKGHLVEIILPKYDCMQNDQVNNLKVLDVVVQSYFEGNLFNNKIWTGTVEGLPVYFIEPQHPAKFFWRAQYYGEHDDFKRFAYFSRAALELLYQSQKKIDIIHCHDWQTAFVAPLYWEAYANLGFNSARICFTCHNFEYQGAAPAQDLACCGLDVQQLDREDRMRDNSHGRINVVKGAIVYSNIVTTVSPTYALEVRSEGGRGLQDSLKLHSRKFVGILNGIDTDTWNPSTDRHLKVQYNANDLQGKAANKAALRKQLNLSSTNASQPLREFEGIADHFQNNNNIRLLLKYDDSLSHWIYAASDMFIVPSMFEPCGLTQMIAMRYGSVPIVRKTGGLNDSVFDFDDETIPKELRNGFTFVHPDEKALSGAMERAFNYYNRKPEVWKQLVQKDMRIDFSWASSASQYEDIYQRAVARARAAA >ORUFI05G25440.1 pep chromosome:OR_W1943:5:23290336:23295462:1 gene:ORUFI05G25440 transcript:ORUFI05G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRAARLAALSAPRAYSSATAAAAGAGGSASPAPYGGAPPPAANSKAAEFVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRRDFLHWWNNDVTLDLMAALASKLAQMQAKACDAARFAAKHGCAYHRALMEKNQKYVADPPTIERCQELSKQLFYTRLASLPGRYEAFWKEADQVKNLWRNRKDLNVEHAGVAALFGIELYAWFCVGEIVGRGFTFTGYHV >ORUFI05G25450.1 pep chromosome:OR_W1943:5:23296436:23300115:1 gene:ORUFI05G25450 transcript:ORUFI05G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAAHRLLLAARTGAGAGAASRLSAAVFALRSYSSTAQSSSPRRFPTPKEIRRGLDEFVVGQDKAKKVLCVAVHNHYKRIYSESSNCSMKSSACGDVSMSGDDDIELEKSNILLIGPTGSGKTLLAKTLARFADVPFVIADATAITQAGYSGEDVESIICNLLAAAKFNVEATERGIVYIDEVDKLIKKVECNEDRRDVSGEGVQHALLKIFEGTVINVPRKRNQDSISDGYVEVNTKNILFICGGSFSGLEKIVSESTCAIEDTETVIWGLDFQHLVICETVVGQMLSGNHAVLKLDDLIAYGLIPEFIGRLPITVGLNDLSEAQLVQVLMEPKNAIGKQYKKLFKMNDVKLHFTENALRLIAKKAASRETGARELRSIMEDILTEAMFEIPDAREGKEKIIAVLVDEESVGSVHSRGCGAKIFRDDGALELYVYQNNIRLPGLIPGNPKRTRIVSFCLLVALSATKLWVYHTFHCFSSIYEWVVSILCKANIFTQ >ORUFI05G25450.2 pep chromosome:OR_W1943:5:23296436:23300115:1 gene:ORUFI05G25450 transcript:ORUFI05G25450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAAHRLLLAARTGAGAGAASRLSAAVFALRSYSSTAQSSSPRRFPTPKEIRRGLDEFVVGQDKAKKVLCVAVHNHYKRIYSESSNCSMKSSACGDVSMSGDDDIELEKSNILLIGPTGSGKTLLAKTLARFADVPFVIADATAITQAGYSGEDVESIICNLLAAAKFNVEATERGIVYIDEVDKLIKKVECNEDRRDVSGEGVQHALLKIFEGTVINVPRKRNQDSISDGYVEVNTKNILFICGGSFSGLEKIVSERHRNCHMGFGLPTSGDLRNCGWTNAIGESCCVEAIESDDLIAYGLIPEFIGRLPITVGLNDLSEAQLVQVLMEPKNAIGKQYKKLFKMNDVKLHFTENALRLIAKKAASRETGARELRSIMEDILTEAMFEIPDAREGKEKIIAVLVDEESVGSVHSRGCGAKIFRDDGALELYVYQNNIRLPGLIPGNPKRTRIVSFCLLVALSATKLWVYHTFHCFSSIYEWVVSILCKANIFTQ >ORUFI05G25450.3 pep chromosome:OR_W1943:5:23296528:23300115:1 gene:ORUFI05G25450 transcript:ORUFI05G25450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAAHRLLLAARTGAGAGAASRLSAAVFALRSYSSTAQSSSPRRFPTPKEIRRGLDEFVVGQDKAKKVNETSLLVGVVLCVAVHNHYKRIYSESSNCSMKSSACGDVSMSGDDDIELEKSNILLIGPTGSGKTLLAKTLARFADVPFVIADATAITQAGYSGEDVESIICNLLAAAKFNVEATERGIVYIDEVDKLIKKVECNEDRRDVSGEGVQHALLKIFEGTVINVPRKRNQDSISDGYVEVNTKNILFICGGSFSGLEKIVSESTCAIEDTETVIWGLDFQHLVICETVVGQMLSGNHAVLKLDDLIAYGLIPEFIGRLPITVGLNDLSEAQLVQVLMEPKNAIGKQYKKLFKMNDVKLHFTENALRLIAKKAASRETGARELRSIMEDILTEAMFEIPDAREGKEKIIAVLVDEESVGSVHSRGCGAKIFRDDGALELYVYQNNIRLPGLIPGNPKRTRIVSFCLLVALSATKLWVYHTFHCFSSIYEWVVSILCKANIFTQ >ORUFI05G25450.4 pep chromosome:OR_W1943:5:23296528:23300115:1 gene:ORUFI05G25450 transcript:ORUFI05G25450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAAHRLLLAARTGAGAGAASRLSAAVFALRSYSSTAQSSSPRRFPTPKEIRRGLDEFVVGQDKAKKVNETSLLVGVVLCVAVHNHYKRIYSESSNCSMKSSACGDVSMSGDDDIELEKSNILLIGPTGSGKTLLAKTLARFADVPFVIADATAITQAGYSGEDVESIICNLLAAAKFNVEATERGIVYIDEVDKLIKKVECNEDRRDVSGEGVQHALLKIFEGTVINVPRKRNQDSISDGYVEVNTKNILFICGGSFSGLEKIVSERHRNCHMGFGLPTSGDLRNCGWTNAIGESCCVEAIESDDLIAYGLIPEFIGRLPITVGLNDLSEAQLVQVLMEPKNAIGKQYKKLFKMNDVKLHFTENALRLIAKKAASRETGARELRSIMEDILTEAMFEIPDAREGKEKIIAVLVDEESVGSVHSRGCGAKIFRDDGALELYVYQNNIRLPGLIPGNPKRTRIVSFCLLVALSATKLWVYHTFHCFSSIYEWVVSILCKANIFTQ >ORUFI05G25460.1 pep chromosome:OR_W1943:5:23300556:23302478:-1 gene:ORUFI05G25460 transcript:ORUFI05G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKPFSARRLVPALFPLAHADAASAAASRRARRRDAFVATPPTPPPSPSAVRLAEPLPSLAPSRLALHNRILALLSPSSKDGEKGADLAEAALLTRHALHSNCRPSSFTCAAVLAALLRARRLDDFFALHRFALQAAVPPTAATYALYLSALAARRLPDAALHHLRLISRPGSPVPPSPTAYRVVVKSLVVDHGRLTDALELKDEMLASGFVGPDPQVYNLLMAGFVEAGDGAKAMELYEELKEKIGREPILDGIVYGSLMKAYFLMGMEEKAMECYKEVLGAESEVRFGAESYNGVVDAFGRNGRLDDAIELFERMLREHDPPLKIAVDVRSFSVIVDAYCAAGRFGDAISWFRRMGEWEVVADVAAYNNLIRHLSLQGLVSEAELLYKEMGESGLKADEETYVLLMQGCFRVDRIDEGINYFDGMAELGLKPDATAYHKIVDGLIGFGMLDNAHGYLDKMRGKEISPSIASYEALLKAYIGEASLDKSIDIAKDILLDEKVVFSDEMRDLLEGALREAGREGDITKLYEDVEEKKAEAAARAEEEKARAEALAREEREKRRAEAAAKDEAAARASAAAIEAILGHKRKTENVTGEPAPDANSLDGVFQSRFGITSAGDGALQGTSSGGETKQGDGH >ORUFI05G25470.1 pep chromosome:OR_W1943:5:23303477:23305829:1 gene:ORUFI05G25470 transcript:ORUFI05G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSSSRFSRVFPSSLLSPVSKPLKPPRHRRRRVQTLSSSSSDAAAAPSTPPPVWSPASLSRLLAAALRGGRAGGELPDLAVGAGAAATAAGGGARIGTLLMSTTAAAVTKARENPYILALAANPTFVSGLVAWAVAQAAKVVLTSFVERRWDLRMLFSSGGMPSSHTALCTALTASVALCHGVSDSLFPVCLGFTLIVMYDATGVRRHAGMQAEVLNKIVEDLFQGHPISERKLKELLGHTPSQKWKNRFDTKIFGASGVTIVPGDS >ORUFI05G25480.1 pep chromosome:OR_W1943:5:23309191:23310820:1 gene:ORUFI05G25480 transcript:ORUFI05G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAIDYVYRDRRRCPRPSWLQSPSTASVAATLIRVHRRHHRCRHQPCPPPPSPLRSSDCWPYPPRSLPASASATTSSTVAARVRLGRSPRLRRPPPSLSSAVVVLGPPWSSLLRSSAAAGSSTASSRPSASVALSTVAAAVVSPPSCCRHLPPQLASSSAPATVGTSTPPSSTCVTVVRRTRGEEKIKEAKGKET >ORUFI05G25490.1 pep chromosome:OR_W1943:5:23318562:23321057:1 gene:ORUFI05G25490 transcript:ORUFI05G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKQFKRPPGYEEPAVLAAQTTFTVNEVEALRELYNKMSYSIIKDGLIHKEEFQLALFRNSRKANLFADRVFDLFDLKRNGVIEFGEFVRSLSVFHPKAPKSEKTAFAFKLYDLRGTGYIEKEELREMVLALLDESDLHLSECAVEAIVDNTFSQADSNGDGRIDPEEWEEFVKANPASLRNMDITMAFPSFVMHSEAHD >ORUFI05G25490.2 pep chromosome:OR_W1943:5:23316098:23321057:1 gene:ORUFI05G25490 transcript:ORUFI05G25490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMASFGFHGEVAMGCASSKQFKRPPGYEEPAVLAAQTTFTVNEVEALRELYNKMSYSIIKDGLIHKEEFQLALFRNSRKANLFADRVFDLFDLKRNGVIEFGEFVRSLSVFHPKAPKSEKTAFAFKLYDLRGTGYIEKEELREMVLALLDESDLHLSECAVEAIVDNTFSQADSNGDGRIDPEEWEEFVKANPASLRNMDITMAFPSFVMHSEAHD >ORUFI05G25490.3 pep chromosome:OR_W1943:5:23318755:23321057:1 gene:ORUFI05G25490 transcript:ORUFI05G25490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKQFKRPPGYEEPAVLAAQTTFTVNEVEALRELYNKMSYSIIKDGLIHKEEFQLALFRNSRKANLFADRVFDLFDLKRNGVIEFGEFVRSLSVFHPKAPKSEKTAFAFKLYDLRGTGYIEKEELREMVLALLDESDLHLSECAVEAIVDNTFSQADSNGDGRIDPEEWEEFVKANPASLRNMDITMAFPSFVMHSEAHD >ORUFI05G25500.1 pep chromosome:OR_W1943:5:23328312:23332241:1 gene:ORUFI05G25500 transcript:ORUFI05G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAAAAVAAAAAVGVALLVRRQLREAKRWGRADAVLRELEERCAAPPGRLRQVADAMAVEMHAGLASEGGSKLKMIISYVDALPSGEEKGVFYALDLGGTNFRVLRVQLGGKEGRVIKQEHDEISIPPHLMTGGSNELFDFIASSLAKFVASEGEDFHLAEGRQRELGFTFSFPVKQTSIASGTLINWTKGFSIDETVGEDVVTELTKALERQGLDMKVTALINDTIGTLAGGRYDDNDVIAAVILGTGTNAAYVERANAIPKWHDLLPKSGDMVINMEWGNFRSSHLPLTEFDQALDAESLNPGEQVYEKLISGMYLGEIVRRVLLKMAEEASLFGDEVPPKLKIPFIIRTPYMSMMHCDRSPDLRTVGAKLKDILGVQNTSLKTRRLVVDVCDIVAKRAAHLAAAGIHGILKKLGRDVPNTDKQRTVIAVDGGLYEHYTIFAECVESTLRDMLGEDVSSTIVIKLAKDGSGIGAALLAAAHSQYREAEEL >ORUFI05G25510.1 pep chromosome:OR_W1943:5:23335087:23339829:1 gene:ORUFI05G25510 transcript:ORUFI05G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYVVLLKLAAPRRALPLTPEQDRERARRIGVIWSPRARAPPCWFRNRRGAERAAGKKRGGEAAAAAHVDAAGADAATSADESVASLAKRNGSPCQQGDDYQGVKTMRNPWAFFPEDIWYHIHSLLPLQDAARTACVSQIFLRSWRCRPNLIFSAKTLGLNDNWLERNKVIGELNGKVDHIMKTTPTFGLRSYNLVDACYLDCWLQIAVTPAIEELILLLFPEDKANYYDFPFSLLFNRGGSSIKHLYLSYCVFRPTGGLNCLRSLFLYEVRITGHELGCLFSNSFVLEQLELTDCKELSYLKIPCLLQRLSKLAMYGWEASQVMEIKAPNLLNFHYEGNLARLSDGGLPYVKNLTIASIRWHNAIYYACANLPSIVPTIETLTVFSVSEIINTPIAPLRFLHLKHLTVFLHTVPRVVSPTYDYLSLAYFLDASPALETFTLKVSQTRMEHDVISEDSSHLRQMPGHHHDTIKNVKIMVELTCHILENATSLEGLTLDTIFDGNNNPADRLSVHEVGRCGRIHSPMVMEAKNALLAIERYIVGKVPSTVKLDVLKPCSWCHTNSSVE >ORUFI05G25510.2 pep chromosome:OR_W1943:5:23335087:23339829:1 gene:ORUFI05G25510 transcript:ORUFI05G25510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYVVLLKLAAPRRALPLTPEQDRERARRIGVIWSPRARAPPCWFRNRRGAERAAGKKRGGEAAAAAHVDAAGADAATSADESVASLAKRNGSPCQQGDDYQGVKTMRNPWAFFPEIAVTPAIEELILLLFPEDKANYYDFPFSLLFNRGGSSIKHLYLSYCVFRPTGGLNCLRSLFLYEVRITGHELGCLFSNSFVLEQLELTDCKELSYLKIPCLLQRLSKLAMYGWEASQVMEIKAPNLLNFHYEGNLARLSDGGLPYVKNLTIASIRWHNAIYYACANLPSIVPTIETLTVFSVSEIINTPIAPLRFLHLKHLTVFLHTVPRVVSPTYDYLSLAYFLDASPALETFTLKVSQTRMEHDVISEDSSHLRQMPGHHHDTIKNVKIMVELTCHILENATSLEGLTLDTIFDGNNNPADRLSVHEVGRCGRIHSPMVMEAKNALLAIERYIVGKVPSTVKLDVLKPCSWCHTNSSVE >ORUFI05G25510.3 pep chromosome:OR_W1943:5:23333709:23335411:1 gene:ORUFI05G25510 transcript:ORUFI05G25510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLASISVTESAEGMWRQQEYHRDGRAVSGEDATAGGGATGRRKKVAESVHVKLQASRAFFRSLFVGEQYHSVGVRTTTRICSREAVTAAAIPDEEKLRSERTRSAVAPDEEELCSECARSAAAVRNGEDGRRCDRGLPVKNLHLSSFYQHHTIQYTCAKLPSVAPTIETLTIFSESERFNTQISPFRFLHLKCLTISLSIYRGGFSPSNDYLSLAYFLDASPVLEIFTLTVSQTRMKHHVISEDSSYLRQMPGHRHVNLKNVKIIGFCSAKSMVELTCHIIENATSLESLTLDTICDDYENPDRLSVHEIGECSPICRQMIMEAKNALLAIERYIVGKVPSTVRLDVLKPCSWCHAFEV >ORUFI05G25520.1 pep chromosome:OR_W1943:5:23341380:23345199:1 gene:ORUFI05G25520 transcript:ORUFI05G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASETSQKAAAAGSSIPEGARRLLHELAAGWGDVADCRALEVVPLRGAMTNEVYQARWPPAAEAEVEAAGRRVLVRVYGEGVEVFFDREAEVRTFESMSRHGHGPRLLGRFPNGRVEEFIHARTLSAVDLRDPEISAIIASKLREFHNLDMPGPKSVLIWDRLRNWLKTAKNLCPSDEAKEFCLDSMENEITALENELSEDYQCVGFCHNDLQYGNIMIDEETKLLTIIDYEYASFGPVAYDIANHFCEMAADYHSEKPHILDYTKYPDTDEQKQFVQSYLSSSGEEPDAEKVNNLIKSIEKYTLASHLIWALWGIISEHVNDIDFDYMGYARQRFEQYWLKKPAILTCQVVE >ORUFI05G25530.1 pep chromosome:OR_W1943:5:23348632:23354208:1 gene:ORUFI05G25530 transcript:ORUFI05G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEYVKREFELDSLLPPSNWIVVRIDGCHFHRFSKIHTFEKPNDERALRLMNACATSMLEKFPDIVFAYGVSDEYSFVFREETEFYQRRESKILSLCVSYFTSVYVMKWKDFFPNKELKEPPYFDGRVVCYPNLKTIRDYLAWRQVDCHINNQYNTCFWSLVKSGKTEKEAQQALKGTFSKDKNELLSQQFQINYDDEPAIFRKGSCVYRDKVETMVKTDRCGNPIKRTRLVITNANVDIIGPEFWENHPYILREEKCRYENVKKFDINHRLPPCNWTVVRIDICKFEQFSLIHSFDKPNDEAALRLMNASASLMMESFPDIVFGYGFSNEYSFVFQDKTELYQRQESLILSSCTSRFTLFYMMKWKDFFPNKDLVEPPHFEAELLCYPKQKILCDYLSSRQAECHTTNQYSTCFWMLVKSGKSENEAREILKGTLSKDKNELLFQQFHLNYNNEPAVFRKGSCTYRQKVEESADAEGRENTTRERWDVIVAHADMGTEFWRKHPYILRKLDLLG >ORUFI05G25530.2 pep chromosome:OR_W1943:5:23348632:23354208:1 gene:ORUFI05G25530 transcript:ORUFI05G25530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEYVKREFELDSLLPPSNWIVVRIDGCHFHRFSKIHTFEKPNDERALRLMNACATSMLEKFPDIVFAYGVSDEYSFVFREETEFYQRRESKILSLCVSYFTSVYVMKWKDFFPNKELKEPPYFDGRVVCYPNLKTIRDYLAWRQVDCHINNQYNTCFWSLVKSGKTEKEAQQALKGTFSKDKNELLSQQFQINYDDEPAIFRKGSCVYRDKVETMVKTDRCGNPIKRTRLVITNANVDIIGPEFSLIHSFDKPNDEAALRLMNASASLMMESFPDIVFGYGFSNEYSFVFQDKTELYQRQESLILSSCTSRFTLFYMMKWKDFFPNKDLVEPPHFEAELLCYPKQKILCDYLSSRQAECHTTNQYSTCFWMLVKSGKSENEAREILKGTLSKDKNELLFQQFHLNYNNEPAVFRKGSCTYRQKVEESADAEGRENTTRERWDVIVAHADMGTEFWRKHPYILRKLDLLG >ORUFI05G25530.3 pep chromosome:OR_W1943:5:23348086:23348997:1 gene:ORUFI05G25530 transcript:ORUFI05G25530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHGERAGGVVEYRVKEKEISRCLLRSLRRRQDESRLNRGGPGGDLHRSGGEGRGSWRSLVVVAVR >ORUFI05G25540.1 pep chromosome:OR_W1943:5:23353531:23358401:-1 gene:ORUFI05G25540 transcript:ORUFI05G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSVSSPLLPRRGHQLQEPAMAASQMRCGGRRENQGLVQRCAETMMLCCGVDCWCGALRLWRRVVLRKWLNVGSGSGDSDFSADECDASDGELDGEDRDNESYGEGTSLDGLGAGTIGDEIKSMPYRLRRRKSETLRAQYIDIRELRICVGTWNLAGKFPPSDLDIQDWLDKEEQADIYVLGFQEIVPLNAGNIFGSEDNSPIAVWEHIIRETLNKICPDKPQYKCHSDPPSPSRFNPSDYVMVMKDELLSESDSDNYGELHPLIKQNDDIAIDNDVVHDKTYENFSAASNGRVHKGKDFSRMDSVKTSDQSPNLSYEKDRSKLEETTKLLYHPERLGMIWPEQPLDMMAQCLRASTSLKALATPASLKSTVNFPNDDLSHQVNSDNGVIKSKRPCFLRIGSISVSMSIHQTHFCFVCCHLTSGEKDGDELKRNADVEEILRRTVFNPLPGLSTPKGILGHERIIWFGDLNYRINLSYERAHELISKQDWDGLFENDQLKRELSKGHTFDGWIEGDISFPPTYKYEFDSEKYVSDEPKSGRRTPAWCDRILSRGKGIRRGELKLSDHRPVTAVFMADVEVLCHRKLQKALTFTDAEVEYHLASSSAEGR >ORUFI05G25550.1 pep chromosome:OR_W1943:5:23365074:23369304:1 gene:ORUFI05G25550 transcript:ORUFI05G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLSLLPAASVSPRSRRAARRRPPTASRLPVRGARAASPLHASSSSSSGGGEGGGGDELHLLEKPFPSPSPADEDESESTEAAPALSTEEALAPFLKFFQGKSTEPDEDDAAAGGGGGGGGGYVEEDEEDKGEGSAGAGAGGRGVVYYDPKPGDLAVGVVVGGDGRTLDIDVGAGGEPALMLAKEAVPMTGEEFEYLACDVASKDAAQFAAEGKVGVVVSGGEGQGEATGGRNGKGRGRGVISMESYDYELMAPICTTSWHNLYAPAIKQLNVPIKVKIFEWNAGGLLTRIEGLRAFLPKPEMMTRPRNFTDLKNTVGQQIHVCITRIDEKANELIISEKEAWAMTYLREGTLLQGTVRKLFPYGAQITIGDTNRGGLLHVSNITRGQLTSVGDVLKVGEEVKAIKVFSEAEEMAQRYRDQISEKHQPAELDSSFDEVAPFDDEAVSYANWKWLRFSKSDKTNFNPRAESGL >ORUFI05G25550.2 pep chromosome:OR_W1943:5:23365074:23369304:1 gene:ORUFI05G25550 transcript:ORUFI05G25550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLSLLPAASVSPRSRRAARRRPPTASRLPVRGARAASPLHASSSSSSGGGEGGGGDELHLLEKPFPSPSPADEDESESTEAAPALSTEEALAPFLKFFQGKSTEPDEDDAAAGGGGGGGGGYVEEDEEDKGEGSAGAGAGGRGVVYYDPKPGDLAVGVVVGGDGRTLDIDVGAGGEPALMLAKEAVPMTGEEFEYLACDVASPRKGRWESWSAAGRGKERRRAGGTERGEGELNVPIKVKIFEWNAGGLLTRIEGLRAFLPKPEMMTRPRNFTDLKNTVGQQIHVCITRIDEKANELIISEKEAWAMTYLREGTLLQGTVRKLFPYGAQITIGDTNRGGLLHVSNITRGQLTSVGDVLKVGEEVKAIKVFSEAEEMAQRYRDQISEKHQPAELDSSFDEVAPFDDEAVSYANWKWLRFSKSDKTNFNPRAESGL >ORUFI05G25560.1 pep chromosome:OR_W1943:5:23373295:23377747:1 gene:ORUFI05G25560 transcript:ORUFI05G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKSVLFGKKSSRSGSTKAKDLSKGSNNKGYAAAGKDAGFESSPVISEPVLVTPHNNEAVQEVGRGENSSLQGEVVVRDVSQDLEKQNTVVSDASNDPERLREEQAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVATLRATWLIVKFQALVRGRNVRLSTNTIQVNWKLVQQQSGSGKRDAWKEKLSSNAFARKLLASPILVEALHFQYDERDPNSAFNWLERWTIGRVWRPISHPKRAAVTDAKPHTRKASYAMETESGKLKRNSRRSSAAPVESSQTNMAMETEKSRRNPRKFTSSTADSVPESQLTELEKVKRNLRKVTNSMAEASKVSTPATEIPERQEVQCEKPQRTAEEVPNYPEIQEPQNGNLLENAKTDILVPDLQPEPEVPSYQVETEEKVAELTVADPAVETMPLQDIHNEENALVNDMEQRSKEEPLSTESLKSSKRRSSFSTKIEYPENGSKNSPAVPSYMAATQSAKAKLRGQNSPRLSSDSAEKNGFTRRHSLPSSNGKLNSHSPRTQRPTHAGGKEGVKADKSMLSSRDASERPAKAEWKR >ORUFI05G25570.1 pep chromosome:OR_W1943:5:23381279:23381491:-1 gene:ORUFI05G25570 transcript:ORUFI05G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCAQWLEDASSGGIGGSVVIARGWRGAGDCVMQRLGGATVGSARSLVGIQRFIASPGRSEPLDEMDG >ORUFI05G25580.1 pep chromosome:OR_W1943:5:23384903:23388386:1 gene:ORUFI05G25580 transcript:ORUFI05G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPAGPGLYSDIGKKARDLLYRDYHTDQKFTLTTYAANGAAITVAGTKKNESIFSEIQSQVKNNNVSVDVKATSDSKALHDLGTPGLKGILSIPFPYQKSAKAEVQYLHPHAGLNAIVGLNANPLVSFSGVFGTGAFAVGTDVAFDTATGDFTKYNAGLSHTTPDLTAALLLNNKGDSLAASYYHKVSKTSAVGAELAHSFSSNENTLTFGTQHALDELTTVKARFNNFGMASALIQHEFRPKSLVTISTEVDTKAIDKSSKVGLSLVLKP >ORUFI05G25580.2 pep chromosome:OR_W1943:5:23384903:23388386:1 gene:ORUFI05G25580 transcript:ORUFI05G25580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPAGPGLYSDIGKKARDLLYRDYHTDQKFTLTTYAANGAAITVAGTKKNESIFSEIQSQVKNNNVSVDLITTFTVHDLGTPGLKGILSIPFPYQKSAKAEVQYLHPHAGLNAIVGLNANPLVSFSGVFGTGAFAVGTDVAFDTATGDFTKYNAGLSHTTPDLTAALLLNNKGDSLAASYYHKVSKTSAVGAELAHSFSSNENTLTFGTQHALDELTTVKARFNNFGMASALIQHEFRPKSLVTISTEVDTKAIDKSSKVGLSLVLKP >ORUFI05G25590.1 pep chromosome:OR_W1943:5:23388627:23390488:-1 gene:ORUFI05G25590 transcript:ORUFI05G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSIMMVKNEIENYSGSSPAMIINAPEGGAEAGPVVRRRRREPSLLAPISGDTNGGGIGKTSLSGITVKRSSRFRGVSRFRACRDDKKILSCRHRWTGRFEAHLWDKNSWNPTQRKKGKQVYLGAYDEEEAAARAYDLAALKYWGPATYTNFPVMDYEKELKIMENLTKEEYLASLRRKSSGFSRGVSKYRGVARHHQNGRWEARIGRVFGNKYLYLGTYSEYKNSAYTLFYIVNWYSNASKTSHLNIGPSAILPKSFSPGTQEEAARAYDIAAIEYKGVNAVTNFDLRSYITWLKPTPAHVAMNPAEALAMQIPVDHFLPMETQMMMLPQSNPFTSYHNAQSLGGGDGGSSSSNNQEASMIISPSGSSRKRSSSTALSLLLKSSMFRQLVEKNSDAGEENGGEMREPGAHPGEGYEYHNFFQGDAAPDMDMCDLLSSGNVHAASGSAGFEEDIACYSGFGSMQSLQQ >ORUFI05G25600.1 pep chromosome:OR_W1943:5:23391727:23397439:-1 gene:ORUFI05G25600 transcript:ORUFI05G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILSRRRLAAAVRRSGPAALASRWLHTPPFATVSPQEISGSSPAEVQNFVQGSWTTSGNWNWLVDPLNGEKFIKVAEVQEAEIKPFVESLSNCPKHGLHNPLKAPERYLMYGDISAKAANMLGQPVVSDFFAKLIQRVSPKSYQQALAEVQVSQKFLENFCGDQVRFLARSFAVPGNHLGQSSNGYRWPYGPVAIITPFNFPLEIPLLQLMGALYMGNKPVLKVDSKVSIVMDQMLRLLHACGMPAEDVDFINSDGITMNKLLLEANPKMTLFTGSSRIAEKLAADLKGKIKLEDAGFDWKILGPDVQEVDYIAWVCDQDAYACSGQKCSAQSILFMHKNWSSSGLLDKMKSLSERRKLEDLTIGPVLTVTTSSMIEHMKNLLKIPGSKVLFGGEPLENHSIPEIYGAFKPTAVFVPLSEILKSGNFELVTREIFGPFQEVLGRSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDIGPLPKNWALPSAT >ORUFI05G25610.1 pep chromosome:OR_W1943:5:23400063:23401000:-1 gene:ORUFI05G25610 transcript:ORUFI05G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKEFFPNKDLAEQPYFEAELLCYPKQKIICDYLSSRQAECHTSNQYNTCFWMLVKSGKREHEAHEILKGTLSKDRNELLFQKFHLNYNNELAMFRKGSCTYRHKVQNLRMQRV >ORUFI05G25620.1 pep chromosome:OR_W1943:5:23403568:23406053:1 gene:ORUFI05G25620 transcript:ORUFI05G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRTVSSWTCGDWASGPLNRTLHIGPNRTGPDSRLPHPASSPFTAQQPGGSPPPTSPSAATSTGRETLSLPSPPHPPVCLPSPAFSTALRCAARHLLPIASRR >ORUFI05G25630.1 pep chromosome:OR_W1943:5:23406645:23409981:1 gene:ORUFI05G25630 transcript:ORUFI05G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSVDSWCFGGFNAVAAMERLKVMRNLCEVLGIDFWEKMEELDIDPKVEELDNDCAAWFTGCHVMIKELGLRLIELRSVMIDQEIDFANVVCFIDAASEDLITQEKALSSRFLNKIKCEVSQLEQLSANRLKEKNLRIKTKIRELLKCTHLTGNEVEIDLEKEVLEELKMQKEMLKVEVERRSDIVIRAEIWRKSVDQLEALQKGSKNTNKMELMRCELLAKRTEGIKNILVEMVQTWEEKYDSPFSYDGDHLLTILNADAKPSSSEAEGMQKQKAEGQVPAQDLKSLLTPHPKLRRTPRVPVPLEEHVPLQLHVVAPLLLVPPAGAPPPMAQAAQAVQELPAPLPLPDVPVQAGSPPTMISQFNRSRQSLLTSTMLKALQVMKRPALSTFQVTMMIAMTVTTYLE >ORUFI05G25650.1 pep chromosome:OR_W1943:5:23419697:23421712:1 gene:ORUFI05G25650 transcript:ORUFI05G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRRTLLKVIVLGDSGVGKTSLMNQYVNKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCMLVYDVNAKRSFNALNTWHDEFLTQASPSDPKHFPFILLGNKIDIDAGNRRAIPEKKAKEWCVSKGNIPYFETSAKDDYNVDSAFLCIAKLALEHEHDQDIYFKTVAQPAPDTEHTSGCAC >ORUFI05G25660.1 pep chromosome:OR_W1943:5:23432751:23434527:1 gene:ORUFI05G25660 transcript:ORUFI05G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGAHAAVYHHPVSGLSAPAGDAAYSMSSYFSHGGSSTSSSASSFSAALAAATTPPLPDPSGSQFDISEFFFDDAPPAAVFNGAPTAALPDGAAANATRSAAEAVPAPAPAAVERPRTERIAFRTKSEIEILDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDKDDPSYVVTTYEGTHNHVSPSTVYYASQDAASGRFFVAGTQPPGSLN >ORUFI05G25670.1 pep chromosome:OR_W1943:5:23436782:23457599:1 gene:ORUFI05G25670 transcript:ORUFI05G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLKIVLGSHIWLEDKDLAWIDGEVFRIEGQKAHIRTTNGNMVVASISDIHPKDTEVHSDGIDDMIRLSYLHEPGVLNNLSVRYAKNIIYTYTGNILIAINPFQRLPHLAEPHTMEKYKGANFGELDPHVFAIADISYRQMMNERKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEEIRKYNLGDPSSFHYLNQSTCIKVDGISDNEEYLATRSAMNTVGITEQEQEAIFRVVAAVLHLGNINFVKGREVDSSVIKDEKARFHLNAAAELLMCDHGKLENALIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYSRLFDWLVSRLNASIGQDENSQYLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKYSGTGSHIGICSVVCEYNTVWLVTENCKVGSTDCYVLARKPGGIVALLDEACMFPKCTHESFSQKLYEKFKNHKRFSKPKLSRTAFTIQHYAGEVTYQSDHFLDKNRDYVVVEHQELLNASTCSFVSGLFPSVQEENTKSSKSSIANRFKGQLHDLMETLSSTEPHYIRCIKPNNLLKPATFENANVLHQLRCSGVLEAIRISCAGYPTRKLFRDFLQRFRIIAPDFFKERNDEKVICQKILDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVQNRAARAVQSRFRTHVAREQFLMLHNTSISFQSFVRAILACKLHLLLRKQAAALKIQKNVRCYFASKSFSELRSSAITLQTGLRAFGAYNEYIRRKQNKASTDIQAARDTEALKVEKGKLEEHIEELSSRLCLEKKLRSDLENSKATEISKLQTTLHEMERRVEEARATQERESAKKVVEEALVLEREKIALLTKEVEELKVLLLKEQEEKNATNSAFSIAQERNDDLTKKVEVANENFKQLKDTLKSFEESTKGLETSLMMERQQNEANRREVGEAQQRVEELLRLVADANGKSTSLQTTVQRLEQSLIEKEATWLTERQESETTNKLLIEAHGRNEELLNKIEVAENDISKFRDNIQRFEETATTLETSLLAEKQHSAAIMSQLAETKQGNEELQKKLADVNRTNDILQDSLKRFEENVTTRDALYLAERQEHDETKQSLSKSQERNWELLQKVDEAEKRINKLLENAQRLEKHATARESLLLKTKQSHDSTTKALVEAESRNRELTKSFEDSDRKINLLEDSVNRLEERIAEKDSLLEIERQENNATKDEVTNAQNKIMELVNESQQLQDIRKHLEDNIKRLEEDATTREALLISEKQTHEATKRTLTETQLRNEELINKIQDSDKHALQLELTIERLQENASTMEALLLREREQSNATMKAHSESQERNSQLLKKFEDVDKKIGLLQGTIQRLGEQTTKDTLLLSERKEKDELKKVLSETEYRNEELVIKIEEENKKVEHLQDTITMLKENIAVQAANLEAERQENDRIRKSLVEAQERNDELFKKVSDSEYRAQQLQDTVQKLRQESDAVRKALAESHGRNEDLIRRNDDLLSRNDDLIKKIEDSGQVVAELQAALERIEGKAANLEAENQILRQQAIATPPSTAKSQAAFSKINAFQQRSPENGHILNGNVAYAEKSLTGPAETRPSMVVNQGSILNLINQKDYESGDKMQRAHNEVYQHQQPQDDQQLLLQYITQHLGFSGSKPVAALLLYQCLLHWKSFETAKTSVFDSILQEINSAIEAQHDTRSLAYWLSNLSTLSVLLQLSFKTTRAAISTPHRRRFSYERIFQASQTSNSGLAYFSAQPVDGPSGLQQIDAKYPALLFKQQLVDLIEKVYGMISDKVKKELNPLLELCIQDPRTSHSNQAKASLSSASHLGQQSQLTHWLGIVKILNNCLHLLRANHLKFLVFTQFADSAWEALRHIRQAVDFLVISLKPIRTWSEICDDVCPALSLQQLERIVGMYWDDMNGTNIISAEFTSSMRTMMKEESNNATSFSVLLDDDSSIPFSLEDIAKSMPTIEETTENDLLPFVRENQSFAFILHRRD >ORUFI05G25680.1 pep chromosome:OR_W1943:5:23455707:23458058:-1 gene:ORUFI05G25680 transcript:ORUFI05G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAICGEDETAARVGCTGEWAGGIERVDLGERKEAVAAAGAGKRSVYLMDCAPVWGCASTRGRSAEMEDASAAVPRFADVPVRLLASRRDLDALGLDADALRLPAHLFGVFDGHGGAEVANYCRERIHVVLSEELKRLGKNLGEMGEVDMKEHWDDVFTKCFQRVDDEVSGRVTRVVNGGGEVRSEPVTAENVGSTAVVALVCSSHVVVANCGDSRIVLCRGKEPVALSIDHKPDRKDERARIEAQGGKVIQWNGYRVSGILAMSRSIGDRYLKPFVIPKPEVMVVPRAKDDDCLILASDGLWDVVSNEEACKVARRQILLWHKNNGAASPLSDEGEGSTDPAAQAAADYLMRLALKKGSEDNITVIVVDLKPRKKLKNIS >ORUFI05G25690.1 pep chromosome:OR_W1943:5:23464332:23468996:1 gene:ORUFI05G25690 transcript:ORUFI05G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHQFGPWNLLPDDILELLVGRNLCEIDRLHARRVCHSWRAAFARIEPPPPPPPLPLLLLPEADDDEHGLAFSCVLSGWDTHPFFLPRAARRRARCFGSCDGVWLFLAMEDGLQGDRARDHVLVNLHSFQFLDLPNVIRLDHTFPQLMKDIEIAIVSVTLSRQPTQQGCVAAGIIELPPFPIGVRPFAFWRMGDRVILPFYEDVFGDQAVEDVIYHNGYFLFLTQDEHIRVCQEPVFHDTNVDVDSILLRFEPRVDDGDAVLARYLVLCRGKVLMVVRLGCPHRRSPTSAFRVFERVDYLVVNAGVVEVLEHTWSEIDELGGRMLFLGRGCSRSYEEADGYPGMEGVYFLDDRSFRDPIFHDPDMVFDHTYHCCDNGRWSKSPFNVDRRFPERGRSKISPPIEFACITLWCKAEGRTHEVLRELYRRIPCEIDRHYARRVCHSWRAALARLEPPAPHPQVPWLLLPETNEHGLTFSCVLSECRAHRFFLPSGARRARYFGSYDGAWLFLAVDGRGAQAQDHLLVNLNNFQYLDLPNAILLHNWYEPDKLDLKKVAIVAAALSRPPTERGCVVAGIIEPFLSAHRVAFWRMGDRVISPQPAWPLPLEEVEDILHYTFNRNGREHEAFLVLTTEENVLVCEPRFHGSSVQVLSNLVRFIPRGSDGQPVLARYLVESRGEVLMVVRLGSAIQYDPSAEEFRVFERRDFNDGKFNCIWNSMSELEGRMLFVGRGCSRSYEAADGPAMEGVYFLDDRSFRDPIFHDPYEQPIFRRANRCSDNGKWLEAPFIRLDRCLPERGPSKCSPPRIET >ORUFI05G25700.1 pep chromosome:OR_W1943:5:23473438:23478719:1 gene:ORUFI05G25700 transcript:ORUFI05G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAIVGRRGGGGAVASARPPLGLAAGSSSLWLARHSLCLGSSSPFLWGAFRHDGGVGRPTGKTQVAKARLFPVRSHRLGSTWRPTGGATNHGGKGFRAKVITLSDVVTLLRALLRFPSSLGKELWRRDPREGVVLESCAMVLLLA >ORUFI05G25710.1 pep chromosome:OR_W1943:5:23481393:23484894:-1 gene:ORUFI05G25710 transcript:ORUFI05G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLALRRLMAAQRDRRRRRKAQARNGAITSMAKRKGTFLRGGDSSQGAKRLRYSGPYLTEDIWRHIHSLMPLRDAARAACVSQAFLRSWRRYPNLILTTETLGLEQKKHWKVHMARYFTRVDHILKNHSGIGVKRFQLVCGRRKLICRLNNWLQIAISSGIEEITLSLPSEYSFPCSLLSGGSGRSLQHLELVNCAFRPMAGLGCSRNLTKLHLHSVLITDDELACFLSECFALKHLDLSYCRELVYLKIPCLLEQLSYLNVDLCHLQMIESKAPNLSSLIYSGNLIELSLGQSSQVKTLDINFYDKTNFLCYVITKLQNIVPNLESLTIYSDDEVQQGGMKHDSVSGDTTTNLRTMPGHKHERLKEVMIIGFCSATSMVELTCHILENTTSLETITLDAVCDVHDLENIGRCCTTTIRKTGSCYPLRREMILEAHRGVMAIERYIRGKVPSNVELTVREPCTWCHDLERLDALEKENGSHYSPPHLKLEDISLLLKPV >ORUFI05G25720.1 pep chromosome:OR_W1943:5:23486588:23489578:1 gene:ORUFI05G25720 transcript:ORUFI05G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRKVFVLSDMELDAGVWRSQDELNTIRCKFAAKGLSAPEVVFWNVGAPASTPVVEPQENAGGAPNCSCDHRNPALRHAIVEE >ORUFI05G25730.1 pep chromosome:OR_W1943:5:23511522:23514821:-1 gene:ORUFI05G25730 transcript:ORUFI05G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQLVSRLLGMFRSRTQVGADKFGNRYFTRVEEVDGVMEEKRWVEFKGSDQDQTTVQVEWICWLNGQRKKAPTPEELAELEARRERVKQNIESHKFSFADVAVVYSKKKEEEEKKTGVRPVKTVGKFESPNLKSSIPQFPDTSLDQRKGHDEVSRSKDGSDTEDATVDTDRNWCYLQTGDMAATNMNDNEHIILAVRKARSLIGLAAGDGSNVRFSEAAVSDTE >ORUFI05G25730.2 pep chromosome:OR_W1943:5:23511522:23514821:-1 gene:ORUFI05G25730 transcript:ORUFI05G25730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQLVSRLLGMFRSRTQVGADKFGNRYFTRVEEVDGVMEEKRWVEFKGSDQDQTTVQVEWICWLNGQRKKAPTPEELAELEARRERYSKKKEEEEKKTGVRPVKTVGKFESPNLKSSIPQFPDTSLDQRKGHDEVSRSKDGSDTEDATVDTDRNWCYLQTGDMAATNMNDNEHIILAVRKARSLIGLAAGDGSNVRFSEAAVSDTE >ORUFI05G25730.3 pep chromosome:OR_W1943:5:23512227:23514821:-1 gene:ORUFI05G25730 transcript:ORUFI05G25730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQLVSRLLGMFRSRTQVGADKFGNRYFTRVEEVDGVMEEKRWVEFKGSDQDQTTVQVEWICWLNGQRKKAPTPEELAELEARRERYSKKKEEEEKKTGVRPVKTVGKFESPNLKSSIPQFPDTSLDQRKGHDEVSRSKDGSDTEDATVDTDRSSEPTGTGATFKPGTWQPPT >ORUFI05G25730.4 pep chromosome:OR_W1943:5:23512227:23514821:-1 gene:ORUFI05G25730 transcript:ORUFI05G25730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQLVSRLLGMFRSRTQVGADKFGNRYFTRVEEVDGYSKKKEEEEKKTGVRPVKTVGKFESPNLKSSIPQFPDTSLDQRKGHDEVSRSKDGSDTEDATVDTDRSSEPTGTGATFKPGTWQPPT >ORUFI05G25740.1 pep chromosome:OR_W1943:5:23518713:23532205:1 gene:ORUFI05G25740 transcript:ORUFI05G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQSPRRPWPHLSDDLLYEIVRRIPCEVDRLHMSRACRSWRVALTKTEPPAPAPPPALPWLLLPEVDGEHGLTFSCALSGWRRTHPFFLPHAARRARCFGSYDGAWLFLAVDGQGPRGEDHVLVNLNNFEYIDLPNAIFHFDWIDPENVDIVAATLSRAPTEQGCIVAGIINSFLSHHQIAFWHMGDRLFSEAEQTVWLSPLEQVEDLLYLDEDFLFLTKEEHIRVCPELTIFHEYPERILWRFQRRRRGEEEEQVLARYLVESRGSLLMVVRLASGRCQNLPTSEFRVFQKEKFSNGEEEEEEDAFQFHEYYWSELDKLEGRMLFVGRGCSRSYEAADGHAGMEGVYFLDDRSFVEAAIGDAPKLPYRCSDNGKWSKSPSQSQGQVERCFPERGQPRSKYSPPSRRRPAAMGRWAYLSEDLLDDIVRRLPCEVDRLRMSHVCRSWRVALTKAEPPAPPPPPPLPWLLLPEVDGEHGLTFSCVLSGWRRTHPFFLPHAARRARCFGSYDGAWLFLAIDGPQGDQAVQAQDHVLVNLNNFQYLDLPNAIPLNWDLPPEAQDLERIAIVAATLSCPPTEQGCVVAGIIVLPQALLRGVAFWRMGDRVVSAVFAEAWPPEQVEDLIYFKGREGHENFLLLTDGENIYVCQPMFHGDDPPMVPVFSNLVRFKPRGSSGQRVLARYLVESRGDILMVVRFRSDLQSSEFRVFQHKDGEFGENLWSLMSELDGRMLFVGRGCSRSYEARDYRYPGMEGVYFLDDRSFHDQIVGFDGDAPKEPYRCSDNGRCSRPPSQVERCFPERGQSRSKYSPPKSLASTIRRIPNHSTIPSLLLRSMADQPRRRWAAADDDVLHEVARRIPCELDRRHMSRVCNSWRVALAKLEAPAPPPPLPWLALPESDDGLPATVSCILSGCRAHAFSVLQGAHGARYFGSYDGGWLFLAVGGQARRQALLNLKIDGFQTLDLPNLARVNSVYPNEVNPNGDREMAIVAATLSCQPTEQGCIVAGIIESSPNLVAVGHVTRSIAFWRMGDQVVLPVFWALEKDNPLMWLEEVEDLLCHNGAFHFLTRVEDVLACEEPPVFYRDSVSLVPVNMFFLPRVHDEDETVLARYLVGSGKKLLMVVRLASGRGQRRTTSAFRVFQKEKFNTVEEDEPSQNRSAHFEYYWSELDLDELDGRMLFVGRGCSRSYEAGDGRYPGMGEGVYFLDDPSIHQMISGDAPKQPYLCSDNGKWSKAPTDPQGQVERCFPERGPSIHSPPVVRRIPCEIDRRRMSRICHSWRVALRRVRAPAPPPPLPWLLLPRAGGRPPTFSCALSGFRTHPFLVPRGAHRARYFGSYDGEWVFLAVDGQGNQAQEYPRRVAQDHVLVNLNNFHYFNLPNRIRFGAVVVYPSPRHWYYRKMAIVAATLSCKPTEQGCIVAGFLEYFPFPGHAEQHVAFWRIGDDMVLPPFWEGMNREADWFRPPMEDLIFYGGAFLFLDRGEHILACEERPVFQEYGVELVPVGMFFQPRVHDKNETVLARYLVESRKNLLMVVKLTSGRQHLPTSAFRVFRKKKLNNGEEDEPLYNGMFQFQYYWSELDKLEGRMLFVGRGCSRSYEAGDRYSGMEEGVYFLDDRSFRKPIMAFDRDADELPYRCSDNGKWSKSPSPHVDRCFPARGPSIDSPPVWILP >ORUFI05G25750.1 pep chromosome:OR_W1943:5:23533935:23537759:1 gene:ORUFI05G25750 transcript:ORUFI05G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLSGRVRSSQTNSRELDSPAARGIEISSSWSGSRLIISSPSAPRSQGIFRWPA >ORUFI05G25760.1 pep chromosome:OR_W1943:5:23548870:23550135:1 gene:ORUFI05G25760 transcript:ORUFI05G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPPRRWAAANDDVLLEIVRCIPCGIDRRNMGRACHSWRVALAKLEPPAPSPPLPWLLLPRADGPPTFSCVLSGCRSHRFFIPPGAVQRARYFGSYDGGWLFLAIGGQGQAQRHLLLRHNPFRLLVLPNVTHFPDMVYPPVLGVVKRDHQISIAAATLSCKPTEEGCIIAGIIDFAHSPCRAVRQIALWRMGDQVVLPPYWEQVPNQPMIEAVPVDLIHHDGAFHFLIRLEHIIVCEEPPEFQEEAVHLVPVYMYFQPRGGDNGDETVLARYLVESRKKLLMVVRYSSGRQHLPTSAFRVFQMKKFNNGEEDEPLNNGGFQYYWSELDKLEGRMLFVGRGCSRSYEAGDSYPAGMEGVYFLDDRSFAEAAMAFGEGANKLPYRCSDNGKWSGAPADSRVDRCFPSRGPSIYSPPVWLLP >ORUFI05G25770.1 pep chromosome:OR_W1943:5:23551425:23557812:-1 gene:ORUFI05G25770 transcript:ORUFI05G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSRSFQNLLLLLLPLALALCSAAASGEASRRFWVENDTFWKDGAPFQIVGGDVHYFRIVPEYWKDRLLRAKALGLNTIQTYVPWNLHEPKPLSWEFKGFTDIESYLRLAHELDMLVMLRVGPYICGEWDLGGFPPWLLTIEPTIELRSSDSTYLSLVDRWWGVLLPKITPLLYSNGGPIIMIENEFGSFGDDKNYLHYLVEVARRYLGNDIMLYTTDGGAIGNLKNGTILQDDVFAAVDFDTGSNPWPIFQLQKEYNLPGKSAPLSSEFYTGWLTHWGERIATTDASSTAKALKRILCRNGSAVLYMAHGGTNFGFYNGANTGQNESDYKADLTSYDYDAPIREYGDVHNAKYKALRRVIHECTGIPLLQLPSKIERASYGLVEVQKVASLFDIIHNISDALKVAFSEQPLSMELMGQMFGFLLYTSEYQEKHSSSILSIPKVHDRAQVFVSCSHSDVRKPRYVGIVERWSSKTLQIPSLSCSSNVSLYILVENMGRVNYGPYIFDQKGILSSVEIDGIILRHWKMHPVSLNAVGNLSKLQLIMQMTDAEASKVSIYGDSENKLQDVSLYLNEGISEEPAFYEGHFHIDSESEKKDTFISFRGWNKGVAFVNNFNIGRFWPAIGPQCALYVPAPILKPGDNVIVIFELHSPNPELTIKLVKDPDFTCGQ >ORUFI05G25770.2 pep chromosome:OR_W1943:5:23551427:23557812:-1 gene:ORUFI05G25770 transcript:ORUFI05G25770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSRSFQNLLLLLLPLALALCSAAASGEASRRFWVENDTFWKDGAPFQIVGGDVHYFRIVPEYWKDRLLRAKALGLNTIQTYVPWNLHEPKPLSWEFKGFTDIESYLRLAHELDMLVMLRVGPYICGEWDLGGFPPWLLTIEPTIELRSSDSTYLSLIENEFGSFGDDKNYLHYLVEVARRYLGNDIMLYTTDGGAIGNLKNGTILQDDVFAAVDFDTGSNPWPIFQLQKEYNLPGKSAPLSSEFYTGWLTHWGERIATTDASSTAKALKRILCRNGSAVLYMAHGGTNFGFYNGANTGQNESDYKADLTSYDYDAPIREYGDVHNAKYKALRRVIHECTGIPLLQLPSKIERASYGLVEVQKVASLFDIIHNISDALKVAFSEQPLSMELMGQMFGFLLYTSEYQEKHSSSILSIPKVHDRAQVFVSCSHSDVRKPRYVGIVERWSSKTLQIPSLSCSSNVSLYILVENMGRVNYGPYIFDQKGILSSVEIDGIILRHWKMHPVSLNAVGNLSKLQLIMQMTDAEASKVSIYGDSENKLQDVSLYLNEGISEEPAFYEGHFHIDSESEKKDTFISFRGWNKGVAFVNNFNIGRFWPAIGPQCALYVPAPILKPGDNVIVIFELHSPNPELTIKLVKDPDFTCGQ >ORUFI05G25780.1 pep chromosome:OR_W1943:5:23558225:23562152:1 gene:ORUFI05G25780 transcript:ORUFI05G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEKSPESSVVREQRVTISNKHGENLVGLLHQACSKNLDDSILVDLAYALTREGVSAFRFDFAGNGESEGQFQYGNYRREADDLHSVVSYFTEQEYNIIGLVGHSKGGNAVLLYASMNHDIPVIVNISGRFALERGIDGRLGKNFMQRIKKDGYIDVRNRKGEFEYQVTEESLKDRLSTDTLLSSRSISKCCRVLTIHGSKDEIVPVEDALMFAANIPNHELHIIAEANHRYTGHEKELKALVLDFIKSQPNFSSSLRPKL >ORUFI05G25790.1 pep chromosome:OR_W1943:5:23562569:23567175:1 gene:ORUFI05G25790 transcript:ORUFI05G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAAAAAAAAGAAGVEESLGRRRSRRGGGGDGVGMGAAAAARVWEFERDLVAGAAMGGAVHTVVAPIERAKLLLQTQDGNAALLGRARRFRGFADCVARTVRDEGVLSLWRGNGTAVIRYYPSVALNFSLKDLYRSILKDAGTSADNKFSSIALTNFIAGAAAGCTTLVLIYPLDIAHTRLAADIGRTDTRQFRGICHFVQTIYNKNGIRGIYRGLPASLQGMVVHRGLYFGGFDTAKDVMVPLDSPLWQRWVTAQAVTSMAGLISYPLDTVRRRMMMQSGMDVQMYSSTLDCWRKIYKVEGIKSFYRGALSNMFRSTGAAAILVLYDEVKKFMDRGRL >ORUFI05G25800.1 pep chromosome:OR_W1943:5:23566167:23569054:-1 gene:ORUFI05G25800 transcript:ORUFI05G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGKDSLDLSGLGAAVPNAKELSAEDKANLVESIKNTLQGLAARHTDVLESLEPKVRKRVEVLREIQSQHDDLEAKFFEERAALEAKYQKMYEPLYSKRYEIVNGVVEIQERDEEALKYLKDIKWYRISEPKGFKLEFYFDTNPFFKNSVLTKTYHMIDEDEPILEKAIGTEIEWFPGKCLTQKVLKKKPKKGSKNTKPITKTENCESFFNFFSPPQVPDDDEEIDEDTAEQLQNQMEQDYDIGRADEFLQGKGSRESDLQNASNSKMLPT >ORUFI05G25810.1 pep chromosome:OR_W1943:5:23572570:23575728:-1 gene:ORUFI05G25810 transcript:ORUFI05G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTLDLHLLGAVASQSNPFPMRLLRRIPLLLVEAACGDGVVSSSNEMQELWPLGEVDQKGTRFPCCIVWTPLPVVSWLAPYIGHVGIAREDGTVMDFAGSNFVSVDDLAYGSAARYLQLDRRKCCFPANLAAHVCARSYEHSEAGTAISWDDALQSGARRFEHKCYNLFTCNSHSFVASCLNRLAYGGSVGWNVLNLAALVWLRGRWLGKMAVVRSLLPFAAVACVGVLMAGWSFLISMAAFSSLLLGWFVLGVYCFKGLVC >ORUFI05G25820.1 pep chromosome:OR_W1943:5:23578128:23581046:1 gene:ORUFI05G25820 transcript:ORUFI05G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLSPPPPLHARLLLLPPLLPTMAGGSRGRRRGRGRRRFAVPPSRNGSSSQGTEWCPVPPEQLPVNEYESLAASLPFSWAAGDLTVYCSRLALTGAAFALFVGLPVASFGGRGGAGGDAVHLALGATGSGILAVTLAVVRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTPEVLARDRLLGSFSVKPVLNRVKFTLVGLAVSLILCILLYANTENPKDPFENTVGRAIPGVYDDTSARSFEPDAFCGEPDLGDLS >ORUFI05G25830.1 pep chromosome:OR_W1943:5:23582096:23586584:1 gene:ORUFI05G25830 transcript:ORUFI05G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSGQTCRRRRRVGKGKPLATRSNPYKKSKTPKAPPRAPAHPFPLPPTSSSSLAPRHTAKQSGGLIRANHPLILLFNHSGLSSPRLLRLLKLISPPSYSRAGRATRNPSSSEHEVAPRLGSDRAVDAGFGGGGGRLGMAGRRAMRPSGSSMRGVVARLAAARSPAVSFLVAAAAGAALVGGVYFWLVVSSFRLPDSRAVGCLPDGEGSWAIGMYYGKSPLELRPIELEGRSNGNSSAWPVANPVLTCATPTEGGYPSNFVADPFLYVQGDTLFLFFETKTVSTMQGDIGVARSLDQGATWEFLGIALDEAWHLSYPFVFKYENEIYMMPEGNKKKELRLYRATKFPLEWTLEKVLIDKPLIDSSLVQYDGLWWLFASDFTRHGIEKNAELEIWYSNSPLGPWSEHKQNPIYRSDKSLGARNGGRLFIFEGSLYRPGQDCSGTYGRKVKLYKIEKLTKEEYKEVPVNLGIEEAKKGRNAWNGMRYHHIDAQQLASGGWVAVMDGDRVPSGDSTRRSLFGYMGFLVAVALVTFVGFVKGAISCYIPPSFWVPLTRRSELSRILPVHRFNLKIRRYSTSIGRNISATKARLSEKTWSNTLFFCVIALIGIVNVCIAVHFLLGGNGAEEAYTHQGQHSQFTMVTMTYEARLWNLKLFVEHYSRCESVREIVVVWNKGNHPTSDAFDSTVPVRIRVEEINSLNNRFRGDPLIKTRAVLELDDDIMMTCSDVEKGFKVWREHPERMVGFYPRMIDGDPLQYRNERYARGKKGYNLILTGAAFMDSEFAFSKYWSQEAKEGRDYVHKNFNCEDLLMNFLYANASSSRTVEYVHPAWAIDTSKLSSVAISRDTQKHYDIRTKCLAKFASIYGPLPQKWLFGMREDGWDK >ORUFI05G25840.1 pep chromosome:OR_W1943:5:23586251:23587877:-1 gene:ORUFI05G25840 transcript:ORUFI05G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKEGTERSPDEYHRNISDLPDDVLREILRRVNSRAALGAAALGKRWAGLARELPSLDLRVADILPRDYYLELRRRDEAKRSFWVYDYGKKYDAVIRRYERRAMRAMAACMKELSPSTPRRSVHRLAVEFIDTNSSNHINRLITTAVDAWGVEHLDVAAVLLTSSSSAQLDHPAYRFPDGRISDDPHGSRLRTLELTRCRPPDLRGFDALTTLVLRGLPRTTRAAERFKRDAALSALGYLCRAAINVTDLALRLTGPQMWVVPESPFLEMPSLRRLLVADVPPRWDATWIRAVVEAAAPSLERLHVHFSQHYRQDDDDPAADRRRLEIVWENEPSRTQHCDNLEELVVIGFQSKKERQVQFVRYVMEEASMALRRVVLIKHGHVEDRGPGEWEMVSQKCTWSDEEKLAVKEQIMEGVCCSMDQVELVLE >ORUFI05G25850.1 pep chromosome:OR_W1943:5:23588239:23591206:-1 gene:ORUFI05G25850 transcript:ORUFI05G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLTNEAGEVTRYSKREDATKELTEAVEEGDKDAIEKFSKRTVKVTKQHNEGCKRLLRLMGVPVVEVYAVASEDMDSLTFGAPRFLRHLMDPSSKKIPVMEFEVAKVLEELELTMDQFIDLCILSGCDYCDSIKGIGGQTALKLIRQHGSIESILENINKDRYQIPEDWPYQEARRLFKEPNVTLDIPELKWNAPDEEAIEKIKFAKNKSSQGRLESFFKPVVSTSVPLKRKDTSEKPTKAVANKKTKGAGGKKK >ORUFI05G25860.1 pep chromosome:OR_W1943:5:23592155:23593883:1 gene:ORUFI05G25860 transcript:ORUFI05G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLWRWYADRQFNRWEKTVLWDMVEPYRPPRSFAPLIGTYVAAFYTGVVASAITEQLYKEKYWEDHPGEAVPLMPPKFYWGPWRVMNGEVPRFMQTPEEAKPA >ORUFI05G25880.1 pep chromosome:OR_W1943:5:23602936:23603316:1 gene:ORUFI05G25880 transcript:ORUFI05G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLMLRLTGPQMWVTPESPFLEMPSLRKLLVVDMPPRWDATWICALVEEAPSLERLHVHFSQRCEDDDEMAVRRKLEIVWEQEPSRALHSHLEELVVIGFQIKKERKVQLVRHIMVVAPCCSAQA >ORUFI05G25890.1 pep chromosome:OR_W1943:5:23605384:23607530:1 gene:ORUFI05G25890 transcript:ORUFI05G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRVSSQRRSRAQRLESIAVASAARVASRRRMRRSTSSERALMRSPPRGATCARQRRGLSFLLLATSRRRAEMLAAAGRGRLAATERTSGVAKVSQRGNDRDDARSKQNLIPKRPEDAAYQREKVRLPEREGEGELPPVFAADSNPSFHAQRSRANKQNPTHPKRPEDAVYKREKGRGALPPVFTADSPERREGWRVSSSAQLRASASLVRRETQERGNKKSYRAKKSDSDSV >ORUFI05G25900.1 pep chromosome:OR_W1943:5:23607559:23614900:1 gene:ORUFI05G25900 transcript:ORUFI05G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKTAFPSVQEKKPRAPKEPQRKPDGVSREVYALTGGVGMAPLMPTIEASHLKRRPAVEKEKVRVVNGAPPTGDYHFAKYNKKADVLKYTDEEYEKYLTDPAWSREETDKLFELCERFDLRFIVIVDRFPTDRSMEDLKSRYYSVTRALLIARARSFDEVAGNPLVKETFNAAHETERKRALSALLSQTKQQERKDAEILAEAKRIMETRAASKHTEEAGALAHFDNVDGVSPLSNTHPLSTATLPAAAVNNSIPASLRMVNLKPKVPTKAVCVEHLELRNEILTLLNLQKQLQNKEAEVSANRENSFTEAPSTPKRANRDVDRPFVPDTVGFAGERAGKRDHKRKIVLWINSILAWKLPGVHFHQEFAPVGRSRSWLLSVQCICPEKVFFVLLVGR >ORUFI05G25910.1 pep chromosome:OR_W1943:5:23616221:23617351:-1 gene:ORUFI05G25910 transcript:ORUFI05G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAEAASPGVGAWAAIRGYFTPATLFLVVNIVIGTIALTSRTHQRRRRQVYQYHHDGEILHHDQLQPPPPLLHQQYYGGGEHQQMMMQEMLYAPPPAPAPLARTSSVLDRLRSFGLYRFRSGDFPPEYATAAAAATSQLHHQRTEEVVSSSPVDEVKLQGHYARSRSEPAAAPAQEERKAASRLRNSSSEVRRAEVVRAPARVVEAFPEEAAAAVDATAEDDFTPKQQRDLPLQQEYVPPPAPAPAPLARTSSVLDRLRSFTLYSFRSGDLATDDIPADAAAAATPAQAHYGRTRSEPAREQNKKAKKQAASEAKTMTKSSSEARKDTAAEDADDGGVDARADDFINKFRQQLQLQRLNSLLNYKEMLNRGSSKQ >ORUFI05G25920.1 pep chromosome:OR_W1943:5:23621026:23624162:1 gene:ORUFI05G25920 transcript:ORUFI05G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWIKSLVSLKAAPEGTTKGRRWTRLWRSSSSASASASTAGDASESASSEADAFSSVVAAVVRAPPRDFRVIRQEWAAVRVQAAFRAFLARRALKALRGIVRLQALVRGRLVRRQLAVTLKCMNALLRVQERARERRARCSADGRDSQDAVGERDGRADPIKQAEEQWCDSQGSVSEVRSKIHMRHDAVAKRERAIAYALSHQPRSSKQSARPSSPARSLRNHESNRCNHDWSYIEGWMATKPWESRLMEQSHAELKCSKNSGELNLAGAQLSNASSVKMRGNRVAAKPPSVLSASSSDFPCDVSSASTSSATPARSDGGHGEGPSYMSLTKSAKARQSCNSPFQIQRQRSGGMSSYKRVALSPLDVQSNACSEFSVTSRKLNSLSLKGRSMTRSLDKENDNLF >ORUFI05G25930.1 pep chromosome:OR_W1943:5:23626120:23628338:1 gene:ORUFI05G25930 transcript:ORUFI05G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGAEAAGATAASRFGTICVFCGSNAGRRRVFGDAALDLGHELVRRGVDLVYGGGSIGLMGLIARTVLDGGRRVVGVIPRALMAVEISGESVGEVIVVQDMHERKAEMARRSKAFIALPGGYGTMEELLEMITWCQLGIHDKPVGLLNVDGYYDPLLALFDKGEAEGFINSDCRQIFVSAPTASELLTKMEQYTRLHQEVAPATSWEISELGYGRTPGADQS >ORUFI05G25940.1 pep chromosome:OR_W1943:5:23638027:23639271:1 gene:ORUFI05G25940 transcript:ORUFI05G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFGWSAPAAPCQPSCGPGGDDDDDVLLAAVLGASFELHSLVDGGGNGAAGAVRSDDAYGLDVDLPSHQMSLLRCQDGLSALHGDASPTAAAAAFLDSVDVLPVPAIAGATHDDVGLLDRFAFPNVAETTTVQAAASNTAFSGYSSNTTGGGNISSGESNTYTEVASTPCAVSTTTTTTALPPSKRKLPEKYPVVGTSPTTKTTTTSETAAERRSTKRGAGGSSSITFGGGCHGAGAAAALLGLGRGYEPDTEAIAQVKEMIYRAAAMRPVTLGGAASASDPSSAAPPPPQRPRRKNVRISSDPQTVAARLRRERVSERLRVLQRLVPGGSKMDTATMLDEAASYLKFLKSQLEALETLGNGNGNGNLLHHGYYTGSRNATATAATGSSNSTVLAFGRDGLAGFVKSNRNLQL >ORUFI05G25970.1 pep chromosome:OR_W1943:5:23649205:23655212:1 gene:ORUFI05G25970 transcript:ORUFI05G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEARNHYHTRGSTRGGGGGGGGGTAAERDLLLQWGNRKRLRCVKVHRRDVDAAATVAAEKAAASQRRAAAAAAAHHHRHHTTAGHAHHRAVRNSEESGAMRSSAQQHQMNGIRAVASPERERPARGSNIINNNGGPPTSPDDKKGSSSGSEGSIWPKFAVALTNKEKEEDFWVFKGSKLPQRPKKRAKVIQRTVNLVCPGTWLCDLTLERYEVREKKVSKKRPRGLKAMHNMDSDSE >ORUFI05G25980.1 pep chromosome:OR_W1943:5:23658356:23659816:1 gene:ORUFI05G25980 transcript:ORUFI05G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPQKKMVVSKKIMKVGPWGGTGGSPWDDGGHTGVRSITLSYDRCIDSIAVEYDRNGVAVAGERHGGVGGNQTTQYLTAVSGHYAAVAQGGAPAVIRWLAFRTNRREYGPLGGGAAEGTPFAFPVDGGAIVGFWGRSGRQLDAVGLHVAPLRPETMYEKAHKLGLMAYRSVRQRFGPQQQQQVQVQHHNSFALSQSGRTF >ORUFI05G25990.1 pep chromosome:OR_W1943:5:23659859:23663740:-1 gene:ORUFI05G25990 transcript:ORUFI05G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPEPLIWEIVKKNNCFLVKQFGNSNAKVQFTKEPNNLYNVHSYKHSGLANKKTVTIQPSGVKDAAVVLSTTKTKKQNAPAKLYHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSSVYRSLQVSKSGAKKKNRQPTKLGLVVFMFPATSVRNKNVNP >ORUFI05G26000.1 pep chromosome:OR_W1943:5:23665908:23666305:-1 gene:ORUFI05G26000 transcript:ORUFI05G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDQMGSEPPRVAAGDAPKTEGRIGSFSRLLSWLDAGGVLRDVEPEKMKDEIRRWAKSVVSLMKDHFIYNSPGFWRWKKYCKSVSMLP >ORUFI05G26010.1 pep chromosome:OR_W1943:5:23666848:23669517:-1 gene:ORUFI05G26010 transcript:ORUFI05G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMEKLKIFAVKEPVVTASCLIAGFGLFLPAVVRPMLDSWETAKQVPPPALNDVVAGVTGKKKE >ORUFI05G26020.1 pep chromosome:OR_W1943:5:23672330:23675780:-1 gene:ORUFI05G26020 transcript:ORUFI05G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVDRYQNGDEWDGVRLFYRRFGRGATKVLLVIGLAGTHDSWGPQIKGLTGSLEPAADDEESGDAAAAEGDDGDGIEVCCFDNRGVGRSSVLPHKSYYSTVIMARDALALMDHLGWKKAHVFGHSMGAMISCKLAAVAPHRICSLALLNVTGGGFQCFPKLDGQMLSLAFRFLRARTPEERALVDLETHYTKEYLDEKVGSCTRRTILYQVSLKVFVVDAPKSKIVGGGASQDLILGGGAARSKPLAREYVKGISSTGMQSNCGFEGQVNACWTHNMTTKELDTIRSAGFLVSVIHGRSDIIAQLCHARRLAERLIPVARMVELHGAHLVSHERPEEVNNALMELIKATKSMMKPEEWSSQPENSSETGALISARPVSVTIRTDDGGNAAIAVYNLLAKLQLSFLYVIGVILMGFEHMRNIVKVMKPKQNSCKLLSEPSRRPGIFMSPQYCGDDGFRIASPKTS >ORUFI05G26020.2 pep chromosome:OR_W1943:5:23672330:23675780:-1 gene:ORUFI05G26020 transcript:ORUFI05G26020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVDRYQNGDEWDGVRLFYRRFGRGATKVLLVIGLAGTHDSWGPQIKGLTGSLEPAADDEESGDAAAAEGDDGDGIEVCCFDNRGVGRSSVLPHKSYYSTVIMARDALALMDHLGWKKAHVFGHSMGAMISCKLAAVAPHRICSLALLNVTGGGFQCFPKLDGQMLSLAFRFLRARTPEERALVDLETHYTKEYLDEKVGSCTRRTILYQLAGAARSKPLAREYVKGISSTGMQSNCGFEGQVNACWTHNMTTKELDTIRSAGFLVSVIHGRSDIIAQLCHARRLAERLIPVARMVELHGAHLVSHERPEEVNNALMELIKATKSMMKPEEWSSQPENSSETGALISARPVSVTIRTDDGGNAAIAVYNLLAKLQLSFLYVIGVILMGFEHMRNIVKVMKPKQNSCKLLSEPSRRPGIFMSPQYCGDDGFRIASPKTS >ORUFI05G26020.3 pep chromosome:OR_W1943:5:23672330:23675780:-1 gene:ORUFI05G26020 transcript:ORUFI05G26020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVDRYQNGDEWDGVRLFYRRFGRGATKVLLVIGLAGTHDSWGPQIKGLTGSLEPAADDEESGDAAAAEGDDGDGIEVCCFDNRGVGRSSVLPHKSYYSTVIMARDALALMDHLGWKKAHVFGHSMGAMISCKLAAVAPHRICSLALLNVTGGGFQCFPKLDGQMLSLAFRFLRARTPEERALVDLETHYTKEYLDEKVGSCTRRTILYQEYVKGISSTGMQSNCGFEGQVNACWTHNMTTKELDTIRYSWEVSGDLRKAHIPSPQFASTLSDIIAQLCHARRLAERLIPVARMVELHGAHLVSHERPEEVNNALMELIKATKSMMKPEEWSSQPENSSETGALISARPVSVTIRTDDGGNAAIAVYNLLAKLQLSFLYVIGVILMGFEHMRNIVKVMKPKQNSCKLLSEPSRRPGIFMSPQYCGDDGFRIASPKTS >ORUFI05G26020.4 pep chromosome:OR_W1943:5:23672330:23675780:-1 gene:ORUFI05G26020 transcript:ORUFI05G26020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVDRYQNGDEWDGVRLFYRRFGRGATKVLLVIGLAGTHDSWGPQIKGLTGSLEPAADDEESGDAAAAEGDDGDGIEVCCFDNRGVGRSSVLPHKSYYSTVIMARDALALMDHLGWKKAHVFGHSMGAMISCKLAAVAPHRICSLALLNVTGGGFQCFPKLDGQMLSLAFRFLRARTPEERALVDLETHYTKEYLDEKVGSCTRRTILYQEYVKGISSTGMQSNCGFEGQVNACWTHNMTTKELDTIRSAGFLVSVIHGRSDIIAQLCHARRLAERLIPVARMVELHGAHLVSHERPEEVNNALMELIKATKSMMKPEEWSSQPENSSETGALISARPVSVTIRTDDGGNAAIAVYNLLAKLQLSFLYVIGVILMGFEHMRNIVKVMKPKQNSCKLLSEPSRRPGIFMSPQYCGDDGFRIASPKTS >ORUFI05G26030.1 pep chromosome:OR_W1943:5:23688107:23689372:1 gene:ORUFI05G26030 transcript:ORUFI05G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQDQASYRAGETKAHTEEKAGQVMGASKDKASEAKDRASEAAGHAAGKGQDTKEATKEKAQAAKERASETAQAAKDKTSSTSQAARDKAAESKDQTGGFLGEKTEQAKQKAAETAGAAKQKTAETAQYTKDSAIAGKDKTGSVLQQASEQVKSTVVGAKDAVMSTLGMTEDEAGTDDGANKDTSATAAATETTARDH >ORUFI05G26040.1 pep chromosome:OR_W1943:5:23690942:23697218:1 gene:ORUFI05G26040 transcript:ORUFI05G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEVRIGEEVWLTCLSHALTTETEEVMGLLFGDIKHSSRGGVTALIWGASPQMRCERKKDRVELVGHHRRENEGDWMVPLAPAYHRSAFPCRCSDPGNVSVDGASEDAQKVGKIQVIAFQSLGGNQQSVVPVNDPVINLESSWSSLDDTSHPALIEGIEQDTGDSKSSRNSKVWAKSSDVDFYPHFDANHSAKHQSKENAIVAYDPNNAPETPVDLDESDMTPSIQEALHRSNMDISGAEYVRKEVPLYVFPTRHLLKLDTTLTSYCDMQHVVFEEEKSAYNQAMHQNIRDGKIHPLTSIHHASTYNSSLCKLMEYCLSPAITVLQDRLKENELRLSMLMEEAKQLEAENQSMRNDSPRRLMYHGTSGTSSLMAQDKQMSPRSPSGTGRRKAS >ORUFI05G26040.2 pep chromosome:OR_W1943:5:23690942:23697218:1 gene:ORUFI05G26040 transcript:ORUFI05G26040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEVRIGEEVWLTCLSHALTTETEEVMGLLFGDIKHSSRGGVTALIWGASPQMRCERKKDRVELVGHHRRENEGDWMVPLAPAYHRSAFPCRCSDPGNVSVDGASEDAQKVGKIQVIAFQSLGGNQQSVVPVNDPVINLESSWSSLDDTSHPALIEGIEQDTGDSKSSRNSKVWAKSSDVDFYPHFDANHSAKHQSKENAIVAYDPNNAPETPVDLDESDMTPSIQEALHRSNMDISGAEYVRKEVPLYVFPTRHLLKLDTTLTSYCDMQHVVFEEEKSAYNQAMHQNIRDGKIHPLTSIHHASTYNSSLCKLMEYCLSPAITVLQDRLKENELRLSMLMEEAKQLEAENQSMRNDSPRRLMYHGTSGTSSLMAQDKQMSPRSPSGTGRRKAS >ORUFI05G26040.3 pep chromosome:OR_W1943:5:23690942:23697218:1 gene:ORUFI05G26040 transcript:ORUFI05G26040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEVRIGEEVWLTCLSHALTTETEEVMGLLFGDIKHSSRGGVTALIWGASPQMRCERKKDRVELVGHHRRENEGDWMVPLAPAYHRSAFPCRCSDPGNVSVDGASEDAQKVGKIQVIAFQSLGGNQQSVVPVNDPVINLESSWSSLDDTSHPALIEGIEQDTGDSKSSRNSKVWAKSSDVDFYPHFDANHSAKHQSKENAIVAYDPNNAPETPVDLDESDMTPSIQEALHRSNMDISGAEYVRKEVPLYVFPTRHLLKLDTTLTSYCDMQHVVFEEEKSAYNQAMHQNIRDGKIHPLTSIHHASTYNSSLCKLMEYWLVAQSLSVNWLICLFMCSPLLTYLAFCYSSLSPAITVLQDRLKENELRLSMLMEEAKQLEAENQSMRNDSPRRLMYHGTSGTSSLMAQDKQMSPRSPSGTGRRKAS >ORUFI05G26040.4 pep chromosome:OR_W1943:5:23690942:23697218:1 gene:ORUFI05G26040 transcript:ORUFI05G26040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEVRIGEEVWLTCLSHALTTETEEVMGLLFGDIKHSSRGGVTALIWGASPQMRCERKKDRVEVNPELLAAATAQAEISFFPSISVIFLSATIGEKTRVIGWYHSHPHITVLPSHVDVRTQAMFQLMEPGFVGLIFSCFSEDAQKVGKIQVIAFQSLGGNQQSVVPVNDPVINLESSWSSLDDTSHPALIEGIEQDTGDSKSSRNSKVWAKSSDVDFYPHFDANHSAKHQSKENAIVAYDPNNAPETPVDLDESDMTPSIQEALHRSNMDISGAEYVRKEVPLYVFPTRHLLKLDTTLTSYCDMQHVVFEEEKSAYNQAMHQNIRDGKIHPLTSIHHASTYNSSLCKLMEYWLVAQSLSVNWLICLFMCSPLLTYLAFCYSSLSPAITVLQDRLKENELRLSMLMEEAKQLEAENQSMRNDSPRRLMYHGTSGTSSLMAQDKQMSPRSPSGTGRRKAS >ORUFI05G26040.5 pep chromosome:OR_W1943:5:23690942:23696320:1 gene:ORUFI05G26040 transcript:ORUFI05G26040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEVRIGEEVWLTCLSHALTTETEEVMGLLFGDIKHSSRGGVTALIWGASPQMRCERKKDRVEVNPELLAAATAQAEISFFPSISVIFLSATIGEKTRVIGWYHSHPHITVLPSHVDVRTQAMFQLMEPGFVGLIFSCFSEDAQKVGKIQVIAFQSLGGNQQSVVPVNDPVINLESSWSSLDDTSHPALIEGIEQDTGDSKSSRNSKVWAKSSDVDFYPHFDANHSAKHQSKENAIVAYDPNNAPETPVDLDESDMTPSIQEALHRSNMDISGAEYVRKEVPLYVFPTRHLLKLDTTLTSYCDMQHVVFEEEKSAYNQAMHQNIRDGKIHPLTSIHHASTYNSSLCKLMEYCLSPAITVLQDRLKENELRLSMLMEEAKQLEAENQSMRNDSPRRLMYHGTSGTSSLMAQDKQMSPRSPSGTGRRKAS >ORUFI05G26040.6 pep chromosome:OR_W1943:5:23690942:23696320:1 gene:ORUFI05G26040 transcript:ORUFI05G26040.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEVRIGEEVWLTCLSHALTTETEEVMGLLFGDIKHSSRGGVTALIWGASPQMRCERKKDRVEVNPELLAAATAQAEISFFPSISVIFLSATIGEKTRVIGWYHSHPHITVLPSHVDVRTQAMFQLMEPGFVGLIFSCFSEDAQKVGKIQVIAFQSLGGNQQSVVPVNDPVINLESSWSSLDDTSHPALIEGIEQDTGDSKSSRNSKVWAKSSDVDFYPHFDANHSAKHQSKENAIVAYDPNNAPETPVDLDESDMTPSIQEALHRSNMDISGAEYVRKEVPLYVFPTRHLLKLDTTLTSYCDMQHVVFEEEKSAYNQAMHQNIRDGKIHPLTSIHHASTYNSSLCKLMEYCLSPAITVLQDRLKENELRLSMLMEEAKQLEAENQSMRNDSPRRLMYHGTSGTSSLMAQDKQMSPRSPSGTGRRKAS >ORUFI05G26050.1 pep chromosome:OR_W1943:5:23698188:23698523:1 gene:ORUFI05G26050 transcript:ORUFI05G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSETFRRSGSSGLVWDDRHLSGEIKPADGGGGGAAARVERSRSAGHGGYRAAGRVQPALDPPSPRVAVCGFCRFFGGSGKGGRSGGGGAAAVAGGKAKARRHSSS >ORUFI05G26060.1 pep chromosome:OR_W1943:5:23700572:23703794:1 gene:ORUFI05G26060 transcript:ORUFI05G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRVSVMRLVVAAMAVAAGVLFFSGAGEARVLLTLDDFGAVGDGITNDTQAFLDAWNAACASTEPAVLAVPAGKTYQIWPVRLAGPCKKKLKLMISGTIAAPASPDEWAGRDPTKWLYVFRVDDLSVSGGGTIDGMGAEWWARSCKRKKTKPCSTVSAPKALQFEECRRVSVQGITMQNGPQFHLMFTRCTDVKASFLRVVAPESSPNTDGIHLNDTTHAQIMDNLISTGDDCVSMVGNCSDVRVKDISCGPGHGISIGSLGKNRTTDRIENVRVDTCLLTNTTNGVRIKSWQGGMGYAHNLRFEGIVMKNVSNPIIIDQYYCDQPTPCANQTQAVEVRKIEFAGIRGTSATEQAIKLACSDAVPCRDLELRNVNLTMVGGGAASAFCHRASGKAAGAVVPASCLAKAPHRMLGDATPAARVGS >ORUFI05G26060.2 pep chromosome:OR_W1943:5:23700807:23703438:1 gene:ORUFI05G26060 transcript:ORUFI05G26060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRVSVMRLVVAAMAVAAGVLFFSGAGEARVLLTLDDFGAVGDGITNDTQAFLDAWNAACASTEPAVLAVPAGKTYQIWPVRLAGPCKKKLKLMISGTIAAPASPDEWAGRDPTKWLYVFRVDDLSVSGGGTIDGMGAEWWARSCKRKKTKPCSTVSAPKALQFEECRRVSVQGITMQNGPQFHLMFTRCTDVKASFLRVVAPESSPNTDGIHLNDTTHAQIMDNLISTGDDCVSMVGNCSDVRVKDISCGPGHGISIGSLGKNRTTDRIENVRVDTCLLTNTTNGVRIKSWQGGMGYAHNLRFEGIVMKNVSNPIIIDQYYCDQPTPCANQTQAVEVRKIEFAGIRGTSATEQAIKLACSDAVPCRDLELRNVNLTMAPHRMLGDATPAARVGS >ORUFI05G26070.1 pep chromosome:OR_W1943:5:23703832:23706273:1 gene:ORUFI05G26070 transcript:ORUFI05G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSRMAPPPLAGVVVVAAALLLLLPEAAEPRTLLSLDDFGAVGDGVANDTQALVDAWSAACATGDHTFLHVPAAKSYLVWPVTLAGPCREEIKLFISGNIVAPESPDEWPEGGGGGGEWLHFVGVSDLTLSGGGVIDGRGHRWWARSCKAKHNATENCTTQAAPKALHFEDCQGISVMGITLQNSQESHLTFTRCSHVKANYLRITSPEDSPDTTGVHVVSSRNVHIMDDSISTGHDCVSIVGNSTDVRLRAISCGPGHGISIGGLGENRSYHRVEKIKMDTLFISNTENGVRVKTFQGGCGTARKMKFGDILMKNVKNPIVIDQQNSSSNEIPCGSKNGSAVTVGEISYTDITGTSASERAVTFACSEAAPCSKLSLENVNITMAGGQNASAYCHHAFGKSVGVVVPDSCLGKEDYLRRQVPASAAAAGGGTQEKGGEDDDR >ORUFI05G26080.1 pep chromosome:OR_W1943:5:23708775:23710475:1 gene:ORUFI05G26080 transcript:ORUFI05G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVIISVVVVAAFAAAAVVEARVGPIDVAPTNLITNPLGAIIDNGRKITGAVVDECAWTCDHVAAGNKKMCNTLRKLPGVSSPKELLTAAVKLSMRKAKAARARFEAAARAAEKGTPMESILDTCKEGYDSTVSALQEVQRCIDANDSKASLITKMSAATTFTGDCGNAYEERELEPSLALKATKNNVNRVVTGALAIAAKLKL >ORUFI05G26090.1 pep chromosome:OR_W1943:5:23710747:23712035:1 gene:ORUFI05G26090 transcript:ORUFI05G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLINFDSDSGSGGRGTCHMDWCRQPNDPKLHEGCEPGLIQATKHDIHEKYH >ORUFI05G26100.1 pep chromosome:OR_W1943:5:23714006:23716726:-1 gene:ORUFI05G26100 transcript:ORUFI05G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKESDAEVLSPVVHDDAGVSYMFIQHNNVFLLTASRQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAMILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVIGELKMRTFLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLDDIKFHQFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVEKHSRSRIQITVKTRSQFKERSTATNVEIEVPVPEDSTNPNIRTSMGSAAYAPERDAMVWKIKSFPGGKEYMCRAEFSLPSITSEDGMPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >ORUFI05G26110.1 pep chromosome:OR_W1943:5:23719550:23722220:1 gene:ORUFI05G26110 transcript:ORUFI05G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVERMTKNLSTESIFSRKYGLLGKEEAHDNAKRIEEVCFASADEHFKEEPDGDGSSAVQLYAKETSKLMLEVLKRGPRTTVEPEVPVADTPLEPADSVFDISGGKRAFIEADEAKELLSPLIKPGNAYKRICFSNRSFGIGAANVAGPILESIKKQLTEVDISDFVAGRPEDEALDVMRIFSKALEGAVLRYLNISDNALGEKGVRAFEELLKSQDNLEELYVMNDGISEEAAQALSELIPSTEKLKILHFHNNMTGDEGAMFIAEMVKRSPNLESFRCSATRIGSDGGVALAEALGTCTRLKKLDLRDNLFGVEAGLALSKTLSKLPDLVELYLSDLNLENKGTVAIINTLKQSAPQLEVLEMAGNEINAKASQALAECLTAMQSLKKLTLAENELKDDGAVVIAKSLEDGHQDLKELDVSTNMLQRVGARCFAQAIANKPGFVQLNINGNFISDEGIDEVKDILKSGENSVEVLGPLDENDPEGEAEDDEEEEEEEEDDGELDSKLQSLKVEQDD >ORUFI05G26120.1 pep chromosome:OR_W1943:5:23723910:23727322:1 gene:ORUFI05G26120 transcript:ORUFI05G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVQPKYWRELIGQSTVDKRTKLPSQINGVDCATGARIRIHHLRSQSLYNRSETSRAAAAAARVSERTPATSARRRRRRKEKMAATLSQLDDGIVRGMAIGAVFTDYAGKINCLDFHRKEDLLVTSSEDDSIRLYNITSATLLKTTYHRKHGADRVCFTHHPSSILCSSRYNLESAESLRYLSLYDNRCLRYFKGHKDRVVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGPFDTFLVGGDTAEVSDIKFSNDGKSMLLTTTNNHIYVLDAYGGDKRCGFSLESSPNVATEAAFTPDGQYVISGSGDGTLHAWNINTIQEVLFLKSCF >ORUFI05G26130.1 pep chromosome:OR_W1943:5:23727782:23730648:-1 gene:ORUFI05G26130 transcript:ORUFI05G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGSACGGGGVDKEKEEFKQIYGVLKEELLRDPAFEFTDSSRQWIDRMLDYNVPGGKCNRGLSVVDSYKLLKGTNVLSQEDMFLASTLGWCVEWLQAYFLVLDDIMDDSHTRRGQPCWFRVPQVGSIAINDGIILRNHITRMLRLHFRGKLYYADLLDLFNEVEFKTASGQLLDLITTHEGEKDLNKYNIGVHRRIVQYKTSYYSFYLPVACALLLSGEDLTKYGAVEDILVKMGIYFQVQDDYLDCYGDPKFIGKIGTDIEDYKCSWLVVQALERADESQKSVLFENYGKKDPACVAKVKSLYRELNLEAVFLDYENESYKKLIADIEAQPSIAVQNVLKSFLHKIYKRQK >ORUFI05G26140.1 pep chromosome:OR_W1943:5:23734710:23735329:1 gene:ORUFI05G26140 transcript:ORUFI05G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPGLFSYYDPIPIGRSRCADQKGPTHFAGPPPGPARRAAVKSSCAAGLAAPLPRRAPVHVAAVFVTHMHKDKSLIINKHRNFFFGIRKMITHLYMIKRNKIYIDDKKRGEAWEEKRERKR >ORUFI05G26150.1 pep chromosome:OR_W1943:5:23735418:23735665:1 gene:ORUFI05G26150 transcript:ORUFI05G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPKGGLLLHAYNHLGPRPGTLVGHAVVPHGHDHVKPTATLPLNFESAGAVPLMEGNNVRGEAMREAETWEDGLPQM >ORUFI05G26160.1 pep chromosome:OR_W1943:5:23749007:23749931:1 gene:ORUFI05G26160 transcript:ORUFI05G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALYGSHPDDGAGFVADYSSVLDVSENLGYGESSSNSSNWTCAEVSNVLDSEVLNWAASAGADAAAKAEPFADMEQQHSGYGGEYQVEDDATLEHKFSLPCHEQSLAQFDFNLEYF >ORUFI05G26170.1 pep chromosome:OR_W1943:5:23752610:23755829:-1 gene:ORUFI05G26170 transcript:ORUFI05G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTAYYDTLGVSVDASPAEIKKAYYLKAKQVHPDKNPGNPDAAQKFQELGEAYQVLSDPSKREAYDKHGKEGLPQDNMVDPAAVFGMLFGSDYFEDYVGQLALASIASVEVEENLNSQEARGKVQEKIKELQKEREQKLIQSLKDRLQPFVDERKDEFVNWANGEAQRLSHAAFGEAMLTTIGYIYVRQAARELGKSKLYMGVPFIAEWVRDKGHCIKSQVNAASGAIALMQLQEGMKKMEESDNKEDQIMKSFEEKKDAMLSYLWKINVVDIESTLTHVCQAVLKDASVPKDVLKLRARALKKLGTIFQGAKSLYHRENSLQVETSPRQGAATSN >ORUFI05G26180.1 pep chromosome:OR_W1943:5:23756992:23759536:-1 gene:ORUFI05G26180 transcript:ORUFI05G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRRGSGGAGADQEAGVPMGGSRGSGDAGTNKEHGVPMGGRRGSGGAGADQEGGIPMGGRSGGSGAGFGLDWVWDWRNPNRSVPPPPTEDIPAVPGSSGVLPLSNLQRHSEKLSDTHGSDKEDEVVDSSDDDCDPYFNYLVDSFMTATKAQPKQLYGNIDLVAAAQRQSNEYASSALDYYNKDENNKIQYSLIKALKSSTIREKGEKYGHVNFIASLDSKEELFFAEVCWDPKNYDMVPTCIVSLEEKRRIGGRSFINDEYVVCLDLQNPPIDGKHCYGCSEEIKHPEDGSTFKAGHVAATGFYDSFM >ORUFI05G26190.1 pep chromosome:OR_W1943:5:23778551:23779008:-1 gene:ORUFI05G26190 transcript:ORUFI05G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLFVIKLNELFWVGTIGGVHFLVGLPGAISGENPIPTNANLIFLGSSTLSLLRLGDRSERRPTVYALEGCRTLIVVSSVISMRSRSHFGHVSGIPPTLVARAHNKRIARLPANE >ORUFI05G26200.1 pep chromosome:OR_W1943:5:23779256:23781472:-1 gene:ORUFI05G26200 transcript:ORUFI05G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSVVLWWEEWQLRILVLGSLFLQCFLAFAAVHRRRSIPASLRFFIWLAYLGSDALAIYALATLFNRHKTDNQGGSHVLATLVSPQGKGGGDDTGLEVFWAPVLLLHLAGPDSITSYNIEDNELWRRHVLTVISQVTVSLYVFCKSWSGENKLLKAAVLLFIAGTLKCIDKPMALKSASIYGLVTSSPFHDQKSQNNDQGENRSLEAYIQEAKGYFSNLKINDVYTELVAAAQNKDLRVMPFWLFVDLASTLCHRLRVLRFFLVLDNKSADSLLQTALCGSFVRLYTKKSMLLSYFWAKDKRNALISTYSHLNRLLAVCLTISAVALFHQSHKQGYNNSDVKVTYTLLWCTAALEVYALFGPKYKFFTWCKNVAQYNLVGFFARDQTPTRLLKLASCFWCKDYVDQHWYVNQCSSSFAITELVIEQVKAGWKNYIEDTSTYWMFNDRRGQLTIQHELCDEELCKSLDVPFDESIIVWHIATDICFYEGAPAANHHHLKAATRCREISNYMLYLLVVNPDILMSGTRANILSNTCKELRSMFEDEKPPSDESDLTREIHRRAQSSNVDAAATEELIPRASKLASQLLAMDGDKRWKVMQGVWVEMLCFSASRCRGYLHAKSLGQGGEYLSYVWLLLWYMGLESVAERQQRSDFRSHVERVASRQLPCTETESSQEPEIQEEEGAVVPLFQEGDIGVAVRSNVEDEEAGAVTLEIQDDEETATTAHASKATVPGDNNV >ORUFI05G26210.1 pep chromosome:OR_W1943:5:23791487:23793377:-1 gene:ORUFI05G26210 transcript:ORUFI05G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVAFRWGGEALLAPAAAAAFRSVGTTTMDCGENYSIDRGTGPVLATPADISGAATDISHKDQDSGRLPSSHLHRQSESWATHGSDKEKEDEEVVQAKNEEVDSFIAAAEKTGPPQQNIDCTAAARRQANNYAKVALEHYNKDENNKIQYRFIKALKICYDPKSNGLVPTCMVSLEENNRIGGLLGVGFVGCPDLLNPPVDNDHCYACDDRLKHPKDGTLFKGGHVAATGFYTSY >ORUFI05G26220.1 pep chromosome:OR_W1943:5:23797674:23802973:1 gene:ORUFI05G26220 transcript:ORUFI05G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCTGSMNPARARGTGQPLRVYGPGPCTESDRILFVSSRLPRESFPPLKPIAAAAGASLSFWSKIIAQNPRLELLLGRLILESEEGMSGPLDRFARPCLVPCKALLFVILPKRLSHLSVAGFEGFTHNDEKKEIRSDADNSEGEKKTKIGSFKKKAINAGNKFRHSLRRRSKKKNEPRGSIEDIRDVQDLQAVDAFRQCLVDEDLLPQQHDDYHTMLRFLKARKFDVEKAKSMWSDMLKWRKEFGADNIEEFDYTEADEVMKYYPQFYHGVDKEGRPIYIELIGKVDANKLMQVTTIERYVKYHVKEFERCFQMRFPACSIAAKRPIDSSTTILDVQGVGLKNFSKAARDLITRLQKIDNDNYPETLRRMYIINAGQGFKMLWSTVKSFLDPKTASKIHVLGSKYQNKLLEIIDENELPEFFGGKCKCEAFGGCKKSDKGPWKDPNIIKRVLNGEANYGRQIVTISSTDGKIIRYAGPQYPTRKGSDGSAESGSEVEDGASPMASRNLITNPLLTPVHEEASCHASKLAAHGFTSASPSIIEESIPVVDKVVDDGWGSPRASSSPSRSLPITFDGLWTQVITWLTVLIVSLFAMVRSVPSRMAKRFSSQSTDHDHSYVEYPQEAEYKEEFRPPSPAPSYTEKDVLSSMVRRLGELEEKVQALETKPSEMPFEKEELLNAAVRRVDALEAELISTKKALYEALMRQDELLAYIDKQDMIKFRKKKFCF >ORUFI05G26220.2 pep chromosome:OR_W1943:5:23797674:23803172:1 gene:ORUFI05G26220 transcript:ORUFI05G26220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCTGSMNPARARGTGQPLRVYGPGPCTESDRILFVSSRLPRESFPPLKPIAAAAGASLSFWSKIIAQNPRLELLLGRLILESEEGMSGPLDRFARPCLVPCKALLFVILPKRLSHLSVAGFEGFTHNDEKKEIRSDADNSEGEKKTKIGSFKKKAINAGNKFRHSLRRRSKKKNEPRGSIEDIRDVQDLQAVDAFRQCLVDEDLLPQQHDDYHTMLRFLKARKFDVEKAKSMWSDMLKWRKEFGADNIEEFDYTEADEVMKYYPQFYHGVDKEGRPIYIELIGKVDANKLMQVTTIERYVKYHVKEFERCFQMRFPACSIAAKRPIDSSTTILDVQGVGLKNFSKAARDLITRLQKIDNDNYPETLRRMYIINAGQGFKMLWSTVKSFLDPKTASKIHVLGSKYQNKLLEIIDENELPEFFGGKCKCEAFGGCKKSDKGPWKDPNIIKRVLNGEANYGRQIVTISSTDGKIIRYAGPQYPTRKGSDGSAESGSEVEDGASPMASRNLITNPLLTPVHEEASCHASKLAAHGFTSASPSIIEESIPVVDKVVDDGWGSPRASSSPSRSLPITFDGLWTQVITWLTVLIVSLFAMVRSVPSRMAKRFSSQSTDHDHSYVEYPQEAEYKEEFRPPSPAPSYTEKDVLSSMVRRLGELEEKVQALETKPSEMPFEKEELLNAAVRRVDALEAELISTKKALYEALMRQDELLAYIDKQDMIKFRKKKFCF >ORUFI05G26220.3 pep chromosome:OR_W1943:5:23797674:23803172:1 gene:ORUFI05G26220 transcript:ORUFI05G26220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCTGSMNPARARGTGQPLRVYGPGPCTESDRILFVSSRLPRESFPPLKPIAAAAGASLSFWSKIIAQNPRLELLLGRLILESEEGMSGPLDRFARPCLVPCKALLFVILPKRLSHLSVAGFEGFTHNDEKKEIRSDADNSEGEKKTKIGSFKKKAINAGNKFRHSLRRRSKKKNEPRGSIEDIRDVQDLQAVDAFRQCLVDEDLLPQQHDDYHTMLRFLKARKFDVEKAKSMWSDMLKWRKEFGADNIEEFDYTEADEVMKYYPQFYHGVDKEGRPIYIELIGKVDANKLMQVTTIERYVKYHVKEFERCFQMRFPACSIAAKRPIDSSTTILDVQGVGLKNFSKAARDLITRLQKIDNDNYPETLRRMYIINAGQGFKMLWSTVKSFLDPKTASKIHVLGSKYQNKLLEIIDENELPEFFGGKCKCEAFGGCKKSDKGPWKDPNIIKRVLNGEANYGRQIVTISSTDGKIIRYAGPQYPTRKGSDGSAESGSEVEDGASPMASRNLITNPLLTPSKLAAHGFTSASPSIIEESIPVVDKVVDDGWGSPRASSSPSRSLPITFDGLWTQVITWLTVLIVSLFAMVRSVPSRMAKRFSSQSTDHDHSYVEYPQEAEYKEEFRPPSPAPSYTEKDVLSSMVRRLGELEEKVQALETKPSEMPFEKEELLNAAVRRVDALEAELISTKKALYEALMRQDELLAYIDKQDMIKFRKKKFCF >ORUFI05G26220.4 pep chromosome:OR_W1943:5:23797674:23802973:1 gene:ORUFI05G26220 transcript:ORUFI05G26220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCTGSMNPARARGTGFEGFTHNDEKKEIRSDADNSEGEKKTKIGSFKKKAINAGNKFRHSLRRRSKKKNEPRGSIEDIRDVQDLQAVDAFRQCLVDEDLLPQQHDDYHTMLRFLKARKFDVEKAKSMWSDMLKWRKEFGADNIEEFDYTEADEVMKYYPQFYHGVDKEGRPIYIELIGKVDANKLMQVTTIERYVKYHVKEFERCFQMRFPACSIAAKRPIDSSTTILDVQGVGLKNFSKAARDLITRLQKIDNDNYPETLRRMYIINAGQGFKMLWSTVKSFLDPKTASKIHVLGSKYQNKLLEIIDENELPEFFGGKCKCEAFGGCKKSDKGPWKDPNIIKRVLNGEANYGRQIVTISSTDGKIIRYAGPQYPTRKGSDGSAESGSEVEDGASPMASRNLITNPLLTPVHEEASCHASKLAAHGFTSASPSIIEESIPVVDKVVDDGWGSPRASSSPSRSLPITFDGLWTQVITWLTVLIVSLFAMVRSVPSRMAKRFSSQSTDHDHSYVEYPQEAEYKEEFRPPSPAPSYTEKDVLSSMVRRLGELEEKVQALETKPSEMPFEKEELLNAAVRRVDALEAELISTKKALYEALMRQDELLAYIDKQDMIKFRKKKFCF >ORUFI05G26220.5 pep chromosome:OR_W1943:5:23797674:23803069:1 gene:ORUFI05G26220 transcript:ORUFI05G26220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCTGSMNPARARGTGFEGFTHNDEKKEIRSDADNSEGEKKTKIGSFKKKAINAGNKFRHSLRRRSKKKNEPRGSIEDIRDVQDLQAVDAFRQCLVDEDLLPQQHDDYHTMLRFLKARKFDVEKAKSMWSDMLKWRKEFGADNIEEFDYTEADEVMKYYPQFYHGVDKEGRPIYIELIGKVDANKLMQVTTIERYVKYHVKEFERCFQMRFPACSIAAKRPIDSSTTILDVQGVGLKNFSKAARDLITRLQKIDNDNYPETLRRMYIINAGQGFKMLWSTVKSFLDPKTASKIHVLGSKYQNKLLEIIDENELPEFFGGKCKCEAFGGCKKSDKGPWKDPNIIKRVLNGEANYGRQIVTISSTDGKIIRYAGPQYPTRKGSDGSAESGSEVEDGASPMASRNLITNPLLTPSKLAAHGFTSASPSIIEESIPVVDKVVDDGWGSPRASSSPSRSLPITFDGLWTQVITWLTVLIVSLFAMVRSVPSRMAKRFSSQSTDHDHSYVEYPQEAEYKEEFRPPSPAPSYTEKDVLSSMVRRLGELEEKVQALETKPSEMPFEKEELLNAAVRRVDALEAELISTKKALYEALMRQDELLAYIDKQDMIKFRIFPVS >ORUFI05G26220.6 pep chromosome:OR_W1943:5:23797674:23803172:1 gene:ORUFI05G26220 transcript:ORUFI05G26220.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCTGSMNPARARGTGFEGFTHNDEKKEIRSDADNSEGEKKTKIGSFKKKAINAGNKFRHSLRRRSKKKNEPRGSIEDIRDVQDLQAVDAFRQCLVDEDLLPQQHDDYHTMLRFLKARKFDVEKAKSMWSDMLKWRKEFGADNIEEFDYTEADEVMKYYPQFYHGVDKEGRPIYIELIGKVDANKLMQVTTIERYVKYHVKEFERCFQMRFPACSIAAKRPIDSSTTILDVQGVGLKNFSKAARDLITRLQKIDNDNYPETLRRMYIINAGQGFKMLWSTVKSFLDPKTASKIHVLGSKYQNKLLEIIDENELPEFFGGKCKCEAFGGCKKSDKGPWKDPNIIKRVLNGEANYGRQIVTISSTDGKIIRYAGPQYPTRKGSDGSAESGSEVEDGASPMASRNLITNPLLTPSKLAAHGFTSASPSIIEESIPVVDKVVDDGWGSPRASSSPSRSLPITFDGLWTQVITWLTVLIVSLFAMVRSVPSRMAKRFSSQSTDHDHSYVEYPQEAEYKEEFRPPSPAPSYTEKDVLSSMVRRLGELEEKVQALETKPSEMPFEKEELLNAAVRRVDALEAELISTKKALYEALMRQDELLAYIDKQDMIKFRKKKFCF >ORUFI05G26230.1 pep chromosome:OR_W1943:5:23812515:23818247:-1 gene:ORUFI05G26230 transcript:ORUFI05G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDACSGLHLVCLHAWGMQVVCSTALITLKKGSKLIKYSRKGKPKIREFRLSSDENTLVWYSHNKEKCLRLSSVSKVIPGQRTAVFRRFLRPEKDYLSFSLIYKNGQRSLDLVCKDQAEVEVWFSTLESLITSCRLNFLNDGQTDRVSFSEDVTIYQDSTSYDTTLDIASSITRSFNSAGYSTPNSLNSIRADVGSDRVNMLRASTGDNSRVSISSAPSSSSQSSGLDDIESLGDVYVWGEVWTEVLPSEGSSNYLCSKTDFLIPKPLESDVVLDVQQIACGSRHIGLTTRQGEVFTWGEELGGRLGHGTDTDICRPKLVESLAVSNVEYIACGEFHTCVVTASGDLYDWGDGSYNAGLLGHGTGVSHWLPKRVSGPLEGLQVLSVACGSWHSALTMSSGKLFTFGDGTFGSLGHGDRESVAYPKEVEALSGFRAMKVACGVWHSAAIVEISGQASTNAMSRKLFTWGDGDKNRLGHGDKEAKLVPTCVQALVDHNFHQVACGHSMTVALATSGHVFTMGSSNNGQLGNPKADGKQPCMVQDKLGNELVEEISCGSNHVAALTSRSEVYTWGMGANGRLGHGSVEDKKKPTLVDALKDRHVKSISCGSNFTTCICIHKWVSGADQSVCSGCRQPFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFMKLKAADTGVISSYNKRNVITRRSIDIKDKLERPEIRPSRLATTSPAEPVKYQETKNVRNETKPADPMSMMKASQVPAMLQFKDMAFAGTFGTVPTTVKSMTMGGQMQMGMPMFSPSPPSKKPSPPPATASPLIGKVDNDGLKKTNELLNQNISKLQSQVNKLKQKCETQDEQLQKAERKAKQAASMASEESARRNTVLDFVKHLDSELKVIADRVPGDVADNLKTLQSQSERFLAGQSSNLVEITGLTGHDIGHHRSSSTGSLPVSQDGSSGNASGSSIAMASDSPCHRIMENNLKAPGDFAPKYGTHGEVQLIEQFEPGVYVTLIQLRDGTKVFKRVRFSKRRFAEQQAEEWWRENQERVFKKYNHPTN >ORUFI05G26240.1 pep chromosome:OR_W1943:5:23822010:23824594:-1 gene:ORUFI05G26240 transcript:ORUFI05G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGMIIGGGGRRRLTRVRTLGRGASGAVVSLFAAGDDELLAVKSAAGPAGAAQLRREAGILASLCSPHVLPCFGFGAVAGGEYGLLLEFAPGGSLADEVARNGGRLEEDDVRAYAADVASGLAYLHGVGMVHGDVKGRNVVIGANGRAKLADFGCARRADSAGPIGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWSGVDDVVAAVRLIGFTDAVPEPPEWLSPEANDFLDKCLRRRAGERWTAAQLLEHPFLALAGCRAVAAEETKPKWVSPKSTLDAAFWESDADDEDDDMPESWAERIMALAVPCSAVPDWESDDGWIDVMSSQSELPIAAAETPAEQTRSEVSESPVASPALETTSYASAWDERSEAVMDADVDDDDDELVHNVRTVDTFVDEQLRQDIYLDFTTSDPIVLHVDVSDERKVKLLPPIPDCLCSSPSLSFFDFIHSNLITLQTQTTNLKLQTSKNVKSRAAASALILQNDGTKAGEMKMMQIRGDTWPKSLDYLTPDRVPLKKNKGITASTLLLHLRVVVDSVIRLPAQHLIKTVKSIAMCHCHLYLDAMNNLVVVVLLGMNFLHVS >ORUFI05G26240.2 pep chromosome:OR_W1943:5:23824600:23832190:-1 gene:ORUFI05G26240 transcript:ORUFI05G26240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAAAVSRQWTRVRTLGRGASGAEVFLAADDASGELFAVKSVGAAGAAALRREQGVMAGLSSPHVVPCIGGRVGRDGSYQMFLEFAPGGSLADVAARCGGRMEERAVGEYAADVARGLAYLHGMGLVHGDVKARNVVIGGDGRAKLADFGCARWADSGRPIGGTPAFMAPEVARGEEQSPAADVWALGCTVIEMATGRAPWSDMDDVLAAVHRIGYTEAVPEVPGWLSADAKDFLARCLQRRPIDRSTAAQLLEHPFVASAAGDGKPEAAKSKWVSPKSTLDAALWESDTDEEEDDELSQSTAERIGSLACAASSLPDWDSDDGWIDVISTPTEESCETTTSPADEETTTDLNGDIRTAEFELPHIDVDSGNGNTTHNVGEANAQHIISPSNLVFDQPEEEINR >ORUFI05G26250.1 pep chromosome:OR_W1943:5:23839788:23853778:1 gene:ORUFI05G26250 transcript:ORUFI05G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERREHSRRSGRSRSRSPARDRGSPPRRRSPPARRERSPAPRSRSPRRRSPVKTTSSHRERSPVRRNGSPRRSPVRSIGRSPQRDRVKEQVRSPKQAQSRSRSPSPARKRQSRSPSPRSKRLRRAQSEREGSDPTEGDRRKTTSREERDSGRYRERDEGKDVSRDRKTEREDSRGSFKDRKLDRDDDRDHSRDRRSYRSGASRETWSSRDDERRDSRGRRSDGDDRKVNSREQRADHDDRRDSARERRADRDESNGESGRSSRRGRSVSPEEHRHRGRHESHQSPRSSRSAAHGEDTSSVTDAASRSVDPDSLVKMNATAEALEAKEKQKPSFELSGKLAEETNRVAGVNLLHSEPPEARKSDIRWRLYVFKGGEPLEEPLYVHRMSSYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINENRIEPSRYYELFEKDTIKFGNSSREYVLLHENSKD >ORUFI05G26250.2 pep chromosome:OR_W1943:5:23839718:23855222:1 gene:ORUFI05G26250 transcript:ORUFI05G26250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQVGNGFGGGAEGAFPEVEALEVALAGEGPGLAAAQEGEVAGCEESRDRVKEQVRSPKQAQSRSRSPSPARKRQSRSPSPRSKRLRRAQSEREGSDPTEGDRRKTTSREERDSGRYRERDEGKDVSRDRKTEREDSRGSFKDRKLDRDDDRDHSRDRRSYRSGASRETWSSRDDERRDSRGRRSDGDDRKVNSREQRADHDDRRDSARERRADRDESNGESGRSSRRGRSVSPEEHRHRGRHESHQSPRSSRSAAHGEDTSSVTDAASRSVDPDSLVKMNATAEALEAKEKQKPSFELSGKLAEETNRVAGVNLLHSEPPEARKSDIRWRLYVFKGGEPLEEPLYVHRMSSYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINENRIEPSRYYELFEKDTIKFGNREAYSSHPHLRASAWRSSVSTDDGGALVRPSPYISPSPKHPAPHQHGGGGAPPPMWRPDTMANLHRDSVPQARQYTRFRRLLLAIRLPKPASDWL >ORUFI05G26250.3 pep chromosome:OR_W1943:5:23839718:23855222:1 gene:ORUFI05G26250 transcript:ORUFI05G26250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERREHSRRSRRSRSRSPARDRGSPPRRRERSPAARSRSPRRRSPVKSTSSHRERSPVRRNGSPRRSPVRSIGRSPQRDRVKEQVRSPKQSRSRSPSPARKRESWSPSPQSKRLRRAQSEREGADATEGDRRKTTRERNWIVMMIGITQEIEGLIGRVLQGRHGQAEMMKGVIQGVEGLMGMIEKSIPGSKGRIMMIEGILQEREGQIGMRAMVNQGDHLGVGDQCLQKSIGIGVDMNPTSHRGHLEVQHMDTSSVTDAASRSVDPDSLVKMNATAEALEAKEKQKPSFELSGKLAEETNRVAGVNLLHSEPPEARKSDIRWRLYVFKGGEPLEEPLYVHRMSSYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINENRIEPSRYYELFEKDTIKFGNREAYSSHPHLRASAWRSSVSTDDGGALVRPSPYISPSPKHPAPHQHGGGGAPPPMWRPDTMANLHRDSVPQARQYTRFRRLLLAIRLPKPASDWL >ORUFI05G26250.4 pep chromosome:OR_W1943:5:23839788:23853778:1 gene:ORUFI05G26250 transcript:ORUFI05G26250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERREHSRRSGRSRSRSPARDRGSPPRRRSPPARRERSPAPRSRSPRRRSPVKTTSSHRERSPVRRNGSPRRSPVRSIGRSPQRDRVKEQVRSPKQAQSRSRSPSPARKRQSRSPSPRSKRLRRAQSEREGSDPTEGDRRKTTSREERDSGRYRERDEGKDVSRDRKTEREDSRGSFKDRKLDRDDDRDHSRDRRSYRSGASRETWSSRDDERRDSRGRRSDGDDRKVNSREQRADHDDRRDSARERRADRDESNGESGRSSRRGRSVSPEEHRHRGRHESHQSPRSSRSAAHGEDTSSVTDAASRSVDPDSLVKMNATAEALEAKEKQKPSFELSGKLAEETNRVAGVNLLHSEPPEARKSDIRWRLYVFKGGEPLEEPLYVHRMSSYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINENRIEPSRYYELFEKDTIKFGNSSREYVLLHENSKD >ORUFI05G26250.5 pep chromosome:OR_W1943:5:23839718:23855222:1 gene:ORUFI05G26250 transcript:ORUFI05G26250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERREHSRRSRRSRSRSPARDRGSPPRRRERSPAARSRSPRRRSPVKSTSSHRERSPVRRNGSPRRSPVRSIGRSPQRDRVKEQVRSPKQSRSRSPSPARKRESWSPSPQSKRLRRAQSEREGADATEGDRRKTTRERDEGKDVSRDRKAEREEGSFKDRKLDHDDDRDHSRDRRSDRSGASRETWSSRDDEGRDSRGRRSDGDDRKGICREQRADHDDRKDSARERRADRDESNGESGRSSRRGRSDTSSVTDAASRSVDPDSLVKMNATAEALEAKEKQKPSFELSGKLAEETNRVAGVNLLHSEPPEARKSDIRWRLYVFKGGEPLEEPLYVHRMSSYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINENRIEPSRYYELFEKDTIKFGNREAYSSHPHLRASAWRSSVSTDDGGALVRPSPYISPSPKHPAPHQHGGGGAPPPMWRPDTMANLHRDSVPQARQYTRFRRLLLAIRLPKPASDWL >ORUFI05G26250.6 pep chromosome:OR_W1943:5:23848681:23855222:1 gene:ORUFI05G26250 transcript:ORUFI05G26250.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGGLLSIGRSPQRDRVKEQVRSPKQAQSRSRSPSPARKRQSRSPSPRSKRLRRAQSEREGSDPTEGDRRKTTSREERDSGRYRERDEGKDVSRDRKTEREDSRGSFKDRKLDRDDDRDHSRDRRSYRSGASRETWSSRDDERRDSRGRRSDGDDRKVNSREQRADHDDRRDSARERRADRDESNGESGRSSRRGRSVSPEEHRHRGRHESHQSPRSSRSAAHGEDTSSVTDAASRSVDPDSLVKMNATAEALEAKEKQKPSFELSGKLAEETNRVAGVNLLHSEPPEARKSDIRWRLYVFKGGEPLEEPLYVHRMSSYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINENRIEPSRYYELFEKDTIKFGNREAYSSHPHLRASAWRSSVSTDDGGALVRPSPYISPSPKHPAPHQHGGGGAPPPMWRPDTMANLHRDSVPQARQYTRFRRLLLAIRLPKPASDWL >ORUFI05G26250.7 pep chromosome:OR_W1943:5:23848681:23853778:1 gene:ORUFI05G26250 transcript:ORUFI05G26250.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGGLLSIGRSPQRDRVKEQVRSPKQAQSRSRSPSPARKRQSRSPSPRSKRLRRAQSEREGSDPTEGDRRKTTSREERDSGRYRERDEGKDVSRDRKTEREDSRGSFKDRKLDRDDDRDHSRDRRSYRSGASRETWSSRDDERRDSRGRRSDGDDRKVNSREQRADHDDRRDSARERRADRDESNGESGRSSRRGRSVSPEEHRHRGRHESHQSPRSSRSAAHGEDTSSVTDAASRSVDPDSLVKMNATAEALEAKEKQKPSFELSGKLAEETNRVAGVNLLHSEPPEARKSDIRWRLYVFKGGEPLEEPLYVHRMSSYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINENRIEPSRYYELFEKDTIKFGNSSREYVLLHENSKD >ORUFI05G26250.8 pep chromosome:OR_W1943:5:23854019:23854570:1 gene:ORUFI05G26250 transcript:ORUFI05G26250.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSPSHSLLLLLCFLLFAQPLQARMLGEAYSSHPHLRASAWRSSVSTDDGGALVRPSPYISPSPKHPAPHQHGGGGAPPPMWRPVAVAPPLAGHDGQPAPRQRPPSPAVHTV >ORUFI05G26260.1 pep chromosome:OR_W1943:5:23856020:23856574:1 gene:ORUFI05G26260 transcript:ORUFI05G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSRSPCICKLLLMLMLHVVLLAPPLLQARPLALGHGHRLQESPPVIMLPTDGEAAAASSPGLDVPGQLGRSGGAPPPPRSNRPVTPLAGVDGGVSGGRAPTNTPPSPQPGGSTKPLSDDDGKPPVWLAPPPPQGNKPPTTSPRLRTSTDPPLRLPAPADDAAGLLRLIRVAVEYVIGELEA >ORUFI05G26270.1 pep chromosome:OR_W1943:5:23859815:23872915:1 gene:ORUFI05G26270 transcript:ORUFI05G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPTIEEKLTAREGLDSPPPPPPPTQSGANSSTSLPPPPAGSAGEAPATRRKRTPGRWRRIPRTLPHPCLRKRPMTWEELLADSLDMSDEAHCFREEDKPAFRESKAQMARFYQRMIDIEKNSIKQIFRPRVLSDTPEQHLTAEEMEAELAGKKVCSQAHHFANLAINHYNNIEENIVKIELCTVLLSNCFHEICGSTYAHVNFTARAQNDDQAKKSLYFAELKLNPDLLAKRMERFAELSLDPNIVGCADDIEPMCVVSIHNLQGSCFGGCHEINRRIDYVMTRNQDYERCHSCSDRIKHPYGTEFVAGHDSSKIPYYTAG >ORUFI05G26280.1 pep chromosome:OR_W1943:5:23865056:23865836:-1 gene:ORUFI05G26280 transcript:ORUFI05G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHDYVVIDSNEGPYGLHYVGGYHSHCWYGNEVTLTRELQQNLAFVAAHKKPGIPLFVYEITKTNKTKGKMYFSAPFSRMYLHKHLAEGIEHFKVRCNASTTVYDMKFYVSTDDRANLTTGWTFFMDDLEINVDATCVFEFYEEHEELKLTVHQL >ORUFI05G26290.1 pep chromosome:OR_W1943:5:23876995:23877285:1 gene:ORUFI05G26290 transcript:ORUFI05G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCDLIPPPVVPQQIAANGSVAYLGAIMAPLQEQDKQLVVDLAPTTADQLARMCSAGEPLWVRQRGAEVMAVEEHARMFRCEILLASMNYQGTTM >ORUFI05G26300.1 pep chromosome:OR_W1943:5:23878493:23879248:1 gene:ORUFI05G26300 transcript:ORUFI05G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSPCKLLLLLLLLHVVLLAIAAPLLQARPLGHHQVVVAPLMLPSDGGEVVGGVRRPGGFVPPPPRAPGGPIALAGDDGGLLGRTGGPTPPSPGAPDGPITLAGDDGGLLGRPGGLAPPPPWHPGVPTQTQLAGDDDGGLLRRPGRPTPPTPGHPGVPTQTQLAGGDDDGVGGLLGRPWPKAPPPPDPNTPPVQPLSDYGVSRCCGRPGNPPGKTKVAVALAPPIPCAAFLRVIRDAVQYMVGGGLGA >ORUFI05G26310.1 pep chromosome:OR_W1943:5:23881353:23881985:1 gene:ORUFI05G26310 transcript:ORUFI05G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSSSPKLLLLLLLPVVLLAPLLEARRLHVLPPLMLPSDGVVVGGAVEVEGGRSGNAPPSPRPHGRVTPLADCGGGGVLRRPPGRGPPPPGPGGHVGPLSGGVSSSAGRSSTRPLMISDDDGGVSGRQWPAPPPPPDPNTPVQPLSGGDGRPRQLAPPPPMGNPPPNTHRRRTDRPPRRLPADDDMAGLLLRVIRDAVEYMVGELGA >ORUFI05G26320.1 pep chromosome:OR_W1943:5:23884984:23886927:1 gene:ORUFI05G26320 transcript:ORUFI05G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYLFGRERKVADIPIDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINENRIEPSRYYELFEKDTIKFGNSSREYVLLHENSKE >ORUFI05G26330.1 pep chromosome:OR_W1943:5:23889356:23892019:1 gene:ORUFI05G26330 transcript:ORUFI05G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNLSSCSCYVGSKSNGHNGRPTKPGSVHGLVIRNLDVTRPQTKPAHQNFSSSPSGFQDLLVTGCSKFQGLQSLPVPPELFKGSGGSQGFKSPSPGRKMGDRMFFGQDAGSGDLFDVVLLDVDHPMIERSHMRIGLAESGLILLSEAVSLIAHVQAVREKLLPWILLQLLGVLFLLVGASPDPAAGTEVEELAPDCDSSGDRESSHSCAVIGTPSNGSGADQGHQSCSWAPEPSKDSKAFQALRSCSRAPEEARA >ORUFI05G26340.1 pep chromosome:OR_W1943:5:23892037:23893885:1 gene:ORUFI05G26340 transcript:ORUFI05G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWGRSRPPELSVGSGAIQGLQSLLDPPELFKGSEGSQGFKSLGRKMGDRIVVGRHKYALHICQPPLTLLMRAYRARYYPDTRDAGSGDLFDVVLLDVDHPPIEPGHMRLALAESGLILLTKAVSLIAHVQAVREKLLPCHEPLSQARMR >ORUFI05G26350.1 pep chromosome:OR_W1943:5:23897806:23900590:1 gene:ORUFI05G26350 transcript:ORUFI05G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPTIEEKLATYSMFQVRQGSTVPITAREWLDSVMAGVGSISLAVRGAGSISAAAGAGDGACSSSVAVAGTGSISAARAGDGPFSSSVAGAGAGSISATAGAGHGAGSSSVAAAGSGEAPARRKRTPGRWRRIPRTLPHPCLRKRPMTWEELLADSLDMSDEVHCFREEDKPAFRKSKAQMARFYQRMIDIENNKIKQIFRPRVPSDTHEQHLTAEEMEAEFAGKKVSSQACHFANLAINHYNNIEENIVKIELCTVLLSNCFHEICGSTYAHVNFTARAEDDDQAKKRLYFAELKLNPDLLAKRRESFVELSLDPNIVGCADDIEPMCVVSIHNLQGSCFGGCHEINRRIDYVMIRNQDYERCHACSDRIKHPYGTEFIAGHDSSKIPYYTAG >ORUFI05G26360.1 pep chromosome:OR_W1943:5:23906800:23907849:1 gene:ORUFI05G26360 transcript:ORUFI05G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKPARNLTACLAAIAGAVVNNSNGGKLPGLSRKLFQKAPSEHKKNALTEVKSGGNTRALAMVLRGEWVDEIAALRLQFEQKDIEVERLKDLCLWQREEIWTLKGAVFFPATQPDHNLTGQIQYLAEKIALFSHGDEPLSYDSHRKFLANY >ORUFI05G26370.1 pep chromosome:OR_W1943:5:23912133:23912485:1 gene:ORUFI05G26370 transcript:ORUFI05G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRTTSWTRFAACQRHQWRTTTSTASMASRGIPPPSWEKKLYWEVKARERLQVQNDKKLAELSDQEYSRKIDVDVLKA >ORUFI05G26380.1 pep chromosome:OR_W1943:5:23916264:23916665:1 gene:ORUFI05G26380 transcript:ORUFI05G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMVEQQDEPEWQEKEEQELHHESVLGPSEVAVQGQADADNDGGDDDDIIIPAGMPTRFSFQEITTMTSNFATKVRTGGFGTVYKGELPMARRGAHRREKARGYRAEGKKTGENGKTEGWIETYDSGMILIL >ORUFI05G26390.1 pep chromosome:OR_W1943:5:23917109:23918312:1 gene:ORUFI05G26390 transcript:ORUFI05G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNGICKVAPVVKTQEGGTCIYNAICSTMESHLRLNGYCVRLSVEDLIEKEQALVCAEEDRTLRCIEVAKTLGVCSHRDYQESKRKGTGRNGSTFKILSIRAFLKLNADAFADAIESWGTNGPLLAEIDVDETFMQYIIKFEQAGILSYEAYNEINMRVHAACVLALGVENVVPFWEFINSYGKLGKTKGYGRVVPHNVRRLFEIVVEVPKKRVRGIFENTPSDSIDTQDLRPSKGRPPVTDTGDVVVPVLMGAAAAAGNAFPTHTMDWAFSDPPDGYGSSAKPERLHMMDID >ORUFI05G26400.1 pep chromosome:OR_W1943:5:23918877:23920627:-1 gene:ORUFI05G26400 transcript:ORUFI05G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPDAISRDRSKLPGPLNWQEHKNTSQCHQTRVCTRLAMHYYNLENPANKATKGVAKDSHMFILRLADKQCFWHVYFRATVAGCSTPFFAELRGEEKPNEVTMCKIVDKYNGSVDICAHCWGGIRHPKDGGFVGYVGALPEGLTINHANMLMLEVRKEERDLKDENC >ORUFI05G26410.1 pep chromosome:OR_W1943:5:23925925:23926987:1 gene:ORUFI05G26410 transcript:ORUFI05G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEIGSICSRTIMCSSVPGLALLNTSISKSWSDEELVRFLAERKAADSLPENVVVGMNFSLIDPWNSDTVQADHVLRFWGFSSLLALKCAHKRSGSSSRRIGDVMRQE >ORUFI05G26420.1 pep chromosome:OR_W1943:5:23936016:23936533:1 gene:ORUFI05G26420 transcript:ORUFI05G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSPLKLLGSLWMGSTSFMVKAISSSTISIVSARQKLICSHSGAPSLKVGCSSDSPFLLFPNRRNHAGFVIRVELGPPTQFRLTGSMLELLRFNDEPRGDPSLSLTTHTPKSTAQQQTSVLCRFRGGGRWGLTVCQAECTSFETQGSNVAASPQIPVDLLFFYC >ORUFI05G26430.1 pep chromosome:OR_W1943:5:23943418:23947295:-1 gene:ORUFI05G26430 transcript:ORUFI05G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVCLFLEKADPELEAIRQRRMQELMAQHGAANPQNAGQQKAQEDAKQEAEERRQMMLAQILSSEARERLSRIALVKPDKARGVEDVLLRAAQSGGISEKVSEERLISLLEQINTHTSKQTKVTIQRRRSVLDDDD >ORUFI05G26440.1 pep chromosome:OR_W1943:5:23962106:23962741:1 gene:ORUFI05G26440 transcript:ORUFI05G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDETFASPTAGGGGGEFEQPLTNGGGGGGGGGVSPAAKAYDAGELDALREAKRDLEDKLAAVEHENRFLGAEAYRLEGIVSQAREDIATAEHAIAASEGEAALLRDEVKRVKELLAAEKSNHEAERRRAADLDAELKGVQKEVAALEEEIKALKASAAAADAEDEDEAAAPATPSKEAEVGYHGLMAAAAAGAAATAVVAVVILHLKR >ORUFI05G26450.1 pep chromosome:OR_W1943:5:23965232:23966182:-1 gene:ORUFI05G26450 transcript:ORUFI05G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAAANDGAYCPDCRRATAVVLDHTTGDTICTECALVLDARYIDETSEWRTFANDGASDDRDPNRVGDRADPFLPDHVGGTTIAYSSAPPKSADAAPLLTRRRVDVVGPSPENALVAAFRGIADMADRLGLVATIRDRAKEVFKKLGEAPTKGLPRGRNRDAVYAACLFIACRNEGMPRTYKELASVTAEGAAAKKEIGRLTTLIKKHLGDQGEGRAMDIGVVRSTDYLRRFCSRLGLGHQDVRAAGDAVRRLEERLDVRRNPESIAAAIIYMVVQRAGGSKSVRDVSTATGVAEGTITAAHKELAPHASVLFGG >ORUFI05G26460.1 pep chromosome:OR_W1943:5:23967316:23974703:1 gene:ORUFI05G26460 transcript:ORUFI05G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSEGGEEAEVEGKAGLHRLFRYADGVDALLMAAGAAGAAASGAAQPLMNLVFGEVVDAFGSGSRDDVLHRVSKAQVACWMITGERQAARIRGLYLEAVLRQDIAFFEKEMTTGQVVERMSGDTILIQDAIGEKVGKFIQLTATFVGGFVVSFAKGWLLSCVMLSSIPPIIIAGATMSWTISKLSTHGQSKYNEAGNVVEQTIGAIRTVASFNGENRAIALYNKYIHSAYVSAVQESTATGLGFGFIMFMLFCTYGLAAWYGAKLIIDKGYEGGQVVTVWMAFMTGAMSLGEATPCMSAFASGQAAGYRMMQTIERMPTINSSGTDGAVLENIKGDIELRNVYFSYPSRPDQLIFDGFSLHVLNGITMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGVNIKTLRLRWIREKIGLVSQEPLLFATSIRENIVYGREDATTEEIMAATELANAAKFIENLPNGLDTMVGEHGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDMESERVVQEALNRIMQDKTTIVVAHRLSTIKDADIISVVQHGRVVEQGTHTELLKDPSGAYSQLIQLQGATEELHKSGVGYQRSISTVRSVMSISKSRGRNASFKRSLSRGTSFGSTSVHLTTAAGMIVPESMHTEVPSKVLDDNEEHKKVPLCRLISLNKPEIPVLLLGTAAAVVAGVLFPMLGLLISSSIKSFYEPPHQLKKDARFWTLMYVAAGIVSLVSLPMENFLFGVAGGKLVERIRSLSFKRIVHQEVSWFDNPSNASGTIGARLSVDASNIRRLVGDSLALIVRSSVTIIAGFIIAMVANWRLALVATVVLPLGGLQGFFQIKFLEGFSADAKVKYEEATQVAHDAVSSIRTVASFCAENRIMKAYYKKCEAPVRQGIRQGIVSGLGFGISFFVLYSTYALCFYVGAKFMLDGKATFTEIFRVFFALLMATIGVSQTSAMGSDSAKAKASASSIFAMIDRESKIDSSSDDGMVLANVAGELELHHVCFSYPSRPDIQIFRNLSLRIPSGKMVALVGESGCGKSTVIALLERFYDPDSGTVTLDGVDIKNLKVGFLRQQMGLVSQEPVLFNDTVRANIAYGKEGDATEEEIVAAARAANAHQFISALPGGYDTCAGERGVQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERAVQAALESVMVGRTTVVVAHRLSTIRGADVIAVLRDGEVVATGRHEELMAKKDGVYASLVELRMSSERAGDSKPS >ORUFI05G26470.1 pep chromosome:OR_W1943:5:23974174:23981820:-1 gene:ORUFI05G26470 transcript:ORUFI05G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFTTTTKSSSLPFASKGLKAPRRKARRPPPPPPAAAVLAAAGTDRTASAAFRVSVVFAPPDEIVTSGVLCRRQDVPPLGLLSEARAKGSLWILLHDAAAVHGVPAFQEMVMVLAFRFLAPISISSWREDEEGGGDAGTMLLISGPSIVD >ORUFI05G26480.1 pep chromosome:OR_W1943:5:23975385:23981038:1 gene:ORUFI05G26480 transcript:ORUFI05G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASTARAADGDKRGKEENDRRMAKDGKVAFHHLFKYADSTDVALMLVGTIASLASGMSQVIMTIIFGQMVDAFGKSSPGNILHQVNKAVLYFVYLGIGSGIVCFLQVSCWSVTGERQATRIRSLYLKTILRQDMAFFDKEMTTGQVISSISTDTTLIQGAIGEKVGKFLQLVTTFLGGFVLAFLKGWLLTLVMLSTIPPFIFAAGIVSKMLAKISNEGLASYSKAGDIVEQTVGSIRTVVSFNGEKKAIGLYNDLIKQAYKGAVKEGFIQGFGMGFLNLIYFSSFGLIVWYGSKLSLSRGYSGADIMNILFGIMIGARALGDATPCTAAFEEGRIAAYRLFKIIKRKPEIDYDDTSGIVLEDIKGDIELKDVFFSYPSRSEQLIFDGFSMCVSNGTTMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGMNIKSLRLEWIRGKIGLVNQEPILFMTSIKDNILYGKENATLEEIKRAAELANAARFIESMPNGYDTLVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDLESERIVQDALNQIMVGRTTLVVAHRLSTVRNAHCISVVHKGKIAEQGHHDELVKDPNGAYSQLIRLQEAQQAIDPHLDGPLNKRSQSLKRSLSRNSAGSSSHSLNLPFSLCGATELLEYDGADGENRNLKNDGKLPKKGSMGRLISLNKPEIAILLFGSLAAAIDGAVFPMIGLVLASAVKVFYESPDKREKDATFWGLLCVGMGAIAMISKLANILLFAIAGGKLIKRIRALTFRSIVHQEVSWFDHPANSSGALGGKLCVDALNGYAQVRFLQGFSQDAKIMYEEASQVATDAVGSIRTVASYCAEKKVMTKYNQKCQASRYQGIRTGIVGGLGFGFSNMMLFMTSALCYYVGAKFVSQGNSTFGDVFKAFFSLVVAMLGVSSTAAMASDSSKAKDSASSIFAILDRKSQIDSSSNEGLTLELVKGDIEFTHISFRYPSRPDVQIFSDFTLSIPSGKTVAFVGQSGSGKSTAIALLERFYDPDSGVILLDGVEIKKLEISWLRDQIGLVSQEPVLFNDTIRANIAYGKNEEVTEEEIVAAAKAANAHEFISSMPEGYSTSVGERGTQLSGGQKQRIAIARAIVKDPRILLLDEATSALDAESERIVQDALDHVMVGRTTVVVAHRLSTIQGADIIAVLKDGAIVEKGRHEALMGIASGAYASLVELRHNVT >ORUFI05G26490.1 pep chromosome:OR_W1943:5:23982437:23983765:1 gene:ORUFI05G26490 transcript:ORUFI05G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAARLRRRGHRRKKFVYRPKPPPEPHPFLLHLKSLPSPVAAAAALLSAPRRLHDHPFAACVLYRLARARLFPLVPPLLAALHSRGAPLRPTVFAAVIDHLGAASRPDAAVGVFRTVPAFCSHSATTFHSLLNALVSNGRTDAARDMLPLAPKLGVRLNAVSYNIILKGACLRDGFMGARGVLDEMLSRGVRPTVVTFNTLVGSACREGELGAAERLIDEMARRGVAPNAATYALLMRGLCDADRHADAEKLMFDMEYRGCQADVVNYGVLMSSRARRGDADGVRELLSAMRKRKLKPDDASYNILIRCLCDAGRADEAHRALLEMQLRGTVPGAATYRVLVDGCCRARDFDLGLRVFNAMMASGHCPQPRTFRHLARGLGEDGKAEEACFVLEQMARREMSLDADGWQAVVTCVRSSCSTQASESEIKLVNELVLSN >ORUFI05G26500.1 pep chromosome:OR_W1943:5:23985391:23986155:-1 gene:ORUFI05G26500 transcript:ORUFI05G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGEGKGDIPSVVLLELWGHVADDDDDDYRPDATTATSTTSTGLSISPSPTSPSTALVWRIWTPNPSDKFVAPTVISADADLLLLLRVPVDRLARFHHCFSDYFVYKVHPHSQGARLYRLPSRPSDRGFGDDNIAILSCGNDSSSFAVAYVEMEFSIANSSQDPGSSYYEWVATQQFRSWQLDILVDDGSWKVKTWSMPIPVTSWDGWKPGCSVTSNHLDTALHSYMRKID >ORUFI05G26510.1 pep chromosome:OR_W1943:5:23991274:23993530:-1 gene:ORUFI05G26510 transcript:ORUFI05G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGEMLTASRGAGASSSTAAAAVTVDLAGRAGAGAGGGGGNFPLAVALIAFAFANFLNLLAIWLKEKRWDARKFLTSAGIISSLSATVGSLAVAVGQQEGGDSSSFALALVFAAVVMYDASGIRFHTGRQAALLNQIVSDFPPEHPIISSFRPLREPLGHSPFQVFAGALVGCSIAYLMGKSV >ORUFI05G26520.1 pep chromosome:OR_W1943:5:23995893:23999584:-1 gene:ORUFI05G26520 transcript:ORUFI05G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAANGIGEVERKAQRSYWEEHSKDLTVEAMMLDSRAADLDKEERPEVLSVLPSYKGKSVLELGAGIGRFTGELAKEAGHVLALDFIESVIKKNENINGHHKNITFMCADVTSPDLTIEDNSIDLIFSNWLLMYLSDEEVEKLVGRMVKWLKIFKECHSYDKDGGSYELSLETCKCIGAYVKSKKNQNQLCWLWEKVKSTEDRGFQRFLDNVQYKTTGILRYERVFGEGYVSTGGIETTKEFVDKLDLKPGQKVLDVGCGIGGGDFYMAENYDAHVLGIDLSINMVSFAIERAIGRKCSVEFEVADCTTKTYAPNTFDVIYSRDTILHIHLFASIADHGILTYNNFVVELTTEATVLRLLYMVLQDKPALFRSFFKWLKPGGKVLISDYCRNPGKPSEEFAAYIKQRGYDLHDVKTYGKMLEDAGFHHVIAEDRTDQFLRVLQRGLAEVEKNKEAFLADFTQEDYDDIVNGWNAKLKRSSAGEQRWGLFIATK >ORUFI05G26530.1 pep chromosome:OR_W1943:5:24003207:24009517:-1 gene:ORUFI05G26530 transcript:ORUFI05G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMQSWRKAYGALKDSTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKIVSATSIARPRADVAYCIHALSRRLAKTRNWIVALKTLVVIHRLLREGDPTFREELLNFAQRGRILQLSNFKDDSSPIAWDCSAWVRTYGLFLEERLECFRVLKYDVEAERLSKQGQGPEKGHSRTRELESQDLLEQLPALQQLLYRLVGCRPEGAANNNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQAGSLSDFYENCRGLELARNFQFPTLREPPQTFLVTMEEYVREAPRMVPVREPLELPERLLLTYKPEEQEEDSVPDPVEEEKPPVEEPVPVPPVTEAVSPPPPPKTKVADTGDLLGLNDPNPSVSAIEESNALALAIVPADAGASTSSTATWQDKGFDPTGWELALVTTPSNTNSSAADSQLGGGFDKLILESLYDQGDYRQRQQQQLYGSSAPNPFMSNDPFVMSNQVAPPPSVQMAAMSQQHQQIPTMMQANPFGPPMQPQHVGMGPATNPFLDSGFGPFPMANNGHQQANPFGGTQLL >ORUFI05G26540.1 pep chromosome:OR_W1943:5:24011866:24015588:-1 gene:ORUFI05G26540 transcript:ORUFI05G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSLGLSTSFLPGHDTLLRRRRRRPPATTAASFRPVTAELGGELGRQLVEAVGVGLPCTVMACGDVIYRSTLPRNDGLTITAPGVALALAAASYLWSTPGVAPGFFDMFVLAFAERVFRPTFRKVSAGTRDALTLPRFFDWGDDLVAFWQDEFVLGKKLGEGAFGVVYKASLDDPKAAEKQGAVVVKKATEYGAVEIWMNERVRRACASSCADFIYGFRESKAKGKGADEYWLIWRYEGEDTLFDLMQSKEFPYNVETKILGDVQDLPKGIARENKIIQTVMRQLLFALDGLHSTGIVHRDVKPQNVIFSEGSRTFKIIDLGAAADLRVGINYIPSEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQLNLPDRFDIYSLGLIFLQMAFPSLRTDSSLIQFNRQLKRCNYDLEAWRNLVEPRATPELRRGFDILDLDGGIGWELLTSMVRYKARQRTGAKAALAHPYFNREGLLGLSVMQNLRLQLLRATQKDYSEAARWVVGLMARSGTEAEGGFTEAQLQELREIKPKKGSARRNLLASVLRVQRKVVRTINESMDELSSQSKSIWWSRWIPKEE >ORUFI05G26550.1 pep chromosome:OR_W1943:5:24027709:24031792:1 gene:ORUFI05G26550 transcript:ORUFI05G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREASSPPWAAATAANGVAGSSSSGQKRKAAVDDDGRGEDDNWLKLSLAPVDYGDPTGDVVDNNSSSCAPAVMTSTEERSGTAAGVASGSARAGLIPNGAVPIFPCFNFLGTSTSSSSLSHLHQQSSSTRRQSNASTSSSSGGIGGGDDDEAPNVMNGGDKNDGNALPDPPYPWATNEVAKHHSLVELARRDIININGEARCRRCDTRKMIVYNIATKFREVSDYFRQNYQHMNDRAQARWMNPVVPNCDSCGHERCMRPVIAAEKERINWLFLLLGETLGLCTLDQLKYFCAHTNRRRTGAKDRVLFSTYEELCNQLAPGLITGHDQLGMLMTSTEERPATAACVASRSLIPNGAVPVFPCFNFLGTSTSSSSLSHLHQQSSSTLRQSNVSMASSSGIGGGDDNEALGNIVAPNVPNGGGNDNNGGNAPPDPPYPWATNEAAKHHSLAELTRRDIKTIRGEARCRRCDTHKMIKYDIATKFQEVSNYFRQNYQHMNDRALARWMNPVVPNCDNCGHEKCMRPVIAAEKERINWLFLLLGETLGLRMLDQLKYFCAHTNRHRTGAKDRVLFSTYEELCNQLAPGLITCHDQSRMC >ORUFI05G26560.1 pep chromosome:OR_W1943:5:24041614:24042767:1 gene:ORUFI05G26560 transcript:ORUFI05G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAPSPPPARDAGSSSGQKRKAFTMVDQDGGSEDTWLKLSLGPVIYTDATNVDDSPVTTTFPKELPPPPAAVGPPIFMASATTSLIDHGKEAARMATDALFNGDATGSSSLHLQRHHESSSARHPTQRRSTASTTSSTSGSAGDVPAITGDGINGGSDDNNGAAGMVNNDRVLVNNPPYPWATNRVAVHHSLVELSRRGIFTIKGEARCRRCDVRKEFVYDIEAKFRELEDYLRRNCMSMNDRASERWKNPIVPNCDGCGQQNCMRPVIAAEKERINWLFLLLGETLGLCTLDQLKFFCAHTNQHRTGAKDRVLYSTYLELCNQLVPGIIKPFEKKAGHNQLRIR >ORUFI05G26570.1 pep chromosome:OR_W1943:5:24043125:24046107:1 gene:ORUFI05G26570 transcript:ORUFI05G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLTGFPASSALTFRPRIRFFPRANPRSMAAAGPACCAPAAAAAAASSSASASAAAAAGDGGGGAQKPWLLIGLGNPGRMYKGTRHNVGFEMIDAIAEAEGISVSSKQFKSMVGKGLIGDVPVMLAKPQTYMNASGESVAQLVSYFKIPLSQVLVIYDDLDIPFAKLRLLPKGGHGGHNGMRSIINHLKQSRDFPRLRIGIGRPTGKLDAIGFVLRSFTKEEQEELNLTINRSLQAVRIMLLEGFNKGATFVNTPQPSEMLNK >ORUFI05G26580.1 pep chromosome:OR_W1943:5:24046873:24049529:1 gene:ORUFI05G26580 transcript:ORUFI05G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTHAASLSFLLSHPHPTSPNPNPNPNLPLRRAPHRVRCATDAAATRHRRAADENIREEAARHRAPNHNFSAWYAPFPPAPNGDPDERYSLDEIVYRSSSGGLLDVRHDMDALARFPGSYWRDLFDSRVGRTTWPFGSGVWSKKEFVLPEIDPDHIVSLFEGNSNLFWAERLGRDHLAGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPIAGVGCASTGDTSAALSAYCAAAGIPAIVFLPANRISLEQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYRYYKSGWTEFTPQVAEPTFASAIQIGDPVSVDRAVVALKATDGIVEEATEEELMNAMSLADRTGMFACPHTGVALAALFKLRDQRIIGPNDRTVVVSTAHGLKFSQSKIDYHDSKIEDMACKYANPPVSVKADFGAVMDVLKKRLKEEILSINI >ORUFI05G26590.1 pep chromosome:OR_W1943:5:24059506:24060405:1 gene:ORUFI05G26590 transcript:ORUFI05G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSCLLDDASSGASTGKKAAAAAASKALQRVGSGASAVMDAAEPGAEADSGGERRGGGGGKLPSSKYKGVVPQPNGRWGAQIYERHQRGVLLNFEDAAGKVWKFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDAVGFYRAAGKNAQLFIDCKVRAKPTTAAAAAAFLSAVAAAAAPPPAVKAIRLFGVDLLTAAAPELQDAGGAAMTKSKRAMDAMAESQAHVVFKKQCIELALT >ORUFI05G26600.1 pep chromosome:OR_W1943:5:24066869:24070525:-1 gene:ORUFI05G26600 transcript:ORUFI05G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPATSPAPAAIRLGAPHPYLRTHGTKVARLHLLDWIVLALLVAIDAGLNLIEPFHRFVGEDMMISLRYPLKRNTVPIWAVPIYAVAGPMIIFIEEWLLFSVLITAVLTDAIKDGVGRPRPNFFWRCFPDGIPKYNNITRGVICHGDKSVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIKAFDRGGHVAKLCIVVLPLLIAAMVGVSRVDDYWHHWQDVFTGGILGLVVASFCYLQFFPPPAGEQGGGEHDLHGPRGWASTEAELTDGGALAAEEGVEVRDAIVEPVNGGWGGGRGKDVAAVHILHPEVENQVQLTATSNHHQGLDMRTNNQSLDSMEEGRRARCFISYTAN >ORUFI05G26600.2 pep chromosome:OR_W1943:5:24066869:24070525:-1 gene:ORUFI05G26600 transcript:ORUFI05G26600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPATSPAPAAIRLGAPHPYLRTHGTKVARLHLLDWIVLALLVAIDAGLNLIEPFHRFVGEDMMISLRYPLKRNTVPIWAVPIYAVAGPMIIFIEEWLLFSVLITAVLTDAIKDGVGRPRPNFFWRCFPDGIPKYNNITRGVICHGDKSVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIKAFDRGGHVAKLCIVVLPLLIAAMVGVSRVDDYWHHWQDVFTGGILGLVVASFCYLQFFPPPAGEQGFWPHAYFEHILHPEVENQVQLTATSNHHQGLDMRTNNQSLDSMEEGRRARCFISYTAN >ORUFI05G26610.1 pep chromosome:OR_W1943:5:24073712:24076792:1 gene:ORUFI05G26610 transcript:ORUFI05G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDGVGGDGGASAAEQQRRVALRVLLSRAEASSPPPATVEEEAQRGRSGGGNKGLASAALRGLGCTSTAALRAHAPASAVEVASSSERWHGRRRRRKVQERRSARGGGGGGGGGVAPPGPAPAAAGDVWCTCAPGIPFAAEASSVDCVVVARHHHAHHTAAAMGSGRRGEAERRHRERPAAPRARRVTMREHISSSLMDSPPFPDMPLLNADLLPPPPSGRHRHGYRHPHVGAAEEEIMMLRTRLLWGRFGMHDQHQDWRLDVDNMTYEELLDLEDRIGYVSTGLHDDEIARSLRMVKYSAFNPKHFATEVERNCSICQEEFEANEETGRLICGHSYHVQCIKQWLSRKNTCPVCKTVVSKT >ORUFI05G26620.1 pep chromosome:OR_W1943:5:24076833:24079137:-1 gene:ORUFI05G26620 transcript:ORUFI05G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENSVGSGSGGNAMDIFGQSIDVRRPSKSRRRVVSHKNLSPEIEESIGSSRRKLHRRKAIAEDQEQARVESELSRAMNMAMELERQIEQTNAKARSRRSELQRQRTRASGGGSRRKTARGLAAEAAGGAPAHRQEGVGTAYGEVMQELDRVKGELRKLQREVMAAMAAKGTAGRRDAEAEASTSSAVSSGPRGGGGVERDADGASEEHGVLVELAVGTAATASSDAGSWHSELAVVRATDATAMASRGHEVEVEHEEPSLQAAEAELSSARIELESIKAEGLRFTASIERTRRETARVTDEIRRLTEQEKAASAHVQQLNAKLLKARSRLEAVTAADERADETISKLAAILRQLEDDAAAAEKEKTLADTENRRAMSDAENIDAEIAAAEKRIRESVRELGAARASEAAATARLKAIVESATLATAAAATPRSSSSGNVTIPRFEYEYLTGRAEVVRAVAEMKAAAAEAWAEARRASEKEIAMRAEAIERELGEARAADAEATNTTRRMPFSSAATSRMAKSRRMPSSSAAAAARKPRSPSSSVKRRKRRVLTLNCLKLLAGKCRGQN >ORUFI05G26630.1 pep chromosome:OR_W1943:5:24079957:24081349:-1 gene:ORUFI05G26630 transcript:ORUFI05G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPHVSSDSDDDRPVIRLFHRQKPVHKILGGRKVADIMLWRDRNLSAGILAGATLIWFLFDVAEYNLVTLLCHIALLGMLVLFIWSNAAPLFDRAPPRIPEVIVSEHAFRELALTLHSKVAHFSAVLYDISCGKELRKFLAVIGSLWILAVIGETCSFTTLLYVGFLCALTLPALYERYETEVDHLVAKGGQDLKKFYKKIDSNVLNKIPRGPVKTKVH >ORUFI05G26640.1 pep chromosome:OR_W1943:5:24083911:24084195:1 gene:ORUFI05G26640 transcript:ORUFI05G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVALAVAAMAVLLLLAAAAAPGAEAACDALQLSPCASAIIGNASPSASCCSRMKEQQPCLCQYARDPNLQRYVNSPNGKKVLAACHVPVPSC >ORUFI05G26650.1 pep chromosome:OR_W1943:5:24086054:24086590:1 gene:ORUFI05G26650 transcript:ORUFI05G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRHYDGGATAWRSGWGRERDKGEGGGGKREEGKRGRRRGEREEGEGRRRGCGDGMATRRATARGARGGAGLGARRDARRDGDGRAATGRATRRATARGDGDERAARRGARSGSAREGTATRRAVTGCVGARGEVAGGDGTATGSGAAAGRRSGARRSGTGC >ORUFI05G26660.1 pep chromosome:OR_W1943:5:24089098:24089388:1 gene:ORUFI05G26660 transcript:ORUFI05G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKASAVFVLLVVVLAVAAAMRGAEAATCTPTQLTPCAPAIVGNSPPTAACCGKLKAHPASCFCQYKKDPNMKKYVNSPNGKKVFATCKVPLPKC >ORUFI05G26670.1 pep chromosome:OR_W1943:5:24089575:24095662:-1 gene:ORUFI05G26670 transcript:ORUFI05G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYGLALLGSHASHLLLLFWFIASGGEGATAAGGTYDDAICARPIFCGEQVEIKYPFYLSNTTDQVVVVDGNTRYCGYPWLGIICDHDRAILRLGNYNYTVLEINHGNHTVTVADSDALDGGGCPRVKHNVTLXEKYYGKLGDDGYGAVLKRGFQLSWDPMAGMCHECEVSGGRCSYGTKNEFLGCLCSDGRASSSTCVHHHHALEQMHPLCALPLLITLLLISSVPLSVQESDAFFRYTNCTTASYQCGSLKLDVDYPFSANGVDRPNYCSYPGYRLICNPDNKLMIHMNSTVFQVTDIDYGNKFLAVIDQTQPQETCPDRYHNTTIDESRFMYTDLDQFLTVYVNCSAKSSSLPFIYDLLSCVSGGSSYYRLHKNKDDSLESDILGSCSSSFVVPFNSTMAGSLAAGNSSLVDVIRGGFTARWKVGVGYRIMFFIRYRLYLLTNLRASQGSKISKKKAIAIGVSVVSGVILLFLLLMCTLCVKKFWHGLLSSMGKSKEAPNIESFLQKHEAQHPKRYSYSEVKTMTKSFSHKLGQGGFGTVYMGKMPNGKPIAVKLLKSCKDDGQEFMNEKLFDIAIGIARGLEYLHRGCNTRIVHFDIKPHNILLDQDFCPKISDFGLAKLCKQKESIISIDGARGTIGYIAPEVFSKQFGDASSKSDVYSYGMMILEMVGARKNISASADVSSKYFPQWIYEHLEGYCVTANEIRLDTSVLSRSS >ORUFI05G26680.1 pep chromosome:OR_W1943:5:24099071:24105734:1 gene:ORUFI05G26680 transcript:ORUFI05G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKNLSSRCKLAPCAVVAMPPLLFHLLVLSVVVVVVSGGAATAGGGTYDDAICARPIFCGEHVEIKYPFFLSNTTDQVVVDGINTTGRYCGYPWLGIICDDHDGGGSSNRAILRLGNYNYTVLEINHGNHTVTVADSDALDGGGCPRVKHNVTLPEVLTFPSPGNDSITFFFDCNPTADVVLRPPPYIRPINCSTFDFPGRRDTAPSFVATQPDVAGETEWLGLCKEGVMVPVLKDWLMNEKYYGKLGDDGYGAVLKRGFQLSWDPTAGICHECEVSRGRCSYDGNTTFLGCLCSDGHVSKTDCVHHTFEKMHPLCSLPLLIIILLSSVPPSMQESGAYFRYTNCTPASYQCGSLKFDVDYPFSANGVHRPDYCSYPGYRLICSPDNKLMIHMNSTAFQVTDIDYGNKFLAVIDQTQPQEACLDRYHNTTIDESKFMYTDRDQFLTVYVNCSANFSSLPLIYDLVSCVSGGSSYYRLHKNKDDSLESDILGSCSSTIVVPCNSTMAGSLAAGNSSLADVIRGGFTARWKVGLGWCSDCKASGGHCGFNGSFPDQYTCYCPYGQAIGSCSSSGSKKSKKKAIAIATSIASGVLFLLLLVVSFLYIRKRRQYKMTSSSRLLKYTTSGRTPRSKGSSDKFVESGSFHYLQTHHFAYEELEEATDGFSDARELGDGGFGTVYKGELRDGRVVAVKRLYNNSCRRVEQFVNEAAILSRLRHPNLVLFYGCTSSRSRELLLVYEFVPNGTVADHLHGHRAPERALTWPLRLNVAVEAAAALAYLHAVEPAPIVHRDVKTNNILLDANFHVKVADFGLSRLFPRDATHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDVTRDRDEINLAGMAVNKIQRCQVDQLVDDELGYSSDEATRKTMTMVAELAFRCLQHNGEMRPPIKEVADVLRGIQDECRAAEKGGKRGSPCSPNTVHAPWDSMSTTPNTSQ >ORUFI05G26690.1 pep chromosome:OR_W1943:5:24112099:24120828:1 gene:ORUFI05G26690 transcript:ORUFI05G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLADEGSIAAAVMPRSPSPPAAAAGSAAEAPMLIFVYFHKAIRAELERLHAAAVRLATERSGDVGELERRCRFLFSVYRHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFAHLFSLLKLDVRNDDGLRRELASCTGAIQTFITQHMSKEEEQVFPLLIKKFSHEEQADLVWQFLCSIPVNMMAEFLPWLATSVSSDEHQDILNCLHKIVPDEKLLQQVVFAWIGGEAVKTISHDFCSPCSKSNVRCKDAIDQTDKYGCSHEHFKTGKRKRAESSYSQLVMHPIDEILCWHNAIRKELSDIVEETRRIQQSGDFSDISDFNVKLQFIADVCIFHSIAEDQVIFPAVNDQVSFEQEHAEEERRFNKFRCLIEQIQITGARSTAVDFYSELCSQADQIMEKIERHFKNEETKLLERVLPWFVSKLNDQDAEAFLQNMFLAAPSSEAALVTLLSGWACKGRSKGTSNSGKFICLTPRALSSPLDENGFKDCQLCPCSLQSDICSRPAKKWNDTESSNISNCSQTADIALTCKNRPCHIPGLRVEISNLAVNSFASAESFRSLSLNYSAPSLYSSLFSWETDAAFSGPDNISRPIDTIFKFHKAIRKDLEFLDVESRKLIDGDESSLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEELFKDISTILFELSQLHADLKHPLGGADAVGANHIHPYNRIDWSKKNNELLTKLQGMCKSIRVTLSNHVHREELELWPLFDKHFSVEEQDKIVGRIIGSTGAEVLQSMLPWVTSALSLDEQNNMLDTWRQVTKNTMFDEWLNEWWKRSPTSSGPSSDASHPEEDHFQEKFDQSEQMFKPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQPQSEDRNGCTVLPGCCPSYRDPENQIFGCEHYKRKCKLVAACCNKLFTCRFCHDKVSDHTMERKATVEMMCMQCLKVQPVGPNCQTPSCNGLSMAKYYCSVCKFFDDERSVYHCPFCNLCRLGQGLGIDFFHCMKCNCCLGMKLIEHKCREKMLEMNCPICCDFLFTSSAAVKGLPCGHFMHSACFQAYTCSHYTCPICSKSLGDMTVYFGMLDGLLAAEELPEEYRDRCQDILCNDCERKGRSRFHWLYHKCGFCGSYNTRVIKIDRADCSTSD >ORUFI05G26700.1 pep chromosome:OR_W1943:5:24121643:24125206:-1 gene:ORUFI05G26700 transcript:ORUFI05G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSSATAASGGGGNSLAIVERQKPATSCVASLFQMLAKKKLFSSSSKKTKLLPPVRTQKFSPGRPPAGGEKTPAAKMRTLLLDAPYYPNEVTTRLPPPGQDNKSSEMCTPGVVARLMGLSAMPATSHQKAVKTMDASELGGHRSECSYGSIYNSHQKQQKPGHIRDGRHDNGNQLDADAQPVWSRKHAAHKLASPIKSPRSVSSRNKVRLIEAAVKVLEPGLQSRHHHQARRQARLEYRCNSSDVQSAAEAMHDFSDQFAREMCDVDASRSGAQNVGATSLHNSTSNQWSEEDTMRNVSVRRPNQNISCQAQSEGNHKGQRNGFKDSGQRTSDDVLVSSQGVQKIQPKNISRENVDCRPLKQNNLKQNALPETYRAADTGHMVQRQKHRAKEQNVANTANDFVCSNRGMNNSASLRSKGKVKDKIGMPHGSAENRNLSTKCHRTSGLHGDCSNKQISKTATLKATEKDMIIAKGAGLVSEKPKSTSPNSVRNDSRRKVESRIASRGNNSGIISISSNSPRKAVLALLNGHTKGSDSVVLGSPTGSCPKRDYRRDCQNISSERELVLSEALQGISSLESAESVCFNRNELRNREILDDRVMSSLFRKTSAINVMEESPSDEFLWQCNFLDSLTFGFREVPRSGKLRETHKKHEVTTNASDPSYYADDECFSGSLLKTAASEAGFRDRRRSENCTMQQDGTNKRNSRCSETNFGQDSSNLIHPGEVAATVELLLTNVRRSTSTTPPRTSKAPFKAFLVKTFESALTTVTTTSSTKKKKKKKNGFSFNAGVVKATEGEKSRSPLGSLAFDSVMECLDSLFTQFCDSGYRSFSRVPLICPEERLAAQVDREIARCSGMAGKEVDELIASDVQHSGVEIGVDVLHEAFEIGAQIERDLLQELVAEIGMDMLRRL >ORUFI05G26710.1 pep chromosome:OR_W1943:5:24141505:24142348:-1 gene:ORUFI05G26710 transcript:ORUFI05G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPRAKPPAATSTHHLEPLPAEADSEPELKAPPPPPPPPPLLPMAQPQADGAARPWNLRQRTRRRPAASMSWAAAAAVPVPSSSRRRKRAPFSVALTPEEIEEDIYALTGSRPRRRPRKRPRVVQRQLDSLFPGLWLTEVTADAYRVPDE >ORUFI05G26720.1 pep chromosome:OR_W1943:5:24144044:24148770:-1 gene:ORUFI05G26720 transcript:ORUFI05G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPAATVTPAAAAMSEAPAVAAPRPRDPGLFGSFDLPAAWGCRRPMAFCRDLDAAALVGSEPNAAAAAAAAGDAVEPKRNASRSPPKGGDAPVAAAAAQEAPRKQWNLRYRKGGRDGGEDALQNKKLWNMEAAGGGGGGGARASRGFSVELTRQEIDADFFAITGRKAPRKPAKRPRSVQRQVDSICPGNSLWEVSRDRYKVNERMKLPLAQVHNRTHDTQLFNCSCLGNILM >ORUFI05G26730.1 pep chromosome:OR_W1943:5:24152476:24155618:1 gene:ORUFI05G26730 transcript:ORUFI05G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAASASAAASDHHTAQAKSSPSAAPPAGSATRTRLHSFSFPTTFGWGTHRLLRCSKNGDSAPASASPPKQPHTPSPEKGQETSAGGASRPSRPWNLRTRRSATVAPDASRSEAAGKKAAAAAGGGQALLHPPAPLPVVAKKRGFSVALTREEIVADFIAIRGTAPPRRPKKRPRAVRLELDRLYPGLSLADVNLDSYKIVEEVIGASAVKSANESNFSDIEGKRCSFGFSAGHISCLELDIDTEENESVLGVQQSGLKREKEISASEIQYMKGWG >ORUFI05G26730.2 pep chromosome:OR_W1943:5:24152475:24155618:1 gene:ORUFI05G26730 transcript:ORUFI05G26730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAASASAAASDHHTAQAKSSPSAAPPAGSATRTRLHSFSFPTTFGWGTHRLLRCSKNGDSAPASASPPKQPHTPSPEKGQETSAGGASRPSRPWNLRTRRSATVAPDASRSEAAGKKAAAAAGGGQALLHPPAPLPVVAKKRGFSVALTREEIVADFIAIRGTAPPRRPKKRPRAVRLELDRLYPGLSLADVNLDSYKIVEEIEGKRCSFGFSAGHISCLELDIDTEENESVLGVQQSGLKREKEISASEIQNGKIIANFQLRKYMKGWG >ORUFI05G26740.1 pep chromosome:OR_W1943:5:24157969:24159051:-1 gene:ORUFI05G26740 transcript:ORUFI05G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVATRIATLVRAAAAASRPLRLHRRPGGEDTRMVVIVGATGTGKTKLSIDAAKVIGGEVVNADKIQLYDGLDVTTNKVSLADRRGVPHHLLGAIRPEAGELPPSSFRSLAAATAASIAARRLVPVIAGGSNSLIHALLADHFDASAGDPFSPAAAFRHYRPALRFPCCLLWVHVDEALLDEYLDRRVDDMVDAGMVEELREYFATTTAAERAAHSGLGKAIGVPELGDYFAGRKTFSEAIDDIKANTRVLAAAQVSKIRRMSDAWGWPIHRLDASDTVRARLTRAGSAAESASWERDVRGPGLATIRSFLADQSPPPRSEGTNDYLYAMETEPEPPPPPTLPPRLLRLPRMQYCDMVG >ORUFI05G26750.1 pep chromosome:OR_W1943:5:24176192:24180417:-1 gene:ORUFI05G26750 transcript:ORUFI05G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSELPLHHSFRLSSRPHLRLLPLRLLSSRHASSSSSTTAAASSSSSSGGNRAPPAPNPTRGAPWLQKWGPTDPAAPPPPPPAPSPTSSIDRIVHRLRNLGLASDDDEPTAAAATATAPPDGNERLSDLLDRSWARPDQQFAASSFDESVLPWERDEVARGRENEEDGVKRRRVRAPSLAELTIEDEELRRLRRLGMTLRDRITVPKAGVTQAVTEKIHDAWRKSELVRLKFHEDLAHDMKTAHELVERRTGGLIIWRSGSVMVVYRGSNYKRPLKSETLDGNSSAVKGADGTLFIPDASSPTEHDSQGKDVNTQREIAARLNMQNTEDMTEEELEFNQMLDELGPRFVDWWGTGILPVDADLLPQTIPGYKTPFRLLPTGMRLTLTNAELTNLRKLARDLPCHFALGRNRNHQGLAAAIVKLWEKSLVVKIAVKRGIQNTNNKLMSEEIKNLTGGTLLLRNKYYIVIYRGKDFLPTSVAAALAEREELTKDIQNVEEQKRCIPVVHSMDDSLDGHALAGTLAEFQEAQARWGREVTAKEQEEMKEASSRSVKEKLFKRLEHKLSIAQAKIHRAERLLSKIEASMVLANPSDDKEMITDEERSVFRRIGLRLKAYLPVGIRGVFDGVIENMHLHWKHREVVKLITKQKTLPFVEETARLLEYESGGILVAIERVTKGYALIFYRGKNYRRPINIRPRNLLTKAKALKRAVAMQRHEALSQHIAELENNIRQMKLDLGIEVDEEYEEDGSDSENENNEAVSSARYDEDQDDFDESSDEDEYDDYDEDDDDDDDEGVDS >ORUFI05G26760.1 pep chromosome:OR_W1943:5:24181631:24181957:-1 gene:ORUFI05G26760 transcript:ORUFI05G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQSERLRLPAAAPMPRGAHALYGLLALLGASVVVWSVAGKPPAAHAGHALAGFLLWLLGMIALLLSPYAAQPLFPVATTANLAVEKLKHRFSNPRTPAQAQAPALA >ORUFI05G26770.1 pep chromosome:OR_W1943:5:24184203:24186815:-1 gene:ORUFI05G26770 transcript:ORUFI05G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGEPSKHSQWMSHWTKGSSSAEPQVGRSNDSPEDAKYDICEDNSGPSNFEIMKSRLFERLMVGISQERASLEHGQKLNSNMKVVVKDARRHAVQNQIDQGDGPIQKSVMQKDVLYAKAVVSKSLSIQKLSELSVDCQKLAGSDDLSSEWNHFPMLAINRKIDSILNPKRKSAKSTGPNDVFVPKQTLKLNMTTANLMAFSSQEYELHSHRTTDETMDHCKHAGGIVSRLEDHAGVMLNPAEQKLKGQLLPATSCSCSKDDSNSSDSLLDEQHTSRYIADSDQEPTCRSREKRLKSSENNDTNCKIGSCSQNQKSRAPGHHKHKGSAGVMFRTSVPGKEFEAAEINCSDKINQRHLNTQRIVSAANVTGSCIPDPAADISTVNGRGEAVTQPSSISGDSTKRKAPYLFEMLTIPSKAQNMNPEDSLPSGNSTAFGVHMYGTNIGSHLFGANNKSSTETEILSGDSQHVSKSSAGIASLLAQKAKSEQLATLYMKGASGCNVNEHQGVSSKAIVANKQQCYNPRTARMDLDLMQFQLSRMRNQESQARTEPGDRWLKRLQIDSKDPHHLPCSKRSKAGDGSGRPVTGGASSMAPRCDGSNDDDDIVDRDHKEEQGLDEGVEIQGGREASPVPAKSDDRWIGRWCQGGVPVYHEDDHDQRKEVTKPDLAAGDSGGLEGQFPSIKAMAMMGRAMSKVRPCQEERRGSFMVWKA >ORUFI05G26780.1 pep chromosome:OR_W1943:5:24192143:24193216:-1 gene:ORUFI05G26780 transcript:ORUFI05G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSLYKQLGLGAGSPVSASHLLLLVLGAGFLALTVFVVHPNEFRIQSFFSGGCGRPGTDAATAAVAASPVKNVSGGASDAAAATTAARSPDNDVRVLIGIQTLPSKYERRNLLRTIYSLQAREQPSLAGSVDVRFVFCNVTSPVDAVLVSLEAIRHGDIIVLDCAENMDNGKTYTFFSTVARAFNSSDGEGSGSGSPPPPRYDYVMKADDDTYLRLAALVESLRGAARRDAYYGLQMPCDRENFYPFPPFMSGMGYALSWDLVQWVATAEESRRDHVGPEDMWTGRWLNLASKAKNRYDMSPRMYNYRGASPPSCFRRDFAPDTIAVHMLKDAARWAETLRYFNATAALRPSHL >ORUFI05G26790.1 pep chromosome:OR_W1943:5:24194172:24196273:-1 gene:ORUFI05G26790 transcript:ORUFI05G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQESLTLAGVLRGHNDMVTAIAAPIDNSPFIVSSSRDKSLLVWDITNPSTAVATDPEAAPPEYGVSYRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLATGRTTRRFVGHTKDVLSVAFSVDNRQIVSAARDNTIKLWNTLGECKYTIGGDHGAGEGHTGWVSCVRFSPNPMAPTIVSGSWDRSVKVWNLTNCKLRTKLEGHNGYVNAVAVSPDGSLCASGGKDGTTLLWDLTEGKMLYKLDAGAIIHSLCFSPNRYWLCAATEDSVKIWDLESKLVMQDLKPEVQAFKSQMLYCTSLSWSADGSTLFAGYTDGTIRVWKVSGFGGYAI >ORUFI05G26800.1 pep chromosome:OR_W1943:5:24197670:24199789:1 gene:ORUFI05G26800 transcript:ORUFI05G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFAVMAGRLLTESTVQSAIDEASAAAMPSSVIASEHNDVQDEREKSGVLVECRICQEEDDQTYMETPCSCRGSLKYAHRKCIQRWCDEKGDTICEICLQQYTPNYTAPAKLFQHGRNSIFFRTPGYIQAQVMQNTDRSAAASTSYDPELPNPKGVIYCRIVALTLMVLLLLHDAISVFLGDHDAYTVAMITLLMLRTAGIVIPVYIILLSLTELLHRRNQRQISDAAAAVNINQQAPQSPRRQHVISIQ >ORUFI05G26800.2 pep chromosome:OR_W1943:5:24197670:24199789:1 gene:ORUFI05G26800 transcript:ORUFI05G26800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFAVMAGRLLTESTVQSAIDEASAAAMPSSVIASEHNDVQDEREKSGVLVECRICQEEDDQTYMETPCSCRGSLKYAHRKCIQRWCDEKGDTICEICLQQYTPNYTAPAKLFQHGRNSIFFRTPGYIQAQVMQNTDRSAAASTSYDPELPNPKGLMVLLLLHDAISVFLGDHDAYTVAMITLLMLRTAGIVIPVYIILLSLTELLHRRNQRQISDAAAAVNINQQAPQSPRRQHVISIQ >ORUFI05G26810.1 pep chromosome:OR_W1943:5:24207338:24211876:-1 gene:ORUFI05G26810 transcript:ORUFI05G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVARRVVLVLVLAAASLAAAPRGAAARSLGGREGPGEVDADAAVDLNATNFDAFLKASLEPWAVVEFFAHWCPACRNYKPHYEKVAKLFNGRDAAHPGLILMARVDCASKVNIDLCNRFSVDHYPFLLWGPPTKFASAKWDPKQENNEINSFSLEDKKYENENMLPKNASDPEQIVQAIYDVEEATAQALQIILERKTIKPKNRDSLIRFLQILVARHPSKRCRRGSAELLINFDDHWSSNLSLSSQEGSKLLESVAEENHWICGKEVPRGYWLFCRGSKSETRGFSCGLWVLMHSLTVRIGDGESQSTFTSICDFIHNFFICEECRKHFYEMCSSVSAPFRTARELSLWLWSTHNKVNMRLMKEEKDMGTGDPLFPKVTWPPNQLCPSCYRSSKVTDGAVDWNEDAVYQFLVNYYGKKLVSSYKETYMESLQQQEKKIVSEDSSISNAASVPIGAALGVAIASCTFGALACFWRAQQKNRKQRKNWN >ORUFI05G26820.1 pep chromosome:OR_W1943:5:24215168:24216837:1 gene:ORUFI05G26820 transcript:ORUFI05G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPARWALLLLLAVALLVPAALAAGGGGNGGASASTPNNGNGGNNGNNGNNGNNGNNGGGNEKHEKSPPPPHHDSPPPPRASPPPPVYSPPPPPPRSSPPPPPVYSPPPPVSSPPPPVPSPPPPVSSPPPPVSSPPPPVSSPPPPVSSPPPPVSSPPPPVHSPPPPVSSPPPPVHSPPPPVSSPPPPASDVVYCTNTTRYPTCTSPAYCPSRCPKSCHMDCATCKTVCDCNLPGAVCQDPRFIGGDGNTFYFHGRRDRDFCLLSDANLHINGHFIGNHVPGLKRDPTWVQAIAVQFSGGHRLYVGARRTAVWDDDSDRLAVVFDGETVQLQRVAHARWESGSGLSVTRTKAANGVLVELDGVFKITANVVPITKEDSRIHRYGVTDDDCLAHLDLAFKFYALTDDVHGVLGQTYRSSYVNRLDVSAKMPVMGGEKQFTSSGLFAADCAVARFGRAGDAAAVAVASDELVDVKCSTGLDGVGVVCKK >ORUFI05G26830.1 pep chromosome:OR_W1943:5:24220219:24221708:1 gene:ORUFI05G26830 transcript:ORUFI05G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPARWALLLLLGVVLLAPVTLAEYHRPPPPKAASPPPPVYSPPPPPRSSPPPPVPTSPPPSPLPSPPPPVPSSPPPPVSSPPPPVPSSPPPPVVPSPPPPVLSSPPPPVVASPPPPVVPSPPPPGASDVVYCTNKTRYPTCTSPAYCPSRCPKSCHMDCATCKTVCGKCISVHDCNLPGAVCQDPRFIGGDGNTFYFHGRRDRDFCLLSDANLHINGHFIGNHVPGLKRDPTWVQAIAVQFSGGHRLYVGARRTAVWDDDSDRLAVVFDGETVQLQRVAHARWESGSGLSVTRTKAANGVLVELDGVFKITANVVPITKEDSRIHRYGVTDDDCLAHLDLAFKFYALTDDVHGVLGQTYRSSYVNRLDVSAKMPVMGGEKQFTSSGLFAADCAVARFGRAGDAGAVAVASEELVDVKCSTGLDGVGVVCKK >ORUFI05G26840.1 pep chromosome:OR_W1943:5:24223805:24225277:-1 gene:ORUFI05G26840 transcript:ORUFI05G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHVLLVSFPMQGHVNPLLRLGRRLAATGLLVTFTTVRLAAGGGRLRDVPEDGACADVGLGRLRFEYLRDDDDDGDERCQQLAPNDVLSHVTAVGPSALAEFIDGQADAGRPVTFVVNNIFVPWALDVAAGMGIPCAMLWIQPCSVLSIYYHFYESPEAFPTAADPDVPVELPGLPVMAMVELPFMVRPEYAQCLWGDTLRAQVGAIKRTVSWVLVNSFYELERSAVDALRAHTTVKLAPIGPLLEHGHDNGGGDDGAPAPALGAEDNDRCVAWLDAQPPRSVVYVAFGSLVNIGRDETAAVAEGLVATGRPFLWVVRDDSRDLVPEAVLAACRGDKAGKITAWCPQGRVLAHGAVGCFVTHCGWNSIMEALAAGVPVVGYPWWSDQFANAKFLVEDYKVGVRLPAPVTGGELRACVDRVMSGPEAAVIRKRAMHWKREAAAAVADGGSSDRSLQDFVDHVRRSKGPEELARLAQDIQIMNGPVNPVLV >ORUFI05G26850.1 pep chromosome:OR_W1943:5:24235627:24236130:-1 gene:ORUFI05G26850 transcript:ORUFI05G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVPGGKGARVILPDDGGLRQVALPATAAELMMDAPGHFLADARAARVGARLAALSADEELELGAVYATFPMKRLGTPLAPADMARLAAVATREARRSAKVAAAVVAPPPTPLQAEDAAPRLRLDEMVDDEAVAADMNVYKHRLSSERSRRPTLETIQEENYMSTN >ORUFI05G26860.1 pep chromosome:OR_W1943:5:24236390:24260942:1 gene:ORUFI05G26860 transcript:ORUFI05G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVARGGGEEGSGVRRRVGAVGAGDIDVWGDWRVDPVYGSPRSVEERGLCGPLVYIAFIVTRTTSLLPRTTSNPSRERLPPAACRYQDCQITFQSPRGNDTSTTAQRPAAGVRRRTREQPSELSAPTYIVVSSSDTAATCLRLRSHQGCAASYAPILMAVSRACPAMPPETRLQQRAWLPCAGHNVLSARRKSCRGWAVAHLLRRQPRRPRPLPGIAEAGGRLAAGNIKARSFFIGQLGSSLSCT >ORUFI05G26870.1 pep chromosome:OR_W1943:5:24241811:24249900:-1 gene:ORUFI05G26870 transcript:ORUFI05G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRALSSLVRAASRLRGASPAPRPRGPLHRPSPSGYLFNRAAAYATAAAAKEAAPPAPATGKATGGGKITDEFTGAGAVGQVCQVIGAVVDVRFDEGLPPILTALEVLDHNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDEKGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVNSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKDCFEQFSSIPHHFPQNRAAVSGQIHAAAAAAAAAAEDDAAVPSFRPPVSPSVEPSHRDWLRGIVLTRSGNPKERLLPMKTICGSLMHELQVIWDEVGEPEAARDRMLLELEQECLEVYRRKVDQANRSRAQLRQAIAQYEAELAAICSAIGETTVHVRQSNQKACGLRDELGAILPYLEEMKRKKVERWNQFLDVVGRIKKISSEIRPANFDPFKVSVDQSDLSLRKLEELRVELKSLEKEKGERVKQVMEYLKTLHSLCVVLGVDFKKTISEIHPSLDEAEGPRNISNTTIEMLAWAIQRLRETKMQRMQKLQDLASTLLELWNLMDTPFEEQQAYQNITCNIAASEAELTEQNTLSIEFLNYVEAEVLRLEQHKASKMKELVLKKKTELEEHRRRAHLVGEEGAIDPSLLLEQIEAYISTVKEEAFSRKDILERVEKWLNAREEEAWLEDYNKDDNRYNAGRGAHIMLKRAEKARVLVSKIPGMVDVLETKTRAWETERGNEFTYDGVRLILMLEEYMVVRQEKEQERKRQRDQKKLQDQRKAEQEALYGSKPSSSKSHSTKKVPRNSTPGVQPPKSEILHSKTIRATKKTEDINTPSPGHKGLDTVGLPIRKLFPSSNSSTLLEMETPRKPFSQITPGNISSAPVRPISTGGTEENRTPKTFAPVPTTPMTVSPHMQMAVTPVLTAKAVSVLSYDEPELTSQEDTEYSFEEKRLAVYLAAQVA >ORUFI05G26880.1 pep chromosome:OR_W1943:5:24261684:24262748:-1 gene:ORUFI05G26880 transcript:ORUFI05G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKRGPWSPEEDEQLRSYVQSHGIGGNWIALPQKAGLNRCGKSCRLRWLNYLRPDIKHGGYTEQEDHIICSLYNSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAMGAVQPRAAASAPSQCTSSAMAPALSPASSSVTSSSGDACFAAAATTTTTMYPPPTTPPQQQFIRFDAPPAAAAAASPTDLAPVPPPATVTADGDGGWASDALSLDDVFLGELTAGEPLFPYAELFSGFAGAAPDSKATLELSACYFPNMAEMWAASDHAYAKPQGLCNTLT >ORUFI05G26890.1 pep chromosome:OR_W1943:5:24266326:24274108:1 gene:ORUFI05G26890 transcript:ORUFI05G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKFIQIGPFQSNRAGWCGPHDGIATGRPGCSLSDLRLVISPFHRALLRFARAEKGRAASRLLASSRILASISLAGGRRDRDRDRDRGRAKMSVIDILTRVDSICKKYDKYDVERLNGANVAGEDPFARLYGSIDADINECVEKAEAAKQEKNRATVVALNAEIRRTKAKLVEEDLPKLQRLALKKVKGLTKEELATRSDLVAALPDRIQSIPDGSSSAKKNGTWGASGSRTGGAIKFDTSDVSNFYFPYASVVLDCNGNFDDEYFKGTEESNQFRREYEMRKMKQDEGLDIIGEGLETLKNMASDMNEELDRQVPLMDEMDEKVDRANTDLKNTNVRLKETVLQLRSSRNFCIDIVLLCVILGIAAYLYNMVFFRDLRTSLLKVETFSRSSPNLREAAPSRHPDLASRPNSRRRINPPQKGSSALASPSPSRLAALQPIAAAARDSSPPRRASPLFLRPAALALAAAAAMSAVNITNVAVLDNPTAFLNPFQFEISYECLIPLDDDLEWKLIYVGSAEDENYDQQLESVLVGPVNVGTYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFMRVGYYVNNDYDDEQLREEPPAKLLIDRVQRNILADKPRVTKFPINFHPEPSTSAGQQQQEPQTASPENHTGGEGSKPAADQ >ORUFI05G26890.2 pep chromosome:OR_W1943:5:24266326:24274108:1 gene:ORUFI05G26890 transcript:ORUFI05G26890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKFIQIGPFQSNRAGWCGPHDGIATGRPGCSLSDLRLVISPFHRALLRFARAEKGRAASRLLASSRILASISLAGGRRDRDRDRDRGRAKMSVIDILTRVDSICKKYDKYDVERLNGANVAGEDPFARLYGSIDADINECVEKAEAAKQEKNRATVVALNAEIRRTKAKLVEEDLPKLQRLALKKVKGLTKEELATRSDLVAALPDRIQSIPDGSSSAKKNGTWGASGSRTGGAIKFDTSDGNFDDEYFKGTEESNQFRREYEMRKMKQDEGLDIIGEGLETLKNMASDMNEELDRQVPLMDEMDEKVDRANTDLKNTNVRLKETVLQLRSSRNFCIDIVLLCVILGIAAYLYNMVFFRDLRTSLLKVETFSRSSPNLREAAPSRHPDLASRPNSRRRINPPQKGSSALASPSPSRLAALQPIAAAARDSSPPRRASPLFLRPAALALAAAAAMSAVNITNVAVLDNPTAFLNPFQFEISYECLIPLDDDLEWKLIYVGSAEDENYDQQLESVLVGPVNVGTYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFMRVGYYVNNDYDDEQLREEPPAKLLIDRVQRNILADKPRVTKFPINFHPEPSTSAGQQQQEPQTASPENHTGGEGSKPAADQ >ORUFI05G26900.1 pep chromosome:OR_W1943:5:24276478:24282993:1 gene:ORUFI05G26900 transcript:ORUFI05G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERIPLLSKRFPADGTAGVGGGREEEGGDRWWSGLAREAGKVGSMALPMAAMSVAQNAVQVASNMMVGHLPGVLPLSASAIATSLASVSGFSLLVGMASGLETLCGQAYGAKQYDKLGVQTYRAIVTLTVVTIPISLLWVFIGKLLTLIGQDPVISHEAGRYIVWLIPGLFAYAVCQPLTKFLQSQSLIFPMLWSSIATLLLHIPLSWLLVFKTSMGFTGAALAISISYWLNTFMLAAYIRFSCSCKVTRSPPTIEAFRGVGLFLRIALPSALMLCFEWWSFEILVLLSGLLPNPELESSVLSICTRVANELGAGNPEGARSAVHLVMSIAGTEAVLVTGMLFAAQRILGYAYSSDEEVVTYFTSMVPFVCISVAADSLQGVLSGYIS >ORUFI05G26900.2 pep chromosome:OR_W1943:5:24276478:24282993:1 gene:ORUFI05G26900 transcript:ORUFI05G26900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERIPLLSKRFPADGTAGVGGGREEEGGDRWWSGLAREAGKVGSMALPMAAMSVAQNAVQVASNMMVGHLPGVLPLSASAIATSLASVSGFSLLVGMASGLETLCGQAYGAKQYDKLGVQTYRAIVTLTVVTIPISLLWVFIGKLLTLIGQDPVISHEAGRYIVWLIPGLFAYAVCQPLTKFLQSQSLIFPMLWSSIATLLLHIPLSWLLVFKTSMGFTGAALAISISYWLNTFMLAAYIRFSCSCKVTRSPPTIEAFRGVGLFLRIALPSALMLCFEWWSFEILVLLSGLLPNPELESSVLSICTRVANELGAGNPEGARSAVHLVMSIAGTEAVLVTGMLFAAQRILGYAYSSDEEVVTYFTSMVPFVCISVAADSLQGVLSGYIS >ORUFI05G26910.1 pep chromosome:OR_W1943:5:24282542:24283138:-1 gene:ORUFI05G26910 transcript:ORUFI05G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLRSGHRLRLLRHGSLSWASFSAAAAEELIDVRKLPTDYDASTFDPTAPSRPPPSDRVWRLVEDVSSLTLAESAALSALLLRRLDVPAPPIAILNSAAGLGGGGGAGAAGAAGEKAGGAAAAEKTVFELRLEAFDAASKIKVIKEIRSFTDLGLKEAKELVEKAPAVIKGGVSKEEARTIIDKMKAVGAKVVMD >ORUFI05G26920.1 pep chromosome:OR_W1943:5:24286795:24292635:1 gene:ORUFI05G26920 transcript:ORUFI05G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGILDTRRKNTTKEPPPGTLCQPAPLQVRWPPAHTTDQPNNAAAPAPPLGLAESVAPRPLRRWAARAPPKAEALRGGRTHADPPSPRLRRRHHPLSSPLVLKKTRPPLLRLIQPKYPTRVVIVVFLLPPPPHPTHARAAPIAADAEISARFAWFGVLFGCRGSFLLSHLAEGSGSGNMEAKQRTRHRDGEERRLVAAADGGAEEYDPWTAWLYKPHTISVLLVGACLLIWASGALDPEGASYHSSATSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALAFLLFQNRDDARQFMKHLYPDLGVELPERSYGADCRLYVPENPKNKFINIYETLFDEFVVAHILGWWGKAVMIRNQLLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWYPFMGPLRFVQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQNSKPVKKVGAFCWLSLAICIVELLICMKFGHGLFHDPMPTWLIIFWSSVGVALVVFLLAWSWRNHLKYQRKRL >ORUFI05G26920.2 pep chromosome:OR_W1943:5:24287105:24292635:1 gene:ORUFI05G26920 transcript:ORUFI05G26920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQRTRHRDGEERRLVAAADGGAEEYDPWTAWLYKPHTISVLLVGACLLIWASGALDPEGASYHSSATSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALAFLLFQNRDDARQFMKHLYPDLGVGNIFTSKGFTLEIKYCRRNGADKHFCTLMQNCRRDLMELTAVCMFRKTLKTIIIIVITPSYLTETLFDEFVVAHILGWWGKAVMIRNQLLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWYPFMGPLRFVQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQNSKPVKKVGAFCWLSLAICIVELLICMKFGHGLFHDPMPTWLIIFWSSVGVALVVFLLAWSWRNHLKYQRKRL >ORUFI05G26920.3 pep chromosome:OR_W1943:5:24287105:24292635:1 gene:ORUFI05G26920 transcript:ORUFI05G26920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQRTRHRDGEERRLVAAADGGAEEYDPWTAWLYKPHTISVLLVGACLLIWASGALDPEGASYHSSATSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALAFLLFQNRDDARQFMKHLYPDLGVELPERSYGADCRLYVPENPKNKFINIYETLFDEFVVAHILGWWGKAVMIRNQLLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWYPFMGPLRFVQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQNSKPVKKVGAFCWLSLAICIVELLICMKFGHGLFHDPMPTWLIIFWSSVGVALVVFLLAWSWRNHLKYQRKRL >ORUFI05G26920.4 pep chromosome:OR_W1943:5:24287340:24292635:1 gene:ORUFI05G26920 transcript:ORUFI05G26920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQRTRHRDGEERRLVAAADGGAEEYDPWTAWLYKPHTISVLLVGACLLIWASGALDPEGASYHSSATSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALAFLLFQNRDDARQFMKHLYPDLGVELPERSYGADCRLYVPENPKNKFINIYETLFDEFVVAHILGWWGKAVMIRNQLLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWYPFMGPLRFVQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQNSKPVKKVGAFCWLSLAICIVELLICMKFGHGLFHDPMPTWLIIFWSSVGVALVVFLLAWSWRNHLKYQRKRL >ORUFI05G26920.5 pep chromosome:OR_W1943:5:24287105:24291928:1 gene:ORUFI05G26920 transcript:ORUFI05G26920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQRTRHRDGEERRLVAAADGGAEEYDPWTAWLYKPHTISVLLVGACLLIWASGALDPEGASYHSSATSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALAFLLFQNRDDARQFMKHLYPDLGVGNIFTSKGFTLEIKYCRRNGADKHFCTLMQNCRRDLMELTAVCMFRKTLKTIIIIVITPSYLTETLFDEFVVAHILGWWGKAVMIRNQLLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWYPFMGPLRFVQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQNSKPVKKVGAFCWLSLAICIVELLICMKFGHGELLSSDIIITCEIIDLI >ORUFI05G26920.6 pep chromosome:OR_W1943:5:24286795:24292635:1 gene:ORUFI05G26920 transcript:ORUFI05G26920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNGILDTRRKNTTKEPPPGTLCQPAPLQVRWPPAHTTDQPNNAAAPAPPLGLAESVAPRPLRRWAARAPPKAEALRGGRTHADPPSPRLRRRHHPLSSPLVLKKTRPPLLRLIQPKYPTRVVIVVFLLPPPPHPTHARAAPIAADAEISARFAWFGVLFGCRGSFLLSHLAEGSGSGNMEAKQRTRHRDGEERRLVAAADGGAEEYDPWTAWLYKPHTISVLLVGACLLIWASGALDPEGASYHSSATSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALAFLLFQNRDDARQFMKHLYPDLGVGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWYPFMGPLRFVQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQNSKPVKKVGAFCWLSLAICIVELLICMKFGHGLFHDPMPTWLIIFWSSVGVALVVFLLAWSWRNHLKYQRKRL >ORUFI05G26920.7 pep chromosome:OR_W1943:5:24287105:24291928:1 gene:ORUFI05G26920 transcript:ORUFI05G26920.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQRTRHRDGEERRLVAAADGGAEEYDPWTAWLYKPHTISVLLVGACLLIWASGALDPEGASYHSSATSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALAFLLFQNRDDARQFMKHLYPDLGVGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWYPFMGPLRFVQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQNSKPVKKVGAFCWLSLAICIVELLICMKFGHGELLSSDIIITCEIIDLI >ORUFI05G26930.1 pep chromosome:OR_W1943:5:24293139:24297460:1 gene:ORUFI05G26930 transcript:ORUFI05G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGGAAKKPAGGGELSRFLQSHLQTINDTFQMMAEAAPGGLERTEWSEVVKLGEQVSRQATVAGMVWSGDLPDVETLKENIVAYFNILQGFLLGCHGSTVGAGPTLHKSICSSAKNVVDSSFSLFNQAVSAYESRSPDRKTTIPQVTGTVWEACLALNKVPTTNCVAIGRAMTQIGVYLKDILREMKELPIGDSNGTAEKSSNGAVDTTSCSDRDGSSSDLELDEDFTEEEVAVAKLVVTVASDALVVVKETIRFITCLLKISGNRSGANEEKVETMEQLLSCCRQAADQINDLGASVYPPQDLSEMKSSVKRLYGGANAMRREIGSLGGSPEGAFVALERFEKSLGALEVEIADDVANEMENLTISTS >ORUFI05G26940.1 pep chromosome:OR_W1943:5:24307840:24308856:1 gene:ORUFI05G26940 transcript:ORUFI05G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKVYFPSSQEKQSREERGGGSSTVQSNRKPIRSLSPLSSSPIPNMPRKPCK >ORUFI05G26950.1 pep chromosome:OR_W1943:5:24313577:24317323:-1 gene:ORUFI05G26950 transcript:ORUFI05G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSSPIFLEEKAAHQKEEEEEIHLRLLDQLFAPFDMFEGGDPVLHEWQYARPIYGKERDAAPPRHCHRLFRIKLSAKRGQALWSHRETRRFCAEREGGFPLRLARLAADGCCLELEDDGGQVGALSCFRLSTSQSGLSRKAEMVAAVVAAGSPPVRVVAVIRRLPGAGGDRLALTTNMWIARLAVRLHDKLFVLPPDGSTVTIAFSERWFRIPSKWTEEKLYARVGHEFINITAALEDLARNLYQMYEQEEQEEMILHEKQEQERRKQEELDREREELEMKPLAYIPLGHGDMKWESPDEAMHRRFELSVGTNGEVRCSFVEQECPRDMRWRLACYDRFVLPLTTMELQAVGFVEGYLDPKMAGFTEQRGKTVALRPVAMVSMSPQVDQILSFKFLVDNLAIWLNDGVVLTGWSGITVSIHCGDDDNSCAFPSFTSADNWTHQVLEWKVDDNNPISCSCLLLQLNRKLCRLNAARIDWQEHDFGLSAIFALEAEEDQGLLYQQKQQENEELRLNALSLPGTLSGGGEGEGEYSLLFESPEESDWAKVSEPYVPKFPTEEEIQKREEWRKEQLKLVMEPIIQPVQEPRRGSNYFMCNPGSRSTTKAELPTDEPYAVLLYYWTLFDKSESKLQPKRNLHPRLVCLEWCTCAPSSILQVYTLEIIVADSLHSCKLDISGFVAIRDLRDGRRNYIFNREIGHPFTVVSRHGVLRLPTLSPRRAIHTNPEILLEFNLKIKRTGNGIDSYRELIQGVVEHPSIYRHDWSRVNELSILPCGNRSTPMMRLKLARILKGVEATVELQPLRLPPGGIDLRCTARSGRIADDIELFDGKYGGDDDTSLQFVVATELHGNMEIHLEGVCNGVSRRWCIGFVPKFHALFSQEMDENANRAWAVVLLRACWCYASLLPGKINVRIESRAAAGV >ORUFI05G26950.2 pep chromosome:OR_W1943:5:24313892:24317323:-1 gene:ORUFI05G26950 transcript:ORUFI05G26950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSSPIFLEEKAAHQKEEEEEIHLRLLDQLFAPFDMFEGGDPVLHEWQYARPIYGKERDAAPPRHCHRLFRIKLSAKRGQALWSHRETRRFCAEREGGFPLRLARLAADGCCLELEDDGGQVGALSCFRLSTSQSGLSRKAEMVAAVVAAGSPPVRVVAVIRRLPGAGGDRLALTTNMWIARLAVRLHDKLFVLPPDGSTVTIAFSERWFRIPSKWTEEKLYARVGHEFINITAALEDLARNLYQMYEQEEQEEMILHEKQEQERRKQEELDREREELEMKPLAYIPLGHGDMKWESPDEAMHRRFELSVGTNGEVRCSFVEQECPRDMRWRLACYDRFVLPLTTMELQAVGFVEGYLDPKMAGFTEQRGKTVALRPVAMVSMSPQVDQILSFKFLVDNLAIWLNDGVVLTGWSGITVSIHCGDDDNSCAFPSFTSADNWTHQVLEWKVDDNNPISCSCLLLQLNRKLCRLNAARIDWQEHDFGLSAIFALEAEEDQGLLYQQKQQENEELRLNALSLPGTLSGGGEGEGEYSLLFESPEESDWAKVSEPYVPKFPTEEEIQKREEWRKEQLKLVMEPIIQPVQEPRRGSNYFMCNPGSRSTTKAELPTDEPYAVLLYYWTLFDKSESKLQPKRNLHPRLVCLEWCTCAPSSILQVYTLEIIVADSLHSCKLDISGFVAIRDLRDGRRNYIFNREIGHPFTVVSRHGVLRLPTLSPRRAIHTNPEILLEFNLKIKRTGNGIDSYRELIQGVVEHPSIYRHDWSRVNELSILPCGNRSTPMMRLKLARILKGVEATVELQPLRLPPGGIDLRCTARSGRIADDIELFDGKYGGDDDTSLQFVVATELHGNMEIHLEGVCNGVSRRWCIGFVPKFHALFSQEVDLQFAQLLLTVAWSM >ORUFI05G26960.1 pep chromosome:OR_W1943:5:24318011:24318601:-1 gene:ORUFI05G26960 transcript:ORUFI05G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVPPWTLSPAHRSREVEDEADRDDGEAAVRGAEGRRPQIEEAVVDVRAPPGTTPTPTPARKRTAAASPLGATPAPAPERKGMAAASLPGATPTPTPATERKGTTAASPCGTQSTTPTRKGLAVASPPGKPLPTPGRKRNFVASDWRGGREKERGNNFPPTRARQRRLRLGRKNGSLQMSNDIYEYGNLDYWDVG >ORUFI05G26970.1 pep chromosome:OR_W1943:5:24318277:24326221:1 gene:ORUFI05G26970 transcript:ORUFI05G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSPSSRSQGSASVLLQAAMQRPCPSSQVQAPALLQAAMQPPSSSSQASASALGRPHVDHRLLDLGPTALCAPHRRLAVVSVCLILNLPTPKTLHFLCF >ORUFI05G26980.1 pep chromosome:OR_W1943:5:24320458:24327736:-1 gene:ORUFI05G26980 transcript:ORUFI05G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADVRARESLFIPIDLNEKSSHEKQDEEEIHLRQLEQLLAPFNPWEAGDPELEEWQCARPKTRHPTPPPPPPRRCHLLFTMKLSVKRGQAMWSRKETPRFSAERAGGFPLRLARLAADGSPRLELEEDGGRVGALSCFESRPWPWSRREEVVALAAADSVPVRVVAVIRRFPVAGGDRLAIVSNMRMASLVVRLHDKLFVLPPDGSTVTIAFSERWFRVPSNWTEEKLYARVGHDFINITAALEDLARTLYQMYEQEEQKKMVLQEKQEQEKRKQEELNREREELEMMPLACIPLGHGEMQWESPCEAMHRRFKLSMGTDGEVRCNFVEQECPRVMRRRLACDGRFILPLTTMKLQVVGFVEGYSDPETIGFTEQRSYIETLRPVAMVSVLPQEHTQVDQILSFKFLVDNVPIRLNDGIILTGWSGITVGIHSGDDGDNCTFLPCTSAVSRELDTPNSRMEALPAKCRNDRKRRHDLGLSAIFALEAEEVQGLLYHQEQLENQDFRLNELSLSGEPSVGGEGEAEYSLLFESPGESDWVKVSEPYVPKFPTEEEIRKREEWRKEQLKLVMAPIIRPVQEPRRGINYFMSEPRSRSTREAEFPMHEPYSVLLYYWTLSDKSESKLKPKRKVYPRLVCLEWCTCSPSSMLQVYALEIIVADSLHSCKLDISGFVAIRDLRDGRRNYIFNREMDHPFTVVSQHGVLQLPTLSPRRAIHTNPKILLEFNLKMKRTGNGIHSYHDLIQGVVEHPSIYRRDWSRVNELSIVPCGNNSTPMMRLKLAVISKGVEATVELQLLRLPPGGIDLRCTARSGRIADDIELFDGKYGGDDTSLQFVVATELHGNMEIHLEAVCNGVSQRWCIDFVPKFHALFSQEASAKEKSAVFIVAKDLPKFTFLTLSARWCEDSSDVPAKLQSWIEL >ORUFI05G26990.1 pep chromosome:OR_W1943:5:24335229:24336618:-1 gene:ORUFI05G26990 transcript:ORUFI05G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSTSTALFSLAIPSSRVVSTRGHRKASSGSGPFRAARIRCSNAASPNASAGAASAEEAAPPPKPQIDLEFVGPKADADGSFPVDRAAAGSGEKLLRDVMVENKIELYAAYGKVMNCGGGGSCGTCIVEIVDGKELLNERTNTENRYLKKKPESWRLACQTIVGNKENSGKALQDYKLSSNVCPSGKNDCSAHVMH >ORUFI05G26990.2 pep chromosome:OR_W1943:5:24335229:24336618:-1 gene:ORUFI05G26990 transcript:ORUFI05G26990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSTSTALFSLAIPSSRVVSTRGHRKASSGSGPFRAARIRCSNAASPNASAGAASAEEAAPPPKPQIDLEFVGPKADADGSFPVDRAAAGSGEKLLRDVMVENKIELYAAYGKVMNCGGGGSCGTCIVEIVDGKELLNERTNTENRTRLQVYHKNEGLSSNVCPSGKNDCSAHVMH >ORUFI05G26990.3 pep chromosome:OR_W1943:5:24335229:24336618:-1 gene:ORUFI05G26990 transcript:ORUFI05G26990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSTSTALFSLAIPSSRVVSTRGHRKASSGSGPFRAARIRCSNAASPNASAGAASAEEAAPPPKPQIDLEFVGPKADADGSFPVDRAAAGSGEKLLRDVMVENKIELYAAYGKVMNCGGGGSCGTCIVEIVDGKELLNERTNTENRLSSNVCPSGKNDCSAHVMH >ORUFI05G27000.1 pep chromosome:OR_W1943:5:24337161:24346061:1 gene:ORUFI05G27000 transcript:ORUFI05G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGVGSGRRGRSYHRRRRRHRDPTPYPSTSTSAADVANMRTPHSPHTHPERGARRRRRRRMLTPLLLKTTTTMATGSRRRRTRTSAVGIAGIKQQASIVGEPPSVGLVIPSALPRGPQAAVDRCTPRADLRPHAPSPRQFRSSDASALRPPSPLFARMRHCPTPPAAAPPASRASLLRPPSPRFALRPRWTFIDVASRWSGRPRRRRRQRGAPLGRISHAGAGGGGGGAQEERTILKGITDKVRPWEVLAVLGPSGSGKSTLVSILGGRLAGRHAGMVLEGGRAPCRAVQRRAGFVAQDDVLHPHLTVCETLLFCAMLRLPTSAPATAEAAAAEAVISELGLASCADTIVGNAFEASLRRAAALRRTILPGR >ORUFI05G27010.1 pep chromosome:OR_W1943:5:24346071:24346487:1 gene:ORUFI05G27010 transcript:ORUFI05G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSVCRWPLTPEASGIRFESHSARGAGPKQAPDDGRPAPGKLREVIAPWAPVPPKVTGLRSPEGFPKSGRGAPEATLGRGISEGPLKPDMPAGADHVSCALTRQAKNQALVDVNKCALRAPTNSDTVDGCQAEHAIT >ORUFI05G27020.1 pep chromosome:OR_W1943:5:24351661:24364578:1 gene:ORUFI05G27020 transcript:ORUFI05G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQGLALKLRAAPAAGGVRGEKRRRAASATAAAAARPRHGAMSLEGGFLGGALPAEDRVAPRASASRQAEAGAGAGAARPPPRSMSKIPESSIGLYDPSMERDSCGVGFIAELSGEYSRKTVDDAIEMLDRMAHRGACGCEKNTGDGAGILVTKDAGFELPPPGEYAVGMFFMPTDDKRREKSKLLFREKAELLGHTVLGWRRVPTDNSGLGQSAVDTEPVIEQVFVTKSASSKADFERQMYVLRRFSVMSIREVLGVKNGGTKDFYMCSLSSRTIVYKGQLKPSQLKGYFFADLGDESFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNKNWMKAREGLLKCEGLGLTRDEMLKLLPIVDATSSDSGAIDNVLELLIQSGRSAPEAVMMMIPEAWQNDVNMDPERKALYEFFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTYSGRVIMASEVGVVDVPPQDVSRKGRLNPGMMLLVDFENHCVVNDDELKKEYSKVRPYGEWLKRQRIQLTDIIESVNEAERIAPSISGALPITKENKADMGICGILTPLKAFGYTREALEMLMLPMAKDGQEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLSETTERQCHRLTLKSPLLNTNEMEAIKKMNYRGWRSKVLDITYPKKNGRMGLKQTLDKICAQAREAIHEGYTILVLSDRERVAVSSLLAVGAVHQHLVSHLERTRIGLLVESAEPREVHHFSTLIGFGADAICPYLAIEAIWRLQIDGRIPPNDGKPYTQEQLIEKYFYASNYGMMKVLAKMGISTLASYKGAQIFEALGLASEVVSKCFEGTPSRVEGATFEMLAQDALRLHEIAFPSRTLPPGSADANALPNPGDYHWRKNGEVHLNDPFSIAKLQEAARINSREAYKEYSRRIYELNKACTLRGMLKFREIPNQISLDEVEPAKEIVKRFCTGAMSYGSISLEAHTSLAEAMNTLGGKSNTGEGGEQPCRMVPLPDGSKNPRISAIKQVASGRFGVSIYYLTNAVEVQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPGARISVKLVSEAGVGIVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQMKTGRDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPAGIATQDPVLRAKFAGKPEHVINYFFMLAEEVREIMAQLGFRTVNEMVGRSDMLEIDPKVLEGNEKLENIDLSRLLKPAAEISPGAVQYCVEKQDHGLDMALDNKLIASSTAALRKGVRVFIETPVRNINRAVGTMLSHEVTKRYHIHGLPSDTIHIKLNGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRNSRFNPQDNIVIGNVALYGATKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTAVILGKTGRNFAAGMSGGIAYVYDVDGKFSSRCNYELVDLYAVVEEDDITTLRMMIQQHRLHTQSDLARDILLNFDTLLPKFIKVYPRDYKRVLDKLKEEKAAKEAEQKAREVVDKKPVEVIQAPNGISVKTEKVMNEEPSSRPSRVSNAVKYRGFIKYEREGTSYRDPNERVKDWNEVAIELVPGPLLKTQSARCMDCGTPFCHQEGSGAGCPLGNKIPEFNELVHQNRWHEALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIDNPVSIKSIECAIIDKGFEEGWMVPRPPLRRTGKRVAIVGSGPAGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKADKEGIVQRRVELMAKEGVQFIVNAHVGSDPLYSVEKLRSENDAIILACGATKPKDLPIPGRELAGIHFAMEFLHANTKSLLDSNLEDGNYISAQGRKVVVIGGGDTGTDCIGTSIRHGCTNLVNLELLPEPPRKRAPDNPWPQWPRIFRVDYGHQEATSKFGKDPRSYKVLTKRFIGDENGNVKALEVIRVEWGKVNGRFQFKEVEGSEEIIEADLVLLAMGFLGPEATVANKLGLEQDMRSNFKAQFGNFATNVEGVFAAGDCRRGQSLVVWAITEGRQAAAAVDNYLSKDDEGETNGTEDIAVSSEGLVQPVVA >ORUFI05G27020.2 pep chromosome:OR_W1943:5:24351138:24351784:1 gene:ORUFI05G27020 transcript:ORUFI05G27020.2 gene_biotype:protein_coding transcript_biotype:protein_coding NFRAEREEAIHTTLPRGGEAKKPSDRRGEKAAGEPQFAGDLHPSEVKRKRQFKSSTESFKIAVLLY >ORUFI05G27030.1 pep chromosome:OR_W1943:5:24366124:24366561:1 gene:ORUFI05G27030 transcript:ORUFI05G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLQLPCPCQEATAVATSGVKKRRKKSPATAAVRSSKAAAAASSRHVVPVIDTPGEEEEMRMAWPGCHVEPAVDGGDGMRVKVVMKRKDAAELMARLEERCAVERKAKMVELNAGLRGGHGHGHGGGGARDAWAPRLVAIPEN >ORUFI05G27040.1 pep chromosome:OR_W1943:5:24368712:24375623:1 gene:ORUFI05G27040 transcript:ORUFI05G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGRLVRKQTQPGWAPTTRGGGRRRPLALALGRLSSRRPVRCRCLAAMQTSPPPPSVRTVSIPFSDLKERDRDLSGKIEEGLGPNGLGIISIADVPGFPVLRKTLLRLAPKVANLPEDVKKELEDPDSRFNFGWSHGKEKLESGKLDTFKGSFYANPILDAPTTDDVLVRRYPSYCRTNIWPASHLPELEIAFKALGKLMLEVGLMLAHHCDRYVMQQGVGPYDGESLEQTIASSRCHKGRLLYYYPRQFSKQEEGGSVSSWCGWHTDHGSLTGLTCALFTKNSMEIPCPDSAAGLYIRTRDDKVVKVTFEENELAYQVGETTEILSRGRLCATPHCVKAPSSENASNVDRSTFAMFMQPDWDEKLKFPSEIPYHQELIPPNGTLTFGEYSERLVNKYYQGKT >ORUFI05G27040.2 pep chromosome:OR_W1943:5:24368630:24375623:1 gene:ORUFI05G27040 transcript:ORUFI05G27040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGRLVRKQTQPGWAPTTRGGGRRRPLALALGRLSSRRPVRCRCLAAMQTSPPPPSVRTVSIPFSDLKERDRDLSGKIEEGLGPNGLGIISIADVPGFPVLRKTLLRLAPKVANLPEDVKKELEDPDSRFNFGWSHGKEKLESGKLDTFKGSFYANPILDAPTTDDVLVRRYPSYCRTNIWPASHLPELEIAFKALGKLMLEVGLMLAHHCDRYVMQQGVGPYDGESLEQTIASSRCHKGRLLYYYPRQFSKQEEGGSVSSWCGWHTDHGSLTGLTCALFTKNSMEIPCPDSAAGLYIRTRDDKVVKVTFEENELAYQVGETTEILSRGRLCATPHCVKAPSSENASNVDRSTFAMFMQPDWDEKLKFPSEIPYHQELIPPNGTLTFGEYSERLVNKYYQGKT >ORUFI05G27040.3 pep chromosome:OR_W1943:5:24368712:24370760:1 gene:ORUFI05G27040 transcript:ORUFI05G27040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRQGQRVRLYVRGTILGYKSNDSTIRCIWGKVTRPHGNSGVVRAKFRSNLPPTSMGKKVRVFIQSY >ORUFI05G27050.1 pep chromosome:OR_W1943:5:24376143:24381238:-1 gene:ORUFI05G27050 transcript:ORUFI05G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGDREYAEFMHLPRKRFTDFALVRKEIADETDRETGRSKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVQDIENMVRSFIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQQQWIGVVNRSQQDINKNVDMIAARRREREYFSTTPEYKHLAHRMGSEHLAKSLSKHLETVIKSRIPGLQSLITKTIAELETELNRLGKPIATDAGGKLYTIMEICRMFDGIYKEHLDGVRPGGEKIYHVFDNQFPVAIKRLQFDKQLAMENVKKLITEADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDAVHAILKELVHKAINETHELKQFPTLRVEVGNAAFESLDRMRDESKKNTLKLVDMECSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGTTVLAYINMVCSTLRNSIPKSIVYCQVREAKRSLLDHFFTELGAREIKQLSKLLDEDPAVMERRTNLAKRLELYRSAQAEIDAVAWSK >ORUFI05G27060.1 pep chromosome:OR_W1943:5:24388217:24392507:1 gene:ORUFI05G27060 transcript:ORUFI05G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSTADPASSSTSTHSTTTTSPTPTPSPSSLRKRVLSIDTSSRDSRGSPGRERESKARQEEEEEESVMASAAASEAERERDVEAAVPVPMSPAGRLFRETNFNCYIVAVIGLGARVDVAAARAGLEATLVRHPRFCSVQVSDEASKRAKPRWVRTTVNLDDHLIFPELDPTATSASPDQVIEDYMSTLSTQPMDHSRPLWELHVLDFPTSEAAATVAVRMHHSLGDGISLLSLLIACTRSAADPARLPALPPAPARRDGPVYARRRPPLSAGIVALAAWAWSYLVLALHTLVDVACFVATSLFLRDARTPLMGTEGVEFRRKRFVHCTLSLDDVKLVKNAMKCTVNDVLVGVTSAALSRYYFRKENDTNSEKRTRRKHIRVRSALLVNIRKTPGLHVLAEMMNSNKNNVARWGNLIGYIVLPFRIAMFHDPLEYIRQGKRTVDRKKSSLEAIFTYWSGNLIVKLFGIKTAAALCHGMLANTTLSFSSMVGPAEKVEFYGHPIEYIAPSVYGHPHALTVHYQSYMNIIKLVLAVDDAQFPDAHQLLDDFAESLRLIRQAASTKS >ORUFI05G27070.1 pep chromosome:OR_W1943:5:24393795:24397060:1 gene:ORUFI05G27070 transcript:ORUFI05G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSAAAVAVLVVAFAAAAAAQESCNTELPGVLVGNYSGLNCQPVWNNFVLRYHQDKNNVLRVVLSTMYSTGWVGMGFSRDGLMVGSSAMVGWIGRKGLPHVKQFALRGKTSGKVVVNRGFLVSNSNNHDHTVVVQQARIYLAFQLQFSYRLTHQHIILAFGSSIPVNNKLTKHQDKTSFTFDFTTGKAFVDGSFPYGLRRAHGALNLFAWGVLMPIGAILARYFRRMDPLWFYLHVGVQFVAFIIGLAGVVAGVALYNKIQADIPAHRGLGIFILVLGILQILAFFLRPNTDSKYRKYWNWYHHWSGRLVLFFAAVNIVLGIHVGGGDNSWKIGYGFNLAIILLAVIGLEFMLRTRWSKESEPTPTY >ORUFI05G27080.1 pep chromosome:OR_W1943:5:24402677:24407515:1 gene:ORUFI05G27080 transcript:ORUFI05G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGEAVAVARDVASSSPAKPPSALDMMRFQRVSPDCLPLPNGGGGGGGSRKPAAGPRSSKDDDAPAAAAADSARTSPYLAAASASLESKPRTRAPPPPPPAPAPAPPSSSSAAAAPGRSPARERERDHGHHPSDISDPTSPSSTGVGGGGAGGSGSAVPDSAVLLQWGHNKRSRGRRDASASSSSAAAPSPQRRQAAAAAGVKIQRRSSAPAEKLMPPPSTTPSRSSTLAPPPTTMAAPPSPTTAGSARLGIHRCSGEFAFVAMLEFDGMMMIRSGEQSAAATKAEKQQQRPAAHRVAEAGGVVMALAKTDSKYQHHTGHGGHGQAADHHGPSSSSKAATAAAQKIELPRIYTTLSRKEKEEDFMAMKGTKLPQRPKKRPKLVEKQVNFVCPGMWLSDVTRSKYIVREKKSTKKQQKYRGLKGMESMDSDSE >ORUFI05G27090.1 pep chromosome:OR_W1943:5:24409651:24409905:1 gene:ORUFI05G27090 transcript:ORUFI05G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKGDAAPKGPRGFVAHSNRTPLMHENGSDPGGWSPSTFPPRQAGRGVGRDTEKSQATDDWRLAPATGRGERGKFFRKQVGKR >ORUFI05G27100.1 pep chromosome:OR_W1943:5:24411242:24416041:1 gene:ORUFI05G27100 transcript:ORUFI05G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRLLKDDATEEKGERARMASFVGGMAISDLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIADKFRSDLMNIAMTTLSSKILSQDKEYFAGLAVDAVLRLKGSTNLEAIQILKKTGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGDIASTFDNPESVKLGHCKVIEEIMIGEDRLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLYGGGWPEMVMAKEVDGLARKTPGKKSHAIEAFSRALQSIPTIIADNAGLDSADLISQLRAEHHKEQSTAGIDVISGGVGDMEKLGISESFKAKQAVLMSATEAAEMILRVDEIVTCAPRRREDRIHIWDGRVPTVDLQR >ORUFI05G27100.2 pep chromosome:OR_W1943:5:24411354:24416041:1 gene:ORUFI05G27100 transcript:ORUFI05G27100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWASDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIADKFRSDLMNIAMTTLSSKILSQDKEYFAGLAVDAVLRLKGSTNLEAIQILKKTGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGDIASTFDNPESVKLGHCKVIEEIMIGEDRLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLYGGGWPEMVMAKEVDGLARKTPGKKSHAIEAFSRALQSIPTIIADNAGLDSADLISQLRAEHHKEQSTAGIDVISGGVGDMEKLGISESFKAKQAVLMSATEAAEMILRVDEIVTCAPRRREDRIHIWDGRVPTVDLQR >ORUFI05G27100.3 pep chromosome:OR_W1943:5:24411298:24415903:1 gene:ORUFI05G27100 transcript:ORUFI05G27100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWASDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGFRMAAECARDALLQRAMDNKEDSDKFRSDLMNIAMTTLSSKILSQDKEYFAGLAVDAVLRLKGSTNLEAIQILKKTGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGDIASTFDNPESVKLGHCKVIEEIMIGEDRLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLYGGGWPEMVMAKEVDGLARKTPGKKSHAIEAFSRALQSIPTIIADNAGLDSADLISQLRAEHHKEQSTAGIDVISGGVGDMEKLGISESFKAKQAVLMSATEAAEMILRVDEIVTCAPRRREDRM >ORUFI05G27110.1 pep chromosome:OR_W1943:5:24417685:24418836:-1 gene:ORUFI05G27110 transcript:ORUFI05G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAVAAAMAGPRRRRMLLLMANYAALLVGSVASSLLSRFYFTHGGRNRWVVTLVQSAGFPLLVAGALAGGRPASAPRPFTWLSRRFLAVCLVIGALMGANNLLFAYSTSLLPVSTSSLLLSTQLAFTLVLAVVIVRHPVTFVNLNAVVLLTLSSVLLALRSGDSGETAEGGVGGGGRKSYLVGFVVTLGAAGLFSAYLPVMELVYREAVSGGFVLAVEVQAVMQAMASLIAAVGLAASGGVADDVSGWVDGGSSPAVYWAVVATLVLTWQACFMGTAGVIYLTSSLHSGVCMAAVLTLNVLGGVAVFGDPFGAEKALATALCAWGFSSYLYGEYAKAKKVAAAAAVAAAEEEESASDGEGSVHKSLTGGGAAGGHVETAV >ORUFI05G27120.1 pep chromosome:OR_W1943:5:24422743:24425053:1 gene:ORUFI05G27120 transcript:ORUFI05G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRQGQRVRLYVRGTILGYKRSKSNQYENTSLLQIEGVNTKEEVGWYAGKRIAYVYKAKTKSNDSTIRCIWGKVTRPHGNSGVVRAKFRSNLPPTSMGKKVRVFMSGSWPHPNLTELGWQEEFTGIPGMVSGFQLFVERFLAYAAKLIVVTVEGFISASHLVNQSEI >ORUFI05G27120.2 pep chromosome:OR_W1943:5:24422720:24425053:1 gene:ORUFI05G27120 transcript:ORUFI05G27120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHAATRSISLAAAAAASLLSAAAGRQGQRVRLYVRGTILGYKRSKSNQYENTSLLQIEGVNTKEEVGWYAGKRIAYVYKAKTKSNDSTIRCIWGKVTRPHGNSGVVRAKFRSNLPPTSMGKKVRVFMFLAYAAKLIVVTVEGFISASHLVNQSEI >ORUFI05G27120.3 pep chromosome:OR_W1943:5:24422743:24425053:1 gene:ORUFI05G27120 transcript:ORUFI05G27120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRQGQRVRLYVRGTILGYKRSKSNQYENTSLLQIEGVNTKEEVGWYAGKRIAYVYKAKTKSNDSTIRCIWGKVTRPHGNSGVVRAKFRSNLPPTSMGKKVRVFMFLAYAAKLIVVTVEGFISASHLVNQSEI >ORUFI05G27120.4 pep chromosome:OR_W1943:5:24422684:24425053:1 gene:ORUFI05G27120 transcript:ORUFI05G27120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHAATRSISLAAAAAASLLSAAAVAAACSVLLLFSGDEGTARPAREAVRPRHHPRLQEVEVEPVREHVAAADRGGEHQGGGWVVRREAHRLRLQGQDEEQRLHHPLHLGQGHPPARQLRCRPRQVPVQPPAHLHGQEGPRLHVSCLCCETDSCHRGGFYIS >ORUFI05G27130.1 pep chromosome:OR_W1943:5:24427192:24429231:1 gene:ORUFI05G27130 transcript:ORUFI05G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >ORUFI05G27130.2 pep chromosome:OR_W1943:5:24427275:24429231:1 gene:ORUFI05G27130 transcript:ORUFI05G27130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >ORUFI05G27140.1 pep chromosome:OR_W1943:5:24429590:24432663:-1 gene:ORUFI05G27140 transcript:ORUFI05G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVVLMVVVCLAVAAAAASAASGGGHSRGRPGKSARLQLVPAAPGASMAERARDDRRRHEYISARLAASRRRRRAEETSSVSSAGAVAASAFAMPLSSGAYTGTGQYFVRFRVGTPAQPFVLIADTGSDLTWVKCRGAASPSHATATASPAAAPSPAVAPPRVFRPGDSKTWSPIPCSSETCKSTIPFSLANCSSSTAACSYDYRYNDNSAARGVVGTDSATVALSGGRGGGGGGDRKAKLQGVVLGCTTAHAGQGFEASDGVLSLGYSNISFASRAASRFGGRFSYCLVDHLAPRNATSYLTFGAGPDAASSSAPAPGSRTPLLLDARVRPFYAVAVDSVSVDGVALDIPAEVWDVGSNGGTIIDSGTSLTVLATPAYKAVVAALSEQLAGLPRVAMDPFDYCYNWTARGDGGGDLAVPKLAVQFAGSARLEPPAKSYVIDAAPGVKCIGVQEGAWPGVSVIGNILQQEHLWEFDLNNRWLRFRQTSCTQ >ORUFI05G27150.1 pep chromosome:OR_W1943:5:24434729:24435693:1 gene:ORUFI05G27150 transcript:ORUFI05G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQIISPDIANGSTEKIVWRDRSIDRSASASSASRRHNGPCNATHACSDDAESCRIMMAIGESSRAAVESFGPAPVAGGDSTYVEPGRIHRRPASGGATSSNDSRPDGACKGLKILKSCGYPALAGHTT >ORUFI05G27160.1 pep chromosome:OR_W1943:5:24439651:24446335:1 gene:ORUFI05G27160 transcript:ORUFI05G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRLRPLSDLDRHGRRVGPTRASDRHATPPSHAASTRGATDSVEITTSGVPSRAAASAFALPVLEFVSLSASATRVSPQASRRWRRRRWSPARWKRCSGRQRRAHGDDTVRQFLEQYPLPKLLGVRLMYLGWMKLLPHAWTKYFLRDMEHHFCQAMAFIQAGLLANSKNTRQLACKAVIHLLDKAGDSAVVVDTFVQHNLYPLLMNCLTEGDEEISAISLDGIKRLAQIPKGIEIIFPPNGQGSVQLHKVAAQSSSMARIRILSLIAKLFAVSTYTATAIYDSNLLSLFEDEIKDRRDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLMVDVINDSSADSIVRSRATLISGRLLSSADAFTTIDRNCVANLLLAIDKLLKMEESQNTDETESALEALGLIGTTSAGACLLLTDSSNAARHVVEASFGRQGRGKQLAALHAFGSISGVDRQEDQIKLDNQAEERLKRFVYTTARNSPKLTPSALLLSVLQQDPDIRITGYRVISGLVAREWCLMEICSKLDIINLVTDPKMEMTKLGMEARHDCCLAISKALSSSHLLHEPSLSELIGKLNEAVKRGPYLSERKRVEPQPVVVPAESLKRGLLEWAARILTRDLWL >ORUFI05G27160.2 pep chromosome:OR_W1943:5:24439651:24446335:1 gene:ORUFI05G27160 transcript:ORUFI05G27160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRLRPLSDLDRHGRRVGPTRASDRHATPPSHAASTRGATDSVEITTSGVPSRAAASAFALPVLEFVSLSASATRVSPQASRRWRRRRWSPARWKRCSGRQRRAHGDDTVRQFLEQYPLPKLLGVRLMYLGWMKLLPHAWTKYFLRDMEHHFCQAMAFIQAGLLANSKNTRQLACKAVIHLLDKAGDSAVVVDTFVQHNLYPLLMNCLTEGDEEISAISLDGIKRLAQIPKGIEIIFPPNGQGSVQLHKVAAQSSSMARIRILSLIAKLFAVSTYTATAIYDSNLLSLFEDEIKDRRDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLMVDVINDSSADSIVRSRATLISGRLLSSADAFTTIDRNCVANLLLAIDKLLKMEESQNTDETESALEALGLIGTTSAGACLLLTDSSNAARHVVEASFGRQGRGKQLAALHAFGSISGVDRQEDQIKLDNQAEERLKRFVYTTARNSPKLTPSALLLSVLQQDPDIRITVSSTCLLKLEPVWNTGSIPDFSWDLTISRGTPGYRVISGLVAREWCLMEICSKLDIINLVTDPKMEMTKLGMEARHDCCLAISKALSSSHLLHEPSLSELIGKLNEAVKRGPYLSERKRVEPQPVVVPAESLKRGLLEWAARILTRDLWL >ORUFI05G27160.3 pep chromosome:OR_W1943:5:24439902:24446335:1 gene:ORUFI05G27160 transcript:ORUFI05G27160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMEPGEMEAMLRAAAEFASYPGNGPSSSSSISSGSGRIIFWPALAGGGGGEIRGWGAHGDDTVRQFLEQYPLPKLLGVRLMYLGWMKLLPHAWTKYFLRDMEHHFCQAMAFIQAGLLANSKNTRQLACKAVIHLLDKAGDSAVVVDTFVQHNLYPLLMNCLTEGDEEISAISLDGIKRLAQIPKGIEIIFPPNGQGSVQLHKVAAQSSSMARIRILSLIAKLFAVSTYTATAIYDSNLLSLFEDEIKDRRDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLMVDVINDSSADSIVRSRATLISGRLLSSADAFTTIDRNCVANLLLAIDKLLKMEESQNTDETESALEALGLIGTTSAGACLLLTDSSNAARHVVEASFGRQGRGKQLAALHAFGSISGVDRQEDQIKLDNQAEERLKRFVYTTARNSPKLTPSALLLSVLQQDPDIRITVSSTCLLKLEPVWNTGSIPDFSWDLTISRGTPGYRVISGLVAREWCLMEICSKLDIINLVTDPKMEMTKLGMEARHDCCLAISKALSSSHLLHEPSLSELIGKLNEAVKRGPYLSERKRVEPQPVVVPAESLKRGLLEWAARILTRDLWL >ORUFI05G27160.4 pep chromosome:OR_W1943:5:24439902:24446335:1 gene:ORUFI05G27160 transcript:ORUFI05G27160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMEPGEMEAMLRAAAEFASYPGNGPSSSSSISSGSGRIIFWPALAGGGGGEIRGWGAHGDDTVRQFLEQYPLPKLLGVRLMYLGWMKLLPHAWTKYFLRDMEHHFCQAMAFIQAGLLANSKNTRQLACKAVIHLLDKAGDSAVVVDTFVQHNLYPLLMNCLTEGDEEISAISLDGIKRLAQIPKGIEIIFPPNGQGSVQLHKVAAQSSSMARIRILSLIAKLFAVSTYTATAIYDSNLLSLFEDEIKDRRDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLMVDVINDSSADSIVRSRATLISGRLLSSADAFTTIDRNCVANLLLAIDKLLKMEESQNTDETESALEALGLIGTTSAGACLLLTDSSNAARHVVEASFGRQGRGKQLAALHAFGSISGVDRQEDQIKLDNQAEERLKRFVYTTARNSPKLTPSALLLSVLQQDPDIRITGYRVISGLVAREWCLMEICSKLDIINLVTDPKMEMTKLGMEARHDCCLAISKALSSSHLLHEPSLSELIGKLNEAVKRGPYLSERKRVEPQPVVVPAESLKRGLLEWAARILTRDLWL >ORUFI05G27170.1 pep chromosome:OR_W1943:5:24448717:24454745:-1 gene:ORUFI05G27170 transcript:ORUFI05G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRVLGFMGDGGGGGMMTAQAAAEAAVGAIGCGYDLTSDLRLSRVKAGGRLVDIDGASGAARRELVLPGGAVVGGVPVGIVADKGERTRFRSDVLSFAQMAEQVNQTMSVAGKIPSGAFNAMFDYHGCWHKDAAATGSLCFDGRFIELYAVEAPRAHLALLDRVKRDVPPFWDPAALAEFIDKYGTHVIAGVKMGGKDVVCIKQLKGSNLTQSDVQSRLKKLSDDKLAQDSPEREFIIGSWICCLAVVQTISRDILSIHIRRGGVDNGQGHSKWLSTISGSPDVISMAFVPITSLLTGVRGCGFLNHAVNLYLRYKPPIEELHQFLEFQVPRQWAPEFGELPLALGPRKKKNSLPSLQFTLMGPKLHVTTAKADSGNRPVTGIRLFLEGKKNNRLGVHLQHLSATPGTITIAGEVASAEDATVRERDYIEPIKSPLLSHVCTAPVQYNGARIDDCAAIVTRAWLEVQETCLKKVLFLRLGFSGVASTKIRRSEWDGPFVVSRKSGSLSALFSARLSAAGAGGSAQMMQQQQPVGEKVEVNSAIFPKGPPVPLPVQRMARYVDTTEVMRGPADLPGYWVVTGAKLCIEGGKVALKVKYSLLIAEDVTGSMEGEREDTGMVEGRRGDEIAYNPRG >ORUFI05G27170.2 pep chromosome:OR_W1943:5:24448717:24454745:-1 gene:ORUFI05G27170 transcript:ORUFI05G27170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRVLGFMGDGGGGGMMTAQAAAEAAVGAIGCGYDLTSDLRLSRVKAGGRLVDIDGASGAARRELVLPGGAVVGGVPVGIVADKGERTRFRSDVLSFAQMAEQVNQTMSVAGKIPSGAFNAMFDYHGCWHKDAAATGSLCFDGRFIELYAVEAPRAHLALLDRVKRDVPPFWDPAALAESVRLPPSRAARPAFVAFAATPVATDSSVLTWSLPNFLFRFIDKYGTHVIAGVKMGGKDVVCIKQLKGSNLTQSDVQSRLKKLSDDKLAQDSPEREFIIGSWICCLAVVQTISRDILSIHIRRGGVDNGQGHSKWLSTISGSPDVISMAFVPITSLLTGVRGCGFLNHAVNLYLRYKPPIEELHQFLEFQVPRQWAPEFGELPLALGPRKKKNSLPSLQFTLMGPKLHVTTAKADSGNRPVTGIRLFLEGKKNNRLGVHLQHLSATPGTITIAGEVASAEDATVRERDYIEPIKSPLLSHVCTAPVQYNGARIDDCAAIVTRAWLEVQETCLKKVLFLRLGFSGVASTKIRRSEWDGPFVVSRKSGSLSALFSARLSAAGAGGSAQMMQQQQPVGEKVEVNSAIFPKGPPVPLPVQRMARYVDTTEVMRGPADLPGYWVVTGAKLCIEGGKVALKVKYSLLIAEDVTGSMEGEREDTGMVEGRRGDEIAYNPRG >ORUFI05G27180.1 pep chromosome:OR_W1943:5:24457030:24459738:-1 gene:ORUFI05G27180 transcript:ORUFI05G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRDPNPNHLLLVAVLLLLVPATVAAAATYSDHCHGLPSAPDLAGGGGGGEGGADPTSLRLSLQLNTGYFSGGGARLFGPDLSIPPRSFSFLPSSVVRTTDASLLHVSATLTVSGGRRRRPPNDGRHLLVEYDGQAHRFRPRLPRFAGRRGSVTFGLEGYYSSASGELCMVGTGSGRAADGTAVNLLSAVLRVRYPGRANLTRPFVTGSLESTDSPSFFEPVSLVTYAEEGYAYAESASCPPPPTGRLDALQVFEGSKFSCAHLSSLFKATFRLDYTNGSSESTASSLGLHQRFMFINRMRCADDGAVRAYVVFANQTDVSAYYFMLGEKAMVVEGFWDEKRSRLCLKGCHVVNSGPSRADLAVGECGIGMSFWFPAVWSLQERSFAAGLVWNTSLKSGEGIAASSNTIAPYFRGSLSGLKYNYTKVDEAKKYYEKYGLNKKRKGKFPDSNSYRDLTFRFFLQKGGGSGYASPVTIGSMLYDGNSLVDSDHSYHIMTETNHRLLNVSYDIHYVGNWSLETFRRQHISAEGVYDAKTGSLCMIACRVVNISLDCEILVTAQFSPLDTKVAQHVKGTIRSLRKKTDPLFFEPLDIASYGLYIDKVDESMWRMDLESTMALISMTLSCLFIAVQLFHVKKVPEALPAMSITMLVVLSLGYMIPLVLNFEALFKNSNKQTFPLSGGGWLEVNEVIVRIITMVTFLMQLRLLQLACSARSMDVSKDQSWAAEKKVLWICLPLYIIGAVAAWVVHMQFNNNRRMLRKVARLPRVNRHAFWEDLVSYGGLILDGFLLPQVILNACLGSKVKALSPGFYIGSTMIRALPHVYDVFRAKHFVPSLRPFYRYANPRDDLFSLAWDIAIPCGAILLSVLLFLQQRFGGAFFICSKNRKASEYEMVSTVIS >ORUFI05G27190.1 pep chromosome:OR_W1943:5:24461544:24465493:-1 gene:ORUFI05G27190 transcript:ORUFI05G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAPKGYLCAGSSSFDDPDVVEVTPAAAAAGGWSSGHQKRKRSQVVPHEVIELDADDDPDGVVIIGEKSSVDKNKQAVGYPIDWLKHAKSSLAGEIAGPSSYPSKNPDILLGGLKIFQENPFYNNIDDYAYEAFEEDYGYDEDEYDDYDYDSTLYESEYNFTLASKFDGLDIPPGVEAPLPWLQTTAAEMANKTKPVNMMDDKIDEKYSAFKQFDTVDDHSDHYYSKPDLRKVQVVKKPSKEWAKRIQHEWKVLEKDLPDTIFVRAYEDRMDLLRAVITGPAGTPYHDGLFFFDIYFPPHYPSVPPLVNYRSGGLRLNPNLYACGKVCLSLLNTWSGSGCERWSPSNSTMLQVLVSVQALVLNAKPYFNEPGYAMHANTPHGEKSSLTYNEDTFLLSCRTMLYSLRNPPKHFEDFIAGHFRKYGQSILIACRAYLDGAQVGCLVGNGVQDVDEGDKSCSARFKSALKRLFEELLMEFTVKGAECDKFLAEKAKAAASRAPADTTLRL >ORUFI05G27200.1 pep chromosome:OR_W1943:5:24467769:24474678:-1 gene:ORUFI05G27200 transcript:ORUFI05G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDWACHRLSILDRKLSDSDCSVWKIVLLFINSIHQCAYPKKMDLYAIDSDTESYAETSDSEEDQEECELTYCGHAQNILSSLDESIGKIDNFLSFERGFLHGDIVCSAADPSGQLGRVVGVDMLVDLETSSGDIIKHVNSKKLSRVRSFVSGDCVVMGPWIGRVIRAFDLVTVVFSDGARCEMLLRDSEVLKPIPPILFEDAPYFYYPGQRVRIVHPSISKSGTWLCGSWKASRDEGVVSHVDVGLVHVNWITSVTNVWGGQSSSPPNFQDPKKLTLLSCFPYANWQLGDWCTLSDCEGSLWENSDKSCFMSMTWKSSSDTQTAIGTYGSDYSQTYVVAKTKSSVDVLWQDGSTSLGLEPQSLVPVSTLGDHDFWPGQFILEKLTVEDNGRCQRTGIVTSVDALERTVKVKWAVSVDSDTVSYGDGLTEETVSAYELVLHPDFSFFTGEVIIRSAVNIENSEANLTNGTVAVSRESLDTSSAFLSCIGNVLGYNDEGLEVQWASGAISRVQHFEIIALDRILDDSLESMIEEHTTDDLVDMAEQEKMHLEDTKSALEESAGDCTGSLRKATAFLFSKTAFNFLTNVASSLFGAHDSTSSSSVNADSQYQIVTTAELQPSAEDISEEKQTMELITQFEKPTLASENAMTKGFDVVTDCSDHHFVKEIGHENVKRGWVKKIQQEWSILQNDLPDGIHVRVYEERMDLLRACLVGAAGTPYHDNLFFFDIFFPPDYPHEPPSVHYHSGGLRLNPNLYESGKVCLSLLKTWAGTGSEVWDPENSTVLQLLLSLQALVLNEKPYFNEAGYDKFMGKADGEKNSITYNENAFLLSCKSMTYILHKPPKHFENFVKEHFTCCAPHILDACKAYLGGDLVGHARDSAYISDDGCKNSSTGFKIMLAKLLPKLVTTFSEAGIPCSP >ORUFI05G27200.2 pep chromosome:OR_W1943:5:24467769:24474678:-1 gene:ORUFI05G27200 transcript:ORUFI05G27200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDWACHRLSERLNTRKSRTIQGLGKMDLYAIDSDTESYAETSDSEEDQEECELTYCGHAQNILSSLDESIGKIDNFLSFERGFLHGDIVCSAADPSGQLGRVVGVDMLVDLETSSGDIIKHVNSKKLSRVRSFVSGDCVVMGPWIGRVIRAFDLVTVVFSDGARCEMLLRDSEVLKPIPPILFEDAPYFYYPGQRVRIVHPSISKSGTWLCGSWKASRDEGVVSHVDVGLVHVNWITSVTNVWGGQSSSPPNFQDPKKLTLLSCFPYANWQLGDWCTLSDCEGSLWENSDKSCFMSMTWKSSSDTQTAIGTYGSDYSQTYVVAKTKSSVDVLWQDGSTSLGLEPQSLVPVSTLGDHDFWPGQFILEKLTVEDNGRCQRTGIVTSVDALERTVKVKWAVSVDSDTVSYGDGLTEETVSAYELVLHPDFSFFTGEVIIRSAVNIENSEANLTNGTVAVSRESLDTSSAFLSCIGNVLGYNDEGLEVQWASGAISRVQHFEIIALDRILDDSLESMIEEHTTDDLVDMAEQEKMHLEDTKSALEESAGDCTGSLRKATAFLFSKTAFNFLTNVASSLFGAHDSTSSSSVNADSQYQIVTTAELQPSAEDISEEKQTMELITQFEKPTLASENAMTKGFDVVTDCSDHHFVKEIGHENVKRGWVKKIQQEWSILQNDLPDGIHVRVYEERMDLLRACLVGAAGTPYHDNLFFFDIFFPPDYPHEPPSVHYHSGGLRLNPNLYESGKVCLSLLKTWAGTGSEVWDPENSTVLQLLLSLQALVLNEKPYFNEAGYDKFMGKADGEKNSITYNENAFLLSCKSMTYILHKPPKHFENFVKEHFTCCAPHILDACKAYLGGDLVGHARDSAYISDDGCKNSSTGFKIMLAKLLPKLVTTFSEAGIPCSP >ORUFI05G27210.1 pep chromosome:OR_W1943:5:24475050:24502239:1 gene:ORUFI05G27210 transcript:ORUFI05G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVTDNKQQHAASRFDWTLKPDVGSYWGATIWALNQCQSGNLALEFRPVQRKRRSRINSTFAMAQEQHLFTRTPVQLFNKIPVMLSRNDIYKPQGMINTEKKPDGKTRYSPLIQPMCFSAAAAPLFDQNP >ORUFI05G27220.1 pep chromosome:OR_W1943:5:24476626:24477271:-1 gene:ORUFI05G27220 transcript:ORUFI05G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPFVTKSVAVIKPKLSDRKLDQKYTESWGSEELTNQKADADDEIVLHFGRRIQQPCGWIFCMRSRDPVKGDGCTFMGMECSQHAISE >ORUFI05G27230.1 pep chromosome:OR_W1943:5:24483807:24486339:-1 gene:ORUFI05G27230 transcript:ORUFI05G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRCLLRLLSRRLLAHTPQPASLASIATRTLASLAKPLVPQASRVLASPRLFPSRCHYASNRSSGDEEEGDDDDHYDEEGSGDEWGEEEEEAVAAKPPSGKTEEEKVAEAAEIGYTVVGPLGADEKPFKPYEPVFAVVQIGSHQFKVSNGDSIFTERLKFCDVNDKLILNRVLMLGSQSQTVIGRPTLPDATVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITNIEGIDKSEATAVAA >ORUFI05G27240.1 pep chromosome:OR_W1943:5:24486983:24490764:-1 gene:ORUFI05G27240 transcript:ORUFI05G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGSPARADHPHAAPMRLTRASSRRHVAQASQFAADCREGFFLTSFPTSIHPRTPPPTLGETKGGTLIELLLGILGSLPATERYIRGEAPLLSVSNSTEDQTPNGLSEAIVVRKGLSSICQRLGQQLAFHLRELCSAVASEIHAKLARFLHRFWTTLQGSSKDIGWLKRTKTLPCSVDGTDRFKELLYGIRNGMHHLPNTLVYLFIPGLFSNHSPLYFVNTKRIFSKMGLTCHIARIHSEASVEKNARELKLYIEELYWGSGKQVLLLGHSKGGVDAAAALSLYWSELKGKVAGLALVQSPYGGTPIASDILRKGQIADKETRRIMELIICKLIKGDIRALEDLTYDKRRDFISKHKLPVDELPIISFHTEASTAPTMLVTLSRVAQAELLPWLPLPRFLSSSEYAESLLASLKLPVVVPASAAMAVSALHLRLRYGERSDGLVTRRDAEVPGSVVVRPERRLDHAWMVHSTLRKDHAEADATQMCEALMAMLVEIGRKKCC >ORUFI05G27250.1 pep chromosome:OR_W1943:5:24492603:24493850:-1 gene:ORUFI05G27250 transcript:ORUFI05G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQQQKHEVDRATVAGAVAALFKWMRARAAEAPPNLLADERDDLLLLQLSLRRIPPSPTTKPRLLPLPHPVIVPGESASICVISDDRPKSRSPAASDLLDASRSHHLPVSEVIPLSALRTDYRPYESRRRLAASHDLFIADRAVLPLLPRVLGKAFYSTKKAPVAVDFARTGWLEQVRKVMNSTFLYLRTGTCSGIKVGRLDMKEEDTVENVMAAVEAAVENVPKKWANVRSLHLKAVDSVALPIYQAVPELGMKIEVRFAQLEGEVGSGEVIDASEAGAALKGVSKKKAKKALKHVEEENAGEEAAQESGKRKRGKKQLAEDLMEQDIQDVSEKRKKVKEGTPAAETPKISKKGKEKSKRALDKEGEDASVEEASNKKKKGKKEVVSDVGEKNSKVKKSNGDKEKRRTRLRV >ORUFI05G27260.1 pep chromosome:OR_W1943:5:24499502:24499717:-1 gene:ORUFI05G27260 transcript:ORUFI05G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRGRARHDGRRGLGHRDDEPEVGPGSVAIATMTAVREFGGGGLKRGGGISGRGGRSRAMRNVERTVGV >ORUFI05G27270.1 pep chromosome:OR_W1943:5:24500468:24502799:-1 gene:ORUFI05G27270 transcript:ORUFI05G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMHGLASDLVVDATMVNAEGEAPRQGRHGGGPLLGHPRRRRRELLRVVVQNHNSQFESLYLVGTRLGLVAAMADTFPELGVTASDCIEMMWIQSMLYFAFYGTGKPLEMLLDRGTSKPGKYLKAKSDSNMPSQVWETTWSWLLKDGAGLLILDPYGGEMVRVAPAVTPFPHRGAAAAEKHMGWMRGLYGEMEPYLKGSGDHQIM >ORUFI05G27280.1 pep chromosome:OR_W1943:5:24502638:24504643:1 gene:ORUFI05G27280 transcript:ORUFI05G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHYDAEKFPPPPPRMAQKRSSPMAALSRSLPLGVHHGGIDDEVGGEAVHPQHQAEAAAAEEPVDTDRYKLKPLNCQ >ORUFI05G27290.1 pep chromosome:OR_W1943:5:24504662:24509460:1 gene:ORUFI05G27290 transcript:ORUFI05G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGAATRGALLAAAPLSGARRSWLPLSSPPSPPSIQIQNRLYSISSLPLKARGVRRCEASLASDYTKASEVADLDWENLGFGIVQTDYMYITKCGQDGNFSEGEMIPFGPIALNPSSGVLNYGQGLFEGLKAYRTTDDSILLFRPEENALRMRTGAERMCMPAPSVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLAPINLIVEDKFHRATPGGTGSVKTIGNYASVLMAQKIAKEKGYSDVLYLDAVHKKYLEEVSSCNIFVVKGNVISTPAVKGTILPGITRKSIIDVALSKGFQFFGKSSINVFGFLKVEERLVSVDELLEADEVFCTGTAVVVSPVGSITYQGKRVEYAGNKGVGVVSQQLYTSLTSLQMGQAEDWLGWTVQLS >ORUFI05G27300.1 pep chromosome:OR_W1943:5:24510789:24512090:-1 gene:ORUFI05G27300 transcript:ORUFI05G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWTQYSSRTGSSPRKKFGKAYHLELKNLGLQEALQGRTFEKELLLISSVPIFFGFGNYKKLIRKDKQHILHGLNEIIPRWACNRIDGAGAISSHSQDVSVPLALMATYKSGVFPSSYEILFPEQQEEACKMVEIALHAYAKQKDMP >ORUFI05G27310.1 pep chromosome:OR_W1943:5:24513901:24518067:1 gene:ORUFI05G27310 transcript:ORUFI05G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDENGLELSLGLSLGGTSGKSKARDAPLEPKAEPQVEESSSKGVSQTPEAPFVHYYQTNAENQEHSSKQRHSPAAPPFGNFWGQPGSSSVPVADGSNEQKPVSSKRKLLSEEISFQKKPNTAAEQPDAFSKSSDGGVKNAPISISTDDGSTGENEDVAESEAEGSNSWLVAQREDSAKGSVVNRGSDRKRSSDDAAVGFQGKRQPSFSGSESSSGKLPQGNPLSLQASNVVAVPYQVPSQVSAPPSITNASNFTPVCTVQLRPPTNNELAVTMGSTSQVAFGYPAVQLPTLETSSSWAFGAPPQAMSSFTAKDKVERAGISQADDGKKTQEAGASSSALVEDDKKSDRALPLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGTGPNGRTISGVTYKFGRNEVKIVCACHGTHMTPEEFMRHASADAPGQENSATLPAFPVGNQAASAKN >ORUFI05G27310.2 pep chromosome:OR_W1943:5:24514796:24518067:1 gene:ORUFI05G27310 transcript:ORUFI05G27310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDENGLELSLGLSLGGTSGKSKARDAPLEPKAEPQVEESSSKGVSQTPEAPFVHYYQTNAENQEHSSKQRHSPAAPPFGNFWGQPGSSSVPVADGSNEQKPVSSKRKLLSEEISFQKKPNTAAEQPDAFSKSSDGGVKNAPISISTDDGSTGENEDVAESEAEGSNSWLVAQREDSAKGSVVNRGSDRKRSSDDAAVGFQGKRQPSFSGSESSSGKLPQGNPLSLQASNVVAVPYQVPSQVSAPPSITNASNFTPVCTVQLRPPTNNELAVTMGSTSQVAFGYPAVQLPTLETSSSWAFGAPPQAMSSFTAKDKVERAGISQADDGKKTQEAGASSSALVEDDKKSDRALPLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGTGPNGRTISGVTYKFGRNEVKIVCACHGTHMTPEEFMRHASADAPGQENSATLPAFPVGNQAASAKN >ORUFI05G27320.1 pep chromosome:OR_W1943:5:24520079:24526628:1 gene:ORUFI05G27320 transcript:ORUFI05G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVGRDRFFVALGRTYAQEEFEVLCFEFGIELDDVTTEKAIIRKEKHLEDDDGEVDGDDDEVIYKIEVAANRYDLLCLEGLARALRIFTGTEATPMYKISCIPRDSMLQMHVKPQTSQIRPYVVCAVLRGVTFDEARYNSFIDLQDKLHQNICRKRTLVAIGTHDLDTLKGPFSYEALPPQEINFVPLKQEESFRADKLMEFYKSDMKLKKFLHIIENSPVYPVIYDSNRTVLSLPPIINGAHSAITLKTRNVFIECTATDLTKAKIVLNTMVTMFSEYCENKFEVEPVEVVSHDGSKTIYPDLSCYKMEVSLSEVVGPIGISLDETKVISLLNKMQLQAESCPSKGEPRISVSVPPTRSDILHARDLVEDVAIAYGYNNVPKSKPKCMTIGGRQPLNRFSDKLRAEVARAGYMEVLTFTLCSREENFDMLNRTQDVNKAVIIANPRTSEFEVVRTSLMSCLLKTLKHNIDHPRPIKIFEVGDVVTLDGSRDVGASNNRRLAALYCNKQSGFEEIMGLVDRIVNVVRAPHIKFGQNYYVPTNEPEFFPKRQCKIVMSDGKQIGYLGIVHAEVLRKFGIPDPCTFVEIDVEALL >ORUFI05G27330.1 pep chromosome:OR_W1943:5:24526809:24528677:-1 gene:ORUFI05G27330 transcript:ORUFI05G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLPRAAVLAVALLLLLADSGEAFFDLFSIFRPRSDSDYFPFDGSPGQAKRKPKIEQEEDGAAPATATGLTKVPPLGAPSKAALDTIVLPVDDSAGHAGSWTIVSENSGVSAMHLAVMRHGKAIMFDTSTTGRSLMRLPMNNCRADPRAKREGTMDCWAHAVEFDYSTGALRSLKTATDTWCSSGAFDADGNLIQTGGYFEGDKAVRRLDACDTCDWREYPNSFAEGRWYATQQVLPDGRFIVFGGRRAFSYEFVPQPGMTNGQSIKFPLLRETTDDVENNLYPFVNLLPDGNLFVFANDRSVVFDHRTGKVVRELPKLAGGGRNHPASAMSAMLPLDLRNLTRGADPEPEVIVCGGALKTAFRLGENNTYQPTLRDCARINLGKIDAVWAVEAMPVGRVMGDLLVLPTGDLLMLNGAAKGSSGWGFARQPILSPILYSPRHPEGSRFRPLAASTVARMYHSTSAVLPDATVLVAGGNTNAAYNFSGVDFPTEVRVERFAPPYLSRELTGNRAVIDVASVPAGGMRYGTKFTFRFHTPVAAVEWGDVRVTMYAPPFTTHGYSMNQRLLVLPVAGFSAQGQMYELTVDTPRKPELAPPGYYLVYVVSKDVPSEAAWVKIQ >ORUFI05G27340.1 pep chromosome:OR_W1943:5:24528829:24538595:1 gene:ORUFI05G27340 transcript:ORUFI05G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGIARRVSRGAGTTSKLQSSNIAYAARVVVAPARNEAAAAAGRRSTLGTGPLCKNTIDVPRPRVFFLDQLPAGRTVDAVQPASGGGGVFYLIVVAAVNRSPDERDARDTPGYGPEAPGNLKIADDVGLFPVGCWFVLVQRNVSTRTQ >ORUFI05G27350.1 pep chromosome:OR_W1943:5:24532685:24537073:-1 gene:ORUFI05G27350 transcript:ORUFI05G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAGGAGGNRDDGSSDPWKRKGDKKKKKDDKPKERKRGFGVAKLEIIRIQSELAEQKRKNELAEQEKRQNAQQGPPQIPDGTTDGLMHYGSEGMGAMNFGQSQSTPLRPPGTFGASSYSNTNIISGPPGAFGAAYYPYSNNIMLPANEVTMAQPLSQVPNSQELIDLMREGGHSTSAGESTSKNSDEDPEGLDLELRL >ORUFI05G27360.1 pep chromosome:OR_W1943:5:24541421:24542938:-1 gene:ORUFI05G27360 transcript:ORUFI05G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPGRGRSFGSSRKGKRSAGNSGSDKPKQPQRGLGVAQLEKIRIQSEMAAGYLQNPPLGQPPPIHGIGSLNLQEDARSSNSLSSSPSSSFPANINSYPIHPNLAMAYGGSRSGDIRYGEFQSTSPIIRSPPNHEAIYGAAAHYSHPSSDHTLPLFEPEESIYLRRHYGLNQPVDHSMNSDDPEEVDLELKL >ORUFI05G27370.1 pep chromosome:OR_W1943:5:24548090:24549161:-1 gene:ORUFI05G27370 transcript:ORUFI05G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAQPGGAAAGGGGAARLKPSPRALFSCGIFSTCTHPALSPTATPNNNVVAGMGGAKGGSGTPCGGDAAAASPVVEAAAPAVEMSSHHQHPQQQQQQGAAVQRSVGPSSSSSSSSGGCRCITRRKRGGGGGGGGGGGAVMMSAEEKFAAGEVVAALRTVEREMEAAARAVPVAVVAGVVAAVREPETARLAAKVLLVVLLEEGNREAAVEAGAASAAVEAVAASGPAGATAERALAALELLCTAAGGAAAVRREPLAAPVLARAVEGMAGRGRECAIGVLAAIYGRNGDEAASSPPSPPPPEVVKAVVAAMQGECSARGRRKGAQLLRALQEGGRLGLAWDGVGEP >ORUFI05G27380.1 pep chromosome:OR_W1943:5:24554634:24558966:-1 gene:ORUFI05G27380 transcript:ORUFI05G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLEARDYIGLAASPASSSSSCCASTPVAEVVGAHLALRLGLPGSESPARAEAEAVVVDAALTLGPAPPPRGGAKRGFVDSLDRSEGRRAAATAGDDERGVREEEEEEKGLGEAAAGAPRAAKAQVVGWPPVRSYRKNTLAASATKTKGEDQGKSEVGCCYVKVSMDGAPYLRKVDLKTYSSYEDLSLALEKMFSCFITGRSSSHKTSKRDRLTDGSRADALKDQEYVLTYEDKDADWMLVGDLPWDLFTTSCRKLRIMRGSDAAGMASDNLSNGNSLRDHWNRQPEAQNSDDYPNLGKIAFLQCSWVDLPYASLPETRSSESLMTIPILLAGISAYLCNIPC >ORUFI05G27390.1 pep chromosome:OR_W1943:5:24568883:24573302:1 gene:ORUFI05G27390 transcript:ORUFI05G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRRNTGGIQRDGSLRDWSEFVDPSPSPKLLYSQSYVAMRGLLSSLVSMDFALLSSRLKSAWAAILSQRHTRSPERSKSRGLSCKRLAFHLFVCFMVGIFIGFMPFFSVDVSQKIVSENGRLPFDEGAVDRGMVDGKVKELETIVVEKEVDIIDESEVEESPPVPAMLDDEADFVESAPAIPDINDLDITVRKLLIIVTITTVRPQQAYYLNRLAHVLKTVQSPLLWLVVEWPDQSFQTAEILRSSGVMYRHLICRKNTTSVRKIAVCQRNTAIYHIKKHRLDGIMHFADEERSYMSDVFEEMRKIRRFGAWPVAIHTGIKYRVVLEGPICKGNRVTGWNTIQNIQKKSAVRRFPVGFSGFAFNSTMLWDPERWNRPPMDSVIVHSGGRGGLQESRFIEKLVKHERQIEGLPEDCNRVMVAMRLLSDAFLNKPHTGLHEVAVV >ORUFI05G27400.1 pep chromosome:OR_W1943:5:24576023:24576430:1 gene:ORUFI05G27400 transcript:ORUFI05G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAVQQLDLLLVALLYGCLFSGALLAATALVLLAFAAGALLATVALAASDARRLVAGHAARRAADVAAANLRLARALAVYAVVRAAVRAALVVRPKVAALASRVAWARDDGGWARGRGAMNLLRRGAERFTVVA >ORUFI05G27410.1 pep chromosome:OR_W1943:5:24576978:24585571:-1 gene:ORUFI05G27410 transcript:ORUFI05G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWSGKVSLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKRDDEEGSGSHASNSDRIGFFNPVMAFMGNNGEEDSAEASEKQQPPKSTTAEQSQSASTEAPTSKVDASEESESTQSPKPSEQGETLISSTEPPVSKAEVSEQLATPKTPKSLSATEEKPSHSTESPTYKGDSEAPQSPTDPSTAEENSGSTETGNTIETGNQDHQETKYSGPNDEAPQSQIGESDRGIPDGTKPSSPTELDQSGNTGTTEYLHAGTENIDDKNAIQSQPLDSILASSDDVNEAVKIVKGADDRNEISSSHENTDTVDQASHVEVIEHDEHTNAAENDEEANRTEAQVATVVEREENTMVQLEDLSSMSIIVSNDSNSQNESVPTSADVPVGLVEVGSNSNDLRKEENIQGSVTTSNHLESVGAVAELEKLRREMKMMEAALQGAARQSQSKADEIARLMNENEQLKSTIDDLKSKSAEAEMDALKDEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIISQVRELEEEKQRLNSKIQVEETKVESMKRDKAATEKLLQETIERNQTELAAQKEFYTNALNAAKEAEALAEARVNTEAKVELESRLREACEKENILIKTIEELRHALTRQEQEAAFREERLKRDYDDLQRRYQSSELRYNELVTQVPESTRPLLRQIEAMQETAARRAEAWAGVERTLNSRLQEAEAKAAAAEEKERSVNERLSQSSSRITVLETQITILRTEQTQLSRSLEKERQRASESRQEYLAIKEEAAMQEGRAKQLEEEIKELRTRHKKELQDAAEHRELLEKDLEREKAARAELEKTSSRDAPKIPLPDQTRNAPLRKLSSSGSINSLEESHFLQASLDLSDNASLERRMSAESNMSYYLRSMTPSAFESALRQKDGELASYTSRLASLESIRNSLAEELVKMTEQCEKLRTEASALPGLRAELEALKQRHFQALELMGERDEELEELRNDIVDLKEMYREQVDLLVSQHRANLLSPIQQI >ORUFI05G27420.1 pep chromosome:OR_W1943:5:24587499:24591080:1 gene:ORUFI05G27420 transcript:ORUFI05G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVAVQPVAVKGLAGSSISGRKLAVRPSPRALCRTTRRPRAAVVAKYGEKSVYFDLEDIGNTTGQWDLYGSDAPSPYNPLQSKFFETFAGPFTKRGLLLKFLLLGGGSLVAYVSASASPDLLPIKKGPQLPPTPGPRGKI >ORUFI05G27430.1 pep chromosome:OR_W1943:5:24589250:24591800:-1 gene:ORUFI05G27430 transcript:ORUFI05G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLKIGHGLDRFRRRRSTSSSSSSPLALSLSSSSAAALSDDDPGSPMDPEMPPAARRALSRSSGSRGRLSFELPPLAGGPSDKEEAPPRTSSSSSAPAPARPAPAALHEGPPSDAEMVREKFSKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEQRRLQPMAADQKARWRREIDWLLSVSDHIVEFVPSKQVSEDGSTMEIMITQQRRDLQMNIPALRKLDAMLLEYLDNFKDKQEFWYVSKDASESEKGNTPRQDDRWWLPTVRVPPGGLSDASRKWVQHQKDLVNQVLKAAMAINANVLMEMDVPEAYIESLPKNGKSTLGDSMYKIITEDHFNPEELLGTVDMSAEYNIIDLKNRIEASVVIWQRKMVHKEGKLSWGHGVKFEKREKFEARAENVLLLIKHRFPGIAQSALDISKIQYNRVYHENHLLFMLSFRICYSTYVYSLQKFSAIFPPQDIGLAILESYSRTLESLAFTVMSRIEDVLHADSLAQASNTRTQESMRMASLSRYDTDKVVIDAKAEVERLGRMEPVSATLFDFVSPRDQDVVATKMDSKEKGCRGDAHSRKLTKVSPIATKRYSYLEKLENLSGTRSPISRH >ORUFI05G27440.1 pep chromosome:OR_W1943:5:24592725:24593396:-1 gene:ORUFI05G27440 transcript:ORUFI05G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAATRRFHLWLRGLRGLRRELRAARWADDPAQLGSLVGRFVAHVECYTAARAEMDPVWTLSAPWASPVERGAAYWLAGWRPTTLVHLLYTESGRRFEAQLPDLLLGVSSGNLGDLSPSQLAQIDDLQRRTVAEEDGLSREMALVQEGHGAVAAGGEVDVDGIVGRVRGVLGRADALRLRTVKRAVEILEPAQAAELLVAAADMEIGFREFGLKYSSARSD >ORUFI05G27450.1 pep chromosome:OR_W1943:5:24593553:24596706:1 gene:ORUFI05G27450 transcript:ORUFI05G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPLSRRDRPRAATTSRRRGEGGWLLGANDMWPNRQWGARLACPKSCTRAVSNMGRELHKAAVITRFAREPSFSE >ORUFI05G27460.1 pep chromosome:OR_W1943:5:24595758:24597839:-1 gene:ORUFI05G27460 transcript:ORUFI05G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSFVLVVLILQAVVIGCSSLDELPVQLSNRRLLHDRNHAALLYKDHFPTVGNMTFPTSHQLQNDPNYKPLDSSSHPAEASANKGSSKGFKKWLYIVVIPVAGLIMLAGMAWMFLPCRKKSVATIGPWRTGLSGQLQKAFVSGVPQLQRPELERACEDFSNIVASHPYYTVYKGTLSSGVEIAVVSTTIKSSKDWSKHCEDCFRKKIESLSRINHKNFINLLGFCEEEEPFTRVMVFEYAPNGTLYENLHDEAFDHIDWRSRMRIIMGIAYCIQHMHELNPANVHPDLHSSAVFLSEDCAAKIADLSVWQEVVSDGKKSTANNDHHEPISARLAGNVYSFGILLLEIISGKPPYSENEGSLANLALGCIIKGRSIASLLDPVLESHKENELDVICQIIMECIQSDPTKRPGMREITTRLRETIAISPDAATPRLSPLWWAEVEVLSPVEPR >ORUFI05G27470.1 pep chromosome:OR_W1943:5:24601407:24605690:1 gene:ORUFI05G27470 transcript:ORUFI05G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVREPREEAAVGEGEGEEEGRWGGLLPELVEEVVRRVEASGGERWPARKDLVSCACVCRRWREAAAAVVRPLPESGRITFPSSLKQGSFIAGLQKYQFCEVGFLADQIGIDMVYNLSYNLKSGFEVSAFALLPGPKDFPIQCFVKRNKKKSMFYLYLGLLNGTMDKGKFLMAARRFRRGPHTEYVISLDADDLSQGSNAYVGKLRSDFWGTNFKIYDNQPPYDDAKTSSTRSSQRFGSTHRFGSRRICPQISAGNFNVGQISYKYNLLKSRGPRRMFCTMECPSTQETWENSLKTKSLRCTGTTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATADPSHPDSVGDEETVILQFGKVDSNIFTMDYRQPLSAFQAFAICLSSFGTKLACE >ORUFI05G27480.1 pep chromosome:OR_W1943:5:24605192:24605535:-1 gene:ORUFI05G27480 transcript:ORUFI05G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRKKGSSSRLSDPINTTVTTDNGQEMAASHFISQQASQLDEAARKRLQRMNERLKLLEMQMETLEAGVAKASNDSYE >ORUFI05G27490.1 pep chromosome:OR_W1943:5:24608555:24609595:1 gene:ORUFI05G27490 transcript:ORUFI05G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVREMAVAAAAAAAASSGGGGGGLRMPPPNPNLPYREDCWSEGETEALVRAWGSRYVELNRGNLRQKQWQEVADAVNSRRGAAARRRPPRTDVQCKNRVDTLKKKYKAERARVMPSTWSFFPELDRLVGPTLSASASKRPSPSPSPVPPPPYFAMPIHPSAVRKPPSPSPSPSPPPPMALPLPSYRRGSPLPAAALIQQEAAAAAAAAVSDSEDSEGPGDNNNHNAQRSPSQSVSSRSGNSNKRSRQEVDGGFRELARAIEAFAEMYERVESAKQKQALEIERQRIDFLKQLEVKRMENFVDAHVKLARAKRIKKHAGTAPDGIGAAELVSSVAALPFLSTSTYI >ORUFI05G27500.1 pep chromosome:OR_W1943:5:24620195:24621742:-1 gene:ORUFI05G27500 transcript:ORUFI05G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAITAPSSIEHIPLVRCPKGANAGPQAVIPCIDLSAPGAAAAVADACRTLGFFKATNHGVPAGLADALESSAMAFFALPHQEKLDMSGPARPLGYGSKSIGSNGDVGWLEYLLLSAGAASSGGAALPAALRAAVEAYTGAVRGVGCRVMELMAEGLGLGASEEGRCVLRRMVVGCEGSDEMLRVNHYPPCLLPPGRDRDECGVTGFGEHTDPQIISVLRSNCTAGLQILLRGDYSSPARWVPVPPDPDSFFVNVGDSLQVLTNGRFRSVKHRVLAPEGEESRLSVIYFGGPAASQRIAPLEQVMREGEQSLYREFTWGEYKKAAYKTRLGDNRLGPYELQHAAANDEAATKK >ORUFI05G27510.1 pep chromosome:OR_W1943:5:24630327:24636263:1 gene:ORUFI05G27510 transcript:ORUFI05G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGGGNPRGGGGGGPRGCGGGGPRSGGGGGPRGGGGGGPRGSGSSKPRRGDGGLGCGRGDDSDLGLGYGGGGSGSDDGGGGLGFGVSGGGGGLRKRLGCGGDDGDGLRSASLMRSKKRRRGMWYSRRYGHLLEEGKNFVLDTQDGSDDDQFEFIPDSDDEAEDHQFSLDQEFVPETEFQDCGEAEEKGGRIEECSKVEEKGGGIQDCGVTEENVDVIQDCKKVEEKGGEIYGGSSMGHSHDGGTRTAARRGGWHIRGKWFERKSGYLLEEGKNIIFDSQDGPDLDEYEFWPDLDDEGGGLILDWFDGSMKVSTSSNAFYPQGGDLWQGTMANQGGGPSKHIMVEASNISGQSKDVSNPLMPNKEEIHGEEKIPNDKENGGDVFGGVEQFGYSQEEEYEPIDDTKLEMLRLLIPETSAKIWCLGLGGGVMLHMLSQIQFHKTSTILGRRDYH >ORUFI05G27510.2 pep chromosome:OR_W1943:5:24630327:24635954:1 gene:ORUFI05G27510 transcript:ORUFI05G27510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGGGNPRGGGGGGPRGCGGGGPRSGGGGGPRGGGGGGPRGSGSSKPRRGDGGLGCGRGDDSDLGLGYGGGGSGSDDGGGGLGFGVSGGGGGLRKRLGCGGDDGDGLRSASLMRSKKRRRGMWYSRRYGHLLEEGKNFVLDTQDGSDDDQFEFIPDSDDEAEDHQFSLDQEFVPETEFQDCGEAEEKGGRIEECSKVEEKGGGIQDCGVTEENVDVIQDCKKVEEKGGEIYGGSSMGHSHDGGTRTAARRGGWHIRGKWFERKSGYLLEEGKNIIFDSQDGPDLDEYEFWPDLDDEGGGLILDWFDGSMKVSTSSNAFYPQGGDLWQGTMANQGGGPSKHIMVEASNISGQSKDVSNPLMPNKEEIHGEEKIPNDKENGGDVFGGVEQFGYSQEEEYEPIDDTKLEMLRLLIPGYIQNKCKNMVSGVGRWSYAAYAFTNPISQGMEGHPLAAK >ORUFI05G27520.1 pep chromosome:OR_W1943:5:24637977:24638228:1 gene:ORUFI05G27520 transcript:ORUFI05G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKVSVLVAGVTTLMCIILLILSYSAVTAEAGRQWEGREPTVAARGRFRKIMREETTLDDGGAAIGESKRRSPGGPDPQHH >ORUFI05G27530.1 pep chromosome:OR_W1943:5:24644360:24644587:1 gene:ORUFI05G27530 transcript:ORUFI05G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRVVQVWCLIVLAMIVVFAATPAMAARDGRRLHPPAPAARGGGAWNRVSVTAEIVGGGGKWEVPGGPDPQHHH >ORUFI05G27540.1 pep chromosome:OR_W1943:5:24645363:24648184:-1 gene:ORUFI05G27540 transcript:ORUFI05G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICPPSSESSPAPGLGGRALIFHGLALGAAAAAAAAAAYLYRRPGGFRGRAVGIIPARFASSRFEGKPLAPILGKPMIQRTWERVMLASSLDHVGSERCCEALQKLNKHYDIVVNIQGDEPLIEPEIIDGVVMALQRAPDAVFSTAVTALKPEDASDTNRVKCVLDNQGYAIYFSRGLIPFNKSGKVNPQFPYLLHLGIAGFDSKFLKIYPELPPTPLQLEEDLEQLKVLENGYRMKVIKVDHDAHGVDAPEDVEKIEALMRARNIQ >ORUFI05G27550.1 pep chromosome:OR_W1943:5:24652823:24657017:1 gene:ORUFI05G27550 transcript:ORUFI05G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPAAASVSGAFGLSADPARCSFDQTLRREDFQDNRLLRSLVNIHEQETYSREIITEAIESCMKKQADNLVNTLDVISGRLSQLELYCYKLERSIGELRSDVMDYHGEANINFRCLEKHVKEVQNSVQVLQDKQELAETQKELTKLQILHEESAQKSEGTAPSVLMTKEIDGSMPVAKHELALVPLHQVNAAQSPAMQFQSCNGLVLQQLVPVSLSTQQDQQHMNQATLYCMQTQAHVEHRQAQPFQPAPQPVQRHTQNTPQTVVEAQQVTSQAPDFYIQPQQQWAHQTGQQVHQARQPQPQVVQQQHYNNIQQVPAQIVQMQTSSPQAQSAPHVTLLYPPYGSQQPACANSEPRSRSMAMQPSYSTISSSQRNHHEVAPVYVQSNTISVPLAEHSIQSQQPPQLQSFGNGSFKPSKVSLHGVASYTVQGNAQAYNTAYGNPSNNAATVVAVLPQQAQSSAPMVLHHLGPQSLQNHPIDMVEKVARMGYFKDQAESMALRMATAGQNVEFKHLA >ORUFI05G27560.1 pep chromosome:OR_W1943:5:24658372:24658780:-1 gene:ORUFI05G27560 transcript:ORUFI05G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARQGGGRRRRRHGRDGGGSMKRRVGRRWFGEAEERRLDDEEGRRSRQLGDGSGGCGVLRGGRERRQRRRTEEGSAGARSCGAGGRRXALLVAEPTLPLRRRSSRRPLLPLLGGAT >ORUFI05G27570.1 pep chromosome:OR_W1943:5:24659806:24663957:-1 gene:ORUFI05G27570 transcript:ORUFI05G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRTVGIAIATVFPAASSPPNLFCSRPTRPAGGKCIARSDTQDDNTDPSNSDSHGLNDLPAKLPLQDLGRHLAERCAQLLDEAMREIKILTQETQEVMQALASKHSEEFTLVISDVMEAIQAEHAQMRMLPMCRQQQSEKLHDYTTTRKRSKLSRVASAANRSQAPVDLRGDLLGPSNSENEASEHIDQQSYQAVDHANPILGMAPTAHHRPLNSSAQVTAAVHHELDQGFQMQDKCGLEWLVSAAAVGEATMRASATLDQSNDDNLAVVTCQRQPEHCETQMTKEVACPRPACMSPFSMPILHEWPNAKLARRLYRWLELKSRDEDITGVWFKHDKPTPIEISAMKLKMQVTHGGNLCMDLCSAFIRLYQQLDAKMNTNPSGQRWRHFFPPQFALIAPVELAGGTWSCYIWDMEKRQMHILDPVLQQRETYNVSAKHRNSGFYTLYYSREFHDGKLQRSLDSGSITALRKDLLYQLLTMEGNYAELPSHIARYLE >ORUFI05G27570.2 pep chromosome:OR_W1943:5:24659806:24663957:-1 gene:ORUFI05G27570 transcript:ORUFI05G27570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRTVGIAIATVFPAASSPPNLFCSRPTRPAGGKCIARSDTQDDNTDPSNSDSHGLNDLPAKLPLQDLGRHLAERCAQLLDEAMREIKILTQETQEVMQALASKHSEEFTLVISDVMEAIQAEHAQMRMLPMCRQQQSEKLHDYTTTRKRSKLSRVASAANRSQAPVDLRGDLLGPSNSENEASEHIDQQSYQAVDHANPILGMAPTAHHRPLNSSAQVTAAVHHELDQGASATLDQSNDDNLAVVTCQRQPEHCETQMTKEVACPRPACMSPFSMPILHEWPNAKLARRLYRWLELKSRDEDITGVWFKHDKPTPIEISAMKLKMQVTHGGNLCMDLCSAFIRLYQQLDAKMNTNPSGQRWRHFFPPQFALIAPVELAGGTWSCYIWDMEKRQMHILDPVLQQRETYNVSAKHRNSGFYTLYYSREFHDGKLQRSLDSGSITALRKDLLYQLLTMEGNYAELPSHIARYLE >ORUFI05G27580.1 pep chromosome:OR_W1943:5:24664858:24665280:1 gene:ORUFI05G27580 transcript:ORUFI05G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASKAVAMTATTGRPNDAGGACVDGGKDDTGAAQLLPATVARMADSMTTAADEASMAVVDGGLDLGDEGSRGGRGRVAPRINVVSRIRRERRPPDDDAGGRGRQRRRWGKAASLEEGFSPFFPILAPPSSSPLHPLPL >ORUFI05G27590.1 pep chromosome:OR_W1943:5:24667408:24671805:-1 gene:ORUFI05G27590 transcript:ORUFI05G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIFSRSSAGSPFHPSSPTPTDEEGSPSSSPPFPRRPTFPSSGKRGPPAPTRCRMPAKGKGKARCDAYEEPIDVSDDDDTDPSNSDSHGNDLPAKLSDLSRHFGERCTQMLDEAMAEIKDSFQATQEGILALLAKHNEKCGLVFSSLTEGMQALAAEHGEKCYSAVMDQAVQANPDRSNARLHGAIEGVDTDDMLAATMRRTASHGGKGDLLGPNKLANAARGQQNPAADRANPLSVSRTARIAHHHLLKSPAQATSAVHTNHRGLDQGDGATLDQNNNGAQDKYQGQSERCETQMTKEAGNHLRPSCMSSFSMRTVHEWWPNSILAGRLFRWLEESCDDDITGVWFKHDKPTSIEISAKEIKMQVIRGGILHADLCSALIRLYQQLDAKMNTNPCGQRWRHFFLPQFAKALMFERNFSSMKAVSDMFDQHISGYKIQNCQLGRVAALRRDLFYKLLTMEGNSAELPSHIARYLE >ORUFI05G27600.1 pep chromosome:OR_W1943:5:24673946:24678042:1 gene:ORUFI05G27600 transcript:ORUFI05G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKREKATYALSMSSSSRSTKTKNAISSTHPTRADYEGDEQLTAAWGSKGCSSSRCAQRETTSWSS >ORUFI05G27610.1 pep chromosome:OR_W1943:5:24675834:24678314:-1 gene:ORUFI05G27610 transcript:ORUFI05G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISRLAAAKRFYAAQLGHADRAGMEEVDMDEEVRPEFACPYCYEDHDVVSLCAHLEEEHPFEPHAAPCPICSDKIAKDMLNHITVQHGYLFKNRRRLRRFVIPGSQALSLLSRDLREAHLQVLLGGGGHRSNNSSNTTNISADPLLSSFGLSFPTSDTEETSKPPISIPDDASVIKETPAQPWDSSIDSSLTREEREQKRKQASVRATFVQDLLLTTLFGD >ORUFI05G27610.2 pep chromosome:OR_W1943:5:24675834:24678314:-1 gene:ORUFI05G27610 transcript:ORUFI05G27610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISRLAAAKRFYAAQLGHADRAGMEEVDMDEEVRPEFACPYCYEDHDVVSLCAHLEEEHPFEPHAAPCPICSDKIAKDMLNHITVQHGYLFKVLLGGGGHRSNNSSNTTNISADPLLSSFGLSFPTSDTEETSKPPISIPDDASVIKETPAQPWDSSIDSSLTREEREQKRKQASVRATFVQDLLLTTLFGD >ORUFI05G27610.3 pep chromosome:OR_W1943:5:24675834:24677918:-1 gene:ORUFI05G27610 transcript:ORUFI05G27610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFVLVDLEDELMLRAYVAELKDGKLEPCPICSDKIAKDMLNHITVQHGYLFKNRRRLRRFVIPGSQALSLLSRDLREAHLQVLLGGGGHRSNNSSNTTNISADPLLSSFGLSFPTSDTEETSKPPISIPDDASVIKETPAQPWDSSIDSSLTREEREQKRKQASVRATFVQDLLLTTLFGD >ORUFI05G27610.4 pep chromosome:OR_W1943:5:24677946:24678314:-1 gene:ORUFI05G27610 transcript:ORUFI05G27610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISRLAAAKRFYAAQLGHADRAGMEEVDMDEEVRPEFACPYCYEDHDVVSLCAHLEEEHPFEPHAAVSCSSPS >ORUFI05G27620.1 pep chromosome:OR_W1943:5:24680384:24682165:-1 gene:ORUFI05G27620 transcript:ORUFI05G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYKILGVDKAASDDDLKKAYRKLAMKWHPDKNPNNKKEAENKFKQISEAYEVLSDPQKRAVYDQYGEEGLKGQVPPPGAGGAGPGGATFFSTGGDGPNVFRFNPRNAEDIFAEFFGSSSPFGGMGGGMGGGMGGGPGMRTGGTRFSSSIFGDDIFGSAFGGGADGHHGMHGGGAGRALKAPAIERKLPCSLEELYKGTTKKMKISREIADASGKTIPVEEILTIDVKPGWKKGTKITFPEKGNEQPNVIPADLVFIIDEKPHPVFTRDGNDLVVTQKIPLAEALTGHTVHLTTLDGRSLTIPITSVINPGYEEVVRGEGMPIPKDPSKKGNLRVKFDIKFPARLTADQKSGVKRLLGQ >ORUFI05G27630.1 pep chromosome:OR_W1943:5:24683649:24694091:-1 gene:ORUFI05G27630 transcript:ORUFI05G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGDGGDEGAGAAPAGVEEESPAPASAPAPAPASASAPVPAAVGGGAGASGSSGKVKRVMKTPYQLEVLERTYTEDPYPNETMRAELSVKLGLTDRQLQMWFCHRRLKDRKPPPKRQQLEEEVPVPVMAPPPVLPPPLPHSELTMGTGGMYGEPLLSPSSRRGTGRPSAVPRISASEVARRYYEPPQVMLPPLAPMQLTRAEHRVIDSVERLIGEPLREDGPVLGVEFDPLPPGAFGAPIVPEQQRQPFRSYEAKMFSGHDTKHIKASAFLPSIDPFVPSTVSGKRKSMTGSSSHLGSRAVREYQFIPEQSSDIYERTTQSRYYDVLTEASDSRMSTGSRFLHGVEKAPGYTFHGQISGSSHLSLHGRPPVFSSGSTDYEMDPSNINSSSVPNESQYGIPQVAGFENSVAPSDRMVYHDDDAFRMERKRKHSEEVKIAKEVEAHEKRIRKELEKQDMLNRKREEQVRREMERNDRERRKEEERLLREKQKEEERFQREQRREHKRMEKYLLKQSLRAEKIRQKEELRKEKEAARQKAANERATARRIAREYMELMEDERLELMELVSRSKGLPSMLSLDSDTLQQLDSFRGMLRQFPSEIVRLKVPFSIKPWTSSEDNIGNLLMVWKFFITFADVLGIPSFTLDEFVQSLHDYDSRLLGELHVALLKSIIKDIEDVARTPSVASGMTANPGGGHPQIVEGAYDWGFNILAWQRHLNLLTWPEILRQFGLSAGLGPQLRKRNAENVNNHDDNEGRNGEDVISILRSGSAAVNAAAKMKERGYGNRRRSRHRLTPGTVKFAAFHVLSLEGSQGLTILEVAEKIQKSGLRDLTTSKTPEASISAALSRDSKLFERTAPSTYCVKTPYRKDPADSEAVLAAAREKIRVFQNTISECEEVEKDVDDAERDEDSECDDADDDPDGDEVNIEEKDVKTSLVKAQDGGMPTAVGDIKKETNSIVNSLTTPLIHTKSSESSSLRTLDKSVQVRTTSDLPAEISSDNHEGASDSAQDAEIDESNQGESWVQGLAEGDYCDLSVEERLNALVALIGVATEGNSIRAVLEERLEAASALKKQMWAEAQLDKRRSREEFSSKMQYDSGMGLKTDVDQQNTLAESNLTPVHNLVKDSNGNGSLVNNELPVDQQSQPNACSVVHERNGVRQEFSANPENLSGQQYVTSEKTRSQLKSYIGHKAEQLHVYRSLPLGQDRRRNRYWQFSTSASPDDPGSGRIFFESRDGYWRLIDSIETFDALVSSLDTRGIRESHLHSMLQSIEPTFKEAIGRKRCASIEPSAGRVLKNGTSEIISPNHSNEFGSPCSTLSGVATDSAMAYSDSFRIELGRNDVEKTAISERADLFIKWMWKECNNHQPTCAMKHGKKRCSELIQCCDFCYQIYLAEETHCASCHKTFKSIHNISEHSSQCEEKRRTDPNWKMQISDYSVPVGLRLLKLLLATVEASVPAEALEPFWTDVYRKSWGVKLYSTSSTKEVFEMLTILEGAIRRDFLSSDFETTTELLNLSTQDSASRNTVPRSGSADVLPWVPDTVAAVVLRLLDLDSAISYTLRQKVGSNKERGAGEFMKLPPRYTPAKTKQETEPMGTGFDRQETWLTPSNGRGGRGGRGRGSRGGSRGGRSRSRGGKVPRGISSSPKIEFRGYSASAVSSEKAPRKYARRGRNRGRGRGLRTVRPRQPSDIGARSIPKPNLLGSFSMLRNAKHTTAMESPQSSGAEEWGLERRPSYVKDDEDNSASQSEESSEENSEPMNEEYDELLPDYSRDNSGSSPLQMMDDGSDDNEEDAEGDEDGEEDGEDYDAEQHVDEDNDDAEMGEDDVEDNDDGDGGGGGAENGDEDEDGTSYSSEYSE >ORUFI05G27640.1 pep chromosome:OR_W1943:5:24694631:24695764:1 gene:ORUFI05G27640 transcript:ORUFI05G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGEERSPGVCEGKRGKVRNNDGMWLRGRSDSLGFARSCGVGERMRFDHVCARRVCARVHRWRRTGRGSSVATAACGWEEFGSVGPWSAIYIKGKYKSQTANRREEQKN >ORUFI05G27650.1 pep chromosome:OR_W1943:5:24695965:24697629:1 gene:ORUFI05G27650 transcript:ORUFI05G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAESPRRNALVAKKNALVIAATTGADDINRPFLVRLLSEIGLGVRVEDNRKRRPAKTRREDWAGNRRGRQLRGDGWQRSICDTPVRRETRWAAEGEGIALHAQPKVSSSTACNPAAQPPIERDATRKHHTLPTPQSTHPLPSLHAFTVPAREDDGLELEIASLPDEDMHI >ORUFI05G27660.1 pep chromosome:OR_W1943:5:24697660:24698334:-1 gene:ORUFI05G27660 transcript:ORUFI05G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQRDDVESRRDGGENEKRPLLEKRSAGDGGGGSGGNGMSPVQRAISQTYQSTAHLAKLLPTGTVLAFQLLSPIVTNQGHCDVEANRAMAGALIALCALSCFVLSFTDSFRDAATGGAVRYGFATPAGLWVIDGGAPLDPQAAAAYRLRLLDLVHAVVSVMVFAAVALFDQNVVSCFYPVPSEGTRQVLTALPIAIGVVGSMLFVSFPTTRHGIGFPLSPR >ORUFI05G27670.1 pep chromosome:OR_W1943:5:24710556:24715260:1 gene:ORUFI05G27670 transcript:ORUFI05G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNSFSDVRTAVDSSLSPAAAVAAAAGKKAAASLAVLVKMCPSCGHRARYEQETTTIQDLPGLPAGVKFDPTDQELLEHLEGKARPDSRKLHPLVDEFIPTIEGENGICYTHPERLPGVSKDGLVRHFFHRPSKAYTTGTRKRRKVHSDEVDGGETRWHKTGKTRPVMANGRPRGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEERDGELVVSKVFFQTQPRQCGSTAAAAAAKEASAAVAAAVVNSNYSIVHGHQGGGGGSFLKEANVVHEFYDPAATMGYRPPAPAAHFAPNFAVHAARNSFGGP >ORUFI05G27680.1 pep chromosome:OR_W1943:5:24713149:24719989:-1 gene:ORUFI05G27680 transcript:ORUFI05G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMLGLRGSPSAGDAGGDAPVRNGGEGGGGPGRPLRLVYCDEKGKFVMDPEAVAALQLVKGPVGVVSVCGRARQGKSFVLNQLLGRSSGFQVAPTHRPCTKGLWMWSAPLKRTGLDGTEYNLVLLDTEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRASGGRSTASELGHFSPVFVWLLRDFYLDLTEDNRKITPRDYLELALRPVQGGGRDVSSKNAIRESIRALFPDRECITLVRPVNNEKDLQRLDQLPLNNFRPEFRSGLDALTKFVFDRTRPKQLGASTLTGPVLSGLTQSFLDAINTGAVPTISSSWQSVEEAECRRAYDSAIDTYNSSFDRRKPAEEDAMREAHEDALKKAVSVFNASAVGAGLARSKFEKLLQTSLKKAFEDYKRNTFLEADLQCSNRIQSMESKIRTACNRPDAKLDDIVRLIDGLLTEYESKSYGPGKWKKLATFLQHLAGPVLYLFRRQIEHIDAERNSLRLKCSSNDDKLALLRKQLEASEGHRAEYLRRYEESINDKQKISRDYSGRIAELQTKSSKLEERCVSLSSALDNAKRESVDWKNKYDHNLLQQKADESKLKSQIASLESRVNISEGRLSAVREQAESAQEEASEWKRKYEVAVSEAKTALQRAAVAQERTNKKVQEREDALRAELASQLSEKEEEIARLNTKINQTEIHATNLISRLEATEAKLKNHESDSLALKEEIRSLTVSLESFRTEAQSREKEVKILEQEKNHLQEKYLTECKRFDEADSRCKEAEREAKRATELADVARAEAVASQKDKGEAQRLAMERLALIERMERQVEGLEREKNKMLEEIERVGQSEKDAVCKVSSLEQRVDEREKEIDEMMQRSNQQRSSTVQVLESLLETEREACAEANRRAEALSLQLQATQSKLDMLQQELTSVRFNETALDSKLKASHARRLRGEATESVHDMDIDDDNTGRRRKRSKSTTSPFKSNHTEDGGSVFVGEDTNNGSQQAQETETEDYTKFTVLKLKQELTKHGFGAQLLQLKNPNKKDIVALYEKHVVGK >ORUFI05G27680.2 pep chromosome:OR_W1943:5:24713149:24719989:-1 gene:ORUFI05G27680 transcript:ORUFI05G27680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMLGLRGSPSAGDAGGDAPVRNGGEGGGGPGRPLRLVYCDEKGKFVMDPEAVAALQLVKGPVGVVSVCGRARQGKSFVLNQLLGRSSGFQVAPTHRPCTKGLWMWSAPLKRTGLDGTEYNLVLLDTEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRASGGRSTASELGHFSPVFVWLLRDFYLDLTEDNRKITPRDYLELALRPVQGGGRDVSSKNAIRESIRALFPDRECITLVRPVNNEKDLQRLDQLPLNNFRPEFRSGLDALTKFVFDRTRPKQLGASTLTGPVLSGLTQSFLDAINTGAVPTISSSWQSVEEAECRRAYDSAIDTYNSSFDRRKPAEEDAMREAHEDALKKAVSVFNASAVGAGLARSKFEKLLQTSLKKAFEDYKRNTFLEADLQCSNRIQSMESKIRTACNRPDAKLDDIVRLIDGLLTEYESKSYGPGKWKKLATFLQQWYVPLLLLILAGPVLYLFRRQIEHIDAERNSLRLKCSSNDDKLALLRKQLEASEGHRAEYLRRYEESINDKQKISRDYSGRIAELQTKSSKLEERCVSLSSALDNAKRESVDWKNKYDHNLLQQKADESKLKSQIASLESRVNISEGRLSAVREQAESAQEEASEWKRKYEVAVSEAKTALQRAAVAQERTNKKVQEREDALRAELASQLSEKEEEIARLNTKINQTEIHATNLISRLEATEAKLKNHESDSLALKEEIRSLTVSLESFRTEAQSREKEVKILEQEKNHLQEKYLTECKRFDEADSRCKEAEREAKRATELADVARAEAVASQKDKGEAQRLAMERLALIERMERQVEGLEREKNKMLEEIERVGQSEKDAVCKVSSLEQRVDEREKEIDEMMQRSNQQRSSTVQVLESLLETEREACAEANRRAEALSLQLQATQSKLDMLQQELTSVRFNETALDSKLKASHARRLRGEATESVHDMDIDDDNTGRRRKRSKSTTSPFKSNHTEDGGSVFVGEDTNNGSQQAQETETEDYTKFTVLKLKQELTKHGFGAQLLQLKNPNKKDIVALYEKHVVGK >ORUFI05G27690.1 pep chromosome:OR_W1943:5:24741771:24747279:1 gene:ORUFI05G27690 transcript:ORUFI05G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIDLNTVEEDEEEAAEEVAANGSSPAPARAGAVCLELWHACAGPVAPLPRKGGVVVYLPQGHLEHLGDAPAAAAAAAAVPPHVFCRVVDVTLLADAATDEVYAQLSLVPEKEEVARRADDGEGEDGDGMKQRFARMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHSTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGTLANVAHAVATESVFNIYYNPRLSQSEFIVPYWKFMKSLSQPFSVGLRFKMRYESEDATERRYTGIITGSGDTDPMWHGSKWKCLLVRWDDDAEFRRPNRVSPWEIELTSSVSGSHLSTPHSKRLKPCLPHVNPEYMVPRGGGCPDFAESAQFHKVLQGQELLGFKSHGGTAAATSQPCEARHLQYIDERSCSSDASNSILGVPRLGDRAPLGNPGFSYHCSGFGESHRLQKVLQGQELFRPYRGTLVDASMGSNGFHQQDSPRAPGVVNKWQAQLHGRAAFHGPPALALPSQSSSPPSVLMFQQANSKMPRLEFGHGQLDKHENDRRVRFGPSEGIERREQRIPLQPYPTSGEVIDGQVTVEKSHSPGRHGKDGPDNKAVGTNSCKIFGISLTEKVPAREELDDGDANYSLQSLKQVPKSLGNSCATVHEQRPVVGRVIDISTMDMMI >ORUFI05G27690.2 pep chromosome:OR_W1943:5:24741771:24746945:1 gene:ORUFI05G27690 transcript:ORUFI05G27690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIDLNTVEEDEEEAAEEVAANGSSPAPARAGAVCLELWHACAGPVAPLPRKGGVVVYLPQGHLEHLGDAPAAAAAAAAVPPHVFCRVVDVTLLADAATDEVYAQLSLVPEKEEVARRADDGEGEDGDGMKQRFARMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHSTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGTLANVAHAVATESVFNIYYNPRLSQSEFIVPYWKFMKSLSQPFSVGLRFKMRYESEDATERRYTGIITGSGDTDPMWHGSKWKCLLVRWDDDAEFRRPNRVSPWEIELTSSVSGSHLSTPHSKRLKPCLPHVNPEYMVPRGGGCPDFAESAQFHKVLQGQELLGFKSHGGTAAATSQPCEARHLQYIDERSCSSDASNSILGVPRLGDRAPLGNPGFSYHCSGFGESHRLQKVLQGQELFRPYRGTLVDASMGSNGFHQQDSPRAPGVVNKWQAQLHGRAAFHGPPALALPSQSSSPPSVLMFQQANSKMPRLEFGHGQLDKHENDRRVRFGPSEGIERREQRIPLQPYPTSGEVIDGQVTVEKSHSPGRHGKDGPDNKAVGTNSCKIFGISLTEKVPAREELDDGDANYSLQSLKQVPKSLGNSCATVHEQRPVVGRMVAEYQGDGGTDDSDIFILDVGVGALIM >ORUFI05G27690.3 pep chromosome:OR_W1943:5:24741771:24747277:1 gene:ORUFI05G27690 transcript:ORUFI05G27690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIDLNTVEEDEEEAAEEVAANGSSPAPARAGAVCLELWHACAGPVAPLPRKGGVVVYLPQGHLEHLGDAPAAAAAAAAVPPHVFCRVVDVTLLADAATDEVYAQLSLVPEKEEVARRADDGEGEDGDGMKQRFARMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHSTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGTLANVAHAVATESVFNIYYNPRLSQSEFIVPYWKFMKSLSQPFSVGLRFKMRYESEDATERRYTGIITGSGDTDPMWHGSKWKCLLVRWDDDAEFRRPNRVSPWEIELTSSVSGSHLSTPHSKRLKPCLPHVNPEYMVPRGGGCPDFAESAQFHKVLQGQELLGFKSHGGTAAATSQPCEARHLQYIDERSCSSDASNSILGVPRLGDRAPLGNPGFSYHCSGFGESHRLQKVLQGQELFRPYRGTLVDASMGSNGFHQQDSPRAPGVVNKWQAQLHGRAAFHGPPALALPSQSSSPPSVLMFQQANSKMPRLEFGHGQLDKHENDRRVRFGPSEGIERREQRIPLQPYPTSGEVIDGQVTVEKSHSPGRHGKDGPDNKAVGTNSCKIFGISLTEKVPAREELDDGDANYSLQSLKQVPKSLGNSCATVHEQRPVVGRVIDISTMDMMI >ORUFI05G27700.1 pep chromosome:OR_W1943:5:24747853:24749994:-1 gene:ORUFI05G27700 transcript:ORUFI05G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVNKLTNAAERESSEAETLIAVKQLEAAALELVASCEDCTCYADAIRKVPGAYQPSNQMTDFEKLIEAEVNKVKGNSSTSVENHLLIRQFREAVWNVHHAGQPMPGDEQEDVLMTSTQTSILNVTCPLTGKPVIQLTEPVRCADCRHIYEKVPIMHYIRNQKPPKCPIAGCPRVLQVGRVTCDSLLQVEIDELRSSGPSAPDAENIEDLTDDEDDSNE >ORUFI05G27700.2 pep chromosome:OR_W1943:5:24747853:24749994:-1 gene:ORUFI05G27700 transcript:ORUFI05G27700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVNKLTNAAERESSEAETLIAVKQLEAAALELVASCEDCTCYADAIRKVPGAYQPSNQMTDFEKLIEAEVNKVKGNSSTSVENHLLIRQFREAVWNVHHAGQPMPGDEQEDVLMTSTQTSILNVTCPLTGKPSQFGGCPRVLQVGRVTCDSLLQVEIDELRSSGPSAPDAENIEDLTDDEDDSNE >ORUFI05G27700.3 pep chromosome:OR_W1943:5:24747853:24749994:-1 gene:ORUFI05G27700 transcript:ORUFI05G27700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVNKLTNAAERESSEAETLIAVKQLEAAALELVASCEDCTCYADAIRKVPGAYQPSNQVRTLMTDFEKLIEAEVNKVKGNSSTSVENHLLIRQFREAVWNVHHAGQPMPGDEQEDVLMTSTQTSILNVTCPLTGKPVIQLTEPVRCADCRHIYEKVPIMHYIRNQKPPKCPIAGCPRVLQVGRVTCDSLLQVEIDELRSSGPSAPDAENIEDLTDDEDDSNE >ORUFI05G27710.1 pep chromosome:OR_W1943:5:24754775:24760033:1 gene:ORUFI05G27710 transcript:ORUFI05G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVARRFLVAAAAVCLALAAVPAAMGQAAAPAPKGAAAAALNVTAILEKGGSYTTFIRLMKSTQQDTQLNSQLNGTSTGFTVFAPTDGAFSSLKPGTLNSLSAQDQVSLVQAHIVPKFYSMDAFDTASNPVRTQASGGDGPYTLNITATSTNQVNVSTGVVDTTLGTALRADQPLAVYSVDKVLLPYALFGPKPPPSPPPAPSKKPAKGDTSASAEAPAGSADHPAGAAPAAARAAGWGVAALLAAACLLSPPPPPFVRFLATTPTHPPMASSRLLLLAALLATAAVLAASQKPKAATPTKATPASPGPAAAAADGPAPTNVTAVLEKSGKYTTFLRLLHESRVDTQINSQLMDSYNGLTMFAPTDAAFAALKPGTLNSLSSQDQIQLMLYCVLPRFYSLAMLTTLGGPVNTQASGADGPYKYKIKPSNNNVNISTGVNWALLSTVVSKDFPLAVYSVDKVPLPYELFGPKPPTPAPAPAPAPSKSKTKKHKKSAGIAEPPVADDASADDTTKKAAAPATAVSRWVVAAAGVLAGAILAAL >ORUFI05G27720.1 pep chromosome:OR_W1943:5:24776502:24777261:1 gene:ORUFI05G27720 transcript:ORUFI05G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYNANRAWPAASRPATAAAAPPPPPPRGEEEEVRRAVAECPVVVVGRSGCCLSHVVKRLLQGLGVNPAVHEVAGEAELAGVVAGGGGVALPAVFVGGRLLGGLDRLMAVHISGELVPILKEAGALWL >ORUFI05G27730.1 pep chromosome:OR_W1943:5:24779849:24785103:-1 gene:ORUFI05G27730 transcript:ORUFI05G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAAAKAKRSHTVRGRRAHQTPAAAAAHRQKRAEAAAAAASASGPRGRNLPSNWDRYDGEDDADESAAPSEWTGEVAPRSKGADFGFLLEQARAQPREAQRLSSQDSPFDFMQASTSMLEAKGEEILSWCEDDNFILEDDLAPDFEVPFLSMDLHALATHLSKLKLSERLFLEKDLLPEDLAVASEVNQIQIQRGTDVDSDAKGSLIHDHDSIRCDDQMKADCQLKCFEEDKSTSSPKTSSHSVHLDTGEDNNNSEGAKFEVVAAEEELDMLLNTLGGTHLSGSNLDESFGNKSTLQDVKVNQPDKKVTPSTSSKSSVTAACDDALDDLLSETSPSVQNEGFAEPGSTSKNDHNIDIRYANQIDISTSIDDLVDDLLTDTSLCLNGQKQTTSAKGKDNISSVSVPPNSGPSNASDDFDSWKLSFEAHIPISMEVHWGTRVDTAS >ORUFI05G27740.1 pep chromosome:OR_W1943:5:24786056:24786730:1 gene:ORUFI05G27740 transcript:ORUFI05G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTASPVVELPVGGAVLTFEQDNDSFEVGTSVWPSSLVLVKFAERCLRDPALPFADVLRFPGTRAVELGSGCGPAGLGLSRLGLADLVLTDIAAVLPALRRNLRRNRRHLPRAPRLAQLHWNCPAHLASLASPRRFDLVVAADVVYVPESVPHLVAAMDVLADADRGVVLLGYQVRSPEAHQAFWDAVPAAFPVIEKIPREHLDPDYAYEESDVFVLRRRPRQ >ORUFI05G27750.1 pep chromosome:OR_W1943:5:24790315:24791229:1 gene:ORUFI05G27750 transcript:ORUFI05G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTVSPTIVLANMYQRPDMITPGVDAQGQPIDPEKMQEHFEDFYEDIYEELSKFGEVETLNVCDNLADHMIGNVYVQFREEEQAVAAHNALQGRFYSGRPIIVEYSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRELRRKLYGGRSRRSHGRSRSPSPRHRRGNRDRDDFRRERDGYRGGGDGYRGGGGGGGGDGYRGGDSYRGGGGGGRRGGGSRYDRYDDGGRRRHGSPPRRARSPVRESSEERRAKIEQWNREREEKP >ORUFI05G27760.1 pep chromosome:OR_W1943:5:24793704:24801044:1 gene:ORUFI05G27760 transcript:ORUFI05G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALIFSTSMFRIHTHMWVLLQVLCSHLLCTTPAYQQQQWTDMFLLFKVLDWAIHYYCLYIINLLKDPWMRTAAVAIFVTASGNLSRGKMHYLSWNASFEANILPSTGVSNPPEYSSADSLNNSNSMASHPELVHHGNYVFPAGHMSQYNAWIAQASRTGGVPQWEHGNAAANPPGGFVHSGTIDMPNGGLQGYQAGPFANYYGPLPHFHQNPLNSMQHPALFNHIQMQVPHQHCLSNNLLHHPSGNGLPLDPRILAISSNSGHTFGPTAQPSLANQVNAGSSRIQPYENAPFVDLSRLYEAGVIDEHRDMRLDVDSMTYEELVALEERIGNVNSGFTESYIEENLKSSSYVPDADCMPDQSSVEKDACIICQEEYEAKELVGTLGCGHKYHAMCIKGWLMVKNLCPICKTTALPADRRNG >ORUFI05G27760.2 pep chromosome:OR_W1943:5:24793704:24801044:1 gene:ORUFI05G27760 transcript:ORUFI05G27760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRNMVWTHQVASPENQVQPESFYHGGAGSNLSNLSVQVAVGVPGNTDFRSHYESINLQHQHVQNPYPHVGVASSSVFPSTMYNPCISTTAVDRYVPPIQSFGLGNPLLLPLYHQLAQGSMDENGSSGNFCDSVREFIKRKNALLVGGHHFVNSFASSSSSAYVPPNPLHRSWNASFEANILPSTGVSNPPEYSSADSLNNSNSMASHPELVHHGNYVFPAGHMSQYNAWIAQASRTGGVPQWEHGNAAANPPGGFVHSGTIDMPNGGLQGYQAGPFANYYGPLPHFHQNPLNSMQHPALFNHIQMQVPHQHCLSNNLLHHPSGNGLPLDPRILAISSNSGHTFGPTAQPSLANQVNAGSSRIQPYENAPFVDLSRLYEAGVIDEHRDMRLDVDSMTYEELVALEERIGNVNSGFTESYIEENLKSSSYVPDADCMPDQSSVEKDACIICQEEYEAKELVGTLGCGHKYHAMCIKGWLMVKNLCPICKTTALPADRRNG >ORUFI05G27760.3 pep chromosome:OR_W1943:5:24793700:24797951:1 gene:ORUFI05G27760 transcript:ORUFI05G27760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCYCTLFERLERIGDDKA >ORUFI05G27760.4 pep chromosome:OR_W1943:5:24793704:24798590:1 gene:ORUFI05G27760 transcript:ORUFI05G27760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRNMVWTHQVASPENQVQPESFYHGGAGSNLSNLSVQVAVGVPGNTDFRSHYESINLQHQHVQNPYPHVGVASSSVFPSTMYNPCISTTAVDRYVPPIQSFGLGNPLLLPLYHQLAQGSMDENGSSGNFCDSVREFIKRKNALLQISYPALEFPIHQSTLLQIH >ORUFI05G27770.1 pep chromosome:OR_W1943:5:24801750:24803008:-1 gene:ORUFI05G27770 transcript:ORUFI05G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWMRELKDHTDANIVIMLVGNKADLRHLRAVSTEDAKAFAEKENTFFMETSALESMNVENAFTEVLTQIYHVVSKKALDIGDDPAAPPKGQTINVGGKDDVSAVKKSACCSSA >ORUFI05G27780.1 pep chromosome:OR_W1943:5:24808916:24813677:1 gene:ORUFI05G27780 transcript:ORUFI05G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNRHWPSMFRSNLACNIQQQQQPDMNGNGSSSSSFLLSPPTAATTGNGKPSLLSSGCEEGTRNPEPKPRWNPRPEQIRILEGIFNSGMVNPPRDEIRRIRLQLQEYGQVGDANVFYWFQNRKSRTKNKLRAAGHHHHHGRAAALPRASAPPSTNIVLPSAAAAAPLTPPRRHLLAATSSSSSSSDRSSGSSKSVKPAAAALLTSAAIDLFSPAPAPTTQLPACQLYYHSHPTPLARDDQLITSPESSSLLLQWPASQYMPATELGGVLGSSSHTQTPAAITTHPSTISPSVLLGLCNEALGQHQQETMDDMMITCSNPSKVFDHHSMDDMSCTDAVSAVNRDDEKARLGLLHYGIGVTAAANPAPHHHHHHHHLASPVHDAVSAADASTAAMILPFTTTAAATPSNVVATSSALADQLQGLLDAGLLQGGAAPPPPSATVVAVSRDDETMCTKTTSYSFPATMHLNVKMFGEAAVLVRYSGEPVLVDDSGVTVEPLQQGATYYVLVSEEAVH >ORUFI05G27790.1 pep chromosome:OR_W1943:5:24818061:24818909:-1 gene:ORUFI05G27790 transcript:ORUFI05G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAAPASNQPHDTSNILENVWASIMTSSSSPAVSSSVAVSSSAVTGQEENSAILQRLPSLGRWISMGAEEWDELLLSGAALASESSGELQLVAASPGDHNQVVGGGGGANYRTTATAAARRSYRGVRRRPWGKFAAEIRDTRRRGARVWLGTFATADEAALAYDMAALRMRGHRAHLNFPLATVQKHLERDLAGGRSSSDQTSTRARRRRRPRANTTTDAAARSVVFSGVQTATNCDHMVSFASEKDQVSMQECSKSDAGVIDFEEIGGEYWDYLFPPLV >ORUFI05G27800.1 pep chromosome:OR_W1943:5:24821363:24823617:1 gene:ORUFI05G27800 transcript:ORUFI05G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGREEEAAAAASKPPPHHHAASGELARPPVGFPQPAPPPGFAGASGGGGHYHHHHQQQPYAPAEPYYAQGYQTGPGYGPIAEGRPVRMRRLPCCGLGLGWLLFIAGFFLAAIPWYVGAFILICVRVHDYREKPGYVACTVAAVIAAIVIPLGLTKGAHVW >ORUFI05G27800.2 pep chromosome:OR_W1943:5:24821195:24823617:1 gene:ORUFI05G27800 transcript:ORUFI05G27800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGREEEAAAAASKVGYSSGDLPPSAPPHLQGQDPQQYQYGTFQPPPHHHAASGELARPPVGFPQPAPPPGFAGASGGGGHYHHHHQQQPYAPAEPYYAQGYQTGPGYGPIAEGRPVRMRRLPCCGLGLGWLLFIAGFFLAAIPWYVGAFILICVRVHDYREKPGYVACTVAAVIAAIVIPLGLTKGAHVW >ORUFI05G27810.1 pep chromosome:OR_W1943:5:24826895:24829178:1 gene:ORUFI05G27810 transcript:ORUFI05G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHNSNIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >ORUFI05G27820.1 pep chromosome:OR_W1943:5:24831165:24833420:1 gene:ORUFI05G27820 transcript:ORUFI05G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFGRKRLLAVLGSCCTILSLLTPTQCASSSPDSLNQSYKIVQPLELTPKLSLQLKLHAFLLWSSVGFLMPIGVLLIRVTSNVKSTRSIRILFYCHVASQIVAVILATAGAVLSISNFENAFNNTHQRIGLALYGFIWLQPLIGFLRPDRGVRFRSIWYLTHWLLGIAICVVGVANVYIGMHTYHERTGRSVRPWTVLLTVEVSAMAFVYLFQDRWNHVVRQQQQQEAAALGDDDDDDDEQSEEHVYPANDHKEVAVVP >ORUFI05G27830.1 pep chromosome:OR_W1943:5:24833813:24838434:1 gene:ORUFI05G27830 transcript:ORUFI05G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRESIGFVIPNLPHPGGIRRNSRSESMASHDHDHDHHHHHSHDDGDHHHSHHQDGSHGGGGGSWVGEDGRVWHSHDGLAPHSHEPIYSPGDFSKRAPPLISRRFAERAFTVGIGGPVGTGKTALMLALCRSLREKYSLAAVTNDIFTKEDGEFLIKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLCKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLIINKTDLAPAVGADLAVMERDALRMREGGPFVFAQVKHGVGVEEIVNHILQAWEIATGNKRR >ORUFI05G27840.1 pep chromosome:OR_W1943:5:24837370:24839729:-1 gene:ORUFI05G27840 transcript:ORUFI05G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSLGLLQAPSSFTAAAASRPASRRAPRALFAVRASAAADATKDAVLKAFREKRALKIISGLQNFDRSSVASVVSAADKGGATHVDIACDQDLVKLALELTSLPICVSSVDPSAFRSAVEAGAKMIEIGNYDSFYDTGIEFSSEKILKLTKETREMLPDITLSVTVPHTLSLLDQVRLAELLEEEGADIIQTEGGKCSSPTKPGVLGLIEKATPTLAAAYSISRAVTIPVMCASGLSSVTAPMAVTAGAAGVGVGSAVNKLNDIVAMVAEVKSIAEALGLPSRNVSSNLRTVHH >ORUFI05G27850.1 pep chromosome:OR_W1943:5:24840590:24841528:-1 gene:ORUFI05G27850 transcript:ORUFI05G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVAAFGFPAPVRPGATRVGWVGIGVMGGAMAARLLAAGFAVTAYARTPAKAEALVAAGASLAGSPAAVAAACDVVFTMVGNPGDVRAVVLDAASGVLAGLRPGGVLVDCTSSSPSLAREVAAAARAAGCYAVDSPVSGGDVGARDGALALLAGGDEAVVSWLAPLFAHLGRPTYMGPPGSGQSSKIANQIAVAGAVVGASEALAFANAAGLDAPLFLDAVSKGAAGSRVMDIFGERMLRREFASGGSVKYIIKDLGMALETEEGPEGAKALPGAAMFRQMFSAMAANGDGDLSLQGLITVVERLNGIRK >ORUFI05G27860.1 pep chromosome:OR_W1943:5:24841871:24842269:-1 gene:ORUFI05G27860 transcript:ORUFI05G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETDTARAAVSSETAPMSPQAEAAPRAATARRGNWLRRLIPRDYLSLSRRWKLGGGAFAAPGGGAASRRLASLSRSLRWKRRLPGFSLTLRSGSASAVVDAVAFRVMYVVEAVVLGLALSCFFLCCGCHL >ORUFI05G27870.1 pep chromosome:OR_W1943:5:24862650:24865574:1 gene:ORUFI05G27870 transcript:ORUFI05G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGEGFPFHDELASLFAERPPNGAMPGMLQQQQPWSFIDYHHHLMQESAPTTPPLDYEAFAGEFDDDVAPLEEVKRELVVDGVGLFPGGGASAAAAAAAVAGPMTPNSMSVSSTSSEACGVGGGAGGDEESAGKCKKEEEGDGGDDDGKEGSSTTKGDGDGEDKNKKGGKGKGKGEKRPRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTQKCPVKKRVERSYQDAAVVITTYEGKHTHPIPATLRGTAHLLGAAAAAHHHGGLQYHHPGHFAAAVGHRLPPQPHDALGGGLLAPPHAQHLHAMQHQMQLAAAAAASGGSLHAAAMQQMPQPDHAGLVAIIASTTGASTTPPPPPATGSAAAATTPLRMQHFMAQDYGLLQDMFIPSPFLHNDDANNNNHR >ORUFI05G27880.1 pep chromosome:OR_W1943:5:24882356:24887750:1 gene:ORUFI05G27880 transcript:ORUFI05G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGVCIIVHIAAAFINMLTLMMKNNCNDDDIGSEFYDSFNLDNTIENVHSQNNKLELAKTGEFNQYEQTTGFSLPCGDLQYDVYLRTQIAGQDNKSGEGADFMDDGTFTLLKDLVTESRTSSPYYEKDHQLNSLNYVNQDGHGIPTTTNPTWDLTQSCNPLNHSLTEDSVPFNVGTSAGVLTNATSNDFIPIDELAITSGRYGVLPSIEKTEEGSIAIDEANSFGATATMCYNSVHFSHWIDQNLTGPLPDLADLPDIYPDSFLPTPRKNITLVLDLDETLIHSSAVDRDGADFSFPMYHGLKEHTVYVKKRPHVDTFLQKVSEMFKDLTVIVADLAKVVIIDNSPEVFRLQEENGIPIESWTSDPADKSLVELIPFLEAIAVADDVRPIIAQMLGRPRSIA >ORUFI05G27880.2 pep chromosome:OR_W1943:5:24882356:24887750:1 gene:ORUFI05G27880 transcript:ORUFI05G27880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACGVCIIVHIAAAFINMLTLMMKNNCNDDDIGSEFYDSFNLDNTIENVHSQNNKLELAKTGEFNQYEQTTGFSLPCGDLQYDVYLRTQIAGQDNKSGEGADFMDDGTFTLLKDLVTESRTSSPYYEKDHQLNSLNYVNQDGHGIPTTTNPTWDLTQSCNPLNHSLTEDSVPFNVGTSAGVLTNATSNDFIPIDELAITSGRYGVLPSIEKTEEGSIAIDEANSFGATATMCYNSVHFSHWIDQNLTGPLPDLADLPDIYPDSFLPTPRKNITLVLDLDETLIHSSAVDRDGADFSFPMYHGLKEHTVYVKKRPHVDTFLQKVSEMFKVVIFTASLSSYANRLLDMLDPKNIFFTKRYFRDSCLPVDGSYLKDLTVIVADLAKVVIIDNSPEVFRLQEENGIPIESWTSDPADKSLVELIPFLEAIAVADDVRPIIAQMLGRPRSIA >ORUFI05G27890.1 pep chromosome:OR_W1943:5:24889060:24895119:1 gene:ORUFI05G27890 transcript:ORUFI05G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPATASASASAPVSLLFLSLPLSPSSCRGLPAPHTHLPPRRLALAPARLGAALLSSLGDAQEEEEYDDEEEEELVVVGYVSGAHGVRGDVLVSPRTDFPQLRFATPGKRWLRARAAGKQQVKEFELVRGRAHTGKKSWIVTFDGVDSVDEARQIVGSAILVKVGDRPKMEEDEIYSLDLVGMRVIVKDTGKLVGTVGQVFNFGAGDLLQVMVGGTEDTVSQPNSENQGSTPSGEHVWIPFAEDIVPDIDMESREMWITPPKGLLELNARSDKRSKKERRAMEWKEKKRLQRRVIAAKKILSEMDQGHVLEGLLSGDKVQKASLAEQIGSIDFQLFRHAMHSVSRPIGSLSKDVFVKSSSSRKKLMRIPYETLLNHEENANFASELNEGAGIIQKSKAATILITNDSDTLDAEFQGLLNSFNKLMKNCLVENDYFGLDTQKVWVLEEMKLPIVSMSSKLNSRKILLKSPWEILQKPAGTGVIFSLLSSNKILDTLNEMGVEYVQICSLSNKPNLGHPLLFGAVSSFGADAGLMLRKSSKETEDDFDLILSMNHVNKMCRDVTKLRFSAQQEQHVHVEHVDGQWVDVQPEATNCHRLHAEVTSVLNYCSPDKVCVIEIVQQ >ORUFI05G27890.2 pep chromosome:OR_W1943:5:24889060:24894607:1 gene:ORUFI05G27890 transcript:ORUFI05G27890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPATASASASAPVSLLFLSLPLSPSSCRGLPAPHTHLPPRRLALAPARLGAALLSSLGDAQEEEEYDDEEEEELVVVGYVSGAHGVRGDVLVSPRTDFPQLRFATPGKRWLRARAAGKQQVKEFELVRGRAHTGKKSWIVTFDGVDSVDEARQIVGSAILVKVGDRPKMEEDEIYSLDLVGMRVIVKDTGKLVGTVGQVFNFGAGDLLQVMVGGTEDTVSQPNSENQGSTPSGEHVWIPFAEDIVPDIDMESREMWITPPKGLLELNARSDKRSKKERRAMEWKEKKRLQRRVIAAKKILSEMDQGHVLEGLLSGDKVQKASLAEQIGSIDFQLFRHAMHSVSRPIGSLSKDVFVKSSSSRKKLMRIPYETLLNHEENANFASELNEGAGIIQKSKAATILITNDSDTLDAEFQGLLNSFNKLMKNCLVENDYFGLDTQKVWVLEEMKLPIVSMSSKLNSRKILLKSPWEILQKPAGTGVIFSLLSSNKILDTLNEMGVEYVQICSLSNKPNLGHPLLFGAVSSFGADAGLMLRKSSKETEDDFDLILSMNHVNKMCRDVTKLRFSAQQEQHVHVEHVDGQWVDVQPEATNCHRLHAEVTSVLNYCSPDKVCVIEIVQQ >ORUFI05G27900.1 pep chromosome:OR_W1943:5:24895285:24899829:-1 gene:ORUFI05G27900 transcript:ORUFI05G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEANAGGRAAPAAEQRSKNTTEMDFFSEYGDSSRYKIQEIVGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDTWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSMDAISRIRNDKARRYLSSMRRKQPALADPYFKGLAKVEREPSCQPISKMEFEFERRKVTKDDIKELIFREILEYHPQLLKDYMNGSENTSFLYPSAVDNFRRQFAILEENGGKSGALDRKHVSLPRATTVHSTSIPPNEGLDATSQVTQRIPTARPGRTVGPVLPFENPGAADPHSARRVVRNPMVPPAAANKSGYSYNLKSDYSDRQHQEELEKDRVQYRPAQHLMDAKVAPDTAPDIRSSQYYFTRSAPRTDLTDRAALQGSMLYGIAPFNGIAAVAGGYSKVGAVQYGVSRMY >ORUFI05G27910.1 pep chromosome:OR_W1943:5:24905267:24906034:1 gene:ORUFI05G27910 transcript:ORUFI05G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLEPGHGQGNEVPSHAIPIAHATESGLAAVRARPYRRIRLKTTPTNLKKSYYGPHRVQGSRQFSMMKNSRRGCFVGVSVVGSGHLGGTRIQIILECDVRYLRMDRKDHREESSMSAAGSV >ORUFI05G27920.1 pep chromosome:OR_W1943:5:24908272:24910029:-1 gene:ORUFI05G27920 transcript:ORUFI05G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDVGVVAFNPEGWGPPDTAPAPASLGGGAAAASIPFAPFSRSDKLGRIADWTRNPAGPAALAAASRDSVFDFTSVDDSLAAAAEDSSFRLVDAKPPPRHPRFGPKWRFNQRPQLPQRRDEEVEARRREAEKERARRERHFQNNRSHHHPGFRGNQSSSAKSSVDIQPDWTMREQIPFANFTKLSFSVADQPEDLLLCGAVEYYDRAFDRVNPKAARRLERFKSRNFFKVTTTDDPVIRRLAEEDKATVFATDAILAALMCTPRSIHSWDIVVQRVGNKLFFDKRDGSQLDLLSVNETAQEQLPENKDDINSAHSLAVEATYINQNFSQQVLLRDGEKVTFDEPNPFASEGEEAASVGYRYRRWKLDDEISIVARCEVHAVNADPGGGRQFLTLNALNEFDPKITGVDWRQKLETQRGAVLATELKNNANKLARWTCQALLAGADMMKLGYVSRVHPRDHYNHAILTVMGYKPRDFATQINLNTSNMWGIVKSIVDICMKFEEGKYVLVKDPAKPQVRIYEVPSDAFENDYVEEPLPEEEQVRPPSDDVDATAEEMDAAAEAEANNAAASAGGEGEKSAEAAAA >ORUFI05G27930.1 pep chromosome:OR_W1943:5:24911775:24914580:-1 gene:ORUFI05G27930 transcript:ORUFI05G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAPFAAIGSPSFCLFDPFPLLLLPSTSTRSSPPRLEPSMSGKPSDDTTGQVRPEVDGSDEKVEIANQNEKEVMPSPQEEEAAIKKKYGGIVPRKPALIAKDHERAYFDSADWALGKQGGHPQKPKGPLEALRPKLQPTQQQARSRRFLHASVDNEEGLNSPTEDASQNQESNEVKDDK >ORUFI05G27940.1 pep chromosome:OR_W1943:5:24916807:24921036:1 gene:ORUFI05G27940 transcript:ORUFI05G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPIPHSPLAQVGAKGEVRQSASQPPRPLHGRGDTCARLQRSMPPDTIRARSLLSFCRRRDACADLQHSTRPPPHLSAPVRRAAAAGEPAARTADCRSGGSTGGAAVGTARRSEMDILFSNPKEEEEAEAAELSRIVKPWARPTVTVHVSGRVGGDGPREASLSRRGGRGGWASVRAGFEGGGGLVFGSGLAFLAADDSAR >ORUFI05G27950.1 pep chromosome:OR_W1943:5:24918889:24920715:-1 gene:ORUFI05G27950 transcript:ORUFI05G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLRLAVPTAAPPVLPPLRQSAVRAAGSPAAAALRTGALRGCASLPLKPQPLLGAGQAASGRRGGAAVCHSSAHLSARTMQWVSAGATAVLLLAKGTAIHKSFLVPLFALLAPCSVISWIKSDYGQWTAFLALLVRLFFSIPGELELPLSTMLLVSVAPYQLMNLRELLRKILLFSKVLVLMLQFPFPVHRGTQGGAALSLALAGYLAFQHFTRVGGLGKAFDQGSIIATLAIICITVIPLMMLF >ORUFI05G27960.1 pep chromosome:OR_W1943:5:24924709:24933566:1 gene:ORUFI05G27960 transcript:ORUFI05G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGAGGGGGGGKGGAAAGPVPAASRKLVQSLKEIVNRPEAEIYAALRDCGMDPDEAVSRLLSQDTFQEVKSKRDKKKEVKEIPEPRSRAASNAASRGVRGGADRGGRNSSFHSSSIDNVASRSISGPGMTSTNSTQKQTIPSSLVNKSVVADGPSVPAQSSSGFQHGWSGTPGQLSMADIVKMGRPQVKQSSSKPAVTADKGYTGQYPSLPSTVNQNLKQSASTVSPTNPDQGLHSAQDSIHPKDHNHSAAVNKQAYDNDWLPQDEPPPGNQSALPETSGDQSLYESSLQSSTLVAGVINPHENSHLDENRSAAFSSERHLEHHGGDSEYDDGLLQESSTYLPQKNSHAEDEVEGSNSDVALATENFQGLSLHNEELVPTKLAEDNPAVIIPDHLQVTGSDCVTLSFGSFESGAFSGLLPVPSRSADDNNVELPVIEESVPLDQIDSRDQDYYDSAAVNSSGNENLDTIIGTNMENIDVPSVSQPDVLRQEVLDHSGLQYNLPSDSSAAYANTTQPSTMESSQGNNQAHTLSHLSNLLQANSLHNSLLGSNIAPLRDLDFSLSPLLAAQSMTKYNSAAPTTTGPAISMQETLKPGVFSNAQSTQNLPSTSIATGPPLPQQLVHPYSQPTVPLAPFANMIGYPYLAQNYPAAYLPSAAFQQAYSSNGPFHQSAAAAVPGAMKYNMNVPQFKNNLSATSLQQQPSSVISGYGGFGSSSNLPGNFTLNQNAASASTNLGFDEALSTPYKDPSQYMALQQGDNSAMWLHGAGSRATSALPPSHFYGFQGQSQQGGFRQAQQPQQHSQFGGHGYPAFYHSQSQEHHQNPAEGGLNGFQNAQSQPSHQGWQQHTGY >ORUFI05G27970.1 pep chromosome:OR_W1943:5:24936639:24942243:1 gene:ORUFI05G27970 transcript:ORUFI05G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARSPPPPVVLGTSVVAVAAAAPPIAAMGTRSVALVLLAAVLLQALLPASAAEGLVRIALKKRPIDENSRVAARLSGEEGARRLGLRGANSLGGGGGEGDIVALKNYMNAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSAKCYFSIACFFHSRYKSGQSSTYQKNGKPAAIQYGTGSIAGFFSEDSVTVGDLVVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGDAVPVWYKMVEQGLVSEPVFSFWFNRHSDEGEGGEIVFGGMDPSHYKGNHTYVPVSQKGYWQFEMGDVLIGGKTTGFCASGCSAIADSGTSLLAGPTAIITEINEKIGATGVVSQECKTVVSQYGQQILDLLLAETQPSKICSQVGLCTFDGKHGVSAGIKSVVDDEAGESNGLQSGPMCNACEMAVVWMQNQLAQNKTQDLILNYINQLCDKLPSPMGESSVDCGSLASMPEISFTIGGKKFALKPEEYILKVGEGAAAQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGKMRVGFAKSA >ORUFI05G27970.2 pep chromosome:OR_W1943:5:24936666:24942243:1 gene:ORUFI05G27970 transcript:ORUFI05G27970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSVALVLLAAVLLQALLPASAAEGLVRIALKKRPIDENSRVAARLSGEEGARRLGLRGANSLGGGGGEGDIVALKNYMNAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSAKCYFSIACFFHSRYKSGQSSTYQKNGKPAAIQYGTGSIAGFFSEDSVTVGDLVVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGDAVPVWYKMVEQGLVSEPVFSFWFNRHSDEGEGGEIVFGGMDPSHYKGNHTYVPVSQKGYWQFEMGDVLIGGKTTGFCASGCSAIADSGTSLLAGPTLTQSSQAIITEINEKIGATGVVSQECKTVVSQYGQQILDLLLAETQPSKICSQVGLCTFDGKHGVSAGIKSVVDDEAGESNGLQSGPMCNACEMAVVWMQNQLAQNKTQDLILNYINQLCDKLPSPMGESSVDCGSLASMPEISFTIGGKKFALKPEEYILKVGEGAAAQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGKMRVGFAKSA >ORUFI05G27970.3 pep chromosome:OR_W1943:5:24936666:24942243:1 gene:ORUFI05G27970 transcript:ORUFI05G27970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSVALVLLAAVLLQALLPASAAEGLVRIALKKRPIDENSRVAARLSGEEGARRLGLRGANSLGGGGGEGDIVALKNYMNAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSAKCYFSIACFFHSRYKSGQSSTYQKNGKPAAIQYGTGSIAGFFSEDSVTVGDLVVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGDAVPVWYKMVEQGLVSEPVFSFWFNRHSDEGEGGEIVFGGMDPSHYKGNHTYVPVSQKGYWQFEMGDVLIGGKTTGFCASGCSAIADSGTSLLAGPTAIITEINEKIGATGVVSQECKTVVSQYGQQILDLLLAETQPSKICSQVGLCTFDGKHGVSAGIKSVVDDEAGESNGLQSGPMCNACEMAVVWMQNQLAQNKTQDLILNYINQLCDKLPSPMGESSVDCGSLASMPEISFTIGGKKFALKPEEYILKVGEGAAAQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGKMRVGFAKSA >ORUFI05G27970.4 pep chromosome:OR_W1943:5:24936288:24942243:1 gene:ORUFI05G27970 transcript:ORUFI05G27970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSVALVLLAAVLLQALLPASAAEGLVRIALKKRPIDENSRVAARLSGEEGARRLGLRGANSLGGGGGEGDIVALKNYMNAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSAKCYFSIACFFHSRYKSGQSSTYQKNGKPAAIQYGTGSIAGFFSEDSVTVGDLVVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGDAVPVWYKMVEQGLVSEPVFSFWFNRHSDEGEGGEIVFGGMDPSHYKGNHTYVPVSQKGYWQFEMGDVLIGGKTTGFCASGCSAIADSGTSLLAGPTAIITEINEKIGATGVVSQECKTVVSQYGQQILDLLLAETQPSKICSQVGLCTFDGKHGVSAGIKSVVDDEAGESNGLQSGPMCNACEMAVVWMQNQLAQNKTQDLILNYINQLCDKLPSPMGESSVDCGSLASMPEISFTIGGKKFALKPEEYILKVGEGAAAQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGKMRVGFAKSA >ORUFI05G27980.1 pep chromosome:OR_W1943:5:24943328:24945807:1 gene:ORUFI05G27980 transcript:ORUFI05G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYVGVKGKNVVGGGDVGREMPVAPPSSSSAAVGMVEFPAAAAGLGYAGMTAKEAGGGYQERRVVVGEMDFFKTAEKRGERKEPPPATATAAASGHAGASPDDLSLNKDDLTINMGLLVGRRRNSGSEESIVDDGGVSSNDEEHREAKAALAVTKAEIGRLSEENKRLKNMLSNVTTKYNSLQMQFVTLMQQRRSVLAAPIHQQELLDPEKKEQEGSQQQQQQLIPRQFISLGSASLQPDVEAPHSVVVVGGDVCAPSSSNPDAAVPAMMPLPHFDHHNHHHPIHGGRERGSSPAEADHHRHHQQEQPPPPPQQQQQLPPSWLPADKVPRFLPGKGPEPVPEAATMRKARVSVRARSDAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTVLITTYEGNHNHPLPPAAMAMASTTAAAASMLLSGSMPSADGSLMAGSNFLARAVLPCSSTVATISASAPFPTVTLDLTQTAPPPPPASSTQPQPPRPEPAQLQAALAEAARPVALPQLFGQKLYDQSKLSAVQAVAGTKGSDGGALADTVNAATAAIASDPNFTAVLAAALTSYIGSRSGSGGAGAGGSSGTVQPLMSGGGDSCSRDDKIGEQNS >ORUFI05G27990.1 pep chromosome:OR_W1943:5:24947110:24949478:-1 gene:ORUFI05G27990 transcript:ORUFI05G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLALRLVAPPPRLPCRALQPPPMPCFSPCAARRSRIRSSRLERRVGVVVSGGSMASLAMEEEEEEEWEEAEEEAEGWQEEEAAVVTTRPRLELIEKPDRSLCLLDEYESEELGTSHCANHRSGYVAVLGKPNVGKSTLINQIVGQKLSIVTDKPQTTRHRILGICSEPEYQIILYDTPGVIKKEMHKLDTMMMKNVRSAVGSADCVLVVVDACKMPEKIDEILEEGVGNKDTELPVLLVLNKKDLIKPGEIAKKLEWYQKFTNADDVIPISAKFGHGVDDIKEWILSKLPLGPAYYPKDIASEHPERFFVGEIVREKIFLQYRQEIPYACQVNVISYKSRPTAKDFIQVEILVEKESQRSIILGKDGKAIKMLATASRLDIEDFLQKKVYLEIMVKVKENWRQDELLLKRYGYGGEIQAL >ORUFI05G28000.1 pep chromosome:OR_W1943:5:24949797:24954377:1 gene:ORUFI05G28000 transcript:ORUFI05G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTKGKQRQDKFYHLAKEQGYRSRAAFKLLQLDARFRFLPTARAVLDLCAAPGGWVQVAVNHAPVGAFVVGVDLVPIRPIRGAHSLTEDITTTKCRAAVRRLMDSNGVAAFDVVLHDGSPNVGGAWAQEATAQSSLVIDAVRLATMFLAPKGAFITKVFRSQDYNAIMYCLKQLFEKVEVTKPTASRSTSAEIYIICLRYKAPAKIQPELLDIKHLFSVRDPLKPDRQKRNRDGYEEGNTTLRKVGLASDFIWSEGQTPLEFLGSFNAISFDDPASLPIKNHELTTDEIKALCEDLYVLDKNSFKHILKWRIRIRKALSSSEVTKKTDDTAVEVNVKDDDQLLQEMEELTSVIDRKKKREKKRQSKRRAKDKARKATGMQIDATGDNYGDPDLFSISAIKGGKELQAIESAELNVEDAQGDSENEDIQTREYSDEEMDSDEEQQRYDAQLEEMLDEAYERYVTKKGGEVKQERKRAKRVNTDADEELLEGGEDDGDDVDMDQGSDEEQDQETNPLLLSLDAEKPTKEQMMEQWFSQDVFTEAGTGVVEQSDSEDEREQLTRIAKKKADSGKKEKSAKAKHLQQDDFEIVPAEPVRTEDDSSSSSDESDELDEDLDDDTKAEVLAYARKMLRKKQREQILDDAYNKYMFDDEGLPKWFAEDEKRHNQPMKPVTKEEVAAMRAQFKEIDARPAKKVAEAKARKKRVAMKKLDKARQKADAIADQNDINEQSKRKMIDRIYKKAIPKKPQKEYVVAKKGVQVRAGKGKILVDKRMKKDKRASKVKGGKGAKGKGKKGGGQKGGMRGKAGRKAQN >ORUFI05G28010.1 pep chromosome:OR_W1943:5:24956080:24961483:-1 gene:ORUFI05G28010 transcript:ORUFI05G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTRAAATPRPSGGGGGAGAADTPAGKISFRSRKIVKSTPAKGKSVATTTTAVLSPPPLSSPGELAAALSHLRTADPLLSEVISSTGAPAFISSPSRPAFHSLAHSILHQQLAPSAAAAIYARFLALIPAAADPDAAVVNPAAVLALSAADLRAIGVSARKAAYLHDLAGRFAAGELSESAVAAMDEAALLAELTKVKGVGEWTVHMFMIFSLHRPDVLPSGDLGVRKGVQELYGLPALPKPEEMAALCERWRPYRSVGAWYMWRLMESKGAAAKKAKSNAIATLPSSC >ORUFI05G28020.1 pep chromosome:OR_W1943:5:24957503:24959883:1 gene:ORUFI05G28020 transcript:ORUFI05G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRSSSPNSKSEWSRKENKMFEEALAYYGEDTPNRWDKVASAMGGIKSAEEIRCHYEDLTDDVKTIESGRVQFPKYKTQGYWT >ORUFI05G28030.1 pep chromosome:OR_W1943:5:24963185:24967286:1 gene:ORUFI05G28030 transcript:ORUFI05G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGSRRGGASCADESGSDQDGGSGGGLRKPLLNTGSWYRMGSRSSLAASSMAAIRESHVSAFLCTLIVALGPIQFGFTSGFSSPTQDAIIRDLKLSISEFSAFGSLSNVGAMVGAIASGQMAEYIGRKGSLIIAAVPNIIGWLAISFAKDASFLYMGRLLEGFGVGVISYTVPVYIAEISHQNTRGALGSVNQLSVTIGILLAYLLGMFVPWRLLAVIGSIPCTLLIPGLFFIPESPRWLAKMKMMDDFEASLQVLRGFETDITAERAVASANKRTTVRFKELNQKKYRTPLLIGTGLLVLQNLSGINGILFYASRIFRDAGFTNSDLATCALGAIQISTAGMTLSLLAVSVVFFLEGNISHDSHSFYILSMISLVALVAYIITFSFGMGAIPWVMMSEILPVSIKSLGGSFATLANMLTSWAITMTANLLLSWSAGGTFLSYMIVSAFTLVFVIFWVPETKGRTLEEIQFSFC >ORUFI05G28040.1 pep chromosome:OR_W1943:5:24969652:24974243:1 gene:ORUFI05G28040 transcript:ORUFI05G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGGVGVGDESGSDYESGGGMRKPLLMHTGSWYRMGSRQGSLTGAGTSSMAILRESHVSAFLCTLIVALGPIQFGFTGGFSSPTQDAIIRDLDLTLSEFSVFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGVISYTVPVYIAEISPQNMRGALGSVNQLSVTVGILLAYLLGMFVPWRLLAVIGILPCTVLIPGLFFIPESPRWLAKMNMMDDFETSLQVLRGFETDISAEVNDIKRAVASANKRTTIRFQELNQKKYRTPLILGIGLLVLQQLSGINGILFYAGSIFKAAGLTNSDLATCALGAIQVLATGVTTWLLDRAGRRILLIISSAGMTLSLLAVAVVFFLKDSISQDSHMYYTLSMISLVALVAFVIAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLMLSWSAGGTFVSYMVVSAFTLVFVILWVPETKGRTLEEIQWSFR >ORUFI05G28040.2 pep chromosome:OR_W1943:5:24969652:24974243:1 gene:ORUFI05G28040 transcript:ORUFI05G28040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGGVGVGDESGSDYESGGGMRKPLLMHTGSWYRMGSRQGSLTGAGTSSMAILRESHVSAFLCTLIVALGPIQFGFTGGFSSPTQDAIIRDLDLTLSEFSVFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGVISYTVPVYIAEISPQNMRGALGSVNQLSVTVGILLAYLLGMFVPWRLLAVIGILPCTVLIPGLFFIPESPRWLAKMNMMDDFETSLQVLRGFETDISAEVNDIKRAVASANKRTTIRFQELNQKKYRTPLIVASSKQQSYFSRFGFVTRKISSGLTNSDLATCALGAIQVLATGVTTWLLDRAGRRILLIISSAGMTLSLLAVAVVFFLKDSISQDSHMYYTLSMISLVALVAFVIAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLMLSWSAGGTFVSYMVVSAFTLVFVILWVPETKGRTLEEIQWSFR >ORUFI05G28050.1 pep chromosome:OR_W1943:5:24976584:24977467:-1 gene:ORUFI05G28050 transcript:ORUFI05G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQELAPCTCGMLYGSCGGGCGGAAAAASAFSLLFPMAGGQYYYRQCGGVAEEDSRSPYGGGGAAVDCTLSLGTPSTRRAEAGAYGGGLQPWDVPSSARPGGGGGGKQDGAGVAPCNKEAPAAGRLPRRCANCDTMSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAVAPTPPPSLDTGAGYAYCYSRQPPPPPAPQWGCYGQAAAKSASYAMFDAADDGPCLSWRLNMMPSSPAFAVGERPGLFQYY >ORUFI05G28060.1 pep chromosome:OR_W1943:5:24982841:24985729:-1 gene:ORUFI05G28060 transcript:ORUFI05G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDAAAAAATPSHRRLPDFLQSVNLKYVKLGYHYLITHLLTLLLLPLMAVIVLEAGRTDPDDLRQLWLHLQYNLVSVLVLSAVLVFGATVYVLTRPRPVYLVDFACYKPPDKLKVRFDEFLHHSKLCGFSDDCLEFQRKILERSGLSEETYVPEAMHLIPPEPTMANARAEAESVMFGALDKLFKFTGVKPKDVGVLVVNCSLFNPTPSLSAMIVNKYKLRGNIKSFNLGGMGCSAGVIAVDLARDMLQVHRNTYAVVVSTENITQNWYFGNRKSMLIPNCLFRVGGAAVLLSNRGADRRRAKYALKHVVRTHKGADNKAFNCVYQEQDDEGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPFSEQLLFFATLVAKKLFNAKIKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLQPVHVEASRMTLHRFGNTSSSSIWYELAYMEAKGRVRRGHRIWQIAFGSGFKCNSAVWHALRNVNPSPESPWEDCIDRYPVELDMIALEESPDFAAANSALYSVITLVCTSWIIRYIYGP >ORUFI05G28070.1 pep chromosome:OR_W1943:5:24994581:24998122:1 gene:ORUFI05G28070 transcript:ORUFI05G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAGKRLLGVGLRPAGGGAGEAAAAAAASAVAVVRRRGYHERVVDHYDNPRNVGTFDKDDPDVGTGLVGAPACGDVMKLQIRVDEESGRIVDACFKTFGCGSAIASSSVASEWVKGKQMEDAASIKNSEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKLDKGNE >ORUFI05G28080.1 pep chromosome:OR_W1943:5:25001438:25003093:1 gene:ORUFI05G28080 transcript:ORUFI05G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTVEGSGGGGVQTVEAAVRKGPWTMEEDLSLVNYIAANGEGAWNTLARAAGLNRTGKSCRLRWLNYLRPDVRRGNITPEEHTLIIARYLPGRTDNEVKNFWRTKIQKKHRKSTDGIYATTTSESIMPAATVHQNTVAEDQGSSSVSGRTTTATVAVTQEYATEAPPPSGMSSGSYLDQLQPGYASSIHGGQDGGAAAAAAGDVVVSDEFLAASSDNFWALEDLWPTVQSLHGNC >ORUFI05G28090.1 pep chromosome:OR_W1943:5:25004270:25004809:-1 gene:ORUFI05G28090 transcript:ORUFI05G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTAVSSTLPLFHVRTASSAPAALRFTTRGRGGGRHSVACNSTAASSPKVLELGDAIAGLTLEEARGLVDHLQERLGVSAAAFAPAAVVAAPGAAGGGEDGAPAEKTEFDVVIEEVPSSARIATIKVVRALTNLALKEAKDLIEGLPKKAKEAVSKEEAEEAKKQLEEVGAKVSIA >ORUFI05G28100.1 pep chromosome:OR_W1943:5:25005898:25006257:1 gene:ORUFI05G28100 transcript:ORUFI05G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSPATPLLAGGAHAMRPPRQDTSAAAAAGATGGGGSYTPVFIVLGVIAALLVISCIVGQVCTKKHLRPRPRPDRVAYYDDDMEGGFVHGGGGPGAIAKMEAAAPPATSVEAPPPAA >ORUFI05G28110.1 pep chromosome:OR_W1943:5:25009392:25009898:1 gene:ORUFI05G28110 transcript:ORUFI05G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQRPRRWRDGDGDGEEGPTTAGGGGGVGCRCRSCAAVMLADCIALGCCPCALVSMLSLALVKAPLAVGRRCVGRLRSRRRTLLHNKRVRDVAATSAAAAGEKKAIAKPEEELEAADIVANDDDTASAAAATAGAGAPTDEDLAWLEEMYQMGHWGFGRVSISGKTP >ORUFI05G28120.1 pep chromosome:OR_W1943:5:25011893:25015737:1 gene:ORUFI05G28120 transcript:ORUFI05G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADGDRGVAAAAAAAAVVHDDVLESDEEDFTFAAAAAVTCVVGGGRIGAVVYPVFGRPRSPPPVQEVEEPDTATVRVPLGQLLLEERASAPPSGEQADEDGVLDGVPAETYCLWSPGSPAPAVSNSPARCQKSGSTGSVLRWRQRLIGRSHSDGKEKFVFLSSGSDVRSKGRTTTTSRGDAGGRGGGWRYYASGGGNGGGRRPSFLPYKQDLVGLFANAGAFRRSYHPVQRMASRLEGVGKGGHCVASSVRERELRSVKERKEVEKTKWRRRWRRSCWERCGGWKGEGRTNSVVWRATVVRRRMRQGRGRGGWEAGAHPPLPMARMPSRLEDGGDSGGRHGGEPWRQDTEEEAAHAMGGDTHGRSS >ORUFI05G28130.1 pep chromosome:OR_W1943:5:25020179:25023373:-1 gene:ORUFI05G28130 transcript:ORUFI05G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSAAACFLSPSPPPRPRHHSIKHLACAASRSPSPGPSSSRSLALPSPSASASPWPWPRRLRDLLPDETGRILSSATGSLIVALASAALILGDAGSASAFVVATPRKLQADELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKSGHIVTNFHVIRGASDLRVTLADQTVYEAQVVGFDQDKDVAVLRIKAPTDKLRPVPVGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIKFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPNGPAGKAGLQSTKRDSYGRLILGDIITSVNGTKVTNGSDLYRILDQCKVGEKVTVEVLRGDQKEKIPVILEPKPDES >ORUFI05G28140.1 pep chromosome:OR_W1943:5:25025172:25030656:-1 gene:ORUFI05G28140 transcript:ORUFI05G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGNAGIELRNSTWLHGYSVYAPFCREGHITLRFRLIGNGTRQQRCSDQISGVGSRSFWKASKRARLHGGDGGSGGGVVSQFTDMEGSDSVTSPDLELVAGPLDLGTIFDVDMEDFVHGRRTLFAVCAYALLKAIPGLRALVDDIPHHSYDVGHVGGEPKTVTVNVQALMDELLSEIDYWCLEKDYENSMNMVLMQVSFTQQTNDLLLFESSTKWGCYNCAVHFTRMECSKSIYMDGRSVSEFEEECYLDCHECKIAVGYKRMKVCKLPQVLNFYEVSGLLPELRILNQFLTAHLLHLSVQCPSVSTRPCITTADPGQMRNCNVPKDLSTYYLCSREIPEPWLLVQCKF >ORUFI05G28150.1 pep chromosome:OR_W1943:5:25032178:25033542:1 gene:ORUFI05G28150 transcript:ORUFI05G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIPVELWQEILLRASTKDVARSCCVSTQWRDIVRDPSFRKLHHDRHATAHDVPDALLVATYNIDSQRVASVFPVEPAAVSPTSSTRTATAPICRVNHMEGYRIANICNGFLCFASHSTAKAIVHNPVTGERLEVPRAPRLPPDQDNARSPVTFALGFSPNNCVYKLFRFTNRTMDVYTLGRGAESTGWRRHALPLHPRNLVESKPAVVIGGKICMATIGPAPYRHPADNGTPGPVLVVDVAHEEPCTYSPPDYGLPWADAAVSVFELHGRLCLAIRTERMIQFWTMPVEEDDDDQPWQLLYKFKVVDDIRFNQFQRLVPMSAWLDGHTNTLCYREGNNVYRKYVGTTTATVRRFSSTKVVIMSWDSKICLPVASSSLSSFQWDIYAGYRPTLLSPLTFASGQHEEDDNKCDLFIRSLLRTLRSQKSQKCRPSPTSAGCTNAKRICCINPRGF >ORUFI05G28160.1 pep chromosome:OR_W1943:5:25033986:25035561:1 gene:ORUFI05G28160 transcript:ORUFI05G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAPSSSLRALVVPILPSVSSSPSARPGLRGVAAPVQHARARRRRGAAVVARAAALPSDAEWLERLPEKKKPLYTHSLPCIEAWLRSIGFSQTREDRAVWVAEMPLWHARLSLDVTDLHIRYLKSGPGNLDKDVERRFSYALSREDIENAILGGP >ORUFI05G28170.1 pep chromosome:OR_W1943:5:25039464:25044365:1 gene:ORUFI05G28170 transcript:ORUFI05G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDEAVATQKIGKTTSPPKDQPTPCPFPDWSAVQAYYGPGVLPPTYFAPAIASGHAPPPYMWGPQPIMPPPFGTPYAAMYPHGGAYPHPLMPMMANPLSMEPAKSASSKEKGSNKKLKEVDGAAVSTGSGDSKKTMTSSGDYSAEGSSDVNDLKVGKTGRKRRLDDGAGAETSAAAKMENALPPSHILGSTAILPNHSFPAQVIRPSATNVANSRALGTPISPPPGVIVPSHTGVSTELLIKDERELKREKRKQSNRESARRSRLRKQAETEDLATQVESLTAENTSLRSEISRLSESSEKLRLENSALMGKLKDPAASTQAETSLQKTTTASSPRVVENFLSMIDNTNKTSVRHTEHAEPKLRQLLGSGPATDVVAAS >ORUFI05G28170.2 pep chromosome:OR_W1943:5:25040340:25044365:1 gene:ORUFI05G28170 transcript:ORUFI05G28170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDEAVATQKIGKTTSPPKDQPTPCPFPDWSAVQAYYGPGVLPPTYFAPAIASGHAPPPYMWGPQPIMPPPFGTPYAAMYPHGGAYPHPLMPMMANPLSMEPAKSASSKEKGSNKKLKEVDGAAVSTGSGDSKKTMTSSGDYSAEGSSDVNDLKVGKTGRKRRLDDGAGAETSAAAKMENALPPSHILGSTAILPNHSFPAQVIRPSATNVANSRALGTPISPPPGVIVPSHTGVSTELLIKDERELKREKRKQSNRESARRSRLRKQAETEDLATQVESLTAENTSLRSEISRLSESSEKLRLENSALMGKLKDPAASTQAETSLQKTTTASSPRVVENFLSMIDNTNKTSVRHTEHAEPKLRQLLGSGPATDVVAAS >ORUFI05G28180.1 pep chromosome:OR_W1943:5:25046836:25049964:1 gene:ORUFI05G28180 transcript:ORUFI05G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHYTNLTDPRTELEVVRDWNGVDQVVLRSPRGAYARVSLHGGQVLSWRNDRGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNSGTLEQHGFARNRIWALDEEHPPLNQNDNNSKASVDLILKPSEDDLKCWPHGFEFRLRVSLTKDGNLSLVSRIRNVNGKPFSFSFGYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVSSPNVIAVLDHEKKRTFVIRKEGLPDVVVWNPWEKKSKNIVDFGDEEYKQMLCVDAAAAERQITLKPGEEWTGKLELSEVPSTNCSGHLDQPGIII >ORUFI05G28180.2 pep chromosome:OR_W1943:5:25046824:25049964:1 gene:ORUFI05G28180 transcript:ORUFI05G28180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHYTNLTDPRTELEVVRDWNGVDQVVLRSPRGAYARVSLHGGQVLSWRNDRGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNSGTLEQHGFARNRIWALDEEHPPLNQNDNNSKASVDLILKPSEDDLKCWPHGEVVEHLHLISLLMLTEFDFMYDPAIFDFSFEFRLRVSLTKDGNLSLVSRIRNVNGKPFSFSFGYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVSSPNVIAVLDHEKKRTFVIRKEGLPDVVVWNPWEKKSKNIVDFGDEEYKQMLCVDAAAAERQITLKPGEEWTGKLELSEVPSTNCSGHLDQPGIII >ORUFI05G28190.1 pep chromosome:OR_W1943:5:25051526:25052637:1 gene:ORUFI05G28190 transcript:ORUFI05G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCHEVEVPGKPTETGTALLEAATGSIQGFGPVNQIHQHLCAFHFYADDMTRQVEAHHFCAHLNEDMRQCLIFDGPDAGARLIGVEYIVAEPLFLTLPDDEKPLWHTHEFEVKGGVLFMPGVPGVVERRDLERVCKTYGKTIHFWQVDRGDALPLGLPQIMMALTRDGQLRQELAKCVEEKFSVSFDKERENRAYMSGPDHGIHPLANAAGKGLKTDLREVDLPAMTTAHAGRVFT >ORUFI05G28200.1 pep chromosome:OR_W1943:5:25053924:25055234:-1 gene:ORUFI05G28200 transcript:ORUFI05G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFCGDMIPVKLWWEILLRAPTKDVARSSCVSTQWRGIVSDPSFRKLHHDRHAVPNLNDGISDTLLVATSDVDGESVSSVFPAALVSPAVTGQAPICRVNNPYGYSLTNVCNGFLCFASWSRAKVIVCNPVTGEKLALPRAPHLGLEKRRRYSRPVTFALGFSPTTGAYKLFRFADRRMDVYTLAAAGGWRQHPFPHPYRVVQNTPTIVVGGKICMLTANPASHQHPNDVGKPGPVMVVDVASEEYRTYNPADYGCLWADMAVSGFELHGRLCLAIRSDTEIHFWKMPVEEISMRAWLDGDTHTLCYGVDNKLYSRYVGTTMTMTTSLAARCLSPTEVMSWDCKIRLPTTPPWLVSCNWNIYTGYRPSLLSPLTFASQQDNNDDDEDEGDESRPFVRRLLCALRHQKSQKRRMSPTSTDHTNGKRVCYRNPCIC >ORUFI05G28210.1 pep chromosome:OR_W1943:5:25056618:25061566:-1 gene:ORUFI05G28210 transcript:ORUFI05G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVVVMGGVISGLGKGVTASSIGVVLKACGLRVTSIKIDPYFNADAGTISPHEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDHSITAGKIHHVIPHITNEIQDWIERIAMVPVDGEVDPADVYIIELGGTIGDIESTQFIEAFSQFSYRVGAGNLCVVHVGLVPVLNVVGEQELEKNVKEKLSLFCHVPVANIFTLYDVSNIWRVPLLLRDQKADQAILKVLNLESVAEEPNLEEWMARADLYDTLHETALLHACIACGRKLLVDWVPSTDLEDSTASVAPDAYSTAWSLLRGADGILVPGGFGERGVEGKILAAKHARENDVPFLGICLGMQLAVVEFACHVLKLPDANSTEFDAKTENPCVIIMPECSNEGKGGTMRRGSKRTFFKVANSKSAKLYGSVNHIDERFRHRYQVNPNVVQLFENNGLQVVGTDKTGEIVQIVEIPNHRFFVGVQFHPEFMSRPSKPSALFVGLIAASCGQLDGVLQDAACNHEPQQNQRAEKRPAASDLGD >ORUFI05G28220.1 pep chromosome:OR_W1943:5:25062070:25063848:-1 gene:ORUFI05G28220 transcript:ORUFI05G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHYAFGLQEDDAIIKRRLLTRTTTTRGEPPLKKLQKKFMSFATEVEKDADNISDCERLYKAFLQEINAFVLPLLKSKAVVDANLREKESFNELQDEIQRQILQAQTDIEELKKKLEQSRIERLYKEECEAIRKMISLQPPRSETEKLIAGLEKEIANLEAENTACIRTLELRKKQLSLLLHVVEELQISIEDEQRSIADELRAGAEEQQNMSTDEGSGDASDAMALD >ORUFI05G28230.1 pep chromosome:OR_W1943:5:25064918:25080079:1 gene:ORUFI05G28230 transcript:ORUFI05G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVSAALEEVSARLSAGIPVTDLWAALRGALEAAGLPIGPAVKRAVWARLIVLPVISVVLGEAEAEAEGPVVDDPKVGVEDAERLGMRLVASAALRDNFLGMYDLRHSKSEMSAVQKKALQRVGASRTSGVTQNDLCKSFGMEGNNFHYIVQSLQSQKLIVRRSTIIKFKGNGAEKEDASQNKRVTNTNSLYLTRYAKDCMNSHQRIEIIKPGLLVSNEETNIDDLQDGTFGVNSDNDVSIHDYLPAMKAICDKLEEASGRVLVVSDIKNDLNYRMTFGHRAWRNLSLFVITSCLCYTLYGCTYLGVTATPFLQVLHRLRDAHLVEEFDAKVDDKIVPCLRLLKKFDPNEFLPKIQTSNYKLGKKGQATDQIMELSLENCIYDMISAQGPKGITLVELGKRLGHNNSKRIHRRVSSMLERFKLIREAEVLDKTSQYRVWTSKNFSHYKARIALQNFDVLLDDHDYCADLWSLAPSKGSGSPSPKGDLCVDNNFSFEEEYSDKLIGPHLLSNRETCVGASQLLEEDKSALGKRKRCHRPTSIRDDQRPKRILHMLKKKKFVLMVELHKWLERLEKENGKIMDRKTLTSTVNKLQKEGSCKCIKVSVPLVTNYARNHLVDVILHSSVGDLSMELVNEIKDRQRNFDTETRSRAVTKLRKKQQTAAIHGLRIRRRVKVNKPLVLEAIYANGFIGAKMIRAKLLHKFLWLYVSSLSNWCSPSDYAKEGHLNKNLNQSCLLFSMSAAIKEMPLELFLQVVGSGKKIDCVITKCSLGETLSEIPTKEYDQLMDTHAKGRLSRLITILDKLKLIQLAKASVEDSGVQSDAAPTYSLVLRPYIEEPTPIILPSSHINVSHCPKFRHDFMLSKQESVDAYWETLEYCHLTAGFAKPSSTFPGYSVPEVSHPRSWSSLRVMTTEQRLELQQRVMNVTEKGKLSYKDCRIIAKDLNLSVQQVLCVSSQNRRHRQPRVPVSQSQPKVSSGSTSQKRKRSADEITLKFIKQKVEASGSAQQRSSQSIPNEEVPERIFLSSPDLPEQHYLPVSKTSSTPTYHIDSPVHTDEDKESSPMINQSTLEIVDDIH >ORUFI05G28230.2 pep chromosome:OR_W1943:5:25064918:25080079:1 gene:ORUFI05G28230 transcript:ORUFI05G28230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGHRAWRNLSLFVITSCLCYTLYGCTYLGVTATPFLQVLHRLRDAHLVEEFDAKVDDKIVPCLRLLKKFDPNEFLPKIQTSNYKLGKKGQATDQIMELSLENCIYDMISAQGPKGITLVELGKRLGHNNSKRIHRRVSSMLERFKLIREAEVLDKTSQYRVWTSKNFSHYKARIALQNFDVLLDDHDYCADLWSLAPSKGSGSPSPKGDLCVDNNFSFEEEYSDKLIGPHLLSNRETCVGASQLLEEDKSALGKRKRCHRPTSIRDDQRPKRILHMLKKKKFVLMVELHKWLERLEKENGKIMDRKTLTSTVNKLQKEGSCKCIKVSVPLVTNYARNHLVDVILHSSVGDLSMELVNEIKDRQRNFDTETRSRAVTKLRKKQQTAAIHGLRIRRRVKVNKPLVLEAIYANGFIGAKMIRAKLLHKFLWLYVSSLSNWCSPSDYAKEGHLNKNLNQSCLLFSMSAAIKEMPLELFLQVVGSGKKIDCVITKCSLGETLSEIPTKEYDQLMDTHAKGRLSRLITILDKLKLIQLAKASVEDSGVQSDAAPTYSLVLRPYIEEPTPIILPSSHINVSHCPKFRHDFMLSKQESVDAYWETLEYCHLTAGFAKPSSTFPGYSVPEVSHPRSWSSLRVMTTEQRLELQQRVMNVTEKGKLSYKDCRIIAKDLNLSVQQVLCVSSQNRRHRQPRVPVSQSQPKVSSGSTSQKRKRSADEITLKFIKQKVEASGSAQQRSSQSIPNEEVPERIFLSSPDLPEQHYLPVSKTSSTPTYHIDSPVHTDEDKESSPMINQSTLVRRCTESSKNKEKFMKEKKYFGHTNLTGNC >ORUFI05G28230.3 pep chromosome:OR_W1943:5:25064918:25080079:1 gene:ORUFI05G28230 transcript:ORUFI05G28230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVSAALEEVSARLSAGIPVTDLWAALRGALEAAGLPIGPAVKRAVWARLIVLPVISVVLGEAEAEAEGPVVDDPKVGVEDAERLGMRLVASAALRDNFLGMYDLRHSKSEMSAVQKKALQRVGASRTSGVTQNDLCKSFGMEGNNFHYIVQSLQSQKLIVRRSTIIKFKGNGAEKEDASQNKRVTNTNSLYLTRYAKDCMNSHQRIEIIKPGLLVSNEETNIDDLQDGTFGVNSDNDVSIHDYLPAMKAICDKLEEASGRVLVVSDIKNDLNYRMTFGHRAWRNLSLFVITSCLCYTLYGCTYLGVTATPFLQVLHRLRDAHLVEEFDAKVDDKIVPCLRLLKKFDPNEFLPKIQTSNYKLGKKGQATDQIMELSLENCIYDMISAQGPKGITLVELGKRLGHNNSKRIHRRVSSMLERFKLIREAEVLDKTSQYRVWTSKNFSHYKARIALQNFDVLLDDHDYCADLWSLAPSKGSGSPSPKGDLCVDNNFSFEEEYSDKLIGPHLLSNRETCKKKFVLMVELHKWLERLEKENGKIMDRKTLTSTVNKLQKEGSCKCIKVSVPLVTNYARNHLVDVILHSSVGDLSMELVNEIKDRQRNFDTETRSRAVTKLRKKQQTAAIHGLRIRRRVKVNKPLVLEAIYANGFIGAKMIRAKLLHKFLWLYVSSLSNWCSPSDYAKEGHLNKNLNQSCLLFSMSAAIKEMPLELFLQVVGSGKKIDCVITKCSLGETLSEIPTKEYDQLMDTHAKGRLSRLITILDKLKLIQLAKASVEDSGVQSDAAPTYSLVLRPYIEEPTPIILPSSHINVSHCPKFRHDFMLSKQESVDAYWETLEYCHLTAGFAKPSSTFPGYSVPEVSHPRSWSSLRVMTTEQRLELQQRVMNVTEKGKLSYKDCRIIAKDLNLSVQQVLCVSSQNRRHRQPRVPVSQSQPKVSSGSTSQKRKRSADEITLKFIKQKVEASGSAQQRSSQSIPNEEVPERIFLSSPDLPEQHYLPEIVDDIH >ORUFI05G28240.1 pep chromosome:OR_W1943:5:25081126:25083330:1 gene:ORUFI05G28240 transcript:ORUFI05G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRRRGEGVESIWDKGDEERRRSIWERGKAWGPCVGPRWRAPNAEPKRPSSQIPTAQPDPMVDRLRALVVNRSWRTSLQALPPPPLPPQLPWLLRPSAGGPTFSCLLSGADELSVHRVRVPADLRHARYFGSYDGGWLFLASRQTSGHMLFNIRTEQCLFLPDTVPRPWSSDDFPMIMLAATVSSPPSRGTDDPCIGAAIVHCTPFITDSRQITFWRMGSHMAIPSIPPDHQFDVVSNQFVVEEMEDVIYHKGAFHFVTKLKNVFVCRLALHQADLVVDHREWLMFAPQDDLGYPRPVATARYLVESREQLLMVLKCTCNLPGWPPLVFSVFEMTHVQAPAGAPQYVWTPVPTLVGRMLFVGHGCSRSYELANFPGFQEGIYFLDDLQFYSVSRIVQYQEYLCFDNGKYTLGPPHVASRCFWPDQVNSNYSSPVWLLPGGEDDANNAQAQVDVHMM >ORUFI05G28250.1 pep chromosome:OR_W1943:5:25086124:25088833:1 gene:ORUFI05G28250 transcript:ORUFI05G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSDMTVRLKLYPLPTKPASPHCPTDHGTRQPPPPQLLRAAASHPTIAQPSPSAAHTAAPASVSPVARPILY >ORUFI05G28260.1 pep chromosome:OR_W1943:5:25089000:25091317:-1 gene:ORUFI05G28260 transcript:ORUFI05G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESSPFDEVPAYVLYEIARHIPCKVDRVRALVVNCSWRTSLQALPPPPLPPQLPWLLRPSAGGPTFSCLLSGADELSVHRVRVPADLRGARYFGSYDGGWLFLASGQTFGHMLFNIRTEQRLFLPDTVTQPWRSDDFPMIMLAATVSSPPSAKDDLCFGAAIVSDVGQKLAQRCNVFWELGDCRAVSFVPPQDPFNLFFEMEDVIYYQGAFNFLSIWRNVLECRLTLHQGVLQVHQKWRLFLPQEELSLCRNAAARYLVESRGQLLMVIRERPAYNQSREFFIFDMTKTEEAVDEAEYIWRRMPELDGRMLFVGHGCSRSYEVGDFPGFQEGIYFFDDQDSYSVSSIAEDNEYTCFDNGKWSAGPPLMETYCFWPDQVNSNYSSPVWLLPGGEDDANNAQAQVDVHMLRCFHLLLFHGHGLRPDGHVRGLAGGGQGPRTGARLTPVIPVTVKAKAVVAGPGKLFTQNGNLNAAKIHQASASGPGSCFNRKQSE >ORUFI05G28270.1 pep chromosome:OR_W1943:5:25093352:25094563:-1 gene:ORUFI05G28270 transcript:ORUFI05G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHTPPWEELPADVLRIVFSRVACRADRQVMAGVCGAWRRAVKVKVATGQPPRPRQLPCLLRPNGGDSSVCCLLCSGGHGISVVHAAHLPQQPVDARHARFFGSHDGSWAFLASAQTSGHVLQKFGTDTIIPLPDFMDVQGGESSSIVHLAATLSHQPGHASCLVAAIVKTYPIDVMSLRAVAFWRMDHGTMASELHRTEIEAMEPEDIIFHKGVLLVLTQQENLLAWIPEYTDEGRGVEMHGPEHRACGKPRIYNELAVQSRYLVESQNCLLLIVRYREGHPTSSTQELKIFHLVELEIPDENGIMMTRYNWVELFSLFGEMIFLGRGCSRSYNVSNYPGFTEGVYFLDDGSFYHADLLSHDAADQKYTCSDNGRWVNLQVDRCFPPEQSCSCSPPIWVLP >ORUFI05G28280.1 pep chromosome:OR_W1943:5:25096620:25102548:1 gene:ORUFI05G28280 transcript:ORUFI05G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVVVMGGVISGLGKGVTASSIGVVLKACGLRVTSIKIDPYFNADAGTISPHEHGEVFVLDDGGEADLDLGNYERFLDIKLTRDHSITAGKIHHVIPHITNEIQDWIERVAIVPVDGEVDPADVCIIELGGTIGDIESTQFIEAFSQFSYRVGAGNLCVVHVGLVPVLNVVGEQKTKPTQNSIRKLREYGLTPNIIACRCTKELERSVKEKLSLFCHVPDQKAHEAILKVLNLESVAGEPNLEEWTARADLYDTLQETVRIAMVGKYTGVSDTYLSVMKALLHACIACGRKLVVDWVPSTDLEDSTATVAPDAYNTAWSLLRGADGILVPGGFGERGVEGKILAVKYARENDVPFLGICLGMQLTAVEFARHVLKLPDANSTEFDAKTENPCVTIMPECSNEGKGGTMRRGSKRIFFKVAGSKSAKLYGSVSHIDERFRHRYQVNPNMVQLFENSGLQVVGTDKTGERVQIVEIPNHRFFVGVQFHPEFKSRPSKPSALFVGLIAASCGQLDDALQDAACNHEPQQNRRGEKRLAASDLGDGTCRSKRQVKACSNSNDTDEAQDVTTC >ORUFI05G28280.2 pep chromosome:OR_W1943:5:25096620:25102548:1 gene:ORUFI05G28280 transcript:ORUFI05G28280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVVVMGGVISGLGKGVTASSIGVVLKACGLRVTSIKIDPYFNADAGTISPHEHGEVFVLDDGGEADLDLGNYERFLDIKLTRDHSITAGKIHHVIPHITNEIQDWIERVAIVPVDGEVDPADVCIIELGGTIGDIESTQFIEAFSQFSYRVGAGNLCVVHVGLVPVLNVVGEQKTKPTQNSIRKLREYGLTPNIIACRCTKELERSVKEKLSLFCHVPDQKAHEAILKVLNLESVAGEPNLEEWTARADLYDTLQETVRIAMVGKYTGVSDTYLSVMKAPDAYNTAWSLLRGADGILVPGGFGERGVEGKILAVKYARENDVPFLGICLGMQLTAVEFARHVLKLPDANSTEFDAKTENPCVTIMPECSNEGKGGTMRRGSKRIFFKVAGSKSAKLYGSVSHIDERFRHRYQVNPNMVQLFENSGLQVVGTDKTGERVQIVEIPNHRFFVGVQFHPEFKSRPSKPSALFVGLIAASCGQLDDALQDAACNHEPQQNRRGEKRLAASDLGDGTCRSKRQVKACSNSNDTDEAQDVTTC >ORUFI05G28290.1 pep chromosome:OR_W1943:5:25105431:25106261:1 gene:ORUFI05G28290 transcript:ORUFI05G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVDNNLLLRVLDPASGAAPLVPDYEVHPINYSFTLVRTASTGDYKVLRITHDVALQPRERQVCSVLALGGDGVNGGRLAREVQSPPGNVKTWDKYVAVVDGVAYFVLRDEFLLSETGGGDWITAFDVEAEQWRPELVGGPPETFHNRLRVSLAALRGSLVVAQDDHQAGTLDLWFLLAGDGGKVGPQHWSKLYTVTMPYHGRPFRLDGERAEPVVVLDDGRIVFWVWERRVSSRGGVMRVYDPNTGGQTDVAAEANCVHVGVYTGSLLRPR >ORUFI05G28300.1 pep chromosome:OR_W1943:5:25108121:25116822:1 gene:ORUFI05G28300 transcript:ORUFI05G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAICGETISVDELWREILLRAPTKDVARSCCVSTQWRGIVRDPSFRKLHHDRHAVPSKDDVPDALLVITVNADGQSVSTVVPAMVSPVSTSQRAPMYRVIKNGISYSLANVCNGFLCFASWSRAKVVVCNPITGEKLAIPRAPPIGPDYKSSRARFVLGFSPTTHVYKLFRFADRRIDVYTLPTSGEAGGGGWRQLPLLYPCTVVETTPSVVVGGKICVMTATGTPSWHPPEIPTLGPVLVVDVASEKHRMYSPPDNGCPAADETSFTAFELHGRLCLAIRISMTNTVQFWTLSVEEDDDDDDDLPWQLLYTIKINMKDGYNNGFQELEPMNDWFNGGNNGFIQVPEPMDAWLDGETHTLCYREGSTLYSRYIGTTTTQDLSLTEVMSWDSEIYLPEIPNSLQMCNWGIYTGYRPNLLSPLTFASQQDDDEDEEDESGPFIRQLLCAIRHKKLLKRSLPMTAPTKDVARSSCVSKQWRDIVTNPSFRKLHHDRHAAPPKGDVPYALLVSTDSVDGESVSTVFPAALVSPAVMTGGFHAPIYRVSNAYGYHLANVCNGFLCFASWSGARSSCATLSRLALPRAPPLEPDLFFASPFTFALGFSPTTGVYKLFRFADHSIDSYALAAGDGACSGWRQHPLSHPCLVAENTPTVVVGGKICVLTPGPVMVVDVASEEHRTYNPADYGCPWAQVAVSGFELHGRLCLIFSSGQCRLKKTTATNHGGCSTRSRMTPMMFESATVQASMSAWFDGETHALCYKEGYDLYSRFIGTTTTTTSPAAPSLSQTEVLSWDCKIPLPVTPQSLPSCKWDIYAGYRPGLLSPLTFASQQINDDDDDEDDESTSFVHNLLCALRHQKSLKRQPPMPTDHTNAKRRVCS >ORUFI05G28310.1 pep chromosome:OR_W1943:5:25124002:25124638:-1 gene:ORUFI05G28310 transcript:ORUFI05G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTQYAFLLLSAFMASLFAGSAAGVYHIIGAGKGWRMAPNKTYYADWARTRNISVGDKLMFLYRSGVYNIVEVPTKELFDACSMRNITNRWQNGPTIIELTQPGPRYYFCGVGKHCEEGEKVAINVSVSAPTLPDSDADADDDDADDSDSSAATPATAADLLIYLAGLAACLLPALLLI >ORUFI05G28320.1 pep chromosome:OR_W1943:5:25126223:25133647:-1 gene:ORUFI05G28320 transcript:ORUFI05G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPVSPVPHHHETAQKPVIARGVVVALGWGCGAEMVVGGARMAGTHGFRRVGVVEMEAATAAEVAGAGSGTDGDLQIKGSKENGQTAEQPAASEALEMPSTPLPLPRDIDWSEHFSFFNSVGGFGGSMDGARGLTSVGLSNSESRPDSVTQIQSCLNNADERVEELTLKNCISSDAQHEVSAGGSTSSGEKPTVMRGLWGNFTRMSWRASDVANREKLAANRGDVANLRVGDMPIRENLAVSFGNNMISRNDASNKEMGMSHGDHANNEFNLPFGNQQPFLSPRPNQNEQRVERENALIVSSFSARILDQMRSKNVTPSSGVQSFPFKSVLKGKGVVYQGAREEIQVQGNARTRAPMDKIRKIPNIPQDSMARMDGTIFGSGGNVLEPQCEGTSLRELIKPARQTMSKFEKMHFFKQILDLVDKSHAQGFSLQHLRPSYFTISASNQVKYIGSYGTQDLSAPSKLDIATDDIFNTKRYLDPKVESQDSNGDNASITKYQKVGEQGSIAVRRPVHTFWANHRGGNQSEGVDPGALWQGNSSCTVRERFKAAEPFYGGSMPYAQRPSSSGNQQSVFELRMLEESWYRSPEEISQLKGILPSNIYSLGVLLFEISVIAFCPQIFFQKVLKKLVSVFGYSIQIPVLGLRQGCDLINEGRDLSLLDNKTPVAVNEEDTESGLLLGFLSQLKEEKEMHAAKLSADLASLETDIAEVEKRHSMRMGFSLEDMDVLAGSNDLSGASACALGGASLSGLPPSLCRSSIYEERVMRNLEQLENAYYSMRSTIDTSEANIIKRVDNDALRVRQNFHELHSDANAIDEQADPLGWFFDGLCKYARYSRFEVRGILKNADILNSPNVICSLSFDRDEEYFAAAGVSKKIKIFEFDALLNDRVDIHYPLIEMPSKSKLSCVCWNSYIKNYLASTDYDGTVQLWDASSGQGFTQFTEHRKRAWSVSFSEVDPTKLASGSDDCCVKKNCTDTIRNVANVCCVQFSPYSSRMLAFGSADYKIYCYDLRNTRIPWCTISGHGKAVSYVRFLDPETLISASTDNTLKIWDLNQTNSSGLSTDACSMTLSGHTNEKVFSYYKTFPMPITSHKFGSIDPITGQETNDDNQQFVSSVCWRGRSNMVVAANSTGSIKVLELV >ORUFI05G28320.2 pep chromosome:OR_W1943:5:25126223:25132924:-1 gene:ORUFI05G28320 transcript:ORUFI05G28320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTHGFRRVGVVEMEAATAAEVAGAGSGTDGDLQIKGSKENGQTAEQPAASEALEMPSTPLPLPRDIDWSEHFSFFNSVGGFGGSMDGARGLTSVGLSNSESRPDSVTQIQSCLNNADERVEELTLKNCISSDAQHEVSAGGSTSSGEKPTVMRGLWGNFTRMSWRASDVANREKLAANRGDVANLRVGDMPIRENLAVSFGNNMISRNDASNKEMGMSHGDHANNEFNLPFGNQQPFLSPRPNQNEQRVERENALIVSSFSARILDQMRSKNVTPSSGVQSFPFKSVLKGKGVVYQGAREEIQVQGNARTRAPMDKIRKIPNIPQDSMARMDGTIFGSGGNVLEPQCEGTSLRELIKPARQTMSKFEKMHFFKQILDLVDKSHAQGFSLQHLRPSYFTISASNQVKYIGSYGTQDLSAPSKLDIATDDIFNTKRYLDPKVESQDSNGDNASITKYQKVGEQGSIAVRRPVHTFWANHRGGNQSEGVDPGALWQGNSSCTVRERFKAAEPFYGGSMPYAQRPSSSGNQQSVFELRMLEESWYRSPEEISQLKGILPSNIYSLGVLLFEISVIAFCPQIFFQKVLKKLVSVFGYSIQIPVLGLRQGCDLINEGRDLSLLDNKTPVAVNEEDTESGLLLGFLSQLKEEKEMHAAKLSADLASLETDIAEVEKRHSMRMGFSLEDMDVLAGSNDLSGASACALGGASLSGLPPSLCRSSIYEERVMRNLEQLENAYYSMRSTIDTSEANIIKRVDNDALRVRQNFHELHSDANAIDEQADPLGWFFDGLCKYARYSRFEVRGILKNADILNSPNVICSLSFDRDEEYFAAAGVSKKIKIFEFDALLNDRVDIHYPLIEMPSKSKLSCVCWNSYIKNYLASTDYDGTVQLWDASSGQGFTQFTEHRKRAWSVSFSEVDPTKLASGSDDCCVKKNCTDTIRNVANVCCVQFSPYSSRMLAFGSADYKIYCYDLRNTRIPWCTISGHGKAVSYVRFLDPETLISASTDNTLKIWDLNQTNSSGLSTDACSMTLSGHTNEKVFSYYKTFPMPITSHKFGSIDPITGQETNDDNQQFVSSVCWRGRSNMVVAANSTGSIKVLELV >ORUFI05G28330.1 pep chromosome:OR_W1943:5:25143713:25145242:1 gene:ORUFI05G28330 transcript:ORUFI05G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKLLLLPFPSPPATLHHHPPPPKSLFLGASLPLLHPPPPLRLLRPGAPRRLAVVAQAAVKRRKEIPFDNVIQRDKKLKLVLKLRNILVSNPDRVMSLRDLGRFRRDLGLTRKRRLIALLKRFPGVFEVVEEGVYSLRFRLTPAAERLYLDELHLKNESEGLAVTKLRKLLMMSQDKRILIEKIAHLKNDLGLPPEFRDTICLRYPQYFRVVQMDRGPGLELTHWDPELAVSAAEVAEEENRAREEQERNLIIDRPLKFNRVKLPQGLKLSRGEARRVAQFKEMPYISPYSDFSHLRSGSAEKEKHACGVVHEILSLTLEKRTLVDHLTHFREEFRFSQSLRGMLIRHPDMFYVSLKGDRDSVFLREAYKNSQLVEKSKLVLLKEKMRALVAVPRFPRRGVPATSEEADRTNGAAQMLSEGSDVEDDEDEGLSDMEDLISEISGGKSDTDYHWGDGWVGENDDSPPDFEDDDGSSLKEVKVTMKKTANSANGKAHVPVFPDGRPRERW >ORUFI05G28340.1 pep chromosome:OR_W1943:5:25151363:25152425:1 gene:ORUFI05G28340 transcript:ORUFI05G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELCGGEGEGQIMLATELAQLRAMARELEAKMDPDRVAARELCRALASSVDRSIRLAASCFPPPEHPPPAAGNAGRDAAFKKRQVMVPGHGGLISGKGMAKVRRQVRVTSVQDTASLDDGLSWRKYGQKDILGAKYPRAYFRCTHRHTQGCNATKQVQRADGDPLLFDVVYLGDHTCGQAAVAAAAQSAPPEHAGQEQQRQSSLLAAGTEGIHQQVVAEPMAAPFLFTSTAAGGVDDGYFSFISPANSDCQFSSDFSAGSVGVDMDHEARFEDLFSSTLEFFQSEIQNL >ORUFI05G28350.1 pep chromosome:OR_W1943:5:25153279:25155700:-1 gene:ORUFI05G28350 transcript:ORUFI05G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDDDARHRRVIMSRDCRSRTPSSRTQDKNRSLLLDKVRASHAEALERLRPAADLDAGLCFGLLDPVSNAIVNAAVFAEAEDGNDRTGRSLDGAVTFLTTFFPHLPDWEAVRYLLDADADVLVAARLVVRDRGLRRFGFASDTAGAAVRLALRCAALAVKHPHPDRLVHAWLSLSRRLDEAVSALANHDVRGLVALVVDEAEAPAAANMERAWELAASRFLGRDNGIAAPPYRHAMPLQRTLLYAIQGFYLRALARLPSGELRSHYHRSLVKAGHCYGPMDPVSNIILNVVWYDAAHPPVEELELAMIPPKSMSSIATRSFLGLVSFLCTRYPNLGLHDAIRCLLDFDGDLNAAAREADRRQHRPSCTIQESYAAAAAAARHPKPDEQVEFLSSSRAMTTPLLLQNGGQLSSEDVRRLAAALLPPTPSAAVSPMQRKPTKPRRRRQLKLKAQIIRDQNKICRKVKAALDRYALQNNDPCIALTMTSYTPLIYFRCHVNFLARRRDSDPSAIAGEGALQLFFAECGNYRLNHDGICCPVTISPPCSEQVRCLYCEHEGVGIMHPSIENFHGREVDFEKMVCGEDTCGDEFDPEIDEEPFYTNDGIVNSLKVNILSGLEEEFIYRNSDEGTDEEEGDSDDDIDFV >ORUFI05G28360.1 pep chromosome:OR_W1943:5:25157122:25159892:-1 gene:ORUFI05G28360 transcript:ORUFI05G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGGHRRRRVIEPRDCRSRTLASTTQDKHRSLLLDKVRASHEEALERLRPAAVAADLDAGLCFGLLDPVSNVIVNAVLTAAPRNRKMRRARRRTRRRRRSRRRRSLDGSVTFLTSFFPYLPEWEAVYYLLLADADVLVAARLVVQARGLRRFGFTSGTTAAAVRLALRCAALAVKHPHPDRLVHAWMSLSRRLDEAVSALANRDVRGLVALVGDEAPAPAADMERAWELAESRLRGRGIAARHYWHAMPLQMALLHAIQGFYLRALARLPSGELRSRYHRSLVLAGHCYGPMDPVSNIILNVVWYDAAHPPAEELELAMIPPMSMFRVATRSFLGLVSFLCTRYPDLPLHDAIWCLLAADGDLGAAAREAERRQHRPSCTVQEAYAAAAAAARHPKPDEQVELLSSSRAITTTTTTSLLLQNGGQLSSEDVRRLAAGLLSPNPSAAVSPTQRKPTKPRQRGKLKLQSQFIRDQNKICKKGKAALHRYSLQNNEPGGYELHVICGMNNLVSGPVYCTDDDIVSYTPLIYFRCHVNFLARRRHSDPSAIAGDGALQLFSAECEQVRCLYCEHQGIRIVHPSVENFHGREVEFEKMVCGKDPCEDDFDLLVDEEPFYTNDGIVNNLEYAGAMDGSKRTSFIEIAMKAPTRKKETLMMISILCEVYMTVYFD >ORUFI05G28370.1 pep chromosome:OR_W1943:5:25160548:25162385:-1 gene:ORUFI05G28370 transcript:ORUFI05G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTCRAPPCPWPRPLAAGRARGGYGLGRARGVKRRAAAARGMVVRCGLLPVDPWAPTMDSQSVASQLFAVSLFPYLGFLYFMTRSKTAPGLTLFGFYFLLAFVGATTKVHYGTSLSNVDWLHGSAESLLTLTNLFIVLGLRGALRKLEDTKESASEESQDIKEKAQLKSHVVM >ORUFI05G28380.1 pep chromosome:OR_W1943:5:25165528:25165884:1 gene:ORUFI05G28380 transcript:ORUFI05G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVRSRRDKGRGKWRGGWRREGEALALNLDSAIGGEHGVHVGSVVCLGLDEPHPFAGAVDLRLPDDRLHDAADDRVVVDDRCGLVLDGFGGDGGEYLDEVNEDADGDDLVVVVDGG >ORUFI05G28390.1 pep chromosome:OR_W1943:5:25165612:25165923:-1 gene:ORUFI05G28390 transcript:ORUFI05G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAEPTLGSSLPLSSIDNNDKIVAVCIFVDFIQVFPSVSAEAVEDQAASVVHHYPVVSRIMKPIIREPEIDSTSEGVWFIEAEANYTSYVDSVLTADGTVEI >ORUFI05G28400.1 pep chromosome:OR_W1943:5:25166852:25167358:1 gene:ORUFI05G28400 transcript:ORUFI05G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAQDIYTLEELKSIIGSGVAYRVRLHGVESLEEAITMYRTLDDAVQFRGWACPQLNKLALVAGIAAEVDRVIDELVPSLLEDDENRVLMLLLKNAAWSIRNNGKLVDADAGGIFRVRMHPIANRILKAVRLFLTARSTPTGRRDRLHAIVYDLKIFRESYYLPIP >ORUFI05G28410.1 pep chromosome:OR_W1943:5:25169487:25174104:-1 gene:ORUFI05G28410 transcript:ORUFI05G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWAADQCGLWMRARTLKSIVESRPPKSGRAARQEQRGLLHGLSSGCAAARLHHRAVRPGGRPPPRAPGHGERAGRPGASPVAATRLPAGSRRRPDEGEDISCHIHSLMSMRDAARVACVSRAFARSWRCLPNLDFSEESLGINRSTCKKDEKLGDLTSKIDWILKNHSGIGIKKLIIQVGSVYSRDSSHLAHLDSWLQCAVKPGIEELIVNLSSMNAKYNFPCELLSSGTGDSLRYIYLASCNFHPTVRIGCLKSLTRLQLCMVNITENELRCLLSISLGLERLELRHCSTLKCLKVPCLQRLSYLDVMTCTGLQVIESKAPNLSSIRFEGDLYVQLSLGEPLQIKQLYRLCNDAAFYARTELPSSMPNLERLIIHSDTEMVNTPMVPSKFYHLKYLSIALGGQTYDYLSLVSFFDASPFLETFILNALRERTERATIFGDPSGLRMMPEHRHDKLKCVKIINFSSVKTLVELTCHIVESATALECLTLDTTSGSPRCSVNRLGKCFLMRRETLMEAHRALKAVQTYIELKVPSKVELNVLEPCSRCHALDL >ORUFI05G28420.1 pep chromosome:OR_W1943:5:25173690:25175451:1 gene:ORUFI05G28420 transcript:ORUFI05G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAHAQDALSLALVRGCAAARLHHRAVRPSSRPPPRGPGCGGRAGRPSASPVAATRLPAGSRRRPDKGEVKRRHTQTLGQWQSQDLEMGGCHLRDVG >ORUFI05G28430.1 pep chromosome:OR_W1943:5:25177217:25177649:1 gene:ORUFI05G28430 transcript:ORUFI05G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVRRRAWDCGSPLYDSFELASVYGLLDSNLMALPFAERSAELDAAADRAPARRTAAKEQRRRKKAAAAARRTGKAVLRSIFSADLAVFVSFRQIL >ORUFI05G28440.1 pep chromosome:OR_W1943:5:25179158:25179982:-1 gene:ORUFI05G28440 transcript:ORUFI05G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLRTLPPAIFPGEVRSAVSSLLLSPGGSAPPAPVFPPPPPPVPTPPLGSSVYYRQSELLRHFAASQAAQAQSATAAASSSSAAAAGLDDGAPRKLYRGVRQRQWGKWVAEIRLPQNRVRVWLGTYDSPETAAHAYDRAAFKLRGEYARLNFPGVMDGRDCPDNLRQLRDAVDAKIQAIRVRMARKRARARRQREESKKSQRAEDAKAATPSRPVASERAASETTTTTTTTSSSYGSPDGVLSMSAASVDGDCPLERMPSFDPELIWEMLNF >ORUFI05G28450.1 pep chromosome:OR_W1943:5:25203769:25205781:-1 gene:ORUFI05G28450 transcript:ORUFI05G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETGATDEGHACEVVVAGGDGKAAAARRRRRLELRRLGLAAEDDAAAKRIRSVKDGSSSDDSSTEVVPRSWPACVSHGSVSVIGRRREMEDAVAIERTFMASTGDGAGAIRGGGEGEEDFFAVYDGHGGSRVAEACRKRMHVVLAEEVSLRRLRGQSASGGDVRWKEAMLASFARMDGEVVGSVAAAAPRVDGTEPSGFRTVGSTAVVAVVGRRRIVVANCGDSRAVLSRGGVALPLSTDHKPDRPDELERVEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPFVSAEPEVRVVERTDKDEFLILASDGLWDVVSNEVACKIARNCLNGRAASMFPESVSGSSAADAAALLAELAVSRGSRDNISVVVVELRRLKSRAA >ORUFI05G28460.1 pep chromosome:OR_W1943:5:25214150:25216213:-1 gene:ORUFI05G28460 transcript:ORUFI05G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARACPMGVSVDQRRAAVAVLRAAAAAGELSLGKAVHARVVRAARFDVVQYNNLIALYVKCGRLGLARQVFDAMPSRNPVSGNLLMSGYASSGRHRDALALLRVADFGLNEYVLSSAVAATAHVRSYDMGRQCHGYAIKAGLAEHPYVCSAVLHMYCQCAHMDEAVKVFDNVSSFNVFAFNSMINGFLDRGQMDGSTSIVRSMVRNVGQWDHVSYVAVLGHCASTKEVVLGSQVHTQALKRRLELNVYVGSALVDMYGKCDFPHEANRVFEVLPEKNIVSWTAIMTAYTQNELFEDALQLFLDMEMEGVRPNEFTYAVALNSCAGLATLKNGNALGACTMKTGHWGLLPVCNALMNMYSKSGSVEDARRVFLSMPCRDVVSWNSIIIGYAHHGRAREAMEAFHDMLFAEEVPSYVTFIGVLSACAQLGLVDEGFYYLNIMMKEVGVKPGKEHYTCMVGLLCRVGRLDEAERFIESNCIGTDVVAWRSLLSSCQVYRNYGLGHRVAEQIFQLKPKDVGTYVLLSNMYAKANRWDGVVKVRRLMRELGVRKEPGVSWIQVGSEVHVFTSEDKKHPYMEQITKKLQELIDKIKVIGYVPNIAVALHDVEDEQKEEHLMYHSEKLALAFGLIRTPKGEAIRIMKNVRICDDCHVAIKLISLATGRRIVVRDTVRFHCIEDGVCSCDDYW >ORUFI05G28470.1 pep chromosome:OR_W1943:5:25219672:25222983:-1 gene:ORUFI05G28470 transcript:ORUFI05G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDLDPVQYLSPVAVSFPLPKSQLLSRRMLVVAAGRGCSFLFLVSSGLRGTHQLSQARGLGRLRSAPGSPARPAARGADQLGLGWVGLDLGAGQCELCCCCCCAGGMRWCGLRLLPLVCLLVIAAAAEEDKTNILQADKNNDNNIAHSDGGKTGRHDETNPNTVHHDEGKNDPDGNNKKDKSTEVISTAKYAAAVHHVDKDINTAKSSHVTDFSQDPLIKGCDPSHTCVIENKKFIACLKVPGEDSLALSLLMDNKGMDPLYVGITTPEFVTSAEDTIHVQANDHNETQVTIFNNGAPNMTIILRVAEETCNISIHRAIAREISQVMPMRLTSKYMLVPVFLLIGAVVACIKLRRRGIQDGGPAYQKLDAAELPLSTGGKKEADQSDQWDDNWGDEWDDEAPLTPTRHMPNLSSKGLASRRSTKDGWKD >ORUFI05G28480.1 pep chromosome:OR_W1943:5:25227145:25232154:1 gene:ORUFI05G28480 transcript:ORUFI05G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKIKVANPIVEMDGDEMTRVFWKSIKDKLIFPFLELDIKYFDLGLPYRDQTDDKVTVEAAEATLKYNVAIKCATITPDEARVKEFSLKSMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVYEGKDEEIELEVFNFTGAGGVAQSMYNTDESIRSFAEASMATAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAQWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFTQKLEAACIGAVESGKMTKDLALLVHGSSNVTRSHYLNTEEFIDAVADELRSRLAAN >ORUFI05G28490.1 pep chromosome:OR_W1943:5:25231339:25236687:-1 gene:ORUFI05G28490 transcript:ORUFI05G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKDCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVIDKERRGDYLGKTVQVVPHITDEIQEWIERVAMNPVDGTDEPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEENVKVKLAQFCHVPISNIVNLHDVTNIWHIPLLLRDQKAHESILKVLDLQCVGKVPRAPKLTEWTERASKFDKLKTPVRIAMVGKYTGLSDSYLSVLKALLHASVALDRKLVVDWVPSCDLEDSAATETPDAYEKAWDLLKGAHGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPATTTPCVIFMPEGSKTHMGATMRLGSRRTFFQANTCKSAKLYGNASYVDERHRHRYEVNPEMVPEFEKAGLSFVGRDESGTRMEIIELPTHRFFVGAQFHPEFKSRPGKPSPLFMGLIAASSGQLDHLLQQSCGVVSSPVRRGNYCNGATKQQKLYQNGHVKNGLVNGCYYANGNSILHT >ORUFI05G28490.2 pep chromosome:OR_W1943:5:25231339:25236687:-1 gene:ORUFI05G28490 transcript:ORUFI05G28490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKDCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVIDKERRGDYLGKTVQVVPHITDEIQEWIERVAMNPVDGTDEPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEENVKVKLAQFCHVPISNIVNLHDVTNIWHIPLLLRDQKAHESILKVLDLQCVGKVPRAPKLTEWTERASKFDKLKTPVRIAMVGKYTGLSDSYLSVLKALLHASVALDRKLVVDWVPSCDLEDSAATETPDAYEKAWDLLKDVPLPPQGAHGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPATTTPCVIFMPEGSKTHMGATMRLGSRRTFFQANTCKSAKLYGNASYVDERHRHRYEVNPEMVPEFEKAGLSFVGRDESGTRMEIIELPTHRFFVGAQFHPEFKSRPGKPSPLFMGLIAASSGQLDHLLQQSCGVVSSPVRRGNYCNGATKQQKLYQNGHVKNGLVNGCYYANGNSILHT >ORUFI05G28500.1 pep chromosome:OR_W1943:5:25252388:25255196:1 gene:ORUFI05G28500 transcript:ORUFI05G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQREKQKRRARKKRGREVEKEKKSKQRQGTASSPAESNTKTSRDPAAGGVLLPLPPPQPTALIPSAISVHLRLKKLSDVGGVRRDGERRRWRSREGAGPVPADRQHRPHHAPGRAGERQDRQGLQGVRPGVRLRVHQLHHQRSKRQVPQGEAQDHQWGRPDLVNGHARIRGLCRASQALPQALPGG >ORUFI05G28500.2 pep chromosome:OR_W1943:5:25252388:25255204:1 gene:ORUFI05G28500 transcript:ORUFI05G28500.2 gene_biotype:protein_coding transcript_biotype:protein_coding LQREKQKRRARKKRGREVEKEKKSKQRQGTASSPAESNTKTSRDPAAGGVLLPLPPPQPTALIPSAISVHLRLKKLSDVGGVRRDGERRRWRSREGAGPVPADRQHRPHHAPGRAGERQDRQGLQGVRPGVRLRVHQLHHQRSKRQVPQGEAQDHQWGRPDLVNGHARIRGLCRASQALPQALPGG >ORUFI05G28510.1 pep chromosome:OR_W1943:5:25258107:25258523:-1 gene:ORUFI05G28510 transcript:ORUFI05G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEREGAVVAKGHEEGMKMAASLLEEFGLPLGLLPLGDVVEVGFARATGYMWIAQRRKVEHHFRMVGKHVSYDADITGYVKPRCIKKLKGVKAKELMLWPPVNEIAVDEPSTTGKIHFKSLAGVTKTFPVEAFAAGQ >ORUFI05G28520.1 pep chromosome:OR_W1943:5:25259460:25262593:-1 gene:ORUFI05G28520 transcript:ORUFI05G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTTLALSHPKTLAAAAAAAPKAPTAPAAVSFPVSHAACAPLAARRRAVTAMVAAPPAVGAAMPSLDFDTSVFNKEKVSLAGHEEYIVRGGRNLFPLLPEAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFDEARAAGFTEESGTLGDIWETVSGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSAGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVEALFRRYTEQGMDEEMAYKNTVEGITGIISKTISKKGMLEVYNSLTEEGKKEFNKAYSASFYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGEKVRSTRPENDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESVIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKDAPINQDLISNFMSDPVHGAIEVCAELRPTVDISVPANADFVRPELRQSS >ORUFI05G28530.1 pep chromosome:OR_W1943:5:25265491:25270119:1 gene:ORUFI05G28530 transcript:ORUFI05G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGDDAAAAVRMRAAVKRLSFGTAEERAEAAGEVGRLARSDEGRKRLLPELGVVPPLVSMLADARGGGAGARMAAAGALLELARGAHRNKVHIVQAGLLKKLPLLMDDKDMSRSQELALLLLSISSLANTDFPLASSELLPFLVAVLSADDAPADTKLPCLGALHNLSAKLEHVRDVASSGAVRALLALSLDRKTSEAALSVLGDLAATAAGREEMEEDEAAPRALVEAMTWHDAPRCQEHAAYLAMVLAHGSRLQRRRMRRFGVVQALLEVSLLGSPLAQRRAAKILQWFKEEGQDRIRAHSGPRMEGASSASCDDGGEGAKDRRNAVDRIVKQSLDRNMKSILRRATASVDLTSVKLLVGSSSSKSLPCETLHP >ORUFI05G28540.1 pep chromosome:OR_W1943:5:25269258:25273847:-1 gene:ORUFI05G28540 transcript:ORUFI05G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSPATPKTSSSRKAPPPPPPLVQAAAHHAAAGQPETPRRRSSGSPASASASASGSKTGVFARSFGAYFPRSSAQVQPARGAAPEVGELVRLVEELQERESRLRTELLEHKILKETVAIVPFLENELAAKSSELGRCRDALTRLESENARLRAALDAAAASSRDNEQRILEMERQMTELRKRRQRDVATGPDDCSSSASSDNSESSNAATNSAKSAKVAGCSSVRPPPPPPPPPLPPPMPATFKSKSYFSGSSRASPANSSSSSSSSSAPSTPSCSSDTAASRSRLPELSKLPPIPPPPPPPPPPPMPRSRSASPSPSTSSSGSAGPPAPPPPPPPAAKRTSRTSTPATTSSSAPASGPCVRRVPEVVEFYHSLMRRDSKRDGGGGGGGAEACPGGGAAAARDMIGEIENRSAHLLAIKSDVERQGDFIRFLIKEVEGAAFVDIEDVVTFVKWLDVELSRLVDERAVLKHFEWPEQKADALREAAFGYRDLKKIEEEASSFCDDPRQPCSSALKKMQALFEKLEHGVYSLARVRDGAMNRYRGYHIPWEWMQDTGIVSQIKLQSVKLAMKYLRRVSSELEAIKDGPDEEELMLQGVRFAFRVHQFAGGFDGDTMRAFQELKEKASTFQSQRECQNQHLQQHKLAGRS >ORUFI05G28550.1 pep chromosome:OR_W1943:5:25277394:25278927:1 gene:ORUFI05G28550 transcript:ORUFI05G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQQWLGDGTARRWRELHGESDWDGLLDPFDLDLRRTVIRYGEMAQATYDAFNHEKLSPHAGLSRFAARRFFERAQLPGHSAAYRVARFVYATSCVAVPEPLILRSASRARRCRESNWIGYVAVATDEGKAALGRRDIVVAWRGTVQSLEWIKDMDFVMVPPKGLLRDKASDAMVHRGWLSMYTSRDSESSHNKDSARDQVLSEVAKLVSMYQDEELSITVTGHSLGAALATLNAFDIVENGYNRAPRAAAAAAGCPVTAFVFASPRVGGHGFKRRFDGARGLGLRLLRVRNARDVVPRYPPAPPYHGVGTELAIDTGESPYLRRPGNELVWHNLECYLHGVAGARGGEAGRFKLAVERDVALANKSYGALRDEHAVPAGWWIPSNRGMVRGADGRWTLMDREEDEDSAE >ORUFI05G28560.1 pep chromosome:OR_W1943:5:25279193:25283224:-1 gene:ORUFI05G28560 transcript:ORUFI05G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPMLGGIADRWRELHGQDSWNGLLDPLDLDLRSSILSYGELVQATYDSFNRERRSPHAGACVYGHGDLLAAAGASAAGSYAVTKFVYATSGLPVPEAFLLLPLPSLLPPAWSRESNWMGYVAVATDEGVAALGRRDIVVAWRGTVESLEWVNDFDFTPVPAAPVLGAAAAANPRAIVHRGFLSVYTSSNKDSKYNKASARDQVLEEVRRLMELYKDEVTSITVVGHSLGASLATLNAVDIVANGANCPPASSSSSQPPCPVTAIVFASPRVGDGFFKAAFASFPDLRALHVKNAGDVVPMYPPLGYVDVAVKLRISTSRSPYLRSPGTIETLHNLECYLHGVAGEQGSAGGFKLEVDRDVALANKGVDALKDKYPVPPRWWVSKNRCMVKDADGHWALHDFEQI >ORUFI05G28570.1 pep chromosome:OR_W1943:5:25292075:25292533:1 gene:ORUFI05G28570 transcript:ORUFI05G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAEKAPAAGKKPKAEKRLPAGKGEKGGAGEGKKAGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >ORUFI05G28580.1 pep chromosome:OR_W1943:5:25297965:25301457:-1 gene:ORUFI05G28580 transcript:ORUFI05G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLLRYAAQALRRRDYSSAAAAAERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAGTPGVAADVSHINSPALVKGFVGEEQLGEALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKGLCTAISKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKANVPVTEVNVPVVGGHAGITILPLFSQATPASNALSHEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPDVVECSFVQSTVTELPFFASKVRLGKNGVEEVLGLGQLSEFEKEGLENLKGELKSSIEKGIKFAHAN >ORUFI05G28590.1 pep chromosome:OR_W1943:5:25302804:25312184:-1 gene:ORUFI05G28590 transcript:ORUFI05G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPTAAKPVALLPAPICRCGGGGLRSTLLALMPPAAAAASRFRVSASASDVPDFLSSDWLETRKKKPFGPRLNFNAEEAVEYQLEALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGRQFDLGQNLYKQRVWVRGSRPEEEAIFQFTMVQRVGGSWDGYWLTESLINDDGDALSGDESWFAIFVFGEVCLLHAMAEQQQQQAPLLQRPAAAPPSSSRLPDFKQSVKLKYVKLGYHYLITHGAYLLLAPLPGLVAAHLSTFTLGDLADLWQNLQYNLVSVLLCSTLLVLVSTAYFLTRPRPVYLVDFACYKPDDERKCSRARFMNCTERLGTFTPENVEFQRKIIERSGLGEDTYLPEAVLNIPPNPSMANARKEAEMVMYGALDELLAKTGVNPKDIGILVVNCSLFNPTPSLSAMVVNHYKLRGNVVSYNLGGMGCSAGLISIDLAKDLLQVYPNTYAVVISMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNRGSARRRSKYQLVHTVRTHRGADDRCFGCVTQREDADGKTGVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVTRKVLKRKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLHRFGNTSSSSLWYELAYAEAKGRIKKGDRTWQIAFGSGFKCNSAVWRALRSVNPAKENNFTNPWIDEIHRFPVPVPKGFVGPHSSPTPVSSPPPLASPPFSPMALPNQGTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFTTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVEAVALKPPEVPIDLAMQQQHEAELAAAAAQPLPDDDDDLIE >ORUFI05G28590.2 pep chromosome:OR_W1943:5:25302804:25307039:-1 gene:ORUFI05G28590 transcript:ORUFI05G28590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQQQQAPLLQRPAAAPPSSSRLPDFKQSVKLKYVKLGYHYLITHGAYLLLAPLPGLVAAHLSTFTLGDLADLWQNLQYNLVSVLLCSTLLVLVSTAYFLTRPRPVYLVDFACYKPDDERKCSRARFMNCTERLGTFTPENVEFQRKIIERSGLGEDTYLPEAVLNIPPNPSMANARKEAEMVMYGALDELLAKTGVNPKDIGILVVNCSLFNPTPSLSAMVVNHYKLRGNVVSYNLGGMGCSAGLISIDLAKDLLQVYPNTYAVVISMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNRGSARRRSKYQLVHTVRTHRGADDRCFGCVTQREDADGKTGVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVTRKVLKRKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLHRFGNTSSSSLWYELAYAEAKGRIKKGDRTWQIAFGSGFKCNSAVWRALRSVNPAKENNFTNPWIDEIHRFPVPVPKGFVGPHSSPTPVSSPPPLASPPFSPMALPNQGTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFTTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVEAVALKPPEVPIDLAMQQQHEAELAAAAAQPLPDDDDDLIE >ORUFI05G28590.3 pep chromosome:OR_W1943:5:25308214:25312184:-1 gene:ORUFI05G28590 transcript:ORUFI05G28590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPTAAKPVALLPAPICRCGGGGLRSTLLALMPPAAAAASRFRVSASASDVPDFLSSDWLETRKKKPFGPRLNFNAEEAVEYQLEALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGRQFDLGQNLYKQRVWVRGSRPEEEAIFQFTMVQRVGGSWDGYWLTESLINDDGDALSGDEVTIIVRASVSRVVYRRTGDAMHPYTSTLYTH >ORUFI05G28600.1 pep chromosome:OR_W1943:5:25313104:25315716:1 gene:ORUFI05G28600 transcript:ORUFI05G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPARTHPNPPLLHLLASHRAPQPLPLTPAHGHLPPRKRPRGVGSAAAPPPPRAAASAEATYSDRSAALRALCSHGQLAQALWLLESSPEPPDEGAYVALFRLCEWRRAVDAGMRACARADAEHPSFGLRLGNAMLSMLVRFGEIWHAWRVFAKMPERDVFSWNVMVGGYGKVGFLEEALDLYYRMLWAGMRPDVYTFPCVLRTCGGIPDWRMGREVHAHVLRFGFGDEVDVLNALVTMYAKCGDIVAARKVFDGMAVTDCISWNAMIAGHFENHECEAGLELFLTMLENEVQPNLMTITSVTVASGMLSEVGFAKEMHGFAVKRGFAIDVAFCNSLIQMYTSLGRMGDAGKIFSRMETKDAMSWTAMISGYEKNGFPDKALEVYALMELHNVSPDDVTIASALAACACLGRLDVGIKLHELAQNKGFIRYVVVANALLEMYAKSKHIDKAIEVFKFMAEKDVVSWSSMIAGFCFNHRSFEALYYFRYMLGHVKPNSVTFIAALSACAATGALRSGKEIHAYVLRCGIGSEGYVPNALLDLYVKCGQTSYAWAQFSVHSEKDVVSWNIMLSGFVAHGLGDIALSLFNQMVEMGEHPDEVTFVALLCACSRAGMVIQGWELFHMMTEKFSIVPNLKHYACMVDLLSRVGKLTEAYNLINRMPIKPDAAVWGALLNGCRIHRHVELGELAAKVILELEPNDVAYHVLLCDLYTDAGKWAQVARVRKTMREKGLEQDNGCSWVEVKGVTHAFLTDDESHPQIKEINVVLHGIYERMKACGFAPVESLEDKEVSEDDILCGHSERLAVAFGLINTTPGTTISVTKNRYTCQSCHVIFKAISEIVRREITVRDTKQLHCFKDGDCSCGDIGYG >ORUFI05G28610.1 pep chromosome:OR_W1943:5:25320376:25321878:1 gene:ORUFI05G28610 transcript:ORUFI05G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFGGFGGWSAMDVAAAAAAALGNVSGAVYHADPAAAVYASLVPGMAVVPGRAPPSAVQIEAARRWKELEKMALRSVNLMVTCAGAIQAGDYAAAAGSLSDAREIFAKMPTTRTGIGRVLTHFADALAERLFPAFPQSAPPPPPPRGEQRELFRGFYEAGPYLKFAHLAANQAILEAFEGCNSVHVIDFALTDGIQWPSLIQALAVRPGGPPFLRITGIGPHAAGNRDELRDVGLRLAEFARSCSVPFAFRGIAADQLDGLRPWMFQVAPGEAVAINSVLQLHRLLVDQDAAAAASFPAPIDGVLDWVASMNPRVFTVVEQEADHNKSSLLERFTNSLFYYASMFDSLEAISRHGGGDGAGNPLAEAYLQGEIADIVSREGSSRVERHEQMPRWVERLRRGGMTQLPLGATGLWQAAMQLREFSGAGFGVQENGGFLTLTWHSQRLYSASAWRATAGKKMTMMASGAADAMEESQNSNTNGGGGGSSGGGHGALNQIMQ >ORUFI05G28620.1 pep chromosome:OR_W1943:5:25327562:25327984:1 gene:ORUFI05G28620 transcript:ORUFI05G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSCQLKPAPAPAAASRGGGAPHLQQLRRACVAAAAACAVLGTAGGPGEGAVMARAPEATAAAAAGPARWSDRRQCPPWRANSLENIVPENLPRPSARRRFNSITAAAAAESAPPPASASPDAVLPFLAPRSGMGCFSL >ORUFI05G28630.1 pep chromosome:OR_W1943:5:25329956:25330444:1 gene:ORUFI05G28630 transcript:ORUFI05G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWIARAWCAQLLLVGGLRLKRMDHAESLESVHRHADAVQDRAQATAGEDEWWLGEPDGHVLPENDAHGAEVNHIGEYAEWHEERQRLDGVEPELEHQHGVHDELASFTNPMASNPQRKSTNQSKFRTTTTPSDDMPMLIDWISSPLLRTRLASTKTNPEI >ORUFI05G28640.1 pep chromosome:OR_W1943:5:25332293:25332769:1 gene:ORUFI05G28640 transcript:ORUFI05G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLVSQPRRSIQMYWARRNYRRLGSPPSRHLRVARLGGGAGGARSTKDAAVRRGRLSSWNARAAARAVLLSPLRLMARIRDAYVDAMLALAAGGGAALSRSRSRSCAATEAAAGLLDRRVPRARLQGSGGDFERRMMEHIYNMIVTPELPGAAARA >ORUFI05G28650.1 pep chromosome:OR_W1943:5:25334799:25341467:1 gene:ORUFI05G28650 transcript:ORUFI05G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPASVANLGTNGRPAASSSHLASRIRFAGLGSIRRWQYAPGRLCRCMVVTNLIDEEKGVQFSSRGSVSVKPSDDSDLLLKPPQKPIRANGPPESVNAASPSPSRPTLEDRDKVRESLDEVLEKAEKLKASTSGNGIGNGDLRQNGASKPDSSATPAAEGANSRKTKTLKSVWRKGNPVSTVHKVVRDHPRSESRNQSSSTAKPSMPAPTKPVPPLLTKPSVVAPPPRRPVKADTSKEKKGPILIDKFASNKPIVDPVVAAALIEPVKPVRGPPAKVKDDRRKKTSTPAGPRRRMPKNDGLVDEDTAVRKGRRWSKAKRRAARLQLEASQVEEPVRVEILEVGEEGMVIEELAYQLAIDESEILRFLSVRGAMLDNVQTLDKDLVKMVCMEYDVEVLESGPVKVEEMAKKKEFLDEEDLDKLEDRPPIVTIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYQVIVQVDGNPHACVFLDTPGHEAFGAMRARGARVTDICIIVVSADDGVRPQTNEAIAHAKAAGVPIVIAINKVYFLVISSSITVVKRKILLSCFSNLLIKQIDKEGANAERVMQELSQIGLMPEAWGGDIPMIQISALNGEGVDELLETIILVAELQELKANPHRNAKGTVIEACLDKAKGSLATLVVQNGTLNKGDIVVCGEAFGKIRAMYDDGGKLIDKAGPSNAVQVIGLNNVPLAGDEFESVDNLDVARERANARAEALRIERISSKAGEGKVTLSSIAASVSSGKQVGIDTHELNIILKVDFQGSVEAIRQAIQVLPQENVSLRFLLQAPGDVSVSDVDLAVASEGIIFGFNVKAPGSVKSYAKKKSVEIRLYKVIYDLIDDLRNAMEGLLELAEEEVPIGSAKVRAVFSSGSGKVAGCMITTGKVVHDCNVRVLRKGKEVYMGTLDSLRRVKETVKEVGAGLECGIGVDDFDEWEEGDVVEAFNTVKKTRTLEEASASVTAALKDAGVQL >ORUFI05G28660.1 pep chromosome:OR_W1943:5:25343011:25347176:1 gene:ORUFI05G28660 transcript:ORUFI05G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTATLPVIEAPWPPPSSCLARLLRDQPSHPASTPGVWRGSPIGPVTYDLGPVDVRLCYTTYDFGYGITDLSCDGTPAASCCSRRRVEEPSPPPSGLAEELEPQLAPGHAEELRRHLLPAALKSCSTACFWRRRAKDFGMGMDLGEGGYRTCTDAHPHILLKGTMTTQVWLPLSQSLPTSISKCLV >ORUFI05G28660.2 pep chromosome:OR_W1943:5:25344988:25347176:1 gene:ORUFI05G28660 transcript:ORUFI05G28660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRSVPQKGVRPSLFKVQMNPHEMRNKLTRNRRQGMCMMRSVRRATQFARMGWRCELQGCELWKNG >ORUFI05G28670.1 pep chromosome:OR_W1943:5:25350700:25352241:1 gene:ORUFI05G28670 transcript:ORUFI05G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTLFRWLFLLLAIVSLAAADSGSGEAETTVPGQIRLSCGASASATDGDGRAWDGDAVSTFAPSVTGVAADASYQDPSLPSPVPYMTARVFSSSYTYSFPVKPGRVFLRLYFYPSAYGNLGAAAAAADALFGVTAGGITLLRDFNASQTALAVGYAYIVREFSLNVSSGATSLNVTFAPSPRGAPGHGSHHYAFVNGIEVVPTPDMFTTPVPAFANGGRPNPMPLRADTAFQTMYRLNVGGEAITPRDDAGGFYRTWDNDAPYIFGAAFGVTFAKDSNVSVRYNPPSVPKYAAPEDVYATARSMGPNAQINLNYNLTWILPVDAGFYYLLRFHFCEIQYPITKVNQRSFFIYINNQTAQSQMDVIVWSGGIGRAVYTDYLVVTAGSGQMDLLVALHPDLSSRPEYFDAILNGLEVFKLHKYGTHSLAGPNPPIPLKQVFSTVDGSRSESRKKSVVCAAVGGVAAGCFLAVLVAFAVAWAVRRRQRKAAAEKPADGLLGPTKGSALYDPVQK >ORUFI05G28680.1 pep chromosome:OR_W1943:5:25355412:25356491:1 gene:ORUFI05G28680 transcript:ORUFI05G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSSPSPPSADPVTGSSDAASSFLPSLLIIAALLAFVLLASVSIHLLLRLLSRSSPPPPPPPPLPRTRREVHNVEAADASPVRRNGVCEGKKEVVGDEKQRLIESLPLFTMASSLAALPKSSPDCAVCLSPFTLDAELRLLPACRHAFHAACVDAWLRTTPSCPLCRATVTLPHPSISAILAAEQPPPPEPRSRDRSRSFRVEMGSVSNRSASTATGGNARPTYSLGTFDYHIDEEVEAVVSRAAPMTTRSAAAVKEDKPAAEQSPPPPGEAVAEAAGATRGWLREYVERLATSASSLSSFSGRWSSRWSQSYQSHHSHSQEEPWLWDAEAVRMSPPGTEEEETAFMVLYRWIAGV >ORUFI05G28690.1 pep chromosome:OR_W1943:5:25360463:25364192:1 gene:ORUFI05G28690 transcript:ORUFI05G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKRNQKRRRRQIRAQNELIRWCKRKGSPCQHGDSRAVKTMRRSTPYPCLPEDIWHHIHSLMPMRDAARAACLSRTFLQSWRSHPNLILNKDTIGLNASACGGNFSRKVDHIMRNHSGIGVKIFRLEYLGVVGFDASRYLDSWLQVVVKPGIEELTLVLCKTKREYNFPCSLLSDGIQNSIRYLRLDWCALRPTAELGPLQSLTSLRLRSVSIRGEELQCLLSNSPALEQLRISSCTEIVCLKIPCSLQKLSNLTVIGCDSLKVLENKAPNLSSFFVSGCSNLRILENKTPNLSSFFCRGVGAKLSLGETLKMKKLGMGRANAVHYARAELPSIMPNLETLNIRSGPEAVNTPVLPTKFLYLKHLSISLIAVSTLSPSYDYFSLVQQRSMEHESVFAKSSGLRQIPENRHDSLKTVKISGFCSAKSLVELTCYILKNVVSLESLTLDTIHGDCRCYLKTSPFCNHIEEDILMEAPRALSAIRRYIEKIVPTTVKLTVLEPCSRCHAKGLQRISC >ORUFI05G28690.2 pep chromosome:OR_W1943:5:25360463:25364192:1 gene:ORUFI05G28690 transcript:ORUFI05G28690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKRNQKRRRRQIRAQNELIRWCKRKGSPCQHGDSRAVKTMRRSTPYPCLPEDIWHHIHSLMPMRDAARAACLSRTFLQSWRSHPNLILNKDTIGLNASACGGNFSRKVDHIMRNHSGIGVKIFRLEYLGVVGFDASRYLDSWLQVVVKPGIEELTLVLCKTKREYNFPCSLLSDGIQNSIRYLRLDWCALRPTAELGPLQSLTSLRLRSVSIRGEELQCLLSNSPALEQLRISSCTEIVCLKIPCSLQKLSNLTVIGCDSLKVLENKAPNLSSFFVSGCSNLRILENKTPNLSSFFCRGVGAKLSLGETLKMKKLGMGRANAVHYARAELPSIMPNLETLNIRSGPEVQQRSMEHESVFAKSSGLRQIPENRHDSLKTVKISGFCSAKSLVELTCYILKNVVSLESLTLDTIHGDCRCYLKTSPFCNHIEEDILMEAPRALSAIRRYIEKIVPTTVKLTVLEPCSRCHAKGLQRISC >ORUFI05G28700.1 pep chromosome:OR_W1943:5:25364361:25368489:1 gene:ORUFI05G28700 transcript:ORUFI05G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNPQSPSQNPRHLRPKNPRRRPAPPSSRGASSEHYSLHVAEPASQHCRLLALLAGDPVSLRHRRASCAAPFPWSHCSAPPPVEPTSAVVFSWSQWSALPPNISSMAISSLPVTAACRLLHTSPIGTAILYHSVFYYSDYLCFALYIVTDVYQQGSKLPQVFQVLLSEEKNTFIRGSTILE >ORUFI05G28700.2 pep chromosome:OR_W1943:5:25364323:25368489:1 gene:ORUFI05G28700 transcript:ORUFI05G28700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNPQSPSQNPRHLRPKNPRRRPAPPSSRGASSEHYSLHVAEPASQHCRLLALLAGDPVSLRHRRASCAAPFPWSHCSAPPPVEPTSAVVFSWSQWSALPPNISSMAISSLPVTAACRLLHTSPIVTDVYQQGSKLPQVFQVLLSEEKNTFIRGSTILE >ORUFI05G28710.1 pep chromosome:OR_W1943:5:25369385:25370593:-1 gene:ORUFI05G28710 transcript:ORUFI05G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGELQWIVIHGVRDAVLRAAAIIGPRLAVIGDVRVSDAPAVLTLLEKEVVRQDWEIERRHRLDRLARLDHELAIILEAIVPMLMHTPFHIVAGRELQRYGWSIQHLAASIFGPVHALHAAVGPVGRFLRRHARHQHHGTRDAAWLAGNILEVRDRVSALRSLVVMFPEEDPAAAAAAAGDDDHDDDGAEFVEDDAGSDVEGGGGELQWIVIHGLHDAVLRAAAIVGPRLVIIGDVRVSDAPAVLALLDKEGVRQGWEIERRHRLARLAKLDHELAIVLEVIVPMLMHTPFHIVAGRELQRYGSSIQHLAASIFGPVHALHAAVRPVGRFLRRHARHQHHGTRDAAWLAGNILEVRDRVSALRSLVVMFPEEDPAAAAAAAGDDDHDDGPEFAEDDDEE >ORUFI05G28720.1 pep chromosome:OR_W1943:5:25373414:25374619:-1 gene:ORUFI05G28720 transcript:ORUFI05G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSPAPPWAELPDAVLLGIVRRIPCAFDRAHVGEICRSWRRTLLQIPPPRPLPGILLLTPHGPTLSFVVAGDAWCTHPAFVPEAFRRARYFGSYDDSWLFLAVGQDNGHALFNLTDSQEEELPNWGTFQLHDRELGAEILLVAATLSSSPNVHGSVAGGILTADLPPANSMEHIAFWRTGSDVMSKAIRASGVGPLEDVTYHDEAFHFLTLDDIIVVCRASMAEPGSPGKIVVVDEVHVSIELGNIAPRDELGYRDLRIVASYLVESRNDLLMVEKLAPNLLSPASAFRVFQMIKERLHDGQVRYSWEELTTKLDGRMLFVGQGCSRSYEAANYPGLDAGVYFLDDRSTRHDPKIPFQEARARRYLCSDNGKWSGTPPQIKLCVPDPGPSNHSPPVWFFP >ORUFI05G28730.1 pep chromosome:OR_W1943:5:25380704:25381312:1 gene:ORUFI05G28730 transcript:ORUFI05G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLLQLRGEPKRRHTNQQLSQKRNHQKWNTNADEEKKLDSNMKTKVAVVSSIGFPSGPVLGDDVVNRHTPKMMATAPPRTLYDVCAESVDAGEGSVPAGGGESTRGEEEVAGAVEGESLAVASGGVGGGGEVISVAGLGVAVDFGEGVGVVGGGGAATVDVLGLGSDGPKPGDVGPTGRKPFYTPKASS >ORUFI05G28740.1 pep chromosome:OR_W1943:5:25392125:25394592:1 gene:ORUFI05G28740 transcript:ORUFI05G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALLPPAHPGRFYSDFGPKPFGSGDQRLSSPNLLTNGGDLFYGCYSPFSPTRVLSPPPPRRAASFSHCSSSSDSVVDDGDGAGAAAATEHRLHLAHLALQYQEMANRFELCLSHLADAADEAAALRQENAELRVANNDLACRIAKFGGRQSSAIALAGDLRRLRLPKEQTVPALPPPPQSPPAALMNPVAVPEKQAVLPKSISIRSTGYQKLNQGGKHRVSKPVNVGSQRVFVGIDGAEGGEHKVGVKKEEPPMGGLEFEVYNQGMFKTELCNKWEETGACPYGDQCQFAHGVAELRPVIRHPRYKTQVCRMVLAGGVCPYGHRCHFRHSITPADRFSFGH >ORUFI05G28750.1 pep chromosome:OR_W1943:5:25395797:25398183:-1 gene:ORUFI05G28750 transcript:ORUFI05G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAAQLTPARIDFSGVDPAAPGTGRWAEVRAEVMGALTTHGWFDAHYPQLTPELRAGLFDAAVRPLFALPVDAKRRNYHGPEKPFHGYLGGLPGLDSYESLAIVDGLKPESVRAFADLVLPRGANDDDGFCEIVNGAAKRIADLEGMVRRMILEGLGVAEHHEAQGESFWHLFRMSEYRAPNSDEKPEELTGDDYVPWPTXPHHQLLPLAAVSLSSIVNCSAALPSWSSAAAHPHPPAPDLVACLDGDGSGGRCHRARGWREHVDTNWLSIVCQNEVNGNEMQTRDGEWVLVEPSPTSLIVNVGNALRAWTNDRLHAPFHRIMVSGHATRYTCMLFSSPNFMIQVPNELVDECHPPRFKTHDNDDFIRFCVSEEGARHEDKLKAFCGL >ORUFI05G28760.1 pep chromosome:OR_W1943:5:25400248:25400778:-1 gene:ORUFI05G28760 transcript:ORUFI05G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAFPIRFTRGIRSHWGRRKYQRLEAANGGGKTRATQQLGGARRGGAGWGLRLRRLLRVRVRVARAWLSSPARLLARIRDAYVGGMLAVSRKASGMSLPNAPEGLWPRRVPRRKQLPAARPGQLTDFEQRLVVEIYKSIVASKELTTMLHHSTAHLPQQHNTAAPASSGQLLVN >ORUFI05G28770.1 pep chromosome:OR_W1943:5:25406764:25407285:-1 gene:ORUFI05G28770 transcript:ORUFI05G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRQQQHAHGEGRTAAQRLGYAPAASADSPSTTLLRRVQTHAPNSTQVVGFLTLAVSGAVLLLLTGLTLTGAVVALIFLGPIALLTSPIWVPVAVALFVLAAAALSACAFVVVAVAAGTWMYRYFTGRHPVGADRVDYARSRIADTASHVKDYAREYGGYLHSRAKDAAPGA >ORUFI05G28780.1 pep chromosome:OR_W1943:5:25410598:25416068:1 gene:ORUFI05G28780 transcript:ORUFI05G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKKEPDFFTEYGEANRYKVSEVIGKGSYGVVAAAVDTQTGERVAIKKINDVFDHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYVIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKLKVCDFGLARVSFNDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAELLTGKPLFPGKNVVHQLDLMTDLLIYLALPSAESLAKIRNEKARRYLSNMRKKPRVPFTKKFPGVDPMALHLLERLLAFDPKDRPSAEEALTDPYFNGLANSEREPIAQPISKLEFEFEKRKLAKDDVRELIYREILEYHPHMLQEYLRGGDQMSFMYPSGVDRFKRQFAHLEEGVSKGEKSSPQLRQNASLPRERAIGNKHGDDEYHAKLNVGEKPCHASVTDGISKPLMSARSLLKSESISASKCIGEKPKQDRDEDSLTESMDETADEVSEKVAQLKI >ORUFI05G28790.1 pep chromosome:OR_W1943:5:25420735:25423659:1 gene:ORUFI05G28790 transcript:ORUFI05G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMGILKCPSSLKLSVAVPGHALNPFSDGGSGSGSGSGSGCNNNNTRELGIHLDLDRPYAREELPQQGGSMEVQKGEERGGVRHNSSNHRRLSRVQSKQLDEFYRVNHTVDSTSHLRSRKLMEHFQKQKKELADRLNLRISQVDAWFRNRRLSHYYVGLTFLLPHMSVSVEDVNPMIPQYKSVSSVEQVQSSSSAPVTVMLLLVIPFRLIFTNLQSKQKSTEMECAYLKECFNKLKEENHRLQLQVEQLRSTSLQLQLQLQLHSERVATAPTGQQAGTSAAARIFTLPLSGYNPSRGTWFSPNAH >ORUFI05G28800.1 pep chromosome:OR_W1943:5:25424994:25427229:-1 gene:ORUFI05G28800 transcript:ORUFI05G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWHELYMVLSAVVPLYVAMMVAYGSVRWWGVLTPEQCSGINRFVAVIAVPLLSFHFISSSDPYAMNLRFVAADTLQKVLVLAALAAWSRFPARFVPPAWPPLDCSITLFSVSTLPNTLVMGIPLLVSMYGPYSGDLMVQIVVLQSIVWYTLLLFLFEFRAARVLIAAQFPDTAASIAAVHVDPDVVSLEGSQAEAHAEVAPDGRLRMVVCRSSVSRRSAAAAATPRASNLTGVEIYSISSSRNATPRGSTFTLADIPGHQPPNSALRASSFGAADLFSLHSSSRQHTPRPSSFDEHAAARARASATVAPTNDLKDTHMIEWSSGASAASEVTGLPVFRSGRETRRLVPSDAPSIASSRVIRPPPGATGGERAASFNKAVGGQDELAKLEAGAKTEQQTTAVTTTTKGGGAAGAERARGQQNAPAGVMLRLILTTVWRRLIRNPNTYASLIGLTWSLIAFRFHITMPIIVAKSISILSDAGLGMAMFSLGLFMATQPKIIACGYSVAAASMGVRFFFGPAIMAAASAAVGIRGTLLRIAIVQAALPQGIVPFVFAKEYNLHATILCTLVIFGMLIALPITLVYYIILGLL >ORUFI05G28810.1 pep chromosome:OR_W1943:5:25428785:25429060:-1 gene:ORUFI05G28810 transcript:ORUFI05G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEGRTAQETERTKLGRWWIVQVLHAESAPPSSSFRLTPLGTGIGDERRQQGRGTMGTRGNEEFVQTPEEKRAAAAAIGGGGRRRPDEK >ORUFI05G28820.1 pep chromosome:OR_W1943:5:25433124:25434017:-1 gene:ORUFI05G28820 transcript:ORUFI05G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTITARNPHDSLSFSRRHFKWPVLGKSYSHGATRGEEDYMKSSEAEEEEDEATMAFSSVCPSFHSEDFVSPPKKPPRQQHPQQQHPPQRRKVRTAVSRLRSALAAAVTGRHRQVGLGARLTGTLYGHRRGHVHLAFQLDPRACPALLLELAAPTAALVREMASGLVRIALECERAKGGPAPALPTATGGGKRLLEETVWRAYCNGKSCGYAVRRECGAADWRVLRALEPVSMGAGVIPAASCGGGEGDVMYMRARFERVVGSRDSEAFYMMNPDSTSTSSNSNSGGPELSVYLLRV >ORUFI05G28830.1 pep chromosome:OR_W1943:5:25436622:25441221:-1 gene:ORUFI05G28830 transcript:ORUFI05G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSTSSARRRAARAPPPPNLGDLSGVSAPLPSNGLHAPSISTHPTNAATPNPSPPGASIVVVVRPAMQPASPVSGDAGPVAEAVPPRGAPQVLVRRRSVPFSPDSPLAPGSRGGGERRSTFREDVSHAAAETYLVTRLAFILLRYLGVGYRWISQLAALIIYAILLMPGFIRVGYYYFFSRQVLRSVIYGDQPRNRLDLYIPRDPKKPSPVVAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTISDMVSDASDGISFVCETVGAYGGDPNQIYLMGQSAGAHIAACALLEQAAKESRGEQISWSVTQIKAYFGLSGGYNIENLVDHFHERGLYRSIFLSIMEGKKSLPHFSPETVAKKLCPETIALLPQIVLLHGTDDYSIPFSASETFAGVLKQAGAKAKLLLYEGKTHTDVFLQDPLRGGRDKLVEDVISVIHADDADAREKDALAPIPGRLVSEWQIKLAHRISPF >ORUFI05G28840.1 pep chromosome:OR_W1943:5:25445063:25445575:-1 gene:ORUFI05G28840 transcript:ORUFI05G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSEAAPAPQQLRGSQLKQLRELFRRFDMNGDGSLTQLELAALLRSLGLRPTGDEVHALLAGMDANGNGSVEFDELAAAIAPVLTTQTHLVDQAQLLEVFRAFDRDGNGFISAAELARSMARLGQPLTFEELTRMMRDADTDGDGVISFKEFAAVMAKSALDFLGVA >ORUFI05G28850.1 pep chromosome:OR_W1943:5:25447391:25466731:-1 gene:ORUFI05G28850 transcript:ORUFI05G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYDEMPPAMVLVPPPFTFPAAAARTRMAVPAYEVMFGKLQRRSLFDDYFDQVGSITSGMIMLRPLVDSHVDLTAKMTTTGGEALFRWQSMVQLRSCAYYPKYRIGAFGTFPLLKANRDCSEGDYGIMGLRYGSENLSIGASFLPFALSGQVPYGAWLVGRKGNISAGIQYKPLCESMHPVPLTDLKNWNCAISYGMGSTSPLSPSFNFSLELVRNTQLVASFYQHFVVQRRVMNPREEEHIIGTTNFVDFGLELATSLDKDKAKENASNPSFQVAASWQASKNFLVKGKLGPSKSSMALAMKSWWRPFFTFSFTAMYDHLKGTGSYGFGISIEDLKEPSYQMAYSNYVIVTQNKEDVEPRFLKKLGKKYIFQPDIDSGNYDNLPTGLKPIDKILKEREKKRQRAHSASSEMGIFWSKAEPPPPMVLVPPLFDYPPIAARTRMSVPAYELMFGKLSLQNLFEDYFDHAGNMTSRVMLKPLEDPHVDLIATVSAAADKIDGTQVKGDALFRWQKDLDDPHTFVDLLVSTSNPMLQVRSCAYHPKYRVGAFGTFPLLMGNRVRSEDYGVMGVRYGSENLSFGSSFVPFPGSAELPSGAWLVGRKGSLSAGVQYKPLNWKNWNCAISYGVGLTSPLSPSFIFSLELARSTEFIASFYQHMVVQRRVKNPFEDDQIVGITNYIDFGLELATRIDKDKPSESANNSLFQFAASWQANKNFLFKGKLGPSKSSVALAFKSWWRPSFTFSVTVKLLDWGEDGHRSEQDIAALRAAFSQEVSVWHKLDHPNVTKFIGAIMGARDLDIQTESGHLAMPSNICCVVVEYLAGGSLKGFLIKNRRKKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPSDMTGETGTLGYMAPEVLNGSPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEMPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPVDQRQGCLSCFRQYRGP >ORUFI05G28850.2 pep chromosome:OR_W1943:5:25450543:25466731:-1 gene:ORUFI05G28850 transcript:ORUFI05G28850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYDEMPPAMVLVPPPFTFPAAAARTRMAVPAYEVMFGKLQRRSLFDDYFDQVGSITSGMIMLRPLVDSHVDLTAKMTTTGGEALFRWQSMVQLRSCAYYPKYRIGAFGTFPLLKANRDCSEGDYGIMGLRYGSENLSIGASFLPFALSGQVPYGAWLVGRKGNISAGIQYKPLCESMHPVPLTDLKNWNCAISYGMGSTSPLSPSFNFSLELVRNTQLVASFYQHFVVQRRVMNPREEEHIIGTTNFVDFGLELATSLDKDKAKENASNPSFQVAASWQASKNFLVKGKLGPSKSSMALAMKSWWRPFFTFSFTAMYDHLKGTGSYGFGISIEDLKEPSYQMAYSNYVIVTQNKEDVEPRFLKKLGKKYIFQPDIDSGNYDNLPTGLKPIDKILKEREKKRQRAHSASSEMGIFWSKAEPPPPMVLVPPLFDYPPIAARTRMSVPAYELMFGKLSLQNLFEDYFDHAGNMTSRVMLKPLEDPHVDLIATVSAAADKIDGTQVKGDALFRWQKDLDDPHTFVDLLVSTSNPMLQVRSCAYHPKYRVGAFGTFPLLMGNRVRSEDYGVMGVRYGSENLSFGSSFVPFPGSAELPSGAWLVGRKGSLSAGVQYKPLNWKNWNCAISYGVGLTSPLSPSFIFSLELARSTEFIASFYQHMVVQRRVKNPFEDDQIVGITNYIDFGLELATRIDKDKPSESANNSLFQFAASWQANKNFLFKGKLGPSKSSVALAFKSWWRPSFTFSVTAVNDHLKGTRSYGFGIRVEDLRQPSYQRADPNYVMLTPSKEHLAPGVLREYGKRPMFQAEKKKTRGKNRGKPRAAGRALGWAPRARRDTTRHDTTARAHPVSLPSPSPSPSPAAACLGSPCAHMPLRLATLFPPPPLLA >ORUFI05G28850.3 pep chromosome:OR_W1943:5:25450543:25466731:-1 gene:ORUFI05G28850 transcript:ORUFI05G28850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYDEMPPAMVLVPPPFTFPAAAARTRMAVPAYEVMFGKLQRRSLFDDYFDQVGSITSGMIMLRPLVDSHVDLTAKMTTTGGEALFRWQSMVQLRSCAYYPKYRIGAFGTFPLLKANRDCSEGDYGIMGLRYGSENLSIGASFLPFALSGQVPYGAWLVGRKGNISAGIQYKPLCESMHPVPLTDLKNWNCAISYVASFYQHFVVQRRVMNPREEEHIIGTTNFVDFGLELATSLDKDKAKENASNPSFQVAASWQASKNFLVKGKLGPSKSSMALAMKSWWRPFFTFSFTAMYDHLKGTGSYGFGISIEDLKEPSYQMAYSNYVIVTQNKEDVEPRFLKKLGKKYIFQPDIDSGNYDNLPTGLKPIDKILKEREKKRQRAHSASSEMGIFWSKAEPPPPMVLVPPLFDYPPIAARTRMSVPAYELMFGKLSLQNLFEDYFDHAGNMTSRVMLKPLEDPHVDLIATVSAAADKIDGTQVKGDALFRWQKDLDDPHTFVDLLVSTSNPMLQVRSCAYHPKYRVGAFGTFPLLMGNRVRSEDYGVMGVRYGSENLSFGSSFVPFPGSAELPSGAWLVGRKGSLSAGVQYKPLNWKNWNCAISYGVGLTSPLSPSFIFSLELARSTEFIASFYQHMVVQRRVKNPFEDDQIVGITNYIDFGLELATRIDKDKPSESANNSLFQFAASWQANKNFLFKGKLGPSKSSVALAFNYQRADPNYVMLTPSKEHLAPGVLREYGKRPMFQAEKKKTRGKNRGKPRAAGRALGWAPRARRDTTRHDTTARAHPVSLPSPSPSPSPAAACLGSPCAHMPLRLATLFPPPPLLA >ORUFI05G28850.4 pep chromosome:OR_W1943:5:25447391:25450472:-1 gene:ORUFI05G28850 transcript:ORUFI05G28850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGGDGGFVRADQIDLKSLDEQLERHLSRAWTMEKRKEEAAADQRGSKPPALAAAHYSQNRRQRREEWEIDPAKLVVRGVIARGTFGTVHRGVYDGHDVAVKLLDWGEDGHRSEQDIAALRAAFSQEVSVWHKLDHPNVTKFIGAIMGARDLDIQTESGHLAMPSNICCVVVEYLAGGSLKGFLIKNRRKKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPSDMTGETGTLGYMAPEVLNGSPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEMPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPVDQRQGCLSCFRQYRGP >ORUFI05G28860.1 pep chromosome:OR_W1943:5:25467016:25469796:1 gene:ORUFI05G28860 transcript:ORUFI05G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGSPEVGMEDSSATHLSDEVQDPGRGSSDASVRTSLRDSTGKEAVAAVEPVREELVQSAVGFLKHPKVVASSDVQRRSFLEKKGLTVDEIDEAFRRLLSPSSNSMSPNYCTYQGVSDHSSKITQENPSTVTKCMDDDSGRPEPETESVDPVVPRHPKSYMEIMEMIQRGERPDDIQDINDDPPNPYQPISESRMAPKPKPWEKQGQESSIWELKSQSMDTIESRSEIQLDSANQFTETENRSNQGDSLLLEETVAGSEAHTDDAASTKS >ORUFI05G28870.1 pep chromosome:OR_W1943:5:25474038:25474958:-1 gene:ORUFI05G28870 transcript:ORUFI05G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERIPEPQDPTADVAADVLPAEASSSDVTTTGVEEESDSDGEFEFEFPFVSRDSPAGTAAVADDLFADGRIKPFYPVFGRAGAGGGGDRQQHLAKDDAAATVPPRTRGPLGRLFLEESRGSFDRWSTSTSSSSSSSAPASDEGGLDGAPPESYCLWTPGAGAGSASASASPRPPRKSGSTGSMARWRRISELVVGRSHSDGKEKFLFLPIPPPSSKENDVEHFKPKPKPPKPTPASGRKTAQAAAAEIDTVAAIHRIAYGAKGGGATGTSAGGGTPRRTFLPYREELVGLFANVNGISRSHPHPF >ORUFI05G28880.1 pep chromosome:OR_W1943:5:25482332:25490828:1 gene:ORUFI05G28880 transcript:ORUFI05G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSMEAEVEEMRAALALAPLGRHGAWRSGAAAKREAGAEEGAAPEARTVCVTGGISFVGLAVVDRLLRHGYAVRLALETQEDLDKLREMEMFGENGRDGVWTVMANVMDPESLNQAFNGCVGVFHTSSLIDPGGISGYTKHMAILEARAAEQVVEACVRTESVRKCVFTSSLLACVWRQSYPHHRRRFPAIIDESCWSDESFCRDNKLWFALGKTMAEKAAWRAARGRDLKLVTICPALVTGPGFRRRNSTPSIAYLKGAHAMLAEGLLATADVERVAEAHVRVYEAMSGGGAAGGRYICYDHVVRRGEEFAELQRQLGLPITGVAAASRPGYSDDGDVGGDGRFALCNGKLARLVSSRRRCTYDVYYPASYD >ORUFI05G28880.2 pep chromosome:OR_W1943:5:25482332:25490035:1 gene:ORUFI05G28880 transcript:ORUFI05G28880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSMEAEVEEMRAALALAPLGRHGAWRSGAAAKREAGAEEGAAPEARTVCVTGGISFVGLAVVDRLLRHGYAVRLALETQEDLDKLREMEMFGENGRDGVWTVMANVMDPESLNQAFNGCVGVFHTSSLIDPGGISGYTKHMAILEARAAEQVVEACVRTESVRKCVFTSSLLACVWRQSYPHHRRRFPAIIDESCWSDESFCRDNKLWFALGKTMAEKAAWRAARGRDLKLVTICPALVTGPGFRRRNSTPSIAYLKGAHAMLAEGLLATADVERVAEAHVRVYEAMSGGGAAGGRYICYDHVVRRGEEFAELQRQLGLPITGVAAASRPGYSDDGDVGGDGRFALCNGKLARLVSSRRRCTYDVYYPASYD >ORUFI05G28890.1 pep chromosome:OR_W1943:5:25489349:25493248:-1 gene:ORUFI05G28890 transcript:ORUFI05G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGIKGLTFLLLLVLLVLCSNVSLSDARSGKHWRQNRASSSTLLRRKGKGKTNNSHKQYGKGNQDPYQPSPSTSPNVPVNPSERPVQGKGHPAPTMPPPSSGSGHTLPSPPPPLPPLLPPPQPPAAQSQNTVFNVVDFGARGDGVTDDTQAFEEAWAAACKVEASTVLVPSELEFVVGPISFSGPYCKPNILFQLDGTILAQTSTRVWGSGLLQWLEFTKLSGISIQGSGVINGRGQEWWTYSDPNDDDNDDVDAYNVELEKMPQIKPTALRFYGSSNVTVTGITIVNSSQCHLKFDSCQGVMVHDLTISSPENSPNTDGIHLQNSKQVSIHHSNLACGNALINSIKAKPTGFRTKGKLKTLVQVSEVIFALCDAGDDCVSIQTGCSDINIHNVNCGPGHGISIGGLGRYNTKACVSNVTVRDVNMFKTMTGVRIKTWQGGSGLVQGIRFSNIQVSEVQTPIIIDQFYCDRTTCRNQTSAVAVLGVQYENIRGTFTIKPAHFACSDSSPCSEITLTGIQLKPLIVPQYHLYNPFCWQAFGELSTPTIPPISCLQIGKPSGNNVMSDYDLC >ORUFI05G28900.1 pep chromosome:OR_W1943:5:25492897:25495674:1 gene:ORUFI05G28900 transcript:ORUFI05G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVAEMAIVLEDALFCLQCLPLLASDKLTLEHRTSKTRRSRKGFVTGRSGRTNCRYRTREKGPPHPKLPNAITPKHKQSHQPLLSSMYHPRRPAKSPISNQSSIHPCNHPAARAGTHQHHSFAVFNSHKQATEEEVS >ORUFI05G28910.1 pep chromosome:OR_W1943:5:25497154:25500288:1 gene:ORUFI05G28910 transcript:ORUFI05G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLLVIVPVLMPLGWHAENIGHSDTTKGQGKGESACLSSQDDLQSSLGSSTSGRGGRQEALIFSDTCYSFHLKCRNPVHKSKSFDQDRRLDEPLNAMKKIA >ORUFI05G28920.1 pep chromosome:OR_W1943:5:25504251:25505558:1 gene:ORUFI05G28920 transcript:ORUFI05G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHYYSGGAGHHQDVAAAGSPGDMASSTFSLFFPMSNGQCWPPSTVEESAAYDDHSTVTTSPSSPSSSSTGSVDCTLSLGTPSSRRAEPVAAAAPAANHGAPVPAHYPSLSAATVSWDATAESYYCGQQGRPATGAAKCAAGAGHDALLDRRCANCGTASTPLWRNGPRGPKSLCNACGIRYKKEERRAAATTTTADGAAGCGFITAQRGRGSTAAKAAPAVTTCGEETSPYVVGGGGGGGEVADAAYLAWRLNVVPPAATATAFSVWPERASLYHYN >ORUFI05G28930.1 pep chromosome:OR_W1943:5:25506424:25510866:-1 gene:ORUFI05G28930 transcript:ORUFI05G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGEESGGEDGGRTASASDLRKPFLHTGSWYKMSSAGGGGGMGSRLGSSAYSLRDSSVSAVLCTLIVALGPIQFGFTCGFSSPTQDAIISDLGLTLSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGVISYVVPVYIAEIAPQTMRGALGSVNQLSVTIGILLAYLLGMFVPWRILSVLGILPCSILIPGLFFIPESPRWLAKMGKMEDFESSLQVLRGFETDIAVEVNEIKRTVQSSRRRTTIRFADIKQKRYSVPLMIGIGLLVLQQLSGVNGILFYAASIFKAAGLTNSNLATFGLGVVQVVATGVTTWLTDKAGRRLLLIISTTGMTITLVVVSVSFFVKILPVNIKSLAGSVATLANWLTAWLITMTASLMLSWSNGGTFAIYAAVCAGTLVFVCLWVPETKGRTLEEIAFSFR >ORUFI05G28940.1 pep chromosome:OR_W1943:5:25511727:25513960:1 gene:ORUFI05G28940 transcript:ORUFI05G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFKNIVMXRSKKPPHSSDPNQSKKPRLTLTVPGRPLSADGEVAAAIQHLRAADPALATVIDAHDPPAFQCPHRPFHSLVRSILYQQLAFKAAASVYSRFLSLLGGEHNVLPEAVLALTTQDLRQIGVSPRKASYLHDLARKYASGILSDAAVVNMDDRSLAAMLTMVKGIGAWSVHMFMIFSLNRPDVLPAADLGVRKGVQHLYGLDAVPRPSQMEKLCEQWRPYRSVGAWYMWRLIESKAPPPPPAIPVGPPALTEHGDELMLQQQQHQQQQQQSVIQMIDPLQMLPGMG >ORUFI05G28950.1 pep chromosome:OR_W1943:5:25516344:25518293:1 gene:ORUFI05G28950 transcript:ORUFI05G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTENYDPCYPDQPVVHRYLPVWAKLPAFAAKPAFVWADDGDTAMSYATLTYSQLDAAVERMSSGLLGALRRADTVLVLASPGLRLVKLLFACQRAVLTAVPIIPPDPSRPGAGGAAHSHLLRAVSQTRPRAAVADARYIDAIKKSNAVVDVAGEPDRLAAMLRSLRWLSVDELEQGGANGAAPVTPFVGGEPEDAYLIQYTSGATGAQKPVVITAGAAAHNARAARRAYELHPGSVVVSWLPQYHDCGLMFLLLTVVAGATCVLASPDAFVRRPRLWLELVTEFRATCTPVPSFALPLVLRRGRSPDGRRRRPLELGSLENLILINEPIYKSCVDEFVAEFRGDGLLPASISPSYGLAENCTFVSTAWRSRRCVDLPSYKKLLPSARLSFHMADEEPEIEIIMVDEETGEPVEDGVEGEIWVSSPSNASGYLGHPSATREVFCATLPGKGSCYVRTGDRGVVVVRGAERYLYVVGRSADVLALDVDGGQRSVCAHYIETAAFGGAPDRLRGGCIAAFATSPVPSTSLVVVVAELLKGSGGGGGGGDHKDICEGIKRAVWEEEGVRVGWIVLVDSGVVPKTTSGKLRRGAAREKLLAGKLPILLEARYDGDESSSSVPWDGGEEEMEKCPGMDAAYGSASRRLRLQSFL >ORUFI05G28960.1 pep chromosome:OR_W1943:5:25522181:25522942:1 gene:ORUFI05G28960 transcript:ORUFI05G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRGHDEPVDEMGVAYGRTPPSSSPAASASAGNGAGAAEVRYHECLRNHAAAMGGHVVDGCGEFMPMPGDAADALKCAACGCHRSFHRKDDGQQQQQLRLLIPSPPTPRVPLLMPPPQPQPHPHPQHPYLHPPFPYHHTPSGSGGTTTESSSEERGPPSSSAAAAQGRRKRFRTKFTPEQKEQMLAFAERVGWRMQKQDEALVEQFCAQVGVRRQVFKVWMHNNKSSIGSSSGGGSRRQPQEQQSQQQQQQQ >ORUFI05G28970.1 pep chromosome:OR_W1943:5:25524552:25527518:1 gene:ORUFI05G28970 transcript:ORUFI05G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDGHHTHDATDWCVVADRFEVDPWRRVGGEQDLQSGGGAVRLSFETHHGGGVAPSPEFAACAASSCSAEIMVLLLLQRGELLVHHDRPSHHHRRRFPTPQPAEAYFPTPQPVEAAAAVEVGWGFQALL >ORUFI05G28970.2 pep chromosome:OR_W1943:5:25524552:25527518:1 gene:ORUFI05G28970 transcript:ORUFI05G28970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDGHHTHDATDWFEVDPWRRVGGEQDLQSGGGAVRLSFETHHGGGVAPSPEFAACAASSCSAEIMVLLLLQRGELLVHHDRPSHHHRRRFPTPQPAEAYFPTPQPVEAAAAVEVGWGFQALL >ORUFI05G28980.1 pep chromosome:OR_W1943:5:25531358:25532447:-1 gene:ORUFI05G28980 transcript:ORUFI05G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQARAQWPQKQNKLFEQALAVYDKETPDRWHNIARAVGGGKSAEDVKRYYEMLEEDIKHIESGKKSNRTLLKCKYAAEYGVRPNRAMK >ORUFI05G28990.1 pep chromosome:OR_W1943:5:25534888:25536736:-1 gene:ORUFI05G28990 transcript:ORUFI05G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGSKQQQAMMSLPSSRGGGGGGWTQRQNKQFECALAVYDKETPDRWHNIARYMGGAKSADEVRRHFDHLVEDVSRIESGRVPFPRYSSSSSRGADDGNRSRYLKYQ >ORUFI05G29000.1 pep chromosome:OR_W1943:5:25541094:25544335:1 gene:ORUFI05G29000 transcript:ORUFI05G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGEEEAAATPGAGGAPAAGRLKGCPELMVDDDMREMAKTAAWSVSSCKPGNGVASLRDDNLDTYWQSDGAQPHLVNIQFQKKVQLQLVVVYVDFKLDESYTPSKISVRAGDGFHNLKEIKTVELSKPVGWVHISLSGADPRETFIHTFMLQISVLSNHLNGRDTHIRQIKIYGPRPNHVPHQPFHFTSREFVTYSTVR >ORUFI05G29010.1 pep chromosome:OR_W1943:5:25550155:25559281:1 gene:ORUFI05G29010 transcript:ORUFI05G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRRERRHQHHHRKAAAQVQAAPAIAAVGVGAGGNGAAARAAYGDVFGGPPRFAAPFGGAPADYAEVFGGVAATCSIPFLDLPPVPAVGADYGFFGRAGAGDYGEIFGRFDFGDFALPYEELFAEAEAEAVGEIGAEEIASSTGSSSRSSMIKESSQPDAQSFMLPQHFKEHESSVISFPPDNQQFVMSYNKTTQRSDDLVEMTTDPSMDYVVDSCEFPHVPTTNHVATMDSGIEANGEKRKKSTTTSASVSLRSSESDFTVDQKQHIPAYPPISEKVSANENHKNSNSISTSSNGTPSPDYAFLRVSDVNVQTQTVKPPPPLKQTSKLLKRREILAKGDVHLENHSCPPASSAHAPSNTSTSQAERRDDTALFNNEANPSSAAAAMKEAMEYAEARLRAAKELMERKGDSFKLRKKPSHHRSTRSTEIKVPTESDTFDENLSVKKSTKEEMNSEDSLLDKHQKASAVRTDHCDDSGKRALSLEKPQHMQSCTAPNQTSSKLGKLGNWTSGDEFYELTGEDQKQKTDAAVGEEDKCEVTNPVTKLSKEQKCEVTAADSDLERYEKLWEVNDGRDAGVKHVNPREDNTSPMGKDRVSMILEASTENIDHEKIYNSHFEGPKVVETSNESHDGEDGAVEIPCKSGITISEPNLMKDMHGSFMEASSPGEYVADFGKGTTEESPVAGISLEPKTTKEELEAACDAEMQCTTGDSEKLQESSEVTSIDNSLARQIKSLILEDLEGSSETQAFPGDPGTAGSEAETYGRNLGTTGLETESYGREKFSFVEESFMHNANRNVTESPVETPIPEQVENVEIEDRVGSCAHSEESTVDKDSECPEEGSDITSQNNNLPDHEDSTMLNVFEVASKLIKRDLDQEKQDTLQPGEVETRTVLDSNDKDTKENPSENSNTIGSEEVLSHGNQEDQKVPEMDKTKGRSDANAQVKLSGVNFYEDGDVTSAANNVTTRLTTNSKGQASSSSEMLTGRQHLPQDAGPAISQTSNGTFPSLEKTEEVCKEAGRELPTDKSAAFEDENSRACKSKAELKQQQFHSEKSSSLPKSAEGHIPSSADISRKETPGVQRLKEQGSLRTEREREKDKEASRRLEETKERDKKFEKEREIAEERERKKLEEQEREREREKDRLAVERATREAHERAFAEARERAEKIALERVTAARQRASAEAREKEERASTEAAAERAARIKAERAAVERATAEARERAIEKAKAEKAAAEARERRERYRSSFKESFKSSNLDNRQDTQFQRAVSSNLMRNPDSYSKGLEVESALRHKARLERHQRTAERVTKALAEKNMRDLLAQREQAEKHRLSEYLDPEIKRWSNGKEGNLRALLSTLQYILGADSGWQPVPLTELITAAAVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKDAWNKFTSEER >ORUFI05G29020.1 pep chromosome:OR_W1943:5:25558424:25561810:-1 gene:ORUFI05G29020 transcript:ORUFI05G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSSVFPLEGKACVSPIRRGGEGSASDRLKIGDSSSIKHDRAVRRMCLGYRGTKNGAQCVLTSDAGPDTLHVRTSFRRNFADPNEVAAVILGGGTGTQLFPLTSTRATPAVPIGGCYRLIDIPMSNCFNSGINKIFIMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAAGWFQGTADAVRKFIWVLEDYYKHKAIEHILILSGDQLYRMDYMELVQKHVDDNADITLSCAPVGESRASDYGLVKFDSSGRVIQFSEKPKGTDLEAMKVDTSFLNFAIDDPTKFPYIASMGVYVFKRDVLLNLLKSRYAELHDFGSEILPRALHEHNVQAYVFADYWEDIGTIRSFFDANMALCEQPPKFEFYDPKTPFFTSPRYLPPTKSDKCRIKDAIISHGCFLRECTIEHSIVGVRSRLNSACELKNTMMMGADLYETEDEISRLLSEGKVPIGVGENTKINNCIIDMNARVGRNVVITNSEGVQESDRPEEGYYIRSGIVVILKNATIKDGKVI >ORUFI05G29030.1 pep chromosome:OR_W1943:5:25567273:25567593:-1 gene:ORUFI05G29030 transcript:ORUFI05G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNGGAKRSCRTDARARAAGRPDLTPGGGGGSGARRSYGCGAGPRAAGWPDLTPGGGAKRSCGADAGARATMETGGVSALTPGRPRITPRLLPRSAPLLLSQSME >ORUFI05G29040.1 pep chromosome:OR_W1943:5:25573292:25580775:1 gene:ORUFI05G29040 transcript:ORUFI05G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHQLLARKAPLGQIWMAATLHSKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVAIVYERKVKALYDDVSRFLIEINEAWRVKPVADPTVLPKGKTQAKYEAVTLPENIMDMDVEQPMLFSEADTTRFRGMRLEDLDDQYINVNLDDDDFSRAENHHQENITLADNFGSGLGETDVFNRFERFDITDDDATFNVTPDGHPQVPSNLVPSPPRQEDSPQQQENHHAASSPLHEEAQQGGASVKNEQEQQKMKGQQPAKSSKRKKRRKDDEVMMDNDQIMIPGNVYQTWLKDPSSLITKRHRINSKVNLIRSIKIRDLMDLPLVSLISSLEKSPLEFYYPKELMQLWKECTEVKSPKAPSSGYYTICSFLSPFWITKGTQSSSPEQQQRNLPPQAFPTQPQVDNDREMGFHPVDFADDIEKLRGNTSGEYGRDYDAFHSDHSVTPGSPGLSRRSASSSGGSGRGFTQLDPEVQLPSGRSKRQHSSGKSFGNLDPVEEEFPFEQELRDFKMRRLSDVGPTPDLLEEIEPTQTPYEKKSNPIDQVTQSIHSYLKLHFDTPGASQSESLSQLAHGMTTAKAARLFYQACVLATHDFIKVNQLEPYGDILISRGPKM >ORUFI05G29040.2 pep chromosome:OR_W1943:5:25573292:25580775:1 gene:ORUFI05G29040 transcript:ORUFI05G29040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHQLLARKAPLGQIWMAATLHSKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVAIVYERKVKALYDDVSRFLIEINEAWRVKPVADPTVLPKGKTQAKYEAVTLPENIMDMDVEQPMLFSEADTTRFRGMRLEDLDDQYINVNLDDDDFSRAENHHQENITLADNFGSGLGETDVFNRFERFDITDDDATFNVTPDGHPQVPSNLVPSPPRQEDSPQQQENHHAASSPLHEEAQQGGASVKNEQEQQKMKGQQPAKSSKRKKRRKDDEVMMDNDQIMIPGNVYQTWLKDPSSLITKRHRINSKVNLIRSIKIRDLMDLPLVSLISSLEKSPLEFYYPKELMQLWKECTEVKSPKAPSSGGQQSSSPEQQQRNLPPQAFPTQPQVDNDREMGFHPVDFADDIEKLRGNTSGEYGRDYDAFHSDHSVTPGSPGLSRRSASSSGGSGRGFTQLDPEVQLPSGRSKRQHSSGKSFGNLDPVEEEFPFEQELRDFKMRRLSDVGPTPDLLEEIEPTQTPYEKKSNPIDQVTQSIHSYLKLHFDTPGASQSESLSQLAHGMTTAKAARLFYQACVLATHDFIKVNQLEPYGDILISRGPKM >ORUFI05G29040.3 pep chromosome:OR_W1943:5:25573292:25580775:1 gene:ORUFI05G29040 transcript:ORUFI05G29040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHQLLARKAPLGQIWMAATLHSKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVAIVYERKVKALYDDVSRFLIEINEAWRVKPVADPTVLPKGKTQAKYEAVTLPENIMDMDVEQPMLFSEADTTRFRGMRLEDLDDQYINVNLDDDDFSRAENHHQENITLADNFGSGLGETDVFNRFERFQVIWFLLHLGRKTLLSNKKTIMLPHPLFTKKLNKVNSSTWFGLFDPKFGKGGASVKNEQEQQKMKGQQPAKSSKRKKRRKDDEVMMDNDQIMIPGNVYQTWLKDPSSLITKRHRINSKVNLIRSIKIRDLMDLPLVSLISSLEKSPLEFYYPKELMQLWKECTEVKSPKAPSSGGQQSSSPEQQQRNLPPQAFPTQPQVDNDREMGFHPVDFADDIEKLRGNTSGEYGRDYDAFHSDHSVTPGSPGLSRRSASSSGGSGRGFTQLDPEVQLPSGRSKRQHSSGKSFGNLDPVEEEFPFEQELRDFKMRRLSDVGPTPDLLEEIEPTQTPYEKKSNPIDQVTQSIHSYLKLHFDTPGASQSESLSQLAHGMTTAKAARLFYQACVLATHDFIKVNQLEPYGDILISRGPKM >ORUFI05G29050.1 pep chromosome:OR_W1943:5:25585423:25590220:1 gene:ORUFI05G29050 transcript:ORUFI05G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPKCCPGDQFACGFVVENTTRQHVVADGLISIFCSVPFHSTRPSVEGAWSLQCRELNKSYEWLVPMCLHLVRVIHFCKLEENDKLVLTPFEKNVDIWRQLWRVLERSVLSMLEIPYSTAVLTLSKLLLCLI >ORUFI05G29050.2 pep chromosome:OR_W1943:5:25585423:25590215:1 gene:ORUFI05G29050 transcript:ORUFI05G29050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPKCCPGDQFACGFVVENTTRQHVVADGLISIFCSVPFHSTRPSVEGAWSLQCRELNKSYEWLVPGIESLEQKTVSCYYA >ORUFI05G29060.1 pep chromosome:OR_W1943:5:25590333:25592907:1 gene:ORUFI05G29060 transcript:ORUFI05G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADLEPRSMGMARASRRRTPAERQEVEEVGGQSRRHTTRVMSYIVLLHISLLSRVPISGHKFCWLIAMPGWFSCWCCPGFQVQQLPSSKGTGLVFAMLLLLHQAARNGAPQPLVTT >ORUFI05G29070.1 pep chromosome:OR_W1943:5:25594965:25595697:-1 gene:ORUFI05G29070 transcript:ORUFI05G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFLFQKFSVLSGSMPNRPTSAQGGDVSAIHDALRRFSTVLPNYHGLPVSQAKCLNSIQSPHAATKLNHPTIGVVPFASRTFFSYTKPYHLPSLGETNYPDYVAADIKA >ORUFI05G29070.2 pep chromosome:OR_W1943:5:25594965:25595697:-1 gene:ORUFI05G29070 transcript:ORUFI05G29070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFLFQKFSVLSGSMPNRPTSAQGGDVSAIHDALRRFSTQAKCLNSIQSPHAATKLNHPTIGVVPFASRTFFSYTKPYHLPSLGETNYPDYVAADIKA >ORUFI05G29080.1 pep chromosome:OR_W1943:5:25596253:25602774:1 gene:ORUFI05G29080 transcript:ORUFI05G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGYLAEVALLTSASEDLAAVGPGEREGWLDDPAVLPPLAPRARALAVAVAARSVLAVAPVAGGGGRVTVRPALGPDDGRISAVEWIPLAGEDAGEAGERVAVAVGTDARIQWLLEFVIFFSKPDDELMSVLHNLPDINITCKARQVFIEKLNAYFAKAVYYRKGIVPASLVDDQRGSLCSTIFMNINMNVGNARMTYIVKRRKYYVCYISMYMTGWVAMRMKMAHSFGLSILLGSVSRLSYLEVMTCDGLRVIESKAPNLSSFRFAGDLRVQVSLGETVQIKQIYRLCNDAAFYARTELPSSMPNLERLLIHSDTEMVNTQMLPSKFYHLKYLNIALGGGTYDYLSQVSFFDTSPFLETFNLNVIKV >ORUFI05G29080.2 pep chromosome:OR_W1943:5:25596253:25602774:1 gene:ORUFI05G29080 transcript:ORUFI05G29080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGYLAEVALLTSASEDLAAVGPGEREGWLDDPAVLPPLAPRARALAVAVAARSVLAVAPVAGGGGRVTVRPALGPDDGRISAVEWIPLAGEDAGEAGERVAVAVGTDARIQWLLEFVIFFSKPDDELMSVLHNLPDINITCKARQRLSYLEVMTCDGLRVIESKAPNLSSFRFAGDLRVQVSLGETVQIKQIYRLCNDAAFYARTELPSSMPNLERLLIHSDTEMVNTQMLPSKFYHLKYLNIALGGGTYDYLSQVSFFDTSPFLETFNLNVIKV >ORUFI05G29090.1 pep chromosome:OR_W1943:5:25603743:25605012:-1 gene:ORUFI05G29090 transcript:ORUFI05G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVVATMRGLHENSQKECLKNSVLLLGVTAAVFGVLQQQAERPGVEIPTTGKSEA >ORUFI05G29090.2 pep chromosome:OR_W1943:5:25603745:25605103:-1 gene:ORUFI05G29090 transcript:ORUFI05G29090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASGAQARARLAAAAASGAKARLAAGGEARAAAAPLLRRRRGRPGGQALKDEQRFIRHEFEALQKFTKSELDLKVAKMETVVATMRGLHENSQKECLKNSVLLLGVTAAVFGVLQQQAERPGVEIPTTGKSEA >ORUFI05G29100.1 pep chromosome:OR_W1943:5:25608401:25610305:1 gene:ORUFI05G29100 transcript:ORUFI05G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSRLRASSPPLAPADPFVLSLVFKSCAAAADARFLPHAASLHAFAVRSSAVSSVFVATALADVYAKAGCLGLALKVFDEMPHKNVVSWTTLVASLTRAGRRHEALRRFSEMRASGVHCDSYAYAAALTACADAGLLSRGREVHAFCAKLGLDSTPYVANTLATLYARCSDVDRALAAVSRMGTRDVAAWTTVISAYVQTGRAKEAIEAFVRMLREESSVAASPNEYTYAAVIAACADIAWVCLGEQLHAQAARKGFACARSVANSLVTLYTRAAGCLSAADAVFRESVVKDVVSWSAIISGYAQEGLAEDAFALFREMRHHSGCPRPNEFTLASLLSVCATAASLDAGRQLHTLAVAAGLEHHAMIRSALIDMYGKSGSMLDADIVFSHRVKDDVVSWTAMIVGYAEHGHSKKALELFQEMCHVGLKPDHVTFIGVLNACCHAGEVELGLRYLNEMNQIYGLYPAKEHYGCVVDLLGRAGRINEAEELIGKIAANERDGVVWTSLLRACAARGEEETGKKAAERAMEAEPWGAGAHVAMANLYASKGQWHEAAQERHMMKQKGVVKGAGWSSITVGGEGRRVGVFVASDRTHPQDSAIYRMLELIYFGTGMARYVPDQLDLGSEVDMMISS >ORUFI05G29110.1 pep chromosome:OR_W1943:5:25617736:25618566:1 gene:ORUFI05G29110 transcript:ORUFI05G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAALRLRLLYRMLRVGELLALVVFLSWSSSRVPAAAAAVVRLAGSLLLNARFVFVLGNAIVLLLLALSRHDLSISSNHGTTTTAAAAAVSSDSAGAGSTPASTTAPPAASFPLFIVPQPSPPPPHATEAPVVAAPPAPVVPCAPSVAPAAPAAAAAFEDKQAVRVNKARAPRRSRSEKMGSRGAFRRAVSPELRRSESDNGRRRRSSVTARDAEVCWGADDAEEFRRTVEAFIAKQTRFHREESMTMTMSIVAGVGHGEVAPAIAGALAVVE >ORUFI05G29120.1 pep chromosome:OR_W1943:5:25624318:25632817:1 gene:ORUFI05G29120 transcript:ORUFI05G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGRGGGGGGGGRVPFYAAAAAAEPRAGDAAAIPPASRKLVQGLKGILTDRSEAEIYATLLDCGMDPDVAVERLISQDPFHEVRRKRDKKKEIKAPQETRPRPFYKPAFRGSKTGGDSTGSGKGPTKKETELHSLPKSSVSDSVKESNPTEKISAADHATINDSLILSSGQADAKSTPLQPPSQVKHGWGGMPGRPSMADIVKMGKPQAKPVRSVACNTGMPTIGGSVISNATNHTSKDSQDLVLPSQVNSVATDRIPNGTNEVSPASNDSSIDVLPPREGLEVPESVATVKPGSSTADVYKDEVEEDMDSDKNKDMSASNADDRTSSGPYPASSKEVHSEHTQIATHHNDLIVETEDSQSDGNAFENNRDSEGNMSATDKQFEQLILHEEKKSKSSEDNPAVIIPDHLQVSNADCAHLTFGSFVSGTLDAPVSLKTANGDEEVAAVSDNHSIDQSDVRIHEYENKDTVAPAADEHVASSTKSDTENVDVAPVQQPELRTADLIDVPNNTMYNNLSTSDYATPSAVQPDSSAHIYLQEHRQLQNISPLSSFMQGNIPNGLLPPALPPLRDFDPAFSLLLTNPPLATMVHGTTSSSMGNATTVSTQPQEIVNPGASSNPQLNQSQPSTSTSIASGPPLPQHLTLHPYAQATLPLGYASMIGYPSLAPSYTYLPPPAFQQPYMNSGLFHQAAAAVPNSSVKYPLPQYKGNVSLASLPQQASLLSSYVGGFGAASSMPGNFALNQSTPSATAAPGFDGTVPAQYKEGNQFVSLQQSENAAMWMHGASSRTMPPLAANALYYQGQQGHQGGLRQGQLPSQFGAPLAPTQPGLGHEHRNPSDGNLSSAAAAAQANQMWPNSY >ORUFI05G29130.1 pep chromosome:OR_W1943:5:25635147:25636666:-1 gene:ORUFI05G29130 transcript:ORUFI05G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWRVHCLGWLLVLLLFSHEAAGGGGAEQVATQAHHDASRRLSAQVAHGTPERDVTSPLATVPVDNPAANPTVTSTTNPAAMPGTQTTPSLANPVAAGGGGGGGGGSWCVASPSASTAALQVALDYACGQGGVDCSAIQSGGGCFNPNTVRDHASFAFNSYYQKNPVQTSCDFAGTAILTSTDPSSSSCKYPSTSTGASVLNTSTPTNPAFGGYDNSPPGFGNNSPPLYGSMSPPGYNDNIGAAAAMAGSKETLLSLACVVATVSLNLYK >ORUFI05G29140.1 pep chromosome:OR_W1943:5:25641781:25642674:-1 gene:ORUFI05G29140 transcript:ORUFI05G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLHLPLATASSSSSGATADESSSSPSTASIVARVVAVLAVASVSLFARHEASKGFHVDIVNAAPRDTVAGRRFDLFFVSNGKAERILHYANRGVEAALFPDASFPRKQVTRVTVRMAGHNLTDDDDATVIAAGVAPGEYVISLSPRLMHPASDKAADAVANAVRRAVARMWLWDGRGAAPARVTEAMVDYLSSGADAVEASPANDDDDDDAPHCMSARFLGHLERRRGGFVARLNRAMRDRWSDAAMDAALGVPARPVCAAYRAAAAAAALTQQQPPAALAGSTSAGDGSRVAM >ORUFI05G29150.1 pep chromosome:OR_W1943:5:25644156:25649600:-1 gene:ORUFI05G29150 transcript:ORUFI05G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTPGQVSFLLGLFPVLIGWIYAEILEYRKSLLYGKVHSDANLENETMKEDDKAVLLEGGQSKSPSTKLRNMSTKANLIRFITMDESFLLENRAVLRAMAEVGIILVYFYICDRTNIFPETKKSYNRDLFLFLYILLIIASALTSLKKHNEKSAFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSIARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGSLGLFNKYNEKPSVMAIKIACCFLTVILIWEIPGVFEFLWAPFTFLLGYKDPEPSKANLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRLFIKGAIVTLSLTAGYLWYEYIYRLDKITYNKYHPYTSWIPITVYICLRNCTQQLRSASLALFAWLGKITLETYISQIHIWLRSSTPNGQPKWLLSFVPDYPLLNFMLTTAIYLLLSYRVFEITGVLKGAFIPSRDNNRLYQNFIAGIAISACLYFCSLILVKITIHGTFGLSIGPPSYSFTGASAT >ORUFI05G29160.1 pep chromosome:OR_W1943:5:25663806:25667646:1 gene:ORUFI05G29160 transcript:ORUFI05G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSCPRVYMSRKALDFGQLASCRCRWAGRSGMRVAPRRRMPCVCFVASPSQPGLAAVDVPAEAISSARTTTMIPERISVSSLLEVVSDDLLKLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLLELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDVTLDDYLLKSYYKTASLLASSTRSAAIFSGVSTTICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPKLREIIDSEFSESDSLATAIDLVHRSGGIRRAQELAKEKGDLALQNLQCLPKSQFRSTLENVVKYNLQRID >ORUFI05G29170.1 pep chromosome:OR_W1943:5:25669049:25675061:1 gene:ORUFI05G29170 transcript:ORUFI05G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKMRIGRRRAIQQGIAEVRIPPAACGRREIGRRRTRRERPSAIAIAFTITDRAPAAAVLATRAVWICGGQGGFEWRRVWGCREADSRGALWELVWGERSVRERNAAGAAEEEYGDANRYRIQEVIGKGSYGVVCSAIDLHTRQKVAIKKVHNIFEHVSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTASVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKDPVPFSQKFPNADPLALKLLQRLLAFDPKDRPTAEEALTDPYFKGLSKIDREPSCQPIRKLEFEFEQKKLSKEDIRELIFQEILEYHPQLQKNYRNGRERATFLYPSAVDQFKKQFSNLEESNGSGSAIPMERKHASLPRSTTVHSTPIPPKEQPLAASLKSSRPVSDEPCKNPWVMGGFSGNIPTSSQVSQVAKPVAPGRPVGSVFPYETGSTNDPYGPRGPVMSSGYPPQQQISQAYGYHQVPARMNCVEQSQAMDAYKMHSQSQTQAYAYPNSKVTADVALDMRGSTFHHSAGSKNGSLDRMVTQTDIYTRSLNGIVAAATSAGVGTNRKVGAVPISTSRMY >ORUFI05G29180.1 pep chromosome:OR_W1943:5:25675483:25680993:1 gene:ORUFI05G29180 transcript:ORUFI05G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPPSPLSLVFVVLAAVSLSASAAAAPASVLPRGAIPTKSGYLPIPPANASLYFAFYEATEPVTPPATTPLLVWLEGGPGCSGFLSNFLQIGPYLLAGGSLSPNPFAWNRRFGLLFIDSPLGTGFSVAPSPAAIPTNQSVVADHVLAALQSLYSLEPSFRARPLYLTGESYAGKTIPAAGALIVATNPTLPEKKRINLRGVAIGNGMTHPVTQVTTHADIAYFMGLINGKQKREVEAMQARAVELIKAERWSEAYVAREGLLKWMENASGVPSLFDVEVTTSLEAEAAALAPLLNGAEAKAALGARGDAEWKMCSAAVGAAQHKDVMKSVKPEVEALLRRGTTPTRVLLYGGIRDVKDGPVSTEAWVRELEWDGLAAFQDADRAVWRHRGGELAGSVQRHGALVNVAVYGAGHFVPFSQGRAAQEMIEDWVFGKGLFGASGGKAHGTSFPAVLPRVRRKGPSPPPPPPATATAAAVLPRGALPTKSGYLPIPPAANASLYFAFYEATEPVTPLATTPLLVWLEGGPGCSGFLSNFLQIGPYLFAGGSLSPNPFAWNRRFGLLFIDSPLGTGFSVAPSPANIPTNQSVVADHVLAALQSFLSLEPSFRARPLYLTGESYAGKTIPAAGALIVATNPTLPEQKRINLRGVAIGNGMTHPVAEVTTHADIAYFMGLINAKQKRAAEAMQAEAVALTREERWREASAARARLMSWLENATGVVTLLDVEVQQSVAVMAAGLADFLSTAEVKAAVGARPDVAWEACSAAVGAAQEEDVMKSAKRDVEALLHRGASPTATPTPTRVLLYEGIRDVGNGPVCAEAWLRELEWDGLAAFQDAGRAVWRSGGGLAGYVQRHDALVHVAVYGAGHFVPYSQGRVAQEMIEDWVFRKGLFSAGTV >ORUFI05G29190.1 pep chromosome:OR_W1943:5:25681472:25682212:-1 gene:ORUFI05G29190 transcript:ORUFI05G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACGSDGQAQLYPMANGGQGAGGGRRQRSRVTEMRHRCSKGLGRPVPRNHPAGPSRCRASALRNRAGTAWPSPVPRERAGSVCLSRGTDAVYPTLRDRSSLRSRTSNLRLPVAPASGVAC >ORUFI05G29200.1 pep chromosome:OR_W1943:5:25683572:25684982:1 gene:ORUFI05G29200 transcript:ORUFI05G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLVSLLLIGFVSARAITPSAEAAAVFPKEALPTNSGYLPITTTNASLFFAYYEATHPLTPPASTPLLLWLQGGPGCSGLAGNFFELGPYFVNRDALSLSPNPFSWNRRFGLLFIDNPLGTGFSAAPSPAAIPTNQSVVAAHLFAALQSFFALQPGSRSRPFFLTGESYAGKYIPAAGSYILAVNPTLPTRLRVNLHGVAIGNGLTHPVAQVATHADTAYFMGLINAKQKRELEALQARAVELTNAARWSEAADARGLALQARAVELTNAARWSEAADARGLVLSWLENATGLATLFDAAKKRPYETGPVGKFVNRAEVKAALGARGDVEWEECSDAVGAAMHGDVMKSVKPEVEALLRGTRVLLYQGIRDLRDGVVSTEAWMRELEWDGLPAFLDADRAVWRIGEELAGYVQRSGPLSHVVVYGAGHLMPADNGRAAQEMIEDWVLQAGLFGRHGGMKRAA >ORUFI05G29210.1 pep chromosome:OR_W1943:5:25687554:25689308:-1 gene:ORUFI05G29210 transcript:ORUFI05G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGGGGHGLYEDHPAAAGFLPFDHDDDVVASFFFGRSAASGGGAGAGAGAGDDDGVGLITPYSSITDYLQGFLQDPVYASSPLGGDAAVKHETVVDHPSQAGGVAAAPATPNSSVLSSSSEAAGGDDLRRCKKGRRPEDEEEEEIDDEGSAVQSCKTNKMKNKKGAKKEREPRVAFMTKSEVDHLEDGYRWRKYGQKAVKNSSYPRSYYRCTAPRCGVKKRVERSEQDPSMVITTYEGQHTHPSPVSYHMHRQQGLMHVSARGVMPGAAGAYQFGAPPPPLLGFDEALAARVRMTMNQQQQQQQLGFVPSIHAAAARPTMPPLHLYTAQQDLFLP >ORUFI05G29220.1 pep chromosome:OR_W1943:5:25702313:25702759:1 gene:ORUFI05G29220 transcript:ORUFI05G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLETWEQHARQAYEFFDKEGNRPIVIDELASELGLGPSVPLHVVLQDWIRHPDGKLSFLGFMKLLHGVSSRTIPKT >ORUFI05G29230.1 pep chromosome:OR_W1943:5:25704017:25708453:1 gene:ORUFI05G29230 transcript:ORUFI05G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDFAIWAGYEEQPTTAQSSKFGPVHSEQRAHGVSSHQPAPAQRGRRPWTGGETAGSAWPVCVPQAGGGVRRDVSGRARRRSSAAARVGRSRAVSQRGRKVGRQRRSTSVAGNDAACISNPLVFLRMCEPSGSDDAMVHASEMVDGDEMIHGNEMVVHDSVMIDGNEMVQENVMVHGSVVAPAKEGRVRHIRFIK >ORUFI05G29240.1 pep chromosome:OR_W1943:5:25713142:25720924:1 gene:ORUFI05G29240 transcript:ORUFI05G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGPGRAHTVRDLAEEGKKRAVLLLVFAFGLAFLMSLTSSSVWINLPFATALIVLFRYISLDYDFRRKSTTTTDNDASRALTKTKSIEPNKIPSIQKDGKSDWKSKVNSPPVEAAIEQFTRHLVTEWVTDLWYSRVTPDKEGPEELINIVNNVLGEISVRARNVNLIALLTRDLIDLICKNLELYHLCQAKIGKEKFVNLSTERRDAELKLTLITENKLHPALFSASAEHKILQSLADGLISVTAKPQDLQCYFFRCTARELLACAVMRPVVNLANPRFINERIELLALSHANKAERGVAESLEHATMVKQREPPMPTVDELAALIDPTSSGVELVRFSQDQSKAAPDTQLSNTRHPSNLKPNSSSTSLTNSSHPLESSILSSTTHGHSNSSMSLHSQSSGRTTAECYEGEWAQTMDISSQQKSQALAPEHLENMWTKGKNYKSENVKHVARVPSKSSSLGTSPVQQSAPYSTSVGHYPSAPQRQTTMSRSDDQHLIKHSTTAAYLNGTNHLRMALSRESADHASQEDFGVDSESSYATEEDENNNVTGLDSPVTRVWDSKSKGNATSSHIHHPLESPGFHKAKKNRSHIGKLKMTKTSGRKRSRSNSQKPPVWQEVERSSLLVGDDLNILNTSADDSRTDGLYDDTEVESMSRMFSGANASSLSLASTDSSYSSNYSTTNVLEDSYLKLKCEVVGASIVKSGSGMFAVYSVSVTDANGNSWSIKRRFRHFEELHRRLKEYSQYNLHLPPKHFLSSGLEVPVVRERCKLLDIYLKKLLQIPTVSSCIEVWDFLSVDSQTYIFTDTLSVIQTLSVNLDERSKEKNTKSFNSSQALNGNLVSASQSLHVHKDDTMPKEKDKDFDAVDGLRSRKRNTEQNLGIGVGNTNANLHEDLSGSDPEQNEHSFIINSGNSKKTLSSETDYPPQSLESDGYSVAPNDWMAPNLSVPLFHLVDVVFQLQDGGWIRRQAFWVVKQILQLGMGDTFDDWLVEKIQLLRKGRIVAFAVKRVEQILWPDGIFLTKHPKRKAATPPPGSQSNGMANYLTDEQRLEDAHRANFVHELMIEKAPSALVSLVGRKEYERCAQDVYFFLQSPVCLKQLAFEVLELLVLAAFPELDDIVKKWHEDKQQLYALE >ORUFI05G29250.1 pep chromosome:OR_W1943:5:25721434:25721652:-1 gene:ORUFI05G29250 transcript:ORUFI05G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRSVPLHECTWARGNNMLRLVQTGRVSVMSSALQAQPKRPLQTGEVQRTIPVIVLAFSHEQYLFTTEYD >ORUFI05G29260.1 pep chromosome:OR_W1943:5:25725959:25726894:1 gene:ORUFI05G29260 transcript:ORUFI05G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSKARRHGVGVGVRLRQRLSQILLHSSCTTTSATAFVTNVAVAAGNAAAARQAPPPAAANDAHQPRPKIDGSVRRRRRRSARALVHISIDCSGPTSARSVGAAVMPSPVAPAKDVKAVIRSKARGGRPRSPSYSCSSSTVTDDELPPFSSSDGEGGEGAETRSSTLFSSLSISSDSTSDFYNSTGGGSKRHHKNPPRRVPRRAPPRGANAGDAKPHEDNKGGAKKADDKHGGGVVGVAAAGSMAVVKRSHNPYADFRSSMVEMVVERRICGADAMGDLLMSYLSLNSRRHHPAILAAFEDVWEAVFATP >ORUFI05G29270.1 pep chromosome:OR_W1943:5:25732838:25735556:-1 gene:ORUFI05G29270 transcript:ORUFI05G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTTAAAVRRLCAAGDVRSALAMLARGTKSGDAALDVTACTALVNGCCKGGDVAEARRVFDEMPLLGLAPNEVTYTALMHGYFTHGQREKGFALFEEMRRGGVEPNLYTYNCLIGEWCRTGEFERARSLFDEMPVRGIVRNVVSYNTLIAGLCRHGKLWDAAKLLDMMRTEGTRPSIITFNLLVDGYGKAGKMSNALPFFNQMKAAGFQPSAVTYNMLIAGFCRARDMTRANRALSDMKERGLEPTKVTYTILIDSFARENHMGKAFEILAGMEKAGLEVDAHTYGVLVRALCMEGNMKDARKLFQSMGEKGVEPSNVIYDMMIYGYGREGSSYKALKLIMEMRQKGLIPNSASYGLTIRVLCKDDKCQEAEALLDDMETIRSFAGHHQNFIASKSDSQQKDEDKCTEHFLQNKVGQALESDSIRDKGLEIVSVHDKGLEVNSAPEPTDQVEAAVLHKPKVNKNYL >ORUFI05G29280.1 pep chromosome:OR_W1943:5:25737905:25739487:1 gene:ORUFI05G29280 transcript:ORUFI05G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITHASSLSRFHPLLKKLIMEYSNDWDLQALVRSCGTAVADSEPEPPAAPSTTRRAEAETVVVGRAGGVPEFVGQPVRSSAASFYDLEYLDLYHERPRAPFLVTAPSTSRERGEGGEHEVLISFPAIASTSGQGRKQPGRKPGVRTARPKRSKKSQLKKVVCEVPVADGGVSTDLWAWRKYGQKPIKGSPYPRGYYKCSSLKACMARKMVERSPEKPGVLVITYIAEHCHAVPTQLNSLAGTTRNNKPASPDQQQQQQPSPGGASTDEAAAAAAKTEDSADTTCSMADDENDLWAPVEMDMDDFFGPFDDDLDHFLDDDAVLGRRLSL >ORUFI05G29290.1 pep chromosome:OR_W1943:5:25742801:25743368:-1 gene:ORUFI05G29290 transcript:ORUFI05G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMDKAKGFVAEKIAHIPKPEASLDSLSFKGMSRECITVHSNVNVSNPYDHRLPICELTYTLKCAGNVVASGTMPDPGWIAASDTTKLEIPAKIPYDFLISLVKDVGRDWDIDYQLDVGLTIDLPIVGNFTIPLSTSGEMKLPTLKDMF >ORUFI05G29300.1 pep chromosome:OR_W1943:5:25744501:25745244:1 gene:ORUFI05G29300 transcript:ORUFI05G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEPKKPKAKDHVDLVFDAAKVKAKAKAKAIDGDADVEIAKPAEQHGHGSRLLRRACCALGVVAAVAAVAMLVLSLTVLKVRDPTLSMDSVTVERFHVGFGTEASRPLPINVTLVAGIVIKNPNYESMRFGTSTTEFYVDGVPEYVGLGSAPPGEVAARGTSRVRVGMDVFVDRVGAAVVGEVLFGRGEVRLASHTAVDGRVSVLGGLYGRRAVRVAMRCRVVLRVSAAVVVAGSPSCVADFSSH >ORUFI05G29310.1 pep chromosome:OR_W1943:5:25751068:25752038:-1 gene:ORUFI05G29310 transcript:ORUFI05G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFRLLAARRRAVSTITAAAAAAPAASSDAGRDSEDEGPFFDLDFSSVRGASSSSGSMSGSDDSDDDCTDLDFIISLHRSRSASPSYDTLFFAAAASEPSTKASFQPSHHFCAKRRGGGGGGGLRTLSFGAKKAAFYGGRHSFARSSCSARSLRLFMESPADDDGEEVAEPRRAPPSRDVIRRYLTKISRRFRRTAPRAAGEARGLRRLRKSRSASAATSLSASSSAAAAPSGRDDSLLEKQDGIASAIAHCKESLHRASVSECDSSLSRSRSDPGKREADQSCH >ORUFI05G29320.1 pep chromosome:OR_W1943:5:25759681:25764555:-1 gene:ORUFI05G29320 transcript:ORUFI05G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRNLFVSALSVGVGVGLGLASARWAAPGSGEGGGGAGIGVAELEAELRRLVVDGREGDVTFDEFRYYLSERTKEVLISAAFVHLKQADLSKHIRNLCAASRAILLSGPTEPYLQSLARALSHYYKAQLLILDVTDFSLRIQSKYGSSSKGLAQSQSISETTFGRMSDLIGSFTIFPKSAEPRESLQRQTSSADVRSRGSEASSNAPPLRKNASMSSDISDVSSQCSAHSVSARRTSSWCFDEKVLIQSLYKVMVSVAENNPVILYIRDVDQLLHRSQRTYSLFQKMLAKLTGQVLILGSRLLDSDSDHTDVDERVSSLFPFHVDIKPPEEETHLDSWKTQMEEDTKKIQIQDNRNHIIEVLSANDLDCDDLSSICQADTMVLSNYIEEIIVSAVSYHMIHNKDPEYKNGKLVLSSKSLSHGLSIFQESGFGGKETLKLEDDLKGATGPKKSETEKSATVPLKDGDGPLPPPKPEIPDNEFEKRIRPEVIPASEIGVTFDDIGALADIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIIFVDEVDSMLGQRARCGEHEAMRKIKNEFMSHWDGLLSKSGERILVLAATNRPFDLDEAIIRRFERRIMVGLPTLDSRELILRTLLSKEKVAEDIDYKELATMTEGYSGSDLKNLCVTAAYRPVRELLKREREKEMERRANEAKEKAATAENSESPESKKEKENSENPESKEKEKERKENSENKEEKTENKQDNSKAEGGTEGTIDLRPLTMEDLRQAKNQVAASFATEGAVMNELKQWNDLYGEGGSRKKQQLTYFL >ORUFI05G29330.1 pep chromosome:OR_W1943:5:25784871:25787186:1 gene:ORUFI05G29330 transcript:ORUFI05G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDSAQVAAGWPAAAREHEACPPPLVVGAPSHDADAQPEEEIPYSVSFSVPASPSGMHLGASVVRVHAAPPSVGEARIDMIHPAEPPPQMLWQEAGVHSQPPLTVINGEAAAPVPRSDSTRDRRFDQFKTFSGRLERQFSSLRGMLPQEPAADIETADSKISEEEADGGEVPTADRYFAALEGPELDTLRATEVPVLPEDERWPFLLRFPISAFGMCLGVSSQAMLWKTLASEPSTAFLHISLDVNHVLWWVSVALMALVSAIYLLKVVFYFEAVRREFHHPIRVNFFFAPWIACLFLVKGLPRQVWTIHHVVWFLLMAPILLLDLKIYGQWMSGGERRLSKVANPSNHLAIVGNFVGALLGARMGLREGPIFFLAVGLVHYIVLFVTLYQRLPTNVQLPKELHPVFFLFIAAPSVASMAWARLTGEFDFGARIAYFVALFLYMSLAVRVNMFRGFRFSLAWWAYTFPMTSAAIATVLYASEVTNVATRAMAVGLSGIATVTVTGVLVTTMYHAFVRRDLFPNDVSIAITRRKPKFSKILAHLRSSGTDVKELVFSVSSKNGADDSASVSKASNCSSGDQSPVPHAGAGRGR >ORUFI05G29340.1 pep chromosome:OR_W1943:5:25794560:25796847:-1 gene:ORUFI05G29340 transcript:ORUFI05G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRHFVLESINANMGGPYGCFGSRITPETCWIHPRANHLCLLVDSKAFKFPKLLFKLRSLKKLFLVPVEDMLLSADKRCDIKEVPLELCSCTSLIVLDFQATRIKNLPREFCNLNKLRYLNLSRTDLDSVPESIKDFHDLNYLNLSYTNISVVPNFLGVVTSLEVLDLSHCEKLVEIHSDLGNLVRMERLDFQGCYYLSRLPQGMSRMENLMYVNILECSSLTRMPPAIARLAKLQVLSAYIIGVTHESSISELKPLKKLKVLALDFLENVLQVQEAKDAILNDKHDLVSLSYQWNTYVENAEQVLESLQPSDGLQNLQIISYPGAKLPQWMTWREPYLKSLPHIKLFNMKACQKLPPLGQLPLLKTAEINGMSAVSIIDDAFYGDNGTFPSLEKLILSHMHNLEIWHHSERKDMFPRLCELTLIHCPKFEALCMELKHLQKLSLSMNNWLLYSRRGSFNGVARSVRSISLSLCQELTVSDGCKGLLELRHIQELEVCSCPELTSLPNGMRYLVSLHSLRVENCVRLESLPNWLQSFPCLTSLRMSDCPVLRSIPKGLRRRSDIQVNKWSLQYLYQSEEGKLNNCRFFSLISYVVSEDTDSYLTL >ORUFI05G29350.1 pep chromosome:OR_W1943:5:25797023:25799929:1 gene:ORUFI05G29350 transcript:ORUFI05G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAFLERATKRSETDSDPDAEMGAMRTMILKVVKDMSPSFCCNFIPWQDLVEVENQERKTFAAVDEPHLQLPSVSNFSPPKSSMTNKKTQGERSTLAARAMSSLSWRMQSSSVSHSTSCNPTFLAVDRSAAAATATATAIDHAGAACQCQQAMSMPPLLNRLDTVDRCFALAALSTSDLGGIKRKLGREMRFLELWWDEIREKFYGEFGQTIEHVWFPIGEIRRQYRTLAASQDTVEILRLMERMRDTTHSLLKHNTRPGTGSGGDDEIAAELPRRDDDDELSLISLRAHLTSIILLLTDAIVHEDACEAKLLLDKIRADLGRLEGAFNDIHQSEKTIEGSFGAVQHLVDELLLDAAAAPVATSSRQDQLSCRCRGRGRGNAGRARRLKLLGRRAREQLGDAAASAARVAMDSLDTRLKRCLLCFVVFPDDAAIKRRLLIHWWVGERLVDSVDQGKEVFDELVSSTGFVTPLRRPHCSKVHGCKIQPWVRVLLVACARRNAFLDLDANGMPRDDFARTRRACLREGRTVASGAGAGGFRWDVTTIYNVDRRYVDLDKSWFARKEELTTLQLGTWRDHGYDPRAHHVELINGELLRGIGACRNMRYLSFRGISRIEALPDSIGGLTSTCRDATFSAKCPGDREALKAAGDQGIRGRQLQQQGPVPSQRAARANETRLRKLSIVIGRTARPEADEVTALASLPALRSLTMTWSGVSPAEQDGRDATDKVAFALPSELEKLDLRCFPLPDFPRWAEPHLLPRLEKLYVRGGMITGLGEGGGGSAVKVLRVRFLRHLDYSWEKLHDAYGKLEILEVCECSNVQAWPACRGGLGLWRKGEDC >ORUFI05G29360.1 pep chromosome:OR_W1943:5:25802326:25805127:1 gene:ORUFI05G29360 transcript:ORUFI05G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRPSPAAGGWAGVAGVGPTTVDEASMERSKSFVKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLENLKDYAVRAVVNAVDHLGTVAYKLTDLFEQQASEVSTVELKVARLNQQILTCQIFTDRAGLRQQKIGGTTFKHHKHYILPSTGHKRTQAARLQTDNGQDSKPKPYPSAKTLSWHLSSENSISTTGAQKYTFTLGDTISSKPASNGSMYLLGKDIPASPMHKPLQPNGNTSFDAKKNVGSKDQPGFMHMSTFNALDKPRGREIQKVPVSTKSMLATLFIKHKSAKTRKASVSISGAIPVDEQNVVILHQRPISSMLLLCYSASKKPRLSADNKIHIRNGAAGL >ORUFI05G29370.1 pep chromosome:OR_W1943:5:25805686:25809389:-1 gene:ORUFI05G29370 transcript:ORUFI05G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSSPQSKPPKPKPKPLSPPMGARASRHRQSPDQSQSQSPSPHHKHHHHHQTTRAPKPKPKPQPPPPQQPRSQPPPPPRHQPQQAPQQAAAEDGVGRVLGRPMEDVRATYTFGRELGRGQFGVTYLATHKPTGRRYACKSIAARKLARPDDLDDVRREVHIMHHLTGHRNIIVSVVHSCHSMGVMHRDLKPENFLFLNKREDSPLKATDFGLSVFFKPGEQFRDLVGSAYYVAPEVLKRLYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLQGHIDFSSEPWPSISSGAKDLVKRMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKVVAENLSEEEIVGLKEMFKSLDTDNSGTITLEELRAGLPKLGTKISESELRQLMEAADVDGNGSIDYVEFISATMHMNRLEKEDHIYKAFEYFDKDHSGFITVDELEEALTKYDMGDEATIKEIIAEVDTDHDGRINYQEFVAMMKNNSPEIVPNRRRMF >ORUFI05G29370.2 pep chromosome:OR_W1943:5:25805686:25809389:-1 gene:ORUFI05G29370 transcript:ORUFI05G29370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSSPQSKPPKPKPKPLSPPMGARASRHRQSPDQSQSQSPSPHHKHHHHHQTTRAPKPKPKPQPPPPQQPRSQPPPPPRHQPQQAPQQAAAEDGVGRVLGRPMEDVRATYTFGRELGRGQFGVTYLATHKPTGRRYACKSIAARKLARPDDLDDVRREVHIMHHLTGHRNIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYSERAAAALCREIVSVVHSCHSMGVMHRDLKPENFLFLNKREDSPLKATDFGLSVFFKPGEQFRDLVGSAYYVAPEVLKRLYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLQGHIDFSSEPWPSISSGAKDLVKRMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKVVAENLSEEEIVGLKEMFKSLDTDNSGTITLEELRAGLPKLGTKISESELRQLMEAADVDGNGSIDYVEFISATMHMNRLEKEDHIYKAFEYFDKDHSGFITVDELEEALTKYDMGDEATIKEIIAEVDTDHDGRINYQEFVAMMKNNSPEIVPNRRRMF >ORUFI05G29380.1 pep chromosome:OR_W1943:5:25811524:25814481:1 gene:ORUFI05G29380 transcript:ORUFI05G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIALAGNSKQRFLFQEFSSVLSRSMPNRPTSAEGGGVSAINNVFNGALRRFSTVIPNNHGLPVSQAKCLNSIQSPNAATKAKPPNNWVWFLLPAGLSSLIQNPTTCLLWVKPITWIMQQQTLKQSHLGGMY >ORUFI05G29380.2 pep chromosome:OR_W1943:5:25811524:25814481:1 gene:ORUFI05G29380 transcript:ORUFI05G29380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIALAGNSKQRFLFQEFSSVLSRSMPNRPTSAEGGGVSAINNVFNGALRRFSTQAKCLNSIQSPNAATKAKPPNNWVWFLLPAGLSSLIQNPTTCLLWVKPITWIMQQQTLKQSHLGGMY >ORUFI05G29380.3 pep chromosome:OR_W1943:5:25811524:25814481:1 gene:ORUFI05G29380 transcript:ORUFI05G29380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIALAGNSKQRFLFQEFSSVLSRSMPNRPTSAEGGGVSAINNVFNGALRRFSTASVMDITESHLGGMY >ORUFI05G29390.1 pep chromosome:OR_W1943:5:25815246:25817091:-1 gene:ORUFI05G29390 transcript:ORUFI05G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVALRRAFSAAVAASPSPGLGATASPVGFQHGPLLRRLINSDASAALKNNKHGFSSESRDARMDIKRRCELKEHEFFHLVEEALKTADPVKARLVFEKRKELSDLVREYKANSGLKTANRRLNLAVIISAVVSGYFVAGMIASN >ORUFI05G29400.1 pep chromosome:OR_W1943:5:25819548:25821647:1 gene:ORUFI05G29400 transcript:ORUFI05G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQVGEAIGGVNALMAFHDDLRCINPRQCALLAHAYALAFRAVAGELRARLRFHDRLTKWKPLDDPLRELHRVVRDGEAYIRHCLLLDPAHWWARAAAATHGTECVEHHLHNLLWCVSVVVEAVENVGEVTGSDPDELARRRLALARDYDKDLLDPKLFRERLGETFLATRELAARMDMAWKEDRWLLSQLLDERKGPTSSPEPPLTRQEHRLADLLAAPRGKLHPASVLLMSDFHMRRRLGGNGNLKEVQWLGEAFAVKHVVGVDAEAAAAEVAALASVSPHPNVAHCRYCFHDEEKRELYMVMDQLMSKDLGSYVKEVNSAKRRAPLPLVVVVDTMLQIACGMAHLHSNKMYHGNLNPSNVIVKPRHGDAYLHVKVAGFVSGSGTANAANPCIWCAPEVVGNEAAATEKGDVYSFGMICFELITGKIPFEDNHLQGENMSKNIRAGERPLFPFQSPKYLTSLTRRCWHGEAAQRPPFHSICRVLRYVKRFLVMNNPEQAAADAAGAGPAVDYLDMEAQLLRRFPEWEGNGVADVPFEMYAYRVMERDKMSNACRDRSSDSGSDGNSLWGDDSASGGSSTTATDASASSRPLLDRSGSTRSSPPRKVAIAAAKAGKCRSGIVTRLKPSSKITASSMSVTCAGPPQKSRSMGTVRPPPVVARRTPRIKSDGHLNRAAIPPTRRRKSGGNASDSELA >ORUFI05G29410.1 pep chromosome:OR_W1943:5:25822216:25826341:-1 gene:ORUFI05G29410 transcript:ORUFI05G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVVGKSRGDTAVTTIVNLAEEAKLAREGVKGPGYQVLSICKSLFAGGVAGGVSRTAVAPLERMKILLQVQNPHSIKYNGTIQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEQASSGILWLYRQQTGNEDAQLSPLLRLGAGACAGIIAMSATYPMDMVRGRITVQTEKSPYQYRGMFHALGSVYREEGFRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLLQTNPYDLGKDNELHVVTRLGCGAVAGTIGQTVAYPLDVIRRRMQMVGWNNAASIVTGEGKEALQYNGMIDAFRKTVRYEGVGALYKGLVPNSVKVVPSIAIAFVTYEFVQKVLGVEMRISD >ORUFI05G29420.1 pep chromosome:OR_W1943:5:25828674:25829880:-1 gene:ORUFI05G29420 transcript:ORUFI05G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDPPLITGDALALHPIDVCHRSLLHGTPPPPPPSTGRKRQREDEFVPSVTELEQAGVHFSRSPTRSLRDISFRPGDDVRLLSGGVVSNGLGSDKAVARII >ORUFI05G29430.1 pep chromosome:OR_W1943:5:25846645:25847585:-1 gene:ORUFI05G29430 transcript:ORUFI05G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLQTRLRRRPPPPFAQHRLGPDLLPLQLAAAAVTTSPFTALHRLPSCRHDLQRVIPPHHQERKMPEAAEWNDENT >ORUFI05G29440.1 pep chromosome:OR_W1943:5:25858361:25864126:1 gene:ORUFI05G29440 transcript:ORUFI05G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEADARRGHLRPTKAGGTPRNPAHPLSPLISSSCFHQRETGSSLIHVVLVGLACFVFVFLFLFSHLVPPRRLLRRPEPDGTVAGERRSLSSLGPTHVTLLPNQVDSTITMTICSCEETINEFEMLTRDAARVQKDTLKKILEINASAEYLQNFGLGGRTDAESYKSCIPLCVHNDIEPYIQRIVDGDTSPVVTGEPITNLSLSSGTTHGKPKFIPFNDELLETTLQIYRTSYAFRNREYPIGQGKALQFVYGSKQVITKGGILATTATTNLYRRQRYKEGMKDIQSQCCSPDEVIFGPDFHQSLYCHLLCGLIYSEEVHSVFSTFAHSLVHAFQTFEEVWEDLCTDIRDGVLSKKVTAPSIREAVSKILKPNPELADSIYKKCIGLSNWYGVIPALWPNAKYVYGIMTGSMEPYLKKLRHYAGNLPLISADYGASEGWVGSNIDPTVPPEQVTYAVLPQVGYFEFIPLEKPIGEETENSASIHYIESDPVGLTEVEVGKIYEVVITNFAGLYRYRLGDVVKIARFHNSTPELQFICRRSLVLSINIDKNTEKDLQLAVEEASKFLEGEKLEVMDFTSFVERSSDPGRYVIFWELSGDASDEVLSSCANALDLAFIDAGYTGSRKIKTIGPLELRILRKGTFKEILDHFLSLGGAVSQFKTPRFVNPSNSKVLQILSRNVTQSYFSTAYGF >ORUFI05G29440.2 pep chromosome:OR_W1943:5:25858246:25864126:1 gene:ORUFI05G29440 transcript:ORUFI05G29440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTICSCEETINEFEMLTRDAARVQKDTLKKILEINASAEYLQNFGLGGRTDAESYKSCIPLCVHNDIEPYIQRIVDGDTSPVVTGEPITNLSLSSGTTHGKPKFIPFNDELLETTLQIYRTSYAFRNREYPIGQGKALQFVYGSKQVITKGGILATTATTNLYRRQRYKEGMKDIQSQCCSPDEVIFGPDFHQSLYCHLLCGLIYSEEVHSVFSTFAHSLVHAFQTFEEVWEDLCTDIRDGVLSKKVTAPSIREAVSKILKPNPELADSIYKKCIGLSNWYGVIPALWPNAKYVYGIMTGSMEPYLKKLRHYAGNLPLISADYGASEGWVGSNIDPTVPPEQVTYAVLPQVGYFEFIPLEKPIGEETENSASIHYIESDPVGLTEVEVGKIYEVVITNFAGLYRYRLGDVVKIARFHNSTPELQFICRRSLVLSINIDKNTEKDLQLAVEEASKFLEGEKLEVMDFTSFVERSSDPGRYVIFWELSGDASDEVLSSCANALDLAFIDAGYTGSRKIKTIGPLELRILRKGTFKEILDHFLSLGGAVSQFKTPRFVNPSNSKVLQILSRNVTQSYFSTAYGF >ORUFI05G29440.3 pep chromosome:OR_W1943:5:25860956:25864126:1 gene:ORUFI05G29440 transcript:ORUFI05G29440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTICSCEETINEFEMLTRDAARVQKDTLKKILEINASAEYLQNFGLGGRTDAESYKSCIPLCVHNDIEPYIQRIVDGDTSPVVTGEPITNLSLSSGTTHGKPKFIPFNDELLETTLQIYRTSYAFRNREYPIGQGKALQFVYGSKQVITKGGILATTATTNLYRRQRYKEGMKDIQSQCCSPDEVIFGPDFHQSLYCHLLCGLIYSEEVHSVFSTFAHSLVHAFQTFEEVWEDLCTDIRDGVLSKKVTAPSIREAVSKILKPNPELADSIYKKCIGLSNWYGVIPALWPNAKYVYGIMTGSMEPYLKKLRHYAGNLPLISADYGASEGWVGSNIDPTVPPEQVTYAVLPQVGYFEFIPLEKPIGEETENSASIHYIESDPVGLTEVEVGKIYEVVITNFAGLYRYRLGDVVKIARFHNSTPELQFICRRSLVLSINIDKNTEKDLQLAVEEASKFLEGEKLEVMDFTSFVERSSDPGRYVIFWELSGDASDEVLSSCANALDLAFIDAGYTGSRKIKTIGPLELRILRKGTFKEILDHFLSLGGAVSQFKTPRFVNPSNSKVLQILSRNVTQSYFSTAYGF >ORUFI05G29440.4 pep chromosome:OR_W1943:5:25858361:25859466:1 gene:ORUFI05G29440 transcript:ORUFI05G29440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELEADARRGHLRPTKAGGTPRNPAHPLSPLISSSCFHQRETGSSLIHVVLVGLACFVFVFLFLFSHLVPPRRLLRRPEPDGTVAGERRSLSSLGPTHDSNF >ORUFI05G29450.1 pep chromosome:OR_W1943:5:25866692:25869498:-1 gene:ORUFI05G29450 transcript:ORUFI05G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYMLGQPPPTTPGPQSQVSFDKLTFSDVLQFADFGPKLALNQPAASDNGGGGGDDGDDDDDSYFLRFQSLPSLPAVPPPRGGAGAAHQVVDEQEGSKQTVDAGGVSESTTLVQQADGGGGRAEKAGEQGKSGRRKRPRTVKTSEEVESQRMTHIAVERNRRRQMNEYLRVLRSLMPGSYVQRGDQASIIGGAIEFIRELEQLIQCLESQKRRRLYGGDAPAPPARPVADGAVLPAPMQQPPPATPPFFPPSIPFPASSGAGDGTGAGVAKVALDLDASGGGEVGGGVREEMAENKSCVADIEVRVVGVDAMIKILSRRRPGQLIKTVAALEEMHMSILHTNITTIDQTVLYSFNVKIAGDARFSAEDIAGAVHQILSFIDVNYTL >ORUFI05G29460.1 pep chromosome:OR_W1943:5:25871620:25875204:1 gene:ORUFI05G29460 transcript:ORUFI05G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAKEMKYRRRARVPEPFDYGQCGGDRSGVLDWGALKENPVELLRKLDELRDHITRSCEITDQPRERHRMSRRTASLRPSHAEPPPLGRGPEHYRSRYTGRYGSGFPHSPNDQLHRSMHRDRYERQPSGRFRQWPERQWENSGYLGGNHHQSTCQCAQCLHGQRAVMQEEHIPMTRYFAGQQGSHLFDRSPSVSSELDRRSVASSLYSHFSVSKRRTEFFRKKAESFCRPVRGAAPFVVCSSCNQLLQLPPGKCTARKQIQVRCGSCSEIVSFKLKEVKIHPLVAPTSFPASKTVGSSSRQVNKSFGWYQHQDEGNSSFHKLQAQERWQQNKDLADNISVSSTSSYDRIDKECGSNRSSQLLSVSVRRSRLANIPKDILCQGDAYSQVETSAFNTGNLQAPVIEDKCVDPFSSRLKDCSGGDRTSKECSLNIMADSVDANVRDERSDVTYEQNSKDHKEGFGEETVSSRHEQKLKESTSGFCDDGSMGNIDKLTADNDDTSSLEDGDVSKKYEEKIKQDDNNFQSEFITERYSKCSKEDNNSVIQVETIATICKQDDLDDCYSELLSPNSEHAIMPSKLESSVNERTNSSSRVSSEAELDEVQSAATKNGDSKFFAGFLKKGLKDISLFNQSVDSAKVSINGHSISERVLRKAEKKSGPVGPGSYWYDYRAGFWGVMGHECSGIIPPFIKEFNYPMPKNCAGGNTGVIVNGRELHQKDFELLAGRGLPRISGKSYSVEINGNVIDETTGKKLRKLGKLAPT >ORUFI05G29470.1 pep chromosome:OR_W1943:5:25879419:25882590:1 gene:ORUFI05G29470 transcript:ORUFI05G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGGSPLLRSPSPVMNTMPTPPSAAVFDVEAASGARRLGIKPAADAGAAFVLESKGKWWHAGFHLTTAIVGPTVLTLPYALRGMGWALGLVALTAVAAVTFYAYYLMSRVLDHCEAHGRRHIRFRELAADVLGSGWVFYLVVTVQTAINAGITIGSILLAADCLQIMYSDLAPNGPLKLYHFIIVVAVVLSLLSQLPSFHSLRYINLGSLLLSFGYTILVSAACIRAGALSDVPEKDYSLSSSNSEKTFNAFLSISILASVFGNGILPEIQATLAPPAAGKMMKALVLCYTVVLFTFYLPAITGYWAFGSQVQSNVLQSLMPDKGPSLAPTWLLGLAVVLVLLQLLAIALVYSQVAYEIMEKSSADAARGRFSRRNVAPRVALRTAYVAACAFVAAMLPFFGDIVGVVGAVGFIPLDFVLPVVMYNMALAPPRRSPVYLANVAIMVVFTGVGLIGAVASVRKLVLDAGQFKLFSGNVVD >ORUFI05G29480.1 pep chromosome:OR_W1943:5:25883038:25885703:1 gene:ORUFI05G29480 transcript:ORUFI05G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVTTPSRPVSAGCHRRSPRRSAPVVLSLGGGPRRRTPSSTSCSALASPAKQGTAKLPPPQPTASRTAAADAERERERTDYNEVAAALESIYKLSPAVVEEKDADEEDEKSKQTKRKRKGRVGRSRNATVTVRSSRRRRRGQRMDLGKRVEMRRREEEEGGGGAGKVEDEERGFEEMLLREHAVSTDMGSLDWKRMKIPPVLTSAQSIRLFRIMQPMKAILEMKENLENEVQSEPNDAQLAEAMNMSVLQMRRHLEVGRAARNKLIKHNLRLVLYTINKYYPDMSNDERFDDICQAGANGLITAIDRFEPKRGFRISTYALFWIRHSIVRAITLSNFTRFPFAMESERQEIHRAREELAFELGRAPTEEEVMKKVGLSPARYRDVVRMTRPTYSLHARNRVTQEELINEVTDDDAIGVDTSSHNTLLRLAIDDLLDSLKPKESVVIRQRFGLDGRGKRTLSEIAGNLNISREMVRKYELKALMKLKHPTRVEYLRRYM >ORUFI05G29490.1 pep chromosome:OR_W1943:5:25886163:25887297:1 gene:ORUFI05G29490 transcript:ORUFI05G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHPTNSPPXVALALVAAVNAHDLAAHLAGVDCRVGLVRYDAQLGLVELLVPALHVAGCVLAVVAMAFLLSQGERETHAANTLLAAALVWLLGSILNSCQVYERADGRAQLLQSSVQVPMLLGSLLFLVGAVVNRRRRPEPPVLVGRSWAWTMCVLGSVLWLVAAVFNMGKVFVMHQSDAPRLEKLRGGAQERLSRDREGRLPLNWEEAARSRRVALPAELR >ORUFI05G29500.1 pep chromosome:OR_W1943:5:25894082:25896592:1 gene:ORUFI05G29500 transcript:ORUFI05G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQAQLVSLSFPPPAPPSARPRHAPPLLNAAALRTGVPPYSAGVLVSLLRDCADLHGDDTDHRVARRLAPQLHSLAVRTGLSRDPRVTCALVDLLARLGRGPSCARLLHEAAEDGAKDAVLWNKHVAMLAEAEEWDEAIAVFREMQARGVPADGYTCARVLHACGRAGALREGRAVHAYALKLALDAHPLVPGFLAGMYAENADVAAATRVLDAMGAGSVVPWNAVVACCARLGLVDDALELAARMSRSGPEPNVATWNTVLSGCSRHGRDREALGVVASMLKQGLRPDATTVSSLLKSVANTGLLRHGMEIHCFFLRNQLEPDVYTGTALVDMYAKCGRLDCAQKVLDALEHRNLTTWNSLVAGYANAGRFDIALELVELMKKNRLDPDITTWNGLITGYSMNGQSSQAVLLLRQIKAAGVTPNVVSWTSLISGSCHNGEYEDSFYFCHEMQKDGVQPSLVTMSVLLRACAGLALQKKGKELHCFALRRAYDCDMVVSTALIDMYSKGGSLVSAKVIFESIQQKNLVLCNAMLTGLAVHGQGREAIELFHDMWNSGLKPDSITFTALLTACRSMGLVTEGWEYFDSMETKYGVKPTTENYACMVDLLARCGYLDEAMDFIERSPIDPGASHWGALLTGCSIHGNLALAEVAARNLFILEPYNSANYLLMMNLYEYERMYDEAESLKYAMKARGVDSRPGWSWIQIEQGIHVFEVDGKPHPETAEIYEELIRLVFQIKKAGYVPDTSCIAYNVQEEEKEKLLLGHTEKLAITYGLIRSDASRAPVRVMKNTRMCNDCHEVAKHISSLCDRQIILRDAVRFHHFVDGKCSCNDYW >ORUFI05G29510.1 pep chromosome:OR_W1943:5:25897706:25899710:-1 gene:ORUFI05G29510 transcript:ORUFI05G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLRLSLAAAAAAALAVAVALLLPPLAAAQGETTCPADVPRRGAWMSVASFGGVGDGRALNTAAFARAVARIERRRARGGALLYVPAGVWLTGPFNLTSHMTLFLARGAVIRATQDTSSWPLIDPLPSYGRGRELPGGRYMSLIHGDGLQDVFITGENGTIDGQGSVWWDMWRKRTLPFTRPHLLELISSTDVIISNVVFQDSPFWNIHPVYCSNVVITNVTVLAPHDSPNTDGIDPDSSSNVCIEDSYISTGDDLISIKSGWDEYGIAFGRPSSGITVRRITGSGPFAGFAVGSETSGGVENVHVEHLNFFGMGVGIHVKTNSGRGGFIRNITVSEVTLNGARYGLRIAGDVGGHPDASYDPSKLPVVDGVTIKNVWGQNIRQAGLVRGIRDSVFSRICLSNVKLYGGDSVGPWKCRAVSGGALDVQPSPCAELTSTSEMSFCTN >ORUFI05G29520.1 pep chromosome:OR_W1943:5:25901608:25906106:-1 gene:ORUFI05G29520 transcript:ORUFI05G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDGAVKDQESSANPASASAALSEASAAASEVTAAAAAGAGAGAAEEGAAVSGRPPRPPHDKRLGVRHPLKHRRFRAGGKVMVEPGDPPSAQEVADEEASEVEQEAAPVEREPPQEEGGDVEVSSAPAEMEVVEGDAMEVSPEPAVAVGESELEGRPGEEEEVSSPVVSQGERKQETAAAAPVPAVEEKKHKDQENKHKEREREKERERVDEVGYMSGGWKSEDGFLSCGYSSFRGKRASMEDFYDIKSSKIDDKQISLFGIFDGHGGSRAAEYLKEHLFENLMKHPDFMTNTKLAISETYKKTDSEFLDSESHTHRDDGSTASTAVLVGNHLYVANVGDSRAVISKAGKAIALSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRLLKQFVVADPEIQEQEIDDELEFLILASDGLWDVVPNEDAVSLVKIEEEPEAAARKLTETAFSRGSGDNITCIVVKFQHDKMDGDSSPTSDKS >ORUFI05G29530.1 pep chromosome:OR_W1943:5:25908938:25913330:1 gene:ORUFI05G29530 transcript:ORUFI05G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLMELEDQDLATKMLKNQKRAIDFWQKHWHKTIPLKLKRLAPDHERFLWALSIVQSRSVNLKLRMGAFLQDANVLVPYADMLNHSPDANCFLHWRFKDRMVEVMIKAGHAVKKGDEMTIDYMSGVNSSFMERYGFSSPTNPWELINFSSDAKIHLDSFLSVFNIAGLHDELYHNAALTSGENNFVDGGVVAAARTLPTWSEGDVPAIPSLERKSAQALQEECHTMLESFSTTIQQDQEILDSDGHIRRTREIAIKYRLHRKLLLQKIIDALDIYQDKILF >ORUFI05G29530.2 pep chromosome:OR_W1943:5:25908938:25913330:1 gene:ORUFI05G29530 transcript:ORUFI05G29530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVQHKTIPLKLKRLAPDHERFLWALSIVQSRSVNLKLRMGAFLQDANVLVPYADMLNHSPDANCFLHWRFKDRMVEVMIKAGHAVKKGDEMTIDYMSGVNSSFMERYGFSSPTNPWELINFSSDAKIHLDSFLSVFNIAGLHDELYHNAALTSGENNFVDGGVVAAARTLPTWSEGDVPAIPSLERKSAQALQEECHTMLESFSTTIQQDQEILDSDGHIRRTREIAIKYRLHRKLLLQKIIDALDIYQDKILF >ORUFI05G29530.3 pep chromosome:OR_W1943:5:25908938:25913330:1 gene:ORUFI05G29530 transcript:ORUFI05G29530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVQHKTIPLKLKRLAPDHERFLWALSIVQSRSVNLKLRMGAFLQDANVLVPYADMLNHSPDANCFLHWRFKDRMVEVMIKAGHAVKKGDEMTIDYMSGVNSSFMERYGFSSPTNPWELINFSSDAKIHLDSFLSVFNIAGLHDELYHNAALTSGENNFVDGGVVAAARTLPTWSEGDVPAIPSLERKSAQALQEECHTMLESFSTTIQQDQEILDSDGHIRRTREIAIKYRLHRKLLLQKIIDALDIYQDKILF >ORUFI05G29530.4 pep chromosome:OR_W1943:5:25908938:25913330:1 gene:ORUFI05G29530 transcript:ORUFI05G29530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAASPLLLPLPLPLPASTFPPRRAVPCARRLVLRPPRAGRPRLRDPPPAAPPPAAEEVGEEEEDDDAPPLRLLEPPQEDDPFPPEMEPADPDFYRIGYARMMRAYGVEFLEGPDGMAVYASRDVDPLRRARVIMEIPLELMLTITQKRPWMFFPDIIPLGHPIFDIIESTDPEDDLMELEDQDLATKMLKNQKRAIDFWQKHWHKTIPLKLKRLAPDHERFLWALSIVQSRSVNLKLRMGAFLQDANVLVPYADMLNHSPDANCFLHWRFKDRMVEVMIKAGHAVKKGDEMTIDYMSGVNSSFMERYGFSSPTNPWELINFSSDAKIHLDSFLSVFNIAGLHDELYHNAALTSGENNFVDGGVVAAARTLPTWSEGDVPAIPSLERKSAQALQEECHTMLESFSTTIQQDQEILDSDGHIRRTREIAIKYRLHRKLLLQKIIDALDIYQDKILF >ORUFI05G29530.5 pep chromosome:OR_W1943:5:25908938:25913330:1 gene:ORUFI05G29530 transcript:ORUFI05G29530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAASPLLLPLPLPLPASTFPPRRAVPCARRLVLRPPRAGRPRLRDPPPAAPPPAAEEVGEEEEDDDAPPLRLLEPPQEDDPFPPEMEPADPDFYRIGYARMMRAYGVEFLEGPDGMAVYASRDVDPLRRARVIMEIPLELMLTITQKRPWMFFPDIIPLGHPIFDIIESTDPETDWDLRLACLLLYAFYVEDNFWQLYGRSNGTRRPRSCHENVKEPEESN >ORUFI05G29530.6 pep chromosome:OR_W1943:5:25908938:25913330:1 gene:ORUFI05G29530 transcript:ORUFI05G29530.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAASPLLLPLPLPLPASTFPPRRAVPCARRLVLRPPRAGRPRLRDPPPAAPPPAAEEVGEEEEDDDAPPLRLLEPPQEDDPFPPEMEPADPDFYRIGYARMMRAYGVEFLEGPDGMAVYASRDVDPLRRARVIMEIPLELMLTITQKRPWMFFPDIIPLGHPIFDIIESTDPEHKTIPLKLKRLAPDHERFLWALSIVQSRSVNLKLRMGAFLQDANVLVPYADMLNHSPDANCFLHWRFKDRMVEVMIKAGHAVKKGDEMTIDYMSGVNSSFMERYGFSSPTNPWELINFSSDAKIHLDSFLSVFNIAGLHDELYHNAALTSGENNFVDGGVVAAARTLPTWSEGDVPAIPSLERKSAQALQEECHTMLESFSTTIQQDQEILDSDGHIRRTREIAIKYRLHRKLLLQKIIDALDIYQDKILF >ORUFI05G29540.1 pep chromosome:OR_W1943:5:25915935:25919268:-1 gene:ORUFI05G29540 transcript:ORUFI05G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGADRLSIRAAALALDDAAAGRDKPDTKRDVFADLGSPVSPLRLRPGGAAATPSSSSSSAGSAKSPALCNAGAGVGRGGGGGRGSHSGELVAEGNPPRPPGHRRSGSGPLIFSGGSSSAGSGGGGGGCGGGSTASSPLTNALPTGNICPSGRVASAAPAPPRRARPDVLGSGTGHYGHGSIMRGGGGMTPPRSSIDASPYHGSYSRSPAPQGSSGGLQEVTRAGNEWYKKGHYGEALRHYDQAVALCPDSAACRSNRAAALIGLGRLAEALRECEEAIRRDPASGRAHSRLAALCLRFGMVERAREHFMLAGQVNQSDPAEFQRLQEVERHLGRCMDARKTGDWKSALREADAAIANGADSSQLLLALRSEALLRLNKLEEADSTITSLSKLDIASLSSMSTKLSGMVADSYVHVVEAQVNMAFGSKTSTEVYSCRFDIAVTMAEKARVIDPGNTEVGRITNNIRLVAQARGQGNELFKAGKFAEASLAYGEGLKYEPSNPVLYCNRAACWSKLGRWMKAVEDCNEALKVHPGYTKALLRRAASYAKLERWADCVRDYEVLRKELPNDTEVAEALFHAQVALKTARGEEVANMKFGGEVETITSIEQLRDAIHSPGVSVVYFMATMNQQCQQITPSVDSLCSECPSVNFLKVNVDESPMVARAENVRIVPSFKIYKDGARMKEMICPSLHILRYSVKHYAVSSS >ORUFI05G29550.1 pep chromosome:OR_W1943:5:25929037:25931907:-1 gene:ORUFI05G29550 transcript:ORUFI05G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSGGAWGFDCGSKENGSIQKAGKVDHSQRGRPNWVLVAGGVLLSTLSVRLGCKLKQMFDAKKQNSMPKVKRRPGACDLHSNLYRFNDQTSCYCCMSAVADGVEIRQAPGSPLPKSTDLSPLLLVEIPGPESSKENSGVMWTSSPDRLEDPRKPFQYSNSSGSPCFSESGSDIYNKREVIQKLRQQLKRRDEMIAEMQAQIVDLKNSLVVQVTQTTNLQSQLDAASRELFESEREIQHLRKIIADHCVAEALSHDKPLQAGHWQSDATNGHANGYADSSVDDPELHYIGIEKRKGEVEKVEMLKREVCDLKEVIEGKDFLIQSYKEQKLELCGKIRELQEKLSAQVPNIL >ORUFI05G29550.2 pep chromosome:OR_W1943:5:25929037:25931195:-1 gene:ORUFI05G29550 transcript:ORUFI05G29550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKENGSIQKAGKVDHSQRGRPNWVLVAGGVLLSTLSVRLGCKLKQMFDAKKQNSMPKVKRRPGACDLHSNLYRFNDQTSCYCCMSAVADGVEIRQAPGSPLPKSTDLSPLLLVEIPGPESSKENSGVMWTSSPDRLEDPRKPFQYSNSSGSPCFSESGSDIYNKREVIQKLRQQLKRRDEMIAEMQAQIVDLKNSLVVQVTQTTNLQSQLDAASRELFESEREIQHLRKIIADHCVAEALSHDKPLQAGHWQSDATNGHANGYADSSVDDPELHYIGIEKRKGEVEKVEMLKREVCDLKEVIEGKDFLIQSYKEQKLELCGKIRELQEKLSAQVPNIL >ORUFI05G29560.1 pep chromosome:OR_W1943:5:25933330:25938205:-1 gene:ORUFI05G29560 transcript:ORUFI05G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKRLAGAALGCLSLFLLSRALLFSQDDPEPVKRPDEASSISLPPDRIAIIAAAPAPSPATAAASDGSPAPAQDEVRCDLFDGSWVYDPAGYPLYDAGECPFLSDQVTCRRNGRPDSGYEHWRWQPRRCAAALRLRGGEMLEQCRDKRVVLVGDSLNRNMWESLACILYAAAPDRSRATVDDASADHKIFQALDYNCTVEFYWSPFLVDLDDQTRVLKLDRLPATTYRRLAAADVLVFNTGHWWTHTGKFRAWDHLERNGKKVEMGAEEAFNRALRTWTRWLDRNVDSHKTMVFFRSISPEHKNKNWCYNETAPMARAEEYVEAFPRGMVSIVERNVRRARTAVGYLDITRLSELRRDAHPSVFTPSTRKRDVKKLVQEHSPCQPSTLQADAYTTGLSMKEIERRRKIGAANKGKVPWTKGRKLSKEHKELIKRRTTEALRDPKVRKKMLGHRQLHRQASKDKIGAALRKIWERRMVAVKARQEVLRIWSNSIAEAAKYGDYCQDKLDWDSYDRIKSEMISMFLWNKERERIMKKLEKAEAKIVAKKLQAAERSKLQTRGIKKLQHQKLVLRKSDAQPTRVVVSTRPKLKERLTKWHDRKKELETMISSRTRKGVGLRRSTPRRKAAERRAEVDLVEELRITCKDRLPREIHHQGETQP >ORUFI05G29570.1 pep chromosome:OR_W1943:5:25939002:25941431:1 gene:ORUFI05G29570 transcript:ORUFI05G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWFKLGGSAVRAMGALRGSPPAMASVFTGGWLLGSSISSWQTLKVAEQQIDALAREQEEYLNKFEAKWVEELNRLKLEMMNELEESEERLNREIDVLKMMARIAMEEKEMRMAMEEEAASPGPLQGESGDLGDV >ORUFI05G29580.1 pep chromosome:OR_W1943:5:25943896:25945228:1 gene:ORUFI05G29580 transcript:ORUFI05G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNQERPKCSCVQSSCTQLYCRCFRSRYFCSDNCNCSGCYNIKYYEDAIEEISDMIQMKNPNAFDPRIIVSVQDATAADPQSSTSAISDPKNTSDAMPGNEQRKHAKGCSCRKSKCSKLYCECFKNSVGCTAKCKCLECSNSFGVKNSESSNKPDPDDKSATDGLTHEETTTENITLPGETWNSDPNKRPRYF >ORUFI05G29590.1 pep chromosome:OR_W1943:5:25945683:25951036:-1 gene:ORUFI05G29590 transcript:ORUFI05G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGSSSSGGRGGQRVEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLSLPNIKWICPTAATQPVTAFGGFPCTAWFDVEDTSVDGRDDIEGLDASAAHIANLLSSEPPDVKLGIGGFSMGAAASLYSAACYAHGKFASGIPYPITLSAVISLSGWLPCSRTLRGKMESSHIAARRAASLPILLSHGRADEVVSYRNAERSSDTLRSSGFLYLHFKSYNGLGHYTIPEEMDDVGKWLSSRLGLDRSR >ORUFI05G29590.2 pep chromosome:OR_W1943:5:25945683:25951036:-1 gene:ORUFI05G29590 transcript:ORUFI05G29590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGSSSSGGRGGQRVEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLSLPNIKWICPTAATQPVTAFGGFPCTAWFDVEDTSVDGRDDIEGLDASAAHIANLLSSEPPDVKLGIGGFSMGAAASLYSAACYAHGKFASGIPYPITLSAVISLSGWLPCSRTLRGKMESSHIAARRAASLPILLSHGRADEVVSYRNAERLGHYTIPEEMDDVGKWLSSRLGLDRSR >ORUFI05G29590.3 pep chromosome:OR_W1943:5:25945683:25948671:-1 gene:ORUFI05G29590 transcript:ORUFI05G29590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAASLYSAACYAHGKFASGIPYPITLSAVISLSGWLPCSRTLRGKMESSHIAARRAASLPILLSHGRADEVVSYRNAERSSDTLRSSGFLYLHFKSYNGLGHYTIPEEMDDVGKWLSSRLGLDRSR >ORUFI05G29590.4 pep chromosome:OR_W1943:5:25945683:25948671:-1 gene:ORUFI05G29590 transcript:ORUFI05G29590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAASLYSAACYAHGKFASGIPYPITLSAVISLSGWLPCSRTLRGKMESSHIAARRAASLPILLSHGRADEVVSYRNAERLGHYTIPEEMDDVGKWLSSRLGLDRSR >ORUFI05G29600.1 pep chromosome:OR_W1943:5:25952548:25955601:-1 gene:ORUFI05G29600 transcript:ORUFI05G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTHSTGWTCLPPPPPEPAAPGRGVCAMSTSWRDKQQPSLINFIAAFLAANSYRLNFLSISPDFIFNNGELSVAFIFETNWDCQNEGAVFSRVNMLKRQLKHLYVVVAVPTKEQNESFNRSYHKYGMKLGFPTFVPVTDPEMGFEKIVKIAHALGVCKQQDIISRLKNEREQAVQCTDSFLRVLTSIPGIDNHDANALAQAIGSIEAIAKASKKFILENTDLSTDKAETIVRQKVIVNLSYGLNQLGGLTACGSLCTASLSLWLLYCYVVFLDH >ORUFI05G29610.1 pep chromosome:OR_W1943:5:25958588:25963424:1 gene:ORUFI05G29610 transcript:ORUFI05G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAALRLLLLALAVACCAVAEPPQQERSALRAFLAGTPHERALAWNASTPACAWVGVTCDAANATVVALRLPGVGLIGRVPQGTLGALRGLRVLSLRSNRLFGDVPGDLFSLPDLRSLFLQGNLFSGSVPPDVAKLTALQHLALSHNNLTGAIPFALNGLANLRSLRLDGNRFSGSLPSLTLPLLEDFNVSYNQLNGSIPASLARFPPESFAGNLQLCGKPLSRPCEPFFPSPAGAPTPTDGRGSGGGSVPVSEKKKKKLSGAAVAAIAVGGGAAALLALVLLVVCTAASRRRAANGEVGKTAAARGLTPPSTASGELGEVTSSTSKEIALAAAAATAERSRLVFVGKGAAYSFDLEELLRASAEVLGKGSVGTSYKAVLEEGATVVVKRLKEVAASRREFSAHLDSLGKVDHRNLLPVRGYYFSKDEKLLVCDYLPAGSLSATLHGSRGTGRRTMDWDARMRAALSAARGVAHLHAAHSLAHGNLKSSNLLLRPDPDATALSDYCLHQLFAPLSARPNAGGYRAPELVDARRPTFKSDVYSLGVLFLELLTGKSPGNASVDGDGAVDLPRWVQSVVREEWTAEVFDVELVRLGGSAEEEMVALLQVAMACVATAPDARPDTADVVKMIEEIGSGHGRTTTEESEDRSRGTPPAGTTP >ORUFI05G29620.1 pep chromosome:OR_W1943:5:25963446:25964111:-1 gene:ORUFI05G29620 transcript:ORUFI05G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHSEYAIVTSGGGGDVACLGGGGAEAIGGAAGGEGGGGSRGGHAAAEAVVVDGAVEVQWLAPLPHQDRPLPDGLAAAAVLLGRRGPPATVAAAVEEEEAAAGAREAAAAQGDEAAQPAHDVVVVVVVPVVTSVGDGHGWNLLLLAAAAVVIHEEMKISARMPPRPRDERFHRFSWSWSWRNKSKGRGPLARAPQRPNLQKPNSLRPTRPQAAASPFWPG >ORUFI05G29630.1 pep chromosome:OR_W1943:5:25963695:25967855:1 gene:ORUFI05G29630 transcript:ORUFI05G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAYTSDHRHHHHHHDVVGRLSSLVALCGRGLSRASRRLLLLDRRCYGGGRSAPAKQDGGGGEAIWQRTILMGERCQPLDFDGAVHYDSFGRRMAAPRSASSLSSCCSSDSLGAATSEASYITSTSTCDDGLHSGGVAVLGQLEGALHLQAGSIRDDVPMIPTTLRTASGNWTRIAAS >ORUFI05G29640.1 pep chromosome:OR_W1943:5:25964825:25965918:-1 gene:ORUFI05G29640 transcript:ORUFI05G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNPDAVRNVVGIIGNLISFGLFLSPLPTFVTIVKKKDVEEFVPDPYLATFLNCALWVFYGLPFIHPNSILVVTINGTGLLIEIAYLAIYFAYAPKPKRCRMLGVLTVELVFLAAVAAGVLLGAHTYDKRSLIVGTLCVFFGTLMYAAPLTIMKQVIATKSVEYMPFTLSLVSFINGICWTIYAFIRFDILITIPNGMGTLLGAAQLILYFCYYDGSTAKNKGALELPKDGDSSAV >ORUFI05G29650.1 pep chromosome:OR_W1943:5:25967983:25975747:1 gene:ORUFI05G29650 transcript:ORUFI05G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVMSEILLRLPSKSVVRYRAVCRSWRRITSCPYFLAARDAGGILRRPPGAGAGPRPVRMLVHCSIWTARFVCVEERDDVMVVCESAHDKKLNTQHAAGLYHLRDNKSDEMAVYVVRKL >ORUFI05G29660.1 pep chromosome:OR_W1943:5:25969330:25975855:-1 gene:ORUFI05G29660 transcript:ORUFI05G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAGDPGDGVPSKRARTERDPYRVETMEFLVLVKSELPDDVYSHFVRSMIKIRRQRNMSIEKCKEIILEILDGQPEAIQVFEHFIQGYSPCRAKMRSKAHNFVERVKACPDISREDFHALLNVLAKYYKNEIKTSEEVLEKVERIIGNYPEFLEEFKIFVPHHLRAHLPNEKSCTSPKSSRVSETFVSFTLDAMNKLDGLRVKATNGRNQATPLKYTQDQNQNHEGRGYSLRHKQTKRTTGLIENPRKQGDDKSPHAEDDEEHKAEPLLQWSTSRENELPLKVDPSNCKHCTPSYCLLPKNCVTLQSSYQTELGRSILNDSLVSVTSGREDCYKFRTKNQYEENMFKCEDDLFESDMLLQRFRATADFIEDLQYRFGSNVKIQEHLTPLHKRCIEQLYDDSGIDMLDALSESENTSSALAVILSRLNQKIGDFSEARLSLNKMCPDTVANNYYRSLDHCSPSFKQLDMERMSPKALLAEDKQISRIKSHTDIHIHEDVGVIINYAYSRSCSTEDKPMMNWTKLVKAFVSVKFQWPDLKDTVSRRNVCEHCGMSRDFLNNIPVAVLTNEFVFSSKEVESLRAKSNESTSSLDHFDAEVEEGEFIPDVENIQLRVRCLPTNNSMHSTYGHWSGSEEHKSSRDDSNNEVGSSEYFGRTSKECDANRGISCCTLAVLCRLLQVMYERLLVAKNLSEGASTHDSYAHFKEKLCSLIDGSTDNWNFEQHCLKFLGPNSYVLFTLDKLIDRVIKQICKIYPSREDSSVLQRQERSRRTFNILKDPALPARRTNSSKELLHHQNARGTSIELPKQGREEAKGGCESHGDTGKMKQNHFQRRKKRALENGPPSFSQPGSGNQAHN >ORUFI05G29670.1 pep chromosome:OR_W1943:5:25978361:25986295:1 gene:ORUFI05G29670 transcript:ORUFI05G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKLDLPVPGGPYSSNDEWEGFLLVLPVEAQLIGADLTLAAAIAIVGLFTFLHGDNHLLGRFIHAADSQALHVVVDACCKVAEAGKQHITHLVWNQLVHDAADQHHRRCNGCSGCDGLLGAEELCTASFCSKYWSRRMPASVFQKRMPASIYHLVG >ORUFI05G29670.10 pep chromosome:OR_W1943:5:25980773:25986295:1 gene:ORUFI05G29670 transcript:ORUFI05G29670.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKLDLPVPGGPYSSNDEWEGFLLVLPVEAQLIGADLTLAAAIAIVGLFTFLHGDNHLLGRFIHAADSQALHVVVDACCKVAEAGKQHITHLVWNQLVHDAADQHHRRCNGCSGCDGLLGAEELCTASFCSKYWSRRMPASVFQKRMPASIYHLVG >ORUFI05G29670.11 pep chromosome:OR_W1943:5:25980773:25986295:1 gene:ORUFI05G29670 transcript:ORUFI05G29670.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKLDLPVPGGPYSSNDEWELFTFLHGDNHLLGRFIHAADSQALHVVVDACCKVAEAGKQHITHLVWNQLVHDAADQHHRRCNGCSGCDGLLGAEELCTASFCSKYWSRRMPASVFQKRMPASIYHLVG >ORUFI05G29670.12 pep chromosome:OR_W1943:5:25978361:25981534:1 gene:ORUFI05G29670 transcript:ORUFI05G29670.12 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVQVADVSNLTAGITVYKKDKMNCMLDAYVFNNLGLSGFIPLCLQKLSKNLKRDIDIIIPH >ORUFI05G29670.2 pep chromosome:OR_W1943:5:25978361:25986295:1 gene:ORUFI05G29670 transcript:ORUFI05G29670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKLDLPVPGGPYSSNDEWELFTFLHGDNHLLGRFIHAADSQALHVVVDACCKVAEAGKQHITHLVWNQLVHDAADQHHRRCNGCSGCDGLLGAEELCTASFCSKYWSRRMPASVFQKRMPASIYHLVG >ORUFI05G29670.3 pep chromosome:OR_W1943:5:25978361:25986295:1 gene:ORUFI05G29670 transcript:ORUFI05G29670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCANRIPFRADDGWSTSESETVDQQLYKILKSSSCMHIMVHLGKVGGVALALPCLGLRRRRVEIDGTEPPMVTTAAAATAGDGFWTKGVKLLIA >ORUFI05G29670.4 pep chromosome:OR_W1943:5:25978361:25986295:1 gene:ORUFI05G29670 transcript:ORUFI05G29670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVQVADVSNLTAGITVYKKDKMNCMLDAYVFNKSFKVDGAVNVFNDEDGPVAHPDEQPPEVRVGLDLGELKIVDVKLEVVGHGGDEAGLAGARWAVEQTKECNINIIISHESLSDLRRRHHHLLDQLLDLWICDMIVDRVVVAGKNPESLWGAVPHVDVHVHPARPHKVGLGTFLPCLPLFQFDATVNVLNNKNGPAGHSD >ORUFI05G29670.5 pep chromosome:OR_W1943:5:25978361:25986295:1 gene:ORUFI05G29670 transcript:ORUFI05G29670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKLDLPVPGGPYSSNDEWELFTFLHGDNHLLGRFIHAADSQALHVVVDACCKVAEAGKQHITHLVWNQLVHDAADQHHRRCNGCSGCDGLLGAEELCTASFCSKYWSRRMPASVFQKRMPASIYHLVG >ORUFI05G29670.6 pep chromosome:OR_W1943:5:25978361:25986295:1 gene:ORUFI05G29670 transcript:ORUFI05G29670.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVQVADVSNLTAGITVYKKDKMNCMLDAYVFNKSFKVDGAVNVFNDEDGPVAHPDEQPPEVRVGLDLGELKIVDVKLEVVGHGGDEAGLAGARVGLGTFLPCLPLFQFDATVNVLNNKNGPAGHSD >ORUFI05G29670.7 pep chromosome:OR_W1943:5:25978361:25986295:1 gene:ORUFI05G29670 transcript:ORUFI05G29670.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVQVADVSNLTAGITVYKKDKMNCMLDAYVFNKSFKPWSLRVHSSLPSETQQEPEARHRHHHSSLGPLQPPQALSAPPQSAARFLVGLGTFLPCLPLFQFDATVNVLNNKNGPAGHSD >ORUFI05G29670.8 pep chromosome:OR_W1943:5:25980787:25986295:1 gene:ORUFI05G29670 transcript:ORUFI05G29670.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDIVVVASQNSESLSSAIPHVDVHVHPARAQQCWVKPLSVVCCEDDDPLLAAGGLGTLDLSFVPLLQVDGAVNVFNDEDGPVAHPDEQPPEVRVGLDLGELKIVDVKLEVVGHGGDEAGLAGARWAVEQTKECNINIIISHESLSDLRRRHHHLLDQLLDLWICDMIVDRVVVAGKNPESLWGAVPHVDVHVHPARPHKVGLGTFLPCLPLFQFDATVNVLNNKNGPAGHSD >ORUFI05G29670.9 pep chromosome:OR_W1943:5:25978361:25986295:1 gene:ORUFI05G29670 transcript:ORUFI05G29670.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVQVADVSNLTAGITVYKKDKMNCMLDAYVFNKSFKVDGAVNVFNDEDGPVAHPDEQPPEVRVGLDLGELKIVDVKLEVVGHGGDEAGLAGARVGLGTFLPCLPLFQFDATVNVLNNKNGPAGHSD >ORUFI05G29680.1 pep chromosome:OR_W1943:5:25980574:25982155:-1 gene:ORUFI05G29680 transcript:ORUFI05G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSGDYEKYMATAAAVAGTAMVVRGVVSELVPDELREMLRSAARGIRARVSSTHTVVIDETEGLSTNQIYDAARTYLAARINTDMQRLRASRVDDAQGIMITMDQGEEMLDVHDGVEYTWRLVSRDTAAAATAHAAPYGIGGGGAANRRGRSRFEVKSFEVSFHKKHKEKALRSYLPFVIDTAKAMNDKHRNLKMHMIEYDAWTAVDLRHPSTFDTLAMDHSLKHSVMYDLERFVKRKDYYRRIGRAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTEVKSNSDLRRLLVGMSNRSILVVEDIDCTIDLQQRDEGEIKRAKPTYSGEENEDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYRERLDPALLRPGRMDMHIHMGYCTREAFRVLASNYHNVENHAMYPEIEQLIEEVLTTPAEVAEVLMRNDDVDVALQVLAEFLKAKRNEPGETKAENKNGNQKINKYEQSMV >ORUFI05G29690.1 pep chromosome:OR_W1943:5:25983357:25984928:-1 gene:ORUFI05G29690 transcript:ORUFI05G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKAIESYKKAITTAASVAASVMLVRSVVNELVPYEVRDVLFSGLGYLRSQISSQHTIIIEETEGWSHNHVYNAVRAYLATRINNNMQRLRVSSMDESSEKMVVTMEEGEELVDMHEGTEFKWCLISRSISADPNNGNGSGQREVRSYELSFHRKHKEKALKSYLPFIIATAKAIKDQERILQIYMNEYSDSWSPIDLHHPSTFDTLAMDQKLKQSIIDDLDRFIKRKDYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANHLKFDIYDLELTGVHSNSELRRLLVGMTSRSILVVEDIDCSIELKQREAGEERTKSNSTEEDKGEDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYKERLDQALMRPGRMDMHIHMGYCTPEAFRILASNYHSIDYHVTYPEIEELIKEVMVTPAEVAEALMRNDDIDVALLGLLELLKSKIKDASETKAESKDANKQTEENKDSKAMENKNDSSTDECT >ORUFI05G29700.1 pep chromosome:OR_W1943:5:25987364:25992123:1 gene:ORUFI05G29700 transcript:ORUFI05G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDASGGDGPEQELKRAAAAAYNYEGDARWAEYWSNILVPPHLASRPDVVDHYKRKFYQRYIDRDLVVEPMSSTGSTQPSGPEVRIKFQISCTTAPTATNRQCYKSLTVLVVAGLGMLPILPKHLADRACKLSLLGTILSSAYSLYSTYGKPRAWNMPAVQGWLQAVLGTKDFIHLMFSLMLFTSQLHLKIAALPVFCWALDHVARFLRRNFARSSFYRSYLEEPCLWVETNNTTLSLLSSNAEIALGFLLIISLFSWQRSIIQTFMYWQVLKQMYHAPVTASYHQSAWAKIGRIVNPYIHRYAPFLNTPISAAQRWWFRDRVTVRMHNTGRATVSPFSPQPPGAMISLEGTPAFPT >ORUFI05G29700.2 pep chromosome:OR_W1943:5:25987364:25992123:1 gene:ORUFI05G29700 transcript:ORUFI05G29700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDASGGDGPEQELKRAAAAAYNYEGDARWAEYWSNILVPPHLASRPDVVDHYKRKFYQRYIDRDLVVEPMSSTGSTQPSGPEVLKQMYHAPVTASYHQSAWAKIGRIVNPYIHRYAPFLNTPISAAQRWWFRDRVTVRMHNTGRATVSPFSPQPPGAMISLEGTPAFPT >ORUFI05G29710.1 pep chromosome:OR_W1943:5:25992807:25996179:1 gene:ORUFI05G29710 transcript:ORUFI05G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQMMRGRPCAPCCSSSSSSSSSSSSSWMPSKHPHAPLSRRKISSESLRALALHLLLKRRKYQNGDISRISASSSGVLEITENKSNNKADAERKILDDALDRKPQIDWVEEDISSWMDKSYTSSNLEYNLLMQNIQVLESSLAGKDLVRLERDILVHIERLGALKSFNASISRATLTQTYELEFSLPGDIIKLDPEIPLEEQNDVVIVRSGKSQERKLKRMKASEKGSRVSVKTPSRKSKKSSSSQFIAEWKNYPGRRRSIVREQSALLVTIKECANLEKIRENLLKDGSEVSHASWAKAAGIDEALLRSRLQEGYCCRERLLVTTEWLVKYIAKTYAGMGTAFEDLLQAGKMGVLNGAEKFDSQKGCKFSTYVKYWIRKAMLALLAENSGVIQLPARMEGIIRKVREARRAIRYNTGRNPPDAEIAALIGVSVANVRLARKCSRRVVSLYTEIGVGQNAKFVEVTPDTSLEAPDEAMFRMQLRERLLHVLDRLPPREGHVLKLRHGLEDGKCRSLEQIGSIYHVSKEWIRKIEKSAMSKLRNDDSRPVHDTKHPRAGPQAHIYGPSVSAMMMTWL >ORUFI05G29710.2 pep chromosome:OR_W1943:5:25992807:25995658:1 gene:ORUFI05G29710 transcript:ORUFI05G29710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQMMRGRPCAPCCSSSSSSSSSSSSSWMPSKHPHAPLSRRKISSESLRALALHLLLKRRKYQNGDISRISASSSGVLEITENKSNNKADAERKILDDALDRKPQIDWVEEDISSWMDKSYTSSNLEYNLLMQNIQVLESSLAGKDLVRLERDILVHIERLGALKSFNASISRATLTQTYELEFSLPGDIIKLDPEIPLEEQNDVVIVRSGKSQERKLKRMKASEKGSRVSVKTPSRKSKKSSSSQFIAEWKNYPGRRRSIVREQSALLVTIKECANLEKIRENLLKDGSEVSHASWAKAAGIDEALLRSRLQEGYCCRERLLVTTEWLVKYIAKTYAGMGTAFEDLLQAGKMGVLNGAEKFDSQKGCKFSTYVKYWIRKAMLALLAENSGVIQLPARMEGIIRKVREARRAIRYNTGRNPPDAEIAALIGVSVANVRLARKCSRRVVSLYTEIGVGQNAKFVEVTPDTSLEAPDEAMFRMQLRERLLHVLDRLPPREGHVLKLRHGLEDGKCRSLEQIGSIYHVSKEWIRKIEKSAMSKLRNDDVHNELKDFCGF >ORUFI05G29720.1 pep chromosome:OR_W1943:5:25997705:25998670:-1 gene:ORUFI05G29720 transcript:ORUFI05G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCSGDYSTAGQRAGEEGGGGGGAGLRLFGVQLHAAAASSPASYLHKSYSMDCLRLQVSSPSSLQSSSSSPSPLTSSLLLSIDEGCERPAADGYLSDGPHGAAATMRERKKGVPWSEQEHRLFLAGLEKLGKGDWRGISRSFVTTRTPTQVASHAQKFFLRHNSAAKKTNNKRRSSLFDMVQDCDSGGRSLASSDPATRCNNNISASLSLQVSHQKSGDSAWPSSETPSVSEAQQGHGYGTSHHCSPLDLELGMSLSTTPSIGT >ORUFI05G29730.1 pep chromosome:OR_W1943:5:25999746:26003204:1 gene:ORUFI05G29730 transcript:ORUFI05G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNQFDLLVDVDNDDPSHLIAAAEKKAAAAAASPKLASSPAPAPAKLPTKPAPPAQAVREARNYGAPRDGAGRGGPGRGRGGGRGGRGGPRRDFGEGDANGFEGGYGGGGGFGDGGLARGEDGEGRQAERGRGPRQPYRGGGRRGGYSDGQSGDDYGRPRRAYERHSGTGRGYELKREGSGRGNWGTVTDEGLAQEVAEAVNTEEAPATAEDEKKPEDVPQSEVDKDKESPENEEEEKEPEDKEMTLEEYEKVLEEKRKALLALKAEERKVEVDKELQAMQQLSVKKANEEVFIKLGSDKDLKKKEKDEKDERTKKSLSINEFLKPAEGERYYNPGRGRGRGRGRGDRGGFYGGYNGNGGRRQAAAPVIEDQAQFPSLGGK >ORUFI05G29740.1 pep chromosome:OR_W1943:5:26003978:26008484:-1 gene:ORUFI05G29740 transcript:ORUFI05G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWPSRLFFHDNRGIQSLPAETPVRGIPARRRWSSRASCGGGTVTRRLLELSDMAVVSGPDKGRGAEAVVVVAVRAAARDISKAALVWALTHVVQHGDTILLLAVMPPPHNSGKKFWGFPLFAGGCASAHRSVLTQNSDVADLCNQMMLKLRDFYDPNKIITKLKVIPASPGGVATESKRAQASWVVLDKELKHEEKRCMEELQCNIVAMKRSRPKVLRLNLVRSPPKESKSPLPPLPELSDSVGETESSINEQRCPIREPAVTPSSSPESETAFGSTDVGTSSVSSSDPGTSPYSASETNSTFKKEATKDNFQHSDVNVSDSESEASTPPAASSLQPWMADILKGSASSRLAGNRPRRTRTPTADALLEKISKLDLLAEISAIRSRSDLNFRGNVRDVVSLSRSAPPGPPPLCSICQHKTPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQYKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRRNKETLEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPLLEEYAIDDLIDPRLGDRFSENEVLCMLHAANLCIRRDPHSRPRMSHVLRILEGDMVVESGCVSAPCSEAGSRSRRMLLQQEQQSSPAQQDSQIMVDGKPQSYVARRIAWDRDTQSLSHRF >ORUFI05G29740.2 pep chromosome:OR_W1943:5:26003978:26007669:-1 gene:ORUFI05G29740 transcript:ORUFI05G29740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSGPDKGRGAEAVVVVAVRAAARDISKAALVWALTHVVQHGDTILLLAVMPPPHNSGKKFWGFPLFAGGCASAHRSVLTQNSDVADLCNQMMLKLRDFYDPNKIITKLKVIPASPGGVATESKRAQASWVVLDKELKHEEKRCMEELQCNIVAMKRSRPKVLRLNLVRSPPKESKSPLPPLPELSDSVGETESSINEQRCPIREPAVTPSSSPESETAFGSTDVGTSSVSSSDPGTSPYSASETNSTFKKEATKDNFQHSDVNVSDSESEASTPPAASSLQPWMADILKGSASSRLAGNRPRRTRTPTADALLEKISKLDLLAEISAIRSRSDLNFRGNVRDVVSLSRSAPPGPPPLCSICQHKTPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQYKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRRNKETLEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPLLEEYAIDDLIDPRLGDRFSENEVLCMLHAANLCIRRDPHSRPRMSHVLRILEGDMVVESGCVSAPCSEAGSRSRRMLLQQEQQSSPAQQDSQIMVDGKPQSYVARRIAWDRDTQSLSHRF >ORUFI05G29750.1 pep chromosome:OR_W1943:5:26020865:26021071:1 gene:ORUFI05G29750 transcript:ORUFI05G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVASSVQRAGPWGVAFKKQIVPEPAAASLHEETEGGRVFLASRSRATRPPPIVHGEGRGSRQGGG >ORUFI05G29760.1 pep chromosome:OR_W1943:5:26022769:26024196:-1 gene:ORUFI05G29760 transcript:ORUFI05G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVFLIAALLLLPLPAAFSKAVRTQSLLANPLSPDPITQEQQLSLAAPRTNASTLHFRLAHREHFALNATASDLLAHLLARDAARAAALLAAPNNATRPRRRGGFAAPLLSGLPQGSGEYFAQVGVGTPATTALMVLDTGSDVVWLQCAPCRHCYAQSGRVFDPRRSRSYAAVDCVAPICRRLDSAGCDRRRNSCLYQVAYGDGSVTAGDFASETLTFARGARVQRVAIGCGHDNEGLFIAASGLLGLGRGRLSFPSQIARSFGRSFSYCLVDRTSSVRPSSTRSSTVTFGAGAVAAAAGASFTPMGRNPRMATFYYVHLLGFSVGGARVKGVSQSDLRLNPTTGRGGVILDSGTSVTRLARPVYEAVRDAFRAAAVGLRVSPGGFSLFDTCYNLSGRRVVKVPTVSMHLAGGASVALPPENYLIPVDTSGTFCFAMAGTDGGVSIIGNIQQQGFRVVFDGDAQRVGFVPKSC >ORUFI05G29770.1 pep chromosome:OR_W1943:5:26024893:26027398:1 gene:ORUFI05G29770 transcript:ORUFI05G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAAGGATLCAAREEQELLATRLNVNGIRPPHCAAESILIYLTAPGLSMMPMRVMASDSIASVKLRVQTSKGFVVRKQKLVFDGRELARNDSRIMDYGVSHGNVLHLVIRISDLRLITVQTVHGNKFRFRVEPGRTVGYVKQQIAKNSTHDDDHHSLVLQGEVLDDAHLIHDVCRTDGAVIHLLVHRSAKLAARPVDRDFEVSIVARNRNAAADAAQPTLHLQRDFAIEPVIVNPKAALPPVIENLVGAVLAGMEKGNAPIMSSEGTGGAYFMQDASGQEHVAVFKPVDEEPMAANNPRGLPPSPTGEGLKKGTRVGEGAIREVAAYILDHPPGGRRSFAGHHGSATVGFAGVAPTALVRCMHRSFKQPAASEQGPPLFKVGSLQAFVKNSGSCEDMGPRAFPVHEVHKICVLDIRLANADRHAGNILTCRDEQGHGLTLVPIDHGYCLPESFEDCTFEWLYWPQCREPFSEETVEYIRSLDAEEDIAILRFHGWEMPAKCERVLRVTTMLLKKGVDSGLAAFDMGSILCRETLTKESVIEEIIREVEDDVGDEAAFLQSVSQSMDRRLGELSKKKKSNVSIQD >ORUFI05G29780.1 pep chromosome:OR_W1943:5:26029096:26030900:-1 gene:ORUFI05G29780 transcript:ORUFI05G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMWRANARVVERRGGREAEERGGVISVVLAHGYGASQAVWDKLVPSLSKSHNLLLFDWDFTGAGAGKDDDEYTYGRFADELIAVMEERGVGASGAVVVAHSMSAMAACIAAQRRPDLFAHIFLVCASPRYINLEEEGYVGGFEEAAIHGMLAAMESDFDGWVRSFLPNAAGYASAVEHLLKSFLAMDPTVALKLAKMIFLGDQREVLDGVKTPCTIVQVKADFAAPPSVAEYMHLRMKGAATAVEIIGSVGHFPQLVAPQQLLDILAGVLRLREAAAEAEHDDAGTVEIAGGIDVAI >ORUFI05G29790.1 pep chromosome:OR_W1943:5:26043523:26044796:1 gene:ORUFI05G29790 transcript:ORUFI05G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIVVVAVAVVGVFVIVVQGGGSSKQRRAGAGVAVAVVVVLLLHAAPAAPAQGSLQGTRTCQMDTTSLAVLSCQEWPPSGSCCDALRYAIDEQPSDVSDRGLCCLCVYIVTMRLISVDLPYVYRVCRGKDAEAVAAWIALQPPPVYDCTGSGVEMMPGRQSSARVSTSRLI >ORUFI05G29800.1 pep chromosome:OR_W1943:5:26075148:26076242:1 gene:ORUFI05G29800 transcript:ORUFI05G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAALALLLPVLLLVGVDDIEPLPELLVNCTSRLCPAGIAVFLMGYAYAYARSRGNTAPLKKTTNSTTVLLKMMPLLLLLLLVAPGLGTAARPQSTVNTTAGYS >ORUFI05G29810.1 pep chromosome:OR_W1943:5:26078690:26080051:1 gene:ORUFI05G29810 transcript:ORUFI05G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSFRCSIETIHAPNLSLGQQQQQQQEEEEEEEEETSISVTKDSKLNDFAFLIPVKLGTPAVQYLVTMDTGSSLSWVQCRPCTIKCHVQPAKVGPIFDPSNSSTFRHVGCSTSICSYLGRTLRIQSKACMEWEDICLYTMSYGGGWAYSVGKAVTDRLVLGGGETTRTTLSLANFVFGCSMDTQYSTHKEAGIFGLGTSNYSFEQIAPLLSYKAFSYCLPSDEAHQGYLSIGPDSSGGVPTSMFPGTPRPVYSIGMTGLTVTVNGEVRSLVSGSGSSPSPSSLMVVDSGAKLTLLLASTFGQLEDAIIPAMESLGYSLNTAAGQNQLCFLTESDRQNYLQRKPPPPSNWSALPVFHISFTLGLTLTLPPKNAFYLDARYRQEFLTIAWKQIYVRSIFPFQYFSDILGLCSSFARDDYLESGYQILGNLVTKSCGITYDIPRKQFRFRTGEC >ORUFI05G29820.1 pep chromosome:OR_W1943:5:26085060:26086654:1 gene:ORUFI05G29820 transcript:ORUFI05G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLVLLLLIIAAAGAAAFGIRRDAPKAYCFYGIEWTGVPYLPGTAAAIYIGNTNSCIAGYHSPPDTATSYRFCIPSWVAFTANATTLCGQPAVDHSAAISGFKRLIGLQPGDPHAKRVAQIAPYKLGEKIGRCSIQVQLDDGAKCRVEDFLPEDVAGILIAHLKSTAEAHLGHRIDNAVVTVPGHFNGNQRQEVSSGSTEYGGFRYVSVVDEQVAAAAAHGLHEDRGDGKVILVFHLGGRTAHATKFVIRDGTPSLIALRHDPFLGGDDFTARVVDHMADLIKDKHGGRDVRADAAALRRLTAECERAKKALSYQQETVVTMRLDDDDDLFSEPLTRSKLEELIGDLVGRAVDLVESCDASGGDVVGVDEILLVGGSTRIPMVRDLVKDYFHGKEASNEKGVEPDEAVIRGALLLSHPHQARYLDPCYDYWHSR >ORUFI05G29830.1 pep chromosome:OR_W1943:5:26089771:26094614:1 gene:ORUFI05G29830 transcript:ORUFI05G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESPEGEPEWRRWSWRRRRWRSFQRRTARRAPWGRTLLLHPHFLALPLSNPDPEPVGKLDMKDPGSPDLLSLVAAVTTATSQTRHPSRACTGDVQETEVKADLPRLHLTFCLIVACHGSSTRTMVLHSCSLSQPPTIFSYFSMTIAFTTVRRMVKR >ORUFI05G29840.1 pep chromosome:OR_W1943:5:26099512:26103753:1 gene:ORUFI05G29840 transcript:ORUFI05G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAAAAEAPAPTHAFAIAAEEVAMEPLSTATAPAAMEEESSSSGGGGGVGERRSRFRRICVYCGSAKGKKPSYQDAAVDLGKELVERGIDLVYGGGSIGLMGLVSHAVHAGGRHVIGIIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPVIDPYPKMLGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYNPLLSFIDLAVNEGFITEEARRIIISAPTAKELVMKLEDYVPEYSIGLVWEDQNQKQNNLVPELDSGITSS >ORUFI05G29840.2 pep chromosome:OR_W1943:5:26099512:26103753:1 gene:ORUFI05G29840 transcript:ORUFI05G29840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAAAAEAPAPTHAFAIAAEEVAMEPLSTATAPAAMEEESSSSGGGGGVGERRSRFRRICVYCGSAKGKKPSYQDAAVDLGKELVERGIDLVYGGGSIGLMGLVSHAVHAGGRHVIGIIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYNPLLSFIDLAVNEGFITEEARRIIISAPTAKELVMKLEDYVPEYSIGLVWEDQNQKQNNLVPELDSGITSS >ORUFI05G29850.1 pep chromosome:OR_W1943:5:26110436:26114603:1 gene:ORUFI05G29850 transcript:ORUFI05G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRSVPARGRDSESSSRKTKAAASSSSTAGCWGRLPLLISSGGIMTSSPPDRSPPYLQTTAEPTLYAGTTNNSYKPFLPEEAFSGSISPSLVAADFQLRQFTYADLQRATGYFRPETFLGVGGFGRVYKGWIQVNETAHGKPRTGIPIAVKTLNYDGLQGHDEWVAEIHYLRNLKHPHLVKLIGFCMEGDQRQLVYEFMSRGSLENHLFIRSRTPLPWFLRVKIVLGAAKGLAFLHEQEMPVIFRDFKTSNILLDEDFNAKLSDFGFARDGPVGDMAHVSTRVLGTYGYAAPEYVLTGKKAMERNLVEWAHNNANDRSIHRLIDPGLGSNFSMAGAQILARTARSCTRQNPRDRPLMSEVVHTLETLHTDQRANATTSYSYSQSQPPSPSANPSPSRSPMRSSASSPYGAPYPYGGIGGHASPLRHGTRRAMA >ORUFI05G29860.1 pep chromosome:OR_W1943:5:26116594:26119638:-1 gene:ORUFI05G29860 transcript:ORUFI05G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTAGSYLRTAAMGNLFCCVQVDQSTVAIREQFGKFDAVLEPGCHCLPWFAGKRIAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKANDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGQATTTSH >ORUFI05G29860.2 pep chromosome:OR_W1943:5:26116594:26120572:-1 gene:ORUFI05G29860 transcript:ORUFI05G29860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFRPSFFPSGTAGSYLRTAAMGNLFCCVQVDQSTVAIREQFGKFDAVLEPGCHCLPWFAGKRIAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKANDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGQATTTSH >ORUFI05G29860.3 pep chromosome:OR_W1943:5:26116592:26118091:-1 gene:ORUFI05G29860 transcript:ORUFI05G29860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFCCVQVDQSTVAIREQFGKFDAVLEPGCHCLPWFAGKRIAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKANDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGQATTTSH >ORUFI05G29870.1 pep chromosome:OR_W1943:5:26122443:26122979:-1 gene:ORUFI05G29870 transcript:ORUFI05G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMGVAHLDPHSMEAIDSSSSVRLTVDDSNGGCVEVVIKEDEDDTVEHDDGFKRRAVCGGSSSAAGGGGWKVWRGEEQHDLWQIKDRRRGATSISTGIVVRPPSPEVKHALHLDTTLTHNTERRHTLINLNLMSSNLRAADDEFLMQGQQERIV >ORUFI05G29880.1 pep chromosome:OR_W1943:5:26124028:26127399:1 gene:ORUFI05G29880 transcript:ORUFI05G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKQGGGKQQAAAASPGPDQKDEELDPKFEWLDNANNFLLRLYLTGFKKEDFRVQVDGTGKLTVRGQRPAAGSKHNTRFHKVFQLPSNANIDDITGRFEASVLTITVPKRPAPTSSAPAPTSVQEIKQKAPTAKQEPQPQFDEAPNKKKQQQEEEEEAAKKKQQQLQEEEEATKKKQQEEEEEAAAKKTKKLQEEEAIAKHKPATTTERKQAEPTTAAPLPGHVVDRESLAEKVKRRAEEECAKAAAAAEEKTATALSRWRERVAGELEHLGDMRWAEGVVETARRNKDVIATAVAAFSLGFFVSHKFFCRR >ORUFI05G29890.1 pep chromosome:OR_W1943:5:26125746:26128912:-1 gene:ORUFI05G29890 transcript:ORUFI05G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRCPAAASPSPAKSTFPPSSSPPPSRLPRRPPASCRCYYYGDGGGFRKNYDHIPKQFREENLKDGLMDNYKNVPQFLYGLSPAQIEMFMNDDNPYDRQSQRVTEESISASRSYDEFGMYNLSGMHEGPAGYSMGMGMGTMSMGRAGRGYRRMRSSAPDLPSLLLDSRIIFLGMPIVPAVTELIAAQFLWLDYDDRTKPIYLYINSTGTMDENNELVASETDAFAIADFINRSKSKVYTINLSMAYGQAAMLLSLGVKGKRGVLPNSITKLYLPKVHKSGGAAIDMWIKAKELDTNTDYYLELLSKGVGKPKEELAEFLKGPRYFRAQEAIDYGLADTILHSLDGSFKPKDLTAQLAKAQEMRQSGKRPAAGAGRWSTPSVPR >ORUFI05G29900.1 pep chromosome:OR_W1943:5:26136523:26139552:1 gene:ORUFI05G29900 transcript:ORUFI05G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQLGGGGKVAEPKDLAATDKDRPSSKKNRRRQKKPATSAAISPPVAAMQTLFDTSREVFQDSLPGFVPPPQAVARLAALLNDLKPHDVGIEPSMSCFKNADSKGPPRVTYLHFYDCPKFSFGIFCLPKSAVIPLHNHPGMTVFCKILFGSMHLKSYDWAKSAPDNDNNALETSDGARLAKVNTDAVFDASSETTVLYPENGGNLHCFTARTACAVLDVMGPPYNRADGRDCSYYDESPYLSSSGGDARYSWLKENHSTFEMKGVQMPQRFIV >ORUFI05G29900.2 pep chromosome:OR_W1943:5:26136518:26139552:1 gene:ORUFI05G29900 transcript:ORUFI05G29900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQLGGGGKVAEPKDLAATDKDRPSSKKNRRRQKKPATSAAISPPVAAMQTLFDTSREVFQDSLPGFVPPPQAVARLAALLNDLKPHDVGIEPSMSCFKNADSKGPPRVTYLHFYDCPKFSFGIFCLPKSAVIPLHNHPGMTVFCKILFGSMHLKSYDWAKSAPDNDNNALETSDGARLAKVNTDAVFDASSETTVLYPENGGNLHCFTARTACAVLDVMGPPYNRADGRDCSYYDESPYLSSSGGDARYSWLKENHSTFEMKGVQMPQRFIV >ORUFI05G29910.1 pep chromosome:OR_W1943:5:26141406:26156978:1 gene:ORUFI05G29910 transcript:ORUFI05G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWNYVVTAHKPTSVTHSCVGNFTGPNQLNLIVAKCTRIEIHLLTPQGLQPMIDVPIYGRIATLELFRPHNETQDFLFIATERYKFCVLQWDGEKSELLTRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCVKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNNLDNGAGLLIPVPAPLGGVIIIGEETIVYCNANSTFRAIPIKQSIIRAYGRVDPDGSRYLLGDNAGILHLLVLTHERERVTGLKIEYLGETSIASSISYLDNGVVYLVKLNLQADPNGSYVEVLERYVNLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSLRVVRNGIGINEQASVELQGIKGLWSLKSSFNDPYDMYLVVSFISETRFLAMNMEDELEETEIEGFDAQTQTLFCQNAINDLLIQVTANSVRLVSCTSRELVDQWNAPEGFSVNVASANASQVLLATGGGHLVYLEIKDSKLVEVKHIQLEHEISCVDLNPIGENPQYSSLAAVGMWTDISVRILSLPDLELIRKENLGGEIVPRSVLLCTLEGVSYLLCALGDGHLFSFLLNASTGELTDRKKVSLGTQPISLRTFSSKGTTHVFASSDRPTVIYSSNKKLLYSNVNLKEVNHMCPFNTAAIPDSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKHNQTSIEESETHFVRLLDHQTFEFLSIYQLDQYEHGCSIISCSFSDDNNVYYCVGTAYVLPEENEPSKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLREDGSHELQSECGHHGHILALYTQTRGDFIVVGDLMKSISLLVYKHEESAIEELARDYNANWMSAVEMLDDEIYIGAENNYNIFTVRKNSDAATDEERGRLEVVGEYHLGEFVNRLRHGSLVMRLPDSEMGQIPTVIFGTINGVIGIIASLPHEQYVFLEKLQSTLVKFIKGVGNLSHEQWRSFHNDKKTSEARNFLDGDLIESFLDLSRNKMEEVAKGMGVPVEELSKRVEELTRLH >ORUFI05G29910.2 pep chromosome:OR_W1943:5:26141406:26156978:1 gene:ORUFI05G29910 transcript:ORUFI05G29910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWNYVVTAHKPTSVTHSCVGNFTGPNQLNLIVAKCTRIEIHLLTPQGLQPMIDVPIYGRIATLELFRPHWDGEKSELLTRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCVKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNNLDNGAGLLIPVPAPLGGVIIIGEETIVYCNANSTFRAIPIKQSIIRAYGRVDPDGSRYLLGDNAGILHLLVLTHERERVTGLKIEYLGETSIASSISYLDNGVVYLVKLNLQADPNGSYVEVLERYVNLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSLRVVRNGIGINEQASVELQGIKGLWSLKSSFNDPYDMYLVVSFISETRFLAMNMEDELEETEIEGFDAQTQTLFCQNAINDLLIQVTANSVRLVSCTSRELVDQWNAPEGFSVNVASANASQVLLATGGGHLVYLEIKDSKLVEVKHIQLEHEISCVDLNPIGENPQYSSLAAVGMWTDISVRILSLPDLELIRKENLGGEIVPRSVLLCTLEGVSYLLCALGDGHLFSFLLNASTGELTDRKKVSLGTQPISLRTFSSKGTTHVFASSDRPTVIYSSNKKLLYSNVNLKEVNHMCPFNTAAIPDSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKHNQTSIEESETHFVRLLDHQTFEFLSIYQLDQYEHGCSIISCSFSDDNNVYYCVGTAYVLPEENEPSKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLREDGSHELQSECGHHGHILALYTQTRGDFIVVGDLMKSISLLVYKHEESAIEELARDYNANWMSAVEMLDDEIYIGAENNYNIFTVRKNSDAATDEERGRLEVVGEYHLGEFVNRLRHGSLVMRLPDSEMGQIPTVIFGTINGVIGIIASLPHEQYVFLEKLQSTLVKFIKGVGNLSHEQWRSFHNDKKTSEARNFLDGDLIESFLDLSRNKMEEVAKGMGVPVEELSKRVEELTRLH >ORUFI05G29910.3 pep chromosome:OR_W1943:5:26141406:26156978:1 gene:ORUFI05G29910 transcript:ORUFI05G29910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWNYVVTAHKPTSVTHSCVGNFTGPNQLNLIVAKCTRIEIHLLTPQGLQPMIDVPIYGRIATLELFRPHNETQDFLFIATERYKFCVLQWDGEKSELLTRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCVKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNNLDNGAGLLIPVPAPLGGVIIIGEETIVYCNANSTFRAIPIKQSIIRAYGRVDPDGSRYLLGDNAGILHLLVLTHERERVTGLKIEYLGETSIASSISYLDNGVVYVGSRFGDSQLVKLNLQADPNGSYVEVLERYVNLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSLRVVRNGIGINEQASVELQGIKGLWSLKSSFNDPYDMYLVVSFISETRFLAMNMEDELEETEIEGFDAQTQTLFCQNAINDLLIQVTANSVRLVSCTSRELVDQWNAPEGFSVNVASANASQVLLATGGGHLVYLEIKDSKLVEVKHIQLEHEISCVDLNPIGENPQYSSLAAVGMWTDISVRILSLPDLELIRKENLGGEIVPRSVLLCTLEGVSYLLCALGDGHLFSFLLNASTGELTDRKKVSLGTQPISLRTFSSKGTTHVFASSDRPTVIYSSNKKLLYSNVNLKEVNHMCPFNTAAIPDSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKHNQTSIEESETHFVRLLDHQTFEFLSIYQLDQYEHGCSIISCSFSDDNNVYYCVGTAYVLPEENEPSKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLREDGSHELQSECGHHGHILALYTQTRGDFIVVGDLMKSISLLVYKHEESAIEELARDYNANWMSAVEMLDDEIYIGAENNYNIFTVRKNSDAATDEERGRLEVVGEYHLGEFVNRLRHGSLVMRLPDSEMGQIPTVIFGTINGVIGIIASLPHEQYVFLEKLQSTLVKFIKGVGNLSHEQWRSFHNDKKTSEARNFLDGDLIESFLDLSRNKMEEVAKGMGVPVEELSKRVEELTRLH >ORUFI05G29920.1 pep chromosome:OR_W1943:5:26158708:26164046:-1 gene:ORUFI05G29920 transcript:ORUFI05G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKNVAIDDDEYSFPQEDAAPAPAADKDKPIKKGGGKKGKKGAKAALPDDDDYEPPAPPPPPGDDDDDEEPINLVLTGKKKKKKGGGGAVSSFSAFDALAADEDQGEDDDEAPAPAPAPVEPDAAAKSDAEDDDLDFDFSKAKKKKKKKDKGARPVPLEHDDLDLDKPAPPPPAAAADEADDDEAAAAAASKKPQKKKKKKGGFTVDNEDIDKLLAEIDDTSPPTEEAEPVEEVPAPDADDALGKKSKKKKKKGGFTVDDEDVDKILAEFEDQPPPVDDPEPEPEAVKDVGNVAASTSVDDAEGKKSKKKKKKSGRTAQEEEDLDKLLAELGEGPTPAEKEKEVLPQAPPAAAMVKEDTETAEDGKAGEGEVESAAAKKKKKKKEKEKEKKAAAKEADAKKEEEKAVEAPKGKVDMKKLPKHVREMQEALARRQEAEERKKREEEERLRKEEEERLKKEEEERKAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEAKRLEAMRRQFLEQSELQVADGAVPETKKRPIYDSKKKKGQQKTLETAKVVEEQPQEVNETINDEEEYVLVDQESQLQVEESEKTEPDQDVEELKPEEEEDEDEWDAKSWDDIDVNLPKTSAFEEEEANPVAKKVAEPVQKQENSKAQSTVATVKKVANSNKGETEDGESSSANARRNRGASKKGPIKEDETKNGSDLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTENIRERTKELKADATLKVPGLLVIDTPGHESFSNLRSRGSSLCDIAILVVDIMHGLEPQTIESLNLLKSRDAVFIVALNKVDRLYGWKKCTNAPIGKALRQQNEDVKREFNMRLTDIVTQFKMQGVNTALYYKNKEMEDTYNIVPTSAISGEGIPDLLLLLVQWAQKTMEERLTFVDEVQCTVLEVKVVEGHGTTVDVVLVNGILHEGDQIVVCGMQGPIVTTVRALLTPHPMRELRVKGTYQHHKKIRAAQGVKISAQGLEHAIAGTALYVLKPDDDLDRLKDAVMEEMTRVRNRIDKSGEGVYVQASTLGSLEALTEFLKSPAVNIPFCDFSIGPVHKKDVMKASVMLERKKEYATILAFDVKVMPDARDLAEESGVRIFVADIIYHLFDQFTAYIKNLREEKKKESAEEAVFPCVLKIMPNCVFNKKDPIVLGVDVLEGIAKVGTPLCIPTKEYIDIGKIASIEINHKQVDMATKGQKVAIKIIGSNPDEQQKSFGRHFDMEDELVSRITRRSIDLLKENYRDDLSMDDWKLVVKLKSILKIP >ORUFI05G29930.1 pep chromosome:OR_W1943:5:26168389:26171111:-1 gene:ORUFI05G29930 transcript:ORUFI05G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLALPAAPPAPTLSFTLLAAAAAVAEAMEEALGAALPPLTAPVPAPGDDSACGSPCSVASDCSSVASADFEGFAELGTSLLAGPAVLFDDLTAASVAVAEAAEPRAVGATARSVFAMDCVPLWGLESICGRRPEMEDDYAVVPRFFDLPLWMVAGDAAVDGLDRASFRLPAHFFAVYDGHGGVQVANYCRKRIHAVLTEELRRAEDDACGSDLSGLESKKLWEKAFVDCFSRVDAEVGGNAASGAPPVAPDTVGSTAVVAVVCSSHVIVANCGDSRAVLCRGKQPLPLSLDHKPNREDEYARIEALGGKVIQWNGYRVLGVLAMSRSIGDKYLKPYIIPVPEVTVVARAKDDDCLILASDGLWDVMSNEEVCDAARKRILLWHKKNAATASTSSAQISGDSSDPAAQAAADYLSKLALQKGSKDNITVVVIDLKAHRKFKSKA >ORUFI05G29940.1 pep chromosome:OR_W1943:5:26171223:26171456:1 gene:ORUFI05G29940 transcript:ORUFI05G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGANPEVGEGGRWRLTGGALGKKELKQQRRTHTESHKPRAQPAARLDPAQHYLADAAAASASASDAGDADRESS >ORUFI05G29960.1 pep chromosome:OR_W1943:5:26177416:26181043:-1 gene:ORUFI05G29960 transcript:ORUFI05G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRYWIVSLPVQTPGSTANSLWARLQDSISRHSFDTPLYRFNVPDLRVGTLDSLLALSDDLVKSNVFIEGVSHKIRRQIEELERAGGVESGALTVDGVPVDTYLTRFVWDEGKYPTMSPLKEIVGSIQSQVSKIEDDMKVRGAEYNNVRSQLSAINRKQTGSLAVRDLSNLVKPEDMVTSEHLVTLLAVVPKYSQKDWLSSYESLDTFVVPRSSKKLYEDNEYALYTVTLFAKVVDNFKVRAREKGFQVRDFEYSSEAQESRKEELEKLMQDQEAMRASLLQWCYASYSEVFSSWMHFCAVRVFVESILRYGLPPSFLSAVLAPSQKGEKKVRSILEELCGNVHSIYWKSEDDVGVAGLGGETEAHPYVSFTINFI >ORUFI05G29970.1 pep chromosome:OR_W1943:5:26182358:26183526:-1 gene:ORUFI05G29970 transcript:ORUFI05G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKQPPPHAPPPPPPPPPVEGKPKPPPHAPPPPPPEAKKSFMRRMFPFLLAANVFVGAYMLMRTYQKDSGKKDTENDPTSSTPTSSPAAAEKPAEPIVAPIKVLTPISQDDQRQLYKWMLEEKRKIKPRDAAEKKKINGEKALLKEFIRAGSLPSL >ORUFI05G29980.1 pep chromosome:OR_W1943:5:26184041:26195233:-1 gene:ORUFI05G29980 transcript:ORUFI05G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIFNYGVSVGNKSSPRSLAIEKAQEELRQEHDVREERKRELDFLEKGGNPLDFKFVHVASVSVQSTSLTEQIVEQNVISDAKGSFAFAASPRGDSVESNGRPGSSPCRETNTADNLMLFRGDKNDVVEEKIVKRGTKRTNAAQSKQPLPTDGHNNAKQAEDSVLSRLGVKSQAYVRRNRSKPCREITSVKSPTVPAKSSEPKDAKGEMQEKQADGHGARSVSGLKQAGQKRENATKSTASDEHVAMELDGIQTNRGSNCLVKNEASQSDGSSKAIEVLPNAYGNQQLGGCGEVVAAGEPVEIPDSTSNIILRSSYSSAKSTHHASETHAYDQKVEDGQLDKGFTSIHVDELDNSGISPVCAVESGTVCTNLVDPHCEESIDMTHNHADGKSNQVDMKNVDEPQDLDTSRLSNKGIKESVQLEGFIGSTSVKENSNHVQPEVSTTVPVKDESEAFDSAIVAQKDIVCSSPVHSMNKEESPGSEGRNSCLGNSNSIHPIVVGPVLPKNSLPEKYSSDMETEIKTSGENLDQMAQKEHEDSILKKAHLIEVNLKRAGERSLYNMSLEKRTRGHWDFVLEEMAWMANDFMQERLWKNMAAARVCHWVVSKGRAKFEEAIIQRKQKAVTRSLVKGIMSFWRSAEALRTIGRTAVIQEHNSDMLDTTNHTGLKAEKSEGNKSSEAEEPNYPRQSRIQDYAVKFLEYNSQTSGSLVLAEAPPTPDRLNDFGTLKVSDLSEGSLFYTVAPSAMKVYRESVESLSVHHKKTGYIGLKDDYEASGCDFAADLPQENAYEDEGETCTYLPEAYDGGLLSQMGHRKQLGQQRINGARSYGISTHVPFEPYLESKLSNGKRPSSFLAVPTKRIRTAARQRIVSPYPAGIGGTTQVTSKTDVSSGDTSSYQDDQSSLHGGSLPWKNTDFESTVDFDRQLPYDGREVVTKANKKKKLKNPGYKTSQNAANSCALASAKGRICDQRSQADFFTQYDQKDFLKKKSDSEQFDSKWNIASHGGQHALKKLKLMRQGIDISQEASPVASQMSNMANSAKIIKIITNRDRGRKGKALKMASSGGWSNFEDQALVVLVHDLGQNWELVSDAINSIEQFKSVYRQPKGCKERYKGSARQLFQRLQGPIEEENLKAHFEKMILLMRQLHARRRKGNSQELKSIIQPHSSHVAALTQACPNNLSGGALTPLDLCDAVSSNLDASTPGSGYQSSYTIGLTPPNHHGSNGPTTPNSTLNSRLSGSPGTVLSNNFLPPSTFSASSRDAQKYGVPRCTSLQSDEQQKIHYNQILSGRNLQQTGGSVPGAFPPGVDRGARVMPGTHGMGMVSGLNRGMPAATVGFPRHSSPGKPNVVSPGNVLANSGQGAPNAVNVHPGAMSAPGNPTLRPHNPMQALHVSQGNTQAISSMNHSLSNAASSSPVQSFPIQQHQQKHQISPPSHMFGNPQHPQIQGMSHSNPQQQTYAMRLAKERNIQQRMVPQQQNDLPGASAVPSVQNGSHGQQQKQSPAALSAPSSQPQHQRQQAAQNPPDSCAHPNQPTNATQHKPKKSQQQPRQNQQQRNQGSQQAKLMKSLGRGNMLIPQTTVDTTPTNAVSASSKKQITENKLIQHGQGTLPGNKASNPSIPHPGNQHKLYSSPLPQSPKQLPDIGNQGVLQGSPSQALLTSQQLPLHPKSSLTTQQQQQHVHSSQNSIQRMMMHQNLQSNSDCRPDSQVVQVQNNQIVPTPPIPQSTEKGSAGLSSISQQKHEVSNDSNAVNSTSMMLSSPQDTFAGGERLLPSSSHDVLERQMPGGLPMYGGQWHQEQSKQQLQSPNQQRPVVQGSHQCGRRQRQRE >ORUFI05G29980.2 pep chromosome:OR_W1943:5:26184625:26195233:-1 gene:ORUFI05G29980 transcript:ORUFI05G29980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIFNYGVSVGNKSSPRSLAIEKAQEELRQEHDVREERKRELDFLEKGGNPLDFKFVHVASVSVQSTSLTEQIVEQNVISDAKGSFAFAASPRGDSVESNGRPGSSPCRETNTADNLMLFRGDKNDVVEEKIVKRGTKRTNAAQSKQPLPTDGHNNAKQAEDSVLSRLGVKSQAYVRRNRSKPCREITSVKSPTVPAKSSEPKDAKGEMQEKQADGHGARSVSGLKQAGQKRENATKSTASDEHVAMELDGIQTNRGSNCLVKNEASQSDGSSKAIEVLPNAYGNQQLGGCGEVVAAGEPVEIPDSTSNIILRSSYSSAKSTHHASETHAYDQKVEDGQLDKGFTSIHVDELDNSGISPVCAVESGTVCTNLVDPHCEESIDMTHNHADGKSNQVDMKNVDEPQDLDTSRLSNKGIKESVQLEGFIGSTSVKENSNHVQPEVSTTVPVKDESEAFDSAIVAQKDIVCSSPVHSMNKEESPGSEGRNSCLGNSNSIHPIVVGPVLPKNSLPEKYSSDMETEIKTSGENLDQMAQKEHEDSILKKAHLIEVNLKRAGERSLYNMSLEKRTRGHWDFVLEEMAWMANDFMQERLWKNMAAARVCHWVVSKGRAKFEEAIIQRKQKAVTRSLVKGIMSFWRSAEALRTIGRTAVIQEHNSDMLDTTNHTGLKAEKSEGNKSSEAEEPNYPRQSRIQDYAVKFLEYNSQTSGSLVLAEAPPTPDRLNDFGTLKVSDLSEGSLFYTVAPSAMKVYRESVESLSVHHKKTGYIGLKDDYEASGCDFAADLPQENAYEDEGETCTYLPEAYDGGLLSQMGHRKQLGQQRINGARSYGISTHVPFEPYLESKLSNGKRPSSFLAVPTKRIRTAARQRIVSPYPAGIGGTTQVTSKTDVSSGDTSSYQDDQSSLHGGSLPWKNTDFESTVDFDRQLPYDGREVVTKANKKKKLKNPGYKTSQNAANSCALASAKGRICDQRSQADFFTQYDQKDFLKKKSDSEQFDSKWNIASHGGQHALKKLKLMRQGIDISQEASPVASQMSNMANSAKIIKIITNRDRGRKGKALKMASSGGWSNFEDQALVVLVHDLGQNWELVSDAINSIEQFKSVYRQPKGCKERYKGSARQLFQRLQGPIEEENLKAHFEKMILLMRQLHARRRKGNSQELKSIIQPHSSHVAALTQACPNNLSGGALTPLDLCDAVSSNLDASTPGSGYQSSYTIGLTPPNHHGSNGPTTPNSTLNSRLSGSPGTVLSNNFLPPSTFSASSRDAQKYGVPRCTSLQSDEQQKIHYNQILSGRNLQQTGGSVPGAFPPGVDRGARVMPGTHGMGMVSGLNRANSGQGAPNAVNVHPGAMSAPGNPTLRPHNPMQALHVSQGNTQAISSMNHSLSNAASSSPVQSFPIQQHQQKHQISPPSHMFGNPQHPQIQGMSHSNPQQQTYAMRLAKERNIQQRMVPQQQNDLPGASAVPSVQNGSHGQQQKQSPAALSAPSSQPQHQRQQAAQNPPDSCAHPNQPTNATQHKPKKSQQQPRQNQQQRNQGSQQAKLMKSLGRGNMLIPQTTVDTTPTNAVSASSKKQITENKLIQHGQGTLPGNKASNPSIPHPGNQHKLYSSPLPQSPKQLPDIGNQGVLQGSPSQALLTSQQLPLHPKSSLTTQQQQQHVHSSQNSIQRMMMHQNLQSNSDCRPDSQVVQVQNNQIVPTPPIPQSTEKGSAGLSSISQQKHEVSNDSNAVNSTSMMLSSPQDTFAGGERLLPSSSHDVLERQMPGGLPMYGGQWHQEQSKQQLQSPNQQRPVVQGSVSVTP >ORUFI05G29980.3 pep chromosome:OR_W1943:5:26184625:26195233:-1 gene:ORUFI05G29980 transcript:ORUFI05G29980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIFNYGVSVGNKSSPRSLAIEKAQEELRQEHDVREERKRELDFLEKGGNPLDFKFVHVASVSVQSTSLTEQIVEQNVISDAKGSFAFAASPRGDSVESNGRPGSSPCRETNTADNLMLFRGDKNDVVEEKIVKRGTKRTNAAQSKQPLPTDGHNNAKQAEDSVLSRLGVKSQAYVRRNRSKPCREITSVKSPTVPAKSSEPKDAKGEMQEKQADGHGARSVSGLKQAGQKRENATKSTASDEHVAMELDGIQTNRGSNCLVKNEASQSDGSSKAIEVLPNAYGNQQLGGCGEVVAAGEPVEIPDSTSNIILRSSYSSAKSTHHASETHAYDQKVEDGQLDKGFTSIHVDELDNSGISPVCAVESGTVCTNLVDPHCEESIDMTHNHADGKSNQVDMKNVDEPQDLDTSRLSNKGIKESVQLEGFIGSTSVKENSNHVQPEVSTTVPVKDESEAFDSAIVAQKDIVCSSPVHSMNKEESPGSEGRNSCLGNSNSIHPIVVGPVLPKNSLPEKYSSDMETEIKTSGENLDQMAQKEHEDSILKKAHLIEVNLKRAGERSLYNMSLEKRTRGHWDFVLEEMAWMANDFMQERLWKNMAAARVCHWVVSKGRAKFEEAIIQRKQKAVTRSLVKGIMSFWRSAEALRTIGRTAVIQEHNSDMLDTTNHTGLKAEKSEGNKSSEAEEPNYPRQSRIQDYAVKFLEYNSQTSGSLVLAEAPPTPDRLNDFGTLKVSDLSEGSLFYTVAPSAMKVYRESVESLSVHHKKTGYIGLKDDYEASGCDFAADLPQENAYEDEGETCTYLPEAYDGGLLSQMGHRKQLGQQRINGARSYGISTHVPFEPYLESKLSNGKRPSSFLAVPTKRIRTAARQRIVSPYPAGIGGTTQVTSKTDVSSGDTSSYQDDQSSLHGGSLPWKNTDFESTVDFDRQLPYDGREVVTKANKKKKLKNPGYKTSQNAANSCALASAKGRICDQRSQADFFTQYDQKDFLKKKSDSEQFDSKWNIASHGGQHALKKLKLMRQGIDISQEASPVASQMSNMANSAKIIKIITNRDRGRKGKALKMASSGGWSNFEDQALVVLVHDLGQNWELVSDAINSIEQFKSVYRQPKGCKERYKGSARQLFQRLQGPIEEENLKAHFEKMILLMRQLHARRRKGNSQELKSIIQPHSSHVAALTQACPNNLSGGALTPLDLCDAVSSNLDASTPGSGYQSSYTIGLTPPNHHGSNGPTTPNSTLNSRLSGSPGTVLSNNFLPPSTFSASSRDAQKYGVPRCTSLQSDEQQKIHYNQILSGRNLQQTGGSVPGAFPPGVDRGARVMPGTHGMGMVSGLNRGMPAATVGFPRHSSPGKPNVVSPGNVLANSGQGAPNAVNVHPGAMSAPGNPTLRPHNPMQALHVSQGNTQAISSMNHSLSNAASSSPVQSFPIQQHQQKHQISPPSHMFGNPQHPQIQGMSHSNPQQQTYAMRLAKERNIQQRMVPQQQNDLPGASAVPSVQNGSHGQQQKQSPAALSAPSSQPQHQRQQAAQNPPDSCAHPNQPTNATQHKPKKSQQQPRQNQQQRNQGSQQAKLMKSLGRGNMLIPQTTVDTTPTNAVSASSKKQITENKLIQHGQGTLPGNKASNPSIPHPGNQHKLYSSPLPQSPKQLPDIGNQGVLQGSPSQALLTSQQLPLHPKSSLTTQQQQQHVHSSQNSIQRMMMHQNLQSNSDCRPDSQVVQVQNNQIVPTPPIPQSTEKGSAGLSSISQQKHEVSNDSNAVNSTSMMLSSPQDTFAGGERLLPSSSHDVLERQMPGGLPMYGGQWHQEQSKQQLQSPNQQRPVVQGSVSVTP >ORUFI05G29990.1 pep chromosome:OR_W1943:5:26195303:26196055:-1 gene:ORUFI05G29990 transcript:ORUFI05G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGRPAALSSPPSSRLASLLFSSCWFALYIHTGTQLSFPPPLPPATPAPLHRPPPRPPPPPTHPFASLPSPLDRTSTPLRPCPVFSPANPNPSSARQSSCGEILLVVEHALKNPEE >ORUFI05G30000.1 pep chromosome:OR_W1943:5:26196352:26197655:1 gene:ORUFI05G30000 transcript:ORUFI05G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNGDHRGARWLRASTVAQGGWRRRKTTPNGGTTSTERQVTTAGSEHGNDSGVQGFQICVEAGELQSIKISRLPSVLSVS >ORUFI05G30010.1 pep chromosome:OR_W1943:5:26201210:26204815:-1 gene:ORUFI05G30010 transcript:ORUFI05G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRIGNKFRVGRKLGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYVIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDMESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISERKIATSTEALCRGYPTEFASYFHYCRSLRFEDSPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQMTSAPPRAIAPATGQSSAMAPIANNNRLSATEEGRRSGWSDMDAMRRQVPPPAINAGSLAKQKSPIGHEQSTSKDAVFDFFGTVKRILKATCCLYREPSTEADQSRSRTTDASPGAFQRSGAPRWSPQMVDSSDNRRTPSGRHPSNAKNYESTIRGIQGLNFDGDDRIQY >ORUFI05G30010.2 pep chromosome:OR_W1943:5:26201210:26204815:-1 gene:ORUFI05G30010 transcript:ORUFI05G30010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRIGNKFRVGRKLGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYVIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDMESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISERKIATSTEALCRGYPTEFASYFHYCRSLRFEDSPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQMTSAPPRAIAPATGQSSAMAPIANNNRLSATEEGRRSGWSDMDAMRRQVPPPAINAGSLAKQKSPIGHEQSTSKDAVFSSSTFLGRSSGSSRRPAVSSSREPSTEADQSRSRTTDASPGAFQRSGAPRWSPQMVDSSDNRRTPSGRHPSNAKNYESTIRGIQGLNFDGDDRIQY >ORUFI05G30010.3 pep chromosome:OR_W1943:5:26204813:26205557:-1 gene:ORUFI05G30010 transcript:ORUFI05G30010.3 gene_biotype:protein_coding transcript_biotype:protein_coding FKVKGEKKEEVEYPSFPRGVKEREISRGRLVVSSAPLLAPRRVAAADLHLREQSRAEQGILDLGPLLVIKGLSRGAWCTQ >ORUFI05G30020.1 pep chromosome:OR_W1943:5:26208296:26210088:-1 gene:ORUFI05G30020 transcript:ORUFI05G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLLCLLLLQLVGLVVAGGGRWRWQEEFLRLPSSDETTRWAVLIAGSNGFYNYRHQADVCHAYQIMRKGGVEEQNIVVMMYDDIAHNPDNPRPGLIFNHPSGPDVYAGVPKDYTGDDVNVNNFLAVLLGNRSALTGSGSGKVVASGPNDHVFVYYADHGGPGVLSMPADGEYLYADDLVKALKKKHAGGGYKSLVVYVEACESGSIFEGLLPSDISVYATTASNAEESSWGTYCPGDDHDAPAAEFDTCLGDLYSVAWMEDAEAHQEGRLAETLRQQYRTVKNRTSDEGTYTLGSHVMQYGDMALAPQSLDLYYMDTSPATANDHKLAAAGAKGSHSYTVSVNQRDADLLYLWRKYRRAGEGTAEKVEARERLVQEMGRRSRVDRSVEMIGGLLLGGAKHKQQVVRERAALVEDWECLRSMVRTFEDQCGSLGQYGIKHMRSFANICNAGVPHHAMAKAASLACPSPPPYSIQGTKSQRVGRGSHGKFIT >ORUFI05G30030.1 pep chromosome:OR_W1943:5:26214589:26215422:-1 gene:ORUFI05G30030 transcript:ORUFI05G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYDEREFWQFSDQLRLHNFSSLSIADSIWSSPSPAAVDHSSNKLALANNSNNNATAKTYFNKSVGRPANNNFNFNYNSNSVVVDAFNGKKKAAVDAPAGGGGGGRNNNKKNSSSNDNKMSSRLKKSQLPASESVPKEEAIGGYIFVCNNETMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEATSFGGSNIDPGAWEDSKCPGGESRFPAQVRVATRKICEPLEEDAFRPVLHHYDGPKFRLELTVAEALSLLDIFAEKLFA >ORUFI05G30040.1 pep chromosome:OR_W1943:5:26218661:26222831:-1 gene:ORUFI05G30040 transcript:ORUFI05G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNTMAKEMAVRHHLLPGSPRRRTAHNLSSSSLRKSSDASLLHKVPCAALRSLLANLNDVLLTTRLFLLFPAVLLAIAATYLHFGQVWVFVLSLIGLVPLAERLSFLTEQIAFYTGPTVGGLLNATFGNVTEVIIALLALREGKIEVVKCSLLGSILSNLLLVLGTSLFLAGIANLRAHQPILMLIAYLAYLFFQLNTHRQLFEPQQVEDDDDDDLVIAQDDEPVLGFSSAMIWLALMTLLTALLSGYVVSTIEAASESWELSVSFISIILLPIVGNAAEHAGAVIFALKNKMDITLGVSLGSATQISMFVVPVSVIVAWTMGIPMDLDFNLLETGSLFLAILVTAFTLQEGESHYLKGLILVLCYAVISVCFFVIRRRSAGGTDGVHHLDVIV >ORUFI05G30040.2 pep chromosome:OR_W1943:5:26218661:26222831:-1 gene:ORUFI05G30040 transcript:ORUFI05G30040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNTMAKEMAVRHHLLPGSPRRRTAHNLSSSSLRKSSDASLLHKVPCAALRSLLANLNDVLLTTRLFLLFPAVLLAIAATYLHFGQVWVFVLSLIGLVPLAERLSFLTEQIAFYTGPTVGGLLNATFGNVTEVIIALLALREGKIEVVKCSLLGSILSNLLLVLGTSLFLAGIANLRAHQPYDTKQAHVNTALLMLAVLCHSLPLMLRYAVTSGDHAIVSGDAALHLSRACSILMLIAYLAYLFFQLNTHRQLFEPQQVEDDDDDDLVIAQDDEPVLGFSSAMIWLALMTLLTALLSGYVVSTIEAASESWELSVSFISIILLPIVGNAAEHAGAVIFALKNKMDITLGVSLGSATQISMFVVPVSVIVAWTMGIPMDLDFNLLETGSLFLAILVTAFTLQEGESHYLKGLILVLCYAVISVCFFVIRRRSAGGTDGVHHLDVIV >ORUFI05G30040.3 pep chromosome:OR_W1943:5:26218797:26222831:-1 gene:ORUFI05G30040 transcript:ORUFI05G30040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESNTMAKEMAVRHHLLPGSPRRRTAHNLSSSSLRKSSDASLLHKVPCAALRSLLANLNDVLLTTRLFLLFPAVLLAIAATYLHFGQVWVFVLSLIGLVPLAERLSFLTEQIAFYTGPTVGGLLNATFGNVTEVIIALLALREGKIEVVKCSLLGSILSNLLLVLGTSLFLAGIANLRAHQPYDTKQAHVNTALLMLAVLCHSLPLMLRYAVTSGDHAIVSGDAALHLSRACSILMLIAYLAYLFFQLNTHRQLFEPQQVEDDDDDDLVIAQDDEPVLGFSSAMIWLALMTLLTALLSGYVVSTIEAASESWELSVSFISIILLPIVGNAAEHAGAVIFALKNKMDITLGVSLGSATQISMFVVPVSVIVAWTMGIPMDLDFNLLETGSLFLAILVTAFTLQEGESHYLKGLILVLCYAVISVCFFVIRRRSAVCRKHY >ORUFI05G30050.1 pep chromosome:OR_W1943:5:26225305:26230497:-1 gene:ORUFI05G30050 transcript:ORUFI05G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKSWGGVVFAVAAAAAALLCSSDAADDVVSVSFSKTPPRVSRSASAVFTFQVLHTNGSGPCQDCLITCKVDGERAWECGRNSSSSNGTAVVRYSRLKDGNHTLAVCAGAGRPPTTTTTTTCATYAWDVDTVAPTASVKAEAGFTSGSNVSVLVSFSEPCPGGGGFTCNATYCHLSVYGPGRVDPSSLQVLRPALQYSVHVTIPPELLYGRLILVMAKGFCTDAAGHHFIRTANSTFTLRFDRRSDSMNIGSSIPEKLLQIEGATRVVEATNDDKDLRVYLSFAEPVMNSSSQILAALTATDAILTPTNRSTLGNRRFGYLVKRTSNTAVVTVSCDGNSIISRQGTPVSSSEPYTFLYDNQRPSVKLATSTVRTSSRNIPVLIKFAKPVFNFTSSAVQIQAVDNLVSVHVAENSAQDVAGNTNLPSDHSVPASSSSIAIVTTVIFTATAAFATLLTVSTSSLLASGVIQRPPSYLVSEPSRNLLRMACHIQVFALSRWLSINLPVEYYELSKGLEWSIPYMRLPWEGPSADPFVGYSTMPAIAYSEMLDRTALAADVLRRPPAAPGVAMAMPSTSPLDGKPLTAMEYRYLFENQDMKPEAQIIMKLQDLDGWKYFFRNIFWLAVIAAAFLLLHATLLLYLKLRHRHSHTHVGALVFPRLELMLVILAMPCVSQASAALIRGGTTAGLAVGIVLTGVLTAFLVALLLFLSLGVTTGRLLQYKEVHQEGREYHWYQEIVRRTLGPGKRGQWTWKDPARTACLVKLGPLFEDLRGPPKYMLSQIAGGSGGKRAAERIIVSDDENEDAEAPFLQKLFGILRIYYTFLESVKRVALGIVAGAHASSDHSSRAHAVVVLAIASFQLFFMVLKKPFIKKRVQLVEIVAVASEVLVFAACLRLVDSGGSAVAEGSGVGLAMLTVFAVALAAQVCNEWNALYRQVRLLSSDRRSFVEGAKAAWVGLLLLVLPSSALGEQLEKMKKQQEQPEAVALGGGGGGTERSWLGQLREMAKASFSKEGQGGEAEASGSRAKGGSRSMSSVASSSDSKAKGPENSHSQWSSKSKGLYKDLEAIFSNR >ORUFI05G30060.1 pep chromosome:OR_W1943:5:26227202:26234223:1 gene:ORUFI05G30060 transcript:ORUFI05G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDASSDDVETVSSVANAAVAVKITVVTIAIDDDDAGTESALNTGEGRVGGSDEVVAGSIGAEALGHDENEAAIEQLRRDGDVDGVLQGRAEDLKTRRIHAPGTVNPPPPGQGSEKETSTDTLDPLVNPASAFTDAVGATAPSQQCYGLEYWTGREIDDEGIQSETSLDGSNLFSIDCGDNGERRRDGENGSEGTGRKSMTRGTHRGAARRRRTAKGASNSDEVGSKATAAFDALWRQRTGGRSSPWSCESNGGDI >ORUFI05G30070.1 pep chromosome:OR_W1943:5:26237742:26246080:1 gene:ORUFI05G30070 transcript:ORUFI05G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSDIGLSAAINVSMAVAFLLVFAFLRLQPINDRVYFPKWYLRGMRDSPVSSGAAVQKVVNLNMRSYLKFLSWMPAALKMPEDELINHAGLDSAVYLRIYLTGIKIFVPISILASLVLFPVNWTNDTLDSMKVVHSKIDKLSISNIPYGSNRFVTHLVMAYAVTFWTCYVLFREYEIITTMRLRFLASEKRRPDQFTVLVRNIPPDPDESISELVEHFFLVNHPDHYLRHQVVYNANKLADLVEKKKKLQNWLDYYQLKYERNPSKRPTTKEADERQKIMKDPQSAVPAAFVSFRSRWGAAVCAQTQQTSNPTVWITEWAPEPRDVYWNNLSIPFVSLTVRRLIVAVAFFFLNFFYVIPIAFVQSLASLEGIEKALPFLKPLIKIDVIKSFIQGFLPGIALKVFLILLPTILMFMSKFEGLISQSSLERRSASKYYIFLFFNVFLGSIVTGSALDQLKAYIHQSANEIPRTIGVAIPMRATFFITYVMVDGWTGVAGEILRLRALIIFHLKNFFLVKTEKDREEAMDPGSICFDWCEPRIQLYFLLGLVYAVVTPLLLPFILVFFGLAYVVYRHQIINVYNQQYESGAQFWPSVHGRIIIALIVSQLLLIGLLSTKGFEETTPVLVVLPVLTFWFYKYCKNRFEPAFVRNPLQEAMRKDTLERAREPTFDLKAYLANAYLHPVFKGREEEDNMSISEDVGMEEVIVPTKRQSRRNTPAQSKYEGSDTLSLPETVHER >ORUFI05G30070.2 pep chromosome:OR_W1943:5:26238839:26246080:1 gene:ORUFI05G30070 transcript:ORUFI05G30070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSDIGLSAAINVSMAVAFLLVFAFLRLQPINDRVYFPKWYLRGMRDSPVSSGAAVQKVVNLNMRSYLKFLSWMPAALKMPEDELINHAGLDSAVYLRIYLTGIKIFVPISILASLVLFPVNWTNDTLDSMKVVHSKIDKLSISNIPYGSNRFVTHLVMAYAVTFWTCYVLFREYEIITTMRLRFLASEKRRPDQFTVLVRNIPPDPDESISELVEHFFLVNHPDHYLRHQVVYNANKLADLVEKKKKLQNWLDYYQLKYERNPSKRPTTKEADERQKIMKDPQSAVPAAFVSFRSRWGAAVCAQTQQTSNPTVWITEWAPEPRDVYWNNLSIPFVSLTVRRLIVAVAFFFLNFFYVIPIAFVQSLASLEGIEKALPFLKPLIKIDVIKSFIQGFLPGIALKVFLILLPTILMFMSKFEGLISQSSLERRSASKYYIFLFFNVFLGSIVTGSALDQLKAYIHQSANEIPRTIGVAIPMRATFFITYVMVDGWTGVAGEILRLRALIIFHLKNFFLVKTEKDREEAMDPGSICFDWCEPRIQLYFLLGLVYAVVTPLLLPFILVFFGLAYVVYRHQIINVYNQQYESGAQFWPSVHGRIIIALIVSQLLLIGLLSTKGFEETTPVLVVLPVLTFWFYKYCKNRFEPAFVRNPLQEAMRKDTLERAREPTFDLKAYLANAYLHPVFKGREEEDNMSISEDVGMEEVIVPTKRQSRRNTPAQSKYEGSDTLSLPETVHER >ORUFI05G30080.1 pep chromosome:OR_W1943:5:26248125:26252538:-1 gene:ORUFI05G30080 transcript:ORUFI05G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPSLLPLPSSSPPQITSHRVGESLSSRPAAAAADDDDVQPSSVIPHLLDIMCEFRAGKMSLDGTRVIPDTRKGLVRIGRDQIVFPEEAVFEKVTQSSGRVYILKFRHDSRKFFFWMQEPSADDDSQICRQVNAYINRPLDGEAVSIEAEMSHEDTADDDISSRAGNLVDQSMTADLAGEVTSAAGPVRLEDLQRILSAIQPSDAVADPDAGLGLGDILKPDLVLPLMETLPIEQLASYLPEGPWTAGDILELLQSPPLRQQVEAFTHVLRTGQIDLAQFGVDPNKFTVASFLEALEDSVAKASGAGDKDSESQRGGGNDPMDES >ORUFI05G30080.2 pep chromosome:OR_W1943:5:26248125:26251528:-1 gene:ORUFI05G30080 transcript:ORUFI05G30080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTEPLQDIMCEFRAGKMSLDGTRVIPDTRKGLVRIGRDQIVFPEEAVFEKVTQSSGRVYILKFRHDSRKFFFWMQEPSADDDSQICRQVNAYINRPLDGEAVSIEAEMSHEDTADDDISSRAGNLVDQSMTADLAGEVTSAAGPVRLEDLQRILSAIQPSDAVADPDAGLGLGDILKPDLVLPLMETLPIEQLASYLPEGPWTAGDILELLQSPPLRQQVEAFTHVLRTGQIDLAQFGVDPNKFTVASFLEALEDSVAKASGAGDKDSESQRGGGNDPMDES >ORUFI05G30090.1 pep chromosome:OR_W1943:5:26252605:26255212:1 gene:ORUFI05G30090 transcript:ORUFI05G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAGRSVVEEKKSILGVGGRGSRLVLLVFEVVAGDEDAAVEALGAGAADPGEVGPDHEGEVAALVEVDAGGAFAGVPVVEVLLVAPASDGVGSPGVPAVPPDVEALGEAVAGGGADLAVAAHGVGPPPRLPRQLVIPPHRLQPVARAQLVVAVHELPRHPLVPTHPIGPRHQPPRWCRC >ORUFI05G30100.1 pep chromosome:OR_W1943:5:26254713:26255309:-1 gene:ORUFI05G30100 transcript:ORUFI05G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMILPLLLLLCWFLLLSVGDAMCWFNDDASASAPAGWLVSWTNGMGWYKGMPREFVDGHNQLRARYGLQPMRWDNKLARQARRWSDAMRGDCQIRPSTGNSFAESLYIGRNGWNARASDAVRCWGDEEHLYDRDTGKCTAGVDFHECGHFAFMVRPNFTRIGCARAECFNGGVFITCNYFKDEQHQPATPPTYS >ORUFI05G30110.1 pep chromosome:OR_W1943:5:26261279:26265153:1 gene:ORUFI05G30110 transcript:ORUFI05G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALLRTILVTGGAGYIGSHTVLQLLQLGFRVVVLDNLDNASELAILRVRELAGHNANNLDFRKVDLRDKQALDQIFSSQRFEAVIHFAGLKAVGESVQKPLLYYDNNLIGTITLLQVMAAHGCTKLVFSSSATVYGWPKEVPCTEESPLCAMNPYGRTKLVIEDMCRDLHASDPNWKIILLRYFNPVGAHPSGYIGEDPCGIPNNLMPFVQQVAVGRRPALTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDRIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVFAGRRPGDAEIVYAQTAKAEKELKWKAKYGVEEMCRDLWNWASKNPYGYGSPDSSN >ORUFI05G30120.1 pep chromosome:OR_W1943:5:26264437:26267410:-1 gene:ORUFI05G30120 transcript:ORUFI05G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGPGVVVVALVVVVYLILLIRPAASFRVNVGVGIGGGIGIGNQNQNQDQNQNQNQKPYYDDSNNNNNYDEGEGEGGDDQEEGPEAAAPVGPGQSFTGGRGTYKYMAHEFLDAHNKVRAQYGLQPLKWSNKLARYARRWSAARRFDCVMMHSPESPYGENVFWGTGWGWRATDAVKSWAGESSVYDWRGQSCNPGQMCGHFTQIVWNDTKLVGCGRSECVAGGVFITCSYDPPGNWKGEKSCCCCYWRIGRLYSAGSVATVRRSIPVGVLARPIPQVPAHLLYPFLLSFGSLSVNDLGISRPSSSKYKRDFLFKLALLLLGRHHMINKSKGQVGN >ORUFI05G30120.2 pep chromosome:OR_W1943:5:26264437:26267410:-1 gene:ORUFI05G30120 transcript:ORUFI05G30120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGPGVVVVALVVVVYLILLIRPAASFRVNVGVGIGGGIGIGNQNQNQDQNQNQNQKPYYDDSNNNNNYDEGEGEGGDDQEEGPEAAAPVGPGQSFTGGRGTYKYMAHEFLDAHNKVRAQYGLQPLKWSNKLARYARRWSAARRFDCVMMHSPESPYGENVFWGTGWGWRATDAVKSWAGESSVYDWRGQSCNPGQMCGHFTQIVWNDTKLVGCGRSECVAGGVFITCSYDPPGNWKGEKSCCCCYWRIGRLYSAGSVATVRRSIPVGVLARPIPQFLLSFGSLSVNDLGISRPSSSKYKRDFLFKLALLLLGRHHMINKSKGQVGN >ORUFI05G30130.1 pep chromosome:OR_W1943:5:26285558:26291571:1 gene:ORUFI05G30130 transcript:ORUFI05G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAMYPETFGFSAYPQQQQPPPDAASCIYTTALPLIADPPDILGNMAQPSLLSEYDLGGEGDLFKAPEPIIEEPVLSLDPVAAAISMMSGSENVMDETIEVADISDIQNDSLLSEVLYECEKELMEKSAIEETISELLDVKIPMLQVEEFPRETQVQLPAMEKEKPSVPECCSLQKSVSSGCLNSADWINGPARPNFLDFQGLDFETAFGLRRAYSEGDIQKGREIVRNLGASTPRPGNSGNAQLASCERLVTISDLKSEERKQKLSRYRKKKVKRNFGRKIKYACRKALADSQPRVRGRFAKIEEGDLLKPRK >ORUFI05G30130.2 pep chromosome:OR_W1943:5:26285558:26291571:1 gene:ORUFI05G30130 transcript:ORUFI05G30130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAMYPETFGFSAYPQQQQPPPDAASCIYTTALPLIADPPDILGNMAQPSLLSEYDLGGEGDLFKAPEPIIEEPVLSLDPVAAAISMMSGSENVMDETIEVADISDIQNDSLLSEVLYECEKELMEKSAIEETISELLDVKIPMLQVEEFPRETQVQLPAMEKEKPSVPECCSLQKSVSSGCLNSADWINGPARPNFLDFQGLDFETAFGLRRAYSEGDIQNLGASTPRPGNSGNAQLASCERLVTISDLKSEERKQKLSRYRKKKVKRNFGRKIKYACRKALADSQPRVRGRFAKIEEGDLLKPRK >ORUFI05G30140.1 pep chromosome:OR_W1943:5:26290016:26292453:-1 gene:ORUFI05G30140 transcript:ORUFI05G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLCQSACKAARSLLSATAAASSPRTSLLAEGRNAALATLTNLGRKTLPTAYAYSYHHNSSAAAAGWLAAIPAAVYMLQDQEAHAAEMERTFIAIKPDGVQRGLISEILSRFERKGFKLVAIKLVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKAEIGLWFEPRELVSYTSNEEKWIYGVN >ORUFI05G30150.1 pep chromosome:OR_W1943:5:26293359:26296668:-1 gene:ORUFI05G30150 transcript:ORUFI05G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPADGLSTSKTNLDSPPSSRRRSWTPKRVMGAASLLHLLSLPRIRWSSSTEDDDKIELTRAEVESLRTEIADAEERESQLKARLENIDEVLRYARLSGYLYIRSRWTQLPGEPPILDDADVDDWLPRFVVLQGQCVYYYLKSTDLSPQESTLLCDIVEVGQLPNFVPEDEKTRYAFYIMTSQGLKFECSSMSEIQVDSWVRAIRGDCGLSDGGESRSKTSRQEVGSWF >ORUFI05G30160.1 pep chromosome:OR_W1943:5:26297468:26298026:-1 gene:ORUFI05G30160 transcript:ORUFI05G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEEEIRRVLSMAIQQHLSVEIEVVASVLRDPRSGGKDDDESTGSKTRAFDATTQATVEEDELTGAEEQGHDEISRSEVHGSRSKPLLRSNVTMVADSCTRRMGHQHELDHSARSGEREEEVGRSSWSSGRNLLGDGHSHNVGFAAVCHYRSSPPIELANGERDTSVQWQWLVDKM >ORUFI05G30170.1 pep chromosome:OR_W1943:5:26316337:26320361:-1 gene:ORUFI05G30170 transcript:ORUFI05G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPQLVPPLLSHRRRLVIPLLVLLLAAAASDEQLLSLRGQAAVLVSIKDAFSPPLPTPLRTTWSVANDASLCSSWHAVRCAPDNRTVVSLDLSAHNLSGELSSAIAHLQGLRFLSLAANSLAGDLPPTIAALRHLRYLNLSNNQFNGTLHYYLSTMTSLEVLDVYDNDLSGPLPLPDTNSNLRHLDLGGNFFSGSIPTSFGRLQAIQFLSVAGNSLSGRIPPELGNLTALRQLYLGYYNQFDGGIPASLGRLASLVHLDLASCGLQGEIPPSLGGLANLDTLYLQTNQLNGTIPPALANLTALRFLDVSNNALTGEIPPELAALTHLRLLNMFINRFRGGIPEFIADLRSLQVLKLWQNNFTGSIPGALGRVAPLRELDLSTNRLTGEVPRWLCALRKLDILILLDNFLFGPVPEGLGACRTLTRVRLARNYLTGPLPRGFLYLPALTTLELQGNYLTGQLHNEDEDAGSPLSLLNLSGNRLNGSLPASIGNFSSLQTLLLSGNHFTGEIPPEVGQLRRLLKLDLSGNNLSGEIPGEVGECASLTYLDLSANQLWGAMPARVVQIRMLNYLNVSWNKLNGSIPAEMGSMKSLTDADLSHNDFSGHVPHNGQFAYFNASSFAGNPRLVLCGTPAPGPAPGTTTPGSGGDGRAPVMWLAAALGLLACSVAFAAVAVATTRSAIERRRRSGWQMRAFQKVRFGCEDVMRCVKENSVVGRGGAGVVYAGEMPGGEWVAVKRIVDGGFSAEVQTLGRIRHRHIVRLLAMCWSAEAKLLVYEYMAGGSLGDALHGHHRHHDEYDDDGSNTNIIGSLLLPWAARLRVATEAAKGLCYLHHDCSPPILHRDVKSNNILLDARLEAHVADFGLAKYLRAGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGQKPVGEHLQLHQEEEEEANTTTTVVDLVQWVRARCGSGKDGVWRVLDRRLGGDVPAAETTHMFFVAMLCVQEHSVERPTMREVVQMLEQAKQQLSRCHPPPPPRTSTSIDHACMI >ORUFI05G30180.1 pep chromosome:OR_W1943:5:26321815:26322565:-1 gene:ORUFI05G30180 transcript:ORUFI05G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGNLLNGVLIVVGLYSVLCGKRYEQGTMGLDELCDRLGPRSAGGHHHHTCPMQVLEIRILPQKHLLGLTFWYTPSSQRAGLALSLAP >ORUFI05G30190.1 pep chromosome:OR_W1943:5:26323417:26325018:1 gene:ORUFI05G30190 transcript:ORUFI05G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGPGPLVVVLLLSLLLLVAGIHGRLQHEEEEEEEEVQDQEGGSSSFTLPVWAPHVPESGEERREHFRALMAKDMRRMMRQVPELMSKTDMFELPMRSALNIAQVGMYVVVVRIGTPALPYSLALETANEVTWINCRLRRRKGKHPGRPHVPPAATTMSIQVDDDGGGGGSGGKSKVTKVIMNWYRPAKSSSWRRFRCSQRACMDLPYNTCESPDQNTSCTYYQVMKDSTITSGIYGQEKATVAVSDGTMKKLPGLVIGCSTFEHGGAVNSHDGILSLGNSPSSFGIAAARRFGGRLSFCLLATTSGRNASSYLTFGANPAVQAPGTMETPLLYRDVAYGAHVTGILVGGQPLDIPPEVWDEGPLGNDNPEAGIILDTGTSITYLVSAVYDPVTAALDSHLAHLPKAEIKGFEYCYNWTFAGDGVDPAHNVTIPSFSIEMAGDARLAADAKSIVVPEVVPGVVCLGFNRISQGPSIIGNVLMQEHIWEIDHMSTVLRFRKDKCINHQQLNRHHKKASSSSSSSSSPPPYPAA >ORUFI05G30200.1 pep chromosome:OR_W1943:5:26325044:26329145:-1 gene:ORUFI05G30200 transcript:ORUFI05G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAALARGKSGISAAKFEASKGLGAKPKRSASATGSRGKTEKKVYSLPGQKFDPPEEREPLRIFYESLSKQIPSSEMAEFWLMEHGLLSPERAKKAYEKKQKRQQQIRSGTPIKPSVKKDKPESSKKPSSYNSSDSKAKKRVDYSDDDDDFISLSITSEMLTPRLNAATQMPVASPRRQPMSSSAHSGGAQSPSSSPTLSLCCGDDVGDGSERLSCCGGCWLRHPDPRAERGRSKCCRRPRTPPLLRLGEVVTVAPRARAPTLAAAAVALAAAAAASRTPSPSPPRHALAAMERDEPLQQMN >ORUFI05G30200.2 pep chromosome:OR_W1943:5:26325044:26329145:-1 gene:ORUFI05G30200 transcript:ORUFI05G30200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAALARGKSGISAAKFEASKGLGAKPKRSASATGSRGKTEKKVYSLPGQKFDPPEEREPLRIFYESLSKQIPSSEMAEFWYAQIFTPFSVCLTNCSDQLYYPSTSSTKMYNRLMEHGLLSPERAKKAYEKKQKRQQQIRSGTPIKPSVKKDKPESSKKPSSYNSSDSKAKKRVDYSDDDDDFISLSITSEMLTPRLNAATQMPVASPRRQPMSSSAHSGGAQSPSSSPTLSLCCGDDVGDGSERLSCCGGCWLRHPDPRAERGRSKCCRRPRTPPLLRLGEVVTVAPRARAPTLAAAAVALAAAAAASRTPSPSPPRHALAAMERDEPLQQMN >ORUFI05G30200.3 pep chromosome:OR_W1943:5:26325987:26329145:-1 gene:ORUFI05G30200 transcript:ORUFI05G30200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAALARGKSGISAAKFEASKGLGAKPKRSASATGSRGKTEKKVYSLPGQKFDPPEEREPLRIFYESLSKQIPSSEMAEFCL >ORUFI05G30200.4 pep chromosome:OR_W1943:5:26325044:26325939:-1 gene:ORUFI05G30200 transcript:ORUFI05G30200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASPRRQPMSSSAHSGGAQSPSSSPTLSLCCGDDVGDGSERLSCCGGCWLRHPDPRAERGRSKCCRRPRTPPLLRLGEVVTVAPRARAPTLAAAAVALAAAAAASRTPSPSPPRHALAAMERDEPLQQMN >ORUFI05G30210.1 pep chromosome:OR_W1943:5:26325508:26328745:1 gene:ORUFI05G30210 transcript:ORUFI05G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIGLNLCPPPPARAWRGGDGEGVREAAAAAARATAAAARVGARARGATVTTSPRRSSGGVRGLLQHFDLPLSARGSGCRSQQPPQQLNRSEPSPTSSPQQRERVGEELGDWAPPEWALLLIGCLLGLATGICVAAFNRGNSAISLEGICLDKDS >ORUFI05G30220.1 pep chromosome:OR_W1943:5:26382567:26396329:-1 gene:ORUFI05G30220 transcript:ORUFI05G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGPEMGESDPHAAAVLRDGDGSGGRRCSATAERGEKAEVALLSDGDDGGGGGGGGAAKGRRRRRQKRGASRRGRRWRRSKGKAAAAEVDGAAAAQKREEFSLSVRPANATYIYRISPPEFAIPIGDHSNRMKLVQREAEKLALHNAGFLAQKRLARGLRLNYTEAVALIAAQILEFVRDGDRTVTDLMDLGKQLLGRLSILISILVSLTEGFVCVPSLEKFSSVGVDDFPGEVRFCSGHIVLNLHRRALTLKVVNKADRPIQIGSHYHFIEANPYLVFDRQRAYGMRLNIPAGTAVRFEPGDAKTVTLVSIGGRKVIRGGNGIADGAVNRSQLNEVMEKVIANGFGHEDYPDSSEGIIGDGTHDYSVDHEKYASMYGPTTGDKIRLGDTDLFAEIEKDYAIYGDECIFGGGKVLRDGMGQSAGYPASDCLDTVVTNAVVIDYTGIYKADIGINGGLIVAIGKAGNPDVMDMDGVNEEMIVGVNTEVIAAEGMIVTAGGIDCHVHFICPQLAEEAIASGITTLVGGGTGPAHGTCATTCTPSPSHMKLMLQSTDELPINMGFTGKGNTTKPDGLAEIIKAGAMGLKLHEDWGSTPAAIDNCLSVAEAFDIQVNIHTDTLNESGCVEHTIAAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTLNTVDEHLDMLMVCHHLDRNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGERLFINCPNNVRVPCKCEVITRTWQTANKMKRQRGRLPISSSPDAAEDNDNFRIRRYIAKYTINPAIVNGFSDFVGSVECRVKATRRWSYRAVWSVWKLVYFSCIQPINVGKLADLVIWKPSFFGAKPEMVMMRPMFGAFGGAGSANSIAFVSKAAKEAGVAVQYKLGKRVEAVGRVRGLTKLNMKLNDALPKIDVDPETYTVTADGEVLRCQPTPTVPLSRNYFLF >ORUFI05G30230.1 pep chromosome:OR_W1943:5:26396613:26402429:1 gene:ORUFI05G30230 transcript:ORUFI05G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSKKEASHSISAKVGKNLLSLLAYALDNVLDSVFLLHQHLISSYLTSMEFPSFPCLLHQKNTSGSKQKGLYTKTAKAIHPVMVQSHRLLSITGSTNQRTPNPSLQMISKRRYWEPFNQHDPSQIDRPSLQPKKRMDKFRPQQWHKAPHSMHFPFLRLPIEEQPSISSEYAINVV >ORUFI05G30230.10 pep chromosome:OR_W1943:5:26396585:26398992:1 gene:ORUFI05G30230 transcript:ORUFI05G30230.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFLGGEKFQEAADNYVRPLLTKGVPSLFSDLSPLYEQPGKVYSSLLADFFVKNSNRAVFEIELKWYRIEAEQGKLNYKDSDELLEFFQKRSILAGCHFLFRELEDAVQL >ORUFI05G30230.2 pep chromosome:OR_W1943:5:26396613:26402429:1 gene:ORUFI05G30230 transcript:ORUFI05G30230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSKKEASHSISAKVGKNLLSLLAYALDNVLDSVFLLHQHLISSYLTSMEFPSFPCLLHQKNTSGSKQKGLYTKTAKAIHPVMVQSHRLLSITGSTNQRTPNPSLQMISKRRYWEPFNQHDPSQIDRPSLQPKKRMDKFRPQQWHKAPHSMHFPFLRLPIEEQPSISSEYAINVV >ORUFI05G30230.3 pep chromosome:OR_W1943:5:26395899:26402429:1 gene:ORUFI05G30230 transcript:ORUFI05G30230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSKKEASHSISAKVGKNLLSLLAYALDNVLDSVFLLHQHLISSYLTSMEFPSFPCLLHQKNTSGSKQKGLYTKTAKAIHPVMVQSHRLLSITGSTNQRTPNPSLQMISKRRYWEPFNQHDPSQIDRPSLQPKKRMDKFRPQQWHKAPHSMHFPFLRLPIEEQPSISSEYAINVV >ORUFI05G30230.4 pep chromosome:OR_W1943:5:26395899:26402429:1 gene:ORUFI05G30230 transcript:ORUFI05G30230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSKKEASHSISAKVGKNLLSLLAYALDNVLDSVFLLHQHLISSYLTSMEFPSFPCLLHQKNTSGSKQKGLYTKTAKAIHPVMVQSHRLLSITGSTNQRTPNPSLQMISKRRYWEPFNQHDPSQIDRPSLQPKKRMDKFRPQQWHKAPHSMHFPFLRLPIEEQPSISSEYAINVV >ORUFI05G30230.5 pep chromosome:OR_W1943:5:26395899:26402429:1 gene:ORUFI05G30230 transcript:ORUFI05G30230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSKKEASHSISAKVGKNLLSLLAYALDNVLDSVFLLHQHLISSYLTSMEFPSFPCLLHQKNTSGSKQKGLYTKTAKAIHPVMVQSHRLLSITGSTNQRTPNPSLQMISKRRYWEPFNQHDPSQIDRPSLQPKKRMDKFRPQQWHKAPHSMHFPFLRLPIEEQPSISSEYAINVV >ORUFI05G30230.6 pep chromosome:OR_W1943:5:26395899:26402429:1 gene:ORUFI05G30230 transcript:ORUFI05G30230.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSKKEASHSISAKVGKNLLSLLAYALDNVLDSVFLLHQHLISSYLTSMEFPSFPCLLHQKNTSGSKQKGLYTKTAKAIHPVMVQSHRLLSITGSTNQRTPNPSLQMISKRRYWEPFNQHDPSQIDRPSLQPKKRMDKFRPQQWHKAPHSMHFPFLRLPIEEQPSISSEYAINVV >ORUFI05G30230.7 pep chromosome:OR_W1943:5:26395899:26398992:1 gene:ORUFI05G30230 transcript:ORUFI05G30230.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFLGGEKFQEAADNYVRPLLTKGVPSLFSDLSPLYEQPGKVYSSLLADFFVKNSNRAVFEIELKWYRIEAEQGKLNYKDSDELLEFFQKRSILAGCHFLFRELEDAVQL >ORUFI05G30230.8 pep chromosome:OR_W1943:5:26396613:26398992:1 gene:ORUFI05G30230 transcript:ORUFI05G30230.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFLGGEKFQEAADNYVRPLLTKGVPSLFSDLSPLYEQPGKVYSSLLADFFVKNSNRAVFEIELKWYRIEAEQGKLNYKDSDELLEFFQKRSILAGCHFLFRELEDAVQL >ORUFI05G30230.9 pep chromosome:OR_W1943:5:26395899:26398992:1 gene:ORUFI05G30230 transcript:ORUFI05G30230.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLISTQFWNSLLFRGCVYSTLLTYIVPLLDNTLGVNLFSLVLHRYFIAVQKCLGLYSENGQYCTNVDRLCTLYISHKKEYGWSSAVKRMPLDFLGGEKFQEAADNYVRPLLTKGVPSLFSDLSPLYEQPGKVYSSLLADFFVKNSNRAVFEIELKWYRIEAEQGKLNYKDSDELLEFFQKRSILAGCHFLFRELEDAVQL >ORUFI05G30240.1 pep chromosome:OR_W1943:5:26407882:26416063:1 gene:ORUFI05G30240 transcript:ORUFI05G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWTTTTRTASWMATRRAAAACRCLLPPSPLVPAVDATACGLASAAARAMAVDAAARALAIDGAALACSTTRSTLPVRLEMLEGRGEEGKGEVQGSTDDSEQRSAPPVRRAPHAPGGATASACRWLNGN >ORUFI05G30250.1 pep chromosome:OR_W1943:5:26432299:26433450:1 gene:ORUFI05G30250 transcript:ORUFI05G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSMSTTTRLPLVAALLSAAACVAAAQPATAEAAPETPGVGIKVSFRPSVAIVVGIFTMIFSLTFLLLMYAKFCHPSSPVAAPAPTVVPAAAADDGVAKPVIESLPFFRFAALRGARQGLECAVCLARFDDADLLRLLPRCRHAFHLDCVDRWLESKASCPLCRARVDAEDAALGLKYASSARFVPAGGASESERFDGDQDLLGIFVERVPSSRMEPAAAAHCPDLDRYKHRIVVSDAVFKSRWSEINSSDLIALDTELLRSMQTMEVEMEMELYKETEEEETDHDHQRKTLLAASGGRSSVDAAARARMISSSSGSVRSMSEMVSLPRLRAAMRERLAHEDRWVPIARRTARWFAGRESRHDEAAPVVDSPPASHSNSLV >ORUFI05G30260.1 pep chromosome:OR_W1943:5:26441468:26454815:-1 gene:ORUFI05G30260 transcript:ORUFI05G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAAKRPKVDPSSSSSAPPPPPPPPQRGDDDYVPGNIVEIELCNFMTYDHLTCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPAILGRASSVAAFVKRGEDSGHVKISLRGNTPDHKLCITRKVDTNNKSEWQLDGTTVPKKEVIDLIKKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPNLPIQHRQLIDRSKELKILQVAVKQKEQTLNNLKALNAELEKDVERVRQRDRLLKKAELMKKKLPWLKYDMKKKEYKEAQEKEKTEKKKMEEVAKIWEDSKGPVEELKKKKMSHTSNTKRINSHMVENMKRRQDITHKELQLKGQLRATLEDIEDLKRQERSRQQRILKAKEALAAAERELDDLQPYEAPKAEMIQLTEEIARLTCDINELKRKKTDMESQLVRERENLRNCSDRLKQMENKNNKLLQALRYSGAEKINEAYNWVQDNRHMFRAEVYGPVLLEVNVQDKVHASYLEGHVASYIWKSFITQDASDRDLLVRQMKQYDIPVLNFMGDKGIRREPFNITLEMQQVGIYSRLDQVFEAPPAVKDVLISQANLDRSYIGTDETHNRADDVPKLGISDFWTPDNHYRWSRSRYGGHLSAFVDAVNPSRLFMCNLDVIDSERLRSQKDKHIKDIDGMDEDLKKLLKEQRQLEDEAAKIRRKKEEITDTMMFEKKRQEETRRRVDIKRRMLETIYKEEDMESSKRKFVDQAAKLNDQRYELVLKLKDLLIEAVALKWSCTQKNMASIELDTKIWEMEKDVKKLEKNAIEAAKEYENCKRKTQEHKQQLSNAKQHAESIAMISEDLAKKFLEMPTTIEELDCAIQDTESEANSMLFLNQNVLLEYQSRQREIESISGKLEDDKGECERCYSDIEATKGKWLPTLRTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDFEQYGILIKVKFRSVAGVERSSPIWRGAISFNHPVPCITSRSYQLPLSGMDPINERKMFQQLVRAASQPNTPHESDVCPSRAEAKELGNPRVTGPHQWNWSKDGKK >ORUFI05G30260.2 pep chromosome:OR_W1943:5:26441468:26454815:-1 gene:ORUFI05G30260 transcript:ORUFI05G30260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAAKRPKVDPSSSSSAPPPPPPPPQRGDDDYVPGNIVEIELCNFMTYDHLTCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPAILGRASSVAAFVKRGEDSGHVKISLRGNTPDHKLCITRKVDTNNKSEWQLDGTTVPKKEVIDLIKKFNIQVNNLTQTEKAVGDPNLPIQHRQLIDRSKELKILQVAVKQKEQTLNNLKALNAELEKDVERVRQRDRLLKKAELMKKKLPWLKYDMKKKEYKEAQEKEKTEKKKMEEVAKIWEDSKGPVEELKKKKMSHTSNTKRINSHMVENMKRRQDITHKELQLKGQLRATLEDIEDLKRQERSRQQRILKAKEALAAAERELDDLQPYEAPKAEMIQLTEEIARLTCDINELKRKKTDMESQLVRERENLRNCSDRLKQMENKNNKLLQALRYSGAEKINEAYNWVQDNRHMFRAEVYGPVLLEVNVQDKVHASYLEGHVASYIWKSFITQDASDRDLLVRQMKQYDIPVLNFMGDKGIRREPFNITLEMQQVGIYSRLDQVFEAPPAVKDVLISQANLDRSYIGTDETHNRADDVPKLGISDFWTPDNHYRWSRSRYGGHLSAFVDAVNPSRLFMCNLDVIDSERLRSQKDKHIKDIDGMDEDLKKLLKEQRQLEDEAAKIRRKKEEITDTMMFEKKRQEETRRRVDIKRRMLETIYKEEDMESSKRKFVDQAAKLNDQRYELVLKLKDLLIEAVALKWSCTQKNMASIELDTKIWEMEKDVKKLEKNAIEAAKEYENCKRKTQEHKQQLSNAKQHAESIAMISEDLAKKFLEMPTTIEELDCAIQDTESEANSMLFLNQNVLLEYQSRQREIESISGKLEDDKGECERCYSDIEATKGKWLPTLRTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDFEQYGILIKVKFRSVAGVERSSPIWRGAISFNHPVPCITSRSYQLPLSGMDPINERKMFQQLVRAASQPNTPHESDVCPSRAEAKELGNPRVTGPHQWNWSKDGKK >ORUFI05G30260.3 pep chromosome:OR_W1943:5:26441468:26454815:-1 gene:ORUFI05G30260 transcript:ORUFI05G30260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAAKRPKVDPSSSSSAPPPPPPPPQRGDDDYVPGNIVEIELCNFMTYDHLTCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPAILGRASSVAAFVKRGEDSGHVKISLRGNTPDHKLCITRKVDTNNKSEWQLDGTTVPKKEVIDLIKKFNIQVNNLTQTEKAVGDPNLPIQHRQLIDRSKELKILQVAVKQKEQTLNNLKALNAELEKDVERVRQRDRLLKKAELMKKKLPWLKYDMKKKEYKEAQEKEKTEKKKMEEVAKIWEDSKGPVEELKKKKMSHTSNTKRINSHMVENMKRRQDITHKELQLKGQLRATLEDIEDLKRQERSRQQRILKAKEALAAAERELDDLQPYEAPKAEMIQLTEEIARLTCDINELKRKKTDMESQLVRERENLRNCSDRLKQMENKNNKLLQALRYSGAEKINEAYNWVQDNRHMFRAEVYGPVLLEVNVQDKVHASYLEGHVASYIWKSFITQDASDRDLLVRQMKQYDIPVLNFMGDKGIRREPFNITLEMQQVGIYSRLDQVFEAPPAVKDVLISQANLDRSYIGTDETHNRADDVPKLGISDFWTPDNHYRWSRSRYGGHLSAFVDAVNPSRLFMCNLDVIDSERLRSQKDKHIKDIDGMDEDLKKLLKEQRQLEDEAAKIRRKKEEITDTMMFEKKRQEETRRRVDIKRRMLETIYKEEDMESSKRKFVDQAAKLNDQRYELVLKLKDLLIEAVALKWSCTQKNMASIELDTKIWEMEKDVKKLEKNAIEAAKEYENCKRKTQEHKQQLSNAKQHAESIAMISEDLAKKFLEMPTTIEELDCAIQDTESEANSMLFLNQNVLLEYQSRQREIESISGKLEDDKGECERCYSDIEATKGKWLPTLRTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDFEQYGILIKVKFRQTGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGKPTLTRMDPINERKMFQQLVRAASQPNTPHESDVCPSRAEAKELGNPRVTGPHQWNWSKDGKK >ORUFI05G30260.4 pep chromosome:OR_W1943:5:26441512:26454815:-1 gene:ORUFI05G30260 transcript:ORUFI05G30260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAAKRPKVDPSSSSSAPPPPPPPPQRGDDDYVPGNIVEIELCNFMTYDHLTCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPAILGRASSVAAFVKRGEDSGHVKISLRGNTPDHKLCITRKVDTNNKSEWQLDGTTVPKKEVIDLIKKFNIQVNNLTQTEKAVGDPNLPIQHRQLIDRSKELKILQVAVKQKEQTLNNLKALNAELEKDVERVRQRDRLLKKAELMKKKLPWLKYDMKKKEYKEAQEKEKTEKKKMEEVAKIWEDSKGPVEELKKKKMSHTSNTKRINSHMVENMKRRQDITHKELQLKGQLRATLEDIEDLKRQERSRQQRILKAKEALAAAERELDDLQPYEAPKAEMIQLTEEIARLTCDINELKRKKTDMESQLVRERENLRNCSDRLKQMENKNNKLLQALRYSGAEKINEAYNWVQDNRHMFRAEVYGPVLLEVNVQDKVHASYLEGHVASYIWKSFITQDASDRDLLVRQMKQYDIPVLNFMGDKGIRREPFNITLEMQQVGIYSRLDQVFEAPPAVKDVLISQANLDRSYIGTDETHNRADDVPKLGISDFWTPDNHYRWSRSRYGGHLSAFVDAVNPSRLFMCNLDVIDSERLRSQKDKHIKDIDGMDEDLKKLLKEQRQLEDEAAKIRRKKEEITDTMMFEKKRQEETRRRVDIKRRMLETIYKEEDMESSKRKFVDQAAKLNDQRYELVLKLKDLLIEAVALKWSCTQKNMASIELDTKIWEMEKDVKKLEKNAIEAAKEYENCKRKTQEHKQQLSNAKQHAESIAMISEDLAKKFLEMPTTIEELDCAIQDTESEANSMLFLNQNVLLEYQSRQREIESISGKLEDDKGECERCYSDIEATKGKWLPTLRTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDFEQYGILIKVKFRQTGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGKPTLTRMDPINERKMFQQLVRAASQPNTPQFVTVLLAFQEAFSDSIYQLPSKVMFAPAVQKPRSWATLE >ORUFI05G30260.5 pep chromosome:OR_W1943:5:26441468:26454815:-1 gene:ORUFI05G30260 transcript:ORUFI05G30260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAAKRPKVDPSSSSSAPPPPPPPPQRGDDDYVPGNIVEIELCNFMTYDHLTCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPAILGRASSVAAFVKRGEDSGHVKISLRGNTPDHKLCITRKVDTNNKSEWQLDGTTVPKKEVIDLIKKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPNLPIQHRQLIDRSKELKILQVAVKQKEQTLNNLKALNAELEKDVERVRQRDRLLKKAELMKKKLPWLKYDMKKKEYKEAQEKEKTEKKKMEEVAKIWEDSKGPVEELKKKKMSHTSNTKRINSHMVENMKRRQDITHKELQLKGQLRATLEDIEDLKRQERSRQQRILKAKEALAAAERELDDLQPYEAPKAEMIQLTEEIARLTCDINELKRKKTDMESQLVRERENLRNCSDRLKQMENKNNKLLQALRYSGAEKINEAYNWVQDNRHMFRAEVYGPVLLEVNVQDKVHASYLEGHVASYIWKSFITQDASDRDLLVRQMKQYDIPVLNFMGDKGIRREPFNITLEMQQVGIYSRLDQVFEAPPAVKDVLISQANLDRSYIGTDETHNRADDVPKLGISDFWTPDNHYRWSRSRYGGHLSAFVDAVNPSRLFMCNLDVIDSERLRSQKDKHIKDIDGMDEDLKKLLKEQRQLEDEAAKIRRKKEEITDTMIRMLETIYKEEDMESSKRKFVDQAAKLNDQRYELVLKLKDLLIEAVALKWSCTQKNMASIELDTKIWEMEKDVKKLEKNAIEAAKEYENCKRKTQEHKQQLSNAKQHAESIAMISEDLAKKFLEMPTTIEELDCAIQDTESEANSMLFLNQNVLLEYQSRQREIESISGKLEDDKGECERCYSDIEATKGKWLPTLRTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDFEQYGILIKVKFRSVAGVERSSPIWRGAISFNHPVPCITSRSYQLPLSGMDPINERKMFQQLVRAASQPNTPHESDVCPSRAEAKELGNPRVTGPHQWNWSKDGKK >ORUFI05G30260.6 pep chromosome:OR_W1943:5:26441512:26454815:-1 gene:ORUFI05G30260 transcript:ORUFI05G30260.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAAKRPKVDPSSSSSAPPPPPPPPQRGDDDYVPGNIVEIELCNFMTYDHLTCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPAILGRASSVAAFVKRGEDSGHVKISLRGNTPDHKLCITRKVDTNNKSEWQLDGTTVPKKEVIDLIKKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPNLPIQHRQLIDRSKELKILQVAVKQKEQTLNNLKALNAELEKDVERVRQRDRLLKKAELMKKKLPWLKYDMKKKEYKEAQEKEKTEKKKMEEVAKIWEDSKGPVEELKKKKMSHTSNTKRINSHMVENMKRRQDITHKELQLKGQLRATLEDIEDLKRQERSRQQRILKAKEALAAAERELDDLQPYEAPKAEMIQLTEEIARLTCDINELKRKKTDMESQLVRERENLRNCSDRLKQMENKNNKLLQALRYSGAEKINEAYNWVQDNRHMFRAEVYGPVLLEVNVQDKVHASYLEGHVASYIWKSFITQDASDRDLLVRQMKQYDIPVLNFMGDKGIRREPFNITLEMQQVGIYSRLDQVFEAPPAVKDVLISQANLDRSYIGTDETHNRADDVPKLGISDFWTPDNHYRWSRSRYGGHLSAFVDAVNPSRLFMCNLDVIDSERLRSQKDKHIKDIDGMDEDLKKLLKEQRQLEDEAAKIRRKKEEITDTMMFEKKRQEETRRRVDIKRRMLETIYKEEDMESSKRKFVDQAAKLNDQRYELVLKLKDLLIEAVALKWSCTQKNMASIELDTKIWEMEKDVKKLEKNAIEAAKEYENCKRKTQEHKQQLSNAKQHAESIAMISEDLAKKFLEMPTTIEELDCAIQDTESEANSMLFLNQNVLLEYQSRQREIESISGKLEDDKGECERCYSDIEATKGKWLPTLRTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDFEQYGILIKVKFRQTGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGKPTLTRMDPINERKMFQQLVRAASQPNTPQFVTVLLAFQEAFSDSIYQLPSKVMFAPAVQKPRSWATLE >ORUFI05G30260.7 pep chromosome:OR_W1943:5:26441468:26454812:-1 gene:ORUFI05G30260 transcript:ORUFI05G30260.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTYDHLTCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPAILGRASSVAAFVKRGEDSGHVKISLRGNTPDHKLCITRKVDTNNKSEWQLDGTTVPKKEVIDLIKKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPNLPIQHRQLIDRSKELKILQVAVKQKEQTLNNLKALNAELEKDVERVRQRDRLLKKKKLPWLKYDMKKKEYKEAQEKEKTEKKKMEEVAKIWEDSKGPVEGIFELRGLGICSDVLRYEDFLSKPFNLNGYIHKGQLRATLEDIEDLKRQERSRQQRILKAKEALAAAERELDDLQPYEAPKAEMIQLTEEIARLTCDINELKRKKTDMESQLVRERENLRNCSDRLKQMENKNNKLLQALRYSGAEKINEAYNWVQDNRHMFRAEVYGPVLLEVCLLACSPTIVCFADHTSNSTSSLYCCQSFITQDASDRDLLVRQMKQYDIPVLNFMGDKGIRREPFNITLEMQQVGIYSRLDQVFEAPPAVKDVLISQANLDRSYIGTDETHNRADDVPKLGISDFWTPDNHYRWSRSRYGGHLSAFVDAVNPSRLFMCNLDVIDSERLRSQKDKHIKDIDGMDEDLKKLLKEQRQLEDEAAKIRRKKEEITDTMMFEKKRQEETRRRVDIKRRMLETIYKEEDMESSKRKFVDQAAKLNDQRYELVLKLKDLLIEAVALKWSCTQKNMASIELDTKIWEMEKDVKKLEKNAIEAAKEYENCKRKTQEHKQQLSNAKQHAESIAMISEDLAKKFLEMPTTIEELDCAIQDTESEANSMLFLNQNVLLEYQSRQREIESISGKLEDDKGECERCYSDIEATKGKWLPTLRTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDFEQYGILIKVKFRSVAGVERSSPIWRGAISFNHPVPCITSRSYQLPLSGMDPINERKMFQQLVRAASQPNTPHESDVCPSRAEAKELGNPRVTGPHQWNWSKDGKK >ORUFI05G30270.1 pep chromosome:OR_W1943:5:26455047:26460003:1 gene:ORUFI05G30270 transcript:ORUFI05G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMFAQSQGISPTRHHFKALFAEGMVEGVPVLLAKPQTGSGAITHQSFLATSLENEYVAAMGRLWVTKKVGPLAAYYKLPLNRVLVAFDGTDLPCGILRLQPKGGFGRHNGSVDFVTMCFNEVICHSKAYQGEENDIPFS >ORUFI05G30270.2 pep chromosome:OR_W1943:5:26455047:26460003:1 gene:ORUFI05G30270 transcript:ORUFI05G30270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMFAQSQGISPTRHHFKALFAEGMVEGVPVLLAKPQTGSGAITHQSFLATSLENEYVAAMGRLWVTKKVGPLAAYYKLPLNRVLVAFDGTDLPCGILRLQPKGGFGRHNGSVDFVTMCFNEVICHSKAYQGEENDIPFS >ORUFI05G30270.3 pep chromosome:OR_W1943:5:26455896:26460003:1 gene:ORUFI05G30270 transcript:ORUFI05G30270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMFAQSQGISPTRHHFKALFAEGMVEGVPVLLAKPQTGSGAITHQSFLATSLENEYVAAMGRLWVTKKVGPLAAYYKLPLNRVLVAFDGTDLPCGILRLQPKGGFGRHNGSVDFVTMCFNEVICHSKAYQGEENDIPFS >ORUFI05G30280.1 pep chromosome:OR_W1943:5:26462012:26463188:-1 gene:ORUFI05G30280 transcript:ORUFI05G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQCLHTGNSLEPQQKKMLADSLRQRMPGGWGARRGEVGEDIRRAGDAAAAASSSTMREKGERHRLTARATSRSGHQRGPGGGGEDAKARDSGWRRRHTTRCGRRFGTN >ORUFI05G30290.1 pep chromosome:OR_W1943:5:26463607:26464385:1 gene:ORUFI05G30290 transcript:ORUFI05G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIAVERNRRRQMNDHLKVLRSLTPAFYIKRGDQASIIGGAIDFIKELQTLLQSLEAQKKRRQQPQAHLISPASISASGGGSPSPTPSPRSLITSCSPTAAAGSSAGSSSSISPKDENKQQLQLVAELAACCNSPMADVEARISGANVLLRTLSRRAPPVRIIALLESLHLEVLHLNITTMDDTVLYSFVLKIGLDCHLSVDDLAMEVHQSFMPPPAAHPDNHLHS >ORUFI05G30300.1 pep chromosome:OR_W1943:5:26467719:26469392:-1 gene:ORUFI05G30300 transcript:ORUFI05G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKTDDQKLVIGTLSADKFPQIQFDLVFDKEFELSHTSKTANEEEEEKIIPAPRANGKVEGKENEQKKQGKTDSSASKSKAAVNDDDDDDDSDEDDSEDEDLSPEDDDEDSSEDDSSEDDEDESDEEETPKKPETGKRKVAEIVLKTPSSDKKAKIATPSGQKTGDKKGVHVATPHPAKQASKTPVNDKSKEKSPKSGGGSISCKSCSKTFNSEMALQSHSKAKHPAK >ORUFI05G30310.1 pep chromosome:OR_W1943:5:26473533:26475278:-1 gene:ORUFI05G30310 transcript:ORUFI05G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVASPSSAPGPAAAGRPHPTYKEARFSLRYSPPSQNYTNLLDLVQMILRALKELPDPIISSRRAIAKYISDNFSGLPSHHDALLTVHLRRLRSQGLLLMSGHSYLLSTSATAARGRGRGRPPKKASSSAPPQKRGPGRPRKNTALFPVPVLEAKPGRGRPRKNPLPVASSTSSAAAAATALSLRVKRGPGRPRKNAAATPLRLGVKRGPGRPRKNAAATPLRLGAKRGPGRPRKNATATPLSLGVKRGPGRPRKNAAAAASPVAPPPASPLKRGVGRPRKNATPLVKPGPGRPSGFKRGPGRPRKNATPPVLSVPPTAAAVLGVKRGRGRPRKDKPLQSWSVLSGGAAMTKRGPGRPRKKRPLEAGGVVAAQVDTADGGEAGAVQNGGEVRCLLSDGASSMGNRGPGSPRKEVLLENEPTVSTLVGKRGRGRPKKEKPSAARPAETGDAKSMGIKRGRGRPRKDSSFQAVFAEAAGQVSRDVTAAQPEGDADLLARKEPETAAVVSVENKETRPADAGGVVVSEEKTSIDPVEAGSVMPCVNAEVDRMNSDLRTANP >ORUFI05G30320.1 pep chromosome:OR_W1943:5:26476609:26480894:1 gene:ORUFI05G30320 transcript:ORUFI05G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSASCPAPALAPATGRPAARKTKQRLIRARTTTLQSSGLHHLFINLLPHDVAYVSSRSINLSSRQTAYIHLAHMEALPDIYPLTGLQIGDMQSYVSRAFLYFAPLSKKVFILVDNQPWRSSKQSRSARLWQFMVTKYRMSPFANSRALPCSGRNTSSATAAAAAAAAAADGECSMAARRWFEVVDLRLALHGFLVFEVSWRDVHGINYLNELLTDTSLALEARYMKKWEFYSAEQAAGCTKLWFLGRAPEAEALRGYLTTLYSLSQDNVVDNDDKDNNNINTSTSNMRRLIHQQIRRSSSSESDKKKEDADDEDDQAPSSSSSYTDTLILLRSRDSALPMKLRQIIMSDIRLLTLLESGLPSWVIFLQSYPLLCLLYRPWMRPLARTLYLLVSLATVIIGFYDLYKNVPLLKAAAARVCGPLFGWIETWDMVTRIQYLGTILFLRNHLRKCLQGMVALLRMARAVLRPLSAPLSAIAGPLLAACGEVCELLGDLAEALWAPLDAVLDCLNPLVQALLLPLRFAASLASCAGSLLSNTYNFGKDIWETVSSMFELNHMAEAQHSAFDVSLLKSLWNDLFSQARLRHMLHVSRLAPYSCPCKTKRRLEGHDKDEDDVVECDICK >ORUFI05G30330.1 pep chromosome:OR_W1943:5:26490382:26490630:-1 gene:ORUFI05G30330 transcript:ORUFI05G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMRMAMVSLALVLVGLLLVATTANAKNAHAGGLKKGGSEAEGRVVYADMRLVVPSDSDSAPAPAPASASDGPAPAPSPNN >ORUFI05G30340.1 pep chromosome:OR_W1943:5:26490743:26577538:1 gene:ORUFI05G30340 transcript:ORUFI05G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATAQKWVRSDNEASDLAGYDQTIRSPAVKPFGKTSKHVKWEKLHHLPNAPAQSKSARGATREKSCEEKIPSITGDRAKQSRVEKFC >ORUFI05G30350.1 pep chromosome:OR_W1943:5:26495671:26496889:-1 gene:ORUFI05G30350 transcript:ORUFI05G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDESWTVSVFLLEGDFINMSLDEDLPLAGPQPNPAADDDEDPDGGHIWQMGHPQAGLGDWDDLFQQQNTANEQVEDAWGQDHPMGQIMEVNPDGIIDLAVANPGHDNVVVPFVPATDKGEKVQESDQDAQVQRSLARLEKIAKNEYPKVPYFYPMKEINEKIDHLCKERGSMHQFLASNSIPATLYEPSPFKALVLPKKTIP >ORUFI06G00010.1 pep chromosome:OR_W1943:6:275:2594:-1 gene:ORUFI06G00010 transcript:ORUFI06G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQTQTVEELLQQMRRRYQIYQMQQMQRQCQESHSGRVVTTDATSVPNIPDATDATTMSGVTSMSPTAGARSDASPEPESESESGVPNIGTEDPCQNAVQIPEDNNNQVNVRPNESHLKVTRSSAQEVTKLQVSLLTRKMVFARSPEKDEKQRPGRNQAPSQSTDKKDGTLQPNSGANRTITMIITSSEFTPEDAARIPKRTDHVPKDCQLNAVIAKTKKEQGTTVQPIRQPMAVDNNSLNPSTLSPTTTPVEANHGRSNVARELPKCIIRSLHPEAKQGTVKGRIVPPATASSLQHQRQQGKQCQENNSSLQLQRGSTLLRQHPQQVLSAPGHPTAVSSSNSPCRRTPPPFPRRRRRPFIFRRRFGHR >ORUFI06G00020.1 pep chromosome:OR_W1943:6:169318:169521:1 gene:ORUFI06G00020 transcript:ORUFI06G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYIPMPSSFVACSVSLDENLAIAYVFTFKSLMALKTHEAVVPTRLVRGRGGTIHWSSPLPVRGVM >ORUFI06G00030.1 pep chromosome:OR_W1943:6:170041:178742:1 gene:ORUFI06G00030 transcript:ORUFI06G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPSPAPRAMKRELAFALQSLSAMSTSPGRTRSGRPLSSSSSSSSSSSAPAPAPKRRRRPDKPPDHDPAPAPAPDKDLLVSPPTPPMDAEAPKPIHLLNDKDKDKEGDDGSHQDTPTLQSPPRGSDAHPIPTLLNVSAAVARPPQPPHAQPTELNAVAAAASALPMELDAAAAAAVPAESTELNAAATAVPAQPTEVNAAAEIVKPIGLNAVAAETAKPDMAMELQEPPTVTAANGRDVSHESFEQNLQHQVLDNALTDPSLLAESTATPASTAGLKPARRFTRSLLKNKPEEEPTASKSQDPAVSMISEDNNEASVDLALAPEKPQRRFTRSLLKVKVEARSTNNLLQSKEAIDSTSDSSRSVKKMEMKMSKKVACLTKHPSNIRELLNTGLLEGMPVRYIIPSSKKAVLKGVITGCNIRCFCLSCNGSKDVCSYFFEQHAGSNKKHPADHIYLGNGNSLRDVLRACESSPLESLEKTIRSSIDPIAKRSYVNCLNCNEHLSSSQTEIFGSFLCQRCLEPKQHQDPPSPSYACKSNSSLIPSSKDFLLKKTPLNTKGGSAGKVTTKDTGLHKLVFKVLLDGTEVAYYVDGQRKVDGYIKDQRIYCNHCNRVVSPSAFEAHAGEGTRRKPYDNIFTSNGVSLHELSMKISKDMELSERETDDLCRECGQGGDIFPCKMCPRSFHPACVGLSGVPSEWYCDNCSNLVQKEKALAENKNAKAAGRQAGVDSIEQIMKRAIRIVPISDDLGGCALCKQKDFNNSVFDERTVILCDQCEKEYHVGCLRSQWQVDLKELPEGEWFCCNSCSEIRSSLDKIISDGALILAESDIDIIRKKHEMKGLSMDTNTDLRWRLLAGRKASEDGDLLLSAAVPIIHQSFDPIIEVQSGRDLIPEMVNGRRPKDGMPGQDYSGMYCAVLTLGTSVVSAALLRVMGGEVAELPLVATSKDLQGLGYFQALFSCIERMLISLKIKHFMLPAAQEAEGIWMNKFGFTKIPQEQSDAYLNGAHLTIFHGTSNLYKAIPSS >ORUFI06G00030.2 pep chromosome:OR_W1943:6:170041:178742:1 gene:ORUFI06G00030 transcript:ORUFI06G00030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPSPAPRAMKRELAFALQSLSAMSTSPGRTRSGRPLSSSSSSSSSSSAPAPAPKRRRRPDKPPDHDPAPAPAPDKDLLVSPPTPPMDAEAPKPIHLLNDKDKDKEGDDGSHQDTPTLQSPPRGSDAHPIPTLLNVSAAVARPPQPPHAQPTELNAVAAAASALPMELDAAAAAAVPAESTELNAAATAVPAQPTEVNAAAEIVKPIGLNAVAAETAKPDMAMELQEPPTVTAANGRDVSHESFEQNLQHQVLDNALTDPSLLAESTATPASTAGLKPARRFTRSLLKNKPEEEPTASKSQDPAVSMISEDNNEASVDLALAPEKPQRRFTRSLLKVKVEARSTNNLLQSKEAIDSTSDSSRSVKKMEMKMSKKVACLTKHPSNIRELLNTGLLEGMPVRYIIPSSKKAVLKGVITGCNIRCFCLSCNGSKDVCSYFFEQHAGSNKKHPADHIYLGNGNSLRDVLRACESSPLESLEKTIRSSIDPIAKRSYNIFLHHKLKSLEAFCVNVALSQNNIKIPLPHLMLPDTKLQGFFVEEDTIKYKRDTGLHKLVFKVLLDGTEVAYYVDGQRKVDGYIKDQRIYCNHCNRVVSPSAFEAHAGEGTRRKPYDNIFTSNGVSLHELSMKISKDMELSERETDDLCRECGQGGDIFPCKMCPRSFHPACVGLSGVPSEWYCDNCSNLVQKEKALAENKNAKAAGRQAGVDSIEQIMKRAIRIVPISDDLGGCALCKQKDFNNSVFDERTVILCDQCEKEYHVGCLRSQWQVDLKELPEGEWFCCNSCSEIRSSLDKIISDGALILAESDIDIIRKKHEMKGLSMDTNTDLRWRLLAGRKASEDGDLLLSAAVPIIHQSFDPIIEVQSGRDLIPEMVNGRRPKDGMPGQDYSGMYCAVLTLGTSVVSAALLRVMGGEVAELPLVATSKDLQGLGYFQALFSCIERMLISLKIKHFMLPAAQEAEGIWMNKFGFTKIPQEQSDAYLNGAHLTIFHGTSNLYKAIPSS >ORUFI06G00040.1 pep chromosome:OR_W1943:6:180410:181426:1 gene:ORUFI06G00040 transcript:ORUFI06G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAHAQRFYCHQCDRTVPIPPPTSPDADVLCPFCGGGFVEELGEDINPNPNPNPSPFLPHHPFFPFASPSFDLRNPSDLAAFFGPPSPSPSPSPAARHFDPSNFLHDHFTGLLSGGATIQIVLEGSSASLPLGGAAAGPGGISLGDYFVGSGLEQLIQQLAENDPNRYGTPPAAKSAVAALPDVAVSADMMAADGGAQCAVCMDDFHLGAAAKQLPCKHVFHKDCILPWLDLHSSCPVCRFELPTDDPHHAHPTLGSHRPAAPASASASPSPAPPPRLAERRFRISLPWPLRAAFGGQAESSNPTNQDPVGGSTDASGSGNNNATGGHRGYDDLD >ORUFI06G00050.1 pep chromosome:OR_W1943:6:187657:188121:-1 gene:ORUFI06G00050 transcript:ORUFI06G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSAAVTIPSMAPSAPGRRRMRSSLVVRASLGKAAGAAAVAVAASAMLAGGAMAQEVLLGANGGVLVFEPNDFTVKSGETITFKNNAGFPHNVVFDEDAVPSGVDVSKISQEEYLNAPGETFSVTLTVPGTYGFYCEPHAGAGMVGKVTVN >ORUFI06G00060.1 pep chromosome:OR_W1943:6:190625:190972:1 gene:ORUFI06G00060 transcript:ORUFI06G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLVCLDGELPVSKLHRCLLSSDSSNNYNIATVDITADLARLRSHNSCLATGPPVCPCNVPCLIVCTDNPLLVVAALSWLDDDVCPSYILLPLSRRSSCSSPHMPTSMLMKLC >ORUFI06G00070.1 pep chromosome:OR_W1943:6:191532:194573:-1 gene:ORUFI06G00070 transcript:ORUFI06G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGKVEMTPAVAAAAVLPVGFRFRPTDEELVRHYLKGKIAGRSHPDLLLIPDVDLSTCEPWDLPAMSVIKSDDPEWFFFAPRDRKYPGGHRSNRSTAAGYWKATGKDRLIRSRPAGPLIGIKKTLVFHRGRAPRGLRTAWIMHEYRTTEPHFQSGKNGSFVLYRLFNKHEQDDTHTPASNLDQQLSTSSQGNPQNGTPAVQPALASIMKDHQTLPSSGFSQLTEIQDASTSVHDKEQTVAHDDAFLDVLSQLPDLEPEQRYNGFPNITSPIRPYSDHPFVGNLGEQDLSAHFGSTLSEQDLQSLLFSPNYTKMDKHPTGNVESNPTASSNNPNNNTLLMDSWRKNDSYQMLLIQRADNTDATCCSSSINAPQTETSDANLEARAQSSSMVYSGVAEGSPLCNQDQLHSAFNPHMESQKSGAFCWAGLWTPYPQHWFDTIVEPGRSGMTFSDALKEQGQEQAPSMKHLTAQDLVDPQQGTAARRIRLVCSVERASVSQPVSSHLQSEYEAGSCCNTRNSSNNKKESVRSEDEAGSCCNTGSSSNNHSEENDDAASQIMVLLQFIDGEPMHIQCKEDTPIQVDHSVEVMDKLQGFSFHEEMLVHANQPRGTNLKQRLLRVESRNSNENNVPSLETREQQHAPHIQKWTSSVVRLGWGWQWPALFVMAGSLLLLVGVWKSLNHTT >ORUFI06G00080.1 pep chromosome:OR_W1943:6:200873:203811:1 gene:ORUFI06G00080 transcript:ORUFI06G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVVGGGGAAVLVALLVTVVLAVMRSAGSRSSKRGRLPPSPMALPIIGHLHLIRPPPHRAFDRILARHGPLVYLRLGPSTHCVVIGSADVARDFLKFEASIPERPPTAVTRQLAYGKAGFAFAPYGAYWRFVKRLCMSELLGPRTVELLRPVRAAELADVLRAAQSAAERGEGVDMSHELVRMANNSIMRMVASALPGEMAEAARDCAKQVAELVGAFNAEDFVAVCRGWDLQGIGRRTNEVHARFDALLETIIEAKEEARRRSLRLGRRESSSKDLLDMLMDAAEDDTAEVKLTRDNIKAFVLDIFTAGSDTTATTVEWMLAELVNHPECMAKLRGELDAVVGRSRLVGEQDVARLPYLQAVLKETLRLRPPAVFAQRVTVEPVQVRGYTIPTDTQVFFNIFSIGRDATYWDQPLHFRPDRFLPDGAGATVDPKGQHPQLMPFGSGRRACPGMGLAMQAVPAFLAALVQCFDWAPPPSQPLPLDMEEAAGLVSARKHPLLLLPTPRIQPLPSFYS >ORUFI06G00090.1 pep chromosome:OR_W1943:6:205156:212154:1 gene:ORUFI06G00090 transcript:ORUFI06G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASLYRRVLPSPPAVEFASEEGKRLFSEALESGTLQGFFNLISVFQTQSEPAFCGLASLSVVLNALAIDPGRQWKGPWRWFDESMLDCCEPLDKVKAEGITFAKLACLAHCAGANVRSFRADQSTIHDFRHHLVRSASSQDCHLIASYHRKPFKQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWVPLPLLWEAMNTTDDATGLLRGFMLISRHTAAPSLLYTVLLCRVAEMKAGKAWRSIAWKMYPIFLRMRV >ORUFI06G00090.2 pep chromosome:OR_W1943:6:205156:212154:1 gene:ORUFI06G00090 transcript:ORUFI06G00090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASLYRRVLPSPPAVEFASEEGKRLFSEALESGTLQGFFNLISVFQTQSEPAFCGLASLSVVLNALAIDPGRQWKGPWRWFDESMLDCCEPLDKVKAEGITFAKLACLAHCAGANVRSFRADQSTIHDFRHHLVRSASSQDCHLIASYHRKPFKQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWVPLPLLWEAMNTTDDATGLLRGFMLISRHTAAPSLLYTVSCRDESWKSMAKYCMEDVPDLLKDESVDNVPALLSRLVKSLPANAGNLIKWVIEVRRQEEGGSGLSKEEEERLILKEMILQQVRDTELFRLVRELQFTKQPCCSCSYSSDDDSFTRTAASVCCQGAALLTGNLSSKDGFCCRETCFKCVQVDGDGPKTVVTGTAVSGVNEQSVDMLLPISTLETSVCNSNSSNEVVKYPSRTDILTVLLLALHPSTWVGIKDERLKAEFQSLISTDILHDDLKREILHLRRQLHYVRSCKEEEYGDPVPQSH >ORUFI06G00090.3 pep chromosome:OR_W1943:6:205756:212154:1 gene:ORUFI06G00090 transcript:ORUFI06G00090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALILDVARFKYPPHWVPLPLLWEAMNTTDDATGLLRGFMLISRHTAAPSLLYTVSCRDESWKSMAKYCMEDVPDLLKDESVDNVPALLSRLVKSLPANAGNLIKWVIEVRRQEEGGSGLSKEEEERLILKEMILQQVRDTELFRLVRELQFTKQPCCSCSYSSDDDSFTRTAASVCCQGAALLTGNLSSKDGFCCRETCFKCVQVDGDGPKTVVTGTAVSGVNEQSVDMLLPISTLETSVCNSNSSNEVVKYPSRTDILTVLLLALHPSTWVGIKDERLKAEFQSLISTDILHDDLKREILHLRRQLHYVRSCKEEEYGDPVPQSH >ORUFI06G00090.4 pep chromosome:OR_W1943:6:208682:212154:1 gene:ORUFI06G00090 transcript:ORUFI06G00090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLISRHTAAPSLLYTVSCRDESWKSMAKYCMEDVPDLLKDESVDNVPALLSRLVKSLPANAGNLIKWVIEVRRQEEGGSGLSKEEEERLILKEMILQQVRDTELFRLVRELQFTKQPCCSCSYSSDDDSFTRTAASVCCQGAALLTGNLSSKDGFCCRETCFKCVQVDGDGPKTVVTGTAVSGVNEQSVDMLLPISTLETSVCNSNSSNEVVKYPSRTDILTVLLLALHPSTWVGIKDERLKAEFQSLISTDILHDDLKREILHLRRQLHYVRSCKEEEYGDPVPQSH >ORUFI06G00100.1 pep chromosome:OR_W1943:6:206941:217267:-1 gene:ORUFI06G00100 transcript:ORUFI06G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTPITPSLLKNDGSKFPTPITPSLLKNDGSKFPESRNPKQCNIIQDRAVAGSAPASGCVEIERRLHDSAGSSPSSSRHSTPRHMVGFRNSLFGILSSAPEKKGFPGGGNRKVDLSKPLSPEPCILYAGTKFQKAEAKGQWMKIWSASSSGWVQIEKSTVISTATRGRYT >ORUFI06G00110.1 pep chromosome:OR_W1943:6:220811:223274:1 gene:ORUFI06G00110 transcript:ORUFI06G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGLLLRPPPCVATCTPPSPSLSSQWRRRRLTLAQPYCAVGLSFVSGRHLRRRRQSKRTSRGTGVYASLFGVGAPEALVIGVVALLVFGLKGLAECLDPWVVAGSDDKPEAAPYTSEELMKVIEEQLAASAAAAWNTQEPPPSQQKEAAATSESNDGAISRGSDGAGAAMSEPNRNISEKTETER >ORUFI06G00110.2 pep chromosome:OR_W1943:6:220811:223274:1 gene:ORUFI06G00110 transcript:ORUFI06G00110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGLLLRPPPCVATCTPPSPSLSSQWRRRRLTLAQPYCAVGLSFVSGRHLRRRRQSKRTSRGTGVYASLFGVGAPEALVIGVVALLVFGLKGLAEPEAAPYTSEELMKVIEEQLAASAAAAWNTQEPPPSQQKEAAATSESNDGAISRGSDGAGAAMSEPNRNISEKTETER >ORUFI06G00120.1 pep chromosome:OR_W1943:6:224272:230292:1 gene:ORUFI06G00120 transcript:ORUFI06G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRVADEIAALPEPRGPLRRPCADLSRRVRLLAPLLDHLPASSSSSSTPLADALGAARDLLRKTRDGSKIDQAMRGDAFLDEFAGVNRQIHLALDALPYNTFHMPQEVQEQVALVHSQFQRASTRTDPPDTQLSMDLAWALTDNPSDPALLTRISHKLQLHTMADMKNESIALHNMVISTAGEPDGCVDQMSSLLKKLKDCVVTEDHANDALTTRSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLISQWCEANGIELPKNKQNSRDKKAAKSSDYDHAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSHAIPKIVEVLKTGSMETRENAAATLFSLSVVDENKVTIGAAGAIPPLINLLCDGSPRGKKDAATAIFNLCIYQGNKVRAVKAGIVIHLMNFLVDPTGGMIDEALSLLSILAGNPEGKIVIARSEPIPPLVEVIKTGSPRNRENAAAILWLLCSADTEQTLAAKAAGVEDALKELSETGTDRAKRKASSILELMHQANEDSLKGNGH >ORUFI06G00120.2 pep chromosome:OR_W1943:6:224272:230292:1 gene:ORUFI06G00120 transcript:ORUFI06G00120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRVADEIAALPEPRGPLRRPCADLSRRVRLLAPLLDHLPASSSSSSTPLADALGAARDLLRKTRDGSKIDQAMRGDAFLDEFAGVNRQIHLALDALPYNTFHMPQEVQEQVALVHSQFQRASTRTDPPDTQLSMDLAWALTDNPSDPALLTRISHKLQLHTMADMKNESIALHNMVISTAGEPDGCVDQMSSLLKKLKDCVVTEDHANDALTTRSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLISQWCEANGIELPKNKQNSRDKKAAKSSDYDHAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSHAIPKIVEVLKTGSMETRENAAATLFSLSVVDENKVTIGAAGAIPPLINLLCDGSPRGKKDAATAIFNLCIYQGNKVRAVKAGIVIHLMNFLVDPTGGMIDEALSLLSILAGNPEGKIVIARSEPIPPLVEVIKTGSPRNRENAAAILWLLCSADTEQTLAAKAAGVEDALKELSETGTDRAKRKASSILELMHQANEA >ORUFI06G00120.3 pep chromosome:OR_W1943:6:224272:230292:1 gene:ORUFI06G00120 transcript:ORUFI06G00120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRVADEIAALPEPRGPLRRPCADLSRRVRLLAPLLDHLPASSSSSSTPLADALGAARDLLRKTRDGSKIDQAMRGDAFLDEFAGVNRQIHLALDALPYNTFHMPQEVQEQVALVHSQFQRASTRTDPPDTQLSMDLAWALTDNPSDPALLTRISHKLQLHTMADMKNESIALHNMVISTAGEPDGCVDQMSSLLKKLKDCVVTEDHANDALTTRSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLISQWCEANGIELPKNKQNSRDKKAAKSSDYDHAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSHAIPKIVEVLKTGSMETRENAAATLFSLSVVDENKVTIGAAGAIPPLINLLCDGSPRGKKDAATAIFNLCIYQGNKVRAVKAGIVIHLMNFLVDPTGGMIDEALSLLSILAGNPEGKIVIARSEPIPPLVEVIKTGSPRNRENAAAILWLLCSADTEQTLAAKAAGVEDALKELSETGTDRAKRKASSILELMHQANEA >ORUFI06G00130.1 pep chromosome:OR_W1943:6:233589:247596:1 gene:ORUFI06G00130 transcript:ORUFI06G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKKASSPSPTSTARETRSSSARGHASPTPTPTLRRSTRETTSRFSSSSSSSANKHEGSPAKQSANNNTAKRKMNNAAADTSTRPIKKNKKLNAKSYLALFSTPQQTAKSPPAPGGNSRLYSNFGNVAPPLLVQVDDDNASTVPMQDSGTLLEHEEADTQEQGYQSGLHKVPEVVLEETDVSKNKADEHASTSEAKKTIEAGDPREIKGASTSNQALVTHSDGTDYNEYLCAVCRSRETPGILKFKMRQLRRCHHERLWERSFKKNLYDRMGHTGSIWLCTLCTKKRLQFGLFAVSEGIESLWDVKEVKWKDLGYEYATWELETSSFLCTPEAKDLKRNYESRHEDARRGFDPAKINKGKQCLFQKLQKLPDGFPPGLDKDHLSSLNRLREFWHNSDGAICLDDQERVIKTILFSMSILPDVCQPLLIVSTSASLSLWEAKFNRLAPSINVVVYNGEKDVRKQIQDLEFYENGLVTFQVLLSHPDAILEDIQTMESIVWEAVMVDDCQSLRVSKCLEQLKHLSTNFRMVLLSFPLKESIPEYINLLSFLNPEGSVISSSSNGDFTDTGDILATLKEKFARHVAFERKADSSKFLEYWVPARLSRVQLEMYCYTLLSNSPALRSHSRTDSVGALRDILVSLRKCCDHPYLVDQSLQSSLTKGHSLTDILDIGSGGGAGNPMGDILDDFVRQRFGFESYERVERGLLVPKKQTALNMFNDKTKGRFIFLIDSRACVPSIKLSSVDAIIIYCSDWNPTNDLRVLQRISIESQSECVPIFRLYSSCTVEEKTLILAKHDHILDSNVQNVMPIVSHSLLSWGASFLFNRLEEFQKHDYSSKDSEDDGLFMNNVFLEFAAKLSTNVEASTKMENAVISRAQQSGSFYSRDIAVISEREGISAVDGDLPKFWTFWSNLLGGRSPHWQYISEPVQRNRRKIQNMEDQMRIPAEETDEAIMKRRKIGEIMDSSPKILPVKDNDAVLPENSTASSSHETSVDDTWQELGAESLQGTQKGLHTQLKPELSKLYELLELPETVKCLCEELLDYILKNHQVSQEPKGILHAFNIALCWRAASLLKHKINRRESLALSVRNLNYECDEVLAEYVYEKLRILKKKFSRRASETSKQSQSTPVNNTSSYKQQTSPKLRSDGSICHQVTTIDGDLENVSHEEAPHDILTEEMILEQKELISVLETHREEHVLRDELLERITEKRINLIHMVFSLREKNIQDKQGNETTLLDMHKQKEVAKLQETCNLVVEHLRKGHIDSEDRDATVKLIIEWFTLLLYAFLNHMRCQHNKLKMQQSTSWNKELQLKEIFLQQAKSGHLDRSFDQQIPLPDSCFTLEEFSHFKEIVGNFPVGAATSANCQHSLASTMEIALVRSVSPSEVGNSEAAINGAVEVPVHTEKRPTSEVGLSQNRMDNDSDGIDSQGGPPLAVQHSLSSNPAIDNSNNLESSVASHRSEHLGDIAVEVNADNCGTTLADSPHLEAPTVAALPSQSALPMAMEVDIQTDHVVQSAQQNIVTGRVPQEEEREGSTTVTSAQPLQPEMRPSSPVSGILRERTNPDQRRESRQPEAAPSSVDPTQLFPVASLMFNHPPLGNEPLKNELHRLQVHMDSLNKIYELKKSQLQTECSQEIEKIKQKYDLLIKEQDSAHHQHRKTLDDLYGKVLLNQSLADDFRVKFVSTSAAQARAVSPPLCQTTRQTAGVSQQVPTRPSVAGSIALPVGSSSASRPSLQRHCAQPSHVDRSSSSGGSHSSSPSSQVVRPPPAILGSVVRATSTPFSHTPAARGNYGVGSEVARAPAPHLQFRLPRAHPTAPVNQQQRQLPVRLESTCSRTQLTPVSTPVNARQLSSQSVSPVSNSSSSSSSHPGPALSNPALAANSSSNPVLSAGTVALPPSPHPPESIAAPRGQQKGAPSGLNTVPVVGSGLPPSRSMSDSVSLDAWLTSNLGLKDGETSTPRTRMDSHRTVDVVCLSDDEPEEH >ORUFI06G00140.1 pep chromosome:OR_W1943:6:247872:249679:1 gene:ORUFI06G00140 transcript:ORUFI06G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEVGCECQLCGGRRGVVFCGAHGGRLCLQCDRALHQAHGGAGDHPRAPLCDSCNAAAAELRLNDGATLCGPCAYPYAYAYPYTYTYVYTGCPTPLEMMRLLHAAPPPPPATCSLQQRGEGEELLPTLLSATATPNTATAAPMAMPPPPLQHHTTTSLIMMIRNIHKREERNRAKLRFSKQIKYACRKAGADARKRVKGRFAKASSSSSSSSSSSIDHRL >ORUFI06G00150.1 pep chromosome:OR_W1943:6:251459:253736:1 gene:ORUFI06G00150 transcript:ORUFI06G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAVVRVVSRRTVKPAAPRPRESIPLTSWDLSMLSADYIQKGLVFPPPPPCLLVVDHLAAALSTTLNTYYPVAGRFVTHLVDGVFLAFVYNHALSDGTAFWDFLNAWAEIARASCLAAPTSPPPLFNRWSPSPGDGAPVVLPYADLSELIERLQPPLLCERMLHFSSESLVALKERARQELLAAGDTAGAAALTRFQALSSLLWRCITRARRLPAEQQTMCRAAINNRGRLQPALPREYFGNSIYAISTEKVQASELVERGHGWAAAAVGRAVAAHTDADIRARVAAWEVKPIIYTARYFDPSGVMMGSSPRFDMYGCDFGWGKALAARSGKANKMDGKASLYPGREGGGSIDAEVVLTPHHMAALDDDHELWAAVTPLLLNNNKP >ORUFI06G00160.1 pep chromosome:OR_W1943:6:253128:256594:-1 gene:ORUFI06G00160 transcript:ORUFI06G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATATPAAQNEQQEKGGLEYVYLSGLGNSLSSEAVAGTLPRGQNSPLVCPLGLYAEQLSGTPFTAPRARNLRTWLYRIKPSVTHEPFHPRRPAHPRLIGDFDRTTTDTVATPTQLRWRPADVPPHHPPLDFIDGLYTVCGAGSSFLRHGYAIHMYAANKSMDGCAFCNADGDFLIVPQQGKLLITTECGKLLVPPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASARDFLSPTAWFEQVHRPGYTIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKYDLSKFCPFNTVLFDHADPSVNTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGIYEAKADGFLPGGASLHSCMTPHGPDTKTYEATISRPDANEPSRLSGTLAFMFESALIPRVCQWALDSPSRDLDYYQCWIGLKSHFSHDNGGATSEEPCRKH >ORUFI06G00160.2 pep chromosome:OR_W1943:6:254058:256594:-1 gene:ORUFI06G00160 transcript:ORUFI06G00160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATATPAAQNEQQEKGGLEYVYLSGLGNSLSSEAVAGTLPRGQNSPLPSVTHEPFHPRRPAHPRLIGDFDRTTTDTVATPTQLRWRPADVPPHHPPLDFIDGLYTVCGAGSSFLRHGYAIHMYAANKSMDGCAFCNADGDFLIVPQQGKLLITTECGKLLVPPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASARDFLSPTAWFEQVHRPGYTIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKYDLSKFCPFNTVLFDHADPSVNTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGIYEAKADGFLPGGASLHSCMTPHGPDTKTYEATISRPDANEPSRLSGTLAFMFESALIPRVCQWALDSPSRDLDYYQCWIGLKSHFSHDNGGATSEEPCRK >ORUFI06G00160.3 pep chromosome:OR_W1943:6:254058:256594:-1 gene:ORUFI06G00160 transcript:ORUFI06G00160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATATPAAQNEQQEKGGLEYVYLSGLGNSLSSEAVAGTLPRGQNSPLVCPLGLYAEQLSGTPFTAPRARNLRTWLYRIKPSVTHEPFHPRRPAHPRLIGDFDRTTTDTVATPTQLRWRPADVPPHHPPLDFIDGLYTVCGAGSSFLRHGYAIHMYAANKSMDGCAFCNADGDFLIVPQQGKLLITTECGKLLVPPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASARDFLSPTAWFEQVHRPGYTIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKYDLSKFCPFNTVLFDHADPSVNTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGIYEAKADGFLPGGASLHSCMTPHGPDTKTYEATISRPDANEPSRLSGTLAFMFESALIPRVCQWALDSPSRDLDYYQCWIGLKSHFSHDNGGATSEEPCRK >ORUFI06G00170.1 pep chromosome:OR_W1943:6:258554:259703:-1 gene:ORUFI06G00170 transcript:ORUFI06G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGRPYGRRGGAAPSYVPRPRAPNPSPSAPAPARPPQQLRKPVFTTVERLRPQSHGHTLTARVLSARIILDNPPPRARLAECLVGDNTGTVLFTARNQQVDLVKPGTTVIFRNAKIDMFKGTMRLAVDKWGRIEVTDPASFQVKEDNNVSLVEYELVDVVDED >ORUFI06G00180.1 pep chromosome:OR_W1943:6:266348:271821:1 gene:ORUFI06G00180 transcript:ORUFI06G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVGGEVDHLAGERATAQFDVEHMKVAWAGSRHAVDVADRMARLVASDPVFRKDNRTMLPRKELFKDTLRKAAHAWKRIVELRLTEEEANLLRLYVDQPGYVDLHWGMFVPAIKGQGTEEQQKKWLPLAYRFQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLITEGKDYGIHGFIVQLRSLEDHSPLPGVTLGDIGGKFGSGAYNSMDNGVLRFDHVRIPRDQMLMRLSQVTKEGKYVHSDVPKQLLYGTMVFVRQTIVADASKALSRATCIAVRYSAIRKQFGPQTGGPETQVLNYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTHKLEAKDFSTLQEAHACTAGLKAVTTSATADGIEECRKLCGGHGYLNSSGLPELFAIYVPACTYEGDNVVLLLQVARFLMKTVSQLASGKQPVGTTAYMGNIQYLMQCKCGVNTAEDWLNPAAIREVFEARALRMAVNCAQNINKAPSQEEGFYELSPDLLEVAVAHIQLIIVTKFIEKLEQDIPGEGVKEQLCILCNVYALYLVHKHLGDFLSTGSITARQGALANEQLGKLYAQVRPNAVALVDAFNYTDHYLGSVLGRYDGNVYPALYEEAWKDPLNDTDVPDGYQEHLRPLLKQQLKLSRL >ORUFI06G00190.1 pep chromosome:OR_W1943:6:272493:284633:1 gene:ORUFI06G00190 transcript:ORUFI06G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPPPLLLLPLSSSLSPAAASHHPTSPPSRTRRRRRLLSAVASADGDAPSPVSVSASAATKGPSSSSVLTFQQAIQRLQDYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQDLFLHSLSALGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLLPVSVEITYGLERILMSLQGVDHFKNIQYTKGITYGELFLENEKEMSAYYLEHANVDNIQKHFDDFEEEARSLLSLWLPIPAGFVGVTERARYFGRMRSLARQCAQLWVKTRENLGYPLGTYQESNLIYPHVSEKPSRKGVVGQPRAFVLEIGTEELPPHDVIEATKQLEKSLIQILEKRRLSHGKVRSYGTPRRLAVVVENLNMKQMEEEIELRGPPVAKAFDQEGRPTKAAEGFCRKNNVPIDSLYRRTDGKTEYIYARVKESARFADEVLTEDLPTIISGISFPKSMRWNSNIVFSRPIRWIFALHGDLIVPFCFAGISSGNQSCGLRNSSLANFKVEAAELYLHTLEKAGILIDMQERKQRILHDSSILAEGVGGDIIAPDSLVQEVINLVEAPMPIIGRYDVSFLALPKDVLITVMQKHQKYFPVTSKTMGNLLPCFITVANGAIKEEVVRKGNEAVLRARYEDAKFFYKMDTQKKLSEFRDQLSSILFHERLGTMLDKMKRVENTVAEVALLLGINEKMIPAIKDAAALAMSDLATNIVTEFTSLAGIMARHYALRDGLSEQIAEALFEITLPRFSGDVFPKTDPGIVLAVTDRLDSLVGLFGAGCQPSSTNDPFGLRRISYGLVQILVENKKNFDLTKALTLVAEEQPITIDSGVIDEVVQFVTRRLEQLLVDEGINCEIVRSVLIERANCPYLASQTAIEMEAFSRTEDFPKIVEAYSRPTRIIRGKEIGSALEVDASVFEKDEERALWSAYLEVADKIHPGVDIKAFADASLELLQPLEDFFTNVFVMAEDEKVRNNRLALLTKVASLPKGIADLSVLPGF >ORUFI06G00200.1 pep chromosome:OR_W1943:6:283775:286446:-1 gene:ORUFI06G00200 transcript:ORUFI06G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRALPPHRRATATAMPPANLSISAPSAAAAPPPPLYLRRRPLIAAAALLFLLVIVVLAAAHPYGYAPWLANAAPAALLRRPVSTTFYSFDLLREYPHDPYAFTQGLLYGGNDTFFESTGLYHRSSVRRVDLKTGKVLVQHEMDGRLFGEGLTLLNDKLFQVVWMKNQGFIYDRHNFSKRESFTHKMSDGWGLATDGKVLFGSDGTSRLYQLDPKSIQVMKTVTVKYQDNEVPYLNELEYINGEVWANVWQTDCIARVSHEDGLVVGWIFLHELRQHLWNSGNTEIDVLNGIAWDEENQRLFVTGKLWPKIYEIKLRPVDGPQDGSVEKLCPKASFYR >ORUFI06G00210.1 pep chromosome:OR_W1943:6:297937:305469:1 gene:ORUFI06G00210 transcript:ORUFI06G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVEKPWELKEDVEIMTEEEEEDDSSNLLQARGRNKKHALINGDEQQQQQEEEEEEEVVEEHKSVFFDPTQGLWKCRHCDWTYLLSGPSRNVILNHQGYCQITTNLESLVQSESFYSSPSKVSEHVTEVSGKNEVTRVEQFVAKKEKAHETSSSKGKELETQENANSQETNENSNNSSLENRSPSNGSHEVCNSGETVTVANGKAGLKVITIIDKNQNGLANSNGSLHIANVSMNKTSVHEIEAEKDEDVIKGKVNIEEYDLEKILDEQETHDLFCPNCNSCITQRVILRKRKRTVRQTSPDEPPKKTQIAEPSANTSNQTVPERQGQESPDIFRCLSCFAFFIPTGCGFNIFRIFGRTEVNQEAQVQEAAASGQMSGSDNCASWLFSCFEPGDGPKKTDAGPEKEPLLPDKQDSNNGSASSVEGSTASVHSHGISVQQQESKRPLPAESSSQLQPSNTKKEDFGTVSFSGSSSVEAPSSSSASIINPGQTATGFLQTGETHVVIGQQDIVLQQNVPLPKPGDAAHLDKQKQETPPASHTFPTPGVKIPDANPAKFIPDVVRPMVDKPSRGIVIPPEAVESQTRPEHSSVQIGPDASMPLIDTPAPEQRDDWDILKAIVYGGLVESITSLSVVSAAASSGARTLDIFILGIANLIGGLPIIFHSMAELRSIGDVDEREEQGGHYWLQLGRRSKYRLHVAMAVLSYLLFGLLPPLIYGLSFRGGDVREKKMVAVAAASLGCIALLAMGKAHVARRRSYVKSLLYYLSIGVSASGLSYVAGLLAHFALITHQTPPASSSWASY >ORUFI06G00210.2 pep chromosome:OR_W1943:6:297937:305469:1 gene:ORUFI06G00210 transcript:ORUFI06G00210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVEKPWELKEDVEIMTEEEEEDDSSNLLQARGRNKKHALINGDEQQQQQEEEEEEEVVEEHKSVFFDPTQVSEHVTEVSGKNEVTRVEQFVAKKEKAHETSSSKGKELETQENANSQETNENSNNSSLENRSPSNGSHEVCNSGETVTVANGKAGLKVITIIDKNQNGLANSNGSLHIANVSMNKTSVHEIEAEKDEDVIKGKVNIEEYDLEKILDEQETHDLFCPNCNSCITQRVILRKRKRTVRQTSPDEPPKKTQIAEPSANTSNQTVPERQGQESPDIFRCLSCFAFFIPTGCGFNIFRIFGRTEVNQEAQVQEAAASGQMSGSDNCASWLFSCFEPGDGPKKTDAGPEKEPLLPDKQDSNNGSASSVEGSTASVHSHGISVQQQESKRPLPAESSSQLQPSNTKKEDFGTVSFSGSSSVEAPSSSSASIINPGQTATGFLQTGETHVVIGQQDIVLQQNVPLPKPGDAAHLDKQKQETPPASHTFPTPGVKIPDANPAKFIPDVVRPMVDKPSRGIVIPPEAVESQTRPEHSSVQIGPDASMPLIDTPAPEQRDDWDILKAIVYGGLVESITSLSVVSAAASSGARTSITYVGRFAVDIFILGIANLIGGLPIIFHSMAELRSIGDVDEREEQGGHYWLQLGRRSKYRLHVAMAVLSYLLFGLLPPLIYGLSFRGGDVREKKMVAVAAASLGCIALLAMGKAHVARRRSYVKSLLYYLSIGVSASGLSYVAGLLAHFALITHQTPPASSSWASY >ORUFI06G00210.3 pep chromosome:OR_W1943:6:297937:305469:1 gene:ORUFI06G00210 transcript:ORUFI06G00210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVEKPWELKEDVEIMTEEEEEDDSSNLLQARGRNKKHALINGDEQQQQQEEEEEEEVVEEHKSVFFDPTQVSEHVTEVSGKNEVTRVEQFVAKKEKAHETSSSKGKELETQENANSQETNENSNNSSLENRSPSNGSHEVCNSGETVTVANGKAGLKVITIIDKNQNGLANSNGSLHIANVSMNKTSVHEIEAEKDEDVIKGKVNIEEYDLEKILDEQETHDLFCPNCNSCITQRVILRKRKRTVRQTSPDEPPKKTQIAEPSANTSNQTVPERQGQESPDIFRCLSCFAFFIPTGCGFNIFRIFGRTEVNQEAQVQEAAASGQMSGSDNCASWLFSCFEPGDGPKKTDAGPEKEPLLPDKQDSNNGSASSVEGSTASVHSHGISVQQQESKRPLPAESSSQLQPSNTKKEDFGTVSFSGSSSVEAPSSSSASIINPGQTATGFLQTGETHVVIGQQDIVLQQNVPLPKPGDAAHLDKQKQETPPASHTFPTPGVKIPDANPAKFIPDVVRPMVDKPSRGIVIPPEAVESQTRPEHSSVQIGPDASMPLIDTPAPEQRDDWDILKAIVYGGLVESITSLSVVSAAASSGARTLDIFILGIANLIGGLPIIFHSMAELRSIGDVDEREEQGGHYWLQLGRRSKYRLHVAMAVLSYLLFGLLPPLIYGLSFRGGDVREKKMVAVAAASLGCIALLAMGKAHVARRRSYVKSLLYYLSIGVSASGLSYVAGLLAHFALITHQTPPASSSWASY >ORUFI06G00220.1 pep chromosome:OR_W1943:6:303652:306572:-1 gene:ORUFI06G00220 transcript:ORUFI06G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQSGSPKPRQPVFQRMRVTLTIGVIGLCVASYILGAWQGTSTTSIHPSIIYTKSQCGESILRTSSNSSGRSSSDARLDFQAHHQVSFNESSLVAEKFPPCQLKYSEYTPCQDPRRARKFPKTMMQYRERHCPRKEELFRCLIPAPPKYKNPFKWPQCRDFAWYDNIPHRELSIEKAVQNWIQVEGKRFRFPGGGTMFPHGADAYIDDINALISLTDGNIRTALDTGCGVASWGAYLIKRNIITMSFAPRDSHEAQVQFALERGVPAMIGVISTERIPYPARSFDMAHCSRCLIPWNKFDGIYLIEVDRVIRPGGYWILSGPPIHWKKYFKGWERTEEDLKQEQDEIEDLAKRLCWKKVVEKDDLAIWQKPINHIECVNSRKIYETPQICKSNDVDSAWYKKMETCISPLPDVNSEDEVAGGALEKWPKRAFAVPPRISRGSVSGLTTEKFQEDNKVWAERADYYKKLIPPLTKGRYRNVMDMNAGMGGFAAALMKYPLWVMNVVPSGSAHDTLGIIYERGFIGTYQDWCEAFSTYPRTYDFIHADKIFSFYQDRCDVTYILLEMDRILRPEGTVIFRDTVEVLVKIQSITEGMRWKSQIMDHESGPFNPEKILVAVKTYWTGQPTQKQ >ORUFI06G00230.1 pep chromosome:OR_W1943:6:308462:313590:-1 gene:ORUFI06G00230 transcript:ORUFI06G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLRAGAGAKPPLSFSVPPPPGDVRISSRPPTTATRCSSSSSPKLSSATVQFRSDSDPWNQPTVDDDGDFDLKGRKAIPGIHVPRQRYIAVSKPALLDALLSLFPSQPPTPSPTSAAAADFKRFARCLDALLHAEHKEMLEEMRTYYMLTHNHHQHTAAADDDDDDQSVLNGNANTTAGFFGITDNGTLLLTRSLGLRTLLGLSPDPDSHNRIAFATHFQRSFMNLLRNAQFEELSAQDLLLTYALNTDYLLTLPIYVDWKKAAESNAIIFRRGYATERQKGLLLVEKLDYLQSKLLQNIFFGFSKPLRKLGKWLNEALKRSTGNEGFQIWIEKLKVWLKEQTYAENSLSLIENSSWDKLRSDQLPDADLPIWIAAQRAVSRYEGILSPVGPRGRLLRRLLAWTGLIPSLPEATIKSDIDTKDLEGYVRPNFLPRITLANIWAPASRESCNNNLWEITKASFGVLFGKSTLQEPAFQELILLYTDEADQSKEREKSDMMPLQLKIFERIPIPDLPVVFPHKKLSFRILDTVRLDIATVIGLLAYVVNYKFESLASSPSAFLLDIVAFTALAILVFRVALGYKQTRDRYQLLVNKTLYEKTLASGFGSVYFLLDASEQQQYKEALLAYGMLLCRKKYQVSSRVSIRDTCEQFMYEKFKAKIEMPIDKAMETLLRLGLVIELPTDGGSRVIALPCSDAYEILKSRWDSLLEHKTEQVEKLKEEVPQP >ORUFI06G00240.1 pep chromosome:OR_W1943:6:313643:322601:1 gene:ORUFI06G00240 transcript:ORUFI06G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSKRITRPTMGFLPRRGIFMGRAGPWHVATTNERTAQTSSFTSQTEFMGSTAAAGGNLRTALSYCVQQVRNYDYHHYLCLLHLPPAMRKAAFAFRAFNIETAKAMDVVSDPKTGLMRLLWWKDVVDKVFANKLVEHPVAQVLSSVVSEHKISKHWLKRSVEARINDANRDDYAIPETISELERYAEDTQSTILYMTLQAGGIQSTIADHAASHIGKASGLLLLLKALPHHVSKQGRIPYIPASIAEECGLLTREGGRSEVRMGDELPDAVFKVASVADAHLQKARELASSVPAEAIPVLLPGVPAQVLLDSLRRREFNVFDSRLSRGVHGISPLWYQIKLIWHSVRKKY >ORUFI06G00240.2 pep chromosome:OR_W1943:6:313801:322727:1 gene:ORUFI06G00240 transcript:ORUFI06G00240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAAAGGNLRTALSYCVQQVRNYDYHHYLCLLHLPPAMRKAAFAFRAFNIETAKAMDVVSDPKTGLMRLLWWKDVVDKVFANKLVEHPVAQVLSSVVSEHKISKHWLKRSVEARINDANRDDYAIPETISELERYAEDTQSTILYMTLQAGGIQSTIADHAASHIGKASGLLLLLKALPHHVSKQGRIPYIPASIAEECGLLTREGGRSEVRMGDELPDAVFKVASVADAHLQKARELASSVPAEAIPVLLPGVPAQVLLDSLRRREFNVFDSRLSRGVHGISPLWYQIKLIWHSVRKKY >ORUFI06G00240.3 pep chromosome:OR_W1943:6:313643:316476:1 gene:ORUFI06G00240 transcript:ORUFI06G00240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSKRITRPTMGFLPRRGIFMGRAGPWHVATTNERTAQTSSFTSQTEFMGSTAAAGGNLRTALSYCVQQVRNYDYHHYLCLLHLPPAMRKAAFAFRAFNIETAKAMDVVSDPKTGLMRLLWWKDVVDKVFANKLVEHPVAQVLSSVVSEHKISKHWLKRSVEARINDANRDDYAIPETISELERYAEDTQSTILYMTLQAGGIQSTIADHAASHIGKASGLLLLLKALPHHVSKQGRIPYIPASIAEECGLLTREGGRSEVRMGDELPDAVFKVASVADAHLQKARELASSVPAEAIPVLLPGVPAQVLLDSLRRREFNVFDSRLSRGVHGISPLWYQIKLIWHSVRKKY >ORUFI06G00250.1 pep chromosome:OR_W1943:6:321130:322485:-1 gene:ORUFI06G00250 transcript:ORUFI06G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSMSSSSTQQQAQVPPGFRFHPTDEELVDYYLRKKVAARRIDLNVIKDVDLYKIEPWDLQERCRINGGSAAEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKPIYATKQHSLLVGMRKTLVYYRGRAPNGHKSDWIMHEYRLETTETAPPQEEGWVVCRVFKKRLPTTRRDSDHDAPCGSWYVDEDAPGAFMSPMMITRSSILRPHQHHAGITLQEQHLHTTYKHRDLTTKIQQLQVPAAGHHLLNTMPHDLESSTSSFHSLLVSPDHHQINMHHAQADPFFDDMHAVDQATTTDWRVLDKFVASQLSNDATNKPADHYTDEGDILQVSDKQQEVAAADYASTSTSSSQIDPWK >ORUFI06G00260.1 pep chromosome:OR_W1943:6:329132:333155:-1 gene:ORUFI06G00260 transcript:ORUFI06G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALLAFVAVAGALVAQATDPYVFFDWDVSFITASPLGLPQKVIAINKQFPGPVMNLTTNYNVVVNVLNSLDEPLLITWDGIQQRKNCWQDGVLGTTCPIPPGWNWTYNFQVKDQIGSFFYFPPLSLQRAAGGFGGITVNNRAVISVPFDTPDGDITLFIGDWYKKSHTDLRKMLDDGKELGMPDGVLMNGKGPYRYNDSLVPAGIEHETIKVEPGKTYRFRVHNVGISTSLNFRIQNHNLALVETEGSYTMKQNFTNLDIHVGQSYSFLITMDQNASSDYYIVASARFVNESLWTKVTGVAILQYSNSKGKASGPLPDPPNDEYDKTFSMNQARSIRMNVSTGAARPNPQGSFHYGSINVSQVYKLRNEPPVTINGKKRTTLSGISFSPPDTPMRLADLYDKKGVYTLDFPTMPIDGPPVMKTSVINSTYKNFLEIVFQNNDTKVQTYHIDGYAFWVVGMDYGEWTENSRGTYNKWDGVSRCTTQVFPGAWTAVMLSLDSPGFWNVRTENLDTWYLGQETYIRVVDPDGGYNVTEMVAPDNMLYCGLLKDKQKAQKPHGSSSSSSSAASPNRYWLAVVVSLVAAVFVQ >ORUFI06G00270.1 pep chromosome:OR_W1943:6:338039:340305:-1 gene:ORUFI06G00270 transcript:ORUFI06G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVPHQIRSDQISRSPSLLHTPTPAAAEQRAPPPPATMSSHHRYAHLLDDPFFPFPPPSSSSCPFLSPPAASSTCPFFALDSPFAADPFHLHPFLPTPPTSSLLDPFLLHTLTDRVSQLELALAARAPHPRPTSRKCTYVTESTGRKVKWTTEDKPRAGERVLKWEAELDSPYDDGFDRKWKWEAKAKTASAAATKLKWATHLKGKGCLEPWSHSYTWEEDFSATDDDDDEEIEDQLHHKALQDHSKLKTKAKDDKKKKKKDNNTVVVNKEQKKCPFSVKIEEIPPEEDNTAGCVAIRKAFALGNGKAKKKELSPQDAALLIQLNYRAHLAHRSQVLRCLRDLAVAKAKLKEIRSLFYNISYRHRMAHDHEERQRFTEKIIVLLLTVDALEGPDYMVRTAKKSMLDELEGMLEIVDPQPPGKQRSLTRRKFDLPEGGPITDEKMAGVNNAVKVIQKGKK >ORUFI06G00280.1 pep chromosome:OR_W1943:6:350687:351055:1 gene:ORUFI06G00280 transcript:ORUFI06G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVKMAGVACVLVVGVLWLSAGQSAACDGHPCPTPAGKCPINTVKLGVCADVLDGLIHASTPPKEPCCPLIAGLADLDAAVCVCLAINANLLGLNLDVPVDLSLLLNYCGCKLPAGFKCA >ORUFI06G00290.1 pep chromosome:OR_W1943:6:353705:354787:-1 gene:ORUFI06G00290 transcript:ORUFI06G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASSLSELGFDADGPSFFRHLTLTDGDDGTLPRRRLIKISVIGAGNVGMAIAQTILTQDLADEIVLIDAVADKVRGEMLDLQHAAAFLPRVNIVSGTEVSLTRSSDLVIVTAGARQIPGETRLNLLQRNVSLFRKIVPAAAEASPESVLVIVSNPVDVLTYVAWKLSGFPASRVIGSGTNLDSSRFRFLLAEHLEVSAQDVQAYMVGEHGDSSVALWSSISVGGMPVLAHLQKNHRSAATAKKFDEAALEGIRRAVVGSAYEVIKLKGYTSWAIGYSVASIAWSLLRDQRRIHPVSVLAKGLVRGVPADRELFLSLPARLGRAGVLGVAAELVLTDEEERRLRISAETLWGYCHALGL >ORUFI06G00300.1 pep chromosome:OR_W1943:6:366102:368015:1 gene:ORUFI06G00300 transcript:ORUFI06G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVLLLMLMLMHLQVGLGLGNGGLWQEFLRLPTENGGTKWALLIAGSKGYENYRHQADVCHAYQIMKKGGLKDQNIVVMMYDDIAYNPENPHKGDYNGNDVNKNNFLAVLLGKKSALTGAGSGKVISSGPNDHIFVYYSDHGSPGYVCMPSGGNLHANDLSQALKNKNAAGAYKNLVVYVEACESGSMFEGQLLPSNIGVYAMTASNATENSWATYCDTPEYNTCLGDLFSVAWMEDADARRPGDPETLGQLYDIVAKRTNLSHVSRYGDLSLSSQPVSLYYLPPGPGTSTASAVIDDEGRVGGVNQRDAGLVYLWRKYYEEKSVEAWERLLREMERRSRVDSSVDLIGDILLGDSSKKKLLHIRRPAGQPLVDDWDCLKSMVRTFEAHCGPLGQYGMKHTRAFANMCNAALDHNHMAKAASKACMHPPVITY >ORUFI06G00310.1 pep chromosome:OR_W1943:6:371749:373191:1 gene:ORUFI06G00310 transcript:ORUFI06G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSCHPHNPTTLPLPEPDSSKSPEPTSVLYNRSSPSTSLGSCSSKPPEDPPPPIAADDDCDWDAVVDMHMHMLAPAPAPDSSFLRWIMDTGYADADTFPDHPSFDSDLLQLPMPMPSDHPPQPLVDDLLDAARLLDAGDSTSAREILARLNHRLPSLPSPPGHAHPPLLRAAALLRDALLPPTALPVSSTPLDVPLKLAAHKALADASPTVQFTTFTSTQAFLDALGSARRLHLLDFDVGFGAHWPPLMQELAHHWRRAAGPPPNLKVTALVSPGSSHPLELHLTNESLTRFAAELGIPFEFTALVFDPLSSASPPLGLSAAPDEAVAVHLTAGSGAFSPAPAHLRVVKELRPAVVVCVDHGCERGALNLLQSCAALLESLDAAGASPDVVSKVEQFVLRPRVERLAVGGGDKLPPPLQSMLASAGFAALQVSNAAEAQAECLLRRTASHGFHVEKRQAALALWWQRSELVSVSAWRC >ORUFI06G00320.1 pep chromosome:OR_W1943:6:376931:381327:-1 gene:ORUFI06G00320 transcript:ORUFI06G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRHSPKLRRAHAILGCERGTVVRHFSSSTCSSLVKEDTVSSSNLHPEYAKKIGGSDFSHDRQSGKELQNFKVSPQEASRASNFMRASKYGMPITANGVVPSRCFSSGADLPPHQEIGMPSLSPTMTEGNIARWVKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAVTVEEEEDIGKFKDYKAPSSAESAAPAESKPQSEPTEPKKEKEQPKAPEPKATKTEESFLSEDRTFSSPIARKLAEDNNVPLSSIKGTGPDGRILKADIEDYLASVAKGAKKETAAAPGLGYVDLPNTQIRKVTANRLLHSKQTIPHYYLTVDTRVDKLIKLRSELNPLQDTSGGKKISINDLVIKAAALALRNVPECNSSWMNDFIRQYHNVNINVAVQTEDGLFVPVIRDADKKGLATIADEVKQLAQRARDNSLKPEDYEGGTFTVSNLGGPFGIKQFCAIVNPPQSAILAIGSAEKRVIPGAEGQFEVGSFMSATLSCDHRVIDGAIGAEWMKAFKGYIENPTTMLL >ORUFI06G00330.1 pep chromosome:OR_W1943:6:382126:389235:1 gene:ORUFI06G00330 transcript:ORUFI06G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCCCLLGLGFPSPPSALRILRRRMASRAFQLRLNPLTGDSEWLVVEEEEEEDHHPTPPPKQLLATTSYLDMLNDSARNRAYRRAIEAAVTDPSSRVLDIGAGTGLLSMMAARALAAVGGETRGGSVSACESYLPMGKLMRRVLRANGMENRVKVFHKRSDELKVRDDLDSPADILVSEILDSELLGEGLIPTLQQAYDMLLAKNPKIVPYRATTYGQLVESTFLWKLHDLHNNEANAADGVWLTPGEMERIVSVKPQQHAMQCDALEDEIRLNPSKFLNLTFGNGQIVIVRPISRYEQHEMDMFMLLFHGGYFNWILLGQSSTQLLLDGRDNQVVRGRNADWCDHWKQCVWFMQGKGIPATEDQVLSLRARHNQTSISYQLNINDEACDRSSKGDHLTLLPERIALYGDKDWRSALINTIKNALTVKSSPTCVVADDSMFLALLISSMSPTSKVIAMYPGLRDKGAAYLRSVADANNFSIDQIQVIGKRASSITADDLKHKKVNLLVGEPFYLGSEGMLPWQNLRFWSVRTLLDSMLSEDAFIMPCKGILKLCAMSLPDLWRSRSSLKDVEGFDHSVVNETLGACGCLPGDQQGPCLPYYVWQCGYTKKLSKVYSLMDFNFSEPIHSCFGKTKIEFSHDGTCHGFAVWIDWVLDERKSVVLTTGPDNRYWKQGVQLFSKPVEVNPGKSVMHVEASFDPSTGEITFSSSSTTCS >ORUFI06G00330.2 pep chromosome:OR_W1943:6:382126:389235:1 gene:ORUFI06G00330 transcript:ORUFI06G00330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCCCLLGLGFPSPPSALRILRRRMASRAFQLRLNPLTGDSEWLVVEEEEEEDHHPTPPPKQLLATTSYLDMLNDSARNRAYRRAIEAAVTDPSSRVLDIGAGTGLLSMMAARALAAVGGETRGGSVSACESYLPMGKLMRRVLRANGMENRVKVFHKRSDELKVRDDLDSPADILVSEILDSELLGEGLIPTLQQAYDMLLAKNPKIVPYRATTYGQLVESTFLWKLHDLHNNEANAADGVWLTPGEMERIVSVKPQQHAMQCDALEDEIRLIVIVRPISRYEQHEMDMFMLLFHGGYFNWILLGQSSTQLLLDGRDNQVVRGRNADWCDHWKQCVWFMQGKGIPATEDQVLSLRARHNQTSISYQLNINDEACDRSSKGDHLTLLPERIALYGDKDWRSALINTIKNALTVKSSPTCVVADDSMFLALLISSMSPTSKVIAMYPGLRDKGAAYLRSVADANNFSIDQIQVIGKRASSITADDLKHKKVNLLVGEPFYLGSEGMLPWQNLRFWSVRTLLDSMLSEDAFIMPCKGILKLCAMSLPDLWRSRSSLKDVEGFDHSVVNETLGACGCLPGDQQGPCLPYYVWQCGYTKKLSKVYSLMDFNFSEPIHSCFGKTKIEFSHDGTCHGFAVWIDWVLDERKSVVLTTGPDNRYWKQGVQLFSKPVEVNPGKSVMHVEASFDPSTGEITFSSSSTTCS >ORUFI06G00330.3 pep chromosome:OR_W1943:6:382126:389235:1 gene:ORUFI06G00330 transcript:ORUFI06G00330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCCCLLGLGFPSPPSALRILRRRMASRAFQLRLNPLTGDSEWLVVEEEEEEDHHPTPPPKQLLATTSYLDMLNDSARNRAYRRAIEAAVTDPSSRVLDIGAGTGLLSMMAARALAAVGGETRGGSVSACESYLPMGKLMRRVLRANGMENRVKVFHKRSDELKVRDDLDSPADILVSEILDSELLGEGLIPTLQQAYDMLLAKNPKIVPYRATTYGQLVESTFLWKLHDLHNNEANAADGVWLTPGEMERIVSVKPQQHAMQCGYFNWILLGQSSTQLLLDGRDNQVVRGRNADWCDHWKQCVWFMQGKGIPATEDQVLSLRARHNQTSISYQLNINDEACDRSSKGDHLTLLPERIALYGDKDWRSALINTIKNALTVKSSPTCVVADDSMFLALLISSMSPTSKVIAMYPGLRDKGAAYLRSVADANNFSIDQIQVIGKRASSITADDLKHKKVNLLVGEPFYLGSEGMLPWQNLRFWSVRTLLDSMLSEDAFIMPCKGILKLCAMSLPDLWRSRSSLKDVEGFDHSVVNETLGACGCLPGDQQGPCLPYYVWQCGYTKKLSKVYSLMDFNFSEPIHSCFGKTKIEFSHDGTCHGFAVWIDWVLDERKSVVLTTGPDNRYWKQGVQLFSKPVEVNPGKSVMHVEASFDPSTGEITFSSSSTTCS >ORUFI06G00340.1 pep chromosome:OR_W1943:6:389303:400332:-1 gene:ORUFI06G00340 transcript:ORUFI06G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASDSDDADADFFDKLVDDDDADTNRRLASDLTPPPSRPEPDQAAPPPPPQPHTAPPPPPPNAEPEAPPPPQLEVKAPAATLPPNPEAASPGSAKDVHTAVKQVQWSAFATNSGVGGDDPFGEFMGDDAFFGDSTTAAAVDSTSTDPKYFESLYPGWKYDEATQQWYQVDSSYTAQGNADNLGALPVVGGDNVHQQQQQQQQQFTVSYLHDSSQAGLETIAEEGSTMATSWTPNESNTGAVEYPSNMVFYAEYPGWYFDTNTQQWLSLESYQQGGVQAETTAAASAGYAGTGHNVAQTSDSYTGDYSHQGQQQHGSLGDNSLSDSFYGSNQHTENQTAQQANVESLESSKYYHADINTYAHSTSQYTSSEDHQASYKGFGSSTSHQSVYKGFEPSVGHQSTFTSHHSGYNGSESSTVQQAAHQGFKPSTGNQNYKGFEPYSGHQLGYKGYDYSTGQTGHQEFGPSTDSQANHVAYQQLPSHYSSFNGAAKPQDSVPTANMPQMQTRADSDGCMNLPNNYLSTGSSVNFAQQQFISSNALPQQFGYSSHEQRSSAGRPPHALVTFGFGGKLVVVRETISMSTNFDSGNQGNPCGTVSILNVSEIVSDRVDHPSIPSGSALSYFHALCRQPIPGPLVGGSAAAKDVNKWLDEITGGYDSSIREFQGGDDQKLLISLLKILCQHYGKLRSPFGSDPSQEGIDGPEMAVTKLFSSCKSSGAHKGEYGAIVHCMKNIPSENQIQATAKEVQNLLVSGRRKEALQYAQEGQLWGPALILALQLGDKFYVDTVKKMAYHHFVSGSPLRTLCLLIAGQPADVFNAENPVDGNYGNLHIPQRPVEAVNSKSMLDDWQENLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLAAELNIESYSESSRMCLIGADHLRCPRTFTSPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLVEVGKVSDSLRYCQACLKVLKASGRAPELEAWKQLFSSLEERIRTYQQGGYGTNLAPAKLVGKLFTSLDKSLSRMMEQSMSEMSGNTGPDRKVTHNRSVSEPDFGRTPNQGAGLDNAQSTSGSGSSRFGWLLQKTMGLVSRSHHQAKLGEQNKFYYDEKLKRWVEEGADIPAEEPPLPPPPTKALFQNGIPDQSSNGPGSVSYTANGFSEARPLNPSGPSSGMPPMPPSQNQFSARGRMGVRSRYVDTFNKGGASATGPSYNKPATPSMNPLSGATFFVPTPATVASEQIPDPTVNVHQDQPSSTIALRESSASPPPSVQSIPVQSNIQRYPSMDNIMTPSGSGNGSSFSRSRAASWSGAYSEQLSGNAVSRSPDGQRTMMQSPLIPGQKQSHSRSSSNSSLQFNNGLGEDLHEVN >ORUFI06G00340.2 pep chromosome:OR_W1943:6:389303:400332:-1 gene:ORUFI06G00340 transcript:ORUFI06G00340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASDSDDADADFFDKLVDDDDADTNRRLASDLTPPPSRPEPDQAAPPPPPQPHTAPPPPPPNAEPEAPPPPQLEVKAPAATLPPNPEAASPGSAKDVHTAVKQVQWSAFATNSGVGGDDPFGEFMGDDAFFGGNNTHTMTGDQPIQASLVPPTTSTIGSMDHQFSNQVDNIADSQPGWPATAAEFMDHNTSVQSDSTTAAAVDSTSTDPKYFESLYPGWKYDEATQQWYQVDSSYTAQGNADNLGALPVVGGDNVHQQQQQQQQQFTVSYLHDSSQAGLETIAEEGSTMATSWTPNESNTGAVEYPSNMVFYAEYPGWYFDTNTQQWLSLESYQQGGVQAETTAAASAGYAGTGHNVAQTSDSYTGDYSHQGQQQHGSLGDNSLSDSFYGSNQHTENQTAQQANVESLESSKYYHADINTYAHSTSQYTSSEDHQASYKGFGSSTSHQSVYKGFEPSVGHQSTFTSHHSGYNGSESSTVQQAAHQGFKPSTGNQNYKGFEPYSGHQLGYKGYDYSTGQTGHQEFGPSTDSQANHVAYQQLPSHYSSFNGAAKPQDSVPTANMPQMQTRADSDGCMNLPNNYLSTGSSVNFAQQQFISSNALPQQFGYSSHEQRSSAGRPPHALVTFGFGGKLVVVRETISMSTNFDSGNQGNPCGTVSILNVSEIVSDRVDHPSIPSGSALSYFHALCRQPIPGPLVGGSAAAKDVNKWLDEITGGYDSSIREFQGGDDQKLLISLLKILCQHYGKLRSPFGSDPSQEGIDGPEMAVTKLFSSCKSSGAHKGEYGAIVHCMKNIPSENQIQATAKEVQNLLVSGRRKEALQYAQEGQLWGPALILALQLGDKFYVDTVKKMAYHHFVSGSPLRTLCLLIAGQPADVFNAENPVDGNYGNLHIPQRPVEAVNSKSMLDDWQENLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLAAELNIESYSESSRMCLIGADHLRCPRTFTSPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLVEVGKVSDSLRYCQACLKVLKASGRAPELEAWKQLFSSLEERIRTYQQGGYGTNLAPAKLVGKLFTSLDKSLSRMMGTQPSALSPVPQGSLTERDSYSAPAATNFVNNQPVMAMSSLMSSVSEQSMSEMSGNTGPDRKVTHNRSVSEPDFGRTPNQGAGLDNAQSTSGSGSSRFGWLLQKTMGLVSRSHHQAKLGEQNKFYYDEKLKRWVEEGADIPAEEPPLPPPPTKALFQNGIPDQSSNGPGSVSYTANGFSEARPLNPSGPSSGMPPMPPSQNQFSARGRMGVRSRYVDTFNKGGASATGPSYNKPATPSMNPLSGATFFVPTPATVASEQIPDPTVNVHQDQPSSTIALRESSASPPPSVQSIPVQSNIQRYPSMDNIMTPSGSGNGSSFSRSRAASWSGAYSEQLSGNAVSRSPDGQRTMMQSPLIPGQKQSHSRSSSNSSLQFNNGLGEDLHEVN >ORUFI06G00340.3 pep chromosome:OR_W1943:6:389303:400332:-1 gene:ORUFI06G00340 transcript:ORUFI06G00340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASASDSDDADADFFDKLVDDDDADTNRRLASDLTPPPSRPEPDQAAPPPPPQPHTAPPPPPPNAEPEAPPPPQLEVKAPAATLPPNPEAASPGSAKDVHTAVKQVQWSAFATNSGVGGDDPFGEFMGDDAFFGGNNTHTMTGDQPIQASLVPPTTSTIGSMDHQFSNQVDNIADSQPGWPATAAEFMDHNTSVQSDSTTAAAVDSTSTDPKYFESLYPGWKYDEATQQWYQVDSSYTAQGNADNLGALPVVGGDNVHQQQQQQQQQFTVSYLHDSSQAGLETIAEEGSTMATSWTPNESNTGAVEYPSNMVFYAEYPGWYFDTNTQQWLSLESYQQGGVQAETTAAASAGYAGTGHNVAQTSDSYTGDYSHQGQQQHGSLGDNSLSDSFYGSNQHTENQTAQQANVESLESSKYYHADINTYAHSTSQYTSSEDHQASYKGFGSSTSHQSVYKGFEPSVGHQSTFTSHHSGYNGSESSTVQQAAHQGFKPSTGNQNYKGFEPYSGHQLGYKGYDYSTGQTGHQEFGPSTDSQANHVAYQQLPSHYSSFNGAAKPQDSVPTANMPQMQTRADSDGCMNLPNNYLSTGSSVNFAQQQFISSNALPQQFGYSSHEQRSSAGRPPHALVTFGFGGKLVVVRETISMSTNFDSGNQGNPCGTVSILNVSEIVSDRVDHPSIPSGSALSYFHALCRQPIPGPLVGGSAAAKDVNKWLDEITGGYDSSIREFQGGDDQKLLISLLKILCQHYGKLRSPFGSDPSQEGIDGPEMAVTKLFSSCKSSGAHKGEYGAIVHCMKNIPSENQIQFYVDTVKKMAYHHFVSGSPLRTLCLLIAGQPADVFNAENPVDGNYGNLHIPQRPVEAVNSKSMLDDWQENLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLAAELNIESYSESSRMCLIGADHLRCPRTFTSPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLVEVGKVSDSLRYCQACLKVLKASGRAPELEAWKQLFSSLEERIRTYQQGGYGTNLAPAKLVGKLFTSLDKSLSRMMGTQPSALSPVPQGSLTERDSYSAPAATNFVNNQPVMAMSSLMSSVSEQSMSEMSGNTGPDRKVTHNRSVSEPDFGRTPNQGAGLDNAQSTSGSGSSRFGWLLQKTMGLVSRSHHQAKLGEQNKFYYDEKLKRWVEEGADIPAEEPPLPPPPTKALFQNGIPDQSSNGPGSVSYTANGFSEARPLNPSGPSSGMPPMPPSQNQFSARGRMGVRSRYVDTFNKGGASATGPSYNKPATPSMNPLSGATFFVPTPATVASEQIPDPTVNVHQDQPSSTIALRESSASPPPSVQSIPVQSNIQRYPSMDNIMTPSGSGNGSSFSRSRAASWSGAYSEQLSGNAVSRSPDGQRTMMQSPLIPGQKQSHSRSSSNSSLQFNNGLGEDLHEVN >ORUFI06G00350.1 pep chromosome:OR_W1943:6:401247:403759:-1 gene:ORUFI06G00350 transcript:ORUFI06G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGASGGGWSSKVEEGVIVGGLLVVQCILAGYVVFVDHVLSLGANPLSLIVLGAVASSLFFLPFAVVLERKKWPSKISRTLMAQFVFIALGGTTVFQELMLLGIKKTTPAIASAMPNLSPGLIFIIAACFRLEKFDKGCKYTRAKILGTLVCLVGAMAMSFLQSPVSSSPQLTTTSYYDWILGCFYLFLAVVVLSIYTVLQAATLVSFPAPLTMCSVTSMMGAVFTAILQFIVDGKIDMGSPRIDETIISTIVLMGGGVVGGCVVFQTWCIGKRGPLLVSIFGPVQTVCSALLSALLFSQLLCLGSLAGMVLMFCGLYIVLWAKSKEGHSIIHLEGGDVEKALLS >ORUFI06G00360.1 pep chromosome:OR_W1943:6:405658:408132:-1 gene:ORUFI06G00360 transcript:ORUFI06G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISPVARNFNANDVFSSWDSALAQSFSPRHTHESQSGTCPTSEAIEQEIMLPTLRAMPDFAQVYNFLGSIFDPETSGHLQRLREMDPIDVETVLLLMKNLSINLTNPNFEAHRKVLASHGSGMDQVKHENLGDLGSTHTLHLPFM >ORUFI06G00370.1 pep chromosome:OR_W1943:6:408156:409794:-1 gene:ORUFI06G00370 transcript:ORUFI06G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPQQLLDSSSPGPGPGPEVEDDGGRRVRKPYTITKSRESWTDPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQPLKLSYHNKLLI >ORUFI06G00380.1 pep chromosome:OR_W1943:6:410158:416938:1 gene:ORUFI06G00380 transcript:ORUFI06G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPEPEPPKRNMRISYSRDFMISVGETDRCKKLPQGFDASLLSDLQEMSAGVLDRNKGYYTTPLGRSDGSGPYSYSSHGGSSGGRWETRSSGSSDRDGDLPDRDSSMQDRRNGNQYRRNWQNQEHDGLLGSGVLPRPPGYGGQVASKDRGNTYQPNRTSERYQPPRPKAAPFPRKDIDAMNDETFGSSEFSNEDRAEEERKRRASFEMMRKEQHKALQEKKNGPEIEKENSGHDIISLLQTPSERTATTAKSEKPDGSAISSAYQEDTTKTSSVLSASTARPLVPPGFSNAFVEKKLQPQSSNISLEPKVIDATSEGNILATAQFGGLVEGNQSASEITASKNKEKGIPDNIASVGKQHTLPSGGVTYSAEFASSILKGSGDWEGDAMDKYSIENEGKSKNIGSVRKDHSISILEQFFGSALSKGGTDLPPYVENQQMKNDDDVIVSSLPESSKFAHWFHDEDSKPAEDLSSNGLLSMIVKNEKPGQESIAHGPPLSDGAVQNLLPISPTHKLDVASTHPLFTPAAPAVGMLEQHNHADIDPAPIMMTCEDLEQAMLAQVATSSNSNQKNVVQEHQLVVDEPIATQKVAVDNHASQHLLSLLTKSTDNKGSSSFGLHIGSSDRSHNSDVTSNGGVSGIAPVNKAETAPTSEKNLTLEALFGAAFMNELQSKDAPVSIRGSATSGPNYEFAETGKTSIASSHEGYYPGEQVLPFGTIKDGVAPKESGTGNRNLALSGPSQGSASLDKKSLEIQLPEEDNLFTVNDSLDGQKPDIFPSVRSSRVEGLLPEKAVDDLNYRLQSLVPGDSEHVQVLGPDALGSHSHERRYQAESQNLYHLLQGRPPALAPRPMMDHIGNRNQQTPFDMTQAIQHDPHRSFSSNMNPMQQSLHAPRAPHVDPAAHHLMMQHISTPGNFPPEGLQRGVPPSQPVHHMPGYRPEMSNVNNFHMHPRQPNYGEFGLMMAGPSGPELRGNHPDAFERFLQMELTARSKQMHPAMAGHVPGGMYGPELDMNLRYR >ORUFI06G00390.1 pep chromosome:OR_W1943:6:417075:419527:1 gene:ORUFI06G00390 transcript:ORUFI06G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAPRLLLPSRCPPPASSPARHGGRTAPELSGPTPRVVVVTSGKGGVGKTTTTANLAASLARLSLSAVAVDADAGLRNLDLLLGLENRVHLTAADVLAGDCRLDQALVRHRALHDLQLLCLSKPRSKLPLAFGSKTLTWVADALRRAANPPAFILIDCPAGVDAGFVTAIAPAEEAVLVTTPDITALRDADRVAGLLECDGIKDIKIIVNRVRPDLVKGEDMMSALDVQEMLGLPLLGVVPEDAEVIRSTNRGVPLVLNDPPTPAGLALEQATWRLVERDAMTAVMVEEQERPKKKAGFFSFFGG >ORUFI06G00400.1 pep chromosome:OR_W1943:6:420111:422378:-1 gene:ORUFI06G00400 transcript:ORUFI06G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDLAAHTTLKPRKEGQHTQEELQKRNLRDELEERERKHYSSKDKSYAEERDRRKSTSLLLEGSRREAEDKIVPREIDADDSDVEPRSDDESDEDDDDDDDTEALMAELERIKKERAEENLRKERQQAEEEAKMKEAELMRGNPLININNAGSFNVKRRWDDDVVFKNQARGETKTPKRFINDTIRSDFHRKFLQRYMK >ORUFI06G00410.1 pep chromosome:OR_W1943:6:422915:425377:-1 gene:ORUFI06G00410 transcript:ORUFI06G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELESDSSHSHIKLILVLLLSAVEFGWVQNASGRAEGTIVAVGGGGGEIGIGRQLLEHGACVDEEAVKDIGGEAELRENQATLLLPVAVPRASASHEVDVEVDFASESGAAGVDGRRQGDELAVLHGIELQLILCSAAEEGVVKGEDVHDVLHAPLFLRHWHRPTTNCSQN >ORUFI06G00420.1 pep chromosome:OR_W1943:6:425810:426048:-1 gene:ORUFI06G00420 transcript:ORUFI06G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQTSAQQWAAERVGNEVLEPRGWMRVVGGRERKEVGPGCLAGAVDAFRPIGKGRACGGAVGQ >ORUFI06G00430.1 pep chromosome:OR_W1943:6:427100:427307:1 gene:ORUFI06G00430 transcript:ORUFI06G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSPFPCEKQRHTARRKHGLVVVIVGKKPYLTYVALMSEGEGELGVQIGHKVFGRRPEL >ORUFI06G00450.1 pep chromosome:OR_W1943:6:432339:436886:1 gene:ORUFI06G00450 transcript:ORUFI06G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGEEKKERKRGSKSQMEEGESINVRNQRVVLEERRNQRQLLNRKLRSIQRKHNIWRTQRKNKRKARSRETRRLQFKDSSSKEQDITTSKLVHVQKPNEFISMKTSKGFKGNKEKEKNNSMDMQKVESGVIQKEKQSKSSMEIEEKESGVIQKEKQSKSSMEIEEKESGAIWKETQSKSGKEKGEGRNIDLMEGNDNFIVFGTTASI >ORUFI06G00460.1 pep chromosome:OR_W1943:6:436898:442571:-1 gene:ORUFI06G00460 transcript:ORUFI06G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPKGLCVHVSKEHLRLDKMVRQKRSKLEDCSQSLSESDDIHSESLPNNDDNYQCDSSDNSDSNHMDVPSQDHLNVISTNDLNDMDVSQPHVNEISTNELLKTLKRKLSKSKRSEDDNIKMKRSESIELDNDVMINQVLRDIIQMDQIINNLKKKLNIHHNIGDQNLKDKNVDTDLKSDAFSRFSVKYFSKVLDSLSPHHKTVIENSCFRSMLLFDKCFVPNSFALWIAKQVDVNCSDIVLGQKLIPLNKQSVHVVLGLHVGGSTIHSKFDSGKQKILHIFGKTSIPSVKFFGEKLIKNEELPDDQILICFMIVSLNCFLCPNSSLILSTKYLSAFEDMDLIESLDWCKLVFDWLMDHISKLEKLKTFGGCLFHLTVNYLDFLNFGSQKVLLDTPRIKVWKRSMIKVYSKFDKISERVYGKTPVNDIASTCYQMVDNSSSSFADMLKSSVGDLLPSDVQDKIYHLLCNHFGKEDEIFEDKAKKLLIDGNSKTVLDDDKLPIPNEEFNPVNKIKYINIDEIMTKLNKTGHVPINPPDETEKNSALTARNNQLFQPSFKIWDSDDDLHLIPDSYSPNPVLRNKITPRKLSQTFAAAVESPIICSDSPDKMYMVTLENSTSPTASLNENKENEKGCHMIKDSPDVVFIGEKKFSKKCADIGNKTNMIVT >ORUFI06G00470.1 pep chromosome:OR_W1943:6:445596:447699:-1 gene:ORUFI06G00470 transcript:ORUFI06G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTQPVPNASPLHVLRSVCVLLLAASATVAARRHGPAAPIAGQSMYLAPSCRAHTASLTDFGGVGDGTTSNTAAFKSAVDHLSQYSGEGGGGAMLYVPAGKWLTGPFNLTSHFTLFLHSDAVILGSQDMGEWPIIDPLPSYGRGRDKAGGRYASLIGGSNLTDVVITGANGTIDGQGAMWWSKFHSNKLKYTRGYLIEVMHSDTVVISNVTLVNSPAWNIHPVYSSNIVVQGVTILAPTHSPNTDGINPDSCSHVRIEDCYIVSGDDCVAIKSGWDEYGIAYGMPSQHIVVRRLTCVSPTSAVIALGSEMSGGISDVRAEDITAVNSESAVRIKTAVGRGAYVRDVFVRGMSLDTMKWVFWMTGNYKSHPDDGYDPNAIPVVDNISYQDVVATGVYKEAARLEGIQGAPFRGICIANVTATLSKSRKYPWTCTDIEGVSTGVTPAPCQPLQGAHDGACPFPTDTLPIDQLVMQQCAYSVPASI >ORUFI06G00480.1 pep chromosome:OR_W1943:6:451523:453977:-1 gene:ORUFI06G00480 transcript:ORUFI06G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNLRICNHWEDFVGFGFAPDSQGVDAYPSGKPTSVSHAYVPQLRWTNWGMSQTFSSWEQLTDCEAPLYQEALAQSDIHPGPIAIVSPLQKRREDPFTIQGEAVAAASSATESESGQWNQQ >ORUFI06G00490.1 pep chromosome:OR_W1943:6:457229:460137:1 gene:ORUFI06G00490 transcript:ORUFI06G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGEEEEGRQVQEVVAHVYDVASSGSSEGGGGGTAILHVNRFFKDAIGLGGIFHTAIQVYGDEEWSFGYCENGTGVFSCPPCKNPMYTYRESIVLGKTTCSIFTVNQILRELSWKWPGGSYELLSRNCNHFCNTFCEKLDVPKLPAWVNRFANAGDAALEVAENTAEKLKQAKKDIAGACKAATTYLTGASSSSPSNADDSGGSTNSSLFEGTWLRSIIGISMKPSRSLMCSDSSDSSDDEKSEDERESDCQQPSGDQIEEKKDATQEQAGK >ORUFI06G00500.1 pep chromosome:OR_W1943:6:460714:464780:1 gene:ORUFI06G00500 transcript:ORUFI06G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVCCNIKKKKKKKKKKKRRRRASHLAQTKQRDSTGRGGKGKRGELKKFGMAPPPPAALLLPSNHSYRPLLPRPIPHHATAFACASPSPSPPPRLRLRLRHAGPLRAAALPAIAIAPGDHWGNWAFLLSAAAFGTWSEESTSWGAALSGSLVSIMAGLAATATGLVTAGAPAQDAVMDYLLPATVPLLLLGADLRRVVSTTGDLLKAFLIGSVATTIGTTIAFLLVPMKSLGQDSWKIAAALMGSYIGGAVNYVAISEALGVSPSVLAAGVAADNIISALYFMTVFSLAAKIPAEPKTAQEGEAGSNGGESEGGRRMSVLHGGAAVALSFVICKAGSAISSQLGIQGGTLPCVTALVVALATAFPRLLGKLAPSGETIALILMQVFFTVVGANGNLVDAVTKAPSVFAFALVQVTIHLAIVLAAGKLMGFERKPLLIASNANVGGPTTAAAMATAKGWSSLIVPGILVGMFGISIATFVGIGFGMFVLRRICGA >ORUFI06G00500.2 pep chromosome:OR_W1943:6:460714:464780:1 gene:ORUFI06G00500 transcript:ORUFI06G00500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLGQDSWKIAAALMGSYIGGAVNYVAISEALGVSPSVLAAGVAADNIISALYFMTVFSLAAKIPAEPKTAQEGEAGSNGGESEGGRRMSVLHGGAAVALSFVICKAGSAISSQLGIQGGTLPCVTALVVALATAFPRLLGKLAPSGETIALILMQVFFTVVGANGNLVDAVTKAPSVFAFALVQVTIHLAIVLAAGKLMGFERKPLLIASNANVGGPTTAAAMATAKGWSSLIVPGILVGMFGISIATFVGIGFGMFVLRRICGA >ORUFI06G00500.3 pep chromosome:OR_W1943:6:460714:463107:1 gene:ORUFI06G00500 transcript:ORUFI06G00500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVCCNIKKKKKKKKKKKRRRRASHLAQTKQRDSTGRGGKGKRGELKKFGMAPPPPAALLLPSNHSYRPLLPRPIPHHATAFACASPSPSPPPRLRLRLRHAGPLRAAALPAIAIAPGDHWGNWAFLLSAAAFGTWSEESTSWGAALSGSLVSIMAGLAATATGLVTAGAPAQDAVMDYLLPATVPLLLLGADLRRVSQLQLAQR >ORUFI06G00510.1 pep chromosome:OR_W1943:6:469885:472954:1 gene:ORUFI06G00510 transcript:ORUFI06G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWLGGGLPVIAMLALNVVAAVLVSLVKVAMDGGLNPLVLVTLQQLTAAIFLGPIAYFKERKSRPKLTLEIFTYLFVSAALGAALRQYMIFVALRYTTATFVTAFSNIAPVLTFLLAILTRSESLNLRRKTGIAKLVGTLISVAGAMVLTFYKGVAVTHTTKIHHATAAAAAAAEAAMSSRNWTLGTVAILGNCVCLSCWFLLHSRLAKKYPHVYSCNAFMCMFSFLQVAVVGLSTQRNVSVWIVRTKFHILTILYAGVVGCGLSFVLLTWCIEKRGPVFVAAFIPVVQIIVSVIDFTVLHEQLFLGRYGYSLLQHIYAPIVLGSVLVIGGLYLLLWGKRQEALHLPPKVAEHDKEQQQQQQQQQQQQQQQQVQL >ORUFI06G00520.1 pep chromosome:OR_W1943:6:472503:474592:-1 gene:ORUFI06G00520 transcript:ORUFI06G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTAAAVSTSAAAAVTKASPSPAHCFLPCPPRTRAAHQRGLLLRAQVSTTDAAAVAAAPAKKEKISKKHDEGVVTNKYRPKEPYVGKCLLNTKITADDAPGETWHMVFSTEGEIPYREGQSIGVIADGVDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGSDVKITGPVGKEMLMPKDPNANIIMLATGTGIAPFRSFLWKMFFEKYDDYKFNGLAWLFLGVPTSSSLLYKEEFDKMKAKAPENFRVDYAVSREQTNAQGEKMYIQTRMAEYKEELWELLKKDNTYVYMCGLKGMEKGIDDIMVSLAAKDGIDWADYKKQLKKGEQWNVEVY >ORUFI06G00530.1 pep chromosome:OR_W1943:6:477743:478342:-1 gene:ORUFI06G00530 transcript:ORUFI06G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVAWESRNLQLQGGGGGHVGGGGGGGGERREYMFEKVVTPSDVGKLNRLVVPKHYAEKYFPLGPAARTSPAGTVLCFEDARGGDSTWRFRYSYWSSSQSYVITKGWSRYVRDKRLAAGDTVSFCRAGARLFIDCRKRAASVSSSSLVPPALIKVQLPPSRPVVDEEEAACGRRCLRLFGVDLQLRADASPALDLQL >ORUFI06G00540.1 pep chromosome:OR_W1943:6:481336:481554:-1 gene:ORUFI06G00540 transcript:ORUFI06G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRGAKRRRRRLQWRRPLRRVACPEQIARRIDADGAPGESTALRARSYGCIRVFLVLSLLLLAVEIAAYL >ORUFI06G00550.1 pep chromosome:OR_W1943:6:485525:498440:1 gene:ORUFI06G00550 transcript:ORUFI06G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGQQRRRGGRREMRRIEDTTRRQVTFSKRRKGLLKKASELSVLCDAEVALLVFSPRGRFFHFASAPSLEGTIDRYISHTQEAPANKKPRELTVKNMKSQSETLAMEIDTVEAYTRKMQGENLESCSLQELHGLEMQMEKSLSSIRLQKASKWSQCAIYVVAVQKKLMDKISQLQQQEKILSEENALLLDQGKVQHAPIGAPAREMNQNQHVQDIDVDTELVIGRR >ORUFI06G00550.2 pep chromosome:OR_W1943:6:496895:498440:1 gene:ORUFI06G00550 transcript:ORUFI06G00550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQSETLAMEIDTVEAYTRKMQGENLESCSLQELHGLEMQMEKSLSSIRLQKASKWSQCAIYVVAVQKKLMDKISQLQQQEKILSEENALLLDQGKVQHAPIGAPAREMNQNQHVQDIDVDTELVIGRR >ORUFI06G00560.1 pep chromosome:OR_W1943:6:498778:499727:-1 gene:ORUFI06G00560 transcript:ORUFI06G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWNNPAIFLAAALAVATAAQVVTAGFTTDLYWQQQPAPGAVTPYKTSDWHDGSATFYGDPSGMGDDFGGACGYVSNDIVSLYSTKTAALSTPLFADGNGCGQCYELRCVKSPWCNPGSPSVVITGTNLCPPNWYLPNDDGGWCNPPRHHFDMAPPSFLKLAQRVAGIVPVQYRRVPCQRTGGVRFCLQGNHYWLLLYVMNVGGAGDVSSLSVKTSGGGGAWIQAAHNWGITYQVFAALDNSDGLTVKLTTYSTPQQTIIVSDAISPWWITGLCYQGSNNFY >ORUFI06G00570.1 pep chromosome:OR_W1943:6:507801:513657:1 gene:ORUFI06G00570 transcript:ORUFI06G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAHHHHHEHHHLLDMSSPPNASGAIISSFDHAAGLLSLHDVAAAADHHHHHLRGGGGGLQLPSPWSQQQVSLSLYNNAAGAAGSPPSSLVAHQQLAAAQPLMFQLRGSKYLGPVKALLAEFCSLDVEAMDGAKQQRPPNPNPKIGKWDDVEGSGSWGNLSLSSMDLLDLERRKARILSMVEEIKMRAVEVSFEAVAGGGAAQVYTKLAMRAMSRHFRCLRDALVGQVRALRNAMGESQRDAAGGVAAAAPGATKGDTPRLRVLDQCLRQQRAFQQSGAVDSFPWRPQRGLPERAVAVLRAWLFEHFLHPYPNDVDKHILARQTGLSRSQVSNWFINARVRLWKPMIEDMYKEETKPESSDGNNKLNPSAAGNKQQHRDDPKKNYTATTAEASLVQQSSYHLHLRSSGNRNSSSLMIPAAASTSIDHHHDSSHQLLGGHSYSSAAGLHHGHGGAVSLTLGLQQQQQPFAASMMHQHQQHQHQHQQQQSFMVEAAEEEEDDVLPYRNLMESQLLHDFAGAS >ORUFI06G00570.2 pep chromosome:OR_W1943:6:507801:513657:1 gene:ORUFI06G00570 transcript:ORUFI06G00570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAHHHHHEHHHLLDMSSPPNASGAIISSFDHAAGLLSLHDVAAAADHHHHHLRGGGGGLQLPSPWSQQQVSLSLYNNAAGAAGSPPSSLVAHQQLAAAQPLMFQLRGSKYLGPVKALLAEFCSLDVEAMDGAKQQRPPNPNPKIGKWDDVEGSGSWGNLSLSSMDLLDLERRKARILSMVEEMRAVEVSFEAVAGGGAAQVYTKLAMRAMSRHFRCLRDALVGQVRALRNAMGESQRDAAGGVAAAAPGATKGDTPRLRVLDQCLRQQRAFQQSGAVDSFPWRPQRGLPERAVAVLRAWLFEHFLHPYPNDVDKHILARQTGLSRSQVSNWFINARVRLWKPMIEDMYKEETKPESSDGNNKLNPSAAGNKQQHRDDPKKNYTATTAEASLVQQSSYHLHLRSSGNRNSSSLMIPAAASTSIDHHHDSSHQLLGGHSYSSAAGLHHGHGGAVSLTLGLQQQQQPFAASMMHQHQQHQHQHQQQQSFMVEAAEEEEDDVLPYRNLMESQLLHDFAGAS >ORUFI06G00580.1 pep chromosome:OR_W1943:6:514404:515961:-1 gene:ORUFI06G00580 transcript:ORUFI06G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILSYDDDVSSFVAAATRCSPAAAPPRPVRIPRVRVRCCEDTLGVPRSRRPTHDTELAQSQRFPELVTPYGAASYLPHQQRYPPPPRPRRIVLVRHGESEGNVDEAAYTRVPDPRIGLTPQGWRDADIVEYGKDGGDGSEHSLPPHAGDAAWAGASARRIAGVREEPRLREQDFGNFQDRDKMRVEKEIRRRYGRFFYRFPNGESAADVYDRITGFRETLRADIDIGRFQPPGERNPDMNVVLVSHGLTLRVFLMRWYKWTVSQFEGLANLSNGGALVMQTGAGGRYSLLVHHSVDELREFGLTDDMIEDQKWQMTARPGELNYNFITNGPSFFTHFTHHHHDKHKAAIDDGTGGSATAPS >ORUFI06G00590.1 pep chromosome:OR_W1943:6:518669:519136:-1 gene:ORUFI06G00590 transcript:ORUFI06G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGGYSGVPRLLLHLLFLLTHLRRLSSCLLRLAGADIDDSPTAVDAEYDGSYSYSSQSSQLLELDDHSPALRFDALSSSLQPPLHVATCAVCLRDFHKSAQVRRAHRCRHVFHRACLDAWAHHGHRTCPLCRSPLLPSSAPPVLLPLPLPAS >ORUFI06G00600.1 pep chromosome:OR_W1943:6:526569:533887:1 gene:ORUFI06G00600 transcript:ORUFI06G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKMSQVDEWKPVIAMLFFDLISAVTTALLKKALAEGLDRLVLITLRQLVATIFLAPIAYFKERGKRPKLTLEILVYLFFSAALGAALSQYTFFYGLQYTTATFAITFTNMSPVITFLIAALLRVESLNMKNKAGAAKIIGTLMSFAGVMLLTLYKGVALTHQVVSSTKLTKKYPALYSSTAYMFLISSLQGGALTAAIQRRASVWVLTRTVEIVAVLYTGIMGSGVGYVLMTWCVEKRGPVFTSAFIPIIQIMVAIIDFFYLHENLYLGRPPDQTLVESKGKASGFPEMWRAGCMEQWMPTVSMVATNVVIAIMTALIKQALNQGMNRLVLITFRQMVATVFLGPIAYFKERFEALNVKSRSGSAKISGTLVSLSGAMMLTFYKGSALTHTPSSSSSPASSSSHSQAEEHDTAHWVLGSVSLLANVVGFALWLMLQRKFTRKYPAIYSATAFMSLFSCLQAGALALSIQRSSISIWALKGKIEIATVVYCGVVASGFGYLMLTYCVEKRGPVFTAAFSPLSQIFVAGIDLFILHEPLYLGSVLGSVLVIVGLYLVLWGKREETAAVSKDAIASPEKPVQDVEQQQEKV >ORUFI06G00610.1 pep chromosome:OR_W1943:6:534448:540080:1 gene:ORUFI06G00610 transcript:ORUFI06G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRARHGGSGRSHSPYFESQLRRLITDGGLSSSSAEDVAIALRSRFPEFRRHKLDPFTSAVRRALNSIPSDSHSDSADDSHASTPSQRRRRRPRHDAHATASSSTSLSDDAAHPPPPPIYDVTKSMLRTQYASQTPKRDTGSNQQLEIEIAAEKPRRLITSDGGAGGEAKPESAPPSEGGDRGGKGPTFSDLGGMESVIEQLMMEVVVPLCHPEVPRWLGVKPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEVVSGVSGASEENIRSLFKKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDEYHQQIGSGSGDVGSESAEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDEYARKKILMMLTRNLRLEGQLDLLKIARATSSFVGADLKALVDKAGNLAMKRIIDRRRAQFCQEHDENSKHDWWRQPWDANEIEGLSITMDDFEEATKMVQPSLRREGFSSIPDVTWDDVGGLDSLRKEFDRYIIRCIKQPEEYKTFGLNMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKIFIRAQTNTPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGAGERKGVFVIGATNRIDVIDDAALRPGRFGKKHYVPLPGADERVSILRALARNKPISSSVDLGALARREECKNLTGADLASMVNEAAMAALEERLEFLENGESSMSSSSAIELPHFERALAKMQPSVSEQQRRHYEALCKKYSAS >ORUFI06G00620.1 pep chromosome:OR_W1943:6:539147:540058:-1 gene:ORUFI06G00620 transcript:ORUFI06G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVEKEGETGHLTSPTAPTLTTPTNQAFVNIITVLMMVSLMEEEAMAMETMATTTTKRMSVAEVSLVEAVLFDIDGTMCVSDPFHHRAFSELLQALGYNSGVPITPEFGMAHMAGRSNHQIGSFLFPDWPQHRLDAFFADKEALFARYAAEGLREVAGLTDLCRWAAARGLKRAAVTNAPRANADLMISILGLSDFFQVIVAAADDCDLPKPSPEPYLRALSLLGASPRHTLVFEDSVVGVQAGVAAGMPVIAVAEEAREAKVVAAGASLVIRDYKDHKLWAALDKLQAAAAAQSNGQLGA >ORUFI06G00630.1 pep chromosome:OR_W1943:6:547574:550690:1 gene:ORUFI06G00630 transcript:ORUFI06G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHANKNHIESFPPPGKKITIVFVIGGPGSGKGTQCAKIVKQFGFTHLSAGDLLREEAKYDTEQGTMIKNLMNEGKLVSSDLIVKLLFKAMRESGNDKFLVDGFPRNEENRHAYENIGRDDDNIDTIRRRFDVFQQQTLPVIQYYEKRGKLRKVDGNRQVDEVFEDVKAIFAQLNNQKIHGGQQASGLSRAQMNPLKRWFFDFFCGEQLTSISKFQVALGLKKKQETDSRNESDMISLRVCGGLWST >ORUFI06G00640.1 pep chromosome:OR_W1943:6:561448:567690:1 gene:ORUFI06G00640 transcript:ORUFI06G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEGMGMAAAWAAGDLWVLAAAVVAGVVLVDAVVRRAHDWVRVAALGAERRSRLPPGEMGWPMVGSMWAFLRAFKSGNPDAFIASFIRRMLFFYLFIIIPDMLPCPGLLSKPIPMFGRTGVYRTFMFSSPTILAVTPEACKQVLMDDEGFVTGWPKATVTLIGPKSFVNMSYDDHRRIRKLTAAPINGFDALTTYLSFIDQTVVASLRRWSSPESGQVEFLTELRRMTFKIIVQIFMSGADDATMEALERSYTDLNYGMRAMAINLPGFAYYRALRARRKLVSVLQGVLDGRRAAAAKGFKRSGAMDMMDRLIEAEDERGRRLADDEIVDVLIMYLNAGHESSGHITMWATVFLQENPDIFARAKVVDETLRCVNISFVSFRQATRDIFVNGYLIPKGWKVQLWYRSVHMDDQVYPDPKMFNPSRWEGPPPKAGTFLPFGLGARLCPGNDLAKLEISVFLHHFLLGYKLKRANPKCRVRYLPHPRPVDNCLATITKVSDEH >ORUFI06G00640.2 pep chromosome:OR_W1943:6:562652:567690:1 gene:ORUFI06G00640 transcript:ORUFI06G00640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMEEWHRFGRTGVYRTFMFSSPTILAVTPEACKQVLMDDEGFVTGWPKATVTLIGPKSFVNMSYDDHRRIRKLTAAPINGFDALTTYLSFIDQTVVASLRRWSSPESGQVEFLTELRRMTFKIIVQIFMSGADDATMEALERSYTDLNYGMRAMAINLPGFAYYRALRARRKLVSVLQGVLDGRRAAAAKGFKRSGAMDMMDRLIEAEDERGRRLADDEIVDVLIMYLNAGHESSGHITMWATVFLQENPDIFARAKVVDETLRCVNISFVSFRQATRDIFVNGYLIPKGWKVQLWYRSVHMDDQVYPDPKMFNPSRWEGPPPKAGTFLPFGLGARLCPGNDLAKLEISVFLHHFLLGYKLKRANPKCRVRYLPHPRPVDNCLATITKVSDEH >ORUFI06G00640.3 pep chromosome:OR_W1943:6:561448:567690:1 gene:ORUFI06G00640 transcript:ORUFI06G00640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEGMGMAAAWAAGDLWVLAAAVVAGVVLVDAVVRRAHDWVRVAALGAERRSRLPPGEMGWPMVGSMWAFLRAFKSGNPDAFIASFIRRFGRTGVYRTFMFSSPTILAVTPEACKQVLMDDEGFVTGWPKATVTLIGPKSFVNMSYDDHRRIRKLTAAPINGFDALTTYLSFIDQTVVASLRRWSSPESGQVEFLTELRRMTFKIIVQIFMSGADDATMEALERSYTDLNYGMRAMAINLPGFAYYRALRARRKLVSVLQGVLDGRRAAAAKGFKRSGAMDMMDRLIEAEDERGRRLADDEIVDVLIMYLNAGHESSGHITMWATVFLQENPDIFARAKVVDETLRCVNISFVSFRQATRDIFVNGYLIPKGWKVQLWYRSVHMDDQVYPDPKMFNPSRWEGPPPKAGTFLPFGLGARLCPGNDLAKLEISVFLHHFLLGYKLKRANPKCRVRYLPHPRPVDNCLATITKVSDEH >ORUFI06G00640.4 pep chromosome:OR_W1943:6:561448:563879:1 gene:ORUFI06G00640 transcript:ORUFI06G00640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEGMGMAAAWAAGDLWVLAAAVVAGVVLVDAVVRRAHDWVRVAALGAERRSRLPPGEMGWPMVGSMWAFLRAFKSGNPDAFIASFIRRMLFFYLFIIIPDMLPCPGLLSKPIPINKELIC >ORUFI06G00650.1 pep chromosome:OR_W1943:6:568404:573078:1 gene:ORUFI06G00650 transcript:ORUFI06G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVAASADATRDEIKIMNVYIWDMDETLILLKSLLDGSYAGAFDGLKDHDKSVDIGKRWENLILELCDEHFFYEEIENYNEPFLSAVKEYDDGKDLTTYDFEADCFSSPYDDLNKRKLAYRHRAIGEKYTKGLEKILDHHMIKVWNDLYSATDKYTDGWLSSAHKLLEEAMGKSTAESTAKHSSINCIVTSGSLIPSLAKCLLYRLDDVVAFENVYSSWEVGKLQCFKWIKERYDGPNVRFCAIGDGHEECTAAQIMKWPFVKIEFHPDAPHRFPGLNLPTIHRIMDTVYDSSSNDG >ORUFI06G00660.1 pep chromosome:OR_W1943:6:574519:574812:1 gene:ORUFI06G00660 transcript:ORUFI06G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVKDKVSAVKAKGKVSKAKADEKKEVATARSHAERELAHERAKARVAAAKMELHQDKALHREEAIQHRLHKHGAGTTAGVRPTAAAPAPHPPPAS >ORUFI06G00670.1 pep chromosome:OR_W1943:6:578015:582334:1 gene:ORUFI06G00670 transcript:ORUFI06G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKGKDLISQLPDDILLHILSMPCEPPRWKHLHTKLPALSFIMSVLGAQGSSLSTQSRQRVDSMARTLRRRCAGPDRDTVKRLCLAYRKDVPMECRYADEFIALAAASSLGLFLNCPKNLRNDDAGPWSLHLPAATACLSMESCWYSVRPPHVHGPGASALKSLTFKDSFMVLHPGYLQDTAFPSLEELHISGCTLSGSIEITSATMPRLKHLRIADVSVVSLGTAAAIAVLADELTTLRVSCHDGGKPDPPSSHEMLCVETLFRASFTEYSCFRLRSPKLRVFEWRCCYAKEVRVDAVGRHLSDVVIELFAGRLPRCYNEAKRFLQMEDCDKLMNDILQGIMPGRWKYVQRKFVDKCIGGPHINRLSSDNQSRSTWIGYVGAADCSTVAQAVVAAQIATTAAAYHIGGRETRNFIERDELRLRCEITEDDMPADRARRERMRPGGQRRGRRGNEAVTGWARRRRAERYSIVTAGGSTSARLRRPDAARTSGSAHEDEPDDEGGGAGAEEEEGAGSFSYKFKQN >ORUFI06G00680.1 pep chromosome:OR_W1943:6:603413:605614:-1 gene:ORUFI06G00680 transcript:ORUFI06G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRRKRQRRRPRPPSPQAEPSPTELTALGQREVSVAERPFKKTCHSSVSTSSTMCEPHVWPGLMDSMLHQIIALLSSFQDLLAFSGTCRSWRAALSSFPSIYTFTFPPLHLKPDIPNSHPHCSSFRYTLLYKCRWQLGDPSKRTLSLRCSAPQNTPNRMRYLGCSYGYLIFSYYENCLLVDMYTGAKVKPPKLQSAGNKETYYGILTAPLNLPISHLLLCSRSSIFYWQVGTNSWSEHPFGGERILQIVLFKGEFFAMDFHHRLHTMRFAPQLSMQEVGVVWGEEMFVGVHFKPWLVISGDMLLMLDLSVGIHHSYGFPGTFQVFRLDFSAQTAKLMKMEKLENSALFVSLDRRNPTFSCTNPERWGGKSNCIYVAKPSEDSDEPWTAVELGQPIPGATHCVPYSHPLLRTEGHCSQLEYLWVLPSFINGVDQ >ORUFI06G00690.1 pep chromosome:OR_W1943:6:606750:608243:1 gene:ORUFI06G00690 transcript:ORUFI06G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEGVQQYSIMQSPSKVSEASGSAPPATSVIEGWAELPEGLLHSIVALLGSFLDLLAFTGTCHSWRAAFSSYPSKSNFRTILPPLLVRPNVRVKAPYSSNGHRKLRSCEVIDLANRNTPLRCQIPQETLQRMHFAGSSHGQLICCRRGYCLVVDVFTGAEVSPPRLPFSENCDEFYYCGILTAPITSPNSHLIISTQSSLFDWPVGSDSWSELKLPVNRVDQIVEFNGQLIAVIEYSLYTLQLAPILRLEKIKTLWWDNMNECPYMRPWFVVCGDMLLIVDHYISFSFGAPVLYRPYRLDMSTKPAKWVEVKKLENWALFIGGDARSPPFSFKNPERWGGRSNCLYYAHYSQPLSLHGLGDDADAVWDPNTDDNLVFKRNWYRQLQALWVYPSMFYSAGDGQ >ORUFI06G00700.1 pep chromosome:OR_W1943:6:609571:619511:-1 gene:ORUFI06G00700 transcript:ORUFI06G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAVGGSNWEEMVRRMLPPGTTIPEAPANLDYSIALEYDGPPVSYELPRIDPVDLPAIPTAQPVSGPLVPGRSNGVVAPVVRPVFMPPVHRKQDAHRAEPPPVAAQGRRRRSSESVDSAPQNEGFSDDDDSCSVSQESAHNFHGQRGGRTAAQEGRRAQVVTFGVTEDSRYESKEFDDVSEQYVAVTKKEKRGRTCSRCGKRKWESKESCIVCDARFCSYCVLRAMGSMPEGRKCITCIGQPIDESKRSKLGKGSRILSRLLSPLEVRQILKAEKECQANQLRPEQLIINGFPLNPDEMASLLSCQRPPQKLKPGRYWYDKESGLWGKEGEKPDRVVSTNLTFNGKLQPNASNGNTQVYMNGREITKIELRVLKIAQVQCPRDTHFWVYHDGGYEEEGQNNIKGKIWESPVTRFACALFSLPVPPANSDEPKDEAPYSARTVPDYLDQKRIQKLLILGSPGAGTSTIFKQAKLLYDTRFTQEELDSIKLMIQSNMFKYLGILLEGRERFEEEALAGSNNPSSEDENTQHDGNKSNGSDSCIYSINAKLKKFSDWLLDIIAMGDLDAFFPAATREYAPIVEEMWKDPAIQATYKRKDELHFLPDVAEYFLSRAIEVSSNEYEPSEKDIIYAEGVTQGNGLAFIEFTLDDRSPMSEMYTDNHEPHSQTLNKYQLIRVSAKGMNEGCKWVEMFEDVSMVIFSVALSDYDQLGAPSSGGNSPLVNKMIQSRDLFEATIRQPCFRDMPFVLVLNKFDLFEEKIGRVPLSTCEWFSDFCPLRTHHNNQSLAHQAFYYVAMKFKELYAACTDRKLFVWQARARDRLTVDEAFKFIREVLKWEDEKDGGGYYPDESFYSTTELSSSRKKNTNNLTLSPLTFPRSSPSNGGGDRRRRRIHPPAATSWSLSSGTSSSSSSSQRQRPYRRLLHDEAQRLRRERRGQGSGAHTPRWVRRTPDQMARYVEDDRAGHVYGRHVVAAVRAARATASCSSPSSADMREAMASFVAKLTFREMCFVLHELRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGQVGKVKLAEVTFLEMLQAGCEPDAVACGTLLCAYARWGKLNDMLMFYAAVRRRDIVPSISVFNFMVSSLQKQKLHGKVIHLWEQMLEANVAPNQFTYTVVIGSYAKEGMLEEAMDAFGEMKRRRFVPEEATYSLLISLCAKHGKGEEALGLYDEMKVKSIVPSNYTCASVLTLYYKNEDYSKALSLFSEMEQNKIVPDEVIYGILVRIYGKLGLYEDAQRMFEEIDKAGLLSDEQTYVAMAQVHMNVQNYDRALQVLDAMRARNVKPSQFSYSALLRCHVAKEDVDAAEDTFRALSNYGPPDVFCCNDLLRLYMRLGHLDKARALILKMRKEALQFDEDLCVTVLEVCCKTSINKDTDNLTEVIQNEGSSSKVLNPTDSSTLSMMLKSLLDKPGGLSSVSQLIMKFAREGSTDEAKFLYEHLTELGAKPDDTAIATLIVQYGQAQQLEQAQKLFETASTSFPVGGSVYNAMVDALCRCGKTEEAYRLFMELIDQGHNGDAVTISILVTHLTKQEKFQEAENIIYRCLHDEAELDTVVYNTFIKSMLESGKLYSAVSIYDRMISSGIPRSMQTFNIMISVYGQGGKLEKAVEMFSAAQELGLPIDEKTYTNMLSFYGKAGKHHEASLLFSRMKEDGIRPGKISFNTMINAYATSGLHNEAEIIFQEMQKNNHVPDSHTYLALIRAYTEGKCYSKAEEAIQMMLRSNMTPSCTHFNHLISAFLKEGQIDEAQRMYNQMEEAGIPADLACCRTMMRMHLDHGYVDDGILFFETACRLLKPDSFILSAAFHLYEHSGRESEAGDVLDAINMSGASFLRNLKVGSKLEQVRNDTHAS >ORUFI06G00700.2 pep chromosome:OR_W1943:6:609571:619511:-1 gene:ORUFI06G00700 transcript:ORUFI06G00700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAVGGSNWEEMVRRMLPPGTTIPEAPANLDYSIALEYDGPPVSYELPRIDPVDLPAIPTAQPVSGPLVPGRSNGVVAPVVRPVFMPPVHRKQDAHRAEPPPVAAQGRRRRSSESVDSAPQNEGFSDDDDSCSVSQESAHNFHGQRGGRTAAQEGRRAQVVTFGVTEDSRYESKEFDDVSEQYVAVTKKEKRGRTCSRCGKRKWESKESCIVCDARFCSYCVLRAMGSMPEGRKCITCIGQPIDESKRSKLGKGSRILSRLLSPLEVRQILKAEKECQANQLRPEQLIINGFPLNPDEMASLLSCQRPPQKLKPGRYWYDKESGLWGKEGEKPDRVVSTNLTFNGKLQPNASNGNTQVYMNGREITKIELRVLKIAQVQCPRDTHFWVYHDGGYEEEGQNNIKGKIWESPVTRFACALFSLPVPPANSDEPKDEAPYSARTVPDYLDQKRIQKLLILGSPGAGTSTIFKQAKLLYDTRFTQEELDSIKLMIQSNMFKYLGILLEGRERFEEEALAGSNNPSSEDENTQHDGNKSNGSDSCIYSINAKLKKFSDWLLDIIAMGDLDAFFPAATREYAPIVEEMWKDPAIQATYKRKDELHFLPDVAEYFLSRAIEVSSNEYEPSEKDIIYAEGVTQGNGLAFIEFTLDDRSPMSEMYTDNHEPHSQTLNKYQLIRVSAKGMNEGCKWVEMFEDVSMVIFSVALSDYDQLGAPSSGGNSPLVNKMIQSRDLFEATIRQPCFRDMPFVLVLNKFDLFEEKIGRVPLSTCEWFSDFCPLRTHHNNQSLAHQAFYYVAMKFKELYAACTDRKLFVWQARARDRLTVDEAFKFIREVLKWEDEKDGGGYYPDESFYSTTELSSSRKKNTNNLTLSPLTFPRSSPSNGGGDRRRRRIHPPAATSWSLSSGTSSSSSSSQRQRPYRRLLHDEAQRLRRERRGQGSGAHTPRWVRRTPDQMARYVEDDRAGHVYGRHVVAAVRAARATASCSSPSSADMREAMASFVAKLTFREMCFVLHELRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGQVGKVKLAEVTFLEMLQAGCEPDAVACGTLLCAYARWGKLNDMLMFYAAVRRRDIVPSISVFNFMVSSLQKQKLHGKVIHLWEQMLEANVAPNQFTYTVVIGSYAKEGMLEEAMDAFGEMKRRRFVPEEATYSLLISLCAKHGKGEEALGLYDEMKVKSIVPSNYTCASVLTLYYKNEDYSKALSLFSEMEQNKIVPDEVIYGILVRIYGKLGLYEDAQRMFEEIDKAGLLSDEQTYVAMAQVHMNVQNYDRALQVLDAMRARNVKPSQFSYSALLRCHVAKEDVDAAEDTFRALSNYGPPDVFCCNDLLRLYMRLGHLDKARALILKMRKEALQFDEDLCVTVLEVCCKTSINKDTDNLTEVIQNEGSSSKVLNPTDSSTLSMMLKSLLDKPGGLSSVSQLIMKFAREGSTDEAKFLYEHLTELGAKPDDTAIATLIVQYGQAQQLEQAQKLFETASTSFPVGGSVYNAMVDALCRCGKTEEAYRLFMELIDQGHNGDAVTISILVTHLTKQGKLYSAVSIYDRMISSGIPRSMQTFNIMISVYGQGGKLEKAVEMFSAAQELGLPIDEKTYTNMLSFYGKAGKHHEASLLFSRMKEDGIRPGKISFNTMINAYATSGLHNEAEIIFQEMQKNNHVPDSHTYLALIRAYTEGKCYSKAEEAIQMMLRSNMTPSCTHFNHLISAFLKEGQIDEAQRMYNQMEEAGIPADLACCRTMMRMHLDHGYVDDGILFFETACRLLKPDSFILSAAFHLYEHSGRESEAGDVLDAINMSGASFLRNLKVGSKLEQVRNDTHAS >ORUFI06G00710.1 pep chromosome:OR_W1943:6:623000:623272:1 gene:ORUFI06G00710 transcript:ORUFI06G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMPWPATGPSRRWSGPRSDCQFATNSNSIWHVGPTTPVETQAHKSGSNFDPPTAAAAAISARRSGPTRQRDVLIAAGVKGKGDIWWAI >ORUFI06G00720.1 pep chromosome:OR_W1943:6:624608:626050:1 gene:ORUFI06G00720 transcript:ORUFI06G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKVEGNLPVYGFHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLISEAYDVLKSVGKLTNSELQQVFSEWNKGELLSFLIEITADIFSIKDDQGSGHLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEAAKVFQGDFSSNLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSMEKGWSLNLGELARIWKGGCIIRAIFLDRIKKAYDRNSDLANLLVDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDMPGSFHTEWFKIARAAKM >ORUFI06G00730.1 pep chromosome:OR_W1943:6:626687:636446:1 gene:ORUFI06G00730 transcript:ORUFI06G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAAKEEEPCCISHAFDRAARRNPTGLAVIHAASSSSSGSDSERHFTCADLLAAVSTLSRHIAAELSSSTSRHRDESPGCSGRPVEAAAAPRVVGVYASPSVEYIAAVLAVLRCGEAFLPLDPSWPEERIRWATSSSNAVLVVSSGGLGAAHVFASSSCSVIHMDDDLWQVFEDEKGGIGRDELAWPCECKKPREFCYVMFTSGSTGKPKGVCGTEKGLLNRFLWMQRWKPLCSDDLLLFKTSVSFVDHLQEFLSAVLTCTTLVIPPPNDWRANPASLANLIKAYGISRMNLVPSLMEIILPSLEKNLSWGHNPLKMLIFSGENLSILLWKRVHEILPETTIVNLYGTTEVSGDCTFFDCTDLPILLKREELTSVPIGFPISNCEVCIATDAEVADEGEMHVTGACLFAGYLEESMASNHTEDNGSSTYYRTVESTLNEHPDVSAAAVTFQNNEFLDFRAYLVLKSSAASVEDCQRRKRYKSFEVIMPSIRSWLIMKLPPAMIPRFFLPMESLPLTSSGKIDYMKLSSLKCALESCETETERITVNPYLQVIKKAFSDALLVDEVSEFDDFFTLGGNSISAAHVAHKLEIDMRMLYIYSTPSKLLDALFTKHGCLLSSSHEPHPKKGLDISSSIHSSFNPIATSVDDNFPEVKAHINGDGECAHDAISGNYANEVDGQLNRNVPLSNDRYQTKSLLLDTCSNDRNSVDVSPWILNFCLVKKWSIGRCNRFMHGYEDKLQIEDVCSYVPYNKRGYLQALWNIPLGSCVDASPLLVSNNGMLSIFIGSHSHRFLCIDGCSGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFLDLLTGKQAWTIQTDGEVKMQPAVDMIRNLIWCGSYDHHLYALNYKDRCCTYKISCGGSIYGSPAIDMTHNMIYVASTSGLVTAISLEVSSFKIIWQYEAGAPIFGSLAIHHHGGKVICCLVNGLVIALNSHGSVVWKASVGGPIFAGACLSSGLPTQVLIPSRDGRLYSFDTTSGALLWKYEVGDPITASAFVDEVLTATSPGASERFACICTSSGQVHVIRIRADAKEEKVNGSICNDLVQGFAAIDLPGDIFSSPLMGYQAAAAYFSYAFLRL >ORUFI06G00730.2 pep chromosome:OR_W1943:6:626687:636446:1 gene:ORUFI06G00730 transcript:ORUFI06G00730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAAKEEEPCCISHAFDRAARRNPTGLAVIHAASSSSSGSDSERHFTCADLLAAVSTLSRHIAAELSSSTSRHRDESPGCSGRPVEAAAAPRVVGVYASPSVEYIAAVLAVLRCGEAFLPLDPSWPEERIRWATSSSNAVLVVSSGGLGAAHVFASSSCSVIHMDDDLWQVFEDEKGGIGRDELAWPCECKKPREFCYVMFTSGSTGKPKGVCGTEKGLLNRFLWMQRWKPLCSDDLLLFKTSVSFVDHLQEFLSAVLTCTTLVIPPPNDWRANPASLANLIKAYGISRMNLVPSLMEIILPSLEKNLSWGHNPLKMLIFSGENLSILLWKRVHEILPETTIVNLYGTTEVSGDCTFFDCTDLPILLKREELTSVPIGFPISNCEVCIATDAEVADEGEMHVTGACLFAGYLEESMASNHTEDNGSSTYYRTGDFARRLKSGEFIFLGRKDRTVKIYGQRFSLQEVESTLNEHPDVSAAAVTFQNNEFLDFRAYLVLKSSAASVEDCQRRKRYKSFEVIMPSIRSWLIMKLPPAMIPRFFLPMESLPLTSSGKIDYMKLSSLKCALESCETETERITVNPYLQVIKKAFSDALLVDEVSEFDDFFTLGGNSISAAHVAHKLEIDMRMLYIYSTPSKLLDALFTKHGCLLSSSHEPHPKKGLDISSSIHSSFNPIATSVDDNFPEVKAHINGDGECAHDAISGNYANEVDGQLNRNVPLSNDRYQTKSLLLDTCSNDRNSVDVSPWILNFCLVKKWSIGRCNRFMHGYEDKLQIEDVCSYVPYNKRGYLQALWNIPLGSCVDASPLLVSNNGMLSIFIGSHSHRFLCIDGCSGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFLDLLTGKQAWTIQTDGEVKMQPAVDMIRNLIWCGSYDHHLYALNYKDRCCTYKISCGGSIYGSPAIDMTHNMIYVASTSGLVTAISLEVSSFKIIWQYEAGAPIFGSLAIHHHGGKVICCLVNGLVIALNSHGSVVWKASVGGPIFAGACLSSGLPTQVLIPSRDGRLYSFDTTSGALLWKYEVGDPITASAFVDEVLTATSPGASERFACICTSSGQVHVIRIRADAKEEKVNGSICNDLVQGFAAIDLPGDIFSSPLMGYQAAAAYFSYAFLRL >ORUFI06G00730.3 pep chromosome:OR_W1943:6:626687:636446:1 gene:ORUFI06G00730 transcript:ORUFI06G00730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAAKEEEPCCISHAFDRAARRNPTGLAVIHAASSSSSGSDSERHFTCADLLAAVSTLSRHIAAELSSSTSRHRDESPGCSGRPVEAAAAPRVVGVYASPSVEYIAAVLAVLRCGEAFLPLDPSWPEERIRWATSSSNAVLVVSSGGLGAAHVFASSSCSVIHMDDDLWQVFEDEKGGIGRDELAWPCECKKPREFCYVMFTSGSTGKPKGAYGISRMNLVPSLMEIILPSLEKNLSWGHNPLKMLIFSGENLSILLWKRVHEILPETTIVNLYGTTEVSGDCTFFDCTDLPILLKREELTSVPIGFPISNCEVCIATDAEVADEGEMHVTGACLFAGYLEESMASNHTEDNGSSTYYRTVESTLNEHPDVSAAAVTFQNNEFLDFRAYLVLKSSAASVEDCQRRKRYKSFEVIMPSIRSWLIMKLPPAMIPRFFLPMESLPLTSSGKIDYMKLSSLKCALESCETETERITVNPYLQVIKKAFSDALLVDEVSEFDDFFTLGGNSISAAHVAHKLEIDMRMLYIYSTPSKLLDALFTKHGCLLSSSHEPHPKKGLDISSSIHSSFNPIATSVDDNFPEVKAHINGDGECAHDAISGNYANEVDGQLNRNVPLSNDRYQTKSLLLDTCSNDRNSVDVSPWILNFCLVKKWSIGRCNRFMHGYEDKLQIEDVCSYVPYNKRGYLQALWNIPLGSCVDASPLLVSNNGMLSIFIGSHSHRFLCIDGCSGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFLDLLTGKQAWTIQTDGEVKMQPAVDMIRNLIWCGSYDHHLYALNYKDRCCTYKISCGGSIYGSPAIDMTHNMIYVASTSGLVTAISLEVSSFKIIWQYEAGAPIFGSLAIHHHGGKVICCLVNGLVIALNSHGSVVWKASVGGPIFAGACLSSGLPTQVLIPSRDGRLYSFDTTSGALLWKYEVGDPITASAFVDEVLTATSPGASERFACICTSSGQVHVIRIRADAKEEKVNGSICNDLVQGFAAIDLPGDIFSSPLMGYQAAAAYFSYAFLRL >ORUFI06G00730.4 pep chromosome:OR_W1943:6:626687:636446:1 gene:ORUFI06G00730 transcript:ORUFI06G00730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAAKEEEPCCISHAFDRAARRNPTGLAVIHAASSSSSGSDSERHFTCADLLAAVSTLSRHIAAELSSSTSRHRDESPGCSGRPVEAAAAPRVVGVYASPSVEYIAAVLAVLRCGEAFLPLDPSWPEERIRWATSSSNAVLVVSSGGLGAAHVFASSSCSVIHMDDDLWQVFEDEKGGIGRDELAWPCECKKPREFCYVMFTSGSTGKPKGAYGISRMNLVPSLMEIILPSLEKNLSWGHNPLKMLIFSGENLSILLWKRVHEILPETTIVNLYGTTEVSGDCTFFDCTDLPILLKREELTSVPIGFPISNCEVCIATDAEVADEGEMHVTGACLFAGYLEESMASNHTEDNGSSTYYRTGDFARRLKSGEFIFLGRKDRTVKIYGQRFSLQEVESTLNEHPDVSAAAVTFQNNEFLDFRAYLVLKSSAASVEDCQRRKRYKSFEVIMPSIRSWLIMKLPPAMIPRFFLPMESLPLTSSGKIDYMKLSSLKCALESCETETERITVNPYLQVIKKAFSDALLVDEVSEFDDFFTLGGNSISAAHVAHKLEIDMRMLYIYSTPSKLLDALFTKHGCLLSSSHEPHPKKGLDISSSIHSSFNPIATSVDDNFPEVKAHINGDGECAHDAISGNYANEVDGQLNRNVPLSNDRYQTKSLLLDTCSNDRNSVDVSPWILNFCLVKKWSIGRCNRFMHGYEDKLQIEDVCSYVPYNKRGYLQALWNIPLGSCVDASPLLVSNNGMLSIFIGSHSHRFLCIDGCSGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFLDLLTGKQAWTIQTDGEVKMQPAVDMIRNLIWCGSYDHHLYALNYKDRCCTYKISCGGSIYGSPAIDMTHNMIYVASTSGLVTAISLEVSSFKIIWQYEAGAPIFGSLAIHHHGGKVICCLVNGLVIALNSHGSVVWKASVGGPIFAGACLSSGLPTQVLIPSRDGRLYSFDTTSGALLWKYEVGDPITASAFVDEVLTATSPGASERFACICTSSGQVHVIRIRADAKEEKVNGSICNDLVQGFAAIDLPGDIFSSPLMGYQAAAAYFSYAFLRL >ORUFI06G00740.1 pep chromosome:OR_W1943:6:636927:638363:1 gene:ORUFI06G00740 transcript:ORUFI06G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGPSRAADADDDDDDELSRLLSLAEADLEAGRLRAAHKHARRAARLDPDSTRASLLLTAVSVLAADDSSHRATLLLPDSPHSQASPLSPSALRRHYKSLSESLRSAPPSSSPAVSSAVKEALRRAADAYAALANQAAAPVPPTFWTACAGCRLLHEFDRKYVGFRLMCPSCRRTFLASEVPPPPEAEAEAEPDPLPPAKKKPKTQKREMTLAEMQLQLSKKRATNNSSRLDEDDDDDNDDDDEDDEEEEQQQNNDSEMMDVEDSDFYNFDADRCEKCFKRGQVWALYGDDDGMPRHYALVEMITPGGRFRAQIRWLDLQPDGGEGTPCGEFKVGRTVTVHSVNIFSHQVAYERVAREVYRIYPKKGSVWALHGGKDADSGRPKYEFVVFLSGYSDLYGASFGYLEKVEGFRSIFTRQDVGRDAVQTLHKGDMGKLSHQIPARRAPKGEGSTLPPTDCWELDPASLPSELLHDNQQK >ORUFI06G00750.1 pep chromosome:OR_W1943:6:640075:644211:-1 gene:ORUFI06G00750 transcript:ORUFI06G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGGGLRHSNSSRLSRMSYSGEDGRAQAPGGGGDRPMVTFARRTHSGRYVSYSRDDLDSELGNSGDMSPESGQEFLNYHVTIPATPDNQPMDPAISARVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEASHPQMAGAKGSSCAINGCDAKVMSDERGDDILPCECDFKICADCFADAVKNGGACPGCKDPYKATELDDVVGARPTLSLPPPPGGLPASRMERRLSIMRSQKAMTRSQTGDWDHNRWLFETKGTYGYGNAIWPKENEVDNGGGGGGGGGLGGGDGQPAEFTSKPWRPLTRKLKIPAGVLSPYRLLILIRMAVLGLFLAWRIKHKNEDAMWLWGMSVVCELWFGLSWLLDQLPKLCPVNRATDLAVLKDKFETPTPSNPNGRSDLPGLDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANMWVPFCRKHDIEPRNPESYFNLKRDPYKNKVRSDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKRQREAALDDVVEAVKIPKATWMADGTHWPGTWIQPSAEHARGDHAGIIQVMLKPPSDDPLYGTSGEEGRPLDFTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRSSAVMSNGPFILNLDCDHYVYNSQAFREGMCFMMDRGGDRIGYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGIMGPVYVGTGCLFRRIALYGFDPPRSKEHSGCCSCCFPQRRKVKTSTVASEERQALRMADFDDEEMNMSQFPKKFGNSNFLINSIPIAEFQGRPLADHPGVKNGRPPGALTVPRDLLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRKMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVRTLNVTFLTYLLVITLTMCMLAVLEIKWSGISLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDEADDEFADLYIVKWTSLMIPPIVIMMVNLIAIAVGFSRTIYSEIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLLAITISLLWVAINPPSQNSQIGGSFTFP >ORUFI06G00760.1 pep chromosome:OR_W1943:6:655788:657992:1 gene:ORUFI06G00760 transcript:ORUFI06G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSVAATASLLPSPPPKPTALAADDHHARLRAAAARSDLPAALAAFVAMSSAGAPPVLRTFTSLLKLCAARGDLATGRAVHAQLAARGLDSEALAATALANMYAKCRRPADARRVFDRMPVRDRVAWNALVAGYARNGLARMAMEMVVRMQEEEGERPDSITLVSVLPACANARALAACREAHAFAIRSGLEELVNVATAILDAYCKCGDIRAARVVFDWMPTKNSVSWNAMIDGYAQNGDSREALALFNRMVEEGVDVTDVSVLAALQACGELGCLDEGTRVHELLVRIGLDSNVSVMNALITMYSKCKRVDLASHVFDELDRRTQVSWNAMILGCAQNGCSEDAVRLFTRMQLENVKPDSFTLVSVIPALADISDPLQARWIHGYSIRLHLDQDVYVLTALIDMYAKCGRVNIARILFNSARERHVITWNAMIHGYGSHGFGKAAVELFEEMKSIGIVPNETTFLSVLSACSHAGLVDEGREYFTSMKEDYGLEPGMEHYGTMVDLLGRAGKLDEAWAFIQKMPMDPGLSVYGAMLGACKLHKNVELAEESAQKIFELGPQEGVYHVLLANIYANASMWKDVARVRTAMEKNGLQKTPGWSIIQLKNEIHTFYSGSTNHQQAKEIYSRLAKLIEEIKAVGYVPDTDSIHDVEDDVKAQLLNTHSEKLAIAFGLIRTAPGTTIQIKKNLRVCNDCHNATKLISLVTGREIIMRDIQRFHHFKDGKCSCGDYW >ORUFI06G00770.1 pep chromosome:OR_W1943:6:658747:661731:-1 gene:ORUFI06G00770 transcript:ORUFI06G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELSNLTRCHLPLLLLFLLAGSSSMALPVHPAMDRVRWQVDKVNRRGHSIGLVMSYIDEATALESSGYFRPWHVLPFVDLYGRRYHIGSIRGVNVIYALTGQRRLNAAVTVQTLIDVFTVSGIVHYGTAGSSNDSMSFGDVSVPKFVAYTSAWTWKKFKSPKESDTELSFGDFTVPNGGENLLGALKFRNEELYSVGKPMKEVFWLPVDSAWFKIAEGLKVSLERCNDTFCLPTTPKVVCGLKGSSADMFLDNAEYRKFLFREFGVSTVDEESAAVVMTTTSPGIPVIVFRGVSDLAGGEPTWSSTSLMNLASINALKVAVEFIATVGKQKSTMSAGSANN >ORUFI06G00780.1 pep chromosome:OR_W1943:6:664378:668535:-1 gene:ORUFI06G00780 transcript:ORUFI06G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPRQLDLSLHISTPSCFSPSPASASTTASSWPWTTKQQLPEEEEAAATTSTTTSSRSAPPLLLCNSDVFSTRGSSTTNTNHHADGGLANSQQLKAAARQPIHGIPVYHGHQQQQRRQLHHPFDVVGTRQSDGGRRLFSHHVGVGVTPSRTLSSSSSSPARLLPRLPPGRRSVRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKNTDRPVSNAEYKTERGLRDIYVEVTRSAEP >ORUFI06G00790.1 pep chromosome:OR_W1943:6:678679:684244:1 gene:ORUFI06G00790 transcript:ORUFI06G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSDSDDSAPIPAGAISKLLIVIAMQTEALPLVNKFHLVEAEESIFPTGAPWIRYHGNYKGLHVDLVWPGKDPVLGVDCVGTVSAALVTYASIQSLKPDLIINAGTAGGFKAKGADIGDVYLASDVAFHDRRIPIPVFDMYGIGTRKTFATPNILKELNLKVGKLSTGDSLDMSPHDESAILNNDATVKDMEGAAVAYVADMFSTPAIFVKAVTDIVDGEKPTAEEFLQNLVAVTAALDKAVTEVMELDTDPTKLKAKPIIKPKVEPCDDDDELPPPPPPASGSGEDWEATTPLAAGNPFFTALIAKSHLHPKFQMWIPPRFQHRLAEPEARTAAVLHSGGKSWATSYCGHLKMKKLDAGWSEFAVDNRLLVGDACVFELVAMGAAGGLEFQVQILRGGLPAEVVTSKGLTSDQPILIVD >ORUFI06G00800.1 pep chromosome:OR_W1943:6:685038:688363:1 gene:ORUFI06G00800 transcript:ORUFI06G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKPALAVLRKFFSAVRTVKVTNVSLSATVQDIKEFFSFSGDIEHVEMQSGDEWSQVAYVTFKDPQGAETALLLSGATIVDLSVIIAPAPEYQPPPTSSAPPMYSATSVPVSEDNNVVHKAEDVVSTMLAKGFTLGKDAVGKAKAFDEKHGFTSTAGAKVASIDRKIGLSEKFTIGTSIVNEKVKEMDQKFQVSDKTKSAFAAAEQKVSTAGSAIMKNRYVFTGASWVTNAFNKVAKAATDVGTMTKEKMAAEDQHKGSGPSGGHSYTPIQ >ORUFI06G00810.1 pep chromosome:OR_W1943:6:702119:704094:1 gene:ORUFI06G00810 transcript:ORUFI06G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEQDADVKKGPWTPEEDKLLVEYIGKNGHGSWRRLPKLAGLNRCGKSCRLRWTNYLRPDIKRGGFSDDEERLIIHLHATLGNKWSSIATKLKGRTDNEIKNYWNTHLRKKLLSQGIDPVTHRPRTDLLAGLPNLLAAANLGGAAHQLPLDLNAIKLQADAAKFQILQGLLRVLASTTAPPPTAAVLPGTDLMTSILGATLAANSAGILGQQQQLAGVDLSRLGQYNGNYDNLPPLTNDSCTQQTQPAMSSMSPDSLLNRISSGISGDMLGSPELCHGGDGLSSPELGQGGPSASNMTTSPMAPPPPMVAADDHQCNTNTPSGGGDGMSCEQTPASSTFDGLNLDDIDMEGCWAMTDILLAEQCPSWLISSNNASEMYISKNNTSEM >ORUFI06G00820.1 pep chromosome:OR_W1943:6:710505:732855:-1 gene:ORUFI06G00820 transcript:ORUFI06G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRRRPPRPERVMDNWERLVRAALKHQHRAPSAAASSAAGIGLASAVPPSLGKTTNIEHILQAADDIEDDDPNVARILCEQAYTMAQNLDPDSDGRGVLQFKTGLASVIKQKLAKKDGAPIDRQNDIQVLWNFYLQYKSRRRVDDMQREQERLRESGTFSTDMGSRAVEMKKIYATLRALLDVLEILIGQSPSDRLGRQILDEIRRIKRSDAALRGELMPYNIVPLDAPSSVANTIGFFPEVRAAIAAIQNCEDLPRFPSDALQLQLRHKDVFDLLQFVFGFQEDNVRNQRENVVLALANAQSRLGLLDVTEPKIDERAVTEVFLKVLDNYMKWCRYLGKRVAWTSLEAVNKNRKIILVALYFLIWGEAANVRFLPECLCYIFHNMAKELDGILDSSEAERAKSCTITNDSASYLEKIITPIYQTMEAEAQNNNNGKAAHSAWRNYDDFNEYFWSRSCFNLGWPPAEGSKFLRKPAKRKRTGKTNFVEHRTFLHLYRSFHRLWIFLILMFQCLTIIAFHHGKIDIGTIKILVSAGPAFFILNFIECCLDVLLMFGAYKTARGFALSRLVIRFIWLTAVSTFVTYLYLKVLDEKNARSSDSIYFRIYVLVLGGYAAVRLVFALMAKIPACHRLSNFSDGSQFFQFFKWIYQIRPLVDPTNVIVTLRNLHYSWHDLVSSGNKNALTILSLWAPVLAIYLMDIHIWYTLLSALVGGVMGARDRLGEIRSIEMLHKRFESFPEAFAKTLSPLRISNGPVAQGPEITKMHASIFSPFWNDIIKSLREEDYISNSIMTKFSFREMDLLMMPSNCGNLRLVQWPLFLLTSKIMLANDYASDCKDSQYELWDRISRDEYMAYAVKECYFSAERILHSLVDGEGQRWVERLFRDLNESIAQGSLLVTINLKKLQLVQSRLTGLTGLLIRDETADRAAGVTKALRELYEVVTHEFLAPNLREQFDTWQLLLRARNEGRLFSRIFWPKDLEMKEQVKRLHLLLTVKDSAANIPKNLEAQRRLQFFTNSLFMDMPAAKPVSEMIPFSVFTPYYSETVLYSMSELCVENEDGISILFYLQKIYPDEWNNFLERIGRGELSEDDFKESPSDMLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEKRYLGGIEDGYSAAEYIDTQGYEVSPDARAQADLKFTYVVSCQIYGQQKQRKAPEAADIALLMQRNEALRVAFIHEEDVSSDGRKEYYSKLVKADVHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEAMKMRNLLEEFRGKHGIRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLAYLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLTFFFTTVGYYVCTMMTVLTVYIFLYGRVYLALSGLDYEISRQFRFLGNTALDAALNAQFLVQIGIFTAVPMIMGFILELGLLKAIFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKIFSDAWPFMQYHATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIIYIAYGYTRGGSSSFILLTISSWFLVVSWLFAPYIFNPSGFEWQKTVEDFDDWTNWLLYKGGVGVKGENSWESWWDEEQAHIQTLRGRILETILSLRFLIFQYGIVYKLKIASHNTSLAVYGFSWIVLLVLVLLFKLFTATPKKSTALPTFVRFLQGLLAIGMIAGIALLIALTKFTIADLFASALAFVATGWCVLCLAVTWKRLVKFVGLWDSVREIARMYDAGMGALIFVPIVFFSWFPFVSTFQSRFLFNQAFSRGLEISLILAGNKANQEA >ORUFI06G00830.1 pep chromosome:OR_W1943:6:733203:733920:1 gene:ORUFI06G00830 transcript:ORUFI06G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGFSGPNSRVVLGLPRLPIGPAPGRPDDAAGRREDAAARALARLCRHGWARGWRPGGSWPAWRSGGSTGITPAASLTARVAVTGSWERRRRDAVEWEGRERTGETARLEKILGLPRLPIGPAPGRPDDAAGRREDAAARALARLCRHGWARGWRPGGSWPAWRSGGSTGITPAASLTARVAVTGSWERRRRDAVEWEGRERTGETARLEKS >ORUFI06G00840.1 pep chromosome:OR_W1943:6:736018:736455:-1 gene:ORUFI06G00840 transcript:ORUFI06G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDINKMMSSDGEISSMAERAASLLRELRQLIEDGCAAAKPLAEMVEYCAKHAAGDEAEGKEEEVLDDGESSDDEEETDMLRLEASSMDVENMLSVAAQRTAELIKDKNKPPEPEPSPEPSPENDPFHGFHSLHSFTEHCYMD >ORUFI06G00850.1 pep chromosome:OR_W1943:6:758199:758600:-1 gene:ORUFI06G00850 transcript:ORUFI06G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDINKMMSSDGEISSMAERAASLLRQLIEDGCAAAKPLAEMVEYCAKHAAGEAQGKEEEVLDGESSDEEETDILRLEASLMGVENMLSARRSSSRTRTSRRSRRRRTTPSTAFTVYIHLLSTATQTKFSY >ORUFI06G00860.1 pep chromosome:OR_W1943:6:759476:764855:-1 gene:ORUFI06G00860 transcript:ORUFI06G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEKKKKKMIKVMSSDGETFEMTEAAASMTAAPTAAPASLSKIIEYCTKHAAVEGRSTAAAELKRFDEELIDVDTDTLYHLLMAGNLMGVEGVLELAVQRTAELIRGKSPEEIRDTFKIANDFTPEEEEEIIKENAWALQGQTDIAGEVAGGIVVLAGIRQSAIMQSPSKVSDASGSMPPATSVRQGWAELQEELLHYIVPLLGSFIDILAFAGTCHSWRAAFSSYPSKSAFRTLLPPLLVRPNVRVKSPCIPSTSNGPHKLLRSCQVIDLANRNTPLRCQIPRETLQKMLFAGSSHGQLICCRSGYCLVVDVFTGAEVSPPRLPFSKDHEEIYFCGTLTAPITSPNSHLLISNRSSLFDWPVGSVSWSELKLPVNRVDQIVEFNGQLIAVIEYKLYTLQLAPKLRLKKMKTLWWDDMSECPYLRPWLVVCDGMLLIVDHYITLSFGAPVNYRPYRLDMSAKPAKWVEVKKLENWALFIGGDARSPPFAFKNPERWGGRSNCLYYAHYSQPWSLHGLGDDADAVWDPTTDDNLVFKRNWYSQLQAFWVYPSMFYSDGDGQ >ORUFI06G00860.2 pep chromosome:OR_W1943:6:764864:768873:-1 gene:ORUFI06G00860 transcript:ORUFI06G00860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWHLIGNQSPNVGRLRDRSASEFAVDQFRHLSARVTSSMAAAAEEKNKKMIKVISSDGEAFEMTEAAASMSRILLHMIEDGCTGDGGAGITLPNVAGSALAKVIEYCTKHAIAAAEGSSSSRKAKEELKKFDVEFMEVGIDMLYDLIMAANFMGVEGLLSLAAQRTAELIKGKSPEQIREMFGIKNDHTPEEEEQIRKDSLDS >ORUFI06G00870.1 pep chromosome:OR_W1943:6:761673:763996:1 gene:ORUFI06G00870 transcript:ORUFI06G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRQEMPPPTELSALGHREVAAAERPFKKTCHSSVPTSSTLCEPHVWPGLLESLLHQIIALLSSFQDLLAFSATCHSWRAALSSFPSVYTFNFPPLCLKLNTPNIRPLRVLLKDNLLSYCKWQLDDPSRRNISLRCSAPPDAPNRMRYLGSSYGYLIFSYHEKNCLFVDAYTGTKLKSPKLNFMGDRDIYYGILTAPLNSPNSHLILCSRSSIFYWQVGTNSWTKHVYGGEHILQIVLFKGEIFAMDVLGRLHTMQFAPELSIQEVAVLRREEMVTGPRSGPWLVACGEMLLMVDLSTDRDQLPRTFQVFRLEFSAETVECVKMERLENQALFVNLDGRDPTFSCTSPERWGGKSNWIYVAKPSGDSGEPWTAVELGQPVPSRIDRVPDFQVDNMWVVPSLIYDVNQ >ORUFI06G00880.1 pep chromosome:OR_W1943:6:777490:781818:-1 gene:ORUFI06G00880 transcript:ORUFI06G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQPLPQPRSSMREALEKEDKEKAAAAKEKAAVPKNGGNGGGGKNGGGNGGGNGGAGAQPGEETTREIQVVREAYRREPAAPAYVMPEEPPAMVELVGWYLYGFCSYFITHLLLPVLFPAIITQVAFPASDFTPDTKYIVKGATCSIHEMSMYQRLTKHSIAIDGSRLSPLGWSGLSWAIGILIAAPILTQAAHHLDRGQYQSLILIAATSFGSFFCLLTGFFKTVWVFLFYILFIGASIIVAEAVHTRNLGLMIRGLAAHDSGKHLVLRRRAAASQLTLYCTAIGGIGAALMAAFMYHMLRRTDQLTGLWVVSIFSGLIWFIGICHGLFTNRPSSSSPTTAFEPNFFTKLSYSMTLVRYPQAIGSLVAVFLSSFATMCIFTSGTLYAIGGVCIKPVLVLVLWILYFLFPLISLPLLHPIQIIIRADAVRMQLLGFIICLFVSGAGFYFKSHRWRAAHIIVIALVQSTANGVLYSFGRILLLDASPPGKEGAFAVWYAFVRCIGAMIGFAAASAGPGRAGGSFAAAFLGSFLGIIVLIFGNVSNIGALKAAGHLKGMEDEKRLGMEKGEGMSAVADSGEGRGRVL >ORUFI06G00880.2 pep chromosome:OR_W1943:6:778077:781818:-1 gene:ORUFI06G00880 transcript:ORUFI06G00880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQPLPQPRSSMREALEKEDKEKAAAAKEKAAVPKNGGNGGGGKNGGGNGGGNGGAGAQPGEETTREIQVVREAYRREPAAPAYVMPEEPPAMVELVGWYLYGFCSYFITHLLLPVLFPAIITQVAFPASDFTPDTKYIVKGATCSIHEMSMYQRLTKHSIAIDGSRLSPLGWSGLSWAIGILIAAPILTQAAHHLDRGQYQSLILIAATSFGSFFCLLTGFFKTVWVFLFYILFIGASIIVAEAVHTRNLGLMIRGLAAHDSGKHLVLRRRAAASQLTLYCTAIGGIGAALMAAFMYHMLRRTDQLTGLWVVSIFSGLIWFIGICHGLFTNRPSSSSPTTAFEPNFFTKLSYSMTLVRYPQAIGSLVAVFLSSFATMCIFTSGTLYAIGGVCIKPVLVLVLWILYFLFPLISLPLLHPIQIIIRADAVRMQLLGFIICLFVSGAGFYFKSHRWRAAHIIVIALVQSTANGVLYSFGRILLLDASPPGKEGAFAVWYAFVRCIGAMIGFAAASAGPGRAGGSFAAAFLGSFLGIIVLIFGNVSNIGALKAAGHLKGMEDEKRLGMEKGEGMSAVADSGEGRGRV >ORUFI06G00890.1 pep chromosome:OR_W1943:6:782422:786864:-1 gene:ORUFI06G00890 transcript:ORUFI06G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGQGLRNISVVEMASKSKGSPGNEEESSKLSWAGCCGHASIIAALFCSVGIRDASSGREGGGRRHGLMRAVRVRSPGQDNPASMLTVFHLTA >ORUFI06G00900.1 pep chromosome:OR_W1943:6:792620:797294:-1 gene:ORUFI06G00900 transcript:ORUFI06G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASDGQPFEADEIMASTFGATSTVGLMAAPTGIVSDKKPSSLSSVSSVSVASRPRNARLQRKCNFRVKAAKELYFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPRIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVQITRGIEKTAKALVEELKKLSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGRSSENNLYVVEGMQFERGYISPYFVTDSEKMSAEYENCKLLLVDKKITNARDLINVLEEAIRGAYPILIIAEDIEQEALATLVVNKLRGSLKIAAIKAPGFGERKTQYLDDIAILTGATVIRDEVGLSLDKADKSVLGTAAKVVLNKESTTIVGDGSTQEEVTKRVAQIKNLIEAAEQEYEKEKLNERIAKLAGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAARVDAIKDNLENDEQKVGAEIVRRALSYPLKLIAKNAGVNGSVVTEKVLSNDNFKFGYNAATGQYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVEIKEPEPAPVTNPMDNSGYGY >ORUFI06G00900.2 pep chromosome:OR_W1943:6:792620:796796:-1 gene:ORUFI06G00900 transcript:ORUFI06G00900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFGATSTVGLMAAPTGIVSDKKPSSLSSVSSVSVASRPRNARLQRKCNFRVKAAKELYFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPRIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVQITRGIEKTAKALVEELKKLSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGRSSENNLYVVEGMQFERGYISPYFVTDSEKMSAEYENCKLLLVDKKITNARDLINVLEEAIRGAYPILIIAEDIEQEALATLVVNKLRGSLKIAAIKAPGFGERKTQYLDDIAILTGATVIRDEVGLSLDKADKSVLGTAAKVVLNKESTTIVGDGSTQEEVTKRVAQIKNLIEAAEQEYEKEKLNERIAKLAGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAARVDAIKDNLENDEQKVGAEIVRRALSYPLKLIAKNAGVNGSVVTEKVLSNDNFKFGYNAATGQYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVEIKEPEPAPVTNPMDNSGYGY >ORUFI06G00910.1 pep chromosome:OR_W1943:6:800707:801690:1 gene:ORUFI06G00910 transcript:ORUFI06G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLRKLFDSFCTKEMKVLMLGLDAAGKTTILYRLHIGEVLSSIPTIGFNVEKVEYKNVAFTVWDVGGQDKLRPLWRQYFRNADALIYVVDSMDRERIGVAKEEFQAIIRDPLMLNSVILLLANKQDLKGAMSSSEVCQRLGAYEELKNRRWHCQGASALTGDGLHGGLDWLASTLRDVQTWGTSVRF >ORUFI06G00920.1 pep chromosome:OR_W1943:6:806880:810400:1 gene:ORUFI06G00920 transcript:ORUFI06G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTCKRISNTPNSMPVLQGWADLPDDLLQCVLALLSSPSDLAAFIATCPNWHAAFRSAKSTLRTTLFRPLAIRSCASSGDDPVVWELFDPAKPTICIHRVTPPDFLAGMDYECCSYGHAIFSGNAPSLKDTTFAIVDVFTGTSVSPPPCPFFTFVNSCALTAPLDYHNSHFLVEAKHSLFAWRVGSDHWSQCSCPPNSKALEQFVPFKGQLYALEYQQLYTVKLEPQLSLEEVQVVWSVEMSEPDLCEPSLVVCDDMLILLAASIGEAFRLDLSSQPAMWVKMEEEELKEWAFFFDEKREAFRPRPPLSCKNPQRWGGIGYDSYSWFFQREKAFSGFQLFQLAENMHVQRHMLLYSWIHEDDFDGPEAFQDQMDDEVSYAAHKSQPVSVPTCQYLCFDFLTHPNSFSPHHRAPAMAPYPLPPPSPPQQQLPPASSSKPRRPPPHRSHGGYKNGTVSVDSGAPHDARGLRALIKALAAEHGEAAPAVHAHAAKLGLDRRRAVRDGLVELYLARGELASARALVDGFPAGRDVVSCTAMVTGHARHGFLDEAVVLFFAMADDRCVAIDAVAAAAAFSACAQIGDLALGREAHRRVAERKVAMDVVAWNALVDMYAKCGDAAAAHRWFRRMPVKKNVVSWNTMMSAFARAGELEEALALFQEMQAAAVRPDDATFVAALGACAQLGALDTGRWLHAYMGRMGHSADGVVGNALLDMYAKCGAVDQATEVFDGMARRDVYTYTSMILGLAMHGRGEDALSLFAGMQRAGVTPNEVTLLGVLTACCHAGLVEEGLQQLDAMPEPRIEHYGCVVDMLGRAGRLDEAEELIAAMPVHSDALIWSSLLAACRAHGDVERAERVMRRRVADADAGDYVLMSNTYASNGRHGEAVKVRGQMRRNEIDKVPGCSLIEIDGVVHEFKAIPANSIR >ORUFI06G00930.1 pep chromosome:OR_W1943:6:810576:811624:-1 gene:ORUFI06G00930 transcript:ORUFI06G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALSAAAAAAGRRMAGQTGFPLLASCRRGDQAHQNYSISAQAQPEEEQKAIHDGGGAAGAQVEAALNRKNVEVHPEEETVEDAWVPDHETGVFVPADEAAVSGTENHDHCGAAAAGGSPSVLDQAVFVREEDMEDVERPAVDMAAANHKPK >ORUFI06G00940.1 pep chromosome:OR_W1943:6:813808:814534:-1 gene:ORUFI06G00940 transcript:ORUFI06G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKYIAAGLVGSFAISYVCDHFIAEKKIFGGTTPHTVSDKEWWQATDKKFQAWPRTAGPPVVMNPISRQNFIVKDLDNNLYFVLVLL >ORUFI06G00950.1 pep chromosome:OR_W1943:6:816846:824899:1 gene:ORUFI06G00950 transcript:ORUFI06G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAPPLAGVGDRGSSSSSSHPPPPPPPKILLAKPPLPPPSSSGADDDGGGGGGAGRSRQATQPGSLSLVSDAWEVHTDKILPYLTENNDFMVIGVIGPTGVGKSTIMNELYGYDGSSPGMLPPFATQTEEIKAMAKHCTAGIDIRISNERVILLDTQPVFSPSVLIDMMKPDGSSAIPILSGDPLSADLAHELMGIQLGVFLASVCNILLVVSEGINDLSMWDLILTVDLLKHNIPDPSLLTSSTTQDKENKNDNQSGIEDYIADLCFVHARLREQDFSPSKLMVLKRVLEKHFKSSSFSIGSSGATPQVSDSSVPSSMKIEDLSSNQQDIYLLPLRTPDNSTNFEYRTCPSMLGMLRDQILSRPSRSFSKNLTERDWLRSSAKIWDMVKKSPVISEYCKTLQDSGFFRK >ORUFI06G00950.2 pep chromosome:OR_W1943:6:819252:824899:1 gene:ORUFI06G00950 transcript:ORUFI06G00950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDLTHRPGLAIVIMGVSGCGKSTVAALLAETLGCSFIEADDYHSQANKAKMSKGIPLTDGDRIPWLEALRDAVRERLDHGEDVAVSCSALQQKYREILREGDCSFRSGSGSYSSCRVKFVCLEASAEVIADRIRRRSMEGEHFMPASLLQSQLDLLQIDEAEGITVVDATVRPNAIVHDTIARFREQLASTVC >ORUFI06G00960.1 pep chromosome:OR_W1943:6:822831:825217:-1 gene:ORUFI06G00960 transcript:ORUFI06G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVVVVCAVVGFLGVLSAALGFAAEGTRVKVSDVQTSSPGQCIYPRSPALALGLISAVALMVAQSIINTVAGCICCKRHPVPSDTNWSVALISFIVSWATFIIAFLLLLTGAALNDQRGEENMYFGSFCYVVKPGVFSGGAVLSLASVALAIVYYVALSSAKSPPNWGPQQNQGIAMGQPVIPPQSSEPVFVHEDTYNRQQFP >ORUFI06G00970.1 pep chromosome:OR_W1943:6:827892:828200:-1 gene:ORUFI06G00970 transcript:ORUFI06G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPPSAVAVVVVAVAPHARAVAAIAAAAQGATAASASRAGAVATAAGSMGRCCHAGEKKWEEKEKEKEEEEEGNGDGRMTCGFLCDFGDVNRETVGAYI >ORUFI06G00980.1 pep chromosome:OR_W1943:6:832227:835991:1 gene:ORUFI06G00980 transcript:ORUFI06G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGMAWAHAVMGWAAVAFALFVLAITTADASSSQGPCAAYGGSGGATFMRAGAALVLLSATAQAVAATAERAAANGARFLSGFFALVAHFAGAYTAAVLSELVPVVVAAAGGVCAASGYKHMLVAHYVVLDIPLIGPFCINMLWSFKKSPRGPYRNRSLTRTREKPRSHRLLLLSRNASPLLSSLLSPAAAAAESDPNQAKMTAGYIAGSLVGSFAIAYLCDTFVSDKKAFGGSIPKTVSDKEWWQATDTKFQAWPRTAGPPVIMNPISRQNFIVKST >ORUFI06G00980.2 pep chromosome:OR_W1943:6:832227:833894:1 gene:ORUFI06G00980 transcript:ORUFI06G00980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGMAWAHAVMGWAAVAFALFVLAITTADASSSQGPCAAYGGSGGATFMRAGAALVLLSATAQAVAATAERAAANGARFLSGFFALVAHFAGAYTAAVLSELVPVVVAAAGGVCAASGYKHMLVAHYVVLDIPLIESIINPHEREAKKPPSPPPFQERVSSPLFSSLSGGGGGGIRSEPRYVLADPRPPRPRAPRSLADLLSPFISSMVLRLSVGLLYV >ORUFI06G00980.3 pep chromosome:OR_W1943:6:833599:835991:1 gene:ORUFI06G00980 transcript:ORUFI06G00980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSFKKSPRGPYRNRSLTRTREKPRSHRLLLLSRNASPLLSSLLSPAAAAAESDPNQGTCSPTPAPLDLGLPDLSQICSLRSSPPWCCASPSDFSTYKLGFLTRSFVGPAVFAQRARLRFGGPSFIFSDEFVHESSRFEVVVFDRLQATDSSGMIDRSIAESTL >ORUFI06G00990.1 pep chromosome:OR_W1943:6:837281:839206:-1 gene:ORUFI06G00990 transcript:ORUFI06G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHVAPLLLLLTLAAVAAAASEEAAAADTEAAAAVEAGLLVRHEAQLARLEELTESLAKSVQALESALARSVEPDPPPPAAAAAAPGDRRAPQGVAVTKRRPYWSERFHFAAAARLGDGAYAAAATALPYEDADGLTKYFAVGDSRGRVFVFSAAGDALLELEPGVSGEPPVTALLAYLSPRRTDCFLFAGHADGSIAAHRLIESSPHGDDWLTLAAASSRLLVRGLDAAPVLHLEAHHAGRARYVLSCDSGGRIRVFTENGTLYGTAIASSTPLAFVKQRLLFLTEAGAASLDLRSMSVRETPCEGLAEALNGSLPKAYSFDPSERFKAYGFTDAGDLVHVLLLGDIASLKCRVRAIKKAEIDNPVAIQTIKGYLLVASQDKILVYNTSTQYYGRVGAPRLLFATSIKDIKSVFAGSGGVMPASPAGKPLVAADREKLVILGLGDGYIAIYRSNFPVYKPESNAVVWSGPALLFLLFLIGIWQVYVKKKDSLGWTPEETFNTSVTAPTGSILNHSTSDRAFADSSTRTGERGYVDGTTRASDRSYVDATTRATDRAYAEATRGVDLRGGALRGAPRRYVSPTRYTGAAGIPYRPVSTEPVLRTTPELKYRGPGMEPPGFPKKRDTLFSSNQTVVDDHVD >ORUFI06G01000.1 pep chromosome:OR_W1943:6:842120:843617:-1 gene:ORUFI06G01000 transcript:ORUFI06G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDLPPPSNSKKSTDANENETPSLPWEEFEEFAEKAKTLPDTISNEDKLLLYGLYKQATVGPVTTGRPGIFNLKDRYKWDAWKAVEGKSKEEAMADYITKVKQLLEEASASTS >ORUFI06G01010.1 pep chromosome:OR_W1943:6:846728:848856:-1 gene:ORUFI06G01010 transcript:ORUFI06G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATLVGVGGLSPALFSPSRPLSCSSSTSVSAPFILRAGGGGDARRHGLRRLVTPLRGSACRGESTNSRVLQCANEANVVTEDDIVNDGIDDETASDAEMDEDAEANGDESSDTDEDASVSWIEQQPLPYPSDALEPYISKETVEQHWGVHQNIHVERLNGMIGGSEWEGMSLGQMMLSSFNEGREAPHPLFFHAAQIWNHDFYWRSMQPGGGGKPPERLLKFINRDFGSYDGMIRQFMDAASTQFGSGWVWLCYKTSKLPHVKSRSPIPSDNYGRLVISKSPNAINPLVWGHSDRRSDYVSTFLEKLVSWETVESRLKKAVQRAVERDEYVSTKHIRKQLLARAKSRIRAMPQQVNGDAREQTSGQEKSLGV >ORUFI06G01020.1 pep chromosome:OR_W1943:6:849662:851281:-1 gene:ORUFI06G01020 transcript:ORUFI06G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTSGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKFAPTIGISVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTPEELATATQVQGDYMPITRGEKRSVEVVKVTDDMKAFKAYAKLRVERMNQRHIGARQKRAAEAEKEEKK >ORUFI06G01030.1 pep chromosome:OR_W1943:6:851285:857488:-1 gene:ORUFI06G01030 transcript:ORUFI06G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYRAEKHEMSVKQCAYRFMKERKLASPFISQLLNNSSLSSLKPWDRFLNPIISMLGAAAATPIEFSIIAFRNISSLSGLGFSSKTPPRLASSSPTLARRHLASASSSASAAASSPPKVR >ORUFI06G01040.1 pep chromosome:OR_W1943:6:853779:857188:1 gene:ORUFI06G01040 transcript:ORUFI06G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGLSELFRNTSEDMFLKAMMENSMGVAAAAPSMEMMGFRNLSQGFREDSEELFNSWLMNGEASFLKIHKILSQYMKIPGFSAMSNRPRQPSRLSSEAAGFPNQQHEIAQEHFPTDNLIPQNLAVHSEFTMNHNQQQLKYYSFSPTSYCVLDFFQIVLNWLSPMTGMQQKRECKLVTYFWQRKRYAAMQSNMPPITTETIETANKLRQDLTNASTVNSAPMSNTPIQTPTFVSPSSSSTSPLDNPHMVAQDTITSVVSMLKDTLERKKLSSHANGDTSSGISFGFYDAQHFEQNILGGTDIFPLVTTSQIQDSVMLPKVERPTEQGSGNFVAPANQVWLGAASREPSQSGSSTAIPAHSAGFEVCDDLPPIGQAMTVCESTRTNAANGNGTADCRSKGKEFRERILKENLKDDRKKGSLTRMGSISSEQADKGDPTKKRRVERSRKMAEAKERSSTPVIPSDIQVVLKRCETLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEDLVEEKERLLEEIERIVSDTNT >ORUFI06G01050.1 pep chromosome:OR_W1943:6:857578:858297:-1 gene:ORUFI06G01050 transcript:ORUFI06G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALGPGRFYGSSLPRPRFFSGGDRVEPPVAVTDPLMAWAHEAHWSMGGLSSKRLRLQGRIEGSIDKLRRRARRDARKAAKARAAGIKPRSLAALGSDDDDSSSDEEEEVEAQKQQIVDEPSESEEEQEEENEEEEEEEALATLAAPAKRKRARKLSDEFDRVATMQEGEAKKQKPAATSTPARTSPRRKAAEVALTPAPARASPRGKAAAEGATAAPARASPKRKAAARRTSPRMKH >ORUFI06G01060.1 pep chromosome:OR_W1943:6:859213:862702:-1 gene:ORUFI06G01060 transcript:ORUFI06G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPAHLVITQEDLRPTQPVAWRMEMFFGSFLNESASQEYFSGHPNVERCPFLRNINGATTFSFSSALPVAARGAKGPIFEDGPGFDSAFKLFHGRDGIVPLSGKSYVPDENNSESVDAKPEPALPFNPLAARAATISLSAFGPFGFNFFNGKGKRQNKKPNNLNQSNKKPSNPNQNSMKQKGGNSSSHEAMSNEWLENGQCPLARSYRAMSGILPLVAKALQPPAGVKLKCPPAVVAVRAALARTELVKSLRPQPLPAKMVAIALLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFIGMLRKSVNMPKTAMAFTIAASIVGQTIGSRAERIRLKALAAKSDADSTTVADMYPNKTGNCSDTEGKAWDPLAMKMMAGRASGGAAAPTPSMCF >ORUFI06G01060.2 pep chromosome:OR_W1943:6:859213:861861:-1 gene:ORUFI06G01060 transcript:ORUFI06G01060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVRMEMFFGSFLNESASQEYFSGHPNVERCPFLRNINGATTFSFSSALPVAARGAKGPIFEDGPGFDSAFKLFHGRDGIVPLSGKSYVPDENNSESVDAKPEPALPFNPLAARAATISLSAFGPFGFNFFNGKGKRQNKKPNNLNQSNKKPSNPNQNSMKQKGGNSSSHEAMSNEWLENGQCPLARSYRAMSGILPLVAKALQPPAGVKLKCPPAVVAVRAALARTELVKSLRPQPLPAKMVAIALLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFIGMLRKSVNMPKTAMAFTIAASIVGQTIGSRAERIRLKALAAKSDADSTTVADMYPNKTGNCSDTEGKAWDPLAMKMMAGRASGGAAAPTPSMCF >ORUFI06G01070.1 pep chromosome:OR_W1943:6:868095:875085:1 gene:ORUFI06G01070 transcript:ORUFI06G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKIIKEVGDGTFGSVWRAINKESGEVVAIKKMKKKYYSWEECINLREVKSLRRMNHPNIVKLKEVIRENDMLFFVFEYMECNLYQLMKSRGKPFSETEVRNWCFQIFQALSHMHQRGYFHRDLKPENLLVTKELIKIADFGLAREISSEPPYTEYVSTRWYRAPEVLLQASVYNSAVDMWAMGAIIAELFSLRPLFPGSNEADEIYKICSILGTPNQRTWAEGLQLAASIRFQFPQWLCSWDPQRRPTAVEVLQHPFFQPCFYIPPSLRFRSTNGYAATPPSVGAKGAVDQKNARRYSVGPLSNGRPAVNYSYLSANTPARAAGVQRKLELDHQVNMNSCQAPEGNYFTKDQGPRAPDIAEKLSQLTVGSNRVPSLASDKFVDMKARTHGNTMKRPLPPVGTRTWHAPADPFRRPYEMPGDRAFLPRKLVS >ORUFI06G01070.2 pep chromosome:OR_W1943:6:868095:876584:1 gene:ORUFI06G01070 transcript:ORUFI06G01070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKIIKEVGDGTFGSVWRAINKESGEVVAIKKMKKKYYSWEECINLREVKSLRRMNHPNIVKLKEVIRENDMLFFVFEYMECNLYQLMKSRGKPFSETEVRNWCFQIFQALSHMHQRGYFHRDLKPENLLVTKELIKIADFGLAREISSEPPYTEYVSTRWYRAPEVLLQASVYNSAVDMWAMGAIIAELFSLRPLFPGSNEADEIYKICSILGTPNQRTWAEGLQLAASIRFQFPQWLCSWDPQRRPTAVEVLQHPFFQPCFYIPPSLRFRSTNGYAATPPSVGAKGAVDQKNARRYSVGPLSNGRPAVNYSYLSANTPARAAGVQRKLELDHQVNMNSCQAPEGNYFTKDQGPRAPDIAEKLSQLTVGSNRVPSLASDKFVDMKARTHGNTMKRPLPPVGTRTWHAPADPFRRPYEMPGDRAFLPRKLVS >ORUFI06G01080.1 pep chromosome:OR_W1943:6:875792:878467:-1 gene:ORUFI06G01080 transcript:ORUFI06G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPSAAAPGIGGYQPQRGAAVFTAAQWAELEHQALIYKYLVAGVPVPGDLLLPIRPHSSAAATYSFANPAAAPFYHHHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTAAPAPQSQPQLSNVTTATHDTDAPLPSLTVGAKTHGLSLGGAGSSQFHVDAPSYGSKYSLGAKADVGELSFFSGASGNTRGFTIDSPTDSSWHSLPSSVPPYPMSKPRDSGLLPGAYSYSHLEPSQELGQVTIASLSQEQERRSFGGGAGGMLGNVKHENQPLRPFFDEWPGRRDSWSEMDEERPPSRQPSSRSPSRCPDVGPLSVIENFAACGSGVDLYPAFYRC >ORUFI06G01080.2 pep chromosome:OR_W1943:6:875850:878467:-1 gene:ORUFI06G01080 transcript:ORUFI06G01080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPSAAAPGIGGYQPQRGAAVFTAAQWAELEHQALIYKYLVAGVPVPGDLLLPIRPHSSAAATYSFANPAAAPFYHHHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTAAPAPQSQPQLSNVTTATHDTDAPLPSLTVGAKTHGLSLGGAGSSQFHVDAPSYGSKYSLGAKADVGELSFFSGASGNTRGFTIDSPTDSSWHSLPSSVPPYPMSKPRDSGLLPGAYSYSHLEPSQELGQVTIASLSQEQERRSFGGGAGGMLGNVKHENQPLRPFFDEWPGRRDSWSEMDEERSNQTSFSTTQLSISIPMPRCD >ORUFI06G01080.3 pep chromosome:OR_W1943:6:875850:878467:-1 gene:ORUFI06G01080 transcript:ORUFI06G01080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPSAAAPGIGGYQPQRGAAVFTAAQWAELEHQALIYKYLVAGVPVPGDLLLPIRPHSSAAATYSFANPAAAPFYHHHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTAAPAPQSQPQLSNVTTATHDTDAPLPSLTVGAKTHGLSLGGAGSSQFHVDAPSYGSKYSLGAKADVGELSFFSGASGNTRGFTIDSPTDSSWHSLPSSVPPYPMSKPRDSGLLPGAYSYSHLEPSQELGQVTIASLSQEQERRSFGGGAGGMLGNVKHENQPLRPFFDEWPGRRDSWSEMDEERSNQTSFSTTQLSISIPMPRCGSPIGD >ORUFI06G01090.1 pep chromosome:OR_W1943:6:884333:888921:-1 gene:ORUFI06G01090 transcript:ORUFI06G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTPLYRKYRDALRHVRAPAGAPSSSSSGGGGGGGGGGPVIEMASLLRSNRPYAPLSTDDPSAASSRSAVTVGLPPAWVDVSEEISANMQRARTKMAELAKAHAKALMPSFGDGRDDQRAIEILTHEVTDLLKRSEKRLQKLSMKDSSEDSNVRKNVQRSLATDLQSLSMEFRKKQSTYLKQLRQQKEGQDGVDLEMNMNGSKSTFELGDDEFEDVGFTEVQMSKLKKSEAFTREREREIEQVVESVNELAQIMKDLSVLVIDQGTIIDRIDYNIQNVAASVEEGYKQLQKAERTQKKGGMVMCATTLVILIFIMIVSACMHGGAVTLVFVCAAAKLEGFAWLLRWEPRIKR >ORUFI06G01100.1 pep chromosome:OR_W1943:6:890945:892236:-1 gene:ORUFI06G01100 transcript:ORUFI06G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERLISSPLLPRRPPRAAFSRPPPSLAAAPPHHRAGGAASGYGSRPPLASLLLSRHHHHHQPPVLAANPAADVAAGEAVPPATATASRRFLQKVASAAAATLLATIALTLIQPAWAPPALASFHSAAKAGGGIFKSELLSSAWTGFLAGCLHTLSGPDHLAALAPLSIGRSRVESAAVGALWGCGHDAGQVIFGLLFLSLKDRLHIEVIRTWGTRVVGLTLLVIGALGIREATEVPTPCVALENGGGGGGVHRGPLDALPATRKKITFATFATGIVHGLQPDALMMVLPALALPSRVAGAAFLGMFLVGTVVAMGSYTVLIGSCTEALKERVPRITEKLTWAASLVAISMGLGILISQSLGFSLY >ORUFI06G01110.1 pep chromosome:OR_W1943:6:895338:903678:1 gene:ORUFI06G01110 transcript:ORUFI06G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSTSRREAAAKSSELGRAGGVDPPRPSAAAMARSGELPKVSAAATAAVRHEGWMLRYGRRKIGRSFVRTRYFVLDNKLLAYYKKQPKDNMVPVKALQIDGNCRVEDRGLKTHHGQMVYVLCIYNKKEKENHITMGAHDIEDALVWKKKLELLIDQQQDTMTAKNRKAFASLDFDMEFGGPLSFSDRDSGQALLIRYMIGPRSLILGCQIRMTPTMLTQERTGGYLDVRMARSCSRAMRAVGVVEATCESIFGLIMSMDVTRYEWDCSFQYGSLVEEVDVVLFRSTEHQNCGPQPGFVRAFIESGGFKISPLKCVNGRPRTQVQHLMQIDLKGWGVNYFSSFQYYSLLQMLNCVAGLREYFSQTDDIHPVPRIPVMSTMATVSKLKKDKKLQETDLKTKQADFGQVDNKNLDMIDEESEEDDDYQVPEANLEEAPTRSDSDAKYTDPIDLSCFSGIIRRDANEKSRNCWTVPDSKLFKVRSESFPHDKSKVPATKYLMELVAIDWLRDIKRMDHVARRKGCAAQVAAEKGMFTFVVNIQFSLEKYQFSFCDSIRARLLYFGHADQVCLHLQIPGSSHYSLVLYFVTRTLEKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYMRGQEYIEVDVDIGSSAVANGVLGLVFGVVTTLIVDMAFLIQANTYDELPEQLLGAARLSNIEPSSAIVPVLDK >ORUFI06G01110.2 pep chromosome:OR_W1943:6:895338:903676:1 gene:ORUFI06G01110 transcript:ORUFI06G01110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSTSRREAAAKSSELGRAGGVDPPRPSAAAMARSGELPKVSAAATAAVRHEGWMLRYGRRKIGRSFVRTRYFVLDNKLLAYYKKQPKDNMVPVKALQIDGNCRVEDRGLKTHHGQMVYVLCIYNKKEKENHITMGAHDIEDALVWKKKLELLIDQQQDTMTAKNRKAFASLDFDMEFGGPLSFSDRDSGQALLIRYMIGPRSLILGCQIRMTPTMLTQERTGGYLDVRMARSCSRAMRAVGVVEATCESIFGLIMSMDVTRYEWDCSFQYGSLVEEVDVVLFRSTEHQNCGPQPGFVRAFIESGGFKISPLKCVNGRPRTQVQHLMQIDLKGWGVNYFSSFQYYSLLQMLNCVAGLREYFSQTDDIHPVPRIPVMSTMATVSKLKKDKKLQETDLKTKQADFGQVDNKNLDMIDEESEEDDDYQVPEANLEEAPTRSDSDAKYTDPIDLSCFSGIIRRDANEKSRNCWTVPDSKLFKVRSESFPHDKSKVPATKYLMELVAIDWLRDIKRMDHVARRKGCAAQVAAEKGMFTFVVNIQFSLEKYQFSFCDSIRARLLYFGHADQVCLHLQIPGSSHYSLVLYFVTRTLEKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYMRGQEYIEVDVDIGSSAVANGVLGLVFGVVTTLIVDMAFLIQANTYDELPEQLLGAARLSNIEPSSAIVPVLDK >ORUFI06G01120.1 pep chromosome:OR_W1943:6:904122:907275:1 gene:ORUFI06G01120 transcript:ORUFI06G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAARRLLSRRATSFSASALLRRGGPGAPESLLRPTVAAVSRVGFLRGFARRPGGDGYSPMRSGGGGGGGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRSPERQRRVEPVPQRASDRPRYNDRTRYARRRENQR >ORUFI06G01130.1 pep chromosome:OR_W1943:6:907352:908073:-1 gene:ORUFI06G01130 transcript:ORUFI06G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTMLACCKLYISESRNAAALRAIEQAACGGGAVVVNRFTDDAYNRGRTLASIRRQLGYFKPNSSGDQWRGAPETDALPVAPDAGPERSPRSKGVVVVGATGWVDNYNVPVRTGDVEAARRIARAVSERGGGLPSVQAMGLAHGGGVVEVACNLLDPARVGAEQVQGMVERLAAGEGLSVGKGYFTDYSQDKIVELYFKSAANTEG >ORUFI06G01140.1 pep chromosome:OR_W1943:6:909564:914475:-1 gene:ORUFI06G01140 transcript:ORUFI06G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPGGARLALLLARRRALPSSSPAASASPFHASRAHGARWGDAYRAAAPAWRSPFSSPTSARLFHGTRPVAARDYYDVLGVSRNASQGEIKKAYYALAKKLHPDTNKGDSDAERKFQEVQRAYETLKDDQKRSLYDQVGPDQYEKASAGGGPGGAYEGGFGNPFEDIFGGGGGGGGMNDFFRNIFREREFSGHDAKVALEISFMEAVQGCTKTINFQTAVTCDTCKGAGVPPGTKPETCLACRGSGFIFMQTGPFRMQSTCTQCGGSGKTVKEFCKSCKGRKVVPGTKNIRLNIVPGTDDGDVIKLVRSGGADPDGGSPGDLYVTLKAILGGTVQVPTLSGDVVLKVKPGTQPGQKVVLRGKGIKTRNSSYYGDQYVHFNVNIPANLTPRQRVLIEEFAKEEQAEEEKDAKAAGASG >ORUFI06G01150.1 pep chromosome:OR_W1943:6:915609:916337:-1 gene:ORUFI06G01150 transcript:ORUFI06G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGKRTKFPWLGVARYAVTAVLAATVAATVVQAIRMVLRPVELDLSVANGAVSVERPESPSAASLVKYKVTLRAYNPSGRAVVHFGGDNLVRLIYGAAAQTELAAFTLPAFVVPQQESHFVTKSAFLNASALPASLAARLYDGETDQVVVQAVASLSFTIGGARGVSAGRRGHNFTFHCWPVSISSYYEVSGGEASCSQDTTEAAVAGLTHDRCIGGPCPEPYKNSGNCSGNSTGTGSKG >ORUFI06G01160.1 pep chromosome:OR_W1943:6:921425:924640:-1 gene:ORUFI06G01160 transcript:ORUFI06G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCRGGGSVHEREEGSKNSSSSSTSGGRWWRRWINAARYVVALALTVVTMVVIVYAFKVEFREKQLEVTVRNGFVVVKPPAAKASKFVSLTLTVDAFNPSGHGRVFFTDVMVYLAANNKSGMPNIFISSAINDATVQPQLFTVIYITTNMTAEKPLYRYYYDKLSSSNLGIIIPNAALRLEGTLRTEAYLGHETPGRSVVYCCPDITIGIAIAADSTDISVPQKQMARRSTRQIRAPNRFGFEEEPVQPEIPDQPVNQADEQEAESDHMAVSGSVNDVSRGNRPPPVDFHQHMAMQTHIIQGMVQNIIAMQQQHTQERQPHMKMQKKRCKNTRDAKFVSKNKLASTILSESISAFKWFRFYVSFDYKAKIKCLHKVKTKPVQPTTILPNIPGSKGSKSPNSGSASLTSLPVGQGRLNHVQ >ORUFI06G01170.1 pep chromosome:OR_W1943:6:938369:940813:1 gene:ORUFI06G01170 transcript:ORUFI06G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYSYCNNVLEKSVSGNFTYVDTTSEASMASASVFMFVLAGFFFNLNLFGGLSNVGAILGPRVRLLFTSSLSLFLPVMSYLFSEAKNTATVGVKDVIEARMNVGQQNADLSFMAGVILIWMLLVELIRKKVDEIAMRGYSGTIHRAGRVAWLGGLVFFNIHSSGRRAVFGVLWVLCATKLVQRIAFTEVGKRSYSCGKNPRIITSYMSSSSSDDDDDDSEPKPQPQLQPRHHQRLEMKKRIIQQQGSESEDAMLKLCRYIVMGEEDLDVKATADGYKVRDTRDSLVTVGDIWLCDDDERKFIGDELRRLSLSFALFKLLRRRFEHLPEMSAVETNECRDIIFKGLYKKEGAAAVFEVMNSEINFLIEYYHSVVPVVLASPFFFLANYFLLPVVVAGVCLMTVILCGGGDVQYIFGSINKDNSVLSSGILNTTICLLLTATKMASSFFGIINLAVTFLLYTIYVYEEVWEFFVFLLSNWFAVSLLCDYVAKRRNSAFRAFLRCVMRVRRCFSSHPRHSSSFKQFSALNLRWPPLNLAMPTALMQLLVSTKPVPIQVKHSILNSLAVHCGYVVHPHPPTPLPNDAAAAAAAHRLLISNGTSGLAAAAGRTDDQLQLSRACKSDSIAELILIWHIATGLLERTSPPKKMSESTRDHFIVATTLSRYCAYLVAFQPDLLPDYSEKVEELFVDMKTELKDRLGCYHYYFSQGRKRANAIVKGNDNNNKKKKQGSVHEGAELATLLQDQDYDNNSMWKLLAEVWTEMVVYVAPSNEEERIMAHKNVLWQGGEFVTVLWALMTHTGITRDRHEIAVQNHP >ORUFI06G01180.1 pep chromosome:OR_W1943:6:950131:950727:1 gene:ORUFI06G01180 transcript:ORUFI06G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIRGHQRRCLLGALVGVIAATALVIAASFVLRPPPLAFSVADARSGATDENKAAFLNLTLVAGNPSGRAAVEYEALDVMLWYGTTDYIETNTSLLLLLAAADEAPLLLQPPRNATAVEVTARTLDDRFVQEIAAGEGRRTGPFNVAVAAQVRFKVAGVVYTRPYNVRVSCSDVYFVVADNKSAAAAASSTPIDCHG >ORUFI06G01190.1 pep chromosome:OR_W1943:6:951602:953878:-1 gene:ORUFI06G01190 transcript:ORUFI06G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFNGTDAHCGGAVGAMGSYVYNLTSSYADQKNEVNIVATSLAMLLLAALLLAFDLLAGAATLRPAARLLLSVSLALFLPVTSYLFSEAKNDVPGAADAELPLRARLILAWMLLVELLRKKVEATVTGTKGAGGGGPASRAGRVAFLGYLVFFNVHGAGRKAVFGVLWVFAAAKLVQRVAIGEFVKRSFAFGKNPQLLAGYMAQTLERRPRRDDELMTSCKYAVTGEENLEREAGPNGYLVDLHKTVAGGDDAGDAVVVTVGRVWSLAESDQLLVSNPKLKRLCLSYALFKLLRREFEETPLTAAEAADCRELIFRGLCNDGGAAADRTATLFQVFDDELGFVTEYYHSVLPVMLASPFFLLVNYIVFPVLVLGLCLMTVVLCGNGDIAFIAGSIKRDNYAVSFGLLRMTRCLLSRVLRSPSALFSSIDLSITFLLFLTILYEEAWELAVFLLSNWLTVSMVSDYAVKPPSRLRRAAIRGVQWVTNRMSRRNFLRVKQYSVLWFCRLPLKLPTAAVPEEAKHSIVEHLVAYDAAVAPLSAGRSAVARNALRNASRLISSACESGSVAEVILTWHIATSLLEVRCPPQKEETAARSSTVATRLSRYCAYLVAFRREMLPDDVDCTARVYGAMTTELKRELGLKGYYFSTDATRYGKMMAIAGGQEDDEAAAAEETTVVRKGARLGKALMDEAAAGDEAAVWKLVADVWTEIVVYVAPARDAEHVRAHGEALARGGEFVTVLWALVAHTGIGRPAAASV >ORUFI06G01200.1 pep chromosome:OR_W1943:6:967280:967888:-1 gene:ORUFI06G01200 transcript:ORUFI06G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKEEEEEKRFRWLDLVRYAAAGVVASLAVAVLVGAVLEVLRPDELDISVAHGSVLAEAHAKSIKIRIHLFASNPSGRAAIRFVNISTTIAADGNDVPMHTRRNFSIPLGDLESLAPLDAIGWLAAKSMKAPMEEMGGYFVSKLADGKSIAVTMLIQGIRITQVGRVSTTQDKVITYTCLGITLGVDRSLISTGDKVHCINN >ORUFI06G01210.1 pep chromosome:OR_W1943:6:975970:976602:1 gene:ORUFI06G01210 transcript:ORUFI06G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTKQLWSRSSWRWSTKQYILVGLAGTLGATAVVIAISALFSPTEMDFSITKASHRLSSEAEDGMQMMNLTVAVANPGCRAWVEYRRLDVSLWYTTPRNGGGVPSWLGSSLIGQTAAVVQPPRNTTAIEVPVVLLFKGLAEGEMRSTTVSVQVDATVRFLIAGMRLACTRPYHIAVSCNLGFALSDNATTGSSFRNPVNCHAAAPRN >ORUFI06G01220.1 pep chromosome:OR_W1943:6:993741:996053:1 gene:ORUFI06G01220 transcript:ORUFI06G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGPETRELLQSCSETMIYFVHNMTASYADKSNESSVVATSVAMFILVAVFFNLNLFSRASDVSAVLNPTVRLFLSSSLSLFLPVMSYLFSEAKKASVDKFIVVNLKLGQQQADDLPLLARVILTWMLLVELLRKKVEAILITSTGMHLYSSLITHASTVAWLGNLVFFNLKAAGQKALFGVLWLLCAAKLVQRVAITEIGKRSYAHGKNARLISSYMAHLPKLKLLVAADSTSSMDRCNFAVMGEENMVLKAGPHGYELDLGLAASDAVVTVGKIWQTKQHPRLKRLCLSFALFKLLRRRFESLPPATRQETDECRELILDAMCKDDQATAGDVPAEVALFQVLNDEVNFLDEYYHSVLPVVLASPYFFVVNYLCFPVVVFGLCVMTIVLCGNGRILYAFKSLTNDNYAVSSGILSLTKCLWKNVVRSPPVFFCIVDVSICYLLFIVVVYEEVWEFIVFLLSNWFIVSLLCSFSANPRPVRCILWLRRNISHYPSLITIKQFTVLSSSPRLPTATLPKHAKLAILERFSRGGGGGGGGGDPLSNGRAVLLTSTGGRHRRFSRLAWACQSGAVAEVILTWHIATSLLETKQQQQLPTSASRSRRTAARLSRYCAYLVAFRPELLPDDREGTERIYKDLKKGIKAALGGARGYYLSSERSRHETIRALRVDASAAADMTVLERGAVLGKQLVEDDEAGDGAVWEMLADVWVELVVYVSPSRAEEHARGHEAALVQGSELVTLLWVLATHTGIARPDHDGEIDQPAAPA >ORUFI06G01230.1 pep chromosome:OR_W1943:6:997422:998009:1 gene:ORUFI06G01230 transcript:ORUFI06G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFGGEFPVDDQPGEPGQPGEPGQPGGRGRGGRGGRGGRGGAGGRLGVRHGRRGRRGGGVARGQGGVGVGWYGGGEVVYVGEEAYIDGVRIPRGGKGGAGGNAGPGGVGGKGGPGGDGGPGGIGGRGGDGGCGGVGGRGRKGGRGGRGGRGGSGGFGGGDGGRGGRGGDGGEGRGGGRGGDGGEGGTQYIYIE >ORUFI06G01240.1 pep chromosome:OR_W1943:6:999221:1000003:-1 gene:ORUFI06G01240 transcript:ORUFI06G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPPGARMRVGLTALTMTEYFRDVNKQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYHPTLSTEMGSLQERITSTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGNEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFQLILSGELDGLPEQAFYLVGNIDEANTKAINLEDENKLKK >ORUFI06G01250.1 pep chromosome:OR_W1943:6:1004707:1005354:1 gene:ORUFI06G01250 transcript:ORUFI06G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAPAAGSSSRAAKRYILLGLAATLVAAVVAGLVSAVLSPAAMKFSVVQVEHAFVGRNGPVGMNMTFTIAAATRGKRAGVRYSEVAVDLVHQEDLTLFTRSYSAVARNAGTKLPFKQPQPGNATNITVPLFIGYKDWDNATTGREQLSVQVRATVHFIVGVAKTRAYRIAVLCPLNNSLNTTDPVVLYPNPSSNGTCAEAGLITKYHDPSIQ >ORUFI06G01260.1 pep chromosome:OR_W1943:6:1008969:1009631:-1 gene:ORUFI06G01260 transcript:ORUFI06G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQQQRQQQEEEESWGNQYTNFKWYDVVLSVVAVLVAALAMAVLVEAVLVMRSNQYDLELKVRHGAVKVKLLQPQRWMMMNFTLAATNPITNTAATDVKISLSVTEITVTSGNKSLTKFHVDGGNVSVGPGHTEYVIWLQNATDSSFFDQLEHNGKVTIELRVRGDIDTRITPLNEDAFDPPSRHVVFDCPGVSLTVVHNLSMIDHGGNKDDDVSCSYV >ORUFI06G01270.1 pep chromosome:OR_W1943:6:1014812:1017202:1 gene:ORUFI06G01270 transcript:ORUFI06G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVTMDEHVCPQKMVAFVYNMTSPYGGDNSKETSVVAISALTFFLAAAFFDLNLFSRLSRVSAVLNPTVRLLLAASLNLFLPVMSYLFSEAKMNGGATAGSNNCKKTAKKVAADELSLLARVILIWMLLVELLRKKLEGALVITRGTQGYSNIVTHAASVVWMGNLVFFTVKAPGKKAMFGILWVLCAAKLVQRVVINEMARRSSGHGKNPRLISSYMAATTPIPTDMAGAAALERCRYAVMGEENMVVKAGPRGYELDLDVAETDEVLTVGKIWRTREHPKLKRLCLSFALFKLLRRRLEDVPPMTKREAQECRTIIFDGLGSNATAAGDLAPEVTVFQVLKDELNLFTEYYHSVLPVVLASPYFFFVNYVLYPPVVFALCLMTIVLCGNGGIPYVINAMLTDSSFLSVGVGTMAKCLWSAVARSSRAFYTFIDVFICYILFIAVVYEEATETLVFLISDWFAVSLLHAYYGKATPPAAARFVLKLSRNLRHYPSRITMKQFSVLGCSSDVSTLPLPTAKLPKHTKRSILERFRDARPPQDGGGAVPLSKNSPAALSTEPFSRFAWACQGGGGGGVAEIILVWHIATTLLEAHHGPPHPTEHVAEERRSRKTAARLSRYCAYLVAFQPELLPDNKEGTQLVYGDVMNEQMKVAVGAGQLGYHVYLTSEWGRLDAVRKIADRLTATEIHRDSSAAAYASLTVLEKGAVLGKLLVEEADGDDKAAAGGRAAVWEMVAGVWVELVAYMAPSSVEEHARAHEAGLVKGIEVITMLWALATHTGIARPDDEVPVEGSV >ORUFI06G01280.1 pep chromosome:OR_W1943:6:1018464:1019144:-1 gene:ORUFI06G01280 transcript:ORUFI06G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGSKLQPTATRFRWLNLARCTLASVVTVLAVVVIARAVVVLLRPEKLRLSVAGGRVSVSRMPAMKPLPRVNMSFVLRAFNPSGRASIEYTGITVALRAIDDGDAASPAAAAPIIAQFPFPDVPVAQQVAHEAAARVSLAAAEDVPLRYVKALFDGRGISAAIQVDGFLTTRMEIDGRISRSNGGVATTFYCLPVTIAVGDGDDDESRTRDTWCLDKSDVPAFVG >ORUFI06G01290.1 pep chromosome:OR_W1943:6:1020159:1020755:1 gene:ORUFI06G01290 transcript:ORUFI06G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHPFPSWWGAKHYIMAAIAGTLAASAIVIVTSVVLSPTRISFSVTGGGASISPSAGGQAFLLNLTIAADNPSHRAGVQYLAFAVSLQQFTARNRMESVEATVQEGVPFYQPPASSRNVLVTVPLVNKNFFGASHGGGGGRGPPFTVVVRGQVRFKVWLAYSRPYDVAVECAPVDVFSGAGGAKTNPKSTMVKCIP >ORUFI06G01300.1 pep chromosome:OR_W1943:6:1027979:1029565:1 gene:ORUFI06G01300 transcript:ORUFI06G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSVLPLLLLHIFILSSMGSHGHGHGDGGAENREHYMVVETSSLLKPKAICSGLKGLLNVRLIRVHEYMRAAMPSSNGTWVALHRPYGPCSPSPTTTSPPSLVDMLRWDKLHTDAIRRKATAGGDVVLEPDKPIVDVQQSDYKMQASFGIGTGGRSGSSSSSSRISRPSAIDDPILAQPMSIDTSIDLPWIQCAPCPMPECYPQQNALFDPRRSRTSAAVPCGSAACGELGRYGAGCSNNQCQYFVDYGDGRATSGTYMVDALTLNPSTVVMNFRFGCSHAVRGNFSASTSGTMSLGGGRQSLLSQTAATFGNAFSYCVPDPSSSGFLSLGGPADGGGAGRFARTPLVRNPSIIPTLYLVRLRGIEVGGRRLNVPPVVFAGGAVMDSSVIITQLPPTAYRALRLAFRSAMAAYPRVAGGRAGLDTCYDFVRFTSVTVPAVSLVFDGGAVVRLDAMGVMVEGCLAFVPTPGDFALGFIGNVQQQTHEVLYDVGGGSVGFRRGAC >ORUFI06G01310.1 pep chromosome:OR_W1943:6:1043242:1045665:1 gene:ORUFI06G01310 transcript:ORUFI06G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDGAGSGSYEYLSCQKTTRMIWNLTSSYTDKSNEASMVSASLIVFALAALFFNLNLFSGISDVGAILDPKVRVILSKALSLFLPVMSYLFSEAKNAGAAASAAGGSTGSLELELSLRARLILVWMLLVELLRKKVEEIRMEAWHAGTVERAGRVAWLGSLVFFNLRAAGRKAVFGILWTLCAAKLVQRMTYTEVGKRSFAYGKNAKLVISYMAQMLQNDAEVELEHHPHGCHGGDELLRRCKYLVMGEEVLVIEPINSGYRITGDIDAVTTVGKIWTLAESDHLLASLDMDHRLRRLCVSFALFKLLRRSFERLPLMTEAETRHCRDLLFRGLYAGAGDGDGGGAEALFEVMSDEANFVAEYYHSVVPVVLASPFFLLANYVLLPLVVLVLCLVVVVLCGNGDVLFSLRSIESDNYTMSSGGVATMARCLLRAVATSPAAFFTAIDLSITSLLFLVLVYEEVWEFVVFLLSNWFMVSLLHAYASSNARWRDSAAFRWAIRRILWARSKMLSHHGLRFKQFSVLSSCRLSLTLPAAVSLALAILPAVSVPCQVKQSIAEYMAKSLYDGGDGMSAVAEHPELLPFCASGGGGGGGVGVVEVILTWHIATAILEEKCPPAASQSDDAIVARTLSRYMAYLVAFHPELLPGNQDSTELVFQAMNDELKQVLGFWGYHLRPLLMLMLRRTRRFECDMVVMAGVAERRPASKQQQQEMTVLQKGAALGRALVEKAGRDGAGGGVWKVVGDVWVELAVEVAPASDEERVMGHRKVLPEGGEFVTVLWALAVHTGISRRLAVTLTPPDTMDRV >ORUFI06G01320.1 pep chromosome:OR_W1943:6:1050007:1056607:-1 gene:ORUFI06G01320 transcript:ORUFI06G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAAAAAREEGETAERGGGGGQGEGGSEANQGRAARRGDPGNEGVEDVGAALFSLRRMGDARRGGDGDSGAVRRDGQRGASSGTATAALKSGIPLANRGTASIVSSSLIYRGCYDFQPPCPCLPRRCLAPRTVAVAPAVPSLPRHHVALALAPRAPRAVPSRSCRVAVALASPLPRVAVALEPLPSARAIAVAPASRPSPAPPRHVTCRVVACRRRVTARLEPRAAAASCCAVATTAPSPALRLRSCPVAARLEPRTPRASHPPSLHRPPLPSALYINPCLPFPPHTSHPPRARPPLSASSTPSKTFRRTPGPCRSGHRFAAGELAVDKPSRSSSSPSN >ORUFI06G01330.1 pep chromosome:OR_W1943:6:1056676:1059778:1 gene:ORUFI06G01330 transcript:ORUFI06G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSSWNSSSDLLRRLRHRHRPTSQRMAALAWTSTEEELAVFTVHLLLALHLQICRIMKGPLTSQIHLDRWSSPAAISDPPRQMVVPVGVAVCSAVCSSSRTRSRSAIILIGRINMIRKYWINCKDMCAASAVGLNLVWVRNVSKPLGFKREVEQDGETQRVWRVIDSQGIAGNKAALI >ORUFI06G01330.2 pep chromosome:OR_W1943:6:1056676:1059778:1 gene:ORUFI06G01330 transcript:ORUFI06G01330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSSWNSSSDLLRRLRHRHRPTSQRMAALAWTSTEEELAVFTVHLLLALHLQICRIWSSPAAISDPPRQMVVPVGVAVCSAVCSSSRTRSRSAIILIGRINMIRKYWINCKDMCAASAVGLNLVWVRNVSKPLGFKREVEQDGETQRVWRVIDSQGIAGNKAALI >ORUFI06G01330.3 pep chromosome:OR_W1943:6:1056676:1059778:1 gene:ORUFI06G01330 transcript:ORUFI06G01330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSSWNSSSDLLRRLRHRHRPTSQRMAALAWTSTEEELAVFTVHLLLALHLQICRIMKGPLTSQIHLDRWSSPAAISDPPRQMVVPVGVAVCSAVCSSSRTRSRSAIILIGRINMIRKYWINCKDMCAASAVGLNLVWREVEQDGETQRVWRVIDSQGIAGNKAALI >ORUFI06G01340.1 pep chromosome:OR_W1943:6:1058315:1063809:-1 gene:ORUFI06G01340 transcript:ORUFI06G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASGCTFTTGWMQHLAASVLRRPPRGSARREEESSPEGQGGQGEEGKMGMLWMPFTPCYADADARSKGRPWVPNPAATTSLLPDLVTRSGGQALAVSTPDGVPQRVVGYVELIGGDSSSSAAMGEDTQEAKALMIAIVPHLHRCDLVFILQSCILRNLESNLPKANKISHETLMGCAKYTTMQPQDVSSPSSLDNPCHQSRHPHLFIAHPTSQVCPCICSLLPCQVLSPALAAPGWALAPCGLLASVGRQMCIAKIKLASLCRSTTFLHTKTFTPDFGILWFKASRLLAEWSSLVTLHLPLLYILAFSSSIAGSTVKFQQRSEANSRIH >ORUFI06G01340.2 pep chromosome:OR_W1943:6:1060937:1063809:-1 gene:ORUFI06G01340 transcript:ORUFI06G01340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASGCTFTTGWMQHLAASVLRRPPRGSARREEESSPEGQGGQGEEGKMGMLWMPFTPCYADADARSKGRPWVPNPAATTSLLPDLVTRSGGQALAVSTPDGVPQRVVGYVELIGGDSSSSAAMGEDTQEAKALMIAIVPHLHRCDLVFILQSCILRNLESNLPKANKISHETLMGCAKYTTMQPQVWITLVIKAATRICSLRTQPARSAPASVHCCPARYSRLRWPLLAGRLRRVGCLPVLVDKCALRRLRSTTFLHTKTFTPDFGILWFKASRLLAEWHCREHSEVSTKVHMFL >ORUFI06G01340.3 pep chromosome:OR_W1943:6:1058315:1062955:-1 gene:ORUFI06G01340 transcript:ORUFI06G01340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCILRNLESNLPKANKISHETLMGCAKYTTMQPQDVSSPSSLDNPCHQSRHPHLFIAHPTSQVCPCICSLLPCQVLSPALAAPGWALAPCGLLASVGRQMCIAKIKLASLCRSTTFLHTKTFTPDFGILWFKASRLLAEWSSLVTLHLPLLYILAFSSSIAGSTVKFQQRSEANSRIH >ORUFI06G01340.4 pep chromosome:OR_W1943:6:1060937:1062955:-1 gene:ORUFI06G01340 transcript:ORUFI06G01340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCILRNLESNLPKANKISHETLMGCAKYTTMQPQVWITLVIKAATRICSLRTQPARSAPASVHCCPARYSRLRWPLLAGRLRRVGCLPVLVDKCALRRLRSTTFLHTKTFTPDFGILWFKASRLLAEWHCREHSEVSTKVHMFL >ORUFI06G01340.5 pep chromosome:OR_W1943:6:1060937:1062955:-1 gene:ORUFI06G01340 transcript:ORUFI06G01340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCILRNLESNLPKANKISHETLMGCAKYTTMQPQDVSSPSSLDNPCHQSRHPHLFIAHPTSQVCPCICSLLPCQVLSPALAAPGWALAPCGLLASVGRQMCIAKIKLASLCRSTTFLHTKTFTPDFGILWFKASRLLAEWHCREHSEVSTKVHMFL >ORUFI06G01340.6 pep chromosome:OR_W1943:6:1063185:1063809:-1 gene:ORUFI06G01340 transcript:ORUFI06G01340.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASGCTFTTGWMQHLAASVLRRPPRGSARREEESSPEGQGGQGEEGKMGMLWMPFTPCYADADARSKGRPWVPNPAATTSLLPDLVTRSGGQALAVSTPDGVPQRVVGYVELIGGDSSSSAAMGEDTQEAKALMIAIVPHLHRW >ORUFI06G01350.1 pep chromosome:OR_W1943:6:1065150:1067051:1 gene:ORUFI06G01350 transcript:ORUFI06G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGDSAYVLTDDPPHRRSVDGGITAGAFLRPVGALHRQAQHASTHGHGDFLVPSRRQKIDFPRPMAYSYVACWKRIVIRDGSPCKVVLFPSAMVSIQQMVADGRIYRCALQRVDSNDS >ORUFI06G01360.1 pep chromosome:OR_W1943:6:1070358:1072144:1 gene:ORUFI06G01360 transcript:ORUFI06G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLARIQRINWRKVLLNHLKDGVKSWWKSQAKSTKPGAKSTKPGGVASVYISGPVTVLLLYYLDFLKSKSESDVSQTPRICYYGESMVTDLVQETMQKDGKRFDNLKFRTTEETCYSRSYNECEKVKVQKVSGKQKKNKRTRLGKNKGEKGDKEEKGTHVNPAVRRKEVVKRYGERAEKDSGAKNDMVAHIPALEDFVKSSLEHMPRYLHSDAIKIVKQNHSSIVEMYEKIMKTQSQMPSKMESLVDRYKDLDEFYSKHIMSKADWEQKHFGNKAAVDINGITIAEHDFVKSMKLNGWISNFIVDVQCSIWREEEEWKDKIILSQSAVNELLGLTQIRGYVEKELSNLAKKKQVCAFLPSCFVSCIKP >ORUFI06G01370.1 pep chromosome:OR_W1943:6:1072564:1073388:1 gene:ORUFI06G01370 transcript:ORUFI06G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSEIEQSFQKVECAGDIISLFIKYLENNTYPDDSRIFVPPLYKGKGGMKKKIKDALGGSNEKKSAVEFPHRF >ORUFI06G01380.1 pep chromosome:OR_W1943:6:1123822:1124251:1 gene:ORUFI06G01380 transcript:ORUFI06G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGGACRSCGKLASLNKLSHRSESEAVEEKALATETPDQSSMLYGRAGAEELIMASSAEELVMALPAEDLVKRPRRRTWSWRHDAYLTS >ORUFI06G01390.1 pep chromosome:OR_W1943:6:1126423:1128795:1 gene:ORUFI06G01390 transcript:ORUFI06G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGDNDTCIDMIFANYVQNLTSSYANKSNETSIVATLSIMFILASLFFILSLFSRLSDVSAVLNPTVRLILSSSLSLFLPVMSYLFSEAKNGDATAGSSGQQTELSLRARTILTWMLLVELLRNKVETALVIDTGAKGYLSTIQQATRVAWQGYLVFFNLKSSGQRVVFGFLWVIAASQLFQRITINEVLKSSYAYGKNAQRLHSYMAHILLHRRRQDSDEGGGGAQLLKLCDYAVMGEEELEMEAGPPEDSELNIQKIISARNTTDHVITVGKIWSLADVRDSPLQKDHRLKRLCLSFALHKLLRRRFENLRFTDAEVHNCRDLIFRGLCRDGTDKEAIAVALFQVLRDEILFVNEYYNSVLPVVLSSPFFLLANYFMSPILILAFFLLTFIACNNGDWSYALQSITSDNLLLHVGIIKTVKCLFHHITTSPPALYTTVDLAITFLLVLANIYEEIWEFIVCILSNWFMVSLIHLYARNPQRSRLSPTFKAIIRRIIWVRNLMSQPRLQFNQLSMLGGGFLPCRHPFLLQPKIVPKEVKKSIMEYLMNHIDGHAPLSNGWSTMQANYPEYHSKLSWMCHNDNVTEVMLTWHIATTILEAKFPKQTGATASSQAHRTVATTLSKYCAYLVAFKPELLPSNLDGTQKMYGALKKELKATLGCWRYCFPKEIVGRRVAVEKLMQDESQGKLEGKMPLMCKGARAGRILFEKATLVDNEEPVWEVLAHIWTELIVFIAPSGDDEVQVKAHRDALGQDAGEFISVLWALTTHTGVTRPCVKPWALIPVENLA >ORUFI06G01400.1 pep chromosome:OR_W1943:6:1129621:1130004:1 gene:ORUFI06G01400 transcript:ORUFI06G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPKTLEGKAPEEATPIEAAPKVALETTMISNKEVVADQAPEKVVEEATAMAELPPNNDAIVMQDKEEEVEEKIVEEEKPSAPAAEVNNTEVVEETIEVKNTEVDKGTTEVKNTEEEKPIQS >ORUFI06G01410.1 pep chromosome:OR_W1943:6:1148334:1151746:-1 gene:ORUFI06G01410 transcript:ORUFI06G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSMARFMNDELKTMQAFLIAAETMKKKDLLLKVWAEQVRSLSYDIEDCLEEFMVHVGNQSLLQQLTNLKDRHRIAVKIRNLKSRLEEVSSRNTRYNSIKMEANNTFDEIESMEDVRNHSRSNIDEAKLVGFDTPKKELLDKINMDANDDDHCRVLCVVGMGGLGKTTLVRKIFENHWEWIREFALPSKNNKRSRVIVTTRLDGVANACTTEPFVYRLKLLETECAIDLLLRKMGESKEDMKNDNNLKSIVTQVVKKCGCLPLAIVTIGAMFANKPSSKWEEMCRQLPSELESNPSPGVEAIRRVVTLSYGHLPSHLKPCYLYLSIFPEDIEIKRRHLVNRWVAEGLVRARVGMTISDVGESYFDELISRSMIQPSRVNMEGHVKSCLVHDIMRDIIVSISKEENFVYSTGDNVSTVIVEKFRHLSCHGGNYPIVGMDFSRVRSLTVFGEFDQRPMLVGSSICSAQFTMLRVLDLENAVFSVTQKDINKIGLLRHLRYLNTHTRRRSTIYALPSSIGKLQNLQVLDIRDSEISTLPTDISKLLMLRILRCSKRARWYFYFDPDEPIKCLKHTLRMPLMLTPLVGSAARNNTIAELHRAYSSHWSETQGVRVPTGISKLKELQVLEVVDLKLTKSKAIEELGELRWLQKLRVTTKGAQDKKRKTLCEAIEKLSSLQSLCVYEGYYFENGTLEWLGPCNFSPPPLLRKLELYGRIRVMPDSFRNLKQLRKIFLRLSELDGRAIEILGTLPNLMLLNLDGRAYVGNELAFKKHEFPNLKELRISMLSELRGIRFEKDALPHMERMEIEYCQLRSGIVGIKHLQKIKEISLGDSCKVAGLDLLEEEVKAHPNKPALQLHEDRSTINLGSPVVLTEDQGSSDEGKAKESVHDDAGESSGK >ORUFI06G01420.1 pep chromosome:OR_W1943:6:1159526:1160934:1 gene:ORUFI06G01420 transcript:ORUFI06G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESRYVKVDSRFFLVDDNSSSSSSSCAAAGGGGGDGDYHYLDACFLCKRDITFNRHIFMYKGNAAFCSDDCRQDQMDMDSALAAVKRRHRTLQRSRDMSSSSSPAPAAAQCAANEAGLFAVIPRRPTVADLTTHAAPAVSGTV >ORUFI06G01430.1 pep chromosome:OR_W1943:6:1161499:1163106:1 gene:ORUFI06G01430 transcript:ORUFI06G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRWAWGPPARAFSTITAKATVPLAHLAELPTSLPTSRYTVTPPVQPWPRRLTARSLARLLLRLPTPHLAVLAFRHALFHAAPPLPPSLPVFAAVLSRLPAADPALLPPVLSALRAANLPAFSDRPFLPLLRSLPPLPSLRLFLSLPSFNSHPSVRSFNALLHSLVSSRRLRLAAALFRAAPTKLYITPNLVSCNILLKGLVGIGDLDAALKVLDEMPGLGITPDVVTYTTVLSAYCGKGDIEGAQKLFDDIIASGRRPDVTMYTVLIDGYCQCGNLQDAARIMDEMEAARVQPNEVTYSVVIEACCKEEKPIEARDFMREMLGAGYVPDTALGAKVVDVLCQDGKSEEAYQLWRWMEKKNVPPDNMVTSTLIYWLCKNGMVREARNLFDELERGFKPSLLTYNSLISGLCENEELQEAGRVWDDMVERGYEPNAMTYEALIKGLCKTGKPNEGATVFEEMVSRGCKPSSLLFQVLVDSLSEPRHEDTIRKILETAALYGRYFLDGDSWEIFVRKVVNATDTSNKHLDLVLDM >ORUFI06G01440.1 pep chromosome:OR_W1943:6:1163617:1167365:-1 gene:ORUFI06G01440 transcript:ORUFI06G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHQEDREEEEHSHGDGEITAPFLRPSTSRGSPELEEEEENSPIEQVALTVPVSDEPETPVLTFRMWVLGTASCAVLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAAALPERAFFRGRPWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHVITAVRVFYGKHISFFVSLLVVLTTQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKEARSKGGLTRNQFFLVAFICSFAYYIFPGYLFQMLTSLSWICWVFPHSVLAQQLGSGLSGLGIGAIGLDWSTVSSYLGSPLASPWFATANVAAGFFFIMYIITPIAYWFNFYKAQNFPIFSDGLFTSTGQKYNISSIVDSHFHFDTKAYEKNGPLYLSTFFAVTYGVGFASLTATIVHVLLFHGSEIWQLSKSAFQEKRMDIHTKLMRRYKQVPEWWFVCILIANIAVTIFACEYYIEQLQLPWWGVLLACAIAFFFTLPIGIITATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYISMSQALTFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYIGTAWWLMETIPNICNTELLPSDSPWTCPGDHVFYDASVIWGLISPRRIFGDLGTYSAVNWFFLGGAIAPVLVWFAHKAFPNQNWILLINMPVLIGATGQMPPATAVNYTTWILVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLENISLNWWGNDLDGCPLASCPTAKGVVVDGCPVYT >ORUFI06G01450.1 pep chromosome:OR_W1943:6:1170400:1173682:-1 gene:ORUFI06G01450 transcript:ORUFI06G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHHEASVQQAREEEEELDHHGDPMITSPLLRPSTSGSSPENGEEENSPVEQVALTVPVSDEPETPVLTFRMWVLGTASCAVLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAATLPEHAFFRGRPWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHVITGVRVFYGKTLSFFISLLVVLTTQYHQMLGFGWAGIFRRYLVEPASMWWPSNLVQVSLFSALHEKEARRKGGLTRNQFFLVAFVCSFAYYIFPGYLFQMLTSLSWICWVFPSSVLAQQLGSGLRGLGVGAIGLDWSSISSYLGSPLASPWFATVNVGVGFFIVMYIITPIAYWFNFYKAQNFPIFSDGLFTSTGQKYNVSSIVDSHFHFDTKAYEKNGPLYLSTSLLVTYGVGFATLAATIVHALLFHGSEIWLLSKSAFQEKRMDIHTKLMRRYKQVPEWWFICILIANIGTTIFACEYYNEELQLPWWGVLFACSIAFFFTLPIGIIKATTNQTPGLNVITEYIIGYLYPGRPVANMCFKVYGYISMKQALAFLEDFKLGHYMKIPPRTMFMAQVVGTSIAAFVYIGTAWWLMETIPNICNTELLPSDSPWTCPGDHVFYDASVTWGLISPRRIFGDLGTYSALNWFFLCGAIAPLLVWFAHKTFPGQNWILLIKTPVLIGATFQMPPATAVNYTTWILVGFLSSYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLEDISLNWWGNDLDGCPLASCPTAKGIVVKGCAVYT >ORUFI06G01460.1 pep chromosome:OR_W1943:6:1175731:1179815:1 gene:ORUFI06G01460 transcript:ORUFI06G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKQLHARALRRGVRLLQPLLLRVLAAGDHRYAARLLESYPAPPSAPLHNRLLHALASLHRPHPLLLPFFSRLHRLRLLTPLSFTLLFSSSSSSASSSTPFFLCSHSLLIKLGHFALSDPFLSSALVSFYAKSKLLVEARKVFDELTCRDTAVYNALLSAYVKGGLVDSAEKLFEEMPERNVVSWTAMVSGYAQNGRHEEAVETFLEMWERAGVQPNELTVSSVLPACAAVGAMELGRKVEEYARGKGLLRNVYVANALLEMYSKCGSIRQAWQVFQGIGRQQDLCSWNSMIMAFAVHGLWREALALFYKLRMAGVKPDGITFVGVILACTHGGLVNEGKLFFDSMEAEFGLKPRIEHYGCMVDLLGRAGLLIESYSLIASMPVEPDAVIWGALLGACSFHGNVKLAELAMDKLIHLEPQNTANLVVLSNIYASSGKWDGVARVWKLLKEKDHKKSAGYSFIELDGTMHKFLVEDKSHPRFEEVYNTLNSVTMTMKLVGLENLEELKG >ORUFI06G01460.2 pep chromosome:OR_W1943:6:1175731:1179815:1 gene:ORUFI06G01460 transcript:ORUFI06G01460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKQLHARALRRGVRLLQPLLLRVLAAGDHRYAARLLESYPAPPSAPLHNRLLHALASLHRPHPLLLPFFSRLHRLRLLTPLSFTLLFSSSSSSASSSTPFFLCSHSLLIKLGHFALSDPFLSSALVSFYAKSKLLVEARKVFDELTCRDTAVYNALLSAYVKGGLVDSAEKLFEEMPERNVVSWTAMVSGYAQNGRHEEAVETFLEMWERAGVQPNELTVSSVLPACAAVGAMELGRKVEEYARGKGLLRNVYVANALLEMYSKCGSIRQAWQVFQGIGRQQDLCSWNSMIMAFAVHGLWREALALFYKLRMAGVKPDGITFVGVILACTHGGLVNEGKLFFDSMEAEFGLKPRIEHYGCMVDLLGRAGLLIESYSLIASMPVEPDAVIWGALLGACSFHGNVKLAELAMDKLIHLEPQNTANLVVLSNIYASSGKWDGVARVWKLLKEKDHKKSAGYSFIELDGTMHKFLVEDKSHPRFEEVYNTLNSVTMTMKLVGLENLEELKG >ORUFI06G01460.3 pep chromosome:OR_W1943:6:1175731:1178887:1 gene:ORUFI06G01460 transcript:ORUFI06G01460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKQLHARALRRGVRLLQPLLLRVLAAGDHRYAARLLESYPAPPSAPLHNRLLHALASLHRPHPLLLPFFSRLHRLRLLTPLSFTLLFSSSSSSASSSTPFFLCSHSLLIKLGHFALSDPFLSSALVSFYAKSKLLVEARKVFDELTCRDTAVYNALLSAYVKGGLVDSAEKLFEEMPERNVVSWTAMVSGYAQNGRHEEAVETFLEMWERAGVQPNELTVSSVLPACAAVGAMELGRKVEEYARGKGLLRNVYVANALLEMYSKCGSIRQAWQVFQGIGRQQDLCSWNSMIMAFAVHGLWREALALFYKLRMAGVKPDGITFVGVILACTHGGLVNEGKLFFDSMEAEFGLKPRIEHYGCMVDLLGRAGLLIESYSLIASMPVEPDAVIWGALLGACSFHGNVKLAELAMDKLIHLEPQNTANLVVLSNIYASSGKWDGVARVWKLLKEKDHKKSAGYSFIELDGTMHKFLVEDKSHPRFEEVYNTLNSVTMTMKLVGLENLEELKG >ORUFI06G01460.4 pep chromosome:OR_W1943:6:1175731:1178295:1 gene:ORUFI06G01460 transcript:ORUFI06G01460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKQLHARALRRGVRLLQPLLLRVLAAGDHRYAARLLESYPAPPSAPLHNRLLHALASLHRPHPLLLPFFSRLHRLRLLTPLSFTLLFSSSSSSASSSTPFFLCSHSLLIKLGHFALSDPFLSSALVSFYAKSKLLVEARKVFDELTCRDTAVYNALLSAYVKGGLVDSAEKLFEEMPERNVVSWTAMVSGYAQNGRHEEAVETFLEMWERAGVQPNELTVSSVLPACAAVGAMELGRKVEEYARGKGLLRNVYVANALLEMYSKCGSIRQAWQVFQGIGRQQDLCSWNSMIMAFAVHGLWREALALFYKLRMAGVKPDGITFVGVILACTHGGLVNEGKLFFDSMEAEFGLKPRIEHYGCMVDLLGRAGLLIESYSLIASMPVEPDAVIWGALLGACSFHGNVKLAELAMDKLIHLEPQNTANLVVLSNIYASSGKWDGVARVWKLLKEKDHKKSAGYSFIELDGTMHKFLVEDKSHPRFEEVYNTLNSVTMTMKLVGLENLEELKG >ORUFI06G01470.1 pep chromosome:OR_W1943:6:1181661:1184721:1 gene:ORUFI06G01470 transcript:ORUFI06G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGQPDAMRRITVHYVNPPPIAGAREAHVDGLDDEVLDYVIGDVLQDQEGLYQSILYGKYGDDMRGARNTALAQSDGLHYYYHGENSSGEATTSRNSEIDQQIEYDLVFARQLQAMDNLTIETPADEDDDISCVPSPSDSETDEPAEGNNEEAATQDDNDDPDNMTYEQRQALVESVGNENRGLSDLLISYLETWKYKSGFFPRKANHDNCPICLSAFRRRETLITLACKHSYHEGCIARWLKIDKACPVCKYEVFGPS >ORUFI06G01480.1 pep chromosome:OR_W1943:6:1186321:1186764:1 gene:ORUFI06G01480 transcript:ORUFI06G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNNFGELPVDGEPGQPGGRGRGGRGGRGGRGGASGGGGGGGGGGGGGGGGGAGGKGGKGGASGHGGAGGGGKGRKGGRGGDGGSGGTGGRGGDGGSGGQGGRGGDGGGVRSCTNSRGTQMLMRIRERVVNANINLYSEKLNFLYN >ORUFI06G01490.1 pep chromosome:OR_W1943:6:1187861:1192797:-1 gene:ORUFI06G01490 transcript:ORUFI06G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPRSNLGLVPRDMHGSIPISTTNSSGPSIGVSSLVTDANSSLSGGAQLQPSTSMNADSFMRLPASPMSFSSNNISGSSVIDGPIVQQSPPQEQMQKRRSSSVTSQPVIDAAGALHAQKKSRVDIRQDDILQHNLIQQLLQGQSSLHLQGQQNPQIQALIHQHKLAQIQQQQQHQMLQPFSQIQQSQVGIPRQPQLRPPLAQPGMQLAGPVRTPVENGLCSRRLKQYLYHKRHRPENNPITYWRKLIDEYFAPRARERWCVSSYEKRGNPSGAVPHTAPDSWRCDICNTHGGKGYEATYEILPRLCQIRFDHGVIDEYLFLDMANEFRLPNGLMLLEHTKVVQKSIYEHMHVIHEGQLRIIFTPELKIMSWEFCSRRHDEYITRRFLSPQVAHLLQVAQKYQTVATESGPAGVSNSDAQNICNMFVTASRQLAKNIDHHTLNEHGLSKRYVRCLQISEVVNHMKDLIEFSHKNKLGPIEGLKSYPKQTATKLPVQNMHEPKQLMAAAGLPNDQTNLKAMGVKTEMNTHANETHGIGPIGNVANQSLLQQEASSMFKGPTAMHNGIQLEASRSFRGPNQVHLTQFQHPASFQQPMPQQSSLQGLGVSPQYQQHVLHQLLQEAKNTNNRVLAQQQQQQQLQHAPANSGLASGGTAITGSAASGDHMNNNGAVKGGTPMVTTGPSSVINNTASILPSRSNSFKSVSSNPQVAAAAGGGIGSGGHAATPKADALHELDDLDNLGNLISTELEESGLFLGDQAGGGYSWNM >ORUFI06G01490.2 pep chromosome:OR_W1943:6:1187861:1192797:-1 gene:ORUFI06G01490 transcript:ORUFI06G01490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPRSNLGLVPRDMHGSIPISTTNSSGPSIGVSSLVTDANSSLSGGAQLQPSTSMNADSFMRLPASPMSFSSNNISGSSVIDGPIVQQSPPQEQMQKRRSSSVTSQPVIDAAGALHAQKKSRVDIRQDDILQHNLIQQLLQGQSSLHLQGQQNPQIQALIHQHKLAQIQQQQQHQMLQPFSQIQQSQVGIPRQPQLRPPLAQPGMQLAGPVRTPVENGLCSRRLKQYLYHKRHRPENNPITYWRKLIDEYFAPRARERWCVSSYEKRGNPSGAVPHTAPDSWRCDICNTHGGKGYEATYEILPRLCQIRFDHGVIDEYLFLDMANEFRLPNGLMLLEHTKVVQKSIYEHMHVIHEGQLRIIFTPELKIMSWEFCSRRHDEYITRRFLSPQVAHLLQVAQKYQTVATESGPAGVSNSDAQNICNMFVTASRQLAKNIDHHTLNEHGLSKRYVRCLQISEVVNHMKDLIEFSHKNKLGPIEGLKSYPKQTATKLPVQNMHEPKQLMAAAGLPNDQTNLKAMGVKTEMNTHANETHGIGPIGNGPQNAAALNNYQNPIGHGPQNAAALNNYQNILRSSVANQSLLQQEASSMFKGPTAMHNGIQLEASRSFRGPNQVHLTQFQHPASFQQPMPQQSSLQGLGVSPQYQQHVLHQLLQEAKNTNNRVLAQQQQQQQLQHAPANSGLASGGTAITGSAASGDHMNNNGAVKGGTPMVTTGPSSVINNTASILPSRSNSFKSVSSNPQVAAAAGGGIGSGGHAATPKADALHELDDLDNLGNLISTELEESGLFLGDQAGGGYSWNM >ORUFI06G01490.3 pep chromosome:OR_W1943:6:1192803:1194178:-1 gene:ORUFI06G01490 transcript:ORUFI06G01490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRGRSDGPEASRGPHGDRSMAVNVGPAHRLQPVATVPGAANLFNRFARRRGRRLQAQEEEEKKRKRREKKIRSKKEKKIAAARFFKVMIGIEPW >ORUFI06G01500.1 pep chromosome:OR_W1943:6:1196664:1199201:-1 gene:ORUFI06G01500 transcript:ORUFI06G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGRMIPPALLLAAAVAAALATAVSGQGRPVTESGAQTAPTPSTFTPKDNFLIDCGSTSPVTTGGKVYKTDAQSNSLLSAKDAIKVATTDADVPSPLYLTARIFRDEAVYSFPLTVPGWHFVRLYLFPLKNSDFDLATATFTVSTDTNVLLHSFTAENKPVMKEFLVNATENHLAVKFYPLKGSAAFINAIEVVNAPDELITDMAMGIAPVGEMTGLAEAAYQVVYRINVGGPAIAPDKDTLGRQWDVDAPYVQSKEAVKDVSVPVGNIKFPDGTSKLVAPAQVYASCAKMADAGVGSPSFNMSWKMEVDPAFGYLVRLFFADIVSKSMNDLYFNVFVNGRKAISGLDLSTVTGELSAAYYKDIVVNSSIATDKLSIQVGPMGEDTGRVDALLSGVEVLKMSNSVGSLDGEFGVDGKKADDGSGSRKAVAAVGFAMMFGAFAGLGAMAVKWYKRPQDWERRNSFSSWLLPIHTGQSFTTSKGGSSKSGYTFSSTLGLGRFFSFAEIQAATKNFEESAIIGVGGFGNVYIGEIDDGTKVAVKRGNPQSEQGINEFNTEIQMLSKLRHRHLVSLIGYCDENAEMILVYEYMHNGPFRDHIYGKDLPALTWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDDNFVAKVSDFGLSKDGPGMNQLHVSTAVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLLETLCARPPIDPQLPREQVSLAEWGMQWKRKGLIEKIMDPKLAGTVNQESLNKFAEAAEKCLAEFGSDRISMGDVLWNLEYALQLQDANPPEGADKPADHDGAGAAPATSSGSGVSTVPDVSTTAAGEMFAQLADMKGR >ORUFI06G01510.1 pep chromosome:OR_W1943:6:1204418:1205781:-1 gene:ORUFI06G01510 transcript:ORUFI06G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLLGSERRVLISASALPPPETLLGRLDHLDLRLRQLEEQRRANAGDGDGGPAAHHQHSKSLPAAALQHVQAKGSLMDRLNLLESRIRQLSCELDVAAAAGGSSVPAVARPAEDRAWSEPPLPEPCKHQAPVCAAAAAGGGSWSGAHFLYKGARQLHRTKPNTSTMKNLKEAKCACEKEKRKAEERWKPARRSFPDH >ORUFI06G01510.2 pep chromosome:OR_W1943:6:1204861:1205781:-1 gene:ORUFI06G01510 transcript:ORUFI06G01510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLLGSERRVLISASALPPPETLLGRLDHLDLRLRQLEEQRRANAGDGDGGPAAHHQHSKSLPAAALQHVQAKGSLMDRLNLLESRIRQLSCELDVAAAAGGSSVPAVARPAEDRAWSEPPLPEPCKHQAPVCAAAAAGGGSWSGAHFLYKGARQLHRTKPNTSTMKNLKEAKCACEKEKRKAEERWKPARRRWFNVGC >ORUFI06G01520.1 pep chromosome:OR_W1943:6:1213305:1216302:1 gene:ORUFI06G01520 transcript:ORUFI06G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPVASNLIGVYIPLVHGVAVCSLINLTTIIFFSSPLLFFLLSSPLLALHPSRLLLPPPQLRDFSFSKPPFFPPQALLCALLARLSRHWCASLSWLQRSDELCDSWGEEEEERRMLGASPKAKKGATVKFGSMKNPPPPVVGAAAGAAAAAAGGKVPAEEVWEVRPGGMLVQKRGGGADEEPVNVKPVPTIRVKVKHAGITHEIYINSQASFGELKKMVAARTGLHPDDQKVMYKDKERDSKAFLDMAGVKDRSKLVVVEDPEARARRLIEERRNGHLEKAAKAVAAVTAEVDKLAPKVAALDASVRKGEKVAENDVVQVTELLMNELLKLDAVVADGDVKAQRRLQVKRVQKYVETLDAVMAKNAAIVRKSGEKLTSKQHHHPPARQQQQQPQQQQQQQQAHQHHQQPAAGQTRWEMFDLLSSLPSTSSASSTTTVSSTASSGAPPPLPPPANRLDWMLF >ORUFI06G01530.1 pep chromosome:OR_W1943:6:1223404:1226380:1 gene:ORUFI06G01530 transcript:ORUFI06G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLESARADLALLILYLNKAEARDKICRAIQYGSKFVSNGQPGPAQNVDKSTSLARKVFRLFKFVNDLHALISPPAKGTPLPLILLGKSKNALLSTFLFLDQIVWAGRTGIYKNKERAEFLSKIAFYCFLGSNTCTSIIEVAELQRLSKSMKKLEKELKHQELLKNEQYQMKLQKCNERRLALIKSSLDIVVAIGLLQLAPKKVTPRVTGAFGFASSLIACYQLLPAPAKSK >ORUFI06G01530.2 pep chromosome:OR_W1943:6:1223404:1226380:1 gene:ORUFI06G01530 transcript:ORUFI06G01530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLESARADLALLILYLNKAEARDKICRAIQYGSKFVSNGQPGPAQNVDKSTSLARKVFRLFKFVNDLHALISPPAKGTPLPLILLGKSKNALLSTFLFLDQIVWAGRTGIYKNKERAEFLSKIAFYCFLGSNTCTSIIEVAELQRLSKSMKKLEKELKHQELLKNEQYQMKLQKCNERRLALIKSSLDIVVAIGLLQLAPKKVTPRVTGAFGFASSLIACYQLLPAPAKSK >ORUFI06G01540.1 pep chromosome:OR_W1943:6:1230304:1231033:-1 gene:ORUFI06G01540 transcript:ORUFI06G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWYCIIMAGAAAAAASTAAAELEAAAAAASCGHDSASSMAARRMSSGVARVPSQMRDFLLGSRTSHTHRPAGPRRRTPRYTGCLVSLNLVLPAGRFGGADVTVAYSSCSSYSMSVEKKRRFGGGAARTWRERGGRRELSWNWLEMSEGEMEVEEEA >ORUFI06G01550.1 pep chromosome:OR_W1943:6:1230570:1231214:1 gene:ORUFI06G01550 transcript:ORUFI06G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDEQEEYATVTSAPPKRPAGRTKFRETRHPVYRGVRRRGPAGRWVCEVREPNKKSRIWLGTFATAEAAARAHDVAALALRGRGACLNFADSARLLRVDPATLATPDDIRRAAIELAESCPHDAAAAAASSSAAAVEAAAAAAPAMMMQYQDDMAATPSSYDYAYYGNMDFDQPSYYYDGMGGGGEYQSWQMDGDDDGGAGGYGGGDVTLWSY >ORUFI06G01560.1 pep chromosome:OR_W1943:6:1234479:1243859:-1 gene:ORUFI06G01560 transcript:ORUFI06G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGDAKYNSYKAAGLRGAILEAAHVSCLEDRYALGPQLGWGQFGVIRSCSDMVTGEALACKSIAKDRLVSPDDVRGVKLEIEVMARLSGHPNVVDLKAVYEDEASVHLVMELCAGGELFHRLEERGCFSEHEAAALFRYLMEVVAHCHSKGIVHRDLKPENILLVSKSPSSPIKLADFGLATYIQPGRSLSGMVGSPFYIAPEVLAGGYNEAADVWSAGVILYILLSGIPPFWGKTKSKIFECIRSTELRFPSDPWDKVSDSAKELITEMLRRDPRQRLTAKQSIPGYKIMPTNPKILVDIAMRSISEERTPAHVRSRRRWRHAAAISSFSAFVAENAPSCALSGFSFGGVCEPCNAVFPSPVASMPSFSFFCGQEPGEPESSPDGDALGEKAHCDATVVALVSSSAPRTAEVLRAAVRANPSRAIGMNSRRNHTIGAGEREHLDVAVAESVIRWASCTNLSTTHSLRASLANGADLRGCTIRRCGREGYGVFSTAAEAGATDEVVMVVPLDLAITPMRVLQDPLVGPRCRALFEEGGVDDRLLVMLFLMVERLRPSSLWKPYLDMLPSTFGSSIWFTEDELAELEGTTLHRATVMQRKSLQTLFDNKVKGLVGELLNVDESGSSIEVRFEDFLWANSIFWTRALNIPLPRFYVFPESLDEKRANIGDDCGDSSLSAPQREEHRIGPFLALKIFHTNREILLLKAYSGLKGTGTAITAKNISGNDNPKSSNTESIWVEGLVPGIDFCNHNVKALATWEVDSMGHVTGCPSSMYLVLADKSFVKAETEICINYGNKGNEELLYLYGFVIDNNPDDYLMIHYPVEALRQVQSADIKMKLLEIQNAELRCLLPRSLLENGFFGSCSGENKENKNNTSPFSSYSWSGQRKVPSYIEKIVFSQEFISTLRTIALQEHELEHTASLLGEIGSNEDRDDELRSAIWEPFSFARMTELEEGTGTEASDSQLLEKFDLSDSEDATRSDESNETKSKVNIRTCIVYRRGQKQLTKLFLREAEHLLELSSKEEN >ORUFI06G01570.1 pep chromosome:OR_W1943:6:1251158:1260807:1 gene:ORUFI06G01570 transcript:ORUFI06G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHHQEVQEQGHGDGEEEEQDNSQITSPLLRSSMRLGSPEENSPVEQVALTVPVGDDPATPVLTFRIWVLGTASCVVLSFLNTFFWYRKEPLTVTAISAQIAVVPLGRLMAAALPERVFFRGRPWEFTLNPGPFNVKEHVLITIFANAGAGSVFAINVITAVRVFYGKRISFFVSLLVVLTSQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKERRSKGGMTRTQFFLVAFVCSFAYYIFPGYLFQMLTSLSWICWIFPKSVLAQQLGSGLHGLGIGAIGLDWSSISSYLGSPLASPWFATANIAAGFFIYIYVITPIAYWINLYKAQNFPIFSDGLFTVTGQKYNISTIIDSQFHFDTKAYEKNGPLYISTFFSISYGLGFACLTATVVHVLLFHGSEIWQLSRSAFQDKKMDIHTKLMKRYKQVPEWWFISILIASVAITMFTCEYYIEQLQLPWWGVLLACALAIFFTLPIGIVTATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYIGPQQALAFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMDTIPNICNTELLPPGSPWTCPYDHLFYDASVIWGLIGPRRIFGDLGTYSAVNWFFLGGAIAPLLVWFAHKAFPGQKWILLVNMPVLIAGISQMPPATSVNYTAWIFVAFLSGYVVYKYRRDWWERHNYLLSGALDAGLAFMAVLLYLCLGLEKISLNWWGNDLDGCPLASCPIAEGITVQGCPVASA >ORUFI06G01570.2 pep chromosome:OR_W1943:6:1255386:1259689:1 gene:ORUFI06G01570 transcript:ORUFI06G01570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHHQEVQEQGHGDGEEEEQDNSQITSPLLRSSMRLGSPEENSPVEQVALTVPVGDDPATPVLTFRIWVLGTASCVVLSFLNTFFWYRKEPLTVTAISAQIAVVPLGRLMAAALPERVFFRGRPWEFTLNPGPFNVKEHVLITIFANAGAGSVFAINVITAVRVFYGKRISFFVSLLVVLTSQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKERRSKGGMTRTQFFLVAFATYSRCSLPSPGFVGSFPNLCSHNSLDQVSMALALVQLDSTGHPSRHISEAHWLAHDGLFTVTGQKYNISTIIDSQFHFDTKAYEKNGPLYISTFFSISYGLGFACLTATVVHLPWWGVLLACALAIFFTLPIGIVTATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYIGPQQALAFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMDTIPNICNTELLPPGSPWTCPYDHLFYDASVIWGLIGPRRIFGDLGTYSAVNWFFLGGAIAPLLVWFAHKAFPGQKWILLVNMPVLIAGISQMPPATSVNYTAWIFVAFLSGYVVYKYRRDWWERHNYLLSGALDAGLAFMAVLLYLCLGLEKISLNWWGNDLDGCPLASCPIAEGVSSGKCIAKFLLIHSTAGNGISIILRRMQRSKNHAERL >ORUFI06G01570.3 pep chromosome:OR_W1943:6:1255386:1259689:1 gene:ORUFI06G01570 transcript:ORUFI06G01570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHHQEVQEQGHGDGEEEEQDNSQITSPLLRSSMRLGSPEENSPVEQVALTVPVGDDPATPVLTFRIWVLGTASCVVLSFLNTFFWYRKEPLTVTAISAQIAVVPLGRLMAAALPERVFFRGRPWEFTLNPGPFNVKEHVLITIFANAGAGSVFAINVITAVRVFYGKRISFFVSLLVVLTSQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKERRSKGGMTRTQFFLVAFVCSFAYYIFPGYLFQMLTSLSWICWIFPKSVLAQQLGSGLHGLGIGAIGLDWSSISSYLGSPLASPCDWTEVQHLYHYRLTLSTFFFSMEGILPFLLPLGLLCKIRYTDKFVTPVSSEIWQLSRSAFQDKKMDIHTKLMKRYKQVPEWWFISILIASVAITMFTCEYYIEQLQLPWWGVLLACALAIFFTLPIGIVTATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYIGPQQALAFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMDTIPNICNTELLPPGSPWTCPYDHLFYDASVIWGLIGPRRIFGDLGTYSAVNWFFLGGAIAPLLVWFAHKAFPGQKWILLVNMPVLIAGISQMPPATSVNYTAWIFVAFLSGYVVYKYRRDWWERHNYLLSGALDAGLAFMAVLLYLCLGLEKISLNWWGNDLDGCPLASCPIAEGVSSGKCIAKFLLIHSTAGNGISIILRRMQRSKNHAERL >ORUFI06G01580.1 pep chromosome:OR_W1943:6:1256180:1261273:-1 gene:ORUFI06G01580 transcript:ORUFI06G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGELLRRAHPLPSAGSRLWFLNPRRRPSSANRLWLLFGPGGVRPRSLEVGRPPHRRRLHQIPPEYSRPPESQHLQNEAQHVDVTSTWLVRTTRRETKKEMRFP >ORUFI06G01580.2 pep chromosome:OR_W1943:6:1256180:1259029:-1 gene:ORUFI06G01580 transcript:ORUFI06G01580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHAVPRPRGRRKGRIPSMEKKNVDNCCSKTCKTKTIADRKEGADHVDVTSTWLVRTTRRETKKEMRFP >ORUFI06G01580.3 pep chromosome:OR_W1943:6:1256180:1261273:-1 gene:ORUFI06G01580 transcript:ORUFI06G01580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGELLRRAHPLPSAGSRLWFLNPRRRPSSANRLWLLFGPGGVRPRSLEHVDVTSTWLVRTTRRETKKEMRFP >ORUFI06G01580.4 pep chromosome:OR_W1943:6:1256180:1258471:-1 gene:ORUFI06G01580 transcript:ORUFI06G01580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVIATLAIRMEMNHHSGTCLYLFISFVGRPPHRRRLHQIPPEYSRPPESQHLQNEAQHVDVTSTWLVRTTRRETKKEMRFP >ORUFI06G01590.1 pep chromosome:OR_W1943:6:1261734:1263587:-1 gene:ORUFI06G01590 transcript:ORUFI06G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSFSSSRHQMSTAQRFDILPCGFSKRGSRGDGAAPRVAGDARSGATTCSFRTHPAPPVTQSVSWGAKPEPGGNGNGAHRAVKRAHDEDAVEEYGPIVRAKRTRMGGDGDEVWFHQSIAGTMQATAAGEGEEAEEEKVFLVPSAAAFPHGMAAAGPSLAAAKKEEYSKSPSDSSSSSGTDGGSSAMMPPPQPPEFDARNGVPAPGQAEREALELVRALTACADSLSAGNHEAANYYLARLGEMASPAGPTPMHRVAAYFTEALALRVVRMWPHMFDIGPPRELTDDAFGGGDDDAMALRILNAITPIPRFLHFTLNERLLREFEGHERVHVIDFDIKQGLQWPGLLQSLAARAVPPAHVRITGVGESRQELQETGARLARVAAALGLAFEFHAVVDRLEDVRLWMLHVKRGECVAVNCVLAMHRLLRDDAALTDFLGLARSTGATILLLGEHEGGGLNSGRWEARFARALRYYAAAFDAVDAAGLPEASPARAKAEEMFAREIRNAVAFEGPERFERHESFAGWRRRMEDGGGFKNAGIGEREAMQGRMIARMFGPDKYTVQAHGGGGSGGGEALTLRWLDQPLYTVTAWTPAGDGAGGSTVSASTTASHSQQS >ORUFI06G01600.1 pep chromosome:OR_W1943:6:1266638:1267774:1 gene:ORUFI06G01600 transcript:ORUFI06G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPHPLAEPTPIPKPPDRIAVAAAEARRKTRMPPAAAAKTLVPARGGGDMEEPLLAESSDRFSMFPIRYPQIWEFYKKAVASFWTAEEVDLSADARHWDAALSPDERHFVSHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDDVEKDRLFRAIDTVPAVRRKADWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDLLRGKLDESRVQEIVADAVDIEREFVCDALPVALVGMNGELMSQYIEFVADRLLMALGCKKMYNVANPFDWMELISLQGKTNFFEKRVGDYQKASVMSSLNGGASCNHVFSIDEDF >ORUFI06G01610.1 pep chromosome:OR_W1943:6:1267847:1275878:-1 gene:ORUFI06G01610 transcript:ORUFI06G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRDYVDHQSTNEEKLHSRLGPPVSDYHHQRYLTRSVTNRVGNNRPTSSETNYFYMTPPTMEWRAAPTLELVSDVGGHGSGEVGDVAEGGMGEGVEADVVDGAEARVRAGVGAEVDGLQRRPDHAEHGVPERRRRRRGGERRDERVAHPVVGVVGEAVDDDGAAAAAARRLLDGAQGGVVAALGDHASNVVGLAIVVDVIIVFFSGDVEMTRDQQYSIFEHEYMSNQNK >ORUFI06G01620.1 pep chromosome:OR_W1943:6:1272062:1273714:1 gene:ORUFI06G01620 transcript:ORUFI06G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTTMARPTTLLACCKLYISESRNDAALRAIEQAARGGGGGAVVVNRFTDDAYNRVGYTLVAPLTPSPAPPPLRHAVLGMVRAALEAIDFGAHAGTHPRLGAVDHICFHPLAHASLRHVADLAGAVAADIGDELQVPTFLYGAAHREGRTLASIRRQLGYFKPNSSGDQWRGAPETDALPVAPDAGPERPPRSKGVVVVGATSWVDNYNVPVHTGDVEAARRIARAVSERGGGLPSVQAMGLAHGGGVVEVACNLLDPARVGAEQVQGMVERLAAGEGLSVGKGYFTDFSQDKIVDLYFRSAANTEG >ORUFI06G01630.1 pep chromosome:OR_W1943:6:1273872:1282058:1 gene:ORUFI06G01630 transcript:ORUFI06G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTMKLPLPAMAAVVALCAASYLLAVWTHPAPPLPASSLAAVPCNTRQPPAPAASKNDTALDFSIHHGASEEDAAEAGAPPSRRVPACDAGYSEHTPCEGQRWSLRQPRRRFAYRERHCPPPAERRRCLVPAPRGYRAPLRWPRSRDAAWYANAPHEELVTEKGVQNWIRRDGDVLRFPGGGTMFPHGADRYIDDIAAAAGITLGGGGAVRTALDTGCGVASWGAYLLSRDVLTMSFAPKDTHEAQVLFALERGVPAMLGIMATKRLPYPARAFDMAHCSRCLIPWSKYNGLYMIEVDRVLRPGGYWVLSGPPVNWERHFKGWKRTPEDLSSEQSAIEAIAKSLCWTKVQQMGDIAVWQKQINHVSCKASRNELGGLGFCNSNQDPDAGWYVNMEECITPLPEVSGPGDVAGGEVKRWPERLTSPPPRIAGGSLGSSVTVDTFIKDSEMWRRRVDRYKGVSGGLAEKGRYRNLLDMNAGLGGFAAALVDDPVWVMNVVPTAAVANTLGVIYERGLIGTYQDWCEAMSTYPRTYDLIHAYSLFTMYKDRCEMEDILLEMDRVLRPEGTVIFRDDVDVLVKIKNIADGMRWESRIVDHEDGPMQREKILVSVKSYWTA >ORUFI06G01640.1 pep chromosome:OR_W1943:6:1282012:1285837:-1 gene:ORUFI06G01640 transcript:ORUFI06G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNVALVIVAAVVSVLVLLVSVYLLINYQHPDDANQAYFPKLVVVLGITVALLSILMLPADVANRQACRRAIYSGACSLTLPMKTLWLAVYIADAVLVFLVIPFAMFYYEGDQDKSVGKRLTSALLWVAVSAVVCGLILGILYGLVGKVDFTVRHLSSAVETFPNSFTSFSTGQPCISTSPKQCAAYTAPANSQTTWTMRATFPEYVVALATIVGSVLFTIFGGVGIACLPLGLIFSFVRRPKAVITRSQYIKEATELGKKARELKKAAEALHQEEKSGKKGRKWRKNVKALGKELVLLEDDMKALEEMYPQGEQAEATWALTVLGYIGKLLFGAVGLIISIAWVAHIVIYLLIDPPLSSFLNEIFVKLDGVWGLLGTAAFAFFCFYLLIAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYAIFGWRKRKPTGRFQLSN >ORUFI06G01640.2 pep chromosome:OR_W1943:6:1282012:1285837:-1 gene:ORUFI06G01640 transcript:ORUFI06G01640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNVALVIVAAVVSVLVLLVSVYLLINYQHPDDANQAYFPKLVVVLGITVALLSILMLPADVANRQACRRAIYSGACSLTLPMKTLWLAVYIADAVLVFLVIPFAMFYYEGDQDKSVGKRLTSALLWVAVSAVVCGLILGILYGLVGKVDFTVRHLSSAVETFPNSFTSFSTGQPCISTSPKQCAAYTAPANSQTTWTMRATFPEYVVALATIVGSVLFTEATELGKKARELKKAAEALHQEEKSGKKGRKWRKNVKALGKELVLLEDDMKALEEMYPQGEQAEATWALTVLGYIGKLLFGAVGLIISIAWVAHIVIYLLIDPPLSSFLNEIFVKLDGVWGLLGTAAFAFFCFYLLIAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYAIFGWRKRKPTGRFQLSN >ORUFI06G01640.3 pep chromosome:OR_W1943:6:1282012:1285837:-1 gene:ORUFI06G01640 transcript:ORUFI06G01640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNVALVIVAAVVSVLVLLVSVYLLINYQHPDDANQAYFPKLVVVLGITVALLSILMLPADVANRQACRRAIYSGACSLTLPMKTLWLAVYIADAVLVFLVIPFAMFYYEGDQDKSVGKRLTSALLWVAVSAVVCGLILGILYGLVGKVDFTVRHLSSAVETFPNSFTSFSTGQPCISTSPKQEATELGKKARELKKAAEALHQEEKSGKKGRKWRKNVKALGKELVLLEDDMKALEEMYPQGEQAEATWALTVLGYIGKLLFGAVGLIISIAWVAHIVIYLLIDPPLSSFLNEIFVKLDGVWGLLGTAAFAFFCFYLLIAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYAIFGWRKRKPTGRFQLSN >ORUFI06G01650.1 pep chromosome:OR_W1943:6:1288738:1297045:-1 gene:ORUFI06G01650 transcript:ORUFI06G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTSRILAAGHLLRGSRSRYDPSPVAAAAPIFRRPPTVPRPLPSPLLGGFGPNCWVYPGDGKYAPFGRLSCFMSDSTYPPPPRDVRGHAFSTSANAVAVGKSSDDKVKKDISKKDVDDQIADTQILKNLGKYLLLNDSPDFRFRLILSLGLLVGAKVINVQVPFLFKLAVDWLAALAGAETSLASFTEANATLLALFASPAAVLIGYGIARSGVSACTELRNAVFSKVTLRAIRSVSSTVFSHLHELDLRYHLSRQTGALNRIIDRGSRAINYILTVMVFNVVPTILEIGMVSSILAYKFGSTFAWITSVSVATYIAFTLAVTQWRTKFRTAMNKADNASSTVAVDSLLNYETVKYFNNEQFEVEKYDKYLKKYEDAALKTQSSLAYLNFGQNIIFSSALSTAMVLSSYGVMSGALTVGDLVMVNGLLFQLSLPLNFLGSVYRESRQSLIDMKSMFQLLEEKPGIKDEPHAQPLQFKGGRIEFENVHFGYVPERKILKGATFTVPAGKSVAIVGTSGSGKSTILRLLFRFFDSSSGSIRIDGQDIREVTLDSLRKCIGVVPQDTVLFNDTIKHNIQYGRLSATDEEVYDVARRAAIHDTIINFPDKYNTVVGERGLKLSGGEKQRVSIARVFLKEPSILLCDEATSALDSTTEASILNSLKTLSVDRTSIFIAHRLTTAMQCDEIIVLENGEVVEQGPHDFLLSKGGRYAELWSQQNNSDAIDAAAVSLEVS >ORUFI06G01660.1 pep chromosome:OR_W1943:6:1299060:1306934:1 gene:ORUFI06G01660 transcript:ORUFI06G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNGAGTLRSTSINGVKLYSITGNRYVAPWVLSKKKRALRKDAGILTRLTLIFSLYFGFFFLVQMTCIYPPQVKVYELKELSMKFERHMISEIVDFQVLGDDYSKLAFLCADRSVCLHAKYGRHYSLRIPRMGRDMAYDCWSCDLLCAASSPDVYRINLEQGRFLASLSSQSPAINVVSRSNIHGLIACGGEDGAVECFDMRRKSSVGRINTAVSPEDFNQEVTSLQFDENQGYLMAVGSSTGKVAIYDLRMSSPLRVKDHMYGSPILSIKWHQTLNSTEPKLITADKHIVRVWDPNTGNNMTSIEPDGGTINDVCVFRNSGLMLLALDNSQIPAHFIPALGPAPKWCSHLDNLTEEMEEKTENIVYEDFKFLTKDEMDRYDLSKYIDQGLVRAHMHGYVMKLQLYKKLLATSAVDPENIQEKVKQKKIEEQRKSRITQVVKIPKVNRQIMDNILKEEEEMDADLENDEKSGIKKKKKKLEMNKALLTDPRFKEMFENKDFEIDEQSREYLALHPQTSLKEPHLIEEHFETVSDDEEQEDASSSDASAESDSDNGMQSSKRIRLYEVKDDRHAEAFLNSTSLANEDALRIGDRVAALERQRNSNALDEVKYGPGGSREISFIARGSRRRNEESDDEEPKDFKRRGVQSLGLKQGKAEYYLFGGSRGRGRGRGGGGGRGRGGGGGGGRGGGGGGGGGRGGRGRGRGRGRGRG >ORUFI06G01670.1 pep chromosome:OR_W1943:6:1307482:1311152:1 gene:ORUFI06G01670 transcript:ORUFI06G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSRALGRRLFSSSSAAASDATAAAAAVVRKAQNPLEEFFEVERSTEEDKPPPHYGRSWKASELRLKSWDDLQKLWYVLLKEKNMLMSQRQMLHSENMRFPNPERVSKVKKSMCRIKHVLTERAIAEPDPRRSAEMKRMINAL >ORUFI06G01680.1 pep chromosome:OR_W1943:6:1315087:1320840:1 gene:ORUFI06G01680 transcript:ORUFI06G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCVGLGERLAAAAKDGDAAEAQRLLAANPGLARCTTFGNLNSPLHVAAAKGHHEIAALLLENGADVNARNIYGQTPLMQACRFGHWEVVQTLLVWRVENLSGRTALHMAAAGGHVKCVRLLVADAAGDRDGSSSEVAAMVISSWLIDRYVNKAANGGVTALHLAALHGHVECVHLLIDERASLAAQTLPCAAPPMASIGAGSTPLHYAACGGEVKCCQILVSRGADRTAINCNGWLPIDAARIWGCNWLEHVLSPKSHLPIPKFPPSGYLSQPLPSLIAIAREQGLNLSSEVSDGFDEGADACAVCLERPCTVAAEGCDHELCVKCAMDLCSVIKSYDSAGIAGEIPCPLCRTGIASFRTTAAPPPPSLAGSPARRSRRNNSGGGGGEHEVSNSGGSEKGYGSIDPDAGAVVPLYYAPPFAPSAILT >ORUFI06G01680.2 pep chromosome:OR_W1943:6:1315087:1320840:1 gene:ORUFI06G01680 transcript:ORUFI06G01680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCVGLGERLAAAAKDGDAAEAQRLLAANPGLARCTTFGNLNSPLHVAAAKGHHEIAALLLENGADVNARNIYGQTPLMQACRFGHWEVVQTLLVWRVENLSGRTALHMAAAGGHVKCVRLLVADAAGDRDGYVNKAANGGVTALHLAALHGHVECVHLLIDERASLAAQTLPCAAPPMASIGAGSTPLHYAACGGEVKCCQILVSRGADRTAINCNGWLPIDAARIWGCNWLEHVLSPKSHLPIPKFPPSGYLSQPLPSLIAIAREQGLNLSSEVSDGFDEGADACAVCLERPCTVAAEGCDHELCVKCAMDLCSVIKSYDSAGIAGEIPCPLCRTGIASFRTTAAPPPPSLAGSPARRSRRNNSGGGGGEHEVSNSGGSEKGYGSIDPDAGAVVPLYYAPPFAPSAILT >ORUFI06G01680.3 pep chromosome:OR_W1943:6:1315087:1320840:1 gene:ORUFI06G01680 transcript:ORUFI06G01680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCVGLGERLAAAAKDGDAAEAQRLLAANPGLARCTTFGNLNSPLHVAAAKGHHEIAALLLENGADVNARNIYGQVWRVENLSGRTALHMAAAGGHVKCVRLLVADAAGDRDGYVNKAANGGVTALHLAALHGHVECVHLLIDERASLAAQTLPCAAPPMASIGAGSTPLHYAACGGEVKCCQILVSRGADRTAINCNGWLPIDAARIWGCNWLEHVLSPKSHLPIPKFPPSGYLSQPLPSLIAIAREQGLNLSSEVSDGFDEGADACAVCLERPCTVAAEGCDHELCVKCAMDLCSVIKSYDSAGIAGEIPCPLCRTGIASFRTTAAPPPPSLAGSPARRSRRNNSGGGGGEHEVSNSGGSEKGYGSIDPDAGAVVPLYYAPPFAPSAILT >ORUFI06G01690.1 pep chromosome:OR_W1943:6:1321629:1322294:-1 gene:ORUFI06G01690 transcript:ORUFI06G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFMSKNGAGDGCGGGGGGGGGGVALEVTVLSAESLRLPPPSYYSLIPRRLRPYVTVSSAASACSTDVAAAASGEHSWNDTLVVPVGAEFLESRGGGGVHVAVYSEPACRLVGGATPLGWCRIPAADVLDGLRPPRALRRLSYSLRCPRTGGPARGVVHLAVRVLGDLVPPPPPQHAPSTPPAQPGWCRVAMGIPVSGTSAAVVGMPAWAAWGGEAAASR >ORUFI06G01700.1 pep chromosome:OR_W1943:6:1325669:1326847:1 gene:ORUFI06G01700 transcript:ORUFI06G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLLLPVPVPPSLLYAVAALALAAVTHLLHLPSLLLYALHTYIHPDAVPSSTPRAVLRPPGAAAGSGNPKQQRGGGGGGKAAASPFDEGSNSAQLYRLRLSHATLATRPRFADFHLALLLPLALLPPALLLPASAAGAAAPLAPLPPVVFLFVALLRLVMLPSPRPAYLAAALGALLVATLLSSSPFAGALASLAALPATRFARSFWLGTDQPRSGLAVLASSAPARLLLYLAVLVSSAASILQCCGFLDSPELEVKLLAAAAGLQLLASRAAVQMYLNEAVFCWYQRLHVSRSPDTEYGRAKVFLHNHHLCAVATQLVAPPLLVLSLLALWRVQGKDYFEGVEELNWLVGWSVAMKEAALLAARWIVAVWSTVTVGTLVFYKRGWLFVL >ORUFI06G01710.1 pep chromosome:OR_W1943:6:1333872:1337234:1 gene:ORUFI06G01710 transcript:ORUFI06G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLRYLAGTAGASGFGSRATAEDATAACSDLRHITAIITGATSGIGAETARVLAKRGARLVLPARSLKAAAEARARLLAECPAAAGDVVVMPLDLSSLASVRRFAARFLALGLPLNLLINNAGKFADRFALSDDGVEMTFATNYLGHFLLTKLLMEKMAETAAATGVEGRIVNVSSTIHSWFAGDDAVGYIDAVTRRKMCVEPYDPTRAYALSKLANVLHTRALADRLKEMKANVTANCVHPGIVRTRLIRERDGLVTNTVFFLASKLLKTIPQAAATTCYVAVHPAVAGVSGKYFADCNEASPSRLGSNADEAAKLWRFSDEVAAEEKEESVHAGSFRLQVQSSNADRGLAFA >ORUFI06G01720.1 pep chromosome:OR_W1943:6:1339258:1341253:-1 gene:ORUFI06G01720 transcript:ORUFI06G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPRPQNEPISISPTESLTLFPPPSTRQLHAPTSPSSSSLRRAVDLPPLPGRRRRGVLHAACCSCLLPRPPLFFKRWPSVGGAAREVDAASSASRRSVGDGAVDGYKFGDQTTSDVRVCFKRADDQAEWFCCHSSVLSGNSKYFADWLSRNDIGSNNCIGVDCISADYEHYVKVLKLIYLPAESIIDSFESVRSAVGVLRASTLLKCELITRSCIEYLEAASWDEKEEEEILEVAQSLGSEEAVALLARLQAPNVSAVKNVFISAIRFATSMESPSPPFLDDLKTSAQEQIDFMLHEDDDTALVTMDEDVRSVVREGLKKLFSTLKIGLDLLTSEYEQLPEQAEQRVLCSLADIDWMANVLTKTEMMNEFVSGWSEISGYVLSVVQDKKYSSGLWLVKPKLIEVTGKAFDAVGYGSVVFPASSRVHFLRMWLPFMQTTKRLLDEKSKDDAIPQMDADLFQNIEGAIVSLVLALPSGDQADILGEWMKNAEQFRYPDLTEAFEVWCYRSKTAKRRLVGGLNGSGNPTVSL >ORUFI06G01730.1 pep chromosome:OR_W1943:6:1342454:1345574:-1 gene:ORUFI06G01730 transcript:ORUFI06G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKEVVVAAAGGGAGAESGAEGSSSAGGSGGGGELAEALARRRLYREVTLALRTGLRDAKADFSFLRARGLRSLLGFLRSTASATDDSQLLLFRHSQSIPDLQVIPVLFQNSLHQPKEDPVVTLDHIFGVEPMKITSPPTDNEIALALRVLEGCCLLYSRCTALAHKYKAVKVILNILANRGPAEQGVCLDALISLMLDSPPNQMDFEEFSGLEKVAELLKDVQIEMWGVPTIANWACLCERKLSHTRTNENFVRRAVRITDMGSKSVWLHSRRGAEADGLANTSKESC >ORUFI06G01740.1 pep chromosome:OR_W1943:6:1349439:1357133:1 gene:ORUFI06G01740 transcript:ORUFI06G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLMADQIPEWKGYYINYKLMKKKVKQYGQQVQQGEKDRRRVLKDFSKMLDDQIEKIVLFLLEQQGALASRIEKLGKQRAILAEQPDISAIAELREAYREVGLDLIKLLKFVDLNATGIRKILKKFDKRFGYRFTDYYVTSRSNHPYSQLQQVFKHVGVGAVVGALSRNLADLQERQGSYLSIYDQPSTALKDPIIDMINSSVDKLTRSTNFLRFLGQHALIVGEESPSTAEEEEIEDQKYHFMSLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAASTVCGVVIGSMAVAQIFSSVYFSAWSNKSYFRPLIFSSIVLFLGNVCYAMAYDMKSLTVLIIGRLLCGMGSARAVNRRYISDCVPARIRMQASAGFVSASALGMACGPALAGLLQWKFKIYMVTFNQSTLPGWVMAVAWLLYLVWLWISFKEPNRATEVNGTQQNPASVQRADIEQLENGLAQPLLRDSSKKDEDDDEEVDDSEEGTHDSRKPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITNHYFNWNTSAVAIFLAILGLTVLPVNAVVGTYISNMFEDRQLLMVSQITLLVGIIFSFKITSTYSVVQYVVSALVTFVSAEVLEGVNLSLLSSVMSSRLSRGTYNGGLLSTEAGTLARVVADCTITAAGYLGIGKLLNVTLLPSLVICAASIASTFLTYNSLF >ORUFI06G01740.2 pep chromosome:OR_W1943:6:1349378:1357133:1 gene:ORUFI06G01740 transcript:ORUFI06G01740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLMADQIPEWKGYYINYKLMKKKVKQYGQQVQQGEKDRRRVLKDFSKMLDDQIEKIVLFLLEQQGALASRIEKLGKQRAILAEQPDISAIAELREAYREVGLDLIKLLKFVDLNATGIRKILKKFDKRFGYRFTDYYVTSRSNHPYSQLQQVFKHVGVGAVVGALSRNLADLQERQGSYLSIYDQPSTALKDPIIDMINSSVDKLTRSTNFLRFLGQHALIVGEESPSTAEEEEIEDQKYHFMSLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAASTVCGVVIGSMAVAQIFSSVYFSAWSNKSYFRPLIFSSIVLFLGNVCYAMAYDMKSLTVLIIGRLLCGMGSARAVNRRYISDCVPARIRMQASAGFVSASALGMACGPALAGLLQWKFKIYMVTFNQSTLPGWVMAVAWLLYLVWLWISFKEPNRATEVNGTQQNPASVQRADIEQLENGLAQPLLRDSSKKDEDDDEEVDDSEEGTHDSRKPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITNHYFNWNTSAVAIFLAILGLTVLPVNAVVGTYISNMFEDRQLLMVSQITLLVGIIFSFKITSTYSVVQYVVSALVTFVSAEVLEGVNLSLLSSVMSSRLSRGTYNGGLLSTEAGTLARVVADCTITAAGYLGIGKLLNVTLLPSLVICAASIASTFLTYNSLF >ORUFI06G01750.1 pep chromosome:OR_W1943:6:1349607:1350000:-1 gene:ORUFI06G01750 transcript:ORUFI06G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding HKSERSDSAPNPNPNYHRCNTYAKIERTGGDEPEGGGGGGWRGRGRRRRLYREVTLALRDAKADFSFLRARGLRTAASSAPSSAPPPPPPTTRSCSSSGIPSLSPNHPALTLLA >ORUFI06G01760.1 pep chromosome:OR_W1943:6:1359377:1359658:-1 gene:ORUFI06G01760 transcript:ORUFI06G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLFELMQAKCLTSAKMYSDYNDDHSDNIASTTTRRENTIAAMVEMHSCTAKYWGVHHTSVPSKKVSRSWILTPMTTTTRMRTGGDGGGGGG >ORUFI06G01770.1 pep chromosome:OR_W1943:6:1360042:1368867:1 gene:ORUFI06G01770 transcript:ORUFI06G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAHAAAVVVGVLLYCCLCLFVGVVAGEHGGGGGDIKRQYKAMFSFGDSLTDTGNICVNMSAVNRTELTMAQPPYGITFFGHPTCRCSDGRLVVDFLAEGLGLPLLPPSKVIGGDFRRGANMAIVGGTALDFDFFESIGVGFPFWNYGSMNVQLRWFRDLLPSICATAAPQSIAYLAESLFLFGSLGGNDYNAMVLFGFTIDQARNYTPKIVDQIASGVEKLIAMGAVDIIVPGVMPFGCFALYLTELKSSNKSDYDDYGCLKPLNELAIHHNSLLQTSLAAVQARHRRSPSSSPSSPSPAAAVRIMYADYYAVVAEMMQAPARLGFRSGIAACCGAGGGEYNWEYVARCGMRGAAACANPSSAVCWDGAHTTEAANRVIAGGWLRGPYCHPPILL >ORUFI06G01780.1 pep chromosome:OR_W1943:6:1369860:1372235:-1 gene:ORUFI06G01780 transcript:ORUFI06G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARQCLLGFRRAAPPPLPLLSTRAPSPAPSSRRGARMASSGDHAPQLSTAVAVPGAGAPVRVVAAPGLTEADFTSAVESSLFRQWLKNLQEEKGVLTYGRLNLRQILIQGVDMFGKRVGFVKFKAVIIDEETKAKIPGIVFARGPAVAVLILLESKGQTYAVLTEQVRVPVGKFILELPAGMLDDEKGDFVGTAVREVEEETGIKLNLEDMIDLTALLNPDTGCRMLPSPGGCDEEIGLFLYRGHADEDTLRALQGKETGLRDHGELIKLRVVPYSQLWRATADAKALSAIALYEMAKREGLLPSSPTTSRRRGSSSSANL >ORUFI06G01790.1 pep chromosome:OR_W1943:6:1375318:1380035:1 gene:ORUFI06G01790 transcript:ORUFI06G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKGQRRREKNYRAAHGGESRLPPPPKQRELDALPSKLRRLIAIQEKHKGGEKGAVAGDSSGKQGESDAAKNKARKDKKTKKQNLEPTADSKAAEISGKDGPVGDENASVDENRRKRKRGKAVDLRFKELDEAVAVSKKQKRKKYLDEKKKKRKGDKMETLPEFPGREKVKFGEVVEAPPKLSFPKVKNALDATREMLRKEAIENYRNIKGWTSRPGLQLPTLAENKSL >ORUFI06G01800.1 pep chromosome:OR_W1943:6:1377378:1380789:-1 gene:ORUFI06G01800 transcript:ORUFI06G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQLTSLAASAAVCLASALAIALLSIALYIIGVVASFAVLCAKEFAERAHDRPPLVGTVFRQLKNFDRMFDEHVNYATAHRTSRIVYPGHCEVFTSDPAVVEHVLKNSFSKYSKGDFLTTAMKDLFGDGIFATDGDMWRHQRKLASYEFSTKVLRDFSSDTFRRNAAKLAEKISCAAANRISINIQDLLMRATMDSIFKVGFGFELNTLSGSDESGIQFSKAFDEANSLVYYRFVDIMWKLKRYLNIGSEAKLKRNIQIIDSFVMKLIHQKREQMKIAADYKTKEDILSRFVLASEQDPGTMDDRYLRDIVLNFLIAGKDTTGNTLTWFFYLLCKNPIVQDKVALEIREFVEWSKEDNTIESFTKRLDEGAISKMHYLQATISETLRLYPAVPVDAKMADEDDVLPNGYRVVKGDGINYMIYAMGRMTYLWGEDAQEFRPERWLVNGVYQQESPFKFVSFNAGPRICLGKEFAHRQMKIMAATLIHFFKFRLEDESKEPIYKTMFTLHIDNGLHLLANPREISP >ORUFI06G01810.1 pep chromosome:OR_W1943:6:1383003:1397083:1 gene:ORUFI06G01810 transcript:ORUFI06G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRALADSLSSLLYSAAAKGGDMDGAAAAPSPAAVVGERVAVKLRGYFELAKEEIDKAVRAEEWGLPDDAAAHYRNALRVMLEAKAARVPDAVSSSERGQVRVYQEKIAKWQTQVEERLRVLGQRSGAAAPVPKKVVTNNPVNRNDRAASTSFHRPTSQPSPTFNRGGQASSHQKSSSGGAKPVQRAGANYDDKLVEMINTTIVDRSPAVKWEDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAVDRQPSVIFMDEIDSVMSARLANENDASRRLKSEFLIQFDGVTSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRRLLLKTQLKGQSFKLSSHDLERLAADTEGYSGSDLRALCEEAAMMPIRELGPQNILTIKANQLRPLKYEDFKKAMTVIRPSLQKSKWDELEKWNEEFGSS >ORUFI06G01810.2 pep chromosome:OR_W1943:6:1383003:1390880:1 gene:ORUFI06G01810 transcript:ORUFI06G01810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRALADSLSSLLYSAAAKGGDMDGAAAAPSPAAVVGERVAVKLRGYFELAKEEIDKAVRAEEWGLPDDAAAHYRNALRVMLEAKAARVPDAVSSSERGQVRVYQEKIAKWQTQVEERLRVLGQRSGAAAPVPKKVVTNNPVNRNDRAASTSFHRPTSQPSPTFNRGGQASSHQKSSSGGAKPVQRAGANYDDKLVEMINTTIVDRSPAVKWEDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAVDRQPSVIFMDEIDSVMSARLANENDASRRLKSEFLIQFDGVTSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRRLLLKTQLKGQSFKLSSHDLERLAADTEGYSGSDLRALCEEAAMMPIRELGPQNILTIKANQLRPLKYEDFKKAMTVIRPSLQKSKWDELEKWNEEFGSS >ORUFI06G01820.1 pep chromosome:OR_W1943:6:1400183:1407159:1 gene:ORUFI06G01820 transcript:ORUFI06G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAPWLWWWVVVVVGVAVAEAASGGGGGGDGEGKALMGVKAGFGNAANALVDWDGGADHCAWRGVTCDNASFAVLALNLSNLNLGGEISPAIGELKNLQFVDLKGNKLTGQIPDEIGDCISLKYLDLSGNLLYGDIPFSISKLKQLEELILKNNQLTGPIPSTLSQIPNLKTLDLAQNQLTGDIPRLIYWNEVLQYLGLRGNSLTGTLSPDMCQLTGLWYFDVRGNNLTGTIPESIGNCTSFEILDISYNQISGEIPYNIGFLQVATLSLQGNRLTGKIPDVIGLMQALAVLDLSENELVGPIPSILGNLSYTGKLYLHGNKLTGVIPPELGNMSKLSYLQLNDNELVGTIPAELGKLEELFELNLANNNLQGPIPANISSCTALNKFNVYGNKLNGSIPAGFQKLESLTYLNLSSNNFKGNIPSELGHIINLDTLDLSYNEFSGPVPATIGDLEHLLELNLSKNHLDGPVPAEFGNLRSVQVIDMSNNNLSGSLPEELGQLQNLDSLILNNNNLVGEIPAQLANCFSLNNLNLSYNNLSGHVPMAKNFSKFPMESFLGNPLLHVYCQDSSCGHSHGQRVNISKTAIACIILGFIILLCVLLLAIYKTNQPQPLVKGSDKPVQGPPKLVVLQMDMAIHTYEDIMRLTENLSEKYIIGYGASSTVYKCELKSGKAIAVKRLYSQYNHSLREFETELETIGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLNWDTRLRIAVGAAQGLAYLHHDCNPRIIHRDVKSSNILLDENFEAHLSDFGIAKCVPSAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNESNLHQLILSKADDNTVMEAVDSEVSVTCTDMGLVRKAFQLALLCTKRHPSDRPTMHEVARVLLSLLPASAMTTPKTVDYSRLLASTTTAADMRGHDVTDIGDNSSSDEQWFVRFGEVISKHTM >ORUFI06G01830.1 pep chromosome:OR_W1943:6:1401240:1408608:-1 gene:ORUFI06G01830 transcript:ORUFI06G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDLYRETNPDADDDARNGQDALAVEDTRTACIKNSNHCQQGQVAQYAATSPKGEEMTYKYHRPILELSDGRRDLTS >ORUFI06G01840.1 pep chromosome:OR_W1943:6:1408635:1414893:-1 gene:ORUFI06G01840 transcript:ORUFI06G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDEDMRDVSSTSSSSCGGGEGAGFEEGMGEGVMVMEVRWFEVDLEYEFDAPRWFDLAVEESPVEAAAAQLWFASAPSYPPSPLIAKMLAEDLGLQSLRSTVDIDAAHCSKSSHECSNGAEQTIHRPHIPNEGRIPCHQVSANERKHGVRTIGKGTIPKRSTLMKPTASQLARQNRQIEVKNSTQSKKSVGVRSDRSTMSSNDCTYQAAKRQRLERGHLNKNVMTSSSDHAIAVPKLKITIPREPELATKLRAERSRILRAVPTNSKQLNKQAAQSISMTQASSIRKVVQPSGRNDHQHASVPHRGIGSNVPVCTANRPRHLDNICKTPDECRDDLFKFKARPVDKKILGSKGDIGVFQNAKRSTTVPKEFKLSTGRKGKQAPLSELFNKLTLTTEARRALDHRTADLPNYITTKIPFLHLNKGTDQGTVPAIPGNLPSPLPCS >ORUFI06G01850.1 pep chromosome:OR_W1943:6:1424614:1428449:1 gene:ORUFI06G01850 transcript:ORUFI06G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGNGGAAKKKKKRSASAASERRPRADGGMRIVVPLQGVVQGRGGLVLGSLIPCALFYFFQLYIKRNRASPPPPPGSPTAASAAAVSPIHRSLSRGLLAPRAALPAISARGASVRDDDSLYYAGLRRCAADPYHPATNPSGIIQLGLAENYLSLDLVGRWMEEHAAEAASMAGGEDEDERELSIRGLAAYQPRGVACALAGFMRQIMQGSVSFEPSQMVITSGATPAMEILSFCLADPGNAFLVPSPYYPGWDRDIKWRTGIELIPVPCRSTDNFNISITALEIAYNQAKKRGIKVRGVLISNPNNPTGSFVPKQTLHDLLEFAAEKNIHLISDEVFAGSTYGSGKFVSVAEVVDDLEDFDKGRVHIIYGLSKDLSLAGFRVGVIYSYNESIVTAAAKIARFSSVSTPTQRLLVAMLSDQKFISDYLKVNRERLRKMYHLFVDALDQVGIECYKSSGGFYCWADMSKFIRSYSEKGERKLWDRLLEEAKVNVTPGSSCHCIEPGWFRCCFTTLSEHDIPVLVQRLRTITDSHKPNH >ORUFI06G01860.1 pep chromosome:OR_W1943:6:1429899:1430848:1 gene:ORUFI06G01860 transcript:ORUFI06G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPNPPNRLPQRLETSLRFSSPPKRSNPSPRRRRHVGDAAHESRGHQVRGVLRPGAADGGELPGAVRERLLRRHHLPPQHQGVHDPGRRPDGHGEGGHLDLGEEVRRRVQGVAQAQRPRGDVDGEQRAQHQREPVLHHLRQAASPQRPLHRVRQGHPWIRGARPHGEGADGARRPPPRRDQAQPRHHPRQPSRQLILSTPSSLKSLEF >ORUFI06G01870.1 pep chromosome:OR_W1943:6:1431201:1433916:-1 gene:ORUFI06G01870 transcript:ORUFI06G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLGHRENGRQRPDQYKGLHTQWMMPQTQRHLKDHQSMNLLALMNDRDNAIRERDHALAEKKAAIAERDMAFTQRDAAMAERNAAVVERDNALAALELARTNGLNMNNGNGFPQGSLSGSKNIHHHDQLSHAQSSPLQLADSPYDHAREMHISEAYPISTAPGSAGKAKRPKKNSSQASPLKRPSGVLRKTKKPSGDWKNVGMSGCGDDSAHASVMKNEWKDQNLGLNQVAFDESTMPAPACSCTGKLRQCYKWGNGGWQSSCCTMNISMYPLPVMPNKRHARMGGRKMSGGAFTKLLSRLAAEGHDLSTPVDLKDHWAKHGTNRYITIRLLAQHLFFKGIYT >ORUFI06G01880.1 pep chromosome:OR_W1943:6:1437152:1438537:-1 gene:ORUFI06G01880 transcript:ORUFI06G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEEVAAAAAAAGEAPPPPPPAVVEEVKEAVEAPKPEEAPKAEEGEEKKAEGEKEKEKAKKERKPRARKPRSAGPHHPPYFEMIKEAIMALDGNGKAGSSPYAIAKYMGEQHMGVLPANYRKVLAVQLRNFAAKGRLVKGAAWQCDTRIGQADELQKTHCRLDEDGRCVLTAEVLSDLRWLSRLV >ORUFI06G01890.1 pep chromosome:OR_W1943:6:1440522:1441040:-1 gene:ORUFI06G01890 transcript:ORUFI06G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ORUFI06G01900.1 pep chromosome:OR_W1943:6:1443491:1449226:1 gene:ORUFI06G01900 transcript:ORUFI06G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAEAENPPRRRRPAPDPVAVLRGHRAAVNDVCFHPSLPLIFSGAADGELRVWDTASHRTVSSVWAHGGSAGVYSVAASTRLGNKIISQGRDGSCKCWEIEEAGLSRRALYTIRTSTYHFCKMSLVKSTCSTCCTQSGLISATGDIESQSTVTEERELGPNIMAIAGQESSQVELWDIDNAIKVTCLPETGSANLADHPTKQRGSSPQLLLRKKQELDDKLGAALDYAWLCKLSSLVVQLIYEDGSTLLWDVRNPGLPVSSVKYHSESALSIAIDGLCNGGISGGADDKIVMFGLDHQKGAFILREEIKLERPGIAGTAIRPDNKIAATAGWDHRIRVYSYSKGNALAVLKYHSASCNAVTFSSDSKLLASCSADTTVALWELYPPKTDSQVGLKTRDEISQ >ORUFI06G01900.2 pep chromosome:OR_W1943:6:1443491:1449226:1 gene:ORUFI06G01900 transcript:ORUFI06G01900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAEAENPPRRRRPAPDPVAVLRGHRAAVNDVCFHPSLPLIFSGAADGELRVWDTASHRTVSSVWAHGGSAGVYSVAASTRLGNKIISQGRDGSCKCWEIEEAGLSRRALYTIRTSTYHFCKMSLVKSTCSTCCTQSGLISATGDIESQSTVTEERELGPNIMAIAGQESSQVELWDIDNAIKVTCLPETGSANLADHPTKQRGLCMAVQAFFPCGAAYVNILSSYEDGSTLLWDVRNPGLPVSSVKYHSESALSIAIDGLCNGGISGGADDKIVMFGLDHQKGAFILREEIKLERPGIAGTAIRPDNKIAATAGWDHRIRVYSYSKGNALAVLKYHSASCNAVTFSSDSKLLASCSADTTVALWELYPPKTDSQVGLKTRDEISQ >ORUFI06G01910.1 pep chromosome:OR_W1943:6:1452409:1452588:1 gene:ORUFI06G01910 transcript:ORUFI06G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAATAAVTVSAIDVGDGEERPLCRNGSSCGGPTATHRPRWPWCQWPSESRMKRELIV >ORUFI06G01920.1 pep chromosome:OR_W1943:6:1463935:1466650:1 gene:ORUFI06G01920 transcript:ORUFI06G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNTQGDHLRKIKIVAQNFDNDSKSYGRRMERNTQVAKFVEHVQYRFLSSRGRVQIYMQRTALFTNPFSKSFKQLDLSSHRMSHLQKADYSDSISSAANAYPQNQSASDLPHNLGGKESNNPSNPSSLTKLTRFSNDVTADGHPYDRRED >ORUFI06G01930.1 pep chromosome:OR_W1943:6:1470301:1472409:-1 gene:ORUFI06G01930 transcript:ORUFI06G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAVDAAAPVAHAFACDAARFPAPLLGPAAAAAAVAEKPDAAAWSADLSSALYNVDGWGAPYFFVNDDGDVAVRPHGAATLPGQEIDLAKVVAKAAGPRSGGGLGLPLPLLVRFPDVLRHRVEALNAAFDYAVRSTGYGGRYQGVYPVKCNQDRHVVEDIVEFGEPFRFGLEAGSKPELLLAMSCLAARGNPDALLICNGYKDDEYVSLALIARTMGLNTVIVLEQEEELDIVVDASRRLGVRPVVGMRAKLRTKHAGHFGSTSGEKGKFGLNAAQILSVVAKLKTLGMLDCLQLLHFHIGSQIPTTALLGDGVGEAAQIYCELARLGAAMRVIDVGGGLGIDYDGSHSAQTDMSVAYSLEEYAAAVVAAVGRVCDRKGVAHPIICSESGRALVSHHSVLVFEAFSASAPGRIDPATGYLLDELTDDCHADYRNLMAAAVRGDFDTCALYADQLKRRCADQFKDGVLGLEHLAAVDSLCEIVARGMGAAEPPRTYHINLSVFTSLPDMWAIGQMFPIIPIQRLGERPAVDGVLSDLTCDSDGKVDHFIGGRHSLPLHELPVHGTRGYYLGMFLGGAYQEALGGLHNLFGGPSVVRVSQSDGPHCFAVTRAAAGPSCADVLRSMQHEPEVMFEVLKQRTDGATAAALARAFGAMPYLSFDPEAAAMASGESSGMSSDSEGSAAGAAEEDDDEWEFMRGLTV >ORUFI06G01940.1 pep chromosome:OR_W1943:6:1487799:1490226:1 gene:ORUFI06G01940 transcript:ORUFI06G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISNVVTNTYCASPTPLLRRRATAMALRVQLVLAVAVVVPALGVAAGGATLGVNYGQVADNLPPPQAAAMLLRSLNATKVKLYDADARVLSAFAGSGADFTVGLPDRLVPRLAADPSAAAAWVRANILPHIPATSITAVTVGNEVLTGNDSAMLRSLLPAMQSLHAALAACNLTSRVAVTTAHSLAVLSSSFPPSSAAFRRELLPYMAPLLAFLAKTGSPFLINAYPYFAYKGDPEHVDLNYVLFEANAGVGDPATGLRYDNMLHAQVDAVRAAICRANYGKAVEIRVSETGWPSRGDDDEAGATPENAARYNGNLMRLVAQGKGTPAAPGEALQVYVFALFNEDMKPGPASERHYGLFKPDGTPAYDVGVKAPTIGGSWKGRANGTSGGGAGGLVVAEGPGGADGAGQGTGFYTVSAAAHKVKRWRCWESLFATVVLVMSSGLCWS >ORUFI06G01950.1 pep chromosome:OR_W1943:6:1495915:1498420:-1 gene:ORUFI06G01950 transcript:ORUFI06G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSVVPPGFRFHPTEEELLTYYLKKKVASERIDLDVIRDVDLNKLEPWDIQERCRIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSSSNRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDPSSASASVSVNLPSYYSSSSSSSSPMHGVAGDQGAQEEGWVICRVFKKKNLVHHGGGAAAASHHAAAKLAAAAMEGSPSNCSTVTVSDHVKAQMLHSSASDDALDHILQYMGRSGCKQETKPAAMSASSAAAAAALEQHLSTPQYGKFMKLPPLEHVAGGVGLLAAAGGGGEYCSAADASGIADWDTLDRLAASYELNGALSDVASGKNMAGFFDVVDQPAGAAAFSSGDGDLWSLARSVSSSLHADLTTMNNV >ORUFI06G01960.1 pep chromosome:OR_W1943:6:1513851:1515668:1 gene:ORUFI06G01960 transcript:ORUFI06G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCAGLPGVAYEIMRANGLTRAWQSAKKGWGFSTCNPTEWASDSMASKWQLPSAQAYVQAHETVQFAALSSDQLQPTVQMQRMGKQACLANKCKSRQHHQGIRLRLITKVIHGEDACNLALAKQEHDGKGKREPIAGLTFVRVTNMTKPTGNS >ORUFI06G01970.1 pep chromosome:OR_W1943:6:1518745:1520106:-1 gene:ORUFI06G01970 transcript:ORUFI06G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPLAAAAALALLLLLLAAPAAAEIRETVIRSDPRSIIPLDEFGFSHSGVLELNVSGIAFDPPASSELDLSQLGFFLSTLDAWVHVLRQLQDLDVTCALQADLVKLAYSFDRLRPPSNPAGVEVARSSSFSTAFPVSEPGQYTLVFANCLGGGLKVSMDVRSAMYNVDPPTGERSYLSAGATALPTIFGFFGVAYAALAAGWIAILLRKRAAVFRIHYFMLAVLVLKAVNLLAEAEDKSYIERTGTAHGWDVLFYIFSFLKGISLFTLIVLIGTGWSFLKPYLADREKKVLMVVIPLQVVANIAQVVIDESGPYARAWVTWKQVLLLVDVICCCAVLFPIVWSIKNLREAARSDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALMTITSYRYQWTSYVAKELATLAFYVFTGYKFRPEVHNPYFAIDDEEEEAAAEALKLDDEFEL >ORUFI06G01980.1 pep chromosome:OR_W1943:6:1522241:1522804:-1 gene:ORUFI06G01980 transcript:ORUFI06G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTARFFLPVRPRPDATISGGRKPYLVAVSARPRRGGSRRNRSWGDGGGDDEDGAADDRIDANFFGDARDEPDPEPEDAAASGRRPSSPAPEQEPAGQLRGSDVLRALQRAAAAKEAKRRKRAGARPAARRQDAGERRGGELAAAGAARPIEIRREWATRIRELELRVKQLVDKHHHSPPPSRSEA >ORUFI06G01990.1 pep chromosome:OR_W1943:6:1524436:1525416:-1 gene:ORUFI06G01990 transcript:ORUFI06G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAVSTAPLSRVHSPPPLIPRHPHSHSRVGLLHPQRKALTTAAALPPAADLPPLSLPAAAAAAAALAAAVSLSDPERRRRAQAEAAGGGDKEAVRAYFNSTGFERWRKIYGSATDGVNRVQLDIREGHARTVAATLSMLRDSPVPLAGATVCDAGCGTGSLAIPLASQGASVLASDISAAMVSEAQRQAEAAAMAASDTFRMPRFEVRDLESLEGKYDIVVCLDVLIHYPREEAKQMIRHLASLAEKRVLISFAPRTLYFDFLKRVGELFPGPSKATRAYLHSERDIEDALRDAGWRVANRGFISTQFYFAKLFEAVPIAAASQ >ORUFI06G02000.1 pep chromosome:OR_W1943:6:1527667:1532769:-1 gene:ORUFI06G02000 transcript:ORUFI06G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIATTACVWQQEKAAAMGAGAGDVAASWRVAAVALLGAVNCVVSFVVFSFLDLLDMVLCVVYKVVDYAVEAEWKACYCSAAARDGAAAAIFVPPASASAAPGPKVVRLSPSSAKMQLEDVSDTLYVRPSLLSDATKKSGPAAPSLTVSPAIAELIRDKIGRAAPRPPRHAAPCWSDCDCKVCHSWSASSRSSHLYVHVQSPTTASGVETEDVVFVHGFISSSVFWTETVFPAFSEAAKGRYRMFAVDLLGFGRSPKPADSLYTLREHVEMIERSVLQRYRLRKFHVVAHSLGSVLALALAVKYPDAVQSLTLLAPVSRRPPPITLTAGEVTPYFPVPEEEAGAATQYVMRRVAPRRVWPPIAFGASMACWYEHVSRTICLTICRHHRTWDRLFRLFTRNRMRTFLIEAFMCHTHNAAWHTLHNIICGSAGKMDSYLDVVAGQLACEVAVFHGRDDELLPVECTLAVGARVPRARVTVYDHKDHITIIVGQEKLFATELEDIWRRSAAAAAAGDGE >ORUFI06G02010.1 pep chromosome:OR_W1943:6:1543536:1552013:-1 gene:ORUFI06G02010 transcript:ORUFI06G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSARDDEAPDLVCQIDCVHGMVDALSCVRWKRHQDAVLELSEHGIVLIVEESGCLQAKVYLKRELFMEYEYAAEGRPRFGLSLGLLVDCLNTFSAPGHSSPVEIRYPGPDMQLLLKSVGSPDSCMYAEIRTRIPDTISWDYHFEHAGNTPVTFTVKSAVLKESIEDLEWPGSSIQIQMQPDPPSVLFKGEGHGDLQIEFPYYANTDLLIAFQCDHETSYRYKYKFLRATTSNFPNSIVKENRGSKVTIGRGGMLKIQHLVSVARPGL >ORUFI06G02010.2 pep chromosome:OR_W1943:6:1543442:1552013:-1 gene:ORUFI06G02010 transcript:ORUFI06G02010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSARDDEAPDLVCQIDCVHGMVDALSCVRWKRHQDAVLELSEHGIVLIVEESGCLQAKVYLKRELFMEYEYAAEGRPRFGLSLGLLVDCLNTFSAPGHSSPVEIRYPGPDMQLLLKSVGSPDSCMYAEIRTRIPDTISWDYHFEHAGNTPVTFTVKSAVLKESIEDLEWPGSSIQIQMQPDPPSVLFKGEGHGDLQIEFPYYANTDLLIAFQCDHETSYRYKYKFLRATTSNFPNSIVKENRGSKVTIGRGGMLKIQHLVSVARPGMQNFRNVAGGAQQPSRIAYIEFFVKPEEYEINDAGKRCVVS >ORUFI06G02020.1 pep chromosome:OR_W1943:6:1554280:1556206:1 gene:ORUFI06G02020 transcript:ORUFI06G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFQPIGSLLGATILIDPGAEQKFKDISNAYEVLSDDEKRSIYDKYGEAGLKGAGMGTGDYSNPFDLFESLFEGFGGMGGMGGRAARNRPMQGDDEAYNLVLNFKEAVFGVEKEIEITRLEGCNTCDGTGAKPGTKPTTCKTCGGQGQVVSSTRTPLGIFQQVSTCNTCGGTGEFSTPCNTCGGDGRVRKTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGPPGDLYVFIDVLSDPVLKRDGTNILYTCKVSYIDAILGTTVKVPTVDGMVDLKIPSGTQPGTTLVMSKKGVPLLGKSNARGDQLVRVQVEIPKRLSSDERKLIEELANLNKAQTANSRR >ORUFI06G02030.1 pep chromosome:OR_W1943:6:1559398:1564292:1 gene:ORUFI06G02030 transcript:ORUFI06G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALTTSQLATSATGFGIADRSAPSSLLRHGFQGLKPRSPAGGDATSLSVTTSTRATPKQQRSVQRGSRRFPSVVVYATGAGMNVVFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMVISPRYDQYKDAWDTSVVAEIKVADRYERVRFFHCYKRGVDRVFVDHPSFLEKVWGKTGEKIYGPDTGVDYKDNQMRFNLLCQAALEAPRILNLNNNPYFKGTYGEDVVFVCNDWHTGPLASYLKNNYQPNGIYRNAKVAFCIHNISYQGRFAFEDYPELNLSERFRSSFDFIDGYDTPVEGRKINWMKAGILEADRVLTVSPYYAEELISGIARGCELDNIMRLTGITGIVNGMDVSEWDPSKDKYITAKYDATTAIEAKALNKEALQAEAGLPVDRKIPLIAFIGRLEEQKGPDVMAAAIPELMQEDVQIVLLGTGKKKFEKLLKSMEEKYPGKVRAVVKFNAPLAHLIMAGADVLAVPSRFEPCGLIQLQGMRYGTPCACASTGGLVDTVIEGKTGFHMGRLSVDCKVVEPSDVKKVAATLKRAIKVVGTPAYEEMGPAKNWENVLLGLGVAGSAPGIEGDEIAPLAKENVAAP >ORUFI06G02030.2 pep chromosome:OR_W1943:6:1560245:1564292:1 gene:ORUFI06G02030 transcript:ORUFI06G02030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALTTSQLATSATGFGIADRSAPSSLLRHGFQGLKPRSPAGGDATSLSVTTSTRATPKQQRSVQRGSRRFPSVVVYATGAGMNVVFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMVISPRYDQYKDAWDTSVVAEIKVADRYERVRFFHCYKRGVDRVFVDHPSFLEKVWGKTGEKIYGPDTGVDYKDNQMRFNLLCQAALEAPRILNLNNNPYFKGTYGEDVVFVCNDWHTGPLASYLKNNYQPNGIYRNAKVAFCIHNISYQGRFAFEDYPELNLSERFRSSFDFIDGYDTPVEGRKINWMKAGILEADRVLTVSPYYAEELISGIARGCELDNIMRLTGITGIVNGMDVSEWDPSKDKYITAKYDATTAIEAKALNKEALQAEAGLPVDRKIPLIAFIGRLEEQKGPDVMAAAIPELMQEDVQIVLLGTGKKKFEKLLKSMEEKYPGKVRAVVKFNAPLAHLIMAGADVLAVPSRFEPCGLIQLQGMRYGTPCACASTGGLVDTVIEGKTGFHMGRLSVDCKVVEPSDVKKVAATLKRAIKVVGTPAYEEMGPAKNWENVLLGLGVAGSAPGIEGDEIAPLAKENVAAP >ORUFI06G02040.1 pep chromosome:OR_W1943:6:1567597:1567896:1 gene:ORUFI06G02040 transcript:ORUFI06G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIIAMSVVGAGPGNVFGPGMSAGALESFVPRRQAGASDKAAAAASAAGEGTRRGATRPAEQATAAAEGRRAGGDGGGARFDPARDGLLYCFETISPH >ORUFI06G02050.1 pep chromosome:OR_W1943:6:1569891:1571729:-1 gene:ORUFI06G02050 transcript:ORUFI06G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAPPRLQIDAADAHHTHHQVIVVPRRILPLRRRRIPRPRRLLRCNPCVAGDPDGSSRHHHRCLLPLYRLCVHQREQRECPIVVKGDNTLAWMMVQWKD >ORUFI06G02060.1 pep chromosome:OR_W1943:6:1573757:1574041:1 gene:ORUFI06G02060 transcript:ORUFI06G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERFLTSLVFCECEAPGMDVYAYAAGTSLTAAVNKVSTASPGGRVVGPAVSAAAPSSEAKKDAAGKAPRRLLQAAYSPAFDGLNSFETIVMH >ORUFI06G02070.1 pep chromosome:OR_W1943:6:1576901:1577164:1 gene:ORUFI06G02070 transcript:ORUFI06G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILAFSILSSSPAEIAAPGYYTRFSWRTTSAGKQQKAAEKALTRQQEGEKQQAGRSSPAERKPEARPRFAPEFDGINCFETIVPF >ORUFI06G02080.1 pep chromosome:OR_W1943:6:1577993:1578274:-1 gene:ORUFI06G02080 transcript:ORUFI06G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLAFSILSASPADIAAGGYWARLSWRRKADDQAVDGRRQPQEQQQQKQRGEGSSPSQREERRRPREAPPLPPRFAPEFDGIDCFETIVMH >ORUFI06G02090.1 pep chromosome:OR_W1943:6:1582110:1583159:1 gene:ORUFI06G02090 transcript:ORUFI06G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHRFSLCCFVVLSLAPLAAVAWRPWPPRNGSGGEVEGIGASKKFEGSSDFVKLQYHMGPVLAADITVHPIWYGRWPAEQKRTIRAFLRSLSPPGSGSGSGDGGIPAPSVAAWWRTVRLYTDQTSANVSGVVKLGQEKSDARASRGGRLTRLDIQSVVRDAVTARTRPLPVDSSGVYLVLTSPEVVVENFCGQVCGFHYFTFPSVVGYTLPYAWVGNSAARCPEVCAYPFAIPSYVGGGRRAEAPPNGDVGVDGMVSVIAHELAELASNPLANAWYAGEDPSFPTEIADLCEGIYGTGGGGAYTGQLLTDGRSGASYNVNGVGGRKFLVQWVWNPILSYCSGPNALDQ >ORUFI06G02100.1 pep chromosome:OR_W1943:6:1598069:1600954:1 gene:ORUFI06G02100 transcript:ORUFI06G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHRRRRSARRLAVFSPVRSPARALFLCQRSSRRRQRRAPSPPLPPLLGTSTAADPSAQELQEPDALLSGELLSSTALKEPITASTSSSPQTVRRDGIDASTPSGSSPGSSILVRRPPGWYFVFYIRMDPGGRLHMYPDVGNGPYRSLPEVDDAINQHLHNLRIPEMGEELDRLPLIEIEKYNDDHNLLGDFAYELKEFLQIGVMYEDQRYYYHINFTTKTKGAHKSGCAMDNLFFAELSHMQGKDEWVISCCCVIKPAANGHCYGCRNDGKSGLKHPNNSDAYSGGHLDGCLPFGLNDSRSKYDGLNPEDEEAMLRSLYKGMDEPGYLEGLFA >ORUFI06G02100.2 pep chromosome:OR_W1943:6:1598069:1600954:1 gene:ORUFI06G02100 transcript:ORUFI06G02100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHRRRRSARRLAVFSPVRSPARALFLCQRSSRRRQRRAPSPPLPPLLGTSTAADPSAQELQEPDALLSGELLSSTALKEPITASTSSSPQTVRRDGIDASTPSGSSPGSSILVRRPPGWYFVFYIRMDPGGRLHMYPDDFAYELKEFLQIGVMYEDQRYYYHINFTTKTKGAHKSGCAMDNLFFAELSHMQGKDEWVISCCCVIKPAANGHCYGCRNDGKSGLKHPNNSDAYSGGHLDGCLPFGLNDSRSKYDGLNPEDEEAMLRSLYKGMDEPGYLEGLFA >ORUFI06G02110.1 pep chromosome:OR_W1943:6:1602202:1605269:-1 gene:ORUFI06G02110 transcript:ORUFI06G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSVAAAHATIAARAGAAAPAPAPPERLGFRLSALAGRGLRSPLPPRRGAPSASASRRRHNNRVRAAAVETLEGQAATGALLEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFILSAGHGCMLQYALLHLAGYDAVLEEDLKQFRQWGSKTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDSEIVDHYTYCILGDGCQMEGISNEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVSARFEALGWHTIWVKNGNDGYDEIRAAIKEAKAVTDKPTLIKVTTTIGFGSPNKANSYSVHGSALGTKEVEATRENLGWPYEPFFVPEDVKSHWSRHVPQGAAFEADWNAKFAEYEKKYPEDAATLKSIVSGELPAGWADALPKYTPESPADATRNLSQQCLNALAKVVPGLLGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRAAMRISALCEAGVIYVMTHDSIGLGEDGPTHQPIEHLVSFRAMPNILMLRPADGNETAGAYKIAVLNRKRPSVLALSRQKLAQLPGTSIEGVEKGGYIVSDNSTGNKPDFIVMSTGSELEIVAKAADELRKEGKTVRVVSFVCWELFDEQSAEYKESVLPEAVTARVSLEAGSTLGWQKYVGSKGKAIGIDKFGASAPAGKIYQEYGITAENVIATAKSL >ORUFI06G02120.1 pep chromosome:OR_W1943:6:1609203:1615083:1 gene:ORUFI06G02120 transcript:ORUFI06G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMASNAAAAAAVSLDQAVAASAAFSSRKQLRLPAAARGGMRVRVRARGRREAVVVASASSSSVAAPAAKAEEIVLQPIREISGAVQLPGSKSLSNRILLLSALSEGTTVVDNLLNSEDVHYMLEALKALGLSVEADKVAKRAVVVGCGGKFPVEKDAKEEVQLFLGNAGTAMRPLTAAVTAAGGNATYVLDGVPRMRERPIGDLVVGLKQLGADVDCFLGTECPPVRVKGIGGLPGGKVKLSGSISSQYLSALLMAAPLALGDVEIEIIDKLISIPYVEMTLRLMERFGVKAEHSDSWDRFYIKGGQKYKSPGNAYVEGDASSASYFLAGAAITGGTVTVQGCGTTSLQGDVKFAEVLEMMGAKVTWTDTSVTVTGPPREPYGKKHLKAVDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMVAIRTELTKLGASVEEGPDYCIITPPEKLNITAIDTYDDHRMAMAFSLAACADVPVTIRDPGCTRKTFPNYFDVLSTFVRN >ORUFI06G02130.1 pep chromosome:OR_W1943:6:1612565:1614916:-1 gene:ORUFI06G02130 transcript:ORUFI06G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVAYAPPMKSGKIGFESSQEVQHRIRITLSSKSVKNLEKVCGDLVKGAKDKSLKVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFEMRVHKRVIDLVSSADVVKQITSITIEPGVEVEVTISDQHTKTHLVKKRRNEFPQQSTHG >ORUFI06G02130.2 pep chromosome:OR_W1943:6:1613309:1614916:-1 gene:ORUFI06G02130 transcript:ORUFI06G02130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVAYAPPMKSGKIGFESSQEVQHRIRITLSSKSVKNLEKVCGDLVKGAKDKSLKVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFEMRVHKRVIDLVSSADVVKQITSITIEPGVEVEVTISDQ >ORUFI06G02140.1 pep chromosome:OR_W1943:6:1616079:1619344:-1 gene:ORUFI06G02140 transcript:ORUFI06G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAASPLRAALLLFLSSSPSPRLALPMNPSSSSSSRGAASYHSKAAAFASPQPRGGGGGRGGRRGGGRGRGGDGSDRIDALGRLLTRILRHMASELNLEMRTDGYVRVRDLLKLNLQTFAKIPLKSHTVDEIKEAVRRDNKQRFGLLEEDGELLIRANQGHTVTTVTSESLLKPILSADEVSVCVHGTYRKNLDSILHQGLKRMARLHVHFSSGLPTDGGVISGMRQSVNILIYLDVSMALQDGMKLYISDNKVILTEGFDGVVPVKYFEKIETWPGRAPIPFEK >ORUFI06G02150.1 pep chromosome:OR_W1943:6:1621156:1621482:-1 gene:ORUFI06G02150 transcript:ORUFI06G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINKEDVKFKEIAEEIGIKARVMAAGFKISSNFRTTKPGEIYSYEPNKREKNEDGVTRSHCVLVVGFGRREGQEYLVYQNSAGIEFGEEGFGRVYLKDVLRMATLNVI >ORUFI06G02160.1 pep chromosome:OR_W1943:6:1621512:1623790:-1 gene:ORUFI06G02160 transcript:ORUFI06G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVRNLAHQLWVTYESSEQNQVEVEVKAECMWH >ORUFI06G02170.1 pep chromosome:OR_W1943:6:1626764:1632141:1 gene:ORUFI06G02170 transcript:ORUFI06G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAELLVCFCECECECECSLQFGGNRSAVTAGAGARMEKNKYIGGGYLLSEEEALGGGQLIDEAKEMARKKDLELEAEELIADNVDDEIHLEEVNDSTLNESSFPCTAMTISWRTDRRNLITGTERFMLRNVSHSSQRREKERDKMIQSEGFIEAECFVSASTSRMLLVEVFSGELVHMDGELAVALNNVNYFIIGSGFRGSVLGCGPVSKSYYCCILLFLHFASLNFTSLPIEIVDEGFGLLIRSRRGMMCQGAGTSRMLLVTLEVFNGVTGSGTHGCCLLGCGQI >ORUFI06G02180.1 pep chromosome:OR_W1943:6:1632471:1636343:-1 gene:ORUFI06G02180 transcript:ORUFI06G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPRRQRRVSRRARMAPPPLHVELPFMDRQILVSRNSRGYFALGYSLPGEPFPSLHELLGAIYDHYHPQRESRDPAPPPLLPQCEEHVEGNTQLSLEQTLEEPEVATMEESPEPTTLGDPFTATTSSLPSAPHGTSEVSPSSRLRHHTSPESTIWTRDPADWPWIYHIRMDRGGSFHTYPALDGPFLNLYEAEDAINRHLESLKCPMFKEQDGVSPVERMIQKSLYWPDGTRKKYSRSQASQNVDKRRRQMVQVLLDKYNDDHDLVEDLAYELQDVVHYQLIVEGIKWFNHFNFTAKTSGADIDNLFFAEVMSSQGEEDWVVTCCCLIKSADNGICYGCKNDRNLDMKHPNDSDTYVGGHKDIVMPFETENWTESESDDDEDEEEEVKASRIRRMIEGLDDSDEPEDIFDPVFKP >ORUFI06G02180.2 pep chromosome:OR_W1943:6:1632469:1636343:-1 gene:ORUFI06G02180 transcript:ORUFI06G02180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPRRQRRVSRRARMAPPPLHVELPFMDRQILVSRNSRGYFALGYSLPGEPFPSLHELLGAIYDHYHPQRESRDPAPPPLLPQCEEHVEGNTQLSLEQTLEEPEVATMEESPEPTTLGDPFTATTSSLPSAPHGTSEVSPSSRLRHHTSPESTIWTRDPADWPWIYHIRMDRGGSFHTYPALDGPFLNLYEAEDAINRHLESLKCPMFKEQDGVSPVERMIQKSLYWPDGTRKKYSRSQASQNVDKRRRQMVQVLLDKYNDDHDLVEDLAYELQDVVHYQLIVEGIKWFNHFNFTAKTSGADIDNLFFAEVMSSQGEEDWVVTCCCLIKSADNGICYGCKNDRNLDMKHPNDSDTYVGGHKDIVMPFETENWTESESDDDEDEEEEVKASRIRRMIEGLDDSDEPEDIFDPVFKP >ORUFI06G02190.1 pep chromosome:OR_W1943:6:1636499:1654629:-1 gene:ORUFI06G02190 transcript:ORUFI06G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRLPCADAVFRPRFTDEDARACSDSRGHFASGRDPSPPPLLPAQCEDHVGGTSGLADVSSLGDFPGATTLKESTASSPTSSLQAVPDDTIDAPQSGHCLSSSLGSIIWVQKPPHGRPGVYHIRKDRLGSFHTYPDLGGPFQSLNEAEDVISSHINKLYPPVKFEERAGESYVDMIIRKALYWPDGTRKKCSKAEAFQNVNNNMNQLAKVILDMYNDDHNLLEDLAFELKGVINYEPIIESRRWFDHINFTATTKGLNGLDSDHLFFAEAMSLKGEKDYVVTCCSLISSDDNGNCYTCKIGNKSMKHPSDVNSYVGGHCYIKGIYDTIVSSDSEEDEDAEEQRLRKMYQVYVKSSLIEIMRAGRERDPCADGVSRSPFTDEDVRVYSDSRGYFSSGGRDDDAGPFPTSDELYHHYRPRTTPSDPVPPPLSSQCSYPESAHALQEPDVLSLGKLLDATTLKESITCSPSSSPQAVSKDTINSSPSVRCLSSPGSIIWVREPPEDRLGVYHIRMDRSGSFHMYPDLGGPFQSLNEAQDAISSHLNRIYPPVKFQERPGESYVDRMIREKLYWPDGTRKKCSKAQAFENVKNMMNQLAKDLSYELKEVVSFEPIFESHRWFDHINFTANTKGSKGLDRDHLFFAEAMSLEGQKDYVVTCCSLISSNDNGNCYTCKFGNRSMKHPNDVNSYVGGHCYITGIYDTEVSSDSEEDEDAEEQRLRKMYQDEDAEEQRLRKMYQDLDDPARHQIVLIICKRTRSSHANFSMGRAQFRPNSHLCWPLRLAPFRFHQALVPATPPSAGNAPAAGRRPAPSPSMASRTAGRERDPCEDEEVRVYSDSRGYFASGGRDVDVGPFPTSDELYAALDHHYHLRSKPCDPAPLPTQCEGLLRGASEPESAQALQEPDVLSVGELLGAIALKEPIISSPSSSPQAVPEDNIDAVPSGCCLSSSPGSVIWVHKPPEGRRGSYHIRMDRSGLFHTYPDMGGPFLSLNEAQDAFTSHLNILYPPLKFEERDGESIVDMMVRKILYFPDGTRRRYSKSQVTQDVHNDMRQLARGLAYELKDVIHFQPIMESCNCYNCKLQNVNLKHPNDTNQYAGGHEYLCGIYDTEEMSESEDEEKEEQRIRKLYEDLEEPGRKIVVGYWAILQMGSLGP >ORUFI06G02190.2 pep chromosome:OR_W1943:6:1636499:1654629:-1 gene:ORUFI06G02190 transcript:ORUFI06G02190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRLPCADAVFRPRFTDEDARACSDSRGHFASGRDPSPPPLLPAQCEDHVGGTSGLADVSSLGDFPGATTLKESTASSPTSSLQAVPDDTIDAPQSGHCLSSSLGSIIWVQKPPHGRPGVYHIRKDRLGSFHTYPDLGGPFQSLNEAEDVISSHINKLYPPVKFEERAGESYVDMIIRKALYWPDGTRKKCSKAEAFQNVNNNMNQLAKVILDMYNDDHNLLEDLAFELKGVINYEPIIESRRWFDHINFTATTKGLNGLDSDHLFFAEAMSLKGEKDYVVTCCSLISSDDNGNCYTCKIGNKSMKHPSDVNSYVGGHCYIKGIYDTIVSSDSEEDEDAEEQRLRKMYQDEDAEEQRLRKMYQDEDAEEQRLRKMYQDLDDPARHQIVLIICKRTRSSHANFSMGRAQFRPNSHLCWPLRLAPFRFHQALVPATPPSAGNAPAAGRRPAPSPSMASRTAGRERDPCEDEEVRVYSDSRGYFASGGRDVDVGPFPTSDELYAALDHHYHLRSKPCDPAPLPTQCEGLLRGASEPESAQALQEPDVLSVGELLGAIALKEPIISSPSSSPQAVPEDNIDAVPSGCCLSSSPGSVIWVHKPPEGRRGSYHIRMDRSGLFHTYPDMGGPFLSLNEAQDAFTSHLNILYPPLKFEERDGESIVDMMVRKILYFPDGTRRRYSKSQVTQDVHNDMRQLARGLAYELKDVIHFQPIMESCNCYNCKLQNVNLKHPNDTNQYAGGHEYLCGIYDTEEMSESEDEEKEEQRIRKLYEDLEEPGRKIVVGYWAILQMGSLGP >ORUFI06G02190.3 pep chromosome:OR_W1943:6:1636499:1654629:-1 gene:ORUFI06G02190 transcript:ORUFI06G02190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRLPCADAVFRPRFTDEDARACSDSRGHFASGRDPSPPPLLPAQCEDHVGGTSGLADVSSLGDFPGATTLKESTASSPTSSLQAVPDDTIDAPQSGHCLSSSLGSIIWVQKPPHGRPGVYHIRKDRLGSFHTYPDLGGPFQSLNEAEDVISSHINKLYPPVKFEERAGESYVDMIIRKALYWPDGTRKKCSKAEAFQNVNNNMNQLAKVILDMYNDDHNLLEDLAFELKGVINYEPIIESRRWFDHINFTATTKGLNGLDSDHLFFAEAMSLKGEKDYVVTCCSLISSDDNGNCYTCKIGNKSMKHPSDVNSYVGGHCYIKGIYDTIVSSDSEEDEDAEEQRLRKMYQDEDAEEQRLRKMYQDLDDPARHQIVLIICKRTRSSHANFSMGRAQFRPNSHLCWPLRLAPFRFHQALVPATPPSAGNAPAAGRRPAPSPSMASRTAGRERDPCEDEEVRVYSDSRGYFASGGRDVDVGPFPTSDELYAALDHHYHLRSKPCDPAPLPTQCEGLLRGASEPESAQALQEPDVLSVGELLGAIALKEPIISSPSSSPQAVPEDNIDAVPSGCCLSSSPGSVIWVHKPPEGRRGSYHIRMDRSGLFHTYPDMGGPFLSLNEAQDAFTSHLNILYPPLKFEERDGESIVDMMVRKILYFPDGTRRRYSKSQVTQDVHNDMRQLARGLAYELKDVIHFQPIMESCNCYNCKLQNVNLKHPNDTNQYAGGHEYLCGIYDTEEMSESEDEEKEEQRIRKLYEDLEEPGRKIVVGYWAILQMGSLGP >ORUFI06G02200.1 pep chromosome:OR_W1943:6:1658333:1662048:1 gene:ORUFI06G02200 transcript:ORUFI06G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAQLIFLLLLAVLAAASSRNDEEARALMALKESLDPAGRVLGSWARSGEPCGGSFVGVTCDSGGRVTAISLQGRGLSGTLPPAIAGLRRLTGLYLHYNGIKGAIPREIGSLSELTDLYLDVNHLTGPLPVEIAAMENLQVLQLGYNQLTGSIPPQLGKLNKLAVLALQSNQLTGAIPATLGDLTQLARLDLSFNSLFGSIPSKIAEVPLLEVFDVRNNSLSGSVPAGLRRLNGGFQYVNNKGLCGVGFSLLDLCLSSEDGLKPSKPEPFGPDGTVKTRQVPQSANTDNHCEGSGCSKSSNASVGVLVVGVVAVVIGAAFCGIFAFSYYRRQKQKIGSSLEVSDSRLSTDHYQQKEVCRRSASPLISVEYSNGWDPLSGGGVGSSGEVGDSFRFNLEEVECATQYFSEVNLLGKSGFAATYKGILRDGSVVAVKSLNKTSCKQEESDFLRGLKMLTVLRHENLVGLRGFCCSRGRGECFLVYDYMVNGCLSQYLDVKEGSGANVLDWPTRVSIIRGIAKGVEYMHSKKANKPSVVHQNISAEKILLDHHLTPRLSVPGLHKLLADDVVFSTLKASAAMGYLAPEYATTGRFTEKSDVFAFGIVVLQVITGRRAVSQLKVSTVANDLDSLIDENLNGVFSRTEAAKLAAIAALCTSETASQRPTMEAVVQQLSNCH >ORUFI06G02210.1 pep chromosome:OR_W1943:6:1666739:1669647:-1 gene:ORUFI06G02210 transcript:ORUFI06G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLARRLLHASSPAAAGGEPGVLACRLASRAVVRFAGPEAGRFLRSLLTNDLLLSSSSQQRYAPTPNAPARAPPPAYAALLTPQGRFLYDLFLYRPPPPSQLLDRTGSAPLTGERPKGNQEDEGEDEPGEVLADVDAAEVDELLACFKRYRLRSKVEIDNVSKEFLCWQRFGRNVEHTGPSTQEPEAQSIGWGQGVDHAAESAAQGNGHGWEWFKDPRLDCLGYRGIFPANTIPPLVESDKEADERHYLLWRIENGVAEGSTEIPKGEAIPLEYNFAGLNAISFEKGCYIGQELIARTHHRGVIRKRLMPLIFEDENGQELKQAVAPGSEVVDKESGKKIGTVNTALGSRGMGLLRLEEALKQNSSLAIKDNRDVRVKAIKPDWWPVEWTQMLEQQSAVA >ORUFI06G02220.1 pep chromosome:OR_W1943:6:1670735:1674109:-1 gene:ORUFI06G02220 transcript:ORUFI06G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKSRRVEARREASFVFVFLPPRHAANATDKGRSPPPPNQDKSSSSPSSAADPHPSPSTSARAIPPHPSCVRSGLEEIQSLRNHLEMDRGRNGRDDFFGGRDPFAGFGGFGRQRSLISGVFGGRDPFDDPFFNQPFGSGMHGPSLFGPMGGPFGDIRNDGFLEQAPPRGNGRKLIITELDEEEGENSGRQRQANREPYVQEPDDEMQGGQLQPRRDFNRANEGQPQARTFTYQSSSVTYGGVNGAYYTASKTRRTGSDGITVEESKEADTTTKEATHRISRGIHDKGHSLTRKLKSDGNVDTTQILHNLHEDELAGFEESWKGNARHHLAGLNQNAGTSNNNEPGNRGTSGRGRQSAWGWALPGREQGRDQRRNGERPKSRVIPIS >ORUFI06G02230.1 pep chromosome:OR_W1943:6:1679433:1686111:1 gene:ORUFI06G02230 transcript:ORUFI06G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPARPKSDLHATPTRPDHAREAVAAAVVIEDERRLNWRIMVRVRGSGERRGGGEVGRFVRLAEPGLHLVNPLAGECVAGALSTRVQSNPSTSVSRPRPRPKIRDREEIYTGLVFVQLICAIQYRVVKEHADDAFYELQNPQQQIQAYAFDVFGVAAVKLAHNVGKLTPVTAQSLQLASVYKGEAEKILLVKKSEAEAKIPFW >ORUFI06G02230.2 pep chromosome:OR_W1943:6:1679433:1686111:1 gene:ORUFI06G02230 transcript:ORUFI06G02230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPARPKSDLHATPTRPDHAREAVAAAVVIEDERRLNWRIMVRVRGSGERRGGGEVGRFVRLAEPGLHLVNPLAGECVAGALSTRVQSNPSTSVSRPRPRPKLICAIQYRVVKEHADDAFYELQNPQQQIQAYAFDVFGVAAVKLAHNVGKLTPVTAQSLQLASVYKGEAEKILLVKKSEAEAKIPFW >ORUFI06G02230.3 pep chromosome:OR_W1943:6:1679433:1686920:1 gene:ORUFI06G02230 transcript:ORUFI06G02230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPARPKSDLHATPTRPDHAREAVAAAVVIEDERRLNWRIMVRVRGSGERRGGGEVGRFVRLAEPGLHLVNPLAGECVAGALSTRVQSNPSTSVSRPRPRPKIRDREEIYTGLVFVQLICAIQYRVVKEHADDAFYELQNPQQQIQAYAFDAQSLQLASVYKGEAEKILLVKKSEAEAKIPFW >ORUFI06G02230.4 pep chromosome:OR_W1943:6:1674358:1686111:1 gene:ORUFI06G02230 transcript:ORUFI06G02230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHGKARMGLVFVQLICAIQYRVVKEHADDAFYELQNPQQQIQAYAFDVFGVAAVKLAHNVGKLTPVTAQSLQLASVYKGEAEKILLVKKSEAEAKIPFW >ORUFI06G02230.5 pep chromosome:OR_W1943:6:1679433:1686920:1 gene:ORUFI06G02230 transcript:ORUFI06G02230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNPARPKSDLHATPTRPDHAREAVAAAVVIEDERRLNWRIMVRVRGSGERRGGGEVGRFVRLAEPGLHLVNPLAGECVAGALSTRVQSNPSTSVSRPRPRPKLICAIQYRVVKEHADDAFYELQNPQQQIQAYAFDAQSLQLASVYKGEAEKILLVKKSEAEAKIPFW >ORUFI06G02230.6 pep chromosome:OR_W1943:6:1686171:1686767:1 gene:ORUFI06G02230 transcript:ORUFI06G02230.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIMVTQYFDTIKELGDGSKNTTVFIPQGPGHVKDISEQIRNGMMEASSNNESSNTGGTMYHQPIQQRL >ORUFI06G02230.7 pep chromosome:OR_W1943:6:1686171:1688478:1 gene:ORUFI06G02230 transcript:ORUFI06G02230.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIMVTQYFDTIKELGDGSKNTTVFIPQGPGHVKDISEQIRNGMMEASSNNSRGKRVLGCRCSGGWEEATQAAECVPELGSLEEGVGRYREIGRWSERRVKGLGPGGKEGDGGG >ORUFI06G02240.1 pep chromosome:OR_W1943:6:1693361:1694383:1 gene:ORUFI06G02240 transcript:ORUFI06G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTPPPLPCLVVDNGDTTTLYGVSDGEHRPCEAEELRRNRCWATSHGWVLCCDPATLSTFLWNPQEPTGGGGKIALPPFTQPPPPPNSQCALSREPTDAGAGRFTVVIVEPSGSYVLWYCHVVVGGGGSSSSSSPSPAAAWVRHEYDVGGTNVRVVGGRRFVRRSVAGLTACRGRFYYFHTATDYGVLDFSPAPVFGTVPMAAVDMAEKVAAGEAMAKASVHTLEIGGELYMAYIFFHGDDGSRVVDVGVYRMDFRRRRAVRVRSVGDRAIIAGSNIGGWCPAGGETGLRPNCVYWTSPYDKCLHVCDIGANTRKLQEPYKGLTKLPSRSFWIIPVHK >ORUFI06G02250.1 pep chromosome:OR_W1943:6:1694812:1701802:-1 gene:ORUFI06G02250 transcript:ORUFI06G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSGSDFGAPCDDPKIFRNICRERILKDLLQPDKDKETKSSWKVLIMDKFTVRIMAYACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKEKAYIFFSSPIPKELVSYIKNDSSVIPRIGALREMNLEFFAIDMQEFPCVRYRAPKGTDPMTTPKFDMVPKWLATAVWDIVSKYKSTIPEFPQKETCELLIVDRPIDQIAPVIHEWTYDAMCHDLLEMDGQKYIYEVSKAGSEPERKEALLEDHDPLWVELRHIHIADASERLYDKMNNFVSKNKAAQLHSRDGGEISTKDLQKIVQALPQYGEQVEKLTLHIEIAGKINKFIREYGLRDIGQVEQDLVFGDAAAKEVINILRSKQDMSPENKLRLLIIYAIVYPEKFEGDKGEKLMQLAKLPHDEMDAINSLRYLVGSDTKKTSRPGGFSLKFDAQKELIEKLSKGALPLNEYPSMSEPSSTEQGSTQSAAATKPAQAQPMSRRSRRTPAWAKSRNSDDSQSSDSSVLRHGSSDFKRLGNRIFVFMIGGATRSELRTVHKLTMKLKREIVLGSSSIDDPPQFISM >ORUFI06G02260.1 pep chromosome:OR_W1943:6:1702863:1705809:-1 gene:ORUFI06G02260 transcript:ORUFI06G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAFFLLCGCVDQASVAVVEKWGRFLRLAEPGLHFFNPFAGEFVAGTLSTRVQSLDVRVETKTKDNVFVQLICTIQYRVVKEHADDAFYELQNPQQQIQAYVFDVVRAIVPRMNLDDLFEQKNDVAKAVLQELEKVMGDYGYSIEHILMVDIIPDAAVRRAMNEINAAQRLQLASVYKGEAEKILLVKKAEAEAEAKHLSGVGIARQRQAITDGLRENILNFSHSVSGTSAKEVMDLIMVTQYFDTIKELGDGSKNTTVFIPHGPGHVRDISEQIRNGMMEASCSNV >ORUFI06G02270.1 pep chromosome:OR_W1943:6:1707353:1715754:-1 gene:ORUFI06G02270 transcript:ORUFI06G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPKNQIPNLQSNSSDSPATQNPMNRGRRSARRRRPPSPAARLLPESSSTTPGALDLLRYGRNFRRASPPLRPPLVNSAEPSSTQALQEPDVSFSVGLLSNTASKEPITAHTSSSSSPHTVQHDDTETSTPSQCCLWSSPGSSILVRRPCGWYFVFDIRMDPGGCFHMYPDVGCGPYQSLSEVDDAINQHLHDLWIPEMGEELDRLPPMEKMIRQTMYWPDGRRKRCKSAGYFEKDKCHLIQALVDKYNDDHNLLGDSAFELKDFLQHGVIYEDERWYQHLNFTVKLKGANGFDCGMDNLFFAEISHMQGEVDWVISCCCEIKPNANGHCYGCRNNGYVGMKHPNNDAYSGGHLDGYLPFGVNSYARNNDEELSVKDEEDMLRRMYKGLDKPGGFKRPIPKFATRIVWKTEEEAGVEAG >ORUFI06G02270.2 pep chromosome:OR_W1943:6:1706424:1718998:-1 gene:ORUFI06G02270 transcript:ORUFI06G02270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQQAVPIHRGRRSARRRWPLAVRRPPSPAARLLPESSSTTAGALDLLRYGRNFRRASPPPRPPLVNSAEPSSAQALREPDVSFSVGLLSNTASKEPITAHTSSSSSPLTVQHDDTETSTPSQCCLWSSPGSSILVRRPRGWYFVFYIRMDPGGCFHMYPDVGCGPYQSLSEVDDAINQHLHDLRIPEMGEELDRLPPMEKMIRQAMYWPDGKRKRCKSAGYFEKDKCHLIQALVEKYNEDHNLLGDFAYELKDFLQHGVIYEDQRRYHHLNFTAKVKRADGNNGYVGMKHPNNDAYSGGHLDGYLPFGVNSYARNNDEELSVKDEEDMLRRMYKGLDKPGGFKRPIPKFATRIVWKTEEEAGVEAGAETRVGQLGLGP >ORUFI06G02270.3 pep chromosome:OR_W1943:6:1706424:1718998:-1 gene:ORUFI06G02270 transcript:ORUFI06G02270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQQAVPIHRGRRSARRRWPLAVRRPPSPAARLLPESSSTTAGALDLLRYGRNFRRASPPPRPPLVNSAEPSSAQALREPDVSFSVGLLSNTASKEPITAHTSSSSSPLTVQHDDTETSTPSQCCLWSSPGSSILVRRPRGWYFVFYIRMDPGGCFHMYPDVGCGPYQSLSEVDDAINQHLHDLRIPEMGEELDRLPPMEKMIRQAMYWPDGKRKRCKSAGYFEKDKCHLIQALVEKYNEDHNLLGDFAYELKDFLQHGVIYEDQRRYHHLNFTAKVKRADGCGVENLFFAEISHMQGEYEWVVSCCCIIKPSANGHCYGCRNNGYVGMKHPNNDAYSGGHLDGYLPFGVNSYARNNDEELSVKDEEDMLRRMYKGLDKPGGFKRPIPKFATRIVWKTEEEAGVEAGAETRVGQLGLGP >ORUFI06G02270.4 pep chromosome:OR_W1943:6:1706424:1718998:-1 gene:ORUFI06G02270 transcript:ORUFI06G02270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQQAVPIHRGRRSARRRWPLAVRRPPSPAARLLPESSSTTAGALDLLRYGRNFRRASPPPRPPLVNSAEPSSAQALREPDVSFSVGLLSNTASKEPITAHTSSSSSPLTVQHDDTETSTPSQCCLWSSPGSSILVRRPRGWYFVFYIRMDPGGCFHMYPDVGCGPYQSLSEVDDAINQHLHDLRIPEMGEELDRLPPMEKMIRQTMYWPDGRRKRCKSAGYFEKDKCHLIQALVDKYNDDHNLLGDSAFELKDFLQHGVIYEDERWYQHLNFTVKLKGANGFDCGMDNLFFAEISHMQGEVDWVKDEEDMLRRMYKGLDKPGGFKRPIPKFATRIVWKTEEEAGVEAGAETRVGQLGLGP >ORUFI06G02270.5 pep chromosome:OR_W1943:6:1715969:1718998:-1 gene:ORUFI06G02270 transcript:ORUFI06G02270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQQAVPIHRGRRSARRRWPLAVRRPPSPAARLLPESSSTTAGALDLLRYGRNFRRASPPPRPPLVNSAEPSSAQALREPDVSFSVGLLSNTASKEPITAHTSSSSSPLTVQHDDTETSTPSQCCLWSSPGSSILVRRPRGWYFVFYIRMDPGGCFHMYPDVGCGPYQSLSEVDDAINQHLHDLRIPEMGEELDRLPPMEKMIRQAMYWPDGKRKRCKSAGYFEKDKCHLIQALVEKYNEDHNLLGDFAYELKDFLQHGVIYEDQRRYHHLNFTAKVKRADGCGVENLFFAEISHMQGEYEWVVSCCCIIKPSANGHCYGCRNNGYVGMKHPNNDAYSGGHLDGYLPFGVNSYARNNDEELSVKDEEDMLRRMYKSLDKPGGFKRPIPKFASRIVVEN >ORUFI06G02270.6 pep chromosome:OR_W1943:6:1706424:1718998:-1 gene:ORUFI06G02270 transcript:ORUFI06G02270.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQQAVPIHRGRRSARRRWPLAVRRPPSPAARLLPESSSTTAGALDLLRYGRNFRRASPPPRPPLVNSAEPSSAQALREPDVSFSVGLLSNTASKEPITAHTSSSSSPLTVQHDDTETSTPSQCCLWSSPGSSILVRRPRGWYFVFYIRMDPGGCFHMYPDVGCGPYQSLSEVDDAINQHLHDLRIPEMGEELDRLPPMEKMIRQAMYWPDGKRKRCKSAGYFEKDKCHLIQALVEKYNEDHNLLGDFAYELKDFLQHGVIYEDQRRYHHLNFTAKVKRADGCGVENLFFAEISHMQGEYEWVVKDEEDMLRRMYKGLDKPGGFKRPIPKFATRIVWKTEEEAGVEAGAETRVGQLGLGP >ORUFI06G02280.1 pep chromosome:OR_W1943:6:1723350:1724586:1 gene:ORUFI06G02280 transcript:ORUFI06G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAVQAMSSSHGAAAAMVAAGQRRRLTRLLLNVTVEQSLWPVHVVIGADCTVADLVRAAVDAYVREGRRPPLPSAAGGGGDAAAGFELHFSKYSLESLRPEEKLVDLGSRNFFLCARRTPAA >ORUFI06G02290.1 pep chromosome:OR_W1943:6:1725860:1726285:1 gene:ORUFI06G02290 transcript:ORUFI06G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWPEEEEEMYMIVLGHGDVLHGGGGGETKRMWATSQDGWVITYDAETLATALCRCSSNGGGGGAGRRKMVVVLPSFGRTPPADGSSCTLSGDPTDGGGFTVVIIEPPEGSALWYCHVGSSSAAPAKWDKVEYDVETLLS >ORUFI06G02300.1 pep chromosome:OR_W1943:6:1728243:1731951:1 gene:ORUFI06G02300 transcript:ORUFI06G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVWLTAFFLVVALIVLVIYQLMCLADLEFDYINPFDSSSRINKVVIPEFVLQAALSVLFLLSGHWAMFLLSAPMVYYNYTLYQRRQHLVDVTEIFNHLGREKKRRLFKIVGLIILLFLSLFWSSGEARQVKNIVPQKYCWLVELFFLRFIVKLLLLSHVTCKLGATGHQFSWGQRD >ORUFI06G02300.2 pep chromosome:OR_W1943:6:1728243:1731756:1 gene:ORUFI06G02300 transcript:ORUFI06G02300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVWLTAFFLVVALIVLVIYQLMCLADLEFDYINPFDSSSRINKVVIPEFVLQAALSVLFLLSGHWAMFLLSAPMVYYNYTLYQRRQHLVDVTEIFNHLGREKKRRLFKIVGLIILLFLSLFWMIWTVLLEEDE >ORUFI06G02310.1 pep chromosome:OR_W1943:6:1732549:1737283:-1 gene:ORUFI06G02310 transcript:ORUFI06G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTIQSVKARQIFDSRGNPTVEVDVGLSDGSFARGAVPSGASTGIYEALELRDGGSDYLGKGVLKAVSNVNTIIGPALIGKDPTEQVDIDNFMVQQLDGTSNNWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHHLKSIIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIAKAGYTGKVVIGMDVAASEFYSEKDKTYDLNFKEDNNDGSHKISGDSLKDVYKSFVSEYPIVSIEDPFDQDDWATYAKLTDEIGQQVQIVGDDLLVTNPTRVAKAISEKTCNALLLKVNQIGSVTESIEAVRMSKRAGWGVMASHRSGETEDTFIADLSVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGDAAVYAGEKFRAPVEPY >ORUFI06G02320.1 pep chromosome:OR_W1943:6:1738844:1749940:1 gene:ORUFI06G02320 transcript:ORUFI06G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLEQQEKLRRHVDEWRFRCRAAVAEIGPRSASTSVSSASVRLRVAPTDPGVGVGAASLLTAAAAAEDNVDVSKFVAVLSHSCLEISRLSDAASSNLYRQLLLFGHTAEGPNEALLEGEPQKTFAHSIPLLLEVYEIINGLVMILGNLLRQLDAICSVRDKNVRPLNSFKGLDLTTVFGSLGEGLMVFLLVDEILRHNGNTRSYLSLFSRMLDKVKSEVDVFSMSFEDVDFLDQVVHNLQKLFDIGFFQRLVQEDSPLCSSITLVRSNKKLLDTFYSFFSESSSEIIQRIGSLKELPIDRRTILHLLGLFLFFTTTTGEAPDKKSMNLLVEIFQLVPVVYVEGGKRIVLSDLIRFHCSPSLSLLPPIKEACEAFGIMKNSYLARLNEMHSRDIQAINDSLSCWSVSFQSAIHPSSQMLTEEWVRHLQKQILQGVVLADRIHMLVLSMLDLHMHLEVPLRREKAKSLCQMIVSLKAIGDLFHMKGSSLVRSLPHIINIIQSDIEQLIISLKTKLQNEIAKGSQAVKTGFLSSLIRGGTDTETRLIDSLSLVLMSLQLLEGGGSSQRQLTLSITMDILHSLGYLDIELVGVRKLISKFSILSNFWSLIDERTNCSFLYWRKEMLVTWLSMVYGDACKLSWLQNIIDAFSDGMSLLTLGNVGTVTLQHYEEEIENALRKEVVAPLCRDIETDLRLHVHSTHLKGSVFVNPTKTGVRNLSWYLRMKPLRLPSKFVDIKFLVENHLNSAFYTYSVMSNYDNRMYAEMHQLGELKYGVELEDFHLTVDTADQDFDLKQSMENLDSFSEAYSYNIVKQMFIENDLGGQGRKNLRVLCVDHIASSAAIYVRKPKFDLRYTTSCKLLGWDDDIVEISKVLDMGTRNNDPSDDRIQPFSILATNFSKVELALPYSHFIDRNEVYRKLQSNKLHEMKDFFQIVPSVIAHMMECRLLLKDKLLRRGHEDKRYTHTYDGFLLGVAFVLKVLEQDNSFDELNWFASTKAKLEGEAKDRDDKKTDRNTSGASFVSLKLWRSSPPVRTEQQKGGVDKGTRYMQEIELIECLFRLARTVLR >ORUFI06G02320.2 pep chromosome:OR_W1943:6:1738844:1749940:1 gene:ORUFI06G02320 transcript:ORUFI06G02320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLEQQEKLRRHVDEWRFRCRAAVAEIGPRSASTSVSSASVRLRVAPTDPGVGVGAASLLTAAAAAEDNVDVSKFVAVLSHSCLEISRLSDAASSNLYRQLLLFGHTAEGPNEALLEGEPQKTFAHSIPLLLEVYEIINGLVMILGNLLRQLDAICSVHEILRHNGNTRSYLSLFSRMLDKVKSEVDVFSMSFEDVDFLDQVVHNLQKLFDIGFFQRLVQEDSPLCSSITLVRSNKKLLDTFYSFFSESSSEIIQRIGSLKELPIDRRTILHLLGLFLFFTTTTGEAPDKKSMNLLVEIFQLVPVVYVEGGKRIVLSDLIRFHCSPSLSLLPPIKEACEAFGIMKNSYLARLNEMHSRDIQAINDSLSCWSVSFQSAIHPSSQMLTEEWVRHLQKQILQGVVLADRIHMLVLSMLDLHMHLEVPLRREKAKSLCQMIVSLKAIGDLFHMKGSSLVRSLPHIINIIQSDIEQLIISLKTKLQNEIAKGSQAVKTGFLSSLIRGGTDTETRLIDSLSLVLMSLQLLEGGGSSQRQLTLSITMDILHSLGYLDIELVGVRKLISKFSILSNFWSLIDERTNCSFLYWRKEMLVTWLSMVYGDACKLSWLQNIIDAFSDGMSLLTLGNVGTVTLQHYEEEIENALRKEVVAPLCRDIETDLRLHVHSTHLKGSVFVNPTKTGVRNLSWYLRMKPLRLPSKFVDIKFLVENHLNSAFYTYSVMSNYDNRMYAEMHQLGELKYGVELEDFHLTVDTADQDFDLKQSMENLDSFSEAYSYNIVKQMFIENDLGGQGRKNLRVLCVDHIASSAAIYVRKPKFDLRYTTSCKLLGWDDDIVEISKVLDMGTRNNDPSDDRIQPFSILATNFSKKLQSNKLHEMKDFFQIVPSVIAHMMECRLLLKDKLLRRGHEDKRYTHTYDGFLLGVAFVLKVLEQDNSFDELNWFASTKAKLEGEAKDRDDKKTDRNTSGASFVSLKLWRSSPPVRTEQQKGGVDKGTRYMQEIELIECLFRLARTVLR >ORUFI06G02320.3 pep chromosome:OR_W1943:6:1738844:1749940:1 gene:ORUFI06G02320 transcript:ORUFI06G02320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLEQQEKLRRHVDEWRFRCRAAVAEIGPRSASTSVSSASVRLRVAPTDPGVGVGAASLLTAAAAAEDNVDVSKFVAVLSHSCLEISRLSDAASSNLYRQLLLFGHTAEGPNEALLEGEPQKTFAHSIPLLLEVYEIINGLVMILGNLLRQLDAICSVRDKNVRPLNSFKGLDLTTVFGSLGEGLMVFLLVDEILRHNGNTRSYLSLFSRMLDKVKSEVDVFSMSFEDVDFLDQVVHNLQKLFDIGFFQRLVQEDSPLCSSITLVRSNKKLLDTFYSFFSESSSEIIQRIGSLKELPIDRRTILHLLGLFLFFTTTTGEAPDKKSMNLLVEIFQLVPVVYVEGGKRIVLSDLIRFHCSPSLSLLPPIKEACEAFGIMKNSYLARLNEMHSRDIQAINDSLSCWSVSFQSAIHPSSQMLTEEWVRHLQKQILQGVVLADRIHMLVLSMLDLHMHLEVPLRREKAKSLCQMIVSLKAIGDLFHMKGSSLVRSLPHIINIIQSDIEQLIISLKTKLQNEIAKGSQAVKTGFLSSLIRGGTDTETRLIDSLSLVLMSLQLLEGGGSSQRQLTLSITMDILHSLGYLDIELVGVRKLISKFSILSNFWSLIDERTNCSFLYWRKEMLVTWLSMVYGDACKLSWLQNIIDAFSDGMSLLTLGNVGTVTLQHYEEEIENALRKEVVAPLCRDIETDLRLHVHSTHLKGSVFVNPTKTGVRNLSWYLRMKPLRLPSKFVDIKFLVENHLNSAFYTYSVMSNYDNRMYAEMHQLGELKYGVELEDFHLTVDTADQDFDLKQSMENLDSFSEAYSYNIVKQMFIENDLGGQGRKNLRVLCVDHIASSAAIYVRKPKFDLRYTTSCKLLGWDDDIVEISKVLDMGTRNNDPSDDRIQPFSILATNFSKKLQSNKLHEMKDFFQIVPSVIAHMMECRLLLKDKLLRRGHEDKRYTHTYDGFLLGVAFVLKVLEQDNSFDELNWFASTKAKLEGEAKDRDDKKTDRNTSGASFVSLKLWRSSPPVRTEQQKGGVDKGTRYMQEIELIECLFRLARTVLR >ORUFI06G02320.4 pep chromosome:OR_W1943:6:1738844:1749940:1 gene:ORUFI06G02320 transcript:ORUFI06G02320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLEQQEKLRRHVDEWRFRCRAAVAEIGPRSASTSVSSASVRLRVAPTDPGVGVGAASLLTAAAAAEDNVDVSKFVAVLSHSCLEISRLSDAASSNLYRQLLLFGHTAEGPNEALLEGEPQKTFAHSIPLLLEVYEIINGLVMILGNLLRQLDAICSVRDKNELPIDRRTILHLLGLFLFFTTTTGEAPDKKSMNLLVEIFQLVPVVYVEGGKRIVLSDLIRFHCSPSLSLLPPIKEACEAFGIMKNSYLARLNEMHSRDIQAINDSLSCWSVSFQSAIHPSSQMLTEEWVRHLQKQILQGVVLADRIHMLVLSMLDLHMHLEVPLRREKAKSLCQMIVSLKAIGDLFHMKGSSLVRSLPHIINIIQSDIEQLIISLKTKLQNEIAKGSQAVKTGFLSSLIRGGTDTETRLIDSLSLVLMSLQLLEGGGSSQRQLTLSITMDILHSLGYLDIELVGVRKLISKFSILSNFWSLIDERTNCSFLYWRKEMLVTWLSMVYGDACKLSWLQNIIDAFSDGMSLLTLGNVGTVTLQHYEEEIENALRKEVVAPLCRDIETDLRLHVHSTHLKGSVFVNPTKTGVRNLSWYLRMKPLRLPSKFVDIKFLVENHLNSAFYTYSVMSNYDNRMYAEMHQLGELKYGVELEDFHLTVDTADQDFDLKQSMENLDSFSEAYSYNIVKQMFIENDLGGQGRKNLRVLCVDHIASSAAIYVRKPKFDLRYTTSCKLLGWDDDIVEISKVLDMGTRNNDPSDDRIQPFSILATNFSKKLQSNKLHEMKDFFQIVPSVIAHMMECRLLLKDKLLRRGHEDKRYTHTYDGFLLGVAFVLKVLEQDNSFDELNWFASTKAKLEGEAKDRDDKKTDRNTSGASFVSLKLWRSSPPVRTEQQKGGVDKGTRYMQEIELIECLFRLARTVLR >ORUFI06G02330.1 pep chromosome:OR_W1943:6:1750343:1754413:1 gene:ORUFI06G02330 transcript:ORUFI06G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAAAPANSSCFHPRAAAASAPSSLSVGTKVFVGLKAQTKLGERGAKKINSRIYVGFVLIVVDCLIFGVCGVGNCGAGSSESSCPNVTAGFYTAVNRRISLGLSNKRATRARISMMPVGTPRVPYRTPGEGTWQWLDIWNALYRERIIFIGDSIDEEFSNQVLASMLYLDSVDNTKKILLYINGPGGDLTPCMALYDTMLSLKSPIGTHCLGFAFNLAGFILAAGEKADDIENEANELIRIKNYLYSKLSEHTGHPVDKIHEDLSRVKRFDAEGALEYGIIDRIIRPSRIKKEGSTAQKKDLRNLGLG >ORUFI06G02340.1 pep chromosome:OR_W1943:6:1751169:1763484:-1 gene:ORUFI06G02340 transcript:ORUFI06G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDRSEPCMVLADKSDEEEKMKREREAGGGGGQGHTCSWRLFEAATVGKMQRQGRQEWLKADQRNPAAFVRTVNVKQQTIYEEPRPALELLQQVTEVKSGKEAYPYTNAQSY >ORUFI06G02350.1 pep chromosome:OR_W1943:6:1763515:1764504:-1 gene:ORUFI06G02350 transcript:ORUFI06G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTAAAAHGGGHHHHHHHHFGAPPVAAFHHHPFHHGGGAHYPAAFQQFQEEQQQLVAAAAASGGMAKQELVDESNNTINSGGSNGSGGEEQRQQSGEEQHQQGAAAPVVIRRPRGRPAGSKNKPKPPVIITRDSASALRAHVLEVASGCDLVDSVATFARRRQVGVCVLSATGAVTNVSVRQPGAGPGAVVNLTGRFDILSLSGSFLPPPAPPSATGLTVYVSGCQGQVVGGTVAGPLIAVGPVVIMAASFGNAAYERLPLEDDEPPQHMAGGGQSSPPPPQLPLPPPQQPILQDQLPHNLMNGIHLPGDAAYGWTSGGGGGRAAPY >ORUFI06G02360.1 pep chromosome:OR_W1943:6:1775481:1784794:-1 gene:ORUFI06G02360 transcript:ORUFI06G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVANATPKAAAGKPRLSAAGGGAYRRTSSGPLPSAGGGGGRASSESGVSSRVRVAVRLRPRNADELAADADFGDCVELQPELKRLKLRKNNWESETYEFDEVLTEFASQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTFTLGRLGEEDTAARGIMVRAMEDILADITPETDTVSVSYLQLYMEMIQDLLDPVNDNIAIVEDPRTGDVSLPGATVVEVRDQKSFVDLLRIGEAHRVAANTKLNTESSRSHALLMVNVRRAVKGKHEMDVSISGENGHSSSMVGSLRPPIVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLKDSFGGTARTSLVVTIGPSPRHRGETTSTIMFGQRAMKVENMVKLKEEFDYKSLCRRLDIELDKLIAENERQRKYFDDEIERITAEAQLRVTEAEREYKISLENEKAKYHQEYLDSIKILEEKWKIHQQSPKKLIKETEPTSSEVGEVQNLLQNEKVLRQSAEDEANDLKNQVLHWKKMEAAATAEVVKLRKMLDTEASQKEKLDEEIAVLKSQLLQLSLDADETRRSLDRGDGSGKIFPGFDSLMSHSRNSQPREQSNGPKPPIAKLFEQVGLQKILSLLESEEPDVRVHAVKVVANLAAEEANQEKIVEAGGLTSLLMLLRSSEDETIRRVAAGAIANLAMNETNQDLIMAQGGVSLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQTRLRGEGGIKALLGMVKCGHPDVLAQVARGIANFAKCESRAATQGNKVGKSLLIDDGALPWIVKNANNEAAPIRRHIELALCHLAQHEVNSKDIISEGALWELVRISRDCSREDIRMLAYRTLTSSPTLQSEMRRLRIEC >ORUFI06G02370.1 pep chromosome:OR_W1943:6:1791370:1795282:1 gene:ORUFI06G02370 transcript:ORUFI06G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQASSSSSPATAAAPPPPQPAADPSPSAVPASEEALDPQTPAPPPQAQPEAVLTAAQKALRSKPTRPPEDSDKKNKKLKDVEISFPIVYGTISFWLGKKASEYNSHKWTVYVRSATNEDLSVIVKRVVFQLHPSFTNPTRVVEQPPFELSESGWGEFEIAITLYFHSDVCEKRLDLFHQLKLYPEEDTGPQSTKKPVVVETYDEIVFPEPTEAFFQRVQNHPAATVPRLPPGITLPPPGPMELVPHEKKRGDTKDHPLSQWFSNFSEADELLKLAAARQQVQAHIAKLRRQLSMIDGMPQQSKAVSVQGQQFGHG >ORUFI06G02380.1 pep chromosome:OR_W1943:6:1802356:1802715:1 gene:ORUFI06G02380 transcript:ORUFI06G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKGGAAGLKQILKRCSSLGRRQQEQKQVSEWEEEEEASGLPSDVPRGHFAVYVGERRRRFVVPLALLDRPEFRSLLRRAEEEFGFAGAGAGGLLVLPCEEVAFRSLTSSLHYSCTR >ORUFI06G02390.1 pep chromosome:OR_W1943:6:1804514:1811171:-1 gene:ORUFI06G02390 transcript:ORUFI06G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERKRRQPADAPPPGDDDEGRDHRREKKPRKERPDPVLPSQIKNKDKRKEVHAKLKREKKAQKRQLARERGQAAQRAPPEKLVPRTIENTREPDETVCRPDDQELFAGNDADEFNAVLKQQTTPKVLITTCRFNSGRGPAFIEELMQVIPNSCYVKRGTYELKKIVEYANNRDFTSLVVVHTNRREPDALLIINLPAGPTAHFKLSKLILRKDIKNHGNPTSHKPELVLNNFTTRLGHRVGRMIQSLFPQEPNFRGRRVVTFHNQRDYIFFRHHRYIFEMKENKIASKDKKAKTSESKSQPEKQVICRLQECGPRFTLKLLTLQHGTFDTKNGEYEWVHKPDMDTSRRRFFFPNMSCYPQDVSSNLLPYKGRYHPTAMGLHLIVPALLSIQELIIAGPMFFKAAIATSGAMTAPGSSYPRGWLADSCLLLGTAATHGLGVPSYPSKAICFCAYVRAGQGVRHRGGLVTSAAVQRHMACSLPWPCHVICSSLVQWPFGY >ORUFI06G02390.2 pep chromosome:OR_W1943:6:1804723:1811171:-1 gene:ORUFI06G02390 transcript:ORUFI06G02390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERKRRQPADAPPPGDDDEGRDHRREKKPRKERPDPVLPSQIKNKDKRKEVHAKLKREKKAQKRQLARERGQAAQRAPPEKLVPRTIENTREPDETVCRPDDQELFAGNDADEFNAVLKQQTTPKVLITTCRFNSGRGPAFIEELMQVIPNSCYVKRGTYELKKIVEYANNRDFTSLVVVHTNRREPDALLIINLPAGPTAHFKLSKLILRKDIKNHGNPTSHKPELVLNNFTTRLGHRVGRMIQSLFPQEPNFRGRRVVTFHNQRDYIFFRHHRYIFEMKENKIASKDKKAKTSESKSQPEKQVICRLQECGPRFTLKLLTLQHGTFDTKNGEYEWVHKPDMDTSRRRFFFPNMSCYPQDVSSNLLPYKGRYHPTAMGLHLIVPALLSIQELIIAGPMFFKAAIATSGAMTAPGSSYPRGWLADSCLLLGTAATHGLGVPSYPSKAICFCAYVRAGQGVRHRGGLVTSAAVQRHMACSLPWPCHVICSSLVQWHLHASY >ORUFI06G02390.3 pep chromosome:OR_W1943:6:1804781:1811171:-1 gene:ORUFI06G02390 transcript:ORUFI06G02390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERKRRQPADAPPPGDDDEGRDHRREKKPRKERPDPVLPSQIKNKDKRKEVHAKLKREKKAQKRQLARERGQAAQRAPPEKLVPRTIENTREPDETVCRPDDQELFAGNDADEFNAVLKQQTTPKVLITTCRFNSGRGPAFIEELMQVIPNSCYVKRGTYELKKIVEYANNRDFTSLVVVHTNRREPDALLIINLPAGPTAHFKLSKLILRKDIKNHGNPTSHKPELVLNNFTTRLGHRVGRMIQSLFPQEPNFRGRRVVTFHNQRDYIFFRHHRYIFEMKENKIASKDKKAKTSESKSQPEKQVICRLQECGPRFTLKLLTLQHGTFDTKNGEYEWVHKPDMDTSRRRFFFPNMSCYPQDVSSNLLPYKGRYHPTAMGLHLIVPALLSIQELIIAGPMFFKAAIATSGAMTAPGSSYPRGWLADSCLLLGTAATHGLGVPSYPSKAICFCAYVRAGQGVGWSRVQQCSGTWLAPSPGPAMLSAPVWSNG >ORUFI06G02390.4 pep chromosome:OR_W1943:6:1804781:1811171:-1 gene:ORUFI06G02390 transcript:ORUFI06G02390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERKRRQPADAPPPGDDDEGRDHRREKKPRKERPDPVLPSQIKNKDKRKEVHAKLKREKKAQKRQLARERGQAAQRAPPEKLVPRTIENTREPDETVCRPDDQELFAGNDADEFNAVLKQQTTPKVLITTCRFNSGRGPAFIEELMQVIPNSCYVKRGTYELKKIVEYANNRDFTSLVVVHTNRREPDALLIINLPAGPTAHFKLSKLILRKDIKNHGNPTSHKPELVLNNFTTRLGHRVGRMIQSLFPQEPNFRGRRVVTFHNQRDYIFFRHHRYIFEMKENKIASKDKKAKTSESKSQPEKQVICRLQECGPRFTLKLLTLQHGTFDTKNGEYEWVHKWLSSDEQAGWQIAACCWVLLLHMAWGSHPIQVRPYVSARTSVQARAYDTEVGWSRVQQCSGTWLAPSPGPAMLSAPVWSNG >ORUFI06G02400.1 pep chromosome:OR_W1943:6:1812029:1817808:1 gene:ORUFI06G02400 transcript:ORUFI06G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCRPLVSPPLPRRLAVASPLAPARPPPSSASSLRVVRCMAKERRVRMVAKQIQRELADMLTRDPVLQRAVLPEAALGADQYLSSLTTIADVELSNDLQVCKVYVSVFGDERGKKVAIAGLKAKTKYVRSQIGKRMKLRLTPEIRFIEDESMERGSRILAILDKLKEEREQQEGNEEEEDGEGANLSEEEEGDWDADEPDEEDIIYVK >ORUFI06G02400.2 pep chromosome:OR_W1943:6:1812029:1817824:1 gene:ORUFI06G02400 transcript:ORUFI06G02400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCRPLVSPPLPRRLAVASPLAPARPPPSSASSLRVVRCMAKERRVRMVAKQIQRELADMLTRDPVLQRAVLPEAALGADQYLSSLTTIADVELSNDLQVCKVYVSVFGDERGKKVAIAGLKAKTKYVRSQIGKRMKLRLTPEIRFIEDESMERGSRILAILDKLKEEREQQEGNEEEEDGEGANLSEEEEGDWDADEPDEEDIIYVK >ORUFI06G02410.1 pep chromosome:OR_W1943:6:1819998:1821125:1 gene:ORUFI06G02410 transcript:ORUFI06G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQHSNGGAAGDNGEAAPPSVPPSLAPPRKVALVTGITGQDGSYLTELLLGKGYEVHGLIRRSSNFNTQRLDHIYHDPHSQPSTPRPPMRLHYADLSDSSSLRRALDHILPDEVYNLAAQSHVAVSFEVPDYTADVTATGALRLLEAVRLASKRIRYYQAGSSEMFGSTPPPQSESSPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFVTRKITRAVGRIKVGLQTKVFLGNLSAARDWGFAGDYVEAMWRMLQQDKPGDYVVATEESHTVEEFLQAAFGYAGLNWKDHVVIDKKYFRPAEVDSLQGDATKARKELGWKPKVGFQQLVEMMVDNDIELAKKEKVLVDAGYRDPKQQP >ORUFI06G02420.1 pep chromosome:OR_W1943:6:1825354:1832484:1 gene:ORUFI06G02420 transcript:ORUFI06G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKKKGKKIKKKIPSSRGAGGFAFFARRRPPATRVAASPRYGAGRAPGTEVDAFRRQVEDLVSKTDQLERRVNEVVGFYDGKKHGSGGRKAGRKDSSLSKGMPDLMRQFGTIVRQITSHEWAEPFLKPVDVVGLQLDDYYKIITKPMDFSTIQKKMEGKDDNKYNNVREIYSDVRLIFANAMKYNDERHDVHIMAKSLLEKFEEKWLQLLPKVENEERKQKDEESNGVPKVNISPEEAIAKLAKDTDNELIEINKQLEELRQMVVQKCRKMTTYEKRKLGAGLCHLSPEELTKALEMVAQDNPSFEAKGDELELDMDAQSETTLWRLKFFVREALERQANVASGRTDENAKRKREICNALARTASKRVKQQPN >ORUFI06G02420.2 pep chromosome:OR_W1943:6:1825629:1832484:1 gene:ORUFI06G02420 transcript:ORUFI06G02420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGGGVPQGAEGGRAMAAAETAATAAAAGERAPGTEVDAFRRQVEDLVSKTDQLERRVNEVVGFYDGKKHGSGGRKAGRKDSSLSKGMPDLMRQFGTIVRQITSHEWAEPFLKPVDVVGLQLDDYYKIITKPMDFSTIQKKMEGKDDNKYNNVREIYSDVRLIFANAMKYNDERHDVHIMAKSLLEKFEEKWLQLLPKVENEERKQKDEESNGVPKVNISPEEAIAKLAKDTDNELIEINKQLEELRQMVVQKCRKMTTYEKRKLGAGLCHLSPEELTKALEMVAQDNPSFEAKGDELELDMDAQSETTLWRLKFFVREALERQANVASGRTDENAKRKREICNALARTASKRVKQQPN >ORUFI06G02430.1 pep chromosome:OR_W1943:6:1830645:1832385:-1 gene:ORUFI06G02430 transcript:ORUFI06G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSAAAAIAGVVWVLLLLVGVASGARLPGGSGGNRGREPRGGAAAAAVATETAVFALGSFWRSEAAFGCLPGVIRTSVGYAGGSKARPEYRNLGDHAECVKVEYDPRLIQYKKLLEVFWASHDPREVFGQGPDVGNQYRSIIFTNGSVEARLAGLSKEKEQAKDRRSVITTQIQPIGAFYPAEPEHQKFELKRKPFLLQLIGNLPEEELLTSTLAAKLNAYAAELCSPNTQNRINSKIDEIAKKGWPILRDI >ORUFI06G02440.1 pep chromosome:OR_W1943:6:1832657:1837400:1 gene:ORUFI06G02440 transcript:ORUFI06G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSASAGGEADAMALVQGYNADELAIAGEFLTTWLPFLSAGLCASCADSLRSRVSSLLPPQAEESPSSPPPRIDQIEPSGWESDPATAHPQHLPFEPSGWDSDPPQLPPEQEQQKQKPQPAEKPRKSWADMAQEDELAAAAEEDAAAAAADDGEEGSEAGRPGVQLTREQRELRRFRNVVRRKDFMCFERVNGRLVNILAGLELHCGVFSAAEQKRIVDYVYDLQEMGKHGELGDRTYTEPQRWMRGKGRVTIQFGCCYNYATDKNGNPPGIIRTIASDPMPSLFKIMIKRLVRWHVLPKTCIPDSCIVNIYDPGDCIPPHIDSHDFVRPFCTVSFLSECNILFGSTLKIAGPGEFTGSLPIPLPVGSVLILNGNGADVAKHCVPAVPTKRISITFRKMDPAKRPFNFRDDPELLNIIPLETAVQETGRSSDEGKGKQPDIQIRNPSKAHRNKKSKVRTSPGKGGRGGILGDGPPQYAQAQVTGISSQQNFHGQPTISGSSAERERRPVGPLRESRYQQDAPGMQSNMDGIRERANWLAQERMHGNSMNSIDDGTESQERRQRMEHRQILMINRTINDDMDSLSIGSHESDQTRVSIRTLYNKPRRTRVNLDE >ORUFI06G02450.1 pep chromosome:OR_W1943:6:1838940:1839953:1 gene:ORUFI06G02450 transcript:ORUFI06G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMFPGDVGPVMLAPPPPLPFFPIAAVQSGLGFMHMSAPPEIVTARSKLNSDAPSFSPRSPAASAAAAADEVEVRDVWAANLEEEMRSIGVLLPTYPVVSMDTEFPGTVHDVATPRHLRTPRESYAVVKRNVDELHLLQLGLALSGPAGRCPVAWQFNFAGFDARRDPHSGSSVAMLAAHGVDFAALRRHGIDHGDFARAFGRSKLACGRLTWAAFSGSYDFAYLVKVLTGGRPLPSTLEGFMAKVSKIFGPAVLDVKHLAKFCGGGGGIRGGLEHVAAALGVHRAAGRAHNAGSDSLLTSDVLHAMVDRFFPNSGVLNHAGAIDGLVKCSNLYYKY >ORUFI06G02460.1 pep chromosome:OR_W1943:6:1842333:1843121:-1 gene:ORUFI06G02460 transcript:ORUFI06G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVAHSCFRSPATLSFLAAFMSYDPHAACPRNATLQQHRLLSKACEPLPRRRCLSGGPRAALPASNMGVDGRRWVRPRHDYEFLLDDVLRLGATRIRIGLDVAGGAANFAARMRDRGVTVVTTVLDNAGKPMNEFVAARGLFPLLLSPAHRFPFYDGVFDLVHVGTNALDEGGAPSMGNSGMEEALEFFMFDVDRVLRVGGLLWIDSYLCQSEERRQLVVNLIKRFGYKKLKWMVGEKAGTGSAKTALYLSALLQKPARD >ORUFI06G02470.1 pep chromosome:OR_W1943:6:1845201:1852063:1 gene:ORUFI06G02470 transcript:ORUFI06G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQLRCKGRLHKEVKLIMLQPIRQNLVSLDMPIISVSGKISHTSLHDFRARDSRFGMMDGSSARA >ORUFI06G02480.1 pep chromosome:OR_W1943:6:1846271:1856103:-1 gene:ORUFI06G02480 transcript:ORUFI06G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVKPSLDFNPVHPGVFPAPRPGNKSSSPEVWKKTSRGGGGGSGARRDPTATATATARLSHPAATFSPSQKAIVHGLIALRAKRKGSPCQQDGDSQGAADIEIPSLPEGSPCQQDGDSQGAADIEIPSLPEDIWRLIHSLMPMRDAARAACVSHSFLSSWRCHPNLNFSSEALGLSKNAYGNEELAGLFYSKVNHILKRHSGIGVKKLTIKVYSDYSGKGSSYLNNWLQIAVKPGIEELIIALTQFQAKYNFPCSLLSNGSGDSIQYLHLSNCSFHPTVTLSGLRSLTRLYLCRVRITENELGCLLSHSLALEQLEIRYCNRIVCLKVPCLLQRLISLKVFGCDKLKLIENEAPNVSMFAFQGDKTELKLGETLQIKSLCMVRSGYVYHARAELPSIMPNLESLALKSCKEAHPALADSFTSMPVSLHLALVLLSMCEDIDTAAATLIITHQLHGSPCQQDGDSQGAADIEIPSLPEDIWRLIHSLMPMRDAARAACVSRSFLSSWRCHPNLNFSSEAFGLNKNACGKEELAGLFYSKVDHILKRHSGIGVKKLKIQIYSDYSGKGSSYLNNWLQISVKPGIEELIISLTQFQAKYNFPCSLLSNGSGDSIQYLHLSNCSFHPTVTLSGLRSLMRLYLCCVRITENELGCLLSHSLALEQLEIRYCDRIVCLKVPCLLQRLISLKVFGCDKLKLIENEAPNVSIFAFQGDKTELKLGETLQIKSLCMVRSGYVYHARAELPSIMPNLESLAIKSRKEV >ORUFI06G02480.2 pep chromosome:OR_W1943:6:1846269:1853768:-1 gene:ORUFI06G02480 transcript:ORUFI06G02480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNHASCAADGLIALRAKRKGSPCQQDGDSQGAADIEIPSLPEGSPCQQDGDSQGAADIEIPSLPEDIWRLIHSLMPMRDAARAACVSHSFLSSWRCHPNLNFSSEALGLSKNAYGNEELAGLFYSKVNHILKRHSGIGVKKLTIKVYSDYSGKGSSYLNNWLQIAVKPGIEELIIALTQFQAKYNFPCSLLSNGSGDSIQYLHLSNCSFHPTVTLSGLRSLTRLYLCRVRITENELGCLLSHSLALEQLEIRYCNRIVCLKVPCLLQRLISLKVFGCDKLKLIENEAPNVSMFAFQGDKTELKLGETLQIKSLCMVRSGYVYHARAELPSIMPNLESLALKSCKEAHPALADSFTSMPVSLHLALVLLSMCEDIDTAAATLIITHQLHGSPCQQDGDSQGAADIEIPSLPEDIWRLIHSLMPMRDAARAACVSRSFLSSWRCHPNLNFSSEAFGLNKNACGKEELAGLFYSKVDHILKRHSGIGVKKLKIQIYSDYSGKGSSYLNNWLQISVKPGIEELIISLTQFQAKYNFPCSLLSNGSGDSIQYLHLSNCSFHPTVTLSGLRSLMRLYLCCVRITENELGCLLSHSLALEQLEIRYCDRIVCLKVPCLLQRLISLKVFGCDKLKLIENEAPNVSIFAFQGDKTELKLGETLQIKSLCMVRSGYVYHARAELPSIMPNLESLAIKSRKEV >ORUFI06G02480.3 pep chromosome:OR_W1943:6:1846269:1853768:-1 gene:ORUFI06G02480 transcript:ORUFI06G02480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNHASCAADGLIALRAKRKGSPCQQDGDSQGAADIEIPSLPEDIWRLIHSLMPMRDAARAACVSHSFLSSWRCHPNLNFSSEALGLSKNAYGNEELAGLFYSKVNHILKRHSGIGVKKLTIKVYSDYSGKGSSYLNNWLQIAVKPGIEELIIALTQFQAKYNFPCSLLSNGSGDSIQYLHLSNCSFHPTVTLSGLRSLTRLYLCRVRITENELGCLLSHSLALEQLEIRYCNRIVCLKVPCLLQRLISLKVFGCDKLKLIENEAPNVSMFAFQGDKTELKLGETLQIKSLCMVRSGYVYHARAELPSIMPNLESLALKSCKEAHPALADSFTSMPVSLHLALVLLSMCEDIDTAAATLIITHQLHGSPCQQDGDSQGAADIEIPSLPEDIWRLIHSLMPMRDAARAACVSRSFLSSWRCHPNLNFSSEAFGLNKNACGKEELAGLFYSKVDHILKRHSGIGVKKLKIQIYSDYSGKGSSYLNNWLQISVKPGIEELIISLTQFQAKYNFPCSLLSNGSGDSIQYLHLSNCSFHPTVTLSGLRSLMRLYLCCVRITENELGCLLSHSLALEQLEIRYCDRIVCLKVPCLLQRLISLKVFGCDKLKLIENEAPNVSIFAFQGDKTELKLGETLQIKSLCMVRSGYVYHARAELPSIMPNLESLAIKSRKEV >ORUFI06G02490.1 pep chromosome:OR_W1943:6:1855475:1855921:1 gene:ORUFI06G02490 transcript:ORUFI06G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFQEKLNSVANFLEEMNSVVSLVKKQRINDPSMMNWSLQLKDAIDKVEFTRTARTTRIAKESPCALLRLFFSEGATPVAAWRRGRGRWAAAAEWMRRRYAATGSGARWDGGDDRKGRREGNPNPRFGRGGERRKGSVLTGEKVAAG >ORUFI06G02500.1 pep chromosome:OR_W1943:6:1857118:1857549:1 gene:ORUFI06G02500 transcript:ORUFI06G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLLLLLASSVLICRPLPIDSGTSKRMLDADASQLPWGRVVVGRLGTPTASIGGAAASPVRAAPPTFNAAAAGAEEATTGCRHLRGDADARHRRPLPVRGCGGRAEEVGVSWLAERAWSALVDSAVAGPRVARPRMARTQPF >ORUFI06G02510.1 pep chromosome:OR_W1943:6:1859848:1862821:1 gene:ORUFI06G02510 transcript:ORUFI06G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPAAMDRIAGRLAAVDGLYYPTTFLLADPPPSAPDRKAALLALLSRDAPLFLERYGGSLSRDELAAFDALAGDYEVGWHLRRLRAASEGGPSASRVRNRRRAYLDRLVREGEYFSEEAMREREPYLHHEYLGRFQDPTGRAMARPGERWSETLMRRAEEAVIVEKIRGEQIRRGVDPSEWVGGGAEEAMEEQEEEEEEEEEEEEEEEESEEEQGMDMEEKGRGADNSSENPSVTEVVDSNKTAGASKQTLSAEDMEDQLEQFTSLMQQKFLSGEDSEHMDYSRIDNDEMLDDHWSKEANYDAEEKYFEED >ORUFI06G02520.1 pep chromosome:OR_W1943:6:1863797:1866277:1 gene:ORUFI06G02520 transcript:ORUFI06G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHAGARRRGGAGVVSLIVVVVAVLSAAAIAAEGVDAAVFDDAHLLPRPLVIELPTTTSSSSPALAEEGEGEAVPAEVRCASWRLAGEANNLAPWKSLPEECAAYVREYLTGVAYRSDLEVVAREASAYARTARVGDDGRDAWVFDVDETLLSNLPYYADHGYGLELFDHREFDKWVERGEAPAIPSSLKLYNEVRDLGFKTFLLTGRSEGHHGVTVDNLKKQGFHDWDKLILRAPADRKKTATIYKSEKRKEMEEEGYRILGNSGDQWSDLLGFSTSARSFKLPNPMYYIP >ORUFI06G02530.1 pep chromosome:OR_W1943:6:1866691:1869789:-1 gene:ORUFI06G02530 transcript:ORUFI06G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLMGSPSPAAGDAPTSGEHRMGTTIVGVCYDGGVVLAADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADTQVISEYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIVGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYGLLDHEWKEGMSQEEAEKFVVKVVSLAIARDGASGGVVRTVTINADGVSRKFHPGDKLPLWHEEMEPQNSLLDILAAGNPDPMVQ >ORUFI06G02540.1 pep chromosome:OR_W1943:6:1870062:1890688:-1 gene:ORUFI06G02540 transcript:ORUFI06G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGIMLAALLVLCQLIKNAGKITDAACISSERDALLAFKAGFADPAGGALRFWQGQDCCAWSGVSCSKKIGSVVSLDIGHYDLTFRGEINSSLAVLTHLVYLNLSGNDFGGVAIPDFIGSFEKLRYLDLSHAGFGGTVPPRLGNLSMLSHLDLSSPSHTVTVKSFNWVSRLTSLVYLDLSWLYLAASSDWLQATNTLPLLKVLCLNHAFLPATDLNALSHTNFTAIRVLDLKSNNFSSRMPDWISKLSSLAYLDLSSCELSGSLPRNLGNLTSLSFFQLRANNLEGEIPGSMSRLCNLRHIDLSGNHFSGDITRLANTLFPCMNQLKILDLALNNLTGSLSGWVRHIASVTTLDLSENSLSGRVSDDIGKLSNLTYLDLSANSFQGTLSELHFANLSRLDMLILESIYVKIVTEADWVPPFQLRVLVLYGCQVGPHFPAWLKSQAKIEMIELSRAQIKSKLPDWLWNFSSTISALDVSGNMINGKLPKSLKHMKALELLDMSSNQLEGCIPDLPSSVKVLDLSSNHLYGPLPQRLGAKEIYYLSLKDNFLSGSIPTYLCEMVWMEQVLLSLNNFSGVLPNCWRKGSALRVIDFSNNNIHGEISSTMGHLTSLGSLLLHRNKLSGPLPTSLKLCNRLIFLDLSENNLSGTIPTWIGDSLQSLILLSLRSNNFSGKIPELLSQLHALQILDIADNNLSGPVPKSLGNLAAMQLGRHMIQQQFSTISDIHFMVYGAGGAVLYRLYAYLYLNSLLAGKLQYNGTAFYIDLSGNQLAGEIPIEIGFLSGLTGLNLSGNHIRGSIPEELGNLRSLEVLDLSRNDLSGPIPQCFLSLSGLSHLNLSYNDLSGAIPFGNELATFAESTYFGNANLCGPPLSRSCLYHKRKHQLNFDTGTYLSALLGFAFGFCIIRAIDRSTRTVHPAGMEVAAAGGGARRRSRRRAEQPPPPCRPTNDDDAAASKLKLLHQFLGAGNGSNDQNRKQILSLGAGFDTTFFQLQDEGIAPYLYVELDFKEVTSKKAAIINHYSQMKEKLGPEASISIEKGEVRSAHYKLFSADIRDIPKLDSVIQMAEMDPTLPTFIIAECVLIYLDPASTSSIVIWASDKFSTAIFFLYEQIHPDDAFGEQMIINLESRGCPLLGINATPTLSHKENLFLDHGWQRAVAWDMLKIYNDFIDSEERRRIERLELFDEFEEWHMMQEHYCVAYGINDAKERDALFDLKATLRDPGGMLSSWVGLNCCNWYGVTCNNRTGHIIKLNLANYNISKEDALTGDISPSLVHLTHLMYLNLRSNDFGGARIPAFIGSLKNLRHLDLSFANFGGKIPPQLGNLSKLNYLDISFPYNNFSSFTSSSSVDNLLWVSQLSSLVYLDMSLWNLSVASDWLQSLNMLASLKVLRLSGTNLPPTNQNSLSQSNFTVLNEIDLSGNNFSSRFPNWLASIYTLSLINLDYCELHGSIPESVGNLTALNTLYLADNSLIGAIPISKLCNLQILDLSNNNLIGDIADLGKAMTRCMKGLSMIKLGNNNLSGSLSGWIGSFPNLFSVDLSKNSLSGSSPLQSQVPQWLQTQVGMQTLDLHRTGTLGQLPDWLWTSLTSLINLDLSDNLLTGMLPASLVHMKSLQFLGLSSNQLEGQIPDMPESLDLLDLSNNSLSGSLPNSVGGNKTRYILLSSNRLNRSIPAYFCNMPWLSAIDLSNNSLSGELPNCWKNSTELFLVDFSYNNLEGHIPSSLGSLTFLGSLHLNNNRLSGLLPSSLSSCGLLVFLDIGDNNLEGSIPEWIGDNMQYLMILRLRSNRFTGSIPSELSQLQGLQVLDLANNKLSGPLPQGIGNFSEMASQRSRHIIPMQISGDSFGGSLYHNESLYITIKGEERLYSKILYLMKSIDLSNNYLTGGIPAEVGDLVGLKNLNLSKNLLSGHIPETIGNMSSLESLDLSWNRLSGIIPESMTSLHLLSHLNMSYNNLSGMVPQGSQLQTLGDEDPYIYAGNKYLCIHLASGSCFEQKDNHVDQAEHNDVHDIWLYIFSGLGFGVGFSSVWWLLVCSKAVGKRYFQFVDSTCEKVIHWMILLEKKS >ORUFI06G02540.2 pep chromosome:OR_W1943:6:1871185:1885322:-1 gene:ORUFI06G02540 transcript:ORUFI06G02540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMRGRMQGVWLAALISLLCHSIANAGKEAAAAVCITSERDALLAFKAGLCADSAGELPSWQGHDCCSWGSVSCNKRTGHVIGLDIGQYALSFTGEINSSLAALTHLRYLNLSGNDFGGVAIPDFIGSFSKLRHLDLSHAGFAGLVPPQLGNLSMLSHLALNSSTIRMDNFHWVSRLRALRYLDLGRLYLVACSDWLQAISSLPLLQVLRLNDAFLPATSLNSVSYVNFTALTVLDLSNNELNSTLPRWIWSLHSLSYLDLSSCQLSGSVPDNIGNLSSLSFLQLLDNHLEGEIPQHMSRLCSLNIIDMSRNNLSGNITAEKNLFSCMKELQVLKVGFNNLTGNLSGWLEHLTGLTTLDLSKNSFTGQIPEDIGKLSQLIYLDLSYNAFGGRLSEVHLGNLSRLDFLSLASNKLKIVIEPNWMPTFQLTGLGLHGCHVGPHIPAWLRSQTKIKMIDLGSTKITGTLPDWLWNFSSSITTLDISSNSITGHLPTSLVHMKMLSTFNMRSNVLEGGIPGLPASVKVLDLSKNFLSGSLPQSLGAKYAYYIKLSDNQLNGTIPAYLCEMDSMELVDLSNNLFSGVLPDCWKNSSRLHTIDFSNNNLHGEIPSTMGFITSLAILSLRENSLSGTLPSSLQSCNGLIILDLGSNSLSGSLPSWLGDSLGSLITLSLRSNQFSGEIPESLPQLHALQNLDLASNKLSGPVPQFLGNLTSMCVDHGYAVMIPSAKFATVYTDGRTYLAIHVYTDKLESYSSTYDYPLNFIDLSRNQFTGEIPREIGAISFLLALNLSGNHILGSIPDEIGNLSHLEALDLSSNDLSGSIPPSITDLINLSVLNLSYNDLSGVIPCSSQFSTFTDEPYLGNADLCGNCGASLSRICSQHTTTRKHQNMIDRGTYLCTLLGFAYGLSVVSAILIFSRTARNAYFQFTDKTLDEFRAIVQIKLNRIKAGRRQSMEIYHTTNVVPCCIQDACITTDRPAGMDAAAAAAAAGGGGGGGGSVAARSSPASVQATNDDAAASKLSCVNKGYMKDDYVHFFVRRTTKRAPIINRGYYARWSVLRKLLHQFLGAGNGSNDQNRKQILSLGAGFDTTFFQLQDEGIAPYLYVELDFKEVTSKKAAIINHYSQMKEKLGPEASISIEKGEVRSAHYKLFSADIRDIPKLDSVIQMAEMDPTLPTFIIAECVLIYLDPASTSSIVIWASDKFSTAIFFLYEQIHPDDAFGEQMIINLESRGCPLLGINATPTLSHKENLFLDHGWQRAVAWDMLKIYNDFIDSEERRRIERLELFDEFEEWHMMQEHYCVAYGINDAKERDALFDLKATLRDPGGMLSSWVGLNCCNWYGVTCNNRTGHIIKLNLANYNISKEDALTGDISPSLVHLTHLMYLNLRSNDFGGARIPAFIGSLKNLRHLDLSFANFGGKIPPQLGNLSKLNYLDISFPYNNFSSFTSSSSVDNLLWVSQLSSLVYLDMSLWNLSVASDWLQSLNMLASLKVLRLSGTNLPPTNQNSLSQSNFTVLNEIDLSGNNFSSRFPNWLASIYTLSLINLDYCELHGSIPESVGNLTALNTLYLADNSLIGAIPISKLCNLQILDLSNNNLIGDIADLGKAMTRCMKGLSMIKLGNNNLSGSLSGWIGSFPNLFSVDLSKNSLSGHVHTNISQLTELIELDLSHNSLEDVLSEQHLTNLTKLKKLDLSYNSLRISVGANWLPPFQLYELLLGSSPLQSQVPQWLQTQVGMQTLDLHRTGTLGQLPDWLWTSLTSLINLDLSDNLLTGMLPASLVHMKSLQFLGLSSNQLEGQIPDMPESLDLLDLSNNSLSGSLPNSVGGNKTRYILLSSNRLNRSIPAYFCNMPWLSAIDLSNNSLSGELPNCWKNSTELFLVDFSYNNLEGHIPSSLGSLTFLGSLHLNNNRLSGLLPSSLSSCGLLVFLDIGDNNLEGSIPEWIGDNMQYLMILRLRSNRFTGSIPSELSQLQGLQVLDLANNKLSGPLPQGIGNFSEMASQRSRHIIPMQISGDSFGGSLYHNESLYITIKGEERLYSKILYLMKSIDLSNNYLTGGIPAEVGDLVGLKNLNLSKNLLSGHIPETIGNMSSLESLDLSWNRLSGIIPESMTSLHLLSHLNMSYNNLSGMVPQGSQLQTLGDEDPYIYAGNKYLCIHLASGSCFEQKDNHVDQAEHNDVHDIWLYIFSGLGFGVGFSSVWWLLVCSKAVGKRYFQFVDSTCEKVIHWMILLEKKVNKKTVGKSSVL >ORUFI06G02540.3 pep chromosome:OR_W1943:6:1885399:1890688:-1 gene:ORUFI06G02540 transcript:ORUFI06G02540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGIMLAALLVLCQLIKNAGKITDAACISSERDALLAFKAGFADPAGGALRFWQGQDCCAWSGVSCSKKIGSVVSLDIGHYDLTFRGEINSSLAVLTHLVYLNLSGNDFGGVAIPDFIGSFEKLRYLDLSHAGFGGTVPPRLGNLSMLSHLDLSSPSHTVTVKSFNWVSRLTSLVYLDLSWLYLAASSDWLQATNTLPLLKVLCLNHAFLPATDLNALSHTNFTAIRVLDLKSNNFSSRMPDWISKLSSLAYLDLSSCELSGSLPRNLGNLTSLSFFQLRANNLEGEIPGSMSRLCNLRHIDLSGNHFSGDITRLANTLFPCMNQLKILDLALNNLTGSLSGWVRHIASVTTLDLSENSLSGRVSDDIGKLSNLTYLDLSANSFQGTLSELHFANLSRLDMLILESIYVKIVTEADWVPPFQLRVLVLYGCQVGPHFPAWLKSQAKIEMIELSRAQIKSKLPDWLWNFSSTISALDVSGNMINGKLPKSLKHMKALELLDMSSNQLEGCIPDLPSSVKVLDLSSNHLYGPLPQRLGAKEIYYLSLKDNFLSGSIPTYLCEMVWMEQVLLSLNNFSGVLPNCWRKGSALRVIDFSNNNIHGEISSTMGHLTSLGSLLLHRNKLSGPLPTSLKLCNRLIFLDLSENNLSGTIPTWIGDSLQSLILLSLRSNNFSGKIPELLSQLHALQILDIADNNLSGPVPKSLGNLAAMQLGRHMIQQQFSTISDIHFMVYGAGGAVLYRLYAYLYLNSLLAGKLQYNGTAFYIDLSGNQLAGEIPIEIGFLSGLTGLNLSGNHIRGSIPEELGNLRSLEVLDLSRNDLSGPIPQCFLSLSGLSHLNLSYNDLSGAIPFGNELATFAESTYFGNANLCGPPLSRSCLYHKRKHQLNFDTGTYLSALLGFAFGFCIIRAIDRSTRTVHPAGMEVAAAGGGARRRSRRRAEQPPPPCRPTNDDDAAASKLLGFKVWKRITHDFNPVFGSSLLISTLAFMET >ORUFI06G02550.1 pep chromosome:OR_W1943:6:1898252:1900014:1 gene:ORUFI06G02550 transcript:ORUFI06G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQGLDLGLSLGLGLTTAATWPAAGFCLNSGMAEQEVIRRDDVVAATAAEDERFACSPGSPVSSGSGKRGSGSGSGDEVDDAGCDVGGGGARKKLRLSKDQAAVLEECFKTHHTLTPKQKVALAKSLNLRPRQVEVWFQNRRARTKLKQTEVDCEHLKRWCDQLADDNRRLHKELAELRALKATPTPPAAAPPLTTLTMCLSCKRVANAGVPSPAAAIFPGHPQFLCGFRDHAGAASSSYGGASSGLAKAVRAAR >ORUFI06G02560.1 pep chromosome:OR_W1943:6:1911286:1911793:1 gene:ORUFI06G02560 transcript:ORUFI06G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKVLLLGICVTARSSEERDAADELLQSMNWSAVRSNILVDDTNAKHSLPPQTVPGQQRRSAV >ORUFI06G02570.1 pep chromosome:OR_W1943:6:1912689:1914356:1 gene:ORUFI06G02570 transcript:ORUFI06G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLGLSLGLGLASQGSLTSSTTTTSSPGAGSSSPWAAALNSIVGDVRRDQAAAHAAAAVGVGVGGEEMYQGRASTSPDSAAALSSASGKRERELERSGSGVDDDDGADGAGGRKKLRLSKDQAAVLEECFKTHSTLNPKQKVALANRLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRWCERLADENKRLEKELADLRALKAAPSPASASAMQPSSSAAATLTMCPSCRRVATAGAPHQPNHQQCHPKSNTTISSSSTAAAAVAVAGGNVLPSHCQFFPAAAAAADRTSQSTWNAAAPLVTRELF >ORUFI06G02580.1 pep chromosome:OR_W1943:6:1915666:1921369:-1 gene:ORUFI06G02580 transcript:ORUFI06G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEAGGAGEDELEMEAPSVSTVAIAVNGSRNSKHALKWALDKFVPEGKVLFQILHVRPTIKMVPTPMGNFIPITQVREDVATAYKKEVEWQANNMLLPYKKMCAQRKVEAEAVLLESDDVPTAISEEISKFSVCKLVLGSSSSIFRRKNKGSKTATKICECIPSFCTAYVVSKGKLSSVHSATSDAIGTPESISSSTVSSPSSRSFSSSVPSEWGDTYGSANVSFHQPSLSSQRDQAIANMNKLSNRRASPSGSGGSEISNHDDTVLTSSHSINSETRFSSSSSGNSIYKSFNRDRSFDNSDQASVSDMATNLKHSHDQEYLKLEIERLRVKLRHLQKLNELAQKESLDANQKLHKLGIQDIEDEIKLKETELTEEKVRRLIRKKEREEQEVARREDQLRNENAESEATKQSNGNQEGDENKTGERIFVRCFDEYNRYTWEEIKASTLSLSEDLMIGRGSYGTVYKAKFHHTVAAVKVLNSPEGCGTQQLQQELEVLGKIRHPHLLLMLGACPEHGCLVYEFMENGSLDDMLQRRNNTPPLTWFDRFRIAWEVATALMFLHSSKPEPIIHRDLKPANILLDRNLVSKIGDVGLSTLLPSMDQYLSTMIKNTAPVGTFCYIDPEYQRSGVVSMKSDVYALGIVILQLLTAKSPMGIAHVVETALEDGHFVDILDAAAGQWPLNEAQELAFLALKCAEMRRRDRPDLSDHVLPALERLKDVATKAREMAFNGHQTAPPSHFICPILQEVMADPYVASDGYTYDRKAIELWLSMNDKSPMTNLRLPHKSLIPNHSLRSAIIDWRTKS >ORUFI06G02590.1 pep chromosome:OR_W1943:6:1927378:1927776:1 gene:ORUFI06G02590 transcript:ORUFI06G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELKQLNYSLQDADQSIIVQTMTVAESRRSRRLKTSPNVGGRPPRRLRSQATTSMIRCSSCTSLTILLTGATSSVNGSNQMPTSKISMIDRSIGFSLSSSSSDPVSSWILAFLLAMIMMNSNSNKNLQFC >ORUFI06G02600.1 pep chromosome:OR_W1943:6:1930313:1931952:-1 gene:ORUFI06G02600 transcript:ORUFI06G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVVAGVESQERRGGGAGTGRVTAFVVLSCVTAGMGGVIFGYDIGIAGGVSSMEPFLRKFFPEVHRRMEGDVRVSNYCKFDSQLLTAFTSSLYVAGLLTTFAASRVTAGRGRRPSMLLGGAAFLAGAAVGGASVDIYMVILGRVLLGVGLGFANQAVPLYLSEMAPSRWRGAFSNGFQLSVGVGALAANVINYGTEKIRGGWGWRVSLALAAVPAGLLTLGALFLPETPNSLIQQGKVERCDVEQLLKKIRGADDVADELDTIVAANSATAGVGGGGLLMLLTQRRYRPQLAMAVMIPFFQQVTGINAIAFYAPVLLRTIGMGESASLLSAVVTGVVGVGATLLSMFAVDRFGRRTLFLAGGAQMLASQVLIGGIMAAKLGDDGGVSRAWAAALILLIAAYVAGFGWSWGPLGWLVPSEVFPLEVRSAGQSVTVATSFVFTVFVAQAFLAMLCRMRAGIFFFFAAWLAAMTAFVYLLLPETKGVPIEEVAGVWRGHWFWSRVVGGDGEEEERNNGGKL >ORUFI06G02610.1 pep chromosome:OR_W1943:6:1933139:1935812:-1 gene:ORUFI06G02610 transcript:ORUFI06G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHLRAHAFAASPLRGLSASTAAVSPSAAADALRSLLDAGAGAADAAHPHPHPHLSKILPFRRGRPLARSYDSPPPPAAAAAAPPPPPAWRLAWLPPARVPDVPSDAFVFLGAHGEEEGKEAAAYWAVDVSERDGEGAGDGSAFVDLRTLMVATDWRDKDAMGDLAIAGHARALLEWHSTAKFCGACGSRAVPAEAGRRKQCSNESCKKRIYPRVDPVVIMLVIDKENDRALLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEETGIQVGEVIYHSSQPWPVGPSTMPCQLMVGFFAYAKSLEIHVDKKELEDAQWHSREDVKKALTFAEYEKAQRTNALKVNQICKGVEKRQSISADLKIESEEPAPMFVPGPYAIAHHLISSWAFEGAPKAPSSFSNL >ORUFI06G02620.1 pep chromosome:OR_W1943:6:1938310:1944781:-1 gene:ORUFI06G02620 transcript:ORUFI06G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSTTSPVIGSRSGDRRGPPGQAEERRRQSEWPRDLRFHRAARSRKPYQICSSSARKAKIRTEHLRASTAHPGFAIIGGNSTIKSEPSTRSKNTPRKQAHNKDKTGTESPRDWNCKSCQHLNFSRRDYCQRCHTPRQDLPLGDGYVPGGVLTSLDIRPGDWYCNCGYHNFASRASCFKCGAIVKDLPAGQGGGVANGDFARALDSSAVRAGWKAGDWICTRPGCNVHNFASRIECYRCNAPREAGNVK >ORUFI06G02620.2 pep chromosome:OR_W1943:6:1938310:1939558:-1 gene:ORUFI06G02620 transcript:ORUFI06G02620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQRKPGDWNCKSCQHLNFSRRDYCQRCHTPRQDLPLGDGYVPGGVLTSLDIRPGDWYCNCGYHNFASRASCFKCGAIVKDLPAGQGGGVANGDFARALDSSAVRAGWKAGDWICTRPGCNVHNFASRIECYRCNAPREAGNVK >ORUFI06G02630.1 pep chromosome:OR_W1943:6:1946404:1948572:-1 gene:ORUFI06G02630 transcript:ORUFI06G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLLHLSTINAIESNPSPAGATKYCGIAILICWTLRLRRSISEAAIAGLKAAAVTAVCTAIPTFASVRMSKWAKANLGNPVAKTVIITYAAGMAYFIAGEKKVVELSRKHSLEAAKARAKSEYTLTKSN >ORUFI06G02640.1 pep chromosome:OR_W1943:6:1951413:1952031:-1 gene:ORUFI06G02640 transcript:ORUFI06G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVTRAHLDQRLALAKRCSREANLAGVKAAAVATIASAVPTLASVRMVPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGTGRPHPAFFRP >ORUFI06G02650.1 pep chromosome:OR_W1943:6:1955631:1956188:-1 gene:ORUFI06G02650 transcript:ORUFI06G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAYLDQKLALAKRCSREATLAGAKAAAVATVASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGTGHPHPAFFRP >ORUFI06G02660.1 pep chromosome:OR_W1943:6:1960182:1963483:-1 gene:ORUFI06G02660 transcript:ORUFI06G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDAGQESYHAMSKSRPPDQYWMDGQDLCRKPAWKSATRAKSPPIDFEAHETEEEDRIIIAAHAIHGNKRACIAKLLDGRTDNAIKNHLEFYYEANGGGGGGDEAEGSGGGDGGWGAAATAVGSSGCGLIRTPDGTTNLLIWSTEMLYGTTTTTLLIVAFTSALRAKEELADDELHLSVVIVPAIPALGSGCARSSVIHVISTDARERRRFGRWAISSARLPVEWIPSSERSPSLVSSTALSSSAFAFAAALHVLPPPLRPSLAVIAIGRTTIYEDAGRRRTLHLHHAAAPSPHLTPSLL >ORUFI06G02670.1 pep chromosome:OR_W1943:6:1966925:1969805:1 gene:ORUFI06G02670 transcript:ORUFI06G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHWLHSMVKKRFFACTGFFIEWSGSKMILTSASLVRDSGDENKIDENLRIKVFLNNQCKEGKLEHCNLHYNIALVSVKYRALRPLNTSFDCKSSRVVAVGRCFNSGTLMATSGLVPWTGTLDCQFLACSTCKITKAGIGSPLVNLDGNVIGMNFYDTRIGTPFLLWEEICKILASFETKSESGGDIGNASGACFWKMPRDVKNKVNRWSVPKPRWCRPEDAESDDDDKLAFDDTGQLQYSYILGRKVKLLRLTIPISVPIVEAKSTDEPGVDPFAQRKQKKKRVEKQGKNRLENLKKAAKVGALPSHIQLAATSLPITGTKADLPKKSRKEDLENVAGMGSATASGGKFDEKLPGEKPPKHPGKHKKFIPVAEGEGMGNLGKQQNDKILMSLLARNSEQLDANTMYKVKKEKRRRKDREMSSRSDKLKPQKKPFKKSSKKKA >ORUFI06G02680.1 pep chromosome:OR_W1943:6:1970423:1973849:1 gene:ORUFI06G02680 transcript:ORUFI06G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLNLMRLMSVKRRRRQVQVPHDGLIALRAKRKCSPCQQDGDSQGAADIEIPDLPEDIWRLIHSLMPMRAAARAACVSRSFLSSWRCHPNLNFSSEAFGLNRNACGKEELAGLFYSKVDHILKRHSGIGVKKLTIKVYSDYSGKGSSYLNNWLQIGVKPGIEELIISLTQFQAKYNFPCSLLSNGSGDSIQYLHLSNCSFHPTVTLSGLRSLTRLYLCCVRITENELSCFLSHSLALEQLEIRYCDRIVCLKVPCLLQRLISLKVFGCDNLKLIENEAPNVSIFAFQGDKTQLKLGETLQMKSLCMVRSGYVYHARAELPSIMPNLESLAMKSFKETAFAPKLCSKFLCLRHLSIALIGYFPAYDYLSLASYIHAAPSLETFYLTVMQRYVQNVSIFAHPADLRSIREEQHHSLKSVRVTSFISVKSLVELTCHILESTTSLECLTLDASQTGFRCDTPGSKIGKCPPLDRDIIMEGHRGVLAIRRYIQPRGTFHGYLPR >ORUFI06G02690.1 pep chromosome:OR_W1943:6:1970507:1974703:-1 gene:ORUFI06G02690 transcript:ORUFI06G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPAEPFRAASVEQWLQWQLQGSKTFSFTVEGTRGRLSHPNTFREMSRCSRQGWDGISRNLERNETHRQLGQQLALASRNIQIIEKIRKEMVFFYHGELGLGGGGA >ORUFI06G02700.1 pep chromosome:OR_W1943:6:1974791:1983726:-1 gene:ORUFI06G02700 transcript:ORUFI06G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVKKKKKKMSTVTRAYLDQKLALAKRCSREATLAGAKAAAVATVASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGTGRPHPAFFRP >ORUFI06G02700.2 pep chromosome:OR_W1943:6:1980823:1983726:-1 gene:ORUFI06G02700 transcript:ORUFI06G02700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVKKKKKKMSTVTRAYLDQKLALAKRCSREATLAGAKAAAVATVASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARKHSFEGAPEHLKNTSFQGTGRPHPAFFRP >ORUFI06G02700.3 pep chromosome:OR_W1943:6:1974791:1984422:-1 gene:ORUFI06G02700 transcript:ORUFI06G02700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVTRAHLEQRLALAKRCSREANIAGVKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGTGRPHPAFFRP >ORUFI06G02700.4 pep chromosome:OR_W1943:6:1974791:1975435:-1 gene:ORUFI06G02700 transcript:ORUFI06G02700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTRAHLEQRLALAKRCSREANIAGVKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGTGRPHPAFFRP >ORUFI06G02700.5 pep chromosome:OR_W1943:6:1983848:1984422:-1 gene:ORUFI06G02700 transcript:ORUFI06G02700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVTRAHLEQRLALAKRCSREANLAGVKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGTGRPHPAFFRP >ORUFI06G02710.1 pep chromosome:OR_W1943:6:1987722:1988302:-1 gene:ORUFI06G02710 transcript:ORUFI06G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTRAHLEQRLALAKRCSREANIAGVKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGAGRPHPAFFRP >ORUFI06G02720.1 pep chromosome:OR_W1943:6:1992020:1994979:1 gene:ORUFI06G02720 transcript:ORUFI06G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALVLVATSLMLLILQHSGASDGARAGSGSIWQPQPDCPAPAKCGNVNIPYPFGIREVRPKGGFNISCKQEQAYIGPDIRVTNFDVVQSEARILTDIPSGTVAWKYNNEFDPIAWTSRGGLRLGNHHMVSSAKNRFTAIGCSTVAFIYGRDKNGSNGQIDQFTSLCGSFCFDEGSIEDGPECSGMGCCQVPISTNLRRFSLGFYNYNTTKKVLNFSSRSYAFVVEKDQFKFKSSYAKADNFMEELARGIPIILEWIAGNETCKEAALKESYACVANNSKCIDVIEAPGYRCNCTQGYEGNPYLKDGCRVVNIADINECNATRFPNSCKGICTNTDGSYDCICISIIFLIICISTLLIKIQRMKLEKEKQRFYDQNGGHILYQKIISGQVNTVEIFTEEVLKNATNNFDSGQKLGAGGHGIVYKGILRDNNVVAVKRSNFLHVTDAEEFVQEIIMLSQINHRNVVRLIGCCLEVEVPILVYEFISNGTLSYLIHGDSRRYASLKLRLRIAQESAEALAYLHLSTNRPIIHGDVKSLNIMLDDSYTVKVTDFGASRWLSNEAVEQIAMVQGTRGYLDPEYLQERKLTEKSDVYSFGVVLLELITGKKAIYRHDGNGDFESLAGSFLRAIEEEGGEHLGHKLGWCQHGGAAPAPGGRQGGEYVSERQGEGEAIHGRGDRHVESCKNCVEGSSGFIGVQRDGGFRRQFRSSSIWQPIFRCVLDAGHAVSRS >ORUFI06G02730.1 pep chromosome:OR_W1943:6:1994419:1995732:-1 gene:ORUFI06G02730 transcript:ORUFI06G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRSRDRILELDGVGGAGAGAREGRRPAAKRLPPRPHDLCAARSGPASTAASRDCMSGVQNTAEDGLPDGGASELSTKTSVTLYSDETRRSLHAILTAFNMSVTSAMDGLSFPLALRHILPTLATSWSRGSASMLAPAKLVSKMFSTLLLYRPQERSCEALEVAIAIVAIAFFPVISSSSTTPKLYTSLFSVSFLSCRYSGSRYPRVPCTIAICSTASLDSHRDAPKSVTFTV >ORUFI06G02730.2 pep chromosome:OR_W1943:6:1993775:1994380:-1 gene:ORUFI06G02730 transcript:ORUFI06G02730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRPVRRQVQVGESAIGDELVDQYGHFNFQAAADEPDHVPVVDLREHDDLLHEFLGVCHVEEVGALHGDDIVVPENALVDNAMTTGAKLLSAVESGDTDDEEDDADANTWKWMITYHKLD >ORUFI06G02730.3 pep chromosome:OR_W1943:6:1993798:1995732:-1 gene:ORUFI06G02730 transcript:ORUFI06G02730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRSRDRILELDGVGGAGAGAREGRRPAAKRLPPRPHDLCAARSGPASTAASRVEIQMMRKMMLMQIPGNG >ORUFI06G02740.1 pep chromosome:OR_W1943:6:1996530:2001008:-1 gene:ORUFI06G02740 transcript:ORUFI06G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGGGGGGGGKEAKGKVMGPLFPRLHVNDAAKGGGPRAPPRNKMALYEQFTVPSHRFSGGGGGGGVGGSPAHSTSAASQSQSQSQVYGRDSSLFQPFNVPSNRPGHSTEKINSDKINKKISGSRKELGMLSSQTKGMDIYASRSTAEAPQRRAENTIKSSSGKRLADDDEFMVPSVFNSRFPQYSTQENAGVQDQSTPLVAANPHKSPSTVSKSSTKCYNTVSKKLERIHVSDVKSRTPLKDKEMEAAQTSKNVEVEKSSSFHASKDMFESRHAKVYPKMDKTGIINDSDEPHGGNSGHQATSRNGGSMKFQNPPMRRNEISSNPSSENTDRHYNLPQGGIEETGTKRKRLLEQHDAEKSDDVSRLLEQHDAENIDDVSDSSVECITGWEISPDKIVGAIGTKHFWKARRAIMNQQRVFAVQVFELHKLVKVQKLIAASPHVLIEGDPCLGNALLGSKNKLVEENLKAQPLLVATIDDVEPSLQQPEVSKENTEDSPPSPHDTGLGSGQRDQAATNGVSKSNRRATPVASDNKQNNWGVQLQPPQNQWLVPVMSPSEGLVYKPYSGPCPPAGSILAPFYANCTPLSLPSTAGDFMNSAYGVPMPHQPQHMGAPGPPSMPMNYFPPFSIPVMNPTAPAPVVEQGRHPSMPQPYGNFEQQSWISCNMSHPSGIWRFHASRDSEAQASSASSPFDRFQCSGSGPVSAFPTVSAQNNQPQPSYGSRDNQTNVIKVVPHNSRTASESAARIFRSIQMERQRDD >ORUFI06G02750.1 pep chromosome:OR_W1943:6:2003689:2005074:-1 gene:ORUFI06G02750 transcript:ORUFI06G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKTAKIVAGAAAAVLLVLELALYLRFRLSRPFFLSTAVIVSAALSAAAAALLHHAAGERRRAARMARRLPSMEDERIRVEYSYFRKVAGLPRKLTLESLAAATDGFQYAVGRGSSGTVYKGILDDGTAVAVKRIDGGADHADKEFKSEVSAIASAQHAHLVRLVGFCLVPRGPRFLVYEYMEHGSLDRWIFSPHSGDRRRRRYLPWAARYQVAVDVARALAYLHHDCRSKVLHLDVKPENILLDDGFRGVLSDFGLSKLVGKEQSRVVTTVRGTTGYLAPEWLLGVGITEKSDVYSYGLVLLEMVGGRRNLMQAENGDDGSSASPRWTYFPKIAGDMAREGRVMEVLDRRVVESGEAVEEAAVRRLVHVALWCAQEKAGARPTMARVVEMLEGRGAAAEAVEAPPPSDMIVVDLLALDPAARGPGPFGLPPPAAASDGGMQVTSSGISNSFALSYLSGR >ORUFI06G02760.1 pep chromosome:OR_W1943:6:2006061:2008555:-1 gene:ORUFI06G02760 transcript:ORUFI06G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRATSVLLHHRSALTRRSPAIGGGVLPRALFFSTLDAAQARTRVEDVMPIATGLEREEIAAELQGKKRFDMDAPVGPFGTKEAPAVIQSYYNKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCTQYFSLEVIGEGGDPDGHDDDDDHHHH >ORUFI06G02770.1 pep chromosome:OR_W1943:6:2009809:2013564:1 gene:ORUFI06G02770 transcript:ORUFI06G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPNGAASASASASASAAGGGPAVVDKEVDFANYFCTYSYLYHQKEMLCDRVRMDAYHSAVFRNAHHFRGKVVLDVGTGSGILAIWSAQAGARKVYAVEATNMAEHARELARANDVADIVEVIQGSMEDVVLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPDGVMYPSHARMWLAPIRSDLAENKMEDLEIAMHDWNLFVEDTESYYGVNMNVLTKAYRAEHEKYYLKSAIWNNLHPNQVIGQAAVIKEIDCLTATVDEIREVRAQVTMPIKLDMTRLAALAGWFDVHFRGSKQNPATQEVELSTAPDVNGGTHWGQQVFLLTPPLKVNEGDNVKVSFTMVRSKENHRLMDMEFTYELHESSGKQLPAITTKIYLE >ORUFI06G02780.1 pep chromosome:OR_W1943:6:2013415:2018572:-1 gene:ORUFI06G02780 transcript:ORUFI06G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAQRSTPRPKSQTGARVAAAATREQQRPLTLSRLRLPRRRSCACGGGGWPAFGRHSLRRMGGRYLHSPPAVNLICEESTFVRSTSCCLHSCLCLRCKTSNLKPCRTLVFNMPSRRFNRRVAALPDVDDFFWEKDPTPILDTIDAPIHLKNLSIRSEISFIMSRKCQPCNTGHSVVELAIAIHYVFNAPMDKILWDAGQHTYAHKILTGRRSLFHTIKQRKGLSGFTSRFESEYDPFGAGHGCNSLSAGLGMAVARDLGGRKNRIVTVISNWTTMAGQVYEAMGHAGFLDSNMVVILNDSRHTLLPKADSQSKMSINALSSALSKVQSSKGFRKFREAAKGLSKWFGKGMHEFAAKIDEYARDSTGPVLVHVITENEKDSGGEFNSEITPDEEGPPDSSQDILKFLENGLSRTYNDCFVESLIAEAENDKHIVVVHGGMGIDRSIQLFQSRFPDRFFDLGIAEQHAVTFSAGLACGGLKPFCIIPSTFLQRAYDQIVEDVDMQKIPVRFAITSAGLVGSEGPTNSGPFDITFMSCLPNMIVMSPSNEDELIDMVATAAMVEDRPICFRYPKGAIVGTSGTLAYGNPLEIGKGEILAEGKEIAFLGYGDVVQRCLIARSLLFNFGIQATVANARFCKPLDIDLIRMLCQQHDFLITVEEGTVGGFGSHVSQFISLDGLLDGKIKWRPIVLPDRYIEHASLTEQLDMAGLTAHHIAATALTLLGRHRDALLLMK >ORUFI06G02780.2 pep chromosome:OR_W1943:6:2013415:2018572:-1 gene:ORUFI06G02780 transcript:ORUFI06G02780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAQRSTPRPKSQTGARVAAAATREQQRPLTLSRLRLPRRRSCACGGGGWPAFGRHSLRRMGGRYLHSPPAVNLICEESTFVRSTSCCLHSCLCLRCKTSNLKPCRTLVFNMPSRRFNRRVAALPDVDDFFWEKDPTPILDTIDAPIHLKNLSSKVELKQLAGEVRSEISFIMSRKCQPCNTGHSVVELAIAIHYVFNAPMDKILWDAGQHTYAHKILTGRRSLFHTIKQRKGLSGFTSRFESEYDPFGAGHGCNSLSAGLGMAVARDLGGRKNRIVTVISNWTTMAGQVYEAMGHAGFLDSNMVVILNDSRHTLLPKADSQSKMSINALSSALSKVQSSKGFRKFREAAKGLSKWFGKGMHEFAAKIDEYARGMIGPHGATLFEELGLYYIGPIDGNNIDDLICVLKEVSTLDSTGPVLVHVITENEKDSGGEFNSEITPDEEGPPDSSQDILKFLENGLSRTYNDCFVESLIAEAENDKHIVVVHGGMGIDRSIQLFQSRFPDRFFDLGIAEQHAVTFSAGLACGGLKPFCIIPSTFLQRAYDQIVEDVDMQKIPVRFAITSAGLVGSEGPTNSGPFDITFMSCLPNMIVMSPSNEDELIDMVATAAMVEDRPICFRYPKGAIVGTSGTLAYGNPLEIGKGEILAEGKEIAFLGYGDVVQRCLIARSLLFNFGIQATVANARFCKPLDIDLIRMLCQQHDFLITVEEGTVGGFGSHVSQFISLDGLLDGKIKWRPIVLPDRYIEHASLTEQLDMAGLTAHHIAATALTLLGRHRDALLLMK >ORUFI06G02790.1 pep chromosome:OR_W1943:6:2018813:2025611:1 gene:ORUFI06G02790 transcript:ORUFI06G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFASALRVLPSPPAAVPRRLRSLVILYGGCSAFVSNFSSPSFLRTGLYRWWVYVVSYNLIVVRQDRFSMLLVFTTDALEPYISKRTVELHWGKHQQDYVDSLNKQLATSMFYGYTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGSPGRGVLQQIEKDFGSFTNFREEFIRSALSLLGSGWVWLVLKRKERKFSVVHTQNAISPLALGDINNSIPSINLCDDIPCPLLLQPLINLDLWEHAYYLDYKDDRRMYVTNFIDHLVSWDTVTLRMMRAEAFVNLGEPNIPVA >ORUFI06G02790.2 pep chromosome:OR_W1943:6:2018813:2025611:1 gene:ORUFI06G02790 transcript:ORUFI06G02790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFASALRVLPSPPAAVPRRLRSLVILYGGCSAFVSNFSSPSFLRTGLYRWWVYVVSYNLIVVRQDRFSMLLVFTTDALEPYISKRTVELHWGKHQQDYVDSLNKQLATSMFYGYTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGSPGRGVLQQIEKDFGSFTNFREEFIRSALSLLGSGWVWLVLKRKERKFSVVHTQNAISPLALGDINNSIPSINLCDDIPCPLLLQPLINLDLWEHAYYLDYKDDRRMYVTNFIDHLVSWDTVTLRMMRAEAFVNLGEPNIPVA >ORUFI06G02790.3 pep chromosome:OR_W1943:6:2018813:2021379:1 gene:ORUFI06G02790 transcript:ORUFI06G02790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFASALRVLPSPPAAVPRRLRSLVILYGGCSAFVSNFSSPSFLRTGLYRWWVYVVSYNLIVVRQDRFSMLLVFTTDALEPYISKRTVELHWGKHQQDYVDSLNKQLATSMFYGYTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGSPGRGVLQQIEKDFGSFTNFREEFIRSALSLLGSGWVWLVLKRKERKFSVVHTQNAISPLALGDINNSIPSINLCDDIPCPLLLQPLINLDLWEHAYYLDYKDDRRMYVTNFIDHLVSWDTVTLRMMRAEAFVNLGEPNIPVA >ORUFI06G02800.1 pep chromosome:OR_W1943:6:2023855:2025823:-1 gene:ORUFI06G02800 transcript:ORUFI06G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPPAQQDMSYYDHCTKRHEEKGCLYACWAELCLFQPIRTDHSNMSLLFQRKSQKQRDKMYNAPMAQEMSYYEHVQRRHEEKGCLYACIFTALCCFCCYETCECCLDCLCCCCN >ORUFI06G02810.1 pep chromosome:OR_W1943:6:2028134:2028606:1 gene:ORUFI06G02810 transcript:ORUFI06G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAFAPKLCSKFLCLRHLSIGLIGFFPAYDYLSLASYIYAAPSLETFDLNRNVQSVSIFAHPADLRSIREEKHHNLKSVTVTSFISVKSLVELTCHILESTASLECLTLDASQTGF >ORUFI06G02820.1 pep chromosome:OR_W1943:6:2037937:2042789:1 gene:ORUFI06G02820 transcript:ORUFI06G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLAASAFFPVPGSSPAASARSSKNTTGELPENLSVRGIVAKPNPSPGAMQVKAQAQALPKVNGTKVNLKTTSPDKEDIIPYTAPKTFYNQLPDWSMLLAAVTTIFLAAEKQWTLLDWKPKKPDMLADTFGFGRIIQDGLVFRQNFLIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVERYPSWGDMVQVDTWVAAAGKNGMRRDWHVRDYNSGQTILRATSVWVMMNKNTRRLSKMPDEVRAEIGPYFNGRSAISEEQGEKLPKPGTTFDGAATKQFTRKGLTPKWSDLDVNQHVNNVKYIGWILESAPISILEKHELASMTLDYRKECGRDSVLQSLTAVSGECDDGNTESSIQCDHLLQLESGADIVKAHTEWRPKRAQGEGNMGFFPAGSA >ORUFI06G02830.1 pep chromosome:OR_W1943:6:2045149:2048168:1 gene:ORUFI06G02830 transcript:ORUFI06G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRCAARRAPALAAAAAEALERACCSSYAAAVVSGNNRLMAEHLRAGRLEAARQVFDGMPRRDVVSWNTLMAVQARAGSHGRAVGAFLEMRRQGFRLDHTSFSTVLSACARLEALEMGRCVHGLAFKSCSSGNVFVGASLITMYANCGVVSCLEQVLDGVESPNVALWNALISGLVMNHRVGDARKVFDRMPVRNVVSWTAMIKGYLTVHEVDMAFQLFKLMPVKNSVSWCVMIGGFVTHEKFSEAVEFFNSLMRNGEEVTNVILVKIVNAFAGMKSIRGGRCIHGLAVKSGFVYDLVLEASLVLMYCKSLDITEARLEFDKMEGNHVGSWNAMLCGYIYSCKIDEARKLFDSMNNRDKISWNSMINGYINDGRIADATELYSKMTEKSLEAATALMSWFIDNGMLDKARDMFYNMPQIDVMSCTTLLFGYVKGGYMDDALDLFHLMQKRTAVTYNVMISGLLHQGKITEAYKLFNESPTRDSVTWSCLVAGLATNGLIHEALQFYKKMLLSNIRPSESVVSSLISCLSNYSMMVHGQQFIATTIKIGLDSHLLIQNSLISLYCKCGEMIIAQSIFDLMAKRDKVTWNTIIHGYALNNLGQNAVEMFESMTKAQVDPDDITFLGVLSACNHMSLLEEAKYFFNAMTCTYGILPNIMHYACMVDLFCRKGMIKEAEGLVKSMPFEPDSAIWTSLLSGCRLTGNDKLAEHAASQLIAIDPCTKMPYLHLISVHGLTNKLTVIDSLRNQIKSSATEKDKTSNKQIVYELGNPGMLMVNSAIRLYL >ORUFI06G02840.1 pep chromosome:OR_W1943:6:2046824:2052013:-1 gene:ORUFI06G02840 transcript:ORUFI06G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSSGRPIDVLMEKVLSVNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSSAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYVAEPKTLWSWYEPYIKDDEEFSPGSNGKMTTMGVYVRDLLLGQCPLVTQAPLWAYIIDIVHSEQKGYYFDSLLPRVPLPILRQVTGHLEKMKLPTKQSGITGDSSRLGSDDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRKTLPSARDRERSSDGERARSSPRRRQSRSRERDHDSERDRSDRDRGRHKDREHDRHAREDRDRDYRRSSYSSRDVDRQGRERRDRDSDRHGRSSARRSRSRSRSPNHGRTEGENHRSSPFGRPPEPSNLAKLKDLYGDATNTKDDTGDDKARRDSGTEEANE >ORUFI06G02840.2 pep chromosome:OR_W1943:6:2046824:2052013:-1 gene:ORUFI06G02840 transcript:ORUFI06G02840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSSGRPIDVLMEKVLSVNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSSAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYVAEPKTLWSWYEPYIKDDEEFSPGSNGKMTTMGVYVRDLLLGQCPLVTQAPLWAYIIDIYYFDSLLPRVPLPILRQVTGHLEKMKLPTKQSGITGDSSRLGSDDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRKTLPSARDRERSSDGERARSSPRRRQSRSRERDHDSERDRSDRDRGRHKDREHDRHAREDRDRDYRRSSYSSRDVDRQGRERRDRDSDRHGRSSARRSRSRSRSPNHGRTEGENHRSSPFGRPPEPSNLAKLKDLYGDATNTKDDTGDDKARRDSGTEEANE >ORUFI06G02840.3 pep chromosome:OR_W1943:6:2047289:2052013:-1 gene:ORUFI06G02840 transcript:ORUFI06G02840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSSGRPIDVLMEKVLSVNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSSAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYVAEPKTLWSWYEPYIKDDEEFSPGSNGKMTTMGVYVRDLLLGQYYFDSLLPRVPLPILRQVTGHLEKMKLPTKQSGITGDSSRLGSDDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRKTLPSARDRERSSDGERARSSPRRRQSRSRERDHDSERDRSDRDRGRHKDREHDRHAREDRDRDYRRSSYSSRDVDRQGRERRDRDSDRHGRSSARRSRSRSRSPNHGRTEGENHRSSPFGRPPEPSNLAKLKDLYGDATNTKDDTGDDKARRDSGTEEIRHFSTRINGN >ORUFI06G02840.4 pep chromosome:OR_W1943:6:2048808:2052013:-1 gene:ORUFI06G02840 transcript:ORUFI06G02840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSSGRPIDVLMEKVLSVNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSSAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYVAEPKTLWSWYEPYIKDDEEFSPGSNGKMTTMGVYVRDLLLGQYYFDSLLPRVPLPILRQVTGHLEKMKLPTKQSGITGDSSRLGSDDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRKTLPSARDRERSSDGERARSSPRRRQSRSRERDHDSERDRSDRDRGRHKDREHDRHAREDRDRDYRRSSYSSRDVDRQGRERRDRDSDRHGRSSARRSRSRSRSPNHGRTEGENHRSSPFGRPPEPSNLAKLKDLYGDATNTKDDTGDDKARRDSGTEEVIRLGGARWR >ORUFI06G02850.1 pep chromosome:OR_W1943:6:2055655:2061313:-1 gene:ORUFI06G02850 transcript:ORUFI06G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNNKVDSLSYDVEAPPAQAPTTPAVVSAPPTPRGEAPAMTTTAAAELHKVSVPERRSTAKALRQRLAEVFFPDDPLHQFKNQSSARRLVLALQYFFPIFHWGSDYSLRLLRSDVGISYAKLANLPPIIGLYSSFVPPLIYSLLGSSRDLAVGPVSIASLVMGSMLRQAVSPDQEPILYLQLAFTSTFFAGVFQASLGFLRLGFIVDFLSKATLTGFMGGAAIIVSLQQLKGLLGIIHFTSQMGFVQVMHSVFKHHDEWAWQTILMGVAFLAVLLTTRHISARNPKLFWVSAAAPLTSVIISTIISFVSKAHGISVIGDLPKGLNPPSANMLTFSGSYVGLALNTGIMTGILSLTEGIAVGRTFASINNYQVDGNKEMMAIGVMNMAGSCASCYVTTGSFSRSAVNYSAGCKTAVSNIVMASAVLVTLLFLMPLFHYTPNVILSAIIITAVIGLIDVRGAARLWKVDKLDFLACMAAFLGVLLVSVQMGLAIAVGISLFKILLQVTRPNMVVKGVVPGTASYRSMAQYREAMRVPSFLVVGVESAIYFANSMYLGERIMRFLREEDERAAKCNQCPVRCIILDMSAVAAIDTSGLDALAELKKVLEKRNIELVLANPVGSVTERLYNSVVGKTFGSDRVFFSVAEAVAAAPHKTQP >ORUFI06G02850.2 pep chromosome:OR_W1943:6:2055655:2061313:-1 gene:ORUFI06G02850 transcript:ORUFI06G02850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNNKVDSLSYDVEAPPAQAPTTPAVVSAPPTPRGEAPAMTTTAAAELHKVSVPERRSTAKALRQRLAEVFFPDDPLHQFKNQSSARRLVLALQYFFPIFHWGSDYSLRLLRSDVVSGLTIASLAIPQARTQPDHTYTPFYAKLANLPPIIGLYSSFVPPLIYSLLGSSRDLAVGPVSIASLVMGSMLRQAVSPDQEPILYLQLAFTSTFFAGVFQASLGFLRLGFIVDFLSKATLTGFMGGAAIIVSLQQLKGLLGIIHFTSQMGFVQVMHSVFKHHDEWAWQTILMGVAFLAVLLTTRHISARNPKLFWVSAAAPLTSVIISTIISFVSKAHGISVIGDLPKGLNPPSANMLTFSGSYVGLALNTGIMTGILSLTEGIAVGRTFASINNYQVDGNKEMMAIGVMNMAGSCASCYVTTGSFSRSAVNYSAGCKTAVSNIVMASAVLVTLLFLMPLFHYTPNVILSAIIITAVIGLIDVRGAARLWKVDKLDFLACMAAFLGVLLVSVQMGLAIAVGISLFKILLQVTRPNMVVKGVVPGTASYRSMAQYREAMRVPSFLVVGVESAIYFANSMYLGERIMRFLREEDERAAKCNQCPVRCIILDMSAVAAIDTSGLDALAELKKVLEKRNIELVLANPVGSVTERLYNSVVGKTFGSDRVFFSVAEAVAAAPHKTQP >ORUFI06G02860.1 pep chromosome:OR_W1943:6:2061526:2071738:-1 gene:ORUFI06G02860 transcript:ORUFI06G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDARDRGLERSRRAGVQDDIGPASWKYGTVAVPKRSRTSILRDRGCAGPVLRYIAHAHSHRAGPTRFRTFGLRDRADPAHPVCGIAFPRCHAALSSCSRVPNARRRIKRVCFKECGLRIQVPNAFCLAADVDPHVHTWNKASMPPQLKRHMCPKLRIFAAQ >ORUFI06G02870.1 pep chromosome:OR_W1943:6:2084341:2092244:-1 gene:ORUFI06G02870 transcript:ORUFI06G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKFAQRSERVKILSSLYSGSVCIWDYQSQTMVKSFEVSELPVRSAKFISRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRMVIGYDEGTIMIKMGREVPVASMDTSGKIIWAKHNEIQTVNIKTVGAGFEVTDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGEFIIYTALAWRNRSFGSALEFVWSSEGEYAIRESTSRIKIFSKSFQEKKTIRPTFSAERIFGGILLAMCSSDFICFYDWADCRLIRRIDVNVKNLYWADSGDLVAIASDTSFYILKYNRDVVASYLESGKPVDEEGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDIERANDILPSIPKAQYNNVAHFLESRGMLEEALEIATDADYRFDLAVQLGKLEVAKAIAMEAQSESKWKQLGELAMSTGKLDMAEECLVQAKDLSGLLLLYSSLGDAEGIEKLASQAKEHGKNNVAFLCLFMLGKLEDCIQLLIDSNRIPEAALMARSYLPSKVSEIVAIWRNDLSKVNPKAAESLADPSEYPNLFEDWQVALTVEKNVASRRVHYPPADEYLNHAEKSDMTLVEAFKRMQVIEDEETEDALDENGEPDEEVLEENKVEESTDEAVEVDADEPEETVLVNGKEGEEQWGTNNEGTSSA >ORUFI06G02880.1 pep chromosome:OR_W1943:6:2092698:2097148:1 gene:ORUFI06G02880 transcript:ORUFI06G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSSDPNNGRGKSSKRNLPSWMGSKDGEENPGKKKHMATHEKDGVVFVLSGFVNPERGTLRSQALDMGAEYRPDWTSDCTLLVCAFANTPKFRQVESNNGTIVSKDWILESHSQRKLVDIEPYLMHVGKPWRKNKELVESDEDQKKPHKEHQKQVDRSHIKTSPSAGIEAKHSDVTSKQFSPTKIKQWAKNDLAQTISWLESQEEKPEPNELKAIAAEGVITCLQDAIESLKQGNDVKGVAEQWSFVPHVINELAELDGRRKEGSLSKEQLSQLAIKCKKIYQAEFAHMHDNDKKHQSKPRSDDAQYDSDDTIEMTEEEIDLACRQLPGVCGRQ >ORUFI06G02890.1 pep chromosome:OR_W1943:6:2097092:2098873:-1 gene:ORUFI06G02890 transcript:ORUFI06G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSFAMDDGEAYLRDMTAITELLDGDMTAITESLDGDMTAIAELLDQARSYSFADLQSHDPPPAAAAAVNDDDDNVSGLMMAMMKTVDAPAGGGDGGDCPICLNNGGGEEWKETACGHRFHARCVARWARVGRKGMSCPMCRRDMMSPAVDLLVRDIRALYGDEELSDVRELLEDGLRQLEISSSIAGD >ORUFI06G02900.1 pep chromosome:OR_W1943:6:2099342:2100816:-1 gene:ORUFI06G02900 transcript:ORUFI06G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVTGSRRLCILFYLLTVVATVVTAASAHTAHNATADEEYWEKRAEEARSFNRAAYEYWEKRAEEARSFNRAAYVSDPVATLNRFNADVLRATTRRSLARYTGPCMATNPIDRCWRCRDDWATDRKRLARCVRGFGHRTVGGAAGKIYVVTDASDDEMVIPRKGTLRYGVIQDRPMWIVFARDMIIQLRQELIVNHNKTIDGRGAQVHITGAQITLQGVQHVIIHNVHIHHSVPHGGGMIRDSKRHYGLRTRSDGDGISIMSSSNIWIDHVSMSNCSDGLIDAGNRFIAPDDLNAKEVTKREYTPYDEYKEWVWKSQGDVMMNGAFFNESGGQNERSYDQLDFIPAKHGKYVGQLTKFAGTLNCHVGMPC >ORUFI06G02910.1 pep chromosome:OR_W1943:6:2100871:2113333:-1 gene:ORUFI06G02910 transcript:ORUFI06G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLNISKGRPELFGGHDQEDDSVPAARCIGIHSRRLKTAVGYTNWVCSSTRLGWIEERHAAPLLASPPAGGAIVRTYGVRHPAGRSHEITHFPETFPAVTRASSAGEPDHHK >ORUFI06G02920.1 pep chromosome:OR_W1943:6:2103050:2105475:1 gene:ORUFI06G02920 transcript:ORUFI06G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSTAAVTPVLLVRLAVLLVVFTTGARALVHKTIEVAGAYGRNGPYHGARADVPNWKVDVQPREFSMNYIMVGYTLDKDYRPYPSSDPPKTLANQIVVGLVNDSGAQTNCFNLDCDGFHLQNSSFALGSSWSDSLSQHGGERYGVTLSIHRINQWLLPLFYLKTESLL >ORUFI06G02930.1 pep chromosome:OR_W1943:6:2112201:2112374:1 gene:ORUFI06G02930 transcript:ORUFI06G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLGISAVGQLFQDSVDRTVVTTPGCYGAQPLGFGADKPGYNVAYGGPGGLYCDIP >ORUFI06G02940.1 pep chromosome:OR_W1943:6:2121647:2128703:-1 gene:ORUFI06G02940 transcript:ORUFI06G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRRLLLSLFLLRAFSSLPAQAAARGASHPSGTSGNYGSFLRNLLQDNPMITEELVRGYMSNSELEIAVHAIGSRYPNISRIYSIGKSVNGVTLWVIEISDKPGQKEAEPAFKYVGNVHGDEPVGREVLIKLANWLCDNYLKDPLATLIVKNMHLHILPTMNPDGFALRRRGNANNVDLNRDFPDQFFTNNDEINYRQPETRAIMNWVKQEHFTASASLHGGALVANYPWDGSRDQSKQYYGCPDDKTFRYMASVYSQSHYNMSLSKEFKGGITNGAFWYPIYGGMQDWNYIHGGCFELTLEISDVKWPKAAELPVIWEQNRMSMLNLAASLVKTGVHGRIFAADTGHPIPGSLTIKGIGSEIRASRTYGDYHRMLAPGENYEVMASMEGFRTKATRIVVEEKAVSLDFILDRDGANGQVVRNDLGCPCDDDKLFHVQGARLELYLFVLLIIIALYVLFKRKTTSKFTIHRHSPKRPIAV >ORUFI06G02940.2 pep chromosome:OR_W1943:6:2121647:2128703:-1 gene:ORUFI06G02940 transcript:ORUFI06G02940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRRLLLSLFLLRAFSSLPAQAAARGASHPSGTSGNYGSFLRNLLQDNPMITEELVRGYMSNSELEIAVHAIGSRYPNISRIYSIGKSVNGVTLWVIEISDKPGQKEAEPAFKYVGNVHGDEPVGREVLIKLANWLCDNYLKDPLATLIVKNMHLHILPTMNPDGFALRRRGNANNVDLNRDFPDQFFTNNDEINYRQPETRAIMNWVKQEHFTASASLHGGALVANYPWDGSRDQSKQYYGCPDDKTFRYMASVYSQSHYNMSLSKEFKGGITNGAFWYPIYGGMQDWNYIHGGCFELTLEISDVKWPKAAETGVHGRIFAADTGHPIPGSLTIKGIGSEIRASRTYGDYHRMLAPGENYEVMASMEGFRTKATRIVVEEKAVSLDFILDRDGANGQVVRNDLGCPCDDDKLFHVQGARLELYLFVLLIIIALYVLFKRKTTSKFTIHRHSPKRPIAV >ORUFI06G02950.1 pep chromosome:OR_W1943:6:2130064:2134908:-1 gene:ORUFI06G02950 transcript:ORUFI06G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAALRRSARRVVLPGAYALSRALQHPERLLSSQASPDRGGVLGSELGLYPPERVRNFSIIAHVDHGKSTLADRLLELTGTIKKGHGQPQYLDKLQVERERGITVKAQTATMFYRHANNQLPASDQPDAPSYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKAQLKRLFDIDPSEALLTSAKTGQGLSQVLPAVIERIPSPPGKCDSPVRMLLLDSYYDEYKGVICHVAVVDGALHKGDKIASAATGRTYEVLDVGIMHPELTPTGVLYTGQVGYVISGMRSTKEARIGDTLHQAKSIVEPLPGFKPARHMVFSGLYPADGSDFDALSHAIEKLTCNDASVSVTKETSTALGMGFRCGFLGLLHMDVFHQRLEQEHGAQVISTIPTVPYIFEYGDGSKVQVENPAALASNPGKRIAACWEPTVIATIIIPKRRGEQQEYTFIDAQRALLKYRLPLREIIVDFYNELKSITSGYATFDYEDSEYQQSDLVKMDILLNGQPVDAMATIVHNQKAQRVGRELVDKLKKFIERQMFEITIQAAVGSKVIARETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVSNSK >ORUFI06G02950.2 pep chromosome:OR_W1943:6:2130064:2134908:-1 gene:ORUFI06G02950 transcript:ORUFI06G02950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAALRRSARRVVLPGAYALSRALQHPERLLSSQASPDRGGVLGSELGLYPPERVRNFSIIAHVDHGKSTLADRLLELTGTIKKGHGQPQYLDKLQVERERGITVKAQTATMFYRHANNQLPASDQPDAPSYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKAQLKRLFDIDPSEALLTSAKTGQGLSQVLPAVIERIPSPPGKCDSPVRMLLLDSYYDEYKGVICHVAVVDGALHKGDKIASAATGRTYEVLDVGIMHPELTPTGVLYTGQVGYVISGMRSTKEARIGDTLHQAKSIVEPLPGFKPARHMVFSGLYPADGSDFDALSHAIEKLTCNDASVSVTKETSTALGMGFRCGFLGLLHMDVFHQRLEQEHGAQVISTIPTVPYIFEYGDGSKVQVENPAALASNPGKRIAACWEPTVIATIIIPSEYVGPVIMLCSERRGEQQEYTFIDAQRALLKYRLPLREIIVDFYNELKSITSGYATFDYEDSEYQQSDLVKMDILLNGQPVDAMATIVHNQKAQRVGRELVDKLKKFIERQMFEITIQAAVGSKVIARETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVSNSK >ORUFI06G02950.3 pep chromosome:OR_W1943:6:2130064:2134908:-1 gene:ORUFI06G02950 transcript:ORUFI06G02950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAALRRSARRVVLPGAYALSRALQHPERLLSSQASPDRGGVLGSELGLYPPERVRNFSIIAHVDHGKSTLADRLLELTGTIKKGHGQPQYLDKLQVERERGITVKAQTATMFYRHANNQLPASDQPDAPSYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKAQLKRLFDIDPSEALLTSAKTGQGLSQVLPAVIERIPSPPGKCDSPVRMLLLDSYYDEYKGVICHVAVVDGALHKGDKIASAATGRTYEVLDVGIMHPELTPTGVLYTGQVGYVISGMRSTKEARIGDTLHQAKSIVEPLPGFKPARHMVFSGLYPADGSDFDALSHAIEKLTCNDASVSVTKETSTALGMGFSKVQVENPAALASNPGKRIAACWEPTVIATIIIPKRRGEQQEYTFIDAQRALLKYRLPLREIIVDFYNELKSITSGYATFDYEDSEYQQSDLVKMDILLNGQPVDAMATIVHNQKAQRVGRELVDKLKKFIERQMFEITIQAAVGSKVIARETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVSNSK >ORUFI06G02960.1 pep chromosome:OR_W1943:6:2134984:2136017:-1 gene:ORUFI06G02960 transcript:ORUFI06G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPGRPPHRGKEDSGNVWRLSPRVSETSSRVKPAAAASASGPGGGERCPFIFSRPIKPIGHRISGAHLTVAQRVPL >ORUFI06G02970.1 pep chromosome:OR_W1943:6:2135856:2141324:1 gene:ORUFI06G02970 transcript:ORUFI06G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVVTRSPLCSILFYVLATAAAATATATATLVGNITADEEYWAKRSEVARSFNRAAYVSDPVAVLNRFNEDVLNTTTATAAAARRSLMRRYRRRGPCTVTNPIDLCWRCRKNWASRRKRLAKCAMGFGHKATGGLAGKIYIVTDAGDEHLVIPRRGTLRHAVIQERPLWIVFARSMVIRLAKELIVTSDKTIDGRGAQVHVTGAQITVQAVSNVIIHNLHIHNSVPRSGGLIRDSMHHFGIRGESDGDGISVMGSSNIWIDHVSMSNCSDGLIDITDGSTAITISNSHFTKHDHVMLFGARDDSPKDKIMQVTLAFNHFGKGLVQRMPRCRFGFFHMVNNDYTHWLMYAIGGNMNPTIISQGNRFRASDDMKLKEVTKREYTSYDEYKEWVWKSQDDLFLNGAFFNESGGRNERRYNRLDLIQARNGRYVGRMTRFAGTLPCRRGRLRHGDPPPLHSLLPPHRRRRGHRGFGEEAYWERRRTTPPPTRSTGRSAPRRPARSTAPPTGSTAITISNGHFTKHDHVMLFGASNSDAQDEVMQITVAFNHFGKGLVQRMPRCRFGFFFLF >ORUFI06G02980.1 pep chromosome:OR_W1943:6:2144615:2158827:1 gene:ORUFI06G02980 transcript:ORUFI06G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSESVNQLKDDGTEEMDVDDYIGGGSGGGGEALIGMKTVEAPDDGSNCPICLDGGGGGGEKTTTEAWVETPCAHRFHSRCLESWARVKLGTCPMCRRELTAAAAAATTTAGEDVHVLVADPMVADPLQARSVRVVSVTHVQPEQTAGEMPPAAGESGDDVVRLSFYDVMFVSMMPIQRLFFYEGAALPPFPSLVGSLRSSLAAALAAFLPLAGKLTFRAALGDVVLDYSAAAVPPGVKFVEAEYGSSDEISAFDAMRRLAGDVEHNVEAFMELVPELEVEQLPAPVLAVQVTRPAFRNDDGDDAVGVVAVGVSVHHAVADGQSLWQFMKAWSAAAMVGSPAAPGLLPPTFDRALIRHPRSEELASKMSTLVRVLAVSHVHPDEAAVGAAWPPPNTVELSFLDSFQVARGAIQRLFFYEGDDLPPFQSIVGALQSSLAAALPVFLPLSGKLAYLPESGDVVIDYSPDAVSPGVRFVEAEYSGSVDDMRRLAGDDEHQIEAFLQLVPELEKNAHVSVYGIVTDRFVTPLPMGVDMSQQRRRTFLLNAGEIESLKQRISESDAGREQLRNRLSTYVAISSLAWTSIVRAKSLDAADKVYFMVSADCRRRLRPPADKGYFGNCVTTCVAKAISGDLSAGSDDGLAGLARAAAAIQRAIREGLEVPFGNSERWLDGATATTPPVRSFTRSGSSHRYMAYETDFGWGAPSRAELATVYGEEVVMMLGAADGGVQVSVVLRRALMDAFATNFRRQLVASMSSLVRVLAVSHVLPDEVAAGGAWPPPPPHVVELSFLDNLQVSKAAIQRLFFYDGGSLPPFESVDRSLQSSLAAVLAIFLPLAGKLAYLPEPGDVVIDYSPDAVSPGVKFVEAEYSGSVDDMRRLASDDEHHTEAFLQLVPELEVSMLPAPLLAVQVTRPRDDHAGGGGGAVAVGVAIHHGVADGQSVWQFIKAWAAAARGGSPAGQGLVPPTFDRSRIRHPTADSHELAHTILHKMSPALPMVTPRSKPADMAQQRRRTFLLSAGEIQSLKQRISESETGGELLHNRLSTYVTISSLAWTSIVRAKCGALDAAADDVYFMVSADCRRRLRPPADEGYFGNCIAIAIARASAGELLDDDGLAGLARAAAAIQAAIRDELELEDPVGGAERWAERLAAIPRGRLTAAGSSHRFMAYETDFGWGAPSRVELVTVYGNELVAMLGGAADGGVQVSVVLGRALMDAFADNFRRQVVACPNSTVSRSRHH >ORUFI06G02980.2 pep chromosome:OR_W1943:6:2144615:2158827:1 gene:ORUFI06G02980 transcript:ORUFI06G02980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSESVNQLKDDGTEEMDVDDYIGGGSGGGGEALIGMKTVEAPDDGSNCPICLDGGGGGGEKTTTEAWVETPCAHRFHSRCLESWARVKLGTCPMCRRELTAAAAAATTTAGEDVHVLVADPMVADPLQARSVRVVSVTHVQPEQTAGEMPPAAGESGDDVVRLSFYDVMFVSMMPIQRLFFYEGAALPPFPSLVGSLRSSLAAALAAFLPLAGKLTFRAALGDVVLDYSAAAVPPGVKFVEAEYGSSDEISAFDAMRRLAGDVEHNVEAFMELVPELEVEQLPAPVLAVQVTRPAFRNDDGDDAVGVVAVGVSVHHAVADGQSLWQFMKAWSAAAMVGSPAAPGLLPPTFDRALIRHPRSEELASKFLQLSSPTLPEVTPLPMGVDMSQQRRRTFLLNAGEIESLKQRISESDAGREQLRNRLSTYVAISSLAWTSIVRAKSLDAADKVYFMVSADCRRRLRPPADKGYFGNCVTTCVAKAISGDLSAGSDDGLAGLARAAAAIQRAIREGLEVPFGNSERWLDGATATTPPVRSFTRSGSSHRYMAYETDFGWGAPSRAELATVYGEEVVMMLGAADGGVQVSVVLRRALMDAFATNFRRQLVASMSSLVRVLAVSHVLPDEVAAGGAWPPPPPHVVELSFLDNLQVSKAAIQRLFFYDGGSLPPFESVDRSLQSSLAAVLAIFLPLAGKLAYLPEPGDVVIDYSPDAVSPGVKFVEAEYSGSVDDMRRLASDDEHHTEAFLQLVPELEVSMLPAPLLAVQVTRPRDDHAGGGGGAVAVGVAIHHGVADGQSVWQFIKAWAAAARGGSPAGQGLVPPTFDRSRIRHPTADSHELAHTILHKMSPALPMVTPRSKPADMAQQRRRTFLLSAGEIQSLKQRISESETGGELLHNRLSTYVTISSLAWTSIVRAKCGALDAAADDVYFMVSADCRRRLRPPADEGYFGNCIAIAIARASAGELLDDDGLAGLARAAAAIQAAIRDELELEDPVGGAERWAERLAAIPRGRLTAAGSSHRFMAYETDFGWGAPSRVELVTVYGNELVAMLGGAADGGVQVSVVLGRALMDAFADNFRRQVVACPNSTVSRSRHH >ORUFI06G02980.3 pep chromosome:OR_W1943:6:2142875:2145216:1 gene:ORUFI06G02980 transcript:ORUFI06G02980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQSVRVVNVTHVLPGGASAAAPPHAGGGDVDVIELSFLDTMFFALTPLKRLFFYEAAEPSFPAMVSSLQSSLAATLAVFAPLAGKLAYRPSHDDVVIDCSVAAVSPGVVFIEAEYHDDDDAVVDMRRLAGDEEHHTEAFKRLVPEMDVGRLPAPLLSVQVTRPAAAAGGGSGGVVAVGVSIHHVVGDGQAVWQFMRAWSTASREGSPAAAAAATIPVVFDRKPVLRHPNDEEIARVFLRVFAPALPLVDCSLFPEPDVTRQWRKTYLLRPHQIQSLKQRMLAKTKEQLMLMAAPTTHVAVLSLYWTSLVRAKFTSTGGGGAGDGDVYFMIPGDLRRRLRPPVGDGYFGNCVKPCYASAAVGDLRGGNGLVHAAAAFQSAIRGSLECDDPLADDVERWSELERKVPKERIAQASASHRFMAYETDFGWGAPRRVELVSVYRMDVVALVAAPPAAGGGVQVSVALDRAHMEAFDSYFLQNSDTKSDSPSI >ORUFI06G02990.1 pep chromosome:OR_W1943:6:2142953:2145004:-1 gene:ORUFI06G02990 transcript:ORUFI06G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVPSFTRAQDSRHREWKRCAHGVSTHASVVVFSPPPPPPSKQIGQLLPSSGASTVFIPINASPPPPLPPPIRRRPSPPYHRPSTGSPTRSTSPCRCGDLDAVARDYLLPDPWQAKTTTRRRKTLRRREHAEEHAGDLFIVGMAEHRFPVKHHRNCGRRRRRRRSLPRRRGPRPHKLPHGLAVADHVVDGHAHGNDATAAAAGGGGWPRDLHGQQRGGKPPHVHLRHEPLEGLRVVLLVAGEAAHVDDGVVVVVVLGLDEHDAGGDGGDGAVDDDVVVGGAVGELAGEGREDGEGGGEGGLEGADHGRERRLCCLVEEEAFERREGEEHGVEEGELDDVDVAAAGVRWRCR >ORUFI06G03000.1 pep chromosome:OR_W1943:6:2161680:2164577:-1 gene:ORUFI06G03000 transcript:ORUFI06G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYGLVKDELLHGIGGGQGRLYCEVKPTAAPAVITAAGGGAKSVKRRKREPSAAAMSAVTVAGNGKEAGGSNAANKRSSRFRGVSRHRWTGRFEAHLWDKGTWNPTQKKKGKQVYLGAYNEEDAAARAYDLAALKYWGPTTYTNFPVADYEKELKLMQGVSKEEYLASIRRKSNGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPPSSSSAAGTPHHHGGGMVVGADRVLAPAQSYPISAAADDDVAGCWRPLPSPSSSTTTALSLLLRSSMFQELVARQPVVEGDDGQLAVVSGDDADADADADVKEPPPESEYGEVFASDEAAAAAAYGCSMYELDDSFALIDDSVWNCLI >ORUFI06G03010.1 pep chromosome:OR_W1943:6:2167393:2170248:1 gene:ORUFI06G03010 transcript:ORUFI06G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPPLFLSLPSPPPPPLPHLLPSHRPAAALTLAPALSSRRVSSVCPVASQRHSDYFDPRAPPPPPPRDGYGGPAYSPPAAQGGQQNGRVFSTYSIYKGKAAMSLDPRPPQFVPLDSGAYKVVKEGFVLLQFAPAVATRQYDWTRKQVFSLSVWEMGSLLTLGPTDSCEFFHDPFKGRSDEGKVRKVLKVEPTPDGNSRFFNLSVQNRLLNIDENIYIPITKGEFAVIVSTFNYIIPHLMGWSTFTNSIKPEDSRAYTRPQSGPEYEWRR >ORUFI06G03020.1 pep chromosome:OR_W1943:6:2170377:2174812:-1 gene:ORUFI06G03020 transcript:ORUFI06G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGRIGLARLGPTMQRTKSSQPNKLILATTLLSNSPHCSRSPHPGRELAEKHSASATGGRRRLSSLRRRCRLCFSDSRGCRLAQVLNPIAHEMVLKITGFGGCGGGSGGRRTRVGDGGRRRRWPPVGFSFCSRAAAASVRRHAEAGRGRRGLGRGGGGGSPDNYTSCTRRRRISACVAAELGVDLTKVAAGTRARSSSAFLAAAQEQAAWLTPPPRLGVLCSGAVPIDAEAAMDLVLMAARLMPAREKGLGGGRMNCRRGKGFFHGRIWNFYECCVREVTGGQAPTPTALAPRVFLAARGFDRARLRVALLGDERPSLVPVPHDTSDKILRGAPVAPVHGGAL >ORUFI06G03030.1 pep chromosome:OR_W1943:6:2172120:2181107:1 gene:ORUFI06G03030 transcript:ORUFI06G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTVVSMARSLLGGAIAAASSAARQEMSMLIGVQNDIWYIKDELKTMHAFLRAAEVTKEKDELVKVWAEQVRDLAYDIEDCLEEFTIHVKHQSLSRQLMKLRHRHRIAVQIRSLKLRVQEVSNRNMREMDDFSTNMEMTRYQAAHYVDEAKLVGFDGPKKEILKMISGSEDVEVQTIWIVGAGGLGKTTLAKKVYESSNITSMFPCCAWITVSQSFDVMDLLKDMIKQLLGKESLDNLFTKYKEVKIKENNLTDHLTEWLRNKRYFLVLDDLWSTKAWDCLKPTLWGNNREGSRLVVTTRNRDLAEGSSSPLVYPLQTLHREDATKLLLAKTNKSLCDINKDGMNETFEKILKKCGGLPLAIITIGGLLAAKDVKEWDGLYAQIPSELENNPSFEVLALSYKYLPSHLKPCFLYLSIFPEDFEIQRKRLVYRWIAEGFIRARDGVSIVDVAIKYFNDLINRSLMQPSRVNMEGTIKSCRVHDIIRDIMISISREEKFVCRIDDKETCLMEENIHHVAFYNSNSSEIAMDLNQVRSLTVFGERHKELTPLLCSPQVRMLRVLDFQGVRFGMTQKEMDHIWSVLHLKYMNIRCDYNLPNSSGYSKIYRIPRSIGKLQGLRVLDISNTCITSLPTEICELRSLNILRCTRKEYYEFFDPSKPIQCLFALSCIPVTMALADSDQRHEITAELHMACSTRWFSTCGVRVPMRIGNLKQLQELGYVDIRLTSSKAVKELGELSQLKKLRLRINGATQRKCKVLREAIEKLSSLQSLRINAFDVSSLRNLEWLHYISSPPPFLKNLTLEGCIKEIDWLREFTHLVKIHLFGSKLKEGKTVQILGELPNLMVLQLRWGAYVGVKLLFRAEAFPKLRKLEIRFLEDLREMRFEERTSPQMETIEISHCRLESGIIGIKHLPKLKEISLRWNCEVARLGQLLEEVKANPNRPVLLLYNDPSKHDLGDTQEGSGTPVEANEPPKNVGESSQSNQGEDDDDDQQQPITSTEIMPADADPAVSS >ORUFI06G03030.2 pep chromosome:OR_W1943:6:2172120:2181107:1 gene:ORUFI06G03030 transcript:ORUFI06G03030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTVVSMARSLLGGAIAAASSAARQEMSMLIGVQNDIWYIKDELKTMHAFLRAAEVTKEKDELVKVWAEQVRDLAYDIEDCLEEFTIHVKHQSLSRQLMKLRHRHRIAVQIRSLKLRVQEVSNRNMREMDDFSTNMEMTRYQAAHYVDEAKLVGFDGPKKEILKMISGSEDVEVQTIWIVGAGGLGKTTLAKKVYESSNITSMFPCCAWITVSQSFDVMDLLKDMIKQLLGKESLDNLFTKYKEVKIKENNLTDHLTEWLRNKRYFLVLDDLWSTKAWDCLKPTLWGNNREGSRLVVTTRNRDLAEGSSSPLVYPLQTLHREDATKLLLAKTNKSLCDINKDGMNETFEKILKKCGGLPLAIITIGGLLAAKDVKEWDGLYAQIPSELENNPSFEVLALSYKYLPSHLKPCFLYLSIFPEDFEIQRKRLVYRWIAEGFIRARDGVSIVDVAIKYFNDLINRSLMQPSRVNMEGTIKSCRVHDIIRDIMISISREEKFVCRIDDKETCLMEENIHHVAFYNSNSSEIAMDLNQVRSLTVFGERHKELTPLLCSPQVRMLRVLDFQGVRFGMTQKEMDHIWSVLHLKYMNIRCDYNLPNSSGYSKIYRIPRSIGKLQGLRVLDISNTCITSLPTEICELRSLNILRCTRKEYYEFFDPSKPIQCLFALSCIPVTMALADSDQRHEITAELHMACSTRWFSTCGVRVPMRIGNLKQLQELGYVDIRLTSSKAVKELGELSQLKKLRLRINGATQRKCKVLREAIEKLSSLQSLRINAFDVSSLRNLEWLHYISSPPPFLKNLTLEGCIKEIDWLREFTHLVKIHLFGSKLKEGKTVQILGELPNLMVLQLRWGAYVGVKLLFRAEAFPKLRKLEIRFLEDLREMRFEERTSPQMETIEISHCRLESGIIGIKHLPKLKEISLRWNCEVARLGQLLEEVKANPNRPVLLLYNDPSKHDLGDTQEGSGTPVEANEPPKNVGESSQSNQGEDDDDDQQQPITSTEIMPADADPAVSS >ORUFI06G03030.3 pep chromosome:OR_W1943:6:2172120:2180780:1 gene:ORUFI06G03030 transcript:ORUFI06G03030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTVVSMARSLLGGAIAAASSAARQEMSMLIGVQNDIWYIKDELKTMHAFLRAAEVTKEKDELVKVWAEQVRDLAYDIEDCLEEFTIHVKHQSLSRQLMKLRHRHRIAVQIRSLKLRVQEVSNRNMRYNFIKSAPSREMDDFSTNMEMTRYQAAHYVDEAKLVGFDGPKKEILKMISGSEDVEVQTIWIVGAGGLGKTTLAKKVYESSNITSMFPCCAWITVSQSFDVMDLLKDMIKQLLGKESLDNLFTKYKEVKIKENNLTDHLTEWLRNKRYFLVLDDLWSTKAWDCLKPTLWGNNREGSRLVVTTRNRDLAEGSSSPLVYPLQTLHREDATKLLLAKTNKSLCDINKDGMNETFEKILKKCGGLPLAIITIGGLLAAKDVKEWDGLYAQIPSELENNPSFEVMRQVLALSYKYLPSHLKPCFLYLSIFPEDFEIQRKRLVYRWIAEGFIRARDGVSIVDVAIKYFNDLINRSLMQPSRVNMEGTIKSCRVHDIIRDIMISISREEKFVCRIDDKETCLMEENIHHVAFYNSNSSEIAMDLNQVRSLTVFGERHKELTPLLCSPQVRMLRVLDFQGVRFGMTQKEMDHIWSVLHLKYMNIRCDYNLPNSSGYSKIYRIPRSIGKLQGLRVLDISNTCITSLPTEICELRSLNILRCTRKEYYEFFDPSKPIQCLFALSCIPVTMALADSDQRHEITAELHMACSTRWFSTCGVRVPMRIGNLKQLQELGYVDIRLTSSKAVKELGELSQLKKLRLRINGATQRKCKVLREAIEKLSSLQSLRINAFDVSSLRNLEWLHYISSPPPFLKNLTLEGCIKEIDWLREFTHLVKIHLFGSKLKEGKTVQILGELPNLMVLQLRWGAYVGVKLLFRAEAFPKLRKLEIRFLEDLREMRFEERTSPQMETIEISHCRLESGIIGIKHLPKLKEISLRWNCEVARLGQLLEEVKANPNRPVLLLYNDPSKHDLGDTQEGSGTPVEANEPPKNVGESSQSNQGEDDDDDQQQPITSTEIMPADADPAVSS >ORUFI06G03040.1 pep chromosome:OR_W1943:6:2182327:2193968:-1 gene:ORUFI06G03040 transcript:ORUFI06G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWRLAASASPECAAARRRSSSGFALTLDPKSPMRASLPPPIRPKEMQRRENYPAEKLPGIITGYKCKPYPSP >ORUFI06G03050.1 pep chromosome:OR_W1943:6:2190876:2196519:1 gene:ORUFI06G03050 transcript:ORUFI06G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCRKLRTDINGGRAIRSYSGQTYAEQKEEEKELKADKNLRRRGELASLYMKEEMIKGDSKQLASMYMKEEMIKGERQLGTHEDRLKDEVIKDGDKISDGNFFKSLQNISSTKEEVCSPGPIERSCMDSSLLNMKAQNKGQDDKLESTRAEMGEVREENERLKTLLSRISHDYRSLQTHFYDVLQQGRAKKLPDSPATDIEEPEFVSLRLGTSTSKCKKEDKSTTSSEVKGSTEDFLKIKGGLSLGLSDCRVDANNSEKVQPDVMTLSPEGSFEDARDDTAETTEQWPPSKMLKNLRSVGAEAEDDIAPQPQVKKARVSVRARCDAPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAAGCPVRKQVQRCADDMSILITTYEGTHNHPLSVSATAMASTTSAAASMLISGSSSTSLAAYPAAAASPALAFDASSKPPLIGGRPFFLPTAAAAAITSTPSYPTITLDLTSPAAAATSSHAAFSLSNRFSHTRYPSTGFTFSGSGPSSAPWPGYLSYGASLSAHPTSGRGQRGGGGGGGSAPPLYQMQQKAAAAPPPPPSVITDTIAKAITADPSFHTALAAAITSYVGKKGSPPASGGEDSKVGLKWGEHLGLGLTHSSPSTAAAAAASSSSQMFLQPSLGLSGSTTSASTSPVANREQAH >ORUFI06G03050.2 pep chromosome:OR_W1943:6:2190876:2196519:1 gene:ORUFI06G03050 transcript:ORUFI06G03050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCRKLRTDINGGRAIRSYSGQTYAEQKEEEKELKADKNLRRRGEEMIKGERQLGTHEDRLKDEVIKDGDKISDGNFFKSLQNISSTKEEVCSPGPIERSCMDSSLLNMKAQNKGQDDKLESTRAEMGEVREENERLKTLLSRISHDYRSLQTHFYDVLQQGRAKKLPDSPATDIEEPEFVSLRLGTSTSKCKKEDKSTTSSEVKGSTEDFLKIKGGLSLGLSDCRVDANNSEKVQPDVMTLSPEGSFEDARDDTAETTEQWPPSKMLKNLRSVGAEAEDDIAPQPQVKKARVSVRARCDAPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAAGCPVRKQVQRCADDMSILITTYEGTHNHPLSVSATAMASTTSAAASMLISGSSSTSLAAYPAAAASPALAFDASSKPPLIGGRPFFLPTAAAAAITSTPSYPTITLDLTSPAAAATSSHAAFSLSNRFSHTRYPSTGFTFSGSGPSSAPWPGYLSYGASLSAHPTSGRGQRGGGGGGGSAPPLYQMQQKAAAAPPPPPSVITDTIAKAITADPSFHTALAAAITSYVGKKGSPPASGGEDSKVGLKWGEHLGLGLTHSSPSTAAAAAASSSSQMFLQPSLGLSGSTTSASTSPVANREQAH >ORUFI06G03050.3 pep chromosome:OR_W1943:6:2191088:2196519:1 gene:ORUFI06G03050 transcript:ORUFI06G03050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEMIKGDSKQLASMYMKEEMIKGERQLGTHEDRLKDEVIKDGDKISDGNFFKSLQNISSTKEEVCSPGPIERSCMDSSLLNMKAQNKGQDDKLESTRAEMGEVREENERLKTLLSRISHDYRSLQTHFYDVLQQGRAKKLPDSPATDIEEPEFVSLRLGTSTSKCKKEDKSTTSSEVKGSTEDFLKIKGGLSLGLSDCRVDANNSEKVQPDVMTLSPEGSFEDARDDTAETTEQWPPSKMLKNLRSVGAEAEDDIAPQPQVKKARVSVRARCDAPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAAGCPVRKQVQRCADDMSILITTYEGTHNHPLSVSATAMASTTSAAASMLISGSSSTSLAAYPAAAASPALAFDASSKPPLIGGRPFFLPTAAAAAITSTPSYPTITLDLTSPAAAATSSHAAFSLSNRFSHTRYPSTGFTFSGSGPSSAPWPGYLSYGASLSAHPTSGRGQRGGGGGGGSAPPLYQMQQKAAAAPPPPPSVITDTIAKAITADPSFHTALAAAITSYVGKKGSPPASGGEDSKVGLKWGEHLGLGLTHSSPSTAAAAAASSSSQMFLQPSLGLSGSTTSASTSPVANREQAH >ORUFI06G03050.4 pep chromosome:OR_W1943:6:2189424:2196519:1 gene:ORUFI06G03050 transcript:ORUFI06G03050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEMIKGDSKQLASMYMKEEMIKGERQLGTHEDRLKDEVIKDGDKISDGNFFKSLQNISSTKEEVCSPGPIERSCMDSSLLNMKAQNKGQDDKLESTRAEMGEVREENERLKTLLSRISHDYRSLQTHFYDVLQQGRAKKLPDSPATDIEEPEFVSLRLGTSTSKCKKEDKSTTSSEVKGSTEDFLKIKGGLSLGLSDCRVDANNSEKVQPDVMTLSPEGSFEDARDDTAETTEQWPPSKMLKNLRSVGAEAEDDIAPQPQVKKARVSVRARCDAPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAAGCPVRKQVQRCADDMSILITTYEGTHNHPLSVSATAMASTTSAAASMLISGSSSTSLAAYPAAAASPALAFDASSKPPLIGGRPFFLPTAAAAAITSTPSYPTITLDLTSPAAAATSSHAAFSLSNRFSHTRYPSTGFTFSGSGPSSAPWPGYLSYGASLSAHPTSGRGQRGGGGGGGSAPPLYQMQQKAAAAPPPPPSVITDTIAKAITADPSFHTALAAAITSYVGKKGSPPASGGEDSKVGLKWGEHLGLGLTHSSPSTAAAAAASSSSQMFLQPSLGLSGSTTSASTSPVANREQAH >ORUFI06G03060.1 pep chromosome:OR_W1943:6:2197096:2200456:-1 gene:ORUFI06G03060 transcript:ORUFI06G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPPCACAAPLLLRRRLPAPPRALSPAAPPRLRLPLRRSPPPARAKFGKFEASDAAPTEASASEAESAAAGDGAEEQKAEEDDSCLPSDLEGAIWQSGKASADFVNSGGMRAIAELLIPQLEFLNEEGAQAEVWALSRIFLDTLVKETGQKVKAIFPDAGAAALLKYQWTDAEFKCASLSDRKPVDVEDEVVVMIIPDHQMVESVERIASQLSDDPIRPLVMWNPRLVSGDVGVGFNVRNLRRNFLRKWKVFYDDPKRPNRYLLARELVSRPDATDIEIIFGGGNEQSDEAPSLMNNVMGVFSSVSRFMRVISK >ORUFI06G03070.1 pep chromosome:OR_W1943:6:2200896:2202773:-1 gene:ORUFI06G03070 transcript:ORUFI06G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASGTSCALPGAARPHLAVSPSPPASSIRFCRGGSRGGRAVVSLRASVPPAAAAATTSGSIAPAISLTEKALKHLNKMRAERNEDLCLRIGVRQGGCSGMSYTMEFEDRSNASPDDSVVEYDGFAIVCDPKSLLFMFGMELDYSDALIGGGFAFQNPNATKTCGCGKSFATGKETESTATACNN >ORUFI06G03080.1 pep chromosome:OR_W1943:6:2205467:2205832:-1 gene:ORUFI06G03080 transcript:ORUFI06G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERNDAAAAGLVRAGLLVLTLTSGAAIYRAAGDAGAIAFVATSYATLLLLFRYLRFYELAAAAERERIRRKVWYLCTVLTALFAWKVAGVMPPAAAAAVWLLAAATSAGGFVVLFHRRRP >ORUFI06G03090.1 pep chromosome:OR_W1943:6:2208792:2210758:-1 gene:ORUFI06G03090 transcript:ORUFI06G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMNVTKFGDHPTNILSRKLNFSPSLKETSREKSKLWMTMSK >ORUFI06G03100.1 pep chromosome:OR_W1943:6:2226547:2226891:-1 gene:ORUFI06G03100 transcript:ORUFI06G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHSTLTKIGLVVLLGNSALAIYNSRGRAGSVAFVLGADAALALLFVALAQYERAEGAAARGKIKGAVWALSTLLTAMFASRVAPLMPPFVAALVWVMSVATAVGGFWAFFLN >ORUFI06G03110.1 pep chromosome:OR_W1943:6:2233605:2233964:-1 gene:ORUFI06G03110 transcript:ORUFI06G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGRSAWISRAGLGILTVNSGLAIYRSRGDAAAVAFVLGSYAALLLLFSCLSAFERAPPGSPARGRLKRAVWALSTLVTAMFAWKVAALMPPPVAAVVWALAVATSLGGFLAFFVYT >ORUFI06G03120.1 pep chromosome:OR_W1943:6:2238190:2238543:1 gene:ORUFI06G03120 transcript:ORUFI06G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLAYVWFGFLTLNSLLAIYRSHGDIAGIAFVATSYLSLLLLFWCLQQYERAPANSPAKSRSKAGVWFSSSLLTVVFSWRVSALMPWPVAAAVWLMAASTVVGGFYTLFLWSGRQ >ORUFI06G03130.1 pep chromosome:OR_W1943:6:2248585:2248947:1 gene:ORUFI06G03130 transcript:ORUFI06G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAATTCIGFILLTASSIAAIHRSHGEITETSFIVVSYLSLVLLFVFLRRFEAAPRNSPARGGAKAGVWVVTALLAAVFSWRVSALMPWPVDAIIWVMAASTVLGGFYALFLHHPGVD >ORUFI06G03140.1 pep chromosome:OR_W1943:6:2253460:2253882:-1 gene:ORUFI06G03140 transcript:ORUFI06G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTSDPLLNPPAPASNRGNGGVRRVPWASLIGFVALAINFALCIYRAEGDRGAIAFVTFAYLNLLLLFWCIRQFDQAPHGSAARGRIRAAVWILATSLTAVFTWKVAALMPLPVAAVAWVMAAATVVGGFYGFFIHEDK >ORUFI06G03150.1 pep chromosome:OR_W1943:6:2264316:2264690:-1 gene:ORUFI06G03150 transcript:ORUFI06G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASAAALALALWRQQPGGRGGSGGPAAILLAAAPYALLLLLLWCLRAFERAAGAGDAAAQGRLRLAVWLLSSALTVTFAARVAPLMHGAAAVLVWAMSAATICGGFYMLDLFPLHRRLDRIN >ORUFI06G03160.1 pep chromosome:OR_W1943:6:2265807:2269365:-1 gene:ORUFI06G03160 transcript:ORUFI06G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGKLALPSHESTIGKFLTQSGTFKDGDLLVNKDGLRIVSQSEEGEAPPIEPLDHNQLSLDDLDAIKVIGKGSSGIVQLVRHKWTGQFFALKVIQLNIQENIRRQIAQELKISLSTQCQYVVACCQCFYVNGVISIVLEYMDSGSLSDFLKTVLKGLMYLHHEKHIIHRDLKPSNILINHMGEVKISDFGVSAIIASSSAQRDTFTGTYNYMAPERISGQKHGYMSDIWSLGLVMLELATGEFPYPPRESFYELLEAVVDHPPPSAPSDQFSEEFCSFVSACIQKNASDRSSAQILLNHPFLSMYDDLNIDLASYFTTDGSPLATFNTSNRYDDR >ORUFI06G03170.1 pep chromosome:OR_W1943:6:2272434:2275594:-1 gene:ORUFI06G03170 transcript:ORUFI06G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYRAYAPPSSLGRDPQGDFPRHPPSEGSYYASRMAALHGTSDILRHDVPLQPRAYGLDGAAGASHPALAGLGGLAAGTTARGPSPLEDPALVRRSSSLGKTASIPDVEHPRPLLNLDGPREDESNILFVDGLPTDCTRREVAHLFRPFVGFKDIRLVHKEPRHSSDRAYVLCFVEFSDAKCAITAMEALQEYRFDERKPDAAVLNIKFARFPFRPAAAPHDDRRRLTLH >ORUFI06G03170.2 pep chromosome:OR_W1943:6:2272434:2273683:-1 gene:ORUFI06G03170 transcript:ORUFI06G03170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLFRPFVGFKDIRLVHKEPRHSSDRAYVLCFVEFSDAKCAITAMEALQEYRFDERKPDAAVLNIKFARFPFRPAAAPHDDRRRLTLH >ORUFI06G03170.3 pep chromosome:OR_W1943:6:2273745:2275594:-1 gene:ORUFI06G03170 transcript:ORUFI06G03170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYRAYAPPSSLGRDPQGDFPRHPPSEGSYYASRMAALHGTSDILRHDVPLQPRAYGLDGAAGASHPALAGLGGLAAGTTARGPSPLEDPALVRRSSSLGKTASIPDVEHPRPLLNLDGPREDESNILFVDGLPTDCTRREVARILPT >ORUFI06G03180.1 pep chromosome:OR_W1943:6:2276866:2277447:1 gene:ORUFI06G03180 transcript:ORUFI06G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGHRASAVRRYPPGCGRDHRAAHPPGQPGPSTTATNLLRPPPNASARAATKSPKLARQPLLAVATEGPDHGEGNGLVAGIEVPAATTEVVLVRRASAVRRYPPGCGRGAAASKPSKAQSAPRNGEAESIAGDQKVEMDAGSNGWMDCGGDAGGVRQEEGGGRPWDLTGLMLPPFLPWARHGRRSQRQKLL >ORUFI06G03190.1 pep chromosome:OR_W1943:6:2282344:2284452:1 gene:ORUFI06G03190 transcript:ORUFI06G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKCLLLLALFLLLGTHGGEAQPLVPAVMTFGDSSVDVGNNDYLKTIIKANFPPYGRDFKNQVPTGRFCNGKLATDITAETLGFESYAPAYLSPDASGKNLLIGANFASAGSGYYDHTALLYHAIPLSQQLEYFKEYQSKLAAVAGSSQAQSIINGSLYIISAGASDFVQNYYINPFLYKTQTADQFSDRLVGIFKNTVAQLYSMGARRIGVTSLPPLGCLPAAITLFGYGSSGCVSRLNSDAQNFNGKMNVTVDSLSKTYSDLKIAVFDIYTPLYDLVTSPQSQGFTEARRGCCGTGTVETTVLLCNPKSIGTCPNATTYVFWDAVHPSEAANQVLADSLLAEGINLVT >ORUFI06G03200.1 pep chromosome:OR_W1943:6:2286308:2292683:1 gene:ORUFI06G03200 transcript:ORUFI06G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQCLVAARSPPLPWLTLLHGTFLSISDGKIHRMPLPNDASCHGSIDNWLFLRDSNGGCSLMNLFSKVTLHLPKLASIWHDKMERAYRGSGILLCNEYTLLSYKFAVPLPLDSSPVPLVAVLINDPLHLYALSASGKLYILEISEGHEGKPEVSCINSMVDLAEEPVIRLFGYPFPLSHDDVLEDPRTLSFVVYEADLSNGSRMWRRVESLGGQALFVGTHGSKSVPAVECGAQEDCIYFISDYNRPYSANPLGDSGIYNMRNEMITPLVRLIMVSKQQSSSWADFQPELLGLVLRRLPSHADRVRLRAVCRPWRSNAEMQFVPPPHPWRSNGQMQPFPPPLPWLGLLDGTFLDIASCTIFICQPPVTTDSSKGKKPLEYIADVAFFDGKLEYLVECRGRLLMVTRYIPSVAHPTGPDYYEHYRTAGFEVFEADLTNIPGRWRRVHNLGGQALFVGKHCSKAFPAGESGGAQEDCIYFMCDYPPPGFAADPLRDSGVYNMRDGMIKPLLTGIAAELPHRVGQSRPTWLFPTDTM >ORUFI06G03210.1 pep chromosome:OR_W1943:6:2295461:2299604:1 gene:ORUFI06G03210 transcript:ORUFI06G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQSSSWADLQMDILGLVLRRLPSLADRVRLRAVCRPWRSNAQLLTLPPPFPWLNLLDGTFLSISDGEIHRMPLPDDASCYGSIDNWLFLTDSDDGCSLMNPFSKATLQLPKLARIWHHERGNAYNACTRLFYKLAVPLPLDLSSDSLVAVLMNDPLRHSVVCIVHRSISTDSFRFHDRPIKNNFYDIAFCGGKLYALSCGKLFTVEMSEVHIEKPKVPHVECIVEDFPTESHSQPCPENHICVTWPYLVASGGRLLNVIRLVGVPFPPEDDDDIFKDSLTFSFEVYEADLNTGSRMWRRVESLGDQALFVGRHYSKSLSAAEYVGAQEDCIYFMCDDYFRSDEDPLCDAGIYNMRSGVITPLLQENTAPRLHPTGEGHPTWFFPADDFKSCMMAAVQSSSWADLQPELLGLVLTRLPSLADRVRLRAVCRPWRSNARLQPLPPPLPWLTLLNGTFLSISDGEIHCMPLPDDASCHCSIDNWLFLSHDDGGFSLMNLFSKATLQLPKLDTIWCHHLWYAAPKFPLFYKLAVPSPLDFSPTSLVVALIMNRSHQKALCICQPPVATESFRVEGSTMEGMQDFTFLDGKLYVLHNFNKLFILEIDESHIGNPKISSIECIIDSQDDSTTEPQSFPEDYLIMLRYYLVESGGGLLMVTRAQLLQTFAYSFIQECGVPQEDCIYFMCDYWRPYAGDPLCDSGVYNMRNGVITPLLQDATAPRLHPTGRGDPAWFFPADVAT >ORUFI06G03220.1 pep chromosome:OR_W1943:6:2300604:2301797:1 gene:ORUFI06G03220 transcript:ORUFI06G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRPCNGGSWPDLPSELLGLVLLRLPSHGDRVRLRAVCRPWRSSARLERKLLPPPLPWLFLPDGAFLTLPDGAAHRRLAIPGDVAHLVPTGSGLLLAHNDGMFSLMNPSSSATTPLPDLAAVFHGEIKCKYPDTAFQLGQRRITPIIKAVVSEHFIAFYFNSSKVIITSGQPHTVVKWSPPDSSYILDIALFQGKLYCLTFDIENCQEELYILEVRDEEPMVSDVKCIHSTPRDVGDEDEAWFNPHSTDRYTFHRYLVADGDRLLMVARWINLNLPPMLPRDSSIKRTRRFDVFEAVDLSSEHGRWIKVDTLMGHSLFVSESCSESLTAGAEEDCIYFMNDGIMNRIPKDPLSDSGVYNMRDGMVAPLMPETAVTEHLAAHDGPWFSTWLFPTET >ORUFI06G03230.1 pep chromosome:OR_W1943:6:2303204:2304463:1 gene:ORUFI06G03230 transcript:ORUFI06G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSPQLGETMPSKKRKVELHADDQPPPTQAIMATPEPCSGRPWPDLPSELLGLVLLRLPSHADRVRLRAVCRPWRSSARVELDLLPPPLPWLLLRGGAFITLPDGAAHRLPAVPGDATHLASTGSGLLIVHGDGMLSLMNPSSLATTPLAALAAVLPKYIRYKYLAADRQRLVPLINKAVVSDNFTALLIGNRTWKVIVTIGFSPPLAHFPSSIVDIASFQGKLYYLTSDVRKRQEELYIFGVDNAKQIGIRCISSTLKDIGEESWFGPCSTERYATERYLVASNDRLLMVRRWINLPPIYPSDSGIVKRTRRFEVFEAADLSSGCGRWIKVDTLMGHALFVSKGCSKSLSAGAEEDCIYFMHEDIKNGKPEDPFLDSGVYNMRDGTVAPLLTETLVAEPLAVHGGPWCPTWLFPSET >ORUFI06G03240.1 pep chromosome:OR_W1943:6:2307204:2308370:1 gene:ORUFI06G03240 transcript:ORUFI06G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQSSAWSDLRPELLDIVLHRLHSLADRIRFRAVCRPWRHIALAQPLPPLMPWLALGNGDFLIIPDGEIHRMDVPDNACCHGSCDNWLFVVHDNGLCSLMNPFTKASVQLPSLPKVAPHNELLSDAKFHMTVVPPASLNSPSDLLAAVLIRDFRDTLFSFCQPLINSGSFNGCRQGMLISGIAFCHGKLYVANPDFMLYKFDLAVSSGGNRYSSMKKMTLLREELQIWPQDIPLSKEDYHIIRRYLVECDGRLLLVRRRMQIRPFAKCDDLLETACTCWFDVFEADFTVQPCQWRRLNTLGRRALFIGKYCSKSVSSEECEEVKEDSIYFMCDYVKSDQSVDPLRDSGVFNMKTGAITPLLSRTNAALPRHFGRWCLSWFFPSEAR >ORUFI06G03250.1 pep chromosome:OR_W1943:6:2307357:2314019:-1 gene:ORUFI06G03250 transcript:ORUFI06G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLAASEGCTPSKYTKSLALRHNPAVAGLQSLLLKVSASPLSLSTHPLRPLPYSLIVAGRQPSGGRDGTAVSIQQVPPIGWVIRANPLVWWGGVAYVRARLGERVHQGAETIVVHDKEPIVTGTMATSIVRNIHAVDFTIGDDEEITIAERKPWH >ORUFI06G03260.1 pep chromosome:OR_W1943:6:2311593:2314726:1 gene:ORUFI06G03260 transcript:ORUFI06G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRLTLLAMSLLILSPAMDGGGGGTVQAQIVPAAISFGDSTVDVGNNNYLPGAVFKANYVPYGVNFGSRRKPTGRFSDGKIVTDITAETLGFESYAPPYLSPQAKGDNLLLGANFASAASSYHDDTAAMYQLKYYKEYQSKLAALIGQKNATAILSDALYIVSTGTGDFIQNYYHNASLSSRYNVNSYCDLLISIFSGFANELYRLGARRIGVTSLPPLGCLPATIRLYGKGRSGCVERLNGDAETFNNKLNITVEALAKKHSDLKIAIFDIYTPLRNMSESPASQGFLEARKTCCQTGTRKTRVYLCNPATAGLCRNASDFVYFDGVHPSEAANLVIAESTILAGISLVT >ORUFI06G03270.1 pep chromosome:OR_W1943:6:2316244:2320166:-1 gene:ORUFI06G03270 transcript:ORUFI06G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSPLEAIARLLADLARRRSHPPPGGGRSGDSLAASVSSLAAALNPHGGGASSSSSSGTRVLDAVLSLMCFDPMEVDRARVDCLVRTTVSALSASVSCRVDHIDGAEMLTVGSSVAPGDCRELVHSCAALLEKLGDPDVADHSYDLLYAVVKAALLSPRYLCLFPLPYYREDEDSTCDMGTISSVLTRHPTYQVLPNDYTIPLRGLGAVLELQTAVVSSVLDVLFEPMAWGISMELGQKLPFSYDYFPHQHVDLLAILTGPLSCRKFVDLTSYIDSQSHASKGSVKYNSSWSMIVNFPLWFNFATALLFHREGSHGYLSEALSMEIISESIRDVNLAHRAAMYLSWVLCPSNEDQRQILAGNILELSHSWARNNKKGPSHVHHTSTVNHRRKLRIPTVGDTEKLHLSTNPVSSLIKEFDDRCVKFCSKTANSQVQDEELSDLPIHFNFLHLWIPLGILLVSSSFVNDQDCDMLLHYSSTGQVLESNEVQRKTKDHICNDSFSASCKGFTETWASAGASLVFRWLDLIINMSAVIFEREDICDHFVSQLKSKTNPYLLKCLYSLLEVLDEASQRDFLVDLHDRLLNWNKKGQSFDGFEAFEDIILRMNKKFHFRT >ORUFI06G03280.1 pep chromosome:OR_W1943:6:2321044:2322862:-1 gene:ORUFI06G03280 transcript:ORUFI06G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGFGSTGSIPPRHLQLAAAAAAIGLNNTMEVRPMVALRAALVGGVAAFAKIGAAMKAAGGAKVGAAAAAMTAAATAAVSSKDTNKDNPKTETK >ORUFI06G03290.1 pep chromosome:OR_W1943:6:2323190:2327519:1 gene:ORUFI06G03290 transcript:ORUFI06G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDKENLDLSDLNASLPAAAAALSAEDRAGLVNALKDKLQSLAGQHTDVLEALSPNVRKRVEYLREIQGQHDEIELKFFEERAALEAKYQKLYEPLYTKRYNIVNGVVEVDGGNDEPASENAAEGKDADAKGVPDFWLTAMKTNEVLSEEIQERDEPALKYLKDIKWARIDDPKGFKLDFFFDTNPFFKNSVLTKTYHMVDEDEPILEKAIGTEIEWYPGKNLTQKILKKKPKKGSKNAKPITKTEVCESFFNFFSPPQVPDDDEDIDEDTADELQGQMEHDYDIGTTIRDKIIPHAVSWFTGEAVQAEDFDDMEDDEEDDEDDDEDEEEEEEDEDEDEDDEEEKSKPKKKSAGKPKLPSKGGAQGGADQPADCKQQ >ORUFI06G03290.2 pep chromosome:OR_W1943:6:2323190:2327421:1 gene:ORUFI06G03290 transcript:ORUFI06G03290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDKENLDLSDLNASLPAAAAALSAEDRAGLVNALKDKLQSLAGQHTDVLEALSPNVRKRVEYLREIQGQHDEIELKFFEERAALEAKYQKLYEPLYTKRYNIVNGVVEVDGGNDEPASENAAEGKDADAKGVPDFWLTAMKTNEVLSEEIQERDEPALKYLKDIKWARIDDPKGFKLDFFFDTNPFFKNSVLTKTYHMVDEDEPILEKAIGTEIEWYPGKNLTQKILKKKPKKGSKNAKPITKTEVCESFFNFFSPPQVPDDDEDIDEDTADELQGQMEHDYDIGTTIRDKIIPHAVSWFTGEAVQAEDFDDMEDDEEDDEDDDEDEEEEEEDEDEDEDDEEEKSKPKKKANRSTFSTSYHRRAGHKEVLINQQIASSSRTVVVHSAT >ORUFI06G03300.1 pep chromosome:OR_W1943:6:2329522:2332071:1 gene:ORUFI06G03300 transcript:ORUFI06G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRGIGAKLGKVNHEKVTSALLLGSFVVLGWRSWEQQHEIDELEARKASLRAANTAMSSAMWAWREELFALAAAPSPPISASRLRVIYGEEQPPASPASKKPGADAEEEPFAIA >ORUFI06G03310.1 pep chromosome:OR_W1943:6:2333092:2335802:1 gene:ORUFI06G03310 transcript:ORUFI06G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGRRGIPSLLNSSSSDEHIATDITQLVGWTPLIELNRIVRKDGVNARIVGKLESYQPLCSVKDRSALRMIEDAEEKGLISPGVTTLVEPTSGNLGIGVAYNALLKGYRFVAVMPAEYSLDKQMLLTYLGAEVILTDPTLGFQGQLDKVEQIKNDMPNVHHLDQFKNAANPEAHFVWTGPEIWKDTAGKVDIFVAGSGTGGTISGVGKYLKMKNPAVKVICVEPAESPVISGGKPSRHKIQGMGPGFVPKNLDISIVDEIITVTAQDAMANAKRLAREEGLLVGISSGANLAACLKVASRKEYEGKMIVTIFPSGGERYMNSDLFAQAREECSAMTF >ORUFI06G03320.1 pep chromosome:OR_W1943:6:2337973:2340786:1 gene:ORUFI06G03320 transcript:ORUFI06G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGIGRRGLPSLLGSSSSESGGIGQEHIASDITQLIGWTPLVELKRIASKDGIDARIVGKVEAYQPLCSVKDRSALRMIEDAEEKGLITPGVTTLVEPTSGNLGLGLVLVALRKGYRFVAVMPGQYSFDKQILLKYMGAELFLSDPTLGFQGLVDKVEQLKKELPNVHVLNQFSNPANQEAHMRLTGPEIWKDTAGKVDIFVTGSGSGGTVSGVGKYLKLQNPAVKIICVEPAESPVISGGEPGKHKIQGIGPGLIPDMLDTSVIDEVVTVNTDEAMVNARRLAMEEGLLMGISSGANLAACLKVASREENKGKMIVTMFPSGGERYMNSDLFAAVREECNAMTF >ORUFI06G03330.1 pep chromosome:OR_W1943:6:2341768:2342736:1 gene:ORUFI06G03330 transcript:ORUFI06G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPREGGGGNGGDGEAREERVSSGYYSSSSAARQHGSEQPPPTQQMERRSSAAAAAEEGVGVVLVGSGDPGRIPAAVFERDTSESNKDWSMMSTESVFALQVAPSSDFTGFFLAHPELMDIATPPRSSSSSAAAAAAAGEAVGHAHSAQFESIPELGEATMRIQGQYSFAFPNLVEVKRHSAKNPQEDQPMSATMATAAAETTAPAPVRAETSSKPEEAPAKAATKGGWLPCFPCC >ORUFI06G03340.1 pep chromosome:OR_W1943:6:2343295:2345097:-1 gene:ORUFI06G03340 transcript:ORUFI06G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPHRGGSPSPRFTLQPSRLPPEDILFCVDVDLETRSEMRIAPGPAAAAAASPGAAGASSGAAAASRQAARPPVKRMDAVKQALLLFVHSKLTMCPDHRFAFASLGDTVSLVKKDFSSDAGSAVEAIQSLDASETRYAMADLTQLFKIAYQEGKRAELQGRLLRVVLIYCRSSTKPQHQWPIKQKNFTLDIIYLHDKPTADNCPQKVYDALVDALEHVSQYEGYILETGQGLARILFRQTCILLSHPLQRCIQDDLDIPKQLAKKNMVTEAAQNEDGMPVSTQ >ORUFI06G03350.1 pep chromosome:OR_W1943:6:2347109:2347780:-1 gene:ORUFI06G03350 transcript:ORUFI06G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPPPSPPDGEHSFGHEAIALSFFVACVAATVVMASSMCSACGRKPKADDPAPDAAAAADVNAESHGDGGEEGEEEEKAPVVTLSPELATHGPIAGVAPPPSAAAKRRMSMTMSLSKNLSMNIPDKMRLSRRERRDKVEPEDTLWKKAIILGEKCKIPGEREGEADADADDLAAGSFRRSSYSRPMSRSISLAVHQSHVDAPPATTAAAAATAGASSPGSS >ORUFI06G03360.1 pep chromosome:OR_W1943:6:2348362:2351197:-1 gene:ORUFI06G03360 transcript:ORUFI06G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGVAVAMAVAVAAVVLLLHPAASAAAAGPKKVATAARKEDIPYIRCQVCERIAREISAQVAKKQQALPATKKVPEIEIIEIAENVCNLKKQEADWMLKIDIVEKGDKLELVEQDEEGHCNAECKTIERACQEVMGYADTDVAEFVYKKKPSADQLVKFLCKDLSEACVVDPPPVPKDRVPGEPFAAKPSKDAEMDRILKSMEGKVFKDKGSPKKDLKQQVVKQIKDTGKKLKGHVNKVSKVVKKWWQGKKKPSKSSKTEL >ORUFI06G03370.1 pep chromosome:OR_W1943:6:2351876:2353300:1 gene:ORUFI06G03370 transcript:ORUFI06G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRFDLEIASRELVRASRPPPGFPPVLAVSNLDLILGPFPIYLVSVYAPPPGGVAAVVSAVRAALPAYLSHFFPFAGRVVRDPATNIPEVACNNAGAELVVADAAVPLAAVDFAQVDRSIGLMRVAFDASLPLSLQLVRFACGGFSLTVATNHLLADGRAFIVLLNALGEMVREGRLTSEPLLDRSLLMPRSPPRFSPSLDEEFSRFTPATMINPLMAAAIQRRLYRIEAADLERLREEASAGGGGGRRATRFVALCAHVWKLLARAVGDSDTHCRMAWIIDGRKRLEPPSVGGGEGGALDRYMGNVVTYTSREASVEEVLGAPLHAVAGMVRAAITAAMTRDRFQQLVDWMETKKAAAFKDGGKWTEAVNLGLGSPAMVISGLLPFAIDGDMGFGKPRLVMPWLQHGRLGSASATVVPSPAGDGSWFFAGTRLWPRLLEVVEAAGPDCLLKPATAASLGLAYPAGAHGSRL >ORUFI06G03380.1 pep chromosome:OR_W1943:6:2361136:2362050:1 gene:ORUFI06G03380 transcript:ORUFI06G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNVVVLPTVVSRSCRLTVVVEPFDKVVEIKQKVESCYGIPVTAQCLLYWNRELTDDHDIEYYPIFDGSHVLLLLHWQVAARFCWIHGLAKWSGGDTTHDMVHVTAYLPPASWGRKVTVFARREESVAALKRRIHGVQKMAMPLPECMWLGVNDFVCGGLMVMLDHWPLGAYVEFDSGVVEVTIVDCNKMVEAGSSGGSNRNTNVDANDSKIVIGLLMEGSRSQHMDFLLEASPADMVATLREQLNDNFEGSPETPLLAEGDYHFELNRVAMNEELSLEAHGVVESGETIMIIFGRLPAPRRE >ORUFI06G03390.1 pep chromosome:OR_W1943:6:2378835:2380271:-1 gene:ORUFI06G03390 transcript:ORUFI06G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPLGRFGVEVTARTLVRASDPPPGFPAVLPVSNLDLILGSFNVSLIVVYPAPARGFAAVAAAVRAALPAFLSRFFPFAGRVVADAATGIPEVACDNAGAELVLADAGVALADVDFADADRSLGTIQLPYEQGIALSLQLVRFKCGGFSMSWGTNHLLVDGHGLTVLPTAWAEMLRTGGLSWEPHHDRRSLFRPRSPPRHGASLDAEFTRYAPGSLVNPLLAAALVRRNYVVGADDLDRLRAAASTASRRATRLEALSAHVWKLLAAATHGSDARCRLAWLVDGRRRLDPAKYDPTLVSSYLGNVVTYASRESPVEAITSSPLADVAAMAGAAIGEVFRQERYEELVDWMELRKAAAFKNGEKWTETVGIGTGSPAVVVSAFVPFRVDGDFGFGSPALVMPWVRPGRLGSAAMTVARSPREDGSWVVSARLWPRLADAIEADPDAVLKPATAARLGLARRAPAAADVARHASRL >ORUFI06G03400.1 pep chromosome:OR_W1943:6:2381371:2385990:-1 gene:ORUFI06G03400 transcript:ORUFI06G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHHLPPPPGDPYCVYAPHPYPDPQRQGVLTLFVAGLPDDVKPREIHNLFSSRPGFDHCLLEYTGRGNQVVAFVSFVNHQAALSAMSALNGTVFDPDTGDRLHIELAKSSSRKRHGDGGVYRVVDKRLKRKERAADHENAGDGGNDDDAWGEDDNGGNDGDGGSDEPLDTENDDSDEKNELPAERSSGQPGLKQHRGQSLSDDQPDKLSSDIPPCSTLFVANLGHSCTEEELKEVLSKQPGFHLLKMRRRGGMPVAFADFTDIESSTAAMDALQGTVRKVKNEEKLAH >ORUFI06G03410.1 pep chromosome:OR_W1943:6:2387409:2390090:-1 gene:ORUFI06G03410 transcript:ORUFI06G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAIYSLFIINKSGGLIYYKDYGSAGRTDTNDSLRLASLWHSMHAISQQLSPTHGCEGIDLLQAHNFDLHCFQSLTGTKFFAVCETGAQNIETLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVTLLGR >ORUFI06G03410.2 pep chromosome:OR_W1943:6:2387411:2389097:-1 gene:ORUFI06G03410 transcript:ORUFI06G03410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAIYSLFIINKSGGLIYYKDYGSAGRTDTNDSLRLASLWHSMHAISQQLSPTHGCEGIDLLQAHNFDLHCFQSLTGTKFFAVCETGAQNIETLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVTLLGR >ORUFI06G03420.1 pep chromosome:OR_W1943:6:2394054:2405387:1 gene:ORUFI06G03420 transcript:ORUFI06G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPSAAAMLPSPSSLLRLLRRPHPRLLPPPPPLLTRFLSSSSPGDAAGWASYDPLTDSLAPPAAAAAASDSEAPAEGEAWGVFDAVTGRIVMKEHPPYSQPPPPGPDEERSKVGRRRSAGVKDEARWSSVAAVGKARGKAGKERASYVCGNCGEGFSQWWGTCRHCEAMGTLTKYVPGSDPGASVGSHHAFRSWIPQKSKEMVPQSLQQVTKGVDQSEWRIPLSGNFGMEIARVLGGGVVPGSLILVGGDPGVGKSSLILQLASIMSENIGAGESSAVVYVSGEESIEQIGNRADRMSIKSRNLYLYSSTDIEDILDKIQPLSPRALIIDSIQTVYLRGFAGSAGNMTQVKECTSALLRFAKLTNIPVILIGHVTKTGDIAGPRLLEHIVDVVLYMEGERCLSHRLLRSVKNRFGSTDELGVFEMSGYGLQPVLNPTEMFLTEHDSDSEILAGLAVAVVLDGSRTFAIEVQALCVSGSPRNGEVVGIPRNRADIIISVLMKQAGLKLQDNAVFLNVVSGFMLTETAGDLAIAASICSSFLEYPIPNDIAFIGEVGLGGELRTVPRMDKRVLAIAKLGYKKCVVPKTSEKLLRPLNLELEILPCSNLKEVINTVFRPQG >ORUFI06G03420.2 pep chromosome:OR_W1943:6:2394054:2405387:1 gene:ORUFI06G03420 transcript:ORUFI06G03420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPSAAAMLPSPSSLLRLLRRPHPRLLPPPPPLLTRFLSSSSPGDAAGWASYDPLTDSLAPPAAAAAASDSEAPAEGEAWGVFDAVTGRIVMKEHPPYSQPPPPGPDEERSKVGRRRSAGVKDEARWSSVAAVGKARGKAGKERASYVCGNCGEGFSQWWGTCRHCEAMGTLTKYVPGSDPGASVGSHHAFRSWIPQKSKEMVPQSLQQVTKGVDQSEWRIPLSGNFGMEIARVLGGGVVPGSLILVGGDPGVGKSSLILQLASIMSENIGAGESSAVVYVSGEESIEQIGNRADRMSIKSRNLYLYSSTDIEDILDKIQPLSPRALIIDSIQTVYLRGFAGSAGNMTQVKECTSALLRFAKLTNIPVILIGHVTKTGDIAGPRLLEHIVDVVLYMEGERCLSHRLLRSVKNRFGSTDELGVFEMSGYGLQPVLNPTEMFLTEHDSDSEILAGLAVAVVLDGSRTFAIEVQALCVSGSPRNGEVVGIPRNRADIIISVLMKQAGLKLQDNAVFLNVVSGFMLTETAGDLAIAASICSSFLEYPIPNDIAFIGEVGLGGELRTVPRMDKRVLAIAKLGYKKCVVPKTSEKLLRPLNLELEILPCSNLKEVINTVFRPQG >ORUFI06G03430.1 pep chromosome:OR_W1943:6:2403019:2405347:-1 gene:ORUFI06G03430 transcript:ORUFI06G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWGGLGQAATVAQLVGADVGGLISSIIQAAATARQNKRECDQLARRVVMIADLLPHLQDPEVMRRPEVRRPLAELGDTLREAHELVASCQGRSAAYRFVMAGRLADRFRDVQSKIDSYLIVFPFIAHIDITRRLDQIYRILAPNDTAAASSSSSAGSSQSDQIYNILVSNDTTAASSPSSAGSLQSPDALEFARISQGDGGEEFTVKELVAATNNFANEIGRGSSGSVYKGRLRDGREVAIKSLVKTSPDHGREESLMRGLAILSRLRHDHIVRLLGFCVVREKKRESTLLLSFRKKKKAAAERQAGELLLVYDYMENGSLADQLHGHLSSSSSSSPVMASWKMRIKMLLGVSRGIQYLHHGATTTPIIHGDIKLSNILVDSSWVPHLTDFGAAVINGMERPSTVVHGTAGYIDPEFYSTMNQTRSSDVNSFGVVMLEMLTGKRPIFIDRKEEGEVTNLVAFSLPIIEDGELGRLLDRRPAEPTARQLEALEMVARTAARCVQLQRKERPAISEVVAILETALDLLLRDGAKSQVQGSAV >ORUFI06G03440.1 pep chromosome:OR_W1943:6:2411447:2415889:-1 gene:ORUFI06G03440 transcript:ORUFI06G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTASASASEAAESGRRSAPGPIDVPSPRDHLHHLLDRRDTPRVVHVEGTTMQRQRGEAAGDAGAAAAAKPEVKLVTGDGGYVLEDVPHVCDYLPDLPTYSNPLQDNPAYSVVKQYFVNPDDTVCQKAIVHKDGPRGNHFRRAGPRQRVFFESDEVHACIVTCGGLCPGLNTVIREIGGYRGFYACNTIDLSPKSVNDIHKRGGTVLGTSRGGHDTMKIVDSIQDRGINQVYVIGGDGTQRGAGVIFEEIRRRGLKVAVAGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAGSAENGIGLVKLMGRHSGFIAHYATLASRDVDCCLIPESPFYLEGEGGLFRYLEKRLKENGHMVIVVAEGAGQKLINETKESMGKDASGNSILLDVGLWLSQKIKEHFKKIKTTINLKYIDPTYMIRAIPSNASDNVYCTLLAHSVVHGAMAGYTGFTVGQVNGRHCYIPFYRITEKQNKVSITDRMWARLLSSTNQPSFLSKKDVEDAKMEEERASKFFDGPPPNPKVEDKVASNGKAVK >ORUFI06G03450.1 pep chromosome:OR_W1943:6:2417236:2420665:1 gene:ORUFI06G03450 transcript:ORUFI06G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEAAGGRRGVAAGERRKAKAKEAAVGAMARALFYPTLLYNVVRSKVQAEFRWWDEVDQFILLGAVPFRRDVPRLQKLGVYGVITLNEPFETLSRGIDHLVIPTRDYMFAPSLVDISRAVDFIHRNASCGRMTYIHCKAGRGRSTTIVLCYLVKYKNMTPSTAFEHVRSKRARVLLTRSQWRVVQDFSKKNAEAELPTVTSHSAAASPAGNVVSVTEADLESSEVTAANIPDITEHASLSSHKTTPTKPMTNMLSCLIPSLK >ORUFI06G03460.1 pep chromosome:OR_W1943:6:2422126:2425517:1 gene:ORUFI06G03460 transcript:ORUFI06G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQAYVDDHLMCEIDGNHLTAAAIVGHDGSVWAQSPNFPQYKPEEITGIMKDFDEPGSLAPTGLFLGGTKYMVIQGEPGVVIRGKKGTGGICVKKTGLSLILGIYDEPMTPGQCNMIVERLGDYLIEQGC >ORUFI06G03470.1 pep chromosome:OR_W1943:6:2427094:2431627:1 gene:ORUFI06G03470 transcript:ORUFI06G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNACGAAEARVLCCADEAALCTACDEEVHAANKLAGKHQRVPLLSDDGGAAPAAAAPAVPKCDICQEASGYFFCLEDRALLCRDCDVSIHTVNSFVSVHQRFLLTGVQVGLDPADPVPPVADKHVKSAGGSVDSATKHLQRNPTDLSGENSASLPSQNVINGNYSRQSSVTMAKTGQVNWTMSNNTIRSIDPPPKYSSEESPALLLASHTSTMAAYSSQISKDSDRIYNLPFTGGNGSDSLHDWHVDEFFSNSEFGFAEHGSSKGDNAKPGSAGGSPQCRLAEGLFVEGLLGQVPDNPWAVPEVPSPPTASGLYWQNNLLCPSYDSTMFVPEISSLENSQNNFTVSAGLKRRRRQF >ORUFI06G03480.1 pep chromosome:OR_W1943:6:2431857:2441723:1 gene:ORUFI06G03480 transcript:ORUFI06G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVAPSLRRAILTSSAHGRAGAQLTEPGLSPRHALLPQWRLCSSAASTNSPPPPPPPPSSPPQGTPRPAGGSTVSSLNPAEVAKFAAIAETWRDPNSSKPLEGLKVIDVGCGGGILSEPLARMGATVTGIDAVDKNIKIARVHAASDPSTASIEYFCTTAEDLVKENKQFDAVISLEVIEHVANPSGFCESLSALTVPNGATVISTINRSMRAYATAIVAAEYILNWLPKGTHQWSKLVTPEELVLILERASISVQEMAGFVYNPLRGEWSLSDDLTDKSGPSFSYPTAQIFLAGPPSSSVNSRPIITSTTTAAAVSAITLSLPPVKSRLEQAMLRRLPPCLRRALPASSSSNSAPRRGVRLAEHGQSPPQSAPPPPSPATQKDGAVRTPGGGSAAEVAHFASFAETWWDTEGPFKHLLVMNPTRVSFIRSILCKHFRRDPNSSKPLEGLKIIDVGCAAGMLSEPLARMGATVTGIDAADESIKIARVHAASDPLTASIEYLCTTAGCRHHLQDSEVQRFLVIEHVDNPSEFCGSLSALTVPNGAFVISTINRSIRAFATMIVALEYIFHWIPKGTHHWSKLVTPDELVQMLEKASIYVQEMAGIGYNPWRGDFSMSKDTSVDYFAYGIKKVETPSVVSQTQA >ORUFI06G03480.2 pep chromosome:OR_W1943:6:2431857:2441723:1 gene:ORUFI06G03480 transcript:ORUFI06G03480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVAPSLRRAILTSSAHGRAGAQLTEPGLSPRHALLPQWRLCSSAASTNSPPPPPPPPSSPPQGTPRPAGGSTVSSLNPAEVAKFAAIAETWRDPNSSKPLEGLKVIDVGCGGGILSEPLARMGATVTGIDAVDKNIKIARVHAASDPSTASIEYFCTTAEDLVKENKQFDAVISLEVIEHVANPSGFCESLSALTVPNGATVISTINRSMRAYATAIVAAEYILNWLPKGTHQWSKLVTPEELVLILERASISVQEMAGFVYNPLRGEWSLSDDLTDKSGPSFSYPTAQIFLAGPPSSSVNSRPIITSTTTAAAVSAITLSLPPVKSRLEQAMLRRLPPCLRRALPASSSSNSAPRRGVRLAEHGQSPPQSAPPPPSPATQKDGAVRTPGGGSAAEVAHFASFAETWWDTEGPFKHLLVMNPTRVSFIRSILCKHFRRDPNSSKPLEGLKIIDVGCAAGMLSEPLARMGATVTGIDAADESIKIARVHAASDPLTASIEYLCTTAGCRHHLQDSEVQRFLVIEHVDNPSEFCGSLSALTVPNGAFVISTINRSIRAFATMIIPKGTHHWSKLVTPDELVQMLEKASIYVQEMAGIGYNPWRGDFSMSKDTSVDYFAYGIKKVETPSVVSQTQA >ORUFI06G03490.1 pep chromosome:OR_W1943:6:2442274:2445520:1 gene:ORUFI06G03490 transcript:ORUFI06G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAAAAGGGGSHRSVHHAHLAALLNPSPRSPPHPHPLQLHRRHLPLSLPAARRLAAAFPPLPLLLSLLAALRLLPSPPPPRPFDALIRSYASLPRPSLAAAALAFAASAGYAPSVPAYNAVLLALSDASLPSARRFLSSMLRHGVAPNVYTYNILVRALCARGRLEEAVGVVGDMRGAGCAPNAVTYNTLVAAFCRAGELDGAERVVSLMREEGNAKPNLVTFNSMVNGLCKAGRMEGARKVFDEMVREGLAPDVVSYNTLLSGYCKVGCLHESLAVFSEMTQRGLVPDVVTFTSLIHATCKAGNLEQAVALVAQMRERGLRMNEVTFTALIDGFCKKGFLDDALLAVEEMRKCGIQPSVVCYNALINGYCKLGRMDLARELIREMEAKRVKPDVVTYSTIISGYCKVGNLDSAFQLNQKMLKKGVLPDAITYSSLIRGLCEEKRLNDACELFENMLQLGVQPDEFTYTTLIDGHCKEGNVEKALSLHDEMIRKGVLPDVVTYSVLINGLSKSARTKEAHRLLFKLYHEDPVPDNIKYDALMLCCSKAEFKSVVALLKGFCMKGLMKEADKVYQSMLDRNWKLDGSVYSILIHGHCRGGNVRKALSFHKQMLRSGFSPNSTSTISLVRGLFEEGMVVEADNAIQDLLTCCPLADAEASKALIDLNRKEGMDYFQAQGEIWYSESSPSVEYWNYMMTICHFGIKDYKMLKYACTYTPRELLISTNGGTEDKNEQWNND >ORUFI06G03500.1 pep chromosome:OR_W1943:6:2450454:2453867:-1 gene:ORUFI06G03500 transcript:ORUFI06G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEPMSRSSSSFFRRDAAGNEERAALTTPPPLPAEDDMGGAPAGRRRWPSSVMRMKGVGSVMVGVVFLALLILVHRWVGLDASFLRDSSMVSTSTRQWHPHHNISTPPLMTLPPFSCGNGTAAPATCPATPPSPPPTSKPATGGEPAASCPDYFRYIHDDLRPWRGAGITREAVERGRRHAYFRLVVVSGRAYVETYRRSYQTRDAFTQWGVAQLLRRYAGRVPDVDIMFACDDRGRVRAADFAAAPADAPPVFRYCRDATTLDVVFPDWSFWGWPEVNIGAWPATLEAVRRESARVRWPEREPFAFWKGNPGVARIRGELMKCNPASDGKDWNARLFSQDWNHAIHNGFKDSSIPKQCLHRYKIYIEGEAWSVSEKYIMACDSPVLFVNTPYQDILSRGLVAGEHYWPINRTRMCESIRAAVDWGNAHPAAARRIGEQGSRFVREQMAMDYVYDYMFHLITEYAKLLRYKPTVPANAVEICAESMACAAAAGRERECMDESVEGFVAGFDPCSLPPPFTEEEKREIAAKEEEVLRKVAKLEEENM >ORUFI06G03510.1 pep chromosome:OR_W1943:6:2456780:2458885:-1 gene:ORUFI06G03510 transcript:ORUFI06G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADDLARRLAAFIPLPQPPQKEQLSGVAAAVLDAGGRLGRAVGDVFRRLRIDEGLDVALAQRHRRNGGSRIAAAAAAVDARVSKDSGGGGVAGHDPVGVSGRRRSWVQGSMNLSATYDSRTSDVESSVVARGDLWRAEASHSSAAAAAPPLFMVQLGPVLFVRDTTLLFPVHLSKRHLIWYGFERKNGVHSVCPAYWSAHRRWFFMSMICLNPFTCSFMDMQFPNGQLRYVAGDGFTTRAFLPLCRGIFQAHAKFPGEKKFSYSFKNRSGGSITPMVQWPDKSFSLGTIQTLSWKRCGLIICPTFGGSRPGLSMELIHSVNENAGVVCGYSHTASPSAYASISIGRSKLNGSAASSGLVLRVDAPLHSFGRPWFSIQMNSGLEF >ORUFI06G03510.2 pep chromosome:OR_W1943:6:2456780:2458885:-1 gene:ORUFI06G03510 transcript:ORUFI06G03510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADDLARRLAAFIPLPQPPQKEQLSGVAAAVLDAGGRLGRAVGDVFRRLRIDEGLDVALAQRHRRNGGSRIAAAAAAVDARVSKDSGGGGVAGHDPVGVSGRRRSWVQGSMNLSATYDSRTSDVESSVVARGDLWRAEASHSSAAAAAPPLFMVQLGPVLFVRDTTLLFPVHLSKRHLIWYGFERKNGVHSVCPAYWSAHRRWFFMSMICLNPFTCSFMDMQFPNGQLRYVAGDGFTTRAFLPLCRGIFQAHAKFPGEKKFSYSFKVSCQMNSKPYLNLLHLNSEASLLHRINRSGGSITPMVQWPDKSFSLGTIQTLSWKRCGLIICPTFGGSRPGLSMELIHSVNENAGVVCGYSHTASPSAYASISIGRSKLNGSAASSGLVLRVDAPLHSFGRPWFSIQMNSGLEF >ORUFI06G03520.1 pep chromosome:OR_W1943:6:2465211:2465516:1 gene:ORUFI06G03520 transcript:ORUFI06G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYCGGRVAAAAVLLALLVAVVAPPATTCADAARVLLGGELAAAAAATRVEEQDVKTTTTQAAAAAPPPPPSFARWRTAAGNAAAARFLGSVPSPGIGH >ORUFI06G03530.1 pep chromosome:OR_W1943:6:2471149:2472586:-1 gene:ORUFI06G03530 transcript:ORUFI06G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPDSVPENLKAIDIVVNHENGKNCSIRSTIFLVDDNSSSSCAGGGDDDYHYLDACFLCKRDITSTATSSCTSTYHDLN >ORUFI06G03540.1 pep chromosome:OR_W1943:6:2475787:2481409:1 gene:ORUFI06G03540 transcript:ORUFI06G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKPEGDAASAAAAAEGGSPRSGYFRQRSMYAADPDGVGAATPRKAFDVENPPGGAGGLRPSESVTKLESLERAERAALAPAVVLKTGFYILVWYAFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAGLSKIIMLFQTKGVENAVEMGWKDYFMRVVPTALGTALDINLSNASLVFISVTFATMCKSASPIFLLMFAFAFRLESPSIKLLGIIVVISTGVLLTVSKETEFDFWGFIFVTLAAVMSGFRWSMTQILLQKDSYGLKNPITLMSHVTPVMAIATMVLSLLMDPWSDFQKNTYFDSPWHVMRSFLLMLVGGTLAFFMVLTEYVLVSATSAITVTIAGVVKEAVTILVAVFYFHDEFTWLKGLGLATIMVGVSLFNWYKYEKYKKGHINEDEVNSPSFDGDAKYIILDDLEDQDEFQDEDT >ORUFI06G03540.2 pep chromosome:OR_W1943:6:2475787:2481409:1 gene:ORUFI06G03540 transcript:ORUFI06G03540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKPEGDAASAAAAAEGGSPRSGYFRQRSMYAADPDGVGAATPRKAFDVENPPGGAGGLRPSESVTKLESLERAERAALAPAVVLKTGFYILVWYAFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAGLSKIIMLFQTKGVENAVEMGWKDYFMRVVPTALGTALDINLSNASLVFISVTFATMCKSASPIFLLMFAFAFRLESPSIKLLGIIVVISTGVLLTVSKETEFDFWGFIFVTLAAVMSGFRWSMTQILLQKDSYGLKNPITLMSHVTPVMAIATMVLSLLMDPWSDFQKNTYFDSPWHVMRSFLLMLVGGTLAFFMVLTEYVLVSATSAITVTIAGVVKEAVTILVAVFYFHDEFTWLKGLGLATIMVGVSLFNWYKYEKYKKGHINEDEVNSPSFDGDAKYIILDDLEDQDEIFMP >ORUFI06G03540.3 pep chromosome:OR_W1943:6:2475787:2480730:1 gene:ORUFI06G03540 transcript:ORUFI06G03540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKPEGDAASAAAAAEGGSPRSGYFRQRSMYAADPDGVGAATPRKAFDVENPPGGAGGLRPSESVTKLESLERAERAALAPAVVLKTGFYILVWYAFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAGLSKIIMLFQTKGVENAVEMGWKDYFMRVVPTALGTALDINLSNASLVFISVTFATMCKSASPIFLLMFAFAFRLESPSIKLLGIIVVISTGVLLTVSKETEFDFWGFIFVTLAAVMSGFRWSMTQILLQKDSYGLKNPITLMSHVTPVMAIATMVLSLLMDPWSDFQKNTYFDSPWHVMRSFLLMLVGGTLAFFMVLTEYVLVSATSAITVTIAGVVKEAVTILVAVFYFHDEFTWLKGLGLATIMVGVSLFNWYKYEKYKKGHINEDEVNSPSFDGDAKYIILDDLEDQDEFQDEDT >ORUFI06G03550.1 pep chromosome:OR_W1943:6:2482151:2488757:-1 gene:ORUFI06G03550 transcript:ORUFI06G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEREGPEEARASSSSSLSWKEGGAAPPLTATVARGGADLSHAPTPASHSGSHGGGGGGGWVGEGGIGGVDKGGIGEVAVVSVGVGEGHGRGDRVVADEEHWIEVNGRGTGSPVFRGLGVSKARKIYVTKVLDRRPRREARRLSGIIVLSDGKDIRLLKESLQLVRRDKFGQVKGTKSFEESDTAVAVRRRFRTYTFGFGSYHDPRTLYYLASQGFGTYSFVNESVQNIRDAMALCIGGLTSIVAQDLEVTIRAAHPGVEISSVDSGCHDVLLSSNKHKSIVHIKDLLGDEEKNLIVYRRPKKKLLDGHDLSPEVACEMYRLDVVSRVWGIWTAIPVTTNPAYTTIKGAVKLWEIEGLDQDDVVNQLESLLAAIDPSVQPSADPDMAALRRRLYNDLDKMRTKFSKNVMAGLPYMLSWLSSHRCQRAATRVSASDSCFLTVRMKNMIASVETALAYIIQ >ORUFI06G03560.1 pep chromosome:OR_W1943:6:2491644:2494611:-1 gene:ORUFI06G03560 transcript:ORUFI06G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAVHEGTACMAPAGLQGAGIRGNSSLEAAVVAEVSSAIQKLLVWVYNTQGRWPRIAEYNMEDLNSKVRELVRVMAHVGSTMSEAWSNGRPPIHKADMWIWRGIELRDSAMDVQSRFDELVRNAPCLSICNTPQYLRKRCLLNFEAVVCLNRITGHLVRGSQENYASGSVERVSRPPKDDHEFASLSKSSPQDHGWAFPAVCQFLDKPSSTVAQEPAVTGRTPVHAADSSGLAQQQEEAVATAAGKVQLGVFTEVPAISSQRREKLAVMVRVKAPAYTKQTRAPLDLVMVLDIGGRMRELEQLKQGAKFIIHNLTQQDRLSIVTFGPRADRLSELTPMTEQDKRSSNDAVQALEASGGVKIGAGLNVAYQREVFFIRTSTSNQIYAVFLN >ORUFI06G03570.1 pep chromosome:OR_W1943:6:2501124:2502707:-1 gene:ORUFI06G03570 transcript:ORUFI06G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRHREQLPLGADLERLLAEEVLYLHSLWRRAAPAPIPPRGSGSVATLRRVDRRRRRRLERRAQEQQREESGPEWPLAPSPPASPTTWHDNKAASSPAQRPPQQKQPSPGSLSQRAALRAAEEFFSNRGSDDDDEVVEEEGSESEGEEAAGFFMGLFERDAALRGHYERGWEGGEFVCMACVGRKGKARRFAGCVGLVQHARAATRCGRPRAHRAFAAAICRVLGWDIDRMPSVVIDPRGTLGQALAAAEAAAAVTAQENNVDAVEKTISSEDQVAEKEDVETGKNDGSLSDVDAMKENSNVGKNSSSINDNNGDVHEKGNGGAYEKLIHVDLDSNTITNMICNANLKGHNLLHTRELKKKASVLSKQACSSQSGM >ORUFI06G03580.1 pep chromosome:OR_W1943:6:2503713:2506272:-1 gene:ORUFI06G03580 transcript:ORUFI06G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVSAMENNFAAHAAGGEDDGGLFGAGADLPAMELPTCPADFDGFQKETKEMLKHKKGTTTLAFIFDKGVIVAADSRASMGGYISSQTVRKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISIAGASKLLANILYSYRGMGLSIGTMIAGWDEKGPGLYYVDSEGARLMGSRFSVGSGSLYAYGILDEGYRYVMPVEEAAELARRAIYQATFRDGASGGCVSVYHVGPNGWTKLSGDDVGELHYKYYPVEATPVEQEMADAPAA >ORUFI06G03590.1 pep chromosome:OR_W1943:6:2507075:2510504:-1 gene:ORUFI06G03590 transcript:ORUFI06G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALPRSRLGFGFFASMSSSAARVGGGGGRDPSNNPAVGRLRELVQRGDAADGWEKSWEAAVTPWDLGKPTPIIEHLVKSGTLPKGRALGYDVVALASPERFVVGLDISSTAVEKAKQWSSSLPNADCFTFLADDFFKWKPSEQFDLIFDYTFFCALDPSLRLAWAETVSGLLKPHGELITLIYLVTEESIYSFVYFSIEDVMISDQEGGPPFNNTVTDYQKVLEPLGFKAILMEDNELAIKPRKGQEKLGRWKRFVPGSSL >ORUFI06G03590.2 pep chromosome:OR_W1943:6:2507075:2510504:-1 gene:ORUFI06G03590 transcript:ORUFI06G03590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHALPRSRLGFGFFASMSSSAARVGGGGGRDPSNNPAVGRLRELVQRGDAADGWEKSWEAAVTPWDLGKPTPIIEHLVKSGTLPKGRALGYDVVALASPERFVVGLDISSTAVEKAKQWSSSLPNADCFTFLADDFFKWKPSEQFDLIFDYTFFCALDPSLRLAWAETVSGLLKPHGELITLIYLISDQEGGPPFNNTVTDYQKVLEPLGFKAILMEDNELAIKPRKGQEKLGRWKRFVPGSSL >ORUFI06G03590.3 pep chromosome:OR_W1943:6:2507075:2510504:-1 gene:ORUFI06G03590 transcript:ORUFI06G03590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHALPRSRLGFGFFASMSSSAARVGGGGGRDPSNNPAVGRLRELVQRGDAADGWEKSWEAAVTPWDLGKPTPIIEHLVKSGTLPKGRALGYDVVALASPERFVVGLDISSTAVEKAKQISDQEGGPPFNNTVTDYQKVLEPLGFKAILMEDNELAIKPRKGQEKLGRWKRFVPGSSL >ORUFI06G03600.1 pep chromosome:OR_W1943:6:2511465:2513642:1 gene:ORUFI06G03600 transcript:ORUFI06G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEEEEEEVEEGRSSSSAILDLPEPLLLHILSFLTDVRSRHRAALACGRMRAAERATRALTTHPSATAALTHLDLGLAAATDGFKSSELGPIAASCPNLRKLVAPCLFNPRFSDCVGDDALLSLATSCPRLTVLRLSEPFEAAANIQREQAAITVAGLVAFFAALPALEDFTMDLQHNVLEAAPAMEALARRCPRIKFLTLGSFQGLCKASWLHLDGVAVCGGLESLYMKNCQDLTDASLAAIGRGCRRLAKFGIHGCDLVTSAGIRRLAFTLRPTLKEVTVLHCRLLHTAECLTALSPIRDRIESLEINCVWNTTEQPCSVANGTTTECDPEDDELGEVYESAAKKCRYMEFDDLGSWEMLRSLSLWFSAGQLLSPLISAGLDSCPVLEEISIKVEGDCRTCPRPAPRTIFGLSDLAGFPVLAKMKLDLSEAVGYALTAPTGQMDLSLWERFYLHGIESLQTLYELDYWPPQDKDVHHRSLTLPAVGLIQRCVGLRKLFIHGTTHEHFMTFFLSIPNLRDMQLREDYYPAPENDLMFTEMRAESWLRFEVQLNSRQIDD >ORUFI06G03610.1 pep chromosome:OR_W1943:6:2529449:2532684:1 gene:ORUFI06G03610 transcript:ORUFI06G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSQRKRGPDHLLILVHGIIASPSDWTYGEAVLKKRLGDNFFIYGSYIGLHFANENNSFLAIKLTVNYIIAASSSNIYTKTFDGIDVAGRRLANEVLDVIQKMAGLRKISFLAHSLGGLFARYAISILYSTAMKDASQSAACIAPTTGGSEKLECTSGLGAIAGLEPINFITLATPHLGVRGKNQLPFLQGLSILEKIAAPLAPLVVGRTGAQLFLTDGEPSKPPLLLEMASDHEDKKFIYGRMANIFNKKRKRPYKVIFFLLHMQPSHRSLDGYKHIVNMEYCSPISSDGPHFPLQAARAKEAAQSRPNKENTEEYHEMMEEEMIHGLQRVGWKKVDVNFHTALWPYFAHNNIHVKNEWLHNAGAGVIAHVADSIKQQESRKYFRANL >ORUFI06G03620.1 pep chromosome:OR_W1943:6:2533415:2539251:-1 gene:ORUFI06G03620 transcript:ORUFI06G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAQPPDTEMAEAGGGQQPPAAAAAAGAGAGAGMMENIQATLSHGGRFIQYNIFGNVFEVTAKYKPPILPIGKGAYGIVCSALNSETGEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPPQRNSFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNEADLDFVNENARRYIRQLPRHARQSFPEKFPHVHPLAIDLVEKMLTFDPRQRITVEGALAHPYLASLHDISDEPVCSSPFSFDFEQHALSEEQMKDLIYQEGLAFNPDYQ >ORUFI06G03630.1 pep chromosome:OR_W1943:6:2543110:2543724:-1 gene:ORUFI06G03630 transcript:ORUFI06G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERELIDRDKLVLIDLQFHGFHGVKSEEKTLGQKFVVDVDAWMDLSVAGETDSISDTVSYTDIYGIAKDVVEGPSRNLLEAVAHRIASNALLKFPQISTIRVKVGKPHVAVRGIVDYLGVEILRHRKDVGGDRQELH >ORUFI06G03640.1 pep chromosome:OR_W1943:6:2546185:2548734:1 gene:ORUFI06G03640 transcript:ORUFI06G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASRPDRLPSLSLSLSRSLSHNHRRHHPLRPARSPLPSSLSHTRRRRRRRRRSDVDSHLQIDATGAPSRRFYSRRKNAIASPHRSPTTPPRAAADLRRKNNVAPPHRSPPTIFRAVEAEEAAIHNRQRQRDAAAASRSTAAYPYSRHRSSSAERFRAPFATPTPSLICLLSPDKYP >ORUFI06G03650.1 pep chromosome:OR_W1943:6:2550717:2554760:1 gene:ORUFI06G03650 transcript:ORUFI06G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQVGGRRRRRPAVEERYTRPQGLYPHPDIDLKKLRRLIVEAKLAPCFPGSDDPRADLEECPICFLFYPSLNRSKCCAKGICTDEDAHLVPADAVSLAQFLCPYCKMASYAVEYRGVKTKEEKGTEQIEEQRVIEAQIRMRQQELQDDAERMKKKQAAALTDVVTTAQVEHCDTGGASTTVKSSGQGSDMLSSQVQHAELLLKTSERLKQMRNNNFDMDPDEVMLVEALWLSLQDQEASGNPTCGNTVSSVHPPRSFEGSMTIPAEAASSSSAFACAVAALAEQQQMYGEASSTATCHTSRCDILSRSDRSFTEDLSINGSGSSGARSEEPSSNKMHQTREGMEYSNERWSEMAEASSSFTGSDLTTEAGAANSGGSDTGAGSIPDSFEEQMMLAMALSLADARAKASSPGLTWR >ORUFI06G03650.2 pep chromosome:OR_W1943:6:2550717:2554760:1 gene:ORUFI06G03650 transcript:ORUFI06G03650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQVGGRRRRRPAVEERYTRPQGLYPHPDIDLKKLRRLIVEAKLAPCFPGSDDPRADLEECPICFLFYPSLNRSKCCAKGICTECFLQMRTPTSCRPTQCPYCKMASYAVEYRGVKTKEEKGTEQIEEQRVIEAQIRMRQQELQDDAERMKKKQAAALTDVVTTAQVEHCDTGGASTTVKSSGQGSDMLSSQVQHAELLLKTSERLKQMRNNNFDMDPDEVMLVEALWLSLQDQEASGNPTCGNTVSSVHPPRSFEGSMTIPAEAASSSSAFACAVAALAEQQQMYGEASSTATCHTSRCDILSRSDRSFTEDLSINGSGSSGARSEEPSSNKMHQTREGMEYSNERWSEMAEASSSFTGSDLTTEAGAANSGGSDTGAGSIPDSFEEQMMLAMALSLADARAKASSPGLTWR >ORUFI06G03660.1 pep chromosome:OR_W1943:6:2554717:2559865:-1 gene:ORUFI06G03660 transcript:ORUFI06G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYVTIILLLLLPAAVSGAASGGGGCIGAMVDDTSRAGKEEKLAMEMAMEDFTVSGVDVGSPAVAVVLCTMASNGDPVRAASAALSLINERGARALVGLHSWQEAAFVAEIGRQAMVPVLSFAAAAAPSTSRRWPFVVRVARGQHAQMRAVAAVVGSWQWRRVAVLYEDADYGGGAGVFPHLADALRAVGSEVDRRFPVPASPSGDALRRSLGDLMGGQCRVFVVHTSAKVAVALFAEASRMGMMATGYVWIVTDAIAGAIDSLDAAAVSTMQGVIGVRNHISMDTNSKNTRDRLIARLRKRFRSQYPGDDDDGGGGGGGDNDKTRGPHYPALLAYDTIVAVASAMRKTNATAPTRATDPNPSSPDSGETIKIAVSSNGTELLREVKSVRFRGVSGEFGFVDGEFSPPVRFQLINVAAPRYHELGFWSPEHGFSKSAGGCSHRGGDGGGECEPSMRFLGPVIWPGKPWDVPRGWAPPANGSPFTVAVPEKAAFPDFVKVTRHHGRGGDDDDEPSFEGFSIDVFKAAVEHLPYNFHYKFVSFNGTYDSLMQHDYLKSYDILVSDTSISSGRYKFVEFSQPYTESGLRGHVGPFVDLPPAAVGLYNGVAIWLMERRHNGDYRGGVWKQVTIVLWLSLAALLSPGEKERRLRSSLSKASMAVWLLVAVVLATNYTASLSSLMTAQRLGREAAVTAESLRSAAGAVVGCTEGSVVGRYLEEVLMFPGHRVRRLAGDEEHRRALVSGEVKAAFLRVSHAKLLLAKYCNELMTTGPVYHVAGLGFVFPKGSPLLADISQAILEVFENGTIQRLETAMLSAYNCTAAAAAAAMDGGAGDLYRLGPENYWGLFLMTLFASTASLAAYGVFFHHDTSCGSGGGGGGAVITGGHRKQGDGRKDSATVDPGGSSHGDEAPSSASVTAGHGGKDTEMVVISMA >ORUFI06G03670.1 pep chromosome:OR_W1943:6:2563815:2564060:-1 gene:ORUFI06G03670 transcript:ORUFI06G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRFLAMKPMGWCGIRRRWKKLSEGRRDGGSEDGSPVEIDANQAGIEVDRRLGKELEGDNSESVWHEGSKDGEDEDRHDS >ORUFI06G03680.1 pep chromosome:OR_W1943:6:2566956:2567615:-1 gene:ORUFI06G03680 transcript:ORUFI06G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASAAEFTDNPAATATVAARHGVDGGGSRVVVPLFLVTVSLFFVTYQLFGVAAAAGAMVLDVCAVALAALNVTVTRARRFPFLRLSLGGEEGHGGGGGAGGGDVAPAAAGMDAAAIMALPAAFGYKREQTAAAAGGWAQCSICLGLARVGEAVRRLPSCGHLFHVDCIDMWLHAHRTCPRRVAARARDVPAVPRRRVRRRAGAGAARVTVSRGDCSHC >ORUFI06G03690.1 pep chromosome:OR_W1943:6:2573693:2577888:1 gene:ORUFI06G03690 transcript:ORUFI06G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWFKTIISKKKSKRGKSKHAKVAGQVPNGPNSTNQKSNNGPSSSSDPEDNAALEEWAATRIQNAFRCYKAKKTLRCLKGVKRLHIIGQTNPVNKQTAATLNYIQSWNKLQAEIRNRRAFMVTEGRNRKKKQENQMKLEAKLQNLQVEWNGGSDTMEEILGRIQQREEAAVKRERAMAYAFNHQWRARSATSLGNFNYEVGKGGWGWSWMDRWIAARPWEPRSLVHPENLKKGQAKKENASTNPSALKLQGSISLSNNINDRKAPKKKSSPSPPDQKKPVAASPPDQKKPVALSPPDHKKTVTPSPPDQKKPVARVQKAKAAGPPKAKPKDMKGGQQKKQQQLEVPSLSV >ORUFI06G03690.2 pep chromosome:OR_W1943:6:2573948:2577888:1 gene:ORUFI06G03690 transcript:ORUFI06G03690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWFKTIISKKKSKRGKSKHAKVAGQVPNGPNSTNQKSNNGPSSSSDPEDNAALEEWAATRIQNAFRCYKAKKTLRCLKGVKRLHIIGQTNPVNKQTAATLNYIQSWNKLQAEIRNRRAFMVTEGRNRKKKQENQMKLEAKLQNLQVEWNGGSDTMEEILGRIQQREEAAVKRERAMAYAFNHQWRARSATSLGNFNYEVGKGGWGWSWMDRWIAARPWEPRSLVHPENLKKGQAKKENASTNPSALKLQGSISLSNNINDRKAPKKKSSPSPPDQKKPVAASPPDQKKPVALSPPDHKKTVTPSPPDQKKPVARVQKAKAAGPPKAKPKDMKGGQQKKQQQLEVPSLSV >ORUFI06G03700.1 pep chromosome:OR_W1943:6:2578149:2579684:-1 gene:ORUFI06G03700 transcript:ORUFI06G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGRGEDDNEVDSWVKKESEWEEAGDGEEDDYSDETEYDDDDDESSDEDNDYFSMENRDVRFASEEKWESQIMEQMKFSVSTADPFDIRTIWKGSLHVDGPCQSLDPNLLSMNNFMPQLPLRMKKKNNEEPCRRAIQVFGLTVSSPDNVVQEIYGMFAFRDIRNSQERNFIFEYPRDRPFTLKPGSDKVQPLIQPPRGIYAIGPVVMEYHLMIKGQEEQEDRVLVDGYSIYCPSFYKERSRFHWHIDTGHCGAIDLKMAAVPNAVLATVEIEVIRLGGTHYDSLAIVVALSIIKGMYLVFDGKVSVGKLLPFTVCINREMHLKLFVYGYSSSQIGHGDCSPDGVVSDYDNDGFFSASEDVYYDVLNFIPQFGTYKKMSHNLEDMDVSVTVTWSSLY >ORUFI06G03710.1 pep chromosome:OR_W1943:6:2579978:2581457:-1 gene:ORUFI06G03710 transcript:ORUFI06G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPPPPQMRVRVMETVHLRPPPADDAASFALSGLDTDRNVLDVTFRTLRFFPPPSLELDPLAVLPRAFAAALGMFVPLAGRIGDGGRVVWSAADAVPLVLAAADDVSVADVDTDSPGSDLLERLVPGDGDGDGVAGSPALALQVTRFACGGVALGMRVAHALCDGAGATKFLSAAARFARGAQEPAAVAPVWEREDRLGPRRPPRVVKPFERVLSLDDAAAAVHGPYGAAGDAQGQIARECFHVSDARVEELRAQLAGEAGIKLTTFEFLAAFIWRARTKARRTSPDEVVKMVYSMNISKLLTPPLPDGYWGNVCVPVYVALTAGELVAQPLADTAAMVKKSKQEVDDEYVRSYIDFHELHRGGGVTAGRGVSAFTDWRRLGHSEVDFGWGSPAAVLPLSWRLLGSTEPCFFVPYGAADERRRRGFKVFVAVPAMATHCFREEMQELSLQRHCLRSKEKL >ORUFI06G03720.1 pep chromosome:OR_W1943:6:2581740:2586100:1 gene:ORUFI06G03720 transcript:ORUFI06G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGVSLVPPLPLHFPRRAPYGFRSAAPRRIAVCPLLSVGRRRRLGAPPRAARGGGEGPEEEMRRLLELLPGELRRRVEGHPELPALVEVVMDLGRPPLARFPSGDFLLSQSPISFDDLRHATSQVGDFGADNRAGISRTLHRISAIRNRKGAIIGLTCRVGRAVPGSANLLQDLVKDGGSLLLIGPPGVGKTTVIREIARMLADDYRKRVMIVDTSNEIGGDGDIPHPGIGNARRLQVPNQDMQHKVLIEAVENHMPQAIVIDEIGTKLEAMAASTIAQRGIQLVATAHGITIENLIMNPSLDMLVGGVQSVTLGDEEANRRGVQKTVLERKGPSTFTCAAEIVSKIELRVHRSLEDTVDALLAGKMPNVEIRKVGSKGPVQEVYVQKERLDLGPSEGATQLDTDSLSNARRSLDSAFNLDPAEGHIGRSTEAEPDLNLYAYGISESTALQAIKQLELEDIVTLTYNISEADAVIALQSKLKKNTQIQAVVKSQDIPVFFTKTNSLVQIRRALRALVDDHTDGLMDFEDTEVRSSEETDALEEARLAIEQVVIPKGESVQLLPRPPSIIASQVDLVESFKLKWESIGQEPNACLRILPQFVGVEEGGKSVKQEAATELTDSDNSDDMDYKQNGVSRLPFLPE >ORUFI06G03730.1 pep chromosome:OR_W1943:6:2588442:2588723:1 gene:ORUFI06G03730 transcript:ORUFI06G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSSSTLRSPLVRAAVVLMLLLVVMSAAVSRGEPDHDHVQLQLAVITGRRMLVVAGSNTATMISSQTAVAAAMPYSESKRSSPGGPDPQHH >ORUFI06G03740.1 pep chromosome:OR_W1943:6:2592602:2595337:1 gene:ORUFI06G03740 transcript:ORUFI06G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRVRVRVGSDLLLYCTYTHSMLLCFFPIAIPIRRADGANKLRRKESMSGVGFSKIDGNSQQEEEEKEADDLDRDLGMMREELEREVLNTWLWGKDMDSILNEESEWQGTLPGWACPREDNYQSESEFSVDPDECDSFDGYWYEFRYEDGNPYYAAEREERWENQVMEQMKFTVSIAKQRQNDFFCPIFEGSLHVEGPCHLDPDILSTEHLLPQLPKWKNRWVNGYNHRNEPCRRAIQVYDLNVSSPHDEPMEIYGIFAFRDVRNNQQRNHVFQYSRDKPYKLRPGSNKIRPLIWPPRGIYAVGPMLIEYYLVIKGQERKDDKVLIDGHSMYAPSFYSELHRYRWHIDTGHCGTVALEMVALDKAVLGTLELEVLDLGENCFDSLTVVAGYCVQWGQFMIFDGKLSVGKLPPVTLCVDGDGILLLRFFTSNDKSFSHGGGVNDLLEHVTEDVLFGSMSFVPQNEGSSTASGGCSSCMDGLEISATAKWSPLFEQSD >ORUFI06G03740.2 pep chromosome:OR_W1943:6:2592602:2595337:1 gene:ORUFI06G03740 transcript:ORUFI06G03740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGFSKIDGNSQQEEEEKEADDLDRDLGMMREELEREVLNTWLWGKDMDSILNEESEWQGTLPGWACPREDNYQSESEFSVDPDECDSFDGYWYEFRYEDGNPYYAAEREERWENQVMEQMKFTVSIAKQRQNDFFCPIFEGSLHVEGPCHLDPDILSTEHLLPQLPKWKNRWVNGYNHRNEPCRRAIQVYDLNVSSPHDEPMEIYGIFAFRDVRNNQQRNHVFQYSRDKPYKLRPGSNKIRPLIWPPRGIYAVGPMLIEYYLVIKGQERKDDKVLIDGHSMYAPSFYSELHRYRWHIDTGHCGTVALEMVALDKAVLGTLELEVLDLGENCFDSLTVVAGYCVQWGQFMIFDGKLSVGKLPPVTLCVDGDGILLLRFFTSNDKSFSHGGGVNDLLEHVTEDVLFGSMSFVPQNEGSSTASGGCSSCMDGLEISATAKWSPLFEQSD >ORUFI06G03750.1 pep chromosome:OR_W1943:6:2602150:2603318:-1 gene:ORUFI06G03750 transcript:ORUFI06G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSIVLFGDSITEEAFGEGGWGAHLANHYSRSADVVLRGYSGYNTRWAAMVAARAVVAGAAGAAAPPAAVTVCFGANDASLPGRASALQHVPLPEYRDNLRAICALLAAAWPSVVVILITPPPVHDAARVRYQYGGDCAGLPERTNESAGAYARACVEVAAECGLRVIDIWSKMQRFPGWESSFLRVVFEEVVFALKDASLGLEALPADLPLFCDMDPNNPVKSFDE >ORUFI06G03760.1 pep chromosome:OR_W1943:6:2610539:2614410:1 gene:ORUFI06G03760 transcript:ORUFI06G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVVVAFAVASAMFVAVSGQKFNAIFSFGDSMSDTGNLCVNGPPAGLTLTQPPYGETFFGRATCRCSDGRLVVDFLAEKFGLPLLPPSKRGGSDFRRGANMAIIGATTMDSGFFQSLGIGDKIWNNGPLNTQIQWFQQLMPSICGSSCKTYLSKSLFVLGEFGGNDYNAQLFGGYTPEQAAGQSGTIVDGIGKGVEQLIGLGAMYVVVPGVLPVGCFPIYLTLYGTSNAGDYDQYGCLTRFNTLSSRHNSLLQAKVSSLQSKYPWARIMYADFYSHVYDMVKSPSNYGFSTNLRACCGAGGGKYNYQNGARCGMSGAYACSNPSSSLSWDGIHLTEAAYKQIADGWVNGPYCHPPIMS >ORUFI06G03770.1 pep chromosome:OR_W1943:6:2617044:2618869:1 gene:ORUFI06G03770 transcript:ORUFI06G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGALAAAAVDVVGSVLVVCCLCWCAVQPALAGGVGGGGGDGGMRCKYNAMFVFGDSLADTGNICVNKSAAATLLLTFAQPPYGMTYFGHPTCRCSDGRLVVDFLAQELGLPLLPPSKRSGGGGDFRRGANMAIVGATALDFDFLKSIGLGYPIWNNGAMNVQLQWFHHLLPSICATQPQGCRAYLSKSLFLFGSLGGNDYNAMLFFGFTVDQARNYTPKIVDTIITGKLIAMGAAEIVVPGVMPVGCFPLYLTMLRSSNESDYDEHGCLRPLNDLAIHHNALLQARLAGLQARYRSAAAAAPAPVRIMYADYYTMVAQMLHTPARFGFRSGMTACCGAGGGEYNYEFEARCGMKGAAACRDPSRHVCWDGVHTTEAANRLVAGGWLRGPYCHPPILHH >ORUFI06G03780.1 pep chromosome:OR_W1943:6:2619078:2619404:1 gene:ORUFI06G03780 transcript:ORUFI06G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRVSKMLATAKKAAPKLETAFSCPFCDHGGAVECSIDIKHMIAEASCFVCQARYSTTAHALTEPIDVYSEWIDQCELSKAAAAAGDDDDDHHHHHHRKTKRRS >ORUFI06G03790.1 pep chromosome:OR_W1943:6:2620385:2626011:1 gene:ORUFI06G03790 transcript:ORUFI06G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPKVFSSATTSRRATLRRILSTPAFSAACLLFGLAGFLAAALSFSWSPGSAPRARCPDSSRPLSVSVAWDRRPGDASAGAVAAAGAAVDLPASHATGSRGRHKVMAFVGIFTGFGSVGRRRALRRTWLPADRQGLLRLEEATGLAFRFVIGKSNDKSKMAALEREVQEYDDFVLLDLEEEYSKLPYKTLAYFKAAYALYDSDFYVKADDDIYLRPDRLSLLLAKERSHTQTYIGCMKKGPVFTDPKLKWYEPQSFLLGSEYFLHAYGPIYALSADVVASLVALRNNSFRMFSNEDVTIGSWMLAMNVNHENTHALCSPECTESSIAVWDIPKCSGLCHPEVKMLELHRRKECTGGPSAVSESDDR >ORUFI06G03800.1 pep chromosome:OR_W1943:6:2620552:2626596:-1 gene:ORUFI06G03800 transcript:ORUFI06G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKNEPLNVEQELCDPVYLTPEIGGVGCLDGAPQAMIVPGEVSECSGNPFEASVQTVIPATNRRRPCRSAGSHVRRSARRRPTEPNPVKIPTKAITLCLPRDPVACDAGRSTAAPAAATAPADASPGRRSHATETESGREESGQRARGALPGDQEKESAAARKPARPKRRQAAEKAGVERMRRSVARREVVAEEKTFGELGMASANSPPRRRVG >ORUFI06G03810.1 pep chromosome:OR_W1943:6:2626603:2628668:-1 gene:ORUFI06G03810 transcript:ORUFI06G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSSSAARRVVVVVCAAMVVAAAAAQKYNAVYNFGDSITDTGNLCTNGRPSQITFTQPPYGETYFGSPTCRCCDGRVVVDFLGNFDSFFDSFVFLVCLLRRADGGGWWLLQRSTSADFKKGANMAITGATAMDANFFRSLGLSDKIWNNGPISFQIQWFQQISSSVCGQNCKSYLANSLFVFGEFGGNDYNAMLFGGYSADQASTYTSQIVDTISNGVEKLIAMGAVDVVVPGVLPIGCFPIYLTIYGTSSSSDYDSLGCLKKFNDLSTNHNNQLKTKISALQSKYKSARIMYADFYSGVYDMTCCGSGGGKFNYNNNARCGMSGASACSNPASHLSWDGIHLTEAAYKQITDGWLNGPYCSPAILHS >ORUFI06G03820.1 pep chromosome:OR_W1943:6:2628771:2637069:-1 gene:ORUFI06G03820 transcript:ORUFI06G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGAAANQAGRRAISAAWVIDGDTHCPVFLPRRRPVPASSWSSPSDTAKIACDLRLPSRRTFIFASLTPSIPSQVCARQTGLTPPHGLTRSRL >ORUFI06G03830.1 pep chromosome:OR_W1943:6:2644967:2646601:1 gene:ORUFI06G03830 transcript:ORUFI06G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGRDDPLVVGRIVGDVLDPFVRITNLSVSYGARIVSNGCELKPSMVTQQPRVVVGGNDMRTFYTLVMVDPDAPSPSNPNLREYLHWLVTDIPGTTGATFGQEVMCYESPRPTMGIHRLVFVLFQQLGRQTVYAPGWRQNFSTRNFAELYNLGSPVATVYFNCQREAGSGGRRVYP >ORUFI06G03840.1 pep chromosome:OR_W1943:6:2647629:2650055:1 gene:ORUFI06G03840 transcript:ORUFI06G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTVSEXGAALHVQVNHLIYPVSTNVMHQVFNPYGAVAVQMLAVDAWRVEAIVWFRTTCDAEWAQDELHGRNIYDGGCVLDVQHVPTSLEDRADTAPTKCSMQVPGCATTKSDTESTPTTLEHVFPATMSLSAASTKSAVTTTSVSLTEAMEAEASMDKVVENAGKAIQDLCTRIDRILEAFHDTKVDLSENKDSTRDVAELSANTSPTTIALEVSAEAGPTNHVDLAKLGMGTTIECSMKGKNQLVDDDGKDMANDERTELIEVDTKFTSVNLCFRDPWLALNAIPSRILIGCLSHDLGVNSLSLVPSTLEVPYHCFVLGSVCRASSPPVPLWRVAVPWYSDQVFSGSRPSPWPDPWLYSGNGSVVVFQPLQPWPPPLQAKSKGSIVERQLEPWHDPQIKQDNRGVVVNLLQPRLSPDRWNESWFSCDNAWELTQSHCKLLLTEHMALMAHNEENRLEQNLSLCVKVMFSNEAIMKSWDHVAAKRDTDYDISPMFDVSTMTRLLARINLLDSNFGQVDMQTFQASANVVTVVGLKYSPLVVRMAFANHLHAPWDPGGSNLDTLLHVRKDRQQPQLRPLQNAFPDSVRADMKPLLQIMALMTKLIKLYSWPASGRWGDQVLNQVHELCKCSQDQSLFQFTFSTEMKMTWLGDLETIKPTLCMSIKLPDCSFGRMELPWDPGGMNFVPHLHQLEGKLIFKGRGMSCAGHGLHSGPDVGCHRKGGLAQEQVIQSISTHSNKRKGAMNYFEPMAAASSSSSSFSVSSSSSLEFSHPQL >ORUFI06G03850.1 pep chromosome:OR_W1943:6:2658029:2660541:1 gene:ORUFI06G03850 transcript:ORUFI06G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGRNRDPLVVGRVVGDVLDAFVRSTNLKVTYGSKTVSNGCELKPSMVTHQPRVEVGGNDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPGTTAASFGQEVMCYESPRPTMGIHRLVFVLFQQLGRRWGVRPGASDSVRARVASELQHQGLRRALQPRLAGRRRLLQLPARDRLRRQKDLQLANDDPDRSAACSLSSSSMLYIAGSDN >ORUFI06G03860.1 pep chromosome:OR_W1943:6:2664181:2664570:1 gene:ORUFI06G03860 transcript:ORUFI06G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSHLRRAFAPALSHRRAHPAPFLSRLAFLSTSASPDQAAAAAKKGEAAAGGAGKGAAAGEEEEEKKKGGDAGGARKEGEDGGGGGGEYVNKDTGEIGGPRGPAAPPCSDIGGSRDRDGSSLHTGMA >ORUFI06G03870.1 pep chromosome:OR_W1943:6:2667603:2668421:1 gene:ORUFI06G03870 transcript:ORUFI06G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDANMITSMHALRINADDSIFNFPFLPINKSHLIQIRLDQRALLSPNNVHFHDVDGGGGRRGAPRRRRRRRARGGGDVGPVRPRGDGVRRVHGVRRRRGARGVAPLLPCPRRHQGPRRHRRGAPGRVRVHPVGDARRWRRQGRLRPRRRPPRRLQRPSRLHPDQPKLQLLQGSLKNEQSINTWRSYNLKTIATFKLYIVLKFHHCLCFILRIL >ORUFI06G03880.1 pep chromosome:OR_W1943:6:2669807:2678234:1 gene:ORUFI06G03880 transcript:ORUFI06G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHLVESEKAAENAGPTYRNVLAKDAGLLRPPPGVESCWDVFRNSVEKYPDSPMLGRRRVVDDGKAGEYVWMTYKEVYDVVMKLAASISKSGISKGESCGIYGANCPEWIISMEACNALGVSCVPLYDSLGAGAVEFIVCHAEIQIAFVEERKIAELLKTCHATSKYLKTIISFGGVTNDQKEEAKNHGMSIFSWEEFLIMGGDHHFDLPEKKKSDICTIMYTSGTTGDPKGVMISNESLLVNITGADCVTRSIGEPFDHDDVYISYLPLAHIFDRIFEELFISHGSKIGFWRGDVKLLVDDIAALKPTVFCAVPRVLDRIYSGLTGKISSGGILKKALFNIAYKLKLDSMRKGIKHEKAAPFFDKLVFSKVKERLGGKLRFIVSGGAPLSVAVEEFLRVVTCASVVQGYGLTETGAASFVAIPNDFSMVGTVGPPVEHLDARLESVPEMGYDALSSIPRGEVCVKGSVLFSGYYKREDLTQEVMIDGWFHTDDDYMPNTMYNTGDVGEWQPNGSLKIIDRKKNIFKLSQGEYVAVENLENVYGVLQEIDSIWIYGNSFESFLVAVINPNQQVLEHWAEQNGISGSLSELCENSRAKEYILSELTKIAKEKKLKGYEFIRAVHFDPLPFDMERDLITPTYKKKRPQLLKHYQGTIDALYKMAK >ORUFI06G03880.2 pep chromosome:OR_W1943:6:2669807:2678234:1 gene:ORUFI06G03880 transcript:ORUFI06G03880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHLVESEKAAENAGPTYRNVLAKDAGLLRPPPGVESCWDVFRNSVEKYPDSPMLGRRRVVDDGKAGEYVWMTYKEVYDVVMKLAASISKSGISKGESCGIYGANCPEWIISMEACNALGVSCVPLYDSLGAGAVEFIVCHAEIQIAFVEERKIAELLKTCHATSKYLKTIISFGGVTNDQKEEAKNHGMSIFSWEEFLIMGGDHHFDLPEKKKSDICTIMYTSGTTGDPKGVMISNESLLVNITGADCVTRSIGEPFDHDDVYISYLPLAHIFDRIFEELFISHGSKIGFWRGDVKLLVDDIAALKPTVFCAVPRVLDRIYSGLTGKISSGGILKKALFNIAYKLKLDSMRKGIKHEKAAPFFDKLVFSKVKERLGGKLRFIVSGGAPLSVAVEEFLRVVTCASVVQGYGLTETGAASFVAIPNDFSMVGTVGPPVEHLDARLESVPEMGYDALSSIPRGEVCVKGSVLFSGYYKREDLTQEVMIDGWFHTGDVGEWQPNGSLKIIDRKKNIFKLSQGEYVAVENLENVYGVLQEIDSIWIYGNSFESFLVAVINPNQQVLEHWAEQNGISGSLSELCENSRAKEYILSELTKIAKEKKLKGYEFIRAVHFDPLPFDMERDLITPTYKKKRPQLLKHYQGTIDALYKMAK >ORUFI06G03880.3 pep chromosome:OR_W1943:6:2669807:2678234:1 gene:ORUFI06G03880 transcript:ORUFI06G03880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHLVESEKAAENAGPTYRNVLAKDAGLLRPPPGVESCWDVFRNSVEKYPDSPMLGRRRVVDDGKAGEYVWMTYKEVYDVVMKLAASISKSGISKGESCGIYGANCPEWIISMEACNALGVSCVPLYDSLGAGAVEFIVCHAEIQIAFVEERKIAELLKTCHATSKYLKTIISFGGVTNDQKEEAKNHGMSIFSWEEFLIMFDHDDVYISYLPLAHIFDRIFEELFISHGSKIGFWRGDVKLLVDDIAALKPTVFCAVPRVLDRIYSGLTGKISSGGILKKALFNIAYKLKLDSMRKGIKHEKAAPFFDKLVFSKVKERLGGKLRFIVSGGAPLSVAVEEFLRVVTCASVVQGYGLTETGAASFVAIPNDFSMVGTVGPPVEHLDARLESVPEMGYDALSSIPRGEVCVKGSVLFSGYYKREDLTQEVMIDGWFHTGDVGEWQPNGSLKIIDRKKNIFKLSQGEYVAVENLENVYGVLQEIDSIWIYGNSFESFLVAVINPNQQVLEHWAEQNGISGSLSELCENSRAKEYILSELTKIAKEKKLKGYEFIRAVHFDPLPFDMERDLITPTYKKKRPQLLKHYQGTIDALYKMAK >ORUFI06G03890.1 pep chromosome:OR_W1943:6:2680035:2683267:1 gene:ORUFI06G03890 transcript:ORUFI06G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDIHLLLSILADGEEQARQLGEPAAAADDEYHGGGRGEEYYRGVARQLQGTLARAMGIARAIEAAAFAGGGGASGSRGTTGDRSDSPRSADESSGRTARDAAVAQQERHHDTIKRRKGLPRWTEKFRVPDASLEATPDDGFSWRKYGQKDILGAKFPRGYYRCTYRNAQGCPATKQVQRSDADLAVFDVTYQGAHTCHQKQRRAAAAGDQPPPPPPQADPSGELLVNFRHGLKVETNGLAPPPPPPPTTTTNFHDDQHFCFPSMPPFHAGVGPPPPPDDALGGGGCNNFSSPPFVSPAGSAAGESYFSMEHSYEPRGGGGHFVMSRGDSSELHEVLAAAASSSAVVDPAAAAGGFDYPLYHGEVDPHLPFPPLFGHASMYGQYRDA >ORUFI06G03900.1 pep chromosome:OR_W1943:6:2686753:2696000:1 gene:ORUFI06G03900 transcript:ORUFI06G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGLALALALLVVSVNLLQVASSDGDHARCEGVVKGWAASVAGSEGKDGDKLSLRDLLFFLHIPRTGGRTYFHCFLKKLYTNAEECPRSYDKLRFDPSHPDCKLVVSHDDYSFMSKLPSERTSVVTILRNPVDRITGLTNNSYLSGAHEVRHCVRKHPDLGHFVLQVAKTLTHPRWTQRTKKQMNIWAG >ORUFI06G03910.1 pep chromosome:OR_W1943:6:2693971:2697805:-1 gene:ORUFI06G03910 transcript:ORUFI06G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVFSLTEGAVRSLLCKLGCLLTEDTWLVQGVHGEIQYIKDELECMNAFLRNLTISQIHDDQVRIWMKQVREIAYDSEDCIDEFIHNLGESSEMGFFGGLISMLRKLACRHRIALQLQELKARAQDVGERRSRYGVELAKATHEEAHPRLTRHASLHIDPQLHALFAEEAQLVGIDEPRNELVSWLMEEDLRLRVLAIVGFGGLGKTTLARMVCGSPVVKSADFQCCPLFIISQTFNIRALFQHMVRELIQEPHKAMAIAGCKHGLITDDYLEGMERWEVAALTKNLRRYFQDKRYIVILDDIWTVSAWESIRCALPDNLKGSRIIVTTRNADVANTCCSRPQDRIYNIQRLSETTSRELFFKKIFGFADDKSPTDEFEEVSNSVLKKCGGLPLAIVNIGSLLASKTNRTKEEWQKVCNNLGSELENNPTLEGVKQVLTLSYNDLPYHLKACFLYLSIFPENYVIKRGPLVRRWIAEGFVSQRHGQSMEQLAESYFDEFVARSIVQPVRTDWTGKLKYLSLRNTNVSKLPHLLGNLKHLETLDIRATLIKKLPASAGNLSCLKHLFAGHKVQLTRTASVKFLRQSSGLEVATGVVKNMVALQSLVHIVVKDKSPVLREIGLLQNLTKLNVLLRGVEENWNAFLESLSKLPGPLRSLSIHTLDEKEHSLSLDNLAFVESPPLFITKFSLAGELERLPPWIPSLRNVSRFALRRTELHADAIGVLGDLPNLLCLKLYHKSYADNCIVFCHGKFVKLKLLIIDNLERIEKMQFDAGSVPNLERLTLSFLREPKYGISGLENLPKLKEIEFFGDIILSVVTKVASCVKAHPNHPRVIGDKWNIVTEYA >ORUFI06G03910.2 pep chromosome:OR_W1943:6:2693971:2697805:-1 gene:ORUFI06G03910 transcript:ORUFI06G03910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVFSLTEGAVRSLLCKLGCLLTEDTWLVQGVHGEIQYIKDELECMNAFLRNLTISQIHDDQVRIWMKQVREIAYDSEDCIDEFIHNLGESSEMGFFGGLISMLRKLACRHRIALQLQELKARAQDVGERRSRYGVELAKATHEEAHPRLTRHASLHIDPQLHALFAEEAQLVGIDEPRNELVSWLMEEDLRLRVLAIVGFGGLGKTTLARMVCGSPVVKSADFQCCPLFIISQTFNIRALFQHMVRELIQEPHKAMAIAGCKHGLITDDYLEGMERWEVAALTKNLRRYFQDKRYIVILDDIWTVSAWESIRCALPDNLKGSRIIVTTRNADVANTCCSRPQDRIYNIQRLSETTSRELFFKKIFGFADDKSPTDEFEEVSNSVLKKCGGLPLAIVNIGSLLASKTNRTKEEWQKVCNNLGSELENNPTLEGVKQVLTLSYNDLPYHLKACFLYLSIFPENYVIKRGPLVRRWIAEGFVSQRHGQSMEQLAESYFDEFVARSIVQPVRTDWTGKVRSCRVHDLMLDVIVSRSIEENFASFLCDNGSTLASHDKIRRLSIHSSYNSSQKTSANVSHARSFTMSASVEEVPFFFPQLRLLRVLDLQGCSCLSNETLHCMCRFFQLKYLSLRNTNVSKLPHLLGNLKHLETLDIRATLIKKLPASAGNLSCLKHLFAGHKVQLTRTASVKFLRQSSGLEVATGVVKNMVALQSLVHIVVKDKSPVLREIGLLQNLTKLNVLLRGVEENWNAFLESLSKLPGPLRSLSIHTLDEKEHSLSLDNLAFVESPPLFITKFSLAGELERLPPWIPSLRNVSRFALRRTELHADAIGVLGDLPNLLCLKLYHKSYADNCIVFCHGKFVKLKLLIIDNLERIEKMQFDAGSVPNLERLTLSFLREPKYGISGLENLPKLKEIEFFGDIILSVVTKVASCVKAHPNHPRVIGDKWNIVTEYA >ORUFI06G03920.1 pep chromosome:OR_W1943:6:2700514:2704378:-1 gene:ORUFI06G03920 transcript:ORUFI06G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIVSLTEGAVRGLLRKLAGVLAQESSPAQRVHGEVQYIKDELESMNAFLRSVSTSPEDAAGHDDQVRVWMKQVREIAYDAEDCIDVFVRGRSHPAAAAGDEGRLVASLRRFVRLLAGALGVGGGDRSVAAQLRELKARARDAGERRTRYGVSLAAAAVRGGGGSSSSGRLDPRLHALFTEEAQLVGIDGPREELVGWVMEEEPRLRVLAVVGFGGLGKTTLARMVCGSPRVKGAADFQCSPPLVVVSQTFSITALFQHLLRELIQRPRKAMAAVAAAGGGGGDLVAYDALQGMERYIVILDDIWSSSAWESIKCAFPDNKKGSRIIVTTRNEDVANTCCCRPQDRIYKIQRLSDAASRELFFKRIFGMADAGAPDDDELKQVSDSILKKCGGLPLAIVSIGSLLASKPNRSKEEWQKVCDNLGSELESNPTLEGTKQVLTLSYNDLPYHLKACFLYLSIFPENHVIKRGPLVRMWIAEGFVTQRHGLSMEQVGERYFDEFVSRSMVHPVRIDWSGKVRSCKVHDIMLEVIVSKSLEENFASFFCDNGTELVSHDKIRRLSIRSSSYSSAQRTSNSVAHVRTFRMSPSIDNIPFFFPQLRLLRVLDMQGSRCMSNKNLDCICRFFQLKYLSLRNTSVSILPRLIGNLNHLETLDIRETLIKKLPSSAANLTCLKHLLAGHKEQLTRTSSVKFLRPSSGLKMSHGVIRNMAKLQSLVHVEIKEHPSVFQEIALLQNLRKLSVLFYGIEVNWKPFLELLNMLSGSVRSLSIDIFDAQGNISISSLEMLSSLVSPPIFITSFSLTGKLGSLPPWVASLRSVSRLTLRRSQLRADAIHVLGGLQNLLCLKLYHKSYADDRLVFPQGGFARVKLLIVDNLVNLEKLHFNEGSMPNLERLTLSFLREPKDGISGLNNLLKLKEVEFFGNIVSSVVSKVVSCVKDHPNHPRVVGDKWNIVTVYN >ORUFI06G03930.1 pep chromosome:OR_W1943:6:2705592:2709150:-1 gene:ORUFI06G03930 transcript:ORUFI06G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIFSVAEGTVRSLLSKLSSLLSQESWFVRGVHGDIQYIKDELESMNAFLRYLTVLEDHDTQVRIWMKQVREIAYDAEDCIDQFTHHLGESSGIGFLYRLIYILGKLCCRHRIAMQLQELKARAQDVSERRSRYEVMLPKTTLQGAGPRLTRHASRHLDPQLHALFTEEAQLVGLDEPRDKLVRWVMEADPCRRVLAIVGFGGLGKTTLARMVCENPMVKGADFHCCPLFIVSQTFNIRTLFQYMIRELIQRPNKAMAVAGGKHGHTMDGNMDGMERWEVAVLAEKVRQYLLDKRYIVIFDDIWTISAWESIRCALPDNKKGSRVIITTRNEDVANTCCSGPQDQVYKMQRLSDAASRELFFKRIFGSADISSNEELDEVSNSILKKCGGLPLAIVSIGSLVASKTNRTKEEWQKICDNLGSELETNPTLEVAKQVLTLSYNDLPYHLKACFLYLSIFPENYVIRRGPLVRRWIAEGFVNQRHGLSMEEVAESYFDEFVARSIVQPVKIDWSGKVRTCRVHDMMLEVIISKSLEENFASFLCDNGHPLVCHDKIRRLSIHNSHNSVQRTRVSVSHVRSFTMSASVEEVPMFFPQMRLLRVLDLQGSSCLNNSTLNYICKFYQLKYLTLRKTNIGKLPRLIGNLKYLETLDIRATRIKRLPASASNLSCLKHLLVGHKVQLTRTTSVKCFRPDSGLEMTAGVVKNMMALQSLAHIVVKERPAVLSEIGQLQKLQKLNVLFRGVEENWNAFLQSLVKLTGSLRSLSIHILDEKEHSSSLEYLALIAESPPLFIRNFSLKGKLQRLPPWIPSLRNVSRITFRDTGLHAEAIGVLGDLPNLLCLKLYQRSYADDHIFFAHGNFLKLRMLVIDNMENIRNVHFEKGSVPNLEWLTIAFLQEPKDGITGLENLLKLKEIEFFGDIILSMVTKVASCMKAHPNRPRVIGDKWNNVTEYA >ORUFI06G03940.1 pep chromosome:OR_W1943:6:2712429:2715298:-1 gene:ORUFI06G03940 transcript:ORUFI06G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKSKGPKFAAVKKIITKKTIQKYKEDVLNPKKKDNEKEKLGRNVSSALFFSYNTALGPPYRVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFQRLACTHKGTYADDCIVERVTQHKCYIVATCDRDLKRRIRKVPGVPIMYITRHRYSIERLPEATIGGGNVFCAGGSLYQIRLLC >ORUFI06G03950.1 pep chromosome:OR_W1943:6:2715792:2718517:1 gene:ORUFI06G03950 transcript:ORUFI06G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATATLRWVLQMHRDVPRAARFYSEGLDFSVNVCTLRWAELQSGPLKLALMHTNDSISTKFGQDGVSINCSQALLFSLWKGSKLVYSVQMCSNLASQRIYSSMLSFTVPDINSTVTKLLSLGAELDGPIKYEIHGKVAAVRCIDGHMLGLFEPA >ORUFI06G03960.1 pep chromosome:OR_W1943:6:2719633:2722499:1 gene:ORUFI06G03960 transcript:ORUFI06G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASSSSSLLLLMFLAFLDHGAAVANLSSIEAAVRDRAFQLFRRTSEIVAVDVPAVLAGAGVEASATRVRSSALWADGVNATVPGLAVAVPPRVVPAPFARRVAIVFVRFLGDASSWLFDAPPGYALAAPVVALLAFDASGPNGGVALRALGAPVRVEFRDISPASGFNATAARCLTFSSGGGKAVAAHAVAMEPGPSCVVSGTATGHYGVAVRVETPPPPPPPRPPPVRERWWVWKVGATAGGVAAASFLAVTVVGAVRWRRRRRREEMERRAMCGEELGRMAVRGSRMPSAKMVRTRPELNTHGQKLHACTSTTYCSNFG >ORUFI06G03970.1 pep chromosome:OR_W1943:6:2721847:2731389:-1 gene:ORUFI06G03970 transcript:ORUFI06G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARETPPAATPRHRHVGVVATWDEVESRDIARSFARCPARATRLAAPRRAPPIINTTRARARPARRLRCSLAATHECDGGEEEGATIGKVFGWRRKEGKKRKRKEKKRKEAVFGESCFGELKKKCGCSGLEGILSDSTDGATEIGQMGSLIMLTVALVHQLLMKIPKSRASARQLVAFNSLLQLAAVVFTGCLGLLNLGLGLWMVGISFNQETSIYRPHWWLVILAQGFSLILTSFSFSIRPRFLGATFVRFWSLLLTICAAFICCCSVVYMVGEKEITIKACLDVLLLPGALILLLYAIRHSRDEEGYETTENALYMPLNTERDHGTADSESHVTPFAKAGFFSVMSFWWLNPLMKMGYAKPLEEKDMPLLGSTDRAQNQYLMFLEMMNRKKQLQSHATPSVFWTIVSCHKSGILISGFFALLKVVTLSSGPLLLKALINVSLGEGTFKYEGIVLAVTMFVCKFCESLAQRQWYFRTRRLGLQVRSFLSAAIYKKQQKLSNSAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQIWTTSVQLCIALAILYNAVGLATVSSLVVIIITVLCNAPLAKLQHKYQSKLMEAQDVRLKAMSESLVHMKVLKLYAWESHFKKVIEGLREVEYKWLSAFNLRKAYNSFLFWSSPVLVSAATFLTCYLLRVPLNASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRVVKFLDAPELNGQCRKKYIAGTEYPIALNSCSFSWDENPSKHTLRNINLVVKSGEKVAICGEVGSGKSTLLASVLGEVPKTEGTIQVCGKIAYVSQNAWIQTGTVQENILFGSLMDEQRYKETLEKCSLEKDLAMLPHGDSTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTASSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSDGKIIRSAPYQDLLEYCQEFQDLVNAHKDTIGISDLNNMPLHREKEISMEETDDIHGSRYRESVKPSPADQLIKKEEREIGDTGLKPYILYLRQNKGFLYLSICVISHIIFISGQISQNSWMAANVQNPSVSTLKLIVVYIAIGVCTLFFLLSRSLSIVVLGMQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFFFMFSISASLNAYSNLGVLAVITWQVLFISVPMIVLVIRLQRYYLASAKELMRINGTTKSSLANHLGESISGAITIRAFEEEDRFFAKNLELVDKNAGPCFYNFAATEWLIQRLELMSAAVLSFSALVMVILPPGTFSPGFVGMALSYGLSLNMSLVFSIQNQCNLANQIISVERVNQYMDITSEAAEVIKENRPAPDWPQVGKVELRDLKIKYRQDAPLVLHGITCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFQGTLRYNLDPLGQFSDQQIWEVLDKCQLLETVQEKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCTMVLAMSDGKVVEYDKPTKLMETEGSLFRELVKEYWSYASSGNV >ORUFI06G03970.2 pep chromosome:OR_W1943:6:2721847:2731389:-1 gene:ORUFI06G03970 transcript:ORUFI06G03970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARETPPAATPRHRHVGVVATWDEVESRDIARSFARCPARATRLAAPRRAPPIINTTRARARPARRLRCSLAATHECDGGEEEGATIGKVFGWRRKEGKKRKRKEKKRKEAVFGESFKLSDSTDGATEIGQMGSLIMLTVALVHQLLMKIPKSRASARQLVAFNSLLQLAAVVFTGCLGLLNLGLGLWMVGISFNQETSIYRPHWWLVILAQGFSLILTSFSFSIRPRFLGATFVRFWSLLLTICAAFICCCSVVYMVGEKEITIKACLDVLLLPGALILLLYAIRHSRDEEGYETTENALYMPLNTERDHGTADSESHVTPFAKAGFFSVMSFWWLNPLMKMGYAKPLEEKDMPLLGSTDRAQNQYLMFLEMMNRKKQLQSHATPSVFWTIVSCHKSGILISGFFALLKVVTLSSGPLLLKALINVSLGEGTFKYEGIVLAVTMFVCKFCESLAQRQWYFRTRRLGLQVRSFLSAAIYKKQQKLSNSAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQIWTTSVQLCIALAILYNAVGLATVSSLVVIIITVLCNAPLAKLQHKYQSKLMEAQDVRLKAMSESLVHMKVLKLYAWESHFKKVIEGLREVEYKWLSAFNLRKAYNSFLFWSSPVLVSAATFLTCYLLRVPLNASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRVVKFLDAPELNGQCRKKYIAGTEYPIALNSCSFSWDENPSKHTLRNINLVVKSGEKVAICGEVGSGKSTLLASVLGEVPKTEGTIQVCGKIAYVSQNAWIQTGTVQENILFGSLMDEQRYKETLEKCSLEKDLAMLPHGDSTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTASSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSDGKIIRSAPYQDLLEYCQEFQDLVNAHKDTIGISDLNNMPLHREKEISMEETDDIHGSRYRESVKPSPADQLIKKEEREIGDTGLKPYILYLRQNKGFLYLSICVISHIIFISGQISQNSWMAANVQNPSVSTLKLIVVYIAIGVCTLFFLLSRSLSIVVLGMQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFFFMFSISASLNAYSNLGVLAVITWQVLFISVPMIVLVIRLQRYYLASAKELMRINGTTKSSLANHLGESISGAITIRAFEEEDRFFAKNLELVDKNAGPCFYNFAATEWLIQRLELMSAAVLSFSALVMVILPPGTFSPGFVGMALSYGLSLNMSLVFSIQNQCNLANQIISVERVNQYMDITSEAAEVIKENRPAPDWPQVGKVELRDLKIKYRQDAPLVLHGITCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFQGTLRYNLDPLGQFSDQQIWEVLDKCQLLETVQEKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCTMVLAMSDGKVVEYDKPTKLMETEGSLFRELVKEYWSYASSGNV >ORUFI06G03970.3 pep chromosome:OR_W1943:6:2721847:2731389:-1 gene:ORUFI06G03970 transcript:ORUFI06G03970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARETPPAATPRHRHVGVVATWDEVESRDIARSFARCPARATRLAAPRRAPPIINTTRARARPARRLRCSLAATHECDGGEEEGATIGKVFGWRRKEGKKRKRKEKKRKEAVFGESCFGELKKKCGCSGLEGILSDSTDGATEIGQMGSLIMLTVALVHQLLMKIPKSRASARQLVAFNSLLQLAAVVFTGCLGLLNLGLGLWMVGISFNQETSIYRPHWWLVILAQGFSLILTSFSFSIRPRFLGATFVRFWSLLLTICAAFICCCSVVYMVGEKEITIKACLDVLLLPGALILLLYAIRHSRDEEGYETTENALYMPLNTERDHGTADSESHLMSDGKIIRSAPYQDLLEYCQEFQDLVNAHKDTIGISDLNNMPLHREKEISMEETDDIHGSRYRESVKPSPADQLIKKEEREIGDTGLKPYILYLRQNKGFLYLSICVISHIIFISGQISQNSWMAANVQNPSVSTLKLIVVYIAIGVCTLFFLLSRSLSIVVLGMQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFFFMFSISASLNAYSNLGVLAVITWQVLFISVPMIVLVIRLQRYYLASAKELMRINGTTKSSLANHLGESISGAITIRAFEEEDRFFAKNLELVDKNAGPCFYNFAATEWLIQRLELMSAAVLSFSALVMVILPPGTFSPGFVGMALSYGLSLNMSLVFSIQNQCNLANQIISVERVNQYMDITSEAAEVIKENRPAPDWPQVGKVELRDLKIKYRQDAPLVLHGITCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFQGTLRYNLDPLGQFSDQQIWEVLDKCQLLETVQEKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCTMVLAMSDGKVVEYDKPTKLMETEGSLFRELVKEYWSYASSGNV >ORUFI06G03980.1 pep chromosome:OR_W1943:6:2762937:2767910:1 gene:ORUFI06G03980 transcript:ORUFI06G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVSRGGGGGGRAMGAKERKEAAQREKDAGDEAYGEELYKRAAAHYAAAADLDPGDISCLIKRAKANFSMNKCEECVADCDEALGRRRRRRDARCGCDEKLAADALFLKALALLNLAVCAADHEPAITALEGSLELRPGSKETRAKLEMAKRNRDAFAEQERLDQEAAKTHRDNGLELLRKKKYKEAEMQFTEAIKRNPRYPKNFSDRARCLIELNSLPKALEDANRCIELDDTLGMGYLRKGLVQIAMAKYEDAIATLVDGLKHDPQNLSIHNGLRECAARIKMAKDSDAIAKDLTKHQRKIECLHKQLNEGENKASKERSRRMKSEKLVKTLSSQVEQLRSANERNANLERKLSECREPDGHTYEAKFIRDWFRRGHNTSPITNVELEHKKLLPNHIKRLKINQARSPRIRRASWMSLGMMVTRLAWMAHSAATAELWKRRSVLKSWAISRTRRWKGSLRMSSSVLFWYLRISRSATVPGLKRWGFFTPPVAGADLRAALVASCFLGAFPPVDLRAVCFVRAIEEERRGELDAAELWI >ORUFI06G03980.2 pep chromosome:OR_W1943:6:2755542:2761921:1 gene:ORUFI06G03980 transcript:ORUFI06G03980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCVRRCDEAVERGRELRAKKSLVALALLLKGTALLNLADCASDCKAAIRALKQSLDEHYHKGTEAILDEAESTMEEMEELEEEAAKHHREKGKELLSQKKYKEAAIQFTKAIKKNALNPRNFSDRAKCRIELNALAEGLEDADKSIELDPTFWKGYLRKGEVQFLMHNYEDAMTTYLDGLKYGPQKTTIYDGIKRCLEQIKMAKDRDERAKDLWEAFKKSSSSQVEKLMMQRDVVTVELKSAKERNANLEQQLSEQISHIERLLSIQNSEPPHFICPISQEVMNDPHFAADGHTYEAEHIRKWLNDGHDTSPMTNEITSSYIATKN >ORUFI06G03980.3 pep chromosome:OR_W1943:6:2761463:2763076:1 gene:ORUFI06G03980 transcript:ORUFI06G03980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPYESSENQRRADGLSALAADPAGELDVLGHDGDALGVDGAQVGVLKEPDEVRLGGLLQRGDGGALEAEVGLEVLGDLADEALEGELADEQLRALLVLADLTERDGAGPEAVRLLHAAGGRGRLPRRLGRQLLPRRLAAAQLHAVVEGWRLKSVKKESEVIMPLMA >ORUFI06G03990.1 pep chromosome:OR_W1943:6:2769459:2770816:-1 gene:ORUFI06G03990 transcript:ORUFI06G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLLSPYLQSVGSGFRHGANFATLASTALQPNTSLFVTGISPFFLAVQLNQMKDLRNKVLTSNGNNGQLPAPDVLHNALYTIDIGQNDLTSNLGSQSIETVKQSLPSVVSKISSAVQELYNIGARNIMVFNMAPIGCYPAFLTKLPHTSNDMDGYGCMKTYNSAVTYYNELLNNSLAKVQKKLQDASIVYLDKHAVTLELFRHPKAHGLKYGTKACCGYGDGAYNFNPDVYCGSSKLLNGQTVTAKACADPQNYVSWDGIHATEAANKIIAASLMSGSYSYPPFDLSKLCHLQPIA >ORUFI06G04000.1 pep chromosome:OR_W1943:6:2770847:2771167:-1 gene:ORUFI06G04000 transcript:ORUFI06G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRITWLATAAAAAAAMCWLVAAASAAGQCRFPAVFNFGDSNSDTGGFWAAFPAQQAPFGMTYFCRPAGRASDGRLVVDFIGKQPSTSSSFLLLPLLAAPWICSSE >ORUFI06G04010.1 pep chromosome:OR_W1943:6:2772235:2775590:-1 gene:ORUFI06G04010 transcript:ORUFI06G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSTSPLQFSPTRNRVLLHPSRRSDPPAARRGASALTRGAQPHRLGRARAARMDYDYRGRPGSGSYGGGGGGGGSSSLYPRVGQPSHGVANAPPPQPPRAAPYHHHGPPTVSAAPHPVPASSSTSMGIQVVIKPAYRITPPPQLPPQLTEIPRSTFNFDFEYERKILAEAEKENPNWSKFVIESQPSPPPQPPRGPKLTTPPTSVATPGDPIVDKYISMGLGREAVSFAVKEFVKSYNALHEMGFTSSNVPELLAIHDNDPDKVIQHLIGTS >ORUFI06G04020.1 pep chromosome:OR_W1943:6:2779862:2780890:1 gene:ORUFI06G04020 transcript:ORUFI06G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVIVEKKARRIVRGRWHVEASNEAAAAAPAVAAPAPRVVRVLCRDHDATDSSGDDDGEDDAPRRARLLVHEIHVARQPVAMSPAAASSSQRRRVGPMKRTESAVDATMDATAAAPERKFRGVRKRPWGKYGAEIRVSQQSARVWLGTFDTAEEAARVYDHAALRLRGPSATTNFPMTPAAPSPPPSRATYAGAASGYDESSDESQLVGSPVSVLRPMPARATAKKEAKEEDDSAPDILGISAGDGLISPFTCDVLNFPPPDEDMFGGGISFGEPTPPPMVFDDDCMARLGHAPNDDEHPVTSSSFLDGDLGDLPSWTEVDGFFSDVGGDDLFAAEPFPAL >ORUFI06G04030.1 pep chromosome:OR_W1943:6:2786551:2789968:-1 gene:ORUFI06G04030 transcript:ORUFI06G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWTAAAGMLGSAAYTRMRAENPSEFMPRRVLLSHAGLENEIDRGNSFWVKAALVYESVTGDHVDDHTRHLSRDLLLNLAAYCCPHVHPDSSPRRPQALSEDEDKKKAEDDQLAKRTQHPDEGTEENKQVIDAIFLVVGFLPRLTKAAATGGGKGAAAAAARRDAVDESFKATHMQDIVTDVIKLENQLPIKHLRAVADLAEAAVHAAAGDIPGLKDDVTKALPEYKLGFARANFDGVIRSFCSYYSPFFSKDEQAKKPDDAAISGELTLLDCLHASLVPPSSEAGGGAGGVKGGKTSRIPTAKELRRSGVRLEAGVEDGRAVVQFKEDAATLRLPALVFDFKLATVARNLLARELEEQSKPVTRYFQLMNELVEEVADVRILRRAGVVRGGSRGAGEVHELIKKIDGYATYPSVFMAMDVQVEKVKVFHEKRMNNFFVRYRPAIVAASSVVAASVVAIVATRKKRG >ORUFI06G04040.1 pep chromosome:OR_W1943:6:2791984:2798799:-1 gene:ORUFI06G04040 transcript:ORUFI06G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGMGIGAACLVAPQVRPGRRLRLQRVRRRCVAELSRDGGSAQRPLAPAPLVKQPVLPTFLVPTSTPPAPTQSPAPAPTPPPLPDSGVGEIEPDLEGLTEDSIDKTIFVASEQESEIMDVKEQAQAKVTRSVVFVTGEASPYAKSGGLGDVCGSLPIALALRGHRVMVVMPRYMNGALNKNFANAFYTEKHIKIPCFGGEHEVTFFHEYRDSVDWVFVDHPSYHRPGNLYGDNFGAFGDNQFRYTLLCYAACEAPLILELGGYIYGQKCMFVVNDWHASLVPVLLAAKYRPYGVYRDARSVLVIHNLAHQGVEPASTYPDLGLPPEWYGALEWVFPEWARRHALDKGEAVNFLKGAVVTADRIVTVSQGYSWEVTTAEGGQGLNELLSSRKSVLNGIVNGIDINDWNPSTDKFLPYHYSVDDLSGKAKCKAELQKELGLPIRPDVPLIGFIGRLDYQKGIDLIKLAIPDLMRDNIQFVMLGSGDPGFEGWMRSTESGYRDKFRGWVGFSVPVSHRITAGCDILLMPSRFEPCGLNQLYAMQYGTVPVVHGTGGLRDTVENFNPFAEKGEQGTGWAFSPLTIEKMLWALRMAISTYREHKSSWEGLMKRGMSSDFTWDHAASQYEQIFEWAFMDQPYVM >ORUFI06G04050.1 pep chromosome:OR_W1943:6:2802439:2802837:-1 gene:ORUFI06G04050 transcript:ORUFI06G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEMRMREKLATMDERQAMAMRLTWISELVASNKKSIAGNKAYILALIDAIDNDRCPYTAAELSDKIRELREDRVTVILPAQAVIKTMIDSVRAATPAAGGDGGTRRRGADDNSGAIGCGPTHQSRTISR >ORUFI06G04060.1 pep chromosome:OR_W1943:6:2806049:2809055:1 gene:ORUFI06G04060 transcript:ORUFI06G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFHDRDHVRLRSRVHGTYLHADEDGRGVSLQPTGASLTAVWTVHLEGGSPQRRLLLHSAAYGRYLAATGKPGPSGLRGHRVALINLDRLDDESVSWEAVRTAKGDDVLLRHATGRNLRANHGAGATVDDRYSRMLLWVDQVVEAIPSADSVPRPPPISRRQDGPEIRTIRFVPAAPNGTIAEDWRTFQFTGRSVQRLKEEITSRAGEATQYCVQAGRYGRLIPLTHELPHNTETIDIIIMMTGTTDPHMDNSPRTQLSAPESLGEHRVADIQTSYSHRLHTNNVLESQQRKTGITRAELELKTRNIHWGDLNLEEKKRTRKELKAEYNELLAAENCISKSQEDDVITNENYERASLRDP >ORUFI06G04060.2 pep chromosome:OR_W1943:6:2806049:2809388:1 gene:ORUFI06G04060 transcript:ORUFI06G04060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFHDRDHVRLRSRVHGTYLHADEDGRGVSLQPTGASLTAVWTVHLEGGSPQRRLLLHSAAYGRYLAATGKPGPSGLRGHRVALINLDRLDDESVSWEAVRTAKGDDVLLRHATGRNLRANHGAGATVDDRYSRMLLWVDQVVEAIPSADSVPRPPPISRRQDGPEIRTIRFVPAAPNGTIAEDWRTFQFTGRSVQRLKEEITSRAGEATQYCVQAGRYGRLIPLTHELPHNTETIDIIIMMTGTTDPHMDNSPRTQLSAPESLGEHRVADIQTSYSHRLHTNNVLESQQRKTGITRAELELKTRNIHWGDLNLEEKKRTRKELKAEYNELLAAENCISKSQEDDVITNENYERASLRDP >ORUFI06G04070.1 pep chromosome:OR_W1943:6:2808893:2810016:-1 gene:ORUFI06G04070 transcript:ORUFI06G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEAEREAVAIQLGWISDLLADTERLIASNRGYVRDLLESIDDGTCPFTFAELQDEIRDLRESRAVDAALDGIKEMLDDVRAILTRASSHGARDHKLKFRALQQMAYLTFCHSGEVRWQRICHFVLRYMDILPQTRRGEIKTAHLYKNTGTGYERVALYSDLIITFQLSKIEHNIPHRKFMINHIIYRYPRKVGNYSKCQNAQLFMHTF >ORUFI06G04080.1 pep chromosome:OR_W1943:6:2814639:2818493:1 gene:ORUFI06G04080 transcript:ORUFI06G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLIHQRKLQDRGHGKQIDENARPGVRLEDLPWDLVVYKILSKLPLKEAAKTSVLSTKWRCIWLTCPRLCFDGLAMFKCERGELFLHARQFIAQVNAVLQKYQGEVVEEFHIRFDFHSIPAHYLDNWVIFSLSSKMKNLALDLQTNDIERYPARYKFPFELLDSGSLSGLQHVQFSFVSIKPPSKFRGFPNLRKLDLQLLDASSKDFETMLSNCKLLEWLSMDRCRLNGELRVGSPLPRLVYLQVVYCQVTKIQFHAVELANFVYKGDFVPIALKHSLKLENANIRLYSLNDRHAISDLTKLLSDTPWKFSHLRYLRLKNFADSGIVETNFFVSFLRAAPFIEKLEIHFSMNLLILDESHEDHPIRQQLGRCEYNNLKNMRIIGYKGSRDQVEFLLHVVENAPALEVLTLEAAGIEYQEVSFVLNEAWIDRITQSADRSALIAQQYLREKLSSKTQLCIKTTSSR >ORUFI06G04080.2 pep chromosome:OR_W1943:6:2815290:2818493:1 gene:ORUFI06G04080 transcript:ORUFI06G04080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLIHQRKLQDRGHGKQIDENARPGVRLEDLPWDLVVYKILSKLPLKEAAKTSVLSTKWRCIWLTCPRLCFDGLAMFKCERGELFLHARQFIAQVNAVLQKYQGEVVEEFHIRFDFHSIPAHYLDNWVIFSLSSKMKNLALDLQTNDIERYPARYKFPFELLDSGSLSGLQHVQFSFVSIKPPSKFRGFPNLRKLDLQLLDASSKDFETMLSNCKLLEWLSMDRCRLNGELRVGSPLPRLVYLQVVYCQVTKIQFHAVELANFVYKGDFVPIALKHSLKLENANIRLYSLNDRHAISDLTKLLSDTPWKFSHLRYLRLKNFADSGIVETNFFVSFLRAAPFIEKLEIHFSMNLLILDESHEDHPIRQQLGRCEYNNLKNMRIIGYKGSRDQVEFLLHVVENAPALEVLTLEAAGIEYQEVSFVLNEAWIDRITQSADRSALIAQQYLREKLSSKTQLCIKTTSSR >ORUFI06G04090.1 pep chromosome:OR_W1943:6:2822653:2827240:1 gene:ORUFI06G04090 transcript:ORUFI06G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGEGDGEGASIRRGRGRVMGSEGEGEGEGEGEEVKWAMLFTLPIPVTDEELPPTRDLAMSKQPPPLISFLCLPQRSLGEAAHCFVEIVCADSAGRLLLSAKPRPAPAATTSAALPPRQGVIIICDATNLKVMRLPLPVGATCDDNERGFPCLAVLSDPRDEEDGDAYIVVLLHADASGAFQEVLCYESKTGGVLDTQTHDQDWSDTETHDQDWSEKLLSCNSQQPPRGWHRHSHSHRAIPLDGEVCWIDAAYGLVLCEVLLEDPRLRYVQLPEGCTMDEDDDMGSPAVVEKLRRRQSIAVWTLMTLKDVTFWEHTFSVDLVSLRADKSFQEAGLNPHIFPSVAGIHPIDTSTIFLVQNSIIFSVSSDTTTSSIKVGDHHKFLLNENEITPSLFLLPWLVHDPADLLPQETPPRSNCSKRWLRALKLKQALKRGIVWLSDNHEVVTHVGDVIDFLTIPAGSSFRSAAICVKKVGSYCSKTGNILKFHNSRLHANDPVKALPMEDFQIINDVDEDVESLSMEGIHIVKNVNEARRFINQWISCQQPHSCIMDVAKSVGVEECINILTYLGGENATFMLMNSPTFLEKTGNNLRKSSDLKEWVYLKDIVLLQPNTDGFVAAAAAPAAAIIHGLVGYKRG >ORUFI06G04100.1 pep chromosome:OR_W1943:6:2827304:2836455:-1 gene:ORUFI06G04100 transcript:ORUFI06G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARESLAKSLEAQVSDSTSGALLLRCNQLHIAATKQLIASLVAINDTLEELVDAGFKPLPVDEFLKMIRDIGDAGETMAAESMEQIDASLKLLLASLPQEDDDNGGCGGAAGEEGIGGDGTQLQISRLYSKAKLSDSPSGSLLLRCNLLHLATTRQLIASLRAIYDTLEEFVDAGFIPLHSDDFLEMIRDIRDAGETLAADSLDQIDASLAALFASLPPEDDDNGGGAGEEGIGGEDTKFLSDSGVRSRSHGHTHTHELTSSYKVAMDEREASAARSSVQLSELPDPETSRIRVEETKQLVADMQSIIEALLVFVDAGCSPVPVDEIHEMIRHMREVGCPLVRRSLDQIRRDTEALLAAAAALEPRP >ORUFI06G04110.1 pep chromosome:OR_W1943:6:2838483:2842142:1 gene:ORUFI06G04110 transcript:ORUFI06G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFQDRHHVWLRSREHGLYLHADLADGSSVYLHPYRATARAAWAVHVLHHFDGRMLMLHSAANGRYLAATTSPWAATAARFGLGGGNRVTLRDLDRLPMFAAGWFPIVSASGDVLLGHASDRFLRAIDRGDGNGVTVEVSDSRRPNTPWVVEAIPPIESIPRLPHLVGIGHIARAIRFVRAERASTDGTFPHVAWACFEFTGRSRSLFNLRRGRSWRVVVSDVIMCVRAGLFGRLTPLITDLPPNNVTMEIIVVTAGTIDANELRFPNAMADARAAAAAIAARRGVGQNPIRRWASARRRAPLLGPPLLLCCRGDRMSSVLTRTRGKAHISSMAFTGAVVVDDDAGRAVVSACVACGAGAAASSRCFRRNGHDVIQHVGPAGPPVRGAGTAAPPVSEGGQLL >ORUFI06G04110.2 pep chromosome:OR_W1943:6:2838483:2842142:1 gene:ORUFI06G04110 transcript:ORUFI06G04110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERFQDRHHVWLRSREHGLYLHADLADGSSVYLHPYRATARAAWAVHVLHHFDGRMLMLHSAANGRYLAATTSPWAATAARFGLGGGNRVTLRDLDRLPMFAAGWFPIVSASGDVLLGHASDRFLRAIDRGDGNGVTVEVSDSRRPNTPWVVEAIPPIESIPRLPHLVGIGHIARAIRFVRAERASTDGTFPHVAWACFEFTGRSRSLFNLRRGRSWRVVVSDVIMCVRAGLFGRLTPLITDLPPNNVTMEIIVVTAGTIGKAHISSMAFTGAVVVDDDAGRAVVSACVACGAGAAASSRCFRRNGHDVIQHVGPAGPPVRGAGTAAPPVSEGGQLL >ORUFI06G04110.3 pep chromosome:OR_W1943:6:2841636:2844764:1 gene:ORUFI06G04110 transcript:ORUFI06G04110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFHDGHHVWLRSRAQGTYLRADDDGRGVSMGQGRASVHAAWTVHTHHLDAGDVDILMLHSAANGRYLATGLGWTRRRLLSGNRASIILRDLDQEVFPPACWFAIRSGWGDDVLLRHCSWRFLRADDRKWNWNRNGTGVIADMIDGRRLARWQWVVEAIPPRNSIPRPPNPSPSFGFFARRIIFRRLTHNDLQWVWIWFTGRSALHLWNQLSRRMGFEPDPNSTMCVRAGTYGRLTPLVTDLPRNNATMVIFVLPPESLAGLGLTCPNVHAA >ORUFI06G04120.1 pep chromosome:OR_W1943:6:2846105:2847356:1 gene:ORUFI06G04120 transcript:ORUFI06G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFHDGHHVWLRSRVHGTYLRAGEDGSGVSLHEGRASVHAAWAVHILHLDGGDILMLHSAANGRYLAAPRTGWSWNSVDLRDLNQLPSFTVGWFAVTAGSGDYVMLRHSSSGLFLRADGGNLLCNSVGVVVDMFDFRRREIRQWVVEAIPPRDSMPILPNPSPTAFSWCRIWYVRASPQGNFRREDWRSLLFHGRSVFHLRNRLASQLRIRESSDAILCVRAGSTGRVTPLVTDLPRNTLVIDIVVITAGTNGEISFYSDRLHIYMFMVLLKS >ORUFI06G04130.1 pep chromosome:OR_W1943:6:2849818:2850213:-1 gene:ORUFI06G04130 transcript:ORUFI06G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETETERESSAVQVSELADDPEPRCMSLEETKQLIGYMNTIVDSLLKIVDSGYSPYPVEEIHEIIRDIREEGCAAVRRSLDQIRRDLDADDDVGGGENCSATGDEEDVGSVRQQPNTTCVPLHIENGAID >ORUFI06G04140.1 pep chromosome:OR_W1943:6:2852070:2853447:1 gene:ORUFI06G04140 transcript:ORUFI06G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFHDGHHVWLRSRANGLYLCADDDRSGVSLQQDRASVHAAWAVHILHFNGGDVLMLHSAANGRYLAAYRAEGSWNVERLNLNRLPSLTFSWYALGSRYGDDVLLRHFKSMFFLRALFRRDRISNSGAVGLCAMDRGTTTMQWVVEAIPPRESIPTLPDPLPPSSLSGVYRIWYVRANPDGIISPNDWRLFLFYGRSVRNLSALLSIELGIRRPSDAILCVRAGFFGRLTPLVTNLPHNNMLLNLDIVVITAGTSGEISFYSDRFTYLHVHSFCLESLVHIALRYISRNAVACFMTNSVLIPF >ORUFI06G04150.1 pep chromosome:OR_W1943:6:2855355:2863979:1 gene:ORUFI06G04150 transcript:ORUFI06G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAAPPRVQALADAGVSLLPAQYVQPPELRPDPTTTTTHRRAPTAASLSVPVVDLSSPGAGDAVRRACAEWGAFHVVGHGVRPGLLDAMRGAGLAFFRSPMEEKLRFACDQARGAAAEGYGSRMLANDDSVLDWRDYFDHHTLPDSRRDPTRWPDFVPGYRDTVVKYSDSMKDLAQKLLRIVSESLNLPPSYIEEAVGEVYQNITVSYYSPCPQPDLALGLQSHSDMGAITLLIQDDVGGLEVLKDGLWIPVPSLPDGILVILADQTEIITNGRYKSAVHRAIVNADRARLSVATFYDPSKSRKICTAPQLVCKEHPQKYRDVIYGDYVSSWYSKGPEGKRNIDALLIEQ >ORUFI06G04160.1 pep chromosome:OR_W1943:6:2858062:2868501:-1 gene:ORUFI06G04160 transcript:ORUFI06G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQLEGLLGDLQQFTAPKAENSFDDITGKVVADFGCGCGTLSVASSLLDAEHVVGIDIDPQSLELAQENAADLELPVEVGLLVDTVVMNPPFGTSRKGADMEFISMGMKVATRAVYSLHKTSTREHIKKVALRNCNAISAEVLCELRYNLPRTYKFHKQNEVDIAVDFWRFVPRARDEDKPMDGTCLPTCIQQAVQVLTLKRVVQSSVTGWNKQPLMQELTKALKSVSSDLLDRFIDSVYKFSEQPYLNEGNFGPVNEIGDEVFIDDLNGEVPKDFPEGVYIRNGPNPLNASQTAAESIFGPTSYMYYEGHGMLHAIYLSKSNLGEWRISYKNKYVDTDTFELERKKNKIAFLPSAEGEPYATLVAFLLNTVRFGKPVKDSANTSIFQHAGRAFAATENHLPYEIDINNLRTLGPYNINGAWDQPFTSHPKKICGSGELVTMGTNIEKPHYVLGVISSDGERLLHKVDLKFEEGKLIHDIGVTAQFIQNDMGGISRIGVMPRFGDADSIIWFDVENHCSYHLFNCFEDGNEVVIRGCRTLDSVLSSASHDDDKSKCSGRAFLQPDKNSEGFDPSVDGTLFSRPYEWRLNLKSGTTKEGYLTDEKVAMDFPVINEDFVGVKNNYGYAQVVDSVATSEIGLFKYNRIAKVHFDRQDKENKQLKSVEYHVLKEKTFCSGVQFVAKENGIDEDDGWIITYVHDELTNVFQVYIIDAKRFAEEPVLKITLPQRVPYGFHGNFFYK >ORUFI06G04170.1 pep chromosome:OR_W1943:6:2868802:2872790:1 gene:ORUFI06G04170 transcript:ORUFI06G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAGWGIFCDVEFAPRDAGGFGRLMNAKQNGHQELHLVDHPFNNVTDGHEYYSPSAGGSFLPFATYYDLGHEYYPQGGEKDAVVVDRASPTIRKASPHLPLFTPKSEVSHLIGGGVVGSYKAFEMNSRLIRRKRASGKSLKKANVVKGQWTLEEDRKLVKLVEQFGLRKWSHIAQILPGRVGKQCRERWHNHLRPNIKKDTWSEEEDIVLIQTHKEVGNKWAEIAKHLPGRTENSIKNHWNATKRRQFARRRSRASSKNPKSGTLLQNYIKSLGIGPIKSSVRQAPPESTAVSSSSPASTQKLAEVNGKIRPDSNPSNQMVTQGILTMDENSYIQTNSCEELLVSTYDDLCLDMCDHLFETKDETPYQVYNIDDDVDMNYIFNHIDYANKIGHEIDMEMAWDDDVLQDDESAGSSPLETPAGLAQINTVHVKEEMDLIEMVTRTQSCG >ORUFI06G04180.1 pep chromosome:OR_W1943:6:2873211:2879346:-1 gene:ORUFI06G04180 transcript:ORUFI06G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSTRGRLFEFSTSSCMYKTLERYRSCNYNLNSCEASAALETELSNYQEYLKLKTRVEFLQTTQRNLLGEDLVPLSLKELEQLENQIEISLMNIRSSKNQQLLDQVFELKRKEQQLQDANKDLKRKIQETSGENMLHISCQDVGPSGHASEANQEFLHHAICDPSLHIGYQAYMDHLNQ >ORUFI06G04190.1 pep chromosome:OR_W1943:6:2884557:2888476:1 gene:ORUFI06G04190 transcript:ORUFI06G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAGWFPDMSASGDSIVLRHSSDQFLRAIDRGDGNGVTVEVSASRRANAHWVVEAIPSTDSIPRLPHIESRASTDGTFPHVAWACFEFTGRSLFNLRIELARRLNFAVASDAIMCVRAGFFGRLTPLVINLPDNNVTMEVIVVTAGTTAHSFQLVPHLVRASISSREFPPRRLAFVIVPWE >ORUFI06G04200.1 pep chromosome:OR_W1943:6:2896206:2896727:-1 gene:ORUFI06G04200 transcript:ORUFI06G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPAGCCKLDDGLMVIGSGIQEQAGAQWANSSLLVYSMFEDKVMQEWRHMFLSDSEKPDLA >ORUFI06G04210.1 pep chromosome:OR_W1943:6:2897752:2902126:-1 gene:ORUFI06G04210 transcript:ORUFI06G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDDERAEAEAEARREKEAGNAAYRKLYLETAVRHYTRGALLDPRDISFLTNRAAAYLLMSKVRLSVSAMPPNPSSLSDCLTSRRWRLVSPLQYKECVRDCDEAVEKGRELRADNKLVARALARKASALLKLAACAADYDPAIRALQQSLAEHYSEETLAKLGEAEEARKEIEERERLDQEAADHHRDRGNDFFKQKRYQEAAMHYTEAMKKNPKDPRVFSNRAQCHIYLGALPEGLEDADKCIALDPTFLKGYLRKAKVQLLMGNYEIALATYVEGLKCDPNNLEVLDGLRRCAACIKRANGGDSRAEDLREILGDLHLNDDLCNKLQKSMDEAAVLKKEASDERLKRIESERLARTLEDLYLSQVQQRKETEESLSRVQQEFEQLKIQQDEVTVELQRVNEQNENLLGQLSDSREHFEWLLSEHDQLLRERDNAVREVEELRQKRGQMLSVLVTAMHCEFSSSEVESATENFSNSLKIGEGGFGCVYKGILRNMTVAIKVLRPDSLQGQSQFEQEVSILSRVRHPHLVTLLGACSESSTLVYEFLPNGSLEDFLMCSDKRQTLTWQARIRIIAEICSALIFLHKNKPHPVVHGDLKPANILLGVNLVSKLSDFGISRLLIQSSTNNTTLYRTMHPVGTPLYMDPEFLSTGELTPQSDVYSFGIVVLRLLTGKPPVGIKKIVEDAMEKGDLNSVIDTSVGEWPHLHIEQLAYLALRCTELSRRCRPDLSGEVWAIVEAIRDAALSSPSSSRSAQDQNSPPSYFICPISQDIMDDPHIAADGFTYEAEAIRSWLCNGHDTSPMTNLLLEHEELIPNRALRSAIQEWLQQHSMSL >ORUFI06G04220.1 pep chromosome:OR_W1943:6:2906257:2911930:1 gene:ORUFI06G04220 transcript:ORUFI06G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHAELARRGSMLPRRAMAVALTLALTAMWPPPRLAAPATGGHVTVTARKPRGRRLSGKSTTTTTTASLGCGSKPNNIRGATAAAGGGSKMEAAAAVAPSGLRASFLDVLLSRRRNLQVALTVEPGSPVKRPLYQGRPPMGRSEAMESCPRKGVVNSKEKLVEENFYLITESGEQGRVPVLLLKLNDTTPKRKPVIVFLHSSYKCKEWLRPLLEALKSAWRNGDAMPFILDTVWDLIKLGDHLSEREDVDPCRIGITGESLGGMHAWFAAVVDTRYSVVVPIIGVQGFRWAIDNNKWQARVDSIKPLFEEARIDLGKSEIDTEVVEKVWDKIAPGLDSQFDAPFSLPVIAPRPLLLLNGAEDPRCPVLGLQEPVSRAAKAYEEVGSADKFMFIAEPGIGHQMTANMVKEASDWFDRFL >ORUFI06G04230.1 pep chromosome:OR_W1943:6:2915548:2919033:1 gene:ORUFI06G04230 transcript:ORUFI06G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAKSESDVTSLAPSSPPRSPKRGGGVGVGGANYYVQSPSRESHDGGYKSSSMQATPVYNSPNESPSHPSYGRHSRSSSVSRFSGTLRDGSRKAGGERKALNDKGWPECNVIEEEGPYEDLAGDTGLSRRCQIVLGFLCFVLLFTVFCLIIWGAARPYEPDVVVKVRRYYQPRKSHRLVTAVVHGNKVPLYGAGGGLMLSSSGGAVPLTLDFDLTSRGYVIGKLVRVTHKVHVTCPIVVDAKKTKPIKFSKKACAVYKI >ORUFI06G04240.1 pep chromosome:OR_W1943:6:2919395:2923975:1 gene:ORUFI06G04240 transcript:ORUFI06G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARRVVAAAAVLLLFAVVAVARLDLDDDGDDSEVLDELLAVDEEEERGELGGGGEAAAAEAVRRAQSMVLVLDNDNARRAVEENAEVLLLGYAPWCERSAQLMPRFAEAAAALRAMGSAVAFAKLDGERYPKAASAVGVKGFPTVLLFVNGTEHQFTGLHTKDAIVTWVRKKTGAPASRIQSKDSAEEFLKKDQTFAVGLFKNFEGAEYEEFVKAATSENEVQFVETNDRNVAKILFPGIASEEQFLGLVKSEPEKFEKFNGAFEEKEIIQFVELNKFPLITVFTDLNSGKVYGSPIKLQVFTFAEAYDFEDLESMIQEVARGFKTKIMLIYVDTAEEKLAKPFLTLYGLEPEKPTVTAFDTSKGTKYLMEAEINAKNLQDFCLSLLEGTLPPYFRSEPVPEEKGPIEKVVGRTFDSSVLESPQNVFLEVHAPWCVDCEAISKNVEKLAKHFNDLGQTNLKFARIDASVNEHPKLQINNYPTLLLYPAQDKSNPIKLSKKSNLKDMAKFVKEKLQIADVETVAAGDIVKDEL >ORUFI06G04250.1 pep chromosome:OR_W1943:6:2924756:2933410:1 gene:ORUFI06G04250 transcript:ORUFI06G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALERRLAYAALIPFLLLALPILPSDSPSGGGGGGAGGGGGGGETLDPPAAKYVVRFVEYRPADEHREYLEDGLRGAARPPPAASWRWVERRNPAAAFPTDFAVLEIRDACRAAVVDAVSALGRVRDVHADASYSRGVLSADRPRQQGKLFTAMSFEGEEGGGDREVGCSTDSNNSSSAGWRRKLLVQRSQVTSLFGAERLWGRGFTGRKVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGQDAECPGFAPDTEIYAFRVFTDAQISYTSWFLDAFNYAIATGMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNNHIASFSSRGMTTWELPHGYGRVKPDVVAYSRDIMGSKISTGCKTLSGTSVASPVVAGVVCLLVSVIPEEHRKSILNPATMKQALVEGASRLSGPNMYEQGAGKIDLWQSYEILKSYQPRASIFPNTLDFTDCPYFWPFCRQPLYAGAMPVVFNATILNGMGVIGYVKDPPVWQPSEDVGNILSVHFTYSDVIWPWTGYLALHLQVKDEGSQFSGIISGKVTLSIYSPAAHGESSPRSSSCVLYLKVKVVPTPVRSRRILWDQFHNIKYPSGFVPRDSLNVHNDILDWHGDHLHTNFHILFNMLRDAGYYIETLGSPLTCFDASNYGTLLMVDLEDEYFSEEIQKLKDDVVHKGLGVVVFAEWYHVDTMVKMTFFDENTRSWWTPITGGANVPALNELLAPFGIAFGDKVLSGDFSINGEQTHYASGTDIVQFPAGGFLHSFQLQDNSKISQDNSRSVDTQNTPDKSKLSSILGMMEAGKGRVAVYGDSNCLDSSHMVTNCYWLLRKLVEFTGNRIKDPVLFSESAQLKFPVFESIHQLSRRPDVNFSTYSTVVGNELICHQDSRFEVWGTKGYGTQQTGTTRKLPEYQKSEAYNISTPIASDSTPDEAGLQRNISTPIASKFDKRMDYFGFLGHEENSGSLYAVQFVHTLSNSKIDIGMLVASQWMVPCFAATACLMLYLSCRVQQKRRRRKKGSTAARLSSMDRMV >ORUFI06G04250.2 pep chromosome:OR_W1943:6:2924756:2933116:1 gene:ORUFI06G04250 transcript:ORUFI06G04250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALERRLAYAALIPFLLLALPILPSDSPSGGGGGGAGGGGGGGETLDPPAAKYVVRFVEYRPADEHREYLEDGLRGAARPPPAASWRWVERRNPAAAFPTDFAVLEIRDACRAAVVDAVSALGRVRDVHADASYSRGVLSADRPRQQGKLFTAMSFEGEEGGGDREVGCSTDSNNSSSAGWRRKLLVQRSQVTSLFGAERLWGRGFTGRKVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGQDAECPGFAPDTEIYAFRVFTDAQISYTSWFLDAFNYAIATGMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNNHIASFSSRGMTTWELPHGYGRVKPDVVAYSRDIMGSKISTGCKTLSGTSVASPVVAGVVCLLVSVIPEEHRKSILNPATMKQALVEGASRLSGPNMYEQGAGKIDLWQSYEILKSYQPRASIFPNTLDFTDCPYFWPFCRQPLYAGAMPVVFNATILNGMGVIGYVKDPPVWQPSEDVGNILSVHFTYSDVIWPWTGYLALHLQVKDEGSQFSGIISGKVTLSIYSPAAHGESSPRSSSCVLYLKVKVVPTPVRSRRILWDQFHNIKYPSGFVPRDSLNVHNDILDWHGDHLHTNFHILFNMLRDAGYYIETLGSPLTCFDASNYGTLLMVDLEDEYFSEEIQKLKDDVVHKGLGVVVFAEWYHVDTMVKMTFFDENTRSWWTPITGGANVPALNELLAPFGIAFGDKVLSGDFSINGEQTHYASGTDIVQFPAGGFLHSFQLQDNSKISQDNSRSVDTQNTPDKSKLSSILGMMEAGKGRVAVYGDSNCLDSSHMVTNCYWLLRKLVEFTGNRIKDPVLFSESAQLKFPVFESIHQLSRRPDVNFSTYSTVVGNELICHQDSRFEVWGTKGYGTQQTGTTRKLPEYQKSEAYNISTPIASDSTPDEAGLQRNISTPIASKFDKRMDYFGFLGHEENSGSLYAVQFVHTLSNSKIDIGMLVASQWMVPCFAATACLMLYLSCRVQQKRRRRKKGSTAARLSSMDRMV >ORUFI06G04260.1 pep chromosome:OR_W1943:6:2933672:2937635:-1 gene:ORUFI06G04260 transcript:ORUFI06G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVAIRSAFPTTAAAAAAPALRPAFLGVARAAASSRRRRILLPAWPVAAMSSSSSSSAAAAHKAGAWYAVPDLSLRDHRFAVPLDHSSPSPSAPTITVFAREVVAAGKEDLPLPYLLFLQGGPGFESPRPTEASGWMKKACEEYRVVLLDQRGTGLSTPLTTSSLSQITSAAEQVEYLKHFRADSIVKDAEFIRLHLVPDAKPWTVLGQSYGGFCAVTYLSFAPEGLKSVLLTGGLPPLGSACTADTVYRACFKQVQQQNEKYYARYPQDIQVIHELVRYLNESEGGGVSLPSGGRLTPKMLQCLGLSGLGSGGGFERLHYLFERVWDPILVPGAKKTISYYFLKEFERWLGFDQNPLYALLHESIYCQGSPSKWSAHKIGSECESLFDPIKAIKEGRPVYFTGEMVFPCIFDEIHALRPLKETAHMLAHKEDWPPLYDVNVLNNNKVPVAAAVYYEDMYVNFNIAKETASQIAGIRLWITNEYMHSGIRDGGSHVFDHLMGLLNGKKPLF >ORUFI06G04270.1 pep chromosome:OR_W1943:6:2939467:2943210:-1 gene:ORUFI06G04270 transcript:ORUFI06G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLVSAATGAMNSVLAKLAAFLGDEYKHAKGVRDDLAFLQSELTTMNKALHALADADQLDELSKDWRDRVRDLAYDIEDCIDLSVHRLHGAGESGLAAKMARMAKKIGAFRQIASQIQQLKARVLEVSERRNRYTLHGLVPTSSDASSSTTKVDARLCALWTETKHLVGIDGPRDDIISRLEQESSSAAAQHDVRMVSIVGCAGLGKTTLAKQVYDKIKAEFEYKAFVSVSQRPNIKELLLNISTQVGKSTNTWDDVANLVDNLREHLKQKRYIIVVDDIWSPEPWNFIGEALVKTSHGSIIILTTRVKEVAISSSSSHGGFVYQMKHLDGAHSKRLFYKRIFDCEEKCPPKFELASEEILKRCDGIPLAIISISSFLADHESLYHWNEVKKIISSPLPGNEYLETMQSVLALSYYNLPHDIRSCLLYLSAFPEDCEIAKSSLVSRWIAEGFINARPGENVYEAGLRYFNVLINRSLIQPWNEHYGEVLTCRVHDVILNFIVSKSVEENFLFLLDPSGLVPLQHSNYCKVRRLSLQGNYCQEEFASRMMPIKLHVRSLICSVDYTGFHPLSEFKVARVLDLDGCQSLTNNHLANIEKLVHLQYLRIRGRVTVLPANIGRLQHLETLDIRGSEVKELPPSIVLLQRLARLSVSQDVKFPAEGVSKMQALEELTGLTLFCQPGSFLKELGELTKLRVLVVYWKAYHARDSDEAQAEHKKSCKKIFTSSLNALDRHSLHSLDFVVFMERFLFDPWFLALQNLKRFGVESTPRMINIPSWIRLAAKLEKLELSKAYVTQNDFEMLGDLKALEYLALPCSDTRGSWLTISNHGFRCLKFAFLCNVLFMPDSMPNLKDLRIDIVLDEVGENDSVFEHLPSTLCRVNVDIIGNPPSTPRDVASELEEKILNVAKTHPNRPTLTTRTLDRDILVD >ORUFI06G04280.1 pep chromosome:OR_W1943:6:2944376:2946322:-1 gene:ORUFI06G04280 transcript:ORUFI06G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSTLRDGFLTICHFCLRGVPPWLATQKAVWDPQVSFYPLPFIFLLGSSLILQLADGEQPPPSPASLTASL >ORUFI06G04290.1 pep chromosome:OR_W1943:6:2946358:2950398:-1 gene:ORUFI06G04290 transcript:ORUFI06G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLVSAATGVMNSVLAKLTAFLGEEYKHAKGVRDDLVFLRSELSTMNIVLQKLADVDQLDELSRDWRDRVRDLAYDIEDCIDLSVHRLRGSAGESGLAAKVARMAKKIGAFRQIASQIQKLKARVVEVSERRNRYTLHGLVPTSSDASSSTKVDVRLCALWTETKHLVGIDGPRDDIISRLMEQKQESLSSVQHGVRMVSIVGCAGLGKTTLAKQVYDKIKGEFECKAFVSVSQKPNIKELILNISNQVGNKSTNMSDDVANLVDNLREYLKQKRYIVVVDDIWNPEPWNFIGEALVKTSPGSIIILTTRVKEVAMSSSSSHGGFVYPMKHLDGAHSKRLFYKRIFDCEEQCPPEFEQASKEILERCDGIPLAIISISSFLADRQSLYHWNEVKKIISSPIPGNKDLETMQSVLALSYYNLPHDLRSCLLYLSAFPEDCEIGKTRLVSRWIAEGFINARPGENLYEAGLRYFNVLINQSLIQPWNEHYGEVLSCRVHDVILNFIVSKSVEENFMTLLDPSGPVPLQHSNCCKVRRMSLQGSYCQEKFASSMKSIKPHVRSLACSMDCTGLHPLSEFKVARVLDLEGCESLTNNHLANIEKLAYLRYLSISGTGVSVLPANIGRLQHLETLDILDTQVKELPPSIVLLQQLVRLSVNSDVMFPAEGVSKMQALEQLTGLLPFNQPVSFLKELGELTKLRVLAVSWIPDHVRDSDEAHAEHEKSYEKIFISSLNALDRHSLQYLDLSLYYSYSDIAAKRFLFDSWFPSLKNLRRLSIVNGFKTTTIPSWIRLAAKLEKLELSKAYVTQDDLEMLGDLKALEYLALPFSDTQGSWLTISNHGFRCLKFAFLCNVLFMHDSMPNLKDLHIGIRLNVVGENDSFQHLPNTLCRVHAWISGNLDAVSKLKEKILNIANTHPNRPSLTTATYIQ >ORUFI06G04300.1 pep chromosome:OR_W1943:6:2954970:2965287:-1 gene:ORUFI06G04300 transcript:ORUFI06G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPLPPTPPPSQQQQPQPQGKEQQQQMAVAMNARRLVMIGDRLRTHFRGGGGTVLEPPDLAHLVYAFARGIDFALSSGDVPTVASEIPSILKKVYLVGKDQFLQSSVMVLMISCKNACSEKWFQPTDCTEILRMANELSGKFCTPVSQPGNDSTVIQIISTIMPRYYPQLKFERLVTSLEAKVGYDVLMADFFIHKNVPREEKINLIVVQKEDLDASSCIANPPHVSFLVNGKGVDKRTNVSMETGPQFPTDITRMLKYGANIIQAIGYFNANYIIAVAFLNKLESFDAPNLNDYAQPVAANPPDSDLLEGPSRVSLKCPISFRRIKTPIKGRLCKHYQCFDYDNYMEMNLRKPTWRCPFCNTPSNFTDLRIDQKMVKILQETGEDTIDVLVFADGSWKAISTNDERSDRHSSDVIQHSRDTMDTDATADDVIDLINEDNDGDVPMSFTSASEDVKPFLNCQDLSVADYLSDLPMNTVSQAEDLYAGGASRGNNERGNATSTSGQNSSLPSTGGLGSSSFGTLESILPHNILHPVITDAVSPSLDTSNSVVPRQHVAQGTRSDIVPSQPRIDPQLRLEIARPPIPRNVAREPTGIQALPVQPQRVRPNIYNCPPPFPQSSPASAYQVHQVTNADSVITAMSTGIGSLSRAPDAAPLLQHQSTQQEIRATQNYHQGQFIGLTAAQNFMGTRPPPGVPGQAIGANAHGAPPAQQSHHVHRLVSNLMNQLGQATVAQPSTAPQVLPSQPGGTSAVNPQIRGHLFPAQQRSQAMRPQAVPRPTISQAPPRAQSPFLPATARPPSTPPPIGTSDDLQELPVDESWRPTGQMRGSLTGEAYSVAIGRYNPSVNIAGQQTSHVTSQARPAGPDARR >ORUFI06G04300.2 pep chromosome:OR_W1943:6:2954970:2965287:-1 gene:ORUFI06G04300 transcript:ORUFI06G04300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPLPPTPPPSQQQQPQPQGKEQQQQMAVAMNARRLVMIGDRLRTHFRGGGGTVLEPPDLAHLVYAFARGIDFALSSGDVPTVASEIPSILKKVYLVGKDQFLQSSVMVLMISCKNACSEKWFQPTDCTEILRMANELSGKFCTPVSQPGNDSTVIQIISTIMPRYYPQLKFERLVTSLEAKVGYDVLMADFFIHKNVPREEKINLIVVQKEDLDASSCIANPPHVSFLVNGKGVDKRTNVSMETGPQFPTDITRMLKYGANIIQAIGYFNANYIIAVAFLNKLESFDAPNLNDYAQPVAANPPDSDLLEGPSRVSLKCPISFRRIKTPIKGRLCKHYQILQETGEDTIDVLVFADGSWKAISTNDERSDRHSSDVIQHSRDTMDTDATADDVIDLINEDNDGDVPMSFTSASEDVKPFLNCQDLSVADYLSDLPMNTVSQAEDLYAGGASRGNNERGNATSTSGQNSSLPSTGGLGSSSFGTLESILPHNILHPVITDAVSPSLDTSNSVVPRQHVAQGTRSDIVPSQPRIDPQLRLEIARPPIPRNVAREPTGIQALPVQPQRVRPNIYNCPPPFPQSSPASAYQVHQVTNADSVITAMSTGIGSLSRAPDAAPLLQHQSTQQEIRATQNYHQGQFIGLTAAQNFMGTRPPPGVPGQAIGANAHGAPPAQQSHHVHRLVSNLMNQLGQATVAQPSTAPQVLPSQPGGTSAVNPQIRGHLFPAQQRSQAMRPQAVPRPTISQAPPRAQSPFLPATARPPSTPPPIGTSDDLQELPVDESWRPTGQMRGSLTGEAYSVAIGRYNPSVNIAGQQTSHVTSQARPAGPDARR >ORUFI06G04310.1 pep chromosome:OR_W1943:6:2968539:2971160:-1 gene:ORUFI06G04310 transcript:ORUFI06G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALDDLIRRLLDARGGRTARPAQLADAEIRKLCAAAKDVFLSQPNLLELEAPIKICECDCIMLTIILPTYSLTGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRIWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLKNMDQIRNIARPVDVPDHGLLCDLLWSDPDKEIEGWGENDRGVSYTFGADKVAEFLQTHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSIDDSLTCSFQILKPSDKKGKAGTGNMSKPGTPPRKIKINII >ORUFI06G04320.1 pep chromosome:OR_W1943:6:2975192:2976167:1 gene:ORUFI06G04320 transcript:ORUFI06G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVHACMRWRRDENVGAPLDGARTPSLSLPSHVSAVRRTGEQVAVRCHVRTKGLVMLPRRNTPPQHRERRPRSHDAALAGVAMPNASPTVFGGVVIFLTPWRRRSTGHPRLAGGDFHLTASSSARVIILLTRAVVESGHTILHLVEICWVNPEKAISVPPCQSMLRIIRTAGNVVKLPSLPSSWCRGVFKPCCSGPPIVIGTGRRRLSGRS >ORUFI06G04330.1 pep chromosome:OR_W1943:6:2979025:2983169:1 gene:ORUFI06G04330 transcript:ORUFI06G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQRGRGGFDELVLLHQQQEQRRRREQQQEEEEEEEVRRQMFGAVVGGLAAFPAAAAALGQQQVDCGGELGGFCDSEAGGSSEPEAAAGARPRGGSGSKRSRAAEVHNLSEKRRRSKINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNPSYLSGALEPAQASQMFAALGGNNVTVAHPGTVMPPVNQSSGAHHLFDPLNSPPQNQPQSLILPSVPSTAIPEPPFHLESSQSHLRQFQLPGSSEFHKILFLHVLLSVKDGVSWRDNAKAPPIITSRKSARKRDELHQERIIHVEHQ >ORUFI06G04350.1 pep chromosome:OR_W1943:6:2988084:2992914:-1 gene:ORUFI06G04350 transcript:ORUFI06G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVIAAFLSIGGSGVPQIRREGGGRRSSPSPGALLLRGPWRSSRGILIIWADPSVISFLLMLPTAVAKDQKSFLTRRSSISTQDDTTAILVSPNDDFSCGFYKVATNAFTFSIWFSRSSEKTVAWTANRDAPVNGKGSRLTFQNDGTLALLDYNGKVVWSTNTTATQANRAELLNNGNLVVMDLQGQHLWRSFDSPTDTLLPLQPITRNVKLVSASARGLLYSGFYNFLFDSNNILTLVYNGPDTASIYWPNPSVHLPWKNGRTTYDSRRYGVLNQTGRFVSSDLFKFEDSDLGDHVMRRLTLDYDGNLRLYSLNETSGNWSVSWMAFSRVCQMHGVCGFEVIDPSDWSKGCKRKADMTVIWDKGNRTNTNNTISRDFSFRKNTGTDFWGYDMDYAESVPFSNCRNMCLANAKCQAFGYRRGTGLCYPKYTLFNGRSFPDPYNDIYLKVPKGVPFTKESDSRLTHSCGVTEKLAYPSSQMLEDVPSKFVFGYFLSSLLTVLLIEVVLIVAGFSVVKKWETIPEITDEATRSYTRQPIVFRKSGNGGSGVVYKGVIDDERQVAVKKLNDVIYGEQELRSELSVIGRIYHMNLVRVWGFCAEKTSRLLVSEYIENGSLDRLVFDHQNLFPLLKWNQRYNIALGVAKGLAYLHHECLEWIVHCDIKPENILLDKDFEPKIADFGLVKLLKQGTALMLSRVHGTRGYIAPEWVLNLPITGKADVFSYGVVLLELVKGIRVSRWMVEGEKVELGVKRTADILKEKLVNEDQSWLLEFVDGRLEGEFNYSQAVKIFMRGRGEEPKTKHEPSGSKSALTGGIMASTVII >ORUFI06G04350.2 pep chromosome:OR_W1943:6:2992914:3004910:-1 gene:ORUFI06G04350 transcript:ORUFI06G04350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIITYVPVSLAFHGKQLLAVAMRGVSIFTTAISFLLMLTIALAEDQRSSLARGSSISIQDDTTTTILVSPNGHFSCGFYKVATNAFTFSIWFSRSSEKTVAWTANRDAQVNGKGSKLTFRKDGSLALVDYNGAAVWSTNTTATGASRAELDDSGNLVVMDPAGHRLWKSFDSPTDTLLPLQPMTQDTKLVSASARGLPYSGLYTFFFDSNNILSIIYNGPETSSIYWPNPYERSWENGRTTYNSSQYGILNQEGMFLASDKLQFEASDLGDKDVMRRLTLDYDGNLRLYSLNATNGKWSVSWLAFPRLCEIHGLCGINSFCTYMPSLQCSCLEGFEMTEPSDWSQGCRRKENITVKGDHNANNNTEQKFIFVEIPKTDFYGYDFNYTPSVALPVCKQICLNDDGCEAFAYRKGKGECFPKALLINGKKFPDPSNDIYLKFSKEASSSQLLASKPSHICKVTEKDAYPSSQMFGGSNSKFKFGYFLSSALTLLVVEVILVTVGCWATYKWGRRPEIRDEGYTIISSQFRRFSYKELEKATGFFQEELGSGGSGAVYKGILDDNRKVAVKKLNDVIHGEQEFRSELSIIGRVYHMNLVRIWGFCAEKTHKLLVSEFVENGSLDRVLSNHQSVFPVLPWSHRYNIALGVAKGLAYLHHECLEWIVHCDVKPENILLDKDFEPKIADFGLVKLLNRGPSTNILSRVHGTKRVHCARVAPEWALNLPITGKADVYSYGVVLLELVKGNRVSRWVVDGEEEVELAVKRTVDILKEKLASGDQSWLLDFVDCRLNGEFNYSQAALVLNTAVSCLDEDRRKRPSMNTMRGVYIFTTIFFLLMPTIALANHRKPFLARRSSISTQAFIARRSSISTQDDTTTILVSPNGDFSCGFYRVATNAFTFSIWFSRSSEKTVAWTANRDAPVNGKGSRLTFQKDGTLALLDYNGKVVWSTNTTATRADRAELLNNGNLVVMDPEGQHLWRSFDSPTDTLLPGLLYSGFYNFLFDSNNILTLVYNGPDTASIYWPNPSFDQPWKNGRTTYDSLRYGVLNQTGYFVSSDLFKFEASDLGDHVMRRLTLDYDGNLRLYSLNETSGNWSVSWMAFSRVCQMHGVCGTNAVCNYIPELHCSCLQGFEVIDPTDWSKGCKRKVDITAIWDKGNRHNITNNSTSQDFSIRKITATDFWGYDTAYTQLIPYSNCRNMCLTANNCQAFGYRKGTGESYPKYSLFNGWRFPDPYNDLYLKVPKGVPFREESDSRPTHSCGVTEKLAYPSSQMFEEVTSNFEFGYFLSSVLTLLLIEVVLIIVGFSVVRKWETRPEITDEGYAIISSQFRRFSYKELQKATNCFQEELGSGGSGVVYKGVLDDERKVAVKILNDVIYGEQELRSELSVIGRIYHMNLVRIWGFCVEKTKRLLVSEYSENGSLDRLLFDYHNLFPVLKWSQRYNIALGVAKGLAYLHHECLEWIVHCDIKPENILLDKDFEPKIADFGLVKLLKPEAAQMPSRVHGTRGYIAPEWALNLPITGKADVYSYGVVLLELVKGSRVSRWVVDGKEEVGLAVKRNVDTLREKLASEDQSWLLEFVDSRLDGEFNYSQAATVLKIAVLCLEEDRRMRPSMDTVVEVLLSLVE >ORUFI06G04360.1 pep chromosome:OR_W1943:6:2991780:3004671:1 gene:ORUFI06G04360 transcript:ORUFI06G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRLMATNLSLGSAHCPTLPVVPYCRRNERAVNHSSCSWRRRSRGRWCPGIAGGGAERRAQGYEPRRPVSALPVSCCPVGAARLGVESPLQCLDKYYDIYQLCILSHRLQWQERVGWRIEALPEAMTGWIHDDEVAAVVKLCTASASGRGVGAPHSRAVVINKGQAPVLPEASRFAVHATVFSDDLENQMEKVKALVATL >ORUFI06G04360.2 pep chromosome:OR_W1943:6:2994911:3004671:1 gene:ORUFI06G04360 transcript:ORUFI06G04360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFRIHDNKVSIVEKLCSVGSSGCCVGAPYNFAISRRAKVPSFWKVSLEPFPFTGASRFAVQATVFSDDLENQMEKAAGSSSREHEKEITVAKMQKRLMATSRTAAATREPSTTAAAHGAAAPGAGGARGSPEAEPNAARKAMSRGGRYQLCILSHRLQWQERVGWRIEALPEAMTGWIHDDEVAAVVKLCTASASGRGVGAPHSRAVVINKGQAPVLPEASRFAVHATVFSDDLENQMEKVKALVATL >ORUFI06G04360.3 pep chromosome:OR_W1943:6:2991767:3005038:1 gene:ORUFI06G04360 transcript:ORUFI06G04360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMPLELRHGPRSSSAPGDGEERRPPPSRRIWGTPLPPLPMAAAVPVPWSPESEFDGAEEAPSGARCRKESPHAAAALITVQMMPEWKDSRFDERIGAAPTMRTWCRQQAAAVGSMRRR >ORUFI06G04360.4 pep chromosome:OR_W1943:6:2994911:3001714:1 gene:ORUFI06G04360 transcript:ORUFI06G04360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFRIHDNKVSIVEKLCSVGSSGCCVGAPYNFAISRRAKVPSFWKVSLEPFPFTGASRFAVQATVFSDDLENQMEKQAAGSSSREHEKEITVAKMQKRLMATNLSLGSAHCPTLPVVPYCRRNERAVNHSSCSWRRRSRGRWCPGIAGGGAERRAQGYEPRRPVSALPVSCCPVGAARLGVESPLQCLHDAE >ORUFI06G04360.5 pep chromosome:OR_W1943:6:2991767:2992624:1 gene:ORUFI06G04360 transcript:ORUFI06G04360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMPLELRHGPRSSSAPGDGEERRPPPSRRIWGTPLPPLPMAAAVPVPWSPESEFDGAEEAPSGARCRKESPHAAAALITVQMMPEWKDSRFDERIGAAPTMRTWCW >ORUFI06G04360.6 pep chromosome:OR_W1943:6:3004413:3004671:1 gene:ORUFI06G04360 transcript:ORUFI06G04360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWIHDDEVAAVVKLCTASASGRGVGAPHSRAVVINKGQAPVLPEASRFAVHATVFSDDLENQMEKVKALVATL >ORUFI06G04360.7 pep chromosome:OR_W1943:6:2991767:2995495:1 gene:ORUFI06G04360 transcript:ORUFI06G04360.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMPLELRHGPRSSSAPGDGEERRPPPSRRIWGTPLPPLPMAAAVPVPWSPESEFDGAEEAPSGARCRKESPHAAAALITVQMMPEWKDSRFDERIGAAPTMRTWW >ORUFI06G04370.1 pep chromosome:OR_W1943:6:3015377:3017523:-1 gene:ORUFI06G04370 transcript:ORUFI06G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGVHIFTTLISFLFMLTTALAEDKKSYLARGSSVSTEDDTKTILVSPNGDFACGFYKIVGEDVAWTANRDAPVNGKGSRLTFRKNGSLALVDYNGTVVWRSNTTATRASFAKLLDNGNLVVVDSEDQCLWRSFDSPTDTLLPLQPMTRDTKLDVMRRLTLDYDGNLRLYSLNATNGKWSVSWLAFPRVCEIHGLCGKNSFCTYMPSLQCSCLEGFEMTEPSDWSQGCRRKENITVKPDHNANDNTEQRFIFVEIPKTDFYGYDFNYTPSVTLPVCKQICLNDDGCEAFAYRKGKGECYPKALLINGKKFPDPSNEIYLKFSKVSSSQLLASKPSHICKVTEKDAYPSLQMFEGSNSKFNFGYFLSSALTLLVVEVILVTVVCWAANKWGRRPEIQDEGYTIISSQFRRFSYKELEKATEFFQEELGSGGSGAVYKGILDDNRKVAVKKLNDVIQGDQEFKSELSIIGRVYHMNLVRIWGYCAEKTHKLLVSEFVENGSLDRVLSDHLGLFPVLQWSQRYNIALGVAKGLAYLHHECLEWIVHCDVKPENILLDKDFEPKIADFGLVKLLSRGSNTHNQSKVHGTRGYIAPEWALNLPITGKADVYSYGVVLP >ORUFI06G04380.1 pep chromosome:OR_W1943:6:3020751:3022183:1 gene:ORUFI06G04380 transcript:ORUFI06G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSHSSAALYKPREASSPRRRSQSGDPRRRPRDRSKLLHRSHDMAEAASAAATATAEQANGSNGGEQKTRHSEVGHKSLLKSDDLYQYILETSVYPREHECMKELREVTANHPWNLMTTSADEGQFLNLLLKLIGAKKTMEIGVYTGYSLLATALAIPDDGTILAMDINRENYELGLPSIEKAGVAHKIDFREGPALPVLDQLVEEEGNHGSFDFVFVDADKDNYLNYHERLMKLVKVGGLVGYDNTLWNGSVVLPADAPMRKYIRYYRDFVLELNKALAADHRVEICQLPVGDGITLCRRVK >ORUFI06G04390.1 pep chromosome:OR_W1943:6:3025242:3028038:-1 gene:ORUFI06G04390 transcript:ORUFI06G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRPRPQRAAKFQAMGARRVASSSREPWRPRPWRKYKQSRPPHFSPPRRARGEATEPKRAMAGGVIIGVAPPAAAEEPEPHVERLPADLLAHVLSLLPSFHDLAMAGGVSRRWRRAVERSLAGRRRMSFAGQRTGDDSTARFVRAAVNLRDLDISRSCWGCQITDQGLIRISTADCVKNLTSISLWGLAGITDNGVIQLVSRAHSLQHLNIGGTFITDESLYAVAKSCINLKSIIVWSCRHVTEAGLVALVGGCRRLECINVGGMRVPPESFAGLLAIRPALQIRSIPQILNANVQVS >ORUFI06G04410.1 pep chromosome:OR_W1943:6:3034096:3037427:1 gene:ORUFI06G04410 transcript:ORUFI06G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEWHIPSSPPNPKSASRLLPSLSITTPRPTPNQTPCGRDLAALAMPPPLSFLHLAANPTPLHASPLRRARLRHRLSLRTDHPSRRAVASAGENPSTPGGPIADVEMVRGKDGVWTARPPTVVVLWDLDNKPPRGPPFPAATALRDAASLLGRVVSVSAFANRHAFSHVPAWVADERRERRAMDRAERAGAASPPVPYSCAVCGRRFPTRPDLTRHFRQLHQRERNKKLSRLRSLKGKKRQKFRERFISGNTKYDDAARELLTPKVGYGLAAELRRAGVHVRTVSDKPQAADHALKRQVKHSVACGVDWLMLVSDDSDFTDTVRKARAADLRTVVVGDGCRALGSVADIWLPWDRVENGEVDEDMLRNGTHMGLRDEEEDEQDDDEFIVDWDTSDLDGVVDDIVATRTKLFGATTMSAFADEEIMDGILGVGINGGDMLWSSDDEDEDGLVLYCYSANQKASSHRHKHS >ORUFI06G04420.1 pep chromosome:OR_W1943:6:3044023:3044577:1 gene:ORUFI06G04420 transcript:ORUFI06G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRREATVFLPPPPPPPTQPQPPQAAAAAVRATVGGRGGGGGRQYRGVRMRKWGKWVAEIREPNKRSRIWLGSYSTAVAAARAYDTAVFYLRGRSARLNFPDQLDGAGGGGGSGGGAEDHRELTAAAIRKKAAEVGARVDAQHSVVGAAAPVPLQPPQPPPPQRRRTKNPDLNREPTPDTSDDE >ORUFI06G04430.1 pep chromosome:OR_W1943:6:3050903:3052146:-1 gene:ORUFI06G04430 transcript:ORUFI06G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLRLALPSPSPSPATATAAGSELDLLNSAPGSCRKRGFEEALGGFKTDDDNDDGNGRGGDGDSDGEMGNKRRKLVGWPPVKCLHRRRDGGCGGGYVKVKMEGLAIGRKLDLSILGSYAELLDTLHLMFPSTNQAETHRMVPGLCQVGETAQDTGVTDCKLSYQY >ORUFI06G04430.2 pep chromosome:OR_W1943:6:3050932:3052146:-1 gene:ORUFI06G04430 transcript:ORUFI06G04430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLRLALPSPSPSPATATAAGSELDLLNSAPGSCRKRGFEEALGGFKTDDDNDDGNGRGGDGDSDGEMGNKRRKLVGWPPVKCLHRRRDGGCGGGYVKVKMEGLAIGRKLDLSILGSYAELLDTLHLMAFAKSVKRLKILV >ORUFI06G04440.1 pep chromosome:OR_W1943:6:3060293:3063996:1 gene:ORUFI06G04440 transcript:ORUFI06G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPHPPSAPPIRSPFHRLLLRPPPRPPVPPIRRPRQGAVLPAYVAYSDTAALRSPNPYDNKSGNGASADCAFHLLDEIGLRQRRTPASSRAPRRSLTLLARAHCSPCWTLRPRMAFRPTWRARCSSGFPEESNPKD >ORUFI06G04450.1 pep chromosome:OR_W1943:6:3064992:3070798:1 gene:ORUFI06G04450 transcript:ORUFI06G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKLSRFAHRVLCCGRKGSGEDLSDEGSGSLRWVFSLRELRSATNSFNYDNKIGEGPFGSVYWGQVWDGSQIAVKKLKCAKNGTETEFASDVEILGRVRHKNLLSFRGYCADGPERVLVYDFMPNSSLYAHLHGTHSTECLLDWRRRTFIAIGAARALALAHLGDFGLIRFIPDGVDHDKIISENQRGYLAPEYIMFGKPTIGCDVYSFGIILLELSSGRRPVERSGSAKMCGVRNWVLPLAKDGRYDEIVDSKLNDKYSESELKRVVLVGLACTHREPEKRPTMLEVVSMLKGESKEMLSRLENDELFRPDSTVSSHGMSTPEGSSDCVPKNDQELAAA >ORUFI06G04460.1 pep chromosome:OR_W1943:6:3068423:3078478:-1 gene:ORUFI06G04460 transcript:ORUFI06G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPPPPGTGAPPPPPPAAVGPPGGVGEKKPLTAAELEAQLVEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDEEEDSAVHEWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVRLGVYHTPMIMYIKTEDPDLPAFYYDPLINPITSTNKVDRRERRTTEEDEDEDFRLPDGVEPLLKGTELYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWYKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDVWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPTMPNEFLTYADTKVETRHPIRLYSRYIDKVHIMFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSFTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQIAGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPANLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNTPWNFNFMGVKHDPLMKYNMKLGTPRDFYHEDHRPTHFLEFSNIDEGEVAEGDREDTFTWFACATTLQQPTRPFTSPLYKHMPTGGVGV >ORUFI06G04470.1 pep chromosome:OR_W1943:6:3081072:3091245:1 gene:ORUFI06G04470 transcript:ORUFI06G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAINPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQENAMFCFEHLVVLNADRDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLLQSREPNTKKKAALCSIRIVRKVPDLAENFMGSAVSLLKEKHHGVLISAVQLCAELCKASKEALEYLRKNCLDGLVRILRDVSNSSYAPEYDIAGITDPFLHIRVLKLMRILGQGDADCSEFVNDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAMEVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDANAKSLTKELVDYLEVSDQDFKDDLTAKICSIVEKFSQDKLWYLDQMFKVLSLAGNYVKDDVWHALIVLISNASELQGYSVRSLYKALLACGEQESLVRVAVWCIGEYGEMLVNNVGMLDIEEPITVTESDAVDAVEVSLKRYSADVTTRAMCLVSLLKLSSRFPPTSERIKEIVAQNKGNTVLELQQRSSLLERMPVIDEASYLAKRAASTQATISSDKLAAAATPGSSLKLPNGVAKPPPAPLADLLDLSSDDAPATTSAPTTAPNDFLQDLLGIGLTDTSTAGGAPSASTDILMDLLSIGSSPVQNGPPTVSNFSLPGQAETKVAPVTPQVVDLLDGLSSSTSLSDENTAYPPITAFQSAALKITFNFKKQSGKPQETTIHASFTNLTSNTFTDFIFQAAVPKFIQLRLDPASSNTLPASGNDSVTQSLSVTNNQHGQKPLAMRIRITYKVNGEDRLEQGQINNFPAGL >ORUFI06G04480.1 pep chromosome:OR_W1943:6:3094090:3095181:-1 gene:ORUFI06G04480 transcript:ORUFI06G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAGVIRSNQDGGGGGGTVSGAARISPAVVFVLVILAVVLFVSGLLHLLVRFLLRRGRGRDGGGGGDAEAAEGVEESALQRQLQQLFHLHDAGLDQDVIDALPVFMYREVVVGVGGGGGAGGGAKEPFDCAVCLCEFAGDDRLRLLPVCGHAFHIDCIDTWLLSNSTCPLCRAALGADAAALFDAAFDEMADEEDRKQQEDAVFPVRLGKFKNLSRAVGPVHDRDDAAAAAAGVGVGGGIITREEGESSSSSLDARRCFSMGSYQYVLAEASLQVSVHRRHGDGNGRARLRGLAGANPAGNDAAAAADGKKIGAGSKGDSFSVSKIWQWPRQGKGKLPVLASDDSPAVDGRLPWPRRSPGAS >ORUFI06G04490.1 pep chromosome:OR_W1943:6:3101840:3104428:1 gene:ORUFI06G04490 transcript:ORUFI06G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSLMSYSPSVDSKTENTDELIATGVLASLQNFIRKCIVAVLSYGPMPKHIAFIMDGNRRYAKFRSIQEGSGHRVGFSALIASLLYCYEMGVKYITVYAFSIDNFKRDPTEVKSLMELMEEKINELLENRNVINKVNCKINFWGNLDMLSKSVRVAAEKLMATTAENTGLVFSVCMPYNSTSEIVNAVNKVCAERRDILQREDADSVANNGVYSDISVADLDRHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPDPLWPEFSFKHLVWAILQYQRVHPYIEQSRNLAKKQL >ORUFI06G04500.1 pep chromosome:OR_W1943:6:3105249:3115332:1 gene:ORUFI06G04500 transcript:ORUFI06G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSWEAILEKMSTAPRLPPPRGAPALSVSDDQAPRPRLAEPTRGQPPKPLKSPLSTSSSPSPSQSPPDAAPPMASPAAPLALLILLVSLAIAAAQSGQPSSPPRNSTNISDAAALHTVFEKWGLEDGTMPQGYHPCGKLVWSNSSEMEASINCSCSSNECRITHLNVTGYRNITFIPAELFSLTELVSLDLSNNNLIGQIPPQVSNLSKLETWHFNNNRLNESFPNASALLSLQSLMIGDLDTEGYPFNFTGDWVNLSTLSLRNCGFTGKFPNQILKNLNKLTYVDLRSNNLSGSIDLQQYYSENNFNGSLPDQMPQSLEAQLADPVSFAVNCGGKQYTPPSDPSTMFNDDSANLGAADFHVDTNNNWVVSHVGTDPFSNSSGIVTTGNGTNMPELYRTARTSTGSLWYYVVGLPSGKYTVQLFFAEIVIESGSGRRLFNIDIQDRNIMTDFDISKEAGGSNRPINRNYTADVTTSVLKIHLYWNGRGTCCIPHNGTYGPLVSAIRVFPSAETQASPPPAAHTSRHDEKRRGVVAGIAALSIAATVISSSAVYLWWKWVSLVKHRKA >ORUFI06G04500.2 pep chromosome:OR_W1943:6:3105249:3115332:1 gene:ORUFI06G04500 transcript:ORUFI06G04500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSWEAILEKMSTAPRLPPPRGAPALSVSDDQAPRPRLAEPTRGQPPKPLKSPLSTSSSPSPSQSPPDAAPPMASPAAPLALLILLVSLAIAAAQSGQPSSPPRNSTNISDAAALHTVFEKWGLEDGTMPQGYHPCGKLVWSNSSEMEASINCSCSSNECRITHLNVTGYRNITFIPAELFSLTELVSLDLSNNNLIGQIPPQVSNLSKLETWHFNNNRLNESFPNASALLSLQSLSLRNCGFTGKFPNQILKNLNKLTYVDLRSNNLSGSIDLQQYYSENNFNGSLPDQMPQSLEAQLADPVSFAVNCGGKQYTPPSDPSTMFNDDSANLGAADFHVDTNNNWVVSHVGTDPFSNSSGIVTTGNGTNMPELYRTARTSTGSLWYYVVGLPSGKYTVQLFFAEIVIESGSGRRLFNIDIQDRNIMTDFDISKEAGGSNRPINRNYTADVTTSVLKIHLYWNGRGTCCIPHNGTYGPLVSAIRVFPSAETQASPPPAAHTSRHDEKRRGVVAGIAALSIAATVISSSAVYLWWKWVSLVKHRKA >ORUFI06G04510.1 pep chromosome:OR_W1943:6:3117317:3118069:1 gene:ORUFI06G04510 transcript:ORUFI06G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGVLAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHLACAVAGIMSDANILLNTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNYSGWKAAAVGANSQAAQSMLKQDYRDGLTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPEAMGKLLAKAGLSQPAPEA >ORUFI06G04520.1 pep chromosome:OR_W1943:6:3122710:3123048:-1 gene:ORUFI06G04520 transcript:ORUFI06G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVIHYLLRGNQLQGRRQTLAVGVGHHHRRRLLLLDSSRVFMLLAVVILVHLLTAGAAAVQGAEPCVLVAAFLLWLLGAAFAVLSLAAGQFPVLAATIATTLRSYLIGGL >ORUFI06G04530.1 pep chromosome:OR_W1943:6:3125715:3130587:1 gene:ORUFI06G04530 transcript:ORUFI06G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAAEWEEAERKVLVARKAAFGLPTACPTCLPVLLYLRMCNVPFDIHVDSSFPDADHIPYVEFGECVAFNNEKGGVIEYLKEEKIVDLNSKHPSVSYSDVLSTKAMVMTWLSDALQYELWLASDGSIPHDIYFSDLSWPIGKILYWKKTREVKQQLGITKLNAAEKEEEIYQKANAAYDALSTRLGDQIFLFDNSPTDVDALFLGHALFVLNVLPDTSVLRSCLQKYDNLVNFTKHLKVQLLEADSDSSATGLGSTDPSSSSTPRKRASSGRSYKPKPRAKKERTEEEKKFRRKAKYFLATQLVAVLLFLSLMGGADSSELDDEDGVDYED >ORUFI06G04540.1 pep chromosome:OR_W1943:6:3130676:3135446:-1 gene:ORUFI06G04540 transcript:ORUFI06G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRLDPELVHSLYLNCKKDQSIESIGFEARGDHQRRAEIVWQLVQDSRSIESVGFEARGSHQRRAEIVRQLTRDSRFVAPQAAADLTSGASRRIKHEVRARAGLVYVMDSWSRTQLTDVVAGISEKQRPVEELTNDLLAAIDAGEPVSGQLARLEEMNAEEDSVYFNLV >ORUFI06G04550.1 pep chromosome:OR_W1943:6:3138058:3141187:1 gene:ORUFI06G04550 transcript:ORUFI06G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALREAEAKLTVYVHPSNAADVRRAVARQLSSLLFSYEDRFDGVLLAHEATVESEQGKILNGLVPYFGVPVHANLLLYSPKPDMMLEGKVEMLGKESIHAIVLGVFSAAIMLDDIHEKFKFKRKKYGGKFVSRSDKQHVIKKGSMIRFSVKRVDAEMNCHVTGSLIPPHTGSMLWLSVHDDEYALEINSGKRSRDNKIKTEQHEQDHSVKSSGRKHKSKSRKRSFEER >ORUFI06G04560.1 pep chromosome:OR_W1943:6:3143257:3146131:-1 gene:ORUFI06G04560 transcript:ORUFI06G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLTDSFELSKVDQAPANVDIELGLQGGMSSSAQPGFEGFFEQVREIEKLLETLTKLLKDLQNSNEESKIVTKASAMKEIKKRMEKDVNEVTKTARLAKSKVEKLNKDNAANREKPGFGKGSGVDRSRTTTTVSLTKRLRERISEFQTLREAIQKEYRDVVERRVFTVTGERADEETIDRLIETGDSEQIFQRAIQEQGRGRVLDTLQEIQERHDAVKEIEQKLLELQQIFLDMSVLVEAQGEILDNIESQVSGAAEHIQTGTNLLQKARFLQKNTRKWTCIGIVILLIIILIVVLSLKPWSK >ORUFI06G04570.1 pep chromosome:OR_W1943:6:3147478:3152501:-1 gene:ORUFI06G04570 transcript:ORUFI06G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQETVHFDKITARLKKLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTASHPDYASVTATELHRCWFFCSPFGSTLSPPDMWIAVVKLAARIAVSNLHKNTKKSFSETIKDMYGHFNERSGLNAPLVAHDVYEVIMKNASRLDSEIIYDRDFDYDYFGFKTLERSYLLKLGGKVVERPQHMLMRVSVGIHKDDIESAIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQNNQEWSLFCPNEAPGLADCWGDEFEKLYTKYEREFIEVGKDYNNIALYFQGKAKKIVPAQTLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRFVREKGVPIESHPSKLVGSSDSKNRYFDFDKLAEVTSTVTYNLNKIIDINYYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYFHSLKASAELAGKEGPYETYEGSPVSKGILQPDMWNVVPSDRWNWPSLRSTISKVGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGVWTPALKNKIIYEDGSVQKMTVIPDDLKAIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNVHMEQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTALLKANGENGTKAAEEEDVEAKMAQMELYISVCNMITFSETDRNH >ORUFI06G04580.1 pep chromosome:OR_W1943:6:3153706:3154277:-1 gene:ORUFI06G04580 transcript:ORUFI06G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALATVVSFLLVVLVSVAHGWNKDCPPPGSGSSGGGHHGKPPGSGSGGGGGGGAARAPXYVPPPTPPSPPPYVPPPTPPSPPPYVPPPSPPATKTCPIDALKLNACVDVLGGLIHLVIGQKARAKCCPLVQGVADLDAALCLCTTIRARLLNINIYLPVALELLITCGKHPPPGFKCPPLYGA >ORUFI06G04590.1 pep chromosome:OR_W1943:6:3158293:3162747:-1 gene:ORUFI06G04590 transcript:ORUFI06G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRREERGCGCWAAVARGLRGACFRPAGVAAAASGADEKGAAGGSAKGSHVHDAETRYLNASNRELGDHFQTNLDDENGVNASTEKKLLQFTFQELKSATINFRPDSILGEGGFGYVFKGWIDPNSTSPAKPGTGLTVAVKSLKQDALQGHREWVAEVDFLGQLHHKHLVKLIGYCIEDDQRLLVYEFMARGSLENHLFRRALPLPWPCRMKIALGAAKGLAFLHGGPKPVIYRDFKTSNILLDAEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKKRPTGEQNLVAWARPYLSDRRRLYQLVDPRLGLNYSVRGVQKVAQICYHCLSRDTKSRPTMDEVVKHLTPLQDLNDMASASYRPRSSQRGKARR >ORUFI06G04590.2 pep chromosome:OR_W1943:6:3158293:3162747:-1 gene:ORUFI06G04590 transcript:ORUFI06G04590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRREERGCGCWAAVARGLRGACFRPAGVAAAASGADEKGAAGGSAKGSHVHDAETRYLNASNRELGDHFQTNLDDENGVNASTEKKLLQFTFQELKSATINFRPDSILGEGGFGYVFKGWIDPNSTSPAKPGTGLTVAVKSLKQDALQGHREWVAEVDFLGQLHHKHLVKLIGYCIEDDQRMKIALGAAKGLAFLHGGPKPVIYRDFKTSNILLDAEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKKRPTGEQNLVAWARPYLSDRRRLYQLVDPRLGLNYSVRGVQKVAQICYHCLSRDTKSRPTMDEVVKHLTPLQDLNDMASASYRPRSSQRGKARR >ORUFI06G04600.1 pep chromosome:OR_W1943:6:3163061:3164450:-1 gene:ORUFI06G04600 transcript:ORUFI06G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTEEDGRMPMLVGSMMFRFSNKSSYYYYRLSTTTDAVIMTAATTTIHTGSRTGGGRGGGDAPLPLTDPPGRARAKLS >ORUFI06G04610.1 pep chromosome:OR_W1943:6:3164519:3167927:-1 gene:ORUFI06G04610 transcript:ORUFI06G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIDASICRLRERMQVWVGASPEPVDMANKSSSAAAAESPWWQQQQELEPSPNAGPRRLLHHRGDVPRSAPPRRPWPPGERGGRQASSNVLLTSSLRAKVGDFGFARWASGRVTPSSSSTASRRSINSDI >ORUFI06G04620.1 pep chromosome:OR_W1943:6:3165863:3167543:1 gene:ORUFI06G04620 transcript:ORUFI06G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGEHCAARPPGGGGGDGVRRWERARALAAAATMVIPLLLRCCSCWPCPLAQRRADGAFCVFKPDQSPAAMQKAIDYACWRGADCTQIMQSGACYQPSTIGGGGEILRRRREQTAHDGAERTARGGAEMLAAMAGWSTRRTTTEEAGPGANAVVVGPSSCKQRPRHRALAAAPLPPNAAVASTEKKKGGERC >ORUFI06G04630.1 pep chromosome:OR_W1943:6:3168253:3170039:1 gene:ORUFI06G04630 transcript:ORUFI06G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLVKIGTWGGNGGGRVDLSVLPRSLKSVTIRSGAAIDAIAFTYIGTDGKEHLAGPWGGGGGNPTTITLGSQEFVKGISGTFTNVVTNLKIVTNVTTYNFGQGGGTAFSLPLQSGSVVGFFGRAGALVDSIGVYVHI >ORUFI06G04640.1 pep chromosome:OR_W1943:6:3170772:3170963:-1 gene:ORUFI06G04640 transcript:ORUFI06G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRLMDIIGHHIQTDENAGVLEEVADLASRCLEMIGNNRPSMRDVADKLGRLRKVMQHPWA >ORUFI06G04650.1 pep chromosome:OR_W1943:6:3171864:3174551:-1 gene:ORUFI06G04650 transcript:ORUFI06G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEERRGASRRRSTRSSGHMEVAIGEAARLDPAFPRLDGASWPRFAIWSEWNRHRGGRVELAGAYGAEVASQVVGERPARRLSYTRLAATMELVVAKGSDGTVSLMARAGNGQRWWLALPRDGEPVHPVTVVDDWFDDDR >ORUFI06G04660.1 pep chromosome:OR_W1943:6:3174694:3175274:1 gene:ORUFI06G04660 transcript:ORUFI06G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTKAICITLICQLAGATGFSMGTLLISKIREEYPDRMMLTFSEKFSPHQRFLIHYGGAAHYLSISLLRLENAIECMVIMSMSGTCIQLH >ORUFI06G04670.1 pep chromosome:OR_W1943:6:3185712:3187497:1 gene:ORUFI06G04670 transcript:ORUFI06G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLVKIGPWGGNGGGSVDISVPPNSLKNVTIRSGAAIDAIAFTYVGTDGNEHLAGPWGGGGGNPTTITLGSQEFVKGISGTFTNVVTNLQKLSPNVTTYNFGQGGGTAFSLPLQSGSVVGFFGRSGALVDSIGVYVHI >ORUFI06G04680.1 pep chromosome:OR_W1943:6:3195254:3198276:-1 gene:ORUFI06G04680 transcript:ORUFI06G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALAINSNDAIPRSDVNREYFAEEHDRKARAGIDYDSSHGKARPNDTILKLQRTAPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLGKAGEMPSLTPPDDESIRTLYIGGLNNRITEQDLRDQFYAHGEIESIRMVLQRACAFVTYTTREGAEKAAEELANKLVIKGIRLKLMWGKPQAPKPEEDEAGRQGHVAHGGMLPRAVISQQQSGDQPQPPGTEGQQQAPSGSYYFNIPAPPGAERTLYPSMDPQRMGALVKSQEGDGKPGPQQAAQAQASSSSGQSYPMPPPYYHGQYPPYYPPYGGYMPPPRMPYPPPPQYPPYQPMLAPPAQSQASSSQQPGPSMQQQAQAPPQQQTTQN >ORUFI06G04690.1 pep chromosome:OR_W1943:6:3198917:3199958:1 gene:ORUFI06G04690 transcript:ORUFI06G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNADRCILRKRGKLYKCSALEHRLYNKKQITKSVISLKLALQQHSTSSSSPFSRPRERINEPSPGCSISPPHLSLHNAQYPPPPPPSPSASAVQLSTKGVVAEVVVAQLGDRRTGAAAQEVDVEPGLAPVRRGGGQERLDGVDVDLVAFSSRAACRSLSCGRRGGR >ORUFI06G04700.1 pep chromosome:OR_W1943:6:3200802:3209839:-1 gene:ORUFI06G04700 transcript:ORUFI06G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASAGKRDMMNQPGHGGDLPVAKRRRCVEPTGAAAAGIPEDIVEEILLRLPVKSILRFRSVCKSWRAMVADPRFVRLQLHHSTTAARHHPPSMLVLADWCVPEQWRGTIDFFSYPGHGVAADFAHRITWSSNSKSTVAAAADGYAAADWDAVDDGADAADDGAAADNLDDAVDDGDAAADWDDDDDWDDDVGAIGWGMHLHCNGLVLLRSTMKYSTQMLMLVCNPATKELAELPDCAPDYFGVQAVSFYADQSTGKTKVVHCFIRHCDKTYTDYSVGCEVLSLGSPAWRPVADPPYLVKTKTSPCILGGIYWIAALPSPSTGSCTTPGVVRFDVCSEEFASFPCPPFMERQKMSDVACGDLTELGGKLCYVHAPADDRVELWTASAADGGGSRPRPRWSLQCTVVLPPSFDTFFQFTYDYQGGIFFYVDYAMIYRYDVERRVVERVVDMLEEMTYFDRSRRKLDRCDGDWMHYAIQYSESLVSIQAN >ORUFI06G04710.1 pep chromosome:OR_W1943:6:3212069:3216779:-1 gene:ORUFI06G04710 transcript:ORUFI06G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISVSSFMSKKMGFRLSENLTCILFAVAATGRVRIFFRSVLLAQEVWFAVRSGWGDDVLLRHYSWRFLRADDTGVIADRFDGRRIGEVAVGGGGWWRPSPRGTPYLGLRILRPHSVSLSVPSGSSDLHIMSWSALHLWNQLSSRMGFEPDPNSTMCVRAGTYGRLTPLVTDLPGNNSAMVIFVLPPESLAGFGLTCPNVHAA >ORUFI06G04710.2 pep chromosome:OR_W1943:6:3212069:3216779:-1 gene:ORUFI06G04710 transcript:ORUFI06G04710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIISVSSFMSKKMGFRLSENLTCILFAVAATGRVRIFFRSVLLAQEPSFGVFERPIWFQRLAHNELVCMCFTGRSALHLWNQLSSRMGFEPDPNSTMCVRAGTYGRLTPLVTDLPGNNSAMVIFVLPPESLAGFGLTCPNVHAA >ORUFI06G04720.1 pep chromosome:OR_W1943:6:3218862:3221169:-1 gene:ORUFI06G04720 transcript:ORUFI06G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLIANPYGIICPTDWRLFLFYGSLKLDVVSFGVILSELLSGKIAPRRRLSPTISPMLWPFSGWRGIHGSGLPWKRCSSLSAVYNLTTVDWDPQNYSASTSMVLGG >ORUFI06G04730.1 pep chromosome:OR_W1943:6:3223498:3224970:1 gene:ORUFI06G04730 transcript:ORUFI06G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASAGKRDMMNQPGHGGDLPVAKRRRCVEPTGAAAACIPEDVIEQILLRLPVKSILRFRSVCKSWRSMVAEPHFVRLQLHHSTTAARRRPPSMLVLSGWRILQERMGSICFFRYPGHGALADLAYEMTCSSAVATADEDDTADWDAVDDGDAVDDGDDAADWDTDDDGDDATDWDTDDDGDDATTDWDTDDDGDGADRYINNGAIRFGLPLHCNGLVLLSTMENSSMMLVCNPATREFAELPACTPDYLRIQRVGFYADQPTGKTKVVRYFIRHCNETYTDYSVGCEVLSLGSPAWRPLADPPYLVLNKTSPCILGGIYWIAILPSPPTGSCTTPGKMLRFDVCSEEFTSFPSPPFMERQEICDVNGTLTELAGKLCYMHTPADGKVELWTASAADEGPRWSLHCTVVLSYPFQTIYPFDHDYQGSIFFNADCALIYRYDVERGVAERVVDMIDDMTYINRSKLYRGPGYLKYRTIQYSESLVSVQAN >ORUFI06G04740.1 pep chromosome:OR_W1943:6:3241035:3241766:1 gene:ORUFI06G04740 transcript:ORUFI06G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIHRLVQCGGGRERRAHRAVAAFAVGLGSSSALMFSAAAAGDRTGKHTTPIEHISSAYHLRFDELAPWTLVSGCSQKQAAAASSRSPLLDASGVASMTSSALWAPRPTWGGEVHVRAHLSAKGGGGGENPFKIAAALALRAPEP >ORUFI06G04750.1 pep chromosome:OR_W1943:6:3241879:3243936:1 gene:ORUFI06G04750 transcript:ORUFI06G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFQDGHHVWLRSRVHGTHLRAGEDGSGVSLHEGRASVHAAWAVHILHLDGGDILMLHSAANGRYLAAPRTGWSWNSVSLRDLNQLPSFAVGWFAVTAGSGDYVMLRHSSGLFLRADGGNLLCNSVGVIVDMFDFRRREIRQWMVEAIPPRDSMPILPNRSPTAFSWCRIWYVRASPQGNFRREDWRSLLFHGRSVFHLRNTDLPLVTDLPRNTLVIAIVVITAGTNAALWLRYPNVHAA >ORUFI06G04760.1 pep chromosome:OR_W1943:6:3247183:3248463:-1 gene:ORUFI06G04760 transcript:ORUFI06G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHDDDVPVAKRRRRLCVQVQPAGAGAAAAGIPEDIVEEIILRLPVKSVLRFRSVCKSWRAMVADPCFARLQLRHSTAAERRRHPPSMLVLPWWGWRPQRQQMQGTIGFFRYPGHGAAAELAHVRAWWSPTSHAAAADWDDGADWELPLQCNGLVLVFSMEKSLSSSLMFVCNPATKKLAVVPPGTPDAHGNQSVGFGADESTGKIDMKVVRCFARSDESVGCEVFSLGSPAWRPVADSPCPVRAGAASPCILGAIYWITTAAPTPGMLRFDVRREVFDDFPSPPCVHHDGTSPATATLTELSGNKLCYAHVVAGHTVELWTMAAASAADDGPRWSRHCAVELWRPTQLVVPFADDRHGGIFFNLDLAVIDRYDTQRQVVERVVDMNKEMTYFHSRDKQYYINRGFRWMHHVIQYRESLVSVKAN >ORUFI06G04770.1 pep chromosome:OR_W1943:6:3250242:3258892:1 gene:ORUFI06G04770 transcript:ORUFI06G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKKPGSKKMPTPAVMRIRSPPPDPHRRARAAPRRCIPPLASDSESSASSPTTSPAAAAAALPGIAQARHEFFAKHTVYHYGAPPTAQRNEQRESAGGCQSVRGGVRRQPADGLAAAAGRGHLRGFLLAPSRIGGGARGAVDAPGLIGDRFLDSGHGSLAGTGMDAPAGGERIGVRPMESSDDDSTFCSRCARAQRGAASATALVTTAVAAATSARCHSTTAGRDPGAATCVVDGAPPPPGGVYATTRTIGPSAMEFKASKQY >ORUFI06G04780.1 pep chromosome:OR_W1943:6:3253423:3260879:-1 gene:ORUFI06G04780 transcript:ORUFI06G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLRLLGRLAGGGGGGGRRLPRPLAVLHHHHLSASDPSPTTRAPLLPSPPRLPPLLVPFAAVPARSFSWYPRSPPPAGPAAAEKAPEEDVRAEGEGAYLHDAGGADFGEVVATSAASADAAGVAAAGDGGSASGFAVSSLIDILDGFHNLTGIPWWITISLSTVAMRLLILPVLITQIKKAAKIGKLLPELPPPFPPPLSGRSFRDQFSLYQKKRRELGCPSFLWNWAYFSIQFPCFILWMSTIRTMCLSNHPGLDNGGILWFHNLTEFPHGSSGLVFPTLVAGLHYLNIQIAFHGTHTKHYPGIFGVLAKYYRVYLEILTIPLFLIGYVIPQGSLVYWTTNGLITVAQQLSLKNDAVKKVLGLPDTRAHQKFPRVGHKMMQERPLEDAHMHTNLTSTNNETANNIMEGKVSASSSPEELLEQALQHLETGNQDQAIPLIRTAIEKDSSLYVALIGMGQTLFSNRLFPEATVCFEHAIPKIEEQDPLLVLACYGAGLSRMRQGDSKMAIENLQRLAELKEPEKPINKKCYYQGLVALGSILINEGRKSEAVKFVQRAVAYDPNCEIYLKECDDTTEDKPKSAEH >ORUFI06G04780.2 pep chromosome:OR_W1943:6:3253423:3260879:-1 gene:ORUFI06G04780 transcript:ORUFI06G04780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLRLLGRLAGGGGGGGRRLPRPLAVLHHHHLSASDPSPTTRAPLLPSPPRLPPLLVPFAAVPARSFSWYPRSPPPAGPAAAEKAPEEDVRAEGEGAYLHDAGGADFGEVVATSAASADAAGVAAAGDGGSASGFAVSSLIDILDGFHNLTGIPWWITISLSTVAMRLLILPVLITQIKKAAKIGKLLPEFPVLYTVDVNNKDYGGILWFHNLTEFPHGSSGLVFPTLVAGLHYLNIQIAFHGTHTKHYPGIFGVLAKYYRVYLEILTIPLFLIGYVIPQGSLVYWTTNGLITVAQQLSLKNDAVKKVLGLPDTRAHQKFPRVGHKMMQERPLEDAHMHTNLTSTNNETANNIMEGKVSASSSPEELLEQALQHLETGNQDQAIPLIRTAIEKDSSLYVALIGMGQTLFSNRLFPEATVCFEHAIPKIEEQDPLLVLACYGAGLSRMRQGDSKMAIENLQRLAELKEPEKPINKKCYYQGLVALGSILINEGRKSEAVKFVQRAVAYDPNCEIYLKECDDTTEDKPKSAEH >ORUFI06G04790.1 pep chromosome:OR_W1943:6:3261027:3263317:1 gene:ORUFI06G04790 transcript:ORUFI06G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASGGGWNAWAKEMTIRRRITSIFNKTREHFPSLKDYNDYLEEVEDMTFNLIEGIDVEVIEAKIARYQQENAEQIYLSRAKRAEDLAAALKASRMNPGKAGANDTAAGSSQGISSGAGVQEGQYAPAAVPGGLAQPRPTGMAPQPIGGSSVPLQGDDEETMRLRAERGARAGGWSIEMCKRRALQEAFSTIFV >ORUFI06G04800.1 pep chromosome:OR_W1943:6:3263654:3270015:-1 gene:ORUFI06G04800 transcript:ORUFI06G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGRESLVRLIGRRRRSPLPAALALAVPPSRSLQDDAADAEREAAAGGSSSGGGDAAGAAGWVACPVCGESIRGTDYCVNTHLDICLTRGTKRKLTQSTLLDFSFSRKATDDYALNNLNTSDEAEHMEPTDGNVSSDGAFFSLNNDKVNSKGSANASSPGCLHGSPDISETCDTCLPPNVLLPYTENTANNGVVKKCLSHMPSTDATSSTIGLLSVTDSSNSVVVDTVIVGRRFHENIELQEGVSITLLRDPQNAKDPDAIKVLYAGYECEQMLGYLPRELAKVLAPLLDRHYIECEGCVVGVPEQQLDHVPIQLKCQKYTDENETYDDLKHPQFLWENFIGAVGNGNLLQPSSTRYQTNFSSMITDVMANHSHLFSDKENISYREISDMGQAAMELKCYIDMISCMDDLSNYDLKEVIDVLSVPEMKEILKELQKNNVSCTRRHELLSTLLYLYRNGTCTILPKRILKWTGTCIRTSDVADELLWRVQRLFFLNGDQDLSFFLLVDLGLVRFPVYACTISHRVFQEISDLLQYEEAIQVAQVMDQSLDNSNMEMVTRCIELSENRLSTAPKEENATRAEPPPSFFSRFSASSVYSKILTLGVSVYERDRRYTDAIRVLKRLLSTVASDRKRGYWALRLSVDLEHMNRSNESLSIAEAGVIDPWVRAGSKIALQRRVVRLSKPPRRWKVPSYANAVTTNIKEVNIEGRPLNCETGAKNVFYGYDGELCGVEQLALQYYADEGGGWRGTHSEGGIWMTIFGLLMWDAIFSDVPDVFQTKFQTAPLDLETDEFYRSRKDLIESQLKKIQDGIAEEILISSWELHQGTSCRGVNWDRHSLTDLRAAVVCTGGHRLASLLRHLALDYRSWSSGMPDLLLWRFLDERGGGEAKLVEVKGPRDQLSEQQRAWILVLMDFGFDVEVCKVSPVSKRR >ORUFI06G04800.2 pep chromosome:OR_W1943:6:3263654:3270015:-1 gene:ORUFI06G04800 transcript:ORUFI06G04800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGRESLVRLIGRRRRSPLPAALALAVPPSRSLQDDAADAEREAAAGGSSSGGGDAAGAAGWVACPVCGESIRGTDYCVNTHLDICLTRGTKRKLTQSTLLDFSFSRKATDDYALNNLNTSDEAEHMEPTDGNVSSDGAFFSLNNDKVNSKGSANASSPGCLHGSPDISETCDTCLPPNVLLPYTENTANNGVVKKCLSHMPSTDATSSTIGLLSVTDSSNSVVVDTVIVGRRFHENIELQEGVSITLLRDPQNAKDPDAIKMLGYLPRELAKVLAPLLDRHYIECEGCVVGVPEQQLDHVPIQLKCQKYTDENETYDDLKHPQFLWENFIGAVGNGNLLQPSSTRYQTNFSSMITDVMANHSHLFSDKENISYREISDMGQAAMELKCYIDMISCMDDLSNYDLKEVIDVLSVPEMKEILKELQKNNVSCTRRHELLSTLLYLYRNGTCTILPKRILKWTGTCIRTSDVADELLWRVQRLFFLNGDQDLSFFLLVDLGLVRFPVYACTISHRVFQEISDLLQYEEAIQVAQVMDQSLDNSNMEMVTRCIELSENRLSTAPKEENATRAEPPPSFFSRFSASSVYSKILTLGVSVYERDRRYTDAIRVLKRLLSTVASDRKRGYWALRLSVDLEHMNRSNESLSIAEAGVIDPWVRAGSKIALQRRVVRLSKPPRRWKVPSYANAVTTNIKEVNIEGRPLNCETGAKNVFYGYDGELCGVEQLALQYYADEGGGWRGTHSEGGIWMTIFGLLMWDAIFSDVPDVFQTKFQTAPLDLETDEFYRSRKDLIESQLKKIQDGIAEEILISSWELHQGTSCRGVNWDRHSLTDLRAAVVCTGGHRLASLLRHLALDYRSWSSGMPDLLLWRFLDERGGGEAKLVEVKGPRDQLSEQQRAWILVLMDFGFDVEVCKVSPVSKRR >ORUFI06G04810.1 pep chromosome:OR_W1943:6:3272969:3280090:1 gene:ORUFI06G04810 transcript:ORUFI06G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRDGAAVAGYMAEDDPDGAASEDGDMDVEVGGEESQARDGDRRDGGDGDDEYALLTRITDTSAAEARAGKDIQGIPWERLQITRSDYRKARLVQYKNYENFPQSGELMDKICKQVDKISKYYEFHYNTRLVKPSILHFQLRNLLWATSKHDVYFMSNSTVGHWSSLSHNLSEVLDFSGHVAPAQKHPGSLLEGFSGVQVSTLSVNEGLLVAGGFQGELICKVVGDRDVKFCTRTTLSDNAITNAIDIHRSASGSLRVTVSNNDCGVREFDMETFQLLNHFSYNWPVNHTSVSPDRKLLAVVGDDRDALLVDSRNGKVTSTLVGHLDYSFASAWHPDGRTFATGNQDKTCRVWDVRNLSTSLSVLRGNIGAIRCIRYSSDGQFMLFSEPADFVHVYSAAADYKKRQEIDFFGEISGISLSPDDESLFVGVCDRVYASLLHYRMVHSFGYLDSFM >ORUFI06G04820.1 pep chromosome:OR_W1943:6:3281051:3286626:1 gene:ORUFI06G04820 transcript:ORUFI06G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVASPFPALPSSSSSTTIAASTAARRCHDALLPPPAAAGREPARTRGSSSSLAGAAGERRRRRGEEDGGEVEAEAERRRKEEVNRKIASRKALSVILRREATKAVLDKRKPGKGTRRLLPRTVLEALHERITALRWDSALKVFDLMRDQVWYRPYVGIYVKLITMLGKCKQPERAHELFQAMVDEGCAPNLESYTALVSAYSRSGRFDRAFSLLEQMKATPGCRPDVQTYSILIKSCLHAYDFERVKYLMEDMARAGIRPNVVTYNTLIDAYGKAGRFAEMESTLLEMLTENCKPDVWTMNSTLRAFGGSGQIETMESCYEKFQASGISPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTIVTYNVVIDAFGRAGDLEQMEYIFRLMKSERIKPNCVTLCSLVRAYGRAGDVKKIKTVLRIVENSDITLDIVFFNCLVDAFGRVGCLAEMWDVLDIMKLQRCKPDKVTCTTMIKWFLIKGIDDHRVQYLRDLKDGRSKDNI >ORUFI06G04820.2 pep chromosome:OR_W1943:6:3281051:3286626:1 gene:ORUFI06G04820 transcript:ORUFI06G04820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVASPFPALPSSSSSTTIAASTAARRCHDALLPPPAAAGREPARTRGSSSSLAGAAGERRRRRGEEDGGEVEAEAERRRKEEVNRKIASRKALSVILRREATKAVLDKRKPGKGTRRLLPRTVLEALHERITALRWDSALKVFDLMRDQVWYRPYVGIYVKLITMLGKCKQPERAHELFQAMVDEGCAPNLESYTALVSAYSRSGRFDRAFSLLEQMKATPGCRPDVQTYSILIKSCLHAYDFERVKYLMEDMARAGIRPNVVTYNTLIDAYGKAGRFAEMESTLLEMLTENCKPDVWTMNSTLRAFGGSGQIETMESCYEKFQASGISPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTIVTYNVVIDAFGRAGDLEQMEYIFRLMKSERIKPNCVTLCSLVRAYGRAGDVKKIKTVLRIVENSDITLDIVFFNCLVDAFGRVGCLAEMWDVLDIMKLQRCKPDKVTCTTMIKWFLIKGIDDHRVQYLRDLKDGRSKDNI >ORUFI06G04820.3 pep chromosome:OR_W1943:6:3281051:3284013:1 gene:ORUFI06G04820 transcript:ORUFI06G04820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVASPFPALPSSSSSTTIAASTAARRCHDALLPPPAAAGREPARTRGSSSSLAGAAGERRRRRGEEDGGEVEAEAERRRKEEVNRKIASRKALSVILRREATKAVLDKRKPGKGTRRLLPRTVLEALHERITALRWDSALKVFDLMRDQVWYRPYVGIYVKLITMLGKCKQPERAHELFQAMVDEGCAPNLESYTALVSAYSRSGRFDRAFSLLEQMKATPGCRPDVQTYSILIKSCLHAYDFERVKYLMEDMARAGIRPNVVTYNTLIDAYGKAGRFAEMESTLLEMLTENCKPDVWTMNSTLRAFGGSGQIETMESCYEKFQASGISPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTIVTYNVVIDAFGRAGDLEQMEYIFRLMKSERIKPNCVTLCSLVRAYGRAGDVKKIKTVLRIVENSDITLDIVFFNCLVDAFGRVGCLAEMWDVLDIMKLQRCKPDKVTCTTMIKWFLIKGIDDHRVQYLRDLKDGRSKDNI >ORUFI06G04830.1 pep chromosome:OR_W1943:6:3282152:3289731:-1 gene:ORUFI06G04830 transcript:ORUFI06G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAKKKKRRQSQSNIRDSKLLQKYTVDLAQPLHESDMGVTSRAQQGSNISLKPQKREAKKRSGLGRLTNSLKFSA >ORUFI06G04840.1 pep chromosome:OR_W1943:6:3289819:3290529:1 gene:ORUFI06G04840 transcript:ORUFI06G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLFLLGGLCALFSLASSSPATKKCGDAKKRREEEGEEVVVVAKKRPEEEPRRPDPDADLGIVFSTFDHDGDGFITAAELEESLKRLGIAVSSAAEAAALVARVDANSDGLIDIHEFRELYDSIPKRRKSHQQHPLPSTAAADEEAAAADEEYEAEEEERDLREAFDVFDGNKDGLISAEELGTVLESLGLRQHGGRPAVAECRDMIRLVDSDGDGMVSFEEFKRMMTVVKA >ORUFI06G04850.1 pep chromosome:OR_W1943:6:3293278:3294403:1 gene:ORUFI06G04850 transcript:ORUFI06G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRQPRRRCHRLRADAPAAIRFGMKQPRAAGIVRRDSANDGHEHAKRLEEAGLMQELASGMQEPGMDDAAEAAGKEKQRTPSMRRRRRRRTLATAPRQDPAGTRGTTTPTRGEDLVGRSGARGTPTPTTTMPATSASSQPRRRLRRPPRHRCLLPTEAVAASSLLLLLLLLPAFAFRHRGRPPPLPARAVPPQHVPPPPTLGPARRHGPLQAPPRGSRARRRGPQRSPATAAAARAPVLPVGGVAEGLPPLLPGVPCARERERGKREKDEGEKRGKRG >ORUFI06G04860.1 pep chromosome:OR_W1943:6:3295762:3297713:1 gene:ORUFI06G04860 transcript:ORUFI06G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPTSSLRSRPLPPRPDRGSRAKLGVAQMRSSAAAAPCLPSPALPLACRHRWRRPPLAELGGRER >ORUFI06G04870.1 pep chromosome:OR_W1943:6:3300027:3301164:-1 gene:ORUFI06G04870 transcript:ORUFI06G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGDAAPFCCWWWWCSSRARWRRRPTWRATARRCWRCGTRWGGGTCRGLAGRLPEGLFSLALLEKVDLSGNRLNGGVSPEFSRLASLTTLNLDRNGFNGTLPGNLMLPKLAQFNVSYNGQLGGAVPASLTGMPASAFLGTALCGGPLAPCANPSPPSPGGSKGVREEEEDRRERCHEKCNCRMRPEETQSCRAKFQNRLAAFNRHHMN >ORUFI06G04880.1 pep chromosome:OR_W1943:6:3315551:3318555:-1 gene:ORUFI06G04880 transcript:ORUFI06G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVARRLLMISTTRATPPASSSPLLPRPRTIYTFPSRAFPPIASAPLPSSSRRCQRRSRCAASSSEMTVTSSVKVAGGELSVHGRTVLSGVPEAVRASSAAAAGPVDGVFLGGDFAEPASRHVVSLGAMRFDGANRIGGFGVGSRGVRFMACFRFKLWWMAQRMGEKGGDVPHETQFLLVESKAGVDGGGGDASYLVFLPLVEGAFRASLQGGGAGGDELQLCVESGDAGTRAASFDRALFVGAADSDPFAAIAGAVAAAKSCLKTFRIRAEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLRSLTAGGAPPKFVIIDDGWQSVGTDHQNPDDTGADAKDKQPLLARLTGIKENSKFQDGDDPAAGIKTVVRAAKEKYGLKYVYVWHAITGYWGGVRPGVAGMEGYHSNMQFPNVSPGVVENEPGMKTDVLTTQGLGLVHPRAVYRFYDELHAYLAAAGVDGVKVDVQCILETLGAGHGGRVSLTRQFHQALDASIAKNFPENGIIACMSHHTDALYCAKQTAVVRASDDFYPRDPVSHTIHIASVAYNSVFLGEFMLPDWDMFHSLHPAGDYHGSARAISGGPVYVSDAPGKHNFELLKKMVLPDGSVLRAWLPGRPTKDCLFTDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSSVEKKNIFHKTGAEALSCGVKGSDVHLIADAATDSEWNGDCAVYRHASADLVVLPNGAALPISLKVLEHDILTVSPIKDLAPGFRFAPIGLVDMFNSGAAVEGLTYHRLDGVKSLSNGSASTLPELQSLSSQAIGLVCMEVRGCGKFGAYSSVRPRKCMLGSAQVEFTYDSSSGLVILDLETMPKERVHKIVVEL >ORUFI06G04890.1 pep chromosome:OR_W1943:6:3322133:3328513:1 gene:ORUFI06G04890 transcript:ORUFI06G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAPPSVERQVAEIAAEPDRASAYARLLHLQRACADDPSAAADLAAASPSILLPLLLRDAGDRDEAVAASALKCLGFTLYHPVLVSTVSGQMAQSVLDTVIQLIMTTQMKAICNLGVWCISVQELEAVVVDHRATSLLTAIVHAIDNPFCSLSTTFEAVQAIMKLTSQNPEKMRELSSIWVPPIYRRLLSVDKAERDMAERCLIKVSSVVLPPQSLLSKAIASDLEHSLLSCMLNMIHDPVKKVQAVKSWGWYISLLGLHVVDNRHLLNKILKVPEQLFIDSDTQVQIATMVAWRNLVNAFLPQASETLVPKTKISPIESRADTNAQLKKIRLIMMPLGRILSRSHSIALSSSCLSTWHHLLYKLGDLINQLPILEAAFGPVLKIVFSIGPDIQNKPLYSFCVNLFHEYISTKVRDMASHGEYLPIPLNQNLLSQSCIHLKTLMDGQCIRWLPWDVTCFDFHLDILVCIVNPELLRKMTLESVVTVMDSATHIFRLLVQGVQVDCKAKCANGNAQICVAKVCKFVKKVFMDLVGKQNNNNCSVLLQYAFQFVKVILEELDHCLLASGICVIGLDIEHIKEMEYADCSPKLSYPGMKSYSYMEMVSPEVYMIVLSLSIVAEFTGELSHGDAEQLAIIICLSNFQDNFHAAVSFMYKQIMLLTDNRLRMRWLMVWNKIAKRLNGQITPNLKKIICGAGVHDVLYQFFCYPFFAFLLPGRKSTLCGSESSSESYLSLTHDLEVEVAIEVYRSICANSNHGPEADHKVFLESFCGFVVSIIDENISLFQANIEYCSEKKFKNCAILSTLGELVSGLLENGHILNYAIKEPTEASEESAGYSQPSILLCCLRLVSRFMGLSTIVIKANPTTQHQIMSRVFSSLSAFAGYLLLKKDVLLFFEIIGEQLTECLSLSGTLYREMQHGETINQIEKLWLKIIMCLKMSKLINDCSFLQKQQMLLQAAVSHPHRPISVATTPAWRASRYDISTLQHSSFSLSKLDKLPMERRKDLTDPCTPHNAIALEEIDILRKFKHPISELEKNDESIKISVGLGRKRLKIMKYSMKPREPGKSTVPPGNFSSKKDTDADAFSSHYMESKACRKPELILEMLKRKR >ORUFI06G04900.1 pep chromosome:OR_W1943:6:3329546:3334800:1 gene:ORUFI06G04900 transcript:ORUFI06G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDDAEDDQLASMSTEDIVRASRLLDNEIRDELQRTNLELESFKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >ORUFI06G04900.2 pep chromosome:OR_W1943:6:3329546:3334176:1 gene:ORUFI06G04900 transcript:ORUFI06G04900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDDAEDDQLASMSTEDIVRASRLLDNEIRDELQRTNLELESFKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >ORUFI06G04910.1 pep chromosome:OR_W1943:6:3335868:3337631:-1 gene:ORUFI06G04910 transcript:ORUFI06G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIVIEGWTASEIEEARSLITSPNNGGEGGDGEGNKQKHCGHIVMELHEWFPWKTIGQVIGLYMKLNAGKPMVMHSLNKSDANNSIGEVDHVSALANGNPVRLEEHRPMLNNVGLVFDYPLEEMEMENQTDQEPKMVVEEEVQPKEGLVIKEKEAGVSKIHTNSQHVTPSIKRRVIWTEEEHRLFMVGLRVFGRGDWKNISKHLVTTRTAAQVSSHAQKFFLKMEARGEAVPPPAKRRRRRITGDQQAAAAEHAAALRRRMPVPPPPFNPFLLPSLVAPVMHRLLPPGSQAAGAAASGSGGQGASLPQMPWINGANGMGR >ORUFI06G04920.1 pep chromosome:OR_W1943:6:3341405:3343935:-1 gene:ORUFI06G04920 transcript:ORUFI06G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYYGGGGAGGAMVFGGAPMGETVEQAAPPVPVVPVVMNRDDDEVNNQGGGRHRAAPTNTTRRFWTTEEHRQFLRGLRVYGRGEWKSISMNFVRSKTPVQVSSHAQKYFRRVESAAADKQRYSINDVGLNDDTAAMDGTNSYSNNNFGGWQSLAFAGGHLEPVSGGGAARQVIAPASSSAAAMNSAAQFWAPMLFNPQIQQQFMQMQAQTQQAWNDQHMMMAAAPMEGATDTNFEPAGAVNYYYYQQQQEEEEGGAYGVPADQWMMNQNNNIHNHHETS >ORUFI06G04930.1 pep chromosome:OR_W1943:6:3343957:3363373:-1 gene:ORUFI06G04930 transcript:ORUFI06G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTAAELAEARSVIARVSDAYNSGVGSSSSACDTKHDRIMRELQARFPSRTMVQVIDLYVNLTVETAAQPQDAGSAGDAAAVVHPTFAGGMPVVNNNDGMVHGGAAMEVGAVAVNGGDGEVVNPDNADDDVLWTDYEHRLFLTGMRVYGRGDWRNISRYFVRSKTPEQISMYADNYFHMMEIAAAMEADGGDDDDGHHEINNNNNNLGGGQLHAVVGAVGHGPGAGHIAPATPSNNNTAAAAVNNNVDTPFWVPLLYNPEIEQRMMEMQAQSQKAWDDQQMKMAEAATPKEEGAADKHGVDGGGDGRGEEPAAAQPQYFDAGAVVDPTFDFFIDHNNFLGMPPPPVQQADDHAMNNVVADASMNYFYGGGAMVFGGGAPMGETVEQAAPPVPAPVAVAPVVMNRDDDEVNNQGGRRHRAAPTNTTRRFWTTEEHSSIRSRSRSRSSLCSISIAMEWTAAEMDEARSIIARLTNAYDSGTLVAGAGNGDTRHDRIVRELQAWLPWRTMDQLIGLYIELMAEEPAAAQPQYFDAGAVVEPYVRLLQTTTTTSSACRRRRFNKLMTIT >ORUFI06G04940.1 pep chromosome:OR_W1943:6:3359819:3361207:1 gene:ORUFI06G04940 transcript:ORUFI06G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADNGKRAHSRRHPSDALVSESPTSYKEWLHDSYLFGRVEELAIREEEERQNCEIVQVKAFGACFPQDWLAIPCELQSFPRDKKKGTCHFAKTRAVEGKGKASGKYKGVKTR >ORUFI06G04950.1 pep chromosome:OR_W1943:6:3384841:3386789:-1 gene:ORUFI06G04950 transcript:ORUFI06G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSHCFAVAFALSALLAGLALAMDDATFGMEWTAAELGEARSVIARVSNAYDSGAGSSNSAGDTKHDRIMRELQARFPSRTMVQVIDLYLNLTAETAAQAGAAQPQDAGGAGDAAVVHPTFGLANDNFGMPVANNNDDGVDAGMVFGGAPMEEGAVAVNGGDGEVVNPDNADDDVLWTDYEHRLFLTGMRVYGRGDWRNIARYFVGSKTPEQVSMYADNYFHMMEIAAAMEADGDDDDDHHENNNNNLGGGQLHAVVGAVEHHENYNNNNLGGGQLNAGLGAVGHGPGAGHIAPATSSNNNVAAAAANNNVDAPFWVPLLYNLEIEQRMMEMQAQSQKAWDDQQMKMAEAATDPKEGAADK >ORUFI06G04960.1 pep chromosome:OR_W1943:6:3389584:3390012:1 gene:ORUFI06G04960 transcript:ORUFI06G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTVELGEARSVIARVSNAYNSGASSSNSTGDTKHCRIMRELQARFPSRTMVEVIDLYINLTVETTAQLQDAGATAAAVVHPTFGLANDNLGMPVVNNNNGMVFGGAPMKEGVVAMNSGDGEVVNQDIGFCHFAQAQKTQ >ORUFI06G04970.1 pep chromosome:OR_W1943:6:3397421:3400345:1 gene:ORUFI06G04970 transcript:ORUFI06G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLDLVKTMAASGFLLRCPAAPSAVPLWGRSGRGGGGGLAFSASSSNGAAVPSSLSDSEKKGPVVMEIPLDKIRRPLMRTRANDPAKVQELMDSIRVIGLQIDVLEVDGVYYGFSGCHRYEAHQRLGLPTIRCKVRRGTKETLRPSLED >ORUFI06G04980.1 pep chromosome:OR_W1943:6:3400579:3410470:1 gene:ORUFI06G04980 transcript:ORUFI06G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEEEGRWEPTAAAAEEEEMGVEAEEAMEDGEVEGEVGQQQQEEEGNGDAGKSEEHGSAGGRMVWKKKHHHHHQPRTPVAAESRATWRGGKGSGKGRGGGGGGGGFHHCPWNLQPDNLNRFNKPGVYGGAIIICNHMTKRGFFEKKLFGLPGYAATFIKKIRVGMLLFVFELGERKLYGVFEATSNGALDILPNAFTSLRKPRPAQVLFRRIWFCKPLAETEFSSAIKGNCLYPQMSFFGISYQQVLNLVHLFASKRIELQPYQKPKSRVIYDYKISLAHLGREFSPRTHNKTFSSHSSSTFCNNRFSLPRSSYLYTKQNAKHDACKYESPLHSPLKSVIFKAPDVKGESLEPNPDYIPLELDDSKSDSDADPSDSLETVSFYPTLEGCISYEDQDLKPFNGKFNGDDGHHSHVLIRGLNSECETDRNSVFSRNVKERQSSLAKGGKGCKRKAIVEFDEQSSPRRGCTMKRVSFSFSGEEISVTSEKSLHRPTAFAELPNTRESSAEEGKQEVGCVVQKARSKGEDVSAKIKLMGLSLPEALRTNRVHSCSSNSQSLVTQTDQKRAKGGGEETTKKQSHQELLLLPSIISPPHCTIRPAAASIALWIPMNFRMGKAHSKEGSTYDGAIFLCNRLTRRECFEKKLFGLYAHCADFIQKVKVGATLFLYDTDQHKLHGIRFKRIWFCKPLMESELHDAIQNNFTSKNKLRYGLSHQQVVRLLHLFSSRNRLQPRQNQNLQDELPKESEMSSLVNQTDIQSSSNSSSHGSFKSPCQTCSSSTHGERAATLSHKLADPMPLMHRGLKPYTSGAVKSKDSSRFPLHIGANTDIVTVPVSQEAMDDRSSDDYIPLPQEENTLEGIDDLSDLLEDESYSSESQGTIDSEEHGTFHQACAIKEDECYPPVVNFKLRSDSEGRSSVFSRLLGKPRTLGPRKKSSAKAFPSMSSGHLPQRKKQWRKKQSKPFPCDRDGVLGTHQANKLRRIPALDYSFVWDDGSRSTNSFGRKPSDIQTSLELCEHGNKWDMCTKEHSRSNEFKRLFVPEAIRKLIRPYDKELSIPPVFPGVHDGNEVNSKEEVNDSSLDLKRRGKDDQDFGDENDNVEEATWKKRRLADASFSQEEYLSGGVLVPKGTEDMDMLAISDGNCKDKSICLSPRDTCAEMARACLQTKVVLQDEQQKNIQDCCEEVAGVTSLILEHSESMDLLPKRNCRNMKTCLNIETKSQVASGNLETRSSLEDTQKQSVRSCHGVINGDKILLMENFETMDVLPNHDEDCLAKSTFVRNDSNHLETEMPMQEKQSPSVQNCCEALHGDNMLIQEKSENMLYKIDADSDCGKKKSVSFDKAYSNVAGSSLETHVPMQEPLRIASSCCEIVNADQVCAGEVGKNSFSLDENGGYVTCPCNTTWALENAMAMDTVESIHGDIGNNSNNSAACRSMGSDYMEEVHQLVTNCSEISAAIPESSGTLNNFAKCCGDSANKNSLLDQTSENVSTDHQEVSMLPQDQHYHSCSGDTSSALEYSDTNAGDGDSEHRNSFDQKGGESMYPVTGVLLQAEQHQKLQGEPESSSSHEISNSGSFVVCAEDSRSKSGLSADRMVTDLETNSESRTGFFNTSSSECGENFSASASSSENAQQKLSGSAVSAEVARLQHDPGE >ORUFI06G04980.2 pep chromosome:OR_W1943:6:3400579:3410470:1 gene:ORUFI06G04980 transcript:ORUFI06G04980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEEEGRWEPTAAAAEEEEMGVEAEEAMEDGEVEGEVGQQQQEEEGNGDAGKSEEHGSAGGRMVWKKKHHHHHQPRTPVAAESRATWRGGKGSGKGRGGGGGGGGNLQPDNLNRFNKPGVYGGAIIICNHMTKRGFFEKKLFGLPGYAATFIKKIRVGMLLFVFELGERKLYGVFEATSNGALDILPNAFTSLRKPRPAQVLFRRIWFCKPLAETEFSSAIKGNCLYPQMSFFGISYQQVLNLVHLFASKRIELQPYQKPKSRVIYDYKISLAHLGREFSPRTHNKTFSSHSSSTFCNNRFSLPRSSYLYTKQNAKHDACKYESPLHSPLKSVIFKAPDVKGESLEPNPDYIPLELDDSKSDSDADPSDSLETVSFYPTLEGCISYEDQDLKPFNGKFNGDDGHHSHVLIRGLNSECETDRNSVFSRNVKERQSSLAKGGKGCKRKAIVEFDEQSSPRRGCTMKRVSFSFSGEEISVTSEKSLHRPTAFAELPNTRESSAEEGKQEVGCVVQKARSKGEDVSAKIKLMGLSLPEALRTNRVHSCSSNSQSLVTQTDQKRAKGGGEETTKKQSHQELLLLPSIISPPHCTIRPAAASIALWIPMNFRMGKAHSKEGSTYDGAIFLCNRLTRRECFEKKLFGLYAHCADFIQKVKVGATLFLYDTDQHKLHGIRFKRIWFCKPLMESELHDAIQNNFTSKNKLRYGLSHQQVVRLLHLFSSRNRLQPRQNQNLQDELPKESEMSSLVNQTDIQSSSNSSSHGSFKSPCQTCSSSTHGERAATLSHKLADPMPLMHRGLKPYTSGAVKSKDSSRFPLHIGANTDIVTVPVSQEAMDDRSSDDYIPLPQEENTLEGIDDLSDLLEDESYSSESQGTIDSEEHGTFHQACAIKEDECYPPVVNFKLRSDSEGRSSVFSRLLGKPRTLGPRKKSSAKAFPSMSSGHLPQRKKQWRKKQSKPFPCDRDGVLGTHQANKLRRIPALDYSFVWDDGSRSTNSFGRKPSDIQTSLELCEHGNKWDMCTKEHSRSNEFKRLFVPEAIRKLIRPYDKELSIPPVFPGVHDGNEVNSKEEVNDSSLDLKRRGKDDQDFGDENDNVEEATWKKRRLADASFSQEEYLSGGVLVPKGTEDMDMLAISDGNCKDKSICLSPRDTCAEMARACLQTKVVLQDEQQKNIQDCCEEVAGVTSLILEHSESMDLLPKRNCRNMKTCLNIETKSQVASGNLETRSSLEDTQKQSVRSCHGVINGDKILLMENFETMDVLPNHDEDCLAKSTFVRNDSNHLETEMPMQEKQSPSVQNCCEALHGDNMLIQEKSENMLYKIDADSDCGKKKSVSFDKAYSNVAGSSLETHVPMQEPLRIASSCCEIVNADQVCAGEVGKNSFSLDENGGYVTCPCNTTWALENAMAMDTVESIHGDIGNNSNNSAACRSMGSDYMEEVHQLVTNCSEISAAIPESSGTLNNFAKCCGDSANKNSLLDQTSENVSTDHQEVSMLPQDQHYHSCSGDTSSALEYSDTNAGDGDSEHRNSFDQKGGESMYPVTGVLLQAEQHQKLQGEPESSSSHEISNSGSFVVCAEDSRSKSGLSADRMVTDLETNSESRTGFFNTSSSECGENFSASASSSENAQQKLSGSAVSAEVARLQHDPGE >ORUFI06G04990.1 pep chromosome:OR_W1943:6:3411479:3413882:-1 gene:ORUFI06G04990 transcript:ORUFI06G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYAVVARGTVVLAEHSAAATNAGAVARQVLERLPGGGADSHVSYTQDRYVFHAKRTDGITALCMADEAAGRRIPFAFLEDIHGRFVKTYGRAALTSLAYGMNDEFSRVLSQQMDYYSNDPNADRINRMRGEISQVRTVMIDNIDKVLERGDRLDMLVDKTANMQGNTIRFKRQARRFRNTTWWRNVKLTIALIFLLTVIIYVVLVFMCHGFTLPTCIR >ORUFI06G05000.1 pep chromosome:OR_W1943:6:3433266:3436146:-1 gene:ORUFI06G05000 transcript:ORUFI06G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEKLVPDLASLRARLCPSYMDIDVFWKIYFTLLESNLTEHTSEVDENVPGSVHHVNEIESDSAPNVCEIESVKSTQEGYQSPDDRVLIKTRSNQSIDQWVFAKSKSEQSMDQWSEIPSDVESSRDGRRYISGEELSDADSAHIVVMDKYMDSLLSDRRSLHYASSSVRRDSVRRKPASSTDYSHRPPQPTPPASLSKKESWDVIEDSEFEILDS >ORUFI06G05010.1 pep chromosome:OR_W1943:6:3438830:3445131:-1 gene:ORUFI06G05010 transcript:ORUFI06G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGTQPTSLRKYLGALKDTTTVSLAKVNSDYKELDIAIVKATNHVERPSKEKYIREIFYSISASRPRADVAYCIHALARRLSKTRNWAVALKTLIVIHRALREVDPTFREELINYGRSRSHMLNLAYFKDDSSAGAWDFSAWIRTYALYLEERLECFRVLKYDVETDPPKTRDLETGDLLDHLPALQQLLFRLLACQPQGASSYNVIIQHALSMVALESVKIYTAISDGTINLVDKFFEMQRSDAVRALDIYKRATNQAERLSEFYEVCKTIHIGRGEKFLKIEQPPASFLVTMEEYVTEAPTVAQKDKVLAIEYKKEAEEEEKPASPPPAPEPEPEQEPEPEPEPVKEEAPKEEPTDLLGLNEPNPAAAEIEEKNALALAIVPIDDVPKVAPAQNGVTGWELALVTTPSSNETAITSSKKLAGGLDLLTLDSLYDDANRRASQPTSYNPWDVNPGVAGAGAAPMMQQPMMHDPFYASSGYAAPHNVQMAAMAQQQQAFMLQQQMMMAAAAAAAAAPPPQVFHHHQQQQQHLQTNPANPFGNPFAAAAAAHHPYGAAAAAGNGYTGLI >ORUFI06G05020.1 pep chromosome:OR_W1943:6:3447661:3447864:1 gene:ORUFI06G05020 transcript:ORUFI06G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRDGISVWLIEYNVGEEAAEKEKQQQMKVTQKSELGKASSVHAIDKHQHRAIEQQGAVLFTDIL >ORUFI06G05030.1 pep chromosome:OR_W1943:6:3456818:3461225:1 gene:ORUFI06G05030 transcript:ORUFI06G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAAAIPTSLGRLFHLRPTPNPSRNLSGSSAQPLLRLSYHPRLTLSRRMEAPAAIADSHGGGDLSASAVGAEALGAVAAPDFDVEMKEPSVATILTSFENSFDGFGSMSTPLYQTATFKQPSATDNGPYDYTRSGNPTRDVLQSLMAKLEKADQAFCFTSGMAALAAVTHLLKSGQEIVAGEDIYGGSDRLLSQVAPRHGIVVKRIDTTKISEVTSAIGPLTKLVWLESPTNPRLQITDIKKIAEIAHYHGALVLVDNSIMSPVLSRPLELGADIVMHSATKFIAGHSDLMAGILAVKGESLAKEIAFLQNAEGSGLAPFDCWLCLRGIKTMALRVEKQQANAQKIAEFLASHPRVKKVNYAGLPDHPGRSLHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFNVTVSFGSVKSLISLPCFMSHASIPSAVREERGLTDDLVRISVGIEDADDLIADLDHALRSGPA >ORUFI06G05040.1 pep chromosome:OR_W1943:6:3461618:3463933:1 gene:ORUFI06G05040 transcript:ORUFI06G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPVRMKAVVYALSPFQQKVMPGLWKDITTKIHHKVSENWISATLLLAPIVGTYEYAMYYKEQEKLSHRY >ORUFI06G05050.1 pep chromosome:OR_W1943:6:3467802:3470015:-1 gene:ORUFI06G05050 transcript:ORUFI06G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLSVKKCLIILALAAAAAIAGFLSVAGAGRGRSSSSSPARRLSNGLAAERARMAMARAASPTVERELDAARAAIRRAARRRRHGDLAGGEGRSSSNVSSAKWLSFFGDADHARLERVYRNPAAFYRSYVEMERRFKVYVYEEGEPPIAHEGPCKNIYAVEGRFIEELELMAPPLGGVRTWDPARAHALFLPLSVSQMVQLAYRPLSYDLSPLRAIVADYVAVLYANAIRALCNANTSEGFRPDKDVSIPEINLYDGDMPPELLSPAPPPPRPFLAFFAGGRHGHVRDLLLRHWKGRDPAVFPVYEYDLPSIPVSVSGDGDTDAGGEGGNPYYWYMRRSRFCLCPSGHEVASPRVVEAIHAGCVPVVVADGYAPPFADVLRWEAFSVAVAVADVPRLRELLERIPAPEVERLRDGVRLVKRHFMLHQPPERLDMFHMILHSVWLRRLNLRLNSH >ORUFI06G05060.1 pep chromosome:OR_W1943:6:3470928:3473715:-1 gene:ORUFI06G05060 transcript:ORUFI06G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYETNHTKPASFPSPSQFTRPRRRQWRSSSSAAASTAATKPSSRAPSPLPPARVCSRLRRPTPHPPISAPPSSPPTSPKSAATSTPPLPPPRAESPPPDDVRRSLHLFRNPHPSSGATSAAAANPSPSFADVFRARPAPPTSRATGADAFPFSALRESLNKNLGTSPTASAVPLPGATASSPDWSSILSSRQRHDGKPLPESVFGRETRGEARRGRDGKVEEQQFIRLYSDNELGKKLSELRPPVGKDGKEWFSVEELSRRLKKLREMDREERALQSGLGTDVLRDAIVTLQTKDLKTNNFAIGECLMKLMDNLFVTDDAVVTFGLLVAAAQSMSALMAFGSQATPAYLLGKPQQELVERYFHPDHMSSAEKMKQELQSVRDEFKMSENDCGSARVQVAQLTTKIKHLSTTLHKKDKHSRKGLQEMVQRRKKYLKYLRRTDWDSYCLVLSKLGLRDVPEYKPPDYKSKRSSSGKTKAKRKMKRKMKA >ORUFI06G05070.1 pep chromosome:OR_W1943:6:3474220:3478492:1 gene:ORUFI06G05070 transcript:ORUFI06G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLASAMRRENRRFKPPSSSSASASAALSSGRVPLVMAFLSCLAWLYVAGRLWQDAQTRMILSGLLEKSSGNLPKVLSVEDKLRNLGCIGIGRKIAEAEMDLTKAKSEGYLWGNGTATGSSDKKKLLAVIGVYTGFGSRLKRNTFRGSWMPRGDALKKLEEKGVVIRFVIGRSANRGDSLDRNIDDENRRTKDFLILESHEEAAEELPSKVKFFFSAAIEAWDAEFYVKVDDNINLDLAGLIEMLEARRGSQGLYMGCMKSGGVVSEEGQQWYEPEWWKFGDSKTYFRHASGALFILSNNLARYININSASLQSYAHDDISVGSWMMGLNTTYVDDDRLCCGSSRQEKVCSHA >ORUFI06G05080.1 pep chromosome:OR_W1943:6:3479600:3481752:1 gene:ORUFI06G05080 transcript:ORUFI06G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPAQGQMVQDLAAGGELGAPPSRYVLREKDRPVAAAGAVQAAQRELAAIPTIDVSRLAAESGDDVVDDGGEAAKLRSALQSWGLFAVTGHGMPEPFLDEILAATREFFHLPPEEKERYSNVVAAADADGVGAGGERFQPEGYGIDRVDTDEQILDWCDRLYLQVQPEEERRLEFWPEHPAALRGLLEEYTRRSEQVFRRVLAATARSLGFGEEFFGDKVGEKVTTYARFTYYPPCPRPELVYGLKPHTDNSVLTVLLLDKHVGGLQLLKDGRWLDIPVLTNELLVVAGDEIEIMSNGVFMAPVHRVVTSERERMSVVMFYQPEPHKELAPSEELVGEERPAMYKKLKAKAFGDGFWDAFAAGERTIDFLKVKVEHQQQQPEAAAAAAVSTSA >ORUFI06G05090.1 pep chromosome:OR_W1943:6:3483289:3503582:1 gene:ORUFI06G05090 transcript:ORUFI06G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVASSAAFSSKYSWENKYVFFLEGIAKSMSQTTMADGHHWNIVKIPPIVQELAAGVHEPPSQYMVGEKDRPAIAGSDMPEPIPVVDLSRLSASNGFYKLPLEEKQKYSNLVNGKDFRIEGYGNDMVVSEKQILNWCDRFYPIVEPEDVLREYTVRCREITSLVLKKLAKLLGLSEGYLVDMFDEKAMTYARFNYYPRCPRPDNVFGLKPHSDASVITIVAIDDSVSGLQLLRQGVWYDVPIVPNALLINVGDGIEIMSNGLFKGPVHRVVTNAESERVSLAMFYTLDPEKELEPVPELVDDEKRPRQYVKVKTKDYVTGLFETLARGTRVIDTVKISDNLNEIVSFSGVDFAGSRAQSGERDCASGVHRGIVFIGRQRRRRRPLSPAPHRRSYGGDRSLLLRRGGGDSIIPATMADGHEWKIVKIPPIVQELAANVPEPPSQYVVDEQDRPAITGSDMPEPIPVIDLSRLSASSSSDDDSGGELAKLRSALENWGLFLAVGHGIEPSFLSEVMKVTRGFYELPLEEKQKYSNLANGNEFKHEGYGNDMVVSEKQILDWCDRLDVLHEYTVRCREITSLVLARLARLLGLREGYFVDMFDEDATTYARFNYYPRCLRPEDVLGLKPHSDGSVITVVSVDDTVSGLQVLRQGVWYDIMSNGLLKSPVHRVVTNAERERVSVVMFYALDPEKELEPAPELVDDEKRPRQYAKMKIKDYLSGFYETFARGTRVIDTQAKPIEQVPMADEPWRLPNIVQELAAGVQEPPSRYLQDLAGGDQLAGAEIPEPIPTIDLGRLSGSDGADEAAKLRSALQNWGLFLVSNHGVETSLIDAVIEAAREFFRQPVEEKKKLSNLIDGKRFQIEGYGNDPVQTKDQILDWSDRLHLKVEPECDRNLAFWPTHPKSFSVPAVRDYSLLINIGVTLEIMTNGTFRAPLHRVVTNAERERMSVAMFYAVDGEKEIEPVAELLGLKQQSARYRGIKGKDLLIGHYEHFSRGGRVVDSLKI >ORUFI06G05090.2 pep chromosome:OR_W1943:6:3483289:3503582:1 gene:ORUFI06G05090 transcript:ORUFI06G05090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVASSAAFSSKYSWENKYVFFLEGIAKSMSQTTMADGHHWNIVKIPPIVQELAAGVHEPPSQYMVGEKDRPAIAGSDMPEPIPVVDLSRLSASNGFYKLPLEEKQKYSNLVNGKDFRIEGYGNDMVVSEKQILNWCDRFYPIVEPEDVLREYTVRCREITSLVLKKLAKLLGLSEGYLVDMFDEKAMTYARFNYYPRCPRPDNVFGLKPHSDASVITIVAIDDSVSGLQLLRQGVWYDVPIVPNALLINVGDGIEIMSNGLFKGPVHRVVTNAESERVSLAMFYTLDPEKELEPVPELVDDEKRPRQYVKVKTKDYVTGLFETLARGTRVIDTVKISDNLNEIVSFSGVDFAGSRAQSGERDCASGVHRGIVFIGRQRRRRRPLSPAPHRRSYGGDRSLLLRRGGGDSIIPATMADGHEWKIVKIPPIVQELAANVPEPPSQYVVDEQDRPAITGSDMPEPIPVIDLSRLSASSSSDDDSGGELAKLRSALENWGLFLAVGHGIEPSFLSEVMKVTRGFYELPLEEKQKYSNLANGNEFKHEGYGNDMVVSEKQILDWEITSLVLARLARLLGLREGYFVDMFDEDATTYARFNYYPRCLRPEDVLGLKPHSDGSVITVVSVDDTVSGLQVLRQGVWYDIMSNGLLKSPVHRVVTNAERERVSVVMFYALDPEKELEPAPELVDDEKRPRQYAKMKIKDYLSGFYETFARGTRVIDTQAKPIEQVPMADEPWRLPNIVQELAAGVQEPPSRYLQDLAGGDQLAGAEIPEPIPTIDLGRLSGSDGADEAAKLRSALQNWGLFLVSNHGVETSLIDAVIEAAREFFRQPVEEKKKLSNLIDGKRFQIEGYGNDPVQTKDQILDWSDRLHLKVEPECDRNLAFWPTHPKSFSVPAVRDYSLLINIGVTLEIMTNGTFRAPLHRVVTNAERERMSVAMFYAVDGEKEIEPVAELLGLKQQSARYRGIKGKDLLIGHYEHFSRGGRVVDSLKI >ORUFI06G05090.3 pep chromosome:OR_W1943:6:3489061:3503582:1 gene:ORUFI06G05090 transcript:ORUFI06G05090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVASSAAFSSKYSWENKYVFFLEGIAKSMSQTTMADGHHWNIVKIPPIVQELAAGVHEPPSQYMVGEKDRPAIAGSDMPEPIPVVDLSRLSASNGFYKLPLEEKQKYSNLVNGKDFRIEGYGNDMVVSEKQILNWCDRFYPIVEPEDVLREYTVRCREITSLVLKKLAKLLGLSEGYLVDMFDEKAMTYARFNYYPRCPRPDNVFGLKPHSDASVITIVAIDDSVSGLQLLRQGVWYDVPIVPNALLINVGDGIEIMSNGLFKGPVHRVVTNAESERVSLAMFYTLDPEKELEPVPELVDDEKRPRQYVKVKTKDYVTGLFETLARGTRVIDTVKISDNLNEIVSFSGVDFAGSRAQSGERDCASGVHRGIVFIGRQRRRRRPLSPAPHRRSYGGDRSLLLRRGGGDSIIPATMADGHEWKIVKIPPIVQELAANVPEPPSQYVVDEQDRPAITGSDMPEPIPVIDLSRLSASSSSDDDSGGELAKLRSALENWGLFLAVGHGIEPSFLSEVMKVTRGFYELPLEEKQKYSNLANGNEFKHEGYGNDMVVSEKQILDWEITSLVLARLARLLGLREGYFVDMFDEDATTYARFNYYPRCLRPEDVLGLKPHSDGSVITVVSVDDTVSGLQVLRQGVWYDIMSNGLLKSPVHRVVTNAERERVSVVMFYALDPEKELEPAPELVDDEKRPRQYAKMKIKDYLSGFYETFARGTRVIDTQAKPIEQVPMADEPWRLPNIVQELAAGVQEPPSRYLQDLAGGDQLAGAEIPEPIPTIDLGRLSGSDGADEAAKLRSALQNWGLFLVSNHGVETSLIDAVIEAAREFFRQPVEEKKKLSNLIDGKRFQIEGYGNDPVQTKDQILDWSDRLHLKVEPECDRNLAFWPTHPKSFSVPAVRDYSLLINIGVTLEIMTNGTFRAPLHRVVTNAERERMSVAMFYAVDGEKEIEPVAELLGLKQQSARYRGIKGKDLLIGHYEHFSRGGRVVDSLKI >ORUFI06G05090.4 pep chromosome:OR_W1943:6:3483289:3490027:1 gene:ORUFI06G05090 transcript:ORUFI06G05090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSMEDVRSTLLVQELAGMRSKAVPRQYIVQQEDQPTIAATASVPIVDLGRLSQPDGDANEAVKLRQAMESWGLFMVTNHGIEDALMDNVMNVSREFFQQHLGEKQKYTNLIDGKHFQLEGYGNDQVKSDTQILDWLDRLYLKVDPADERNLSVWPKHPESFRDVLDEFLIKCDGVKNSLLPSMAKLLKLNEDYFVRQFSDRPTTIARFNYYPQCPRPDLVYGMKPHSDATILTILMVDNDVGGLQVLKDGVWYDVPTKPHTLLINLGDHMEIMSNGIFKSSVHRVMTNPEKERISVVLFYFMNLEKEIEPALELIDERHPARYKRVKIMDYLAGLFEHFLQGTRVIDTVKI >ORUFI06G05100.1 pep chromosome:OR_W1943:6:3515497:3520606:1 gene:ORUFI06G05100 transcript:ORUFI06G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSALGTEVFIPVAAAVGVAFAVAQWLLVARVKVNPAHAAAAAASGGSKNGGYGDYLIEEEEGLNDHNVVVKCHEIQTAISEGATSFLFTEYQYVGIFMSIFAVVIFLFLGSVEGFSTKSQPCTYSKDKYCKPALFNALFSTASFLLGAITSLVSGYLGMKIATFANARTTLEARKGVGKAFIIAFRSGAVMGFLLASSGLVVLYIAINVFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTGMCYPLLVSSVGIIVCLITTLFATDFFEIKAVKEIEPALKKQLIISTALMTVGIAIISWLALPAKFTIFNFGAQKEVTNWGLFFCVAIGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIYVSFSIAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNSIPGLMEGTGKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTLFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKWF >ORUFI06G05110.1 pep chromosome:OR_W1943:6:3521032:3523850:-1 gene:ORUFI06G05110 transcript:ORUFI06G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRFLGGLCFLALLRLGGAAEAVVGVAGVDGRRAIAATDEDFVCATMDWWPPDKCDYGTCSWGLASLLNLDLSNKILLNAIRAFSPLKLRLGGSLQDKLVYGTGDGGGPCAPFVKNTSEMFGFTQGCLPLHRWDELNAFFQKSGARIVFGLNALNGRVPLPDGSMGGPWDYTNAASLIRYTASKGYKIHGWELGNELSGSGVGTKVGADQYAADVIALKSLVDTIYQGNPSKPLVLAPGGFFDAGWFTEVIVKTRPNLLNVVTHHIYNLGPGVDTHLIEKILNPSYLDGMVSTFSNLQGILKSAGTSAVAWVGESGGAYNSGRHLVTDSFVFSFWFLDQLGMSAKYDTKSYCRQSLIGGNYGLLNKETFQPNPDYYSALLWHRLMGTKVLSATFNGTNMIRTYAHCAKDSPGITLLLINLSGNTTSQVSVTSEGAHANTVKKHSRKTRHLAAGSMREEYHLTAKDGSLQSQVMLLNGRALVADENGEIPRLEPVKVDAAQPIAVAPYSIVFAHIHNFPAPACRKVKLGWVAKLAHLKMK >ORUFI06G05120.1 pep chromosome:OR_W1943:6:3528255:3528968:1 gene:ORUFI06G05120 transcript:ORUFI06G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYAWLCRAGLHPDVAFEYARLFARNELAADDLRHLDHGLLATMGVPIAKHRLEILKLARRESPPSSSSSSSSLAAVRLPWRATRLLAAAARRAALSLAARLRSVARRDRAAVAVAPRPPPPPQLWKPPRARAPPPPSATRNGGRKMALLRHLSKPMLTNHSSGGGGGGKRTRTTNGAATTYKAAAPAAASAAAAAITGCFAANPDAYSYSDDEFDLYDDGEDMRWESMFQDLKPT >ORUFI06G05130.1 pep chromosome:OR_W1943:6:3530537:3533320:-1 gene:ORUFI06G05130 transcript:ORUFI06G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVAVKAGSRPPWVGLGAAVWVQVAGGASSTFALYSHALKVALAADQRRLALLGVACDVGENLGLLPGVLCNRLHPALLLLVGAAACLLGYGSTWLAVSASGPALPYWLIWFALCLAANSGAWLGTAVLVTNMRNFPLSRGVVAGILKGYAGLSAAVYTVIYTGVLHDSASNFLLFVTLGVPVVCLVTMYFVRPCEPSLVENSSEQVHFLFTQLSSVLLGVYLVAATILDHFVTLTDAVNYVLLVIMVLVLFVPLTVPLKMTLFPSNRRKGQSDSSECSSSSADHDHTESLLPSSSASNLGNIEDDDSMDIDILLAEGEGAIKQKRRRPKRGEDFRFREALLKADFWLLFAVYFIGVGSGVTVLNNLAQVGIAAGVADTTISLALFSFGNFFGRLGGGAVSEYLVRSRTLPRTTLITCTQVMMIIIYLLFALGHHATLHVSVALLGICYGAQFSVMVSTSSELFGLKHFGKIFNFISLGNPLGALLFNSLAGYVYDQEVERQHATTMDTDIACHGPNCFRLTFCVLAGVASLGTLLSIVLTVRIRPVYQMLYAGGSFSQPRSSAH >ORUFI06G05140.1 pep chromosome:OR_W1943:6:3537936:3539696:1 gene:ORUFI06G05140 transcript:ORUFI06G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDHHPGGRDGAPLVFDELRWVIQIRRSLQEDGGDDDDDNGIPVSVFNVPKQLQAHKPEAYVPQFIALGPYHHWRPELYEMERYKLAAARRAQRHLREGVKLEHLVEQFARAERKVRAHYHRYLDFSGETLAWMMVVDGAFLLEFLQIFAAAEAAASGGVGKPELRRVSSRMAHLVDFAGRKSAHNLILRDMLMLENQIPLFLLRKLLEPQCSSAEEASELLGRMVTGLMKELCPFKMMDNFPAIDVAKHAHLLELLYHLLVPKPSDDAAAAADGHDEGYDIEEQPVDGGGGGGEEKQQSAGCEYVKQLLAAVWGIVSSLKSGPMQYVAKPISFAVKAPWKMLTVVPGFSAMKHPVESFFMSGGGGDPSSSSTAAGQDHHHAISRPPLIEEIMIPSVTELADAGVQFAPTNGDATTVSFDAKTATLHLPVVTLDGSTTEVVLRNLVAYEASAATGPLVLARYTELMNGIIDTGEDVAALRRRGVVLNRMKSDGEAARLWNGMSRSVRLTKVAAMDAAVEGVNRYHGARWRVKARRFMRRYVFGSWQLLTFLAAVLMLLLTTLQAFCSVYTCSRWFGAVAVAPPP >ORUFI06G05150.1 pep chromosome:OR_W1943:6:3542716:3543580:-1 gene:ORUFI06G05150 transcript:ORUFI06G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQIYATLYIPSETWMQLSRSRYYTSIADMSAAITRWDHGFASEANDKIEMKTSLPLVAAVLALFLMAATVQSIRLDAESHSAFSKQTVNNTSGDNVVAVVVAKTDGEPSGEMEKAISEEKSRVGHELPEIHVDYYGPRGHNPRHH >ORUFI06G05160.1 pep chromosome:OR_W1943:6:3544198:3547858:-1 gene:ORUFI06G05160 transcript:ORUFI06G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEESEGAERLDFGEPAAAAADAGKSKSKSPDELPSPRMERVCENTTAADFKQNKSGNFVPNIRSGDWSDIGGRQYMEDTHVCITDLAKNFGYQSVDNEAISFYGVFDGHGGKDAAHFVRDNLPRIIVEDADFPLELEKVVRRSFVHADNQFAKTTLSSGTTALTAMIFGRTLLIANAGDCRAVLSRCGTAIEMSVDHRPCSLSEKLRVESLGGYVDDGYLNGLLGVTRALGDWHLEGMKEAGNPGGPLSAEPELKMITLTKDDEFLIIGSDGIWDVFSNQNVVDFARRRLQEHNDVKSCCREIVEEAIKRGATDNLTAVLVSFHLEAPPQVRVSRPGRVARSISAEGLNSLRTLLRNQ >ORUFI06G05170.1 pep chromosome:OR_W1943:6:3553273:3556469:-1 gene:ORUFI06G05170 transcript:ORUFI06G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPCIWCCLVLLTLVVCDSCLHEERKHLMDICDAFLWPAGNPPDWSSRDCCRWERVTCSSITGRVTALDLDAAYPSWYGLLNCSMFLPFRELQNLSLGNAGIAGCMPGAGFEVWSNLRQLEILDLSENELNDSSIMPLVGLASLRSPFLGGNAIKNDFIVQRLSKMKLDILDLSWNGIFGNISRAVCNMTSLRELHLNGNFFFGVLPSCIRNLTFLRVLDLSNNLLTARFPTISFANMTLLEQLSLSHNQLEGLLLLNSFSNHLQLKYLRLSSNSASFQVQTENPEANVSSQLQVLELSNCNLNANSGVVPSFLSHQHGLYLIDVSNNNLSGHFPTWLLENNIYLSYLSVKHNSFVGSLILPSTVNQNLSWLDASYNRLSGDLPVDINITFPNLSYLNLSKNFFQGIFPSAVSHLENLSTLDLSYNNISGEITASFPTTMSMNHLVLNDNNISGEIPTSICTNVNLGVVDFSNNKLTGSIPNCIASNHLFFILNLRGNHLTGSIPTGLSSLLNLQFLDLSKNYLSGPLPSLPNLTYLHLSENELNGTFPLVWPFGANLKTMDLRYNQFSGAIPRCIDETFPELRILLLKGNMFEGMVPNQVCLLT >ORUFI06G05180.1 pep chromosome:OR_W1943:6:3556564:3557460:-1 gene:ORUFI06G05180 transcript:ORUFI06G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEGGKIDLFNIRPLHDGPSQRHPYADADADADAALVAGCGGIDRDPSPSAPTSSIPSSMASKANSWLPAAGAPRCPWPASTLPVGADFFNSFHDGVDASLLPWSKHAGSPLIQDKPHSLAGIKKSQASLLLRDNAVITVAISDLLDATMGSVESAGSGN >ORUFI06G05190.1 pep chromosome:OR_W1943:6:3558321:3560657:-1 gene:ORUFI06G05190 transcript:ORUFI06G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPASHAAAARGRLRSRQYAVLGLTFAAYASFHASRKPPSIVKAVLSADWAPFSGPRGPHRLGELDVAFLSAYAAAMFAAGHLADRADLRRLLAAAMLASGATSAALGAAYFLGVHSLAFFLAAQVASGVVQSAGWPCVVAVVGNWFGHASSRGTIMGVWNSHTSVGNIAGSVLSAAVLEFGWGWSFLVPAFVIAALGVVVLVFLIAHPMDAGLDIEAIEVEMNGGSGEEVELLGEDKKEDEDVLEVEAVAELPRAIGFLEAWRLPGVAPFAFCLFFSKLVAYTFLYWLPFYIRHNAVAGQFLSHKASGILSVVFDIGGVLGGISAGLLSDKIGARAVTSALFLFLSIPALILYRTYGSISMHHNIGLMFLAGYFVNGPYSLITTAVATDLGTQDAIKGNSRALATVSAIIDGTGSVGAALGPLLTGYISTRGWNSVFFMLIVSISLALVFLIRLAKDEIVSKISARH >ORUFI06G05200.1 pep chromosome:OR_W1943:6:3562564:3565466:-1 gene:ORUFI06G05200 transcript:ORUFI06G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCRCSRGALPLLLISLSAAYITYTALLSSRSLLPLPTASFPGATASRRLASGRPTAAAAFHTAVTASGSLYNTWQCRVMYYWFKRAREAGGGGGAEMGGFTRILHSGKPDAFVDEIPTFVADPLPAGTDQGYVVLNRPWAFVQWLQKADIQEEYILMAEPDHLIVKPIPNLSRDGRSAAFPFFYIEPKKYENVLRKFFPEHEGPITKIDPIGNSPVIARKESLARIAPTWMNISIAMKKDPETDKAFGWVLEMYAYAVASALHGVGNIFHKEFMIQPPWDLEIGDAFIIHYTYRCDYDMKGKLTYGKIGEWRFDKRSYDSKPPPRNLPLPPNGVPQSVVTLVKMVNEATANIPNWDSYAAA >ORUFI06G05210.1 pep chromosome:OR_W1943:6:3568252:3568730:-1 gene:ORUFI06G05210 transcript:ORUFI06G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRPLLSLAWLLVAALFLLQSSPTSSSSSPPPPPPPPPPSGAAAGEDNGGGSAIWAGVVAADTGGGGGEKAAQRRSLRRVGLHRTPPPPTSNDGEIQSISPPPPPEQDGQFFSSTGYPTRPPPAS >ORUFI06G05220.1 pep chromosome:OR_W1943:6:3571500:3571872:-1 gene:ORUFI06G05220 transcript:ORUFI06G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRALLAWLLVAALLMAGSLTCSSSSSSRLLGGADGGDSKAWAGAGDVVREYHGGGEKAAATARRSLGLRATKPMPPAPIPNRMKANAMPVSPPARIG >ORUFI06G05230.1 pep chromosome:OR_W1943:6:3573637:3573987:-1 gene:ORUFI06G05230 transcript:ORUFI06G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRMQLAWLLVATLLLASSACSWSRPLAAGSDGVGGEKLVSAARRSLGSRTPPAPPAPLPNKTKSYVMPVPGSPPAV >ORUFI06G05240.1 pep chromosome:OR_W1943:6:3580740:3581238:-1 gene:ORUFI06G05240 transcript:ORUFI06G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPILVAFLLLGLYAVVSVDSSRPIEGGVETIWTAAAADQGDGGVARSSLPERSMIAVMPRRQPVRAPPSPKPSMAMTSYMPPCSGGVPGCRTPRMG >ORUFI06G05250.1 pep chromosome:OR_W1943:6:3582335:3583010:-1 gene:ORUFI06G05250 transcript:ORUFI06G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLVAFLLLSSYAVLSVCSSRPIAGGVEVIWSTGAATTTMEADGGQSHCRRGGGTSVVVRYLVARRTVMGMEVPSRESTMMRRLPDREPVVYLQRHLHAKHWLLPKSYNTIQLRDVIPT >ORUFI06G05260.1 pep chromosome:OR_W1943:6:3585671:3586947:-1 gene:ORUFI06G05260 transcript:ORUFI06G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSPALARPAWPSYGAQAAAARGQMPGRKLTAGFNAPSWRYRRCRLDQATCVRTGRLVASPSSPSSNRSNLTDAVLRCSSSRCIALRRRRLPLVRGPASAIASHTSRNVASPTAGRASASAADNEVDQTNWSAAEVATATVKADGGHRRGVVARYLVAERSIGGMVASREPAIMVRRSPWKPPSPIGHVPVAWEKGKPPCLGVGCSQIKG >ORUFI06G05270.1 pep chromosome:OR_W1943:6:3587442:3591470:-1 gene:ORUFI06G05270 transcript:ORUFI06G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYSYHPLLLLLHLLPQMAADHAAFPALARFLARKRTRTAIAMVIMAAMLPGVECARRRRLRQGGGAGADAAAAGGGTRRSSFCVHAAGHGGGQTCGGAAANHSGKQRSSVMELIHGWSLDSNAREAKERLDQKLRSQRESVIKRHHSTGSIKLNRGATGGGGGGGRSTATAAMGVQREVYSRKGVMRRLMRWSRLRWDAAEQAECAVCLDEFAAGDVLAHLPCGHRFHWACALPWLEAGAAPRSCPFCRAAVDTPPPPPPPACSLVFLRANQSVSLE >ORUFI06G05280.1 pep chromosome:OR_W1943:6:3594990:3595583:-1 gene:ORUFI06G05280 transcript:ORUFI06G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQFGGTLAPKLGEKPQLLPRSPALTRVIYADPRFLVSKSGSGGRLKHLVSPTASLQSRTSSRLFNHAPSPRFRHRRSSRFIVRADADFYSTLGVSRNASKSEIKSGRCGFICQFRACSDWCSVQS >ORUFI06G05290.1 pep chromosome:OR_W1943:6:3602621:3603305:-1 gene:ORUFI06G05290 transcript:ORUFI06G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDKAFGAHGRDASFGSWWKKCFGAKARAEERARVRAGESVTWRTQPDGLRLPEVAVGSSVFASRGVLLIIIILRVWWLSQPRPFLETWRRGANG >ORUFI06G05300.1 pep chromosome:OR_W1943:6:3606094:3610974:1 gene:ORUFI06G05300 transcript:ORUFI06G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEYEKLAAAAPDGSAKLRVFLFPASGSEAAAAGASGSGSHLAAAVDESGQRYIDAINCVSAESVAAMRRKESVASAGSSAHNSEASEHGGAVEGMSPQAAVPPPSLPPEYLYSGGNKYHGAFPDSLGFSAVTASSPAMGIQAQNHIMVRTEPLPPQPHQVASYAPSHQPPQVASYAPHQQPQVASYAPQQQQQQQQPQVASYIPQMAQSFREPQQVQYINAQQLGVHGVPQSVNFVPVQMSPYMPSIPVTNSMPTSAAQVGTMKPASPASEPVLENVHNTRPMQATGDQSYRVLQPLSQLPPLPPVHLQTSDAHRYGVQTVMTSSASTPLVTTSGTIPMVISSATMPALRYDDCTMCQKALPHAHSDNMIQEQGTPHGVNNPDAAPVFYSLHQENVTKQHIPGATAGTPANYIVEPRSEVTAGMMQTEQNFAANNHVLQPTSFPDASGLVPNTRVTSRLAFAGNPPQPRSEDPVMYQHQQQNSYSMQPSLIPVNGVISNPQGIDASAFKNSNNQVPDPFREYGHDLPHDYVRAINAQMQGVHLGPIAPPESSVQGKPASPHGAIGDGKLEKPSHVNIGGGSIYKSQAGGYHLGITNAFSAPAEDNLVRHTEQSSSAFDSQHLHSEIGHQLNVLQNVPVSNNLGVPAKPHISNERFLARPASAGVQVPVEHSPLRPAEMLNHVVSAPPNGNSQIPLQATAGIDSVEATRDPAYTDSLFSNQDPWNAVGNASVAPPRLNKLAKEPAVSGDPYVEGHGLAINSSNAATLLEEGNLPLIQDRTFKDIYPEPSQMSKGYGEETIKRQLQAVAEGVAASVLQSPFPEKPTVFSGDHTDKQGAVIDPKLEDAVNNQSDKTSQGVKVLDDIDNLQIIKNSDLEELRELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKASEQERMRTDFWNEADKLASLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHEKIFDRRRRLLIAMDVAFGMEYLHEKNIVHFDLKSDNLLVNLRDPQHPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYAELHYGAIIGGIVNNTLRPPVPESCDPRWRSLMEQCWSSEPSERPSFTEVGKRLRAMATPSTKAQPQK >ORUFI06G05310.1 pep chromosome:OR_W1943:6:3613235:3616469:1 gene:ORUFI06G05310 transcript:ORUFI06G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERIVGDGAIETWRAADEVTRAKYRLLAGEQRAREIEGKLGETIPQGNQISTPEVHKVMDALKSSCANLHSVVEDPLPAAKAAADEVLAARMDKAVDLNAGEVSNQPTACDIAGPSAPADNLDAPRKGTAASLMDWNPTARTFQWEDSPDPDGSRSPIHRPQLPSPRRTTFSPLQPADNKAKRRKARKWCALEEETLRKGVEQYGNGNWKDILTNNPDVFIGRKAMDLKDKWRNMMR >ORUFI06G05320.1 pep chromosome:OR_W1943:6:3616928:3619760:1 gene:ORUFI06G05320 transcript:ORUFI06G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSSLPPRVLPNLVSCSRRAVTSAATAAAAAYSTAAAAGGGGGGGRPLRYAVLGAGFAGLSVAWHLLKHSPKGSRVRVDIYDESGIGGGASGVSGGLLHPFYGRVLNSGKSVWICCVARSKRMEPLGQMELAKMRPLSGEGNSLGIIRPPTSEKTADILLESSLQSCSLQVLDSDEAQCLIPGLCVPLNFAVYMPLALNINPKKYLQVALFFACQNMSDEASLSSSEQKECKLYNEHVDDLQQLAGDYDSVIICLGARASSLPELTNKLPLRTCRGVIAEFQLPSDTIETYGSQSPSILSDAWLAFQGPRTVSIGSTWQWKSENYSSSVSDDEALNAMEELLPKASAVYPGITKWKFVQARAGIRAMPPLTANGSLPLLGCLNDVIGKRSNCSFWLVGGLGARGLLFHGLAGKLTAKAVISCDENLIPPEFTCWKEP >ORUFI06G05320.2 pep chromosome:OR_W1943:6:3616928:3619760:1 gene:ORUFI06G05320 transcript:ORUFI06G05320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSSLPPRVLPNLVSCSRRAVTSAATAAAAAYSTAAAAGGGGGGGRPLRYAVLGAGFAGLSVAWHLLKHSPKGSRVRVDIYDESGIGGGASGVSGGLLHPGIIRPPTSEKTADILLESSLQSCSLQVLDSDEAQCLIPGLCVPLNFAVYMPLALNINPKKYLQVALFFACQNMSDEASLSSSEQKECKLYNEHVDDLQQLAGDYDSVIICLGARASSLPELTNKLPLRTCRGVIAEFQLPSDTIETYGSQSPSILSDAWLAFQGPRTVSIGSTWQWKSENYSSSVSDDEALNAMEELLPKASAVYPGITKWKFVQARAGIRAMPPLTANGSLPLLGCLNDVIGKRSNCSFWLVGGLGARGLLFHGLAGKLTAKAVISCDENLIPPEFTCWKEP >ORUFI06G05330.1 pep chromosome:OR_W1943:6:3624588:3627281:-1 gene:ORUFI06G05330 transcript:ORUFI06G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSLDDINDDSVDLSKAPVAEVFQKLKCDRKGLTGAEGESRLRLYGPNKLEEKKESKLLKFLGFMWNPLSWVMEIAAIMAIVLANGGGRPPDWQDFVGIVSLLIINSTISYIEEANAGDAAAALMAGLAPKTKLLRDGRWEEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVNKHPGQEVFSGSTVKQGEIEAVVIATGVRTFFGKAAHLVDSTNNVGHFQQVLTAIGNFCIISIGAGMAVEVLVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVCSKGVDKDMVLLYAARASRVENQDAIDTCIVNMLDDPKEARAGIQEVHFLPFNPVDKRTAITYIDGNGDWHRVSKGAPEQIIELCNMAADAEKKVHALIDSYADRGLRSLGVSYQQVPEKSKDSGGDPWQFIGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSTTLLGDKNSQVNGLPIDELIERADGFAGVFPEHKYEIVKRLQEMSHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLIAIIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDCWKLNEIFLTGVVLGTYMALVTVLFFYLAHDTNFFTDVFGVTSIRESERELMAALYLQVSIISQALIFVTRSRSWSFVERPGFLLLFAFFAAQMVATAIAVYARWDFCRIQGIGWRWGGAVWQFSVVTYLPLDVLKFIIRYALTGGKAGDSAQKKASSPPPTSQP >ORUFI06G05340.1 pep chromosome:OR_W1943:6:3630881:3631215:-1 gene:ORUFI06G05340 transcript:ORUFI06G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFQIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >ORUFI06G05350.1 pep chromosome:OR_W1943:6:3637853:3638910:1 gene:ORUFI06G05350 transcript:ORUFI06G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTTLITSTSLPPQPRAHSSLSRRERALSLAATTRNPSPSSRTSAMARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRVRTNFPHDVADEAAPPPPPHSAAAASSSFLSAALVAKLHRFNLASVQAAQRGNSNDDDSTTSSSAAASSRAVIPSLPAAAGALGNAAATAEWSGGFLEEQYVDQMIEELLDSNFSMEISC >ORUFI06G05360.1 pep chromosome:OR_W1943:6:3648370:3649906:1 gene:ORUFI06G05360 transcript:ORUFI06G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLACFAARGGGGAGASSSSSPAPAASATSVYWTHLGTVTLTWSRGQLGLVLAAELHLAGEGAAPALRFLLRPLLPWRRRGCKRFAGGGHAVAFTWDMSRARLAGRRPEPVARYSLHVCVDGELVLAAGDLALLAPSAGFLLTRRENAVAAGGGEAYATTVAVAGGRHEVSIAVEDAVMWVAIDGEKALQVRRLRWKFRGSERLDLPRGRVRVSWDLHGWLFAADAVAVFVLRFETADVADTSKIDMERDAGMLALRQSSFNRKHHHHGGGGGAAAESWCSSDSDRRGWRRGPFRSGSDSSPAVSVASTSAASSAGSMATVADWATAEEAAMNDGGGFSLVVHLWKTKKRRLTPTLRDLYPNILFSPSLMTPARQQPLTNIFLG >ORUFI06G05370.1 pep chromosome:OR_W1943:6:3659483:3660367:-1 gene:ORUFI06G05370 transcript:ORUFI06G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFSSSSSPSPALAPASSSSTASTPRAPRQQLPRGASSSSSSSPVYLNVYDVTPANGYARWLGLGVYHSGVQGMYALLSSPSILYAYGAHDGAGSGIFEVAPRRCPGYAFREAILVGTTELTRAEVRAVMADLAADFPGDAYNLVSRNCNHFCDAACRRLVRARIPRWVNRLAKIGVVFTCVIPGNGAAVRRKGDPPATATAPGGKASIRSRSARQGADAAAPPRPKTFFRSLSVGGGGGGGGGKNVTPRPLSTSPSPTPPAPPAFTTAT >ORUFI06G05380.1 pep chromosome:OR_W1943:6:3664173:3680852:-1 gene:ORUFI06G05380 transcript:ORUFI06G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPRGGGGEDPPRTSSGAAASASASSGVPNEPLTPTSMLMSGQQAGLNRRGSRSAAMATFSMEVFDNEVVPSTLSSIAPILRVAAEIEPERPRVAYLCRFYAFEKAHRLDQNSVGRGVRQFKTALLQRLEKDNSPSLAKRVKKTDAREIESFYQQYYENYVRALDKGEQADRAQLGKAYQTAGVLFEVLCAVNKNEKVEEVNPEIVRLHRDVQEKKDIYTPFNILPLDAASASQSIMQMEEIKAAVAALRNTRGLTWPSTFEPERQKGGDLDLLDWLRAMFGFQRDSVRNQREHLILLLANLDDRAVDEVMAKLFSNYRKWCNFLSRKHSLRSPQGAQPQEIQQRNILFLGLYLLIWGEAANIRFMPECLCYIFHNMAYELNGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVTPIYRVIKKESGKSKHGKTPHSAWCNYDDLNEYFWTTDCFSLGWPMRDDGDFFKSVHDSRPVTTAGSSSQKGSTKSTGKMNFVETRTFWHIFRSFDRMWTFYLLALQAMLIFAWSDYTLSQILQKDLLYSLSSIFVTAAFLQFLQSILDFVLNFPGHHKCKFLDAMRNILKIIASAAWAVILPFFYISTASKVNLPIKDLDKWFQYVKGVPPLYILAVAVYLIPNILSAALFLLPCFRRWIENSDWRIVRLLLWWSQIKPLIKPTKDIMNVHNIHYEWHEFFPNASYNVGAVMSLWAPVLLVYLMDTQIWYAIFSTISGGVSGALGRLGEIRTLGMLRSRFHSLPGAFNTFLVPSDKRRNRRFSLSKRFAEVSPSKRTEAAKFAQLWNEVICSFREEDLISDKEMDLLVVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQFRPRDSDLWKRICADEYMKCAVLECYESFKLVLNLLVIGENEKRIIGIIIKEIEANIAKNTFLANFRMSALPVLCKKFVELVSALKERDASKFDNVVLLLQDMLEVITRDMMVNEIRELAEFGHGNKDSVPRRQLFAGTGTKPAIVFPPPISAQWDEQIKRLYLLLTVKESAMDVPTNLEARRRIAFFTNSLFMDMPRAPRVRKMLSFSVMTPYYSEETVYSRNDLDLENEDGVSIIFYLQKIFPDEWNNFLERIGCQRESEVWGNEENVLQLRHWASLRGQTLCRTVRGMMYYKRALKLQAFLDMASESEILEGYKAVADPAEEEKKSQRSLSSQLEAIADMKFTYVATCQIYGNQKQSGDRRATDILNLMVNYPGLRVAYIDEVEERDGEKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKLGEGKPENQNHAIVFTRGEALQTIDMNQDNYLEEALKMRNLLEEFHENHGVRQPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYISSMMVVIIVYVFLYGRLYLALSGLELAIMKQARMRGNTALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFIIMQLQLCSVFFTFSLGTKSHYFGRTILHGGAKYKATGRGFVVRHVKFPENYRMYSRSHFVKGLELMLLLVVYQMYGDVATDSTAYILLTSSMWFLVITWLFAPFLFNPSGFEWQKIVDDWDDWSKWISSRGGIGVPANKAWESWWEEEQEHLQSTGFFGRLSEIILSLRFFIFQYGIMYHLNISAGNKSISVYGLSWLVIVAVVMVLKVVSMGRKKFSADFQLMFRLLKLFLFIGSIGTLAILFTLLHLTVGDIFASFLAFAPTGWAILQISQASKPVVKAFGLWGSVKALSRGYEYLMGILIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQS >ORUFI06G05380.2 pep chromosome:OR_W1943:6:3664173:3680852:-1 gene:ORUFI06G05380 transcript:ORUFI06G05380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPRGGGGEDPPRTSSGAAASASASSGVPNEPLTPTSMLMSGQQAGLNRRGSRSAAMATFSMEVFDNEVVPSTLSSIAPILRVAAEIEPERPRVAYLCRFYAFEKAHRLDQNSVGRGVRQFKTALLQRLEKDNSPSLAKRVKKTDAREIESFYQQYYENYVRALDKGEQADRAQLGKAYQTAGVLFEVLCAVNKNEKVEEVNPEIVRLHRDVQEKKDIYTPFNILPLDAASASQSIMQMEEIKAAVAALRNTRGLTWPSTFEPERQKGGDLDLLDWLRAMFGFQRDSVRNQREHLILLLANLDDRAVDEVMAKLFSNYRKWCNFLSRKHSLRSPQGAQPQEIQQRNILFLGLYLLIWGEAANIRFMPECLCYIFHNMAYELNGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVTPIYRVIKKESGKSKHGKTPHSAWCNYDDLNEYFWTTDCFSLGWPMRDDGDFFKSVHDSRPVTTAGSSSQKGSTKSTGKMNFVETRTFWHIFRSFDRMWTFYLLALQAMLIFAWSDYTLSQILQKDLLYSLSSIFVTAAFLQFLQSILDFVLNFPGHHKCKFLDAMRNILKIIASAAWAVILPFFYISTASKVNLPIKDLDKWFQYVKGVPPLYILAVAVYLIPNILSAALFLLPCFRRWIENSDWRIVRLLLWWSQIKPLIKPTKDIMNVHNIHYEWHEFFPNASYNVGAVMSLWAPVLLVYLMDTQIWYAIFSTISGGVSGALGRLGEVSPSKRTEAAKFAQLWNEVICSFREEDLISDKEMDLLVVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQFRPRDSDLWKRICADEYMKCAVLECYESFKLVLNLLVIGENEKRIIGIIIKEIEANIAKNTFLANFRMSALPVLCKKFVELVSALKERDASKFDNVVLLLQDMLEVITRDMMVNEIRELAEFGHGNKDSVPRRQLFAGTGTKPAIVFPPPISAQWDEQIKRLYLLLTVKESAMDVPTNLEARRRIAFFTNSLFMDMPRAPRVRKMLSFSVMTPYYSEETVYSRNDLDLENEDGVSIIFYLQKIFPDEWNNFLERIGCQRESEVWGNEENVLQLRHWASLRGQTLCRTVRGMMYYKRALKLQAFLDMASESEILEGYKAVADPAEEEKKSQRSLSSQLEAIADMKFTYVATCQIYGNQKQSGDRRATDILNLMVNYPGLRVAYIDEVEERDGEKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKLGEGKPENQNHAIVFTRGEALQTIDMNQDNYLEEALKMRNLLEEFHENHGVRQPTILGVREHIFTGRVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYISSMMVVIIVYVFLYGRLYLALSGLELAIMKQARMRGNTALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFIIMQLQLCSVFFTFSLGTKSHYFGRTILHGGAKYKATGRGFVVRHVKFPENYRMYSRSHFVKGLELMLLLVVYQMYGDVATDSTAYILLTSSMWFLVITWLFAPFLFNPSGFEWQKIVDDWDDWSKWISSRGGIGVPANKAWESWWEEEQEHLQSTGFFGRLSEIILSLRFFIFQYGIMYHLNISAGNKSISVYGLSWLVIVAVVMVLKVVSMGRKKFSADFQLMFRLLKLFLFIGSIGTLAILFTLLHLTVGDIFASFLAFAPTGWAILQISQASKPVVKAFGLWGSVKALSRGYEYLMGILIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQS >ORUFI06G05390.1 pep chromosome:OR_W1943:6:3681191:3708795:-1 gene:ORUFI06G05390 transcript:ORUFI06G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKSTDLSSSSSHFTSSCKLASSSSLRIALVAIDRKLASTIRSIPHKWTSIFDMRFQQTAANKLKVTLIENCLPKRLFCGRKLGSSRIRRWTACGSPASGGDYERRQDREGGHTLRVSIVGKGTKCQCPPPPPPPPPASVLKLRGENEGWGSDHLITASPAPLPRTGPPAIRHRPLLDHHQQQQQHRKPDNETKASYDRRRPTSPAATEEGARSIPTSGVVRPPCPYARALGRRRRAGLAWGGGFGEEAGDPLPRNTAGKLGGFSGGTRRRTDGPPPSPRETTKRDTKPAPGGERELTRRSKRRGVVLTPAERTGDLVVAVERYARRKEETEEEGKEGVTTSPTTATRTRPRPTPALAMLLRLGLGGGEERGGGRGFAEARAAAAAAAEEAAAAPAAGHDGYIRPSKMNNAI >ORUFI06G05400.1 pep chromosome:OR_W1943:6:3684841:3689231:1 gene:ORUFI06G05400 transcript:ORUFI06G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPALLRSRSSPPTPSWPAAGAAAASSAAAAAAARASANPLPPPLSSPPPRPSRRSMASAGVGRGRVRVAVVGDVHNDWTLEEDSKALHFLQPDLVLFTGDYGNENVQLVKSISDLQLPKAAILGNHDCWHTYQFSEKKVDRVQLQLESLGEQHVGYKCLDFPTIKLSVVGGRPFSCGGNRIFRPKLLSKWYGVNDMAESAKRIYDAATNAPKEHAVILLAHNGPTGLGSRMEDICGRDWVAGGGDHGDPDLEQAISDLQRETGVSIPLVVFGHMHKSLAYGRGLRKMIAFGANRTIYLNGAVVPRVNHAQSSRQPAISTSEKTGLEGLTGLMVPTSRAFTIVDLFEGAVEKISEVWVTVGDARTELEQELVLYKQPREHI >ORUFI06G05400.2 pep chromosome:OR_W1943:6:3684824:3689231:1 gene:ORUFI06G05400 transcript:ORUFI06G05400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNDWTLEEDSKALHFLQPDLVLFTGDYGNENVQLVKSISDLQLPKAAILGNHDCWHTYQFSEKKVDRVQLQLESLGEQHVGYKCLDFPTIKLSVVGGRPFSCGGNRIFRPKLLSKWYGVNDMAESAKRIYDAATNAPKEHAVILLAHNGPTGLGSRMEDICGRDWVAGGGDHGDPDLEQAISDLQRETGVSIPLVVFGHMHKSLAYGRGLRKMIAFGANRTIYLNGAVVPRVNHAQSSRQPAISTSEKTGLEGLTGLMVPTSRAFTIVDLFEGAVEKISEVWVTVGDARTELEQELVLYKQPREHI >ORUFI06G05410.1 pep chromosome:OR_W1943:6:3690182:3697916:1 gene:ORUFI06G05410 transcript:ORUFI06G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKFFRGSTHKISEGQYHSKPAEETIWNGPSNSAVVTDVPSEFDNEDIARAISLSLLEEEQRKAKAIEKDMHLEEDEQLARAIQESLNVESPPRARENGNANGGNMYQPLPFMFSSGFRTCAGCHSEIGHGRFLSCMGAVWHPECFRCHACNQPIYDYEFSMSGNHPYHKTCYKERFHPKCDVCKQFIPTNMNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEPRESRYVLLDDGRKLCLECLDSAVMDTSECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKTGHHHLPETRGLCLSEEQTVSTILRRPRMAGNKVMEMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRTLSPDVEEGICQVLAHMWIESEIIAGSGSNGASTSSSSSASTSSKKGGRSQFERKLGDFFKHQIESDTSMAYGDGFRAGNRAVLQYGLKRTLEHIRLTGTFPF >ORUFI06G05420.1 pep chromosome:OR_W1943:6:3708362:3709999:1 gene:ORUFI06G05420 transcript:ORUFI06G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVLLALKMNKVDLRSKGNAFIRGSISYLAATILEFSIFLFFWLWLCSSQLLKDKKRKHMYTMSKMLVHLCGMLLMVLASYILLLIIGMDKKLCLLILVPFLCITFLSIATSAMRSEDDDASLHEEVKCEDELERSVDFSASITALVFLGLGRFAFEVDDLQKADVSEHLAVAAIISFVICVLGVFFTLYGTIPLLPSINALRDMELMEGGKAQEHVREKLELSSMVLAATVLGIVAWITWVIMKLWACLLTIPLLASLFAGIYNHIIKQLQNRDSEPKKPQTQSAQDPARVINPAQPQGVVEGQRKPPPASSGETSAGQTTAATSIPQAQPQPAATGSSSGETRSTTSLELHSTSSEVTSAGQTTSTAEIPPGTSSSSVDTRPASDEHPATSTSGEEARRSAHDETTTVTSSAAQSESQPDTDTTSSGEIMAAPLELTKATFTVFLLVAIPSFGDSSIHGYTHAFIFLTAAALVSGLLLRLLTHRTVYPPSVVRSAAKVASYFAHLCLAAAVIPFTLMAIAAQKLPPSCTHTCAGAANTTSS >ORUFI06G05430.1 pep chromosome:OR_W1943:6:3710468:3713507:1 gene:ORUFI06G05430 transcript:ORUFI06G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILLGQKLCPMGKWAWVXTGTNNLTGMGMGRHYPCPSRPIAIPSEAVPKRILELMNAEKLTRENVASHLQEYRLYLKRLRAVASQQASIVAPFGGRDSSLHMGAFEGLQSYQPFAPSAALPSFNPHGLLSRTNTAAAFGLQELAAPSSTIQTATGNVTIGHCLEESQHGNLAQGLTAAIGKPQLQQNWIHQESNGLSDVFSGSALTNTLSSTLQRVPSSSLPPQELLECKQTKVSMPPSIRIPPSSSALLERTLGVSTNLGDSSISQQGALVDGGFSADRLPLHSSFDGAVATKLDTSLAASQREIGQQGKFSVSMLVSPSDNLALAKNAKTGASSSGSTVILPLDTARHLDYL >ORUFI06G05440.1 pep chromosome:OR_W1943:6:3714852:3718530:-1 gene:ORUFI06G05440 transcript:ORUFI06G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVFWRGGVTMVVLACAMVAAATVACVLMARALRRAVAREAALDADLVRHKDALRQAERKSMNKSNAFASASHDIRSALAAVAGLVEVSRPEAAAATNPNITDNLNQMELCTNKLLDILNSILDTTKMESGKMQLEEVEFNMADILEESVDMANVVGINKGIEVIWDPCDFSVMKCNNAIGDSKRFKHILDNLLGNAMKFTQEGHNDPNLVEFYFEVIDTGIGIPKEKRESVFENYVQVKEGHGGTGFGLGIVQSFVRLMGGEISIKEKEPGERGTCFGFNVLLKTSGSQATEEDIEEGPSTVSESDIRATVFREANCFKGWHCILFVHGDETIRVLQAWMESIGMKVWMVLGVESISSTLEKARSSRDDCDADRCFSSKEMVSQVLPTTLRSNNIMARNLGEHHPLCMLLIVDVSNGQLENIQRQARDFAQMRSQRKMLNQLGATVELAGDGAKAVDMFKDAIERTSVSEEHSVPLPYDVIFMDCQMPQMDGYEATRRIREEESRYGIRTPIIALTAHSMEEDLQKAISVGMDLHMTKPIERRIVEAVHGVCKDKN >ORUFI06G05450.1 pep chromosome:OR_W1943:6:3719649:3723270:-1 gene:ORUFI06G05450 transcript:ORUFI06G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSTARHCQYRPAAAALGGHGDAVSATIDQPENGAMPASGVPTTRRRRSKTQNHSQRAASPPARPSVAAVALVALHLPADATRASPPSSSGTAPPPTAPRGMEAIPAVWRLGIIVKKPDRTGG >ORUFI06G05460.1 pep chromosome:OR_W1943:6:3724032:3724656:1 gene:ORUFI06G05460 transcript:ORUFI06G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGGEGHPCVGFWIGYFRCMLRNRVSLYLVLAGGSRGNGDGDEATTPALALGGEGGIVADLISCLEAVLEEKSGELAFPGLRQVFMLNNKDAIVRRAVRSDLAMFLLSGWARAREERMDRYIKSYLDVSWTPVVSRLAAVAAKPATVTVLRRRRDPLATFNSVLRAEVLEGAKTGAPPCAPANRVGARHAGVSPVCRGC >ORUFI06G05470.1 pep chromosome:OR_W1943:6:3725174:3743098:-1 gene:ORUFI06G05470 transcript:ORUFI06G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPEKIRRLPELKKKGRSTNRKARAPLPSKAERRRYISSSSKAKNPANTVEQRQNNRSCRAASDPVDREIVNYTVEDHAPVAQLGRSINTLTRTRAATSTDEGEGNDTTVAAVAEADEVGGDSCSGQGRRQRHTEATSTVDKDEGSGGRSGQRRQRRRTRTCATMAARPWTWTLRRRQRRVARKTAQADLCF >ORUFI06G05480.1 pep chromosome:OR_W1943:6:3746024:3747196:-1 gene:ORUFI06G05480 transcript:ORUFI06G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGNGQRHEREQWARLAASGDRRGWRRAVVRGADGRWAVVAEVGMMETAVVAMVETGVGRFQGPSMAALLVLILRLRSRSEEVISSQISTYYSGDDSDSDGTDDD >ORUFI06G05490.1 pep chromosome:OR_W1943:6:3749145:3754579:1 gene:ORUFI06G05490 transcript:ORUFI06G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGALRMEERKGLMGRERDQFPVGMRVLAVDDDPVCLKVLETLLRRCQYHVTSTNQAITALKLLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVMKGITHGACDYLLKPVRIEELRNIWQHVVRRKFGNRERNNLDFSKECNKPQSADTDHGPYQPTCGSSDQNGRSSRKRKELHGEDDDEGDDNDYQENDEPSAAKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLKRLGAVASQQASIVAAFGGRDPSFLHIGAFEGLQSYQPFAPSAALPSFNPHGLLTRTSAAAAFGLQELAAPSSTIQTSTGNVTVGHCLEENQQANLAQGLTAAIGQPQLQQNWIHQEGNGLSDVFSGSSLTNTLSSTLQRVPSSSLPPQELLECKQAKVSMPPSIRIPPSSSALLERTLGVSTNLGDSSISQQGALPIDGGFSADRLPLHSSFDGAVATKLDTSLAASQREIGQQGKFSVSMLVSPSDNLALAKNAKTGASSSGSTIILPLDTARHSDYLQFGGASNSLQKMDGQKQDHIQSSNIIWSSMPSTQLPSDTQIHNTQNQRLDSGSFNHNIGAHLADQTNASASILPQMKFDTRISEEKMKQKNTYDLGSSKLQGGFNSSGCNFDGLLNSIIKVEKDDLPFMDNELGCDLFPLGACI >ORUFI06G05500.1 pep chromosome:OR_W1943:6:3754769:3762931:-1 gene:ORUFI06G05500 transcript:ORUFI06G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVEEVSKWRRRCCYFWILFPLAVIATCMTITVVTFCSSTMYMTEVMGEATKGAMDSALMHIAGNMRPLLEANRSVFTIANTLHVQGNMASFSHVGPKLFLAFSMQPLQAQISYAAVDGAAFAYYRAGGGDGEARAMFARPNGTWFTQAVDPATGRPVGNATAAAPHQQLPPNVTRLLLDGGGGGASLADGWARPGVRMLFLSAPVGGGGGAVSAAVAVDDVVLRGAAGLRQLRDLGMYYAVAGNGGATAAPPAPEPAAYRSLLGDGAAAEEMALFSSVKCTASAIDAPPKLDVHGVKSDKYRFACTNFDISGVQMGFRVVLRKSAMVGVFRRGGVTMVAVACAAAAAATVACVLMARALRRAVAREAALAGGAQEHEQEQRLRQRQPRHPLRARRRRRARRDILNSILDTTKVESGKVQLEEVEFNMADVLEESVDMANVVGITKGIEVIWDPCDFSVMKCDNIIGDSKRFKQILDNLLGNAMKFTQEGHVILRAWANRPIARGSIGAPSRFAYRSLENNFFSFFFGAKEDRVSQNSFNPLQNDPNSVEFYFEVVDTGIGIPKEKRESVFENYVQVKEGHGGTGLGLGIVQSFVRLMGGEISIKEKEPGERGTCFGFNVLLKTSGNQAAEEDIEEGPSTVSELDIRASVFRETNCFKGWHCILFVHGDETRRVLQAWMESIGMKVWMVPGVESISSTLEKARSSRDDCDVDRCFSSKEMVSQVLPTTLRNNNIMARNLGEHHPLGMLLIVDVSNGQLENIQRQARDFTQMRSQVPCKFVCLTDLRTSYKDFRRFEEMSCDLILRKPVHGSRLYSLLMTLRDVQSSPMHRSSLVGHENYVTRHQDSANIVALAEVGRLDQGLKTEEDRPLDGMHVLLVEDTLVLQTIQRKMLNQLGAIVELAGDGAKAVDMFRDAIERASVSEEHSVPLPYDVIFMDCQMPRMDGYEATRRIREEESRYGIRTPIIALTAHSMEDDLQKAIDVGMDLHMTKPIERRRIVEAVHGVCKGKN >ORUFI06G05510.1 pep chromosome:OR_W1943:6:3764214:3764496:-1 gene:ORUFI06G05510 transcript:ORUFI06G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLHHYQKGDDKNKRTRISESGAYTSSSNQDTEEESSNKMKHSNEHKKAKERLKGKGKAQNYI >ORUFI06G05520.1 pep chromosome:OR_W1943:6:3771589:3773028:1 gene:ORUFI06G05520 transcript:ORUFI06G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMHARFEVSDVVGGDGSSSGGSSPASVSGPSDSDGSSCSSSSAADELFPDARELPSTSASVPVLAGVGFHPISPTSLSVLSDIDVHMQRMARLLPAFASPAAAPRAQALGRWLAGFDVGWVLEMDAGGGRLPRREVGRRVRVWAQALSTMDRVFRLRHREARNPANEAAAAQLAALGELASASAGAMLRLATAVAALGASPSALLAALDVYVPVSEAYPGLARMFSWSTAARAPPPRRCVRGLPASIRSHYPWRMPQGGEVHPCVGFWMGYFRCMLRNRVSLYLVLAGGDGGETATTPALAPGGEGGLVADLISRLEAVLEEKSGELAFPGLRQVFMLNNTHAIVRRAVRSDLAMFLPPGWTRAREERMEGYVKSYLDASWAPVVSRLAAAATKPAAVSVLRRRRDPLAAFNSALENACSAQRCWKVPSPVLRRVLRRTVSEHVVPAYRRCLEAAETPAAARTVEELERQLSELFEG >ORUFI06G05530.1 pep chromosome:OR_W1943:6:3773451:3777179:-1 gene:ORUFI06G05530 transcript:ORUFI06G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVEAARRSKRPPWSRTVAVQVALCVAMYAAFSLGEPRFHRNRGRGGGGGVEASLGRGGRGGVSFLSVAGGGGPAAEQARLLRQMESIAKAYKVKFVVDVAQLGEEDPLWQNGSLYFQALKIPWKIVVGYDPFFVCAEAHTLETTKLYEPLQRIFAKYGVNAYISTGGHCGYFRQDNSMLYIGNPSPDDLTSSDGFLLHIVNLLEMESLLINLEGKVVERFVVNHHRLEAL >ORUFI06G05540.1 pep chromosome:OR_W1943:6:3777841:3793891:-1 gene:ORUFI06G05540 transcript:ORUFI06G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSEKRPLYTLDESDDDLPPRGGGGKGRDRHSDGPTERIEREDAKEDACQKCGENDNLVSPLTEMEKILDCEETKPDASEETSSSESGSKKKPVKRYLIKWKGISHLHCTWVSESEYLETAKIYPRLKTRLNNFHKQMDSTDKSDDDYSAIRPEWTTVDRILATRKSSTGEREYYVKWKELTYDECTWENDSDIAVFQPQIERFNEIQSRRKKSTDKCKSVTREIRQYKESPKFLSGGTLHPYQLEGLNFLRYSWYHNKRVILGDEMGLGKTIQSIAFLGSLFVDKLGPHLVVAPLSTLRNWEREFATWAPQMNVVMYFGSAASREIIRKYEFYYPKEKPKKLKKKKSSPSNEDKKQSRIKFDVLLTSYEMINMDSTVLKTIEWECMIVDEGHRLKNKDSKLFGQLKEYHTKHRVLLTGTPVQNNLDELFMLMHFLEGDSFGSIADLQEEFKDINQDKQVEKLHGMLKPHLLRRFKKDVMKELPPKKELILRVELTSKQKEYYKAILTKNYEVLTRRSGGHVSLINVVMELRKLCCHAFMTDEPEEPANSEEALRRLLESSGKMELLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYRKWSYERIDGKIGGAERQIRIDRFNAKNSTRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTSKVMIYRLVSRGTIEERMMQLTKKKMVLEHLVVGRLTKGTNIVQEELDDIIRHGSKELFDDENDEAGKSCQIHYDDAAIDRLLDRDQADGEEPVEDEEEDEFLKGFKVANFEYIDEAKALAAKEEEARKKAEAEAANSDRANFWDKLLKDRYDVQKVEEHTTMGKGKRSRKQMAAADEDDITGLHDMSSEDDDYSYDDDVSDNDTSLQSGLAGRRGPYSKKKQRSNVDSLPFMEGEGRALRVYGFNQIQRTQFLQTLMRYGFQNYDWKEFTPRLKGKSVEEIQRYAELVMIHLLEDINDSGYYADGVPKEMRTDETLVRLANISLVEEKVAAMEQGKITKLFPSYLLYEFPSLVGGRVWKAEQDLLLLKALIKHGYARWQYISDDRDNGIFEAARQELRLPTANELISSHSNNETNGNLESTQEGQSNPTSMIHYRDTQRKIVEFIRKRYHLLERCLNLEYAVIKTKTPVPDDLAEQDFPGGHRPAVPDYSEMLRELPVLEPISKEVAPEGTTDQSQVSHLYNKMCFVLEDSAVPALNSHFGDKAASSGLANSLHKFEAVCEDVSRILRSHENGTTPKEEVMLDASSKETTSPKDPATEVPSSASKEATPPVQDPVIEAVKEEPPTVKAEDKMEIDS >ORUFI06G05550.1 pep chromosome:OR_W1943:6:3799742:3800794:-1 gene:ORUFI06G05550 transcript:ORUFI06G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRATTTRAVVLRLDDLSLPPRRLTVPSRLPVSHLLRALPQPLLESSSFYLTADGRPLLLSAPVASLPPSGSVQLRLRALRGGGGDGGATGAESRDCYLSMYLAKKPDKADPNEARLSRFTCCALSGEPLAAPAVADRLGNLFNKEALVEALLHKRLPKALSHIRGLKDMIPIHLHPKPDADAAGEEVRFQCPVTGLDFNGKYQFLALRKCGHVLSVKALKEVKTSACLVCHKEFDEADKMPLNGTEDEVAALRLRMEEERGKVKEKKEKKVGNGLSGSKHAAAAVMAGGAEKLENGKKGEAPSLKRFKAGDHAPAYANKEVYASIFTSSKKSDFKETYSCRSLPLGRN >ORUFI06G05560.1 pep chromosome:OR_W1943:6:3802534:3804698:-1 gene:ORUFI06G05560 transcript:ORUFI06G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSRDTVAGGGGEGTQDDFFDQMLSTLPSAWADLGGGGGGAAGKSPWEVDPAAAAAASQVFDESALLASRLRHHQIGGAGGGGGEKPVMLQLSELHRQAGGGEEDGSGAFSPLPLFTDRTNVPPREEMEGGFKSPNAAAGGEHALFNGFGVHGGSGGARAPVINLYDSNIKIVLFVGGSMSGQSFGGPAASGGTAPVTSSGGGGTAPPRQQRVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAGMAPLVASMSSEGNSNGSSNGGGGKASKGGTGGEGGGGGGGGGGGGTGGGMRVTEQQVAKMMEEDMGTAMQYLQGKGLCLMPISLASAISSATSSASLLSRPSIRHAGAPPQTMLDAAGPTSPAAMSNGDDPRHAKADGGAGGTQ >ORUFI06G05570.1 pep chromosome:OR_W1943:6:3811378:3813256:-1 gene:ORUFI06G05570 transcript:ORUFI06G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDTKDMLKNVDWKTVGGGSVTTDPSQPVVKKRLPKKIRQVPECYFLPRRYLPSALAIYGAVCAAGVGAGMLLERTVPLSGKWANDLIATSEEFSLLLCSAAKAASQK >ORUFI06G05580.1 pep chromosome:OR_W1943:6:3815812:3817311:-1 gene:ORUFI06G05580 transcript:ORUFI06G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSARVGSRMGTMEALPLPPPPRLAAVSSPSPAASIRAHLARAAGAGGGVESCQSPRSLLSRILQRGGDGGGGGGGKFGCRVRLPRRYSSTSAAGAGAEAKDTASEQDAPARVKVVGRAPDLSLDTPRSSCTLDEGRNGKKKQEEEIMSMNLGLGASLVLLLSKGAVELNKMVELRAQMEALVSEIRKETQSKHKDSAAAATAAARSSSQESDGRSTTAVKDPIARAAVSDDAMSNCSGGGGGSGGRAAVVMHRMEAELQVELSRLQCGSVAAAHGEKRGAPPTMHGLEVKTTTKSNVSDSPPRSCVVDDDDDVAEGGNGGEVVEEDDDDEEDEEYDEEGEEEEEEEYGGGGGGDKSPPHGGVSARALERRLYELLQKRQQERIVELEAALDATQRRLHEKEREVVWWRDAAKLVTHRRDESRRFARS >ORUFI06G05590.1 pep chromosome:OR_W1943:6:3823803:3828619:-1 gene:ORUFI06G05590 transcript:ORUFI06G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVAAAAAAAEGVLHRRIEFHLARRSHSVVAVGGGGFRMETLNPDAGDRAAAGAAQGVGVAAGGEGEARRAEKGEVVGGGLDPELSVARIYLGRIGAGLQNLGNTCYLNSVLQCLTYTEPFAAYLQSGKHKSSCRTAGFCALCALQNHVKTALQSTGKIVTPSQIVKNLRCISRSFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESPSAYEKSLVHKIFGGRLRSQVKCTQCSHCSNKFDPFLDLSLDIGKATSLVRALQNFTAEELLDGGEKQYQCQRCRKKVVAKKKFTIDKAPYVLTIHLKRFSPFNPREKIDKKVDFQPMLDLKPFISDSKGADFKYSLYGVLVHAGWNTQSGHYYCFVRTSSGMWHNLDDNQVRQVREADVLRQKAYMLFYVRDRVGNPTPRKDNITANMPAKRTIPEKISGLSDMIQSGVIEAKLNGSSSPYGDKRLHGISNGNSIKTSREHYLKKDGKTEAPKASENNGLASTQKASAPQIDGATLSAQSKQITSTGHREELQPKVDGLTDTSSLGNGNAILSERNKQTSQHQNPFSMPASHGKDTGAGLAAQTFPTKDAIVSNGVVPSSRDPISSEKVCGLQKSIKQDDKTVKELPISENNIVSGLEQVNARKQTSSEVSMKVAAADSCNSNTPKRVDLKSKKLVRYPVMNMWLGPRQVMLGSLKVQKKKKCNRTRRRSVVREDMANATCSGNNTSEQQASTSTTTSSETVQCTPRGRKRAYDSDSPKNNNQKQNKQDVIGADTGSGELNMDKRNVISETAASAELPKLGPGSSANQEHSRNNVHAKLGVPRHFTVLTRDLAEVTVPCWDDVAVSNAEARESKHSESKSIGYVLDEWDEEYDRGKTKKIRNSKEDYGGPNPFQEEANYISQRNMKQRTYQPKSWKKHAHVRR >ORUFI06G05590.2 pep chromosome:OR_W1943:6:3823803:3828619:-1 gene:ORUFI06G05590 transcript:ORUFI06G05590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVAAAAAAAEGVLHRRIEFHLARRSHSVVAVGGGGFRMETLNPDAGDRAAAGAAQGVGVAAGGEGEARRAEKGEVVGGGLDPELSVARIYLGRIGAGLQNLGNTCYLNSVLQCLTYTEPFAAYLQSGKHKSSCRTAGFCALCALQNHVKTALQSTGKIVTPSQIVKNLRCISRSFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESPSAYEKSLVHKIFGGRLRSQVKCTQCSHCSNKFDPFLDLSLDIGKATSLVRALQNFTAEELLDGGEKQYQCQRCRKKVVAKKKFTIDKAPYVLTIHLKRFSPFNPREKIDKKVDFQPMLDLKPFISDSKGADFKYSLYGVLVHAGWNTQSGHYYCFVRTSSGMWHNLDDNQVRQVREADVLRQKAYMLFYVRDRVGNPTPRKDNITANMPAKRTIPEKISGLSDMIQSGVIEAKLNGSSSPYGDKRLHGISNGNSIKTSREHYLKKDGKTEAPKASENNGLASTQKASAPQIDGATLSAQSKQITSTGHREVSSSDRSASLTHVIVNQAVAMVPSQELQPKVDGLTDTSSLGNGNAILSERNKQTSQHQNPFSMPASHGKDTGAGLAAQTFPTKDAIVSNGVVPSSRDPISSEKVCGLQKSIKQDDKTVKELPISENNIVSGLEQVNARKQTSSEVSMKVAAADSCNSNTPKRVDLKSKKLVRYPVMNMWLGPRQVMLGSLKVQKKKKCNRTRRRSVVREDMANATCSGNNTSEQQASTSTTTSSETVQCTPRGRKRAYDSDSPKNNNQKQNKQDVIGADTGSGELNMDKRNVISETAASAELPKLGPGSSANQEHSRNNVHAKLGVPRHFTVLTRDLAEVTVPCWDDVAVSNAEARESKHSESKSIGYVLDEWDEEYDRGKTKKIRNSKEDYGGPNPFQEEANYISQRNMKQRTYQPKSWKKHAHVRR >ORUFI06G05600.1 pep chromosome:OR_W1943:6:3835007:3838718:1 gene:ORUFI06G05600 transcript:ORUFI06G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRRLFHRRAPPGAPGSAHPTFRIDIAPSFTFLMLTTVFNHCFSTDFFEEDELKPYIGGILKQLIGRYSIDSFMVFNFEGSKKDNQIACIFSDFDMSVMGYPRNYEGCPLLTMEMIHHFVRSSESWLSLGQDNFLLIHSEQGGWPVLAFALAALLLYLRRYNNERKALEMVYKQAPPGLVEHFSPLNPAPSQMRYLKYVSRRHMSPEQWPPADRMINLNCVIIRGVPNFDGKGGCRPILQIYGPDPFAPNDKSTKVLFSTPKTSDSVQLYTQEDSEIIKFNARCPVQGDVVMECISLDENFEHEVMVFRVMFNMAFIEDNLLLLDRDQIDILWDTKLRFPVDFRVEVIFSEMDTITSLNSSQLSSEDKENFSRVEDAFSHLDWSTKSDDVTTNATEQNGSNNEHDGFDAVSLQETENSNSTSEHSLLGTRSVQVIQMETEHNHSSAPTFDIVKDPVADTHSLPETYSLALESQEHKLFEDSSPRELPKLDTTKNNPNSDLPSANSRDSEAAGDAAVAEWSDTNTDTFLSDTPSSSSPSSPQKFDEDSMEAGIVEIQTQTAEPQRC >ORUFI06G05600.2 pep chromosome:OR_W1943:6:3834907:3838718:1 gene:ORUFI06G05600 transcript:ORUFI06G05600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNFEGSKKDNQIACIFSDFDMSVMGYPRNYEGCPLLTMEMIHHFVRSSESWLSLGQDNFLLIHSEQGGWPVLAFALAALLLYLRRYNNERKALEMVYKQAPPGLVEHFSPLNPAPSQMRYLKYVSRRHMSPEQWPPADRMINLNCVIIRGVPNFDGKGGCRPILQIYGPDPFAPNDKSTKVLFSTPKTSDSVQLYTQEDSEIIKFNARCPVQGDVVMECISLDENFEHEVMVFRVMFNMAFIEDNLLLLDRDQIDILWDTKLRFPVDFRVEVIFSEMDTITSLNSSQLSSEDKENFSRVEDAFSHLDWSTKSDDVTTNATEQNGSNNEHDGFDAVSLQETENSNSTSEHSLLGTRSVQVIQMETEHNHSSAPTFDIVKDPVADTHSLPETYSLALESQEHKLFEDSSPRELPKLDTTKNNPNSDLPSANSRDSEAAGDAAVAEWSDTNTDTFLSDTPSSSSPSSPQKFDEDSMEAGIVEIQTQTAEPQRC >ORUFI06G05610.1 pep chromosome:OR_W1943:6:3840022:3843562:-1 gene:ORUFI06G05610 transcript:ORUFI06G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQTDGGGDSRYVLSELPSDIVIHVEEARFYLHKFPLLSKSSLLQRLIIEASQNGTDEVYIHDIPGGVKIFEICAKFCYGMVVTLNAYNVVAARCAAELLGMTEDVDKSNLVFKIEVFLNSGIFRSWKDSIIALQTTDALLPWSEQLKLAARCIDSIASKATSNPCNVVWSYTYNRKSASSDEIVEARKNSQPVPKDWWVEDLCELDVDLYKRVMVAVKSRGRITSDVVGEALKAYASRWLPECFDTAAIDDDAYSMAYNHLLETIVWLLPSDKGSSCCSCRFFLKLLKVAVLIGSGEMLKEELMDRVILQLHKASVCDLLIPARPPALTTYDIQLVLTLVGRFMRRAGVTEDGIFLNNLDQEMFETDVDDESLLALSKIVDGYLAEVASDPNLSVSSFVAVATSMPDAARATHDGLYTAIDVFLKLHPNLPKAEKRKISSLMDVKKLSKEACIHAAQNDRLPLRVVVQVLFFEQLRAAAGGNNPAAAAAAASGGIARRLVEEEDDDDDDVGGGGGGDWSKSRALPTPTPSLLKKQLGSLKLAAAGDEGGGGDDGRQLARVSSVANQSSRLSLSSRSRRMFDRLWAGGKPPGGEVVSKSSDTSGSSQSPRSSAKPPASKSSSSSSRNRRYSVS >ORUFI06G05620.1 pep chromosome:OR_W1943:6:3861258:3875026:1 gene:ORUFI06G05620 transcript:ORUFI06G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVCPGDPAAWDGRRFTPGNVVAAGAAVVLFISRRNARRTENVRMGFLEKWFVFGVPGFAACLSFLEIVMLIKNKIEGKDVVNYESFFRSSQFLVWMVVGLVSVHGPWFVFYNPIMCFCWILKILLEIPHLQYKLTVLKAVLYFKEIISFSMAIVFGLFVVVSTVVDQPHNKREMNSIEDPLVPDDEKAEAEVTNLENNQSIWELLTFKFVNPMMDIGITRQLDFTDLLELPVELRAASSYEKLLSSWTVEHQRHHADSSLLRAMSNAYGWTYLRLGLLKVINDSIGFVSPLLLNKFIKFLQQGSGGADGYILAISLGLTSIIKSFLDSQYSFRLAKLKLMLRSSIMGIIYRKCLCLSLSERSRFSEGEIQTFMSVDSDRTINLCNSLHDAWRISCAGELLAHIRTVKMYSWERLFTQRLVERRELEVKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHSLDAATVFTCVALFNTLIPPLNSFPWVINGMIDAVISSRRLSKYLSSPEKRSSAIPVSADLLKHCNTETNVNAMAVILRNVCCSWSSSSIVESSMILRDVSLELQKGIFVAIIGEVGCGKSSLLNSIIGEIHVTSGSITSYGSIAYVPQVPWILSGSLRDNILLGEEFDPRRYKEVIHACTLDVDISAMVGGDMSHIGEKGLNLSGGQRARLALARALYHDSDVYLFDDVLSSVDSQVASYILEKAIMGPKMKRKTRILSTHNLQAISAADMIVVMANGLVKWFGTLDSFLATPYSTLSKPESSRVISSTFSEKNKGVSVAHESETNGLIDNDSVVDHEEQREQNSVEARKEGMVELSVYKKYAAFAGWSIAFLICLSVFLMQASRNGNDLWLTYWVDTSTASSRTIFYLTILAAFGALNSFFTLGRAFSFAYGGLCAAIQIHADLLNNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVISYSQVSFLLILVPLWLIYRNVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIQHVTLYQKTSYCELVAGLWLSLRLQLLAGFIILFIAIMAIVGFNSKSVINFGTPGLVGLALSYAAPVVPLLNGFLTTFTETEKEMISVERVVEYVGIPQEELHGSESPHSGWPTEGNIEFERVTLRYKEDLPPALNDVSFFISSGMQVGIIGRTGAGKSSILNALLRLAPICNGRILVDDFDVAKLAVRDLRGHFAVVPQSPFLFDGSLRENLDPFNRTTDLRIWEALDKCHMKTEIESIGGLDIHVKESGASFSVGQRQLLCLARAILKSSKILCLDECTANVDNQTASLLQNTISAECKGMTVLTIAHRISTVMKMDSILVLDQGKLVEEGNPEVLVDDKFSRFSRFAKASNMLSINSATEEQHKLRLESN >ORUFI06G05620.2 pep chromosome:OR_W1943:6:3861258:3875026:1 gene:ORUFI06G05620 transcript:ORUFI06G05620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVCPGDPAAWDGRRFTPGNVVAAGAAVVLFISRRNARRTENVRMGFLEKWFVFGVPGFAACLSFLEIVMLIKNKIEGKDVVNYESFFRSSQFLVWMVVGLVSVHGPWFVFYNPIMCFCWILKILLEIPHLQYKLTVLKAVLYFKEIISFSMAIVFGLFVVVSTVVDQPHNKREMNSIEDPLVPDDEKAEAEVTNLENNQSIWELLTFKFVNPMMDIGITRQLDFTDLLELPVELRAASSYEKLLSSWTVEHQRHHADSSLLRAMSNAYGWTYLRLGLLKVINDSIGFVSPLLLNKFIKFLQQGSGGADGYILAISLGLTSIIKSFLDSQYSFRLAKLKLMLRSSIMGIIYRKIGVALYLLYTQVNYAFLSGLAITVILMPGELLAHIRTVKMYSWERLFTQRLVERRELEVKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHSLDAATVFTCVALFNTLIPPLNSFPWVINGMIDAVISSRRLSKYLSSPEKRSSAIPVSADLLKHCNTETNVNAMAVILRNVCCSWSSSSIVESSMILRDVSLELQKGIFVAIIGEVGCGKSSLLNSIIGEIHVTSGSITSYGSIAYVPQVPWILSGSLRDNILLGEEFDPRRYKEVIHACTLDVDISAMVGGDMSHIGEKGLNLSGGQRARLALARALYHDSDVYLFDDVLSSVDSQVASYILEKAIMGPKMKRKTRILSTHNLQAISAADMIVVMANGLVKWFGTLDSFLATPYSTLSKPESSRVISSTFSEKNKGVSVAHESETNGLIDNDSVVDHEEQREQNSVEARKEGMVELSVYKKYAAFAGWSIAFLICLSVFLMQASRNGNDLWLTYWVDTSTASSRTIFYLTILAAFGALNSFFTLGRAFSFAYGGLCAAIQIHADLLNNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVISYSQVSFLLILVPLWLIYRNVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIQHVTLYQKTSYCELVAGLWLSLRLQLLAGFIILFIAIMAIVGFNSKSVINFGTPGLVGLALSYAAPVVPLLNGFLTTFTETEKEMISVERVVEYVGIPQEELHGSESPHSGWPTEGNIEFERVTLRYKEDLPPALNDVSFFISSGMQVGIIGRTGAGKSSILNALLRLAPICNGRILVDDFDVAKLAVRDLRGHFAVVPQSPFLFDGSLRENLDPFNRTTDLRIWEALDKCHMKTEIESIGGLDIHVKESGASFSVGQRQLLCLARAILKSSKILCLDECTANVDNQTASLLQNTISAECKGMTVLTIAHRISTVMKMDSILVLDQGKLVEEGNPEVLVDDKFSRFSRFAKASNMLSINSATEEQHKLRLESN >ORUFI06G05620.3 pep chromosome:OR_W1943:6:3861258:3876835:1 gene:ORUFI06G05620 transcript:ORUFI06G05620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVCPGDPAAWDGRRFTPGNVVAAGAAVVLFISRRNARRTENVRMGFLEKWFVFGVPGFAACLSFLEIVMLIKNKIEGKDVVNYESFFRSSQFLVWMVVGLVSVHGPWFVFYNPIMCFCWILKILLEIPHLQYKLTVLKAVLYFKEIISFSMAIVFGLFVVVSTVVDQPHNKREMNSIEDPLVPDDEKAEAEVTNLENNQSIWELLTFKFVNPMMDIGITRQLDFTDLLELPVELRAASSYEKLLSSWTVEHQRHHADSSLLRAMSNAYGWTYLRLGLLKVINDSIGFVSPLLLNKFIKFLQQGSGGADGYILAISLGLTSIIKSFLDSQYSFRLAKLKLMLRSSIMGIIYRKCLCLSLSERSRFSEGEIQTFMSVDSDRTINLCNSLHDAWRISCAGELLAHIRTVKMYSWERLFTQRLVERRELEVKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHSLDAATVFTCVALFNTLIPPLNSFPWVINGMIDAVISSRRLSKYLSSPEKRSSAIPVSADLLKHCNTETNVNAMAVILRNVCCSWSSSSIVESSMILRDVSLELQKGIFVAIIGEVGCGKSSLLNSIIGEIHVTSGSITSYGSIAYVPQVPWILSGSLRDNILLGEEFDPRRYKEVIHACTLDVDISAMVGGDMSHIGEKGLNLSGGQRARLALARALYHDSDVYLFDDVLSSVDSQVASYILEKAIMGPKMKRKTRILSTHNLQAISAADMIVVMANGLVKWFGTLDSFLATPYSTLSKPESSRVISSTFSEKNKGVSVAHESETNGLIDNDSVVDHEEQREQNSVEARKEGMVELSVYKKYAAFAGWSIAFLICLSVFLMQASRNGNDLWLTYWVDTSTASSRTIFYLTILAAFGALNSFFTLGRAFSFAYGGLCAAIQIHADLLNNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVISYSQVSFLLILVPLWLIYRNVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIQHVTLYQKTSYCELVAGLWLSLRLQLLAGFIILFIAIMAIVGFNSKSVINFGTPGLVGLALSYAAPVVPLLNGFLTTFTETEKEMISVERVVEYVGIPQEELHGSESPHSGWPTEGNIEFERVTLRYKEDLPPALNDVSFFISSGMQVGIIGRTGAGKSSILNALLRLAPICNGRILVDDFDVAKLAVRDLRGHFAVVPQSPFLFDGSLRENLDPFNRTTDLRIWEALDKCHMKTEIESIGGLDIHVKESGASFSVGQRQLLCLARAILKSSKILCLDECTANVDNQTASLLQNTISAECKGMTVLTIAHRISTVMKMDSILVLDQGKLVEEGNPEVLVDDKFSRFSRFAKASNM >ORUFI06G05620.4 pep chromosome:OR_W1943:6:3861258:3876835:1 gene:ORUFI06G05620 transcript:ORUFI06G05620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVCPGDPAAWDGRRFTPGNVVAAGAAVVLFISRRNARRTENVRMGFLEKWFVFGVPGFAACLSFLEIVMLIKNKIEGKDVVNYESFFRSSQFLVWMVVGLVSVHGPWFVFYNPIMCFCWILKILLEIPHLQYKLTVLKAVLYFKEIISFSMAIVFGLFVVVSTVVDQPHNKREMNSIEDPLVPDDEKAEAEVTNLENNQSIWELLTFKFVNPMMDIGITRQLDFTDLLELPVELRAASSYEKLLSSWTVEHQRHHADSSLLRAMSNAYGWTYLRLGLLKVINDSIGFVSPLLLNKFIKFLQQGSGGADGYILAISLGLTSIIKSFLDSQYSFRLAKLKLMLRSSIMGIIYRKIGVALYLLYTQVNYAFLSGLAITVILMPGELLAHIRTVKMYSWERLFTQRLVERRELEVKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHSLDAATVFTCVALFNTLIPPLNSFPWVINGMIDAVISSRRLSKYLSSPEKRSSAIPVSADLLKHCNTETNVNAMAVILRNVCCSWSSSSIVESSMILRDVSLELQKGIFVAIIGEVGCGKSSLLNSIIGEIHVTSGSITSYGSIAYVPQVPWILSGSLRDNILLGEEFDPRRYKEVIHACTLDVDISAMVGGDMSHIGEKGLNLSGGQRARLALARALYHDSDVYLFDDVLSSVDSQVASYILEKAIMGPKMKRKTRILSTHNLQAISAADMIVVMANGLVKWFGTLDSFLATPYSTLSKPESSRVISSTFSEKNKGVSVAHESETNGLIDNDSVVDHEEQREQNSVEARKEGMVELSVYKKYAAFAGWSIAFLICLSVFLMQASRNGNDLWLTYWVDTSTASSRTIFYLTILAAFGALNSFFTLGRAFSFAYGGLCAAIQIHADLLNNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVISYSQVSFLLILVPLWLIYRNVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIQHVTLYQKTSYCELVAGLWLSLRLQLLAGFIILFIAIMAIVGFNSKSVINFGTPGLVGLALSYAAPVVPLLNGFLTTFTETEKEMISVERVVEYVGIPQEELHGSESPHSGWPTEGNIEFERVTLRYKEDLPPALNDVSFFISSGMQVGIIGRTGAGKSSILNALLRLAPICNGRILVDDFDVAKLAVRDLRGHFAVVPQSPFLFDGSLRENLDPFNRTTDLRIWEALDKCHMKTEIESIGGLDIHVKESGASFSVGQRQLLCLARAILKSSKILCLDECTANVDNQTASLLQNTISAECKGMTVLTIAHRISTVMKMDSILVLDQGKLVEEGNPEVLVDDKFSRFSRFAKASNM >ORUFI06G05630.1 pep chromosome:OR_W1943:6:3875232:3875577:-1 gene:ORUFI06G05630 transcript:ORUFI06G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCCRCLEILCAILLPPLGVCLRHGCCTMEFWISVLLTILGYLPGVLYAVYVIVSVDPDRERRRRVDPDEYIYVA >ORUFI06G05640.1 pep chromosome:OR_W1943:6:3877494:3878660:-1 gene:ORUFI06G05640 transcript:ORUFI06G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAAAAAARRFSRSLRRFSSYAASGGEEAGGVPAGVVEPESPVRAPPDEQFAAWVARLRPGFTAGDLAEAISSERDPDLALALFRWAALRPGFRHAPASYLAALTAASSGRRPAAAENLVYDVLAGACGPDLRLFNACLRFCCARRRLFPLAFDMFNKMRSLPASAACRPDVETYTLLLTSVVRRVRRPPASMVYLHAVRSLSRQMKASGVVPDTFLLNLIIKAYARCLEVDDALKVFREMPLYGCEANEFTYGYIVKAMFQKGRTDKGMVYFREAREKGFVPTGGVYMTAVSALALEWRFEESRNVLIDMLDCKRKPDMITYRTLMEEMCRAGRAEDAFELLEELKERKRGPLDQRMYSELLDGLHWISQPHQDRVSPCDKRSDD >ORUFI06G05650.1 pep chromosome:OR_W1943:6:3879693:3881030:1 gene:ORUFI06G05650 transcript:ORUFI06G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEIVKSSMVTAGEATPEHRIWLSNLDLLVARSHTPTVYVYRRTGPDSDAAFFSPDVLKAALSKVLVPFYPLAGRLAQDSAGRPEISCTGEGVLFVTARSGATIDDLGDLAPSDELRRMLVPAADVAAASILAMFQVTFFRCGGVCLGAAIHHTAADGLAALDFVNTWAAIARDVAGDGEAAAAAVQRPWLDRTLLRARSPPAVRFDHAEYSRRRGGGSKLPFDSAILPMSKNQLNALKGAGAGAGKRLSTFTAVVAHVWRCACKARGLAVAGTEAATRLYMTADARTRLHPPLPRGYLGNAIFRASAVSKVSDIVAAGPLGAVAEKVSAATARLDDGYVRSLLDHLEQTAAAASGGAAGLRKGEWVMPESDLWVISWQGLPLYDADFGWGRPAFMGRACLQFSGLVYLVPGRDDGDGRLDVVVAMDPESLAKFKDVFYEELKC >ORUFI06G05660.1 pep chromosome:OR_W1943:6:3886717:3887568:1 gene:ORUFI06G05660 transcript:ORUFI06G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDWNSLVRNPQVPPPFTGVNGSSPNFPGHRPLHRRVAIVTGGAGGIGAAVTAHLVSLGARVVVGYVGDPAPAEQLVASLNDSATAPRAVAVAADVSDHAQVSRLFDAAREAFGPDLHVLVAAAGVQDGAYPRIADTSPEQWDRAFAVNARGTFLCCREAARRLARGGGGRVVTFSSSNVGSLRPGYGAYVATKAAVEAMTKVLAKELAGTGITANSVAPGPVATPMFYAGKSEERVAAVAGECPMGRIGEPMDVAPVVGFLCTDAAGWINGQVIRVNGGYI >ORUFI06G05670.1 pep chromosome:OR_W1943:6:3896634:3897552:1 gene:ORUFI06G05670 transcript:ORUFI06G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVLTSEVVAPAEETPAGAVWLSNLDLAARRGYTPTVYFYRRNGDDEAAFFAADAVRDGLARALVPFYPLAGRLGLAGGGEDGRVQIDCTGEGAVFVTARSGHYALDDLMNEFVPCDEMRDLFVPPTPPPNPPCALLLVQVTHLRCGGVVLGMALHHSVVDARSAAHFAETWASIVRGAPAGDAPVPPCFDHKLLAARPARAVLYDHPEYKPEPAPAPAHAATASTYASAIITLTKQQPESMPEFRKVFADEVARLGL >ORUFI06G05680.1 pep chromosome:OR_W1943:6:3898557:3899880:1 gene:ORUFI06G05680 transcript:ORUFI06G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFISKKKYIYTIDEFLPFHPSINFTSVRSVSVKRQRQRDGAVLPRRVHHYMIAGPMNAGEPHPKSASASDMPSQLMARRCAREMPLRGRFMASTPSR >ORUFI06G05690.1 pep chromosome:OR_W1943:6:3898624:3907797:-1 gene:ORUFI06G05690 transcript:ORUFI06G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDVLTSEVVVPAGETPAGAVWLSNLDLAARRGYTPTVFFYRHNGEPGFFAADAMRDSLARALVAFYPVAGRLGLDGDGRVQVDCTGEGVVFATARSGHYALDDLMGEFVPCDEMRDLFVPAAPAAASCCPRGGALLLVQVTYLRCGGVVLGMALHHSIADGRSAAHFVETWASIARGAPAADAPVPPCFDHRLLAARPARAVLYDHPEYKPEPAPAPAHAATASTYASAIITLTKQQVGALRAACAGASTFRAVVALVWQCACRARALPPEAETRLHSMIDTRQRLSPPLPPXAPSRWRCRWSRRACRSSGSRVPSLLTPAPAPAHAATASTYASAIITLTKQQVGALKAACAGASTFRAVVALVWQCACRARSLPPEAETRLYSMIDMRQRLSPPLPPGYFGNAVIRTSTSATVGEVVSNPVGHAARRARAVTSQGDDYARSLVDYLEGVDAMNLPRSGISRAHLRAISWLGMSLADADFGWGSPAFMGPAIMYYSGFVYVMNAPGKDGAVALALSLEPESMPEFRKVFADEVPVRCALPSGDLAAAHNRQTTATSSSHHRRAWWPCAARLLTPPPLPPQIALHFTPTFSSPTNSPHCRRRRLRALPPSPQPPRRGHAVGNKIQLVLSQKHMVL >ORUFI06G05700.1 pep chromosome:OR_W1943:6:3917948:3919969:1 gene:ORUFI06G05700 transcript:ORUFI06G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPAAHSVEHLARASAPRDASALVLLLPACGTLRSLRALHGRLLLLTSGLLRGIRARTKLLSCYAALGDLASARGVLDGTPRPDAYAYRVMLGWLVDAGSHADAVALHRDMRRRCPAAAQADVVLSLALKACVRSADFRYGRRLHCDVVKAGGADGFVMNSLVDMYAKSEDLENARKVFDRVPERNVVSWTSMLSGSIQNGFAEEGLVLFNEMWKDNVHPSEYTMVSVLAACAMLGCLHQGRWIHGSVIKDGLSTNSFISASLLDMYAKCEKVEDARHVFDELEFVDIVLWTAMIVGYTQNKSPLDALQLFLHKKFVSIVPNSVTIATVISASAQLRHLPLGRSIHAIGVKLGTMESDVVRNALVDMYAKCQALPEANSIFGRILIKDVVAWNSMMAGYSENGMAIESLVLFNRMRMQGISPDAISVVNALSACVCLADLHIGKGFHTYAIKYAFMSNIYVNTALLNLYSKCADLPSAQRVFNDMTDRNSVTWSAMIGGYGMQGDSAGSIDLFNEMLKENIYPNEVVFTSILSACSHTGMVTAGKEYFDSMARHFNITPSMKHYACMVDVMARAGNLEEALEFIQNMPIKAGISVWGSFLHGCKLHSRLEFGEEAIKKMAALHPETPDFYVLMSNLYTSYGRWDKSQTIRRWMQEQGLVKLPGCSSVGHENG >ORUFI06G05710.1 pep chromosome:OR_W1943:6:3921499:3923862:-1 gene:ORUFI06G05710 transcript:ORUFI06G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRRPPSAADAAALRRPYLRLVALSSTLRHLDQILAVSLASGHYPLDPAPATSLLLRYASLRAPTGHLLRLFRGFPRPDRFLRNALLRSLPSLRPRLLFPCPDSFSFAFAATSLAGSCSRGGGAASSSAARALHALAVAAGYAADTFVASALAKLYFVLSRVDHARKVFDMVPSPDTVLWNTLLAGLSGSEAVESFARMVSDGSVRPDATTLASVLPAVAEVADVTMGRCVHAFAEKCGLAEHEHVLTGLISLYSKCGDVESARCLFDMMEKPDLVAYNALISGYSVNGMVGSSVDLFTELMTLGLWPNSSTLVALIPVHSPFGHDLLAQCLHGFVLKSGFTANSPVSTAITTLYCRLNDMESARKAFDAMPEKTMESWNAMISGYAQNGLTEMAVALFEQMLVLNVRPNPITVSSTLSACAQLGALSMGKWVHRVIAEEDLEPNVYVMTALIDMYAKCGSISEARRIFNTMDNKNVVSWNAMIAGYGLHGQGAEALKLYKDMLDAHLLPTSATFLSVLYACSHGGLVEEGRKVFRSMTDDYAINPGIEHCTCMVDLLGRAGQLKEAFELISEFPKSAVGPGVWGALLGACMVHKDSDLAKLASQKLFELDPENSGYYVLLSNLHTSKKQYSEAAVVRQEAKSRKLVKTPGYTLIEIGNKPHVFMAGDRAHPHSEAIYSYLEKLTAKMIEAGYRPETEAALYDVEEEEKEHMVKVHSEKLAIAFGLLSTEPGTEIRIIKNLRVCLDCHNATKFISKVTQRLIVVRDASRFHHFRDGVCSCGDYW >ORUFI06G05720.1 pep chromosome:OR_W1943:6:3926192:3930214:1 gene:ORUFI06G05720 transcript:ORUFI06G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTTTAAAAARFTCLAPATRPASASASAGRFLLPARQWGAATTHGSAAVPVVAAPSRRWAPGVAYATAATGKSVHDFTVKDIDGKDVALSKFKGRALLIVNVASQCGLTTANYTELSHLYEKYKTQGFEILAFPCNQFGAQEPGSNPQIKQFACTRFKAEFPIFDKVDVNGPNTAPIYKFLKSSAGGFLGDLVKWNFEKFLVDKTGKVVERYPPTTSPFQIEKDIQKLLAA >ORUFI06G05730.1 pep chromosome:OR_W1943:6:3931385:3934929:1 gene:ORUFI06G05730 transcript:ORUFI06G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILVTPLTMPRFLAILSAIAVVAISGHAPPAASTAATDRAALLSFSSGVHGNLSDWGSPAAAMCNWTGVRCDNRSGRVTGLLLSNSNLAGVISPAIANLSMLERLYLDGNHLAGGVPPELGALPRLRELSLHYNLLGGQIPEALGRLTSVTYLTLDGNGLAGGIPEAVFCNCSGLTFIGMSGNSLTGDIPLRPRCRGLPALRQLSLFGNALSGVIPPALSNCTDLRWLLLQDNSLSGELPPEMFGSMPSLVFLYLSHNHFSSSDGNTNLVPFFSSLVNCTGLLELGVASAGVGGEIPAIIGNVSSANLSSLFLSGNEFVGKIPPAIGNLVNLTELCLFGNMLEGPIPPEILRPPRLALLDLSNNQIVGEIPRSVGESQRLETINLSQNKLQGTLPESLSNLTQLDHLVLHHNMLSGTIPPGLNCSLILDLSYNKLTGQIPSEITVLGNFHVYLNLSNNLLDGHVPLQIGNMEMTEALDLSMNNLSGAIPATIAGCVALEYINLSGNSLQGSLPTSIGKLPNLHVLDVSSNGLTGVLPPSLQASPALRYANFSYNKFSGEVSGEGAFANLTDDSFVGNPGLCGSIAGMARCDRRRHVHRRLLCIVAVAVAVVAGVSAMALTWLKKLTTTSVSPHLSSGGVMDERNSEHPRISHRELVDATGGFSEANLIGKGGYGHVYRGVLHGGTVVAVKVLRAGDDVVVAGSFERECRVLRSIRHRNLIRVITACSSPEFKAVVLPFMANGSLDGLIHPPPPPPPGGKPAAKAHRRLDLELLLSIAGNVADGMAYLHHHAPFGVVHCDLKPSNVLLDDDMTAIVSDFGVSKLVAQQEDAKDPDAIDDDDDDASSTPYPRSSITRLLQGSVGYIAPEYGLGCNPSTQGDVYSFGVLLMEMITGKRPTEVIAEEGHSLHEWVKRRLSSDDDVVAAVELSAATSPRHETHVVVELLELGVACSRIVPAMRPTMDDVAQEIARLKDGAWRKCCCEDDNDHCIRSDPRDNSVLGEGF >ORUFI06G05740.1 pep chromosome:OR_W1943:6:3936171:3939461:-1 gene:ORUFI06G05740 transcript:ORUFI06G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPISVFVFVFIFIFFLLDGSIPTLGSNDHSALMSFKSGVSNDPNGALANWGSLNVCNWTGVSCDASRRRVVKLMLRDQKLSGEVSPALGNLSHLNILNLSGNLFAGRVPPELGNLFRLTLLDISSNTFVGRVPAELGNLSSLNTLDLSRNLFTGEVPPELGDLSKLQQLSLGNNLLEGKIPVELTRMSNLSYLNLGENNLSGRIPPAIFCNFSSLQYIDLSSNSLDGEIPIDCPLPNLMFLVLWANNLVGEIPRSLSNSTNLKWLLLESNYLSGELPADMFGGMRKLELLYLSFNYLRSPENNTNLEPFFASLTNCTSLKELGVAGNELAGVIPPIAGRLGPGLTQLHLEYNSIFGAIPANLSNLTNLTALNLSHNLINGSIPPAAVAGMRRLERLYLSDNMLSGEIPPSLGEVPRLGLVDLSRNRLAGGIPAAALSNLTQLRWLVLHHNHLAGVIPPGIAQCVNLQNLDLSHNMLRGKIPDDLSELSGLLYLNLSSNLLEGMIPATIGRMAMLQVLNLSSNRLSGDIPTQIGGCVALEYVNVSGNALEGGLPDAVAALPFLQVLDVSYNGLSGALPPSLGAAASLRRVNFSYNGFSGEVPGDGAFASFPDDAFLGDDGLCGVRPGMARCGGRRGEKRRVLHDRRVLLPIVVTVVGFTLAILGVVACRAAARAEVVRRDARRSMLLAGGAGDEPGERDHPRISHRELAEATGGFDQASLIGAGRFGRVYEGTLRDGTRVAVKVLDPKSGGEVSRSFKRECEVLRRTRHRNLVRVVTTCSQPDFHALVLPLMRNGSLEGRLYPRDGRAGRGLGLAQLVAVAADVAEGLAYLHHYAPVRVVHCDLKPSNVLLDDDMTAVVADFGIAKLVKNADGDVTTNSGSIAAASSDPCNSITGLLQGSVGYIAPEYGLGGHPSTQGDVYSFGVMILELITGKRPTDVIFHEGLTLHDWVRRHYPHDVAAVVARSWLTDAAVGYDVVAELINVGLACTQHSPPARPTMVEVCHEMALLKEDLAKHGHGGSGGDVVAAATVMAAAAPPSVAMTASERSCSTSDSSLSY >ORUFI06G05750.1 pep chromosome:OR_W1943:6:3943958:3947397:-1 gene:ORUFI06G05750 transcript:ORUFI06G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLLIPIIICYLVPIAVAVDAPPPVMAGAPLADSGGVALADWGRSPEFCNWTGVVCGGGERRRVTQLVLAGRGLRGVVSPALGRLEFVTVLDLSNNGFSGEIPAELASLSRLTQLSLTGNRLEGAIPAGIGLLRRLYFLDLSGNRLSGGIPATLFCNCTALQYVDLANNSLAGDIPYSGECRLPSLRYLLLWSNDLSGLIPPALSNSSLLEWVDFESNYLAGELPPQVFDRLPRLQYLYLSYNNLSSHGGNTDLAPFFRSLTNCTRLQELELAGNDLGGELPAFVGELSREFRQIHLEDNAITGAIPPSIAGLVNLTYLNLSNNMLNGSIPPEMSRLRRLERLYLSNNLLAGEIPRSIGEMPHLGLVDLSGNRLAGTIPDTFSNLTQLRRLMLHHNHLSGDVPASLGDCLNLEILDLSYNGLQGRIPPRVAAMSGLKLYLNLSNNHLEGPLPLELGKMDMVLALDLSENALAGAVPAQLGGCVALEYLNLSGNALRGALPAPVAALPFLQVLDVSRNRLSGELPVSSLQASTSLRDANFSCNNFSGAVPRGAGVLANLSAAAFRGNPGLCGYVPGIAACGAATARRTRHRRAVLPAVVGIVAAVCAMLCAVVCRSMAAARAKRQSVRLVDVEDYQAAAEREHPRISYRELAEATGGFVQSSLIGAGRFGRVYEGTLRGGARVAVKVLDPKGGGEVSGSFKRECEVLRRTRHKNLVRVITTCSTATFHALVLPLMPHGSLEGHLYPPERGAGGGAGGGDGLDFGRLMSVVSDVAEGLAYLHHYAPVRVVHCDLKPSNVLLDDDMRAVISDFGIAKLISGAAAAVGDGGASSTSDESAPCNSITGLLQGSVGYIAPEYGLGGHPSRQGDVYSFGVMILELITGKRPTDVIFHEGLTLHDWVRRHYPHDVAAVVAHAPWRREAPSPMSTAASPAAADVAAVELIELGLVCTQHSPALRPSMVDVCHEITLLNEAIRRHAAAAAAIAAATDEDEDDDDGRSLSTTKDDSLFSN >ORUFI06G05760.1 pep chromosome:OR_W1943:6:3952068:3957946:-1 gene:ORUFI06G05760 transcript:ORUFI06G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTSAIGSLLVLLLVVVVGGVCSASVGGGGGGGEAWRAEQERDRVARVPGQDFDVGFAQYAGYVAVSEERGASLFYWFFEAADDPASKPLVLWLNGGPGCSSIAYGVAEEVGPFHVNADGQGVHLNPYSWNQVANILFLDSPVGVGYSYSNASDDILNNGDARTANDSLTFLTKWIERFPQYKGREFYVTGESYAGHYVPQLAQAIKRHHEATGDKSINLKGYMVGNALFDDFHDHLGIFQFMWTNGLISDQTYRLLNVFCDYESFVHTSSQCNKILDIASDEAGNIDSYSIFTPTCHASFASSRNKVMKRLHSVGKMGERYDPCTEKHSTVYFNLAEVQKALHVSPIINKSKWETCSDVVNTNWKDCERSVLHIYHELIQYGLRIWVFSGDTDAVLPVTSTRYSINALKLPTVTPWNAWYDDDGEVGGWTQGYKGLNFVTVRGAGHEVPLHRPKQALILIKSFLAGSPMPSVQDFYSDV >ORUFI06G05770.1 pep chromosome:OR_W1943:6:3967262:3975447:1 gene:ORUFI06G05770 transcript:ORUFI06G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLAQYEQLVEEGRLLEGEDLDSAFDSISAFSSKKDNQEAVFGSEETILDIREAKLAYRAEVFELQKQLARQQAQFDLLAGQASTLIQGRRARVTAMSAVSGQLISLDEQLSSRNLEMNAVLGRITATTQELAHYHSGDDESIYLAYSDFHPYVVGDLACTKELNRWFSKQFEKGPFRLVAEEGKSKCSWVSLDDITNGLIRGDSEKSHHHQRVAELQRLRSIFATSERQWIEAQVENAKQQAILSILKSQVSSDEAHIHRDIHSLRRKGSELAGELSTLSQKVQAFVSETIPCLCSELAQLQGTYILQGDYDLKVMRQEYYINRQKTFISHLANQLARHQFLKIACQLERKNIASAYSLLRVIESELQSYLSAVNTRLGHCTSLIQAATEVREQGAIDDRDTLLHAVRDLLCVHSNVQATMPTYMSAHALVQQISALQSDLLSLQSELESALPADRKRCINELCTLIQTVEQLLFASSTTAEPILTPWPLMRALDDMENANAQVEVSVEEVTKARTQKIKIFENRAHEVGRERQVFVDFFSNPERLKNQVRELTSRVKALQE >ORUFI06G05780.1 pep chromosome:OR_W1943:6:3975910:3980804:-1 gene:ORUFI06G05780 transcript:ORUFI06G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLPSSGKRPAEPAMAAASAAGATVKLEADEMLHGGEEDGGPLSKRAKAGVQMPAPPPPPPPPQQQDMYHNVLDEPSPLGLRLRKSPSLLDLIQMRLSQANSTAGQSSADDCSSEPPKKKDLKSGTSTAGERLKASNFPANILRIGTWEYISRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDITALKASCPENGQGTLDVVLARPPLFFKETDPQPRKHTLWQATSDFTGGQASMNRRHFLQCPSSLLSKNFEKLLQCDQRLNQLSQQPDIILDSPVFEPRCSIFEDPVESKCQGFTNLKDEHELSGFSGSLSPCAGSSMSAKIEVNDSIATQAGFLAQPGNPGPSAVNVQGVSRNVNGAPELNIPSWWSQLKVPGLRPSMSVDDLVNHLGNCISEQITSVNPTLPSNEVPTKETLEEIAQYLLGDAQGPPASTSDERSLMARVDSLCCLIQKDTPPVAQPKPEPNDSDSIGGDGTEGSDEEFSSAASTVKTTGPAQPPAMSRKDSFGDLLMNLPRIASLPQFLFKIPEDSEN >ORUFI06G05790.1 pep chromosome:OR_W1943:6:3984605:3987535:-1 gene:ORUFI06G05790 transcript:ORUFI06G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATAAADDLRAEEEGKGGRSSSRQEEANVLLAVDAAEGGGAWSPVGWFRMLGRELHWSFVAGVVATYGASQGLGGGVMRVASDYYWKDVQRVQPSAAQVYQGVTSIPWMVKPLWGLLTDVLPIAGYRRRPYFVIAGGFMGVVAMLVLSLHSKLHVLFALLALMAGSASVAIADVTIDACVAENSIVHPHLAADMISLNGFCASVGGLIGFSISGFLVHAIGSQINLVFLKGALGMLAIPSALVILAGMMIKDVHMPNFPYELAHMKFVEASRTMMATLKCPEVWRPCVYMYMSLALSVDIQEGMFYWYTDRNAGLSFSEGLIGFIFAVGSVGSLIGVILYQNILKDHSFRSVLCLSQLLLSLSGMLDLILVLRLNLKLGIPDYYFAVIDEGVSKMINRIKWMPLLVLSSKLCPPGIEGTFYALLMSIDNVGLLSGSWAGGLILHVLNITRTEFKNLWAAILIRNAMRLLPLALLFLVPRSDQNSNLLPADLLPEDDGAQYQMDNVELTSLTVDGKSSTGSLHQECKNQDVVENDDDEASLLANRS >ORUFI06G05800.1 pep chromosome:OR_W1943:6:3989144:3989371:-1 gene:ORUFI06G05800 transcript:ORUFI06G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHKWRFLRRFLQRAPTVPLSDFVDGVRAVEQRARCCYSESMAIFDDDGDGFTEMLLLLGEAEPRGLVHQQKAN >ORUFI06G05810.1 pep chromosome:OR_W1943:6:3992693:3995870:-1 gene:ORUFI06G05810 transcript:ORUFI06G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKRLSESRDLTRIERIGAHSHIRGLGLDSSLEARGSSEGMVGQLPARRAAGLILQLIRQGKIAGRAVLLAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRSIGVRIKEEAEIIEGEVVEISIDRPVSAAAAAGSSAPSGVAAAGKTGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVVALDKASGKVTKLGRSIGRSRDYDAVGPHTKFVKCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITSIRGTNYRSPHGIPPDFLDRLLIITTQPYTEDDIRKILDIRCDEEDVEMSADAKVLLTKIGVETSLRYAIHLITSAALACQKRKGKVVEMEDISRVYQLFLDVKRSTQYLMEYQSQYMFNEVPGEAGDDAMQS >ORUFI06G05820.1 pep chromosome:OR_W1943:6:3997073:3997351:1 gene:ORUFI06G05820 transcript:ORUFI06G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCGGGKGGLEEKRLATGNGAHSRLRDPFHSTSLLTMALLLINIHREHKTLILCALSSFGDSKLLGPCRATSPPNQPRQGSSLATQEDSRR >ORUFI06G05830.1 pep chromosome:OR_W1943:6:3997635:4007754:1 gene:ORUFI06G05830 transcript:ORUFI06G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSATPSRSKSGLRSSPRKPVAAPAVAQMDLSTPSKPTPRRKPKAPPVAAPMSPVTPSSVRRSSRLLETPTKVTSETPVKPTPTPKRKRAAPSPSPKTPTQSEPKRQRQRQRQQPKKPKKRAYYRKVVYDGGEFAAGDDVYVKRRDGAESDAEDPEAEECRVCFRAGAAVMVECDVCLGGFHLRCVRPPLRRVPEGDWACPYCEAERAGKAIERPKPPEGKRIVRTAKEKLLSSDLWAARIESLWREPDGIFWAKVRWYIIPEETAAGRQPHNLRRELYRTNDLADIEMETILRHCYVMSPKEFKDASDQGDDVFYCEYEYDIHWHNFKRLADIDDEPETKEDPGDEPYNAGNDYVSDSDEDSEYDEEEEPTKCSSARTHQSHALAANLRKGRTYGLQKIGIRKIPEHVRCHQKTNLEKAKATLLLATLPKSLPCRDKEMEEISAFVKDAICNDQCLGRCLYIHGVPGTGKTMSVLAVMRRLRSELDSGNLRPYSFIEINGLKLASPENIYKVIYEQLSGHRVGWKKALHYLTEHFSGGTKIGKQANQPIILLIDELDLLLTRNQSVLYNILDWPTRPNSNLVVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYRQLQEIITSRLKGIDAFEDQAIEFASRKVAAMSGDARRALEICRRAAEFADYRVKQSGHTSVNRGKNVVCMGDIEAAIQEVFQAPHIQVMKNCPKFGKIILVAMVHELYRSGLGEVMFDKLAATVLSWCHVNRELLPGYDTLLKICCKLGESKIILCEEGTKHKLQKLQLNYPRYSMVVQVPVKFTLAAVVLSQHFIHLLLELESNDRNYLPLISRSTCELILGPIAFLSPPASPLPMANASATPKTPRRRRRRRRRVTFLARTCHRLLRLLATHRLRRGGHGLNSISAGDDSPPSGQPPRGRDAEEAEATQAAAAAQRRHEERHGDDDAAATEEAEAAVAGKYWAQRRSLFSLYDRGVRMDAEGWYSATPEPIAAAQAARAPPGSLVLDAFAGVGGNSIQGCYVVAVEIDPRKVELAAHNARIYGVDDMIEFVVADFFHLAPSLKADLVFLSPPWGGPSYSQAQVYSLDMLKPRDGFTIFQAAQEISPNIIMFLPRNVDLSQVEQLSWLSSPPLDFVSEENYIEHRFKGITAYFGGLAQEVLKQG >ORUFI06G05830.2 pep chromosome:OR_W1943:6:3997635:4005517:1 gene:ORUFI06G05830 transcript:ORUFI06G05830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSATPSRSKSGLRSSPRKPVAAPAVAQMDLSTPSKPTPRRKPKAPPVAAPMSPVTPSSVRRSSRLLETPTKVTSETPVKPTPTPKRKRAAPSPSPKTPTQSEPKRQRQRQRQQPKKPKKRAYYRKVVYDGGEFAAGDDVYVKRRDGAESDAEDPEAEECRVCFRAGAAVMVECDVCLGGFHLRCVRPPLRRVPEGDWACPYCEAERAGKAIERPKPPEGKRIVRTAKEKLLSSDLWAARIESLWREPDGIFWAKVRWYIIPEETAAGRQPHNLRRELYRTNDLADIEMETILRHCYVMSPKEFKDASDQGDDVFYCEYEYDIHWHNFKRLADIDDEPETKEDPGDEPYNAGNDYVSDSDEDSEYDEEEEPTKCSSARTHQSHALAANLRKGRTYGLQKIGIRKIPEHVRCHQKTNLEKAKATLLLATLPKSLPCRDKEMEEISAFVKDAICNDQCLGRCLYIHGVPGTGKTMSVLAVMRRLRSELDSGNLRPYSFIEINGLKLASPENIYKVIYEQLSGHRVGWKKALHYLTEHFSGGTKIGKQANQPIILLIDELDLLLTRNQSVLYNILDWPTRPNSNLVVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYRQLQEIITSRLKGIDAFEDQAIEFASRKVAAMSGDARRALEICRRAAEFADYRVKQSGHTSVNRGKNVVCMGDIEAAIQEVFQAPHIQVMKNCPKFGKIILVAMVHELYRSGLGEVMFDKLAATVLSWCHVNRELLPGYDTLLKICCKLGESKIILCEEGTKHKLQKLQLNYPRYSMVVQVPVKFTLAAVVLSQHFIHLLLELESNDRNYLPLISNKSRIKY >ORUFI06G05830.3 pep chromosome:OR_W1943:6:4005901:4007754:1 gene:ORUFI06G05830 transcript:ORUFI06G05830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANASATPKTPRRRRRRRRRVTFLARTCHRLLRLLATHRLRRGGHGLNSISAGDDSPPSGQPPRGRDAEEAEATQAAAAAQRRHEERHGDDDAAATEEAEAAVAGKYWAQRRSLFSLYDRGVRMDAEGWYSATPEPIAAAQAARAPPGSLVLDAFAGVGGNSIQGCYVVAVEIDPRKVELAAHNARIYGVDDMIEFVVADFFHLAPSLKADLVFLSPPWGGPSYSQAQVYSLDMLKPRDGFTIFQAAQEISPNIIMFLPRNVDLSQVEQLSWLSSPPLDFVSEENYIEHRFKGITAYFGGLAQEVLKQG >ORUFI06G05840.1 pep chromosome:OR_W1943:6:4008523:4010294:-1 gene:ORUFI06G05840 transcript:ORUFI06G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVEEEEAEVMYPSTPGKVKVEQRSSAAMSRQVHRCFASTGTMFLWALFLVAMTATYLSFRSLAGDAAASSSRYFPAASWGGLHWERQIRASASPRRPPGSAEGAGLSVLVTGAAGFVGAHCSLALRKRGDGVVGIDNYNSYYDPSLKKARRALLGSHGVFVVDGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPSSYVRSNVAGLVSLLESCKDADPQPAVVWASSSSVYGLNDAVPFSEAHRTDKPASLYAATKKAGEAITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTRNILQGKPVTVYRGRDHVDIARDFTYIDDIVRGCLAALDTAGRSTGGGGRKRGAAPYRIFNLGNTSPVTVPALVAMLERCLMVKARRHVVEMPGNGDVPFTHANISLAREQLGYKPTTSLEMGLKKFVRWYLSYYGYNRGTHAFRSRL >ORUFI06G05850.1 pep chromosome:OR_W1943:6:4014292:4015626:1 gene:ORUFI06G05850 transcript:ORUFI06G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSASTSPPPPRSVLSTIEDKMSPGVLLIIAILAVVFFLFGLLNLLIQNLLRMRRARRRRRRVGDGGGGVGMGSPTAFQGQLQQLFHLHDAGVDQTFIDALPVFVYRAVVGAGLRKDDPFDCAVCLCEFAGDDKLRLLPTCGHAFHVPCIDAWLLSHSTCPICRGSVLAAAAAADDDDDSSASTPVARRVLDSESLGETFANNGGGDSEGSSPKAAAAEEEEVVEVKLGKLKCIDGNGNAGDLAVVKGTTTSNDGDIGGGGRGDLGQRRCFSMGSYEYVMDEHAALRVAVRTPKRRPPARSRSRRRLALSECDFAGSASKKGAWEAAVIEAASADAAAARLNRDSFSVSKIWMTSATRKEDVRTAAELAGGGRRAASFRWPAMAEASKKHGGINDERRDVEAGGNGDSSLADERPSLARTALQYIVGGGGGGRQQSSRVGSHS >ORUFI06G05860.1 pep chromosome:OR_W1943:6:4021576:4022894:-1 gene:ORUFI06G05860 transcript:ORUFI06G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTWTNLRQLSFGTLAHGRHGVVDSGHACLWAIGRSSGGDGKIVRGWVPQRAFVTHAGWNSVPESLAAGRPMLTWPVMAEQAANAKHVADILCAGVRVDRLLRGPNAAIVVGRVEVGVVMGQDPWVLSQSILTLKFF >ORUFI06G05870.1 pep chromosome:OR_W1943:6:4023024:4024619:-1 gene:ORUFI06G05870 transcript:ORUFI06G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAAADDAAAAATRPAAQGAGGNDGATLAGRDHVVVFPLMSKGHMIPLLHFAAALAAHHGDHLRVTLVTTPANLAFTRRRLPPSPSVRVVAIPFPAHPQIPPGVESTDALPSQSLFPAFLRATALLREPFAEFLASLPSPPPLVLVSDFFLGFTQRVADDAGVRRLTFNGMSPFSLALCFTLASRRPHVGVEGGAEFHVPGFPDDVRITADEVPDAVIQGGNPDDPVTQFLHDEVRDWDHRSWGVLVNSFAALDGDYAAILESFYRPGSRAWLVGPLFLAAGESPETKQEEDDDDDDDPEGCVAWLDERAARPGSVVYVSFGTQAHLPDAQLDELAHGLVDSGHAFLWAIGRSGGEWSPPVDAGGDGKIVRGWVPQRRVLSHPAVGAFVTHAGWNSVLESLAAGLPVLESPPPPLPMLAWPVMAEQAANAKLVADIIGAGVRAVRGGGVVVGRAEVAGKVGRLMDGGEEGRAIRARAEEVREEARAAVGEGGASREALRRLVDELRSSYVVAGDGTAAASSANGGSGKC >ORUFI06G05880.1 pep chromosome:OR_W1943:6:4031313:4035032:1 gene:ORUFI06G05880 transcript:ORUFI06G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDPRVTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDIDGKNGWRVELSRNASSGRGGRDRYGSSESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYGRRSYSPAGRSPRRRSVSPARARSYSRSPQYNRGRDESPAYDNGYRRSRSIGLHCLNDSADYGYQLYLRGCVLRSSFYARPRLLHANPEVLLSMIFLLHSLSLPQPTLRAAV >ORUFI06G05880.2 pep chromosome:OR_W1943:6:4031313:4034102:1 gene:ORUFI06G05880 transcript:ORUFI06G05880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDPRVTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDIDGKNGWRVELSRNASSGRGGRDRYGSSESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYGRRSYSPAGRSPRRRSVSPARARSYSRSPQYNRGRDESPAYDNGYEQCPTPKIIINSGL >ORUFI06G05890.1 pep chromosome:OR_W1943:6:4037169:4042476:1 gene:ORUFI06G05890 transcript:ORUFI06G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRRVKDEMELRKQRTVRFHEERAKPTIPTHQKQAGLATSKLGLGISEKNKIFLAGNELWYKKIIDPSSDFILTWNYVLRIACFVALFMDPLYFYVPKIYYGTPNSCIGRDTRLAIIVTVFRSITDLFYVLQIIIKFRTAYINPSSTLGVFSRGDLVTDPGNIAKHYLRSSFVVDLVASLPLPQIIIWSVIPSVKYSLSEHDDDILLLIALFQYVLRLYLVFSLNSKIVEVTGAFSKTAWQGAAYNLLLYMIASHVLGALWYLLSVDRQTACWEKYCSKEAGCQNRYLACDIQSDSNWKISTAIFNKCDATNKTIDFDFGMFTPLLSNQAPDQGFLKKFFYCLWWGLQNLSCYGQTLIVSTYIGETLYAIFLAVLGLVLFAHLIGNVQTYLQSITARVEEWRIKQRDTEEWMRHRQLPQKLRERVRRFVHYKWLATRGVDEESILKALPADLRRDIKRHLCLDLVCRMDGQLLDAICERLVSSLSTVGTYIVREGDPVTEMLFIIRGKLESSTTDGGRTGFFNSITLKTGDFCGEELLGWALVPKPTVNLPSSTRTVKTIVEVEAFALRAEDLKFVASQFRRLHSRKLQHTFRYYSHHWRTWAACFIQAAWRRYKRRRLAKDLSIRESFSSRRSFEDDGSPEHSLVLNAVRKGAHIIKELPKFRKPSEPDFSAEHDD >ORUFI06G05900.1 pep chromosome:OR_W1943:6:4043450:4046541:-1 gene:ORUFI06G05900 transcript:ORUFI06G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAETGEPSSFLSLSAAFSYGIASMAMVFVNKAILMQYAHSMTLLTLQQIATALIIHFGQILGVSKRKDFSMATGRKLLPLSIFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLVAGCLRGKGKPPTQVSLSVICTAAGVLIAALGDFSFDLYGYSMALTSVFFQTMYLILVEKSGAEDGLSSVELMFYNSVLSLPFLFFIIIATGEFPYSLSVLSEKTASLTFSAILLVSLVMGIVLNFTMFWCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHTLNVTGLVINTFGGVWYSYAKYMQKRKMPKRIAPDVEAHPHK >ORUFI06G05910.1 pep chromosome:OR_W1943:6:4057375:4070709:-1 gene:ORUFI06G05910 transcript:ORUFI06G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFVTCQMRFPNADTGAHAHDVEHGGSTSSTATEESRPLHIAIDSNPEPDQAVQEVGNDGFQGAEDLIKTVQVQAIIAAPQTLAEANFMARLGNHNRIPILSFSGISPTSEQPYTMPYFVQTAANDLLQTKPIVSIVMYFSWPKVVLVCEDSAYGTSILPRLTNELEGKGSRISEVVLVPVGATDGHLVKVMDRLKHMETRVFIVHMRSSLAARIFVMANGARMMSKGYAWIATSSFGNEVGSLGSHDINSMEGVVTLRPTFIETDHVKRFFAKFQRKISSYDDHFHNDPSMLLLWAYDAAWAIATAAEKARLSSLASTSGTQHKLPITGGMLLVSVLKTTFDGLAGKFKLNNKGYQQWSMSYDILNVIGKGTRTVGTWTQEHPSLICSKNIIWPGVSTNVPKVSSTKDLRIAVPVNHGFQEFVNVSSNKFTGCCIYLFERVMKELKYEGKYEYVQDNDIGDITITATRMENVMFTVPFTEIGWTMMVVAKKDSWKSMWIFEKPFTKTLWLASFVLCCFTGFVVWVIEHRINPEFRGTPWEQFGTTFYFIFSTMVFSHKERLQSNMTRMVVIIWVFFMLILTSSYTANLSSMLTVQHLRPTVTDVEELIRCNYPVGYQEGSFVKDSLMDMGFLQPNLRSLSTMEQYNQALSNGSVKAIFDEIPYLKLVQSQFPNKYTMAGPIYKSGGFAFVFQEGSPLGRRVSQTLMKMLESTRNNTAVNFTSICLENPITSVNKKDSDDSPRLDLSDFSGLILISTTVSGLMLLIHLATFVYKEFPELRAAVPGESGWASLQWVRAFFRHFDSRDPNSHNFRVQQQDGIMMNERERENRVPEGDGDEEAAMATGGGGSTTSGRGNVANSQTRSRRTTRAPPQCGSAS >ORUFI06G05910.2 pep chromosome:OR_W1943:6:4048876:4057375:-1 gene:ORUFI06G05910 transcript:ORUFI06G05910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSDAGRKSAACVSMALDDYYYAAQAHDADAAAAAARVELFVRDSRGDVVTAADAANDLITNDQVQAIIGPHTSTESEFIAYLGNHTHTPILSFAETSAVDVPFFLHTAPSDSIQVAPIAAILDAFNWRAAVVLHQNSPSVASILLDLVYATQGYNIRIMDRVALPIDATQDYLDNVLHNLKEMPTRVFIVHMLPDLASNVLRQANVVGMMSDGYVWIATTSIGSVVDSLSSDMIDKMQGVVTLRPYVRETGHVMKFISRLKARFWMENRSIDNVHNPSMPLLWAYDTAWALATAVNLVNVSSSTPGTTLLGALLNTTFDGLAGRFRLVNGQLQLSEFEIVNIIGKGARTVGFWTPESGFFKNLKNISKKGLKQIIWPGDVAIAPKGWNLSPNGQFLRIAVPSKHGFPQIVDVSYSPTTNNNVVKGYCIDVFDMLMKNLHYPVAYQYEPIGNRLSNYDSLLSLVHEKKVDAMVGDTTITVSRMNKVSFTMPFTEVGLSMVVAVKKEASWSMWIFLRPLSTTLWIASLAFFFFTGFVVWVLEHRINPEFRGTPWQQFGITFYFAFSTLVFSHKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQQLQPAATSVQDLLINGNYVGYQKGSTVVRWLEEMGFHMENLRGYASLEEYDDALRRGSENGGVSAVFDEIPYLKAFLSKYCQGYTMVGPTYRLGGFGFAFPIGSPIVHDIWQAFMLPSVQEEMARIDRKWFGDTQTCEGKSSGVDSSSSSLGFSSFSGLFFISGITSGLALLVHLGILAYQKHDELRAAVAGIIRAASQRMCLLLRRLRSEPEVDVLHGGDTVSL >ORUFI06G05920.1 pep chromosome:OR_W1943:6:4071010:4100693:-1 gene:ORUFI06G05920 transcript:ORUFI06G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQILSDRTGLYGKPEPGPSFLALIGNGLAFVNGDDWVRHRRVVHPAFAMDKLKMMAKTMAECAREVIRAWEARAAAGERRVQVEVGQKFQELTADVISHTAFGSTLVEKVFFLPVGTGTIVDFRRPTKDGFSSSVRSYREGKEVFVAQRELQSIAFSTIYSIRFPGSECIATKTNLRRRHLAKKKLYIAEDLIKNAQVQAIIVTTEAHTAVVARLRRRHRVPILAFPISGGSPPPSHHPPHHATATAPPFGADHTSAKAALTGILTAIFSSARRAGGSPPHGRRYNAGAPTGRRLDRRRLAGRRSSSSSSSGEVLRIAVPRKTGFQAFVDVRITRTPRDRTSPDTASTSSTPPWPEYGLAGTVGDVTITADRENLVEFTMPYTSSGVSLLVPEENDSKPIQWIFVKPLTRDLWLATIGFFFYTGFVVWMIEQPRNPEYQGSSVRQLSTASYFAFSTLTFSHGNIVTTFTPPVPKYLTPVVFPLGSPLVHDLSTAILNLTGETEGSKIEEKWFGSSEQSTGGDANPSSSSSSSDSNPLTLQSFSGLFIISGCISALMLLISEARVHDVEHGGSTSSSSTEQSRPLQIVVDSNPEPDQAVQEVANDGCQDAQLMQASVGNERHHPVQNCINGPLMFMMWSMEAVLVALPLKNQGHFILSLTATLHLIKLSKNGGSDTTRRIWRCRPPSPHAVAVSCHRRQLVSRRPGHRPLRFVLASRHRRLAPSSTPPLLAIPAPNVSRRPGHRVSCSRSPSPSPPPTSCVVPGTTVSRRPRHRRRLKPHWWSGEGARLRSRPSVAEGRRRLARGGGRDDDAPYLPAPAASITYAPVPCHVLPSETERINAVVRRNLGGLPEVYHKKLKIAVPLKHGFRAFVNVTDQGVTGYCIDLFEAAVNKLPYRLIYEFVVFDRSYDELVQSVSSGINDAAVGDITIIADRASHVEFTMPYTESGVSMLPLTKELWFATVIFFLFTALVIWIIEHPRNMEYQGSNTRQLSTALYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLVLVQSYTASFSSILTVKRFQPSVTDLDQLLKNGDYVGYQEGSFVNSFLTRRGFGERRLRSYTKKQEYAEALRKGSKNGGVSAIVDEIPYLTAIVSDPHYQKEFQMLKRIYKTPGFGFVFPPGFPLVHNLSTAMLDVTSGDEGSRMETKWFGAEAVSPSNAIPNTDSAPLTLRSFSGLFIITGSPCQFLPITPKLEILMCKVLMWVVETMHMKNLIKHRTAWVALWLIYTSMKFRIDSSQDIHGSVERADGEEPRPIQNGPVPTNSTQTRRRLLAVAAAFLSLLALRNAAAAASPAPVRVGVVVDMTSGEGRRSLAGISMAVEDFHRRRHRPGSAAVVELRVRDSRGDDGAAAARAAEDLIKNAQVQAIIVTTEADTAVVARLRRHHRVPILTFPISGGAPPPSSHHPPHHATATAPPFGADHTSARAALTGILTAIFSSARRAAGSPPHGRRYNAGAPTGRRLDRRRLAGRRSSSGEVLRIAVPRKTGFQAFVDVRIDPDTKRQNITGYCIDVFNAAMARVRPRRKYEFHVFDGSYDDLVRNVSSGKFSAAVGDVTITADRENLVEFTMPYTSSGVSLLVPEENDSKPIQWIFVKPLTRDLWLATIGFFFYTGFVVWMIEQPRNPEYQGSSVRQLSTASYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLILVQSYTASLSSMLTAKRLRPSVKSLDQLLLTGDYVGYQNGSFVGSLLKKRGFMPSRLRSYGTQKEYAEALRKGSMNGGVSAIVDEIPYLTSFLSNPQYQKEFQMVNRFYKTPGFGFVSFSILFTLVDSEFFNFLFFLQLVYREKFLRVLGKHSDVWCLHEEIQQVIFFXPLTLQSFSGLFIISGCISALMLLISVANRVICAKCAKEARVHDVEHGGSTSSSATEQSRPLQIVIDSNPEPDQAVQEDGNDGFQGAQPMQGSVGDERPNPVQNCRHNGTVPEHDAQMEMNTG >ORUFI06G05920.2 pep chromosome:OR_W1943:6:4071010:4100693:-1 gene:ORUFI06G05920 transcript:ORUFI06G05920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQILSDRTGLYGKPEPGPSFLALIGNGLAFVNGDDWVRHRRVVHPAFAMDKLKMMAKTMAECAREVIRAWEARAAAGERRVQVEVGQKFQELTADVISHTAFGSTLVEKVFFLPVGTGTIVDFRRPTKDGFSSSVRSYREGKEVFVAQRELQSIAFSTIYSIRFPGSECIATKTNLRRRHLAKKKLYIAEDLIKNAQVQAIIVTTEAHTAVVARLRRRHRVPILAFPISGGSPPPSHHPPHHATATAPPFGADHTSAKAALTGILTAIFSSARRAGGSPPHGRRYNAGAPTGRRLDRRRLAGRRSSSSSSSGEVLRIAVPRKTGFQAFVDVRITRTPRDRTSPDTASTSSTPPWPEYGLAGTVGDVTITADRENLVEFTMPYTSSGVSLLVPEENDSKPIQWIFVKPLTRDLWLATIGFFFYTGFVVWMIEQPRNPEYQGSSVRQLSTASYFAFSTLTFSHGNIVTTFTPPVPKYLTPVVFPLGSPLVHDLSTAILNLTGETEGSKIEEKWFGSSEQSTGGDANPSSSSSSSDSNPLTLQSFSGLFIISGCISALMLLISEARVHDVEHGGSTSSSSTEQSRPLQIVVDSNPEPDQAVQEVANDGCQDAQLMQASVGNERHHPVQNCINGPLMFMMWSMEAVLVALPLKNQGHFILSLTATLHLIKLSKNGGSDTTRRIWRCRPPSPHAVAVSCHRRQLVSRRPGHRPLRFVLASRHRRLAPSSTPPLLAIPAPNVSRRPGHRVSCSRSPSPSPPPTSCVVPGTTVSRRPRHRRRLKPHWWSGEGARLRSRPSVAEGRRRLARGGGRDDDAPYLPAPAASITYAPVPCHVLPSETERINAVVRRNLGGLPEVYHKKLKIAVPLKHGFRAFVNVTDQGVTGYCIDLFEAAVNKLPYRLIYEFVVFDRSYDELVQSVSSGINDAAVGDITIIADRASHVEFTMPYTESGQIIKSPLSKIVVVIWCFVVLVLVQSYTASFSSILTVKRFQPSVTDLDQLLKNGDYVGYQEGSFVNSFLTRRGFGERRLRSYTKKQEYAEALRKGSKNGGVSAIVDEIPYLTAIVSDPHYQKEFQMLKRIYKTPGFGFVFPPGFPLVHNLSTAMLDVTSGDEGSRMETKWFGAEAVSPSNAIPNTDSAPLTLRSFSGLFIITGSPCQFLPITPKLEILMCKVLMWVVETMHMKNLIKHRTAWVALWLIYTSMKFRIDSSQDIHGSVERADGEEPRPIQNGPVPTNSTQTRRRLLAVAAAFLSLLALRNAAAAASPAPVRVGVVVDMTSGEGRRSLAGISMAVEDFHRRRHRPGSAAVVELRVRDSRGDDGAAAARAAEDLIKNAQVQAIIVTTEADTAVVARLRRHHRVPILTFPISGGAPPPSSHHPPHHATATAPPFGADHTSARAALTGILTAIFSSARRAAGSPPHGRRYNAGAPTGRRLDRRRLAGRRSSSGEVLRIAVPRKTGFQAFVDVRIDPDTKRQNITGYCIDVFNAAMARVRPRRKYEFHVFDGSYDDLVRNVSSGKFSAAVGDVTITADRENLVEFTMPYTSSGVSLLVPEENDSKPIQWIFVKPLTRDLWLATIGFFFYTGFVVWMIEQPRNPEYQGSSVRQLSTASYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLILVQSYTASLSSMLTAKRLRPSVKSLDQLLLTGDYVGYQNGSFVGSLLKKRGFMPSRLRSYGTQKEYAEALRKGSMNGGVSAIVDEIPYLTSFLSNPQYQKEFQMVNRFYKTPGFGFVSFSILFTLVDSEFFNFLFFLQLVYREKFLRVLGKHSDVWCLHEEIQQVIFFXPLTLQSFSGLFIISGCISALMLLISVANRVICAKCAKEARVHDVEHGGSTSSSATEQSRPLQIVIDSNPEPDQAVQEDGNDGFQGAQPMQGSVGDERPNPVQNCRHNGTVPEHDAQMEMNTG >ORUFI06G05920.3 pep chromosome:OR_W1943:6:4071010:4100693:-1 gene:ORUFI06G05920 transcript:ORUFI06G05920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQILSDRTGLYGKPEPGPSFLALIGNGLAFVNGDDWVRHRRVVHPAFAMDKLKMMAKTMAECAREVIRAWEARAAAGERRVQVEVGQKFQELTADVISHTAFGSTLVEKVFFLPVGTGTIVDFRRPTKDGFSSSVRSYREGKEVFVAQRELQSIAFSTIYSIRFPGSECIATKTNLRRRHLAKKKLYIAEDLIKNAQVQAIIVTTEAHTAVVARLRRRHRVPILAFPISGGSPPPSHHPPHHATATAPPFGADHTSAKAALTGILTAIFSSARRAGGSPPHGRRYNAGAPTGRRLDRRRLAGRRSSSSSSSGEVLRIAVPRKTGFQAFVDVRITRTPRDRTSPDTASTSSTPPWPEYGLAGTVGDVTITADRENLVEFTMPYTSSGVSLLVPEENDSKPIQWIFVKPLTRDLWLATIGFFFYTGFVVWMIEQPRNPEYQGSSVRQLSTASYFAFSTLTFSHGNIVTTFTPPVPKYLTPVVFPLGSPLVHDLSTAILNLTGETEGSKIEEKWFGSSEQSTGGDANPSSSSSSSDSNPLTLQSFSGLFIISGCISALMLLISEARVHDVEHGGSTSSSSTEQSRPLQIVVDSNPEPDQAVQEVANDGCQDAQLMQASVGNERHHPVQNCINGPLMFMMWSMEAVLVALPLKNQGHFILSLTATLHLIKLSKNGGSDTTRRIWRCRPPSPHAVAVSCHRRQLVSRRPGHRPLRFVLASRHRRLAPSSTPPLLAIPAPNVSRRPGHRVSCSRSPSPSPPPTSCVVPGTTVSRRPRHRRRLKPHWWSGETERINAVVRRNLGGLPEVYHKKLKIAVPLKHGFRAFVNVTDQGVTGYCIDLFEAAVNKLPYRLIYEFVVFDRSYDELVQSVSSGINDAAVGDITIIADRASHVEFTMPYTESGVSMLPLTKELWFATVIFFLFTALVIWIIEHPRNMEYQGSNTRQLSTALYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLVLVQSYTASFSSILTVKRFQPSVTDLDQLLKNGDYVGYQEGSFVNSFLTRRGFGERRLRSYTKKQEYAEALRKGSKNGGVSAIVDEIPYLTAIVSDPHYQKEFQMLKRIYKTPGFGFVFPPGFPLVHNLSTAMLDVTSGDEGSRMETKWFGAEAVSPSNAIPNTDSAPLTLRSFSGLFIITGSPCQFLPITPKLEILMCKVLMWVVETMHMKNLIKHRTAWVALWLIYTSMKFRIDSSQDIHGSVERADGEEPRPIQNGPVPTNSTQTRRRLLAVAAAFLSLLALRNAAAAASPAPVRVGVVVDMTSGEGRRSLAGISMAVEDFHRRRHRPGSAAVVELRVRDSRGDDGAAAARAAEDLIKNAQVQAIIVTTEADTAVVARLRRHHRVPILTFPISGGAPPPSSHHPPHHATATAPPFGADHTSARAALTGILTAIFSSARRAAGSPPHGRRYNAGAPTGRRLDRRRLAGRRSSSGEVLRIAVPRKTGFQAFVDVRIDPDTKRQNITGYCIDVFNAAMARVRPRRKYEFHVFDGSYDDLVRNVSSGKFSAAVGDVTITADRENLVEFTMPYTSSGVSLLVPEENDSKPIQWIFVKPLTRDLWLATIGFFFYTGFVVWMIEQPRNPEYQGSSVRQLSTASYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLILVQSYTASLSSMLTAKRLRPSVKSLDQLLLTGDYVGYQNGSFVGSLLKKRGFMPSRLRSYGTQKEYAEALRKGSMNGGVSAIVDEIPYLTSFLSNPQYQKEFQMVNRFYKTPGFGFVSFSILFTLVDSEFFNFLFFLQLVYREKFLRVLGKHSDVWCLHEEIQQVIFFXPLTLQSFSGLFIISGCISALMLLISVANRVICAKCAKEARVHDVEHGGSTSSSATEQSRPLQIVIDSNPEPDQAVQEDGNDGFQGAQPMQGSVGDERPNPVQNCRHNGTVPEHDAQMEMNTG >ORUFI06G05930.1 pep chromosome:OR_W1943:6:4087913:4089421:1 gene:ORUFI06G05930 transcript:ORUFI06G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTARDELTAVARDGDGVRGRRPAAPDPARGVAAATANPHPAAVSLTLSATSFGSDNLIERNQLLSEESWEKQERKGRDSKEEDEAAAAGFIARDGEEAAARASARKPRPRPSSRRAPTSRTVVHVAAVKDEMAQQKLRHRAIVHAILHYWMRPFITTLKPIVSNFLDSLIRCRVAVNDNMKWP >ORUFI06G05940.1 pep chromosome:OR_W1943:6:4100697:4104527:-1 gene:ORUFI06G05940 transcript:ORUFI06G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVPSGLGEQDRRREDDERELVPPATTRRLQTHHASSSRKFRIRAMAGDGERGAVMPYFVPVSRLLAPQCPQRKKLAVARRADRDFRALQCGEEAINLRHGLDVVAADGIAVATALYKLPIIWSSRHVATQASSLQAWRSLSHRRGRPSPSPPRWFWTSGGLDVAVDGAGAAGVEAVRRGEGVRPAGDPRAGVPALRRQRRRGERDARGDVLDLRCHDIVPRVLPHYRAWMWRYGKVFVSWSGATPALCVGD >ORUFI06G05950.1 pep chromosome:OR_W1943:6:4110024:4111859:-1 gene:ORUFI06G05950 transcript:ORUFI06G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLWHYNKTKGKKVRGTHLSFTENIVGVIGMWVIHVLIFLLSSLLSPYHRVSPRTGMTLLIVTFEWPRRGRHRRRRAVSSVGGVTQRQLEDKREGNGEAGAKYEGEEKVAGRGSWTRRRRGGRGVSVRRWRAKDGEWVEDKMKDKLVDGAGVDVVMLLVVTEHAHAHPWREGVHNQRRMDGGVGEVLERVGEATEVLTKKSNTPA >ORUFI06G05960.1 pep chromosome:OR_W1943:6:4132703:4134236:-1 gene:ORUFI06G05960 transcript:ORUFI06G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLPNHLSYEFVVFNGSYDQLVQSVSSGVKPYSLCFDDIVTEPNSYTISAGSYYQINDAAVGDITITADRASQVEFTMPYTESGVSMLVLAKNESESTTKWVFLKPLTKELWFATMILLPIHCLGQIIKSPLSKIVVSYGASLASILTVKRFQPSVTDLDQLLCNGDYVGYQEGSFVHSFLTRRGFSEGRLRSYSKKQEYAESLRKGSKNGGVSAIVDEIPFLTAIVSDPHYENEFQMLKRIYKTPGFGFVFPPGFPLVHNLSTAMLDVTSGDEGSPLMLMISISMSVLAQYTKIRVSDVQSPGVDDGNGAHEGSNQAQNSMGNGFVADKPLREIRIDDSAQDIHGSV >ORUFI06G05970.1 pep chromosome:OR_W1943:6:4139236:4141367:-1 gene:ORUFI06G05970 transcript:ORUFI06G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRYRRRRRRWSTPMEGRGCATETRRINVGVRRNLKIAVPLKHGFSAFVNVSDQGVRRWP >ORUFI06G05980.1 pep chromosome:OR_W1943:6:4146188:4147784:-1 gene:ORUFI06G05980 transcript:ORUFI06G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGSNTRQLSTALYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLVLVQSYTASFSSILTVKRFKPSVTYLDQLLNNGDYVGYQEGSFVNSFLTRRGLSERRLRSYTKKQEYAEALRKGSKNGGVSAIVDEIPYLTAIVSDPHYQKEFQMLKRIYKTPGFGFVFPSGFPLVHNLSTAMLDVTSGDEGSRMETKWFGAEAVSPSNAIPNTDSAPLTLRSFSGLFIITGCISTLMLMIRFSMSILANYTQIRDSDVQSPDVGGRNDAHEESNQAQNSMGCIVLELAVPRISMGVSNVLADGGEPRPIQNGPVPANSIQTIKKLLIRFQPTR >ORUFI06G05980.2 pep chromosome:OR_W1943:6:4146627:4147784:-1 gene:ORUFI06G05980 transcript:ORUFI06G05980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGSNTRQLSTALYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLVLVQSYTASFSSILTVKRFKPSVTYLDQLLNNGDYVGYQEGSFVNSFLTRRGLSERRLRSYTKKQEYAEALRKGSKNGGVSAIVDEIPYLTAIVSDPHYQKEFQMLKRIYKTPGFGFVFPSGFPLVHNLSTAMLDVTSGDEGSRMETKWFGAEAVSPSNAIPNTDSAPLTLRSFSGLFIITGCISTLMLMIRFSMSILANYTQIRDSDVQSPDVGGRNDAHEESNQAQNSMGCIVVDIHLHEVRIGSSQDIHGSVERAS >ORUFI06G05990.1 pep chromosome:OR_W1943:6:4147814:4150513:-1 gene:ORUFI06G05990 transcript:ORUFI06G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHRRLAMAAAFVILLTVWSSPAMAMAAAAATEVHSLGLNCNETKRINAGVRRNLGCLPEVYHKKLKIAVPLKHGFRAFVNVTDQGVTGYCIDLFEAAVNKLPYRLIYEFVVFDRSYDELVQSVSSGINDAAVGDITIIADRASHVEFTMPYTKSGVSMLVLAENESESKIEWVFLKPLTKELWFATVIFFFYSPH >ORUFI06G06000.1 pep chromosome:OR_W1943:6:4156698:4157801:1 gene:ORUFI06G06000 transcript:ORUFI06G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGLSLRSSLGGRGQRRDLSGGTPAVGTISGTDEAGRSRSGPFAAKSGKGGTGSATSGSRRRPWWIWGGESNLLRLEWDEIDSTAETAAKSAALASDGALVRQTFKLIEVVQAAELQGSDASLPFLDRTLQVKTESSWTGNGDVFVAMAFLKALSRTSPFLSFAIFSLFGRWQALVVIIRLG >ORUFI06G06010.1 pep chromosome:OR_W1943:6:4161400:4166281:-1 gene:ORUFI06G06010 transcript:ORUFI06G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRLAMAAAATVAVLLVVWSSPVAAAAAAAATAGGDVSVALEAYRLDPNGSGSRTGAITAAHGDETKRTNAGVRRDLGALPRGYGKELKIAVPWKPGFKAFLNVTDRSVGGYCIDVFEAAVKKLPHHLSYKFVVFNGSYDELVQRVSSGNYDAAVGDVTITAERTIHADFTMPYTESGVSMLVLMENDSKSTIEWVFLKPLTRELWVATVIFFLFTGIVIWMIERPRNLEYQGSSSRQFSTALYFSFSTLTFSHGHIIKSPLSKIVVSYTASLSSILTAKKLRPSETDLEQILFDGDYVGYQRGSFVESFLIKQGFSKRRLRPYTKKQEYAEALRKGSMNGGVSAIVDEIPYLTSFLSDRRYEKEFQMLSRIYKTPGFGFVFPPGFPLVHNLSTAILDVTGGDEGSQIEAKWFGTTAAPPSYAIPNTDSTPLTLRSFSGLFVITGCISALMLMISISKSVLASYTRIRDSDVRSPDADGGNGGREECNSAQNVMGDGYVDDRPHHEIRIDSSQDIHGISVERADGEEPGPIQNGSVPANSSQTR >ORUFI06G06020.1 pep chromosome:OR_W1943:6:4167688:4174932:-1 gene:ORUFI06G06020 transcript:ORUFI06G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGLFGSRREAASSAATCSRRRSLNVVFFFFFPLLIVAAAAGNTTAAAAAAAARVAVDVGVILDLATALGKKSMLSMEMALEDVYAAHPEFATRVALRARDSRGDVVAAASAAIDLIRNENVAIVIGPQSTLQAEFVTYLANKTKVPVITFSATGDAVTRYHVPYFIRACSKDSYQVASIAAFVKAYEWRNVVLVYEDNNYGVGILPSITDALQGVGVNVINRSAFPAYSPNNHIDVELYKLMTMQTRVFIVHMLPARASRLFARAKALGMMTKGYVWIVTDSIGIVLDVLPQHSIESMEGIVGFRPYIADSTRITDFSSRFTTLFRTKYHPNTDIRMAKPTIFQLWAYDVAWAVATATEKVHRTRSLNPTFHPLGNIGKNLVDDLPALPAGPELLNSILQGEFDGLAGQFRLIDRHLQVPTYEIVNVIGEKTRVIRFWSPDSGLTMSMNSTTIHGDAKFSTSSSELKNIIWPGDSTTVPKGWDFPVNAKILRIGVPLRHDFKTFVNVEINPNTNRSTVSGYSIDMFEAAVKKLPYALRYEYIPYDCAGSYDQLVSQVFFKKFDAAVGDVTIIANRTRYVDFTMPYTESGVSMLVLSKSDDEPTTWIFLQPLAKDLWIATMIFIFFTGLVVWVIERPINRDFQGSKWKQCITAFYFAFSTLTFSHGQKIQSIQSKIVVSYTASLSSMLTAERLQPSVTDLKQLLANGDSVGHQNGSFVQSILKKLKFDDHKIKVYSTQEEYAKALRMGSKHGGVSAIFDEIPYLNSFCSKYGREFQMVGPIDRTSGFGFVLPKGSPLVPDLSEAILSLTEEPERLKIEKTWFMDSSLDYYGSHSKGSSRISFQSFQGLFIIVGCLLGAVLLINFSKFLYDKCKEMRGFGSDRVHRGERVVCFGEAQPQPPQIVMVDRQSCAC >ORUFI06G06030.1 pep chromosome:OR_W1943:6:4179844:4180146:1 gene:ORUFI06G06030 transcript:ORUFI06G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQTTTADEEAVDGSEKVVAPAAQEDGEDVVDSSEKAAAPVAQQDGEEAVDGLEKAAAGQEESRAAACTRESSRPRVRIILSPVGRHGSSRNTQQYTNS >ORUFI06G06040.1 pep chromosome:OR_W1943:6:4184652:4188291:1 gene:ORUFI06G06040 transcript:ORUFI06G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDLHKVWEIRALKRKPDEPAARALLDRVAKQVQPIMRRRKWRVKVLSEFSPKNPRLLGLNVGGGVEVKLRLRHAGRDYDFIPYEEVLDTMLHELCHIARGPHDAQFYKLWDELRKECEELVSKGITGSGQGFDGTGRRLGGFTVHPPPPSLRQATLAAAQKRARNGALLPSGPRKLGGNNDIMSALSPIQAAAMAAERRMYDDLWCGSHDQSGIDDSEDVVILEDTPNLPTQLGKSTKDGFSSSSENPSTSSGFPTAAQNGSSSCRITTDAGDSSLWECVACTLLNQPLAPICEVCSAAKPKTTKAKYATWSCKFCTLENSTKIDKCSACDQWRYSHGPPAATYCPSYD >ORUFI06G06040.2 pep chromosome:OR_W1943:6:4184652:4188099:1 gene:ORUFI06G06040 transcript:ORUFI06G06040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDLHKVWEIRALKRKPDEPAARALLDRVAKQVQPIMRRRKWRVKVLSEFSPKNPRLLGLNVGGGVEVKLRLRHAGRDYDFIPYEEVLDTMLHELCHIARGPHDAQFYKLWDELRKECEELVSKGITGSGQGFDGTGRRLGGFTVHPPPPSLRQATLAAAQKRARNGALLPSGPRKLGGNNDIMSALSPIQAAAMAAERRMYDDLWCGSHDQSGIDDSEDVVILEDTPNLPTQLGKSTKDGFSSSSENPSTSSGFPTAAQNGSSSCRITTDAGDSSLWECVACTLLNQPLAPICEVCSAAKPKTTKAKYATWSCKFCTLENSTKIDKCSACDQWRYSHGPPAATYCPSYD >ORUFI06G06050.1 pep chromosome:OR_W1943:6:4189115:4190128:1 gene:ORUFI06G06050 transcript:ORUFI06G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRGARRRPDLTLPMPQRDAPTSLAVPLPLPPAATTTTSAPPAGGAMHPLASAGAAPPPPLEELERVRRVGSGAGGTVWMVRHRGTGKEYALKVLYGNHDDAVRRQIAREIAILRTAEHPAVVRCHDMYERGGELQILLEYMDGGSLDGRRIADERFLADVARQVLSGIAYLHRRHIVHRDIKPSNLLIDSARRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYMGKFPFGENLGKQGDWAALMCAICYSDPPEPPAAVSPEFRSFVGYCLQKNPAKRPSAAQLMQHPFVAGPQPQPLAAPPPSS >ORUFI06G06060.1 pep chromosome:OR_W1943:6:4196638:4197558:1 gene:ORUFI06G06060 transcript:ORUFI06G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPNPPPVRRPRWRRDLTVRTPRADVAMSLAAPPPPPSLDTTVEPPLAEMELVCLVDSGEAGEVWLVRHRGTRREYALKVLYERWAAAAGGDAADDDHSSLVRCHGATRRSGGGGEEHRIVLLEHMRGGSLSGRRVADERALAGVARQALSGIAHLHRRGVVHGDIRPSNLFVDSSGRVKIAGFGADRAIDRTANGGPCRASLSPAAYMSPDHACGGGGGYAGDIWSFGLTILELYTGSFPLVEQGQSIPLTCYSDGPPEAPATASPEFRSFVGCCLQMNPAKRPSAVQLMDHPFVTSSVFSQE >ORUFI06G06070.1 pep chromosome:OR_W1943:6:4201810:4202400:1 gene:ORUFI06G06070 transcript:ORUFI06G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVPPWTLSPAHRSREVEDEADRDDGEAAVRGAEGHRPQIEEAVVDVRAPPGTTPMPTLARKRTATASPLGATPAPAPERKGMAAASLPGATPTLTPATERKGTTAASPRGTQSTTPARKGLAVASPPGKPLSTPGRKRNFVAGDWRGGREKERGNNFPPTRARQRRPRLGRKNGSLQMSNDIYGYGNLDYWDVG >ORUFI06G06080.1 pep chromosome:OR_W1943:6:4203257:4204689:1 gene:ORUFI06G06080 transcript:ORUFI06G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDASTGPAVIVAVAVVVVVVSTLLWTAMAQLVWRPYAVGRALGQQGVRGPAYRLLVGNIGEANEMRAAASGGVLDRRCHDVVPRVLPHYRAWMSRYGKVFVSWTGPFPALCVGDYAMAKEILADRTGLYAKPDPGASILALFGNGLAFVNGDDWARHRRVVHPAFAMDKLKMMAKTMAECARKVIQAWEARAAAAADGERMVQVEVGEQFQELTADVISHTAFGSSYRQGKEVFVAQRELQYIAMSALNSVRIPGSQYIPTKANIRRRQLAKKVRGTLMAIIRERQATAAAAKEDRGYGNDLLGLMLEANAAAGGGEKSMTMDEIVDECKTFFFAGHDTTSHLLTWAMFLLGTHPDSASARRSSVNAAAADFAGHDTTSHLLTWAMFLLGTHPEWQHRLREEVLRECGGGGDTEALPNGDALNKLKLMTMVLYETLRLYGPVSML >ORUFI06G06090.1 pep chromosome:OR_W1943:6:4206420:4208184:-1 gene:ORUFI06G06090 transcript:ORUFI06G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVESSTAPGVAVAAAVVLVLVWRPYAVARAFGRQRIRGPAYRLFVGSDGEANAMRAATRGDVLDRRCHDIVPRVMPHYRAWMSRYGKVFVSWSGATPALCVGDYAMVKQILSDRTGLYGKPEPEPSILALIGNGLIFIGGDDWARHRRVVHPAFAMDKLKMMAKTMADCAREVIREWEARATADGERRVQVEVGGQLQELTADVISHTAFGSSYREGKEVFVAQRELQSIAFSAINSTRFPGSQYIPTKTNLRRRHLAKKVRGTLMAIIRERQAAAAKEDSGHGNDLLGLMLEANATDACGSGGEKSMTMDEIVDECKTFFFAGHDTTSHLLTWAMFLLGTHPEWQHRLREEVLRECGGGGDTEALPNGDALNKLKLVKSSTKLKAGTVMAGDEQHAFDDNLCADDDGAVRDAAAMTMVLYETLRLYGPVSMLVRTATADAELGGVRVPKGTMTMMPVAILHRDADVWGADAGEFDPLRFRGGVNKAAAHAGALLAFSLGQRSCIGQDFAMMEAKTTLAMILRRFAFEVSPEYVHAPLDLLTLQPKCGLPMVLKLLDQ >ORUFI06G06100.1 pep chromosome:OR_W1943:6:4213675:4217576:-1 gene:ORUFI06G06100 transcript:ORUFI06G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYHDGKDLDTSSYPLVAVCIDKDKNSQNALKWAIDTLVQKGQIIVLVHVNTKGTSGNLLFYNSTKKSSGGVEDASGFKQPTDPHMRDLYRIFRCFCTRKDIQCKDVLLDDHDVAKSITEFCAVAAIEKLVVGATARGGFRFKADIPTTISKGAPDFCTVYVINKGKVSSVRNSTRQAPRVSPLRSQIQNMAAAAAKPEPATAMAPTPQKWSSSSRGHDHLETPKVDSYIRSPFARGPMGGATRKSYADLSHLSMPDSADISFVSSGRRSVEHNPVPARLSAASAESYDHSFETSRTPWGGDSFGGNDHTSFSQSSTSSFCSIGMDDVETEMKRLRLELKQTMDMYSTACKEALNAKQKAMELQRWKAEEEQRTHDARLTEESAMALIEREKAKAKAAMDAAEASQRIAELEVQKRITAEKKLLKEAEDRKNRGGGGGGMSHEIRYRRYSIEEIEHATDRFNDARKIGEGGYGPVYKGHLDHTAVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLGRRRGRAGDPHRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPNVADNVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIITAKPPMGLTHHVGRAMERGALADMLDPAVPDWPVEEAQCLAEMALRCCELRRKDRPDLGSAVLPELNRLRALGEDNMQFCGAIRGGVGGGLSSWAIHNTQDRCSAQGRVNHRCLREDQTFDPSTVEKSRSICSEIYSFVVPRWHCCCGHCDLQVRVIRAALALMR >ORUFI06G06110.1 pep chromosome:OR_W1943:6:4220697:4222134:1 gene:ORUFI06G06110 transcript:ORUFI06G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVAVVTFPFSSHAAVLLSFARALAAASPASTFSFLSTAASFAHLRKTAAAGDLLPGNMRFVEVADGAPPPDAPPPRRMAVFMEAAEAGGVVEAGLEAARAAAGGVAVSCVVGDAFVWMAADAAAKVGARWVPVWTGASSALVAHLRTDALRDDVGDEAASRADELLTSHPGLESYRIRDLPDGVVSGDLNYVINLLLHRMAQRLPRAATAVALNTFPGLDPPTVTAALTAVLPTCLPLGPYHLLATAPANDDDPNGCLAWLDRHAPRTVAYVSFGTVASPRPDELRELAAGLEASGAPFLWSLREDSWPLLPPGFLERTKQHAAAGLVVPWAPQVGVLRHASVGAFVTHAGWASVMEGASSGVPMACRPFFGDQRTNARSVSHVWGFGTAFDGAMTRGGVATAVASLVGGEDGRRMRARAQELQAKVASAFVEPDGSCRKNFAKFVEIICAS >ORUFI06G06120.1 pep chromosome:OR_W1943:6:4222324:4222896:-1 gene:ORUFI06G06120 transcript:ORUFI06G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCRAFGGDAVPVHLPIDWLVLNGFLKRERGEHGVGGGGEGGGCRQRWPVRRLRMRKQSHLDDLTSQVAHLRRDNAHVAAALSLTTQGLLAVDAENAVLRTQAAELAARLASLNDILCSHRLTAETAMAETNNNKKKIYLPLGAILSFRKNTRHFQSENNKIMRSVSYGKFHIFCSVLEQFHVFSVSLS >ORUFI06G06130.1 pep chromosome:OR_W1943:6:4223634:4224311:1 gene:ORUFI06G06130 transcript:ORUFI06G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLASDEEVAMPEQRSAAVVPVPPDRRGFIPLADWIRDLGAAFPHINLDSLVPPAPQPHPLVVREERPSPSLVAGLAVEEAREERPSPSLVAGLTVEEARKVAGEASGTGTVPYAEFLRLCCDASGAESGASVTCALDESGSVIVLGKTVFLRPEMSVFLSVAFD >ORUFI06G06140.1 pep chromosome:OR_W1943:6:4226342:4228401:-1 gene:ORUFI06G06140 transcript:ORUFI06G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLQKPSYYTISLVVVLLLPFTILFAPPRPPSARAPAAMARQTVAAAAAVVVISILVGVHTMAKKHSRRHLVRMAYAVQQTAALRGAARVDVRFALCARPMPQEHRAFVALEARAYGDVMLIDCDESPDKGKTYDYFAGLPAMLSSGGGGGGGGEGRPYDYVMKVDDDTYLRLDELAETLRRAPREDMYYGAGLPFLDKESPPFMLGMGYVLSWDLVEWIAGSDMAKALAIGAEDVTTGTWLNMGNKAKNRVNIFPRMYDFKGVKPEDFLEDTIGVHQLKQDLRWAQTLEHFNVTCLDPSSKMTNSLLS >ORUFI06G06150.1 pep chromosome:OR_W1943:6:4232223:4243922:1 gene:ORUFI06G06150 transcript:ORUFI06G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKAREGGGLLDFLHAPPSAHITSDGCVLRPSHRRCPSGGHSTNGPYSPFPIFPSPPSLHERDGKTAARGGGETHRAAASRRGSDHHGRAGARYEVKRRLRRSSSYSSPSPDLQAEAKALRRKEGASGLRLASDRPAATVAGFRRARDSAAAASDMPSFPSSGASPQKQQHNARRRQLIRQQRKSLPIASVEKRLIEEVRKNDTLIVVGETGSGKTTQLPQFLYDAGFCQDGKVIGITQPRRVAAVTVAKRVAEECNDQLGKKVGYSIRFDDSTSNATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQHSRSIYANKNGKILPDIQDQSQYFTLKACQGTKIDPLKLIIMSASLDAKCFSDYFGSAKAVHIQGRQYPVDILYTYQPESDYLDATLVTIFQIHLEEGPGDILAFLTGQEEIESLDRLIQERARQLPPQRSKIWTTPIYSSLPSEQQMNAFKPAPAGTRKVVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKAQALQRSGRAGREGPGKCYRLFQETLGIDDIIGFDFMEKPSRTAILKSLEQLILLGALTDDYKLSDPVGRQMARLPLDPMYSKALIVASEFKCLEEMLIVVSMLSVESIFFSPREKLEEARAARKSFESSEGDHITLVNVYRAAKECLEKSKNANAKEKTMEKALNRWCRENFINYRSLRHACDVHSQIQGHVQQMGLNVSSCGDDMVLFRRCLTAAFFLNAAMRQPDGSYRALATSQSVQIHPSSVLFQKKPDCAIFNELVRTSQNYVKDLTRIDPVWLAELAPQYYATED >ORUFI06G06150.2 pep chromosome:OR_W1943:6:4232223:4243922:1 gene:ORUFI06G06150 transcript:ORUFI06G06150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKAREGGGLLDFLHAPPSAHITSDGCVLRPSHRRCPSGGHSTNGPYSPFPIFPSPPSLHERDGKTAARGGGETHRAAASRRGSDHHGRAGARYEVKRRLRRSSSYSSPSPDLQAEAKALRRKEGASGLRLASDRPAATVAGFRRARDSAAAASDMPSFPSSGASPQKQQHNARRRQLIRQQRKSLPIASVEKRLIEEVRKNDTLIVVGETGSGKTTQLPQFLYDAGFCQDGKVIGITQPRRVAAVTVAKRVAEECNDQLGKKVGYSIRFDDSTSNATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQHSRSIYANKNGKILPDIQDQSQYFTLKACQGTKIDPLKLIIMSASLDAKCFSDYFGSAKAVHIQGRQYPVDILYTYQPESDYLDATLVTIFQIHLEEGPGDILAFLTGQEEIESLDRLIQERARQLPPQRSKIWTTPIYSSLPSEQQMNAFKPAPAGTRKVVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKAQALQRSGRAGREGPGKCYRLFQESEFDKLVDSTVPEIKRCNLANVVLQLKALGIDDIIGFDFMEKPSRTAILKSLEQLILLGALTDDYKLSDPVGRQMARLPLDPMYSKALIVASEFKCLEEMLIVVSMLSVESIFFSPREKLEEARAARKSFESSEGDHITLVNVYRAAKECLEKSKNANAKEKTMEKALNRWCRENFINYRSLRHACDVHSQIQGHVQQMGLNVSSCGDDMVLFRRCLTAAFFLNAAMRQPDGSYRALATSQSVQIHPSSVLFQKKPDCAIFNELVRTSQNYVKDLTRIDPVWLAELAPQYYATED >ORUFI06G06150.3 pep chromosome:OR_W1943:6:4232716:4243922:1 gene:ORUFI06G06150 transcript:ORUFI06G06150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEDDIMNEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >ORUFI06G06160.1 pep chromosome:OR_W1943:6:4245719:4246518:-1 gene:ORUFI06G06160 transcript:ORUFI06G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRQLTSSEIRNAIAREPFPISVAVTTTNRGLVRLSRRERGGSRDERRWVLYAADASLLMGKSQIWRDETRQAGHRDEAASRRRGRQQPVIRREMPGLLRHISRSVAPRTNTGMSCHWQRPGIAAGRAEKKKGERKAACRVRGWD >ORUFI06G06170.1 pep chromosome:OR_W1943:6:4251779:4252717:1 gene:ORUFI06G06170 transcript:ORUFI06G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTSSSSGGGSGPLVVSNGVLLAAVIFLFMVVVFVFLLYLYAKRYLGANPLLAPVSPSSRFLFLDASPFPRRGLPAAALRALPVTVYAKPAAARGEEALECAVCLSEVADGEKVRMLPKCDHGFHVECIDMWFHSHDTCPLCRAPVGPDAGGEGLPRVPREEPAAMDFPMFPTNVLFWGTAHDDVANAGDRHPFHPPPPPPPLMAAPSTSSSASARRKESLVIDIPSRSAAAAAADSVTSSSASTPLPASRMADDMRSPVSARLRSLRRLLSRGKQAVVGPSFSPRGGGDIEQGLAGAPPKTPKTPPPAN >ORUFI06G06180.1 pep chromosome:OR_W1943:6:4259913:4263143:1 gene:ORUFI06G06180 transcript:ORUFI06G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARGAVRSLFDRLRPPPHHRRRRSSPAAIMPAAAAAHRGCFCSLSHSNLCGEGSVIQRKGFLDLGMGRRFAPGSALGSKGCLNWQDRGRSKRGVDGGEAVRIKAQVLAPQRQLLHDPEVLPLEEVAAKSLNGNGACRRGKPLGFPENAAPTKMVVAVDVDEVLGSFLAALNKFIADPNFLVHEFFTTHYFQDGIHPIPGARDALQNLSSFCSLSVVTSRQDAIKNHTLDWIDKYYPGLFEQIHFGNHFALQGQSKPKSEICRSFGAQVLIDDNPRYALDCAEDGMRVLLFDYHNSYPWCKTGVDESHPLVTKVHNWQEVEEKLLSWVVPEF >ORUFI06G06180.2 pep chromosome:OR_W1943:6:4259864:4263143:1 gene:ORUFI06G06180 transcript:ORUFI06G06180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLARGAVRSLFDRLRPPPHHRRRRSSPAAIMPAAAAAHRGCFCSLSHSNLCGEGSVIQRKGFLDLGMGRRFAPGSALGSKGCLNWQDRGRSKRGVDGGEAVRIKAQVLAPQRQLLHDPEVLPLEEVAAKSLNGNGACRRGKPLGFPENAAPTKMVVAVDVDEVLGSFLAALNKFIADPNFLVHEFFTTHYFQDGIHPIPGARDALQNLSSFCSLSVVTSRQDAIKNHTLDWIDKYYPGLFEQIHFGNHFALQGQSKPKSEICRSFGAQVLIDDNPRYALDCAEDGMRVLLFDYHNSYPWCKTGVDESHPLVTKVHNWQEVEEKLLSWVVPEF >ORUFI06G06180.3 pep chromosome:OR_W1943:6:4259854:4263143:1 gene:ORUFI06G06180 transcript:ORUFI06G06180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLARGAVRSLFDRLRPPPHHRRRRSSPAAIMPAAAAAHRGCFCSLSHSNLCGEGSVIQRKGFLDLGMGRRFAPGSALGSKGCLNWQDRGRSKRGVDGGEAVRIKAQVLAPQRQLLHDPEVLPLEEVAAKSLNGNGACRRGKPLGFPENAAPTKMVVAVDVDEVLGSFLAALNKFIADPNFLVHEFFTTHYFQDGIHPIPGARDALQNLSSFCSLSVVTSRQDAIKNHTLDWIDKYYPGLFEQIHFGNHFALQGQSKPKSEICRSFGAQVLIDDNPRYALDCAEDGMRVLLFDYHNSYPWCKTGVDESHPLVTKVHNWQEVEEKLLSWVVPEF >ORUFI06G06190.1 pep chromosome:OR_W1943:6:4263986:4267833:-1 gene:ORUFI06G06190 transcript:ORUFI06G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGCLKRLQKEYHSLCKEPPPQIVARPLPNDILEWHFVLEGSAGTPFEGGYYYGKLKFPPDYPFKPPSISMTTPSGRFAPHKRICLSMSDFHPESWNPMWSVASILTGLLSFMMDDALTTGSIRSTEGEKRRLAKASLAYNCESKNCPHFRKMFPEYVEKYNQQKQMEQTVAEPETQENPAPAPSPAVQQQAAVVANKAKPAAEAAGEQKQKKRVPFWMMLVMFSVFGAVMALPLMQL >ORUFI06G06200.1 pep chromosome:OR_W1943:6:4273900:4276429:1 gene:ORUFI06G06200 transcript:ORUFI06G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISPRLVVFVAVLLLLLLATATTTQCHRHGKRHRHHAVAAKGAAAAAATPGVTDVHAVCRTTPHQDSCLASTAAHLDAVSAEVVAAASAISVQLLPPNILSVALASLRGALAAVSSLSPALSSALSPPSSGASPLRRGAAQDCLELHAATLASLSRSASLLASPGEGLPAVRAHLAAALANKATCLDGLDGAAPSSGLLASLDDAYAHVTNSLSLVAGRRGGGGSAASFAAAVANIIHHNRRLLDDDDNDDYNGGNDDDDNSNNSGENTVVITVAKDGSGNYRTVGEAVAAAPNNSAARTVIRVRAGTYEENVEVPPYKTNIALVGDGRGATVITGSRSAADGWTTFRSATFGVSGEGFMARDVTFRNTAGAAKGQAVALRVSADMAAAYRCGVEGHQDSLYAHSFRQFYRECAVSGTVDLVFGDAAAVLQACELVAGAPVAGQSNVLTAQARGDPNEDTGFSVHNCTVVASPELLASGVSTRTFLGRPWRPYARAVVMDSYLGPLVDRAGWVEWPGAEPGRAETVYFGEYGNGGPGAAMDGRVGWAGFHDMGYDEAAQFSVDNLISGDQWLAATSFPYDDDV >ORUFI06G06210.1 pep chromosome:OR_W1943:6:4277565:4278224:-1 gene:ORUFI06G06210 transcript:ORUFI06G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSLHPSSMASIPRLIGTRKAALRFRAPAHGGTSSSQLSIAAATANKKVFEDQLRGIVCYRDDKGEMVCEGYDEGPRLGMRLPEKACFPWPMEVQITDFIELATFRVFEDADVLQIKNDQKRQI >ORUFI06G06220.1 pep chromosome:OR_W1943:6:4283466:4288885:-1 gene:ORUFI06G06220 transcript:ORUFI06G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMGDRRAIMDYSAGSYMWPGNSGSENYNFVDGSSESYAEEGSLPPSGYFMGAGSDRSLKITENERNPTMLANGCLPYNTQAHPLSGQILPKAPTLCSAFQNVSSFMEPVNLDAFGFQGAQNVAMLNKTSLPNGNPSLFDNAAIASLHDSKEFLNGGSIPSFGTVLQALGAGGLKAAQQEQNIRNIPLPTFTSGSHLAVTDAQGPPLPSKIPPLIHDHNSEYPINHSSDVEPQANSAPGNSANAKPRTRARRGQATDPHSIAERLRREKISERMKNLQVLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLRESQTECHSNPSLSASTISQGPPDMPDSEDSSAFEQEVVKLMETSITSAMQYLQNKGLCLMPIALASAISNQKGMAAAAAIPPEK >ORUFI06G06220.2 pep chromosome:OR_W1943:6:4283466:4288885:-1 gene:ORUFI06G06220 transcript:ORUFI06G06220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMGDRRAIMDYSAGSYMWPGNSGSENYNFVDGSSESYAEEGSLPPSGYFMGAGSDRSLKITENERNPTMLANGCLPYNTQAHPLSGQILPKGELPNNLLDLQQLQNSSNLRSNSIPPGVLQCNSTSGTFDAKLDTPGLAELPHALSSSIDSNGSDISAFLADVHAVSSAPTLCSAFQNVSSFMEPVNLDAFGFQGAQNVAMLNKTSLPNGNPSLFDNAAIASLHDSKEFLNGGSIPSFGTVLQALGAGGLKAAQQEQNIRNIPLPTFTSGSHLAVTDAQGPPLPSKIPPLIHDHNSEYPINHSSDVEPQANSAPGNSANAKPRTRARRGQATDPHSIAERLRREKISERMKNLQVLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLRESQTECHSNPSLSASTISQGPPDMPDSEDSSAFEQEVVKLMETSITSAMQYLQNKGLCLMPIALASAISNQKGMAAAAAIPPEK >ORUFI06G06220.3 pep chromosome:OR_W1943:6:4283466:4288885:-1 gene:ORUFI06G06220 transcript:ORUFI06G06220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSAGSYMWPGNSGSENYNFVDGSSESYAEEGSLPPSGYFMGAGSDRSLKITENERNPTMLANGCLPYNTQAHPLSGQILPKGELPNNLLDLQQLQNSSNLRSNSIPPGVLQCNSTSGTFDAKLDTPGLAELPHALSSSIDSNGSDISAFLADVHAVSSAPTLCSAFQNVSSFMEPVNLDAFGFQGAQNVAMLNKTSLPNGNPSLFDNAAIASLHDSKEFLNGGSIPSFGTVLQALGAGGLKAAQQEQNIRNIPLPTFTSGSHLAVTDAQGPPLPSKIPPLIHDHNSEYPINHSSDVEPQANSAPGNSANAKPRTRARRGQATDPHSIAERLRREKISERMKNLQVLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLRESQTECHSNPSLSASTISQGPPDMPDSEDSSAFEQEVVKLMETSITSAMQYLQNKGLCLMPIALASAISNQKGMAAAAAIPPEK >ORUFI06G06230.1 pep chromosome:OR_W1943:6:4293961:4294281:-1 gene:ORUFI06G06230 transcript:ORUFI06G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAEDGDGERLRLFVSQVPCSMAEEEILAVDRAALVPTTPPSSATKLPCSRFEGASGSPDDNLDRPATAAEPRGGHGVVHGDGQRRPVGRKAAGPRRAGRRHRC >ORUFI06G06240.1 pep chromosome:OR_W1943:6:4296546:4298230:-1 gene:ORUFI06G06240 transcript:ORUFI06G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDINECELGDPCYSGSKCYDTEGDYKCKCGFWHRGDGKIDKGCQPIIPWSAVEAVAMLVAGAILATLLVLYIRRVRKRRQRKKLFDKNGGNVLRNVLKIKIYSEEELQKITTNYSNKLGSGAFGEVYMGVTEEKQEVAVKRVVPSDEAPSTNDVIQEMTSQSSIQHPNLVRLVGCCLDTDVPMLVLEFIPNGSLHSVLHDAGRNMHIPLLARLDIAIGSAEALAYMHSNIDHNSIVHGDVKSGNILIGDNMEPKVSDFGTSKLMSVARYNKWTVFGDMNYIDPVYMSTGQFMDKSDVYSFGVVLLELITRKKAKYDGTSLRVEFDKHYKDDDARRKMYDQDLLFDEALRPHCTECLDKMANIAVQCLRNNVDERPTMGAVLEDLKKLRESAKTHNADQLV >ORUFI06G06250.1 pep chromosome:OR_W1943:6:4308661:4311343:1 gene:ORUFI06G06250 transcript:ORUFI06G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSDLIPPPRRVTAGDLWLEKTKKQQQQKKKNKGARRLPLRQEEEDDFEADFEEFEVDSGEWEVESDADEAKPLAAPRSGFAKGGLKNTTVAGADGPAARSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNSPEEAARAYDAEARRIRGKKAKVNFPDGAPVASQRSHAEPSSMNMPAFSIEEKPAVMSAGNKTMYNTNAYAYPAVEYTLQEPFVQIQNVSFVPAMNAIEDTFVNLSSDQGSNSFGCSDFSQENDIKTPDITSMLAPTMTGVDDSAFLQNNASDAMVPPVMGNASIDLADLEPYMKFLIDGGSDESIDTLLSSDGSQDVASSMDLWSFDDMPVSAEFY >ORUFI06G06260.1 pep chromosome:OR_W1943:6:4326150:4327713:-1 gene:ORUFI06G06260 transcript:ORUFI06G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWQWRSPDDDVDPTSMAASTTRLSKRCAGRSNPIHRNSRDGAAASPALPPVMPMRARTMYTYHGELAVQGCRPTEEPHGEQRDGTMYTSTSCVCKVSVAQLVKFLMAEPVHPESSPTLDTGSIPVNLQHSNEPSSIFPKHNTRNDENKRSIFPMPHNTRNDEKIGNGITFGLGSVDR >ORUFI06G06270.1 pep chromosome:OR_W1943:6:4333918:4334724:1 gene:ORUFI06G06270 transcript:ORUFI06G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALFMPLKLSLTLSMVIVAVVAQSPSSRRCSRRRRRRRAAAARRRRRQQPRRRSRRSASASIRRRHAAAPVVVAVVAPPLPSSRSRCSSSPPAYAERSKHSSGEPAERSKPAGDVTSGGGTLLPRHAQLGEPVREAVTVEMAPETAAQAASSRKQSANSSPHSGTAQESVSPSILSKASPRREGEGRKEERGRCLQRERKEACGSENKKEKGRERGCEKFKVDERKKGERECEKFEMGRREMNEVFSCAVHLKNPYEIIDYLVRSA >ORUFI06G06280.1 pep chromosome:OR_W1943:6:4334202:4334444:-1 gene:ORUFI06G06280 transcript:ORUFI06G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGETLSWAVPECGDEFALCFLDEAACAAVSGAISTVTASRTGSPSCAWRGRRVPPPEVTSPAGLLRSAGSPELCLLRSA >ORUFI06G06290.1 pep chromosome:OR_W1943:6:4339349:4341870:1 gene:ORUFI06G06290 transcript:ORUFI06G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAESQMAEAASYEEQRRRQVEANKRKLEELRLHHLSAAVRESAAKPSPVKQRKRKARALPGAGEDAPLRRSGRVANLPEKPKYRDEFQDFEKRIRRSYGGKRRDLSNRVYATDEQRDYAINAAQELEEELGSDYPIFVKPMLQSHVTGGFWLSLPTHFSRKYLPKRDETIRLVDEEDDEFDTLYLANKRGLSGGWRGFSIAHKLVDGDCLVFQLIQRTKFKVYIIRASSYYETDD >ORUFI06G06300.1 pep chromosome:OR_W1943:6:4361030:4361275:-1 gene:ORUFI06G06300 transcript:ORUFI06G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSHVDPVIAEEEALDANQSRFLIANWPDETAFSSAELLTDSNEWDLIVSFGAFISVMHHRLPGFRVNAEILKSKHVVFA >ORUFI06G06310.1 pep chromosome:OR_W1943:6:4369743:4374108:-1 gene:ORUFI06G06310 transcript:ORUFI06G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLARLHSLRERLGATFSSHPNELISLFSRYVNQGKGMLQRHQLLAEFDALIEADKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGHTNSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLSFLKAHNHKGTTMMLNDRIQSLRGLQSSLRKAEEYLMGIPQDTPYSEFNHRFQELGLEKGWGDCAKRVLDTIHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRVEKVIGTEHTDILRVPFRSENGILRKWISRFDVWPFLETYTEDVANEIMREMQAKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYFPYTEADKRLTAFHPEIEELLYSEVENDEHKFVLKDKNKPIIFSMARLDRVKNMTGLVEMYGKNAHLRDLANLVIVCGDHGNQSKDREEQAEFKKMYGLIDQYKLKGHIRWISAQMNRVRNGELYRYICDTKGVFVQPAFYEAFGLTVIEAMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVNFFEKCKQDSTYWDNISQGGLQRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYIEMFYALKYRSLASAVPLAVDGESTSK >ORUFI06G06320.1 pep chromosome:OR_W1943:6:4383834:4386038:-1 gene:ORUFI06G06320 transcript:ORUFI06G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECPTSWRPYRNWLLLSCSICSCPQPLVRSPQAARCAHYCSLGSGASFSTGLYSDAPTLPPTPLYSGQRTAVGSAGCHYH >ORUFI06G06330.1 pep chromosome:OR_W1943:6:4397873:4398382:-1 gene:ORUFI06G06330 transcript:ORUFI06G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDNDTVAVDGNGRDVWPMANEVDVVGTSDFNWVENSSWLYDSEAVTTATLISIFGSVAGSGSYRGRSG >ORUFI06G06340.1 pep chromosome:OR_W1943:6:4398646:4399267:1 gene:ORUFI06G06340 transcript:ORUFI06G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRVGSIIDSTYVGGCKWGTATADHTVGEVRAFDGPEEDFTNSTCRTSACDICHLLVAGTMGYSCSSCRYKVHKVCPVPLDNVNVQQQSRRRDSNSIWGSGRSSA >ORUFI06G06350.1 pep chromosome:OR_W1943:6:4400830:4401321:1 gene:ORUFI06G06350 transcript:ORUFI06G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDNAPQCGKCSRMMENYDHTNSNSRDCTCDKCHFLLAGYSCPYASCKYMIHKVCPVPPASVQGGSASQSQDSGPPCGLCGRLTSIYDYTNSTCSKCYCDIDNCGLLLAGYIVYGCLPCRYKVCPKGPLRNEVINGAVRGTVSGVIGCIFSGLLAASGASSN >ORUFI06G06360.1 pep chromosome:OR_W1943:6:4403910:4413239:-1 gene:ORUFI06G06360 transcript:ORUFI06G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAEAEVEVEVEAGGCLLQSFELYEAESKFYILGTNTDKTSWKLLKIDRIEPSELNIDESSTVYSHSGYLDLLKVLDEDHRSTGGVKFVTKCFGIIGFIKFLGPYYMLIITEQRKIGAIFGHPVYQVTRTAMIELSNSESRAKFLNSKDEDRYKKLLQTIDLRKDFFFSHSYNIMRSFQKNFNDPKEGWDLYDTMFVWNEFLTRGVRNILKSTIWTVALVYGFFKQDKLAISGKDIMLTLVARRSRHYAGTRYLKRGVNDEGSVANDVETEQIIFEDMLGPKQISSVVQNRGSIPLFWSQETSKLNLKPDIILHEKDKNYEATRLHFENLRIRYGNPIIILNLIKKRERRPRESILRSEFDKAIKIINNDLPGENHLRFLHWDLHKNSQRKSTNALQMLLKVAFEALNLTEFFYYQVPPARRAESSFNLHAPLKNGFGPHECDDSNNDDITDCIDNIDDMSQEDTCGSSDTSGNGTAEDIAEGNGSISVKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGSIESPELDLDSPLAHHLMHFYERMGDTLAVQYGGSAAHNKIFSAKRGHLKFAIQSQEFFRTLQRYYSNAYMDAYKQAAINLFLGYFQPCEGEPALWELEPVAGEGVLGENASKLMKRARSDGSILRKSNASMSSNGRNGVLKSSFIDSKSELQSPNSSSDAINEISSAPDNTVTVSKSRYTPTEPHVKHVSCELDYCNGSGDSNFLDIDWLSSSDNERPTTISTPDVNASADSVSAGVSSRRTEDHAAEIQAQGLSEHFVQWIDQGETFWF >ORUFI06G06370.1 pep chromosome:OR_W1943:6:4414397:4422014:-1 gene:ORUFI06G06370 transcript:ORUFI06G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFRRLLEKGRKRSRSSGEFEYSDGELTMSIPCDTDDFKYIVVMDTHQNGPRRRRRFTDAGTYTVAPTGRDKLSLIGTVLAPFDQRRHLASMKISSQIEGFFDLTRPQDVVAAFAALPLTRAAQPRPQSLRAPRGARVASRRAAPASSRDT >ORUFI06G06380.1 pep chromosome:OR_W1943:6:4426661:4429222:1 gene:ORUFI06G06380 transcript:ORUFI06G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGGGADADLYAVLGLSRECTDADLRLAYRKLAMIWHPDRCSVAGGSASAAGVDEAKERFQEIQGAYSVLSDSNKRFLYDVGVYDGNDGDDDDDEADLSGMGDFLGEMAQMMSQATPAESFEELQQLFVDMFQDDIDAGLCQSTPPPPSWPSPPAAANARSPAAAATSRKGVNKRCSPAAMDMDSGLSSLLGISGFCFEAPWTSQDASTAAGGGGGKRRKQRPPPASHNV >ORUFI06G06390.1 pep chromosome:OR_W1943:6:4430217:4432247:-1 gene:ORUFI06G06390 transcript:ORUFI06G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKRITVVPPGKDFIDIILSRTQRQTPTVVHKGYSISRIRQFYMRKVKYTQSNFYEKLSTVIDDFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKIAKDYLRLLKYGDSLYRCKCLKVAALGRMCTVIKRISPSLAYLEQIRQHMARLPSIDPNTRTLLICGYPNVGKSSFMNKITRADVDVQPYAFTTKSLFVGHADYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLENLSEEDMKLVMEMKAEAMKTLGHGGEANEEGVLLTMSTLTEEGVMAVKNAACERLLDQRVEIKMKSKKINDCLNRFHVAMPKPRDNKERPACIPQAVLDARASAAAAKEKKKLERKLEKDLENENGGAGVYSASLKKHYLLADDEWKEDILPEILDGHNVADFLDPDILQRCEELEREEGLRLEEEAAQEAFQIDGHELTEEQREILGQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRTFTTNRMGRQLSSMGFDPRAALDRARSRSRGRKRERSLSRAASDGDDMDIDGQQSSKKLRALSRSRSRSKSRPPEEVVPGEGFKDSAQKKKAIKKAKDSVRNRNKEARRGEADRVIPTLKPKHLFSGKRSIGKTSRR >ORUFI06G06400.1 pep chromosome:OR_W1943:6:4440254:4440412:1 gene:ORUFI06G06400 transcript:ORUFI06G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGAALNPRWLGREPPQSAAAAAAADWDAEKRRQREKGDGGRLTMDPYVD >ORUFI06G06410.1 pep chromosome:OR_W1943:6:4442729:4443352:-1 gene:ORUFI06G06410 transcript:ORUFI06G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEQPLEAVAFRLYSLPEAAAPAGAAAWTCLAAVLAAAAAAAAGIWRLRAAAPVVAAMGGASSREDGLEPESSPATAASEQARSSSERQPEPASSPSPKETYTAYFHDSCCVGCCDMDDDDDDGEEVLEEEEEDDDEPSETTPFEWEIVRSLPLSPTAAAEVRRYRDSAPLGGSVVRLWNHVAGGGVTAASPRRRGLAGGVVSAF >ORUFI06G06420.1 pep chromosome:OR_W1943:6:4445496:4447329:-1 gene:ORUFI06G06420 transcript:ORUFI06G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVSTACRPSLLLPPRQRSSPPRPRPLLCTPSTAAFRRGALSATTTPTPARAALPSTTGRNRIVCGKVTTTNNYPSSCRSRRSTMMHAAAWLLLQQLSPAAARVARAADDDSSVQVSKGSAAPNFTLRDQDGRAVSLSKFKGRPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVIGISGDDAASHKEFKKKYKLPFTLLSDEGNKVRKEWGVPADLFGTLPGRQTYVLDKNGVVQYIYNNQFQPEKHIGETLKILQSL >ORUFI06G06430.1 pep chromosome:OR_W1943:6:4449053:4452614:-1 gene:ORUFI06G06430 transcript:ORUFI06G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSRRLESIASLHYTHATRVVARREAPPTHSCDTARRESTRRRPRRDATPPTTRALARSLAGVEPCGVAAATTTSGRRPPSWSGSSKGTSGGAPRGGPPPPPPPPGDGDGGAVEVVAVGKGRRYDAYVRRRDEKLRQGWRARMERKEAEMKALWARLDVDRRRDGDLAAGNGKQQKPGNLEARPAASPATPRSSSATKATLSRPRTTPRTTTPSPAGAAASPRLSSSNPDARRRAPQQPEPPSTPRKENRVPSAAAASTAAATATPRLRALSRSRSSLKESASSVRDSPRRAPPPPRRSHDGDAGDRPKQQPEPVHAATTTADDAVAPAARSCQSQQQVVLAEIKAAAAFRLRRSGNGAAQGRQPAASPRPVITRQLDGRRKPSDRNSDVEAKNFNLDEGIGEDDDDDTAQSSVEIGSLKITGDSDTEPSYVYITKDIDDEAMNTSQPQPLAASDSNAEEPESLAPHQSEKETRHLEETAMAASSEATAKERPATDREDDSPQSSDQSFYSNMDSSFSHRSELELAASATDSPLHGSPSSTGPSTEQLLEADAAMLRKKREEEEEEEEEDEAAAGEINSLPIPSTTTSSSSSVACPVTVQSPMEAVAGFKRFLTFGKKNAAAAAVAPPADDSGVGHGWPSGDSGVRQRICSSDAASDDSDNSYVIPAHVRSLQSCVPCSPARPVLLKELISSAKSPRAHRSFFSFSSFKSRGY >ORUFI06G06440.1 pep chromosome:OR_W1943:6:4453942:4457155:-1 gene:ORUFI06G06440 transcript:ORUFI06G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLLPTFAAASAAPPRRGRVPPAGRASVSVRASASAAAVAPRRETDPRKRVVITGMGLVSVFGNDVDAYYDRLLAGESGIGPIDRFDASNFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALESAGLALGSKSMDKIEKTRAGVLVGTGMGGLTVFSDGVQNLIEKGHRKITPFFIPYAITNMGSALLGMDIGFMGPNYSISTACATSNYCFYAAANHIRRGEADVMIAGGTEAAIIPIGVGGFVACRALSQRNDDPKTASRPWDQDRDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCIKQSLADAGVAPEEVNYINAHATSTLAGDLAEVNAIRQVFKDPSEIKINATKSMIGHCLGAAGGLEAIATVKAITTGWVHPSINQFNPEPAVEFDTVPNVKKQHEVNVGISNSFGFGGHNSVVYANVRLVE >ORUFI06G06450.1 pep chromosome:OR_W1943:6:4469151:4475800:1 gene:ORUFI06G06450 transcript:ORUFI06G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQGSSGVSPAPGEGEKKAINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMHKELDNIPGYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVNKYDRDAMLASELGLKQNKQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQELIAKDLHDISWKFRHIYRGQPKRHLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRRATRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDPVRWKNSHWRNLQVGWDESTASERRTRVSIWEIEPVATPFYICPPPFFRPKLPKQPGMPDDENEVESAFKRAMPWLADDFALKDVQSALFPGLSLVQWMAMQQNPQMLTAASQTVQSPYLNSNALAMQDVMGSSNEDPTKRLNTQAQNMVLPNLQVGSKVDHPVMSQHQQQPHQLSQQQQVQPSQQSSVVLQQHQAQLLQQNAIHLQQQQEHLQRQQSQPAQQLKAASSLHSVEQHKLKEQTSGGQVASQAQMLNQIFPPSSSQLQQLGLPKSPTHRQGLTGLPIAGSLQQPTLTQTSQVQQAAEYQQALLQSQQQQQQLQLQQLSQPEVQLQLLQKIQQQNMLSQLNPQHQSQLIQQLSQKSQEILQQQILQHQFGGSDSIGQLKQSPSQQAPLNHMTGSLTPQQLVRSHSALAESGDPSSSTAPSTSRISPINSLSRANQGSRNLTDMVATPQIDNLLQEIQSKPDNRIKNDIQSKETVPIHNRHPVSDQLDASSATSFCLDESPREGFSFPPVCLDNNVQVDPRDNFLIAENVDALMPDALLSRGMASGKGMCTLTSGQRDHRDVENELSSAAFSSQSFGVPDMSFKPGCSSDVAVTDAGMPSQGLWNNQTQRMRTFTKVQKRGSVGRSIDITRYRDYDELRHDLACMFGIQGQLEDPYRMDWKLVYVDHENDILLVGDDPWEEFVGCVKSIKILSAAEVQQMSLDGDLGGVPPQTQACSASDDANAWRG >ORUFI06G06460.1 pep chromosome:OR_W1943:6:4476860:4481680:1 gene:ORUFI06G06460 transcript:ORUFI06G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQLSGAGVAAVAFTKNGASSFDGLRLAPPSVRVCSSRRPSRSLVVKAATVVTPKYTSLKPLGDRVLVKLGAAEEKTVGGILLPSTAQSKPQGGEVVAVGEGRTIGDKKVEVSLQIGAEVVYSKYAGTEVQFNDTKHLILKEDDIIGVLETDDVKDMKPLNDRVLIKVAEAEDKTAGGLILTETTKEKPSIGTVVAVGPGPLDDEGKRQPLSVSAGSTVMYSKYAGSEFKGADGTNYIVLRVSDVMAVLS >ORUFI06G06460.2 pep chromosome:OR_W1943:6:4476993:4481680:1 gene:ORUFI06G06460 transcript:ORUFI06G06460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQLSGAGVAAVAFTKNGASSFDGLRLAPPSVRVCSSRRPSRSLVVKAATVVTPKYTSLKPLGDRVLVKLGAAEEKTVGGILLPSTAQSKPQGGEVVAVGEGRTIGDKKVEVSLQIGAEVVYSKYAGTEVQFNDTKHLILKEDDIIGVLETDDVKDMKPLNDRVLIKVAEAEDKTAGGLILTETTKEKPSIGTVVAVGPGPLDDEGKRQPLSVSAGSTVMYSKYAGSEFKGADGTNYIVLRVSDVMAVLS >ORUFI06G06460.3 pep chromosome:OR_W1943:6:4476860:4481680:1 gene:ORUFI06G06460 transcript:ORUFI06G06460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQLSGAGVAAVAFTKNGASSFDGLRLAPPSVRVCSSRRPSRSLVVKAATVVTPKIGAEVVYSKYAGTEVQFNDTKHLILKEDDIIGVLETDDVKDMKPLNDRVLIKVAEAEDKTAGGLILTETTKEKPSIGTVVAVGPGPLDDEGKRQPLSVSAGSTVMYSKYAGSEFKGADGTNYIVLRVSDVMAVLS >ORUFI06G06460.4 pep chromosome:OR_W1943:6:4476990:4481680:1 gene:ORUFI06G06460 transcript:ORUFI06G06460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQLSGAGVAAVAFTKNGASSFDGLRLAPPSVRVCSSRRPSRSLVVKAATVVTPKYTSLKPLGDRVLVKLGAAEEKTVGGILLPSTAQSKPQGGEVVAVGEGRTIGDKKVEVSLQIGAEVVYSKYAGTEVQFNDTKHLILKEDDIIGVLETDDVKDMKPLNDRVLIKVAEAEDKTAGGLILTETTKEKPSIGTVVAVGPGPLDDEGKRQPLSVSAGSTVMYSKYAGSEFKGADGTNYIVLRVSDVMAVLS >ORUFI06G06470.1 pep chromosome:OR_W1943:6:4482886:4483293:1 gene:ORUFI06G06470 transcript:ORUFI06G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSVVGIVCTASYSRGARRSMVTRWVARREKLVGQLRGVGSEEVHRLWELVEDLVGSVAHGKRILSAAAHAGRTSLAPALLGGEAHRGLRTRGEARRLSHTRDGLGWLHARFQSFLPLLSHPSPSLQCPSPFR >ORUFI06G06480.1 pep chromosome:OR_W1943:6:4488041:4488664:1 gene:ORUFI06G06480 transcript:ORUFI06G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSATTTKYRGVRLRKWGKWVSEIRLPNSRERIWLGSYDTPEEAARAFDAAFVCLRGGGEAARNGINFPGSPPAVARTSDPQEVYAAAVSHANNRPPPPPSARATSSALPWEEAPVVAAQEAAADMAPDVVVLPSSPVNVLAAAGSFEYWSQQPLYSPTAASLDLQRWMTAAAAAEESIMEDDDDEGTSDGLWSFHYSPTRSKW >ORUFI06G06490.1 pep chromosome:OR_W1943:6:4488678:4506343:-1 gene:ORUFI06G06490 transcript:ORUFI06G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEPRTWTGNNRICAQESGSCDQSKLPPATCTGGGGGAAFALTTSENCLAVSSSTRAASSCAMVASQPASTSRGSLVRATTVGGDSGKLRPAMASPPRRQMKAASNARAAFSGESRISATHFPHCRSRTPLYFLSTGAGDVPCDGGAAGQQPPPVVMASGNNDRHGMSSATTSRIGLRSQDEVGVQPNARNYYRCSAAGCAASRSGWSATATTRALRRHQLRRRPPPPAPLREPRGHGGCGRGLWGVCGGADRGLRQDLRRWLDVVPLPRQQKTGERRRVPDEGQMCSYVDTAPQRDELDFEFLGNRTVGRQITRAS >ORUFI06G06500.1 pep chromosome:OR_W1943:6:4500654:4501389:1 gene:ORUFI06G06500 transcript:ORUFI06G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRTDCQANGTSPAPVERKYRGVRLRQWGKWVAEIRLPNSLKRIWLGSYDSPEKAARAFDAAFICLRGGEAIAGLNFPESPPTVVARTSDPREEEAALVEEETAKQFSDVVRANAAPPPPPVQVAGGSFDWSQLPLYSPMTTPTAEHWEEDNVEATTLELRFLILHFIRL >ORUFI06G06510.1 pep chromosome:OR_W1943:6:4518877:4519479:-1 gene:ORUFI06G06510 transcript:ORUFI06G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRRFRPLAPALTTCTTNGRRRLHLAAHAEASSPALCRPAHRRHPLAATAAEPATVVAVELVRLEPAVARGAEEGDEDKAVAVAPANLPVVGEGDAVDVAGPPWVGLDLALDHVAEPDVACTMRHVKGEVIYHGS >ORUFI06G06520.1 pep chromosome:OR_W1943:6:4526158:4531616:-1 gene:ORUFI06G06520 transcript:ORUFI06G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSEKKTAAEVVAMLDLQRHPDGGFILETFRDPSISLPKSALPPRYKVDRAVSNAIYFLLPAGEIVKLHRIPCAETWHYYMGEPLTVFEVHDDGQFKMTVVGPDLRHGQKPQYTVPPNVWFGAFLTCDIESFTEDGSVFVKTPGRDSELHYSFVGVTCAPAFQIEDDEMATRESMKALAPKAEAFINYLKAEQRREESMALTSVEKKTAAEIVAMLDLQRHPDGGFYLETFRDPSISLPKSALPPRYKVDRSVSSAIYFLLPAEEIAKLHRIPCAETWHYYMGEPLTVFEVHDDGQIKMTVVGPDLRHGQRPQYTVPPNVWFGAFLTCDIESFTEDGSVFVKTPGRDPELHYSFVGVTCAPAFQFEDNEMATRETMKTLAPKAEAFINYFVPSD >ORUFI06G06530.1 pep chromosome:OR_W1943:6:4531655:4534355:1 gene:ORUFI06G06530 transcript:ORUFI06G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAATFVFLLVSALQMLDQVLDLVKKRGSITDDQLKLRLEITQILKEASALSTPSTFAQAAKLKRLAAAKEKELAKLQQQDIKGKQSLYNQYGRVMLFSKVLIYGLLILWFWSAPVTTVPKHLLQPFGRMFSWRGVDAATGRVVVGIIPWLLLTSRVSKLLCQKLAPIFLHP >ORUFI06G06540.1 pep chromosome:OR_W1943:6:4536398:4538663:-1 gene:ORUFI06G06540 transcript:ORUFI06G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYYFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLRNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRIYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >ORUFI06G06540.2 pep chromosome:OR_W1943:6:4536398:4538663:-1 gene:ORUFI06G06540 transcript:ORUFI06G06540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYYFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLRNEFERVNGSQIETAARPTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRIYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >ORUFI06G06550.1 pep chromosome:OR_W1943:6:4538694:4540518:-1 gene:ORUFI06G06550 transcript:ORUFI06G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRMKPPFKPPEKAVQVLNKKSEAYQKKKEKKEVKKTERWGCRSIRVLRAPATPRPRSLRLSPPETATASPPLSTASIPSCSFGILV >ORUFI06G06560.1 pep chromosome:OR_W1943:6:4541717:4543798:1 gene:ORUFI06G06560 transcript:ORUFI06G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLLLLLLAAAAAAAVAVVAVAQTNMADAEALMQLKKSFTNSSSLSSWLITNTDGDKSPCAPGSHEWHGVVCSRGKVTGLRLNGLRLGGTVDVGALVGFHNLRSVSFAGNNFSGPLPAVDRLTSIKSMFFSDNQFTGVLPDDFFSKLSHLKKLWLDHNELSGAIPASIAQATSLLELHLAHNAFSGELPPLPPPALKVFDISWNDLEGVVPEAFRKFDAGRFGGNQYLCYVPTSDRPCKRVQAAAASSSKRSPMAFVTLLVSVVVVALVLCLCCNRSSRVHDFDPAHRGGDGLDERPPVYMVKQFSTTGKRSASWLGKRTRSSLRGHRRAASAAKADELGGGAGDLVIVNNGKGVFGLTDLMKAAAEVIGSGGHGSAYKAVMANGVAVVVKRARDMNRATKDAFEAEMKRLGAMSHANLLPPLAYHYRRDEKLLVYEYIPKGSLLYVLHGDRGMDYAGLDWPTRLKVAVGVARGTAFLHGELAGHEVPHGNLKSANILLAPDFEPLLVDFGYSGLINHMQSPNSMIARRAPECAAGHPVGAKADVYCLGIVLLELLTGKFPSLYLQNAKGGTDLVMWATSAIADGYERDLFDKAITSAWKFALPDMARLMRVAVDCVETDADKRPDMKVAAARVEEVVAAAMATVRERHQAAGGESSRSSSHAQYVRDGSMQRITSVGERSSRRGSNDYSS >ORUFI06G06570.1 pep chromosome:OR_W1943:6:4546727:4547524:1 gene:ORUFI06G06570 transcript:ORUFI06G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKFVALSFVVLLSIGLSNALPRKYASAGGGGGGGGGGGGSGNGSGWGSGSGSGYGQASGPGGYASGGGGGGGGGGGGGNGGSGYGSGSGSGYGQAGGYGPYGGYAQGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGAYAQGGGGGGGGGGGQNGGSGYGSGSGYGQAGGYGPYGGGYAQGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGYAQAGGQGGGGGGGQSGPGGSGSGSGSGSGSGSAGWHP >ORUFI06G06580.1 pep chromosome:OR_W1943:6:4552125:4557981:-1 gene:ORUFI06G06580 transcript:ORUFI06G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMAVASQPFLSTSSRHIRRATVATAAAAGPDDFDYPLADPSVRWPHLRFPHLPSPRFPAAPVARPSEGGEEEEAAAGPSSAAASAAALEPLDARAHRGRVKKLSKLALRRARDWRARVAGLADRVLALAPGAPVGDVLDGARPAPDELAFVVRAVGVASWRRALDAFEWLVASGGGRAPGPRVVAVVLGVLGRARQDALAEDVFLRFAREGATVQVFNAMMGVYARSGRFDDARQLLDAMRDQDIEPDLVSFNTLINARAKSGCLAAGVALELLHEVRQAGLRPDAITYNTLISACSQGSNLDDAVAVFEEMIASECRPDLWTYNAMVSVHGRCGKAQEAELMFKELVEKGFQPDAVTYNSLLYAFAKEGDVERVERVCEELVKAGFRKDGITYNTMIHMYGKMGRLDLALGLYDEMRAIGCTPDAVTYTVLVDSLGKMDRISEAGKVLEEMADAGLKPTLVTFSALICAYAKSGRQDDAERTFDRMVESGVKPDRLAYLVMLDVFARSDETRKLMVLYRAMIKDGYKPDDGLYQVLLAALAKGNEHDEIEGVIQDMEAVFEMNPLVISSILIKAECISQGASLLKRACLQGYEPDGKSLLSILDAYEKMGKHEKGLSLLEWIRQHVPNSHNLISECSIMLLCKNGKIVDAIQEYSRKQMLKRGSFGQDCDLYEYLITYLEEAELFPEACQVFCDMQFLGIVPSQKIYQSIIYTCCRLGFPETAYQLMDDAARSDISLNILSCRVAMIEAYGKLKLWQQAENFVKGLKQESGVDRRIWNALIHAYAESGLYEHARAIFDIMIKKGPLPTVESVNGMMRALIVDGRLDELYVVVQELQDLDIKISKSTVLLMLEAFAKAGDVFEVMKIYNGMKAAGYLPNMHLYRIMISLLCHNKRFRDVELMVAEMEGAGFKPDLVVLNTLLLMYTGTGNFDRTIEVYHSILEAGLEPDEDTYNTLIVMYSRNFRPEEGFTLLYEMGKRGLTPKLESYKILLAASGKAKLWEQADLLFEEMRTKGYRLNRSIYHMMMKIYRNARNHSKAEHLLSAMKEDGIEPTIATMHILMTSYGTSGHPDEAEKVLNSLKSSNLEISTLPYSTVLDAYLRNRDYSLGITKLLEMKRDGVEPDHQVWTSFIRAASLCEQTDDAILLLKSLQDCGFDLPIRLLTERTSSLFTEVDSFLEKLGTLEDSASLNFVNALEDLLWAFERRATASWIFQLAVKRSIYHHNIFRVEEKDWGADLRKLSAGAALVALTLWLDQMQGAPESPKSIVLVTGEGEYNMVSLRKTIRAYLLEMGSPFLPCRSRSGRFVVKAYSLKMWLKDSPFCLDLELKDAPALPKTNSMKLTEGYFMRAGLVPVFKDIHERLGEVWPKKFSRLALLSEESRDEVIKADIKGRKEKLEKMKKQGLAIAKRSKRGPRRGKFVKQQSTQEVLK >ORUFI06G06590.1 pep chromosome:OR_W1943:6:4558976:4564020:-1 gene:ORUFI06G06590 transcript:ORUFI06G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPAIYHYQLIDRRKVVSNGDTRSMLPNKVTALNPNAAEFVPSCIRPSFESSAVSDVSKADLRASGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSFEKIEQEPEELSLAGLSLNAPPFYGTTASRFSREHDLSSQANKSLELGLTSLLYEDNSQASFPTMGSSNWEQNFVGDLHFTNGNQGLHYDSESAAGFSDSFASDYAAATDDVLDPLAYLASQFPDFSSESLAELYYANGCDFNHTIEILTQLEMQVDATSNPTLTPRTPNFSTGDFPALPTVEDQNGFSKGNADILSIFNGRSSPSVSTGTGDFVSAVRKLASQNSGHWKYKKGPEYGNGVSTVSVPKQYSSTTKTSSGNKFQSVSSARAAPWLETGDAVANMYSESRGEARDFARIRNACFEQARQAYLIGNKALAKELSMKGQTYNTQMKASHEKAREAIYRQRNPSSQRGSDRLIDLHGLHVNEAIHILKVELGTLKSTARATGERMQVMICVGTGHHTKGSRTARLPIAVEQFLLEEGLHYTQAQPGLLRVVVF >ORUFI06G06590.2 pep chromosome:OR_W1943:6:4558976:4564020:-1 gene:ORUFI06G06590 transcript:ORUFI06G06590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPAIYHYQLIDRRKVVSNGDTRSMLPNKVTALNPNAAEFVPSCIRPSFESSAVSDVSKADLRASGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSFEKIEQEPEELSLAGLSLNAPPFYGTTASRFSREHDLSSQANKSLELGLTSLLYEDNSQASFPTMGSSNWEQNFVGDLHFTNGNQGLHYDSESAAGFSDSFASDYAAATDDVLDPLAYLASQFPDFSSESLAELYYANGCDFNHTIEILTQLEMQVDATSNPTLTPRTPNFSTGDFPALPTVEDQNGFSKGNADILSIFNGRSSPSVSTGTGDFVSAVRKLASQNSGHWKYKKGPEYGNGVSTVSVPKQYSSTTKTSSGNKFQSVSSARAAPWLETGDAVANMYSESRGEARDFARIRNACFEQARQAYLIGNKALAKELSMKGQTYNTQMKASHEKAREAIYRQRNPSSQRGSDRLIDLHGLHVNEAIHILKVELGTLKSTARATGERMQVMICVGTGHHTKGSRTARLPIAVEQFLLEEGLHYTQAQPGLLRVVVF >ORUFI06G06590.3 pep chromosome:OR_W1943:6:4558978:4563400:-1 gene:ORUFI06G06590 transcript:ORUFI06G06590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPAIYHYQLIDRRKVVSNGDTRSMLPNKVTALNPNAAEFVPSCIRPSFESSAVSDVSKADLRASGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSFEKIEQEPEELSLAGLSLNAPPFYGTTASRFSREHDLSSQANKSLELGLTSLLYEDNSQASFPTMGSSNWEQNFVGDLHFTNGNQGLHYDSESAAGFSDSFASDYAAATDDVLDPLAYLASQFPDFSSESLAELYYANGCDFNHTIEILTQLEMQVDATSNPTLTPRTPNFSTGDFPALPTVEDQNGFSKGNADILSIFNGRSSPSVSTGTGDFVSAVRKLASQNSGHWKYKKGPEYGNGVSTVSVPKQYSSTTKTSSGNKFQSVSSARAAPWLETGDAVANMYSESRGEARDFARIRNACFEQARQAYLIGNKALAKELSMKGQTYNTQMKASHEKAREAIYRQRNPSSQRGSDRLIDLHGLHVNEAIHILKVELGTLKSTARATGERMQVMICVGTGHHTKGSRTARLPIAVEQFLLEEGLHYTQAQPGLLRVVVF >ORUFI06G06590.4 pep chromosome:OR_W1943:6:4558978:4561942:-1 gene:ORUFI06G06590 transcript:ORUFI06G06590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLNKVVSNGDTRSMLPNKVTALNPNAAEFVPSCIRPSFESSAVSDVSKADLRASGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSFEKIEQEPEELSLAGLSLNAPPFYGTTASRFSREHDLSSQANKSLELGLTSLLYEDNSQASFPTMGSSNWEQNFVGDLHFTNGNQGLHYDSESAAGFSDSFASDYAAATDDVLDPLAYLASQFPDFSSESLAELYYANGCDFNHTIEILTQLEMQVDATSNPTLTPRTPNFSTGDFPALPTVEDQNGFSKGNADILSIFNGRSSPSVSTGTGDFVSAVRKLASQNSGHWKYKKGPEYGNGVSTVSVPKQYSSTTKTSSGNKFQSVSSARAAPWLETGDAVANMYSESRGEARDFARIRNACFEQARQAYLIGNKALAKELSMKGQTYNTQMKASHEKAREAIYRQRNPSSQRGSDRLIDLHGLHVNEAIHILKVELGTLKSTARATGERMQVMICVGTGHHTKGSRTARLPIAVEQFLLEEGLHYTQAQPGLLRVVVF >ORUFI06G06600.1 pep chromosome:OR_W1943:6:4570257:4570760:-1 gene:ORUFI06G06600 transcript:ORUFI06G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAARCECCGFTEECTPRYIAAVREEYMGRWVCGLCAEAVGDEIRRAGAGKATITTAEALDRHVAFARSAAPRAGGAAAEDDLVAAVARLLRRCLDSPPASPAAPPPPPQGRKGAAGAGGVRMPRRRRRRLIHCLLSRPAAKLATMIGMLSSSMASLLLNQLIIN >ORUFI06G06610.1 pep chromosome:OR_W1943:6:4579733:4581817:-1 gene:ORUFI06G06610 transcript:ORUFI06G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARSTAMGATEAPSPRRAALLEGGRGVAGAPGAAPSSAAGSPPEYGAGHPLRRVRAPLLPRIFTAESVQETLGLDFPHPSKPRVLLAASGSVAAIKFESLCRSFSEWAEVRAVATKASLHFIDRTSLPSDIILYTDDDEWSTWKKIGDEVLHIELRKWADIMVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTFMWNNPFTSRHLETINLLGISLVPPITKRLACGDYGNGAMAEPSVIDSTVRLACKRQPLNTNSSPVVPAGRNLPSS >ORUFI06G06610.2 pep chromosome:OR_W1943:6:4580537:4581817:-1 gene:ORUFI06G06610 transcript:ORUFI06G06610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARSTAMGATEAPSPRRAALLEGGRGVAGAPGAAPSSAAGSPPEYGAGHPLRRVRAPLLPRIFTAVERYR >ORUFI06G06610.3 pep chromosome:OR_W1943:6:4579733:4580524:-1 gene:ORUFI06G06610 transcript:ORUFI06G06610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSESVQETLGLDFPHPSKPRVLLAASGSVAAIKFESLCRSFSEWAEVRAVATKASLHFIDRTSLPSDIILYTDDDEWSTWKKIGDEVLHIELRKWADIMVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTFMWNNPFTSRHLETINLLGISLVPPITKRLACGDYGNGAMAEPSVIDSTVRLACKRQPLNTNSSPVVPAGRNLPSS >ORUFI06G06620.1 pep chromosome:OR_W1943:6:4583839:4584228:-1 gene:ORUFI06G06620 transcript:ORUFI06G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDVVEVARRAMLFRMPRRRRAASASASASASAATATAGRMRRKKVAVVRLGGGGGGTKRRLFGALRRLRVRWLAAMYRRALRRLRVCYANAVRDLIDGAALAGALRAPVGIEYSHAAAFGPAATVGF >ORUFI06G06630.1 pep chromosome:OR_W1943:6:4586340:4590739:1 gene:ORUFI06G06630 transcript:ORUFI06G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAAAAVSQALRDRDVLDAVGTSAAALSLAGSSFIVLCYLLFRELRKFSFKLVYFLAVSDMFCSLFTIMGGPSNAFYCFAHDYSAHFFCVASFLWTTTIAFTLHRTVVKHKTDVEEFGSIFHLYVWGTSLATTVLRSIGSDYGRPGTWCLALGNLLSSIVGCHSLQWVNRMINNATRMAVGISDRSIQSDVRADKKAFNRWGYYPLILIGSWAFATINRVHDFANPGHKIFWLSILDVGFAGLMGLFNSIAYGLNSSVRRAIAERLDMYLPERFKRSLPTLTRFKSQQENELTSLIVDASNT >ORUFI06G06640.1 pep chromosome:OR_W1943:6:4593875:4594177:1 gene:ORUFI06G06640 transcript:ORUFI06G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPEVGIVALCAAEGCARLLKPILDFLANGMSPRSAAADAAIVAALVFAYRVSLVSLSVPPPSAAAAAVKLILATAFTLVFARPAIASVVVVVAGGGQ >ORUFI06G06650.1 pep chromosome:OR_W1943:6:4599733:4599951:1 gene:ORUFI06G06650 transcript:ORUFI06G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVDLALFLLCVAVGCAFTVAPITDALDEFAFTGNRAAAAALLRLALPAAYFVGIILVYHRAMSTRRRTL >ORUFI06G06660.1 pep chromosome:OR_W1943:6:4603100:4617416:-1 gene:ORUFI06G06660 transcript:ORUFI06G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLLPRAASPFAYINCMIWHGGYGCPFGNITHTAHGCGGSLHLTAGVGIGAGTFSIQETEIVQEVNLEKSCDRLTIHNYKKEIRFEMRAMEFSHSMCAKIRAHILGWEVGTRIGFSWAASNSRPLDAIPVQVAYLQTRTKAQLLQLFNTGPGRTFVKISLRLQLLDSARAGGDAREEYGSGEEHCHGGGAVAWKPPCAAADPPAPSPRTCANRSRQASSKAMRMAKRRAPAMTGRAPPRASDGEVVSLGAARKDSSDRRDENATSSQREAILGGMRYGDQGKTTASSSTTPLMRILSPRCLTSLARRQLASPLSSSPSRPSPLENHTVVASLPFEHTQHSNSSPPAYCPSARGRMVVGGGGNPKSDSGGGNPKGDNDDGSSNPKFDDDCSGGTLPRGGGICGGSQQPERGEVHGGCGQRHPVEGL >ORUFI06G06670.1 pep chromosome:OR_W1943:6:4615068:4615373:1 gene:ORUFI06G06670 transcript:ORUFI06G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAILVAVAFAQILAILSNSFAGAAAAYDDDGADHPVVLRMAVSALTVAVPATFYVGVMELYARVTPVAPPLRRLLAVLAPGMAWITLLLGLPPLVVLLLG >ORUFI06G06680.1 pep chromosome:OR_W1943:6:4626659:4627432:1 gene:ORUFI06G06680 transcript:ORUFI06G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVPMIIVLAMGGGVLGGPEALRLLFTFAGRSPLVDIGIVVFVIAALAAPALGTMLLACFYRTPRAARGSPIGAARAAAAAADLLAKMTLAVSMAVALLVSASLLVLPLFQSGNVVVGPLRHLLALAVAAFVARVRGVVHLRRARRNASGAAPDAERAAVTTLMVSLAAVCILLVSCVAVGGLDAHHLFTSFALKNPIIHAPTGVATAAVVGTTLLALFFRKARNAAAAAAAPLPATERAAKIISDGANPGGGCT >ORUFI06G06690.1 pep chromosome:OR_W1943:6:4646253:4648728:-1 gene:ORUFI06G06690 transcript:ORUFI06G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLLTAQAPVRRRWPSVTPAQGRSPAWGEKDAATGDAAAGDVVAGFAAWCSIRVAGWCALRRRPNSVTGDVAVDDVVAGLPPRAPAPLPAIHGAAVNAHPRLHLRGRPNHMIPGRYHLIPVRYQDLKHHKHHPPRGKNRMIPDRQDTDKDHDTSQVSHDPPKVSPMKPGETGHDTKEDHATSQIYDPTRVSLDTSQLRNYHLLCGKNRMIPYRYQTIPITYQVILVRYHVIPIRYRAISTTYRGDTCEASRCRGIVAGGRVLPRPRSTLNPNLVAGSRXPPAASSPVHGPHRNSSPTTASSVGSSSPAVTSVVHASAGSCVLHAGARLRRRRHHHAGSSAVHAPRRSSSPASSLSPRRSSAVHATMPHAGARRRPRPPCRSSSPAAASSTPEVVGAGRGRATGVSRICAAPTVAYTLASEGSGQGAIPLGYYPNAIPMCHVVDFETSP >ORUFI06G06700.1 pep chromosome:OR_W1943:6:4652388:4653190:-1 gene:ORUFI06G06700 transcript:ORUFI06G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKGVTITKIILWLWKSAMAIAVGAAAAAASAFFALRKNKVTTVAPMMVAVATQTATVAGGFLKAKARRRCAGAARLAEETREKNGAEGGRGDRGDDEDDDEDVDEGAPPGEPEQEPQRVRSAQSPGAHGDNDGIPKNCGHGGWGSTAENTLTFRAYSG >ORUFI06G06710.1 pep chromosome:OR_W1943:6:4665873:4666718:1 gene:ORUFI06G06710 transcript:ORUFI06G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVIRNIIVVAMGAGALGGPDALRLLLAFAGKSPLVDILIAVFVIAAVTAPALGTMLLARFFRKPRAVPGAARAGRGAAGAAVADDPFAQMTLVVSLAVAFLVSASLLVLPLFQSGHLVPLAFAGVALVVGACASRVRGVLLPNVHGGAGAAATPAATATERGIKPTLSVSLAAICLVLVAPWRLAVGILDAPSQRLLAPLATVPVVGVAAATVVGTTILVLRFRKAKHAAATPDAATTPATERSHKMVMVTALAVAFFLPVPLVVVVDACPRRVFGCAC >ORUFI06G06720.1 pep chromosome:OR_W1943:6:4682244:4682816:1 gene:ORUFI06G06720 transcript:ORUFI06G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTAAACFGLLVAMWAIGLVSYLDQFLGALMSSARALPPLDVAVEVVMAVAAIGSLNTAMASIYFRVYNGRAAAANRRMLDGACFAVCASASVLLHLIFFLQPGAMDGADQDLLPLAAAVVHALLPASAAVTFFASIILIYAYLRSGGAGAGTGTSVKLLTKVTNSAALVTIVLSLVVAAIVVFYSE >ORUFI06G06730.1 pep chromosome:OR_W1943:6:4686594:4687187:1 gene:ORUFI06G06730 transcript:ORUFI06G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPSAAASLGLAAAVAAAAAAVDRFGENTLVGAPPSRDAAVQLMLLRLLVAAAAMGAFNTAVALIYARLYNAGGGGVNGRITRGVYLVLFASSGVLHVFLVPQLEPGVIVDGAQELLPPLAVAVAHVLLPTAAAATFFLSMMLIYAHVGAGGGAVGDMMAIPTTTVKLLTKLVLAAALVTVVLTLTATALASYAD >ORUFI06G06740.1 pep chromosome:OR_W1943:6:4690779:4691980:1 gene:ORUFI06G06740 transcript:ORUFI06G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPWRPCAPSLQAPPQPVGGRRSFSAPPLAAAGSPSASLPCAPCSPAAPARSGGRRRRLKMTFSRATDKLSPTPPISFRNLIL >ORUFI06G06750.1 pep chromosome:OR_W1943:6:4702885:4703499:1 gene:ORUFI06G06750 transcript:ORUFI06G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSVTAFFGLLVSMYATGFFPYVDLQSGGESCFVRAPPLDAAVHTMLLAAAAAMVAFHTSVALIYARIGGGGGGAVDRMIRPQVVYLILFLSSGVLHVFLAPQPGAIDGGQDLLPLAVAVVHVLRPAAAATTFFLSMTLIYTHVRAVGRGEGGAGAAATAAGNVPIATTTVELLAKLVLAAALVTVVLTLTSTVLAASYAD >ORUFI06G06760.1 pep chromosome:OR_W1943:6:4707672:4707851:1 gene:ORUFI06G06760 transcript:ORUFI06G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQEVQQGVEALFVLEQLGRQVTVKELHPSPMDLDMNRHGKEALKGSKSSSRTTVGAV >ORUFI06G06770.1 pep chromosome:OR_W1943:6:4709627:4711185:-1 gene:ORUFI06G06770 transcript:ORUFI06G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTCCTKLRFDGVMVSRDNINAILQQHSGKIVEEFEVKFEFDSKLVDHLNGLVDFVVSSQTKKMAFDLVPTNPVGLLDRYRFPFERFDNGSISRLQHIQLSFASLELPPHFSGFLNLRNLDLHLIRSTQKDLQDLLSQCFNIEWLSLVRCHIGGEIKVTHPLPRLLYLRVTYCDITKLQLNAINLKAFMYDGMRHPIDLGHALVLKEASLHFFGSVHLEDALTTLPSMLPCVQSLSLDAYVPLTTLSQVSSLLKNTCKFSHLKYLQLKLRLYYHDSGNILSLASFLRASPCIEKLEIHPIRRLPQGEYGYLKNMHITGFVASTGELESLLHVVESASILEVLTIEAAGMLGKDIDYEGRLKVEELTRRYLDGIMLRNTKLYMA >ORUFI06G06780.1 pep chromosome:OR_W1943:6:4717263:4719566:-1 gene:ORUFI06G06780 transcript:ORUFI06G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLFACFGRGGEEAEEEAGKRPALRRRRTVNLRSLSLEDLSRTLAKTNLHAFTLDELKAATKNFSTSNFLGEGGFGPVYKGFVDGELRPGALESQHVAVKYLDSDGVQGHREWLAEVVYLGMLSHPHLVKLVGFCNQDDHRMLVYEYMPRGSLENHLFKNLLASLPWSTRLKIAVGAAKGLAFLHEAETPVIYRDFKASNILLDKDYTAKLSDFGLAKEGPQGDATHVTTRVMGTHGYAAPEYILTGHLTARSDVYSFGVVLLELLTGRRSVDKRRRGREQNLVDWARPYLRRADRLHRIMDPSLELQYSARAAHAAAKVAHQCLQSVPKSRPCMRDVVDALEPLLAVDDDVPMGPFVFTVGGEEAAAAAAGSSAAGDAGDDEPARGSRRGKKHVTSAVHAESPLRDGRYASRVKRPESPPSVI >ORUFI06G06790.1 pep chromosome:OR_W1943:6:4729289:4738463:-1 gene:ORUFI06G06790 transcript:ORUFI06G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKAKRSVAIVGAGASGLAACKHLLARGFRPVVFESGEAAGGADAGDDEAANAGAGVQPAAAAAEEFPRHDEVAAYLDAYARRFGVLERVRFGSKVVSAEYAGVPEEEAAAWERWSGNGEAFGDGRGEWLLTVQHRESENLQTYKFDFVILCIGWYGVASVPTFPPKGVPEAFHGQVLHSMDYSSMDHTAAAELIRDKRIAVVGSGKSAFDTVAQCADVNGSKYPCTMVYRSPQWMVDAGLVWGVNLQNLTTSRLAEVMVHKPGEGLLLSLLATMLTPLRWLLSKLTETYYKRHTPMQRHGMVPGYSFSQSILACRLGILPKRFYDRVDDGSIVLRRCDPSFSFCAGGLVLDVATGDHIVDADVVILATGFQADRQLRDIFVSPWFSKIVAESSDATVPLYRRCVHPRIPQMVIIGYAESAANIYPYEMMAKWVAHLLDGAFQLPSIARMEKSMVEWVCWAHDMRRCSGNYFRKSCIGTITTWYNDQLCRNMGYNPRRKKGIAKKHALAKGFRPVVFEAAGDGVGGVWRRTLASTRLQTPAFAYRFSDFPWPADVFPRHDQVVEYLAAYARRHGVTECVRFGCKVLAAEYAGVPDEEAAAWERWSGNGEAFGDGSGEWLLTVQHPGSEATQIHRVDFLILCTGRFSGVAHTPTFPPNRGPEVFHGQVLHSMDYSNMGHAAADELIRGKRVAVVGSGKSAFDTVAECAAANGGRYPCAMICRSGRWMVNGGFVWGVSLGHLFCNRLAELTVHKPGEGLALALLAILLTPLRWLLSKLAETYFKMQIPMEKHGMVPEESFAGSMSGCRLGVLPDKFYDRVEEGSILIKRARSFSFCTDGLVLDDDDTGERVDADVVVLATGFRGDQKLTDMFVSATFKQQIVAAPLYRQCVHPRIPQMAVIGYTENLTSIYTFEMMAKWVAHLLAGAFRLPSVVRMEASAAEWDEHLVMRRHGEGGGGKPCLGGVSTWYNDEMCRDMGYEPRRKKGILAATLVSSEV >ORUFI06G06800.1 pep chromosome:OR_W1943:6:4742044:4752496:1 gene:ORUFI06G06800 transcript:ORUFI06G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLNHGGILVAMSTAMDDPDVLTEKKDINENMLGGKKVKIIFVLGGPGSGKGTQCSNIVEHFGFIHLSAGELLRAEINSGSENGTMIDTIITEGKIVPSEITIKLLQEAIIKGGNDKYIIDGFPRNEENRVVFESVISISPEFVLFFDCSEEEMERRLLGRNQGRSDDNIETIRKRLKVFVESSLPVIEYYESKGMVKKIDATKPAPEVFEDEEQNRERRGEERGGGGARVLRLASLASREERRRAIRRRAVAFDPIPLPHLLPRADRLMLSNLDHDLGYLHKFPSDFPMSHDLGLSLFTHAGTMVGSSLRQHRQICSSGNLIVQEAFDRLNKFARAFCYWLSRVSNPKNLRRLMSMEGPSSGACQSHINHLSSRMQNLAVLQFGYLVREEHAVQLLLANFASTTLGRLWNDFQQQHACNVLTLAGAMAIVPPLENISLKTLAESMALGNIKDYVSRPMDKPYLEDKCIKSRSVAVPSTIFQGDAIEPKTGIKFPAFLEDDSSPSTTVLVGMGFKDLQPNTISEKLGPKYASVPTINLKDNPDFYDDLLRENLPMRVRLVLHYNGLSIGAVRDVFEKSLGLRLQKMNPNTDYHCLKTFGSYFNEDIPIPAGTKIDFCQTSDGQLITEIDGRQIGAVKSKDLCRALFGMYIGDSPVSLQAKKDIAQNVAGLIGKC >ORUFI06G06800.2 pep chromosome:OR_W1943:6:4748317:4752496:1 gene:ORUFI06G06800 transcript:ORUFI06G06800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPADRLMLSNLDHDLGYLHKFPSDFPMSHDLGLSLFTHAGTMVGSSLRQHRQICSSGNLIVQEAFDRLNKFARAFCYWLSRVSNPKNLRRLMSMEGPSSGACQSHINHLSSRMQNLAVLQFGYLVREEHAVQLLLANFASTTLGRLWNDFQQQHACNVLTLAGAMAIVPPLENISLKTLAESMALGNIKDYVSRPMDKPYLEDKCIKSRSVAVPSTIFQGDAIEPKTGIKFPAFLEDDSSPSTTVLVGMGFKDLQPNTISEKLGPKYASVPTINLKDNPDFYDDLLRENLPMRVRLVLHYNGLSIGAVRDVFEKSLGLRLQKMNPNTDYHCLKTFGSYFNEDIPIPAGTKIDFCQTSDGQLITEIDGRQIGAVKSKDLCRALFGMYIGDSPVSLQAKKDIAQNVAGLIGKC >ORUFI06G06800.3 pep chromosome:OR_W1943:6:4742044:4745899:1 gene:ORUFI06G06800 transcript:ORUFI06G06800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLNHGGILVAMSTAMDDPDVLTEKKDINENMLGGKKVKIIFVLGGPGSGKGTQCSNIVEHFGFIHLSAGELLRAEINSGSENGTMIDTIITEGKIVPSEITIKLLQEAIIKGGNDKYIIDGFPRNEENRVVFESVTLVTYFQLLQISISPEFVLFFDCSEEEMERRLLGRNQGRSDDNIETIRKRLKVFVESSLPVIEYYESKGMVKKIDATKPAPEVFEDVKAIFTHMA >ORUFI06G06800.4 pep chromosome:OR_W1943:6:4742044:4745899:1 gene:ORUFI06G06800 transcript:ORUFI06G06800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLNHGGILVAMSTAMDDPDVLTEKKDINENMLGGKKVKIIFVLGGPGSGKGTQCSNIVEHFGFIHLSAGELLRAEINSGSENGTMIDTIITEGKIVPSEITIKLLQEAIIKGGNDKYIIDGFPRNEENRVVFESVISISPEFVLFFDCSEEEMERRLLGRNQGRSDDNIETIRKRLKVFVESSLPVIEYYESKGMVKKIDATKPAPEVFEDVKAIFTHMA >ORUFI06G06810.1 pep chromosome:OR_W1943:6:4758915:4761511:1 gene:ORUFI06G06810 transcript:ORUFI06G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITMESGRGHGGGGGDLFGLGGALRPAAASAAASSAGWGSDSRVGMVHCEDAAAAGEEEESDGEVESSYRGPLDTMDALQQALPRSRRRRGTKFDNSKSSFLVSAKDDVLSSQHTKPEVPSPKKRKGLLPSSVDKNKSQSKELSPVDDATSSPTNSTSSPTNCRKALYPAVVDSSPGKNRGYDERECCKNRPCHCLQTKSINVMDAFASPPIALLPELTSVQTKLVAISLNEVAELTDVISPSEKRRKN >ORUFI06G06820.1 pep chromosome:OR_W1943:6:4765735:4772604:-1 gene:ORUFI06G06820 transcript:ORUFI06G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPAAASYRALVALLLVAVAVADDGSTLLEIKKSFRNVDNVLYDWAGGDYCSWRGVLCDNVTFAVAVLNLSGLNLGGEISPAVGRLKGIVSIDLKSNGLSGQIPDEIGDCSSLKTLLDGDIPFSVSKLKHIESLILKNNQLIGVIPSTLSQLPNLKILDLAQNKLSGEIPRLIYWNEVLQYLGLRGNNLEGSISPDICQLTGLWYFDVKNNSLTGPIPETIGNCTSFQVLSLQGNMFTGPIPSVIGLMQALAVLDLSYNQLSGPIPSILGNLTYTEKLYMQGNKLTGPIPPELGNMSTLHYLELNDNQLSGFIPPEFGKLTGLFDLNLANNNFEGPIPDNISSCVNLNSLNLSSNFLSGSIPIELSRINNLDTFNLSNNGLVGFIPAEIGNLRSIMEIDMSNNHLGGLIPQELGMLQNLMLLNLKNNNITGDVSSLMNCFSLNILNVSYNNLAGVVPTDNNFSRFLGNPGLCGYWLGSSCRSSGHQQKPLISKAAILGIAVGGLVILLMILVAVCRPHSPPVFKDVSVSKPVSNVPPKLVILHMNLSLLVYEDIMTMTENLSEKYIIGYGASSTVYKCVSKNRKPVAVKKLYAHYPQSFKEFETELETVGSIKHRNLVSLQGYSLSPVGNLLFYDYMENGSLWDVLHEGPTKKKKLDWETRLRIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDKDYEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKTANNAVMETVDLDIADTCKDLGEVKKVFQLALLCTKRQPSDRPTMHEVVRVLDCLVRPDPPPKSAQQLAMPQRPAVPSYINEYVSLRGTSVLSCANSSCTSDAELFLKFGEVISQNTE >ORUFI06G06820.2 pep chromosome:OR_W1943:6:4765735:4772604:-1 gene:ORUFI06G06820 transcript:ORUFI06G06820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPAAASYRALVALLLVAVAVADDGSTLLEIKKSFRNVDNVLYDWAGGDYCSWRGVLCDNVTFAVAVLNLSGLNLGGEISPAVGRLKGIVSIDLKSNGLSGQIPDEIGDCSSLKTLLDGDIPFSVSKLKHIESLILKNNQLIGVIPSTLSQLPNLKILDLAQNKLSGEIPRLIYWNEVLQYLGLRGNNLEGSISPDICQLTGLWYFDVKNNSLTGPIPETIGNCTSFQVLSLQGNMFTGPIPSVIGLMQALAVLDLSYNQLSGPIPSILGNLTYTEKLYMQGNKLTGPIPPELGNMSTLHYLELNDNQLSGFIPPEFGKLTGLFDLNLANNNFEGPIPDNISSCVNLNSLNLSSNFLSGSIPIELSRINNLDTFNLSNNGLVGFIPAEIGNLRSIMEIDMSNNHLGGLIPQELGMLQNLMLLNLKNNNITGDVSSLMNCFSLNILNVSYNNLAGVVPTDNNFSRTLWILAWFFVPFIWPSTETQSQLFVTYILFAALISKAAILGIAVGGLVILLMILVAVCRPHSPPVFKDVSVSKPVSNVPPKLVILHMNLSLLVYEDIMTMTENLSEKYIIGYGASSTVYKCVSKNRKPVAVKKLYAHYPQSFKEFETELETVGSIKHRNLVSLQGYSLSPVGNLLFYDYMENGSLWDVLHEGPTKKKKLDWETRLRIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDKDYEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKTANNAVMETVDLDIADTCKDLGEVKKVFQLALLCTKRQPSDRPTMHEVVRVLDCLVRPDPPPKSAQQLAMPQRPAVPSYINEYVSLRGTSVLSCANSSCTSDAELFLKFGEVISQNTE >ORUFI06G06830.1 pep chromosome:OR_W1943:6:4772652:4781604:-1 gene:ORUFI06G06830 transcript:ORUFI06G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHVEEKWTERVLVMGNPGLLGAVAEKKKKTASPCHSIAQHKPYSYPLSLRQRPQLRKEERENPRNQPRAASHKGALLQSIHLLIFHILRFL >ORUFI06G06840.1 pep chromosome:OR_W1943:6:4786064:4787460:-1 gene:ORUFI06G06840 transcript:ORUFI06G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPHVILFLSLTLCLTLPLLLFVSLFLSLFSLSVSDSVDSYEHIRAEEIRALVRNLFGCASHAVAVRECLVNATLRNILHMSVGEKWSGVYGSADGEVFRRTESSAVTMEWAMAELLRCPDAIATATDELDRVVGRARWVAESDLPDLPYVDAVVKGALRLHPVGPLLVPHHAMEDTVAACNDVPVGTHVLVNVCAIARNPTSWPDRLDVFLPERFLPGGGAGFIGTRRMATLRYHCERNNNRCERQKAAFSEDIK >ORUFI06G06850.1 pep chromosome:OR_W1943:6:4798117:4806984:-1 gene:ORUFI06G06850 transcript:ORUFI06G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGAHGVETLKRYRYSGQDHSVVAKYVLQPFWSRCVTLFPLWMPKEPFSPCLVNPQVGGIGRDLFGDDYTSDGRSFTNLRTVFHNITLTGFMFLVVSALLGYVRILISQIYSPHLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTSSSSPLGELFDHGCDALACAFEALALGSTLMCGRFTFCFWVIAAVPFYLATWEHFFTNTLILPLINGPTEGLMLIYLSHSFTFLTGAEWWAQDFRKSIPLLSWVPLPFIPDIPLYIIVMILMILFAVIPTIGSNVSNVQKVVEARKGSMVLALAMLLPFIALLTGVAVWSYLSPSDIMRNQPHLLVIGTGFAFGYLVGRMILAHLCDEPKGLKTGMCMVNHLRGSIKRYIIITIARIPLVDELLVLFLYCAYTVGLYLHLAVSVVHEIKDALGIYCFRITRKEA >ORUFI06G06860.1 pep chromosome:OR_W1943:6:4808750:4818921:1 gene:ORUFI06G06860 transcript:ORUFI06G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQSKKAAIEVLESHIKCTEEIVKAKIGKERKNYEKEFSFARGLGGHIPYEAMFVWYCYYLEFGGLHMKRERAFNQSAQPSSAAWSVSHDPHPRWTCKCNPSRVSFSVSNKNPCTLHQARLQNLIQYFQCPMTQIGQRKKNRNRQTCTKGMDAAGRRIVRALIRANDAMEPVTDTIASAAALVGLVYGAMEVVRDIRVVVGGMLRVARGSGDGGQAEAAPAVDLAGACGLGTSTSSTGMENELTCRRTTSDHCHQKLNHGKGRNVQFDDLPEDVICLIFSKLQLKDLVSTSVLSSKWKHMWTICPTLRFDSSTLCGSNMCSAEQFTQKFIDNVNAVLQQHRGKLVEALEIKIDFNSRLANHLNNWFSGFPNLKKLDLLLLRTTREDLEDMIANCPNLEWLSLNRCHIYDELKFDRPFTRLIYLQVIHCQITKIEIDAVNLKAFVYKGFKLRVDLSEAKGLETVDIEFFGIALDYLLTAIPSALPSVQNLTIEARILQELKCLRMLLHHRFSDNRNTLGLASFLKAAPLIEQLELDFNDDGYISDVETEALRSLPKCSYDHLKNVSIIGYVGCIGHVELLVHIVENAPALEALTIDRTQRRGRPLHEYSARLAGIAARGYLDGKILPTTKLDLYERQAGCYAISTVRFHISGPSPTAFLAMLARLRAASDAARELDVCVRHGDDDDDYVVLHGCDRRRQHYGHGRSCLHGCSGVRAGGGLVRIVSGARHVRSAVGRYLAAADDDEEHDELSVGDKVLIAADVVVGAYDVVVGGIDVVVGVCGIDVVARGALARLRRMCPGVGIPDMQNMIFSKLPLKETVRTSVLSSKWRHLWKISPKLRFDGSTMRGEYMLEKLVGNVNATLKQQRGRMAEALEVKLEFQSRLVAFTGEKSILVDHLNNWVGSAASSCTTSLALDLAPKEFRDRHDRYMFPFELLDGKAASCLQQIQLSFVSLKPPTQFSGFPKLKKLSLHLVQVIAKDLQALLSSCSNLEWLSIVRCNLNDDELKVDCALSRLLYLRIANCEISKIEMYAPKLKTFIYEGAQLPVDPIQAQELEVADIVFKGDITFQYALTVLPVVFPSVQNLTVHANFGLQFPWLLSTKSKFIQLKYLKLLLPQCSGDMDNIVYLASFLKAAPLLEVLEIPFNVPGYEDAGIPVLRSLPKCPYKNLKSIYITGFRGLKGQAEFLVHAVENAPALEVLTIDTATKIGVRSAQHIESAGGYVARSCLASIVSPKTKFQIVDTAR >ORUFI06G06860.2 pep chromosome:OR_W1943:6:4808750:4818921:1 gene:ORUFI06G06860 transcript:ORUFI06G06860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGRRIVRALIRANDAMEPVTDTIASAAALVGLVYGAMEVVRDIRVVVGGMLRVARGSGDGGQAEAAPAVDLAGACGLGTSTSSTGMENELTCRRTTSDHCHQKLNHGKGRNVQFDDLPEDVICLIFSKLQLKDLVSTSVLSSKWKHMWTICPTLRFDSSTLCGSNMCSAEQFTQKFIDNVNAVLQQHRGKLVEALEIKIDFNSRLANHLNNWFSGFPNLKKLDLLLLRTTREDLEDMIANCPNLEWLSLNRCHIYDELKFDRPFTRLIYLQVIHCQITKIEIDAVNLKAFVYKGFKLRVDLSEAKGLETVDIEFFGIALDYLLTAIPSALPSVQNLTIEARILQELKCLRMLLHHRFSDNRNTLGLASFLKAAPLIEQLELDFNDDGYISDVETEALRSLPKCSYDHLKNVSIIGYVGCIGHVELLVHIVENAPALEALTIDRTQRRGRPLHEYSARLAGIAARGYLDGKILPTTKLDLYERQAGCYAISTVRFHISGPSPTAFLAMLARLRAASDAARELDVCVRHGDDDDDYVVLHGCDRRRQHYGHGRSCLHGCSGVRAGGGLVRIVSGARHVRSAVGRYLAAADDDEEHDELSVGDKVLIAADVVVGAYDVVVGGIDVVVGVCGIDVVARGALARLRRMCPGVGIPDMQNMIFSKLPLKETVRTSVLSSKWRHLWKISPKLRFDGSTMRGEYMLEKLVGNVNATLKQQRGRMAEALEVKLEFQSRLVAFTGEKSILVDHLNNWVGSAASSCTTSLALDLAPKEFRDRHDRYMFPFELLDGKAASCLQQIQLSFVSLKPPTQFSGFPKLKKLSLHLVQVIAKDLQALLSSCSNLEWLSIVRCNLNDDELKVDCALSRLLYLRIANCEISKIEMYAPKLKTFIYEGAQLPVDPIQAQELEVADIVFKGDITFQYALTVLPVVFPSVQNLTVHANFGLQFPWLLSTKSKFIQLKYLKLLLPQCSGDMDNIVYLASFLKAAPLLEVLEIPFNVPGYEDAGIPVLRSLPKCPYKNLKSIYITGFRGLKGQAEFLVHAVENAPALEVLTIDTATKIGVRSAQHIESAGGYVARSCLASIVSPKTKFQIVDTAR >ORUFI06G06860.3 pep chromosome:OR_W1943:6:4808750:4818921:1 gene:ORUFI06G06860 transcript:ORUFI06G06860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGRRIVRALIRANDAMEPVTDTIASAAALVGLVYGAMEVVRDIRVVVGGMLRVARGSGDGGQAEAAPAVDLAGACGLGTSTSSTGMENELTCRRTTSDHCHQKLNHGKGRNVQFDDLPEDVICLIFSKLQLKDLVSTSVLSSKWKHMWTICPTLRFDSSTLCGSNMCSAEQFTQKFIDNVNAVLQQHRGKLVEALEIKIDFNSRLANHLNNWFSGFPNLKKLDLLLLRTTREDLEDMIANCPNLEWLSLNRCHIYDELKFDRPFTRLIYLQVIHCQITKIEIDAVNLKAFVYKGFKLRVDLSEAKGLETVDIEFFGIALDYLLTAIPSALPSVQNLTIEARILQELKCLRMLLHHRFSDNRNTLGLASFLKAAPLIEQLELDFNDDGYISDVETEALRSLPKCSYDHLKNVSIIGYVGCIGHVELLVHIVENAPALEALTIDRTQRRGRPLHEYSARLAGIAARGYLDGKILPTTKLDLYERQAGCYAISTVRFHISGPSPTAFLAMLARLRAASDAARELDVCVRHGDDDDDYVVLHGCDRRRQHYGHGRSCLHGCSGVRAGGGLVRIVSGARHVRSAVGRYLAAADDDEEHDELSVGDKVLIAADVVVGAYDVVVGGIDVVVGVCGIDVVARGALARLRRMCPGVGIPDMQNMIFSKLPLKETVRTSVLSSKWRHLWKISPKLRFDGSTMRGEYMLEKLVGNVNATLKQQRGRMAEALEVKLEFQSRLVAFTGEKSILVDHLNNWVGSAASSCTTSLALDLAPKEFRDRHDRYMFPFELLDGKAASCLQQIQLSFVSLKPPTQFSGFPKLKKLSLHLVQVIAKDLQALLSSCSNLEWLSIVRCNLNDDELKVDCALSRLLYLRIANCEISKIEMYAPKLKTFIYEGAQLPVDPIQAQELEVADIVFKGDITFQYALTVLPVVFPSVQNLTVHANFGLQFPWLLSTKSKFIQLKYLKLLLPQCSGDMDNIVYLASFLKAAPLLEVLEIPFNVPGYEDAGIPVLRSLPKCPYKNLKSIYITGFRGLKGQAEFLVHAVENAPALEVLTIDTATKIGVRSAQHIESAGGYVARSCLASIVSPKTKFQIVDTAR >ORUFI06G06860.4 pep chromosome:OR_W1943:6:4808750:4818921:1 gene:ORUFI06G06860 transcript:ORUFI06G06860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRERAFNQSAQPSSAAWSVSHDPHPRWTCKCNPSRVSFSVSNKNPCTLHQARLQNLIQYFQCPMTQIGQRKKNRNRQTCTKGMDAAGRRIVRALIRANDAMEPVTDTIASAAALVGLVYGAMEVVRDIRVVVGGMLRVARGSGDGGQAEAAPAVDLAGACGLGTSTSSTGMENELTCRRTTSDHCHQKLNHGKGRNVQFDDLPEDVICLIFSKLQLKDLVSTSVLSSKWKHMWTICPTLRFDSSTLCGSNMCSAEQFTQKFIDNVNAVLQQHRGKLVEALEIKIDFNSRLANHLNNWFSGFPNLKKLDLLLLRTTREDLEDMIANCPNLEWLSLNRCHIYDELKFDRPFTRLIYLQVIHCQITKIEIDAVNLKAFVYKGFKLRVDLSEAKGLETVDIEFFGIALDYLLTAIPSALPSVQNLTIEARILQELKCLRMLLHHRFSDNRNTLGLASFLKAAPLIEQLELDFNDDGYISDVETEALRSLPKCSYDHLKNVSIIGYVGCIGHVELLVHIVENAPALEALTIDRTQRRGRPLHEYSARLAGIAARGYLDGKILPTTKLDLYERQAGCYAISTVRFHISGPSPTAFLAMLARLRAASDAARELDVCVRHGDDDDDYVVLHGCDRRRQHYGHGRSCLHGCSGVRAGGGLVRIVSGARHVRSAVGRYLAAADDDEEHDELSVGDKVLIAADVVVGAYDVVVGGIDVVVGVCGIDVVARGALARLRRMCPGVGIPDMQNMIFSKLPLKETVRTSVLSSKWRHLWKISPKLRFDGSTMRGEYMLEKLVGNVNATLKQQRGRMAEALEVKLEFQSRLVAFTGEKSILVDHLNNWVGSAASSCTTSLALDLAPKEFRDRHDRYMFPFELLDGKAASCLQQIQLSFVSLKPPTQFSGFPKLKKLSLHLVQVIAKDLQALLSSCSNLEWLSIVRCNLNDDELKVDCALSRLLYLRIANCEISKIEMYAPKLKTFIYEGAQLPVDPIQAQELEVADIVFKGDITFQYALTVLPVVFPSVQNLTVHANFGLQFPWLLSTKSKFIQLKYLKLLLPQCSGDMDNIVYLASFLKAAPLLEVLEIPFNVPGYEDAGIPVLRSLPKCPYKNLKSIYITGFRGLKGQAEFLVHAVENAPALEVLTIDTATKIGVRSAQHIESAGGYVARSCLASIVSPKTKFQIVDTAR >ORUFI06G06870.1 pep chromosome:OR_W1943:6:4821378:4822703:1 gene:ORUFI06G06870 transcript:ORUFI06G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGGGSGRCLFTATQWQELEHQALIYKYMAAGAPVPPDLLLHLRHRAAADVDTVPSLAFPPHHLGWGCYGAAAAQYGRRVEDPEPGRCRRTDGKKWRCSREAYGESKYCEKHMHRGKNRSRKPVEMPPPAAAAVYRPSALSISPPPHDADAPSYGAGAGAPLQLHLDSFHASTSPPPSYHRYAHTSSAPLFPSSAAGYGGGWSLSKEHCLTLGGAAADLSLDKPADHHHDATSATTEKPLRRFFDEWPRSDDGRTPWDGTQLSISIPTAAAASPDLAIAGAASRYHSNGDHLRTSE >ORUFI06G06880.1 pep chromosome:OR_W1943:6:4828063:4828563:1 gene:ORUFI06G06880 transcript:ORUFI06G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRKIGGGRSGNDATSLVSEKTRVRFGAAAQNGSRREEERDVWGYLLASRGSVGSFGVVAATAALEKGEKGGWIWRKRKRRRWEEVGTNRGCRRAPLLPCRRVSQLQLPPPGAGRRRVAGHRRGAAALLSPASPAGLPPLASPRKRDEEKEEGD >ORUFI06G06890.1 pep chromosome:OR_W1943:6:4830477:4832834:1 gene:ORUFI06G06890 transcript:ORUFI06G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVPIASAQVSWALSSSTFQPGPFISSNCPSRLVFLVVLQVCFHLSSISEFLPPAARRSGERGVSAGGLPQSGVLPQSGWTSSFESESRKNSPSRTPPPHRIGQKNPSESVPSPRANLTGAQLVADFSLFPPPPAMAAVAAEQKKVVVHFRSTGNAPQLKQSKFKIGGNEKFLKIIDFLRRQIHQDTVFLYVNSAFSPNPDELIIDLYNNFGIDGQLVVNYASSMAWG >ORUFI06G06890.2 pep chromosome:OR_W1943:6:4830509:4832834:1 gene:ORUFI06G06890 transcript:ORUFI06G06890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAEQKKVVVHFRSTGNAPQLKQSKFKIGGNEKFLKIIDFLRRQIHQDTVFLYVNSAFSPNPDELIIDLYNNFGIDGQLVVNYASSMAWG >ORUFI06G06900.1 pep chromosome:OR_W1943:6:4837343:4839026:1 gene:ORUFI06G06900 transcript:ORUFI06G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRACCAKEGMKRGAWTSKEDDVLASYIKSHGEGKWREVPQRAGLRRCGKSCRLRWLNYLRPNIKRGNIDDDEEELIVRLHTLLGNRWSLIAGRLPGRTDNEIKNYWNSTLSRKIGTAATAAAGSRGGSTPDTARATDAASSSSVVPPGQQQQPASRADTDTATAAAAAAATTTTVWAPKAVRCTRGFFFHDRETAPLAAAAPAPAGELGDGDDVDCDYYCSGSTDVVELIPGGRRAVLLRRRRLDGRRESLGDIS >ORUFI06G06910.1 pep chromosome:OR_W1943:6:4859451:4859825:-1 gene:ORUFI06G06910 transcript:ORUFI06G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMPPMLLLGQPGVEGRWMEEGVTASASQNLNRRRDVLAPVTMSFLDDKDDKAFLLALAPTKEAAVASSDSKSRRLYMTSSPTSTSPPLAAVLQGSYLIHVERRYLASLGPASAPSSERVGET >ORUFI06G06920.1 pep chromosome:OR_W1943:6:4862592:4865688:-1 gene:ORUFI06G06920 transcript:ORUFI06G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPNHAKPAGPANADLVLLIPPDHPQPQLHPNHHQPQQPPMTPTTPLPEAAKPPQNPEKTAASSPHAPSSRPPLPPASAALLRRRSSLTKPKSRFVEPAAPPSSAAAAAASSTSSHASPAHPAAAAGGGSGAASTPHTPAEADDEEEVFPKEVRRKSSARCRRRMKLSVELLVLVLFLALLVVSLVVRPLKGAGFWGLEIWKWCVMVICVFSGHLVSHWVVTLVVFLVERNFLLRNKVLYFVFGLKKSVQVCLWIGLVLIAWSQLFDRDVGRSAKTARILNYVSRFLASVLIGSVIWLVKTFLMKVVASTFHRKAFFDRILENVFDQYVLQTLSGPPVMELAENVGREGSGLGRVSFTKPKEEKGSPGVIDVMKLRKMSQEKVSAWTMKGLMAAIGSSRLSTISNTIESFDDVDGMEQKDKEINNEWEAKAAASAIFKNVARPGYKHIEEVDLLRFFNKEEVDLVLQRFEGAFETRKIKKSALKNWVVKAYLDRKSLAHSLNDTKTAVMQLHNLIRVLVIIIIIIITLLLMGIATTKILLVISSQLLVVVFIFGNACKTVFEALIFVFIMHPFDVGDRCVIDGIQMVVEEMNILTTIFLKNDNEKVYYPNSVLSTKAISNFYRSPNMYDTINFTIDVSTSIERIGALKSRIKGYIDSKPTHWCPIHTVNLKDILDVNKINMSLCVQHTMNFQNIRERNLRRSELVMELKKLFEEMSITYHLLPQKVELSFVGPNPIPIALPQSR >ORUFI06G06930.1 pep chromosome:OR_W1943:6:4873788:4876076:1 gene:ORUFI06G06930 transcript:ORUFI06G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRKVAGGAPSDGGVLFQEIWNCPYSMETLPSHGEDIDGGASPSVSMLSEVAARRRITIVGGSIPERSSGRLFNTCCVIGPDGQIKAKHRKLHLFEIDIPGDITFRESDTFTAGQEPTIVDTDVGRIGIGICHDIRFPELAMLYRSRGAHLICYPSAFNMSTGQLLWDLMQKSRAVDNQLFVVTCSPARDPNAESDYMIWGHSSLIGPFGEVIATAGHEEATVVGEIDHSMIQTIRDNLPLEMQRREDLYSTHWLMSGENLQATRHAPLDQMHNCNEVKMALQPWK >ORUFI06G06940.1 pep chromosome:OR_W1943:6:4876403:4884064:1 gene:ORUFI06G06940 transcript:ORUFI06G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRAGTEKGAAAGRGQTAIQSTIQSIKEVVGGHSDADIYAALRECNMDPNETTQKLLNQDPFHEVKRKRDKKRESAGQKTVPDASAQAEHNSQWTKPRTQRVENDQRRAYSHGQASGPNREFRVVRDSRHGVVENRPELGHKGSPNVKVSDRSVPVVQTGRNHPPATTSEGQITQGAKHSYNSDVHQVKRQAQGTAQKYVKPHLKNSQDEQHPPTSDPAHTRPNLKAAEGAVGSVRRHVGVVNVQRQPSGRSSSHLHVQSGGSHVNNQRGNFVPGGPSGRHFMSKNMQSVHRTALDSVHRGRSGGRSFVASSSKYQQGPASNQKVTQPAKEWKPKSTKKSSNIDTDNNNGTDVITTSASNTENSNVLDENALCEKTSQACIHEVEHVIIPEHLRVPEYEQTGLRFGSFSPGSGADQVSSSESPSESEEQEHVQEPVQLVVEDDSLRAGHDEVDVEARSSQLNLSTLTAEISLPPSEDSVEMNGEEVENDDGLGLVQSDTPLAPVDGQNMQSASNLTAFSTYGHEDPNMHPSNEAQLYRLVEPNVHPQVMASPSQGYPSENPEADNAVQVFRIPESNAISSQIVSSSPVAISQQLQHMSQPQQAAQLYPPVHMQHYPNFMPYRHHIYSPVYVPPMAMPNFPTNIPYPSNGNNYLQMPGGGSHLAAGGMNSPGVGVIGGAVGVDDVNRIKYKDNNLYAPSPQVETSDIWIQTPREMPTLQCPPYFNLSGQATSGAFVPNPGNASFNATAQSSHAQFPGLYHAQQPSSIVSPHPMVHQQVQSAIGPNGGVGVATPAPQVGAYQQPQLGHWRPGF >ORUFI06G06940.2 pep chromosome:OR_W1943:6:4876403:4884064:1 gene:ORUFI06G06940 transcript:ORUFI06G06940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRAGTEKGAAAGRGQTAIQSTIQSIKEVVGGHSDADIYAALRECNMDPNETTQKLLNQDPFHEVKRKRDKKRESAGQKTVPDASAQAEHNSQWTKPRTQRVENDQRRAYSHGQASGPNREFRVVRDSRHGVVENRPELGHKGSPNVKVSDRSVPVVQTGRNHPPATTSEGQITQGAKHSYNSDVHQVKRQAQGTAQKYVKPHLKNSQDEQHPPTSDPAHTRPNLKAAEGAVGSVRRHVGVVNVQRQPSGRSSSHLHVQSGGSHVNNQRGNFVPGGPSGRHFMSKNMQSVHRTALDSVHRGRSGGRSFVASSSKYQQGPASNQKVTQPAKEWKPKSTKKSSNIDTDNNNGTDVITTSASNTENSNVLDENALCEKTSQACIHEVEHVIIPEHLRVPEYEQTGLRFGSFSPGSGADQVSSSESPSESEEQEQLGDPMPIAVQEPVQLVVEDDSLRAGHDEVDVEARSSQLNLSTLTAEISLPPSEDSVEMNGEEVENDDGLGLVQSDTPLAPVDGQNMQSASNLTAFSTYGHEDPNMHPSNEAQLYRLVEPNVHPQVMASPSQGYPSENPEADNAVQVFRIPESNAISSQIVSSSPVAISQQLQHMSQPQQAAQLYPPVHMQHYPNFMPYRHHIYSPVYVPPMAMPNFPTNIPYPSNGNNYLQMPGGGSHLAAGGMKYGVSQYKPVPAGSPSGYGNYTHPAGFTFSSPGVGVIGGAVGVDDVNRIKYKDNNLYAPSPQVETSDIWIQTPREMPTLQCPPYFNLSGQATSGAFVPNPGNASFNATAQSSHAQFPGLYHAQQPSSIVSPHPMVHQQVQSAIGPNGGVGVATPAPQVGAYQQPQLGHWRPGF >ORUFI06G06940.3 pep chromosome:OR_W1943:6:4876403:4884064:1 gene:ORUFI06G06940 transcript:ORUFI06G06940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRAGTEKGAAAGRGQTAIQSTIQSIKEVVGGHSDADIYAALRECNMDPNETTQKLLNQDPFHEVKRKRDKKRESAGQKTVPDASAQAEHNSQWTKPRTQRVENDQRRAYSHGQASGNTAESHGPNREFRVVRDSRHGVVENRPELGHKGSPNVKVSDRSVPVVQTGRNHPPATTSEGQITQGAKHSYNSDVHQVKRQAQGTAQKYVKPHLKNSQDEQHPPTSDPAHTRPNLKAAEGAVGSVRRHVGVVNVQRQPSGRSSSHLHVQSGGSHVNNQRGNFVPGGPSGRHFMSKNMQSVHRTALDSVHRGRSGGRSFVASSSKYQQGPASNQKVTQPAKEWKPKSTKKSSNIDTDNNNGTDVITTSASNTENSNVLDENALCEKTSQACIHEVEHVIIPEHLRVPEYEQTGLRFGSFSPGSGADQVSSSESPSESEEQEHVQEPVQLVVEDDSLRAGHDEVDVEARSSQLNLSTLTAEISLPPSEDSVEMNGEEVENDDGLGLVQSDTPLAPVDGQNMQSASNLTAFSTYGHEDPNMHPSNEAQLYRLVEPNVHPQVMASPSQGYPSENPEADNAVQVFRIPESNAISSQIVSSSPVAISQQLQHMSQPQQAAQLYPPVHMQHYPNFMPYRHHIYSPVYVPPMAMPNFPTNIPYPSNGNNYLQMPGGGSHLAAGGMKYGVSQYKPVPAGSPSGYGNYTHPAGFTFSSPGVGVIGGAVGVDDVNRIKYKDNNLYAPSPQVETSDIWIQTPREMPTLQCPPYFNLSGQATSGAFVPNPGNASFNATAQSSHAQFPGLYHAQQPSSIVSPHPMVHQQVQSAIGPNGGVGVATPAPQVGAYQQPQLGHWRPGF >ORUFI06G06950.1 pep chromosome:OR_W1943:6:4886314:4890760:1 gene:ORUFI06G06950 transcript:ORUFI06G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRSGAHLHRDPTMNPGLGSARCPRCLSLLTPNSSGEGDWAITSVLHDATAVVPPLLLFSGTSALFGAYALPTFAQLTVTSYYAASSASHYAVSQITRQIERSHLSDTNGNSR >ORUFI06G06960.1 pep chromosome:OR_W1943:6:4891872:4893549:1 gene:ORUFI06G06960 transcript:ORUFI06G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSQQASYVSEDQQHEEDDGDGDEVLIPGLPARFTYAELEEANREFFKPLTMAADRFLHFASLVSVVVLAARSRSPGGVAALPRRGQLVDGGDNDKNKCTATCRLSRGCPILLRTHRTTSTSQTSLATLTQPSRLASTSYHLLPLVPLATDLIELTPTSMPCPKPGYQIPLEGAGSSRFCPSSS >ORUFI06G06970.1 pep chromosome:OR_W1943:6:4903066:4903851:1 gene:ORUFI06G06970 transcript:ORUFI06G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHGMHGVAAAAPDATIRLFGRDVVSNDDAVVVVVDGQLPKEEAEEEAGGGAAAAAGETRRFECHYCRRNFPTSQALGGHQNAHKRERQHARRAHLEASLAAAHYLGQSAHLVYGGAALFGYGGHAAAVSPQYGPVWASSAVAPPGLYATSMGMARPAAYGAGVDVSALWRASSSSSSSPPMMGSGGGGAFGTVAGGGRHGEAAAAALVGCRAGKDENVVMSVVTSLPSLPSWQLPAPEKMGRSELGQEAGVVSLELRL >ORUFI06G06980.1 pep chromosome:OR_W1943:6:4908030:4921447:1 gene:ORUFI06G06980 transcript:ORUFI06G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHRRGAFPAGAKAAPEAEAAKESVAVAVRFRPLSPREVRRGEKIAWYADGETVARSEQSNLAYAYDRVFGPTTTTRHIYDAVAQYVVNGAMKGINGTIFAYGVTSSGKTHTMHGDQISPGVIPLAVKDIFNIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDLQGTIVEGIKEEAVLSPVHALSLIAAGEELRHVGSTNFNLLSSRSHTIFTLTIESSPRGQSNEAEAVTLSQLNLIDLAGSESSRVETAGVHQKEGSYINKSLLTLGKVISKLTDEKATHIPFRDSKLTRLLKSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKHIEIQATQNKIMDARSLIKKYQNEIRQLKEELEQLRRSIRTGTPIEDTMQKKHHLLETKDCNVKLQSRLEQGEEAKAALLERIEHLTELILVSAKASRTTKSSHCPRRRHSFGEEELAYLPYERQDIILDNESNMLFVPIEGFGEKFKSSPKEETENQKGHLNWLNLRKCDSGSTNLTSSDGENPSSTKSLPALSTPLGIGFFNVTSEQRMSDYMLAENVPANLLCVGHREFPSDSLPVQETPLVSRKTSDHVDILREQFNILSGEVALHQSVLKRLSEEAGKNAMNEQIEMEMKVVNDEVKLNKQKIASLERRISNSMSNSRGMHDNLELSLPYIEIPEQLNEKAFQLEASECQEFLLSERTTFQHNTGIVQETGSQAHKGKPLPSDVSDEFLKKASQAEIDELKQRVSELTEAKSQLDSCNHKLLEESTYAKGLASVTSVELKALSVKVTKLMKQNERLSSELASGRNQRRGSHGPRGARRESHTKRYEPARRGDMNALEAMLKEKDQRQAELHTKIEESKQKEAFLEKELANMWTVLANLKKTRGIDQEDFDSKYNGSWA >ORUFI06G06980.2 pep chromosome:OR_W1943:6:4908030:4921447:1 gene:ORUFI06G06980 transcript:ORUFI06G06980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHRRGAFPAGAKAAPEAEAAKESVAVAVRFRPLSPREVRRGEKIAWYADGETVARSEQSNLAYAYDRVFGPTTTTRHIYDAVAQYVVNGAMKGINGTIFAYGVTSSGKTHTMHGDQISPGVIPLAVKDIFNIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDLQGTIVEGIKEEAVLSPVHALSLIAAGEELRHVGSTNFNLLSSRSHTIFTLTIESSPRGQSNEAEAVTLSQLNLIDLAGSESSRVETAGVHQKEGSYINKSLLTLGKVISKLTDEKATHIPFRDSKLTRLLKSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKHIEIQATQNKIMDARSLIKKYQNEIRQLKEELEQLRRSIRTGTPIEDTMQKKHHLLETKGFGEKFKSSPKEETENQKGHLNWLNLRKCDSGSTNLTSSDGENPSSTKSLPALSTPLGIGFFNVTSEQRMSDYMLAENVPANLLCVGHREFPSDSLPVQETPLVSRKTSDHVDILREQFNILSGEVALHQSVLKRLSEEAGKNAMNEQIEMEMKVVNDEVKLNKQKIASLERRISNSMSNSRGMHDNLELSLPYIEIPEQLNEKAFQLEASECQEFLLSERTTFQHNTGIVQETGSQAHKGKPLPSDVSDEFLKKASQAEIDELKQRVSELTEAKSQLDSCNHKLLEESTYAKGLASVTSVELKALSVKVTKLMKQNERLSSELASGRNQRRGSHGPRGARRESHTKRYEPARRGDMNALEAMLKEKDQRQAELHTKIEESKQKEAFLEKELANMWTVLANLKKTRGIDQEDFDSKYNGSWA >ORUFI06G06980.3 pep chromosome:OR_W1943:6:4908030:4921447:1 gene:ORUFI06G06980 transcript:ORUFI06G06980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHRRGAFPAGAKAAPEAEAAKESVAVAVRFRPLSPREVRRGEKIAWYADGETVARSEQSNLAYAYDRVFGPTTTTRHIYDAVAQYVVNGAMKGINGTIFAYGVTSSGKTHTMHGDQISPGVIPLAVKDIFNIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDLQGTIVEGIKEEAVLSPVHALSLIAAGEELRHVGSTNFNLLSSRSHTIFTLTIESSPRGQSNEAEAVTLSQLNLIDLAGSESSRVETAGVHQKEGSYINKSLLTLGKLICTVTPASSNSEETHNTLKFAHRAKHIEIQATQNKIMDARSLIKKYQNEIRQLKEELEQLRRSIRTGTPIEDTMQKKHHLLETKGFGEKFKSSPKEETENQKGHLNWLNLRKCDSGSTNLTSSDGENPSSTKSLPALSTPLGIGFFNVTSEQRMSDYMLAENVPANLLCVGHREFPSDSLPVQETPLVSRKTSDHVDILREQFNILSGEVALHQSVLKRLSEEAGKNAMNEQIEMEMKVVNDEVKLNKQKIASLERRISNSMSNSRGMHDNLELSLPYIEIPEQLNEKAFQLEASECQEFLLSERTTFQHNTGIVQETGSQAHKGKPLPSDVSDEFLKKASQAEIDELKQRVSELTEAKSQLDSCNHKLLEESTYAKGLASVTSVELKALSVKVTKLMKQNERLSSELASGRNQRRGSHGPRGARRESHTKRYEPARRGDMNALEAMLKEKDQRQAELHTKIEESKQKEAFLEKELANMWTVLANLKKTRGIDQEDFDSKYNGSWA >ORUFI06G06990.1 pep chromosome:OR_W1943:6:4913642:4914085:-1 gene:ORUFI06G06990 transcript:ORUFI06G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLISCPVDDETAVEDGQLAAPAAWTNAVQQTVLKASLAPAASCRLSFKMRGEQQQSLQVETKIFVTSLRAAPAPMPMMPRDLCGVQVGEGKNTSSQGAPERRKGISVTPHQKSGPLALYQHKYIILVGAVSVGKLPRLWSVFSQT >ORUFI06G07000.1 pep chromosome:OR_W1943:6:4923924:4924445:1 gene:ORUFI06G07000 transcript:ORUFI06G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGYYSYYNGHQPAPYYYGYAQPARVAGGGGVGGSQRPSAHALLLVATLLLVAVTTLYARCEEAVESLLDQLRVLLILSPLLLIVAVQVWAASAAAAADRRGAGGGLMYLLAQLMGMGDGGGSPYGRWHGGGGGASSSPWGVALVLVLVLFLVSYQSSFQSWWFPLLSRR >ORUFI06G07010.1 pep chromosome:OR_W1943:6:4935121:4941525:1 gene:ORUFI06G07010 transcript:ORUFI06G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRVDLSGAEIRVDPACGAAADDGGSPPVFLPRQPAAPPLLALDIGGTLIKLVYTASCGGGGAELRFAKFERRRMQECFDFVRAQGLVHRNGSTMGSSKENIALKASGGGAYKYTDDFREKLGVCLDKVDEMDSVVSGANFLLQSVPGAAFTHMNGKKSSVDISPNNLFPYLLVNIGSGVSILKVTGNRKFERVTGTHIGGGTMFGLAKLLTGCKSYDEFLQLSQKGDNFVLDLIVKDICGELVCQKQGLSTSTLASSFGKVITSKKKLTDYRPEDLASTLLSAFTYNIAQISFLVASILHLRRVFFGGSYIRGHKSTMQNISYAIDFWSQSKMQAVFLQHEGYLGALGALMSYGDSGDKNMNLEEMKEEENIHESATPIDETSTDEHNDGNIFPYLLVNIGSGVSMIEVTGNGKFERIIGSHLGGGTILGLARLLTGCSSYDEFLELSQRGNNLAVDLTVGDIYGEHGYPKIGLPASTTAASFGKVSSSRLSEYKVEDLAAALLNSFTYNIGQIAYFVANLSGLKRIFFRGAYICGHEKTMDKISHSLKSKGQVQTTFLCHEGFLGTLGAFWSYENMGIDGLAAHEVIREVLLGAPYTGQLPSLPLTHQQDNGEDTTFEGEVERLRHDNAVLKAELERLQRENTELKAKLVKSGKPNTTFYH >ORUFI06G07020.1 pep chromosome:OR_W1943:6:4945797:4946108:1 gene:ORUFI06G07020 transcript:ORUFI06G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMAEAAASMSPELAAALAKVAVFAVVQALVYLILRKSSGVFSPDRTAAAGSRSLSFRPMRSMSVRRFLAALSDVPVGVTEDGGSPAPAPAPPHRGPADLAE >ORUFI06G07030.1 pep chromosome:OR_W1943:6:4947614:4947937:-1 gene:ORUFI06G07030 transcript:ORUFI06G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRMRRRPTGEELVGRRDGAQQAVGRSTSEKKGDALWAAGRRTTADGRGTGEKKGGVRQVAGEGLWPMGEKLARRQGEGSRSTGEELARRRVFAATRRPIQVTANL >ORUFI06G07040.1 pep chromosome:OR_W1943:6:4961949:4965964:1 gene:ORUFI06G07040 transcript:ORUFI06G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLWKQSGLAGMACAADVGAAGAGRRAMLAVYVLAVAFAAFTAYVAVSSSSPPPAGEGASWFGGVYASTAPYRAQVSGFFSSIFPTGSSTPSPEQQPPPPRRGEGGGQVSSHGIDEHARVRSGAAHSVPVDPAASTKHSGSGGGGGAASNNGGGGSAPPPGNLAGSGTPPAKGSGGDGGGGGAPANNSTSGGAPANSAVEQSSPAGDGGGSPSTASSSAGKSSSANTGEENVDKSNKQSGSGGEAPSNGDAVSDKKNSTAKADTEVAVKASSDNSTGTGSSAKGESNVGSNSSAGSGNGVASSVSSAAVNSTAVKTDAKDVVVATSTDSAGSVSDVKADLSNRSDTPPASGSGHSNHTSDVTVSPAKGNAKDGGADTNKASGNVASTSNQTASTAMVAKKAGGSPSKNQTSVASTNSKNQNQTSAGVASGGSSGTTSKQEETTSQGSVGSSKDHPAQAINSKTSNYSEVLVKGNGSSTKQASQKQPDKKVDWIKEMASCDMFHGNWVRDESYPLYPEGSCPHIDEPFDCYLNGRPDRAYQKLLWQPSSCNIPRLNPTDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSVKDKRKVFEASGRHEFKTEGSYSFLFTDYNCSVEFFRSPFLVQEWEMKVSNGKKKETLRLDIVEQSSPKYKDADFLIFNTGHWWTHEKTSLGKDYYQEGNHVYSELNVVDAFHKALVTWSRWIDANVNPKKTTVLFRGYSASHFSGGQWNSGGSCDKETEPIRNEQYLSTYPPKMSILEDVIHKMKTPVVYLNITRMTDYRKDAHPSIYRKRNLTEDERRSPERYQDCSHWCLPGVPDSWNELLYAQLLIKQHQMLQQ >ORUFI06G07040.2 pep chromosome:OR_W1943:6:4961949:4965599:1 gene:ORUFI06G07040 transcript:ORUFI06G07040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLWKQSGLAGMACAADVGAAGAGRRAMLAVYVLAVAFAAFTAYVAVSSSSPPPAGEGASWFGGVYASTAPYRAQVSGFFSSIFPTGSSTPSPEQQPPPPRRGEGGGQVSSHGIDEHARVRSGAAHSVPVDPAASTKHSGSGGGGGAASNNGGGGSAPPPGNLAGSGTPPAKGSGGDGGGGGAPANNSTSGGAPANSAVEQSSPAGDGGGSPSTASSSAGKSSSANTGEENVDKSNKQSGSGGEAPSNGDAVSDKKNSTAKADTEVAVKASSDNSTGTGSSAKGESNVGSNSSAGSGNGVASSVSSAAVNSTAVKTDAKDVVVATSTDSAGSVSDVKADLSNRSDTPPASGSGHSNHTSDVTVSPAKGNAKDGGADTNKASGNVASTSNQTASTAMVAKKAGGSPSKNQTSVASTNSKNQNQTSAGVASGGSSGTTSKQEETTSQGSVGSSKDHPAQAINSKTSNYSEVLVKGNGSSTKQASQKQPDKKVDWIKEMASCDMFHGNWVRDESYPLYPEGSCPHIDEPFDCYLNGRPDRAYQKLLWQPSSCNIPRLNPTDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSVKDKRKVFEASGRHEFKTEGSYSFLFTDYNCSVEFFRSPFLVQEWEMKVSNGKKKETLRLDIVEQSSPKYKDADFLIFNTGHWWTHEKTSLGKDYYQEGNHVYSELNVVDAFHKALVTWSRWIDANVNPKKTTVLFRGYSASHFSGGQWNSGGSCDKETEPIRNEQYLSTYPPKMSILEDVIHKMKTPVVYLNITRMTDYRKDAHPSIYRKRNLTEDERRSPERYQDCSHWCLPGVPDSWNELLYAQLLIKQHQMLQQ >ORUFI06G07050.1 pep chromosome:OR_W1943:6:4970931:4972372:1 gene:ORUFI06G07050 transcript:ORUFI06G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPQCVSLQSSDPSTSTAATQNAAARSREGGGGDGVGRRLHQLHHHGNVDLGKKSSGVARRRLALLQQENGVDCSDSKGPGEEHGGAGDAHRSVPLPCTGGEVGSKSEPAAAVAPAVIVDVKEEEKSVGNGGGGGGAKKRRGGGAPAVLMEGSRCSRVNGRGWRCSQPTLVGYALCEHHLGKGRMRSVTGGGGGRGGASQLGRTEHRPPATARNPAAAAAPPPKADEPGPNHIAHH >ORUFI06G07060.1 pep chromosome:OR_W1943:6:4974568:4984417:-1 gene:ORUFI06G07060 transcript:ORUFI06G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVGGWLAGCWVPCKEMSGQERDDVPMLELQRFPTRSVSMCIPVRDDIYEDSIISHSGPIFTPAPTQYTSVAIPSGNRDMLDKLPRPKVKSKPHVVTPEEVGISNWPYDQHVPKNKHLMMYSEPLGLCDNPDCVDCPRACKNKRHFQRSLAPFDNKFHNILYGYGDRWKKKAGHYLSYIPIMKPHDKAVHRWNQFFVISCLLAIFNDPLFFFLLSVDKDYKCIVFNWNFAIALAVGRSVTDAIYFLHMLLQFRLAYVAPESRVVGTGDLVDEPMKIAMRYLRGFFVLDLFVVLPLPQVMILLVIPKYVGLSSANYAKNLLRATVLLQYVPRIIRFVPLLGGQSTNGFIFESAWSTFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRDSCAASNISKALCNNCTDCGITGINRTNWLNNSDLTGCFDTKSGNFPYGIYQQAVLLTTEPGLKRYIYSLFWGFQQISTLAGNLIPSYFVWEVIFTMAIIGLGLLLFALLIGSMQNFLQALGKRRLEMQLRRRDVEQWMSHRRLPEDLRRRVRSAERFSWVATRGVNEEELLSNLPEDIQRGIRRHFFGFLKKVRLFNLMDNATWDAICDKLRQNLYITGSDILYQGGPVEKMVFIVRGRLESISADGNKSPLQEGDVCGEELLSWYLEQSSVNRDGGKIKLHGMRLVAIRTVRCLTNVEAFVLRARDLEEVTSQFSRFLRNPLVLGTIRYESPYWKNLAANRIQVAWRYRKRRLKRAEMQRLQ >ORUFI06G07060.2 pep chromosome:OR_W1943:6:4974568:4985076:-1 gene:ORUFI06G07060 transcript:ORUFI06G07060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRVGRLAARGHPRAATSPPPSLSLSSQVPTRAKRRARGESEVKLLRGARASSSSLPSRGPGSAGRRRSHPPRFVAPRRINAEMSGQERDDVPMLELQRFPTRSVSMCIPVRDDIYEDSIISHSGPIFTPAPTQYTSVAIPSGNRDMLDKLPRPKVKSKPHVVTPEEVGISNWPYDQHVPKNKHLMMYSEPLGLCDNPDCVDCPRACKNKRHFQRSLAPFDNKFHNILYGYGDRWKKKAGHYLSYIPIMKPHDKAVHRWNQFFVISCLLAIFNDPLFFFLLSVDKDYKCIVFNWNFAIALAVGRSVTDAIYFLHMLLQFRLAYVAPESRVVGTGDLVDEPMKIAMRYLRGFFVLDLFVVLPLPQVMILLVIPKYVGLSSANYAKNLLRATVLLQYVPRIIRFVPLLGGQSTNGFIFESAWSTFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRDSCAASNISKALCNNCTDCGITGINRTNWLNNSDLTGCFDTKSGNFPYGIYQQAVLLTTEPGLKRYIYSLFWGFQQISTLAGNLIPSYFVWEVIFTMAIIGLGLLLFALLIGSMQNFLQALGKRRLEMQLRRRDVEQWMSHRRLPEDLRRRVRSAERFSWVATRGVNEEELLSNLPEDIQRGIRRHFFGFLKKVRLFNLMDNATWDAICDKLRQNLYITGSDILYQGGPVEKMVFIVRGRLESISADGNKSPLQEGDVCGEELLSWYLEQSSVNRDGGKIKLHGMRLVAIRTVRCLTNVEAFVLRARDLEEVTSQFSRFLRNPLVLGTIRYESPYWKNLAANRIQVAWRYRKRRLKRAEMQRLQ >ORUFI06G07070.1 pep chromosome:OR_W1943:6:5011998:5015070:-1 gene:ORUFI06G07070 transcript:ORUFI06G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDEPEGSDSQRRRKRYHRHTPRQIQQLEAMFKECPHPDENQRAQLSRELGLEPRQIKFWFQNRRTQMKAQHERADNCFLRAENDKIRCENIAIREALKNVICPTCGGPPVGEDYFDEQKLRMENARLKEELDRVSNLTSKYLGRPFTQLPPATPPMTVSSLDLSVGGMGGPSLDLDLLSGGSSGIPFQLPAPVSDMERPMMAEMATRAMDELIRLAQAGDHIWSKSPGGGVSGGDARETLNVDTYDSIFSKPGGSYRAPSINVEGSRESGLVLMSAVALADVFMDTNKWMEFFPSIVSKSHTIDVLVNGMGGRSESLILMYEELHIMTPAVPTREVNFVRYCRQIEQGLWAIADVSVDLQRDAHFGAPPPRSRRLPSGCLIADMANGYSKVYAMDNVPHAMVFWFRLADDVAHARVTWVEHMEVEEKSPINVLYRDLVLSGAAFGAHRWLAALQRACERYASLVALGVPHHIAGVTPEGKRSMMKLSQRMVNSFCSSLGASQMHQWTTLSGSNEVSVRVTMHRSTDPGQPNGVVLSAATSIWLPVPCDHVFAFVRDENTRSQVSHPLSPPLMSLTHSLCPPLLLLQWDVLSHGNQVQEVSRIPNGSNPGNCISLLRGLNASQNSMLILQESCTDASGSLVVYSPIDIPAANVVMSGEDPSSIPLLPSGFTILPDGRPGSAAGASTSSAGPLAAARGGGGGGAGGGSVVTVAFQILVSSLPSSKLNAESVATVNGLITTTVEQIKAALNCSAHGHHP >ORUFI06G07070.2 pep chromosome:OR_W1943:6:5011998:5015070:-1 gene:ORUFI06G07070 transcript:ORUFI06G07070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDEPEGSDSQRRRKRYHRHTPRQIQQLEAMFKECPHPDENQRAQLSRELGLEPRQIKFWFQNRRTQMKAQHERADNCFLRAENDKIRCENIAIREALKNVICPTCGGPPVGEDYFDEQKLRMENARLKEELDRVSNLTSKYLGRPFTQLPPATPPMTVSSLDLSVGGMGGPSLDLDLLSGGSSGIPFQLPAPVSDMERPMMAEMATRAMDELIRLAQAGDHIWSKSPGGGVSGGDARETLNVDTYDSIFSKPGGSYRAPSINVEGSRESGLVLMSAVALADVFMDTNKWMEFFPSIVSKSHTIDVLVNGMGGRSESLILMYEELHIMTPAVPTREVNFVRYCRQIEQGLWAIADVSVDLQRDAHFGAPPPRSRRLPSGCLIADMANGYSKVTWVEHMEVEEKSPINVLYRDLVLSGAAFGAHRWLAALQRACERYASLVALGVPHHIAGVTPEGKRSMMKLSQRMVNSFCSSLGASQMHQWTTLSGSNEVSVRVTMHRSTDPGQPNGVVLSAATSIWLPVPCDHVFAFVRDENTRSQVSHPLSPPLMSLTHSLCPPLLLLQWDVLSHGNQVQEVSRIPNGSNPGNCISLLRGLNASQNSMLILQESCTDASGSLVVYSPIDIPAANVVMSGEDPSSIPLLPSGFTILPDGRPGSAAGASTSSAGPLAAARGGGGGGAGGGSVVTVAFQILVSSLPSSKLNAESVATVNGLITTTVEQIKAALNCSAHGHHP >ORUFI06G07080.1 pep chromosome:OR_W1943:6:5034898:5036142:1 gene:ORUFI06G07080 transcript:ORUFI06G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIAARFMDKVEAAAAAAEEEGDVGGEEVEALPEPPDDAGPVAWPMPEFCPLTIDGLVKESFMEALRKDAAEQALRDAEAVEAARSPESRPSSSKRQRAATASPQQQQQPSSSSSSSSRSPYRNILQVFQQCKQDVT >ORUFI06G07090.1 pep chromosome:OR_W1943:6:5036594:5043225:-1 gene:ORUFI06G07090 transcript:ORUFI06G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRARDDDDDDEVEEEDEEEAYDLEDEEEEEDDEDDYEAEARGGGKASRSSSSRGGGGGGGGGRKRSREDNFIDDSAIEDDEEDDDDDDGGGRPKKKGGGGGVRGFFDEEAQVDEDEEEEDDGEGEDDFINDAGADLPDEDVVRGSRHRSIPMRDEEEDIDEIERQVRERYARSTHIEYGEEAAEVEQQALLPSVKDPKLWMIGHERETAICLMQKFIDRSDLQIKSVVALDHLKNYIYVEAEKEAHVKEACKGLRNIYASAKITLVPIKEMADVLSVESKSVDLSRDAWVRMKLGIYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALASKLEGREAVKKKAFVPPPRFFNIDEAREMHIRVERRRDKDSGEYFEMIDGLMFKDGFLYKTVSIKSISTQNIQPSFDELEKFRKPGDDMNGDMSSLSTLFANRKKGHFMKGDAVIVIKGDLKNLEGWVEKVEDETVHIRPKISDLPKTLAFNEKELCKYFKPGDHVKVVSGVQEGATGMVVKVEGHVLIILSDTTKEHIRVFADHVVESSEITTGITRIGDYELHDLVLLDNLSFGVIIRVETEAFQVLKGVPDRPEVVLVKLREIKSKIDRRTSAKDRSNNMISSKDVVRVVEGACKGKQGPVEHIHKGILFIYDRHHLEHAGFICAKAQSCLLVGGSAGGRRYGYVRSKAWCFEISSKHFAITGKAAPKRTSYELFGGGGRGGRGHDALVGKCIKIKSGPYKGYRGRVKEVTGVLVRVELDSLMKIVTVKRDDIADTPTVATPFREPRYPLGGETPMHPSRTPLHPYQTPMRDPGATPIHDGMRTPMRRGWAPMSPPRDNWEEGNPATWGSSPAYQPGTPPARPYEAPTPGSGWANTPGVSYNDAPTPRESNYGNAPSPYVPSTPVGQPMTPNSASYLPGTPGGQPMTPGNVGMDIMSPIIGGEGEGNWLLPDVLVNVLRAGDDGPGVVREVLADGSCRVALGSSGNGEIVTVLPTELEVIRPKKSDKIKIMNGNFRGYSGKLIGIDGSDGIVKLDDTYEVKILDMVILAKLAS >ORUFI06G07100.1 pep chromosome:OR_W1943:6:5067139:5071336:1 gene:ORUFI06G07100 transcript:ORUFI06G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLESLNLRSIVYLCPEPYPETNAEFLAKNGIKLHQFGIEGRKEPFVNIPDDKIREALKVVLDVKNQPLLIHCKRGKHRTGCVVGCLRKLQKWCLSSVFDEYQRFAAAKARSTDQRFMELFDISSLKHLTASHC >ORUFI06G07100.2 pep chromosome:OR_W1943:6:5066937:5071336:1 gene:ORUFI06G07100 transcript:ORUFI06G07100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVMPKQRAMEAEQREEAMEMSGLELWKHEKPASMVVFLPPPPPPPPLRRRRWCHRSTSRWSTTASSAPASPRPPTSGSSSRSTSAPSYLCPEPYPETNAEFLAKNGIKLHQFGIEGRKEPFVNIPDDKIREALKVVLDVKNQPLLIHCKRGKHRTGCVVGCLRKLQKWCLSSVFDEYQRFAAAKARSTDQRFMELFDISSLKHLTASHC >ORUFI06G07110.1 pep chromosome:OR_W1943:6:5072075:5075250:1 gene:ORUFI06G07110 transcript:ORUFI06G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWPAAEAAGALVVAILAAAAGGAAGKTTIEPCAGADTCAALLGYTLYADMKVSEVAALFGADPRAVLAANALDFASPGAANRILPAGLPLRVPTRCACSDGVRKSVAVRYSARPADTLASVADVVFAGLASADQIRTANGLSAEDPDAPLDAGATLVVPLPCACFNSTDNNLPAVYLSYVVRVGDTVQSIAATHATTVTDISNVNAMGSPIVAPGDILAIPLPACASMFPNSASDYGLLVANGTYALTAGNCVQCSCGPGDLKLYCTPASLTASCSSMQCPNSNLMLGNVTAQSTSGGCNVSSCSYAGLVNGTIATSLSSGLQPTCPGPHQFPPLRATPIAVNQGSYLAPSPAPGAGEAGGDIPGFPGSSNVSPANGPSGSVSQAASVNRPHQIVALILSVALYFQM >ORUFI06G07120.1 pep chromosome:OR_W1943:6:5074095:5074952:-1 gene:ORUFI06G07120 transcript:ORUFI06G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATIWCGRFTEAAWETLPEGPFAGETLELPGKPGISPPASPAPGAGDGARQYQVHLFKKTGLDG >ORUFI06G07130.1 pep chromosome:OR_W1943:6:5082081:5083445:1 gene:ORUFI06G07130 transcript:ORUFI06G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQLVTLLVLIALPARAASAPGAGAGGVVVARVTHADAGRGLAMPEIVRRMAHRARARRRLLSAAEAAPVRARVRAGLGAGGGIVTNEYLVHVSVGTPPRPVALTLDTGSDLVWTQCAPCLDCFEQGAAPVLDPAASSTHAALPCDAPLCRALPFTSCGGRSWGDRSCVYVYHYGDRSLTVGQLATDSFTFGGDDNAGGLAARRVTFGCGHINKGIFQANETGIAGFGRGRWSLPSQLNVTSFSYCFTSMFDTKSSSVVTLGAAAAELLHTHHAAHTGDVRTTRLIKNPSQPSLYFVPLRGISVGGARVAVPESRLRSSTIIDSGASITTLPEDVYEAVKAEFVSQVGLPAAAAGSAALDLCFALPVAALWRRPAVPALTLHLDGGADWELPRGNYVFEDYAARVLCVVLDAAAGEQVVIGNYQQQNTHVVYDLENDVLSFAPARCDKLAASL >ORUFI06G07140.1 pep chromosome:OR_W1943:6:5084742:5085993:1 gene:ORUFI06G07140 transcript:ORUFI06G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEVDGGLGQRRPRGCHQKHPRRSPPEKENMVTEKLDGPFSNIVGMKNTWRKTKPVGPWEDIKKRNGNLSRGVRGWWVNMLFISLSLLVGECDIYDA >ORUFI06G07150.1 pep chromosome:OR_W1943:6:5088587:5097595:-1 gene:ORUFI06G07150 transcript:ORUFI06G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDRDAEKAATTTRGPVTDTVGPTRQWVTEREEAGNPSPSPQPPGGFPTPTAVAPRRRAPPPPPPPPADADAASSSRGPGDDEGSGRAGASQEAGGGGCGVTPYEQARKALALRSPFDGDEAVGRDALLPARVARWAAVGDVRKKHKKAQQPEAAAAAAAAAVEQQPKPSSGSKEFWDLMEPYFREINWDDFEALMQAPLLGFNGPLDPCFLVPFVGSGKEFGENYDPSCVVVEDESSHLNSNLGKDSDELESSIVRSKQDSHGSSDFVGGNMDPVINNGANDEHGEQDMQEVVLQEEQPMEIEQDHGRSDAIALPPDTEESDVSLNWLLGARDRFVLTSERPNKKRKLLGADAGLERLVQLPPLEGEAGTTCDVCCLGECGTSSNRMLHCSSCKVSVHQKCYGVHVVPDQSWLCAWCKSIRSARRQTRSDAGRTVLMPCVLCPKEKGALKPVKRDSGQIADGGNLKFVHLFCSLWTPEVVVEDLNSMEPVTNVGDIQENRTKLVCSLCKVMHGACIRCSHGACRACFHPICARESKHQMEIWGKTGNTNVEMRAFCLKHSTVQETISIQNDRICAEEDTSQIELDDASLATQKIQQLRLTRNNKDKFTSSMIASSCSSSLKQTTELATSPSTARSVESQETQITDMAVDRPIGDRCLVSNSGDVSTALRKLIDQGMVNVGDIESELGVSSESLEAALVPETSTFSPGLKLKIIKLLQNTIRVPSVQEKCSKEGSLALQGESKSLTDTQICSELEEGISSFDHCCPEGDNTNKDWADSVENGFHNCGEDCISGKCFLNQDGSRCYVHPFIERKLRILWDHIFKQNKHPIHCHEQSTCDPHDRIAGSSSTKLEQLADIAVADQVSKAKSSGILEHSPHDEIEGELLHLQSRLLDDVGGAKQRYEDLVLKIVQSLSHDLDSFNKRKWDHIIANQFLRDLREAKKRGNTERRHKEAQAIMAAAARCILPTSRNAPVRKVAECDVLSAKQESVPVAVPAKQEVHSPKQESIPKFNTGSSRVSQLISVQQANDSSPNSKVSADANIGSFDLAKFSKKNALPCDICMRSETVLNRIFVCSSCKAAVHLDCYRSVTNPTGPWKCELCQEMPSDVVAGSQSDCDGSKPCLLQCDLCHGTSGAFRKTIKGRCIHAFCAEWLLESTFTRGQYNAVDGMESLPKDKDTCAICHRNVGSCLKCSTVDCQITFHPTCARDAGFYMDTKTIGSTLEHKAYCGKHGIEQRKADLLQLHGPEEVKNMKQMRVDLEVLRLICERVVKREKLKKDLVVCGHDTLAARRNSIAYSTRTSYCGSGPGASSESATTSVNNSYSGLMQRTDDVAVDSIISRKPTVRFSLNNSDADRNTADSSTSSISYKQKLDDRESLADKNLPKKPATAMQISEEGETKSSDKKNQRPPKSIVYTRRSALSKKRQLSQNVEGPGG >ORUFI06G07160.1 pep chromosome:OR_W1943:6:5098755:5099045:-1 gene:ORUFI06G07160 transcript:ORUFI06G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGSRGFDPARLDGVLALFGGEARAALAAAEEEHEAAAGGTEAAVEAARGHLDDVMDAAVGKYRGSSGDADALSAATAAMDVAFKATTSNTRRS >ORUFI06G07170.1 pep chromosome:OR_W1943:6:5100570:5101460:1 gene:ORUFI06G07170 transcript:ORUFI06G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRPPARAAATEAAADAALPLHPSSPRSKKRSSSSRRAAAGDRRPAARAPNPSLSPRGGGGAPSRKSERRRRPRSLAMAVHGHASTSGGPGLVWNDADEVALLTAAVAFRARNGFAPRLPDMGALFESLRGSISSHIDQAKVYYKLKRNKSKFLHAPPQATTTTPHDRRVRALSAELWGSELAPPAVEGDADAAEAADERDAEEGYIGGNLHVSVRLPVVSEVLGDYWRKNGRVLSGVSLERGLALVGPEEGRMAEAKWKRQLEVETQTQGRRHDLAKEVCAMLIDAVRGLGP >ORUFI06G07180.1 pep chromosome:OR_W1943:6:5110973:5111278:1 gene:ORUFI06G07180 transcript:ORUFI06G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGGGATAPMPAPSTHYPARDRELLAGSSGAGAREEEPSADATADEEVEREGAALAASLAWSTTSMYLASSLRRRRKRPPATSSDVAWTVEDVTVSDEL >ORUFI06G07190.1 pep chromosome:OR_W1943:6:5111291:5111567:1 gene:ORUFI06G07190 transcript:ORUFI06G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDTPVGDELRRGMWMTKDAATGDELRGVDAVGDELRRGAWMTEDAATGDELRRGADGGGCGCRRQAPAWDVDGGTTGDDALVPDS >ORUFI06G07200.1 pep chromosome:OR_W1943:6:5114927:5118158:1 gene:ORUFI06G07200 transcript:ORUFI06G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAASPGDARPRCTALQNLPLTLLVSDLALLHCVPLLPPPPLRSPLTRSPDGRRRPRWCPPSLRRSRRRRRLCGCLCHLENVSKIECACIFRFITMDKNVW >ORUFI06G07210.1 pep chromosome:OR_W1943:6:5124745:5127727:1 gene:ORUFI06G07210 transcript:ORUFI06G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGRALSDAKPYVAMVLLQVGFAGMYIVSVASLKRGMNHFVLVVYRNLVATVLMAPFALLLERGVRPKMTLRIFLKIMGLAILEPVLDQNLYYMGAKLTSAGFASALVNILPAVTFLLAVLLRMEKVRLRSLHSQAKIAGTVFTVAGAVLMIMYHGPVVQFPWSSSASGSAGHHVDGAAAAAATASSASSWLNGTVMLVGSCVCWSGFFILQNNTLQSYPAELSLTALICVLGSAMSGAVALVAERRDMSVWVIGFDTRLFTAVYSGIVCSGVAYYVQGLVTRARGPVFVTAFQPLCMIITAVLGSTILKEEITLGSVIGAVIIVVGLYAAAGRRRRRAGEGLAIDHAAGQRRRRRQACCARRRRRDAGGEGCLLASL >ORUFI06G07220.1 pep chromosome:OR_W1943:6:5129778:5132598:1 gene:ORUFI06G07220 transcript:ORUFI06G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAMVVVVALLLGGGAVEAVWLDLPPTGTKCVSEEIQPNVVVLADYALMYESHPTAHPTVAVKVTSPYGNTVHHNENATTGQFAFTTSEAGNYLACFWLDSPEKGSGVSLNLDWKIGIAAKDWDTVAKKEKIEGVELELRKLEAAVESIHHNLLYLKAREAEMRTVSEKTNSRVAWFSILSLGVCIVVSVLQLWHLQGFFRKKKLI >ORUFI06G07230.1 pep chromosome:OR_W1943:6:5132629:5136377:1 gene:ORUFI06G07230 transcript:ORUFI06G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKPHSNLTMPHLRRRHRRRLLAAVVFLLLSAVVARPAAGAFTELESAQIGRFQDYLRIRTAHPSPDYAGAAAFLLPYAASLGLRAATLHFTPCKSKPLLLLTWPGTDPSVPSLLLNSHLDSVPAEPEQWLHPPFAAHRDAATGRVYARGAQDDKCLPIQYLEAIRGLRDAGFAPTRTLHISLVPDEEIGGADGFEKFAQSEEFRDLNVGFMLDEGQASLTDEFRVFYGDRLVWRLIVKATGAPGHGSKLFDGAAVENLMDCVETIAGFREAQFGMVKSGKRGPGEVVSVNPVYMKAGTPSPTGFVMNMQPSEAEVGFDFRLPPTEDVEHIIRRIKEEWAPAHKNLTYKKGPTRDLAGRPMVTPTNASNPWWSVFEQAIISAGGKLAKPEILSSTTDSRFIRQLGIPALGFSPMTNTPILLHDNNEFLEDKVFLRGIKVYEHIIRALSSFKG >ORUFI06G07240.1 pep chromosome:OR_W1943:6:5141712:5142364:1 gene:ORUFI06G07240 transcript:ORUFI06G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTAASNGAAAARRVGGGGDGKRAAYKGDEELGKPRIWLGSYSTAEAAARAYDAALLCLKGSAADLNFPVHLPFHIPAAAMSPKSIQRVAAAAAANANANASSSCSAAVFAGVDDSGGASASEASTPACSSSDGAASPSPVSSPETVISDVDVDYSLLADIEAFFQSPKCMEYAMMDPCSAFFAPPPPPAMAMEEECGWEEEGDIALWSFSSLD >ORUFI06G07250.1 pep chromosome:OR_W1943:6:5144176:5146308:-1 gene:ORUFI06G07250 transcript:ORUFI06G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARDTVLRFAVRLFVVIVVSSRSSSADDGGGDGGVDFIYQGFQHAANLTMDGSAKVLHGGALQLTNDSNRLVGHAFHAAQVRFLDDGAGGGGGGVVSSFSTAFVLDIVTVGSGGGHGLAFVVAPSATLPGASPEIYLGVLGPRTNGNASDHVFAVEFDTVMDLEMNDTNGNHVGVDVNSLVSVVSEPVAYYAGDGSTKVPVQLESAQQIQAWIDYDGGSSILNVTVAPATVTERPRRPLISTKLDLLPIFKENMYVGFSSATGKLASSHYILAWSFRTNGVAQSIDLRRLPKVPRQSSPPPKLLIIKFAAVACAGTLTLIAAAMVAVLWLRRRAALADTLEEWELEHPQRIPYKELYKATKGFKESELLGAGGFGQVYRGVLRRRSGEAVAIKRISNGTRQGMREFVAEVASLGRMRHRNLVELRGWCKHDQDLLLVYEFMPGGSLDARLFGTAASAAAAEGVKAPPPPPLLTWAQRFAILKGVAHGLLYLHEEWEHVVVHRDVKANNVLLGAGDTGAARLGDFGLARLYEHGATPATTRVAGTLGYMAPELTFTSRATTATDVFSFGALLLEVACGRRPIEPAAAGEADGDVLLVRWVRDRALDGDGGGGDVLRAVDPRLEGCYDEEEARLVLWLGLMCSQARPEARPSMRQVCRYLDGEEMLQEDATPAAIFSGADSSDLFGGSFVVSMTSSSAGGTMSASSLQGGR >ORUFI06G07260.1 pep chromosome:OR_W1943:6:5147619:5148614:-1 gene:ORUFI06G07260 transcript:ORUFI06G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGNGGGVGVRRRRWNLYWCYVCRRALRVVVPSATSDVYCPRCFGRFLHEIDLPVPRVSPPAEDQFFQPPFLPYDGPRRWVLYTGGGGGGDYGGADVTARRRRLPSPPPAPGTRRQDGAGDGDPPPPAPAIDPGEYFAGPDLNALIDALTQDDRPGPPPAPESAIESLPTVHISPDHLPADGGSECPVCKEEFELGEAARELPCKHAYHSDCIVPWLRLHNSCPVCRQEVPPPPEPDGESPGIDGGCDDGVGGGGEPAEPPRPAMAGWDPIALLAIALRPDLNGWENSHGRSESEADDDEVAGGGVSTTAMIHSFFVVAACFLFISFLV >ORUFI06G07270.1 pep chromosome:OR_W1943:6:5148962:5152522:1 gene:ORUFI06G07270 transcript:ORUFI06G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPSFLYSTAGARPYTAGGGGAAFRLPAAAAPGGVGGGAPIEIQAPREKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSITSGFGILAKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLGDGLPKFIKSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVEQIYKHAVPVPKSECSKSFQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVTPAPSTSDAGLKAVSA >ORUFI06G07280.1 pep chromosome:OR_W1943:6:5152928:5153566:1 gene:ORUFI06G07280 transcript:ORUFI06G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDMAMDMMSQEQLMHIISQLDSALASSPSPSTSPSASPPRQSPAAHVPVPPGLLNTTMVSTSRAQAAPSAPLHPVAATAAVQSSSRGIMYTTTRQGVITAAAEEEEAAAPRPRRRNARVSSEPQSVAARLRRERVSQRMRALQRLVPGGARLDTASMLEEAIRYVKFLKGHVQSLERAAAALHMHGGHAAAAGFAGDAGDAVYSCPSYYA >ORUFI06G07290.1 pep chromosome:OR_W1943:6:5167860:5169761:1 gene:ORUFI06G07290 transcript:ORUFI06G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSLKSAQLLEQMRLHMATDAGKDIAKKVGLVYQFNIAPKKIGVDEEIFVVDLKKGEVTKGPYEGKPDATFSFTDSDFLSIATGKMNPQIAFIRFCRWLMNYENCVQRRDKDQGEHKRGAEVHPGYLPQAFQTVEEWGEKTGNRNNTRVPDVMAGNRISLWVILVLEFDGVMLG >ORUFI06G07300.1 pep chromosome:OR_W1943:6:5173219:5174063:1 gene:ORUFI06G07300 transcript:ORUFI06G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKEAERLDVPFQFNPVGLSLKVMVVTEQEVSHNAAGLTERFVEALNYYAALFDCLEVGGARGSVERTRVERWLLGEEIKNIVACDGGERRERHERLEGAGFGRVPLSYYALLQARRVAQGLGCDGFKVREEKGNFFLCWQDRALFSVSAWRGRRFAALLPLPPSTPLPCSRVIATLLSSPPPPCSPLHPYCPERRERMKGEKKGKRE >ORUFI06G07310.1 pep chromosome:OR_W1943:6:5181056:5184305:1 gene:ORUFI06G07310 transcript:ORUFI06G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESSTPASSWLGIDEAAPFAGKKATKDAAAADAFVRRWPKVVNATLVAFIMTMPPLLILLGGGRPGAPAVWIKSTVASLAARGEPKKDVLLGGLLLPGFDEQSCVSRYQSVYYRKNMTRPPSPHLLRRLRQQEALQRRCGPGTEPYRRASERLRSGQNAGDDFVATVDGCGYLVLISYRGLGNRILAITSAFLYAMLTGRVLLLDPGKTMADLFCEPFQATSWMLPGDFPLEHFRDLGEDAPESYGNVAVNRSGSVAGLRFVYAHLDHAATPANRLLYCDDHRQFLHRVQWVILRTDSYIAPGIFLNPAYKEELDMMFPNKDAVFYLLSRYLLHPTNDVWGMVTRFYHSYLKNADERLGVQIRVFDGDEPFQHILDQILECTSQEHLLPGVVVSGHGGGVAPPPIARSKAVLTTGLNSWYHDSIRDMGPPAEPRAAPALLPEHPRHEGPRRDIVTSGWSTFGYVGAGLGGLTPYIMIKPENHTVPNPPCVRAMSMEPCDHGPPYFECTKKEIDKIIDTGNLVPHVRSCEDVPWGRKLADPIS >ORUFI06G07320.1 pep chromosome:OR_W1943:6:5184770:5200081:-1 gene:ORUFI06G07320 transcript:ORUFI06G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGAAAAAAAVPLRKEKQRSAAGRWPEVEDGVVPATAKRHVRRAWRCGVNVVLAAFVMVVPPMVILLDARGAGAPAVWISSVNAFRRGDGSILQWPAAAAARDKLLGGLLADGLDDGSCHSRYQSAMYRRNAGREPSPHLVSKLRRHEELQRRCGPGTAAYSDAVERLRSGKSGGIVSPSPEAECRYLVSISYRGLGNRILAAASAFLYAMLTDRVLLVDPSNEMDELFCEPFPGATWLLPPGFPLANYTSFSVETAESYGNMVKNKVITTDAGDAAPTTAQLPAFAYIHLDHTSTVEDKFFFCDEDQRAIRNIPWLVMRTDSYIVPGLFLVTGFQDELDSLFPETDAVFHHLGRYLFHPTNHVWGLVTRYYDAYLATAQQRVGIQVRVFGAQPESPKLLDQITTCTQKEKLLPEVIAAGEPPVVDVAPANSKSKAVLVTSLKSWYYEKMKSMYWEHATATGEAVSVHQPSHEEYQRFGARSHDGKAWAEIYLLSLSDALVTSGWSTFGYVAQGLAGLTPWVMHKPANDPAPAGGDAPPCRRDVSMEPCFHAPPFYDCRLKRGADTGKMVPHVRHCDDVQWGLKLGESAARRDRAAAAMTKALQAAGGKPWRPGKMSTVLVAVLMTMPPLVVIFSGRIGDQAMWIKTAVDGIRGGTDDDVSFMKHPTTSHDKLLGGLLVDGFDQESCHSRYQSAAYRRNAGRRPSEHLVSKLRRHEDLQRRCGPGTAAYSAAVEQLKSGKSPAAAEASPEACRYLVSISYRGLGNRILAAASAFLYAMLTDRVLLVDPSNEMGELFCEPFAGTTWLLPPEFPLVGYQGFYLHTAERYGKMREDRVLRPDGGEAAAAAPPPAFAYIHLDYNQTDYDKLFFCDEDQRLLSSIQWLVMRTDSYIVPGLFLVDAFQDELAALFPERDAVFHHLGRYLFHPTNHVWGLVTRYYRGHLAWARRRVGIQVRVSSWEWESPEILRTITSCTQDEGLLPRVLDDTDQEPPATAAASARRGLRPSAVVITSLKSWYYEKMKGMYWERATESGEVVVFDQPSHEEQQMYGVRAHERKAWAEMYLLSTTDVLVTTGTSTFGYVAQGLGGLTPWVLPRREVNGTAPPCRRDMSMEPCFHVAPLYDCKRWEDAGKIVPHVRHCHDMPAGLKLVDRTEWGKKLGGVAGGGGAAVRVVGVVCVMAVPLFALLVLGGWASASTVWQSAARLTAVTAGFTNASKPSATGDAATGADELFDGLLAAGGCFDRGACLSRHESPRYYKSSPFSPSPYLLQKLRDYEARHRRCGPGTPGYAKSDEQLRSGHSSEVMECNYLVGLPYNGLGNRMLSLVASFLYALLTDRVFLVHFPDDFADHFCEPFPGGEGETATTWVLPPDFPVADLWRLGVHSNQSYGNLLAAKKITGDPARETPVSVPPYVYLHLAHDLRGDDERFYCNDDQLVLAKVNWLLLQSDLYFVPSLYAIPEFQDELRWMFPEKESVTHLLARYLLHPSNSVWGMVMRYHHAYLAPAAEMIGVQIRMFSWASIPVDDMYKQVMACSSQERILPDTDGGDAPAPARTNTSGGGATTAILVASLQVEYYERLKGKYYEHAATASGGGRRWVGVFQPSHEEKQEMGKRAHNQKALAEIYLLSFADVLLTSGMSTFGYMSSALAGLRPAMLLTAFNHKVPRTPCVRAVSMEPCFHKPPPAAATCQGKLAVSENVTRHIKRCEDLAGGIKLFD >ORUFI06G07330.1 pep chromosome:OR_W1943:6:5201139:5212783:1 gene:ORUFI06G07330 transcript:ORUFI06G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKRRRRGLHISRYVTCGPYRLGWKGNVAYATVVYDCRMKESADPARVVPHVQSCHDVPWGVKLVNGEQLILEVGANLSISYTSTGKPPEQVSCCCSAIIATLPTELVSSPMRRHEATIGGDGAAAPWKEQQLASHRWPEAQEGAPAFPPSTMRRLVYSAANAALVVFIMTVPPMVVLYGARSSSPAVWISSANVGGRGSSSDESILLLHRPAAAHDKLLGGLLADGFDEGSCHSRYQSAMYRRNNAGKEPSPHLEALQRRCGPGTAAYSNAVESLRSGKSGGIGSPPQTECRYLVSISYRGLGNRILAAASAFLYAMLTDRVLLVDPSNEMGELFCEPFPGTTWLLPPGFPLTNFTSFSVDTTESYGNMLKNKVITTDAAAGDVPTPHQQLPAFAYIHLDHDYTFHDKFFFCDDDQSVLRNVPWLVMRTDSYIVPGLFLVTGFQAELDSLFPETDAVFHHLARYLFHPNNHIWGLVTRYYDAYLATARQRVGVQVRVFGARQESPKVLEQITACAHMENLLPDVITTGEPAATTRRRLKPKAVLVTSLTSWYYEKLKGMYWERATATGEAVGVHQPSHEEYQRFGSGSHDAKACAEIYLLSLSDALVTSGWSTFGYVAQGLAGLTPRVMYKPANESSAVPDPPCRRDVSMEPCFLTPPYNNCRMKRSAHSGKVVAHVKNCHDVPWGLKLILDSEKNASSA >ORUFI06G07330.2 pep chromosome:OR_W1943:6:5210809:5212783:1 gene:ORUFI06G07330 transcript:ORUFI06G07330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEGGIGAGITNRPSQQCSAAAAEDGDDYERPCPWIPGKKKKKKITCLAICLIASPILILLVSRRGSPFPSMSGWASPSRMYASKGSKRDVLMGGLLVPGLDERTCASRYSSAMYRKNTARSPCRHLVKRLREQEALQRRCGPGTAAYWRAAERLGSRRNGTAGADDEGCKYLVLVPYRGLGNRMLAMASAFLYAMLTGRALLVDRGESLADLFCEPFPGTSWLLPPEFPIKNLQDLTGEAPESYRNLVQSDRPATSVSELPYVFVDLDHGCTYHDKLFYCDDERHFLHRAPWLLMRTDGYFPPALFLNPAYQDELDRLFPRKDSVFYLLAHYLLHPTNKVWGLITRFYDSYLRDSDERLGIQVRVFDGDTPFKHILDQITACTSQERLLPEVVEQEPSSFPAPAAAATAARSKAVLMTGLNSWYYDNIRSRYWQSPTATGEVVRVHQPSHEEYQRFGSGSHDAKACAEIYLLSLSDALVTSGWSTFGYVGHGLGGLSPWVMFKPENLTTPDPPCRRAVSMEPCLHGPPFYDCRVKRGADTGKLVPHVRHCEDMSWGLKLVHPE >ORUFI06G07340.1 pep chromosome:OR_W1943:6:5213236:5214882:-1 gene:ORUFI06G07340 transcript:ORUFI06G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDKLGEAAAAHPPEAEKRRGVAAPGAATVLVLVALPLMLVSYFFGDLAADTVVRLHRFKESSLSSSSPAAAADRLLGGLLSPEFDEASCLSRYEASSRWKPSPFRVSPYLVERLRRYEANHRRCGPGTARYRDAVARLRSGDGDGDAECRYVVWLPIQGLGNRMLSLVSTFLYALLTGRVVLVHEPPEMEGLFCEPFPGTSWLLPPDFPYKGGFSAASNESYVNMLKNGVVRHDGDGGALPPYVYLHLEQIHLRLQNHTFCEEDHRVLDRFNWMVLRSDSYFAVALFLVPAYRAELDRMFPAKGSVFHHLGRYLFHPGNRAWGIVERFYDGYLAGADERLGIQVRIVPQMAVPFDVMYEQILRCTREHGLLPQVTSTSESAGGRPPPPPTATATKVKAVLVVSLKREYYDKLHGAYYTNATASGEVVAVYQPSHDGDQHTEARAHNERALAEIYLLSFSDAVVTTAWSTFGYVAHALAGVRPWQLAPLDWGKMRADVACARPASVEPCLHSPPPLVCRARRDRDPAAHLPFLRHCEDVPAGLKLFD >ORUFI06G07350.1 pep chromosome:OR_W1943:6:5224236:5225947:-1 gene:ORUFI06G07350 transcript:ORUFI06G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRLLLSDLLLLLLIAIAFLPLAAVAGAAAAIAIPRAAPAFGVQTGWPPEHCLRCFAPPDAPFVLGAAAAIHLGNTNSCIAGYDDDDAPLGAKRSYYQFCIPSWVALAHDNGTVISGEAAMNRAALSPSTAVSAFMRLLHRRQFPLPSPKFVLGLPDQLGVEDDVVKREIELVPYKFTKMLGWVSVQLDTDAEFSVDHLAGILISHLKHTAEAHLGRHINNAVITLPSRLSYSADGRQVLSSAAKEYSGFRAVKVVDEHIAAAAAYGHHTKQGDRKAILVFHLGGRTSHATIFKFVDGTARLIATRAHHFLGGKIDQCPASFLNTSDDFTARIVDHMVEHIKEQHGRDVRQEEKAMVRLRVACEHAKKALSEQQETLVQMDSLLDDGAVFSATLTRAKFEELNHDLLDRAMALVKEVVVTTGGVEVVDEVLVVGGSARIPKVRQLVKDYFNGNGNGTHPNSRGCKGPVDVEPEDAVLHGAALLSRPLPVAEGTAAARSIGSVGGI >ORUFI06G07360.1 pep chromosome:OR_W1943:6:5236130:5237857:-1 gene:ORUFI06G07360 transcript:ORUFI06G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMARARAAASWLLLLVLLHMRIGASSSQLECKLEYTSLALLSCQETTPTPSCCDALLYSLDIWPVNQREKGLCCLCVYVLARQPSFDLATTYITCRGSYAASVAQWTQQLIRGVPPHDCNEPCGVDTGDHPPPLPSGKKNKTRRKKQKQQLGVGVIIAIVVCSLAAAGLLGYCLYHIFFSPAAKARRSPDPSSNNSSASSRQRRA >ORUFI06G07370.1 pep chromosome:OR_W1943:6:5238699:5240531:1 gene:ORUFI06G07370 transcript:ORUFI06G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILEQPQLLLLLLLLVAAAAATGATAADDELECPSSIFDHAVNSQGAIQFPVFHKKHQCLRPWSVRATQASSTGASGAGKGGGLNNLQEEEITSSSSTKIDVIEDSSINDFLFLMAVSLGKPPVVNLVAIDTGSTLSWVQCQPCAVHCHTQSAKAGPIFDPGRSYTSRRVRCSSVKCGELRYDLRLQQANCMEKEDSCTYSVTYGNGWAYSVGKMVTDTLRIGDSFMDLMFGCSMDVKYSEFEAGIFGFGSSSFSFFEQLAGYPDILSYKALSYCLPTDETKPGYMILGRYDRAAMDGGYTPLFRSINRPTYSLTMEMLIANGQRLVTSSSEMIVDSGAQRTSLWPSTFALLDKTITQAMSSIGYHRTSRARQESYICYLSEHDYSGWNGTITPFSNWSALPLLEIGFAGGAALALPPRNVFYNDPHRGLCMTFAQNPALRSQILGNRVTRSFGTTFDIQGKQFGFKYAVC >ORUFI06G07380.1 pep chromosome:OR_W1943:6:5250248:5253488:-1 gene:ORUFI06G07380 transcript:ORUFI06G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPNSSSSSSSSLPLAAAPMSNPSAPSSSSSSSLPPNPLAAASSFLHHHLSRLASRFAAPPRPALAAVTASAAPPGPQGASASLSLALAPDEVARALTGTPVFTVCNSSNEFVLVSDPATGLRSLGLLCFRSEDADALLTHVRMRQPVVGRGAKVVPITLDQVYMLKAEGIAFRFLPDPLQIKNALELKSGLTAFDGVPVFQSDLLVVKKQKKRYCPIYFQKEDIERELTKASKTSRGSALSKQIMVGSLEDVLKKMEMNERNSGWDDLIFIPPGKSLNQHINEVSA >ORUFI06G07390.1 pep chromosome:OR_W1943:6:5255262:5255561:-1 gene:ORUFI06G07390 transcript:ORUFI06G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRYRALPQGEVTVEEFRAWLGQFDADGDGRISRDELQRALRSLNLWFAWWKARAGVRAADANRDGAVAGDDEVATLFAFAQRHLNVKIAELGASYY >ORUFI06G07400.1 pep chromosome:OR_W1943:6:5260845:5265148:-1 gene:ORUFI06G07400 transcript:ORUFI06G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGCGGRFYWAPAPPSPSAAGARGVVVVFGWVWSDEAQLRPFVELYASLGWRCLVCHPDLVALYLSEKAASLASGVISELVKEFKVKPLPTVFASFSGGSKGCMYKVIQLLDGNCEGDATMKDYRLVRNCICGQIYDSGPVDFFSDVGTQFLQNPMIGNSSRPSMLLSWMTKALASGMDTLFPSRIEAQRAEYWHTLYSSAGLGPVLMLCSEDDDLAPCHVVCGFARRLIELGTDVKVIKWSDSPHVGHYMLHEAEYRSAVNDTLIKALVTFCHRSQLNATSDQEYKIAHSVCSLHNVAANSNERLRRVANGPSDHFFLPSSKDHNESRDPDSLIDEQRRQLSYPPSMEPQGVLGQILFDVCVPKNVEGWDIKPTVSPNGRPTLASARQLGPFNPIKYFRRSRL >ORUFI06G07400.2 pep chromosome:OR_W1943:6:5260847:5265148:-1 gene:ORUFI06G07400 transcript:ORUFI06G07400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGCGGRFYWAPAPPSPSAAGARGVVVVFGWVWSDEAQLRPFVELYASLGWRCLVCHPDLVALYLSEKAASLASGVISELVKEFKVKPLPTVFASFSGGSKGCMYKVIQLLDGNCEGDATMKDYRLVRNCICGQIYDSGPVDFFSDVGTQFLQNPMIGNSSRPSMLLSWMTKALASGMDTLFPSRIEAQRAEYWHTLYSSAGLGPVLMLCSEDDDLAPCHVVCGFARRLIELGTDVKVIKWSDSPHVGHYMLHEAEYRSAVNDTLIKALVTFCHRSQLNATSDQEYKIAHSVCSLHNVAANSNERLRRVANGPSDHFFLPSSKDHNESRDPDSLIDEQRRQLSYPPSMEPQGVLGQILFDVCVPKNVEGWDIKPTVSPNGRPTLASARQLGPFNPIKYFRRSRL >ORUFI06G07410.1 pep chromosome:OR_W1943:6:5267806:5272147:-1 gene:ORUFI06G07410 transcript:ORUFI06G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRDGAAARRQARVVVGGVRTRAAVTARRVVASAEEGCGLVGRGGGGGSGGDDGEGGCYLRLRSRRLPFVAAAVVSSRREEALGDSVAEAASSSSSRAVELLGCSGEEEAMAEKVCTQAGEDHDEESSVGDSGCGRERSATTPSSRRPPGDADSSDAESNQEAKQQMCRRSSTTSAAAFHAGATTRSFRMMAPPAAAAEIEEFLAAAERSEAERFAAKYNFDVVRGVPLDAGGAGRFEWTARFDSDSNWAALGQRVTRCDAESSRGPIKRVPDLAVLADRHSGELPGVDVFVTTVDPVDEPILYTVNTFLSILTTDYPVDSCKPRLRLAASAENPVDFSGVDVRLPMLVYISREKPCAGKRQCSNPAAASPDLAGASTCDSTHTRDVTWLLASESPKNSARRLRVH >ORUFI06G07420.1 pep chromosome:OR_W1943:6:5276833:5277180:1 gene:ORUFI06G07420 transcript:ORUFI06G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTGGGGGGGGSAGSRRPLGFLKNAAKHKHGFVQLLLMGSVLMMSLRTLGQKHRRRDLIYDNADLRREHDDLSLRMRDVQDALRREADADESGALASHLRRIFAAHPASTDDK >ORUFI06G07430.1 pep chromosome:OR_W1943:6:5282884:5287528:1 gene:ORUFI06G07430 transcript:ORUFI06G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGLGPAAAAALGGRSAARWCTYRRVTVAVCLGNLVAVLLVVRSLYSAPGYFASAPRRVAVKYSEEQIRLVEESIRIRRAAVSVELVEAVKKLEKVFAREEKRRKELPLELKQKVSYEILERMRDLGENSNTTEQREALESWRLEKLKDIRSASAQNLSMSDLSNEESRMLKRALELNWRMLMDDIGLWIPVSVWHTEHDDKPENEPEEEEIIAGPPLPPECNAQVHTDYGGAAVRWGLTHHKESAADCCQACLDQAKRARPGALKCNIWVYCPSEYGCYSPDKYEHKHQECWLKQADHPKLNFKDRYSESYRDAHPSAPVVVPWMSGVITV >ORUFI06G07440.1 pep chromosome:OR_W1943:6:5288199:5288608:1 gene:ORUFI06G07440 transcript:ORUFI06G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTSRGGFDLTRIVDAIEWHRFNQVYDIGADNIMRGAVTIHHEVQQQRLAVVTQEAITVWHVEAESAANGVGLIKLMGWSASHIALHTMLISRRLLPHPGGGLNKLP >ORUFI06G07450.1 pep chromosome:OR_W1943:6:5289158:5290176:1 gene:ORUFI06G07450 transcript:ORUFI06G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDADADAVAVELLPFIRVYVSGRVERLLGTDTVAASLDEPTGVASKDVTVDPATNLSVRLYLPPAVAAGERLPILSATSPTYHRYLNALASRARVVAVSVEYRLAPEHPLPAAYDDSWAALAWAVATAAAPGAVDPEPWLAAHGDASRVFIAGDSAGANIAHNVAMRAAAAPLPGGAGITGVLLMHPYFWDASNTMGPALEDRIRREWRFMCGSPDVRVDDPRLSPTVQQGAPSLAALPCRRVMVAVAGDDFLAGKGRAYHAALVASRWHGEAELVDTPGEDHLFHLTRPGTAAAAKMMDLVVDFVTR >ORUFI06G07460.1 pep chromosome:OR_W1943:6:5304134:5305703:-1 gene:ORUFI06G07460 transcript:ORUFI06G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAGTRPAASQPRRPRAIAVSPRAPPAPSKLDWTTRILNPKSAMEFHTPTIMMWAYGPIGSCYPVTAGVLIAKELEQAVTAKHVAGNPHHPPRAA >ORUFI06G07470.1 pep chromosome:OR_W1943:6:5311053:5312042:1 gene:ORUFI06G07470 transcript:ORUFI06G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGAGADEEVDFEFFPIIRRYKGGRVERFMNIPPLPAGTDPATGVTSKDVVVDPAVGLWARLFLPPGGGAPQGKLPVVVYYHGGAYVVGSAADPFTHSYLNGLVAEAGILAVALEYRLAPEHHLPAAYDDSWEGLRWVASHANGGGGVEPWLLEHGDFSRVFLAGASAGGNIAHYVAARAGEHGGLGLSIRGLLVVHPYFSGAADICAEGTTGKAEKAKADEFWRFIYPGSPGLDDPLSNPFSDAAGGISAARVAADRVLVCVAEKDSLRDRGVWYYESLKASGYAGEVDLLESMGEGHVFYCMDPRCERAREMQARILSFLRK >ORUFI06G07480.1 pep chromosome:OR_W1943:6:5312933:5319205:-1 gene:ORUFI06G07480 transcript:ORUFI06G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGLPSRLFRLMASSSSGDGGFSRPSSTDEGPMPIYSWPDKQRPRVCILGGGFGGLYTALRLESLVWPNDKKPQVMLVDQSDRFVFKPMLYELLSGEVDVWEIAPSFTELLKNTSVQFVKDSVKLLRPSDHFRRDSGGSCTARLVLALGAEAKIDVVPGSAEYAIPFTTLDDALKVESQLKMLERRRFGKNSPDIQVAIVGLGYSGVELAATISERLKNKGIVQAINVQTTICPSAPPGNRDAALKVLESRNIQLFLGYFVNCIREASASEDSSSMVTDAKEVNGDHKKLLLELQPAQRGIQSQVLEADMVLWTVGSTSQIPRLQPPDAPYVIPLNGRGQVETEETLQVKGHPRTFAIGDSAALRDPSGKLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGRSDAAITASFIEGLTLEGPLGHAARKIVYCLRMPTDEHRVKVGISWFTKTAVDSLASLQNAVANSFPSPDPATNRSPSAMDPDSEVAFDFQPYLCQYKSGRVFRPGGDPTVPAGTDPVTRVVSRDIHAGAARARVYLPPGAAVSTEKLPVVVYFHGGGFVTGSPARPSTHAYLNDLVARAGAIGVSVYYRLAPENPLPAAYEDAWAAVRWAATRGDGADPWLLDHADLSRLFLAGCSAGANIAHNMAVRCGGGGALPDGVTLRGLVVVHPYFTGKEAVGAEAAFGPDVREFFDRTWRFVFPETSGLDDPRVNPFVDDATRAAAAAIPCERVQVCVAEQDVLLKERGLWYHRELKASGYGGEVELFESKGVGHAFHFVGMAGSDQAVELLERNVEFIKK >ORUFI06G07490.1 pep chromosome:OR_W1943:6:5323697:5324944:1 gene:ORUFI06G07490 transcript:ORUFI06G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVRPASPPPRHHHFRFDSPAASPYATALSSPRGRLATATFLTAPPSPDPFEAIMAAQQQPETPRLTRANPFDLFQHFSSAPASPRRAAAIYAHFAEGGNGGGRDDGEDEEEEDDDDDEGFRPRASYTVNASSVPFDWEERPGTPKAGLGGGGGGAAWDTDFEFGTVVDKAAPEENLTTADELFEKGKIRPLKAPLPKTADELFDKGKVRPLKPPPGLLDGGSVASSPRSPMSRGGGMWSPRRRSRVGSGVDFDPFAAALLEATKAPSPSPSPLGVAASGSPAKKADQFTTRPASKSAGWRRWRLSDLLLFRSSSEHGRVTKDPIFKSSPARHPDSPVKKASARPTTTPGKASGKADTASKPRKHAGDKNAAAAAEGILGSVRLSPLQRLARGLRGSSWYHGHGGMAKLGTKG >ORUFI06G07500.1 pep chromosome:OR_W1943:6:5332551:5336392:-1 gene:ORUFI06G07500 transcript:ORUFI06G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYWVSQGNKWCDFCKIYIANNPLSIRTHEIGKRHKDNVTKRLATMQKEGAAKEKEQQQAARALKQIEAKAKKSYQKDLENSQRNVDGDTSAAPGDGWEFDSTSGYYYDKSTGLYFDSNSGFYYSDGLGKWVTQEEAYAWAKTSQANAGQSSSSQTKPTASVATVPTIKGGQAPGLVVKKPLNPMRTVKGAPSAIAVNKRKREDGKPKVISKEEEAALKAREAARKRMEDREKPLMGLYRSEYDLQLDA >ORUFI06G07500.2 pep chromosome:OR_W1943:6:5333119:5336392:-1 gene:ORUFI06G07500 transcript:ORUFI06G07500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYWVSQGNKWCDFCKIYIANNPLSIRTHEIGKRHKDNVTKRLATMQKEGAAKEKEQQQAARALKQIEAKAKKSYQKDLENSQRNVDGDTSAAPGDGWEFDSTSGYYYDKSTGLYFDSNSGFYYSDGLGKWVTQEEAYAWAKTSQANAGQSSSSQTKPTASVATVPTIKGGQAPGLVVKKPLNPMRTVKGAPSAIAVNKRKREDGKPKVISKEEEAALKAREAARKRMEDREKPLMGLYRSY >ORUFI06G07510.1 pep chromosome:OR_W1943:6:5337423:5351646:-1 gene:ORUFI06G07510 transcript:ORUFI06G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQASAAAEKAVVAPYGSWESPISAAAVSAAGRTVEGLAVAGDGRLLWVETRPEEGGRAVLVKEAAEPGGDAVDVTPEGFAVRSLAQEYGGGAFAVQGDVVVFSNYSDQRLYKQTIGDNSAQPLTPDYTGSVLRYADGVFDPHFCRYVTIMEDHRKDSSNPVTTIAAVTISDRDANEPTVLVSGNDFYAFPRIDPIKRRMAWIEWSNPNMSWDKAQLWVGYFSEKGEVHNKICIAGGDPTLVESPTEPKWTSKGELFFITDRESGFWNIYKWDEESNLIVQLYSLDAEFSKPMWIFGVSSYGFLGKDDTSNKINGRSCAGVLDHDSGSFSELDIPFSSVTNIVSGDGFFYVEGASATLPVSIAKVTLDEKRKTATNFSIVWSSSEDVMQYASYFSLPEFMEFPTVVPGQKAYAYFYAPHNHIFQGSSDEKPPLLVRTHGGPTDEARGVLDLGVQYWTSRGWAFVDVNYGGSTGYGRKFRERLLGQWGVVDVNDCCSCATFLVETGRVDAQRLCVTGESAGGFTTLACLAFRQIFKAGNRKAYFERSPINFVDRFSCPIILFQGLEDTVVSPVQATTIYKAIKDKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGHFKVADGITPIKIDNFDEPSFIPKEAFAYLLFWLGLRPVAAPYGSWRSPITADVVSGADKRLGGIALAGDGRLLWIEGRPEEKGRMVIVKEDDKPVDIIPQEFAARTLAQEYGGGAFSVKDNVVVFSNYKDQRLYKQTGVPVPLTPDYGGPDVSYADGVFDPHFSRYVTVIEEPKVLISGNDFYAFPRIDHNNKRMAWIEWSHPNMPWDKSELWVGYFSESGDLTKRVCVAGSNPMLVESPTEPKWSPKGELFFLTDRGSGFWNIYKWVEHTNEVISVYTLDAEFTRPLWVFGISSYGFLGESNHIVFSYRQHGRSYLGVLDSDIGSVSLLDTPFTDLSNVVTGNDYFYIEGASATVPMSIAKVALNEDRTKVVSFSIIWSSSSDVVQYSSFFSAPEFVEFSTSSTGQKAYAYFYPPSNPNFQGLPDEKPPLLVKTHGGPTAETRGILDLSVQYWTSRGWAYLDVNYGGSTGFGREYRERLLGKWGIVDVDDCCSCARVLVESGKVDERRLCITGRSAGGYTTLASLAFRDTFKAGASLYGIGDLSLLRAETHKFESHYTDNLVGNENAYYERSPINFVDKFTCPVILFQGLDDKVVPPDQARKIYKALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGNFKPGMDLRQVRNAGKFLIKLKSAC >ORUFI06G07510.2 pep chromosome:OR_W1943:6:5337423:5351646:-1 gene:ORUFI06G07510 transcript:ORUFI06G07510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQASAAAEKAVVAPYGSWESPISAAAVSAAGRTVEGLAVAGDGRLLWVETRPEEGGRAVLVKEAAEPGGDAVDVTPEGFAVRSLAQEYGGGAFAVQGDVVVFSNYSDQRLYKQTIGDNSAQPLTPDYTGSVLRYADGVFDPHFCRYVTIMEDHRKDSSNPVTTIAAVTISDRDANEPTVLVSGNDFYAFPRIDPIKRRMAWIEWSNPNMSWDKAQLWVGYFSEKGEVHNKICIAGGDPTLVESPTEPKWTSKGELFFITDRESGFWNIYKWDEESNLIVQLYSLDAEFSKPMWIFGVSSYGFLGKDDTSNKINGRSCAGVLDHDSGSFSELDIPFSSVTNIVSGDGFFYVEGASATLPVSIAKSPARRLMLISMPRTIIFSKGRQMRNLRYWSEPMVDLQMKHVEFWILVFSTGQAEDGHLLMLTMGEAQVETGRVDAQRLCVTGESAGGFTTLACLAFRQIFKAGNRKAYFERSPINFVDRFSCPIILFQGLEDTVVSPVQATTIYKAIKDKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGHFKVADGITPIKIDNFDEPSFIPKEAFAYLLFWLGLRPVAAPYGSWRSPITADVVSGADKRLGGIALAGDGRLLWIEGRPEEKGRMVIVKEDDKPVDIIPQEFAARTLAQEYGGGAFSVKDNVVVFSNYKDQRLYKQTGVPVPLTPDYGGPDVSYADGVFDPHFSRYVTVIEEPKVLISGNDFYAFPRIDHNNKRMAWIEWSHPNMPWDKSELWVGYFSESGDLTKRVCVAGSNPMLVESPTEPKWSPKGELFFLTDRGSGFWNIYKWVEHTNEVISVYTLDAEFTRPLWVFGISSYGFLGESNHIVFSYRQHGRSYLGVLDSDIGSVSLLDTPFTDLSNVVTGNDYFYIEGASATVPMSIAKVALNEDRTKVVSFSIIWSSSSDVVQYSSFFSAPEFVEFSTSSTGQKAYAYFYPPSNPNFQGLPDEKPPLLVKTHGGPTAETRGILDLSVQYWTSRGWAYLDVNYGGSTGFGREYRERLLGKWGIVDVDDCCSCARVLVESGKVDERRLCITGRSAGGYTTLASLAFRDTFKAGASLYGIGDLSLLRAETHKFESHYTDNLVGNENAYYERSPINFVDKFTCPVILFQGLDDKVVPPDQARKIYKALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGNFKPGMDLRQVRNAGKFLIKLKSAC >ORUFI06G07510.3 pep chromosome:OR_W1943:6:5337423:5351646:-1 gene:ORUFI06G07510 transcript:ORUFI06G07510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQASAAAEKAVVAPYGSWESPISAAAVSAAGRTVEGLAVAGDGRLLWVETRPEEGGRAVLVKEAAEPGGDAVDVTPEGFAVRSLAQEYGGGAFAVQGDVVVFSNYSDQRLYKQTIGDNSAQPLTPDYTGSVLRYADGVFDPHFCRYVTIMEDHRKDSSNPVTTIAAVTISDRDANEPTVLVSGNDFYAFPRIDPIKRRMAWIEWSNPNMSWDKAQLWVGYFSEKGEVHNKICIAGGDPTLVESPTEPKWTSKGELFFITDRESGFWNIYKWDEESNLIVQLYSLDAEFSKPMWIFGVSSYGFLGKDDTSNKINGRSCAGVLDHDSGSFSELDIPFSSVTNIVSGDGFFYVEGASATLPVSIAKVTLDEKRKTATNFSIVWSSSEDVMQYASYFSLPEFMEFPTVVPGQKAYAYFYAPHNHIFQGSSDEKPPLLVRTHGGPTDEARGVLDLGVQYWTSRGWAFVDVNYGGSTGFGREYRERLLGKWGIVDVDDCCSCARVLVESGKVDERRLCITGRSAGGYTTLASLAFRDTFKAGASLYGIGDLSLLRAETHKFESHYTDNLVGNENAYYERSPINFVDKFTCPVILFQGLDDKVVPPDQARKIYKALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGNFKPGMDLRQVRNAGKFLIKLKSAC >ORUFI06G07520.1 pep chromosome:OR_W1943:6:5355489:5377348:-1 gene:ORUFI06G07520 transcript:ORUFI06G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSLATRITLSLRRRKRTQLQGHTSVEMAREAEKDAAAAAEIPLLTPYKMGRFELSHRVVLAPLTRNRSYGNVPRPHAVLYYTQRATSGGLLVTEATGVSDTAQGYPDTPGIWTQQQVEAWKPIVDAVHRKGALFICQLWHVGRVSTNEYQPDGQAPISSTDRQITPDDSGIVYSKPRRLRTEEIPQIIDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSANDRSDEYGGSLENRCRFVVEVIDAIVAEVGAHRVGIRLSPFIDYMDCVDSDPVALGSYMVQQLNKHPGFLYCHMVEPRMAIVEGRRKITHGLLPFRKLFNGTFIAAGGYDREEGNKVIADGYADLVAYGRHFLANPDLPKRFAINAPLNKYNSSSQPKAFIKKSSRAKFRSKQERGRSVEKGRGEMVNQAAMPLLTPYKQAGGKIDLSHRVVLSPMTRCRSYGNVPQPHAALYYTQRATSGGLLITEATGVSDTAQGYPETPGVWTREHVEAWKPIVDAFNGTFIAAGGYDREEGNKVVADGYADLVAYGRLFLANPDLPRRFELDAPLNRYDRSTFYTQDPVAWKPIVDAVHRKGALFICQLWHVGRVSTNDYQPNGQAPISSSDIQITPDGSGIVYSKPRRLRVDEIPQIVDDFRLAARNAIEAGFDGVEIHGANGYLLEQFMKDSSNDRTDEYGGSLENRCRFAVEPRMAIVDGRRQIQHGLLPFRKAFKGTFIAAGGYDREEGNKVIENGYTDLVSFGRLFLANPDLPKRFELDAPLNKYDRNTFYTQDPIVGYTDYPFLDEDQNNSLDHRIAFVSFPSPIDTYIIQAEQSPINRDKMVQHHQAAPNDDHQAIPLLTPYKQAGRPGSKLDLSHRVLLAPMTRCRSYGNVPQPHAALYYTQRATRGGLLITEATGVSATAQGYPETPGVRTREHVEAWKPIVDAVHRKGALFICQLWHVGRVSNNDEIPQIVDDFRLAARNAVEAGFDGVEIHGANGYLLEQFMKDSSNDRTDEYGGSLENRCRFAVEVIDAVVGEIGAHSVGIRLSPFLDYMDCVDSDPEALGSYMVEQLNKHEDFLYCHMVEPRMAIVDGRRQIQHGLLPFRKQFNGTFIAAGGYDREEGNKAVADGYADLVAYGRLFLANPDLPKRFELDAPMNNYDRNTFYTQDPVVGYTDYPFLDEHHHDDDDDSNAPSA >ORUFI06G07520.2 pep chromosome:OR_W1943:6:5355489:5377348:-1 gene:ORUFI06G07520 transcript:ORUFI06G07520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSLATRITLSLRRRKRTQLQGHTSVEMAREAEKDAAAAAEIPLLTPYKMGRFELSHRVVLAPLTRNRSYGNVPRPHAVLYYTQRATSGGLLVTEATGVSDTAQGYPDTPGIWTQQQVEAWKPIVDAVHRKGALFICQLWHVGRVSTNEYQPDGQAPISSTDRQITPDDSGIVYSKPRRLRTEEIPQIIDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSANDRSDEYGGSLENRCRFVVEVIDAIVAEVGAHRVGIRLSPFIDYMDCVDSDPVALGSYMVQQLNKHPGFLYCHMVEPRMAIVEGRRKITHGLLPFRKLFNGTFIAAGGYDREEGNKVIADGYADLVAYGRHFLANPDLPKRFAINAPLNKYNSSSQPKAFIKKSSRAKFRSKQERGRSVEKGRGEMVNQAAMPLLTPYKQAGGKIDLSHRVVLSPMTRCRSYGNVPQPHAALYYTQRATSGGLLITEATGVSDTAQGYPETPGVWTREHVEAWKPIVDAFNGTFIAAGGYDREEGNKVVADGYADLVAYGRLFLANPDLPRRFELDAPLNRYDRSTFYTQDPVAWKPIVDAVHRKGALFICQLWHVGRVSTNDYQPNGQAPISSSDIQITPDGSGIVYSKPRRLRVDEIPQIVDDFRLAARNAIEAGFDGVEIHGANGYLLEQFMKDSSNDRTDEYGGSLENRCRFAVEPRMAIVDGRRQIQHGLLPFRKAFKGTFIAAGGYDREEGNKVIENGYTDLVSFGRLFLANPDLPKRFELDAPLNKYDRNTFYTQDPIVGYTDYPFLDEDQNNSLDHRIAFVSFPSPIDTYIIQAEQSPINRDKMVQHHQAAPNDDHQAIPLLTPYKQAGRPGSKLDLSHRVLLAPMTRCRSYGNVPQPHAALYYTQRATRGGLLITEATGVSATAQGYPETPGVRTREHVEAWKPIVDAVHRKGALFICQLWHVGRVSNNGTTDKAITPDGYGMVYSKPRRLRTDEIPQIVDDFRLAARNAVEAGFDGVEIHGANGYLLEQFMKDSSNDRTDEYGGSLENRCRFAVEVIDAVVGEIGAHSVGIRLSPFLDYMDCVDSDPEALGSYMVEQLNKHEDFLYCHMVEPRMAIVDGRRQIQHGLLPFRKQFNGTFIAAGGYDREEGNKAVADGYADLVAYGRLFLANPDLPKRFELDAPMNNYDRNTFYTQDPVVGYTDYPFLDEHHHDDDDDSNAPSA >ORUFI06G07520.3 pep chromosome:OR_W1943:6:5355489:5380853:-1 gene:ORUFI06G07520 transcript:ORUFI06G07520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYLRASHVDVKKVAAKEAAAAAIPLMAPYKMGRFELSHRVVLAPLTRCRSYDHVPQPHAALYYSQRATNGGLLISEATGVSATGEGYPEIPGVWTRQQVKAWKPIVDAVHRKGALFFCQLAHVGRASTNEYQPDGQAPISSTDRQITPDDSGIVYSKPRRLRTEEIPQIIDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSANDRSDEYGGSLENRCRFVVEVIDAIVAEVGAHRVGIRLSPFIDYMDCVDSDPVALGSYMVQQLNKHPGFLYCHMVEPRMAIVEGRRKITHGLLPFRKLFNGTFIAAGGYDREEGNKVIADGYADLVAYGRHFLANPDLPKRFAINAPLNKYNSSSQPKAFIKKSSRAKFRSKQERGRSVEKGRGEMVNQAAMPLLTPYKQAGGKIDLSHRVVLSPMTRCRSYGNVPQPHAALYYTQRATSGGLLITEATGVSDTAQGYPETPGVWTREHVEAWKPIVDAFNGTFIAAGGYDREEGNKVVADGYADLVAYGRLFLANPDLPRRFELDAPLNRYDRSTFYTQDPVAWKPIVDAVHRKGALFICQLWHVGRVSTNDYQPNGQAPISSSDIQITPDGSGIVYSKPRRLRVDEIPQIVDDFRLAARNAIEAGFDGVEIHGANGYLLEQFMKDSSNDRTDEYGGSLENRCRFAVEPRMAIVDGRRQIQHGLLPFRKAFKGTFIAAGGYDREEGNKVIENGYTDLVSFGRLFLANPDLPKRFELDAPLNKYDRNTFYTQDPIVGYTDYPFLDEDQNNSLDHRIAFVSFPSPIDTYIIQAEQSPINRDKMVQHHQAAPNDDHQAIPLLTPYKQAGRPGSKLDLSHRVLLAPMTRCRSYGNVPQPHAALYYTQRATRGGLLITEATGVSATAQGYPETPGVRTREHVEAWKPIVDAVHRKGALFICQLWHVGRVSNNGTTDKAITPDGYGMVYSKPRRLRTDEIPQIVDDFRLAARNAVEAGFDGVEIHGANGYLLEQFMKDSSNDRTDEYGGSLENRCRFAVEVIDAVVGEIGAHSVGIRLSPFLDYMDCVDSDPEALGSYMVEQLNKHEDFLYCHMVEPRMAIVDGRRQIQHGLLPFRKQFNGTFIAAGGYDREEGNKAVADGYADLVAYGRLFLANPDLPKRFELDAPMNNYDRNTFYTQDPVVGYTDYPFLDEHHHDDDDDSNAPSA >ORUFI06G07520.4 pep chromosome:OR_W1943:6:5355489:5372679:-1 gene:ORUFI06G07520 transcript:ORUFI06G07520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLVISSQPKAFIKKSSRAKFRSKQERGRSVEKGRGEMVNQAAMPLLTPYKQAGGKIDLSHRVVLSPMTRCRSYGNVPQPHAALYYTQRATSGGLLITEATGVSDTAQGYPETPGVWTREHVEAWKPIVDAFNGTFIAAGGYDREEGNKVVADGYADLVAYGRLFLANPDLPRRFELDAPLNRYDRSTFYTQDPVAWKPIVDAVHRKGALFICQLWHVGRVSTNDYQPNGQAPISSSDIQITPDGSGIVYSKPRRLRVDEIPQIVDDFRLAARNAIEAGFDGVEIHGANGYLLEQFMKDSSNDRTDEYGGSLENRCRFAVEPRMAIVDGRRQIQHGLLPFRKAFKGTFIAAGGYDREEGNKVIENGYTDLVSFGRLFLANPDLPKRFELDAPLNKYDRNTFYTQDPIVGYTDYPFLDEDQNNSLDHRIAFVSFPSPIDTYIIQAEQSPINRDKMVQHHQAAPNDDHQAIPLLTPYKQAGRPGSKLDLSHRVLLAPMTRCRSYGNVPQPHAALYYTQRATRGGLLITEATGVSATAQGYPETPGVRTREHVEAWKPIVDAVHRKGALFICQLWHVGRVSNNGTTDKAITPDGYGMVYSKPRRLRTDEIPQIVDDFRLAARNAVEAGFDGVEIHGANGYLLEQFMKDSSNDRTDEYGGSLENRCRFAVEVIDAVVGEIGAHSVGIRLSPFLDYMDCVDSDPEALGSYMVEQLNKHEDFLYCHMVEPRMAIVDGRRQIQHGLLPFRKQFNGTFIAAGGYDREEGNKAVADGYADLVAYGRLFLANPDLPKRFELDAPMNNYDRNTFYTQDPVVGYTDYPFLDEHHHDDDDDSNAPSA >ORUFI06G07520.5 pep chromosome:OR_W1943:6:5380893:5392245:-1 gene:ORUFI06G07520 transcript:ORUFI06G07520.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAPAKEAAAAIPLLKPYKMGQQELSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATRGGLLIAEATDISPTAQGYPETPGIYTQQQIEAWKPIVDAVHRKGALFFLQIWHVGRVSTTDFQPNGQAPISSTDKQITPDDSGMVYSKPRRLRTDEIPQIIDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSANDRTDEYGGSLENRCRFAVEVIDAVVAEVGAHRVGIRLSPFVDFMDCFDSDPVALGSYMVQQLNKHPGFLYCHMVEPRMAIIEGRRKIAHGLLPFRKQFNGTFIAAGGYDREEGNKVCCCRFPVAIKTSRTDRNPGRRYLVCAREKSGYQSIPDEIACALQAKMVHAPAKEAIPLLTPYKMGQLELSHRVVLAPLTRCRSYGHVPQPHAAVYYSQRATNGGLLIAEATVISPTAQGYPDTPGIYTQQQIEAWKPIVDAVHRKGALFFLQIWHVGRVSTTDFQPNGQAPISSTDKQITPDDSGMVYSKPRRLRTDEIPQIVDDFRRAARNAIEAGFDGVEIHGAHGYLLDQFMKDSANDRTDEYGGNLENRCRFAVECTNDVPSGKFELLWKAFDALAAPFAEFLGAACDAAGERPDWIIADTFHHWAPLVALQHKVPCAMLLPSASMMAGWATRSSEPAGASIFQVLGVKASFFVDHGASGMSVAKRCSLAMERCTLAAMRSCPEWEPDAFQQVAAGLKNKPLIPLGLVPPSPDGGRRRAGMTDNSTMRWLDVQPAKSVVYVALGSEVPLPLEQVHELALGLELAGTRFLWALRKPHGVDLSDVLPPGYQERTKSHGHVAMGWVPQITILAHAAVGAFLTHCGRNSLVEGLLFGNPLIMLPIFGDQGPNARLMEGNKVGSQVRRDDMDGSFDRHGVAAAVRAVMVEEETRRVFVANAIRLQELVADKELHERYIDEFIQQLVSHGADGSCNTAAPVPSS >ORUFI06G07520.6 pep chromosome:OR_W1943:6:5377365:5392245:-1 gene:ORUFI06G07520 transcript:ORUFI06G07520.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAPAKEAAAAIPLLKPYKMGQQELSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATRGGLLIAEATDISPTAQGYPETPGIYTQQQIEAWKPIVDAVHRKGALFFLQIWHVGRVSTTDFQPNGQAPISSTDKQITPDDSGMVYSKPRRLRTDEIPQIVDDFRRAARNAIEAGFDGVEIHGAHGYLLDQFMKDSANDRTDEYGGNLENRCRFAVEVIDAVVAEVGADRVGIRLSPYIDFMDCFDSNPEALGSYMVRQLNKHPELLYCHMVEPRMATVEGRRKINHGLLPFRKQFNGTFIASGGYDREEGNKVVDDGYADLVAYGRLFLANPDLPRRFELNAPLNKYD >ORUFI06G07520.7 pep chromosome:OR_W1943:6:5377365:5380853:-1 gene:ORUFI06G07520 transcript:ORUFI06G07520.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYLRASHVDVKKVAAKEAAAAAIPLMAPYKMGRFELSHRVVLAPLTRCRSYDHVPQPHAALYYSQRATNGGLLISEATGVSATGEGYPEIPGVWTRQQVKAWKPIVDAVHRKGALFFCQLAHVGRASTNDFMDCFDSNPEALGSYMVRQLNKHPELLYCHMVEPRMATVEGRRKINHGLLPFRKQFNGTFIASGGYDREEGNKVVDDGYADLVAYGRLFLANPDLPRRFELNAPLNKYD >ORUFI06G07520.8 pep chromosome:OR_W1943:6:5372705:5377348:-1 gene:ORUFI06G07520 transcript:ORUFI06G07520.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSLATRITLSLRRRKRTQLQGHTSVEMAREAEKDAAAAAEIPLLTPYKMGRFELSHRVVLAPLTRNRSYGNVPRPHAVLYYTQRATSGGLLVTEATGVSDTAQGYPDTPGIWTQQQVEAWKPIVDAVHRKGALFICQLWHVGRVSTNEYQPDGQAPISSTDRQITPDDSGIVYSKPRRLRTEEIPQIIDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSANDRSDEYGGSLENRCRFVVEVIDAIVAEVGAHRVGIRLSPFIDYMDCVDSDPVALGSYMVQQLNKHPGFLYCHMVEPRMAIVEGRRKITHGLLPFRKLFNGTFIAAGGYDREEGNKVIADGYADLVAYGRHFLANPDLPKRFAINAPLNKYNRSTFYIQDPVVGYTDYPFLDEKDEGAATYA >ORUFI06G07530.1 pep chromosome:OR_W1943:6:5394628:5398249:-1 gene:ORUFI06G07530 transcript:ORUFI06G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNIKDTNRVMFLASLLLMAMVYSCAAQGYWYSYLVDVDADVDDDMISLRVLPNARAALIRAVADAPGRREEQALHCLACKLGLAGDAVLATALLTRYARRGLLDPARRLFDEMPRRDAVAFNAMLAALVASRRMADARELFERMPDRTPASWNTMVTCYCKAGDLGSAREVFEASLGATASNVVSWNTMIDGYCKAGRMDAAQELFDCMGCSFSSSPSPDVVTWNTMMAGYLRRGDPATAIAMFRRLMRQTVQQQTLMMPTTVTIATVVTACTQAGDFAFGRRVHHYIRQLGTRIDAVLSNALIDMYFKCGSVDRALDVFATMPDGPNLFCWNTVIAGLGMNGRGEDAVRAFHDMVGRSRTCRGIIRPDGVTFVALLSACSHSGLVAEGRRFFAEMVPVHGVEPREEHYGCMVDLLCRAGLLGDAVRVVRAMPVRPNAKILGCLLLHARRLSSASEEDGVRVGEWVADRISELDLDDGAAYGLSNMYASLQRWDHVEMHRIKVNAAVRHKQPGQSSCMI >ORUFI06G07540.1 pep chromosome:OR_W1943:6:5399448:5403297:-1 gene:ORUFI06G07540 transcript:ORUFI06G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLAYVDLLLLLHATFWEFWFDIRTSLCFDNILYASTMPTGQQNPINTEKGTVLGDATARKVLHARNSVAHPSATATRPIERRCRPSQPSFSAAPLPLLSSTREGDARPSTNAACLRRLWGFAGTATCPHIPFPEDYCEPTEPCNNITCPQLCGKNARAYCKPGQGKG >ORUFI06G07550.1 pep chromosome:OR_W1943:6:5403375:5404491:-1 gene:ORUFI06G07550 transcript:ORUFI06G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRISSATLVVAAVLAMLVLVPAAARAERFVVGDAARWTWVFMYDPPNATTHAHSVYMMRNAADYQSCNLKAAKLVANVMQGAGSGYEFVLRKRKPHYFVCGERGGIHCTMGQMKFIVKPKSSACRDD >ORUFI06G07560.1 pep chromosome:OR_W1943:6:5406208:5412012:-1 gene:ORUFI06G07560 transcript:ORUFI06G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEGAEPAAVPSAAAAAEVEVEVKNPRCFMDVSIGGEIEGRIVIELYASVVPRTAENFRALCTGEKGVGAVTGKPLHYKGSCFHRVIKGFMVQGGDITAGDGTGGESIYGLKFEDEKFVLKHERKGMLSMANSGPNTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSVEHAPVGEADRPTSDVEIVDCGELPEGGDDGVVNFFNDGDTYPDWPNDLDEKPMEVSWWMDAVESAKAFGNNNFKKQDYKAALRKYRKALRYLDACKLKLGDLKGALLDADFALRESEGNAKAFFRQGQAHIALNDIDAAVESFKHALELEPSDGGIKRELAAAKKKIADRRNQERKAFARMFQPSGKSDKDNEGITRESAQLTQKTLTPYLDTRKKNTFPRECLGGVSVTRR >ORUFI06G07570.1 pep chromosome:OR_W1943:6:5424812:5440237:-1 gene:ORUFI06G07570 transcript:ORUFI06G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIIDKYTTHSKNLGKTDKQPSIDLNFFLIILLRTYTNSYAYIHLLLQLEHSKCSSLNEQLAEASLQLRQMRGEELEGLSVEELQQMEKNLEAGLHRVLCTKDQQFMQEISELQRKGIQLAEENMRLRDQMPQVPTAGLAVPDTENVLTEDGQSSESVMTALNSGSSQDNDDGSDISLKLG >ORUFI06G07580.1 pep chromosome:OR_W1943:6:5440507:5447989:-1 gene:ORUFI06G07580 transcript:ORUFI06G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWERGEEKMRKNGGRIPCPHQGRIWLEHENAAARVRLDKAWGMHKNAAGTSVVAPNRRGEKRRHTHRTVVVALGSARFV >ORUFI06G07590.1 pep chromosome:OR_W1943:6:5449522:5452859:-1 gene:ORUFI06G07590 transcript:ORUFI06G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLTKMTGMEYVLSDVMEPHLFVIRKQRRESPEKSNAMLAYYILDGSIYQAPQLCSVFASRISRAMHHISKAFTTACSKLEKIGHVETEPDTAASESKTQKEAIDLKELKRVDHILMSLQRKLQPAPPPPPFPEGYVPSEQEKASDDLLASEALPPQVDPIIDQGPAKRPRFQ >ORUFI06G07590.2 pep chromosome:OR_W1943:6:5449524:5452633:-1 gene:ORUFI06G07590 transcript:ORUFI06G07590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPLPPPAQPPPPPGAAGPDGAAALPPPPGTDMTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDLTCNNESLRSRQIHPLDMSHLTKMTGMEYVLSDVMEPHLFVIRKQRRESPEKSNAMLAYYILDGSIYQAPQLCSVFASRISRAMHHISKAFTTACSKLEKIGHVETEPDTAASESKTQKEAIDLKELKRVDHILMSLQRKLQPAPPPPPFPEGYVPSEQEKASDDLLASEALPPQVDPIIDQGPAKRPRFQ >ORUFI06G07600.1 pep chromosome:OR_W1943:6:5454935:5470775:-1 gene:ORUFI06G07600 transcript:ORUFI06G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRGRWAWDVPGFEPPQPVVGAAAGMPLAPPTAMPRAPPTAMVARAAGADGAVVPVADRLDQLADSVQLAREDCLELRQEASDLLEYSNAKLGRVTRYLGFLADRTRKLDQAALETEARITPLIHEKKRLFNDLLTLKGNVKVFCRSRPLFEDEGSSVVEFPDDFTIRVNTGDESLTNPKKDYEFDRVYGPHIGQGELFHDVQPLVQSALDGYNVAIFAYGQSRSGKTHTLVEGSSHDRGLYLRSFEELFDLSNSDTTSTSHFNFYITACELYNDQVRDLLSDSISPVPKVRMGVQESFVELVQEKVENPLEFSNSLKAALENRSANSLKAMVSHLIVTIHIHYRNYVTGEHLYSKLSLVDLPASECLLEEDANRDNVTDFLHVSKSLSALGDALASLSAKKEPVLSGNSRITQILADSLGSSSKTLLIVHVSPSASNLSRTLSTLSFSARAKNAELSLGNRDTIKKWKDVANDSRKELHDKEKEVLDLRQEVLGLKLSLKEANDQCTLLFNEVQKAWRVSSTLQADLKSENLMLAEKHRIEKEQNNQLRDQISRLLEVEQEQKIKMHERDLTIQSLQAKLKSIESQLNEALNSSDARSTIGSESASVISTPKMMESTADSSSVTKRLEEELAKRDALIEKLHEENEKLFDRLTEKSGLGSSPQAPSPSNKQTNAQGRDIGRSDSTKSQSSDVFPLPVSQDKAGNSGAIVKSSNELTKTTPAGEYLTSALMDFDPNQFEGVAAIADGANKLLMLPYFHCHRDYNETPPISDWCMVLAAVIKAGAAREHEILAEIRDAVFSFIRKMEPRKVMDTMLVSRVKILYIRSLLARSPELQSIKVSPVERFLEKSHTSRSRSSSRGSSPGRSPVHHHHDHGSRTSLIDEHVHGFKVNIKPERKSKFSSIVLKLRGIEEIIFGQETWRQHVTGGKLREITEEAKAFAIGNKALAALFVHTPAGELQRQIRAWLAENFEFLSVTGGDVAGASGQLELLSTAIMDGWMAGLGTARPPSTDALGQLLSEYTKRVYTSQLHHLKDIAGTLATEVADDPAHVSKLRSALESVDHKRRKIMQQMRTDTVLLTKEEGGSPIRNPPTAAEDARLASLISLDNIIKQVKEVMRQSSARPLRKSKKKALLESLDDLLAQMPSLLDVDHPCAQKQIMEARKVVESLQEDPDEPATDLNSNTLGESEVSQWNVLQFNTGTSAPFIIKCGANSSCELVIKADQKIQEPKGDEIIRVVPKPSVLAEMSFEEIKGVFEELPEAISLLALARTADGTRARYSRLYRTLANKVPALKDIVAEMEKGGVFKDVRS >ORUFI06G07610.1 pep chromosome:OR_W1943:6:5479644:5481984:-1 gene:ORUFI06G07610 transcript:ORUFI06G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVCTNAFATAIAAGRFPLPRGRSPPYAAAAVPALRSRRCLPTRGLLRLRCARGVDWTDPSFVAVAEKPDAGAEAWKALASAGGGGIEEEEEDGPFEAINGDGGYSVEESVVLPPFEQSLVAAVADSVEDDALSQALSSKLDFKETSTFVMYGSGAFIAGWILSAVVSAIDSIPLFPKILQIVGLGYTIWFSTRYLLFKENRDELFVKVDDLKRKITGYGDE >ORUFI06G07620.1 pep chromosome:OR_W1943:6:5482453:5483158:-1 gene:ORUFI06G07620 transcript:ORUFI06G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGQRRDDGRRRHGGGEAHTLSDAAAAEEEEVRREPRGGGHVVHAELGALVIEVVVLCEGMCQRWWWRRRSDVLQHVKRRRRRAHGGGWVSTTAERRRKQVRAAAKAGECGGGERVRLRMGRGGRRRLRSARLCRRRWLRARAEQGGERGAEGRVSGGSERQPSPRDGLLPCRLLAAGAHRCGARPRLHLRAHLMSRVMETDTSSAWFLGSSLRVDALVQEGFSAPST >ORUFI06G07630.1 pep chromosome:OR_W1943:6:5491600:5493899:1 gene:ORUFI06G07630 transcript:ORUFI06G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDFAASILLCAEDNTAILDLGEESEEISWVVGVDASLGDLSMDFPLQSDDCIEALLGREEQQHIPMEGYLQRLLLQPDGLDLVAVRSDAIDWIWKVHELYKFGPLTAVLSVNYLDRFLSVFDLPQEEACMTQLLAVASLSLAAKMEETVVPHPLDLQVCDAKYVFETRTIKRMELAVLNALKWRMQAVTACSFIDYYLHKFNDDDTPSTSALSRSVDLILSTCKVAEFLVFRPSEIAASVALVALEEHETSMFERVATCYKNLKKERVLRCYEMIQDKIIMRNIMRQSAGSVFSIPKSPIGVLDAAACISQQSEDTFVGSPATNYESSASSKRRRICR >ORUFI06G07640.1 pep chromosome:OR_W1943:6:5499731:5501353:1 gene:ORUFI06G07640 transcript:ORUFI06G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLPDPSHGGGAPPHDHTEDEWFKERYGGGGGGGDAPRSSRAVNPVPPYGRRSALAPRRKEDFGDGGAFPEVHVAQYPLDMGRRGGDGDGEQRGSSGGVLSLTVDGSGGRVEFDAVVRQGENAGKTVYSSPGDVLPKINAAAADADDDEQAAVEETTARTSAALRAIVEKRLSAVQPSNTLASNHDPEFIKYTPARQTSAFNSGAAERIIRMGETQQDPLEPPKFKHKRVPAPAGSPPVPVLRSPPRPPSQKDHDDWKVPPSISSWKNPKGYSIPLDKRAALDGRGLHDVQVSDAFAALAEALYAAEQKAREAVETRAKVHTEMKMREKEKAEQHLLQLATKARAEMLGAAPPAPSERSKAAAERDAIREERRRERRLEARAAAAAASKKSAATRDRDRDVSERIALGMANTGGGGGEVTYDQRLFNQEKGMGSGFAGDDQYNVYSGRLFAAQPALSTLYKPSKHGEEDPDAYGDADEHLGKIAKTRRFVPDKAFTGAPASVAAGKRERPVEFDGPEMEEDPFHLDQFLTQMKKGKHQ >ORUFI06G07650.1 pep chromosome:OR_W1943:6:5504340:5506968:1 gene:ORUFI06G07650 transcript:ORUFI06G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPAEIPPAAAAAAEAPAVPRSDDPPAASDPSSPPPPPPPVAVAAATADPPPPAQPQGQKTVTWSEKLTSESPTYVAAATAEAAESSQYVSRGPASSSSKGAVEAMKETLSRWGKSVGETTKMVESLSRDTWQHFKTGPSFTEAAMGRLAQGTKVLAEGGYEKIFRQTFEVLPEEQLKISYACYLSTSAGPVMGVMYISTAKIAFCSDNPLSYKAGNKTEWSYYKARIVVIPLHQLRAANPSVSKVNPAEKYIQVVSVEGHEFWFMGFLMYDKAVCSLQEAMNSAREMQP >ORUFI06G07660.1 pep chromosome:OR_W1943:6:5509502:5518369:-1 gene:ORUFI06G07660 transcript:ORUFI06G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGWLRLASRSLALRSGEVAAQGSKWIRHSTRQHSSPEKDYYKILGVPKDASQEEIKRAFHSLAKRYHPDTNRGNTAAKRTFQEIRDAYEARFHKQGHNPFAEFYRQNNGPFSSKFYKIFSEVFEHDVDAHANDIEVEVNLSFRDAVKGCMKQVSFSAKNLCDSCDGRGYLANAKMYVCPSCRGAGRVSINPFTSICTSCRGFGKVIKDYCLTCKGSGVVDGMKYGLILAIQFMYQRLDIVVDVEPYLEVASDPVFVRDGADIHVDKKISFTQAMLGGKVEVPTLDGTAEVKIPKGVQPGQVIVLRGKGLPNQAGYLGDQHVRFRIHFPSMVNERQRALLEEFAVEEATKEQSSFSAGNWWELVENMKGQTFLLGLGFLVLVHLLLTKTVN >ORUFI06G07660.2 pep chromosome:OR_W1943:6:5509502:5518369:-1 gene:ORUFI06G07660 transcript:ORUFI06G07660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGWLRLASRSLALRSGEVAAQGSKWIRHSTRQHSSPEKDYYKILGVPKDASQEEIKRAFHSTLRDPSKREQYDMVFEHDVDAHANDIEVEVNLSFRDAVKGCMKQVSFSAKNLCDSCDGRGYLANAKMYVCPSCRGAGRVSINPFTSICTSCRGFGKVIKDYCLTCKGSGVVDGMKYGLILAIQFMYQRLDIVVDVEPYLEVASDPVFVRDGADIHVDKKISFTQAMLGGKVEVPTLDGTAEVKIPKGVQPGQVIVLRGKGLPNQAGYLGDQHVRFRIHFPSMVNERQRALLEEFAVEEATKEQSSFSAGNWWELVENMKGQTFLLGLGFLVLVHLLLTKTVN >ORUFI06G07660.3 pep chromosome:OR_W1943:6:5509502:5518369:-1 gene:ORUFI06G07660 transcript:ORUFI06G07660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGWLRLASRSLALRSGEVAAQGSKWIRHSTRQHSSPEKDYYKILGVPKDASQEEIKRAFHSLAKRYHPDTNRGNTAAKRTFQEIRDAYEANAHANDIEVEVNLSFRDAVKGCMKQVSFSAKNLCDSCDGRGYLANAKMYVCPSCRGAGRVSINPFTSICTSCRGFGKVIKDYCLTCKGSGVVDGMKYGLILAIQFMYQRLDIVVDVEPYLEVASDPVFVRDGADIHVDKKISFTQAMLGGKVEVPTLDGTAEVKIPKGVQPGQVIVLRGKGLPNQAGYLGDQHVRFRIHFPSMVNERQRALLEEFAVEEATKEQSSFSAGNWWELVENMKGQTFLLGLGFLVLVHLLLTKTVN >ORUFI06G07660.4 pep chromosome:OR_W1943:6:5509502:5518369:-1 gene:ORUFI06G07660 transcript:ORUFI06G07660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGWLRLASRSLALRSGEVAAQGSKWIRHSTRQHSSPEKDYYKILGVPKDASQEEIKRAFHSLAKRYHPDTNRGNTAAKRTFQEIRDAYEARFHKQGHNPFAEFYRQNNGPFSSKFYKIFSEVFEHDVDAHANDIEVEVNLSFRDAVKGCMKQVSFSAKNLCDSCDGRGYLANAKMYVCPSCRGAGRVSINPFTSICTSCRGFGKVIKDYCLTCKGSGVVDGMKYGLILAIQFMYQRLDIVVDVEPYLEAMLGGKVEVPTLDGTAEVKIPKGVQPGQVIVLRGKGLPNQAGYLGDQHVRFRIHFPSMVNERQRALLEEFAVEEATKEQSSFSAGNWWELVENMKGQTFLLGLGFLVLVHLLLTKTVN >ORUFI06G07670.1 pep chromosome:OR_W1943:6:5527041:5528384:-1 gene:ORUFI06G07670 transcript:ORUFI06G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPRPRHRHHALPLALALAAPLLLLAAADGQPNESRDKNNGGGGGGFMAPSGGGGGMGSQSPSFSAPMVVLLVALIAAFFFIGFFSIYIRRCGGEASTGPTIPAAALAALSRQEQRSRRQRGLDPAVVESFPTMKYAEARELRDGGKDAVLECAVCLSEFDDDEELRLLPKCSHAFHPDCIGEWLAGHVTCPVCRCNLAPDAAAAEANVVSGEVDGEQQQQQQQEEEVVVAIDVDREGEEEDEERRREAMELERIGSQRRAVRSRSGRPLPLPRSHSTGHSLATTRLGDAGDLERFTLRLPEHVRREMVAAAGEESLRRTAVREGRVGGGARSARIGRSDRWPSFIARTFSSRIPFWSASRRVLDAAEAGADAAATTTTTTPTSTARTKRDKTAAAADGSVSSAKGSVRFDCLGGGGGGGGPSNRVVAFANDDEEDDDEKPIARQV >ORUFI06G07680.1 pep chromosome:OR_W1943:6:5545699:5546268:1 gene:ORUFI06G07680 transcript:ORUFI06G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERWPVVVEAKALIRASLLDVGPRATTSGCSTSATLTEQASLIFAHRAPCGWSQRIGWSPPMMEIVCYSSFARRLYDMNENMMDVCYYATSSPSLYHATTSFHYSIVSYHSPPGYPLHYLS >ORUFI06G07690.1 pep chromosome:OR_W1943:6:5551418:5555407:1 gene:ORUFI06G07690 transcript:ORUFI06G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLALVALLLVSCAVVAAAATKYTVGDTSGWAMGADYTTWASDKKFKMGDTLVFNYAGGAHSVDEVSAADYAACTASNALQSDSSGTTTVTLKTAGKHYFICGIAGHCSNGMKLVVDVAAASPAPAPKAPSTTPTTPSTTPATPASPGTSSGLTPTTPATVLAPPAKQSAGAAGLRAGSWAMLGLAGLAAVQLGLF >ORUFI06G07700.1 pep chromosome:OR_W1943:6:5555197:5563536:-1 gene:ORUFI06G07700 transcript:ORUFI06G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAEEFAVDDLDEFESRLDSFLNRFHADDLRRILLPDPDGKLHFPLVIDFAELLEFDPEVAHQLYDYPKDVLGLFDAAAQRALDKFDAAARRADKTLDSQRKAGDETMEKKFVHVRVNTSGSALECPEASPSIGKVRVKHRGTLITLKGTVIRSGGVKMIEGERKYQCRKCKCRFTVHPELEAGNRITLPASCKSKSAKGCGGANFQLIEDSITCHDYQEIKIQENIQLLGVGSIPRSMPIILMDDLVDIVKAGDDVVVTGRLSAKWSPDIKDVRSNLDPMLIANFVRRTNELKSDLDIPVEIINKFEEFWAASRATPLKGRNSILKGICPQIYGLFTVKLAVALTLIGGVQHVDASGTKVRGEPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISIAKAGLVTTLNTRTTVFGATNPKGQYDPNESLSVNTTLSGPLLSRFDIVLVLLDTKNKKWDKIVSSHILAENTEEKKGKTSDPEVMWTLSMLRRYIHYVKQHFKPVLTKEAERVISSYYQRQRQSGTRNAAHARLMFRNDVTKLDAIAAILCIESSMTTSAIVDTAGNALHSNFTENPDQECILKCDSIAYLSKNIKYLTDEISN >ORUFI06G07710.1 pep chromosome:OR_W1943:6:5566809:5567354:1 gene:ORUFI06G07710 transcript:ORUFI06G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGKSKEADAARCRRHPKHRHAAGVCPFCLRDRLSRLSAEVASAASPSPSSASSSGSSSSLCSSTGEGSYSAASATQAPPVGRRARLGMLMRQEEQRETTATAATVLGAAGHDKKEVPPAEEEKKTARRSGFWARLQQQLHHGSWHRKADGCSLAHSKAVSEKAAAAAAAPAKRPPALF >ORUFI06G07720.1 pep chromosome:OR_W1943:6:5568338:5574645:-1 gene:ORUFI06G07720 transcript:ORUFI06G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFYLLSLPLTVGMVVATLRYFAGPAVPLHVLATVGYAWLCSLSFIVLVPADISTTITGSQEGDVGFFWSWTYWSTFFLSWSIVPTLQGYEDAGDFTVKERLKTSIHKNLVYYKIIGSIGLVGVILIITMRHDWAGGIMGFAMACSNTFGLVTGAFLLGFGLSEIPKNIWKTADWTRRQKFLYHRIANMAGKFDNAHQEYCHAIAVVQATSKQMTKREPLRPFMDIIDDMLAQMLRDDPLFKPSGGKLGEDDMDYDTDENTMASLRRQLRRANEEYYRCKSKYTSYVMEALELEDTIKNYEQRDANEWKYVSGLRESRSCTLGSFLDFIEFIWRCILRKQLLKVLAVILGCISAAILLAEATLLPSDVDLSLFSVLTNVVGKQEVLVQVVAFIPLMYMCICTYYSLFRIGMMVLYSLTPRQTSSVSLLMICSMVARYAAPISYNFLNLIHLGGNSKTTFEKRMGNIDDVVPFFGRSFNRIYPLIMVVYTLLVAGNFFGYVLEFFGSWKRFRFWTEQEEDHTDGFDPSGVLILQKERCWIEQGHKVGELIAPLARNFTGIYKDVESGNVQQDEETAGMKATTLPSKKEGRLQSKYASNVALKYSSIREQNSSHQAVKQAQTETQSTSVVPETGNSETPSSVSKEPDSSAGIASRWTLMKTGFQNFKANMSSKKFLPLSLSSTQSSSSGSLDKIFEGLKRHSSNASVDYLDDDDGI >ORUFI06G07730.1 pep chromosome:OR_W1943:6:5580595:5586795:1 gene:ORUFI06G07730 transcript:ORUFI06G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYCGATPEEDPAMALVTPLPATTTITMAAANKQPHFTTTAASTATPPSRSSTTSTATSHSTRDSFRRSDLLPLAPRPHPHRNLARFSEEEMGEYCGAAPEEDPAVALVTPLPATITITTTAANKQPHFTTTAASTAAPPSRSSTTSTATSRST >ORUFI06G07740.1 pep chromosome:OR_W1943:6:5594584:5595743:-1 gene:ORUFI06G07740 transcript:ORUFI06G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQSAIMRVPRMPFALAFVRGLDLFNLEHAYLCPGIKIYCIGEHLAIEMYILIWSSRLPMMYLGLDHY >ORUFI06G07750.1 pep chromosome:OR_W1943:6:5597498:5598317:1 gene:ORUFI06G07750 transcript:ORUFI06G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTESGSPKIFELRCYPTSNPTASHFHEWSSPKGIHITEQRTGEEEVALPRTPRVSIGGGLWACGRPGLLLFAAAIELASRCGHAGVAQRLHFVAVRRRMTWGTRMPGITGCCRLNTADSRANQGKMGRRFSDILRDILVPHVMDLFHGANIIDAVVQYYGTNDIDIIPLISPCTLSHLYERDGDKFVDTEGLFVQRFLK >ORUFI06G07760.1 pep chromosome:OR_W1943:6:5599909:5604134:1 gene:ORUFI06G07760 transcript:ORUFI06G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRKVRGGRRCGGGCSRSPXTGATVTAAAALLAVALHVSSSSAASGPASSSGVPYRLSKQPREAGELRWEQEFAPPQLASPQSRKPMTPGRRGGGWRARRASRGFTPCVAPSPAYKSPGPSRGYLLVLTNGGLNQMRAGISDMVAVARMLKATLIIPELDKKSFWHDKSNFSDVFDEEYFIHSLANDVKVEKKLPKDLVKAPKFVRYFKSWSGIDYYHDEIYPLWEHRQVIRAAKSDSRLANNYLPPDIQKLRCRAFFQALRFAPPIEALGNLLVERMRSFGPYIALHLRYEKDMLAFSGCTHGLSQTESEELAMIRENTSYWKVKDIDPLDQRSHGYCPLTPKEVGMFLSALGYPSSTPVYIAAGEIYGGESHVVDLLSRFPIMMNKEKLASAEELRPFRQYASQMAALDYIVSVESDVFIPSYSGNMARAVGGHRRFLGHRKTIIPDRKALVRLFDKVDGGLLNEGERLSRRIIDIHRKRQGSPRKRKGPVSGTKGSDRFRSEEAFYENPLPDCLCQPESPASDASVVSI >ORUFI06G07760.2 pep chromosome:OR_W1943:6:5599909:5604134:1 gene:ORUFI06G07760 transcript:ORUFI06G07760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRKVRGGRRCGGGCSRSPXTGATVTAAAALLAVALHVSSSSAASGPASSSGVPYRLSKQPREAGELRWEQEFAPPQLASPQSRKPMTPGRRGGGWRARRASRGFTPCVAPSPAYKSPGPSRGYLLVLTNGGLNQMRAGISDMVAVARMLKATLIIPELDKKSFWHDKRYFKSWSGIDYYHDEIYPLWEHRQVIRAAKSDSRLANNYLPPDIQKLRCRAFFQALRFAPPIEALGNLLVERMRSFGPYIALHLRYEKDMLAFSGCTHGLSQTESEELAMIRENTSYWKVKDIDPLDQRSHGYCPLTPKEVGMFLSALGYPSSTPVYIAAGEIYGGESHVVDLLSRFPIMMNKEKLASAEELRPFRQYASQMAALDYIVSVESDVFIPSYSGNMARAVGGHRRFLGHRKTIIPDRKALVRLFDKVDGGLLNEGERLSRRIIDIHRKRQGSPRKRKGPVSGTKGSDRFRSEEAFYENPLPDCLCQPESPASDASVVSI >ORUFI06G07770.1 pep chromosome:OR_W1943:6:5605338:5606221:-1 gene:ORUFI06G07770 transcript:ORUFI06G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVALKGRPLATLLRQLLAADAPPAATGRPVAAAPAASGKPVTAPAAATATNAASRRLYNTEGAPLRRYDVVDESGTDSGDEYDATDDGRRLTVPFFFSASDVLDPFGAPTSLGRLLALMEDAAVATAAAPGTNGLATAAARRGGWWVAKEDDDAVHLKVSMPGLGKEHVKVWAEQNSLVIKGEGEKDPEDDADAAPPRYTRRIELPADAFKMDKIKAEMKNGVLRVAVPKLKEEERKDVFQVNVE >ORUFI06G07780.1 pep chromosome:OR_W1943:6:5608927:5612893:1 gene:ORUFI06G07780 transcript:ORUFI06G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHEVYGQEIPLDGEDVDMGAPGDEAAKMQELDEMKRRLKEMEEEANALREMQTKVAKEMQGLDPNASSSESKEEMDARSVDYACTPEEVQQHFNSCGTVNRVTILTDKFGQPKGFAYVEFLEVEAVQEAVKLNESELHGRQIKIPQIPPSTQAILLKLSSWSLQHMTLVQ >ORUFI06G07790.1 pep chromosome:OR_W1943:6:5614151:5615936:-1 gene:ORUFI06G07790 transcript:ORUFI06G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECSEHRCWDELLPDALGLIFRKLSLKDVLTVVPRVCKSWGRVVAGPYCWQEIDIQEWSQQQSKPDQLKRMVRMLVARSGGSFHRISVSGLPGDPLFTFIGDHARSLKTMELPRSDISDSLVENVAPRLSSVTFLDISSCTKIGARALEAFGKHCKSLIGLRRVMHPTDVVGRASQHDEARAIACNMPKLRHLEIGYMLIATKAVVEIASQCHDLKFLDLRGCWNVDDKLLQESYPGLKVVGPYVDDCYENSFWEECSDDSDDSIYWELMDDDYYAAGSDDEGIWDDGQGLEGLEVRFYGGGFSESHAGFDWPPSP >ORUFI06G07800.1 pep chromosome:OR_W1943:6:5615940:5618954:-1 gene:ORUFI06G07800 transcript:ORUFI06G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDGQRARPARPSRWLLGRAQPCLGRAVPAHGLPLPPRHGPPAVGPCRAGPKAWPCCRPNVPVEGPKHGPSKNIASEGQH >ORUFI06G07810.1 pep chromosome:OR_W1943:6:5622198:5630038:-1 gene:ORUFI06G07810 transcript:ORUFI06G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPESNPPPPSATSCPPPGSAAHAGHAPLCRSCGAPTTTPVPPPWSASSDSPPPAYRPIRMPAINAPTNTAAIVLSPVPQPLPVPPASPPFAFQVPAKRITSPDDIARFHASVHGRHFLGFVAALSASVHGRKLSDPLPSPPSPVVSALLELISALSALVASTPPLPHNSRYGNPAFRLWHEKLSDSTNQLIAPIMATAGSPDLAGAEAELAPYLLDSFGNATRIDYGTGHETNFAAFLYCLARLGLITEADYPAVVLRVFAAYLDLMRTLQDTYLLEPAGSHGVWGLDDYHFFPFIFGAAQLIDHKYMKPKSIHNPDILDNFSKEYMYLACVAYVKKVKKGPFAEHSPMLDDISGVPHWKKVNSGLLKMYKAEVLEKVPIMQHFLFGSLIKWSL >ORUFI06G07820.1 pep chromosome:OR_W1943:6:5631927:5632871:-1 gene:ORUFI06G07820 transcript:ORUFI06G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVTKALMRPLLCYIIVVAVAPAGCAAFNPRMLFLVKPDPIVLKDHHGVVLSGNVTVNVLYYGRFTPAQRAVVAGFVRSASAAQHPRVPSVAAWWSTTSLYRGGGARLRLGMQVMDERMSLGRSLSLDNVTALARAAGHHRGAVTAVLTAPDVLVAPFCMSRCGVHGHGGGVGAHGRARYAYLWAGNPAQQCPGQCAWPFHQPVYGPQAPPLVPPNGDVGVDGMVISLAALLAGTVTNPFGDGYYQGDAGAGMEAATACAGVFGSGAFPGYPGKLLKDPVTGASYNAVGLAGRKYLLPALWDPTTSQCKTLV >ORUFI06G07830.1 pep chromosome:OR_W1943:6:5634226:5635200:-1 gene:ORUFI06G07830 transcript:ORUFI06G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKQSLLLAMMLVVAGLAVSAMADRKLMSLVKPQPNQLTYHNGAVLSGDIPVSILWYGRFTPAQKAVVTDFVLSLAAPLQAAPAPSVSQWWGSIHRLYLSKAVAVGKNGGAHGGGGGGRAKNARVVLSGQVSDEGCSLGKSLKLSQLPTLAARARPGKGGVALVLTAQDVAVEGFCMSRCGTHGPVSRAGAAYAWVGNSATQCPGQCAWPFHQPVYGPQAAPLVPPSGDVGMDGMVINVASMVAGAVTNPFGDGFYQGERGAALEAATACTGVYGKGAYPGYAGALLVDKATGASYNAHGAHGRKYLLPALFDPDTSACSTLV >ORUFI06G07840.1 pep chromosome:OR_W1943:6:5642530:5642787:-1 gene:ORUFI06G07840 transcript:ORUFI06G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCWLSPRGEATRRSSWAMLDDEDRRVRQFATKSTIHDEPSLRAKAVKRNKRVSPKLEAASVEGLGDTVAPGGLSPLMGRPKEFT >ORUFI06G07850.1 pep chromosome:OR_W1943:6:5645508:5653045:1 gene:ORUFI06G07850 transcript:ORUFI06G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCMMIPIIVLILVSMAANDLADDRRQLQLMQDPAAGDVLSYHGGAVLSGDIPASIVWYGKFAPSQKDIVVDFVQSLTSTSSSSSQRAATPSAAQWWSTLATVYLSNATTGGGGKPAAATRVVLSGQVSDEECSLGKTLTLVQVFQLAAGAAPKRGAVVLVLTDPDVVVEGFCSVRCGVHGSDAGAGYAYAWVGNAERQCPGQCAWPFAAPPYGPQGSPLGAPNGDVGTDGMVVTLASTLAGAVTNPFGDAYYQGDKDAALEACTACAGVYGSGSYPGYAGKVLVDEANGGSYNAIGGGGKRFLLPAIYNPATTGCSTTVVAMVAALVVMSLAGVSMAARRVPALLKSHVGDGISFHGGAVLGGDIPVTLVWYGKFKPAQKAIVVDFLLSLTATPPNATAPSAAQWWGAIAAGYLSSNATTAARVVLANQTSDEEYSLGKSLTLVEVFQLAAGVVPDRGDLVVVLTDRDVAVEGFCSARCGVHGSDAGAGYAYAWAGDAERQCPGQCAWPFAKPPYGPKGEAALVPPNGDVGADGVVATLAGVLAGAVTNPFGDGYYLGDKDAALEACSACAGAYGSDSYPGYAGKVLVDETTGGSYNAVGAHGRKYLLPAVYDPATSRCTTLV >ORUFI06G07860.1 pep chromosome:OR_W1943:6:5656793:5662352:1 gene:ORUFI06G07860 transcript:ORUFI06G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQATLPAAAARRPHALLVPFPSSGFINPMFHFARLLRSAGFVVTFVNTERNHALMLSRGRKRDGDGIRYEAIPDGLSPPERAGAQDDYGFGLLHAVRANGPGHLRGLIARLNTGRGGGGAGDSPPPPVTCVVASELMSFALDVAAELGVAAYMLWGTSACGLSCGLAVRELRRRGYHPRTPVPMCDSPSSSSCSSLSLALAMGERMRRAAHAMLFPFPCSGHINPTLKLAELLHSRGVHVTFVNTEHNHERLLRRRGGGGALRGREGFRFEAVPDGLRDDERAAPDSTVRLYLSLRRSCGAPLVEVARRVASGGGVPPVTCVVLSGLVSFALDVAEELGVPAFVLWGTSACGFACTLRLRQLRQRGYTPLKDESYLTNGYLDTPIDWIAGVPTVRLGDVSSFVRTLDPTSFALRVEEDEANSCARAQGLILNTFDDLESDVLDALRDEFPRVYTVGPLAADRANGGLSLWEEDAACMAWLDAQPAGSVLYVSFGSLTVMSPEELAELAWGLADTRRTFLWVIRPGLIAGAGAGDHDVVTNALPDGFVAETKGRCFIAEWCAQEEVLRHRAVGGFLTHSGWNSTTESICAGVPMICWPGFADQYINSRYVRDEWGIGLRLDEELRREQVAAHVEKLMGGGGGGGDRGKEMRRNAARWKAAAEAATAKGGSSYGGLDKLVEQLRLGQ >ORUFI06G07870.1 pep chromosome:OR_W1943:6:5664270:5668522:-1 gene:ORUFI06G07870 transcript:ORUFI06G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPSSSTSGSPGAAAAAARPTMPYRSRFGDTTLTKVFVGGLAWETPSEGLRRHFEQYGEILEAVVIADRLTGRSKGYGFVTFREAEAARRAVQDPNPMIAGRRANCNIASLGPPRPAQPPRGRASPGAQFQAPPPAFQGPPYIARGTPPPPAQMMPQSQHHGPPATIYHHPSQSWYWYPPDYQYQQGLMNSQVLQSYYAAALQAQAQLYGMAASPTAPSPYQYLGYMPAPAPAVTPTAVLPPAQQQITGPPPPFVQQPAQHVTAPPPFVHHPTAAAQIQGSFVPLPSLPHNFRLQLPPNAMSILPPTPTGM >ORUFI06G07880.1 pep chromosome:OR_W1943:6:5676578:5679094:1 gene:ORUFI06G07880 transcript:ORUFI06G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWPALPLLLLAVAVAGAGDAAPVCTRPSAAEAIVGSPEACRSPLRRPLGVTEGDDAILARAVNLLHANKEDFAAVLFYASWCPFSQECRLRFEKLACIFPTIRHLAIEESTVRLRTRYRYGIHGYPTLFLINSTVRVRYHGPRTVKSLAAFYNDVSGINPSMDPAVGDDNIEPKRDCEQEKCLFWSARTPENILQPDTYLTLAASFVILRLLYLFYPKITAFVKRTWSRRTLFTCLEQGKHKFNRVYPSKQGNLHDGARHATAWASKSLASVSIGEPSTS >ORUFI06G07890.1 pep chromosome:OR_W1943:6:5687382:5687651:1 gene:ORUFI06G07890 transcript:ORUFI06G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEETVLQRPFPAAGGDGEAATTVFLAAATATEEGRRRPVDPMIWGDERRMKRELVAWAKAVASMAMAANCGAGSSPSTTPPPSPSMR >ORUFI06G07900.1 pep chromosome:OR_W1943:6:5691881:5692253:1 gene:ORUFI06G07900 transcript:ORUFI06G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSMHKVSILVWSNTQKSESPVLTWGKFLHTWTRLVREIRRNTLDDISKDLGRFGLEMEPTGKIVVESLSFQAVGSP >ORUFI06G07910.1 pep chromosome:OR_W1943:6:5693348:5697467:-1 gene:ORUFI06G07910 transcript:ORUFI06G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLRASQPKAPPSPPPLSPHGHRRRPDAFRRRGFRRGRLGGAEGEGDGTDKADGGDQAEEAVVDPKSRMPRAPSSASPARWSSTGTLEEGTCGGHRWLVCSSSVVKVFKLLVVVVVVGLALHVAIPRLSLDNLAEVGKCNELREGLKEVVLWNYICPAYYQPPFAGHPIEQQR >ORUFI06G07920.1 pep chromosome:OR_W1943:6:5694217:5695346:1 gene:ORUFI06G07920 transcript:ORUFI06G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDENGLKKGPWTPEEDEKLMSYIQKHGHASWRVLPELAGLNRCGKSCRLRWTNYLKPDIKRGNFSREEEQTILQLHSILGNKWSAIAKHLPGRTDNEIKNFWNTHHRKKLIKMGIDPMTHCPRTDFFQSLPQLIALANLRQIIERQPWDGHMEGLQTVAVQAAKLEYMQSLLHSAVSIVTSPTTTTTTSSLNTFTTELEQTNHLCPPQVPSSSVPELAVQVPHSQMPSTSFDQEIGKTNLFSNNIVNGNEWCSMEADNSSQKSLLVPENSIPPLIDMPVQNFCNTISTPNCDGGNSIPLPSWSEILLDEELMGEFA >ORUFI06G07930.1 pep chromosome:OR_W1943:6:5698126:5701641:-1 gene:ORUFI06G07930 transcript:ORUFI06G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSLGGKAAHLVSDLATVILNPVSERESQRHPSHLPEATEVQENIYEDDDDDDSVKNSEIPNGPDTSSFRAFLMSFMSSSNSSSDSIEIIPEHNMNMEYPTLTPVGKGSNGRKGLFSRGKHSIGKIINKAGRIGGFRQKPSYSIDGETVQTEYDAPGLELKGSKESASHDKLPAMSEPSMLLSETMRTVLYTSLPVLVQGRNWMLVYSTWRHGISLSTLYRRSMLCAGYSLLIVGDRKGAVFGGLVEAPLQPLIKKKYQGTNNCFVFTNIAGRPVIYRPTGANNYFTFCSTDYLAMGGGGHFALYLDGDLLNGSSSTSETFNNPCLSRSREFEVKDVELWGFVNASKYDEMLTICRTEKQGIWNL >ORUFI06G07930.2 pep chromosome:OR_W1943:6:5698126:5701641:-1 gene:ORUFI06G07930 transcript:ORUFI06G07930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSLGGKAAHLVSDLATVILNPVSERESQRHPSHLPEATEVQENIYEDDDDDDSVKNSEIPNGPDTSSFRAFLMSFMSSSNSSSDSIEIIPEHNMNMEYPTLTPVGKGSNGRKGLFSRGKHSIGKIINKAGRIGGFRQKPSYSIDGETVQTEYDAPGLELKGSKESASHDKLPAMSEPSMLLSETMRTVLYTSLPVLVQGRNWMLVYSTWRHGISLSTLYRRSMLCAGYSLLIVGDRKGAVFGGLVEAPLQPLIKKKYQGTNNCFVFTNIAGRPVIYRPTGANNYFTFCSTDYLAMGGGGHFALYLDGDLANCHLSLCVTTHIYLCSLNGSSSTSETFNNPCLSRSREFEVKDVELWGFVNASKYDEMLTICRTEKQGIWNL >ORUFI06G07940.1 pep chromosome:OR_W1943:6:5703398:5703600:1 gene:ORUFI06G07940 transcript:ORUFI06G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHPCRGHTEASVAGKGDMCSTLPAAKRRQRGKAACGREETLNIASGHTAVAGDRGSVAGA >ORUFI06G07950.1 pep chromosome:OR_W1943:6:5703767:5707945:1 gene:ORUFI06G07950 transcript:ORUFI06G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVAPHHTTCHKPPGDRLTSSSSPTPRSSGSPLLPRRRALDPPRLRLRLRLRVAHLEIPRMATLTVPSAVPPVADDCDQLRKAFQGWGTNEALIISILAHRDAAQRRAIRRAYADTYGEELLRSITDEISGDFERAVILWTLDPAERDAVLANEVARKWHPGSGSRVLVEIACARGPAQLFAVRQAYHERFKRSLEEDVAAHATGDFRKLLVPLISAYRYEGPEVNTKLAHSEAKILHEKIQHKAYGDDEIIRILTTRSKAQLIATFNRYNDEYGHPINKDLKADPKDEFLSTLRAIIRCFCCPDRYFEKVIRLAIAGMGTDENSLTRIITTRAEVDLKLITEAYQKRNSVPLERAVAGDTSGDYERMLLALLGQEQ >ORUFI06G07960.1 pep chromosome:OR_W1943:6:5708153:5708668:-1 gene:ORUFI06G07960 transcript:ORUFI06G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKIPIFFFLLALAAGVQGETGGVGGGGGNVEYNCVYTVFVRTGSAWKGGTDSTIGVEFAGADGRGVRIADLERWGGLMGAGHDYYERGNLDVFSGRGPCLPAALCWMNLTSDGAGAHHGWYCNYVEVTATGPHRGCAQRRFDVEQWLATDASPYRLTAVRDQCRGHAAA >ORUFI06G07970.1 pep chromosome:OR_W1943:6:5709930:5711420:1 gene:ORUFI06G07970 transcript:ORUFI06G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGLKDTLFPDDPFRGLGGMPHAQRAWRVASYFVPALDWDAGYSAASFWYDLLADVHRFVLPAAVGVRGDGELEEPRGGAGGHVVAAGGVHHRRQGEGFRRPTAVHVARLHVGLLHRCPAGHSWIPQAGDPDGFHVTAGDHRVHGRHGGRDHAAAAQGHPRHDALTTKKVSAFVVGAITLIVAPFAVPSASFTRDIGRAVAECAEHDGGHGRGEAEAAREVGDRRGRSGTRAESSCGTNMSAPPYLYPLSSSGEDGEAVAWPLGGVTTVAFR >ORUFI06G07980.1 pep chromosome:OR_W1943:6:5727160:5729366:-1 gene:ORUFI06G07980 transcript:ORUFI06G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSSGRAPLFACRGAAAVSASSMLGGGGAAYQAAVVAHVAPVPSIRPCASWVVEAMRASSPTRPAAAAAAAAAVDAEYDAWTQRKHPSALGSFEQVAAAASGKRVVVFLDYDGTLSPIVADPDMAFMSDEMREAVRDVAEHFPAAIVTGRCVDKVQSFVGLPELYYAGSHGMDIKGPSSNEEEDTKILLQPAREFLPVINKAYKALMEKTKSTPGARVENNKFCLSVHFRCVDEKRWNPLAEQVKAVLRDYPELKLTQGRKVLEIRPSIMWDKGKAVEFLLKSLGFDDDRRDVLPVYIGDDRTDEDAFKVLRKRGQGLGILVSKCAKETDASYSLQDPAEVMEFLVRLVQWKLRRSSSAMRPRV >ORUFI06G07990.1 pep chromosome:OR_W1943:6:5743142:5746668:-1 gene:ORUFI06G07990 transcript:ORUFI06G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEVEEVVVAVPPFVPVGCSTTVPPERELDANTRVEREEARRPVTTPDVDGGDDNAIGHHGRGAVGMADHESAYPYLGLRLDMWCSYLKLSFSEILIGVEYEFIEM >ORUFI06G08000.1 pep chromosome:OR_W1943:6:5753236:5754129:-1 gene:ORUFI06G08000 transcript:ORUFI06G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDLYKYQLSSSSSSSSSDQELMKALEPFIRSASPTSTSTSTPLFYSSSSISTTTTTPFSYSSPLPQESYYLPASSSYAAIVPPPTTTTNTTTSFSELPPLPPSSSSFASPANAAAVGLAHLGPEQIQQIQVQFLMQQQLQQRGMAASASASAAASYLGPRAQPMKQAGAAAAPTLRRGGAHLAGPLHASIDAKLTAICHSLAAAPPASSKKAAAAAAHPDSPKGSASTTTTTSEGDESAISACSPPLPPPPPPPPAALPEMANLDFTEAPWDESDAFHLYKCPSWEIDWDSILS >ORUFI06G08010.1 pep chromosome:OR_W1943:6:5772719:5772994:-1 gene:ORUFI06G08010 transcript:ORUFI06G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRVLAIERVIAMTRLVLRLLCTGMILHAGMACQDVLEKRVGNQLKEAMHEDLLIPNTFVGTLYDVDCMERMLEQIIATNTLAFSASLEI >ORUFI06G08020.1 pep chromosome:OR_W1943:6:5794619:5794858:-1 gene:ORUFI06G08020 transcript:ORUFI06G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGSKVELAKRCADAGSGGIGRALEIPDGDAGSPRGVEKGTVVDGEEDVAAVAGGGDTAERAGGEENVAAVTGGGAAT >ORUFI06G08030.1 pep chromosome:OR_W1943:6:5795033:5796243:1 gene:ORUFI06G08030 transcript:ORUFI06G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAWFPFIPMLPQAPPSEQEEDSPLENSGSMKDEMIHLYLNNSTMALAISREACLLGAPRCHGIGMLVGAFGICKEQEQVREAILEERLMLTAFLLTYSEPHAGEGVLGVQEDIDEYRRKLAAILYNSPSNKFRNHAQAISEEI >ORUFI06G08040.1 pep chromosome:OR_W1943:6:5796561:5798636:-1 gene:ORUFI06G08040 transcript:ORUFI06G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAQAQFCCLRCKAAVHCGLFIFKGVAYQINENAHAAGGLILFELRQLYSESRSHQKGLVVEDLASSAIRSPEDVDSGAKQTIGTVPGGTCRPQERMILTHHFVLRGIRF >ORUFI06G08050.1 pep chromosome:OR_W1943:6:5803514:5807984:1 gene:ORUFI06G08050 transcript:ORUFI06G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLMHIFLLLCLMVCSVQASLASSSWDYDTNPGGLMGIRSSSTSSTLLATEPCGGEETYYLAVYHSNTYTSDVLFGAMATFDVYGFPNLNNNGQISSGQIWVANEQRRDLTDTNDVQAGWMISPYIYGDSKTHFFTMWTVDDGRSTGCYDLNCDGFVPVNNAPITPGDILEPTNGKLSITVKISKKKDDGDWWLHFGYDENNLSPVGFWSKSVLTHLADHANVIAWGGYAQSCPGNPSPSMGNGQWPEKNSASVRNIKYVDANGQDYDPAPWPAGLVGESTNKKCYQVSPYLDGIFYYGGPGGCTAR >ORUFI06G08060.1 pep chromosome:OR_W1943:6:5811115:5813334:-1 gene:ORUFI06G08060 transcript:ORUFI06G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWPSPWLPLLLVGALLAFEDWLATPTCSGGSTAPAPAPAPASGDLRVMMVSDLMLLGSDATYADRFFRNHVMSKLFAKSIETLRPDMIVVLGDISAMGFQLKESKWIDVIDQFKGILGQYSDLPLHIALGDKDVGGCANLDDSFVHHMAKHLPGLDSSGCGTFEIGNVSFVSLNSVALLCGNNPLRFSVEKVIEKENNHFQQKMMNEAGHFSLKSIEREDFNWRQNSMESGSGPVVLLHFPLYKFSEGTISEPPVSSSLKERGADGRRSDQLHALPANSTQYVLQALKPRIVFSAHDGSFSDYTHYDGTREVAVPAMTWKTTGVPGFVISTFGRKGIMTVRIFSILVPNSSICKTVTLDVK >ORUFI06G08070.1 pep chromosome:OR_W1943:6:5814746:5818292:-1 gene:ORUFI06G08070 transcript:ORUFI06G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCMHPPHQANHPRRFSSSIHGNLSERGRSNGRGVGGNGTPNLHASSCCRTPDRRRQESACIMSSNMFGKKGWDSNGMDTSGSVCRSSSDINYINQRARLKSASLNCVGSPPRKNNNATQYRMFVATWNVGGRTPNKRLNLQDFLQVEESPDIYVLGFQEIVPLTAGNVLVLEDNEPAARWLALIHQALNMPQEPADGDEPSPLTPPPSSSTTTSESSNGARTRRRDAVSRSASGNLFFHTPSLKMLSNSYRVDSALVKTCNCSPEHSSVRRRAAEVRESVYLADAPAPAGETAAPAADDDDAPTTEAQCEAGCGGGGGMSYCLIASKQMVGLFLSVWVRKELVEHVGHLRVDCVGRGIMGWLGNKGCIAISMTLHHTSLCFVCSHLASGEKEGDELRRNADVAEILKSAHFPRACRPAPAAARRVPERILDHDRMIWLGDLNYRMSLSYDETRTLLEDNDWDALLEKDQLLIEREAGRVFRGWNEGKICFAPTYKYTHNSDAYAGETAKSKKKRRTPAWCDRILWQGDGIEQLQYLRGESRFSDHRPVCGVFAVEVDGGGDGDGGGGAAGKIMKGYYSLNARIGGDRSQCHQGDVS >ORUFI06G08080.1 pep chromosome:OR_W1943:6:5822593:5823432:1 gene:ORUFI06G08080 transcript:ORUFI06G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLLRDMEAGVLQPAAPPAQEVAHGVFQLNTKVEALRYMAGALGTPRDTPSLRGRLRGTRAGIKRLATSTSQALRQAAAAADDDESVSSCSKLAMDFEAAVNEYQKIERRIAAVERQETAAAARRSPPPPPPGFNHINNNGDHTFPEQKQTQLAVLRDINLLDSEIELHEAIIAEREQGILEVQQEIADIHEIFRDLAVLVHDQGECIEIVTANIEMTEAATSQAEVQISKAAGIRGEEKEELLTGAGTEDNSPSKCLLLAVLGLFLFIVGLVLIS >ORUFI06G08090.1 pep chromosome:OR_W1943:6:5825677:5826656:1 gene:ORUFI06G08090 transcript:ORUFI06G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKNTAAPAPEKKYKGVRLRQWGKWVAEIRLPNSRERVWLGSYDTPEKAARAFDAAFVFLRGAGAADAAGLNFPDSPLPVVARTRDLREVYAFAVSHANRPPPVAGETAATALAAMAALEHNEVRENIAPSSPLSAVQVAAPPAGSFDWSQLMANSPPLYSPIVIGSHAYDDLAVWPTTQPVEEFSEEDNENEELKVYILRQ >ORUFI06G08100.1 pep chromosome:OR_W1943:6:5829832:5834916:-1 gene:ORUFI06G08100 transcript:ORUFI06G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIRQQFGFGFVCASRSSVVEPVQLHSNGNSDHTPKKSSESSLILIRHGESLWNEKNLFTGCVDVPLTPKGVEEAIEAGKRICSIPIDVIFTSSLIRAQMTAMLAMMQHRRKKVVHLAAAKCFCNHHVPIIVHNESEQAHLWSQVYSEETRKQSIPVITAWQLNERIIPTKRALNKQETADRFGNEQVHKWRRSYDIPPPNGESLEMCAERAVAYFKEHVVPQLTAGKHVMIAAHGNSLRSIIMQLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPVGPSEASVCAYTRKLAQYRQKLDSMV >ORUFI06G08110.1 pep chromosome:OR_W1943:6:5835936:5840114:1 gene:ORUFI06G08110 transcript:ORUFI06G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIKRIENNPNRQVTFSKRRNGLIKKAYELSVLCDIDIALLMFSPSGRLSHFSGRRRIEDVLTRYINLPESDRGGTIQNREYLINMLTQLKCESDVTEDLTNTSSKAPVNSNIEELQQEIRRCQHQMQLTEEQLRMFEPDPARSASMEDVEASEKFIAGILSRVEERKRYLLCSMGSFDVTASTSAMQHLYLPQQHQHGDITDNGFGSDEVASWVSEGMPPTTSSVASIFAGTSDSMMSFRDQAVYDTMRQDACVDQTVVPEMGMCHVDQQNQSDDWQAYTSAEFLNALIPPTPFPLDDEDTMGPMLASSPLLMPGIHDQQPPEEDMATAGCSQAPANDGNGLYAAEDIAPVNVG >ORUFI06G08110.2 pep chromosome:OR_W1943:6:5835936:5840114:1 gene:ORUFI06G08110 transcript:ORUFI06G08110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIKRIENNPNRQVTFSKRRNGLIKKAYELSVLCDIDIALLMFSPSGRLSHFSGRRRIEDVLTRYINLPESDRGGYYLINMLTQLKCESDVTEDLTNTSSKAPVNSNIEELQQEIRRCQHQMQLTEEQLRMFEPDPARSASMEDVEASEKFIAGILSRVEERKRYLLCSMGSFDVTASTSAMQHLYLPQQHQHGDITDNGFGSDEVASWVSEGMPPTTSSVASIFAGTSDSMMSFRDQAVYDTMRQDACVDQTVVPEMGMCHVDQQNQSDDWQAYTSAEFLNALIPPTPFPLDDEDTMGPMLASSPLLMPGIHDQQPPEEDMATAGCSQAPANDGNGLYAAEDIAPVNVG >ORUFI06G08120.1 pep chromosome:OR_W1943:6:5860166:5861580:-1 gene:ORUFI06G08120 transcript:ORUFI06G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLGKRHRNNSSMRRTTSMSGFAVAEEEEQQGRQPPARAARGGGGGGSAAPSPGWGAMQRRHSGDFAVAETAAFLKACGICNRRLGPGRDTFIYMGEVAFCSHECRQQQMNLDELNEKKCFQRESGGGSDKSGNSGAVAAA >ORUFI06G08130.1 pep chromosome:OR_W1943:6:5865379:5876419:-1 gene:ORUFI06G08130 transcript:ORUFI06G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAWRFNGTNGGADLEHKVQESEPPTPVSVMRMVKNRANVEDEETLSSVAQCIEQLRQGSSSTQEKENSLKQLLDLLETRDTTFGAVGSHAQAVPILVSLLRSGSSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLALLRSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKVSLKNESLVDGLLTGALKNLSKNTDGFWSATVQCGGVDILIKLVASGQANTLANACNLLGALMMEDSSVCSKVLSGETTKQLLKLLGPGNETYIRAEAAGALKSLSAQSKEARRQIANSNGIPALINATIAPSKEFMQGESAQALQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNSESISASDPLVVEKTLMKQFKPKAPFLVQERVIEALASLYSNPVLCRTLADSDAKRLLVGLITMAGTEVQDDLTKSLFSLCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILNSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSVMKLIDGQTDKILMAASSCLAAIFLSIKQNKDVAAIGRDALAPLVSLANSTVLEVAEQATRALANLFLDHELSLQVSFEEIIFPITHVLREGSIDGRTHAAAAIARLLQCRPINQPLSDTINRSGAVLALAGLLEAANGEAAATSEVVDALVLLSKPKVSSGHTKAPWTVLAENPHTILPLVSCVADAAPSLQDKAIEVLSRLCSDQHDIVGGLVSEIPGCISSVARRVIGSNMLKVKVGGCALLVCAAKEHCQKQIEILSDSSLYIQLIHSLVSMIHMTNLPSENGSGENISDIKISRHSKENNNSDETVCRTAVISGNMIPLWLLAVFARHDSKTRAEILEAGAVEMLMEKISQNAFLYVGEEDSTAWVCALLLALLFQEREINRSNAALHSIPVLSNLLRSDEQAYRYFAAQALASLVCNGSRGTLLAVANSGAATGLISLLGCAEVDIADLLELSEEFMLVPNPDQITLERLFRVDDIRVGATSRKSIPLLVDLLKPIPERPGAPFLALGLLTQLAIDCPPNMMLMAEAGILEALTKYLSLSPQDATEEATTDLLGILFSCAEIRHNEAALGTVNQLVAVLRLGGRNSRYSAAKALESLFIADHVRNSESARQAIQPLVEILSTGMEREQHAATSALVRLLSDNPSRALTVADVEMNAVDVLCRILSSDSSAELKGDAAELCCVLFANTRIRSTSAAARCVEPLVALLVYEANPAQLSVVRALDRLLDDEQLAELVAAHGAVIPLVGLLFGKNYTLHEAVARALVKLGKDRPGCKLEMVKAGVIESILDILHDAPDFLCIALAEMLRILTNNASIAKGPSAAKVVQPLFSLLSKADIGPEGQYSTLQVLVNILEHPECRADYNLTPRQTIEPVISLLNSSPPAVQQLAAELLSHLILEENLQKDTITELAIPPLIQVLSSGLPNLQQRAIKALANLALAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAASVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEEAAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWGACAAEIFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLRQSVGNPSAFCKLTLGNNPPRLTKIVSTGATPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPENFKIRVDMGSSCASDIKQENSSYGWKGATHGGTTGNVNP >ORUFI06G08130.2 pep chromosome:OR_W1943:6:5866213:5876419:-1 gene:ORUFI06G08130 transcript:ORUFI06G08130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAWRFNGTNGGADLEHKVQESEPPTPVSVMRMVKNRANVEDEETLSSVAQCIEQLRQGSSSTQEKENSLKQLLDLLETRDTTFGAVGSHAQAVPILVSLLRSGSSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLALLRSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKVSLKNESLVDGLLTGALKNLSKNTDGFWSATVQCGGVDILIKLVASGQANTLANACNLLGALMMEDSSVCSKVLSGETTKQLLKLLGPGNETYIRAEAAGALKSLSAQSKEARRQIANSNGIPALINATIAPSKEFMQGESAQALQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNSESISASDPLVVEKTLMKQFKPKAPFLVQERVIEALASLYSNPVLCRTLADSDAKRLLVGLITMAGTEVQDDLTKSLFSLCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILNSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSVMKLIDGQTDKILMAASSCLAAIFLSIKQNKDVAAIGRDALAPLVSLANSTVLEVAEQATRALANLFLDHELSLQVSFEEIIFPITHVLREGSIDGRTHAAAAIARLLQCRPINQPLSDTINRSGAVLALAGLLEAANGEAAATSEVVDALVLLSKPKVSSGHTKAPWTVLAENPHTILPLVSCVADAAPSLQDKAIEVLSRLCSDQHDIVGGLVSEIPGCISSVARRVIGSNMLKVKVGGCALLVCAAKEHCQKQIEILSDSSLYIQLIHSLVSMIHMTNLPSENGSGENISDIKISRHSKENNNSDETVCRTAVISGNMIPLWLLAVFARHDSKTRAEILEAGAVEMLMEKISQNAFLYVGEEDSTAWVCALLLALLFQEREINRSNAALHSIPVLSNLLRSDEQAYRYFAAQALASLVCNGSRGTLLAVANSGAATGLISLLGCAEVDIADLLELSEEFMLVPNPDQITLERLFRVDDIRVGATSRKSIPLLVDLLKPIPERPGAPFLALGLLTQLAIDCPPNMMLMAEAGILEALTKYLSLSPQDATEEATTDLLGILFSCAEIRHNEAALGTVNQLVAVLRLGGRNSRYSAAKALESLFIADHVRNSESARQAIQPLVEILSTGMEREQHAATSALVRLLSDNPSRALTVADVEMNAVDVLCRILSSDSSAELKGDAAELCCVLFANTRIRSTSAAARCVEPLVALLVYEANPAQLSVVRALDRLLDDEQLAELVAAHGAVIPLVGLLFGKNYTLHEAVARALVKLGKDRPGCKLEMVKAGVIESILDILHDAPDFLCIALAEMLRILTNNASIAKGPSAAKVVQPLFSLLSKADIGPEGQYSTLQVLVNILEHPECRADYNLTPRQTIEPVISLLNSSPPAVQQLAAELLSHLILEENLQKDTITELAIPPLIQVLSSGLPNLQQRAIKALANLALAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAASVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEEAAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWGACAAEIFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLRQSVGNPSAFCKLTLGNNPPRLTKIVSTGATPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPESKSGPNRNLEIEFQWSNK >ORUFI06G08140.1 pep chromosome:OR_W1943:6:5884361:5884918:-1 gene:ORUFI06G08140 transcript:ORUFI06G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGWRRRLRRLPPTIPSLRRAGNAPWALARARTADGRLVISTEPAPPRGRVVATKAEGRLVLDLVERGDSPPPPPPRRRSCFSIAHQEPVSPAAAAAACDDDDYGVEEASAAERASARRVIPIIAGAPAPAMLSAVGYAFSPPLSLHPAVAPLPPLVCSEGCYEDVIRASSSLPKMPLILPRMVH >ORUFI06G08150.1 pep chromosome:OR_W1943:6:5888016:5889106:1 gene:ORUFI06G08150 transcript:ORUFI06G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVVITNESIEDCHMLLDHVILDHGDASINTCHVEFVNYFNHEKYKANAWIFHALLVCNVTELKILVWFDDEFLKDDQSEYH >ORUFI06G08160.1 pep chromosome:OR_W1943:6:5889716:5891448:1 gene:ORUFI06G08160 transcript:ORUFI06G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMVFRAHKVGNLTIHIHICVPNLVSLSPRRYGDVKINWSCALFVVSYRGYSTKNNPVGVWPSLDPLGARRHQARHRRLQLLRRWLCPALVVHVQRYALVKQGSEGHEYAAEQLTKIVTQFQPQHQTSLMQQSVVAFPILVLVVRGVGLAAGLLDMMNSGSDKGHCC >ORUFI06G08170.1 pep chromosome:OR_W1943:6:5892324:5896432:1 gene:ORUFI06G08170 transcript:ORUFI06G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMVRVYTRTFETVAMKPVRVAMPLLITKMTCVLSQMWRYIWKFMPNVVIMNESIEDSHISCYPESLRCFYQHLPSRVLNYFNHENYKANAWIFHALLVCNFKELKISIRFDDDLLNMANRIIISEHLRKLELDSLKLKTDKVSDLTVYIRICVPNLVSLSPLRFEGWTPLFESMPYLLSVAMIFKDAFMYSNCWDCGKEAREGSYAIGINKNGFLLLNHLSHTSHLSIAICHILLKTTSVSAIAVVAAMIAGAI >ORUFI06G08180.1 pep chromosome:OR_W1943:6:5903176:5907151:1 gene:ORUFI06G08180 transcript:ORUFI06G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGGGGMEAVEVRFRLDDGSDIGPSMHDQATTVTALKEFVLARWPQGKEIAPRTVNDVLENNRTLAESRNLAAESPEGPITMHVVVRRSRPERRVKQPPKARPPERIGCGCTIL >ORUFI06G08190.1 pep chromosome:OR_W1943:6:5907998:5914764:-1 gene:ORUFI06G08190 transcript:ORUFI06G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDKDEKNVAVTEGSTNSEEKDQDEDLLRRTEMLNAKEAINSSNENSGNDSEAQIHVRDDSQKEFNEKMNKEGSSDPMEPTDSSQTEEDILAEDKSEEPVFDGTEVAEMEDLRRSSNQSAELDSDAHGSVLNERATAIKNFVKEKGAIAVSTFIRRLSGKKDENEFSVEDEKNEGSESVSSGNIGSDAEPKSKEVQPKSEERTTWNPLNLIKIGRDFDTFMTGEAGHENVPDLIEQPTGKGRIIIYTKLGCEDCKMVRSFMRQKMLKYVEINIDIFPSRKMELENNTGSSTVPKVYFNDLLIGGLTELKKMEESGILDDRTDALFKDEPSSAAPLPPLPGEDDESGSGKIDELATIVRKMRESITLKDRFYKMRRFSSCFLGSEAVDFLSEDQYLERDEAVEFGRKLASKYFYRHVLDEDVFEDGNHLYRFLDNDPIIMSQCYNIPKGIIDVEPKPIVEVASRLRKLSETMFEAYVSEDGKHVDYRSIQGCEEFKRYVRTTEELQRVETHELSREEKLAFFINLYNMMAIHALVTCGHPAGPLDRRKFFGDFKYVIGGCAYSMSAIQNGILRGNQRPPYNLAKPFGQKDQRSKVALPYAEPLVHFALVCGTKSGPALRCYSPGNIDKELVEAARDFLRNGGIVVDPEAKVASVSKILRWYSTDFGKNETEVLKHAANYLEPAESEQFLELLANTQLKVLYQPYDWSLNI >ORUFI06G08190.2 pep chromosome:OR_W1943:6:5908000:5913232:-1 gene:ORUFI06G08190 transcript:ORUFI06G08190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDKDEKNVAVTEGSTNSEEKDQDEDLLRRTEMLNAKEAINSSNENSGNDSEAQIHVRDDSQKEFNEKMNKEGSSDPMEPTDSSQTEEDILAEDKSEEPVFDGTEVAEMEDLRRSSNQSAELDSDAHGSVLNERATAIKNFVKEKGAIAVSTFIRRLSGKKDENEFSVEDEKNEGSESVSSGNIGSDAEPKSKEVQPKSEERTTWNPLNLIKIGRDFDTFMTGEAGHENVPDLIEQPTGKGRIIIYTKLGCEDCKMVRSFMRQKMLKYVEINIDIFPSRKMELENNTGSSTVPKVYFNDLLIGGLTELKKMEESGILDDRTDALFKDEPSSAAPLPPLPGEDDESGSGKIDELATIVRKMRESITLKDRFYKMRRFSSCFLGSEAVDFLSEDQYLERDEAVEFGRKLASKYFYRHVLDEDVFEDGNHLYRFLDNDPIIMSQCYNIPKGIIDVEPKPIVEVASRLRKLSETMFEAYVSEDGKHVDYRSIQGCEEFKRYVRTTEELQRVETHELSREEKLAFFINLYNMMAIHALVTCGHPAGPLDRRKFFGDFKYVIGGCAYSMSAIQNGILRGNQRPPYNLAKPFGQKDQRSKVALPYAEPLVHFALVCGTKSGPALRCYSPGNIDKELVEAARDFLRNGGIVVDPEAKVASVSKILRWYSTDFGKNETEVLKHAANYLEPAESEQFLELLANTQLKVLYQPYDWSLNI >ORUFI06G08200.1 pep chromosome:OR_W1943:6:5920337:5927502:-1 gene:ORUFI06G08200 transcript:ORUFI06G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLRRCVLSRLLRPPCSTTAAPANPLLPLHLHLHRLLSGAAEPFAVEDYLVESCGLTRSRVEKVPSKPDAVLAFLSGLGLTRPDIAAIVASNPRLLCARVDRTLDARVAELGGIGLSRSQIARLIPLARGGFRSKGRFANWPSYAFMSRAIDRPTKHLEEAMALAKDREKRLFALAVFAILSQEKITKKLGLFKKLGWSQEDLSLAAKNMPSILAMGEKRLRQRMKFLTEDVGLEIPYIAQRPALMFYSIERRLLPRHCLINVLKRNGLLKINYDFYSTALISNEKFLDKFVHPYVESVPGIGDAYASSCAGCGVDQLKLLSKNKIILQAKRSCGFKRPPANPCAAAARRPPPAAMIHLRRSFLSRLLHPPCPTPANPLPLHRLLSSAAAAAPIPPEPFAVEDYLVDSCGLTRARAKKASGKLSHLRSPSNPDAVLAFLSGLGLSRPDIAAVVVNDPLFICARVDKTLATRVAELTDLGLSRSQIARLIPVVRSLFRCKSLAPRLAFLLTVFGSFDRCLEVIKTNYGVLSSNVEAVIKPNLAVLKECGISIADRPSYAFASRVISRPTKHLEEAVVLANEFGAKQGTRVFTNAVMIFGILGQEKLAKKLEFFKKLGWSQDDLSLAVRSMPHILAMKEERMRRGMKFLTEDVGLEIPYIARRPALTMYSIECRLLPRHCLINVLKGNGLLKADYDFYNISVISNDDFMEKFVQPYVESVPGLGDAYASSCTGCGVHQLKLLSKRKTKC >ORUFI06G08210.1 pep chromosome:OR_W1943:6:5933791:5938990:1 gene:ORUFI06G08210 transcript:ORUFI06G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVTVEAARLVVGKALGPLSGGFVEAWAASSELGPNVGAIKLELLYAQGMLHSSSGRETHNPALRQLLVELRGLAYDAEDVLDELDYFRIQDEVDGTYEAADEHAKGCIHDIVLNTRHTTRSIKKGCLPAGCTCAGGGQASRQNSSPPIRHADDEGVVSSGCMPKLTSSAHGSIHLIGNKRLPCLCLVHDHDDEGYTVTQKMPKKREHTMKTPKLKFDRVNLSIRMKHIVEQLKPVCAKVSTILNLELLESTNHKIGHCIAMSQNVAFAAKPGYAHVLPRSTMNRPKLFVDPKLFYGRKSEKSKIISDITQGHYRDEDLTVIPIVGPGGIGKTALARCIYEEVHFDVKVWVCVSLIFNVYRLKEDISKSIPEVKDEKGGILDDLIEKRLKSKKFFLVLDDMWNCGNEDEWKRFLAPLTKAQTKGNIILVTTRFPMVAEMIKTIHRPTHLEGLEPEEFWKLFKACVGDDELEKNHAILLETGKKLAVKLKRSPLAAKTVGRLLRNHLDLDHWTRVLESKEWESQTSENDIMPALKLSYDYLPSHLQPCFAYCGLFPVDYRFECEELIHLWIGLDLIHSQDQNKTIEDIGLSYLNELVDYGFFKKDAKDDVSPCYIMHDLLHELALKVSTYECLAISSSNVRSVQIPPSMTIRHLSIVIDDMDVNDRVAFENFKKDFSMLSKRLDVEKLRSLMLFGKYHGSFIVPFAQALRVILLSVASYAIENMLHNFSNLVHLRYLRINKGYFPEIRLPNTISRFYHLRILDLQQCWGHFGLPRDFNNLVRLRHFLVPYDNLHSDIADVGKVQYLQILRRFEVRRQTEAFALRQLGQLEELNGTLGIYKLENAQAANEAKLLNKCHLHKLILDWSTKDCSQDQEIVLEDLKPHNSLQELCISGHGGATCPSWLGVNLSIKGLQSLRLDGVDWNKFPPLGELWLVNEHGENSLGCTEHSFQNLKRLELVAIPRLAKWTGNDACRLFSQLEVLIVRKCPELMELPFQSGRGINMTKFTTLQKLEISKCPKLSPLPPLPWASAPSSASIEEVGSDFQRLGYSKNYLSKLCLGIEGKHDHLNGAFWKVMAFSNLTDLKELQMYKCPPLPLEHLQMLSSLRKLSIYNSHNALLPVKGENTVIYQFPSIEELWIGKCSTSGEELTQLLYHFPKLSWLFIGECEKIKGLGVAEQQVTAPSALSPSPSVNKLEDAHLRQEHQQPRGEDEKAAATAGLLLLPPQLEGLVIEKIPELILQFDELEGDMGRCGLQGLRFLRYLEMRCISELLSYWSSHRTCNPFPSSLQVLILEDVGGMKTLAPLSNLSSLTFLRLQQFGELQGLQGEDLQGLLTQGHLSTLMVTTSPKFFDGFDGSNLCQLQQLWTDDIARVLAEPICICLASSLTKLNIVWIEAQHFTEEQNAALQLLSSLNALRIDGCEKLQSLPAELHKLRSLKTLKIIECPTIRSLPKGGLPCSLIELDVSKSKNEELKRQCRNLRGTIPIIKDKDY >ORUFI06G08220.1 pep chromosome:OR_W1943:6:5945890:5964716:-1 gene:ORUFI06G08220 transcript:ORUFI06G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYPDSRDGNRVPRPRCEESTAATSPAASNPSAAAAAMNHLRNQILLLRVRSSSTSLSPLSPLHRLFSSSTAAASIAAEPFAVEDYLVTTCGLTGDQARKAAKTLSRLRSPSKPDAAVAFLSGLGLSRSGIAAAVAADPRLLCADVEKNLAKRVAELGELGISRSQIARLIPLARQSFRSSSLATNLGFWLPVLGSFENVLMALKANGAILGSDVEKVVKPNLALLQQCGIHVCDFPHTRLPTVLCRPPNHVQEAVARIGEFGVPQYSPVFRNALVPFAYQNKEKLAAKIGVLEMFGWSEDDLSMTMRKGPVVMNMSVERLRKNVEFLTRDVKLETRYIARRPIMISYSLERRLLPRHRLLRFLSAKGLLDGELDFYSAVALTEKKFLDKFVHSCKCSIADPANAYASSFVGDPASPRPIAGIFPPPCPLRRLLSTTAPVSPKPFAVDEYLVATCGLTRAQAAKASEKLSNLRSPSNPDAVLAFLSDLGLSRPDGIAAAVAADPRLLCADVGSSLARRVDELGGLGLSRSQIARLLPLAGRCFRSSSLATRLAFWHPVFGSFENILKALKMNAALLGSDLDKVAKPNLAFLAQCGINASDVTRTTLSLYSCRLFTVNPRFLQDAVARVEELGVARGWRTFHRVLSTVAFLSRETIASKMQLLDDLGFSQDDFLVIVRRAPQVLRLSDGRIRRSVEFLIRDVGLEQSYIAQRPTLLAYSLERRLLPRHCLLKVLKAKGLLNCDLSYYCIAAMSEEKFVQRFVDPFKDKIQGLADAYTSSCSGEANGVRSLFPIDFVAKIIVPASPRPIAGIFLPPCSLRRLLSTTAPVSPKPFAVEDYLVAGCGLTRAEAVKASAKISHLSSPSNPDAVIAFLSDLGLPRPAIAAAIAADPRLLCADVEKNLAKRVGELGDLGLSRSQIARLLPLAGWCFRSSSLATNLAFWLPVFGSFDKILKALRMNKNLLSPGVQKSAKPILAFLEQCGINASDVARSSTMYSSRLLTANPEYLRDAVARVEELGLDRSSRRFHRGLVAVALISKETAARKIRLMEELGFSQDDLLVIMRKSPNFVALSEKKIRRAVEFLKRDVGLEGRYIVQRPVLLSYSLERRLLPRHCLLKVLRTKGLLNSELDYYYTAALSEKKFVNKFVHPYEDHIAGLADAYASGCSEERNGVASLLSLQTEMDAREIENGEDFLVRKKEGIVDYCQMILIDYAGANNAGEDRCPSENAGLRPTGYNCGPTTFKAISKLQYCTLVSTSRSLRFHGGGGAASSSSSTATAAAAAMIHHLQRRIVSLLLLHPASPHPVAAISLGRLLSTTAPVSSKPFAAEDYLVAACGLTRAQAARASERISHLRSPSKPDAVLAFLAGLGIPRPDIATAVAADPRLLCAGVEGNLAKRVAELGDLGIPRSQIARLVPLAKIPFRSSSLATNLAFWLPVFGSLDSILRALRKNSSLLSANLDKVVKPNLAFLKQCGINARDVASNPNLYSSRLFTSNPMKLRDAVARVEELGMVRGSRVFHRGLIAVAFLSKEAVAAKTRLLVELGFSQDDVSVIFRKMPSFLTASEKRIRRAVGFLKGDVGLEERYIARRPVLLLYSLERRLLPRYYLLKVLRTKGLLDCKLCYYSTAALGEKKFIERFVHPYEDHIAGLADAYGSICSGKVANGVAPLLGL >ORUFI06G08220.2 pep chromosome:OR_W1943:6:5948930:5964716:-1 gene:ORUFI06G08220 transcript:ORUFI06G08220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYPDSRDGNRVPRPRCEESTAATSPAASNPSAAAAAMNHLRNQILLLRVRSSSTSLSPLSPLHRLFSSSTAAASIAAEPFAVEDYLVTTCGLTGDQARKAAKTLSRLRSPSKPDAAVAFLSGLGLSRSGIAAAVAADPRLLCADVEKNLAKRVAELGELGISRSQIARLIPLARQSFRSSSLATNLGFWLPVLGSFENVLMALKANGAILGSDVEKVVKPNLALLQQCGIHVCDFPHTRLPTVLCRPPNHVQEAVARIGEFGVPQYSPVFRNALVPFAYQNKEKLAAKIGVLEMFGWSEDDLSMTMRKGPVVMNMSVERLRKNVEFLTRDVKLETRYIARRPIMISYSLERRLLPRHRLLRFLSAKGLLDGELDFYSAVALTEKKFLDKFVHSCKCSIADPANAYASSFVGDPASPRPIAGIFPPPCPLRRLLSTTAPVSPKPFAVDEYLVATCGLTRAQAAKASEKLSNLRSPSNPDAVLAFLSDLGLSRPDGIAAAVAADPRLLCADVGSSLARRVDELGGLGLSRSQIARLLPLAGRCFRSSSLATRLAFWHPVFGSFENILKALKMNAALLGSDLDKVAKPNLAFLAQCGINASDVTRTTLSLYSCRLFTVNPRFLQDAVARVEELGVARGWRTFHRVLSTVAFLSRETIASKMQLLDDLGFSQDDFLVIVRRAPQVLRLSDGRIRRSVEFLIRDVGLEQSYIAQRPTLLAYSLERRLLPRHCLLKVLKAKGLLNCDLSYYCIAAMSEEKFVQRFVDPFKDKIQGLADAYTSSCSGEANGVRSLFPIDFVAKIIVPASPRPIAGIFLPPCSLRRLLSTTAPVSPKPFAVEDYLVAGCGLTRAEAVKASAKISHLSSPSNPDAVIAFLSDLGLPRPAIAAAIAADPRLLCADVEKNLAKRVGELGDLGLSRSQIARLLPLAGWCFRSSSLATNLAFWLPVFGSFDKILKALRMNKNLLSPGVQKSAKPILAFLEQCGINASDVARSSTMYSSRLLTANPEYLRDAVARVEELGLDRSSRRFHRGLVAVALISKETAARKIRLMEELGFSQDDLLVIMRKSPNFVALSEKKIRRAVEFLKRDVGLEGRYIVQRPVLLSYSLERRLLPRHCLLKVLRTKGLLNSELDYYYTAALSEKKFVNKFVHPYEDHIAGLADAYASGCSEERNGVASLLSLQTEMDAREIENGEDFLVRKKEGIVDYCQMILIDYAGANNAGEE >ORUFI06G08220.3 pep chromosome:OR_W1943:6:5945890:5948392:-1 gene:ORUFI06G08220 transcript:ORUFI06G08220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLRPTGYNCGPTTFKAISKLQYCTLVSTSRSLRFHGGGGAASSSSSTATAAAAAMIHHLQRRIVSLLLLHPASPHPVAAISLGRLLSTTAPVSSKPFAAEDYLVAACGLTRAQAARASERISHLRSPSKPDAVLAFLAGLGIPRPDIATAVAADPRLLCAGVEGNLAKRVAELGDLGIPRSQIARLVPLAKIPFRSSSLATNLAFWLPVFGSLDSILRALRKNSSLLSANLDKVVKPNLAFLKQCGINARDVASNPNLYSSRLFTSNPMKLRDAVARVEELGMVRGSRVFHRGLIAVAFLSKEAVAAKTRLLVELGFSQDDVSVIFRKMPSFLTASEKRIRRAVGFLKGDVGLEERYIARRPVLLLYSLERRLLPRYYLLKVLRTKGLLDCKLCYYSTAALGEKKFIERFVHPYEDHIAGLADAYGSICSGKVANGVAPLLGL >ORUFI06G08230.1 pep chromosome:OR_W1943:6:5960426:5964338:1 gene:ORUFI06G08230 transcript:ORUFI06G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLDWFYDVLASIGLWQKEAKILFLGLDNAGKTTLFYMLSQENLAVHQPTQHPTSEELSIGRIRFKAFDLGGHRIARRVWRDYYAQVDAVVYVVDAADRCRFAESKMELDALLSDDALAGVPFLVLGNKIDIPYAVPEQELCYYLGLTGLTTGKGNVNLAGTGVRPVEVFMCSVVRRMGYGDGFRWMSQYIK >ORUFI06G08240.1 pep chromosome:OR_W1943:6:5964873:5966087:-1 gene:ORUFI06G08240 transcript:ORUFI06G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLQKHLLLLSLPPRATASTLLSLRHHGLFSLTRFSAAAAAAKSAGHFAVEEYLVATCHLTPDQATKASKSISHLKSPSRPDAVVAFLAGLGLSAADIAAAVAYDPRLLCAEVDRTLAPRLAELAGLGLSPSQIARLVLVDPARFRRPTVISKLQYYVPLFGSFETLLQALKNNSYLLSSDLEKVVKPNVALLRECGLGACDIAKLCIPLPRLLTTSPERVRDMVAQAENVGVRRGSKMFRHAILAVAYISEEKIAAKMQFLMKTLKWSDAEARIAVSKLPVVLRSSEDKLSRVSEFLISEVGLEPAYIAYRPAMLTYSLERRLMPRHCVLKYLKDNGLIESDKSYYSAVQVTEEVFVEKYISPYEDTAPHLAEDYAAVSSVKIPTRFRLKGPKTGHASAQTA >ORUFI06G08250.1 pep chromosome:OR_W1943:6:5967687:5968868:1 gene:ORUFI06G08250 transcript:ORUFI06G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHLRRHMISLLLRPAYPHPTAAISPLSSLRRLLLFSTTAAPVSPEPFAVEDYLVATWGLTGAQARKASKKLSHLRSPSKPDAVLAFLSDLGLPPRKIAAVATADPRFLCADVESNLARRVDELGGLGLSRSQIARLVPLALTCFRSSSVGTNLGFWLQIVGSFDKILKALRMNSSLLGSDLEKVVKPNLELLKQCGMSDFATSFPLYTSRLFTANPIYLRDAVARVEELGLDRSSRMFRHGLIAVAFTSKESVARKIQVMEELGFSRDELLMIIRKAPQLVASSEEKIRQAAEFLKRDVGLEGRYIAHRPVLFLYSLERRLLPRHHLLKVLRMKGLLDCELDYYNTAAMSERKFVRKFVDPYKCHIPGLADAYTSSCAGETANGVASLLGV >ORUFI06G08260.1 pep chromosome:OR_W1943:6:5969668:5973395:-1 gene:ORUFI06G08260 transcript:ORUFI06G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRLLLLLVLLLCRLAAVLPTSEVEALQGFMAGFAGGNAAFQSWDASAPNPCTWFHVTCGPGNQVIRLDLGNQSLSGELKPDIWQLQALQSLELYGNSISGKIPSELGRLASLQTLDLYLNNFTGEIPNELGNLSKLSNLDLSHNNLSGIIPTNGSFSHFTPISFSNNPRTFANSSDSPSNNSGAAVPSGRSSASSIGTIAGGAAAGAAMLFAAPIVLFAWWWRRKPHDQFFDLLEEETPEVHLGQLRRFTLRELQVATDNFSQTNLLGRGGFGKVYKGRLLDGSLIAIKRLNEDRIGTGERQFLMEVEIISMAVHQNLLRLQGYCMTPTERLLVYPYMENKSLETRLRECSDSQQPLDWPTRRKIALGSARGISYLHEGCDPKIIHRDVKAANILLDEKLEAVVGDFGLARIMDYKVSHVVTGVMGTLGHIPMEYLTAGRTSDKTDVFGYGIMLFELISGKRGFDLVGLANEENARVHDWVKKLLEEDRLEVLIDPNLLEIYNGGEQGVREEMRLLVQIALLCTQESAPSRPRMSTVVTMLEDGIAEHWDAWQRKTIVQASLQGGQGVSEARNDSVANLPPDTLSGPR >ORUFI06G08270.1 pep chromosome:OR_W1943:6:5974841:5978787:-1 gene:ORUFI06G08270 transcript:ORUFI06G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMLECKKAAESLEKSFGSAREKLPETMASVKLVGREICDLAVDLSNLSQELRKGVQSSMSVVHAADAQLHQLTTSAPQGNQRVTSNRKRAAGEPLLASTVRELRELIAELHSGFGVAVSIAGLLTWASNFVSKRPKNRS >ORUFI06G08270.2 pep chromosome:OR_W1943:6:5974841:5978787:-1 gene:ORUFI06G08270 transcript:ORUFI06G08270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKLVGREICDLAVDLSNLSQELRKGVQSSMSVVHAADAQLHQLTTSAPQGNQRVTSNRKRAAGEPLLASTVRELRELIAELHSGFGVAVSIAGLLTWASNFVSKRPKNRS >ORUFI06G08270.3 pep chromosome:OR_W1943:6:5974841:5975740:-1 gene:ORUFI06G08270 transcript:ORUFI06G08270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMLECKKAAESLEKSFGSAREKLPETMASVKLVGREICDLAVDLSNLSQELRKGVQSSMSVVHAADAQLHQLTTSAPQGNQRVTSNRKRAAGEPLLASTVRELRELIAELHSGFGVAVSIAGLLTWASNFVSKRPKNRS >ORUFI06G08280.1 pep chromosome:OR_W1943:6:5976494:5978685:1 gene:ORUFI06G08280 transcript:ORUFI06G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLAKNPLSKPRYAYQSCAFKAATPSCCHRYRRLPQCHVAGLRRRYEMCEGWEQGGPYRGRSDGDYQEAAAVSRPPICVARGGGDGDGNGGAEERRRARGGEHERLRGGSAVTEADTESAAPAAEEAGGGGGGGRRRGSLGERGARIPLRLVLRCCSLSRAWAAALSSDAFIDHYLRLAKRRRGPKLCILPESAFADTVSAWSPETPTKAATTSSGKIAVATSGRRRLGKSCRCWAWAALEELRRR >ORUFI06G08290.1 pep chromosome:OR_W1943:6:5980490:5983075:-1 gene:ORUFI06G08290 transcript:ORUFI06G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAGLAMQSRAAVGVGAGPGVGRRGRAVIRVGKRPTAASLRVGGPAGPAAAKPLAPLYCLKASRGHDSLHNSVDEALLLKRKSEEVLFYLNGRCIYLVGMMGSGKSTVAKILAEVLGYSFFDSDKLVEQAVGMPSVAQIFKEHSEAFFRDNEAMKYMKKGLSVWLDVPLDALARRIAQVGTASRPLLDQPSSDPYTAAFSKLSMLAEQRGDAYANADARVSLEEIAAKQGHDDVSKLTPTDIAIEALLKIENFVTEHSTSSGPVGDLIVDSQNRRTKAL >ORUFI06G08300.1 pep chromosome:OR_W1943:6:5989383:5999454:-1 gene:ORUFI06G08300 transcript:ORUFI06G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAMRLRGLLRPQLLRTHETGGALVVGLGEPGGSAVARRPPPLPFGDGRRRPSSRFYCSKGGVGSVEAAVGSGGGGSSSSSSEQEHARLGERDQKEWLSGERFVTGCRRRESPFLTKRERFRDQFLRRVVPWEKATLSWRSFPYYVDEDARQLLSDCVAAHLRHKDVALEYGSRLQSSGGRILLQSLSGTELYRERLVKALAHELRVPLLVLDSSVLAPYDFGEDCSESEEEDDHAESEDEGSVSEVEDEGDDDEEKSGESDDDDAIKSVEDLKKLVPCTLEEFAKRVASAQGSSSTSESSDTAESPEDGKRPLQKGDRVKYVGASVLVEADHRINLGQIPTQEGGTNAYTSINGRTLSNGQRGEVYEINGDQAAVIFDPSEDKLSDDKKDEASKEHLAKPAVCWVDTQDIELDHDMQAEDWHIAIEALREVLPSLQPAIVYFPDSSQWLSRAVPRSNCREFVEKVEEVFDQLTGSLVLICGQNITEAAPKEKEPKTLVFHNLARLSPLTSSLKRLVGGLKARKPSKSNDISKLFRNKFFIPLPKDDEQLRVFNNQIEEDRKIIISRHNLVEMHKVLEEHELSCEDLLHVKLEGIILTKQRAEKVIGWARSHYLSSVTCPSIKGDRLIIPRESLDLAIGRLKAQEASSRKSSEKIKILAKDEFERNFISAVVPPNEIGVKFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSNLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDSQNRMKILKILLAKENLESDFRFDELANATEGYSGSDLKNLCIAAAYRPVHELLEEEKGGVSGTKISLRPLKLEDFVQAKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSKSPFGFGS >ORUFI06G08310.1 pep chromosome:OR_W1943:6:6003423:6004418:1 gene:ORUFI06G08310 transcript:ORUFI06G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVKIGQWGGYGGSAQDITVTPIKLTGMTIRSGNAIDSISFSYSGIDGQEHVVGPWGGNGGHATTIMLGPTEHVIEVSGTHGKFGPVADVVTYLKIVTDITTYEFGVRSGTDFSVPLQGGAHVVGFFGRFGVLMDAIGIYTRP >ORUFI06G08320.1 pep chromosome:OR_W1943:6:6016315:6017457:1 gene:ORUFI06G08320 transcript:ORUFI06G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKHALHGGVPAAAAERRRRSSRRSVAVRSAAVSFAAGIGDGVMLVKGGGGGGVGYTSATVGQEKRCRRAPPRTPTKTPLRAPEEINVWELMAGLDYDEEEEEEEEEVVVDGHGGERQVKSAPGSPAFDPDVLAAFRKAVEELPPESPPRDAAAAAADDDDKKGEIQKFPGVVRARIILFQKEIDAKLAKKAPPPPPPESARRVVVYLTSLRGIRQTYEDCCATASILRSYGVRVDERDLSLHAGYKDELRAALGDGAGGGGGVPGQGRPLPQVFVDGCHVGGAEDVRRMHESGELTGTLLKACDTAAAAVAAVGKGGRQLAPPSEPCGGCGGVRFVPCDACSGSCKVFVADDEDGGAFRRCPECNENGLVRCPVC >ORUFI06G08330.1 pep chromosome:OR_W1943:6:6019527:6020247:-1 gene:ORUFI06G08330 transcript:ORUFI06G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNARLDNEPLRARGRDRIERDGDGSHGGGSPWLPRHGSLAIACSLRLAGDDGRSLKELVGGGNDVEVVNGEDERRTAKHDDKVEWEAVGVGSSMGKGRRVG >ORUFI06G08340.1 pep chromosome:OR_W1943:6:6020690:6022665:1 gene:ORUFI06G08340 transcript:ORUFI06G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGATRGDGGGHGSRRRRSCRWVRCGLRCTKAGRRGTPVQWSHMSAEVERWWSFAARGFAGGERRVKTQPGLDRAGNDDARSVMPLLRTLSCRHLIPHAWMPGESPDCNPVIFLLLYQ >ORUFI06G08350.1 pep chromosome:OR_W1943:6:6039065:6040405:1 gene:ORUFI06G08350 transcript:ORUFI06G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQFLPHTTATSFSSSSSSSHRNERSIQLDQLAAFALAADDDVEPEVVALLRVGGGDQRAHLQAPVPPPQLPPPRRQPGVDDEAAEGDVQLHQEPAPGGGRPQRQALRPHGRHGSQQPRRRDHPQPPPLAHLFSSVLPPR >ORUFI06G08360.1 pep chromosome:OR_W1943:6:6042620:6045573:-1 gene:ORUFI06G08360 transcript:ORUFI06G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSFLKLLANNFDVLAGPLVSLAYPLYASVRAIETKSPVDDQQWLTYWVLYSFITLFELTFAPVIEWLPFWSYAKLFFNCWLVLPCFHGAAYVYDHFVRPMFVNRQIVNVWYVPRKENLSKPDDVLSAAERYIEQNGPEAFEKLISKSTRPSTSKRSTKRSILEEVESEHMARAERESWGENPFYDKNYRC >ORUFI06G08370.1 pep chromosome:OR_W1943:6:6045753:6046208:1 gene:ORUFI06G08370 transcript:ORUFI06G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSGCFFFFGTRFLGPSKKWASWALGDVKRVVQRPNDDVLDLQMLAQRSSASLRVAAAAVAPWRWWRRSTGSRRLAETAGADDQAAAAASAGEPWRRSAC >ORUFI06G08380.1 pep chromosome:OR_W1943:6:6053676:6054839:1 gene:ORUFI06G08380 transcript:ORUFI06G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTGDGGGGGQRPNFPLQLLGKKEEQTCSTSQTAGAGGGGVVGANGSAAAAPPKRTSTKDRHTKVDGRGRRIRMPAICAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSLSIPSHLRLAGLAGPRFGGGARAADAWDRVVGLGFGGAADAPSSATSSSSSPLLLSFHSGSVGLDVSPPSASTSPAAADLSRKRRWEQEMQQQQQYQQQMAGYTQSQIPAGTVWMVPSSNAQAAGGGAPPGGGGESIWTFPQSGSGGGGGAATVYRGVPSGLHFMNFPATPMALLPGGQQLGLAGAGGGGEGHPGILAALNAYRAQAAQPDAGAAAQNGAQGSSQHRQHQHHGGGGGGGDERHESMSASDS >ORUFI06G08390.1 pep chromosome:OR_W1943:6:6057919:6068296:-1 gene:ORUFI06G08390 transcript:ORUFI06G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVAVRRERPATILCDPCTPPAKKSGEAVRSRCSSALCRPLPSTPARSNAEPPDPVVAAPEPQPHAPVARRHTVPAADAGDASRHYASTPAAANVVCPAPDRVEHQHLLGEKEGCIASLPRTPSPRRAPGRRHEHRRPTPPPSRTLASPMWLVTDLLRRAGALRCGESEGEGCVMHPCVEKKRSRRGGSTAQPPSTVGKAREPPDVILVHRHLPCPVLIPGRCGSSLPTVSSLSSCCCYVLRPLARQGHSMRPISRTCDGSNLAQRTTDSSSTHETKPQRERRER >ORUFI06G08400.1 pep chromosome:OR_W1943:6:6072155:6073532:-1 gene:ORUFI06G08400 transcript:ORUFI06G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVSDELLGTFVPIAVYWLYSGLYIVLDAMGMDDYRLHPKGEEATKNVVSKWTVVKGVLVQQSFQIAVSLLLFTIIGDESGTVRKQPPALVIALQFIIAMFVMDTWQYFMHRYMHVNKFLYKHVHSKHHTLVVPYAFGALYNHPLEGLILDTIGGALSFLIAGMTPKTAIFFFSFATIKTVDDHCGLWLPGNILHVFFSNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYTLENRKGGGFEARPIKLNVAEQSKTD >ORUFI06G08410.1 pep chromosome:OR_W1943:6:6077989:6084653:-1 gene:ORUFI06G08410 transcript:ORUFI06G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPNVAAKVKAAAAGGADGGSSKGSPSTPASLRVTKLVKGKAKVKAKASREKAAAPAAAAGKEAASLGDAGGNADASPAAPALRPAAVADADGASKGSPSTPASVKKTSRLNKVKAKAKAAAAAAASGSPSVGAAGGNADASPAAPAPRSATVADGSAARVISTPAAATAEASTPKRRLKLKPKLAEANANANAVVATKNGVGADNNDGDAIKKRKREIAGERTSNVKERRKEEEEGSKKEERLDSKGGGLIFMCNAQTKPECFQSRLFGYPRGKIGIVEKIRPGMRLFLYDFDLKLLYGVYKAVSKGGLDLVRDAFSGKFPAQVKFKIDKDCLPLPESRFKDAIRENYSAKSKFNPELNSRQVHRLIALFESVSVPQPAPQKPLEEMHHYEGKTQPHQYEERRSSLPVMHVPPPKDLYRATRFDPHPVDYRIDHSLSNAHDGPHIHYQQTLVARESQRVPLDIEPRLVPHALEPRHGPSIPEIQHVPHAYYRHLAPSDVPYYRSQVDPLPDRVAARTVADPFLSRDYTAVPATRSDGAARVEELRRIGDIASLGARVEELYRPGELAARGSRVEELYRPGEIVARGSRVEELYRPGEVAAHSARMEDLYRPGEIPIRGARVEDLYRPGEISARAVRVEDLYRSDQRITHAVDLPLRAPYPTAHYEVPNPAYSDISQRYASTRLNAPVLSEVTYVWTYGRAVRLAVTAVPF >ORUFI06G08420.1 pep chromosome:OR_W1943:6:6087971:6090545:-1 gene:ORUFI06G08420 transcript:ORUFI06G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRAFRPSAPRRAAFAALLTLLLLATLSFLLSSPPPTHASHRSSYLGASPPSRLAAIRRHAADHAAVLAAYAAHARRLKEASAAQSLSFATMSSDLSALSSRLASHLSLPEDAVKPLEKEARDRIKLARLLAADAKEGFDTQSKIQKLSDTVFAVGEHLARARRAGRMSSRIAAGSTPKSLHCLAMRLLEARLAKPSAFADDPDPSPEFDDPSLYHYAVFSDNVLAVSVVVASAARAAADPSRHVFHVVTAPMYLPAFRVWFARRPPPLGVHVQLLAYSDFPFLNETSSPVLRQIEAGKRDVALLDYLRFYLPDMFPALQRVVLLEDDVVVQKDLAGLWHLDLDGKVNGAVEMCFGGFRRYSKYLNFTQAIVQERFDPGACAWAYGVNVYDLEAWRRDGCTELFHQYMEMNEDGVLWDPTSVLPAGLMTFYGNTKPLDKSWHVMGLGYNPSISPEVIAGAAVIHFNGNMKPWLDVALNQYKALWTKYVDTEMEFLTLCNFGL >ORUFI06G08430.1 pep chromosome:OR_W1943:6:6094777:6095608:1 gene:ORUFI06G08430 transcript:ORUFI06G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTAAVAAAAQPKEVKLYGAWGSAHAAMPRNALELKGVRYEYVEEDLERKSETLLLRLNPAHAGKVPVLVVVVDDGGGGGGCPLAESLVILEYVDEVWPQAPRLLPPPSSPRARAAARFWARFFHGEVSPLSRAAAVLAPTPEERAEAVREMKARMAVMEAGFERDFPSSVVGGPFVHGATPGLLDVILGSCAAGTRAISAMAGEEVVEPDALPHVHASMAAFDERL >ORUFI06G08440.1 pep chromosome:OR_W1943:6:6125972:6126289:1 gene:ORUFI06G08440 transcript:ORUFI06G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETDEEEEVDSPSSVRTGGGGLSVSGGNGDEGEELEIPLRKVLETAGSSRLGTTLAEELEPILMPRDIVVAPPGRGGRQSGEEESAAVATLMKRRGGRSGGVRR >ORUFI06G08450.1 pep chromosome:OR_W1943:6:6126735:6131288:-1 gene:ORUFI06G08450 transcript:ORUFI06G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHRVVPLFPPPSLSRGRAGAGKGRQRETRGQRPELGGERRRARLGGSDRRQERRAWLGGSG >ORUFI06G08460.1 pep chromosome:OR_W1943:6:6131302:6135263:-1 gene:ORUFI06G08460 transcript:ORUFI06G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAPSRTNSRVNYSNEIHDLSTVQSVSAVPSVYYPEKSFADIFPPNLLKKVISEVVATFLLVFVTCGAASIYGEDMKRISQLGQSVVGGLIVTVMIYATGHISGAHMNPAVTLSFAFFRHFPWIQVPFYWAAQFTGAMCAAFVLRAVLYPIEVLGTTTPTGPHWHALVIEIVVTFNMMFVTCAVATDSRAVGELAGLAVGSAVCITSIFAGPVSGGSMNPARTLAPAVASNVYTGLWIYFLGPVVGTLSGAWVYTYIRFEEAPAAAGGAAPQKLSSFKLRRLQSQSMAADEFDNV >ORUFI06G08470.1 pep chromosome:OR_W1943:6:6161115:6165119:1 gene:ORUFI06G08470 transcript:ORUFI06G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSQGVGILSMPYALSQGGWLSLAIFITIAAICFYTGILLQRCIDSSSLVKTYPDIGELAFGRKGRIAVAAFMYLELYLVAIDFLILEGDNLEKLFPNASFFSSFHRIAGGTRQGFVLLFALLVLPTTWFRSLDLLAYVSLGGVLASAILVASVLWVGAADGVGFREGGVAVRWGGVPTAMSLYAFCFSGHAVFPMIYTGMRNRRMFPHVLLICFIICTLAYGVMGVIGYLMYGGSLRSQVTLNLPARKLSSSIAIYTTLINPFTKFALLITPIAEAIEGVLGLGTATTGGKPAQYRAAAVSVSVRTALVVSTTAVALAVPFFAYVVALTGSFLSATATMLLPCACYLRISSRASGKLGVLEIVACVGIIVLGLGVIVIGTYSSLKQIVQSF >ORUFI06G08480.1 pep chromosome:OR_W1943:6:6166711:6169949:-1 gene:ORUFI06G08480 transcript:ORUFI06G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDLELGRPLSAAAAAYPPPLRRSINDDDVDDDGKPKRTGTEWTASAHIVTAVVGSGVLSLAWSTAQLGWVAGPATLVVFAVITYYTSVLLADCYRAGGDQVSGKRNYTYMDAVESYLGGRQVWFCGLCQYVNLVGTAIGYTITASISAAAVYKSNCFHKNGHSADCSVFTTSYMVVFGVVQVFFSQLQSLHEVAWLSVLAAVMSFSYSAIAVGLSLAQTISDVDLSHKIWQALQALGNIAFAYSYSLVLIEIQDTIRSPPAESKTMRKANALAMPVITAFYTLCGCLGYAAFGNAAPGNMLTGFGFYDPYWLVGLANACIVVHLVGSYQVMSQPVFTAVESWASSRWPRCGFFVTGGGGTRLISVNAFRLAWRTAYVVACTAVAAVVPFFNDVLGLLGAVGFWPLTVYFPVEMYIRRRKLERSSKRWVALQSLNAVCFVVTLASAVASVQGIAESMAHYVPFKSKL >ORUFI06G08490.1 pep chromosome:OR_W1943:6:6170699:6171436:-1 gene:ORUFI06G08490 transcript:ORUFI06G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAHDGSCCNQREVRGCGGADVRKEAALAHGSLGGGSGDGKWEPKQRERWQRQRLGGRLTGEQWSGGAQVGGAVVAAACGRASGGSDGGSSGNEADLQMVQRRGDLGDRSDSAEARQHWIYRLRWCSASGKERTVVAVLLLILNGKDSGWRWWLSVSSKERTEAVALLLLAPNREDGDAVEGCGAVMLLLFDPNEEDDDERRRGAHRPGRGKSSPVWAWEFDRLAYPP >ORUFI06G08500.1 pep chromosome:OR_W1943:6:6175373:6179211:-1 gene:ORUFI06G08500 transcript:ORUFI06G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSSQKHGNDDVDTGAEAAMDQLAGRSSSSPAPEKTRRRPEKSGTVWTATAHIVALLIGSSVLAVAWTFAQLGWVAGPAVVVALSVVTYYSSALLADCYRDDDPLHLGGGAVHGEYIAAVRSYLGPKSVTFCGIIQYGVLWAAMVGYTITSSSSMSAVRRVNRFHRNWLAAGDGDGGGGGGGATGVRYMVVFGAFQLLLSQLPSLENVAWLSVIAVATSFGYSSICLGLCAAKWASHRGGVRGTLAGAAAGSPGEKVFNVLLAVGNIAISYIYSPVLFEIQDTVRTPPSESKTMKRASLYGLAMSAVFYLVLGASGYAAFGDDAPSNILTGAAFHEPFWLVDVANACVVVHFLGAYQVIAQPVFARLEAYVGGRWPESRLVTASYELRLRVPAWTSAPPTAVTLSPARMALRAAVIVATTAVAAMMPFFNAVLGFIAALGFWPLAVYLPVSMHIARVKIRRGEARWWALQGASAALLVVAVGMGVASVRDMVQRLNEAAPFKTTG >ORUFI06G08510.1 pep chromosome:OR_W1943:6:6180753:6185930:1 gene:ORUFI06G08510 transcript:ORUFI06G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRPPPVPAAAHRRATATAAGAAPAPAPAAADDLVMRHNRSLAALLRAGRYGAARRLFDALPARSVVTWNSLLAGLARRPDARAAREFFDAMPVRDAVSWNTLLAAYSASPHPDHLAAARRLFDEMPQRDVVTWNTLLGAYARRGLMDEARRLFDEMPQRNAASWNTMVTGFFAAGQVVKALDVFDAMPAKDSASLSTMVSGFTKNGMLHEAEELLTKRLSVTDMDKAVDAYNTLIVAYGQAGRFSDAKRLFDMIPKGQYQHNMLKRKGFERNVVSWNSMMICYIKAGDVCSARALFNEMPDKDLVSWNTMISGYTQASDMKEAEKLFWEMPDPDTVSWNLIIQGFMQKGEAEHARGFFDRMPERGTISWNTMISGYEKNGNYISSVKLFSKMLEVGEIPDRHTFSSVLAACASIPMLGLGAQIHQLVEKSFVPDTAISNALITMYSRCGALNDAEAIFKQMHTKKDLVSWNALIGCYEHHGRATKALQLFKEMRRAKVMPTHITFVSLLSACVNAGLVSEGRMVFDTMVHEYGIVARIEHYAALVNLIGRHGQLDDALEVINSMPMAPDRSVWGAFLGACTAKKNEPLAQMAAKELSTINPDSSAPYVLIHNLHAHEGKWGSAAVVREEMERQGVYKQPGYSWIDLEGKMHVFISGDTWHPNAQEIFSVLEDWQWHNPMSLEMNQLTQVKLIAKRNNQIMDKQATATKMHKATNIRHIYSKFKVDEQQNTSWWPYCTSLWPDSYLLEEEALFSSLSFPSFHPQPVYSTVMQSNVLQDELGVIFEDDVLKYWDEMEQSENKVEKSEKGLPLLYYGDENGAASKIMRDDVRSEEKALTFELVSQYFYMPITQAARELNVGLTLLKKKCRELGIPRWPHRKMKSLQTLINNVQVLQEASKANNEEQLRMLVEMLQEERRLLEQKPYVQLEEKTKRLRQACFKANYKKRRLLALEAGEP >ORUFI06G08510.2 pep chromosome:OR_W1943:6:6180753:6185930:1 gene:ORUFI06G08510 transcript:ORUFI06G08510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRPPPVPAAAHRRATATAAGAAPAPAPAAADDLVMRHNRSLAALLRAGRYGAARRLFDALPARSVVTWNSLLAGLARRPDARAAREFFDAMPVRDAVSWNTLLAAYSASPHPDHLAAARRLFDEMPQRDVVTWNTLLGAYARRGLMDEARRLFDEMPQRNAASWNTMVTGFFAAGQVVKALDVFDAMPAKDSASLSTMVSGFTKNGMLHEAEELLTKRLSVTDMDKAVDAYNTLIVAYGQAGRFSDAKRLFDMIPKGQYQHNMLKRKGFERNVVSWNSMMICYIKAGDVCSARALFNEMPDKDLVSWNTMISGYTQASDMKEAEKLFWEMPDPDTVSWNLIIQGFMQKGEAEHARGFFDRMPERGTISWNTMISGYEKNGNYISSVKLFSKMLEVGEIPDRHTFSSVLAACASIPMLGLGAQIHQLVEKSFVPDTAISNALITMYSRCGALNDAEAIFKQMHTKKDLVSWNALIGCYEHHGRATKALQLFKEMRRAKVMPTHITFVSLLSACVNAGLVSEGRMVFDTMVHEYGIVARIEHYAALVNLIGRHGQLDDALEVINSMPMAPDRSVWGAFLGACTAKKNEPLAQMAAKELSTINPDSSAPYVLIHNLHAHEGKWGSAAVVREEMERQGVYKQPGYSWIDLEGKMHVFISGDTWHPNAQEIFSVLEDWQWHNPMSLEMNQLTQVKLIAKRNNQLVALFLWPDSYLLEEEALFSSLSFPSFHPQPVYSTVMQSNVLQDELGVIFEDDVLKYWDEMEQSENKVEKSEKGLPLLYYGDENGAASKIMRDDVRSEEKALTFELVSQYFYMPITQAARELNVGLTLLKKKCRELGIPRWPHRKMKSLQTLINNVQVLQEASKANNEEQLRMLVEMLQEERRLLEQKPYVQLEEKTKRLRQACFKANYKKRRLLALEAGEP >ORUFI06G08520.1 pep chromosome:OR_W1943:6:6185604:6188637:-1 gene:ORUFI06G08520 transcript:ORUFI06G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTAMSLTTTTSRLPICRAQGGGVAKEKRTTPPPAKITPPSSSSSEAAGLSRRRLLQSAGLGLGLGLTAARPARAEATAPEEVTSNRMSYSRFLEYLDAGAVKKVDFFENGTVAVAEVDDAAALSRVHRVKVQLPGLPAELVRKLRDKGVDFAAHPVEPSAGVMLLDLLVNFGFPLLFVASLLWRSPTMNNPGGGPSLPFGLGKSKAKFQMEPKTGVTFDDVAGVDEAKQDFQEIVQFLKFPEKFTAVGARTPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFDRAKASAPCLVFIDEIDAVGRQRGAGIGGGNDEREQTLNQLLTEMDGFGGGDGGVVVIAATNRPEILDAALLRPGRFDRRVSVGLPDVRGREEILLVHGANKRLDPGVSLAVVAMRTPGFSGADLANLMNEAAILAGRRGKDRITVSEIDDSIDRIVAGLEGTSMTDGKSKMLVAYHEIGHAVCATLTAGHDEVQKVTLIPRGQARGLTWFLPGEEDPALVSRQQIFAGIVGGLGGRAAEEVVFGEPEVTTGAAGDLQQVTRVARRMVTAFGMSEIGPWALAEPAAQGGDVVLRMLARSSMSERLAADIDAAVRTIVDEAYEVAKAHVRRNRAAIDQLVDVLMEKETLGGDEFRAILSEHVDIGKERRETAARTQQLATA >ORUFI06G08530.1 pep chromosome:OR_W1943:6:6193993:6197365:-1 gene:ORUFI06G08530 transcript:ORUFI06G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSARGLDLSLPTPDGAGIEAGAGKTAETASSTCPVTKGDLRTDDLVPNHALRRVIQAWCVANNLPPRRLPASPLPAPQYCSSPAPETASPVDREELKASPAAACPLLATTFTNPVDFRCKSCLLHLSFAAASESSDSSASSVLLNNVLAVLVLVMPLDEEAIITTSVALLANVAKHGDLQRRLQAVVVIMEIFRAYTLQRKQGTQTVHKEVPLPPP >ORUFI06G08540.1 pep chromosome:OR_W1943:6:6200165:6206355:1 gene:ORUFI06G08540 transcript:ORUFI06G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCDFRCGPHAREEAAYEPRARKTRHAGAGARACAWLELGKKALGRSDRHGVRPCSRLAAYVVKASVRGCVTAYRGHDPLRPRPSRQFSHQPTKPHRDRDSDVSATGQSRKIEGTFPSPSRGGRTGWSVGPSVAWTRSRLVFLLLLLPPPAVAQEGARGYCCFRSKLQVPVRFCFPTTSRWSRCPLRSAPQASGSGGLASDELGVVWRWCLAWLVGLLTSDQLAKTVLSDEEMARYVILDSPLAEKSLQYVFQQNNTTPLHWLDVPNPPAVQNPQNISQVISTELLASNLSITRNFSDRELQSLHSWNHLKDLVSHAHILPDGVEAIKEAGVAWRELNAALEYDESAVSVNGSTHQKSKEKQCPYSIRRMNATRSELTGATVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGSEDRCPSPGSDAKDIAKVDELEKCGSMVGNDQKQAWATKLKSNVSSIQPAWKKNTEPKKYFPFRQGYLAIAILRVGAHGIHMTVDGKHVTSFAFREDLEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVTDLEILKAPPVPMDKPLDLFIGIFSTANNFKRRMAVRRTWMQYDAVRSGKAAVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILLSLDRVNISHGLLYGRVNSDSQPHRDPYSKWYITPEEWPEESYPPWAHGPGYIVSQDIAKEVYRKHKRGELKMFKLEDVAMGIWINEMKKDGLDVKYENDGRILVEGCEEGYVVAHYQEPRDMMCLWDKFLKTKRGTCCKE >ORUFI06G08540.2 pep chromosome:OR_W1943:6:6200165:6206355:1 gene:ORUFI06G08540 transcript:ORUFI06G08540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCDFRCGPHAREEAAYEPRARKTRHAGAGARACAWLELGKKALGRSDRHGVRPCSRLAAYVVKASVRGCVTAYRGHDPLRPRPSRQFSHQPTKPHRDRDSDVSATGQSRKIEGTFPSPSRGGRTGWSVGPSVAWTRSRLVFLLLLLPPPAVAQEGARGYCCFRSKLQVPVRFCFPTTSRWSRCPLRSAPQASGSGGLASDELGVVWRWCLAWLVGLLTSDQLAKTVLSDEEMARYVILDSPLAEKSLQYVFQQNNTTPLHWLDVPNPPAVQNPQNISQVISTELLASNLSITRNFSDRELQSLHSWNHLKDLVSHAHILPDGVEAIKEAGVAWRELNAALEYDESAVSVNGSTHQKSKEKQCPYSIRRMNATRSGDRFVLKIPCGLIQGSSITIIGTPGGLLGSFKIELTGATVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGSEDRCPSPGSDAKDIAKVDELEKCGSMVGNDQKQAWATKLKSNVSSIQPAWKKNTEPKKYFPFRQGYLAIAILRVGAHGIHMTVDGKHVTSFAFREDLEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVTDLEILKAPPVPMDKPLDLFIGIFSTANNFKRRMAVRRTWMQYDAVRSGKAAVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILLSLDRVNISHGLLYGRVNSDSQPHRDPYSKWYITPEEWPEESYPPWAHGPGYIVSQDIAKEVYRKHKRGELKMFKLEDVAMGIWINEMKKDGLDVKYENDGRILVEGCEEGYVVAHYQEPRDMMCLWDKFLKTKRGTCCKE >ORUFI06G08540.3 pep chromosome:OR_W1943:6:6200165:6206355:1 gene:ORUFI06G08540 transcript:ORUFI06G08540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWHGGFVIVSLFIILMLRYVILDSPLAEKSLQYVFQQNNTTPLHWLDVPNPPAVQNPQNISQVISTELLASNLSITRNFSDRELQSLHSWNHLKDLVSHAHILPDGVEAIKEAGVAWRELNAALEYDESAVSVNGSTHQKSKEKQCPYSIRRMNATRSGDRFVLKIPCGLIQGSSITIIGTPGGLLGSFKIELTGATVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGSEDRCPSPGSDAKDIAKVDELEKCGSMVGNDQKQAWATKLKSNVSSIQPAWKKNTEPKKYFPFRQGYLAIAILRVGAHGIHMTVDGKHVTSFAFREDLEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVTDLEILKAPPVPMDKPLDLFIGIFSTANNFKRRMAVRRTWMQYDAVRSGKAAVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILLSLDRVNISHGLLYGRVNSDSQPHRDPYSKWYITPEEWPEESYPPWAHGPGYIVSQDIAKEVYRKHKRGELKMFKLEDVAMGIWINEMKKDGLDVKYENDGRILVEGCEEGYVVAHYQEPRDMMCLWDKFLKTKRGTCCKE >ORUFI06G08540.4 pep chromosome:OR_W1943:6:6200165:6206355:1 gene:ORUFI06G08540 transcript:ORUFI06G08540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATCDFRCGPHAREEAAYEPRARKTRHAGAGARACAWLELGKKALGRSDRHGVRPCSRLAAYVVKASVRGCVTAYRGHDPLRPRPSRQFSHQPTKPHRDRDSDVSATGQSRKIEGTFPSPSRGGRTGWSVGPSVAWTRSRLVFLLLLLPPPAVAQEGARGYCCFRSKLQVPVRFCFPTTSRWSRCPLRSAPQASGSGGLASDELGVVWRWCLAWLVGLLYVFQQNNTTPLHWLDVPNPPAVQNPQNISQVISTELLASNLSITRNFSDRELQSLHSWNHLKDLVSHAHILPDGVEAIKEAGVAWRELNAALEYDESAVSVNGSTHQKSKEKQCPYSIRRMNATRSGDRFVLKIPCGLIQGSSITIIGTPGGLLGSFKIELTGATVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGSEDRCPSPGSDAKDIAKVDELEKCGSMVGNDQKQAWATKLKSNVSSIQPAWKKNTEPKKYFPFRQGYLAIAILRVGAHGIHMTVDGKHVTSFAFREDLEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVTDLEILKAPPVPMDKPLDLFIGIFSTANNFKRRMAVRRTWMQYDAVRSGKAAVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILLSLDRVNISHGLLYGRVNSDSQPHRDPYSKWYITPEEWPEESYPPWAHGPGYIVSQDIAKEVYRKHKRGELKMFKLEDVAMGIWINEMKKDGLDVKYENDGRILVEGCEEGYVVAHYQEPRDMMCLWDKFLKTKRGTCCKE >ORUFI06G08540.5 pep chromosome:OR_W1943:6:6200468:6206355:1 gene:ORUFI06G08540 transcript:ORUFI06G08540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWHGGFVIVSLFIILMLRYVILDSPLAEKSLQYVFQQNNTTPLHWLDVPNPPAVQNPQNISQVISTELLASNLSITRNFSDRELQSLHSWNHLKDLVSHAHILPDGVEAIKEAGVAWRELNAALEYDESAVSVNGSTHQKSKEKQCPYSIRRMNATRSGDRFVLKIPCGLIQGSSITIIGTPGGLLGSFKIELTGATVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGSEDRCPSPGSDAKDIAKVDELEKCGSMVGNDQKQAWATKLKSNVSSIQPAWKKNTEPKKYFPFRQGYLAIAILRVGAHGIHMTVDGKHVTSFAFREDLEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVTDLEILKAPPVPMDKPLDLFIGIFSTANNFKRRMAVRRTWMQYDAVRSGKAAVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILLSLDRVNISHGLLYGRVNSDSQPHRDPYSKWYITPEEWPEESYPPWAHGPGYIVSQDIAKEVYRKHKRGELKMFKLEDVAMGIWINEMKKDGLDVKYENDGRILVEGCEEGYVVAHYQEPRDMMCLWDKFLKTKRGTCCKE >ORUFI06G08550.1 pep chromosome:OR_W1943:6:6209431:6217603:1 gene:ORUFI06G08550 transcript:ORUFI06G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRRLLLRRRRAAAVQSGGARLRRRRSRRSPSLLLPSFFLPTPTPGDRGLQLRAARGGGGGGRTAAEAAEDERDNGGCARRRLTAVKAIICMDKTTTSDLVLDNDNIGSNAGSAQEPLTTNGKTSGVRNRYKQTVKRGRKGSQISPSKTYPLRSSHSNVRVLRSASKKKNETPIVPTNDNTAVQRVAKKRKRSKPLRPAPSRVLRSTSEKKNKAHNELLNDGAGVQPAEKKRKVGRPPKGGTPKDDYLMIRKRVRYVLNRMNYEQSLIQAYASEGWKGQSLEKIRPEKELERAKVEILRCKSRIREAFRNLDSLLSEGKLDESMFDSAGEISSEDIFCAACGSKDVTLKNDIILCDGICDRGFHQYCLNPPLLAEDIPQGDEGWLCPACDCKIDCIDVLNELQGVKLSIHDSWEKVFPEAASFLNGSKQIDASDLPSDDSADNDYDPTLAQGHKVDEEKSSGEDGGEGLDSDDSSSEDSESSEKEKSKTSQNGRTVDDLGLPSEDSEDGDFDPAGPSSSQIRTVDRTDGSGFDGEPNAENSNLAFMETELEQDMVLPISSKRQVERLDYKKLYNEAYGKASSDSSDDEEWYGNSTPEKGNLEDSETDSLAESPQGGKGFSRRAPVRYHNNEHTPQNVRPGGSVSDQQTEVLCSNSNGSTAKNRHFGPAINQKLKAHFKEDPYPSRATKENLAQELGLTFNQVTKWFSSTRHYARVAATKKENNIENHTAENNNNTNTVDSIQLRGSNDIVSVDRNDMVSEERTGQSNLNEGTPLRSDTSCGQSVAVTPMVHPENQGNDSSSNVRTPNAKSAEKLIPGLENSDEARRKAVQRELRKMKTGR >ORUFI06G08550.2 pep chromosome:OR_W1943:6:6209431:6217603:1 gene:ORUFI06G08550 transcript:ORUFI06G08550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRRLLLRRRRAAAVQSGGARLRRRRSRRSPSLLLPSFFLPTPTPGDRGLQLRAARGGGGGGRTAAEAAEDERDNGGCARRRLTAVKAIICMDKTTTSDLVLDNDNIGSNAGSAQEPLTTNGKTSGVRNRYKQTVKRGRKGSQISPSKTYPLRSSHSNVRVLRSASKKKNETPIVPTNDNTAVQRVAKKRKRSKPLRPAPSRVLRSTSEKKNKAHNELLNDGAGVQPAEKKRKVGRPPKGGTPKDDYLMIRKRVRYVLNRMNYEQSLIQAYASEGWKGQSLEKIRPEKELERAKVEILRCKSRIREAFRNLDSLLSEGKLDESMFDSAGEISSEDIFCAACGSKDVTLKNDIILCDGICDRGFHQYCLNPPLLAEDIPQGDEGWLCPACDCKIDCIDVLNELQGVKLSIHDSWEKVFPEAASFLNGSKQIDASDLPSDDSADNDYDPTLAQGHKVDEEKSSGEDGGEGLDSDDSSSEDSESSEKEKSKTSQNGRTVDDLGLPSEDSEDGDFDPAGPDSDKEQNDESNSDQSDESDFTSDSDDFCAEIAKSCGQDEISGPSSSQIRTVDRTDGSGFDGEPNAENSNLAFMETELEQDMVLPISSKRQVERLDYKKLYNEAYGKASSDSSDDEEWYGNSTPEKGNLEDSETDSLAESPQGGKGFSRRAPVRYHNNEHTPQNVRPGGSVSDQQTEVLCSNSNGSTAKNRHFGPAINQKLKAHFKEDPYPSRATKENLAQELGLTFNQVTKWFSSTRHYARVAATKKENNIENHTAENNNNTNTVDSIQLRGSNDIVSVDRNDMVSEERTGQSNLNEGTPLRSDTSCGQSVAVTPMVHPENQGNDSSSNVRTPNAKSAEKLIPGLENSDEARRKAVQRELRKMKTGR >ORUFI06G08560.1 pep chromosome:OR_W1943:6:6219160:6221079:1 gene:ORUFI06G08560 transcript:ORUFI06G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKKQKSKLMAFSLAMVVVVVLLLGRCRGDVVQFIFGDSLSDVGNNDYLTKSLARAALPWYGIDFDTGMPNGRFCNGRTVADIVGDKMGLPRPPAFLDPSLDENVILKRGVNFASGGGGILNETSSLFIQRFSLYKQIELFQGTQEFMRRKVGKAAADKLFGEAYYVVAMGANDFINNYLLPVYSDSWTYNGDAFVRYMVTTLEAQLRLLHSLGARRLTFFGLGPMGCIPLQRILTSTGACQEPTNALARSFNEQAGAAVARLSSSLANATFRFGEAYDYFQDIIDRPAAHGFNNSRAPCCSLGRVRPTLTCTPLSTLCKDRSQYVFWDEYHPTDRANELIALETLRKLNITVSANNSTST >ORUFI06G08570.1 pep chromosome:OR_W1943:6:6227383:6228046:1 gene:ORUFI06G08570 transcript:ORUFI06G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMPCVEYATKTDVPAPPSVCCDGFKSLVEMAPICLCHGINGNIGKFMPAPIDLTRMMSLPATCGVTPPVEALTKCFSNGTSATVDACSYSCCCSISISRAISLIYSNKMDAHKLGAIK >ORUFI06G08580.1 pep chromosome:OR_W1943:6:6231488:6236538:1 gene:ORUFI06G08580 transcript:ORUFI06G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVVASSTTFLVALASSASRGGRGRVVGVAAPPALLYDGRAGRLALRAPPPPRPRPRRRDAGVVRRADDGENEAAVERAGEDDDEEEEFSSGAWQPPRSRRGGVGKVLKRRGTVPPVGRYGSGGDAARVRGAAAPAPAPTQDASSSKNGALLSGRDEDTPASRNGSVVTGADKPAAATPPVTITKLPAPDSPVILPSVDKPQPEFVIPDATAPAPPPPGSNPRSSAPLPKPDNSEFAEDKSAKVVESAPKPKATRSSPIPAVEEETWDFKKYFDLNEPDAAEDGDDDDDWADSDASDSEIDQDDDSGPLAGENVMNVIVVAAECSPWCKTGGLGDVAGALPKALARRGHRVMVVVPRYGDYAEAQDVGIRKYYKAAGQDLEVKYFHAFIDGVDFVFIDAPLFRHRQDDIYGGNRQEIMKRMILFCKAAVEVPWHVPCGGVPYGDGNLVFLANDWHTALLPVYLKAYYRDNGMMQYTRSVLVIHNIAYQGRGPVDEFPYMELPEHYLDHFKLYDPVGGEHANIFGAGLKMADRVVTVSPGYLWELKTTEGGWGLHDIIRENDWKMNGIVNGIDYREWNPEVDVHLQSDGYANYTVASLDSGKPRCKAALQRELGLEVRDDVPLIGFIGRLDGQKGVDIIGDAMPWIAGQDVQLVLLGSGRRDLEVMLQRFEAQHNSKVRGWVGFSVKMAHRITAGADVLVMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVSAFDPFEDTGLGWTFDRAEPHKLIEALGHCLETYRKYKESWRGLQVRGMSQDLSWDHAAELYEEVLVKAKYQW >ORUFI06G08590.1 pep chromosome:OR_W1943:6:6238127:6238792:-1 gene:ORUFI06G08590 transcript:ORUFI06G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPSPKITLATLACGPANLFPALRPTSPSLAHTGSVFPPPVATPALALALSLLIVATRSTRAVAGMSRSHNSRRSRCARSSDGSMLHSFFCKIQTWQLVFLNLPAIHLHSPHIWRSLSCLQRFSPSALSCRHLRLQAQLVWRHRRAPLDLHASTVWWSLEVVDPKGKSYYSNEEDIRLVCVLYPS >ORUFI06G08600.1 pep chromosome:OR_W1943:6:6241567:6248510:1 gene:ORUFI06G08600 transcript:ORUFI06G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGADGPTAAAAAAVRWRGGESLLLLLLRWPSSAELVAAWGAARASAVAPALAAASAACLALSAMLLADAVLMAAACFARRRPDRRYRATPLGAGAGADDDDDDEEAGRVAYPMVLVQIPMYNEREVYKLSIGAACGLSWPSDRLIVQVLDDSTDPTVKTWYDRLRKTLVQQAHPAQADMGLVELECKSWGNKGKNVKYEVRNTRKGYKAGALKEGLLRDYVQQCNYVAIFDADFQPEPDFLLRTIPYLVRNPQIGLVQAHWEFGTAGVWRISALEEAGGWKDRTTVEDMDLAVRAGLKGWKFVYLADVKVKSELPSNLKTYRHQQHRWTCGAANLFRKVGAEILFTKEVPFWWKFYLLYSFFFVRKVVAHVVPFMLYCVVIPFSVLIPEVTVPVWGVVYVPTTITLLHAIRNTSSIHFIPFWILFENVMSFHRTKAMFIGLLELGGVNEWVVTEKLGNGSNTKPASQILERPPCRFWDRWTMSEILFSIFLFFCATYNLAYGGDYYFVYIYLQAIAFLVVGIGFCGTISSNS >ORUFI06G08610.1 pep chromosome:OR_W1943:6:6246313:6253910:-1 gene:ORUFI06G08610 transcript:ORUFI06G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMVTEEGEGKVATFELQLGIASTRAAGIPDWELMKAITADPSNADLQVGTKPSMSKEHAMRFPLLLSKDLVNAVLTAILGIAALWFFSRRFAKETNMEGRREGGVANINHIPFVVLALTKGLGWFEELVEQVNRTDELLVELMLGNTCWCIELSKIRSATNGKMVLNEVQTEVYYDKNGITSVMASEYRRADSSTETDANDKKCYSL >ORUFI06G08610.2 pep chromosome:OR_W1943:6:6246313:6253910:-1 gene:ORUFI06G08610 transcript:ORUFI06G08610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMVTEEGEGKVATFELQLGIASTRAAGIPDWELMKAITADPSNADLQVGTKPSMSKEHAMRFPLVGSAMLLSLCTFYSSFSRKTWSMLFSLPSLALLLSGFFPEGSPRKPTWREEERTFDGCIMNQIIDIVFNVHLLLFEELVEQVNRTDELLVELMLGNTCWCIELSKIRSATNGKMVLNEVQTEVYYDKNGITSVMASEYRRADSSTETDANDKKCYSL >ORUFI06G08610.3 pep chromosome:OR_W1943:6:6246313:6253910:-1 gene:ORUFI06G08610 transcript:ORUFI06G08610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMVTEEGEGKVATFELQLGIASTRAAGIPDWELMKAITADPSNADLQVGTKPSMSKEHAMRFPLLLSKDLVNAVLTAILGIAALWFEELVEQVNRTDELLVELMLGNTCWCIELSKIRSATNGKMVLNEVQTEVYYDKNGITSVMASEYRRADSSTETDANDKKCYSL >ORUFI06G08620.1 pep chromosome:OR_W1943:6:6254053:6255817:-1 gene:ORUFI06G08620 transcript:ORUFI06G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRTAGEGEGSESRAVRETSSAARRWRGEVGEEDVGGPTHPQPPSTRCRCPAVPRAPPLRTTTTNSRVVGSNGETKQEER >ORUFI06G08630.1 pep chromosome:OR_W1943:6:6258248:6263186:1 gene:ORUFI06G08630 transcript:ORUFI06G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKAKADAFLTSRGRGEAQGESLVGIAVRLICDHTCGRTCEPPCRPSSLAHCSPPHPWPPAPPPYVVGTFTAPRSHADHRMTAAWPLPPPGARIWRRENEDNARLQIQAGEESGRQRKGEAFGDSDAATALEGKQAATGRVWG >ORUFI06G08640.1 pep chromosome:OR_W1943:6:6265727:6269854:1 gene:ORUFI06G08640 transcript:ORUFI06G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELSGKTTTATTKKMKAAFEARREDKPLGRPSLRPEELRRDRSSSKPVVLVVSQAIGGELDGDGLRGGEEMESLRKWKRKCPNISCDVTRLLG >ORUFI06G08650.1 pep chromosome:OR_W1943:6:6270569:6274143:1 gene:ORUFI06G08650 transcript:ORUFI06G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEEHGETSKAPLSRGVSKGVSILDVILRFVAIIGTLASAIAMGTTNQTLPFFTQFIRFKAQYSDLPTLTFFVVANSIVSAYLILSLPLSIVHVIRSRAKYSRLILIFFDAAMLALVTAGASAAAAIVYLAHKGNARANWLAICQQFDSFCERISGSLIGSFAAMVVLVLLIFLSAIALARR >ORUFI06G08660.1 pep chromosome:OR_W1943:6:6271145:6272253:-1 gene:ORUFI06G08660 transcript:ORUFI06G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANEPIREPEMRSQKESNCWQIASQFALAFPLCAKYTIAAAADAPAVTRASIAFTRITGGFN >ORUFI06G08670.1 pep chromosome:OR_W1943:6:6272974:6280885:-1 gene:ORUFI06G08670 transcript:ORUFI06G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTTAPSPTPIPITTIAELRQHHSQLVRLGLASHPPHARRLLAFLARDPAHLPYAARLLAHHPDPRPALLNPLFASLPPRAAASLLALMLSLPLLPDHFTFPRLLPAAPLPLAAQLHALLLKLNLHSHAHSLNALLAAYLAAARPDLARVLFRTSGGGALDVVSWTTMVGGLCRLGLVDDAREVFDAMPARNLVSWNSMISGYVKADRFLDALEVFDEMRALGVEGNGFVATSALVACTGAGALGRGREIYRWVEQSGIEVDAKLATAVVDMYCKCGCVDEAWRVFDSLPARGLTTWNCMIGGAAGVAPDDVTLLNVLTACAHAGEVSEGRRYLNHIVSRHGIEPKGEHYGCMVDLFGRAGQLDEAKKVIDEMPMDPDLAVLGALLGACKIHGDVDLGEAIGWRVIDLDPDNSGRYVLLANLLAGAGRWDEVGKVRRLMDERNVSKEAGRSVIEVDGEACEFRCGNLRHPQAREIYAMAVDMVSRIRAEGYVPDTGEALHDVAEEDKEAALLCHSEKLAIAFGLLRARPRETLRITKNLRVCRDCHEATKYVSRVFGREIVVRDRSRFHHFKDGILLGSARLSPRAVRQIHGHLVVGGIAAARLQHLRELLLSCVATFRGRMGYARKVFDGIPRPDLFMHNAMVRGYAHAGAPGDAFAVYRRMTEASRLRPDAFTFCYLLRACAGLPGSRAGRQVHGAVVKLGFLKDAYVRNALINMFAKCGDLRVASVLLDEAGEGDVVAWSAVIAGHAARGDMAAARKMFDECTHKDIVCWNVMLGAYAKHGEMENARELFDRAPEKDVVSWNTIITGYAAQGMLKHALEVFDEMRAAGWTPDEATIVSLLSCCANTGLLDAGRMIHHQLHLERRPWISIVVGNALVSMYAKCGDLHTAVEGFNTMKDTDVWTWNSVIGGLAFHGQAEQSVRFFKKMLEKRIHPNEISFLCVLGACSHAGLVEDGQKFFYLMKDRYGIEPNARHYSCIVDMLGRAGLLDEAYAIVSNMRCEPSAVVWRTLLGACRTHGNMTLGKLVREKLLNMNEDASADYVLLSGIYASSGEWLGVETERRSMDRRGIRKAAGYAQIDRKPAGLSAP >ORUFI06G08680.1 pep chromosome:OR_W1943:6:6276421:6279070:1 gene:ORUFI06G08680 transcript:ORUFI06G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDFQEEEAPPRQQQQPASVAAAAGSGDEVLAAELERRGGAIPFLQAAIDVARRRSDLFRDPSAVSRVTSMASAARAVVEAEERKAREAKRKAEEAERKAAEAERKAKAPAEPKPESSAGKDSMEVDKKEEGNVRKPNAGNGLDLEKYSWIQQLPEVTITVPVPQGTKSRFVVCDIKKNHLKVGLKGQPPIIDGELFKPVKVDDCFWSIEDGKSLSILLTKQNQMEWWKSVVKGDPEVDTQKVEPENSKLADLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQDMLKKFMAQHPEMDFSNAKIA >ORUFI06G08680.2 pep chromosome:OR_W1943:6:6276421:6280415:1 gene:ORUFI06G08680 transcript:ORUFI06G08680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDFQEEEAPPRQQQQPASVAAAAGSGDEVLAAELERRGGAIPFLQAAIDVARRRSDLFRDPSAVSRVTSMASAARAVVEAEERKAREAKRKAEEAERKAAEAERKAKAPAEPKPESSAGKDSMEVDKKEEGNVRKPNAGNGLDLEKYSWIQQLPEVTITVPVPQGTKSRFVVCDIKKNHLKVGLKGQPPIIDGELFKPVKVDDCFWSIEDGKSLSILLTKQNQMEWWKSVVKGDPEVDTQKVEPENSKLADLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQDMLKKFMAQHPEMDFSNAKIA >ORUFI06G08690.1 pep chromosome:OR_W1943:6:6284416:6284802:-1 gene:ORUFI06G08690 transcript:ORUFI06G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAAPAIALVMVAYCAALWAAELVGSTASIFLPDSGAVALLLTVAVLFFLAVALLQLQVAATGGDDDDAPSSVRVQCSRNHRGNVAVRRLAVVIYLHGYGRSLHYCRAVHGRVPVYVFFLHHEVHA >ORUFI06G08700.1 pep chromosome:OR_W1943:6:6291982:6293868:-1 gene:ORUFI06G08700 transcript:ORUFI06G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPAAAMTRAEEESRRRAATRLPRLLRGVVSGMLTGIFAVAGGLTGAVTGALAGRASDGGVLRGAGLGTFAGAVLSIEILEASRAYWCQDRSSSPGSLSMGDFVKQLIHARFVQEQNEASGHITYRWQVGIADVVNGAVHEILGDVPSGEGLSKYSLMKLPYHVVIDHNNGSIGESLSCPVCLQDVVAGQTVRRLPKCSHTFHQPCVDKWLVGHGSCPVCRQHV >ORUFI06G08700.2 pep chromosome:OR_W1943:6:6291982:6293868:-1 gene:ORUFI06G08700 transcript:ORUFI06G08700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPAAAMTRAEEESRRRAATRLPRLLRGVVSGMLTGIFAVAGGLTGAVTGALAGRASDGGVLRGAGLGTFAGAVLSIEILEASRAYWCQDRSSSPGSLSMVGIADVVNGAVHEILGDVPSGEGLSKYSLMKLPYHVVIDHNNGSIGESLSCPVCLQDVVAGQTVRRLPKCSHTFHQPCVDKWLVGHGSCPVCRQHV >ORUFI06G08710.1 pep chromosome:OR_W1943:6:6296850:6297332:1 gene:ORUFI06G08710 transcript:ORUFI06G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAVAASRLVSSCGPCWLDVARRNITKLRGMAVEDDGLAVDGAHGRVARRQRQAKAVGMETGSESAPVAWAKQAYG >ORUFI06G08720.1 pep chromosome:OR_W1943:6:6298835:6301738:-1 gene:ORUFI06G08720 transcript:ORUFI06G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGYYRGGGGARDHADEPDDFDEYDPTPYGGGYDLFITFGRPLPPSDETCYPCSAPSTSYDAPHYSADEPSPYAHHSKPQPAYGFRPQHEQQQQPSYASSGYRPQHEQQQSYGSSGYGSKPQPAYGFRPQAEEENTYGSGYGSGYGGGGRKQQEEESYGSGYGRKPQVEESYGSGYGTKPQQEESYGSGYGSGYGTKPQQEESYGSGYGRKPQQEESYGSEYGSGYGRKPQAESYGSGYGSRPQQGGEEYGSGGYGRKAQEESYGSAGYGGRKTEEESYGGGSGYGYGKKAQEESEGTYGSGGYPKPKPYSQEETQGSYGYGYGEKPAYESGGYNKPSYGGGDEYQGGYGRKKHDDNDSDDEKKQRYQKHHHHRRQEYDD >ORUFI06G08730.1 pep chromosome:OR_W1943:6:6305701:6315090:-1 gene:ORUFI06G08730 transcript:ORUFI06G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGTARQYCDSFSFLSLCSSLLFHSPPHPKPCWIHRPTTTSIGSGGGGDGGGSGMKNFLRKLHIGDSAGDGASSLAPPPPVSKKGGGGGGGGGGGGAQHEHKHGSGISSWLSSVTGRPQTQPSPSPPFAADAVVEAEAAALASSVEVRRLEVEEEEEKARRESREESVRKREMEKEKQEAELEEYHMQLALEMSAREDPEATQIEVAKQISLGSCPLQSSPAEVVAFRYWSFSALSYDDKILDGFYDIFVIGDEPTLPTIPSLTELHQQPFSHASKTEAVLVNRAQDTKLVQLEQKALIMAVEVRSKTPEFVGHNLVQRLATLVSDYMGGPVIDPESFLSKYQNVSSSLRASIRSAVMPLGELTIGLARHRALLFKVLADSLAVPCRLVKGRQYTGSDDGALSIVKFNDGREYIVDLMSDPGTLIPSDGAGLGREFEDSLFADSHHVNKDDCNTQLGSSFSEVSSSMYGSFENESLEKVSTPSNFGHSDPYGITTGQTGSQGSAVSGSFGELSISTSTSENLPVIHESRNTDHTMSTQSKDKSSAANNSSSSSPSSSEVGGAPAVRRMKVKDVSEYMISAAKENPQIAERIHAVLLENGVVPPPDLFSEESREQPKDLIVYDTSLFQTKDEMIKRMNELESTTNADFCHGPSVPHPPGHELQTKAVPYRIPLDLKPIQGLGTYHPSDSRNSTGSSHMYEPSAPPQEDPLQLIKQMPVAAAAVATAAVVASSMVVAAAKSNSDIKLDVPVAAAATAAAVVATTAAVNKQYEYLEPGCQLLSLPSSSGANELIPKGRHDFWDNQLEIDHGQTSVPEKEKDLVEVPQEAERVSDKSVGTESSRSDIALDGVAEFEIQWEEITLGERVGLGSFGEVYKGEWHGTEVAVKKFLQQDISSDALDEFRTEFQIMKRLRHPNVVLFMGAVTRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERRRLRMALDVARGMNYLHNCSPVVVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELFTLLQPWEGMNPMQVVGAVGFQQRRLDIPAHVDPTIAEIIRRCWQTDPKMRPSFSEIMSSLKPLLKNTLANQPQRQRVQRADG >ORUFI06G08740.1 pep chromosome:OR_W1943:6:6324958:6330853:1 gene:ORUFI06G08740 transcript:ORUFI06G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAAPPPPPPFTAGRLPTTSRRPCFSAGRIFRCSLPAAAARPRNAAFLAPLRTSSAVCTKAVSNSDGTPGTSSSPHVVCFGELLIDFVPTVNGVSLAEASAFKKAPGGAPANVAVGIARLGGSSAFIGKVFQPSSFSFKIKRLFFHYTLFNIQHMQVGDDEFGYMLADILKENNVNNQGLLFDAHARTALAFVTLRNDGEREFMFYRNPSADMLLEEKELDLDLIRKAKIFHHGSISLITEPCKTAHIAAAKAAKDAGVLISYDPNLRLPLWSSADDARDGILSIWETADVIKISEEEVSFLTKGEDPYDDSVIKKLMHPNLKLLLVTEGPEGCRYYSKEFNGRVGGLKVNAVDTTGAGDAFVAGILSQLSVDFSLLQDEGRLKEALKFANVCGALTVTERGAIPALPTRQQVVDALTKVVA >ORUFI06G08750.1 pep chromosome:OR_W1943:6:6347988:6351208:1 gene:ORUFI06G08750 transcript:ORUFI06G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSHLPHPHWLPRLPPLLHPPTRRTLNPASPPHTTHRRREEVVVFLGCKMITGADFYHVMTAMVPLYVAMILAYGSVKWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLIVLALLTLWSHLSRRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARILITEQFPDTAGAIASIVVDADVVSLDGRRDMIETEAEVKEDGKIHVTVRRSNASRSDVYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFAAGDAFGVRTGATPRPSNYEEDAAAPNKAGSKYGQYPAPNPAMAAPPKPKKAANGQAKGEDGKDLHMFVWSSSASPVSDVFGNGAEYNDAAAVKEVRMAVASPRKADGVERDDFSFGNRGVAERDAEAGDEKSVAAAVSGEHGKPGLTPAPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIILKSISILSDAGLGMAMFSLGLFMALQPRIIACGNKVATFAMAVRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYSVHPDILSTAVIFGMLIALPITLVYYILLGL >ORUFI06G08760.1 pep chromosome:OR_W1943:6:6373589:6376128:-1 gene:ORUFI06G08760 transcript:ORUFI06G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRTTTSATTTTSGGSSSATALLATTFRRGGRRLLLLPATRGSAPRRAALLTARASAEPLEVCAKASLTVPDRLGDCPFTQRVLLTIEEKHLPYDIKLVDLANKPDWFLKISPEGKVPIVKLEEQWVADSDVITQAIEEKYPEPSLATPPEKASVKDPNDGTEQALLSELTSFDSYLKDNGPFINGETISAADLSLAPKLYHMEIALGHYKNWSVPDSLSHVKKYMKTIFSMDSFVKTIALQEDVIAGWRPKVMG >ORUFI06G08770.1 pep chromosome:OR_W1943:6:6385031:6386163:-1 gene:ORUFI06G08770 transcript:ORUFI06G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVAGYMYTVRFGGLIRSETFVPPSSPSSQKLLSNPNPSPLPTRSRRRRHGHRLPLELVKPEPPPSSQARGASRRRRRAEPVVTVAVFPSTSCESGVPLSPPFPSPSPSHADFGSCKICARIEPPQARGNQKVKLDVGVAVAKSPPADTNPQIDCSLLFNSPLSHCSLSYQTRQPLHDFSVCSAWSFRPADIFGSTNERITVHQTSKKYMGSDGTFLREGIYEFNGKILSISPYSSSCLAFLTYLHCSPIFSYIRTICMSPHQSCCI >ORUFI06G08770.2 pep chromosome:OR_W1943:6:6385430:6386163:-1 gene:ORUFI06G08770 transcript:ORUFI06G08770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVAGYMYTVRFGGLIRSETFVPPSSPSSQKLLSNPNPSPLPTRSRRRRHGHRLPLELVKPEPPPSSQARGASRRRRRAEPVVTVAVFPSTSCESGVPLSPPFPSPSPSHADFGSCKICARIEPPQARGNQKVKLDVGVAVAKSPPADTNPQIDCSLLFNSPLSHCSLSYQTRQPLHDFSVCSAWSFRPADIFGSTNERITYVCHVC >ORUFI06G08780.1 pep chromosome:OR_W1943:6:6393880:6394482:1 gene:ORUFI06G08780 transcript:ORUFI06G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAAAAGMDEADAAFFSRRGNRCCCFWGPWASSSYSRAGGPAAAAEEEWWHRVGGGGGERRRWWRRGVDALMKVREWSELVAGPRWKTFIRRFRRSPRHHHHGGGGGGGGGGRKLNYDPLSYALNFDEGHGGACSPEGDYAGYRDFSTRFVAPPPPAAASAKSSMDFGGRDAPPLFHHPPPQQPHPHPHPPSPSAARG >ORUFI06G08790.1 pep chromosome:OR_W1943:6:6401002:6402312:-1 gene:ORUFI06G08790 transcript:ORUFI06G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSRTERVGGGGGAMVEVAFASSAGSSAAAPRRLRGELVVRDAIPYAGVAPPPPALPLPQPQLQPQVQATTSGGGGGGKISPAVLFIIVILAVVFFISGLLHLLVRLLMKKQHRRGGGGGAAAGVSRSAAGDDAGGGGDAALQRQLQQLFHLHDSGLDQAFIDALPVFAYREIVVGGGGDGDKEPFDCAVCLCEFDAEDRLRLLPLCGHAFHLHCIDTWLLSNSTCPLCRGVLFVPGLTENNPMFDFDEGLEEGRLSEDCDNGFGYPGHKATEGMQTPGTEKRVFPVRLGKFKNVGTQGAVEGGGIGNANGAVLRREEGESSSSSLDARRCFSMGTYQYVLGTSELRVSLQPDRIRNGGGGVTRARPTGLSSVNAEIMEGKKICARNKGESFSVSKIWQWSNLKGKLPTGSDECSEAGSLPWMKRGGIGDTSNM >ORUFI06G08800.1 pep chromosome:OR_W1943:6:6406450:6409921:-1 gene:ORUFI06G08800 transcript:ORUFI06G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLGRGSRDKVQQFMTITGASEKVALQALKASDWHLEGAFDFFYSQPQISLTNSRHLEDLYNRYKEPDVDMIMVEGVSQFCTDLQVDPQDIVMLVISWHMKAATMCEFTRQEFIGGLQSIGVDSIEKLREKLPSLRAEIKDDHKFREIYNFAFAWAREKGQKSLALETALGMWQLLFAERHWPLIDHWCQFLQVRHNKAISRDTWSQLLEFVKTIDPQLSNYDEEGAWPYLIDEFVEYLTENGFVQLRK >ORUFI06G08810.1 pep chromosome:OR_W1943:6:6412969:6413230:1 gene:ORUFI06G08810 transcript:ORUFI06G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADELLHTSTFTCPAGTNYRVDFCPPTSGVTAGDDDGVRGHGLPRLSCRICSAAAVVLLPPQATASRG >ORUFI06G08820.1 pep chromosome:OR_W1943:6:6414651:6426617:-1 gene:ORUFI06G08820 transcript:ORUFI06G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKTGVKTTEGRKGNGFVVGHNLGALLAVLAANELHACLATDTERDITDHHRLPLPIAVVSFNDPNIDNRVFIDHLQN >ORUFI06G08830.1 pep chromosome:OR_W1943:6:6427441:6427975:-1 gene:ORUFI06G08830 transcript:ORUFI06G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDVGCALATAPARPRRRTAAPDRATTWAAPCTELRCVTPVVAQPRRRASPTTRSQRERKMKKEKKRKRAEQRAAMAAVPPALAAPWPLGRIPRRAAAQPYPAPTRPPASPHRAVLGRYTASAVRGVLAAPHHGRLTAPTPPRMAWRREPPARCTPATTSPSAPPPRVRVAAAA >ORUFI06G08840.1 pep chromosome:OR_W1943:6:6430664:6432568:-1 gene:ORUFI06G08840 transcript:ORUFI06G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQLSPVAVTHLLQHTLRSLCTSGDDSQWVYAVFWRILPRNYPPPKWDLPGGAYDRTRGNRRNWILAWEDGFCNFAATSAACGDGAAAAYAAAECEETKQVGVAGGGLQPELFFKMSHDIYNYGEGLIGKVAADHSHKWVFKEPQEQEINLISSWNNPADSHPRTWEAQFQSGIQTIALIAVREGVVQLGSMKKVAEDLSYVVALRRKFGYLESIPGVLLPHPSSAAAAFPGGPPDAAGWPAGMMVSPPVPPELYVDPYGGAAAGAVPPPSMQIMPSMSSLEALLSKLPSVVPAAAAPSPPPGSSSMPPTGAAAASSAPPKEEAAEDDYVHCHGMDMATSSTNGGGESTGGAPLPSSYFVNVGVKPSEGF >ORUFI06G08850.1 pep chromosome:OR_W1943:6:6440249:6440696:-1 gene:ORUFI06G08850 transcript:ORUFI06G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIFGKGEGLYNIFGAHELLIFGAVLASNLDQNEGLMTEARSMGHGTKGPIPKGISGKKESSPLHWKNTSSLVSDEIKKITL >ORUFI06G08860.1 pep chromosome:OR_W1943:6:6456768:6462498:1 gene:ORUFI06G08860 transcript:ORUFI06G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHELASTSSPKRKPGRRPGRKPKPPPAPSPAARRGRPEPPSDAGAAPHAPPSPPRRGAKKGAAANAKKAAAEVPVVEPLRWEQVAKVMPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGHRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVEDDEFWKGVSPLEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDVENIGYVIPTPVINHFIQDYEKSGEYTGFPILGIEWQKMENPDLRKAMGMKSDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLISQKYTGEKAHVKILRNSKVLEFNIKLATHKRLIPAHIKGRPPSYYIVAGFVFMVVSVPYLRSEYGKDYEYDAPVKLLDKHLHAMAQSPDEQLVVVSQVLVADINIGYEEIVNIQVLSFNGKPVKNLKHLATMVEDCNEEYLKFDMDYDQLVVLEAKTAKAATQDILTMHCIPSAISDWSLVAPKKAKDSRFTSQVGLIRLNDSKEPLRYWGILQKFCSHLNI >ORUFI06G08870.1 pep chromosome:OR_W1943:6:6467162:6471097:1 gene:ORUFI06G08870 transcript:ORUFI06G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYVPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVMKKWLPELQHYAPGVPIVLVGTKLDLREDKHYLLDHPSLVPVTTAQGEELRKHIGATCYIECSSKTQQNVKAVFDAAIKVVIKPPTKQRDRKKKKTRRGCSFFCKGVMSRRRLVCFK >ORUFI06G08880.1 pep chromosome:OR_W1943:6:6471821:6473149:-1 gene:ORUFI06G08880 transcript:ORUFI06G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMGKWERCIGGRSKPMSRQRTQEALRRAPGDSEVDRKEKPWAARWKASLIATCLVALPALVFLAVGGGMPSAVTVLGGAGAARAMAECDVSRGRWVREPRGPSYTNVTCSTVADYVNCQKFGKDPGYLYWRWRPDGCELPRFSPATFLAAVRGKRLAFIGDSLARNHMESLLCLLSQVETPTDMHAGAFVDAFRRWRFPEHDFMLMAVWTEFLVHAVPVVAGRRTGPFDVHLDRINAEWTRRLPLLDYAVISNGNWFFRANYLWEGGRRVGCVDCGEPGLAHFPMAYAVGRVVGAALDAIAGCADCKRELVALVRTYTPDHFEHGSWFSGGYCNRTRPLEEDEVSSGVIAWELRAAQIEEVRKAREKVTTTMRTRRRFGVVDVTPAMMARADGHPGEHHRRWRGRNANDCLHLCLPGPINMWNDVLLRRLAELSPPSDAR >ORUFI06G08890.1 pep chromosome:OR_W1943:6:6476893:6480865:-1 gene:ORUFI06G08890 transcript:ORUFI06G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTSVVSLSHGTGKWMLQQQRSEAVEEEKKKQPWAVGKNAALFAFFVVVLPTMMILAGVSHTPPPAAATTRLGWTMLGTFTARAATRRWGDGFGIRVARRTRPRRARRCRPPRTATSTARIPATSTGGGSPPAGGGAALPRSSPARFLAAVRGKRLAFIGDSLARNHMESLLCLLSQAEAPTKVSADDDGVREWRFPAHGFTLMAITTRFLARAEEVLGGDGRPTASFDVHLDAPDPVWASRRLRELDYAVFSTGNWFFRVNYFSEGGRRVACSGCSGDADAGELADFGVAHAVRRVVRAALEATARCGDGDCKRGLVAFVRTYTPSHFEHGSWFDGGYCNRTRPLEEDEAPSWDQSIGWDVRRAHIEEVTSARKTTPATTTRFEVLDVTKAMMLRADGHPGRHYDKRSAGGGANDCLHWCIPGPIDMWNDVLLHKIAETASPPATNLR >ORUFI06G08900.1 pep chromosome:OR_W1943:6:6482576:6485058:-1 gene:ORUFI06G08900 transcript:ORUFI06G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAAAGGMAPLPPSSSPPSCKAGGGGGSPRWSLLHGGGGEWGVVVRRNVVKSSLLLLLVFSTFFVFSVLRSSQTSLVPPPPPAAAGPGEPALAQSGHDVAGDDGGEHVAVAVNNVAAETQSTPDDISLPSTNSSAAAVPTTTNKAEQQQTGANNMEEKCDMSMGKWVREPKGPVYTNTTCPTLPDFKNCQKHGKDPGHLYWRWQPHGCDLPRFSPDRFLAAAETPTEVYRDAHDKFQTWRFAAHEFTLMVMWTEFYVHAEPVVGADGKPTPSFDIHLDRLSANWTRRLPELDYAVISGGNWFNRPNYLWEGGRRVGCVKCGGAANLTDVGVPYAVRRVVRAAVEGIARCTGCKAGLVAFLRTFSPDHFEHGAWFSGGYCNRTRPLEEDEVSPDSAAWELRRVQREEVMRVKETAAAAAAASGNARRFEVLDVTKAMMLRADGHPGAAIDKRWQKNIVSDCLHWCMPGPVDMWNEMLLQRLTEISTLDQDASIFEAP >ORUFI06G08910.1 pep chromosome:OR_W1943:6:6494880:6495074:-1 gene:ORUFI06G08910 transcript:ORUFI06G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLSSEEDSMWRRLSSEEDSMWRRLSSEEDSMWRRLSSEERSMVVVAVLFYWVVVVKPERMW >ORUFI06G08920.1 pep chromosome:OR_W1943:6:6496775:6498466:-1 gene:ORUFI06G08920 transcript:ORUFI06G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVEHSGSSLPKKLVTFALCAIFTLSLIYFSSPPLIISSTTNLLSQFQTRARARTTDFSTHLPDSFPRFISQTHLPGVAVWKQCDYSDGKWVWDGDHGGAAAGGGSRYDSENCDMKMTYKCVINGKPDGGYLHWRWQPASCNLPALDPAAFLRLLRGKRLAFVGDSTARNQAEALVCHLATAARPGHSEDYGMAHEVVVLDALTEPWASDLAAMDVMVISAGHWFPHSAVYYDDGEIVGVHGRPDMNRTEMSAPSVYRKVLRRTLEHVINATMADKLELVVVETIAPAHFDGRYSWNHRDACSRQRPYDGDVDGEAKVGDTEAELRKAVLEEVAAAATAARRRCPGLRFEVLDVTRLAAMRPDAHPGVYIYKNAYGGGPVPETAANDCLHWCAPGPVDTFNDILMQMIAGG >ORUFI06G08930.1 pep chromosome:OR_W1943:6:6498737:6514909:-1 gene:ORUFI06G08930 transcript:ORUFI06G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARELPRHGHGATRLSCPTPATTTTTTTSNLCSFLNRAASAWLVCAVLSLFLFNLLWFYPVDAPWNAALRSVAATSGEGKHPSMAMAGAGGGEGARCDYSEGRWVAAPGRARRYNGTACNVKESERCVGNGRPDTGYLDWRWQPASCELPAFDAAAFVGAARGKHVAFVGDSMARNQAESLVCLLATAFPYTLVYRDPHPRERKFWRWAFPAHNVTVSVYWAPFLARSTGKTDDYRKPRNDVYLGALAERWSADADTMDVVVISQGHWFWIPTIYHDAATGEVVGMHNVTGLKNTGDIGLFAPYRRTLRMALERLVGSGAGNRTRARTVVVATFSPSHFEKAWDDPTTCARTRPYDDGEKEVGANERELRSIAMEEVAAAAARRGAAAGGGESRVEVLDVTKLATMRPDGHPGVYMHRDPFARGVPKRLQVDCLHFCLPGPVDTFNEILLQLLINKRRDIFTSLIYVALCLALLYLLCLTPRGSPENAVSALLRHVTIASSGEGRGGGGGGGGCDYSEGRWVAAAGHARRYNGTACDVKGSEDCARNGRPDTGYLDWRWRPASCELPAFDAAAFLAAARGRHVAFVGDSMARNQAESLVCLLAAAAFPYRLVYRDREPGTRKFWRWAFPTHGVTVSVYWAPFLAMAAGRPENFSVQHNLVYLDTLAERWSADADTMDVAVISTGHWFWNPTVYYHHNGGEVLGVHNLPELNHTEIGFFSPYREAIRMSLERLLGSAAAGRRGRTVVVTTFSPAHFEKEWDDPATCARTRPYEDGEKEVGGIEGELRSIAIEEAAGAAAAAAAAARSRVEVLDVTRLATMRPDGHPGVYMHRDPFARGVPERLQSDCLHFCLPGPMGAYQPLQHHHGGAAAGYFLPRTAVTWLPPPCLSLALLHLLCCSPPGGHQAVFSPLLQYFNGNGTYSSNISSSGVEERSSAAASCDYSVGRWVRAPGHARRYNGTACNVKPEQDCVGNGRPETGYLDWRWQPASCELPAFDAAAFLAAARGRHVAFVGDSMARNQAESLHCLLAAAFPHELVAQDAERYKRQFTRWSFPSHGVTLSTYWAPFLVRSGGKPFNYTMPYNLVYLDELGNRWDADAGTMDVVVLTAGHWFWNPAVYHRRGEVVGVHAHPELNATEIGFTSPYREAFRRALERLGSDGRRRTVVLGTFAPPHFDGKPIFDPTACTRTEPYRDGEKEVGSIEREMRSIVFEEATAAAAAAATMRVEVEDVTRLATMRPDGHPGVYMHRDPFAGGGARPERMQTDCLHSCLPGPVDTFNEILLQILSRQRSREK >ORUFI06G08940.1 pep chromosome:OR_W1943:6:6522408:6524076:-1 gene:ORUFI06G08940 transcript:ORUFI06G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTNFVQNHHLQNSQFFLLPKRQLVTYALYALIPLALLHYLLFNPVATAKKPVVVVVQATEEDAAVIVSSHHEHVKVNAKQLPVPPSDQGDELSRKNAIAGEVAAAAPPPPACDYSDGEWVPDARPPLYNGTSCATIKDGQNCMAHGRPDTGYLHWRWRPRRCDLPAFSPEAFLGWLRGKHLAFVGDSLARNQAESLVCLLASRSTPELVHRDGEESRFRRWAFREHDATVSIFWSPFLVKAAEKAERAGVRHNNVFLDAFDERWMSGLGGLDAVVLSIGHWFLIPGIYHDAGEVVGCHDCAEFNHTETPFFAVFKQAVHRTLAEITRRHALAAGAGTSKSKVVAFTTFSPAHFEGEWDKAGACNKTRPYKNGEKEAGYTEAEMRKTVVEEVAAADAAAAGGGAGLRFAALDVTTLANLRPDGHPGPYMRRDPFAGGGGGGGARVQNDCVHWCLPGAIDTFNEILLQTITR >ORUFI06G08950.1 pep chromosome:OR_W1943:6:6526679:6534983:1 gene:ORUFI06G08950 transcript:ORUFI06G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDHGDLDDVGSGWLEVKKKHRSSSKFTLQRSSGGSNDNKISNSSSQSQTNFGSDSAKWCDRLQCPPQITKVNVCVNEPGSVVTMVVHDEECAHADVTNLKSELSVSDLEHAIEKPKKLLVTEEISEPPNVGKIDCAVSPTPHQSSNCSSGLAKSSGLYDHVKGPPMTDSIGVLSNTSVRFGDFDEVPGLALPADACRNNSSSQKHIHIGDATEFINECKDESELKTEPNFCKTIVETSPVIIQGAETPTEDESKVLDICEITDDRLDVSGSPSLDDTVSLSYANNDLEVPVKSSSVASTESQTVLHAPTSADFGGETAGSKERFRQRLWCFLFENLNRAVDELYLLCELECDMEQINESILVLEEATSDFQELKSRAEHFDNTKKSTALPKEGMPMAVKADHRRPHALSWEVRRMTSSPHRQEILSSSLEAFQRIQLELARKQAGITTESFASSSSGEVSGSSSKLTTASATVGSISLKVESQVKLSETEKKIAGERQIRDTIKSGRSSPQNMPSSSAKSRKGSLEPISEVEKYNFRKDKELPENKFDKLRSIDMAKRTTVHLEKEKQNAAPRKSLDAWKEKRNWEDILKSPVRSSRVSHSPGVGRKVPERARVLHDKLMSPEKKKRSALDMKKEAEEKHARALRIRSQLESERVQRLQRTSEKLNRVNEWQAVRSSKLREVMNARHQRSESRHEAYLAQVAKRAGDESTKVNEVRFITSLNEENKKFLLRQKLHDSEMRRAEKLQVIKTKQKEDIAREEAVLERRKILEAEKMQRLAEIQRKKEEAIIRREEERKASSAAREARAAEQQRRKEIRAKAQQEEAELLAQKLAEKLRESEQRRKYYLEQIRERASMDFRDQPSPFQRRFPSKDNQNRSSSANSGEDSQIISSANTAESGVKSFNSTQLKRRIKKIRQRLMALKHDFVEPLIGENTGIVHRSALGTAKAKLSRWLQDLQRLRQARKEGAASIGLIVSDMTKYLEGKDLELHASRQVGLLDFIASALPASHTSRPGACQVTVYLLRLLRVLLSLPANRTYFLVQNLLPPIIPMLSVSLENYIKVAASNSGSSNLQSSKTSTEYMESVGEVLDGFFWTVTVIVGHVYLNDQQLQMQGGLIELIVAYQIIHRLRDLFALYDRPQVEGSPLPSSILFGLNLLAVLTSKPGNFSTIDWESCKCRTLVGNLVQEYEYLCSQDIGMGNQLMTSDQSGDVKLPSTKSDQLKRDECDPSELIKENKSLDHHKFNIPGDNMSVYEASKDSGSMPEMQSSDTLEVHSVVPCQGDAADGTLERKKGNTTCLHDSPGKDNEINLNQPVVLVLSAMAETGLVSLPSLLTAVLLQANNRSSSEQASAILPSNFEEVATGVLKVLNNMACLDITLLQCMLARSDLKMEFFHLISFLLSHCMNKWRVPNDQVGLLLLESLLLLGYFSLFHAGNQAVLRWGKSPTILHKNRSVVQQEISTEMLRSLIKSCKTPGLASSDSILLDGWGTNSSSDNTQILLDTRNPQGDISIRSNRKSARPVLGKGVSGVIRLSRNKGQRDGRGARIGDDGPLKQRAGETSSNFMLHRKIPASFLDKAEEFFCSENDTAANITN >ORUFI06G08950.2 pep chromosome:OR_W1943:6:6527555:6534983:1 gene:ORUFI06G08950 transcript:ORUFI06G08950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENDHGDLDDVGSGWLEVKKKHRSSSKFTLQRSSGGSNDNKISNSSSQSQTNFGSDSAKWCDRLQCPPQITKVNVCVNEPGSVVTMVVHDEECAHADVTNLKSELSVSDLEHAIEKPKKLLVTEEISEPPNVGKIDCAVSPTPHQSSNCSSGLAKSSGLYDHVKGPPMTDSIGVLSNTSVRFGDFDEVPGLALPADACRNNSSSQKHIHIGDATEFINECKDESELKTEPNFCKTIVETSPVIIQGAETPTEDESKVLDICEITDDRLDVSGSPSLDDTVSLSYANNDLEVPVKSSSVASTESQTVLHAPTSADFGGETAGSKERFRQRLWCFLFENLNRAVDELYLLCELECDMEQINESILVLEEATSDFQELKSRAEHFDNTKKSTALPKEGMPMAVKADHRRPHALSWEVRRMTSSPHRQEILSSSLEAFQRIQLELARKQAGITTESFASSSSGEVSGSSSKLTTASATVGSISLKVESQVKLSETEKKIAGERQIRDTIKSGRSSPQNMPSSSAKSRKGSLEPISEVEKYNFRKDKELPENKFDKLRSIDMAKRTTVHLEKEKQNAAPRKSLDAWKEKRNWEDILKSPVRSSRVSHSPGVGRKVPERARVLHDKLMSPEKKKRSALDMKKEAEEKHARALRIRSQLESERVQRLQRTSEKLNRVNEWQAVRSSKLREVMNARHQRSESRHEAYLAQVAKRAGDESTKVNEVRFITSLNEENKKFLLRQKLHDSEMRRAEKLQVIKTKQKEDIAREEAVLERRKILEAEKMQRLAEIQRKKEEAIIRREEERKASSAAREARAAEQQRRKEIRAKAQQEEAELLAQKLAEKLRESEQRRKYYLEQIRERASMDFRDQPSPFQRRFPSKDNQNRSSSANSGEDSQIISSANTAESGVKSFNSTQLKRRIKKIRQRLMALKHDFVEPLIGENTGIVHRSALGTAKAKLSRWLQDLQRLRQARKEGAASIGLIVSDMTKYLEGKDLELHASRQVGLLDFIASALPASHTSRPGACQVTVYLLRLLRVLLSLPANRTYFLVQNLLPPIIPMLSVSLENYIKVAASNSGSSNLQSSKTSTEYMESVGEVLDGFFWTVTVIVGHVYLNDQQLQMQGGLIELIVAYQIIHRLRDLFALYDRPQVEGSPLPSSILFGLNLLAVLTSKPGNFSTIDWESCKCRTLVGNLVQEYEYLCSQDIGMGNQLMTSDQSGDVKLPSTKSDQLKRDECDPSELIKENKSLDHHKFNIPGDNMSVYEASKDSGSMPEMQSSDTLEVHSVVPCQGDAADGTLERKKGNTTCLHDSPGKDNEINLNQPVVLVLSAMAETGLVSLPSLLTAVLLQANNRSSSEQASAILPSNFEEVATGVLKVLNNMACLDITLLQCMLARSDLKMEFFHLISFLLSHCMNKWRVPNDQVGLLLLESLLLLGYFSLFHAGNQAVLRWGKSPTILHKNRSVVQQEISTEMLRSLIKSCKTPGLASSDSILLDGWGTNSSSDNTQILLDTRNPQGDISIRSNRKSARPVLGKGVSGVIRLSRNKGQRDGRGARIGDDGPLKQRAGETSSNFMLHRKIPASFLDKAEEFFCSENDTAANITN >ORUFI06G08960.1 pep chromosome:OR_W1943:6:6540655:6543078:1 gene:ORUFI06G08960 transcript:ORUFI06G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVVQHPGGRVERLYWATTAAEVMRANPGHYVALVTLRVAEEKRPPPPPPPPPARAERRGTGTGTVRVTRVKLLKPRDTLLLGQAYRLITVDEVTRALQAKKEEKSRRAAAQHHHLESKPAAAAAAAGVRINSGGDDQTQLDENLDQHDRDGQRSSSATHSRHRQWRPSLHSIAELVVRQVLEICQVRCQHLNSSSPAMNKVW >ORUFI06G08970.1 pep chromosome:OR_W1943:6:6549695:6553552:-1 gene:ORUFI06G08970 transcript:ORUFI06G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYKHVGDDARGSSAGVVCCVDVVDDDVDALLCGEDAGELEREGEPAQGSSPSSSLSCAAAAAAAADDDDEDEDEHGVHGEVVQVTPGGEEHCYDYDYDVDVPVGAELVMPACSPPRTAVHRPGWSESVSWILKVRSVHGFQPATAYLAVSYMDRFMSSRSLPDHGWASQLLCVACLSLAAKMEESSAPPLLDLQIEGTRFIFEPRTIQRMELIVLVELDWRLRSVTPFAFVDFFACKVGSSGRSSRILALRACQIILSAIHELEFLNHCASSMAAAAVLFAVNESPAAMSHRSSVSSESAASWCIGLTEERISSCYQLLQRALNATARKRKRHPMILAACSSVTSSSSRSKRRKLDGHFGED >ORUFI06G08980.1 pep chromosome:OR_W1943:6:6566324:6568162:1 gene:ORUFI06G08980 transcript:ORUFI06G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDGANGGGGGGQGKLPRKRFYRARAHSNPLSDSHFPIPISPDEVDLSQHYPRYFPSGEGEARQGDAAVPRIRFADVGCGFGGLLVGLSTLFPDTLMIGMELRDKVTEYVKERILALRASNPGKYDNISVVRTNSMKYIPNYFRKAQLSKMFFLFPDPHFKEKNHRRRVISMQLLDEYAYVMEVGGIIYTITDVEELGEWMRSCLEKHPLFEAIPEEETKADPVVKLLSTATEEGQKVARNGGQTFQAIFRRISLQE >ORUFI06G08990.1 pep chromosome:OR_W1943:6:6568705:6570437:-1 gene:ORUFI06G08990 transcript:ORUFI06G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGQRPRPSGRRPPGGGAASPRSLPPRCSPGSAPRGGKSSEKKRSFEELLLTPEEMAILDQNETPDITKISSPKWHPLHSYALALQIPLMDSLLDSGVDINLLDKDGFTPLHKAVIGKKEAVISHLLRRGANPHVRDRDGATPLHYAVQVGALQTVKLLIKNRVDVNVADNDGWTPLHLAIQSRNRDIAKILLVNGADKTRRTKDGRTALDISLCFGRDFKSYDLAKLVKLVPANRKM >ORUFI06G09000.1 pep chromosome:OR_W1943:6:6573170:6575611:1 gene:ORUFI06G09000 transcript:ORUFI06G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRTLAGRVRPLLAAAGLRGYAPRAAADLVVVGDEEPPPRTASSASAAATVSIAATAPTVLQPRVLIYDGVCHLCHRGVKWVIKADKHAKIRFCCVQSKAAEPYLRLVGMDREDVLRRVLFIEGPETYYEGSTAALKVASYLPLPYSALSSLLIIPAPLRDAIYDYIAKNRYDWFGKDDECIVTKNKELLERFIDRDEMLGGGPSNSF >ORUFI06G09010.1 pep chromosome:OR_W1943:6:6575992:6587186:-1 gene:ORUFI06G09010 transcript:ORUFI06G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAWGGTTQKCDSCGRTVYPVEELAADGRVYHRPCFRCTHCKTTLQFSNYSSVEGVLYCKPHYDQILKSTGSLEKSFEGTSKSAKAEKSNGNKGQPNRFSSMFVGTQDKCVVCNKTVYPLEKVNLNGSSYHKSCFRCTHGGCTLSPSNNVTHEGKLYCKTHHSQLFMVKGNFSNFEDNTPNAKVDIEKQPEHEDATKNPGGPGQGDGLTEKPLESELTPEKPSQDDIVAEKQSQSSIDVPKQSESTTTVQRSEEGERVSKGESNSHVVNKKPLESIVEKPLQSSAVNLQPSGSSAAVRKPWQRNLPTDKPLLSNTTAEKSTPSSAAIEESLPSNGVDAKQPESSTSSTVVKRPWQRRVASENLPQSISPSDKPSSASADDAKPSESSKLIRKPWQRAVAAEAQIQNSGPTEKPSFTNDTKPSESTTSIKRPWERKVVNEKPLQNNIDTEKPLQNNTDTEKSSSSAIDVKLAETSTTPTVPQEHSGITEKPSQTSADDVKPSESTAAVVKKQWQRNIGFQKQPQSSVTDAKTPESRGIGKRLWQRNVPTEKQSQSGASVVTPSQVSVADTNPLQSSVVVKKPWQRSVSREKEPEKDISSNKPLQNRVLAEEAQKTNVTADNKSQIIQDKKNNGATAENASQISESSKILPRTANKLQADTSTEKLSESDILALASSHITEPSKKPSENTAENEKPSQTDIATEKLPLTQSVEAMSEPSPSDAAHQEISEREILTEKLPESAMAVEKLSQTATLTEKPSKKDAAEKLPQTNEPSEQPQESEETAEKPLQNEANAESTTKQSDLCLEKPPQVDANVGNPTEPESDATSGVNSSDAQIRPTAEQLVEPQGIVSAEKTSDQILEANTDPAADQSSESQDVAPAKVATEQPLENQKAAASEQPLEPQHEAYEENPQEHNSDATAKESSEPERDTASDQLAEQPSESRTAGEKATLRESDVVTEDPAEPQIDVASERSAEVLSESQTGVETPVLHQSDRTNEVPSEPQTDEASDKSTEQPLEPENDASVEDEKPPQDDSASVEPSENTITLEKVPEEDEGSVKPSEDNAALEKTLEDDEASAKLPEDSVTLEKPSEEDDAGTKQSEDAVTLEKEPEEDKGSVEPTQDNAGLEKPLEEDEASAKPSEDSVALDKLSVEDDDSAKPSEDSMDLEKPEAHKPSEEEEDSAAKSSPEDAAVEEAPLQVSEEGRRREFSMAPKRKPPARAAAAAKSDPDGMFRGVSAFVVPHAVQSRRLEVWKQRLAQMGGRVVQEKLAAKGGGGAVTHVLAADAKALLRELDAAWLHRFRGSVVSFEWLEECLKSGERLPEHKFAINYEEEFKPKKEGGAAGSGVLQSAKRSKISSDGPESRKETAGGNRESRDAIAHPNEDSDVVKGPNTYTSSQSASGDSKETIASQNAFKAEEASSGESSTYAPPDLNRNITEIFGKLINIYRALGDDRRSFSYYKAIPVIEKLPFKIESADQVKDLPAIGKSLKDHINEIVNTGKLSKLEHFENDEKVRTVSLFGEVWGVGPATALKLYDKGHRTLDDLQKDDSLTSAQRIGLKFFDDIKQRIPRHEVSEMEKLLQEVGTDILPGVIIVCGGSYRRGKSSCGDMDIIITHPDGESHVGFLPKFVQRLKDINFLREDLIFSIHSIEGTDCGVDTYFGLCTYPGRELRHRIDLKKVYPRNRHAFGLLAWTGNDVLNRRLRILADSKGYILDDTGLYLATPGSGGKRGGRSDAIINCDTEKDVFDTLGFPWLEPHERNL >ORUFI06G09020.1 pep chromosome:OR_W1943:6:6590985:6592941:-1 gene:ORUFI06G09020 transcript:ORUFI06G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQVQPPWLLFLHFIAIAVLLPAVDSLPPPPPAAAAAVATVFDDNYVATYGGDGYHLVNQGAQISLTLDKSSGAGFRSKLMYGSGFFHMRIKVPAGYTAGVVTAYYLASEPDRDVQDEVDFEFLGDKDGEPITLQTNVFIGGHGDREQRLRLWFDPAADFHDYSILWNPFHLVIFVDETPVRVLKNLTRRGPEFEFPAKPMRPRGSVWDGSDWATDGGRTKVDWARAPFTAAFQGFAVDACAAAGGGGVSSDDCGSPETWWWNGGEYRRLTAAQQAAYDGVRRNLTYDYCTDKSKKKPVPPECSFT >ORUFI06G09030.1 pep chromosome:OR_W1943:6:6595533:6604422:-1 gene:ORUFI06G09030 transcript:ORUFI06G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLLLKPFVLIPSAPRRRHHHLSLPTPPHRRLPSSSSSSSPSRHASPASSSFAATRAARELFDGSADRPPGGVGRGGARRREYRVEQGEAPPAAAAAAAFRGVAPYVVPAAAVLALSFVIWRVVQNLLPGKTKDQSSGESTPSGIMWSFAAGSNLSTSTSFNAEKESRKNLNKFYKEIRTLKNVNMAGRQFGDEGLFFLAESLAYNKSAEEVDFSGNGITAVGIEAFDGILQINTALKSLNLSGNAIGDEGAKCLSDILVENVGIQKLLLNSTNIGDEGAKAISDMLKKNKTIRTLQLSNNTIEYSGFASIAEALLENNVLRSLFVNGNYGGPLGASSLAKGILGNKTLRELHLHGNGFGNEGVRALMSALSAHKGKITVLDIGNNNITSEGSLHVAEFIKRTKSLLWLSLYMNDISDEGAEKVADALKQNKTISTVDLGGNNIHSKGVSAIAETLKDNSVVTTLELSYNPIGPEGVKALCDVLKFNGKIQTLKLGWCQIGVSGAEFVADCLKYNTTLSTLDLRANGLGDDGAICLARSFKIINESLTSLDLGFNEIRDDGAFALAQALKANEDLAVTSLNLANNFFTKFGQVALSEAREHVYEMSEKEIDIFF >ORUFI06G09040.1 pep chromosome:OR_W1943:6:6611766:6612072:-1 gene:ORUFI06G09040 transcript:ORUFI06G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMLVASRGPGAVVIATRRSNSITARRSGRLPAPTPGGGRLAAAGGTAEARSSAIMDFGSGSSG >ORUFI06G09060.1 pep chromosome:OR_W1943:6:6615164:6618445:-1 gene:ORUFI06G09060 transcript:ORUFI06G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRFGSFKSEKGDPAATAAQRRDPYEVLGVGRNATDQEIKSAFRRMALKYHPDKNGDDPVASDMFQEVTFSYNILSDPDKRRQYDTSGFEAIEADSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVMVSQLQLGNSVHRKVEKQSAHFYSVDITEKEAKMGLVCRVKSTDRSKFKLLYFELEENGGLSLALQEDSVKTGKVTSAGMYFLGFPVYRFEQNNLAAAAKDPDSAFFKRLDSFQPCDINELKPGTHFFAVYGDNFFRSVNYTIEVVCGESFPAEKEKLQSVEAKILTKRAELSKFETEYREIDNLLKERNEIHASYTNNSPLKRSSSRSKAKSPSKFSKGEEDNNQRKEKKVKDQPTGGCRSADEDSNEKKTKERFPRKKWLNIPFKIDRRKPC >ORUFI06G09070.1 pep chromosome:OR_W1943:6:6624548:6626300:-1 gene:ORUFI06G09070 transcript:ORUFI06G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKPKRKPPPSPEPYHDHPSPSPAQCLAVRDALLAFHGFPDEFAPFRRRRRRLGLDTSPDPEEDTDGDPSPPPTVLDGLVTTLLSQNTTDAISRRAFAALKAAFPTWDQVVDEEEGKRLEDAIRCGGLAATKAARIRAMLRGVRERRGKICLEYLRDLSVDEVKTELSRFKGIGPKTVACVLMFYLQKDDFPVDTHVLRITNAIGWVPATASRERAYLHLNSKIPDDLKFDLNCLFVTHGKLCQSCSKKLGGQKTTGSNSMCPLASYCCTEEKMKQ >ORUFI06G09080.1 pep chromosome:OR_W1943:6:6635583:6641911:1 gene:ORUFI06G09080 transcript:ORUFI06G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAWPRWRPSSPPMPSSSSSSPSSSFTADPPAEFLCPISGTLMADPVVVPPGQTFERACIQACAALAFSPPAVAADLSSLPPSASSPLVLVPNVALRTAILNWCDRLSLPYPAPLSPDTARDVVRRLMPSPPPPPPRSQAPPPPPPASSVQTRSYYSDDLVQQQQEARREGGSTEERIMAMLGAGPAEQEAAMALLRKTARENREMRRELCTPRLLAALRPMLLSGDAGVQVNAAAALVNLSLEAENKVRIVRSGAVSPLVEVLRSGHPEARDHAAGAVYSLAVEDENRAAIGVLGAIPPLLELFACAGAAHLARREAGMALYHVSLSGMNRSKIARTPGVVRTLLAAAEAARDDRANEADAAALRRIAVMILANLAGCPDGRTALMDGGAVAAVVRLMSSGSAAPGSAEEEYCISSLYGMSRGSLRFRGLARAAGVEAVLTPVAEGAGGVGRDMARRTLRAMRGEDDEAAVTATGLLGRQWDDGSVVSEGLVSIRRPPPRRSSYGAGASGSNTTQQYHSFFLRLFTSPEELMADPVIVPSGETFERGCVEACVALGFPPAALPLSVDLAASPPPALIPNANLRKAISSYCDRVGLPRPLAVSPEEARGIVRHLMAMREPGRAGGVNGERFESSSSSSPEFAALGLTLEEAVLVRLLDDEPSRQEGALEALKQTLRGGENGVRRALCTPRLLDGLRRLMGSGHEGVRVSAAACVVNLSLEPANRVQLVRAELVPVLVGLLAAASPELRDHAAGAVYSLSIEERNRIPIGVLGAVPPLLRLLASAADGDRARRDAGMALYYLSLDEMNRSRLARSAGAVAALVGAAGDAALRRPALMVMANLAGCGEGREALIDGGAVAAVAGLMRRATVAPGSTEEEYCLSALHGMSRGNVRFGGLARAAGAGEVLRRVAEGPGGGVRRDVAWRTLRAVGGVAAAATGESLYGGEDAAAAAPWMDDVSVMSEAMAMPQFPRRLVEHAHAHGAPPRSNTTALDRLRQAPNG >ORUFI06G09090.1 pep chromosome:OR_W1943:6:6642393:6645114:-1 gene:ORUFI06G09090 transcript:ORUFI06G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPALLLVVAVGAALLLAFRPPLPALAARPIVAAAGGKPAPTEAAATARWLAAQNTWGVLSTISSDLSGAPFGNVVSYSDGVPGESHGIPYFYLTTLDPTARDALEDERTSFTLSEFPLGTCGKIDPENPTCAKLTLTGKLKLIDPQSSEADLAKEALFTKHPEMEGWPKNHHFQIFKLEIKNIFLIDWFGGPKPISPTEYLEYEKNRALLKSS >ORUFI06G09100.1 pep chromosome:OR_W1943:6:6655929:6658008:-1 gene:ORUFI06G09100 transcript:ORUFI06G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHTSHTMEHKLLKAVATGDADLLAQALGIWPTATAEQGDVDQSCCLKGVTAEGSSVLHIAASRGHLKLVVMICTHDISLIKSRNNQLDTPLICAARAGHADVVDYLVRAASAMQEPERSVLRAWNSGGATAMHEAVRNGYAPVLQKLMSSDSGLATAVLGGCVQPARYEMSESLRRWEPTLAEKVDIDGRTALHYAVLTGETGLVELLLDNSSAAYIPDNDGLFPVHVAAIAGKASVTRMLMEMCLNCDELLDNKQRNVLHCAVEYGSSLVPASPCAYKLVMLIPADS >ORUFI06G09110.1 pep chromosome:OR_W1943:6:6659241:6659831:1 gene:ORUFI06G09110 transcript:ORUFI06G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQEHLPYNFVAEGRIVVAGTRPNSATADPAVAASQLAFTSVSAAIMIVREIAPEHQWAFPVRIMRGKGVTAERSMHISVCKLKYKLLNSGKENVYKKKVKEADVVHSFSEIAEYKNVTLNDCINHCIYASHLETDQYFNAGHMALACPYHLD >ORUFI06G09120.1 pep chromosome:OR_W1943:6:6663536:6666292:-1 gene:ORUFI06G09120 transcript:ORUFI06G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNSEEGIISQTISSSSSSSCHYQCIATLSGNSSYVSGLAVDGDSLYVASSDGHIRLWPLDMAMAMVREESTSSSSQGEVSRSTVAVTGSPVKCLAATGDGLVSSHQDGTIRVWRHAGGRRRLALRAVLPTAADCLRALLLPGGGYVEVRRHKRRAWVHHVDAVTALALSPDGESMYSVSWDRSLKAWRLPGLRCAESVAAAHDDAINAVVAAPDGHVYTASADGTVKAWRRRTGQKKLSLVCVMERHGAAVNALALGGGGRVLYSGACDRSVVAWENSAGAGAGGADVRMVATATLRGHARAVLCLAADGDVVCSGSADRTVRVWRRGATAAYTCLAVLDGHGGAVKSLALARGGAGCDRCCACHVEESSSCSCAALVCSGSLDCDVKLWRVTVSEAIKGSKVHHVGRLLGLIFHLFVWLSNFVEFKGAGYIYIKGIRSTGLWYQSKQSRYQEVDTQALGTYGYQAFALMRATRRREMRQGKHRDEMATLLTN >ORUFI06G09130.1 pep chromosome:OR_W1943:6:6673119:6674609:-1 gene:ORUFI06G09130 transcript:ORUFI06G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKRAARGTAVPYSAGGLGLLALAALESLPLRPLLLPAAAASHLPRRLGLATPLHLRHLLAGIVSALFLLSALFSARHHLSLPTLAATALFLLYALAPLAPLRAPLPLPLLDLVLAAAFAQELLLFAHRRPSTAAGIENRYFDLFLVPVAVCLVATLLAAHDPEAAPPRLARAAGLALQGTWMVQMGFSFFTSAVAQGCALHAQSRVDYTIKCRTHDDYHRARSAATLQFNGHLALLVLAGAAAYGAAVSRRNQPPSGYRMLSKEVQMEGMPLHSQFTLDSDEEKEDERITNSAMPVANGSGSHHEITVQTSDSK >ORUFI06G09140.1 pep chromosome:OR_W1943:6:6676246:6678838:1 gene:ORUFI06G09140 transcript:ORUFI06G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCCFSSSTAAAAAARRFLLPHLFLGRRRHRHDQQRQVSLYVDALLDWNQRMNLTAVTDEGEVMTRHVADSLAVLPPLERAYRGDLGGMRLVDVGSGAGLPGLILAVARPSWKFTLLESMQKRCLFLEHAVEVMGLSNVDVVCDRAENVGQSPDFREAFDVAVARAVAELKVLAEYCLPLVRIDGLFIAAKGHNPHEEIKNAKSAVHKLGASMLEICDVESMGPHGQRTAVVYIKECITPKKYPRHPGTPSKMPL >ORUFI06G09140.2 pep chromosome:OR_W1943:6:6676130:6678838:1 gene:ORUFI06G09140 transcript:ORUFI06G09140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCCFSSSTAAAAAARRFLLPHLFLGRRRHRHDQVRYTILHHPRTHTTAAPPAAAASTTRLSPSQQRQVSLYVDALLDWNQRMNLTAVTDEGEVMTRHVADSLAVLPPLERAYRGDLGGMRLVDVGSGAGLPGLILAVARPSWKFTLLESMQKRCLFLEHAVEVMGLSNVDVVCDRAENVGQSPDFREAFDVAVARAVAELKVLAEYCLPLVRIDGLFIAAKGHNPHEEIKNAKSAVHKLGASMLEICDVESMGPHGQRTAVVYIKECITPKKYPRHPGTPSKMPL >ORUFI06G09150.1 pep chromosome:OR_W1943:6:6679370:6680485:1 gene:ORUFI06G09150 transcript:ORUFI06G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSPLVVVLLAVVAAIAVSPVQPAFALPAGLPDIKSLTNPWSAFKNLSGCHFGDERQGLGKLKDYLWHFGYLSYPSSSSLSPSFNDLFDADMELAIKMYQGNFGLDVTGDLDAATVSQMMAPRCGVADVVNGTSTMGGGGGVRGRGLYSYFPGSPRWPRSRTTLRYAITATSQTSIDRATLSKVFASAFARWSAATTLNFTEAASAADADITIGFYGGDHGDGEAFDGPLGTLAHAFSPTNGRLHLDASEAWVAGGDVTRASSNAAVDLESVAVHEIGHILGLGHSSAADSIMFPTLTSRTKKVNLATDDVAGIQGLYGNNPNFKGVTPPATSSREMDSAGAGELSRPWRRLLDGAAGLLVGLSLAWL >ORUFI06G09160.1 pep chromosome:OR_W1943:6:6687166:6687456:1 gene:ORUFI06G09160 transcript:ORUFI06G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSYGTSWADQWDYGSDPPPSSSSSGKRSGGGGGGGGKKGGGVEKTKAAAASGLRKVKEGTAHGFQWIKDKYQQKKSGGKKHGDQQQGSEIAGY >ORUFI06G09170.1 pep chromosome:OR_W1943:6:6697982:6702057:1 gene:ORUFI06G09170 transcript:ORUFI06G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKPTAAPPTARLSRACVMIIVVASVERFAYKGVASNLVTYLTEVVEMSTSAAAKSVSAWSGVTSMLPLLTAVLADSYWDRYSTITASSLLYVVGLIGLTLWALLHTRMPCSTLFFPLYLISIGQGGYNPSLQAFGADQLDIGDDDDDGDNGATAATEEQRSKVKSLFFQWWYFGICSGSLLGNTTMSYVQDTVGWGLGFAVPAAVMAVSVAAFFCCTPLYKQRQPRAVHRKPCRDSVLKALKSLLASVTGARKITLPSRDGDDDTDIVSELELQEKPLKLADQKQEAAMGEAAAPSVAKIIVRLLPIWTMLLMFAVIFQQPMTFFTKQGMLMDHRVGAVFVIPPAMLQSSITVSIILLMPLYDTVVVPLAGLVAGHGKGITVLQRIGVGMVLSIVAMAVAALVEARRLRAAASSSSGGRLSIFWLLPQYVLLGVSDVFTVVGMQEFFYTQVPSAMRTVGIALYLSVFGVGSFVGAFLITALEMVTAGGGGGGHDHGWFSDDPREARLDKYYWFLALLSCVSFVVFTHLCKYY >ORUFI06G09180.1 pep chromosome:OR_W1943:6:6704632:6709794:1 gene:ORUFI06G09180 transcript:ORUFI06G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSSSSAAAALPLLPSSHAAAGVGAAAATSVLRGRRRRRGLRRPRGLLGWGALVAFFFVMNWWMFSRLQDPAARSHFRLRRRHSPAANASLSTLEEVSGAGKGKRPHQVMLTRLLALAAHALAEAETRPEPQDLWKEPINATMWRPCSDKRTWEPSEGTNGYIMISANGGINQQRVAICNAVTISRLLNATLVIPKFLYSNVWLDKSQFGDIYQEDYFINYLKSDIRIVKELPVELQSLDLEAIGSLVNDTDVMKEAKPSLYVKKILPILLKNRVVHLVGFGNRLSFDPIPFELQRLRCRCNFHALRFVHKIQETGALLVERLHGHRPHPSPLEDNLLGHFASKSVLKGNKNETWKYLAVHLRFEIDMVAYSMCYFGGGKDEEEELEMYRQIHFPALTELRKTTKLPSAAFLRSEGKCPLAPEEAVLMLAAIGFKHSTNVYIAAADAFAMTDPGSQFSSLVQGYRMYYGGGDLPTIRPNKRRLASILLKNATMEWNEFETRSFDESSGN >ORUFI06G09190.1 pep chromosome:OR_W1943:6:6711114:6715626:1 gene:ORUFI06G09190 transcript:ORUFI06G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRLASKEGAYFLQESKHAAGRLAEKLPASAPAPAPAPGSTSPSPDVLPEILRHAVPIKATPPPGEPSLSASSRWAVPRGGAEAAGLSPDALNPLRSYVSLPQATFGPKRWQLPNEQPNYSASTANERRRDRHPPPMDPEKLKAIFTVGKAFIAATILVFGGSTAVLLYTADKLQLHSVDDVRTKGRDAVQPRADMIKEQIAPLRSWAEEMSRKWHFEGDKDAKEKSIIRELSRALGSRTPPT >ORUFI06G09200.1 pep chromosome:OR_W1943:6:6720124:6724315:-1 gene:ORUFI06G09200 transcript:ORUFI06G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKNPSAAPPLPLRPTPDAASPSRNLAADAPSSSRCAASAPPPLLRYGHSRREHASYRMIEARGAERD >ORUFI06G09210.1 pep chromosome:OR_W1943:6:6732426:6735272:1 gene:ORUFI06G09210 transcript:ORUFI06G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKCTLRTERMIIIVLITAQHTAIAKPFFSLNAFAQGQPNDKDDQNMGKFYVYNKAQTNNYADQRMRKFYLYNKDQANDWDDQKMEKFYLYHEGKTNDRDDQKRKNIYLYNEGHANGDDQTMEKFYLYNKDQAKDGDDQKMGKFYLYNKDQANDWDDQKMERFYLYNKGHANEGDDQTMEKFYLYNKGHANEEDDQTMEKFYLYNKGQAKDGDDQKMEKNYLYNKDQANDWDDQKIEKFYLYHEGKANYRDDQNMEKFYLYKKGEEHKYIHSHGHGHVHFPEGAKDLYFFEDNLAPGSVLITRILSARQSSIFLHRNNSKHIPFSMKNITDIFTMFSPVSATMADGIAATLQACEHTGMVHGEKAKCATSIESLLDVVVSSLGTKLVRALTPGAPMEGVPSLRYIVASATPVPNSQSMLACHDMLYPYKVFFCHTPKQTRLYQVSLVSGESGRPLFDGLLAVCHQNTSDWDTGHPFFHFMDVKPGETTACHFFGRGSIIWVPVPSVKEATP >ORUFI06G09220.1 pep chromosome:OR_W1943:6:6752429:6755726:-1 gene:ORUFI06G09220 transcript:ORUFI06G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGHIELHHHLFGYLKSMALRCAADLGVPSAIHRRGGAATISDIAADTGVHPAKLPHLRRIMRVLTVAGIFAANDEPSSWADQDGDAAGETVYTLTPPSRLLVGDRATCNMAPMMRFLVRPEVAAVFFGLDAWLRDGDTGAATLKPGGARRRPGMTKRDSSRALNEACAGDTSFVMDIAVREGGDVFVMDIAVREGGDVFRGLSSLVDVGGGHGAAAMAIARAFPHIKCSVLDLPQAISEAPADGTVNFVAANAVFLKDCIKILQQCKKAIPAKGDGGKVIIINAVVGSGEPQDNALKETQVLFDVYMMGGGEREEHAWKKIFLEAGFSDYKIKPILGFISVIEVYP >ORUFI06G09230.1 pep chromosome:OR_W1943:6:6754682:6770539:1 gene:ORUFI06G09230 transcript:ORUFI06G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXEERTEAAVLTGANHDGRSLSPVSAAAVGEWRNLADNNFQGPIPANISSCTALNKFNVYGNELNGSIPAGFQKLESLDLLLLGLSGHFGLGNTNNFAIVDAAGAFFF >ORUFI06G09240.1 pep chromosome:OR_W1943:6:6772873:6774524:1 gene:ORUFI06G09240 transcript:ORUFI06G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPSCKKMKEEERRKHEVVALYELGLRHAGVGGGTVRGLLAAGLTQIVIQSTLFKHLNWWKLPMPRDIRMMLLMQEDDSWLLLLSKMIGDGSRFEYQEEYRKFMGIEQLCSRMDVLMD >ORUFI06G09250.1 pep chromosome:OR_W1943:6:6776941:6779662:-1 gene:ORUFI06G09250 transcript:ORUFI06G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNVEHDFHMVGGEGEISYAKNSRVQAKAMIEAKFVLDKAIRELYATLLANTMVVADLGCSSGQNTLHFVSEVINIFTKHQNNLGQSDTVDLQFFLNDLPGNDFNHLFRILNTFTFKGASNHKGDILPAYHIYGAPGSYYTRLFPPQAVHLFHSSLSLHWRSQVPEQLNGKQKSYLNEENIYITKTTPLHVVKLFQEQFIKDVSLFLKLRHEELVDGGRMVLTIYGRKSEDPYSGDVNDIFGLLGKSLQSLVAEGLVEKEKLDSFNLPVYGPSVGELEEIVNRVNLFDMDHMHLFECNWDPYDDSQGDIVHDSALSGINVANCVRAVTEPLIASHFGEGILSALFTDYAHRVASHLEKEKTKFAWIVISLKKRC >ORUFI06G09260.1 pep chromosome:OR_W1943:6:6783327:6784149:1 gene:ORUFI06G09260 transcript:ORUFI06G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGSECLKMPTDGGKEGKGKLIEELEKPAAAGRRTLASRVTEGHAPPQLPSRIAGDAEGCAPPQCPLLAAGITAVSFVSPPKLPPSVCSGYLHAHLHQQHEPGRTVPMCTEGSQTVPPPTCAEGLPGYATVAESDDARRESDVAEEYD >ORUFI06G09270.1 pep chromosome:OR_W1943:6:6802807:6802995:-1 gene:ORUFI06G09270 transcript:ORUFI06G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPAKHAPPARLLLDALRTTTAAIRVRAPAALSRAASEKIAVPRIAPSHAVPPPPPPPQAQ >ORUFI06G09280.1 pep chromosome:OR_W1943:6:6804004:6806537:-1 gene:ORUFI06G09280 transcript:ORUFI06G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTERDFHMVGGEGEISYARNSRVQNKAMMETKSILDKFFLNDLPGNDFNHLFRTLETFKKANETNHEGEIVPAYYICGVPGSYYTRLFPQQTIHLFHSSISLHWLSQVPEELNGRKKVYLNEENIYITKTTPQSVVKLFQEQFYKDFSLFLTLRHEELVLGGQMENLESFNLPLYGPSVGEVDEIVKNVNLFEMDHINLFECNWDPYDDSQGDIVHDSALSGMNVAKCIRAALQPLIASYFGEDILNALFEEYAHRVAKHLEKEKGKFAFIVVSLKKRCYIKIGS >ORUFI06G09280.2 pep chromosome:OR_W1943:6:6804004:6806537:-1 gene:ORUFI06G09280 transcript:ORUFI06G09280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTERDFHMVGGEGEISYARNSRVQNKAMMETKSILDKVTQEVYTGLLPRNMVIADLGCSSGPNTLRFVSEVINIITKCQNKLGQLDLMDLQFFLNDLPGNDFNHLFRTLETFKKANETNHEGEIVPAYYICGVPGSYYTRLFPQQTIHLFHSSISLHWLSQVPEELNGRKKVYLNEENIYITKTTPQSVVKLFQEQFYKDFSLFLTLRHEELVLGGQMENLESFNLPLYGPSVGEVDEIVKNVNLFEMDHINLFECNWDPYDDSQGDIVHDSALSGMNVAKCIRAALQPLIASYFGEDILNALFEEYAHRVAKHLEKEKGKFAFIVVSLKKRCYIKIGS >ORUFI06G09290.1 pep chromosome:OR_W1943:6:6811007:6812252:-1 gene:ORUFI06G09290 transcript:ORUFI06G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHARDQTQCEWPDKTSEGTGAAEPRTRQGDYLDRLRGSPSSRVSLAVVSAEDRSAPSLSDRWHAAPTTAPAALGSQEADRMVTGRALFYYLAKANGGSATSSKGPLLLWLNGGLGCSSLGYGTIEELGLFRVKSDGEMLSARMRWPPVSSHHVALTSPHCSSEVTMPFPTMSTGRGKE >ORUFI06G09300.1 pep chromosome:OR_W1943:6:6813423:6815615:-1 gene:ORUFI06G09300 transcript:ORUFI06G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEISVEAAKMKTHRFPNLIAAANRGPGLGRGVLDHLRQISRGGPVGGARRGGIGGGLTAGHGSAGQQIAVGAEEAAEAALGTTEARRAATTVEAETYVAVTESSSSAAQASVEDRIEVLQAPLLLWLNGGLVCSSIRYGAMEELGPFHVKSDGETLSARMRWPPVLSHHVVLTSPHCSLRPSCRSRRCQREGGKEERRRRKRRKEKGQSGYLENYLTSFIPGNNKIMRPVFYGQLYAFLVSISCVTFFSVF >ORUFI06G09310.1 pep chromosome:OR_W1943:6:6828037:6828487:-1 gene:ORUFI06G09310 transcript:ORUFI06G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLALLASGAASAVDPASVACSVGGKRDGVHGGSGIGGMRGGIAGVRGNVSGGRGDVNGHSHNISSIICVGGGRDSVGYRSRRGW >ORUFI06G09320.1 pep chromosome:OR_W1943:6:6832154:6835294:1 gene:ORUFI06G09320 transcript:ORUFI06G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRRRSGAGGTPPTTRSSSTPGSGGARAIGGVAAEVTDYSIATPIVTGTARWFRDSADLVAFREGGGRRRRRRHSFEYARYGNPTVEVLEDKISAMEKAEATIVTSSGMNAIVATLLAVVPPGGHTTFVDLDDMEALQSVLDQGNVTMFYADSLTNPHLKCVDVRRVAELCHQRGALVCIDSTLASPINQKPLTLGADVVLHSHHQVIGAGWETPWVTDFTTGMGVGFLNWHPNAAYMIIRGLKTMALRVEAQNRTALRVARLLERHPKVERVNYPWLESSPWHGVARKQMTGAGGVISFEVASDMRGAMRFVDALELPFIATSLGGCESLVQQPAIMGKSEAEKTENGIKDNLVRFSFGIEKFEDLKDDILQALEKI >ORUFI06G09330.1 pep chromosome:OR_W1943:6:6837368:6839557:-1 gene:ORUFI06G09330 transcript:ORUFI06G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIEGDLHMTSGEGEGSYAKYSRRQTIVIDETKPVIEKAIIEVYKAILPKTMVIADLGCSAGPNTMFFMSNVINIIADHCTKLDEHDPIELQFFLNDLPGNDFNQLFRSLEKIKTSTTMYHKGDSLPSYYISGLPKSYYSRLFPRQSVPEGLEAGGKSLSNKDNIYISSTTTPLVVKLFKEQFRKDFSLFLKLRHEELVNDGHMVLIFFGRKDEDVYNGSLSHILGCVAKSLESLVCKGLVNKEKLESFNLPIYGPSDDEVMEIVMESHMFDLVHMKLFEANWDPYDDSVDDVVHDIASSSQNITTGIRSVLESLIASHFGESILDVLFQEFRPLVAQHLEREKTKYAVIVMSLKKI >ORUFI06G09330.2 pep chromosome:OR_W1943:6:6837368:6839557:-1 gene:ORUFI06G09330 transcript:ORUFI06G09330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIEGDLHMTSGEGEGSYAKYSRRQVPEGLEAGGKSLSNKDNIYISSTTTPLVVKLFKEQFRKDFSLFLKLRHEELVNDGHMVLIFFGRKDEDVYNGSLSHILGCVAKSLESLVCKGLVNKEKLESFNLPIYGPSDDEVMEIVMESHMFDLVHMKLFEANWDPYDDSVDDVVHDIASSSQNITTGIRSVLESLIASHFGESILDVLFQEFRPLVAQHLEREKTKYAVIVMSLKKI >ORUFI06G09340.1 pep chromosome:OR_W1943:6:6850255:6864855:-1 gene:ORUFI06G09340 transcript:ORUFI06G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLWALGLSKGKALLQTKPMIEKAIKKVHTALQPMMILVADLGCSSGPNTLIEIAEYYNRIGQCPVDVQFFLNDLPSNDFNHLFKSLEQIDNFVAKDQNRQATTLPQYYVAGLASSYYRRLFPKNSVHLFHSSYALHWRSKMFEMKNIKEPLNEGNIYISKTTPISTVKLYQELFEKDFSNFLELRSNELISSGQMLLTFLGRKNEDVSDGDQCTLHGLMEKKKLNNFNMPVYMPSTHEVKTIIMRSKLFIINQIQLSESNWDPYDDDLEGEVVLYPAQSGLNVTRSLRPVLRRLFTTYFGESVQDVLFLRIASNVSKYLDKRKGKHNVIALRRHAVPVPLVMHNMCERGRDGEETDGMGEVGMTPLLSASLEKTLVATKPMIQKAIQELYSAVLPRTMLVADMGCSSGPNTLNFIFEITWSPKIKTEKQQYCLSLPRSYYTRVFPDKSVHLFHSSYSLHWRSQMFQESNNGEFLNEGNIYIAKTTPKSVIKLYQELFYDDFSKFLELRYQELVSGGQMVLSFLARKKDDLYDGNLSVLYGLISQALQSLVMEGLVEKEKLDSFNIPNYEPSIHKVKTVVISSKLFTINKIYVFESNWDPYDDSSDQGQATNINPIKSGLNVAKCIRAVLEPLIASHFGESILDVLFSRFARNVTQHLEKRKGKHSVIVLSLSKRKN >ORUFI06G09340.2 pep chromosome:OR_W1943:6:6850255:6864855:-1 gene:ORUFI06G09340 transcript:ORUFI06G09340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLWALGLSKGKALLQTKPMIEKAIKKVHTALQPMMILVADLGCSSGPNTLIEIAEYYNRIGQCPVDVQFFLNDLPSNDFNHLFKSLEQIDNFVAKDQNRQATTLPQYYVAGLASSYYRRLFPKNSVHLFHSSYALHWRSKMFEMKNIKEPLNEGNIYISKTTPISTVKLYQELFEKDFSNFLELRSNELISSGQMLLTFLGRKNEDVSDGDQCTLHGLMEKKKLNNFNMPVYMPSTHEVKTIIMRSKLFIINQIQLSESNWDPYDDDLEGEVVLYPAQSGLNVTRSLRPVLRRLFTTYFGESVQDVLFLRIASNVSKYLDKRKGKHNVIALRRHAVPVPLVMHNMCERGRDGEETDGMGEVGMTPLLSASLEKTLVATKPMIQKAIQELYSAVLPRTMLVADMGCSSGPNTLNFIFEMFQESNNGEFLNEGNIYIAKTTPKSVIKLYQELFYDDFSKFLELRYQELVSGGQMVLSFLARKKDDLYDGNLSVLYGLISQALQSLVMEGLVEKEKLDSFNIPNYEPSIHKVKTVVISSKLFTINKIYVFESNWDPYDDSSDQGQATNINPIKSGLNVAKCIRAVLEPLIASHFGESILDVLFSRFARNVTQHLEKRKGKHSVIVLSLSKRKN >ORUFI06G09340.3 pep chromosome:OR_W1943:6:6850255:6864855:-1 gene:ORUFI06G09340 transcript:ORUFI06G09340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLWALGLSKGKALLQTKPMIEKAIKKVHTALQPMMILVADLGCSSGPNTLIEIAEYYNRIGQCPVDVQFFLNDLPSNDFNHLFKSLEQIDNFVAKDQNRQATTLPQYYVAGLASSYYRRLFPKNSVHLFHSSYALHWRSKMFEMKNIKEPLNEGNIYISKTTPISTVKLYQELFEKDFSNFLELRSNELISSGQMLLTFLGRKNEDVSDGDQCTLHGLMEKKKLNNFNMPVYMPSTHEVKTIIMRSKLFIINQIQLSESNWDPYDDDLEGEVVLYPAQSGLNVTRSLRPVLRRLFTTYFGESVQDVLFLRIASNVSKYLDKRKGKHNVIALRRHAVPVPLVMHNMCERGRDGEETDGMGEVGMTPLLSASLEKTLVATKPMIQKAIQELYSAVLPRTMLVADMGCSSGPNTLNFIFEVIKATSEYCQRIGHRPVDLQFFMNDLPGNDFNYLFKSLEQLDNLVAKDQNREAAILPKYYVVGLPRSYYTRVFPDKSVHLFHSSYSLHWRSQMFQESNNGEFLNEGNIYIAKTTPKSVIKLYQELFYDDFSKFLELRYQELVSGGQMVLSFLARKKDDLYDGNLSVLYGLISQALQSLVMEGLVEKEKLDSFNIPNYEPSIHKVKTVVISSKLFTINKIYVFESNWDPYDDSSDQGQATNINPIKSGLNVAKCIRAVLEPLIASHFGESILDVLFSRFARNVTQHLEKRKGKHSVIVLSLSKRKN >ORUFI06G09340.4 pep chromosome:OR_W1943:6:6850255:6864855:-1 gene:ORUFI06G09340 transcript:ORUFI06G09340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLWALGLSKGKALLQTKPMIEKAIKKVHTALQPMMILVADLGCSSGPNTLIEIAEYYNRIGQCPVDVQFFLNDLPSNDFNHLFKSLEQIDNFVAKDQNRQATTLPQYYVAGLASSYYRRLFPKNSVHLFHSSYALHWRSKMFEMKNIKEPLNEGNIYISKTTPISTVKLYQELFEKDFSNFLELRSNELISSGQMLLTFLGRKNEDVSDGDQCTLHGLMEKKKLNNFNMPVYMPSTHEVKTIIMRSKLFIINQIQLSESNWDPYDDDLEGEVVLYPAQSGLNVTRSLRPVLRRLFTTYFGESVQDVLFLRIASNVSKYLDKRKGKHNVIALRRHAVPVPLVMHNMCERGRDGEETDGMGEVGMTPLLSASLMFQESNNGEFLNEGNIYIAKTTPKSVIKLYQELFYDDFSKFLELRYQELVSGGQMVLSFLARKKDDLYDGNLSVLYGLISQALQSLVMEGLVEKEKLDSFNIPNYEPSIHKVKTVVISSKLFTINKIYVFESNWDPYDDSSDQGQATNINPIKSGLNVAKCIRAVLEPLIASHFGESILDVLFSRFARNVTQHLEKRKGKHSVIVLSLSKRKN >ORUFI06G09350.1 pep chromosome:OR_W1943:6:6854753:6854947:1 gene:ORUFI06G09350 transcript:ORUFI06G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPEQCSCEESGDGVFQRRPRCGGGECRVCGFQPPNLATWEAEGPWAVVVKAVRASEGCWE >ORUFI06G09360.1 pep chromosome:OR_W1943:6:6881274:6887210:1 gene:ORUFI06G09360 transcript:ORUFI06G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEAIQEVYTALLPKTILVADMGCPSGPNTLVFISEVIKVISKYCASIGHHPVDLQFFLNDLPGNDFNYLFKSLEQLDNLVTKDQDQEADTLPQYYVVGLPRSYYTRVLPDKSVHLFHSSYSLHWLSPDEGGRGQSTRRGHGGEGRGEGSKIRSPWLWAMLSRTRRGRCKNQSMFKERCEKEPQNEGNVYIAVTTPEEVIKLYQEQFEKEFLNFLELRSEELISGGKMVLTFLGRKNDNIFDEDKNILYELISQALQSLVIEGLVEKEMLDSFNIPLYGPSVNEVRTAIMQQKLFSINHIKILESSWDPQDDEFEGHTVLDPVESGVNVAKSIRAVMERLFATHFGESIMPLLFSRFASNVTEYIEKNTTRKSIFPNVGVLFSQADMTHRVK >ORUFI06G09370.1 pep chromosome:OR_W1943:6:6893829:6906199:-1 gene:ORUFI06G09370 transcript:ORUFI06G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFHMAIAEAEANYANNSRLLCRHHTLLFVSMVISTVADAQHHNELGVLDEDAQWFQRKALLKTKPVLDKAVRQVCMALHPRAMIVADLGCSVGANTLLFVSDVINTVADAQHHDELRCHPMELQFFLNDLSGNDFNQVFKSVKQFTKSIAASHPKGVALPPFYISGLPGSYYTRLFPCQSVHLFHSSYCLHWRSQMIKDMDEKMSDINGGNIYIAKSTPPSVVKMFQDQFQKDMSLFLKLRHQELVPGGQMLLTFLGRKKEGVLDGDLSHLCALLAEALQALVTEGLVEREKLESFNLPLYGPSIDEVKAVIALNKLFGIDHIQLFESNWDPYDDMENDGMCSSPQHGVNVAKSIRAVFEPLLASHFGECILDELFQRYARNVERHLAEDNTKYSMELDFHMAIGEAEANYANNSRLQRKALIKTKPVLEKVMRQVYMALLPPTMVVADLGCSVGINTLLFVSKVTSTVADAQCHNELGCHIMELQFFLNDLPRNDFNQVFQSLQQFTKSIAAGHPKGVALPPFYISGLPGSYYNRLFPCQSVHLFHSSYCLHWQSQKREAITVSLLTVLKICVFSITDQGHEQKDGIPEWREHLHCKEHATIDGGVVPGSVPEGHVLFLKLRHQELVPGGKMLLTFLGRKKDDVLDGDLSHLFGLLAQALQSLFTEGIVEKGKLESFNLPIYGPSIDEVKTVITRNKLFCIDHIELFESNWDPYDDLEHDGMHISPHRGMNVAKCIRAVSEPFLASHFGEYILDKLFQRFAQIVERHLAKENAKYSVIVLSLNRRD >ORUFI06G09380.1 pep chromosome:OR_W1943:6:6910439:6919619:1 gene:ORUFI06G09380 transcript:ORUFI06G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPSPSPVVPVKREPDGATTAVSDAYTPRPPLRKRRRLPATPTQPLLLTPQAMSSTRDSFAGERSGLAPASVPTSVKRELGADGDGDGDGDRDARGKAVSVAEGNLQPRKTALAELPTLLANRRRLDRLLHELVRSHRWGDAAGVISALVSGTRHPESFDEMRSVFAVGMEIHRRLAENSGIQQNTRSRYYLRTQKLYDVWMRRLMWLPTCERKYMVKLELALFYLSQGCIDSAYNTTKTLIAKDGLKTPIVNLIHGLISYDNWYSGLPEDMQLEEFDVYCESRTVSMATHHCDENGQQDTSDDNCSIDADSSSPGCSSKSSINNWNIDKQRKFPEKPGFVHSAREDDSVGSQVDEKMVDTDFRSVFFNTANSPTCGLEKSLLPLRLKHSDGTPNACFDSYWKYKSTPNAFYEDAEKCLRVALYSTPPIMAALLPLIQILLLGDKLKDALAELEKICHSSTTALPFRLRGRLLEYFDQNQVSIISSCYAEALRRDPTCTYSMERLTRLHRKGYYNTIELLEAIALHLDSVNGKPCIWEELVSCFLRLFSEWTTDYGDCMSCNVQGDATFTASSKFCCVFFEQNTRETWKVRCTWWMNCHFSQSDCKLLASKAACACHLFGPEFEYVEAVESYLSGQKADDEIAFLSRNMQNSTPRSRLAHRLDRHAIAATGELCSLLSLMDRVAVASPIY >ORUFI06G09380.2 pep chromosome:OR_W1943:6:6910439:6919619:1 gene:ORUFI06G09380 transcript:ORUFI06G09380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPSPSPVVPVKREPDGATTAVSDAYTPRPPLRKRRRLPATPTQPLLLTPQAMSSTRDSFAGERSGLAPASVPTSVKRELGADGDGDGDGDRDARGKAVSVAEGNLQPRKTALAELPTLLANRRRLDRLLHELVRSHRWGDAAGVISALVSGTRHPESFDEMRSVFAVGMEIHRRLAENSGIQQNTRSRYYLRTQKLYDVWMRRLILIAKDGLKTPIVNLIHGLISYDNWYSGLPEDMQLEEFDVYCESRTVSMATHHCDENGQQDTSDDNCSIDADSSSPGCSSKSSINNWNIDKQRKFPEKPGFVHSAREDDSVGSQVDEKMVDTDFRSVFFNTANSPTCGLEKSLLPLRLKHSDGTPNACFDSYWKYKSTPNAFYEDAEKCLRVALYSTPPIMAALLPLIQILLLGDKLKDALAELEKICHSSTTALPFRLRGRLLEYFDQNQVSIISSCYAEALRRDPTCTYSMERLTRLHRKGYYNTIELLEAIALHLDSVNGKPCIWEELVSCFLRLFSEWTTDYGDCMSCNVQGDATFTASSKFCCVFFEQNTRETWKVRCTWWMNCHFSQSDCKLLASKAACACHLFGPEFEYVEAVESYLSGQKADDEIAFLSRNMQNSTPRSRLAHRLDRHAIAATGELCSLLSLMDRVAVASPIY >ORUFI06G09390.1 pep chromosome:OR_W1943:6:6920438:6922628:-1 gene:ORUFI06G09390 transcript:ORUFI06G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAAGAGGEPQKQLLSIIRDFAAEKSHGGFSRDPLAASELLAERRVTDLKRRLDDLRAASDAAAAELEAAKRAREGAEQELRGGQVQVAIAAASIQALEATISHLQEEISKAGSDLDALKGKGDIERDEFISQMDQLNTKIRQFQQTVSLEFKRQKCSELPSGEGQHVRDMSEIEESEGILKDLIDKVNNADAELHVLEEEYKKDLLHHDEVRRELADTQAKRALMEAVMGETKQLQELGEYPFLGFVQKFSNSLHLVLFPVQIHQAFCKRRFFNGKQAGSRNGESARFACGGVAEAVRVPRLWSQQHGWVGGGGGGQLKMALAGWLLYIDKA >ORUFI06G09400.1 pep chromosome:OR_W1943:6:6941640:6942501:1 gene:ORUFI06G09400 transcript:ORUFI06G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPSGWPNCSTGWPNVASLLHWLKPRADGNDGGVGGAEGHGGLVPVAGDLGHALHAHGVLDPPGIGGLLGVAWVVMGKQVVVEGDEDAGEHVSGARLWRRGRDENEEVGRVDMGVLEAHEEGDELGELVAEEGRGGRGGRGGGATMEGSRRGRGCSRRAPRCARPGGGGIRGEQDGLLEVIHGGGGGGGGIWAGAEGGKEEKGRGGRVGGPPPPRGTASPPCSHPCSCSCSGKGGENLEEQRVEADTRVPLPYTQNRDDEWKGCWSK >ORUFI06G09410.1 pep chromosome:OR_W1943:6:6952980:6957013:1 gene:ORUFI06G09410 transcript:ORUFI06G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAPKAEPMKQRVNRCLLRLSDRDTEAMAAAELDGIARGLEADELPAFLAAVSDARPTDRTPLRRHSLRLLALLAAAHPRDAVAPLVPRLVAAALRRVRDPDSSVRAALVDAARAAAAAAAAADAALAPLVGALLHEQDQCAQLASALAAAAAVEASAPSADLAAYLQALLPRLLKLLRSSAFKAKPALISLIGAASAASGGGAAATAVPSLRDALTGEDWAARKAAAEALALLALEHGDNLVEQKPSCIAVFEAKRFDKVKIVRESMNRMIEAWKEIPDMDEEVCSSDVPPSPQSQTRSSSTDSASDGRYPADSLGSNSVQSVRRRNLSPTKKSPPREALHNVSNRRTSSSSIGNKKNSPPSRHNSGQAKNFECKVNVTDAPDATPIKTVTEEKLLKDGNVRARLEARRVLFQKNGEERYNKVPGLKSGSRVVPYNGDDDSEEIAESEDVHEEFQSGHKEEDLSKIRMQLVQIENQQTSLLNLLQKFMGSSQNGIRSLETRVNGLEMALDEISRDLAASSGRMPSSEPDMNCCILSPKFWRRHDGSRYSSKYSISDIANYSEESRTSYKWERQKFGVQGVVTNPLAEPNASFAGNTVVAQEARRQNSAQYKSRMG >ORUFI06G09420.1 pep chromosome:OR_W1943:6:6965509:6966122:1 gene:ORUFI06G09420 transcript:ORUFI06G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSDGSSSSSRRCSASPVSYRVGPLNYQPAVMCRCCCPAKATWWISWSIDNPGRRYYKCQNAREGGCDFWVWCDGPTTSFIKELLNDLRDAVTGLRRENEHLRRENKDLQRDAEENRVKRVEQRKTEEIRILKTRNQKLEKERNVVVVFMLSGMFVLFVLLFGKN >ORUFI06G09430.1 pep chromosome:OR_W1943:6:6966715:6970072:-1 gene:ORUFI06G09430 transcript:ORUFI06G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLDMLVVRFHLKGVFVLDGKEKKYCGGSEALSYIDRDKVSLPELFGHLRDHCNVLAGTLLHWLFPRKDLHTGLRALSNDQACKVMCDCIGELEVAEPLEGMSAWPQDDREPLNAPGYIKMPDWPKTARRREMHEPPKPTKMSRFGSVMRCTRCHQVGHNKSSCAKNNAPAAGTSSAQPMETQSQQMVLSNTPGSSAQSKKRKAATVTTTSTTIQSRSKKSKNKAPNETQELVRVNASAKVSTEHGGSARVDLHAIVPHSQGSTTASVKVTSGRAFVLVSAQEPSNSKAKKKSGGALLLMPPWQSDKL >ORUFI06G09440.1 pep chromosome:OR_W1943:6:6975994:6978819:-1 gene:ORUFI06G09440 transcript:ORUFI06G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLNAKLYHRYTALKKRKLLDEGLDQKRAADINELRQAMKDWVAELQSENERLVAKLTQKEQQLVEAQTLLLDETRKTKELNSEILKLQCLLAEKNDANHIATGSPDTTAEMTIENQTPISPAKKTPKSNSRERNIRSIEKAIVPRNGFQEEGRDLDSCRRHMSISGSATEESSSTCMFHLLAESMVGMKFSVKNETEGFSLSVSHEASGYNFTLTWVDQPDGSEWSYQYSSLGTLDRIAMGWMKEDIKFSSTMCPVFFKQISHILRQC >ORUFI06G09440.2 pep chromosome:OR_W1943:6:6975994:6978777:-1 gene:ORUFI06G09440 transcript:ORUFI06G09440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLNAKLYHRYTALKKRKLLDEGLDQKRAADINELRQAMKDWVAELQSENERLVAKLTQKEQQLVEAQTLLLDETRKTKELNSEILKLQCLLAEKNDANHIATGSPDTTAEMTIENQTPISPAKKTPKSNSRERNIRSIEKAIVPRNGFQEEGRDLDSCRRHMSISGSATEESSSTCMFHLLAESMVGMKFSVKNETEGFSLSVSHEASGYNFTLTWVDQPDGSEWSYQYSSLGTLDRIAMGWMKEDIKFSSTMCPVFFKQISHILRQC >ORUFI06G09440.3 pep chromosome:OR_W1943:6:6975994:6978636:-1 gene:ORUFI06G09440 transcript:ORUFI06G09440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDWVAELQSENERLVAKLTQKEQQLVEAQTLLLDETRKTKELNSEILKLQCLLAEKNDANHIATGSPDTTAEMTIENQTPISPAKKTPKSNSRERNIRSIEKAIVPRNGFQEEGRDLDSCRRHMSISGSATEESSSTCMFHLLAESMVGMKFSVKNETEGFSLSVSHEASGYNFTLTWVDQPDGSEWSYQYSSLGTLDRIAMGWMKEDIKFSSTMCPVFFKQISHILRQC >ORUFI06G09450.1 pep chromosome:OR_W1943:6:6986054:6991570:-1 gene:ORUFI06G09450 transcript:ORUFI06G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFSGGGGGRSGALLPTTSKPKGHHHLRSKGLSSPAASRRRGAHSASSSASSSSRRRVLYVAAAAFAALFLLAFFRLGLPSSRPAATSPARLRPRLTRRPAFRRDSAAAEAAAAAVAARIGREAHVDITTKDLYDRIQFLDVDGGAWKQGWEVSYKSDEWDGEKLKVFVAPHSHNDPGWRLTVEEYYEKQSRHILDTIIESLSKDSRRKFLWEEMSYLERWWRDAPRKKQEAFTKLVRDGQLEIVSGGWVMNDEMMEGNMWLNDTIGVVPKNSWSIDPFGYSATMAYLLRRMGFHNMLIQRTHYELKKELAMNKNLEYLWRQNWDIDETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRSFSYESCPWRFDPVETNANNVQERAMKLLDQYRKKSTLYRTNTLLIPLGDDFRYVSTEEAEAQFRNYEKLFDYINSNPHLKAEVKFGTLEDYFSTLRDEAERINYTRPGELVSSELPGFPTLSGDFFTYADRNQDYWSGYYVSRPYFKAVDRVLEQTLRASEILSSFVLGYCQKLQCVKLPISFSHKLTAARRNLALFQHHDGVTGTAKDHVVVDYGTRMHTSLQDLQLFMSRAVEVLLGDIHDRSDPTLLSHFEPVQERSKYDVQPVYKVLNPHGGKAHSVVLFNPLEQTRDEIVMVVVSNPDVSVLNSNGSCLRSQISPEWQYVSGEKVSTGQHRLYWRASVPALGLETYYVATGYDDCAKATPAVVKAFTTAGQFPCPEPYVCSKLEGKTVEMKNSYHSLSFDVRHGLLQTVTRNKDGEHTDVGEEIGMYRSHGSGAYLFKPIGEAQSIVEEGGYFILSEGPLVQEAHSLPKTQWHKSPISHSTRIYSCGDSIQDMLIEKEYHVELVGHVFNDKELIVRFKTDIDNQGVFYSDLNGFQMSRRQTYDKIPLQGNYYPMPSLAFLQDSLGNRFSVHSKQSLGAASLKNGWLEIMLDRRLTQDDGRGLGQGVVDNRPMNVIFHLLRESNVSALPKTHSLLTLQPSLLSHRVGAHLNYPMHAFVSKKAQEKSFKLAQQTFAPLTSPLPCDVHVVNLKAPQPLKFHHAEAVEARFALLLQRRGWDASFCRRGGLNCTTIGEEPVNLFYMFKDLAVLDVKATSLNLLHDDPEMLGYLEQIGDVAQEGNVLISPMDIQAYKLDLQPPSSQEE >ORUFI06G09460.1 pep chromosome:OR_W1943:6:6995490:7002131:1 gene:ORUFI06G09460 transcript:ORUFI06G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALLSPTATSRSPLPLLSTAPAAHRLHVLLPLSGRRRRLCLRSSPRPRVESATQEVGAASSGEWSGDAIRRRFLDFYAARGHKILPSSSLVPDDPTVFLTIAGMLQFKPIFLGKEPRRVPCATTSQKCIRTNDIENVGRTSRHQTFFEMLGNFSFGDYFKKEAITWAWELTTKEFGLPPERLWISVFQDDDEAFSIWHNEVGVPKERIKRLGEDDNFWTSGATGPCGPCSEIYYDFYPERGSSDADLGDDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGMGLERMARILQKVPNNYETDLIFPIIEKAASMALVSYTTADDAMKTNLKIIGDHMRAVVYLISDGVIPSNIGRGYVVRRLIRRVVRTGRLIGIRGDGHGNSEGAFLPSLAEVAISLSTEIDPDVESRRKSILGELQREELRFVQTLERGEKLLDELLDEALSSAGNNGGKPCLSGKDVFLLYDTYGFPVEITAEIAGERGVIVDMKGFDMEMENQRKQSQAAHNVVKLSVGNETEIVKNRTPFYAESGGQVGDNGFLYVYGEEDAKQKAVIEINDVQKSLGNIFVHKGTIKQGSVEVGKEIDAAVDAKLRQGAKAHHTATHLLQSALKSIIGSETSQAGSLVAFDRLRFDFNFHRPLSEEELMKIESLVNQWVSSATHLETKVMDLQDAKNAGAIAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTAEIRGFKIISEQGIASGVRRIEAVAGDAFVEYVCARDNYMRCLCSSLKVKAEDVNGRVETILEELRTTRNEVSSLRSKIAVLKAASLANKATTIDNTRVVVENMGDVDADGLKSAAEYLVDTLEDPAAVILGSSPGDGKVSLVAAFSPGVVKMGIQAGKFVGGIAKLCGGGGGGKPNFAQAGGRKPENLPGALEKARDEIVAAISSKSS >ORUFI06G09460.2 pep chromosome:OR_W1943:6:6995490:7002131:1 gene:ORUFI06G09460 transcript:ORUFI06G09460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALLSPTATSRSPLPLLSTAPAAHRLHVLLPLSGRRRRLCLRSSPRPRVESATQEVGAASSGEWSGDAIRRRFLDFYAARGHKILPSSSLVPDDPTVFLTIAGMLQFKPIFLGKEPRRVPCATTSQKCIRTNDIENVGRTSRHQTFFEMLGNFSFGDYFKKEAITWAWELTTKEFGLPPERLWISVFQDDDEAFSIWHNEVGVPKERIKRLGEDDNFWTSGATGPCGPCSEIYYDFYPERGSSDADLGDDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGMGLERMARILQKVPNNYETDLIFPIIEKAASMALVSYTTADDAMKTNLKIIGDHMRAVVYLISDGVIPSNIGRGYVVRRLIRRVVRTGRLIGIRGDGHGNSEGAFLPSLAEVAISLSTEIDPDVESRRKSILGELQREELRFVQTLERGEKLLDELLDEALSSAGNNGGKPCLSGKDVFLLYDTYGFPVEITAEIAGERGVIVDMKGFDMEMENQRKQSQAAHNVVKLSVGNETEIVKSIPDTEFLGYDSLSATAVVKGLLVNGNSVNVVSEGSDVEIFLDRTPFYAESGGQVGDNGFLYVYGEEDAKQKAVIEINDVQKSLGNIFVHKGTIKQGSVEVGKEIDAAVDAKLRQGAKAHHTATHLLQSALKSIIGSETSQAGSLVAFDRLRFDFNFHRPLSEEELMKIESLVNQWVSSATHLETKVMDLQDAKNAGAIAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTAEIRGFKIISEQGIASGVRRIEAVAGDAFVEYVCARDNYMRCLCSSLKVKAEDVNGRVETILEELRTTRNEVSSLRSKIAVLKAASLANKATTIDNTRVVVENMGDVDADGLKSAAEYLVDTLEDPAAVILGSSPGDGKVSLVAAFSPGVVKMGIQAGKFVGGIAKLCGGGGGGKPNFAQAGGRKPENLPGALEKARDEIVAAISSKSS >ORUFI06G09470.1 pep chromosome:OR_W1943:6:7005329:7011229:1 gene:ORUFI06G09470 transcript:ORUFI06G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADAPPPPPEVAPPAPAPAPAPAPYQPPRLAVADGAGGGGGGGGKPCRHHAYSRKQKSLGLLCTNFVALYDREDVESVGLDDAARRLGVERRRIYDIVNVLESIGMLVRRAKNRYTWIGFGGVPAALAKLKEMSLRAVSSVASPSLDETSAANVSDDEDDDKLDDAEGDAESEKLSLSQSIDNPSDKPDAPPCKLRSEHRKEKSLGLLTQNFVKLFLTMEIETISLDEAAKRLLGEGHAANNMRTKVRRLYDIANVLSSLNLIEKTQQADSRKPAFRWLGQAKRNEGVTVALPPTKTLPNKRAFGTDLTNIDNKRGKLDSTMENRGKPTQDGGNLFNNLQRQLGQENRSDFVYGPFHPAVARKQEHGNRTVQEKERKSIQDWENLASSFRPQYQNPGLNDLFGHYMEAWRSWYSDLRRDRAS >ORUFI06G09480.1 pep chromosome:OR_W1943:6:7012511:7013849:-1 gene:ORUFI06G09480 transcript:ORUFI06G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRWVRPEVYPLFAAMGVAVGICGFQLFRNITGNPEVRVNKVGRAAGVLENHEEGRRYAEHGLRNYVRDKTPEIMPAINKFFTEPTK >ORUFI06G09490.1 pep chromosome:OR_W1943:6:7014774:7015796:-1 gene:ORUFI06G09490 transcript:ORUFI06G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIQDLFSETKQQQVKLGHRRQLNSRKNSDKFNTEERKGNRKSGGGGGGRSGHLRISPRRRLPVLASDRCDAPRHRPRRRLPGGLAAGRAAACRCLPPT >ORUFI06G09500.1 pep chromosome:OR_W1943:6:7017016:7018103:-1 gene:ORUFI06G09500 transcript:ORUFI06G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHAWLPFVPMPPQAPPSEQEEDSPLENSGSMKDEMIHLYLNNSTMALAIPREACLLGAPRCHGIGMLVGAFGICKEQEQVREAILEERLMLTAFLLTYSEPHAGKGVLGVQEDIDEYRRKLAAILYNSPSNKFRNHAQAISEEI >ORUFI06G09510.1 pep chromosome:OR_W1943:6:7018069:7018341:1 gene:ORUFI06G09510 transcript:ORUFI06G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKGSQACIAIGSRGCVLRLWAMDWGLSHAAAGAMAGGDGRGWSRAWGRRPTASKGIARRRKARRRRVARCWGLRWNSPEGVPTRVAAG >ORUFI06G09520.1 pep chromosome:OR_W1943:6:7021299:7022348:1 gene:ORUFI06G09520 transcript:ORUFI06G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVGNKKSKGTFCAFCHPSLLLLIVAIQFLMIYSPTLDQYMVMLTTDEFIPEPHLRCDFSDNKSDVYEMEGAIRILSRELEVFLVAPRLASISGRSGVNTTGLDANATRWKIQPYTHKGESRVMPSITEVTLRLVTVDEAPPCDERHDVPVIVYSNGGYCSN >ORUFI06G09530.1 pep chromosome:OR_W1943:6:7022704:7025256:1 gene:ORUFI06G09530 transcript:ORUFI06G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVLLRRLRGVTAAPRRAAAALPLTTSVRGVSDSTEPLTIETSVPYKSHIVDPPPREVATTARELATFFRDMSAMRRAEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAATTRADAIITAYRDHCAYLARGGDLAALFAELMGRRGGCSRGKGGSMHLYKKDANFYGGHGIVGAQVPLGCGLAFAQRYRKEAAVTFDLYGDGAANQGQLFEALNMAALWKLPVVLVCENNHYGMGTAEWRASKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKQHALENGPIILEMDTYRYHGHSMSDPGSTYRTRDEIAGIRQERDPIERVRKLLLAHDFATTQELKDMEKEIRKQVDTAIAKAKV >ORUFI06G09540.1 pep chromosome:OR_W1943:6:7027691:7028335:-1 gene:ORUFI06G09540 transcript:ORUFI06G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKRRRSAGVSLPATARTNAGISSFSEDQRHGDEQRRDLLVHLTSILTVQQLSTDIQGLDGLIASSDPIGFQVGSFAKSYLMQELGVPESRLWELAITDYSSTLQSGIVAAIVDELPYVELFLSINCQFRTVGQEFTKSGWGFAFHHDSPLAVDLSTAAEEEEGDVAAEEEEAGERGRCGGNPI >ORUFI06G09560.1 pep chromosome:OR_W1943:6:7039566:7044784:-1 gene:ORUFI06G09560 transcript:ORUFI06G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKTIVQKGMLGKPEPSEKTMGVSQRAKKRSIGDDHADDIEQPPPKRSRSKQESSRASPMKLIKLYPHMSGKQKRLIEGAGFHGLVDLKCSKLRPDLCSWLMEHFNPATNQLVFPGRGAIDANEESVKSVLEFVLNLLGINDGISPSLTSLGIQLEKLKLADDKHLRMWIIYAISSVLAPTTATTVSPRCYPSVVDAGNIKNLNWCKFVISVLQKATKAGKNTNSACLLYMMILYLDSLSFKNLNVPVEGYRATVWTNELINQAILADTSADGSFGALPEKDRIVIAVQNLCEGFSGLVTKFVRQISGLDFVDLRGSQPRKMRMNQKKLAQRTKQVQQDEDLDVTSSDDEDFVADEEEVEDTKEDEYTDEDGDSDDDEDEGEEDDDDEGEEDDDEDRSENDDDDGAKTGRSGEQADAATDVTGCKGDDTNEGIGSGGKDDDGVTECKGDDTNEGTASGGKGADDVIGKGKQVDEGIGFGDKEKHEEKQAPNAVAQNVPESEKQPVQNAEKYSFLTTTIDSHEVPNFNLGFDSSQEVVQTPKGQEAAGTSQGKEFPGIITNEDYGSFTTEDYEKVGREADEAIASKSATKSPVAEVISKEPIACEVEEETTVPHEYNKRVVKPAKFKRSPFIDYENKKQFVVSRVINEVYDDICKNGGRTKSRRNSQKIIDTGEYYIYLSDLANSVKPMGSLDNNTCELALIVLSTDIKDNSKRIFPARIGGYLLDSKLDRNELKKHFDQTRANRLDHKELELGNGNHKAGHYFVVCLNLKAERFEVYDSLRGEDDEALISAYHLVVASIKTMWDRFYMRSSKKTIQNYPLIFIDGPKQDNIQTYPCFVFANKNSRDCGFYMLKFVELWDGKQLPAFEPSDIPNIKKLLTHKMLSFQGNCVQWMQVLWGKEPDPTLKNQHISYSHIN >ORUFI06G09570.1 pep chromosome:OR_W1943:6:7049680:7050795:1 gene:ORUFI06G09570 transcript:ORUFI06G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARAAAAAAAVALLLAVCAQAAALPRFAEAPEYRNGEGCPAAATAAAGVCDAGLVHISMTLDAHYLRGSMAAVYSLLKHASCPESLFFHFLAEEEEVGGGGDLRRAVAASFPSLRFEIYAFRAEAVAGLISASVRAALESPLNYARNHLADLLPRCVPRAIYLDSDVLAVDDVRRLWETRLPAAAVVAAPEYCHANFSRYFTPAFWSDPGLGRRVFAGRRRPPCYFNTGVMVIDLRRWRAGNYRHRIERWMEIQKEKRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNVRGSCRPLHDGPVSLMHWSGKGKPWDRLDAGNPCPLDHTWKSYDLYVAGDDGAAASSPASGPALSSTSTTWPALVFSW >ORUFI06G09580.1 pep chromosome:OR_W1943:6:7060733:7063204:1 gene:ORUFI06G09580 transcript:ORUFI06G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLFPSPSLLLTLLASLQAFSSIVVSPSMAVRPTSIAIGAASIDSIISMVCELELEMWYFPISCESFEPSGCQLLKRPGRIRLHRQALLLFKQADLPSLLSSYIVQVVIHYFDKQSAEFINSAAGAITVSPTTVSYVNGN >ORUFI06G09590.1 pep chromosome:OR_W1943:6:7067777:7073716:-1 gene:ORUFI06G09590 transcript:ORUFI06G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAETTSRGTAATPGPEYRGQPRVPVAKPPPKSSSSFHVPAAADQTGKFATNPRGFAAKPATQHHPPSPPPPXGGDGAQASNAPAPTRLASVYSEVQTSRLKHALPLPSVLRSPFALADGPASSAAGNPGEIAKLFPNLFGQPSVSLVPSPEPASTRPLKVGVVLSGGQAPGGHNVICGIFDYLQEYAKGSVMYGFKGGPAGVMKCKYVELTADYVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTVNKLDLDGLVVIGGDDSNTNACLLAEYFRGKNMKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNVALIGEEVAAKKETLKSVTDYITDIVCKRAELGYNYGVILIPEGLIDFIPEVQKLIAELNEILAHDVVDEAGAWKSKLQPESRQLFDFLPKTIQEQLLLERDPHGNVQVAKIETEKMLIAMVETELEKRKAEGKYPAHFRGQSHFFGYEGRCGLPTIFDSNYCYALGYGSGALLQCGKTGLITSVGNLAAPVEEWTVGGTALTSLMDVERRHGKYKPVIKKAMVELDGAPFKKFASLRDEWSLKNHYISPGPIQFSGPGSNDANHTLMLELGAEA >ORUFI06G09600.1 pep chromosome:OR_W1943:6:7079509:7084378:-1 gene:ORUFI06G09600 transcript:ORUFI06G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASASTSSPFPFPTRRPPDDTLFYAVYPLPLPTALPPPDLHAALRSLHLSLSSHLAPFLASHLFHRDAFALTIPPPDHTAATSPCALCASPPVPHLHGALRFGDSLADEWLGVSLLFELTRAFPGLAARAWDSDGEFLLIEAAFALPRWLDPDTAPNRVFIFRGELHILPPSLFPATPSLDAALAAVHDDAVDTRAPDAVQAAIQRRIAGLPEKASENLHTARVIVPVPVAKVLKEEPCLIARAVEGFYDRDIDTMKHAARMEKFLKGPGGEGVEMVRTSVRMTRAMYAQLVQQNFQAPRGYPMPRREEGPEKWMEAELGMKIACGFEMMYQERRREGEEGKGSTWEVYRKSLEATGCFNGLLPGSKEYKRVMEDAMQYYKSSSLFSRTREILSAPVRRIDEILAMPYSAEEFEGSGLPPSDDDSWLYNGEDELAAELCARQQEMEEYETAKQYRKSQRKNVSGSSSSQSNEFNLGEITESMQEFVRKMSSFEGAEVPANRKDMESVDLDVNQFFKAMESVLGRSKDEPGNEAGFDGKSSSSDIDLEDDSDYGSDFGEESGEKGMDNAFMESYSDALNKELSMTTIEKSFARAPHPDTSNEGPSGAADTDGEMTPVDVDLNLVESFLNSYSSQQGLPGPASNLLGLMGVKVPPDGKKP >ORUFI06G09610.1 pep chromosome:OR_W1943:6:7085438:7090027:-1 gene:ORUFI06G09610 transcript:ORUFI06G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGAASMGRASATPAHGAHSRWPRAVARLRLAFRSPAPAASGGARWIGCFRPAPAPPAAVKEAKGKRPEVEKEPARGGGEDVWSAQAEAEVAQGGGFPEHLVVMVNGLVGSADDWKFAAEQFVRRMPEKVIVHRSQCNSATQTFDGVDLMGERLANEVLSVVEQRRGVKKISFVAHSLGGLVARYAIGRLYEPNNKTKSSSEKSRDEGERLEGFIAGLEPMNFITFASPHLGSSGNKQILPPAPFLMWPAFPGKKSFGNCTFACWENRKAFTMMMADAHSSFKWLMTHRLLVRDEKYPHIVHVDKGATNSNEADARSDLYDPEEEMIRGLTQVPWERVDVSFQKSSQRLVAHNTIQVKSYWLNSDGADVTVLIDNVIQLFRFHFKCF >ORUFI06G09610.2 pep chromosome:OR_W1943:6:7085593:7090027:-1 gene:ORUFI06G09610 transcript:ORUFI06G09610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGAASMGRASATPAHGAHSRWPRAVARLRLAFRSPAPAASGGARWIGCFRPAPAPPAAVKEAKGKRPEVEKEPARGGGEDVWSAQAEAEVAQGGGFPEHLVVMVNGLVGSADDWKFAAEQFVRRMPEKVIVHRSQCNSATQTFDGVDLMGERLANEVLSVVEQRRGVKKISFVAHSLGGLVARYAIGRLYEPNNKTKSSSEKSRDEGERLEGFIAGLEPMNFITFASPHLGSSGNKQILPPAPFLMWPAFPGKKSFGNCTFACWENRKAFTMMMADAHSSFKWLMTHRLLVRDEKYPHIVHVDKGATNSNEADARSDLYDPEEEMIRGLTQVPWERVDVSFQKSSQRLVAHNTIQVKSYWLNSDGADVINHMMDNFIV >ORUFI06G09620.1 pep chromosome:OR_W1943:6:7093702:7094258:-1 gene:ORUFI06G09620 transcript:ORUFI06G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALPHPHLNLLPEHEDSGNHSVGGGTGGVALHGDGILVAIHSTVNAQISGDIMELTARAAMVVHGAAMLMVRLHKKIHAPPSPVAAAALLAAESPRRTHRPSFPSLESRTRHCNTTNSPRAIVTAATVFIPAALTAGSGAVAPLFLRKREGRRRKWNEMDEKRDVSGTVPIL >ORUFI06G09630.1 pep chromosome:OR_W1943:6:7096701:7100838:-1 gene:ORUFI06G09630 transcript:ORUFI06G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTDAIHRLRSSLREPSSAPASASASATPPFPSVADAVAAFDSRVGVGAAGATPRRCGRCGAAGGLLRGEGSAVCAYCGCPRREGCGGVAAFRGSVAYRWLLGSLGLDGSEPVEFDNESTDSSKTKEALKNGMVLSDLLDLKLTFPPENKEASGSTENNEQSSAEHMLKLSGVNLDSFFAARMENTTTAAVPQKHTVVQEKQSTDSHGSSSLEMRATYLTGTKTSSQNTNQIEVTPAFANWDAGFQSASSESVTEDSKKSDLFNSASNVKASSFPAHVTTISPVVPSGNETYMRSTKLEDSKDLASASGMLVKDESNSGIFPENNIAEFTESSLSKSSAHSDQLPARGDTGVGIDEAFDDWQEFTGGNQGSLSNAGEHMEGPIESNPSEIKTVDTWPVSSMESSNNVTDNSVDDWQAFTSSSGQGGNSVKPIEGSAASQGGDVVKPVGQTASISFEHFSEANSVELWPVGNINELHNTKVVNETNDSFDDWQDFTTSGQGQGAPSNQVGGIIEVSRVTQKETGDDSWFTTDVKEEINKDLVNTTNAMLDDFQSFSGSDLAPQSSSFVSGEMMNPSFGQHEGTDTVQSWLGGSNNMGTNMATTQDFTTSGHQKENISIFERKTTSTSSEPAKETDPMDLWLTSNAQESNSSKDANRINDSSGGWQDFANFGQKESMKIPGVGHSAKDSSGAEPLDFWASSNSAELKNHEQINEDSDPFDDWQDFKNSHPLDTSLQVPSNSSFDNSSARMPDALEGLEFGSFAQSVPSQSQRDNKENSNQTNTVSSDQNLERMVGRQQTGDLGSLSTIWPTTSHDTQSVSKPESADANVERLLSQMHDLSFMLKDELSIPDKPVGHSKP >ORUFI06G09640.1 pep chromosome:OR_W1943:6:7102608:7104594:1 gene:ORUFI06G09640 transcript:ORUFI06G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPHSPPPPIPLDQAPPPSSPYRHRPEPDDGVSSTLLRPHLAEFRWTYLDLRTSCRAFHRELGGSAHEAPVLPTDGLPDAFPWIDHDAVSPVKNQLVLVVQRVRGATAKKASGVITDEVDIIVNSAANNTFDERYDVAMDINTVGPFRIMSFPQRAKLHGWQDTYVFTKAMGEMVINSMCFSVYPAQLILFYVIVSGWKDCLGYKLMFWKRFQVL >ORUFI06G09640.2 pep chromosome:OR_W1943:6:7102608:7104087:1 gene:ORUFI06G09640 transcript:ORUFI06G09640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPHSPPPPIPLDQAPPPSSPYRHRPEPDDGVSSTLLRPHLAEFRWTYLDLRTSCRAFHRELGGSAHEAPVLPTDGLPDAFPWIDHDAVSPVKNQLVLVVQRVRGATAKKASGVITDEVDIIVNSAANNTFDERYDVAMDINTVGPFRIMSFPQRAKLHGWQDTYVFTKAMGEMVINSMCFSVYPAQLILFYVIVSGSFYHDCLCM >ORUFI06G09650.1 pep chromosome:OR_W1943:6:7109248:7113392:1 gene:ORUFI06G09650 transcript:ORUFI06G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCPALPAPDQLPPFSLARLAISLLSNALAIRSARTHARTDAMDEALVGRLEAAVSRLEALNGAAGATARGGYGDQVDHASAHDPAILAFDELVAGAVGRVSAAAGKIGAEVAEVTRVLEKAFLVGKDLLVRTKQTQKPTVDSIAVFMGPLNETILEANSLADGTRSSHGNHLKAAAGSLAALAWIGYTGKGCGMPLPIAHVEESWQMAEFYSNKVLVEYKSKDPDHVEWAKALKELFVPSLRDYVKTFYPLGPVWQPPGSSTSKAPSAPCPPSASLFSSSAQSSQPKAGMSAVFAEISSGKSMTQGLRTVTADMKSKNRTDRTGVVASEGKEAHKKPSSSSTKLPSKLELQMGRKWIVEHHVGNKNLVIEDCDTKQSIYVFGCKDSVLQVKGKVNNITIDKCSKMGLLFKGVVAACEIVNCNSVEVQCEGSVPTISIDNTSGCQLYLSKESLETSITTAKSSEINALVPDANSDGDWAEHPLPQQFIHAFKDGQFTTLPACHSGG >ORUFI06G09660.1 pep chromosome:OR_W1943:6:7113339:7115402:-1 gene:ORUFI06G09660 transcript:ORUFI06G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPEQFVFRSRLPDIAIPDHLPLHDYVFERLADRRDRACLIDGATGETLSFGDVDALSRRVAAGLSSIGVCHGSTVMLLLPNSVEFAVAFLASSRLGAVTTTANPLHTPPEIAKQVAASGATVVVTEPAFVAKVSGLAGVTVVATGGGAERCASFAGLAAADGSALPEVAIDVANDAVALPYSSGTTGLPKGVMLSHRGLVTSVAQLVDGENPNLHLREDDVVLCVLPMFHVYSLHSILLCGMRAGAAIVVMKRFDTVKMLQLVERHGVTIAPLVPPIVVEMAKSDALDRHDLSSIRMVISGAAPMGKELQDIVHAKLPNAVLGQGYGMTEAGPVLSMCMAFAKEPTPVKSGACGTVVRNAELKIVDPDTGLSLPRNQPGEICIRGKQIMKGYLNNPEATEKTIDKDGWLHTGDIGFVDDDDEIFIVDRLKELIKYKGFQVAPAELEAMLIAHAAVADAAVVPMKDDSCGEIPVAFVVARDGSGITDDEIKQYVAKQVVFYKRLHKIFFVDAIPKAPSGKILRKDLRAKLAAGIPAC >ORUFI06G09660.2 pep chromosome:OR_W1943:6:7113339:7115402:-1 gene:ORUFI06G09660 transcript:ORUFI06G09660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPEQFVFRSRLPDIAIPDHLPLHDYVFERLADRRDRACLIDGATGETLSFGDVDALSRRVAAGLSSIGVCHGSTVMLLLPNSVEFAVAFLASSRLGAVTTTANPLHTPPEIAKQVAASGATVVVTEPAFVAKVSGLAGVTVVATGGGAERCASFAGLAAADGSALPEVAIDVANDAVALPYSSGTTGLPKGVMLSHRGLVTSVAQLVDGENPNLHLREDDVVLCVLPMFHVYSLHSILLCGMRAGAAIVVMKRFDTVKMLQLVERHGVTIAPLVPPIVVEMAKSDALDRHDLSSIRMVISGAAPMGKELQDIVHAKLPNAVLGQGYGMTEAGPVLSMCMAFAKEPTPVKSGACGTVVRNAELKIVDPDTGLSLPRNQPGEICIRGKQIMKGTHTAQIHSQFFEFTFIRKKTEQILTGSKLTRRRFLARCVCVRAGYLNNPEATEKTIDKDGWLHTGDIGFVDDDDEIFIVDRLKELIKYKGFQVAPAELEAMLIAHAAVADAAVVPMKDDSCGEIPVAFVVARDGSGITDDEIKQYVAKQVVFYKRLHKIFFVDAIPKAPSGKILRKDLRAKLAAGIPAC >ORUFI06G09670.1 pep chromosome:OR_W1943:6:7126731:7135140:1 gene:ORUFI06G09670 transcript:ORUFI06G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELAQLSEAMRQAASLLADDDPSDDSAPRRPSTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQRDPGLSSKSIVLQIDSKSQQVSASSLRHSLQDRLSKGASSGSSRGRVEGINLKLRTSTAPPLKLVDLPGIDQRAVDDSMINEYAGHNDAILLVVIPAMQAADVASSRALRLAKDIDADGTRTVGVISKVDQAEGDAKTIACVQALLSNKGPKNLPDIEWVALIGQSVAIASAQAAGSENSLETAWNAEAETLRSILTGAPKSKLGRIALVDTIAKQIRKRMKVRLPNLLSGLQGKSQMVQDELARLGESMVQSAEGTRAVALELCREFEDKFLAHITSGEGSGWKVVASFEGKFPERIKQLPLDRHFDLSNVKRIVLEADGYQPYLISPEKGLRSLIKIVLDMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVIAIASNALDSFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNRSSKKPQDADQPMGKRASSPQTGSEKDTKDKSAKDKDKDKSGQQDKDAKEGSSVHVAGSSGEITAGYLLKKSAKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNLEEVEEEEPPKSLKDSKKANGPEKGPSLVFKITNRVAYKTVLKAHSAVVLKAESTADKVEWVNKIRAVIQSKGGSFKGPNTDGGSMRQSNSDGALDTMARRPADPEEELRWMSHEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISGQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSVHDNRAASYANDISEAESPRTPNRPGEDWRSAFDSASNGPSSGSESRSRSADGRRGRYENGDVTSGANSGSRRTPNRLPPAPPKY >ORUFI06G09680.1 pep chromosome:OR_W1943:6:7136553:7139621:-1 gene:ORUFI06G09680 transcript:ORUFI06G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRRVGDVVAVALLLGAAAAAAAAAARHDYEEALRKSLLYFEAQRSGRLPHGQRVAWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLLEYGADVAAAGELAHALDAIKWGTDYFIKAHTKPHELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRRRPGSDVAGETAAAMAAASIVFRQSNPHYSHLLLHHAQQLFEFADTYRGKYDSSIAEVKSYYASVSGYHDELLWAALWLHRATGRAAYLDYAVDNADEFGGTGWAITEFSWDVKYAGVQILAARLLMRGEHEERHRGTLERYREKAEHYVCACMGRNAAGGADANVERSPGGMLYVRQWNNMQYVTNAAFLLSAYSDYLAGAGDGDGDGGGGVATCVGGGGAGAGEVFAAAREQVDYVLGSNPRGMSYLVGYGERFPARVHHRAASIVPYKDSKEFIGCAQGFDDWFGRRGANPNVVVGAIVGGPDRRDRFRDDRENYMQTEACTYNTAPMVGMFAMLNRLSRQESPSTTTTTTATTSSPEMGLSVNR >ORUFI06G09690.1 pep chromosome:OR_W1943:6:7159841:7162494:-1 gene:ORUFI06G09690 transcript:ORUFI06G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAFCRGAAVAHGVVSPGVVRVCSIVVVLYTLGQVLAVERMQEHAMREGWRPVHVEEYVAHERVKPGRPGCHAYVRVKLAKWVRGRCVAVGAIGSAAFVAETPLFIFNLQPFLCADSNSDHLDCHGGDAFGVALVGTDVAGTTHAALYSSATDAWSGPASIDHHPDAFVQARRPSVLVGNALYFLCDNNTSIVEFDMATMTLSVIPSPPLPEDVHGALLMTAEGGGLGFAAVLERSNLHLWSKSMDQWEHLEDVRDLKTLLPRGSISMMNNVLIGFADGGVRVVVVRSYHGPFIVELGSTGPARVALRRSGIYAVFPYTSFCTPGDTSSY >ORUFI06G09700.1 pep chromosome:OR_W1943:6:7162511:7162996:-1 gene:ORUFI06G09700 transcript:ORUFI06G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPQKPERRGNSLTDLNDDVLSEIFFRIPPGDPGVLVRLSVVCKSWRRLLTDRDFLRGYRAFHRAPPILGFFCAEFGRTTFVPTTAFRPIIPSADWLLCDSRHGRALFDAYGLPVRLLVSDPMTGAERLLDAPERWRNIHWSATQWSAAVLCAADVCDC >ORUFI06G09710.1 pep chromosome:OR_W1943:6:7164504:7176952:1 gene:ORUFI06G09710 transcript:ORUFI06G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRRVARVQPTTQLHRPPRRPPPGPPPPPAPGRRPSRERRRRRRRRRDGAREGEGAPCPQSPELQYPMDSLQKSHDLCLKRKLVDDCLSKDFKYRRVEEDNVSSNVESRPLPGSPSQSCCIQPNLAKDCVNYLKSGLPSRIAFYKQGAWCDFPQKIMESLVEGFKADKSSAVVVMDDQPLLVDFLSMTMVNLKTRKQRSVSWLDGTGKWFFPSAFFDEGVDESRKLNMGSEGSGQGLTEGMVPKAPNELVKQAVVETSSPVLQNSCIPDILRKKIVPVERGSESFQFVQNLFLSGMGSFASPKNLLHIHRYSAEDVTAQCRLESFARQMRLTRKKIGYADVRYGWLGSRKQDIVGVLINGFISTGQTSHSSDMRTGVYLSPENRAFTSVGLCDVDEKGVQYMLLCRAILGNMGTIKPGSQDEFLSIYDSGVDNCSNPSYYVIWPSKLGTHISLEYLISFRLTPEIQDYLLHLKGLWLCPPPKEVEVDLSTLQPVVCESDNGPTSPWIPFRVLFGTIQDSISSLARELLFHHYQELKENKITREEMVKKIIIIVGEQLLLDSLTKLNYNPSEWYKSCSEVNCHPLSIKLDPISNSRININSASGRASNDSQAPSVQPQKCGPVDSTVVSMALKREQFSIPSMCSESFSSHCTKSQDSVVRMRPDDTLVRRALISDSVNGCDSVGPTVESHCHSLLSQNFDSEGHASHVVSMFGNSGARLHSSAPCMTTEAQVFVAPSRAYENSSSLNAEGSDAVISSIAPQVHAPSIPPQNCPRTSVAPHLCLPRSMAPHLRVLRKIYKVHSTNMPEAHYSSAATMVPVICKPPLFGITQKGHGVHTSSMMCTTPNVVLHGPDHPAKLADTERNAPSLINGALRREVQVQRPNQGVDASSIITQAADTLVALSAHESLSKKINLGRTGRIRFDSMPTSAGTRKASTGMVLPMSRATRVAGRLMPEISLLRRGRKSAARGGDDAEVEVSVPANFVCPISLEMMRDPVTAPTGITYDRESVEGWLARGHDTCPVTGRPVRLADLVPNHATRRMIQDWCVANRARGVERVPTPRVPVGEDDAEEVVAGVSAAARRGDAAACGAAAATARALGRESERNRRCLAGAGAAHALSSAFGLLAGEEPVVEGAVAGALGEILAALTVFFPLDEECRSCIASPPSLKSLASLLSHGGELAARVSAAVVLRELASSGDRHALEAIARAHGMCDALVGLVTSPVSSQATKAALVTAYYLVSSGDRAAARFAELGVVPAAVELLVRSSSCVLKDM >ORUFI06G09710.2 pep chromosome:OR_W1943:6:7164504:7176952:1 gene:ORUFI06G09710 transcript:ORUFI06G09710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRRVARVQPTTQLHRPPRRPPPGPPPPPAPGRRPSRERRRRRRRRRDGAREGEGAPCPQSPELQYPMDSLQKSHDLCLKRKLVDDCLSKDFKYRRVEEDNVSSNVESRPLPGSPSQSCCIQPNLAKDCVNYLKSGLPSRIAFYKQGAWCDFPQKIMESLVEGFKADKSSAVVVMDDQPLLVDFLSMTMVNLKTRKQRSVSWLDGTGKWFFPSAFFDEGVDESRKLNMGSEGSGQGLTEGMVPKAPNELVKQAVVETSSPVLQNSCIPDILRKKIVPVERGSESFQFVQNLFLSGMGSFASPKNLLHIHRYSAEDVTAQCRLESFARQMRLTRKKIGYADVRYGWLGSRKQDIVGVLINGFISTGQTSHSSDMRTGVYLSPENRAFTSVGLCDVDEKGVQYMLLCRAILGNMGTIKPGSQDEFLSIYDSGVDNCSNPSYYVIWPSKLGTHISLEYLISFRLTPEIQDYLLHLKGLWLCPPPKEVEVDLSTLQPPSEWYKSCSEVNCHPLSIKLDPISNSRININSASGRASNDSQAPSVQPQKCGPVDSTVVSMALKREQFSIPRHASHVVSMFGNSGARLHSSAPCMTTEAQVFVAPSRAYENSSSLNAEGSDAVISSIAPQVHAPSIPPQNCPRTSVAPHLCLPRSMAPHLRVLRKIYKVHSTNMPEAHYSSAATMVPVICKPPLFGITQKGHGVHTSSMMCTTPNVVLHGPDHPAKLADTERNAPSLINGALRREVQVQRPNQGVDASSIITQAADTLVALSAHESLSKKINLGRTGRIRFDSMPTSAGTRKASTGMVLPMSRATRVAGRLMPEISLLRRGRKSAARGGDDAEVEVSVPANFVCPISLEMMRDPVTAPTGITYDRESVEGWLARGHDTCPVTGRPVRLADLVPNHATRRMIQDWCVANRARGVERVPTPRVPVGEDDAEEVVAGVSAAARRGDAAACGAAAATARALGRESERNRRCLAGAGAAHALSSAFGLLAGEEPVVEGAVAGALGEILAALTVFFPLDEECRSCIASPPSLKSLASLLSHGGELAARVSAAVVLRELASSGDRHALEAIARAHGMCDALVGLVTSPVSSQATKAALVTAYYLVSSGDRAAARFAELGVVPAAVELLVRSSSCVLKDM >ORUFI06G09710.3 pep chromosome:OR_W1943:6:7164504:7176952:1 gene:ORUFI06G09710 transcript:ORUFI06G09710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRRVARVQPTTQLHRPPRRPPPGPPPPPAPGRRPSRERRRRRRRRRDGAREGEGAPCPQSPELQYPMDSLQKSHDLCLKRKLVDDCLSKDFKYRRVEEDNVSSNVESRPLPGSPSQSCCIQPNLAKDCVNYLKSGLPSRIAFYKQGAWCDFPQKIMESLVEGFKADKSSAVVVMDDQPLLVDFLSMTMVNLKTRKQRSVSWLDGTGKWFFPSAFFDEGVDESRKLNMGSEGSGQGLTEGMVPKAPNELVKQAVVETSSPVLQNSCIPDILRKKIVPVERGSESFQFVQNLFLSGMGSFASPKNLLHIHRYSAEDVTAQCRLESFARQMRLTRKKIGYADVRYGWLGSRKQDIVGVLINGFISTGQTSHSSDMRTGVYLSPENRAFTSVGLCDVDEKGVQYMLLCRAILGNMGTIKPGSQDEFLSIYDSGVDNCSNPSYYVIWPSKLGTHISLEYLISFRLTPEIQDYLLHLKGLWLCPPPKEVEVDLSTLQPVVCESDNGPTSPWIPFRVLFGTIQDSISSLARELLFHHYQELKENKITREEMVKKIIIIVGEQLLLDSLTKLNYNPSEWYKSCSEVNCHPLSIKLDPISNSRININSASGRASNDSQAPSVQPQKCGPVDSTVVSMALKREQFSIPSMCSESFSSHCTKSQDSVVRMRPDDTLVRRALISDSVNGCDSVGPTVESHCHSLLSQNFDSEGHASHVVSMFGNSGARLHSSAPCMTTEAQVFVAPSRAYENSSSLNAEGSDAVISSIAPQVHAPSIPPQNCPRTSVAPHLCLPRSMAPHLRVLRKIYKVHSTNMPEAHYSSAATMVPVICKPPLFGITQKGHGVHTSSMMCTTPNVVLHGPDHPAKLADTERNAPSLINGALRREVQVQRPNQGVDASSIITQAADTLVALSAHESLSKKINLGRTGRIRFDSMPTSAGTRKASTGPIEFLCFEGHVSARQDGTKFKR >ORUFI06G09710.4 pep chromosome:OR_W1943:6:7164504:7176952:1 gene:ORUFI06G09710 transcript:ORUFI06G09710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRRVARVQPTTQLHRPPRRPPPGPPPPPAPGRRPSRERRRRRRRRRDGAREGEGAPCPQSPELQYPMDSLQKSHDLCLKRKLVDDCLSKDFKYRRVEEDNVSSNVESRPLPGSPSQSCCIQPNLAKDCVNYLKSGLPSRIAFYKQGAWCDFPQKIMESLVEGFKADKSSAVVVMDDQPLLVDFLSMTMVNLKTRKQRSVSWLDGTGKWFFPSAFFDEGVDESRKLNMGSEGSGQGLTEGMVPKAPNELVKQAVVETSSPVLQNSCIPDILRKKIVPVERGSESFQFVQNLFLSGMGSFASPKNLLHIHRYSAEDVTAQCRLESFARQMRLTRKKIGYADVRYGWLGSRKQDIVGVLINGFISTGQTSHSSDMRTGVYLSPENRAFTSVGLCDVDEKGVQYMLLCRAILGNMGTIKPGSQDEFLSIYDSGVDNCSNPSYYVIWPSKLGTHISLEYLISFRLTPEIQDYLLHLKGLWLCPPPKEVEVDLSTLQPPSEWYKSCSEVNCHPLSIKLDPISNSRININSASGRASNDSQAPSVQPQKCGPVDSTVVSMALKREQFSIPSMCSESFSSHCTKSQDSVVRMRPDDTLVRRALISDSVNGCDSVGPTVESHCHSLLSQNFDSEGHASHVVSMFGNSGARLHSSAPCMTTEAQVFVAPSRAYENSSSLNAEGSDAVISSIAPQVHAPSIPPQNCPRTSVAPHLCLPRSMAPHLRVLRKIYKVHSTNMPEAHYSSAATMVPVICKPPLFGITQKGHGVHTSSMMCTTPNVVLHGPDHPAKLADTERNAPSLINGALRREVQVQRPNQGVDASSIITQAADTLVALSAHESLSKKINLGRTGRIRFDSMPTSAGTRKASTGMVLPMSRATRVAGRLMPEISLLRRGRKSAARGGDDAEVEVSVPANFVCPISLEMMRDPVTAPTGITYDRESVEGWLARGHDTCPVTGRPVRLADLVPNHATRRMIQDWCVANRARGVERVPTPRVPVGEDDAEEVVAGVSAAARRGDAAACGAAAATARALGRESERNRRCLAGAGAAHALSSAFGLLAGEEPVVEGAVAGALGEILAALTVFFPLDEECRSCIASPPSLKSLASLLSHGGELAARVSAAVVLRELASSGDRHALEAIARAHGMCDALVGLVTSPVSSQATKAALVTAYYLVSSGDRAAARFAELGVVPAAVELLVRSSSCVLKDM >ORUFI06G09720.1 pep chromosome:OR_W1943:6:7189062:7189343:-1 gene:ORUFI06G09720 transcript:ORUFI06G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAAAAMLMLSLLLLGSSRSWPPVAAAARPLLQGDGGEVVAPPAGGGVGVLVLLSSSPSLRHWLPVLEMKQGASCQTNDPNNVNCPPKPPK >ORUFI06G09730.1 pep chromosome:OR_W1943:6:7196450:7196692:-1 gene:ORUFI06G09730 transcript:ORUFI06G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMRQPRIVVLVILAAIMALLVASSSARPLGGGSGGSGGVVSGESILQLLRRVYLQQLGSGASCGTNSSNGGCPPPSGS >ORUFI06G09740.1 pep chromosome:OR_W1943:6:7203577:7212067:1 gene:ORUFI06G09740 transcript:ORUFI06G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFNPATNQLVFPGRGAIDVNEESVKSVLGIPMGDKDVSYDMESEATEFVLNLLGINDGISPSLTSLGIQLEKLKLADDKHLRMWIIYAISSVLAPTTATTVSPRCYPSVVDAGNIKNLNWCKFVISILQKAAKAGKNTNSACLLYMMILYLDSLSFKNLNVPVEGYRATVWTNELINQTILADTSADGSFGALPENDRIVIAVQNLCEGFSGLVTKFVRQISGLDFVDPRGSQPRKMRMNRKKYAQRPKRVQQDEDLDVTSSDDEDFVADEEVEDTEEDEYTDEDGDSDDDEDEGEEDDNDEGEEDDDEDRSENDDDDGAETGRSGEQADAATNVIGCKGDDTNEGIGSGGKDVDDVTGCKGDDTNEGIGSGGKGADDVIGKGKQVDEDIGFGDKEKHEEKQAPNAVAQNVPESEKQPVQKAEKYPFLTTTIDSHEVPNFNLGFDSSQEVVQTPKGQEAVGTSRGKEFTGIITNEDYGSFTTEDYEKEPIACEVEEETPVPHEYNKRVVKPAKFKRSPFIDYENKKQFMLYDDICKNGGRTKLRRNSRKIIDTGEYYIYLGDLANSVKPMGSLDNNTCELALIVLSADIKDNSKRIFPAIIGLHRNELKKHFDQTRANRLDHKELKLGNGNDKAGHYFMVCLNLKAERFEVYDSLRGEDDEELISASHLVVASIKTMWDSQTYPCFVFANKNSRDCGFYMLKFVELWDGKQLPAFEPSDIPNIKKLLTHKMLSFQGNCVQWMQVLWGKEPDPTLKH >ORUFI06G09740.2 pep chromosome:OR_W1943:6:7203577:7212067:1 gene:ORUFI06G09740 transcript:ORUFI06G09740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFNPATNQLVFPGRGAIDVNEESVKSVLGIPMGDKDVSYDMESEATEFVLNLLGINDGISPSLTSLGIQLEKLKLADDKHLRMWIIYAISSVLAPTTATTVSPRCYPSVVDAGNIKNLNWCKFVISILQKAAKAGKNTNSACLLYMMILYLDSLSFKNLNVPVEGYRATVWTNELINQTILADTSADGSFGALPENDRIVIAVQNLCEGFSGLVTKFVRQISGLDFVDPRGSQPRKMRMNRKKYAQRPKRVQQDEDLDVTSSDDEDFVADEEVEDTEEDEYTDEDGDSDDDEDEGEEDDNDEGEEDDDEDRSENDDDDGAETGRSGEQADAATNVIGCKGDDTNEGIGSGGKDVDDVTGCKGDDTNEGIGSGGKGADDVIGKGKQVDEDIGFGDKEKHEEKQAPNAVAQNVPESEKQPVQKAEKYPFLTTTIDSHEVPNFNLGFDSSQEVVQTPKGQEAVGTSRGKEFTGIITNEDYGSFTTEDYEKEPIACEVEEETPVPHEYNKRVVKPAKFKRSPFIDYENKKQFMLYDDICKNGGRTKLRRNSRKIIDTGEYYIYLGDLANSVKPMGSLDNNTCELALIVLSADIKDNSKRIFPAIIGLHRNELKKHFDQTRANRLDHKELKLGNGNDKAGHYFMVCLNLKAERFEVYDSLRGEDDEELISASHLVVASIKTMWDSRDCGFYMLKFVELWDGKQLPAFEPSDIPNIKKLLTHKMLSFQGNCVQWMQVLWGKEPDPTLKH >ORUFI06G09740.3 pep chromosome:OR_W1943:6:7201786:7203684:1 gene:ORUFI06G09740 transcript:ORUFI06G09740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGELLMFPPVALASAESAEPTAEARSRRFPLIAPAVAVGSVGCGGGMGKRKTIVQKGMLGKPEPSKKTRGVSQRAKKRSIGDDHADDTEQPPPKRSRSKQESSRASPMKLIKLYPHMTGE >ORUFI06G09750.1 pep chromosome:OR_W1943:6:7208111:7212209:-1 gene:ORUFI06G09750 transcript:ORUFI06G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSPPLQPIKSRHPATFNPHTAATAVKIRKKNRHLRRGEGGEANPRKISSIPELVSGENEPPVHHLLVDDEDKSETYFPINPTDTRMEIPTINLDDTFDYENMYTAGDAGSLQAHNVANDEMQVMASDFVGQVSDIPEHYILPRWTMVKEPELPPVTSIGEQMQLPPESLKLISYTNLCTKFTQIAKDASSNEKAYRMALQRMSSMTDDLAAMKQSRKKQKKAQPAPADPARGVSDIPSASTNPTLQINDPPPIIQKQGRPVSTRRKPGVHAKASKTSVRKGLASTGK >ORUFI06G09760.1 pep chromosome:OR_W1943:6:7215609:7215848:-1 gene:ORUFI06G09760 transcript:ORUFI06G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVIRRRLAAVVAAVLLIGVAFLAVSGEAARPLGGEPAAVSAGGVVQLLLRQMYLQRLAAGPSCGTNSSNGGCPHRP >ORUFI06G09770.1 pep chromosome:OR_W1943:6:7229844:7230119:-1 gene:ORUFI06G09770 transcript:ORUFI06G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTRMMIVRRWAPVIAVAAALVVLSVLGTAAEAARPLVDGGVDGWVAAAGGGGAAASIVETLRRLYLQQLGGPGASCGTNSPNNGCPP >ORUFI06G09780.1 pep chromosome:OR_W1943:6:7231449:7236240:-1 gene:ORUFI06G09780 transcript:ORUFI06G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARRLAPALRRRRRRSLCSSSSAGSPAAAAPTAASLLAELLSDPAPSASALALLRDTPSLSAPLYSLLAAPSHELTRASLALLLALPARHRVPPPSAPLLSAILSKLLARFSSPEPAARFLAASLAAGAPAPDVFAFNSILAALARVRDVPGMARIYALMQGCASVRPDVVTYGILVNGLCKAGRVGDALRVLDGMSRQDLDIRPDVVTLNTVVDGLCKSGRVQEALAFVEQRMSSVHGCPPNTVTYNCLIDAFCRVGNISMAYELVEKMENEGVPQNIVTLNTIVGGLCRAGRTGAALEFFREKRTVWPEGKGNAVTYSTLVGALLHTNNVGMAMELFHEKMSEGHSPDAIMYFTMISGLTQAGRLEDACSMASSMKEAGFKLDTKAYNILIAGFCRKKRLHEAYELLQEMKEVGIRPDVCTYNTLLSGSCKAGDFAAVDELLGKMIDDGCQPSVITFGTLVHGYCKVGKIDEALRILRSMDESGIHPNNVIYNTLIDFLCKRGDVDLAIELFDEMKEKSVPANVTTFNALLKGLRDKNMPEKAFELMDQMREERCFPDYVTVDVLMEWLPVIGETDRLKRFMQQGEHTASKRIGGENSEMEAVHPGNEAGMRRSTKMLKIVALPATCSPSPSQIVQPCSTGSIEIHGGQAEIELYKSQ >ORUFI06G09780.2 pep chromosome:OR_W1943:6:7231680:7236240:-1 gene:ORUFI06G09780 transcript:ORUFI06G09780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARRLAPALRRRRRRSLCSSSSAGSPAAAAPTAASLLAELLSDPAPSASALALLRDTPSLSAPLYSLLAAPSHELTRASLALLLALPARHRVPPPSAPLLSAILSKLLARFSSPEPAARFLAASLAAGAPAPDVFAFNSILAALARVRDVPGMARIYALMQGCASVRPDVVTYGILVNGLCKAGRVGDALRVLDGMSRQDLDIRPDVVTLNTVVDGLCKSGRVQEALAFVEQRMSSVHGCPPNTVTYNCLIDAFCRVGNISMAYELVEKMENEGVPQNIVTLNTIVGGLCRAGRTGAALEFFREKRTVWPEGKGNAVTYSTLVGALLHTNNVGMAMELFHEKMSEGHSPDAIMYFTMISGLTQAGRLEDACSMASSMKEAGFKLDTKAYNILIAGFCRKKRLHEAYELLQEMKEVGIRPDVCTYNTLLSGSCKAGDFAAVDELLGKMIDDGCQPSVITFGTLVHGYCKVGKIDEALRILRSMDESGIHPNNVIYNTLIDFLCKRGDVDLAIELFDEMKEKSVPANVTTFNALLKGLRDKNMPEKAFELMDQMREERCFPDYVTVDVLMEWLPVIGETDRLKRFMQQGEHTASKRIFIIYRQLDALNTAKIQVPIMEVKIRKWKPSILEMKLE >ORUFI06G09790.1 pep chromosome:OR_W1943:6:7238855:7240015:1 gene:ORUFI06G09790 transcript:ORUFI06G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPPPPQQTESRPPPELRDDVLAEIFSRIPPDDPAILVRVSAVCKPWRRLLSGRIFLSRYHALHLAPPILGFFCEEKALTGPFSSFVRTTSFRPIIPDRGGGGGDGWLIPCDSRHGRALFITQPPLQLLVLDPITGMERPLAAVLCAVDGCGHHDCHGRAYRVALVGTDVAGGATHAAVYSSETYAWSDPTSIDHHPNARVQARRPSVLVGNARLYFLCDNNTSIVEFDMATMTLSVIPSPPLAGPGHEEVCGALLVTAEGGGLGFAAILKQSRTLHQWSKEEATNQWKHLEHVRDLEQLLPYTVGVHLHDPFSRMSNLLIGFADGVIVVRTHDGVFTVELGSSRPPKKVSRRSAIVAAFPYLSFCTPGTSS >ORUFI06G09800.1 pep chromosome:OR_W1943:6:7251103:7254227:1 gene:ORUFI06G09800 transcript:ORUFI06G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPQQPEHRGNSLTDLNDDLLSEIFFHIPPGDPGVLVRLSVVCKSWRRLITDRDFLRGYRAIHRAPPILGFFCVEFGSAILVPTTAFSSIIPSLLVSDPMTGADRLLDLPERWRNIHWSEQQHWMWMNIRWSAAVLCAVDGCDHLDCHGSDPFRVALVGTDAAGTTHAALYSSETEAWSGPASIDHHPNAIVKARRPSVLVGNALYFLCNNNTSIVEFDMATMTLSVIPSPPLPEDVHGALLMTAEGGGLGFAAVLERSNLHLWSKPMDEWEHLQDVRDLKTLLPRGSISMMNNLLIGFADGGVRVVVVRTYHGPYVVELGSTEPARVVSRRIGINVVFPYTSFCTPARIDQKQGTMREEALTTPSTPTTLLCFACSSSLARFSFFLATSSSSAANISSSRCACSMAVPSPGIFSCSVASSAATISRTSCLDRAARAARRRERTGPMSSQASRSSASVAWKAMLPT >ORUFI06G09810.1 pep chromosome:OR_W1943:6:7253618:7254262:-1 gene:ORUFI06G09810 transcript:ORUFI06G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVNCRCSRVVYVGNIAFHATEAELRDACELIGPVRSLRLAALDPATNKRKGYAFVEYADDETARSALRNLHGHLLRGRELRVGLAARPSIRRRGGGGGGEREPVGMEDAVHAASLVVSGRPLASVTRYLAARSRQEVREMVAALEATEQLKIPGLGTAMEQAQRLLEMFAADEEEVARKKLKRASDEEHAKQSKVVGVDGVVKASSRIVPCF >ORUFI06G09820.1 pep chromosome:OR_W1943:6:7254718:7255377:1 gene:ORUFI06G09820 transcript:ORUFI06G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAASWRGDGGRDSGGEGRWPARSSVGRGRRGGVSSGRGSPDQSTRSRRRAAGGARRARAAMGGRRASSRSLRACRITRGAATGGCGRPPSSDLAPYSPDISTSCRRQAGADWAAMTATRPPHLPVSRRVKKAAGGRKAGGPRKKAVTRSVKARLQFPVGCIGRYLKNRRPVDLISAAPSPLAAPTLFPTASVTPSTLFPIASVTPPPPPHRPSSLRQ >ORUFI06G09830.1 pep chromosome:OR_W1943:6:7257676:7258194:-1 gene:ORUFI06G09830 transcript:ORUFI06G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELSSSSSSSSTTVASSPASSPPLGRCVLRFRLPPAWTPEEDAALERLAMEHGSRHWRRVAAQMPRRRRSPAQCRDRWRDHLARDVFHRPFTAADDAELARLCLRLDDDAGFAAGRRWKDVSRAVYGRSSCAVKRRWRELRRSDAFLGALWRPRTTTTAPPANAAITTTC >ORUFI06G09840.1 pep chromosome:OR_W1943:6:7259281:7262109:1 gene:ORUFI06G09840 transcript:ORUFI06G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSHLNREEEGARESRHSSWSSRAPSPLLSSSSRRTAMVKPAGNEGPKPFEWGRGGRWFRQARHDNLETKTTTAHGLARRQRAKLEFSGVTLPEQHARARVG >ORUFI06G09850.1 pep chromosome:OR_W1943:6:7264908:7271936:-1 gene:ORUFI06G09850 transcript:ORUFI06G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGIGSKRRVEDDDGENMPGRKKKEEEEEEDDDGEEEYEVDVVRDRIGSSRGSRLALFGSDLRLGRFRPRRRRVAPVDGDDGIFQDFVIDPDNKWYRLWTRFILVWAVYSSFFTPLEFGFFRGLPRNLFFLDIAGQIAFLIDIVLRFFVAYRDPDTYRMVHNPTSIALRYCKSSFIFDLLGCFPWDAIYKACGSKEEVRYLLWIRLTRAMKVTEFFRSMEKDIRINYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESMEGYTWIGSLQLGDYSYSHFREIDLTKRYMTSLYFAIVTMATVGYGDIHAVNVREMIFIMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGKDIREQIKGHLRLQYESSYTEASVLQDIPVSIRAKISQTLYKPYIESIPLFKGCSAEFIQQIVIRLQEEFFLPGEVILEQGSAVDQLYFVCHGALEGVGIGEDGQEETILMLEPESSFGEIAVLCNIPQPFTVRVCELCRLLRLDKQSFTNILEIFFVDGRRILSNLSESSEYGSRIKQLESDITFHIGKQEAELTLRVNNAAFYGDMHQLKSLIRAGADPKNTDYDGRSPLHLAACKGFEDVVQFLLHEGVDIDLSDKFGNTPLLEAVKQGHDRVATLLFSKGAKLSLENAGSHLCTAVARGDTDFVRRALAYGGDPNARDYDHRAPLHIAAAEGLYLMAKLLVDAGASVFATDRWGTTPLDEGRRCGSRTMVQLLEAAKSGELSRYPERGEEVRDKMHPRRCSVFPHHPWDGGERRREGVVVWIPHTIEGLVSSAQEKLGLAGSGEGLRLLGEDGARVLDVDMVHDGQKLYLVGGGGGDDGGTEARQ >ORUFI06G09860.1 pep chromosome:OR_W1943:6:7290953:7295422:1 gene:ORUFI06G09860 transcript:ORUFI06G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERARQWLLVAGAGAAVGALSTAAVMRILSRSKRREGYVRSLLESNGVASGGAGSSVGTRVVATSDLLDDEVVSEQLTRNIQFFGMESQKKVTGSFVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKALCLKKHFSMIYPECQIEAKVQLYDPSCEDEILSGQPDFVLDCIDNIDTKVALLAACVRRGLRVLSAMGAGARADPTRIRVADLRESSNDPLSRAVRYRLKKDHGIEGGIPVVFSLEKPKAKLLPFQASKEEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVITQLAKLDFQTEPIVNMDLDHYRILHHRLLEHEELIYGSAEQVLVDAEEVMYIVKELWRGRSARDQNLKDTGRKMWRSVNELMLVRWDKSKPAGVSNLILLKFNEADAHESTTLDQIKEEEPEFYNMVSRVLKQAEAEFAL >ORUFI06G09870.1 pep chromosome:OR_W1943:6:7300454:7309005:1 gene:ORUFI06G09870 transcript:ORUFI06G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSMEEMEIAGGGMAAAAVQRAVRCLGRGVDMAGDLRLKHCKDEGGCLVARSGEKAAAVAVPGVGVVAGVPADVKFGKGDRIRFKSDVLEFNKMSDLFNHRSSLPGKIPSGLFNSCFDFGSDSWASDAGDTRCLAFDGYFISLLDLRLDCRPLALAGHVVADVPAAWDPSAIASFIEKYGTHIIVGLSMGGQDVVYVKQDKSSPLSPSVIKEHLDKLGDQLFTGTCTLPPSHCKSRDHKFKVPEAFNVFDAQMTRQRIEGMTAPMSCKEGVTVIYSKRGGDTAASNHSEWLPTVPLMPDAINFKLVPITSLLKGVAGVGFLSHAINLYLRYKPPVAELRYFLDFQHHRLWAPVLSDLPLGLCSNRQGTNPALHFSLVGSKLHVIVPKLPITGMRLHLEGKKNNRLGIHLQHLSTTPTFIAGGWSGRPPAWRGSEAIADERYYEPVQRRMFAHVCTVPVKHDPRWLAAGDGGGGRPAAYVVSGAQLHVKAHESTSVLHLRLLYTELPGHSVVQSRWAHGGGGGGAARMSGVKGSFLSMSFASMAAAAAEKEQQKQAAARLNVDSGVFAGGPPAPVGAQRLLKFVETSQVTMGPQDCPGYWLGTGAKLDVGQGRASTRGGSRCTSSSPCLLRFLDMHDAWRSH >ORUFI06G09870.2 pep chromosome:OR_W1943:6:7300454:7309005:1 gene:ORUFI06G09870 transcript:ORUFI06G09870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSMEEMEIAGGGMAAAAVQRAVRCLGRGVDMAGDLRLKHCKDEGGCLVARSGEKAAAVAVPGVGVVAGVPADVKFGKGDRIRFKSDVLEFNKMSDLFNHRSSLPGKIPSGLFNSCFDFGSDSWASDAGDTRCLAFDGYFISLLDLRLDCRPLALAGHVVADVPAAWDPSAIASFIEKYGTHIIVGLSMGGQDVVYVKQDKSSPLSPSVIKEHLDKLGDQLFTGTCTLPPSHCKSRDHKFKVPEAFNVFDAQMTRQRIEGMTAPMSCKEGVTVIYSKRGGDTAASNHSEWLPTVPLMPDAINFKLVPITSLLKGVAGVGFLSHAINLYLRYKPPVAELRYFLDFQHHRLWAPVLSDLPLGLCSNRQGTNPALHFSLVIVPKLPITGMRLHLEGKKNNRLGIHLQHLSTTPTFIAGGWSGRPPAWRGSEAIADERYYEPVQRRMFAHVCTVPVKHDPRWLAAGDGGGGRPAAYVVSGAQLHVKAHESTSVLHLRLLYTELPGHSVVQSRWAHGGGGGGAARMSGVKGSFLSMSFASMAAAAAEKEQQKQAAARLNVDSGVFAGGPPAPVGAQRLLKFVETSQVTMGPQDCPGYWLGTGAKLDVGQGRASTRGGSRCTSSSPCLLRFLDMHDAWRSH >ORUFI06G09880.1 pep chromosome:OR_W1943:6:7307788:7308846:-1 gene:ORUFI06G09880 transcript:ORUFI06G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPTNLTNTISAVHLLKINGYSVTRALGCSEYISSRRLAAGGYDWEVLYYPRYYEHGVYWIALRLMFMSKECKHEVKAALKCQLVHEAQIYLPSGSKSVSSKYTGQRDCGPALLLVKQDDLPGSNYFIGDSFVVECTITVLREPQEAVTNVSPNVSNPCCDLQMHLGELLLSEKGADVTFVVAGESFLAHKIILAARSPVFMAEFFGPMKESSSQCVEIKDIEASVFKAMLHFIYTGTSPELDQQHVVSDSEQDITTMTQHLLVAADRYGLDRLKLICQDRLHDDINVETVATTLAFAEQHSCTQLKDRCIEFIISSRANLDAVMATEGYKLVIASCPSVLSTLLRAAVGR >ORUFI06G09890.1 pep chromosome:OR_W1943:6:7314617:7319368:-1 gene:ORUFI06G09890 transcript:ORUFI06G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVEEGNAVAPRGPARRRGTVRASLDADEFIALMHGSDPVRVELTRLENELRDKERELGEAQTEIRALRLSERAREKAVEELTDELEKMFEKLKLTESLLDSKNLEVKKINDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKQKEAALLDAERTVEIAMAKAAMVDDLQNKNQELMKQIEICHEENKILDKLQRQKVAEVKKLSLTVKELEEAVLRGGATANVVRDYQRQVQEVNDQKKTLECELARAKVTANRVAVVVANEWKDSNDKVMPVKQWLEERRFLQGEMQQLRDKLAVAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSSRLPTEGKSFSNGPSRRLSLGGADNMSKLSPNGLLARRSPSFHSRSSLSSSSSLVLKHAKGTSKSFDGGTRSLDRSKINGNGAHLLNRSTDAVRDCETNDSWKGNADEGTIENTNSNTDESNKETANNKSAEMVSGFLYDMLQKEVISLRKACHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVDKEQEVKARRLGSSKGTGSSQVLSGSRSSSRSGLTRNYQ >ORUFI06G09900.1 pep chromosome:OR_W1943:6:7337660:7338946:-1 gene:ORUFI06G09900 transcript:ORUFI06G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVASPPLLASFFLSPPHPTSAVAAACCSRRNTSCAHPPSPGGLEAAVAEVKAAPDPVPALISLQWFPCSIRRGGPPAIDYIDRRCFLQSISNVSSISMDRVIEASRGRQATNANAMLSRVDLLCEIFRSENLCVLVLICLHCFLNCLTVRRGWSEYVQQPVLPANLANVLVCFNVALAPGALMTTFLIHQGEMTRMVTIADKARLVNGVCGVGRREAVGDWRVQRLVGGGAHRQVPATAVVRSEPERDGRRRLPHILPCRAPRRLVDDRQ >ORUFI06G09910.1 pep chromosome:OR_W1943:6:7347330:7348145:1 gene:ORUFI06G09910 transcript:ORUFI06G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPIKKQQQLLPAAVVAPPPPPPAKTTLKTLLDAFADMEEEEEEKKQRLPPGAVAVSRTSSSSVASSVRRAKKPTTLLDAYEVDCIRRELEGLILRHNAAAAAKKEAAEAESDDAKSEHRRRHHHKTTSAAKNANPAAAPPRSPAKKAPSGGAGVRMLGRHAVAVCGVSVPVPVSIAAAAGGECDDAKSEHRRRHHHKTTSAAKNANPAAAPPRSPAKKAPSGGAGVRMLGRHAVAVCGVSVPVPVSVAGAAGGRRRRRGGGHRREVEKV >ORUFI06G09920.1 pep chromosome:OR_W1943:6:7352640:7355993:-1 gene:ORUFI06G09920 transcript:ORUFI06G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDRRRGGNGGGGGGPVAVPSSRAVWRPRSTAPDIPPPPRAAAPAPDRIGPILPSPNPASEDRPQQQQRRPRRRNHGGGGQRRGPPQERPSAAPPPPPPPQQQRQQHAAPAPTRAAAPAPARVAATKAAAGGDGAVPQLVQEIQDKLARGAVECMICYDMVRRSAPVWSCGSCFSIFHLPCIRKWARSPASAADASDPDSSWRCPGCQSVHAVPARELAYTCFCGRRREPPNDLFLTPHSCGEPCSKPLEKADPAVKADDAAATRCPHVCVLQCHPGPCPPCKAFAPDRLCPCGKQTIVRRCADRTTPVTCGQRCDRLLPCRRHRCEKVCHTGPCGDCNVLISARCFCGKKTETLLCGEMELKGNLSEKDGVFSCSEACSHMLSCGNHACQDICHPGPCGECELMPGKVTACHCGKTRLLEKRASCLDPIPTCDKVCDKKLPCGVHRCKVTCHEGDCPPCVVRVEQRCRCGSSGQMVECYKVLEEEFRCNKPCGRKKNCGRHRCSECCCPLSKPLARLEGGNWDPHLCQIPCGKKLRCGQHGCQLLCHSGHCPPCLETIFNDLTCACGRTSIPPPLPCGTPTPSCPHQCLVPQPCGHPATHQCHFGDCPPCVVPVMRECIGGHVVLRNIPCGSKDIRCNQPCGKNRQCGMHACNRSCHPSPCDPPPANGDASSSTGGRASCGQVCGAPRRECKHTCTAPCHPSSPCPDLRCEFPMTIACSCGRITATVPCGAGGTANGDNMFEVSIIQKLPIPLQPVESDGRRVPLGQRKLSCDEDCAKMERKRVLAEAFDITPPNLDALHFGENSNASDLLSDLFRREPKWVMAIEERCKFLVLGKTRGNSSGNLKVHVFCHMTKDKRDAIRVIADRWKLSVQAAGWEPKRFITIHPTPKSKAPARILGSKPGVSVAASHPFFDPLVDMDPRLVVAMLDLPRDADVSALVLRFGGECELVWLNDKNAVAVFNDPARAATALRRLDYGSAYQGAAVFLPSSSAQPGNVWVAGQKDGVAATKSSANPWKKATASEPDPSSGDWTGVLGQAPGSVWRRGGDTVAQVMGTSNRWNALESDAATSSRPVEESKPAPRTDAVSSAGPSTAPPVSKMQPEVEVDDWEEACE >ORUFI06G09930.1 pep chromosome:OR_W1943:6:7357379:7360202:-1 gene:ORUFI06G09930 transcript:ORUFI06G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAASSGGEDGRHHRSVYLRVRCACFAMTSVPPLPSSKTTANPNPPATIAFHAYATHRARLSRLLLDGCHDRPKMTYSRGLGRLPASTLRGCAFGHGGAIAALMLFDEMPDRASAVRHVRGDAYLLTKEGIDHWKTNSACVIVAVFYCFLKQLAEFSDTDQQTVRGQDARNNETEPILPRKRVVFSYGATEEQPESSMCSSEDTCSDNVCKICYDAPRSCFFIPCGHGFACYTCARRIAEDKNQACPICRRLIHRVRRLVEPLGKDFQ >ORUFI06G09930.2 pep chromosome:OR_W1943:6:7357625:7360202:-1 gene:ORUFI06G09930 transcript:ORUFI06G09930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAASSGGEDGRHHRSVYLRVRCACFAMTSVPPLPSSKTTANPNPPATIAFHAYATHRARLSRLLLDGCHDRPKMTYSRGLGRLPASTLRGCAFGHGGAIAALMLFDEMPDRASAVRHVRGDAYLLTKEGIDHWKTNSACVIVAVFYCFLKQLAEFSDTDQQTVRGQDARNNETEPILPRKRVVFSYGATEEQPESSMCSSEDTCSDNVCKICYDAPRSCFFIPCGHGFACYTCARRIAEDKNQACPICRRLIHRVRRLVEPLGSSCGE >ORUFI06G09940.1 pep chromosome:OR_W1943:6:7360360:7361118:-1 gene:ORUFI06G09940 transcript:ORUFI06G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLGNTSIDQRRAQTLRHLVVGEELGRRSTRRSSARRRQVNVASGAASHRVAAAWRRSDGEARGVATRGGASAGGSRRAVVVGEGDERVLVPEAPPAPGDEAGPGGGGGGGEAEEDEEEDVVGERAEAVLPSAADHRVVVVVTGGGGELHALGGGDLAVASLRARGPRGGHVAVDVDVVHGGGRRRAPWRRRVSEREECSETRRQDGRRAGDVHRSI >ORUFI06G09950.1 pep chromosome:OR_W1943:6:7364843:7365948:1 gene:ORUFI06G09950 transcript:ORUFI06G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGISFSPVGPVPFEDVDGELPASERPAPEGIDDGDDHLADMVSSLPSKMEVNLPLKLRLYRGFWLAEIHVPAAVALRRRFVPRPDDVIVASLPKCGTTWLIALTFATMARHVHHPPTSAPASASSHPLHRLNPHQCLPFLEGLFAPVLMPDVSFAETLESYRDDDGGAKIYGPFWDHILGYWHASTEVPDNVLFLRYEELLRDPAGNVRKMARFVGLPFSEAEEEAGTVEAIVELCSLDRMRGFEANRTGYVDAQRKIPRETLFRKGVVGDWVNHMTPEMARRVDDIVADKFSGTGLTFK >ORUFI06G09960.1 pep chromosome:OR_W1943:6:7365020:7368152:-1 gene:ORUFI06G09960 transcript:ORUFI06G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDASASAAVHAQSVASTAGDGASARAAKGRAFVSAVRSNVAAVAAPNPPPNLAGHLAAVRRQVCPAGYHLAVIKLSHLVGARCSSLVSGPSRVVRGPAHPPGPLRGRGRGMFSTRPPLRGRGRAKKSGSGGGGVLAQPVPDPPCCQPYPRDCDLGTHIAKNTGEEALEEREALVRVEAVERVAGGGGGGGGGRVVHVACHGGEREGDEPRGAALGEAGHDDVVGAGNEAPPQGHGRRDVDLRQPEAPVEA >ORUFI06G09970.1 pep chromosome:OR_W1943:6:7368514:7368768:-1 gene:ORUFI06G09970 transcript:ORUFI06G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRRAVVGEGERRKVRRQWGRGGLGAAALGTASLGAWRGGASLGGTEAGGGGWGRERGWLRWRLSGRRRLRPASATSIALVS >ORUFI06G09980.1 pep chromosome:OR_W1943:6:7371925:7372365:-1 gene:ORUFI06G09980 transcript:ORUFI06G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFFGGPFRRILYGRPFPPDWASASATAAMDWVETPTSHVLRINVPGLGKDDVKVQVEDGNVLTVRGAAPHAAAEKEREREKDVVWHVAERGRPEFAREVALPAEVRVEQIRASVDNGVLTVVVPKEPAPARPRTRPIAVSSKL >ORUFI06G09990.1 pep chromosome:OR_W1943:6:7377169:7379465:-1 gene:ORUFI06G09990 transcript:ORUFI06G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKPNSIVSFLVLLLFHVLILSHESSAAAAAAGGDSDHFRYDGFAGAPLDLDGMAMVEPDGKLMLTNVTSQMKGHAFHPAPLRFVAPPPKPNATAPAAARSFSTTFVFAIAAEYVTVSGNGLAFFVAPSKNLSAALPSQFLGLFNSENNGNASNRVFAVELDTIRNQEFGDINGNHVGVDVNGLASVASMPAGYYADDTGEFENLTLFSGAAMQVWVDYDGAAAAIDVTLAPVEVPRPRRPLLSVAVDLSPVVAAADAAAYVGLSSSTGPHKTRHYVLGWSFAMDGPAPPLDYAKLPKLPRASTKRRSMVLKVLVPVAAPLLALAVVVAVASVLLWRRRRRRHAEVREDWEVEFGPHRFAYKDLVRATRGFDGKRLLGVGGFGRVYRGVLPASGTEVAVKVVSLSHDAEQGMRQFVAEVASVGRLRHRNVVPLLGYCRRRGELLLVYDYMPNGSLDRWLHGQSAPPLGWAQRVRAIRGVAAGLLYLHEGWEQVVVHRDVKASNVLLDGEMDARLGDFGLARLYGRGAADPRTTRVVGTLGYLAPELAHTRRVTPATDVFAFGSFVLEVACGRRPIEHGGATGDDGDDGEFVLADWVLDRWHKGDIAGAADARLRGDYDHEEAALVLKLGLLCTHPAPAARPPMRLVVQVLDGDAPLPELAPTYRSFITLAIVQNADGDDSGAASCPSSSTRYSFLKSWEVANISLDFPINSRLGNFTTSFG >ORUFI06G10000.1 pep chromosome:OR_W1943:6:7387608:7390873:-1 gene:ORUFI06G10000 transcript:ORUFI06G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRLAAAAALLALCACATRARGADDYTAFVYAGCSQARYDAGTQYAADVDTALSALTNSAGYTAYANYTSPSAASSTGLVGVYQCRSDLPAAICGGCVRSAATKLASLCNSAAGAAVQLRACFVRYGNDSFLGRQDTTVLFKKCGGEGGGDTGVVAMRDAALGALVAAAAPAGDGSYRAGAAGYVQAMSQCVGDLGAKACTDCVSAASSQLKAGCGYASAGEVYLGKCYARFWSNAGTGDNNGGGVSGGGGGIGGGGNGINGGGGAVGGGNGYAYGFVPHTYSDHDESGKTLAIIIGLVAAVALVIVFLSFVRRAGGVGGKS >ORUFI06G10010.1 pep chromosome:OR_W1943:6:7395161:7396936:1 gene:ORUFI06G10010 transcript:ORUFI06G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSELLRPAFGEASPSLGRFVINPHSCSYRWWHMFLIMLVLYSAWASPFELSMEKTASIALVVTDLVVDVFFAIDIALSFFIAYRDTSTGLLITDRRKITMRYLKRPCFALDVASTIPLQIIYQLVTGKRQGLWGLLNLLRLWRLRRVNKLFARVEKDIRFNYLWTRLIKLLCVTLFALHFAACIYLWMAFNYKIKELTWIGSQIHSFEDRSVWFCYTCAVYWSITTLATVGYGDLHATNIGEMLFSIAFMLFNMGLTSYIIGNITNLVVRETSNTFKMRDMVQRVSEFGSMNRLPEAMREQMLASVQLRFRTDEQLQQEMLSELPKAVRSSVMKHMFKSAIESCYLFQGVSDSLIVQLVAEMKAEFFPPKANVILENETSTDCYIIISGEVEALTTLADGTEKHVKRIGPRGMAGEIGVMFSIPQPFTIRSMSLTHVVRISHIHLLQAVRPNTTDGYIVFSNFIQYLESLKVQTKDVAFVSDHLWNGNSMVLGRATEVAVDESKEAAHKMLPCKEPKRVVIHEQLPNATSTTLHPSPGKLVLLPDSMQELMKLSEKKFGKAVRGILTVEGAEVEDIEVIRDGDHLFFS >ORUFI06G10020.1 pep chromosome:OR_W1943:6:7397263:7407805:-1 gene:ORUFI06G10020 transcript:ORUFI06G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPGICDSIWEQFCEAMRAQRPSSAAAGNPVLALLFLWVLGWRHVTAEIDIGNMTALQKHVSFFDRNKDGIITPSETIEGIVAIGCDYAFARDFASPVHAGLGPKTSPKDAPLPHLSIYIKNIYKGMHGSDTGALDAKGRFVPAKFEEIFSKHAKNRPDALTSLEVKEMILANRDPDDPQSWAAPIQEWGLIYGLASDKNGYFHKDSVRGIYDGSVFVKLEEERASSQSTVCRSLSFRSTV >ORUFI06G10020.2 pep chromosome:OR_W1943:6:7397263:7422087:-1 gene:ORUFI06G10020 transcript:ORUFI06G10020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQRPSLAAAGNPVLALLFLWVLSWGHVTAEIDIANMTALQKHVSFFDRNKDGIITPSETIEGVVAIGCDFAFARDLAASVHAGLGPKTSPKDAPLPHLSIYINNIYRGMHRSDTGALDAKGRFVPAKFEEIFSKHAKNRPDALTSLEVKEMILANRDPDDPQSWAAPIQEWGLIYGLASDKNGYFHKDSVRGIYDGSVFVKLEEERASSQSTVCRSLSFRSTV >ORUFI06G10020.3 pep chromosome:OR_W1943:6:7397263:7422087:-1 gene:ORUFI06G10020 transcript:ORUFI06G10020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQRPSLAAAGNPVLALLFLWVLSWGHVTAEIDIANMTALQKHVSFFDRNKDGIITPSETIEGVVAIGCDFAFARDLAASVHAGLGPKTSPGKIHPVLFPHSNAQKDAPLPHLSIYINNIYRGMHRSDTGALDAKGRFVPAKFEEIFSKHAKNRPDALTSLEVKEMILANRDPDDPQSWAAPIQEWGLIYGLASDKNGYFHKDSVRGIYDGSVFVKLEEERASSQSTVCRSLSFRSTV >ORUFI06G10020.4 pep chromosome:OR_W1943:6:7397263:7400466:-1 gene:ORUFI06G10020 transcript:ORUFI06G10020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVEAGRRRRRGGGSDPPSPMWGGGWMRAIGRRGRLAVVPVGHISSTAIIELLMLFVPVIPFYRQYGIVAIGCDYAFARDFASPVHAGLGPKTSPVLFPHSNVQKDAPLPHLSIYIKNIYKGMHGSDTGALDAKGRFVPAKFEEIFSKHAKNRPDALTSLEVKEMILANRDPDDPQSWAAPIQEWGLIYGLASDKNGYFHKDSVRGIYDGSVFVKLEEERASSQSTVCRSLSFRSTV >ORUFI06G10020.5 pep chromosome:OR_W1943:6:7397263:7422087:-1 gene:ORUFI06G10020 transcript:ORUFI06G10020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQRPSLAAAGNPVLALLFLWVLSWGHVTAEIDIANMTALQKHVSFFDRNKDGIITPSETIEGIVAIGCDYAFARDFASPVHAGLGPKTSPKDAPLPHLSIYIKNIYKGMHGSDTGALDAKGRFVPAKFEEIFSKHAKNRPDALTSLEVKEMILANRDPDDPQSWAAPIQEWGLIYGLASDKNGYFHKDSVRGIYDGSVFVKLEEERASSQSTVCRSLSFRSTV >ORUFI06G10020.6 pep chromosome:OR_W1943:6:7408301:7422087:-1 gene:ORUFI06G10020 transcript:ORUFI06G10020.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQRPSLAAAGNPVLALLFLWVLSWGHVTAEIDIANMTALQKHVSFFDRNKDGIITPSETIEGVVAIGCDFAFARDLAASVHAGLGPKTSPKDAPLPHLSIYINNIYRGMHRSDTGALDAKGRAAAIKEWGLIYGLASDNNGYFHKDSVRGIYDGSVFVKLEKERESSQSTVCRSSHRLLWRRWSGFVSGHRVEARSIAGRRGEASSVTGDRGDDGDGSRARPRR >ORUFI06G10020.7 pep chromosome:OR_W1943:6:7408301:7422087:-1 gene:ORUFI06G10020 transcript:ORUFI06G10020.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQRPSLAAAGNPVLALLFLWVLSWGHVTAEIDIANMTALQKHVSFFDRNKDGIITPSETIEGVVAIGCDFAFARDLAASVHAGLGPKTSPGKIHPVLFPHSNAQKDAPLPHLSIYINNIYRGMHRSDTGALDAKGRAAAIKEWGLIYGLASDNNGYFHKDSVRGSAAAQHRLLWRRWSGFVSGHRVEARSIAGRRGEASSVTGDRGDDGDGSRARPRR >ORUFI06G10020.8 pep chromosome:OR_W1943:6:7400507:7407805:-1 gene:ORUFI06G10020 transcript:ORUFI06G10020.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPGICDSIWEQFCEAMRAQRPSSAAAGNPVLALLFLWVLGWRHVTAEIDIGNMTALQKHVSFFDRNKDGIITPSETIEGQWQQRWLRRAAMSAAAPKSGVGGGSVGSPPRRLYHFPSLPPSPLTMTSDDDGSLPPPPAPLLAASSTGHDARRRSLTSSLPPPPASLLAASAIGHDVQCRRLASSPPPSPASLLTASTTGHDARRRRLASSPLLPPAPLLAAGVLERADATMTTLRRRQRR >ORUFI06G10030.1 pep chromosome:OR_W1943:6:7400375:7401007:1 gene:ORUFI06G10030 transcript:ORUFI06G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIHPPPHIGEGGSEPPPLLLRLPASTGVIVIIVASAPSSLRQSSTLSSPQRGHRRIGAFEHAGGEEGSRRQKRRGCEPSSSCIVAGGGGSEEGSWRRRWRGGEPAALHVVADGGGGEEGCRWRRQGGGERSSSRVMAGGGGGEEGSRRRRQRAVVVARHGQRRRRQGGEVVEAARRRADGATAHTTLRSRRRHRRSSEPPLLPLPCCS >ORUFI06G10040.1 pep chromosome:OR_W1943:6:7429534:7431995:-1 gene:ORUFI06G10040 transcript:ORUFI06G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSSSSAYYGGANKSVAFLVATVVTVPLCALLLGGGGVAVVRDNNNNAGGGEAEVYRSELTPLQKHVAFFDRNKDGIIYPSETYQGFRAIGAGVVLSAVGAVFINGGLGPKTIPENTKTGLKLPIYVKNIHKGKHGSDSGVYDANGRFVPEKFEEIFKKHAHTRPDALTDKELKELLQSNREPKDFKGWLGGFTEWKVLYYLCKDKDGFLHKDTVRAVYDGSLFAKMEQEKQSAKKK >ORUFI06G10050.1 pep chromosome:OR_W1943:6:7449381:7452391:-1 gene:ORUFI06G10050 transcript:ORUFI06G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAAAATGRAALVKAFDETRTGVRGLVESGVSAVPDIFRHPDPYASVPLAPPGVSIPVVDLSLPAPLAAEAAAGAAREWGFFYLVNHHALVPPGFTDGLLAATRAFNELPATERAAHYGRSVDGGVDYFSNFDLYRSGAASWRDTIEVTFGPSRPDTGRIPAACRAEVVGWDAHATAVSRAVMALLCEGLGLAADALEEASCLEGRVMVCHYYPVCPEPAPWGSSRTRTPSCSPSSRRMMSVKHTNEDGESYWVDAKPVPGALMINVGDLLQIMSNDKYKSVEHRVVMNSHEEARVSSAIFYNPGKRGDSVFYGPLPELVSSENPPKYRNFTMPEFLGTFFKRELASNALIEHFKI >ORUFI06G10060.1 pep chromosome:OR_W1943:6:7456105:7459174:-1 gene:ORUFI06G10060 transcript:ORUFI06G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAAAATDRIALVKAFDETRTGVRGLVESGVSAVPVIFRHPDPYASVPLAPPGVSIPVVNLSLPAPLAAEAAAGAARDWGFFYLVNHHALVPSGFTAGLLAAVRAFNELPAAERAAHYGRSVDGGVSYSSNVDLYRSGAASWRDTIQVVLGPSRPDAERIPAACRAEVVGWDAHGTAVARAVMALLCEGLGLRGETLEEASCLEGKLMVCHYYPVCPEPERTMGIVPHTDPGVLTVLAQDGVGGLQVKHTNEDGESYWVDAKPVPGALVINVGDLLQIMSNDKYKSVEHRVVMKSHEEARVSSAIFYNPGKRGDSVFYGPLPDLISSGNPPKYRNFTMSEFLGAFFKRDLASKALIEHFKI >ORUFI06G10070.1 pep chromosome:OR_W1943:6:7460975:7484888:1 gene:ORUFI06G10070 transcript:ORUFI06G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQVELEAAKLLQKLIQESKDEPAKLATKLYVICQHMKLSGKEQSLPYQVISRAMETVVSQHGIDMDALRSSRIPLAGGPQAGDSSGAMPKDKEIIGSQPPMVGTDASQSSAHAGLWNFPSGSADMARHSASISGRVPAGPNRSDVAGADIHQGSMSQKSGRSSGMESPASLQIEDTRSMNSHDSLKSDEKTSKKSSSKRKRVDPKAAGDLHSEDNSKSDAMSTGQNIRKGKQPGKAGTQGQLSRTVEHDPSHTLQVGNAQVPPLPSGAPFFRAHQEGPSASSARTIDKTKPSNPFTMAQISNFAEGLASGNIPAELQKSILGGANLLNASFGWNQNAQGPVMKNTQGSVPNLMRPGVNVEGKVNLGSQGTFNSMSASQMDYPTVPPYVSSSFGGGPQYLDKGKDLTSGNTGSELNSSKAGAQLGIMHMYFDTQMRYNFQGSPMQERHGIVRAPQRAGSSQMSQTSPSIPFKEQQLKQLRAQCLVFLAFRNNLQPRKVHLEIALGVGPPASEGGSAGQRGSESRMADGSGKENGNSQENPAIFGRQSDISRLQSTSTGSVADVDSASKDPEIVKKKIKIAEHEKSFEAENIQQTVPIQGTDSEMHSQETISPMPSGQLHYFQGDTRKTTPEIYKADAENLNRNLGWVGGQGPSPLGGNRHTSMEVGLLAKDEVSKEPFAVLRPHHMPVDGSNHNLSGKDQTPETAGNEIDNGSHMGEMIFERSADEGDEDLSEQDDLPLSPPKYTMTDKWILDHQKRRYEENKRKALELQKAHRRISASYEKLKENVSSSEDLSAKTKSVIELKKLQLLQLQRRVRSEFLQDFFKPNTTDLDRIKSVKKHRHGRRVKQLEKIEQKMKEERQKRIRERQKEFFADIEAHREKLEDSFKVKRERLKGFNRYVKEFHKRKERIHREKLDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLRETEKYLQKLGAKLQGSKSMDGRVSYASDSTANDIEDESYQPQHYLESNEKYYQLAHRYQMNGLRWLVSLYNNNLNGILADEMGLGKTVQVISLLCYLMETKNDRGPFLVVVPSSVLPGWESELNFWAPSINKIAYAGPPEERRKLFKEMIVHQKFNVLLTTYEYLMNKHDRPKLSKIQWHYIIIDEGHRIKNASCKLNADLKHYRSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDSSTEEVENELPEKIERLVRCWPSAYQKLLIKRVEENLGGIGAVKIEGYLPRHYLPSILRLCGKLEMLDRLLPKLKATGHRVLLFSTMTRLLDVMEDYLVWKKYKYLRLDGHTSGQERGALIDKFNNPNSQAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKEVLVLRLETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRGGKKEEAAPVLDDDALNDLLARSEDEIDIFESIDKQRREEEMATWLTVVQNSSTSGLDPSVMPSRLVTDDDLKSFCHAMKIYESSNIKSVKVVRRKGELGGLDTQHYGRGKRAREVRSYEDQWTEEEFEKLCQVDSPDSPQPGGISRDADVPKVVKLEVPPESSKEPEQAKKEPASSVGDSPPAKRRRGRPRRSDASLSPVTAPPNTGKQEAGTIIDGSSSAPTTTIHSVAPDVTIDSTALSATGNPDVGTEIKGTTPDGTIKPVICTDNKGTASIAVLEGSNAKEVGIPAHSVHEPVSSSAPHPPTPATSRGRKTQAGETPRRRGRKPKSLAASAGDVILSPVVAVGSGEAYASSVVSSYPQGNVSSSHANAMAGLQKDTIISKPAALLPEGVKGTLTPSGGDKDEMVKTPLAGDIYAGTVTTSGNANSQLPMIAHNENAGLVQGGTDQNLSVATPTIPVVSEGSTKISEVVVADKPAEKQGARRRRKKTSGTGSEDTGVSTRQRAASRRLYGTGTADIAGTDMSTGEKIGIVKEIDGSCQDTSKGLPNIISPSYEKSGYDSQPSTPIAVPINEATLPSGFSEAHATHSEIHPARESISSVGHEKLAGAHLEAPPSVSFQAPVQHETGKDYVGVHSEVATTHPETITTHSSVNPVIDHKLANVQFESHASLHTSGKDITTMPSEVDSGAPSKAPGRRRKGSAREPRTRSNSATAASERRARLAGSKQPDDIKMAEMSGNPSTAVCSSTQQQEDNTLKAAHATGSVGEEQNNAENRVREVSMPAGILEAKLELTKQTDQAGHNSEQEQEMVSAAKSASANDEEHKVHEVHQIIADHNALPSSAQYTLQDKIDSSADAGLVPCDKIASVVIAVDDQDPSNASDKDAPASTEDDGNGLQSECVHVDLVLAKQDNTKVEDTQKSNQPAEQGESLEMTGSKFRSETRLEKTEETVDKSGGDNLPTIEKNDDSPIERSSPSADKIAQVAYGGEAGTETTTVEAVSAMNSDGLQDVHNALSTHGLSTNDITVASEEHRDPESHLSGEVSMSGGSSELKLESLNQSESACQSGEVTLEDTHATLDIQIPALIESEEKKSPGGDVHGSEEQHMHEVLHNTVDGSISPSNREQDKLQVHIDTNTDVDIPSSDKDHSTDIVLAGCQAPCDASGKDKLSTCDASGKDMAAPTDDDLNCLQSEDTVIPVADAKDETMLVKAIQNDEMSMGSSHGLPATIQSTDSDRLAEEGESAEITGSKFSCGMEQEKMEEPLDKSVTDNQTSSQINDGSNNMDSQKVDSSLQAADGGDLLVSRGTSVETTTAINTDASDESISVSTQSVKEASTVEIGASTNDIAPACELRKDFESHVSGCVSKPVGLSELRLEELSQTKSVSQSIVANAEETSNELHSQELVKMISAAETASTEGHREKDTCEVDHKIDCTIFSPIGDQDTRDRIDGDTDCGVPSCQRNAAFDSENEVSAEINLTGSQAPCDAPNKATPAPTEDDHNGQESEDTVIGAEQGTVEVEAMQIDGISKSYSSDSHATLQSSDSNQLVNSDSKFESSKKHDKTDETSNESRGDNPTHSCTNDDSHDKNLVGCSPSEDLNEDNSAQLADGDDLVGSKDTTAAHASGLKELTSGNYVASSSSHVVQDVTSISKMESVQAGSEEIYHGYSDETIHSARIKPVVGTEITENASVASAPVITIQPDIETEAGVTASLTVLEGSIAEEVDTQVESGHDLVTSTAPLSPAPLPSESHACTDVSCPVAVEVSETKLESANHTASQLGAACTETPNALLNTLIPALPESEETKLSGSDTDGKTIMAELASSSDEHDKVHEVGNETGDDNKLPSSVAEDAVQGEIDGSADMDSQIIAGSSEAEINNSTVATIADSQIPFDPSDKDTLAEDGNGLQREGTTVDVTGSKEDDMEAEEKQMDDSHLPAALQSTESSQPTEHAAPTQDDGNGLQCEGTTVDVSGSKEDIMEVEEKLIDDISGSPSSHLPVALKSTESNQPAEHAVPAEDDGDGLQSEGTAVDVVDSKKDDMEVEEKQIDISRGSSSFLPGALESAKLNQPAEHTAPTEDHGNCLQSEGTAVDVPCSKEDNMEVEDQFDGISRGPSSFSPDTLESAELNQAAEHAAPTEDDGNGPQSEGTSVDVAGSKEDNIEVEEKIDDISRGSSSHLPDVLQSTPNQRAEQECLDNSDDVNTSVVSSHAPLSGPKFTCVKELEKADETLETSDAQVADEVCLQTNDGAHNMASGSCSTLEDKNEDSSAQIADCEDLLLRKGTTVDDLDGCVEGHSGLSTHSNDEVRNLVEIVKGMNDTTAGSEVHVDPESHVSDEVSMPVAPSELKVELKNQSEPACQFGAVIVEESNVSLGIQTPALAESEEMTSGGFMHDDHDMHEVDKEIVHCTISSPIGDQENLQGNIDGKMDVGLAACQTESDFVSGNDHSRETDLAGSQAPYDASDKEDTAADLIGPKQATLEIEKMQIDGIPEGPSSVPAVLQLTDSNQPAEQERLENSDSKFASTKDQGRVDGTSNLSGGDNAKCSLTNDDSQTVNLVGYSPSEDSNDDDSVQAADSDGVLGNKEGTDDVISAACTDDVSMLKTESIDRHGSDEVDHSTAPSAAIKQESGTEVTCDASVPVSESSISKEIGTSPECDDDQVATAAPHPPTPLSDATDVSADVQIPAGISEAKLEQPNETTSPSGAATEENNTVVSTQIPTLAESEDRTPADTAIQGTEVDSAEPASVSDDENKVTAADDSALPSTGPEDTADDKIDSSADASEK >ORUFI06G10080.1 pep chromosome:OR_W1943:6:7486696:7487202:-1 gene:ORUFI06G10080 transcript:ORUFI06G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMVARQGRELQRYSDNTGGRMVVGCIPYRVRGDGGGVEVLVISSQKKGAAAGDVVMFPKGGWELDESVDEAARREALEEAGVLGEIGASLGRWCYRSRRYDATYEGFVFPLRVTDELDRWPEMAARRRSWVSPQQAMDRCPHWWMREALQRFADLFPQPTPLSLL >ORUFI06G10090.1 pep chromosome:OR_W1943:6:7506591:7511711:1 gene:ORUFI06G10090 transcript:ORUFI06G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNPWVRVRTPKSEHTVRAEWSSIANLPSRSRSRSPPLLAPPPRRGEGRAAISIWRAEQRRGGDPAMYYRRQRKASSEANANVFMPGGPNDISFPASNRDHDWGYGGVGKEWEASYARKLQLMNFLSSLHQRTANPLVTTRMDANMDTPLEQKQKDSSAIIVLDSDDEDGYTEGCEQLTSENNKQQAPSGLTSPYTTWIVSSTKDQVNGTLHVDGVQSTQIVPYGQNAPLINQFPLQTSWQPSIQYERVILQKRPEEQRVQDLVLDTNEDMSNEKDVDDENEMDDDCNHDIRIHEDLGHVCRICGMIVRKAETIIDYQWKKD >ORUFI06G10090.2 pep chromosome:OR_W1943:6:7505826:7508756:1 gene:ORUFI06G10090 transcript:ORUFI06G10090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVWRRHHHRTTWGAGSPSTARRSFRTGKRTGAAAVAGARREEEAPATRAEEGATAGSGEGDGLAATRSGGVRAWNTTDNGREPLGPSPNSEVRTHRKGGVVEYRVKVKEISRLVLVLVLLRSLRRRRDEGRAGRRSPSGEQSSGGEGILT >ORUFI06G10090.3 pep chromosome:OR_W1943:6:7505708:7511711:1 gene:ORUFI06G10090 transcript:ORUFI06G10090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRRQRKASSEANANVFMPGGPNDISFPASNRDHDWGYGGVGKEWEASYARKLQLMNFLSSLHQRTANPLVTTRMDANMDTPLEQKQKDSSAIIVLDSDDEDGYTEGCEQLTSENNKQQAPSGLTSPYTTWIVSSTKDQVNGTLHVDGVQSTQIVPYGQNAPLINQFPLQTSWQPSIQYERVILQKRPEEQRVQDLVLDTNEDMSNEKDVDDENEMDDDCNHDIRIHEDLGHVCRICGMIVRKAETIIDYQWKKD >ORUFI06G10100.1 pep chromosome:OR_W1943:6:7522709:7526474:1 gene:ORUFI06G10100 transcript:ORUFI06G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAGHESNLSHAYGEIRNSTLMQCLCLFGVQIDLNSYNPRASPSESGFNMLLDLDGQKMEIWIQALRVIIGTVLPEERQACTQIFGSDSKVEEDCFARATMRFIQQLFAFGSLIANVKDEQYEKVPLLVQMLEEFLKLKPSIEALRYGDAKDAISQEADMLLEKLREEAVRLLLKFSEAQINHESYDNETIVLNGSVLSFPQYTMGVIKLLAGYSDTLNIILPVEVGGVGTVTTSPWKSYVLTLLTRLQLNIEEKSKSYKDECLRNVFLMNNAMYVLEKARSPDLKILLGDNWVTKQLVQVEQHATAYLRASWTEPLFQLKDKGINYTERSLILTKKFKNFNSIFGEISRVQTTWKVPNPQLRQHLRLVILQQVIPAYRAFVGRFGMLLNSKFIKYTLEDIENNVLDLFEG >ORUFI06G10110.1 pep chromosome:OR_W1943:6:7529826:7539926:1 gene:ORUFI06G10110 transcript:ORUFI06G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAVSAAAPAGAGETRRLAGEVARVLDECRASLAVHPRKLRELAALRSSSPAAAGRFLPAFCAALTPLFDLAKRSAGAERVARFAAAFASASSAAAGCGGGDGFLEGFLRFLLAGSAATHRPARLRSCQIIFEIIMRLPDDAEVSDEIWDEVIDGMKVRVQDRIPGIRAFAVRALSRFASDGEDSDIVDLFLETFEKEQNVEVRKAIILSLPPSNATLETVIESTLDVSESVRRAAYCVLSTKFPLQSLSIKQRTSLLHRGLSDRSASVNSECLKMLKDEWLMKYCSGDVITLLRFLDVETYEEVGETVMGVLMKDGSVRVQDGQTIRQYFTANTEDEAEKVSNIQLMDAEVALYWKIMCKHLQAEAQIKGSEAATTTGTEAAVYASEASDKNDLLDGVLPSTISDYVDLVKAHLSAGPNYHFASRQLLLLGEMLDFSDTMNRKIASSFLHELLTRPLEHEVDEDGNKMAIGDGVSLGGDKEWAKAVAELAKRVHASVGEFEMVVATVVEELARPCRERTADFMHWMHCLAVTGLLLENASSLQSLQGKAIEPLELLQSLLLPATKQNHDDVQRVALRCLCLFGLLENRPNAELVKQLRLSFINGPDLVSAMACKALIDLVTWHGPQEIDQTIGIESSDATNEKSQFTTVDVSNMNDDDLNIGVLDILFSGFLKDDWEFNLEGDNHDNVPTILGEGFAKILLLSENYARISADLHPVILARLRCVSSAFVPVMRAMWPGLYGNVGGSAHAVSKRRKYAAQAARFMVQMVQTPLFSTETTEQASSSPESQSTKPDMLNNFDISEEGLAIRIAVEVANCPDKKTAAAKAYCLALCKVAVLLRFRQSEQKAIKCMRGLINALAASASSDKDLMKELSQMASRLRSLDEHPEEELPQDEAEEIFKKLGLDAGFRLETNSVVPPTPAPRSVRPPPSRRRARRSPSSSDDSDIDGQEDNLHATSVSRVAATPVVMTAARSQRASKTAAMSKMSAKPTVAASSDDESDDQSGVTSGDDSSDEDSS >ORUFI06G10120.1 pep chromosome:OR_W1943:6:7536296:7541034:-1 gene:ORUFI06G10120 transcript:ORUFI06G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLDMSLDDMIKNNRNSRGRGRGRSQGGGRGRGDGQRFSYGSGRGRGAGTFRGRGVGVPSRRPLGSFNKTKDIVWRQDLFEDSMVAAGLSVTESSTKLYISNLHYGVTKEDIQELFSEMGHLKHCAVHYDNNRRPTGSAEVIFTRRSEAVAALKRYNNVRLDGKPMKIEVIGADLGMAAPSAPRVSVVPGARGRGQREVVMMPGGSGFGRGAAGSSNFIPGWKRNNFAQRGGQGRGRGRGRNNFGRGRGHGYVRKGPVEKSAEQLDKELDSYHSGAMNVD >ORUFI06G10130.1 pep chromosome:OR_W1943:6:7549317:7553988:1 gene:ORUFI06G10130 transcript:ORUFI06G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCGLEWVVCLGCTRWAWKRLTYIGAYDSEAWPAAAPGEFEPVPRICRVILAIYEDDLSNPTKFAPPGRGYAGVDLAGVVKRATYEHVGNTCPPYIVYVDHRHKEVVLAIRGLNLTRNADYKVVLMDNKLGMQMFDGGYVHHGLLKAAQFILERETKTLQELLQQNGPDYKLIFAGHSLGSGIAALMTVLVVNNRKMFGNIPRSQIRCYALAPARCMSLNLAVKYADVINSVVLQDDFLPRTPTPLEYIFGSIFCLPCLLFIMCLRDTFKQDKRKFKDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVEGRFEHIVLSCSTTSDHAIVWIERESEKALELMKGNEKPTTPPAQQKMERLQSFEEEHKNALERAKTLDVPHAVDLSEVEIQEGSSPTPPSDTHSEATSEAKSAGRTSWDELMHKLFTRDEGGKLVVKEDIKARNIVIE >ORUFI06G10140.1 pep chromosome:OR_W1943:6:7554892:7555904:-1 gene:ORUFI06G10140 transcript:ORUFI06G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRGRGGGTVRSRQRRGGGALRGDGALRGSGDGVARSSCPARSGRGDDGGTAVGLCAAGDGLGENRVPYGTGVDNILDVAPLLKASLRRFMLH >ORUFI06G10150.1 pep chromosome:OR_W1943:6:7559054:7566373:1 gene:ORUFI06G10150 transcript:ORUFI06G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALICDTEQWKGLQAHYDFVFRHACGGEQAHVGAIQKTHLRDLMDDAERCKAMTAEYEGIFLDYSRQRATGETMEKLFKLAEAAKLKEKIEKMFSGDKINSTENRSVLHVALRAPRDEVIKSDGVNVVPEVWGVKDKIRQFSETFRSGSWVGATGKALTNVVSVGIGGSFLGPLFVHAALQTDPDAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIVSSLGPDAVAKHMIAVSTNLELVEKFGIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGAASIDKHFRSSSFEKNIPAILPYSQALEKFAPHIQQLSMESNGKGVSIDGVQLSFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPEHLISHKTFQGNRPSLSLLLPSLSAYEIGQLLSIYEHRIAVQGFLWGINSFDQWGVELGKSLASQVRKSLHASRMEGKPVQGFNSSTASLLTRYLAVEPSTPYNTTTMPKV >ORUFI06G10150.2 pep chromosome:OR_W1943:6:7559154:7566373:1 gene:ORUFI06G10150 transcript:ORUFI06G10150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALICDTEQWKGLQAHYDFVFRHACGGEQAHVGAIQKTHLRDLMDDAERCKAMTAEYEGIFLDYSRQRATGETMEKLFKLAEAAKLKEKIEKMFSGDKINSTENRSVLHVALRAPRDEVIKSDGVNVVPEVWGVKDKIRQFSETFRSGSWVGATGKALTNVVSVGIGGSFLGPLFVHAALQTDPDAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIVSSLGPDAVAKHMIAVSTNLELVEKFGIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGAASIDKHFRSSSFEKNIPAILPYSQALEKFAPHIQQLSMESNGKGVSIDGVQLSFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPEHLISHKTFQGNRPSLSLLLPSLSAYEIGQLLSIYEHRIAVQGFLWGINSFDQWGVELGKSLASQVRKSLHASRMEGKPVQGFNSSTASLLTRYLAVEPSTPYNTTTMPKV >ORUFI06G10160.1 pep chromosome:OR_W1943:6:7569918:7570163:-1 gene:ORUFI06G10160 transcript:ORUFI06G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHLHSLPPPPLSLSLPLSFLPCGRIDPAAAATAPAAGDDGAPRTQGVRRRRRLPHADGGGSGSPRTQRQWRRRLVREA >ORUFI06G10170.1 pep chromosome:OR_W1943:6:7583778:7584890:1 gene:ORUFI06G10170 transcript:ORUFI06G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDADMIPSSPSADYSSPSSSDLDTESTGSFFPDRSTTLGTLMGVSAFGGGGQRRAARTPARTERERAPLAARPAGEEEEGRRAGGWRRRRRRRQRRGSRSLGGSWWRLCRDDAGGPPTSLGEFLDMERQLAGADFLCDGVGGGGSEREAAAAAAAAAAVSATALFEDGRVRPPQPQQPAAEERGRWRLQRATEGSSSSSSAGAAASSSLARLPVLLTGICSGGAG >ORUFI06G10180.1 pep chromosome:OR_W1943:6:7586542:7591588:-1 gene:ORUFI06G10180 transcript:ORUFI06G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGAVLLLVLATATSVTGQHDYSDALHKSILFFEGQRSGRLPPDQRLRWRRDSALNDGATAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGAHAAEAREAVRWATDYLMKATATPNTVYVQVGDAFRDHSCWERPEDMDTPRTVYKVDPSHPGSDVAAETAAALAAASIVFRDADPDYSNRLLDRAIQVFEFADKYRGPYSSSLHAAVCPCYCDYSGYKDELLWGAAWLHKASRRREYRDYIKRNEVVLGASEAINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRVNADNFICTLLPGISNHPQIQYSPGGLLFKVGNSNMQHVTSLSFLLLAYSNYLSHANVRVPCGTSSASPVQLRRVAKRQVDYILGDNPLRMSYMVGYGSRYPLRIHHRGSSLPSVAAHPAQIGCKAGATYYASAAPNPNLLVGAVVGGPSNTSDAFPDARAVFQQSEPTTYINAPLLGLLAYFSAHPNLAQSDLLYD >ORUFI06G10190.1 pep chromosome:OR_W1943:6:7601366:7604512:-1 gene:ORUFI06G10190 transcript:ORUFI06G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFDSWDEFVSKSVELFRNHPDTTRYVVKYRHCEGKLVLKVTDNHEATGASAKIWCLKFKTDQAQDAKKMEKLNTIFFTLMTHGPDADISDVSGKEQAEQQQSKKGRGRRQ >ORUFI06G10190.2 pep chromosome:OR_W1943:6:7601366:7604512:-1 gene:ORUFI06G10190 transcript:ORUFI06G10190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFDSWDEFVSKSVELFRNHPDTTRYVVKYRHCEGKLVLKVTDNHECLKFKTDQAQDAKKMEKLNTIFFTLMTHGPDADISDVSGKEQAEQQQSKKGRGRRQ >ORUFI06G10200.1 pep chromosome:OR_W1943:6:7605190:7605527:1 gene:ORUFI06G10200 transcript:ORUFI06G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAIYRNPVPKRPVRYQIPILIRYHRFYHVSGDTCKVSDDTYQVSDDTYKVSCDSYHVEGDTCEVSGHDT >ORUFI06G10210.1 pep chromosome:OR_W1943:6:7605626:7606961:1 gene:ORUFI06G10210 transcript:ORUFI06G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPPPVALHHHQCISALTAGGEDPLEYKEYNVLLRPQPDDDGVQRSWHLLFLPGNTTAGRKPRLVQSYKHVVDSFAAPLTEVDRDGSSVQEARLLVLLPGRHRSLASKPSKNSFACIAGLSRKEDGNLAGSGHGAAHGGGSTPTELLRMRRDSGDGDSAACAAEVDEAGEARGRRRSGGDACFGSNGGDVEEDQEGVGRRPLCVELAEEERDEGEESVELVGRLLSSGFIGTRRASLPLLKIDRREGEGARTPRKRELDEGSDGSGAWGEWEGGACVDAVVVIGGRRGGGWAEEGKGKDGESLSSSSPTRWG >ORUFI06G10220.1 pep chromosome:OR_W1943:6:7609821:7610042:-1 gene:ORUFI06G10220 transcript:ORUFI06G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPKLMCARSQGVRPNAYTTPCQSGSAGKAFGEMPGRIRGWGRIRVLGERGTISWRLVAARQICRPWRLAQ >ORUFI06G10230.1 pep chromosome:OR_W1943:6:7610253:7611273:-1 gene:ORUFI06G10230 transcript:ORUFI06G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPTLVPACDLEEPLLAESSERFSMFPIRYPQIWEFYKKAVASFWTAEEVDLSADARHWDAALSPDERHFISHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDGAEKDRLFRAIDTVPAVRRKADWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDLLRGKLDEARVREIVADAVDIEREFVCDALPVALVGMNGDLMSQYIEFVADRLLMALGCKKMYNVANPFDWMELISLQGKTNFFEKRVGDYQKASVMSSLNGGASANHVFSIDEDF >ORUFI06G10240.1 pep chromosome:OR_W1943:6:7614870:7616637:1 gene:ORUFI06G10240 transcript:ORUFI06G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAAVAVLVVAMVISGGGGVAAAVTSKKPVIYIFGDSMSDVGNNNYLILSLAKSDYPWYGVDYETGFPTGRFTNGRTIGDIMAAKFGVPPPPPFLSLYMTDDEVLGGVNFASGGAGLLNETGIYFVQYLSFDNQISSFEEIKNAMIAKIGKKAAEEVVNGAIFQVGLGSNDYINNFLRPFMADGIVYTHEEFIGLLMDTMDRQLTRLYDLGARNVWFSGLAPLGCIPSQRVLSDDGGCLDDVNAYAVQFNAAARNLLERLNAKLPGASMSLADCYSVVMELIEHPQKYGFKTSHTSCCDVDTTVGGLCLPTAQLCDDRTAFVFWDAYHTSDATNQVIADRLYADMVSAGAVQGNGNVTTASTRAPRVIVAHAAPPPKP >ORUFI06G10250.1 pep chromosome:OR_W1943:6:7616936:7617412:1 gene:ORUFI06G10250 transcript:ORUFI06G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLGEVAMLVVDVAFVLCLIVAIMCCCDDDRRRPRSSSQRDAQVGGRVVMLRVVEAPPGQQRVAPAAAKAALPYFPYAQAQGRTSSSETQTLVCAVCLEELRHGELCSEVPACRHIFHRGCVGSWMKKSDSCPLCRVKISSWIAGPTESPTAADAV >ORUFI06G10260.1 pep chromosome:OR_W1943:6:7619737:7622441:1 gene:ORUFI06G10260 transcript:ORUFI06G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMGSVKKKATAMAQRSAHHGNRTSSLKTVQSTSASAMANQAAAGAGGEDAGRPQAQAQVAAVEVRSYFPFPYAAGPFRPSAGGGGGGVSLGTHQADQPPAPEVVAAQQQLPHFPYAPRGGGSASASLSVECAICLERLRRGELCSELPECRHVFHRDCVALWIKSKSTCPLCRARISPWFSGSIGAPPPLADMV >ORUFI06G10270.1 pep chromosome:OR_W1943:6:7624099:7624485:1 gene:ORUFI06G10270 transcript:ORUFI06G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSISPFTNIRSGTFPDQWVPALRVASCPLSGVELQKPPATNRPRELARPRVNTSRGRAGRAETQEETVPRGTVQKRTRTRRGASHKSRSHERDAGSRGSYGVLRHCVVGDSWLVGVHGMGCSTCHG >ORUFI06G10280.1 pep chromosome:OR_W1943:6:7628061:7629402:1 gene:ORUFI06G10280 transcript:ORUFI06G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLVAFLLTHGHCCWRVVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDDEERLVIDLHAQLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLRKMGIDPVTHQPLEPPRPPPREQDATPPPPPPEPPEQQRPPPPPPQEIEESEEEEQEPSPLIEPHEITAPPPAAAAEAATSNCSVSPASVLSPSCSSSASAASAVDVAEWPEPMYMFGMDGIMDVGWNGLISGAGVDVDVDPFDHYYHDASFDDQDVWII >ORUFI06G10290.1 pep chromosome:OR_W1943:6:7642854:7643183:1 gene:ORUFI06G10290 transcript:ORUFI06G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVARVCGGKVVSVVDWGSRWTTCGVEQCCGGSSDGRRQPALTKAMAARVGSGGGSPVVDWGSVVVDDVRRGVVMPTAWVARRGGGASSDEVRLEATNGSAASGAR >ORUFI06G10300.1 pep chromosome:OR_W1943:6:7654802:7659095:1 gene:ORUFI06G10300 transcript:ORUFI06G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMEPSSSSSSSTASAVTPSSAPPSGRCCVVLRIKLPAAWTPEEDAALERLAVENGSRHWRRVAAQMPRKRSPVQCRDRWRDHLARDVFHRPYTAADDDELTRLVLRPGGGGDRWKDISRAVHGRSSRSVKRRWMEIGTSDELLRKLWHPRSSMLSPATVVDAVPSSSSNAVADDQCASAVTPSSAPPSGRCCVVLRIRLRPAWTPEEDAALERLAVENGSRHWRRVAAQMPRKRSPAQCRDRWRTTSPATCSTAPSPRPTTTSSPASSCAPAAAATDGRTSAGRCTAAARAP >ORUFI06G10310.1 pep chromosome:OR_W1943:6:7659107:7662565:1 gene:ORUFI06G10310 transcript:ORUFI06G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGTSDELLRKLWHPRSSMLSPATVVDAPPVGTLRFPWGRSRFTIPDVGPPAKPKPKVEVLLLAPHASMRIVVGASLFPRPPQHAAQLVFGLCVILAAPPPLTVVGVTLPPH >ORUFI06G10320.1 pep chromosome:OR_W1943:6:7665183:7665611:1 gene:ORUFI06G10320 transcript:ORUFI06G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELSSSSSAASVFASSSATSPPLGRCVVRIRLPPAWTPELDAVLERLAMEHGSRHWRRVAAQMPRHSSRRSPAQCRDRWRDHLARDVFHRPFTAADDAELARLCLRLDDGRSSRAVKRRWRELRKSDAFLGKLWRRPLSH >ORUFI06G10330.1 pep chromosome:OR_W1943:6:7666538:7666816:1 gene:ORUFI06G10330 transcript:ORUFI06G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSCAQPWMRTTRGCGCFADVALLLAHDGIPLSGVVVFAAAAPPSLPLLRLAMISRACSPSLHSRTSTMRVVVASVGKLCAALVLDLASSH >ORUFI06G10340.1 pep chromosome:OR_W1943:6:7683267:7685344:-1 gene:ORUFI06G10340 transcript:ORUFI06G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSENVQKKVHANGLDVAPVYKLMKTSKSGMFLLIKRRKLHRMEEQELLDKRAQDGADVQVPLLLDEFSQVYTLVIYLSTIVMSK >ORUFI06G10350.1 pep chromosome:OR_W1943:6:7709990:7726993:1 gene:ORUFI06G10350 transcript:ORUFI06G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKVVSFVGADELGVSLAASFVRSGAIVRCFVAPGGDGSATALAELGGVRCASPAEAARDAELVIVLSDTDGVDELFFGPEGIVKGLCSGAVVLIRSTMLPSHLEKLNQKLADEKKNALLDGYIFSGLSDELKQKIVVVASGRHDVTERTGQFFSGLDTAVYFVEGEFGSSSKIKLVNDLLESIHFIASIEAMFLGVRAGIHPSIIYDIISNAAGSSRIFVEIVPKLLREDSLLIDYLESSKTNAGYVMDMAKAVIFPLPLVAVSYQQLIHGCSSANGDALVSPLKVWEQSFGVNIIDAASQQIYDASKLADQLVMACKTAKTIGFIGLGAMGFGMASHLLKSGFSVIAYDVYKPTLARFTDLGGLTKDSPEEVSKDVEILVIMVANEVQAENVLYGNAGAVSVMAAGTSIILSSTVSPGFVIKLKERLEAECRDIKLVDAPVSGGVKRAAEGTLTTNMQKLVHDCSIFYVTALSEKLYVIKGGCGAASSVKMVNQLLAGVHIASAAEAMAFGARLNLRTRRLFEIIQHARGYSWMFGNRVPHMLDNDYTPYSAVDIFVKDLGIVSHESSNARIPLHVSSIAHQLFLSGSASGWGRFDDAAVVKVYETLTGVKVEGRPPMLNKEDVLSSLPAEWPEDPMDDLVSSASHNSKKILVVLDDDPTGTQTVHDIEVLTECAISLTWKLNCRPVEALAEQFQKLPACFFILTNSRSMTAEKATLLVKDICRNLEAAAKSVPGVSYTVVLRGDSTLRGHFPEEADAVVSVLGEMDAWIICPFFLQGGRYTIDDIHYVADSDRLIPAGETEFAKDAAFGYKSSNLRQWVEEKTKGRISENQVSTISVNLLRKEGPNAVCQHLCSLKKGSACIVNAASERDMSVFAAGMIQAELKGKRFLCRTAASFVSARIAIKPKPPIRPTDLGLKRALTGGLIVVGSYVPKTTKQVDELRSQCEQSLRIIEVSVEMISMKSAEDRDHEISRVIELGNAYIQSRKDTLVVTSRQLITGKTPEESLEINYKVSSALVEIVRGIGSRPRYILAKGGITSSDLATKALEARRAKVMGQALAGVPLWQLGPESRHPGVPYIVFPGNVGDNSALAKVVQNWACPSRSSAKELLLNAENGGYAIGAFNVYNLEGIDAVVSAAEAEKSPAILQVHPSALKQGGVPLVSCCIAAAEHASVPITVHYDHGTSKSDLLQALEMGFDSIMVDGSHLPLGKNILYTRSISSLAHSKGMLVEAELGRLSGTEDGLTVEEYEARFTDVAQALEFIDETGIDSLAVCIGNVHGKYPPSGPNLRFDLLEDLRALTMKKGVSLVLHGASGLPHELVKECIALGVRKFNVNTEVRNSYLESLKRPEKDLIHVMASAKEAMKAVVAEKMRLFGSSGKA >ORUFI06G10360.1 pep chromosome:OR_W1943:6:7726348:7733900:-1 gene:ORUFI06G10360 transcript:ORUFI06G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDCGGGGGGERCDLGFRPINGGRGAAMEQRGDGTDGPSVSPPERVPTPSSSRYAGWRRLSSPGPLRCSTRSVGYEDGDDSERYFSPHSEFSQDTSDTDSVSTSISRMYTFRLGTSSPVDSPMRRLGLGDTSPSSRRSCHSPVYPLNSVHGSEDVDYSSFVDSPVCGDEQQNNTSIPIDFESNRLIWYPPPPQDEGDDFENGFFEYDDDDYDGNDVGDTNTFTRVNHDHGGEDDSLGIKGKHNIAHKEFLRNALHGHFRALVSQLLQGHGVDPVDVWSEIISSLAWQAATFVRPDTSKGGSMDPTDYVKVKCVASGNPNDSTFIKGVVCSKNVKHKRMVSKHENPRLLLLGGALEHQKASNKLASINSILEQEKEYLKIAVAKIEAQRPHVLLVEKSVPLYAQQLLAKDISLVLNVKRSLLERISRCTGAQIASSIENVTSVRLGQCQTFWIERVSESSSPKNANKKSAKTLMFFDGCPRRLGCTILLRGTSHEELRRVKLALQFALFAAYHLSLETSYLADEGATLPKIPSDISALPLENHVDGGNCSSSYCLQDFNDFQIVGQKTSDNGCNMPANCLNDSENPLSADKSFLGPNLNQAEYIGVTNGIYPRSPRSSLDKGCVPPSDIIVQTSKSSPMGPRFHRVESDLDNGWQNTSDEEHAGLAVRDHNENHIEYFPTSDNPQSILVSLSIACPQRGVVCKQSQLFRIKFYGNFDKPLGRYFREDLFNQISCCESCKEPAESHVRCYTHRQGSLTISVRNLASVRLPGENDGKIWMWHRCLRCKPKDGIPPATQRVVMSDAARGLSFGKFLELSFSNHTTANRVASCGHSLQRDCLRFYGYGSMVAVFRYSPVDILSVNLPPAVLDFTYPMAQDWIIKDAADVASRKEYFYKEIFDKLDSIENIVSAQNMSMKTGLPKHVIDLKDLIKVEWKKYDVLSRFASTENLNTLELAIDILELNRLRRELIVDAHIWDRRLYMMQALTKENCHTVPTDVQCLDKIPESFVEKSKVEIPGTHENWENSLEHTKSSSLTVAANSIKPLIRGDQIDTIVTHFGLKTDIKGEVPPQSAEDYSSSVVPGPSERPNDGILTDELEKTFERSRSSATNLSDRIDLAWTGSSQLANDPSKCSIEALPVVPAALVDDPSYQRVIAPIRINSFDSAINFKNRLSPVDGSDGIIRRAYSQKPPKALERTGRGLSPTFKTELSVPDIMHGEGRLLLQNAGDVVVPIYDDEPSSMIAHAMTVPDYHKFMLPLLDQQNDLGRFSVGNSLDQDSSSRSSLDVSTWSYGSDQPRTGNNDSKDIHLTVSFEDDDSISVDKAKFSVTCYFAKQFDAIRRKCCPDELYYIRSLSRCKRWSAQGGKSNVYFAKTLDDRFVIKQVTRTELDSFEDYAAEYFKYLTESVSSGSPTCLAKVLGLYQVAARNLRDGKELKLDVMVMENLFYKKKISRIYDLKGSLRSRYNPDTSGNNKVLLDLNLLETLHTKPIFLGSKAKRRLERAVWNDTSFLASVDVMDYSLLVGIDEESKELVMGIIDYLRQYTWDKQLETWVKASGFLGGSRDVLPTIISPDQYKKRFRKAMSRYFLTVPDQWSS >ORUFI06G10370.1 pep chromosome:OR_W1943:6:7734157:7734357:-1 gene:ORUFI06G10370 transcript:ORUFI06G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASPSPSPTSTSTRRKPAAAADFPFSDASRVAQLVLDWGVLSDAALLRPTCSTECLGCADAKNR >ORUFI06G10380.1 pep chromosome:OR_W1943:6:7746408:7746746:-1 gene:ORUFI06G10380 transcript:ORUFI06G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEGYLQGIEKMNVSSGTAPPVWRGGWMEGSSGSAALSRGGGGTEELAVLVGERGGTRARTPLRRRAFLSRREQLRMAPPSPALAKESGPSALHARCATPHSKPPPSSLCS >ORUFI06G10390.1 pep chromosome:OR_W1943:6:7776349:7777158:-1 gene:ORUFI06G10390 transcript:ORUFI06G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDRRLRGTDARDCAGRAAPWCGTGLQRVHVRRCCTGVLRDCQSHGIKEQHLLGPASPLYGGGIFVQIFFMHVYKRKILKKKYIFKFNSWILPIRLRYKLSLTY >ORUFI06G10400.1 pep chromosome:OR_W1943:6:7778246:7778596:1 gene:ORUFI06G10400 transcript:ORUFI06G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDALRLGQLYFVLPVSALHRPFSDQDMAALTVKAIAALGASATAAAAGGNSSSISVSSRGKNASPASKQRQQTTARVAPIRRGSTEVALLANAQDCRCRGRAPSRKAMSDPTY >ORUFI06G10410.1 pep chromosome:OR_W1943:6:7778766:7779156:-1 gene:ORUFI06G10410 transcript:ORUFI06G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFAAPASTVRDAGRRRQRPPASSAAPTSSTSTRRRARWRLTTRSGRASSTSAPPAALRPGAQDKAAPAVKAIAALGASATAGGGGGSSSVSLRRGKNASPAGKQRQQTTARVAPIRRGSTEI >ORUFI06G10420.1 pep chromosome:OR_W1943:6:7781089:7784845:-1 gene:ORUFI06G10420 transcript:ORUFI06G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGRRKGAKVMQLDGTAFRVKPPAFAGTVLRDHPGFQLLESEEVKLLGVRARPLAHDAPLRPGRLYFLVALPRPTAPPRRAWSGALHVGARERLESLMLTRRSTSDLSLPASAAVGTAPPSPMSTASEPGGGPVRLRMRLPKAQVEKLMGESRDSAEAAAKIMQLCAAANANGGASGAATPERGILRTPERSPRFIPTPDWGVAGGFARTPEVSPRFAATPEWGTGFMMPTPERSGLLRTPERWPTLPRTPEYSSRDKRTRFVAMPDEIIA >ORUFI06G10430.1 pep chromosome:OR_W1943:6:7802878:7805346:1 gene:ORUFI06G10430 transcript:ORUFI06G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAQVNYVTAGERMRSCALSSLQVRLRRGPDLPVASQRRRSHPLHLLVLVLSGSPIRTHSTTPLPASPVSWLGRSGWALALRDASWGRRVWRQG >ORUFI06G10440.1 pep chromosome:OR_W1943:6:7816250:7817728:-1 gene:ORUFI06G10440 transcript:ORUFI06G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSAQLKRLKPLYQHVVNNFLVVMAAPLAVAAIVNAARVGPDELLRMVRALRPVHVFLAAFVPAAAATLYLMLRPRPVYLVDYACFRTKPNCRVPFATFLEHAKLVTYVEGASIDERSVRFMTRLLERSGLGEETCLPPAHHFIPPYRNLEASRAEVEVVIFNAIDDLLAKTGISPAAIDILVVNCSLFAPIPSFTDMIINNYKMRSDIRNVHLSGMGCSAGLISVGLARNFLQVAPHGAHALVVSTETITPNYYVGKERAMLLPNCLFRMGGAAVLLSTSRAKARFRLSRVVRTLTGAQDSAYRCVFQEEDGEGHRGINLSKDLMTIAGDSLKANITAIGPLVLPASEQLLFALSFIARRVLTRRVKPYLPDFRMAFEHFCIHAGGRAVIDELQRSLGLSDEHVEASRMALHRFGNTSSSSVWYELAYIEAKGRMRPGDRVWMIGFGSGFKCNSAAWECISPARNADGPWADSICRYPVDIPEVLKH >ORUFI06G10450.1 pep chromosome:OR_W1943:6:7822478:7824259:1 gene:ORUFI06G10450 transcript:ORUFI06G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVHITVEKKDAQHVTPSVSIPRTSLSTFRGGRQGDVIHATVGKNMIDNYNTKIKESSIFAYSVNST >ORUFI06G10460.1 pep chromosome:OR_W1943:6:7838105:7838662:1 gene:ORUFI06G10460 transcript:ORUFI06G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDGGCGLHLCRLCRRRLQPCLRFRLRPRQPAATYTSTSASASPRLLATNTTEASLTSATDCASRRIRPLRGRGCADLNARYHILQPYPPATLQQRSASSRSSTASLAASSVALPPASPVAGSSWRTSNCRPVCPFCK >ORUFI06G10470.1 pep chromosome:OR_W1943:6:7845595:7846423:-1 gene:ORUFI06G10470 transcript:ORUFI06G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRARSTARTEKAGIWRQPRRKASWDIITEKWEDASRSFTVEGAVRYGKTSTSSVSYYKTL >ORUFI06G10480.1 pep chromosome:OR_W1943:6:7907841:7909684:1 gene:ORUFI06G10480 transcript:ORUFI06G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAASPLLERLKAAYHYHAAVGNVRAIFITLLAAAAVAALTHLAPEEVVIGRLRELRTVHLFLAIFLPVAAATMYLMLRPRPVYLVDYACFRTAPNCRVPAATFLEYAKQVPVITDRSVRFMTRLLERSGLGEETCLPPANHYIPPYKYCTLDAARGEVDLVVFSAVDELFAKTGISPDDVDILVVNCSLFCPTPSFVDMIVNRYKLRSDIRSMDLSGMGCSASPISIGLARNLLQLAPHGARALVVSTETITPNYYVGNERAMLLPICLFRIGGAAALLSTSPAKARFRLQHVVRTLTAAEDSAYHCVFQEEDEHGNTGINLSKELMTIAGNALKANITAIAPLVLPASEQLKFALAFIARKALSGRVKPYIPDFRAAFEHFCIHAGGRAVIDELQRSLCLSDEQVEASRMVLHRFGNTSSSSVWYELAYIEAKGRMRRGDRVWMIGFGSGFKCNSAAWECISPARDADGP >ORUFI06G10490.1 pep chromosome:OR_W1943:6:7972664:7974142:-1 gene:ORUFI06G10490 transcript:ORUFI06G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFLDSLKATYQYYHRAMGNVLVAVPAAAGALVTVALLAPEAESTTVAIGRLRELIRPAHLFLAVFLPAAAATVYLVMRPRAVYLVDYACFRTAPNCRVPFATFLEHARQVPTLTERSVRFMTRLLERSGLGEETCLPPAHHYIPTYKYCTLEAARAEVDLVVFSAVDELFAKTGVSPDDVDILVVNCSLFCPTPSFVDMIVNRYKLRSDIRSMHLSGMGCSASLISIGLARNLLQVAPHGARALVVSTETITPNYYVGNERAMLLPNCLFRMGGAAALLSTSPAKARFRLKHVVRTLTGAEDSAHHCVFQEEDEHGSIGINLSKDLMTIAGNALKANITAIAPLVLPASEQLKFALAFIARKALSGRVKPYIPDFRAAFEHFCIHAGGRAVIDELQRSLCLSDEQVQASRMALHRFGNTSSSSVWYELAYVEAKGRMRRGDRVWMIGFGSGFKCNSAAWECISPARDDDGPWATSIHRYPVDIPDVLKH >ORUFI06G10500.1 pep chromosome:OR_W1943:6:7988914:7989792:-1 gene:ORUFI06G10500 transcript:ORUFI06G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPWRLTVVAGEQCAPKRWTTTSQRLRQKRCFGPHCLMLGNAFTNKEELFTWAKNNNRDCSTSTALTEQAILHLAMQIHTTGTAQEASGLLFSVVLLDVNEPDVAATTRMVIDARWRVALVGVENLHAQIVLYMEIVDG >ORUFI06G10510.1 pep chromosome:OR_W1943:6:8007372:8007869:-1 gene:ORUFI06G10510 transcript:ORUFI06G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQTAAATAGSGSAARSTGRRPRRLFYHTASAVPLLGEGNHCAGAFPRTTPPPRRRLELLAAPPRADESRVRQIRRRPSHPLLVVAARLLEVSLGEVATVEASPGRASATALAAAAGDASSPRLPIGVAAREEKEARRGIEREGKEARRRRRHHDDCWRRRLPA >ORUFI06G10520.1 pep chromosome:OR_W1943:6:8015476:8016990:-1 gene:ORUFI06G10520 transcript:ORUFI06G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSSPPPRASKHLKSAYRLAAIAVPVVAAAVVVIVVLLRAAQLGPGELLAGRLGAARHVHLFLAALVVVPSAVATLLRLVRRPHSVYLVDYACFRPQPSNRLPFATFAEHFRLSPHIDDGSFRFVTRMMERSGLGERTYVPRGNLYLPPRTGMEEARDEAEIVVFAAVGDLLARTRIRPEEIDVLVTNCSVFSPTPSFADMVVNRFKLRGDVRAVHLSGMGCSAGLIAVEVARNLLQAAAPRGAHALVVSTETTSFSHYAGTSRSMLLPTALFRMGGVAMLLSTSRSSATTTTSRFRLAHIVRTLNAAEDRAYRCAYHEEDGDGNLGVNLSKDIVPVAGEMLKANIATVGSRVLPLSEKLLYALSLLARKVAGSRRRKAIKLHVPDFRTAFEHFCIHAGGRSVIDAVQSGLGLADEDVEASRMALHRFGNTSSSSVWYELAYVEAKGRMRRGDRVWMICFGSGFKCNSAAWECISLPARDADGPWADSIHQYPVAITTTTKMC >ORUFI06G10530.1 pep chromosome:OR_W1943:6:8036384:8037320:1 gene:ORUFI06G10530 transcript:ORUFI06G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSPSASTPTSCATLNACPSAPHRPFAMANPARELLKKDNTYVYMCGIDWLDYKKQRIRSPSRPPGKLLRPFAPPARTARPSLERQQRRAAETRPWQGAKMAAVVTIAIPSRTPPALGAVEPGQWPSPPIAEQQRRRPPRHRAPTSHSEPHNKHSPGRKRVQEAVQPHRCKPRRRWMGAASAGGERCQGGGSCEASKGGVRQRQRLHGSPLLPSPVLSSPLVLAVRCRPKGKKGKERERRERGRRKKEED >ORUFI06G10540.1 pep chromosome:OR_W1943:6:8066973:8069442:1 gene:ORUFI06G10540 transcript:ORUFI06G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAKAAAAGAVGAKSARACDGCLRRRARWYCAADDAFLCQGCDTSVHSANPLARRHERLRLRPSSPPPLVPPSGSGRRDEAVPAAWFKRKARTPRSHAAKSAAAFGQLLSRRLVVVPEAAAGSGGDSPEERKDEGEIVEEQEQLLYRVPIFDPALSEFCSPPPLEDAAAAVSCCNEDGAVENPTKPSMTTTTATTPPLQFFPDGQANFGPTDAELREFAADMEALLGRGLDDGNDEDSFCMETLGLIEPVDDDAGRVKVEADGDAGMTLAWCHELDTETSSGEMLDIDFDCGSPQAATTPDEKVGSSGPAAADDDAQLQQSNLALSLNYEAIIESWGTSPWTDGERPHVKLDDSWPRDYSGVWMAAAGVFGHGGEEQALTPRLGMDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRAAAAATAAVATACVA >ORUFI06G10550.1 pep chromosome:OR_W1943:6:8081293:8087435:1 gene:ORUFI06G10550 transcript:ORUFI06G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFVKTLKGTNFEIEASPEASVAEVKRIIESTQGQNVYPADQQMLIHQGKILKDDTTLEGNKVAENSFLVIMLSKAKASSSGASTASKAPVSQSQPATPVASVARTPPPQAPVVTPEPAPPSAQPPVASATPAAAATPAAAVTASSDADVYSQAASNLVSGSNLEQTIQQILDMGGGTWERDMVVRALRAAYNNPERAIDYLYSGIPENVEPPQPVARAPAAVQQGNPQVPSQAQAAPPPPVQPAGGASGPNANPLNLFPQGIPSAGSNPGAAAGAGAGAGALDALRQLPQFQALLALVQANPQILQPMLQELGKQNPQILRLIQENQAEFLRLVNESPDSGAAGGNILGQLAAAMPQALTVTPEEREAIQRLEAMGFNRELVLEVFFACNKDEELAANYLLDHGHEFEDQQ >ORUFI06G10560.1 pep chromosome:OR_W1943:6:8099825:8105674:1 gene:ORUFI06G10560 transcript:ORUFI06G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVEAAMAEEEEAKKSKMRKKGGFRTMPFIFANEVAEKLAVLGFTTNMLMYLTRQLHMPLAKAATTLTNFGGVSAMTPLIGAFLADSLVGRFWTIAAASLIYQVVRIHIHARTHAPRTPPRRAELTGAFVFAWQGMLLLTVSAAMPVFRPPPCSGAGGAGACDEAAPWQLAVLYAALLLNALGAGGYRPCVVAFGADQFDESEAAERARTWGFFNWYYFCNGASQLVAVTAVVYVQDNVGWGWGLGVPTFCMAVSVVAFVAGYPLYRRLHPSGSPFTRLAQVVVAAVRKRRVPTDADDAAALYENDDMDAPISLYGKLVHTEQLSFFDRAAIVTDGDLTTDTSNGKPSLSPIPKPWRLSTVHRVEELKSLLRMGPIWAAGILVITAYSQQHTFALQQASTMDRRLAPGLSSFQIPAGSMTVFTLLAMLTTLLAYDRVLVPLARRVTGLDRGISYLHRMGVGFAISVAATLVAGFVERHRRESAAAAGTTDAGTSPLSAYWLVPQYALHGMAEAFNSVGHLEFMYDQSPESMRSMATALFWLSISLGSYVSTMLISAVHRWSAGADGSNWLPDNINRGRLDYFYWIVALLQVLNLAYYAICARCYLFKPLQLREVDDDAKPQIELQEKASPLSH >ORUFI06G10570.1 pep chromosome:OR_W1943:6:8105016:8105369:-1 gene:ORUFI06G10570 transcript:ORUFI06G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAADATSSSPTPSPPPQETPARKAVRVVVKGRVQGVGFRDWTAETAESLGLAGWVRNRRDGTVEALLSGDPAKVDEMVSRHLPVGSPASAVTAVVPSPADPIHPSLGFEINFTV >ORUFI06G10580.1 pep chromosome:OR_W1943:6:8106195:8106608:-1 gene:ORUFI06G10580 transcript:ORUFI06G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSATAPRLALTPRSVAAARRAMASAATPQPSPPSPKAVRVVVKGRVQGVFFRDWTVETARALGLAGWGRNRRDGTPQGRRDGVPPPPRRPPRRRRHRRPPLPRRPRRPRRGLHPQAHRLRRKTTYCFLLFDDAIK >ORUFI06G10590.1 pep chromosome:OR_W1943:6:8112267:8113111:-1 gene:ORUFI06G10590 transcript:ORUFI06G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAYTVALLGATGARVPAAPRSAALLPRRGGVLQPLRLQDAPRLSLLRVRAASDDTSTSASGDELVADLKAKWEAIEDKPTFLLYSGGAVVALWLTTVVVGAINSVPLLPKILELVGLGYTGWFVYRYLLFKESRKELATDIETLKKKIAGTE >ORUFI06G10600.1 pep chromosome:OR_W1943:6:8114134:8118095:-1 gene:ORUFI06G10600 transcript:ORUFI06G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPRSRLTPPTPAMHPHRKRARSPPASGSLVRCSSSGNGAPRDHRRRWQSPAAGSPGRVYQRHRAQQYGVPSRRWVLAEEASTSDGDACTIMSYNILADNNAHYHPDLYLDVPWDAMRWDSRRRLIIREIRHWDPDLEVDRFRDIATEMENRGYQSRFKGRTGDAKDGCATFWKSKGLHLLEEDSIDFSEYNLRNNVAQIFVFELNRAQKLVVGNIHVLFNPKRGDVKLGQIRMLLEKANALAEKWGGIPIVLAGDFNSTPDSAIYKFLSTMKLDISLHDRRQLSGLDSSEFALYDLCSSLKYQWSGEEVRNATGSSNVMVAKHPLNICSSYAMLKGNSNNRGHHGEPLATSYHKKFLGTVDYLWYTAGLECSRVLDTLPVGFLRRTRGLPTREIGSDHLPIVAEFVFTESAASTDSDQEDESDEDKESEEEATRGQHIYFSSDSDSSDEVG >ORUFI06G10600.10 pep chromosome:OR_W1943:6:8117458:8118095:-1 gene:ORUFI06G10600 transcript:ORUFI06G10600.10 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPRSRLTPPTPAMHPHRKRARSPPASGSLVRCSSSGNGAPRDHRRRWQSPAAGSPGRVYQRHRAQQYGVPSRRCVHNHVIQHLGG >ORUFI06G10600.2 pep chromosome:OR_W1943:6:8114134:8118095:-1 gene:ORUFI06G10600 transcript:ORUFI06G10600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPRSRLTPPTPAMHPHRKRARSPPASGSLVRCSSSGNGAPRDHRRRWQSPAAGSPGRVYQRHRAQQYGVPSRRWVLAEEASTSDGDACTIMSYNILADNNAHYHPDLYLDVPWDAMRWDSRRRLIIREIRHWDPDLEVDRFRDIATEMENRGYQSRFKGRTGDAKDGCATFWKSKGLHLLEEDSIDFSEYNLRNNVAQIFVFELNRAQKLVVGNIHVLFNPKRGDVKLGQIRMLLEKANALAEKWGGIPIVLAGDFNSTPDLDISLHDRRQLSGLDSSEFALYDLCSSLKYQWSGEEVRNATGSSNVMVAKHPLNICSSYAMLKGNSNNRGHHGEPLATSYHKKFLGTVDYLWYTAGLECSRVLDTLPVGFLRRTRGLPTREIGSDHLPIVAEFVFTESAASTDSDQEDESDEDKESEEEATRGQHIYFSSDSDSSDEVG >ORUFI06G10600.3 pep chromosome:OR_W1943:6:8114134:8118095:-1 gene:ORUFI06G10600 transcript:ORUFI06G10600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPRSRLTPPTPAMHPHRKRARSPPASGSLVRCSSSGNGAPRDHRRRWQSPAAGSPGRVYQRHRAQQYGVPSRRWVLAEEASTSDGDACTIMSYNILADNNAHYHPDLYLDVPWDAMRWDSRRRLIIREIRHWDPDLEVDRFRDIATEMENRGYQSRFKGRTGDAKDGCATFWKSKGLHLLEEDSIDFSEYNLRNNVAQIFVFELNRAQKLVVGNIHVLFNPKRGDVKLGQIRMLLEKANALAEKWGGIPIVLAGDFNSTPDLDISLHDRRQLSGLDSSEFALYDLCSSLKYQWSGEEVRNATGSSNVMVAKHPLNICSSETQTIGVIMANPLQLPITRSFLALLITCGLECSRVLDTLPVGFLRRTRGLPTREIGSDHLPIVAEFVFTESAASTDSDQEDESDEDKESEEEATRGQHIYFSSDSDSSDEVG >ORUFI06G10600.4 pep chromosome:OR_W1943:6:8114134:8117408:-1 gene:ORUFI06G10600 transcript:ORUFI06G10600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRWDSRRRLIIREIRHWDPDLVCLQEVDRFRDIATEMENRGYQSRFKGRTGDAKDGCATFWKSKGLHLLEEDSIDFSEYNLRNNVAQIFVFELNRAQKLVVGNIHVLFNPKRGDVKLGQIRMLLEKANALAEKWGGIPIVLAGDFNSTPDSAIYKFLSTMKLDISLHDRRQLSGLDSSEFALYDLCSSLKYQWSGEEVRNATGSSNVMVAKHPLNICSSYAMLKGNSNNRGHHGEPLATSYHKKFLGTVDYLWYTAGLECSRVLDTLPVGFLRRTRGLPTREIGSDHLPIVAEFVFTESAASTDSDQEDESDEDKESEEEATRGQHIYFSSDSDSSDEVG >ORUFI06G10600.5 pep chromosome:OR_W1943:6:8114134:8118095:-1 gene:ORUFI06G10600 transcript:ORUFI06G10600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPRSRLTPPTPAMHPHRKRARSPPASGSLVRCSSSGNGAPRDHRRRWQSPAAGSPGRVYQRHRAQQYGVPSRRWVLAEEASTSDGDACTIMSYNILADNNAHYHPDLYLDVPWDAMRWDSRRRLIIREIRHWDPDLEVDRFRDIATEMENRGYQSRFKLNRAQKLVVGNIHVLFNPKRGDVKLGQIRMLLEKANALAEKWGGIPIVLAGDFNSTPDSAIYKFLSTMKLDISLHDRRQLSGLDSSEFALYDLCSSLKYQWSGEEVRNATGSSNVMVAKHPLNICSSYAMLKGNSNNRGHHGEPLATSYHKKFLGTVDYLWYTAGLECSRVLDTLPVGFLRRTRGLPTREIGSDHLPIVAEFVFTESAASTDSDQEDESDEDKESEEEATRGQHIYFSSDSDSSDEVG >ORUFI06G10600.6 pep chromosome:OR_W1943:6:8114134:8118095:-1 gene:ORUFI06G10600 transcript:ORUFI06G10600.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPRSRLTPPTPAMHPHRKRARSPPASGSLVRCSSSGNGAPRDHRRRWQSPAAGSPGRVYQRHRAQQYGVPSRRWVLAEEASTSDGDACTIMSYNILADNNAHYHPDLYLDVPWDAMRWDSRRRLIIREIRHWDPDLEVDRFRDIATEMENRGYQSRFKGRTGDAKDGCATFWKSKGLHLLEEDSIDFSEYNLRNNVAQIFVFELNRAQKLVVGNIHVLFNPKRGDVKLGQIRMLLEKANALAEKWGGIPIVLAGDFNSTPDFTEVPMERRRSKKCNWGNSNNRGHHGEPLATSYHKKFLGTVDYLWYTAGLECSRVLDTLPVGFLRRTRGLPTREIGSDHLPIVAEFVFTESAASTDSDQEDESDEDKESEEEATRGQHIYFSSDSDSSDEVG >ORUFI06G10600.7 pep chromosome:OR_W1943:6:8114134:8117408:-1 gene:ORUFI06G10600 transcript:ORUFI06G10600.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRWDSRRRLIIREIRHWDPDLVCLQEVDRFRDIATEMENRGYQSRFKLNRAQKLVVGNIHVLFNPKRGDVKLGQIRMLLEKANALAEKWGGIPIVLAGDFNSTPDSAIYKFLSTMKLDISLHDRRQLSGLDSSEFALYDLCSSLKYQWSGEEVRNATGSSNVMVAKHPLNICSSYAMLKGNSNNRGHHGEPLATSYHKKFLGTVDYLWYTAGLECSRVLDTLPVGFLRRTRGLPTREIGSDHLPIVAEFVFTESAASTDSDQEDESDEDKESEEEATRGQHIYFSSDSDSSDEVG >ORUFI06G10600.8 pep chromosome:OR_W1943:6:8114134:8117408:-1 gene:ORUFI06G10600 transcript:ORUFI06G10600.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRWDSRRRLIIREIRHWDPDLVCLQEVDRFRDIATEMENRGYQSRFKGRTGDAKDGCATFWKSKGLHLLEEDSIDFSEYNLRNNVAQIFVFELNRAQKLVVGNIHVLFNPKRGDVKLGQIRMLLEKANALAEKWGGIPIVLAGDFNSTPDFTEVPMERRRSKKCNWGNSNNRGHHGEPLATSYHKKFLGTVDYLWYTAGLECSRVLDTLPVGFLRRTRGLPTREIGSDHLPIVAEFVFTESAASTDSDQEDESDEDKESEEEATRGQHIYFSSDSDSSDEVG >ORUFI06G10600.9 pep chromosome:OR_W1943:6:8114134:8118095:-1 gene:ORUFI06G10600 transcript:ORUFI06G10600.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPRSRLTPPTPAMHPHRKRARSPPASGSLVRCSSSGNGAPRDHRRRWQSPAAGSPGRVYQRHRAQQYGVPSRRWVLAEEASTSDGDACTIMSYNILADNNAHYHPDLYLDVPWDAMRWDSRRRLIIREIRHWDPDLEVDRFRDIATEMENRGYQSRFKLNRAQKLVVGNIHVLFNPKRGDVKLGQIRMLLEKANALAEKWGGIPIVLAGDFNSTPDFTEVPMERRRSKKCNWGNSNNRGHHGEPLATSYHKKFLGTVDYLWYTAGLECSRVLDTLPVGFLRRTRGLPTREIGSDHLPIVAEFVFTESAASTDSDQEDESDEDKESEEEATRGQHIYFSSDSDSSDEVG >ORUFI06G10610.1 pep chromosome:OR_W1943:6:8120321:8126347:-1 gene:ORUFI06G10610 transcript:ORUFI06G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGVADVSLAKRSRIIELSRRLRHRGPDWSGIHCYQDCYLAHQRLAIVDPTSGDQPLYNEDKSVVVTVNGEIYNHEELKANLKSHKFQTASDCEVIAHLYEEYGEEFVDMLDGMFAFVLLDTRDKSFIAARDAIGICPLYMGWGLDGSVWFSSEMKALSDDCERFISFPPGHLYSSKTGDGTTHHGFLKAFPPPRTILFFSDRALRRHAIIKRLMTDVPFGVLLSGGLDSSLVASVVSRHLAEAKVAAQWGNKLHTFCIGLKGSPDLRAAKEVADYLGTVHHELHFTVQEGIDALEEVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKALHLYDCLRANKSTSAWGVEARVPFLDKNFINVAMDIDPEWKMIKRDLGRIEKWVLRNAFDDEEKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANEHVSDSMMMNASFVYPENTPNAARLTVPGGPSVACSTAKAVEWDAAWSKNLDPSGRAALGVHDAAYEDTLQKSPASANPVLDNGFGPALGESMVKTVASATAV >ORUFI06G10610.2 pep chromosome:OR_W1943:6:8120321:8126347:-1 gene:ORUFI06G10610 transcript:ORUFI06G10610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGVADVSLAKRSRIIELSRRLRHRGPDWSGIHCYQDCYLAHQRLAIVDPTSGDQPLYNEDKSVVVTVNGEIYNHEELKANLKSHKFQTASDCEVIAHLYEEYGEEFVDMLDGMFAFVLLDTRDKSFIAARDAIGICPLYMGWGLDGSVWFSSEMKALSDDCERFISFPPGHLYSSKTGAIIKRLMTDVPFGVLLSGGLDSSLVASVVSRHLAEAKVAAQWGNKLHTFCIGLKGSPDLRAAKEVADYLGTVHHELHFTVQEGIDALEEVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKALHLYDCLRANKSTSAWGVEARVPFLDKNFINVAMDIDPEWKMIKRDLGRIEKWVLRNAFDDEEKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANEHVSDSMMMNASFVYPENTPNAARLTVPGGPSVACSTAKAVEWDAAWSKNLDPSGRAALGVHDAAYEDTLQKSPASANPVLDNGFGPALGESMVKTVASATAV >ORUFI06G10620.1 pep chromosome:OR_W1943:6:8128152:8128676:-1 gene:ORUFI06G10620 transcript:ORUFI06G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLHHTGNQQATQQGKAGPGNSVHAGNEVSFSILTHGIHLKFVRLYHRHHLASLKLKVSWVLGASSISFLCSSIHVEIIVRVEHRLSVRLWSAGMLLVFLRFDDDFHGNHWLSPVKPPTYLRLNSKPQLVPNPWRQPEGSLAGQAGSTFEEALGCNRRGNAADLRRLYSLLLY >ORUFI06G10630.1 pep chromosome:OR_W1943:6:8128707:8129188:-1 gene:ORUFI06G10630 transcript:ORUFI06G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPVFPSSDLKSCGWISQGGQSSRCQTSISSALATPDCGKFSYSAGGRKSSSFDSLSLRWIWMASTDFRGPFVHLILGAVPFLSDVLSRVVSGEGGLTHAQIVVVFGGFGGAELAELLKQFVLADSSHASIKNIVSTDSISINLLLCLNQLSKK >ORUFI06G10650.1 pep chromosome:OR_W1943:6:8133143:8134117:1 gene:ORUFI06G10650 transcript:ORUFI06G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLLAVRLIEVDRHHHRHKDRFQFRSHAAKAALRCAALSTRLPDVDADAFLIGLSAPVSHLAHSLSAENSGRRRRLLSIQDVTRLTRLMQKPLDLKNLNNPMDLAAMRCHQFEMKVQPTLTLSLRGIFLDRIHGVYLRAISRIPMEDFRGRYHHGLLKAGYCYGPLNPMFPAPDQALKFLYVSSLNLWMVSSMCWLPVFLASLNMMPWFICSKPTDELTQDALKMA >ORUFI06G10660.1 pep chromosome:OR_W1943:6:8146463:8148601:-1 gene:ORUFI06G10660 transcript:ORUFI06G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHQGMAAATAADRFCLPRMAAAAAAASQVENWGDSGVIVSSPFTDDTSTDLDDSADKHHLHALVGGGDGGDDAGEQRGADSSAVSKERRGDQKMQRRLAQNREAARKSRMRKKAYIQQLESSRSKLMHLEQELQRARQQGIFIATGGSGDHGHSIGGNGGTLAFDLEYARWLDEHQRHINDLRVALNAQMSDDELCELVDAVMMHYDQVFRLKSFATKSDVFHVLSGMWMSPAERFFMWLGGFRSSELLKVLASHLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQTLGDTLVSAAATVVSGGGGADNVTNYMGQMAIAMAKLTTLENFLRQADLLRHQTLQQMHRILTTRQAARALLVISDYFSRLRALSSLWLARPRD >ORUFI06G10670.1 pep chromosome:OR_W1943:6:8151588:8155128:1 gene:ORUFI06G10670 transcript:ORUFI06G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQQGCDVLLAGLQVAEPTTSWPSSEHTVYHYGGGYNFTVLSFWAPFLAIEDTVLHGHRVAAGTRVMINAWAIGRDEAAWEGAAEFRPGRFAGGGDAAGVEYYGGGGDFRFMPFGAGRRGSSRLLTGAVYGEQ >ORUFI06G10680.1 pep chromosome:OR_W1943:6:8157897:8158337:1 gene:ORUFI06G10680 transcript:ORUFI06G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASGERPASNWDWSDCDAAFTEEADGAIAALQDTGGDGLATKQLLGRYHTILAQWYFARADQQKATNHLRRAAWMAPCCLHIAFALAFVLIEMGSFDEADMVCAHNLLVPDLTNPAHNFISPKEQVDAIISSKAPEYRLGRGAI >ORUFI06G10690.1 pep chromosome:OR_W1943:6:8172324:8178034:1 gene:ORUFI06G10690 transcript:ORUFI06G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWSSPKDPALEAALRRNRRWIVNNQIKRLLLRFPSRTAPVRLLQSRFKTLDLLGRAANWLRKYPSCFDLFHGGAGGDGDGGEEACFGFTKRMAALVDAEEAAVAASEPAMADRLARVLMLARGRRLQVSKLAALRGPLGLPDDYLLRLLPARTDLFRLANPYPHRRNAAELELLRWVPSLAVSSVEAAAASAADSSAPRFTCSLPPSWAKSHAKMEEFNSTPYISPYSERWAAIGTDADAEKRAVAVVHELLSLTLWKKMSVLKLEHFRREFGLPEDTARMLHRHPCLFYVSNRYKIHTVVLREGYEGSELREKDPVVAAKDRLGELMQEGLHEYNQRRRSDNLEKKRRRGEIEIKEEEEEDDEEAARLDSAEKREERRKFYKKANAGAIHVLKAHSLRLHQCAAHQPKPVLPPAYVAAPLLHLVLMHKPRLRCPSSYGMSVAQCRSMALLNNLCNFLVKTQYYNGRCLIPAISILLLVAVIAVSDTYFPFPVVMPRTLSAWLSYSSFSTSSGGRKADGEACDIFRGEWVPDPDAPYYTNDTCSFIHEHYDCMKYGKLDLGFVQWRWRPDGCDLPRLDPSRFLSAMRGKTLAFIGDSLAKNHMNSLICLLTRVAKPTTSWPSSEHTVYHYGGGYNFTVLNFWAPFLVRSELVDADGPAHTGLWNLYLDEPAAVWAPHVPAFDYAVVSASSWFYRPSMLYEAGRLVGCHHCLLPNVTDLTLRYALRMATRAALRAVVSSDGGGVTAVLRTVSPSQYEGGEWNKDGNCVRTRPYRRGEKTLQGFELDFHTLQVEEFEAAKRAASGGGGRMMLMDTTEAMIRRADAHPSRYRGWTRRKEWMKEYFTISNDCVHWCVPGAIDAWNDMLSHIGAREGYDGLELREKDPEVVAKDRLGELMQMGLHEYNQRRHAENLEEKRRKEER >ORUFI06G10690.2 pep chromosome:OR_W1943:6:8172324:8178034:1 gene:ORUFI06G10690 transcript:ORUFI06G10690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARWSSPKDPALEAALRRNRRWIVNNQIKRLLLRFPSRTAPVRLLQSRFKTLDLLGRAANWLRKYPSCFDLFHGGAGGDGDGGEEACFGFTKRMAALVDAEEAAVAASEPAMADRLARVLMLARGRRLQVSKLAALRGPLGLPDDYLLRLLPARTDLFRLANPYPHRRNAAELELLRWVPSLAVSSVEAAAASAADSSAPRFTCSLPPSWAKSHAKMEEFNSTPYISPYSERWAAIGTDADAEKRAVAVVHELLSLTLWKKMSVLKLEHFRREFGLPEDTARMLHRHPCLFYVSNRYKIHTVVLREGYEGSELREKDPVVAAKDRLGELMQEGLHEYNQRRRSDNLEKKRRRGEIEIKEEEEEDDEEAARLDSAEKREERRKFYKVAKPTTSWPSSEHTVYHYGGGYNFTVLNFWAPFLVRSELVDADGPAHTGLWNLYLDEPAAVWAPHVPAFDYAVVSASSWFYRPSMLYEAGRLVGCHHCLLPNVTDLTLRYALRMATRAALRAVVSSDGGGVTAVLRTVSPSQYEGGEWNKDGNCVRTRPYRRGEKTLQGFELDFHTLQVEEFEAAKRAASGGGGRMMLMDTTEAMIRRADAHPSRYRGWTRRKEWMKEYFTISNDCVHWCVPGAIDAWNDMLSHIGAREGYDGLELREKDPEVVAKDRLGELMQMGLHEYNQRRHAENLEEKRRKEER >ORUFI06G10700.1 pep chromosome:OR_W1943:6:8179638:8180334:-1 gene:ORUFI06G10700 transcript:ORUFI06G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEWSVKLFDCFGDSGTCCLTCWCPCITFGRIAEIVDKGSTCILTYHFALWFKHRKPSIFVLHARDLVCFAGDNRLPMAVCLYQTILNAGTVQLATVALLGLLRPLLLRTQEYKELEKRGFNMSKGWEGSNKMVGCVQGMKPPRKQRM >ORUFI06G10710.1 pep chromosome:OR_W1943:6:8181725:8182584:-1 gene:ORUFI06G10710 transcript:ORUFI06G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAARRGRGSASGGGVVLLCLVAAALLMEAVPAAEAGGKTYYVGDAAGWGRNLDWWLAGKTFYAGDVLVFKYNKEYHDVAVVGGKGYRRCKVPRNKDTVVLRTGYDQVTLRRGNNYFICGMPGHCDAGMKLAVKAW >ORUFI06G10720.1 pep chromosome:OR_W1943:6:8187986:8188498:-1 gene:ORUFI06G10720 transcript:ORUFI06G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLESAEKDGDRVESIVLEGVEVDEGEEGGGVDEVAEAALRGVRRLEGGAWRGEVGVATKTVVELGGEGVMMTAEGVGSAEAVEIGVGSGFLPPRRAALLTLLPWERIRYIEVGMRWRK >ORUFI06G10730.1 pep chromosome:OR_W1943:6:8189560:8189992:-1 gene:ORUFI06G10730 transcript:ORUFI06G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKIPFLLLAVLLLLSVAFPAEVMAGGHGRGGSSGGGGGVAGGGNLRPWECSPKCAGRCSNTQYKKACLTFCNKCCAKCLCVPPGTYGNKGACPCYNNWKTKEGGPKCP >ORUFI06G10740.1 pep chromosome:OR_W1943:6:8192942:8193628:-1 gene:ORUFI06G10740 transcript:ORUFI06G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDGIRCPQRDSSPPPPLAARAQGTRAKPAAVAGAREPGEGRRWLPEPRERATAVAGAGALAAGARERRDGAAAVAGAREPGEGAAVVARAGAPAAGARERRDGAAAVAGARELREGAAVVARAGAPAAGARERRDGAAAVAGARELREGAAVVARAGAPAAGARERRDGAAAVAGARELREGAAVVARADGGGDGDGGRSQGRERRRWTEPAGEGAATVAGAGSKR >ORUFI06G10750.1 pep chromosome:OR_W1943:6:8199721:8203796:1 gene:ORUFI06G10750 transcript:ORUFI06G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRRRALAPRVLLGAARRGRLRRAVPAPRMAPRGRRWDAGCAHVDAEHPSFVLRLRNTMLSTLVRFGAIWYGVSIDASRSDYSGGQKPEAGAGGDERKKEQMKNIMRRLKGIILAAARWTRPPSSTRPLGTSSCLRWRSRSSVCVAQAAKCATGRPDIDSDLAQRLTGTRMEASAVLACWEDLGSDSGEGIGGMQQRGHVRRSKEARRRSLRASENRAWWAGPVGRLRAGLVLPYSGPLIQPTYEKLEME >ORUFI06G10760.1 pep chromosome:OR_W1943:6:8204461:8206126:-1 gene:ORUFI06G10760 transcript:ORUFI06G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQLDSGLLMGFLFLATCLVVAVRSYLRSGGADGGRGGAAIPSPPALPVTGNLHQLGRGRHHRALRELARRHGPLFQLRFGSVRALVVSSASMAEAVLRHQDHVFCGRPQQHTARGTLYGCRDVAFSPYGERWRRLRRVAVVRLLSARRVDSFRALWEEEVASFVNRIRAASCGGGGVVNLTELIVGLTHAVVSRAAFGKKLGGVEPAKVRETVGELADLLGTIAVSDMFPRLRWVDWATGLDARTKRTAAKLDEVLEMVLRDHEQSRGDDDDDEARDLMDNLLSMANGGGGGDDHGYKLDRIDVKGLILDMFAAGTDTVYKSMEWTMAELIKNPAEMAKVQAEVRHVVAAAHGEEGDEDAIVIVKEEQLGKVTLLRAAMKEAMRLHPPLPLLIPREAIQDTVLHGHRVAAGTRVMINAWAIERDEAAWEDAGEFRPGRFADGGDDAGVEYYGGGGDFRFMPFGAGRRGCPGMAFATRLAELAVANMACWFEWELPDGQDVESFEVVESSGLSPGLINPLVLTAKPL >ORUFI06G10770.1 pep chromosome:OR_W1943:6:8208025:8212904:1 gene:ORUFI06G10770 transcript:ORUFI06G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVQPSNAQYKPRAPGLCAGVSVVSSPPPSPVNSGSKKKKENLGRPTTHRLSTSISIRFSLQVRRLALALVGSLVGRGGLRLPAGGAGAAMTGTSWIIDSHRIASKIKNASGSVDASKHKWVSNPTKACPRCNHIIDNSDVVHQWPGLPRGVKFDPTDQELLWHLLAKHGKVGAKAHPFIDEFIPTVEEDDGICYTHPQKLPGVKQDGSVSHFFHRTFKAYNTGIRKRRKINTGDLADVRWHKTGKTKPVVVDGKHLGCKKIMVLYMSTMKGGKPEKTNWVMHQYHLGTGEDEVEGQYVVSKLFFQQQFKPGEKNAQDLTSADALESIVAEDLPNIPPLPLEEHVFTNQELEVLEKSETITDQGKETSEINNEDNAVEDVAHMATEKPEDRDNPSSQDPKWWEGESQFLLDSQQLAENLAICDEFLQSQSQTSCGGGDDEPDKIKPRLAVYAQLPVEDLKKDLEECQRLDPSDGTNLELENASEFRLSQIEFSQDSFTTAWAGGKVID >ORUFI06G10780.1 pep chromosome:OR_W1943:6:8217622:8218150:1 gene:ORUFI06G10780 transcript:ORUFI06G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKPEPSDRMTVQIDPNLYKNYAANPNPKNSFPLPHVPDPLAPPPPTRRPAAAALSSGRRAPPLPRHGAAGGLDRGGRWQALAPSLPTGGKAADRGGGTLPSVGSSGGEATAARWCPSGGGALPSARSSGRGAGGGLASLRQRRRFGGDDIGGLAATVATSPLI >ORUFI06G10790.1 pep chromosome:OR_W1943:6:8218603:8231001:1 gene:ORUFI06G10790 transcript:ORUFI06G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFSGVWVRTMMKRLCKSLLKKRLGDLILGDLDLDQFDLQLTRGTLHLSDIALNADFVNRKLSGSAIMMKEGSIKSLLVRIPLLLNMRDCCEIVVEDLELVLAASVSSEDPSGDTECSVSGSNTDDTQKSVQAKRNESDGNQCSTSASRDVDKGVQRIANAVTCFLTNFNIKLKNSYVVFDPQNILDNKVPEFNRSLVFRIKETEFGTNLSTDGIIKLHNFVTFHEAVIEFLKMDDVDAHALLQDDLDRGPADISSGHSTTAVLTGPIGGFSGKLNLSIPWNGLNFKKLDADISLNSLELRLQLSSIQWLMDVWDSLQRRNLVHEQSYAHNTADISRSASSYVSSSSKSGSGSVIASREHLTEDTFSQLRQEKTQEPSLTMPYLIPDWIPVLIHEDHGDPDSVCDESIDQFFECFEELMNSQTNLGNSGIWDWTCSVFNAITFVSTLASGSDQIPKEPPIEKTLRASIAEISVVLLFSDEMDAGNSSVPISQFNDMRNSEMFSSCLSFAHFEQSMISPAPAASLNMHHVEAKCQNIHLSLETYPENLSLKGSIAAIKLDEYYGSKNNDSDHPNLGAAFLNNNFCREVQASLPQSVFAYQDYHEETSRRHTNNSNDLTKVELLNTFGECVFHYDVSSSGQDGNPVSSTSLSVCLAPLVCWVHFHTIYMLLNFISKIESDVLHGEDKIHRKNDEKNVNLSMKSNVSLGGSQKVQIALSPARVIFCFPSESWDLSCPSMLDKFLVIDHTPSLKSGADSSPHQNEMPNDVNAITPSTLVHLATGNFDIYLVRPVNDELNARTCSLSRQTFSSLKIFSVTGSNCHETGITMLWKKYPLKDPEMVSKTWSLPNLHEQKIAQNKNGKWVGVSSSTTSEDLEESSSSIRRELLRSTEFLLHIKLSCVSVHLSKDCGILNHLLKNILDGLSDGATGNFENDSDNCMPIHDTASQTSVIFECSILDICTELDETVEVGPLLQTELEGSWNCLKLSISKFSLLSSSNVGGVNNANFLWVNHGEGELWGSITGTDDYEESKDVLLVVCKDSASRRGDGEGSNILSFGTAGCSVTHIMNPKFQKNYTSINVRSATAVAPGGRMDWISAICLLFSSASDGTEQPANSSTMNDSQGGEPFSSLFFLELVDVAVSYEPHFRSSALSAETPDCKYFSCLLAASLFKLHSKSASNSTATDFDIELRDLGVLICGSSSFKNVSCGYGADYLRRMGYAKIVQNTFIEAVLRIDTSFWKLELSDSQFDIGTCHDTTHGVIQLCSQLQQLYAPDMRDALDHLQSRWNSVQQANKQNMGTDVSEKSESSIDNLTDSEECKSDGLLDDIIENAFCTDQDFASYSLSGSEMDEEFGLSKAIPEANDACISLESLLVTPEASTSDDLIIESYYMPPSSSSTLYNEDQGNCAPRTVECDEGEWYNNFPTIDENHVQRNKPREEQIFQQKLKPAIFILNSDESCSLKGKVLIHDIDVKWRMYEGNDWKLAQKDTISRPCSNGRDKRSYLEFIMSALNIQFNMYPDGDIFVSKLSISAKDINICDQSTHAPWKMVLGCYNSKDYPRESCSSAFMLELESVRPEPQAPLEDYRLHLEILPLQLHLDQGQLNFLISFFQNDLCNNPNLACENENIDAQSTMYRSDTIADEALLPFFQKFDVKPLVLHINYIPRHFDPVALSKGNYAELLNIFPWKGIDLKLKQVSAMGVYGFNNICEIVAAEWLEDISKNQVHKLLKGLPPIKSLVAVSSGTKKLVSLPIKSYKKDRKLLKGMQRGAVAFIRSVSIEAVGLGVHLAAGAHDMLLKTESALTAIPPPLASREAKRTKDNVRANQPESAQEGLKKAYESLTDGFGRTASALIGNPIKVYNRGAGAGSALATAICGAPGAAVAPLSASFRAAHYTLLGIRNSLDPERKKESMYKYHGPPQL >ORUFI06G10800.1 pep chromosome:OR_W1943:6:8242073:8242491:-1 gene:ORUFI06G10800 transcript:ORUFI06G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAEVARVERGGRCLNPLCPMSGNSGGLSMGNCGGEVVAAVAVRWCWRRLVRERPWQRGGGGRGVEVVMESLELAMWWQRRGLWRLQ >ORUFI06G10810.1 pep chromosome:OR_W1943:6:8242733:8245966:-1 gene:ORUFI06G10810 transcript:ORUFI06G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVETIISTGINIYEATQLSDELSRLQATLPKARFLINRGEWGRFKNKDMAILLSQLKDTTYDAEDLLREFDDQALRQKMEDADRSWAEGAINSVGLSIEAVQHMPETSSVIDVSQVFGRDKERDLVIEKLGVRSMIGRNSQRDQVIELLGVPLTRGAAARAKEKRAAATVTGTKSASCRTKKLKGESSRAPRLDEAKCIGNVSVLPIFSIGGVGKSTLAQFIYNDPRVQAHFGKRRVWVCVSDLFDKRRITKEIIESFTKEEYKSLFSLDALQVEMMEQLGRRKFLLVLDDIWPNANDEWESFYAPFKNGPKDSMILVTTRSPNVADLVATNNCKPIQLEGLDRDIFWEFFSKCSFGEERPESYPQLQDIGHNIASRLCGSPLAAKTIGRLLNMELTVQHWETVRNIETGDGRKIGELRGMNQLSVALLISSICNVKNEEEAAEASLVEKRYLQNLVLQWRKKVTSLVKSSENGVLEALHPPPRIEHLTVQGFGGDSFSPSWFRLESLLTLRSLVLFHCGVLKNLSIPSFPSLELLMLSGNIRLKTVTILGGSTGGETMQHASSSSSSSNGTTCLSGLTYIGLYSCEDLQNLDRCLSPEYLPSIKSIEIDSSSDLGLSMPVDSFVGFKYLQDLKIHCKLLCPQGMVLPPSLRRLSIVSGRKVDFPACLQSLTSLNILHLSSCDGMESIPLGTNLQVKCLLLERCSELSSIGGSHVLSSMQFVSISICPKLHEVEQPFKKCLLTNKDKKELLQFTYHLYG >ORUFI06G10820.1 pep chromosome:OR_W1943:6:8252506:8257878:-1 gene:ORUFI06G10820 transcript:ORUFI06G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRQNTIRVCRCGHGGMQLTLVASQLIDQDQRDASPGPRSPSILPTGKLENLMANMMSSSTARHSHHLVCVWSRCRMWEMPWISGKDEVLDDEAVQRSPGSDCSGSHRRRSETETMQRSSWQSDARSGPVRGIPTGANLLAEAAFGKTCSWDKLSQTGPSSSSSAHSNTT >ORUFI06G10830.1 pep chromosome:OR_W1943:6:8269689:8269880:-1 gene:ORUFI06G10830 transcript:ORUFI06G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGDGWSVGERGSDRDDEVVLAAAAAGAWVTMVAAVCGVEVVMESSELAIWRQRQGLWRLQ >ORUFI06G10840.1 pep chromosome:OR_W1943:6:8270760:8273632:-1 gene:ORUFI06G10840 transcript:ORUFI06G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVETIISTGINIHEATQLSNELSRLQATLPKARFLINRGEWGRFKNKDMALLLSQLKDTTYDAEDLLRESDDQALRQKMEDADRNWFGKRYSSILNLAKILIRGSKTKIKEAREKLDKAVADLEGVLNSVGVSIEAVQHMPETSSVICVSQVFGRDKERDLVIEKLGVCSMIGCDSQRDHVIELLGVPLITRSGVARARAKGKRAAAPVIGNTSASSRAKQLKRDTRARPRLAEAKCIDNVPVLPIFGIGGVGKTTLAQFIYNDPRVQAHFGNRRVWVCVSDLFDKKRVTKEIIESFNREEYKPLCGLDALQVELMEQLERQKFLLVLDDIWQEAIDEWESFYAPFKNGPKGSMILVTTRFTTVADRVATNNCKPIQLEGLDRDIFWEFFSKCAFGEECPESYPQLQDIGQSIASRLCGSPLAAKTTGRLLNMKLTVQHWETVQNRGITRLQDMGIRYLDDLRSRFLFQTDPMYPDQTRYVMHDLIHDMAQSVYVDECLLMQDLRSRNERRMLHVVRHMSVKVADESLKNGMRGIQDLNKLNSLRFGIKLNVEITWFNQLSNILYLSLKGCKLVKLPESIGELNSLRYLDISRSGVQELPKKFCSLYSLQVVDASHSSLKVISLDVIKLINLRRLALPNDKEAAEASLVEKQYLQELVLLWRGHGKEIGKSSENGVVEALHPPPRIECLKVQGFGGDSFSPSWFRPECLLNLRSLELSKCDGLKNLSIASLPSLERLMLEANLRMEAVTILGGSTGGEKTKHASSSSSNYTACLRGLTTIRLVNCYELQNLDGCLSPEYLPSIECIEINKSSHLGLSIHVDSFVGFEHLQESNTYKR >ORUFI06G10850.1 pep chromosome:OR_W1943:6:8273766:8274150:-1 gene:ORUFI06G10850 transcript:ORUFI06G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLRRQLGRWRGGAGEVALKMRGRRRIQKRRRVPLEGSRSLETAQYNTRANRKVGEARRGEWNWNFDERERERLGELVAVKVATSPCGVGGFYRKSITEEVH >ORUFI06G10860.1 pep chromosome:OR_W1943:6:8289892:8297063:1 gene:ORUFI06G10860 transcript:ORUFI06G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHASSPRAHGVVVIAVAPDHDDDSGKKGWRLAAAAAPAFGDEAGFGVEEEEEEGEEEEEEVRWRERRVASLWRVAGALLVVAALAVAGHYCLYHDPAAFSREEGRSSFLLPLYPKSSGGGAAGESAGSVKPDGAGAEARENSSALLPIRGNVFPDGQYYTSMYIGNPPRPYFLDVDTGSDLTWIQCDAPCTNCAKGPHPLYKPEKPNVVPPRDSYCQELQGNQNYGDTSKQCDYEITYADRSSSMGILARDNMQLITADGERENLDFVFGCGYDQQGNLLSSPANTDGILGLSNAAISLPTQLASQGIISNVFGHCIAADPSNGGYMFLGDDYVPRWGMTWMPIRNGPENLYSTEVQKVNYGDQQLNVRRKAGKLTQVIFDSGSSYTYLPHDDYTNLIASLKSLSPSLLQDESDRTLPFCMKPNFPVRSMDDVKHLFKPLSLVFKKRLFILPRTFVIPPEDYLIISDKNNICLGVLDGTEIGHDSAIVIGDVSLRGKLVVYNNDEKQIGWVQSDCAKPQKQSGFPFLFKRVLQNQLL >ORUFI06G10870.1 pep chromosome:OR_W1943:6:8294391:8298790:-1 gene:ORUFI06G10870 transcript:ORUFI06G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSAGSSPPPPPGQLGTLWSTLEDQRGGAREVPLLSSAWGLPGGGRGGDGGGGGEGGGWKEGLVRRAGAAAARVWGAVRGAAEELWAFARADPRKAVFAAKVGLALALISLLVFLREPRDIVSHSVWAILTVVVVFEFSIGATFSKGFNRGLGTLTAGGLALAVAELSKHLGKLEEVILIISIFIVAFFTTLTKLHPKMKAYEYGFRVFLLTFCYVMVSGYNTGKFTDTAVSRFILIAIGAAVSLGINVGIYPIWAGQDLHNLVAKNFIGVAKSLEGCVDGYLKCMEYERIPSKILVYQASDDPLYSGYRAAVEASAQEETLLGFAIWEPPHGAYKMMKYPWRNFTKVGGALRHCSFAVMALHGCILSEIQAPPESRKVFSAEIQRVGIEGAKVLRELGDKVKTMTKLSSSDILEEVHLAAEQLQKRIDEKSYLLVNTERWDTSKQAEGIKEVLNGTGIMGKENKIEVKEPTIVEQTTAHHSKSFAVNSFLSRHDSSSTVDFKLLSWPARRSFHPNLPLEDEETKTYESASALSLATFASLLIEFVARLQNVVNAFQELSDKANFKEPVQEPVAVSTSDGGFLHKICKFVGIKS >ORUFI06G10880.1 pep chromosome:OR_W1943:6:8300944:8301474:-1 gene:ORUFI06G10880 transcript:ORUFI06G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARAPPPAQLGSLWSALEDQRGGAHEEELVPFLLSSSSSASWGGLPGAREREKEGLLRRAGAAVERGWGAARAAAEELWALARADPRKAVFAAKVGLALALISLLVFVREPRDIVSHSVWAILTVVVVFEFSIVKVDMLFDGFCAGPTVH >ORUFI06G10890.1 pep chromosome:OR_W1943:6:8306300:8310278:1 gene:ORUFI06G10890 transcript:ORUFI06G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSKLPNPPRPWRPSLLEAPPLRTLPRLLPAAAPSPTRRRRLLAPLAAASNSGSPSKDSNRSPPPPSKKKKKKKAADESGRWKSVPPGMRESAAPVPDEPPASPCTTARRRARAAWRKVASLVPRKARSVVLLNLVTIVFASNISVVKEAETMLDPDLFNVLRFTISAIPFVPLLLKALNDVQVFIRGVELGIWVAIGYLAQAIGLVTADAGRTAFISSLTVIIVPFLDGILGAEIPAYTWIGALLSLIGVGILELSGSPPCVGDLLNLLSAFGFAIHMLRTEHISRNMKKENFPALVGCQVLVVAFVSAVSFFIKCSAKNVHQWTSQLQSPMKLFGVMIQFPWLSILYTGIFSTTFCLWAEVAAMRDVSATETAIIYGLEPVWGAAFAWAMLGERWGMTGFVGAIFIIAGSFMVQILGSFPDALSSFIVIELVSQQRIRKHVTVHRQACLAAICQKHVYLSWTSETPPEPAQVYDSVLQQWCPLPSKASQQPTKLPFSFVSLRIGMCKDRLKKGRCFSQVSCVEVERYMMQPLNSQSFLGSGGGLGSCLPACSTDAAELLIRWWVRQHNIQPYRIQQ >ORUFI06G10890.2 pep chromosome:OR_W1943:6:8306300:8309580:1 gene:ORUFI06G10890 transcript:ORUFI06G10890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSKLPNPPRPWRPSLLEAPPLRTLPRLLPAAAPSPTRRRRLLAPLAAASNSGSPSKDSNRSPPPPSKKKKKKKAADESGRWKSVPPGMRESAAPVPDEPPASPCTTARRRARAAWRKVASLVPRKARSVVLLNLVTIVFASNISVVKEAETMLDPDLFNVLRFTISAIPFVPLLLKALNDVQVFIRGVELGIWVAIGYLAQAIGLVTADAGRTAFISSLTVIIVPFLDGILGAEIPAYTWIGALLSLIGVGILELSGSPPCVGDLLNLLSAFGFAIHMLRTEHISRNMKKENFPALVGCQVLVVAFVSAVSFFIKCSAKNVHQWTSQLQSPMKLFGVMIQFPWLSILYTGIFSTTFCLWAEVAAMRDVSATETAIIYGLEPVWGAAFAWAMLGERWGMTGFVGAIFIIAGSFMVQILGSFPDVSRGDS >ORUFI06G10890.3 pep chromosome:OR_W1943:6:8309517:8310278:1 gene:ORUFI06G10890 transcript:ORUFI06G10890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSATKLPFSFVSLRIGMCKDRLKKGRCFSQVSCVEVERYMMQPLNSQSFLGSGGGLGSCLPACSTDAAELLIRWWVRQHNIQPYRIQQ >ORUFI06G10900.1 pep chromosome:OR_W1943:6:8310396:8314111:-1 gene:ORUFI06G10900 transcript:ORUFI06G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRRRRRSRAAAVLVAALLLAASAATASAASSYPAKVVTGLLSSTASAVVKQLWSLKSTATRTGSAAAGRSMVKYEGGYAVETVFDGSKLGIEPHDVEVTPSGELLVLDSMNSNVYRVQLPLSRYSRPKLVAGSPEGLSGHVDGRLREAKMNHPKGFTVDDRGNIYVADAMNMAIRKISDTGVTTIAGGKSMRGGHLDGPSDDAKFSTDFEIRYISSSCSLLVIDRGNQAIREIALHNDDCEYQYEAGFPLGIALLFAAGFFGYMLALLQRQVLGMVSTADEPQTPPRPSIASIPPYQKPLKPSLRPPLIPTEDQAGKHEAEEGFFTSIGKLIGGAKSSAVEIFSRKKRPTHQYHHHLQQQRANPWPVQESYAIPHDETPPPLDMRAATPRKNYAFMTKEPEKVHHVRHGRPYFNGWDMQHAPQQQPEQQMYHQQHLQQHRQYSAGPQTFYEQSCETTNEIVFGAVQEVDTKRRMVEIKAVNYGDTFYEQYGMRYRNNYIGYNNSSNGNNNSYYY >ORUFI06G10910.1 pep chromosome:OR_W1943:6:8319001:8319651:1 gene:ORUFI06G10910 transcript:ORUFI06G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHGVTLERSGALKTNPTGSSAGPPPAPAASASSPLPRQAQGHKVVSLAQRHNKRPPAATGLRLDFDDGGSEHVSTTTTSSALSLLSDELATQFDRYKNEMARMFQDHVRIVDVVDRVDSLLAAGGVRLCVFYGGGVAFACLLQTGRLRRTAGGRRRRRPRMRRGAAPSWRSVSRGCGRRRQRGTLPSRRGQGGAWKPPAAIAQATVSVPLKIIS >ORUFI06G10920.1 pep chromosome:OR_W1943:6:8324181:8337919:1 gene:ORUFI06G10920 transcript:ORUFI06G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDEVTTFSTIHLHNKNTTRRGREVGGGAGTGGGLEGGGGCRRATAARRASPTSPSPPVASTPGGCHRATAALMQCQRVSDLLIVASFLSFPFELFYFATCADLSEVKCAVLHFCAFIVLCGATNLLATFTHALPHSAPLLRALTTAKVTEASYTILVLLPPHDAADGWSSHDLEIVQAVARVSSASVVD >ORUFI06G10930.1 pep chromosome:OR_W1943:6:8324972:8335930:-1 gene:ORUFI06G10930 transcript:ORUFI06G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHEVVRLAGGGHPDSAGLESESVSELERGGVEDGMRVGWGAADLARELPHDAGGGLLVVADEAKAEIAAGTLVLTASIAFNLSSALASATGLPVTGGLSHASQY >ORUFI06G10940.1 pep chromosome:OR_W1943:6:8349593:8353505:-1 gene:ORUFI06G10940 transcript:ORUFI06G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGGGAAARRKGGWWWWREEAVLAYQSLGVVYGEVAAAPLYVYRSAFAGGDIEHSAGNEEIYGALSLVFWTLTLVPLAKYVLLVLRADDAGEGGTFALYSLICRRVRAGLLPPCASAAAGEELDAAGAAAAPVSAVRAALERHRVLQRLLLLLALLGTCMVIGDGVLTPAVSVFSAVSGLELSMDEDQHKYILLPITCVILVCLFALQHYGTHRVGFLFAPIVCLWLLCISIIGVYNIIHWNPHVYQALSPYYMYKFLRKTQTGGWMSLGGILLCVTGSEAMYADLGHFTQNSIKMAFTLLVYPALVLAYMGQAAYISRHHNFEDGSHIGFYVSVPEKIRWPVLGIAILASVVGSQAIITGTFSIIKQCSSLNCFPRVKIVHTSSTVHGQIYIPEINWILMILCLSVTIGFRDTKHLTNAQGLAVITVMLVTTCLMSLVILLCWNKSIVYALSFLLFFGAIEVIYFAASLVKFHEGAWVPVTLSFIFMMVMCVWHYGTKKKYEFDVQNKVSISWLLNIGPSLGIVRVRGIGLIHTELMSGIPAIFSHFVTNLPAFHQVLVFLCIKSVSVPHVQPEERFLVGRIGPKKYRIYRVIVRYGYRDVQKDDVEFEKDLVSSIAEFIRCADSNQNSFMDGASHSCEGLSFISKGLPLEEEEGEFDGSDSTGSSAHKEINPNTTAPKPKRVRFALPKDTKIDREVRGELQELMEAREAGMSFITGRSHMKAKSGSGLIKQIVINFGYEFLRRNSRGPAFAVNLPHVSTVEVGMICLV >ORUFI06G10950.1 pep chromosome:OR_W1943:6:8385922:8392302:1 gene:ORUFI06G10950 transcript:ORUFI06G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARRGSSLLSRCLLSRPSAAASPAVPSALRRADGTQGLLLGILQRFSTAAVAEEPISPPVQVNYTQLLIDGKFVDSASGKTFPTLDPRTGELIAHVAEGDAEDINRAVHAARKAFDEGPWPKMTAYERSRILLRFADLIEKHNDEIAALETWDNGKPYAQAANIEVPMVARLMRYYAGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTVVLKTAEQTPLSALFASKLLHEAGLPDGVVNVVSGFGPTAGAALASHMDVDKIAFTGSTDTGKVVLELAARSNLKSVTLELGGKSPFIIMDDADVDHAVELAHFALFFNQGQCCCAGSRTFVHERIYDEFVEKAKARALKRVVGDPFKNGVEQGPQIDDEQFNKILRYIKYGVDSGANLVTGGDRLGDKGYYIQPTIFSDVQDNMRIAQEEIFGPVQSILKFNDLNEVIKRANASQYGLAAGVFTNNLNTANTLTRALRVGTVWVNCFDVFDAAIPFGGYKQSGIGREKGIDSLKNYLQVKAVVTPIKNAAWL >ORUFI06G10960.1 pep chromosome:OR_W1943:6:8395433:8395909:-1 gene:ORUFI06G10960 transcript:ORUFI06G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKVLLSQSTSKSSSITTHLPSLPRRKSLRSSASSPAESASFEATTNGATTAAVSAGTTTVSTVGITGGGRSGSGSGDMESSRLMGEMRVGERMQRGSMRPPIRASLDEVGELWNDEIEGELGGSFVPAAPLPQDRGGIRRQLRPGCTPSTRSRGN >ORUFI06G10970.1 pep chromosome:OR_W1943:6:8398306:8399688:1 gene:ORUFI06G10970 transcript:ORUFI06G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHAMPLLHLTRLLLARGLASKVTFFTTPRDAPFIRASLAGAGAAAVVELPFPTDDGLNDGAAPPQSMDDELASPSQLADVVAASAALRPAFAAAFARLEPRPDVLVHDGFLPWAERAAADAGGVPRLVSYGMSAFATYVAGAVTAHKPHARVGSPSEPFEVDGLAGLRLTRADLNPPFDEPEPTGPLWDLVCKTKASMDSSEGIIVNSFVELEALCFDGWSRMSPVKLWPVGPLCLAFEPGRNMDRDISDWLDSRLAMNRPVLYIAFGSQAELSWTQLEEIALGLDQSGLDFLWVVRSKWFDSDDRFENRFGDKGKVYQGFIDQFGVLSHKSIKGFFSHCGWNSVLESISMGVPILAFPMAAEQKLNAKFVVDVLRVGLRVWPKKRKDDMENGLVAREEVQVMVRELIFGEEGKRVSTRVSELAVLSKKAMEIGGSSYTKLEEMVHEISELTRDKSM >ORUFI06G10980.1 pep chromosome:OR_W1943:6:8401716:8402656:-1 gene:ORUFI06G10980 transcript:ORUFI06G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTRVRRCRFSLYQDHVIAHPVFVVLVFLIADHHHLWASHIVGHVQTSSFDAVLCTVNVQHLQSPEKVCPPLLPLLHSLRPSASSTFCGRMGGEVGGEGVAMRSFCRSTVERGAQVQPHGGGGWGHGRSAGELAVQLREALEPRARPRDRRRLGDEADARMSRVEGVAATATSMAATLVWMRRCWAFVDARWQVITDDEGLSPGPYKYLRSFSSVEDAGEGISVSLPDVPTKMVSKIHKTAKIAK >ORUFI06G10990.1 pep chromosome:OR_W1943:6:8403813:8427699:1 gene:ORUFI06G10990 transcript:ORUFI06G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRLQQLLLAAVVLVHAAAVGCRAFQIEEATVDDIQLGFRNGSLTSRRLVLFYLDRIARLNPLLHAVIEVNPDALAQAARADAERRRAPSPPGGPLHGVPVLLKDNIATRDRLNTTAGSLALLGSVPGGTPAWCGGSGVPARWCSARPTSTSGPTSAPSKAPAAGARVVARAGTGSAIAAAANMAAATLGTETDGSILCPSSLNSVVGIKPTVGLTSRAGVVPISPRQDTIGPICRTVADAVQVLDAIVSYDSRDAKATRAASKYIPPGGYVQFLKPDGLKGKRIGIPNGFFNFPSGTVQQIVYQQLLDTVRKQGAVVIENLDIANLTVIQDVLNNGQQIVLPAEFKSSLNTYLSDLSYSPVRSLAEIIAFNDAHPIEERLKDFGQLIFLVAENTTGIGALERAIIHQLNKLSADGLEKLMKDEQLDAIITPNDSVSTVLAIGGMPAITVPAGYGKRGVPFGICFGGLKGYEPRLIEMAYAFEQATKVRKAPKFLRGTF >ORUFI06G11010.1 pep chromosome:OR_W1943:6:8429391:8429912:-1 gene:ORUFI06G11010 transcript:ORUFI06G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSSVISIFLLVAGVALMLVVHIVVVFWALRRGRGASRGEEEAAPGRAAEGNGGGARGGGGKGLSADEIGALPCHDVVKGGGGGDCAVCLEELEAGDRCRRLPRCEHSFHAPCVDSWLRKSRWCPVCRADVVGRAPEGERKMAAAAAAVETTVAGRSSSPATGEIVAER >ORUFI06G11020.1 pep chromosome:OR_W1943:6:8438927:8442309:-1 gene:ORUFI06G11020 transcript:ORUFI06G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLPVWISVALLIALSIVASASSLGLSKSNGSDTDLAALLALKVHFSDPDNILAGNWTAGTPFCQWVGVSCSRHRQRVTALELPGIPLQGELGPHLGNISFLSVLNLTDTGLTGSVPDDIGRLHRLKLIDLGHNALSGGIPATIGNLMRLQLLHLPSNQLSGPIPIELQALRRLRSIDLIGNYLTGSIPDSLFNNTPLLAYLSIGNNSLSGPIPGCIGSLPMLELLELQYNNLTGPVPQAIFNMSRLTVVDLGFNSLTGSIPGNTSFSLPVLQWFSISHNRFTGQIPPGLAACPYLQVLRVGDNLFEGVFPSWLAKSTNLSDVSLSRNHLDAGPIPAALSNLTMLTRLGLEMCNLIGAIPVGIGQLGQLSVLDLTTNQLTGPIPACLGNLSALTILSLAENQLDGSVPATIGNMNSLKQLSIAQNNLQGDIGYFLSILSNCINLSTLYIYSNHFTGSLPGSVGNLSSLLRVFSAFENSFTGELPAMISNLTGIQVLDLGGNQLHGKIPESIMMMRNLVFLNLETNNLSGSIPLNTGMLNNIELIYIGTNKFSGLQLDPSNLTKLEHLALGHNQLSSTVPPSLFHLDRLILLDLSQNFFSGELPVDIGNIKQINYMDISMNRFVGSLPDSIGHLQMLGYLNLSVNEFHDSIPDSFSNLSGLQILDISHNNISGTIPKYLANFTSLANLNLSFNKLEGQIPEGGVFSNITLQSLAGNSGLCGVVRLGFSPCQTTSPKRNRHILKYILLPGIIIVVAAVTCCLYGIIRKKVKHQNISSGMLDMISHQLLSYHELVRATDNFSEDNMLGSGSFGKVFKGQLSSGLVVAIKVIHNHLEHAMRSFDTECRVLRMARHRNLIKILNTCSNLEFRALVLQYMPQGSLEALLHSEERMQLGFLERLDIMLDVSMAMEYLHHEHYEVVVHCDLKPSNVLFDDEMTAHVADFGIARLLLGDDNSTISASMPGTIGYMAPEYGVLGKASRKSDVFSYGIMLLEVFTRKRPTDAMFVGDLSIRQWVHWAFPIDLVHVVDGQLLQDTSCSTSSIDGFLKPVFELGLLCSADSPEQRMEMKDVVVMLKKIRKDYVKSTAKTGSNAQQ >ORUFI06G11030.1 pep chromosome:OR_W1943:6:8467742:8468221:-1 gene:ORUFI06G11030 transcript:ORUFI06G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACCDGEEDDGGDGEETGGGEERLPRCGRRATAWRGGREATQRGGTEVTVDGKAEEGLQGGGRGGDRTSALGPTPWRLGSGGDGGGSGSGGRAEARGGGAGRRGWGCRWRAAHMALPLGGVLERGQDDSGEGMAQRGGAGDGRTHRARKRTEAVRRSG >ORUFI06G11040.1 pep chromosome:OR_W1943:6:8476968:8477430:-1 gene:ORUFI06G11040 transcript:ORUFI06G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLQGRFDGSGATCKKEENFNRKFYRCLTGQYTSAQCKFFMWQGDYAVWLVKEGFLHGWTECSAQRTEGDAPELVNASLKGVHDGIEMLRCEIKEAMSRICMFGIVVVTAFVMYVGMNVMK >ORUFI06G11050.1 pep chromosome:OR_W1943:6:8479134:8481673:1 gene:ORUFI06G11050 transcript:ORUFI06G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKVTPTTCLTKCTSTDVEPDPPVVVVVTRATPDTTSMELVAVEDAIGATYTNNHNHPKVMPAKCSMNCSIPNLTVAAMVSCTSTSLASICQDITCTTDINALVCPKETHIKCSTLSLDVKGGIHDQAEVMFQAMTAASKVVPAYVQSMDNFSSRMNANRKLATLTPARCSVKWHGPHKHFDVNPWPPPNFNASDSSRWFGILIDKHFPLGEPLKHLHIMLVPLVWDPGDSKVHLHKILLNDWLQCQYFHLGDNCWNIELVISVGVPKELCVWVSYIAVAKKEACVDQNKGVSYSEEMRFWCELYSHCYISARLIGKGNYILEPSKDQPTCLGPQNFEKGSFLFTTIDDVDRYNLDIGTVVRLFAGNLKELVKHGRGFDIGISVMQEQIDGQGIHMVWFPGVKCSFKLRLNTCWVICHLEPVSMIFVLAPLKCGSFLEAWSPENAPLLDESWVELKQLQSCVQIEQHKYAGLASEPTTFGFHIKISRELAF >ORUFI06G11060.1 pep chromosome:OR_W1943:6:8485768:8500871:-1 gene:ORUFI06G11060 transcript:ORUFI06G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKLQVVRPRAVSPLPALAASAAAVAVVLLLLTAAGRPSFLGRYEAITISGVASLPSGYSSESARRAPPAVAVARVPSDCDIFRGEWVPDDGGGAAPYYTNESCPLIQEHQNCMKYGRPDLGFLRWRWRPERCELPRFDAAAFLELVRGKSMAFVGDSLARNHMQSLMCLLSKVEYPKDVSKTTDPGFRTMHYGSHNFTIAVFWSPYLVTANQSSDPAAGGLWDLYLDEPDAAWAAAVAGFDYAVVSAANWFTRPSMFHERGRLVGCHYCLVPGVPDLTLRYSLRAAFRTALRALAAGAGGAGVFNGTAIVRTLSPTSHFEGGEWNKGGDCRRTRPSTANETRMSGLDLDFHTAQVEEFRRAAMASGRSAARLLLMDTTAAMVLRPDGHPSRYGHWAHEKVTLYNDCVHWCLPGPIDVWNEMLLQMLLLHQPGAVSHKWIKLGYVRNPGMPMTPLNNLCNFRVKKQYYNPSEHTVYHYGGGYNFTVLSFWAPFLVQNELVDADGPAHTGLWNLYLDEPDAVWAPHVPAFDYAVVSASSWFYRPSMLYEAGRLVGCHHCLLPNVTDLTLRYALRMATRAALRAVVGGGGGVTAVLRTVSPSQYEGGEWNKDGNCVRTRPYRRGEKTLQGVELDFHTLQVEEFEAAKRAASGGGARMMLMDTTEAMILRADAHPSRYRGWTRRKGWMKEYFTISNDCVHWCVPGAVDAWNDMLSHMSMMKFHEVIKLPSIAHYGLRYVLPAAAVAACVLVLATVSLPGRVPLPLLLAPEVMTKTADGVGGDTSGCDIFKGEWVPDMAGEPPPYTSESCPVIHGHYDCMRYGRPDLGYVRWRWRPDGGFLAAMRGRSVAFVGDSLARNQMHSLVCLLSHAERPAPWTNGSYAYRFERHGLTVTAFWSPFLVRAVETDPDGPTGSGSGLWSLHLDEPDAGWAAHVGAFDYVVVSAGSWFYRPSMFYDRRGRLVGCNTCLSPNVTDLTLRYSLRMAALRAAATGARRHATRRTVIVRTISPSHYENGTWNGDGDCLRTRPARRGEWELNAMEKDMHRIQVEEFAAAAEETAGKRGKEAARMLLMDATEAMAQRPDAHPSKYRLWQPDKFKVSRDCVHWCLPGAMDACNDMLFHMLIGFTRRSSCHPLLITPYAMCFPPPPSPRAFWCSPPSAYPAAALRAATGARRRGGRGARTVIVRTISPSHYENGTWNGDGDCLRKRPARRGEWELNAMEKDMHRIQVEEFAAAAAAEGETARKRGKEAARMLLMDATEAMAQRPDAHPSKHRLWQPDKFKVSRDCVHWCLPGAMDACNDMLFHMLIG >ORUFI06G11070.1 pep chromosome:OR_W1943:6:8511617:8521286:1 gene:ORUFI06G11070 transcript:ORUFI06G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLFASRAALSLTAALAVAVAVVVLLTASSRSRRPSFLRRYEPTVVATSLPSAAPPAYSGSAPPSPTPTAVVARVPRDCDIFRGEWVPAADGDDDGAAPYYTNATCGEIQEHQNCMKYGRPDLGFLRWRWRPERCELPRFDSAAFLDLLRGKSMAFVGDSLARNHMQSLMCLLSKVENPKDVSTTTDPEFRTVRYESHNFTVAAFRSPYLVTANHSSDPAGGMWDLYLDEPDAAWATAVAGFDYVVVSTANWFNRPTMFHESGRLVGCHHCLVPGVADLKRTYSLRAALRTALRALTGGAGGAGFDGTVIVRTLSPTSHFEGGEWNKGGDCRRTRPTAARMAGLDLDFHTVQVEEFRRAEAAAAASGSAVRMLLMDATAAMVARADGHPSRYGHWAHENVTLYNDCVHWCLPGPIDVWNEMLLQLLLHHHQSGEI >ORUFI06G11070.2 pep chromosome:OR_W1943:6:8511617:8530558:1 gene:ORUFI06G11070 transcript:ORUFI06G11070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLFASRAALSLTAALAVAVAVVVLLTASSRSRRPSFLRRYEPTVVATSLPSAAPPAYSGSAPPSPTPTAVVARVPRDCDIFRGEWVPAADGDDDGAAPYYTNATCGEIQEHQNCMKYGRPDLGFLRWRWRPERCELPRFDSAAFLDLLRGKSMAFVGDSLARNHMQSLMCLLSKVENPREVPKTADPEFRAVRYESHNFTVAVFRSPYLVTANQSDPAGGMWDLYLDEPDAAWATAVAGFDYVVVSTGIWFNRPTMFYERGRLVGCYSCRLPGVPDLTLRYSLPLAFRTALRALTAASVFNGTVIVRTLSPTSHFEGGEWDKGGDCRRTRPTAASEARMSGLDVDFHAAQVEEFRRAEAAAAASGSAVRMLLMDATAAMVARADGHPSRYGHWAHENVTLYNDCVHWCLPGPVDVWNEMLLQMLLRHQSMYARALTCSPRPDPSTTSPPLSPAVDFHICVSGARSIGDLPLLTRRHGDATGEASSVTVMKRLSIRGLLAGARRTRHAVAAKATTSVPALVALLFFFAAATFSVFSLGSFRWPGAADDGGAAACDAALARGRGEWMRDAGAAPYYTNATCGFIQNYQNCMKHGRPSMEFLRWRWRPGDGGEGCEPLGPFDAARFFRLVRGRSMLFVGDSLASSHVTSLVCALSQVEAPARSRDAAAGFEHWRFPAHGFAVAYFWTPFQVRWRLTRGPPEAVGPERQGEVFAGPSDLHLDEPDERWTSAAKSHDYVVLSASHWFARPAVYYQHGRVVGCHDCGDSNATATAIVKQPEHAQRAAFRAVLGALARLDGFNGTAILRTVAPTHYENGGWFDGGECTATRPASESEDGAAPEMAATEAEFYRAQVEEFAAAAAAAAARNGGKRARLRLMDVTRMMLLRPDGHPDRHGHGGGEHDGFEIDCLHWCLPGAIDVWNDLLLQIIASS >ORUFI06G11070.3 pep chromosome:OR_W1943:6:8511617:8530558:1 gene:ORUFI06G11070 transcript:ORUFI06G11070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLFASRAALSLTAALAVAVAVVVLLTASSRSRRPSFLRRYEPTVVATSLPSAAPPAYSGSAPPSPTPTAVVARVPRDCDIFRGEWVPAADGDDDGAAPYYTNATCGEIQEHQNCMKYGRPDLGFLRWRWRPERCELPRFDSAAFLDLLRGKSMAFVGDSLARNHMQSLMCLLSKVENPKDVSTTTDPEFRTVRYESHNFTVAAFRSPYLVTANHSSDPAGGMWDLYLDEPDAAWATAVAGFDYVVVSTANWFNRPTMFHESGRLVGCHHCLVPGVADLKRTYSLRAALRTALRALTGGAGGAGFDGTVIVRTLSPTSHFEGGEWNKGGDCRRTRPTAARMAGLDLDFHTVQVEEFRRAEAAAAASGSAVRMLLMDATAAMVARADGHPSRYGHWAHENVTLYNDCVHWCLPGPIDVWNEMLLQLLLHHHQSGEFSRMYARALTCSPRPDPSTTSPPLSPAVDFHICVSGARSIGDLPLLTRRHGDATGEASSVTVMKRLSIRGLLAGARRTRHAVAAKATTSVPALVALLFFFAAATFSVFSLGSFRWPGAADDGGAAACDAALARGRGEWMRDAGAAPYYTNATCGFIQNYQNCMKHGRPSMEFLRWRWRPGDGGEGCEPLGPFDAARFFRLVRGRSMLFVGDSLASSHVTSLVCALSQVEAPARSRDAAAGFEHWRFPAHGFAVAYFWTPFQVRWRLTRGPPEAVGPERQGEVFAGPSDLHLDEPDERWTSAAKSHDYVVLSASHWFARPAVYYQHGRVVGCHDCGDSNATATAIVKQPEHAQRAAFRAVLGALARLDGFNGTAILRTVAPTHYENGGWFDGGECTATRPASESEDGAAPEMAATEAEFYRAQVEEFAAAAAAAAARNGGKRARLRLMDVTRMMLLRPDGHPDRHGHGGGEHDGFEIDCLHWCLPGAIDVWNDLLLQIIASS >ORUFI06G11070.4 pep chromosome:OR_W1943:6:8521321:8530558:1 gene:ORUFI06G11070 transcript:ORUFI06G11070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQRVNPTAAASLTALAVVVLLTASASRPSFLRRFEPSIASLPRAARRAAPADCDIFRGEWVPATAADDGAAPYYTNATCGEIQEHQNCMKYGRPDLGFLRWRWRPERCELPRFDAAAFLDLLRGKSMAFVGDSLSRNHMQSLLCLLSKVENPREVPKTADPEFRAVRYESHNFTVAVFRSPYLVTANQSDPAGGMWDLYLDEPDAAWATAVAGFDYVVVSTGIWFNRPTMFYERGRLVGCYSCRLPGVPDLTLRYSLPLAFRTALRALTAASVFNGTVIVRTLSPTSHFEGGEWDKGGDCRRTRPTAASEARMSGLDVDFHAAQVEEFRRAEAAAAASGSAVRMLLMDATAAMVARADGHPSRYGHWAHENVTLYNDCVHWCLPGPVDVWNEMLLQMLLRHQSMYARALTCSPRPDPSTTSPPLSPAVDFHICVSGARSIGDLPLLTRRHGDATGEASSVTVMKRLSIRGLLAGARRTRHAVAAKATTSVPALVALLFFFAAATFSVFSLGSFRWPGAADDGGAAACDAALARGRGEWMRDAGAAPYYTNATCGFIQNYQNCMKHGRPSMEFLRWRWRPGDGGEGCEPLGPFDAARFFRLVRGRSMLFVGDSLASSHVTSLVCALSQVEAPARSRDAAAGFEHWRFPAHGFAVAYFWTPFQVRWRLTRGPPEAVGPERQGEVFAGPSDLHLDEPDERWTSAAKSHDYVVLSASHWFARPAVYYQHGRVVGCHDCGDSNATATAIVKQPEHAQRAAFRAVLGALARLDGFNGTAILRTVAPTHYENGGWFDGGECTATRPASESEDGAAPEMAATEAEFYRAQVEEFAAAAAAAAARNGGKRARLRLMDVTRMMLLRPDGHPDRHGHGGGEHDGFEIDCLHWCLPGAIDVWNDLLLQIIASS >ORUFI06G11080.1 pep chromosome:OR_W1943:6:8532882:8536238:-1 gene:ORUFI06G11080 transcript:ORUFI06G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIGDTIESIRSMQVRQVLAQIISLGMIVTSALIIWKGLIVVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNVDGREIPIVHRVIKVHERQESAEVDILTKGDNNFGDDRLLYAHGQLWLQQHHIMGRAVGFLPYVGWVTIIMTEKPIIKYLLIGALGLLVITSKE >ORUFI06G11080.2 pep chromosome:OR_W1943:6:8532882:8536238:-1 gene:ORUFI06G11080 transcript:ORUFI06G11080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIGDTIESIRSMQVRQVLAQIISLGMIVTSALIIWKGLIVVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNVDGREIPIVHRVIKVITILGMTDFCMHMGSFGFSNIILWGELFLPYVGWVTIIMTEKPIIKYLLIGALGLLVITSKE >ORUFI06G11090.1 pep chromosome:OR_W1943:6:8536265:8537873:-1 gene:ORUFI06G11090 transcript:ORUFI06G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVAQREQIWRVAPMSNAEYAKHIPPSSPRPGVALLLVAVAGRRRSRVARNPESLPAAYSPRASASSSISGAPPSCRSADPPSVLKPALDT >ORUFI06G11100.1 pep chromosome:OR_W1943:6:8538607:8540246:1 gene:ORUFI06G11100 transcript:ORUFI06G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSGSGGIPIKADQDSDGSAQSTADMTAFVQNLLMQMCSLDEMGARIDELEQSINDLKVEMGTEGITPTKPKDEESKPAGSSAE >ORUFI06G11110.1 pep chromosome:OR_W1943:6:8542232:8556155:-1 gene:ORUFI06G11110 transcript:ORUFI06G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGDGDQRWLVECLTATLDTARDVRAFAEESLRQASLLPGYGAALTKYLAAVLLKQFIKQHWQEDEENFMPPVVSASEKVIIRQLLLTSLDDSHGKIRTAIGMAVAAIGQQDWPEDWPELLPYLLKLISDQSNGCGVRGALRCLALLSDDLDDTCIPKLVPELFPSLYSIISSPHLYENSLRAKALAIVHSCISMLGSMTGVYKRETVSLISSMLDPLMEQFSAILNSPVQSHNPDDWNMQMETFVSSFKVYQLSMIQASEDVDSVGYDSDGSERSLESFGIQLQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYDEYGIESVLEASQMRFHESRELKKAGSTDWWRLHEASFFALGSLSEQLCEAQDSGYNVRDLLEQMVTDTVGTEVHQYPFLHARAFSILSKPPPVKVGACRALAQLLPESNQSLNVPNIMGILSSLVDLLGKASDETLHLVLETLQSTIKSCGEQSTLIEPVISPIILDVWAQHIADPFISIDAVEVLEAIKNAPGCLEPLVSRILPTIGSILEKPKIQQNGLAAGSLDLLTMILKNAPTTVVKAIFDTCFTSIIQIVLESDDHGEMQNATECLAAFISGGRQELLLWGGGQGRTLKMLLDAASRLLDPVLESSVSLFVGSYILQLIIHLPSHLSPHFPELIAAIVRRMQSSSITGLKSSLVVIVARLGYNNSLAYIMSEWSQLQSEIQGAYQIKVTTTALALLISTRHPELSRIEVQGHIIKTSAGITTRSKARVAPDHWTKIPLPAKIFSLLADTLAEIQEQVVGDEDDCEEDSDWEEIQNGDSSIPHDMIYSASVPSNAKPSVEHLNAMAKVFDEDDDGSYDDDLAKADSLNEVKLSDFLTNIFVKLWESDRLLFEYLCQALTDSQRTAVDKVLRK >ORUFI06G11110.2 pep chromosome:OR_W1943:6:8542232:8556155:-1 gene:ORUFI06G11110 transcript:ORUFI06G11110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGDGDQRWLVECLTATLDTARDVRAFAEESLRQASLLPGLILQTAPIELLALLYGLLASQSQPVPSACCDAALAYVTTAAAALSRRRCGRREGVSGGLTRPVDATAPFVASASCCCRHPVHQQHLRLQQPCPINTAPASMPSMSPSSNQQQSGDIIPSTIFMLENFSCQKIVIIRQLLLTSLDDSHGKIRTAIGMAVAAIGQQDWPEDWPELLPYLLKLISDQSNGCGVRGALRCLALLSDDLDDTCIPKLVPELFPSLYSIISSPHLYENSLRAKALAIVHSCISMLGSMTGVYKRETVSLISSMLDPLMEQFSAILNSPVQSHNPDDWNMQMETFVSSFKVYQLSMIQASEDVDSVGYDSDGSERSLESFGIQLQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYDEYGIESVLEASQMRFHESRELKKAGSTDWWRLHEASFFALGSLSEQLCEAQDSGYNVRDLLEQMVTDTVGTEVHQYPFLHARAFSILSKPPPVKVGACRALAQLLPESNQSLNVPNIMGILSSLVDLLGKASDETLHLVLETLQSTIKSCGEQSTLIEPVISPIILDVWAQHIADPFISIDAVEVLEAIKNAPGCLEPLVSRILPTIGSILEKPKIQQNGLAAGSLDLLTMILKNAPTTVVKAIFDTCFTSIIQIVLESDDHGEMQNATECLAAFISGGRQELLLWGGGQGRTLKMLLDAASRLLDPVLESSVSLFVGSYILQLIIHLPSHLSPHFPELIAAIVRRMQSSSITGLKSSLVVIVARLGYNNSLAYIMSEWSQLQSEIQGAYQIKVTTTALALLISTRHPELSRIEVQGHIIKTSAGITTRSKARVAPDHWTKIPLPAKIFSLLADTLAEIQEQVVGDEDDCEEDSDWEEIQNGDSSIPHDMIYSASVPSNAKPSVEHLNAMAKVFDEDDDGSYDDDLAKADSLNEVKLSDFLTNIFVKLWESDRLLFEYLCQALTDSQRTAVDKVLRK >ORUFI06G11110.3 pep chromosome:OR_W1943:6:8542232:8556155:-1 gene:ORUFI06G11110 transcript:ORUFI06G11110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGDGDQRWLVECLTATLDTARDVRAFAEESLRQASLLPGYGAALTKYLAAVLLKQFIKQHWQEDEENFMPPVVSASEKVIIRQLLLTSLDDSHGKIRTAIGMAVAAIGQQDWPEDWPELLPYLLKLISDQSNGCGVRGALRCLALLSDDLDDTCIPKLVPELFPSLYSIISSPHLYENSLRAKALAIVHSCISMLGSMTGVYKRETVSLISSMLDPLMEQFSAILNSPVQSHNPDDWNMQMETFVSSFKVYQLSMIQASEDVDSVGYDSDGSERSLESFGIQLQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYDEYGIESVLEASQMRFHESRELKKAGSTDWWRLHEASFFALGSLSEQLCEAQDSGYNVRDLLEQMVTDTVGTVKVGACRALAQLLPESNQSLNVPNIMGILSSLVDLLGKASDETLHLVLETLQSTIKSCGEQSTLIEPVISPIILDVWAQHIADPFISIDAVEVLEAIKNAPGCLEPLVSRILPTIGSILEKPKIQQNGLAAGSLDLLTMILKNAPTTVVKAIFDTCFTSIIQIVLESDDHGEMQNATECLAAFISGGRQELLLWGGGQGRTLKMLLDAASRLLDPVLESSVSLFVGSYILQLIIHLPSHLSPHFPELIAAIVRRMQSSSITGLKSSLVVIVARLGYNNSLAYIMSEWSQLQSEIQGAYQIKVTTTALALLISTRHPELSRIEVQGHIIKTSAGITTRSKARVAPDHWTKIPLPAKIFSLLADTLAEIQEQVVGDEDDCEEDSDWEEIQNGDSSIPHDMIYSASVPSNAKPSVEHLNAMAKVFDEDDDGSYDDDLAKADSLNEVKLSDFLTNIFVKLWESDRLLFEYLCQALTDSQRTAVDKVLRK >ORUFI06G11110.4 pep chromosome:OR_W1943:6:8542232:8556155:-1 gene:ORUFI06G11110 transcript:ORUFI06G11110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGDGDQRWLVECLTATLDTARDVRAFAEESLRQASLLPGLILQTAPIELLALLYGLLASQSQPVPSACCDAALAYVTTAAAALSRRRCGRREGVSGGLTRPVDATAPFVASASCCCRHPVHQQHLRLQQPCPINTAPASMPSMSPSSNQQQSGDIIPSTIFMLENFSCQKIVIIRQLLLTSLDDSHGKIRTAIGMAVAAIGQQDWPEDWPELLPYLLKLISDQSNGCGVRGALRCLALLSDDLDDTCIPKLVPELFPSLYSIISSPHRETVSLISSMLDPLMEQFSAILNSPVQSHNPDDWNMQMETFVSSFKVYQLSMIQASEDVDSVGYDSDGSERSLESFGIQLQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYDEYGIESVLEASQMRFHESRELKKAGSTDWWRLHEASFFALGSLSEQLCEAQDSGYNVRDLLEQMVTDTVGTEVHQYPFLHARAFSILSKPPPVKVGACRALAQLLPESNQSLNVPNIMGILSSLVDLLGKASDETLHLVLETLQSTIKSCGEQSTLIEPVISPIILDVWAQHIADPFISIDAVEVLEAIKNAPGCLEPLVSRILPTIGSILEKPKIQQNGLAAGSLDLLTMILKNAPTTVVKAIFDTCFTSIIQIVLESDDHGEMQNATECLAAFISGGRQELLLWGGGQGRTLKMLLDAASRLLDPVLESSVSLFVGSYILQLIIHLPSHLSPHFPELIAAIVRRMQSSSITGLKSSLVVIVARLGYNNSLAYIMSEWSQLQSEIQGAYQIKVTTTALALLISTRHPELSRIEVQGHIIKTSAGITTRSKARVAPDHWTKIPLPAKIFSLLADTLAEIQEQVVGDEDDCEEDSDWEEIQNGDSSIPHDMIYSASVPSNAKPSVEHLNAMAKVFDEDDDGSYDDDLAKADSLNEVKLSDFLTNIFVKLWESDRLLFEYLCQALTDSQRTAVDKVLRK >ORUFI06G11110.5 pep chromosome:OR_W1943:6:8542232:8556155:-1 gene:ORUFI06G11110 transcript:ORUFI06G11110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGDGDQRWLVECLTATLDTARDVRAFAEESLRQASLLPGYGAALTKVTTNKEIPFGLPSTIFMLENFSCQKIVIIRQLLLTSLDDSHGKIRTAIGMAVAAIGQQDWPEDWPELLPYLLKLISDQSNGCGVRGALRCLALLSDDLDDTCIPKLVPELFPSLYSIISSPHRETVSLISSMLDPLMEQFSAILNSPVQSHNPDDWNMQMETFVSSFKVYQLSMIQASEDVDSVGYDSDGSERSLESFGIQLQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYDEYGIESVLEASQMRFHESRELKKAGSTDWWRLHEASFFALGSLSEQLCEAQDSGYNVRDLLEQMVTDTVGTEVHQYPFLHARAFSILSKPPPVKVGACRALAQLLPESNQSLNVPNIMGILSSLVDLLGKASDETLHLVLETLQSTIKSCGEQSTLIEPVISPIILDVWAQHIADPFISIDAVEVLEAIKNAPGCLEPLVSRILPTIGSILEKPKIQQNGLAAGSLDLLTMILKNAPTTVVKAIFDTCFTSIIQIVLESDDHGEMQNATECLAAFISGGRQELLLWGGGQGRTLKMLLDAASRLLDPVLESSVSLFVGSYILQLIIHLPSHLSPHFPELIAAIVRRMQSSSITGLKSSLVVIVARLGYNNSLAYIMSEWSQLQSEIQGAYQIKVTTTALALLISTRHPELSRIEVQGHIIKTSAGITTRSKARVAPDHWTKIPLPAKIFSLLADTLAEIQEQVVGDEDDCEEDSDWEEIQNGDSSIPHDMIYSASVPSNAKPSVEHLNAMAKVFDEDDDGSYDDDLAKADSLNEVKLSDFLTNIFVKLWESDRLLFEYLCQALTDSQRTAVDKVLRK >ORUFI06G11120.1 pep chromosome:OR_W1943:6:8558323:8560625:1 gene:ORUFI06G11120 transcript:ORUFI06G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTEAEKKKTPVALAPIAKPLAGKKLCKRTLKLVRRASEAKCLKRGVKEVVKSIRRGQKGLCIIAGNISPIDVITHVPILCEEANIPYVYVPSKEDLATAGTTKRPTCCVLVLTKPAKGELEEDVKEKLKTDYDQVMSEVAEVTSSMF >ORUFI06G11130.1 pep chromosome:OR_W1943:6:8564498:8566555:1 gene:ORUFI06G11130 transcript:ORUFI06G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPFFFFLLLLVSSSPSTARLSAYGVNTEGQLAIVSAPILAFVRALIEIKNLLEDPHGVLKSWDQNSVDPCSWALITCSPDSLVTTLEAPGQHLSGLLAPSIGDLTNLETILLQNNNISGPIPAEIGKLANLKRLDLSSNQFHGEIPCSVGHLKSLQYFVVGNPLICEQDCYRMAPMAMFH >ORUFI06G11140.1 pep chromosome:OR_W1943:6:8576312:8577352:-1 gene:ORUFI06G11140 transcript:ORUFI06G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTRHYDRHRTLEAPARPKSPNNRQTEPRARLNTSPQLPKGSTAGWRRPKEGRSPKESRGRHEEDVRAEVRGNKDHVVFHDSGEELRLLQDISYDCSNHHQLYHQCREGDTGVSRRATKECWREEGRKGCGEGEEGNGGVGFNSYRRRVAGGRETTQRGTEKGGGHQGRRKGCRRRGEVTGGQTRRLPARAPEDRPPKPTGAATPPRGHRQSAAHAPAPAPPRVSAALHGCASRLCDGPAASQATRRRSGRLQPRLLRFAPPHDTTAAGALPRSGDGGARSAAAWPGSLAVATPGRRRKSPPPLRLRGGHHRGESPAAAFLARQPALPAVR >ORUFI06G11150.1 pep chromosome:OR_W1943:6:8578550:8586853:1 gene:ORUFI06G11150 transcript:ORUFI06G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPFFLLLLLLVVSSSSPSAALLSAKGVNNEVQALIVIKNLLKDPHGVLKSWDQNSVDPCSWAMITCSPDFLVTGLEAPSQHLSGLLSPSIGNLTNLETVLLQNNNITGPIPAEIGRLENLKTLDLSSNSFYGEIPSSVGHLESLQYLRLNNNTLSGPFPSASANLSHLVFLDLSYNNLSGPIPGSLARTYNIVGNPLICDANREQDCYGTAPMPMSYSLNGSRGGALPPAARDRGHKFAVAFGSTAGCMGLLLLAAGFLFWWRHRRNRQILFDVDEQQIENVNLGNVKRFSFRELQAATEGFSGKNILGKGGFGNVYRGQLPDGTLVAVKRLKDGNAAGGEAQFQTEVEMISLALHRNLLRLYGFCMTATERLLVYPFMSNGSVASRLKAKPALEWGTRRRIAVGAARGLVYLHEQCDPKIIHRDVKAANVLLDEACEAVVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSDRTDVFGFGILLLELVTGQTALEFGKSSNHKGAMLDWVKKMQSEKKVEVLVDKGLGGGYDRVEVEEMVQVALLCTQYLPAHRPRMSDVVRMLEGDGLADRWEKASGHSTAAADSLSHSHRTSDPAPPAADFAAAFGRCFSDLTDDSSLLVQAVELSGPR >ORUFI06G11160.1 pep chromosome:OR_W1943:6:8586815:8587105:-1 gene:ORUFI06G11160 transcript:ORUFI06G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFSVTGVIISRFAQRTRRISYPLRQLRALHAKTGRLHLLVGAPDLVSLRFTTSLFFLLAHDNTDLTTIVDSFLADPLILMIPDALASLHSLLCP >ORUFI06G11180.1 pep chromosome:OR_W1943:6:8591334:8596340:-1 gene:ORUFI06G11180 transcript:ORUFI06G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGDLKTRPVEGVVFISTSHIIDGELRETESTVVVTWAMRVPPSVTAKDVECAIAYEFGLCIGELTVSLHFPEAFLLKYKHRRHCEETVKQGFAKGHGIESDS >ORUFI06G11190.1 pep chromosome:OR_W1943:6:8599381:8601767:1 gene:ORUFI06G11190 transcript:ORUFI06G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNFGGNVFDQEVGVGGEGGGGGEGSGCPWARPCDGCRAAPSVVYCRADAAYLCASCDARVHAANRVASRHERVRVCEACEQAPAALACRADAAALCLACDVQVHSANPLARRHQRVPVAPLPAITIPATSVLAEAVVATATVLGGKDEEVDSWLILSKDSNNNNNNNNSNSSNNDNDNNDNSNSSNNGMYFGEVDEYFDLVGYNSYYDNRIENNQDQQYGMHEQQEQQQQQQEMQKEFAEKEGSECVVPSQITMLSEQQHSGYGVVGADQAASMTAGVSAYTDSISNSISFSSMEAGIVPDSTVIDMPNSRILTPAGAINLFSGPSLQMSLHFSSMDREARVLRYREKKKARKFEKTIRYETRKAYAEARPRIKGRFAKRSDVQIEVDQMFSTAALSDGSYGTVPWF >ORUFI06G11200.1 pep chromosome:OR_W1943:6:8612212:8613463:-1 gene:ORUFI06G11200 transcript:ORUFI06G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMKKKKFCSWTIRCICSPPSSFIQLGQNGSRVRRLGILRRKSGLSGLVVVCHHLPPILHIRSRLSLRSLYLWWYSAAFSDLEGASRGHACYAEEVTKLYKKNSKSAPMRRHKGGKKAQEQSGTLQLQSPECVSEVPSMREREVANLGSDGVLVLLIRLGLAN >ORUFI06G11210.1 pep chromosome:OR_W1943:6:8616523:8624982:1 gene:ORUFI06G11210 transcript:ORUFI06G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVQCEHVPAIYNPFVSSPHPPLPFPPPTPRRRVAAAASPATRSPAAPPPAVTGLRPSALLPAPPAISAPRLLHSPDSPPAAAAAISDSFSAARLSSESTPTASRSGPMFCEEGSSESGYVLCVIDSLKKKITSDRFVYIQKRVEENSIKLSPITLHSHNLSKNRQTSTSNSTDLVSNLLTKRKEDALCAVNSRESSPDESEGANCQDECSSTVIVGGNLSARNSVRPIRLPEVATLPPYTTWIFLDRNQRMQEDQSVLGRRRIYYDTNCGEALICSDSEDEAVEDEEEKKEFKDSEDCIIRMTIQECGMSDAVLETLARDIERAPDDIKARYEILQGEKPEGSSKKVSEHNVKMEDVYGDKDLDAALDSFDNLFCRRCLVFDCKLHGCSQDLVFPTEKQAPLCSSDEGTPCGIHCYKLVSKPDAIMEIDSHLLVDVEEPTSDNLKDQIGSNKKKLGSSGQKTKSQQSESSSTARVSSESSESEVQLLSNKSPQHSPGLSKNKLGAKGGIKKSTNRRIAERILMSVKKGQQEMSPDSNSIVNGCHWPRDMKLRSDTRSGIKDSVVSSQCNSPSTRSFRKKGTLQMENNSSFVDAQSDSMEDTNNEHSATDGCDSSRKEECVDESICRQEAHGRSWKVIEQGLLLKGLEIFGKNSCLIARNLLGGMKTCTDVFQYMNYIENSSASGALSGVDSLVKGYMKGNELRTRSRFVRRRGRVRRLKYTWKTAGYHFIRKRITERKDQPCRQYTPCGCQSACGKQCPCLTNGTCCEKYCGCPKMCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGTLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNNEYVLDAYRMGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERISAGEELFYDYRYEPDRAPAWARKPEGPGAKDDAQPSTGRAKKLAH >ORUFI06G11220.1 pep chromosome:OR_W1943:6:8626654:8633097:1 gene:ORUFI06G11220 transcript:ORUFI06G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKDATDLEERSEASEHGQALSFHGGAMFLQEAQIASPAAANNALTSMANPFPIPPGLWNPPSHNMGLGETSFSSLLGMLSAGAPPPFVATPGFVDSTAGFPCYNGGNLGAMINHPFPGIHQPLGDFQNCVEPCREIEDIEIEGSKNVSQTGEKQQGDGETTHAVDSSSKELSMPGRNGGAGHDEGTRVSCSKKRKRSGQDGGVKHAEGGEQLATVGSAQKNEDDEKGEPKRSSVASGKSSGKQIKDNAGSPKEDYIHVRREKISERMKYLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLASVNPTLDFNIERILSKDIFQCRGTTASSAFGFFPDIVHPRLHPPKYTQVGMPSIVNPTDAFGRVIHAPLGTNSAFKEPKHQMPNNLNGEFQDVIEMPFTHDHHGSNDQP >ORUFI06G11230.1 pep chromosome:OR_W1943:6:8631398:8635679:-1 gene:ORUFI06G11230 transcript:ORUFI06G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSGAQARKASLVESIEAAISELMPPEAAADAEHENFMDVGSHLYHAPLATMELQRAQNSTVNVMHVMEYLAANVDLAKDLVMRCSAVARELKNDDLLGMTEDLDSVIKNIGHELSRIPASTFGSSRFPDGRADANLQVAGHRPRYCDQNSRDGYSEADMSIIPANSRPRRRTLHNSDMPRLVDFLQGMYHESHDIGAHSFNSLPEVAEYVEPLYDSFFCPLTNKVMVDPVTTESGVTYDRKAIEEYFEKFADGSEPVVCPVTKMSMQSKALRSNVPLKSTIAEWIMRNEATRVRIARTALSMASTEAMLLEAIQELKLLAKIRRKNREQMHKIGITKFLPRLLEHKDGLIRCDSLDLLCLLAEDETGKEVIANTRAITRTIKLLSSNSSDERHAAISFLLELSKSELLLENIGSTAGSILMLTTMKFNDSDDPVAAEKAGEVLKNLENCPKNIKYMAESGYLDPLQRHLVEGSEDVQMEMVSYLGELVQKQEMTINIAGSASEILIKMVHSGNTVIRKAALDVLVQISSDGPNSKTLVDAGAVPVMVEELFIRKIEDEPMGSKTEAAAVLANIVESGLDPDTIVVNKEGHVITSKYSVYNFTHMLKCSMPDDLNLSIIRVLLALTALPKPLMTVMSVMKEQDSSLTVIEFMGSKTEALGISATRLLIALSPQMGHTIAEKLCKAPGQPGRLVKSISQPGRVTERHAVAATLLARLPYQNITLNLALLEQGAVPTLLAKIEEMQRGEMRVSRHAKTYMEGLVGALVRMTTTLYDPDVLLAAMDHNFTAVLTDLLVRSAGSDEVQRLAAVGLENLSHQSVNLSQPPSEEQRRPKKKNILRRLRDAHTGRVHDNNRKPPPAAQQGRLCPVHRGVCSPATTFCLVEAGAVEALVGVLESNENGRVVDAVLGALCTLMDDAVDVERGVAALAEHDAARHVLRALRQHRDVSAVGGGGGDTGGAVSRRCFWAVERFLAHGGERCVRDVTADRALPSALVSAFHKGDAATKQVAESVLRSLHRMPDYSATYVSVEL >ORUFI06G11240.1 pep chromosome:OR_W1943:6:8655917:8656441:1 gene:ORUFI06G11240 transcript:ORUFI06G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDKFDMLLRMLEEFERRREEADQRRRADFQSLKAAVESWMLEIQKTAEDLQISVGDMQSKEFERRREEAYQRRRADFQSLKAAVESWMPEIQKTAEDLQISVGDMQSKVTPTT >ORUFI06G11250.1 pep chromosome:OR_W1943:6:8669060:8671988:1 gene:ORUFI06G11250 transcript:ORUFI06G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVTERLPEGSSEPLLPTKREGGGGGGEFAGASFAGAVFNLSTTIVGAGIMALPATMKVLGLAPGLVAIVLAALLTDASIELLVRSSRAAGAPSYGAVMGDAFGWVGRRLLQVCVVVNNIGVMIVYMIIIGDVLSGTSSGGEHHYGVLEGWFGPQWWNGRFFVLLVTTLVVFTPLACLKRVDSLSYTSAISVALAVVFVIITAGIAIVKLIKGQIPMPKLFPDVPDLASVWELFTAVPVLVTAYVCHYNVHPIHNELKDPSQIKPIVHTSLVLCSTVYITTSFFGYLLFGESTLSDVLANFDSNLGIPYSPMLNDAVRVSYAVHLMLVFPMIFHALRLNLDGLLFSSSSPLSSDNRRFSVMTAVLLLVIFLSANFIPSIWDAFQFTGATAAVCIAFIFPAAITLRDPLSIAKKWDKILSIFMIVLAIVSNVVAVYSDAYSMFHRKSSPSIA >ORUFI06G11260.1 pep chromosome:OR_W1943:6:8673507:8680286:1 gene:ORUFI06G11260 transcript:ORUFI06G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMPPPPPRNPNPSSASMPPPPPPPKFSLAAAEVEPASKPESANPTSSMPPPPPPRPVEGASTSSSMPPPPPPLPRPTAPPQPEVEGAPGADVSAEAEVDEAGNSGRGSGDVEMAEAAAPPPSQQQQQPRPRAPYAIPEWSAAPGHPFFLEVLKDGTIVDKLDVSRKGAYMFGRIDLCDFVLEHPTISRFHAVLQFRNDGEVFLYDLGSTHGSFINKTQVKKKIYVEIHVGDVIRFGQSSRLYIFQGPSELMPPEKDMQKLRDARVQQDMLDREASLLRAKNQAALAEGISWGMSEDAIEDSAEDEADEITWQTYKGQLTDRQEKTRSKIIKRLEKITNMKKEIDAIRAKDISQGGLTQGQQTQIARNEQRTSQLMEELENLEETLNDSIRESLGARTGNSNRGSHKASLEEEDDILSDEDDFYDRTKKKSSTHKSSEQQVETADSLLDKKDTITSDIESKKKLVEEEKNKLAKSENADVGDDLDAYMSGLSSQLVHDKIAQIQKELSDLQTELGRVVYLLKIADPMGEAARKRDLKPRETKSPASNDSLRPESRKQNKVAQNKASTEEKLKESCAEKTQVDKPAEEEKGISTNQENGSKPAFSIPKPQWLGDKRTVEPEESCIKEESANEEETDNFVDYKDRKTILSGSASGKDLEEAAPGLILRKRKSDQSAANEVESSSVESEASAADAVALLLKHKRGLQTSEDMEDENEPQASKGKSKKSKQKRVLGPARPDFLDAGPDHETWVPPEGQTGDGRTSLNDRLGY >ORUFI06G11270.1 pep chromosome:OR_W1943:6:8687714:8690656:-1 gene:ORUFI06G11270 transcript:ORUFI06G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKWSATASVMKTFMAGGWVHRARRRPKPTEDAGEACASSEYGARVKTLLTLFRAFTMGLPGVRPQPPMSERRRKTTPRAREGPPKPSPPASPAPPPSAPSPAKAKPSSFRSAHRHHVTAPPEADVDPKRKMYYSTEEDIRLVILRHRRYGCPWPWARARVVKATAEIHPRCHTEESERRMGSRRDARGGMEARWHAMEEYGANDTRARI >ORUFI06G11280.1 pep chromosome:OR_W1943:6:8688420:8688963:1 gene:ORUFI06G11280 transcript:ORUFI06G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVCRSEARRLCLSWAWGRWRWRWRGRRLHAEQGKQCRWEWDHQGSNVPQSATRTPATGDDPRWCERARAKKQRERGERNQARVGGEKKGRAGAGRRATDEG >ORUFI06G11290.1 pep chromosome:OR_W1943:6:8690506:8690893:1 gene:ORUFI06G11290 transcript:ORUFI06G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRLWTTTCSVHPAAGHEGLHHRRCSRPLAAGHGGCRRNRTPFRLHRAPLPLSLSLAAPAAELLAVATFPAAAAAGSGERWAMATGRAAVPPDLGEESEVGGGDGEGCSAV >ORUFI06G11300.1 pep chromosome:OR_W1943:6:8699122:8710793:-1 gene:ORUFI06G11300 transcript:ORUFI06G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPVTEIRGRREHLAVPQLRPCATMALPADRVVLMEPDGLAVVALLDEVHVAVLLRVRALTSISGKQMKPTASSVAATDTTARSNASMADR >ORUFI06G11310.1 pep chromosome:OR_W1943:6:8707655:8708200:1 gene:ORUFI06G11310 transcript:ORUFI06G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEKAHEWYKSRNNDKPFTLEYMWKDLKDQPKWRRVLEQSSKNKRNKNSESGAYTSSSNQDTEEESVSKEKRPEGQKAAKQRQKGKCEPSPLGDKPSQNMILFHEAVTTRAAAILRSAEATLVSAEAKKEKARAKKDKAKAEKYKTYLKLMEKDTSNYSEAKLKRHEDVLDQLARELADE >ORUFI06G11320.1 pep chromosome:OR_W1943:6:8746247:8746672:1 gene:ORUFI06G11320 transcript:ORUFI06G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDRGDVLTEYKSVKHKSLFILEMKWIDAFLVVIHKGLLSEVTTAVDHIVAMFELQLLEKKVYAQFIMQLQLHEAIQDDLSAYKKRNIADRQHASKIRMLRRGNCYLYQETLNTGSWWRWHLTVLCIGLGTSQILRRGDC >ORUFI06G11330.1 pep chromosome:OR_W1943:6:8770430:8771712:1 gene:ORUFI06G11330 transcript:ORUFI06G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWCTAETGDTVAPMSSIQIIRRCGSCDTGGGRVPTHRWQTAVMRWPRRQVGTHPGHRDVGRSCVSLSSGCEVVMHSDLLLLT >ORUFI06G11340.1 pep chromosome:OR_W1943:6:8785541:8788491:-1 gene:ORUFI06G11340 transcript:ORUFI06G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARTWSRRGLVALLLLALIFSSFLSPSDATSTYLRRRQLLKRLNKPPLATIQSPDGDIIDCVHISRQPAFDHPLLRDHTIQMQPSSQPSGLYGEATRPFTQTWNQNGEKCPDNTIPIRRTKEEDVMRATSLTTFGKKTHDRSPHPHSHLASVTGGHYYGVAYATGDANYYGTKVTINVYPAMYGDDKTRLFIYWTRDAYNETGCYNLACSGFIQTNPQFVIGGSISPISTYGGTQYEYDYLVWKDPAGGNWWLQVQGYNVGYWPSSIFTLLQTGVADSVEWGGEVDSPQITTPMGSGHFPEEGFGKATYSRAIQVVDSSNNLKPPNGVGLIAPLPSCYNVMTGSSSTTSWGTYIYYGGPGCPQNSQIEVM >ORUFI06G11340.2 pep chromosome:OR_W1943:6:8785541:8788491:-1 gene:ORUFI06G11340 transcript:ORUFI06G11340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARTWSRRGLVALLLLALIFSSFLSPSDATSTYLRRRQLLKRLNKPPLATIQSPDGDIIDCVHISRQPAFDHPLLRDHTIQMQPSSQPSGLYGEATRPFTQTWNQNGEKCPDNTIPIRRTKEEDVMRATSLTTFGKKTHDRSPHPHSHLASVTGGHYYGVAYATGDANYYGTKVTINDPAGGNWWLQVQGYNVGYWPSSIFTLLQTGVADSVEWGGEVDSPQITTPMGSGHFPEEGFGKATYSRAIQVVDSSNNLKPPNGVGLIAPLPSCYNVMTGSSSTTSWGTYIYYGGPGCPQNSQIEVM >ORUFI06G11350.1 pep chromosome:OR_W1943:6:8791895:8792994:-1 gene:ORUFI06G11350 transcript:ORUFI06G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNVTTGMRASGLQNQVALVSIGGWSPNQGVPQPAIILNPSFSRHRRGLCPGWPYWATAMGLSNRTLSTELEPMELSTIEEGNRQVEPRGKSMGTAIDSEPTAIDLFKELHCSKTKGFSEPVKKAVEDMHAREVLTSPSVEDGQQAKTSIEAISKVLRKSNTFLRYMLEHVGNCILDWKINYGWNVIII >ORUFI06G11360.1 pep chromosome:OR_W1943:6:8793942:8798477:-1 gene:ORUFI06G11360 transcript:ORUFI06G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKPFLILTRNGAGPSVACSNSGSCVESGDSCGSLMRIHRQQGLARADTSGPHHWVDPVAASPSLVSYGEGYSTSPADAPWLHGVKAAMTTVLGWASRRRQTTSIHSAAATIYSLSSSIHCAACISAPSHLFALPRLTPPPREGRWRADPLPPVLGSDGGA >ORUFI06G11370.1 pep chromosome:OR_W1943:6:8846853:8862387:1 gene:ORUFI06G11370 transcript:ORUFI06G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFHKNRCEPLHVKKPSTLRTRETTGRQPPHTTSRDFAIRPSVLVACNASILGERRRMPAGFILAWLTRPDYTRGACVRGHPAACSGGLTNRLASVAAEWD >ORUFI06G11380.1 pep chromosome:OR_W1943:6:8864051:8865699:-1 gene:ORUFI06G11380 transcript:ORUFI06G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSAAFLPSLLTGFRHSTPAVAWTGLAISRRNWRKSESGERVLRRGGEGGDTVRGGEGHGSGACVRRRRGAVRGAEEEKAETHASTRKCGAGGEDRWSGKERWGRGMES >ORUFI06G11390.1 pep chromosome:OR_W1943:6:8867783:8872563:-1 gene:ORUFI06G11390 transcript:ORUFI06G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVALSTTAGNNCGRHVMRSRCGCMLVAPGAQGIDVNIKEMNAQTNMKNQQHHIFWARGSIIHYSPYKLEAQMQNVVILASRLISMKASQHFEQLEEEMYYHMVMLVGPRSEYRIRWIIRNPVIFSQSSESGCKFSVTHPTIYANWYAIATYGLITIRQFLARMCRTRKTRRIKQHNIFGCIFLCTQSCWFEPFITYMQAAMANETMVDRSVEWLQLGCSHSPIFSDFPLLFPSSCEVVTCITNTDFTGSKRLSAPSTLQIQLYRY >ORUFI06G11400.1 pep chromosome:OR_W1943:6:8939269:8940510:1 gene:ORUFI06G11400 transcript:ORUFI06G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKESSPPAPPPLPPTLAVDVSVVVGLLTALFFFLIYAKHCKHRGLGVARGVAVLGLGFQPSSSLCKRCRSGLSSCAVGALRCPGSATWATLRGTARDQATECAVCHGAFDTAELLRVLSRCQNAFHPGCIDVWLMTHSACPVCRRSAADGALRVPGDRESMFPSYVSASKGEERCDMRRWKRASRTRAVARAWMEAQPRRGGWMRDASADGWGVGWH >ORUFI06G11410.1 pep chromosome:OR_W1943:6:8940447:8952795:-1 gene:ORUFI06G11410 transcript:ORUFI06G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGCAGRSSGAGSGDDGGASKMNGSASSLVVRHRTEVILRLFTDLETVTMMLKYLHKFMSCIVLVMTIVSRYFDGAKMQYLLEMLEESYVFVGHHDFIGCPHIFKETQALFDLYMMYIDGVEREEKEWESIFSKAGFSAYKIMPILGFLSIIEVYP >ORUFI06G11420.1 pep chromosome:OR_W1943:6:8953798:8956290:-1 gene:ORUFI06G11420 transcript:ORUFI06G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEELLQGHLQLYHHFFSYIKSMALKCAAELGIPAAIHRRGGAATLRDIVADVALRQAKVPHLRRLMRVLTVSGIFAMKQQQPASSGEAVYTLTPASRLLVAGAGGGHDMSPMLRFLVHPTALTPFFSLHAWFRVDDEEEEEEPVAGGGGGGGAAMSLFEMAHGFPRWEMTGRDAAYGAVLNDAMAADSRFVMEVVFREGGGDVFRGIGSLVDVGGGHGAAAAAVAAAFPHVKCSVLDLPQVVRKAPPDAGDVRFVAGDMFEYVPPADAVLLKYVLHCFGDDDCVKILRRCKEAIPARDAGGKVIIINMVIGSGSQRDIFKETQALFDLYMMYIDGVEREEKEWENIFSKAGFNAYKIMPILGFLSIIEVYP >ORUFI06G11430.1 pep chromosome:OR_W1943:6:8960839:8976109:-1 gene:ORUFI06G11430 transcript:ORUFI06G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLIPHRYAGRAAALALPPPPGAPPAMLQFGVSQRCSYNFIPYSLSNNSSRQLSSIGSRLPLQLKSGAFFTTGLMGNPNFVSLRAAYRHGISLRANNIRNSRSFLTLRNTKVTFPIRNKCLFGNPNMRKEDGSVAHSMFHRSEKRKSTLAACDTITDEASTSTSKRSKSGTGTKKTTTRRKSPTSRKKEASEDMKEEKASTKKQRKSVKTSTAATKSRKIGVNQDESKSDISKSKKAADSSKEKKTSNRSKKSSKPKESAASNATAKAEICTTTFVSEQKPLVPLYPPTAKSVLVVESVTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKSIRTALKGYDNAVWAENLILASDPDREGEAIAWHIKEMLEQQDALGSKVTVARVVFHEITEDAVKKALISPRYIDMDLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQSAALALVCDREAEIEQFDPQEYWTVDTDFKTQHSGPSNGLNLQSRIKHLNSKKLDQLSIRSQEEAHNIEKRIYSSQFEVTGIKRSKINKNPPMPYITSSLQQDAANKLHFSAGYTMKVAQKLYEGINLSSEEATGLITYIRTDGFHRLSAAQATIIAAHDNRIVANSIFISDGAVEDILSLVKQRYGEEYASEGIRKYFKKVKNAQEAHEAIRPTSIRRLPSSLVGALDDDSLKLYTLIWKRTMACQMEASRTDLIQVDIGNSEGDMIFHSSASRLDFKGYQAVYDDTEASPSSYNSEVDAGHQDNFEALSKLEVKDLVSPVNVHLSQHFTKPPSRYSESALIKKLEELGIGRPSTYASIMKVLQDRKYVTIKSRVLHPEFRGRMVSAFLMHHFSEVADLSFTANMETELDNVSAGSTEWKGLLKDFWERFNKYCGDASRLDVRKVERKSLLISRKVQLPLKVERMLEEKFGSILFSDLDNDSRICPSCSEGTLRFKVSRYGEGYFIGCDRHPKCKYIARTLSDDDDETEASDETQRTFTPRLLGALPDSDEKVFLKQGPYGHYVQVGEDRKGVSPKRAPLSEVKDIDSITLKDAIELLQYPKILGKHPDDDLPVLITHSKAGFSIRHRRTLASLPKSADPKKITLERALKLLTGKNVRKFGRPKGKTKKEAEPLEWH >ORUFI06G11440.1 pep chromosome:OR_W1943:6:8977012:8977296:-1 gene:ORUFI06G11440 transcript:ORUFI06G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVEFTIGGSQDFYDLSVIDGYNVAMSFSCSSSAGLTCRDSRCRGGRGGIGGNYDGCDAGKEASTPRLESSSSVSHSSCLDGLADRWGGGRLL >ORUFI06G11450.1 pep chromosome:OR_W1943:6:8980975:8981346:-1 gene:ORUFI06G11450 transcript:ORUFI06G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNKYKVAAVRTVAGSKGDTVTCHTMRFPFAVFYCHAINPTRVYAVVLESEEDGSGTPEKMEALAVCHLDTSRFDPKTPLFVEHNLRPGDTSVCHFVSRDSVIWAPVAAVITHGDEQVSIAE >ORUFI06G11460.1 pep chromosome:OR_W1943:6:8988368:8989819:-1 gene:ORUFI06G11460 transcript:ORUFI06G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAANGGAAAAHVLVVPFPAQGHLIPLLDLAGLLASRGLRLTVVCTPATAPLLAPLLAATHQGAVSALTLPFPSHPALPAGVENAKGSGPALFAKLIVAFAGLRGPLGTWARARADTPDRVVAVLSDFFCGWTQALADELGVPRVVFSSSAVYGTAVLHSMFRLMPKREDEHDDECPVSFPDIPGSPSYPWRHLSMLYRLYKAGDEVSEGVKNNFLSNMGSSCIVSNTFRQLEGRYLERPLADLGFTRVRAVGPLAPEPDASGNRGGETAVAASDLCAWLDQFADGAVVYVSFGSMAVLQPPHAAALAAALERTGTAFVWAAGSHAAAALPEGFEERAAAGGRGKVIRGWTPQVPVLRHRAVGRFVTHCGWNSVLEAVAAGVAMLTWPMTADQFVNARLLVDEHRAAVPVSWGGIAAPPSADEVARVFEATAAAAAAAASEWSKVAARVKELAGEAAAATREGGSSWREVDELARELRELGG >ORUFI06G11470.1 pep chromosome:OR_W1943:6:9015737:9021966:1 gene:ORUFI06G11470 transcript:ORUFI06G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTDAAAHVLVVPYPALGHLIRILDLVRLLASRGLRLTVVVTPATAPLLAAAHPGGVVSALTLPCPSHHAVPAGLEVPKGPPGAAPRLLPTRVVAFAGLRGPLGSWARARAGTPDRVVAVLSDFLCGWTQLLAAELGVPHVVFSPSGVYGTAMLHSLFRVMPRPADENDDESPVRFVDIPGSPAYPWRQLTRAYRTYKKGDEIDKGFKSNFLWNLESSSLVSNTFRQFEGRYLESPLADLGFRRVRAIGPLAPEADDDASGNRGGETAVADGRVGPLRVAGPVRRPLRRVRQLREHVAAAAAVSFGSMSQLQPLHAAALAAALERTGAAFRAAASGRGMVIRGWAPQLAALRHRAVGWFVTHSGWISVVEAVAAGVAMLTWPMVADQFVNARLVIDELRAAVPVSWGGVAVPPSANEVARVLEATVLAADGGEVGARVEELAVEAAAATREGGSSWVEVDELVRELGGHMQR >ORUFI06G11480.1 pep chromosome:OR_W1943:6:9026172:9027623:1 gene:ORUFI06G11480 transcript:ORUFI06G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTDAAAHVLVVPYPAQGHLIPFIDIVRLLASRGGLRLTVVVTPATAPLLAPHLAEHTGDGGGVFALTLPFPSHPAIPAGVENAKGSPPELFAKLVVAFAGLRGPLGSWARDRADTHHRVVAVLSDFLCGWMQPLAAELGVTHVVFSPAGVYAAAVMHPLYRVMPRPDDENDDECPVTFPDIPGCPAYPWRQITRTYRTYKKSDEIAEGFKSNFLWNLESSSFVSNTFRRLEGQYLERPLADLGFRRVRAIGPLAPESDVSGNRGGEMAVAASELCAWLDQFADRTVVYVSFGSMALLQPPHVAALSAALERTGAAFVWAAGSHTALPEGFEERAAAGGRGTVIRGWAPQLSALRHRAVGWFVTHCGWNSILEAVAAGVAMLTWPMVADQFVNARLLVDELRTAVPVSWGGVAAPPTADEVARVLEATVLMAEDGGEASDSEWSHVGARVEELAVEAAAATREGGSSWVEVDELARELRGL >ORUFI06G11490.1 pep chromosome:OR_W1943:6:9046537:9048179:1 gene:ORUFI06G11490 transcript:ORUFI06G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTDAGGDAAVHVLVVPYPAQGHPIPFIDIVRRLASHGGLRCTVVVTPATAPLLAPHLTEHTGRGGSGAFALTLPFPSHPAVPAGVENAKGSPPELFAKLVVAFAGLRGPLGSWARDRADTPDRVVAVLSDFLCRWMQPLAAELAGAQSIYSIRLNI >ORUFI06G11500.1 pep chromosome:OR_W1943:6:9053962:9056612:1 gene:ORUFI06G11500 transcript:ORUFI06G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKPTGLSSSFSSSRLGITRNRECITAAPYTPDGENTTRGTPSSAARSCIHPQKKSESTATTRSGVSARARAHDASGARSPANATTSLPQSEGGNPLALSTPAGMAGWEGNGRMSAEMAPPPPPPGCTAAAVARMSGAMAGVVTTVRRRPPRDARRRTRSSIGMRWPCAGNGMTRTCAAALPLVAGAIAVDGCGGAESG >ORUFI06G11510.1 pep chromosome:OR_W1943:6:9058134:9059540:-1 gene:ORUFI06G11510 transcript:ORUFI06G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTSDPAAAPAPAPHVLLVPYPARGHMQPLLHLASRLAAAGLRLTVVATTSTLHLLSPLLAEHPSSVSPLTFPSFEHDTSGPTSVGVDLHALAALREPLGEWVRARARSGGEGGRVVAVLSDFFCGWTQPLAAEAGVPRLVFVPSGVLATAATHSLFRRMPRPPPAAAGREYAVEFPGLPGAPAFPWRQLSRMYRSYVEGHGGEHAEAIKNNFLWNLESSALVCNTSRALEGRYLDAQPLEDLAGKRVWAVWPVAPEFTADESAGEVIRWLDAFPDASAPPPPHAASLAAALERSKTPFVWAASTATLPEGFEERAAAASASASAAGLVIRGWAPQTAVLRHRAVGCFVTHCGWNSVVEAAAAGVPKLAWPMAADQFFNARLVVDEARVGAPVSLGGFGHVPDAGELAGVLREVVGEAGGELRARAKELAARMAEAARGDGSSRRDLDGMVRELWDLGSRS >ORUFI06G11520.1 pep chromosome:OR_W1943:6:9064756:9068407:1 gene:ORUFI06G11520 transcript:ORUFI06G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAGDAPPPAAAAREEEEEEGVSCGICLTDARRAVRGELDCCAHHFCFVCIMAWARVESRCPFCKARFRTITRPPVPGRFPSQRVVAVPERNQACNPSGNGSSTVDADLYANTSCSVCNLSNDDELLMLCELCDSAVHTYCAGLGTEIPEGDWFCTDCMTAKEEHSRCEIDDDNSSDHGEFKITIEVPIADPVAAPSISDIVDEGHSPNLVQRSSVQSNRPSISDPVPSIYDIVDDDYTTIPIGRVNARSTRLDSRAERLPSQGISVGPQCPESPQERENSRVCSHARSRIESERARTLRNSRNLGSRIRELRENWSALRSGSIGFATQLHNRRRGNGAGTCDIEERHRSTTTFMEVAASSSGHAKKISPKNSSDVHKAWKMLEMAKSSGGKKKPDNPSSLNCSVPFSMGNRSTSYSPIDAILGHKNNKLYDGITQKNNAEQHRSTNMENKPPTMNFGECRKLQEKFHGSAHGRTPSTIMRQESLTGKVSSSSNNEKHNRSINMENRPPTVNFGEHRKLQESASVHGRIPSTVMMQENLNGKVASSSNNEDAGQIFESSRDVSRPEKSKPVVSCPLTFSLLSGQSMVTSSQQLRPGWSQSTEMVSSQEPSATAASIDIGTAGANDKVKGSRPDRLERKRKLGSETHDDKGSKRSMSSCKIRKSDISFLAIRELKLLNIDKTYGSDTFKEVARAATHTVLASCGLEHSPSVALALPRPVCKHTCKTEPLPSPDVLTDFCRECLCNFVKEVISSLLSGRKME >ORUFI06G11530.1 pep chromosome:OR_W1943:6:9070917:9079290:1 gene:ORUFI06G11530 transcript:ORUFI06G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRAADVVIGVTAGVAAAVAAAALVLLAICLYRRRRASASVAAPARSPESSTATLRANGSLNSSVSLSVASDWDHHPPPAKRAAAFWAWRGGANNGSHSPPPVSVSGIPKYHYKDLQKATNNFTTILGQGSFGPVYKAVMATGEVVAVKVLASDSRQGEREFQTEVALLSRLHHRNLVNLVGYCVDKGQRILIYEFMSNGNLASLLYDDNKRSLSWQERLQIAHDVAHGIEYLHEGAVPPVIHRDLKSANILLDHSMRAKVADFGLSKEEVYDGRKSGLKGTYGYMDPDYMSTSKFTKKSDVYSFGIILFELITAINPQQGLMEYIDLAAIGGEGKADWDEILDKNLIVGNIAEEVRILADVAYRCVNKNPKKRPWISEVTQAISRIRQLQLMKLDTLNLPRSETRTVLRRIEHQHVELTDLTSMKELTPITA >ORUFI06G11540.1 pep chromosome:OR_W1943:6:9075612:9077564:-1 gene:ORUFI06G11540 transcript:ORUFI06G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNLESPVQTQMAVSALNRALSSEYPSKSRSEGRASGWKRIFVQTDTGCVLAVQLDRGDNAHTVKRKLQLALNVPTEESSLTFGDRVLKNDLSTIRNDSPLLLTKTFMHRSSSTPCLSPTGKDIQQQRDRGGPIELLVCPSRCSRTKQLVKDVARAIRNGVDPIPVNSGLGGAYYFRNSKGENAAIVKPNDEEPFAPNNPKGFTGKALGQPGLKRSVRVGETGFREVAAYLLDYDNSANVPPTVLVKISHPVFNVNECVSSANMKASKDYPGAVSKIASFQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLTGPGKFGNQTELIPIDHGLCLPECLEDPYFEWIHWPQASIPFSDDELDYIANLDPMKDADMLRMELPMIHEACLRVLILSTIFLKEATSFGLCLAEIGEMMSREFTGMEDQPSELEVVCMEARRLAIEREESSTEIDSGDEDAIQFELDCEDDHEMLKAQPAYHFELKGGSSRNPLSKLDEAIEEEEDDIEEEESNAEKLGYPKAINKWLPNISKLSTSLNGVRLGDKIQCQLPAAPKIMDPVKIFEGNSNHSGSQVGNWRSANEQLPTSASFVKLADMGSETWALFLEKFQELLPEAFRSRKCGAAGQRARQRLGTSCQF >ORUFI06G11550.1 pep chromosome:OR_W1943:6:9085243:9085476:-1 gene:ORUFI06G11550 transcript:ORUFI06G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGSIYADSGGFEHIDATSEKTLTTAAAQPDPASMTRSAPECPLLSPESLSSSAADLVALCHRELDAGDLFTTTS >ORUFI06G11560.1 pep chromosome:OR_W1943:6:9133649:9136996:-1 gene:ORUFI06G11560 transcript:ORUFI06G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAMVGGKLAGVGGEKLRCAAAPAAAARSRMKLWMVRATTTVLLWTCVVQLTAVGDTWGPRVLKGWPSCITSPDDDAASATLAAARPEPVVDKAVLPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKRRVEHGMYHSMPPISWSDISYYHNQILPLIRKYKVLHLNRTDARLANNGLPMEIQKLRCRVNYASLRFTSQIEELGKRVIRILRQNGPFLVLHLRYEMDMLAFSGCTQGCSNEEADDLTRMRYAYPWWKEKIINSELKRKDGLCPLTPEETALVLRALDIDRSMQIYIAAGEIYGGKRRMSALTSAYPNVVRKETLLEPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYMGFKKTILLDRKLIVELVDQYNNSSLRWDEFSLMLKAAHANRMGSASKRTVILDRPKEEDYFYANPQECLQDSNLLHTS >ORUFI06G11570.1 pep chromosome:OR_W1943:6:9152451:9153323:1 gene:ORUFI06G11570 transcript:ORUFI06G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFHPVPGLAGRLFGGAAAAAAVAAVEEVRCPRCDSSNTKFCYYNNYNLSQPRHFCKACRRYWTKGGLLRNVPVGGGCRKPKRPAPPPSSSFTGGGGGGGGCGHRDSKSARSAGGGGDGSGSTASATATPAAAPASSNTLSAAVSQPSSVDALSPPPAPMFADQATAFASLFAPPPPPPSQALPAFASFTAQPKAEEDVADAPALAATEQHRSSSAASFAAHSISPPFAAARSSDGPAAAGAAAAAADWAPPTAVLDAGMFDLAGAIGGDTSYWNAASWTDHDGTIYLP >ORUFI06G11580.1 pep chromosome:OR_W1943:6:9159329:9159592:1 gene:ORUFI06G11580 transcript:ORUFI06G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVVGACSIAVGDFASIYTQLNIELAKQAAPWPDWPASSDMTGEHHGVQSRKNGSEAAISIIKIGHVQSGEKQEEKLTNKRGHYS >ORUFI06G11590.1 pep chromosome:OR_W1943:6:9171863:9175545:1 gene:ORUFI06G11590 transcript:ORUFI06G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRSLRPPQPQQAAAEAEAGPGGGEGGGNVDRVLFKNLVEMVPLVESLMDRRSNPSYSRRASMVYTPAPAKKGSDLKSVKSPQSVSVKKRRDPGETGKKSTADSNGENGAVAPVGLLGGENKPKDKDEIVLLREQIEELQKTLLEKEEALKSTESLVGEMNTLYSTVDELRRQVADKEGLIKSINSQLHNAKIMLADKQASLEKLEWEVKTSNKKVEDLQGDVSNMEFEIGSLMALFEKISENVSGELQDGSLPSSFELEALQSTSEIDKIEVEKIEQEAVTYAEALAAARENPNEEQLNIAAEARLRLQVLVL >ORUFI06G11600.1 pep chromosome:OR_W1943:6:9177860:9178246:1 gene:ORUFI06G11600 transcript:ORUFI06G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRATVAILFYILTAAAAISAAAQAPAESPSPKPSKSTAAATPAKAPTVASAPRKAGPAAAPTTTVATSAPAGGDEVSIPPTPFATVVSPVADGPADAADADFSGAGALKRCAAVAGVAAAIATVTFY >ORUFI06G11610.1 pep chromosome:OR_W1943:6:9182045:9182816:1 gene:ORUFI06G11610 transcript:ORUFI06G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTLNASTVFFLLLLLLCVSVMSPPFTPASASPIRGASPSTASSSPTTPRRRWRGGGGFTVFCPADDAVAAFIPAFRGLTADAKVALLLYHAVAAHFSEEALKAINGEVNTLATDGGGGGKVLNLTIEEDDDGAGATVKLSSSSGNVARVTKTIQDADPHAVYLIDAVLMPLDVVVNVSSGGGAAAPSPAPVTSPAPAPAQATNPSPSPDSKPDNQPAAEQPPENSASRGGMAAWSLLSVVVPAIASLVLR >ORUFI06G11620.1 pep chromosome:OR_W1943:6:9188820:9189833:1 gene:ORUFI06G11620 transcript:ORUFI06G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAFPNGGAAAPPPPMAAEQLPPAAAVVREQDRLMPIANVIRIMRRVLPPHAKISDDAKEVIQECVSEFISFVTGEANDRCHREHRKTVTAEDLVWAMDRLGFDDYVPPLTAYLRRMREYEGGGSGGGGGGGRGAAAAPAVVPPPPPPPPEDAFRYVQVHHPVYAAPGEPVQGYGYPVAMSSALPAPHVHVGVRGGGQHEVFGGGAGAPAGF >ORUFI06G11630.1 pep chromosome:OR_W1943:6:9198219:9200315:1 gene:ORUFI06G11630 transcript:ORUFI06G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPLRLRLAVAFLSLLLTVSASAAAASGGGGGFNVSFDSAALAFSDLTLLGDSFLRNGSVGLTRDTAVPSSSAGSVLCSRAVAFGGGGGSAASFAARFSFVIAEQNAGSTGGDGIAFFISPDHATLGATGGYLGLFNSSSSAAKTNASIVAVEFDTMLNDEFGDPSDNHVGLDLGLPVSVNAVDLAAFGVVLNSGNLTTAWIDYHGADHLLQVSLSYSAAKPAKPVLSVAVDLSPYLRDAMYVGFSASTEGSTQQHTIKEWTFQTFGFPSATNSSSFSNTTGNASAQTVPGEAAAGGAASRKKRFGLALGILGPVALAVSFVFFAWVSIRKLIELTSRKNAGFLPELVKGPRKFSYKELSAATRGFHASRVIGKGAFGTVYKAAMPGTATASAVSYAVKRSTQAHQSRNEFVAELSVIACLRHKNLVQLEGWCDDKGELLLVYEYMPNGSLDKALYGEPCTLSWPERYTVASGIASVLSYLHQECEQRVIHRDIKTSNILLDGNLSPRLGDFGLARLMDHNKSPVSTLTAGTMGYLAPEYLQSGKATEQTDVFSYGVVVLEVCCGRRPIDKDDGGGKNVNLVDWVWRLHGEDRLIDAADPRLAGGFDRDEMLRLLLVGLSCANPNCDERPAMRRVVQILNREAEPVPVPRKKPLLVFSSSASIKLQEIAFACGDDVRGGLPAAATSPRSEGGDIER >ORUFI06G11640.1 pep chromosome:OR_W1943:6:9200869:9203209:-1 gene:ORUFI06G11640 transcript:ORUFI06G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHKLDMILRRMEEFERRRVEAEQTRRADFQSLKNSTEDSHFLVGNKQYKMTPTMCSTKCFSPDVEPNLTVDVVVTCATTAMTFVDLVAAEDAIGATYIYNPIQPMVTPAKYLTNCSNPNDIPDLIVVAVVTCTSTSLASMDLEVGEDVACTTEIDGPDCHKETHTKCSMLGLDVKGGADHVGDVFLTMTGVAKAVPISIESIDIFSARRIWSTKAKMKMLNGWDSKQYLSIMRPIPGLFVKLIQDISPKSHHQAYVEAQVVKNSWKTLVKISHAAYCETTSHVAKKWATDLKGQTKLEDAKFDWNTLGTLVQEDEGNTVWTSEFSVSGVVQKKRLKRDLWSCAFAVNKDMKVVMLLQQYGSANLVIVNLLSVPWDPGGSHLALAIKQGTRLSLWAITSIGWLCFLWSYWLHYKRNSNRGDQVGEACTASSHRLGDKPNFKRRRMLGAIWAAIWAG >ORUFI06G11650.1 pep chromosome:OR_W1943:6:9206031:9206530:-1 gene:ORUFI06G11650 transcript:ORUFI06G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIISTPNIIILSAHLRTTHHCMLMVIQHCLLMMVLLCRLRNPLHPHLLGTMTMSLLIVMMTMVPFGPD >ORUFI06G11660.1 pep chromosome:OR_W1943:6:9208538:9210104:1 gene:ORUFI06G11660 transcript:ORUFI06G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHQHPQQVSSAPGRSTVVSSSNAPRIAPIRRPIDKPPLGNPASKKSHLLRQSRELLLHHRRPTSRATFPVRLQLVGTKGTSQ >ORUFI06G11670.1 pep chromosome:OR_W1943:6:9219157:9219918:-1 gene:ORUFI06G11670 transcript:ORUFI06G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPEHGGRSSSSSTDPELDQHNKGILEKMHKSLLLLAILAATVTYNAGLAPPGGVWADDADGHVAGDSVLQAHYPVRYSVFFYCNATAFVASLVITMLLLSSTFSFHGYRVRALQAAMALDLIGLLGAFAAGGCRSVRTSAFVLALVAVIAAYLVAHLLLHFWIRSSRCPSHRRELVELLNLHRCHSCCVGAAAAKDDATTVAQAGTEAPAAPKRASSV >ORUFI06G11680.1 pep chromosome:OR_W1943:6:9220041:9244763:-1 gene:ORUFI06G11680 transcript:ORUFI06G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSLPQDGQARAPGDAEDWNAWFKEMRGWLMVVATVAASVTYQAGLNPPGGFWQDNLRGRGGHRAGNPVLRDSVAARYQAFYYLNSTSFVTSLVIIVLLMSKRFYETKAKVVALLLTTFVDLAGLVSAYIAGSTRYMSSCIYVIVIAGVAFLCVIYAGHVMEDVCQFFNMNFPDMKKSPCLDSGGLFGDCNRAKPVKAKAGEAEGQTTRHNQHDERSERNAWLKEMRGWLMVLATVAASVTYQAGLNPPGGFWQEDDRRFYRTETKVAALVVTTFIDLASLVGAYIAGSTRFMSSCAYVIAITGVAFVSVIAMGEVMGIVCDFFRGRSPCMSSCYPLHGRAEGDGLPIHKAEDEEQGDVDLMSCSCQRQSPSSGGRGRAVPVADAAPGELAAPEAINLVPNGKRGMPVLITPSLPQQQGGASAAAFHGIIVLKEESEDPVALRNKWFREMRGWLMVVATVAASASYQAGLNPPGGFWQDDAPGPGGHSAGNPVLRHTSPARYKTFYYFNATTFVTSLVITVLLMSERFYRSETKVVALMIATFLDLASLVGAYIAGSTRFTSSCIYVIVITGFAFACVIAMGEVMEQCCGFVLRTSPCMLSLAQRHWCPVPRSVVDRAARQAKDDLHMMDRVNNKAKAAAPGSKNQRWRWRKHVALRQLAVDRVGGPPRVGLAASGGYLRSAMHKHAAARRRGCQQVGDKQRLLKDADQVEPFEVSSSTPRPLLMRQLQNSQNNAIWRT >ORUFI06G11690.1 pep chromosome:OR_W1943:6:9264347:9265227:-1 gene:ORUFI06G11690 transcript:ORUFI06G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWLMVLAVLAASVTYHAGLNPPGGFWQHNDGESHVAGTPVLQSIFPQRYTVFFYFNATAFVTSVVIIILLMNESFYHSEAKVVALEIIAVLDMVCLMGAYIAGSTRAAPCSIYVTVLTVVVFLYVVYAAELLRKIWWLIIHAPVHDTAATGGGKLPAVPQHIVEQASPHHPLRQILTPLTGYL >ORUFI06G11700.1 pep chromosome:OR_W1943:6:9270952:9271393:-1 gene:ORUFI06G11700 transcript:ORUFI06G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVEITNTTTSLPPPKSPLAHSTSSPTASASLDFFATNSGVLKLASWELTPSTTTPPAPLGISNFVASSAKAFQVGNLDTSRPITSKPPRCPGHHGRSASPSSTAAGAQILLGWFP >ORUFI06G11710.1 pep chromosome:OR_W1943:6:9272295:9273331:1 gene:ORUFI06G11710 transcript:ORUFI06G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAALLFPATVIAAACVVLSGGASAAPPGRVFVVGGDGPRGWSQPTGTDETYNHWASRNRFHIGDFLDFKYAKNDSVVVVSRADYKLCSADKPVQRFDDGADVRFRLDRNGNFYFISGAPGHCKAGQRMTVRVMADHAAKGAGGGDSPAGAPSPDGDGDDEDDSGGSYRTPGYGYSSGSPPTPPHGNTSAAAAVSPSRGGGGGGGYHRVAGVAAAALLVLA >ORUFI06G11720.1 pep chromosome:OR_W1943:6:9284424:9286857:1 gene:ORUFI06G11720 transcript:ORUFI06G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASRSRLRLAAAGENPIPHSKSGGEGGTERKPEEALRREVTDLGGGSEVVHVPRFVPREAAWGWFDYLDKRIPWTRPTIRVFGRSAVQPRDTCYVADEGLTDLRYSGHQPHAHSWDEFPVLKDILKAVHEALPGSHFNSLLLNRYKTGSDYVSWHADDEPLYGPTPEIASVTLGCEREFLLRKKPTKSQASLGSGEVAPKRLKVSAPQQHSFLLKHGSLLVMRGYTQRDWQHSVPKRAKASSPRINLTFRRVL >ORUFI06G11730.1 pep chromosome:OR_W1943:6:9288048:9301462:-1 gene:ORUFI06G11730 transcript:ORUFI06G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEEQLKELGEKLEAAPPDPADDLAKLLEQAAECLHGVEQSPGPSVMETIQPCLKAVARDEFLKHHDEDVKVLLATCFCEITRITAPEAPYSDDVLRDMFHLIVDTFSGLNDVNGKSFGRRVAILETVARYRACVVMLDLECNDLIADMFRSFLEIISDNHEPNIVNSMQSVMALIIDESEDIEESLLNGVSLPARKLARHVIEHSAGKLEPYIRKILTSSLDGDGTSTNNSIDHHEVIFDLYQCAPKVLKVVVPYITGELLADEVETRSKAVEILGELFSLPGIPILESFKSLFDEFLKRLTDRAVEIRVSVIEHLKKCLMSNHSRPEAQEIIKALCDRLLDYEENVRKQVVAAICDVACHSLGAVPVETIKQVAERVRDKSVSVKCYTMERLADIYKFYCQSGSDSSVNSDDFEWIPGKILRCLYDKDFRPESIESILCGSLFPPEYPTKERVKHWVTAVTHFDKVEMKALEQIFLQKQRLQQEMLKYMSLRQTSQEDTPDMKKKILGCFRSMSRLFNDHTKSEEYLNMLHQIKDANIWNIFTSLLDCSTTFNEAWSLRVDLLTKLGEKHALHDFVSTLSMRCSYLLVNKEYVKEILSEASDQKSTGNTKLMSSCMDLLTAVSSFFPSLLSGLEEDIIELLKEDNELLKEGIAHVLSKAGGNIREQLASSSSITLLLERLCLEGTRKQAKYSVHALAAITKDDGLMSLSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIINFITKKILDCNDDSGDVSAHKSEWSDSTQSCLLKIYGIKTLVKSCQPCKDAQAHPGIEKLMGILKNILTYGDISANMISSTIDKAHLRLAAAKAVLRLSRQWDHKVPVDVFYLTLRISQDDVPQFKHNIIEVAQICQQVKMRQLSVQAETNVLTAYPEYMISYLVHALSHDPSCPNIEEHEDVEAFGPIYWRLHLLLLILLGEEGLQHSVPGMKKESFTTIVSIFKSIKYSQDVVDVNKTKTLHAICDLGILIGKKLCQEQINISEAQTVSLPSQLYAPVQKDQNENSVESDEQIWPGCENVLAHFEALMTAKSAEVESPKDKMLIDETDEFGNEVPLGKIVKILKSQGAKKAGRKQKTKSGSINMEKDDDVLGLVREINLDNQENLGESEKSKPKKKRMDAKESNDKPVDFSTPKRKRSVSKSRPHSTKGNKYSDELLLQSVDPDETINSFENKVEGAKKRDDSVDTELVTSPASVKTPVSKGKKGAKKPHAEILSSSPKKSDEAGSSKRTVDSGSLNGSIKRQKPKLVSGLAKCTTHDTGSEDLIGKRIKVWWPLDKKFYEGVVESFDSSKRRHTVLYDDGDVEVLNLAKEKWEIVASDDPPVKARKKDHSGRNQGRAQDKSITSSKQTPPPEQEKSKKRPSPPKRKGKPKGLPKNKRRKIGGKSSVDAAGDANIDSDSSSSLAHSDSDNDKKSDGRNEKEVVVAKKAKAEKVSGKGDEPKEEEPDDHNLNSKEESDNETLVRKSPVL >ORUFI06G11740.1 pep chromosome:OR_W1943:6:9316182:9373180:1 gene:ORUFI06G11740 transcript:ORUFI06G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETVVSMAMSVLGSAVGKAASAAADEATLLLGIQKEIWYIKDELKTIQAFLRAAEVWAEQVRDLSYNIEDCLDEFKVHVESQSLAKQLMKLGERHRIAVQIRNLKSRIEEVSNRNTRYSLIKPISSITTEDERDSYLEDARNRSGSNTDESELVGFAKTKDELLKLIDVNTNDGPAKVICVVGMGGLGKTTLARKAYENKEHMKNFSCCAWITVSQSFDRKEILKQMIRQLLGADSLDKLLKEFSEKLLVQVQHLADHLVEGLKEKRYFVVLDDLWTIDAWNWIHDIAFPKINNRGSRIIITTRDAGLAGRCTSESLIYHLEPLHIDDAIHLLLAKTNIRLEDMENDEDLGSIVTKLVKRCGYLPLAILTIGGILATKKIMEWGKFYRELPSELESNPSLEAMRRMVTLSYNHLPSHLKPCFLYLSIFPEDFEIQRGRLVDRWIAEGFVRATDGVNIEDVGNSHFNELINRSLIQPSKVSTDGVVKRCRIHDIMRDIIVSISREENFVLLTREKITVVAEESIRHLAFHGSKCSKICLEWNHLRSVTLFGDRPVGRTPALCSPQFRMLRVLDLEDAKFKFTQNDIRNIGLLRHMKYLNFARASTIYTLPRSIGKLQCLQILNMREANISALTTEVTKLQNLRSLRCSRRSGSGYFSIIDNPKECLMITMCLPMVFLTSINFSDRVKLIPEICMSCSTRWSDTKGVRVPRGIDNLKELQILEVVDINRTSRKAIEELGELIQLRKLSVTTKGATNKKYQIFCAAIEKLSSLQSLRVDAEGFSDTGTLEWLNSIACPPPFLKRLKLNGSLADTPNWFGNLKQLVKMCLSRCGLKDGKTMEILGALPNLMVLRLYRSAYADEKMTFRRGTFPNLRCLDIYLLKQLREIRFEEGTSPTMESIEIYGCRLESGIIGIKHLPRLKIISLEYDGKVAKLDVLQEEVNTHPNHTELQMAEDRSHHDLGDCSVQKLPTSLSPCAVVSLLVLSLKGESNESMAETVLSMARSLVGSAISKAASAAANETSLLLGVEKDIWYIKDELKTMQAFLRAAEVWAEQIRDLSYDIEDSLDEFKVHIESQTLFRQLVKLRERHRIAIRIHNLKSRVEEVSSRNTRYNLVEPISSGTEDDMDSYAEDIRNQSARNVDEAELVGFSDSKKRLLEMIDTNANDGPAKVICVVGMGGLGKTALLRKIFESEEDIRKNFPCIAWITVSQSFHRIELLKDMIRQLLGPSSLDQLLQELQGKVVVQVHHLSEYLIEELKEKRYFVILDDLWILHDWNWINEIAFPKNNKKGSRIVITTRNVDLAEKCATASLVYHLDFLQMNDAITLLLRKTNKNHEDMESNKNMQKMVERIVNKCGRLPLAILTIGAVLATKHVSEWEKFYEQLPSELEINPSLEALRRMVTLGYNHLPSHLKPCFLYLSIFPEDFEIKRNRLVGRWIAEGFVRPKVGMTTKDVGESYFNELINRSMIQRSRVGIAGKIKTCRIHDIIRDITVSISRQENFVLLPMGDGSDLVQENTRHIAFHGSMSCKTGLDWSIIRSLAIFGDRPKSLAHAVCPDQLRMLRVLDLEDVTFLITQKDFDRIALLCHLKYLSIGYSSSIYSLPRSIGKLQGLQTLNMPSTYIAALPSEISKLQCLHTLRCSRKFVYDNFSLNHPMKCITNTICLPKVFTPLVSRDDRAIQIAELHMATKSCWSESFGVKVPKGIGKLRDLQVLEYVDIRRTSSRAIKELGQLSKLRKLGVTTNGSTKEKCKILYAAIEKLSSLQSLHVDAVLFSGIIGTLECLDSISSPPPLLRTLGLNGILEEMPNWIEQLTHLKKFYLLSSKLKEGKTMLILGALPNLMVLYLYWNAYLGEKLVFKTGAFPNLRTLHIYESDQLREMRFEDGSSPLLEKIEIFRCRLESGIIGIIHLPRLKEISLEYKSKVARLGQLEGEVSTHPNRPVLRMDSDRRDHDLGAEAEGSSIEVQTADPVPDAQGSVTVAVEATDPLPEQEGESSQSQRRDRHSSSWLTISSPISVVISEQIGQGFPASAEFARMLLAVLSVNREGRSSRAESMAETVLSMARSLVGSAISKAASAAADETSLLLGVEKDIWYIKDELKTMQAFLRAAEVWAEQIRDLSYDIEDSLDEFKVHIESQSLFRQLVKLRERHRIAIRIHNLKSRVEEVSSRNTRYNLVEPISSGTEDDMDSYAEDIRNQSARNVDEAELVGFSDSKKRLLEMIDTNANDGPAKVICVVGMGGLGKTALSRKIFESEEYIRKNFPCNAWITVSQSFHRIELLKDMIRQLLGLSSLDQLLQELQGKVVVQVHHLSEYLIEELKEKRYFVVLDDLWFLHDWNWINDIAFPKNNKMGSRIVITTRSVDLAEKCATASLVYHLDFLQMNDAITLLLRKTNKKHEDMESNKNMQNMVERIVNKCGRLPLAILTIGAVLATKHVSEWEKFYEQLPSELEINPSLEALRRMVTLGYNHLPSHLKPCFLYLSIFPEDFEIRRNRLVGRWIAEGFVRPQVGMTTKDVGESYFNELISRSMIQRSRVGISGKIQSCRVHDIIRDITVSISRQENFVLLPMGDGSDLVQENTRHIAFHGSMSCKTGLDWSIIRSLAIFGGRPKSLAHAVCPDQLRMLRVLDLEDVTFLITQKDFDRIALLCHLKYLSIGYSSSIYSLPRSIGKLQGLQTLNMPSTYIAALPSEISKLQCLHTLRCIRQFHYDNFSLNHPMKCITNTICLPIVFTPLVSRDYRAIQIAELHMATKSCWSESFGVKVPKGIGKLRDLQVLEYVDIRRTSSRAIKELGQLSKLRKLGVITKGSTKEKCKILYAAIEKLSSLQYLYVNAALLSDIETLECLDSISSPPPLLRTLRLNGSLEEMPNWIEQLTHLKKFDLRRSKLKEGKTMLILGALPNLMVLYLYRNAYLGEKLVFKTGAFPNLRTLCIYELDQLREIRFEDGSSPLLEKIEIGKCRLESGIIGIIHLPKLKEIPITYGSKVAGLGQLEGEVNTHPNRPVLLMYSDRRYHDLGAEAEGSSIEVQTADPVPDAEGSVTVAVEATDPLPEQEGESSQSQRRDRHSSSWLTISSPISVVISEQIGQGFPASACGHVDDQMDYIRRITLLPNLYALLTNGVQVLNQARKKEDQMADTVLSIAKSLVGSAVSKVASVAADKMILLLGVQKEIWFIKDELQTIQAFLLAAEASKKSILLKQLRDLSYDIEDCLDEFTVHVGSQTLSRQLMKLKDRHRIAVQIRNLRTRIEEVSSRNTRYNLIENDLTSTTDERNFIMEDIRNESANNIEEAELVGFSGPKRELLDLIDVHAKDGPTKVICVVGMGGLGKTTIARKIYESKEDIAKNFSRYAWITVSQLFVRVELLKDLITKLFGEEVLKNRLRELEGKIPQVDDLASYLRTELNERRYFVVLDDVWSTDSWKWINNIAFPRNNNKGSRVIVTTRDYGLAKECTSELLIYQLKPLEINYAEELLLRKAKKTTKDMESDKKLNDIITKIVKKCGYLPLAILTIGGVLATKEIPSELESNPNLEAMRRIVTLSYNYLPSHLKQCFLYLSIFPEDFEINRNRLVNRWMAEGFIKARANMTIEDVGKCYFKELINRSMIQPSRAGLRMLRVLDLTDAQFSITQNDVDNIILDLGQTYISTLPTQITKLRSLRSLRCMKEYFSSSLTTYLTNTLCLPMIFTPFVSTSDRSETIAKLHMATKGFRSKSNGVKVPKGICKLRDLQILEVVDIRRTSSGAIKELGQLRKLRKLYVVTKGSTKEKCEILYTAIQKLCFLQSLHVNAVGFSGIGTLQCIDSISSPPPLLRTLRLNGSLEEMPNWIEQLTHLMKFNLWRSKIKEGKTMLVLGALPNLMVLYLQSNAYHGEKLVFKTGAFPNLRTFSIYNLEQLREIRFEDGSSILLGKIEIFRCRLESGIIGIIHLPRLKEISLGYGSKVARLGQLEGGVRTHPNHPVLRMREDRSDHNLLVTPKDPLLKWKQQILIVF >ORUFI06G11740.2 pep chromosome:OR_W1943:6:9316182:9358768:1 gene:ORUFI06G11740 transcript:ORUFI06G11740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETVVSMAMSVLGSAVGKAASAAADEATLLLGIQKEIWYIKDELKTIQAFLRAAEVWAEQVRDLSYNIEDCLDEFKVHVESQSLAKQLMKLGERHRIAVQIRNLKSRIEEVSNRNTRYSLIKPISSITTEDERDSYLEDARNRSGSNTDESELVGFAKTKDELLKLIDVNTNDGPAKVICVVGMGGLGKTTLARKAYENKEHMKNFSCCAWITVSQSFDRKEILKQMIRQLLGADSLDKLLKEFSEKLLVQVQHLADHLVEGLKEKRYFVVLDDLWTIDAWNWIHDIAFPKINNRGSRIIITTRDAGLAGRCTSESLIYHLEPLHIDDAIHLLLAKTNIRLEDMENDEDLGSIVTKLVKRCGYLPLAILTIGGILATKKIMEWGKFYRELPSELESNPSLEAMRRMVTLSYNHLPSHLKPCFLYLSIFPEDFEIQRGRLVDRWIAEGFVRATDGVNIEDVGNSHFNELINRSLIQPSKVSTDGVVKRCRIHDIMRDIIVSISREENFVLLTREKITVVAEESIRHLAFHGSKCSKICLEWNHLRSVTLFGDRPVGRTPALCSPQFRMLRVLDLEDAKFKFTQNDIRNIGLLRHMKYLNFARASTIYTLPRSIGKLQCLQILNMREANISALTTEVTKLQNLRSLRCSRRSGSGYFSIIDNPKECLMITMCLPMVFLTSINFSDRVKLIPEICMSCSTRWSDTKGVRVPRGIDNLKELQILEVVDINRTSRKAIEELGELIQLRKLSVTTKGATNKKYQIFCAAIEKLSSLQSLRVDAEGFSDTGTLEWLNSIACPPPFLKRLKLNGSLADTPNWFGNLKQLVKMCLSRCGLKDGKTMEILGALPNLMVLRLYRSAYADEKMTFRRGTFPNLRCLDIYLLKQLREIRFEEGTSPTMESIEIYGCRLESGIIGIKHLPRLKIISLEYDGKVAKLDVLQEEVNTHPNHTELQMAEDRSHHDLGDCSVQKLPTSLSPCAVVSLLVLSLKGESNESMAETVLSMARSLVGSAISKAASAAANETSLLLGVEKDIWYIKDELKTMQAFLRAAEVWAEQIRDLSYDIEDSLDEFKVHIESQTLFRQLVKLRERHRIAIRIHNLKSRVEEVSSRNTRYNLVEPISSGTEDDMDSYAEDIRNQSARNVDEAELVGFSDSKKRLLEMIDTNANDGPAKVICVVGMGGLGKTALLRKIFESEEDIRKNFPCIAWITVSQSFHRIELLKDMIRQLLGPSSLDQLLQELQGKVVVQVHHLSEYLIEELKEKRYFVILDDLWILHDWNWINEIAFPKNNKKGSRIVITTRNVDLAEKCATASLVYHLDFLQMNDAITLLLRKTNKNHEDMESNKNMQKMVERIVNKCGRLPLAILTIGAVLATKHVSEWEKFYEQLPSELEINPSLEALRRMVTLGYNHLPSHLKPCFLYLSIFPEDFEIKRNRLVGRWIAEGFVRPKVGMTTKDVGESYFNELINRSMIQRSRVGIAGKIKTCRIHDIIRDITVSISRQENFVLLPMGDGSDLVQENTRHIAFHGSMSCKTGLDWSIIRSLAIFGDRPKSLAHAVCPDQLRMLRVLDLEDVTFLITQKDFDRIALLCHLKYLSIGYSSSIYSLPRSIGKLQGLQTLNMPSTYIAALPSEISKLQCLHTLRCSRKFVYDNFSLNHPMKCITNTICLPKVFTPLVSRDDRAIQIAELHMATKSCWSESFGVKVPKGIGKLRDLQVLEYVDIRRTSSRAIKELGQLSKLRKLGVTTNGSTKEKCKILYAAIEKLSSLQSLHVDAVLFSGIIGTLECLDSISSPPPLLRTLGLNGILEEMPNWIEQLTHLKKFYLLSSKLKEGKTMLILGALPNLMVLYLYWNAYLGEKLVFKTGAFPNLRTLHIYESDQLREMRFEDGSSPLLEKIEIFRCRLESGIIGIIHLPRLKEISLEYKSKVARLGQLEGEVSTHPNRPVLRMDSDRRDHDLGAEAEGSSIEVQTADPVPDAQGSVTVAVEATDPLPEQEGESSQSQRRDRHSSSWLTISSPISVVISEQIGQGFPASAEFARMLLAVLSVNREGRSSRAESMAETVLSMARSLVGSAISKAASAAADETSLLLGVEKDIWYIKDELKTMQAFLRAAEVWAEQIRDLSYDIEDSLDEFKVHIESQSLFRQLVKLRERHRIAIRIHNLKSRVEEVSSRNTRYNLVEPISSGTEDDMDSYAEDIRNQSARNVDEAELVGFSDSKKRLLEMIDTNANDGPAKVICVVGMGGLGKTALSRKIFESEEYIRKNFPCNAWITVSQSFHRIELLKDMIRQLLGLSSLDQLLQELQGKVVVQVHHLSEYLIEELKEKRYFVVLDDLWFLHDWNWINDIAFPKNNKMGSRIVITTRSVDLAEKCATASLVYHLDFLQMNDAITLLLRKTNKKHEDMESNKNMQNMVERIVNKCGRLPLAILTIGAVLATKHVSEWEKFYEQLPSELEINPSLEALRRMVTLGYNHLPSHLKPCFLYLSIFPEDFEIRRNRLVGRWIAEGFVRPQVGMTTKDVGESYFNELISRSMIQRSRVGISGKIQSCRVHDIIRDITVSISRQENFVLLPMGDGSDLVQENTRHIAFHGSMSCKTGLDWSIIRSLAIFGGRPKSLAHAVCPDQLRMLRVLDLEDVTFLITQKDFDRIALLCHLKYLSIGYSSSIYSLPRSIGKLQGLQTLNMPSTYIAALPSEISKLQCLHTLRCIRQFHYDNFSLNHPMKCITNTICLPIVFTPLVSRDYRAIQIAELHMATKSCWSESFGVKVPKGIGKLRDLQVLEYVDIRRTSSRAIKELGQLSKLRKLGVITKGSTKEKCKILYAAIEKLSSLQYLYVNAALLSDIETLECLDSISSPPPLLRTLRLNGSLEEMPNWIEQLTHLKKFDLRRSKLKEGKTMLILGALPNLMVLYLYRNAYLGEKLVFKTGAFPNLRTLCIYELDQLREIRFEDGSSPLLEKIEIGKCRLESGIIGIIHLPKLKEIPITYGSKVAGLGQLEGEVNTHPNRPVLLMYSDRRYHDLGAEAEGSSIEVQTADPVPDAEGSVTVAVEATDPLPEQEGESSQSQRRDRHSSSWLTISSPISVVISEQIGQGFPASACTSPL >ORUFI06G11740.3 pep chromosome:OR_W1943:6:9316182:9358768:1 gene:ORUFI06G11740 transcript:ORUFI06G11740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETVVSMAMSVLGSAVGKAASAAADEATLLLGIQKEIWYIKDELKTIQAFLRAAEVWAEQVRDLSYNIEDCLDEFKVHVESQSLAKQLMKLGERHRIAVQIRNLKSRIEEVSNRNTRYSLIKPISSITTEDERDSYLEDARNRSGSNTDESELVGFAKTKDELLKLIDVNTNDGPAKVICVVGMGGLGKTTLARKAYENKEHMKNFSCCAWITVSQSFDRKEILKQMIRQLLGADSLDKLLKEFSEKLLVQVQHLADHLVEGLKEKRYFVVLDDLWTIDAWNWIHDIAFPKINNRGSRIIITTRDAGLAGRCTSESLIYHLEPLHIDDAIHLLLAKTNIRLEDMENDEDLGSIVTKLVKRCGYLPLAILTIGGILATKKIMEWGKFYRELPSELESNPSLEAMRRMVTLSYNHLPSHLKPCFLYLSIFPEDFEIQRGRLVDRWIAEGFVRATDGVNIEDVGNSHFNELINRSLIQPSKVSTDGVVKRCRIHDIMRDIIVSISREENFVLLTREKITVVAEESIRHLAFHGSKCSKICLEWNHLRSVTLFGDRPVGRTPALCSPQFRMLRVLDLEDAKFKFTQNDIRNIGLLRHMKYLNFARASTIYTLPRSIGKLQCLQILNMREANISALTTEVTKLQNLRSLRCSRRSGSGYFSIIDNPKECLMITMCLPMVFLTSINFSDRVKLIPEICMSCSTRWSDTKGVRVPRGIDNLKELQILEVVDINRTSRKAIEELGELIQLRKLSVTTKGATNKKYQIFCAAIEKLSSLQSLRVDAEGFSDTGTLEWLNSIACPPPFLKRLKLNGSLADTPNWFGNLKQLVKMCLSRCGLKDGKTMEILGALPNLMVLRLYRSAYADEKMTFRRGTFPNLRCLDIYLLKQLREIRFEEGTSPTMESIEIYGCRLESGIIGIKHLPRLKIISLEYDGKVAKLDVLQEEVNTHPNHTELQMAEDRSHHDLGDCSVQKLPTSLSPCAVVSLLVLSLKGESNESMAETVLSMARSLVGSAISKAASAAANETSLLLGVEKDIWYIKDELKTMQAFLRAAEVWAEQIRDLSYDIEDSLDEFKVHIESQTLFRQLVKLRERHRIAIRIHNLKSRVEEVSSRNTRYNLVEPISSGTEDDMDSYAEDIRNQSARNVDEAELVGFSDSKKRLLEMIDTNANDGPAKVICVVGMGGLGKTALLRKIFESEEDIRKNFPCIAWITVSQSFHRIELLKDMIRQLLGPSSLDQLLQELQGKVVVQVHHLSEYLIEELKEKRYFVILDDLWILHDWNWINEIAFPKNNKKGSRIVITTRNVDLAEKCATASLVYHLDFLQMNDAITLLLRKTNKNHEDMESNKNMQKMVERIVNKCGRLPLAILTIGAVLATKHVSEWEKFYEQLPSELEINPSLEALRRMVTLGYNHLPSHLKPCFLYLSIFPEDFEIKRNRLVGRWIAEGFVRPKVGMTTKDVGESYFNELINRSMIQRSRVGIAGKIKTCRIHDIIRDITVSISRQENFVLLPMGDGSDLVQENTRHIAFHGSMSCKTGLDWSIIRSLAIFGDRPKSLAHAVCPDQLRMLRVLDLEDVTFLITQKDFDRIALLCHLKYLSIGYSSSIYSLPRSIGKLQGLQTLNMPSTYIAALPSEISKLQCLHTLRCSRKFVYDNFSLNHPMKCITNTICLPKVFTPLVSRDDRAIQIAELHMATKSCWSESFGVKVPKGIGKLRDLQVLEYVDIRRTSSRAIKELGQLSKLRKLGVTTNGSTKEKCKILYAAIEKLSSLQSLHVDAVLFSGIIGTLECLDSISSPPPLLRTLGLNGILEEMPNWIEQLTHLKKFYLLSSKLKEGKTMLILGALPNLMVLYLYWNAYLGEKLVFKTGAFPNLRTLHIYESDQLREMRFEDGSSPLLEKIEIFRCRLESGIIGIIHLPRLKEISLEYKSKVARLGQLEGEVSTHPNRPVLRMDSDRRDHDLGAEAEGSSIEVQTADPVPDAQGSVTVAVEATDPLPEQEGESSQSQRRDRHSSSWLTISSPISVVISEQIGQGFPASAEFARMLLAVLSVNREGRSSRAESMAETVLSMARSLVGSAISKAASAAADETSLLLGVEKDIWYIKDELKTMQAFLRAAEVWAEQIRDLSYDIEDSLDEFKVHIESQSLFRQLVKLRERHRIAIRIHNLKSRVEEVSSRNTRYNLVEPISSGTEDDMDSYAEDIRNQSARNVDEAELVGFSDSKKRLLEMIDTNANDGPAKVICVVGMGGLGKTALSRKIFESEEYIRKNFPCNAWITVSQSFHRIELLKDMIRQLLGLSSLDQLLQELQGKVVVQVHHLSEYLIEELKEKRYFVVLDDLWFLHDWNWINDIAFPKNNKMGSRIVITTRSVDLAEKCATASLVYHLDFLQMNDAITLLLRKTNKKHEDMESNKNMQNMVERIVNKCGRLPLAILTIGAVLATKHVSEWEKFYEQLPSELEINPSLEALRRMVTLGYNHLPSHLKPCFLYLSIFPEDFEIRRNRLVGRWIAEGFVRPQVGMTTKDVGESYFNELISRSMIQRSRVGISGKIQSCRVHDIIRDITVSISRQENFVLLPMGDGSDLVQENTRHIAFHGSMSCKTGLDWSIIRSLAIFGGRPKSLAHAVCPDQLRMLRVLDLEDVTFLITQKDFDRIALLCHLKYLSIGYSSSIYSLPRSIGKLQGLQTLNMPSTYIAALPSEISKLQCLHTLRCIRQFHYDNFSLNHPMKCITNTICLPIVFTPLVSRDYRAIQIAELHMATKSCWSESFGVKVPKGIGKLRDLQVLEYVDIRRTSSRAIKELGQLSKLRKLGVITKGSTKEKCKILYAAIEKLSSLQYLYVNAALLSDIETLECLDSISSPPPLLRTLRLNGSLEEMPNWIEQLTHLKKFDLRRSKLKEGKTMLILGALPNLMVLYLYRNAYLGEKLVFKTGAFPNLRTLCIYELDQLREIRFEDGSSPLLEKIEIGKCRLESGIIGIIHLPKLKEIPITYGSKVAGLGQLEGEVNTHPNRPVLLMYSDRRYHDLGAEAEGSSIEVQTADPVPDAEGSVTVAVEATDPLPEQEGESSQSQRRDRHSSSWLTISSPISVVISEQIGQGFPASAMTCSQWLKLQSSRLISG >ORUFI06G11740.4 pep chromosome:OR_W1943:6:9316182:9358760:1 gene:ORUFI06G11740 transcript:ORUFI06G11740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETVVSMAMSVLGSAVGKAASAAADEATLLLGIQKEIWYIKDELKTIQAFLRAAEVWAEQVRDLSYNIEDCLDEFKVHVESQSLAKQLMKLGERHRIAVQIRNLKSRIEEVSNRNTRYSLIKPISSITTEDERDSYLEDARNRSGSNTDESELVGFAKTKDELLKLIDVNTNDGPAKVICVVGMGGLGKTTLARKAYENKEHMKNFSCCAWITVSQSFDRKEILKQMIRQLLGADSLDKLLKEFSEKLLVQVQHLADHLVEGLKEKRYFVVLDDLWTIDAWNWIHDIAFPKINNRGSRIIITTRDAGLAGRCTSESLIYHLEPLHIDDAIHLLLAKTNIRLEDMENDEDLGSIVTKLVKRCGYLPLAILTIGGILATKKIMEWGKFYRELPSELESNPSLEAMRRMVTLSYNHLPSHLKPCFLYLSIFPEDFEIQRGRLVDRWIAEGFVRATDGVNIEDVGNSHFNELINRSLIQPSKVSTDGVVKRCRIHDIMRDIIVSISREENFVLLTREKITVVAEESIRHLAFHGSKCSKICLEWNHLRSVTLFGDRPVGRTPALCSPQFRMLRVLDLEDAKFKFTQNDIRNIGLLRHMKYLNFARASTIYTLPRSIGKLQCLQILNMREANISALTTEVTKLQNLRSLRCSRRSGSGYFSIIDNPKECLMITMCLPMVFLTSINFSDRVKLIPEICMSCSTRWSDTKGVRVPRGIDNLKELQILEVVDINRTSRKAIEELGELIQLRKLSVTTKGATNKKYQIFCAAIEKLSSLQSLRVDAEGFSDTGTLEWLNSIACPPPFLKRLKLNGSLADTPNWFGNLKQLVKMCLSRCGLKDGKTMEILGALPNLMVLRLYRSAYADEKMTFRRGTFPNLRCLDIYLLKQLREIRFEEGTSPTMESIEIYGCRLESGIIGIKHLPRLKIISLEYDGKVAKLDVLQEEVNTHPNHTELQMAEDRSHHDLGDCSVQKLPTSLSPCAVVSLLVLSLKGESNESMAETVLSMARSLVGSAISKAASAAANETSLLLGVEKDIWYIKDELKTMQAFLRAAEVWAEQIRDLSYDIEDSLDEFKVHIESQTLFRQLVKLRERHRIAIRIHNLKSRVEEVSSRNTRYNLVEPISSGTEDDMDSYAEDIRNQSARNVDEAELVGFSDSKKRLLEMIDTNANDGPAKVICVVGMGGLGKTALLRKIFESEEDIRKNFPCIAWITVSQSFHRIELLKDMIRQLLGPSSLDQLLQELQGKVVVQVHHLSEYLIEELKEKRYFVILDDLWILHDWNWINEIAFPKNNKKGSRIVITTRNVDLAEKCATASLVYHLDFLQMNDAITLLLRKTNKNHEDMESNKNMQKMVERIVNKCGRLPLAILTIGAVLATKHVSEWEKFYEQLPSELEINPSLEALRRMVTLGYNHLPSHLKPCFLYLSIFPEDFEIKRNRLVGRWIAEGFVRPKVGMTTKDVGESYFNELINRSMIQRSRVGIAGKIKTCRIHDIIRDITVSISRQENFVLLPMGDGSDLVQENTRHIAFHGSMSCKTGLDWSIIRSLAIFGDRPKSLAHAVCPDQLRMLRVLDLEDVTFLITQKDFDRIALLCHLKYLSIGYSSSIYSLPRSIGKLQGLQTLNMPSTYIAALPSEISKLQCLHTLRCSRKFVYDNFSLNHPMKCITNTICLPKVFTPLVSRDDRAIQIAELHMATKSCWSESFGVKVPKGIGKLRDLQVLEYVDIRRTSSRAIKELGQLSKLRKLGVTTNGSTKEKCKILYAAIEKLSSLQSLHVDAVLFSGIIGTLECLDSISSPPPLLRTLGLNGILEEMPNWIEQLTHLKKFYLLSSKLKEGKTMLILGALPNLMVLYLYWNAYLGEKLVFKTGAFPNLRTLHIYESDQLREMRFEDGSSPLLEKIEIFRCRLESGIIGIIHLPRLKEISLEYKSKVARLGQLEGEVSTHPNRPVLRMDSDRRDHDLGAEAEGSSIEVQTADPVPDAQGSVTVAVEATDPLPEQEGESSQSQRRDRHSSSWLTISSPISVVISEQIGQGFPASAEFARMLLAVLSVNREGRSSRAESMAETVLSMARSLVGSAISKAASAAADETSLLLGVEKDIWYIKDELKTMQAFLRAAEVWAEQIRDLSYDIEDSLDEFKVHIESQSLFRQLVKLRERHRIAIRIHNLKSRVEEVSSRNTRYNLVEPISSGTEDDMDSYAEDIRNQSARNVDEAELVGFSDSKKRLLEMIDTNANDGPAKVICVVGMGGLGKTALSRKIFESEEYIRKNFPCNAWITVSQSFHRIELLKDMIRQLLGLSSLDQLLQELQGKVVVQVHHLSEYLIEELKEKRYFVVLDDLWFLHDWNWINDIAFPKNNKMGSRIVITTRSVDLAEKCATASLVYHLDFLQMNDAITLLLRKTNKKHEDMESNKNMQNMVERIVNKCGRLPLAILTIGAVLATKHVSEWEKFYEQLPSELEINPSLEALRRMVTLGYNHLPSHLKPCFLYLSIFPEDFEIRRNRLVGRWIAEGFVRPQVGMTTKDVGESYFNELISRSMIQRSRVGISGKIQSCRVHDIIRDITVSISRQENFVLLPMGDGSDLVQENTRHIAFHGSMSCKTGLDWSIIRSLAIFGGRPKSLAHAVCPDQLRMLRVLDLEDVTFLITQKDFDRIALLCHLKYLSIGYSSSIYSLPRSIGKLQGLQTLNMPSTYIAALPSEISKLQCLHTLRCIRQFHYDNFSLNHPMKCITNTICLPIVFTPLVSRDYRAIQIAELHMATKSCWSESFGVKVPKGIGKLRDLQVLEYVDIRRTSSRAIKELGQLSKLRKLGVITKGSTKEKCKILYAAIEKLSSLQYLYVNAALLSDIETLECLDSISSPPPLLRTLRLNGSLEEMPNWIEQLTHLKKFDLRRSKLKEGKTMLILGALPNLMVLYLYRNAYLGEKLVFKTGAFPNLRTLCIYELDQLREIRFEDGSSPLLEKIEIGKCRLESGIIGIIHLPKLKEIPITYGSKVAGLGQLEGEVNTHPNRPVLLMYSDRRYHDLGAEAEGSSIEVQTADPVPDAEGSVTVAVEATDPLPEQEGESSQSQRRDRHSSSWLTISSPISVVISEQIGQGFPASAMTCSQWLKLQSSRLISG >ORUFI06G11740.5 pep chromosome:OR_W1943:6:9325965:9358768:1 gene:ORUFI06G11740 transcript:ORUFI06G11740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSMARSLVGSAISKAASAAANETSLLLGVEKDIWYIKDELKTMQAFLRAAEVMKKKDELLKVWAEQIRDLSYDIEDSLDEFKVHIESQTLFRQLVKLRERHRIAIRIHNLKSRVEEVSSRNTRYNLVEPISSGTEDDMDSYAEDIRNQSARNVDEAELVGFSDSKKRLLEMIDTNANDGPAKVICVVGMGGLGKTALLRKIFESEEDIRKNFPCIAWITVSQSFHRIELLKDMIRQLLGPSSLDQLLQELQGKVVVQVHHLSEYLIEELKEKRYFVILDDLWILHDWNWINEIAFPKNNKKGSRIVITTRNVDLAEKCATASLVYHLDFLQMNDAITLLLRKTNKNHEDMESNKNMQKMVERIVNKCGRLPLAILTIGAVLATKHVSEWEKFYEQLPSELEINPSLEALRRMVTLGYNHLPSHLKPCFLYLSIFPEDFEIKRNRLVGRWIAEGFVRPKVGMTTKDVGESYFNELINRSMIQRSRVGIAGKIKTCRIHDIIRDITVSISRQENFVLLPMGDGSDLVQENTRHIAFHGSMSCKTGLDWSIIRSLAIFGDRPKSLAHAVCPDQLRMLRVLDLEDVTFLITQKDFDRIALLCHLKYLSIGYSSSIYSLPRSIGKLQGLQTLNMPSTYIAALPSEISKLQCLHTLRCSRKFVYDNFSLNHPMKCITNTICLPKVFTPLVSRDDRAIQIAELHMATKSCWSESFGVKVPKGIGKLRDLQVLEYVDIRRTSSRAIKELGQLSKLRKLGVTTNGSTKEKCKILYAAIEKLSSLQSLHVDAVLFSGIIGTLECLDSISSPPPLLRTLGLNGILEEMPNWIEQLTHLKKFYLLSSKLKEGKTMLILGALPNLMVLYLYWNAYLGEKLVFKTGAFPNLRTLHIYESDQLREMRFEDGSSPLLEKIEIFRCRLESGIIGIIHLPRLKEISLEYKSKVARLGQLEGEVSTHPNRPVLRMDSDRRDHDLGAEAEGSSIEVQTADPVPDAQGSVTVAVEATDPLPEQEGESSQSQVIMLTTNDRDRHSSSWLTISSPISVVISEQIGQGFPASAEFARMLLAVLSVNREGRSSRAESMAETVLSMARSLVGSAISKAASAAADETSLLLGVEKDIWYIKDELKTMQAFLRAAEVWAEQIRDLSYDIEDSLDEFKVHIESQSLFRQLVKLRERHRIAIRIHNLKSRVEEVSSRNTRYNLVEPISSGTEDDMDSYAEDIRNQSARNVDEAELVGFSDSKKRLLEMIDTNANDGPAKVICVVGMGGLGKTALSRKIFESEEYIRKNFPCNAWITVSQSFHRIELLKDMIRQLLGLSSLDQLLQELQGKVVVQVHHLSEYLIEELKEKRYFVVLDDLWFLHDWNWINDIAFPKNNKMGSRIVITTRSVDLAEKCATASLVYHLDFLQMNDAITLLLRKTNKKHEDMESNKNMQNMVERIVNKCGRLPLAILTIGAVLATKHVSEWEKFYEQLPSELEINPSLEALRRMVTLGYNHLPSHLKPCFLYLSIFPEDFEIRRNRLVGRWIAEGFVRPQVGMTTKDVGESYFNELISRSMIQRSRVGISGKIQSCRVHDIIRDITVSISRQENFVLLPMGDGSDLVQENTRHIAFHGSMSCKTGLDWSIIRSLAIFGGRPKSLAHAVCPDQLRMLRVLDLEDVTFLITQKDFDRIALLCHLKYLSIGYSSSIYSLPRSIGKLQGLQTLNMPSTYIAALPSEISKLQCLHTLRCIRQFHYDNFSLNHPMKCITNTICLPIVFTPLVSRDYRAIQIAELHMATKSCWSESFGVKVPKGIGKLRDLQVLEYVDIRRTSSRAIKELGQLSKLRKLGVITKGSTKEKCKILYAAIEKLSSLQYLYVNAALLSDIETLECLDSISSPPPLLRTLRLNGSLEEMPNWIEQLTHLKKFDLRRSKLKEGKTMLILGALPNLMVLYLYRNAYLGEKLVFKTGAFPNLRTLCIYELDQLREIRFEDGSSPLLEKIEIGKCRLESGIIGIIHLPKLKEIPITYGSKVAGLGQLEGEVNTHPNRPVLLMYSDRRYHDLGAEAEGSSIEVQTADPVPDAEGSVTVAVEATDPLPEQEGESSQSQRRDRHSSSWLTISSPISVVISEQIGQGFPASAMTCSQWLKLQSSRLISG >ORUFI06G11740.6 pep chromosome:OR_W1943:6:9316182:9324364:1 gene:ORUFI06G11740 transcript:ORUFI06G11740.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETVVSMAMSVLGSAVGKAASAAADEATLLLGIQKEIWYIKDELKTIQAFLRAAEVTKKKDDLLKVWAEQVRDLSYNIEDCLDEFKVHVESQSLAKQLMKLGERHRIAVQIRNLKSRIEEVSNRNTRYSLIKPISSITTEDERDSYLEDARNRSGSNTDESELVGFAKTKDELLKLIDVNTNDGPAKVICVVGMGGLGKTTLARKAYENKEHMKNFSCCAWITVSQSFDRKEILKQMIRQLLGADSLDKLLKEFSEKLLVQVQHLADHLVEGLKEKRYFVVLDDLWTIDAWNWIHDIAFPKINNRGSRIIITTRDAGLAGRCTSESLIYHLEPLHIDDAIHLLLAKTNIRLEDMENDEDLGSIVTKLVKRCGYLPLAILTIGGILATKKIMEWGKFYRELPSELESNPSLEAMRRMVTLSYNHLPSHLKPCFLYLSIFPEDFEIQRGRLVDRWIAEGFVRATDGVNIEDVGNSHFNELINRSLIQPSKVSTDGVVKRCRIHDIMRDIIVSISREENFVLLTREKITVVAEESIRHLAFHGSKCSKICLEWNHLRSVTLFGDRPVGRTPALCSPQFRMLRVLDLEDAKFKFTQNDIRNIGLLRHMKYLNFARASTIYTLPRSIGKLQCLQILNMREANISALTTEVTKLQNLRSLRCSRRSGSGYFSIIDNPKECLMITMCLPMVFLTSINFSDRVKLIPEICMSCSTRWSDTKGVRVPRGIDNLKELQILEVVDINRTSRKAIEELGELIQLRKLSVTTKGATNKKYQIFCAAIEKLSSLQSLRVDAEGFSDTGTLEWLNSIACPPPFLKRLKLNGSLADTPNWFGNLKQLVKMCLSRCGLKDGKTMEILGALPNLMVLRLYRSAYADEKMTFRRGTFPNLRCLDIYLLKQLREIRFEEGTSPTMESIEIYGCRLESGIIGIKHLPRLKIISLEYDGKVAKLDVLQEEVNTHPNHTELQMAEDRSHHDLGGI >ORUFI06G11750.1 pep chromosome:OR_W1943:6:9332181:9333829:-1 gene:ORUFI06G11750 transcript:ORUFI06G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLEGRELLNGCINSNVMASFICKKSRWYTSEAVAHFSARSTFRVKDDVLAPPPSLAILARVDPENWGQEVGVSYL >ORUFI06G11760.1 pep chromosome:OR_W1943:6:9364285:9366777:-1 gene:ORUFI06G11760 transcript:ORUFI06G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAGMEVAARLTTAGGSGGEAGDGGRIRRCRPFWPPGGRIWRAAGRFAPSPRMATMSGRLHWRWRRSNSSA >ORUFI06G11780.1 pep chromosome:OR_W1943:6:9395244:9423687:1 gene:ORUFI06G11780 transcript:ORUFI06G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPVQYCLHAESATNLTTHLIGLVNEKSRYFIVAHGFVDRIGILDWSVFGEGEESIQSMAETVLSMARSLVGSAISKATSAAAHEASLLLGVQKDIWYIKYELKTMQAFLRAAEVWAEQIRDLSYDIEDCLDEFKVHIESQNLFYQMVKLRKRHLIATQIRNLKSRVEEVSSRNSRYNLVKPISSSNEDDMDCYAEDIRNQSTSNVDETELVGFSDSKIRLLELISANVNNGPTKVICVVGMGGLGKTALSRKIFESKEDIGKNFPCNAWITVSQSFNRIELLKDMIRQFLGSNSLDQVLQELQGKMVVQIPHLSDYLRKKLKEKRYFVVLDDLWSLDAWNWINDIAFPKNNNKGSRIVVTTRDVGLAEKCTTTSLVYHLEHLQMNDAITLLLRKTNRTHEDMGTNKNMQKIVEQIVNKCGRLPLAILTIGAVLATKQVLEWEKFYKQLPSELESNPSLQALRRMVTLGYNHLPSHLKSCFLYLSIFPEDFEIKRSRLVDRWIAEGFVRAKVGMTTKDVGDSYFNELINRSMIQRSRVGIEGKIKSCRVRDIMRDITVSISREENFVFLPVHDGSNLAQENTHHIALHGSMSCKTGLDWSIIRSLAIFGDRPNNLAHTICSNKFRMLRVLDLEDVKFLITQKDFNNIALLRHLKYLSFGRIFSSCIYTLPRSIGKLHGLQTLNMSSTYIATLPTEISKLQCLRTLRCTRVSNNNNFSINHPVKCLTNTMCLPNIFTPSVSSDNRAKQIAELHMATKSCWSESYSVKVPKGIGKLGELQILEHVDIRRTSTSAIQELAQLSKLTKLSVTTKGSTEEKCKILYRAIQRLCSLQSLRVDAEGSSGNGTLKCLDSISYPPLLLKTLKLYGDLEEMPNWIEQLSHLMKFYLLGSKLKEGKTMLILGALPNLMLLCLSLDAYLGENLVFRTGAFQKLRTLWFDKLDQLREIRFENDSSPLLEKIGIRYCRLEIGIIGISNLMRLKEITLGYRVKVGYLGQLEREVGTHPNRPVLRMEEDRSCHDLRRDGKGSAKWTQRSPSLSPRARTHRTDLVVMAETVLSMARSLVGNAITKAGEAAAAEISLLIGVNKEIWFIKDELKTMQAFLMTAEEMEKKPRLLKAWVEQVRDLSFDIEDCLAEFMVHVGSKSLSQQLMKLKHRHRIAIQIRDLKSRVEEVSDRNSRYSLISPNTDEHDTLRDEFRYWSAKNIDEAELVGFDDAKESILNLIDVHANHGLAKVIFVVGMGGLGKTSLVKKSFVRTELLRGLIKQLLGGDSENEHFKGLQSMQRNEKVEDLVEDLKQGLKEKSGDKSSEVVAEFRKEVTERNVNYLCEALQKLSSLCSLRVEAKPFRGLHMLEQLASPPPFLHTLKLKGSLHEIPSWVGKLEKLVKVQLVFTKLKDTESIQVLGELPGLKCLRLILNAYIGKELVLCHGKFRGLKTLRLDSLEELRKVTFEERTSPKLETITIQDCSSELAVCGTANLQSLEKIKYFAKGKLVKRPVVQAGQSQSAHHREDIKAAEIIEKSQTSSLEKGESSQSIPRPDVLRTLPPISATTKLKRSLSCPASTSIRKVEPPMFANRIVNVGGENFSRDNHGTERCAAASRPRAELSWLEPLRRLCVAPLLTRGGRKKKGERKERDEERWRADILKNHKYNARRNHSAWPGPSCSCDDDEDLRRRLGIKPRPAKRMPICYDDDDDDSRKRKDAEAVASRLGPPSNTCCAHRPAFLCFTTVCAQIPGCAQQHSYNIHLKEEKEYKMTDTVLSIAKSLVGSAVSKVASVAAEKMVLLLGQVRDLSYDIEDCLDEFTVHVGSQNLSRQLMKLKDRHRIAIQIRNLRTRIEEVSTRNIRYNLIENDLTCTTTDERNLFMEDIHNQSANNIEEADLVGFSGPKRELLDLIDVHANIGPTKVVCVVGMGGLGKTTIARKIYESKEDIAKNFSCCAWITVSQSFVRLELLKDLMMKLFGEEVLKKQMRELEGKVPQVDDLASYLRTELNERRYFVVLDDKWINSIAFPRNNNKGSWVIVTTRDVGLAKECTSELLIYQLKPLEISYAKELLLRKANKTTEDIESDKKMSDIITKIVKKCGYLPLAILTIGGVLATKEIREWETFYSQIPSELESNPNLEAMRRIVTLSYNYLPSHLKQCFLYLSIFPEDFEINRNCLVNRWMAEGFIKARANMTIEDVGKSYFKELINRSMIQPSRAGVRGEF >ORUFI06G11780.2 pep chromosome:OR_W1943:6:9395244:9423687:1 gene:ORUFI06G11780 transcript:ORUFI06G11780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPVQYCLHAESATNLTTHLIGLVNEKSRYFIVAHGFVDRIGILDWSVFGEGEESIQSMAETVLSMARSLVGSAISKATSAAAHEASLLLGVQKDIWYIKYELKTMQAFLRAAEVWAEQIRDLSYDIEDCLDEFKVHIESQNLFYQMVKLRKRHLIATQIRNLKSRVEEVSSRNSRYNLVKPISSSNEDDMDCYAEDIRNQSTSNVDETELVGFSDSKIRLLELISANVNNGPTKVICVVGMGGLGKTALSRKIFESKEDIGKNFPCNAWITVSQSFNRIELLKDMIRQFLGSNSLDQVLQELQGKMVVQIPHLSDYLRKKLKEKRYFVVLDDLWSLDAWNWINDIAFPKNNNKGSRIVVTTRDVGLAEKCTTTSLVYHLEHLQMNDAITLLLRKTNRTHEDMGTNKNMQKIVEQIVNKCGRLPLAILTIGAVLATKQVLEWEKFYKQLPSELESNPSLQALRRMVTLGYNHLPSHLKSCFLYLSIFPEDFEIKRSRLVDRWIAEGFVRAKVGMTTKDVGDSYFNELINRSMIQRSRVGIEGKIKSCRVRDIMRDITVSISREENFVFLPVHDGSNLAQENTHHIALHGSMSCKTGLDWSIIRSLAIFGDRPNNLAHTICSNKFRMLRVLDLEDVKFLITQKDFNNIALLRHLKYLSFGRIFSSCIYTLPRSIGKLHGLQTLNMSSTYIATLPTEISKLQCLRTLRCTRVSNNNNFSINHPVKCLTNTMCLPNIFTPSVSSDNRAKQIAELHMATKSCWSESYSVKVPKGIGKLGELQILEHVDIRRTSTSAIQELAQLSKLTKLSVTTKGSTEEKCKILYRAIQRLCSLQSLRVDAEGSSGNGTLKCLDSISYPPLLLKTLKLYGDLEEMPNWIEQLSHLMKFYLLGSKLKEGKTMLILGALPNLMLLCLSLDAYLGENLVFRTGAFQKLRTLWFDKLDQLREIRFENDSSPLLEKIGIRYCRLEIGIIGISNLMRLKEITLGYRVKVGYLGQLEREVGTHPNRPVLRMEEDRSCHDLRRDGKGSAKWTQRSPSLSPRARTHRTDLVVMAETVLSMARSLVGNAITKAGEAAAAEISLLIAEEMEKKPRLLKAWVEQVRDLSFDIEDCLAEFMVHVGSKSLSQQLMKLKHRHRIAIQIRDLKSRVEEVSDRNSRYSLISPNTDEHDTLRDEFRYWSAKNIDEAELVGFDDAKESILNLIDVHANHGLAKVIFVVGMGGLGKTSLVKKSFVRTELLRGLIKQLLGGDSENEHFKGLQSMQRNEKVEDLVEDLKQGLKEKSGDKSSEVVAEFRKEVTERNVNYLCEALQKLSSLCSLRVEAKPFRGLHMLEQLASPPPFLHTLKLKGSLHEIPSWVGKLEKLVKVQLVFTKLKDTESIQVLGELPGLKCLRLILNAYIGKELVLCHGKFRGLKTLRLDSLEELRKVTFEERTSPKLETITIQDCSSELAVCGTANLQSLEKIKYFAKGKLVKRPVVQAGQSQSAHHREDIKAAEIIEKSQTSSLEKGESSQSIPRPDVLRTLPPISATTKLKRSLSCPASTSIRKVEPPMFANRIVNVGGENFSRDNHGTERCAAASRPRAELSWLEPLRRLCVAPLLTRGGRKKKGERKERDEERWRADILKNHKYNARRNHSAWPGPSCSCDDDEDLRRRLGIKPRPAKRMPICYDDDDDDSRKRKDAEAVASRLGPPSNTCCAHRPAFLCFTTVCAQIPGCAQQHSYNIHLKEEKEYKMTDTVLSIAKSLVGSAVSKVASVAAEKMVLLLGQVRDLSYDIEDCLDEFTVHVGSQNLSRQLMKLKDRHRIAIQIRNLRTRIEEVSTRNIRYNLIENDLTCTTTDERNLFMEDIHNQSANNIEEADLVGFSGPKRELLDLIDVHANIGPTKVVCVVGMGGLGKTTIARKIYESKEDIAKNFSCCAWITVSQSFVRLELLKDLMMKLFGEEVLKKQMRELEGKVPQVDDLASYLRTELNERRYFVVLDDKWINSIAFPRNNNKGSWVIVTTRDVGLAKECTSELLIYQLKPLEISYAKELLLRKANKTTEDIESDKKMSDIITKIVKKCGYLPLAILTIGGVLATKEIREWETFYSQIPSELESNPNLEAMRRIVTLSYNYLPSHLKQCFLYLSIFPEDFEINRNCLVNRWMAEGFIKARANMTIEDVGKSYFKELINRSMIQPSRAGVRGEF >ORUFI06G11780.3 pep chromosome:OR_W1943:6:9395244:9423687:1 gene:ORUFI06G11780 transcript:ORUFI06G11780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPVQYCLHAESATNLTTHLIGLVNEKSRYFIVAHGFVDRIGILDWSVFGEGEESIQSMAETVLSMARSLVGSAISKATSAAAHEASLLLGVQKDIWYIKYELKTMQAFLRAAEVWAEQIRDLSYDIEDCLDEFKVHIESQNLFYQMVKLRKRHLIATQIRNLKSRVEEVSSRNSRYNLVKPISSSNEDDMDCYAEDIRNQSTSNVDETELVGFSDSKIRLLELISANVNNGPTKVICVVGMGGLGKTALSRKIFESKEDIGKNFPCNAWITVSQSFNRIELLKDMIRQFLGSNSLDQVLQELQGKMVVQIPHLSDYLRKKLKEKRYFVVLDDLWSLDAWNWINDIAFPKNNNKGSRIVVTTRDVGLAEKCTTTSLVYHLEHLQMNDAITLLLRKTNRTHEDMGTNKNMQKIVEQIVNKCGRLPLAILTIGAVLATKQVLEWEKFYKQLPSELESNPSLQALRRMVTLGYNHLPSHLKSCFLYLSIFPEDFEIKRSRLVDRWIAEGFVRAKVGMTTKDVGDSYFNELINRSMIQRSRVGIEGKIKSCRVRDIMRDITVSISREENFVFLPVHDGSNLAQENTHHIALHGSMSCKTGLDWSIIRSLAIFGDRPNNLAHTICSNKFRMLRVLDLEDVKFLITQKDFNNIALLRHLKYLSFGRIFSSCIYTLPRSIGKLHGLQTLNMSSTYIATLPTEISKLQCLRTLRCTRVSNNNNFSINHPVKCLTNTMCLPNIFTPSVSSDNRAKQIAELHMATKSCWSESYSVKVPKGIGKLGELQILEHVDIRRTSTSAIQELAQLSKLTKLSVTTKGSTEEKCKILYRAIQRLCSLQSLRVDAEGSSGNGTLKCLDSISYPPLLLKTLKLYGDLEEMPNWIEQLSHLMKFYLLGSKLKEGKTMLILGALPNLMLLCLSLDAYLGENLVFRTGAFQKLRTLWFDKLDQLREIRFENDSSPLLEKIGIRYCRLEIGIIGISNLMRLKEITLGYRVKVGYLGQLEREVGTHPNRPVLRMEEDRSCHDLRRDGKGSAKWTQRSPSLSPRARTHRTDLVVMAETVLSMARSLVGNAITKAGEAAAAEISLLIGVNKEIWFIKDELKTMQAFLMTAEEMEKKPRLLKAWVEQVRDLSFDIEDCLAEFMVHVGSKSLSQQLMKLKHRHRIAIQIRDLKSRVEEVSDRNSRYSLISPNTDEHDTLRDEFRYWSAKNIDEAELVGFDDAKESILNLIDVHANHGLAKVIFVVGMGGLGKTSLVKKSFVRTELLRGLIKQLLGGDSENEHFKGLQSMQRNEKVEDLVEDLKQGLKEKSGDKSSEVVAEFRKEVTERNVNYLCEALQKLSSLCSLRVEAKPFRGLHMLEQLASPPPFLHTLKLKGSLHEIPSWVGKLEKLVKVQLVFTKLKDTESIQVLGELPGLKCLRLILNAYIGKELVLCHGKFRGLKTLRLDSLEELRKVTFEERTSPKLETITIQDCSSELAVCGTANLQSLEKIKYFAKGKLVKRPVVQAGQSQSAHHREDIKAAEIIEKSQTSSLEKGESSQSIPRPDVLRTLPPISATTKLKRSLSCPASTSIRKVEPPMFANRIVNVGGENFSRDNHGTERCAAASRPRAELSWLEPLRRLCVAPLLTRGGRKKKGERKERDEERWRADILKNHKYNARRNHSAWPGPSCSCDDDEDLRRRLGIKPRPAKRMPICYDDDDDDSRKRKDAEAVASRLGPPSNTCCAHRPAFLCFTTVCAQIPGCAQQHSCSEKEYKMTDTVLSIAKSLVGSAVSKVASVAAEKMVLLLGQVRDLSYDIEDCLDEFTVHVGSQNLSRQLMKLKDRHRIAIQIRNLRTRIEEVSTRNIRYNLIENDLTCTTTDERNLFMEDIHNQSANNIEEADLVGFSGPKRELLDLIDVHANIGPTKVVCVVGMGGLGKTTIARKIYESKEDIAKNFSCCAWITVSQSFVRLELLKDLMMKLFGEEVLKKQMRELEGKVPQVDDLASYLRTELNERRYFVVLDDKWINSIAFPRNNNKGSWVIVTTRDVGLAKECTSELLIYQLKPLEISYAKELLLRKANKTTEDIESDKKMSDIITKIVKKCGYLPLAILTIGGVLATKEIREWETFYSQIPSELESNPNLEAMRRIVTLSYNYLPSHLKQCFLYLSIFPEDFEINRNCLVNRWMAEGFIKARANMTIEDVGKSYFKELINRSMIQPSRAGVRGEF >ORUFI06G11780.4 pep chromosome:OR_W1943:6:9414095:9423687:1 gene:ORUFI06G11780 transcript:ORUFI06G11780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLASPPPFLHTLKLKGSLHEIPSWVGKLEKLVKVQLVFTKLKDTESIQVLGELPGLKCLRLILNAYIGKELVLCHGKFRGLKTLRLDSLEELRKVTFEERTSPKLETITIQDCSSELAVCGTANLQSLEKIKYFAKGKLVKRPVVQAGQSQSAHHREDIKAAEIIEKSQTSSLEKGESSQSIPRPDVLRTLPPISATTKLKRSLSCPASTSIRKVEPPMFANRIVNVGGENFSRDNHGTERCAAASRPRAELSWLEPLRRLCVAPLLTRGGRKKKGERKERDEERWRADILKNHKYNARRNHSAWPGPSCSCDDDEDLRRRLGIKPRPAKRMPICYDDDDDDSRKRKDAEAVASRLGPPSNTCCAHRPAFLCFTTVCAQIPGCAQQHSYNIHLKEEKEYKMTDTVLSIAKSLVGSAVSKVASVAAEKMVLLLGQVRDLSYDIEDCLDEFTVHVGSQNLSRQLMKLKDRHRIAIQIRNLRTRIEEVSTRNIRYNLIENDLTCTTTDERNLFMEDIHNQSANNIEEADLVGFSGPKRELLDLIDVHANIGPTKVVCVVGMGGLGKTTIARKIYESKEDIAKNFSCCAWITVSQSFVRLELLKDLMMKLFGEEVLKKQMRELEGKVPQVDDLASYLRTELNERRYFVVLDDKWINSIAFPRNNNKGSWVIVTTRDVGLAKECTSELLIYQLKPLEISYAKELLLRKANKTTEDIESDKKMSDIITKIVKKCGYLPLAILTIGGVLATKEIREWETFYSQIPSELESNPNLEAMRRIVTLSYNYLPSHLKQCFLYLSIFPEDFEINRNCLVNRWMAEGFIKARANMTIEDVGKSYFKELINRSMIQPSRAGVRGEF >ORUFI06G11780.5 pep chromosome:OR_W1943:6:9395244:9413914:1 gene:ORUFI06G11780 transcript:ORUFI06G11780.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPVQYCLHAESATNLTTHLIGLVNEKSRYFIVAHGFVDRIGILDWSVFGEGEESIQSMAETVLSMARSLVGSAISKATSAAAHEASLLLGVQKDIWYIKYELKTMQAFLRAAEVWAEQIRDLSYDIEDCLDEFKVHIESQNLFYQMVKLRKRHLIATQIRNLKSRVEEVSSRNSRYNLVKPISSSNEDDMDCYAEDIRNQSTSNVDETELVGFSDSKIRLLELISANVNNGPTKVICVVGMGGLGKTALSRKIFESKEDIGKNFPCNAWITVSQSFNRIELLKDMIRQFLGSNSLDQVLQELQGKMVVQIPHLSDYLRKKLKEKRYFVVLDDLWSLDAWNWINDIAFPKNNNKGSRIVVTTRDVGLAEKCTTTSLVYHLEHLQMNDAITLLLRKTNRTHEDMGTNKNMQKIVEQIVNKCGRLPLAILTIGAVLATKQVLEWEKFYKQLPSELESNPSLQALRRMVTLGYNHLPSHLKSCFLYLSIFPEDFEIKRSRLVDRWIAEGFVRAKVGMTTKDVGDSYFNELINRSMIQRSRVGIEGKIKSCRVRDIMRDITVSISREENFVFLPVHDGSNLAQENTHHIALHGSMSCKTGLDWSIIRSLAIFGDRPNNLAHTICSNKFRMLRVLDLEDVKFLITQKDFNNIALLRHLKYLSFGRIFSSCIYTLPRSIGKLHGLQTLNMSSTYIATLPTEISKLQCLRTLRCTRVSNNNNFSINHPVKCLTNTMCLPNIFTPSVSSDNRAKQIAELHMATKSCWSESYSVKVPKGIGKLGELQILEHVDIRRTSTSAIQELAQLSKLTKLSVTTKGSTEEKCKILYRAIQRLCSLQSLRVDAEGSSGNGTLKCLDSISYPPLLLKTLKLYGDLEEMPNWIEQLSHLMKFYLLGSKLKEGKTMLILGALPNLMLLCLSLDAYLGENLVFRTGAFQKLRTLWFDKLDQLREIRFENDSSPLLEKIGIRYCRLEIGIIGISNLMRLKEITLGYRVKVGYLGQLEREVGTHPNRPVLRMEEDRSCHDLRRDGKGSAKWTQRSPSLSPRARTHRTDLVVMAETVLSMARSLVGNAITKAGEAAAAEISLLIGVNKEIWFIKDELKTMQAFLMTAEEMEKKPRLLKAWVEQVRDLSFDIEDCLAEFMVHVGSKSLSQQLMKLKHRHRIAIQIRDLKSRVEEVSDRNSRYSLISPNTDEHDTLRDEFRYWSAKNIDEAELVGFDDAKESILNLIDVHANHGLAKVIFVVGMGGLGKTSLVKKSFVRTELLRGLIKQLLGGDSENEHFKGLQSMQRNEKVEDLVEDLKQGLKEKRYFVVLDDMWSIDALNWLNESVFPDSINGGSRIIVTTRDASIIQNCAYPSYLYRLEPLKTDDAKQLLLRKSNKSYEDIKRGKAEKVFDRILERCGGLPLALVAIGAVLRTKCIEDWEKLSLQLSSGLKTKSSLEEMTRVITLSYTHLPSHLKPCFLYLSIFPEDFPIKRRCMVNRWIAEGFVDAKFGMAMEDVGNSYFDELINRSMIQPCRFYSHGVVQSCVLHDIMRDIAISISAEENFVFMTKGFVSGIPPENIRHLSIDGRQDSYLSFDLSHVRSLSFFYNPKEQLASLCSPQLRMLRVLDLEFSLCRVTQNDISNIGSFCHLRYLSVKKGSYIYHIPRSIRKLQGLQTLNLKRSLITKVPAEVTELRSFRSLRCSTLGVYSHFEFTTRDPKKSLVTTMKLPLILPHLISGDKSSEVVAEFRKGERTKRNREPEGVTDS >ORUFI06G11790.1 pep chromosome:OR_W1943:6:9409440:9409763:-1 gene:ORUFI06G11790 transcript:ORUFI06G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLGRPTSNKSIGLLSHRLIPHHLLSPASLVCATSSPPCSFAHADSSLPPTSLVPPPPPDPRRQHPPQLFGFLDVDSSLIPVEAHYPCYAIAYDVIDFTDHFFSSS >ORUFI06G11800.1 pep chromosome:OR_W1943:6:9416972:9417382:-1 gene:ORUFI06G11800 transcript:ORUFI06G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLERDSSGGDSKGGVASAAEQGRVKLEADEEEEERRRRARVPGVATCHRVVRRVAAAPRRRWWWVPDSAPLLPRPRVELSQLRPSRRRCSPVAAGRREKEKKERKRDGELTCGPKGIFDISRDFSLLLNRKSLF >ORUFI06G11810.1 pep chromosome:OR_W1943:6:9445098:9446627:-1 gene:ORUFI06G11810 transcript:ORUFI06G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAATKPSKSPLLILLVSGVAFLLLASAAECYGGGRHDVTRSAVARRSGVGSRRQYVRHRLTGGAVDVPHRYMLAEKGSNSTRANHTSPAASNSTPSATTTEAPAAGKHHRSHKHRVRNWIIGFVVGSLAGVVSGLAMSVLFRMALNCVRGRYRSKSDTVIFIPKLIKSKEHLAFLEKDQDGLASLAVIGRGGCGEVYKAQLPPEREGDAPRFIAIKKIKKRSGDGSGGQNNNLSDEESRQLDKWTRQIQSEIRTVGHIRHRNLLPLAAHVPRPDCHYLVYEFMKNGSLHNALKATTTDTTTNDYNDNNSGEHPPPSPALPWPARLRIAVGIAAGLEYLHVSQRPQIIHRDLKPANILLDDDMEARIADFGLAKAMPDAHTHMTTSNVAGTLGYIAPEYHQTLKFTAKCDVYSFGVILAVLGTGKEPTDKFFAQQVVDDVGIVRWLRRVMQEGDPAAQAGVIDAAIAGAGHDEQILLVLRIAVFCTADDPKDRPTAKDVRCMLSQIKN >ORUFI06G11820.1 pep chromosome:OR_W1943:6:9454749:9456164:1 gene:ORUFI06G11820 transcript:ORUFI06G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGDAAGRRPHVVLIPSAGMGHLVPFGRLAVALSSGHGCDVSLVTVLPTVSTAESKHLDALFDAFPAVRRLDFELAPFDASEFPSADPFFLRFEAMRRSAPLLGPLLTGAGASALATDIALTSVVIPVAKEQGLPCHILFTASAAMLSLCAYFPTYLDANAGDGGGVGDVDIPGVYRIPKASIPQALHDPNHLFTRQFVANGRSLTSAAGILVNTFDALEPEAVAALQQGKVASGFPPVFAVGPLLPASNQAKDPQANYMEWLDAQPARSVVYVSFGSRKAISGEQLRELAAGLETSGHRFLWVVKSTVVDRDDAAELGELLGEGFLKRVEKRGLVTKAWVDQEEVLKHESVALFVSHCGWNSVTEAAASGVPVLALPRFGDQRVNSGVVARAGLGVWADTWSWEGEAGVIGAEEISEKVKAAMADEALRRKAASLAKAAAKAVAGGGSSHRCLVEFARLCQGGTCRTN >ORUFI06G11830.1 pep chromosome:OR_W1943:6:9456647:9460951:-1 gene:ORUFI06G11830 transcript:ORUFI06G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDFAPPPPAATETACFSSSLTPPRVLAGATPRCRRSSASSPEPTRPSSFFPTAAAVLLARSSSAPLPAPPRPSSSLAPPPPRCRRYAASSPEPPPEPTSAPSPAPEPTSTSAPRVIVNRSSGLELPIHLGLALVHS >ORUFI06G11840.1 pep chromosome:OR_W1943:6:9464645:9465367:1 gene:ORUFI06G11840 transcript:ORUFI06G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNMGGRDIKLGPTFQYNRSTIYHPNHRFPLPLPQLPPPCTEHKSIRRQQRRRSREEASVVGGGSSSRRRPQRRRRLSRRHADLTGEASGGSGGGARGIRRRLRRQSRGEKAAVEAAALAEEAATVATSLAEEAWAEAVFVGVRGFDEVCVSHVTAAGGLVGGPLVVGPPSAERAVLTANHQRHQLRALFSFPWRQATKCIEERGEFQGREMHTAFIMVAHPNDSYRDHLQMYMDLLGGL >ORUFI06G11850.1 pep chromosome:OR_W1943:6:9483798:9487567:1 gene:ORUFI06G11850 transcript:ORUFI06G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSWIPVGSMAIVPLQQVAAPVAKAKGKEKEKEKEKGKGKVKGKGKGKKDEKEDKDKKIKRKPSPTVQATTPPAKRRKNNEVPQDSPAMRTRSKKSSPAMGTRSKRRIID >ORUFI06G11860.1 pep chromosome:OR_W1943:6:9489362:9490337:1 gene:ORUFI06G11860 transcript:ORUFI06G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRLDEGVRRLQRGKKGPSASPPLALIAASTTTTANLGELGGAMAEELRGDGSVAGGLGRASREMDLSLASSWEADPSPTSLWEVDPSPTGSGEVDPSLEILGDVTGELRAGRSITCKLEGGRSIADNELRQGMAHALDVALSWQPSISPISAHRRGHVGRLKLCLVSYRC >ORUFI06G11870.1 pep chromosome:OR_W1943:6:9492821:9494278:1 gene:ORUFI06G11870 transcript:ORUFI06G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAATPNSGDVRANPGSSRPHVVLLPSAGMGHLVPFTRLAAALCSGHGCDVSLVAAVPTVSSAEARHLAAHFTAFPAVRRLELDLASLDVSEFAGADPFYVRYEAIRRSASLLAPLLAGGASAAASALVADIALASVVIPVAKDLRLPCYVFFTASATMFSFLAYLPTYLDANAGGGHAIGDVDVPGVCRVPTSSVPQALHDPDDIFTRQFIANARSLANADGLVVNAFDALEPEAVAALRQGTVGAGLPPVFAVGPLSPAPIPAKDSGSYLPWLDAQPARSVVYVSFGSRKALPRDQLSELAAGLEASGHRFLWVVKGAVVDRDDAGELTDLLGEAFLQRIHGRGLVTMAWVRQEEVLNHPSVGLFISHCGWNSVTEAAASGVPVVAWPRFADQRVNAGVVARAGIGVWVDTWSWEGEDDGVVSAEDIAGKVRSAMADEGVRKAAASVREAAARAVAAGGSSYRSLAELVRRYRDGLVITNGM >ORUFI06G11880.1 pep chromosome:OR_W1943:6:9501725:9502192:-1 gene:ORUFI06G11880 transcript:ORUFI06G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRGGESGGGDSPHRWEEANSKTPRLQPGSAGGRSAAPSAEMSLRVWGESGGDDSPRLQGDSSSCKKTKSRTPSLQPGSASEHVAASSATAVETRLEFGKVDGEAHAPRSPALGKATTVVMCSSTKHALNRRAVAGGLDLDPKRSGVKIKKTR >ORUFI06G11890.1 pep chromosome:OR_W1943:6:9503614:9504375:1 gene:ORUFI06G11890 transcript:ORUFI06G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASPSASPPRPDETTPADFTVSVVRGATATTTARGKRGQRPAKVSCPHPLLVAVRPVCLVNGDDVLERGRGGDAARAHVARRKARAVGASVVYVCFGNLTWFPHEQVAELGMGLADSGMNFVWVVGDKNTSASLLPVERQRVTLLAGESALRLLQQPISPPNSLVRSRVCCHVHEFSPNFQTILCIKSLSRTSQGTQNDK >ORUFI06G11900.1 pep chromosome:OR_W1943:6:9542721:9544166:-1 gene:ORUFI06G11900 transcript:ORUFI06G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAVLSSGELGARGRTRPHVVFVPSAGMGHLLQFFRFIGALSAHDVDISVVTVFPTVSAAEADHFAALFRDYPSVRRLDFDLLPFDASEFPGGDPFLLRWEALRRSLHLLGPVIAGVTPRVTATVTDVTLVSHVNPIAKDLGVQCHVLYVSSAAMMSLCSYFPIYLDNKDAGADVGDVDIPGVRRLKRSWLPQPLLDLNKLFTKQFIENGREMVKTDGVLINTFDALEPVALAALRDGKVVRGFPPVFAVGPHSSLASEATKGAAAEAEGSPMAWLRQQPARSVVYVAFGSRCAVSHEQIREIAAGLEASGSRFLWILKTTVVDRDDDAGIRDVLGDGFLERVRGRGVVTKAWVDQDAVLRDPAVGLFLSHSGWNSVIEAATAGVPLLAWPPGGDHRVASTVVASSGVGVWMEQWSWDGEEWVVSGEEIGEKVKEMMADAGVREKAAKVGEEVAKAVAVGGTSHTGILDFVAKLKATT >ORUFI06G11910.1 pep chromosome:OR_W1943:6:9552591:9553005:-1 gene:ORUFI06G11910 transcript:ORUFI06G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPCDSRSCCQRRREAGARGAASGTVREARSAVVRFRRGVRCTVVGGRDRSGAEAELAAVGDRCGRSAWPMALKTVSHRLGPTAVCGQRGECLAVWQYAGGWPVEDVDVALPTCRQRMVGGGASKQ >ORUFI06G11920.1 pep chromosome:OR_W1943:6:9557767:9558596:1 gene:ORUFI06G11920 transcript:ORUFI06G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELVWDPHVEAFHSNTKVVATGENLLRKGESMVEWGEEATKQQRTTLPKGSVFGNIEKPPWCALCASIAPSGGSSAIDFLVIKHFVGEALLVLGTDYLASECAIGINLECTSPLEETIYVLPTTAYFEFTPFDMDAGRHAATAEPVDITSSRPAKRTS >ORUFI06G11930.1 pep chromosome:OR_W1943:6:9569562:9571002:1 gene:ORUFI06G11930 transcript:ORUFI06G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTVLNSGEPDARRRARPHVVFVPSAGMGHLLPFFRFIGALSAHDVDISVVTVLPTVSAAEADHFARLFHDFPSIRRVDLNLLPLDASEFPGADPFLLRWEALRRSMHLLAPAIAGVAPRATAVVTDVTLVSHVNPIAKDLRLQCHVLFISSATMMSLCSYFPIYLDNKDAEADVGDVDVPGVRRLKRSWLPQPLLDLDKLFTKQFIENGREVVKTDGVLINTFDALEPVALAALRDGTVVRGFPPVFAVGPYSSLASETKKAADADQSSAMAWLNQQPPRSVVYVAFGNRCTVSNDQLREIAAGLEASGSRFLPRWWTATTTAGGVRDVLGDGFMERVKGHGMVTKEWVDQEAVLGHPAVGLFLSHSGWNSVTEAAAAGVPLLAWPPGGDHRVASTVVASSGVGVWMEQWSWDGEEWLVSGEEIGGKVKEMMADDAVRERAAKVGEEAAKAVAEGGTSHTSMLEFVAKLKAA >ORUFI06G11940.1 pep chromosome:OR_W1943:6:9577431:9579363:-1 gene:ORUFI06G11940 transcript:ORUFI06G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFIVIKDLSEFTPTMCSMICSSFDTKPDLTVAAVVTCATSVESSMEMVATGSTIDDTHIDTLDSTKVMPANCSTVGLDVKGGADHTRVTCRTMMGVPEGVLVPDASSKVFSPWLMAEMDLIPLLPSGCSMKCPKDKKLLMGNAKRNSWPPFWLGGVIRRWELQPLHWPGSKLYLEGLPLMPPWPPPAGVSFLAWEPFDIGVLVIGTVILTQEMAGLKPWPPPSLVSSLAWGMEGREVYGLAMQGHHMNSQSMELAHIISKELARIMKERQLSNKELQCIFEDASPGQMCINPKALIHDGSLRSLLSKLQVHSIPNALSFTKQEHIKSLSLSHCSDIMVRFDLTWNLEVHLDSGGVLLQFLNAAALLYHRRVAQGYRSTLKLSICESISMLQVIKSIAANLIWDVEARNRLVVKKQDEDFSGNHWASFQTKMPKGLKVPWDPRGFFHWLGDKPNFKKRELSGPLLGCTMGSQRMLTPRLAKLCNRDNTHLTMTGMRGTRGYVALEL >ORUFI06G11950.1 pep chromosome:OR_W1943:6:9579751:9581101:-1 gene:ORUFI06G11950 transcript:ORUFI06G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLFLISVQVSSVRNQRGEGQCRYERAAHNSIAAVTVPHYHHDVRVEMGSVDPVEPFLDDILREKPAWFTPENLRKFTGGYAERSGLVAAASAWCTTASFPTVYRWLSRPCTAHWTTAPGSSYILHQGTTTKALVYKYLENGSLDCVMFEHGSGGGMVCKAGEVPARGVPALDHALQHQAGQGAAHRRCTTRPSVRASTGLHHGLELSIHGISSMELMGEYCSNAPWKWLLDLGKGAAHDTDTHNLFDGMPSQSEMPKENQRIS >ORUFI06G11960.1 pep chromosome:OR_W1943:6:9583044:9587502:1 gene:ORUFI06G11960 transcript:ORUFI06G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESREEGGGGGVVEDGDEAGGRPGKGGGSGGAGRGRDGNNGISTWEIEEMEDEAGPASLAPPAAAAAADVYVAVGKGGSSMEALSWALRRLASPRSFVYLVHVFPVVISIPTGLGMMPKSQASPEQVETYMNQERSKRRVMLQKYLDHCRNFQVNVDVYLIESDHVTNAILELIPVFHVQQLVLGVSKSKLRKFKRGNTIAGQVQKNAPLYCEVKIVCDGKEVTTVPTADPTPPISPSPVNNKSNSISPTPLSPAPDHNNRAVADDDKKETNPNERNKITKYLKCFSF >ORUFI06G11970.1 pep chromosome:OR_W1943:6:9588331:9590588:-1 gene:ORUFI06G11970 transcript:ORUFI06G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAMPRAPPAAPNLQSLKLCSQNDSSLETTSPSKRSALVPGRSAESSKPNSEVVQKEQKSTQHQNESIDLTGSNDPAEVKAEGNLVPKRLADEEKGVVEDGIANGSLKSSSALGKEHGIASASGSARLVGRSETGERGFSSSRCRPSTSSDVSDESACSSISSVTKPHKANDSRWEAIQMIRTRDGILGLSHFKLLKKLGCGDIGSVYLSELSGTKSYFAMKVMDKASLASRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQRGKYFPEQAVKFYVAEILLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIRSSNPDAEALRKNNQAYCVQPACVEPSCMIQPSCATPTTCFGPRFFSKSKKDRKPKPEVVNQVSPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKEPQQRLGCKRGATEIKQHPFFEGVNWALIRCASPPEVPRPVEIERPPKQPVSTSEPAAAPSDAAQKSSDSYLEFDFF >ORUFI06G11980.1 pep chromosome:OR_W1943:6:9608445:9612103:-1 gene:ORUFI06G11980 transcript:ORUFI06G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGVSDMQPHRGSESIAEADGGHPQSEIVESNSLPTPESRDDGQMADEAADIESQQDSKMVEIKDQDSGNSSSRSASLNSHEVRIKTDFVFLWRLRKYLLLLAVLAVSVTYNAGLSPPGGFWTDDSPVHHAGDPLLPSKFFQRYEAFFYCNATAFAASLVLIILLLSRGVANQHLWLRAMQVTMILDLFSLMGAYAAGSCRALKSSVYILVLVLSVFFYVGIHILVFIRVVPKWLKEGVQTFMHQTVQKLQRMLKQVLTICHLPKKQRSNQNDKEEIEEARKFILMLSTFAATITYQAGMSPPGGFWAENSHGYRPATFVLRRHNLRRFNIFTCSNATSFVASLVTIILLLSTELSRHGIRTQALFVCVIAELFGLIFAYAAGSCRDVATSLSVIFIIVVVLICALILVMFFQSRTVTIWIDNALRPRFDHFLEMLSWPRENRLSDGNREGPLSSSRQDTDHGNLGDQSTEDVKSAPTNDLESIKDSIPNMANQLHDQKDNLAIATVHSSSADVPSRKGPLPEQVLSEPISALGDRTVSADVPDTEHNIAKCQRDREEQTQELSGHHDSSEADGEVRKSEDGIVSNNDGTKDKGRISGDSEKNPDDVRLKKSRTYLLLLAILAVSLTYQAGINPPGGFWTSNTPSHSAGDPILEDNYHKRYLAFFYFNAIAFLASLVMLIMLLNRKMSNKVIKRRALQTAMITDLLALLGAFVVGSCREKTKSIYISVVIFFVVVAYTFLHVLASKYAVPEQWKQLFKRRQDVLQEHHVDNDAKDAHEKDLERRRNLLFILAILTATVTYQAGLNPPGGIWPDGSGKPGNPVLQDSHPKRYDVFYYSNALSFVSSVAVIILLVNRESCEHGIKSYALRVCLIAGLLGLLIAYSAGSFRKVKSIGYLIIITAAVLICLLIQVLVLSSTNDALEPPARSGRWLQKFFGLADSQKSLASPGQSKNESDKSDPLINEKKEKRHKYLMLLAILAASIAYQAGLNPPGGFWSEDSRDGYKAGNPLLKDIHSRRYMVFYVSNSISFMASIAVIMLLLSKSVRKNKVPLQALFLIMILDLLALMTAYAAGSCRKVRTSIFVFLVVCGVVLYLMIVIILLSGIAKWLKERKGC >ORUFI06G11990.1 pep chromosome:OR_W1943:6:9623810:9626584:-1 gene:ORUFI06G11990 transcript:ORUFI06G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGTSQDDNIEGNFKLLWSLRKYLILLGTIAVGVTYNAGLTPPGGFWTLNKDKHQAGNPVLPVGYFQRYEVFFYCNATAFAASLVLIILLLSKSATKHVLWLRSMQFTMILDLFSLMGAYAAGSCRALKSSIYTWILVFAVFLYVGVHVLVFMRVIPDKLKEMIQKLWGVHDRQSDRHQDKDVEDARKFILILVTFTATVTYQAGLSPPGGFWAENEYDPLSKLPPAFPPYKHQPATSVLRSNYLDRYKLFVSCNSTSFVASLVTVILLLSTELSKHGIRSKAVIVCVVADLLCLVGAYAAGCCRDVATSFYVMFIIMIVLICFALLVGIFAYKPVAIWLQNFKKVSLRCVSATGWMLSSSSRSNGFSNGDHNHDTEIVGTNDDTEPVANGHIHSNQAAPIQNVNGNQIEEHLNKARKNLLLLAILAVSLTYQSGLNPPGGFWSGNEFRHADGDHILEEYHHSAGDRILEDTYHSRFIAFFYLNAVAFVASVVMIILLLNKVMIMKVTKQCTLQIVMIVNLLSLTGAFVMGSCREANKSIYISVLLCLVLAYVLVHVLIAIHVLCGMASPASSVSPQNRTEDTKELGRRRNLLLTLSVLAATVTYQAGMNPPGGVWSDDKDVSGKPGNPILQDTHPKRYDVFYYSNSLSFVSSVVTTILLVNKESCEHGIKSHALRVCLVVGLVGLLIAYAAGSCRKAIQSIYLIIIAVAVLISVVIQVFLLSSTNSNTLQTFLSIRDVNQDSSSGPQESTDPQEKKERKRKKYLMLLAVLAASIAYQAGLNPPGGFWPDDGGHKAGNPILHDVNHRRYKTFFCFNAFSFMSSIVVIMLLLSRTIREKDVHIDVLYLIMILDLLGLMTAFAAGSCRRFRTSVYVYGLVICVVVYLLLVTVLSSGIAKYLRSRKVQIPSQDHPENASRADTPNA >ORUFI06G12000.1 pep chromosome:OR_W1943:6:9627995:9628349:1 gene:ORUFI06G12000 transcript:ORUFI06G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSTEHFASLSYGLCARKLVELRGWSGRCPGSGSLDGGSVRHSVEQQTSRRGFAFGPVSLGQPRAADSDLSSPRRPPSPADRPVNVERWGIRVVVLTG >ORUFI06G12010.1 pep chromosome:OR_W1943:6:9630930:9634586:-1 gene:ORUFI06G12010 transcript:ORUFI06G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGRQPKLTIALTNTTDVLIPVNGQSEENNTNGDRAEYGAETGSDRQDSMNGLSSRFLLASSHEPSITVDFELLWRLRKYLVMLAILAVSVTYNAGLTPPGGFRTKNTTNGRDAGEPLLRVYFFPRYEVFFYCNATAFAASLVLIILLLSKSVTRQNLWLRSMQFTMILDLFSLMGAYAAGSCRAVKSSIYIWVLVVAVFIYVGIHILICTRIFPKWLKERVQMLLHKILAKWGAHEENRSNDQKRNIEEARKFILTLATFSATITYQAGLSPPGGFWTEGSSRPATPILRSNYLLRYNFFMSCNATSFVASLVTIMLLLSPELSMHGIRSKAVIVCVVADLLGLIGAYAAGSCRDIAVSFYVMSVSIIVLTCFVVLAGILVYKPVADWLQKIKPDTLTCIDTIGRVFSLDFKRNRSIDREQENSQANHLQTVLEPDAQVKENALQSTNIEEGESHAKNQPSGECQSANSEEAVPDSDHPLASYQQSMCSTDVVYNLQGQSIEDQAQPTAEESISNTQHPSEKSQHATNYKDGMHQSADNQQDANTKENSSSIDDSKTLKDGISDPEPQSADSHQVTDMKEQSAITNDLKTTDTEGSMPDPDNRSTDSQHVINKMEQSSSTDEPGNVVTPEEKVSPNVPVGHSEIEIAEDNIIAPHVENGYIDKNEGSPNEDGDRNQSAKHLKKCRTYLLLLAILAVSLTYQSGLNPPGGFWTRHEDYHSSGDHILEDTHHPRYIAFFYLNAIAFVASVVMIIMLLNRRMANKVIKRRALQIAMIVILLSLTGAYVMGSCRKTKNSVYISVMVLLVLAYVGIHVLIAIHVIPEGWKRQAAEKLNRLSCRHLWSPLHGSNQTGHDNKKDWERRRNLLLILSILAATVTYQAGMNPPGGLWSDDKDINRTLTGNPVLQENNLRRYNVFYYSNSVSFVSSVVITILLVNKGSCEHGMKFYALRVCLAAGLVGLLIAYAAGSCRKAKQSIYLIIVAVAVLISLMIQVFIMSSTYDKLGKPLCKCMESLLEWIFQTKEVRQDIGSEIQGSPDRGDKSERKRHKYLMLLAILAASITYQAGLNPPGGFWSDDSSDPPKHKAGDLVLHNIHPHRYKAFFCFNAFSFMSSIVVIMLLLSKSVREKNVPLGVLHLIMILDLLALMTAFAAGSCRKFRTSVYVYGLVIGVTIYLLLVTILASSIAKCLRSRKISKNSYEKHNEHPSRVNTLPLEQQV >ORUFI06G12020.1 pep chromosome:OR_W1943:6:9635677:9636723:1 gene:ORUFI06G12020 transcript:ORUFI06G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEGRRHLWRRKNGRWHIIECQSGDIPSVSPITWMTRLLKLVSKAMPVTKLSTWELVGSSRVTAVAKWNP >ORUFI06G12030.1 pep chromosome:OR_W1943:6:9641087:9642204:1 gene:ORUFI06G12030 transcript:ORUFI06G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRPSRARGPVGDGAGVVGGEPAMSRFAEAWVIEDECALAWVVDGEAGVVDGGRDAVEIAHLAAAVLDRPRLPTRAPARRPIVRDVVPRHAAATALLTAAIYHTHSQSAICRPHHAACCVPLPTHCTHTHTNANAEMTPPDTDAHATDMARGH >ORUFI06G12040.1 pep chromosome:OR_W1943:6:9648840:9652461:1 gene:ORUFI06G12040 transcript:ORUFI06G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDYIFLWKWRKYLLLLATLVAGVTYDAGLNPPGGVWPDDTGGHATGDPVLPVTFHSRYLAFFYCNATAFVASLVVIMMLLDRRVSGNRVGVTVLRSAMVLDLFALMGAYAAGVSRDVLAVAYVSALFGLVFAYVALHIVVATSALPPVEWLRASAKRLAGKAEELLRKGDDEEAASASASMTTRRVEEDRQERRKFLLLLATFATPLTYAAGFDPPGGFWDSTGGGHTAGVPVLRDGPSRSRYRAFFYCNATSFVASLAIVMLLMSRTLSRRVARSYALQVCVMVELLGLVGAYAAGSNRKRETTAYVVSLAGAVLVYIALQVVVGMFAMAAIKRWLVGLCRILQCRRSMEPAEHLRADDVTHDHRVQYLEMKPCPATPNNTPCEVRAPDGDVTGGGGGDGDEGDADTVEESRSLLLLLATLAATVTYDAGLNPPGGFWPDGGRAGELILLDTHPRRYKAFFHCNTAAFVASLVVIVIVQSRQLSSGAVVKRRALQAAMTLDLLGLMGAYAAGSCRSAAATVYVSALAVAVFVYSLGNVVAFTTMGRSRETRLMRWVDGMVQKVLERLHLWDGQAEEADDDLERKRKFLLQLAILAATVTYKTGLNPPGGFWSGSDDGRAGDPVLVDHYRNRYMVFFYCNATGFMASVAVILMLVNRRLYKQGIRCNALNACVVVGLLGLMLAYAAGSCRRLRTSAYVIALVAAVVGFLLLQILLFLLAKRVVPATLEHRLPPWLLALFEPLTPPPRKAAAAAGGEDDKQQDSGERHTEQYMKRKYLMLLGVLAASVTYQAGLSPPGGTWGGDGAMVAGGSATYHYAAGDPVLHDTDRARYHAFFHCNATSFVASVVVIVLLLLRRRRRRGAPAAPLWAMQSAVVLDLLGLLGAYAAGSCREWETSAYVVALVGAVVVYIALHVLLSFDAVAAKAERLKVWRYFGESSDNNNQTGGGAAV >ORUFI06G12050.1 pep chromosome:OR_W1943:6:9674822:9678192:-1 gene:ORUFI06G12050 transcript:ORUFI06G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDVLALMAAYAAGSCRDLPSTVYVSTLVVALSAYLAIRIIYQTGRNPLISATTTTTTSPAAAAAAAGDDDDNQLRKVLMLLATFATEITYTAGLGPPGGFQDDGGPTLRSAGRGQSARLAAFFYCNTAAFVASLSIVVPLLSSRLQRMHLELYPPILAALLGLMGAYTAGSSRDLRTIAYVVALVAAVLAYILLAMAIALKKKKHDVDLAGGVEDSETARPPRNEKDGQLEGEKGSKNNEPMKDNDFVLLLATLAASITYQAGLDPPGGVWSEDDKLYGRKAGDPILLSTHVERYKAFFYCNSTAFAASLVVILMVQSKIVKGKALVIATMILDLFGLIGAYAAGSCRDVSTSIYVIALAGAVLVYVVIHVVFWPDDCYVSNQKDKEVEKRRERLLLLAILVATIAYQAGLTPPGGFWDKDDGESGHHAGVPVLLDNYPRRYHAFFYCNATAFMASVALIILLVNPKLYKLGIRCYALYVCMMVGMFGLMGAYAAGSARKVRTSIYVFVLVGVVIAFLLVQLVYFNIQAVWKQLLVFLNVKKEPTSNSDSANTTNGSSSDSEHNIASNTEEESKKKEYLMTLAILAASVTYQAGLNPPGSIWQDGGNVGNPVMRDNNYPRYNAFFYCNSTSFMASIIVIILLLQQYQKKYGGFLLYAMNMVIVVDLLGLLGAYAAGSCRDWETSGYVIALAVVVLACIMIHFMLLYHNGRSKGRVGGVQEINTLPVNHS >ORUFI06G12060.1 pep chromosome:OR_W1943:6:9685138:9691315:-1 gene:ORUFI06G12060 transcript:ORUFI06G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRAPQRRTGFGASRLEAEDSAGEFGEGGGGVVGGAAPPRRAWGRAADGSVAVTAKRRRLRARRMGAGGTTTASRRWPSGGDCGFSAIRATSQECRVDGFMDVVRELPGVAGPGLGLLVDLRRGHVGEVVPERGVHGRQLRRELPQSTQPLGDLLHRRPVGSAHLQALESQPRDLLQRLAARLALHLLVQHLVHLPLPHVDGGHDAHVDGGVAAAAEDVAVVEAVGRLLELLLRVDGECVARPCAQLVVEVGAPRLPPLGRHRDAAVRRLPPCTPADRERLRGRGEEREIERKVGKRERERGGRV >ORUFI06G12070.1 pep chromosome:OR_W1943:6:9699441:9708652:-1 gene:ORUFI06G12070 transcript:ORUFI06G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGDDATEQQPYWEYTLRKYLLLLASLVATVAYGAGFSPPGGAWQVTAGGHTAGDPIIRDLYYGRYLVFFYCNATAFASSLLVIVLILLFAVLHEKRNMWVTVMPLRVVMVLDLLSLMGAYTAGTCRDATTTRYTAVLVAAVFVYLVVQMVLASLAGGDDEDEHVVTKERSRKVLLLLATFATSLTYVAGLSTPGGFWADGVAGHRAGEAVMGERHPARLTAFLLCNTTAFVASLLIIVLLLDRKLRDGTVRSWELYGCIVVALVGLVGAYAAGSSRAEHTTVYVLALVGAVLVYIAFHLTVVPCVKQALGNTKLARIYSSMSKRRYCPKQDQEVDQATIDREAEHNRAMEKARSLVLLLATLAATVTFQAALDPPGGYWQDDNGDHKAGNPILLTTNPRRYKAFFYCNSTAFVSSLLAIILVQSRSLLKRHALEAAMILDLFGLMGAYAAGSCRDASTSIYVMAIAGAVLVYVVIHVVFFTLDQRGHDEDVLLEKRRKRLLLFAILSATITYQAGLTPPSGFWQDDSDGHRAGEPVLFSNNPYRFKAFFYCNTTSFMSSIALIILLVNPNLYRPAIQSYALSVCMVAGMFGLMGAYAAGSSQHMRTSIFVFALVLIFVAILLVVFVVRQNHGNTTTRQNSQGDQNQRGDNSGSENHTKRKYLMLLGILAASVTYQAGLHPPGGVWQSDDDGAGHAAGNPVLRDNRRRRYRAFFYSNSTSFMASVVVIVLLLPESASPHVNEWLLKAMNTTIVLDMIGLLVAYGAGSSREWETSGYVIAMAVVVLGYIAVHGVLSTFGQGHKAGGNNPQITPELDAESQEPGNGRRTAAQGSYMHYPSQNLGQPIISSGAIFLLLQPQQDMSSTNKIDTHNRNGSSKEKKSNPSSSSEYQLKNHLLLLATLVATVTYAAGLNLPGGFWQDTQEDHLAGDPILPGNHKEQYIMFYYCNATAFAASLVVCLLLLVLDKENSGCAAALRVVMLFDLLGLMGAYAAGSCRDEFTTIYSSVIMSMVFAYIVPSLFTYAVSKLKKKDKNHGKQNKDSGEPKDTEKQTWYPDEHKREELHEVLMLLATFAVTITYVAGLNPPGGFWGSTQDGHRVSNPVLQDINSRRYKAFFVCNTTAFVASLLIIMLLLDKRVNTEQMSLQFGELYGSIVVVLFGLVGAYAAGSCRDTDDTVYVICLIAAILAYIFLQVAVTQFLKKRIKNDGHTERSISSVKSLIRNEDGSRNTKRNIAMEKARSLVMLLATLAASITYQAGLDPPGGLWPDDRDGHKGGDPILLTTNPARYKVFFYSNSVAFVTSLVAIIMVQSKHVLKNHTLEAAMLLDLFALITAYAAGSCRDVSTSIYVVALAGGVLVYVVIHIIFFTLDNMDNEYHDPDEEDKKREVLLLLAILVATLTYQAGLTPPGGFWSEDDNLGHHHRAGYPILLENYPPQYEAFFYCNATSFMASIALIMLLVNPNLYRPGIKCYALYVCMVAGMFGLMGAYAAGSSRRLRTSIYVLTLVGAVFALVALQVAMFWNKRTSKTGGNMTNSSAQEGGSTDTEASQPAPLIKGMGSTSQATQITDITDAALRNTDKGEGSSEQGGSRINSVTSEPGAHGTGNGASLQEANSEEDKSGSTEKDMREYLMLLGVLAASVTYQAGLKPPGGLWQENGNGHLAGHYILHDINKRRYYAFFYSNSISFMASIVVIALLLPRMLNNLEIPVWPMHTAILLDMLGLLCAYAAGSTMRWETSRNIIALVIPVLVYMAACTALSFFHKEDQKQISASTCQASTNS >ORUFI06G12080.1 pep chromosome:OR_W1943:6:9722695:9725391:-1 gene:ORUFI06G12080 transcript:ORUFI06G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKSAQDPHRPLEYDLRKYLLLLATMVATVTYTARFNPPGGVWQETEARHLAGDSIIRDSHYPRYIMFFYCNAAAFALSIVVIVLIFILAILHEKNGIWISMFPLRLAMVLNLFLWDRNDKNHLEAIGQGTGNNPPNPEHSVGAISPVVSREEGSQQPGKEKEKEEEEKNQRRKVLMLLATFVMSVAYVAGLSAPGGYWERSQEEGRHHADAGDPVLWVHHSVHLRAFVGYNTTSFVASLLIIMLLLDQKQKIIFLPLDMKRKAVPGRAHMLYAYITIALVGLVGAYVAGSCRHSDTTIYALSLVATVLICIGILKVVLGCMPKLSQTPKASSRSGENNENNEDTFRDKTPTNGGLLSNMNNEDDILEKAQSLVVLLSTLIATVTYQAGLVPLGGVWQENQDGHKAGKPILMSTQAKRYKVFFYCNSTAFVASLVIIILVRYKPLLKRHILEVAIILDLFGLVGAYAAGSCQDVSTSIYVITLAGAVLIYVVIHIVFITLEDEDKNKTSVHSTVQTGPPVINPLPSDLYVHKRRKRLLLFSVLGATLTYQAGLTPHGGFRLKDDELSHHAGDPVLLYNYPRRYKAFFYCNSLSFMSSIALIILHVNPNLYRPAIRSYALSVCVATGLLALMSAYAAGSTQHLKTSIYVFALALFPSTMSVAKVKKNDGDRARSLQQHVKHKSFGCKCVTYQAGLNPPGGVWQHNSNGYTIKDSVMHDNMIEALLPHFLLQQLRFLRGIRRCHRPVAARGIAREEQMANGDERHGRARLAWPPTGVYVWLQYEVGVGNIVMNRHILIQNVKAIIMA >ORUFI06G12090.1 pep chromosome:OR_W1943:6:9729572:9731174:1 gene:ORUFI06G12090 transcript:ORUFI06G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSLNCTNQIQRTYNLRKLQLYITKIAFCYITKVTFVNTFDLACPESVQDDDEKRQESEKSKMKAKQLDNIKPQGMKSQAGTNQICKLHKSMTEEIMKKKEQTGSYQLHDTGKN >ORUFI06G12100.1 pep chromosome:OR_W1943:6:9734743:9736522:-1 gene:ORUFI06G12100 transcript:ORUFI06G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFLVECLSWLVVVLFSLYIFQLLRDARRRLPPGPWPPKPLVGDLLDLGEDGKQHRTFLRLAGRYGGLMCLRFGMVPHVIVSTPDALRAVFAAAGAGGGGGGEGKKVDGIAGLPSLDVLSAMGHRAHTIFALPSQDGKWRALRKFAAAEMLAPRRISSAAAGAQLQTKIVEALRREVSGHAARGAAVVFRHAVLDSILSLLLGVLYSTDLEREERAMFRDLIEEIVGMLGTANVSDVFPPVAALDLQGLRRRMTDLLTIMYRHFDDQVALRRRSRDAGEARKNDVLDTVLDKEESEWKQEGSLLSHDVMRVLLSDLYGAGASTTAALIEWGMVDLIQNPEVMTKVREELTNVLGDKLVMDESDIARLPYLQAVVKETLRLRTVVPLVPRKAEVDIEVNGYRIPKGTNVILNAWAINRSADAWSEPDKFIPERFLGGETRGYLGQDFEMIPFGLGRRICPGMPLAQKLIPLIIGTLLHRFEWELPADAKEGGIDMTEKCGVVLSLVNPLKAIPKEI >ORUFI06G12110.1 pep chromosome:OR_W1943:6:9755470:9756163:-1 gene:ORUFI06G12110 transcript:ORUFI06G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSFECAVLPVKKLCHWVIPIYHDDQFFPEEAQEGEEFYGADDCSVYQSVRDRNRGRSRVVSGYRTQTGYPHMTVPKDEIPK >ORUFI06G12120.1 pep chromosome:OR_W1943:6:9756257:9757761:-1 gene:ORUFI06G12120 transcript:ORUFI06G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSHPGTRFDTLTRPRSPPELCHFHARCHHSSRSGTRVPASFVVFQPSVHILWGCQPVEHVMISSSSPFPHWSSGAFPSFSVTHVKSHGKKMNSAGQHCFPVVEPNQPHQIHLNSLFGRFFMGFGQVDMIHNGGTRESSDRLALLRPSKCPTIRTDQYPTGQ >ORUFI06G12130.1 pep chromosome:OR_W1943:6:9762894:9765996:-1 gene:ORUFI06G12130 transcript:ORUFI06G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPPRNVAEEQPWEYTLRKYLLLLATLVATVAYGAGFSPPGGVWSDAKDGVHLAGDPVIRDHYYGRYLLYFYCNATAFVSSLVVIVLILLFAVLHEKRNVRVTVMPLRAVMVLDLVSLMGAYAAGTCRDRTTTTFTVVLVSLVVVYVALQVVLASLPAGEHDGDEHVVKEKSRKVLLLLATFATSLTYVAGLSTPGGFWSDSDAAAGHRAGDAVMGDRHPARLTVFLLCNTTAFVASLLVIVLLLDRKLRDGTVRAWELYGCVLVSLAGLVGAYAAGSSRAAHTTAYVVALIGAVLAYIAIHLAVVACAARALSNTGMSEKLAGMYSSVKERRYHLRQPARELAQANDDREKLLNQALEKARSLVLLLATLAATITYQAVLDPPGGYWQVDKDGHKAGDPILLTINAKRYKTFFYFNSTAFVASLLAIILVQSKSLLKRHALEAAMILDLFGLMGAYAAGSCRDASTSINVMAIAGAVLVYVVIHIVFFTLDHNDGSMLGEDNALLEKRRKRLLLFAILCATITYQAGLTPPSGCWQDDDKQHGYHAGHPVLFSNHPRRYKAFFYCNTTSFMSSIALIILLVNPNLYRPAIHSYALSVCMVAGMFGLMGAYAAGSSQHMRTSIYIFVLLFIFLVLLLVAFVVHQKSQGTQNRRTNEAEVPNTNDIKRKQYTKRKNLMLLGILAASVTYQAGLHPPGGVWQSNDDAGHAAGDPVLHDKQKLRYHAFFYSNSISFMASIIVIILLLPESLKLNVNEWLLKAMNTTVVLDMIGLLVAYGTGSSRDWDTSGYVIAMAIFVLGYISIHAMLSKLSQVANHRVASEDPESQVLGNGLHQARGVCVGLHPSINVVQ >ORUFI06G12140.1 pep chromosome:OR_W1943:6:9772131:9782567:1 gene:ORUFI06G12140 transcript:ORUFI06G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVACHDNRQGQQGSERHSTSTTVGTGSIAAEGFFGEFGSAIGDLSPPFPPMVSPFLHFATAASTLHLLLHHHAAVVGSNCERHQKLQQARRAFSKPPDGNVIDCVPPHLQPAFDHSKKLRGQKPEVEPEPEERPKVDGASAAQGEAAEEEETDVIAVGSGWASQRARPSRRGSRHCIRSSSGNGSGLEEGEIADSVTKLAYDSNGSELSEKNIGCDYDHMWRIEGSTAAAAKGRCTCGCAGCRSKFRFHLRMDENSGSHPSERSKDDAEPLEFQLRKYLLLLAIMVATVTYTAGFNPPGGVWQDTEAGHLAGDSIIRDTHYPRYLVFFYCNAAAFAMSIVVIIIIFILALIHDTKKLWISMIPLRMAMVLDLLGLVGAYAAGTSQNVLKTRNVCVLVAIFVYMAVQIVLTSFPGIVLKCKRNASGIQIVFRCIGCKEVPNNQPSQPGDNKEEEKQRHRKLLLLLATFVMSITYLAGLSAPGGYWDSRKEGHEASDPVMREHHSIRLKAFFLLNATAFVMSLLTIMLLLDKRLIIPLLHDKVPSTTRPVRTIVLKAYISIALVGLAGAYATGSSRESDTTIYVGSLVFAVLACIIVLKTLIFHQSDSSDRSSNGTRRRNGEAQANPSGRREQTGTSHGGAKLPTSNGVPPTTRNVGVQTDTSNGGADTNTSNADILEKAQSLVVLLSTLVATVTYQAGLVPPGGVWQDNWNGHEAGDPILLSMQPERYKVFFYCNSMAFAASLVIIILVQYKPMLKRRILQFAMILDLFGLIGAYSAGSCRDVTTSIYVIALAGAVLVYVIIHVLFVTLEDEDIGKKGGDKDRKLEDKRRKRLLLFAVLGATLTYQAGLTPPGGFRLKDDEFGHNAGSMSTNEEDLETGSGVKTPVKQEAFTETKSVDEIKEDNTAAKSPKTKEDDSTVESSEIKYEGESKQNTTNKSIEQNRTDTDSLRTEEDSKKKHATRKYLMLLAVLAASVTYQAGLNPPGGVWQGNSNGHAAGDPVMHDNRRYRYLIFFYSNSFSFMASIVVIILLLPEKLLRENRSFKVMHLTMVMNLLGLLLAYMAGSRMRSESSGYFMEFVITTLCFAALHKILSSEKEQQNDQPSQVDQQGDSQE >ORUFI06G12150.1 pep chromosome:OR_W1943:6:9797933:9803352:1 gene:ORUFI06G12150 transcript:ORUFI06G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQQLLEESKDEHRPLEYCLRKYLLLLAIMVATVTYAAGFNPPGGVWQNTEAGHLAGESIIRDTYYPRYLVFFYCNAAAFALSIVVIILIFILAVVHEKKGLWISMIPLRVAMVLDLLGLVGAYAAGTSRGVLKAKNACVLVAIFVYMAVQVVLTSFSEKSQLFRCTGDSKKKEAKGQLESADGKGEINKEEEKERRRKLLLLLATFVMSITYLAGLSAPGGYWDSSKEGHIAGDPVMREHHSIRLKAFFTFNAIAFVMSLLIIMLLLDKQLVIPLLKGKNQNKTSPVRTFVLKAYIFIALVGLAGAYATGSSRECDTTIYVGSLVLAVLACIIVLKAIISCQTYSNDRSNNVEEQTSTGNCRAQINTSNGGAESVSSNGGAESVSSNARAQPTTTNGGEETKTSNAGAQKNTRNADFMDQAQSLVVLLSTLVATVAYQAGLVPPGGVWQDNWNGHEAGDSILLSMQPERYRVFFYCNSIAFAASLVIIILVQYKPILKLRVLQFAMILDLFGLIGAYSSGSCRDVTTSIYVIALAGAVLIYVVIHVLFVTLEDEDIRKEGREKDRKLEDKRRKRLLLFAVLCVTLTYQAGLTPPGGFWLMDDEFGHHAGDPVLFYNYPRRYKAFFYCNSTSFMSSIALIILLVNPNLYRPAIRSYALSVCTAVGMFALLCAYAAGSTQHLKTSIYIFGLVLLVFFIMIVLLIYSYWRQKRIMSYNKEDTEKGKSPGTQNEDITKQDSKTVKPTGTKSDVEMEEDDITAKSTKLKENDSTGKSSEIEDEGEAKQNRLEHSIERAKQETATESPKKDDKSKKKHATRKYLMLLGVLAASVTYQAGLNPPGGVWQGNSNDHAAGNPVMHDKKRYRYLIFFYSNSTSFVASIVVIILLLPEKLLGEAWSLNVMNITIVLDLLGLLLAYMAGSRMRLQSSGYFVVFVIGALGFAAIHKIWSYLQRKRNDQHRLTLINSVFFESPYPIFRIQNRIFFSKFSKAIGMPHVIPGLMKAVGIVYSEGD >ORUFI06G12160.1 pep chromosome:OR_W1943:6:9798239:9799462:-1 gene:ORUFI06G12160 transcript:ORUFI06G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVVLPNTTRRDQSCLVCDGYNRLEDNNACKNGKNQTAHIDGSVTFSAASGGIGPRKTDKSDKDADRMVFPHDWVTCNVALLAAVPVATRRAQPSQHTPAGPSSIGPHEAKQIQHHGHPQWDHGDP >ORUFI06G12170.1 pep chromosome:OR_W1943:6:9808617:9809051:1 gene:ORUFI06G12170 transcript:ORUFI06G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDGPTLLVTSQSDLSAQAPRYRSYPLFLAFSVIPRFLAPLLASAELISLPSSPIAPGHAFTADFAALATLAVALAWRAAAPWRDAVVAQTPPYQVAALLISTAPSVMEIKAAATGDKAVEEHLVIFFCHLHLGRGAGEVKR >ORUFI06G12180.1 pep chromosome:OR_W1943:6:9812731:9817210:1 gene:ORUFI06G12180 transcript:ORUFI06G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGHSTEDQEKVEEKPLELLLRKYLLLLAIMAATVTYATGFNPPGGVWQDTEAGHLAGDSIIRDTYYPRYLVFFYCNAAAFVLSIIVIILILSLAIAQEKKNFWIPMLPLRVAMVLDLLGLVGAYAAGTSRAVLKPRNAWVLAVIFVYMVIQLVLTSLSSCTGDGKKEEAKEQLQTADGKEEKINKLSQSGHKKEEEKERRRKLLLLLATFVMSVTYLAGLSAPGGYWDSSKEGHNAGDLVMREHHAIRLKAFFVFNAAAFVMSLLIIMLLLDKQLVIPLLQDQDQSMTSRVRTRFLKAYIIIALVGLVGAYDTGSSRNSDTTIYVGCLVFAVLACILFLKVIISPHPQGSASDSNGRPSNGVKKNASNGGVQTNTSNADILEKAQSLVVLLSTLVTTVTYQAGLIPPGGVWQENWKEHEAGNPILLSIQPERYKVFFYCNSIAFAVSLVIIILVQYKPILKHHILELAMIMDLFGLIGAYSAGSCRDVTTSIYVIALAGVVLVYVVIHVIFITLDEDMGKKDGDKDKKDEGKRRKRLLLFAVLCTTLTYQAGLTPPGGFWLKDDEFGHHAGNEPANSQDEEERVVQKSPPAQDEDVIEQETQTLKPSETMSADEIKEDDRTTKSADIENAGEAKNNTSNETNEKEKQERAATESNEKEDESKKHAKRKYFMLLGVLAASVTYQAGLNPPGGVWQGNSNGRAAGNPVMHDNKRYRYLIFFYSNSASFVASVVIILLLKEKLLREDWLFKVMNITIVLNLLGLLLAYMAGSRMRLESSGYFIAFVIAALGIAAIHKIWSRNRESK >ORUFI06G12190.1 pep chromosome:OR_W1943:6:9812958:9818833:-1 gene:ORUFI06G12190 transcript:ORUFI06G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGGGGSSCPPGTIANKVPLALFDSSPRAPMAGKRAAYALRPGTRSSCSGRLFPLAALAGLAAMHYSNPR >ORUFI06G12200.1 pep chromosome:OR_W1943:6:9820575:9828284:1 gene:ORUFI06G12200 transcript:ORUFI06G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVDFTTDHAGATRRTTPASARGGLTREAGGWWPGLVRSRPRRWLAEAERAPSLLGRTAQLMRALLSLCQDSKDEDEDDDHDGQRECSRVAVEEHKVSAILAIPDDGVTSEVPGLGVLPDTPRRAESCTICHRGHKGGQQKEPEEGGPDAGGRRGGAEGGAAARRAARWRGGGRGGGEAAAAEPAGGFALFLFFYKNL >ORUFI06G12210.1 pep chromosome:OR_W1943:6:9821475:9824178:-1 gene:ORUFI06G12210 transcript:ORUFI06G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEQERTDSPLEYDLRKYLLLLATLVATVTYGAGFSPPGGVWQDTEAGHLAGDSIIRDSQYRRYLMFFYCNATAFALSIVVIILIFILAILHEKGKLQIPMLPLRAAMVLDLLSLMGAYAAGTSRGVLTAGNVSALVATFIYMVAQMVVTLWLDKKQTQDISSGDEKKKRHRKVLMLLATFVASITYMAGLSAPGGYWDNNQEGHHPGDPVLWEHHSRRLRAFFVCNTIAFVASLLIIMLLLDKKQRIFLPLDKIKITITVRTYVLYAYITIALLGLVGAYVAGSCRKPDTTIYVLSLVGAVLLCIGALQAVLFFLPQLSNISCLPIIAKLSCSPGGPSDSSSRKNTSSKTDILEKAQSLVVLLATLVATVTYQAGLVPPGGVWQKNQDGHMAGEPILLSTQAKRYKVFFYCNSTAFAASLVVIVLVRYKPLLRRRILEITMILDLFGLMGAYAAGSCRDITTSVYIIALAGGVLVYVVIHVVFFTLEDNDKEKEVGNTNSVRNGSVVRTQNNSAEGNLAEKDSCIDKRRKRLLLFAVLGATLTYQAGLTPPGGFRVVDDGFGRHAGDPILFYNFPRRYKAFLYCNSVSFMSSLSLIILLVNPNLYRPAIQSYALSVCTAAGLFALLGAYAAGSTQHLKTSIYVFVLVAVVLFIMIILLVCFYQSESKEKRDDVSKEPVEKDKDRAKYHAKRKYLMLLGVLAASVTYQAGLNPPGGVWQGNSDGHGVGHSVMHDNKRYRYLTFFYSNSTSFVASIVVIILLLPTELLKKNRWLRVMNITIVLDLLGLLLAYVAGSSMRWEPSGYVIAFVIGALGCAAIHKFLSFVRRSQQQGQGNDQPSRLPEGGSQA >ORUFI06G12220.1 pep chromosome:OR_W1943:6:9831800:9832645:-1 gene:ORUFI06G12220 transcript:ORUFI06G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLVGLMGAYAAGTTRRLRTSIYVFALVGAVLIFAALHIKFFHKILIGCLSFFSSKKQDEVTKNHDQATGSKGSTGKKCTNNHDEETTDEYKEKYKMRKYLTLLGILAASVTYQAGLVPPGSVWPTNDGKGHAAGNPILGDTDGRRYHAFFYSNSTSFAASIVAIVLLLQGTLILPELNDPDRFGPMHMVVVLDLLGLLVAYAAGSSRDWGTSGYVVAMAVMVLAYVAIYVFLSLRDRKGSEGRATTEVRSSSSTSQSSRSTLEVARPASWSSGSADNV >ORUFI06G12230.1 pep chromosome:OR_W1943:6:9832766:9834671:-1 gene:ORUFI06G12230 transcript:ORUFI06G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDADDNKQQPGGGGKATVASHPKSREWQLRKYLLLLAILVATVTYIAGLDPPGGVWLETTDEHLTGDPILPDTRRLRYDLFYYFNATAFVASLVLTILLLPFRVEGPRLMAVRGVMVVDLLCLMVAYIAGSCRGRLTTIFASVLSATIFVYIVVHALVAPSTDTPEKKTVHDSPDKEKAMDMEDGHLHGCSSNPLDMKEAVEDGKLRPKERRKVLMLLSIFMVTITYTAGLSPPGGTWEHAAEEGGAAAAAGGHHRAGDPVLQEGHYWRFVAFFVLNTVAFVASLTVIMLLLSTSMGNNGRRLSALNVAIAFALLGLMGAYASGSCRETETTVYVLCLIGAVLLYISCLAAGLDPPGGVWRDDDNGHSGGGLILPATHAKRYKVFFYCNSAAFVASIIVIIMVQSRSLIGRRALEAAVILDLFGLIGAYSAGSCRDVRTSIYVFSLAVAIFVLVVAIYVVISKLPHDKKGKLEEKSKLEKKQKLLLLLAILAVTITYQAGLTPPGGFWIEHTDEDHRYGDSILADNFPLR >ORUFI06G12240.1 pep chromosome:OR_W1943:6:9843751:9859251:-1 gene:ORUFI06G12240 transcript:ORUFI06G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNDNPLHAGSGQATTLEGSEGREPIIRAGFARTDTDNGGSDVLLCGSEMDHNNLQPAGSGQAMTTEADNNRAWQLQKYLMLLTILVATVTYIAGLNPPGGVWLETKDGHLTGNPILPDTQPTRHYVFYYFNATAFVVSLVLIPFLLQPCVKAAAQLKAVRVAMVFDLVLLMVAYIAGSCPDRPTTIFASLLSVVVFGCVVVHWLVAPSSEGQLWLDKPNKVLMLLAIFVVTVTYVAGMRPPGGTWEHAQEAGRSDAGEPIWLERHRGRFMAFLVSNTIALVASLAVVMLVLSSRLRRNMSCLALHVFIITMALLGLLGAYISGSCTEWIFTVQVGCVAGAIAVILLCDSCLPIVECLFGGFKSQHHSLAGAPGRRVTIQPLVPIVPVGEGEPVMAGTPGLPRMTPTESTMVVHRQHSSEAHANPLNNGRSMILLLATLTATVTYQAGLEPPGGVWRDNEGGHNGGDLILLATHAIRYKVFFYCNSAAFVASIIVVIILQSKDLVNRYALHAAIILDLMGLMGAYAAGSWRDIGASLSIFVLVAAIIVLLVVTYIVSCKSLTRGNNGNVSLAEKKRKRELQKRQKLLLNLAVLAITITYQAGLTPPGGFWIEHADEEHHNGDPVLGDNHRGWYTAFFFCNTTSFMASVVTIVSLVSQSLSEIDMAYCKALYCCVFVVLAGLTGAFACGTSRRMQESMYVLGSASLGLTLAILYIHRSHPMVRNGDGSNHADDDTELVGDVVTNGQHITTHKMCKYLMLISILAATITYQAGLTPPGDVWPAADDGEGHAAGDPILRDSDRRHYLAFLYSNSVSFAAFVLVIVLLLRGVVVRKLSSFLPLITVVHAVAVVDLLALLAAYATGSSRDRGTSVYVVTVAATVLVYIAIYAGLSSRHCGREQDGNGGVGSRPSSQAARSRLECTSATPPREWLLDLGKGGAHDTNTHNMFDGMPSQPEVFNDDERISETVPIKSTMKKEGISMDKALDRLLEKFELMEANPPTKCLTECPNNNITWVAANSNHIGEMLAPTAAWELGDRKDMDQAPYIATKDLPKVTPTKCSTLCSSFDNKPDLTVAVVVTCATSVKSLMELVDTDSTTSGTHIDTPDITKAMPTNCLMFGMMVNTGTIQTGVVFPLFLDKLDIVTVLTLHWAGLKPWPPPHEDDHTYILVNQREVELWRTILVDHNKEGLLMIIELYVLDLNDCCLSWSHLILASVLVVELSSTRQCGYEIISFKSNHVDKLKLFGMSINVLEQCEHLEGDLIRLIIKEKLMPWNSGMGICLCCLLVIQLPVGKLKWNVSVISLFHLLITKVNEFPRGITTGGKSTLWTSFECTCVGLHRRYPVLLPFWIIKAEKWVMRHIGMTILVPESWQQASYKVLYGAEKLLNLNAEDKSYTRVKSFEEVPASVVLDDVAYTTSGTTWHYKCLTDKMRMPRAEVNHKPMWTRYLSKQGCHSLQHTKMLSLNVGKKINTLLLLYKDLNGLRFKWIEKLSARGARGYILLAWDKPNLKKRGLSCIVGLIMSFVDYSPIQPNKTQLERQQSSTNKVLGSHRTEQASAASATAAAASWFRAGTLAISLYLSSYLQLPMLVLEAFRTCIP >ORUFI06G12250.1 pep chromosome:OR_W1943:6:9864081:9869091:1 gene:ORUFI06G12250 transcript:ORUFI06G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGASAGTCRVLLAWLMHFTVQEGARTCFISFGNFGRVLWFFRGHRLAKELDSKRFKPIYAFIFHVRALGGRLRCQSLRRKRKTQDFLGIFELNSMSSVENPSNGRSNGHAPANASAATANSAQATQQGQTEQNPCDAGSEADLLWKLRKYLVLLAILAAAITFQAGLGPPGGFWQQDQHGYHAGDVVLRYSYPRRYLVFFYCNTTAFGASLIVLILLLVKELSRDAIWLRSLQFAMVLGLLGLMGAYAAGSCREVRTSVYIWALLVGIFAYITLHVVFFRHLAPQWLCEIFYTIRKHWKEILGSIHGDGGTDKTGETGDSEKTKRLEQNRSFLLVLATLAATVTYTAGLNPPGGFWPDDNKPSHLAGDPVLRDHYPRRFKAFLVCNATAFAGSLVIIIMLLSNTAVDHVVKSNALRLCVLVSLFGLMGAYAAGSCREVHTSIYVFALVGAVFLYLCIQWIEHMVPIPCIKSSMEWVGKKKTHLLQKLGSFIMRGTRNPTEESRSTPRAQNPVNNRRSGTSDTAKDDVEKLRTYLLLLGILAATVTYQAGLNPPGGFWQDNNGHTAGDPILEAINPKRYKAFFYCNATAFVASLVIIILLQSQLITVGAMKRHILQTAMALDLFGLMGAYAAGSSRKFSTSVYVFILVLVVFTYFTLHVLLSMALKTQLKTKIEHVPNLFHRFTRFCFRRTEGGSDDGRSDSVELQNEEKDLEKRRKFLMMLAILAASITYQSGLSPPGGFWSDNDRHRAGDPVLHDEFPGRYRIFFYFNATAFMASLAVILLLVNKRLCDKGLKSYALRACVLVDLISLMGAFAAGSCRRVSTSIYVILVVAAVFAYVMIQILVLQVAEQKVDLLKKRRSGFESQQRSMTLTGPTGSTDKKRTEHKWRKDLMLIGTLAVTVTYQAGLLPPGGLWPSDQGNHYAGDPILKVTHPIRYKVFFYCNATAFMASTVMVILLLNNTISKYKRSLLAMKTAMVLDLLGLLGAYAAGSCRKFKTSAYIFALVIAVFIYIVIHVLLSFDEVALLVKEKGKKWMPCLKMWDQIETGASDQPSATQSGAPPV >ORUFI06G12260.1 pep chromosome:OR_W1943:6:9872409:9875776:-1 gene:ORUFI06G12260 transcript:ORUFI06G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWDSILRGGGGGGGRRFIKRKDSDAGEAGRALEELRGSLYNEFHTSEGAKRQQQRFCGPSVALTFNFFVAVGIIMANKMVMGAVGFNFPVALSLIHYIAAWVLMAVLKAFYLLPIAPPSKSTPFSSLFALGAVMSFSTGLANISLKHNSVGFYQMAKIAVTPTIVAAEFILFKKKVSLRKVITLAVVSCGVAVATVTDLEFNLFGACVAVAWIIPSAVNKILWSNLQQSGNWTALALMWKTTPITVFFFLVLMPLLDPPGLLSFNWNIQNSSAIMISALFGFLLQWSGALALGATSALAHVVLGQFKTIVIMLSSYLVFNSDPGFTSLCGAIIALGGMSVYTYLGLKESASGGKRAPSTSRQNSHLLKSKVIVDGEKPETRPIDSV >ORUFI06G12260.2 pep chromosome:OR_W1943:6:9872411:9875776:-1 gene:ORUFI06G12260 transcript:ORUFI06G12260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWDSILRGGGGGGGRRFIKRKDSDAGEAGRALEELRGSLYNEFHTSEGAKRQQQRFCGPSVALTFNFFVAVGIIMANKMVMGAVGFNFPVALSLIHYIAAWVLMAVLKAFYLLPIAPPSKSTPFSSLFALGAVMSFSTGLANISLKHNSVGFYQMAKIAVTPTIVAAEFILFKKKVSLRKVITLAVVSCGVAVATVTDLEFNLFGACVAVAWIIPSAVNKILWSNLQQSGNWTALALMWKTTPITVFFFLVLMPLLDPPGLLSFNWNIQNSSAIMISALFGFLLQWSGALALGATSALAHVVLGQFKTIVIMLSSYLVFNSDPGFTSLCGAIIALGGMSVYTYLGLKESASGGKRAPSTSRQNSHLLKSKVIVDGEKPETRPIDSV >ORUFI06G12270.1 pep chromosome:OR_W1943:6:9881910:9885085:1 gene:ORUFI06G12270 transcript:ORUFI06G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAKGEPNGGACKDGEVMDLPWSEMFRSASLRLPKQEEPTTTKKPQGKGKASAAEEDIGGLSLEPDARLALYIAMAHAGLATALLVVYGLYRLLADFLRPLQWALLCSIPLRETQRALVAFWEPPLRGGLGAAVLALPLAAVRSCGATLADARAALLRRPLPPSPSFPRLLRWLASSFLFLLLLDRLGTATALVLLALSLAFFAASPKPSSFLSRAASSRIAGRTPSSRCLFLTGGILRHLKTLVAVGLMLGMILGFLSGSVFFSYKIGLEGKDAVMSLKSHVENGNYSEKIGLKKWLDDNDIPGLVDQYSAKLYDTVWEQIDQLAVQYNLTDFTSGFRHFLISQSVDPSGAKGKELITSGPHPYSMKLQVIAKHVKNREWMDIYRELDSFFRELLITREDLVVKAKGLALQGAEIAKSLLSSSTSVLGGSANLMLSITLHIVSGAAEVLNFVSQLMVFLWVLYYLITVEGGGATEQVIDLLPLSKQVKDRCVEVIDHAISSVLLATAKIAIFQGCLTWLLFKLFKVHFVYTSTVFAIISALLPILPPWLSSIFAAGQLLMEGRYVLAIVVTVVHLIIMDYGTTVIQEDIPGYNGYLTGLSIIGGMALFPNALEGAILGPLIMTVVMALKNLYTEFVLADSEETSS >ORUFI06G12280.1 pep chromosome:OR_W1943:6:9887995:9896831:1 gene:ORUFI06G12280 transcript:ORUFI06G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVQVSEARNLPAIDGGGGLSDPYAKLQLGRQRGKTRVAKRTLSPTWDEEFAFRVVDLKDELVVVVVDEDRYFSDDFLGQVRVPLSAVLDADNRSLGTQWYQLLPKSKKSKIKDYGEIRLTISLSLNYPEETTTLAHCVSDDLASYSDKSTELQKGSSLPNIPIEIPTSVSGGDETEIIKEDRSNGVPSFVNRLYQFFSAKPKDAEASASAPPLTTGDGNSDILEETPSTSSELPDNQDYETGVTMSFDEQLKAFGSCHEGNEIPENLSGGVLIDQVYAVAPSDLNGLLFSPSSDFLQSLAEMQGTTGLEIQQWRLENDGEVLKRVVSYTKAPTALVKAVKATEDVSYLKADGDIYATLADVSTPDVPFGNSFRVEVLTCIMPGPELPDNEKSSRLVVSWRLNFIQSTMMKGMIENGAKQGLKDNYIQFSELLARNIRPVDSKDAAATDKVLSSVQPEQESDWKLAFRIFGNFTVVSSLVAFIYVFSHIILASPSIIQGLEFPGLDLPDSVGEVVVCGVLVLQGQRVLNMIARFIQAKRQRGSDHGVKAQGNGWLLTVALIDGTNLAATKSSGYSDPYVVFTCNGKTKTSSIKFHTLEPRWNEIFEFDAMEDPPSVMKINVYDFDGPFDEVESLGHAEVNFLKSNLSELSDIWIPLKGKLAQACQSKLHLRIILNNSRGTEVMKDYLDKMEKEVGKKIAVRSPHTNSAFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEDIQVMPATLYSMGSPSLLIILHKGRGMDARHGAKQLDNEGRLKFHFQSFVSFNVAHKTIMALWKARSLTPEQKVQLVEEESEMKDLQNNESDSFLGIEDAKMSEVFSSTKPFDVSTLMSIFEGGPLEHQVMEKIGCMEYSVSPWESVRADAYQRQIHYKFDKRLARHEGEVMSTQQKSPLPDKNGWLVEEVMTLEGIPVGEYFNLHMRYQLEQISSKPKACNVQVSIGIAWLKSCKNRKKIAQEVLSSASSRLKKMFGLLEKELLPAK >ORUFI06G12280.2 pep chromosome:OR_W1943:6:9887995:9896831:1 gene:ORUFI06G12280 transcript:ORUFI06G12280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVQVSEARNLPAIDGGGGLSDPYAKLQLGRQRGKTRVAKRTLSPTWDEEFAFRVVDLKDELVVVVVDEDRYFSDDFLGQVRVPLSAVLDADNRSLGTQWYQLLPKSKKSKIKDYGEIRLTISLSLNYPEETTTLAHCVSDDLASYSDKSTELQKGSSLPNIPIEIPTSVSGGDETEIIKEDRSNGVPSFVNRLYQFFSAKPKDAEASASAPPLTTGDGNSDILEETPSTSSELPDNQDYETGVTMSFDEQLKAFGSCHEGNEIPENLSGGVLIDQVYAVAPSDLNGLLFSPSSDFLQSLAEMQGTTGLEIQQWRLENDGEVLKRVVSYTKAPTALVKAVKATEDVSYLKADGDIYATLADVSTPDVPFGNSFRVEVLTCIMPGPELPDNEKSSRLVVSWRLNFIQSTMMKGMIENGAKQGLKDNYIQFSELLARNIRPVDSKDAAATDKVLSSVQPEQESDWKLAFRIFGNFTVVSSLVAFIYVFSHIILASPSIIQGLEFPGLDLPDSVGEVVVCGVLVLQGQRVLNMIARFIQAKRQREIFEFDAMEDPPSVMKINVYDFDGPFDEVESLGHAEVNFLKSNLSELSDIWIPLKGKLAQACQSKLHLRIILNNSRGTEVMKDYLDKMEKEVGKKIAVRSPHTNSAFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEDIQVMPATLYSMGSPSLLIILHKGRGMDARHGAKQLDNEGRLKFHFQSFVSFNVAHKTIMALWKARSLTPEQKVQLVEEESEMKDLQNNESDSFLGIEDAKMSEVFSSTKPFDVSTLMSIFEGGPLEHQVMEKIGCMEYSVSPWESVRADAYQRQIHYKFDKRLARHEGEVMSTQQKSPLPDKNGWLVEEVMTLEGIPVGEYFNLHMRYQLEQISSKPKACNVQVSIGIAWLKSCKNRKKIAQEVLSSASSRLKKMFGLLEKELLPAK >ORUFI06G12290.1 pep chromosome:OR_W1943:6:9905830:9906921:-1 gene:ORUFI06G12290 transcript:ORUFI06G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLERSPLVVPEAAAAAASSPLRRPSPRVRREVPPPPLDPILPYLQGQSWCMHLLMPVSDLVFRSINKAMDELRRGPKFEAAALDWLKICVMECIDKYGDDYQYSTDPRLLKIWILYVIDWL >ORUFI06G12300.1 pep chromosome:OR_W1943:6:9911088:9911441:1 gene:ORUFI06G12300 transcript:ORUFI06G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGDGARAAVDGGDEPKSLRLSPLRPFSLRSCLPLPWEGGVLSLARILRPSSPSMARPRSRSMTMGRRHRTSSRRGFRRGSSPPPNAGAAPQPPRTAAQPPSTAAPLSLPAPPLL >ORUFI06G12310.1 pep chromosome:OR_W1943:6:9912638:9916257:-1 gene:ORUFI06G12310 transcript:ORUFI06G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCASQPAMVRCLVENASLCQNCDWNGHSAGSSAAGHKRQTINCYSGCPSSSELSKIWTFVSDIPNVAPEPNCEQGISMMSISDSGVSNQDNAAGDSSLLDIASATLMSDLGTAGKPKSLIGSSSEAGVNLLPLATDQMAGSVDSTSAKVPYTADQDMFSKDSIYEDFCVDDVDLSFENYEELFGTSHIQTEQLFDDAGIDSYFESKEIPSGNSDEQPKLMQPVTSNAVSADSGMSIPGAKDYQDCGVSPVLLMGEPPWHPPGPEGSFAGATRDDAITRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLCETRSY >ORUFI06G12310.2 pep chromosome:OR_W1943:6:9912638:9916257:-1 gene:ORUFI06G12310 transcript:ORUFI06G12310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCASQPAMVRCLVENASLCQNCDWNGHSAGSSAAGHKRQTINCYSGCPSSSELSKIWTFVSDIPNVAPEPNCEQGISMMSISDSGVSNQDNAAGDSSLLDIASATLMSDLGTAGKPKSLIGSSSEAGVNLLPLATDQMAGSVDSTSAKVPYTADQDMFSKDSIYEDFCVDDVDLSFENYEELFGTSHIQTEQLFDDAGIDSYFESKEIPSGNSDEQPKLMQPVTSNAVSADSGMSIPGAKGDSSLCIPVRQARSSISLSFSGLTGESSAGDYQDCGVSPVLLMGEPPWHPPGPEGSFAGATRDDAITRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLCETRSY >ORUFI06G12320.1 pep chromosome:OR_W1943:6:9926910:9927101:1 gene:ORUFI06G12320 transcript:ORUFI06G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCKVDKYMYMVMVMGMERLGFEADFREWKAKILPLAREMLDEMREREEQHNNGLTMNLIII >ORUFI06G12330.1 pep chromosome:OR_W1943:6:9927618:9933629:1 gene:ORUFI06G12330 transcript:ORUFI06G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVGDIGGNWKTIMHEQSNQCYYWNTVTGETSWEIPNVLASEIAADSVTSASAPTHVDYSMEAQAHALTHNAVEAYPSDISVLNGSVAYATLGMGQPTHDAYAYAGAVTSHESMDIDPLQLARYGEELLQRLKPLERLHGSIYNVELLKREIEIRISDCNALSSYGSSLLPLWLHAEVHLKQLEFSVSKLETSYSTTEPRHPEKADTEHKTPNEAEVMMPPSNGEGLKSEVSTDVMMDGNVKNEEPFSTSSIQKSEENDTTTVPSKIESDNDEDMDVDMEVDDDNVEEHKHSNSTPIKEYPPSEQVQSPALLLLDGSAAPLEDSDIPPPPPEDEWIPPPPPENEPAPPPPPEEPAVSSVSTETIPQSYVDQANLVYTVPGMEYYAAAGTEGTHASYYMQTSEPHVVQAHQNGYYAPVSASGISIPVDATSIAPVPVSYYSYPSVTMAATGEAAEPSGYYAASVSATSSSVLDNTTSSSNLAPANSSLHSRESDNIISKEAKLASLSQPVGATSASASIQGSSAQASTSTTSQSKVVRSKKRAVSVATSLRSNKKVSSLVDKWKAAKEELRDEEDEEPESALDALERKRQKDIDEWRKQQIASGEAQENANFVPLGGDWWDGMIPKREYSLEIRVALVP >ORUFI06G12340.1 pep chromosome:OR_W1943:6:9937529:9938961:-1 gene:ORUFI06G12340 transcript:ORUFI06G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSLHLLTASRGIFSTPHLASLGWFDKIKSTFTGKKPDEATDPSANFTLLQFADSMEKARKLGTFKNFVMGRCSEATVVNAFEKHSAVLRYLGTIDPTGEKLKNSDKIGATKHCNCTIADVEHILAKYTWAKEAQKKIVKLKEEGKPLPKNFNEVKNLMGSTPLDVGRSNLEKSGQISRNAMCPCGSKKRYKK >ORUFI06G12350.1 pep chromosome:OR_W1943:6:9942611:9943108:-1 gene:ORUFI06G12350 transcript:ORUFI06G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVVSVSRVRRRSPSWFTVDGGVAEEPLLLLGAPADGDDGGDQARAALLRVEELEHLLGDVARRLSRLDAKRGRLEGQIAAASRGRRGGGAHHRRHGSAGGISESEGEGYTRKGAGAVRKILRAAAGDVKKAREWLEAVAGRLEAALVDARERLALQQMLAAGA >ORUFI06G12360.1 pep chromosome:OR_W1943:6:9944885:9947002:-1 gene:ORUFI06G12360 transcript:ORUFI06G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHVFMIRQESAPPAPPWWFLSLVFLGAAYVATVTLRLLAYLAFSLHRQPKDLRSRYGAWAVITGPTSGMGRAMALELARRGLNLVLVGRDPANLEEISNTVRSLHGVETKTVVFDLSLVATPHGDEPLRQLRETVEGLDVGVLMNNAGVGEPAMAYLHEADVEAWVRMMRVNLWAVTEVTAAVLPGMVERGRGAVVNIGSASSQAIPSFPLCTIYSATKRHAPFFVATRMVENLAEARRLSPFTVTPGAYARAAVGWIGRGGPLCTPNVRHRLLWCAAAAAPDSVLDWFLLRSHLEQRTTLSQQIRASGAPAPS >ORUFI06G12370.1 pep chromosome:OR_W1943:6:9957596:9975068:-1 gene:ORUFI06G12370 transcript:ORUFI06G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVFMIRQETAPAQWWFLSLAFVGAAYAATVTLRFVAYLALCRCHRPKDDLRRRYGEWAVVTGPTSGIGRAMALELARHGLNLVLVGRDPAILREISGTVRSLHKVKTKTVVFDLSLVWTPDGDEPLRRLREAVEGLDVGVVVNNAGVAKPGAVYLHEADVEAWVRMVRVNMSAVTEVTAVVLPGMVSRGRGAIVNIGSAGSEYIPTLPLYTMYAATKRYVAQFSRSLHAPFFVDTRLMFRFEEAAGGVSLFTVTPDAYARAAVAWIGRGGALCTPAVRHQLLRRMAAAAPDSVHDWILLRLATWNRKHIATPWWFILRAFVGAAYVGFVALRLLAYLWLCLPRMPKGDLRRRYGEWAVVTGPTSGIGRAMALELARHGLNLVLLNLVLVGRDPAILRQISDTIASLSELIVVNNAGVAEPGAVYLHEADVEAWARMVRVNVSAVTEVTAAVLPGMVARGRGGAVVNIGSAASESIPSLPLYTMYSSTKRYVAQFSRSLHVEYASKGIHVQCQILIKSGKYVHANRESNRSMYGSYAPFLVDTRLMFRFEEAAGGVSLFTVTPDAYARAAVAWIGRGGALCTPGVRHQLLRRMAAAVPDSVHDWILLHLTTWNRKRQSCALRSDQMMASHFYGMFRQDDPAPAPAWWFQSLAFLGAAYVAGVTLRLLAYVAICLGGPKDLRRYGAWAVITGPTSGIGCAMALELARRGLNLVLVGRDPARLREISGTIRSRHGRVQTKAVVFDLSLASTPDGDQPLRRLRGGGGGGGGGGARPGAVYLHEADVEEWVRMARVNVSAVTEVTAAVLPGMVERGRGGAVVNLGSAASEAIPSFPLYTMYASTKRYVAQFSRSLHVEYANKGIHVQCQTPFFVETTMLAKLEEEVGLSVSPLKVSTDTYARAAVAWIGRGGPLCTPGGLLHQLMWCITAAVPESVLDWIVLRFTTWNRGR >ORUFI06G12380.1 pep chromosome:OR_W1943:6:9975186:9982033:-1 gene:ORUFI06G12380 transcript:ORUFI06G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHVDLIRWQDEPAPAPWWFLPLAFLGAAYVAAVTLRLLAYLTLCLHQRRPNNDLRRRYGAWAVVTGPTSGIGRAMALELARQGLNLVLVDLDTTNLQEISDTIRSRHGGVETKTVVFDLSLVSTDQGDKPGGRGWARCRVNNAGVAWPGSVYLHEVEVEAWVRMMRVNLWAVTVVTAAVLPGMVARRRGAIVNIGSASSEAIPSFPLFTIYAATKRYVAQFSRGLHVEYAGKGIHVQCQAPFFVATRMVENLVEARRLSPFTATPGAYARAAVGWIGRGGPLCTPSVRHQLLWCAAAAAAPDFVLDWILLRSHLEQRTLLSADQSIEGAISVFAAQTRRCPLISGKSSASGTIRGDLAMTAYTRYSSVIVTAISTRGSGLGWIPDNLNRGHLDYFFWLLTVLNAVNFVFWRLGE >ORUFI06G12390.1 pep chromosome:OR_W1943:6:9990866:9992601:1 gene:ORUFI06G12390 transcript:ORUFI06G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGGTLRLCGSSWEEATSAGAAEQAAGLTGGGGRSSIALVGEGSAMERDEEWSECYGVNVVVEDFDNVGYGGVPGQQNSGAGFSSTKRMTSHMSAIVVVKSTVTSVALVLSLWYRTQSSCSHKNELVPGGMSERRERASTLATLLARSSLRDGGGSSDGSGGGLGDSGGRRLGRQRRRWARATLAAVGSTTAVAWGSVAADPVVGKAAAADPAMRRVAVTDPEAVGSATAVAGGSVAADPVVGKAAAADPAMRRVAVTDPEAPTLGLVIPSVVVALLRPLICDADAIISAVRATQVPDQTKLINAIKEAGGDHVRR >ORUFI06G12400.1 pep chromosome:OR_W1943:6:10001738:10002001:1 gene:ORUFI06G12400 transcript:ORUFI06G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTALPPCSLRLLLLQDSTPTTHEAARSEVAPVAPVEENDDGGVDDLGGVKHANGVREVRGYATPLAYCTRASRSIHARPYANMASA >ORUFI06G12410.1 pep chromosome:OR_W1943:6:10017606:10032007:-1 gene:ORUFI06G12410 transcript:ORUFI06G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIWLILLASLGAVHVAADVLRLVATLTFGLRARPRGDLRRRYGSWAVVTGPTSGIGRAMALELAGRGLNVVLVGRDPAKLRDVAGAIARSHSHHGVRTKTVVFDFSLVSTVQGEKAMAALRETVEGLDVGVVVNNAGVAKPGAMFLHEVEVEPLMRMIRVNMLALTKVTAAVLPGMVMRGRGAVVNIGSASAEALPSFPLYSVYAGTKAYVGEFSRGLSVEYKRKGIDVQCQVPCLVETNMISRAMKDIFLSQFVVTPEEYARAAVRSIGHGRMCVPNMAHRVQLLGMRSTPDFVLNWYRLRLHLQQRAIFRSRRIQCSSRNSLFQRGYERRHGGRRSAQTLALMVARTAWWSAWDGAVAGQAGSGRRGGRVHVGGRGGGAGRRRTEWSAAEAAIACTHTCRPPFPAATFSFRTTVDIAAFSAWAATAFSTRTTTTDALQEMGGSRKRRRGAREEQRQIQHPGGYSIQSAARVRMGGSAPAAAADAVLRQQQPPWPFVALVIVGAIHVAALAFRLASHLCLCLRRPRDLRRRYGAWAVITGPTSGIGRSVALELARRGLNLVLVGRDPAKLRDVSEAISKLGGGGVETRSVVFDLALASTAEGDEAVRRLREAVAGLDVGVVVNNAGVARPCAVYLHEAEAEAWVRMIRVNLWAVTEVTAAVLPGMVARGRGAVVNIGSGSTEAIPSFPLYSVYAATKRYVAEFSRSLYVEYKSKGIDVQCQAPLFVATNMTSGVAKAGGGGDDAAAKRSKRRQRRWLSPLFVPTADAYAAAAARWIGHGAVCMPNLCHRLQWCVSRAVPDAVHDRVRLRENLRQRALFQRLRRRPPPPDDQPKAKIDG >ORUFI06G12420.1 pep chromosome:OR_W1943:6:10035731:10039907:-1 gene:ORUFI06G12420 transcript:ORUFI06G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTAQAWFTGGPAAPAASAGESQPSLLADWNSYAASRPDASSSSPLPFDIEAAVRSANDTVSGTFSVVTKGVRELPGSFQSATSSFPSGKALMYFGLFLATGIFFVFIAFALFLPVMVIMPQKFAICFTLGCGLIIASIFALKGPASQFAHMTSMERLPFTGALIGCMVGTIYVSMFLHSYFLSVIFSVLQVLSLAYYTISYFPGGSSGLKFISSSLLSSVTSCFGR >ORUFI06G12430.1 pep chromosome:OR_W1943:6:10051049:10054669:-1 gene:ORUFI06G12430 transcript:ORUFI06G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPVGFLSKLWSFVSFLPFFLLLLLLGSIKAVLIGPIAAAIIFLGNSAVIIGLWPAHFIWTYYCVLKAERIGLVLKILAAILLPLPLLLLPVLAISGSLLGGIGYGVFIPLMATFEAVGEGVADKLTHCFLDGTVSTTAGACTVVRDATDFCFHSYFSLMDELIRKLGDNETPLDIKLSYLPHSMLAVLIAVPFDVFMISGVALWKSPCMLLKGWQRLCEDLVGREGPFLETVCVPFAGLSIILWPLAVIGAVVASFLSSFFFGMRAGLIAYQEASLQMGLAYMVSAVALFDEYTNDMLYLREGSCFPRPKYRKTDRMNNETGQNNEVRNATSPLGEKKHHHKTMKALQRSKTFMEAIQRLRPIQIWDWLFRSCELNGRILLGEGLISAEDMEECIIKGKCKKLSIKLPAWCILQCLIRSAKHDSHADDVEVTNFNWPKDKVFDWMLGPLLVIKEQMKQLELTEDEELCLRRLIMTNNNDKPSDWDDCGFPSSDNIRRARLQAIIRRLQGIVVNLSWVPSFRRRFIDLVKALYLEAVEAGAIDGSRSVKRKIEADAASAPGSKFDDKDGEGSSKGAAAVGIDAV >ORUFI06G12440.1 pep chromosome:OR_W1943:6:10055749:10058640:-1 gene:ORUFI06G12440 transcript:ORUFI06G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSPARSSPHLRSLLRARGFISSASPSAATAAEGDDGKIVASVLFERLPVVIPKIHPVVYAFQEFSFRWRQQYRRKYPDDVLGKADARGKGDYQIDYVPAPRITDADKTNDRKSLQRALDNRLYLLLYGKAYGAPDDKPVWHFPEKVYDNEDTLRLCAESALKSVLGGLNNTYFVGNAPMAHMVVDQKEDSSISSFKRFFFKSQVVGATKYDIGKCQDHVWVTKDELLEYFPEHKAFFNKMIIHIR >ORUFI06G12450.1 pep chromosome:OR_W1943:6:10060447:10066063:1 gene:ORUFI06G12450 transcript:ORUFI06G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAVEDSAAAAPVVAPESAAEGDQRVEGAAGEDSVGPAVAPEASVDSDQHIEDAATEDGKHGSTEENFDVSPEEMRSVIEIIADTGKFWHDWSFLKRLLSLQLKQVLAEYSEGQVVSQEDGQLQNSFSGETYSELVIWLNDALLRFEEGPPFTLQRLCEILLDPKGTYTKLPKLALALEKNLLVTSTMTKCTDPYPAAHVSNLEATVMTENTSAVEVEPERLPEHPAAVPNGNVGGDADAEMADAEVEEPSNSHDVEMQEDKPDQISNVNPGATSDAAVTAETVDASEKSSDPQT >ORUFI06G12450.2 pep chromosome:OR_W1943:6:10060629:10066063:1 gene:ORUFI06G12450 transcript:ORUFI06G12450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAVEDSAAAAPVVAPESAAEGDQRVEGAAGEDSVGPAVAPEASVDSDQHIEDAATEDGKHGSTEENFDVSPEEMRSVIEIIADTGKFWHDWSFLKRLLSLQLKQVLAEYSEGQVVSQEDGQLQNSFSGETYSELVIWLNDALLRFEEGPPFTLQRLCEILLDPKGTYTKLPKLALALEKNLLVTSTMTKCTDPYPAAHVSNLEATVMTENTSAVEVEPERLPEHPAAVPNGNVGGDADAEMADAEVEEPSNSHDVEMQEDKPDQISNVNPGATSDAAVTAETVDASEKSSDPQT >ORUFI06G12460.1 pep chromosome:OR_W1943:6:10070554:10079254:1 gene:ORUFI06G12460 transcript:ORUFI06G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGNPNPTPNQPFELNRLFKQHPPASASAAPNPNHHPAPAGIFTGAAAPAPHHGVVVPPPMTSGGGPYSYPPATPPFHRGPYLPPYPNDPHLAFAGNPNPPAAAAAPNPAGARLMQLLGNTAPTHLESAVSMPMPSEFSAAAAVAPPAPLPAMPSAPPARMPSSSSSKMPRGRLLGPGDRAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEQNKPQITGKIEIAIQIVGDAETYHPRICWHSHKQEILFVGVANCVLKIDTTKVGRGMDFSREEPLKCPLDKLVDGVHLVGKHDADITDLSLSQWMTTRLASASKDGMVKIWDDRKSVPLSVLKPHDGQAVYSVSFLTAPEHPQHINLITAGPLNREVKIWASTNDEGWLLPSDSETWRCTQTLELVSSLEHRFEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGPDPASTRLDYIADFTVAMPILSLTGTHESQLDTEQIVQVYCVQTMAIQQYGLELSLCLPPTADNPGFGRDPAISHVYERPPAEVTVVESSKETSLIDSSVVGPTKPASNNQALGNTFETSHPNASINYGTVKFVINISPGLFAYAEANVPSQVQSTTPPSSIDLGYLEEGALRRGPSRGPSLGDRDIDPSSLDYSSKKRMDSDGASGQGSFGRKDSFGKEEPRGSQGDGTKTSDPRPMFKVGGNATHLITPSEIISGVLSSPETIATGSSQNVEVDAKHVDGRKSEQSVELEAVKETQIVHEKRERPPKTAEQTVNTISERLVTTDKYSVEDSQSRSADGSVSTLLKHPSGAGDENTVSEAPEKTSDGYASRNLQLTLATKEEKVLHPQVSRQLSPSTSTYNSADSSHEPPSNVNPPIDNVPQVGIQETLQQLMAMHSDLQKQLSTIVSAPIAKEGKRIETSLGRNMEKSIKANIDAMWARFQEENAKHEKAERERMQHITTLITTAVNKDIPVMLEKSLKKEISSVGPAVARTTAPIIEKSLSSAVSDSLQKVLGDKVVNQLDKSLSTKLEATVARQIQTQFHTSAKQALQDALRSSFESTIIPAFEQSCKTMFEQVDGAFQKGMSEHGAAIRQQVATAHTPLAQTLRETIASASSINQGLASELLDGQRKLLALVSSGGSLSHNTSVLQPSNGPVASLPEVDAPLDPVKELSRLISERKFDEAFTMALQRSDVSIVSWLCSQVDLHELCRMNPIPLNQGVLLALFQQLACDIVNDTPRKLEWMTAVAVAISPTDPMIAVHVRPIFEQVYGVLNHQRSLPASSSSEATNIRLIMHVINSVLLTYK >ORUFI06G12470.1 pep chromosome:OR_W1943:6:10079598:10083036:-1 gene:ORUFI06G12470 transcript:ORUFI06G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVVLYVLSFIAMYWDAIFFELRTMDVIATKNNKKAIDRNPQEPTTTLIKTYKRRKRRRVQHHCHPGASSLPNELVYEILLRLPVKTLSRSKSVCRAWRATISNPSFITTHLKQQQQSAVSRHEQKPSFLITPHTLDSMIDDEEPWPTTFSNIITFYRWQETEQDDAHLVRATNLHGEFRSVYGMSHYDGLVIFPTNTRLYVFNPATGKGDVLKLPDGQKSRFQTAGLGLDLGTNTYKIVRSFDRSIDFNQRAHDAAGMEVFTIGNRDSCWRTVAEDPPYPVTADPMYFKGSLYWHICKELLQEGSPPPPQGFLRFDLQDETFGLVLHDVVSPSDETRLDLVELGGELCLAQYLGTEMVIWKSSPSPSDDISHQWDRLYTIGRRGMAGGVVLGLPVVRDLLPFILFRAPEKASRKMVKCDIRMDGQAELSAMRALLGY >ORUFI06G12480.1 pep chromosome:OR_W1943:6:10088117:10092662:-1 gene:ORUFI06G12480 transcript:ORUFI06G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYAAFSLMATATAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGTLREAEVERLNEQAWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVEYIETTPSVPMLSHARIVSFMLFLLVVDCLFLSNSLRSLIHKREASVAIFFSYMILATSTVSTFVKYIFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRIADYVRYRKITSNMNERFPDATADELNASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPILPPDNGRTAARPHGVHPGVQPGNGTPGSERAAGENISRRQAKLEAAASAASLYGRSFAYPPANNLNRYSTPPQSTSNGPQSGEASTSNQSPKGHATADPSAPTFYARGAVSSVTTTRELESSLQKAYENAIKSQIEDCAPYVYLDTDVANPAANVSAWGYFISD >ORUFI06G12480.2 pep chromosome:OR_W1943:6:10088117:10092695:-1 gene:ORUFI06G12480 transcript:ORUFI06G12480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYAAFSLMATATAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGTLREAEVERLNEQAWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVEYIETTPSVPMLSHARIVSFMLFLLVVDCLFLSNSLRSLIHKREASVAIFFSYMILATSTVSTFVKYIFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRIADYVRYRKITSNMNERFPDATADELNASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPILPPDNGRTAARPHGVHPGVQPGNGTPGSERAAGENISRRQAKLEAAASAASLYGRSFAYPPANNLNRYSTPPQSTSNGPQSGEASTSNQSPKGHATADPSAPTFYARGAVSSVTTTRELESSLQKAYENAIKSQIEDCAPYVYLDTDVANPAANVSAWGYFISD >ORUFI06G12480.3 pep chromosome:OR_W1943:6:10088083:10092695:-1 gene:ORUFI06G12480 transcript:ORUFI06G12480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYAAFSLMATATAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGTLREAEVERLNEQAWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVEYIETTPSVPMLSHARIVSFMLFLLVVDCLFLSNSLRSLIHKREASVAIFFSYMILATSTVSTFVKYIFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRIADYVRYRKITSNMNERFPDATADELNASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPILPPDNGRTAARPHGVHPGVQPGNGTPGSERAAGENISRRQAKLEAAASAASLYGRSFAYPPANNLNRYSTPPQSTSNGPQSGEASTSNQSPKGHATADPSAPTFYARGAVSSVTTTRELESSLQKAYENAIKSQIEMLQIQLQMFQHGATSSATNNENGEHTKSD >ORUFI06G12480.4 pep chromosome:OR_W1943:6:10088083:10092662:-1 gene:ORUFI06G12480 transcript:ORUFI06G12480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYAAFSLMATATAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGTLREAEVERLNEQAWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVEYIETTPSVPMLSHARIVSFMLFLLVVDCLFLSNSLRSLIHKREASVAIFFSYMILATSTVSTFVKYIFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRIADYVRYRKITSNMNERFPDATADELNASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPILPPDNGRTAARPHGVHPGVQPGNGTPGSERAAGENISRRQAKLEAAASAASLYGRSFAYPPANNLNRYSTPPQSTSNGPQSGEASTSNQSPKGHATADPSAPTFYARGAVSSVTTTRELESSLQKAYENAIKSQIEMLQIQLQMFQHGATSSATNNENGEHTKSD >ORUFI06G12490.1 pep chromosome:OR_W1943:6:10095172:10098822:-1 gene:ORUFI06G12490 transcript:ORUFI06G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAQLRGPSAAAARRWPAPSGGVLRFAPLATSTVPASIARGSLRGVPAGVVLPKPLIAMCMKAEYTSSPVDPNAVAEHTEDENQQPTTVSPTNAEIDIDQEAVPQHKGAIIHDFCLGIPFGGILFSMGLVGFLFWRSTVSLTFGVAPGLAILALGVLSLKVWRSGKSSLPFILAQAAVAAAVAWKHFQAYTTTKKLLPWAFYTALSAAMICFYSYVLLAGGNPPPKKKAAVAQ >ORUFI06G12500.1 pep chromosome:OR_W1943:6:10103870:10104413:1 gene:ORUFI06G12500 transcript:ORUFI06G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSTSGGEVSVEHAASSGDSGSQIPDLAAPPDRGEHHGDAQGHDDDRSSSITELMVSRLAGMPLDKSFYERYSSCSPGGMLPDNLLPVRFSLLRNDDSAGGGALGTCHGPASRGR >ORUFI06G12510.1 pep chromosome:OR_W1943:6:10114011:10131597:1 gene:ORUFI06G12510 transcript:ORUFI06G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKFPFHIKITHLNNTNKIAWSTYTESTVPHRRTSRTHPGVERNPCCVTAGATHQQRLPLPPPSQFPLPQVEELNSSQHPPPQSPSRSSTSSSSSSSWRRRKNPATYKYTPPPPPRRPHRRCPPLLLPPRNLSPIKPPKTYRSIAAARLGTVVVGEMHLYNEWLPPAVADAAAREPAAFSGAVGEARAAWRPDDPDSAYATLKWISVFDLFINAKSDISPEDVHALVELGLEIFHASQNKFVVQIKWGGLLVRFLKKHAKRISLGVQWRPLYDTLIRTHFKRNMGPEGWKVRQQHFETVTSLVRASRSLFPEGAAAEIWSEFSPLLKNPWHNSAFEGIGFLRLFLPANSRNQDHFTTDWIAECLDIWGSVTNCNFWDIQWAAIVARCIKGSISVDWEKFIPLLFTRYLNMFEVPISSGSGSYPFPLNVPRNTRFLFSSKTRTPSKAIAKSIVYLLKPKSLALDHFEKLVLSSIKWGSVDLLIGAEALDDKHNQFCLGKEERCGFVKVVLKFLDRGQYSKDDSLADTVSIATSILSYVEPSLVLPFVATNFQLALETTTATHQLKNAVTSVAFSGRALILSSLCSSQSDDSSTADTLNDLIVTSLSNALLGMDANDPPKTIATMQLIGSIFSNLATAGFSDDVPAFLQTSYLSEWLDEFFCRNEGYQSSIMSGTFLVEDSPYYFCMLEIVLGKLSKPLFNQSLKKIAKFVNANILPGATSEVGLLCCACVHSYPEETALYLVKPILMTIMSSFEGTPTTGYVGREVPSKIATKATLSPALETALDYYLRVLAIAISYAGPVLLNYRQEFKNIITSSFQAPSWKVNGAGDHLLRSLLGSLVSYYPIDQYKPFSCQPIANIIEPWGCSKAHQDREVEMLNFTPKWHDPSQDELSFANELLEFHFQSALEDLVSICQRKNHSETGQEKEHLKVTLLRIHSALQGVMSCLPEMRPSYKDGKSKVVEPIIFIAGSAGSTVGNSEMREKAAELVHVACRYLLKERTDDSILLALVVRVIDALVNYGSLEYEEWSSHFQAWKLESASIIEPPCNFIIPFHSQGKKRPRWALVDKAHLHNTWRSSQSSYHRYRTNADVSPSSLMVNLMNDLLDLSLHNYETVRSYSGRSLTKLLKRWPSLISNCVLTLTDNLRDSKAPEHTVLGSCNILGTQTVLRHLTTDSVSLSSFIMGILESSHHESLKCQKAITELFVKYNIRFSGISRRFFKNTECEADKPGFISLVPKINALSFESNSLHWRYNLMANRVLLLLILASRSESDIHSQILSETAGHFLRNLKSQLPHSRMLAISALNTLLQGSPDKASLQDSQQSLDRPEEGSILSTGEILNNIIREDGFMSETLNSLSHVHIISDNDGSSKASYGASSFQSGSDKAITYFYFDFSASWPRTPSWISLVGGDTFYSSFARIFKRLIQQCGMPVISSLQNALEEFLSSKERSRQCVAAEAMAGMLHSDVTGNLESGNNWLILQLQKIMLSPSVESVPEWAACIRYAVTGKERSGSRAPVLREKLLDCLCTPVPQSVATSVLAKRYSFLSVALIEISAPKMSPAEEQYHVKILDELLANMSHPSAQIREAIGVTICIACSNMRLSRLFGHGDSLDVSGDVSMTEQTGSENWSKQLTDGATELSISIQNNISKQLESTPDSVTENGLDKKEEADVKRMETIFHFIIASLKSGRSSVLLDVIIGLIYPVLSLQVREHAAGVLASLMKGIDKDLSKDFRDRSYAQAQRILHTRQRGAKSGHSVATIHGAVLALTASVLSVPYDMPSWLPSHVTLLARFIREPSPIKSTVTKAVAEFKRTHADTWSIQKEAFTEDELEVLRDTSSSSSYFA >ORUFI06G12510.2 pep chromosome:OR_W1943:6:10114011:10131597:1 gene:ORUFI06G12510 transcript:ORUFI06G12510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKFPFHIKITHLNNTNKIAWSTYTESTVPHRRTSRTHPGVERNPCCVTAGATHQQRLPLPPPSQFPLPQVEELNSSQHPPPQSPSRSSTSSSSSSSWRRRKNPATYKYTPPPPPRRPHRRCPPLLLPPRNLSPIKPPKTYRSIAAARLGTVVVGEMHLYNEWLPPAVADAAAREPAAFSGAVGEARAAWRPDDPDSAYATLKWISVFDLFINAKSDISPEDVHALVELGLEIFHASQNKFVVQIKWGGLLVRFLKKHAKRISLGVQWRPLYDTLIRTHFKRNMGPEGWKVRQQHFETVTSLVRASRSLFPEGAAAEIWSEFSPLLKNPWHNSAFEGIGFLRLFLPANSRNQDHFTTDWIAECLDIWGSVTNCNFWDIQWAAIVARCIKGSISVDWEKFIPLLFTRYLNMFEVPISSGSGSYPFPLNVPRNTRFLFSSKTRTPSKAIAKSIVLSSIKWGSVDLLIGAEALDDKHNQFCLGKEERCGFVKVVLKFLDRGQYSKDDSLADTVSIATSILSYVEPSLVLPFVATNFQLALETTTATHQLKNAVTSVAFSGRALILSSLCSSQSDDSSTADTLNDLIVTSLSNALLGMDANDPPKTIATMQLIGSIFSNLATAGFSDDVPAFLQTSYLSEWLDEFFCRNEGYQSSIMSGTFLVEDSPYYFCMLEIVLGKLSKPLFNQSLKKIAKFVNANILPGATSEVGLLCCACVHSYPEETALYLVKPILMTIMSSFEGTPTTGYVGREVPSKIATKATLSPALETALDYYLRVLAIAISYAGPVLLNYRQEFKNIITSSFQAPSWKVNGAGDHLLRSLLGSLVSYYPIDQYKPFSCQPIANIIEPWGCSKAHQDREVEMLNFTPKWHDPSQDELSFANELLEFHFQSALEDLVSICQRKNHSETGQEKEHLKVTLLRIHSALQGVMSCLPEMRPSYKDGKSKVVEPIIFIAGSAGSTVGNSEMREKAAELVHVACRYLLKERTDDSILLALVVRVIDALVNYGSLEYEEWSSHFQAWKLESASIIEPPCNFIIPFHSQGKKRPRWALVDKAHLHNTWRSSQSSYHRYRTNADVSPSSLMVNLMNDLLDLSLHNYETVRSYSGRSLTKLLKRWPSLISNCVLTLTDNLRDSKAPEHTVLGSCNILGTQTVLRHLTTDSVSLSSFIMGILESSHHESLKCQKAITELFVKYNIRFSGISRRFFKNTECEADKPGFISLVPKINALSFESNSLHWRYNLMANRVLLLLILASRSESDIHSQILSETAGHFLRNLKSQLPHSRMLAISALNTLLQGSPDKASLQDSQQSLDRPEEGSILSTGEILNNIIREDGFMSETLNSLSHVHIISDNDGSSKASYGASSFQSGSDKAITYFYFDFSASWPRTPSWISLVGGDTFYSSFARIFKRLIQQCGMPVISSLQNALEEFLSSKERSRQCVAAEAMAGMLHSDVTGNLESGNNWLILQLQKIMLSPSVESVPEWAACIRYAVTGKERSGSRAPVLREKLLDCLCTPVPQSVATSVLAKRYSFLSVALIEISAPKMSPAEEQYHVKILDELLANMSHPSAQIREAIGVTICIACSNMRLSRLFGHGDSLDVSGDVSMTEQTGSENWSKQLTDGATELSISIQNNISKQLESTPDSVTENGLDKKEEADVKRMETIFHFIIASLKSGRSSVLLDVIIGLIYPVLSLQVREHAAGVLASLMKGIDKDLSKDFRDRSYAQAQRILHTRQRGAKSGHSVATIHGAVLALTASVLSVPYDMPSWLPSHVTLLARFIREPSPIKSTVTKAVAEFKRTHADTWSIQKEAFTEDELEVLRDTSSSSSYFA >ORUFI06G12520.1 pep chromosome:OR_W1943:6:10133225:10142688:-1 gene:ORUFI06G12520 transcript:ORUFI06G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLSLLSFILVMVVGVGEGRKLLSAKKMTMMVPDRTADVMAYWKTVHPNSPIPSAILNLLTQPSGNQKKNLLLTSGSGAKGADEKSSILKLNPKLDNQAKKKFSPYNYNNPADGYDRVYYDGDSDKHMLFEYEALKIKMKNLDMYWYSGTNQINKKPELDLANKKLSRHNNNNPSHGHDHILLDKMKLLRYIYSNPADRHVRVDYDGHNDNHMVFNTESMKLKKEFSYLYQFSAVKGIDQKPELNLVKKKSSRYVYGNPANDHLVHYDGRNDKYMVLNHKAKKLKKKNSDLYQHSEANGIDKESKLNMAKKKLSRYIYGNLADGHHHVCLVTKKFPRYIYDNPAVSRHVYYDGHNDKYMVLNYEAMKLKKKTSDLYQHSEVNGIDKKPKLKLAKNKFSRSIYGNLAHGHDHVHLAKKKFSYYYTFGNPEDGHEHANHHGGYDNHIVFNKQAVKLRKGNSNWYYYSGLKEINKRHKPDLVNKKFARYIFSNPENERSFL >ORUFI06G12530.1 pep chromosome:OR_W1943:6:10137777:10138019:1 gene:ORUFI06G12530 transcript:ORUFI06G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGAEAGRAATARWVQRPVRRCGRPLASWPGDGEEEAVWRLRAIWRPGRRGGAGCGLGWRRRWRQLRPLARADPAAAA >ORUFI06G12540.1 pep chromosome:OR_W1943:6:10158801:10167615:1 gene:ORUFI06G12540 transcript:ORUFI06G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAQLAAAGGAARAAGHGLVAAAPVRRGRSRAEEEFDPGVGTGHGRPRMARRCQRLGGACFWGARWLPSEEEEEASWRDEPLMVAPDRLDALGPYMTGGTAGNDAPRIDPPAKDVSLLPRCSALVAVAEKEIGGSSGANMAVAVTKPSPTAMEYWQKKFPETPMPPAILDLLTPLPTVNMPINFLYQAEYKNNNAIELYYIDFIVCPLAVWNIVCKERMNWATLAAEGLKEVSVSYGSEGKEEPKKAFPMGRYMLDKEREMTSCIDKEDLREVSVSYDSNVKLSNLFPTAGLKEVSMTYGSDGEEEPRKTFSQVGYMLDKERKKSSDVDEDGLKEVSVSYGSNGEEETSKTFAMGGFMADKECEMSLQGEKATEGLKEVSVSYGSEGKEEPRKAFPMGQYMLDKEREMTSHTDKDGLREVSVSYGSKGEVVTRKAFPMERYVLDKEPKRNLHRNKDELREVSVLYGSKGKLKNLFPTGYGHKKHKHANEADLKEVSVSYGSNDEEKPRKAFLRGGLFLGNEYEKSVHIDKEDLKEVSVSYGSNVKLSNLFPTGYAHQKYILTSGAGLKEVSVTYGSDGEEEPRKTFSKVGYMLDKECKKSSDVDEGGLKEVSVSYGSNGEEETSKTTPMGGYMVDMKNEKSLQAEKDGLKEVSVSYGSNGEEDTSKTFAMGGYMVDNEREMSLQGQKVALGLKEVSVSYGSNDEEETRKIIPMKGYMEDREHEKSLQAEKGMDHGRHVHAHGNKMQQLADVFFFRDALRPGSVITPTIPPTTSLPAFLPRHVADAIPFSADRFADVLAMFAPASLAMAREIRWALDTCGQRAAALLPGEKAGCATSLESLADLAASLLGTRDVRAFSAADLPTDAATTPARRGRYNVTSVRELSAMAGSGSSSSSEPAPAAVVACHDLTYPYAVFYCHSTKPTAAYAVTLVAATTGDGDGEGEAASPAKMEALAVCHLDTSRWRADNPFFVAHGVKPGEVSVCHFLTKLSIVWVPRHEQGGPRAAA >ORUFI06G12550.1 pep chromosome:OR_W1943:6:10168673:10168897:1 gene:ORUFI06G12550 transcript:ORUFI06G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRWWRLTWDDGEDGAPAATGFVEEVVGVRCSLGKVEKDRVQNFAETGSRISENRVYRWAPKKTVLAKNFGPF >ORUFI06G12560.1 pep chromosome:OR_W1943:6:10168945:10169440:1 gene:ORUFI06G12560 transcript:ORUFI06G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPFLLSSSLLHTVTHPGGSASAAAAVARPWHHGEAGGGSGGGRDGEEGRASASAVIREGRASPRGRGRGRRPRRRPGGAPRRPKMGPGRR >ORUFI06G12570.1 pep chromosome:OR_W1943:6:10169236:10169496:-1 gene:ORUFI06G12570 transcript:ORUFI06G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGSRRRALPPPARPHRSRLATASPGPSLAAEELRRAAAGVSVLDLAHVATPSPPLSPPTPTPSLLPRRGPRHCRRCQPSPWTC >ORUFI06G12580.1 pep chromosome:OR_W1943:6:10169758:10171329:-1 gene:ORUFI06G12580 transcript:ORUFI06G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGRRGRAVPLSKSFSRRLRHGRTGFGGSGHARGMMQLHVASAPSRCRRCWPTGGEETDGARWERRSGGRRYGGSDDGDDAGAGEQGGGGGGEMSMVRYWRRSSVGAGSGSTAVDGGHGIASRPNAGASAGIARPRAISPTAVMNCNAPRAEGQCGGDGVTDGCHRGGSGEAAEEGEIEDDGAVSAGVAQQSMEMPMRTFLNWYRCADYTAYVFNTRPLACQPCQMPQVYYMRQSRLDRRRNTTVTEYERHRVAPVNCGWRIPDLATLLDRVIVLKKPDPDLWKRVIKHTP >ORUFI06G12590.1 pep chromosome:OR_W1943:6:10181871:10182275:1 gene:ORUFI06G12590 transcript:ORUFI06G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPVSLAIADEIRWVLEKCYSNPWLPLTGEKNRNTAGCATSMEDLVKLPSSLLGTRHVQAFSANMPVEAAAVSEEPRRRYAITALHRIVPTGSSGDGESSEMVTCHDMTYPYVVFYCHMAGPATRAYMVVLVS >ORUFI06G12600.1 pep chromosome:OR_W1943:6:10246501:10290969:1 gene:ORUFI06G12600 transcript:ORUFI06G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVVDVGAPAVTHTMATTTDKLPYSICVLLESAIRNCDDLQVTKNDVETIINWEKTSPKLAEIPFKPARCVLMDNTGVPAIVDLAAMRDVMAKLGCDPYQINPLIPVDVVIDHAVRVDVVRSHDALDKNMELEFDRNKERFGFLKWASTAFHKMQVFPPGSGIVHQVNLEYLARVVFNADGIMYPDSVVGTDSHTTMINSLGVAGWGVGGIEAIVAMLGQLSGMLRDGVTATDLVLTITQMLRKHGVVGKFVEFYGVGVGELSLPARATIANMSPEYGASMGFFPVDHPHTERVYSSYLELNLIDVEPCISGPKRPHDRVPLKEMKSDWHACLDSRVGFKGFAVPRECQDKVVKFDFQGQPAEIKHGSVVLAAICSSTNTSNPSVIVGAGLVAKKACELGLEVKPWVKTSFTHGSAVTREYLKHSHLQDYLNQQGFHLAAFGCATCVGNSGDLDESVSAAITENDIVSVAVLSANRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGHGKDGNEVYLRDIWPTNEEIEQVVKSSVLPHMFTQTYESIKRCNRRWNELRVPGEAAALYPWDPSSTYIRKPPYLEGMAMSPPSRPRSVRDAYCLLNLGDSVTTDHISYSGSITPGSAAAEYLRAAGVADRERLGSYGGRRGNDEVVVRGAFANARIVNKLMNGKVGPKTVHVPTGEELCVFDAAIKYKSEGHNMVIVIAGAEYGSGSSRDSAAKGPMLLTTVV >ORUFI06G12610.1 pep chromosome:OR_W1943:6:10290631:10292426:-1 gene:ORUFI06G12610 transcript:ORUFI06G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAVVKLTDPRKYFVQHAARLPYTAAWSSDNVKVIMKASNEPMMIERRQSSHWSRTLLPTCKIHLLKLLATAV >ORUFI06G12620.1 pep chromosome:OR_W1943:6:10293964:10306151:1 gene:ORUFI06G12620 transcript:ORUFI06G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRALGLDFRGSKAAPLAPLSRRPSPSPAIRRSSAVSQRRRTARRHPAPSRGSSLPGWSPRARRTHSSSAPRAVTPTMGGEGAERRSKKKEKGKRQEEKAESGSRGDGEAVKLDLATDFPSNSMGKVAMDVEEAKEVHRRKKRKEKEKDHGKEKKNKVIADNIEEACAEAEQAEASGLKVEQHCSEHVQGDMSKCGSEEDKSIKKDNKLMKKKKKKERKVEMAEEGQILAGSTDENAGLLHAEMGRGEKEQGKKSKKSKRKHEDGEPVADGSAGDEIMTNRDKKRRRKEHSVELKEGDQVNISKKAVKIKGNKKRKNESDKFNPDLSTDALTGEDKVGGDGKNDKRKKKNDTSTRRNEVGRDDKNDKKKKKSKERNGGRKGEKEKAAQSKDKVRRVSFSDAVEVFSINDGEDEDNGKSAESEVVHGKRFTPEENATLMEAIMSYIEMKQLGENGLEMIRACSKHPELKGCWAEIGKSLPHRPLTAIYKRARILLYRSDERKWTPEEYEKIRRHVEKNGTSWISLAQELGKSEIHLKDTWRRIKPKNLKSVARFCISIKWKDSLQSQWTQDEYQNLFDLVNLDLRVKAHQEYDAGNRKLRDNIAWEAISDKLTTRNHKNCCLKWYYQLASPLVQKGIWADTDDYRLVEALQNVDAVCVEDIDWDNLLDHRSGEVCRQRWNEMVRYLGGHKEKPFIEQVEVLSKRYCPEMVDYREGEA >ORUFI06G12620.2 pep chromosome:OR_W1943:6:10302827:10306151:1 gene:ORUFI06G12620 transcript:ORUFI06G12620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNLVFLFFLLLSPPILSDGVLQVMGTSMNRRSLLQAKGGCPVSFENQNYTTITSKCKSPWPADLCCPALNEFACNFSQYINDESTNCAESMWVYLNAHGSYPAGLFSNECAVLDCNGNNSTISTNQTANGSGTRGAKGISEMYSLVTTLIVSGLPVLLFY >ORUFI06G12630.1 pep chromosome:OR_W1943:6:10310669:10310938:-1 gene:ORUFI06G12630 transcript:ORUFI06G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGIHSREGDLRSAWDARDGTGWSKTTLVMFRLVLAGEIRKVETAREGGKFPRLDGCWGLEPPGSGAVEKNSEALLSWGCRRSYAKH >ORUFI06G12640.1 pep chromosome:OR_W1943:6:10315243:10315969:-1 gene:ORUFI06G12640 transcript:ORUFI06G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPATMAVVLCATEADLTSMKLFVHGLGWGAEADDLHFAFSLFGELEDCCVISNKQSDV >ORUFI06G12650.1 pep chromosome:OR_W1943:6:10317713:10319011:1 gene:ORUFI06G12650 transcript:ORUFI06G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCSWLCSLVILQAVCSACWQVAGANSLRLAVGQIGGVFVYLQDPSLMSRRARDELVDGSCSGDEDLADHGAWPQPGGGAGGDHGGVPRGGEAGEAEADVDVDGDGGSAGDVECQVCHKRFKNDKSMFGHLRSHPNRGYKGATPPLKMSSTPSSSSPVIPAGSPPPQPPPSSSSSLRPVGDSNSSMPTPGISLTTYEKLAACVMLTLRRRYDRDQRQLQAPPKLERAGAGDQLATNMVEGAEGSSRAIVRDEHEARRRKKGKRKLKEPREEERKVKKEKKRHPYMCKHCNEEFSTHQALGGHMAGHHKEKRILLKEKQRERSLVLEKEPERSHHLMEEKHPERGLILEKKQLERSSIVLKEKQPDKNLILEEEQPEVVYQDKIDQTMNWQKTERNEGVSYLGGGSNTAPIAQEDSRPPFGFDLNVEAPEQE >ORUFI06G12660.1 pep chromosome:OR_W1943:6:10319068:10323830:-1 gene:ORUFI06G12660 transcript:ORUFI06G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSEAGRRPPLLAMLFGSVSSIALSPVASRRQRRSPAAAARQGRGEEDHGGDATGRGGGAARAWQDAARRTTAVTRQDAARRSTAAAARQGAVRRSTAGCGEDVHGGAAIAGCGAGALDAGEERSVSAGEEGEERPAATVAEANMPTI >ORUFI06G12670.1 pep chromosome:OR_W1943:6:10324134:10328148:1 gene:ORUFI06G12670 transcript:ORUFI06G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPCLLRRRPLRSAAAAALVHPSGSWMRPRGAGSSPRPPGASPLFEPPRVVSERWMDGRGWWFRFRQPVRHGSTAVTLDTGGGFARFSVGGDAGTKQGAGRKGQPPAKAAKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRSPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCRPGQVYEYAEELTRLSKGTVIDIKPNNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNRGETLETISSEETNVDDLTSSSDTE >ORUFI06G12670.2 pep chromosome:OR_W1943:6:10324134:10329739:1 gene:ORUFI06G12670 transcript:ORUFI06G12670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPCLLRRRPLRSAAAAALVHPSGSWMRPRGAGSSPRPPGASPLFEPPRVVSERWMDGRGWWFRFRQPVRHGSTAVTLDTGGGFARFSVGGDAGTKQGAGRKGQPPAKAAKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRSPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCRPGQVYEYAEELTRLSKGTVIDIKPNNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNRGETLETISSEETNVDDLTSSSDTE >ORUFI06G12670.3 pep chromosome:OR_W1943:6:10324134:10329739:1 gene:ORUFI06G12670 transcript:ORUFI06G12670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPCLLRRRPLRSAAAAALVHPSGSWMRPRGAGSSPRPPGASPLFEPPRVVSERWMDGRGWWFRFRQPVRHGSTAVTLDTGGGFARFSVGGDAGTKQGAGRKGQPPAKAAKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRSPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCRPGQVYEYAEELTRLSKGTVIDIKPNNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNRGETLETISSEETNVDDLTSSSDTE >ORUFI06G12680.1 pep chromosome:OR_W1943:6:10328435:10335241:-1 gene:ORUFI06G12680 transcript:ORUFI06G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLPLLLACSLLFTIATPIRDITDVCASQISDFQHLNSSGLHLTLHHPQSPCSPAPLPSDLPFSAVVTHDDARIAHLASRLANNHPTSPSSSSLLHGHRKKKAGGVGGSQASSSSVPLTPGASVAVGNYVTRLGLGTPATSYVMVVDTGSSLTWLQCSPCSVSCHRQAGPVFDPRASGTYAAVQCSSSECGELQAATLNPSACSVSNVCIYQASYGDSSYSVGYLSKDTVSFGSGSFPGFYYGCGQDNEGLFGRSAGLIGLAKNKLSLLYQLAPSLGYAFSYCLPTSSAAAGYLSIGSYNPGQYSYTPMASSSLDASLYFVTLSGISVAGAPLAVPPSEYRSLPTIIDSGTVITRLPPNVYTALSRAVAAAMASAAPRAPTYSILDTCFRGSAAGLRVPRVDMAFAGGATLALSPGNVLIDVDDSTTCLAFAPTGGTAIIGNTQQQTFSVVYDVAQSRIGFAAGGCS >ORUFI06G12690.1 pep chromosome:OR_W1943:6:10340605:10346984:1 gene:ORUFI06G12690 transcript:ORUFI06G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLVFAGKAVATPVISYILNKAFTYLVNYWRTEDMESVKAELLKMLPHVQAVFDAVDWDNIKEQSAALDAWLWQLRDAVEEAEDSLDELAYHRLKEEVKARDEQETSGSVSKLKGKLIRKLTKHVPKNGMLKRLKESVEGLHKAIAGVKDFMGFVNKVGVVNHFMDYELKMKGKQFETSSRSTAIEVFGLEKEKDIMIKWLTEPTGNDPADTNLRIFTIVGHGGFGKTTLAQLIYNEKKVQICFDICIWVSVSSHFDAPSITKSIIEAVSKKTPPANTLEALHAILEDRLISKRFLLILDNVWNDNDMNEWEKLLAPLRIGGTGSIILLTTRMKSVGDMAGYALGLKVQHLKLDGLLEKDILMLFNKHAFRGLSLDCCKNLHPLGEQIVKKISGCPLAAKVIGAHLRDNISYMYWNKILQEDLQNLQLGMDGVMKVLRLSYHHLPANLQLCFRYCSIFPQGYRFGKKELVEMWLGSGMILQTTDETKTLEDIGGQCLDQLTRKSFFEFTSKERDGVVLEEHYAMHDVLHDLAQVVSSGECLRIGGIRSMKIAKTVRHLSVKIVDSAHLKELFHLNNLRSLVIEFVGDDPSMNYSITFDEILKSFRSLRLLCVTAKCWFDMPGAVSKLIHLRYISLLSTKRSFLVSMHKLFTLYHLETLKIMEYSEGKMLKLNGLSNLVCLRNLHVPYDTISSIPRIGKLTCLEYLNAFSVQKRIGHTVCELKNLSQLHHLRLRDIQNVGSCKEVLDANLKDKKHMRTFSLHWSSHEVIAENVSDLVLDYLQPHSDLEELDIIGFSGTRLPFWITDSYLVNIVSLNIINCCKIEHVPSLASLCSLKNLFLQDLSLLASMGCMLHECDKIPVGCSHSFQECPSSIDMSEGMVDVESEGVSFPPHLSTLTIRGCPQLMKLPTLPSMLKQLKIEKSGLMLLPKMYQKHNDTEGSFPCPNESQLTNVLIEYCPNLNSLLHCFLGQNVTLTSLRELRINQCEKLEYLPLNGLMELVNLQILEVSDCSMLKKSGMEVKLLPSSLEQLSIKSCGELANILIDLLAGLEALTFLELANCSHLISLPTVKTFETLTALKELRLYGCPELSSLGGLQCLKSLRLLIIRGCCSLTKISSLPPPLQCWSSQDDSTENSLKLGTLFIDDHSLLFVEPLRSVRFTRRLSLLDDPIMTSLPEQWLLQNRTTLSILWLWNVKSLQCLPSSMKDLCHLQSFTLFNAPLVNSLPDMPASLKDLIIDCCQIALAERCRKGGCDWSKIAHSRLVLRNLSIWNTKALQCLPSNLANLCHLQSFTLINAPIVSAIPDLPASLSNLIVQNCHTILADRCRKGAHDWTAVILPGLVHIIAAPKYLQMIHGQAASGTGAHSGLFSYLLPEDDGTVRFMNQSTRQFMTIRCISIRSKAAFLDPGISSPSWEIGDIFIPHLIKNITRRDYVRPIPRPNQPGNFVTMS >ORUFI06G12700.1 pep chromosome:OR_W1943:6:10344991:10347966:-1 gene:ORUFI06G12700 transcript:ORUFI06G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEDPAAVVVAAAAALLHIPLLSPQPPCSTSRRPHRHRHHRSRPSAAPSACRWWGIKMSPISQDGDDIPGSRNAALDLIDIQRIVMNCRVDWFMKRTVPSSSGRRYSEQVTGYPYTDQIALKIVEEKSTRTIDWLAIRTLTVTAEIWCSQGVLPLAWLPWSIHPQEKERKMKLEALTS >ORUFI06G12710.1 pep chromosome:OR_W1943:6:10350523:10351376:1 gene:ORUFI06G12710 transcript:ORUFI06G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQIDRVLRQCRDDCIRGPLLMCTPLDKPSLSLNLRSSDCKPANQDCKFNLCSTLTVNPSWLLLPRDKEEELPQIQPTNDSIRRLWRRTCCLGNSMERVEEEETICAISTPLPPLHVASAPPPPSQAAFVPLSPPRASAPPSRPRVASATPSSLRATSEPPPPPRAASEPPPSRRPDPRCRRPSCIRIASGRIRVAITVTSCIRVTSAVAGRIRVTAAVPNFHTTPTRRKREEPDLRRLARLLNYTR >ORUFI06G12720.1 pep chromosome:OR_W1943:6:10355815:10367242:1 gene:ORUFI06G12720 transcript:ORUFI06G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAEDEAIGPDVASAGLHVSERIGRDAAAQPDLEEALEASRYASHPYSSHPKEWPPLVEVAETRQLPPMLVERYNAAAGEGTALCGIFSEVHRAWATVDNSFFIWRFDKWDGQCQEHNADEQVICAVGLARAKPGVFVAAIQYLLVLATPVELILVGVCCSASGDGTDPYAELSLQPLPEYIISTDGVTMTCITCTDKGQIFLAGRDGHIYELQYTTGSGWRKRCRKVCLTTGLGSLLSRWVLPNAFKFSAVDPIVDMVIDEERNTIYARTEGMKMQLFDLGATGDGPLRKITEEKNLVDPRDAPYGSRRPNAQRAARSPKPSIVCIAPLSAMESKWLHAVAVLSDGKRLFLSTSGGSSSVGLSTGLQRPSCLKIVATRPSPPLGVGGGLTFGAVSAAGRAQPEDLALKVESAFYSAGALIMSDSSATAMSSLLAVQKDSAAQLSLPSTFGTASRSSRALRETVSALPVEGRMLCASDVFPLPDAAFIMQSLYADVECFASFGKPSEKSSIKLWAKGDLPTQHILPRRRIVIFNTMGLMEVVFNRPVDILRKLFDGNTLRSQLEEFFSRFGAGEAAAMCLMLAAKLLYAEDSLISNAVSEKAAEAFEDPGLVGMPQIDGSTALSNTRTQAGGFSMGQVVQEAQPIFSGAYEGLCLCSSRLLYPIWELPIMVVRGLVGSNDRGDGVVVCRLSTGAMKVLESKIRSLETFLRSRRNKRRGLYGYVAGLGDSGSILYKAGPIIGSGGHSSGKSPYSSRIRDADPTDQSASNKKQRLPYTSAELAAMEVRAIECLRRLLRRSGEALFLLQLICQHNVARLVQTLGNDLRKKLVQLTFHQLVCSEDGDQLAMRLISALMEYYIGPEGRGTVDEISTKLREGCPSYFNESDYKYYLAVECLERASMTNNHDEKDILARDAFNLLTKIPDSADLSAICKRFENLRFYEAVVRLPLQKAQALDSNADVINGQIDARHHDTITAQREQCYKIVMNALRTLKGVGQSGTQGADKSSASVTALDPASRGKYIKQIIQLSVQWPDTVFHEHLYRTLIELGLENELLEYGGSDLVAFLQSAGRKHHEEDRLSSGEELVGDEDVARALLGACKGLPEPVLAVYDQLLSNGAIVPSLNLKLRLLRSVLAILREWGMTVIAHKLGTTTAGASFFLDGTFSLNQTWSLNQGVRDKISSLANRYMAEVRRLSLPKNQTENVYRGFQELEEKLLTN >ORUFI06G12730.1 pep chromosome:OR_W1943:6:10373111:10374418:1 gene:ORUFI06G12730 transcript:ORUFI06G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVHRRGIRSAFGGARSDENRGQPTADEVFDRDAVRLRSLFAVPRQLGGVEAGGGAPTPAPAAAAGGGVTVTPMVAPISVAPGALEYRVLAGYGAPAQRFPVAFDTNFGVSVLRCKPCVGGAPCDPAFEPSRSSSFAAIPCGSPECAVECTGASCPFTIQFGNVTVANGTLVRDTLTLPPSATFAGFTFGCIEVGADADTFDGAVGLIDLSRSSHSLASRVISNGATTSAAAFSYCLPSSSATSSRGFLSIGASRPEYSGGDIKYAPMSSNPNHPNSYFVDLVGISVGGEDLPVPPAVFAAHGTLLEAATEFTFLAPAAYAALRDAFRKDMAPYPAAPPFRVLDTCYNLTGLASLAVPAVALRFAGGTELELDVRQMMYFADPSSVFSSVACLAFAAAPLPAFPVSVIGTLAQRSTEVVYDLRGGRVGFIPGRC >ORUFI06G12740.1 pep chromosome:OR_W1943:6:10375868:10377995:1 gene:ORUFI06G12740 transcript:ORUFI06G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIASSIFLCLLLLLLPHLGDSYHTSRYTRGSTHFVVRRSDDLPRASAPPLPVLHRLNPCSPLNAGGKQSTTSSVDVSHRAGRRLRSLFAAVQSGDDAAPAPAPAAASGGVTIPTTGTPEPGAPGFHDYTVVVGYGTPAQQLAMAFDTGLGISLARCAACRPGAPCDGLASFDPSRSSTFAPVPCGSPDCRSGCSSGSTPSCPLTSFPFLSGAVAQDVLTLTPSASVDDFTFGCVEGSSGEPLGAAGLLDLSRDSRSVASRLAADAGGTFSYCLPLSTTSSHGFLAIGEADVPHNRTARVTAVAPLVYDPVFPNHYVIDLAGVSLGGRDIPIPPHAATASAAMVLDTALPYTYMKPSMYAPLRDAFRRAMARYPRAPAMGDLDTCYNFTGVRHEVLIPLVHLTFRGIGGGGGGGHVLGLGADQMFYMSEPGNFFSVTCLAFAALPSDGDAEAPLAMVMGTLAQSSMEVVHDVPGGKIGFIPGSC >ORUFI06G12750.1 pep chromosome:OR_W1943:6:10380949:10381334:-1 gene:ORUFI06G12750 transcript:ORUFI06G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding QEGLSYSKSQKVPHRVEEEPVEIWQTVQNLIIGVSHLPIKEENQLLECTLTLVHAHDTKQQNSLAVNQELNGINELEVEKKNST >ORUFI06G12760.1 pep chromosome:OR_W1943:6:10386179:10389544:-1 gene:ORUFI06G12760 transcript:ORUFI06G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDARPGQAAAAEPMEGEAEGAAAAARTMEGEAGYAAANADPMEDEAADEAGAVEPMEDDPPTSSPTRSAPSATVAVDDSTIARKRRRRKKQFPGMIPTAGVHVLRAAASAPSAAHLNGVPHRRGRPPTSSLRLARELDTEALIALDGNFHLPFNRASVPALASSPWAAPLPPRRHILACHDLRGGYRDNAAPQGGDDPGAYALWHWHLIDVFVYFSHYLCHAPAAVLGQRRPPPRRQVLASIEWIGIGSCIRSIDVCFCLATVLGAFITEWDKVAEICKEMLATEASAQMYAERLTELAAAQAAVGRRRRRGEEPRAGGRRRAAEAGRAGDGQRGRSWAAVHELLAGRTLDRLRHVRREEVARLVGSLSRSAADGEHVDVDAALMGLTSDIVSHMVMGRRWTGDDNDTKEMRSVVAETAELTSTFNLQGRRWTGAR >ORUFI06G12770.1 pep chromosome:OR_W1943:6:10393177:10397165:1 gene:ORUFI06G12770 transcript:ORUFI06G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHLPLVVCLLVLISCLLSGGVLAGSRRRYLTASLDELRGYNGHQVHSPPLTSPAATSGRKLSIRNSAAEKPAARDIHVRDRARLRTILQRSSSASAAASLAPYASPPTAMPPIPAVSVAPAPAPAVTIPDRSGTYLDTLEFVVAVGLGTPAQPSALIFDTGSDLSWVQCQPCGSSGHCHPQQDPLFDPSKSSTYAAVHCGEPQCAAAGDLCSEDNTTCLYLVRYGDGSSTTGVLSRDTLALTSSRALTGFPFGCGTRNLGDFGRVDGLLGLGRGELSLPSQAAASFGAVFSYCLPSSNSTTGYLTIGATPATDTGAAQYTAMLRKPQFPSFYFVELVSIDIGGYVLPVPPAVFTRGGTLLDSGTVLTYLPAQAYALLRDRFRLTMERYTPAPPNDVLDACYDFAGESEVVVPAVSFRFGDGAVFELDFFGVMIFLDENVGCLAFAAMDTGGLPLSIIGNTQQRSAEVIYDVAAEKIGFVPASC >ORUFI06G12790.1 pep chromosome:OR_W1943:6:10403753:10405282:1 gene:ORUFI06G12790 transcript:ORUFI06G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVALLLLCVFVSVVGVVRAFAAQGIDALPTIAYEPAGAAKKDSVGGACIVRGVSHREEEDEKIEERDRDSKGQLQTCWIPVASLSTICNSHVALHHSFSA >ORUFI06G12800.1 pep chromosome:OR_W1943:6:10409508:10412277:1 gene:ORUFI06G12800 transcript:ORUFI06G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYHLLLCILLCSSYYSIVLAVNLNNFAVVPARSFEPEAACSTSSASSDPNRASVPLVHRHGPCAPSAASGGKPSLAERLRRDRARANYIVTKATGGRTAATALSDAAGGGTSIPTFLGDSVDSLEYVVTLGIGTPAVQQTVLIDTGSDLSWVQCKPCGAGECYAQKDPLFDPSSSSSYASVPCDSDACRKLAAGAYGHGCTGVSGGAAALCEYGIEYGNRATTTGVYSTETLTLKPGVVVADFGFGCGDHQHGPYEKFDGLLGLGGAPESLVSQTSSQFGGPFSYCLPPTSGGAGFLTLGAPPNSSSSTAASGLSFTPMRRLPSVPTFYIVTLTGISVGGAPLAIPPSAFSSGMVIDSGTVITGLPATAYAALRSAFRSAMSEYRLLPPSNGGVLDTCYDFTGHANVTVPTISLTFSGGATIDLAAPAGVLVDGCLAFAGAGTDNAIGIIGNVNQRTFEVLYDSGKGTVGFRAGAC >ORUFI06G12810.1 pep chromosome:OR_W1943:6:10414922:10415267:-1 gene:ORUFI06G12810 transcript:ORUFI06G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAGHGGAPGWLVHGELTGGRTVAGPARRRLLIGEIKGDYSFYRTRGGQRSEDLPRDGGTMKFDVAGGGVEKKIPHAR >ORUFI06G12820.1 pep chromosome:OR_W1943:6:10421749:10422804:1 gene:ORUFI06G12820 transcript:ORUFI06G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVTAGGWPCRARCASGSASSRPPSTRRSAGTAPSTVLSSPCTTGGGARAPHPARPRPEPPPPHPTHLPPAPEHEHSSSSSTTTPRPVIVYFHGGGFAMFSAASRPFDTHCRTLCAGVGAVVVSVDYRLAPEHRFPAAYDDGEAVLRYLATTGLRDEHGVPMDLSACFLAGDSAGGNIAHHVAQRWTTTTTTPATPPPPSDNPVHLAGVILLEPYFGGEERTKAERALEGVAPVVNIRRSDRWWRAFLPEGADRNHPAAHVTGDAGPEPELQEAFPPAMVVVGGLDPLQDWDRRYAGMLRQKGKAVRVVEFPEAIHAFYFFPEFAGDIRKLVGEIRAFVEESIMSKQSIA >ORUFI06G12830.1 pep chromosome:OR_W1943:6:10443619:10444571:-1 gene:ORUFI06G12830 transcript:ORUFI06G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEPEDAAPVVLRSDTTNEAEDAPAYITLAATRRVSRVTVPRSAHPHRGADYDKRSFIIVADPAGLVIHQYDAPAFGFNISDDLWGNLFMLLECSFVPIGSGELDMPTAILIPDYVEPAIQYICNIKNVRLISHPSSNGVDAEYIIVELWIGFSAEHGKLRYVEICKDCIDHIGATVLIVQTLATSPDDTWWKDTYWTNFKKIWTSQSYKATGMRWKF >ORUFI06G12840.1 pep chromosome:OR_W1943:6:10466151:10466761:1 gene:ORUFI06G12840 transcript:ORUFI06G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRCLMVRQRTSHCRTLLNLEQQVVTHGAAGDALRVGAARGGGGLDFFVSRSHAARLVDLVTSLSPARVVTAELYPVCRDREKEEELNGEREEEEEQRKRD >ORUFI06G12850.1 pep chromosome:OR_W1943:6:10468654:10469763:1 gene:ORUFI06G12850 transcript:ORUFI06G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAAIRSAYVNGVDREIDLQSPLIDGDGLTTTAAARPAAAAHQDLICRLLEEGRALPRPAAEEVDLRVLARSSGRINGISDDTPTIRVAAWMITAIRWTFNIIGLALLIFYIAVQFPWSGTVLDLTIFVADVLICLAIPAGGYMITSECEPIEGIGIWAGRYSQTLHLAKVIV >ORUFI06G12860.1 pep chromosome:OR_W1943:6:10470299:10471008:-1 gene:ORUFI06G12860 transcript:ORUFI06G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSGRATLGRQQQYEEQHHSCPATAASFRRIATATPEVAGGRGDGWGREGCEGARGDGHYEGDGRATEVTMEVNRRLRMASKEATRAGGRGRRTLTERAGETDGDGGSREGGECGGGGWRRRGCRRRCRRGRRTVTEKAYKVATAGDTEGDKRGEEGGEAGGGDADGAGGDGGGKGEGWATEAVREMDGDGGGDGGERATEERYGREWRRLE >ORUFI06G12870.1 pep chromosome:OR_W1943:6:10472305:10482250:-1 gene:ORUFI06G12870 transcript:ORUFI06G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLDRKNTIVHIDDVVLTGANLECLTKAYCYDDDKKSISPEGTLVCFPYNMYDELTFQLSPRQKEAIEDSGFGNLLKINKIYIDRNLCNAITRSYDKEKKAFTINGTFVMMTLDDVDCLLGLPSKGEEIFEAPKINKPELFNLGTIDCKEISGKKEQTKDSETHSNQNIQCTSDEIAWQMIQNAQIEKILRMCLRMLNKSKIILGSLSNHHEEAYINPNVNTTCETKEDSNQCNQSSKRLTGPTGRTYKPTNRTDFCYESRVYIEKEDLTKKNIHKSPSKNALREPEYLNDNVMDAYIQCLRNKEKGIRGDGNAFLEQAIKTCLLNVEGAHVESNNPRDKQWIRDMAREYLPFDMVIPI >ORUFI06G12870.2 pep chromosome:OR_W1943:6:10472305:10476344:-1 gene:ORUFI06G12870 transcript:ORUFI06G12870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNAQIEKILRMCLRMLNKSKIILGSLSNHHEEAYINPNVNTTCETKEDSNQCNQSSKRLTGPTGRTYKPTNRTDFCYESRVYIEKEDLTKKNIHKSPSKNALREPEYLNDNVMDAYIQCLRNKEKGIRGDGNAFLEQAIKTCLLNVEGAHVESNNPRDKQWIRDMAREYLPFDMVIPI >ORUFI06G12880.1 pep chromosome:OR_W1943:6:10504837:10510052:-1 gene:ORUFI06G12880 transcript:ORUFI06G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPTFTHGTGSHSPPPWPLSRQPRQHDGGSSREVVVQRVVKEIGGSANYPILPRWLGDLPHDARDASDRSSEGDVIKMSLRRGKQGVALAAQWTPDIEGSTATGQQEIRCRC >ORUFI06G12890.1 pep chromosome:OR_W1943:6:10504886:10508026:1 gene:ORUFI06G12890 transcript:ORUFI06G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVHCAANATPCFPLRKLILITSPSLLLSKTKYWHLNRYEHIAVPKKYEQNLTLESDHTC >ORUFI06G12900.1 pep chromosome:OR_W1943:6:10511128:10511872:1 gene:ORUFI06G12900 transcript:ORUFI06G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNDDDDVGAAAARPAAAAHQDLICRLLEEGRAPPRRAAEEVDRRVLARSSGRINGISDDTPTIRVAAWMITAIRWTLNIIGLALLIFYTAIQFPWSGTILDLTLFVADVIFCLAIPAGGYMITSECEPIEGIGIW >ORUFI06G12910.1 pep chromosome:OR_W1943:6:10521822:10525907:-1 gene:ORUFI06G12910 transcript:ORUFI06G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSSSAAEELARGWVILDRVACVDDEEDPREVALNVAEPPRLSTLTVPAKFHALPSNPDELPYVAAADPFGLLVHTAASPSCGLNLDDDPPGSFAVLREFLPVGASNPHEATGIAERVPERVGGSVPHISNLKNVGFLTSPGTGGKDYAIAELQIEAGAELAKLIVFRSGTPAWAVSRLIRPDMPGRSNLHWGWHNDDVISFDGNLWFVNLWRGLISCNPFSDHPVLQFHQLPEHIPIEDQNKSQRDIEADRCVRVSKERLRYVEITRVHNAPVESTTVVVWVLICGPRSMSYWKTNCMAFLGDIWENETYKATGLPNQVPILAGIHPSNPDLVYFFLEHHLFGVNLYKKMVIHFVDEHYQLLQPIVRSRSLQPLSWRQVQLWKLPPSLHAGSIELSAQHASDLGNLRLKAAQLRRQEHALKRREKMIEMREESVQGLHKSLLAPEEKKDDSRWDKVQIVILAVLISGLTLLFPFLPWLPYEYLSTIVIAFSIVVGCCCIALPCALFGSNKWQTCCGESVARVGFWITNLPFWARVFVLSGESDMGGRGGVHHRRWDGVVPP >ORUFI06G12920.1 pep chromosome:OR_W1943:6:10529585:10534956:1 gene:ORUFI06G12920 transcript:ORUFI06G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATATAAAAASSTTRAHRPRSSRLAVPTRDSCSLLPSSSSRQFAALSTSAASTASRSRAQRRRLPVASAAVELREASSQGGDSVRVTETLQPGSSVKFSVEVPPSICQQCYETTLQEYAKRFKIPGFRPGKVVPENVLINYVGPKHVQDATVEAILRHTLPQALSSVEDRALEDSVRILTKFEDMANSFSLDNVFRYDVSVDVAPEVRWLSEDKYKNLKVVVEIDEIVDAEKAAEIELKRRHKALGLLRIVADRGLQVGDLVVLDIFAESITSDGSKGEKIPSAESKGFHLDTEENNNLVPGFLGSLIGIRPGETRSFPLQFPESFEQESLQGVRAQFTVVCKELFYRELPELDDSLAGKLLPGCTTMDQVRERILQRCKEVEKTAIEQATDNAILDQLGKLVEVDVPRALFQEQGQQLYGAKLLQLQAERKLDKDQLASLSSQKSVQEYLESERENINRIIKQMLAVGEIFKAENLQFSTEQLVKEVENSIEEFKHYNQDYDEGSIKQQVQDVLEAAKVLEWLKENCIIEYIRP >ORUFI06G12930.1 pep chromosome:OR_W1943:6:10537221:10540379:-1 gene:ORUFI06G12930 transcript:ORUFI06G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGICGHYHKYELGEVCGVCGHRPPASAGAQAAAGAAQAAAPRQDSAFPSEILKDFLFLGSYDNASRSELLKTIGISHILNTVPLCQNLYRNSFTYHCLQDEKTLQFDDAIQFLEQCERDKARVLVHCMSGKSRSAAFVIAFLMKTKGWRLSQCFQWVKERRPQVQLADAAQRQLIEYEQKLFNSTVGIPAQAFVPTDAFPSLGFGFPKPSGDIQVPIFNQQAPASIFERVSPHNIPSNFTFGAERTTEVKLPDNNSFGVVNSSGGDSMMDSS >ORUFI06G12940.1 pep chromosome:OR_W1943:6:10545510:10548848:1 gene:ORUFI06G12940 transcript:ORUFI06G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRCVSELWRRKQSDVMTFVQRVRCWDHRRQPAIGRPTRPDKARRLGDKAKQVPCPHRFLPMAAAAATRPLLRPTCSVARFNTRRLLSSTSSPPPTNRSSNTNSPVAFDWSDDDDNPSPPPMEAKSPNLPPPYDPFSKKPAVMEPSDPTNLQEVFHRMRTEGLTDYAIKMFDGLSKDGLTHEALELFAIIKDKGAMPDVVAHTAVLEAYANAGPAHWRDVVRTYDRMLASGVTPNAYTLAVLVKGLAASDRFMEAGKYIVEMLDRGMRPNAATYLAVFEAYVRMEKVEEGRVLLETMKSKGFTPSEEAVRSGTVKRGHVFRGIMNMLFDKAMWFYRVRVGVVAGRGQCPRVSFTANPSTRVSPSSSSRGTRGQLLRSCWAQAGWTQGAHLLLGERGLHRTLRSSLWMLLTAPSATTPRINWLCKPVHKHGELHGLTSAGNKYRGLRGKGHTHHKARPSSPRATWKRNQTVSLRRYR >ORUFI06G12940.2 pep chromosome:OR_W1943:6:10545735:10548848:1 gene:ORUFI06G12940 transcript:ORUFI06G12940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELLLRRLFLAVLPFPSALMLCVSELWRRKQSDVMTFVQRVRCWDHRRQPAIGRPTRPDKARRLGDKAKQVPCPHRFLPMAAAAATRPLLRPTCSVARFNTRRLLSSTSSPPPTNRSSNTNSPVAFDWSDDDDNPSPPPMEAKSPNLPPPYDPFSKKPAVMEPSDPTNLQEVFHRMRTEGLTDYAIKMFDGLSKDGLTHEALELFAIIKDKGAMPDVVAHTAVLEAYANAGPAHWRDVVRTYDRMLASGVTPNAYTLAVLVKGLAASDRFMEAGKYIVEMLDRGMRPNAATYLAVFEAYVRMEKVEEGRVLLETMKSKGFTPSEEAVRSGTVKRGHVFRGIMNMLFDKAMWFYRVRVGVVAGRGQCPRVSFTANPSTRVSPSSSSRGTRGQLLRSCWAQAGWTQGAHLLLGERGLHRTLRSSLWMLLTAPSATTPRINWLCKPVHKHGELHGLTSAGNKYRGLRGKGHTHHKARPSSPRATWKRNQTVSLRRYR >ORUFI06G12940.3 pep chromosome:OR_W1943:6:10545735:10548633:1 gene:ORUFI06G12940 transcript:ORUFI06G12940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGELLLRRLFLAVLPFPSALMLCVSELWRRKQSDVMTFVQRVRCWDHRRQPAIGRPTRPDKARRLGDKAKQGYVVLPCPSRRGGRKRPVPKGIVYSKPKHQGITQLKFQRNKRSVAEELLGASWVDSGCSPPTGSSLWMLLTAPSATTPRINWLCKPVHKHGELHGLTSAGNKYRGLRGKGHTHHKARPSSPRATWKRNQTVSLRRYR >ORUFI06G12940.4 pep chromosome:OR_W1943:6:10545510:10548633:1 gene:ORUFI06G12940 transcript:ORUFI06G12940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRCVSELWRRKQSDVMTFVQRVRCWDHRRQPAIGRPTRPDKARRLGDKAKQGYVVLPCPSRRGGRKRPVPKGIVYSKPKHQGITQLKFQRNKRSVAEELLGASWVDSGCSPPTGSSLWMLLTAPSATTPRINWLCKPVHKHGELHGLTSAGNKYRGLRGKGHTHHKARPSSPRATWKRNQTVSLRRYR >ORUFI06G12950.1 pep chromosome:OR_W1943:6:10549834:10554053:-1 gene:ORUFI06G12950 transcript:ORUFI06G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRPSDRGDRWHPAAGPRAAPHPPRPSPINNCLLPARVEAATATAQRDERETVALPVQYSDSLSLQTPPSLSRLSPAGGAVAASGGALGEEGARARLSLGVSGAPRITLPRAARSHSAPSIWLIWDEVGESDEDRDKVLYQLDQECLDVYKRKVDQATDSRDLLIQALDDSKIELARLLSALGEKAIARTPEKTSGTIKQQLAAIAPTLEKLNKQKNERVREFVNVQSQIDQICGEIAGTTEVGEKVATPQVNEDDLTLERLEEFRSQLQELEKEKSNRLEKVLDYVSMIHNLCTVLGMDFLSTVTEVHPSLDDSIGDNCKSISNDTLSKLDKTVATLNEDKKSRLSKLQELAGQLYDLWDLMDAPMQERSMFDHVTCNRSASVDKVTAPGALALDLIEQAEVEVQRLDQLKYSKMKEIAFKKQTELEDIYAGAHMVIDTAAAHEKILALIEAGNIEPSELIADMESQISKAKEEALSRKEILDKVERWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVNKIPALVETLVAKTRAWEESRGLSFMYDGVPLLAMLDEYVMLRQEREEDKKRMREQKRYIEQQLNTDHEGPFGSRVSPNRPVSAKKVPGAKSNGSANGTPPNRRLSVSGHQNGRSGGKDGKRDSAKTASPGNVAAAKEDASSHISGTDPVPSTP >ORUFI06G12960.1 pep chromosome:OR_W1943:6:10562075:10566803:1 gene:ORUFI06G12960 transcript:ORUFI06G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAEPAKGLLPYLQRADELQKHEPLVAYYCRLYAMEKGMRIPQKERTKTTNSLLISLMNQLEKDKKSLTLGSDDHLHVEGFALNVFAKADKQDRAGRADINTAKTFYAASIFFEILNQFGELQTDVEQKQKYAIWKAAEIRKALKEGRRPEAGPPGGDKDEAPDSTTTNSHLTDMGRSQSFGSGQHGNEASSQHVDQDFSRRDSFSAVQPGNNALRHSTEKFNDHVSAQSPYSPPPPQSQTPPQSQFSSPAQSSYSSPSYQGTDYPSSDVHKPPHGYSSAPYTSTDYPTNEVHKPPSNYSSPPYTRTDYPSSDSYNPQSNDKPDIPTYPHTYHQPPYTIEPQHTSQNYYSTETPAAPYNYSNFQSYPSFQDSSVPSVPTHQSSFYPASDGTSAVSYSPSGSNHPAPTQYHPSADTTTHQVTPPAIAPPASQYKYDSSYQPEVEKIAEAHKAARFAVGALAFDDVSVAVDHLKRALDLLTNPSAETH >ORUFI06G12970.1 pep chromosome:OR_W1943:6:10569704:10572228:-1 gene:ORUFI06G12970 transcript:ORUFI06G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPLLEIMRPLVGDVDSVSFLQVMHLFLSVAGVEQSHKVTKFQENLTVYAFLIGGISGTGGGVWAIYPVVFSISTFCGIFHCILTGLLAVFTITSYCLASFKSAGAPADMRWGSYPMVGKNDLENYTFCTYCSKPKPPRAHHCRSCKILGTVWELQITMLFYAAGMTIYSSYRIWPPLDFENLASTRRSMGYIKMLIEIIGTLASSAFFLSARGFVTVYLAFASLSVNAGIGVLLFQQLSYIYEGNTYLNRLSSPNVMHGERGLQNLIRFFGCPYSSIIGLLKHWQVTGQFELKTSLEVHNHNSSSTASFHMLVHAFHKPFFMNSFPIDYVIVGVKNLVLN >ORUFI06G12980.1 pep chromosome:OR_W1943:6:10575391:10583915:-1 gene:ORUFI06G12980 transcript:ORUFI06G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRERKRRRRGEMGRVAGMVMVLAAAGQARGGGAPTAAERALAAVARERLLEAAELLARPRELFPREAVRALVEDLGLARGRDPSAMGYRPRRASIAERILLTKRKMEEIKEAPVYPTTNVSQTTATRATTVFQHGASKPTTGLPMNISAVASFPVTTPPTIPSPNILKQTQLNESPSGVKAAGTSSIVSVPSVGPTNIKVEKGVNSPTCTQNGATIGQANKSAHLTATMSNPNIVPSSSHEGTPQHEKAPGVSENRTTKDNNQELAADGTVIDKNSSEANRIVHNSDKLALESSKEQSQSGSASAAVDKGRGKPQGVGTMENNAISERGNVHELTSNGDLSTKNEIVIGDAKDKTIVCSTDHSIVGWVGDPLRVVENKTYYYSCNIDGIAYNLDDHILVASKDKESAPSKLQSLWEEHDSRSKMALVSPYFFASDIPELISKPCTAEENEVFASCNQRTVTVSAICGQCEVLHVDKFREETKGSQVVSSRLHPIFLCSIKSTSTPT >ORUFI06G12980.2 pep chromosome:OR_W1943:6:10575391:10583915:-1 gene:ORUFI06G12980 transcript:ORUFI06G12980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRERKRRRRGEMGRVAGMVMVLAAAGQARGGGAPTAAERALAAVARERLLEAAELLARPRELFPREAVRALVEDLGLARGRDPSAMGYRPRRASIAERILLTKRKMEEIKEAPVYPTTNVSQTTATRATTVFQHGASKPTTGLPMNISAVASFPVTTPPTIPSPNILKQTQLNESPSGVKAAGTSSIVSVPSVGPTNIKVEKGVNSPTCTQNGATIGQANKSAHLTATMSNPNIVPSSSHEGTPQHEKAPVIRPITVKNGMTHQSRPGVSFIQRQSTFPNHSAIAKTVQQVLHQPVNHPNWIPPSTEYMRSGLGCQVCKVFIIDIHSMIICDACERGIHLKCLQHDGVNVLPPKAEWYCPTCVARSKGKPLPPKYGKVTRTVVAPKVNLISGVPSQGVSENRTTKDNNQELAADGTVIDKNSSEANRIVHNSDKLALESSKEQSQSGSASAAVDKGRGKPQGVGTMENNAISERGNVHELTSNGDLSTKNEIVIGDAKDKTIVCSTDHSIVGWVGDPLRVVENKTYYYSCNIDGIAYNLDDHILVASKDKESAPSKLQSLWEEHDSRSKMALVSPYFFASDIPELISKPCTAEENEVFASCNQRTVTVSAICGQCEVLHVDKFREETKGSQVVSSRLHPIFLCSIKSTSTPT >ORUFI06G12990.1 pep chromosome:OR_W1943:6:10586792:10590425:-1 gene:ORUFI06G12990 transcript:ORUFI06G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLHLLPLLLLALLSPAGAASGEAHASAVVAEKGLDFAKGVLIGEAVRSLTPLRLPGVEKAVRVPFLGAIRVAATNITLFHLDVGDDSAIHPGDTALVVVASGVSANLSMAWSYYYDSWLFPIEISDRGTASILVQGMEVGITMQIKNYNGSLSLSVLQCGCNVKDLVISLDGGASWFYQGLINAFEDHIRAAVEKAIPENIIDGTSKLDSLLQSLPRSVNLDNISALNMTFVNDPQYGNSSIEFDINGLFSSATAKLSNSQKHPQLSLSCGGASKMLLLSLDEAVFNSALEVYFKAGSMHWVVDKIPDQSLLNTASWKFIIPRLYWSYPNDDMLLNISMASPPVMRITSEKIGATIYADMIIDVLHDKETIPVACISVVVSASGVAEAAGNKVYGKVELGNFSLALKWSKIGNFHMSLIQGVIRVFLNTVCMPYLNSRLGHGVILPVVHGFTLKDIYVLTSPEKLTLCSDVAFANASSLATLPILRSPRVL >ORUFI06G13000.1 pep chromosome:OR_W1943:6:10598553:10598876:1 gene:ORUFI06G13000 transcript:ORUFI06G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREKKKQQQQQQQGGRRQHPGATRDGDRRQRRGSSARMPRKQQQQQQGWGRGAAGSGTERSAQAARGAREEEERKGRWTRKAGKLGEMAIHGLFYSPTNPNSERNV >ORUFI06G13010.1 pep chromosome:OR_W1943:6:10604225:10605758:-1 gene:ORUFI06G13010 transcript:ORUFI06G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRQRFGLQDTSLISSPEDHTQGQIDFTVIVVIIILWYPLI >ORUFI06G13020.1 pep chromosome:OR_W1943:6:10616856:10617077:1 gene:ORUFI06G13020 transcript:ORUFI06G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSVASSSAAREGGSSSTRDVEQQKSVAAVGASSPVSSSEQRNPAKAEDGTTSANGDKQQKPAADWYMFC >ORUFI06G13030.1 pep chromosome:OR_W1943:6:10644750:10648275:-1 gene:ORUFI06G13030 transcript:ORUFI06G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRHERIKKGWSGSAAVWLLLVPLFVLIVLKTDFLPQVARLGDTSFTKVADEMVQKVSSLGLDRARWQQQQTLDVAKLEDSVVGTSDELTGHVDANNEDSNQPNQQILAMSRSKDSRLINSDVAAAKTSHLSCNFSSAHMDTCAMDGDIRIHGRSGVVYVVASSDYRPENATAVIRPYPRKWEQATMERVRQITIRSTAPPGAAVADTDGGGAIIPLRCTVARDMPAVVFSTGGYSVNFFHTMNDILLPLYITAREHGGRVQLLAANYDRRWTAKYQHALAALSMYPVVDLDADAAVRCFPSARVGVESHRVLGIDTPLTGSNGYTMVGFLAFLRSAYSLPRHAVTRTTPRRPRVVMVLRRKSRALTNEAEVVAAVAEAGFEVVAAGPEEAGDVAGFAATVNSCDVMVGVHGAGLTNMVFLPRNGTVVQIIPWGGMKWPCWYDYGEPVPAMGLRYVEYEVAANETTLRERYPMDHPVFADPVSIHRKGFNHLWSTFLNGQNLTLDVNRFKAVMAEVYTSITAAPV >ORUFI06G13040.1 pep chromosome:OR_W1943:6:10671987:10675074:1 gene:ORUFI06G13040 transcript:ORUFI06G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTLDDADDDPPVATARDKRKRDDGPADAEDEPPRARGSAVAGREPAAPGAEAEAAGRRGPAEEMANGEVEGGLSVQIDPDVLDCSICFESLRPPLYQCQNGHVACFSCWSKLSNKCHICSRDAKFARNIALEKIVESIKSSCSYAKWGCCKFINYAQRDAHEEACLFAPSVCPISNCGYRGFTGRWSGHFLTSHSSDVMRFIYGQPFEVNIEVSVPFLVFLGEDDHLFLLQNNNLTPFGHAFSVVCLRSGNLNWMFSYQIEATSRKKPENRLQLKASVTNTRQWTGIYPSEAFLLVPFDFCHSSNIVLNISVERYAVV >ORUFI06G13050.1 pep chromosome:OR_W1943:6:10680187:10684688:1 gene:ORUFI06G13050 transcript:ORUFI06G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQERRLYSLLGLLLLLAVVYLTWFPTTHDGGGGGGGGWVKLPVPWLQPRMPFAARRGTHFVDADTGSPLYVNGWNSYWLLPARSPALAAEMLRRGRRMGLSVCRTWAFSDGGPGALQISPGRFSEAVFQVLDYVIYEARRNHIRLILCLVNNLDNLGGKAQYVQWAQAAGANMTNSTDSFYSHPTIKRYYKDYVKAILTRRNSYSGIRYSDEPAIFAWELMNEPRCVSNSSGPYLQAWIAEMAAYVKSLDTNHLVTVGTEGFYGPGIAERLGVNPGEWAASLCSDFIQNSAVEHIDFASVHAYPDSWLPRASLEEKVRYLSNWVDSHLNDSEQILKKPVLFTEVGYLQHSDANSNSTVDRDIILRIVYDKIYDSARKLQAGSGALIWQLMVEGTHMYGDNFSVVARDRPSTYSLITNQSCRLQRLYGEGDPGWQCSIPP >ORUFI06G13060.1 pep chromosome:OR_W1943:6:10690594:10693063:-1 gene:ORUFI06G13060 transcript:ORUFI06G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERTLHMVGGDGNDSYATNSRLSMKAIMETKPVLCKAIEGGFASLSSPAPAKIVIADLGCSSGPNTLLVVSGVIGMISTSGYSEKTELQFFLNDLPGNDFNYVFRSLQQLKQQLADRKEGLLEPPYYIAGLPGSFYTRLFPCQSVHLFHSSYALMWRSKVPEELSSGVHLNKGNIYIGKATPSHVVKLFQKKFKEDFSLFLTLRQEELVSGGRMVLTFLGRKSSQMLAHGDVGTMWELLAQALQILVQKGRVKEEDLTTFNLPFYAPSVDEVTELIEESGLFDVEHTGVFESSWDPHDDSKSNGDVVADCARSADSIANCSIRAVIKPLITDHFGESIVDELFQVYVPIVAKHLEKGRAMYPVIVVSLKGRL >ORUFI06G13070.1 pep chromosome:OR_W1943:6:10693145:10725489:1 gene:ORUFI06G13070 transcript:ORUFI06G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERALAAHCSAKKFTLVRLDGYRLRLRKAEEDLRDKEDERRVMADALKKANAESKSLSGENKSLCTDLEKSKKNAAEREGRLAVG >ORUFI06G13080.1 pep chromosome:OR_W1943:6:10740249:10740687:1 gene:ORUFI06G13080 transcript:ORUFI06G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVTPLPHLPISPPPNRRRHQQWPLSCRVTPSSPRQSLSATPSTFALSAVGNRASLPPPRQRLQPPVDEIEGRVAATSATGLEEEMFPSTNAGF >ORUFI06G13090.1 pep chromosome:OR_W1943:6:10742829:10743062:-1 gene:ORUFI06G13090 transcript:ORUFI06G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAMDDVAGRRHPHGLRRRTTTGAGLQIGHLQEDGNRPLLPGCMTTPPLTDGSPRHHSELGLHTIAPTSRPVTRRR >ORUFI06G13100.1 pep chromosome:OR_W1943:6:10744073:10757344:-1 gene:ORUFI06G13100 transcript:ORUFI06G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRGQGLQRLLPTGTNQIHHKFSKANSSLHHCHLAATLRQAARPLPPPLLPHAPPAPPPPFAGPPPSRRRGCIRRSPRAATLNIINNSSRVDLGPLPESNFIATNQKAAVQPPMTTATKEGRATIRKRKAEPRKRNTQPNKKSELKKREETKKKEPTTAMGGDGGFEI >ORUFI06G13110.1 pep chromosome:OR_W1943:6:10766769:10769438:-1 gene:ORUFI06G13110 transcript:ORUFI06G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERALHMVGGDGNDSYATNSRLPMKAIMETKPVLCKAIEGVFASLSSPAPAKIVIADLGCSSGPNTLLVVSGVISMISTSGYPEKTELQFFLNDLPGNDFNYVFRSLQQLKQLADRKERLLEPPYYIAGLPGSFYTRLFPCQSVHLFHCSYALMWRSKVFPMKMKNQKFSQAVVDPLVQVPKELSSGVHLNKGNICIGKATPSHVVKLFQKKFKEDFSLFLALRSEELVSGGCMVLTFLGRKSSEMLAHGDVDTMWELLAEALQILVQKVTELIEESGLFDVEHTGVFESSWDPHDDSKSNGDAVADCARSADSIANCSIRAVIKPLITDHFGESIVDELFQVYVPLVAKHLEKGRAMYPVIVVSLKGRL >ORUFI06G13120.1 pep chromosome:OR_W1943:6:10779870:10786146:-1 gene:ORUFI06G13120 transcript:ORUFI06G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSKQSVHMNPGQGETSYAQNSALQKTAQDRMKTLIEEAVTGLCTSSCPHPKNMVIADLGCSSGPNALTLVSAAVDAIHRYCAQHEQLPPEMCVLLNDLPDNDFNAVAKSLDTLKHSGDEALARPTAVITGMAPEDLKKSRIPMHDSDEQLRSSRHQIVADSYARQFRKDFMRFLSLRAQEIVPGGRMVVSLLVKRSDKPDTELIQPWTPAVTALSDMALRGVISKEKLDSFYIPLCCPMDSEVNNIIEEEGSFEVNKMMMHDPYDGTGKALLDLKMVALRVRAVFEPIIVQHFAASDEIMDDFVRAVERHLISSGALEARLSGQHPFAFLCLSLTRAM >ORUFI06G13130.1 pep chromosome:OR_W1943:6:10799550:10800279:-1 gene:ORUFI06G13130 transcript:ORUFI06G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSPVLLFALMVCLVIVSQGVLAARELVETTKVVVDGMKVELPEGRKGAAAVSGYGGGWPGTYGHYP >ORUFI06G13140.1 pep chromosome:OR_W1943:6:10835174:10837930:-1 gene:ORUFI06G13140 transcript:ORUFI06G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKNLEEPDSPLIASLSAPKFMQNSAEPELTAGTDCENSNLQNRETANFEAAMQEELPEILVGNKSNMQEISTEQKAPISVCATPSEVDNSGLVSASDPHAFSVTSQNDDESTEPSSDSTTIPPSEKNTHSTSQTSDRLKAVVQLQDTPLHNTGDDAFCSYSVKASYETSAATPAKVKEKKPHLMHHFNERQMSLRDMRQKAPAPLNRSNSGKNFRTDNTFVDTTTHIESVKVAASRFGGSVNWKTRITEPEQENEIVLELDRLKKEISESKRQAEAVEAAKVPLSNEYEKTKRLIEGLEHDLEKAQEEEIIARLGLELFQLIVHEMREGDTSDGGVIGREKLNIIKEQYNAVLANLMLVKDESGKVQENYETLLIERDISIGKAQLAVSMSEGAVRKVEELTVELNRLKVELELAHSTCHDAEKHSKDTSLACDEDSLKWKSDLRQAEEELNQLAKKISSIEELKSTLDTSTGLLLKLKNELAGYVEAKPIDKEAQGNITQRSLHNEVILSTRELEECLMSVDKVRDEVCALNVAAASLKTELIKEKTALATMKQMEATSSIAAASLRVEIQLALRELEAVQAKEKESRNGMLGLQKIMEDTAKEADESKSIAREAQEKLRKAKEDMDHAKSCLDTMEFRIQAVLKEMEATKESMRLAIDALRPFDSELPVDIEEQGSQIVTVDLDEYQSLIAKSSKAEELVHERTASAIAQAKIAKESESRTLSTLSETHKVLEQRKQALVAATERADRATEGKLAMEQELRKWREENEQRRKAGEALKSQLNPSSTPVIIVERSSDTKSTSKDDSYASVHPLLDMSARSTPNDSALLSNKKKRKKLSFFPRITMFFTRKKSRAAI >ORUFI06G13150.1 pep chromosome:OR_W1943:6:10840001:10842979:-1 gene:ORUFI06G13150 transcript:ORUFI06G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRHHRFSRFIKNSSSPSKSKRTHAESPDASSTPSSAIPPRVDAVSRLLRATRSAKCLSKLHARLAVTGALREDASVVAGAVERYLFFGKPASAAAVFAGFYRGRAEVYDLNIAVRCFSDHGFHRELLGLYREVCAFGSDNFTFPPVIRACAAVSCLRLGKEVHCRVVRTGHGGNVGVQTALLDMYAKSGQVDLSRRVFDGMKSRDLISWNAMISGYSLNGCLLEAAEALKQMQQDGFRPNASSLVGIVSMVSGLGVRDAGDPLHAFALKSGVLGDESLTPAFISMYAAFGHLSSSLSLFHQSLVDNLVSCNSMISVCMQHGAWEKAFGVFRLMRCKGLVPNLVTVVSILPCCSNFFGINHGESVHGMVIKFGLAEQVSVVSALVSMYSKLGDLDSAVFLFSSVTEKSQLLWNSLISGYLVNNKWNMVMGSVRRMQIEGVDPDALTVISVISKCRHTEDLHVGKSIHAYAVRSRLELNESVMNALLAMYADCGQLSICCKLFHTMEVRTLISWNTIISGFAENGDSVACLRFFCQMRLADMQFDLVTLIALISSLSAIEDITVGESVHSLAIRSGCNLDVSVANALITMYTNCGIIQAGEKLFDSLSSVNTISYNALMTGYRKNNLFEEILPLFYHMIKNDQKPNIITLLNLLPICHSQLQGKTVHSYAIRNFSKLETSLFTSAICMYSRFNNLEYCHNLFCLVGERNNIVWNAILSACVQCKQAGVAFDYFRQIQFLNVKTDAVTMLALISACSQLGKADLAECVTAIALQKGFDGTIIVLNALIDMHSRCGSISFARKIFDISMEKDSVSWSTMINAYSMHGDGGSALDLFLMMVSSGIKPDDITFVSVLSACSRSGFLEQGRTLFRSMLADHGITPRMEHYACMVDLLGRTGHLDEAYDIVTTMPFRPSKSLLESLLGACRFHGNSKLGESVGKILTESDHGNPRSYVMLSNIYASAGKWSDYERLRSDMEAKGLIKDVGVSLIGGTY >ORUFI06G13160.1 pep chromosome:OR_W1943:6:10846956:10849650:1 gene:ORUFI06G13160 transcript:ORUFI06G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTCLGTLSQSRSSSFNFSTLPMPGRGSNSAKDGRDQMIFSRYGGIGGSTQSISPPPPPPHASPRFLLLLPAGGGAAPDPPTQAPHHRISPEPPTDHCITAVSASPPGRRRRWSRHPRAPGAPKETPSLLEQTTAGRKVWQYLAC >ORUFI06G13160.2 pep chromosome:OR_W1943:6:10846956:10849650:1 gene:ORUFI06G13160 transcript:ORUFI06G13160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTCLGTLSQSRSSSFNFSTLPMPGRGSNSAKDGRDQMIFSRYGGIGGSTQSISPPPPPPHASPRFLLLLPAGGGAAPDPPTQAPHHRISPEPPTDHCITAVSASPPGRRRRWSRHPRAPGAPKETPSLLEQTTAGRKLITSGRKRTAAEC >ORUFI06G13160.3 pep chromosome:OR_W1943:6:10846956:10849239:1 gene:ORUFI06G13160 transcript:ORUFI06G13160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTCLGTLSQSRSSSFNFSTLPMPGRGSNSAKDGRDQMIFSRYGGIGGSTQSISPPPPPPHASPRFLLLLPAGGGAAPDPPTQAPHHRISPEPPTDHCITAVSASPPGRRRRWSRHPRAPGAPKETPSLLEQTTAGRKD >ORUFI06G13170.1 pep chromosome:OR_W1943:6:10847023:10855842:-1 gene:ORUFI06G13170 transcript:ORUFI06G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMNSFLEHLARTAPPDGSHDEQVQTWMKQVRYLAHDYSNCIDHYLQRGDPAIHRARGGLRGYFWWAYWFVLEKVAQHKAAARLCELKERASDVDKRRMSDIVSEGLTKAGVQFKHTVRINLPSVHYPSDYLGPNEVLCYILRVCTIQKDNKDPNYVDNGIVRFKAWRQREQMIRIVSNKFEEHVPRRIQDLISKIEDMESKINVKTVGSEKTRQTYEEDSMNEGTDGGSKTIEGNAGGGRNTAGEKGGVTKSEEKGGGSKTVEQRGGSTETQEKTGGGKTTEEAVDTECSLVLQTDKPLCVLYLALLSEEKWKQAKDVLALEEQTLINNTAKEFKQHMEGDMKTPTIKLLDTQYQAILWEVFLTSNPEEATTAASATSSSTNRRAAPATLGDDQTKEIMEQIKGIIHLVKQDILEEIQAIKSHGPREQKLGHDQVVSAIQDAKNKITQIGLKIKEQMIIKGMIDRINLYLKDGDTLFIIVEDENRRHELKWEDIMNALELLKCAKGSAVIVTTKNTQKASEFCYPPSEPITYSLVGLYHDIVLKLTQQLVNNEDDNNNSQILRDILDKCHPHEFCMKMFARALYANPNRSNKELGRLRDTLQISENSLDTKAKKIFKFSYRDLHREHKTCLLYLAIFPQGTNIRRSTLIARWLTEGLITKEDWPTAVRHAERCFDALIDRCLVSPGDISAKGEFKSCMVGDLIHGGLRLRASDSIDMFVKKLHNYSSQLSLLKLLDLQGCQCFDKSSYLKAICNNIALLKYLSLRRTNITHLPSEINNLHDLEILDIRQTKVPEKETKLVLLLKLRRLLAGYTDPSPSFNDKGTNNRTFSCVRIPSKIEKMENMEVLSNVTASWYGYELKDIRKLWQLRKLGVVIKDKDRHLQNLFQAVGDLNECLQSISITITDTRSETTSKDSKILSDELYNRLIHPPKLLESVSINGSTNLRILSLLAKVSGSDNDAYNDPSLTFKKEMFEHLKCLLVDGDNLKCMTDIIFEEGAAVELEKIVLSSTNVRSLRGVGRLPMLKELELKGNKSLFSFHGGVPLSGDGGAHQESNEPVSHSKDGAAPQKNNDVHVSPSEDGAAPHTSTDGQVPPSAEGPAPQINTEVKITFKKGEFQQLKYFLFEDSKIVDIIIENGAVPELERIILLLTRKESQLTVSGSRAKLKEIEVKGDKSILLSLLKNANKIEKGSAPNLEKIIWSRPSFAELEPLPGIGNVEKLKELDLDCDNVPKQVKKDIRAHKNKPVLTPKKPQRQDQALKEEHGDESWLRRGCASYFSKKEDQQ >ORUFI06G13180.1 pep chromosome:OR_W1943:6:10860783:10861613:-1 gene:ORUFI06G13180 transcript:ORUFI06G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWVAVVALGAQIKGMAGTGKREREKVRLLREQAENTKKILKLLEDKKTPSTPPDPAMAGVLSGLRGALDDISRSPDKKPGELHALDHRISSILQQYHHYNHVASNNIHRDYRDAHAPPPTMVAPWQQGASTDSGGDWGHVVRGIVEDARVTVQGAWHATHNVEEVLRVAQLAQQVADLMERPHAASRLMRDAETSWPLLRDDLRDALRDARWVVWYSQWYHLSRMPSPSSPQASSTSSGAGGCRPPLQPAAQILDAAVKKIEFCLQVLPAIGYS >ORUFI06G13190.1 pep chromosome:OR_W1943:6:10866712:10872922:-1 gene:ORUFI06G13190 transcript:ORUFI06G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKEILHMNAGHGETSYARNSTVQAITGLCEPTSTILPKSMAIADLGCSSGPNALTLVSAALDAIHHHCAQQQQPPPEVCVFLNDLPSNDFNSVAKSLATLKHSHGDLDDPVVITGIGMIPGSFYERLFPCGSLHFVCSSNSLHWLSKAPDDLKEGKIPMYDMVEHLRVSRRAAVRDAYARQFRKDFTQFLSLRAQELVTGGRMVISLYGRCSENPISRSNQAWQVVAVALNDMASRGIIDKEKLDSFYIPLYAPLENEVNEIIEDEGSFEINKMLVRNPFSGMDDATVSPKMIALSIRAVFESTVVLHFGSSEEIMDEFAKTVEQKLSSGSAWRAVLAAEYPLKSY >ORUFI06G13200.1 pep chromosome:OR_W1943:6:10889887:10897176:-1 gene:ORUFI06G13200 transcript:ORUFI06G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNHRARSAAAGGGGGGGGPIDADWKYFLDNVREEGHSYAVRVPADGANPSYYLQYEKPLTNGAASTSSRGGAARKRCRMEEEEEEESSSGEPEPAPDPDIEEDYRVFLQNVRLVGHGGFVLEHEGNVIRYDASGAALSSDESSDESVMGAPEPDPRRRKAKIVEEDQEEEEDVKNEVAVPSRKKDFTMVKEDQEKKGKRVVDLPARGEDGAMVAEEDRKKKLRKEFNFHSFHSKGKDDTTPVKNLKDKKKEVKNPKDKKVHGKKEVALSAKGKDCQLAEGVVIKVEEEDGQLQIVPAVEKLATTTRLTNLSNGHKTAPRIASGSHGVIWPIHINDREESDFKQRLIHVLNKPFSQGEYDKLFGMATIRNPLTRERRTRCGVKYYYSQHEKAKSYFDCYPDLAKQVEEASYPNRLALLRGLFFWLENIGQDDQFRPWRDDHKRYKIMSL >ORUFI06G13210.1 pep chromosome:OR_W1943:6:10897509:10898617:-1 gene:ORUFI06G13210 transcript:ORUFI06G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGERWPAAVEAKALFRASLPDARQHLNQQRGSTFAHRAPCGWPQRIGWSPLVMEIVCYFSFAHHLYYMNENMWEARSKSKTPRSKFVIFET >ORUFI06G13220.1 pep chromosome:OR_W1943:6:10909034:10915761:-1 gene:ORUFI06G13220 transcript:ORUFI06G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKHRKVVLYIARNYEEALLLFHSMKRSRVVPDQVIIATVLSTCAHTRNLRFGKAIHSYMLVSDTLIDAQVSCALMNMYASCADMEMAEKLYNRVSEKEIVLSTTMVYGYAKNGKVEIAHSIFNGMPAKDVVSWSAMIAGYAESSKPMEALNLFHDMQRSGVKPDEITMLSVISACANVGALEKARCIHSFVENHSMCKILPIGNALIDMFSKCGSLTLALDVFNAMPQKNVVTWTSIITASAMHGDGRSALTLFENMKSEGIQPNGVTFLGLLYACCHAGLVEEGRLLFKIMVQQYRIEPMHEHYGCMVDLLGRAKLLGQAADLIQSMHLRPNVVIWGSLLAACRMHGDLELGTFAAKKILELDPNHGGAQVLLSNIYAEYGNWNDVKEVRGVMEVQGTWKKKGCSWMELNGSVHQFAVGGFLEISADLSNFEQKIEFSLYKVRLRKQQQLRYQQLLEMCNRIPKDTMEPGCN >ORUFI06G13220.2 pep chromosome:OR_W1943:6:10909034:10915761:-1 gene:ORUFI06G13220 transcript:ORUFI06G13220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRERLSRQLGELHAHFLRRGHPFPPAAHPDPDLDRAYISAIRAAAAPRLALAAFACLRRVGLPAPGRRALPSLLRAIAFARSLGTAGAAHGLAFRVGAEVDGFVGTALVRAYAACGRVEDARRVFDGMPDRDLVAWGARNYEEALLLFHSMKRSRVVPDQVIIATVLSTCAHTRNLRFGKAIHSYMLVSDTLIDAQVSCALMNMYASCADMEMAEKLYNRVSEKEIVLSTTMVYGYAKNGKVEIAHSIFNGMPAKDVVSWSAMIAGYAESSKPMEALNLFHDMQRSGVKPDEITMLSVISACANVGALEKARCIHSFVENHSMCKILPIGNALIDMFSKCGSLTLALDVFNAMPQKNVVTWTSIITASAMHGDGRSALTLFENMKSEGIQPNGVTFLGLLYACCHAGLVEEGRLLFKIMVQQYRIEPMHEHYGCMVDLLGRAKLLGQAADLIQSMHLRPNVVIWGSLLAACRMHGDLELGTFAAKKILELDPNHGGAQVLLSNIYAEYGNWNDVKEVRGVMEVQGTWKKKGCSWMELNGSVHQFAVGGFLEISADLSNFEQKIEFSLYKVRLRKQQQLRYQQLLEMCNRIPKDTMEPGCN >ORUFI06G13220.3 pep chromosome:OR_W1943:6:10909032:10913704:-1 gene:ORUFI06G13220 transcript:ORUFI06G13220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRERLSRQLGELHAHFLRRGHPFPPAAHPDPDLDRAYISAIRAAAAPRLALAAFACLRRVGLPAPGRRALPSLLRAIAFARSLGTAGAAHGLAFRVGAEVDGFVGTALVRAYAACGRVEDARRVFDGMPDRDLVAWGARNYEEALLLFHSMKRSRVVPDQVIIATVLSTCAHTRNLRFGKAIHSYMLVSDTLIDAQVSCALMNMYASCADMEMAEKLYNRVSEKEIVLSTTMVYGYAKNGKVEIAHSIFNGMPAKDVVSWSAMIAGYAESSKPMEALNLFHDMQRSGVKPDEITMLSVISACANVGALEKARCIHSFVENHSMCKILPIGNALIDMFSKCGSLTLALDVFNAMPQKNVVTWTSIITASAMHGDGRSALTLFENMKSEGIQPNGVTFLGLLYACCHAGLVEEGRLLFKIMVQQYRIEPMHEHYGCMVDLLGRAKLLGQAADLIQSMHLRPNVVIWGSLLAACRMHGDLELGTFAAKKILELDPNHGGAQVLLSNIYAEYGNWNDVKEVRGVMEVQGTWKKKGCSWMELNGSVHQFAVGGFLEISADLSNFEQKIEFSLYKVRLRKQQQLRYQQLLEMCNRIPKDTMEPGCN >ORUFI06G13220.4 pep chromosome:OR_W1943:6:10909034:10912498:-1 gene:ORUFI06G13220 transcript:ORUFI06G13220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRVVPDQVIIATVLSTCAHTRNLRFGKAIHSYMLVSDTLIDAQVSCALMNMYASCADMEMAEKLYNRVSEKEIVLSTTMVYGYAKNGKVEIAHSIFNGMPAKDVVSWSAMIAGYAESSKPMEALNLFHDMQRSGVKPDEITMLSVISACANVGALEKARCIHSFVENHSMCKILPIGNALIDMFSKCGSLTLALDVFNAMPQKNVVTWTSIITASAMHGDGRSALTLFENMKSEGIQPNGVTFLGLLYACCHAGLVEEGRLLFKIMVQQYRIEPMHEHYGCMVDLLGRAKLLGQAADLIQSMHLRPNVVIWGSLLAACRMHGDLELGTFAAKKILELDPNHGGAQVLLSNIYAEYGNWNDVKEVRGVMEVQGTWKKKGCSWMELNGSVHQFAVGGFLEISADLSNFEQKIEFSLYKVRLRKQQQLRYQQLLEMCNRIPKDTMEPGCN >ORUFI06G13230.1 pep chromosome:OR_W1943:6:10936891:10939839:-1 gene:ORUFI06G13230 transcript:ORUFI06G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQIVHMNPGQGETSYARNSTIQKTAQDRMKPLIEEAVTAFCGVSVPKSMAIADLGCSSGPNALTLISSTVDAIHRYCMECAQPPPEMCLFLNDLPSNDFNSVAKSLAEFKHSQDVSSHHVVVANMVPGSFYERLFTSDSVHFFCSSISLQWLSKAPEELAKRKIPMYDSDERLRLLNHEIVADAYARQFRKDFTLFLSLRARELVLGGRLIFSLIGRCSSNPASVSTQVWKVVSVALNDMASRGVISKEKFDTFHIPIYAPMENELNGIIEDEGSFQINKAMAHDTFLATDGVLASPNTIAAMVRAVFEPAIVQHFGFSAGIMDDFASVVERLSTTSAVEAEFPLACLCFSLTRAR >ORUFI06G13240.1 pep chromosome:OR_W1943:6:10958112:10962199:-1 gene:ORUFI06G13240 transcript:ORUFI06G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQILHMNPGQGETSYARNSTIQNTLFSKTSIISKKELHLQKIAQDRMKPLIEDAIKAFCGAALPKSMVIADLGCSSGPNALTLVSTMVNAIHRYCMEHKQPQPEMCIFLNDLPCNDFNTVAKSLGEFKHGQDSSSHHIIVTSMVPGSFYDRLFTSTSVHFFCSSISLHWLSEVGMQLDSVNYMYVNSIRIPYICCHGIIGQYSPLVPFYKQAPEELVKSKIPMYDSDDKLRLLNREIVANAYARQFRKDFTLFLSLRAQELVLGGQLIFSLVGRCSSNHASKSTQVWKLLAIALNDMASRGMISKEKFDTFHIPIYAPLDKELDSIIEDEGSFRINKTMVYDAFLATDGMLPSPNIMASMTRAVFEPVIVQHFGFSGETMADFSSAVERLSSSSFLEAEFPLVCLCLSLTRAR >ORUFI06G13250.1 pep chromosome:OR_W1943:6:10980634:10980891:-1 gene:ORUFI06G13250 transcript:ORUFI06G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRRPRVSRQARRLRLDKGTRGRWALVQAVAAYREELAAAASQLGIGGFMREGDDGSGPAKRWRLARQATGRAQRAGLTGAAS >ORUFI06G13260.1 pep chromosome:OR_W1943:6:10984835:10988872:1 gene:ORUFI06G13260 transcript:ORUFI06G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWLVFFRGAGDNIFDAIAVAASDHPAALRSRRDAIAQRFYTAYRWRCLPRGAPPPRHRRRSCSTPRAPLASDRANVIADDGGVPCHEDPVAAETERIKAVLLNDQEKSEATLLELLRRLQQLELTVDTLTVTEIGKAVSSYRKHNSKQIRHLVQLLIEPAISLLSTTDCFSPKHRWPEDVAWHPDGELIFAMYSADNGDSQVSLMNHSISGQKKVSFLPVKPHTKEIINNINFMPWSDVCFVTGGSDHAVNKKMIHGTTQKCTRICILLLSWVLLDYSKKKCNIISWQ >ORUFI06G13270.1 pep chromosome:OR_W1943:6:10992628:10994032:1 gene:ORUFI06G13270 transcript:ORUFI06G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTMVVLFVVAASLLLLSQDVAFAARELADASGEASKGGDKKDDISISIGVTVGATPVVTINTKPKHHGKTPSYGHSHP >ORUFI06G13280.1 pep chromosome:OR_W1943:6:10995422:10998652:1 gene:ORUFI06G13280 transcript:ORUFI06G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWFQPTTAEQLTRALHQIHHPFFFLSLPPKSPTSSAAFAAIPPAPPRRPPPSPRRRLQRGRPNRDWGFDDGELISIVVGAGGDAVLQRGAERGGGGGGGPPPPPPPRLHAKGGLSFPSNLHIDDLLYGQHHALPHPPPPPPPPQPAKEPPPPTKPKHPKPKQQQHPQPPPPQKPPQGSTNLSLPNPSGSGSGNGNPPPSPQLQLSTVIADVFVTPSSAPPLIAPIKAFRKQNHPRPRPDKASRPSKENKDKASKVKVKKRRRSDRAADGDGERCSRTEVTVIDTSTDGWKAAKLLLRRGAVWKVRDKASGVSEPEDPTKMKRRAGLVSKIQRDREKQKQKEKEATSSGNIHASSGDGMKEPDGPIQALKRSRGPEPEPEIIALLH >ORUFI06G13290.1 pep chromosome:OR_W1943:6:11009828:11011292:1 gene:ORUFI06G13290 transcript:ORUFI06G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSLILFGVLLASLLLVSQDVVAARELTEAHESERKNVKPEVEQNNWGGGYMHGGGYEHGGGYSQPRYGGGYGQPGYGGGYGQPGYGSGYGPGYGGGGSGPGYGGGYGSPGYGGGYGSPGYGGGSGYGGGYGGGYGGGYGGGSGYGGGGGYGGGSGGGGQHGGWH >ORUFI06G13300.1 pep chromosome:OR_W1943:6:11031372:11032107:-1 gene:ORUFI06G13300 transcript:ORUFI06G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNFLVLGVFLAALLMFSLDDVAHARELTEANESEGKNVKPTGGPGVEDQKWGGGYYPGGGYGYGGGYGGEYGRPGYGGGYGGGYGHPGYGGGYGGGYGRGYGGGYGGSGGGYGGGYGGGYGGGYGGGGGYGGGYGGGGWY >ORUFI06G13310.1 pep chromosome:OR_W1943:6:11040201:11041789:1 gene:ORUFI06G13310 transcript:ORUFI06G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKKFFLLGVFLAALLMFSLDGKNVKPTGKPGVDDQKWGGGYYPGGGFGYGGGYGGGYGRPGYGGGYGGGYGYPRYGGGYGGGYGCGYGGGYGGYGGGYGGGYGGGYGGGYSGGGYGGRYGGGGGWH >ORUFI06G13320.1 pep chromosome:OR_W1943:6:11051972:11052693:1 gene:ORUFI06G13320 transcript:ORUFI06G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNLLLLVFLTALLFFFLDVAHARELSEASESEGKNVKPSGMPGVEDQKWGGGYHHGGGYGYGGGYGGGYGRPAYGGGYGGGYGHPGEVVTVVAVAMVVVEATEEDKVVVGLDK >ORUFI06G13320.2 pep chromosome:OR_W1943:6:11051972:11052693:1 gene:ORUFI06G13320 transcript:ORUFI06G13320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNLLLLVFLTALLFFFLDVAHARELSEASESEGKNVKPSGMPGVEDQKWGGGYHHGGGYGYGGGEVVTVVAVAMVVVEATEEDKVVVGLDK >ORUFI06G13330.1 pep chromosome:OR_W1943:6:11058494:11059961:1 gene:ORUFI06G13330 transcript:ORUFI06G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNLLLLGVFLSALLFFFLDVAHARELAEASESEGKNVKPTGGSGVEDQKWGGAHGGGYGYGGGYGGGGYGHPGYGGGYGGGYGHPGYGGGYGGGYGQGYGGGYGHPGHSGGYGGGYGGGYGGGYGGGGGYGGGGGYGGGHGGGWP >ORUFI06G13340.1 pep chromosome:OR_W1943:6:11066110:11067321:1 gene:ORUFI06G13340 transcript:ORUFI06G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLLLLSVVVASLLLVAQEVAAARELTEANEAKGKNMEPEVVHVPQDEKIAYHGDGYGHGGGYGGGYGSGYGGGNGGGYGGGYGGYGGGYGGGYGGGGGGGGYGGYGGYGGGGYEGYGRGYGGGGGGGGYGGGGYPGGGYYGGGGGGGWH >ORUFI06G13350.1 pep chromosome:OR_W1943:6:11069518:11077417:1 gene:ORUFI06G13350 transcript:ORUFI06G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRASSLLLVAQDVSAARELAEANEAKGKNMKQEVAYGPQDEKLAHHADGYGHGGGYGGGYGSGYGGGNGGGYGGGYGGYGGGYGGGYGGGGGGGGGGGYGGYGGYGGYGGGGYGGYNKGYGGGGGGGYSKGFGGGYGGGGYPGGGYYGGGGGGGWH >ORUFI06G13350.2 pep chromosome:OR_W1943:6:11069472:11077417:1 gene:ORUFI06G13350 transcript:ORUFI06G13350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPPSSHLTLPYAITSIEAKGKNMKQEVAYGPQDEKLAHHADGYGHGGGYGGGYGSGYGGGNGGGYGGGYGGYGGGYGGGYGGGGGGGGGGGYGGYGGYGGYGGGGYGGYNKGYGGGGGGGYSKGFGGGYGGGGYPGGGYYGGGGGGGWH >ORUFI06G13360.1 pep chromosome:OR_W1943:6:11088438:11089454:1 gene:ORUFI06G13360 transcript:ORUFI06G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLLLAVVLVSLLLVSQDAAAARDQFINDNEVQLKNMKYENGAGFTDEKLGYGGGGYGGARYAGGYGVGAGYGGGYGGYGNNGGGGGGGGDGWH >ORUFI06G13370.1 pep chromosome:OR_W1943:6:11090375:11090590:-1 gene:ORUFI06G13370 transcript:ORUFI06G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQADKLEDSRAIELEDGRVSELRGRCGVQARRNRLTSSRARPGELEVWLVFRLKNGGAPGLWHGRLSELT >ORUFI06G13380.1 pep chromosome:OR_W1943:6:11094475:11100881:1 gene:ORUFI06G13380 transcript:ORUFI06G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPRQQHEPEHLGGGGIDVEVELDPEDLQPSVPLKKVPAGDLFEAARAGDCARLALLLGGGANVNERDRWDSVALYYACLAGHADAARMLLEAGAVCAERTFDGDRCHYAALNLRLRRLLKAFEARPPPLPPLPAALRATFLACPANRAAFLEMLQWTAGSEAAALAAAAGFGPTDNPSSTSLFPPDITFYVDGKPIEAHRVILCARSSFFRRKFNTDWKDRKEVRFSSQKLSFGALYSLVHFFYSDRLEVDVDDMENLARACKVCKCEGLQKILIKEATLQRYAEHKSPRDLDSSQKRFILHGQSLPEEDRLPSALRHIFEECLANSREQECYNDESNEMSRDSGVDAAADLYIKVCDKVFHCHQVILASRSEYFKARLSRNMDFLEVKSGLQSTQSLPFLEEHDMSTEAFEKVLEYMYTDNLEHMDPNQAEELFDIASRYLLFPLKRVVADILLPYLEHVSPAELCHWLMLSDIYDVVKIREYCLDIIACNFEMFADTREFRALLLTLPPPSGDDSLRTTRPSEPGTAGNTDQGNLLDDLREKWLEAEAAELDERDESAKLFDNRLEMLMLVAEQEANDGNV >ORUFI06G13380.2 pep chromosome:OR_W1943:6:11094475:11100881:1 gene:ORUFI06G13380 transcript:ORUFI06G13380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPRQQHEPEHLGGGGIDVEVELDPEDLQPSVPLKKVPAGDLFEAARAGDCARLALLLGGGANVNERDRWDSVALYYACLAGHADAARMLLEAGAVCAERTFDGDRCHYAALNLRLRRLLKAFEARPPPLPPLPAALRATFLACPANRAAFLEMLQWTAGSEAAALAAAAGFGPTDNPSSTSLFPPDITFYVDGKPIEAHRVILCARSSFFRRKFNTDWKDRKEVRFSSQKLSFGALYSLVHFFYSDRLEVDVDDMENLARACKVCKCEGLQKILIKEATLQRYAEHKSPRDLDSSQKRFILHGQSLPEEDRLPSALRHIFEECLANSREQECYNDESNEMSRDSGVDAAADLYIKVCDKVFHCHQVILASRSEYFKARLSRNMDFLEVKSGLQSTQSLPFLEEHDMSTEAFEKVLEYMYTDNLEHMDPNQAEELFDIASRYLLFPLKRVVADILLPYLEHVSPAELCHWLMLSDIYDVVKIREYCLDIIACNFEMFADTREFRALLLTLPPPSGDDSLRTTRPSEPGTAGNTDQGNLLDDLREKWLEAEAAELDERDESAKLFDNRLEMLMLVAEQEANDGNV >ORUFI06G13380.3 pep chromosome:OR_W1943:6:11094475:11097233:1 gene:ORUFI06G13380 transcript:ORUFI06G13380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPRQQHEPEHLGGGGIDVEVELDPEDLQPSVPLKKVPAGDLFEAARAGDCARLALLLGGGANVNERDRWDSVALYYACLAGHADAARMLLEAGAVCAERTFDGDRCHYAALNLRLRRLLKAFEARPPPLPPLPAALRATFLACPANRAAFLEMLQWTAGSEAAALAAAAGFGPTDNPSSTSLFPPDITFYVDGKPIEAHRVILCARSSFFRRKFNTDWKDRKEVRFSSQKLSFGALYSLVHFFYSDRLEVDVDDMENLARACKVCKCEGLQKILIKEATLQRYAEHKSPRDLDSSQKRFILHGQSLPEEDRLPSALRHIFEECLANSREQECYNDESNEMSRDSGVDAAADLYIKVCDKVFHCHQVILASRSEYFKARLSRNMDFLEVKSGLQSTQSLPFLEEHDMSTEAFEKVLEYMYTDNLEHMDPNQAEELFDIASRYLLFPLKRVVADILLPYLEHVSPAELCHWLMLSDIYDVVKIREYCLDIIACNFEMFADTREFRALLLTLPPPSGDDSLRTTRPSEPGTAGNTDQGNLLDDLREKWLEAEAAELDERDESAKLFDNRLEMLMLVAEQEANDGNV >ORUFI06G13390.1 pep chromosome:OR_W1943:6:11099256:11101988:-1 gene:ORUFI06G13390 transcript:ORUFI06G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGEPSSSSAAAAAISCSSSNDDEKPPPSLEGKELRRVEEEEPAAAARPEKLTEGSSRAAEAEEEEEVVEDEEDDDEEDEGKVAEAIDLGPRVSIKEQLEMDKEDESLRRWKEQLLGSVDLNSVGESLEPDVRITSLCILSPGRPDVLLPLPVEPSNSKEPWFTLKEGSTYRLKFTFSVSSNIVSGLRYTNTVWKAGIRVDKTKEMLGTFSPQLEPYTYVTPEETTPSGVFARGSYSAKTKFVDDDRKCYLEINYTFDIRRDWPCKS >ORUFI06G13400.1 pep chromosome:OR_W1943:6:11109400:11113885:1 gene:ORUFI06G13400 transcript:ORUFI06G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWWWWSEAVVVGGGTGGGSSGTVVSICVFTAVLCVCLVAGHLLEENKWVNESITALLIGCVVGAIIFLLSEGKNSRILRFDEQLFFIYVLPPIIFNAGFQVKKKQFFHNFLTIMSFGIFGVFISVAIVSTGCYWLFPKVGFGDLGAVDYLDLILFTTTALGAIFSSTDTVCTLQVISQDETPRLYSLVFGEGVVNDATSVVLFNAIKNLDITQLKGGVALKVISDFLYLFFTSTMLGVTIGLSTAYALKALYFGRHSTDREVALMALMAYLSYMLAEFLDLSGILMVFFCGIVMSHYAWHNVTESSRITTRHIFATLSFIAETFIFLYVGMDALDIDKWKTSETSFKTSLGIFGIIISLVLLGRAAFVFPLSIMSNYMSGSSEKAPITFNHQVVIWWAGLMRGAVSIALAYNQFTFSGVTLDPVHATIITSTIIVVFFTTLVFGFLTRPLISAILPHQHRQSTTPGTGGGGRSTGSNSPKDDFIMPFLSPDEEASGSGSGFLQAKRSISMLLERPVHTVHIYWRKFDDRFMRPIFGGPMERDRGNCY >ORUFI06G13410.1 pep chromosome:OR_W1943:6:11115749:11116943:-1 gene:ORUFI06G13410 transcript:ORUFI06G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPRFDNISYSMPSSPTTATIASPSSSSAYRCAPRSMMPFSASMTTPCRPLHAMWLGYLDTSTVTSATSTMATLRTITLITIVSHALATSITTQRAIIVEFFYRYQASALLTLGLWGNVRVYGASFVSQSLEELLRRKNYPLLSGPFPSIPGGFPAVGKGGSSASGSFVLVASAMDVLVGFFSGEDSLSIIFQVHH >ORUFI06G13420.1 pep chromosome:OR_W1943:6:11121792:11126266:1 gene:ORUFI06G13420 transcript:ORUFI06G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVECSLPAARAPRPLPRRLPPLPGGRPALGAAGRSGSRLRVRSERTRRRDSPTMPTAASERDGGGRAALGKAAAGLAAAAVVSLTGLAAEPLSPPPPARAESLTVAFPVSKAREVNRVQRTLVEAWGLIRETFVDPTFNHQDWDMRLQQTMVEMFPLKSEDAAYGKISGMLSTLGDPFTKIISPKEYQSFRIGSDGSVQGVGVFINKEPSSGRLLVMDCIEGGPADRAGLHGGDELVEIDGKSVSGLDGEAAAQRLRGRVGTTVKVKVLDVQLSREVINLSPLSTAIISHRSDDGRECKTGYVRLAAFSQASFMTAAAEMESAIKKMEDEGVQSYILDLRNNPGGLVKAGLDVAQMWLDGNETLVNTVDREGNVLPINMARGHSLTHDPLVVLVNEGSASASEILAGALHDNGRAILSVTELDDGSALFITVAKYLSPALHEIDQVGIQPDIQCTPEMLSLPRAPSLKEDDKATNLEMDSCIMVAEQALEIEKSKGSAS >ORUFI06G13420.2 pep chromosome:OR_W1943:6:11121792:11126276:1 gene:ORUFI06G13420 transcript:ORUFI06G13420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVECSLPAARAPRPLPRRLPPLPGGRPALGAAGRSGSRLRVRSERTRRRDSPTMPTAASERDGGGRAALGKAAAGLAAAAVVSLTGLAAEPLSPPPPARAESLTVAFPVSKAREVNRVQRTLVEAWGLIRETFVDPTFNHQDWDMRLQQTMVEMFPLKSEDAAYGKISGMLSTLGDPFTKIISPKEYQSFRIGSDGSVQGVGVFINKEPSSGRLLVMDCIEGGPADRAGLHGGDELVEIDGKSVSGLDGEAAAQRLRGRVGTTVKVKVLDVQLSREVINLSPLSTAIISHRSDDGRECKTGYVRLAAFSQTAAAEMESAIKKMEDEGVQSYILDLRNNPGGLVKAGLDVAQMWLDGNETLVNTVDREGNVLPINMARGHSLTHDPLVVLVNEGSASASEILAGALHDNGRAILSVTELDDGSALFITVAKYLSPALHEIDQVGIQPDIQCTPEMLSLPRAPSLKEDDKATNLEMDSCIMVAEQALEIEKSKGSAS >ORUFI06G13430.1 pep chromosome:OR_W1943:6:11128177:11134155:1 gene:ORUFI06G13430 transcript:ORUFI06G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRLNKGSAGVACVYFAFLHILVLRTLQVCTFYQKGSCSYGSRCRYDHVKVSRNPTVAPPPSSSTTTRASSSLQPLSFGRPHHVGYQADSSNPRQQISMDVLAHSGSKPVWRNDFQHESVLEDGIDWSISPTVQNQTTLSPADLPICSFAAGGNCPYGEECPQMHGDLCTTCGKMCLHPYRPDEREEHTKLCEKNHKRLESLKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRNNSPTSGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKLEIIDNYKAKLKSIDCKYFDFGTGTCPFGSSCFYKHAYRDGRLEEVILRHLDADDGSTVIAKNIRLSDFLSRLHL >ORUFI06G13440.1 pep chromosome:OR_W1943:6:11135673:11135870:-1 gene:ORUFI06G13440 transcript:ORUFI06G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVAVLLMAVASSLLVMASAQEFNAPASSPAPSPMAGAAPGSASPLAVASSALVTLLAAALMQ >ORUFI06G13450.1 pep chromosome:OR_W1943:6:11142447:11144013:1 gene:ORUFI06G13450 transcript:ORUFI06G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKIACAVLVAASATVALAVEAPAPAPTSAAATSAAFPAVGAVIGASMLSFFAYYLQFKFQKVTTSNNILKQ >ORUFI06G13460.1 pep chromosome:OR_W1943:6:11145883:11147032:1 gene:ORUFI06G13460 transcript:ORUFI06G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGSGGSAAAGASRARRGRAAQHPEQPPETWIEVEEAPARESSDESSSSSFSGSRSGAQGADPLPAARLLPTSRELRPSRGCSRRTPHCCTREHREHGRRSWWARTCFNPFANKKKKRCRKQLTWGIPWIILDLISSTRDKYNEEEPNAFDLFKEFHYSKKKKCYTTSVQEAIVR >ORUFI06G13470.1 pep chromosome:OR_W1943:6:11165506:11172380:1 gene:ORUFI06G13470 transcript:ORUFI06G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNNGNGYLGVTEPISLSGPTEKDVVRTQEVEKCLADAGLYESQEEAVSREEVLGKLDQIVKAWIKKATRASGFGDQFVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRTEYFFQALYDMLVDMPEVTELHPVPDAHVPVLKFKLNGVSIDLLYANLTHVVIPEDLDLSHDSILHNVDEQTVRSLNGCRVTDKILRLVPNILVCTSCIVYFSDDMLNALWWIRYDGRLFASTFRTTLRFMRFWAKRRGVYSNVIGFLGGINWALLVARICQLYPNASPSMLISRFFKVYSKWKWPNPVMLCHIEEGSLGLLVWDPRRNFRDRGHHMPIITPAYPSMNSSYNVSISTRHVMVQEFTRASDICQAIDERKADWDALFEPYPFFESYRNYLKIEITARNEDDLRNWKGWVESRLRTLVLKIERFTREMLLSHPNPRDFIDSSRPLHCFYFMGLWKKQISQAQEAEQYDIRAIVNEFKSNIHAYQHWREGMEIEVSHVKRKDIPSFVFPGGIRPSRPSRTVGKEARAVSRSNISANVQERNVPSMAQPMPYKSSEVNKIPSDPHGGYQSQERNNAVVSSLPCEETGHMFNGYANLHTESVELEHLRSYKGSTSVPENHVVHDLVKPPESMPPNSIHVYPSPTNGLGHLLDSSCKKPADIIVNKTTNFSSAVLAVPDELDELDSHQVKVNQKDLTAVDQGLSLEHKVGSNGGKAGTTGSPDNNHLKRKAEEELEPLELAAPLVRPPAPTSMTQRRPLRLRLSTVVQPKPAEGTS >ORUFI06G13470.2 pep chromosome:OR_W1943:6:11165506:11172380:1 gene:ORUFI06G13470 transcript:ORUFI06G13470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNNGNGYLGVTEPISLSGPTEKDVVRTQEVEKCLADAGLYESQEEAVSREEVLGKLDQIVKAWIKKATRASGFGDQFVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRTEYFFQALYDMLVDMPEVTELHPVPDAHVPVLKFKLNGVSIDLLYANLTHVVIPEDLDLSHDSILHNVDEQTVRSLNGCRVTDKILRLVPNILTFRTTLRFMRFWAKRRGVYSNVIGFLGGINWALLVARICQLYPNASPSMLISRFFKVYSKWKWPNPVMLCHIEEGSLGLLVWDPRRNFRDRGHHMPIITPAYPSMNSSYNVSISTRHVMVQEFTRASDICQAIDERKADWDALFEPYPFFESYRNYLKIEITARNEDDLRNWKGWVESRLRTLVLKIERFTREMLLSHPNPRDFIDSSRPLHCFYFMGLWKKQISQAQEAEQYDIRAIVNEFKSNIHAYQHWREGMEIEVSHVKRKDIPSFVFPGGIRPSRPSRTVGKEARAVSRSNISANVQERNVPSMAQPMPYKSSEVNKIPSDPHGGYQSQERNNAVVSSLPCEETGHMFNGYANLHTESVELEHLRSYKGSTSVPENHVVHDLVKPPESMPPNSIHVYPSPTNGLGHLLDSSCKKPADIIVNKTTNFSSAVLAVPDELDELDSHQVKVNQKDLTAVDQGLSLEHKVGSNGGKAGTTGSPDNNHLKRKAEEELEPLELAAPLVRPPAPTSMTQRRPLRLRLSTVVQPKPAEGTS >ORUFI06G13480.1 pep chromosome:OR_W1943:6:11174823:11177637:1 gene:ORUFI06G13480 transcript:ORUFI06G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKQRPGGARKDEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTIDVRVDVKLNKHIWSSGIRSVPRRVRVRIARRRNDEEDAKEELYSLVTVAEVPPEGLKGLGTKLVEDDE >ORUFI06G13490.1 pep chromosome:OR_W1943:6:11178142:11178789:-1 gene:ORUFI06G13490 transcript:ORUFI06G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLGPARAPAAAALPCRFSPTFRPHAPPPAPSNSRAHPPLLARARRNKSSRIDADADAEPKVITIGRPGKKSRRRRGQPPPSKEEGSEEEDEEEEEERDVAIPEVVTNRMMRRVGVSVGAPLAVGVAFLPAFYYLKKAAKVDVPTWIPFGVSFLFFGAALLGVSYGIVSASWDPAREGSLLGWNEARRNWPVFWDSLRGGGGGPSSPPPPRRR >ORUFI06G13500.1 pep chromosome:OR_W1943:6:11181902:11182472:1 gene:ORUFI06G13500 transcript:ORUFI06G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWACRSLPNCPNGKSSWSELVGKKGSEAMAVILRERPDITRAILVPQDAVITDDYCCNRVRILVDCGDGGGDCGDASVTAVPMIG >ORUFI06G13510.1 pep chromosome:OR_W1943:6:11183874:11184391:-1 gene:ORUFI06G13510 transcript:ORUFI06G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEKVDATVADFDAHFDKLFAAGDDAEGKVKLLLFLADRDASSNQTWCPDCNVAEPVIYDRVEAAAKGKEKDVVLLRAYVGDKPTWRDPAHPWRADPRFRLTGVPTLIRWENGAAAARLGDDEAHLADKVDAVVNAAN >ORUFI06G13520.1 pep chromosome:OR_W1943:6:11186029:11189265:1 gene:ORUFI06G13520 transcript:ORUFI06G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDQAEIRSLPIDIAFGRLQEWLVDRKRVPQDWRKRLAGIRARLAAAFASLPRDLDPSLLALEPDEIGYLEAKKIYGILLESNPESRNIFGRLTGSAGEWEAIVKAYEKDHVFLGEAAQIMVQNVNYDMYVRCPFLIHGFDYSLTVFVLDLALQWLTLLAVLTGCSPYQRKQMQKTQQQLAELDRREADIKRLAALSATRYAEACQELGLQGINVRQELIESAKTLPSTFTKILEVLNSDPVSIATEYYTTFVRDCHTEDKENCKSVLQNLKQLQANPPSLHISVCNEVESSLGETSKALESNVTGAENIDSNISADDIDWDISLDDNGIDWDIGAVEQPVEESGNGFGSYEIIDANVELAGSENYNFGISDDPSVNKSSSSEPGICWDITDVNPEENASIQNAPESGQSQSLAEERSQLLEKEYRNNILDDLLEVRAFLTQRLGEMRNADTSSLQHQVQAVSPFVLQQYAPENLENMLAEVSSAISLLSNQKTLDLIMILNSKRFLDRLVSTLEDKKHHEVKLREGLGDLSVKRMELQNALSSSWPKQEAAITKTRELKKLCETTLSTVFDGRPVHIIGEINTLLSSSVSQLAG >ORUFI06G13530.1 pep chromosome:OR_W1943:6:11189909:11194682:-1 gene:ORUFI06G13530 transcript:ORUFI06G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLWLLLLLLMASSTSSRSEMKAGEVIRRSQFPEDFFFGTASSAYQYEGAVREGGRGPSIWDTFTHNHPEKIANGSNGDIAIDSYHRYKEDVGIMKGLGLNAYRFSVSWPRILPNGKLSGGVNLEGIKYYNNLIDELISKGVEPFVTLFHWDSPQALEQQYGGFLSNLIVEDFRDYADICFREFGDRVKYWITFNEPWSFSIGGYSNGILAPGRCSSQGKSGCSKGDSGREPYIVAHNQLLAHAAVVQIYREKYQGGQKGKIGIAIVSNWMIPYEDSKEDKHATKRALDFMFMDPLTKGDYPVSMRTLVGNRLPRFTKEQSKAINGSFDFIGLNYYTARYIQGTKQDSNSHKSYSTDSLTNERVERNGTDIGPKAGSSWLYIYPKGIEELLLYTKRTYNNPTIYITENGVDEVNNENLSLKEALIDTTRIEFYRQHLFHVQRALRQGVDVRGYFAWSLFDNFEWMDGYSVRFGINYIDYKDGLKRYPKRSSQWLQNFLHN >ORUFI06G13540.1 pep chromosome:OR_W1943:6:11198630:11199067:-1 gene:ORUFI06G13540 transcript:ORUFI06G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGRKLTNGRSHEDKGSSGEEVVPARKRRGRPQKRIVDKVVDQAEAKNLTEGDDGDADYQQGEGEDGSAKPKVSRTEKSSAGKGNKRNRLPKEEESSNLDLEENSSSTRSSNDESTRSNGFRQNGSRRKSTPRRAAEAGI >ORUFI06G13550.1 pep chromosome:OR_W1943:6:11204878:11206796:1 gene:ORUFI06G13550 transcript:ORUFI06G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGLRSCSAVGVPSLLAPSSNRSRLPVCAYATTSGRVTMSAEWMPGQPRPAHLDGSSPGDFGFDPLGLATVPENFERFKESEVYHCRWAMLAVVWFLILISAWHGLRRHGRTELNRMLVQPGVLVPEALGLGNWVQAQEWAAEPGGQATYLGNPVPWGTLPTILVIEFVAIAFAEHQRTMEKDPEKKKYPGGAFDPLGFSKDPVKFEEYKLKEIKNGRLAMLAFVGFCVQQSAYPGTGPLENLASHLSDPWHNNIGDIIIPRTIYP >ORUFI06G13560.1 pep chromosome:OR_W1943:6:11209459:11214732:-1 gene:ORUFI06G13560 transcript:ORUFI06G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAEAPAPSPSPTAAANSRPRRVLEELSWDDSFVRELPGDPRSDAIPREVLHACYTKVSPSAPVDNPKLVAWSQSVADILDLDHKEFERPDFPQLFSGANPLVGSSPYAQCYGGHQFGSWAGQLGDGRAITLGEVINSRGERWELQLKGCGKTPYSRFADGLAVLRSSIREFLCSEAMHGLGIPTTRALCLVETGKSVVRDMFYDGNSKEEPGAIVCRVAPSFLRFGSYQIHATRDKEDLEIVRHLADYTIRHHYPHLENIKKSEGLSFEAAIGDSPAIDLTSNKYAAWAVEVAERTAFLIARWQGVGFTHGVLNTDNMSVLGLTIDYGPFGFLDAFDPSYTPNTTDLPGKRYCFANQPDVGLWNIAQFTSPLTAAELISKDEANYVMERYGTKFMDEYQSIMTRKLGLPKYNKQLIGKLLNNLAVDKVDYTNFFRLLSNVKADHNIPEKELLVPLKAALLDIGPERKEAWISWVQTYIEELVSSGVPDEERKAAMNSVNPKYVLRNYLCQTAIDAAEQGDYDEVRRLLKVMEHPYDEQPGMEKYARLPPAWAYRPGVCMLSCSS >ORUFI06G13570.1 pep chromosome:OR_W1943:6:11218304:11225634:-1 gene:ORUFI06G13570 transcript:ORUFI06G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAEQSAEQFRGQAKLLFLPVADAVPRLSSFRPPATDAATPGSDSSYPTPTSIPPPPTSLPSTPTPVAVWRTVASVDNASPPSRLRSGTPTALMPTAPLRLQIDAADAHHTHHQVIVVPRRIPPLRRRRIPRPRRLLRCNPCAAGDPDGSSRHHHRCLLPLYRVASPHLHKAKCALQLYFECQQTYSCIMADITNKLLLPNYRRTIDDKLFQRKECPYAPAISTISGIPIALLHSSPQLLAPPNPISNHLNARGWKPSWRNGKGRTMTGKLSFHVDELYFECQQTYSCIMADITNKLLLPNYRRTIDDKLFQRKECPYALAVSTVSGIPIVLLHTSPQLLAPPNPISNHLNARGWKPSWRNGKGRMMTGKLSFHVPGGIMRGFFLMVLSSRRHFSYAGFEQQPKKFVSPKILLLNIEQELKYEKENAEIRF >ORUFI06G13570.2 pep chromosome:OR_W1943:6:11218304:11225634:-1 gene:ORUFI06G13570 transcript:ORUFI06G13570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAEQSAEQFRGQAKLLFLPVADAVPRLSSFRPPATDAATPGSDSSYPTPTSIPPPPTSLPSTPTPVAVWRTVASVDNASPPSRLRSGTPTALMPTAPLRLQIDAADAHHTHHQVIVVPRRIPPLRRRRIPRPRRLLRCNPCAAGDPDGSSRHHHRCLLPLYRGMPIRSGRLYCIWDPHRAPPYVPGGIMRGFFLMVLSSRRHFSYAGFEQQPKKFVSPKILLLNIEQELKYEKENAEIRF >ORUFI06G13580.1 pep chromosome:OR_W1943:6:11226344:11227468:-1 gene:ORUFI06G13580 transcript:ORUFI06G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTAEGAQQPCESWASTGGALLAGVLNSGWNDERANSSKKSMADTTSCLASPTRHVAAERAIPASMWPTEVERVLKQHRQPQSQETSTTTVLRWHHGRRQLKLQVDDQVNIFTRESGQLKQPSTICHSLSSYLDG >ORUFI06G13590.1 pep chromosome:OR_W1943:6:11234538:11234900:1 gene:ORUFI06G13590 transcript:ORUFI06G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPLFFFFLFSSLLGRRQMWERRPAAKQGRRRQWAEQAPWRASSREEAGRRHQRRKKLSLASCPLRLPPPRSSGSLSRRSSGDLALRQSNGAPAGRLGRVRRPGRPRGGATARPRAKRW >ORUFI06G13600.1 pep chromosome:OR_W1943:6:11279216:11282875:-1 gene:ORUFI06G13600 transcript:ORUFI06G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKGEKPPHPASEAANEGVHPEPPKGEAHSERKPKVVMRPKLLRAARRADYQQLKDLLQIRDERRDAPTTTQDIVLNVDTEGADDPRSILEGTTFQGDSALHVVATSGDGDNFKDCATLIYGKARHLLKATNNDGDTPFHCAARVGNGNMVTHLLTLATARDGGYQQQEVEELLRTENLRHETVLHEAVRLANKHMIDELMMVDPKLARHPSNGASPLYLAVRLVEDIKVAEKLHENDRDLSCLGPKGQNIVHAAVLQNIDVCSFRLNKVLGWNMDLVREGDQYGRTPLHFAAPIDHVHISNSRKLLIFIFRKYIVRCFKFLGWSLPIRETNGDNNKDRLTAILMDADESLAYQPDNNGSFPIHIAASEDSLDAVKIFLTKNPDCVNLRNAQGRTFLHVAVEEESYEIILHVCWSKRLAARIVNMQDNDGNTALHLAVAAGDLDAVLHLLMNPKVEINCLNYEGLTPLDISLRREPQGLRHELNKQNWIRQSLYIAAYGKVPCAHPRFDNCKQKVCFCKRITENGNSGSKDDRENAHEQKDSKTITESTQVMGICSTLIATVAFAAAFTLPGGYRADDHPNGGTPTFIGSYAFMAFVFSITSAFIFSLLATFSLVYSGMAKVDYEIRLQQLNSANGLVWRSIRCLLAAFALGLYVVLDPVSHWTALIVCVMCSIGLLYGHVEVVTQIKLAMFLHVRIGFKIWWILRSKIIGQFLRPFWPFIIIFGLPAYLKWRHQH >ORUFI06G13610.1 pep chromosome:OR_W1943:6:11330008:11330568:1 gene:ORUFI06G13610 transcript:ORUFI06G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPFLCGGDDPVKNSEVRPPARHPREGPNDGDGGHKPSPPPHAGGAVNGNGGAAPPAPATSPDTEVRAPTYGDKQISPPKEGAAGKPPMVVPAANHPQAPTGEEAKKAHGGGGAVGRRNGISSTVLTAPPPVGPMAAPATTVKDAPPAAAAAAANDVHGDADEQHPGYGDHGEVDDRKPRRRSWL >ORUFI06G13620.1 pep chromosome:OR_W1943:6:11343561:11356786:1 gene:ORUFI06G13620 transcript:ORUFI06G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFNIPSYAATLEQFREAVNADGSFAVNQLEHVMGSRLAVDDDPHDRRAVGRRVANNQRSIFRTLVEAHIGRGLVDELFVRRDLVDAGLIDGGSMDSFNIPSYAATLEEFREAVKADGSFAVNQLEHVMGSRLAMDDDPHDRRAVGRRVANNQRSIFRPLVEVHIGRALADELFVRMERRAGELAEELGDEMGVHFHIVCSLSLV >ORUFI06G13630.1 pep chromosome:OR_W1943:6:11361089:11363497:1 gene:ORUFI06G13630 transcript:ORUFI06G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQDVKNVFCMKGGQGESSYLKNSKAQLRNLQMMLYALEETLDTIPIPPRGPGKLLLLTAADLGCSCGRNSHVVADAIVQHMTKLCRASVSTAATATAYPEFCFYFSDLPSNDFNTLFSLLPPHAASSGDGSGRRYFAAAVPGSFHDRLFPERSIDVLDEVADTRSPAYNKGKVFVQGSSEETGAAFRRQFQSDMARFLRCRAAELKPGGAMFLVFVGCPSSAGPTDQGRSFNLLGTMFEESWRDLVDEGLIDGGSMDSFNIPSYAAMLEEFREAVDADGSFAVNRLEHVMGSRLAVDDDPHDRRVVGRRVANNQRSIFGPLVEAHISRALADKLFVRMERRTRELADELGDEMGSTSTSCARFHSSDDIYITVY >ORUFI06G13640.1 pep chromosome:OR_W1943:6:11391670:11392023:1 gene:ORUFI06G13640 transcript:ORUFI06G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEGGAAPGRLVIVGGGGGGRSSHHGGGGLSRGRSRPPPPSPPHRRQRQRGSWRRARSQAACLLPPARRVLPAPGIQPRRRSPPAPGRHLVGGTEKAASRAPHIRSGGEGEERQRE >ORUFI06G13650.1 pep chromosome:OR_W1943:6:11392235:11394035:1 gene:ORUFI06G13650 transcript:ORUFI06G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQDVKNVFCMKGGQGESSYLKNSKAQLRDLQMMLYALEETLDKIAIPPRGPGRLLLTAADLGCSCGRSSLVVADAIVQHMTKLCRGRGKHVDAVAAADPEFWFYFSDLPSNDFNTLFSLLPPHAASSGDGSGRRYFAAAVPGSFHDRLFPERSIDGKVFVQGSSEETGTAYRRQFQSDMARFLRCRAAELKPGGAMFLVFVGRPSSAGPTDQGRSLNLLGTMFEESWRDLVDEGLIDGGRMDSFNIPSYAATLEEFRESVDADGSFAVNRLEHVMGGRLAVDDDPHDDRCAVGRRVANNQRSIFGPLVEAHIGRALADELFVRMERRAEELSDELVDEMGVRFHILCSLSLV >ORUFI06G13660.1 pep chromosome:OR_W1943:6:11396307:11396822:1 gene:ORUFI06G13660 transcript:ORUFI06G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFLRCRAAELKPGRALFLVFIGRSSSAGPTDLGRSFNLLGAMFEESWRDLVDEGLIDGGTMDSFNIPSYAATLEVFREAADGSFAVNRLEHVMGSHLAMDDDPHDRRVVGRRVANKQRSIFGPLVEAHIGRGLVDELFVRVESPVGELADELGDEMGVHFHIVCTLSLV >ORUFI06G13670.1 pep chromosome:OR_W1943:6:11397868:11398421:-1 gene:ORUFI06G13670 transcript:ORUFI06G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDTMAVDGGASGGRRWLRRRHYFGPRCRMLGNTLTSKEVSSNKQMLHVGDIDRTSKSYICTSCSMWLAAEDRVESTGDGDDGWLLLHNVELISIPHRYILP >ORUFI06G13680.1 pep chromosome:OR_W1943:6:11429983:11432314:-1 gene:ORUFI06G13680 transcript:ORUFI06G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFGCAPAIENSPNKCNRGSIYQHAIPPWFLTDPHHQCLSPNETESSNQEQRVQEAVGIATSCLGAKPWILSFVVETPSLSKMSSTFALAMVFFSSDTFTRMLTSFVIGGRSFESD >ORUFI06G13690.1 pep chromosome:OR_W1943:6:11435681:11436058:-1 gene:ORUFI06G13690 transcript:ORUFI06G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSRRRCPLLLPSRHYRRPCIAGRRLLLSARRKGESDLGAAASPPASQPQPSPPRWPPLRRRSLRRRRRVAHRRFLSRLAPGDLSTPAGRLATCRGDKREREKGGRGKGRERVLTWHPYMWVSR >ORUFI06G13700.1 pep chromosome:OR_W1943:6:11440009:11443301:1 gene:ORUFI06G13700 transcript:ORUFI06G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVPIHMLMLLVATAFSWWGTRRKNITRSMATPMEDVESVGSCSPCNSMIYNESFVHTEDQTQHFQGSPELKTSRGKMTMALLLVSYVLANFAFFGVAVGLVVFLRQVLHQENAEAANSVSMWMGTVYIFSLFCAFLSDSYMGRYITCIMFQFIFIVGLMLLSLLSWFLLVEPPGCGDGGGLRQCAAPSRRGVAVFYLSIYMAAFGNGGYQPSVATFGADQFDDADPGERRRKQAFFCLFYLSLNVGSLFYNSVLVFFEDRGRWVAGFWVSTAAAALALALFLLGTPRYRRVRPAGNPLTRIAQVFVAAYRKRHIVPPPGDHLHEVDGEGSAIRGVGKLAHSDQLRFLDKAATATEEDYHDGNAKNPWRLCTVTQVEEAKCVVSMVPIWICSIVYSVEFTQMSSLFVEQGAAMDTDILGLFNAPAASMSVFDVAGVLATLAFSHYVLVPAAARLTKNPRGVGELKRMGAGLVIALLGMVAAAVVEVHRRRRSGAGGRAMSVLWQAPQYAVMGASEVFVYVGQLEFFNVQSPEGVKSLGSSLCMASISLGNYASMVMVSAISGVASRRRTGGGTAGWILAELDRGHLDRFFITLAVLSAVDLVVFIVFARLFKGIEPEVEGISSSPQDDHIYIV >ORUFI06G13710.1 pep chromosome:OR_W1943:6:11450019:11450341:-1 gene:ORUFI06G13710 transcript:ORUFI06G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKAKAEDAASSAKAGVHKAKATAGEKVEKATTGDPMKKREAEERKEDRKLEAESDERVEKEGHADEKSGKHTFTTATG >ORUFI06G13720.1 pep chromosome:OR_W1943:6:11457142:11457873:1 gene:ORUFI06G13720 transcript:ORUFI06G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWQHVASTTPHQGPSVGRLHLTTTPESCLRTAPFHHPLASSPDLAGGEPGLAASIQLRNSPQKREGAPERARVPTDNQEDFPPPPAPFALPSGHAPMLVTVPPLRLRCHPPAPLLPGHRATHLAFRAPQRHPGYLAARSRGALGCLSGRPRHRRAAVAAPSCPALPRARASRAAAATPRRRARSRHRVARSGLEGTGSATSPHRPAVSVRPRWGVDLVGFSPPTSR >ORUFI06G13730.1 pep chromosome:OR_W1943:6:11463658:11465855:1 gene:ORUFI06G13730 transcript:ORUFI06G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRIRVLAALDQARTQYYHFKAIVIAGMGLFTDSYDLFCISPVMKIFGRVYYAPSGSVDGSGSGPGVTPPAVVSATVGVALLGAVAGNVVFGALGDRVGRRRVYGACLLLMCADLAWRIILMAGAVPAALTYYWRMSMPETARYTALVERDVVKATNDIGRVLADLDLAAVAEEEVAAAALSPPPVTTPPPPRPSYGLFSRRFVRQHGRDLFACAAAWFLLDIPYYSSTLFQSQIYRPWFPPAAKVNAFQEAFNVAKFQAVIAVASTIPGYFAAMLLIERAGRRRLQMAGFLLMAVFLFALAGPYDGYWRDHAKTAGYIVLYSLTFFSANLGPNTTTFILPAELFPARFRSTCHGLSGAAGKLGALVGSIGFLWASQQKDGAAAGHLPGIGMMYALFVLGGICLLGLALTYAFTPETMTRSLEENESSVQAQSQVGDGGSDAGNGSDGLRFHELNVLMEAATKSPVSMASSHLSMSPILPHRMSL >ORUFI06G13740.1 pep chromosome:OR_W1943:6:11470533:11471913:1 gene:ORUFI06G13740 transcript:ORUFI06G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVEEYTERDPKNKFILNTIISEFANRRTRGAFIAAVFSMQGFGILVSSAVTMAVAAAFDHYAGHPAPLDTPECADLAWRIILMAGAVPAALTYYWRMPMPETARYTALVERDVVKATNDIGRVLADLDLAAVAEEEVARRRPRLRRRLHRRALRTALFSRRFVRQHGRDLFACAAAWFLLDIPYYSSTLFQSRETIFSKVKS >ORUFI06G13750.1 pep chromosome:OR_W1943:6:11475005:11475421:-1 gene:ORUFI06G13750 transcript:ORUFI06G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVGGLHASPPADSAAVAAVAKDAEYQKGVQKLVDLWSKLNPVAREFIPSSAAVSSLSRKALSEDAPVFDYNSIGSWNRGGKESGVDAYQQHRLGR >ORUFI06G13760.1 pep chromosome:OR_W1943:6:11476354:11478757:-1 gene:ORUFI06G13760 transcript:ORUFI06G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIGVLTALDQARTQYYHFKAIVIAGMGLFTDSYDLFCIAPVMKIVGRVYYSDGGARPGVTPPAVVSATVGVALLGAVIGNVVFGALGDRVGRRRVYGACLLLMCADLAWRIILMAGAVPAALTYYWRMSMPETARYTALVERDVVKATNDIGRVLADLDLGAVAEEEVAAALSRPPPPPRPSYGLLSRRFVRQHGRDLFACAAAWFLLDIPYYSSTLFQSQIYRPLFPAPGLINAFQEAFNVAKFQAVIAVASTIPGYFVAVLLIDRVGRRRLQMTGFLLMAVFLFALAGPYDGYWRDHGAHAGYIVLYSLTFFSANLGPNTTTFILPAELFPARFRSTCHGLSGAAGKLGALVGSIGFLWASQQKDGAAAGHLPGIGMMYALFVLGGICLLGLARGGRAPAGHRHDTMMRSLEENESDRAQTQVGDGGSDTEAAKSPASMESSHLSMSPILPARVSV >ORUFI06G13770.1 pep chromosome:OR_W1943:6:11479290:11479591:-1 gene:ORUFI06G13770 transcript:ORUFI06G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDRYHPIPVRYQDLIPRKYHPLCGKNSMIPNRYHLIPVRYQDLIPHKYHPLHGKNRMIPDRYHLIPCKYHMICGRNA >ORUFI06G13780.1 pep chromosome:OR_W1943:6:11479766:11480209:1 gene:ORUFI06G13780 transcript:ORUFI06G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELIAGGHILHRPCHVGARRQRPHPPASTPHTGARRRRPHPPPFTPRRSLSPAAASSTVHATSELVAGGRILHRLDELARYVLVVLRADDGGEGSTFALYFLICRRVRARLLPGAGEELAVAGQCVDGVGVGATASVAAEELTVAG >ORUFI06G13790.1 pep chromosome:OR_W1943:6:11492014:11497249:1 gene:ORUFI06G13790 transcript:ORUFI06G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPSVPFPLLQAPVESTYRACTIPYRFASDNPRKATPVEIQWIDLFLNSVPSFRQRAENDPTVPDAPAKAEKFAQRYTAMLEELKKNPESNGGPPDCILLCRLRELVLRELGFRDIFKKVKDEENAKAMSLFEGVVQRNDEIEDDGKRAENLIRGILAGNIFDLGSAQLAEVFAKDGMSFLASCQNLVSRPWVIDDLDAFQNKWTKKSWEKAVIFVDNSGADIILGILPFARELLRHGTKVILAANDMPSINDVTYPELVEIINKLKDENGKLAGVDASDLLVANSGNDLPVIDLSSVSPELAYLANDADLVVLEGMGRAIETNLYAQMKCDSIKIGMVKHPEVAQFLGGRLYDCVFKFNEA >ORUFI06G13800.1 pep chromosome:OR_W1943:6:11528874:11530148:-1 gene:ORUFI06G13800 transcript:ORUFI06G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGSGSEASAGCLSPSLHTSTRRRPLRDGDLNLEVSFDPTQTQSTVTATGGGEEGEPVEQRWEGEGRVRQGQWRQESKRGGKPPPEVKSVPKFLQ >ORUFI06G13810.1 pep chromosome:OR_W1943:6:11541090:11542631:1 gene:ORUFI06G13810 transcript:ORUFI06G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWYSIVLMTSLLFPLLVLLVMRCYVTRSGAKLLDKLPSVPGRLPVIGHLHLIGSLPHISLRDLATKHSPDMMLLHLGAVPTLVVSSSRVAQSILRTHDDIFASRPYSPIANILFYGATDVGFSPYNEYWRQIKKITTTHLLTVKKVRSYVSARQREVRIVMARITEAASKHVVVDLTEMLSCYSNNIVCHAVCGKFSQKEGWNQLLRELVKVNTSLLGGFNIEDYFPSFTRLAAVRRLLLSCAKAHNINKRWDQLLEKLIDDHTTKHIRSSSMLNHYDEEAGFIDVLLSIQHEYGLTKDNIKANLAAMLMAGTDTSFIELEYAMAELMQKPHVMGKLQAEVRRVMPKGQDIVTEEQLGCMPYLKAVIKETLRLHPPAPLLMPHLSMSDCNINGYTIPSGTRVIVNVWALARDSNYWENADEFIPERFIVNTLGDYNGNNFHFLPFGSGRRICPGINFAIATIEIMLANLVYRFDWELPADQAAKGGIDMTETFGVAVHRKEKLLLIPHLHLR >ORUFI06G13820.1 pep chromosome:OR_W1943:6:11548330:11552983:-1 gene:ORUFI06G13820 transcript:ORUFI06G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATATASEAAAYGGVGMSKSGALQPQPPHGAAAAVRLAYTHDGIAVYKHTPPPPVYQTPAAVAAPSPPVRGNGGAPASAEQHKRKRGRPRKYAVTDVPLAVVPPSPPKAAAAAGASAAQSPATPTLPPGFSSGLAAYGGAAASQPAPRQAPPASGRVLPHKKRGRPPGSGNKQQQRPQHKKAAAPGSSVIGLKPSVITVQVGEDVVSRVMSFTKNGWAVCVLSANGAVSNMTLRQAGSSGATTVNYEGHFEILSLSGSYLLSESVGLSSRAGGLSVSLAGPDGRVLGGGVAGPLNAATPVQVVIGSFLADVKKGHKQAMPSGAPYPGVSTPTSRGTPSGSSGGPGSPLNQSASGSFNTSNQQALADFPWR >ORUFI06G13830.1 pep chromosome:OR_W1943:6:11570612:11571228:-1 gene:ORUFI06G13830 transcript:ORUFI06G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAPAAAVVVVLAFMAVMVVVPVFGADGGDGRVQVQSLERPVGGGGGGNGTSYNATSVAGRKDGGGGGGGGGGSSGGSSWSYGWGWGWGTDSGGGGSSGGGGGGGGDAAMVDGATKVAKKAAAAARGIRTEREREGVGGGGAGRRHGRRPSYSSSLYRVGEYARCTAATGRCRGALLVCPMQCEGPCFYDCDANCKAHCRF >ORUFI06G13840.1 pep chromosome:OR_W1943:6:11581420:11587544:1 gene:ORUFI06G13840 transcript:ORUFI06G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAMDSDYGVPRELSALQKARALYRPDLPPCLQGTTVKVEYGDAAIAADVAGAHVISHAFPHTYGQPLAHFLRKTAAVPDATVITEHPVVRVGVVFSGRQSPGGHNVIWGLHDAIKAHNANSKLIGFLGGTDGLFAQKTLEISDEVLSSYKNQGGYDLLGRTRDQIRTTEQVNAAMTACQALKLDALVIIGGVTSNTDAAQLAETFAESKCSTKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFVRLMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKNHGVVLIPEGLVESIPELYALLQEIHGLHDKGVSVENISSHLSPWASALFEFLPPFIRKQIETEKLLAQLVEAEMNKRLKEGTYKGKKFNAICHFFGYQARGALPSKFDCDYAYVLGHVCYHILAAGLNGYMATVTNLRSPVNKWKCVHDDRKAMVTRTSCQSNWEACCSHGELLRQNSSSFLMEDIYRNPGPLQFEGPGGETKPISLCVEDRDYMGRIKQLQEYLEKVKSIVKPGCSQDVLKAALSAMASVTEMLTIMSSPSFSGQATI >ORUFI06G13840.2 pep chromosome:OR_W1943:6:11581420:11587544:1 gene:ORUFI06G13840 transcript:ORUFI06G13840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAMDSDYGVPRELSALQKARALYRPDLPPCLQGTTVKVEYGDAAIAADVAGAHVISHAFPHTYGQPLAHFLRKTAAVPDATVITEHPVVRVGVVFSGRQSPGGHNVIWGLHDAIKAHNANSKLIGFLGGYDLLGRTRDQIRTTEQVNAAMTACQALKLDALVIIGGVTSNTDAAQLAETFAESKCSTKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFVRLMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKNHGVVLIPEGLVESIPELYALLQEIHGLHDKGVSVENISSHLSPWASALFEFLPPFIRKQIETEKLLAQLVEAEMNKRLKEGTYKGKKFNAICHFFGYQARGALPSKFDCDYAYVLGHVCYHILAAGLNGYMATVTNLRSPVNKWKCVHDDRKAMVTRTSCQSNWEACCSHGELLRQNSSSFLMEDIYRNPGPLQFEGPGGETKPISLCVEDRDYMGRIKQLQEYLEKVKSIVKPGCSQDVLKAALSAMASVTEMLTIMSSPSFSGQATI >ORUFI06G13850.1 pep chromosome:OR_W1943:6:11588498:11591137:1 gene:ORUFI06G13850 transcript:ORUFI06G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASRAASLLRRTSSALSRRCAHSSSAAAPSRPPVPLPRFRAAAAAASSSPSSGITSRRFLASKSPSSSSPSKASADENLVRVIDSEIECIVQSEEGAASAKQIDLPEDFPFEIIDNPGDQSITLKREIAGETIKATVYTNFDTQDLNEDGDDNENNEESFKPAIQMVVTVEKPEASILEFECHFNDDELAIESMRMLDQNNSDAENLDLDESLQKALHRYLEVRGIKHSLHDWLCDYIA >ORUFI06G13850.2 pep chromosome:OR_W1943:6:11588498:11591220:1 gene:ORUFI06G13850 transcript:ORUFI06G13850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASRAASLLRRTSSALSRRCAHSSSAAAPSRPPVPLPRFRAAAAAASSSPSSGITSRRFLASKSPSSSSPSKASADENLVRVIDSEIECIVQSEEGAASAKQIDLPEDFPFEIIDNPGDQSITLKREIAGETIKATVYTNFDTQDLNEDGDDNENNEESFKPAIQMVVTVEKPEASILEFECHFNDDELAIESMRMLDQNNSDAENLDLDESLQKALHRYLEVRGIKHSLHDWLCEYMMSKDEKEYLVWLKSMKEFVGN >ORUFI06G13860.1 pep chromosome:OR_W1943:6:11596711:11599692:1 gene:ORUFI06G13860 transcript:ORUFI06G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGNDVVAAAAAGESPMGAARVVAEGGATVFRGADYSLPRTTVALALWLGGIHFNVLLVLASLFLFPLRVAAMVVAFQLLFMLIPLNDKDKLGRKIARNVAPFPPIAENFSHLFLGCWTDREHVPCCRFICRYAMGYFPISLHVEDYKCFDPNRAYVFGFEPHSVLPIGVAALADLVGFMPLPKIKVLASSAVFYTPFLRQIWTWLGLIPATRKNFQSYLGAGYSCIIVPGGVQEILHMDHDSEIAFLKSRKGFVKIAMQSGCPLVPVFCFGQSYAYKWWRPKGKLFVKIARAIKFTPIVFWGRYGTPIPFPTPMHVVVGRPIEVEKNSQPTIDEINEVHEQFTVALQDLFDKYKTETGYPGLHLRVL >ORUFI06G13870.1 pep chromosome:OR_W1943:6:11612473:11613447:1 gene:ORUFI06G13870 transcript:ORUFI06G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDPTGGGGGGGVAAHYLHMLRAQQHQPLSPAGDVKAERSMLSPDESPGADADLGSDHPTSSAMVAAEDSGGGGGSGSGGPMRRPRGRPLGSKNKPKPPIIVTRDSPNAFHSHVLEVAAGTDIVECVCEFARRRGRGVSVLSGGGAVANVALRQPGASPPGSLVATMRGQFEILSLTGTVLPPPAPPSASGLTVFLSGGQGQVVGGSVAGQLIAAGPVFLMAASFANAVYERLPLDGEDPEAEAAAATPPGDAAQPTGPPPPQQQPTASQSSEVTAGDGGGGGGLGMYLGGHVGSYQQQQQQLPGPGDNFGSWSGSIRPPPF >ORUFI06G13880.1 pep chromosome:OR_W1943:6:11626070:11629032:1 gene:ORUFI06G13880 transcript:ORUFI06G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGDALKANIMAIRPLITVDAGVGAHLEHTSTPQPTSSLEYSIDGRNQYLTTVNTIPNLARGGSAPPKAIAPDQQGRGKGEREELHYRICEALVRGYRSYRQGRSIALTLPPTSSAMDPLLSWVQVADPPSLRPLFLSLRSSRP >ORUFI06G13890.1 pep chromosome:OR_W1943:6:11635618:11639446:-1 gene:ORUFI06G13890 transcript:ORUFI06G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCSKLAALLRRSRQFAPAAAAASGSATAAAASANGMEEAAAGPLRARVCIIGSGPAAHTAAVYAARAELKPVLFEGFLANDIAAGGQLTTTTDVENFPGFPDGILGADLMDRCRAQSVRFGTRILTETVTAVDLSSRPFRVASGDTVVHADAVVVATGAVARRLHFAGSDAFWNRGISACAVCDGAAPIFRNKPIAVVGGGDSAMEEANFLTKYGSRVYIIHRRNAFRASKIMQARALSNPKIQVVWDSEVVEAYGGADGGPLAGVKVKNVVSGEVSDLQVAGLFFAIGHEPATKFLGGQLELDSDGYVVTKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEIGAQEDKTD >ORUFI06G13900.1 pep chromosome:OR_W1943:6:11646787:11647284:-1 gene:ORUFI06G13900 transcript:ORUFI06G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYSSSSYARLGRRWWRRPAAARGFRLIPTRRLSVRRLRARLWTLLGILGRCVRSVRLLTRGLVVPSGGGGSTSPSARGKGRRALAVLGGGKDVVAAASGGGKLHADGTAGGGNNKAAARRPPCMRSNSFYARAVAECLEFIKGSNSNAGGGGGATPARDNRVK >ORUFI06G13910.1 pep chromosome:OR_W1943:6:11665534:11666347:1 gene:ORUFI06G13910 transcript:ORUFI06G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCERLGDLAVDPAAGGEWRAAGRPRIGSGSGGSSCADPVSVTTEGNGSSGFDGGGARWSRELGRRCGSGKEDGCLGQRQRRRLVQICRQRWQARASEGTAVEMASHPRVGGEGLAVVGARHCCPPPPHPYESPSSLSSPSSDEEAAAAGWICAASAQPFAVLPPSLRGEGTSSSDVIAADDN >ORUFI06G13920.1 pep chromosome:OR_W1943:6:11708151:11708622:1 gene:ORUFI06G13920 transcript:ORUFI06G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATRAATEVGRGGSAFSEVGRGGSAVTVLRSAGSTVAVLRRGVSTIVGLRNVGSTASRIRRNVDLWNMWIGILREILKWEHEFG >ORUFI06G13930.1 pep chromosome:OR_W1943:6:11711337:11714007:1 gene:ORUFI06G13930 transcript:ORUFI06G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMALHQTLLLLLFVSIAIHRAVAQTTTSTAVRGDGNRFVTYSFPSFANALLHLQANLTVLNNASISQGALQITPDSSNSADGYLVNQTGRVFFSTPFTLWSPAAGGGGNGNGNGTYVASFNMVFRVNIFRTNTSDPGEGVAFVVASGLDPPPPGSYGGFLGLTNASTDGDDANRFVALELDTVKQGYDPDDNHVGLDVNGVRSVEAVPLAPFGIKLGAANASNFFVWVDYDGTSRHVWMYMARSDDGVPSPKPPSPVLDAPLDLSAFVAEKAYFGFSASTGTRFQLNCLHMWNMTVELLDDGSRSSGGGQTRRKLGLGVGVPCGVAALAAGAVVAFLYIKKRRRRVGDDPESLSSPAAFKFNKSSINLRSLAGTPKEFEYTELRKGTEDFAAKNKLGQGGYGVVYKAVVAGDSDGESVEVAVKQFSAANTKGQEDFLAELSIINRLRHRNLVRLRGWCHQNGVLLLVYDYMPNGSLDKHLFGGAAVAPVLSWEQRYNIVAGVAAALNYLHHEYDQRVIHRDIKPSNIMLDSAFGARLGDFGLARVLDSDKTSYTEMVGVPGTMGYIAPECFHTGRATRESDVFGLGAVLLEVACGRRVSFGAGGDGGAIGGCSRLLEWVWRLHGAGRILDAVDPKLAGGAFDADDAERLLLLGLACSHPDPGARPTAKAVVQVLARAVPAPAVPPSKPAFMWPALSGADCDDSDGGGAGEMSSRHSARTTSTEQTSSTYYASSSSYSSHGCTRTQVTSSGDAIADETRYMSIG >ORUFI06G13940.1 pep chromosome:OR_W1943:6:11742034:11745795:1 gene:ORUFI06G13940 transcript:ORUFI06G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAGGRSKDGGGGGAALLGGGGVTCFDVKSFVASLALLTLIMALWQLHPYQPLVLLPAALSSSPCPLLPRSPTSGIAVSFLSTAAATNSTDTATVPTTTAAARVAATTRPTLPARQRERDPNKRELRPYGTAAALFVQMGAYRGGPRTFAVVGLASKPAHVFSNPYFKCEWLPNAPAGAPPVRTKAYKMLPDWGYGRVYTVVVVNCTFPSNPNADNLGGKLLVHAYYSTASRRYERFVALEEAPGSYDDARFRPPFAYDYLYCGSSLYGNLSSARMREWLAYHARFFGPRSHFVLHDAGGVTPEVRAVLDPWVSAGRVTVQDIRAQEDYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPDGRALEDVLAQLQPYTQFTIEQNPMSSKLCIDDPTEDYSREWGFEKLVFRNSITGVRRDRKYAIQARNAYSTGVHMSQNVYGRTTHKTESLIRYYHYHNSINVMGEPCRKFVPKPANGSKVMFEGIPYVYDDNMKRLAGEIRRFEKQTIGDVHT >ORUFI06G13950.1 pep chromosome:OR_W1943:6:11746794:11748338:-1 gene:ORUFI06G13950 transcript:ORUFI06G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWIFYSLTLLLCLACSLLLRARASAAAVEVAPLPPGPRTVPVLGPLLFLARRDFDVEPTLRRIAAEHGPVFTFAPLGPSRPTIFVAARGPAHRALVQRGAAFASRPRGVSPASVLLTSGGRNVSSAQHGPIWRALRRCISSGVLNPARLRAFSDARRWVLDALVSHIRGEGGAPLTVMEPFQYAMFCLLVYMCFGDRPGDARVREIEALQRELLSNFLSFEVFAFLPPITRLVFRRRWNKLVSLRRRQEELFAPLIRARREAGAGGDCYVDSLVKLTIPEDGGRGLTDVEIVSLCSEFMSAGTDTTATALQWILANLVKNPAMQDKLREEITAAAVDGEVREEDLQAMPYLKAVVLEGLRRHPPDHFLLPHTVEEETTLDGYRVPANTPVNFAVGEIGLDSEVWTSPEVFRPERFLAGGEGEDVDLTGSKEIKMMPFGAGRRICPGMALALLHLEYFVANLVREFEWREVAGDEVDLTQKLQFTVVMKRPLKATAVPLRGDRSAAAAVTGSA >ORUFI06G13960.1 pep chromosome:OR_W1943:6:11753222:11754484:-1 gene:ORUFI06G13960 transcript:ORUFI06G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGYRIDIDNLPADAHLALIAVYITNNGLNRINLNNFLIICWQLWKARNDIKFQGIFKEPSQVCYMADAMANSYMHVLSQSNLQDQEQSELEHRRMDSIPNGNRCFVRWVPREVNKMADKLAKEAKSGTRRNFIQNCQNIEHSAYPHRSFYARLLNDNFRSLNCTINYVLCF >ORUFI06G13970.1 pep chromosome:OR_W1943:6:11767612:11769938:1 gene:ORUFI06G13970 transcript:ORUFI06G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHDLHSRLSRWPTPFRLSLSSGSPQAPWRDHLRAGHGVTSASASGSVASAATRDSANAEGLRPSWLSVSALSHGSVTSAATPNSYAVDVATSRGSPPPPPPPQEEAHFARSTRGKLGGKCGIDCFGAKKMMESGPVVAADRVWRDAYGVSTEKWTTKVEIKVKNVSEHANHPSKMETLVSSFCDPQAYRFDAAKNEHYVCGFAKSVESIPRSIYLKLKYETVDVVCMKSFLVNLEARLYTEAEGDIGAEEPDPEMYEDPDVVREAFEMQARLQRIAAVVEEGKHAGGKKV >ORUFI06G13980.1 pep chromosome:OR_W1943:6:11779742:11780140:-1 gene:ORUFI06G13980 transcript:ORUFI06G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEPVHQHSKGLPRRQLACGPPYLSFAFWIDSFVSSLSRPPLVLASSLLSHGIRGPLRPRLFLASFLSISVCVSGSVHCSPCF >ORUFI06G13990.1 pep chromosome:OR_W1943:6:11782492:11782938:1 gene:ORUFI06G13990 transcript:ORUFI06G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRKITSDEEEAAVKPTRSFRYEDYSTRRVFLRSYPLQWDWASPAPGEKEKQQQQQVQGVVAGGDGEEDDDEYGGGGGDERGGRRWRRQVAVAVVEWGEEKLLLLRRVKKRLALYLIGCHYAGHRAALPFKSSSASCTAAMLASSR >ORUFI06G14000.1 pep chromosome:OR_W1943:6:11797669:11797932:1 gene:ORUFI06G14000 transcript:ORUFI06G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRTKAATTRLRRHGRAARSGGEEVASDAGDGWESGSGMGRTYLGRKGRGWLGEIRMDGWREEGEGVVGRPLSHPHRRRSPSPHR >ORUFI06G14010.1 pep chromosome:OR_W1943:6:11807096:11807659:-1 gene:ORUFI06G14010 transcript:ORUFI06G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMEDNHSNSSESLWRLSLFYPYLESVIADVQSSVLVDPVYICVECSEVHRNQQTMAAHCRSHIRSDGMEKGTVRHIKYNPDHTFSLLCHQSSNKIYYQVTVPNYPNNPNSSEIGVVWASDILKKCVDLGYLQHPASVNASSAVFVPAATPTELDLTLRLGPRSTAGSTNRQIVEALFAGSGGSA >ORUFI06G14020.1 pep chromosome:OR_W1943:6:11825834:11828270:1 gene:ORUFI06G14020 transcript:ORUFI06G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLVFSAAPSKLGKNHGIQTDGTYESRPRGNKLCSKLHSSEKLLIPSKTHLQNAEQNRMRPLIEDAIADLVCSRSMVIADLGCSSGPNALALASIAVDAFRRRCLALRRPPPPAELCVLLNDLPDNDFATVVKSLVEFRRNNGDEPVLLTGVVPGSFYGRLFAAESLHLVCSSNSLHWLSEAPEDLKMNGIPAYDVDANVRRERRAVVVGAYARQFRKDFMAFLKMRAVELVPGGRMVLSLAGRRSVDLASELTHAWESTAMTLSDMVTMGVIDKEKFETFYMPIYGPSDEEIRQIIQEEGSFLIREMQVPELTSGAYSALITSARVASMLRAAFEPIIVQHFGPTGCDGEEGIMDEFVRTAERRWSLEGSLQDELAQNPRGVLLVSLEKKPS >ORUFI06G14020.2 pep chromosome:OR_W1943:6:11825834:11828270:1 gene:ORUFI06G14020 transcript:ORUFI06G14020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMVHMNRGQGETSYARNSILQNAEQNRMRPLIEDAIADLVCSRSMVIADLGCSSGPNALALASIAVDAFRRRCLALRRPPPPAELCVLLNDLPDNDFATVVKSLVEFRRNNGDEPVLLTGVVPGSFYGRLFAAESLHLVCSSNSLHWLSEAPEDLKMNGIPAYDVDANVRRERRAVVVGAYARQFRKDFMAFLKMRAVELVPGGRMVLSLAGRRSVDLASELTHAWESTAMTLSDMVTMGVIDKEKFETFYMPIYGPSDEEIRQIIQEEGSFLIREMQVPELTSGAYSALITSARVASMLRAAFEPIIVQHFGPTGCDGEEGIMDEFVRTAERRWSLEGSLQDELAQNPRGVLLVSLEKKPS >ORUFI06G14040.1 pep chromosome:OR_W1943:6:11839219:11841993:-1 gene:ORUFI06G14040 transcript:ORUFI06G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGSLIVKLGDALASEAVEVAKSLLGLEGSALKRLFSEIREVKGELESIHAFLQAAERFKDVDETTSAFVKQVRSLALSIEDVVDEFTYELGEGDGRMGMAVALKRMCKMGTWSRLAGNLQDIKVNLKNAAERRIRYDLKGVERGAKSTAGRRSSNWRSDSVLFKREDELVGIEKKRDLLMKWVKDEEQRRMVVSVWGMGGIGKTALVANVYNAIKADFDTCAWITVSQSYEADDLLRRTAQEFRKNDRKKDFPIDVDITNYRGLVETTRSYLENKRYVLVLDDVWNANVWFDSKDAFEDGNIGRIILTSRNYDVALLAHETHIINLQPLEKHHAWDLFCKEAFWKNEIRNCPPELQPWANNFVDKCNGLPIAIVCIGRLLSFQGSTYSDWEKVYKNLEMQLTNNSIMDMMNIILKISLEDLPHNIKNCFLYCSMFPENYVMKRKSLVRLWVAEGFIEETEHRTLEEVAEHYLTELVNRCLLLLVKRNEAGHVHEVQMHDILRVLALSKAREQNFCIVVNHSRSTHLIGEARRLSIQRGDFAQLADHAPHLRSLLLFQSSPNVSSLHSLPKSVKLLSVLDLTDSLVDRLPKEVFGLFNLRFLGLRRTKISKLPSSIGRLKNLLVLDAWKCKIVKLPLAITKLQKLTHLIVTSKAVVVSKQFVPSVGVPAPLRICSMTTLQTLLLMEASSQMVHHLGSLVELRTFRISKVRSCHCEQLFMAITNMIHLTRLGIQADSSQEVLHLESLKPPPLLQKLFLQGTLSHESLPHFVSVSNLNNLTFLRLAGSRIDENAFLSLEGLQQLVKLQLYDAFDGMNIYFHENSFPKLRILKIWGAPHLNEIKMTKGAMASLTDLKFLLCPNLKQLPCGIEHVRTLEELTLDHTAEELVDRVRQKKEQMICDVQRVYVGFIRNGVLAAERIQ >ORUFI06G14050.1 pep chromosome:OR_W1943:6:11853363:11854268:-1 gene:ORUFI06G14050 transcript:ORUFI06G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSDEALAIVVPIVVYWLYSGLYMALGHSISMDKYRLHSKEEEDAKNLVSKRDVVTGVLLQQLVQAAVAAATFTVRPRTLHMAFQAMLSLIPSRVFLHCMEQLAGERRTTTATTASPSSWLAVAARFAVGMVVLDGWQYAWHRWMHTNRFLYRRVHSWHHRLVAPYAFGAQYNHPAEGLLLDTVGGAVAFLASGMSPRASVAFFSLCTAKGVDDHCGLWLPAASPLQRVFRNNAAYHDVHHQRHGGRYNFSQPFFVTWDKVFGTHMPYVVEERPGGGLQVRPVDMSPSSATAAAGAGGK >ORUFI06G14060.1 pep chromosome:OR_W1943:6:11872792:11873388:1 gene:ORUFI06G14060 transcript:ORUFI06G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSITQKKKTKSAVAAPPPGATMATNAAPGTPTSAPAGRASMETGKRNMGLHCTSMRISRDETRSP >ORUFI06G14070.1 pep chromosome:OR_W1943:6:11874964:11876775:1 gene:ORUFI06G14070 transcript:ORUFI06G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPVFCCLLALLPLVHYLITLFLHGSRDSDLRLPPGPWRLPLIGSLHHLFFGALPHRALRDLARRHGPLMLLAFGDAPVVVVASTAAAAREILRTHDDNFSSRPLSAVVKACTRRGAGITFAPYGEHWRQVRKICRLELLSPRRILAFRAIREEEAARLVRAIGVASPPLVTNLSELLGNYVTDTTVHIVMGERFRERDALLRYVDEAVRLAGSLTMADLFPSSRLARAMSSTTLRRAEAFVESLMEFMDRVIREHLEKKRSCQGGEREEDLIDVLLRLQAEGSLHFELTMGIIRAVIFDLFSGGSETATTTLQWAMAELMRNPGVMSRAQAEVREAYKNKMEVTEEGLTNLTYLQCIIKETLRLHTPGPLALPRECQEQCQILGYDIPKGATVLVNVWAICTDNEFWDESEKFMPERFEGSTIEHKGNNFEFIPFGAGRRICPGMQFGIANIELALANLLFHFDWTLPEGTLHSDLDMTETMGITARRKEDLYVHAIPFVQLP >ORUFI06G14080.1 pep chromosome:OR_W1943:6:11879810:11880399:-1 gene:ORUFI06G14080 transcript:ORUFI06G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGDDEEEGMSIQLRACQRSIEDALVSEDQKRRTGKEIGEQNINESMKLESWKHDADPCIDLAITTYLLAVGFDKVKDGGVESPRFSPEYPTLAAVKKFVRPPLLC >ORUFI06G14090.1 pep chromosome:OR_W1943:6:11894785:11895558:1 gene:ORUFI06G14090 transcript:ORUFI06G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTIEYYVWINAHDRPIQGHPDDHGTSPNPNRGSPLQVSDPKLQLYNAQQIGGFEKSLMFPEPEPDASPSWLAAHRPTPEGAPVPSGPNAGRYRLQIQSSTKGVPEYGVGRLLAASAL >ORUFI06G14100.1 pep chromosome:OR_W1943:6:11900752:11902024:-1 gene:ORUFI06G14100 transcript:ORUFI06G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIPTALRPEAEGCATGGERGQGNMRGEDDGEKWRAVRERRDPKRRERAAWGLGHSGEDKVGRCNEWQGDIAMTSDEMALSGDEWLYGAEEQQ >ORUFI06G14110.1 pep chromosome:OR_W1943:6:11903659:11904200:-1 gene:ORUFI06G14110 transcript:ORUFI06G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGGRWRLRRRHFFGPRCQTLGITLTSKERLLHVGDIDRTSKSYICTLCSMWLAAEDSVESAGDGDDGWLLPRNVELISIPRRYILL >ORUFI06G14120.1 pep chromosome:OR_W1943:6:11923205:11923667:1 gene:ORUFI06G14120 transcript:ORUFI06G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWRSGSMRQWPAWRREVVLCIGNDDDDLALIDVTYPKRDVDNMQWFREITLLYRGHRLSAPFVLGLIVLCGHAPPNN >ORUFI06G14130.1 pep chromosome:OR_W1943:6:11923668:11924011:1 gene:ORUFI06G14130 transcript:ORUFI06G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRACAAILGTPAPRFATSPTATSPSTPSACFLSTRTAEGWRGSSHSCTIGVSRWPASVRAM >ORUFI06G14140.1 pep chromosome:OR_W1943:6:11926606:11927077:-1 gene:ORUFI06G14140 transcript:ORUFI06G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPRGRPSKGRQAIGIRRIEDKPGGTKASELSILTGAAVAVLVFSEANRPYTLTDPSSSLVDDAPLLRARPRVHDCEPEALRRAADEAKVEVARLRDVAGRRFWWWWEATNVEALGEAELPEFARALGRVRAAVVRRHAL >ORUFI06G14150.1 pep chromosome:OR_W1943:6:11930928:11943814:-1 gene:ORUFI06G14150 transcript:ORUFI06G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHSVAALWSPSPPSHHITAAAATPAALFTGAADGTILHWPLLPPPSPSPRPSSLLCAHAAAITSLCPLPSSPPCLLASCAAGVLSLFSSSASASASLRCLRRRSLPPWAGSPSLVAPLPPSSSSAGSSSASVAILCHAPDDGGRHVSAVVVVDARTLVVLRTAFHGALSVAPPRAIAVAVDAGVEDASVSVVLADAQGRAQVVPVAEGAAVEGDSPRRLSASSASSVTSAEAVDGRVEAVSLSDDGKVVALVMKNSCLLKCISEGVVLGEVTLPSDLLCKEGEAGMKGWLVGGFFLRGGEWGAHGSENGNVVRSLVLWSINGGAIVYRVEVGTGSFGCKAVCEIPDIVSERGDGSLVQFCQSGNQLIRVESRPYKIAGSLLWKPFVSIWSMDHLELNIANNIEKPPLSKILGEGGLQGEEFRSDHSHSFCQSNNGVDINSLICSSNSNGLGRHGGTVGGIYPHISERFFLGHTGAILCLAAHHMHAQPDSRTFNRVLISGSFDSTIRVWDLDAGTILSVMHHHVAPVKQIMLPPAWTHQPWDDCFLSVGEDGLVALVSLQTMRVERMFPGHPSYPSMVAWDGIKGYIACLCRNLHSCNDSGSVLYIWDLKTGARERIITGTSSQSTFEHFCRGISKNAVTGSILGGTTSASSLLVPIFKDTSLLQSHANKKGLSISSVSTNHHNANTNSVTVSVPAASDVMGKMSATDEAHELHGNSSGKVASGQCINNRRKHPIKCSCPYPGIASLRFDLTAIMSTQGMANNSSDRQLRDHFYRDNVNDSIQAETCDNTSGMHVIDSPSRESLEGRLLRFSLCFLHLWGVDHELDKLLVDEMQVCKPEGCHIATGVVGDRGSFTLMFPGKEATLELWKASSEFCAMRSLCIVSLAQRMITLSRSCTNASSALAAFYTRNFAEKVPDIKPPSLQLLVSFWQHPSEHVRMAARSLFHCAAPRSIPKPLHLQKNKVFDSQLPTSDQMDNIITAIQSASVSSYGQLKADNEDVGREDCDTSEISSWLESFENQEWLSWIGGTSQDAVASNIIVAAALVVWYPSIVKPKLAHLVVNQLIKLVMSMNDRYSSTAAELLAEGMESTWKVCLGTDMTHFLSDVLFQIECLSSAPSNNAVYKTAVAVTMREALVGTLLPSLAMADIVGFFGVIQSQIWATSSDSPVHVISLKTLIRVVRGSPKALAPYLDKAISYVLHTMDPSNLIMRKACIINSMMALREIARVFPMVALNESMTRLAVGDAIGEIHNATIRVYDIESVTKIRILDASGPPGLPSLLDGSSNTTATILITALSFSLEGEGLVAFSENGLMIRWWSLGNAWWERLSRSLTPIQCTKLIYVPPWEGFSPNSARLSIISSILGHDKHQNSETKTRELDEADNLKLLLHNLDLSYRLQWVSGKTIKLTRHGQELVPGTIRSFHEFMIS >ORUFI06G14150.2 pep chromosome:OR_W1943:6:11930928:11943814:-1 gene:ORUFI06G14150 transcript:ORUFI06G14150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHSVAALWSPSPPSHHITAAAATPAALFTGAADGTILHWPLLPPPSPSPRPSSLLCAHAAAITSLCPLPSSPPCLLASCAAGVLSLFSSSASASASLRCLRRRSLPPWAGSPSLVAPLPPSSSSAGSSSASVAILCHAPDDGGRHVSAVVVVDARTLVVLRTAFHGALSVAPPRAIAVAVDAGVEDASVSVVLADAQGRAQVVPVAEGAAVEGDSPRRLSASSASSVTSAEAVDGRVEAVSLSDDGKVVALVMKNSCLLKCISEGVVLGEVTLPSDLLCKEGEAGMKGWLVGGFFLRGGEWGAHGSENGNVVRSLVLWSINGGAIVYRVEVGTGSFGCKAVCEIPDIVSERGDGSLVQFCQSGNQLIRVESRPYKIAGSLLWKPFVSIWSMDHLELNIANNIEKPPLSKILGEGGLQGEEFRSDHSHSFCQSNNGVDINSLICSSNSNGLGRHGGTVSSSMVLSEDSYTPYAVVYGFHNGDIEVIRFLNLLPAAKFGSGGIYPHISERFFLGHTGAILCLAAHHMHAQPDSRTFNRVLISGSFDSTIRVWDLDAGTILSVMHHHVAPVKQIMLPPAWTHQPWDDCFLSVGEDGLVALVSLQTMRVERMFPGHPSYPSMVAWDGIKGYIACLCRNLHSCNDSGSVLYIWDLKTGARERIITGTSSQSTFEHFCRGISKNAVTGSILGGTTSASSLLVPIFKDTSLLQSHANKKGLSISSVSTNHHNANTNSVTVSVPAASDVMGKMSATDEAHELHGNSSGKVASGQCINNRRKHPIKCSCPYPGIASLRFDLTAIMSTQGMANNSSDRQLRDHFYRDNVNDSIQAETCDNTSGMHVIDSPSRESLEGRLLRFSLCFLHLWGVDHELDKLLVDEMQVCKPEGCHIATGVVGDRGSFTLMFPGKEATLELWKASSEFCAMRSLCIVSLAQRMITLSRSCTNASSALAAFYTRNFAEKVPDIKPPSLQLLVSFWQHPSEHVRMAARSLFHCAAPRSIPKPLHLQKNKVFDSQLPTSDQMDNIITAIQSASVSSYGQLKADNEDVGREDCDTSEISSWLESFENQEWLSWIGGTSQDAVASNIIVAAALVVWYPSIVKPKLAHLVVNQLIKLVMSMNDRYSSTAAELLAEGMESTWKALVGTLLPSLAMADIVGFFGVIQSQIWATSSDSPVHVISLKTLIRVVRGSPKALAPYLDKAISYVLHTMDPSNLIMRKACIINSMMALREIARVFPMVALNESMTRLAVGDAIGEIHNATIRVYDIESVTKIRILDASGPPGLPSLLDGSSNTTATILITALSFSLEGEGLVAFSENGLMIRWWSLGNAWWERLSRSLTPIQCTKLIYVPPWEGFSPNSARLSIISSILGHDKHQNSETKTRELDEADNLKLLLHNLDLSYRLQWVSGKTIKLTRHGQELVPGTIRSFHEFMIS >ORUFI06G14150.3 pep chromosome:OR_W1943:6:11930928:11943814:-1 gene:ORUFI06G14150 transcript:ORUFI06G14150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHSVAALWSPSPPSHHITAAAATPAALFTGAADGTILHWPLLPPPSPSPRPSSLLCAHAAAITSLCPLPSSPPCLLASCAAGVLSLFSSSASASASLRCLRRRSLPPWAGSPSLVAPLPPSSSSAGSSSASVAILCHAPDDGGRHVSAVVVVDARTLVVLRTAFHGALSVAPPRAIAVAVDAGVEDASVSVVLADAQGRAQVVPVAEGAAVEGDSPRRLSASSASSVTSAEAVDGRVEAVSLSDDGKVVALVMKNSCLLKCISEGVVLGEVTLPSDLLCKEGEAGMKGWLVGGFFLRGGEWGAHGSENGNVVRSLVLWSINGGAIVYRVEVGTGSFGCKAVCEIPDIVSERGDGSLVQFCQSGNQLIRVESRPYKIAGSLLWKPFVSIWSMDHLELNIANNIEKPPLSKILGEGGLQGEEFRSDHSHSFCQSNNGVDINSLICSSNSNGLGRHGGTVSSSMVLSEDSYTPYAVVYGFHNGDIEVIRFLNLLPAAKFGSGGIYPHISERFFLGHTGAILCLAAHHMHAQPDSRTFNRVLISGSFDSTIRVWDLDAGTILSVMHHHVAPVKQIMLPPAWTHQPWDDCFLSVGEDGLVALVSLQTMRVERMFPGHPSYPSMVAWDGIKGYIACLCRNLHSCNDSGSVLYIWDLKTGARERIITGTSSQSTFEHFCRGISKNAVTGSILGGTTSASSLLVPIFKDTSLLQSHANKKGLSISSVSTNHHNANTNSVTVSVPAASDVMGKMSATDEAHELHGNSSGKVASGQCINNRRKHPIKCSCPYPGIASLRFDLTAIMSTQGMANNSSDRQLRDHFYRDNVNDSIQAETCDNTSGMHVIDSPSRESLEGRLLRFSLCFLHLWGVDHELDKLLVDEMQVCKPEGCHIATGVVGDRGSFTLMFPGKEATLELWKASSEFCAMRSLCIVSLAQRMITLSRSCTNASSALAAFYTRNFAEKVPDIKPPSLQLLVSFWQHPSEHVRMAARSLFHCAAPRSIPKPLHLQKNKVFDSQLPTSDQMDNIITAIQSASVSSYGQLKADNEDVGREDCDTSEISSWLESFENQEWLSWIGGTSQDAVASNIIVAAALVVWYPSIVKPKLAHLVVNQLIKLVMSMNDRYSSTAAELLAEGMESTWKVCLGTDMTHFLSDVLFQIECLSSAPSNNAVYKTAVAVTMREALVGTLLPSLAMADIVGFFGVIQSQIWATSSDSPVHVISLKTLIRVVRGSPKALAPYLDKAISYVLHTMDPSNLIMRKACIINSMMALREIARVFPMVALNESMTRLAVGDAIGEIHNATIRVYDIESVTKIRILDASGPPGLPSLLDGSSNTTATILITALSFSLEGEGLVAFSENGLMIRWWSLGNAWWERLSRSLTPIQCTKLIYVPPWEGFSPNSARLSIISSILGHDKHQNSETKTRELDEADNLKLLLHNLDLSYRLQWVSGKTIKLTRHGQELVPGTIRSFHEFMIS >ORUFI06G14160.1 pep chromosome:OR_W1943:6:11946678:11948190:-1 gene:ORUFI06G14160 transcript:ORUFI06G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWNWNIAAGRGGAEHARCSGILVVVFPNSKSEPKGLSTNELLNTLRELRVDSILLASYSKLIPVELVQAYPRSIWNIHPSLLPAFGGKGYYGLKVHKAVVASRARYSGPTVHFVDEHYDIGRTLAQRVVSMLANDTLEKLATRVLHKEHQVYVDVVTALCDDRIVWREDGVPIIRSRTNPDEYT >ORUFI06G14170.1 pep chromosome:OR_W1943:6:11960544:11960780:-1 gene:ORUFI06G14170 transcript:ORUFI06G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGVVAALKGNDAREEAARSGFGGSSLPTDGGDGKWVEGGGEVMAVLGRTEADPAGEWIQQLSGANPHRRCSAAAAR >ORUFI06G14180.1 pep chromosome:OR_W1943:6:11962041:11970414:1 gene:ORUFI06G14180 transcript:ORUFI06G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSVLVDRATSESLIGPDWSLNLEICDILNHDPSQAKDVVKSIKKRIGHKNSKIQLLALTLLETLIKNCGDFVHMHVAERDILHEMVKIAKKKPDYHVKEKILILIDTWQEAFGGSRARYPQYYVAYQELLRAGAVFPQRPDSSVPIYTPPQTQPLRNLPPALRNTERQQEAPESSSTPEVPTLSLTEIQNARGVMDVLSEMLNAIDPGNREGLRQEVIVDLVDQCRSYKQRVVQLVNSTTDEELLSQGLSLNDDLQRVLAKHDAIAAGIAVRVEKPKSVQARGDKSPSIKPEGAKQPDQRSSEAASTVTPFEQLALPAPASSSSSKPPVEPAVGPSIDLLSGDDYFKPEPVNSQALVSVGNPPAASANNTLDLVDMFAQSNVGNNPNPAVTSSMLNSNPSLSEPQLYPSQQTVPPQQPSPYSNGLTSNTMAPYDQPSDINATGQGQDQSGDLPPPPWETQPAESDQFQPGQPRGLAMPSGQIGGIQSQPVQVQPGQVAPSQPMLTGQPTGMQFQQGFGDQLGAQQTQPLHTQYGGMYPTMQGNQSAGMYPQQMAGDFYQQQMYGGQMAGYGYGQQSGGYYAPNAAYGYGGANELSQGMNGLAVQDNSLYGTSASSSFQQPMRPSRPEDSLFGDLVSIAKTKPSKTAANKAGGL >ORUFI06G14190.1 pep chromosome:OR_W1943:6:11971325:11974432:-1 gene:ORUFI06G14190 transcript:ORUFI06G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPMVIRSRVLARAVSASLRRTLAAPPSPLLAASSRRASSLHRLPSVCGGLLSVMPLHSAVASARLRSAISPESQSWGIVPQVGNQALYTSQW >ORUFI06G14200.1 pep chromosome:OR_W1943:6:11976814:11981184:1 gene:ORUFI06G14200 transcript:ORUFI06G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGALRLFSANASINYVLIATNCRCLCCNRAIHRCNDLPMPCSQILRAKVNSLSFSRKVPTKPTLHNLRCHATQTQSTQRKSATATIQRSDPKGKLKGPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGGFLLFTCVLLMDYLKEFEKNLLLQRHRIGDEATLGLAQ >ORUFI06G14210.1 pep chromosome:OR_W1943:6:11981890:11988285:-1 gene:ORUFI06G14210 transcript:ORUFI06G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQMQQQPQQPESEPEPAAPAPAAAAAEAKATPPQPQPQQKPAAPVQPQMPTPRPWPVAFIPPKPVAEIKSTPSTKRKKHCNCKNSQCLKLYCECFAAGLYCDGCHCKQCGNYVGNESARQEAINSTKQRNPKAFQPKIENGSNALNLRKDDAGAPASLPKHNKGCHCKKSGCLKKYCECFQANILCSKNCKCQDCKNFEGSEELRLITQGDNSSDRNNIQHAANVALNGAIGSSGYRYSPVRRKRPPEDHLYQKLNGEGSTMQTQFQEANHVDSSEITSSTGLEGCYSNYQSRSNVVYRSALANTISPTDATGLAKHLVIVCRKAADAFLTTAENKGEMEVEREIHTDSDGATNMDQQNGGDFGPCCNSLEDSRPASPGTQALMCDEQDSTFGTDYRISFPVALHDQDTSELNAQQEKAVLTGFRDYLRTVITRGKINEANRSSEAAMQLDTRKHDESATILPPLNAVEKEKLKVPDGPESPKASPSASNCGGQAS >ORUFI06G14220.1 pep chromosome:OR_W1943:6:11996049:11997926:-1 gene:ORUFI06G14220 transcript:ORUFI06G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSLTATAASPPLLLKPAPSPLAASFLRPVSRFSRFQSVKTKATENDQTEKSPPKGSSLVCQDCEGNGAIVCNQCKGDGVNSVDHFNGRFKAGALCWLCRGKREILCGSCNGAGFLGGFMSTSDSTAE >ORUFI06G14230.1 pep chromosome:OR_W1943:6:12000879:12002197:-1 gene:ORUFI06G14230 transcript:ORUFI06G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGYGMHAGLSPQRQHRPENRNFDDTFGPRYAHGYQGGGRGVARFRDGSPPYGRGGRSYGRGSGAPGKEFINIDGEYVHRNDPNLSPREGDWICQNPNCGNLNFARRTHCNNCNKYRYSREVCEPGHSPHRDYVNPPRGPARNLGPSDRAPPREMARYGSPPRGWGSDPKGYPARSPPDHAGRYADPVQRERMGFRGDCQLRDRVKHDWSSAEDYNPRERPHDMYLERSRRRSVSPRDNWGHNMRDRSRSPAGGRLKGSFTGGGRPDLYADPYAGRGRPNNLDDVRGRGRGRGRGYIPGGATYLGKGRGDRRAAPSSRNDGSY >ORUFI06G14240.1 pep chromosome:OR_W1943:6:12029679:12030395:1 gene:ORUFI06G14240 transcript:ORUFI06G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKIEIKRIKNEEARQVCFSKRRPSVFKKASELYTVCGAEVAMLVKSPAGKFFSFGAPSVGFVLSRFHATTTSRKHSSMGVTIQHDNSATIKLHELNQQHIELQNQLQAQNEKMKALQEVAKKESGGKVMGWLNSKVEDICQEDLEEFKMVLESLKYLTRGIINQLFQNYAMFSNMMRVQHCVTALPNQQFLPSSEDVKPMIHHVPSSSYGWNTSIDSKPNSSDAHVVGARRYFPK >ORUFI06G14250.1 pep chromosome:OR_W1943:6:12062046:12066166:-1 gene:ORUFI06G14250 transcript:ORUFI06G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENDNTVTVDGGGRRWLRHCFGPRCRTLTSKEVFTWANRNNQRLLHVGDIDRISKSYICTSCSMWLTAEDRVESAGDGDVGGWE >ORUFI06G14260.1 pep chromosome:OR_W1943:6:12068397:12070392:1 gene:ORUFI06G14260 transcript:ORUFI06G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKCIKFAMEMATTNPWSCGHQEADNKKHHRSTSPSHGYEQEGSAREPNHEAGDIGKRTAIWGTMVCACDGDCFSPAALCISFQIL >ORUFI06G14270.1 pep chromosome:OR_W1943:6:12083706:12088180:1 gene:ORUFI06G14270 transcript:ORUFI06G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARRSGGRLTEEVNMMVALSGRKRRLQAATMVALCFLSSICVSTAQFKPADNYLVDCGSSKSTTLGTRTFAADGAAPVKVDTSLEILAGTSANGVASFDNSALYQTARIFTSPSSYTFPIQKQGRHFVRLYFFAFAYQSYDLSTAKFTVSTQEMLLLSDFQQPDKTAPLFKEYSLNITQDKLIISFKPSNGIAFINAIEVVSVPDDLIGDSASMVNPMQQYSGLSTQPLETVYRVNMGGPKVTADNDTLSRTWVTDKKYLVNPSVTREVNGGKVNYMKGGGSTPLIAPDIVYSTATELAASNTTNALFNMTWQFDVDSGFSYLIRFHFCDIVSKALNQLYFNAYVGSFYAQHDIDLSIQSMNQLATAIYLDVVLSSNDASNKLSISIGPSTLNNALPDGILNGLEVMKMSSGSGSAFTVGSSGSNKNLGVIIGSVLGAVGILIIVLVIVLLCQKKKTLEKQHSKTWMPFSINGLTSLSTGSRTSYGTTLTSGLNGSYGYRFAFSVLQEATNNFDENWVIGVGGFGKVYKGVLRDDTKVAVKRGNPKSQQGLNEFRTEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKSHLYGSDNPSLNWKQRLEICIGAARGLHYLHTGSAKAIIHRDVKSANILLDENLLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWGMKWQKRGELHQIVDQRVSGSIRPDSLRKFGETVEKCLADYGVERPSMGDVLWNLEYVLQLQDADSSTVSDVNSMNRIVELPSQVQNIGALESISVTMAEAGASHEPDHDLSDVSMSRVFSQLIKAEGR >ORUFI06G14280.1 pep chromosome:OR_W1943:6:12089382:12093134:-1 gene:ORUFI06G14280 transcript:ORUFI06G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGGGGGGSWSIHGRPDVTSRYEVLGRAGSGAYADVYRGRRRSDGAPVALKEVHDAVSARREADALLAAAPSRHVVALLDHFPGGDHDDDVLVLEWLPLDLSAVVRAAAAARPSAPPAAQRKRWMLQVLEGVAACHSAGVVHRDLKPANLLISEDGVLKVADLGQARILQETGTYQGMHPYEQSSGVEPWVSQQRAVLHGVKENHPSHDSETQTGQEPERLTAADYLHEMDQLRAKSTHGDVDKMSLQDGNASCLATCSTADIDDDPFRASYSYDAEEGMLEEESGAFTSCVGTRWFRAPELLYGSTNYGQEVDLWSLGCILAELFNLEPIFPGTSDIDQIGRIISVLGNITEETFPGCSNLPDYNKIFFNKVEKPIGLEACLPDRSASEVSIIKRLLCYDPTKRASAADLLNDPYFAEEPLPVPIEGLQVPESKDEDDDSTEEWANFRGGDSDSDFDEFGSMDVTKTDKGFSIRFS >ORUFI06G14290.1 pep chromosome:OR_W1943:6:12103174:12106732:-1 gene:ORUFI06G14290 transcript:ORUFI06G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLAFGSARLRRRLRRLSVDLLRGPVCSIADSACKSAGHRMILTAARSSTLSTRLSFITEGHHNLEKIISVHDNYCSSKTGSPVETRVTVASDSELREWCRRKRMDRAATPPHFAVSMCPVIGRFAMAAG >ORUFI06G14290.2 pep chromosome:OR_W1943:6:12103174:12106732:-1 gene:ORUFI06G14290 transcript:ORUFI06G14290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLAFGSARLRRRLRRLSVDLLRGPVCSIADSACKSAGHRMILTAARSSTLSTRLSFITEGHHNLEKIISVHDNYCSSKTGSPPTNRVETRVTVASDSELREWCRRKRMDRAATPPHFAVSMCPVIGRFAMAAG >ORUFI06G14290.3 pep chromosome:OR_W1943:6:12103174:12106732:-1 gene:ORUFI06G14290 transcript:ORUFI06G14290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLAFGSARLRRRLRRLSVDLLRGPVCSIADSACKSAGHRMILTAARSSTLSTRLSFITEGHHNLEKVDKKSYVAFDYRRFPEIVCEIISVHDNYCSSKTGSPLKAFNFGKVETRVTVASDSELREWCRRKRMDRAATPPHFAVSMCPVIGRFAMAAG >ORUFI06G14290.4 pep chromosome:OR_W1943:6:12103174:12106732:-1 gene:ORUFI06G14290 transcript:ORUFI06G14290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLAFGSARLRRRLRRLSVDLLRGPVCSIADSACKSAGHRMILTAARSSTLSTRLSFITEGHHNLEKVDKKSYVAFDYRRFPEIVCEIISVHDNYCSSKTGSPLKAFNFGKPTNRVETRVTVASDSELREWCRRKRMDRAATPPHFAVSMCPVIGRFAMAAG >ORUFI06G14290.5 pep chromosome:OR_W1943:6:12103174:12106732:-1 gene:ORUFI06G14290 transcript:ORUFI06G14290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLAFGSARLRRRLRRLSVDLLRGPVCSIADSACKSAGHRMILTAARSSTLSTRLSFITEGHHNLEKIISVHDNYCSSKTGSPLKAFNFGKPTNRVETRVTVASDSELREWCRRKRMDRAATPPHFAVSMCPVIGRFAMAAG >ORUFI06G14300.1 pep chromosome:OR_W1943:6:12122491:12122963:1 gene:ORUFI06G14300 transcript:ORUFI06G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRRRRIRERLLLLEREKEELPAQQQEEKLPIFIHKTQRVTITERKRIEDVARQLEEVLMKRIKKRKIETRQIVVEEIRNELRINKIIKSEESDIEIEVNTDDEENKAEEYEAWTNREIARTKRDKEEREAMLRP >ORUFI06G14310.1 pep chromosome:OR_W1943:6:12122996:12123324:1 gene:ORUFI06G14310 transcript:ORUFI06G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEECREWVRMNKQRFMQKYYQKGAFFLEKADDCDFSTPTGEDMMDKTILPKVMQVKRFGFKGRMKWTDLVNEDTTDWNNPYVCFAC >ORUFI06G14320.1 pep chromosome:OR_W1943:6:12139281:12143602:1 gene:ORUFI06G14320 transcript:ORUFI06G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQERDYIGLSPAAAAALATELRLGLPGTAEEAESEGGGGGGGGADAAPLTLELLPKGGAKRGFADAIVGGPAGQRREAAGGKAAAAAAEAEEEEEKKKAQAPAAKAQVVGWPPIRSYRKNTMAMSQPALKGKDDGEAKQAPASGCLYVKVSMDGAPYLRKVDLKMYKNYKELSLALEKMFSCFTVGHGESNGKSGRDGLSDCRLMDLKNGTELVLTYEDKDEDWMLVGDVPWRMFTDSCRRLRIMKGSDAVGLAPRATDKSKNRN >ORUFI06G14330.1 pep chromosome:OR_W1943:6:12156811:12157157:-1 gene:ORUFI06G14330 transcript:ORUFI06G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGNCAAVIALLVLVALAASAASDQPRCCVDYHSWGGNTGCGADQKDACNTWCQSQCRGGECKPRGDRHFCHCFC >ORUFI06G14340.1 pep chromosome:OR_W1943:6:12166872:12179706:1 gene:ORUFI06G14340 transcript:ORUFI06G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALLAVVVVAVAAVLELGLVGANFQDQCDITWEPQNAKMTEGGDHLTLSLVSNSSGCMLRTKKQFIYGSVSTRIQLVKGNSAGTVTTYYTSSIGDKHDEIDFEFLGNSSGLPYTFHTNVFADGVGSREMQFRPWWFVDSIPIRVFRNHEKEGVPFPTKRPMYAFSSIWAAEDWATQGGRVKTDWTKAPFVAEYRDIGLNICECPGSGSGSSSSFSSSSSSTSGDAEDPACAQRCATSDHWYAAEGLCQLSDKQLRQMKAVQLGYTIYDYCADAQAKGRPVPPECSMPQY >ORUFI06G14350.1 pep chromosome:OR_W1943:6:12170454:12175542:-1 gene:ORUFI06G14350 transcript:ORUFI06G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDWSSTNGVLGKVADQPRCCVDYHLWGGNTGCGPNQNDACNSWCQSQCRGGECKQRGDRHFCHCFC >ORUFI06G14360.1 pep chromosome:OR_W1943:6:12180713:12182333:-1 gene:ORUFI06G14360 transcript:ORUFI06G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVAVAESTSAKVEAGGSKDAEEEMRCKGEEERLGGEKMDSLDNADVVQALTQQVASRFSWPTTVAEIKGVIQCIQRVQMHKIEREANRVAHVLAQMAISTRSCGEWRLCAPHQS >ORUFI06G14370.1 pep chromosome:OR_W1943:6:12186405:12186599:1 gene:ORUFI06G14370 transcript:ORUFI06G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVEARSAAGCGDIGGGGCHGGDGSTRTPTAEAQSVAGYGHAAGGVAWEDDNDGGAKSSLRY >ORUFI06G14380.1 pep chromosome:OR_W1943:6:12196537:12198586:1 gene:ORUFI06G14380 transcript:ORUFI06G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNIAFGRFDDSFSAASLKAYVAEFISTLVFVFAGVGSAIAYTKLTGGAPLDPAGLVAVAVCHGFGLFVAVAIGANISGGHVNPAVTFGLALGGQITILTGVFYWIAQLLGAIVGAVLVQFCTGVATPTHGLSGVGAFEGVVMEIIVTFGLVYTVYATAADPKKGSLGTIAPIAIGFIVGANILVAGPFSGGSMNPARSFGPAVASGDYTNIWIYWVGPLVGGGLAGLVYRYVYMCGDHAPVASSEF >ORUFI06G14390.1 pep chromosome:OR_W1943:6:12202679:12203153:-1 gene:ORUFI06G14390 transcript:ORUFI06G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSGLGGSSLPADGQEAAPAKAPPRGFICIDYDGDGHGDGGRAANYTRGDGRNGRVNSWITAPRNEER >ORUFI06G14400.1 pep chromosome:OR_W1943:6:12204181:12207219:1 gene:ORUFI06G14400 transcript:ORUFI06G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDYANYTVLMPPTPDNQPSGGAPPAAPSAGGARPGDLPLPPYGSSSSSRLVNRRGGGDDGAKMDRRLSTARVPAPSSNKSLLVRSQTGDFDHNRWLFETKGTYGIGNAYWPQDNVYGDDGGGGAVKMEDLVEKPWKPLSRKVPIPPGILSPYRLLVLVRFVALFLFLVWRVTNPNMDALWLWGISIVCEFWFAFSWLLDQMPKLNPINRAADLAALKEKFESPSPTNPTGRSDLPGLDVFISTADPYKEPTLVTANTLLSILATEYPVEKLFVYISDDGGALLTFESMAEACAFAKVWVPFCRKHSIEPRNPDSYFTQKGDPTKGKKRPDFVKDRRWIKREYDEFKIRVNSLPDLIRRRANALNARERKLARDKQAAGDADALASVKAATWMADGTHWPGTWLDPSPDHAKGDHASIVQVMIKNPHHDVVYGEAGDHPYLDMTDVDMRIPMFAYLSREKRAGYDHNKKAGAMNAMVRASAILSNGPFMLNFDCDHYIYNCQAIREAMCYMLDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCLFRRYAIYGFNPPRAIEYRGTYGQTKVPIDPRQGSEAMPGAGGGRSGGGSVGGDHELQALSTAHPDHEAPQKFGKSKMFIESIAVAEYQGRPLQDHPSVLNGRPPGALLMPRPPLDAATVAESVSVISCWYEDNTEWGQRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNAVLASRRLKFLQRMAYLNVGIYPFTSLFLIMYCLLPALSLFSGQFIVATLDPTFLSYLLLITITLMLLCLLEVKWSGIGLEEWWRNEQFWVIGGTSAHLAAVLQGLLKVVAGIEISFTLTAKAAAEDDDDPFAELYLIKWTSLFIPPLAVIGINIIALVVGVSRTVYAEIPQYSKLLGGGFFSFWVLAHYYPFAKGLMGRRGRTPTIVYVWAGLISITVSLLWITISPPDDSVAQGGIDV >ORUFI06G14410.1 pep chromosome:OR_W1943:6:12218084:12221058:1 gene:ORUFI06G14410 transcript:ORUFI06G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGMGPPPACFTNIRFPLVYSTQGYNFVHLGTNQISNEKIQFNYVNIQQQVFDKTVSSMITAVLSKVTNLSTRFAKGKELLIAYNYKYIIYGLAQCSSELTCLQCQLSLYTKLEVMCPSALASFEEITTSAHGKRVALFLDYDGTLSPIVDDHERTFVLP >ORUFI06G14420.1 pep chromosome:OR_W1943:6:12224271:12225383:-1 gene:ORUFI06G14420 transcript:ORUFI06G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTQTLRAEAWKAIKEEKRPVVYTLDEPEKDDRNNLSLSQGNLANDKARVDLMQNRGKRLEAALTKQLDEKLLKQEPLNNRLIVDAIGVITSSWKEHYAKPCPEDLVKLMSDVGDLVGLFERQLRYESVCTDASRDLKIFADDNAEYCERKAKEARTVAVAYPQLVKRNEEMIVNHPVTIDSLSQKVTELENRRDNAKINIEATKMQKEAEASAPPSVRPKSFEETILPIPSMLANNFL >ORUFI06G14430.1 pep chromosome:OR_W1943:6:12228695:12229366:-1 gene:ORUFI06G14430 transcript:ORUFI06G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTFATAAGAAADRSRGGGGKHGVRAASRVGATGGVVAGDMAEVELLRRAQPAVGGGETVYQECPKNHAASLGGHGAGRLRGVHAVVGGEPTDPTSLMCAACGCHCNFHCWLLEGSPPPPPPLALPAPPMPANVLHGQLHREEETPEVRLPGVDGDESDNNSDGSEYYDERSVSPPSPPHLPAPVVHQPYYPSAQHMLLSLGSSGQAQRLPL >ORUFI06G14440.1 pep chromosome:OR_W1943:6:12229889:12231513:1 gene:ORUFI06G14440 transcript:ORUFI06G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYVSDTVEGIKEGLLLFLLPIFASSFSLSLRFLPTCARAPSGVRLVRDGAAVASRRRGAEATAVQRYGRAAAERGDAWVRWRGGRRWDPLRLGARGGGDGGLEMAGKAKTEGVGAEELECGEAGRRRLPGLATGIKGGGGGGQGAPWGGARAGPVWLCAATAKIGGKGGEGRRRGSDGDGKGEGQGGSGDAVACTWLTPVCSRQSARSKVVDVMDGGSRARMSKSTQGKEYKEGVGDLGARCRREAVTNPAGLVVG >ORUFI06G14450.1 pep chromosome:OR_W1943:6:12233565:12234035:-1 gene:ORUFI06G14450 transcript:ORUFI06G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNGIITVLITYELLVRRVSHRPHYPDIAGTTFHPRVAGHAARCLLLRLRPPLTSAGRNGQPRCSSLQYPNGLVPPSHSDLVAPVLITAGLIDAMKGIGKEENGCCSSQGSEPGKKTQIWLRTFESPKMAAVANYVASLRLHGLEPRLNFLALII >ORUFI06G14460.1 pep chromosome:OR_W1943:6:12234080:12234889:1 gene:ORUFI06G14460 transcript:ORUFI06G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSHLCYADPDQCSHCDDHTTVPIFHHLEKPPLLVSNRQARSSSRHLLCGVIGDATLLLDVDNWWRGCLVSSVLPVSLARYCQTWPPQRH >ORUFI06G14470.1 pep chromosome:OR_W1943:6:12236543:12237199:1 gene:ORUFI06G14470 transcript:ORUFI06G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMIITGAVAFLFLASLVASQSADGPVPAVETAAAEPNCCVDFHSWAKNTGCSPEQSDDCNTWCQSQCRGGECKPRGGRHFCHCFC >ORUFI06G14480.1 pep chromosome:OR_W1943:6:12253373:12255940:1 gene:ORUFI06G14480 transcript:ORUFI06G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGACWRAVVGATRRGNRPQTAAGGVEGAWLGGRGECSWVHEASTPGGWEGAAVGLCRKAVEYFEGMCDRPDAMSDEFRRLQLIEMAANDGLSDLLWMPYSQHKVFKISDCVSS >ORUFI06G14490.1 pep chromosome:OR_W1943:6:12261336:12263121:1 gene:ORUFI06G14490 transcript:ORUFI06G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPARERRNRPDPCRRPDLAVEQPAAGLSGAATVSGGGGSGVKALAAAEETARSAPGSGGTAADGGVWWRGWRGRRGDGVGEVNLAAPAADPAPGGLAVATVVAMSSQRRRCGLPRRAACTEYYILRLRPYIAPYWILPSTYARTIIMFSSAMPANRKSHKQFNEYEDLVLNVPIEVSLPNE >ORUFI06G14500.1 pep chromosome:OR_W1943:6:12265617:12274928:1 gene:ORUFI06G14500 transcript:ORUFI06G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPVVAVAIVFVSAAIAMAASSQYSHHPLDPLTATEITAIRAAVLASPLVPARPLFFHYVGLDEPDKPDVLSYAYGAADAAATSSQMTLPRRAFVIARAGGQSHEFTVDIAADNASVLSHAVHRGPGFPMFTDEDQIAAMALPYTYPPFVESVRRRGLDVGEVGCGVLSRGWFGAEQPAYGGARVVKMKCVVVDYNATANIYARPVEGVVMVVDLDRMAIIGYRDRAVFTVPKAEGTDYRADKVGPPFTGPAAPPGVVTNGIDQIGGKVSRAHGAGSADESFWHEQQGKKTRIISLASVNDTDAGGLKRRVLYRGFVSEIFVPYMDPEEEWYFHTFTDAGEYGLGALASQLQRGADCPANAVYMDGYYAGSDGKPVKAEDVICLFERYAGDVAWRHTNGIGLGGLFSEVRPDVTLVVRMVVTVGNYDYTLDSEFKTVGSIKIMVSLSGILEMKAINYTHVDQIREDTHGTLITENTIGVYHDHFVTYHLDLDIDGTRNSFIKNNIVPKRNTGVRATGGAPTPRRSYWTVLYEVAETEAEGQVNINSAPADLLFVNPSKKTKIGNEVGYRLIPTGATAMSLLADDDYPERRASYTKKQVWVTPYNKSEKWASGLYAEQSTGDDNLAAWSKRNRSIKDEDIVLWYTVGLHHVPYQEDFPVMPTISGALEVRPSNFFERNPLIRTKPPENSPNCSCSIGGSA >ORUFI06G14500.2 pep chromosome:OR_W1943:6:12270232:12274928:1 gene:ORUFI06G14500 transcript:ORUFI06G14500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARRPLSLAGALPPPLRRPPSSRPPDLVAAAAVVVEEAREVEAGTTNGIDQIGGKVSRAHGAGSADESFWHEQQGKKTRIISLASVNDTDAGGLKRRVLYRGFVSEIFVPYMDPEEEWYFHTFTDAGEYGLGALASQLQRGADCPANAVYMDGYYAGSDGKPVKAEDVICLFERYAGDVAWRHTNGIGLGGLFSEVRPDVTLVVRMVVTVGNYDYTLDSEFKTVGSIKIMVSLSGILEMKAINYTHVDQIREDTHGTLITENTIGVYHDHFVTYHLDLDIDGTRNSFIKNNIVPKRNTGVRATGGAPTPRRSYWTVLYEVAETEAEGQVNINSAPADLLFVNPSKKTKIGNEVGYRLIPTGATAMSLLADDDYPERRASYTKKQVWVTPYNKSEKWASGLYAEQSTGDDNLAAWSKRNRSIKDEDIVLWYTVGLHHVPYQEDFPVMPTISGALEVRPSNFFERNPLIRTKPPENSPNCSCSIGGSA >ORUFI06G14500.3 pep chromosome:OR_W1943:6:12265617:12274928:1 gene:ORUFI06G14500 transcript:ORUFI06G14500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPVVAVAIVFVSAAIAMAASSQYSHHPLDPLTATEITAIRAAVLASPLVPARPLFFHYVGLDEPDKPDVLSYAYGAADAAATSSQMTLPRRAFVIARAGGQSHEFTVDIAADNASVLSHAVHRGPGFPMFTDEDQIAAMALPYTYPPFVESVRRRGLDVGEVGCGVLSRGWFGAEQPAYGGARVVKMKCVVVDYNATANIYARPVEGVVMVVDLDRMAIIGYRDRAVFTVPKAEGTDYRADKVGPPFTGPAAPPGVVFHVGFDMRAGTVISLASVNDTDAGGLKRRVLYRGFVSEIFVPYMDPEEEWYFHTFTDAGEYGLGALASQLQRGADCPANAVYMDGYYAGSDGKPVKAEDVICLFERYAGDVAWRHTNGIGLGGLFSEVRPDVTLVVRMVVTVGNYDYTLDSEFKTVGSIKIMVSLSGILEMKAINYTHVDQIREDTHGTLITENTIGVYHDHFVTYHLDLDIDGTRNSFIKNNIVPKRNTGVRATGGAPTPRRSYWTVLYEVAETEAEGQVNINSAPADLLFVNPSKKTKIGNEVGYRLIPTGATAMSLLADDDYPERRASYTKKQVWVTPYNKSEKWASGLYAEQSTGDDNLAAWSKRNRSIKDEDIVLWYTVGLHHVPYQEDFPVMPTISGALEVRPSNFFERNPLIRTKPPENSPNCSCSIGGSA >ORUFI06G14500.4 pep chromosome:OR_W1943:6:12265617:12270232:1 gene:ORUFI06G14500 transcript:ORUFI06G14500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPVVAVAIVFVSAAIAMAASSQYSHHPLDPLTATEITAIRAAVLASPLVPARPLFFHYVGLDEPDKPDVLSYAYGAADAAATSSQMTLPRRAFVIARAGGQSHEFTVDIAADNASVLSHAVHRGPGFPMFTDEDQIAAMALPYTYPPFVESVRRRGLDVGEVGCGVLSRGWFGAEQPAYGGARVVKMKCVVVDYNATANIYARPVEGVVMVVDLDRMAIIGYRDRAVFTVPKAEGTDYRADKVGPPFTGPAAPPGVVAAAVMVEEAREVEASARAARWRWRRCWW >ORUFI06G14510.1 pep chromosome:OR_W1943:6:12288329:12291384:1 gene:ORUFI06G14510 transcript:ORUFI06G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPLVLVLAIFFAVATAIAALSSPLPHPLDPLSPTELTEVRSAVLAFSLVASRPISFHYVGLDEPEKPDVLSHTNAAAAAILPRRAFVIARAGGLSHEFYVDVTNTSAPRVVSHGIHDGPGFPMFTTEEQVAAGQLSREYPPFRESLQRRGLALTNVGCGVFSMGWFGKNEARRLAKVQCFIVAGGTANYYARPLEGVTLLVDVEKLVIVAYRDRAAYSVPKAEGTDYRAGKAGPPFNGAVPAPGVVVQPEGKGFHIDDHIVRWANWEFHVGFDMRAGTVISMASVHDADADLWRRVLYRGFASEIFVPYMDPEEEWYFHTFMDAGEYGLGVSAVPLQPEADYPANAAYMDGYYVDADGKPVKSENIICVFERYAGDIAWRHTGVAGPIAPITEVRPDVTLVVRMVVTVGNYDYTLDWEFKTVGSIKTVVSLSGILEMKATNYTHVDQIRDDIHGTLIAENTVGVYHDHFITYHLDLDIDGTKNSFIKNTIIPKRNTGIRATGGAPTPRSYWTVLYEVAETEADGQVNINGAPADLLFVNPSKKTKVGNEVGYRLIPAGATATSLLANNDYPQRRASYTKKQVSGLYAEQSTGDDNLAAWSKRNRRIKDEDIVLWYTVGLHHVPCQEDFPVMPMISGAFELRPFNFFERNPLIRTRPPGNSPNCSTS >ORUFI06G14510.2 pep chromosome:OR_W1943:6:12288329:12291450:1 gene:ORUFI06G14510 transcript:ORUFI06G14510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPLVLVLAIFFAVATAIAALSSPLPHPLDPLSPTELTEVRSAVLAFSLVASRPISFHYVGLDEPEKPDVLSHTNAAAAAILPRRAFVIARAGGLSHEFYVDVTNTSAPRVVSHGIHDGPGFPMFTTEEQVAAGQLSREYPPFRESLQRRGLALTNVGCGVFSMGWFGKNEARRLAKVQCFIVAGGTANYYARPLEGVTLLVDVEKLVIVAYRDRAAYSVPKAEGTDYRAGKAGPPFNGAVPAPGVVVQPEGKGFHIDDHIVRWANWEFHVGFDMRAGTVISMASVHDADADLWRRVLYRGFASEIFVPYMDPEEEWYFHTFMDAGEYGLGVSAVPLQPEADYPANAAYMDGYYVDADGKPVKSENIICVFERYAGDIAWRHTGVAGPIAPITEVRPDVTLVVRMVVTVGNYDYTLDWEFKTVGSIKTVVSLSGILEMKATNYTHVDQIRDDIHGTLIAENTVGVYHDHFITYHLDLDIDGTKNSFIKNTIIPKRNTGIRATGGAPTPRSYWTVLYEVAETEADGQVNINGAPADLLFVNPSKKTKVGNEVGYRLIPAGATATSLLANNDYPQRRASYTKKQVSGLYAEQSTGDDNLAAWSKRNRRIKDEDIVLWYTVGLHHVPCQEDFPVMPMISGAFELRPFNFFERNPLIRTRPPGNSPNCSCSFGRSG >ORUFI06G14520.1 pep chromosome:OR_W1943:6:12312068:12313458:-1 gene:ORUFI06G14520 transcript:ORUFI06G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKSLIMCALVLGLVLQQEKIHVEAKSCCPSPQQETSITHAVSRVAQGKPVLNSLRENTLMGAASHLTITSLFTLTRCRDYGTIYVDGWAIRLIIGASGITFACVSRKRPVVLVW >ORUFI06G14530.1 pep chromosome:OR_W1943:6:12329698:12336470:-1 gene:ORUFI06G14530 transcript:ORUFI06G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFVLGPACVREAGTAQPATGPCRVGPGGPFCQLSPNPTMSRLPTRPSAAVADASPPSEMDFQVVVLAGGTSEKLSPLVSKDVPKALLPVANRPVLSYVLDLLEASDLKDIIVVVEGQEAARLVGAWASSAYLDRLLVEVVAVPEDIGTAGALRAISKRLTANDVLVISGDLVTDVLPGAVAATHRRNGAAVTALLCSVPISGPSDAASSGGKDKAKKPTRLNIVGLDITRQFLLHIVSGTDVEKDVRVYKRKIRAVGEMEIRSDLMDAHLYAFKRTTLQNILEEKESYHSIRLEVLPYLVRSQLKSSSSGGEGTTVDETGDATVPSNSHLQCLSQHRILAPSAFKKDLLSSGGTYRCCVYIATKSKYCHRLNSIQAYCDINRDVVGDASHLSGYSFSAQNNIIHPTSVLGSKTTIGPQCMLAEGSQLGDKCSVKRSVIGRHCRIGSNVKIVNSVVMNHVVIEDGCHIQGSVICNNVQLQERAVLKDCQVGAGYIVTASSEHKAESLSKKVERF >ORUFI06G14530.2 pep chromosome:OR_W1943:6:12329698:12336470:-1 gene:ORUFI06G14530 transcript:ORUFI06G14530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFVLGPACVREAGTAQPATGPCRVGPGGPFCQLSPNPTMSRLPTRPSAAVADASPPSEMDFQVVVLAGGTSEKLSPLVSKDVPKALLPVANRPVLSYVLDLLEASDLKDIIVVVEGQEAARLVGAWASSAYLDRLLVEVVAVPEDIGTAGALRAISKRLTANDVLVISGDLVTDVLPGAVAATHRRNGAAVTALLCSVPISGPSDAASSGGKDKAKKPTRLNIVGLDITRQFLLHIVSGTDVEKDVRVYKRKIRAVGEMEIRSDLMDAHLYAFKRTTLQNILEEKESYHSIRLEVLPYLVRSQLVVGDASHLSGYSFSAQNNIIHPTSVLGSKTTIGPQCMLAEGSQLGDKCSVKRSVIGRHCRIGSNVKIVNSVVMNHVVIEDGCHIQGSVICNNVQLQERAVLKDCQVGAGYIVTASSEHKAESLSKKVERF >ORUFI06G14540.1 pep chromosome:OR_W1943:6:12338014:12338259:-1 gene:ORUFI06G14540 transcript:ORUFI06G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMTATAVPSPPAPADDLDDSRRGSRPPRATAAEKPPYQAPQAAPPLRRSCRWLPRRCRPPPSRRHWRLLRSGSGGRGH >ORUFI06G14550.1 pep chromosome:OR_W1943:6:12361862:12368744:1 gene:ORUFI06G14550 transcript:ORUFI06G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWATLLCKHLQIKIRIGIVHQLVLLLMSSSLFLVVSAQSVPADNMDQEALLGLKSLVTSDPSGMLLSWGNGSACTWSGVRCNRHGRVLVLDLQGLNLVGKISPSIGNLSALHGLYLQKNQFSGEIPDQIGWLGQLQTLNASANILTGNIPAALINCTNLEIIDLSQNTFFGTIPASISSFQKLRVLKIGGNQLSGSVPRYIGNLSLLSTLDLSTNNLTGTIPYEFGHLRQLKFTGPIPPSLHNVTNIQSIRMSHNHFSGSVPPGLSGLHNLVLYNIGFNQIVGNTSVLVDLMNCTKLQLIAFDENLIEGILPDSIGNLSSSLTRLYVGGNRITGYIPASIGRLSSLTLLNMSYIQPTFWNLKGGIPASIFSLNSLSTLLNLSHNLLTGSIRENIGQLGQITAIDLSYNFLNGSIPVSIGKCQSLQSLSLSRNSLSGVIPGTIGNLKGLQTLDLSSNQLSGIIPATLVKMQALRLLNLSMNDLDGLVPNNGIFKDHSVVYLDGNPKLCYSNMLCYYIHSSHRRKMAVAIAVGTAAMAAITIVVIISMLLLPRKWLRNRKPKKLGSFIKKSHPLVSYEELNQDLIHKGRQGENVAGVNADMILSIAIDVASALDYLHNDCGEQVVHCDIKPSNVLLDEDMTAKVGDFGLARLLSPTSAGQDVSSTHGLKGSIGYIPPAKGDVYSYGMLLLEMITGKRPVDPQFGGDMNLEKWVRDGFPHRAHEVVDERLRGTIVDICHEGQQQASAEQKRQQLMLNNIILPVMEVALSCALESPDERSTMRDALCRLKRIKEAFLKNHSF >ORUFI06G14550.2 pep chromosome:OR_W1943:6:12342997:12368744:1 gene:ORUFI06G14550 transcript:ORUFI06G14550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWATLLCKHLQIKIRIGIVHQLVLLLMSSSLFLVVSAQSVPADNMDQEALLGLKSLVTSDPSGMLLSWGNGSACTWSGVRCNRHGRVLVLDLQGLNLVGKISPSIGNLSALHGLYLQKNQFSGEIPDQIGWLGQLQTLNASANILTGNIPAALINCTNLEIIDLSQNTFFGTIPASISSFQKLRVLKIGGNQLSGSVPRYIGNLSLLSTLDLSTNNLTGTIPYEFGHLRQLKFTGPIPPSLHNVTNIQSIRMSHNHFSGSVPPGLSGLHNLVLYNIGFNQIVGNTSVLVDLMNCTKLQLIAFDENLIEGILPDSIGNLSSSLTRLYVGGNRITGYIPASIGRLSSLTLLNMSYIQPTFWNLKGGIPASIFSLNSLSTLLNLSHNLLTGSIRENIGQLGQITAIDLSYNFLNGSIPVSIGKCQSLQSLSLSRNSLSGVIPGTIGNLKGLQTLDLSSNQLSGIIPATLVKMQALRLLNLSMNDLDGLVPNNGIFKDHSVVYLDGNPKLCYSNMLCYYIHSSHRRKMAVAIAVGTAAMAAITIVVIISMLLLPRKWLRNRKPKKLGSFIKKSHPLVSYEELNQDLIHKGRQGENVAGVNADMILSIAIDVASALDYLHNDCGEQVVHCDIKPSNVLLDEDMTAKVGDFGLARLLSPTSAGQDVSSTHGLKGSIGYIPPAKGDVYSYGMLLLEMITGKRPVDPQFGGDMNLEKWVRDGFPHRAHEVVDERLRGTIVDICHEGQQQASAEQKRQQLMLNNIILPVMEVALSCALESPDERSTMRDALCRLKRIKEAFLKNHSF >ORUFI06G14550.3 pep chromosome:OR_W1943:6:12363727:12368744:1 gene:ORUFI06G14550 transcript:ORUFI06G14550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWATLLCKHLQIKIRIGIVHQLVLLLMSSSLFLVVSAQSVPADNMDQEALLGLKSLVTSDPSGMLLSWGNGSACTWSGVRCNRHGRVLVLDLQGLNLVGKISPSIGNLSALHGLYLQKNQFSGEIPDQIGWLGQLQTLNASANILTGNIPAALINCTNLEIIDLSQNTFFGTIPASISSFQKLRVLKIGGNQLSGSVPRYIGNLSLLSTLDLSTNNLTGTIPYEFGHLRQLKFTGPIPPSLHNVTNIQSIRMSHNHFSGSVPPGLSGLHNLVLYNIGFNQIVGNTSVLVDLMNCTKLQLIAFDENLIEGILPDSIGNLSSSLTRLYVGGNRITGYIPASIGRLSSLTLLNMSYIQPTFWNLKGGIPASIFSLNSLSTLLNLSHNLLTGSIRENIGQLGQITAIDLSYNFLNGSIPVSIGKCQSLQSLSLSRNSLSGVIPGTIGNLKGLQTLDLSSNQLSGIIPATLVKMQALRLLNLSMNDLDGLVPNNGIFKDHSVVYLDGNPKLCYSNMLCYYIHSSHRRKMAVAIAVGTAAMAAITIVVIISMLLLPRKWLRNRKPKKLGSFIKKSHPLVSYEELNQDLIHKGRQGENVAGVNADMILSIAIDVASALDYLHNDCGEQVVHCDIKPSNVLLDEDMTAKVGDFGLARLLSPTSAGQDVSSTHGLKGSIGYIPPAKGDVYSYGMLLLEMITGKRPVDPQFGGDMNLEKWVRDGFPHRAHEVVDERLRGTIVDICHEGQQQASAEQKRQQLMLNNIILPVMEVALSCALESPDERSTMRDALCRLKRIKEAFLKNHSF >ORUFI06G14560.1 pep chromosome:OR_W1943:6:12345821:12346072:-1 gene:ORUFI06G14560 transcript:ORUFI06G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGCLDVVALPVVASSPQRRRRPLALINAAIFFTLIALLLEENAGRSTTTRCTQGVITSGCVMNYLVGVAVVPWTPLSRPNP >ORUFI06G14570.1 pep chromosome:OR_W1943:6:12378468:12378821:1 gene:ORUFI06G14570 transcript:ORUFI06G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMSGSAFKAFKSRVEVAWSPKLVRGLPGTRRLHRHTLEAMSLRRCHRTVEHRTTPSLLGMLTQVKCLVVVETQEMYAARRQAEEDRRAPRPPLIVSHTRRRRGERPPQRRTRLKK >ORUFI06G14580.1 pep chromosome:OR_W1943:6:12381205:12381546:-1 gene:ORUFI06G14580 transcript:ORUFI06G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNAKREEAVAGAEPADWLSAGGRRLLQEMNEREKREEEEPREEREFATWVAATSAESYARFNLPPLTLEEAELEAAVRRRRDDDVSVLRPEDDEEIKRRIGNDGILCHFD >ORUFI06G14590.1 pep chromosome:OR_W1943:6:12400014:12400379:-1 gene:ORUFI06G14590 transcript:ORUFI06G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRNVKWKELEAEAEKAEPADWLPAVARAFCLLQEINEREKRDEEELREEMEFAAWVAATSAESYARFNLPPMTLEEEAEVEAAIRHHRCDDDFSVLRPEGHEEIKRRIGNDGILRHFD >ORUFI06G14600.1 pep chromosome:OR_W1943:6:12401778:12402877:-1 gene:ORUFI06G14600 transcript:ORUFI06G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARAARWFSGDATHTVLNRSQESFMPEKLNCDSPEREKSKVELDGWNWGRGSQSPSSVHDSWEFLGGFGEDVEKPTTVYNGRNGDNVAAAVRRARSRAPVGTAAFPSIGCTREDEIKRRNIRHGEESMERGRDRIGEDSSSSRPAVRSKARRAARALSLGSLGIGMIESKVWRFEGVDTSLPRVDAPSSRSLSPVSLVCWSGRAVEEEMDRAWASQGGCQVGPGRGKLGGRERCGYKHG >ORUFI06G14610.1 pep chromosome:OR_W1943:6:12407220:12409619:-1 gene:ORUFI06G14610 transcript:ORUFI06G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAKEVNTNRSRCGSSISMRMEGDRNGALAMLSISSSSARYGNDHQELASAAAAFRESAGDPRKLSSGMSSRLLPARSMRSTGAGDDGEVAAAPVAGARSLSFSKLFSFRIANAARCSSSLDFDHPLPSDAASSDHISALSIQPAACLLFARFAKNDETTATKTKTKTKAKHASDHMNISRSQSVPMSTLSRFSSKGGGGSKRVADSSSLRIHDGGSVRFRVSVIGASPPDGNADAAAAAGEEEDDAGSVEAEEEALVCRICMVALSEDGASGGGGGTLKLECRCKGELALAHGDCAVKWFSIKGNATCDVCNHEVLNLPVTLRRVHDRQQLPRRRSGRVQEVCVDLLSCAISLHRAFHPPLLQISCKVRLQAVIAIILSTFAGFGVAICANAILLQIIRWRARRASMSAAQEEEEARRAPTQADLENALPPP >ORUFI06G14620.1 pep chromosome:OR_W1943:6:12420157:12421957:-1 gene:ORUFI06G14620 transcript:ORUFI06G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAIAISPMVEEFALLPICFDGSRSPHCLSGSQLQDSIIIFLAVPGAPPMPMSVLGSESIASVKLRIQRFKGFVVNKQRLVLDGHELARNNCHVKDYGLADGNVLHLVIRLADLRLINIETTSGKKFQFQVDQSRNVKYLKSKLAVEGDEDLGEDHKLECDGKELEDHQLIADISKKDDAVIHLFIRKPAKLRTQQVDKDTVVTVVTPQEKENLQNEAHAVNPAKPAGARPALVEPIIVNHKVKLSLEVMRMISSAIAGLENGYLPVMSAEGSGGVYFMQDASGEKNIAVFKPRDEEPMAKNNPRGLPVSTDGEGMKRGTLVGEGAFREVAAYILDHPIGDHESEERIGFSGVPPTALVRSLHRGKSFKIGSLQMFIQNNGSCEDMGPRAFPVKEVHKIAVLDLRLANADRHAGNILVCKDEEGGNYKLVPIDHGYCLPEKFEDCTFEWLYWPQAREPFSDETIAYIKSLDAEEDIKLLKFHGWELSARCARVLCISTMLLKKGAARGLTPYDIGRILCRETVNRDSEIEDIVQEAEGHVLPGSSEVIFLETVSEIIDRHLDKKFA >ORUFI06G14630.1 pep chromosome:OR_W1943:6:12428002:12428493:1 gene:ORUFI06G14630 transcript:ORUFI06G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSFLTMGLKSEPQLVVVVAEERECAAWTRAMRMPRRGRVGTAAAGDGGRGVIVLAIGYHRYRCRCHWETRDKGLGLVWFVSAACSPTPPLCPLSLLLHSAALVAMAARPLDSTPPAEVDFLGVELWTVVPCSLWRTRFAAAPTTFSCRRSHTGAAAAMET >ORUFI06G14640.1 pep chromosome:OR_W1943:6:12434321:12434835:1 gene:ORUFI06G14640 transcript:ORUFI06G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNVWSKVPLHWSGATRRDEGMDIATNGGESILQSTVSLAADSVADEALDFRFQFLVVDWYGRGGGGGKRRKRRGGSSPTEGARRRRRSWRGGAP >ORUFI06G14650.1 pep chromosome:OR_W1943:6:12447418:12448724:1 gene:ORUFI06G14650 transcript:ORUFI06G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQPQRMEDQLQSQAQGQGQGQTEAIKYGHVFAVTGELAGQPIAPRDAAAMRSAEESVPGVPVPQDIGGGYSAGVAMETAAAYNQAVAAVRPGQASDAATNQGIAVTQTSVPGGRIITEFVAGQVVGQYSVADQAVEQDATKITVGEALEATALAAGERPVDRTDVEAIRAAEMAAQGSDVTMPGGLADQAQAAARSNADADRDDDKITVGDVLTDATAKLAGDKVAGAEDAAKVVQAETYSDAAARTRAGGVGAAVSTAARLNQADDDADDDAE >ORUFI06G14660.1 pep chromosome:OR_W1943:6:12449160:12450259:1 gene:ORUFI06G14660 transcript:ORUFI06G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPVNLPHHHHLRCRLHAAAALLHLLVLLGGGGGAAMARAQAIPTSCCGMFEGLDLAPCLQDAVAGAGAGIGIAGGNISGACCSSLNQALDAGHRCLCSLLLPSNGGGAGVRVLASLAAALPTLPLALPLPGCLLYAPPVLSCQVPVQEQTDAPPAATEAATATETTVDSPPPQAVVMPPSKKSKRSADGENADDDQGMNGNYGNGYGSGNGKGNGGAKKKTASRSEARRRTNVDEGIRTHLLTFVVVMAAFWFSII >ORUFI06G14670.1 pep chromosome:OR_W1943:6:12453505:12457360:-1 gene:ORUFI06G14670 transcript:ORUFI06G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPIPTPVVYPEMAGSASSPYVAPLISSRITGRLRPASARTDPLGLAAAAAAVRVRSWRRFAGARCEVALLPARRISAQPRRRNALREKASPPPPADPQVLEESGSLDVQRLPDLDREPGISGGLDAVTSGNIVSKWNLEGGSDVVGLGVAQTGPGIVGRGGNTEVQGRGGNVEEVMISRAGAMANQFGSGECEVPTLSSSFPFLTVEAKEPLVVDAQFLAVQIDKPRLRSIAWSGFAALCAACVLLAVSKLIWGNGKKYLSRNMFDILRPRMNKGESGKGGIKVLKNVKCPEDLLGRPQLDRHKLMNNIKRAKQSRELFDLSSVFGYCSVATCYDVIITETRRMVTNVHTLLEGILEQSKTKSKHSVLFPHPAATNGQEVSASHGQCSVYLNDVLGCAELPDISISNNIIGETVESSVDFKSSAQVMDNSVKNQNNVGDIEPPVDTPTNDMPTDAKDSIPMVHVVEIEEQIGSPDECIDGLNSISIPSSEFEGQKQFPDISVKNVDGIFGIKSSQISSDTDVIGTNDNSHKFSINVASKTTGDLSSGCSNSIPSESESKEIPVDINRNDLNYFQEIEAQSTFANYDAQTVQYEEISHRVSMITKEACINPAMADILITKSSQRIGEEPVDLMRGNAQSMQELEPSSSIRDHKQIVLANQKNNIISRSHNETQASSEIDSIGTNDNASTSSVYDLPEESIHQSAKNSTENTSYNEEPEESIIKRKIKLHQEMCNDKDAQTKHKVEGVSEIGPEFGPSNDVCKTETVAKKRSKKTPCDKGLKVPEQDIVQCNSMADKKSSSKNVKRTRKNLKSALRNQGTQTTQEISETALVVNSPDDAPRAENIRPFGGSGSSTETQSPMFSDTFSEARPNGFSISTMRKEKSKHNFQPLESVEAAAVKFKTNRHGDNIMNERAIDFDISNLGVTTTKKMTKRRSLSKRKKPANGLGGATDVPPDV >ORUFI06G14680.1 pep chromosome:OR_W1943:6:12464461:12465179:1 gene:ORUFI06G14680 transcript:ORUFI06G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPGGAGAGAGWLATVRKVFKPGTSKDPRLAKKQRGGDENAAGGGGGGVGQAVEILSMEHFPAAETSPEVTTNEGSGGSAFGRERLHVGRDEAEGAWRARRGMAASRAVRNAAARGRAAGREERAAVRIQAFYRGYLIQWSITKLHIK >ORUFI06G14690.1 pep chromosome:OR_W1943:6:12466728:12468173:1 gene:ORUFI06G14690 transcript:ORUFI06G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGQARRALRALRGLVRLQALVRGHQVRRQVHLTMRCMQALVRAQARVRARRLTSHVALARPAPHAAGLQYSCGHRGRFVAPDQQNDGDEDDAGETETTMPHMVARPRRNSSHIVDERPPFNSGWRDAVPYGEGRRRHDPGPRREMAPIPTSTYGYQQQLQREEQDECTVGWQWLEQCMAGVQPPRHVPEHHVVVAAAAETSYVTAAATDGVSEKTVEMDAGRKLCPAKDLYPVRPPAVPGYMAATQSARAKARMAPASAHVAPRAAQSHARSRSSSVALAGASTATSGWSTNNNCSGGAGGRAPLHRAGYSPESSCSGDRTPPPPPQGGGRGRAAYA >ORUFI06G14700.1 pep chromosome:OR_W1943:6:12468221:12469067:1 gene:ORUFI06G14700 transcript:ORUFI06G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSLASLCALIADHLKIGESIVYCQPALSFPDCCIGLSIGDSSGYNVDIGELLQLAEYGGEYDDPLIESYNGDSGILDGDVLECTSHFNPTMSDFKN >ORUFI06G14710.1 pep chromosome:OR_W1943:6:12493243:12495094:-1 gene:ORUFI06G14710 transcript:ORUFI06G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESPTSPPTSPLPGSPTNNATPPSPVSALLRATVLFAAFLALQLVLFKSLLTFPSSRFLPAPRRSNSTWANGAVDDAEECKAGLIYVYDLPPEFNHDLVAHCDRLWPWYSFCPYLSNGGLGRPAAEVPALSAVVPNASLPNWYNTDQFPLEVIVHRRLLSHRCRTIDASLATAFYVPFYAGLDVGSHLWGPNSTVADRDRAGARLLRWLRGQPFFAKSGGWDHFITLGRITWDFRRYGADGWGTNLVLMPGMENVTRLVIEGDRLDPLDVGVPYPTGFHPRRAADVRAWQEHVLSLDRRNLFGFAGAPRSGFPDDFRDVLLEECEDAGSDRCRAVDCRGTRCNDDGAAVMRLFMGSRFCLQPRGDSFTRRSLFDCMVAGAVPVLFWRRTAYDAYRWFLPRGEEGEWSVFIDRRALRVGNVSVRDVLEGYSERRVRRMRERVVEMIPRLVYGSSPDGLGDGMDDALDVALGGVLKRFRHRRWSIGHEAERPPGRLVAGAPPRRGSSKTTTPPTSNGRNGSVIGQRASRHRSTAASSAYIKTVLSEAPASRSKSLQQS >ORUFI06G14720.1 pep chromosome:OR_W1943:6:12496724:12500765:-1 gene:ORUFI06G14720 transcript:ORUFI06G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSEIYLIKFPQIFSPCFPVIAFDSESRKLVNLLLGSKLESPSPDLICAALDRNRAEMDGGDLRSSIKKWNVIYPVYLNSKKTVAEGRRIASGKACPDPTCVEIADCCSHLKIPHAIELDKAYPRDFFQVGRVRVQLKKDDGSPVNPAIKTKKQLMIQIAELVPKHHGRTKKQEPAASSTAGTSKGKGGKKKK >ORUFI06G14730.1 pep chromosome:OR_W1943:6:12504301:12504735:1 gene:ORUFI06G14730 transcript:ORUFI06G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDDKRELVFKEDGQEYAQVTRMLGNGRCEAICVDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMNDEARLLKAYGELPDTLRLNEGVDVDGPEDGGDHDDYIQFEDEDIDKI >ORUFI06G14740.1 pep chromosome:OR_W1943:6:12509658:12516630:-1 gene:ORUFI06G14740 transcript:ORUFI06G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPRSSKRGYHYDQDSPPPRSKPRFDRRGGPNPNNSYHRRGPPGGGGGDRRGGFQLPPDAAPPPPPPPPPSSAAAGGGGPGMTTSFRILCPQSKVYGFPPSFIAKVRDDTNAVVTIHLPYPGDAVRVIETSDGARREADGRPPSFSPAQEALLMVHRRILETEPDDGDEDGEYGPRAKDARDRGKVTTRLIVPRLHVGCLLGKGGKIIEQMRAETKTHIRILPRDQHTPRCVSLSEEVVQVVGEGNCVKKAVAIISDRLKESLHRDRGPFRGRMNSPEHRFPQEDEYYGGAQQMPAYEEPYGRPDQIRNNTSMELPGYEFDSNGGKINDHTEILFDDIIFRILCPSDKVNSLVGTRDGLLEMLQEDVGVDIRLTDSLDGSDERIIIITSREGPDHELFPAQEALLHLQTHIVDLGPDKDNIITTRLLVPSSEIACFEGRDGSLSDIQRQTSANVQILPRQALPSCALESDELIQIVGEIRAARDALVQITAKLRSYFYREIPGPNQLGNITVHGSISPAKGSPRGPYQGSDIPMPSYQQAQHVPASWKDSGGGANMSFEQGSNINDDMRQSAAKRFAVPLVTRSTLEVVIPKSAVASLTMRAGSKLAQISEMSGATVTLADERPDAIEKVVRISGTPEQADKAQSLLQGFILSIQDDIPSG >ORUFI06G14750.1 pep chromosome:OR_W1943:6:12523762:12536504:1 gene:ORUFI06G14750 transcript:ORUFI06G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSPSSVRALAFLHRRFPPPPPPPRPHLLQPPTHPAPPRSAMAFSAEPAAEEAEEEALPPVTGPGEVTAEEWRRWGTSSPLPAAVAAVVGELLEMEAEAGQKMRFGGVGSKIKGDFKDMEDKKHRAVYQTLADSDKKLQYFSARQIACRLLGSRGYLCQKCWLPMEDCMCAKLGSCNLWQGIRFWLYMHPKDFLRQNNTGKLLWQVFGIQAAPLCLFGIQEHEDIMWDAFQRSGKGKVSFLYPNKSTTPMSVKDLKFDGLNLSCDHPEEDVKDEPFNFVLLDGTWSNSAALYRRLKELPAKHWISALAASDYHREREAIGGVRDCLILEMELEHERWTAIWGEEDIPCISLSTLSASVMHKLRPQPAWDRTCTAAAASGLLWELDMRSELGAFELGKQAEAVECSLDVLLDALTARRLRLGRSITRKQRHNRNCI >ORUFI06G14750.2 pep chromosome:OR_W1943:6:12523762:12536504:1 gene:ORUFI06G14750 transcript:ORUFI06G14750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSPSSVRALAFLHRRFPPPPPPPRPHLLQPPTHPAPPRSAMAFSAEPAAEEAEEEALPPVTGPGEVTAEEWRRWGTSSPLPAAVAAVVGELLEMEAEAGQKMRFGGVGSKIKGDFKDMEDKKHRAVYQTLADSDKKLQYFSARQIACRLLGSRGYLCQKCWLPMEDCMCAKLGSCNLWQGIRFWLYMHPKDFLRQNNTGKLLWQVFGIQAAPLCLFGIQEHEDIMWDAFQRSGKGKVSFLYPNKSTTPMSVKDLKFDGLNLSCDHPEEDVKDEPFNFVLLDGTWSNSAALYRRLKERWTAIWGEEDIPCISLSTLSASVMHKLRPQPAWDRTCTAAAASGLLWELDMRSELGAFELGKQAEAVECSLDVLLDALTARRLRLGRSITRKQRHNRNCI >ORUFI06G14750.3 pep chromosome:OR_W1943:6:12523762:12536519:1 gene:ORUFI06G14750 transcript:ORUFI06G14750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSPSSVRALAFLHRRFPPPPPPPRPHLLQPPTHPAPPRSAMAFSAEPAAEEAEEEALPPVTGPGEVTAEEWRRWGTSSPLPAAVAAVVGELLEMEAEAGQKMRFGGVGSKIKGDFKDMEDKKHRAVYQTLADSDKKLQYFSARQIACRLLGSRGYLCQKCWLPMEDCMCAKLGSCNLWQGIRFWLYMHPKDFLRQNNTGKLLWQVFGIQAAPLCLFGIQEHEDIMWDAFQRSGKGKVSFLYPNKSTTPMSVKDLKFDGLNLSCDHPEEDVKDEPFNFVLLDGTWSNSAALYRRLKERWTAIWGEEDIPCISLSTLSASVMHKLRPQPAWDRTCTAAAASGLLWELDMRSELGAFELGKQAEAVECSLDVLLDALTARRLRLGRSITRKQRHNRNCI >ORUFI06G14760.1 pep chromosome:OR_W1943:6:12536009:12540480:-1 gene:ORUFI06G14760 transcript:ORUFI06G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKASTPAGGAVRDGLRRLTQLSLVSKVCSELEAHLGVGDRVLAEFIVDLGRASPSVADFDAKLKAHGADLPDYLARTLHTVIHAIPTHADDAPVPAPQNPASRGTGARVCGKDKAEERVRDGDPGLYQVCRGKVTGLADAGCFVRLDDARGREGLVHVSEMPGRRIAVKRGQEVFVKIVSVQGRNLGLSMRDVDQDTGKDLLPLQRARGEDDVPRPMANPWTDRAAATGRRTGVSGIVIPEDNQTGTASSRQPIRRMSSPERWEMKQLIASGVLNAKDYPAFDDEDSEGMNYQEEGVEEELEIELNEDEPAFLRGQGRSTIDVSPVRISTNPDGSLSRAAVLQSALIKERRDIRNKEQRALVDSIPKDLNRPWEDPVPEVGGRYLAQELRGVGLSAESMPEWKKEAYGKTVTFGQTSRLSILEQRQSLPIFRLKNELIQAVCDNQVLVVIGETGSGKTTQVTQYLAEAGYITRGKIACTQPRRVAAESVAKRVSEEFGCRLGEEVGYSIRFDDHTGPDTVIKYMTDGMLLREILLDTDLSSYSVVMLDEAHERTIYTDILFALLKKLIRRRTDLKLIVTSATLDAEKFSGYFFDCNIFTIPGRTYPVEILYSKQPESDYMHAALLTVSQIHLTEPEGDILLFLTGQEEIDHACQCLYERMKSLGRNVPELLIYAVYSAQPAEMQSKIFEPTPPGKRKVVVATNIAEASITIDGIYYVIDPGFAKLNVYNPKQGLDSLIITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMPPTTTPEIQRINLGETVLNMKAMGINDLLSFDFMDPPAPQSLITAMEQLYNLGALDEEGLLTRVGKRMAEFPQEPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADRKRGNFFQPEGDHLTLLTVYQAWKAKQFSGPWCYENFVQLTSLRRAQDVRKQLLEIMDKYKLNVVSAGNDLTKARKAITAGFFFHAARKDPQGGYRTIADHQQVYIHPASALFQQQPEWVIYHEVVMTTKEYMREVTAIDPRWLVELAPRFYRSADPTKISKRKRQERIEPLYDRYNEPNSWRLSKRRGSSQAKPSCRESIKQDIQ >ORUFI06G14770.1 pep chromosome:OR_W1943:6:12556448:12557881:-1 gene:ORUFI06G14770 transcript:ORUFI06G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRVVLFPSLGVGHLAPMLELAALCIRHGLAVTVAVPDPATTAPAFSAALRKYASRLPSLSVHPLPPPPHPPASSGADAAAHPLLRMLAVLRAHAPALGDLLRGPHAARALVADMFSVYALDVAAELGVPGYLLFCTGATNLAVFLRLPRFCAGSSGSLRELGDAPVSFPGVRPLPASHLPEEVLDRGTDISAAMLDAFDRMADARGILVNTFDALEGPGVAALRDGRCLSNRATPPVYCVGPLITDGGAEEERHPCLAWLDAQPERSVVFLCFGSRGALSPEQVSEMATGLERSEQRFLWALRAPAGTKPDAAMSLLPDGFLARTADRGVVVTASWVPQVAVLQHASTGAFVTHCGWNSTLEAVAAGVPMVCWPLDAEQWMNKVFIVEEMKIGIEVRGYKPGALVQADIVDAILRRIMESDAQQGVLERVMAMKESAAAAWKEGGSSCTAFAEFLKDMEEGNVAMAHSNQVET >ORUFI06G14780.1 pep chromosome:OR_W1943:6:12563273:12579253:-1 gene:ORUFI06G14780 transcript:ORUFI06G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIHAAAAGDLPLTGMHDLRLRPRLLRRVLAECLPLPDPAADLQPTRSPADLARALSAVREQGLLAVEGAADPGLLEEWSAAVDAWVDRLVALLASDREHSCWVGTSFLGLTFEECSEDRFANSYSFWFEKIMKKIKVPSSNKMDEATLLAQKVVQPLLRLLDKDGSVAEKATDLLGLIMKLFPSSVYRHFNKKLASTLALLPCVRVSHNSLSLMIQKILIVVNNLLNGYTGFELMPLISPGSKPPPLSGGQTTYGDWNFHSAKKFCTFAVPTISALIHCCSMMLTTSYPIQVNIPVPAVVTFIQRVLLTDVTFHKSSLVQQDTPSCHLSFSEILELHSSFLDFLGAIIKGMRSSLLPHAGSVVTLITEYFKGAKLPAVRRKLYTIVRLLMSSMGVGSSRISISEPELDEAKKYSAELQSNNEAIKTFLLERGLDLFNKGRLETGTELAKFCSHALLALDVLVHPREHCLQYDPKIPLRRAAHGDQGSLSIASDNEVLDSGRCKNLHSACKNQATENSGDEVNEWLFSTDDAPIDAFVEDNTAENHEVKEMSRDHLVQKDTVIGEHQEIVLNKFHGELLVPTSSRTDADVAIAGTKGGTYNSPADYMVGYPTHFFNVDSARISITPPDTQDLGGATFSNNKDDQHGRTISGAASSSQNVARHTAPICDASGLSGTEWDSLDPFLDIGNFGTETTFSLDMANLDPGSN >ORUFI06G14780.2 pep chromosome:OR_W1943:6:12563273:12579253:-1 gene:ORUFI06G14780 transcript:ORUFI06G14780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIHAAAAGDLPLTGMHDLRLRPRLLRRVLAECLPLPDPAADLQPTRSPADLARALSAVREQGLLAVEGAADPGLLEEWSAAVDAWVDRLVALLASDREHSCWVGTSFLGLTFEECSEDRFANSYSFWFEKIMKKIKVPSSNKMDEATLLAQKVVQPLLRLLDKDGSVAEKATDLLGLIMKLFPSSVYRHFNKKLASTLALLPCVRVSHNSLSLMIQKILIVVNNLLNGYTGFELMPLISPGSKPPPLSGGQTTYGDWNFHSAKKFCTFAVPTISALIHCCSMMLTTSYPIQVNIPVPAVVTFIQRVLLTDVTFHKSSLVQQDTPSCHLSFSEILELHSSFLDFLGAIIKGMRSSLLPHAGSVVTLITEYFKGAKLPAVRRKLYTIVRLLMSSMGVERGLDLFNKGRLETGTELAKFCSHALLALDVLVHPREHCLQYDPKIPLRRAAHGDQGSLSIASDNEVLDSGRCKNLHSACKNQATENSGDEVNEWLFSTDDAPIDAFVEDNTAENHEVKEMSRDHLVQKDTVIGEHQEIVLNKFHGELLVPTSSRTDADVAIAGTKGGTYNSPADYMDLGGATFSNNKDDQHGRTISGAASSSQNVARHTAPICDASGLSGTEWDSLDPFLDIGNFGTETTFSLDMANLDPGSN >ORUFI06G14780.3 pep chromosome:OR_W1943:6:12563273:12579253:-1 gene:ORUFI06G14780 transcript:ORUFI06G14780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIHAAAAGDLPLTGMHDLRLRPRLLRRVLAECLPLPDPAADLQPTRSPADLARALSAVREQGLLAVEGAADPGLLEEWSAAVDAWVDRLVALLASDREHSCWVGTSFLGLTFEECSEDRFANSYSFWFEKIMKKIKVPSSNKMDEATLLAQKVVQPLLRLLDKDGSVAEKATDLLGLIMKLFPSSVYRHFNKKLASTLALLPCVRVSHNSLSLMIQKILIVVNNLLNGYTGFELMPLISPGSKPPPLSGGQTTYGDWNFHSAKKFCTFAVPTISALIHCCSMMLTTSYPIQVNIPVPAVVTFIQRVLLTDVTFHKSSLVQQDTPSCHLSFSEILELHSSFLDFLGAIIKGMRSSLLPHAGSVVTLITEYFKGAKLPAVRRKLYTIVRLLMSSMGVERGLDLFNKGRLETGTELAKFCSHALLALDVLVHPREHCLQYDPKIPLRRAAHGDQGSLSIASDNEVLDSGRCKNLHSACKNQATENSGDEVNEWLFSTDDAPIDAFVEDNTAENHEVKEMSRDHLVQKDTVIGEHQEIVLNKFHGELLVPTSSRTDADVAIAGTKGGTYNSPADYMVGYPTHFFNVDSARISITPPDTQDLGGATFSNNKDDQHGRTISGAASSSQNVARHTAPICDASGLSGTEWDSLDPFLDIGNFGTETTFSLDMANLDPGSN >ORUFI06G14780.4 pep chromosome:OR_W1943:6:12563273:12579253:-1 gene:ORUFI06G14780 transcript:ORUFI06G14780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIHAAAAGDLPLTGMHDLRLRPRLLRRVLAECLPLPDPAADLQPTRSPADLARALSAVREQGLLAVEGAADPGLLEEWSAAVDAWVDRLVALLASDREHSCWVGTSFLGLTFEECSEDRFANSYSFWFEKIMKKIKVPSSNKMDEATLLAQKVVQPLLRLLDKDGSVAEKATDLLGLIMKLFPSSVYRHFNKKLASTLALLPCVRVSHNSLSLMIQKILIVVNNLLNGYTGFELMPLISPGSKPPPLSGGQTTYGDWNFHSAKKFCTFAVPTISALIHCCSMMLTTSYPIQILELHSSFLDFLGAIIKGMRSSLLPHAGSVVTLITEYFKGAKLPAVRRKLYTIVRLLMSSMGVERGLDLFNKGRLETGTELAKFCSHALLALDVLVHPREHCLQYDPKIPLRRAAHGDQGSLSIASDNEVLDSGRCKNLHSACKNQATENSGDEVNEWLFSTDDAPIDAFVEDNTAENHEVKEMSRDHLVQKDTVIGEHQEIVLNKFHGELLVPTSSRTDADVAIAGTKGGTYNSPADYMVGYPTHFFNVDSARISITPPDTQDLGGATFSNNKDDQHGRTISGAASSSQNVARHTAPICDASGLSGTEWDSLDPFLDIGNFGTETTFSLDMANLDPGSN >ORUFI06G14780.5 pep chromosome:OR_W1943:6:12568169:12579253:-1 gene:ORUFI06G14780 transcript:ORUFI06G14780.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIHAAAAGDLPLTGMHDLRLRPRLLRRVLAECLPLPDPAADLQPTRSPADLARALSAVREQGLLAVEGAADPGLLEEWSAAVDAWVDRLVALLASDREHSCWVGTSFLGLTFEECSEDRFANSYSFWFEKIMKKIKVPSSNKMDEATLLAQKVVQPLLRLLDKDGSVAEKATDLLGLIMKLFPSSVYRHFNKKLASTLALLPCVRVSHNSLSLMIQKILIVVNNLLNGYTGFELMPLISPGSKPPPLSGGQTTYGDWNFHSAKKFCTFAVPTISALIHCCSMMLTTSYPIQVNIPVPAVVTFIQRVLLTDVTFHKSSLVQQDTPSCHLSFSEILELHSSFLDFLGAIIKGMRSSLLPHAGSVVTLITEYFKGAKLPAVRRKLYTIVRLLMSSMGVGRLVDTPHSALLLKAVSHDFPCCF >ORUFI06G14780.6 pep chromosome:OR_W1943:6:12563273:12566258:-1 gene:ORUFI06G14780 transcript:ORUFI06G14780.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEMWRVIALPRREFTWNRGACRLRLDLLCSRTVTPFPPLPSNPRDRRLAGSEARRLAGGSGLQERGLDLFNKGRLETGTELAKFCSHALLALDVLVHPREHCLQYDPKIPLRRAAHGDQGSLSIASDNEVLDSGRCKNLHSACKNQATENSGDEVNEWLFSTDDAPIDAFVEDNTAENHEVKEMSRDHLVQKDTVIGEHQEIVLNKFHGELLVPTSSRTDADVAIAGTKGGTYNSPADYMVGYPTHFFNVDSARISITPPDTQDLGGATFSNNKDDQHGRTISGAASSSQNVARHTAPICDASGLSGTEWDSLDPFLDIGNFGTETTFSLDMANLDPGSN >ORUFI06G14790.1 pep chromosome:OR_W1943:6:12567830:12568285:1 gene:ORUFI06G14790 transcript:ORUFI06G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGWLIVKFLLKFPSNHNRRRLQLGSPPFATPSSFTEKKGLDCLIIALEFSAVFLGLIQLWLRDAYSRTAASNTNDQATPVISTDASVIVGAEEWKAGAMASPTAGIRLTDWSEATGEVLQRQEKALSKRRLPENKEKLSLHIYLRGLRL >ORUFI06G14800.1 pep chromosome:OR_W1943:6:12598590:12599814:1 gene:ORUFI06G14800 transcript:ORUFI06G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGERGEAAELLRSGSGQQRRKEGDVQRRRKERDGGVNAEEALRERSVDALHARRHGILPAEGHATDAGATSERHGEHPAPPRRPVPSPLGTPHRPALSLLRPSPRPAPLALQTGAREKGFVEVIPTRSDVSHSLGCYGISPNLPI >ORUFI06G14810.1 pep chromosome:OR_W1943:6:12616309:12618113:1 gene:ORUFI06G14810 transcript:ORUFI06G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCSVLGLGGGGGGGGRLDGELPPGFRFHPTDEELITYYLLRKVVDGSFNGRAIAEIDLNKCEPWELPEKAKMGEKEWYFYSLRDRKYPTGLRTNRATGAGYWKATGKDREIRSARTGALVGMKKTLVFYRGRAPKGQKTQWVMHEYRLDGTYAYHFLSSSTRDEWVIARIFTKPGVFPVVRKGRLGISGGGGDTSCFSDSTSASVGGGGGTSASSALRAPLAEASLFAAAAAPAVDGADSSNYGGGGGGGSATATANLVTGLELVPCFSTTAHMDASFGTGQYNPAPLAVEPPPPPPAFFPSLRSLQENLQLPLFLSGGMQAGVSSQPLSGGGAFHWQSGMDVKVEGAVGRAPPQMAVGPGQLDGAFAWGF >ORUFI06G14820.1 pep chromosome:OR_W1943:6:12650185:12653010:-1 gene:ORUFI06G14820 transcript:ORUFI06G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIYRCHVDPLLVAAFLTYWNIDAHTLITSQGEMGYPLHTLYDAMGISFSGRLYEEYIPLPSTSCEHVQILHSIYVSLCPSQLHSSGLPEIFESLSLGNYADPDDPLLIRKGFRVEVHDDRPIAILGYWEISYQYQYPPLVHRAAFIATWLCTYCVPIEDGHFIRPEVFTMAVEIAKGHRHAIGVASMAVLYRALDEVYYNIVTGTTSTRHFIMGWFASFWQDAPMPTSSAQLVACPPFIIDFKNYVAVDIQTAHSFFWEFNNDGTGLRFLDFLGFPHSGEAIYMCDDRTQYRNSRAITVAAIDMLVSCTQPASKLLDDDRMEILDKAVDPEATTRIQQLSSESKKLSMNVEHIITQVSQAVNMNCGAEENSLLKEFDGNNPVTLLKLSDVVSARGLKHVWSEIKAFQELLKQRPVQKDVVLKEISINLDLWSNFFSKPPPEIIRLMEGLRVLKRVLSGEARLPNTNLIPAQQDQINQHVDLLRTAQDKVESSCVALEALTSQYNVEQAVQEGNKRECSRQAQKIRAEIAVLQARLQQVEDAHSNAQHRQDVVTENLNSHLERHRQAKDRKSEIAAHLK >ORUFI06G14830.1 pep chromosome:OR_W1943:6:12653045:12653935:-1 gene:ORUFI06G14830 transcript:ORUFI06G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKASDRADRGSACNPTYTWQEIPDDPPLPGHDSDEGAPREDNGEMLLWRGDFNFFYHIDQQHVSPEDATVIGDNDEVDVFMSQVASCLDIKRGHRLIHESEYRVLSKNQGWYVFRVPTPPPKLFIIYPNVTFGFQDHLEAQPREQYGDYICLKPVFHPKNSRPPEVPQYTALLAQHFINSASMRWVEDQPLKLRMQVPSYDKLLLWVRMMLVNQED >ORUFI06G14840.1 pep chromosome:OR_W1943:6:12660261:12668886:1 gene:ORUFI06G14840 transcript:ORUFI06G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGGKRWRFATPNLAVAAAGERSIQRYLLQLHACLDEHGPRPVIPLSHGDPSSSACFRTAPEAEEAVAAAVRSGDYNGYSSPATSLPARRAVAEYLSCDLPYKLCTDDIFLTSGGTQAIEIVMSVFGQPGANILLPKPGYPKHEAHAVFHRMEVRLYDLVPERGWEINVEAVEALADENTVAIVITNPNNPCGNVYTYEHLSKVGMMAVQCWVTPYFLLFVPISMELMCRILT >ORUFI06G14840.2 pep chromosome:OR_W1943:6:12660261:12668886:1 gene:ORUFI06G14840 transcript:ORUFI06G14840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGGKRWRFATPNLAVAAAGERSIQRYLLQLHACLDEHGPRPVIPLSHGDPSSSACFRTAPEAEEAVAAAVRSGDYNGYSSPATSLPARRAVAEYLSCDLPYKLCTDDIFLTSGGTQAIEIVMSVFGQPGANILLPKPGYPKHEAHAVFHRMEVRLYDLVPERGWEINVEAVEALADENTVAIVITNPNNPCGNVYTYEHLSKVGMMAVQCWVTPYFLLFVPISMELMCRILT >ORUFI06G14840.3 pep chromosome:OR_W1943:6:12660261:12663819:1 gene:ORUFI06G14840 transcript:ORUFI06G14840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGGKRWRFATPNLAVAAAGERSIQRYLLQLHACLDEHGPRPVIPLSHGDPSSSACFRTAPEAEEAVAAAVRSGDYNGYSSPATSLPARRAVAEYLSCDLPYKLCTDDIFLTSGGTQAIEIVMSVFGQPGANILLPKPGYPKHEAHAVFHRMEVRLYDLVPERGWEINVEAVEALADENTVAIVITNPNNPCGNVYTYEHLSKVGMMAVQCWVTPYFLLFVPISMELMCRILT >ORUFI06G14850.1 pep chromosome:OR_W1943:6:12691124:12693015:-1 gene:ORUFI06G14850 transcript:ORUFI06G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAVTHRRGRNQGWRRRPDLRKKELDLFNDDASARFLWWACYWDLGEDGGPVVRKETHRMDRNGIAMHDGALDVGSDVELGTRCEDDVLSVMRMTLGSHNGLVPLNFGETRLLLGF >ORUFI06G14860.1 pep chromosome:OR_W1943:6:12693386:12706704:1 gene:ORUFI06G14860 transcript:ORUFI06G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGHAELLCASYRDLICKLKQPAQNTSKNIKNIQEHINMNIKNILPNHREPSKLLIPIKNTISKYTSRTDR >ORUFI06G14870.1 pep chromosome:OR_W1943:6:12710871:12711437:1 gene:ORUFI06G14870 transcript:ORUFI06G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSAAVAQALARGSGGGGGCAPAESGDDGRQGKLATATSVSYRPNPRGGGGHAPLPPPKNPRRPNLVGAEEVAVAARRRGGMWMGSRWRRCRFMDESTMAAPRLQRWGEGRRHTTTTATAADGSLNDGSGDSGGTPSVSVGAQSRRQWCSEWMGEEESVGGERKGREHHVWGPRLASQFGLAIFGH >ORUFI06G14880.1 pep chromosome:OR_W1943:6:12717120:12729508:1 gene:ORUFI06G14880 transcript:ORUFI06G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSELRSPTLNLSIACPQLTPAASTFPAAASNYCQLDELLTEEEKDLQIKVRQFMENEVAPIISKFWEKAEFPFHLIPKMSTLGIAGGTIKAEGTEVGDEMHDAAGLGEDGRGSGDILLPRHPFVSALQLQLLATTRRPIAVTLFPHRRVAQFSIRLRHRSPRRAPPPPRQPGTPTAAASTSTPCRPGLWMPWTFWPSLRHVFSRDRSCGCKHSLILPCSVMPRNAQLGSEAQKEKYLRPLSKMQKALSEPNHGSDASSLNTTARKVPGGWILNGQKRWPANSSFADIFVVLACNTSTNKINGFIVNGGAPGLKISKIDNKMSLRVVQNCDILLEDVFVPDDDRLPGANSFQDPVKALSFSRVIVAWISIGIAAGVSGREEAVWGAIGGVPAKPGEAHVAPRLASLQAA >ORUFI06G14890.1 pep chromosome:OR_W1943:6:12719188:12723314:-1 gene:ORUFI06G14890 transcript:ORUFI06G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPAGSPSGNPTTPSSPSLSHDGYHPSSAPTTGGSPPQAGDADLVVRDDHGAAGELRAARRRQQLDGVALPVPHTSVASAPSPPGHFLTTGSRHWIPSPTEISPSDPATDLLKWWWWRGGGGVDWGSSPRGGRRRCGSTARVDPPPILQLLPMSLHISQIQCFLHDQYLSNLNEDRQIVIPVESEMVPAIRFESEMILVVEVRWAAGGQWRSGRSAASAAEAEHTRAAGGGGGGGGRWCTGLPGRRRSSPRRPVAEANRELRHTPMWEEGDGDGATCGGEQLQLQGGHEGVTREEDVAAAPSILPETGGIMHLVPNLRPFGLGVAELERLNCGGDPLHDLNAAMAALESSASAAAAVRASSVSATDCSLHHWPPAARESSVSAADCPLPLAAHRPGKLRHRPVHLRLRCARLARGCVRERENRERNWV >ORUFI06G14910.1 pep chromosome:OR_W1943:6:12746165:12747391:-1 gene:ORUFI06G14910 transcript:ORUFI06G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTACVGLGGAIHYPDPAAAAVPRPAPHRSTRGCGRRAQGQSRRWLADDGRAPGGVRWWAAWAAQPLTSFCLLPSSWLSSTSSPCGCRLLPTPPPMDVDSDSAAGGKPTQMDLGD >ORUFI06G14920.1 pep chromosome:OR_W1943:6:12749244:12752754:-1 gene:ORUFI06G14920 transcript:ORUFI06G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCGENGKDIALVLIGVAKEVRLTTLHHDTGLLTHICRQRETITDNLFSAAMAFRCSGLRARRRRAGLREHRGSRSCGRPSRGGSSSSGFGLIGATTTTTTLVVRSYYVTIGTLIDRPAKLSLDDIKRGWKRPKYNVTATLQCDQVDGGGHDARDVQAARQVKHLCEGGTLVFVDGSRVVALAAMYCTGYVYSFPFLDTDRMVTIDDNRVGPLFEHVFPPALASSLSFVGVLRKVPAPWFFEGSGSRRRTLPPVEEMLRAVEEHYRARAAAGVPIKYMPSPARSVGEGR >ORUFI06G14930.1 pep chromosome:OR_W1943:6:12752813:12753993:-1 gene:ORUFI06G14930 transcript:ORUFI06G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSGRRATAPPMPATNPKGVDSDGRRGGYGTGVAWTEEVHLCVRPTSLDDGMYLTGTFLGTPTNDSAGANAGGNTCLNSGPTTGHYSQPSMPTIKGMEVWRRRQLHSHSYRLPEPFRDEVLTATHFSISNHLGCMLCCHQPCH >ORUFI06G14940.1 pep chromosome:OR_W1943:6:12759848:12760748:-1 gene:ORUFI06G14940 transcript:ORUFI06G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGVIQGRKEWSSPTCSRPTSSDPAGEPEAIELPSYFKVLQERLDFVLFLGLSTDDLSSYLLLLACSIRKNTSVVVDLTPIVKSLLRCTLVDRLISTAALHYHHSHQIKAPMPTWLIVKGRPRRCLGACRSDRPAVRGSSGLLERLTLGLFGRR >ORUFI06G14950.1 pep chromosome:OR_W1943:6:12760751:12760987:-1 gene:ORUFI06G14950 transcript:ORUFI06G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHQAAAVLPHRRPSRRRPPPLPPRPVAARDARAFPPPIDARARSFLPIDDGARSTAMGAMEMPLSQSRRRQSTRCR >ORUFI06G14960.1 pep chromosome:OR_W1943:6:12764728:12783120:1 gene:ORUFI06G14960 transcript:ORUFI06G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSELRSPALKLSIACPKLTPAASTFPAAASNYYQLDELLTEEEKDLQMNVRQFMEKEVAPIIPKFWEKAEFPLHLIPKMGSLGIIGGIIKGYGCPGLSGTAHAMCFLEIARVDASIASFFLVQSCLAMLSIAQLGSEAQKEKYLRSLSKMHKALTEPNHGSDASSLSSTARKVPGGWILNGQKRWPANGSFADVFVVLACNTSNNQINGFIVNGGSPGLKISKIENKTSLRVVQNCDILLEDVFVPDDDRLPGANSFQDLVKALSFSRVIVAWISIGIAAGVYDACLRYLGERKQFGAPLAAFQLNQEKLVRMLGNIQAMWLLGWRLCKLHDSGRMTTGQASLGKAWITKQARETVALGRELLGGNGIVTDFHVGKAFCDMESIYTYEGSYEVNVLVAAREITGIASIRPTSRL >ORUFI06G14970.1 pep chromosome:OR_W1943:6:12802809:12805222:1 gene:ORUFI06G14970 transcript:ORUFI06G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKKVMSGCHIPAFGAWNYRDDDLPITQCFDLAIQDRLMRRANRRGDGNCKRRLVVPFDAWPPAPRGAAHGKVIRRELAQKQWDNVAEEMMQWRAVGAYGTKRKVGDKAVDEDLYKVPQPLIYPKRRKMRKVVWSLWIGCLGLDCIA >ORUFI06G14980.1 pep chromosome:OR_W1943:6:12827800:12841447:1 gene:ORUFI06G14980 transcript:ORUFI06G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTVISRIENTTSRQVTFSKRRSGLFKKAKELAILCDAQVGVLVFSSTGRLYDYSNSSMRSVIERYQQIKDGQQLMSASTEAKFWQAEAERLKQQLHNLEGSQRQLLAHDLSGLEWNDLKSLENQLETSLHNVRLKKDKIMVEQIQELRKKENIMHRENMELHREFNMIRQDSVNFQRKVYGKQDVNGGQGSSVTQNTNTPDDADEIRLELSQPQVPDEKPEAAAKVKKSTILCLDLIKVKTFPGKRSKLSQEERLINKRLAMTYLSQVKIP >ORUFI06G14990.1 pep chromosome:OR_W1943:6:12870390:12888623:-1 gene:ORUFI06G14990 transcript:ORUFI06G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPQAGLGDWDALVQQQNAADEQVEDAWGQDHPMGQIMEANPGHENVMVPFIPATNKGEKLQESDKDAQVQRFLARLEKIAQTNGQVEDAWGQDHPMGQIMEANPDGLIDLAAANPGHENVVVPFVPAADKGKKSQLVLPTGTKITTLVTVGITNRD >ORUFI06G15000.1 pep chromosome:OR_W1943:6:12902232:12906350:1 gene:ORUFI06G15000 transcript:ORUFI06G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSPAMCPDIEDRAAVAGDAGMEVVGMSSDDMDQFDFSVDDIDFGDFFLRLEDGDVLPDLEVDPAEIFTDFEAIATSGGEGVQDQEVPTVELLAPADDVGVLDPCGDVVVGEENAAFAGAGEEKGGCNQDDDAGEANADDGAAAVEAKSSSPSSTTSSSQEAESRHKSSSKSSHGKKKAKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGIDSLTRHNIASHLQKYRSHRKHMIAREAEAASWTQRRQIYAAGGGAVAKRPESNAWTVPTIGFPPPPPPPPSPAPMQHFARPLHVWGHPTMDPSRVPVWPPRHLVPRGPAPPWVPPPPPSDPAFWHHPYMRGPAHVPTQGTPCMAMPMPAARFPAPPVPGVVPCPMYRPLTPPALASKNQQDAQLQLQVQPSSESIDAAIGDVLSKPWLPLPLGLKPPSVDSVMGELQRQGVANVPPACG >ORUFI06G15010.1 pep chromosome:OR_W1943:6:12961698:12963975:-1 gene:ORUFI06G15010 transcript:ORUFI06G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGTPSGPSPIFLGKLLWPGEVTVTLRWIGHRVKNGWKFRVTAYGPFKHEYYSQDAYFVTASLISIAFFLWYASRLRRTAILLPPGPPGLPVLGNLLSVHQFTHRGLAKLSKIHGGFFHLRVGQANVFVVSSPETVREIIHENDSVFSHRPVTAAMVYVSYDLADMAFAHYGPFWRQMRKLCVLKLFSPRRDVSWRVVRGEVDALVRSVAELRRVAGSVGDLVFKFATNVTFRAAFGAQSREDEKVFVDIILELSEIFMAFNMGDYIPCLGWLDLNGIGKRMAAARHALDVFIDRIIDEHLAKLRNGDVSASDMVDDMIAYLVDAPGGPHKRADGVELGDLHLTRDNIKGLIMARNDIMFGGTKTVASTVEWALSELLRNPDELKRAQDELAGVVGLRRRVNQDDLDNLPHLRCVTKEVLRLHPPLPLLLRESLHDCAIGGYTVPRGSRIWINNWAMCRDEALWGTDAAAFRPSRFADESARVEFKGGDFQYLPFGSGRRSCPGMQLGMFTVELGLAELLHCFDWSLPAGTEPLELDMDDVFGLTAPKAERLCAVPSPRLSCPLL >ORUFI06G15020.1 pep chromosome:OR_W1943:6:12985970:12986437:-1 gene:ORUFI06G15020 transcript:ORUFI06G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRRRNNSSLLESSTIITANLIMASSSYLLSKITPRAAPSSMQSAAPAPARPPQPPPAAVTARAWPTAVSARSVEPAVTRRKVLVAPDDGEDGKVDERADTFIRKFKERTQSDIARMEAEAAAAVAAARPPPALGAANLAGTAYGYYGTGYYC >ORUFI06G15030.1 pep chromosome:OR_W1943:6:13023999:13024526:1 gene:ORUFI06G15030 transcript:ORUFI06G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSVARSAIVILCLVALTNFAQAQNSPHDFLQPHNAARAEVGVGKLSWDGTLAAYARRYGEKRSHDCTLKHSRGPYGENIYRGSAGRRRTAADAVARWVRESAYYDCGSNTCVPGRRCGHYTQVTWARTTRLGCAAVTCDSGATFVVCSYDPPGNTNGRGPYPGCGDYDVVSE >ORUFI06G15040.1 pep chromosome:OR_W1943:6:13025625:13026696:-1 gene:ORUFI06G15040 transcript:ORUFI06G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVNGNGEVTRDYALEPTAMTTVAPFMNPIMLVVAAVAKGGNWWAPQRRRGADPVSLFLSLSIGLPLVACRSREGGGHCSGWWGSRDGSTMSGESTDND >ORUFI06G15050.1 pep chromosome:OR_W1943:6:13068606:13068848:1 gene:ORUFI06G15050 transcript:ORUFI06G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGWTAAGSGGGRWSGGGLFVSPVAAGCGKGRRRPDLGATAVAGKGQRPAAVKEAVVRGHGIGDEDCDGDGRRSRQRR >ORUFI06G15060.1 pep chromosome:OR_W1943:6:13070715:13080239:1 gene:ORUFI06G15060 transcript:ORUFI06G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCWEQLQGLCAAGHLRRRCRGRSLVLLQQLQLLGVLVVVVAGVAPGISEAQVRSRFKAIFMFGDSIVDPGNNNGQLTEARADFPPYGQDFPGGVATGRFSNGKVPGDLIASKLGIKELLPAYKDQDLELNDLLTGVAFASGGSGYDPLTSISTAISSSGQLNLFSDYKQKLTSLIGEEAMTRILSEAVFFTVMGANDLLNNYFTLPVRRHQYDIPGYVDFVVSNAVNFTLTMNEMGAKMIGFVGVPPLGCCPSQRTGPSRECEPLRNQASELFNTRMKQEIDRLNVEHNIDGLRVVYFDIYYNLLDLIHNPGYYGFKDTSDGCCGNTVLNAAIFIKYHSACPNVYDYIFWDSFHPTEKAYDIVVDKLIQENKQYLM >ORUFI06G15060.2 pep chromosome:OR_W1943:6:13070715:13079843:1 gene:ORUFI06G15060 transcript:ORUFI06G15060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCWEQLQGLCAAGHLRRRCRGRSLVLLQQLQLLGVLVVVVAGVAPGISEAQVRSRFKAIFMFGDSIVDPGNNNGQLTEARADFPPYGQDFPGGVATGRFSNGKVPGDLIASKLGIKELLPAYKDQDLELNDLLTGVAFASGGSGYDPLTSISTAISSSGQLNLFSDYKQKLTSLIGEEAMTRILSEAVFFTVMGANDLLNNYFTLPVRRHQYDIPGYVDFVVSNAVNFTLDTSDGCCGNTVLNAAIFIKYHSACPNVYDYIFWDSFHPTEKAYDIVVDKLIQENKQYLM >ORUFI06G15070.1 pep chromosome:OR_W1943:6:13091343:13096335:1 gene:ORUFI06G15070 transcript:ORUFI06G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLSNSRHGCCLFVRPMLVLVMVLELTILIPPASCLASPVRNISAIFIFGDSTVDPGNNNNRLTPSKANFPPYGQDFPGGVATGRFSNGKAMGDMIASKLGVKELIPPYLGDGLQLDDLLSGVAFASGGSGYDPLTSKITTAISSSQQLQLFEEYKEKLKSLVGEEDMTQVVAEAVYFTSMGGNDLANNYFLIPFKQHQYDLGSYVDFLVSLAVNFTLKLNQMGAKRIGFFGIPPVGCSPSQIILGGHPSEKCDPERNQASELFNSKMKMEIARLNAELNIYGLKLAYMDFYRYLLELAQKPALYGFKVAAEGCCGSTLLDASIFIAYHTACPNVLDYIYWDGFHPTEKAYSIVVDNMMRVIEEHLM >ORUFI06G15080.1 pep chromosome:OR_W1943:6:13118230:13118755:1 gene:ORUFI06G15080 transcript:ORUFI06G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWSTDNGVLLRSRASSRGEEDDDDEEALRWTALEKLPTYDRVRRAVLPVVDEGGGGGGGWEAGKNEVDERRALLERLVLVAEDDNERFLLKLKERIDRVGIDIPTIEVRFEHLEAEVASATAASPPSSTP >ORUFI06G15090.1 pep chromosome:OR_W1943:6:13123028:13132622:-1 gene:ORUFI06G15090 transcript:ORUFI06G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMGEYCSNTPCKWLLDLGKGGAHDTYAHNLFDGMPSQPDMNKFFKEMSASIKATTADFNSASFSTPPTSSPPTERTSTKLPTFVTMDLPKVTPTNSSMICSSYDAKSDHTVAIVVTCVTSTVSSMELLSTDGTIGGTNINIPDSTKAMLTNYLTGGADHARVTCQTMMGVPKGVLVPDASSEVSSLWLIAEMDLIKLMPSECLMKCLKGNNKLLVGHPKKNPWPPPWLGCVVRGGEVWHIPWYVLDSFWTSVGLMLPWPPPIRLEQCKSWEIRVAITLFVWKEQQDLSAKHPFISYMMAQYFETIEQRRVSVGNSLDINIFQDTWGCKDGIQIILKEVENARDQAKCNQEYNFSMEECFHSNHQIILGN >ORUFI06G15100.1 pep chromosome:OR_W1943:6:13135157:13135918:-1 gene:ORUFI06G15100 transcript:ORUFI06G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKQIDLESQKPATSSPAASVSSAAAATAPPASSSAVPVSVGITNRHNVQPETEPLLLLAGGDGDGGSDDETTRLERTITRAFQSTAELAKHLPTGAVLVFEVLSPVFTNGGKCQDVNRVMTAWLVGLCAAACFFLCFTDSFHDGKGTVRYVVATRAGLWVIDGTAPPPPDVAATYRLRFIDFFHAVLSLIVFLSVAMFDHNVGACFYPVMSYDTRQVLTDVPLAGGLVGTMLFATFPSTRHGIGFPVHVA >ORUFI06G15110.1 pep chromosome:OR_W1943:6:13144657:13145146:-1 gene:ORUFI06G15110 transcript:ORUFI06G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSWRWTSGGAQARCGGEGEGGGRVEVRLWLMSNSFPLCSEHGICVCGHCIFCEVDNAVQQWVKALPADELFAAVSSRINVLLTRYKGKFRHYDVNNEMLHGSFYQDKLGKDARAAMFNTASELDPDALLYAPRRPL >ORUFI06G15120.1 pep chromosome:OR_W1943:6:13163202:13163471:1 gene:ORUFI06G15120 transcript:ORUFI06G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVVEEGRGADDDSTETMGMGVGGEPVAKAGLPRRYLEQGGVRGVRREILVGWVGLASNVGDFLAPASQGGGRPTEGREMMARGDREL >ORUFI06G15130.1 pep chromosome:OR_W1943:6:13176496:13179033:-1 gene:ORUFI06G15130 transcript:ORUFI06G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKHSDRGGGGGGGGTELFICFTSRPSTASASSGAPATLRPSSSSKLLSPGRTGGGAGAVAGADSAPVPPLHPSLSRRLRNSGSLKGGQSPMFPSGSSGGRRGRGGFEPAEPSSPKVTCIGQVRVKGGKRKPKHASAAALRSRSRRGGVGGGGSAEASFRRAGDDRDGPAGKNQGWVYQIPVNICEALKTFGSCGGRSLCSPSRAAGAGERGSLSAADKKRRRPPASGSWLCGAAVARCLLAIQEEEDDEIGKGAAVVPAEDVKASEVGLVMQGWDVEEEESTVVVGEVEVEKKDEILVVGKEEEGRVSVCIPPRNALLLMRCRSDPVRMAALATRFWGSPAAANVSQVDNVKDENENDDDEEEEEDEEDEDGADTDKECKEQARDSAVSTKEAECRECDGSLHDGCEAGQVDPLETEAVETSECGDLGEEASEYGDCREDEEKIEPEEAPIVRKDSVLEVALAGEATGRDIQLPLLEMVETVAEAQEEVSVPGPEKEEQEMKGRRSSNCCSPSTALKEDRKLRRLSSRRRVGSSSRASSGSDRVGRRHSFSAETEARRSSFSSLKDSRRASFSIDRDGRRWSFSIEQEHLVAEPKVLMGSRKGQKISSEPESEKDCAVHAAPNSAEETLESHDDGKEEATLDGQEEGTTQETQVDEKGEKVEVGGVEAQDVVEEQKQRRKKSDELPDCLLLMMYEPKLSMEVSKETWVCSTDFVHWKSYQGQNRRNRRQQKAGCNAAPAEELKDTDNAEGTSDAKNTEESVAPASANLVSMPPPVVQKSPLKEAAEQKFKIELPLVTGAATYAPFVLKRCKSEPMRSSARLAPDACFWKDRHRPLNATGVGF >ORUFI06G15140.1 pep chromosome:OR_W1943:6:13187376:13187767:-1 gene:ORUFI06G15140 transcript:ORUFI06G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVELDGDGADSGWRWSCAGISGSDGEVHGTEAGCGEPTGDGRRGRGWRRQDVDFMEVDHVLRSHIVSSLRGHKALAITVEAIEH >ORUFI06G15150.1 pep chromosome:OR_W1943:6:13188600:13188800:-1 gene:ORUFI06G15150 transcript:ORUFI06G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRGDIGVARCQCSGENSTGGEAMLRRAPLSIPTTSGGGPGAVTLWPEEVTQRQAGNPKFDSADA >ORUFI06G15160.1 pep chromosome:OR_W1943:6:13205327:13205512:-1 gene:ORUFI06G15160 transcript:ORUFI06G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGKPRRYSESKGILGELQRLRHDEFGIKETQQDTPGLLGPFAIDQAQPISPLKAHMCK >ORUFI06G15170.1 pep chromosome:OR_W1943:6:13208916:13218877:-1 gene:ORUFI06G15170 transcript:ORUFI06G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARVSPPAFSSPFLIHSLLRPFSSPSSVLRPRVTRVPHHRGFAIAAALSQASPLPSADGDGAVMEAPPRPSSRRPWKPTEISEIGLLFGKVEILEFPVVMIDAQSMEFVDSFHRFVHPTAMSEQRIREYIEGKYGKFGVDRVWHDTAIPFMEVLQEFEDWIEHHKFWKKEQGGALNSAAFITWFRILVEQELWKILEATGMMTIMRELQMPIVGSHHLGIDDAKNIARVVQRMLADGAVMQITAKRQSATGDVKFLFKNRIR >ORUFI06G15170.2 pep chromosome:OR_W1943:6:13208916:13218877:-1 gene:ORUFI06G15170 transcript:ORUFI06G15170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALARVSPPAFSSPFLIHSLLRPFSSPSSVLRPRVTRVPHHRGFAIAAALSQASPLPSADGDGAVMEAPPRPSSRRPWKPTCLYYTQGKCTMVGFSFPFSRFTVVWLMWVGYRINRLDSGSGKVEILEFPVVMIDAQSMEFVDSFHRFVHPTAMSEQRIREYIEGKYGKFGVDRVWHDTAIPFMEVLQEFEDWIEHHKFWKKEQGGALNSAAFITWFRILVEQELWKILEATGMMTIMRELQMPIVGSHHLGIDDAKNIARVVQRMLADGAVMQITAKRQSATGDVKFLFKNRIR >ORUFI06G15170.3 pep chromosome:OR_W1943:6:13208916:13218877:-1 gene:ORUFI06G15170 transcript:ORUFI06G15170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALARVSPPAFSSPFLIHSLLRPFSSPSSVLRPRVTRVPHHRGFAIAAALSQASPLPSADGDGAVMEAPPRPSSRRPWKPTEISEIGLLFGKVEILEFPVVMIDAQSMEFVDSFHRFVHPTAMSEQRIREYIEGKYGKFGVDRVWHDTAIPFMEVLQEFEDWIEHHKFWKKEQGGALNSAAFITCGNWDLKTKATGMMTIMRELQMPIVGSHHLGIDDAKNIARVVQRMLADGAVMQITAKRQSATGDVKFLFKNRIR >ORUFI06G15170.4 pep chromosome:OR_W1943:6:13208916:13218877:-1 gene:ORUFI06G15170 transcript:ORUFI06G15170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALARVSPPAFSSPFLIHSLLRPFSSPSSVLRPRVTRVPHHRGFAIAAALSQASPLPSADGDGAVMEAPPRPSSRRPWKPTCLYYTQGKCTMVGFSFPFSRFTVVWLMWVGYRINRLDSGSGKVEILEFPVVMIDAQSMEFVDSFHRFVHPTAMSEQRIREYIEGKYGKFGVDRVWHDTAIPFMEVLQEFEDWIEHHKFWKKEQGGALNSAAFITCGNWDLKTKATGMMTIMRELQMPIVGSHHLGIDDAKNIARVVQRMLADGAVMQITAKRQSATGDVKFLFKNRIR >ORUFI06G15180.1 pep chromosome:OR_W1943:6:13292267:13300944:-1 gene:ORUFI06G15180 transcript:ORUFI06G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSYPPSATARRAAAIARHLAGLSPRDAAAVAAALEPSACLSYAPPESSEPAPAFSPLELRSLLDGHHLRERDWAFRAMEESPLFCQRRSGGKVFVSPDYNEGKEGQREATMRRVGYLARRGVFRGWLTEPGPDAELRKLALLECLGMYDHSLAIKIGVHFFLWGSAIKFLGTKRHHDKWLSDTENYVIKGCFSMTELGHGSNVRGIETVATYDIKTREFVINTPCESAQKYWIGGAANHATHTIVFAQLHINGRNEGVHAFVAQIRDEHENVMPNIQIADCGHKIGLNGVDNGRIWFNNIRVPRENLLNLVADVLPDGQYVSTIDDPDQRFAAFLSPLTLGRVNIAVNAVYISKIPITSNGADSVYHVAITPDGPEMLLLDYPSHQRRLLPLLAKACLMSSAGNFMKRMYVKRTPELNKSIHIYSSALKATLTWQNMTTLQECREACGGQGLKTENRIGIFKAEFDVQSTFEGDNNVLMQQVSKALYAEFLTAKRKNQPFKGLGLEHLNGPCPVIPDYLTSGTLRSSSFQMDLLCLRERDLLKRFTTEVSNYLAQGENREKALMLSYQLAEDLARAFTERTILQIFLEDEKNIPTGSLKDILGLLRSLYVMVCIDESASFLRYGCLSRENVAAARKEVMTLCSELRPHALAIVSSFGIPDAFLSPLAFDWIEANARSSGNE >ORUFI06G15180.2 pep chromosome:OR_W1943:6:13292267:13300944:-1 gene:ORUFI06G15180 transcript:ORUFI06G15180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSYPPSATARRAAAIARHLAGLSPRDAAAVAAALEPSACLSYAPPESSEPAPAFSPLELRSLLDGHHLRERDWAFRAMEESPLFCQRRSGGKVFVSPDYNEGKEGQREATMRRVGYLARRGVFRGWLTEPGPDAELRKLALLECLGMYDHSLAIKIGVHFFLWGSAIKFLGTKRHHDKWLSDTENYVIKGCFSMTELGHGSNVRGIETVATYDIKTREFVINTPCESAQKYWIGGAANHATHTIVFAQLHINGRNEGVHAFVAQIRDEHENVMPNIQIADCGHKIGLNGVDNGRIWFNNIRVPRENLLNLVADVLPDGQYVSTIDDPDQRFAAFLSPLTLGRVNIAVNAVYISKRRLLPLLAKACLMSSAGNFMKRMYVKRTPELNKSIHIYSSALKATLTWQNMTTLQECREACGGQGLKTENRIGIFKAEFDVQSTFEGDNNVLMQQVSKALYAEFLTAKRKNQPFKGLGLEHLNGPCPVIPDYLTSGTLRSSSFQMDLLCLRERDLLKRFTTEVSNYLAQGENREKALMLSYQLAEDLARAFTERTILQIFLEDEKNIPTGSLKDILGLLRSLYVMVCIDESASFLRYGCLSRENVAAARKEVMTLCSELRPHALAIVSSFGIPDAFLSPLAFDWIEANARSSGNE >ORUFI06G15190.1 pep chromosome:OR_W1943:6:13315411:13320854:1 gene:ORUFI06G15190 transcript:ORUFI06G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRDHEVAGGTVSLRGGSHLRPSPSHLAPYKRAQLPAPSPTSVLATSVAMEVVSSSHSCLAFNRTPSSAWRFPGNGLGPGHAKLTRPRSAILCVRSGTASNPADSGKVHASHGFYVSDVDAALQGIPKKVGEIEKMIIPSLPEGPESSLISTGFWEWKPKLSVYYEKSGIDNSKAPSVLFLPGFGVGTFHFEKQLKDLGRDYKVWTMDFLGQGMSLPCEDPAPKSTSGELDEDTYWGFGQELQPWAEELVYSIDLWRDQVQHFIEEVIGEPVYIVGNSLGGFVSLYLAASCPHLVKGVTLLNATPFWGFLPNPATSPRLSKIFPWAGTFPLPSFVRKLTETVWQKISDPRSIQGILKQVYADHSTNVDMVFSRIIETTQHPAAAASFASIMCAPKGQISFEEALSRCQRQGIPISLMYGREDPWVRPIWGIKVKQQVPESPYYEISPAGHCPHDEVPEVINYLLRGWLKNVESEGSVGVPFLEEPSYAENGVSRELEFVRGGSKKSVHVRLFGSKISLWSQLRSLLKSNTRVISR >ORUFI06G15200.1 pep chromosome:OR_W1943:6:13349447:13357225:1 gene:ORUFI06G15200 transcript:ORUFI06G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQQNNTFLTQPIRSRCRNTLWTVALVHGHFKQVKLSIFGRELNVVLISRRSRHFAGTRGVNDHGKVANDVETEQIVFEEEAGSWKGRMSAVVQMRGSIPLFWSQEAGRLSPKPDIIVQRYDPTYEATKLHFDDIFEKRPREMMLRREYFNAVGYLNQNVPEEKKLRFIHWDFHKFAKSKSANVRGVLGGGASEALDLTGFYYIGYLNQNVPEEKKLRFIHWDFHKFAKRDGSIDIRASSGDLPRL >ORUFI06G15200.2 pep chromosome:OR_W1943:6:13349447:13357225:1 gene:ORUFI06G15200 transcript:ORUFI06G15200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQQNNTFLTQPIRSRCRNTLWTVALVHGHFKQVKLSIFGRELNVVLISRRSRHFAGTRRLADLVLSLILLIFEKRPREMMLRREYFNAVGYLNQNVPEEKKLRFIHWDFHKFAKSKSANVRGVLGGGASEALDLTGFYYIGYLNQNVPEEKKLRFIHWDFHKFAKRDGSIDIRASSGDLPRL >ORUFI06G15210.1 pep chromosome:OR_W1943:6:13359669:13360064:-1 gene:ORUFI06G15210 transcript:ORUFI06G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGSVETSDAEDGAVATWRREHLVRRGLVEQSGGDDLATGGPRAAGRRRIVEGEPAAGIGGRQAMQRTAWRLIRSGALMAEVQERNSGGGRRCRCGGRAIGREMGRAGRALIEGGGGGIDLDRSDFTRMI >ORUFI06G15220.1 pep chromosome:OR_W1943:6:13367526:13370150:1 gene:ORUFI06G15220 transcript:ORUFI06G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRTSRRHSPPVLPLMSRRLAVSQQAGSFSNVLRHCGRCYLKLFKACIRSIISQLEKDKVTAETYILWFISSDSMSWMRKLRKLDMCLQLEEDHS >ORUFI06G15230.1 pep chromosome:OR_W1943:6:13378396:13390788:1 gene:ORUFI06G15230 transcript:ORUFI06G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHWTRFDSMGVTAAKRGKENNISDYQIMESPHNTLRKKKRRNIHPVSPMMPPKLHNTNIRTTGGNKTKPKQENHSFLQSRVMKLKAAAVVSCDFGKGKLYPQVMGAGWNESGENRAASSAQLVGWPPVRAFRKNLSTPKPADADDLMNKMKPCSDEGHGSRDAAQERRPSSTMFVKVNLEGYAVGRKIDLKAHRSYDSLSQALQSMFHGFLSDGIATRDNELQRMEEGSKKRYVLVYEDNEGDRMLVGDVPWEYLFIASVKRLYIAQDPRPRVVLHLPVRGRQDRKEKVPYFQP >ORUFI06G15230.2 pep chromosome:OR_W1943:6:13378396:13390837:1 gene:ORUFI06G15230 transcript:ORUFI06G15230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHWTRFDSMGVTAAKRGKENNISDYQIMESPHNTLRKKKRRNIHPVSPMMPPKLHNTNIRTTGGNKTKPKQENHSFLQSRVMKLKAAAVVSCDFGKGKLYPQVMGAGWNESGENRAASSAQLVGWPPVRAFRKNLSTPKPADADDLMNKMKPCSDEGHGSRDAAQERRPSSTMFVKVNLEGYAVGRKIDLKAHRSYDSLSQALQSMFHGFLSDGIATRDNELQRMEEGSKKRYVLVYEDNEGDRMLVGDVPWEYLFIASVKRLYIAQDPRTNRKMKRKRGRKMGKKGKSKASTTADASPMSPSSPSTVDASSKSPSSPSTEENGTSPAHHQADNAPVIAPPPVPEPAPPEPEKPAVSTDVQNAKPKVYSRVRLKFKSAKVLETHQGPSEAKAPVDGGGGKPASAAPEASKEVAEKAIVSPDGQKDAQAAELSGSDKDKVARKVASIKIKSVGLSSVEDKNQDRKADSVSEPLPSKQETVLENVESETALELRSSQELEVKQATPERQRDDRELTAALEAIKKVMKMDAAEPFNTPDYFDIIDTPMDFGTICQNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDVQENGGNDNTGDEDVKGDEDVKGSKGKSKQKQRRLGNDRHKNDCACAVCQVTRRKKEKDEILAVIENDTAAVNSNISDQQIMEVDSGINNAGSHDTTSSQEQPPQTDMYRRTAVADDSGTQIENHVKFFNNQPLPHDYEDEGSRPYFDEKEEVDYTDLISQEEHTSSQPNDGSEVAQHQHKVILDRISVLFQFYHV >ORUFI06G15230.3 pep chromosome:OR_W1943:6:13378396:13390837:1 gene:ORUFI06G15230 transcript:ORUFI06G15230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHWTRFDSMGVTAAKRGKENNISDYQIMESPHNTLRKKKRRNIHPVSPMMPPKLHNTNIRTTGGNKTKPKQENHSFLQSRVMKLKAAAVVSCDFGKGKLYPQVMGAGWNESGENRAASSAQLVGWPPVRAFRKNLSTPKPADADDLMNKMKPCSDEGHGSRDAAQERRPSSTMFVKVNLEGYAVGRKIDLKAHRSYDSLSQALQSMFHGFLSDGIATRDNELQRMEEGSKKRYVLVYEDNEGDRMLVGDVPWEYLFIASVKRLYIAQDPRTNRKMKRKRGRKMGKKGKSKASTTADASPMSPSSPSTVDASSKSPSSPSTEENGTSPAHHQADNAPVIAPPPVPEPAPPEPEKPAVSTDVQNAKPKVYSRVRLKFKSAKVLETHQGPSEAKAPVDGGGGKPASAAPEASKEVAEKAIVSPDGQKDAQAAELSGSDKDKVARKVASIKIKSVGLSSVEDKNQDRKADSVSEPLPSKQETVLENVESETALELRSSQELEVKQATPERQRDDRELTAALEAIKKVMKMDAAEPFNTPDYFDIIDTPMDFGTICQNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDVQENGDEDVKGSKGKSKQKQRRLGNDRHKNDCACAVCQVTRRKKEKDEILAVIENDTAAVNSNISDQQIMEVDSGINNAGSHDTTSSQEQPPQTDMYRRTAVADDSGTQIENHVKFFNNQPLPHDYEDEGSRPYFDEKEEVDYTDLISQEEHTSSQPNDGSEVAQHQHKVILDRISVLFQFYHV >ORUFI06G15230.4 pep chromosome:OR_W1943:6:13386023:13390837:1 gene:ORUFI06G15230 transcript:ORUFI06G15230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRKMGKKGKSKASTTADASPMSPSSPSTVDASSKSPSSPSTEENGTSPAHHQADNAPVIAPPPVPEPAPPEPEKPAVSTDVQNAKPKVYSRVRLKFKSAKVLETHQGPSEAKAPVDGGGGKPASAAPEASKEVAEKAIVSPDGQKDAQAAELSGSDKDKVARKVASIKIKSVGLSSVEDKNQDRKADSVSEPLPSKQETVLENVESETALELRSSQELEVKQATPERQRDDRELTAALEAIKKVMKMDAAEPFNTPDYFDIIDTPMDFGTICQNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDVQENGGNDNTGDEDVKGDEDVKGSKGKSKQKQRRLGNDRHKNDCACAVCQVTRRKKEKDEILAVIENDTAAVNSNISDQQIMEVDSGINNAGSHDTTSSQEQPPQTDMYRRTAVADDSGTQIENHVKFFNNQPLPHDYEDEGSRPYFDEKEEVDYTDLISQEEHTSSQPNDGSEVAQHQHKVILDRISVLFQFYHV >ORUFI06G15240.1 pep chromosome:OR_W1943:6:13384543:13384734:-1 gene:ORUFI06G15240 transcript:ORUFI06G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDETELPLLGEGEGKEEKPLVSVEPVLAGIREGKGETTASAGIGARGRHRLLRRGEEREGP >ORUFI06G15250.1 pep chromosome:OR_W1943:6:13402012:13409686:-1 gene:ORUFI06G15250 transcript:ORUFI06G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSLEEKPIAKGKHPVWLKEFQAFEDKASALSLDTGVSEQLAGMIRRFICPGQTLAVGKQEYVTIIQSNLGIKCLWNAEVMELMWGLNNLKEHLVPDGKSELSKEDCLPMCEGMKFTLNKYGFGDLKPEMVTRSIIESTGLLYETDYHVRKHGESMRYAGKHLKETSGINAEDWDLLKLAAAIMMLCYPNGEYKLVGNLPETDGQTSGSKLSNGNRSAGTPLHRLLGSYFYQMQMEKNELLSSPYECAVLQEACVAQMQPSTECPQQALLNCFAWPSIRLLPAHELALSGIGGHLDSRYYTDISVFFREAREICKMVKSRRIRSKAARRLDSLVTAAERIYDEAQQAQPGVFKQDVPAAGLHLRALVFDADIKSVW >ORUFI06G15250.2 pep chromosome:OR_W1943:6:13402012:13407164:-1 gene:ORUFI06G15250 transcript:ORUFI06G15250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHHPHLPVRTVASCSGSKLSNGNRSAGTPLHRLLGSYFYQMQMEKNELLSSPYECAVLQEACVAQMQPSTECPQQALLNCFAWPSIRLLPAHELVGIWTPDITLISPCSSAKQEKSALFGDDYSKLVDDAPKYKGIFRKLSCLRAYAEMVKSRRIRSKAARRLDSLVTAAERIYDEAQQAQPGVFKQDVPAAGLHLRALVFDADIKSVW >ORUFI06G15250.3 pep chromosome:OR_W1943:6:13402012:13409686:-1 gene:ORUFI06G15250 transcript:ORUFI06G15250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSLEEKPIAKGKHPVWLKEFQAFEDKASALSLDTGVSEQLAGMIRRFICPGQTLAVGKQEYVTIIQSNLGIKCLWNAEVMELMWGLNNLKEHLVPDGKSELSKEDCLPMCEGMKFTLNKYGFGDLKPEMVTRSIIESTGLLYETDYHVRKHGESMRYAGKHLKETSGINAEDWDLLKLAAAIMMLCYPNGEYKLVGNLPELFGDDYSKLVDDAPKYKGIFRKLSCLRAYAEMVKSRRIRSKAARRLDSLVTAAERIYDEAQQAQPGVFKQDVPAAGLHLRALVFDADIKSVW >ORUFI06G15250.4 pep chromosome:OR_W1943:6:13402010:13407164:-1 gene:ORUFI06G15250 transcript:ORUFI06G15250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHHPHLPVRTVASCSGSKLSNGNRSAGTPLHRLLGSYFYQMQMEKNELLSSPYECAVLQEACVAQMQPSTECPQQALLNCFAWPSIRLLPAHELALSGIGGHLDSRYYTDISVFFREAREICKMVKSRRIRSKAARRLDSLVTAAERIYDEAQQAQPGVFKQDVPAAGLHLRALVFDADIKSVW >ORUFI06G15250.5 pep chromosome:OR_W1943:6:13407541:13409686:-1 gene:ORUFI06G15250 transcript:ORUFI06G15250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSLEEKPIAKGKHPVWLKEFQAFEDKASALSLDTGVSEQLAGMIRRFICPGQTLAVGKQEYVTIIQSNLGIKCLWNAEVMELMWGLNNLKEHLVPDGKSELSKEDCLPMCEGMKFTLNKYGFGDLKPEMVTRSIIESTGLLYETDYHVRKHGESMRYAGKHLKETSGINAEDWDLLKLAAAIMMLCYPNGEYKLVGNLPEADLSVHVSHAAGPSTPVASSDPE >ORUFI06G15260.1 pep chromosome:OR_W1943:6:13445476:13446195:-1 gene:ORUFI06G15260 transcript:ORUFI06G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMSFLNVYGHGKYNLDLSGHPIAGIGDDIKHCQFIGVPVSLSIGGFGNGYSLPSNRSALELFDYLWNAYFGGSKAGVYRPFGDAWLDGVDLFLEHGTPADRYDVLALELAKHNIRGAPGKPLHLTATPRCTFPPSSYLGRAVATGIFERIHIRIYDDDNCEAYWHLAWDKWTAAYPATRFYVGMTASEMTHGWVHPKNVYYDVAPSTQKADNYGGFMIWDRYYDKLSNYTSMVKAYA >ORUFI06G15270.1 pep chromosome:OR_W1943:6:13484757:13485097:-1 gene:ORUFI06G15270 transcript:ORUFI06G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREREQRRAREQQPRCGKVAGGTVAECTAVFCCFPFAVVELIVLAAIRVPAALCRPGTARNSVGVQRPCEGRDAGGGSDGSRRGGGRGGRAGNDEAAHPMANSNRHTV >ORUFI06G15280.1 pep chromosome:OR_W1943:6:13487711:13491413:1 gene:ORUFI06G15280 transcript:ORUFI06G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPHATASADEMPSIWKEQHAQDAPPGFVPPMGPGEVAAVESLLGYEFRDKALVEEALTHGSFYYPYRPGVTYERLEYLGDAVLTCVVSREVFLTYGQLQPGPLTRLRAANVDKEKLARVAVVHGLHHFLRHKAPNLDGQITDFIEELSMYPIHSNGLLDPPKVLCDVVESLIGAIYCDSNFNQEIVWQVFQKFADPLISLETLGKHPVSELFEFCQKTRRGVKIMKDEWDKNLTVEVLIDGEMVGRATYAQKKEIAQNRAAKAALDKLKETLGQSQTEPMSAEVSEQFNKIDLTGS >ORUFI06G15290.1 pep chromosome:OR_W1943:6:13535098:13536220:-1 gene:ORUFI06G15290 transcript:ORUFI06G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAEEPSTKLPSRSPLDGPAPPPYHHHHYGTFLPPPPPQQQQQQMPDGAASNHPFPAGYAAQGVVAFPCTVQQLVLVEGVPIREPPLPFCGIGLGWILFLLGFFLAALPWYAGAFILFFVALDHREKPGLIACTIAVSFPF >ORUFI06G15300.1 pep chromosome:OR_W1943:6:13609995:13622412:-1 gene:ORUFI06G15300 transcript:ORUFI06G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFHTIAAARPRLPLAAFACLCPGPRPATRKLSLTSTAVSTIDSAPASSSDAKKTTTVFVAGSTGRTGKRVVEKLLERGFGVVAGTTDVGRARRSLPHDPNLQLVRADVMEGTDKLVDAIRGADAVVCATGFRRSFDPFAPWKVDNFGTVNLVEACRKAGVTRFILVSSILVNGAAMGQLLNPAYIVLNLFGLVLVAKLQAEKHIRSSGINYTIIRPGGLTEQPPTGNIVMEPEDTLYEGSISRQQVAEVAVEALLCREESSYKVVEIVTRAEAHNRPLKDLFASIKQS >ORUFI06G15310.1 pep chromosome:OR_W1943:6:13622687:13622902:-1 gene:ORUFI06G15310 transcript:ORUFI06G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPGAEDGRIIEVTDGEIKRRRQDERRLIEMGATTGIGLCRNGRRMDAARWSEQYETKTKGKYKYPNYP >ORUFI06G15320.1 pep chromosome:OR_W1943:6:13622915:13625483:-1 gene:ORUFI06G15320 transcript:ORUFI06G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAKKLSLFWCCSKTSVMALLCLLEEEVNANVDAVVRAEEIGVAVVDREEIGADVRGRQQGVSWHGRGG >ORUFI06G15330.1 pep chromosome:OR_W1943:6:13625568:13626228:-1 gene:ORUFI06G15330 transcript:ORUFI06G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCMALTRFSVGVRAGAVADARQRRGSFLPCVIPICQDHLFLSSFSFVARYVDGIMEQGEGTSSQPKPGSKKACERNQLHPEGASSQAAPASKKACARKLTLNLK >ORUFI06G15340.1 pep chromosome:OR_W1943:6:13690516:13692464:1 gene:ORUFI06G15340 transcript:ORUFI06G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWYSLQNRIRLLPPEDTDKRGPPTIGQIYDMQLAPPAHLTVLLALKDFVASSDIAGELVKEAKTLWEKLRDGISCTNQEVMATVDYLRRKAQPKRVPPHSSTPITSQWQSGFASFAEGARMVRPVPQMPLARPHMIPQMAPDVGNLTLARRICTIRRYPYIPQVSAAPIGQGGFTSLGGTSFGAGVQGYMDLLQQGSYCPELMSGFRPYTASYGDISSFGGGSSSVPDELQASQTNEAPQATQPTQPEVGDLQGNKNDPCRSNHEHLEPNHLSLSGPRHATGARKKTKKSELQHLEL >ORUFI06G15350.1 pep chromosome:OR_W1943:6:13705935:13706270:1 gene:ORUFI06G15350 transcript:ORUFI06G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLAVEDRVESAGDGGCLLFFFCSIDMNENMMDGCYCTKSCSSLYHTTTSFHDSIIDYCSPPDQQYS >ORUFI06G15360.1 pep chromosome:OR_W1943:6:13708785:13717372:1 gene:ORUFI06G15360 transcript:ORUFI06G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHLHLHFLAILVAVPMLGSPAAGGLCRDSCGGIPVRYPLSIDDGCGSPYYRNMLTCADNATLRLRTPSGTYPVVGADYADPHLVVTDPSMWTCERPFTSVRAAPFSLDTSTRFSLSPRNDYLFFDCDEERVIVEPRPAVCDRYPERCDSTCDSAGYLCRNLPGCRGALEENNMSCCAYRPRAAESLRLMLRHCESYTSVYWRAVGDKFPPYDQVPAYGVRVDFEIPVTTRCLQCEDRRRGAGGTCGFDPVTRDFVCICNDARNSTTDCADGPASRYHSSAGVVAASAVFSISAAVGITGLVWYIRKIKSTKVVTCGVQSNENRFF >ORUFI06G15370.1 pep chromosome:OR_W1943:6:13739644:13740087:1 gene:ORUFI06G15370 transcript:ORUFI06G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMSAMTSSPVGGSGRQRGLNDGAPAISDSSERERRRRRRETATGDGQLRQMIAQRRELMTPTRRGEQDGPYRHLHDNERRSFERRRDQDDGGDDFTTISSGDERAVGLLLTAAMPTEAAAWSGDHGVDDGWRLERQRQRRLSGSR >ORUFI06G15380.1 pep chromosome:OR_W1943:6:13812746:13817750:-1 gene:ORUFI06G15380 transcript:ORUFI06G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVHAQPWSSSCQPAAAAPSQRQCSTTPPWRRPWRQPRTRSPGTLRCRQAQQQLLLSTGEWIVQLERLKEEVGNMITSSVTSSLLERLHLIDALERLCVNHLFEEEINILLMQISSSNNVNDCDDVRTVAMWFYLLRKHGYKVSQDVFVKFKDEEGNFIAKNPMDLLALYNAAHYRVHGEKILDDAILFTKRCLHSMLPSLEGSLAREVKCALEIPLPRRVGIYEANYYISTYEKEGKVHDMIVQLAKLNFNLMQLQYQEELDIITRWWKDLQIQSKLPFARDRIVECYLWMLGVYYEPNCSRGRIILTKVISIATIFDDTFDSYGTIEECELFTKCLERFYFIWELVADELPDCMKHVLEKVFQSYQIIEQELSEDEKYRMPYLRSFTEDLVRNYNREVKMREESYVPKSVEEHLQISSRTGACHLLACVSLVGMDVTATKESFDWVSTMPKMVLALCTTLRLVDDLKTYEVINIYLSSPSLGYSIKNDIFSDVREQLTPHVASSIDSYMKQHDVSIEMARFKIEELKEEQWKDFNDEWLDPDSAQPRKLLEAIFNLTRTMEFIYNQADNFTYCHNLKDTISSLLVEAFPVN >ORUFI06G15390.1 pep chromosome:OR_W1943:6:13844549:13844770:1 gene:ORUFI06G15390 transcript:ORUFI06G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISKLAAPLAGVHEGFKRGHRGDVDGRVAWLGLLRFNGNEDDVPMAASSARSRASSAGFAFQPNHNMTWDET >ORUFI06G15400.1 pep chromosome:OR_W1943:6:13844801:13845155:1 gene:ORUFI06G15400 transcript:ORUFI06G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKRRGNDRGSMALEAVVVFTAGGEVKMEKGDGALLLSFFGLGMDNGFDGVDTLWPRVVHSRRQSLSLSVSAVSGRLEEMHRVWSKPKRLPYGPRAKGSRLE >ORUFI06G15410.1 pep chromosome:OR_W1943:6:13878189:13879192:-1 gene:ORUFI06G15410 transcript:ORUFI06G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPASSHREQPWLSSVYASPAAIGGSNRFEHKRENVENVLAHPPVVVVRAMVVCSPWNMSYSGGVSRVLTKQRNGGSEVQGVAETVVVGQRRQNSGIDVAGSTQGANCNFESMGVLGMSHRGCMREESDRNSPDLGVASVVRNGGRSGVGCSGGRRRGRCPPRARQIGPRAKGVRVTFHRREERGTHWGCTTTTAKSPEPGKMAELWAFCACGVFSWTWNASVGSVLLLPEEWSGGLREREVAGALIVFLGHPLGMGDGGCCEGRVGGCHVGPRGCGAHCQ >ORUFI06G15420.1 pep chromosome:OR_W1943:6:13887633:13891809:-1 gene:ORUFI06G15420 transcript:ORUFI06G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWNLGEDDGGAVFSEMDEIDGNGGATSGGALDTEDTDELDTRCSIHATGRTRDMNELGIIYRSFFHDYCAAKLTRGF >ORUFI06G15430.1 pep chromosome:OR_W1943:6:13903741:13906173:-1 gene:ORUFI06G15430 transcript:ORUFI06G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSVLCLSYCTHVQREIEIKPFTAAQWALAVPLIERVLHKVQSVCGSRVHATTPGKRRRTPGGFGPHVTNAARLRLVHAYVLWALYTDLTTDSRISPPSTSGCSSPTRHGLGGFASLVVRALYADPPTDSGASPPSLPRRSTPTSPRTQGFCLPRCLGVLCRPATDLGASPPLLSGRSAPTPPQARGLRLPRRPGALRRLCHRLGGFASLIFRVPFADLATDSGALPPSSSGLAPSSQSHTPPPGDPLPA >ORUFI06G15440.1 pep chromosome:OR_W1943:6:13911567:13940244:1 gene:ORUFI06G15440 transcript:ORUFI06G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCALFLSTPRPPPPLVPAHRRRPLASRSGLRRREGCPCSCASSSSSSGRAGSQDRPPRPWQQKQRTQRLCRGEAIDPVGFLAKHGISDRAFAQFLRDRYKALKDRRWELHSRLIDLKEASSGFELMGMHRHRQHRVDFMEWAPGARYCSVVGDFNQWSTTENCAREGHLGHDDFGYWTIILEDKLREGQEPDEYYFQEYNYADDYDKGDNGVDVEELIHRMNEEYWEPGEIKSQKSRLEVVAKLYEQMFGPNGPQTEEELGDIPDAETRYNEWKALQKDDSASSLPCYDIIDNGQEFDIFNVATDRVSFEKFQGKSPPLAYWVEMRKGRKAWLEKYVPAISHKDKYRVYFNTPDGGLERIPAWATYVLPDAEGKQSYAVHWDPPPEEIYKWRFERPKVKGSLRIYECHVGISGSEQKISSFQEFTSNVLPHIKDAGYNAIQLIGIVEHKDYSSVGYKVTNYFSVSSRFGSPDDFKKLVDEAHGLGLVVLLDIVHSYASADELVGLSLFDGSNDCYFHSGKRGHHKYWGTRMFKYDDIDVLHFLLYYNQYVDEDALIYLIIANEMLHELHPDIITIAEDATFYPGLCEPTTQGGLGFDYWVNLSIPEMWLWHLENVPEQEWSMNKIMRVLVNNNSNMLSYVENHNQSISGRKSFAEIILYEGKCSNSSVDNDLIFRASSLLNIIKLITFTTSGGAYLNFIGNEFAHPKRIEFPMSSNDYSFCLANRQWELLDKGVHKHIFNFDKDIMSLDGKERLISGGSPIVHHCDDTSMIISFTRGPFLFVFNFNPDASYQLYSVGVDEAGEYQLILNTDETKYGGRGELTSNQYMKRTSDNRVGGCRNSLELTLPSRSAQTGDALHSAMVVFI >ORUFI06G15440.2 pep chromosome:OR_W1943:6:13911567:13940322:1 gene:ORUFI06G15440 transcript:ORUFI06G15440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCALFLSTPRPPPPLVPAHRRRPLASRSGLRRREGCPCSCASSSSSSGRAGSQDRPPRPWQQKQRTQRLCRGEAIDPVGFLAKHGISDRAFAQFLRDRYKALKDRRWELHSRLIDLKEASSGFELMGMHRHRQHRVDFMEWAPGARYCSVVGDFNQWSTTENCAREGHLGHDDFGYWTIILEDKLREGQEPDEYYFQEYNYADDYDKGDNGVDVEELIHRMNEEYWEPGEIKSQKSRLEVVAKLYEQMFGPNGPQTEEELGDIPDAETRYNEWKALQKDDSASSLPCYDIIDNGQEFDIFNVATDRVSFEKFQGKSPPLAYWVEMRKGRKAWLEKYVPAISHKDKYRVYFNTPDGGLERIPAWATYVLPDAEGKQSYAVHWDPPPEEIYKWRFERPKVKGSLRIYECHVGISGSEQKISSFQEFTSNVLPHIKDAGYNAIQLIGIVEHKDYSSVGYKVTNYFSVSSRFGSPDDFKKLVDEAHGLGLVVLLDIVHSYASADELVGLSLFDGSNDCYFHSGKRGHHKYWGTRMFKYDDIDVLHFLLYYNQYVDEDALIYLIIANEMLHELHPDIITIAEDATFYPGLCEPTTQGGLGFDYWVNLSIPEMWLWHLENVPEQEWSMNKIMRVLVNNNSNMLSYVENHNQSISGRKSFAEIILYEGKCSNSSVDNDLIFRASSLLNIIKLITFTTSGGAYLNFIGNEFAHPKRIEFPMSSNDYSFCLANRQWELLDKGVHKHIFNFDKDIMSLDGKERLISGGSPIVHHCDDTSMIISFTRGPFLFVFNFNPDASYQLYSVGVDEAGEYQLILNTDETKYGGRGELTSNQYMKRTSDNRVGGCRNSLELTLPSRSAQTSNCLFCSLVYLDSMPSVGVALWLV >ORUFI06G15440.3 pep chromosome:OR_W1943:6:13911567:13940244:1 gene:ORUFI06G15440 transcript:ORUFI06G15440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCALFLSTPRPPPPLVPAHRRRPLASRSGLRRREGCPCSCASSSSSSGRAGSQDRPPRPWQQKQRTQRLCRGEAIDPVGFLAKHGISDRAFAQFLRDRYKALKDRRWELHSRLIDLKEASSGFELMGMHRHRQHRVDFMEWAPGARYCSVVGDFNQWSTTENCAREGHLGHDDFGYWTIILEDKLREGQEPDEYYFQEYNYADDYDKGDNGVDVEELIHRMNEEYWEPGEIKSQKSRLEVVAKLYEQMFGPNGPQTEEELGDIPDAETRYNEWKALQKDDSASSLPCYDIIDNGQEFDIFNVATDRVSFEKFQGKSPPLAYWVEMRKGRKAWLEKYVPAISHKDKYRVYFNTPDGGLERIPAWATYVLPDAEGKQSYAVHWDPPPEEIYKWRFERPKVKGSLRIYECHVGISGSEQKISSFQEFTSNVLPHIKDAGYNAIQLIGIVEHKDYSSVGYKVTNYFSVSSRFGSPDDFKKLVDEAHGLGLVVLLDIVHSYASADELVGLSLFDGSNDCYFHSGTYYNQYVDEDALIYLIIANEMLHELHPDIITIAEDATFYPGLCEPTTQGGLGFDYWVNLSIPEMWLWHLENVPEQEWSMNKIMRVLVNNNSNMLSYVENHNQSISGRKSFAEIILYEGKCSNSSVDNDLIFRASSLLNIIKLITFTTSGGAYLNFIGNEFAHPKRIEFPMSSNDYSFCLANRQWELLDKGVHKHIFNFDKDIMSLDGKERLISGGSPIVHHCDDTSMIISFTRGPFLFVFNFNPDASYQLYSVGVDEAGEYQLILNTDETKYGGRGELTSNQYMKRTSDNRVGGCRNSLELTLPSRSAQTGDALHSAMVVFI >ORUFI06G15440.4 pep chromosome:OR_W1943:6:13911567:13940322:1 gene:ORUFI06G15440 transcript:ORUFI06G15440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCALFLSTPRPPPPLVPAHRRRPLASRSGLRRREGCPCSCASSSSSSGRAGSQDRPPRPWQQKQRTQRLCRGEAIDPVGFLAKHGISDRAFAQFLRDRYKALKDRRWELHSRLIDLKEASSGFELMGMHRHRQHRVDFMEWAPGARYCSVVGDFNQWSTTENCAREGHLGHDDFGYWTIILEDKLREGQEPDEYYFQEYNYADDYDKGDNGVDVEELIHRMNEEYWEPGEIKSQKSRLEVVAKLYEQMFGPNGPQTEEELGDIPDAETRYNEWKALQKDDSASSLPCYDIIDNGQEFDIFNVATDRVSFEKFQGKSPPLAYWVEMRKGRKAWLEKYVPAISHKDKYRVYFNTPDGGLERIPAWATYVLPDAEGKQSYAVHWDPPPEEIYKWRFERPKVKGSLRIYECHVGISGSEQKISSFQEFTSNVLPHIKDAGYNAIQLIGIVEHKDYSSVGYKVTNYFSVSSRFGSPDDFKKLVDEAHGLGLVVLLDIVHSYASADELVGLSLFDGSNDCYFHSGTYYNQYVDEDALIYLIIANEMLHELHPDIITIAEDATFYPGLCEPTTQGGLGFDYWVNLSIPEMWLWHLENVPEQEWSMNKIMRVLVNNNSNMLSYVENHNQSISGRKSFAEIILYEGKCSNSSVDNDLIFRASSLLNIIKLITFTTSGGAYLNFIGNEFAHPKRIEFPMSSNDYSFCLANRQWELLDKGVHKHIFNFDKDIMSLDGKERLISGGSPIVHHCDDTSMIISFTRGPFLFVFNFNPDASYQLYSVGVDEAGEYQLILNTDETKYGGRGELTSNQYMKRTSDNRVGGCRNSLELTLPSRSAQTSNCLFCSLVYLDSMPSVGVALWLV >ORUFI06G15450.1 pep chromosome:OR_W1943:6:13988053:13992872:-1 gene:ORUFI06G15450 transcript:ORUFI06G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLMFTVLTAEAAVAIALLFKTPVRKLAMLGLDRLKRGRGPVMVRTVAATVLVVLASSVHSMNKIRGRAAGELDGAGAGTLTPTDQVLLARHLLEASLMGYSLFLALVIDRLHNYIREVRGLKRNLEAASKLNKTLDEAKLLGSSDESKTYQKDIASLTEEIKKMKRQLKEKANEAKDAEAKALAAQKQSEGLMIEYNHLVEDNKHLHDQLESADLTVSRSDGKKNT >ORUFI06G15450.2 pep chromosome:OR_W1943:6:13988055:13992872:-1 gene:ORUFI06G15450 transcript:ORUFI06G15450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLMFTVLTAEAAVAIALLFKTPVRKLAMLGLDRLKRGRGPVMVRTVAATVLVVLASSVHSMNKIRGRAAGELDGAGAGTLTPTDQVLLARHLLEASLMGYSLFLALVIDRLHNYIREVRGLKRNLEAASKLNKTLDEAKLLGSSDESKTYQKDIASLTEEIKKMKRQLKEKANEAKDAEAKALAAQKQSEGLMIEYNHLVEDNKHLHDQLESADLTVSRSDGKKNT >ORUFI06G15460.1 pep chromosome:OR_W1943:6:14025001:14025812:1 gene:ORUFI06G15460 transcript:ORUFI06G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTCFREQLERMARAEDAATANTSEDPGPSETATDCEGEGNEGQDHPEGFSQKLCVRPPFGNWRNKNKKFVAVKGLPKIKCSFRPIYREMLINDNSYKVIKVAGWMRTHPSRTLEDYDRYQVARREDSTNFWRNFKRSSRLLA >ORUFI06G15470.1 pep chromosome:OR_W1943:6:14027083:14035228:-1 gene:ORUFI06G15470 transcript:ORUFI06G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSNFRKKNAAEVDFFMGYGDVNRYEVLEVIGKGSYGLVCSANDIHTGEKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSKMDFRDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVALTDAPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLITDLLGTPSLDAISQVRNDKARKYLTCMRKKQPASFSHKFPKADPLALQLLRKLLAFDPKDHPYFNGLAKVEREPSCQPIPKMEFEFERRRATKQDIKELIFQEILEYHPQLLKEHISGTERPNFHHLSVVDQFRKQFTQVEENLNGSGAAVSLQRKHSSLPRSTIVHSAAIPAKDYKHVASSSTKLAVDGSWNAQIQGVHANIAGEPSTIVRPAVSSERSLAPTLQWQPNMTHFLNHALWYQNTVFSGSLLDATGPAQAIPRTTPYVDSRSGNLDLYQHHVSREDVQSDTATAQAHAASHGPVPAVSYSLPGTYRIT >ORUFI06G15470.2 pep chromosome:OR_W1943:6:14027083:14035228:-1 gene:ORUFI06G15470 transcript:ORUFI06G15470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSNFRKKNAAEVDFFMGYGDVNRYEVLEVIGKGSYGLVCSANDIHTGEKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSKMDFRDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVALTDAPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLITDLLGTPSLDAISQVRNDKARKYLTCMRKKQPASFSHKFPKADPLALQLLRKLLAFDPKDHPYFNGLAKVEREPSCQPIPKMEFEFERRRATKQDIKELIFQEILEYHPQLLKEHISGTERPNFHHLSVVDQFRKQFTQVEENLNGSEPSTIVRPAVSSERSLAPTLQWQPNMTHFLNHALWYQNTVFSGSLLDATGPAQAIPRTTPYVDSRSGNLDLYQHHVSREDVQSDTATAQAHAASHGPVPAVSYSLPGTYRIT >ORUFI06G15480.1 pep chromosome:OR_W1943:6:14035316:14035720:-1 gene:ORUFI06G15480 transcript:ORUFI06G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIAATHTKLTAPQAEKYPSPLPTPLALASTSPKLRPLPHTTPPTPTRRPLSFRLLILLLPKSSTQIRSYCRRRLPRCCSKRPHKTPGRGGGRGSDGLRRRHAVHEEEKKGKARLRCRDPEQEPMGKVRLTRA >ORUFI06G15490.1 pep chromosome:OR_W1943:6:14068262:14069413:-1 gene:ORUFI06G15490 transcript:ORUFI06G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEEPNITTPDAGHPSPPSRLLSKHRPRRGAAAPRASLHPPAPPHSQPNLNLCHCCGVRFPPAPPGAKRRPVRPLRSLWRVVLLCTECLSLVRSAAVCSYCLSLDNLPPEDSSVTCRCCNRCVHPYCIAGEHRAALIQPIDVENFICVDCCPTVKPGGKNGGASSVHMLQAVAREPRKGDIVAESKENAVRKAMEMKLAFKRAKESLVSAAGGRGSQRTVGGKPDLPDEELALQLHLAMNGSQRFSRAGNTSGGDSAEQCKGHKSVIGGKNFYGDQELCVTNMMDQLDDDEAGVEPLCRIRRPSRRRLDPSVTIVLALEYVDGKHVKESMKGKRKGHLVTKKQNDLVDRYKRKYSKRNSKKQTKNENPEFKDISGGKDKDDE >ORUFI06G15500.1 pep chromosome:OR_W1943:6:14070321:14073660:1 gene:ORUFI06G15500 transcript:ORUFI06G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSDQLSDLLWIPHGQHKISSISENPYNGLIEQMPTQVQNDRAWWFARVPLIYFWVVEFHYPDRVMRQFGRKQMIPPPHPHGEAELRRLWKYLGGLENSIPFPQDNIEWTGYMPSGPPLARIGLRDIKNAAWDIKCCVTNGCKKTGKSILKTCQGNLRDQLGA >ORUFI06G15510.1 pep chromosome:OR_W1943:6:14073671:14073966:1 gene:ORUFI06G15510 transcript:ORUFI06G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEAGLPIDIERILSDDESRRGFSKYMNLGLEVRDEVLTTQGTSYAYREGPSIQVVDWTKTGSTLMFNNTNVS >ORUFI06G15520.1 pep chromosome:OR_W1943:6:14116407:14117815:1 gene:ORUFI06G15520 transcript:ORUFI06G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNSYHLATWADSAKTRNPTGPGSSHENMWLHVRLRSMTYEVKSLIDQGNLPNYNSTQLNSRLTQRPYPATITQIHYHLTRDLDGCDYLEFLDGKSHTSVSQGRGQAAAAPPQAWACPAMAAWRLRHSDVGGVLQRRRAAAATSSGVGKRRRRQIRWRSTELRDNVEMTHQ >ORUFI06G15530.1 pep chromosome:OR_W1943:6:14130645:14133130:1 gene:ORUFI06G15530 transcript:ORUFI06G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAYTRPSKPPGPAGERRPPRLAKELGRIEPKKLGIGLVAGCCLALLTYISFARLFAIYSPVFESTSLVMKNAPPESTQQNPVLAQQQSKAEDEKDVGEDETDRKVPSFAETTEKNEEEETVTKPSGDEAEATISCDENGVDEGFPYARPPVCELTGDIRISPKEKTMFFVNPSSAGAFDGNGEKKIRPYARKDDFLLPGVVEVIIKSVSSPAIAPACTRTHNVPAVVFSVAGYTDNFFHDNTDVMIPLFLTTSHLAGEVQFLITNFKPWWVHKFTPLLKKLSNYGVINFDKDDEVHCFRRGHLGLYRDRDLIISPHPTRNPRNYSMVDYNRFLRRAFGLPRDSPAVLGDKTGAKPKMLMIERKGTRKLLNLRDVAALCEDLGFAVTVAEAGADVRGFAEKVNAADVLLAVHGAGLTNQIFLPTGAVLVQIVPWGKMDWMATNFYGQPARDMRLRYVEYYVSEEETTLKDKYPRDHYVFKDPMAIHAQGWPALAEIVMKQDVTVNVTRFKPFLLKALDELQE >ORUFI06G15540.1 pep chromosome:OR_W1943:6:14134515:14140601:-1 gene:ORUFI06G15540 transcript:ORUFI06G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPREGGGGWPKEGKRGKERIDVATRRAWAACTQRTPNVWTHDYGRWRARMRGFALGVAVLARRMSTSAQDGDSGGAAA >ORUFI06G15550.1 pep chromosome:OR_W1943:6:14141000:14141437:-1 gene:ORUFI06G15550 transcript:ORUFI06G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSVGAEAEWLQREGSSKEWIRRHCVGTVAKRRAWAAWTRCTPNVWNHDYRRWRAGMRGFALGVAVLARRMSTSAQDGDSGGAAA >ORUFI06G15560.1 pep chromosome:OR_W1943:6:14150648:14152063:-1 gene:ORUFI06G15560 transcript:ORUFI06G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGLQRSGGAGTLTSSRQVELRGKSMGTAIDSEPTAIDLFKELHYSKTKGFSEPVKKAILAAKTTNVMKEIQVELDAKKLESAVLQEELERLKAQAQENYRHCINDDVLWWSQKLVSDSPSVMTTISSLRVVSDRKQAVIFIM >ORUFI06G15570.1 pep chromosome:OR_W1943:6:14155658:14156096:-1 gene:ORUFI06G15570 transcript:ORUFI06G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPAPTWALAFQPSQGTSFTGAAMEQDSWVCLELCFLSRKSNGGGDLVGARRFGRNNFVYSQWSRTHVTIGENGVRWTMGMETRCLGPPAATYYNKSGLL >ORUFI06G15580.1 pep chromosome:OR_W1943:6:14156189:14157624:-1 gene:ORUFI06G15580 transcript:ORUFI06G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRAAWSWSTGIDRPGGDGAAAEDGQQLVAVSNRARQRDREGGEATVIWREMERDARGRVAGLRPCGGFGGDHVIGGDGEEIAAILAARMRSGREEDYGEGSGSTCHWRIDGEGGGSVVLADAKDVGEASLPLRRFV >ORUFI06G15590.1 pep chromosome:OR_W1943:6:14173463:14193765:1 gene:ORUFI06G15590 transcript:ORUFI06G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKRFAQEYEMCGRARCTLSPSQAARAFGFPTTTSVAGGGTGGGCGGGDAPAVPLLRMDRYRPSYNVSPGTYLPVGTVRARPAGYDGGGDMDGEVSVIQCMKWGLVPSFTGKNEKPDHFRMFNARSESIKEKASFRRLIPNNRCLVAVEGFYEWKKDGPKKMPYYIHFQDQRPLVFAALFDTWTNSEDRMPVILGDKDSISTWLNGASVKLEEITVPYEGADLLDLILSNDVVIFRLYIKRRGHIVWYPVTAAIGKISFDGPECIKQVQMRPSEKPISTFFMKKPVKSEKKDQDHAETKAFRAANKEWHESAENQLDKTYQHQVEEEQDASIFNDQPITLEHDVEKAKTMKNDDLIFTDEATQKQDALRLKRKNEDDEIHADKVMEKSGRSPVHVKKKVKGPKPASVGQASLLSYFAKK >ORUFI06G15590.2 pep chromosome:OR_W1943:6:14173463:14193765:1 gene:ORUFI06G15590 transcript:ORUFI06G15590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREKRMMRCSDHFTLFFSEYEMCGRARCTLSPSQAARAFGFPTTTSVAGGGTGGGCGGGDAPAVPLLRMDRYRPSYNVSPGTYLPVGTVRARPAGYDGGGDMDGEVSVIQCMKWGLVPSFTGKNEKPDHFRMFNARSESIKEKASFRRLIPNNRCLVAVEGFYEWKKDGPKKMPYYIHFQDQRPLVFAALFDTWTNSEDRMPVILGDKDSISTWLNGASVKLEEITVPYEGADLVWYPVTAAIGKISFDGPECIKQVQMRPSEKPISTFFMKKPVKSEKKDQDHAETKAFRAANKEWHESAENQLDKTYQHQVEEEQDASIFNDQPITLEHDVEKAKTMKNDDLIFTDEATQKQDALRLKRKNEDDEIHADKVMEKSGRSPVHVKKKVKGPKPASVGQASLLSYFAKK >ORUFI06G15590.3 pep chromosome:OR_W1943:6:14173463:14193765:1 gene:ORUFI06G15590 transcript:ORUFI06G15590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREKRFAQEYEMCGRARCTLSPSQAARAFGFPTTTSVAGGGTGGGCGGGDAPAVPLLRMDRYRPSYNVSPGTYLPVGTVRARPAGYDGGGDMDGEVSVIQCMKWGLVPSFTGKNEKPDHFRMFNARSESIKEKASFRRLIPNNRCLVAVEGFYEWKKDGPKKMPYYIHFQDQRPLVFAALFDTWTNSEDRMPVILGDKDSISTWLNGASVKLEEITVPYEGADLVWYPVTAAIGKISFDGPECIKQVQMRPSEKPISTFFMKKPVKSEKKDQDHAETKAFRAANKEWHESAENQLDKTYQHQVEEEQDASIFNDQPITLEHDVEKAKTMKNDDLIFTDEATQKQDALRLKRKNEDDEIHADKVMEKSGRSPVHVKKKVKGPKPASVGQASLLSYFAKK >ORUFI06G15590.4 pep chromosome:OR_W1943:6:14173463:14193765:1 gene:ORUFI06G15590 transcript:ORUFI06G15590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MREKRFAQEYEMCGRARCTLSPSQAARAFGFPTTTSVAGGGTGGGCGGGDAPAVPLLRMDRYRPSYNVSPGTYLPVGTVRARPAGYDGGGDMDGEVSVIQCMKWGLVPSFTGKNEKPDHFRMLSFYEWKKDGPKKMPYYIHFQDQRPLVFAALFDTWTNSEDRMPVILGDKDSISTWLNGASVKLEEITVPYEGADLVWYPVTAAIGKISFDGPECIKQVQMRPSEKPISTFFMKKPVKSEKKDQDHAETKAFRAANKEWHESAENQLDKTYQHQVEEEQDASIFNDQPITLEHDVEKAKTMKNDDLIFTDEATQKQDALRLKRKNEDDEIHADKVMEKSGRSPVHVKKKVKGPKPASVGQASLLSYFAKK >ORUFI06G15600.1 pep chromosome:OR_W1943:6:14187237:14188523:-1 gene:ORUFI06G15600 transcript:ORUFI06G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEARGVSTIHCRVVAQLSALPPMSLGPAHPRSHPVVPRSTTATRIPEASKTSGKGKDVLVTSDPSSRKKNPTGLGGSPGTPQATTRTATTASRAAKAEDATAKVASPGPTRTPKIGVRKTHRSTRVSTQDNPDAAADSARPSGGDAAGEEVGGGNESTGAAANSIRPSKGDVAGAEVGAGDGSMSAAADSAQLSGGNAAGAEAGAGDGVSGRGDSAATGSSPPPHPAHRLSPPPSPQTPPNRRPGKEPTSGEGEATGDEEDAEEIPRCPHALPWTNYVSPLQTFWFQGGREKEALKEGFDDAADKAYATVDVKTRRPGRSA >ORUFI06G15610.1 pep chromosome:OR_W1943:6:14218759:14219658:-1 gene:ORUFI06G15610 transcript:ORUFI06G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNHSTASALPPAPTTATSNSGGNNIVTKVMNPSLLQFKLGACITGRMHIKGPHKAAPAEQTKPGNRDGSILQQRLQSMSQASHFNVVVNISHASRAAPTQRSSK >ORUFI06G15620.1 pep chromosome:OR_W1943:6:14258445:14259850:1 gene:ORUFI06G15620 transcript:ORUFI06G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVTATDDINDTLTISFCHVVVQPNFAQSSCYLKLILETGLEMHLAVNYVLC >ORUFI06G15630.1 pep chromosome:OR_W1943:6:14263246:14275725:-1 gene:ORUFI06G15630 transcript:ORUFI06G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGGVLFAGTSVCSEEGQDEDEEVAHRRRRRRDDAGTEKRARSHPVSLQALSMGSSWTLDQNKVFELALATYGEDTPDRWENVARAVGGGKTADDAKRHYKKLENDIGRIDSTGASSSNSNGRSSSEGQSPSDGWCSPRASLKLQLAPPTSISSIQQVPYIH >ORUFI06G15630.2 pep chromosome:OR_W1943:6:14263246:14275725:-1 gene:ORUFI06G15630 transcript:ORUFI06G15630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGGVLFAGTSVCSEEGQDEDEENKVFELALATYGEDTPDRWENVARAVGGGKTADDAKRHYKKLENDIGRIDSTGASSSNSNGRSSSEGQSPSDGWCSPRASLKLQLAPPTSISSIQQVPYIH >ORUFI06G15630.3 pep chromosome:OR_W1943:6:14263246:14275725:-1 gene:ORUFI06G15630 transcript:ORUFI06G15630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGGVLFAGTSVCSEEGQDEDEEVAHRRRRRRDDAGTEKRARSPSDGWCSPRASLKLQLAPPTSISSIQQVPYIH >ORUFI06G15640.1 pep chromosome:OR_W1943:6:14277492:14277853:1 gene:ORUFI06G15640 transcript:ORUFI06G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEKRREARELVSSSYAIRSASRMLSASAVSPRRTREPPPHQRYHSSSCCSPPPPFDLGLCYPDFFLHQLLPRLLFTPTPPASPALVPGPELPPSLTSGTHPDLL >ORUFI06G15650.1 pep chromosome:OR_W1943:6:14292261:14292530:-1 gene:ORUFI06G15650 transcript:ORUFI06G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLGEDVFLVLGGKPGGNGRGLGDVGDDHGVVASLDLAHLGEGCGHRVHLLLGLQGRAKGAAVVRDAPLTVGWFMASLDRYVVVFGL >ORUFI06G15660.1 pep chromosome:OR_W1943:6:14298637:14305465:1 gene:ORUFI06G15660 transcript:ORUFI06G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNDGVIGISRRNSNPKVHSSMCSELTMMLDKVSSILPSIETAQPGCKAGIEELCNLYNIVDKGKLIIQNCIECSSLYLAITGEATAMRCERIRDALRRSLFLVQNMVPSSLANQVADVHDDLGDVKFIVDPEEDEAGKAILEMLRQSDATQEHELQTFLFAASKLNLTSPKAILIERRAIKKLLDKINGNDPKKEGILKFFQYLVRKYGKTMKPEGSAKNEGVDVANVTSSTNLIASGTDAPQKCFSPTNSWTGRCEEQNNLSRFSTPPEFCCPLSMKLMYDPVIIASGQTYERENIEKWFSEGYDICPRTQLKLENFTITPNTCMKAVICNWCKDNELEFTSLPEQFHSYSVSSLHNISAPLVSGTKRDYMSDHSSSSVALSGASYVSSPMRETEESRTNSTQFFSNAYYQLYLSFSSFNKEMFLNFFYELSELPMELQVKAVRDFKSVLNREYQIWRSMISNGFLEAFLEFLKNDNGKCTMEAQRTGIQFFLAFLRNSRTRIPSISEDAVRLVASFLDSELKTEALEILHELLQQPSCRKSRLMASVVAPSVFLAWDSADSLCLELVLKIICELSFKNDVQSFLISSGIISKLSPILSQGKSPECCLKILLNLSEGKQAADLIIRTDQCLSSISDYLDTGSSVEREHASGILLALCSRSIDDCVLVMKEGVIPALVDLSVNGTEVAKASSIKLLQLLRDSRQSDQFGNSCSSEVAVNGAAENSPIGTICKQPISKSARYISRKLSIFSKPRSLTLV >ORUFI06G15670.1 pep chromosome:OR_W1943:6:14316911:14318737:-1 gene:ORUFI06G15670 transcript:ORUFI06G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRPSSSRERFPDRYGRSHGSQPAGGARRRRGAGGDDTGAREQGGESSLLSVRRCGSRGARRRRQRPLRGAASRHVVRRERRRRREQDNDAAVAGEQGVGGGTMGGRSPHSLGRPCASPLGRCRLSLLLAVLTSPTPRARRPTPSHAPCPASSPRTLARSPAALPTRPCSQRRLLPQPLRPRLLPHRHHQPGHAQTLASLRLTATDKPTPTPASPRLAATDKLTPCFPTRPPPPPPPSLSRRRPHPLPLAPARLQIRLQLEQIAVTSARPRARPAGRCSIVGEANGRSAAGAGDKEKAWPERRAYSWLLALAKISGMREFLVDLRSQEEPVVADPNGRHGTQIQNKNSHRKHKIICNQDQT >ORUFI06G15680.1 pep chromosome:OR_W1943:6:14322614:14324104:1 gene:ORUFI06G15680 transcript:ORUFI06G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGDRNKSRILIIGGTGHLGKFIVAASARAGHPTSALVRATAPPPPATGGGGSSSRARLLQSFRDAGVTILQGDIGDHDLLVKAVRAADVVISVVGYHDVGEQMKIIAAIKEAGNIKRFIPSDFGNDADHAHIVEPAKATFDVEAQIRRTVEAEGIPYTFVSFNFFAGYYLPTLVQPGASGLPADKVVILGDGNTKGKKKTE >ORUFI06G15690.1 pep chromosome:OR_W1943:6:14329465:14331383:-1 gene:ORUFI06G15690 transcript:ORUFI06G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSPLYLTF >ORUFI06G15700.1 pep chromosome:OR_W1943:6:14365964:14368694:-1 gene:ORUFI06G15700 transcript:ORUFI06G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRQYAAATAASSSFRARPRARPSCLPAAALPLAPCCGVAWSRASYRRASVRAMGAASSSSSSSSSSPSPQGQAQAQAQGAVWCFIF >ORUFI06G15710.1 pep chromosome:OR_W1943:6:14372114:14375794:1 gene:ORUFI06G15710 transcript:ORUFI06G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKERSRVLVIGGTGYIGRYIVAASAREGHLTSVLVRDPAPADPAKAAVLQGFRDSGATLVKGDLYGHQSLVAAIKSADVVISAVGYAQLADQTRIISAIKEAGNVKRFFPSEYGNDVDRVHAVEPVKSVYATKARIRRVIEAEGIPYTYVSSNFFAGRFLPSLAQAWIKGLPTDKVIILGDGNVKGVFATEEDVGTYTIKAVDDPRTLNKILYLRPSSNILSHNELVSLWEKKVGKTFDRVYIPEDEVLKKIQESPAPLNVVLSINHSVWVKGDHTNFEIEPSFGVEATELYPDVKYTTVDEYLNRFL >ORUFI06G15720.1 pep chromosome:OR_W1943:6:14377210:14379138:1 gene:ORUFI06G15720 transcript:ORUFI06G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLCHVIARSAPAGRSRRCLLHSDPHTAHPLLVAFSRLCAHGPLRDALALLPDLAAAGLTADPVTVSRLIKLCVRHGTPSDGRLIHRHVFGGGDVAAPSCSSLFVSNSLVSLYAKFGLLDDALRLFDGMPHKNVVSWTTVVAALANARGRKEDALRLFVAMLRDGVAPNMYTFSSILGSCSTPRVLAAMHGSIVKVGLDSDVFVRSSLIDAYMKFGDLDGGRRVFDEMVTRDLIVWNSIIAGFAQSGDGVGAIELFMRMKEAGFLSNQGTLTSVLRACTGLVTLEVGRQVHAHVLKYDKDLILHNALLDMYCKCGSLQDADALFGRMPQRDVISWSTMISGLAQNGRSIEALKVFDMMKSEGPRPNHITMVGVLFACSHAGLVEDGWYYFSSMEKLFGIQPEREHCNCMVDLLGRAGKLDDAVKFIHEMNFQPDSVIWRTLLGACRMHKNADLAAYAAKEILRLEPDDQGARILLSNTYADLRQWADAEKSWKMMRDRGVKKDPGRSWIELGKQVHVFIAGDLSHPCSESIIQELSRLFSRVTNLGYTPQTEFVLQDLATEQKEDLLKYHSEKLAIAFGTMNAMEGKPIRIMKNLRICGDCHAFAKLVSKSEGKVIIIRDPVRFHHFQDGVCSCNDYW >ORUFI06G15730.1 pep chromosome:OR_W1943:6:14381330:14388308:1 gene:ORUFI06G15730 transcript:ORUFI06G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGNAGKTALRNPASGPQCDCASPRVPFIPNQTMQLQRRQIYPLITEVSISTLLSLSFSLPTRYQSTNPLRSAPLLRISAPPLPSPAPARMELLCIGTADTKLDELLFLAARLRSTLAATSSAQVQVSLVDVSTTKKVTSQDFKGTTFISRDAVLSCHLGVDQHELPSDRGEAITLMSEALQSFLKRRYESGTLLGAVGLGGSGGTALIAPALRSLPLGVPKLIVSTVASGQTAPYVGTSDLVLFPSVVDICGINSVSRVILSNAAAAVAGMVHGILMESNESDETATKPTIGITMFGVTTTCVNMVKERLSKEGYETLVFHATGVGGKAMEELVKGGFIQGVLDITTTEVADHIVGGVMACDDTRFDAIIDNKIPLVLSVGALDMVNFGARDTIPPDFTGRKIHVHNEQVSLMRTTVEENKKIAEFIADKINKSSSKVIVCLPQKGISAIDAPEMPFYDPEATSTLLDELCSRIEKTDNREVKMLPYHINDPEFANVLVDAFLSMDVKASNTISPENSMVQTNQDVNTKEYCSTQRTSDSSIIWRSPVDFPDARPETLQKTKSVLHKLKQQIVEGTPVIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKGVPVLAGVCATDPFRRMEYFLKQLEAIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMISRAHSMGFLTTPYAFNPEEAAAMAKAGAHIIVAHMGLTTAGSIGAKTAVTLDDSVKRVQAIADAALGINPDIIVLCHGGPISGPQEAEFILKRTNRVHGFYGASSMERLPVEQAITNTMREAD >ORUFI06G15740.1 pep chromosome:OR_W1943:6:14399030:14402384:-1 gene:ORUFI06G15740 transcript:ORUFI06G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLMIEKFAVRFPQPCDAESFLNCVKVYTSGVLQDVVAAKEMTADKVEGAGKEIDITIKRGIMPSIKAGDHLPAKMHSLVLMEEAAVTLANLEIKGQMSWTSSIAVEQTGRLFGSQTTLIPSCCRRSAMVMAEPSAVGSLGVSAICWHTDIVVATRIAAGTTQAVQRRGMPVQG >ORUFI06G15740.2 pep chromosome:OR_W1943:6:14399030:14402384:-1 gene:ORUFI06G15740 transcript:ORUFI06G15740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLMIEKFAVRFPQPCDAESFLNFVAAKEMTADKVEGAGKEIDITIKRGIMPSIKAGDHLPAKMHSLVLMEEAAVTLANLEIKGQMSWTSSIAVEQTGRLFGSQTTLIPSCCRRSAMVMAEPSAVGSLGVSAICWHTDIVVATRIAAGTTQAVQRRGMPVQG >ORUFI06G15740.3 pep chromosome:OR_W1943:6:14398824:14402384:-1 gene:ORUFI06G15740 transcript:ORUFI06G15740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLMIEKFAVRFPQPCDAESFLNCVKVYTSGVLQDGKENTMFCLFVLSLLNSIHDLSRMFDTVVAAKEMTADKVEGAGKEIDITIKRGGRSSACENAQFGVDGGSCCDPRQPGDQRTNELDLQHCCGTNRSPLWFSDNIDTIMLPPFCNGHGRAKRGRVPGCVSYLLAHGHRGGNPDRCRHNAGRATPWYAGAGMKPWSAMPTGSPSEGASTHRLPVSDEQECYPASRRRRVLASPIRPCILLRAGELPDDSDGESSSDDSEC >ORUFI06G15740.4 pep chromosome:OR_W1943:6:14399030:14402384:-1 gene:ORUFI06G15740 transcript:ORUFI06G15740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLMIEKFAVRFPQPCDAESFLNCVKVYTSGVLQDGKENTMFCLFVLSLLNSIHDLSRMFDTVVAAKEMTADKVEGAGKEIDITIKRGIMPSIKAGDHLPAKMHSLVLMEEAAVTLANLEIKGQMSWTSSIAVEQTGRLFGSQTTLIPSCCRRSAMVMAEPSAVGSLGVSAICWHTDIVVATRIAAGTTQAVQRRGMPVQG >ORUFI06G15740.5 pep chromosome:OR_W1943:6:14399030:14402384:-1 gene:ORUFI06G15740 transcript:ORUFI06G15740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVAAKEMTADKVEGAGKEIDITIKRGIMPSIKAGDHLPAKMHSLVLMEEAAVTLANLEIKGQMSWTSSIAVEQTGRLFGSQTTLIPSCCRRSAMVMAEPSAVGSLGVSAICWHTDIVVATRIAAGTTQAVQRRGMPVQG >ORUFI06G15750.1 pep chromosome:OR_W1943:6:14404545:14409006:-1 gene:ORUFI06G15750 transcript:ORUFI06G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRTFLLYQSPNLPSSSILPFPPPIPSHPLPAASCDRSIDRSAPPTRPIRPPTQATSNPSAGGSSPPPPPPLQPSPTVGFSPPQSPPLYRRRSTRHQEGSRTKAGAAYRLRRYLIEHRSECNRSAKVYFMDSFQHGMDADKHIFGERGNMIQLNMCASDGRSVVGQRCQRLGCNNVVEGQTLLCKSHSIGQRCQMLGCPHIVPDGSVLCMSHGGGRPLGEPGSSTVACSKLEISIKYEGESGFRVTQNAGNDLGSAGIYNPDGDVVMCKYQGCSKRAQGNAMYCKIHRGGSKGCMVQGCTKGAHGGTPLCIAHGGGKRCAVTGCPNAACGSSQGLTDRCVRHGGGRRCRFDGCVKGAQGNTDFCIGHGGGRRCKFEGCGKSAQGRSDYCIKHGGGRRCKFQGCATSAKWGMDFCSLHRKSLMSGSNSSHEMLPAPPPKRRAKKTKTAVGPSGLSSDPKSAESVMIKHASNAGHQQQPIHSMKSSPSSGLTASTEGDVAARSHALFGL >ORUFI06G15770.1 pep chromosome:OR_W1943:6:14424578:14428666:-1 gene:ORUFI06G15770 transcript:ORUFI06G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADVRSGALLPARPTPQRRRQKWAVEFARFFRTPRRDPSKPPPPGLRLVARGKLRHHGTWLPAASPAALSISCPSQSFAVPVLTVSIGDVVFVRTDPAPLPRGAFCVHSQFFVASGYMCDTMPNKWEQSGVSLNAFLYGLFTKECSTETMDIRPSGSDYLCEDSSASEYIASSGIHQSFEEPDQPVHRTETPALGYHAEPDEPIHRTEAPALSQRETPSLRHHEAPEEPLLQPLLATNIDTVFSGFPPSFTDMLTQFSCKTEKDAEEPYPVTATDHAPQEVSMLDTSHNGTHSLNLISHLFIWKSLSSFPDQSVFCSVAISTTSANEIDVNRETSDIMTRIKIIVPPQTYISDGAFHDMLFKLERVIDELGGDLSL >ORUFI06G15780.1 pep chromosome:OR_W1943:6:14438220:14440133:-1 gene:ORUFI06G15780 transcript:ORUFI06G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYFCVCSFVSEILETQHHSAICLSGLSGGGKSILFYQKSKIKPVHVVDVPGHAGLKPKLDEVLPQAAGIVFAVDAQDFLSTMQVVAEYLYDILTKATVVKKRIHVLIFCNKTDKVTAHSKEFIKKQLEKEINKLRESRKDISSADTTDEVKLGNPGETFYFSQCQNRVTVAEGAGLTGNVSAVEQFIREYVKA >ORUFI06G15790.1 pep chromosome:OR_W1943:6:14454691:14464972:-1 gene:ORUFI06G15790 transcript:ORUFI06G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKKKLQPLLFDDPDKGGVSSRVPLPEDTCDSYVVSDGGTVNLLSRSLGEYNINEHGFHKRSTGPEESDSGEKAYRCASHDMHIFGPIGNGASSVVQRAVFIPVHRILALKKINIFEKEKRQQILNEMRTLCEACCYIGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIKIKKSIPEPVLAHMLQKVLLGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLAILECATGKFPYNVNEGPANLMLQILDDPSPTPPKDSYSSEFCSFINDCLQKDADARPSCEQLLSHPFIKRYENTTVDLVAYVKSIVDPTERLKQIAEMLAVHYYLLFNGTDGIWHYMKTFYMEESTFSFSGNVYVGQSDIFDTLSNIRKKLKGDRPREKIVHVVEKLHCRAHGETGIAIRVSGSFIVGNQFLICGEGLQAEGMPSLEELSIDIPGKRLNPIYAVMVPRWDSDGSGLLKVADEDYTIHVSRHLTFSK >ORUFI06G15790.2 pep chromosome:OR_W1943:6:14454691:14464972:-1 gene:ORUFI06G15790 transcript:ORUFI06G15790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKKKLQPLLFDDPDKGGVSSRVPLPEDTCDSYVVSDGGTVNLLSRSLGEYNINEHGFHKRSTGPEESDSGEKAYRCASHDMHIFGPIGNGASSVVQRAVFIPVHRILALKKINIFEKEKRQQILNEMRTLCEACCYIGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIKIKKSIPEPVLAHMLQKVLLGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGTVTYMSPERIRNENYSYAADIWSLGLAILECATGKFPYNVNEGPANLMLQILDDPSPTPPKDSYSSEFCSFINDCLQKDADARPSCEQLLSHPFIKRYENTTVDLVAYVKSIVDPTERLKQIAEMLAVHYYLLFNGTDGIWHYMKTFYMEESTFSFSGNVYVGQSDIFDTLSNIRKKLKGDRPREKIVHVVEKLHCRAHGETGIAIRVSGSFIVGNQFLICGEGLQAEGMPSLEELSIDIPGKRLNPIYAVMVPRWDSDGSGLLKVADEDYTIHVSRHLTFSK >ORUFI06G15790.3 pep chromosome:OR_W1943:6:14454691:14464972:-1 gene:ORUFI06G15790 transcript:ORUFI06G15790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKKKLQPLLFDDPDKGGVSSRVPLPEDTCDSYVYNINEHGFHKRSTGPEESDSGEKAYRCASHDMHIFGPIGNGASSVVQRAVFIPVHRILALKKINIFEKEKRQQILNEMRTLCEACCYIGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIKIKKSIPEPVLAHMLQKVLLGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLAILECATGKFPYNVNEGPANLMLQILDDPSPTPPKDSYSSEFCSFINDCLQKDADARPSCEQLLSHPFIKRYENTTVDLVAYVKSIVDPTERLKQIAEMLAVHYYLLFNGTDGIWHYMKTFYMEESTFSFSGNVYVGQSDIFDTLSNIRKKLKGDRPREKIVHVVEKLHCRAHGETGIAIRVSGSFIVGNQFLICGEGLQAEGMPSLEELSIDIPGKRLNPIYAVMVPRWDSDGSGLLKVADEDYTIHVSRHLTFSK >ORUFI06G15800.1 pep chromosome:OR_W1943:6:14486274:14487410:1 gene:ORUFI06G15800 transcript:ORUFI06G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSDKYGWRLLITDVALSVGSRPFSADLPPQAMASRSAPQKTRGRPMVNPDLNRYREVDSGAADKKRCDG >ORUFI06G15810.1 pep chromosome:OR_W1943:6:14487412:14487927:1 gene:ORUFI06G15810 transcript:ORUFI06G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATATARAHNPLRRMEGVGFKSALRASPRASALAAAALLVPLGAALLVSAGAVLLATLAGLALAAPPLVLFSPVLTPAAAAAVMAAAGLLAAGALGVAGVSALAWTVGYIRRGGARGSGGGGVAGMIVQPLDNGKRHGAGGAAFVGHRLRDAGDDDAARDKAQEAARA >ORUFI06G15820.1 pep chromosome:OR_W1943:6:14493523:14493885:1 gene:ORUFI06G15820 transcript:ORUFI06G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHQGRYPAPPSAFPYSGLECSGTILGLGPNVCALLSGGKYAEKVVVLVEQLLSVPDGVSLTDAAGLPEVACTIWSTAWRIVLVR >ORUFI06G15830.1 pep chromosome:OR_W1943:6:14509287:14518436:-1 gene:ORUFI06G15830 transcript:ORUFI06G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSPSPRLDEEDAFGRDFNSSPSPTAPPARSGEKRPFGDLDDDDEDVFASKKGKTKVEESAPGAATGMILSLRERWNGRLPNQKFKSGIQHFRTFQLYLLEPIQSSEESLKEQLEKAKKREAAFIVTFAKREQEIAELKSAVRDLKTQLRPPSMQTRRLLLDPAIHEEFTRLKNLVEEKEKKIKELQDNVAAVNFTPSSKHGKMLMAKCRTLQEENEEIGAMASEGKIHELGMKIAVLKTRNNELRNQFNELYKHMDGLTNDEMLAQKEATDEDKIPQENDVAGDDIDAAAESQPIKVET >ORUFI06G15830.2 pep chromosome:OR_W1943:6:14512361:14518436:-1 gene:ORUFI06G15830 transcript:ORUFI06G15830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSPSPRLDEEDAFGRDFNSSPSPTAPPARSGEKRPFGDLDDDDEDVFASKKGKTKVEESAPGAATGMILSLRERWNGRLPNQKFKSGIQHFRTFQLYLLEPIQSSEESLKEQLEKAKKREAAFIVTFAKREQEIAELKSAVRDLKTQLRPPSMQTRRLLLDPAIHEEFTRLKNLVEEKEKKIKELQDNVAAVNFTPSSKHGKMLMAKCRTLQEENEEIGAMASEGKIHELGMKIAVLKTRNNELRNQFNDHGDQPVRTLGLAGGDLSLCPLLCWLVLVVPVPICRCQPWRQQPSE >ORUFI06G15840.1 pep chromosome:OR_W1943:6:14523843:14534917:1 gene:ORUFI06G15840 transcript:ORUFI06G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFCFARCRFTRLVVAMQLVMGVLVICISMASLHRFYTTDALLPGGLDDPARCARFHGAVAGGYSGFDIRALADRVDEVLVQLAELQDKLEATALKIGKKTKKRKGKGKLQQQENMTMTEFQRFLEDEVIHPLYGAHIALRLIRIPRPDPDGGAPAVDPLVNFFAAEETRKAYTTQGIVAYIYVELVDIEEIVAEANMDEVGSDFEH >ORUFI06G15850.1 pep chromosome:OR_W1943:6:14537870:14541757:-1 gene:ORUFI06G15850 transcript:ORUFI06G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFHFVPLLLLLTLLLLISSASSTAALPSMRSSSEDTASNATAAARLRPGKELLKYKRIRALLKKLNKPSLKTIQSPDGDLIDCVPSHLQPAFDHPKLKGQKLLDPPERPKNYNLTIAVSSSSSSRVGEVVVQAWHAAGEECPEGTVAIRRTTEKDLLRASSLRRYGRKPARRNIRRDSTSNGHEHAVGYVNNDNYYGAKASVNVWSPRIGDPSEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQETGCYNHNCRGFVQTTNKIAIGAAITPESVYNGRQFDITLMLWKDPKHGHWWLELGPGMVVGYWPSYLFTHLAHHGNMVQFGGEVVNTRPSGSHTATQMGSGHFPGEGFDRAAYFRNLQVVDWDNSLIPAANLKLLADHPACYDIQGGSNSYWGSYFYYGGPGRNVKCP >ORUFI06G15860.1 pep chromosome:OR_W1943:6:14545425:14546753:1 gene:ORUFI06G15860 transcript:ORUFI06G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGMPWPGDEGDQNVWALKWNERAYFSTRKVKLDHDYLSMTVLVQEIVNADYAFVIYTTNPSSGDSSEIYAEVVKGLGETLVGHVPMDEEDEVVLDYTTDPLITDQGSKNQSSRALHWLVMPLRISMGHHRAQDVEGAVKEGKL >ORUFI06G15870.1 pep chromosome:OR_W1943:6:14546984:14549640:1 gene:ORUFI06G15870 transcript:ORUFI06G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFFSLCSSTHYYHLQLRNGSVQYELIRPIKYRPRSIIRCCSTTRGKAREDYYQVLGVTVNSTPQEIKEAYRKLQKRHHPDIAGYKGHDYTLLLNEAYKVLMRNSPRNAGASGRGFGRGFTGNGECVHHAGETFAMDDVLGSAHVEVQFGDQEQKIQVAVESCPVNCIHWVMSEELAVLEFLARPQQKEAHGVFGGGWERPRDVFAAANNFTKRLQREEQQDMARQQRYNNGKKK >ORUFI06G15880.1 pep chromosome:OR_W1943:6:14567605:14580233:-1 gene:ORUFI06G15880 transcript:ORUFI06G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSTNQKHPYPRGGLGLCAGRPPSPHDAGAVLPARRRPFSSPSDADSARRRRRSPLLVPGRRRTCYSPPDAGAADAALFLPDRRRPAPPSPEPDAGTSHPAHAARRRPCSDEGVRSPPNAGLTDYVDLCDDEEIIVEEPGPHGQVHAADMHVELVDLTTEGDGVEDQNIPGKDDAVLCTTLHSPNFIAAHGQGETAHRIVTLCKQGFIAVVDDAEEAMQSGNRELSAANDGKGEAMQSADQGIVVAGDCTEEVMMSGNQDFASAVADAEETMQSGTQEFVAEGDHSRDAMQFGNAGQASTCSSMSEQAAFLCSRPMSIASPFPRQFWKAGEYSVAAQPTINSDQNHLRIHPKFLHSNATSHKWAFGAIAELLDNAVDEVNNGATFVKIDKIKCSLIDEYSLVIQDDGGGMSPESLRHCMSFGFSKKSGNSSIGQYGNGFKTSTMRLGADVIVFSCTQDNRRLTRSIGLLSYTFLTKTGCNDILVPVVDYEFDESSHTLKKIMDRGEKHFSSNLSTLLKWSPFTTEDDLLNQFGDMGCHGTKLIVFNLWFNDAWEMELDFASDEEDIMISGAPAMPDGKKTVGRLNHMHVANRFRYSLRLPKHFKVILCGQVVEPHHIVNDLIYCECIKYRPQVGINIEVDVITTIGYLRGAPKLDIHGFNVYHKNRLILPFWCAHPDKSHSKGIAGVLEANFIRPTHDKQDFEKTGLFHRLETRLKEMTLEYWKHHAHLVGYARVTKALPPAHYASTVARDDSLAAQASTVAYDDNSRARESVLFDMSSNGESSKRRNSCSVIHWRAQKRQHINDYANQPPDVNAVQMQDERIRHLICQKKVLKDECSKLEASEQQLLCKADRLRNELLEWHEMYKKLTDEVKFYDGLYALQRCNHSSFPRYQGSDAGCLTRP >ORUFI06G15880.2 pep chromosome:OR_W1943:6:14567605:14580233:-1 gene:ORUFI06G15880 transcript:ORUFI06G15880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSTNQKHPYPRGGLGLCAGRPPSPHDAGAVLPARRRPFSSPSDADSARRRRRSPLLVPGRRRTCYSPPDAGAADAALFLPDRRRPAPPSPEPDAGTSHPAHAARRRPCSDEGVRSPPNAGLTDYVDLCDDEEIIVEEPGPHGQVHAADMHVELVDLTTEGDGVEDQNIPGKDDAVLCTTLHSPNFIAAHGQGETAHRIVTLCKQGFIAVVDDAEEAMQSGNRELSAANDGKGEAMQSADQGIVVAGDCTEEVMMSGNQDFASAVADAEETMQSGTQEFVAEGDHSRDAMQFGNAGQASTCSSMSEQGAITYSSMTEQIATASSSMTGQWSREAAAFLCSRPMSIASPFPRQFWKAGEYSVAAQPTINSDQNHLRIHPKFLHSNATSHKWAFGAIAELLDNAVDEVNNGATFVKIDKIKCSLIDEYSLVIQDDGGGMSPESLRHCMSFGFSKKSGNSSIGQYGNGFKTSTMRLGADVIVFSCTQDNRRLTRSIGLLSYTFLTKTGCNDILVPVVDYEFDESSHTLKKIMDRGEKHFSSNLSTLLKWSPFTTEDDLLNQFGDMGCHGTKLIVFNLWFNDAWEMELDFASDEEDIMISGAPAMPDGKKTVGRLNHMHVANRFRYSLRLPKHFKVILCGQVVEPHHIVNDLIYCECIKYRPQVGINIEVDVITTIGYLRGAPKLDIHGFNVYHKNRLILPFWCAHPDKSHSKGIAGVLEANFIRPTHDKQDFEKTGLFHRLETRLKEMTLEYWKHHAHLVGYARVTKALPPAHYASTVARDDSLAAQASTVAYDDNSRARESVLFDMSSNGESSKRRNSCSVIHWRAQKRQHINDYANQPPDVNAVQMQDERIRHLICQKKVLKDECSKLEASEQQLLCKADRLRNELLEWHEMYKKLTDEVKFYDGLYALQRCNHSSFPRYQGSDAGCLTRP >ORUFI06G15890.1 pep chromosome:OR_W1943:6:14598524:14603380:-1 gene:ORUFI06G15890 transcript:ORUFI06G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQSKTPAPEPSTTKMPSAPPAVKGVAKTLAQHHKAVIGFLLGFFLVLLLYTFLSGQLVSSEDAIVRAVTQQSTPAVHIDQDGRTTSPTSPTSTSSNTTQDNLEGKNTERSSQPAVNDEASDKMEEDLIRQDIDQAGTKNGTNHKPGAPRKPICDLLDPRYDICEISGDARTMGTNRTILYVPPVGERGLADDSHEWSIRDQSRKYLEYINKVTVRSLDAQAAPGCTSRHAVPAVVFAMNGLTSNPWHDFSDVLIPLFITTRVYEGEVQFLVSDLQPWFVDKYRLILTNLSRYDIVDFNQDSGVRCYPKITVGLRSHRDLGIDPARTPRNYTMLDFRLYIREVYSLPPAGVDIPFKESSMQRRPRAMLINRGRTRKFVNFQEIAAAVVAAGFEVVPVEPRRDLSIEEFSRVVDSCDVLMGAHGAGLTNFFFLRTNAVMLQVVPWGHMEHPSMVFYGGPAREMRLRDVEYSIAAEESTLYDKYGKDHPAIRDPESIHKQGWQFGMKYYWIEQDIKLNVTRFAPTLQQVLQMLRG >ORUFI06G15900.1 pep chromosome:OR_W1943:6:14628682:14629884:-1 gene:ORUFI06G15900 transcript:ORUFI06G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTCLSSAHAIRRSQRPSSSSAAAVPPSSAGGLQVATIAHARCPHPPPVEDAEEEEEGRRRLRSFTSDTTDNPELVCVIEVYIPHERNSGQSENPDATSMQMRIILTTMYIETFIVQSIGTNPCSWCRCFATGHTINFFLPKYAFFFMFRGLRLLF >ORUFI06G15910.1 pep chromosome:OR_W1943:6:14630813:14634722:1 gene:ORUFI06G15910 transcript:ORUFI06G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEYPGPSDVRSQVPTLGVDRSHLMQGSGVHPHDDVQRGGLQQLGAGDGEEEIQRASQPERIALHERCWLSTGDSTGRRVDGWNIWVGVDNDVTGTIVVIKK >ORUFI06G15920.1 pep chromosome:OR_W1943:6:14635048:14635473:1 gene:ORUFI06G15920 transcript:ORUFI06G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGADFGKELSKLPDGSPASPSIHGDSSGSHSPERLTPSPVMREVDLPPEFRVRGPPTPDWPPPPIESDEERFQEDLEQYYNDGYVSTPCPSPASDLCDSEENLEDEVRKMIIGGMGMCCLRLINKGYSVAAEQAQEGLG >ORUFI06G15930.1 pep chromosome:OR_W1943:6:14636058:14645550:-1 gene:ORUFI06G15930 transcript:ORUFI06G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKGKMPEKVRSVKFIGSQSQPSEGPTTRSKRARADGGSQQGAAKKRGRKNQQDRAVKHAALVERQSNPSLHAAARSFANDACRALSPIHRQALAALGLGELAKMTLNGLEQPDLTCWLMDRTDPKLMTIDISENKKIVITPWKMLLPSTGLYIRPKDAWIGSDLQKVARINWSKGVFDAVRDSLHKNKTGPRQQTYIRCCVAFLVLLYIDNLKVPKDSLIVDRYQTPHIQLYTKQLVEDISQEDSVIDSSENYVFGNLPMSGILGSCYSHPDYDKEKEPRGDNSGTPFADELVSAVEISFPSMFDTVGPHLSGLQDEHKQRVLDALGEYDRQSKLSADAIAKQIRLVMRVSVTISGESRTQPPLGPQQQPASHSQPDSQHGPVASPTSEEAQDQHIHNTPDISPTNSPPPQPCRIITPDATFNPTPQITSTEPHPHLPGELFPTMDKTASADETQALTPQPDADFQSGYDVGIPLQGIIDITMTFEGTYTTQSHTADGIEGHHDLPDVDNEHGIETVISMQGNTAFNMTTEGTDTAKSPSAYQIDGHHHHPDADPYAPAVEPELPEFGVPNTILALTAYVQDETAEHNTQGDSSHNDDDNLSLSLPPDELLTDSQLAAKIDQICILEGASHDSTEVNKEADYAARQHASPVKYCVKSASPMKHCVKRAARYVPPSSQSVPKDDNVAVQLLDLILSDPTQFGSPPLVEVDCYSANATDIAASFKVGSMTEGIFIDAFASLLFKDEMRDSPETFGKKIFIPTSVTVLHDLFLELAVHYLTFLQGLLNIENVTRVGSKDNFSPRALAEHLSDCLKGVDLSKAEQLLLPIINNDHCTLYIVYLNQGSFDILDSNDYDQIGGKQSQHHYPLAQKVLKRLSDGFQSFMPKVFKKFGNYHREFVKCPKMVPCSNDCAVYVMRSMERYQGNPDKLADDFQPPDSRVLRAQILHQLIFHRFNLAPCIHPAIEGLRPLDDGEGSSHY >ORUFI06G15940.1 pep chromosome:OR_W1943:6:14648881:14673519:1 gene:ORUFI06G15940 transcript:ORUFI06G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIPASPATLQPCKKNVRGSMLIRSPPRPWRRRLPQHVVIADASSSSRGPKAATGGRLETSTDAAAVAGEDGDVIRRLQNGPDVRGVALEGENGRAVDLTPLAVEVIAESFGEWLREELQQLESGRDGGEVRVSVGRDPRLSGARLGAALFAGLARAGCSVFDVGLATTPACFMSTKLPRFSYDASIMMTASHLPYTRNGLKFFMKRGGLTSGEVEGVCDRAARKYVARKMGLGGGRGMPPVVMRVDLMSAYAQHLRNIIMERVIVNAGNGCGGFFTWDVLEKLGADTTGSLHLEPDGKFPHHMPNPEDTTAMSLTRDAVLDHGADLGVVFDTDVDRSGVVDATGAAINGDRLIALMSAIVLDEHPGTTVVTDARTSDGLTRFIQARGGHHCLYRVGYRNVIDKGVQLNADGVETHLMMETTGHGALKENNFLDDGAYMVVKIIIEMVRMRLVGLEGSVGTLIMDLEEPAESKLMRMNILGEAKYAKQRGTQAVETFRNHIQEGKLNGWVLDDCGDCSVSQGCLVDTNDDPFDVDAYMYSSSILTLSSLKHASVARAVSMSTHARGRQGWLGYKGELGWVHIRQSVHNPNIAINMQSSIPGGCKSMAKDLLDRYLLTSGVNEFVDITEVQKFVK >ORUFI06G15940.2 pep chromosome:OR_W1943:6:14648881:14673519:1 gene:ORUFI06G15940 transcript:ORUFI06G15940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIPASPATLQPCKKNVRGSMLIRSPPRPWRRRLPQHVVIADASSSSRGPKAATGGRLETSTDAAAVAGEDGDVIRRLQNGPDVRGVALEGENGRAVDLTPLAVEVIAESFGEWLREELQQLESGRDGGEVRVSVGRDPRLSGARLGAALFAGLARAGCSVFDVGLATTPACFMSTKLPRFSYDASIMMTASHLPYTRNGLKFFMKRGGLTSGEVEGVCDRAARKYVARKMGLGGGRGMPPVVMRVDLMSAYAQHLRNIIMERVIVNAGNGCGGFFTWDVLEKLGADTTGSLHLEPDGKFPHHMPNPEDTTAMSLTRDAVLDHGADLGVVFDTDVDRSGVVDATGAAINGDRLIALMSAIVLDEHPGTTVVTDARTSDGLTRFIQARGGHHCLYRVGYRNVIDKGVQLNADGVETHLMMETTGHGALKENNFLDDGAYMVVKIIIEMVRMRLVGLEGSVGTLIMDLEEPAESKLMRMNILGEAKYAKQRGTQAVETFRNHIQEGKLNGWVLDDCGDCSVSQGCLVDTNDDPFDVDAYMYRAKFFDEYKGELGWVHIRQSVHNPNIAINMQSSIPGGCKSMAKDLLDRYLLTSGVNEFVDITEVQKFVK >ORUFI06G15940.3 pep chromosome:OR_W1943:6:14649065:14649516:1 gene:ORUFI06G15940 transcript:ORUFI06G15940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVRNSALAALEGGVAGNKSVAGAGAAPGGAQAPREERVLLREETRGVVACEGAKLVERHERARHWAAWMEADDMEQVGLSYSGAMHGGEEAATELQVGGAVRPWLLILLAHEAALRRLRVAAGAVAPPPRSVIGEPASCSID >ORUFI06G15950.1 pep chromosome:OR_W1943:6:14679961:14680695:1 gene:ORUFI06G15950 transcript:ORUFI06G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGDDAWWFIGRSATTAWWFIGRSSSSLLVYENFINHGRGFRASGRNLEANRPTMAAAHTDPRSTMVSSQSITATTSGRNAYCTMSMVI >ORUFI06G15960.1 pep chromosome:OR_W1943:6:14685278:14695525:-1 gene:ORUFI06G15960 transcript:ORUFI06G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPISARNLNSNFMLSCTRCLDKGHIASDCSEPVRCHSCLEAGHMARFCATRPAKAHQPVGKFPKLSSRNNLQQAAEAEIEDAWGQDHPMGQVEENLGQLIILPNQKEQELNLFEQELKDIADSEGPKHPSFYPMAGLQEKIDHLCKAKEIMQASQIPSPTSLPYNSPFMTLPSSPEVTPAVLQIPMAPVKKRDGKTVLYNPARRQSSRLLNANQELKFDHQMGIGKPRGKSAKKLKELADSSPSDCSLSLLQKMGVDLCGLNPEDVAESSLGGEKRKKLPRPNMDD >ORUFI06G15970.1 pep chromosome:OR_W1943:6:14721312:14723620:-1 gene:ORUFI06G15970 transcript:ORUFI06G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVMTFRQVASLKCHPHARATRCFAAALGVSERGDCSSGGGGAVMSYSWVRARGDCGSGWNGDDDEIDLGRHREQEPTHLDPLSLTLDPLCLTDLKNDPRAHWSQHSFIPEHPVSGTHDLAQPPLHQTLPAPAQPRLQQDGESHSFPSIPYNG >ORUFI06G15970.2 pep chromosome:OR_W1943:6:14721387:14723620:-1 gene:ORUFI06G15970 transcript:ORUFI06G15970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVMTFRQVASLKCHPHARATRCFAAALGVSERGDCSSGGGGAVMSYSWVRARGDCGSGWNGDDDEIDLGRHREQEPTHLDPLSLTLDPLCLTDLKNDPRAHWSQHSFIPEHPVSGTHDLAQPPLHQTLPAPAQPRLQRIADL >ORUFI06G15980.1 pep chromosome:OR_W1943:6:14726422:14726898:-1 gene:ORUFI06G15980 transcript:ORUFI06G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGGGRRGARRRGGRAVCVGAEWRPDPPPPCVLPTVAHHSRTLRYPCKIHARSARVGRGAAAGCAASSPPTRSHPLPLHPAVPCWICRCRARHQSVMEVEPPRLQPAFARRFRAHNRVEPDPPMSHPSPLDSRGGAVAPPARQRCARSRPSPPCP >ORUFI06G15990.1 pep chromosome:OR_W1943:6:14756442:14757378:1 gene:ORUFI06G15990 transcript:ORUFI06G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGGVFAPKFITSGNSAPLVSVDLPRSNFQHTTSSVALALRAANGDSPADLDVQSLNDRSFSFVSHEKDREWTLVPSKKRSVSASMQRPDCSYAQIVISAIPVKSAFQRLQFSLGSKNSSHVSVDRPVSDSKVRIERDNRDPKLLTVGTVCARAIEPIFVMGLFIVGHAGHAARFCTEKSNNTTRINSVDAVRKSRSNGALVWRVKQKPAAKSDETPRILSSADTVLTFVETSPSSSMANLNPNPLRFLCQGHLV >ORUFI06G16000.1 pep chromosome:OR_W1943:6:14791125:14791471:1 gene:ORUFI06G16000 transcript:ORUFI06G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGRLPTRTRPPPLFLWCLLVIQNMSRYMRGEVPVLHDDTAEAGEDGVVEGARVHGEDAGHGAIDAATTASLRCEVRVDERQGKR >ORUFI06G16010.1 pep chromosome:OR_W1943:6:14794333:14795637:1 gene:ORUFI06G16010 transcript:ORUFI06G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPLLRFFIVTVLLSSVAAWVAAATTLHERDAAALRDVRAGLRDLPGSRFFESWDDATDPCDYAGVVCEPDEDDPAALRVSVLTLGTGLTDSPGLAGTLPASLASLTALTDFVLYPGRVAGAIPADIGSGLRRLRLLSMSGNQLTGQIPESLAGLPDLHTLDLGNNHLDGSIPSGLLLPSSQSLKVLILANNGGLSGQIPDQFSSSQLFHVDLSRNSITGSLPPLAQTVRYFSVAANSMQGSLDGAFGNGSAPTDLAFLDLSMNNFSGSIPRELFALPSASSLLLSRNNFTGSLAVPAFASERAATPPWSVVDVSHNGITGEVPEELAAVESLYVNNNRMYGEVPEAVARSVFAGRMTTFYAQHNFLTGFPVPPLPLPDSAALCLSYNCMELPSASAANGCPTIGGPMESRPADQCRSSTAANAGSSGGDG >ORUFI06G16020.1 pep chromosome:OR_W1943:6:14822501:14824440:1 gene:ORUFI06G16020 transcript:ORUFI06G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRPRSLNASTAGSFPFLLVLVVTSSFLSGRCLATTDSHHRRPPAGRHGPPRPVSPPSPPPPPATTFSVLQYGAVGDGDKDDTKASAECRHTHIHSRLILHTLIVMCKFMAFVDAWSAACAVRSSTVVVPAGYRFVVGPVTFTGDSCQPNTVFQLDGTIVANTDSGAWCSGNAVQQWLEFRSCTGLTIQGSGTVDGQGSHWWSGGAPATDIDADRVGTNNRPTALRVYESTNVAVTGITIQNSARFHLTFDTCRAVEVRGVAIRSPGDSPNTDGIHLAGSVGVSIQNATVACGDDCVSIQDGCSRVLVRGVTCGPGHGISIGGLGKGGAMAVVSDVTVQDVSLVGTSAGVRIKTWQGGSGSVRGVLFSGVRVSAVKTPIVIDQYYCDHATCANQTAAVAVSGVAYSGITGTYTQRPVYLACSDAAPCAGLRLEDIKLAPVKDGGYGRLYGPFCWKAYGDEVRPVVPPVDCLMAGEP >ORUFI06G16030.1 pep chromosome:OR_W1943:6:14828138:14829005:1 gene:ORUFI06G16030 transcript:ORUFI06G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVDEEERGGGGLGGVDAALAFGLDARLWAVESEHARVVNPEQRWRARSTGWLGKKGWETDFKGRGGGPDRVREGAGPGRKMTEERELGYGKGKVVQRNRDLSQFFWGISEMDFWRVFDGIVNGLWDYNFVETLYVELE >ORUFI06G16040.1 pep chromosome:OR_W1943:6:14839366:14839521:-1 gene:ORUFI06G16040 transcript:ORUFI06G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAGTVATDDCGCGIGRREARWLDLYRLAAAGATSADPARHGCGRGNDK >ORUFI06G16050.1 pep chromosome:OR_W1943:6:14842790:14843428:1 gene:ORUFI06G16050 transcript:ORUFI06G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYGTSRYPPYSGAVERAGKRPPADEDGRGSFGPVLVVLAVISFLAVSACIAGRLCGRRPSKSSSSRGEQMRGGGTTAAHADAEKGFGVMQNPAAAAVMRPVPSSRATVHDVDDDVFEIKLCAPVKPPTAAGRQGGSGGDGGGGSAPQPRPPPAVPLGVPRQYAAAAAAAAAAGFRRAPPASGGAAVRLTHPQVLGRGNGGAPFAHGKQSR >ORUFI06G16060.1 pep chromosome:OR_W1943:6:14846319:14847089:-1 gene:ORUFI06G16060 transcript:ORUFI06G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMSIAGFVQSCSFQQLDSSKDVDKDSLVRKQALYILRISLDIFSSSENDSAQQCSRRRSAALPAQDKSNTAMTKREMNQSDENCSSGKDRWKVFLIHVGGNTGEALGRGAHGGARPGRRRQGAVAGGGARRGGVALGAGWWRLAAECFSCSG >ORUFI06G16070.1 pep chromosome:OR_W1943:6:14855531:14858463:-1 gene:ORUFI06G16070 transcript:ORUFI06G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPPLSFLLVRRRSPEASAASTWAAAEVSGRRSGGYLPASKALRRRAGGIARQRPTPADQVGREAPPSVPAPVSTRAASPVSCCCYLCCRILAALHRWTSGMADGSFLDRMVSQLRSTCRYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTQHLDKVLEEAAATFHPHVKFVRVECPKYPGFCLTRQKNEYPFIEVFYNPEQAASPGKVVDPNVTKYSVKVLPFNYDQSMYGFREYFKKHGFKYFETN >ORUFI06G16070.2 pep chromosome:OR_W1943:6:14855533:14858463:-1 gene:ORUFI06G16070 transcript:ORUFI06G16070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPPLSFLLVRRRSPEASAASTWAAAEVSGRRSGGYLPASKALRRRAGGIARQRPTPADQVGREAPPSVPAPVSTRAASPVSCCCYLCCRILAALHRWTSGMADGSFLDRMVSQLRSTCRYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTQHLDKVLEEAAATFHPHVKFVRVECPKYPGFCLTRQKNEYPFIEVFYNPEQAASPGKVVDPNVTKYSVKVLPFNYDQSMYGFREYFKKHGFKYFETN >ORUFI06G16080.1 pep chromosome:OR_W1943:6:14868937:14869134:-1 gene:ORUFI06G16080 transcript:ORUFI06G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGVGWLTPWLDERPKGYLFKQLLLPAGEFHKWVDWELPCYLTVVILGIRLNAKPNLTLQTWPH >ORUFI06G16090.1 pep chromosome:OR_W1943:6:14874979:14876279:1 gene:ORUFI06G16090 transcript:ORUFI06G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQLGPRRASPLHVIGRLCGGRSAIDQGWSCWCQGQRRRCTVIGINLGTTYSWVGVYRNGIVEIIANDQGNHITPWWWYSEPHVHVEVKDSDVRVLTVEPRGLPRREGEGHHSPALSSTCWPTSTMRSAWQATKDVGVIAGLTIDRIINEPTAGAIAYDIDKKGTEKSVLIFDLGGNTFDISIIAIDNGVFKVLASGHKWRHPPRRRGLRPARHGPFHQAHQAERRRRASAGEALPRLRARQARAQQLAPVWTSQSRSPGAWFEGLNNDLFRKTMLLANKATADLFRKTMLLANKAMADLFRKTMLLANKAMADLFRKTMLLVKKAMADAWLSKGDIDEIVSSAAAGSSYSRTTSAARSPTVA >ORUFI06G16100.1 pep chromosome:OR_W1943:6:14887062:14888305:-1 gene:ORUFI06G16100 transcript:ORUFI06G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVPPILLGELLRLAHHPVDLLWLRRRLSLEIVIFSDLPVALSAAYTLRMLFTSMSTVTSICGSPLNANTMPVKSNLPSSRLSLVMLCSSSNTWMVVNTCVFFVYTTVLHGINLAITPAGRLEAKHQRCDIKDDHASSHSYKICQSPRLDSRFVTLRTQDPSKSSSLSDLPAEVLPEASRLYSEVLATPAPLLPSSKSQHEQLPLKIRPLADRLNFPAEQLGSSTMKQRFNLVEVTDPAVVSQGAGTKDLRILKSGRRVQMSAQIPPVSQQLKGSFDVDDSQWKEVKAIVGGRDLLSPLRTPTNFNYR >ORUFI06G16110.1 pep chromosome:OR_W1943:6:14890833:14898233:-1 gene:ORUFI06G16110 transcript:ORUFI06G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVYVEASRPHAVNTAWAMLALIYAGQVLIVYYIIYGLPCVISCLTDKQVEIDPTPLYHAAQELINMQIETGEFPQQEHVGCFNCSFSFNYSNYRNLFPIWALGEFHRRLVLRKS >ORUFI06G16120.1 pep chromosome:OR_W1943:6:14899628:14900089:-1 gene:ORUFI06G16120 transcript:ORUFI06G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSELNPVYRKEEIGNCIIKSSKFIENKQRKDGSWFVVLVCCCLFGTWGICFTYGTFFAVKGLAAAGRTYENSSSIRKACNFILSKQLSTGGWGETYLSSETEF >ORUFI06G16130.1 pep chromosome:OR_W1943:6:14900219:14921379:-1 gene:ORUFI06G16130 transcript:ORUFI06G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVAEGGAPGLRSCNGFLGRAVWEFDPNAGTPEERAEVERMRREFTLHRFERREAQDLLMRMQYAKQNRLQVDVPASKLVDSTQVTEQIILASLRRALTQHSSLQAHDGHWPGDFSGIMFIMPILIFALYITGSLDAVLSSEHRRRFWCFCRLVYMPMAYLYGKKFVGAITPTILEIREELYSVPYNEINWKNARNNCAKEDLRYPRSFVQNVIWTGLNKVVEPILSLWPFNTLRHAALNNLLKHIRYEDESTKYIGICPINKALDMICCWIDNPNSDAFKLHLPRIYDYLWLAEDGMKAQVYDGCQSWETAFIVQAYCSTYLVNEFSQTLTKAHEFIKKSQVLENHPDYEAYYRHRSKGSWTLSTADNGWCVSDCTAEALKALLMLSKISQDLVGDPIDGERLYDAVDGMLSFMNEDGTFSTYECKRSTPWLEVSTIKSYFLFKVLNPSESFLNIVVDYP >ORUFI06G16140.1 pep chromosome:OR_W1943:6:14915479:14915658:1 gene:ORUFI06G16140 transcript:ORUFI06G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSCPVAEGDDEPGAGGSGLSGGGQYSVHIRHFSRLLLKAAVIDVGAPSSPVADINH >ORUFI06G16150.1 pep chromosome:OR_W1943:6:14926528:14932175:-1 gene:ORUFI06G16150 transcript:ORUFI06G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLWAVNHFQSKAQASKSGAHXRSSTREEIEQRTTEPVEGLLGGDGGGGDLEPGLADGGVRTEAGGGRAEYQSLKAAVELWMPEIQKNVEDLQFLVGDEQSKVTLTTCSTECPNGSSPSRTARSIYDDEGSTPMIILELGDGEDKIHDPYIIAKDSLEVTPTICSMKCSIPDTESNLTMVAEVTYTSTATVSMELVAAQEAIDATYSDTSDHSKVMHTKCLMVVLDAIGDTVHKIFWSVMIKSMRHVPSISSELDDIQGKSTMIFIDVTIPEGCNPKKSGFATTETIFNSFSELLDVHLTTIEMLVSKRSQEIRCWQGALELQVSVLFWLLARNINPPKFEVQVLEFLLRVLIGSLSEKYSGNTIDLELSRSLQSGTHILDLYSAEEHISDNFLNVIMWCSVPIKNLHKQWDPGGSGETLHQLGDKPKFKERRLLGTQMGCLWAVNHFQSKAQASKSGAHINSRSSTREEIEQRTTEPVEGLLGGDGGGGDLEPGLGFGERCGDESDGKGDESGDDLGGVCSRALDGKAAGDDLGCIRGQRWTAADVEDLGSVGVCA >ORUFI06G16160.1 pep chromosome:OR_W1943:6:14961016:14968556:-1 gene:ORUFI06G16160 transcript:ORUFI06G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPTPSTGGPGFRFTQEEVAEMESLLRHLNNGIPDGSLIQSLADRFTASAARAGKVSVRSKQNRKYSQRSRNSTKMLPAASGDHKSAFARSSVQKSVKNSLEGGQLEFEAKSVRDGAWYDVAAFLSHRLSQSGELYGFDFLDLELGTTNGLMSVHVCGNVLIHEGKHQALYFDAHVLDAQKRRHDARGCRCRFLVCYDHDDSEEIVPLRKMCRRPETDYRLEILHAAGAANAAGAANAAKEAVVDSVIA >ORUFI06G16170.1 pep chromosome:OR_W1943:6:14974606:14975037:1 gene:ORUFI06G16170 transcript:ORUFI06G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVHKNQILEYAGPGAGASTWAAAALGGVALNQGNGGEVVPLGAGAGGAGRRGPAAALGGGAASSGAAALGGGAASAAALGGVELGRWPSLVRRTAGVDPALGAHEEAERSIDIEEDFSSFHLTVGPGAKQSTKFEKKYKWD >ORUFI06G16180.1 pep chromosome:OR_W1943:6:14996686:14997330:1 gene:ORUFI06G16180 transcript:ORUFI06G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLSATFAPAATTTATPLPAPQQQLRHGRRCYRALSLRPPRAASGDDGAGGEVEPTAPAAPAKTATATDGGGDDFEERVLRIKSRVGPKKRGSAAARKRKGGAGASSKSKAAVTLPPVPLREARSSVGVPVEFGFSAYSERLNGALAAVGLAALLLVELGSGKALVKYHQPATLFLQVYTVAAAGAVFVKYEKERISVWPGPPATKPPATGE >ORUFI06G16190.1 pep chromosome:OR_W1943:6:15001407:15005217:1 gene:ORUFI06G16190 transcript:ORUFI06G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMRSAAAAPCSLAAMLFRRFAYTSYSSSCGPIRRHAAVALSSTTTTTTRFAAWSPPPPSGGARSRGFAAWASAPGPAGSTDSPAMQALETKIKEQLEADTVTVVDTSGDGRHVCIDVVSKVFEGKSAVNRQRMVYKAIWEELQSTVHAVDQMTTKTPSEAAANQ >ORUFI06G16200.1 pep chromosome:OR_W1943:6:15022102:15022904:-1 gene:ORUFI06G16200 transcript:ORUFI06G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTSDPVSTPPLSTLIYPIHPNPIHSSLYLSCLWACGGGGRWCGGDGGALRKRRRRLRVRRRRKADPRSANPRRHPAVKRRSGGGGKRRKGAAAAARRGREWWRGDPRRRPAMKGRSGGGGKRRKGAAAAHGGRERRRREAQEGGDDDAFLDLLRRKSIRLTSELFCQLRAVAAETLGDGGSGVRWLPPCAFGGTMETMGPEKARTKSVITVSNFV >ORUFI06G16210.1 pep chromosome:OR_W1943:6:15027507:15030547:-1 gene:ORUFI06G16210 transcript:ORUFI06G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSTGGPAFRFTQAEVAEMEARLQQLNNAIPHRSVIQALADKFTSSPARSGKVAVQPKQVWNWFQNRRYSHRSRSSRGPPTLMQTKMLPTGSDEHKSPPFRAMPSASAHSGSPSGKGSLESGQVEFEAKSARDGAWYDVAAFLSHRLFESGDPYEFAFLDLEPRKMNG >ORUFI06G16210.2 pep chromosome:OR_W1943:6:15025947:15027508:-1 gene:ORUFI06G16210 transcript:ORUFI06G16210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNVCGNVLFRVNQLNVLLFFLETSYFAFRKAKSRLCISMLVSLMLKGVDMMYEGVAEIVPLRKVCRRPETDYRLQILHAARAAGMAKEAVVDLVSHNDKSSAEQKPPKQHKMMDVNTDEVTMVSNQDQEEPTGKPAATLPAAPVKTLNDSASASASDVQMGEAQAAPKVESSDEVEDKMKEG >ORUFI06G16220.1 pep chromosome:OR_W1943:6:15056473:15057149:1 gene:ORUFI06G16220 transcript:ORUFI06G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEKLLIYYYLPNGNLHDRLHDVRGVAVRLDNEGEASPRRGARAACIHREYRTSAIPHGNIKSTNVLLDKNGAVCVADFSLALLLIPAHTIARLGGYMAPEKEDNKRLSQEADVYSFSVLVLETLIGKVPVQYPQPSPVTTSSRTPLLLQRPLPPLRPPLAAAAAADPAPAPRV >ORUFI06G16230.1 pep chromosome:OR_W1943:6:15095964:15099290:-1 gene:ORUFI06G16230 transcript:ORUFI06G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESDQAPSPSSPSSSSSSSGNGSNKAPPPEESDNSSSNGSSSSSPTPPSSQSSDSDSGGGSSSPSQGSSSPSPPPPSGSSSESHSSPPPAPPQSSSSSSSSSGGGSKSSPEAPSPPSESSGNGGGGGGGGRSSPPPNWSPPPQQQQQHQSGGSTPSPPPSPSSNQPPPSSGSSASSSEPSPPRSSPPPSPPQSSGGNNGQPPKPSGGQQQAPPQSPPSAANQSVVFIPVPVASNSPPGMLPPPQVIDATPSGAISSTNFPGGRNSTAGSSNTSLSQQQHTTVSSTAQASSSGHIAAAIAGAAVTGLLCAIVAIYLIVSSRRKKKMDGLVYHYDGNNYFVPSLAGQAATTTPHPHHPPPSAIMLNSGGASADGGGYYNSGTFSGGEGTGPAGSKSRFSYEELTGITSNFSRDNVIGEGGFGCVYKGWLSDGKCVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAAHHRMLIYEFVPNGTLEHHLHGRGMPVMDWPTRLRIAIGAAKGLAYLHEDCHPRIIHRDIKTANILLDYSWEAQVADFGLAKLANDTHTHVSTRIMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDQTQPLGEESLVEWARPVLADAVETGDLSELVDPRLEGAYNRNEMMTMVEAAAACVRHSAPKRPRMVQVMRVLDEGSMTDLSNGIKVGQSQVFTGGSDAADIQQLRRIAFASEEFTGEFEQRTTNSNSESRPMNRIPE >ORUFI06G16240.1 pep chromosome:OR_W1943:6:15111410:15116856:1 gene:ORUFI06G16240 transcript:ORUFI06G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRSGSRELPETPTWAVAVVCAVLVLVSVAMEHGLHNLSHLMLLGFVSLLLTVAQAPISKICIPKSAANILLPCKAGQDAIEEEAASGRRSLAGAGGGDYCSKFDGKVALMSAKSMHQLHIFIFVLAVFHVTYCVITMGLGRLKMKKWKKWESQTNSLEYQFAIGNPSRFRFTHQTSFVKRHLGSFSSTPGLRWIVAFFRQFFGSVTKVDYLTMRQGFINAHLSQNSKFDFHKYIKRSLEDDFKVVVGISLPLWFVGILVLFLDIHGLGTLIWISFVPLIIVLLVGTKLEMVIMQMAQEIQDRATVIQGAPVVEPSNKYFWFNRPDWVLFFIHLTLFHATPGLKKCFHENIWLSIVEVIVGISLQMGSNMKKTIFEEQTMKALMNWRKKAMEKKKVRDADAFLAQMSVDFATPASSRSASPVHLLQDHRARSDDPPSPITVASPPAPEEDIYPVPAAAASRQLLDDPPDRRWMASSSADIADSDFSFSAQR >ORUFI06G16250.1 pep chromosome:OR_W1943:6:15118450:15134035:-1 gene:ORUFI06G16250 transcript:ORUFI06G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGHRAATEYLAGKTPTPPSLPPSTPLPTLRCLLLLASLSSASSPSATAAARRSSRRRGLHLLPDLLDRPRFCSFSSAQIRPLAFHPARGTRDPLPPPPSLRSSSPLAGGEPRRPEARCSVYYCRTAKHARRFPTDPKEYKLCEEVGDGVSATVYKALCIPLNIEVAIKVLDLEKCSNDLDGIRREVQTMSLIDHPNLLRAYCSFTNGHQLWVIMPYMAAGSALHIMKTSFPDGFEEPVIATLLREVLKALVYLHSQGHIHRDVKAGNILIDTNGAVKLGDFGVSACMFDTGNRQRARNTFVGTPCWMAPEVMQQLHGYDYKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKDLVATCLVKDPRKRPSSEKLLKHSFFKHARTAEFLARSILDGLPPLGERFRTLKGKEADLLLSNKLGSESKEQLSQKEYIRGISGWNFNLEDLKNAAALIDNTNGTCHLDGVNSKFKDGLQEANEPENIYQGRANLVASARPEDEIQEVEDLDGALASSFPSRPLEALKSCFDVCGDDDPPTATDLREQPNMESTSPMQQFQQIENHKSANCNGESLERSASVPSNLVNSGSHKFLSGSLIPEHVLSPYRNVGNDPARNECHQKNTCNRNRSGPLFRQMKDPRAHLPVEPEEQSEGKVIQRRGRFQVTSDSIAQKVASSASSSRCSNLPIGVTRSTVHPSTILPTLQFMIQQNTMQKEVISRLISSIEEISDAADASTTGSSQPSGVHFREKELQSYIANLQQSVTELAEEVQRLKLKNTQLEEQINALPKKDERYRV >ORUFI06G16260.1 pep chromosome:OR_W1943:6:15156169:15160007:1 gene:ORUFI06G16260 transcript:ORUFI06G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWRAAAGHLLGRALGSRAAHTSAGSKKIVGVFYKGGEYADKNPNFVGCVEGALGIREWLESKGHHYIVTDDKEGLNSELEKHIEDMHVLITTPFHPAYVSAERIKKAKNLELLLTAGIGSDHIDLPAAAAAGLTVAEVTGSNTVSVAEDELMRILILLRNFLPGYQQVVQGEWNVAGIAYRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLKIDPELEKEIGAKYEEDLDAMLPKCDVIVINTPLTEKTRGMFNKERIAKMKKGVIIVNNARGAIMDTQAVADACSSGQVAGYGGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAAGVKDMLDRYFKGEDFPVQNYIVKEGQLASQYQ >ORUFI06G16270.1 pep chromosome:OR_W1943:6:15171906:15178469:1 gene:ORUFI06G16270 transcript:ORUFI06G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWRAPSAAGQLLGRALASTAAQTSAGSKKVVGVFYKGGEYADKNPNFVGCVDSALGIRGWLESKGHRYIVTDDKEGINCELEKHIEDAHVLITTPFHPAYITAERIKKAKNLELLLTAGVGSDHIDLPAAAAAGLTVAEITGSNTVSVAEDQLMRILLLLRNFLPGHHQIVNGEWNVAGIAHRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLMYHDRVKIDPELEKEIGAKYEEDLDAMLPKCDVVVINMPLTEKTRGMFNKERIAKMKKGVTIVNNARGAIMDTQAVADACASGHVAGYGGDVWFPQPAPKDHPWRYMPNHAMTPHCSGTTIDGQLRYAAGVKDMLDRYFKGEDFPAQNYIVKAGQLASQYQ >ORUFI06G16280.1 pep chromosome:OR_W1943:6:15189190:15189822:-1 gene:ORUFI06G16280 transcript:ORUFI06G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGSHGLVGSQNLIRKNSFFTKNSIHTHDKKAGEREGGEARQRSRICAESELPRRRGERRASPTGREEGATAAPAFTTGYARCRPPRPSICTRRNSPATPPPDPEEEEVRHSSRPAAQRCQVPAVPYYCATELPCPPLLRRRLRRCCTGEHQAPPLLRPAAPALSPLGLPLHPAAALPDRPAVVSTRAAAPLGHPVMVEGEEESGVRA >ORUFI06G16290.1 pep chromosome:OR_W1943:6:15192669:15198192:1 gene:ORUFI06G16290 transcript:ORUFI06G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRGGDGGRTLDMKDEETSTMKERGEMEDLCSRLVSLIPQDYLLLETTSQQGTSLMSEDEVEAGTRGGGDGEAAEEVGKRVESTAAMGRRWAEEPIAEASVQVESEVGRRGGFEWSRS >ORUFI06G16300.1 pep chromosome:OR_W1943:6:15214483:15214692:1 gene:ORUFI06G16300 transcript:ORUFI06G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERAATARQERPTARRRTRSGREREEGGVEREQEAAAREAGIGGGDGGAEHEQEVAVRKEAVKRWPI >ORUFI06G16310.1 pep chromosome:OR_W1943:6:15214909:15215529:1 gene:ORUFI06G16310 transcript:ORUFI06G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPRIKHYGCVVDMLDRAGRLDEAEELVAAMPAHPDALIWGSLLVACRAHSDVERAERVMLRRTTDTDADAGDYVLMSNTYASNGWHGEAVKVRRQIRRNEIDKVPGCSLIEIDGVVHEFKAIPXXPPPPAAAPQWSWFSVPKTAALSAGTKTQVSWSGTHTSSGPPSTVSSSGSASTFLRFSAASTCAPATPPPQWTPFCSSSG >ORUFI06G16320.1 pep chromosome:OR_W1943:6:15224152:15224347:-1 gene:ORUFI06G16320 transcript:ORUFI06G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWTPSFSGGQCRKSPRFLPQWLGLVTEAVMYGDEEAVGGGEVQNSDEGGEGFGNFVGFRVVL >ORUFI06G16330.1 pep chromosome:OR_W1943:6:15224423:15224923:-1 gene:ORUFI06G16330 transcript:ORUFI06G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEVERSEGAGSSRSLSSAPAPSLRSAVGQTSPPVPLSPGRHCLIDLKKLCWPPTHKVLASTINLLWQVVEGEGLNSGGPGGSSRHEGGDGGRLGGASWHSGDGNRKSLRHCHLCLVRTRIRHLNHPSPPLPPSPSSSSAEGHLGASGHR >ORUFI06G16340.1 pep chromosome:OR_W1943:6:15228668:15240739:-1 gene:ORUFI06G16340 transcript:ORUFI06G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDGADGVGDDTELRRFSDVELLEKSRRIQGELSGGIERRLKDRGAKFRRLLDAIVREIDRRKAATDDDRCARVVQSGCAESSVKQQAVTISDFRSSFGIDEEAGVDVSHLETSACIGDPKTSTDNEGILCEEEDSYAADDGKDNGYSRICKDAHTSRKRNGEFSPSFSMRLRSRKVVEEVVLLDGDTCISDSAEKTSSAWDTPNSIELSYSDMKCLEPESLLSSPILNFYIMYLMGQMPSTSRLGGKYHIFNTYFFSKLEALTSKVDNDAYFLNLRRWWKGVDIFKKAYIIIPVHAEFLKEEWNYLNKTGSLEDCHLHESVWKNLPRKIKKKAVTVPQQDNEYDCGVFVLYYMRRFIEEAPERLNNKDSSNMFGEGWFQREEASALRKEMQALLLRLFEEAKDNNHMRDPTTPVSATAEHPVEVLSTEPAVPDHPRNAVGVATS >ORUFI06G16340.2 pep chromosome:OR_W1943:6:15228668:15240739:-1 gene:ORUFI06G16340 transcript:ORUFI06G16340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDGADGVGDDTELRRFSDVELLEKSRRIQGELSGGIERRLKDRGAKFRRLLDAIVREIDRRKAATDDDRCARVVQSGCAESSVKQQAVTISDFRSSFGIDEEAGVDVSHLETSACIGDPKTSTDNEGILCEEEDSYAADDGKDNGYSRICKDAHTSRKRNGEFSPSFSMRLRSRKRRKSAIVIRESRVEGLSLNGSKVVEEVVLLDGDTCISDSAEKTSSAWDTPNSIELSYSDMKCLEPESLLSSPILNFYIIFLKEEWNYLNKTGSLEDCHLHESVWKNLPRKIKKKAVTVPQQDNEYDCGVFVLYYMRRFIEEAPERLNNKDSSNMFGEGWFQREEASALRKEMQALLLRLFEEAKDNNHMRDPTTPVSATAEHPVEVLSTEPAVPDHPRNAVGVATS >ORUFI06G16340.3 pep chromosome:OR_W1943:6:15228668:15240739:-1 gene:ORUFI06G16340 transcript:ORUFI06G16340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDGADGVGDDTELRRFSDVELLEKSRRIQGELSGGIERRLKDRGAKFRRLLDAIVREIDRRKAATDDDRCARVVQSGCAESSVKQQAVTISDFRSSFGIDEEAGVDVSHLETSACIGDPKTSTDNEGILCEEEDSCKCKPSTSQKASYVDRSTYMENIDAADDGKDNGYSRICKDAHTSRKRNGEFSPSFSMRLRSRKVVEEVVLLDGDTCISDSAEKTSSAWDTPNSIELSYSDMKCLEPESLLSSPILNFYIMYLMGQMPSTSRLGGKYHIFNTYFFSKLEALTSKVDNDAYFLNLRRWWKGVDIFKKAYIIIPVHAEFLKEEWNYLNKTGSLEDCHLHESVWKNLPRKIKKKAVTVPQQDNEYDCGVFVLYYMRRFIEEAPERLNNKDSSNMFGEGWFQREEASALRKEMQALLLRLFEEAKDNNHMRDPTTPVSATAEHPVEVLSTEPAVPDHPRNAVGVATS >ORUFI06G16350.1 pep chromosome:OR_W1943:6:15257686:15268763:1 gene:ORUFI06G16350 transcript:ORUFI06G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTELNCAAGPSVSPSVSRSCNSRHDENSAPGATRRQRRRQRPSSAHPDGDITVDWWLAAASGDLVVLHPSSTFFFLILCSAAACSIVCQSAATFSFRATAVASTASAAVASTASAAVASTASAAGGDFPSAAGGGASASTNRIASPAAVSRTDALPSASHSTAPSGQRRATAGAHAGAAAARSPAASTRHSAFVKRGSSTPAPRVSPADAPPPPPPPPRHSAMDSATPPPPPARTSAATTTRSPAEAGSGAGVPAPPVSGGLSSGTTAAVVVVVVVAFLGLAGMFACLSQRRRRRQAERYYPGFAVPSYTPQHMSGEAPFLRPPSASGSMNFSTGQSQGVSPMMSSGQAYGQSTSYGQQQRLTSANYSTGSQGGGAARSVAASGELSVGNTKAFTFDELYDITAGFARDKLLGEGGFGCVFQGTLADGKAVAVKQLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCIAEDHRLLVYDFVSNDTLHHHLHGHPRIIHRDIKSSNILLDEHFEAQVADFGLARLAENDVTHVSTRVMGTFGYLAPEYASTGKLTEKSDVFSFGVMLWRYTIVLLVIGKIGNMFFLTILLLLQSRPLLNRAIENQEFDELVDPRLDGEYDDVEMFRVIEAAAACIRHSAARRPKMGQVVRVLDSLTDVDLSNGVQPGKSQMFNVANTADIRQFQRMAFGSQDFSSEYSQSRMLVFPNLAVATDLSERAGDPFQTLNNLDLTSVRGLAYLHEDCHPRIIHSDIKSSNILFDEHFGAQFFF >ORUFI06G16360.1 pep chromosome:OR_W1943:6:15268823:15270260:1 gene:ORUFI06G16360 transcript:ORUFI06G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDFCVDCLGGTLWACQASEERCHQCLDACDGYLAPKYAWKLAEKSDMFSFGVVLMELITGRKPVDSSRPLGNESLIEWESSNTSAPSDCKYLLRDAQFRFLLSISFSSVALHAIKFLTDIVGGDEIPLPTFFAGSHVNVCTDLKQKKKRSKSDNDVGRMEKGGGVS >ORUFI06G16370.1 pep chromosome:OR_W1943:6:15272724:15284093:1 gene:ORUFI06G16370 transcript:ORUFI06G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVNIIVGSHVWAEDPEIAWVDGEVVKIKGEEAEIQATNGKTITANLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMIHEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAVRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEVEKYKLGNPKTFHYLNQSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFAKGKEVDSSVLKDDKSKFHLDTTAELLMCDSGALGDALCKRVMVTPEEVIKRSLDPYNATVSRDGLAKTIYSRLFDWLVDKINSSIGQDPNSKSLIGVLDIYGFESFKLNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFSQKLYQTFQKHKRFVKPKLSRTDFTICHYAGEVLYQSDQFLDKNKDYVVAEHQELLSASKCSFISGLFPPLPEETSKSSKFSSIGARFKQQLQALMETLNSTEPHYIRCVKPNNVLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAQEALEGNCDEKVACKRILEKKGLVGFQIGKTKVFLRAGQMAELDARRTEVLGAAAKTIQGKIRTHIMRKKFVNWRKASISVQAIWRGRLACKLFDQMRRVAAAIKVQKNQRMHQARRSYKHLNASILVVQTALRAMAARNTFRYKKQSKAAVKIQARYRCHTAHVYHKKLKRAAIVAQCRWRGKIARKELRKLKMEARETGALKEAKDKLEKKVEELTWRVQLEKRMRTDLEEAKAQELSKLQSSMEALQAKLDETSAKLVKEREVARAIEEAPPVVQQTETSLQSEKQRADDLEKKRSEEQQANEEKQKKMEETDVKMRQFQEYLRRLEEKLANVESENKVLRQQAVSMAPSKILSGRSKSILQRNAESVHVSSGDSKAAPESNNISSPKKEFDFDDKPQKSLNEKQQENQDLLIRCIAQHLGFAGNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGSMVSGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSRLFMLPSIAHIMLTIPQKKSIPFSVDDISKSMEQIDISDIEPPPLIRENSGFVFLLPPPE >ORUFI06G16370.2 pep chromosome:OR_W1943:6:15272672:15284093:1 gene:ORUFI06G16370 transcript:ORUFI06G16370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMIHEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAVRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEVEKYKLGNPKTFHYLNQSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFAKGKEVDSSVLKDDKSKFHLDTTAELLMCDSGALGDALCKRVMVTPEEVIKRSLDPYNATVSRDGLAKTIYSRLFDWLVDKINSSIGQDPNSKSLIGVLDIYGFESFKLNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFSQKLYQTFQKHKRFVKPKLSRTDFTICHYAGEVLYQSDQFLDKNKDYVVAEHQELLSASKCSFISGLFPPLPEETSKSSKFSSIGARFKQQLQALMETLNSTEPHYIRCVKPNNVLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAQEALEGNCDEKVACKRILEKKGLVGFQIGKTKVFLRAGQMAELDARRTEVLGAAAKTIQGKIRTHIMRKKFVNWRKASISVQAIWRGRLACKLFDQMRRVAAAIKVQKNQRMHQARRSYKHLNASILVVQTALRAMAARNTFRYKKQSKAAVKIQARYRCHTAHVYHKKLKRAAIVAQCRWRGKIARKELRKLKMEARETGALKEAKDKLEKKVEELTWRVQLEKRMRTDLEEAKAQELSKLQSSMEALQAKLDETSAKLVKEREVARAIEEAPPVVQQTETSLQSEKQRADDLEKKRSEEQQANEEKQKKMEETDVKMRQFQEYLRRLEEKLANVESENKVLRQQAVSMAPSKILSGRSKSILQRNAESVHVSSGDSKAAPESNNISSPKKEFDFDDKPQKSLNEKQQENQDLLIRCIAQHLGFAGNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGSMVSGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSRLFMLPSIAHIMLTIPQKKSIPFSVDDISKSMEQIDISDIEPPPLIRENSGFVFLLPPPE >ORUFI06G16380.1 pep chromosome:OR_W1943:6:15284615:15285771:-1 gene:ORUFI06G16380 transcript:ORUFI06G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGAKAAAVDFATESVSAASESRVRRGEEVVGGGDGGGRWRVLSWSSRAATAGAGAVVPHVGRAAGENPARPLSDRQLWRSSVISPPEGIVVPSLPSRAKVLTVSSKVVNDGQKAKRTVIVELRVSVEGIGGREVVSISFRDWDERNRWTVAALGIGMRGRCCIAVSDGGISEILGEMQMRGGT >ORUFI06G16390.1 pep chromosome:OR_W1943:6:15289856:15291774:1 gene:ORUFI06G16390 transcript:ORUFI06G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVGGTSSASAMACLLHGCLVSLPPPPPPALEDACNHRHTTRRLPPVRRAPPRRHLTHKREGSEWSLALTASASPRIMAATTAPSLPGYEVSGAAAAATRLHGSPGSVGAFLAVLAAVVAVTVLSCVVGQACAARAEGPDERYDCAGLAGRRWWLRRWRREPRRPVRPAAEEEVEVKQPAA >ORUFI06G16400.1 pep chromosome:OR_W1943:6:15291531:15298410:-1 gene:ORUFI06G16400 transcript:ORUFI06G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRSRTSRRLKLKLSALYTFALCSKGSGEDHSSRIGTTGFSRVVYVNEPDRHEEEGFRYQPNEVSTTKYSLVTFIPKSLFEQFRRVANFYFLVSGILALTPLAPYTAVSALLPLCVVIAATMAKEGIEDWRRKHQDHELNNRTVKVHRGDGDFEEKKWKDIKVGDVIKTMNLDGETNLKIKQALDVTLHLEEDNSFVNLRQTIKCEDPNANLYSFIGTMEWKDKQYNLSPQQLLLRDSKLRNTDYIYGAVIFAGHDTKVMQNATDPPSKRSKIEKRMDKIIYVLMSSLLVIALLGSVLFGIWTKEDLMNGEMKRWYLRPDDSTIFYDPKRAALASFFHLLTALMLYSYFIPISLYISIEMVKILQALFINQDIEMYHEESDKPTHARTSNLNEELGQVDTVLSDKTGTLTCNMMEFIKCSIAGIAYGQGVTEVEKAMALRKGSVLGDGIENIEHTDQKNDGSPHIKGFNFKDPRIMDGNWIHEPNSDMIRDFFRLLAICHTCIPEEDEETHKVSYEAESPDEAAFVIAARELGFEFYHRAQSSIVVHERDPITNIVKDRKYELLNVLEFSSSRKRMSVLVKEPEGRILLFSKGADSVMFKRLAPTGRKFEEETKRHINEYSDSGLRTLVLAYRFLDENEYMKFSEKFNTARTSVSADRDEKVEAAAESIERDLLLLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMTQIIVTLEAPDIIALEKNGDKESIARESKQRVMDQIEDGIKQIPPPSQSNTESFALIIDGKSLTYALEDDVKFKFLDLALKCASVICCRSSPKQKALVTRLVKHTNRVTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLLIHGHWCYRRISVMICYFFYKNVTFGVTIFLYEAFASFSGKPAYNDWFLSLYNVIFTSLPVIALGVFDQDVSQRLCLQYPGLYQEGVQNILFSWHRILGWMANGVINAILIFYFCTTAFGIQAFRQDGQVAGLDALGVLMYTCVVWVVNCQMALSVNYFTIIQHIFIWGSIAVWYLFLLAYGAVDPRFSKSAYMVFIEQVAPALSYWLVTLFAVMATLIPYFCYAAIQIRFFPMFHNKIQWKRHLGKAEDPEVARQLSSRHRTSSHQRMVGISARRDGKAMQIDHRKTKRAPTVNVSRGHGSGTGYAAGCFTSTSSSAAGLTGRRGSRRHRRSHHLRPASPAQSYRSSGPSARAAHACPTTQDRTVTATTAASTAKNAPTDPGEP >ORUFI06G16400.2 pep chromosome:OR_W1943:6:15291531:15298410:-1 gene:ORUFI06G16400 transcript:ORUFI06G16400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRSRTSRRLKLKLSALYTFALCSKGSGEDHSSRIGTTGFSRVVYVNEPDRHEEEGFRYQPNEVSTTKYSLVTFIPKSLFEQFRRVANFYFLVSGILALTPLAPYTAVSALLPLCVVIAATMAKEGIEDWRRKHQDHELNNRTVKVHRGDGDFEEKKWKDIKVGDVIKVEKDNFFPADLVLLSSNYPDGICYVETMNLDGETNLKIKQALDVTLHLEEDNSFVNLRQTIKCEDPNANLYSFIGTMEWKDKQYNLSPQQLLLRDSKLRNTDYIYGAVIFAGHDTKVMQNATDPPSKRSKIEKRMDKIIYVLMSSLLVIALLGSVLFGIWTKEDLMNGEMKRWYLRPDDSTIFYDPKRAALASFFHLLTALMLYSYFIPISLYISIEMVKILQALFINQDIEMYHEESDKPTHARTSNLNEELGQVDTVLSDKTGTLTCNMMEFIKCSIAGIAYGQGVTEVEKAMALRKGSVLGDGIENIEHTDQKNDGSPHIKGFNFKDPRIMDGNWIHEPNSDMIRDFFRLLAICHTCIPEEDEETHKVSYEAESPDEAAFVIAARELGFEFYHRAQSSIVVHERDPITNIVKDRKYELLNVLEFSSSRKRMSVLVKEPEGRILLFSKGADSVMFKRLAPTGRKFEEETKRHINEYSDSGLRTLVLAYRFLDENEYMKFSEKFNTARTSVSADRDEKVEAAAESIERDLLLLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMTQIIVTLEAPDIIALEKNGDKESIARESKQRVMDQIEDGIKQIPPPSQSNTESFALIIDGKSLTYALEDDVKFKFLDLALKCASVICCRSSPKQKALVTRLVKHTNRVTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLLIHGHWCYRRISVMICYFFYKNVTFGVTIFLYEAFASFSGKPAYNDWFLSLYNVIFTSLPVIALGVFDQDVSQRLCLQYPGLYQEGVQNILFSWHRILGWMANGVINAILIFYFCTTAFGIQAFRQDGQVAGLDALGVLMYTCVVWVVNCQMALSVNYFTIIQHIFIWGSIAVWYLFLLAYGAVDPRFSKSAYMVFIEQVAPALSYWLVTLFAVMATLIPYFCYAAIQIRFFPMFHNKIQWKRHLGKAEDPEVARQLSSRHRTSSHQRMVGISARRDGKAMQIDHRKTKRAPTVNVSRGHGSGTGYAAGCFTSTSSSAAGLTGRRGSRRHRRSHHLRPASPAQSYRSSGPSARAAHACPTTQDRTVTATTAASTAKNAPTDPGEP >ORUFI06G16400.3 pep chromosome:OR_W1943:6:15291531:15298410:-1 gene:ORUFI06G16400 transcript:ORUFI06G16400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRSRTSRRLKLKLSALYTFALCSKGSGEDHSSRIGTTGFSRVVYVNEPDRHEEEGFRYQPNEVSTTKYSLVTFIPKSLFEQFRRVANFYFLVSGILALTPLAPYTAVSALLPLCVVIAATMAKEGIEDWRRKHQDHELNNRTVKVHRGDGDFEEKKWKDIKVGDVIKVEKDNFFPADLVLLSSNYPDGICYVETMNLDGETNLKIKQALDVTLHLEEDNSFVNLRQTIKCEDPNANLYSFIGTMEWKDKQYNLSPQQLLLRDSKLRNTDYIYGAVIFAGHDTKVMQNATDPPSKRSKIEKRMDKIIYVLMSSLLVIALLGSVLFGIWTKEDLMNGEMKRWYLRPDDSTIFYDPKRAALASFFHLLTALMLYSYFIPISLYISIEMVKILQALFINQDIEMYHEESDKPTHARTSNLNEELGQVDTVLSDKTGTLTCNMMEFIKCSIAGIAYGQGVTEVEKAMALRKGSVLGDGIENIEHTDQKNDGSPHIKGFNFKDPRIMDGNWIHEPNSDMIRDFFRLLAICHTCIPEEDEETHKVSYEAESPDEAAFVIAARELGFEFYHRAQSSIVVHERDPITNIVKDRKYELLNVLEFSSSRKRMSVLVKEPEGRILLFSKGADSVMFKRLAPTGRKFEEETKRHINEYSDSGLRTLVLAYRFLDENEYMKFSEKFNTARTSVSADRDEKVEAAAESIERDLLLLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMTQIIVTLEAPDIIALEKNGDKESIARESKQRVMDQIEDGIKQIPPPSQSNTESFALIIDGKSLTYALEDDVKFKFLDLALKCASVICCRSSPKQKALVTRLVKHTNRVTLAIGDGANDVGMLQEADIGVGISGVEGMQICYFFYKNVTFGVTIFLYEAFASFSGKPAYNDWFLSLYNVIFTSLPVIALGVFDQDVSQRLCLQYPGLYQEGVQNILFSWHRILGWMANGVINAILIFYFCTTAFGIQAFRQDGQVAGLDALGVLMYTCVVWVVNCQMALSVNYFTIIQHIFIWGSIAVWYLFLLAYGAVDPRFSKSAYMVFIEQVAPALSYWLVTLFAVMATLIPYFCYAAIQIRFFPMFHNKIQWKRHLGKAEDPEVARQLSSRHRTSSHQRMVGISARRDGKAMQIDHRKTKRAPTVNVSRGHGSGTGYAAGCFTSTSSSAAGLTGRRGSRRHRRSHHLRPASPAQSYRSSGPSARAAHACPTTQDRTVTATTAASTAKNAPTDPGEP >ORUFI06G16410.1 pep chromosome:OR_W1943:6:15308712:15308957:-1 gene:ORUFI06G16410 transcript:ORUFI06G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSEIGEVSKRKVTTTTRLALSSLRMEKTGEREAESEGDEDQGTDDKVEVVARCFLRVSSLPHRAPSLLVSIVCWYIILN >ORUFI06G16420.1 pep chromosome:OR_W1943:6:15324657:15335951:-1 gene:ORUFI06G16420 transcript:ORUFI06G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDDDDEDLVVYGTPIEREEDTSARKRRAVAEAGQLRALPAWKQEVRDEEGRRRFHGAFTGGFSAGYYNTVGTKEGWTPQTFTSSRKNRAEMKKQSIYSFLDEEDIKDMGGNALETSQQYDTFGFTATEHARKQASKEQKERPSAIPGPIPDELVVPATTSIGVKLLMKMGWRQGRSIRDAHADSLYESRREARKAFLALSGTKTGGQKIQVDSHKSDKDDGATESFEELHASGNTPVYVLHPKQDLHGLGFDPFKHAPEFKDRKRLQKSARDRNRSDVSMRGSLLISNSGQYAPGFGIGALEELGVEDEDIYASGFAYEQMEVDIEPSKTASDSNYKLEDRKRGVFLAFKIASSSEYKLERFDPPEIPSDFDGRHKFLTPRQDVNNLSDLAPPEVPAPEDTSLRLLIEGCAAMVARCGKHIEDFYKEKSKTNTQFNFLNEGDGCSYYARKLWEYQQKYIDQQKPDTVQSKSSDKLTAENRGKILGERPLDRSTKSSSSSFPAKEAIQLQSNLADNFVKPISLDGLPEYEKPFRNDPAKQARFEQFLKDKYQGGLRPANLIPTSTMSDADRARERLDFEAAAETIEKGKEKKAMDPLSLLGLSGINEQRFVSSTESERSIPARDEKSIYPRREEFEWRPSPILCKRFDIVDPFMGKPFHVQRPRSKMDSLIFMSESTTRTNEVESSSIAPQHTSVAGATETEAKGAATDPEIESSSVQRPVDLYKAIFSDDSDDDMAEPLANQPVDPVKTSEDANMVLNRLVAEDFLESLGKELGLDVPPEKPTPPNVLFRSETPSTANAIGISRNGKAITCQEIKENESALDKEEIANASADVPSDNVEELGLKYEKQEHRAEKSRSRSSHRQTQSGSLDSDSTSDQHRSRERRSRHKIRSGTPGSDSSIEHHRSKKRKSHSKHRTRRSRSPYADSSDSQYTKRKHREKRHHRTRNPDTDSSDHEYEERHKSSSRRSSDKDRSRRRSRHHKR >ORUFI06G16430.1 pep chromosome:OR_W1943:6:15352885:15359747:1 gene:ORUFI06G16430 transcript:ORUFI06G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSYSAVALALRAAKPSSCAHSTYHHHHHHHHHGDGGDCHQQELLLPLNPRAAAAPRFMLDGYLLRHSAHFLLLSARLRPPPPPPRCCHRRRRVAARCCCGGGGGSVAGHVSWRLDPPRVCRCSGHGAGRSSDLGAVYRRRLECRCGGGGGRLDLGAGCGPRRDAPRLVGRAVRQEVWEYEGGEWPHTSCSMECHTDWDDEEEDCGIAQWEAPPRFRLSRRRSEEDEGDRCRDCHRRKDAESDYYDEDEYSGRQRERRNMNERHGRFTDSNQRRRDQRDYHDDDDYLEFRRWKERRERRDSEFDDAVARRGIEDRRYSEDDRKYDRRRERKDFGYEGAVDVRRGASRYTDNNQRSDWRTEDRDYEVDVRREGKHRRNDDQRYVTRHQQRTDGTEEDVSLLESYRWHDEEYDYDDRDIAERRYYSGRTQKSARASALHEDESNRASSSRNIVDTRVARNKENSASRVRWHDNVDKRAEQTSEERNQRYSSSVVQSFDEKKHDHDDAQLISVRDSRIGTRDVRVITEDDANLASSSKNTMISKHHNTVDQKSTTRKDDSRNRSQKIMELSEVRGTNTEHDSRTQSYHQEDRRRYIENRASSLQSSVKTTSDTRTQVDQHDEVDQQVVALTDSRRRSEKLTDIKMDSTSNVSRTSLKQRNSDEVNQMDIDDRSNSVHNITHITRDKKRYVNQQVIHETDIDVQNVTHVDVSKVRASDISVSRNSQKASETRSDMANSQLEQIHASNSSMVRGPQSYLEAALHNRVYSTSATDIVNTTAEKHGQVEASTNNAAIASTSESHIQARIEDSAVNTIGSVQEQIDLTRICASDSTVVSSSHGLDTRSGQVSRTSATNLVDRTRETRDKSDQQITQASNIDRNDHVTSKFYESSQDSRQSLARLKDAGRLMEHNVGLNWQQEESRRVSNDMDIATLEMQSTEDGSSMVPVDEEKRPMITGSSEQEVRSETTAGSSIPSGSSARQPVNESLLESAARLEKSSTFHVGQFVGEVRKGVSDADTTLTRKNDKSIMEGIARSSSRSRMGGPSDEMWDVQSATSQETFKTADKEEGSSVDGDIVRLGWIQRGESLDSNSNRSVKKSSSSNSQNTEGWISSQERDNEGIQKKTESSKPKDHLMKSHTGESLKKESLPTGSQDLLISESGNVPQINTSKGDFISRTSKEDAHMTGEKAKQSKVAASPKQNTVGGFSEDSTPTLVDVAKKHFPEHEASTSSMITTKGFADNDTGEGVIAGTSSMPISTEGVGWTAGSDEWRYDPSGAMTPYRHPHTQVMMPHEDTPAILESAELPTVGSTRFEEKIVVQETPEVIRTDGKDAELKRRKFQRNKQVMKETFDEWEEAYQRDAEQRKTDELFMREALHEAQRAADLWEVPVGAVLVQNGEIIARGCNLVEDLRDSTAHAEIVCIREASNKLKTWRLAVDTTLYVTLEPCAMCAGAILQARVDTVVWGAPNKLLGADGSWVRLFPGDGQTSSLDSANTNQGAGPVHPFHPKISIRRGILSAECSEIMQQFFHLRRKKQKPESPPHAHPQGRNHPVKFFSKMHHMFGTIFCL >ORUFI06G16430.2 pep chromosome:OR_W1943:6:15352816:15359747:1 gene:ORUFI06G16430 transcript:ORUFI06G16430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSYSAVALALRAAKPSSCAHSTYHHHHHHHHHGDGGDCHQQELLLPLNPRAAAAPRFMLDGYLLRHSAHFLLLSARLRPPPPPPRCCHRRRRVAARCCCGGGGGSVAGHVSWRLDPPRVCRCSGHGAGRSSDLGAVYRRRLECRCGGGGGRLDLGAGCGPRRDAPRLVGRAVRQEVWEYEGGEWPHTSCSMECHTDWDDEEEDCGIAQWEAPPRFRLSRRRSEEDEGDRCRDCHRRKDAESDYYDEDEYSGRQRERRNMNERHGRFTDSNQRRRDQRDYHDDDDYLEFRRWKERRERRDSEFDDAVARRGIEDRRYSEDDRKYDRRRERKDFGYEGAVDVRRGASRYTDNNQRSDWRTEDRDYEVDVRREGKHRRNDDQRYVTRHQQRTDGTEEDVSLLESYRWHDEEYDYDDRDIAERRYYSGRTQKSARASALHEDESNRASSSRNIVDTRVARNKENSASRVRWHDNVDKRAEQTSEERNQRYSSSVVQSFDEKKHDHDDAQLISVRDSRIGTRDVRVITEDDANLASSSKNTMISKHHNTVDQKSTTRKDDSRNRSQKIMELSEVRGTNTEHDSRTQSYHQEDRRRYIENRASSLQSSVKTTSDTRTQVDQHDEVDQQVVALTDSRRRSEKLTDIKMDSTSNVSRTSLKQRNSDEVNQMDIDDRSNSVHNITHITRDKKRYVNQQVIHETDIDVQNVTHVDVSKVRASDISVSRNSQKASETRSDMANSQLEQIHASNSSMVRGPQSYLEAALHNRVYSTSATDIVNTTAEKHGQVEASTNNAAIASTSESHIQARIEDSAVNTIGSVQEQIDLTRICASDSTVVSSSHGLDTRSGQVSRTSATNLVDRTRETRDKSDQQITQASNIDRNDHVTSKFYESSQDSRQSLARLKDAGRLMEHNVGLNWQQEESRRVSNDMDIATLEMQSTEDGSSMVPVDEEKRPMITGSSEQEVRSETTAGSSIPSGSSARQPVNESLLESAARLEKSSTFHVGQFVGEVRKGVSDADTTLTRKNDKSIMEGIARSSSRSRMGGPSDEMWDVQSATSQETFKTADKEEGSSVDGGTTSTSLTPKNETALARKVHKSLWAYVADIVRLGWIQRGESLDSNSNRSVKKSSSSNSQNTEGWISSQERDNEGIQKKTESSKPKDHLMKSHTGESLKKESLPTGSQDLLISESGNVPQINTSKGDFISRTSKEDAHMTGEKAKQSKVAASPKQNTVGGFSEDSTPTLVDVAKKHFPEHEASTSSMITTKGFADNDTGEGVIAGTSSMPISTEGVGWTAGSDEWRYDPSGAMTPYRHPHTQVMMPHEDTPAILESAELPTVGSTRFEEKIVVQETPEVIRTDGKDAELKRRKFQRNKQVMKETFDEWEEAYQRDAEQRKTDELFMREALHEAQRAADLWEVPVGAVLVQNGEIIARGCNLVEDLRDSTAHAEIVCIREASNKLKTWRLAVDTTLYVTLEPCAMCAGAILQARVDTVVWGAPNKLLGADGSWVRLFPGDGQTSSLDSANTNQGAGPVHPFHPKISIRRGILSAECSEIMQQFFHLRRKKQKPESPPHAHPQGRNHPVKFFSKMHHMFGTIFCL >ORUFI06G16440.1 pep chromosome:OR_W1943:6:15362832:15364575:1 gene:ORUFI06G16440 transcript:ORUFI06G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIQLVLTAGIVDSLLDHAEVAQVDCIGRPDDCYCAATVDYGVAACICVCIGIVSPTASSTSARLRHRHRLHRPTTRIDELITRSSARLRRHLAADRLRLRVYAIKLWVAAASPLSGHSADARGPPPLVVGTLRGALLSMASLLVEFSPLHRHRAASVSPLRCCPSRRLHRRSSFNTLVIVSHLGLLRLLRASSPHLQAATVAALGRWSSYLYMATNVAVQAVGPATSPSTSSSMTHRQRRRIFLDYTSLFSSNCVLLRQFSLYAVLAP >ORUFI06G16450.1 pep chromosome:OR_W1943:6:15364627:15365589:1 gene:ORUFI06G16450 transcript:ORUFI06G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPYLARAVLATPLGAFVPSCPGVWQTLFDVSSFTVRLHRLFGVLFLNDYRDCVTVFVSSAFSRTLVHDALPRQHPAIALPHITVEVAISPSPVNFRLHIADTNRAATSRNNAAVALLDPNRRPLRSAVVATHIQPSEKLPPKSPYPMYLPSFHQSSTDDHPPTKCCCPP >ORUFI06G16460.1 pep chromosome:OR_W1943:6:15377275:15378633:1 gene:ORUFI06G16460 transcript:ORUFI06G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSKSGHGYVLLGLNGYIADRHNRTTASSTTSTGLPIEVTFCAARPPALAHFSIHCPGLDHVGADRNPLLSPKVLSADADVVLIRVPVDPLALLDLRLHDYFVYRMHPETPRLDLLPHPGEHGFSDSEIAILSCGNGKYVVAGQATSCDTTYTLRRLYRDGEPPGSWSWTSQRVPVSVSVSQLQRDDVCPIPKSAIRQTHHLTAKVITLRGARGTIGWVDLWRGILLCDVLDATPKVRDIPLPFPARANWRAYLNRCPYYSRDITVSESRDTIKYVEMELTRPAIEEEIISGPDDPEEECSYSLVPGRWQATTWTMPIPANSWNDWKYGCTISSDHVKLPDDGTKQSELLRRLVMSRNERKEEVAVAGLCLSLGCLRMAHPTLSIADGDDVIYLLSKVIRGAKMAAVVAVDVRARTLIGVSEIDSEKNINFLRCCLPTGIFKHLNTSAAT >ORUFI06G16470.1 pep chromosome:OR_W1943:6:15379143:15380986:-1 gene:ORUFI06G16470 transcript:ORUFI06G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGCREGSRSTSPRGNTSCGIRRWWTPSSRRPASSPPTPSSRSARHGEPHQAAPPGRRQGRRRYPTAN >ORUFI06G16470.2 pep chromosome:OR_W1943:6:15380238:15380986:-1 gene:ORUFI06G16470 transcript:ORUFI06G16470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGCREGSRSTSPRGNTSCGIRRWWTPSSRRPASSPPTPSSRSARHGEPHQAAPPGRRQGRRRYPTAN >ORUFI06G16480.1 pep chromosome:OR_W1943:6:15386163:15387055:-1 gene:ORUFI06G16480 transcript:ORUFI06G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLRAYDEQLLISVRASSKTAQARSPASGGGCFRGLKCSRRLLLDTIFFPCFSQAIVFNFASSDFFW >ORUFI06G16500.1 pep chromosome:OR_W1943:6:15409559:15410876:-1 gene:ORUFI06G16500 transcript:ORUFI06G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRAAGAVWLAVPGHGVASGDGKITRLLASSIEGARGEEAAGGSGGGREVEGGGGCVDEGGHDEEASGEQWRGQVVVVAKRHGAASVVGRRRETKDIASLCEAFAAPVDGEVEDLDVAAARCDFYGVFDGHGCSHVADACRERMHELVAEEMGAGAAPCELASWTGAMERSFARMDAEVIAGCRAESGNCRCKGWKCDHMGSTTVVAIVEESHVIVANCGDSSVMLRRDGAPVPEVTVTDRSDCNECLILASDGL >ORUFI06G16510.1 pep chromosome:OR_W1943:6:15410519:15417175:1 gene:ORUFI06G16510 transcript:ORUFI06G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLIPQWGQGFTSECQLKTAQDQLEWHSQIKPTRLPVLALHIGNKKFARTNECDIFVKFFYHKKSVIFQMSKDKLCRKVDIPFDDITSFCFVFGQQSDILTIEVKSSLIPLSAAKPLPGKFLDWKVDYSKDDEYYFPESKSLWVEAEKGSLEKTYAKLKNICKTCHLMVNAWKKEEIMNCMHHTGVGRQQDRHASE >ORUFI06G16510.2 pep chromosome:OR_W1943:6:15410519:15416630:1 gene:ORUFI06G16510 transcript:ORUFI06G16510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLIPQWGQGFTSECQLKTAQDQLEWHSQIKPTRLPVLALHIGNKKFARTNECDIFVKFFYHKKSVIFQMSKDKLCRKVDIPFDDITSFCFVFGQQSDILTIEVKSSLIPLSAAKPLPGKFLDWKVDYSKDDEYYFPESKSLWVEAEKGSLEKTYAKLKYTNPHLTCLFTDAGEQGGSDWLNRIMFNEHFADDLWHMGLHDDAF >ORUFI06G16520.1 pep chromosome:OR_W1943:6:15433349:15436594:1 gene:ORUFI06G16520 transcript:ORUFI06G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPATSLKDISVGQQNCKVFGRLIRLWDAINMRSKSADPLISIDGILLDEHITVPKRFAKQFRPLLNKGSVYLISNTVAIDAKRKTNIYQCQNYILQFKHDTRIQPLESRGLTIPKFFFDFCPFDEVLGKNISSKPLIDLIGVISHIGPYDFVCPTSDKKLRRIKIQNLEYGQYGESFNEDATLHKSKDEIVVAIFAGLTAEKFSAITEASSSSATEIYIDLDTPQVREFRTRYKLPVTITDESGSLNAVAFSFVAEDLVELDAAQASQNMKIDPADHPTALNNAIGKTKIFAIGMNTDTSSKFPISYVLKKSFTIEPTMSVPMLTDRENKEVLQLPPPAPLTDNPSTTIHNTGASSKSTPPEISLADKTPTEKTSSTTKRAIDFTKGSIEETRSKKLQHTEGKADFPEDSIEGTKVNICCLTYGDFTMRKTYYIFLPYEDFIMSYA >ORUFI06G16530.1 pep chromosome:OR_W1943:6:15438729:15438953:1 gene:ORUFI06G16530 transcript:ORUFI06G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPEQSASQSNVQMAAILDELKKINITLQEHSTAIKSLESSKGIMRPLPSPTPHAETHGDARVPRYYKWDFP >ORUFI06G16540.1 pep chromosome:OR_W1943:6:15438963:15442330:1 gene:ORUFI06G16540 transcript:ORUFI06G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRQRGSAARFISSEKRSSGTLMWNAPMALRRGMVELRFGLPLRHNKLGTLAELRRTGTVAEYQGRFMNLLSRAGELTEEQKIELFTMELQGKLLIDVELEAPASQDTAMSFFIFFYFREGFLGCYSGHKCKRLFWLGAPFADDDPGISLYALSGVRRCNSASRSTA >ORUFI06G16550.1 pep chromosome:OR_W1943:6:15465328:15467410:-1 gene:ORUFI06G16550 transcript:ORUFI06G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAIGLLAENQEPKMEDIIKLETNYSLAELKDLKVVDHVSRPNTFILEMLIESFLNDIIFHISITPTNLLLATLNASKGPTPDLLQVTVA >ORUFI06G16560.1 pep chromosome:OR_W1943:6:15469524:15470594:-1 gene:ORUFI06G16560 transcript:ORUFI06G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEVGGMVNNGGTRESSNQVALLRPSKCPTARTDQYLTQEPCYEEVPEGSEAYYNDEFF >ORUFI06G16570.1 pep chromosome:OR_W1943:6:15478931:15479167:-1 gene:ORUFI06G16570 transcript:ORUFI06G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRSALAATTPARRLRDDDEDDNDGRDWICHRLRRRLDPPSGDLRHADPSPCGIERMDPPPIVLGCLDLAHKRRRL >ORUFI06G16580.1 pep chromosome:OR_W1943:6:15482837:15483189:-1 gene:ORUFI06G16580 transcript:ORUFI06G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNETFMKQDRVYFSKEFSVNHLKPLMDDATVQIQVQALEGPSTNMILHRSTDHCCNLKKGWADFAVNNNIKLQTVCILHFYKTSHLGATIDIF >ORUFI06G16590.1 pep chromosome:OR_W1943:6:15498914:15501188:-1 gene:ORUFI06G16590 transcript:ORUFI06G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSSEKTPSVYLYIPNIIGYFRIIINFIAFAVSSTFGAVLDMVTDSMFLSGKTSHKDVKDTGNWLLKLYYGHRPFMAFSCVASEVLYIILFLFADEKSTSLLNVCRCFLKGSPLTFFVFISTLVGWALKQVINIIQY >ORUFI06G16600.1 pep chromosome:OR_W1943:6:15515892:15516257:-1 gene:ORUFI06G16600 transcript:ORUFI06G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCPLTDAHRALPPLPPPCSPSAATTVAPLLPIPPPFLSSLSSACICALLDAANGSDGRSNADIGADASSSSSSLGGGMSRGYVVKTAPPATQGTAADTRGGGCVSGHVDEALGADALAD >ORUFI06G16610.1 pep chromosome:OR_W1943:6:15532835:15533909:1 gene:ORUFI06G16610 transcript:ORUFI06G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRRRRSAILCRHRSTSKVAITVHPSPTHRRYSWSPPLALPPAISGDKTNIVGCARAWRTFLLLGATSDSPPFAADVDVKPAGRAGDLEPPLSRFPRRCPRKSISGRSSSLS >ORUFI06G16610.2 pep chromosome:OR_W1943:6:15532835:15533530:1 gene:ORUFI06G16610 transcript:ORUFI06G16610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRRRRSAILCRHRSTSKVAITVHPSPTHRRYSWSPPLALPPAISGDKTNIVGCARAWRTFLLLGATSDSPPFAADVDVKPAGR >ORUFI06G16620.1 pep chromosome:OR_W1943:6:15534666:15534890:1 gene:ORUFI06G16620 transcript:ORUFI06G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSNIGIDSASTSSFRLAHLRLDHPFKRPATTTSATNLHRARVYAIKLWVAVASPPRAAVPLPMVPIHWLLQC >ORUFI06G16630.1 pep chromosome:OR_W1943:6:15535375:15536113:-1 gene:ORUFI06G16630 transcript:ORUFI06G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEVGTTCHRLGRGGNSSCSIALLDRIGRGILRILDTLVLSLKGPSKREQRGHLDGRKKPTRVLNTNDNPLCRSRRSQSEGDKRAVVDEAVRRVAVVDEAVRRVAVVDVAEDETSRRVCQTRGQLGTNARNGVANTARAR >ORUFI06G16640.1 pep chromosome:OR_W1943:6:15538272:15540479:1 gene:ORUFI06G16640 transcript:ORUFI06G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAEMAPSSLLALLVVRVRVATASAGAVTVGGWRGPPPTATASRPPRAPRLLAPPPPLAGHKIQIKSANMKEEMRQEAFDIDRVAFEKHTMEKDIVEYIKKEFDKNHGPTWHCIVGHNFGTPLSCWKLLWLQGHGYQASRTWSVSWQKICKK >ORUFI06G16650.1 pep chromosome:OR_W1943:6:15549566:15570385:1 gene:ORUFI06G16650 transcript:ORUFI06G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTPPLLHPPKPSPLPIRHIAAAAEAAMESMETNALQVLGLDDNMRMVTKNVYSSLPDPPVSLHAPLAFAAAAWPPRDGVDRISALPVDILRDILSRLPARDAARTSALSTRWRRLWRSAPLVLADAHLKHTGRAPGPDELDRTGGLLLRAMDGMRDVARMVSSALAAHPGPFRSVHITCTPMDAHRSELALWLQLLAARGVQELVFVNRASKFDTDVPFPATLFRCSSLTRLYIGFLRFPAVATVPRAASFPHLRELGLCSLIMGQRELAFLLDRCPVLENFEIVCHRELLRLRVASHSLRCVEVCMSIVEEITVEHAARLERLMFWETCGTGGVIDNVGGIIDMRTRVKIGHAPNLRVLGFLVPVMHELSIGNTDIRAGTKPTRRTMVPSVQMLGIQLKLFDNNQVRMLPSFLRCFPNVETLYIQSETTLSGNTTGKLNPKLLQETSPIECLQKHIKKVIMREFRMQRSELDFLKFIAGRGQVLEKVVVVLTHTCSSSADRLRASLSTFMASTRLANEDCKLIVYESPFPIDATAWCFQGAFNMSKDPFDVSKCFKDGASCRADYWYLPLQIFT >ORUFI06G16650.2 pep chromosome:OR_W1943:6:15549566:15570232:1 gene:ORUFI06G16650 transcript:ORUFI06G16650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTPPLLHPPKPSPLPIRHIAAAAEAAMESMETNALQVLGLDDNMRMVTKNVYSSLPDPPVSLHAPLAFAAAAWPPRDGVDRISALPVDILRDILSRLPARDAARTSALSTRWRRLWRSAPLVLADAHLKHTGRAPGPDELDRTGGLLLRAMDGMRDVARMVSSALAAHPGPFRSVHITCTPMDAHRSELALWLQLLAARGVQELVFVNRASKFDTDVPFPATLFRCSSLTRLYIGFLRFPAVATVPRAASFPHLRELGLCSLIMGQRELAFLLDRCPVLENFEIVCHRELLRLRVASHSLRCVEVCMSIVEEITVEHAARLERLMFWETCGTGGVIDNVGGIIDMRTRVKIGHAPNLRVLGFLVPVMHELSIGNTDIRAGTKPTRRTMVPSVQMLGIQLKLFDNNQVRMLPSFLRCFPNVETLYIQSETTLSGNTTGKLNPKLLQETSPIECLQKHIKKVIMREFRMQRSELDFLKFIAGRGQVLEKVVVVLTHTCSSSADRLRASLSTFMASTRLANEDCKLIVYESPFPIDATAWCFQGAFNMSKDPFDIFT >ORUFI06G16650.3 pep chromosome:OR_W1943:6:15547586:15549739:1 gene:ORUFI06G16650 transcript:ORUFI06G16650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMQTNMLHLMGLDETMKMVTKNVFSSLPDAPVSLHAPLAFAAAARPPRDGVDRTGALPVGILCDILSRLPARDAARTSALSTLWRRLWRSVPLVLADAHLKHTGPPPPPVSEIDQVDGLGGVLRRAVDGTRDVASAVSRALAAHPGPFRSVHVTCTRMDAHHAELALWLQLLAAKGVQELVLVHQASKLDAGVRLPATLFRCSSLTCLYIGFLRFPDVATIQRAGAFPHLRELGLCSLVMGVRDLALLLDRCPVLENLEIVGHRELVRLRVASHSLRCVELCESVVEEITVEHAARLERLMFWEICGVGDVIDEDGCSINMCTKIKIGHAPNLRFLGFLVPGMHELNIGNTIIKAGTKVSPKNMVRSVRVLGIQVKLFNHSEVKMLPIFLRCFPNVETLYIQCETTIHKPPGMLNPKFLQQTGPIDCLQKHIKKVIIREFRVHRSELDFVKFIAERGQVLEKIVIVLAQSYSSSADRLRSSMRTFMASVKLANEDCKVIVCESPFPSDGTAWCFQGAFNMSKDPFDVSQCSNSGASCRAA >ORUFI06G16660.1 pep chromosome:OR_W1943:6:15570419:15571797:-1 gene:ORUFI06G16660 transcript:ORUFI06G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRATTLLVLMQIIMVFYTVILSCSFSDARTFPGGEGGLDPNHPVCVGGACPTPGLPYTNPRDPCIYRNRCNPPGRMGDP >ORUFI06G16670.1 pep chromosome:OR_W1943:6:15581009:15583440:1 gene:ORUFI06G16670 transcript:ORUFI06G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNKKVKKAMETIATNINDLPNEVLQYILSFLSTREVVQTCVLSQRWCNIWKFVPTVHVTNETIQHCQKLLDHVIMQRGDVSIDTCHLEFVKYFRRENRKANKWIFHALSVCKVKELRVYIQFQDFFLTITNQAIISGYLRKLELDSVKLEANSLDFTSCPLLEELQMGYCIIYARKIVSKSLKRLKMETMFFETEDDDGWPCRLHISVPNIVSLTLLGFDGWTPLFESMPYLAFAIVTFNDECYDTCQYSSFWDCGIEDCEGCYAIGDHLNGSVFLHHLSHTTHMELTNDCRMNMNDSISTIFDRDLKWCPLFRNLKTLLLNEWFLENGLRGVLRILQHSPALEKITLKLYMEPKKIVENEESYGTMEQPFVMNHLKKISVKCQKEVMWVKKIIMTLTQFGIPHQRICVKEIPRSSIISEMTYLQTEHNLRMKPYKCVLSDLKAKAER >ORUFI06G16680.1 pep chromosome:OR_W1943:6:15622876:15628041:1 gene:ORUFI06G16680 transcript:ORUFI06G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIETHYHCYSLHQSTKRKVEEEDLQGERRPMVKFSKQFEGQLVPEWKDAFVDYWQLKKDIKRLQAAEAEAAGVAATTPLSQCQAPVAAAHWVHRKLASGGGGGGGAVAGEVYETELVDGGAGFADGEAARAFFARLDEQLNKVNRFYERKEAEFVERGESLRRQLQILAELRAAVVAEQQRDGRRRRCGNGGDSSPPDTEDPSVSCSILHGDQSLRGTSEQEQEGQEKLTKDMIARSPDDGDDDQLTIPQELGDSGRLGRPREEAANTRPRTTLPGGKAVTCQGRSVRINIPVTTPTRTVTAIRELLFDDMLSQSRRSGSANGTKCGDKLSINKRKVHQAEKMIRGALIELYKGLGYLKTYRSLNMMAFVKILKKFDKVTAKEAQSIYLKVVESSYFNVSDKVIRLMDDVDELFVRHFAEGDKRKAMKYLKPNQREESHTTTFFIGLFTGGFAALFIGYCIMAHIAGMYTQQSNKVYMATSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYTFIFEFTPTKELKYRDVFLICTTSMTIVIGVMFAHLTLIVKGYSSCAVQAIPGALLLVFLLILVCPFNILYRSCRYHFLTVIRNIILTPFYKVVMVDFFMADQLCSQVPLLRSLEYLACYYITSSYKTQDYGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHIVNLGKYVSAMLAAGTKVAYENDNSAGWLSLVVIVSSLATIYQLYWDFVKDWGLLQFNSKNPWLRNDLILKQKYIYFLSMGLNLILRLAWLQTVIHPNIGSLDSRVTLFILAALEVIRRGHWNFYRLENEHLNNAGKFRAVKVVPLPFHEVEED >ORUFI06G16680.2 pep chromosome:OR_W1943:6:15623589:15628041:1 gene:ORUFI06G16680 transcript:ORUFI06G16680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHRKLASGGGGGGGAVAGEVYETELVDGGAGFADGEAARAFFARLDEQLNKVNRFYERKEAEFVERGESLRRQLQILAELRAAVVAEQQRDGRRRRCGNGGDSSPPDTEDPSVSCSILHGDQSLRGTSEQEQEGQEKLTKDMIARSPDDGDDDQLTIPQELGDSGRLGRPREEAANTRPRTTLPGGKAVTCQGRSVRINIPVTTPTRTVTAIRELLFDDMLSQSRRSGSANGTKCGDKLSINKRKVHQAEKMIRGALIELYKGLGYLKTYRSLNMMAFVKILKKFDKVTAKEAQSIYLKVVESSYFNVSDKVIRLMDDVDELFVRHFAEGDKRKAMKYLKPNQREESHTTTFFIGLFTGGFAALFIGYCIMAHIAGMYTQQSNKVYMATSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYTFIFEFTPTKELKYRDVFLICTTSMTIVIGVMFAHLTLIVKGYSSCAVQAIPGALLLVFLLILVCPFNILYRSCRYHFLTVIRNIILTPFYKVVMVDFFMADQLCSQVPLLRSLEYLACYYITSSYKTQDYGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHIVNLGKYVSAMLAAGTKVAYENDNSAGWLSLVVIVSSLATIYQLYWDFVKDWGLLQFNSKNPWLRNDLILKQKYIYFLSMGLNLILRLAWLQTVIHPNIGSLDSRVTLFILAALEVIRRGHWNFYRLENEHLNNAGKFRAVKVVPLPFHEVEED >ORUFI06G16680.3 pep chromosome:OR_W1943:6:15622876:15623484:1 gene:ORUFI06G16680 transcript:ORUFI06G16680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATIETHYHCYSLHQSTKRKVEEEDLQGERRPMVKFSKQFEGQLVPEWKDAFVDYWQLKKDIKRLQAAEAEAAGVAATTPLSQCQAPVAAAHWVMRLPFLHPHGHHHKEHVLKV >ORUFI06G16690.1 pep chromosome:OR_W1943:6:15647207:15651743:1 gene:ORUFI06G16690 transcript:ORUFI06G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWEPVTFEESLCFVKKVKARDYVLYLSLLDVLSRNEQIPLEAYSELSLLFRDHDDLLEELAKFRPLPTPSTVYSHSSVWLLFFLMPLLVLSILLKCFLLQQPVAS >ORUFI06G16700.1 pep chromosome:OR_W1943:6:15652073:15655250:-1 gene:ORUFI06G16700 transcript:ORUFI06G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMCGWLLKVVRWENLNCVHMEAHGNRRSSPTYLVMLWMISVASLLITCRGSIQKQVLFPGFTAAQMDYIDNDGIFLLSNGSVFGFGFVTSNVSDNTFYILAVVHMATTTTVWSANPNSPVTHSDDFFFDKDGNAFLQSGGGSNVWAANISGKGTATSMQLLDSGNLVVLGKDASSPLWQSFSQPTDTLLSGQNFIEGMTLMSKSNTVQNMTYTLQIKSGNMMLYAGFETPQPYWSAQQDSRIIVNKNGDSIYSANLSSASWSFYDQSGSLLSQLVIAQENANATLSAVLGSDGLIAFYMLQGGNGKSKFSITVPADSCDMPAYCSPYTICSSGTGCQCPSALGSFANCNPGVTSACKSNEEFPLVQLDSGVGYVGTNFFPPVAKTNLTGCKSACTGNCSCVAVFFDQSSGNCFLFNQIGSLQHKGGNTTRFASFIKVSSRGKGGSDSGSGKHNTIIIVIILGTLAIIGVLIYIGFWIYKRKRHPPPSQDDAGSSEDDGFLQTISGAPVRFTYRELQDATSNFCNKLGQGGFGSVYLGTLPDGSRIAVKKLEGIGQGKKEFRSEVTIIGSIHHIHLVKLRGFCTEGPHRLLAYEYMANGSLDKWIFHSKEDDHLLDWDTRFNIALGTAKGLAYLHQDCDSKIVHCDIKPENVLLDDNFIAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWLTNYAISEKSDVYSYGMVLLEIIGGRKSYDPSEISEKAHFPSFAFKKLEEGDLQDIFDAKLKYNDKDGRVETAIKVALWCIQDDFYQRPSMSKVVQMLEGVCEVLQPPVSSQIGYRLYTNAFKSSSEEGTSSGMSDYNSDALLSAVRLSGPR >ORUFI06G16710.1 pep chromosome:OR_W1943:6:15688840:15689328:-1 gene:ORUFI06G16710 transcript:ORUFI06G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGTGGGSLMRPLVISEGSFGGRLDQPVVAVATTNLVQNGVRHTASADLLYRRLAGDWRDWRAHRLSAARLLRCLTGAGLPTPQLLRSPAPPLQGDSHSPLACVPPAAPTSWLRRPLPTTLLGTRTPVLTFVGTTPSPGTSYLHNLCWLEAAGGAARRGGG >ORUFI06G16720.1 pep chromosome:OR_W1943:6:15696348:15702764:1 gene:ORUFI06G16720 transcript:ORUFI06G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKRDTLKIMKTVPDMASLRDIARTKSKEDVRARTETVTIATTTANTLIASNGCRAIPVERDTLKIMKTVPDMASLRDIARTKSKEDVRARTETVTIATTTANTLIASNGCRAIPVEPIDARGQSVDDDDDDPDMQQRSEYAKFHAVQRQANT >ORUFI06G16730.1 pep chromosome:OR_W1943:6:15702796:15779332:1 gene:ORUFI06G16730 transcript:ORUFI06G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYLPPPPHPTPPLPPPTDHNSILSTQKHTRNYVRLISDRSSWSSSVADKRYVSPAIDRLTDMAAKGSPAEEALLVGVGGDDQLVESDDQLAPAAAVVVREEVKKQLWLAGPLVAGALLQNVIQMISVMFVGHLGELPLAGASMASSFASVTGLSLLLGMASALDTLCGQAFGARQYHLLGVYKQRAMLLLTAVSVPLAVVWFYTGDILVAFGQDADIAAEAGAYARWMIPALFAYGLLQCHVRFLQTQNVVLPVMASAGAAALCHLVVCWALVHAAGMGSKGAALSNAVSYWINVAILAVYVRVSSSCKKTWTGFSVEAFHDPLSFFRLAIPSALMVCLEMWSFELIVLLSGLLPNPKLETSVLSISLNTAAFVWMIPFGLGSAISTRVSNELGAGRPRAARLAVQVVVFMAVSEGLVIGLVLVGVRYIWGHAYSDEEEVVTYVAKMMLVIAVSNFFDGIQCVLSGVARGCGWQKIGACVNLGAYYIVGIPSAYLIAFVLHVGGMGLWLGIICGLLVQVLLLMAITLCTNWDKEAANAKDRTPPENMVINKASAAEEAPVVVAGDEDDDGEAGLGLREEVKKQLWLAGPLVAVALLRYVIQMISVMGAALSNAVSYWINVAILAVYVRVSSSCKKTWTGFSTEAFRDALGFFRLAVPSALMVCLEMWSYEILVLLSGRLPNPKLQTSVLSISLNTASLVWMIPFGLGSAIRLVTATISTTHAIFTIRGDENIGGFTWQLGVIFDKYGERFINRDNHHEVFILGVQTFEHVVGRLIEVSVELAITFNRRTRVSNELGAGRPHAACLAVRVSVFMAISEGLVIGLVLISVRNIWGHAYSNEEEVVKYVGKVLLVISVSNFFDGIQCVLSGVARGCGWQKIGACVNLGAYYIVGIPSAYLIAFILHLGGMGLWLGITCGILVQVVLLMAFTLCTNWDKEEEANMEKASCLEEALLLPESCKEEEITASDEVKRQLRLAGPLIAGSLLQNLIQMISVMFVGHLGELPLAGASMASSFAGVTGFSLLLGLASALDTLCGQAFGARQYHLLGVYKQRAMLLLSAVSVPLAVAWYYTGDILLLFGQDADIAAEAGAYARWMIPALFAYGPLQCHVRFLQTQNMVVPVMAGAGAGGP >ORUFI06G16730.2 pep chromosome:OR_W1943:6:15702796:15779332:1 gene:ORUFI06G16730 transcript:ORUFI06G16730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYLPPPPHPTPPLPPPTDHNSILSTQKHTRNYVRLISDRSSWSSSVADKRYVSPAIDRLTDMAAKGSPAEEALLVGVGGDDQLVESDDQLAPAAAVVVREEVKKQLWLAGPLVAGALLQNVIQMISVMFVGHLGELPLAGASMASSFASVTGLSLLLGMASALDTLCGQAFGARQYHLLGVYKQRAMLLLTAVSVPLAVVWFYTGDILVAFGQDADIAAEAGAYARWMIPALFAYGLLQCHVRFLQTQNVVLPVMASAGAAALCHLVVCWALVHAAGMGSKGAALSNAVSYWINVAILAVYVRVSSSCKKTWTGFSVEAFHDPLSFFRLAIPSALMVCLEMWSFELIVLLSGLLPNPKLETSVLSISLNTAAFVWMIPFGLGSAISTRVSNELGAGRPRAARLAVQVVVFMAVSEGLVIGLVLVGVRYIWGHAYSDEEEVVTYVAKMMLVIAVSNFFDGIQCVLSGVARGCGWQKIGACVNLGAYYIVGIPSAYLIAFVLHVGGMGLWLGIICGLLVQVLLLMAITLCTNWDKEAANAKDRTPPENMVINKASAAEEAPVVVAGDEDDDGEAGLGLREEVKKQLWLAGPLVAVALLRYVIQMISVMGAALSNAVSYWINVAILAVYVRVSSSCKKTWTGFSTEAFRDALGFFRLAVPSALMVCLEMWSYEILVLLSGRLPNPKLQTSVLSISLNTASLVWMIPFGLGSAISTRVSNELGAGRPHAACLAVRVSVFMAISEGLVIGLVLISVRNIWGHAYSNEEEVVKYVGKVLLVISVSNFFDGIQCVLSGVARGCGWQKIGACVNLGAYYIVGIPSAYLIAFILHLGGMGLWLGITCGILVQVVLLMAFTLCTNWDKEEEANMEKASCLEEALLLPESCKEEEITASDEVKRQLRLAGPLIAGSLLQNLIQMISVMFVGHLGELPLAGASMASSFAGVTGFSLLLGLASALDTLCGQAFGARQYHLLGVYKQRAMLLLSAVSVPLAVAWYYTGDILLLFGQDADIAAEAGAYARWMIPALFAYGPLQCHVRFLQTQNMVVPVMAGAGAGGP >ORUFI06G16730.3 pep chromosome:OR_W1943:6:15702796:15779332:1 gene:ORUFI06G16730 transcript:ORUFI06G16730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYLPPPPHPTPPLPPPTDHNSILSTQKHTRNYVRLISDRSSWSSSVADKRYVSPAIDRLTDMAAKGSPAEEALLVGVGGDDQLVESDDQLAPAAAVVVREEVKKQLWLAGPLVAGALLQNVIQMISVMFVGHLGELPLAGASMASSFASVTGLSLLLGMASALDTLCGQAFGARQYHLLGVYKQRAMLLLTAVSVPLAVVWFYTGDILVAFGQDADIAAEAGAYARWMIPALFAYGLLQCHVRFLQTQNVVLPVMASAGAAALCHLVVCWALVHAAGMGSKGAALSNAVSYWINVAILAVYVRVSSSCKKTWTGFSVEAFHDPLSFFRLAIPSALMVCLEMWSFELIVLLSGLLPNPKLETSVLSISLNTAAFVWMIPFGLGSAISTRVSNELGAGRPRAARLAVQVVVFMAVSEGLVIGLVLVGVRYIWGHAYSDEEEVVTYVAKMMLVIAVSNFFDGIQCVLSGVARGCGWQKIGACVNLGAYYIVGIPSAYLIAFVLHVGGMGLWLGIICGLLVQVLLLMAITLCTNWDKEEEANMEKASCLEEALLLPESCKEEEITASDEVKRQLRLAGPLIAGSLLQNLIQMISVMFVGHLGELPLAGASMASSFAGVTGFSLLLGLASALDTLCGQAFGARQYHLLGVYKQRAMLLLSAVSVPLAVAWYYTGDILLLFGQDADIAAEAGAYARWMIPALFAYGPLQCHVRFLQTQNMVVPVMAGAGAGGP >ORUFI06G16730.4 pep chromosome:OR_W1943:6:15767197:15779554:1 gene:ORUFI06G16730 transcript:ORUFI06G16730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGAALGNAVSYWINVGVLAVYVRVSRSCKKTWTGFSMEAFRDPLSFFRLAIPSALMVCLEWWSFELLVLLSGLLPNPKLETSVLSITLNTANCLFMIPFGLGAAISTRVSNELGAGRPRAARLAVRVVTLLATLEGLGMALVLACVRYVWGHAYSNEEEVVAYVAKMMLKIGACINLGAFYVVGVPAAYLAAFVLRAGGLGLWMGIICGVAVQTLLFLAITSRTDWQKEAKMAKDRVFSSSLPTDLATAPFVRDILVDILVGGSGGTRMYVIHTYVQGSSACM >ORUFI06G16740.1 pep chromosome:OR_W1943:6:15780125:15781511:-1 gene:ORUFI06G16740 transcript:ORUFI06G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRSLRVPPSLPPSCAVVAPTACCPLPPSARQRRRQNPDGIQRLPALKALVKLQALVRGFLVRRQAAATLQSMQALIRAQATVRAHRTGAGAAANLPHLHHAPFWPRRSLQERCAADDTRSEHGVAAYNRRLSTSIESLSYGYDRSPKIVEVDTGRPKSRSSSSRRASSPLLDAGCASGGEEWCANSMSSPLPCYLPGGGAAAPHRRPDVAPLPGLRLVRAGEGPAGDGAEHAALHARAADADQECVQRRLVAAQPPPLPPFRRLCCICRSPCRVVVVTNHGDLAVLVAATVPPTVVRRAERARARRWRGKRARACCPPPHGARRCRYHRPPQERGGPICAERERERERERGKAMPPGMGEAALPPAVSGVGGEASVASGHLRRRWGKGGGERVRGEEEGRKK >ORUFI06G16750.1 pep chromosome:OR_W1943:6:15784213:15792076:1 gene:ORUFI06G16750 transcript:ORUFI06G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEFISLGEPCEADAKDEEETNLQTIPPNLNEVNPLASEGESGPVDNTKASDGIIDLEGQDQVDGEPTTMDSTKVPDVIIDLEEGQVEDMDLSDDDVVVKHQHLDASIQSSTSVADVQTLHGVSVEQDKGNGLENGSHASNSILIDESTIRGVKRARVESTEPSVRVIYSNLTRESKRKLMELMQQWSEWQTRKQNTLTKAGEEVLECGEETYYPALHVGSEKSCAVSFWVDSQAKEGVVLDDDSVPLYDREFTLGSTPLGDPSNTESRADKDDSRCFNCGSYSHALKECPKPRDNAAINNARKQHNMKRNQSNVNRGQNRYYQKTPGKFDDLRPGILGPETRECLGIGENDPPPWLHRMRELGYPPGYLDVVDDEDKPSGITIFGDEDPKEEYEEGELPDQGEPSPPRKKKTVEFPGINGPIPENGDRWLWDSTPPQYSERYHSSDSREQRDRGPPGADRYSRYHSYDYGPASPSHGRSHPDRGWRSSSGYENLPADDGAWTPHAYSSRQYSSQYSTSSETSSRHSRDRHDRHYHHRR >ORUFI06G16750.2 pep chromosome:OR_W1943:6:15784213:15792076:1 gene:ORUFI06G16750 transcript:ORUFI06G16750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEFISLGEPCEADAKDEEETNLQTIPPNLNEVNPLASEGESGPVDNTKASDGIIDLEGQDQVDGEPTTMDSTKVPDVIIDLEEGQVEDMDLSDDDVVVKHQHLDASIQSSTSVADVQTLHGVSVEQDKGNGLENGSHASNTIRGVKRARVESTEPSVRVIYSNLTRESKRKLMELMQQWSEWQTRKQNTLTKAGEEVLECGEETYYPALHVGSEKSCAVSFWVDSQAKEGVVLDDDSVPLYDREFTLGSTPLGDPSNTESRADKDDSRCFNCGSYSHALKECPKPRDNAAINNARKQHNMKRNQSNVNRGQNRYYQKTPGKFDDLRPGILGPETRECLGIGENDPPPWLHRMRELGYPPGYLDVVDDEDKPSGITIFGDEDPKEEYEEGELPDQGEPSPPRKKKTVEFPGINGPIPENGDRWLWDSTPPQYSERYHSSDSREQRDRGPPGADRYSRYHSYDYGPASPSHGRSHPDRGWRSSSGYENLPADDGAWTPHAYSSRQYSSQYSTSSETSSRHSRDRHDRHYHHRR >ORUFI06G16750.3 pep chromosome:OR_W1943:6:15784213:15787683:1 gene:ORUFI06G16750 transcript:ORUFI06G16750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEFISLGEPCEADAKDEEETNLQTIPPNLNEVNPLASEGESGPVDNTKASDGIIDLEGQDQVDGEPTTMDSTKVPDVIIDLEEGQVEDMDLSDDDVVVKHQHLDASIQSSTSVADVQTLHGVSVEQDKGNGLENGSHASNSILIDESSILLYEICLISIF >ORUFI06G16760.1 pep chromosome:OR_W1943:6:15796229:15797402:1 gene:ORUFI06G16760 transcript:ORUFI06G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMETRPLSPRASPLPSSAKSPAGGGGGGGGGGLQWLFGKRGRRNRARQPLAAQLGPGDDDGEEVEDEEDFFFVSTPYLSTPSWSAAAAAAGASPRKRGDHQAALARLRAAVLSVLARARRGGRRAGGGSSASARVLTGTVFGRLRGRVHLALQTDPRAAPAMMLELAGYSTGALVREMASGLVRLALECEKAPPPPNPGEKRRRAALMEETTWRAYCNGRKCGYAVRRECGAAEWRVLRAVEPVTVGAGVLPDGGGVAGGEGDMMYMRARFERVVGSRDSEAFYMVSPDGNAGPELSIYLLRV >ORUFI06G16770.1 pep chromosome:OR_W1943:6:15810027:15817459:1 gene:ORUFI06G16770 transcript:ORUFI06G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPESYIGSVISLTSKSEIRYEGVLYTINTEESSIGLRNVRSFGTEGRKKDGQQIPASDKIYEYILFRGSDIKDLQVKSSPPAQPTTLHNDPAIIQSHYPRPASTSLPPPASTAADPASHNGHSGIQMPPPLPQFQPGARFYTPPSGFPHLQQPPFLRPPHGLTIPQALQQPIQYPGLNAPLPPFPRMPEFALPQPGSGNNLTQNLGVSTSMPVPALSSTPATESSANQLPNMLSSVSASVFSLGLTPPSVNPPVSTIESTMSQSQGISPLMNNKPVSLPLDSTVPSASSNKPMNIPVPTYLPSSQPPLANIAASAATVAEPVTLVTPGQLLPTISSTVLSADGLETASAMIPSPKAASSMVSSSQLEQNNEDRHTKKPEWKAKQHSVAPSNKEPLLPAPKPTLQKPVGASSYIQYNNRGRGRGRGRGRGIGQSRPITKFTEDFDFMAMNEKFNKDEVWGHLGKSKGQLNDDPNEYEDDVLEDDISPGKPEVKPVYVKDDFFDSLSCNTIDNGGGNGRIKFSEQRKIDTETFGDSARHRPMGMRGGGRGPRGGPRGRGYYGRGYGYMGRGRGYSYPNHQS >ORUFI06G16770.2 pep chromosome:OR_W1943:6:15810027:15817066:1 gene:ORUFI06G16770 transcript:ORUFI06G16770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPESYIGSVISLTSKSEIRYEGVLYTINTEESSIGLRNVRSFGTEGRKKDGQQIPASDKIYEYILFRGSDIKDLQVKSSPPAQPTTLHNDPAIIQSHYPRPASTSLPPPASTAADPASHNGHSGIQMPPPLPQFQPGASLPSWNSSPMPSSANGAPASTTTADPGSHNGQSGIQMPPPLPQYQPGASLPSWNSSPMPSSANGAGLTMPPMYWPGFYTPPSGFPHLQQPPFLRPPHGLTIPQALQQPIQYPGLNAPLPPFPRMPEFALPQPGSGNNLTQNLGVSTSMPVPALSSTPATESSANQLPNMLSSVSASVFSLGLTPPSVNPPVSTIESTMSQSQGISPLMNNKPVSLPLDSTVPSASSNKPMNIPVPTYLPSSQPPLANIAASAATVAEPVTLVTPGQLLPTISSTVLSADGLETASAMIPSPKAASSMVSSSQLEQNNEDRHTKKPEWKAKQHSVAPSNKEPLLPAPKPTLQKPVGASSYIQYNNRGRGRGRGRGRGIGQSRPITKFTEDFDFMAMNEKFNKDEVWGHLGKSKGQLNDDPNEYEDDVLEDDISPGKPEVKPVYVKDDFFDSLSCNTIDNGGGNGRIKFSEQRKIDTETFGDSARHRPMGMRGGGRGPRGGPRGRGYYGRGYGYMGRGRGYSYPNHQSYE >ORUFI06G16770.3 pep chromosome:OR_W1943:6:15810027:15817459:1 gene:ORUFI06G16770 transcript:ORUFI06G16770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPESYIGSVISLTSKSEIRYEGVLYTINTEESSIGLRNVRSFGTEGRKKDGQQIPASDKIYEYILFRGSDIKDLQVKSSPPAQPTTLHNDPAIIQSHYPRPASTSLPPPASTAADPASHNGHSGIQMPPPLPQFQPGASLPSWNSSPMPSSANGAPASTTTADPGSHNGQSGIQMPPPLPQYQPGASLPSWNSSPMPSSANGAGLTMPPMYWPGFYTPPSGFPHLQQPPFLRPPHGLTIPQALQQPIQYPGLNAPLPPFPRMPEFALPQPGSGNNLTQNLGVSTSMPVPALSSTPATESSANQLPNMLSSVSASVFSLGLTPPSVNPPVSTIESTMSQSQGISPLMNNKPVSLPLDSTVPSASSNKPMNIPVPTYLPSSQPPLANIAASAATVAEPVTLVTPGQLLPTISSTVLSADGLETASAMIPSPKAASSMVSSSQLEQNNEDRHTKKPEWKAKQHSVAPSNKEPLLPAPKPTLQKPVGASSYIQYNNRGRGRGRGRGRGIGQSRPITKFTEDFDFMAMNEKFNKDEVWGHLGKSKGQLNDDPNEYEDDVLEDDISPGKPEVKPVYVKDDFFDSLSCNTIDNGGGNGRIKFSEQRKIDTETFGDSARHRPMGMRGGGRGPRGGPRGRGYYGRGYGYMGRGRGYSYPNHQS >ORUFI06G16770.4 pep chromosome:OR_W1943:6:15810027:15817459:1 gene:ORUFI06G16770 transcript:ORUFI06G16770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPESYIGSVISLTSKSEIRYEGVLYTINTEESSIGLRNVRSFGTEGRKKDGQQIPASDKIYEYILFRGSDIKDLQVKSSPPAQPTTLHNDPAIIQSHYPRPASTSLPPPASTAADPASHNGHSGIQMPPPLPQFQPGASLPSWNSSPMPSSANGAPASTTTADPGSHNGQSGIQMPPPLPQYQPGASLPSWNSSPMPSSANGAGLTMPPMYWPGFYTPPSGFPHLQQPPFLRPPHGLTIPQALQQPIQYPGLNAPLPPFPRMPEFALPQPGSGNNLTQNLGVSTSMPVPALSSTPATESSANQLPNMLSSVSASVFSLGLTPPSVNPPVSTIESTMSQSQGISPLMNNKPVSLPLDSTVPSASSNKPMNIPVPTYLPSSQPPLANIAASAATVAEPVTLVTPGQLLPTISSTVLSADGLETASAMIPSPKAASSMVSSSQVALAASSQVTSSVVSPSEDQFASSPVPSHQQLEQNNEDRHTKKPEWKAKQHSVAPSNKEPLLPAPKPTLQKPVGASSYIQYNNRGRGRGRGRGRGIGQSRPITKFTEDFDFMAMNEKFNKDEVWGHLGKSKGQLNDDPNEYEDDVLEDDISPGKPEVKPVYVKDDFFDSLSCNTIDNGGGNGRIKFSEQRKIDTETFGDSARHRPMGMRGGGRGPRGGPRGRGYYGRGYGYMGRGRGYSYPNHQS >ORUFI06G16780.1 pep chromosome:OR_W1943:6:15828945:15830317:1 gene:ORUFI06G16780 transcript:ORUFI06G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRRRRPRGGRDGAVRRRRRLCPVVRSVPVLLPPPPVQHGADPRGRRRQRGCGCARRRRRLGSRRRCSHLPVLVVCAHLRRPRRGAQRRVVDGHGHGRARHRASTNRLRPDGGDLLPLLLLQLRRQRHGDGDHHHPEERRRLRFAEAGTRAIIAVAGSRAARVLQEAARQQQGDTELSCQEPAGEDQRAAEGAAGAGAERREGGHGDHAGQGHQLRQVHAAAAQGAGDRRLLAGGGRRRRAGHLPGQGRARRHHPLLVLALAKGFSASVGLAIYINELATDLSKSKLRLWTTYAA >ORUFI06G16790.1 pep chromosome:OR_W1943:6:15856690:15860023:1 gene:ORUFI06G16790 transcript:ORUFI06G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVAGERWSGGGGGHHRRLMGASSSSAPAPAGEDDAGKSGSSKDGMKIMVSVLVVVIFCTLLYCVYCWRWRKRNAVRRAQMERLRPMSSSDLPLMDLASIHAATDSFSKANKLGEGGFGPVYRGVLPGGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGWCAERDEKLLVYEFLPNGSLDAFLFSTPRPLLLLLLLLLLAAPPLLLPRSRLVVSLSPLSLSVTVVGKSSGSELAPSISHHEGKSAQLGWATRHNIIVGIARGLLYLHEDSLLKVVHRDLKASNVLLDDKMSPKISDFGMAKIFEDECNEVNTGRVVGTYGYMAPEFALEGVYSVKSDVFSFGVLLLEILSGQRNGALYLEEHQQSLIQDAWKLWTEGLAAEFMDPALGRGYAAEEAWRCYHVGLLCVQEDADARPTMSNVLLALISDHMNLPEPSRPPMFTRLRRALLLAPPLMTTKTDSTASPVSVNDVSITVIEPR >ORUFI06G16800.1 pep chromosome:OR_W1943:6:15891287:15891737:1 gene:ORUFI06G16800 transcript:ORUFI06G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVELEATAGSAGAAGVGWAAGAWDGWWWFCGVMGLLHVNFNDRVAANFNDELFSGRRIRKSAPHHSYSEDNEDYNFLLVVNVGISSSEGLLGRPSSLLPPLRFPLLQWFPATGSAATA >ORUFI06G16810.1 pep chromosome:OR_W1943:6:15904324:15917014:1 gene:ORUFI06G16810 transcript:ORUFI06G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAELCCLLALLPLVYCLLTLFHGSRESDLRLPPGPWRLPLIGSLHHLFGRTLPHRALRDLARLHGPLMLLSFGQAAPVVIASTAIAAREIMRTHDDNFSTRPLSTVLKVCTRYGAGMTFVPYGEHWLQVRKICSLELLSPRRILKFRSIREEEVARLVLAIASSSTPTPTPPAPVNLSKLLSNYMTDATVHIIMGQCFRDRDTLVRYVDEAVRLASSLTMADLFPSWRLPRVMCATTLHRAEVFVESVMEFMDRVISEHLEKRSCQGGDREEDLIDVLLRLQAEGNLEFELTTSIIKAIIFELLAGGSEAPITTLQWAMAELMRNPDVMSRAQAEVREAYKEKMKVTEEGLTNLPYLHCIIKETLRLHTPGPFVLPRKCQEQCQILGYDVPKRATVVVNIWAICRDAEIWDEPEKFMPDRFEGSAIEHKGNHFEFIPFGAGRRICPGMNFALANMELALASLLFYFDWSLPEDVLPGDLDMTETMGLTARRKEDLYVCAIPFVQLP >ORUFI06G16820.1 pep chromosome:OR_W1943:6:15922386:15926700:1 gene:ORUFI06G16820 transcript:ORUFI06G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLHCALLLLAVAAAAVHHLPPAASLPAMPNPASLEPSLLFPSSSGASSQPAQPGPGAASSTIPAFPEQSEAAAATSVCQLAPSPPLLPAVLASCNAGGGALPPRLRCCPALAAWMYAAYAPTALLAAAAGGGGGVPGAAHSTASAAEAVAAVVDLPVLPDDAEECAGAAERARRRRRRRWAPTGRRRRRRATCRSATAGLRRPACAAPAGRAARRLEKACSRPGLAGCSRCLRALNQLNGGNATKAANASHGGAQAQHQQQRQRDCQLMGLTWLLHRNATRHGAAATAVIQALMAADDATGRPATCSLPSDDLPVAVASSEINGAAAAKLAGGGLNIGRLLLRHAVVLAAFAMILSSQYCF >ORUFI06G16830.1 pep chromosome:OR_W1943:6:15932750:15934575:-1 gene:ORUFI06G16830 transcript:ORUFI06G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSDEWLAADKLQHVLACFLIALAGAALAGRSSRSPLRRRPAALGCAASLAAGAAKEIADEAGFFGSSGASLRDAAADLVGATLAAVALAILRRLRRPRGEGKARDADHRAGISMSSGTLLVLRLCQAMAKLRPPLVPSNEKVEAEMTDLPVLFCKWQSPISGNYIHNADKSYNFFGRYVYL >ORUFI06G16830.2 pep chromosome:OR_W1943:6:15932938:15934575:-1 gene:ORUFI06G16830 transcript:ORUFI06G16830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSDEWLAADKLQHVLACFLIALAGAALAGRSSRSPLRRRPAALGCAASLAAGAAKEIADEAGFFGSSGASLRDAAADLVGATLAAVALAILRRLRRPRGEGKARDADHRAGISMSSGTLLVLRLCQAMAKLRPPLVPSNEKVEAEMTDLVCYFYLNLCGFLLYSKYVYNFTCLPRQYMLVLLPH >ORUFI06G16840.1 pep chromosome:OR_W1943:6:15940371:15940658:-1 gene:ORUFI06G16840 transcript:ORUFI06G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRVVTVDVIESELDAAVAVAEMELVGAAVTVPESELDSAVAACEAIFYDSDEVHVVEDSFAGDTEVPDTQVAVDIVAGDVASSNPYKYWKKTV >ORUFI06G16850.1 pep chromosome:OR_W1943:6:15942153:15942806:1 gene:ORUFI06G16850 transcript:ORUFI06G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSDSSSGVSSGSGTAMASPRAFQRRWAPADEISLLEAAASHRQRHGGMMPSPGDLAAALRGRLLTEDHHLDARAVSRQLASLRRRYAAAWRRLSSRGVVPVKDHDLRIYRLSKSLWEGSRGCGARKPIARHEARELGELEALYPCLSAEVEAVEASRPCAVPGVFRRSFRRIGDEKAAELEAKAKRLRLAELKVGVRLDMLRKEVAETILELID >ORUFI06G16860.1 pep chromosome:OR_W1943:6:15947301:15947630:-1 gene:ORUFI06G16860 transcript:ORUFI06G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHWGHRPARAKHHRVPSLIPEDFAVVDFKEETIVSRGENTTGRQPLREEPQKMTLMEESHNIHNQAKHQCSKELSDEEDSEKGESSGQKNGDDKKDSKKHGSNGEKRV >ORUFI06G16870.1 pep chromosome:OR_W1943:6:15955642:15967770:1 gene:ORUFI06G16870 transcript:ORUFI06G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSAISAPRYLALRSLSRRGEGGRLGRPAGRRDIHPDPGSRIHPSIHPNTGLTIELFDIRADERILRGSCCARALVGRFTLDANSELKVTLNPAPQGSVAEINLEATNTSGSLILHWGALRPDRGEWLLPSRKPDGTTVYKNRALRTPFIKSGDNSTLKIEIDDPAVQAIEFLIFDEARNNWYKNNGQNFQIQLQASQYQGQGTSTATSSTVVPEDLEEYEAARTELIEELNKGVSLEKLRAKLTKTPEATDSNAPASESTVTTKVPEELVQVQAYIRWEKAGKPNYTPEKQLVEFEEARKELQSELDKGTSVEQLRNKILKGNIETKVSKQLKDKKYFSVERIQRKKRDIVQLLKKHKPTVMEAQVETPKQPTVLDLFTKSLQEQDNCEVLSRKLFKLGDKEILGITTVALGKTKVHLATNYMEPLILHWALSKENGEWQAPPSSILPSGSSLLDKACETSFSEYELNGLHCQVVEIELDDGGYKRMPFVFRSGETWMKNNGSDFYLDFSTKDTGDAGKGTAKALLERIADLEEDAQRSLMHRFNIAADLVDQARDNGLLGIIGIFVWIRFMATRQLIWNKNYNVKPREISKAQDRFTDDLENMYRTYPQYREILRMIMSAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKSDFDIGVYWDTLKKDGITKERLLSYDRPIHSEPNFRSEQKDGLLRDLGNYMRSLKAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLPSGFPKLLEFVLDHVEDKSAEPLLEGLLEARAELHPLLLGSPERMKDLIFLDIALDSTFRTAVERSYEELNNVEPEKIMYFINLVLENLALSTDDNEDILYCLKGWNQALEMAKQKNNQWALYAKAFLDRTRLALASKGEQYYNLMQPSAEYLGSLLNIDQWAVNIFTEEIIRGGSAATLSALLNRIDPVLRNVAQLGSPVEVSGYIVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNCKVLFATCFDPNTLSELQGHDGKVFSFKPTSADITYREIPESELQSGSLNAEAGQAVPSVSLVKKKFLGKYAISAEEFSEEMVGAKSRNVAYLKGKVPSWVGVPTSVAIPFGTFEKVLSDEINKEVAQTIQMLKGKLAQDDFSALGKIRKTVLNLTAPTQLIKELKEKMLGSGMPWPGDEGDQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKDDLDSPKVLGFPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVILDYTTDPLITDQGFQKSILSSIARAGHAIEELYGSPQDVEGAVKEGKLYVVQTRPQMGKAREDYYQVLGVTVNSTPQEIKEAYQEASRNDTIS >ORUFI06G16870.2 pep chromosome:OR_W1943:6:15955642:15967770:1 gene:ORUFI06G16870 transcript:ORUFI06G16870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSAISAPRYLALRSLSRRGEGGRLGRPAGRRDIHPDPGSRIHPSIHPNTGLTIELFDIRADERILRGSCCARALVGRFTLDANSELKVTLNPAPQGSVAEINLEATNTSGSLILHWGALRPDRGEWLLPSRKPDGTTVYKNRALRTPFIKSGDNSTLKIEIDDPAVQAIEFLIFDEARNNWYKNNGQNFQIQLQASQYQGQGTSTATSSTVVPEDLEEYEAARTELIEELNKGVSLEKLRAKLTKTPEATDSNAPASESTVTTKVPEELVQVQAYIRWEKAGKPNYTPEKQLVEFEEARKELQSELDKGTSVEQLRNKILKGNIETKVSKQLKDKKYFSVERIQRKKRDIVQLLKKHKPTVMEAQVETPKQPTVLDLFTKSLQEQDNCEVLSRKLFKLGDKEILGITTVALGKTKVHLATNYMEPLILHWALSKENGEWQAPPSSILPSGSSLLDKACETSFSEYELNGLHCQVVEIELDDGGYKRMPFVFRSGETWMKNNGSDFYLDFSTKDTGDAGKGTAKALLERIADLEEDAQRSLMHRFNIAADLVDQARDNGLLGIIGIFVWIRFMATRQLIWNKNYNVKPREISKAQDRFTDDLENMYRTYPQYREILRMIMSAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKSDFDIGVYWDTLKKDGITKERLLSYDRPIHSEPNFRSEQKDGLLRDLGNYMRSLKAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLPSGFPKLLEFVLDHVEDKSAEPLLEGLLEARAELHPLLLGSPERMKDLIFLDIALDSTFRTAVERSYEELNNVEPEKIMYFINLVLENLALSTDDNEDILYCLKGWNQALEMAKQKNNQWALYAKAFLDRTRLALASKGEQYYNLMQPSAEYLGSLLNIDQWAVNIFTEEIIRGGSAATLSALLNRIDPVLRNVAQLGSWQVISPVEVSGYIVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNCKVLFATCFDPNTLSELQGHDGKVFSFKPTSADITYREIPESELQSGSLNAEAGQAVPSVSLVKKKFLGKYAISAEEFSEEMVGAKSRNVAYLKGKVPSWVGVPTSVAIPFGTFEKVLSDEINKEVAQTIQMLKGKLAQDDFSALGKIRKTVLNLTAPTQLIKELKEKMLGSGMPWPGDEGDQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKDDLDSPKVLGFPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVILDYTTDPLITDQGFQKSILSSIARAGHAIEELYGSPQDVEGAVKEGKLYVVQTRPQMGKAREDYYQVLGVTVNSTPQEIKEAYQEASRNDTIS >ORUFI06G16870.3 pep chromosome:OR_W1943:6:15955642:15967770:1 gene:ORUFI06G16870 transcript:ORUFI06G16870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSAISAPRYLALRSLSRRGEGGRLGRPAGRRDIHPDPGSRIHPSIHPNTGLTIELFDIRADERILRGSCCARALVGRFTLDANSELKVTLNPAPQGSVAEINLEATNTSGSLILHWGALRPDRGEWLLPSRKPDGTTVYKNRALRTPFIKSGDNSTLKIEIDDPAVQAIEFLIFDEARNNWSVLFGLPILPCFLECRRYQITTLYFGQEEYEAARTELIEELNKGVSLEKLRAKLTKTPEATDSNAPASESTVTTKVPEELVQVQAYIRWEKAGKPNYTPEKQLVEFEEARKELQSELDKGTSVEQLRNKILKGNIETKVSKQLKDKKYFSVERIQRKKRDIVQLLKKHKPTVMEAQVETPKQPTVLDLFTKSLQEQDNCEVLSRKLFKLGDKEILEKPKFTWQQTIWSHLYFTGRCQKRMESGRQCGPVLMGCFVTVKAPPSSILPSGSSLLDKACETSFSEYELNGLHCQDTGDAGKGTAKALLERIADLEEDAQRSLMHRFNIAADLVDQARDNGLLGIIGIFVWIRFMATRQLIWNKNYNVKPREISKAQDRFTDDLENMYRTYPQYREILRMIMSAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKSDFDIGVYWDTLKKDGITKERLLSYDRPIHSEPNFRSEQKDGLLRDLGNYMRSLKMEGTLIQSLRMAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLPSGFPKLLEFVLDHVEDKSAEPLLEGLLEARAELHPLLLGSPERMKDLIFLDIALDSTFRTAVERSYEELNNVEPEKIMYFINLVLENLALSTDDNEDILYCLKGWNQALEMAKQKNNQWALYAKAFLDRTRLALASKGEQYYNLMQPSAEYLGSLLNIDQWAVNIFTEEIIRGGSAATLSALLNRIDPVLRNVAQLGSWQVISPVEVSGYIVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNCKVLFATCFDPNTLSELQGHDGKVFSFKPTSADITYREIPESELQSGSLNAEAGQAVPSVSLVKKKFLGKYAISAEEFSEEMVGAKSRNVAYLKGKVPSWVGVPTSVAIPFGTFEKVLSDEINKEVAQTIQMLKGKLAQDDFSALGKIRKTVLNLTAPTQLIKELKEKMLGSGMPWPGDEGDQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKDDLDSPKVLGFPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVILDYTTDPLITDQGFQKSILSSIARAGHAIEELYGSPQDVEGAVKEGKLYVVQTRPQMGKAREDYYQVLGVTVNSTPQEIKEAYQEASRNDTIS >ORUFI06G16880.1 pep chromosome:OR_W1943:6:15972022:15978974:1 gene:ORUFI06G16880 transcript:ORUFI06G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSSKKKKDKVILPPQLPPEVDDDDVVVSDEDVEFFRGNEGHARALATLDRKSIDSYVTRVAHHDEDEVERLYEERERRRKAAEALRPKNHHDDDDFEVDRVDALPVKTLQGELVYNNAKKARFDDSSNDVESKSEDKVGNSKQTIQKGEWKEKSKSKKGDGKLQNVQAQTEASNGKLQSKVLEEVKEELSAEELFEKKKAQLAEIGMSMLEDPESHIRSLNDMLNICNDKDQKVVKLGLMSLLAVFRDIIPSYRIRQLTEKELTVEVSKDVKKMRYYEYTLLRSYKAYLQKLISLEKQPIFSALAVRCMCTLLDTAPHFNFRESILASVARNLSSPDDAVRKMCCETIRSLFVDEGKHRGEATIEAVRLIADHVKLNDCQLHPDSIEVFLSLRFDDDLGKDDREEEKGKPKKNKRRQNQEVPKQLPVSDNKKAKQELISKAREEVDAELRSVSFTLDPKERRMIQKETLSALFETYFRILKHSMSISNSRGKVINVSPDGSHPLLAPCLEGLGKFSHLIDLDFMGELVACLKKLSGYTDHHSGIVHDNTLSVSERLQCCIVAFKVWRSNLEALNVDLQDFFVQLFNLILEYRPDRDRGEVLADALKTLLWEGKQQDMIRAAAFIKRLATFALSFGSAEAMAALITLKHLLQKNSKCRNMLENDSGGGSLSCLVAKYDPEAKDPYLSGALASVLWELSLLQKHYDSSVSSMASNILSMANLNPTQNPVPISNANPLEAYRDLSMERKLSKPANKLLPLNCRKKRRGKEFVALSPAALEGSDCVAGGDELKEKLKNHFAVLRGISENERLRAELNHTLSSINLYKEYKKQKKSRKSKAVKKKVSRA >ORUFI06G16890.1 pep chromosome:OR_W1943:6:15992963:15993397:-1 gene:ORUFI06G16890 transcript:ORUFI06G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSKVAIESAHRRQSLRPPPRPAITSRAARCSLLAAPSPSQAVPQPPLLRRAAWCRGRHAILRPSAAHLVPATAAAPPLVESSRRLCQQPPRPVDGATATATAAALSLTAAFSSSWSLPLSRCSLLPLLPHGEEGRGRGRRCR >ORUFI06G16900.1 pep chromosome:OR_W1943:6:15999162:16001425:-1 gene:ORUFI06G16900 transcript:ORUFI06G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVDPLVVGRVIGDVVDLFVPTTAMSVRFGTKDLTNGCEIKPSVAAAPPAVQIAGRVNELFALVMTDPDAPSPSEPTMREWLHWLVVNIPGGTDPSQGEVVVPYMGPRPPVGIHRYVMVLFQQKARVAAPPPDEDAARARFSTRAFADRHDLGLPVAALYFNAQKEPANRRRRY >ORUFI06G16910.1 pep chromosome:OR_W1943:6:16009147:16012529:1 gene:ORUFI06G16910 transcript:ORUFI06G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLLLPATVAAAAAARLPSRLAVGAAPPFRVLPFFLCPPPQSRSLSFSPVSAVSTAGKRGRSPPPPPSPVISEGRDDEDAAVGRPVCPGCGVFMQDADPNLPGFFKNPSRLSDDEMGEDGSPPLAAEPDGFLGDDEEDGAPSESDLAAELDGLDSDLDEFLEEEDENGEDGAEMKADIDAKIDGFSSDWDSDWDEEMEDEEEKWRKELDGFTPPGVGYGKITEETLERWKKEKLSKSERKRRAREAKKAEAEEDAAVVCARCHSLRNYGHVKNDKAENLIPDFDFDRFISSRLMKRSAGTPVIVMVADCADFDGSFPKRAAKSLFKALEGRGTSKLSETPRLVLVGTKVDLLPWQQMGVRLEKWVRGRAKAFGAPKLDAVFLISVHKDLSVRNLISYVKELAGPRSNVWVIGAQNAGKSTLINAFAKKQGVKITRLTEAAVPGTTLGILRITGVLPAKAKMYDTPGLLHPYIMSMRLNSEERKMVEIRKELRPRCFRVKAGQSVHIGGLTRLDVLKASVQTIYITVWASPSVSLHLGKTENAEELRDKHFGIRLQPPIRPERVAELGHWTERQIDVSGVSWDVNSMDIAISGLGWYSLGLKGNATVAVWTFDGIDVTRRDAMILHRAQFLERPGFWLPIAIANAIGEETRKKNERRKKAEQRDDLLLEESAEDDVEVLI >ORUFI06G16920.1 pep chromosome:OR_W1943:6:16014702:16021550:1 gene:ORUFI06G16920 transcript:ORUFI06G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAYTLRLSPPPPSPSPRRQQHHHAPLLPQRPRSRRGATARAAAAASWAPTDRGSDDGLGGWWLPVPEQQQQQKQPAERGREVGIGIAGSRRALAVGLGASAAIALVGMMWHLPSSRKCLQQFVHAPLHYVQEKLSTLESKETPEEDAGDREWDNIDVSKTANDERVDTKTDDSSQNHMPAGGVHVLFRAPVDPMHEEAFSILKKLQIIEKDASSSDFCSRREFARWFIKLHSKLERKKMHRIIPNRLTFGSVRSAFDDIDADDPDFLYIQSLGESGIVSSKLSNFLGTSTSGSSSDSGNSNFLPNSYLSRFDLVNWKALVEHPFATELDQKMLSKNVRILDLRAWPDVPSSILIDLMGGEQSIISKVFGNTRCLQPHKPVTKAQAAAALTSGRMEEVIRDELNRLEAENQSQLSVMGEIMEELINRGDIKRYWEDKMKVEEIREVAVDKQLQHVLQELANEKTDREKELAVLLKERTALEHQNQELMNLRSEIDGMYDRLAMESLEVMTEEQNLEKLSLDVNRKHQAVSESKSYLEAEKEALTMLRSWVEEEAARVHERAEVLERAVRRWRVPAD >ORUFI06G16930.1 pep chromosome:OR_W1943:6:16024847:16025401:-1 gene:ORUFI06G16930 transcript:ORUFI06G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNQSNPPPPKQKGNPAKGKASQIKLEANKEKATTAAASDRKVMAGGASLLHAVAALMSTCTRRLQRAARRVSSAAAGAGKQGASSRAVVPWRKALSLPAAATAKVKAAAAAAAAARREEGDSGGLWRKEILMGERCQPLDFSGVIYYDADGRRLAHPPPPRSPMRSPLPVSGKLAANARAAY >ORUFI06G16940.1 pep chromosome:OR_W1943:6:16036216:16038141:-1 gene:ORUFI06G16940 transcript:ORUFI06G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPLRRRLLPDPPLPAAARLSRSEPFVSSSDDDDDYSPLSADLFPRAGAPTLLTVARGLAAADDPVPSASTVLAFLRRLPHDASPHLFPHLVAALSRSRGGGGGGGGPLLALRLFLAPLHPAAVTHHSFNSALLRFPLPPHLLPPFFSRSLRRFPGRLAPTLLSFNLLLKCVCSSLVPRDPGRYLDVALRILHEIIPGWDLAPDKFTYSTVVSALADAGRVDDAVALVHEMVADGVVAAEAFNPVLRAMLRAGDVKGAAKLFGFMQLKGCVPTTATYNVLVHGLLVCGRAGAAMGVMRRMEREGVVPGVMTYGAVVDGLVRCGRVKDAWKVAEEMERNGLAWNEFVYSTVITGFCKSGEIDCALKVWEAMVASPVRPNVVLYSAMIGGLANFGKMTEAELLFREMIHSKCAPNIITYGSMIQGYFKIGDTSRALSVWEEMIGAGCVPNAVSYSILINGLCNVGRLKDAMMVWKHMLDRGCAPDTIAYTSMIKGLCVSGMVDGGLRLFYDMLASGHADPDVISYNVLLDGLLLAKDLPRAMDLLNRMLDQGCDPDTVTCNIFLREFGAGERKGREFLEGLVVRLCDRRRNMAAGEVLMVMLAKYIVPEAPIWEMVVRDVCRRKRVWRVIDKCWDEIWGP >ORUFI06G16950.1 pep chromosome:OR_W1943:6:16043748:16045562:1 gene:ORUFI06G16950 transcript:ORUFI06G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGSLIVKLGNTLASEAVELAKSLLGLEGSALKRLFSEIRDVKGELESIRAFLQAAERFKDADETTSAFVKQIRRLAFGIEDAVNEFTYQLGEGGGRMPFKRMCKIGTWSRLAANLQDIKVSLKSAAERRIRYDLKGVVVRGVKSVVGSSSNSNWRSDSVHFKRDDDLVGVDKNRDLLMRWVQDEQQQRHRIVSVWGMGGIGKTALVANVYNAVKDDFDTCAWITVSQSYDADDLLRTTVQKFRKNDRKKDFPDDEGASSYRRLVETIRSYLENKRYVLVLDDVWSTNVWFDSKDAFGGANIIGRIILTSRNYDVALLAPKTNIINLQPLVKSHAWDLFCKEAFWKNGNRDCPPELLQLAQNFVDKCHGLPIAILQLYDAYDGKNIYFHENSFPRLRELSIRGAPHLNEIEMKRGAVASLTDLKLLVCPNLKQLPYGIEHVRTLEELTLDRAAEELVGRVRQKTETVISHVHRVYVGFSRNGVLAAERIQ >ORUFI06G16960.1 pep chromosome:OR_W1943:6:16046446:16049761:-1 gene:ORUFI06G16960 transcript:ORUFI06G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPEFDPADVRAGRDLIHRLTADAAGIQRRVLREILSRNSGTEYLRRFLGGAAGDDDDVRDAFKRRVPVSGYEDVKPYVDRVASGGEPSSALLCSDPITCLSRSSGTSGGQQKLLPSTAEELDRKVFFYAVRALVRNMSLHTDHGEDDDGGGGEGMYLMFAFHGDRTLSGLPIQSALTTYYHSRQFQECDIGGFDKCTSPLEAILCPYGEQSMYCQLLCGLLHRCRVDRVGASFAAGLVRGIKFLENHWEEMCFNIRSGQLSDWITHTPLRDAVTGQYLQGSNPALADEIASECARKPWDGIVRRLWPRARYIRTIVTGSMSQYIPILEVYGGGLPLVSPIYASTECAAGINLRPLDPPSHVSYALLPNIAYFEFLEVMDENGEKVQGTTRLDDNLGLYRYRVGDLFTVSGFYNATPLFHFSGRHDVILSIDYEKISEEDLLNAIAETDKFHLRPLGYMLVGSTAYADISTLPGHYILFWELTNACDSNVAIDIDQTAMEKCCLAVEDHFDEMYRKIRHRGSISALEIRILSHGAFDALMDFFVSRGTSASQYKTPTAIRSKEAMMVLEERVVGRFFSQATPSCRSAEFERK >ORUFI06G16960.2 pep chromosome:OR_W1943:6:16046446:16049761:-1 gene:ORUFI06G16960 transcript:ORUFI06G16960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPEFDPADVRAGRDLIHRLTADAAGIQRRVLREILSRNSGTEYLRRFLGGAAGDDDDVRDAFKRRVPVSGYEDVKPYVDRVASGGEPSSALLCSDPITCLSRSSGTSGGQQKLLPSTAEELDRKVFFYAVRALVRNMSLHTDHGEDDDGGGGEGMYLMFAFHGDRTLSGLPIQSALTTYYHSRQFQECDIGGFDKCTSPLEAILCPYGEQSMYCQLLCGLLHRCRVDRVGASFAAGLVRGIKFLENHWEEMCFNIRSGQLSDWITHTPLRDAVTGQYLQGSNPALADEIASECARKPWDGIVRRLWPRARYIRTIVTGSMSQYIPILEVYGGGLPLVSPIYASTECAAGINLRPLDPPSHVSYALLPNIAYFEFLEVMDENGEKVQGTTRLDDNLGEVKVVDLVDVKVGRCYELIVTTFAGKLLGYFLSLFFSDMARYRVGDLFTVSGFYNATPLFHFSGRHDVILSIDYEKISEEDLLNAIAETDKFHLRPLGYMLVGSTAYADISTLPGHYILFWELTNACDSNVAIDIDQTAMEKCCLAVEDHFDEMYRKIRHRGSISALEIRILSHGAFDALMDFFVSRGTSASQYKTPTAIRSKEAMMVLEERVVGRFFSQATPSCRSAEFERK >ORUFI06G16970.1 pep chromosome:OR_W1943:6:16053733:16065769:-1 gene:ORUFI06G16970 transcript:ORUFI06G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNTVRSPDPTRRAEYRVKEKEISRLLLVVSSAPSRAAAAKTSRVAAEQGRAGRRSPSGEQRRGGILILVGTCKGFLGGHWAMRFFFKAFISSVTGQTLQGSHGNSLVILNRVSSSSGGWDACIIPYYGNIAKWRKQEGEKIEVGDVICEIETDKATLEFESLEEGYLAKILAPEGSKDVQVGQPIAVTVEDLEDIKNIPADASFGGEQKEQSIASEAQKVEMDAAKESSKITRISPAAKLLIKEHRLDQSVLNASGPRGTLLKGDVLAALKLGASSSSTKQKNAPAAPSSQPTHDFQAQSVTIPQQNDAYEDIPNSQIRKVIAKRLLESKQTTPHLYLSQDVILDPLLAFRNELKEQHGVKVSVNDIVIKAVALALRNVPEANAYWNNDKEQAQKCVSVDISIAVATEKIKRLYQQYPQSISNLGMYPVDHFCAIINPPQSGILAVGRGNKIIEPVVDSDGTEKATVVTKMSLTLSADHRVFDGQVGQEKSFPCSSELGCFTSSPYKSNQLFFIFLIRLNRHFQVFFFLINNTGINAPRILQIQCHFVFMWLCNI >ORUFI06G16980.1 pep chromosome:OR_W1943:6:16065954:16069821:1 gene:ORUFI06G16980 transcript:ORUFI06G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAELPVHRLRRLPTQVSVLPLLPSRAAADALAVVFVSPSCRPSRVEWARRLLPCFLLIGSRIENDKTSFDSFVLELQSRRLPPHLRSALSPSTTTTVAHRIASPLSPAAANRSQLDATRHLISRWSRMEVRNFNEKLIVQ >ORUFI06G16980.2 pep chromosome:OR_W1943:6:16065756:16069821:1 gene:ORUFI06G16980 transcript:ORUFI06G16980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAELPVHRLRRLPTQLQSRRLPPHLRSALSPSTTTTVAHRIASPLSPAAANRSQLDATRHLISRWSRMEVRNFNEKLIVQ >ORUFI06G16990.1 pep chromosome:OR_W1943:6:16075575:16076595:-1 gene:ORUFI06G16990 transcript:ORUFI06G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQMMYAGERSAAAREMAMEMMAPDQRQMGSSCSLLGRFISRVFKCRGRQGRMRGERMDYYGATAYPAAQTCYVSPAAPARAVAFATANAMRPEPMQAHAVAAMPMPGATYGAASPRPGGGKRKKKKSKNKRVRFAPAGAEPVPTDAPPPAAHYAPPAAAAASGGGQLHHQQHYPSADAAAEPYSTAAHGHGHGRYAYAPSPLARWEMLGSAGTPRRHEYFSGEYRWCYPTPVREGIYSLATDANRLTTIFSEENPNACAIV >ORUFI06G17000.1 pep chromosome:OR_W1943:6:16091234:16092279:-1 gene:ORUFI06G17000 transcript:ORUFI06G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGRAVPECLPSSPGHHESRGGVVGELRLVRIRWQGGSYAPVGTDQRAARPAASSVCCEGRGRDEPRGGAVLSPSRWCHYNLRRAGYVIRVNRVHEANDDRFWDAKRGSGLWDLVEGVRDLTGELHSSSFPSCLPSLNV >ORUFI06G17010.1 pep chromosome:OR_W1943:6:16098017:16098700:1 gene:ORUFI06G17010 transcript:ORUFI06G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQGIQGMDEVARIATVGVDSLISALQEIACAIHPTTSVMHQPVHPTGWVWVAPGMCTLGLAGIWHRVSSLVSASCCRALVASEECHNLSYDWLVNHAGHGVKCIPLVLETHTG >ORUFI06G17020.1 pep chromosome:OR_W1943:6:16124949:16125245:1 gene:ORUFI06G17020 transcript:ORUFI06G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARMRGDGWTMAPRTRYSTQSGQTHRAPDAGSGGWGDHPSSHLHLLLRSVHDAYCCDLQMMGAKNMLRRLMGEVGEKARGVKWGEDEWKGGRHLKY >ORUFI06G17030.1 pep chromosome:OR_W1943:6:16130068:16131585:-1 gene:ORUFI06G17030 transcript:ORUFI06G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHELWVLWATLAVSLLCYLYLTSHRLGSRRRRWPPGPRPLPLLGNLLDLRGGNLHHTLARLARAHGAPVMRLQLGLSPAVVISSPGAAREAFTAHDRRLAARAVPDANHALGFCDRSMIWLPSADPMWRTLRGVVAAHAFSPRALAAARAVHERKVRDLVAYLRGRAGREVDVKDAVYGGVLNLVSSALFSADVVDVGGESAQGFRELVEELIESIAKPNVSDLFPFLRRFDLQGWRRWTSGHLAKIYKVLDDIIDRRSAEDDAAMDKRGDFLDVLLELMSTGKIAREYLTNILFDVFTAGSDTMSLTVVWAMAELLRNPGVMAKARAEIDAALGGREAVEEADVARMPYVQAVLKEAMRLHPVAPVMLPRKAAEDGVEIGGFEVPRGCAVIFNTWAIMRDPAAWERPDEFVPERFVGRSRATEEMDFRGKDFGFLPFGSGRRLCPGVPMAERVLPLIMASLLHAFEWRLPDGMSAEQLDVSEKFTTANVLAVPLKAVPVVIAC >ORUFI06G17040.1 pep chromosome:OR_W1943:6:16145414:16145777:1 gene:ORUFI06G17040 transcript:ORUFI06G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVALPLVRTAARRPSLAWIHADPAVVAVSGADDGRGGGGRVRRRRDDGGQERRRVIPGLGRYNFTALDNRLSRAVHLNRPHGKKNFLCGARTWKY >ORUFI06G17050.1 pep chromosome:OR_W1943:6:16164592:16167599:1 gene:ORUFI06G17050 transcript:ORUFI06G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASELGIRSCSLEDLDGMSGSRKTHSSWTTSCTVQHSGYGLQVKSDAPKQLSNATITTMDRFCFEMALKELLYEEIDTDDDGMDDIDGAQEEDEVALQSDDCSVDYIADGLRELDMENYDDEDGVIKDLCSGSSDLYYPSNDMDPYLKNKNNGLVSILEEMEDGHPYLYPYDEIVLLGIPLCVPWSDCGLMDGQKGNNRSLCCDLFLFLTLLIFWDK >ORUFI06G17060.1 pep chromosome:OR_W1943:6:16181488:16182309:-1 gene:ORUFI06G17060 transcript:ORUFI06G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHAADRGPMMPIMAAPCPFALMTRLTRARRQRLTKRPLDERRGRDHQPHGRVPPIVPRGEGLAVTDDAARNVCRVECNVARHRRLRLRRGRGGRGARRGPATRWWSSRRATTSRRQDYTSFEGPSMNQLYEFGGSVRHHDERRRAAPGRIQYGRRRLSGELVGLPQQDARVRAQGVGDQTTHGFPLFASSDYAAAMDKVFERLGVTSGCTEEGLQNKVLRKGV >ORUFI06G17070.1 pep chromosome:OR_W1943:6:16185919:16192957:-1 gene:ORUFI06G17070 transcript:ORUFI06G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNICVTDSIGSVLAGALAARRCCRHHKPAGLWVAVAVLVAGTIWLFSSSLSLIGIQDVDVNKLWRTAYSNGWRASSAPRTYWPPPPSESESNGYLRVRCNGGLSKQHSAICDAVVVARIMNATLVLPELATSSFWHDESGFLDIYDVRHFIKTLKYDVQIVMSIPKISAKGNTKNLRAHQILPPRYAPVTWYRTVAMEKIKKHGAIYLTPFSHRLAEEIDDPELQRLRCRVNYHALRFKPNIMKTSSEIVNKLHSEGHFMSIHPWFELDIVASYPGKRFVYKERRLIGKFPLIPEEVGLLLRAMGFDNTTRIYLAPSKLFAGDRLMITKPFEAMFPHLENHSTVGPGTGMLEENTQGLAWSAVDYMVCLLSDIFIPTYDGPSNFAHNLMGHRLYHGFQTTIAPDRKALARIFIDREEGRASGYEERVRQLMFNAHFGGPRKRIHPESFYTNSWPECFCQTEARSNADQCPPDDMDGVIESQFQSEEDIEVKFINKTDSTSQTEWLVI >ORUFI06G17080.1 pep chromosome:OR_W1943:6:16193377:16196073:1 gene:ORUFI06G17080 transcript:ORUFI06G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQLRPRPLRRGTPQGHGGSRSLRKLRWRGKTKELEEAGVLGSADCNGGCKLWQWYEPGTTPYLKQVLNDLVSTVREVKTENSEIRASLANSRAVIDGLVTERNVYEAKSMGQKEECGSFAEMAYRIKMLEESRRFMLLVIVGFVVVIFALLLLR >ORUFI06G17090.1 pep chromosome:OR_W1943:6:16199321:16204177:1 gene:ORUFI06G17090 transcript:ORUFI06G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASSAVHVACHVGATAVKWHFGPAMPRPPPPPSPGHSPRHLSPSPAPSTPRPSSPTPSSASASASASALAAAATTTTSSKRRRPEVLDEDTYVAAVERIIERDFFPDLPRLRDRLDWLQALRSRDPLVLRDAQLKILERRRRAQRQRQGGPVPTPTPATSTALRSPSFLATPSVAPSVAGDEGADVDDDVEAALSLDDFFRRFTSEDNESFSRILEKVNHRRRERYAHLLEPGEVAKAPQLEDAKRDRITDGYGTSGQPLSTLEGAKFTAKNLLMYYPADRGEAPLTDEERAERLKGMTKEIDRSNTRFHGKSSVDDGAKEEEAAAILYAPVAGSTPGGMAYHDPDKAKKYDLEDLRKTPNPFYVESGKNANNGYSFVRTPSPAPGVDESPFMTWGEIDGTPLRLDPEETPGGSGGSDRAHFKIPPPPARDVKAHLLSRDAARKIKERTKMFHKPPLPSPVRGGSASPRTFSPAAQKFVRNAIAKSSRTIDESLRASYRGTTPSATTPKTRFSRDPSLGSRSPSTRQGSTPPWLLGSGVLEDLTT >ORUFI06G17100.1 pep chromosome:OR_W1943:6:16204584:16205308:1 gene:ORUFI06G17100 transcript:ORUFI06G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPKLRPSSVDRNLGLGRSLVRVSPDASVVLGTAAQWDNDRERLMVSTLHVPLPPRGAAESRMAVDGLDIRTKEEGHGVDSRWSGQRGGEYATASLAENDVGLPTGEADVTSVSLRRLTVTGTGTACFFILLPAGRHNPHHG >ORUFI06G17110.1 pep chromosome:OR_W1943:6:16210293:16212167:-1 gene:ORUFI06G17110 transcript:ORUFI06G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTTTVTEPDNAEASPSPSPSPSTPPKKVIMYELAARNIYYAKPAAAAVATTTVASLARLLRPCGAAQPPSPEYILRDVSLTARPGEILAVVGPSGAGKSTLLDILAARTAPTHGRLLLNAAPLRPSSFRRLSAHVPQMDVALPLLTVAETFAFAASLLYPAAAEASAAVAALLADLRLGHAAHTRVSATRLSGGERRRVSIGLALLRDPGVLLLDEPTSGLDSSSAHVVVGCLRAVAAARGTTVVLSIHQPSSRLLSAVDSLLLLSRGAVVHHGSVDSLDAALLSHGLAVPAQLNPLEFALEVLDQMPHPSASSPEPKTTEELAAVTSSKSSSSSTSPCSRIHEVVVLYKRAWKVVYRSKQLLLTNFLESVVVGTLLGSIYINAGDGEGGAHKRLGLFAFTLTFLLTSTTETLPTFVSERPIVLAETASGLYRLSSHAAAATLVFLPYLLAVALLYSACVYFLVGLCASAAAFAAFVMVVWAVVLTANSFVLFVSSFAPDYIAGMSLVSVSLAGFFLFSGYFLSRGSMPPYWVFMHYVSPYKYALDALLANEYTCAATRCFGVAGPAAGDCSETGADVLAEKGLTAKERWTGVQVLFGFFLLYRVLYWVVLSRRAARAKR >ORUFI06G17120.1 pep chromosome:OR_W1943:6:16216536:16218432:-1 gene:ORUFI06G17120 transcript:ORUFI06G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSYGSHGNTVVSFRLSTASKITRSSIDSIQCHRI >ORUFI06G17130.1 pep chromosome:OR_W1943:6:16224515:16225175:1 gene:ORUFI06G17130 transcript:ORUFI06G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRQNRNPHTTPTPHSARALRAHLTHSKKLSPFSLVASPCSPASAFAAAHGRSGRRGDRPRAAANPGGRPRRGCLGRAAAGRAARRHRRRLAGEGVVATTGAGAGGEEPGVQAARGGHLRAQGSWGR >ORUFI06G17140.1 pep chromosome:OR_W1943:6:16260212:16260776:-1 gene:ORUFI06G17140 transcript:ORUFI06G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRARGRCRPATAPGSTASPPLREVADHLCVGEMLRGRERRARFRSTVPPTCWIWRRAEQRMHPDEEERGCHPLVAEGTAPVGERDRKWRCWWGEGRGSSVAGGERQWCHRGRHSGAAVGRGEGKGSGGLRCEGEGGTRIDPDLGRRHSQAAAWLGHLMGR >ORUFI06G17150.1 pep chromosome:OR_W1943:6:16265108:16265311:-1 gene:ORUFI06G17150 transcript:ORUFI06G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTCRIWRRAERWMHPDEEERGHRPLVGEGTAPVREEGGGTVMLVGRGEKEQRRRWEEAVVPPWER >ORUFI06G17160.1 pep chromosome:OR_W1943:6:16291032:16291688:-1 gene:ORUFI06G17160 transcript:ORUFI06G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEWIPTAPTPCSKAALAGMVFFGALERLASSSIMAAPATLADVAKRGANHTGTVPHITAPAVLAHSPKVGRPLTCTGPTHSLLPPLIFAKPPARAHREALLPISPPSPLLIKYTQFEVVFRGIFLWKSKRKRKVYSSIPIFF >ORUFI06G17170.1 pep chromosome:OR_W1943:6:16294146:16294778:-1 gene:ORUFI06G17170 transcript:ORUFI06G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSRGRPSLGRQRIEIRRIDNSGRRQVTFSKRRNGLFKKASELSTLCGASVAVVAFSSAGNVFAFGQPTVDAVVRRFDPLHADGADPAPAAVEDGGGGGDDVVVADPEELDALRRAEEQTKAQVAAEQARMRDVGDKVTQAMAGRPLWWEADVEALGEAELPEFVRALERLRDRVHRHASTLASTATPLPPPPEQEEEVPELDVSDYSF >ORUFI06G17180.1 pep chromosome:OR_W1943:6:16296146:16297923:-1 gene:ORUFI06G17180 transcript:ORUFI06G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAICICSMNSSSVCLWYGMDVAGQGRADGKGGWSQLRKGLPVDLAEGILKAICMQAQGQIWKINFSNSLVLLHKFLLLAVELLFDSGSRDEFF >ORUFI06G17190.1 pep chromosome:OR_W1943:6:16321549:16324992:-1 gene:ORUFI06G17190 transcript:ORUFI06G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGGTSKGKQKIEMCCIDGKEKRQVTFSKRRRGLFKKASEISTLSGASIAIVSFSKAGNVFAFGSPSVDAVLRRHVVAGPSTSTSHAHAGGDVFADDGGDNPEVLNALKRATDEAAAEVAAEDARQSGVEGKITEAMAAGRRRFWWDAANVEALGEAELPVFERALHKLRGAVAQGGNNPIQERWDRIPAQGSPGGSYGWEDLSPTGKLYNQPPFAYRLPVMQSIVSDQLGVVCAMLL >ORUFI06G17200.1 pep chromosome:OR_W1943:6:16348193:16349353:-1 gene:ORUFI06G17200 transcript:ORUFI06G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAWWYPGGGGGGGSNNWDLGAVVRFGCGGGRVSPAAALLGEAWEYDDDPFSSFLAPPMTAQQAALPAVWEEGDDGDAAWMAPLPGLQTGGGWGDQAPMVVDELCGALVVAPPPPPKQQEVLQVQQQPPPADNTQPTTYQQGSGGDGESTRAGGSRSARRKYAASAIYIVSVCFSDSCIMWIRSLADDRGVRHACRKKQTRKEVVRVAASGPAPDLWAWRKYGQKPIKGSPYPRGYYRCSSNKNCAARKQVERCRLDPSFLLLTYTGAHSGHDVPLHRNSLAGTTRHKPPPPPPLPSAADKSPATAAEAATASQSPGLSPTTPLRASSMELHGEDDAEAELQVEEDDMAIDDEDDDDVADETISTVPWGTPISDAIIAASYEWR >ORUFI06G17210.1 pep chromosome:OR_W1943:6:16358924:16359706:-1 gene:ORUFI06G17210 transcript:ORUFI06G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWRGWRGHRRRSRGPPPSRTSRSRLRPCLATTRPWWCARRSRKEAEERPNRKSCKQCMDMYMRPFLLNVFFSKRFVHAKVVHRGTSECIIETGAWQRDGQQPEASAEGGDAGTVGRGRQRAGERRQSPSMPEGNSYFFPYYL >ORUFI06G17220.1 pep chromosome:OR_W1943:6:16369970:16370456:1 gene:ORUFI06G17220 transcript:ORUFI06G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVVVVVVGLLGVALGAKPNTVDSAKGSGRGQRRRWCGDRDSPAFDSSVEARAMAFSEISYSNSVKMVPYDDDAMPAMNTLMLRGHRLSAAMRMVLGDDQIVGQTSSIRLAHGGAEKLLPLLQHQREHGYLRIPQCFKC >ORUFI06G17230.1 pep chromosome:OR_W1943:6:16371147:16403216:-1 gene:ORUFI06G17230 transcript:ORUFI06G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGGAAGEPIPLSRFGALVAQLESVVASARQKPPDALLCFDLLSELSSALDEAPKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIERGDAISVYSRASTLQGWLVDGKRTDPMAYAGVAQCLGEIYRLFGHKITAGLIETSNIVAKLMKYHEDFVRQDALLLLENALEGSGGGGSGAAYLEAFRIIMRGGVSDKSFIVRVAAARCLKAFANIGGPGLGMAEIDTSMSCCVKGLEDNVSAVRDSFAEALGSLLALAVNPDAQVKKGVKKQSTSGKKFDDGLQKHLILPFVRANGANAKKLRIGLALSWVFFLQMIHMKYGTPDSELQNYAVQVTEILQGNASPDPHALACVLYVLRVGVADQMTEPTQREFLVFLGRKLESSNYTALMRVATLRILSYLLRSLGEVPSEFKDILDNTVVAALSHSSAHVIIIFGDTTKIRRHGGIGYHFSLVRVEAALTLRALAEVDPTCVGGLVSYGITTLHALTETLSFDKGKIMNLELDSLHGQASVLAALVAISPKLLLGYPARLPKSVLEVSKKMLNGFSRNPVAASAEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHVQDWASELRVLSVAIEALTAFIRSFVSPIMTNANGGILLNPVLAYLGGALSLISSLSSKKLPNVNSALNLFTTRTLMAYQSLSNPMVYKSEHQQMLQLCSSPFSDPSGWEESSCLKFLLDKRDNSLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDVEMSNFPQDNTVKIRLLNNLDQCLKSGKKQSWFMTVVTNSCVALLSGLKEFLTLRGAQSLSTDILSMVQSTFKGILLESEISTAQRRAACEGLGLLARIGNDAFTARMARSLLGELITPIDLSYTASVTLSLGCIHRAAGGMALSTLVTPTLWSLHALLLTIEAAGLSYVSQVQGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVIGPELAPEISSSSETATLIESVRFAQQLVLFAPQAVPVHSHVQSLIPTLYSRQPSLRHLAVSTLRHLIERDPAAMINQNIEENLFSMLDEETDSEIAALVRSTIIRLLYTSCPLRPSRWLAVLRNMVLATSIARNTSEGLSSSGHDPVDSNAENDIYYGADEDNMISSSKQEKTNWSANKFSQFPQRNKHLRYRTRVFAAECVSHVPVAVGTEPAHFDLLLARSAVAEGVHLSNDWLILKLQELVSLSYQISTGQFEGMQPIGVKLLCLIMDKFGMAVDPEFPGHILLEQFQAQLVSAVRTAISTASSPLLLEAGLELATKVMTSSVIGGDRVALNRLFLLICRPLNDIEDLFYPSFADWVVLKCYTYQFLRMKENIPDEHQQLAPLLANSSSLLGKYWIGALKDYSSISFGLHSRINHKPFLDGIQSFLVSSKAKEYLDEVWALILQATALDAAPLEFEMDDSEDTLGQTFISGRSMVKLDLTEFKFLWGLSVLVLCHTQPSMSNSAIKINLDRNNEKKIGGLVVCAGLDNPRPCDQMLLVLSSLTSQVFFSMNFLTVDTCQELLQALTYADCSSAPVVCLFSQIIRLCPDNFFEVEEFVFVALEFYSWYLATILQSRCGSSQECLSNSLISELSVATETMACRMKNEHWWKLMMLLVSMSYQSFQQVPSNLCLSNIISFLQNTLPIMKKYLQERAEPGDECANCEVALGALVSLVAYLCTQCSNRISMLDNKISDSYKLLAKILYFCLGEAIALAKLVDEIGYHGENCTSNELMSGSFRHCTQVVQASLCSTTIQVQMLGVHVLKVSAQRELAEGSQTATHSFMVLFVELLADVFSVIQTALKIRWHSLTTLFLQGCSSKDSVSVIDECLKLLFLFHTLAQSKKCPQEATMLLLDALLMVFYSSSATGTQELTEVNNISKKLFSHFIQIPSAAIHIKDIMLSAAPTKRQLLQDMIRASVTQGQTIVPGHISANSEQNAQGGFSQEPGLNATDADEEKNEKQVSDDDWDDDWDNFQSLPAHGTNNGADSATAASPLPEQGSVASPHDEQIPQVNINQEVSDVDVSDGTTEGLSSFDKYLKEPSTSHFSDTAQQVESKSQEFSCKDHEESPKHPKVHCTGSSAHVTKEETDDESQQIHGDQFVSRESKNYDLYLSNEIAGSAGEEENDTSGEIRRATGDALDENISSVDDSNLNNISDGTEDESNKACDKVLVANEKSETVITDSGEKVSASSDEVKSDLYPENVDTKPESSGGEIAESGS >ORUFI06G17240.1 pep chromosome:OR_W1943:6:16406749:16411557:1 gene:ORUFI06G17240 transcript:ORUFI06G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASCLAPPPLRLPCSSSSSPSPAAAARFGSRRRAVAAAAPAKGWRLVRVSCFRQEDVPTTSDDGPGFEHISRPESSRGAEASGEEGEGEGEGSSGQRERGSGERDDWFLRAQEIKRNLRERIFRFQTQRWTVPWTGKTIAQVMILWIATFWFVGSWIVPFLAHAAGFSKESLTHRGQALYSLLTDITEGLAGIAILHHCLGRFRPLPPGWFEFNLKGRWYLDVALGCLLFPLVNFLSHININLIPMSSGPVAGVSSVEQSIVARDPVAMVLYAVVVTVCAPIWEEIVFRGFLLPSLTRYMPLPWSILVSAAAFALAHFNAQRVMPLVFLGVVMGGVFARSRNLLASMVLHSLWNGFVFLDLMK >ORUFI06G17250.1 pep chromosome:OR_W1943:6:16414726:16417787:1 gene:ORUFI06G17250 transcript:ORUFI06G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWTSLGLAAVAVVVVGIAMPASASAAAPAQPPAPAPSSDGTSIDQGIAYVLMLVALVLTYLIHPLDASSPYKLF >ORUFI06G17250.2 pep chromosome:OR_W1943:6:16414646:16417787:1 gene:ORUFI06G17250 transcript:ORUFI06G17250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPIKTRRGEARRARWWRSRARDFRGADSKFVQVLKFWAERRQREREGERRRAAAAALLRWWTVCPVRFAAPPLRERERACVPRRGGGGRGGGGGGMAAAWTSLGLAAVAVVVVGIAMPASASAAAPAQPPAPAPSSDGTSIDQGIAYVLMLVALVLTYLIHPLDASSPYKLF >ORUFI06G17260.1 pep chromosome:OR_W1943:6:16419900:16420772:-1 gene:ORUFI06G17260 transcript:ORUFI06G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYSRGEYDGAMVWLAKLR >ORUFI06G17270.1 pep chromosome:OR_W1943:6:16425153:16427602:1 gene:ORUFI06G17270 transcript:ORUFI06G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPASSSSAAGLQERIWDLHDNLSHAILSLSASAHRCCRRRAPEGRVVVKGWRRQGGCGDCGLEQEAAAAATMADARSLHAVRAALEDLEGHLHFLHNIQLRQVAERDAAIARLQQSRILLATRLAEHRWKKHEVIEEALAFVDDALDKSRFVSPEDVRGTHTHSQSVENQCPKIHDSNFLGLVDVHIHHRLRHYTKMTP >ORUFI06G17270.2 pep chromosome:OR_W1943:6:16425153:16427592:1 gene:ORUFI06G17270 transcript:ORUFI06G17270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPASSSSAAGLQERIWDLHDNLSHAILSLSASAHRCCRRRAPEGRVVVKGWRRQGGCGDCGLEQEAAAAATMADARSLHAVRAALEDLEGHLHFLHNIQLRQVAERDAAIARLQQSRILLATRLAEHRWKKHEVIEEALAFVDDALDKSRFVSPEDVRGTHTHSQSVENQCPKIHDSNFLVRFLSCTLAIAKNSLRFERIGGALGNTAMFAVSMLAFLQLQQVAFGKQTPAVQCRRVNYFHSQMSVKNTKEKHLVVLLARG >ORUFI06G17280.1 pep chromosome:OR_W1943:6:16429755:16440328:1 gene:ORUFI06G17280 transcript:ORUFI06G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPNGTILQLYHAGRLAAALRAFESLPSSSPASAAAAPAPLTAATYAALVSACSRLRSLPQGRRVHRHLVASSSSSPDAQLAGNTVLGNHLITMYGRCAAPDSARQVFDEMPARNPVSWASVIAAHVQNGRAGDALGLFSSMLWSGTAADQFALGSAVRACTELGDVGTGRQVHAHALKSERGSDLIVQNALVTMYSKNGLVDDGFMLFERIKDKDLISWGSIIAGFAQQGFEMEALQVFREMIVEGSHHPNEFHFGSAFRACGAVGSWEYGEQIHGLSIKYRLDRDLYAGCSLSDMYARCKNLDSARVAFYRIEAPDLVSWNSIVNAYSVEGLLSEALVLFSEMRDSGLRPDGITVRGLLCACVGRDALYHGRLIHSYLVKLGLDGDVSVCNSLLSMYARCSDLPSAMDVFHEIKDQDVVTWNSILTACAQHNHPEEVLKLFSLLNKSEPSLDRISLNNVLSASAELGYFEMVKQVHAYAFKAGLVDDRMLSNTLIDTYAKCGSLDDAMRLFEIMGNNRDVFSWSSLIVGYAQFGYAKEALDLFSRMRSLGIRPNHVTFIGVLTACSRVGFVNEGCYYYSIMEPEYGIVPTREHCSCIVDLLARAGKLTEAANFIDQMPFEPDIIMWKTLLAASKMHNDMEMGKRAAEGILNIDPSHSAAYVLLCNIYAASGNWNEFARLKKAMRTSGVKKSPGKSWVKLKGELKVFIVEDRSHPESEEIYAMLELIGMEMIKAGYVPKHSWKHAIVDHIDSDLFNEEMLAEYG >ORUFI06G17290.1 pep chromosome:OR_W1943:6:16434965:16441303:-1 gene:ORUFI06G17290 transcript:ORUFI06G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTFCTLHVAQRLHFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSESIKLSLLVLLLGVGIASVTDLKLNLLGSVLSGLAIATTCVGQILLYQSAPYQAAILFATGPFVDQLLTNRSVFAHKYTTPVLGFIMLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYILLHDPFNARNILGILIAIFGMGLYSYFSVKEGKKKATNDPLPVSQMPEKETEPLLATKDNSDTKKANGVSHDC >ORUFI06G17300.1 pep chromosome:OR_W1943:6:16444643:16445898:1 gene:ORUFI06G17300 transcript:ORUFI06G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPLDRACLGEGRNCHVHLLLGLQGSAGAAAVVQGALRQCAADEQCGGFGVAVEERMVGEELVLTSAAFRGQCSPATAYCCCSTIAATKSTSLACPNAKAVRKTAVPCGEAHAGPGVEVGGCDSPIPITPPSAFMASIKFRNDKCPLMPAKMPIGVLSLPFQSILSAGGNPHELQFNLSTSSKPQPGDFSSSATYCPCPCPCPCLGKVSEVSDSDDHEHELNNKAFLFSRKEQRGQHTAIQDVGHHEEHAPIVGSPHRLSTLINTLVHISTRSLSQNLIDAMKADERARKRAAQSSICLSVRRHRLVN >ORUFI06G17310.1 pep chromosome:OR_W1943:6:16447782:16449724:-1 gene:ORUFI06G17310 transcript:ORUFI06G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPADSPYAGGVFLVSIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARGWTQKYAMG >ORUFI06G17320.1 pep chromosome:OR_W1943:6:16526713:16533640:-1 gene:ORUFI06G17320 transcript:ORUFI06G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVILSLLALAASSASAQFDACTYGQCQQQPFMQPIMNPCNEFVRQQCSPMSLPWEQSRRLQLSSCQVMRQQCCQQMRLMAQQYHCQAICTMVQSIMQQVQFDAGFVGEPQAQAQAQVALNLPSMCGVYPRYCSTPCKVATGHCAIKIFVILSLLALAASSASAQFDACTYGQSQQQPFMQPIINSCNEFVRRQCSPVSLLWEQSHRLQLSSCQVMRQQCCRRMRLMAQQYRCQAIFTMVQAIMQQLQLDASLFGVPQAQAQAQVALNLPSMCGVYPRYCNTPCIVATSRCGSW >ORUFI06G17330.1 pep chromosome:OR_W1943:6:16538362:16538967:-1 gene:ORUFI06G17330 transcript:ORUFI06G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDQTASSSETTTAVADDTSSQQDAPPHPLQVTSPDSSLPSPSPRQRRCVAAYMDLTREECGRLFPSGPLRSQPLRLAGRPFYLTARCNMDQRDTFRCFGLFLAMEVDDEEEEEEGAPSPAASVTVEYDFAARTRQQSGDEFVSMYKGHYTFAAGKSCGYRNLLGMPWASFMGDGGGDSVFFIDGVLHLRPELCVKEDA >ORUFI06G17340.1 pep chromosome:OR_W1943:6:16542356:16547758:-1 gene:ORUFI06G17340 transcript:ORUFI06G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFSRASRGPSFAFAFNSVNFSDRVLRIEIVAGDDAAGAKGAAGEGCSSLADWAHQRKRRREELRREKESGKYTDLETCKVEAEECDTYEENNEEPVAMIEESPPDIGQDGEDGDSCDSSWSMECTQVLRVKSIYISSAILAAESPFFYKLFSNGMKESDQRHATLRITASEENALMELLSFMYSGKLTTNQPTLLLDILMIADKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPSSISMAAAVQPLTDTAKAFLANKYKDLTKLQDEAMNIPLAGIEAILWSNDLQVASEDAIYDFVIKWARSQYPKLEERREILGTRLLPLVRFCHMTCRKLRKVLACNDLDHEQATKCVTEALLYKADAPHRQRTLAADVLTCRKYAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFHCFGLFLGMQEKGSTSVTVDYEFAARTRPSGEFVSKYKGYYTFTGGKAVGYRNLFAIPWSSFMADDSLFFIEGVLHLRAELTIKQP >ORUFI06G17350.1 pep chromosome:OR_W1943:6:16548657:16549565:1 gene:ORUFI06G17350 transcript:ORUFI06G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHGTIRTLMIESAADDHLCHLMVMAFMARGHSFKLSVFNQLAHLLVFSPLPRQAISNNGEVGLSRHTPSPFLTFPSSTLACNPNDGKARHEHKEDHGDEHWLKVFVGGGLAAAYVEIG >ORUFI06G17360.1 pep chromosome:OR_W1943:6:16574618:16578947:-1 gene:ORUFI06G17360 transcript:ORUFI06G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPQPPPVAATVPVRGLKCRGGDAAAEPAMAGTPNRGQERGGEEGEEEEKAVLRRGLAAARARRKAGPITPSPSWKLEASPPRPEEPVADSSAAAAAAGAMGRRSSAVAASARQLGATLWEIRDVIKVAGAGRRIRRRGRRGGVAGDDDEADRPQSSCGGGEHLSTSLMEHDKLHGERCHARQSLSPASYTSSIGAATINIVSPTRSLDRRARFREAGSQLKTSTELLKVLNRIWSLEEQHAADVLAMKGLKSELQHAHARVQELLQERRRYHYEIDSLVRQVSEDKMTQKSKDQEKVKAALRSLQEEIEDERHLRKHSESLHRKLKKELSEMKSAFVKAVKDLEKEKKATHLLENLCDEFAFGIRNYEEEVRLLKQKHIKQYEHKFDKSVVHISEAWLDERMQMQNADPKATLAERISITERLSSEIHSFLNTRRSSKPKDDKLYISNEKQDASLCRQSLESVHLHGATSAPRLAEDDNDNSVASDLHCFELSMHGHTIQNNDLIGTRQRVTSCMYSPMRRLEFSNGVPVEGSRISTMSPCSMKDKARPNGIREQLNASTPEISPCNDAKNAPRCAQDETVMTQVSQRLHDDLLKIKSEAPQHAYLGQKSNDYHSRAGQFRDQCTTSGNVYDLCSPARQLNQRSSLDHEITEASPTHPLEGKSTTLKAKLLQARLEGQHARMRASGYSLTSTRRK >ORUFI06G17370.1 pep chromosome:OR_W1943:6:16602309:16611164:-1 gene:ORUFI06G17370 transcript:ORUFI06G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPKLPVPGRRNILVTSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNVIYICGTDEYGTATETKAMEEKCSPKEICDKYHAVHSEVYKWFDIKFDKFGRTSSPQQTEVCQAIFQKLMENNWLTENTMQQLYCDTCQRFLADRLVEGKCPTEGCNYEAARGDQCENCSKLLNPTELIDPKCKVCKNTPRVRDTDHLFLELPLLSDKLVNYINETSVAGMWSQNAIQATNAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYVSITASYTPDWEKWWKDPDNVELFQFMGKDNVPFHTVMFPSTLLGTGEKWTMMKTISVTEYLNYEAGKFSKSHGIGVFGNDAKDTNIPPEVWRYYLLTNRPEVSDTLFTWADLQAKLNSELLNNLGNFINRVLSFVAKPAGAGYDSIVPDAPNAESHPLTKALAEKTNKWVEQYLEAMEKVKLKQGLKSAMGISSDGNAYLQESQFWKLYKEDPAACAVVMKTSVGVVYLLACLLEPFMPSFSNEVLLQLNMTPEESLSFCDDKGEIAKAKRPWDFVSAGHKIGKPSPLFKELKDEEVESFRNKFAGSQAERSSKAQADAEAKKVADKLKGTKLSDGGQKKEQKKQSGGSKSKNAEVDVTVAKLDIRVGLIRKAQKHPDADSLYVEEIDVGEEAPRTVVSGLVKFIPLEEMQNRKVCVLCNLKPVAMRGIKSHAMVLAASNEDHTKVELVEPPESAAVGERVTFAGYSGEPEASLNAKSKTWEKLSADLHSNGELVACYKDVPFTTSAGVCKVKSIASGEIR >ORUFI06G17370.2 pep chromosome:OR_W1943:6:16602307:16611164:-1 gene:ORUFI06G17370 transcript:ORUFI06G17370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPKLPVPGRRNILVTSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNVIYICGTDEYGTATETKAMEEKCSPKEICDKYHAVHSEVYKWFDIKFDKFGRTSSPQQTEVCQAIFQKLMENNWLTENTMQQLYCDTCQRFLADRLVEGKCPTEGCNYEAARGDQCENCSKLLNPTELIDPKCKVCKNTPRVRDTDHLFLELPLLSDKLVNYINETSVAGMWSQNAIQATNAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYVSITASYTPDWEKWWKDPDNVELFQFMGKDNVPFHTVMFPSTLLGTGEKWTMMKTISVTEYLNYEAGKFSKSHGIGVFGNDAKDTNIPPEVWRYYLLTNRPEVSDTLFTWADLQAKLNSELLNNLGNFINRVLSFVAKPAGAGYDSIVPDAPNAESHPLTKALAEKTNKWVEQYLEAMEKVKLKQGLKSAMGISSDGNAYLQESQFWKLYKEDPAACAVVMKTSVGVVYLLACLLEPFMPSFSNEVLLQLNMTPEESLSFCDDKGEIAKAKRPWDFVSAGHKIGKPSPLFKELKDEEVESFRNKFAGSQAERSSKAQADAEAKKVADKLKGTKLSDGGQKKEQKKQSGGSKSKNAEVDVTVAKLDIRVGLIRKAQKHPDADSLYVEEIDVGEEAPRTVVSGLVKFIPLEEMQNRKVCVLCNLKPVAMRGIKSHAMVLAASNEDHTKVELVEPPESAAVGERVTFAGYSGEPEASLNAKSKTWEKLSADLHSNGELVACYKDVPFTTSAGVCKVKSIASGEIR >ORUFI06G17380.1 pep chromosome:OR_W1943:6:16611931:16612308:-1 gene:ORUFI06G17380 transcript:ORUFI06G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWTVVMCHDCRIGRLMWGKGTQWEAQSKGFLFVIFTNLESSAAAEHRMRRKVKGERARLRAAWRWRGVTGTSNGWGIGGAAREGGS >ORUFI06G17390.1 pep chromosome:OR_W1943:6:16614898:16620299:-1 gene:ORUFI06G17390 transcript:ORUFI06G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYVYAFVFLATNLLTWMLHDFGHPVLAELRRLRGSCRGASYCLGARASSASALAASYPLAIQFRFQFQIQLAFCEFVMSLTATSFCEFVMSLTRPPALLLRDVLVDGEDEEDARSPEFMALRVVAGEDRAVDGLHRRPLLPPPASHPALREGRAFRSRFTPAIDIWSIGCIFAELLTGKPLFPGKNVNPLLRLHDGNRNKLKLKDEFVLLGCWQLRSKASLCAINKLQRIGLIMIIKELK >ORUFI06G17390.2 pep chromosome:OR_W1943:6:16614898:16620476:-1 gene:ORUFI06G17390 transcript:ORUFI06G17390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRCPFSLLSAVAAKEARDAAADRGDRGARGERCVVVAVEETCYAWAAEPDDGELFFYVMFLSTVRTRKTHDRRNSWHSEWWPAKIVLWMGFTVVPFFLPPPLIQLYGKVAHFGAGLHDGNRNKLKLKDEFVLLGCWQLRSKASLCAINKLQRIGLIMIIKELK >ORUFI06G17390.3 pep chromosome:OR_W1943:6:16614898:16620299:-1 gene:ORUFI06G17390 transcript:ORUFI06G17390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARYVYAFVFLATNLLTWMLHDFGHPVLAELRRLRGSCRGASYCLGARASSASALAASYPLAIQFRFQFQIQLAFCEFVMSLTATSFCEFVMSLTRPPALLLRDVLVDGEDEEDARSPEFMALRVVAGEDRAVDGLHRRPLLPPPASHPALREGRAFRSRFTPAIDIWSIGCIFAELLTGKPLFPGKNVNPLLRLHDGNRNKLKLKDEFVLLGCWQLSSQIIKELK >ORUFI06G17390.4 pep chromosome:OR_W1943:6:16614902:16620299:-1 gene:ORUFI06G17390 transcript:ORUFI06G17390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARYVYAFVFLATNLLTWMLHDFGHPVLAELRRLRGSCRGASYCLGARASSASALAASYPLAIQFRFQFQIQLAFCEFVMSLTATSFCEFVMSLTRPPALLLRDVLVDGEDEEDARSPEFMALRVVAGEDRAVDGLHRRPLLPPPASHPALREGRAFRSRFTPAIDIWSIGCIFAELLTGKPLFPGKNVNPLLRLHDGNRNKLKLKDEFVLLGCWQLRHQDNQGA >ORUFI06G17390.5 pep chromosome:OR_W1943:6:16614431:16620299:-1 gene:ORUFI06G17390 transcript:ORUFI06G17390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARYVYAFVFLATNLLTWMLHDFGHPVLAELRRLRGSCRGASYCLGARASSASALAASYPLAIQFRFQFQIQLAFCEFVMSLTATSFCEFVMSLTRPPALLLRDVLVDGEDEEDARSPEFMALRVVAGEDRAVDGLHRRPLLPPPASHPALREGRAFRSRFTPAIDIWSIGCIFAELLTGKPLFPGKNVNPLLRLHDGNRNKLKLKDEFVLLGCWQLRFA >ORUFI06G17390.6 pep chromosome:OR_W1943:6:16614898:16619989:-1 gene:ORUFI06G17390 transcript:ORUFI06G17390.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSTVRTRKTHDRRNSWHSEWWPAKIVLWMGFTVVPFFLPPPLIQLYGKVAHFGAGLHDGNRNKLKLKDEFVLLGCWQLRSKASLCAINKLQRIGLIMIIKELK >ORUFI06G17390.7 pep chromosome:OR_W1943:6:16614898:16620299:-1 gene:ORUFI06G17390 transcript:ORUFI06G17390.7 gene_biotype:protein_coding transcript_biotype:protein_coding MARYVYAFVFLATNLLTWMLHDFGHPVLAELRRLRGSCRGASYCLGARASSASALAASYPLAIQFRFQFQIQLAFCEFVMSLTATSFCEFVMSLTRPPALLLRDVLVDGEDEEDARSPEFMALRVVAGEDRAVDGLHRRPLLPPPASHPALREGRAFRSRFTPAIDIWSIGCIFAELLTGKPLFPGKNVIIKELK >ORUFI06G17400.1 pep chromosome:OR_W1943:6:16623375:16623993:1 gene:ORUFI06G17400 transcript:ORUFI06G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQPGVWIAPITNCSMARHGGGDLERRPIFGIGGGDDNRRRIRRHQSTACLRGTAEGATTKRRRRR >ORUFI06G17410.1 pep chromosome:OR_W1943:6:16639869:16641181:1 gene:ORUFI06G17410 transcript:ORUFI06G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGVIPCKGILADKHIAVQGVEDIKHMVFTCKRAKLIWKQLSIWSRIQPILGFDRSGSVLVEEAIRKGGKVSHLNAIGIAELILTGAWYILWERRQLVHGEQIQNPARSAMSIATLTANYMLSNKKDKTKIQNGWKKPPEEMLMINVDAAFDIDSGSGGTGVY >ORUFI06G17420.1 pep chromosome:OR_W1943:6:16642638:16644428:1 gene:ORUFI06G17420 transcript:ORUFI06G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTILKVFTLHLFIMLHGVHGHIYDVTEYGAEPSNIDNKDAFLAAWRAACGSAAGNATLLIPEGTFAVSTVEFSGPCKNGRSPLAVVVDGVLHPCAGGCHRKSGDDDVWITFSGVSNLLVTGAGTLDGRGGEHGHSNGGGKSKTTTTLELDSVANATVRGLRFLNSRGFHLNLHRSSHVAAERLRIEAPAASRNTDGIHVGLSSHVTVADSLVGTGDDCVSIGPGSSGVVVAGVACGPGHGISVGSLGREEGEGDVRGLVVRNCTVVGTTNGLRIKTWPGSPPSRAFNITFRDIVMSNVSNPIIIDQYYCPHAHCSDIAKPSLVQISDVTYERIEGTSSSRVAVQLLCSEDRPCSGVRFDRVNLSCGRERCGSKFSNVEGTKPTLVAADEAASFGPGAVPPPDQDADVVESQH >ORUFI06G17430.1 pep chromosome:OR_W1943:6:16658909:16659618:-1 gene:ORUFI06G17430 transcript:ORUFI06G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKSLIVCVLVLGLVLQHEHIQVEAKSCCPSTTARNIYNSCRFTGASRDKCCKISGCKIVDGKCKPPFIHHTLHPDSEESDVLDFCKLGCTSSVCSNMNTFAGNEEGNHAVDRCNEACYRFCTNEAEIVTVAS >ORUFI06G17440.1 pep chromosome:OR_W1943:6:16675306:16675614:1 gene:ORUFI06G17440 transcript:ORUFI06G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDAALRVGLMVTWMKTALRIPSHAPSRFPRSTRSAHATMSLLGSPPPMCGNNGGCSESEAEAATTAVAASSSSSSVGLSLRAGDEPSTSAWAASGDARAW >ORUFI06G17450.1 pep chromosome:OR_W1943:6:16699979:16700548:1 gene:ORUFI06G17450 transcript:ORUFI06G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRKAAQISFPQGNNQKPRFMTGQLGGPSTLISNFNNDYNGGSHNNSKQHNHNSTPPPLMAPAQSDPSAVSAQSEQPKKGAVGKP >ORUFI06G17460.1 pep chromosome:OR_W1943:6:16754512:16755025:-1 gene:ORUFI06G17460 transcript:ORUFI06G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKSLIMCMLVLGLVLQQEKIQVEAKSCCPSTTARNVYNSCRFAGGSRDTCAKLSGCKIVDGNCKPPYVHHTLHPEAEESEVVDFCKLGCASSVCSTMSTRKREKTSS >ORUFI06G17470.1 pep chromosome:OR_W1943:6:16795651:16796398:-1 gene:ORUFI06G17470 transcript:ORUFI06G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKSLIACMLVLGLVLQQEKIQVEAKSCCPSTIARNVYNSCRFVGGSRDTSAKLSGCKIVDGKCKPPYIHHSLHPESKGLDVLDFCKMGCTSSVCSTINTCAGNEEGNHVVDRCNDACYRFCTKEDYHRCFLSKCNTQAEGASHIEAY >ORUFI06G17480.1 pep chromosome:OR_W1943:6:16803504:16803923:-1 gene:ORUFI06G17480 transcript:ORUFI06G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRNETTLGQDEPDSRRRERERAATLVTTTPVAGAPRHLRRFIRLLASLLQCWKRSIGGRGAAFGCRTMRASDSLATAARAVKLACGRGRDEAAARARRRRGVRAHRRGRRARCRLAGGTSTPACYRCRRRRRHAAR >ORUFI06G17490.1 pep chromosome:OR_W1943:6:16804005:16804279:1 gene:ORUFI06G17490 transcript:ORUFI06G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMLCTAHAMCSVLLRGSSWEVSPGRRNSMTASNTAVNSNIVAAFGKKGDGLPYYSVAATRLLGGAIGSDDDL >ORUFI06G17500.1 pep chromosome:OR_W1943:6:16806554:16806998:-1 gene:ORUFI06G17500 transcript:ORUFI06G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLISPSWDVLPPCAAPLTLLLSMKKGMVPWIVATMHATASAPRKLRSSLLLPKHVQDASIRCFTHRSLYMQGYAISRVAINKLDIMMPKPVCRQPLLSCALLYSNKP >ORUFI06G17510.1 pep chromosome:OR_W1943:6:16827304:16828677:-1 gene:ORUFI06G17510 transcript:ORUFI06G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASAAARWASQPCPAAGLSRLCTAAGGPPRRPQVSSSAVAGNALPALAFSLHLRPPLHPQCAVASQRRHLSRLRKVELYPGRWLFFAESHRRLAVIMNRSATVAAVPPAAPGRNDDDVGCGGAWSALQSAVGTTAFPSIRCTIEDEIKG >ORUFI06G17520.1 pep chromosome:OR_W1943:6:16828976:16836142:-1 gene:ORUFI06G17520 transcript:ORUFI06G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACAKLSGCKNVDGSCQPPYDHLTLHPNSEKSDVLDFCKLGCTSSVCSKINTFAANEGVNAAVERCEDACDRFCTKEAQTVTVVS >ORUFI06G17530.1 pep chromosome:OR_W1943:6:16856844:16857430:-1 gene:ORUFI06G17530 transcript:ORUFI06G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGWMDLPRSTTEYRHGVNNFIEFAFTHSAKGNKILCPCKKEAFPEGAALPKNFYEAKKTVKSLGLGYINIHACENDCILFWKQYENYTSCPK >ORUFI06G17540.1 pep chromosome:OR_W1943:6:16857840:16858394:1 gene:ORUFI06G17540 transcript:ORUFI06G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGQRNAVLSFKKGRRCPEPQVKQIWNHLGGVEMVDSCGMGHMDEPPGAIHRG >ORUFI06G17550.1 pep chromosome:OR_W1943:6:16871700:16876672:1 gene:ORUFI06G17550 transcript:ORUFI06G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGGEGSDGDRRVGADPVAALLGLSSTTVKEVDPAAAATMTTMSTAPRTATTITMARLFQPPPLYGLEYRGVGFDVGLDLVFTPRIHVYTKEIVDRLVSADQEAGGDGTPPFCNLPLRPLESTCYAIKQPGKGKGPMVEAIRAPAYTFPNMSAIIWPHLGGLPNEQRSSLLESIAEYDRQAKESAVEIERHFRIVVDKQHMLCQRVIDAPQTNRAAAPQPIVPQPARCQEVNRRQSNVQPTGAETNPNEEDEQQQ >ORUFI06G17560.1 pep chromosome:OR_W1943:6:16876801:16879383:1 gene:ORUFI06G17560 transcript:ORUFI06G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQIRPTHTTPTDSAPLTTEEVAAQDKAPDTVEDTLGVEALLPETMPDTNDVNSTPWSLPKRFIQEPAIFVSPVVVGPGMPSSDVSLSIQLRHFLLTNGGRMDSVKLLEIDSSVAYGNNVLESFSDGSLTEGLFIDVFSSILFKDDMKYRLDTYGKRIFIPTSISIMVPVLHHYHWSLDAINIAHRLVDIMDTNNYTLLGTLVSNHHGALAKRIVKRLSNALQAVVPKSFCRFGGFRKNMMECPKMQICSIDCAFYIMRFMEAYDGNMESIENLSIPTDSVIVRSSTLHQLMFSEFNQAAPLHSDI >ORUFI06G17570.1 pep chromosome:OR_W1943:6:16885793:16886087:-1 gene:ORUFI06G17570 transcript:ORUFI06G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRGCMREKSSGNSPELGVASVVRNGGRSGVGGSGGRRQGRWEERGTHRGCTATTAKSPEPGRMAEL >ORUFI06G17580.1 pep chromosome:OR_W1943:6:16894110:16894364:-1 gene:ORUFI06G17580 transcript:ORUFI06G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDRMIYAEDLGYMSTPCLSPPSDVNDLNPPEDPNNISVLHPAFIDDGDIDIIQEDIYNFRYDQTPPRDAQSPATRFLRHKRD >ORUFI06G17590.1 pep chromosome:OR_W1943:6:16896400:16910754:-1 gene:ORUFI06G17590 transcript:ORUFI06G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVKSLIMCVLVLGLVLQQETIKVGAKSCCPTTTARNIYNACRFAHGTRERCSKLSGCKIVDGKCKPPYIHHTLHPESVAGNEEGNGAVERCNEACYHFCNKEADIVTIKVDKIMEEIKPMIALSLLGGVLDDSVTPYSINRILKQQETIKVEAKSCCPTTTARNIYNACRFALGTRERCSKLSGCKIVDGKCKPPYIHHTLYPESEESDVLDFCMLGCTSSVCSNMNTFADNEEGNVVVERCNEACYHFCNKKADIVTVVS >ORUFI06G17590.2 pep chromosome:OR_W1943:6:16896400:16910757:-1 gene:ORUFI06G17590 transcript:ORUFI06G17590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVKSLIMCVLVLGLVLQQETIKVEAKSCCPTTTARNIYNACRFALGTRERCSKLSGCKIVDGKCKPPYIHHTLYPESEESDVLDFCMLGCTSSVCSNMNTFADNEEGNVVVERCNEACYHFCNKKADIVTVVS >ORUFI06G17600.1 pep chromosome:OR_W1943:6:16925283:16927964:1 gene:ORUFI06G17600 transcript:ORUFI06G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVAKTMQPARLVDDDGAAARAQARAQVWQQPEKKTRGGDEAGQEEGVVVVSGGRGRRRHGVGEVGAASRVISPHLHDVVLRPLRCRLHALWRCFFHWRSRCCRHRGLSPPPSRSSPRSPSSLPAAPAASLPVSAGGNSSAATEAEEAGGLLLSILILGTLGISILVPGTRDTWYLIPVIPGTSSLEQEEREEEAAAWWSRRRRRCANPVNGEAKRGGGSGESGGRRGGAADEKTDKGGEPERRGKGGELEGDPCRGRAVVVLLGGRGPEPAAASPAPAAASTPPLPLSLLFTAPVAILLVVAASPAAVAAGSDERWGDGDRDDGGHRLRRAMGRQRRGRGRRWLLAPMI >ORUFI06G17610.1 pep chromosome:OR_W1943:6:16926801:16927804:-1 gene:ORUFI06G17610 transcript:ORUFI06G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAVNKRESGSGGVEAAAGAGEAAAGSGPRPPRRTTTARPRQGSPSSSPPLPRRSGSPPLSVFSSAAPPRRPPDSPLPPPRFASPFTGFAHRLLLLLHHAAASSSLSSCSRLLVPGITGIRYHVSRVPGTRILGTRYYGYQIPDITGTRYQAPGYQVPNVSDGTRGTRYLIPKVPSIRMERRRPPASSASVAAEELPPALTGKEAAGAAGKDDGDLGEEREGGGERPRCRQQRERQWKKQRHSAWRRHRSGRRTTSWRCGEITLDAAPTSPTPCRRRPRPPETTTTPSSWPASSPPLVFFSCERERVCGGERKEIR >ORUFI06G17620.1 pep chromosome:OR_W1943:6:16932390:16933077:1 gene:ORUFI06G17620 transcript:ORUFI06G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQIKNVVIVVEMENTNITLIEYFDINQLIPSGGASSCSKHCTPGERAVAVPQLYRLQPRNGLQRRVSRGRLGARRSTAAHRRPSPPAAADHRCLPPPTTAAQRLSPRRGPRADGGMYGSERHPSIPCASSAAHGMATPYCVRQSFGEIGEKEQRDPFSLATRNYNFTPSPPAVLHQAGCAVPSNLSHPMRAYQRSGFGTAHPQGQ >ORUFI06G17630.1 pep chromosome:OR_W1943:6:16958988:16959692:1 gene:ORUFI06G17630 transcript:ORUFI06G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGYGVPIISTESAKQCARGTAALRRCMEANAEHFKADIRAMDEGLDEDQRRLGFISLV >ORUFI06G17640.1 pep chromosome:OR_W1943:6:16963721:16965804:-1 gene:ORUFI06G17640 transcript:ORUFI06G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTHTIWDGLGRSIEMDREGGLRISTGDGGYGHEGVKDTMHGDSKKSELHWLAHGGQPLSVVDGAVVRHATVTGLVLTTKSPPDRERVTELISRFRGATSSGGLLETLHELRDVAAVSEPNRKLLTAVPGTVECWSDRLTIAKIHIYRNYPFSADGVMAGFA >ORUFI06G17650.1 pep chromosome:OR_W1943:6:16967669:16978331:1 gene:ORUFI06G17650 transcript:ORUFI06G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQILCECCNSDHRSSSTPMATTTSSSASDPAAISPTPSQQHASSTVKTLDDRRPAGTSSSAGETEPKAAVEPQEYPRRPGVPDCSYYVEFGSCKFGMRCLYNHPAKHAGGCDKLEHPQRPGEHDCLHYLRFGRCKYGMNCRFNHPPDRLPQQQVYFPWKACHCHHSEGKSEAEHVKLNFLGLPLRPGTGLCSYYMNRGICKFGSNCKFHHPNSGSGHEKWDGSLQTNQISSGVNIYSVLDHGELNEQPVPSKDDFQRGNSCFQPAEQIRYTRDQLLKLRETVDVPKDIMKLIQDINVELRAEDESRAPNETNYVPTLSYKRFQETDSHDWHSRSLQTPVVAEEEKSQDDTREAKEPYALGWKQKEFNKQDQKSFQSDSKAQAGPTLALHKAEDPWSIQRSTVPEKYKVLKTVKGILNLLTPEKFDILKDQLIEAGITRTDILKDVIDLILEKAVAEPTLCPMYAQFCSYLNENITAFPPKDTHCEQITFKQALSDKCQQAFEIARNVRADIYKLTGREQEMERRDKERLVKHQILGKIRLIRDLLKQKMVPDKIVHHIAQAVTDCENFHFEPLENVDLLNIIFDGVLDSVLPGTEANKVVNAIIGIEKCSIASNDAGIIHKDVLNPQLKKEHSRGDLEA >ORUFI06G17650.2 pep chromosome:OR_W1943:6:16967669:16978331:1 gene:ORUFI06G17650 transcript:ORUFI06G17650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQILCECCNSDHRSSSTPMATTTSSSASDPAAISPTPSQQHASSTVKTLDDRRPAGTSSSAGETEPKAAVEPQEYPRRPGVPDCSYYVEFGSCKFGMRCLYNHPAKHAGGCDKLEHPQRPGEHDCLHYLRFGRCKYGMNCRFNHPPDRLPQQQVYFPWKACHCHHSEGKSEAEHVKLNFLGLPLRPGTGLCSYYMNRGICKFGSNCKFHHPNSGSGHEKWDGSLQTNQISSGVNIYSVLDHGELNEQPVPSKDDFQRGNSCFQPAEQIRYTRDQLLKLRETVDVPKDIMKLIQDINVELRAEDESRAPNETNYETDSHDWHSRSLQTPVVAEEEKSQDDTREAKEPYALGWKQKEFNKQDQKSFQSDSKAQAGPTLALHKAEDPWSIQRSTVPEKYKVLKTVKGILNLLTPEKFDILKDQLIEAGITRTDILKDVIDLILEKAVAEPTLCPMYAQFCSYLNENITAFPPKDTHCEQITFKQALSDKCQQAFEIARNVRADIYKLTGREQEMERRDKERLVKHQILGKIRLIRDLLKQKMVPDKIVHHIAQAVTDCENFHFEPLENVDLLNIIFDGVLDSVLPGTEANKVVNAIIGIEKCSIASNDAGIIHKDVLNPQLKKEHSRGDLEA >ORUFI06G17660.1 pep chromosome:OR_W1943:6:16986830:16987027:1 gene:ORUFI06G17660 transcript:ORUFI06G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHHRPTAGQCSLVQPPRLITCLLLLLLLLLLSSPLTLPCSASSAVITHLPGFHGRLPFYLETG >ORUFI06G17670.1 pep chromosome:OR_W1943:6:16998813:17002086:1 gene:ORUFI06G17670 transcript:ORUFI06G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIFLDSPVGSGFSYARDPNGYDVGDISSSLQVVTFMKEWLNDHPRYCSHNFYVGGASYAGKVVPVITQYISEVGNPITGSKFDKNFHVPYSHGVGIISDQLYEAAVTHCKGHFVNPTNQLCANVLSTIHKLMSEVSDGNILEDKCVKAAPKPTIDVSASRALLEEYNQLHKPPIRPSIDCLSYRYYLSYCWMNDNTTRDALKIKKGTIDEWLRCNKGVLPYAQDIPSSLNYHFNLTTRGYRALVMSGDHDLILPFLSTQAWIGSFNFFIANDWRAWHVDGQAAGVVPMLLQQTSLNNLLPWGNGG >ORUFI06G17680.1 pep chromosome:OR_W1943:6:17013317:17017550:1 gene:ORUFI06G17680 transcript:ORUFI06G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGGARLPSSSATARRRRSQSRRCWEDEEGGGAAPTATGGAGLQLLRQVRELQVRHQLRVQPPGSEAAAWRRRQEAGRAVPAAARRARLQLLRQVRELQVWDELQVQPPSPHASATTTRGKSKVEQVKLNVLGLPLRPGTGLCSYYMNRGICKFGTNCKFDHPDPGSDHEKWVVSSNANQVSSQPGIPSFHQRISYTRDQLLQLCQNVEVPKDILKFCQDINVELNGEDKISGFGAEKDHVSILPFSYVIMAEIVCAALINVPQRCKVLYFIVQTPSYKRFDATDSRDWHSWSAQTNWEQKFWDNFSEAKEPYSLGWKQEKFNKPDQSSFHFDSKDQQDDPISVLVKAEVPLSIQRGIISGKDEVLKTLKSILNTFTPKMFDLQKGQLIETRISSADILKDVINLIFEKVVAEPTFCSTYAQLCTYLNQNLTPFPPEDCDCEEITFKQALSNKCQEIFESAHTVCSEIGKLIGQDREMEQRDKERVVKLETLGNINFIRALLKKKLITNKIIDHIVQVLKVLLNSAKQFEDTRGLCSTTLHTANTPSNAKKNRTNPNIC >ORUFI06G17690.1 pep chromosome:OR_W1943:6:17026659:17049760:-1 gene:ORUFI06G17690 transcript:ORUFI06G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTSHDVYMSVPRFFCILSHIRDFDIALCGVNVVVDSSQPHEDSLLLAGITYCWMRPSTVLPGMHTMYSWSYRQKLRATFGMAPEPCADCCLQLFCDRCSLSQMYRELKNRGVNPANGWVVNSQKMTSAPIPLQDMRR >ORUFI06G17700.1 pep chromosome:OR_W1943:6:17072573:17073893:-1 gene:ORUFI06G17700 transcript:ORUFI06G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGRTTLNSAGGAGAPTTTTTPRLPPSHRQASERDTAEEREGETAVRGRRTWRRGDAGAGGVGAVQGSYFVSPADRTYDALGLVKQINVQTAAALAEAREVLAVASGGQSENINYDKENLESPNAKKEPRTTTKLQAKIKRRS >ORUFI06G17720.1 pep chromosome:OR_W1943:6:17087186:17087902:-1 gene:ORUFI06G17720 transcript:ORUFI06G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACTTTDGSGDVCLRPSASDGLPTHQACTSKAAPDGETRRRQHQRRRCCVVCLVVTIATLALLGVAVLVLSLTVFRVRDPATRLVSVRVVGVSPNLAPPLPQINVTLLLTVAVHNPNPASFTYSSDSGGHADLTYRGAHVGDAVVEAGRIPSRGDGAVQMEMTVLSSSFTGDVMAELIRDIEAGAVPFDASARIPGKVAVFGVLKLHAVAYSDCHVVFGVPEMGIRSQECHDHATL >ORUFI06G17730.1 pep chromosome:OR_W1943:6:17091043:17096494:-1 gene:ORUFI06G17730 transcript:ORUFI06G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSRAVVAVASVALLVAALASSAAAEGYPVEYTESYYDNTCPNAQNIVRSVMERSVAANPRMAPAILRLFFHDCFVNGCDGSLLLNSTDSFESEKKETPNASLAGFDVIDDIKSELERSCPATVSCADVLALASRDAVAMLGGPSWGVLLGRKDSRYVTKNATEELPDPRNGHLDVLLGVFGKHGLDERDLTALSGAHTVGKAHSCDNFKDRIDGGEGYDDIDPSYAAELRQTCQRPDNCEEAGVPFDERTPMKFDTLYYQDLLFKRGLLATDQALYTPGSWAGELVLTYSRNQEAFFADFARAMVKMGNIRPDPWTPTEVRIKCSVANVRSSLSEPASVSRWASSGSWGFQMGISKSFFVASLSLLLAVLASTGEGSHQPVVMPVAMELSAKYYRKTCPNVQNAVRTFMEHRLDMAPAVLRLFFHDCFVNGCDASVLLNRTDTMESEKDAEPANTSLAGFDVIDEIKSVLEHDCPATVSCADILALASRDAVALLGGPRWSVPLGRMDSRQASKAAAEDANNLPNPNSDLGELLRVFETHGLDARDFTALSGAHTVGKAHSCDNYRDRVYGDHNIDPSFAALRRRSCEQGRGEAPFDEQTPMRFDNKYYQDLLHRRGLLTSDQELYTHGGEVTSELVELYAKSRKAFFADFARAMVKMGEIRPPEWIPVEVRLNCGMVNN >ORUFI06G17760.1 pep chromosome:OR_W1943:6:17174768:17176561:-1 gene:ORUFI06G17760 transcript:ORUFI06G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLKSSSAIKTPLSSMKFVCRPCCLLALPLPSFIHLGGKEYSKGDSRYVARDPATVTVEASTAVLEGPALLLSVYAIARQKSDSHILQFTVCLGQLYGCLVYLPTWVDSTSGLVRSTSGLISLDPTVCGL >ORUFI06G17770.1 pep chromosome:OR_W1943:6:17182538:17184209:1 gene:ORUFI06G17770 transcript:ORUFI06G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKQPGDVPMSAAASEADLAQLSIAITAGEDLGPLVRRVFTCRCPEPLLASLWAAARDRETEIEELCRAHFHDFICAIDNLRSLLADADALKGSLSGSHAVLLSFAALLLASLESFLVARGFAGNLTSALASSRRRVRLLVLANRANAHLQGGNHNLYLALRAVPLTATSPSAPPHPPPHDNPIC >ORUFI06G17780.1 pep chromosome:OR_W1943:6:17190234:17192120:1 gene:ORUFI06G17780 transcript:ORUFI06G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQLGFLEFTGTNPRNAEKWTTVSPPVSQPMACSSQLDVLAQDSMHNPLSRGIKRKWVDLSLGLGNSSSSSDSSKQSMGTCCTMSSAKDRDDGSSVDLDMNFQFNLYNEGTSKLDSYDCNGKKVLEKPVDLELSLNFGPCESAVTNVDFSAATKQQAVFLQSCNMSSVPTVDEGSTSARWKSGGKLLPYLYQSRNNTGHFSSKELPGSSNQSQDLAPLPKMIQTPQSPVTSTSGVVSFQQRCNSTKICSQPGCAKGARGSSGRCIAHGGGRRCQREGCKKGAEGKTIFCKAHGGGRRCEHLGCTKSAEGRTDFCIAHGGGRRCSRDGCRKAARGKSGLCIKHGGGKRCQKLNCTKSAEGQSGFCIAHGGGRRCKHDGCTKGAQGSTNFCKAHGGGKRCTHPNCSKGAEGSTALCKAHGGGKRCSAEGCPKSVHGGTEFCVAHGGGKRCVVPGCTKSARGRTDCCVRHGGGKRCQFTGCSKSAQGSTDFCKAHGGGKRCLWGQSGSGLGDGSGTCERFARGKKGLCVAHNALVEDSRVRGGQTVGTIALPGSTGADSDVSHGTLPGNSFNFGETFAANTKQALHHVQSPVPEGRVHGGNIAAMLANSMDYQKQLNFSTGASTSDRNWL >ORUFI06G17790.1 pep chromosome:OR_W1943:6:17206013:17206261:-1 gene:ORUFI06G17790 transcript:ORUFI06G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGQQPSTERKETSSAFGGGCCGGGFQMPLHYPRYKKADYEAMPEWRVDCLLREYGLPVDGGVEEKRRFAMGAFLWPDQY >ORUFI06G17800.1 pep chromosome:OR_W1943:6:17210875:17216185:-1 gene:ORUFI06G17800 transcript:ORUFI06G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWYLVAALLTGILTTLSQSNGKYKYDYATIPFLAELFKLSFSSFFLWKECQSSSPPRMTKEWRSIRLYLVPSVIYLIHNNVQFATLTYVDPSTYQIMGNLKIVTTGILFRLVLKRKLSNLQWMAVVLLAVGTTTSQVKGCGDAPCDSLFSAPFQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGVIFNMGWLIYGDFKAGFERGPWWQRLFNGYSITTWMVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSVYLFNVRATLQLFLGIVICIISLQMYFMPVNMLVELPQALPVTSK >ORUFI06G17810.1 pep chromosome:OR_W1943:6:17224643:17228035:1 gene:ORUFI06G17810 transcript:ORUFI06G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAIRAAAAAAGDMAPPSASDPTLGFLTKRDTEVKLPRATRVKNKTPASVQITAEQILREARSGRSPRSKIADSVELSEYRLRRRKEFEDAIRRARWSVGAWVKYARWEERQGDFARARSVYERALDVAHRDHTLWRGDQLWYKYIHMEQVLGAVANARKVFELWMAWRPDAAGWNSYIKFELRYGEIERVRAIFERFVAEHPQPHTFILYAKFEMKRGEVERARRVYERAADLLADDEHAEVLFVAFAEFEERCREVERARAVYKYALDRVPKGQAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKDRIREVYERAIANIPPAEEKRYWQRYIYLWINYALYEELDAKDMERTREVYSECLKLIPHKKLTFSKMWLMAAQFEIRQKNLKAARRILGNAIGMAPKGKIFKKYIEIELYLGNFERCRTLYEKYIEWSPANCYAWMKYAELEKSLGETDRARSIYELAIAQSALDTPEVLWKEYLQFEIDKNEFHRTCELYERLLDRTKDLKASVGLGGEDSQSEEIKNEVSYQQQQIEQVRRCRAVFERAFEYFRTSAPELKEERAMLLEEWLNKEVSFGHLGDVTLVQKKAPRKVKRKRPDPSEDGSTTAYEEYMDYIFPDEVALAPNLKILEAAYKWKKQKTDDTDDD >ORUFI06G17810.2 pep chromosome:OR_W1943:6:17224643:17228392:1 gene:ORUFI06G17810 transcript:ORUFI06G17810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAIRAAAAAAGDMAPPSASDPTLGFLTKRDTEVKLPRATRVKNKTPASVQITAEQILREARSGRSPRSKIADSVELSEYRLRRRKEFEDAIRRARWSVGAWVKYARWEERQGDFARARSVYERALDVAHRDHTLWRGDQLWYKYIHMEQVLGAVANARKVFELWMAWRPDAAGWNSYIKFELRYGEIERVRAIFERFVAEHPQPHTFILYAKFEMKRGEVERARRVYERAADLLADDEHAEVLFVAFAEFEERCREVERARAVYKYALDRVPKGQAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKDRIREVYERAIANIPPAEEKRYWQRYIYLWINYALYEELDAKDMERTREVYSECLKLIPHKKLTFSKMWLMAAQFEIRQKNLKAARRILGNAIGMAPKGKIFKKYIEIELYLGNFERCRTLYEKYIEWSPANCYAWMKYAELEKSLGETDRARSIYELAIAQSALDTPEVLWKEYLQFEIDKNEFHRTCELYERLLDRTKDLKASVGLGGEDSQSEEIKNEVSYQQQQIEQVRRCRAVFERAFEYFRTSAPELKEERAMLLEEWLNKEVSFGHLGDVTLVQKKAPRKVKRKRPDPSEDGSTTAYEEYMDYIFPDEVALAPNLKILEAAYKWKKQKTDDTDDD >ORUFI06G17820.1 pep chromosome:OR_W1943:6:17269680:17275673:1 gene:ORUFI06G17820 transcript:ORUFI06G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEGAAAAARIRLVRCPKCDKFLPELPAYSVYVCGGCGAALQAKKKYSAQGSDNSDNGHVKYLEVLESVAEAPEAMDGATADGRSIPNRISALHSRSVYNHEDNRMARGPSTSTGEATIRNDGREAKYMRIRNVENADMMKSVRGRGISDISPRSPIDGIPPTSYQAESLVNYQLQSKYRFSNREHANDRDLDGPSRVRGLEKDRAELLKMLDELRDQVQQSCEVTDAPSRSATTNRPADASSSHGAHDQPNQLRHDPSVLHWNGSHHSPSLNVQSPNIPQVHAPLPTRQNLHGYAEPIPHARASSYHAGAGYPCRNVDNFFFGHHDPDPLLSCHHEGLYHQPVCSCFNCYHREFLPVQGTPLGFTDQRAPYLMNSYGAYPVEGPLYGQQRYTSRGTNTSLQRNHLRTNVRKKPAQTCEPIAGGAPFTICYNCYEVLRIPMKHSLLGKEYKLMCGSCSHAILVNLDGSRLNVSEPAPGINLSAALQNGIGDSMRNNGHANADERLLPQYCFSNGSHESQEKDLESNSSESDSKHTPLGTDSENTPQSRDLPSEANVISHVPSLPHHDRCGFSPSEDSGKGSRSTHSEHEKAILFTESCKRNSIKDVCVANETQSPVDEFDDTLYAQDMLNLPQNVGHTRSTKAGDSFLTNLIKRSFKMNNGTRNGRARIFVNGFPISDRAVRKAEKLAGEICPGDYWYDYRAGFWGVMGRPCLGMIPPYIPEFNYPMPKNCGGGNTGIFINGRELHQKDLDLLVSRGLSDSPGRSYIVENSGKVSDEVSGEELYGLGKLAPTVEKMRRGFGMRVPRIIQ >ORUFI06G17830.1 pep chromosome:OR_W1943:6:17283824:17287185:1 gene:ORUFI06G17830 transcript:ORUFI06G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGEPPAPQQRKAAGGGGGGGLKLLLSVLLVGLALRLLVNPSAYLLLSSSSSSSTTTTTAGTPGQGEDAILAGGGSLRSNGSCDLFHGKWVPDSSGPDYTNNSCRFIETPQNCMTNGRPDSGYLYWRWKPYGCEMSRFEGEKFLEAMRGKHWALIGDSILRNHVQSLLCLLAKVEEPTQVYHDKTFKSRKWHFALHNITVSLIWAPFLVEAEIFEDDDGVSTSELQLHLDILDSNWTRQWNSFDYVVISTGQWFLKTAVYWENGAVTGCHYCQDKSVAELTFEYAFRKSLRKTFQFITSSPHKPVVFYRTWSPSHFENGEWSSGGTCKRTVPFKPGETGDRESDMKMWRIEREEFAKAVVHDRHNNAGRLKLLDTFELSLQRPDGHPGPYRTYHPFEKATSAKVQNDCLHWCLPGPIDAWNDIIMQMLAIN >ORUFI06G17840.1 pep chromosome:OR_W1943:6:17287511:17290375:-1 gene:ORUFI06G17840 transcript:ORUFI06G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEKPTPPPPPPPHPPAPEAVPRVRRFGGGPPGGGGGGGYPNPPDAAIPDAATLREQWRFAVRQYSRWYSHAWGTAILAGAAFFGLGWLVKGSNPLPSRAEHHASNAKEEEG >ORUFI06G17850.1 pep chromosome:OR_W1943:6:17296273:17298560:-1 gene:ORUFI06G17850 transcript:ORUFI06G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFRLNPPLQTLLLTFSIRTPPEASRLVGLFPALWLFHIGLHPGNRRRAEESDEEWRDTASNERRAARTRHGARGQAKRGSNIVSEGFVKSMEQDLKRLVVEKYGGPNQPEQRLPTIYRVPGEMKRRYEEGNSYSYLPVAVQIGLLRYPRQQSRGEDYRVLELYKWRCVRSLIGRHHLLQEPTRIPELLRRCLSAINGFLPRILASYNFDAEALDVGQRHVVLGTMLLDGCFILRRLLKFARIASEEQSGAKASSSSSRSGTGSASSGGQDDDEDRAVLFGRCWVWSFVTCDLLLLENQIPFCVVQKLFHQLRTRTDADDTSDVLVAGALRLFSSLRPRKLYSSPISCRDVHVHHLLHLFYLSVGFPPDAAAAPDDDPSEHLVPPSELPQWIPCARELEEAGVTFRPRKDATSFLDVRFAGHCGVLEIPELQLYDYSEPLFRNLIAFEQTYPYTRGHVTAYAVFMDCLVTSPEDMRLLHLSGVLVNHMNRDRDPTGFFSRLCSEAHLAADCNYLAGVIGEVNRYRRSRWPRWRAALVRNYFSNPWVATSLAAAVILLALTMMQSFFAAYAYFKPPKQ >ORUFI06G17860.1 pep chromosome:OR_W1943:6:17303017:17307282:1 gene:ORUFI06G17860 transcript:ORUFI06G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAEAMGSLVPKLTELLKEEYKLQTGVREKINFLKSELEDMHAALRKVGSMHPDLLDEQVRIWASKVRELSYDMEDVIDAFLVRVDGSVPANPGCLERLVHMMCGLFTKIKVRHQISGVVQDINTKLEEVSKARERYIASDLQANLAATQLSTHDPRILLSNTDAAKLVGIDGTRNDIIKILSLEGDNLPLGKMIKVSIVGSGGMGKTTLSRAVYDSVKGKFQCSAFVPVGQNQDLKRVFMDVLNDLDKEKFDNIHSTKKDVRLLMNEVYDFLENKRYIIVIDDIWKFDAWDMIAKVLGDSSCGSRVIITTRISEIAEEVGHVYEIKHLSDVDSRRLLHRRILSGEDQCPDDDYDLEEVCDEILRKCEGVPLAIVTTSSLLESKPREDWSELYRSIALGAKDNRHVDNTMKILSLSFYHLPYHLRTCLLYLSAFPEDYLIGKQTLIWRWIGEGFVCKEEGRDLYEV >ORUFI06G17870.1 pep chromosome:OR_W1943:6:17309141:17310648:-1 gene:ORUFI06G17870 transcript:ORUFI06G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALYVEISPAPPHQPSRLMDGVGTRQQASMSMAESTEAIPNLDQGFKALRWYGEPGEAHAASASASAPTGAGGRASCARVRTGPPGDGGGGYPNPPDAAIPDAATLREQWLFAVRQYSRWYSHAWGTAILAGAAFFGLGWLVKGSNPLPSRAGHRHPRRRRLLRTRLARQGLQPPPVPR >ORUFI06G17880.1 pep chromosome:OR_W1943:6:17314040:17314633:-1 gene:ORUFI06G17880 transcript:ORUFI06G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVVEAAAAADGRHHHKAVVAADGGGGGGAGTAALVWDCGSALYDSYELTSFRRQLDAAVLSCGGRSLSMPHLLSSSSTQQQQMPAGRRRRRRRRLPAMLRRLFGKVLLRLRFPVASRAARGGWYDYGAHGDGRPGSPWSGALTSIPEESASPENGPSSSPLVDDDGPSALRKAQSERFVGSKTASSMVQFDVVL >ORUFI06G17890.1 pep chromosome:OR_W1943:6:17322558:17323407:-1 gene:ORUFI06G17890 transcript:ORUFI06G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGGGVVSIRQSTGAGAGSTREWRWGLQKEERGGGGGLRDWDARDEKLENITAHRIALKSGSGSRSPEYVESGDSSSASENISDDEDDNVAAKNRTSNNAQAKNSKAVHPAPRSNRRLKNINTLIDNDKGEGKVSH >ORUFI06G17910.1 pep chromosome:OR_W1943:6:17345776:17349872:1 gene:ORUFI06G17910 transcript:ORUFI06G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALCDQLLLVDSDGGEFIPHHADADADDLFTILETWEDCANVVAGGAPATTTTLGSPIAAAAFISGVVGGQNHQQLPEPAAAKTVPATNNKRREEEVADRDGDGDDDDGSPQKRHKCCSPESSTTDVAAATTPKTAHIAVERNRRKQMNENLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVLHSLEAKKQRKVYTDQVLSPRPPATVAASCCSPRPPPLSPRLPPQLLKSTPPLSPRLTVPISPRTPPTPGSPYRFLRLPPPPPPASGSNYASPAMTPTHHETAAQSLDAIAAELSAYASRQALGGGLLLPDVKVEFAGANLVLKTVSQRSPGQAVKIIAALEGRSLEILHAKISTVDDTAVNSFTVKVCTASLLLHGTAISALALIM >ORUFI06G17920.1 pep chromosome:OR_W1943:6:17350773:17351527:-1 gene:ORUFI06G17920 transcript:ORUFI06G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLLPPARRHRRHPCMEPSQPQPLSLLQPNRTPVLQRLCLAALPGCTELLSYALRGLICRSDDLLQCAADAAASRREAAGCVSSIPKGGAVARRKTMCDITNLRRTSAAVEQGGTVCAVDAGMEGITRLLKHISLLARELLAQQKYHNQRFQDAPVVAGTGHRW >ORUFI06G17930.1 pep chromosome:OR_W1943:6:17355649:17358289:1 gene:ORUFI06G17930 transcript:ORUFI06G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRQRLGGRRGGGSGPTDREVAVIEDEQNEWEKNTHEEWKKYIGLQIFESLESSPRLQDCNSWSKTEENHPYGEKPVAHMPYCHSMPPPLCFLPMPTIASAGQSDIHLLRWSYFARQLLWQNLKMNRMQKLKRKRSHCHVPSVPSSCCRGFEQSTCVSALDVEHMRMRGGGEAFHHRMRMGREEALVISADQGAPLFLGVLTRTGKALLRLISEGP >ORUFI06G17940.1 pep chromosome:OR_W1943:6:17358239:17366336:-1 gene:ORUFI06G17940 transcript:ORUFI06G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCTGGAGGVAAGRLPAVSLQQAQWKLVDERCELREEEMEYVRWFHRYELVATGATPSLPSTSGCPSKLGLPSTRRIERLGFPDDNDHTLRVKFIGGDHMLKDYSSTLIIHLEVIDGQLVTLVIESFVVDILEGNTKDEIFYFIENLLKFNLRTLRMNSGAGGAGGAAVGRMPAGSLQWAQWRLADEWCELREEEMEYINQCNSFIAKHVRAPLQNVWSLVRRFDQPQIYKPFVRKCVMRGNVETGSVREIIVQSGLPATRSIERLEFLDDNEHILRVKFIGGDHMLKNYSSTLTMHSEVIDGQPGTVVIESFVVDIPEENTKEDICYFVENLLRCNLRTLADESEESLASPC >ORUFI06G17950.1 pep chromosome:OR_W1943:6:17371717:17379802:-1 gene:ORUFI06G17950 transcript:ORUFI06G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHHHLLGLLRRATASPTPASRRAGPPLPGLLQDPLRNGAAAAGPRFFSSRAGPGAGAAAKSLIEDEAELSDWISDLKTDSFHLGLSSGDEGEASTRGPAASRGGRRGRDSRGPPPRSRFGGGDFGGDRRGFERRGRMVSNNELGDDDDDEDEVGFGSARGRRDRGGRQSEFSHRGRRGNDRGGRQSEFSYGGRRANDFDDDGGGFRSTRGQRGRGGRLANVSRRGYDFDDEPGFQSPKGQRGQGGRYSDLDDDEGGFGSLRGRRGRGGRGSDLDDSEDDEYSRGSSPRGRRGRGGRMSGVSRKGGRGSDLDDSEDDENDSIDSRGRRRDHGTRGRNVGSLGPQRGGRGGDADFSDRRSRGGKMFDFGLSEDDSELGEVDEDDGPSGFEDDLFDDEGGEKNLVESPAKNSAPFESIKGEPIDQEGVVHTRESGGGDSYLSQTRFDECSLSPLTLKGVKAAGYERMTAVQEATLPIILKGKDVLAKAKTGTGKTVAFLLPAIEVVSKLPPIDCDQKRPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLKDHMENTPGFATRLMGVKVLILDEADRLLDMGFRTDIERIVAALPKQRQTLLFSATVPDEVRQVCHIAMKRDLEFVNTVEEGGEETHSQVKQMHVVAPLDKQFSILYGLLTDHISENVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQMGVPTDREQYIHRLGRTGRRGNEGSGILLLAPWEEYFLRSIKDLPITEATLPLIDLDTKRKVEKALAHVEVKDKELAYQAWLGYYNSNKFIGRDKYQLVSLANEFSRSLGLNNPPAVPKLVLRKMGLNNIPVYATTLGTLYKVKVRRQNMQEALSIHYL >ORUFI06G17950.2 pep chromosome:OR_W1943:6:17371717:17379802:-1 gene:ORUFI06G17950 transcript:ORUFI06G17950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHHHLLGLLRRATASPTPASRRAGPPLPGLLQDPLRNGAAAAGPRFFSSRAGPGAGAAAKSLIEDEAELSDWISDLKTDSFHLGLSSGDEGEASTRGPAASRGGRRGRDSRGPPPRSRFGGGDFGGDRRGFERRGRMVSNNELGDDDDDEDEVGFGSARGRRDRGGRQSEFSHRGRRGNDRGGRQSEFSYGGRRANDFDDDGGGFRSTRGQRGRGGRLANVSRRGYDFDDEPGFQSPKGQRGQGGRYSDLDDDEGGFGSLRGRRGRGGRMSGVSRRGGRGSDLDDSEDDEYSRGSSPRGRRGRGGRMSGVSRKGGRGSDLDDSEDDENDSIDSRGRRRDHGTRGRNVGSLGPQRGGRGGDADFSDRRSRGGKMFDFGLSEDDSELGEVDEDDGPSGFEDDLFDDEGGEKNLVESPAKNSAPFESIKGEPIDQEGVVHTRESGGGDSYLSQTRFDECSLSPLTLKGVKAAGYERMTAVQEATLPIILKGKDVLAKAKTGTGKTVAFLLPAIEVVSKLPPIDCDQKRPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLKDHMENTPGFATRLMGVKVLILDEADRLLDMGFRTDIERIVAALPKQRQTLLFSATVPDEVRQVCHIAMKRDLEFVNTVEEGGEETHSQVKQMHVVAPLDKQFSILYGLLTDHISENVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQMGVPTDREQYIHRLGRTGRRGNEGSGILLLAPWEEYFLRSIKDLPITEATLPLIDLDTKRKVEKALAHVEVKDKELAYQAWLGYYNSNKFIGRDKYQLVSLANEFSRSLGLNNPPAVPKLVLRKMGLNNIPVYATTLGTLYKVKVRRQNMQEALSIHYL >ORUFI06G17960.1 pep chromosome:OR_W1943:6:17380049:17393315:-1 gene:ORUFI06G17960 transcript:ORUFI06G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRPPSPPTHRCAAREGRSGGGGLAGALLRRMARAAGLRALVGIEAAGRGRRVAASPSPGGTPAASRGLPGWPGFCGVGCGSSSSSSFAPPRMQARRAAGSAARTRSPSQSNGWITGGSASEDGRLSWDYSSFKGRRPSMEDRFSIKMTTINEQTVSLFGVFDGHGGSLAAEYLKEHLFENLVNHPELLRDTKLAISQTFLKTDADFLESVSSNPFRDDGSTAVTAILVGNHLYVGNVGDSRVVALKAGKAVPLSEDHKPNRKDEQKRIEDAGGIVVFDDTWRVNGLLAMSRAFGNRALKHYVKAEPDIQEKVVDESLEYLILATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVAHSRLTLDNITCIVLHRAGPHPSLHAPGPLRNGGSAPRFFSSRGGAGAASKGLGDDEVELYSLLLGVSIGDEGEASSRGPAASRGGRRGRNSKRQPPRSRFDGDGVGCSKDGKLSWGYSSFQGRRPSMEDRLSIKSTTVNGETVSLFGVFDGHGGPRAAEYLKKHLFKNLVKHPKFLKDTKLAINQTFLKTDADFLQSISSDRYRDDGSTAVAAILIGNRLYVANVGDSRAVALKAGKAVPLSEDHKPNKKDERKRIEDAGGIVVSDDIWRVDGILAVSRAFGNRLMKRYVKAEPNIQEKVVDEGLEYLVLATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVARSRLTLDNVTCIVLQFHHGKSTNSKVEY >ORUFI06G17960.2 pep chromosome:OR_W1943:6:17380049:17393315:-1 gene:ORUFI06G17960 transcript:ORUFI06G17960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRPPSPPTHRCAAREGRSGGGGLAGALLRRMARAAGLRALVGIEAAGRGRRVAASPSPGGTPAASRGLPGWPGFCGVGCGSSSSSSFAPPRMQARRAAGSAARTRSPSQSNGWITGGSASEDGRLSWDYSSFKGRRPSMEDRFSIKMTTINEQTVSLFGVFDGHGGSLAAEYLKEHLFENLVNHPELLRDTKLAISQTFLKTDADFLESVSSNPFRDDGSTAVTAILVGNHLYVGNVGDSRVVALKAGKAVPLSEDHKPNRKDEQKRIEDAGGIVVFDDTWRVNGLLAMSRAFGNRALKHYVKAEPDIQEKVVDESLEYLILATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVAHSRLTLDNITCIVLHRAGPHPSLHAPGPLRNGGSAPRFFSSRGGAGAASKGLGDDEVELYSLLLGVSIGDEGEASSRGPAASRGGRRGRNSKRQPPRSRFDGDGVGCRLHTLGHGGPRAAEYLKKHLFKNLVKHPKFLKDTKLAITVAAILIGNRLYVANVGDSRAVALKAGKAVPLSEDHKPNKKDERKRIEDAGGIVVSDDIWRVDGILAVSRAFGNRLMKRYVKAEPNIQEKVVDEGLEYLVLATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVARSRLTLDNVTCIVLQFHHGKSTNSKVEY >ORUFI06G17960.3 pep chromosome:OR_W1943:6:17382152:17393315:-1 gene:ORUFI06G17960 transcript:ORUFI06G17960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRPPSPPTHRCAAREGRSGGGGLAGALLRRMARAAGLRALVGIEAAGRGRRVAASPSPGGTPAASRGLPGWPGFCGVGCGSSSSSSFAPPRMQARRAAGSAARTRSPSQSNGWITGGSASEDGRLSWDYSSFKGRRPSMEDRFSIKMTTINEQTVSLFGVFDGHGGSLAAEYLKEHLFENLVNHPELLRDTKLAISQTFLKTDADFLESVSSNPFRDDGSTAVTAILVGNHLYVGNVGDSRVVALKAGKAVPLSEDHKPNRKDEQKRIEDAGGIVVFDDTWRVNGLLAMSRAFGNRALKHYVKAEPDIQEKVVDESLEYLILATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVAHSRLTLDNITCIVLHRAGPHPSLHAPGPLRNGGSAPRFFSSRGGAGAASKGLGDDEVELYSLLLGVSIGDEGEASSRGPAASRGGRRGRNSKRQPPRSRFDGDGVGCRLHTLGHGGPRAAEYLKKHLFKNLVKHPKFLKDTKLAISNSISSDRYRDDGSTAVAAILIGNRLYVANVGDSRAVALKAGKAVPLSEDHKPNKKDERKRIEDAGGIVVSDDIWRVDGILAVSRAFGNRLMKRYVKAEPNIQEKVVDEGLEYLVLATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVARSRLTLDNVTCIVLQFHHGKSTNSK >ORUFI06G17970.1 pep chromosome:OR_W1943:6:17395883:17404394:1 gene:ORUFI06G17970 transcript:ORUFI06G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGREDKYVRFEDWRSEQSVMSPRRHNALSSLKERTAGVFAFLGNLVHSETLKRLVLHERKLTTRTLHPQGPFLQSWNKIFVLSCIFAVSVDPLFFYIPVINDNNTCWYLDKKLEITASVLRFFTDIFYILHIIFQFRTGYIASSLTTFGRGVLVEDRYAIAKRYLSTYFLIDVFAVLPLPQVVILVVLPNLGGSEVTKAKNILMFIVICQYVPRLIRIRPLYLQITRSAGVITETPWAGAVLNLLIYLLASHEVITVKIYKIKVVILVLGALWYLLSIERKDACWRDMCSNNSTVCNQAYLYCGDKENSILRTACLPIDSNDIDPNFGIYVPALNNVSQSTNFLAKLFYCVWWGLQNLSSLGQNLKTSTYAWENLFAVFVSISGLVLFALLIGNVQTYLQSAHLREEEMRVKSRDTDQWMSYRLLPENLKERIRRHEKYRWHQTSGVDEELLLMNLPKDLRRAIKRHLCLSLLMRVPMFENMDDQLLNALCDRLKPVLYTEGSCIIREEDPVNEMLFIMRGNLMSMTTNGGRTGFFNSDVLKGGDFCGEELLTWALDPTSVSSLPSSTRTVKTMSEVEAFALRAEDLKFVATQFRRLHSKQLQHTFKFYSQHWRTWAACFIQAAWHRYCRKKIEDSLREKEKRLQFAIVNDGATTLSFRAAIYASRFAGNMMRILRRNATRKARLKESVPARLLQKPAEPNFAAEEQ >ORUFI06G17970.2 pep chromosome:OR_W1943:6:17395888:17404394:1 gene:ORUFI06G17970 transcript:ORUFI06G17970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGREDKYVRFEDWRSEQSVMSPRRHNALSSLKERTAGVFAFLGNLVHSETLKRLVLHERKLTTRTLHPQGPFLQSWNKIFVLSCIFAVSVDPLFFYIPVINDNNTCWYLDKKLEITASVLRFFTDIFYILHIIFQFRTGYIASSLTTFGRGVLVEDRYAIAKRYLSTYFLIDVFAVLPLPQVVILVVLPNLGGSEVTKAKNILMFIVICQYVPRLIRIRPLYLQITRSAGVITETPWAGAVLNLLIYLLASHEVITVKIYKIKVVILVLGALWYLLSIERKDACWRDMCSNNSTVCNQAYLYCGDKENSILRTACLPIDSNDIDPNFGIYVPALNNVSQSTNFLAKLFYCVWWGLQNLSSLGQNLKTSTYAWENLFAVFVSISGLVLFALLIGNVQTYLQSAHLREEEMRVKSRDTDQWMSYRLLPENLKERIRRHEKYRWHQTSGVDEELLLMNLPKDLRRAIKRHLCLSLLMRVPMFENMDDQLLNALCDRLKPVLYTEGSCIIREEDPVNEMLFIMRGNLMSMTTNGGRTGFFNSDVLKGGDFCGEELLTWALDPTSVSSLPSSTRTVKTMSEVEAFALRAEDLKFVATQFRRLHSKQLQHTFKFYSQHWRTWAACFIQAAWHRYCRKKIEDSLREKEKRLQFAIVNDGATTLSFRAAIYASRFAGNMMRILRRNATRKARLKESVPARLLQKPAEPNFAAEEQ >ORUFI06G17980.1 pep chromosome:OR_W1943:6:17412069:17421495:1 gene:ORUFI06G17980 transcript:ORUFI06G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFLQSWNKIFVLSCIFAVSVDPLFFYIPVINENNTCWYLDKKLEITASVLRFFTDIFYILHIIFQFRTGYIASSPTTFDRGVLVEDRYAIAKRYLSTYFLIDVFAVLPLPQVVILVVLPNLRSSEVAKAKNILMFIVLCQYVPRLIRIRPLYLQITRSAGVITETPWPGAVLILLIYLLASHVLGALWYLLSIERKDACWRDVCRNNSTGCNQAYLYCGDKENIFLQTACLPINSNNIDPNFGIYVPALNNVSQSTDFLAKLFYCVCWGLQNLSSRGQNLKTSTYAWENLFALFVSISGLVLFALLIANVQTYLKSAHLREEEMRVKSRDTDQWMSYRLLPENLKERIRRHEKYRWHQTSGVDEELLLMNLPKDLRRAIKRHLCLSLLMRVPMFENMDDPLLDALCDRLKPVLYTEGSCIIREEDPVYEMLFIMRGNLMSMTTDGGITGFFKSDVLKGGDFCGEELLTWALDPTSVSRLPSSTRTVETMSEVEAFALTAEDLKFVQ >ORUFI06G17990.1 pep chromosome:OR_W1943:6:17434538:17436314:-1 gene:ORUFI06G17990 transcript:ORUFI06G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAGGAGGAAAGKLPMVSHRRVQCRLADKRCELREEEMEYIRQFHRHEPSSNQCTSFVAKHIKAPLQTVWSLVRRFDQPQLFKPFVRKEVNVQSGLPATRSTERLELLDDNEHILKVKFIGGDHMLKNYSSILTIHSEVIDGQLGTLVVESFVVDIPEGNTKDDICYFIENVLRCNLMTLADVSEERLANP >ORUFI06G18000.1 pep chromosome:OR_W1943:6:17437416:17440452:-1 gene:ORUFI06G18000 transcript:ORUFI06G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIQVRLPSTHYCHLTVHRCLADPSTTVAICFFELFAGLCRGLKILVTSSGLCLKDMSNAPSAKKYK >ORUFI06G18010.1 pep chromosome:OR_W1943:6:17445154:17446703:-1 gene:ORUFI06G18010 transcript:ORUFI06G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRHTEVTARSSTLLDGSAVGSACEEGPSMRKHNTDEKEVEIKEIFLGRENDKRSSESKGAAGVDKGQGVQIIACDGWFGVAVRVGQLVIYVLTMAI >ORUFI06G18020.1 pep chromosome:OR_W1943:6:17450677:17454810:1 gene:ORUFI06G18020 transcript:ORUFI06G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLRPTCNAPHPGLPDPMWVARGHRMPLLGGRHPAYWVFNEEVELAYVLICSHSLNNMRVEGLQVSETLWTSKEPTLNTNLPNTSSINVFSHYTLSEKRSHNAHQPVSTTPAGSLPATAPPAPLVAPIITVNMEALAMLPHISIKKQLKARTTIFVKWREYLVLIKEKRVRQAIDLVTNKGDIINFSSRREPFEDVTSA >ORUFI06G18030.1 pep chromosome:OR_W1943:6:17455752:17457535:-1 gene:ORUFI06G18030 transcript:ORUFI06G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVGGAGGAAAGKLPMVSHRRVQWRLADERCELREEEMEYIRRFHRHEPSSNQCTSFAAKHIKAPLHTVWSLVRRFDQPQLFKPFVRNCVMRENIIATGCIREVNVQSGLPATRSTERLELLDDNEHILKVKFIGGDHMLKNYSSILTVHSEVIDGQLGTLVVESFIVDVPEGNTKDDISYFIENVLRCNLRTLADVSEERLANPSSHPAFLVD >ORUFI06G18040.1 pep chromosome:OR_W1943:6:17461761:17462206:1 gene:ORUFI06G18040 transcript:ORUFI06G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSLKKQMATVVEGSARQRCTFEWNTSDIEMAGRWRMLIMLLWRRRRGTRKGKESTWGHHTGRALQTSSQWMRNCAGEVEPFKDRVFSAQLPAFLTPPAMMLAINRVS >ORUFI06G18050.1 pep chromosome:OR_W1943:6:17465552:17471165:1 gene:ORUFI06G18050 transcript:ORUFI06G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAKDGSAAAAQTRGSGDDGSHKPLPPCCLKAKAAAAESEAKCHATVVSGWFTEPRSHSGKTSKVQYFNNPMWPGEAHSLKVENILYQGKSPYQEILVFESSTYGNVLVLDGIVQLTEKDECAYQEMVTHLPLCSIPSPKSVLVVGGGDGGVLREIARHASVENIDICEIDQLVIDVCKDFFPQLSVGFKDPRVQLHVGDAVDFLRNAPEGKYDAIIVDSSDPIGPAQELVEKPFFQTIARALKPGGVLCNQAESMWLHTHLIQDMLSICRETFKGAVHYAWTSVPTYPSGVIGFLLCAKEGPAVNFLSPVNPIEKLEGAMEAGREIRFYNSEVHRAAFVLPTFVRRELESHNTCAEKDKSETKPVAKPKKMKIMPNSAIPTAS >ORUFI06G18060.1 pep chromosome:OR_W1943:6:17471479:17472936:-1 gene:ORUFI06G18060 transcript:ORUFI06G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKVCVVGGGLVGLAAARELQREGHDVSVLEQRGGVGGQWLYDHTAAIDGADPLGVAGVQSSVYASLRLITPREVTGFSDFPFSPTTVAGGGDARRFPSHAEFLRYLRDFCDAFGLMDVVRLNTRVLRVAADRDGWAVRSRRGEVETEEVFDAVVVAVGSYTQPRLPSIDGMEAWPGRQLHSHSYRVPDSFRGEVVVVVGCGFSGKDIALELRRVAREVHLSVRSTEEAMASPAMSKMLARYGNLHLRPQIARLCEEEDGAVVAFADGSRVAADTVVYCTGYSYSYPFLDTGGKVTVDDDNRVGPLFEHVFPPELAPSLSFLGIPNMVTTRFFEAQARWVAQVLSGRRALPPAGDMLRAAEEHARAMDAAGVARRRAHDVPDLGEEFCERSCGFPRLEEWEKELIWTSITAMRDDLESFRDDFRVTDLVADGLRRHGWILAPAPEPEPLRQEDVVAGDGEGEGVQPVQANQAAVASPLGFINSA >ORUFI06G18070.1 pep chromosome:OR_W1943:6:17490938:17491318:1 gene:ORUFI06G18070 transcript:ORUFI06G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRLRHSDVGGVLRRRRAAAVTSSGVGKRRRRRIRWRSTELRDDVEMTHQRRAADAGVEERGKRRGRKRGSASGLYQRLRCGRKTVTITARLPAAAAHGGDGGGFGKKGEKGAVADGIYRGGSS >ORUFI06G18080.1 pep chromosome:OR_W1943:6:17501193:17501573:1 gene:ORUFI06G18080 transcript:ORUFI06G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEPQRNCRLLAVVEEEEWPHASPTPIVTESNRNLSGASFFSPLNDSLAWRQRRRRLEGLLLRIPYRRTLKTPRGLGHAFEASCIAGVREEPWLKEQNFSNFQDRDKMRLEVLSTALQCVRACMA >ORUFI06G18090.1 pep chromosome:OR_W1943:6:17502141:17509491:1 gene:ORUFI06G18090 transcript:ORUFI06G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGSGGGGGAGSFEDEAEPTVTIGEYIEGIEAEELEADLVLGGDDGKECTYGGGYLKRQAVFSCLTCVPAGVAGVCTACSLACHDGHEVVELWTKRKFRCDCGNSKFGSHVCKLCPEKDPENPANSYNHNFKGSYCTCGRPYPDPEAEKQVEMIQCCICEDWFHEDHIGLNSIEEIPRDEEGEPLYEDFICPKCSPKCYFLKLYPDTIWASNKQSSAPQAETTNSTVMNGNSSLGDIEKSENGALINHLNCEKTSDNENCPKDSVAPEKASLDDSSDGKCKLGMNICSNTPSADSEKKMPFFMSKSWREVICRCETCTDFYAQQGVAYLIDKEDSIEEYEKVAKQKREKKLEQQEGVEANFLNSLDHVQKIEILSGINDMKNELQSFLETFDSSKPVTSEDIRAVFENLAKKKKQRLS >ORUFI06G18100.1 pep chromosome:OR_W1943:6:17528672:17529650:1 gene:ORUFI06G18100 transcript:ORUFI06G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLLQWKPTLATKVDSSKSTPLHFASSDGDCSIIQAILTHLPRSATNIQDNKGLSPLHIAALMGHTETVRMLLQFSPASADICDNQGQSFLHAAAMKGHSSIISYAVKNSMLKHLLNAQDKEGNTPLHLAVVVEECKVVSKLLSSGEVHANIMNNAGHTPSDIIKRCKGFHSMVSLVVKLHESGAQFQPQRQDLIEKWNAQDIMKWRDNTSKNLAVVSTLIATIAFAAAFNVPGSYGDDGKANLAGDPMYNAFLILDTISMVTSVVATILLVYGRASRSHRSWLSFVIS >ORUFI06G18110.1 pep chromosome:OR_W1943:6:17544696:17544995:1 gene:ORUFI06G18110 transcript:ORUFI06G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPHAAAGPTHPPAYITPXAAAAGVKVKVVLKRAELEWLMSQLKTGDRRLEDVLNQMATARALSSALSAAPPPPPHRAGDGWRPRLECILECHELAAT >ORUFI06G18120.1 pep chromosome:OR_W1943:6:17562371:17567306:1 gene:ORUFI06G18120 transcript:ORUFI06G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIPLIKFPKRNLKIPSPPPPAAQPADQHASLLSRLGVKSEAPSSSGEIKNYRFRSDVPSPPSYTAVGGPASLLPKRKPLSEEEIEAIMQGGIY >ORUFI06G18130.1 pep chromosome:OR_W1943:6:17568233:17572765:1 gene:ORUFI06G18130 transcript:ORUFI06G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQSLLGVPFSRLLPPPSSSSSPPTPTTIPPPPLPSSSWSPPSRRRRRRAVAAASSLHLAPEDIAELVRNKVLIAATAASAVGQLCKPFTSSGKDGAAGAFDLRAAVRSGGMPSTHSAAVVAVATSLGLERGFADSIFGMSVVFAAIVMYDAQGVRREVGNHARVLNKLLTLREKITQNPDDNSLLSSTSELHSSKPETVAELVSVAEKLGSSQGSSANPFPIHSSGTKSSRLNALQSSETEVTEFTQLKEAYTEECDRLSESVGHTELQVAAGALLGFLVTLVVYATL >ORUFI06G18140.1 pep chromosome:OR_W1943:6:17576000:17577771:-1 gene:ORUFI06G18140 transcript:ORUFI06G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSGGALSVPPGFRFHPTDEELLYYYLRKKVAYEAIDLDVIREIDLNKLEPWDLKDRCRIGTGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLANACRIGMRKTLVFYVGRAPHGKKTDWIMHEYRLDQDNVDVQEDGWVVCRVFMKKSYQRGLNPADMAAVDDDDLLHHHHHPFPPAQLHGGAADHKHDGAGGHHHHHLMQPHHHYDDFPSFDPSMQLPQLMSADQPPPPPPSLLPGVPPSAAAALSSLDVECPQNLMKLTSAAAGGGATGLLHAGGDHRFATAATDWSILDKLLASHQNLDQLFQGRVIAGASSPAAMAAPSHHQHLMDQLAGGGGGTASSLQRLPLQYLGCEAADLLRFSK >ORUFI06G18150.1 pep chromosome:OR_W1943:6:17610105:17610539:1 gene:ORUFI06G18150 transcript:ORUFI06G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHGAASREQQQHDGGGGGVKVKFIETQFVSSDAASFKAVVQRLTGQSAPSPSAPATSARPSRPRARAAVTACPAAAVGWAGGYGGGSGLMTMAAPVKQEAAAPPPNLEDLHELRDFSDLFYPTSAGGGGRRVDGGGYGYPYY >ORUFI06G18160.1 pep chromosome:OR_W1943:6:17612769:17614185:-1 gene:ORUFI06G18160 transcript:ORUFI06G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWESRLPAEHMDLPGPRWKKGKDGKDFASLAAANPMSAIVSELKASFISSKPVAILSGPGGSAVLGVGPEQAVILNRAAFGHAIENATAQKHWFQLSPEEVFYLCHALNCIRVDSLDNKQMSEIELWDYFRSGSESFPEMYKAYAHLRLKNWVVRSGLQYGADFVAYRHHPALVHSEFAVVVVPEGAEFGNRCGRLEVWSDLLCALRASGSVAKTLLVLTISSSSKCELSSPDCLEQLVVHERTITRWIPQQCREQRCEPSRDEVNREELIIEKESVVFNHWGVILGFTVLSGLLVYRLKFRQ >ORUFI06G18170.1 pep chromosome:OR_W1943:6:17624792:17626296:1 gene:ORUFI06G18170 transcript:ORUFI06G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNVACTCIVMERRIGVEARVAACRSDLAMGLREGALKPRSAAFWDVTPNRHMPLVFAYYYLTFPNSIEYPNGVIVSKGKAYQYSGWILQRPQITWTYT >ORUFI06G18180.1 pep chromosome:OR_W1943:6:17638226:17643320:1 gene:ORUFI06G18180 transcript:ORUFI06G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFALTRSSAMKTSLCVLLCILVVSEVVGVPRCAAALGINYGQVGNNLPSPAQVVSLLASLRIGKVRIYDANPQVLAAFAGTGIELIVTVPNDLVRPMAASPGEALQWVSSSVRPYFPATRVTGIAVGNEVLTDDDEALKAALVPAMRNLHAALAQLGMDGYVHVSTASSLAVLATSYPPSQGAFTAEVAPLMAQFLRFLAETNAPFWINAYPYFAYKGDPTRVSLDYALSNPYHVGAIDPYTRLQYTSMLYAQVDAVAYATSQLGYNNIPVYVSETGWPSKGDTDEVGATVENARAYNRNLLLRQAAGEGTPLRPRQRLEVYLFALFNENMKPGPTSERNYGLYQPDGRTMVYNVGLMQQSTSAASLSLAASPATKTDAKKNFAGLCFLSSLAILCPDASSAQRKSFWHKQNGLTIVDQKPLQDQRGDAPFQGGKRKIKGSSCCQEEKLEEATLGCGRHYLGDGTEL >ORUFI06G18180.2 pep chromosome:OR_W1943:6:17638226:17643320:1 gene:ORUFI06G18180 transcript:ORUFI06G18180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFALTRSSAMKTSLCVLLCILVVSEVVGVPRCAAALGINYGQVGNNLPSPAQVVSLLASLRIGKVRIYDANPQVLAAFAGTGIELIVTVPNDLVRPMAASPGEALQWVSSSVRPYFPATRVTGIAVGNEVLTDDDEALKAALVPAMRNLHAALAQLGMDGYVHVSTASSLAVLATSYPPSQGAFTAEVAPLMAQFLRFLAETNAPFWINAYPYFAYKGDPTRVSLDYALSNPYHVGAIDPYTRLQYTSMLYAQVDAVAYATSQLGYNNIPVYVSETGWPSKGDTDEVGATVENARAYNRNLLLRQAAGEGTPLRPRQRLEVYLFALFNENMKPGPTSERNYGLYQPDGRTMVYNVGLMQQSTSAASLSLAASPATKTCPDASSAQRKSFWHKQNGLTIVDQKPLQDQRGDAPFQGGKRKIKGSSCCQEEKLEEATLGCGRHYLGDGTEL >ORUFI06G18190.1 pep chromosome:OR_W1943:6:17649134:17653753:-1 gene:ORUFI06G18190 transcript:ORUFI06G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVPIASGTEPMEAVITVDVLRRAGADVSVASVDPGSGQVGGAWGVKLAADALLDDLADAEFDLISLPGGMPGSSNLRDCKLLENMVKKHAGKGKLYAAICAAPAVALGSWGLLNGLKATCYPSFMDKLPSEVNAVESRVQIDGNCVTSRGPGTAMEYSVVLVEQLYGKEKADEVAGPMLMLAFNLVLTSFKVMRPQHGVEFSLKELNSTSWNVGETPQILVPIANGTEEMEATMIIDILRRAKANVVVASLEETLEIVASRKVKMVADVLLDDALKQQYDLILLPGGLGGAQAYAKSDKLIGLIKKQAEANKLYGAICASPAIALEPHGLLKGKKATSFPGMWNKLSDQSECKNRVVVDGNLITSQGPGTSMEFSLAIVEKLFGRERAVELAKTMVFM >ORUFI06G18200.1 pep chromosome:OR_W1943:6:17658508:17659835:1 gene:ORUFI06G18200 transcript:ORUFI06G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTALLSRAAGVLVLVVVVAAAAAAPRCDLFQGRWAADESYPLYDASRCPFVPDVFDCRRNGRPDAAYLNLRWFPSSCRLPRFDGVELLRRWRGKTVLFVGDSLSMNQWASLACMLHAAVPADGRVSFTSGEPVSSVRFLDYGVSVVLYYSRFLVDVVDDEPGLGRVLKLDSMRDAAAWLGADVLVFNTWHWWTYRGASQVYVDRRRARTYTTTHDVSFLGTDTVSHVYIYVMCRWDYVQEGNKTYRDMDRLTAFSKGLSTWARWVDANIDASRTKVFYQGISPSHYYTSSSSSSNDDGDGEVAPAPPPSAADGGCYRQTRPLQESTTADGGGGALLPEQVVVRGVVGSMATAVSLLDVTRMSQLRIDAHPSVYGGPGREGMDCTHWCIAGLPDAWNHIMYAMLLTQQRT >ORUFI06G18210.1 pep chromosome:OR_W1943:6:17663550:17666997:-1 gene:ORUFI06G18210 transcript:ORUFI06G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRRGGAGAWVAAVLAAALQVLAVSAAGKYRAVFNFGDSLVDAGNLVTDGIPDYLATARPPYGQTYFGYPTGRCSDGRLVVDFIAQEFGLPLLPPSKAKNASFARGANFAITGATALDTDFFERRGLGKTVWNSGSLFTQIQWLRDIKPSFCSSTQDCKDFFAKSLFVVGEFGGNDYNAPLFAGKDLREAYNLMPHVVQGISDGVEQLIAEGARDLIVPGVMPSGCFPVYLTMYKEPKEGYGSRSGCLKRFNTFSWVHNSMLKQALAKLRAKHPGVRIIYGDYFTPVVQFLLQPEKFGFYKQLPRACCGAPGTGPYNFNLTAKCGEPGATACADPKTHWSWDGIHLTEAAYGHIARGWLHGPFGDQPILCRSQISGKTRSFSLQTSVDPTISVSD >ORUFI06G18220.1 pep chromosome:OR_W1943:6:17686989:17690533:-1 gene:ORUFI06G18220 transcript:ORUFI06G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIASSCRHTQTAAAMAPGSRCSRRQQLLVAVAVVLLLAAAPTGCSAARSKKSYEAIFSFGDSLSDAGNLIADGIPKSLTTARAPYGMTFFGRPTGRCSNGRLVVDFLAEHFGLPLPPASKAHGADFSKGANFAITGATALEYSFFKQHGIDQRIWNTGSINTQIGWLQDMKPSLCKSDQECKDYFGKSLFVVGEFGGNDYNAPLFSGVAFSEVKTYVPLVAKAIANGVEKLIELGAKDLLVPGVLPIGCFPLYLTLYNTSSKADYNARTGCLRRYNRLAFHHNRELKQQLDELQKKYPETKIMYGDYFKAAMQFVVSPGNFGFSSAMQACCGAGGQGNYNFNLKKKCGEEGASVCSNPSSYVSWDGIHMTEAAYRYVANGWLNGPYAEPPILK >ORUFI06G18230.1 pep chromosome:OR_W1943:6:17724140:17724484:-1 gene:ORUFI06G18230 transcript:ORUFI06G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAAVVMALFLACTLDRAWTPTGAARTMTMMMVQRGEVSAVVAVNGGGGGGGERGNNGGAQPEQRKEFVGMAAFTRSLAVAPPPPPSVHGDREVPSGPDPIHHGASPSSASP >ORUFI06G18240.1 pep chromosome:OR_W1943:6:17727991:17728871:-1 gene:ORUFI06G18240 transcript:ORUFI06G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPLSEGPCIAPRARGDTGGNSSSPATTPPHLLLPRLATAQACTENHTAARAVAAGPSPLSMEASEVRAHDQYQDDRGHNGGGLELARLIEVWPQHSRAVERMDVARCGASVLDLARERPCGSVGLCAMGQLGGAATFGSCDNDAVASGIDGEQGHSHIDWCLAGGGSLPYSEALDVMGHRWCCHIGRRLAAGALVLQGLRRRGIGAMAVGVGGPFGALRRVRVGRRNGTGVVEFMCW >ORUFI06G18250.1 pep chromosome:OR_W1943:6:17768026:17769856:1 gene:ORUFI06G18250 transcript:ORUFI06G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTELEGSGSATLAPGGVTTIAGSDACGGGKNTISVGFSCSSTYSADLYMPFCTSVRLALPTGPLQRMWETTVLSCMDVEEKEKSSTTHMPIGLLIRPNADQPSVVCPSHANTHLRPHRQDITPI >ORUFI06G18260.1 pep chromosome:OR_W1943:6:17770355:17770576:1 gene:ORUFI06G18260 transcript:ORUFI06G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAMCFFICAVFLLVVTTPGVPRLAGSVPLGRRWLQDSAVVVSGGRLTPAITAAYNGTKRLSPGGPNPQHH >ORUFI06G18270.1 pep chromosome:OR_W1943:6:17792481:17792759:-1 gene:ORUFI06G18270 transcript:ORUFI06G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLALCFCVVLVLVLVLASSPAPLSDDRRAAGLLGRRGLQQDAIVVDGSPTAAATATTTTTTAWPRPDTPPDNWYDGTKRLSPGGPNPQHH >ORUFI06G18280.1 pep chromosome:OR_W1943:6:17800364:17801054:-1 gene:ORUFI06G18280 transcript:ORUFI06G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGEEAESIRAFRTRCPLHQEVGSCVLELGPIERWALALRVRKAEEVLWGRIENYQHWIAPPRGERSPPTDLCVGPSEVSCAGCI >ORUFI06G18290.1 pep chromosome:OR_W1943:6:17812032:17812406:-1 gene:ORUFI06G18290 transcript:ORUFI06G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDHRGDKENVPPQSAAAAAARLHGAVAVKKLKLKRLGKERRRVPLRDITNLFLAATAAADSAEAPPRWQPLEGSSERPEAEFPPPPAPAPATATATATAQSWLAGGVVLKPGRCSLRKEFR >ORUFI06G18300.1 pep chromosome:OR_W1943:6:17819312:17820100:1 gene:ORUFI06G18300 transcript:ORUFI06G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDSASSTLQYMGIGAFVAIVGIVVLAVIFYTRSSARHAAPGAAPDAVTALQGQQQQRGLGLGPDDVSVLPTFTYHAAATASPGRCGLIGRGDAKAAADCCAVCLDELGEGAVVRMLPSCKHYFHATCVDVWLLSRATCPVCRGSPGQEKVRLGLASLSPPLPQLRRCAPSPPKEAAAAAAADTSRANDDDSSAAASRSPSPIRSSTRFDLAAAAIDAAARSPAMSPSPTRPWTPERVARVSRSPSPVTTTATTDLHVVEV >ORUFI06G18310.1 pep chromosome:OR_W1943:6:17825649:17826695:1 gene:ORUFI06G18310 transcript:ORUFI06G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPSDPSSGGGDGGSAVPGTASSNFTLLYIIIAVLVGVILYMAIRYGRSVMSEWRQLQAGGGGGEPRAALLGLSSDDIDALPTFTYRARGAAASPLVGGGGRRGGGSGKGKGATTVVVECVVCLQELADGDVVRVLPACRHFFHGGCIDLWLRAHSTCPVCRAHPEPDGVRLSDVVVVSPPLPQLRRCGLSPERPTAASRALADILARSPLRGNTTSTTTTTTTGGPITSTSSKSPSSPVQAAIINYVQASRSPSPTAYHSLNERWPSSPTPVVVVRSKSPSPSSPPIGGLSLQTTTAAAARGVGVVEGVDAGATTSASASAPTQVVALSREGGGSRSKSPSPVPH >ORUFI06G18320.1 pep chromosome:OR_W1943:6:17833121:17835148:1 gene:ORUFI06G18320 transcript:ORUFI06G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPNPNEINTPRAPPPPSASTIPFTIPPLARGFLERFAAARAWWIGGASASDREEEEDGKIGGHAEGGAACAVGGGARACYGIVVACVSLLLLCALVSAVGVARAFAATGVLVLLLGLAGWLAPTDAFAVALAPELYAGNAGGGGGSARAAPAVRLQVRRCASCGLAAQAIDALTAFAYEPPAADVEDGGEGKPRGGGALCAVCLEDVVAGETVRRLPSCGHLFHVDCIDMWLHAHRTCPLCRRDLSPEKVTAKSSAAAVAAATVSSTDVLPPHFVGIWHEINQFKSSEKSLVGMPEAAAAEEEGGDGCSTRLGCYGFMAVNVLMGLYCSIAYAVSATVAGAVAVAVALLLLALAGRLAQTTGGGSAAAAASGRRRRLLSCPCACGLMAPGAGGIGVLPAFAYEPGGGGGGVLCAVCLEDLRGGEMVQ >ORUFI06G18330.1 pep chromosome:OR_W1943:6:17837679:17838005:-1 gene:ORUFI06G18330 transcript:ORUFI06G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTSSSGGGRSAALVGQELGIGVAQGRDVSQREGQAATMAEEATVATWVCRHRGRYSAEEGANEGTTVVLAVVTGYCIEEEMDVGCNRTHSPC >ORUFI06G18340.1 pep chromosome:OR_W1943:6:17838452:17844170:1 gene:ORUFI06G18340 transcript:ORUFI06G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIKLCARSPRPSSKKRKRPAAAAATPPESEPEPVHNTAACNSEGENNATGKRREHNNKKMKEEKSKRKKKQGEGKKGSGILTDKLFSDLPISDLTANAIRDMNYTHLIEIQARSIPPLMLGSDVMASAKTGSGKTLAFLIPAIELLCRLRFSPRNGTGVIVLCPTRELAIQTHNVAKELMRYHSQTLGYVIGGIDLRGEAEQLAKGINVLVATPGRLLDHMQKTKSFKYECLKCLIIDEADRILEQNFEEQMKQIFKLLPRQGRQTVLFSATQTEKVEDFAKLTFGSKEERQRTLVYVGVDDHESKATVEGLKQGYCVIPSERRFLVLYAFLKKALSEKTKVMVFFSSCNSVKFHAQLLNFIQIECYDIHGQLKQHQRTSTFFKFHKAEHGILLCTNVAARGLDIPDVDYIVQYDPPDETKDYIHRVGRTARGDNGKGSAILFLLPKELQLLIHLKHYDSSFEQEKIVGGNYILNRSAKEAYKSYLLAYKSHSMKDIFAIHQLDLTSVAALFCFSEPPKVNLDLESSASKHRKKRNVNTGRRHGIGPSNPYGRKGTDDRRQFARF >ORUFI06G18340.2 pep chromosome:OR_W1943:6:17838452:17844170:1 gene:ORUFI06G18340 transcript:ORUFI06G18340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIKLCARSPRPSSKKRKRPAAAAATPPESEPEPVHNTAACNSEGENNATGKRREHNNKKMKEEKSKRKKKQGEGKKGSGILTDKLFSDLPISDLTANAIRDMNYTHLIEIQARSIPPLMLGSDVMASAKTGSGKTLAFLIPAIELLCRLRFSPRNGTGVIVLCPTRELAIQTHNVAKELMRYHSQTLGYVIGGIDLRGEAEQLAKGINVLVATPGRLLDHMQKTKSFKYECLKCLIIDEADRILEQNFEEQMKQIFKLLPRQGRQTVLFSATQTEKVEDFAKLTFGSKEERQRTLVYVGVDDHESKATVEGLKQGYCVIPSERRFLVLYAFLKKALSEKTKVMVFFSSCNSVKFHAQLLNFIQIECYDIHGQLKQHQRTSTFFKFHKAEHGILLCTNVAARGLDIPDVDYIVQYDPPDETKDYIHRVGRTARGDNGKGSAILFLLPKELQLLIHLKEKIVGGNYILNRSAKEAYKSYLLAYKSHSMKDIFAIHQLDLTSVAALFCFSEPPKVNLDLESSASKHRKKRNVNTGRRHGIGPSNPYGRKGTDDRRQFARF >ORUFI06G18340.3 pep chromosome:OR_W1943:6:17838452:17844170:1 gene:ORUFI06G18340 transcript:ORUFI06G18340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIKLCARSPRPSSKKRKRPAAAAATPPESEPEPVHNTAACNSEGENNATGKRREHNNKKMKEEKSKRKKKQGEGKKGSGILTDKLFSDLPISDLTANAIRDMNYTHLIEIQARSIPPLMLGSDVMASAKTGSGKTLAFLIPAIELLCRLRFSPRNGTGVIVLCPTRELAIQTHNVAKELMRYHSQTLGYVIGGIDLRGEAEQLAKGINVLVATPGRLLDHMQKTKSFKYECLKCLIIDEADRILEQNFEEQMKQIFKLLPRQGRQTVLFSATQTEKVEDFAKLTFGSKEERQRTLVYVGVDDHESKATVEGLKQGYCVIPSERRFLVLYAFLKKALSEKTKDYIVQYDPPDETKDYIHRVGRTARGDNGKGSAILFLLPKELQLLIHLKHYDSSFEQEKIVGGNYILNRSAKEAYKSYLLAYKSHSMKDIFAIHQLDLTSVAALFCFSEPPKVNLDLESSASKHRKKRNVNTGRRHGIGPSNPYGRKGTDDRRQFARF >ORUFI06G18340.4 pep chromosome:OR_W1943:6:17838452:17844169:1 gene:ORUFI06G18340 transcript:ORUFI06G18340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIKLCARSPRPSSKKRKRPAAAAATPPESEPEPVHNTAACNSEGENNATGKRREHNNKKMKEEKSKRKKKQGEGKKGSGILTDKLFSDLPISDLTANAIRDMNYTHLIEIQARSIPPLMLGSDVMASAKTGSGKTLAFLIPAIELLCRLRFSPRNGTGVIVLCPTRELAIQTHNVAKELMRYHSQTLGYVIGGIDLRGEAEQLAKGINVLVATPGRLLDHMQKTKSFKYECLKCLIIDEADRILEQNFEEQMKQIFKLLPRQGRQTVLFSATQTEKVEDFAKLTFGSKEERQRTLVYVGVDDHESKATVEGLKQGYCVIPSERRFLVLYAFLKKALSEKTKDYIVQYDPPDETKDYIHRVGRTARGDNGKGSAILFLLPKELQLLIHLKEKIVGGNYILNRSAKEAYKSYLLAYKSHSMKDIFAIHQLDLTSVAALFCFSEPPKVNLDLESSASKHRKKRNVNTGRRHGIGPSNPYGRKGTDDRRQFARF >ORUFI06G18350.1 pep chromosome:OR_W1943:6:17846153:17846776:-1 gene:ORUFI06G18350 transcript:ORUFI06G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAPVESPWLTMRAMRRVGGADGGGRQRSALMVASYPVLLLLVVLAAFVKYVWIALALYSALLLLFSCASRRLALAERLPSPLGGGGGGGVAAVTAAEELQGAAARGGVSGETLASIPAFAYDASAHGGGGEAAAQCAVCLEALRGGETARRLPSCAHTFHVACIDMWLGSHATCPVCRRRVERKHKGGVLPPMPPEPEMEPPV >ORUFI06G18360.1 pep chromosome:OR_W1943:6:17848245:17851301:-1 gene:ORUFI06G18360 transcript:ORUFI06G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKDEAVKAKALAEKKMREKDFAGAKRMINKAQNLSKDVDSNISQMLTVCDIHCASATKVNGEIDWYGILQVPVTADDTLIKKQYRKLALLLHPDKNNFAGAEAAFKLVGEANMTLTDRSKRSVYDMKRNASVRIGSARVPYQQSRRTAPVRPTTTPVNLHNVHQSQQHKPSNPSDSQTFWTICPTCGMRYQYYLSILKKALRCQNCLKPFVALDLNEQAVPSGANQRSAGVWKSSGAPQNFPGSQANVGQQAQNSANPVHANFGSHNAHVETKRGADGNEAGGLKNKRKFAKATGNSSKASSVAGSKKRRKAMFESSESSASDTSTDSEEEIIEDGPAASNVGPDQHPRRSSRQKQEVKYNEDSDGDDTDCHGNGDDGFVSSPSLKRLRKGGLFHGGENNETKLNADTTGPGHDGPTNGVNNYNNTEDIERGSACAEQIKRETMSGGGNSAEKEKLSHSVSNNGLESNSDDAPNEVICADSEFFDFNQLRHVNQFKANQIWACYDSQSCMPRYYARITKVKHVPKFMLNFIWLEFDPKNKAEAAWSSGDLPVSCGRFKHGVSDTAKESSMFSHAIFYEKNKTRNSYEIYPRKGEVWALFKGWDIDWSADADKHKNYEYEVVQVLSDLTSSTSIIVMPLVKIKGFVSLFIQSKEASPYVIPQDDTLRFSHCVPRHTMIGTEKEGIPEGAIELDPAALPLNFGVAFASVVPESCCSVKVQGSGAEHIGSSSGNNCHKGSVDVGESQHATCANTGFATRTTKAEINEHNARSAVEGTDDDEEPDDFAQAEVLYPESEFFEFSEIRSIHKFQPGQIWALYSDVDKFPNYYACIKTVDVKNNELQVRWLDACPQSEEERRLVREDLTVACGTFKISSFHGIQTYNGTEYLSHPVQAKPGRRNEYEIVPCQGDIWAVFKNWRTGWTAKDYKKCDYELVEIFGHTDSSIQVQLLRKVDGYRAVFMPDRREGAVKTIRKDEYPKFSHQIPCFHLTNERGGKLRGFLELDPLSVPEMFLFTESI >ORUFI06G18370.1 pep chromosome:OR_W1943:6:17851429:17855462:-1 gene:ORUFI06G18370 transcript:ORUFI06G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQVFEEDQTVKKRSPLPYGGIVRCDAHYSCLLLRERLPPPSPNPRLGSPAAAHATAVASPLHRLPTHPLGFRRCPGFSPPRRPPPRSLSGWGWCRPSPPPFPGGCRPGGSLALVSSPPGRRHRRLGTHRRVVSPRGRRLDLEEGAGNLLKLVYHLLFEAIAYLSS >ORUFI06G18380.1 pep chromosome:OR_W1943:6:17861936:17863657:1 gene:ORUFI06G18380 transcript:ORUFI06G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKMKQLQKALVDIETDAEQLLLARHQEALTTLRKRARTTKTSVPSPFEVIMKEMEGTSGKLLVTEICSTRGNHNPKEDTWLISSRASRLRHQEAIQSFVKEKSFVISEKGALGIVKSLVSLTDSSQQVTIHPPKTKYLKNKAVSNVLPQNMGLIFFSLP >ORUFI06G18390.1 pep chromosome:OR_W1943:6:17866085:17866840:1 gene:ORUFI06G18390 transcript:ORUFI06G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPWLDLPFTLLTLLLATRLAYDYYGVVAATFTGSFSLQIFLFYCFARWYRHTIAARAAADADGDGGGGAVADEEAAPPVLIPLLEGRGGGGGGAGAASSLANRCFAVVFMVFVPLVIVVFERSQADVVAYALCLANILVMVVWLSPDAAADPASAAKSFLRLSDDEDEGSCSGSGHGAAEDKCCVCLAGMREAQALRDLPRCGHRFHAKCIGKWLTAHPTCPVCRATAVPPPAPLPAGGDHADDAITPV >ORUFI06G18400.1 pep chromosome:OR_W1943:6:17870914:17871937:-1 gene:ORUFI06G18400 transcript:ORUFI06G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGWVGLEEVAPRCKRAVAQRAAWALSVEAMRLQIWGFGVAVVAGFRENGGGGRWALVRSEIGSQRDKELGAANTDALVHSQAVGTGLTDKAIPDAGGGGIGGGALPAYSGRRRSVLSPVAGEREDRERRGRRG >ORUFI06G18410.1 pep chromosome:OR_W1943:6:17874089:17874542:1 gene:ORUFI06G18410 transcript:ORUFI06G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLPSSLLATVRARRPPSQPVACAPAAAGCGTWPPARRHHLEFGILRSSASGENDDDEEENATLSPRSTSSRLADMWVPPDYSAIQRVKDVTWDKTASETAWGVNLQRFWQLGDGLYPVLRLRDAI >ORUFI06G18420.1 pep chromosome:OR_W1943:6:17879345:17879848:1 gene:ORUFI06G18420 transcript:ORUFI06G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLAGARWVLLLLAAVTVLLCLQLSPRDNAVDGAAAAAAAAGPAQTADLPLQQPAALPREAPAEGDLRGLGASSSPVFVVAVLPAYAWRKKAAGDGDDGDGECAICLGEVRRGQVVKQLPACTHLFHARCIDKWLITSQGTCPVCRTPVDSAAAALQAVRVADQPP >ORUFI06G18430.1 pep chromosome:OR_W1943:6:17896192:17897472:-1 gene:ORUFI06G18430 transcript:ORUFI06G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAGVETCCGGGGQAAAASASGPPPRGLIVVSAVFLSIFLATFLTLMSLAFCCCRRWRERDAVSGYVDGEGAVVVVAAGESGGGEPFPVEALPPAYAYVVGSSDDGGATAASGVGRECAVCLGAVREGEMVRRLPACEHVYHADCIDRWLAAHRTCPLCRRELDPGKLAAGAPPAPAQQQVDPTDQLPV >ORUFI06G18440.1 pep chromosome:OR_W1943:6:17902671:17909300:1 gene:ORUFI06G18440 transcript:ORUFI06G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFAPTGYQQPPPPPAASASPGGWIASTAIFMSIFFSTFLLAMAXXIFMSIFFSTFLLAMAVSVYCCLLCRDRVRSDRDDTGAVAERARGGGIVAPLPVEALPPAYPYVVGSSEDGGATAASGGGRECAVCLGAVREGEMVRRLPACEHVYHADCIDRWLAAHRTCPLCRRELDPGKNPPDQLPV >ORUFI06G18450.1 pep chromosome:OR_W1943:6:17937082:17955223:1 gene:ORUFI06G18450 transcript:ORUFI06G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGRPWSKDRRSSATPAPASSRASRSSPSSSSTATATSGGARMSSSPAPAGERTTTCAFYCNRHVRRRAHVVVAGAGGREDDDVRGVSGVAAKIPEFAYIGSASGGEGAAQCSVCLGAVRGGEMVRRLPACKHLYHVECIDMWLASHATCPLCRTEVEPPPGDGGGRPAPAADESSPTEALPPENQSVGKGTAIFSYTCVGLTGVALVAVVAFYCNRHVRRRAPVVAAEGAGSVGGREDDGRGVADVAAKIPEFAYAGSARHGGGGECSVCLGAVQGGEAVRRLPACKHLYHVECIDMWLASHATCPICRTEVEPPPEDDDGRPAPAADESSPTEALPPLGETVRLLPACLHLYHAECIDPWLDAHTTCPLCRSDTGDPSPVDAGRIPPTSQQPNHQNTPFQLDKCIKPREGGRARNLTKHKFGSSSFFTLTQCHPTPCLPEFLSSSSPGIIIIIITTTMRLEMSPDNLLFFCSVAASAAAGFCLFSLYRRLARRCHHHHAAPAGGSEEEEEERRRLTAVASGLPAFMYDRLVRHSGKGASWTECAVCLGVIHVGAMVKLLPACAHIYHVDCIDLWLSSHPTCPLCRCRVDHPGQGQETARQLAQLSPA >ORUFI06G18460.1 pep chromosome:OR_W1943:6:17956053:17958754:-1 gene:ORUFI06G18460 transcript:ORUFI06G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASSPLPLPLLLLLLLAVAGAGAAGAGAGVGVSGGGQLWCVAKNNADDAALQAAVDWACGPAGGADCRAIQQGGACYDPPDLLAHASYAFNDYFLRAGGAPAAPAACDFSGAAALTALNPSHGSCVFPSSTSPKNGSFTGTTTYGPAGADLSKSSSRQLNFWSLLLCICLSVTFFDAFPSDTFS >ORUFI06G18470.1 pep chromosome:OR_W1943:6:17964542:17971706:1 gene:ORUFI06G18470 transcript:ORUFI06G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNAPVLVLKDSLKRESGTKVHHANIQAAKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIDKHYHPTVICRAYTKALEDALAVLDKIAMPVDVNDRAAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGMREVDIKKYIKVEKVPGGQLEDSRVLKGVMFNKDVVAPGKMRRKIVNPRIILLDCPVEYKKGENQTNAELMKEEDWQVLLEMEEEYIKNLCAQILKFKPDLVVTEKGLSDLAIHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTRAGLFEVKKIGDEFFTFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSAALKQKSSSVEGVEKWPYEAAALAFEAIPRTLAQNCGLNVIRIMTQLQGKHANGENAWVGIDGRSGDIVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASAPKQPQIEQEGDADNEQMIPE >ORUFI06G18470.2 pep chromosome:OR_W1943:6:17964438:17968619:1 gene:ORUFI06G18470 transcript:ORUFI06G18470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSLWSTKVLSFFFVKKTSAAHWQWQSTVGHPPYEAAREQQRSGTLSRVSVSLQNPPTKPNKQTLVELDPPRRLTPFLSLAASRPHPAAAAAASRPAGSRHGIERPQDSLKRESGTKVHHANIQAAKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIDKHYHPTVICRAYTKALEDALAVLDKIAMPVDVNDRAAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGMREVDIKKYIKVEKVPGGQLEDSRVLKGVMFNKDVVAPGKMRRKIVNPRIILLDCPVEYKKGENQTNAELMKEEDWFC >ORUFI06G18480.1 pep chromosome:OR_W1943:6:17972761:17974579:-1 gene:ORUFI06G18480 transcript:ORUFI06G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDKTWYNGQRFALIGGYEQARWCCMLKIRNQDGELVLVQFDIGGVTIFSLMSPLRKGQIDGDVKVDAEHVGVHSHDVQDSQAGDERAT >ORUFI06G18490.1 pep chromosome:OR_W1943:6:17975720:17984016:1 gene:ORUFI06G18490 transcript:ORUFI06G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSADPQPPLQPSAAAANPDPDMPPRKAPASGAGKLKRPLTLKLRAAAEQRLAHLRDRLRLHPLPPPPPRALAPEGSPEEAALRALGLLGFARLGPAFSSSASEPLRPDLVAHLVAYYDPPQRRSFVRGVRVAVTRKHFADALCLPCKPSPAAAPPPPEDADPAAVAAAAMELLQAYVLPPFQGDDMCILPPEVAAAEQAVRDGSAHRVDWAGLIWGLVEKEMQDLPKRDDGLCYYGAYLQRLIWAQKPELFERTEEGERGGEVVLEVSDMDEEDGEDDTDVKSKSMEELESGDADADAKNSNLEKSEAGGADLRSNCLEELVSGDADVRGTSAEELESHVEDKVSKGLEETRAEDVDANHMDLDESEAVDEDAKGKSFGESEMGFVSVEEVSVTHEVMLPNYEEVATEGDGDTAMAAVENDAGSLAETVVMTHEEFVAVPEDDEEEADGDEENDATGLSLGIGSANDYDSTDGEEDANVENLGEGDSGNEEAEESEEDAFGQYRGEDMNWTMGDEKDHGSDFVNLQFDNLNKGDDEIRNEVSYDDGFSGKMGSLHGMTSTNLLQAMSSIPATYNVSENAPDLSSGEFLAMGADAHKNGLDLGTGSSYFFENNGKRHIGEIEEYNDPMPGHEQFDQRNPNKRMRNSNNSSIPPGSSVFNAHFAEPFQSLMSKASMFYEQKERELQDVLVEKQYLANMLQEKEQIIQSLNSARFEQENKWQAELRRFEHDLNVMAQLVTGYRRALKQNRASFDEYRKKFPCDKPRYCDVAGGGGLVLSVKELEKKRLEEVQQKLAIANEMIENFQHEWFSKLDDWARSIHFIWCRTEELIREINLLREKRKATVTNPATEEAKVTTPATEVAEVTTAATGVEVTTPATEKVEVTTPATEKVEVTTPATEKVEVTTPATEEAKITTAATEEVEVTTPATEEVEVTTPSTKEVEVTIAATEEAEVTTPATEEAEVSTPATEEVEGTTPATEKVEGSDVKMPTGLPTTAMSLWI >ORUFI06G18500.1 pep chromosome:OR_W1943:6:17985000:17985617:-1 gene:ORUFI06G18500 transcript:ORUFI06G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHVLQGGGAAAVPVPDQSSYGGGGAGGHQADVVVVDGAFLMELLDDTPAAAVAAEQQPEGDDADDRLSRVMRSLEAELGGAGAAPAPTSAPPLGSSSGDGGSPASGDGGRLDQLDDVLSLSDFDGGGSSRPGSCSAPPFEYWARAELPPAMGHDMGGGWCVDGDGLAAAVAGYEFVREPCYYTYGYGYNESSHVEQPYSPLWE >ORUFI06G18510.1 pep chromosome:OR_W1943:6:18008957:18009463:1 gene:ORUFI06G18510 transcript:ORUFI06G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRHCFDPRRQTLGNTLTSKEVFTRANSNNQRLLHVGDIDRTSKLFAIFLLLVISIDMNENMMDGCYCATSSSSLYHTATSFHDSIVDY >ORUFI06G18520.1 pep chromosome:OR_W1943:6:18013826:18014152:-1 gene:ORUFI06G18520 transcript:ORUFI06G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQIRIIMKSFMSQANKVQGVIPYAQKIGLPESRSLYTVLRSPHIDKKSREQFSMHVKKQFLVQKAETHELQKKLFWLKRLRLLGAQYEIQISFKTRLDKSKLQAAL >ORUFI06G18530.1 pep chromosome:OR_W1943:6:18022457:18022954:-1 gene:ORUFI06G18530 transcript:ORUFI06G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLVEEHRSGAEVHTGHELCERKARELLVELGLPDGLLPLPSLEEVGYNRAAGFVWLRQTQAGGATHTFDTIGKQVWYAGEVTAFVEQGRMHGVAGVKSKELLIWVSISEIVLSPSGTKLVFRTPAGLGRALPVTAFQLNPAPPEPEKKDAAAADEADAAATN >ORUFI06G18540.1 pep chromosome:OR_W1943:6:18023481:18024224:1 gene:ORUFI06G18540 transcript:ORUFI06G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDGDDSTRWSWTVEEGAKGDEREEILDRQIGAGEGGHSDRWGRGGTGGELLSEGSGEQDAHPLELIDERDDDRLGSLPRSGEREATTELPRSRHGSSVPPLARRLSVREEAVRVVVARGASMGWSMGAWEEAEPHAAAGGRGRRALLVLPRVSVLLLLASVDTGVEEADEVDKAHATDEENVDLTRMTGKWTVAWLQFYKISVAPNRYRE >ORUFI06G18550.1 pep chromosome:OR_W1943:6:18029214:18029432:-1 gene:ORUFI06G18550 transcript:ORUFI06G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLKTRKKLLPQQPQVASLQVEAVAASETLTPQPSHQRIVSQVDVYHRLMAAAAAAAVAALLGERRGQED >ORUFI06G18560.1 pep chromosome:OR_W1943:6:18029864:18030253:-1 gene:ORUFI06G18560 transcript:ORUFI06G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAGNCALLARNRRRHCQPPAINIEPDTWALSAHEATTRCPCSPAQSFEWRRLNAKKNDATRSFPSLSPSSSFVSCLREVGGNSLEDERRRRHPDLPQHLGDEEGDGGWRHTEE >ORUFI06G18570.1 pep chromosome:OR_W1943:6:18047721:18049501:1 gene:ORUFI06G18570 transcript:ORUFI06G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAGEGGSGRRYWRWSKADFFPEPSALTATVPRLVDRVGSRSSEAAEAGTLRAVSENPLRRCLSWLDLAFLGFGSVVGSGVFVLTGQEARFDAGPAIPLAYAAAGFSALLSSFCYAELATEIPSAGGSFSYLRVELGDIAAFLAAGNILLEAVVGAAGLGRSWTSYLAALLGRDTDSLRIHVPALAEGFNLLDPIAVVVLVSTSAVAMSGARLTSTLNSLASVVGIAIIAFVLAAGFSHFDAGNLAPSFFPFGAAGVFRAAGVVYWSYTGFDMVATMAEETKNPGRDVPLGLISSMSSITVVYCLMSLALVGMQRYTEIDANAAYSVAFAAAGMRWARYVVALGALKGMTSGLLVGALGQARYTTQIARTHMIPPYFALVHPTTGTPIYATVAVTLGAACVALFSSLDVLASVSSISTLFIFALVAVALLVRRYHVAGATTPGQLRTFLAFLALVVLSSIGVSAYYNSRYARRWPGYAAFGCGWAAGAAGLAACAEKQRAPRVYGAPLVPWLPAMSIATNLFLMGSLGTAAYARFGICTAAMLVYYVLFGVHATYDVAHADDAAADNLEHGKIAAAPAPTTPA >ORUFI06G18580.1 pep chromosome:OR_W1943:6:18050190:18053498:-1 gene:ORUFI06G18580 transcript:ORUFI06G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNASRLAVAAVVVFALLLSLRQLEAADDVSVSCSDVVADVTPCLGFLQGDDDHPSGECCDGLSGLVAAAATTEDRQAACECLKSAVSGQFTAVEAAPARDLPADCGLSLPYTFSPDD >ORUFI06G18590.1 pep chromosome:OR_W1943:6:18061893:18062291:1 gene:ORUFI06G18590 transcript:ORUFI06G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAALLVAVLLLLLVSSLSVRAEADQVARAPALAPDVAAASWVQQGSQEAAAPGRPGMGMGKNGAARWRRTAGRRGRGGGGGGTGAWAFSAMLPRGFVPPSGSSACHNDMPAAAADAEFFVCGGGDGSP >ORUFI06G18600.1 pep chromosome:OR_W1943:6:18066717:18066944:-1 gene:ORUFI06G18600 transcript:ORUFI06G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSGSTTTSMPPPVPQENQSVGKGTAIFSYTCVGLTGVALVAVVVFYCXPEDDDGRPAPAADESSPTEALPPV >ORUFI06G18610.1 pep chromosome:OR_W1943:6:18076333:18076863:-1 gene:ORUFI06G18610 transcript:ORUFI06G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSGSSTTTSTPPVAPDENRPPVVKGPAIFSYTCAGLVTGVALVAVVVFYCNRHVRRRAHVVVAGAGGREDDDVRSVAGVAAKIPEFAYTGSASGGEGAAQCSVCLGAVRGGEMVRRLPACKHLYHVECIDMWLASHATCPLCRTEVEPPPGDDGGRPAPAAGESSPTEALPPV >ORUFI06G18620.1 pep chromosome:OR_W1943:6:18082194:18082610:-1 gene:ORUFI06G18620 transcript:ORUFI06G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFFSTFLLAMAVSVYCCLLCRDRVRSDDDDTGAAAERARGGGTIAPFPVEALPPAYAYVVGSSEDGGATAASGGGGRECAVCLGAVREGEMVRRLPACEHVYHADCIDRWLAAHRTCPLCRRELDPGKNPPDQLPV >ORUFI06G18630.1 pep chromosome:OR_W1943:6:18103539:18103805:-1 gene:ORUFI06G18630 transcript:ORUFI06G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSATYIGAKMANLSAISFCAELRPLAARARLAQAIALCGQVGDFSAKYTGAEIAACAGLAQASPLCGRVGDLNASYNSAETFNFSA >ORUFI06G18640.1 pep chromosome:OR_W1943:6:18116002:18116864:1 gene:ORUFI06G18640 transcript:ORUFI06G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFILGYNQPTIDPIFLDLIPSTSTLFFPDRARGREHGRRLAAAASSSGGVWGETGGDSGASTVAHDGIGQRRMRKEEAATHGGGCVDLLHCAMHEEGGGSGFPIRAHTFPKFSLRSNINLLHGAVWTVEDEAVLPGRWSGGRKASAQLFSQNQ >ORUFI06G18640.2 pep chromosome:OR_W1943:6:18116053:18116863:1 gene:ORUFI06G18640 transcript:ORUFI06G18640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEEAATHGGGCVDLLHCAMHEEGGGSGPCNMPRNCRDGHRFKNQARR >ORUFI06G18650.1 pep chromosome:OR_W1943:6:18120031:18132154:-1 gene:ORUFI06G18650 transcript:ORUFI06G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIWSSVDPVATVAQIAGVDAYGLISMVTERAEKVRRNKYECRQLAEHVETVGGLLHHVERDDPRIAAPLEKLEGTLREAVVLVSSCEASSYFRRFFRGAKIAEQFQRIKEKIDFYLQLFPVITCIYTTSVFSRRLDSAPHTQNLRSSPSNRSARASRWSNGDEDYTYSERPQARTEPIAVATREDKSGHHNLNKDGVDKASSSNDDIGHLLTPAHQAAGFSLFDLFRIVDATDNFSLENKIGEGGFGRVYKGQLNGLPVAVKRCFVESSPERLSDFENEIKFIPRLQHRNIVTLKGYCIEGKERILVYEYMQNKSLDKFIFGPRTDWSLYWDRLFAIIEGIAQGIVYLHLHSGLKIIHRDLKLSNILLDSEMNPKISDFGTARSGFPNKGRRTDTVSGTYSYMAPEYSTRGIFSGKSDVFSFGSLLLEIVSGKRNGTWYSIRERKSISLHEYAWRLVFEEKNPERLIRSSLRISVGGDAPHLMGQIVGCAHIALLCVQEDPEDRPSMWDVVLMLHGGVAALSALPTPKQPARRYGGGREQRPKFREVLANGHDWDKKTVTVLMR >ORUFI06G18660.1 pep chromosome:OR_W1943:6:18142865:18143329:-1 gene:ORUFI06G18660 transcript:ORUFI06G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLRLGRVNTVVISTADAAREVMRDQDSAFASRPRLTVPRRLLYGCTDIAFAPHGAYWRAARRASVLHLLGPARVRGYRAVREEEVGELLQLVEVAASGGVMRLSELLSAFAKDVAVRNVLGIRGGDLTSSVLGGLHSCLDLKRMEPYVPRVS >ORUFI06G18670.1 pep chromosome:OR_W1943:6:18158116:18165030:1 gene:ORUFI06G18670 transcript:ORUFI06G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPATARGARSAASSTPLGAAGGGRRGPLPDPLAHPLPTPLPTSPATPSAPTSGLLHPLAPARRPRAASGAPDRVVHALLLLLLEPAGPKPFAPTATRSVRSHFAALPFGSSLPTSPAVADALSPDTFYFPVVQKTVSDRNAHVWAVRVMCRIVGIMVYLAILPANVCLNKLNWWMEGKMHEYFWPGMIRLGRRSFPNIRIFGDQGCPPGLSLSHEVGRSKTSKMDYLRCGGSHALIGIRGPREDTVEEQFYWSNLELFACTAWRWQTTGYQMLPAEHLIMVTMKRVMDLNGLESGHMQQKNMNREGQKREGEFTLREMTGGLCKTCLSGDIEVLSGTIYIIYPICEEPTDINPSGFAVMTNPGVHFVLKISQYILLSFLEVTVSYGQLAFSSGFFSLVYWDHDGDDILQGNHITVGQRRKKETGRKVRGIDIDQEEDSLFLLCCLM >ORUFI06G18670.2 pep chromosome:OR_W1943:6:18158116:18165030:1 gene:ORUFI06G18670 transcript:ORUFI06G18670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPATARGARSAASSTPLGAAGGGRRGPLPDPLAHPLPTPLPTSPATPSAPTSGLLHPLAPARRPRAASGAPDRVVHALLLLLLEPAGPKPFAPTATRSVRSHFAALPFGSSLPTSPAVADALSPDTFYFPVVQKTVSDRNAHVWAVRVMCRIVGIMVYLAILPANVCLNKLNWWMEGKMHEYFWPGMIRLGRRSFPNIRIFGDQGCPPGLSLSHEVGRSKTSKMDYLRCGGSHALIGIRGPREDTVEEQFYWSNLELFACTAWRWQTTGYQMLPAEDDQSWSTFCFKNFTVTVSYGQLAFSSGFFSLVYWDHDGDDILQGNHITVGQRRKKETGRKVRGIDIDQEEDSLFLLCCLM >ORUFI06G18670.3 pep chromosome:OR_W1943:6:18158116:18165030:1 gene:ORUFI06G18670 transcript:ORUFI06G18670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPATARGARSAASSTPLGAAGGGRRGPLPDPLAHPLPTPLPTSPATPSAPTSGLLHPLAPARRPRAASGAPDRVVHALLLLLLEPAGPKPFAPTATRSVRSHFAALPFGSSLPTSPAVADALSPDTFYFPVVQKTVSDRNAHVWALREEAEKEGAQEEQNGCPPGLSLSHEVGRSKTSKMDYLRCGGSHALIGIRGPREDTVEVKDDGVLQEHFWFEA >ORUFI06G18670.4 pep chromosome:OR_W1943:6:18158116:18165030:1 gene:ORUFI06G18670 transcript:ORUFI06G18670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPATARGARSAASSTPLGAAGGGRRGPLPDPLAHPLPTPLPTSPATPSAPTSGLLHPLAPARRPRAASGAPDRVVHALLLLLLEPAGPKPFAPTATRSVRSHFAALPFGSSLPTSPAVADALSPDTFYFPVVQKTVSDRNAHVWAVRVMCRIVGIMVYLAILPANVCLNKLNWWMEGKMHEYFWPGMIRLGRRSFPNIRIFGDQGCPPGLSLSHEVGRSKTSKMDYLRCGGSHALIGIRGPREDTVELELFACTAWRWQTTGYQMLPAEDDQSWSTFCFKNFTVTVSYGQLAFSSGFFSLVYWDHDGDDILQGNHITVGQRRKKETGRKVRGIDIDQEEDSLFLLCCLM >ORUFI06G18670.5 pep chromosome:OR_W1943:6:18158116:18165030:1 gene:ORUFI06G18670 transcript:ORUFI06G18670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPATARGARSAASSTPLGAAGGGRRGPLPDPLAHPLPTPLPTSPATPSAPTSGLLHPLAPARRPRAASGAPDRVVHALLLLLLEPAGPKPFAPTATRSVRSHFAALPFGSSLPTSPAVADALSPDTFYFPVVQKTVSDRNAHVWALREEAEKEGAQEEQNGCPPGLSLSHEVGRSKTSKMDYLRCGGSHALIGIRGPREDTVEVKDDGVLQEHFWFEA >ORUFI06G18670.6 pep chromosome:OR_W1943:6:18159198:18165030:1 gene:ORUFI06G18670 transcript:ORUFI06G18670.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIEHMNQSSSWITKAFRGGRCIRHLRSLEVVATFTNAVLWAGTHNPQMTNFSRPCLISTCTQTTGRRLNSSIGAMFLDSMIAVGIICMYCLEVADHWLSDASCRESVMVRTARWFTGMTNPGVHFVLKISQYILLSFLEVTVSYGQLAFSSGFFSLVYWDHDGDDILQGNHITVGQRRKKETGRKVRGIDIDQEEDSLFLLCCLM >ORUFI06G18680.1 pep chromosome:OR_W1943:6:18167391:18175399:1 gene:ORUFI06G18680 transcript:ORUFI06G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAEAPIRADAVPAAAAAAAAAAPDAAPGEGADAAAAVEAEAAGKDGGEEVREYKSDARKLEELFKKLNPSAEEFVPLARRRGGGDGARRLSADAPVFVSPAIDFYSQHPVQQPPPIQVLPVVVGGGGGAGLDSSSDGSTNGQPNRRRRSSFNQGRRRMGGRPRRTDREDSVRRTVYVSDIDQHVTEQKLAEVFSNCGQVVDCRICGDPNSVLRFAFIEFADDVGARAALTLGGTVLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKNVPEDAVKSFFEGMCGEVARLRLLGDYVHSTCIAFVEFVQADSAILALSCSGMVLGALPGEPVKDTGPAPFAPCDVALKPGSLI >ORUFI06G18690.1 pep chromosome:OR_W1943:6:18186024:18189425:1 gene:ORUFI06G18690 transcript:ORUFI06G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRHSTHHPTLLLRRHYPNFCALAPLRPTRQLPSLPQQQLRQKQHVGHDPSPSEPTTAAASPATAAAAGALRVGIVGFGNFGQFIAGGIQRQGHAVLATSRSDYSGYCARHGIRFFATADELCEAGPDVLLVCSSILSTEAVVRAIPFRKLRPGTLVADVLSVKQFPRNLLLEILPPGFGIVCTHPMFGPESGKHGWSGLPFVYDKVRVAKEGDQAAKCEQFLSIFEREGCRMVEMLCEEHDRYAAGSQFITHTIGRILSQLNLESTPINTKGYETLLQLTKNTISDSFDLYYGLFMYNIDNLDRAFEKVKQMLYGRLHNVLRKQIVERVPIP >ORUFI06G18700.1 pep chromosome:OR_W1943:6:18190605:18191372:-1 gene:ORUFI06G18700 transcript:ORUFI06G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEMQMNIDCDGCEDNVRKALQRLQGVDYVDVDRVRGKVTVTGSASQKKVLRAARRSGRIAVLWPSAYDTDHRHHHQAYYAQPAYHHHHHYQQTIKPAAAAAAAVAVAARAPHHHHQHYSSVQHGRMSGGGKAVSSYNYHVHGYFDSDLHGYSGGHHHGDVVPAAARSYFSDENPHGCAVM >ORUFI06G18710.1 pep chromosome:OR_W1943:6:18198625:18199092:1 gene:ORUFI06G18710 transcript:ORUFI06G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSPSLDVDGSGAESIFGSGGIGGFAAIVLEPPSACSSLSPPPHSPSTYQHVHAVAATSPPISETCPPRSRRGDDVEAGIDDATLEAFTAVVYSCNSIAAATQTCCLVCLENYGDADVLCVLPNSGNLFQLTPLVKVTPLSLGIALS >ORUFI06G18720.1 pep chromosome:OR_W1943:6:18204812:18207392:1 gene:ORUFI06G18720 transcript:ORUFI06G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAGAGPGWPELPMDMLLEILRLLECPDVMRCAAVCTAWRAAYRDLRRRGIAASRQTPCLIYRSAAAGLNAIGMYSLSDQRPYTIPIPDPISEQHWFGSSNADCRSDIILLNPITGRRIALPPATTMQRVTLVLNEEGFLTSTRSPSTIGGIQAKSTASPTHTPWKNTVAICTLNPSSDDDCIAMLIHQPYDQLSFAKVGGNSWNWLAVDYTFVDCIYHDGWFYATIICTRNTSFRLHGGGLLRIYRTVDILAKEQRHNQVVRTLGFRVYRVSLDEQKLVRMTGIGEHALFVGHNASVCLSVKDHPTLMPNHVYFTDDDFETVFSFKSSRRDVGVCNIENNTVTKVKRYGDKFSHVDARLAYFIGLVSQPSHVAAVHRSNEGGDGDVEKNCLHSATAQAQASYGGGVYLHRASEVIVGEEGGEPTRDLDREAVPAMACARAWYAATLPLLTASSES >ORUFI06G18730.1 pep chromosome:OR_W1943:6:18208358:18210645:-1 gene:ORUFI06G18730 transcript:ORUFI06G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIKPIFNQDGVLDKYEMSYYNGKIPRVEETPYEFDLPEYREVYYKAMLSSNPSSGDCIVMLIHQPYSQLSFARVGEDHWNWIPIGLFYTDCIYHKGWFYTVSVLGAVDAFNLNGPSVVHKRILKDMLTLGYEQMYIVQSPWGDILIVNRMTIIPRNGNPEIEETELYTSDIVVYKADIGEQKLVKLTGIGDYALFIGHNTSSCLPVKDCHMLMPNHVYITDDEYLWLLEFRHKRRDVGIYSLENNSLSNVVSPEPWKSWLPPIWMMPNLMKTGFQDQNNGGD >ORUFI06G18730.2 pep chromosome:OR_W1943:6:18208358:18209744:-1 gene:ORUFI06G18730 transcript:ORUFI06G18730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIKPIFNQDGVLDKYEMSYYNGKIPRVEETPYEFDLPEYREVYYKAMLSSNPSSGDCIVMLIHQPYSQLSFARVGEDHWNWIPIGLFYTDCIYHKGWFYTVSVLGAVDAFNLNGPSVVHKRILKDMLTLGYEQMYIVQSPWGDILIVNRMTIIPRNGNPEIEETELYTSDIVVYKADIGEQKLVKLTGIGDYALFIGHNTSSCLPVKDCHMLMPNHVYITDDEYLWLLEFRHKRRDVGIYSLENNSLSNVVSPEPWKSWLPPIWMMPNLMKTGFQDQNNGGD >ORUFI06G18740.1 pep chromosome:OR_W1943:6:18240913:18243210:-1 gene:ORUFI06G18740 transcript:ORUFI06G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKENEVVEIFEHDHDNKIRSPENSVPPAMVLDLNEGFGEGSEEGGVGEDGDEEDDDEDDDDDDDDDGGSTSEVAGGGRSSSNNSSTNHNSGSDKDHDMNSSSSKADGGGDRVPTVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHESGHERGAISSVFSPMEFHMRRGDHRFHHHDMFFQRAAAAGVSPFSSRMLHENGGGFFASRNPGLPESSRLYGLFQRRQQAPMQKFDFKNCNSFSRNQEWAFNQQHAAARAAGAVNGHGPAKGLIHEMIFRKEGKPTSHLFDVRDAIASTRVTSATTAAAADHRLDGAGKAGNFDWIGSSSSRPLARTMSAAAAATMGDHHHLRWRGGAAGSGNTTASSDPVVASEAALGSLLERAKTKATEAMRLETPVKMTAAAVAAEEINGRTPDLQLSLSPNAGDHRSAGKKRKFLSEQEVDSDKQQLPLTLSLSLRGGGDNGGGGGGEAAGRLEEEMTGSSSSKKAALGLSTLDLTMSIKALE >ORUFI06G18750.1 pep chromosome:OR_W1943:6:18267201:18269650:1 gene:ORUFI06G18750 transcript:ORUFI06G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRVYIVVYLCKTTMEKKKHMERL >ORUFI06G18760.1 pep chromosome:OR_W1943:6:18271769:18273301:-1 gene:ORUFI06G18760 transcript:ORUFI06G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGTGTKPPAMTTERYEFGPLVGEGNFAKVYLGRHRATGEEVAIKVMDKEKLVRLGATELIKREIAVMQRLRHPNVVRIHEVMANKRRICVVMEYVRGGALYRYFRRGPSGGAAGLREHEARRFFQQLVSAVAYCHSRGVFHRDIKLDNLLVDEQGNLKVADFGLSALADMERREAHLQTVCGTPLFLAPEVFKRRGYDGAKADVWACGVVLYVLLTGRKPFPDEHVSRLYRLIGQNQFQCPPSFSPDLARLVRRLLQPDPDRRITIPEIMEMRWFKRGFKEVTYYIDSNDRLRSLDGLDGEPELYDSDTDTIESSSSSESPTPVAGTPRGMHTSVSAPALSELDRMEDSASLPLTLPLPPRPRMPRPKSLNAFDIIASSPSFDLSGLFEERGERMRFVSGAPVADIIAKLQEIAGMVSFTARTKDCQVSIEATRNGQKGALAISAKVFELTRELVMVQVCKKAGDTAEYRRFCDNELKAGLRGLVVDALPPPVEGGGHGGAAAAAEAE >ORUFI06G18770.1 pep chromosome:OR_W1943:6:18275706:18278589:-1 gene:ORUFI06G18770 transcript:ORUFI06G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSSNLRRRQTAGIEGEEGPEGKKIEELADDDADDTAGDSQQRARSSPHSSDCAGGRACNHRHHLVLPLNHTEVRIPIHHSDGSDAVAATEGDDRRTRSDDNDGCADDRDLREVMWRDGEGGGGARDGTIRREDNRRRRRGLGGMGRRRVGGVRRQRAEEEGWGAGECDAKLRIGERGSAMRIGEMRRGATGGSWEKISSADFCERILR >ORUFI06G18780.1 pep chromosome:OR_W1943:6:18278997:18279241:-1 gene:ORUFI06G18780 transcript:ORUFI06G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEEAACKLKLQDLGQCMHPSTKVSKDSDKMGLLMLNLLGLAALDHHEQYRKGNADNNNAGAGTRLSSARW >ORUFI06G18790.1 pep chromosome:OR_W1943:6:18283165:18286627:-1 gene:ORUFI06G18790 transcript:ORUFI06G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEELEFVGSRATGDVLMHYVTRSNSQNAIWEDAYQVITQDPEDCLTLIHRSYIYNVNMAIESAKVLHRQIDSIMVTTDGLKPSTLTGSTPGQRLAVTDDFESPVTGEGLSEDLLAMDLSSLCVTGGKPTHVDAGGDDLGAEETKKRRSTYVDGGGGSGVKKGEGGGDRQPWSPGVPDPVVPNHLETGSGAHHLKAITGDHYRSSGTKKQSPELRDEEDPPEISHIRQGWEGRRGGAGAADGGRGGGRGPTTAVVSTARGSAAIDTSSRASASASAHASRPPVPPTMEPAMEAASLGPNTVRPSAGARGE >ORUFI06G18800.1 pep chromosome:OR_W1943:6:18294439:18297490:1 gene:ORUFI06G18800 transcript:ORUFI06G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSFSPLFDASGSLTLASPMPLHSHLLLLLLALSTSVVAGSVSSSPSACAGGGGGGGDAAIVAAAFRGVRNFHLPPCGAVRELRLPSRNLTGAVAWAALANLSGLAVLDLSGNALQGAIPGGFWRAPSLRHVDVSGNQLGGALRVVEASPRLESLNVSGNRFTGVAGAEALAGLRVLDVSANRIRAVPQGLRRLARVSRLDLSRNAMQGRFPGDLPPLAGLRFLNVSYNNFSGVVDGGAVKKFGHSAFVHSGNTSLVFSENSTARRPPPPPSPPPSHPHRSGGKNDTATPARRTRTRSRRKHLSVVTVAVVCGVVSVAMLLCLVGCVACGVLRCRKNRGKEAEEEKRKAHWGGKDEEEVVAVAAAAAKGGSAAPVVLFERPLMELTLADLAEATSGFGRESQLAERGGRSGAAYRAVLPGDMHVVVRVVDGVMAGVGEDDDPATAATAFRDLARLRHPNILPLLGYCIAGKEKLLLYEYMEKGDLHRWLHELPAGRPDMEDDTGGDIWEVAEDKRSISDWPTRHRIALGIARGLAFLHQGWAGSGRPVVHGHLVPTNILLGEDLEPRISDFGHPSDTTPEGDVYSFGALVLELITGQAGWDEASVSWARGIIRDQKGLDIVDPRVRDEAGGGPETSTVEREMVECLRVGYLCTAQSPEKRPTMQQVVGVLKDIRVAPASSSST >ORUFI06G18810.1 pep chromosome:OR_W1943:6:18310283:18310957:-1 gene:ORUFI06G18810 transcript:ORUFI06G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFKSKNITIKDVTITAPGDSPNTDGIHMGDSSKISIIDTVIGTGDDCISIGPGTEGVNISGVTCGPGHGISVGSLGRYKDEKDVTDVTVKNCVLKKSTNGVRIKSYEDAASVLTASKFTYENIKMEDVANPIIIDMKYCPNKICTANGNSKVTIKDITFKNITGTSSTPEAVSLLCSDKLPCTGVTLNDIKVEYSGTNNKTMAVCKNAKGTATGCLKELSCF >ORUFI06G18820.1 pep chromosome:OR_W1943:6:18315237:18315737:-1 gene:ORUFI06G18820 transcript:ORUFI06G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSPISVSFLSQSVLGRGGIGRGGDGEDGGRRSGVGGGGGGQACGRRSHPSPPAPCPCLLREAWGSLDALVGRLRAAFEEHGGHPEANPFGARAVRLYLREVRDSQAKAXPLAAAYRALARRRCHFARPMLPLRRPHAHPPPPPPRSTPPAAVLAISAAPDATP >ORUFI06G18830.1 pep chromosome:OR_W1943:6:18359805:18360101:-1 gene:ORUFI06G18830 transcript:ORUFI06G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVANPIIIDMKYCPNKICTANGNSKVTIKDITFKNITGTSSTPEAVSLLCSDKLPCTGVTLNDIKVEYSGKNNKTMAVCKNAKGTATGCLKELSCF >ORUFI06G18840.1 pep chromosome:OR_W1943:6:18372319:18375849:-1 gene:ORUFI06G18840 transcript:ORUFI06G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSCGGGGGGGAGQMAAWQGRVGATNIGRLRHGKTSSSSSAAVAARGGGVTAWHLRVFAGVVGVMGCLLLVASLMMSAVHQVQFRNAAISRSFRGLQELKQNSVRTEEAEQIMHPRLLQMATSVVTKLLLMSNFPSLPVQNESDSDSVKFSLWEEPYKQARKWKPCAAKHSLPDEVPEENNNGFILISANGGLNQQRVAVCNAVVVAALLNATLVLPRFLYSSVWKDTSQFGDIYQEDYFVNYMKSDVHIVKDLPPHLQSLDLEAIGSQITDMDISKEAAPSEFIKAVLPILQQNGVVHFLGFGNRLGFDSVPVHLQRLRCRCNFHALKFVPEIQRAGSLLVQRLRRAGAMRTEMDKQLFGSNMLDVPALLAGGEPSRYLALHMRFEEDMVAYSLCDFGGGDAEREELQAYRETHFPTLAMRLRNTSVSPEEQRSLGRCPLTPEEAGLVLTALGYDRGTFIYVAGSKIYGGAARLRPLTRLFPNLVTKEDVLSSAELAPFKNFSSRLAALDFIACASADVFAVTDSGSQLSSLVSGYRVYHGRGRAPTLHPNRKRYAQILGEEEGIGWGGFERRVRNMVEEYKRVSARPRGRSVYRQPRTPGCMCRAAGDGSVDF >ORUFI06G18850.1 pep chromosome:OR_W1943:6:18392685:18396225:1 gene:ORUFI06G18850 transcript:ORUFI06G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNSTEKRIVQNKTLDVGGPFVVSRFGNKIGLVGCNARVDLRGGENNSLISSCTAVCPSEDDRFTLSGLGDSACSSGLGCCQATFPLDYSSYNIQIQNLQRQSVSKFDNLVYVVDEELKFSYTPESQNFPEALPDVLKWFIVSNFSCTPTSSAPITGPECRSANSGCSGVNGGYKCYCPNGYQGNPYVSGGCHDIDECHSPDYSCYGVCKNTPGSYSCECNQGYEGDASEPNGCKDIDECAHPENYTCHGECQNWPGGGYTCIPAVSELEKATDNFDKSREIGGGGHGVVYKGILDLQVVAIKKSRIVVKREINDFINEVAILSQINHRNVVKLLGCCLETEVPLLVYEFISNGSLDHHLYVDGPISLSWDDRIRIALEVARALTYLHSATTIPIXMYYYTGHLTDKSDVFSFGVLLIELLTRKRPMYMTDHGESLVLYFASLHRQGQLVQIIDPQVMTEGDGDQIQEVASLAATCTKLDGQDRPTMRDVEMTLENLLVKKKLASHSVKSSRYNASEITWHYMLAAGQESKEMSKQHNIGGGDVV >ORUFI06G18860.1 pep chromosome:OR_W1943:6:18400961:18408325:1 gene:ORUFI06G18860 transcript:ORUFI06G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVKDAGPSITPVSYPARVAPLPEDRPAEEAPPEGPADEGLRGEGERIEMDATRARRSFFGMQAEEEQAPYPTLIPVEKRPQKVAIDLVDAIREIKTSANEKKRNFTETVEAHVMLGVDPRRGDQMVRGALTLPHGTGKGSEGPAADEARAAGADVVGGDELIEEIRTGGGKLSFDKCIATPMYMPRLSKVARILGPRGLMPNPKLGSVTNDVSGAVKAAKSGRVDFKIDKTAIVHVGLGKINFSDENLRENIGAFVHALLLAKPVGLKKTSKYVGYVKKFTLSSTMGPGFPVTIPSLSAAADHYNNSKVQAS >ORUFI06G18860.2 pep chromosome:OR_W1943:6:18400961:18408325:1 gene:ORUFI06G18860 transcript:ORUFI06G18860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVKDAGPSITPVSYPARVAPLPEDRPAEEAPPEGPADEGLRGEGERIEMDATRARRSFFGMQAEEEQAPYPTLIPVEKRPQKVAIDLVDAIREIKTSANEKKRNFTETVEAHVMLGVDPRRGDQMVRGALTLPHGTGKTVRVAVFAEGPAADEARAAGADVVGGDELIEEIRTGGGKLSFDKCIATPMYMPRLSKVARILGPRGLMPNPKLGSVTNDVSGAVKAAKSGRVDFKIDKTAIVHVGLGKINFSDENLRENIGAFVHALLLAKPVGLKKTSKYVGYVKKFTLSSTMGPGFPVTIPSLSAAADHYNNSKVQAS >ORUFI06G18890.1 pep chromosome:OR_W1943:6:18430031:18430357:-1 gene:ORUFI06G18890 transcript:ORUFI06G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNALGERRLWAWERPGPAGSCSAGSGAAVGDGVGLEERPGSGRRAVASGSADCGGHTRLHRPRIGRIRNHRALDGRTRMYQPRNGRRRMADYLGSSGRSARRWRAA >ORUFI06G18900.1 pep chromosome:OR_W1943:6:18433247:18434616:1 gene:ORUFI06G18900 transcript:ORUFI06G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRKSFRILDLEASVNLSRRPPPRAAREASISGRPLPPSSSSASPPPKRSPAKPRGRKDGGGGAPRFLVDLVSSGRPGEQPRAVATVTARSAPPWADLAGWRLAAEMDTRCGWVDGGGDGKGWDDGGGDGDGGDGGRGAGSGRAEGGSSPPTADLATRQPDRASSWRGQRSSRRRGGNSRASSWKGRPSRRRQRGGNIHWQELLDVVLSAAVAADGGRGIRSVHSGSRPVWVWPSVGRLATGGRLATPIGDSGGGCWLLGGGGRRCSGRS >ORUFI06G18920.1 pep chromosome:OR_W1943:6:18444048:18444431:-1 gene:ORUFI06G18920 transcript:ORUFI06G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRVEVGERDGVCTRRGSALLASRSLSPDPPPLHRPSPPAYCHRRQIRARGSCLCRIPGEGGSLTAPGRLPPLELKKAKRLEPEEKEKEAAPAPAVWLAGARARRGRAVLALEWKIRGNTERRRGK >ORUFI06G18930.1 pep chromosome:OR_W1943:6:18445109:18445687:-1 gene:ORUFI06G18930 transcript:ORUFI06G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARDLASGSQPPHGSGGRPPSPLGIRLPSLLFLSSPNPAEGRGVNSGAAGGERRGLWERGSDGRPPSPRRIRLPSPPLPFLPSPDPVEGRGVGGGAAGGERGGWREGESGHRRRGWERCPRPMTSMAVAAGSGGAAANSRSLGACGPSTTRENWF >ORUFI06G18940.1 pep chromosome:OR_W1943:6:18450227:18457462:1 gene:ORUFI06G18940 transcript:ORUFI06G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPGGPDLAGAGGAVAVAVDAMQVDDPPRASAEEKHGPTIMGGNDPVTGHIISTTIGGKNDEPKRTISYMAERVVGTGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQIMRSMDHCNVISLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYKDMKQRMPLIYVKLYMYQIFRGLAYIHTVPGVCHRDIKPQNILVDPLTHQVKVCDFGSAKMLIKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFKFPQIKACPWHKIFHKRMPPEAIDLVSRLLQYSPNLRCTALEACAHSFFDELREPHAKLPNGRPFPPLFNFKQELANTHPELVSRLLPEHAQRHSGF >ORUFI06G18950.1 pep chromosome:OR_W1943:6:18460038:18464133:-1 gene:ORUFI06G18950 transcript:ORUFI06G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRAAAAAAGTPVARRRLMGAVAARSMASWFGHVEPAAKDPILGVTEAFLADPSPDKVNVGVGAYRDDSGKPVVLECVREAERRIAGSMNMEYLPMGGSIKMIEESLKLAYGENCEFIKDKRIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWANHHNIWRDAQVPQKTFTYYHPESRGLDFAGLMDDIKNAPDGSFFLLHACAHNPTGVDPSEEQWREISHQFKVKKHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCDDEMQAVAVKSQLQQIARPMYSNPPVHGALIVSTILGDPELKSLWLKEVKGMADRIIGMRTALKENLEKLGSPMSWEHITNQIGMFCYSGMTPEQVDRLTKEFHIYMTRNGRISMAGVTTGNVAYLANAIHEVTKTK >ORUFI06G18960.1 pep chromosome:OR_W1943:6:18468453:18479224:-1 gene:ORUFI06G18960 transcript:ORUFI06G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPMSFAFTLLAACISFLHHAPAAAAAAPANQTAGFLDCLAASLPAGVVYTHASRSYQSVLESSIKNLLFDTPATPTPVAVVEATDASHVQAAVRCGVGHGVSVRSRSGGHDYEGLSYRSLDAARAFAVVDMAGGALRAVRVDVRGRAAWVGSGATLGEVYYAIANKTSRLGFPGSVGPTVGVGGFLSGGGFGLMLRKHGLASDHVLDATMVDAKGRLLDRAAMGEDLFWAIRGGGGGNFGIVLSWKLRLVPVPATVTVFTVHRSRNQSATDLLAKWQRVAPSLPSDAFLRVVVQNQNAQFESLYLGTRAGLVAAMADAFPELNVTASDCIEMTWVQSVLYFAFYGTGKPPEMLLDRGTGRPDRYFKAKSDYVQEPMPSQVWETTWSWLLKDGAGLLILDPYGGEMARVAPAATPFPHRQALYNIQYYGFWSESGEAAAAKHMGWIRGVYGEMEPYVSKNPRGAYVNYRDLDLGVNDDGDGGGGVARARYEKATVWGRAYFKANFERLAAVKAKVDPDNYFKNEQSIPPLPTRRESPRVESIMSTTPTAASRRLVLILCTLAISCSSGIAGFAAGDDDAFIRCLAAAAVPPRLVHTPGSASYAPTLVSSIRNLRFVTPGTPRPLAIVAAAEAGHAQAAVRCGRRHGVRVRARSGGHDYEGLSYLSLDRRERFAVLDLAALRDVRVDADRAEAWVGSGATLGELYYAVGAASRTLAFPAGVCPTVGVGGHISGGGFGTLMRRYGLAADNVLDAVLVDADGRLLNRTTMGEGLFWAIRGGGGESFGVVLSWKLRLVRVPETVTVFTIRRPRNQSATDLITKWQEISPSLPRDVILRVVVQSQHAQFESLFLGRCRRLARLMRARFPELGMTQSDCEEITWIQSTVYFAFYSSSKPLELLLDRGTEPDRYFKAKSDYVQEPIPRHAWESTWPWLEEHDAGLLILDPYGGEMARVSPAATPFPHRKGNLYNLQYYSFWFEHGAETLERHLSWVRGLYGEMEPYVSKNPRTGYVNYRDMDLGRNEIEGNVTSYTKGKVWGEKYFRGNFERLAAVKAMVDPDDFFRNEQSIPPLPAAKGWSSI >ORUFI06G18970.1 pep chromosome:OR_W1943:6:18482529:18483018:-1 gene:ORUFI06G18970 transcript:ORUFI06G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRRRAWQGRAWWERDVAVERVALEAEGGKVARVSCSDSGRGGEGGSGGPGEEGGDVGGGPDKQGLGGGGRLVGPAEGWRGGQPASSEREYSVMCSTNTVSATGCPGKFRLSSLPLGQSPPTP >ORUFI06G18980.1 pep chromosome:OR_W1943:6:18490208:18494172:-1 gene:ORUFI06G18980 transcript:ORUFI06G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRRSRLGSFPSGGAPMEGWELVPFRLRLRLGLSMKKAALLEFGQRSSGCPSKFKLVTWQEKLGIPVESANRRYRFSPMLALPVSASSPDQSKHLAWSAPAIIW >ORUFI06G18980.2 pep chromosome:OR_W1943:6:18491505:18494172:-1 gene:ORUFI06G18980 transcript:ORUFI06G18980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRRSRLGSFPSGGAPMEGWELVPFRLRLRLGLSMKKAALLEFGQRSSGCPSKFKLVTWQEKLGIPVESANRRYRFSPMLALPVSASSPDQSKHLAWSAPVKLA >ORUFI06G18980.3 pep chromosome:OR_W1943:6:18491551:18494172:-1 gene:ORUFI06G18980 transcript:ORUFI06G18980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRRSRLGSFPSGGAPMEGWELVPLTQMVSPSPSPWAFHEEGGFAGVWTTQQRMPFKVQTRHLAREIGHTSRVGQPEVQILTNVGPPSVSKFP >ORUFI06G18990.1 pep chromosome:OR_W1943:6:18490218:18498961:1 gene:ORUFI06G18990 transcript:ORUFI06G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAVFISLLFLVPSCKGDDQLTRANRLISPGDVLVSKGRVFALGFFSPTASNRSLFLGIWYHNISESERTYVWVANRDNPITSSSATLAISNSSNLVLSDSGNHTLWTTNVTATGGDGAYAALLDSGNLVLRLPNGTTIWQSFDHPTDTLLMGMRFLVSYKAQVAMRFIAWKGPDDPSTGDFSASGDPSSNLQFLAWNDSSSSWTVVFQRPAPAIECDSYASCGPFGYCDATAAIPRCQCLDGFEPDGSNSSSRGCRRKQQLRCGDRDDRFVTMAGMKVPDKFLHVRNRSFDECAAECSRNCSCTAYAYANLTGADQARCLLWSGELADTGRANIGENLYLRLADSTVNKKKSDILKIVLPVITSLLILMCICLAWICKSRGIHRSKKIQKKHRLQHLKDSSELENDNIELPFICLEDIVTATNNFSDHNMLGKGGFGKVYKAQKGVLEGGKEVAIKRLSKGSQQGVEEFRNEVVLIAKLQHRNLVRLISYCIHEDEKLLIYEYLPNKSLDTFLFDATRKSVLDWTTRFMIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDTNMSPKISDFGMARIFEGNKQQENTTRVVGTYGYMSPEYALEGSFSVKSDTYSFGVLLLELAWSLWKDGNAMDLVDSSIQESCLLHEVLRCIQIALSCLQDDPTARPLMSSIVFMLENETASLPNPKEPAYFTARLYETKDTRENKERSVNNQSRLLHGKPKAKAKAKPAHHHQPGSNTLHHNPHSTVEIWINPINQWRTGTLMDLRPEEIRTICVNGTNSHPSMGAPPDGKEPRRLRRCRIQDSPTDRDDQLTPAKPLYPGDMLISDGGVFALGFFSPTNSNATLYVGIWYHKIPNRTVVWVANRDNPITAPSSAMLFISNSSDLVLSESGGHTLWEARNNITTGGSGATVVLLNSGNLVLRSPNHTILWQSFDHLTDTILPGMKLLLKYNGQVAQRIVSWKGPDDPSTGNFSLSGDPNSDFQVLVWNGTSPYWRSGAWNGALVSAMFQSNTSSVTYQTIINKGNEIYMMYSVSDDSPSMRLMLDYTGTIKMLIWNSNLFAWSVLFSNPSYTCERYASCGPFGYCDAAEAFPTCKCLDGFKPDGLNISRGCVRKEQMKCSYGDSFLTLPGMKTPDKFLYIRNRSLDECMEECRHNCSCTAYAYANLSTASMMGDTSRCLVWMGELLDLAKVTGGGENLYLRLPSPTAVKKETDVVKIVLPVVASLLILTCICLVWICKSRGKQRSKEIQNKIMVQYLSASNELGAEDVDFPFIGFEDVVIATNNFSSYNMLGKGGFGKVYKGILEGGKEVAVKRLSKGSGQGIEEFRNEVVLIARLQHRNLVKLVGCCIHEDEKLLIYEYLPNKSLDAFLFDATRKTVLDWPNRFKIIKGVARGLLYLHQDSRLTIIHRDLKAGNILLDAEMSPKISDFGMARIFGGNQQQANTTRVVGTYGYMSPEYAMEGVFSVKSDIYSFGILLLEIISGFRISSPHLIMGFPNLIAYSWSLWKDGNARDLVDSSVVESCPLHEVLRCIHIALLCIQDHPDDRPLMSSVVFMLENNTAPLPQPKQPIFFVHKKRATEYARVNMENSVNGVSITALEGR >ORUFI06G19000.1 pep chromosome:OR_W1943:6:18499611:18499928:-1 gene:ORUFI06G19000 transcript:ORUFI06G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVERRRRSAARREVVAKEKTFGELGMASANSLAAAAWIGEARRIGVGGDEKEWWWWTLSTARGEWGRRGMGERGLVADDGLGSARAGGRWAATGGREEGEERG >ORUFI06G19010.1 pep chromosome:OR_W1943:6:18502903:18505452:-1 gene:ORUFI06G19010 transcript:ORUFI06G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDRQRPPAARFPLHHCNLLTHTPSKHSLSLASSLHHKKKLASQETEDRSRFRIEIITSSWSSIMGDHQMMHAAPAAMYNGGGGTTSSHGVWWSNAVGVPAAATCSTTTELAGYTAWSSALAAGYDGMVADNGGKQAKSTTTASSESPGNNSSVTFQEPASIPDPAAVAAVPQPGLAGFTDWTQPFMNNGAGLHEFLQDGHHDMSASSLMNHSSNNLALQQAGHHHELLSSFGSDLLLSPTSPYGGFQSSLLRSLMEPTAKQQQQQPALAGLQQYHQYQQQMGHTPAAAAKFAQAVGARDSLQFTNDAPFWNPSAGFGMPAAVAVAAAAAQDQASVRSAKRSSPAPPRAAATLALKTAMEGVGDSSSVITKKETAFKKPRLETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGALSAPYLKNGAHQVPHLKNSSPDKSKHGEISLKGRGLCLVPISSTFAVASEVPVELWTPFGANFIR >ORUFI06G19020.1 pep chromosome:OR_W1943:6:18516716:18518311:-1 gene:ORUFI06G19020 transcript:ORUFI06G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSMTTTSRALALVLLSSCCLLVAVDAAYAKKPNLSKNDFLSCLAAGIPARQLYAKGSPSYGSVLTSTIRNLRYLSSKTCNPLYIVTPTDVKHIQVAVSCGRRHNVRIRVRSGGHDYEGLSYRSEIPEPFAIVDLVNMRNVTVDGKARTAWVESGAQIGELYYGISKASPTLAFPAGVCPTIGVGGHFSGGGFGMLLRKFGLASDNVLDVKVVDANGKVQDRKSMGEDYLWAVRGGGGSSFGIVVSWKLRLLPVPATVTVIQMPKMVNEGAVDLLTKWQSLAPTFPEDLMIRVMAQAQKAVFEGLYLGTCDALLPLVTSRFPELGVNRSHCNEMSWVQSIAFIHLGKNATVKDILNRTSSIRAFGKYKSDYVTQPLSKATWDTIYKDWFSKPGSGIMIMDPYGATISKPGEADTPFPHRKGMLYNIQYITFWFGEGAPAEAPIKWIRDFYAFMEPYVTKNPRQAYVNYRDLDLGVNAVEAGANVSCYQVGKVWGEKYFKGNFERLARTKAKVDPTDFFRNEQSIPPLLA >ORUFI06G19030.1 pep chromosome:OR_W1943:6:18532585:18533067:-1 gene:ORUFI06G19030 transcript:ORUFI06G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVEVSELLSAHHVAMYGVVRVKELQRLLAHLTKNTSSAKPIDLSECFLNLANDVLCRVAFGRRFPRDEGDKLSAVLANAQDLLPGSPSATSSLSSSPSPAPSPDSATASRSASPTSARPATSSWTCTSAATASASPATAKRTSSTSSSVSRQRQVER >ORUFI06G19040.1 pep chromosome:OR_W1943:6:18533592:18535178:-1 gene:ORUFI06G19040 transcript:ORUFI06G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFRNLSLVLTISFLSCHYLSVTSLASSDGFVRCLLQKIPGELVLTPSSSSFADVLVSSIRNPMFFNNATARPLCIVTPADASHVQAAVLCGRAEGVRLRARSGGHDYEGLSYRSARRGEVFAVVDLGARLRAVSVSGGGDATAWVESGASLGELYYTVAKSNPGLAFPAGVCPTIGVGGHLSGGGISMMSRKYGLAADNILDAKLVNANGELVDRAAMGEDLFWAIRGGGGESFGIVVSWKVRLVQVPTTVTVFVVGRNVDQGAADVVARWQDVAPSLPPELTIRVIVRGQRATFQSLYLGSCADLVPTMSSMFPELGMTSADCREMSWLQSAALIQFWNPSTPVEALLNRRTSLSTFTKAKSDYVRRAIPSDVWKNILPWFTMNGSGQMLLEPMGGFVGGVPAAATPYPHRSGVLYNIQYIAYWSGDGTAANRWISGLYAFMEPYVSSDPREAYVNFRDLDIGENAVAPNDVSTFESGKVWGEKYFAGNFERLAAVKAAMDPTDYFRNEQSIPPFVGPKQVKKA >ORUFI06G19050.1 pep chromosome:OR_W1943:6:18557896:18559476:-1 gene:ORUFI06G19050 transcript:ORUFI06G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSLALVLAVCCCCCYAASVAPLSSADSSGEFLQCLAAGVPSQLVVTRGSSSFASVLASSVRNPRFLAPGTVRPLCVVTPTNASHVQAAVLCGRRHGVRLRVRSGGHDYEGLSYRSVRQEVFAVVDLAGLRSVRVNRRAATAWVDSGATVGEMYYAVAKADAGLAFPAGLCPTIGVGGHFSGGGIGMMMRKYGLSVDNVLDAMVVDANGRLLDKKAMGRDYFWALRGGGGESFGIVLSWKVRLVAVPRTVTVFNIQKTLAQGAVDAVTKWQTLAPAALPDELTIRVVVQNKQALFQSLYLGTCDQLLPVMGSRFPELGMTRADCREMSWLQSMVYINGGTSSTPVEVLLNRTTSLSVYTKNKSDYVKQAIPSASWEKIFPWFDGAAGAGLIILEPHGGRVGSIADGNTPYPHRSGVLYNIQYVAFWPTTTATPAVPDWIKNVHAFMEPFVTSNPRDAYVNYRDLDIGENAVAGGVTSYENGRVWGEKYFGAANFRRLALTKGKVDASDYFRNEQSIPPLVSTK >ORUFI06G19060.1 pep chromosome:OR_W1943:6:18562033:18563619:-1 gene:ORUFI06G19060 transcript:ORUFI06G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFKTLLLFIIFCIFSSCYIPSPAIASDHDPSSKGFIHCLTKKSIPPWLIHKRSSSSYTPILKSSIRNPKFLNTTASTTPLCIVMAKKTSHIQAAVVCGRQHRVRVRARSGGHDYEGLSYRAEGRLERFTVVDLSGMRSVRVDAARGTAWVQSGATLGELYHAIWSSAPRLGFAAGVCPTVGVGGHFSGGGFGMLQRKYGLAVDHVVNATLVDARGDLLGRDAMGEDLFWAIRGGGGGSFGIVVSWHIKLVPVPPTVTVFDVVRTPERGAIDVLTKWQEIAPRLPDDIMVRVIAEPRRVTFEAMYLGTCDELLPLMHHRFPDLAMTRADCNEMTWIESIPYIHLGSNATVADILNRSSISRVNTKNRSDYVRHPIPKSIWKKIFAKLQQLTNFGEVQLFIDPYGAKISRIHESATPFPHREGVLYNIQYITYWNGDANGTLALKWSRDLYKFMEPYVSKNPREAYANYRDLDLGRNKVVNGISSYHHGKVWGEKYFRANFERLAKVKAMVDPDDYFRNEQSIPPLFE >ORUFI06G19070.1 pep chromosome:OR_W1943:6:18571589:18573287:-1 gene:ORUFI06G19070 transcript:ORUFI06G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSATVQDMVPPLATLETRSSLFPIGHRWSRRPPHLPPPTELRSSPAAAAAAKLHRCHSGGSSMAHRKSRGRGRAQRDRESGLWPLIGAPWPIAMDNVVGNSTPNPFA >ORUFI06G19080.1 pep chromosome:OR_W1943:6:18573573:18574620:-1 gene:ORUFI06G19080 transcript:ORUFI06G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRILSTPTAQRSSAPFIAHCLPLPYLCSVQVVPGIPVSHPPPPSHRRSRLSSTSMGSLDLGAAQEDRRHSRRHTRSSSGFLLLPCRMGLLAGDLLPSHPQMEFASSTLHVIGGLPTASDD >ORUFI06G19090.1 pep chromosome:OR_W1943:6:18582447:18584018:-1 gene:ORUFI06G19090 transcript:ORUFI06G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSRSLGALAAFVFLCSAASMSSSMAATDSFLQCLSASIPSQLLYTQSSPSYTSVLDAGIRNPKFLTNTTRPVWIITPTNASHVQAAVLCGRRNGVRLRIRSGGHDYEGLSYRSERPETFAVLDLVNIRAVRVDAASATAWVDSGATLGEMYYAIGKAGGRIAFPAGLCPTVGVGGHFSGGGFGMLLRKYGLAADNVVDAVLVDAKGRLLDKNSMGSDVFWALRGGAGESFGIVLSWKVKLVAVPPTVTVFNVPVTVSQGAIDVVTRWQAVAPSLPDDLFIRVLVQGQRASFQSLYLGTCDALLPVMRSRFPELGMNRSDCREMTWIQSVPYIYLGSSATVEDILNRTIAMDTSNKATSDYVRQAIGRDTWSAIFGWLARPNAGLMILDPYGGQIGSVAEAATPFPHRGGVLYNIQYMNFWSAAGGGGGGAAQRAWIRDFYAFMAPFVSKDPREAYANYRDLDLGENVVGAGGVSSYDAGKVWGEKYFRGNYQRLAMAKAQIDADDYFRNEQSIPPLVAGK >ORUFI06G19100.1 pep chromosome:OR_W1943:6:18588737:18591209:-1 gene:ORUFI06G19100 transcript:ORUFI06G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >ORUFI06G19110.1 pep chromosome:OR_W1943:6:18591412:18592601:-1 gene:ORUFI06G19110 transcript:ORUFI06G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQPAARCGCRLAGRSCGRPRWWSWSHTRDGSLANLRSREQGEPRLHRRLAMSMAMSSISGRRPAACMSWRRCPASFGHVGDAVVREQRDDVNSTRQMTVSLMAPSFLAWRHANSTDSRSSGPPRKTAVIWVPIFWSSWFLAAVVSSLVDILVGSWKLAPTVHGASSSTLRLSSRQWCSRAPRRTRRAPVSCRRRRRRRAAPPAIGFVAGDNLTVPLRYACPSLPQVTVVVAAALDARHSMTCGLRLPMRREVRERRKGGMTWHPDMWDHVGPTLSQPPRGIKPGSKPLRTYCDRFCKLGDAGYPVLRLDI >ORUFI06G19120.1 pep chromosome:OR_W1943:6:18596813:18598850:1 gene:ORUFI06G19120 transcript:ORUFI06G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSVLLLLCRRITAVPCFSLPRQPPGRRDDPQRLPQPPRPPPARPRAPCRPRQLPQQQALEIADTGQVQPSSWSRLLHPLPPVAGGPAAFSPMAASAGLLAFLFDASGHKTLLLAHPITRILTALPITPTPRLSPTIGLVAGPTSIIAVVAGDDLVCPFAVKNISDVDTCGCCTTAAAAATTEMPPEVHAQFAAAEGGHGFECAAHGDYVVLAPRGPVARAPTSALVFDSRRDEWWWALLCPYVVVAHHGSAGGTGGRRGGDDTTTVRRQSEQVEWEPSHMSTHSAWKWASWLVAPASFVDNNTTQLNYNHNQPIGSKDVKAVKRGGRVVARGRGSKSTPSWAPTATSSARRLGERGVKCVGVSGCKQQNCSNG >ORUFI06G19130.1 pep chromosome:OR_W1943:6:18600323:18600762:1 gene:ORUFI06G19130 transcript:ORUFI06G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEDRCLPTTRGCRCSPTTRCCRAQSLTLRRRRRRRPPDNEDGHGGPLLADDTRLPLLADFALLQGAVMDAAAAPASAEWSAVSGFTWYLH >ORUFI06G19140.1 pep chromosome:OR_W1943:6:18601988:18602958:1 gene:ORUFI06G19140 transcript:ORUFI06G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTKLSTMECWVLLELAYPNHPLTTKATLSIFKVSMLGALQLFRHPFPSDQ >ORUFI06G19150.1 pep chromosome:OR_W1943:6:18605690:18606541:-1 gene:ORUFI06G19150 transcript:ORUFI06G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPPAAAAAAAEDVLSLYDACWFRRLVLLSPSSPAAVAADVAPASPPVASQREEEEEEEEEEEREVKRSPPGTLRHRRTRSDEAATAALDGLEPLRIPNGHRARLETILSGKDGLAAALPQPQPMAERRRAAVRRPGGRRRRQRRGRSMSELEFEEVKGLQDLGFTFSEDDVDAELASIVPGLRRRRSDKDDAREAPAAAAASAEEEAASSRRIGSAPAGTSSSFSSAPRRPYLSEAWDDEEEEMRRMLRNWRIPPAGDGDGADLKEQLRLWAHTVASAVR >ORUFI06G19160.1 pep chromosome:OR_W1943:6:18622797:18623054:1 gene:ORUFI06G19160 transcript:ORUFI06G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGEDRGVSAIRSAEASFSRCGDAGVEVVESGKVGGSDDGVEAVTVGRRGGKAGRESDGGDAGLEAVESGKIGGGDGGVEAMAV >ORUFI06G19170.1 pep chromosome:OR_W1943:6:18632188:18637348:-1 gene:ORUFI06G19170 transcript:ORUFI06G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLKELRDHTDPNIVVMLVGNKSDLRHLVAVQTDEGKAFAERESLYFMETSALESTNVENAFAEVLTQIYRIVSKRSVEAGDDACSGPGKGEKINIKDDVSAVKKGGCCSG >ORUFI06G19180.1 pep chromosome:OR_W1943:6:18640625:18643040:1 gene:ORUFI06G19180 transcript:ORUFI06G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLPEIVSFSPESDGSSSSSAPLIGGDGELVVQLVPRDVSDGILGKFADTSEFDFDYDQSGLWSPLVLRPEVLLLAQTPAGRRRRRHHHRRRWKRKKMLCYCFW >ORUFI06G19180.2 pep chromosome:OR_W1943:6:18641840:18643040:1 gene:ORUFI06G19180 transcript:ORUFI06G19180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLPEIVSFSPESDGSSSSSAPLIGGDGELVVQLVPRDVSDGILGKFADTSEFDFDYDQSGLWSPLVLRPEVLLLAQTPAGRRRRRHHHRRRWKRKKMLCYCFW >ORUFI06G19190.1 pep chromosome:OR_W1943:6:18651139:18653799:1 gene:ORUFI06G19190 transcript:ORUFI06G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSFALNAEPNTVWYNNRSLLQNTFPDDNFLMRIFLPHDIAVPSINDSIVPSFACGFFCAGSATSCDAYIFSIFFVYVSTDFSQRLRWPEIVWFANRDHPVGENATVQFTELGDLVLYDADGTLVWSTNTANKSVVSMNLTGSGNLVLLDRTNVEVWRSFDHPTDTLVISQTLQMGQKLVARTSSTNWTEGKLYLTESPSSLMASYVALKNGSLEVFTSFQETKAPDYHIQLPENSFGLEFARLDWDGHMRLYQWINYSAWVPSDIFDITDPCAYPLACGEYGICSHGQCSCPDVAIGQSGLFELVDAKGVNHGCFLTSSLTCGSARKTRFLAVPNVTHFNFVYNWTTNEDHCKLSCMDDCSCRASFFQHKDISSGFCFLAFNIFSMINFSAQSYSSNFSSSAFLKIQDSTHKSLLSKEKRAIVLVAGSLSFVTSVIVAVLIVLRRKRDEPLEDEYFIDQLPGLPTRFSFVDLKSATGDFSRKIGAGGFGSVFEGQIGDKHVAVKRLDSIGQGKREFLAEVQTIGSINHIHLVRLIGFCVEKTHRLLVYEYMPNGSLDKWIFQNHQADPLDWKTRLKIISDVAKALAYLHSDCRQTIAHLDIKPENILLDEVFTAKISDFGLAKLIDREQSSVMTRLRGRLGYLAPEWLTSVITEKVDVYSFGVVIMEILCSRRNLDYSQPEESCHLISMLQEKAKNNQLMDLIDPCFFDMELHMDDILRMMNLAMWCLQVDNNRRPSMSMVVKILEGTMDVETELDFDLQLYILVMTNICSCKLYGICC >ORUFI06G19200.1 pep chromosome:OR_W1943:6:18660840:18661378:1 gene:ORUFI06G19200 transcript:ORUFI06G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHHLLHLFYISIGFPPAGCSSAKGTGTRRPPPPRVTVASWRSPSCRPLEDYSEPLFRNLITFEQTRPFTPGHVTAYAIFMDSLVTSPEDMRLLLIISSVLVNQMNGERDATTGFFGRLCTEAHLDADRNYLAASSTR >ORUFI06G19210.1 pep chromosome:OR_W1943:6:18661854:18662069:1 gene:ORUFI06G19210 transcript:ORUFI06G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDRRWREAGRTATAQRAAATTDPCIARRSPLDDATATNKSADKAPAVAAALLIVFTAAANGREGGREGE >ORUFI06G19220.1 pep chromosome:OR_W1943:6:18664193:18668307:1 gene:ORUFI06G19220 transcript:ORUFI06G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLSAVPGAIILLVGGSLLAQCLDPTLPAANTSSSWTNSADDQIRYGDGSIVRVVLLLSHSHGASSDDAAFACGFFCGAPCDRKSFLFGVFLVSTNSTGGVAAAAAAPPPVVVWSANRDRPVRDNATLQLSDAGDLVLRDAVGAFVWSTNTSAGHAVTGVRLSDSGNLVLFDDSGSPVWQSFDHPADVLLPGQYLRPGMRLTANASAADFSEGSLYVSVGNNAMSGFVGHDPSQLYFTAPVSDTMDTLANTMDAPVSISAFGRSPSSSSEILISLPVAHSVQYIRVESDGHMRLYGWNSSSWVIMYEVLQKYIAGGDCEYPMACGSYGICSGAGNCSCPSEIHSSPIYRDRPGLGCKLATPISCRDVRGIEMVELPNVTYFNYNGSGAIMRDKVTRSDCLSGCVANCSCKAAYFKLRMNDTNGTCFLQSQLFSLHKLQTTAPSLYNSRAFIKLNNITFAERVRPMKKTFGTRILVGIIIGTVSLLFSIALLIRMRTRRERVDGENIEHLPGMPRKFSFEELKVVTGDFSSKIGEGASGTVFEGKIEDENIAVKRLDSVGRRKEEFLTEVQTIGSIHHVNLVRMIGFCAEKNHRLLCCIYFLSLDG >ORUFI06G19230.1 pep chromosome:OR_W1943:6:18674037:18674391:-1 gene:ORUFI06G19230 transcript:ORUFI06G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAPAEEAEAQKRKSSLGGRRLKHPYPRNGFLPSAPGRKGGGGRVSQLRDALSRRGMTPTEKRMAVARGTG >ORUFI06G19240.1 pep chromosome:OR_W1943:6:18675818:18678759:1 gene:ORUFI06G19240 transcript:ORUFI06G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGAGGGGGGGLGGTRVPTWRERENNRRRERRRRAIAAKIYAGLRAYGNYNLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGCKPPQAERPDPIGRSASPSPCSSYQPSPRASYNPSPASSSFPSSGSSSHITIGGNSLIGGVEGSSLIPWLKTLPLSSSYASSSKFPQLHHLYFNGGSISAPVTPPSSSPTRTPRLRTDWENASVQPPWASANYTSLPNSTPPSPGHKIAPDPAWLAGFQISSAGPSSPTYNLVSPNPFGIFKEAIASTSRVCTPGQSGTCSPVMGGMPAHHDVQMVDGAPDDFAFGSSSNGNNESPGLVKAWEGERIHEECASDELELTLGSSKTRADPS >ORUFI06G19250.1 pep chromosome:OR_W1943:6:18681493:18686397:-1 gene:ORUFI06G19250 transcript:ORUFI06G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDRLYPPIDPYALEPPQFGDDAACGGGGGGGEVRVRDPSAVMWDEEPEASPSSLEEEPVTEGTHTFEQGPKVHLAGNNVVGSAGVGDVYECSREMTTMQELVENTYYDCALRDQTGMWVPPSVPPMTKHDHEEWQKGFGANGGYFAEEDLWDIDEENKEMTMWDVLAAMVSAGKDKVLSVVSYDFGRQGMSLISHLLLEEACKDKADTLEDASVGLEHALLEAEPTVWLPDSAAPSCMLCGARFHPIICSRHHCRFCGGLFCGGCSKGRSLMPPKFSTSEPQRVCDVCGVRLECIQPYLMNKISRACQIPTNDLTDLSTLRSWLNLPWARTMEYEIYKAVNSIYGYFKAMVGSLKPEKSIPDSILRQAKGLAIITVVNVGMMVTYKIGTGLVVARRADGSWSPPSAISTYGVGYGVQAGGELADYIIVLRNTDAIRTFSGNAHLSVGAGISASAGHLGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSVVSTRHSANAQFYGGPIKASEILLGSVSRPAAAATLYRALSKLFEKVENYSSPLDF >ORUFI06G19250.2 pep chromosome:OR_W1943:6:18681493:18686397:-1 gene:ORUFI06G19250 transcript:ORUFI06G19250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDRLYPPIDPYALEPPQFGDDAACGGGGGGGEVRVRDPSAVMWDEEPEASPSSLEEEPVTEGTHTFEQGPKVHLAGNNVVGSAGVGDVYECSREMTTMQELVENTYYDCALRDQTGMWVPPSVPPMTKHDHEEWQKGFGANGGYFAEEDLWDIDEENKEMTMWDVLAAMVSAGKDKVLSVVSYDFGRQGMSLISHLLLEEACKDKADTLEDASVGLEHALLEAEPTVWLPDSAAPSCMLCGARFHPIICSRHHCRFCGGLFCGGCSKGRSLMPPKFSTSEPQRVCDVCGVRLECIQPYLMNKISRACQIPTNDLTDLSTLRSWLNLPWARTMEYEIYKAVNSIYGYFKVGSLKPEKSIPDSILRQAKGLAIITVVNVGMMVTYKIGTGLVVARRADGSWSPPSAISTYGVGYGVQAGGELADYIIVLRNTDAIRTFSGNAHLSVGAGISASAGHLGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSVVSTRHSANAQFYGGPIKASEILLGSVSRPAAAATLYRALSKLFEKVENYSSPLDF >ORUFI06G19260.1 pep chromosome:OR_W1943:6:18688583:18689360:1 gene:ORUFI06G19260 transcript:ORUFI06G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLRIGRVWLYNADPTTLRVFTNTGVELVVGVPDECLAAVLTPFGVASWVRSVIKPALSATKIVVLTIGNELGLNKQVAFTTVHDLGVLATSYPPSSAYFRKDLLPLLCPILDFHARTGSLFLDPTGIEFEYALLKPTYANVFVIVQPSTDVCKLQAIVTNRGTDLTAIVAREGSGYYILAILRRSRRECRGGRSHHHRRPPPREWP >ORUFI06G19270.1 pep chromosome:OR_W1943:6:18697252:18698404:1 gene:ORUFI06G19270 transcript:ORUFI06G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREVDDSYTNGSVVEVVSMEEGSKMDKEQQVHDDHQNPQAPDGGDDDGDVVVFGMPMSFTFLQMLLAEFLGTFFLMFAGLGAITVEEKKGAVTFPGVAVAWGAAVMAMVYAVGHVSGAHLNPAVTLGFAVAGRFPWRRAPAYALAQTVAATAASVVLRLMFGGRHAPVPATLPGGAHAQSLVVEFVITFYLMFVIMAVATDDQAVGHMAGVAVGGTIMLNVLFAGPVSGASMNPARSIGPALVGSKYTALWVYILGPFAGAAAGAWAYSLIRLTSDRPLHEVTKGGTDRTD >ORUFI06G19280.1 pep chromosome:OR_W1943:6:18711017:18713239:-1 gene:ORUFI06G19280 transcript:ORUFI06G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLTRSHIVGDVLDQFSNSVPLTVMYDGRPVFNGKEFRSSAVSMKPRVEIGGDDFRFAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPSSTDDSFGREIVTYESPSPTMGIHRIVMVLYQQLGRGTVFAPQVRQNFNLRSFARRFNLGKPVAAMYXIYIYIYIYIYAVCLASCMQLCLRAYIIKQMHI >ORUFI06G19290.1 pep chromosome:OR_W1943:6:18716814:18721429:-1 gene:ORUFI06G19290 transcript:ORUFI06G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRRTVFSPDELLPQGFDLSGDMLQATLGAMGQRQCDQLRVSFHLFHVAFKHTFVRYSTAENRFHEVVHMSTPW >ORUFI06G19300.1 pep chromosome:OR_W1943:6:18723790:18729682:-1 gene:ORUFI06G19300 transcript:ORUFI06G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAYKSLHVEAPAAALKPVKAVAAGPSELPDATTSVPLPVLAARHTASTRHPCYGWLIESESEDDELDNEVSAVDQTNSLAMSCCWRMVFKPHNLRCHLLHMSQPKQWQQFLLDKLSQQGAHDMDGLLRVNLRMMNCTMECQLLSKPILQLFQIIMDDCLLSKPSLKLL >ORUFI06G19310.1 pep chromosome:OR_W1943:6:18732368:18735386:-1 gene:ORUFI06G19310 transcript:ORUFI06G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGAAPFVWKTYRMVEDPGTDGVIGWGKGNNSFVVADPFVFSQTLLPAHFKHNNFSSFVRQLNTYVSLVTPSSISSSHHTPPLSALHIMLPWILSGTQLEGFRKVDPDRWEFAHASFLRGQTHLLRNIVRRGSAAAGGGGGGGGGKRRDASADGGGGGGDEDMTMVATEVVRLKQEQRTIDDRVAAMWRRVQETERRPKQMLAFLLKVVGDRDKLHRLVGGGGNGNGAATAAADNGFADAARAGCGEKRARLLLDGDNTGAFGPDAVDFAGFYTGADMFPDVAVDAAAAAGGGYNLTVNLVKEFFDIFLQIRSNNTIQSEGVDNINRWRWTNNGMPVQSRHTTQFNGRVDSVAAKQISKA >ORUFI06G19320.1 pep chromosome:OR_W1943:6:18751507:18752463:-1 gene:ORUFI06G19320 transcript:ORUFI06G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCKILLVTALLVGIASQQSSATRNLHGDHHSAAAAAATVAVASHPEKIISCIGSLGSIVGSFGDVCSGFFGSKLQTLQDALCSSRKDCYGDLLREGAAAYINSVAAKKQAKFAYTTQQVKSCILLGLTSKAAAIEQAALFKKANLACHYT >ORUFI06G19330.1 pep chromosome:OR_W1943:6:18759849:18760148:1 gene:ORUFI06G19330 transcript:ORUFI06G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAVVVFPWTLLSCPAVLTVVARLPRQMSPVVRRTTQPFLRNRSEKLSRTFLPWSLKVSRSYAMAASSAVLKVSRVVKLLRWWQDREQLCRSNGGGT >ORUFI06G19340.1 pep chromosome:OR_W1943:6:18767041:18767803:-1 gene:ORUFI06G19340 transcript:ORUFI06G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGRPLPAHALSRAPPKGGSQPPTSHSSSASAAWCARNGHHHACGAPLPWSLHCSLHFLCWISSDPSCG >ORUFI06G19350.1 pep chromosome:OR_W1943:6:18785528:18786319:1 gene:ORUFI06G19350 transcript:ORUFI06G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYELAACSPASPSSSASSTSTPPSPGGEAAARCGEKRGRGGGGGRHPTYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVVKGPAAVLNFPGAAASLPRPASAAPRDVQAAAARAAAMALDAVVPAPPSPPPPLMPPQASPSEAARAHALVAQVDQDDDDEELEEIVELPPIDELDAAAELVFASSGATTFHYDPAADQPWYDQPAACLQDGGGGGIAVHDALGFELDHVWADGVVASGFGALLWNM >ORUFI06G19360.1 pep chromosome:OR_W1943:6:18794563:18795300:-1 gene:ORUFI06G19360 transcript:ORUFI06G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDQLVSFLCFFLIVSAVAGGLCVSATVLPMRVGKQYVVGGRSGWRTPPPASVDLYAKWAAGIRFYVADSIEFVYKNDSVVKVDKFGYYHCNATAAAANDGSVLFLLDTPGFAYFSSADADHCKKGQRLMINVDSAPSPAPTPAASPIAKPPAAVTAATPPPPPPPSSSPSPSPAPQEAATASAATSSSAATAAHALLLAAMAMMGLILGEW >ORUFI06G19370.1 pep chromosome:OR_W1943:6:18801138:18801935:1 gene:ORUFI06G19370 transcript:ORUFI06G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVTAAAAAAAASEEQVTRRVASRIIRALQHQLRLLHRAGPEFFVLGATGNVYTVTLAAAPACTCPDPSVPCKHILFVLLRVLGLSLDEACVWRQSLRPCQVARLVAAPTCAEADVVAGPRARERFHQLWSARAAAKAEESRRRRRRDDQAAAAGASGRLDGAACPVCLEEMSPPAAATATAAAMLLTCATCRNSVHGECFARWKRSRGRRAATCVVCRARWRQPSREQEKEPYINLSAYMNDAGGGDVDMVAADGDDGGLCAG >ORUFI06G19380.1 pep chromosome:OR_W1943:6:18803511:18804203:-1 gene:ORUFI06G19380 transcript:ORUFI06G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPFIAMASNMQGVAGVVNGNAEKRRRTSSDALQRTVSDVSYELHHHVGAKGTTMVDDAGAAAEQKQQQQQLDAIAEVEDARCECCGMSEECTPEYIRGVRARFAGRLVCGLCAEAVAEEAARRGGAGGVEAALRAHTAVCKRFNGFGRTHPVLFQAEAMREILRKRSKLGPRSRSSINPREARQAGAAAAAKAAAAGASAAGGGIARSSSCFPFITDEFSQRVNIN >ORUFI06G19390.1 pep chromosome:OR_W1943:6:18806990:18808075:-1 gene:ORUFI06G19390 transcript:ORUFI06G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGRKERGGSYGDGILEKGGENPDVVSKPDEENIGETVFEKDNTDSHDDDTRNTDRSEAGEGHGSSADGNTEANSNDEDGTTNHSEGEKSDAESNSSDAESKGEDHSTGDDMPQSNTVLEESSAETNGMPHEEVAHGDESTNEDQSNVKSDGSNEEEGEKKEAVDSQNASESLSNDAKGGTDDEHSSGTLPDETGNLPSGQNENSQSDATSTTSDASSEAIHIETGSENEDAAKSSGTASGDDEKGSANEASDSNETKSDEGNVATEVSNDQATNTEAENSQEASAAEAANGSSEETKPADNQNNGNTELSNNGEQVDIKMETSASTNAEHNESQVGDGSSGSNGSNDTGAEQTGTTEAQ >ORUFI06G19400.1 pep chromosome:OR_W1943:6:18829964:18830764:-1 gene:ORUFI06G19400 transcript:ORUFI06G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLWHVVRAVLFMLRKGMSKRKLAMDLHLLLHRGKIAGNKALGKIMNTTTATASHGHGHAADAASTAAGEAAAAAPFSCGRALDPALAVYDPRGAGLEVEFSCSNTPSYPSSFHLIPTKRRRRNNNGSNGRRRGGGGRGANGGEPGWYNYDAADIARVFEILNSSDQLLGDGGAAVAATPSPALWRTSFGGRSPAPVRQLRITDSPFPIRDDGGEDAGAGLVDLEAEEFINKFYEQLRTQQQSLATATPDYYAGYSRPVTGVAY >ORUFI06G19410.1 pep chromosome:OR_W1943:6:18845225:18854427:1 gene:ORUFI06G19410 transcript:ORUFI06G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASDEGTGSAAAAAAAAGEGDVGRFLAAAERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKENDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNIIPVVIVACMQQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELETRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQIWEQKEIRLNQFIGLQIQNIQDLRLSSVSIRHEILHCQKRWSEEICDLGQSLKVLTNAAENYHATLEENRKLFNEVQELKGNIRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVIQPNGLAVPDATMHPVNSSSDVIELMRTGLENRSVGATALNERSSRSHSVVTMHIQGVDLKTGVTLRGALHLVDLAGSERVDRSAATGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQNSLGGNAKTLMFVQVNPDVSSYAETLSTLKFADRVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >ORUFI06G19410.2 pep chromosome:OR_W1943:6:18845225:18854427:1 gene:ORUFI06G19410 transcript:ORUFI06G19410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASDEGTGSAAAAAAAAGEGDVGRFLAAAERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKENDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELETRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQIWEQKEIRLNQFIGLQIQNIQDLRLSSVSIRHEILHCQKRWSEEICDLGQSLKVLTNAAENYHATLEENRKLFNEVQELKGNIRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVIQPNGLAVPDATMHPVNSSSDVIELMRTGLENRSVGATALNERSSRSHSVVTMHIQGVDLKTGVTLRGALHLVDLAGSERVDRSAATGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQNSLGGNAKTLMFVQVNPDVSSYAETLSTLKFADRVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >ORUFI06G19410.3 pep chromosome:OR_W1943:6:18845225:18854427:1 gene:ORUFI06G19410 transcript:ORUFI06G19410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASDEGTGSAAAAAAAAGEGDVGRFLAAAERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKENDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNIIPVVIVACMQQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELETRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQIWEQKEIRLNQFIGLQIQNIQDLRLSSVSIRHEILHCQKRWSEEICDLGQSLKVLTNAAENYHATLEENRKLFNEVQELKGNIRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVMYKVSVQMIEIYNEQIHDLLGNSGSEKKYPFGSQALNQPNGLAVPDATMHPVNSSSDVIELMRTGLENRSVGATALNERSSRSHSVVTMHIQGVDLKTGVTLRGALHLVDLAGSGNAKTLMFVQVNPDVSSYAETLSTLKFADRVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >ORUFI06G19410.4 pep chromosome:OR_W1943:6:18845225:18854427:1 gene:ORUFI06G19410 transcript:ORUFI06G19410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASDEGTGSAAAAAAAAGEGDVGRFLAAAERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKENDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELETRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQIWEQKEIRLNQFIGLQIQNIQDLRLSSVSIRHEILHCQKRWSEEICDLGQSLKVLTNAAENYHATLEENRKLFNEVQELKGNIRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVMYKVSVQMIEIYNEQIHDLLGNSGSEKKYPFGSQALNQPNGLAVPDATMHPVNSSSDVIELMRTGLENRSVGATALNERSSRSHSVVTMHIQGVDLKTGVTLRGALHLVDLAGSGNAKTLMFVQVNPDVSSYAETLSTLKFADRVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >ORUFI06G19410.5 pep chromosome:OR_W1943:6:18845225:18854427:1 gene:ORUFI06G19410 transcript:ORUFI06G19410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASDEGTGSAAAAAAAAGEGDVGRFLAAAERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKENDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNIIPVVIVACMQQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELETRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQIWEQKEIRLNQFIGLQIQNIQDLRLSSVSIRHEILHCQKRWSEEICDLGQSLKVLTNAAENYHATLEENRKLFNEVQELKGCKANSIAHLYADILNYQLLILYAYLSGNIRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVIQPNGLAVPDATMHPVNSSSDVIELMRTGLENRSVGATALNERSSRSHSVVTMHIQGVDLKTGVTLRGALHLVDLAGSERVDRSAATGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQNSLGGNAKTLMFVQVNPDVSSYAETLSTLKFADRVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >ORUFI06G19410.6 pep chromosome:OR_W1943:6:18845225:18854427:1 gene:ORUFI06G19410 transcript:ORUFI06G19410.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASDEGTGSAAAAAAAAGEGDVGRFLAAAERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKENDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELETRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQIWEQKEIRLNQFIGLQIQNIQDLRLSSVSIRHEILHCQKRWSEEICDLGQSLKVLTNAAENYHATLEENRKLFNEVQELKGCKANSIAHLYADILNYQLLILYAYLSGNIRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVMYKVSVQMIEIYNEQIHDLLGNSGSEKKYPFGSQALNQPNGLAVPDATMHPVNSSSDVIELMRTGLENRSVGATALNERSSRSHSVVTMHIQGVDLKTGVTLRGALHLVDLAGSGNAKTLMFVQVNPDVSSYAETLSTLKFADRVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >ORUFI06G19410.7 pep chromosome:OR_W1943:6:18845225:18854427:1 gene:ORUFI06G19410 transcript:ORUFI06G19410.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASDEGTGSAAAAAAAAGEGDVGRFLAAAERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKENDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNIIPVVIVACMQQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELETRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQIWEQKEIRLNQFIGLQIQNIQDKALRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVIQPNGLAVPDATMHPVNSSSDVIELMRTGLENRSVGATALNERSSRSHSVVTMHIQGVDLKTGVTLRGALHLVDLAGSERVDRSAATGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQNSLGGNAKTLMFVQVNPDVSSYAETLSTLKFADRVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >ORUFI06G19410.8 pep chromosome:OR_W1943:6:18845225:18854427:1 gene:ORUFI06G19410 transcript:ORUFI06G19410.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASDEGTGSAAAAAAAAGEGDVGRFLAAAERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKENDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELETRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQIWEQKEIRLNQFIGLQIQNIQDLRLSSVSIRHEILHCQKRWSEEICDLGQSLKVLTNAAENYHATLEENRKLFNEVQELKGNIRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVMYKVSVQMIEIYNEQIHDLLGNSGSEKKYPFGSQALNQPNGLAVPDATMHPVNSSSDVIELMRTGLENRSVGATALNERSSRSHSVVTMHIQGVDLKTGVTLRGALHLVDLAGSGNAKTLMFVQVNPDVSSYAETLSTLKFADRVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >ORUFI06G19420.1 pep chromosome:OR_W1943:6:18855089:18867837:-1 gene:ORUFI06G19420 transcript:ORUFI06G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAMEKVWESGRRMSRSIGRGMGMEAWGVDEAFMPQNSGGGGGSRGRRRSGRGGTADDDEEALRWAAIERLPTYSRMRTAILSSAEEEAAAAAALEERGREEEEERGKSGGSAQEFIERVFRVAEEDNQRFLQKLRNRIDRVGIELPTVEVRFEELMVQARCHVGSRALPTLLNTARNIAEAALGLVGVRPGRQATLTILRGVSGAVRPSRMTLLLGPPSSGKTTLLLALAGKLDPSLRRGGEVTYNGFELEEFVAQKTAAYISQTDVHVGEMTVKETLDFSARCQGVGTKYDLLTELARREKEAGIRPEPEVDLFMKATSMEGVESSLQTDYTLRILGLDICADTIVGDQMQRGISGGQKKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFELFDDIILLSEGQIVYQGPREYVLEFFESCGFRCPERKGTADFLQEVTSKKDQEQYWADKHRPYRYISVSEFAQRFKRFHVGLQLENHLSVPFDKTRSHQAALVFSKQSVSTTELLKASFAKEWLLIKRNSFVYIFKTIQLIIVALVASTVFLRTQMHTRNLDDGFVYIGALLFSLIVNMFNGFAELSLTITRLPVFFKHRDLLFYPAWIFTLPNVILRIPFSIIESIVWVIVTYYTIGFAPEADRFFKQLLLVFLIQQMAGGLFRATAGLCRSMIIAQTGGALALLIFFVLGGFLLPKAFIPKWWIWGYWVSPLMYGYNALAVNEFYSPRWMNKFVLDNNGVPKRLGIALMEGANIFTDKNWFWIGAAGLLGFTMFFNVLFTLSLVYLNPLGKPQAVISEETAKEAEGNGDARHTVRNGSTKSNGGNHKEMREMRLSARLSNSSSNGVSRLMSIGSNEAGPRRGMVLPFTPLSMSFDDVNYYVDMPAEMKQQGVVDDRLQLLRDVTGSFRPAVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDMRISGYPKNQETFARISGYCEQNDIHSPQVTVRESLIYSAFLRLPEKIGDQEITDDIKIQFVDEVMELVELDNLKDALVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGQLGRNSQKMIEYFEAIPGVPKIKDKYNPATWMLEVSSVAAEVRLNMDFAEYYKTSDLYKQNKVLVNQLSQPEPGTSDLHFPTKYSQSTIGQFRACLWKQWLTYWRSPDYNLVRFSFTLFTALLLGTIFWKIGTKMGNANSLRMVIGAMYTAVMFIGINNCATVQPIVSIERTVFYRERAAGMYSAMPYAIAQVVMEIPYVFVQTAYYTLIVYAMMSFQWTAAKFFWFFFVSYFSFLYFTYYGMMTVAISPNHEVAAIFAAAFYSLFNLFSGFFIPRPRIPKWWIWYYWLCPLAWTVYGLIVTQYGDLEQIISVPGQSNQTISYYVTHHFGYHRKFMPVVAPVLVLFAVFFAFMYAICIKKLNFQHR >ORUFI06G19430.1 pep chromosome:OR_W1943:6:18897449:18902830:1 gene:ORUFI06G19430 transcript:ORUFI06G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESKAAAAVTLRTRKFMTNRLLSRKQFVLEVLHPGRANVSKFVLEVLHPGRANVSKADLKEKLAKLYEVKDSNCIFVFKFRTHFGGGKSTGFGLIYDNLDAAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKK >ORUFI06G19440.1 pep chromosome:OR_W1943:6:18903499:18907049:-1 gene:ORUFI06G19440 transcript:ORUFI06G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSESADAAAAGPSTLSIYKAARRIKRRESTLYNALRSVADDAAFVAEIAALWPALPLVANLRCGLWYLPPRAVAATCYFKSTDGHAGNWAFSTARLNLHLALLAGERGGCIIVDSTRRGKRFPDSMSKTIPIWCCVLNRAIERYRLQTNNKSAAVANKDAEKISESSNWDNSVHLPVWVLETEKNAIEGRIEEWTTQFESCGADIRSLALSLKKPLRPLWISQRTRIWLNEVPELESWDFTPIILVSASASGAVATQRMTSEFSWHYIPGAGDDEESWARGLTPTLFWKHSYDLLDGGPDLCNQLVADIVEKDRVYRAQRGEYSPQITAKPLKCSSHDGPFSNGDHTSIVQPMDSDPPTVTAMDKQNSSDGHILFWIGTSNLAVASTLQVGDSLAEVDCILNCDSTSNLPLTSSENSYLELPMVGSKDDRFSLMKNLPKAVSFANRNLIAGKKLLICCQNGEDISICVALAIITRLFDCDGFFDHGNSFLKGDVTKLEMRKRLVFVCKYAVNARPSRGNLKQVYGFLCSEKEQFSCLT >ORUFI06G19450.1 pep chromosome:OR_W1943:6:18920475:18927814:1 gene:ORUFI06G19450 transcript:ORUFI06G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEMAVRNGDGGGGGGYYATHPHGGAGGEDVDDDGKQRRTGNVWTASAHIITAVIGSGVLSLAWATAQLGWVVGPVTLMLFALITYYTSGLLADCYRTGDPRCHTSLVAVLKYAGGWQVWSCGVFQYVNLVGTAIGYTITASISAAAVHKANCYHKNGHDADCGVYDTTYMIVFGVVQIFFSMLPNFSDLSWLSILAAVMSFSYSTIAVGLSLARTISGATGKTTLTGVEVGVDVTSAQKIWLAFQALGDIAFAYSYSMILIEIQDTVKSPPAENKTMKKATLLGVSTTTAFYMLCGCLGYAAFGNAAPGNMLTGFGFYEPYWLIDFANVCIVVHLVGAYQVFCQPIFAAVETFAARRWPGSEFITRERPVVAGRSFSVNMFRLTWRTAFVVVSTVLAIVMPFFNDILGFLGAVGFWPLTVYYPVEMYIRQRRIQRYTSRWVALQTLSLLCFLVSLASAVASIEGVSESLKHYVPFKTKS >ORUFI06G19460.1 pep chromosome:OR_W1943:6:18942697:18948135:1 gene:ORUFI06G19460 transcript:ORUFI06G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTNGNGGGAASAMDVYLPRTQGDVDDDGKERRTGTVWTATAHIITAVIGSGVLSLAWAMAQLGWVAGPITLLLFAAITFYTCGLLSDCYRVGDPATGKRNYTYTDAVKSYLGGWHVWFCGFCQYVNMFGTGIGYTITASISAAAINKSNCYHWRGHGTDCSQNTSAYIIGFGVLQALFCQLPNFHQLWWLSIIAAVMSFSYAAIAVGLSLAQTIMDPLGRTTLTGTVVGVDVDATQKVWLTFQALGNVAFAYSYAIILIEIQDTLRSPPPENATMRRATAAGISTTTGFYLLCGCLGYSAFGNAAPGNILTGFGFYEPYWLVDVANACIVVHLVGGFQVFCQPLFAAVEGGVARRCPGLLGGGAGRASGVNVFRLVWRTAFVAVITLLAILMPFFNSILGILGSIAFWPLTVFFPVEMYIRQRQLPRFSAKWVALQSLSLVCFLVTVAACAASIQGVLDSLKTYVPFKTRS >ORUFI06G19470.1 pep chromosome:OR_W1943:6:18949593:18952416:-1 gene:ORUFI06G19470 transcript:ORUFI06G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRKKCKAAAVATTDGGGEDRISALPDEVLQRALSFLPSRDVVRTCVLSRRWRHQWKSVPALRIYAFDDCRDVQHLSDFVTNFLLRRNRLALHECDITCFDEGDGCEVFGENARQWIRYAVSCQVRVLRVSVEAHTRLFGAPLKAQRLKRLELFSVELGAFSLDFSSCRELEELELGGCIIKDKVKQILSESLRRLRIEGCDFFRNRTRISCPNLISLEITDFTLYTPVLMSMPSLASAFIRFGEHCADSCDCYYYGEFGPDYTGCHHSTVKGNGTVLLNGLSDAIQLELISGAGVFIFRRDFRCCPTFNKLKTLLLNEWCMAADSSALIYFLQHSPVLEKLTLQLRKSPRTMVKRGSTNKNQNEKFLVSKHLKLVEIKYCEDEMVQQVLHVLSACGIPSEKIIIQRISSWASGGAQDLRRL >ORUFI06G19480.1 pep chromosome:OR_W1943:6:18953747:18954005:1 gene:ORUFI06G19480 transcript:ORUFI06G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQTTAEVEKRERASRRRPWRECAERMAVRGREKGSTGKARRSPAAGPEVEHLARSNISAPELAIAPATTSPPFPPLPSR >ORUFI06G19490.1 pep chromosome:OR_W1943:6:18957199:18958303:1 gene:ORUFI06G19490 transcript:ORUFI06G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIIYMFLLSSASNVPSNLSLSEAQDGAAAARVEVN >ORUFI06G19500.1 pep chromosome:OR_W1943:6:18965170:18966138:1 gene:ORUFI06G19500 transcript:ORUFI06G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAVLPQPNPSPHSLPSLAFLFSAEIASVPRGKRRFAIGKGEGCAAVELVEASHADVVEFIISRSTSTARSQDLARHRGIMGAAFDSDKDDRKAERNGQPWACSTTRRTGQAMGALQIRRRCLG >ORUFI06G19510.1 pep chromosome:OR_W1943:6:18988674:18993151:1 gene:ORUFI06G19510 transcript:ORUFI06G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGPTPKTVDLTSPLLFHTYISPSHPCTPLITPHPQPQLAAREETGSATKRIMSNTYLPLLPALVAGVLLLAAGCAAAAGDRDTLVAIRKGWGNPRHLASWDPASAAAADHCSWEGVTCSNATTGGGGGAGVVTELSLHDMNLTGTVPTAVCDLASLTRLDLSNNQLTGAFPAAALSRCARLRFLDLANNALDGALPQHVGRLSPAMEHLNLSSNRLSGAVPPEVAALPALRSLLLDTNRFTGAYPAAEIANLTALERLTLADNGFAPAPVPPAFAKLTKLTYLWMSKMNITGEIPEAFSSLTELTLLDMSGNKLTGAIPAWVFRHQKLERLYLYENSLSGELPRNVTTANLVEIDLSSNQLGGEISEDFGNLKNLSLLFLYFNKVTGAIPASIGRLPNLTDLRLFGNELSGELPPELGKNSPLANFEVSNNNLSGALPETLCANGKLFDIVVFNNSFSGELPANLGDCVLLNNLMLYNNRFTGDFPEKIWSFQKLTTVMIQNNGFTGALPAEISTNISRIEMGNNMFSGSIPTSATKLTVFRAENNLLAGELPADMSNLTDLTDFSVPGNRISGSIPASIRLLVKLNSLNLSSNRISGVIPPASFGTLPALTILDLSGNELTGDIPADLGYLNFNSLNVSSNRLTGEVPLTLQGAAYDRSFLGNSLCARPGSGTNLPTCPGGGGGGGGHDELSKGLIVLFSMLAGIVLVGSAGIAWLLLRRRKDSQDVTDWKMTQFTPLDFAESDVLGNIREENVIGSGGSGKVYRIHLTSRGGGATATAGRMVAVKKIWNARKLDAKLDKEFEAEVTVLGNIRHNNIVKLLCCISSQDAKLLVYEYMENGSLDRWLHHRDRDGAPAPLDWPTRLAIAVDAARGLSYMHHDCAQAIVHRDVKSSNILLDPEFQAKIADFGLARMLVKSGEPESVSAIGGTFGYMAPEYGYSKRVNEKVDVYSFGVVLLELTTGKVANDAAADFCLAEWAWRRYQKGPPFDDVIDADIREQASLPDIMSVFTLGVICTGENPPARPSMKEVLHHLIRCDRMSAQGPEACQLDYVDGAAPLLEAKKGSRRRSSESGRWDDDDDDDSGNFVVHVV >ORUFI06G19510.2 pep chromosome:OR_W1943:6:18988674:18992512:1 gene:ORUFI06G19510 transcript:ORUFI06G19510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGPTPKTVDLTSPLLFHTYISPSHPCTPLITPHPQPQLAAREETGSATKRIMSNTYLPLLPALVAGVLLLAAGCAAAAGDRDTLVAIRKGWGNPRHLASWDPASAAAADHCSWEGVTCSNATTGGGGGAGVVTELSLHDMNLTGTVPTAVCDLASLTRLDLSNNQLTGAFPAAALSRCARLRFLDLANNALDGALPQHVGRLSPAMEHLNLSSNRLSGAVPPEVAALPALRSLLLDTNRFTGAYPAAEIANLTALERLTLADNGFAPAPVPPAFAKLTKLTYLWMSKMNITGEIPEAFSSLTELTLLDMSGNKLTGAIPAWVFRHQKLERLYLYENSLSGELPRNVTTANLVEIDLSSNQLGGEISEDFGNLKNLSLLFLYFNKVTGAIPASIGRLPNLTDLRLFGNELSGELPPELGKNSPLANFEVSNNNLSGALPETLCANGKLFDIVVFNNSFSGELPANLGDCVLLNNLMLYNNRFTGDFPEKIWSFQKLTTVMIQNNGFTGALPAEISTNISRIEMGNNMFSGSIPTSATKLTVFRAENNLLAGELPADMSNLTDLTDFSVPGNRISGSIPASIRLLVKLNSLNLSSNRISGVIPPASFGTLPALTILDLSGNELTGDIPADLGYLNFNSLNVSSNRLTGEVPLTLQGAAYDRSFLGNSLCARPGSGTNLPTCPGGGGGGGGHDELSKGLIVLFSMLAGIVLVGSAGIAWLLLRRRKDSQDVTDWKMTQFTPLDFAESDVLGNIREENVIGSGGSGKVYRIHLTSRGGGATATAGRMVAVKKIWNARKLDAKLDKEFEAEVTVLGNIRHNNIVKLLCCISSQDAKLLVYEYMENGSLDRWLHHRDRDGAPAPLDWPTRLAIAVDAARGLSYMHHDCAQAIVHRDVKSSNILLDPEFQAKIADFGLARMLVKSGEPESVSAIGGTFGYMAPEYGYSKRVNEKVDVYSFGVVLLELTTGKVANDAAADFCLAEWAWRRYQKGPPFDDVIDADIREQASLPDIMSVFTLGVICTGENPPARPSMKEVLHHLIRCDRMSAQGPEACQLDYVDGAAPLLEAKKGSRRRSSESGRWDDDDDDDSGNFVVHVV >ORUFI06G19520.1 pep chromosome:OR_W1943:6:19000129:19007047:-1 gene:ORUFI06G19520 transcript:ORUFI06G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVAEDQAGGSGSGHRRLIGSRIEEHRKYMSEESCCPRCGHKIDRKLDWVGLPAGVKFDPTDQELIEHLEAKVRPGGEAAAHPLIDEFIPTIEGEDGICYTHPEKLPGVSKDGLSRHFFHRPSKAYTTGTRKRRKIQPPAAAASSGGAGGNASSSSSASAAAAVARHGHQQQQQQQRSETRWHKTGKTRAVVGGGRQRGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGEAEEERDGELVVSKIFYQTQPRQCAAADAAATASASAVDRRTTSLRDRAAAAAAAAAAAAPMASANVSVAAFHGGAAGIDEFSFAQFRSSFEEAGMGASSSDHQSAMVDQRRRQQQHDDDEHDHRRGGGGHHYVGQQQSVAATFHVVSSPADPIARLMSPPPAHQGTVMLRQPEPPYIYHHQEDERPHQPRKFDGRSTSGSGLEEVIMGCTSRRSKGGETSGGKDGTEWQYPSFWPSDSQDHHGWKFHLSAQIYMSKETKMILKQSKRERQKKKKERKNKGDITRKI >ORUFI06G19530.1 pep chromosome:OR_W1943:6:19017373:19021318:-1 gene:ORUFI06G19530 transcript:ORUFI06G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVSGGGAGAAGAGAGAEGEGAYTVVLNVYDLTPLNNYLHWCGLGIFHSAVEVHGSEYSFGAHDHPTSGVFEVEPKCCPGFMYRCSIFIGRTSLNPLEFRDFIQRMASEYHGDTYHLISKNCNHFTDDLSTRLTGKPIPGWVNRLAKLGAFCNCLLPESMRLESTGTKHLADCHFSDDSRTSSNEHFEDEDLEDKHLLSQSSVSEDAIVKEVHR >ORUFI06G19540.1 pep chromosome:OR_W1943:6:19021925:19027963:-1 gene:ORUFI06G19540 transcript:ORUFI06G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRERGVFNASEMVVEIQSVEAMGNKDLFIMSDQSFTVLGAGVRAGAESLITAMVSPFLAMVVKPPRRLVFYKRRTVAWAHPEPESELRILACVLVPRDVPALLTLLDVVTPSSRSPVGVHALHLIEFVGRSSSSTHPCRRRPPMTYDASVHGLSHMKMQFKHISHAFVAYEEQAVGVSMRTMAAVLRPCSSSPRRLRPAPPHAARARRRQPVQLLPTAARGDWRREERGREVERGEKEEADAWGPRGFHAESAAT >ORUFI06G19550.1 pep chromosome:OR_W1943:6:19033577:19036863:1 gene:ORUFI06G19550 transcript:ORUFI06G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVGATLYLYLFLFLLLLHLHCHQCKPAAAASFVVHGGGGATARAAAVRAVFVFGSSLVDNGNNNHLNGSGAVRADYAPYGVDFPLGATGRFSNGRNVIDALGELLRLPAAGLLPPFADPATRGRAALHGGEVLSLKQQITNFEAVTLPDLRAHLQGATTATTTTGHKMKGQDFFDQCYLPKSLFIIGTGGNDYLLNYFNAGSGPTRAPLSEFTSSLLTKLSNHLQRLYDLGARKFVLFSIQPLGCTPLVRTFLNATSDACIEPMNHAALLFNSGLRSIVKNHNGGVRSHMPGASFVYVNSYKIISDIIQHPAKYGIRKTSRACCEVSRGGVLCQKGGAICSDRTKYAFFDGLHPTDVVNARLARKAYGSNSPDKVYPINVKKLAML >ORUFI06G19560.1 pep chromosome:OR_W1943:6:19053287:19058509:1 gene:ORUFI06G19560 transcript:ORUFI06G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITIEQPHLDAIADRKVAGGGGGDNAAELVLDGGFVVPDSNAFGNAFRNYEAESERKETVEEFYRVNHINQTYDFVRRMREEYGRVDKTEMGIWECIELLNEFIDDSDPDLDMPQIEHLLQTAEAIRKDFPNEDWLHLTGLIHDLGKVLLHPSFGELPQWSVVGDTFPVGCAFDECNVHFKYFKENPDYLNPKLNTKFGAYSEGCGLDNVLMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYPLHKHGAYMHLMNDEDKENLKWLRVFNKYDLYSKSNERIDVEKVKPYYMSLIEKYFPAKLRCRRQMGRGGHRGQPGSPRLGREGTGMAPAGWGGRRRGTPPPPPPADVPAPDDDDPAPENMAAAAEPRKKLSCMAPAAAGGGPAPALAAAAGTDGPPNSTPPVATPFPRPPFLCLVGGGRRGSPRTAPPPAVRPRDEFGFFFVFVNPAPEYKRAKWAFFWSK >ORUFI06G19570.1 pep chromosome:OR_W1943:6:19058470:19059269:-1 gene:ORUFI06G19570 transcript:ORUFI06G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTREEKGVSGRRWATRRLADARELVLSTRRADTRELVLSLLENATGLATLFDAAKQRPYETGPVGKFVNRVEVKAALGARGDMEWEECSDAVGAAMHGDVMKSVKPKVEALLRGTRVLLYQGIRDLRDGVVSTEAWMRELKWDGLAVFLDADCAVWRIGEELAGYVQRSGPLSHVVVYGAGHLLPADNGHAAQEMVKDWVLQAGLFGGGGGGGGAQPVASSLAVSNSNLI >ORUFI06G19580.1 pep chromosome:OR_W1943:6:19068094:19075837:1 gene:ORUFI06G19580 transcript:ORUFI06G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRRAGPLRLLRLLLVLVVAFAAVVAFCPAAAAAAGEGVGVVEEEEVRVGXGYSHSAPQGRRRRDLGLRGRGGGGFWDYLGLPFCAYLFRRLWFFAEKDPGSFNNTVAEKQGVLETVARRVTKKNETKDNKSFPFKEVFLDRPEQEDVPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATFGGIAFACLGQPVITGYLLAGSIIGPGGFSFVSEMVQVETVAQFGVIFLLFALGLEFSTAKLRVVRAVAVVGGLLQIILFMLLCGISATLCGGKTKEGVFVGVLLSMSSTAVVLKFLMERNSINALHGQVTVGTLILQDCAVGLLFALLPILSGASGLLQGVASMAKSLVVLITFLTILSILSRTGVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLINVHFLWNHVDILLAAVILVITVKTFIVAVVVKGFGYSNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVDSNQVELGLKSDGLRIDSGKRINLIVQGSHDS >ORUFI06G19590.1 pep chromosome:OR_W1943:6:19103752:19109710:1 gene:ORUFI06G19590 transcript:ORUFI06G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSGENGGAGGGDLSEPLLGKEAPRRYSELYGAGVLRRLSFSWLNPLLRLGRSKALDLADVPLIASEDGAARASERFAEAWSLHGHGKDGGGGGRLVGMLLRCFLGEIMLTGFYALVKTLAIAVSPLLLFAFVRYSSHKAEEEERRDLAAAGASAAVALVGSLLAIKLAESLSQRHWFFDSRRTGMRVRSALMAAVFRKQLRLSARARRRHSAGEVVGYVAVDAYRLGDAVSWLHTSWSSALQLALAVATLLWALRLGALPGLVPLVAFGFLNVPFARALQGYQSRFMAAQDGRLRSTSEALAGMRAIKLQSWEGAFRRAVESRLGGEFAWLREAQLKKAYGAVLYWAAPTVVSAVMFAATAAAGSAPLDAGTVFTALAALRAMSEPVRMLPEAMTMMIQYKVSLERIGRFLAEEEIKQDDVTRAATTTTTTKNSDAGIIHVQDGSFSWSGSEAELTLKNAHLSIRRGEKVAVCGPVGSGKSSLLCALLGEIPRTSGMSGTVRDNILFGKPFENFDHGDLTEIGQRGINMSGGQKQRIQLARAVYSDADVYLLDDPFSAVDAHTAAVLFYVRALSEKTVVLVTHQVEFLTETDRILVMEDGYVKQQGVYAELMESGTAFEKLVSAHKSSITALDDSSQQSQVQEQNVTDENTSGQPSAKYISDIDSISAKGQPSATQLTEEEEKEIGDLGWKPYKDYINVSKGITHLCVMGVTQVLFTSFQMMATFWLAVAVQMNVSSALLVGAYSGLSILSCCFAYIRTLYAAKLGLKASKAFFTGLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSVAYVVVGATRDLARINGTTKAPVMNYAAESILGVVTIRSFGETDRFIRNNLLLIDTDATLFFHTVAAQEWVLIRVEALQSLTLLTAALLLVLAPPGAVSPGFAGLSLSFALSLTAVQVFLTKFYSYMENYIISVERIKQYMHLPPEPPAIIPENRAPSSWPQEGQIDLQDLKVRYRPNMPLVLKGITCTFPAGNKIGVVGRTGSGKSTLISSLFRLVDPVGGRILIDNLDICSIGLKDLRTKLSIIPQEPTLFRGTVRNNLDPLGLHSDEEIWEALEKCQLQTAIRSTPALLDTVVSDDGSNWSVGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAIIQRVIRQQFSSCTVVTIAHRVPTVTDSDKVMVLSYGKLIEYDTPAKLLEDKQTAFAKLVAEYWANSKRNAT >ORUFI06G19600.1 pep chromosome:OR_W1943:6:19105858:19112336:-1 gene:ORUFI06G19600 transcript:ORUFI06G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPHDTGQFVPPVAMNLEPYSLAKQQLKMDSPEYAPTRRALLTFIWTATASQNVAIIWKDGVHPWMQVKEHLLHRTEPQRSPWSKFSKGFPKRMLSRTVPLYP >ORUFI06G19610.1 pep chromosome:OR_W1943:6:19122126:19122749:1 gene:ORUFI06G19610 transcript:ORUFI06G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHVERALREGLTEEERAALEPAVMAHHTFPPSTTTATTAAATCTSLVTQRVAAPVRAVWPIVRSFGNPQRYKHFVRTCALAAGDGASVGSVREVTVVSGLPASTSTERLEMLDDDRHIISFRVVGGQHRLRNYRSVTSVTEFQPPAAGPGPAPPYCVVVESYVVDVPDGNTAEDTRMFTDTVVKLNLQMLAAVAEDSSSASRRRD >ORUFI06G19620.1 pep chromosome:OR_W1943:6:19129490:19131996:-1 gene:ORUFI06G19620 transcript:ORUFI06G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSNPSYHQLGLDAISCCFVAGGGGAEAAAPFFGFGFGDVDGEFLVASPVAAVGDELACAVPLRRPQGSVSEEEVNAAAVAAAAAGGAESCSTVHSVLGSVEFGCGTSSGVTIAQASRMGRLAGEAPCGDAGGGGWIYGGSGIAPLHGAYYLSGFSSGAGAGFLSPFAASSVAAAAPAASELSLRLGATKCSSPSSMANASSEVSCSGLTHVSSGGGLGYHQAAAAGAGAALFHPTHGDDAAAAAAGELRQAYHSRAPPHFSQVVSRSAVLAHVAQELLNGFVACLLQDVAADAASGVDGGEASQALSSGFSARITTAPTEDASPGSGGARWAAEAQRLRKLLQLVDEKCNQCVEEMQSTAARFNSMVRSTGGGGGGLTAAFAGRAVAAAYRRVRRRVMGQLVAAATARSSSSAAAAALEEKERSWESSFIQKHWAMQQLRRGDQQSWRPQRGLPEKSVAVLKAWMFENFLRPYPKDSEKDMLAARSGLSRSQVSNWFINARVRLWKPMIEDMYEELKKTSGGSDGAAEIEHLSSKDVLSLES >ORUFI06G19630.1 pep chromosome:OR_W1943:6:19164031:19167937:-1 gene:ORUFI06G19630 transcript:ORUFI06G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEFGRPFIILREQEKKSRLRGLDAQKANIAAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSCSQDYEIGDGTTGVVVMAGSLLEQAEKLLERGIHPIRIAEGYELASRIAFDHLEHISHKFEFSATNIEPLVQTCMTTLSSKIVNRCKRTLAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELVYGIIVDKDMSHPQMPKRIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQMLREQEQKYFDEMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELSPEKLGKAGIVREKSFGTTKDRMLYIEQCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSVAVEAAADRYPGVEQYAIRSFADALDAIPLALAENSGLSPIDTLTAVKSQQVKESNPHCGIDCNDVGTNHMKEQNVFETLIGKQQQILLATQVVKMILKIDDVISPSDY >ORUFI06G19640.1 pep chromosome:OR_W1943:6:19172596:19182563:-1 gene:ORUFI06G19640 transcript:ORUFI06G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPKGSNPYGQQQSYGGQQSYGQIPGSSGFSSSAATGGADGSRFGARVGQGAAGQYGGPYASVYGTQQVGGLGGKGPASSSIPNLPEPSKFSSGSVGSSIARPNDDYMAVRGYGQKLDQYGSDYTLERRMYGDHSANLGRRDGLTDLDRRYPEHVSGGHQIHDRMEQGSSMRHPQLLKPQLQSGSDIRQADYFAGRSAPIHQGSQDIGAYGRVEADHRNLSILGTAPYGGQQSASLLGGAPRTNIDSLSYGQGSSSSGYGMGLPPGRDYASGKGLLHPSSDSDYRDSILSRVHPGISMVDERAVDRVGYRRELDLRDEERRRDLLLEREKERDRERERELRDLRDRERERERERDRERLRERERERELERERERLRERRMKERERDRKHPADSRREHTPPRTPGDRRRSSSVRAEKPLRRPSPRRDAVHRHRSPVKEIKREYICKVLPFRLVDNERDYLSLTKRYPRLSIAPDFSKIVFNWTKESLNLSLHTPVSLEHGIHEVDDSTDEGSVITSGKTSSTKISEIIWNAKVLLMSGMSNSAFADITSLRSTDERVVHLNNILKFAVFKRDRSLFAIGGPWNAAIDGGDPVVDPSCLIQTAIRHVKELVQVDLSNCTQWNRFLEIHYNRVGKDGLFSHKEITVVFVPNLSECLPSVDLWKKNWTAYRKSRTEKEQLIMKKEKSPTDASKQKQGELGQGKSTDVDQKEDAGHNAAENMKVDNDMDLLGKDGKEKPAEHDGQNLGKVEEKNIDKVEEHIEKKGGGVEGNTSGEASVDHATEDKKPTKKKVIKKVVKVVRKKPTGETSAGKSSQEDKNIVPETASVAVEEQVQQKSEDAGKEAEGKKPGKKKVIRRIIKKKPSGSARDSTAPAETSKQAVEVQPEKNNEVLSGAVISEAKLEEASKAPAEDVSKQNKEQEQEEKGQSLPVDQKSNGDKIKQQEVLKQKDIKQDGKNDKAKDDKEKKSRDQKTDSKQKSLTDTKEKKKSDEPPKHPGFILQAKRSKDSKVRSTSLSLDGLLDYTANDLEESVFELSLFAESFSEMLQHKMGCVILSFLEKLYKRYVIKRNQRKRQREEDLKKEDKKTSEKRPKTNDETLSESGPSNQGESVEMIKAGKGTAHSAGQPNKDDETKMNAGHSAAAQDELVKEGKEKMSADHSTAPPKELIKEGEENMNTDNSAAVHVEPVADEKMEDEEPDYEEDPEEVELYEDDEEMDEAAAEELVEQNEDNLNDKAKQEVTTEEDGNKNTEERESENNANMLEKAASGEDKQSVVEKSASVEGKQSVSEKGDKAVGKEVKTTRSQKGDSTKDEVVDKELLQAFRYFDQNRAGYLKVDDLRCILHNLGKFLSNRDVKDLVQIALVESNSARDNRIIYTKLAKKVDL >ORUFI06G19640.2 pep chromosome:OR_W1943:6:19172596:19182563:-1 gene:ORUFI06G19640 transcript:ORUFI06G19640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPKGSNPYGQQQSYGGQQSYGQIPGSSGFSSSAATGGADGSRFGARVGQGAAGQYGGPYASVYGTQQVGGLGGKGPASSSIPNLPEPSKFSSGSVGSSIARPNDDYMAVRGYGQKLDQYGSDYTLERRMYGDHSANLGRRDGLTDLDRRYPEHVSGGHQIHDRMEQGSSMRHPQLLKPQLQSGSDIRQADYFAGRSAPIHQGSQDIGAYGRVEADHRNLSILGTAPYGGQQSASLLGGAPRTNIDSLSYGQGSSSSGYGMGLPPGRDYASGKGLLHPSSDSDYRDSILSRVHPGISMVDERAVDRVGYRRELDLRDEERRRDLLLEREKERDRERERELRDLRDRERERERERDRERLRERERERELERERERLRERRMKERERDRKHPADSRREHTPPRTPGDRRRSSSVRAEKPLRRPSPRRDAVHRWTSMHRSPVKEIKREYICKVLPFRLVDNERDYLSLTKRYPRLSIAPDFSKIVFNWTKESLNLSLHTPVSLEHGIHEVDDSTDEGSVITSGKTSSTKISEIIWNAKVLLMSGMSNSAFADITSLRSTDERVVHLNNILKFAVFKRDRSLFAIGGPWNAAIDGGDPVVDPSCLIQTAIRHVKELVQVDLSNCTQWNRFLEIHYNRVGKDGLFSHKEITVVFVPNLSECLPSVDLWKKNWTAYRKSRTEKEQLIMKKEKSPTDASKQKQGELGQGKSTDVDQKEDAGHNAAENMKVDNDMDLLGKDGKEKPAEHDGQNLGKVEEKNIDKVEEHIEKKGGGVEGNTSGEASVDHATEDKKPTKKKVIKKVVKVVRKKPTGETSAGKSSQEDKNIVPETASVAVEEQVQQKSEDAGKEAEGKKPGKKKVIRRIIKKKPSGSARDSTAPAETSKQAVEVQPEKNNEVLSGAVISEAKLEEASKAPAEDVSKQNKEQEQEEKGQSLPVDQKSNGDKIKQQEVLKQKDIKQDGKNDKAKDDKEKKSRDQKTDSKQKSLTDTKEKKKSDEPPKHPGFILQAKRSKDSKVRSTSLSLDGLLDYTANDLEESVFELSLFAESFSEMLQHKMGCVILSFLEKLYKRYVIKRNQRKRQREEDLKKEDKKTSEKRPKTNDETLSESGPSNQGESVEMIKAGKGTAHSAGQPNKDDETKMNAGHSAAAQDELVKEGKEKMSADHSTAPPKELIKEGEENMNTDNSAAVHVEPVADEKMEDEEPDYEEDPEEVELYEDDEEMDEAAAEELVEQNEDNLNDKAKQEVTTEEDGNKNTEERESENNANMLEKAASGEDKQSVVEKSASVEGKQSVSEKGDKAVGKEVKTTRSQKGDSTKDEVVDKELLQAFRYFDQNRAGYLKVDDLRCILHNLGKFLSNRDVKDLVQIALVESNSARDNRIIYTKLAKKVDL >ORUFI06G19640.3 pep chromosome:OR_W1943:6:19172596:19182563:-1 gene:ORUFI06G19640 transcript:ORUFI06G19640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPKGSNPYGQQQSYGGQQSYGQIPGSSGFSSSAATGGADGSRFGARVGQGAAGQYGGPYASVYGTQQVGGLGGKGPASSSIPNLPEPSKFSSGSVGSSIARPNDDYMAVRGYGQKLDQYGSDYTLERRMYGDHSANLGRRDGLTDLDRRYPEHVSGGHQGSSMRHPQLLKPQLQSGSDIRQADYFAGRSAPIHQGSQDIGAYGRVEADHRNLSILGTAPYGGQQSASLLGGAPRTNIDSLSYGQGSSSSGYGMGLPPGRDYASGKGLLHPSSDSDYRDSILSRVHPGISMVDERAVDRVGYRRELDLRDEERRRDLLLEREKERDRERERELRDLRDRERERERERDRERLRERERERELERERERLRERRMKERERDRKHPADSRREHTPPRTPGDRRRSSSVRAEKPLRRPSPRRDAVHRHRSPVKEIKREYICKVLPFRLVDNERDYLSLTKRYPRLSIAPDFSKIVFNWTKESLNLSLHTPVSLEHGIHEVDDSTDEGSVITSGKTSSTKISEIIWNAKVLLMSGMSNSAFADITSLRSTDERVVHLNNILKFAVFKRDRSLFAIGGPWNAAIDGGDPVVDPSCLIQTAIRHVKELVQVDLSNCTQWNRFLEIHYNRVGKDGLFSHKEITVVFVPNLSECLPSVDLWKKNWTAYRKSRTEKEQLIMKKEKSPTDASKQKQGELGQGKSTDVDQKEDAGHNAAENMKVDNDMDLLGKDGKEKPAEHDGQNLGKVEEKNIDKVEEHIEKKGGGVEGNTSGEASVDHATEDKKPTKKKVIKKVVKVVRKKPTGETSAGKSSQEDKNIVPETASVAVEEQVQQKSEDAGKEAEGKKPGKKKVIRRIIKKKPSGSARDSTAPAETSKQAVEVQPEKNNEVLSGAVISEAKLEEASKAPAEDVSKQNKEQEQEEKGQSLPVDQKSNGDKIKQQEVLKQKDIKQDGKNDKAKDDKEKKSRDQKTDSKQKSLTDTKEKKKSDEPPKHPGFILQAKRSKDSKVRSTSLSLDGLLDYTANDLEESVFELSLFAESFSEMLQHKMGCVILSFLEKLYKRYVIKRNQRKRQREEDLKKEDKKTSEKRPKTNDETLSESGPSNQGESVEMIKAGKGTAHSAGQPNKDDETKMNAGHSAAAQDELVKEGKEKMSADHSTAPPKELIKEGEENMNTDNSAAVHVEPVADEKMEDEEPDYEEDPEEVELYEDDEEMDEAAAEELVEQNEDNLNDKAKQEVTTEEDGNKNTEERESENNANMLEKAASGEDKQSVVEKSASVEGKQSVSEKGDKAVGKEVKTTRSQKGDSTKDEVVDKELLQAFRYFDQNRAGYLKVDDLRCILHNLGKFLSNRDVKDLVQIALVESNSARDNRIIYTKLAKKVDL >ORUFI06G19640.4 pep chromosome:OR_W1943:6:19172596:19182563:-1 gene:ORUFI06G19640 transcript:ORUFI06G19640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPKGSNPYGQQQSYGGQQSYGQIPGSSGFSSSAATGGADGSRFGARVGQGAAGQYGGPYASVYGTQQVGGLGGKGPASSSIPNLPEPSKFSSGSVGSSIARPNDDYMAVRGYGQKLDQYGSDYTLERRMYGDHSANLGRRDGLTDLDRRYPEHVSGGHQIHDRMEQGSSMRHPQLLKPQLQSGSDISLEHGIHEVDDSTDEGSVITSGKTSSTKISEIIWNAKVLLMSGMSNSAFADITSLRSTDERVVHLNNILKFAVFKRDRSLFAIGGPWNAAIDGGDPVVDPSCLIQTAIRHVKELVQVDLSNCTQWNRFLEIHYNRVGKDGLFSHKEITVVFVPNLSECLPSVDLWKKNWTAYRKSRTEKEQLIMKKEKSPTDASKQKQGELGQGKSTDVDQKEDAGHNAAENMKVDNDMDLLGKDGKEKPAEHDGQNLGKVEEKNIDKVEEHIEKKGGGVEGNTSGEASVDHATEDKKPTKKKVIKKVVKVVRKKPTGETSAGKSSQEDKNIVPETASVAVEEQVQQKSEDAGKEAEGKKPGKKKVIRRIIKKKPSGSARDSTAPAETSKQAVEVQPEKNNEVLSGAVISEAKLEEASKAPAEDVSKQNKEQEQEEKGQSLPVDQKSNGDKIKQQEVLKQKDIKQDGKNDKAKDDKEKKSRDQKTDSKQKSLTDTKEKKKSDEPPKHPGFILQAKRSKDSKVRSTSLSLDGLLDYTANDLEESVFELSLFAESFSEMLQHKMGCVILSFLEKLYKRYVIKRNQRKRQREEDLKKEDKKTSEKRPKTNDETLSESGPSNQGESVEMIKAGKGTAHSAGQPNKDDETKMNAGHSAAAQDELVKEGKEKMSADHSTAPPKELIKEGEENMNTDNSAAVHVEPVADEKMEDEEPDYEEDPEEVELYEDDEEMDEAAAEELVEQNEDNLNDKAKQEVTTEEDGNKNTEERESENNANMLEKAASGEDKQSVVEKSASVEGKQSVSEKGDKAVGKEVKTTRSQKGDSTKDEVVDKELLQAFRYFDQNRAGYLKVDDLRCILHNLGKFLSNRDVKDLVQIALVESNSARDNRIIYTKLAKKVDL >ORUFI06G19650.1 pep chromosome:OR_W1943:6:19196903:19201030:-1 gene:ORUFI06G19650 transcript:ORUFI06G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSVDVERISFGGKEHHIQTNHGSVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEASSLLLHNFCIYHISPPGHELGAAPVSPSSPVASVDELADQVSDVLDFFGLGPVMCLGVTAGAYILTLFATKYRERVLGLILVSPLCRTPSWTEWFHNKVMSNLLYYYGMCNMVKDCLLQRYFSKFLDQRQSMNVWRFIHTINERHDLTESLKELQCRTLIFVGQNSQFHAEAVHMTSKLDERYSALVEVQGCGSVVTEEQPHAMLMPLEYFLMGYGLYRPSQISCSPRSPLNPFCISPELLSPESMGVKLKPIKTRANLEV >ORUFI06G19660.1 pep chromosome:OR_W1943:6:19208221:19208715:1 gene:ORUFI06G19660 transcript:ORUFI06G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPWWFHPHAQDGAARQEEEYNAGAEDFLLLRILVVVAHFLWVTPTTATTTTATTATTTTTMTRAVLQESSSCCGGRGDDKAVDQLMAATTPASQLQMDYTMDQLRNDIAAAAAAMASPPSPVWEFRGSVHGTYRCCSPERDGEGRREGMEEEDVADVWVPH >ORUFI06G19670.1 pep chromosome:OR_W1943:6:19213064:19213546:-1 gene:ORUFI06G19670 transcript:ORUFI06G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETIDDKVIYFQAVTGISDHSLCTEILAAHDWDLQLVVSSITANPSSLDPAPIAEGGGFGHATPVSASAFAAAAQDCVETDDASILCGLWWGWAHRQFDLSRCLGCRSHSWGSTATPSASSSLATAPVEEWAALGYQRGWPQRRKRGKGSCETDKWVPH >ORUFI06G19680.1 pep chromosome:OR_W1943:6:19214075:19223010:1 gene:ORUFI06G19680 transcript:ORUFI06G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPDGGDGDRLEAAGAGSSSAQQGHPTMEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDARDNASRREMERQDAPITRHPEFRYKSEFQSHEPEFDYLKSLEIEEKINKIRWCQTANNSLSLLSTNDKTIKYWKVQEKKVKQVSVMNLDSRSVGTGTSSSASTSSSRGLLPNGGCSDKSSFLNSDILFPPGGYPSLRLPVVASQDVNLVARCRRVYAHAHDYHINSISTNSDGETYISADDLRINLWNLEINNQSFNIVDVKPPNMEDLTEVITCAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDNHSKIFEEHEAPGSRSFFTEIIASISDIKFSRDGRYILSRDYMTLKLWDLNMDSGPVSTFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLHVATGSYGSDHFGGKQKPHEASDCKPNKAHTHTNLSGPWCAESASS >ORUFI06G19690.1 pep chromosome:OR_W1943:6:19224131:19224577:-1 gene:ORUFI06G19690 transcript:ORUFI06G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVPITCCMRCPWTHYHDTVTHVAINQIQGTIEIHLKRNAPRAPGATSCGLCSGCICHKPPKHSPSWVVLGVHLQFAPEYGPPRVVLGAHLQPAAKYDPSWDVLGVHLPPRSTAECLEEHICMDPTKPRIECEAVYTNPACDWDNT >ORUFI06G19700.1 pep chromosome:OR_W1943:6:19229699:19230110:-1 gene:ORUFI06G19700 transcript:ORUFI06G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLGQGYLHLAALIGHRLPSQSNTSFFYTLHPSHIVLGQARLSSEFFGDRFPEKKLQLVDMSILLILLSPGSGCHIHPLATVVAAARFASGCLTAAALLQTALSFEDANSEPPLSSRCQILVMPRKG >ORUFI06G19710.1 pep chromosome:OR_W1943:6:19230414:19230734:-1 gene:ORUFI06G19710 transcript:ORUFI06G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGIDYKHGKGRRGDYHAARSSLGSQQLPPLLGVRWTTTGNEKEGPEKGTAIYRLVHAIAGCSFLHVAFRSEVPSKDKNSPTPSLLSLGLLAPLIPPAASSSLSQ >ORUFI06G19720.1 pep chromosome:OR_W1943:6:19236540:19243817:1 gene:ORUFI06G19720 transcript:ORUFI06G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSLAPDRGGGEPDDALRLRARAAAAAGDAPAPQQQQEQRHQEQQQQLLWYRASAPAHRRVRESPLSSDAIFRQSHAGLLNLCIVVLVAVNSRLIIENLMKYGLLIRAGFWFSGTSLADWPLLILTLPTFPLAALMVEKLAQRKLISKHVVILLHIVITTSVLVYPVVVILKCDSAVLSGFVLMFLASIIWLKLVSFAHTNYDIRMLSKSIEKGVTHDISIDPENIKWPTFKRLSYFMLAPTLCYQPSYPRTTYIRKGWVVRQLIKCLVFTGLMGFIIEQYINPIVKNSKHPLKGNFLNAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKTVEEPVHKWVIRHIYFPCIRNGFSKGVAILISFLVSAAFHELCVAVPCHIFKFWAFIGIMFQVGNMIFWFFFSILGQPMCVLLYYHDVMNRQQAQTNR >ORUFI06G19730.1 pep chromosome:OR_W1943:6:19244697:19245083:-1 gene:ORUFI06G19730 transcript:ORUFI06G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSASYTEKSRSAATVAGAGAGDLRCHSAYYVTSTYSAPPPPPLWYDDAGSGKASKIKKKKAAATWPSSSASKGRVWGGLGDAAEMQRRRRVAGYRVYGVEGKVKVSLQSSMRWIKGKCTRVVDGWW >ORUFI06G19740.1 pep chromosome:OR_W1943:6:19248569:19255571:1 gene:ORUFI06G19740 transcript:ORUFI06G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISSTTHTLPSPRRRAARRPHATALPAVYRHRPARAPAGAARSTPPLPHTRPSPPRICVSPLSTPQPRDYSAVHGSSLSPVVRGHIAVSPRRFLQNEELLSFLGSSVGIEKLFGQPPLQPSTAREDRAGAEVGRRGASRRSARPSLPPCSHLLLSRRLPLLFRTGRAQAVGGTDEAGIAQIRYLPTPDSVPPVPAAVTKISTLPAVSFQISGPDIFRK >ORUFI06G19750.1 pep chromosome:OR_W1943:6:19251625:19285038:-1 gene:ORUFI06G19750 transcript:ORUFI06G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVEEGRTGIPSLLSSALIGWTPLIEMKNIPKKEGIQTRLIGKMETYQPLFSVKDRTALSMIEDAEEKGLITPGVTTLIEPTSGNLGIGLVLVAIQKGYRFIAVMPARYSPDKQMLLRFLGAELILTDPAGGYKGAMDKVEDLMKIMPNYHCFNQATNPANPEAHFKWTGPEIWKDTAGKVDFFVTAAGTGGTLSGVGRYLKIKNPSINIVCVEPSESAVISGGSPGSHKIQGTGPGFIPKTLDRSIIDEVVTVSSEESMAMARRLAKEEGLLVGISSGANVAACIKIAAREENKGKMIVTMFPSGGERYMSSDLFADMVNEANVEAHYKWTGPEIWKDTAGKVDIFVTSVGSGGTLAGVGKYLKEKNQSIRIVAVEPAESPVLSGGKASKHRIQGIGVGFETEILKAHKPIITYEVKTIYSEDAITKARMLAREEGLLVGISAGANIAVCLEALTELVRPALAKKATEEDITEFHRINAAFCSSWVEMGEEIKNGEEGPDMAAPGEEEQEQGRKGIPSLLSSREENIASNITQLIGWTPLVEMKNIAKNEGVQARLVGKMEAYQPLCSVKDRSALRMIEDAEEKGLITPGVTTLIEPTSGNLGIGLVLVAVQKGYRFIAVMPAKYSLDKQMLLRFLGAELILTDPAIGFNGMMDKVEELMKSIPNSHCLNQVTNPANPEAHFMWTGPEIWKDTAGKVDVFVASVGSGGTLTGVGRYLKMKNPSINIVCVEPSESPVISGGSPGPHKIQGTGAGFIPEILDKSVIDEVVTVNTEESMAMARRLAKEEGLLVGISSGANVAACIKIADREENKGKMIVTMFPSGGERYMNSDLFAPLVETMAMAAAAVEVEEGRTGIPSLLRLSAVGEEAIASNVAQLIGWTPLIEMKNIAKKEGIQARLVGKMEAYQPLFSVKDRTALGMVEDAEEKGLITPGVTTLIEPTGGNLGTGLVLVAIQRGYRFIAVMPAGYSLDKQMLLRFLGAEVILTDPAGGFKGMMDKVEELTKVMPNYHCFNQSINPANPDAHFKWTGPEIWKDTAGKVDIFVTAAGTGGTLSGVGRYLKMKNPSINIVCVEPSESAVISGSSIKHGFPQQTEIASEGRGPNLQACILPHLKSSSPSSVPPTAWALPVRKSSGSRRESRRWEHGGSDGRADRRLAPLLPTSAPALSSLAVLGGSPGFHKIQGTGPGFIPENLDRSIIDEVVTVSSEESITMARRLAKEEGLLVGISSGANVSACIKIAAREENKGKMIVTMLPSGGERYMNSDLFADVREECANMTF >ORUFI06G19750.2 pep chromosome:OR_W1943:6:19251625:19285038:-1 gene:ORUFI06G19750 transcript:ORUFI06G19750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVEEGRTGIPSLLSSALIGWTPLIEMKNIPKKEGIQTRLIGKMETYQPLFSVKDRTALSMIEDAEEKGLITPGVTTLIEPTSGNLGIGLVLVAIQKGYRFIAVMPARYSPDKQMLLRFLGAELILTDPAGGYKGAMDKVEDLMKIMPNYHCFNQATNPANPEAHFKWTGPEIWKDTAGKVDFFVTAAGTGGTLSGVGRYLKIKNPSINIVCVEPSESAVISGGSPGSHKIQGTGPGFIPKTLDRSIIDEVVTVSSEESMAMARRLAKEEGLLVGISSGANVAACIKIAAREENKGKMIVTMFPSGGERYMSSDLFADMVNEANVEAHYKWTGPEIWKDTAGKVDIFVTSVGSGGTLAGVGKYLKEKNQSIRIVAVEPAESPVLSGGKASKHRIQGIGVGFETEILKAHKPIITYEVKTIYSEDAITKARMLAREEGLLVGISAGANIAVCLEALTELVRPALAKKATEEDITEFHRINAAFCSSWVEMGEEIKNGEEGPDMAAPGEEEQEQGRKGIPSLLSSREENIASNITQLIGWTPLVEMKNIAKNEGVQARLVGKMEAYQPLCSVKDRSALRMIEDAEEKGLITPGVTTLIEPTSGNLGIGLVLVAVQKGYRFIAVMPAKYSLDKQMLLRFLGAELILTDPAIGFNGMMDKVEELMKSIPNSHCLNQVTNPANPEAHFMWTGPEIWKDTAGKVDVFVASVGSGGTLTGVGRYLKMKNPSINIVCVEPSESPVISGGSPGPHKIQGTGAGFIPEILDKSVIDEVVTVNTEESMAMARRLAKEEGLLVGISSGANVAACIKIADREENKGKMIVTMFPSGGERYMNSDLFAPLVETMAMAAAAVEVEEGRTGIPSLLRLSAVGEEAIASNVAQLIGWTPLIEMKNIAKKEGIQARLVGKMEAYQPLFSVKDRTALGMVEDAEEKGLITPGVTTLIEPTGGNLGTGLVLVAIQRGYRFIAVMPAGYSLDKQMLLRFLGAEVILTDPAGGFKGMMDKVEELTKVMPNYHCFNQSINPANPDAHFKWTGPEIWKDTAGKVDIFVTAAGTGGTLSGVGRYLKMKNPSINIVCVEPSESAVISGGSPGFHKIQGTGPGFIPENLDRSIIDEVVTVSSEESITMARRLAKEEGLLVGISSGANVSACIKIAAREENKGKMIVTMLPSGGERYMNSDLFADVREECANMTF >ORUFI06G19750.3 pep chromosome:OR_W1943:6:19251625:19285038:-1 gene:ORUFI06G19750 transcript:ORUFI06G19750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVEEGRTGIPSLLSSALIGWTPLIEMKNIPKKEGIQTRLIGKMETYQPLFSVKDRTALSMIEDAEEKGLITPGVTTLIEPTSGNLGIGLVLVAIQKGYRFIAVMPARYSPDKQMLLRFLGAELILTDPAGGYKGPEIWKDTAGKVDVFVASVGSGGTLTGVGRYLKMKNPSINIVCVEPSESPVISGGSPGPHKIQGTGAGFIPEILDKSVIDEVVTVNTEESMAMARRLAKEEGLLVGISSGANVAACIKIADREENKGKMIVTMFPSGGERYMNSDLFAPLVETMAMAAAAVEVEEGRTGIPSLLRLSAVGEEAIASNVAQLIGWTPLIEMKNIAKKEGIQARLVGKMEAYQPLFSVKDRTALGMVEDAEEKGLITPGVTTLIEPTGGNLGTGLVLVAIQRGYRFIAVMPAGYSLDKQMLLRFLGAEVILTDPAGGFKGMMDKVEELTKVMPNYHCFNQSINPANPDAHFKWTGPEIWKDTAGKVDIFVTAAGTGGTLSGVGRYLKMKNPSINIVCVEPSESAVISGSSIKHGFPQQTEIASEGRGPNLQACILPHLKSSSPSSVPPTAWALPVRKSSGSRRESRRWEHGGSDGRADRRLAPLLPTSAPALSSLAVLGGSPGFHKIQGTGPGFIPENLDRSIIDEVVTVSSEESITMARRLAKEEGLLVGISSGANVSACIKIAAREENKGKMIVTMLPSGGERYMNSDLFADVREECANMTF >ORUFI06G19750.4 pep chromosome:OR_W1943:6:19251625:19285038:-1 gene:ORUFI06G19750 transcript:ORUFI06G19750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVEEGRTGIPSLLSSALIGWTPLIEMKNIPKKEGIQTRLIGKMETYQPLFSVKDRTALSMIEDAEEKGLITPGVTTLIEPTSGNLGIGLVLVAIQKGYRFIAVMPARYSPDKQMLLRFLGAELILTDPAGGYKGAMDKVEDLMKIMPNYHCFNQATNPANPEAHFKWTGPEIWKDTAGKVDFFVTAAGTGGTLSGVGRYLKIKNPSINIVCVEPSESAVISGGSPGSHKIQGTGPGFIPKTLDRSIIDEVVTVSSEESMAMARRLAKEEGLLVGISSGANVAACIKIADREENKGKMIVTMFPSGGERYMNSDLFAPLVETMAMAAAAVEVEEGRTGIPSLLRLSAVGEEAIASNVAQLIGWTPLIEMKNIAKKEGIQARLVGKMEAYQPLFSVKDRTALGMVEDAEEKGLITPGVTTLIEPTGGNLGTGLVLVAIQRGYRFIAVMPAGYSLDKQMLLRFLGAEVILTDPAGGFKGMMDKVEELTKVMPNYHCFNQSINPANPDAHFKWTGPEIWKDTAGKVDIFVTAAGTGGTLSGVGRYLKMKNPSINIVCVEPSESAVISGSSIKHGFPQQTEIASEGRGPNLQACILPHLKSSSPSSVPPTAWALPVRKSSGSRRESRRWEHGGSDGRADRRLAPLLPTSAPALSSLAVLGGSPGFHKIQGTGPGFIPENLDRSIIDEVVTVSSEESITMARRLAKEEGLLVGISSGANVSACIKIAAREENKGKMIVTMLPSGGERYMNSDLFADVREECANMTF >ORUFI06G19760.1 pep chromosome:OR_W1943:6:19286712:19295268:-1 gene:ORUFI06G19760 transcript:ORUFI06G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVEAGRRRRAAAVVLGDIGRSPRMQYHSLSLANQGGMEVDIVANGGSDPHLLLRENPLIHIHEMLTGISKISGALSMLLKAAIQFIILIWYLCFKIPRPDVFIVQNPPSVPTLAAVKLASGLRGAKSIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMKHELDKKWGIKLGNSICSAMGNDDCISIEKEVEDRNTTVFTGRVDGEIFLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAATLGEDDSMDEGKLWIDIKNGKQFVYPRLLFIITGKGPDRKKYEEQIKRLKLRRVSFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIDELVKVNNNGLLFSTSSELADELTMLFKGFPEECDELKSLKVGALNTGSSSKWSTEWERYALPLVNQVRS >ORUFI06G19760.2 pep chromosome:OR_W1943:6:19286712:19295268:-1 gene:ORUFI06G19760 transcript:ORUFI06G19760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVEAGRRRRAAAVVLGDIGRSPRMQYHSLSLANQGGMEVDIVANGGSDPHLLLRENPLIHIHEMLTGISKISGALSMLLKAAIQFIILIWYLCFKIPRPDVFIVQNPPSVPTLAAVKLASGLRGAKSIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMKHELDKKWGIKLGNSICSAMGNDDCISIVSTVFSYNTEKEVEDRNTTVFTGRVDGEIFLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAATLGEDDSMDEGKLWIDIKNGKQFVYPRLLFIITGKGPDRKKYEEQIKRLKLRRVSFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIDELVKVNNNGLLFSTSSELADELTMLFKGFPEECDELKSLKVGALNTGSSSKWSTEWERYALPLVNQVRS >ORUFI06G19770.1 pep chromosome:OR_W1943:6:19297624:19299687:1 gene:ORUFI06G19770 transcript:ORUFI06G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLPPRRRLLLLIYPRRRPAAAAFSTLPTPPAAAAPISHRLRLLRSLQSVPADRLLSHPLPSTAHACLAAHLLARDRLYAHSRRVLSRLVALRRPHLAASLVDLLHRAALALGPRRSALASVVDTLLSVLADRGLLDDAVRAVARVRELRVPPNTRTCNHILLRLARDRSGRLVRRLFEQLPAPNVFTFNIVIDFLCKEGELAEARSLFSRMKEMGCLPDVVTFNSLIDGYGKCGELDEVEQLVEEMRRSGCKADVVTYNALINCFCKFGRMETAYGYFAAMKREGVMANVVTFSTFVDAFCKEGLVREAMKLFAQMRVRGMALNEFTYTCLIDGTCKAGRLDDAIVLLDEMVRQGVPLNVVTYTVLVDGLCKERKVAEAEDVLRMMEKAGVRANELLYTTLIHGHFMNKNSEKALGLLSEMKNKGLELDISLYGALIQGLCNVHKLDEAKSLLTKMDESGLEPNYIIYTTMMDACFKSGKVPEAIAMLQKILDSGFQPNVITYCALIDGLCKAGSIDEAISHFNKMRDLGLDPNVQAYTALVDGLCKNGCLNEAVQLFNEMVHKGMSLDKVVYTALLDGYLKQGNLHDAFALKAKMIDSGLQLDLFCYTCFISGFCNLNMMPEAREVFSEMIGHGIAPDRAVYNCLISKYQKLGNLEEAISLQDEMERVLPSCTDSDTATDGKT >ORUFI06G19780.1 pep chromosome:OR_W1943:6:19302886:19305842:-1 gene:ORUFI06G19780 transcript:ORUFI06G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVAAAAAAARDQPWLLLPWSWLAGVVVVVVYFYAPWWGVRRVPGPAALPVVGHLPLLAAHGPDVFAVLAKKYGPIFRFHLGRQPLVIVAEAELCKEVGIRQFKSIANRSLPAPIAGSPLHQKGLFFTSVMRERLRCRDARWSAMRNTIISLYQPSHLAGLIPTMHSCVARAADAIAAAAAAAEQRDVDFSDLSLKLATDVIGQAAFGVDFGLTAAAAAAPRSDDADADGGEAAEFIREHVHSTTSLKMDLSGSLSIVLGLVAPALQGPARRLLSRVPATADWRTARANERLRARVGAVVARRERAGGEARRARRDFLSAVLNARDGGSDRMRALLTPDYVGALTYEHLLAGSATTAFTLSSAVYLVAGHPGVEAKLLDEVDRFGPPDAVPTADDLEHKFPYLDQVIKEAMRFYTVSPLIARETSEQVEVGGYTLPKGTWVWLAPGVLSRDEAQFRDAGEFRPERFDAGGEEERRRHAYAHVPFGLGPRACPGRRFALQEVKLAMAHLYRRFVFRRSPRMESPPELQFGMVLSFRRGVKLTAVERRHAAAA >ORUFI06G19790.1 pep chromosome:OR_W1943:6:19308026:19309140:1 gene:ORUFI06G19790 transcript:ORUFI06G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSTVKQEEVEVVVLDGEEEAAAAAPVPLPAAMGVGAAVAPFLVKTFEMVEDPATDAVVSWGGAARNSFVVWDPHAFAAGLLPLHFKHANFSSFLRQLNTYGFRKVSADRWEFANEDFLGGQRHLLANIRRRRRGAGTGSTTPRAVNCGGGGGEGEVERLRRDKEALARELARLRRQQQEARAQLLDMERRVRGTERRQEQCTEFLARALRSPDVLDNIARRHAAAVERKKRRMLAAAADDDGLTFEALALAAAADTSHSTGGAVTTDMIWYELLGEEQAEIDIEVDQLVASASAAADTASEAEPWEEMGEEEVQELVQQIDCLASPSS >ORUFI06G19800.1 pep chromosome:OR_W1943:6:19310135:19311775:-1 gene:ORUFI06G19800 transcript:ORUFI06G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELEAAGGGGRRSTAPPVSLSGDSPEAVLGRGAAASDRTVSRRHVTLRLLGGGGDEEEEPRVAFEVVGRNPVVVRSVGGGGGGSRVFRCGEAGELRDGDGLALSLRSPSSVWAVRRTRSKGGDGDGDVEAEVLDAVARRERRTRERKERERRAAEEAMEVTADEEAAAEAEAEAEAEAEAACSGDSDAEAEDLNFDLSSIDPVREFGFLSMGHEFDNYPKGRIRPPKDWNWFLEEVRKGSDDEDDEEGKLKGKGANKKNEGQREDEDWIGESEDDKDSLSRGSSVKRSKYVTRSKEPKKPRKEKTETKDKNKNSGDEKDEGDEDDEEDETLSGFIVNEEDEPMEELSEEEEDEFDDDDDDD >ORUFI06G19810.1 pep chromosome:OR_W1943:6:19319631:19329154:1 gene:ORUFI06G19810 transcript:ORUFI06G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGDALQQYHSKSFSPRLIDDISTACKWFTREHSDTTYEKNTQLAAKVLDCVYMSKASLFTQKDSTTTREEKSGEEKSKGGSKQGGSNPLKATQPTSTQPDKEESNKDGIFMEPICTIPAKKEEVQPTKDLESNSTEFVIDIKGPYDVEDITEFLYEEEPSDNIDMVEQRAQPQINNEQSSPQTPLHINIASARTPATKQSSQSSPDIGMNSPRIAQMREPNQHVQTEERQYSMTRIIDSLNASGNCSGTRHNLYRPKRIVHPSKYKSSPYDNYTRHQTISAAELNHYNNILSIGETQQYKYKFAVLMDNLIFPCLYDNHWFVFTVDIKGHHFIFLDSIYDENSKYHKKIQGLLIPGFIAMWE >ORUFI06G19810.2 pep chromosome:OR_W1943:6:19319631:19320767:1 gene:ORUFI06G19810 transcript:ORUFI06G19810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGDALQQYHSKSFSPRLIDDISTACKWFTREHSDTTYEKNTQLAAKVLDCVYMSKASLFTQKDSTTTREEKSGEEKSKGGSKQGGSNPLKATQPTSTQPDKEESNKDGIFMEPICTIPAKKEEVQPTKDLESNSTEFVIDIKGPYDVEDIT >ORUFI06G19820.1 pep chromosome:OR_W1943:6:19346912:19347644:-1 gene:ORUFI06G19820 transcript:ORUFI06G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRTARRCTVAPSSRGAWQRCNGNRSGGILRRWRVPQIEGDVSFGEVQDLVVDRFFDPIEIKKWLNVGTERHLRKAIADVHAFAMDIVRAWRQNASVQDRDDVLSRFVASDEHNNEVLRDIILSFLIAGRETTSSGLSWFFWLLSSQPDVMARIADEVRTVRKATGTCPGEPFGFDALREMHYLHARQACAADDTLPDGKLVHAGWSVTYNAYAMGRLATIWGED >ORUFI06G19830.1 pep chromosome:OR_W1943:6:19349320:19356092:1 gene:ORUFI06G19830 transcript:ORUFI06G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGGRRRDRLRWSKLYTFACFRSSHSNNEAVGGGPAAAGGSAVGGPGFTRVVHCNNSAVHRRKPLKYPTNYISTTKYNILTFLPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMIKEGIEDWRRFMQDMKVNNRKVAVHKGVGEFEYRHWEDLAVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDESFKDFQGLIRCEDPNPSLYTFIGNLEYERQIYAIDPFQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSTIEKKMDLIIYILFTVLVLISLISSIGFAVRIKYDLPNWWYLQPEKSNKLDDPTRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDLHMFDEDTGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVELAAAKQMASGDDGQDIHVQDVWENNEDEIQLVEGVTFSVGRTRKSSIKGFSFEDDRLMQGNWTKEPNSSTILMFFRILAVCHTAIPEVNEATGALTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKFSSSNGPVEREFKILNLLEFNSKRKRMSVILKDEDGQILLFCKGADSIIFDRLAKNGRMIEADTSKHLNDYGEAGLRTLALSYRVLDESEYSSWNAEFLKAKTSIGPDRELQLERVSELIERDLILVGATAVEDKLQSGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMRRICLSIPTDDQVAQDANKAAKESLMSQIANGSQMVKLEKDPDAAFALVIDGKALTFALEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPRNLFFDWYRILGWMANGLYSSLAIFFLNICIFYDQAIRSGGQTADMAAVGTTMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSVGTWYLFIIVYGSALRSRDNYQILLEVLGPAPLYWAATLLVTAACNMPYLIHISYQRLCNPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQRTKIGFTARVDAKIKQIRGKLHKKAPSLTIHTVS >ORUFI06G19840.1 pep chromosome:OR_W1943:6:19358662:19363026:1 gene:ORUFI06G19840 transcript:ORUFI06G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGEENQNGMNGYEEEEEEEEVEEVEEEVEEEEEEEEEEGADATAAAADAAEEVAEERRGGGGEVEGVGNGEEAGRTAGGGEGGDSSGKIFVGGVAWETTEESFTKHFEKYGAISDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLQDEHTIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGIPPSLTEDKLKEHFSSYGKVVEHQIMLDHGTGRSRGFGFVTFENEDAVETVMSEGRMHDLAGKQVEIKKAEPKKPGGGDSSSNGRHSHGSGGGHRSSYRGSGGGNSGSSSSGGYGGYGGGYRSAAAAYYGSTGYAGYGRGYGYGGNPAFGSGFGSGYGGSMYGGPYGAYGAYGGAYGGGGAYGAPGGYGAGGYGAYGGAGGMGGGGSTSGRGSSRYHPYGK >ORUFI06G19850.1 pep chromosome:OR_W1943:6:19364906:19367589:1 gene:ORUFI06G19850 transcript:ORUFI06G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFEKMESSSSSSYIPFIRQIAASVSAASCDAVVGGGGDKDEECRDEAAALRLKMVAVAAILIAGAAGVAIPLVGRRRRGGGGGGGGGASSGGLFVLAKAFAAGVILATGFVHMLHDAEHALSNPCLPHSPWRRFPFPGFVAMLAALATLVVDFVGTHFYERKHRQEEAAAAAEEAAAALLEDGGALPVGDGEGRDGRGGKRDAMHIVGIHAHAAAHRHSHAHVHGACHGGAVNDAHAHGHGHGHEEGPSARHVVVSQILELGIVSHSVIIGLSLGVSQSPCTIKPLVAALSFHQFFEGFALGGCISEAQLKNFSAFLMAFFFAITTPAGITVGAAVASFYNPNSPRALVVEGILDSMSAGILIYMALVDLIAADFLSRKMSCNPRLQVGSYIALFLGAMAMAALALWA >ORUFI06G19860.1 pep chromosome:OR_W1943:6:19373381:19373816:1 gene:ORUFI06G19860 transcript:ORUFI06G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADATLEAGKVSATVRRHGGLGRLAEGVADGCIGLVQNRLEEGSETGLVQRGAADGSGGRLGASGAGGGDGGRLSARGATGGGRGDLGAWWSCRWVWRGLRRTKAGRRGASVQGPHRSAEFEWWWSIGVSAVDS >ORUFI06G19870.1 pep chromosome:OR_W1943:6:19381794:19382693:1 gene:ORUFI06G19870 transcript:ORUFI06G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAVIPAVWSAVHGWFTPAVLFLVLNIVIGTIAVTSKVTASSSTAGGGGEGDGYGAWAGGGGGGGGEQRRFSRVPSMALDRLRSFNLSGRFSAAASAPAAPEAAAVVGGVLDLGARDEATTAAVVKDVGGGREREEEVEDEQERAQAAHVVERSKSEATAAAADLPRLPARLRKSASDQSAFAHFEAEKKAAAAEVEREAVEARRPATTREPPRVWLRVADEDPEPEEFDDEADDDEPEMDDDDADVGAGEVDARADDFINNFRHQLKLQRIDSYLRHRDMLRRGHAAAAAAAVGSDL >ORUFI06G19880.1 pep chromosome:OR_W1943:6:19393162:19395837:-1 gene:ORUFI06G19880 transcript:ORUFI06G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAGGTRVAAADDTGRRAGVASSEAGGWLGAAGRRCAWAVSGEDPGRPCCGGVLGCGGVLASPRSLVGGDGRPRDQWDDIVQDMIVIGNGPGRLDYWYVPEVFYYAEKAMLNPIAPLGGCGSLHDRGQFYISEVILVTCAL >ORUFI06G19880.2 pep chromosome:OR_W1943:6:19393162:19395837:-1 gene:ORUFI06G19880 transcript:ORUFI06G19880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAGGTRVAAADDTGRRAGVASSEAGGWLGAAGRRCAWAVSGEDPGRPCCGGVLGCGGVLASPRSLVGGDGRPRDQWDDIVQDMIVIGNGPGRLDYWGGCGSLHDRGQFYISEVILVTCAL >ORUFI06G19880.3 pep chromosome:OR_W1943:6:19393710:19395837:-1 gene:ORUFI06G19880 transcript:ORUFI06G19880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAGGTRVAAADDTGRRAGVASSEAGGWLGAAGRRCAWAVSGEDPGRPCCGGVLGCGGVLASPRSLVGGDGRPRDQWDDIVQDMIVIGNGPGRLDYWEAHSDVELNDFQVCIH >ORUFI06G19890.1 pep chromosome:OR_W1943:6:19401444:19404309:1 gene:ORUFI06G19890 transcript:ORUFI06G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIALRATAPSMSSGGLVADPSPEGFIAGLFGDSSSAYRLTVRSAEAGAFHLVHVRGNHLRTSSTVFLLNVDIVLSPESIVFLLSNFFTSRLTV >ORUFI06G19890.2 pep chromosome:OR_W1943:6:19401444:19402472:1 gene:ORUFI06G19890 transcript:ORUFI06G19890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQRSCTAWSPAAAGGVAAHFFLPTAVIVVVLHQLAVWPPPSAHTAADGRRL >ORUFI06G19890.3 pep chromosome:OR_W1943:6:19402714:19404309:1 gene:ORUFI06G19890 transcript:ORUFI06G19890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQMHEQYILLRQSGSGEIQGGDRLDAMGSIALRATAPSMSSGGLVADPSPEGFIAGLFGDSSSAYRLTVRSAEAGAFHLVHVRGNHLRTSSTVFLLNVDIVLSPESIVFLLSNFFTSRLTV >ORUFI06G19890.4 pep chromosome:OR_W1943:6:19401415:19404309:1 gene:ORUFI06G19890 transcript:ORUFI06G19890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIALRATAPSMSSGGLVADPSPEGFIAGLFGDSSSAYRLTVRSAEAGAFHLVHVRGNHLRTSSTVFLLNVDIVLSPESIVFLLSNFFTSRLTV >ORUFI06G19900.1 pep chromosome:OR_W1943:6:19407234:19411164:1 gene:ORUFI06G19900 transcript:ORUFI06G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELAVVDPTGMRRRCRSQRLSRIPGRPPPRSRCHDRPPPPAGRSPPPLLPFLFPFTIGFASSRRVRFPLSPLPPPLSQATTATTIAGQS >ORUFI06G19900.2 pep chromosome:OR_W1943:6:19407234:19409812:1 gene:ORUFI06G19900 transcript:ORUFI06G19900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAISSPVPVDWYPTLAVVMVAVGLMFTASFFIYEATSSRRNRSLAKEIATATIASVFLGFGSLFVLLASGVYV >ORUFI06G19910.1 pep chromosome:OR_W1943:6:19417503:19422767:1 gene:ORUFI06G19910 transcript:ORUFI06G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSLLFLVCFFTVAMSQCAAAAKARHFRWEVSNMFWSPDCEEKVVIGINGQFPGPTIRAKAGDTIVVHLKNGLHTEGVVIHWHGIRQIGTPWADGTASISQCAINPEETFTYRFVVDKPGTYFYHGHYGMQRAAGLYGSLIVDVADGDEEPFKYDGEINLLLSDWYHESIYTQMVGLSSNPFRWIGEPQSLLINGRGQFNCSLAAAHTPGAKQCAAAGNRHCAPVILPVLPNKTYRLRVASTTSLASLNLAVGNHKLTVVEADGNYVEPFAVDDIDIYSGDSYSVLLTTDQDTSANYWVSVGVRGRQPRTAPALAVLNYRPNRASRLPAAAPPATPAWDDFARSKAFTYRILGRAGVTPPPPATSDRRIELLNTQNRMGGGHVKWSINNVSMVLPATPYLGSLKMGLRSALPSAARPSDTFGRGYDVMRPPANPNTTVGDNVYVLAHNATVDVVLQNANALARNVSEVHPWHLHGHDFWVLGYGDGAFRGDAGDAAALNLRNPPLRNTAVIFPYGWTAIRFVADNPGVWAFHCHIEPHLHMGMGVIFAEAVDRVSELPKAAVSCGATATALMAGAGGHV >ORUFI06G19920.1 pep chromosome:OR_W1943:6:19429970:19430550:1 gene:ORUFI06G19920 transcript:ORUFI06G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMDSGHSFLRLMASMDHGHVNFLGRSSGCGIQCGCLPGFRGVPEVKVAMGSPQEHFSCGGQRPPDECESERGGVFEWTEEEDPACFAMDLERLRQSDLTLGMDGENPESSACIQMGRESK >ORUFI06G19930.1 pep chromosome:OR_W1943:6:19433492:19434010:-1 gene:ORUFI06G19930 transcript:ORUFI06G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCYHSAVPELFHDKSDVCSDGIPGASCSVSCHSGHPAHALLIERRWASSYLPRQRSPSSRSSVCASLAGHRAPPRCAGTGCSTTRGSPLVVHRRYNNADDAMSGFIDPRCRRRDCGRRRPRHAWAAVPSRPWTSDASR >ORUFI06G19940.1 pep chromosome:OR_W1943:6:19440868:19441940:-1 gene:ORUFI06G19940 transcript:ORUFI06G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGHDRDTVAPMSSIQIIRRCGSCDTGGGRVATHRWQTAVMRWPRRQVGTHPGHRDVGRSCVSLSSGCEVLEIINEDNCSQEERRKSKY >ORUFI06G19950.1 pep chromosome:OR_W1943:6:19450987:19456821:1 gene:ORUFI06G19950 transcript:ORUFI06G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVEVTWDVEYVLWAPDCQQRVMIGINGRFPGPNITARAGDVISVTMNNKMHTEGVVIHWHGIRQFGTPWADGTASISQCAVNPGETFVYKFVADKPGTYFYHGHFGMQRAAGLYGSLIVLDSPEQPEPFRHQYDDGGELPMMLLSDWWHQNVYAQAAGLDGKDRHFEWIGEPQTILINGRGQFECTLGPARKSFEKLLNENVETCVDDQKMCSDQEKCLRRSECGPYCPRSQCAPVVFNVEQGKTYRLRIASTTSLSLLNVKIQGHKMTVVEADGNHVEPFVVDDIDIYSGESYSVLLKADQKPASYWISVGVRGRHPKTVPALAILSYGNGNAAPPPLQLPAGEPPVTPAWNDTQRSKAFTYSIRARKDTNRPPPAAADRQIFLLNTQNLMDGRYRWSINNVSLTLPATPYLGAFHHGLQDSAFDASGEPPAAFPEDYDVMRPPANNATTASDRVFRLRHGGVVDVVLQNANMLREEVSETHPWHLHGHDFWVLGYGDGRYDPAAHAAGLNAADPPLRNTAVVFPHGWTALRFVANNTGAWAFHCHIEPHLHMGMGVVFVEGEDRMHELDVPKDAMACGLVARTAATPLTPATPLPPSPAPAP >ORUFI06G19950.2 pep chromosome:OR_W1943:6:19450997:19456821:1 gene:ORUFI06G19950 transcript:ORUFI06G19950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVQLLVVAAAAAMAAACCAGMAAAAATVEVTWDVEYVLWAPDCQQRVMIGINGRFPGPNITARAGDVISVTMNNKMHTEGVVIHWHGIRQFGTPWADGTASISQCAVNPGETFVYKFVADKPGTYFYHGHFGMQRAAGLYGSLIVLDSPEQPEPFRHQYDDGGELPMMLLSDWWHQNVYAQAAGLDGKDRHFEWIGEPQTILINGRGQFECTLGPARKSFEKLLNENVETCVDDQKMCSDQEKCLRRSECGPYCPRSQCAPVVFNVEQGKTYRLRIASTTSLSLLNVKIQGHKMTVVEADGNHVEPFVVDDIDIYSGESYSVLLKADQKPASYWISVGVRGRHPKTVPALAILSYGNGNAAPPPLQLPAGEPPVTPAWNDTQRSKAFTYSIRARKDTNRPPPAAADRQIFLLNTQNLMDGRYRWSINNVSLTLPATPYLGAFHHGLQDSAFDASGEPPAAFPEDYDVMRPPANNATTASDRVFRLRHGGVVDVVLQNANMLREEVSETHPWHLHGHDFWVLGYGDGRYDPAAHAAGLNAADPPLRNTAVVFPHGWTALRFVANNTGAWAFHCHIEPHLHMGMGVVFVEGEDRMHELDVPKDAMACGLVARTAATPLTPATPLPPSPAPAP >ORUFI06G19960.1 pep chromosome:OR_W1943:6:19455322:19459416:-1 gene:ORUFI06G19960 transcript:ORUFI06G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGGTGCRRGLLLPPLLFAAALLLSAASPARAFYLPGVAPRDFQKDDELQVKVNKLSSTKTQLPYDYYFLDYCKPEAIKNSAENLGEVLRGDRIENSVYNFKMRRDETCKVVCRSKLSPEAAKNFKEKIDDEYRVNMILDNLPVVVPRQTREGSQTPSFEHGYRVGYKLKDDKYYINNHLSFKVLYHEDPNSPDARIVGFHVIPSSIKHEYSAWDDKNPTVQTCNANNKITPGSHTPQDVVPEAYVVFSYDVTFEASEIIWASRWDVYLLSSDSQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDIANYNQLDNQDEAQEETGWKLVHGDVFRPPVHSGLLCVYVGTGVQFFGMTLVTMMFALLGFLSPANRGGLMTAMVLLWVFMGVLAGYTSSRLYKMFKGTEWKKITLKTAFMFPGIIFALFFFLNALIWGEKSSGAVPFGTMFALFLLWFGISVPLVFVGSFLGFKQPAIEDPVKTNKIPRQIPEQAWYLQPAFSILAGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILIVTCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFAYAIFYFFNKLEITKLVSGILYFGYMLIISYAFFVLTGTIGFYACFWFVRKIYASVKID >ORUFI06G19970.1 pep chromosome:OR_W1943:6:19461171:19461467:1 gene:ORUFI06G19970 transcript:ORUFI06G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHCHRSTAIIGIRRLRSQMRGRPVMLGPSTIAARKEDEGDKPEVEVKLRSRRSAAAAAKEEAASARWRKRSGAVGRKRRSGVVGGKRKRRRREKGM >ORUFI06G19980.1 pep chromosome:OR_W1943:6:19463354:19467920:-1 gene:ORUFI06G19980 transcript:ORUFI06G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVKDGAADLEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKSLEKGKHAEGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDATH >ORUFI06G19990.1 pep chromosome:OR_W1943:6:19475418:19476020:1 gene:ORUFI06G19990 transcript:ORUFI06G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLFCSGKAAARGEFVKLVFPGGHVELLDRAVPAAEVMARHPRFCVARPDVFRDPAAAGAVAAPDAVLALGRKYYVVPRSTVRRLQIMHASSSPHAGGGGGVSLKRHLAGAGGHERGYKVVGRRKSWLRLLVSGGGGEDGGKDEAAVVGDVSDVRETKENGKPPRNGGSPARRRRRLASPASSASYSWQPSLHSITEE >ORUFI06G20000.1 pep chromosome:OR_W1943:6:19477606:19477935:-1 gene:ORUFI06G20000 transcript:ORUFI06G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHVSLSLTPFSPPSLPMSLLQLASRRRSRQLSPRWRRGGAAEACRSPRAGAGSIGRRPCHISGLAAVAFRMLPLGARPELCVSSSLGRCATIGHGNGEWQELGWRRA >ORUFI06G20010.1 pep chromosome:OR_W1943:6:19481060:19481239:1 gene:ORUFI06G20010 transcript:ORUFI06G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGVDACPLKAVRGTAMARHVRLITSNLAPFDDAYYPRVVMVNKAILRSRWRNWDDG >ORUFI06G20020.1 pep chromosome:OR_W1943:6:19482158:19490795:-1 gene:ORUFI06G20020 transcript:ORUFI06G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAAGAGGIGVAAAAAVVAATLAVAPPKDRGNNPPPVQRRHSQHTRTHPYEHTHANPTPMSIFEDWPANSGTPMSIFEDWPANSGEIDEVTTGASLSTEKKPHHTFTKWSKTYGPIYTIKTGASSVVVLNSTEVAKEAMIEKFSSISTKKLPKALSVISRKNMVSISDYGDFYKMAKRNIMLAILGFNAQKHFCDTRERMVSNVLSSLHKLVAVDPHSPLNFREVYTTELFGLSLIQNLGEDVCSVYVEEFGREISKEEIFHVLVHEILSCVVEPDWRDYFPYLSWLPNKSFETIVSSTEFRRDAVMNALIKRQKERIARGEARISYIDFLLEAKNSTQLTDHQLMLLLAESIAAAVDTVLERLYREIREVCGGKAVTEEDLPRLPYLDAVLHETLRLHSPVPVLPTRFVHDDTTLAGYDVPAGTQVMINVFGCHMDEEAWESPGEWSPERFLGEGFKLADRYKTLAFGAGRRTCAGSQQAVSIACVAIARFVQELQWTLREGDGDKEDTMQYTALKLHPLHVHLKPRGS >ORUFI06G20020.2 pep chromosome:OR_W1943:6:19482158:19490795:-1 gene:ORUFI06G20020 transcript:ORUFI06G20020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAAGAGGIGVAAAAAVVAATLAVAPPKDRGNNPPPVQRRHSQHTRTHPYEHTHANPTPMSIFEDWPANSGTPMSIFEDWPANSGEIDEVTTGASLSTEKKPHHTFTKWSKTYGPIYTIKTGASSVVVLNSTEVAKEAMIEKFSSISTKKLPKALSVISRKNMVSISDYGDFYKMAKRNIMLAILGFNAQKHFCDTRERMVSNVLSSLHKLVAVDPHSPLNFREVYTTELFGLSLIQNLGEDVCSVYVEEFGREISKEEIFHVLVHEILSCVVEPDWRDYFPYLSWLPNKSFETIVSSTEFRRDAVMNALIKRQKERIARGEARISYIDFLLEAKNSTQLTDHQLMLLLAESIAAAVDTVLVTTEWAMYELAKNPDKQARKKNDLERLYREIREVCGGKAVTEEDLPRLPYLDAVLHETLRLHSPVPVLPTRFVHDDTTLAGYDVPAGTQVMINVFGCHMDEEAWESPGEWSPERFLGEGFKLADRYKTLAFGAGRRTCAGSQQAVSIACVAIARFVQELQWTLREGDGDKEDTMQYTALKLHPLHVHLKPRGS >ORUFI06G20030.1 pep chromosome:OR_W1943:6:19494037:19494733:1 gene:ORUFI06G20030 transcript:ORUFI06G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVASTQVGTGSNPLDGHASWISFNQLLTSIPQEVNSDQELLVMFDLHNKKVVEMFIVYFDPSEPFKPITEWEFEEEEQPDNNIEPNGDNYLSNPNPLNEHVGVDEENMYLESVPVNQASPAMSTRSKRRLSL >ORUFI06G20040.1 pep chromosome:OR_W1943:6:19516155:19523131:-1 gene:ORUFI06G20040 transcript:ORUFI06G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMLVAGAGAAAVAAVGGLVAAAALADKLVAAPPPRKNRANPPPAVPGLPIIGNLHQLKEKKPHQTFAKWSETYGPIYTIKTGASPVVVLNSTEVAKEAMIDKFSSISTRKLPKAMSVLTRKSMVAISDYGDYQKMAKRNIMIGMLGFNAQKQFRGTRERMISNVLSTLHKLVSLDPHSPLNFRDVYINELFSLSLIQSLGEDVSSVYVEEFGREISKDEIFDVLVHEMMMCAVEADWRDYFPYLSWLPNKSFDTIVSTTEFRRDAIMNALIKKQKERIARGEARASYIDFLLEAERSAQLTDDQLMLLLSESILAAADTVLELLYQEIREACGGEAVTEDDLPRLPYLNAVFHETLRLHSPVPVLPPRFVHDDTTLAGYDVAAGTQMMINVYACHMDERVWESPGIWSPERFLGEGFEVSDRYKTMAFGAGRRTCAGSLQAMNIACVAVARLVQELEWRLREGDGDKEDTMQFTALKLDPLHVHLKPRGRM >ORUFI06G20050.1 pep chromosome:OR_W1943:6:19526526:19529749:-1 gene:ORUFI06G20050 transcript:ORUFI06G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAGRAVGAGRRGRVRMPTRVREAGGGATATARGLPLIITIAFIEMGSSPTIATATVPTPTTAIRAKSPTPEEMGGRRGPPTRGWDAALPSCKDREIWSSQLSLAFNRWPDVRIRASDLPLMPKYQPHRCLASQELFYFAWYVFHTLLMKLNC >ORUFI06G20060.1 pep chromosome:OR_W1943:6:19535133:19535696:-1 gene:ORUFI06G20060 transcript:ORUFI06G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELGTGMTRAEVDVKRREQRGARVPRYSGSRIGVATAVEKSLSPRMVCPCVGIGEAVEIEVDVEWGETRRRSRPGVACGHGGVRGQDVA >ORUFI06G20070.1 pep chromosome:OR_W1943:6:19542603:19551652:-1 gene:ORUFI06G20070 transcript:ORUFI06G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAAGAGGIGVAAAAVGGFIAAATLAVAPPKNRRNPPPVVLNSTEVAKEAMVAKFSSISTRKLSKALTVLSHDKSMVATSDSGDFHKMGKRYIMLSMLGTSAQKQFRDTRDMIINNMLSTFHQLVKDDPHAPLIFRDVFKDELFRLSMIQSLGEDVSSVYVDEFGRDISKEEIYNATVTDMMMCAIEVDWRDFFPYLSWVPNKSFETRVFTTESRRTAVMRALIKQQKERIVRGEALIEAADTTLVTTEWAMYELAKNPDKQARNIERLYQEIREVCGDETVTEEHLPRLPYLNAVFHETLRRHSPVPLIPPRFVNEDTKLAGYDVPAGTEMVINLYGCNMNKKEWESPEEWAPERFAGGRFKVADMYKTMAFGAGRRACAGSLQAMHIACAAVARFVQEFGWRLREGDEEKVDTVQLTAYKLRPLHVHLTPRGRGCEPLYSLEAVAR >ORUFI06G20070.2 pep chromosome:OR_W1943:6:19542603:19551652:-1 gene:ORUFI06G20070 transcript:ORUFI06G20070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAAGAGGIGVAAAAVGGFIAAATLAVAPPKNRRNPPPVVLNSTEVAKEAMVAKFSSISTRKLSKALTVLSHDKSMVATSDSGDFHKMGKRYIMLSMLGTSAQKQFRDTRDMIINNMLSTFHQLVKDDPHAPLIFRDVFKDELFRLSMIQSLGEDVSSVYVDEFGRDISKEEIYNATVTDMMMCAIEVDWRDFFPYLSWVPNKSFETRVFTTESRRTAVMRALIKQQKERIVRGEERLYQEIREVCGDETVTEEHLPRLPYLNAVFHETLRRHSPVPLIPPRFVNEDTKLAGYDVPAGTEMVINLYGCNMNKKEWESPEEWAPERFAGGRFKVADMYKTMAFGAGRRACAGSLQAMHIACAAVARFVQEFGWRLREGDEEKVDTVQLTAYKLRPLHVHLTPRGRGCEPLYSLEAVAR >ORUFI06G20080.1 pep chromosome:OR_W1943:6:19552429:19552605:1 gene:ORUFI06G20080 transcript:ORUFI06G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDGTVAVDGGGRRQLRRRCCMGPHCQTLGSTVTNKDVFTWAKSNNRRLLHVDDVD >ORUFI06G20090.1 pep chromosome:OR_W1943:6:19567464:19576240:-1 gene:ORUFI06G20090 transcript:ORUFI06G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVPGGAGAAAAAVGGFVAAAALAERAGVIAPRKRTNAPPGFVAAAALAERAGVIAPRKRTNAPPAVPGLPIIGNLHQLKEKKPHQTFAKWAEIYGPIYTIRTGASSVVVLNSTEVAKEAMVAKFSSISTRKLSKALTVLTRDKSMVATSDYCDFHKMVKRYVMSSMLGTSAQKQFRDTRDMMIHNMLSTFHKLVKDDPHAPLIFRDVFKDELFRLSMIQSLGEDVSSVYVDEFGRDISKEEIYNATVTDMMMCAIEVDWRDFFPYLSWVPNKSFETRVFTTETRRTAVMRALIKQQKERIVRGEAKTCYLDFLLAENTLTDEQLMMLVWEALIEAADTTLERLYQEIREVCGDETVTEEHLPRLPYLNAVFHETLRRHSPVPLIPPRFVNEDTKLAGYDVPAGTEMVINLYGCNMNRKEWESPEEWVPERFAGGRLEVADMYKTMAFGAGRRACAGSLQAMHIXMYLLLMP >ORUFI06G20090.2 pep chromosome:OR_W1943:6:19567464:19576240:-1 gene:ORUFI06G20090 transcript:ORUFI06G20090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVPGGAGAAAAAVGGFVAAAALAERAGVIAPRKRTNAPPVDEEQLVGAEALLPHESAPSLGQHVALAAVPGRVTGFVAAAALAERAGVIAPRKRTNAPPAVPGLPIIGNLHQLKEKKPHQTFAKWAEIYGPIYTIRTGASSVVVLNSTEVAKEAMVAKFSSISTRKLSKALTVLTRDKSMVATSDYCDFHKMVKRYVMSSMLGTSAQKQFRDTRDMMIHNMLSTFHKLVKDDPHAPLIFRDVFKDELFRLSMIQSLGEDVSSVYVDEFGRDISKEEIYNATVTDMMMCAIEVDWRDFFPYLSWVPNKSFETRVFTTETRRTAVMRALIKQQKERIVRGEAKTCYLDFLLAENTLTDEQLMMLVWEALIEAADTTLVTTEWAMYELAKNPDKQARNIVTLFQLIVGILGIINQSFLFLAFFLLSCQERLYQEIREVCGDETVTEEHLPRLPYLNAVFHETLRRHSPVPLIPPRFVNEDTKLAGYDVPAGTEMVINLYGCNMNRKEWESPEEWVPERFAGGRLEVADMYKTMAFGAGRRACAGSLQAMHIXMYLLLMP >ORUFI06G20090.3 pep chromosome:OR_W1943:6:19567464:19576240:-1 gene:ORUFI06G20090 transcript:ORUFI06G20090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVPGGAGAAAAAVGGFVAAAALAERAGVIAPRKRTNAPPAVPGLPIIGNLHQLKEKKPHQTFAKWAEIYGPIYTIRTGASSVVVLNSTEVAKEAMVAKFSSISTRKLSKALTVLTRDKSMVATSDYCDFHKMVKRYVMSSMLGTSAQKQFRDTRDMMIHNMLSTFHKLVKDDPHAPLIFRDVFKDELFRLSMIQSLGEDVSSVYVDEFGRDISKEEIYNATVTDMMMCAIEVDWRDFFPYLSWVPNKSFETRVFTTETRRTAVMRALIKQQKERIVRGEAKTCYLDFLLAENTLTDEQLMMLVWEALIEAADTTLERLYQEIREVCGDETVTEEHLPRLPYLNAVFHETLRRHSPVPLIPPRFVNEDTKLAGYDVPAGTEMVINLYGCNMNRKEWESPEEWVPERFAGGRLEVADMYKTMAFGAGRRACAGSLQAMHIXMYLLLMP >ORUFI06G20090.4 pep chromosome:OR_W1943:6:19567464:19576240:-1 gene:ORUFI06G20090 transcript:ORUFI06G20090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVPGGAGAAAAAVGGFVAAAALAERAGVIAPRKRTNAPPGFVAAAALAERAGVIAPRKRTNAPPAVPGLPIIGNLHQLKEKKPHQTFAKWAEIYGPIYTIRTGASSVVVLNSTEVAKEAMVAKFSSISTRKLSKALTVLTRDKSMVATSDYCDFHKMVKRYVMSSMLGTSAQKQFRDTRDMMIHNMLSTFHKLVKDDPHAPLIFRDVFKDELFRLSMIQSLGEDVSSVYVDEFGRDISKEEIYNATVTDMMMCAIEVDWRDFFPYLSWVPNKSFETRVFTTETRRTAVMRALIKQQKERIVRGEAKTCYLDFLLAENTLTDEQLMMLVWEALIEAADTTLVTTEWAMYELAKNPDKQARNIVTLFQLIVGILGIINQSFLFLAFFLLSCQERLYQEIREVCGDETVTEEHLPRLPYLNAVFHETLRRHSPVPLIPPRFVNEDTKLAGYDVPAGTEMVINLYGCNMNRKEWESPEEWVPERFAGGRLEVADMYKTMAFGAGRRACAGSLQAMHIXMYLLLMP >ORUFI06G20100.1 pep chromosome:OR_W1943:6:19583952:19589753:-1 gene:ORUFI06G20100 transcript:ORUFI06G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVSGGAGGVGAAAVVGVFVAAAVVGGFVAAVALAERAGVIAPRKRPNAPPAVPGLPIIGNLHQLKEKKPHQTITKWAEIYGPIYTIRIGASSVVVLNSTEVAKEAMVAKFSSISTRKLSKALTVLTRDKSMVATSDYGDFHKMVKRYVMSSTLGTSAQKKFRDTRDMMINNMLSTFHKLVKDDPHVPLIFRDVFKDELFRLSMIQSLGEDVSSVYVDEFGRDISKEEIYNATVTDMMMCAIEVDWRDFFSYLSWVPNKSFETRVFTAEARRTAVMRALIKQQKERIVRGEAKICYLDFLLAENTLTDEQLTMLVWEELIEAADTTLERLYQEIREVCGDETVTEEHLPRLPYLNAVFHETLRRHSPVPLIPPRFVHEDTKLAGYDVPAGTEMVINLYGCNMNKKEWESPEEWVPERFTGGRLEVADMYKTMAFGAGRRACAGSLQVMHIACTAIARFVQEFGWRLTEGDEEKVDTVQFTAYKLHPLHVHLTPRGRM >ORUFI06G20100.2 pep chromosome:OR_W1943:6:19583952:19589753:-1 gene:ORUFI06G20100 transcript:ORUFI06G20100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVSGGAGGVGAAAVVGVFVAAAVVGGFVAAVALAERAGVIAPRKRPNAPPAVPGLPIIGNLHQLKEKKPHQTITKWAEIYGPIYTIRIGASSVVVLNSTEVAKEAMVAKFSSISTRKLSKALTVLTRDKSMVATSDYGDFHKMVKRYVMSSTLGTSAQKKFRDTRDMMINNMLSTFHKLVKDDPHVPLIFRDVFKDELFRLSMIQSLGEDVSSVYVDEFGRDISKEEIYNATVTDMMMCAIEVDWRDFFSYLSWVPNKSFETRVFTAEARRTAVMRALIKQQKERIVRGEAKICYLDFLLAENTLTDEQLTMLVWEELIEAADTTLVATEWAMYELAKNPDKQARNICYSERLYQEIREVCGDETVTEEHLPRLPYLNAVFHETLRRHSPVPLIPPRFVHEDTKLAGYDVPAGTEMVINLYGCNMNKKEWESPEEWVPERFTGGRLEVADMYKTMAFGAGRRACAGSLQVMHIACTAIARFVQEFGWRLTEGDEEKVDTVQFTAYKLHPLHVHLTPRGRM >ORUFI06G20110.1 pep chromosome:OR_W1943:6:19592284:19592739:-1 gene:ORUFI06G20110 transcript:ORUFI06G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPIASASNRVTTPLAGSRVVNHMPPTRAVARPCDTAGSYTRATATSQSPWKGHQVEGVQHLAQFILVVVDIAKHVHWLLRELGDGSLNICHLPEPLHLVEAGEGDAGVWVEVEQHLDELPCVRCQPRRAAEVPLPHLVIDAHQVLVLEQ >ORUFI06G20120.1 pep chromosome:OR_W1943:6:19613034:19616798:1 gene:ORUFI06G20120 transcript:ORUFI06G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIFALPPDARARAMGRAAARLPGCLYLCLWAPAAAIAGGVQPNHLFCLDAWIGGGGGVGAGGGGGDRALELFEAYRGALCAAVSGCVPGWAYKEGAACMELTEHDLAASASLQVQQQFYHETGTKMAVFMGCDSGEIEVGLSAASATATAAVVGEMQQSILEELLQMPPPPPSPSSSSLLSLSVGSPEYSSLVRSMATSVGASAAADPSPVHGGLLAPVYGEFPGSDDDAAMAQAMLAVISTPAPPPPPWRPPRRRARSSSSPLRATAFKAYNAALSPRARPRPGAPGQRMIKTGISLLASVHMQTRSRELAAARQRDTHAAPPPPPPPPPPSSSQLHHMISERRRRERINDSFQTLRALLPLPPDSKKDKAAILASTTEYMDKLISQVSELGEKNRQLEAQLAARSGEAQWPAASGGGGGESSLERVQVDVVIAGSSASTDQPREVSIRVTVRAECDVSELVVAVLARLREMGRFAVAGDVCDETSLKEAVAKAVDGAVTAPPPPVAPPPPTSP >ORUFI06G20130.1 pep chromosome:OR_W1943:6:19617211:19620911:-1 gene:ORUFI06G20130 transcript:ORUFI06G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPSAAAGATPPDPLRRDRILSSKLYLDVPGSKAPVVYSPAYDIAFLGIEKLHPFDSSKWGRICKFLTKEGHLEKNRVVEPLEATKDDLLVVHSESYLNSLKSSLKVASIVELPPVAFIPNWLVQQKLLYPFRKQVGGSILSAKLALERGWAINVGGGFHHCSAEQGGGFCAYADISLCIQFAFVRLNISRVMIIDLDAHQGNGHEKDFANDGRVYTLDMYNAGIYPYDHVAKRYIDQKVELVSGTKTEDYLDQLDKALKVAESRFQPQLIVYNAGTDILDGDPLGRLKISPQGVVIRDEKVFKFAKDQSIPLLMLTSGGYMKSSARVIADSIINLSNKNLIELGSQLG >ORUFI06G20130.2 pep chromosome:OR_W1943:6:19617211:19620911:-1 gene:ORUFI06G20130 transcript:ORUFI06G20130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPSAAAGATPPDPLRRDRILSSKLYLDVPGSKAPVVYSPAYDIAFLGIEKLHPFDSSKWGRICKFLTKEGHLEKNRVVEPLEATKDDLLVVHSESYLNSLKSSLKVASIVELPPVAFIPNWLVQQKLLYPFRKQVGGSILSAKLALERGWAINVGGGFHHCSAEQGGGFCAYADISLCIQFAFVRLNISRVMIIDLDAHQGNGHEKDFANDEDYLDQLDKALKVAESRFQPQLIVYNAGTDILDGDPLGRLKISPQGVVIRDEKVFKFAKDQSIPLLMLTSGGYMKSSARVIADSIINLSNKNLIELGSQLG >ORUFI06G20140.1 pep chromosome:OR_W1943:6:19623721:19627950:1 gene:ORUFI06G20140 transcript:ORUFI06G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWRIWRPRSRAVPFLSLLLLAPLVFTGLKAVNKIGLSSERNYSRGHVTFVTVFTTYNSDPAEASKLPSNVVTVGKHSYSKVGRSMAILNTFIGFIQVSMPRSNVIILTDPNSKLTHGSAVILPIEGNYSRGNLMFQRIRSYIAFLEQRLEELETVEDINHLIFTDSDIAVVTDLGHIFEMYPHCHLALTFRNNKGQPLNSGFVAVRGTRDGIFKAIEFFKEVLEAYYLKYMEASRMLGDQLALAWVVKSYLPSAFSKFSKHEAFTVYNWTPPEGAGQFHGMPLDVK >ORUFI06G20150.1 pep chromosome:OR_W1943:6:19629687:19632903:-1 gene:ORUFI06G20150 transcript:ORUFI06G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHCGSGNKNAFKALIAAEYTGVKVELTKNFEMGVSNKTPEFLKMNPLGKIPVLETPEGAVFESNAIARYVARLKDNSSLCGSSLIDYSHIEQWMDFSATEVDANIGRWLYPRLGFGPYVPVLEEFAITSLKRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYYGFVRILIKSFTSEFPHVERYFWTMVNQPNFKKVIGDFKQAESVPPVQKKAAPPKESKAKEAKKEAPKEAPKPKVEASEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREIAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSTPPFKVKGLWLFRGQDIPKFVMDEVYDMELYEWTKVDLSDEAQKERVNAMIEDQEPFEGEDLLDAKCFK >ORUFI06G20160.1 pep chromosome:OR_W1943:6:19635720:19650082:1 gene:ORUFI06G20160 transcript:ORUFI06G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYMSQLSAALPLMEGEHHHHHQDHHQGHFQAFSLQPKDPPVLFPFVISRRSSSSSPSDSTTLSYGSDHHLTQQQQHQHQAMLEPQNMIGGSSAGIFATPFPTVKSIRDDMIERSQFDPYDTEKLQASCGLAKVVAGGKWSAVPAAKMKITRKMGEPSSGVTGGAATTVAPKKPRRRPAQAYEDHGHGGAMGQAFGVIRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMASGLPASPNAAGPKAAAHSGAAAVAAAQPKVKKEKRADVDRSSLPFKKRCKVVQVEDHQTLPAATNAAAAAAMEETAESATVAPPPAPTTRGGTLVDSIGLSWSKTHAAATASCSFRPSPVAPGFAAAVQDEITDAAMLLMTLSCGLVRS >ORUFI06G20160.2 pep chromosome:OR_W1943:6:19648217:19650082:1 gene:ORUFI06G20160 transcript:ORUFI06G20160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYMSQLSAALPLMEGEHHHHHQDHHQGHFQAFSLQPKDPPVLFPFVISRRSSSSSPSDSTTLSYGSDHHLTQQQQHQHQAMLEPQNMIGGSSAGIFATPFPTVKSIRDDMIERSQFDPYDTEKLQASCGLAKVVAGGKWSAVPAAKMKITRKMGEPSSGVTGGAATTVAPKKPRRRPAQAYEDHGHGGAMGQAFGVIRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMASGLPASPNAAGPKAAAHSGAAAVAAAQPKVKKEKRADVDRSSLPFKKRCKVVQVEDHQTLPAATNAAAAAAMEETAESATVAPPPAPTTRGGTLVDSIGLSWSKTHAAATASCSFRPSPVAPGFAAAVQDEITDAAMLLMTLSCGLVRS >ORUFI06G20170.1 pep chromosome:OR_W1943:6:19674466:19676439:1 gene:ORUFI06G20170 transcript:ORUFI06G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRGAVDWPRWRLGGAAQRRQQRQPRLRAAAALARNVKAVALVRRSSSAAEAAANLVARAGGELSGVGALAVEQHLQHAGGHGMPP >ORUFI06G20180.1 pep chromosome:OR_W1943:6:19684249:19684735:1 gene:ORUFI06G20180 transcript:ORUFI06G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSTSKNKNSSNLQDPQNMGINQPMLLFEDPPQASRNKGRVQASLKPEDYTINLNHLKQYSSNERCHLTPAKRRINATCYRCGEEGHCALDCPKKKLGNGQ >ORUFI06G20190.1 pep chromosome:OR_W1943:6:19686479:19689143:-1 gene:ORUFI06G20190 transcript:ORUFI06G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRAQLTTFLIVTSFLSTVPYLGAPVHGGVLTSYDVSSLDIMSKIHTDHDATTKASSDFGHIVHATPNGVFRPTFPADIAALIRLSLSQPTPFTVAPRGKGHSSRGQAFAPGGIVVDMSALGDHGHHTSHRIDVSVDRMYVDAGGEQLWIDVLHTALKHGLTPRVWTDYLRITVGGTLSNAGIGGQAFRHGPQISNIHELDVVTGMGEMITCSPEVNSALFFAVLGGLGQFGVITRARIRLEPAPKRVKWVRIAYSDVHPFTTDQELLISKWASGSGFDYVEGQVQLNRTLTQGRRSSSFFSATDLARLTGLAIDTGSVAIYYIEGAMYYDDNTAASVDQKLDALLEELSFVRGFVFVRDASYVEFLDRVGREEQNLRSAGAWDVPHPWLNLFVPRSRILHFDAAVFKGILRNANPVGLILMYPMNKDMWDDRMTAMTPDEDVFYAVGLLRSAVAGGSGGDVEQLERENAAVLELCDLAGGGIGCRQYLPHHASRDGWRRHFGAKWGRVADLKARYDPRAILSPGQGIFPPPPPPSPQPPAAGEPITAS >ORUFI06G20200.1 pep chromosome:OR_W1943:6:19691920:19694118:-1 gene:ORUFI06G20200 transcript:ORUFI06G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNITACVNFLALVCAVPVVATGVWFASKQGDDCARVARWPLAILGAALLLVALAGFAGAYWNRRGLLAAYLFAMAALITLLLALLVFAFAVTRPSGAYPAFARAYDDYRLDGYSTWLRDRVAGDPRRWEGIRACLAASDTCRKLAQESVFFITPEQFYQSHLTPLQSGCCKPPTVCGYAYVSPTVWVNPANPAADADCAAWGNDPSQLCYECSSCKAGMLGTLREQWRRANVALVIATVALIFFYVIGCSAFKNAQTEDLFRRYKWRN >ORUFI06G20210.1 pep chromosome:OR_W1943:6:19700387:19702307:-1 gene:ORUFI06G20210 transcript:ORUFI06G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHESITTISPVVSSSHAFQRWKQKQPGTSTHQSSDASAATNEQAPGWACVDSNAQAQRPAVARAHGRTKGEHVEGWLPISSSFAARRWLLMEGGGASGVELGGGGQREMAVAIGCRGGEGMRRGGGSPLTDDAGGEGRTSSKSWLLRAMTSHVRSVVPSVLNGASTKANTGKRKTRDGRGRARLWTSFATALPYRAPVVPRVSYLPRPMPVFTTKRLHISSPLPVAARLRH >ORUFI06G20220.1 pep chromosome:OR_W1943:6:19708023:19708327:-1 gene:ORUFI06G20220 transcript:ORUFI06G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRVLTIAADSPSTPRTLRSLVILISWKIWCEQNTRIFRNNASALSSILVKIKEEERAWAKAGAAILQEFGILGDIT >ORUFI06G20230.1 pep chromosome:OR_W1943:6:19721017:19724470:1 gene:ORUFI06G20230 transcript:ORUFI06G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVCNWYEGLVLFEPAKFLGDCLGPFAIILIKYASDKFEIIAIRANSGHQKDDGSHSQPEY >ORUFI06G20240.1 pep chromosome:OR_W1943:6:19729883:19736407:1 gene:ORUFI06G20240 transcript:ORUFI06G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAVAFLLLVAAAAAVANAAVTYDHRSLTINGQRRILISGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPVQGQYYFSDRYDLVRFVKLVKQAGLYVNLRIGPYVCAEWNYGGFPVWLKYVPGISFRTDNGPFKAAMQTFVEKIVSMMKSEGLFEWQGGPIILAQVENEYGPMESVMGSGAKSYVDWAAKMAVATNAGVPWIMCKQDDAPDPVINTCNGFYCDDFTPNSKNKPSMWTEAWSGWFTAFGGTVPQRPVEDLAFAVARFIQKGGSFINYYMYHGGTNFDRTAGGPFIATSYDYDAPIDEYGLLRQPKWGHLTNLHKAIKQAEPALVAGDPTVQNIGNYEKAYVFRSSSGDCAAFLSNFHTSAAARVAFNGRRYDLPAWSISVLPDCRTAVYNTATVTAASSPAKMNPAGGFTWQSYGEATNSLDETAFTKDGLVEQLSMTWDKSDYLWYTTYVNIDSGEQFLKSGQWPQLTVYSAGHSVQVFVNGQYFGNAYGGYDGPKLTYSGYVKMWQGSNKISILSSAVGLPNVGTHYETWNIGVLGPVTLSGLNEGKRDLSKQKWTYQIGLKGEKLGVHSVSGSSSVEWGGAAGKQPVTWHRAYFNAPAGGAPVALDLGSMGKGQAWVNGHLIGRYWSYKASGNCGGCSYAGTYSEKKCQANCGDASQRWYHVPRSWLNPSGNLVVLLEEFGGDLSGVTLMTRTT >ORUFI06G20250.1 pep chromosome:OR_W1943:6:19738349:19739803:1 gene:ORUFI06G20250 transcript:ORUFI06G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWLPLFRYLLASPAPNAAAFSSSSSSSGDDDVHCPTAPPPAAALLRLLLSPAPTLPASDPPAILFQTLPPLAQSQALSFLASSAGLLDPALVRSLATRVLSEPSGRYGLWARRGARHLLDGLPQGGGIDAPGEFLDGFHEPPQWLKEAAARTRPALPWLPLDRHSVKVGVCSGRYGFDRVGLDSLVLEKDEDSEMQEAKCVPSPSQPAALGTLSVQRALALQKEILMAESILVAQRVAKDLQQLCVESGNAEAVLSIVQPWKADDDTVRVLLSSLVLDGDGMHRKGPALMLCSLFLPKLLEIQRPVSSVLLSAALDLCKRHPAAALEAILLPLVLRKEGLNVPQCDVLTRIVKDCMHPLHVTAFCHRLLSGDEREWRPVCMPEHRSNISSNLVWTESLFALLYSILNQDICLTSSSTENLVSVIDEMASKLPRSLKFGNFLLCFISKCWCVSKIHSVLLERAAEKTDTFLTKAILAKLRTAN >ORUFI06G20260.1 pep chromosome:OR_W1943:6:19742007:19743110:-1 gene:ORUFI06G20260 transcript:ORUFI06G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIKMDELHGEKRSETLQLLHDACAQWGFFWLENHGINEDLMYKIKGLVNKHYEQSMEKNFYNSETAKNLGPDNVVSNVDWECSFMYRHQPESNIHDIPELVRTTLPEYAEEVIKLAERLAEVMSENLGLDKDYLKKAFSNPSVGIKAAKYPRCSHPEFVMGLRGHTDAGGIILLLQDDLVPGLEFLKDGRWMPIPPTQGNRIFVNLGDQVEVISNGIYKSICHQVVPNKNGSRLSIATFYNPGPDAIVFPAQKLTYPSQYRFKDYLEFYSTTKFTDKVSRFQTTKMIFK >ORUFI06G20270.1 pep chromosome:OR_W1943:6:19744941:19745984:1 gene:ORUFI06G20270 transcript:ORUFI06G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMHPRDDDLDLAAGEAAAPVKSGDGGGTPTMGAAMDKERQIPVDPVSLRHLGMVADEDSPLSAPSVLTEVVVRSSSPMLPPLRRPTFVAASLPCSATSSPVHGAAETDKPAAATPSPTAAMRALARQHSVALAHYVAAPGAAAPALARSASRAEGRSMVPHDDEGDAEAPKAIAAGEDEGFNCGALCMFIPGFSKKKSSAAAAAAVVSSMQRQQSVGARPRRSSSVSRLASLERFECGSWSPPPPMAPAEHLAQEVAKSSCADDTEAPVKMAFVFDHGEPRGILKKSASSRQEPARPSASSSQRHVRFSTAAAAAAASCPTSPCVTPRLARARAEFNAFLEAAQSA >ORUFI06G20280.1 pep chromosome:OR_W1943:6:19751064:19757314:1 gene:ORUFI06G20280 transcript:ORUFI06G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARAAYLAATRAALAALERNALPDAVTRRLTRLLLAQRLRLGYLPSSSSSAPLHLHHLLLFAHALEEMPIAIETEKAKDQHYELPTTFFKLVLGRNLKYSSCYFPDESSTLEDAEVAMLELYCERAQLQDGQTILDVGCGWGSLSLYIAKKYSKCSITGICNSTTQKAFIEEQCRENELSNVEIIVADISKFEMERSFDRIISIEMFEHMKNYKALLKKLSRWMKEDSLLFVHYFCHKTFAYHFEVTNIPELFSPVTVFIRIITSYIQQDNNEDDWITRYFFTGGTMPSANLLLYFQDDVSIANHWLVSGTHYARTSEEWLKRMDKNITSIRPIFEKTYGKESATKWIAYWRTFFISVAELFGYNNGDEWMVAHFLFRKK >ORUFI06G20280.2 pep chromosome:OR_W1943:6:19751064:19757314:1 gene:ORUFI06G20280 transcript:ORUFI06G20280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARAAYLAATRAALAALERNALPDAVTRRLTRLLLAQRLRLGYLPSSSSSAPLHLHHLLLFAHALEEMPIAIETEKAKDQHYELPTTFFKLVLGRNLKYSSCYFPDESSTLEDAEVAMLELYCERAQLQDGQTILDVGCGWGSLSLYIAKKYSKCSITGICNSTTQKAFIEEQCRENELSNVEIIVADISKFEMERSFDRIISIEMFEHMKNYKALLKKLSRWMKEDSLLFVHYFCHKTFAYHFEDNNEDDWITRYFFTGGTMPSANLLLYFQDDVSIANHWLVSGTHYARTSEEWLKRMDKNITSIRPIFEKTYGKESATKWIAYWRTFFISVAELFGYNNGDEWMVAHFLFRKK >ORUFI06G20290.1 pep chromosome:OR_W1943:6:19755742:19759556:-1 gene:ORUFI06G20290 transcript:ORUFI06G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSSLASSSGGGDAASASASASAAAAAGAIVVAVAVRGDGRASRRAARWAAANLAAHGAGAGRVALVHVIPPVSFVPSPSGERVPVEKMDAETVEMYAEDRRARAQEEVFLPLRRLFARTTVETVILEEPSVTAALVRYAADSGVRNLVVGSTSLNWFKRILRLRDVPSTVLKAMPCSCNVFVVSRHRLTIKFANQARTSKSSACVRTQSISHKSFSRIQKNWLLDKQSLHDHPEDGTPKSSGDTSYAGSHTCSSRSTSTNAGKSSGSHGRSLFGSLGRKTPGRDVNTDPDAIGRLKEIPYVALSSIDEDLQSQPVDEVAKLRKELQDTLVMYDKACEDLVHAKKKIKVLSSECTEEAKKVQDALHREELLKQKVADEKTRHLEAVTEVEMAKTLFAQEAFSKHKAEIVADMVIAEKTKVMDALLSTGKSCRRYSKREIQLATDNFSDAKKIGEGGYGNVYRCTLDHTEVAVKVIQQDSSDKIDEFLREVEILSQLHHPNLVLLLGFCPEIGCLVYEYMENGSLEDQLINNKGQQSLHWFLRIQIIFEVACGLAFLHATKPEPIVHRDLKPGNILLDKNYVSKIGDVGLAKLISDIVPEGLTEYRDTAVAGTLYYMDPEYQLTGTIRPKSDVYALGIIILQLLTGKRPHGLILSAEEAIKKDSISDVLDSSQIDWPIAEAEILAKLAVRCTALKCRDRPSLESEVLPEIESILSRITASPTLRSPNAAVPSHFICPILQEVMDDPYVAADGHTYEHRAIKAWLKKHKTSPVTKQRLQYLSIIPNHSLRVAIQQWKSQSS >ORUFI06G20300.1 pep chromosome:OR_W1943:6:19766136:19768999:-1 gene:ORUFI06G20300 transcript:ORUFI06G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKTTFMKAILLFVDSLIEQFAASCSHLETLITCIGGDEEILESYAKSWTSDVLDKAFQQDCMSFTIARHMASFSSAAVLSKCEISWLNRLSRCYAQKRHRS >ORUFI06G20310.1 pep chromosome:OR_W1943:6:19773058:19781566:-1 gene:ORUFI06G20310 transcript:ORUFI06G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAERRRRQQQQQPGAAHPARRKVVEEPFDPSPPPAAAVAPPSSRLVGAIVEKGFSSGAAAAAPSSAPSPTVLPFPVARHRSHGPHWKPAARDAAMAEGEGEEEEGMDVDETDYQPVAAAAGPVKRKEKKGMDFSRWREFVADDAPPKRRQAKPLQPKKQTAQKIDTGVVAATTGGTAQEKRSGGIGMQLEVGNGKEELGGAALMSDVAPRKPMKQVDARDDVRNVELRGEGMESDNGEPSLTAEINAENMARLAGMSAGEIAEAQAEILNRMDPAFVEMLKRRGKEKSGSRKDGGKGKGGGISGPGKISKAMPGEWLSAGEHSGHTWKAWSERVERIRSCRFTLEGDILGFQSCQEQQHGKKAHVETVGERDFLRTEGDPAAVGYTINEAVALSRSMVPGQRVLALQLLALILNRALQNLHKTDLIDNFKESNDDDKFNDWQAVWAYAIGPEPELVLSLRMSLDDNHDSVVLTCAKVINAMLSYEMNEMYFDVLEKVVDQGKDICTAPVFRSKPDQNGGFLEGGFWKYNTKPSNILPHYGENDEEEGDEKHTIQDDVVVSGQDVAAGLVRMGILPRICFLLEMDPHPILEDNLVSILLGLARHSPQSADAILNCPRLVQSVVKLLVKQGSMEIHSSQIKGVNLLKVLSKYNRQTCFNFVNTGVFHQAMWHWYRKAYTLEDWIRSGKEHCKLTSALMVEQLRFWRTCISYGFCITHFTDFFPILCLWLSPSMFQKLSESNVVAEFSSIATESYLVLGALAQRLPLLHSVEQLSKQDMGLSGIQVETWSWSHAVPMVDLALSWLCLNDIPYVCLLISGQSKNILEGSYFALVISSVLGMLDSILERISPDSTHDGKSYCLPWIPDFVPKIGLGVITNGFFNFLDDNAVELEQHTSFHGSSLVQGLFHLRSQGNVDTSLCSISCFQRLLQLSCSIDRVIQNATTNCTEHLKESKTGIAGRILEQEMFGRGGPAPGVGFGWGAYGGGFWSLNFLLAQLDSHFVLELMKILSTGPEGLVTVNKSVNPIVQEGNNVTDSVAITSERISSVLSVSLMAGPGQISTLEKAFDILFHPSVLKFLKSSVLDSHMKLAKAFEWDITEDEYLHFSSVLNSHFRSRWLVIKKKHSDEFTRNNNGTNVPKIPETLETIQEETELAEAVNPPCSVLAVEWAHQRLPLPVHWILSAVCCIDDPKANLSTSYAVDVSKAGLFFLLGLEAISAAPCLHAPLVWKMHALSASIRSSMDLLLEDRSRDIFHALQELYGLHLDRLCQKYDSAHSVKKEGSASVDEEKVTRTEVLRFQEKIHANYTTFVESLIEQFAAVSYGDALFGRQVAIYLHRSVEPTIRLAAWNALSNAYVLELLPPLDKCVGDVQGYLEPLEDDEGILESYAKSWTSGALDKAFQRDAMSFTVARHHLSGFVFQCSGSGKVRNKLVKSLIRCYGQKRHHEDMLKGFVLQGIAQDSQRNDEVSRRFEIMKDACEMNSSLLAEVRRLKTSIDR >ORUFI06G20310.2 pep chromosome:OR_W1943:6:19773058:19781566:-1 gene:ORUFI06G20310 transcript:ORUFI06G20310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAERRRRQQQQQPGAAHPARRKVVEEPFDPSPPPAAAVAPPSSRLVGAIVEKGFSSGAAAAAPSSAPSPTVLPFPVARHRSHGPHWKPAARDAAMAEGEGEEEEGMDVDETDYQPVAAAAGPVKRKEKKGMDFSRWREFVADDAPPKRRQAKPLQPKKQTAQKIDTGVVAATTGGTAQEKRSGGIGMQLEVGNGKEELGGAALMSDVAPRKPMKQVDARDDVRNVELRGEGMESDNGEPSLTAEINAENMARLAGMSAGEIAEAQAEILNRMDPAFVEMLKRRGKEKSGSRKDGGKGKGGGISGPGKISKAMPGEWLSAGEHSGHTWKAWSERVERIRSCRFTLEGDILGFQSCQEQQHGKKAHVETVGERDFLRTEGDPAAVGYTINEAVALSRSMVPGQRVLALQLLALILNRALQNLHKTDLIDNFKESNDDDKFNDWQAVWAYAIGPEPELVLSLRMSLDDNHDSVVLTCAKVINAMLSYEMNEMYFDVLEKVVDQGKDICTAPVFRSKPDQNGGFLEGGFWKYNTKPSNILPHYGENDEEEGDEKHTIQDDVVVSGQDVAAGLVRMGILPRICFLLEMDPHPILEDNLVSILLGLARHSPQSADAILNCPRLVQSVVKLLVKQGSMEIHSSQIKGVNLLKVLSKYNRQTCFNFVNTGVFHQAMWHWYRKAYTLEDWIRSGKEHCKLTSALMVEQLRFWRTCISYGFCITHFTDFFPILCLWLSPSMFQKLSESNVVAEFSSIATESYLVLGALAQRLPLLHSVEQLSKQDMGLSGIQVETWSWSHAVPMVDLALSWLCLNDIPYVCLLISGQSKNILEGSYFALVISSVLGMLDSILERISPDSTHDGKSYCLPWIPDFVPKIGLGVITNGFFNFLDDNAVELEQHTSFHGSSLVQGLFHLRSQGNVDTSLCSISCFQRLLQLSCSIDRVIQNATTNCTEHLKESKTGIAGRILEQGICNFWRNNLLDMLTSLLPMISSQWSILQNIEMFGRGGPAPGVGFGWGAYGGGFWSLNFLLAQLDSHFVLELMKILSTGPEGLVTVNKSVNPIVQEGNNVTDSVAITSERISSVLSVSLMAGPGQISTLEKAFDILFHPSVLKFLKSSVLDSHMKLAKAFEWDITEDEYLHFSSVLNSHFRSRWLVIKKKHSDEFTRNNNGTNVPKIPETLETIQEETELAEAVNPPCSVLAVEWAHQRLPLPVHWILSAVCCIDDPKANLSTSYAVDVSKAGLFFLLGLEAISAAPCLHAPLVWKMHALSASIRSSMDLLLEDRSRDIFHALQELYGLHLDRLCQKYDSAHSVKKEGSASVDEEKVTRTEVLRFQEKIHANYTTFVESLIEQFAAVSYGDALFGRQVAIYLHRSVEPTIRLAAWNALSNAYVLELLPPLDKCVGDVQGYLEPLEDDEGILESYAKSWTSGALDKAFQRDAMSFTVARHHLSGFVFQCSGSGKVRNKLVKSLIRCYGQKRHHEDMLKGFVLQGIAQDSQRNDEVSRRFEIMKDACEMNSSLLAEVRRLKTSIDR >ORUFI06G20310.3 pep chromosome:OR_W1943:6:19773058:19781566:-1 gene:ORUFI06G20310 transcript:ORUFI06G20310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAERRRRQQQQQPGAAHPARRKVVEEPFDPSPPPAAAVAPPSSRLVGAIVEKGFSSGAAAAAPSSAPSPTVLPFPVARHRSHGPHWKPAARDAAMAEGEGEEEEGMDVDETDYQPVAAAAGPVKRKEKKGMDFSRWREFVADDAPPKRRQAKPLQPKKQTAQKIDTGVVAATTGGTAQEKRSGGIGMQLEVGNGKEELGGAALMSDVAPRKPMKQVDARDDVRNVELRGEGMESDNGEPSLTAEINAENMARLAGMSAGEIAEAQAEILNRMDPAFVEMLKRRGKEKSGSRKDGGKGKGGGISGPGKISKAMPGEWLSAGEHSGHTWKAWSERVERIRSCRFTLEGDILGFQSCQEQQHVFWYPLHVNLAFPLTGKKAHVETVGERDFLRTEGDPAAVGYTINEAVALSRSMVPGQRVLALQLLALILNRALQNLHKTDLIDNFKESNDDDKFNDWQAVWAYAIGPEPELVLSLRMSLDDNHDSVVLTCAKVINAMLSYEMNEMYFDVLEKVVDQGKDICTAPVFRSKPDQNGGFLEGGFWKYNTKPSNILPHYGENDEEEGDEKHTIQDDVVVSGQDVAAGLVRMGILPRICFLLEMDPHPILEDNLVSILLGLARHSPQSADAILNCPRLVQSVVKLLVKQGSMEIHSSQIKGVNLLKVLSKYNRQTCFNFVNTGVFHQAMWHWYRKAYTLEDWIRSGKEHCKLTSALMVEQLRFWRTCISYGFCITHFTDFFPILCLWLSPSMFQKLSESNVVAEFSSIATESYLVLGALAQRLPLLHSVEQLSKQDMGLSGIQVETWSWSHAVPMVDLALSWLCLNDIPYVCLLISGQSKNILEGSYFALVISSVLGMLDSILERISPDSTHDGKSYCLPWIPDFVPKIGLGVITNGFFNFLDDNAVELEQHTSFHGSSLVQGLFHLRSQGNVDTSLCSISCFQRLLQLSCSIDRVIQNATTNCTEHLKESKTGIAGRILEQGICNFWRNNLLDMLTSLLPMISSQWSILQNIEMFGRGGPAPGVGFGWGAYGGGFWSLNFLLAQLDSHFVLELMKILSTGPEGLVTVNKSVNPIVQEGNNVTDSVAITSERISSVLSVSLMAGPGQISTLEKAFDILFHPSVLKFLKSSVLDSHMKLAKAFEWDITEDEYLHFSSVLNSHFRSRWLVIKKKHSDEFTRNNNGTNVPKIPETLETIQEETELAEAVNPPCSVLAVEWAHQRLPLPVHWILSAVCCIDDPKANLSTSYAVDVSKAGLFFLLGLEAISAAPCLHAPLVWKMHALSASIRSSMDLLLEDRSRDIFHALQELYGLHLDRLCQKYDSAHSVKKEGSASVDEEKVTRTEVLRFQEKIHANYTTFVESLIEQFAAVSYGDALFGRQVAIYLHRSVEPTIRLAAWNALSNAYVLELLPPLDKCVGDVQGYLEPLEDDEGILESYAKSWTSGALDKAFQRDAMSFTVARHHLSGFVFQCSGSGKVRNKLVKSLIRCYGQKRHHEDMLKGFVLQGIAQDSQRNDEVSRRFEIMKDACEMNSSLLAEVRRLKTSIDR >ORUFI06G20320.1 pep chromosome:OR_W1943:6:19785622:19787072:1 gene:ORUFI06G20320 transcript:ORUFI06G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWRKDTTLTSGLLECDKESLAEEANRSCPSRYCDLGEDWKTLELGWESWIHKHELGRCKIGLAVGKRCFGSYLNQDGNIFKATNYFPTIIPGEQCTIGQMSEN >ORUFI06G20330.1 pep chromosome:OR_W1943:6:19787599:19794245:1 gene:ORUFI06G20330 transcript:ORUFI06G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHADLDRQISQLRECKFLGEAEVRALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDSPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALVENQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLTLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >ORUFI06G20340.1 pep chromosome:OR_W1943:6:19795035:19797509:1 gene:ORUFI06G20340 transcript:ORUFI06G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSASLLLFTLIHPLLCISAQDFLKPGSSLSVQDVLHSPDGTFTCGFYKISPNASTFSIWFSNLTENPVVWSANPLHPVYTWGSKVELKFDGGMFLKDYAGQIVWANNVSSSDTQYAQAQLLDTGNLVVKGESGNTLWQSFDSPTDTLLPTQSITAATKLVSTNRLLVPGHYSFRFDDQYLLSLFDDEKNISFIYWPNPSMTIWAKLRSPFNSTTNGVLDSWGHFLGSDNATFIAADWGPGTVRRLTLDYDGNLRLYSLDKVDRTWSVTWMAFPQLCKVRGLCGQNGICVYTPVPACACAPGYEIIDPSDRSKGCSPKVNLSCDGQKVKFVALRNTDFLGYDLSVYRFVPLGFCKNICLKDCRCKGFAYWEGTGDCYPKSVLLGGVTLSNFGSTGTMYLKLPEGVNVSRSSFPHSQPLGPKYGPNCNTTNNISIADFLDTLNSGQSISKFLYFYGFLSAIFLAEVLFVLLGWFILRREAKQLRGVWPAEAGYEMIANHFRRYTYRELVLATRKFKDELGRGASGVVYKGVLKDNRVVAVKKLVDVNEGEEEFQHELSVISRIYHTNLVRVWGFCSDGPHRILVSEFVENGSLDKILFGSGGSQNLLGWTQRFNIALGVAKGLAYLHHECSEWVIHCDMKPENILLGENMEPKIADFGLAKLLNRDGSNIDISRIRGTRGYLAPEWVYSLPITAKVDVYSFGIVLLELLKGARVSELEKNDDEDVKMALGRVIRLCSEQLKSDGDDQFWIADFIDTRLNGQFNSAQARMMMELAVSCLEEDRVRRPTMECVVQKLVSVDEVSSTPTGGSEEPHSTRTSSLISY >ORUFI06G20350.1 pep chromosome:OR_W1943:6:19806618:19809949:-1 gene:ORUFI06G20350 transcript:ORUFI06G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARGNHVLICTKEDESGEGAERQSLQPFLLELESRFAPNDRIQRECKFEVFSAGYGDGDDQLTTCTKLALDHIDIDLENVQGFDSNAFTMKLFDDNDDLIYFHVVFTGETPGRRGGGGKRYSFAEIVGKDKPEEVRMWKEMDEESENCTVENCIFCTGMWHPLSGGFCGYKRKKKNRR >ORUFI06G20350.2 pep chromosome:OR_W1943:6:19806618:19813037:-1 gene:ORUFI06G20350 transcript:ORUFI06G20350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPPAARRRRASLGSRRPAPAAALLLQQTPSPFLHCNGYGDGDDQLTTCTKLALDHIDIDLENVQGFDSNAFTMKLFDDNDDLIYFHVVFTGETPGRRGGGGKRYSFAEIVGKDKPEEVRMWKEMDEESENCTVENCIFCTGMWHPLSGGFCGYKRKKKNRR >ORUFI06G20360.1 pep chromosome:OR_W1943:6:19809862:19812282:1 gene:ORUFI06G20360 transcript:ORUFI06G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSASLLLLLIHPLLCISAHDFLSPGASLSEDDVLYSPNGDFACGLYKISPNSCTFSIWFTNSADKTVVWSANPLHPVYTQGSKMELKSDGSMVLTDNSGQIVWTNNVSSSNGEQVQAQLLNTGNLIVKGKGDTILWQSFDSPTDTLLPTQNITVRIKLTSTNRLLVPGRYSFHFNDQFQLSLFYEENDIPFIYWPNPTRTISGRERMLYNIIPTGTLNSSGHFLESENLTFMAADWGLGIMRRLTLDYDGNLRLYSLNNSSGTWSVTWMAFPQLCNVRGVCGINGICVYTPVPACACPPGYDFIDPSDQSKGCSPRVNITCDVQQKVMFVSLPNTQFLDSDLSPLRYVSLGACENICLKDCNCMGFVYWQGIGKCYPKSVLLSGVSLPHIGSTGTMYLKLPMEEVLEELQLSEHSMTSIPQSQPFGPKYGPDCNANKNLDEHKSGQNESKYLYFYGFLSAIFLAEVTFIVFGWFILRREGKLARGISEVGYEMVTNHFRRYTYRELMIATRKFQDEIGRGASGIVYKGILKDMRAVAVKKLLDINQGEEEFKHELSVIGRIYHMNLVRVWGFCSDDPHRMLISEYVENGSLDKILFGAKGSQALLGWKQRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDENMEPKIADFGLAKLLNRGGSKLNVSRIQGTRGYLAPEWVSSLPITAKVDVYSFGVVLLELLKGARVSDLETNEDEEVEMVLGRIIRTLAESLKSGGDGQSWIVEFIDTRLNGRFNDLQARAMMKLAVSCLEEDRGRRPTMESVVEVLVSVDEASSTI >ORUFI06G20370.1 pep chromosome:OR_W1943:6:19815112:19822990:1 gene:ORUFI06G20370 transcript:ORUFI06G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDAPSPLPPPVRYCGVLEDYEQQVDEEPPSPKSPSRVSSPLPLPEQKLSAAYRAELRRQNALINNGPRYRFLRPGEKRRRKKKKKHNKSKHHHTPPPPSPTPRPSPPSPICPYEEYPTFEPDDPVWMRQSTMYAEAALEHYNAAVDVGGGGVKYELVRAIFSGAIFTCKAAYGHRLFFAEVRKDKKRYIPTCLWSLDDEADRVGGAGADPQVDLPEITSPSRRNYCFSCDDEMKHPKDGTSYHAGHFLTKGVAQPPVRYCGVTEDYEQQVDEEPPSPLSPSRVSSPLPLPEQKLSIAYRVELRPRRKNALINNGPRYRFHRPGEKRRKKKKKKNKKKPKQHYTPPPPPPNYEVFPTMEPDDPDWMRQSVMYAEAALEHYNAALVVEGGGGGVVNELVRAIISGVIITCRADYGHVNFIARAAASGGGTLRQEERLFFAEVRNDGEGWIPTCLRSLDDEADRVGGLAAGDDPPVGRWKSRRSPRRRGRTSASAVTARLSIPRTENPTMPDTSYS >ORUFI06G20380.1 pep chromosome:OR_W1943:6:19825024:19827432:1 gene:ORUFI06G20380 transcript:ORUFI06G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIFTSLLLLTLIHLLLYSSSALESLLPGSPLSVERSLDLLYSPDRTFTCGFYNISPNASTFSIWFSNSSEKTVVWSANPLHPVYTWESKFELKSDGGMLLKDYNGQVVWTNNVSSSNAEQVQAKLLNTGNLIVKSKGDTILWESFAFPTDTLLPTQNITARIKLISTNRLLAPGRFSFHFDDQYLLSLFYDEKDLSLIYWPDPTQNIWEKHRKLFNSTANGAVDSQGHFLGSDDANFTAADLGPRIMRRLTLDYDGNLRLYSLNDSSGTWSVTWMAFPQLCNVRGVCGINGICVYRPAPTCVCAPGYQFSDPSDWSKGCSPKFNITREQKVRLLRLPNTDFLGNDIRAYPHVSLHDCKKICLNDSNCVGFAYWQGKGYCYPKTALLSGVSLIGSTGTMYIKLPQELKVSDHQVPRSQPFDQKYVKYCTTVDKYFVPDFLDKLKSGQNESKYWYFYGFLSAIFVVEVLFIIFGSLILQREDKQLRELAEVGYEMITNHFRRYTYRELVTATRRFQDAIGQGASGVVYKGVLKDKRVVAVKKLLDINQGEEEFKHELSVIGRIYHMNLVRVWGFCSDDSHRILVSEYVENGSLDKILFDSQESQALLEWEQRFKIALGVAKGLAYLHHECLEWVIHCDIKPENILLDENLEPKIADFGLAKLLHRGGSNLNVSRIQGTRGYLAPEWVSSLPITAKVDVYSFGVVLLELLKGARVSDLETNKDEEVEMVLGRIIRMLAENLTSDGDEQSWIADFIDARLNTRFNNLQARVMMELAVSCLEEDRARRPTMESVVEMLVSVDEAG >ORUFI06G20390.1 pep chromosome:OR_W1943:6:19836799:19837059:1 gene:ORUFI06G20390 transcript:ORUFI06G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDAATAVSTLSCRAPRPRRSSEVMARHGGVGADATAAVARTTQPTGISRRRGRHEHHQGPSGAEEPVPVQEEQNAGDRGGTTH >ORUFI06G20400.1 pep chromosome:OR_W1943:6:19837707:19838096:1 gene:ORUFI06G20400 transcript:ORUFI06G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPTKSTGSRQQLALALFTTKSTGSRQPSRVGANARRVRPLVDGPGDGACPVRDLSMLVLDGMYSHSTAESTAANAHAFYGSASSVALRLPHHRTKGIEISNLIEAEFKAERTLEASPSRLHACSGAP >ORUFI06G20410.1 pep chromosome:OR_W1943:6:19840027:19843206:-1 gene:ORUFI06G20410 transcript:ORUFI06G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERWPAVEQGQRRDGRRNSELSGEGEEQRVAWRRERREDWHAEAELLRHSDGLSLGFAVMDDSATMLGGEREHVSLSAAAAAAGHCALVAATVRDSSGLHMGRWLHHGLQAAMGSEDKFNMLLRMLEECERRREEADQRRRADFLSLKATIDSWMPQEQKKAEDLQFLVEDEQSKVTPTTCSMEYPNGSSPSTTARSIYDDEGATPTIILELGDGEGKDRMPFIISKDLSELTPIMCLTICSSLDVEPDFTVAAVVTCSNTAMDSKELVATDGATGTTNIDPRVCSKETHTKCLLFGPDVNGVTDRGVIVFQSRMGVFKVVPISSQSMELMVDEKATCTDTTHLPKVMHPSHLMLGPNVNTGTIQAGVAYSLLLGAPEGIASSGKATLVMAQKLNSNFCLKWVALNRCSTKCSKGYKKLLMSHPKRNPWPPPCSVGVHFGVRRISEIIARGAGENQNRKVKTCGYDSIFDFCENNPSDGSAAARFSIDGIDTRTHSEMIYASIANRDYWSVKLLEVIKEGCPIRHLLLGDVKIEQLLQCETFSTRQTNIEQVIKLQLSNSKEIQELQVPWDPGGFLHRLGDKPNFKKRGLSRTRVGCTWAAGCTTGWSAHRQEAQARPS >ORUFI06G20420.1 pep chromosome:OR_W1943:6:19846361:19846663:1 gene:ORUFI06G20420 transcript:ORUFI06G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSKRAVNVAATANRWFFLVAAIRITSALSLCLLACAVLAASYIVLGYFLMLAAFVLLAITSLVFLLLRHCVPSGRSDLLDGQPEPEEARLKAMEDRRQ >ORUFI06G20430.1 pep chromosome:OR_W1943:6:19850489:19858352:-1 gene:ORUFI06G20430 transcript:ORUFI06G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPYNLRGFCRCLLVSGLDSGLSLIQRREDPIQQCREILHRDRCLVIIDEVHSKEDWDSITDANLITATSKSCIVVITTQESVAVHCAGANGLVCSITCLQATAASDLFQQAFQEAFTNNRNMFEVQEFQRNGDSYEETFRYIFEGDEQEEQAFQNDGNLFKEQSVQNNGNSMEVQICENNGNSCEEQIFQNNRNYFQGEASQNDGNSIKEQSGYGNSCEEKTFQSNRNYFQGQAFQNDGNSFKEQSVQNNGNSIEEQICENNGNSCEVQIFQNNKDYFQGQASQNTEKSFIEQAFQNNGNSFEQTFQWFSETNKQEEHEFENNGSPFEEYVFPNMSSWFQKHVSLNCENLYEEKSFQNSENPFEELVLLNKKDLLEELESQNNNNLSEEKTLQNIKHSMSRDDPNVKAILSRSGGLPQVIVALARYWANQYMSNIEDKREREWQCQYLIANFMQELQTSQEFYCLRGLFAWMHSYFCSCPPSLMRSMLYLLIFPQGKTFRRRRLVRRWIAEGYASGSESNSLEEMGELFHKLSSQSVIRQATMDGCYEFNGFFHEYMISRPVEERILLPLEVSVLEGYCWRLTTKGDIGQHLAIWNSWDRNKTLFDSLDLLRLRSLTVFGPWESFFISNKMGVLRVLDLEDACDVTDVDVENIGKVLHRLKFLSLRGHKITYLPDSFGGLRHLQTLDIRCTSIINLPTSITKLKKLQYVRAGNPVPVPLDDDTSTDGILRLRPPPPEAASATASPSLSEPSTSMSRPHAATAVSRLFELRETWTSRHRGQQPAVAGTCNGGIVVPRGIRKMTTLHTLGVIDVSVAKKGRAILEELKNLTQLRKLGVSGISRRNCREFCSAISGHAHLESLSVHLNKENNRGCLDAISKPPENLKSLKLYGYADDKLPEWITLLRKLSKLNLQMAMLPSGDGLQFHSGFDSLVILKISCSPSLQAVTFHSGVMPSLECLKLRCCNVSSLRLSGLEALTGLKEVWFGGSYREAFKRELKRQIGQLPREMKPVLKEEQRLP >ORUFI06G20440.1 pep chromosome:OR_W1943:6:19859981:19869144:-1 gene:ORUFI06G20440 transcript:ORUFI06G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTRRRQSGARSGQAVVARRRLPGGCASIRQQWPSGSGRVGVGHVGWGRAGIVVGRDEQGSASGGGGVGWGRAGVGVGRWRRRVGRCWAGTSGSAIGWGGVERGPAGGGERGQTGGGQPGELAAKP >ORUFI06G20450.1 pep chromosome:OR_W1943:6:19869468:19870781:-1 gene:ORUFI06G20450 transcript:ORUFI06G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAIGISRTALEALVNKLKSVIKEEDEQWQTMHRDVEFIREEFEMMQSFLNSADGEVVKSSMARTWVRQVRNLSYDLEDCIEFILHLDTNKRSWWLRLLQSWSCGKGGVSLPVDEAVTEMKKLKARVEDVSQRNTRYRFTNDLTQQQQQLVSSGSATGGGAPGFDILAEARDTAARRKGVVDLIKLITEKSNDLRVISLWGTGDDLGTMSIVRNMYDDSRIHDNFRCRAWVKVAHPINPHELVRSLVVQFYANSCQQQLPAATDALSWFSLKYKKQRDALSWSETSTGELVKEFLRHVDTHRYLIILEDLSTMVQWDAIWPYLRGGKNGSRVLVSTRHHEIASLCTGKPHRVLELQRISIHQSICIFFKEDIIGWEMAI >ORUFI06G20460.1 pep chromosome:OR_W1943:6:19884079:19886304:1 gene:ORUFI06G20460 transcript:ORUFI06G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGYATLLLWAEYNIPKLVASKHQGCCLDNVSTTGTADLPCPDHCKELLRIVITSFLEGCNM >ORUFI06G20470.1 pep chromosome:OR_W1943:6:19896923:19897363:1 gene:ORUFI06G20470 transcript:ORUFI06G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSWASRKRGCDGRWSVEAVDRAVKIEPRAREGQRGAVKVGARALQGIVGVLCLRVQGDKGGREREGLGLEGKKGGRTVERGACPFRFWTAKLARRVGAVSPRWRLVACGGEVGVRCLMTQERGGGRSGVERGQAVVGAGGGHRK >ORUFI06G20480.1 pep chromosome:OR_W1943:6:19899861:19900633:1 gene:ORUFI06G20480 transcript:ORUFI06G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADPATAGWIWRDGGCSGDGWLRARGAEAMSGRRGVSGSGRCSAPHARGSGYSGAYPARLRVARPRIQRPTSSHVEPEEVTTASRWRDGRGSVRRSTATRKMATFAALVSINVIIIKYHSRINHRSCQPSKEEKRGEEVKR >ORUFI06G20490.1 pep chromosome:OR_W1943:6:19904001:19906735:-1 gene:ORUFI06G20490 transcript:ORUFI06G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSFLNSADGELVKTNMVRGPGSATYPMILRTVSSSSSIWTQICGHGVAPLAAVLQLPQGGSSAARVPVDEAVTEMKQLKARVEDVSQRNIRYRFVSDSACLTFTQEKLVYGSAIGAPGFDILAEARDTAARRTGVVDLIKLITEESNDLRVISLWGTGDDLGTTTIIRNMYDDSRIYDNFRCRAWVKLTHPINPHELELGGSVLRYSCQEPVGRDALSWILQKLRKQQRDALSWTETSAGELVKEFLRQIDKHRYLIILEYGPEPIASAKIVLTFLRVDS >ORUFI06G20500.1 pep chromosome:OR_W1943:6:19912893:19913381:-1 gene:ORUFI06G20500 transcript:ORUFI06G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVDPFFLLPLYLPYLSLSPSSVTEAEWRLGNQRHRGSCRSSRPRGDAMSASPPTGAAAAATRLHGHGGIRCTIAVTRFIVGSTKTCSCLSLVHVAVFFGSCDEGGLAEFGGYCGPYFERLEEVGSMALDKLLREEAERGRLATVVVYDTFMPWMPRLAWRS >ORUFI06G20510.1 pep chromosome:OR_W1943:6:19925127:19929178:-1 gene:ORUFI06G20510 transcript:ORUFI06G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLHNLMLLLPCLIFSTLLHIEAMSVAPVKVSTTPIFPTIPRAQTNKDFQVLLRVEAPPAADLNGHVPIDVVAVLDVSGSMNDPVAASPESNLQATRLDVLKASMKFIIRKLDDGDRLSIVAFNDGPVKEYSSGLLDVSGDGRSIAGKKIDRLQARGGSGSALMPELQEAVKILDERQGNSRNRVGFILLLTDGDDTTGFRWSRDVIHGAVGKYPVHTFALGAAHDPEALLHIAQESRGTYSFVDDGNLDKIAGALAVCLGGLKTVAAVDTRVSLKTAELGGARIVRVDSGGYESSVACGGASGEVVVGVLYAGEVKSFVVHLHVPAASSTTTFSSVECGYCDAAATVCDHHHHHHCHHRHHQQQLLAIGYSYSHAPGGEAVSIEGHGVFVERPEVAVFSVDGGRQRQTLLPSPVVMQHMVRFELLELVAGFAETEMLSKKGTMQLRGGGARAGDVLQGKWEEFRRARQFWGGVELDGLEEDVDAMVASLRSGLAYVSSWVSSHQMQRATAMGSPEKVIAEFMTPAMVIMLEEARKLPSPLPAAAEAARERRPGCKGGGDLHYVIRQRLELWSKVRREVPLMYQPSSEQEDVQLTALPWCT >ORUFI06G20520.1 pep chromosome:OR_W1943:6:19953200:19956824:1 gene:ORUFI06G20520 transcript:ORUFI06G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLHNLLLLLVCFFSTLLLAQVMSAAAGMAAVKVSTTPIFSKIPRAQTTKDFQVLLRIEAPPLVDLKGRVPIDLVMVLDVDVESVSLEPVKKAMKFAIQQLSDKDSIAIFGPSMSREVIPKFMSIHGSRRVAEKKVDELEGRRIAGPARSSLDEALKMLEEQPASSSDGRAKFIVLVTDGEDITRFNSGMPEWFTAALAKYPVHAFGLGASHDAAALRLIAQRSHGTYSFLDDGNVDKVASALALCLGGLKSVAAVGARVVLKAASGSGVRIDRISSGDYASSVSQVDGGASGEIVIGALYAGEVKSFVVHLYVPAAPPALRTVEGVCCDQQQLLVANLDGQLYTSGGVDVDDAAAPVDLVVERPNAAVLVPSAIVVNQIFQFSVLKMFDTFIDKEILHRTPVTGWNDVDVDDLGRKLLARWEELVLEHQFWVGLDLGSLDGEITAVANSLSKQYIVGTAYIFSWMSSYKMQRPTAMGSPANVVGVFVTLEVHLTLQVAITLPESGGDEGECHECEYTCEKQLPPAPPLLEASGHDGSSYRLNAAYEGVVSLDDINQFMIKIYQGMVKANNLKQCQPRAVA >ORUFI06G20530.1 pep chromosome:OR_W1943:6:19967029:19969034:1 gene:ORUFI06G20530 transcript:ORUFI06G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGQIVWANNVSSSDAGQAQVLDTGNLIVKGKGGSGIKRRLTLDFDGNLRLYSLMNDGTWILVSEYVDNDSLDKILFGGQGSQALLEWKQRFNIALEVAKGLAYLHHECLEWVIHCDVKPENILLDENLEPKIADFGLAKLLNRGGSNLNVSRIQGTRGYLASEWVSSLPITAKVDVYSFGVVLLELLKGARVADLETNKDEEVEMVLGRIIRMLAENSKSDGDEQSWIPDFIDFRLNGQSTTCKQER >ORUFI06G20540.1 pep chromosome:OR_W1943:6:19984819:19987085:1 gene:ORUFI06G20540 transcript:ORUFI06G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADEDGLAAERKQEEEQQQSNAKAKANLEAGGIAVVFGFAVLTGWLCLPSEAKHPSNTRFTVSLLLAFGTFVSGNCLMFLSMNMIGLRRRLVTGAQRGASRCLSVLCAALSAMTLASLLALLPGRVYLCLVGVAVFTAVALPVAAAHWYVARRSAHGGAGAGGDAEYRAEVEAAWKTTSGVTNSAFGGLVGVLSGASKIAGAATSRTTATYVAIFFMFSTAIVGMFVMMLSKKVLDVTNRRFQRFLVGAIRLLNAFLLCSLASAALAASYVVLGFRMVAAFAPLAVTFIVFLLLHHCTPRRAVRRRSGPSEERLKATEDIASKVTAATLGAIMSVLGGSLGEEDHRKAAAGPLDAVMVILTSAFVSGFGFMLLAAMPASSSARARLAPVAKVLAWSSMAMFAATAVAVYGVDARRI >ORUFI06G20550.1 pep chromosome:OR_W1943:6:20000097:20006687:1 gene:ORUFI06G20550 transcript:ORUFI06G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGASIAGLSASMMVAWYFLSPEARGAHNLRYVGLALLAVVMAPLALVLWYIGSLSEGGDERTAHEEHKEQLEAAFKLISAISNSASGGLVSLAVNYNATGGSGKTKTAVLVAAFFIFTSTISGLLSMEIRAKVLEIKNKKLRVLIIKSMWLAIVVMLLSLAGAILAEVFAIVEFYIFVAFAPWVFAALLYLFLEHCIRQRAHATPDSNANEVRIKWKAERGIKFAMWSFTAIIGWGILKPEACGNPVEFGISSWSYTVHAVLSVIEGEVAAAATAKLPLPSQAGASSPPAGSDGGEGRRRPPSRSIRQRGGAPLPLGCRRAAVASLPTGSSRGKGTGAPVRCLPSRRKGGRHRLPRSSPPGPHSEGEEVDCCSTLPPVAARWGRGALPPSLLVVGGRGGEGGGHPSPLG >ORUFI06G20560.1 pep chromosome:OR_W1943:6:20014646:20020406:1 gene:ORUFI06G20560 transcript:ORUFI06G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKASKLAEQQREPFLGVAGRPAGGDRAVAPADGEHDGGFSWLTALGFVFLTFNSGMAIYRSDGDLAAVSFVSFSYIDLVLLFVCLRMFEKAEPNSRERGNLKAAVWILTTLLTVVFSYKVAAIMPLPVQILVWAMAGATVLGGFYAFFVHRESKGHGYQNVVWFLPVEFSSGYRCNDAKYTQLSNLLAGHNC >ORUFI06G20560.2 pep chromosome:OR_W1943:6:20014646:20020347:1 gene:ORUFI06G20560 transcript:ORUFI06G20560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKASKLAEQQREPFLGVAGRPAGGDRAVAPADGEHDGGFSWLTALGFVFLTFNSGMAIYRSDGDLAAVSFVSFSYIDLVLLFVCLRMFEKAEPNSRERGNLKAAVWILTTLLTVVFSYKVAAIMPLPVQILVWAMAGATVLGGFYAFFVHRESKEG >ORUFI06G20570.1 pep chromosome:OR_W1943:6:20029601:20038985:-1 gene:ORUFI06G20570 transcript:ORUFI06G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITPCVLGFAQRLLASAPLLLPCSPAISATELARSRCKLHRLPAAAMEDGAAAREAERWEGYVDWRNRPAVRGRHGSMLAASFVLVVEVLENLAFLANASNLVTYLMNFMHYSPSQSATTVTNFMGTAFLLALLGGFLSDAFFTTYAIYLISAFVEFLSRSHDGILTVQLEQTPQDSWTRNKSHCGTFSRHFSHHLPRSDPIRSETTDHHLLSYPHPPSPRDRITQLITVIQPVLPYSPPTHTYDVRCPLACVRANRTPPHTTSHHTLVVNARDESVAQNQSWPPPARRAAFPAYVERDGLVVLTIQARTPSLMPPGCAKVAGAACEPVSGPKKAMLFAGLYVTALGIGGIKGSLPSHGAEQFDEHAPRGRKGRSTFFNYFVFCLSVGALIAVTFAVWVEDNKGWQWGFGISTIAILLSIPVFVAGSRLYRNKVPTGSPLTTIAKVVLAAALARRGGAQSASNGAVIDRAPSPTGSTDMKEYCKPGDICGVADGAAEVATEPSQELVFLNRAVQRQPRCGALSCTVREVEDVKIVLMVLPIFFSTIMLNSCLAQLSTFSVEQAATMDTRVGGLKVPPASLPVFPVTFIILLAPVYDHVIIPFARRATGTEMGITHLQRIGTGLVLSIVAMAVAAVVEVKRKNVASNAGMLDAAAPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPARMRSLATSLSWASLALGYYLSSVLVTVVNSATGRGGRRAWLQGGNLNHYHLERFYWVMCVLSTLNYLFFLFWAIRYKYRNAGVIKG >ORUFI06G20570.2 pep chromosome:OR_W1943:6:20029601:20038985:-1 gene:ORUFI06G20570 transcript:ORUFI06G20570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSITPCVLGFAQRLLASAPLLLPCSPAISATELARSRCKLHRLPAAAMEDGAAAREAERWEGYVDWRNRPAVRGRHGSMLAASFVLVVEVLENLAFLANASNLVTYLMNFMHYSPSQSATTVTNFMGTAFLLALLGGFLSDAFFTTYAIYLISAFVEFLGLVVLTIQARTPSLMPPGCAKVAGAACEPVSGPKKAMLFAGLYVTALGIGGIKGSLPSHGAEQFDEHAPRGRKGRSTFFNYFVFCLSVGALIAVTFAVWVEDNKGWQWGFGISTIAILLSIPVFVAGSRLYRNKVPTGSPLTTIAKVVLAAALARRGGAQSASNGAVIDRAPSPTGSTDMKEYCKPGDICGVADGAAEVATEPSQELVFLNRAVQRQPRCGALSCTVREVEDVKIVLMVLPIFFSTIMLNSCLAQLSTFSVEQAATMDTRVGGLKVPPASLPVFPVTFIILLAPVYDHVIIPFARRATGTEMGITHLQRIGTGLVLSIVAMAVAAVVEVKRKNVASNAGMLDAAAPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPARMRSLATSLSWASLALGYYLSSVLVTVVNSATGRGGRRAWLQGGNLNHYHLERFYWVMCVLSTLNYLFFLFWAIRYKYRNAGVIKG >ORUFI06G20580.1 pep chromosome:OR_W1943:6:20072645:20078701:1 gene:ORUFI06G20580 transcript:ORUFI06G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSIGVYRAVFAALGALMLGTLVYTCVTDGSPFRLELLTPWLVATLIDFYVNVTAISTWVIYKEVNWISSFFWVVLLYCFGSIATCAYVVVKLFEIKTSGPSQDPLDLLFLRQGDLSERKSSFVIIGRIIFSILGAMMAAVVIYTVITDGLPFRKDLLTPWMAATLIDFYINVFAISVWVAHKESNWISTAIWICLLICFGSITTCGYIVIQLFQVSYRDPIYHVLLNSHNKSLNAEQGRLPSIIPPSSAKVE >ORUFI06G20580.2 pep chromosome:OR_W1943:6:20072645:20078701:1 gene:ORUFI06G20580 transcript:ORUFI06G20580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSIGVYRAVFAALGALMLGTLVYTCVTDGSPFRLELLTPIATCAYVVVKLFEIKTSGPSQDPLDLLFLRQGDLSERKSSFVIIGRIIFSILGAMMAAVVIYTVITDGLPFRKDLLTPWMAATLIDFYINVFAISVWVAHKESNWISTAIWICLLICFGSITTCGYIVIQLFQVSYRDPIYHVLLNSHNKSLNAEQGRLPSIIPPSSAKVE >ORUFI06G20590.1 pep chromosome:OR_W1943:6:20083340:20083714:1 gene:ORUFI06G20590 transcript:ORUFI06G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSGSHTIGRCVALQLLQQPALVVVRPQRRAGPQLRGSAGDAVAQCPQQEEAGSWCPWTGRRHAQYLRHQLPCRHRRQLGIANSPDSFQTDFAADNVKMGSIGVLTGNAAGGTIRTNCRVAS >ORUFI06G20600.1 pep chromosome:OR_W1943:6:20084586:20088171:-1 gene:ORUFI06G20600 transcript:ORUFI06G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIGTLTPSLLTFAVLYAFLTLPLIPSLSSTALDDESNKDLQALLCLKSRLSNNARSLASWNESLQFCTWPGITCGKRHESRVTALHLESLDLNGHLPPCIGNLTFLTRIHLSNNRLNGEIPIEVGHLRRLVYINLSSNNLTGVIPNSLSSCSSLEILNLGNNFLQGEIPLGLSNCSNLKRIVLHENMLHGGIPDGFTALDKLSVLFAHSNNLSGNIPHSLGSVSSLTYVVLANNSLTGGIPPVLANCSSLQWLDLRKNHIGGEIPPALFNSSSLQAINLAENNFFGSIPPLSDLSSIQFLYLSYNNLSGSIPSSLGNSTSLYSLLLAWNELQGSIPSSLSRIPYLEELEFTGNNLTGTVPLPLYNMSTLTFLGMAENNLIGELPQNIGYTLKSIEMFILQGNKFHGQIPKSLAKATNLQLINLRENAFKGIIPYFGSLPNLTILDLGKNQLEAGDWTFLPALAHTQLAELYLDANNLQGSLPSSTGDLPQSMKILVLTSNFISGTIPQEIEQLRNLVLLQIDHNLLTGNLPDSLGNLSNLLILSLAQNSFYGKIPLSIGKLNQLTELYLQDNSFSGLIPKALGQCQKLDILNLSCNSLEGTIPKELFTISTLSEGLDLSHNRLSGPIPVEVGSLINLGPLNISNNKLSGEIPSALGDCVRLEYLNMEGNVLNGQIPKSFSALRGIIQMDLSRNNLSGQIPEFFETLSSMVLLNLSFNNLEGPIPSNGIFQNASKVFLQGNKELCAISPLLKLPLCQISASKNNHTSYIAKVVGLSVFCLVFLSCLAVFFLKRKKAKNPTDPSYKKLEKLTYADLVKVTNNFSPTNLIGSGKYGSVYVGKFDAEAHAVAIKVFKLDQLGAPKSFIAECEALRNTRHRNLVRVITACSTFDPTGHEFKALVLEYMVNGNLECWLHPTSYKNRPRNPVRLSTRIEIALDMAAALDYLHNRCMPPIVHCDLKPSNVLLDNAMGARVSDFGLAKFLHSNISSTSDRSTSLLGPRGSIGYIAPEYGFGSKISTEGDVYSYGVIILEMLTGKRPTDEMFNDGLNLHQFAKEAFPLKIGQILDPSIMPDYENEDNDANNDLDHDNCLMDGMLNCVTKLVKLGLLCSAVAPKDRPTMQSVYKEVAAIKEEFSALHG >ORUFI06G20610.1 pep chromosome:OR_W1943:6:20106574:20106924:1 gene:ORUFI06G20610 transcript:ORUFI06G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPSILTDAGGSPELGSGVKVAEDGGGDGAVHGEEDKAITAGEAEGAGGRPKGGARWRRRMVAAVEEVAPEASGWIHAAVEEGEEEETGDLGIGKRRERRVRSCIATPPAWLGR >ORUFI06G20620.1 pep chromosome:OR_W1943:6:20136569:20136955:1 gene:ORUFI06G20620 transcript:ORUFI06G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELGGAGRRGWCSSPLGAAQRRSSTAWELAIARCKGRGMASDAVRRRMERRPRRLDAKHLGAAHGARKGVASSFSLCGGGVERGRRWSRDAVDLTIGVVDGGGEPSVVVAGDMHTNDNTIWGFEEM >ORUFI06G20630.1 pep chromosome:OR_W1943:6:20146681:20147626:-1 gene:ORUFI06G20630 transcript:ORUFI06G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGEGVVMPMGIGELRGRGTTGGDRPTREANGGRSTPREAVAPRSVREKAGSARSVRKKAAAAESTREKAGNAGSLTGPTAPEVAEMP >ORUFI06G20640.1 pep chromosome:OR_W1943:6:20148924:20150547:1 gene:ORUFI06G20640 transcript:ORUFI06G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASSSFSLAAILLIIIMYCCPTGLVEAARKGPAAAGGGDDSAMRERYEKWAADHGRTYKDSLEKARRFEVFRTNALFIDSFNAAGGKKSPRLTTNKFADLTNEEFAEYYGRPFSTPVIGGSGFMYGNVRPSDVPANINWRDRGAVTQVKNQKDCASCWAFSAVAAVEGIHQIRSHNLVALSTQQLLDCSTGRNNHGCNRGDMDEAFRYITSNGGIAAESDYPYEDRALGTCRASGKPVAASIRGFQYVPPNNETALLLAVAHQPVSVALDGVGKVSQFFSSGVFGAMQNETCTTDLNHAMTAVGYGTDEHGTKYWLMKNSWGTDWGEGGYMKIARDVASNTGLCGLAMQPSYPVA >ORUFI06G20650.1 pep chromosome:OR_W1943:6:20156709:20157089:-1 gene:ORUFI06G20650 transcript:ORUFI06G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQDGSTNTKHVKTDLIGCIGDGCSHADVFLPSCVVLMDTNAARDCDLRLLRSDDYVASLPAVLPELWICSLCRFNVDEDCPIFHGFYIYCQTCAGDCARAIIDRYHGAQNVVINKALDGNMADK >ORUFI06G20660.1 pep chromosome:OR_W1943:6:20159559:20164836:-1 gene:ORUFI06G20660 transcript:ORUFI06G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAGGGGNSLPTAGADGAKRRVCYFYDAEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLDQMQVLKPHPARDRDLCRFHADDYVAFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKYHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVRFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGHELTDKMPPNEYFEYFGPDYTLHVAPSNMENKNTRQQLDDIRSRLLDNLSKLRHAPSVQFQERPPEAELPEQDEDQEDPDERHHADSDVEMDDVKPLDDSGRRSSIQNVRVKRESAETDAADQLLWLMCAQRCDGNRVAAENTKGTEPAADGVGSSKQTVPTDASAMAIDEPGSLKVEPDNSNKLQDQPSVHQKT >ORUFI06G20670.1 pep chromosome:OR_W1943:6:20169164:20178212:1 gene:ORUFI06G20670 transcript:ORUFI06G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGPCKCTTGASWAVSFLLAAALLSNAPSTTDASMLKAPTANTASNSSDRQVLLSFKSLITKDPSGALTSWGNRSLHHCRWQEGEIPSELGSLQCLELLNLYNNNLTGSIPSYIGNLKNLILIDISDNGLTGSIPPEIGNLQNLQFMDFGKNKLSGSIPASLGNLFSLNWLDLGNNSLVGTIPPSLGGLPYLSTFILARNKLVGNIPPSLGNLSSLTELNFARNYLTGIIPHSLGNIYGLHSLRLTENMLTGTIPSSLGKLINLVYIGLQFNNLIGEIPLLLFNLSSLQKLDLQNNKLSGSLQNYFGDKFPLLQGLALNDNKFHGPIPLSLSNCSMLELIQLDKHLAILNNEVGGNIPEGIGRLSNLMALYMGPNLLTGSIPASLGKLSKLNVISLAQNRLSGEIPPTLGNLTQLSELYLSMNAFTGEIPSALGKCPLGVLALAYNKLSGNIPKEIFSSSRLRSISLLSNMLVGPMPSELGLLKNLQGLDFSQNKLTGEIPISIGGCQSLEFLLVSQNFLHGSIPSTMNKLTGLQELDLSSNNISGIIPVFLGSFIGLTYLNLSFNNLIGEVPDDGIFRNATAFSIVGNVGLCGGIPVLSLPSCTNQQAREHKFPKLAVAMSVSITCLFLVIGIGLISVLCKKHKSSSGPTSTRAVRNQLPRVSYTELSMGTNGFSSSNLIGEGRFGSVYKANMSFDQYSVVAVKVLKLQERGASHSFLAECEALRYLRHRNLVKILTACSSIDPRGHDFKALIFEYLPNGSLEKWLHTHIDEQSDQSVLNIYQKLSIATDVGSAVEYLHDYKPVPIVHCDLKPSNILLDSDMMAHVGDFGLARFTNQGDNNASQVSSSWAAFRGTIGYAAPEYGIGNEVTTSGDVYSYGIILLEMFTGRRPTEQNFEENTNLHRFVEEALPDSVEDVVDQNLILPREDTEMDHNTLLNKEAALACITSILRVGILCSKQLPTERVQIRDAVIELHKIKEKFFP >ORUFI06G20680.1 pep chromosome:OR_W1943:6:20181771:20182103:1 gene:ORUFI06G20680 transcript:ORUFI06G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAAAAAALATVLAGGGGARTRQATVLAGSRAPPRPPRERPHQQQLRPRPRPRALRDRWRPRPLLALATVLAGGGLILSPLASASSSSPLVFSLCRREPLAFSLCRRE >ORUFI06G20690.1 pep chromosome:OR_W1943:6:20185252:20190657:1 gene:ORUFI06G20690 transcript:ORUFI06G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLIRWSKLPAAGSLVLYAVFLLLSAAATSEANIGEYDEYWQKRKLMADAAAEATYKRDPFEVTNSFNRAVHRHADRSFNQSIVRIAQLEESGRRELAMTKRKKFAGPCKATNPIDRCWRCRADWVTDRKRLARCAQGFGRNTTGGLAGKFYLVTDGTDDDVENPRPGTLRWGVIQDEPLWIIFAKDMIINLKEEMMINSDKTIDGRGAQVRITNGAQVTVQNSNNVIIHNIHIHDILQGKGGMIRDSPEHFGFRTQSDGDGISIFGSTNVWLDHLSLSNCQDGLIDVIAKSTGVTISNCHLTNHNDVMLFGSSDSFSEDQIMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSKNPTIISQGNRYIAPPNLAAKRITKQLGATEEEWKNWVWHSEEDLFMEGAYFTTSGGPIQKQFSNKDLIKPKPGSYIRGVEREKEAGDDEEEEVRGAMQGDLSDRPVLEDEPLWIIFAKEMIINLKEGMMINSDKTIDRRGAHVRITNGVQVTVQNSNNVIIHNIHIHDIVLGKLGMIRDSLEQFGFRTQSDSDDINIFGSTNDGLIDVIAKSTGVTMHLQLPPHQPQRHQALQLQCRWGYFHVVNNDYTHWLMYAIGGSKNPTIISQGNRYTAPPNLTAKQITKHLGAAEEEWKNWVYMALGGGPVHGGDLLHHVRRCDPEAVQQQGPDQAQAWILRREAHALRRLHPVHSRQEVLDRARRAASRRDDSYL >ORUFI06G20700.1 pep chromosome:OR_W1943:6:20197633:20199651:1 gene:ORUFI06G20700 transcript:ORUFI06G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPSFLLLPLLLLVSSSLTPAATAAAFVGLDSFLAAAAARDPSAGNDTFGALPAALLRQLSTPSPLLPTRLLSLSAQVPVTVRLAGASFPPATGRLLESFVNSAVSSSRFLSSRRPHRLALSHKIHLEVAASSSQLAPRAAAAVRAHLDSSAAPFHAAALSSVPYSVVDDLVAEDYRALVDTGSAPSVYIYLLNLGPQPRPYAYTAASSPADAHSPGFSRCLAPVWAGKERYIWIDLGAGPVDYGPALSGEGVLPRGEFHPLAALHGRPRSEKALVADLASLVLSAYKSLLVPSLRIPVHYESSLLVQVFHIHGHERDTSGLDWGSIEQSIRDGNLAYEGQRLKFDLNRIRFSDCPICSFAVARSTTSFTSRFLFDNYTLIVSEYLDSKRMRQVLSDSLEELHKVAGVHDNDDYDKVVPVFVFDLDYDKLLLLDRYHQAVAFRDMVISVRTRSSQTVSDYSCNGRHVITMTRNLDRPIIASVLQSMWGVSPTHQSWSPEHNATVVDYTWSTGHTPFGPFSETKSLSFVQKDAARRNVLLTTLNYTITSAIDVLESMAAHGGESILLRRKRRVEFIQRWNLLTYKLEKVVSAMSRLDYNKAMYFLRSSDHDLFAVHTLVYQASQELEASLVCFKDPPFPWLSVSMSGIFVFGFFYVYSKRDKLFRSKRKQF >ORUFI06G20710.1 pep chromosome:OR_W1943:6:20201198:20202314:1 gene:ORUFI06G20710 transcript:ORUFI06G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAWKSVRTRELTNQATKRAEEHRLHRLHLLQVRRCAQHEQLSVLELGKL >ORUFI06G20720.1 pep chromosome:OR_W1943:6:20207310:20207507:-1 gene:ORUFI06G20720 transcript:ORUFI06G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPSLAAARYALLALPPLMVAAYVYNGGGRGNGDRPRARSINKFTDGGPATAGPAARKDSSAL >ORUFI06G20730.1 pep chromosome:OR_W1943:6:20213049:20213382:-1 gene:ORUFI06G20730 transcript:ORUFI06G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPWRAGVPRFGSRCPKLHHYQKSNEHCPVAASFFGDRWEATANLKEAPKKAIRTLALLVNWEIWNERNRRIFQYKDLSSGSLLAKIKEEAKTSLLERCTSLPVRNPL >ORUFI06G20740.1 pep chromosome:OR_W1943:6:20217040:20217240:-1 gene:ORUFI06G20740 transcript:ORUFI06G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPSLATVRYALLALPPLMVAAYAYNSGNRGNSDTRAARSIKKFTGGGPATGAGPAGQKDDSAL >ORUFI06G20750.1 pep chromosome:OR_W1943:6:20223824:20227727:1 gene:ORUFI06G20750 transcript:ORUFI06G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQIVLSPGHGLLLFPLKFLFFLPLVLTGGTEDDRQALLCFMSQLSAPSRALASWSNTSMEFCSWQGITCSSQSPRRAIALDLSSQGITGSIPPCIANLTFLTVLQLSNNSFHGSIPSELGLLNQLSYLNLSTNSLEGNIPSELSSCSQLKILDLSNNNLQGSIPSAFGDLPLLQKLVLANSRLAGEIPESLGSSISLTYVDLGNNALTGRIPESLVNSSSLQVLRLMRNALSGQLPTNLFNSSSLTDICLQQNSFVGTIPPVTAMSSQVKYLDLSDNNLIGTMPSSLGNLSSLIYLRLSRNILLGSIPESLGHVATLEVISLNSNNLSGSIPPSLFNMSSLTFLAMTNNSLIGKIPSNIGYTLPTIQELYLSDVKFDGSIPASLLNASNLQTFYLANCGLTGSIPPLGSLPNLQKLDLGFNMFEADGWSFVSSLTNCSRLTRLMLDGNNIQGNLPNTIGNLSSDLQWLWLGGNNISGSIPPEIGNLKGLTKLYMDCNLLTGNIPPTIENLHNLVDLNFTQNYLSGVIPDAIGNLLQLTNLRLDRNNFSGSIPASIGQCTQLTTLNLAYNSLNGSIPSNIFQIYSLSVVLDLSHNYLSGGIPEEVGNLVNLNKLSISNNRLSGEVPSTLGECVLLESVETQSNFLVGSIPQSFAKLVGIKIMDISQNKLSGKIPEFLTSFSSVYYLNLSFNNFYGEIPIGGVFSNASVVSVEGNDGLCAWAPTKGIRFCSSLADRESMHKKLVLTLKITIPFVIVTITLCCVLVARSRKGMKLKPQLLPFNQHLEQITYEDIVKATKSFSSDNLIGSGSFGMVYKGNLEFRQDQVAIKIFNLNIYGANRSFVAECEALRNVRHRNIIKIITSCSSVDSEGADFKALVFEYMKNGNLEMWLHPKKHEHSQRNALTFSQRVNIVLEVAFALDYLHNHCVPPLIHCDLKPSNILLDLDMVAYVSDFGSARFLCPKSNLDQESVTSLGCLKGTVGYIPPEYGMSKEISTKADVYSFGVILLEMITGISPTDEIFSDGTSLHELVAGEFAKNSYNLIDPTMLQDEIDATEIMMNCVIPLVRTGLSCSVTSPKDRCEIGHVCSEILRIRHELSKIDVIPSRNIPTTTVRARFTAAVGI >ORUFI06G20760.1 pep chromosome:OR_W1943:6:20230678:20231810:-1 gene:ORUFI06G20760 transcript:ORUFI06G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSIRAALLCMAPAIAVVPLYDRLRDRDLFRPSTTTPVAAAGDANQAAETRNYTNHANPTSVPHQPLIIRLSDEAASPNHADYPTPIIIILASAVHPNTGPKPEQPPQEPDERYPQPQGIGYSARCRYPQGRII >ORUFI06G20770.1 pep chromosome:OR_W1943:6:20247749:20259908:1 gene:ORUFI06G20770 transcript:ORUFI06G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGFSSPGFLQLLYILKFFCLLPLVIGSNETESDRQALLCLKSQLTGSAEVLSSWSNASMEFCSWHGVTCSTQYPRRVTALDLSSEGITGSISPCIANLTYLTKLQLSNNSFYGSIPSELGFLTQLSILNISMNSLEGNIPSELTSCFKLQKIDLSNNKLQGSIPSAFGDLTELRTLILTSNRLSGDIPQSLGSNLSLTYVDLGRNALAGRIPQSLASSTSLQFLILTSNTLSGELPKALLNSSSLIFLDLQQNNFVGSIPPVTAISPKMYYLDLRFNHLTGTIPSSLGNLSSLTYLCLIGNNLVGSIPDTLGHVPTLETLAVNVNNLSGPVPPSIFNVTSLTYLGMANNSLTGRLPSNIGYTLPNIQQLILPNNKFSGSIPSSLLNASHLQRLFLTNNSFTGHIPFFGSLQNLEILDMAYNMLEAGDWSFVSSLTNCSKLTQLLLDGNNLQGNLPSCIGNLSSSLEHLWLRNNMISGLIPPGIGNLKSLNTLYMDDNYLTGNIPPTIGYLHNMNKLYMDYNYLTGNIPPTIGYLHSMVFLSFSHNRLSGQIPGTIGNLVQLNELRLDENNLSGSIPASHEIIAYVSESSLARFIRIRSNSYQDSSTSLSYLKGSVGARFREFEVGFWGQQGADWDTIPWCGGEVPVACTDGDDTGGDAELRSSIGENSLLLHDFTRRPTEMGQRDQVYSFGVLVSEMVMGISPIDEIFSDGTSLRDLVASNFPKDIFKVVDPTLLQDDIDATDLQSCVIPLARIGLSCSMTSPKDRCEMGQVCTEILRIKDALSKIDVTKPKMIDKLSFASSPKSQVQLKF >ORUFI06G20780.1 pep chromosome:OR_W1943:6:20259929:20276756:1 gene:ORUFI06G20780 transcript:ORUFI06G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCSWHGITCSIQSPRRVIVLDLSSEGITGCISPCIANLTDLTRLQLSNNSFRGSIPSEIGFLSKLSILDISMNSLEGNIPSELTSCSKLQEIDLSNNKLQGRIPSAFGDLTELQTLELASNKLSGYIPPSLGSNLSLTYVDLGRNALTGEIPESLASSKSLQVLVLMNNALSGQLPVALFNCSSLIDLDLKHNSFLGSIPPITAISLQMKYLDLEDNHFTGTIPSSLGNLSSLIYLSLIANNLVGTIPDIFDHVPTLQTLAVNLNNLSGPVPPSIFNISSLAYLGMANNSLTGRLPSKIGHMLPNIQELILLNNKFSGSIPVSLLNASHLQKLSLANNSLCGPIPLFGSLQNLTKLDMAYNMLEANDWSFVSSLSNCSRLTELMLDGNNLQGNLPSSIGNLSSSLEYLWLRNNQISWLIPPGIGNLKSLNMLYMDYNYLTGNIPPTIGYLHNLVFLSFAQNRLSGQIPGTIGNLVQLNELNLDGNNLSGSIPESIHHCAQLKTLNLAHNSLHGTIPVHIFKIFSLSEHLDLSHNYLSGGIPQEVGNLINLNKLSISNNRLSGNIPSALGQCVILESLELQSNFLEGIIPESFAKLQSINKLDISHNKLSGKIPEFLASFKSLINLNLSFNNFYGPLPSFGVFLDTSVISIEGNDRLCARAPLKGIPFCSALVDRGRVHRLLVLAFKIVTPVVVVVITILCFLMIRSRKRVPQNSRKSMQQEPHLRLFNGDMEKITYQDIVKATNGFSSANLIGSGSFGTVYKGNLEFRQDQVAIKIFNLSTYGAHRSFAAECEALKNVRHRNLVKVITVCSSVDSTGAEFRALVFEYIQNGNLQMWLHPKEHEHSQRNFLTLCQRINIALDIAFALDYLHNRCATPLVHCDLKPSNILLGPDMVAYVSDFGLARFICTRSNSDQDSLTSLYCLKGSIGYIPPEYGMSEERSTKGDVYSFGVLLLEMVTNISPTEEIFNDGTSLRDLVASNFPKDTFKVVDPTMLQDEIDATEVLQSCVILLVRIGLSCSMTSPKHRCEMGQVCTEILGIKHALSKIDAICNETEYDRQALLCFKSQLSGPSRALSSWSNTSLNFCSWDGVTCSVRRPHRVIAIDLASEGITGTISRCIANLTSLTTLQLSNNSFHGSIPSRLGLLSELNNLNLSMNSLEGNIPSELSSCSQLEILGLWNNSIQGEIPASLSKCIHLQEINLSRNKLQGSIPSTFGNLPKLKTLVLARNRLTGDIPPFLGSSVSLRYVDLGNNALTGSIPESLANSSSLQVLRLMSNSLSGQLPKSLLNTSSLIAICLQQNSFVGSIPAVTAKSSPIKYLNLRNNYISGAIPSSLANLSSLLSLRLNENNLVGNIPESLGHIQTLEMLALNVNNLSGLVPPSIFNMSSLIFLAMANNSLTGRLPSDIGYTLPKIQGLILSTNKFVGPIPASLLNAYHLEMLYLGKNSFTGLIPFFGSLPNLNELDVSYNMLEPGDWGFMTSLSNCSRLTKLMLDGNNLQGNLPSSIGNLSSNLEALWLKNNKFFGPIPSEIGNLKSLNRLFMDYNVFTGNIPPTIGNMNSLVVLSFAQNKLSGHIPDIFGNLSQLTDLKLDGNNFSGKIPASISQCTQLQILNIAHNSLDGNIPSKIFEISSLSEEMDLSHNYLSGEIPNEVGNLIHLNRLVISNNMLSGKIPSSLGQCVVLEYLEIQNNFFVGSIPQSFVNLVSIKRMDISQNNLSGNIPEFLTSLSSLHSLNLSYNNFDGVVPRGGVFDINAAVSLEGNDHLCTRVPKGGIPFCSVLTDRKRKLKILVLVLEILIPAIVVAIIILSYVVRIYRRKEMQANPHCQLISEHMKNITYQDIVKATDRFSSTNLIGTGSFGTVYKGNLEPQQDEVAIKVFNLGTCGAQRSFSVECEALRNIRHRNLVKIITLCCSVDSSGADFKALVFHYKANGNLDTWLHPRAHEHSKRKTLTFSQRINIALDVAFALDYLHNQCASPIVHCDLKPSNILLDLDMIAYVSDFGLARCLNITANEYEGSSKSLTCLKGSIGYIPPEYGMSEVISTKGDVYSFGVLLLEMVTGSSPTDEKFNNGTSLHEHVARAFPKNTSEIVDPTMLQGEIKVTTVMQNCIIPLVRIGLCCSVASPNDRWEMGQVSAEILKIKHELSSIHGENGLGPASRGPLWAHVGKEGKKKRSVHTCERIQSHNFDFMKIACYEGVFKSYIQVTWMILQILRKPVALQPSSSSKTKKVEYFHEHQANGKTSTDLHNYSRIKSNLKLSFGSDCKTSGMNKISPMEAAARVGGMVPWRREVEEKATVTEELEEVVLPPYLSGGKAAGVREENKGGWN >ORUFI06G20780.2 pep chromosome:OR_W1943:6:20276762:20280367:1 gene:ORUFI06G20780 transcript:ORUFI06G20780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVLSPNIAWVLCHFIFCSISLAICNETDDRQALLCFKSQLSGPSRVLSSWSNTSLNFCNWDGVTCSSRSPPRVIAIDLSSEGITGTISPCIANLTSLMTLQLSNNSLHGSIPPKLGLLRKLRNLNLSMNSLEGNIPSQLSSYSQIEILDLSSNSFQGAIPASLGKCIHLQDINLSRNNLQGRISSAFGNLSKLQALVLTSNRLTDEIPPSLGSSFSLRYVDLGNNDITGSIPESLANSSSLQVLRLMSNNLSGEVPKSLFNTSSLTAIFLQQNSFVGSIPAIAAMSSPIKYISLRDNCISGTIPPSLGNLSSLLELRLSKNNLVGSIPESLGHIRTLEILTMSVNNLSGLVPPSLFNISSLTFLAMGNNSLVGRLPSDIGYTLTKIQGLILPANKFVGPIPASLLNAYHLEMLYLGNNSFTGLVPFFGSLPNLEELDVSYNMLEPGDWSFMTSLSNCSKLTQLMLDGNSFQGILPSSIGNLSSNLEGLWLRNNKIYGPIPPEIGNLKSLSILFMDYNLFTGTIPQTIGNLNNLTVLSFAQNKLSGHIPDVFGNLVQLTDIKLDGNNFSGRIPSSIGQCTQLQILNLAHNSLDGNIPSIIFKITSLSQEMNLSHNYLTGGMPDEVGNLINLNKLGISNNMLSGEIPSSLGQCVTLEYLEIQSNFFVGGIPQSFMKLVSIKEMDISRNNLSGKIPQFLNLLSSLHDLNLSFNNFDGVIPTGGVFDIDNAVSIEGNNHLCTSVPKVGIPSCSVLAERKRKLKILVLVLEILIPAIIAVIIILSYVVRIYGMKEMQANPHCQQINDHVKNITYQDIVKATDRFSSANLIGTGSFGTVYKGNLDRQQDEVAIKVFNLGIYGGQRSFSVECEALRNIRHRNLVKIITLCSSVDSNGADFKALVFQYMANGNLDTWLHPRAHEHSERKTLTFNQRINIALDVAFALDYLHNQCASPLVHCDLKPSNILLDLDMIAYVSDFGLARCLNNTSNAYEGSSKSLACLKGSIGYIPPEYGMSEVISTKGDVYSFGVILLEMITGSSPTDEKINNGTSLHEHVARAFPKNTYEIVDPRMLQGEMNITTVMQNCIIPLVRIGLCCSAASPKDRWEMGQVSAEILKIKHIFSSIHGV >ORUFI06G20790.1 pep chromosome:OR_W1943:6:20265271:20265621:-1 gene:ORUFI06G20790 transcript:ORUFI06G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAASPIPVAYSSGDPPSATPVPVPGSDLPAAPAAKSQEASPAVPVIDPSKEERMGSGHPLPGGKD >ORUFI06G20800.1 pep chromosome:OR_W1943:6:20275763:20276137:-1 gene:ORUFI06G20800 transcript:ORUFI06G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFADSSAASAFPASASAGDPPAAPVAVPGGNLPAAPVVNPPAAKSPAAAPDPPMDPSDEGRYFPTHRLPEYLKNHP >ORUFI06G20820.1 pep chromosome:OR_W1943:6:20292199:20293031:-1 gene:ORUFI06G20820 transcript:ORUFI06G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVISSVVAATPVSAGGDAAAASAPPAVEPPAAPACQLKLTPVLVEHPGLSIELTIRAVVRPISPNCESKPPPVGPLEPESDPHDTFQDPKNDPRGGNKRLPVRTIYFIRLII >ORUFI06G20830.1 pep chromosome:OR_W1943:6:20295850:20297358:-1 gene:ORUFI06G20830 transcript:ORUFI06G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRRGNTRRCRLPAANAQSVVGDLTAAGPAVGEPANAAPPAADTATVVSATGKATAADARYGEEDEEEGARARERRRTTSDDQYQSAKTFPD >ORUFI06G20840.1 pep chromosome:OR_W1943:6:20300765:20304423:1 gene:ORUFI06G20840 transcript:ORUFI06G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLGVLSSGIVWLCLSIIFMILPIAISDEHENDRQALLCFKSQLSGPPGVLASWSNASQEFCNWHGVTCSTPSPRRVTAIDLASEGISGSISPCIANLTSLTMLQLSNNSFNGSIPSVLGLLGQLNNLNLSMNSLEGNIPSELSSCSQLEILDLSNNFIQGEIPASLSQCNRLKKIHLSKNKLQGRIPYAFGNLPKLEKVVLASNRLTGDIPASLGSSLSLTYVNLESNALTGSIPQSLLNSSSLKVLVLTRNTLTGEIPKPLFTSSTLTDIYLDENNFVGSIPHVTATPLPLQYLYLGGNKLSGTIPSSLGNLSSLLDLSLTRNNLTGSIPDSLGHIPTLELLNLNVNKLTGHVPSSIFNLSSLKSLAMANNSLTGELPSNLGYTLPNIKTLILSNNRFKGPIPPTLVNASNLKSLYLRNNSLTGLIPFFGSLLNLEEVMLSYNKLEAADWSFISSLSNCSKLTKLLIDGNNLKELDLSHNYLFGGIPEEVGNLINLKNLSISNNRLSGNIPSSLGKCVALESLEMQSNLLVGSIPKSFEKLVGIWNMDISQNNLTGKIPDFLSNFSLLYDLNLSFNNFEGEVPAGGIFRNASVVSIEGNNGLCARTSMGGIPLCSVQVHRNRRHKSLVLVLMIVIPIVSITIILLSFAAFFWRKRMQVTPKLPQCNEHVFKNITYENIAKATNKFSSDNLIGSGSFAMVYKGNLELQEDEVAIKIFNLGTYGAHRGFIAECETLRNVRHRNLVKIITLCSSVDATGADFKALVFQYMQNGNLDTWLHPKSQELSQGKVLTISQRVNIALDVAFALDYLHNQCATPLIHCDLKPSNILLDLDMVAYVSDFGLARFVYNRLTAHEDTSTSLACLKGSIGYIPPEYGMRKDISTKGDVYSFGILLLEIIIGSRPTDEKFNGSTTLHEFVHGAFPNNIYEVVDPTMLQNDLVATDVMENCIIPLVKIGLCCSVPLPNERPEMGQVATMILEIKHAASNRHVRLS >ORUFI06G20850.1 pep chromosome:OR_W1943:6:20306910:20307686:-1 gene:ORUFI06G20850 transcript:ORUFI06G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIPPVSLSPLLLLSSGCRPPPAGPTLATHHRRPPARARPLPPLALGRSRLPASARPLAPRPPPPRPIAQTPLESGDSTRHDSSPSWRCCGTAAVWRDTATPSLLVRVSAFFLIADADSLVGPLAGEAAMLAATTYSAAVVDYVVAEHRHHHQASSPPGTSDATTATSVRVTGGEALPRDLQEVHRLRRPPRGPSTSRGCYGRTTRCRCSRQGTTVWGQRGAGGRSRRRSEVDKKERRGTDGGVHVAFHAGTVRWHAT >ORUFI06G20860.1 pep chromosome:OR_W1943:6:20308233:20309776:-1 gene:ORUFI06G20860 transcript:ORUFI06G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKMAPVVISDAVAATPPAAAPAGDPPAVTPTAAAVAPPASPGLSIELTIHAVLLRSLVLMTHLLTHFLILNTLLISLWLVQGLQAVILVKINSIHLWTRFLILNTPLLVLLKVLYR >ORUFI06G20870.1 pep chromosome:OR_W1943:6:20313701:20329109:1 gene:ORUFI06G20870 transcript:ORUFI06G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLGVLSSGIVWLSLFTIFVSIPLATSDDHENDRQTLLCFKSQLSGPTGVLDSWSNASLEFCSWHGVTCSTQSPRRVASIDLASEGISGFISPCIANLTFLTRLQLSNNSFHGSIPSELGLLSQLNTLNLSTNALEGNIPSELSSCSQLEILDLSNNFIQGEIPASLSQCNHLKDIDLSKNKLKGMIPSDFGNLPKMQIIVLASNRLTGDIPPSLGSGHSLTYVDLGSNDLTGSIPESLVNSSSLQVLVLTSNTLSGELPKALFNSSSLIAIYLDENSFVGSIPPATAISLPLKYLYLGGNKLSGTIPSSLGNLSSLLDLSLTRNNLVGNVPDSLGLIPKLDLLNLNANNLIGHVPSSIFNMSSLTILTMANNSLIGELPSNLGYTLPNIETLVLSNNRFKGFIPPTLLNASDLSLLYMRNNSLTGLIPFFGSLKNLKELMLSYNKLEAADWSFISSLSNCSKLTKLLIDGNNLKGKLPHSIGNLSSSLKWLWIRDNKISGNIPPEIGNLKSLEMLYMDYNLLTGDIPPTIGNLHNLVVLAIAQNKLSGQIPDTIGNLVKLTDLKLDRNNFSGGIPVTLEHCTQLEILNLAHNSLDGRIPNQIFKISSFSQELDLSHNYLYGGIPEEVGNLINLKKLSISDNRLSGNIPSTLGQCVVLESLEMQSNLFAGSIPNSFENLVGIQKLDISRNNMSGKIPDFLGNFSLLYDLNLSFNNFDGEVPANGIFRNASVVSMEGNNGLCARTLIEGIPLCSTQVHRKRRHKSLVLVLVIVIPIISIAIICLSFAVFLWRKRIQVKPNLPQCNEHKLKNITYEDIAKATNMFSPDNLIGSGSFAMVYKGNLELQEDEVAIKIFNLGTYGAHKSFIAECETLRNVRHRNLVKIVTLCSSVDATGADFKALVFQYMRNGNLDTWLHPKAHELSQRKALNICQRVNIALDVAFALDYLHNQCATPLIHCDLKPSNILLDLDMVAYVSDFGLARFICNRLTANQDTSTSLPCLKGSIGYIPPEYGMSKDISTKGDVYSFGILLLEIITGRSPTDEIFNGSTTLHEFVDRAFPNNISKVIDPTMLQDDLEATDVMENYYNEQLRIMEPGISDLNDSIFWIRRCIRLKAYCPIIVSAPGRRRRLRLYSLSQPRRGGVGIVMGDLGDLGEDVEDQEGCESAMSDQTETDRHALLCFKSQLSGPTVVLASWSNASLEHCNWHGVTCSMRVPRRVIAIDLPSEGIIGPISPCIANITSLTRLQLSNNSFHGGIPSELGLLNQLRNLNLSRNSLEGNIPSELSSCSQLQILDLQSNSLQGEIPPSLSQCVHLERIFLANNKLQGRIPSAFGDLPKLRALLNTLSLISIYLNQNNFSGSIPPVKTVSPQVQYLDLGENCLTGTIPSSVGNLSSLLYLRLSQNCLDGSIPESLGHIPTLEELNLNLNNFSGAVPPSLFNMSSLTSLVAANNSLTGRLPLDIGYTLPNIEGLILSANKFKGSIPTSLLNLTHLQMLYLADNKLTGIMPSFGSLTNLEDLDVAYNMLEAGDWGFISSLSNCTRLTKLMLDGNNLQGNLPSSVGNLSSDLQRLWLTNNKISGPIPQEIGNLKSLTELYMDYNQLSEKIPLTIGNLRKLGKLSFARNRLSGQIPDDIGKLVQLNNLNLDWNNLSGSIPVSIGYCTQLEILNLAHNSLDGTIPETIFKISSLSIVLDLSYNYLSGSISDEVGNLVSLNKLIISYNRLSGDIPSTLSQCVVLEYLEMQSNFFVGSIPQTFVNMVGIKVMDISHNNLSGEIPQFLTLLHSLQVLNLSFNNFDGAVPTSGIFANASVVSIEGNDYLCTKTPMRGVPLCSKSVDKKRNHRSLVLVLTTVIPIVAITFTLLCLAKYIWTKRMQAEPHVQQLNEHRNITYEDVLKATNRFSSTNLLGSGSFGTVYKGNLHLPFKEKDNLHLQEEHIAIKIFNLDIHGSNKSFVAECETLQNVRHRNLVKIITLCSSVDSTGADFKAIVFPYFPNGNLDMWLHPKSHEHISQTKVLTLRQRINIALDVALALDYLHNQCELPLVHCDLKPSNILLDSDMVAHVSDFGLARFVYTRSNAHQYTSTSLACLKGSIGYIPPEYGMSKDISTKGDVYSFGILLLEMVTGSSPIDEKFNGGTTLHEFVDAALSNSIHEVVDPTMLQDDVSVADVMERCVIPLVKIGLSCSMALPRERPEMGQVSNMILRIKHAASNMSVK >ORUFI06G20880.1 pep chromosome:OR_W1943:6:20322123:20329768:-1 gene:ORUFI06G20880 transcript:ORUFI06G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRIAAHGESAATGYRRSASRVLGLPRSKRRQKAGGAWDWEGSGPSRQLGLPRLGGNCSADLGSEVVNMKRVLMGIPAEVKVSELVQEAELRRLVREVMLAIQGDIGPMMPSDGRSMAITRRGTLMLHVTPCQLQCSKDALLHEARTTVGPESCDLKQRRACRSVSVWSLMAIVGVNAFDQLYKSSSSPTNSIAWKTVMSLINGCGAGGDPPPARRDTEHPAAASDCGSPSAAQPPVAAVSCPAAAAINNPPPPPAAPSHDSDRAPRGIPHTPTIDPIHNPPDPRHPPPGPPGPP >ORUFI06G20890.1 pep chromosome:OR_W1943:6:20330114:20331689:1 gene:ORUFI06G20890 transcript:ORUFI06G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGAGAVAGAAGAAARGEEAGEGERRRPAPEKGREAAGATARDGGSGSRRAPLPIRSHGGLASERRTRYRQPRRLHGAAHLLGATPAEDAEESGRGGRLASQPDSWGCPPCPARPWAGPTREEAVLLQLPTQPDAAEHQQLQAAQHQQLQAPQRPGVVACADGSTGRGLGGERENGQGRFELRPAGCHISETGRLYCLGTVFERFYIIEGYTFLVLWLRDFKKISMLS >ORUFI06G20900.1 pep chromosome:OR_W1943:6:20332240:20335095:-1 gene:ORUFI06G20900 transcript:ORUFI06G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLFVGVLLTCFLLLSSAFGNGSGLQAGKCVGAMGGTAVCKELVNGYYIIHEKGNERTGYITNTYEVRYGFIATMDVYGFSLTPGQLVKPGDERPVFDLYCKTSDLSSPLTDPSHMDEDCPGFRPERGAYIRPGDPIPGISQPNGAKQYITLKVFKDMASGDWLVHYGFNNKHPELVGRIPLSFFKSLSYSAINMWFGGIVVTNVTFQPTPLPPPMGNGYMAVDGGNMAVSTKNLQFIDGQGRAWSAENDLIGFSTNENIYTFTSIVGDQFFYGGPFRQASLGAILRTHVLYSFLQMFFFYYLLS >ORUFI06G20910.1 pep chromosome:OR_W1943:6:20350460:20352973:-1 gene:ORUFI06G20910 transcript:ORUFI06G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGSHSIPVLVTILLACFSFFLITAFSLVPTAPLHDASDTTDFQALLCLKLHLNDNAGVMASWRNDSSQYCQWPGVTCSKSHTSRVTELNLESSNLHGQIPPCIGNLTFLTIIHLPFNQLTGNIPPEIGHLRRLTYLNLTSNGLTGTIPEALSSCSNLQIIDISNNSIDGEIPSSMNKCSNLQAICLFDNKLQGVIPEGLGTLSNLSVLYLSNNNLSGNIPFSLGSNSFLNVVILTNNSLTGGIPPLLANSSSLILLDLTNNRLGGEIPFALFNSSSLNLISLAVNNFVGSIPPISNISSPLWYLSLSQNNLSGSIPSSIENLSSLEILYLSQNNFQGTIPSSLSRIPNLQELDLTYNNLSGTVPASLYNMSNLVYLGMGTNKLIGEIPDNIGYTLPNIKTLILQGNQFQGQIPTSLGIAKNLQVINLRDNAFHGIIPSFGNLPDLMELNLGMNRLEAGDWSFLSSLITSRQLVQLCLDKNILKGTLPSSIAKLSTSLQVLLLTGNEISGTIPQEIEKLTSLTLLYMEKNLLTGNLPDSLGNLLNLFILSLSQNKISGQIPTSFGNLSHLSELYLQENNLSGPIPSSLGSCKNLEALNLSCNSFDSSIPEELVTLSSLSEWLDLSHNQLDGEIPSEIGGSINLDILNISNNRLSGQIPSALGDCVHLSSLRMEGNLLDGRIPDSFINLRGIVELDLSQNNLSGKIPEFMESFGSMKLLNLSFNDFEGQVPTEGIFQNASEVFIQGNKKLCGTYPLLQLPLCNVKPSKGKHTNKILKIVGPIAICLALTSCLALILLKKRNKVKQASDPSCKELKTFTYSTLKKIPRIIVTLKIMS >ORUFI06G20920.1 pep chromosome:OR_W1943:6:20357284:20357559:1 gene:ORUFI06G20920 transcript:ORUFI06G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFGETPAVAVMEEDVPVTKLRGSCDMVAVGGDDKAALETGGSGLADNGALVRRNRILAKVVQLVMHWCGDDRCGKGVAGKSLAQVSLS >ORUFI06G20930.1 pep chromosome:OR_W1943:6:20366413:20369949:1 gene:ORUFI06G20930 transcript:ORUFI06G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAITGRRPVARLRAIIQLVLLVLVIGSGILVVPAAGSTGKTGGTGSSGNGRPTGTGGPSTASPYRTYGRRVTMMAMLETGGFGLDDSVAPLWQNRSLAKVVRLVENRGGEDRCGKGAAGKSLAQGFPELATMTSLSAMIAPWGVVELPPSHSLAGLSR >ORUFI06G20940.1 pep chromosome:OR_W1943:6:20375675:20384594:1 gene:ORUFI06G20940 transcript:ORUFI06G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVLVGREEEVAAGEGRGRGPARRDVDTAIGDEPCALSSRGIEDLVCVVSRQRRIAAKPAAGETSTKRGGAAVAGARGRRGGDSGGRTPGRERRRWLEPGKGAMVVAGAKGGRAVVVVGF >ORUFI06G20950.1 pep chromosome:OR_W1943:6:20386301:20387257:-1 gene:ORUFI06G20950 transcript:ORUFI06G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLASRARALSAPRRRALALAAGPAHLSAFPSRLAPPRCVPHDRVQLAAFRPTRVPAVAPPAPGVTPSSMSTIVQASRASNIDNEMPITFNLIDFSPEKKTLTDRTNVVLTI >ORUFI06G20960.1 pep chromosome:OR_W1943:6:20392808:20396314:1 gene:ORUFI06G20960 transcript:ORUFI06G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRVFSTGVICHLIFHFLFFQPLAISDETETDRDALLCFKSQLSGPTGVLASWNNASLLPCNWHGVTCSRRAPRRVIAIDLPSEGIIGSISPCIANITSLTRLQLSNNSFHGGIPSELGFLNELQNLDLSMNSLEGNIPSELSSCSQLQILDLQNNSLQGEIPPSLSQCVHLQQILLGNNKLQGSIPSAFGDLPKLSVLFLANNRLSGDIPPSLGSSLTLTYVNLGKNALTGGIPKPMLNSSSLQQLILNSNSLSGELPKALLNTLSLNGIYLNQNNFSGSIPPVKTVSPQVQYLDLGENCLTGTIPSSLGNLSSLLYLRLSQNCLDGSIPESLGHIPTLQTLMLTLNNFSGTIPPPLFNMSSLTFLTVANNSLTGRLPLEIGYTLPNIEGLILLANKFKGSIPTSLLNSTHLQMLYLAENKLTGIMPSFGSLTNLEDLDVAYNMLEAGDWGFISSLSNCTRLTKLMLDGNNLQGNLPSSVGNLSSSLHRLWLRNNKISGPIPQEIGNLKSLTELYMDYNQLTGNISLTIGNLHKLGILSFAQNRLSGQIPDNIGKLVQLNYLNLDRNNLSGSIPLSIGYCTQLEILNLAHNSLNGTIPETIFKISSLSMVLDLSYNYLSGSISDEVGNLVNLNKLIISYNRLSGDIPSTLSQCVVLEYLEMQSNFFVGSIPQTFVNMLGIKVMDISHNNLSGEIPQFLTLLRSLQVLNLSFNNFHGVVPSSGIFANASVVSIEGNDHLCTETPTTGMPLCSKLVDKKRNHSRSLVLVLTIVIPIVAITFTLLCLAKIICMKRMQAEPHVQQLNEHRNITYEDVLKATNRFSSTNLLGSGSFGTVYKGNLHFPFKEKGNLHLQEEHIAIKIFNLDIHGSNKSFVAECETLQNVRHRNLVKIITLCSSVDSTGADFKAIVFPYFPNGNLDMWLHPKSHEHSSQTKVLTLRQRINIALDVAFALDYLHNQCELPLVHCDLKPSNILLDSDMVAHVSDFGLARFVYTRSNAHKDISTSLACLKGSIGYIPPEYGMNEDISTKGDVYSFGILLLEMVTGSSPTDENFNGDTTLHDFVDRALPDNTHEVVDPTMLQDDISVADMMERCFVPLVKIGLSCSMALPRERPEMGQVSTMILRIKHAASNMGVR >ORUFI06G20970.1 pep chromosome:OR_W1943:6:20398003:20400815:-1 gene:ORUFI06G20970 transcript:ORUFI06G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSLREAFDRVIEKRASSSAKAQEVIDQIVSEVEQAITKMQMMNTDSMGTADHSSILAELKAKLNELAPLNQLEGCQKELNVALSKYLKLLEKSFSPDISKAYRNVDFEASTINSIIANHFYRQGLFDLGDSFVRECGESDGAHLKLQFQEMYSILEAMQVRNLQPALSWAAKNHDQLLQNGSMLELKLHQLQFVEILTKGSRDEALKYARTHLVPFASLHKAEIQKLMACLLWADRLDQSPYAEFMSSTHWEKLAEELTHQFCSLLGQSSESPLGVAVSAGFQGLPTLLKLTTVMAAKKQEWQAMKQLPVPIDIGPEFQYHSVFVCPVLREQSSDENPPMLMPCGHVVSKQSIMKLSKSSSRPFKCPYCPSEAVASQCKQLHF >ORUFI06G20980.1 pep chromosome:OR_W1943:6:20404347:20411781:-1 gene:ORUFI06G20980 transcript:ORUFI06G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAAVASRPPSFTAQTNALLRKNLIFQKRNRKGTIRLIIVPIYLCLLLTILQRVINSVLDKPKFRCGCKCVDVNGTGSCQNVCGIQYSTLDQAGSCPIPNPPEWPALLQLPRPEYRAMQESSLYAGFPDVSCRKSQSCAATIPFTGANETLSNIVMQNLFTSSPLSNLSDNASISSLLLGTDVPGTYTGFIEPAFVSDRPIYVLRPQCKASDSVTVPITFGDINIRKAEMLCIQGLPLWRNSSAIINEETFNGYRKGKSQEGINEIPMAYDFQDSNEKHFSVLALYNSTYQNVSYVPMPFGLLHISRSLNAVSNAYLQFLRGSGVKMLLAFTKEMPKQETRLRFDFSSVIGPLFFEWVVALLFPVMLTYLVYEKQHKLRTMMKMHGLGDGPYWIIYYAYFLILSMVYLVLFVVFGSVIGLNFFKINDYSIQFVFFFSFMNLQIVLAFLTATFFSKVNTAQAIAYLYIFGSGLIAGSLIRNFIEGGKFPKHWITVLEIIPAFSLYRGLYELGQYAIRASEAGSHGMRWSDLNDHANGMRDALIIIILEWLVLLPVAYYLDHSASVGHKSSFLSLIKNLLKKNPTWRRVSINEVVNDAVHVEMVKQDIIKERETVDQVLQQQSSGYAVVCDDLKKVYHGKDGNPDKFAVRGLSLALPYGECLGILGPNGAGKSSFISMMIGLTRPTSGNAFVREFSIQTDMEKIYNSMGVCPQNDMLWEMLTGREHLQFYGRLKSLNGSDLDTAVNESLRSVNLLHGGAPDKQVRKYSGGMKRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWDAVKQAKRDRAIVLTTHSMEEAEVLCDRLCIMVDGSLQCIGTPKELIARYGGYYVLTMTTSPEFEQEVENLARKLSPNARKVYHLSGTQKYELPKQQVRIADVFMAVENFKRRTEVQAWGLADTTMEDVFVKVAKGAQSSEELS >ORUFI06G20990.1 pep chromosome:OR_W1943:6:20417800:20421247:1 gene:ORUFI06G20990 transcript:ORUFI06G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGDQSAGSAARASKLRYPLRSASRGKGAADAPPTSGSVARRPKPSLDVSKSVCGLDLSSVKDNSAKPPRRHSIQTKPGVSPRPTPTGTITPVSLVRSRRSDSQGKFDTPISEVSMSTARRKFSTLSSTSYWMTQIRLAEAASKHSISLGFFKLALESECEPLDRMRDELKAYVVRHGLATELEEPVKDILQVYNIVEDLEKLKITVNSSEQPKKSDKAAHSATNVSPKGNLKPRSLNSDAAQSKEAAKKDNIQKKPDAKVRGSYNRNPAKEAITKNTGKKAKKQVKGQKEDCNGGSEALPVGTEQEPVDVVKEVTNEDKENMGDSEMPMDLGIAQEI >ORUFI06G21000.1 pep chromosome:OR_W1943:6:20430686:20436919:1 gene:ORUFI06G21000 transcript:ORUFI06G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSRGERVGVVFVLVVVVLCVDVSTLVAAQPLVSSQAKTLLWVRRLLGFPPALDALAGAPDACALPPTPSLTVACAGGQVTELSVLGGRAPGAALPANFSADALFTTLTRLPALSRLTLASLGVWGELPGAKLHRLQALRVLNLTGNCLYGAVPEHFSRMYSLQSLVLSRNRLNGAVPNLSGLAFLDELDLGHNRLGPAFPEVGNAVVRLVLADNNFTGRIPAAMSSLGQLQFLDVSGNRLQGWIPSSIFALPALRHIDLSRNQLAGQLPASTACADALAFVDVSDNLLAGARPACMRGNSSARTVLDAGNCFRDARSQRPSTYCNPGALAAVLPPAQGTGGEQGSGGKGGQVGMALGIVGGVVAGAALIALVMMAVLRRARRQNPEVSVLPKSPLASTPAAKKKAAADGGKAPAKVTQRIVTPAEKRHASQAARVNTLEVPAYRVYTLEELQEATNNFGSSNLIKSSPVVKHYNGQLQDGSRVSLRCLKLKPKYSPQSLTQYMEIISKLRHRHLVSIIGHCIVEDQENPNIASSLCLLSECVTNGSLRSHLTEWRKREMLKWPQRVSAAIGVARGIQFLHDVTAPGIVHNDLSIENILLDKTLTSKISNFNLPLISTSKNGKIFSESPFATSEDNDLGSVPSTEQGDKDDIYQFGLILLEVITGKPTESPKDLDSLKTQISEAIAEDPDLLKDMADPTIRGTFAVESLSTVAEIALNCIASDTSSRPSIEDVLWNLQYSMQVQDGWASSESLSLSTRSQG >ORUFI06G21010.1 pep chromosome:OR_W1943:6:20435555:20439847:-1 gene:ORUFI06G21010 transcript:ORUFI06G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTPSPSVVDQPSPPAPASAAAAASQPLAGDPAPEAAAAAEGAGGDQTAPAAAAATGASLYVGDLEASVGEDQLVALFSQVAPVASAYVCRDIAGGSKSLGYGYVNFMSREDATRAMENLNFTVVNGKPIRVMFSNRDPTLRKSGLANVFIKNLEPNIDNKSLYEMFSSFGTILSGKVATDFNGKSKGYGFIQFESESSAKDAINGLNGMLANGQKIFVGLFIRRQEREHTGDANNFTNVYVKNLPKHFSDNDLLNEFSSFGAITSAIVMRDANGLSRCFGFVNFEKSECARNAVKNLNGKSIGDMVLYVARAQKKSERQAELKAKFEHDKNQKFEKLQTVNLYLKNLDDDINDEHLRKLFECFGEVASCKVMLDSHGRSKGCGFVSFATVEDANNAILKMNGKMVGKKPLYVAVAQRKEERKAFLAAHFARVRALATMAPTLGPNIAPHQFNFGHGVPALFPPPPPAGFGFQPNFVPNMMMPYNMQRQPGQRSGPPHGGMPRHLHNPHQGFRHMPNRRNGVANPAMLHQHHRFSSPMQPMQQAVKHVVPVGELQAPSNNLQTSLASANPEQQREILGDMLFPLVEQLVNEKAYKVTGMLLELDKTEVLNLVESPDTLRDKVAEAMKVLELEATATAAAAAAASGSGDGDAAAPSSSSAA >ORUFI06G21020.1 pep chromosome:OR_W1943:6:20443837:20447488:-1 gene:ORUFI06G21020 transcript:ORUFI06G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRGDRFLVVVVVVVVLGVVVRPAAALSADGKALLSLLPAAAPSPVLPSWDPTAATPCSWQGVTCSPQSRVVSLSLPNTFLNLSSLPPQLASLSSLQLLNLSTCNISGAIPPAYASLAALRVLDLSSNALYGDIPASLGALSGLQYLLLNSNRLTGAIPRSLASLAALQVLCVQDNLLNGTIPASLGALTALQQFRVGGNPGLSGPIPASLGALSNLTVFGAAATALSGAIPEELGNLANLQTLALYDTGVSGPIPAALGGCAELRNLYLHMNKLTGPIPPELGRLQKLTSLLLWGNALSGRIPPELSNCSALVVLDLSGNRLAGEVPGALGRLAALEQLHLSDNQLAGRIPAELSNCSSLTALQLDKNGLTGAIPPQLGELRALQVLFLWGNALSGAIPPSLGNCTELYALDLSRNRLAGGIPDEVFALQKLSKLLLLGNALSGRLPPSVADCSSLVRLRLGENQLAGEIPREIGKLPNLVFLDLYSNKFTGALPGELANITVLELLDVHNNSFTGAIPPQFGELMNLEQLDLSMNKLTGEIPASFGNFSYLNKLILSGNMLSGTLPKSIRNLQKLTMLELSNNSFSGPIPPEIGALSSLSISLDLSSNRFTGELPDEMSSLTQLQSLDLSSNGLYGSISVLSGLTSLTSLNISYNNFSGAIPVTPFFKTLSSSSYINNPNLCESYDGHTCASDMVRRTALKTVKTVILVCAVLGSITLLLVVVWILINRSRTLAGKKAMSMSVAGGDDFSHPWTFTPFQKLNFCVDNILECLRDENVIGKGCSGVVYRAEMPNGEIIAVKKLWKTSKEEPIDAFAAEIQILGHIRHRNIVKLLGYCSNKYVKLLLYNYIPNGNLQQLLKDNRSLDWDTRYKIAVGAAQGLAYLHHDCVPAILHRDVKCNNILLDTKYEAYLADFGLAKLMNSPNYHHAMSRIAEYGYTTKITEKSDVYSYGVVLLEILSGRSAVEAVVGDSLHIVEWAKKKMGSYEPAVNILDPKLRGMPDQLVQEMLQTLGIAIFCVNPAPAERPTMKEVVAFLKEVKCSPEEWGKISQQPLIKPGSQQG >ORUFI06G21030.1 pep chromosome:OR_W1943:6:20455093:20455515:1 gene:ORUFI06G21030 transcript:ORUFI06G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVAREWVAQMRGDDRLDSGGAWRRRPWGQAMLARGYGRWLGGRVDPTTSGRIRRQLGADTTSPSLGAAAVASDWVDQPLKLTKATDLLLGAVAVALGQRRHPWKRQICGGDDDDDGGDGHCEDDDCSDGCDDDGFGS >ORUFI06G21040.1 pep chromosome:OR_W1943:6:20456445:20457344:1 gene:ORUFI06G21040 transcript:ORUFI06G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPSAGPPLLPPSLPLAVSGADHQKSCGSKDGDYSDSLLFVDPRSTTDLLEVADWAKAWMHPKGNQGRGGDSSMWQERRCWCRETAGVGVGDGCTGWPKTIDAAHRKAVGWLGGEITVGVGIYAGGGLKDSK >ORUFI06G21050.1 pep chromosome:OR_W1943:6:20471884:20472558:-1 gene:ORUFI06G21050 transcript:ORUFI06G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARVQRANPPPRRSDRAQGKTRCRMNLARRIRPVVGEWAVAAPASHPPRFRTRKKGMTPPTWGRTRPMARREDGAKGSRAASSLRVQMVPPSYPALEARGRTKAASVGLPHRESHRSEVAVLPPWVAPWWPDLETVARWGWRGGAAGMAQVERRLVGSGLLGEEEEEKGNGMAEVNPCVCFPVVRLSLASSPWVRGWRRATAAVYASGYEEIRPRGICV >ORUFI06G21060.1 pep chromosome:OR_W1943:6:20480208:20485549:1 gene:ORUFI06G21060 transcript:ORUFI06G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGALPQIGRERPYTSCRITSFMDGLHISFLGSSPIAILSSSPSNRSYVSSAHPLASSLLLLLIHKRSSEMNDLFSSSSFKKYADASPASGVGGSDMEAGGEGVVNLDRFFEDVEGVKEDMKGLEALYKRLQSTNEETKTAHDARAVKALRSRMDGDVEQVLRRAKAVKGKLEALDRDNATSRKVPGCGPGSSTDRTRTSVVAGLGKKLKDIMDDFQGLRTRMAAEYKETVARRYYTVTGEKAEDSTIDSLIESGESESFLQKAIQEQGRGQVMDTISEIQERHDAVKDIERSLLDLHQVFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTVELEVAREHQKSSRKWACVAVLAGIILIAVLILPVLINLRILTLR >ORUFI06G21060.2 pep chromosome:OR_W1943:6:20480538:20485549:1 gene:ORUFI06G21060 transcript:ORUFI06G21060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGALPQIGRERPYTSCRITSFMDGLHISFLGSSPIAILSSSPSNRSYVSSAHPLASSLLLLLIHKRSSEMNDLFSSSSFKKYADASPASGVGGSDMEAGGEGVVNLDRFFEDVEGVKEDMKGLEALYKRLQSTNEETKTAHDARAVKALRSRMDGDVEQVLRRAKAVKGKLEALDRDNATSRKVPGCGPGSSTDRTRTSVVAGLGKKLKDIMDDFQGLRTRMAAEYKETVARRYYTVTGEKAEDSTIDSLIESGESESFLQKAIQEQGRGQVMDTISEIQERHDAVKDIERSLLDLHQVFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTVELEVAREHQKSSRKWACVAVLAGIILIAVLILPVLINLRILTLR >ORUFI06G21070.1 pep chromosome:OR_W1943:6:20486388:20487930:-1 gene:ORUFI06G21070 transcript:ORUFI06G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRLPAGAAALLLLLAVASRAAADGNAVDVGVNWGSQLSHPLLPKSVVQMLKENGILKVKLFDADPWPVGALVDSGIEVMLGIPNDMLETMNSYGNAQDWVKENVTSYGDKLKIKYVAVGNEPFLKAYNGSFMKTTFPALKNIQKALNEAGVGDKVKATVPLNADVYVSPDNKPSSGAFRPDIQGLMTDMVKFLHEHGSPFVVNIYPFLSLYQSDDFPFEFAFVDGGKTIQDKGGISYSNVFDANYDTLVTALKKAGVPSLKVVVGEVGWPTDGDKNANLKLARRYYDGLLKKLSKKEGTPLRPGKMDVYMFGLFDEDMKSILPGNFERHWGIFTYDGKPKFPMDLSGHGNDKPLAGVPGVEYLPKQWCVFDDGAEDKSKLPGNIQYACASGDCTALGYGCSCNGLDEKSNISYAFNMYFQMQDQDVRACDFDGLAKITTKNASARGCAFPIQIISAAAPAVAGVGLSAAALLALLMVLV >ORUFI06G21080.1 pep chromosome:OR_W1943:6:20496010:20497110:1 gene:ORUFI06G21080 transcript:ORUFI06G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MXAEFMASLSSSPPLVVVSDFFLGFTHGVASDAGVRRVVFHGMSCFSMAICKSLVVSPHVGGGAAPFHVSRMPEHVTITPEEIPPTVASFADPDNPIARFMIENVESTDVRSWGVLVNSFAAVDGDYVASFESFYQPGARAWLVGPLFLASGDTPERDEENDDPEGCLAWLDERASRPGSVVYVSFGTQAHVADEQLDELARGLVRSGHPFLWAVRSNTWSPPVDVGPDQGRVVRGWVPQRGVLAHEAVGGFVSHCGWNSVMESLAAGKPVLAWPMMAEQALNARHVVDVVGAGVKVDAAVGSVAVVGSAEVEEKVRRVMDAGGEEGRRMRTQAAWAQRAARSAVSDGGTSRVALQKLIGDLQESY >ORUFI06G21090.1 pep chromosome:OR_W1943:6:20503777:20504988:-1 gene:ORUFI06G21090 transcript:ORUFI06G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASANRAAVNGAAGSGEPEASRDHFMASLSSSPPLVVVSDFFLGFTHGVAADAGVRRVVFHGMSCFSMAICKLLPVSPPAGVEHGAGGGSPFHVSGMPENVMITAEDIPYSVAKFTDMDDPVTRFLIDNVFQSDVRSWGILVNSFAALDGDYVAPVEAFYEQGARAWLVGPLLPAAGETPERDEENDDPEGCLAWLDERAARPGSVVYVSFGTQAHVADEQLDELARGLVQSGHPFLWAVRSNTWSPPVDVGPDQGRIVRGWVPQRGVLAHESVGGFVSHCGWNSALESLAAGKPVLAWPMIAEQYLNARHIVDIVGTGVRVDSGGGAAVVGRAEVEEKIRMLMDAGGEAAQRMRARAAWARRAAMSAVSDGGTSRVALQKLVGELQRSYDDVVIKVG >ORUFI06G21100.1 pep chromosome:OR_W1943:6:20505572:20511744:-1 gene:ORUFI06G21100 transcript:ORUFI06G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRFVSPHRQTANRSHAIKNTVGHHDCEHAEIQPSTGTLVCSPHAMSEVILHLSPPQKKAIEVSGLGNLLKINKIHIYRDLCNEIARSYDKEKKAFNINGTFVTMTLDDVDCLLGLPSKGDEIFEAPKINKPELFNLYKKEGQTTITLEALRVAIINSSSYDDHFIRRFILFSIGSFICLTTQRYVRSGYLNLVDDVDKMRELNWSSLTLNQLLKGILKFREKETNIEGNVCLLQIWYWEKLRIDKLAVTIYHSGRERQLIQYWDKIKEKKRLFYLFGKGQVVDDIRGTIDCKEIPNENAHDNDSETRTNEDFVCTSEEVHSIISTEQSADITLQERIQESIQTLQDNFNDFTKDFWPRMRALILDCMENDSKCPERKDTTHVFEDVEQEQIDPREHVSNHNEEYYINQNENMTCETKDNSNQSNQSRKRLTGPTGRTYKPTNRTDFIYETRGKKKDIIRTQAQTKKTIVYIEKEDLTQQIIDNGPPKNALRELTKKEDPFITYINNTEDNKVMVHIEQVEVKWIRMKVLTQPEFLNDDVMDAYIQCLRYKVKGIRGDGKAFLEMAIKISLLNVEGVHVEASKPRNKRWIRDMARGYLAFDMVDGDSGGVKGAAVHGEENVAAVAGGSDAVKRPHREPAEAGGGAATRRDSLEKGAGEEDGDGGGSQAGAETPPEEKAARATSNGLRRREAADSGGGGEADVDGEVLAG >ORUFI06G21110.1 pep chromosome:OR_W1943:6:20515759:20516460:1 gene:ORUFI06G21110 transcript:ORUFI06G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASANRAAVNGAAGSGEPEASRDHVIIFPFMAKGHTLPLLHFAAALSVHHKSLRVTLVTTPANLAFARRRLPGSVHLVVLPFPSLQPPLLPAGVESTDALPSMSLYPAFLRATALLREPFAEFMASLSSSPPLVVVSDFFLGHH >ORUFI06G21120.1 pep chromosome:OR_W1943:6:20526171:20526814:1 gene:ORUFI06G21120 transcript:ORUFI06G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTGRTSLLATLVVVVSAVIMACSVDRQLITVPKTTTSTFPVAVVRAATGLGDPATIP >ORUFI06G21130.1 pep chromosome:OR_W1943:6:20531463:20532841:1 gene:ORUFI06G21130 transcript:ORUFI06G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTSIFPFPAPAMLCATTGLAAPAQADYVPTPFRDLCRTVRRRRRHRRRRCRHRRTNRSRLRDELMMTVHAGRPAVMMPLNYICIWLIKYVRVIKTYAHQRLHTHIVRAANGRALALLSGVQR >ORUFI06G21140.1 pep chromosome:OR_W1943:6:20533123:20533749:1 gene:ORUFI06G21140 transcript:ORUFI06G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSERKGEITRRDRFRSHCATRGYGYRSKCIRGKRTSRLGVSSALFKSLSLRRRQCQRLPPHCRQSSPHTPAPYGRRRAGSTAGARCHPHQRHEPTAREWGRRRGGQASISDQVVGALRSDRPHHAEPFLDKILTATREFFHLSPKEKVMYSNMVDADVAAVRGSFPRSTASTTSTPTGRSSTGATGYTSRSSQRRNVGWISSQNTC >ORUFI06G21150.1 pep chromosome:OR_W1943:6:20536911:20537681:1 gene:ORUFI06G21150 transcript:ORUFI06G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFARSGRSLALLQLAALLLAYARCWWIQATLSSPMIRVRAGSTEFPVRLPVSTAFLALRPPVSTACRVLRLLPSTAFLVRLPVSTACPALRLVPIVCPVLRAITNKRVAQP >ORUFI06G21160.1 pep chromosome:OR_W1943:6:20539059:20540147:-1 gene:ORUFI06G21160 transcript:ORUFI06G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGIQTIYSFTKTVELTVCPQGVCGNAPEAVWFSIVKCNRQGYRWEAWSCPASWDDTES >ORUFI06G21170.1 pep chromosome:OR_W1943:6:20540241:20542219:-1 gene:ORUFI06G21170 transcript:ORUFI06G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQDKASEWSGVAAGDAFAIDDGNVFEALGGTTQPFVDLSTNFYTRVYEDEEEWFRQIFAGSKKEDAIRNQYEFLVQRMGGPQLFSQRRGHPALIARHRPFPVTHQAAERWLHHMQQAVDTTDSIDAATKTKIMHTAYFLVAGNEMTRQGHGTSCKCKHGESKPAE >ORUFI06G21180.1 pep chromosome:OR_W1943:6:20568624:20569842:-1 gene:ORUFI06G21180 transcript:ORUFI06G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATEASFHLLVAVLEESMDVASVCCVHEPIAIIPPENPSHNDGSGQAATNVGGSAQPTTVEADVREPDMFDNEEEYVGVNDEQLYLPPKPTQPPDTSSQSSQPAATGFVSRPELTQRAFLTCMYYSLSQEARYTKS >ORUFI06G21190.1 pep chromosome:OR_W1943:6:20571353:20576942:1 gene:ORUFI06G21190 transcript:ORUFI06G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESESNGHHHAGDEARAENSGAGCRHGGDDGGGTPRTTPEIRYTKLFINGRFVDAASGTYVAVLRASSPGKTFETRDPRTGDVIARVAEGDKEDVDLAVKAAREAFDHGEWPRMSGSERGRVMAKYAEVVERHADELAALESLDAGKPLAAARAVDVGECVGILRYFAGAADKIHGETLKMSRQLQGYTLREPLGVAGLIVPWNFPAIMFFSKVSPALAAGCTVVVKPAEQTPLSALFLAHLSKQAGVPDGVINVVTGFGPTAGAAISSHMDVDVVAFTGSTEVGRLIMEASAKSNLKPVALELGGKSPFIVFDDADLDKAVELAIGGNFFNKGEACVAGSRVFVQEGIYDRFEQKLADTMKSWVVGDPFDPRVNQGPQVDKAQYERVLGYIEQGKAEGATVLTGGKPCGKKGYYIEPTIFTNVKDDMVIAREEIFGPVMCLMKFKTVEEAIERANGTRYGLAAGLVTRDIDVANRMARSIRAGVVWVNCYFAMDRSCPFGGRKMSGFGKDDSMHALDKFLAVKSVVTPVHGSPWF >ORUFI06G21200.1 pep chromosome:OR_W1943:6:20577975:20578442:-1 gene:ORUFI06G21200 transcript:ORUFI06G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGRLSGNITQDWEPVVLRRTKPKAADLKSTRAVNQAMRTGAPVETVRKAAAGTNKAAAGAAAPARKLDESTEPAGLGRVGAEVRGAIQKARVAKGWSQAELAKRINERAQVVQEYESGKAVPVQAVLAKMERALEVKLRGKAVGAPAAPAGAK >ORUFI06G21210.1 pep chromosome:OR_W1943:6:20582548:20583005:-1 gene:ORUFI06G21210 transcript:ORUFI06G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWKKIKGKEQASSSGSRSNWNPDAELNHYLNTNRTEHDRTLDGENVDLFEWWKEKERTLPVLAHFARDVLLVLASCVSSEHALVRIIEEWRSCLAPDIVEAIFCLKDLIEAYARTQHRLEDPEIADAAADALAEFGISTDCGGANQN >ORUFI06G21220.1 pep chromosome:OR_W1943:6:20583021:20584324:-1 gene:ORUFI06G21220 transcript:ORUFI06G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKNFERMIRNAFCPQYTQVSRKTTKNFIVSLYRSSFCCSTNEGKFLKYFTTIPHLYCFALVLDPRKKLEVVEAAFISIGDAVGLDYSEAYQHARDELFRVFRMYQTKLSVVRWVPEETPQKK >ORUFI06G21230.1 pep chromosome:OR_W1943:6:20588032:20591641:1 gene:ORUFI06G21230 transcript:ORUFI06G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTARKGRGGRGPPRPRVVDGGGGSMVSRVAVLAFCVAGIWSAYITQGVLQETLSTKRFGPEARRFDHLAFLNFAQNVVCFVWSFIMIKLWSSGGSSSAGRAPLRKYWGVSITNTVGPAMGIEALKFISYPAQVLAKSSKMIPVMLMGTILYGVKYTFPEYICTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYTLCFLNLAFDGYTNSTQDLIKSRYPKTNPWDIMLGMNLWGTIYNAVIMFVAPLLFSNWPYANGFEAVRFCQENPEVAWDILLFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVISSVISGNPLSLKQWGSVVMVFSGLSLQIFLKWKRKKVRDHKE >ORUFI06G21240.1 pep chromosome:OR_W1943:6:20594675:20596121:1 gene:ORUFI06G21240 transcript:ORUFI06G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPPPAPHFLFVVSGIQGHINPARRLAARLMASAPAARVTFSTAVSAHRLMFPSLPSPAGEDVDDTGVAYVPHSDGYDDGYKPGVHARDDYMARTRAAGTESLSAIVAALAARGRPVTCIVYTFLVVWAPAVARALGIPSAIYWIQPAAAFAVYYHYFHGHGEALASCANDPARGAVVRLPGMPLLRSDELPSAVSIVSPEHKHYLLLAMLRDLFEDLDELKPRVLVNTFDALEPDALRAVPDLEVVAVGPVVPDGEASLSSSSTDMFRRDDASACVDWLDTKPARSVVYVSFGTLLSMSKRQEEEMRRGLEATGRPYLWVARQGAVDGGATLDSAPTPPPPARDGGGVMKVLSHPAVGCFVTHCGWNSALESITRGVPMVAVPQWTDQPTVAWLVEARMGAGVRARLDGEGVVERGELQRCVELAMAGGGDGGVRARAERWRERAAEAVAAGGSSERNLRAFASGAVTQAVCSSR >ORUFI06G21250.1 pep chromosome:OR_W1943:6:20617466:20618875:-1 gene:ORUFI06G21250 transcript:ORUFI06G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPRPHFLVLTFPLQGHIAPALRLARRLLAAAPDALVTFSTAAAAHRRMFAEGEGGDGDGRLELLPFSDGTENGFVKRGDAAELGAYMASFHASGRRSVGEMVDALAARGRPVSSVVYTLLLPWAADVARDRGVPSALYWIQPVAVLAIYCHYFHGLGGVVDEHRRDHSFVLEFPGLPPMAAGDLPSFLTEATDPSDYFHSIFTTFRDLFDALDRETPKATVLVNVFQELEADTLAAVGAYDVLPIGPVLPSGDDAALFKQNDAKYMEWLDTKPAGSVVYVAFGSLTVMAKGQVDELLHGLEESGRPYLCVVRKDNKAAVAETGDATAAAAARRNGVVVEWCDQVRVLSHAAVGCFVTHCGWNSVLESIASGVPMVGVPRMSDQQMNARLVERDWRVGVRAEVDGGDGVLRAAELRRRVEEVMGDGEAAEVRRSAAAWKRAVAEALGKGGSSDRNLTAFVEGARSVI >ORUFI06G21260.1 pep chromosome:OR_W1943:6:20627943:20635316:1 gene:ORUFI06G21260 transcript:ORUFI06G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHVTMRALLSRIHPPGPGSISTTSFLQCPSSTAASASKTLAPTLTFRRFAAMAAAAAEEFVKGRVTPNGVAVITLDRPKALNAMNLEMDLRYKAFLDEWETNPSVKCVLVESSSPRAFSAGGDVKRLANDCTMPEIIERTLLAMPENGIGLFPDVGFAYIGAKAPGGGAVGSYLGMTGKRISSPADALFFGLGTHYVPSANLGPLRESLLSANFTDDPHRDVESLLTKFKNEPESGPQLDKFLPYIISSFGPDKSVAESVEELKKCSQSDDAAVAEWANEALAGLKKGAPFSLCLTQKHFSQVASAYRNNEHYLSKLAGVMKVEYRIALRSSVRNDFVEGNPKWKPATLEDVDKGEVESVFEPLAAEAELNV >ORUFI06G21270.1 pep chromosome:OR_W1943:6:20639297:20645103:-1 gene:ORUFI06G21270 transcript:ORUFI06G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLERSEQMPMPASRAVPGGLVFDQSKDWWQPGIVDDRSSDILRSPAAQSPAPGGRSSDGVGGRERGAAGGQQGAEPAVRRVRGGVGGQQGARPQRRRRQDGAAVRAGDARQRPWHRRQGGPQPRAEAAAPGAAWPRPRRVDAEDVLDELDYFRIQDELDGTCEAADEHAKGCVVHNLFLNTRHTALSVASNLVLLPCAGDDHPDADGRRSGEDKNRQIGSSCAGGGQVMNRQIGSSPSRTLHAAADEEAVASNCCMHKLSPSARGNTHHIGSQFLRCTCSCGRVLQREDTMKTPKLKFDRVDLSQRMKRIVEQLKPLCAKVSTILNLELLESNRSIGQYIAMSLNAEFSKKPGHAPVLPSGGIGKTTLAQYIYKEVHNYFDVTVWVCVTPNFNVYRLKEDIAKSIPQLKDEKNSGPHDLIVQSLGSKFLLVLDDMWNCGHEDEWKYLLASLKKGQTKGNIILVTTHFLAVVEMVKTIDSPIQLKGLDPQEFWELFKASVFGDEKSANDHANLLETGKMISKKSEGFPFGSENSWEDQNKKIEDIGLSRLNDLVSYGFFEKHVEDGSSYYVMHDLLHELALKVSSYECLTICSSNVKSIQILPSIRHLSIVVDDMDVNDRVTFENIKKDFITLKLSLPNIISRFYHLRILDVRQCKGHFGLPRDMNNLVKLRHFVVQDDKLYSDIANVGKLKCLQELRRFEVKRQVKAFALSQIGQLDELKGSLGIYDLENAKAAEEAKLLNKSHLHKLILDWNVNHSTKDYSQEEHILENLRPHSNLRELHIQGHGGTTCPSWLGPNLSIKGLQSLCINGVCWDKFPPLGGLWLVNKHGEKFLACASGRSFQYLKRLELVAIPRLAKWAGNDACCVLSLLEEFIVRECPELIELPFSHSTCPWSRQEMNLSQFSRLQNLEIAKCPKLLPLSPLPWTSSPCHVLIKEVGSHFHLLDYQRNNQSEQGLQIEGKDGPLDSTFWKLLALSNLTELRELKMKKCPPLPLKHLKLLSALRRLSITDSGIALLPTDCESTVTYHFLVEQLEIYECSASGIEMTQLLSYFPKLMNLRIEKCQKITGLGVAGQEMMATLASPPSLSYNKSEDAQIGNDQQQPRGDNGIASVVTGLLLLPHQLQNLDIRHCSKLILQLDAFVGDTTRNLIRGVGGGLQYLRSLQSLCIKHCPNFLSSYSPSLSCFPFPSSLQDLAIIDCVRGMETLVQNLSSLTRLSIWDFGDLRSGSMCSLLTQGHLRVLAVHKTPEFFVGSKPSGLQQLYTDDIVGVFVEPTCRLLSSSLTKLSLSMNHKVERFTKEQNMALQLLSSLEVLIFVQCSKLQSLPAGLHRLTSLKRLEIAYCPNIHSLPKGCFPSSLEVLHVYESQSEELKRQCRKLKGTIAIIEDEDYLELVTNTHLFDLAQFTFCLAQH >ORUFI06G21280.1 pep chromosome:OR_W1943:6:20651666:20652811:1 gene:ORUFI06G21280 transcript:ORUFI06G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNELIPGLPEEVARECLIRVGFDQLPAVRRISRQWKAEVESPAYNRLRKAEGLARPALALVQARRELAEAGPAADKQSSAGGVPGNSYRMVLLDPAEGRWTPLPEVGGASGSLPLFCQVAAVDGGVEGRKRLVVVGGWDPETWAPTDSVLVYDFLTGAWRRGAAMPGPRRSFFACAAVGGKVFVAGGHDEEKNALRSALAYDPDADAWAALPDMAEERDEPRGLCVDGKFLVVGGYPTPAQGRFVGSAEWFDPATSTWSAVQEGFVDDGACPRTCSAAPEAGDRMYMLRDGHLVARHGAISSSPAAWRPVAPVPEDARTAAAVSVIPDGRVVVIGSDCHGGDQTVYTLREEAGKPASWARAPAPPEFSGHVQAACLLEI >ORUFI06G21290.1 pep chromosome:OR_W1943:6:20656482:20657520:1 gene:ORUFI06G21290 transcript:ORUFI06G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDELIHERRHRRWEVGDKGCPHGQKNHGLIGPDAMLSETSDIRQADKGGSEKRTSESTTIKQQVL >ORUFI06G21300.1 pep chromosome:OR_W1943:6:20663950:20665797:-1 gene:ORUFI06G21300 transcript:ORUFI06G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFAVTKVSEGPVRPSAATPSETLPLAWVDRYPTHRGLVESVHVYLRRDDAAVEAVAPVADDGGVVVEGKKKEKKPAAAVVRGALADALVHYYPFAGRIVEDERSPGRPAVLCSGEGVYFVEAAANCTLADVNHLERPLLLSKEDLVPCPTPEQWPVEPHNSLAMIQVTTFTCGGFVIGLRTNHAVADGTGAAHAPRAPGVKPIWARDRFPDPDIKPGPLPELPVLPLQYIAFDFPAAYLGKLKAQYAATAGAGKICSAFDIVIAKLWQCRTRAIAADPAAAVKLCFFASARQVLGLETGYWGNAIFPVKVSAAAGEVAASSVIELVGVVREAKRRMAGECLSWAEGRTGGADPFQMTFDYESVYVSDWSKLGFNDVDYGYGAPSSAGPLVNCDLISSVIVMRAPAPLAGTRLLASCVTKDHADDFAARMREDLV >ORUFI06G21310.1 pep chromosome:OR_W1943:6:20703317:20704733:1 gene:ORUFI06G21310 transcript:ORUFI06G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSAAAAAAAAAATVTRVAQRVVAPSAATPGGALPLSWLDRYPTQRALIESLHVFKGRADAAAAPAAAIERALAAALVSYYPIAGRLAERGDGGELVVDCTGEGVWFIEATASCSLEDVDYLEYPLMVDKDELLPHPTYPASESHPEDSLILLVQVTQFACGGFVVGFRFSHAVADGPGAAQFMTAVGEIARGRAAPALAPAWGRDAIPCPPSAAVGPLPVPTELRLQYLAMDISTDYIDHFKARFLEQTGHRCSAFEVLIAKAWQSRTRAAGFAPGSPVHVCFAMNARPVLRRALPDGFYGNCYYIMRVTAAAGAVADASVNDVVRLIREGKKRLPGEFARWSGGGGGGEDDPYRITSDYRTLLVSDWSRLGFAEVDYGWGAPVHVVPLTNLDYIATCILVRPSAHKPGARLITQCVAADAVDAFHNDMMRLD >ORUFI06G21320.1 pep chromosome:OR_W1943:6:20707618:20713934:-1 gene:ORUFI06G21320 transcript:ORUFI06G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPKQGQPPRVAGTPPTLPGAITHTTQVGYPAVFYNGNWGAQVPASSYLIVPMSEPPAQVGVPRPNAPSLSGSGARPLSRVSLRPPQQVLSVQTALPGMAAMMPSPSMIAGKKMAASPKVQMLKSVPFRSAGSKRPAQELLPKAQPQLFESVRSKFRETLAAALNMDSDQQCAPQSVETMSHVGSASENKQADGAGIDSVTETSALKSGQHNMLSSNSASNMSIKVSDDMQQQSIHVPLENKVLDNNSCTLDELLQGHGLCWSSDVVGASETISQSDPDRVRKSDIDESVDVSLIEHESKRIKTDDGAAEEKKSVTQKSQILAFEIEGELFTLLGGVNKKYKEKGRSLLFNLKDKSNPVLRERVLSGDITPKRLCSMTTEELASKELSDWRLAKAEELAKMVVLPSKEVDVRRLVRKTHKGEFQVEVEETDGISVEVGIGGDLLSHVPSRPTEGQTKTDDKSVHTEEKESDNSEQDGVIVTGSNNMPSNLEHTANEKTDLMQELMVDDLKDTENLPPIMSLDEFMETLDSEPPFEDDSTQTVKHDPNSIEKTDISLKSEDSSKNVDSASASDSQLDPQTLSPQDKCESKLQSPKKDAGSILFPVEQIKEDLLLVKSSPEKANAENTDTGSQSIPESITDCKSAPDALLTHDSVWEGTIQLSLSSLTNVVAIFKSGEKTSTNEWRHFVDIKGRVRLSAFQEFLEQLPKSRSRAIMVTELRWKEGSLESGRQHLLQTIDSYIADERVGLVKPADGVELYLCPSQGKAAQILAEHLPKEHSSSLTVTGTSAIGVVVWRRPHVSPRIPARNDGSRNQSISRKQHAVIASAVPLSSKPTNERQHHGQDVVTDDVPPGFGPGVVREDDDLPEYDFVTVPNAAANVVPSRQAYGSQQQHSQASSRRPVDHVREMVRKYGSRSAAAAQPWEEDDDDDDIPEWDPNQSSLNLQQTRHAIPQPPLPPPGPVHQQMHAYHQQHQQQQQHYQSIQQYHATQESQNTLSQAYYVQSHSQQHSVPVQQLTHLQPGWQTTAQWLAAGAAHSGLPANNVVQQYCTSATPDGSGQGYATGNQGSMPWNLQ >ORUFI06G21330.1 pep chromosome:OR_W1943:6:20708467:20713791:1 gene:ORUFI06G21330 transcript:ORUFI06G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDGDGIIAAIPGKAVWTLSTCCGGLSDTLLNGLAPDPERLGALGLGTPTCAGGSLMGTIR >ORUFI06G21340.1 pep chromosome:OR_W1943:6:20714048:20715350:1 gene:ORUFI06G21340 transcript:ORUFI06G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPGGEGGSPPVRSRTAGVELGPAGRRRRAGGTRRREAAEVGEWRGERGRVCGGFISAFVAARWTARASGAARVGTGEEGGGDCETEGIGEASDRRKDGGRRQRWRRAFIIAHAGGETHELRIDVANASSASVLSHAVHRNTGLPTLTLEEQFATVALPPKHLLFVESGLTLVVDLDREEGSGGSAGEEAEDACSSTGEE >ORUFI06G21350.1 pep chromosome:OR_W1943:6:20716634:20716932:-1 gene:ORUFI06G21350 transcript:ORUFI06G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRRSVVGTGHAAVGFPVARIAHRRHVALVVVKGQADMDMEQTKAKDGSVVSGDPVLEHEPCQTC >ORUFI06G21360.1 pep chromosome:OR_W1943:6:20721232:20721726:1 gene:ORUFI06G21360 transcript:ORUFI06G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVAGRGQGWEWRRWPVVETFWVVAEDFRSGSSFGVLQQDHERQCGCLAAAGPWGGGGGTVGRRRGCLGEDELVMSIFPLTFSTYAYAVGAELAALFPTNPATSQRLERKEVAAAEEGLIQLARRQGGRGEGGSGRASSSGGVVVAVERLVCRGDTVATAEHL >ORUFI06G21370.1 pep chromosome:OR_W1943:6:20731769:20736356:1 gene:ORUFI06G21370 transcript:ORUFI06G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSIAASAFLPGSPAAAPPKSVLGERPDSLDVRGIAAKPGSSSSAAALSAGKTRTHAAIPKVNGGSSALADPEHDTMSSSSSSAAPRTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKRPDMLTDTFGFGRMIHEGLMFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKSAGLLGDGFGSTPEMSKRDLFWVVSQMQAIVERYPCWGDTVEVDTWVGAHGKNGMRRDWHIRDSVTGHTILKATSKWVMMHKLTRRLARIPDEVRTEIEPYFFEHASIVDEDNQKLPKLPDIEGANVAKYVRTGLTPRWADLDINQHVNNVKYIGWILESAPISILEKHELASIVLDYKRECGRDSVLQSHTTVYTDCNKHSGQTTLHCEHLLSLESGPTIVKARTMWRPKGTRPQESIIPSSS >ORUFI06G21380.1 pep chromosome:OR_W1943:6:20740170:20741638:1 gene:ORUFI06G21380 transcript:ORUFI06G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSGDEERLRGVVSTGAKEQQRGVGMRTATRSRDEDGGVEQQRREKTSSSGRRLRANALGAELIHSNSNWSGADIVPPWRPSRSPSRRGRDLSAKAIGTEVANVSTKRIGADFWNLAMSLMGALPGAEI >ORUFI06G21390.1 pep chromosome:OR_W1943:6:20751182:20751831:-1 gene:ORUFI06G21390 transcript:ORUFI06G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEVEWWWSIGASAMDSALSCCLIPHGWLPGENPVLAPLSPDGRWRLFSVASLLEDVVLRRSVTLSGGQSGVNLLPVLCVDAVGVWVAYRY >ORUFI06G21400.1 pep chromosome:OR_W1943:6:20755131:20755328:-1 gene:ORUFI06G21400 transcript:ORUFI06G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGATEGAAAVTLPSPLPDPMAGWEEAGGGGSINTGSDSGSFTIPSRPRSSLQVHALGNSGSL >ORUFI06G21410.1 pep chromosome:OR_W1943:6:20761235:20762982:1 gene:ORUFI06G21410 transcript:ORUFI06G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVESCKRIRLMKSSEAVNLSPRRSSR >ORUFI06G21420.1 pep chromosome:OR_W1943:6:20764867:20770223:1 gene:ORUFI06G21420 transcript:ORUFI06G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHRLGGGGGGGGGGGRPPIPGAAGRKLPGLSRHASFGTVKVRGKGIRLVRSPANSTKSGTEKTFENMDAVAYMPVVRSGGWADIGSRHTMEDVFICSDNLMKEFGVESFEDGPSAFYGVFDGHGGKHAADFVCSNLARFIVEDEDFPREIEKALSSAFLQTDAAFADACSVNSSLASGTTALAALVVGRSLLVANAGDCRAVLCCRGKAIEMSRDHKPSCNREKVRIEASGGYVYDGYLNGQLNVARAIGDWHMEGMKACDGLGPLSAEPEVMIRNLTEEDEFLIIGCDGIWDVFRSQNAVDFARRKLQEHNDPVTCCKELVDEAIKRKSGDNLSVVVICFNSRPPPVLTTPRPRVQRSISAEGLRELQSFLDSLAD >ORUFI06G21430.1 pep chromosome:OR_W1943:6:20792245:20798890:1 gene:ORUFI06G21430 transcript:ORUFI06G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKKEERFWCSTSGWRGRRRGGGGAHMLEVLDMAPPRHQPAAGKAGGGRGHGHGHGHGGGGGGPAARKQPLQSSMAQPKAETAAATAAVAPPEGGKKCGGGGGRRRGGRGRGGRAGAGPGPGLAAAPAVVVAPAARAVIGPPVASKGLSFCRRPGFGTVGARCVVKANHFLAELPDKDLTQYDVKITPEVSSRSVNRAIMSELVRLYRDSDLGGRLPAYDGRKNLYTAGTLPFDAREFVVRLTDDDDGTGVPPREREYRVAIKFAARADLHHLRQFIAGRQADAPQEALQVLDIVLRELANRRYVSIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVISRPLSDANRIKIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQHPHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCRRPREQEMDILQTVQQNGYEQDPYAKEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKKVINGCKVNHWACINFSRSVQETTARGFCQELAQMCQISGMEFNSEPVIPIYSARPDQVEKALKHVYNMSLNKLKGKELELLLAILPDNNGSLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDSKICHPSEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMSENQTTSKSSTGTNGTSVKPLPAVKEKVKRVMFYC >ORUFI06G21430.2 pep chromosome:OR_W1943:6:20792137:20798890:1 gene:ORUFI06G21430 transcript:ORUFI06G21430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVLDMAPPRHQPAAGKAGGGRGHGHGHGHGGGGGGPAARKQPLQSSMAQPKAETAAATAAVAPPEGGKKCGGGGGRRRGGRGRGGRAGAGPGPGLAAAPAVVVAPAARAVIGPPVASKGLSFCRRPGFGTVGARCVVKANHFLAELPDKDLTQYDVKITPEVSSRSVNRAIMSELVRLYRDSDLGGRLPAYDGRKNLYTAGTLPFDAREFVVRLTDDDDGTGVPPREREYRVAIKFAARADLHHLRQFIAGRQADAPQEALQVLDIVLRELANRRYVSIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVISRPLSDANRIKIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQHPHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCRRPREQEMDILQTVQQNGYEQDPYAKEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKKVINGCKVNHWACINFSRSVQETTARGFCQELAQMCQISGMEFNSEPVIPIYSARPDQVEKALKHVYNMSLNKLKGKELELLLAILPDNNGSLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDSKICHPSEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMSENQTTSKSSTGTNGTSVKPLPAVKEKVKRVMFYC >ORUFI06G21440.1 pep chromosome:OR_W1943:6:20799697:20801289:-1 gene:ORUFI06G21440 transcript:ORUFI06G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAARRGHGMPLWECNVLIRTLARRGSFARVMAVYYDLRARGLVADSFTYPFVLRAVGVLKLSVEGRKAHAAAIKTGFRWDAYTGSSLMEMYTMLGRVDIARKVFDEMPSRALVLWNMMVRCYIRCGRYSAAVALSEQMERSGVTPDRVTLVTAVTACSRARDLSLGRRIHVYMDNVFGFNLPVANALLDMYTKNDCLEEAVKLFEQMPARNIISWTILVSGYGLAGQLDKARVLFNQCKEKDLILWTAMINACVQHGCFEEALTLFRDMQMQRVEPDRFTVVTLLTCCANLGALDQGEWIHQYAEQRKMKIDAVLGTALIDMYSKCGHIEKSLEVFWRMQGRDATAWTAIICGLATNGQAGRALELFQDMQRSKVKPDGVTFIGVLSACCHGGLVDEGRKQFHAMREVYQLEPRVEHYSCLVNLLGRAGLLDEAERLIGDVPIKKDAMPLFGALLTACKAHGNVEMSERLTKRICEQDSQITDVNLLMSNVYATASRWEDVIRVRGKMAHPTVKKTAGCSLIEVKGY >ORUFI06G21450.1 pep chromosome:OR_W1943:6:20801379:20806965:1 gene:ORUFI06G21450 transcript:ORUFI06G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKRVAVVVGGSVAGLACAHAVAEAGWEAVVVEKAAAPGAGSGTGAGLGLDAQSMEALARWIPGRLDAATLPLAVDLNRATDGETKAGRTLTRDEGFGFRAAHWGDLHRRLHEALPAGVTVLWGHQFVSFETAPEDGDGDGEGGVVVTARVLRTGETVEVAGDLLVAADGCTSAIRRRFLPELKLRYSGYCAWRGVFDFTGKEGCTTMVDIRRAYPELGNCLYFDLAHKTHAVLYELPKNRLNWLWYINGDEPELTGSSVTMKVSEATVSEMKEEAERVWCPELARLISETAEPFVNVIYDAEPLPRLSWCGGRVALVGDAAHPTTPHGLRSTNMSIVDARVLGCCLARRGDAETTPRRALAEYEAARRPVVAAQVLHARRLGRLKQGLGMGSAGDGEGFDARTATEEEISQLRQSSMPYFSGAPTTE >ORUFI06G21470.1 pep chromosome:OR_W1943:6:20812465:20816150:1 gene:ORUFI06G21470 transcript:ORUFI06G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLLSRLLILPPPAPAAAASLRQRKPAAAHSLSSRGRRPRLAVQAVAPAAAEEEKGGLPAAEAERLAEFLREDLPHLFDDVGVDRSAYDDRVRFRDPITRHDTIDGYLLNIRLLKLLFRPDFYLHHVEQTGPYEITTRWTMVMKFVLLPWKPELVFTGLSIMGVNPQNLKFCSHVDIWDSIQNNEYFSFEGLGDVFKQLRIYKTPDIETPKYLILKRTANYEIRSYPPFLIVEAKGDKLTGSSGFNNVTGYIFGKNASSEKIAMTTPVFTQASDDKLSDVSIQIVLPMNKDLDSLPAPNTEAVNLRKVEGGIAAVKKFSGRPKEEIVIQKEKELRSQLLKDGLKPQHGCLLARYNDPRTQSFIMRNEVLIWLNDFTLE >ORUFI06G21480.1 pep chromosome:OR_W1943:6:20829534:20830928:1 gene:ORUFI06G21480 transcript:ORUFI06G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAVQLSTVSPGDIADLWEQLRFNLLSVVACSTLLVFLSTLYFLTRPRPVYLLDFACYKPEPQRKCTRETFMRCSSLTGSFTDANLDFQRKILERSGLGEDTYLPPAVLRVPPNPCMDEARKEARAVMFGAIDQLLEKTGVKPKDIGVVVVNCSLFNPTPSLSAMVVNHYKLRGNVISYNLGGMGCSAGLLSVDLAKDLLQVHPNSYALVVSMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNRRSDRRRSKYELVHTVRTHKGANDKCFGCVTQEEDEIGKIGVSLSKDLMAVAGDALKTNITTLGPLVLPLSEQLLFMATLVAKKVLKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELTDWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIRKRDRIWQIAFGSGFKCNSAVWKALRTVNPAKEKNPWMDEIDNFPVEVPKISKVGNA >ORUFI06G21490.1 pep chromosome:OR_W1943:6:20833810:20841006:-1 gene:ORUFI06G21490 transcript:ORUFI06G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVAVPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDGNPMLRNGETGDWIGTFQGHKGAVWSCCLDTNALRAASGSADFSAKVWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGVEKILRVYDMNRPDAAPRELDKAPGNVRTVAWLHSDQTILSSCSDMGGVRLWDVRTGKIVQTLETKAPVTSAEVSQDSRFITTADGSSVKFWDANHFGLVKSYDMPCTVESASLEPKSGSKFIVGGEDMWVHVFDFFTGEEITCNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLSPPNADDNEAVNSNGKPTVGVNEVARKIEGFHIPKEEEQQQQQAEG >ORUFI06G21500.1 pep chromosome:OR_W1943:6:20847702:20848991:1 gene:ORUFI06G21500 transcript:ORUFI06G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNPTTSHPGVSTIEEKSTGRIDQIIGPVLDATFPPGKLPYIYNALVVETLTEIIELDTKLSIFETGIKVVDLLAPYRPPYRRGGKIGLFGGTGVGKTVLIMELINNIAKAHRGVSVFGGVGERTREGNDLYMEIKEGLASKGIYPRSFRFNLNYVTTSDRWQRTL >ORUFI06G21510.1 pep chromosome:OR_W1943:6:20857975:20859658:1 gene:ORUFI06G21510 transcript:ORUFI06G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQVWLLWGALSVAVLFYLSTLRRRHAGGKPLPPGPTPLPLIGNLHLAGGTSFHHKLRDLARVHGPVMTLKLGLATNLRDLARVHGPVMTLKLGLATNVVISSREAAIEAYTKYDRHLAARATPDTFRACGFADRSMVFIPSSDPRWKALRGIQGSHVFTPRGLAAVRPIRERKVGDLMAYLRAHAGEEVLLGQAMHTGLLNLVSFSYFSIDIVDMGSQMARDLREVVDDIISVVGKPNISDFYPHNDFLDSLLELMAAGKIDRVNVLDMLFEAFVAGADTMALTLEWVMAELLKNPGVMAKARAELRDVLGDKEVVEEADAARLPYLQAVRKEAMRLHPVGALLLPHFAVEDGVEVGGYAVPKGSTVLFNAWAIMRDPAAWERPDEFVPERFVERAPLLDFRGKDAEFMPFGSGRRLCPGLPLAERVMPFILASMLHTFEWKLPGGMTAEDVDVSEKFKSANVLAVPLKAVPVLIK >ORUFI06G21520.1 pep chromosome:OR_W1943:6:20858031:20858634:-1 gene:ORUFI06G21520 transcript:ORUFI06G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPTFRSRMGRTAARPRGVKTWEPWIPRRAFHRGSELGMNTMDRSAKPHARKVSGVARAARWRSYLVYASMAASREEMTTLVARPSLSVITGPWTRARSLSLWWNDVPPAKWRLPMSGSGVGPGGRGLPPAWRRRRVER >ORUFI06G21530.1 pep chromosome:OR_W1943:6:20871548:20871867:-1 gene:ORUFI06G21530 transcript:ORUFI06G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWRYDINNRIGRHHRHRRQIFLLDSGRIFMLLGAAAIILLTTTPYVGLVAFLLWLLGAALAMLSVAAGQFPALVAATVAIATQLRNYLLGGL >ORUFI06G21540.1 pep chromosome:OR_W1943:6:20875896:20876243:-1 gene:ORUFI06G21540 transcript:ORUFI06G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRAIRYLLRGDQRRGLRRRAQLLAAAGRYHRRRRLLLLDSGRVLMLLAVVALLHLLTAACTGQVEFYVVLVAFLLWLLGAAFAVMSLVAGQFPVLAAAAAAARTLRGWLLGGL >ORUFI06G21550.1 pep chromosome:OR_W1943:6:20880141:20880585:-1 gene:ORUFI06G21550 transcript:ORUFI06G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAMHHYLLLANQQRHRALADVAVLRRQLLLDSGRVFMLLGAVILMHLLATGRGASSGCTRGAEPCVALLLWLLGAALAMLSLVAGRFPVLAAAAATIAKELGDHLLGDHLLLRAFALELI >ORUFI06G21560.1 pep chromosome:OR_W1943:6:20888331:20888893:1 gene:ORUFI06G21560 transcript:ORUFI06G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRRWPSPSGQPSSAPLPAEKGRSAASGGVGGGEALRLYYKRRIRVFSILRMLREELKLLQDVSDDDGFFKVIAKFNVPLKSDHVCIYF >ORUFI06G21570.1 pep chromosome:OR_W1943:6:20893946:20894323:-1 gene:ORUFI06G21570 transcript:ORUFI06G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAMRYLGRRINNDQQQGGRGAVAWIVHRRRLLLDSGRAMMLLGAIVLTSRQLIITTSSGATHSVDAELYHAYDVVLVAFLLWLLGVALATLSLVAPRAQLPGLAFANAAVAMALRNHILRDL >ORUFI06G21580.1 pep chromosome:OR_W1943:6:20904850:20907996:1 gene:ORUFI06G21580 transcript:ORUFI06G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADVSRPSSSAPTAAAAGADGHGAKGSAFRGDGLRPYYQSRIHDLQLQIRQGTDNLSRLEAQRNVLNSQVITLGEELKVLHEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDVDKSIDITKLSPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMQSGSGGGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRMDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVNQEDFLMAVAKVMKKDTEKNMSLRKLWK >ORUFI06G21590.1 pep chromosome:OR_W1943:6:20909236:20911808:1 gene:ORUFI06G21590 transcript:ORUFI06G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAQALPDPAAVGYPSFKLILVGDGGTGKTTFVKRHITGEFEKRYEPTIGVEVRPLDFHTSRGKVRFCCWDTAGQEKFGGLRDGYYIHGHCAIIMFDVTSRLTYKNVPTWHKDICRVCDNIPIVLCGNKVDMKNRQVKAKMVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLTGDMNLRFVEELALLPADVTIDLIAQQKIETEIAAAAAMPLPDEDEDGLMD >ORUFI06G21600.1 pep chromosome:OR_W1943:6:20923591:20926473:1 gene:ORUFI06G21600 transcript:ORUFI06G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIQTPNPTAATTHRRNHRRRPDLSAFVCSVSRNRGGDDGGGGRGGGGAAAAARGGEGGGRGVVAAEAAGGALRGAGGARPAVPVPRRVREGDGGAHGGGHREAHAARRAAQRAPQGARVLPLLEEDLRADVLDLVRADTAAHGGGAGDGAGDLPHARRGVRPLRGAPRGPAAGGRRARQPPRRQVGSPPPRPHPRLLPRQPQPAGAARRQVGGGAGGEVARHGVRRRRRGGGGRGGVVPGGGGGGHHARHVRPQLRLRPRRVPLAGPPHGVRLRGLPQGARPGIQVPADQEEQDVVGPGQGDQARPGPAHRPAQWRRRRRGRRDHHRAQRQAGQRLQRLAGAHDQCRRGQDDAGGGHGGGVQDLLLRRQADDHQPAHLGHRAARHAPGLAGPRPPRGPRRLRRCRRRAPHQGPPPQAQDARDDPQRDAAPVPAGGGHHPPRQVRRHPRRRWRRRRRRHPYPARHGAARPDHGDPPRRPVVGARRGPVQPGEVRQRRGARGEAPARLHPVRAGLPHVHRPEPRHPRGQAHHGRPPPALRPRALAHLRARPHRADAAPPAVRRAVDLPAAPISAVQLATITR >ORUFI06G21610.1 pep chromosome:OR_W1943:6:20939919:20944730:-1 gene:ORUFI06G21610 transcript:ORUFI06G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVKGSKVEVLQEAEVPLGSWRGAEIVLGNGQSFYVRYDPSPVDSCAAVERVQRRLIRPCPPRDDSVCWAVGDILEAFDSYSWKIAEMVRVLGKDFYLVRLLGSSLELRTHASELRLRKHWKDGKWTVLQKDSTKCFGGSFRGQPKSGNLGSNFGKQRQPYCAMDNNLLLKNQKALEGDKSRGMKRKSSAITHPTQCSEVTRGMKRLQTPHRDGRHAALVAGVSPRLAEKVDAVDSPCLMLGEKYMHASLNKRKNGVHTTNLAGVNVDTENKFRPLTSAYPSDTESISSSVGSCSPSSSPCSSRHFYSAYQTGDICSRTDGAEAAVSERETSQHDKIIPKEDTHLLELHAYRATMLALYVCGSISWEQEALLTNLRLTLNISTDEHLAELRSMGRSRRLVKHPSVGPCRWRSLPHGLLYVKCGNIPSNFCWYLAHLAIRSSKSDASQGLRRFSRKTLHAFDKFPFQKKHYMVHLVFSDEQNIFAS >ORUFI06G21610.2 pep chromosome:OR_W1943:6:20939894:20944730:-1 gene:ORUFI06G21610 transcript:ORUFI06G21610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVKGSKVEVLQEAEVPLGSWRGAEIVLGNGQSFYVRYDPSPVDSCAAVERVQRRLIRPCPPRDDSVCWAVGDILEAFDSYSWKIAEMVRVLGKDFYLVRLLGSSLELRTHASELRLRKHWKDGKWTVLQKDSTKCFGGSFRGQPKSGNLGSNFGKQRQPYCAMDNNLLLKNQKALEGDKSRGMKRKSSAITHPTQCSEVTRGMKRLQTPHRDGRHAALVAGVSPRLAEKGRSRRLVKHPSVGPCRWRSLPHGLLYVKCGNIPSNFCWYLAHLAIRSSKSDASQGLRRFSRKTIFSPREAHEKEGQ >ORUFI06G21610.3 pep chromosome:OR_W1943:6:20939894:20944730:-1 gene:ORUFI06G21610 transcript:ORUFI06G21610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVKGSKVEVLQEAEVPLGSWRGAEIVLGNGQSFYVRYDPSPVDSCAAVERVQRRLIRPCPPRDDSVCWAVGDILEAFDSYSWKIAEMVRVLGKDFYLVRLLGSSLELRTHASELRLRKHWKDGKWTVLQKDSTKCFGGSFRGQPKSGNLGSNFGKQRQPYCAMDNNLLLKNQKALEGDKSRGMKRKSSAITHPTQCSEVTRGMKRLQTPHRDGRHAALVAGVSPRLAEKVDAVDSPCLMLGEKYMHASLNKRKNGVHTTNLAGVNVDTENKFRPLTSAYPSDTESISSSVGSCSPSSSPCSSRHFYSAYQTGDICSRTDGAEAAVSERETSQHDKIIPKEDTHLLELHAYRATMLALYVCGSISWEQEALLTNLRLTLNISTDEHLAELRSMGRSRRLVKHPSVGPCRWRSLPHGLLYVKCGNIPSNFCWYLAHLAIRSSKSDASQGLRRFSRKTIFSPREAHEKEGQ >ORUFI06G21610.4 pep chromosome:OR_W1943:6:20939919:20944730:-1 gene:ORUFI06G21610 transcript:ORUFI06G21610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVKGSKVEVLQEAEVPLGSWRGAEIVLGNGQSFYVRYDPSPVDSCAAVERVQRRLIRPCPPRDDSVCWAVGDILEAFDSYSWKIAEMVRVLGKDFYLVRLLGSSLELRTHASELRLRKHWKDGKWTVLQKDSTKCFGGSFRGQPKSGNLGSNFGKQRQPYCAMDNNLLLKNQKALEGDKSRGMKRKSSAITHPTQCSEVTRGMKRLQTPHRDGRHAALVAGVSPRLAEKGRSRRLVKHPSVGPCRWRSLPHGLLYVKCGNIPSNFCWYLNIFAS >ORUFI06G21620.1 pep chromosome:OR_W1943:6:20951784:20953754:1 gene:ORUFI06G21620 transcript:ORUFI06G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVGAPLAVAAAAAAPGARVFLARPLLRRSPRGVACALRRRPSKYKNKIQNEEVVVEDDIGGGGEDDDDALEALFKQLEEDLKNDDLSVEDDDDGISEEDMARFEQELAEAIGDIADADESGEGSSLGSEAYGNDEKTDEIKRPELKNWQLKRLARALKIGRRKTSIKNLAGELGLDRTLVIELLRNPPPKLLFMSDSLPDEDPSKPEIKEIEPSPVVDNADVTETKPQTELPVHVMCAEWSSQKRLKKVQLETLERVYSRTKRPTNTMISSIVQVTSLPRKTIVKWFEDRREQDGVPDHRVAFKRSLSETIAS >ORUFI06G21630.1 pep chromosome:OR_W1943:6:20956203:20956649:1 gene:ORUFI06G21630 transcript:ORUFI06G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSATTFRRSGSSGLVWDERFLTEDAEAAKAGDGDGGGGTEEPQPELRHSKSVGSIGMMRRVAADDGDDSEKTTKQQRKKKKKKKKDGQKEDDNRSQQVFRTKDIAPDVDPPSPRVSGCILCSIFSGSGSSSSATSRRAKPRKK >ORUFI06G21640.1 pep chromosome:OR_W1943:6:20966457:20966652:-1 gene:ORUFI06G21640 transcript:ORUFI06G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSLRKGETRGFGSRRSGRSHRIRCPGGAHRVWHAEEGYIGVRADDSGIDSEPVGLAAGGR >ORUFI06G21650.1 pep chromosome:OR_W1943:6:20980105:20983386:1 gene:ORUFI06G21650 transcript:ORUFI06G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELEARVKDLERSNSELEERLSTLQNENQMLRQVLKNTTANRRGPDSSAGGDS >ORUFI06G21660.1 pep chromosome:OR_W1943:6:20984170:20987381:1 gene:ORUFI06G21660 transcript:ORUFI06G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESPEIMPVECPDPEPASSESGDDHDIPEPLSSRLSVPSGELNLYRAAVALRLVLLAAFFRYRVTRPVADAHALWVTSVACELWLAASWLIAQLPKLSPANRVTYLDRLASRYEKGGEASRLAGVDVFVAAADAAREPPLATANTVLSVLAADYPAGGVACYVHDDGADMLVFESLFEAAGFARRWIPFCRRHGVEPRAPELYFARGVDYLRDRAAPSFVKDRRAMKREYEEFKVRMNHLAARARKVPEEGWIMSDGTPWPGNNSRDHPAMIQVLLGHPGDRDVDGGELPRLFYVSREKRPGFRHHGKAGAMNALLRVSAVLTNGAYVLNLDCDHCVNNSSALREAMCFMMDPVAGNRTCFVQFALRDSGGGDSVFFDIEMKCLDGIQGPVYVGSGCCFSRKALYGFEPAAAADDGDDMDTAADWRRMCCFGRGKRMNAMRRSMSAVPLLDSEDDSDEQEEEEAAGRRRRLRAYRAALERHFGQSPAFIASAFEEQGRRRGGDGGSPDATVAPARSLLKEAIHVVSCAFEERTRWGKEIGWMYGGGVATGFRMHARGWSSAYCSPARPAFRRYARASPADVLAGASRRAVAAMGILLSRRHSPVWAGRRLGLLQRLGYVARASYPLASLPLTVYCALPAVCLLTGKSTFPSDVSYYDGVLLILLLFSVAASVALELRWSRVPLRAWWRDEKLWMVTATSASLAAVFQGILSACTGIDVAFSTETAASPPKRPAAGNDDGEEEAALASEITMRWTNLLVAPTSVVVANLAGVVAAVAYGVDHGYYQSWGALGAKLALAGWVVAHLQGFLRGLLAPRDRAPPTIAVLWSVVFVSVASLLWVHAASFSAPTAAPTTEQPIL >ORUFI06G21670.1 pep chromosome:OR_W1943:6:21009824:21015265:1 gene:ORUFI06G21670 transcript:ORUFI06G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAKSVEAGGEPGGGGGGAWSTVSRSGRSSYSAGGGVGGGKVGELAEGLAGVEIGGERRLDKYDIPVEVSGEDVPPPADGFEAAGLVEAVLRNVARCGYESPTPVQRYSMPIALAGRDLMACAQTGSGKTAAFCLPVVSGLVAAGGSGIGHRERSSFNRAAAKPRALVLAPTRELAAQINEEAKKFSFQTGLRVVVAYGGTPMYNQLRDLERGADILVATPGRLVDMVERSKVSLEAIKYLVMDEADRMLDMGFEPQIRKIVERMNMPRKSVRQTMLFSATFPPEIQRLASDFLSNYIFITVGRVGSSTDLIMQKVELLSDGEKRGYLLDLLQRQSVGVANSKQPLTLVFVETKREADSLRYWLYSKGFPATAIHGDRTQQERESALRSFKTGLTPIMVATDVASRGLDVPNVAHVINYDLPKSIEDYVHRIGRTGRAGKAGSATAFFTESDHSLAKGLLELMTEAKQDVPDWLVQYAERPYYGGSSYGGRNRRSGGGGNRFAGRDFRQGSGGGYSGGGGGGGYSGGGGGYSGGGRGGGYSRGGRGGYSGGGGGGGGDPYRASAPPPRYYPSYPMGTADINASGWD >ORUFI06G21680.1 pep chromosome:OR_W1943:6:21016829:21019270:-1 gene:ORUFI06G21680 transcript:ORUFI06G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHSAFMFLLTLIHLLLQISARDFLSPGSSLSVERSSDVLYSPDGTFACGFYNISPNSSIFAVWFSNSAEKTVVWSANLGRPVYTWGSKIKLNIDGNMVLQDYGGQIVWTNNVSSSNVQEARLLERGNLIVKGQGDTILWQSFASPTDTLLPNQIINGTIKLVSSTSSNRLLVPGHYSFHFDDQHLLTLFDDEKDISFIYWPNPFINMWAKKRISFNTTTFGVLDSSGHFLGSDNASFMAADWGPGIMRRLTLDYDGNLRLYSLNKTDGTWLVTWMAFTNLCFVRGLCGMNGICVYTPKPACVCAPGHEINDPSDLSKGCKPKFTISCDRKQKIRFVKLPTTEFLGYDQSTHQQVSLSTCKNICMSDCSCKGFSYWQGNGNCYPKSSLVGGVTSQSLPGSTYLKLPEALKVRESSIPRSQPSGRQYGPNCSAENQYSIANFSDISRSGQSESRFFYFYGFLSAIFLIEVILIALGWWFILRMEGRQLTGVWPAESGYEMITSHFRRYTYKELQRATRKFKEELGRGASGVVYKGILKDERAVAVKKLADISQCEEEFQHELSVISKIYHMNLVRVWGYCSDGPHRMLVSEYVENGSLDKKLFGSEASQTLLEWKQRFKIALGVAKGLAYLHHECLEWVIHCDVKPENILLDDNLEPKITDFGLAKLLNRGGSNKNVSRIHGTRGYIAPEWVSSLPITAKVDVYSFGVVLLELLKGSRVSEWAKTEDEDDEVEKVLRRDVRMLAENVKLQEDSERSWITNFIDSRLNGQFNYLQARTMIKLAVSCIEEDRSKRPTMENVAQMLLSVDEENIIT >ORUFI06G21690.1 pep chromosome:OR_W1943:6:21025598:21029181:1 gene:ORUFI06G21690 transcript:ORUFI06G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVSNLSITEPHKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDDSRSRPMQKAKIEILLGKTEKFDELMAAAAEEREAAAAAEGEEQG >ORUFI06G21700.1 pep chromosome:OR_W1943:6:21028155:21031573:-1 gene:ORUFI06G21700 transcript:ORUFI06G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVAMSAKSKNSVLTLEKKQGWSVPQLPELRFPWDLHEDKGFSLSLHGSASPHGGLFASVGLKVSTAAPAVAPSPAEHDFKIPFADHCIKYVSSAVGYQVPGTEAESVNEEEVVDGKAVKKAKKRGLKLKIKIGNPHLRRLVSGAVAGAVSRTCVAPLETIRTHLMVGSNGDSMTEVFQSIMKTEGWTGLFRGNFVNVIRVAPSKAIELFAFDTAKKFLTPKADESPKTPFPPSLIAGALAGVSSTLCTYPLELIKTRLTIEKDVYNNFLHAFVKILREEGPSELYRGLTPSLIGVVPYAATNYYAYDTLKKLYRKTFKQEEISNIATLLIGSAAGAISSTATFPLEVARKQMQVGAVGGRQVYKNVFHALYCIMENEGIGGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEDDQDSE >ORUFI06G21710.1 pep chromosome:OR_W1943:6:21045982:21051532:1 gene:ORUFI06G21710 transcript:ORUFI06G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETKPPSPGTAGAAGVAGGGYHRRWAAPLLASVLLSSLLIAASLFFSSSRALLLSFSPLPSAASAEPLFVEAKLRQQQQMRGAAASGGRGRGAVPRIAYLVSGSAGDGAALRRTLRALYHPSNMYVVHLDLEAPATERAELAAAVRADPVYSRFRNVKVVTRANLVTYRGPTMVANTLHAAAILLREGGEWDWFINLSASDYPLVTQDDLLYVLSDLPRQLNFIEHTSDIGWKEYQRAKPVIIDPGLYSLQKSDVFWITEKRSVPTAFKLFTGSAWMMLTHQFIEYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHYLTLNDFDGMVNSNAPFARKFGREDPVLDKIDQELLGRQPDGFVAGGWMDLLNTTTVKGSFTVERVQDLRPGPGADRLKKLVTGLLTQEGFDDKHCL >ORUFI06G21720.1 pep chromosome:OR_W1943:6:21050953:21054254:-1 gene:ORUFI06G21720 transcript:ORUFI06G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESRYVAVRHHVEGLPSEDDFEVKAARVRWWPESGEVLVRNLYLSVDPYQLNRMKRRSASHLAVDGIVPGERIDAYGAGEVVASACEEYKEGDVVAGVLGWEDYTLFRPSPGVLMSKLAASDDLPLSHHLSALGERRRRRRRAPRHARMQFLLICLSVSVAPGGDRWIEHVAGTSGMTAYAGLYEVGRPEAGEKVFVSAASGSVGSLVGQFAKLAGCYVVGCAGTNAKVDLLKNKLGFDDAFNYKDEPDMKSALKRYFPDGIDIYFDNVGGETLEAALANMNTYGRVALCGVISEYTDAGHRAVPDLLEVIYKRITIRGFFAWDFLTRFAEFTGVISDWIRQGKVQVIEDISDGLESVPSAFAALFSGDNIGKKMVKLA >ORUFI06G21730.1 pep chromosome:OR_W1943:6:21057233:21061019:-1 gene:ORUFI06G21730 transcript:ORUFI06G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAASLTAPNALAATSLPFLHGRKSGGGGVSVHAGAPSPSRAVAVVARRLWGSASSSRRMVVAAATAAEMAPAASGEEGKPFVEEMRAVAMRLHTKDQAKEGEKEPQAPPVARWEPSVDGYLRFLVDSKLVFETLETIVDRAAVPWYAEFRNTGLERSEQLKKDLEWFKEQGHTIPEPSAPGTTYASYLEELAEKDSQAFICHFYNVYFAHTAGGRMIGKKVSENILNKKELEFYKWEGNLSQLLQNVRNKLNEVASSWTREEKDHCLDETEKSFSYSGDLLRHIFT >ORUFI06G21740.1 pep chromosome:OR_W1943:6:21067096:21067710:-1 gene:ORUFI06G21740 transcript:ORUFI06G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFDGAGGALGVHAASRKIGKSAAHQQQRKPVIIYMVSPKIIHVEAHEFMSLVQRLTGPGAAAAGDGGKKAASSSPREARRGAPPVRVKARALNRPAGGSAVSVSVTATMRQQQQLASPSSAAAAGPSPSPSTGFLFNDLSPLRGAALKGEAAAAAPLVSPGAGWLQHVGDHFFSPVGAPGALGSPSAFLDIFGPLPSQHQ >ORUFI06G21760.1 pep chromosome:OR_W1943:6:21078750:21082780:-1 gene:ORUFI06G21760 transcript:ORUFI06G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSSQIVETLPEWRDKFLSYKDLKKRLKLIGGGGGGEERQAKRARVAADGGEEEAAAAAMTPEEAGFMRLLEAELDKFNSFFVEKEEEYIIRQKELQDRVARAAGRESKEELMRVRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKQCEAMLDQLLPSNELSVSSEDGRGDSTNEDKPSNPSSSLVNGGTIPELDEIEYMESMYMKGTVAALRSLKEIRSGSSTVSAFSLPPLQGDSSPEEQQELWNKIPVIEQAAK >ORUFI06G21770.1 pep chromosome:OR_W1943:6:21093366:21093959:-1 gene:ORUFI06G21770 transcript:ORUFI06G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIPLILSHLSSLSSSSPFGSAAQLGIGMTAARLGTGTAAAHPGTGKAAAQLGTGKAAMAPPSQQRRRAEQPSPTHAGAVPVPSRASAAHLIADPISILLDDLLLECLAGVPYATLPRLPTTSTTLVASVAPTASSAPHSSPSSSGLVEDPSGGA >ORUFI06G21780.1 pep chromosome:OR_W1943:6:21100681:21106827:1 gene:ORUFI06G21780 transcript:ORUFI06G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPSPPCSSPCDGEPGPLLPSSSSIICSAILSSSSIAGGGGDGEPVVDVDVVVTVLSLGVGWSLDDTAVAAATVAADDTAVSLLSSTVMTQLESARAPARFCQTPMWDFSGSRRRQVSDGDGVLQHLRSLALRI >ORUFI06G21780.2 pep chromosome:OR_W1943:6:21100681:21108195:1 gene:ORUFI06G21780 transcript:ORUFI06G21780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPSPPCSSPCDGEPGPLLPSSSSIICSAILSSSSIAGGGGDGEPVVDVDVVVTVLSLGVGWSLDDTAVAAATVAADDTAVSLLSSTVMTQLESARAPARFCQTPMWDFSGSRRRQVSDGDGVLQHLRSLALRI >ORUFI06G21780.3 pep chromosome:OR_W1943:6:21100681:21106787:1 gene:ORUFI06G21780 transcript:ORUFI06G21780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPSPPCSSPCDGEPGPLLPSSSSIICSAILSSSSIAGGGGDGEPVVDVDVVVTVLSLGVGWSLDDTAVAAATVAADDTAVSLLSSTVMTQLESARAPARFCQTPMWDFSGSRRRQVSDGDGVLQHLRSLALRI >ORUFI06G21780.4 pep chromosome:OR_W1943:6:21100681:21106787:1 gene:ORUFI06G21780 transcript:ORUFI06G21780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPSPPCSSPCDGEPGPLLPSSSSIICSAILSSSSIAGGGGDGEPVVDVDVVVTVLSLGVGWSLDDTAVAAATVAADDTAVSLLSSTVMTQLESARAPARFCQTPMWDFSGSRRRQVSDGDGVLQHLRSLALRI >ORUFI06G21790.1 pep chromosome:OR_W1943:6:21101196:21102159:-1 gene:ORUFI06G21790 transcript:ORUFI06G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAILMSGRNAKTNFPVARNATGELTPAAAVAGRDGRVGGGSGSSSSMTANGGGNSLSQILSAKLRKCCKTPSPSLTCLRLDPEKSHIGVWQKRAGARADSSWVMTVELNKDTAVSSAATVAAATAVSSSDQPTPSDSTVTTTSTSTTGSPSPPPPAMDDEERIALQMIEELLGRSGPGSPSHGLLHGGEGSLVI >ORUFI06G21800.1 pep chromosome:OR_W1943:6:21111037:21115594:-1 gene:ORUFI06G21800 transcript:ORUFI06G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQLMHGTLDATIFEATNLTNPTRLTGSAPEGIRKWWEGVEKTTGVGQGGTRLYATVDLGKARLGRTRVIDDEPVNPRWDERFHLYCAHFADNVVFSVKVSLPIDAALIGRAYLPVGDLLSGEVVERKLDILDEHKKKLPHGPTIHVRLQFKDVAVDGDGKWWGAGVGNAGYAGVPCTYFKQHTGCGVTLYQDAHVPDTFAPTIPLAGGAHYQQGRCWEDVFDAISNAKHLIYITGWSVFTDITLIRDPSRQRPGGDATIGELLKRKASEGVRVLMLVWNDVTSLQILQSLGIKWGFSQTHDAETFQYFEDTDVHCVVCARHPDAGGSIVMGVKVPFASTHHQKTVIVDHDMPAGAGSGLRSIVSFVGGLDLCDGRYDTQSHSLFRTLDAAHHKDFHQPSIDDAELAKGGPREPWHDIHSRLEGPVAWDVLYNFEQRWRKQSGHGDLLVNLTALEHLIAPQSAMKLPVIGNDDHEAWNVQVFRSIDGGACDGFPSSPDAAARLDLVSGKNNVIERSIQDAYIHAIRRARDFIYIENQYFIGSSYGWRPDDGVRPEDVEAVNLIPRELSLKIMSKIAAGERFTVYVVVPMWPEGHPDSQAMQAILDWQRRTMEMMYADIAGALKAKRMDADPRDYLTFFCLGNREVKRSGEYVPGHHPRDGTPYAKAQKTRRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPHHLNIGGQLARGQIHGFRMSLWYEHLGGEPHDDFLHPGSLECVRRVNEMANKHWELYASEELHEDLPGHLLTYPIAVAKDGTVAALPGAKFFPDTEAPVLGKKAINPLMTPDITS >ORUFI06G21810.1 pep chromosome:OR_W1943:6:21124058:21128318:1 gene:ORUFI06G21810 transcript:ORUFI06G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLMHGTLDATIFEATNLTNPTRLTGNAPEGFRKDAYIHAIRRARDFIYIENQYFIGSSYGWRPGGGVRPEDVEAWWEGLENGLEKTTGLGPGGTRLYATVDLGRARLGRTRVIDDEPVSPRWDERFHFYCAHFAENVVFSVKVALSVDAKLIGRAYLPVRDLLSGEAVERKLDILGDDKKKLPHGPTIHVRLQFKDVAADGGGKWWGGGVGDAAYPGVPCTYFKQHAGCRVTLYQDAHAPDTFAPRIPLAGGAHYQQGRCWEDVFDAISNAKHLIYITGWSVFTDITLIRDPSRQRPGGDATIGELLKRKASEGVRVLMLVWNDVSSIQALHAIGIKLSVAQTHDEDTLAYFEDSDVHCVLCPRQADAAAGSSFIMGTKVSLLATHHQKTVIVDHDMPAGAGSGLRSIVSFVGGLDLCDGRYDTQSHSLFRTLDAAHKDFHQPSIDDAELAKGGPREPWHDIHSKLEGPIAWDVLYNFEQRWRKQSGHADLLVNLTALEHLITPPSPVKLPGTNNDDHHDDAWNVQLFRSIDGGACDGFPSSPEAAARLDLVSGKNNVIERSIQDAYIHAIRRARDFIYIENQYFIGSSYGWRPGGGVRPEDVEAVNLIPRELSLKIVSKIAAGERFTVYVVVPMWPEGHPGNEAMQAILDWQRRTMEMMYYDIAVALKANHSDADPRDYLTFFCLGNREAKSHGEYVPAHRPDQDTDYAKAQNARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPHHLNVNGQAARGQIHGFRMSLWYEHLGMLHDDFVHPGSLECVRRVNAMADRHWQLYAGEELHGDLPGHLLTYPVAVEKDGGAVTALPGAEFFPDTEAKVIGTLASSAYMIPYLTS >ORUFI06G21820.1 pep chromosome:OR_W1943:6:21131996:21136641:1 gene:ORUFI06G21820 transcript:ORUFI06G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLLHGTLEATILEADHLSNPTRATGAAPGIFRKFVEGFEDSLGLGKGATRLYATIDLGRARVGRTRVVDDEPVNPRWYEVFHIYCAHFAADVVFSVKAAQPIGATLIGRAYLPVRELLSGEAIERRLDILDAGRRRISHGPTIHVRLQFRDVAGDRHGWGRGVSGARYPGVPYTFFSQRPGCRVTLYQDAHVPDAFAPRIPLAGGGYYRQGRCWEDVFDAISNAKHLIYLTGWSVYTEITLIRDGTRQRPGGDATLGELLKRKASEGVRVLLLVWDDRTSVESLGMKWGFMSTHDAETADYFRGTDVRCVLCPRNPDAGRSAIMGAQIAYMITHHQKTVIVDHDMPVPRGGGSRRIVSFVGGLDLCDGRYDTQSHSLFRTLDTAHHSDFHQPNLDGAAVTKGGPREPWHDIHSKIEGPAAWDVLYNFEQRWRKQGGDKDLLLDLKAMADLIIPPSPVMFPDDGEAWSVQLFRSIDGGACFGFPSTPEAAARSGLVSGKNNTIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFAWKADGIRPEDIEALHLIPREISLKIVNKIEAGERFAVYVVLPMWPEGPPASGSVQAILDWQRRTMEMMYYDIAVALEAKRINADPRDYLTFFCLGNREVKLNGEYEPAGRPLDGTDYAKAQKARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPCHLNTKGLVARGQIHGFRMSLWYEHLGMLHDNFLNPESLECVQRVNKMADKYWDLYASDELNDDLPGHLLTYPIKKHFSHVIALLQRNLPVRVSPSQMLLVAPNFGADLVFQL >ORUFI06G21830.1 pep chromosome:OR_W1943:6:21139400:21146267:1 gene:ORUFI06G21830 transcript:ORUFI06G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAVHAVDPRGGASPPPVAKAEAATAAAAAAGGCEPARKAGAVTMEHVLLALHETEAEREARIREMFAFFDVDGRGQLDYAQIEAGLAALQIPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPNEVTIENIYHHWERVCLVDIGEQAVIPEGISKSVNASKYLIAGGIAGAASRTATAPLDRLKVIMQVQTTRTTVMHSIKDIWSQGGMLAFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSEVGPSERLVAGGLAGAVAQTAIYPVDLVKTRLQTYSCVDGKVPSLGALSRDILMHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDVSKTYILKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSESAYRGMSDVFWRTLQHEGVSGFYKGILPNLLKVVPAASITYLVYEAMKKNLSLD >ORUFI06G21830.2 pep chromosome:OR_W1943:6:21139400:21146314:1 gene:ORUFI06G21830 transcript:ORUFI06G21830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAVHAVDPRGGASPPPVAKAEAATAAAAAAGGCEPARKAGAVTMEHVLLALHETEAEREARIREMFAFFDVDGRGQLDYAQIEAGLAALQIPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPNEVTIENIYHHWERVCLVDIGEQAVIPEGISKSVNASKYLIAGGIAGAASRTATAPLDRLKVIMQVQTTRTTVMHSIKDIWSQGGMLAFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSEVGPSERLVAGGLAGAVAQTAIYPVDLVKTRLQTYSCVDGKVPSLGALSRDILMHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDVSKTYILKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSESAYRGMSDVFWRTLQHEGVSGFYKGILPNLLKVVPAASITYLVYEAMKKNLSLD >ORUFI06G21840.1 pep chromosome:OR_W1943:6:21153904:21155188:1 gene:ORUFI06G21840 transcript:ORUFI06G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSAGGSHAGLALAATAMALSGTLVLFSLCRANKPPHHDDAPARLRPCLSSSEKRKREKARRGSKKRVRFAADVVDNDSNASSRPAAAEPSCRNAAATAATAMPANREALYRGMLRGRSMLRVACSY >ORUFI06G21850.1 pep chromosome:OR_W1943:6:21161493:21162847:-1 gene:ORUFI06G21850 transcript:ORUFI06G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPPPRPRHPVADNDDLVGEILLRIPPDDPTRLVRASAVGKRWRRVLADPSFAARHRAFHPRAAAAAAAPVLGVLHNPADRELDRFVPAAASSFRAAAGDRRKHHILDCLHGRVVLYDYDSHYPTDGHVVWDPITGEQHRIPNVMDALTHPAVISGAAAGGGGGSASFIVAFVGVQNWERHFWDAHACFYSSETGEWSVHINIHLDLDGYHLEDRPAALVGGDTLYFVGKSGILLRYRYGLPLRCGRDILGHGITSADVLSVVDPPPGAKRRLRLGYTVVMAAPESDGGGLRLGVLPRHKLALWDREEDGSAAAAARWVWRVAIDLEQVLPWPVGNTKGKERACLAAVAEDPNVIFVGTEEDGVFAVELDSLRIKKVCELGKSQGRFFPFVSYCAESFLLSLATSKLPAPAAN >ORUFI06G21860.1 pep chromosome:OR_W1943:6:21167626:21170330:1 gene:ORUFI06G21860 transcript:ORUFI06G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFILGCPVLCLPGGAGHGGGAGRGRLLPPPVARFAGAGRPLRLTVAQAAADPGPWLAWDDDSTKVTPYKAIAWSAAEALDLLEQTPPPSAGSAASQDVQEIIGTFKNLKELADSDEVPMEPLAATCTLLRYHWYLWQCYHGDPVTRGFPDGLLSFLHSCISFACGPDGYALPYYLNIFGIKADKLPKEAWAKDLVTVAMYASQGTRLVVGKHEKHLLDVFRMRLIADEGKQEEESKIRAEEASHRKWRPDHFVDDDDDGMLGLGGV >ORUFI06G21870.1 pep chromosome:OR_W1943:6:21201544:21203541:1 gene:ORUFI06G21870 transcript:ORUFI06G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPSGLLISGGSVVVRPATMAARAPSRLPSVVVHLPRCTATGGPPHCRLTAEASSVLPNMSVASPWVNDDDLTETPPPPPPPPPPPSPPATHDVGQPPPPPSLAAPPPAQHDMGKLLRMYARLLRRLESQAIDMYAGSRRLVEYHVMAWGAYEATRPALLGLGFMAGPGIEEVLIECINRGNAAVAAAAAAGDGQPRLLAAFGIKPESLPANPTERRFVAGILYAALEMRNCVRRRVRWLRRVERFNQRRREEEAEAAMRREEEEARRKLEVQKVLEGYEEFINFK >ORUFI06G21880.1 pep chromosome:OR_W1943:6:21205814:21212563:-1 gene:ORUFI06G21880 transcript:ORUFI06G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDSTDRLAGRHAGCSERAADLHRTKQMIVFRKFTTKSKKHSMQSCKPRLHRWIVLNPNGKPFYYSTSLSAAAAAAAAAHLVSTPGSSPMEEEEEAARLAGSQGESPAMLHPNGDGDGDGGDDEGDASGGEEEQGGVARAGVRRRGGGGRVRVRGRIPLKKGPWTPDEDKRLREYVEAHGEGNWNRVQRNAGLNRCGKSCRLRWANHLKPDLKKGPFSKEEEEMIIKLHLWLGNKWAKMANSLPGRTDNEIKNFWNTRCKRIQRTGEPLYPKEFNHFKLTDLEVMNCESPDESRAKKRTNEVLQGNGQSYKEVFFDNLDYSRPENYIRPNCVTPNSLPVDATSPFGSAIATQDQSVPFGSAIVSGHPILDGNFSTSGTIQRPMNVELPSLQYPNYDFNNNNAWSYHDPLGHPIDQVDFGSLRSEYLSPNNNGLLDALVHGGHGQGELANSQGSFDACFPRVQCNQVIQSNAFSLSSSFPIIGDDLLENSCHAFVGINNNASSLFLDSQPPPLVDPTFWRHDVSLEPNLPVYCQFNEELPPSNEQFAKDADDAFGHGGLSDETNLAAAGQGDEHDLEDPWASMPGACDIPDFPSE >ORUFI06G21880.2 pep chromosome:OR_W1943:6:21205812:21210147:-1 gene:ORUFI06G21880 transcript:ORUFI06G21880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSPMEEEEEAARLAGSQGESPAMLHPNGDGDGDGGDDEGDASGGEEEQGGVARAGVRRRGGGGRVRVRGRIPLKKGPWTPDEDKRLREYVEAHGEGNWNRVQRNAGLNRCGKSCRLRWANHLKPDLKKGPFSKEEEEMIIKLHLWLGNKWAKMANSLPGRTDNEIKNFWNTRCKRIQRTGEPLYPKEFNHFKLTDLEVMNCESPDESRAKKRTNEVLQGNGQIDATSPFGSAIATQDQSVPFGSAIVSGHPILDGNFSTSGTIQRPMNVELPSLQYPNYDFNNNNAWSYHDPLGHPIDQVDFGSLRSEYLSPNNNGLLDALVHGGHGQGELANSQGSFDACFPRVQCNQVIQSNAFSLSSSFPIIGDDLLENIYCQFNEELPPSNEQFAKDADDAFGHGGLSDETNLAAAGQGDEHDLEDPWASMPGACDIPDFPSE >ORUFI06G21880.3 pep chromosome:OR_W1943:6:21210263:21212563:-1 gene:ORUFI06G21880 transcript:ORUFI06G21880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDSTDRLAGRHAGCSERAADLHRTKQMIVFRKFTTKSKKHSMQSCKPRLHRWIVLNPNGKPFYYSTSLSAAAAAAAAAHLVSTPVSTLPRWRSTRRWLD >ORUFI06G21880.4 pep chromosome:OR_W1943:6:21205814:21210147:-1 gene:ORUFI06G21880 transcript:ORUFI06G21880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSPMEEEEEAARLAGSQGESPAMLHPNGDGDGDGGDDEGDASGGEEEQGGVARAGVRRRGGGGRVRVRGRIPLKKGPWTPDEDKRLREYVEAHGEGNWNRVQRNAGLNRCGKSCRLRWANHLKPDLKKGPFSKEEEEMIIKLHLWLGNKWAKMANSLPGRTDNEIKNFWNTRCKRIQRTGEPLYPKEFNHFKLTDLEVMNCESPDESRAKKRTNEVLQGNGQSYKEVFFDNLDYSRPENYIRPNCVTPNSLPVDATSPFGSAIATQDQSVPFGSAIVSGHPILDGNFSTSGTIQRPMNVELPSLQYPNYDFNNNNAWSYHDPLGHPIDQVDFGSLRSEYLSPNNNGLLDALVHGGHGQGELANSQGSFDACFPRVQCNQVIQSNAFSLSSSFPIIGDDLLENSCHAFVGINNNASSLFLDSQPPPLVDPTFWRHDVSLEPNLPVYCQFNEELPPSNEQFAKDADDAFGHGGLSDETNLAAAGQGDEHDLEDPWASMPGACDIPDFPSE >ORUFI06G21890.1 pep chromosome:OR_W1943:6:21231028:21234298:1 gene:ORUFI06G21890 transcript:ORUFI06G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFHDYRDGGVLVMEPAASAALFGGVRSRKRARVTAVPPCGFVSAAAEAVEVVEEEGLTAAKRQKQQQQQREAPSLDALPDECLFEILRRVKGARARCASAAVSRRWLALLGGIRSSEIKREPAAAAVPDLNQVFVDEDEEEEDEFEVPLGGGCSSERCLEGREATDVGLMAVAVADALRGSLESLVIRGSHPTRGVTDAGISAAARGCPSLLSLALWHVPQVTDAGLAEIAAGCPSLARLDITGCPLITDKGLAAIAQGCPDLKVVTVEACPGVADEGLKAIGRCCAKLQSVNIKNCAHVGDQGVSGLVCSAAASLAKVRLQGLSITDASLSVIGYYGKAITDLTLARLPAVGERGFWVMANALGLQKLRFMSVSSCPGVTDLALASIAKFCPSLKQLNLKKCGQVSDGRLKDFAESAKVLESLQIEECNKVTLMGILAFLLNCSPKFKALSLVKCNGIKDICSAPAQLPLCKSLRSLTIKDCPGFTDASLAVVGMICPQLENVDLSGLGAVTDNGLLPLIKSSESGLVHVDLNGCENLTDATVSALVKAHGSSLARLSLEGCSRITDASLFAISEGCTDLAELDLSNCMVSDYGVAVLASARQLKLRVLSLSGCLKVTQKSVPFLGSMSASLEGLNLQFNFIGNHNIASLEKQLWWCDILA >ORUFI06G21900.1 pep chromosome:OR_W1943:6:21236838:21242647:-1 gene:ORUFI06G21900 transcript:ORUFI06G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRKKRVGRYEVGRTIGQGTFAKVKFAVDADTGAAVAMKVLDKDTILNHRMLHQVLAGKTKIYIILELITGGELFDKIARQGKLRENEARKYFQQLIDAINYCHSKGVYHRDLKPENLLLDSRGNLKVSDFGLSTLAQKKCSDWDFFFEGFQGVGLLHTTCGTPNYVAPEVLSNNGYDGSAADVWSCGVILYVLMAGYLPFEEDDLPTLYDKITAGQFSCPYWFSPGATSLIHRILDPNPKTRITIEQIREDTWFKKTYVAIKRGEDENVDLDDVQAVFDNIEDKYVSEQVTHNDGGPLVMNAFEMITLSQGLDLSALFDRQQEFVKRQTRFVSRKPAKTIVATIEVVAETMGLKVHSQNYKLRLEGVSSNRMSPFAVVLQVFEVAPSLFMVDVRKVAGDTLEYHRFYKNLCNKMESIIWRPIEVSAKSALLRTATC >ORUFI06G21910.1 pep chromosome:OR_W1943:6:21268093:21269041:-1 gene:ORUFI06G21910 transcript:ORUFI06G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRARDPVVLEAGWEMVAGHGGGAGGGVGAGGGAEWWRRWSLVVMAAAEPKLRWAANAARQLGVCLPPGKMRSPPPDLGREHHASVDVLVPHRFGRRVHCGGWVNSTVRRVAWRRRSGLVVRGDTDNGFVAEPAVTLSGGATVLSTFTFLDICPLATATLSAKVGHVGQASVGERASILLSHPLPIQPNCVDGAGVCRGSSFPMAIDWRRGAGAVAVRRRFSLVVAAERVGVQFLGETNFGRKLCLRAGNNDACDSGTFGVVPFSKVSSRRPSVSLVQWVLL >ORUFI06G21920.1 pep chromosome:OR_W1943:6:21281816:21284212:1 gene:ORUFI06G21920 transcript:ORUFI06G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSASSPLLGHAACASAAEHVGAVVVVVPVSPAAARQRGPPLCRATSEGDLMAAVSARPRALSVASSASISVEEEVEEEEEEEEDVLGAAVPLRRLLTSTGLDADTGRGREGDAAVVEEGVGGGGGGRKSDGAAVPLRRFLMSTGLDADMRRGREGDAASVAVVEEGVGGRGGGRKVCNGGGDGRRDANAYYRRMIQADPANPLLLGNYARFLKEVEGDAARAQEYWEGAIVANPGDGDALALYAGLVWETTRDADRADAYFTRAVHAAPDDCYVLGSYAGFLWDAEEDDDDHGGEQPPPPFMGAAQPPSITAAS >ORUFI06G21930.1 pep chromosome:OR_W1943:6:21284369:21288148:-1 gene:ORUFI06G21930 transcript:ORUFI06G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVAEIADAMGTVGIDNGASRKLLSNESLEERGEEHDVQADGAHSGESEVINPAEEVGGEATSQPEDVKPRVSKGSQSHSPKVTTKSQRQSPRSGDKSQARKNSPGSTYPKAPIARVSDPDLVDSSSCNDGADIKKKAEKSSFRPVARASQSLEDSKEKKKTQKTSNQCSVKNDEEEPNCEKVKPQRVGSTPAYGFAFKCDERAEKRREFYSKLEEKIHAQELEKSNMQAKSKETEEAELKKLRKSLNFRANPMPSFYKEPPPPKVELKKIPTTRARSPKLGRSKNTSSVSTEESTVPSSRPARLSLDERASQNGVKKVPAANTVRKPQRKSLPKLPSEQTVTEQVENNTSATDPVRELIRAQVTPDDQFGG >ORUFI06G21930.2 pep chromosome:OR_W1943:6:21284369:21288148:-1 gene:ORUFI06G21930 transcript:ORUFI06G21930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVAEIADAMGTVGIDNGASRKLLSNESLEERGEEHDVQADGAHSGESEVINPAEEVGGEATSQPEDVKPRVSKGSQSHSPKVTTKSQRQSPRSGDKSQARKNSPGSTYPKAPIARVSDPDLVDSSSCNDGADIKKKAEKSSFRPVARASQSLEDSNVSCIDTFLIKPREKKKTQKTSNQCSVKNDEEEPNCEKVKPQRVGSTPAYGFAFKCDERAEKRREFYSKLEEKIHAQELEKSNMQAKSKETEEAELKKLRKSLNFRANPMPSFYKEPPPPKVELKKIPTTRARSPKLGRSKNTSSVSTEESTVPSSRPARLSLDERASQNGVKKVPAANTVRKPQRKSLPKLPSEQTVTEQVENNTSATDPVRELIRAQVTPDDQFGG >ORUFI06G21940.1 pep chromosome:OR_W1943:6:21296020:21301876:1 gene:ORUFI06G21940 transcript:ORUFI06G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGGRRWQSPAAAAAAEGEDAAGGYGVGGAGGPSRRPPRRGLNRASPYGTAAPRRLLPTLPVASRIFPSVAQDHAAAAAAASDDNQMAWRESLEVTNETHRHSIERNTNSTALDNKASLLQEVKCASCCSYRDETERLIEIMRSRTPDLFNEYQRVPRSSTKGFEAIPFSDRWSTPAKEIDVRSPCGTEVFVPSNVLDVASSPIELAKAYMEAQTSSSVQESQKRKFRALSHGVEVENSSSKVFPKIATDSPVCWPGSVVRNYPNYLTPQSNKGRTLPPTSSRTTYIGSVFPRSNKYTGSCDAYNNSSGKPQFSSPFPVGSKAIFEDKTAPLGAVLGAQPSTTYSKEAYGDTVGATTPLFAKKGSASKKNDGSALQGHHGEGTTESGSSLGFVSMVDNMPHSKSAALSVHPKSSKTAHKILQHLERTIPSPTAKPLELRWTSAKRTTSSVVTNIQHNGPATDSHRHSSINDSGSAQQEISDANKVLAPPSSSNAVESSPKIENSGTKSMPSSQHTSESDSATTSAAQVLDKSTGNGLAFTFPVPKTSMSLPEPPPTPTLSQPPSIPSADGADIPKFTFGSSSTTGKLVFSFDLPSSSHSAEEAAPTFKFGSDTKRELSFDVAGKDAVCF >ORUFI06G21950.1 pep chromosome:OR_W1943:6:21307360:21309584:1 gene:ORUFI06G21950 transcript:ORUFI06G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGTEATTTTTSGCEADCGVPAMKATLMMMSCRSRSRSGRAHWMLLLFCLLLAFPSHGPRAVEAFPGGYGINYGRIANNIPSPDKVVQLLRASKIRNVKIYDSDHSVLDAFKGSGLNLVIAIPNELVKDFAANESRSIDWLNENVQPYLPQTRIVGITVGNEVLGGQDTSLAEPLVQAVKNVYNGLKKFHLQDKIELFTPHSEAVFATSYPPSACVFKEDVMVYMKPLLDFFQQIGSPFYVNAYPFLAYISDPEHIDINYALFKPNPGIVDPNTSLHYDNMFDAQIDAAYAALQAAGYRDMEVRVAETGWASSGDQTEAGASVENARTYNFNLRKRLFLRKGTPLKPKRPVKAYIFALFNENSKPGPSSERHYGLFNADGRIAYDIGYEGLLPSSAPSYFLSLRENV >ORUFI06G21960.1 pep chromosome:OR_W1943:6:21319187:21324637:1 gene:ORUFI06G21960 transcript:ORUFI06G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPSLTANTASSMGNAEAVVMLPANGGARRRADKVVHPAPMPDRAAGGAMEREGGGVGGGGEVGGWRRPEWCSAAGVAGVLRRHPAAAAFGCGLLLFMAVEYTIPMVPPAAPPVDLGFAATAALHAGIAARPWLNSLLAALNTVFVAMQAAYILWAILGEGRPRAAVAAMMMFTCRGALGCATQLPLPAEFLGSGMDFPVGNVSFFLFFSGHVAGAVIAAEDMRRAGRRGMARLYDALNLLQGVRLLACRGHYTIDLAVGVGAGLLFDMLAGRYLDGKNTVDGGAAVAPGSRCCSCHKALLSQ >ORUFI06G21970.1 pep chromosome:OR_W1943:6:21323004:21327497:-1 gene:ORUFI06G21970 transcript:ORUFI06G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAACDAAVEELTRLLDQVEEPLKQTFQNVHQGYPTDTLVRFLKAREWHVSKACDMLVDSLNWRIQNEIDSILEKPIIPVDLYRSIRETQLVGLSGYSKEGIPVFAIGVGQSTYDKASVHYYVQSHIQINEYRDRIVLPMASKKFGRPISTCIKVLDMTGLKLSALNQMKILTAISTVDDLNYPEKAETYYIVNAPYIFSACWKVVKPLLQERTRKKVHVLHGCGRDELLKIMDHSSLPHFCQREGSGSSKNSSNDVNNCFSLDHPFHQELYHYIEEQALNQELIKQGSLHVNIPDQDPEDAKIVEVIEAEFHKLGEQNGSVNGEHKE >ORUFI06G21980.1 pep chromosome:OR_W1943:6:21337204:21343388:1 gene:ORUFI06G21980 transcript:ORUFI06G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEISSNEEMMEMAIVEQLPPSSHHLNGGSVEVDMEEDHVWPTKDGPLPIFLKFENVEYKVKLTPKNPLTAARVAFASHKSTEDQGSCKHILKGIGGSVDPGEILALMGPSGSGKTTLLKILGGRLSGGDDVLFPQLTVEETLVFAAFLRLPARMSKQQKRDRVDAIITELNLERCRHTKIGGAFVRGVSGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSAAKLLVVLRRLARSAARRTVITTIHQPSSRMFHMFDKLLLVAEGHAIYHGGARGCMRHFAALGFSPGIAMNPAEFLLDLATGNLDGISSPASLLLPSAAAASPDSPEFRSHVIKARHRAAGEEEAAAAAAREGGGGGGAGRDEAAKQLRMAVRMRKDRRGGIGWLEQFTVLSRRTFRERAADYLDKMRLAQSVGVALLLGLLWWKSQTSNEAQLRDQVGLIFYICIFWTSSSLFGSVYVFPFEKLYLVKERKADMYRLSAYYASSTVCDAVPHVVYPVLFTAILYFMADLRRTVPCFCLTLLATLLIVLTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPKFIRWLKYVSFMHYGFNLLLKAQYHGHLTYNCGSRGGCQRLQSSPSFGTVDLDGGMREVWILLAMAVAYRLLAYLCLRKRISLMPL >ORUFI06G21990.1 pep chromosome:OR_W1943:6:21343970:21348106:1 gene:ORUFI06G21990 transcript:ORUFI06G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEDAAAARRRAAATDYRKKLLTCRELEARARTARDNLKNAKKDFGKTEDDLKSLQSVGQIIGEVLRPLDSERFIVKASSGPRYVVGCRSKVDKEKLIAGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFSYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVIHEDFMKAVRKLNDAKKLESSAHYSADFGKE >ORUFI06G22000.1 pep chromosome:OR_W1943:6:21348383:21354524:1 gene:ORUFI06G22000 transcript:ORUFI06G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRSLSSSARLAAAEWAAPMKLQVRVVEARGLPAVRVDGTSDPFVKLQLGKRRAKTAVARRTLAPAWDEEFSFLVGDITEELVVSVLNEDKYFSNDLLGKVRVPLADVMETDDLSLGTAWYQLQPKSKKSKKKSRGEVCLCISLSTRTHVSEESQSVNPASDDASSSDRSIEHKDAVLSTTSSYIDLSACASAMDRASQSSMEQLADSIVDQPPRSSMEQLAVAEPGAAAAEGDAMSNSSSVVEVLSRYFFGNKPADVAPSAASDAESVDQFQEPKVCSEDHETPESGTSSESSLDELLKTMESKDQGCEMPANLPGGVLIDESYVAAPTELNSLLFSKNSDFWPAVSELQGTSGFQIEPWKLDNNETCLQRTLTYTKAASKLVKAVKATEEQKYLKAAGNSFAVHSVVSTPDVPCGGCFKIEILYCITPGPSLSSEEQTSHLTVSWRVNFVQSTMMKGMIESGAKQGMAEGFAHFSEILSQKIKVAEADDANSNKEKILSSLHAQKESGWRLIVRFLFNFTFIFSVIIASYVIAHLHLSKPNAMHGLEYFGIDLPDSIGEVVVCAVLILQGQNIFNIIKRFLNAWKQKGSDHGVKAHGDGWLMTVALIEGTGITNSNSKELFDMYAVFTCNAKRKTSSVKFQTSEPKWNEIYEFDAMDDPPSRMDVAIHDANGPFDQSPIGHAEVNFLKSNLSDLTDVWLPLEGKCDQTSNPKIHLRIFLNNSRGTEVVMNYLAKMRKEVGKKINLRSAQTNAAFRKLFNLPPEEFLIDDFTCHLKRKMPLQGRLFFSPRIIGFYSNIFGHKTKFFFLWDDVDDIQVIPPTLSIGSPSLTIILRKGRGLEAKHGAKGTDPNGRLKYYFQSFVSFNDAHRIIMAIWKMRSLSPEQQGDMIEKESDTKELQLEEGGTLFTHEDVKMSEIFSSALSVDVESLMEMFSGGPLEHRMMQKAGCIDYSPTEWELVSRNIYQRQISYKFDKNLSRYGGEATTTQQRYALVNQEGWAIEEVMSLQGVLLGDCFNVQMKYTVVNVPSKPNTCSVQVLLGIAWLKSTKQQKKITKSVISNSSIRLKELFAEVEKDLTSRSGAS >ORUFI06G22000.2 pep chromosome:OR_W1943:6:21348383:21354524:1 gene:ORUFI06G22000 transcript:ORUFI06G22000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRSLSSSARLAAAEWAAPMKLQVRVVEARGLPAVRVDGTSDPFVKLQLGKRRAKTAVARRTLAPAWDEEFSFLVGDITEELVVSVLNEDKYFSNDLLGKVRVPLADVMETDDLSLGTAWYQLQPKSKKSKKKSRGEVCLCISLSTRTHVSEESQSVNPASDDASSSDRSIEHKDAVLSTTSSYIDLSACASAMDRASQSSMEQLADSIVDQPPRSSMEQLAVAEPGAAAAEGDAMSNSSSVVEVLSRYFFGNKPADVAPSAASDAESVDQFQEPKVCSEDHETPESGTSSESSLDELLKTMESKDQGCEMPANLPGGVLIDESYVAAPTELNSLLFSKNSDFWPAVSELQGTSGFQIEPWKLDNNETCLQRTLTYTKAASKLVKAVKATEEQKYLKAAGNSFAVHSVVSTPDVPCGGCFKIEILYCITPGPSLSSEEQTSHLTVSWRVNFVQSTMMKGMIESGAKQGMAEGFAHFSEILSQKIKVAEADDANSNKEKILSSLHAQKESGWRLIVRFLFNFTFIFSVIIASYVIAHLHLSKPNAMHGLEYFGIDLPDSIGEVVVCAVLILQGQNIFNIIKRFLNAWKQKGSDHGVKAHGDGWLMTVALIEGTEIYEFDAMDDPPSRMDVAIHDANGPFDQSPIGHAEVNFLKSNLSDLTDVWLPLEGKCDQTSNPKIHLRIFLNNSRGTEVVMNYLAKMRKEVGKKINLRSAQTNAAFRKLFNLPPEEFLIDDFTCHLKRKMPLQGRLFFSPRIIGFYSNIFGHKTKFFFLWDDVDDIQVIPPTLSIGSPSLTIILRKGRGLEAKHGAKGTDPNGRLKYYFQSFVSFNDAHRIIMAIWKMRSLSPEQQGDMIEKESDTKELQLEEGGTLFTHEDVKMSEIFSSALSVDVESLMEMFSGGPLEHRMMQKAGCIDYSPTEWELVSRNIYQRQISYKFDKNLSRYGGEATTTQQRYALVNQEGWAIEEVMSLQGVLLGDCFNVQMKYTVVNVPSKPNTCSVQVLLGIAWLKSTKQQKKITKSVISNSSIRLKELFAEVEKDLTSRSGAS >ORUFI06G22000.3 pep chromosome:OR_W1943:6:21348383:21354524:1 gene:ORUFI06G22000 transcript:ORUFI06G22000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRSLSSSARLAAAEWAAPMKLQVRVVEARGLPAVRVDGTSDPFVKLQLGKRRAKTAVARRTLAPAWDEEFSFLVGDITEELVVSVLNEDKYFSNDLLGKVRVPLADVMETDDLSLGTAWYQLQPKSKKSKKKSRGEVCLCISLSTRTHVSEESQSVNPASDDASSSDRSIEHKDAVLSTTSSYIDLSACASAMDRASQSSMEQLADSIVDQPPRSSMEQLAVAEPGAAAAEGDAMSNSSSVVEVLSRYFFGNKPADVAPSAASDAESVDQFQEPKVCSEDHETPESGTSSESSLDELLKTMESKDQGCEMPANLPGGVLIDESYVAAPTELNSLLFSKNSDFWPAVSELQGTSGFQIEPWKLDNNETCLQRTLTYTKAASKLVKAVKATEEQKYLKAAGNSFAVHSVVSTPDVPCGGCFKIEILYCITPGPSLSSEEQTSHLTVSWRVNFVQSTMMKGMIESGAKQGMAEGFAHFSEILSQKIKVAEADDANSNKEKILSSLHAQKESGWRLIVRFLFNFTFIFSVIIASYVIAHLHLSKPNAMHGLEYFGIDLPDSIGEVVVCAVLILQGQNIFNIIKRFLNAWKQKGSDHGVKAHGDGWLMTVALIEGTEIYEFDAMDDPPSRMDVAIHDANGPFDQSPIGHAEVNFLKSNLSDLTDVWLPLEGKCDQTSNPKIHLRIFLNNSRGTEVVMNYLAKMRKEVGKKGRLFFSPRIIGFYSNIFGHKTKFFFLWDDVDDIQVIPPTLSIGSPSLTIILRKGRGLEAKHGAKGTDPNGRLKYYFQSFVSFNDAHRIIMAIWKMRSLSPEQQGDMIEKESDTKELQLEEGGTLFTHEDVKMSEIFSSALSVDVESLMEMFSGGPLEHRMMQKAGCIDYSPTEWELVSRNIYQRQISYKFDKNLSRYGGEATTTQQRYALVNQEGWAIEEVMSLQGVLLGDCFNVQMKYTVVNVPSKPNTCSVQVLLGIAWLKSTKQQKKITKSVISNSSIRLKELFAEVEKDLTSRSGAS >ORUFI06G22010.1 pep chromosome:OR_W1943:6:21356593:21356961:1 gene:ORUFI06G22010 transcript:ORUFI06G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLKRPMTMKKISVQKKSPSGKFLHILHASPTGKLSVTNSPEAPAVMMTTPTKHAAAAAQSKQLLGSPRVASPSCLCSPTTHAGSFRCRLHRGGGGAAAAAGLAGSIGCGCGEMDKKPGV >ORUFI06G22020.1 pep chromosome:OR_W1943:6:21360035:21367571:-1 gene:ORUFI06G22020 transcript:ORUFI06G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAGVVPAALPSPATEDETIARRRSRRVSFAEITAVHVFDRDEDFETPPEERAIAVGYPSPSPTPSLSPGKPAAEEGEETEGEEEEFLRPPFRFLNNGDVDSSSPGSAAGSLVSNDDEDFFGPVSRSFIQSGRPSDSGMSEDGNHDITLDSETFSMHYRNIAPPDDFSVNSVGSLRTPNSASTGPLKEQTGSGYGVKSCNSHDALTDMSLLADNPERYDYAKLSPTLSNLLQQVEDVHELISPKNGTGTVTPDHSSALAACKKKNREEKSSIVNGISSSELDTIGSRKEHVPIRNSVPTSTDPIQEDNAMTVDVNEKSQVTSEDILNTPKAVVQTFQIPQGSISSLRSKRRQLFSPITHSASNVVSQDASSLGSEFVKHSKRIVALADRLKFGLYESPATKIQEMPCNALMTDDQPSHECNSIQDSDLDRGGRKRSSSENGHAAQKRPQKISKPPRSPATSLKQLPCVSLSSSMMEENQSVTHGNQQSINVDWNKVASMVSNATSQVFSTSISKVKPQQLDMIEDMLGGIQRARNFKRLSTAVRIQDCGNDKQKRLAEARSLVDKLLYEKAKLQINHVKLEKLQNRAQVCKDGIQECRYLKSKISDQKGVPLDSTTLITASDRQEGLALITEKMHALEMIKKKVERARSSLESFCNTKGDISCDDFIKAAEQQLEMRNQCRIINQQARLWKLNDLVKRENKRDIVLNYCSLLFQRIVLNISDMSGIFVSNSLNGTKIGQAFPNLNASVAFNFVFKAEGTQRVSDLRSLQKMTTETSLLLGNLIDVLKEIKMAKLELLNLTAAAFDMASQTCQLALSLCFMSFKSGKRISFTIDMTDLNRAVYPSELLINVREAQTTVAQPSLDEFMSSLRDLQSGRLMILRLCRMGSQLIHELPS >ORUFI06G22030.1 pep chromosome:OR_W1943:6:21368785:21374171:-1 gene:ORUFI06G22030 transcript:ORUFI06G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPELADSDADDSDADASPSPSPSRSPSPSARSPSGSPSRPAALMDVDGGDDDDDADPSQSAVVLAEDKKYYPTAEEVYGPGVEALVMDEDEQPLEQPIVAPPRVVRFEVGTRAEATSTYATTDFLLGLAANPALVRNVALVGHLQHGKTVFMDMLVEQTHEVDTFDSEGERHVRFTDTRVDEQERRVSIKAVPMSLVLEGGNGKSYLCNIMDTPGHVNFSDEMTAALRIADGAVLVVDAAEGVMVNTERAIRHATQERLPIVVVINKVDRLITELKLPPNDAYFKLRHTLEAINDLISSCSTTVGGTQLVDPAAGNVCFASGSAGWSFTLQSFAHLYLKIHGIQFDHEKFASRLWGDLYYHPDTRTFKKKPPKEGANRSFVEFVLEPLYKIYSQVVGESKGKVEATLSELGVTLSNAAYKLNVRPLLRLACRSIFGTSTGFTDMLVKHIPSVKDAAPRKIEHIYTGPQDSTIVDAMKKCDPHAPLMVNVTKLYPKSDCSVFDAFGRVYSGTIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRVPISKAPAGSWVLIEGVDASIMKTATICPMKMDEDVYIFRPLRFNTLPVVKIAAEPLNPSELPKMVEGLRKISKSYPLAVTKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVTFCETVVDTSSMKCFAETPNKRNKITMVAEPLEKGLAEDIENGLVSLDSRQKEITDFFRQRYQWDVLAARSIWAFGPEKQGPNILLDDTLSVEVDKNLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKILNANIAPEPLHRGGGQIIPTARRVVYSAFLMANPRLMEPVYYIEIQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPIYVVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKNIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMMNELAQQAADLHLQMM >ORUFI06G22040.1 pep chromosome:OR_W1943:6:21392036:21393570:1 gene:ORUFI06G22040 transcript:ORUFI06G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLPSRIASFPQDALPPPKPVLPPSPMPIYSPPNPNLFLYPLFAAEPHHAHRRRTPPVPGSQDTVAPPFIRIHAARIAQHRALPSPLLPPPLS >ORUFI06G22050.1 pep chromosome:OR_W1943:6:21400669:21405816:-1 gene:ORUFI06G22050 transcript:ORUFI06G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDESQWLRVREDNLCKIIQGDRDIMPVLKLSYNALPAALKPCLSYLSIFTTYFEYYRRCIIMFWMAHGLLPSNKLSAEIDVGNQYITELIGSSLFQDAQLLLMEACHIIASYMILYMILADLAVVSCEGQQVSETVRHLVWDYKEFTHEQEFPEHLIKARKARTFITSCNHGSLSKKILEVLLSKFLLLRIIGLPKLERLADTLCSAAGSLRYLLIDQCPNLRELPSFMQHLTNHQSQAGIH >ORUFI06G22060.1 pep chromosome:OR_W1943:6:21418291:21423375:1 gene:ORUFI06G22060 transcript:ORUFI06G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVFGKVFGKSKAQSQATALASIDKLSETLEMLEKKENLLVKKANLEVEKAKTFTKAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMHKATNIDDVDKTMDEINDNMENMRQIQDLLSAPIGAAADFDEDELEAELADLEGEELEAELLAPTTTAPTAPVRVQQPTRPSAQSSKTEDDELAALQAEMAM >ORUFI06G22070.1 pep chromosome:OR_W1943:6:21423624:21431702:1 gene:ORUFI06G22070 transcript:ORUFI06G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWFSGTGPSASSASSSSSPPQPSLLAEWNSYAAARSAEEEEDGGGGGFGIDIEAAVRSANDRVSGTFGVVSKGVLGLPGSFKSTTSSVPSSKSLVYFGLFLASGIFLVFIAFTIFLPVMVIMPQKFAICFTAGCAFIIGSFFALKGPKNQLYHMISKERLPFTMGFVGSMAATIYVSMVLHSYILSVFFSCLQVLALAYYAISYFPGGSAGMKFLSSALEFWVAWRGSPIRERVMGFSPPMDISDSAWEFDELIKTAKYIATPGKGILAADESTGTIGKRLASINVENVEPNRQALRELLFTTPGAFQYLSGVILFEETLYQSTAAGTPFVDVLKAGGVVPGIKVDKGTVDIAGTNGETTTQGLDSLGARCAKYYEAGARFAKWRAVLKIGAGEPSELAVKQNAEGLARYALICQENGLVPIVEPEILTDGAHDIKTCAAVTERVLAAVYKSLNDHKVLLEGTLLKPNMVTPGSDSPKVGAEVIGEYTVAALRRTVPPAVPGIVFLSGGQSEEEASQNLNAMNKLEVLKPWTLTFSFGRALQQSTIKKWGGKKENVAAAQAAFLARCKANSEATLGKYGGAAGDAATSESLYVKGYTY >ORUFI06G22080.1 pep chromosome:OR_W1943:6:21429605:21433589:-1 gene:ORUFI06G22080 transcript:ORUFI06G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDSKPSYSYSSSYDYGNSSSGYNSRYPAYPANASSSQNTRYAPSMENYVQPETHARLQRKYSRIGDDYRSLNQVTEALAQAGLESSNLIVGIDFTKSNEWTGKLSFNRRCLHDIGNTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALERYREIVPTLRLAGPTSFAPMIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTQSGQLSPQERDTIDAIVKASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTDIMSKSIAADRKEAEFALSALMEIPTQYKATLDLQLLGRRQRIQPRIPLPPPMRNAYSRSTSFDQHSGVYSRSSSFGPQTSGFQQSESFKQRQPVATTAPDTYTSESSLEGRLLCAICMDKSKDLAFGCGHQTCYECGKNLVRCPMCQQHITTRIRLY >ORUFI06G22090.1 pep chromosome:OR_W1943:6:21443476:21443873:1 gene:ORUFI06G22090 transcript:ORUFI06G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSPMREVVSMHPLLHLDGGEREAVFAAGDQTYGEFRLTLLWLFTLLAIELRLRHCPPPPPPWLATAARWRAAAADVLII >ORUFI06G22100.1 pep chromosome:OR_W1943:6:21444242:21451569:1 gene:ORUFI06G22100 transcript:ORUFI06G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSQQRPATVASVGVRHTLRSAVKGVLRKRDDMDTQLAECRAHQAGLEQSIVETNRALRDADRQQAAALVQRRLDMQASLKYQDHEEFTLVRELQKMAILVDKYYNEMFFFFSKTEFRIIFFISKTHFFGYCCCGAMSLGTVVDDTLTLAVVRYVSDGMIL >ORUFI06G22110.1 pep chromosome:OR_W1943:6:21458366:21467809:1 gene:ORUFI06G22110 transcript:ORUFI06G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITTAMSARSMSTRLELLVVFVFIVAPALAATKPSYIVYLGGRHSHGDDGGVISPVEAHRTAAESHYDLLGSVLGDREKARDAIFYLYTKNINGFAARLEAEEAAAVAERPGVVSVFPDRGRRMHTTRSWQFLGLERPDGSVPPWSPWEAARYGQNIIIGNLDSGVWPESLSFNDRELGPIPNYWKGACRNEHDKTFKCNSKLIGARYFNNGYAKVIGVPLNDTHKTPRDANGHGTHTLATAGGSAVRGAEAFGLGGGTARGGSPRARVAAYRVCYPPFNGSDACYDSDILAAFEAAIADGVHVISASVGADPNDYLEDAIAIGALHAVKAGITVVCSASNFGPDPGTVTNVAPWILTVAASTMDRAFPAHLVFNRNRVEGQSLSPTWLRGKTFYTMISAANAAVPGYPPADALLCELGALDGKKVMGKIVVCMRGGNPRVEKGEEVSRAGGAAMILVNDEASGNDVIADAHVLPAVHINHADGHALLAYINSTKGAKAFITRAKTVVGVKPAPVMAAFSSQGPNTVNPEILKVRNFSWRELDVAPRAHESGTSMSCPQVSGVAGLIKTLHPDWSPAAIKSAIMTTGEKFSPHDAASPETTNSSLFIRDLLRSNKNCGAGHVFPHRAMDPGLVYDLTVDDHLSFLCTIGYNATALALFNGAPFRCPDDPLDPLDFNYPSITAFDLAPAGPPATARRRVRNVGPPATYTAAVVREPEGVQVTVTPTTLTFESTGEVRTFWVKFAVRDPAPAANYAFGAIVWSDGNHQLDQEYSNSYQISYVYESSMSLTDKMRKLKELLHKSENRICADCSSPDPKWASANIGVFICLKCSGIHRSLGTHISKVLSVTLDEWTDDEINSMLEVGGNSYANAIYEAFLPGGYHKPHPDSSQEERADFIRSKYELQEFLKPSLRIVSNKSSLQAMDSRKDIGNASNSYSFKSEAGMVEFIGIIKVKVIRGTKLAVRDILSSDPYVVLTLGQQKAKTKVIKSNLNPVWNEVLTLSVPQKYGPLKLQVYDHDVLSRDDIMGEAEVDLQPMITAAMAFGDPGLLSDMQIGRWLMSRDNALARDSAVSVVGGRVKQEVSLRLQNVECGEVDLELEWIALNQ >ORUFI06G22120.1 pep chromosome:OR_W1943:6:21468640:21474365:1 gene:ORUFI06G22120 transcript:ORUFI06G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPKPFSSIELAHNDPVSHNQQIERINNNVVSNSGGNSSNSNFAARQRLRWTDDLHDRFVDAVTQLGGPDRATPKGILRIMGVQGLTIYHVKSHLQKYRLAKYIPDPTADGAKSDKKDLGDLLADIESSSGMEIGEALKLQMEVQRQLQLRIEAQGRYLQKIIEEQQRLSGVLGESGKLGALGPAPGEPYQDSNKTDPSTPVPTSESPIRDKAGSGLFKTISSHDDCREPLTPDSSCRAGSPLESPPRASKRIRVSSDIDHRGNNEFPPPLKVPEPSSGSDFRQESSVLLSSSAVHFDSLESLDADENVFTNGSGSDD >ORUFI06G22130.1 pep chromosome:OR_W1943:6:21473396:21474916:-1 gene:ORUFI06G22130 transcript:ORUFI06G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGILGRHDTMKRSSHGSKLETKMVESMQQRASHGTSLKSFDSIIMKFPKIDESLRKCKIIFEQFDEDSNGEIDKQELKHCFQKLEISFTEEEINDLFEACDINEDMGMKFNEFIVFLCLIYLLNEPAVSEAKIKMGLGNLEATFETLVDAFVFLDKNKDGYVSKEEMVQSMNETATGERSSGRIAMKRFEEMDWDKNGMVTFKEFLFAFTRWVGIDENEDDNE >ORUFI06G22140.1 pep chromosome:OR_W1943:6:21474924:21475973:-1 gene:ORUFI06G22140 transcript:ORUFI06G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNQYLRFLHRISLTNDPPPAEVNSHPDTWAPPASDPTPPPQHRIGTCRRCAVLISRLVESNNKSLPLSLSLAASARPAEREEEFGTLCPRSKLPPIPPCPSFAADSDLGRRRRRNTGKAKIKVQLLFGACPARTAE >ORUFI06G22150.1 pep chromosome:OR_W1943:6:21477601:21481752:-1 gene:ORUFI06G22150 transcript:ORUFI06G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFVNFVIRPPRVQSRSVSVGTGVYSCGKKLTNGRDQTLKCSHYVPAVIPDNTALPCVIYCHGNSGCRADANEAAVILLPSNITLFTLDFAGSGLSGGEYVSLGWHEDLKCAVSFLRNNKEVSCIGLWGRSMGAVTSLLYGAEDPSIAGLVLDSAFSNLYDLMMELVDVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMDLDVVQFAPKTFIPALFGHASNDMFIQPHHTDRIHQAYAGDKNLIKFDGDHNSPRPQFYYDSVSIFFYNVLHPPQFPSVCSNKLDKYYNLGAFKVGAGTNESLLYEIINGLRAAGPDAGSSSAAAANFTNATKSVVELLTERVNQLSIKTDNDLDFLLDENHNLTEMDTHTAESHLEDKSHRQNEECCSYTSSNRESWGRCSSLGAASDGSSLGERPEIPSHKHKSMTLRALATPLRRIRRKPLAIPKERKNRSLWKRLKQERQEMGESLTQRFRLCLQGQAQHKRTKSS >ORUFI06G22160.1 pep chromosome:OR_W1943:6:21487657:21492484:1 gene:ORUFI06G22160 transcript:ORUFI06G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGAYRSAGRRRDAFAAAAEDAGSATTRGRAAAAGGSGGLLRRSRSLSRFPPPSPSPEDAATPSSRFVNKVRGGGRGGAGLPPEISLDDLADEFFRARAESEDDDDEEEAVVVVRGEESRGRLRFPAPAEKGGGRRSSTARYARETESSRQRGRSVSRPPAERRGGATAVANGGAAAAGRQRYASVDRRASMDRHRWCDSDNDMDISHRYGSRGINTKSSNNSLQNSSFHKTAKVNQSLRKSTSQKDFLHSRDSSSSHSSITDDEFRDSFHSRNQKGIRAVYTLEKDRLSNNEDENALYDVMRKEVRQAVDEIRTQLEKVVTKSEPSEKATSADAQPTQVINELRRSYTSKLEESEMRKQELLAQLAAEEQRGHELTKIVKELLPTPKKNMNSERQPRYRRRSNDRARVSRRLTEEAEQYFEDFLSNVEDTDFSSFDGERSDTSSSRRDVVQNTKIETPIALPKVASPVEADGVVLPWLQWETSNDLQTSPCKPKTQGASTACSTSSRTMSSRGSWSPGDHDSAAGSKDTLLTRFEEAASRRSSCPDNTQRSSFHIDDYMHLRRSHDLLLERWRQKERIGDGGLILCSRSSIM >ORUFI06G22170.1 pep chromosome:OR_W1943:6:21493022:21496570:1 gene:ORUFI06G22170 transcript:ORUFI06G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVELGAPPSPEPLSPLRELIDVPTVVYVADEDPKFAALADQAYAGFPFLFVTMSLKALAYKRMDLNELDEEHSAHYLRLRFENQLQDAMEGMAAGGEEMRVALARMETLKKSVQFHFRRMQYAAHELRKVVLKEEEMYKKLVTLTN >ORUFI06G22170.2 pep chromosome:OR_W1943:6:21493022:21495610:1 gene:ORUFI06G22170 transcript:ORUFI06G22170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVELGAPPSPEPLSPLRELIDVPTVVYVADEDPKFAALADQAYAGFPFLFVTMSLKALAYKRMDLNELDEEHSAHYLRLRFENQLQDAMEGMAAGGEEMRVALARMETLKKSVQFHFRRMQYAAHELRKVVLKEEEMYKKLVTLTN >ORUFI06G22180.1 pep chromosome:OR_W1943:6:21496519:21498980:-1 gene:ORUFI06G22180 transcript:ORUFI06G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFDGDAGGVVVDGETYALRQMHWHSPSEHAVDGRRYDLELHMLHQSETRDGRYAVVAQLYTGSFTTPPCTEGITWTVRRVSRQQVELLCEKSSTMVTLEGKVTRTHRRRLVKFSCL >ORUFI06G22190.1 pep chromosome:OR_W1943:6:21498672:21513474:1 gene:ORUFI06G22190 transcript:ORUFI06G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESESYPVSCCARACRIEPSSSRRRNETAAARKTTAPLVFEGACISAASASSLACDENRTERQIEGPKVNLFQCHHRTRPKTQHNHRFTLIVWDPPVRRTVPLRAALCFAAGKTSASASAAGEFAIRWPDRDRSSRRAEGAGSARRIRLRYGPNPRSSYVHKRASRRGKEDLEYRNDRGPANAYKVAKYYTKYIFILLALVLDVAAAKGTKINKANSGEPESTLSDLLGLHSEARERL >ORUFI06G22200.1 pep chromosome:OR_W1943:6:21513581:21515111:1 gene:ORUFI06G22200 transcript:ORUFI06G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQGSCNCKPRCWTCTLALPIKPALLRCSLSSSPLAAAAATFSGSSPSGGGGGDDDGYGGGDGMLRSLHSSSSSDTDNNSGGCKNNGGGGGEAAAAVEGGGDQRAVAAAAPSTRDLLLACADLLQRGDLPAARRAAEIVLAAAASPRGDAADRLAYHFARALALRVDAKAGHGHVVVGGGAARPASSGAYLAFNQIAPFLRFAHLTANQAILEAVDGARRVHILDLDAVHGVQWPPLLQAIAERADPALGPPEVRVTGAGADRDTLLRTGNRLRAFARSIHLPFHFTPLLLSCATTAPHHVAGTSTGAAAAASTAAAATGLEFHPDETLAVNCVMFLHNLAGHDELAAFLKWVKAMSPAVVTIAEREAGGGGGGGDHIDDLPRRVGVAMDHYSAVFEALEATVPPGSRERLAVEQEVLGREIEAAVGPSGGRWWRGIERWGGAARAAGFAARPLSAFAVSQARLLLRLHYPSEGYLVQEARGACFLGWQTRPLLSVSAWQPSSS >ORUFI06G22210.1 pep chromosome:OR_W1943:6:21531088:21536747:-1 gene:ORUFI06G22210 transcript:ORUFI06G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELVTPSQSSSSCFQQHKGGLVLDGYLTCLQLHMALFYWIEELEFECSVGIASRVDVRFSVDGFFSLCYECTFLKHKDQKALYSALERLKEELMLLGFISFVLSLSEGFIVGICVSENAMHLMLPCKKETYQLSEGVKLCKKKGEVPLLSVEALHQLHIFIFILGLVHVVFCATTILLGGAKIRKWKLWETEIQQEMQQKLQKRDATPGRIAHNQQGEFVSERTKGLWMKLAVVSWIIAFFKQFHDSVSKSDYKALRSAFGLKHFPSHPSFNFYKYLIRALEHDFKRVVGIRMAYILLLLLVVGAKLEHIITRLAQEAAAVASLSHGTERTPYVKPSKEHFWFGRPEIVLNLIHFILFQNSFEIGFFIWVLVTFGFDSCIMEKKVYAISRLMDGGIKLQGIGSGLHESVAGWALDARRKKEEQQSSHGGATTGATEGSNYRSDHFGASPRSALAPPPPPSPDLVEIVSVAADDDDGDDSRHRR >ORUFI06G22210.2 pep chromosome:OR_W1943:6:21531088:21536747:-1 gene:ORUFI06G22210 transcript:ORUFI06G22210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADELVTPSQSSSSCFQQHKGGLVLDGYLTCLQLHMALFYWIEELEFECSVGIASRVDVRFSVDGFFSLCYECTFLKHKDQKALYSALERLKEELMLLGFISFVLSLSEGFIVGICVSENAMHLMLPCKKETYQLSEGVKLCKKKGEVPLLSVEALHQLHIFIFILGLVHVVFCATTILLGGAKIRKWKLWETEIQQEMQQKLQKRDATPGRIAHNQQGEFVSERTKGLWMKLAVVSWIIAFFKQFHDSVSKSDYKALRSAFGLDSESGISSLMEQGWHTYFWLAFLPLFLLLVVGAKLEHIITRLAQEAAAVASLSHGTERTPYVKPSKEHFWFGRPEIVLNLIHFILFQNSFEIGFFIWVLVTFGFDSCIMEKKVYAISRLMDGGIKLQGIGSGLHESVAGWALDARRKKEEQQSSHGGATTGATEGSNYRSDHFGASPRSALAPPPPPSPDLVEIVSVAADDDDGDDSRHRR >ORUFI06G22210.3 pep chromosome:OR_W1943:6:21531088:21536747:-1 gene:ORUFI06G22210 transcript:ORUFI06G22210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADELVTPSQSSSSCFQQHKGGLVLDGYLTCLQLHMALFYWIEELEFECSVGIASRVDVRFSVDGFFSLCYECTFLKHKDQKALYSALERLKEELMLLGFISFVLSLSEGFIVGICVSENAMHLMLPCKKETYQLSEGVKLCKKKGEVPLLSVEALHQLHIFIFILGLVHVVFCATTILLGGAKIRKWKLWETEIQQEMQQKLQKRDATPGRIAHNQQGEFVSERTKGLWMKLAVVSWIIAFFKQFHDSVSKSDYKALRSAFGLLLLVVGAKLEHIITRLAQEAAAVASLSHGTERTPYVKPSKEHFWFGRPEIVLNLIHFILFQNSFEIGFFIWVLVTFGFDSCIMEKKVYAISRLMDGGIKLQGIGSGLHESVAGWALDARRKKEEQQSSHGGATTGATEGSNYRSDHFGASPRSALAPPPPPSPDLVEIVSVAADDDDGDDSRHRR >ORUFI06G22220.1 pep chromosome:OR_W1943:6:21546075:21551836:1 gene:ORUFI06G22220 transcript:ORUFI06G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARCAGHGGGGGGGGFLLRRRLLATAAFLAAFGLCTAAADDAATGRGQGHDHVMLSVEDMFPDSSSSSPSCDAPPRDHRHDATSSTTRMTIVHRHGPCSPLAAAHGEPPSHGEILAADQSRAESIQHRVSTTTTGRVNPKRSRHRQQQPPSAPAPAASLSSSTASLPASPGRALGTGNYVVTVGLGTPASRYTVVFDTGSDTTWVQCQPCVVACYEQREKLFDPASSSTYANVSCAAPACSDLDVSGCSGGHCLYGVQYGDGSYSIGFFAMDTLTLSSYDAVKGFRFGCGERNDGLFGEAAGLLGLGRGKTSLPVQTYGKYGGVFAHCLPARSTGTGYLDFGAGSPPATTTTPMLTGNGPTFYYVGMTGIRVGGRLLPIAPSVFAAAGTIVDSGTVITRLPPAAYSSLRSAFAAAMAARGYRKAAAVSLLDTCYDFTGMSQVAIPTVSLLFQGGAALDVDASGIMYTVSASQVCLAFAGNEDGGDVGIVGNTQLKTFGVAYDIGKKVVGFSPGAC >ORUFI06G22230.1 pep chromosome:OR_W1943:6:21552184:21552381:-1 gene:ORUFI06G22230 transcript:ORUFI06G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDEEAIGSSTASVALLANVAKHGDLQSRLRAAVNIREIVVLSSCCSRNGGATTAIDLSDHHRH >ORUFI06G22240.1 pep chromosome:OR_W1943:6:21556092:21563139:1 gene:ORUFI06G22240 transcript:ORUFI06G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGHNDPLIGETTCGSLLQQLQLIWDEVGESDEDRDKMLLQLEQECLDVYRRKVDQASNSRARLLQQLANAKSELSRLLCALGELSISGIPDKTTGTIKEQLEAISPFLEKLCREKDKRVREFAGVQLQIQTIRGEIAGSLQVGDHMETPRVNEDDLSTKKLNEFLSELQALQKEKSNRLHKILDFVSSVHDLCSVLGMDFLSTVTEVHPSLNDSVGAEFKSISDATLSKLSKMVIQLKEEKSKRLERIQALASQLTDLWNLMDTSADERQLFDHVTCNISSTLDEVTAPGALDIDLIEQAELEVERLDQLKASRMKDIAFKRQTELEDIYAQAHITIDTSAARDRILTVIDSSIFEPSELLADMENQILKAKEEALSRKDILEKVERWMSACEEESWLEDYSQDDNRYSATRGAHLNLKRAEKARLLVSKIPVIVDTLMAKTRAWEQEHGMPFSYDGVHLLAMLDEYKVLRQQKEEEKRRMRDQKKINDQLAAEQEKLFGSKPSPARPQSSRKAPGPRANGGAVNGTPNRRLSAHQNGGGRSVSRDGRRDSGRPAAPVNYVAICKEESSNNNPAASSP >ORUFI06G22240.2 pep chromosome:OR_W1943:6:21557015:21563139:1 gene:ORUFI06G22240 transcript:ORUFI06G22240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGHNDPLIGETTCGSLLQQLQLIWDEVGESDEDRDKMLLQLEQECLDVYRRKVDQASNSRARLLQQLANAKSELSRLLCALGELSISGIPDKTTGTIKEQLEAISPFLEKLCREKDKRVREFAGVQLQIQTIRGEIAGSLQVGDHMETPRVNEDDLSTKKLNEFLSELQALQKEKSNRLHKILDFVSSVHDLCSVLGMDFLSTVTEVHPSLNDSVGAEFKSISDATLSKLSKMVIQLKEEKSKRLERIQALASQLTDLWNLMDTSADERQLFDHVTCNISSTLDEVTAPGALDIDLIEQAELEVERLDQLKASRMKDIAFKRQTELEDIYAQAHITIDTSAARDRILTVIDSSIFEPSELLADMENQILKAKEEALSRKDILEKVERWMSACEEESWLEDYSQDDNRYSATRGAHLNLKRAEKARLLVSKIPVIVDTLMAKTRAWEQEHGMPFSYDGVHLLAMLDEYKVLRQQKEEEKRRMRDQKKINDQLAAEQEKLFGSKPSPARPQSSRKAPGPRANGGAVNGTPNRRLSAHQNGGGRSVSRDGRRDSGRPAAPVNYVAICKEESSNNNPAASSP >ORUFI06G22250.1 pep chromosome:OR_W1943:6:21561096:21567365:-1 gene:ORUFI06G22250 transcript:ORUFI06G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELSDKLSTTIGFFHGNSGDTRLAYLSGITICAGLIDLRRATRKFHLPELDEAESNRGSADHKRRWSSLRLYLCGDEISAAAEDENDDDDDGTVSVKSFETCAMPQEPQAAALTVARPANGVDGVADAGGHPEEHGSMSIPIKDIAPPTAAEPATDSQVEAATMIQSVFRGFMARRQLQKLKCSENGCCTTDEPRSPTTASIAASVEVQVGESLSNLRLSDDSAAAAATSAQHRSSQRSRPQAFRVKEEWDDSTVSSNVSRMRMQSRIEATTRRERALAYAFSQQLRSCGGGGGGTTKKRAARSDQAEYNVGWSWLERWMATRQASSEASADDCMSKNAADAGSTAAAAGGRRVIVVRRRHDLGAGAGEEKESCGSNDVSVVSFDGSSGSLSCYKPGSKSRLRGGGRSLPRRKVASSDHRLHARSHKVSKKVHRRDQEQEREEAAAEAYDGNQPPTDY >ORUFI06G22250.2 pep chromosome:OR_W1943:6:21561096:21563066:-1 gene:ORUFI06G22250 transcript:ORUFI06G22250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGGIVRRVFSKSPCSSAGGGGRGCHNERGSADHKRRWSSLRLYLCGDEISAAAEDENDDDDDGTVSVKSFETCAMPQEPQAAALTVARPANGVDGVADAGGHPEEHGSMSIPIKDIAPPTAAEPATDSQVEAATMIQSVFRGFMARRQLQKLKCSENGCCTTDEPRSPTTASIAASVEVQVGESLSNLRLSDDSAAAAATSAQHRSSQRSRPQAFRVKEEWDDSTVSSNVSRMRMQSRIEATTRRERALAYAFSQQLRSCGGGGGGTTKKRAARSDQAEYNVGWSWLERWMATRQASSEASADDCMSKNAADAGSTAAAAGGRRVIVVRRRHDLGAGAGEEKESCGSNDVSVVSFDGSSGSLSCYKPGSKSRLRGGGRSLPRRKVASSDHRLHARSHKVSKKVHRRDQEQEREEAAAEAYDGNQPPTDY >ORUFI06G22250.3 pep chromosome:OR_W1943:6:21563125:21567365:-1 gene:ORUFI06G22250 transcript:ORUFI06G22250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELSDKLSTTIGFFHGNSGDTRLAYLSGITICAGLIDLRRATRKFHLPELDEAESNRTAGSWRCQPRSPSFYYTDM >ORUFI06G22260.1 pep chromosome:OR_W1943:6:21567751:21569598:-1 gene:ORUFI06G22260 transcript:ORUFI06G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAPAHLAVLRLLDSGDLAAAARLAAAGAGPSSSSSPSPVSLAAVLLRHPPPRLGCCLHGRAARAGLLADRYLANALLAFYVRLPRHLPHALRAFDDLPRRDVVAHSSILAAFLRAGMPRRALASLRDMLAGADDDVSPNAHALSAAVKACAVLRDRNAGACLHGSVLVRGFGDDGVVLSSLVDMYGHVAAPGDARKVFEEMRAPDGICYTSLISAFVRNDWFEEAVRWFRSMLMMNGVRPDGCTFGSMMTALGNSKRGSQGRQAHAQVVTRGLCGNVIVESSTLDMYAKCGLMVEARKVFDRMQVRNEVSRCALLGGYCQNGEYEKVIALFREMDKEDGDWYSLGTVLRACAGLSSVKPGKEIHCRFLRMAGWRDVVVESALVDLYAKCGAVDYAYSVFEASTVRNTITWNAMIGGFAQNGHGERAINLFNRMVREGPRPDYISFIGVLFACSHTGMVEQGRNYFNSMCKDYGIAPGIEHYNCMVDLFSRVELLEEAEDLINKSPFRNDSSLWADILGASATHSNPDVAERVSKKMMELEPQYHLSYILLENVYRTVGRWEDALEIRRLMESRKVKKEPGMSWVDANRSKLHVCNSNEEVSELVTSMEMDIS >ORUFI06G22270.1 pep chromosome:OR_W1943:6:21570283:21571892:-1 gene:ORUFI06G22270 transcript:ORUFI06G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVQQVVPAAAVHDDNNGRDVHDTPNTKVAGEEEEGAPLAVAEDELHNNGGPNSKEQEIVVITKEQEAAAITVVVDDTADGDGDGDGGGHDIAHEVEAKLAVETPPAAADAKEAEPEEEGGGGRRRVQAKKTTEKAASKAAIVPVNDDDDDDQAHEDVVVAAPVAAEHQETAEAAAAAAGEEEEAPEDKEEDACEKSKVHEE >ORUFI06G22280.1 pep chromosome:OR_W1943:6:21575307:21578888:1 gene:ORUFI06G22280 transcript:ORUFI06G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVRLAAQGLGATGATCTARQLVFDVRDFGAVADGQTDNSKAFERAWAKACAAPGRAAVVVPAAGGGGGGGGGYLLHPVVFRGPCKGFVEVRVAGVVRAPAGLDAFRGYHEWINFAGIDGLLVTGGGTFDGRGASSWHLNDCPWKPDCVPPPSSIKLGSVRNATITGVTSLDSKFFHVTIVGSHDVEVSHVSIRAPRDSPNTDGVHIQGSTGVRITDTAVATGDDCVSVGPGSADVTVSGVSCGPGHGISVGSLGRSPGEADVRRLRVSNCTIAGTANGVRIKTWRGGQRSSAAAAAAAAVSGLVFEDIVMRRERRPSVVRISDVKFRNIRGVSATQVAVKLSCSAASPCRGVELRDIDLRYVRRGVATVSRCANVAGGVAGGTLVPPPCI >ORUFI06G22290.1 pep chromosome:OR_W1943:6:21580655:21582808:1 gene:ORUFI06G22290 transcript:ORUFI06G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMPAGVQVRRREKHRRSIIKKKKKKMAFRNHDAAMVLFFFLLMVTTYANAHGHSKKPEEITEGVYGAAAAVAAGPGGTFDITKLGAVGNGRADSTSAVMAAWRSACAGAGKQTILIPKGDFMTGAMELRGPCNGAVTIQLDGNLLGSNDLSKYPGKKMPNWVEVRHVDNFVISGKGKLDGQGPGVWSKNSCAKNYNCKLLPNTLVLNTVNNGVVSGITLLNAKFFHMNIYRCKDIKISGVTINAPGDSPNTDGIHMGDSSKITIAATTIGTGDDCISIGPGTDGVNITGVTCGPGHGISIGSLGRYKDERDVRDVSVTRCVLRKTTNGLRIKSYEDSVSPVTVSKVSYDGVVMDHVDNPIIIDQKYCPNSICTSKGDSKVSVRDVTFRNITGSSNTPAVVQLLCSGKLPCSGVAMQDVRVLYGGSDKKTTAVCDHALGKSTGCLKELACL >ORUFI06G22300.1 pep chromosome:OR_W1943:6:21585052:21585585:1 gene:ORUFI06G22300 transcript:ORUFI06G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCIYASVNLEFRGLPLSHSVHAEQFLVVNAAAVGKSKLCAIAISHMPCGHCRQFLQEIRGAGGIRIIVTSSDAKWRTVSSLLPRPFGPHDLLPKHVPLVLEPHDSPLVGNPATAVITNGFANGDLEARLREAAEAAARAAHTPYSECPSRFAVADGKGRVYAGGYAWSPRRIIRH >ORUFI06G22310.1 pep chromosome:OR_W1943:6:21585591:21589939:1 gene:ORUFI06G22310 transcript:ORUFI06G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAIIGMVAAGGAAAAEDVVAAALVEKEAALVSQEAMARIFLAAVAPQASFHSVIKNNPCNAQVGD >ORUFI06G22320.1 pep chromosome:OR_W1943:6:21586851:21591352:-1 gene:ORUFI06G22320 transcript:ORUFI06G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREPQDLRLGERDLLEEMSMNEEVEDGEVKPLGRVESMDETPGEVAKLRCRHGARGAAVVASAWRGSNTELSSEDKAILVETLKNKLQALAEQHVDVLESLAPSVRKRVDVLMEIQSQHDELEVKFFEEKAALEAKYQKLYGPLYSKEKGVPDFWLNAMKNNEILAEEIHESDEEALKYLKDIKWCRIDDPKGFKFEFFFYTNPFFKNQVLTKTYHMIDEDDEPILEKAIGTEIEWHPGYCLTQEVLTKESSESTKPITKTEECESFFNFFSPPQVPDDDAKIDENTAEELQNQMERDYDIASTLRDKIIPHAVSWFTREAVQDEDYGASWVDDEEEDDNDDEYSDEEA >ORUFI06G22330.1 pep chromosome:OR_W1943:6:21596524:21602577:-1 gene:ORUFI06G22330 transcript:ORUFI06G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARRLANRALLRRLLAAATAESPAAPSRGISTLAKGSRPRAPPRPAPHQYTTGRRPVSASALQPSDTFPRRHNSATPAEQAAMASECGFGTVDALIDATVPAAIRAPEMRFSGRFDAGFTESEMIEHMQRLAAMNRAYKSFIGMGYYNTHVPAVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNYQTMVADLTGLPMSNASLLDEATAAAEAMAMCNGILKSKKKTFLIASNCHPQTIDVCQTRAAGFDLNVVVADAKDFDYGSGDVCGVLVQYPGTEGEVLDYAEFVRDAHAHGVKVVMATDLLALTSLRPPGEIGADIAVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIADRVHGLAGTFAQGLKKLGTVTVQELPFFDTVKVKVADANAIAQEACKNEMNLRVVDATTITVAFDETTTLEDVDKLFKVFNGGKPVNFTAESLAPEVSSSIPSSLVRKSPYLTHPIFNMYHTEHELLRYLYKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPNFANMHPFAPTDQAAGYHEMFDDLGDLLCKITGFDSFSLQPNAGASGEYAGLMVIRAYHRARGDYHRDVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGIDEICMIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVITTGGFPLPEKTDPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMTKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKTTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIESGKADVNNNVLKSAPHPPQLLMSDSWTKPYSREYAAFPAAWLRGAKFWPTTCRVDNVYGDRNLICTLQQGSQVAEEAAAATA >ORUFI06G22340.1 pep chromosome:OR_W1943:6:21608905:21624333:1 gene:ORUFI06G22340 transcript:ORUFI06G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVRPDEAASEEVNSIHFSFYNDDEIKRISVKQITKSDRVDAKNCPVPGGLLDPAMGPTNDTDTCKSCGQQSIRCPGHFGHIELAKPLFNPLLFMSLKNLLQVTCFHCHKFRLNKEQVDRYTNELELLVRGDIAHAKNLEDLGGKVLSKEDDETEATSGDKSARSERENKTWTSIQLKEALSIFSKLMKKRQKKCAHCEKKNPIIKNPIYGWLIKDTTSSSVRANAIANAKLSGDGHVNDSRETGVSGLDEELTSPGTLSRRSTNETRRISDDTIKEMVASSGKKHLLTTENTLSVSEKRRGYEMFFLKNLLVAPNRFRPSISSSLGIMEHPQNVLLSKVQESNLALQQSIAASNHMEVLRRWMDLQRNVNVLYDSTKNEKNANGIRQLLEKKEGILRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATRLTYPEKVTPWNARKLQEAINNGADIHPGATHYRDNNNMYKLQAAPPKRRAIAKMLPASRGSISQPGKDPKCEFESKVVYRHLQDGDVVLVNRQPTLHKPSMMAHVVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAINIVDANKQYIGPRSGDAVRGLIQDHIIGAVLLTKLDTFLSREEYNQLVYGSVLSSTRRSGQFGKKISIIMDDDALEPVPPAIWKPKPLWTGKQVITTILNHVTKGRPPFTVEKKGRIEKEYLIPEERNGDKVKTINPSEQVLYVHDNELIKGMIDKAQFGNYGIVHTVHELYGPETAGVLLSSFSRLFTMVLQLHGFTCGVDDLLLSQESDMTREEILGKSEKHSKIVHINFTRPKKDDKAEAKAEDIRPKEGDEAEDTRPKEGDEAEDTRPKEDHEAEDNSTHPKEDHEAEGDDEDQMKLQMEVEKIIRRNGESATVILDRNMSSELNTLTSKVNKKVFPYGLRKPFPGNCLSLMTQTGAKGGLVNMTQISSLLGQQELEGKRVPRMISGKTLPCFPPWDTSSRAGGFIGDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKSLESLKVSYDHTVRDVDGSIIQFCYGEDGVDVLKTSFLDDKFRELSDNRRALLGKLDSHNDKHLLLNPNGYISELPEKLIENAMEFLKSKRNEKGRYDIKEKELMKLLKVKYISSLVDPGEAVGVVAAQSIGEPSTQMTDDEEEEMDDKLKKARDAERLAAKLRTIDDAERIAAKLRRVRVADIVERIELKLYPQGLYPRQSELTVEECHETLRTVFIDAMDLAISKHLDLLHKINEIQAVKSNDMESQRSDGVEESENGPTDEDNGVSDGENEDDLGADAEKWKRQEIDEMEYDDDAEKEEGFDMDSESEEDTKSKPESEDHQAKLDEELEESEEGHVLDSSNKGENLKAKQATARLEDEMNEAEDEKAQVTIKFKKNIKWTIHYESTGLNFEVHYALQEQPHILLAQIAQRTARSVFVKACKNIDRCEVNKPKKIDNNTINTPITLQTAGVNFEVFHKLVDYLDINEVRSNDIHAMLNTYGVEAARATIIGEVKGVFGAYGIHVDMRHLNLIADFMTFDGGYRPMSRLGMGQFSTSPFGKMTFETATKFIVEAASHGESDTLDGPSASVCLGKPVKVGTGSFGLLQNFSLEQPVAM >ORUFI06G22340.2 pep chromosome:OR_W1943:6:21608905:21624333:1 gene:ORUFI06G22340 transcript:ORUFI06G22340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVRPDEAASEEVNSIHFSFYNDDEIKRISVKQITKSDRVDAKNCPVPGGLLDPAMGPTNDTDTCKSCGQQSIRCPGHFGHIELAKPLFNPLLFMSLKNLLQVTCFHCHKFRLNKEQVDRYTNELELLVRGDIAHAKNLEDLGGKVLSKEDDETEATSGDKSARSERENKTWTSIQLKEALSIFSKLMKKRQKKCAHCEKKNPIIKNPIYGWLIKDTTSSSVRANAIANAKLSGDGHVNDSRETGVSGLDEELTSPGTLSRRSTNETRRISDDTIKEMVASSGKKHLLTTENTLSVSEKRRGYEMFFLKNLLVAPNRFRPSISSSLGIMEHPQNVLLSKVQESNLALQQSIAASNHMEVLRRWMDLQRNVNVLYDSTKNEKNANGIRQLLEKKEGILRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATRLTYPEKVTPWNARKLQEAINNGADIHPGATHYRDNNNMYKLQAAPPKRRAIAKMLPASRGSISQPGKDPKCEFESKVVYRHLQDGDVVLVNRQPTLHKPSMMAHVVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAINIVDANKQYIGPRSGDAVRGLIQDHIIGAVLLTKLDTFLSREEYNQLVYGSVLSSTRRSGQFGKKISIIMDDDALEPVPPAIWKPKPLWTGKQVITTILNHVTKGRPPFTVEKKGRIEKEYLIPEERNGDKVKTINPSEQVLYVHDNELIKGMIDKAQFGNYGIVHTVHELYGPETAGVLLSSFSRLFTMVLQLHGFTCGVDDLLLSQESDMTREEILGKSEKHSKIVHINFTRPKKDDKAEAKAEDIRPKEGDEAEDTRPKEEDHEAEDSTHPKEDHEAEGDDEDQMKLQMEVEKIIRRNGESATVILDRNMSSELNTLTSKVNKKVFPYGLRKPFPGNCLSLMTQTGAKGGLVNMTQISSLLGQQELEGKRVPRMISGKTLPCFPPWDTSSRAGGFIGDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKSLESLKVSYDHTVRDVDGSIIQFCYGEDGVDVLKTSFLDDKFRELSDNRRALLGKLDSHNDKHLLLNPNGYISELPEKLIENAMEFLKSKRNEKGRYDIKEKELMKLLKVKYISSLVDPGEAVGVVAAQSIGEPSTQMTDDEEEEMDDKLKKARDAERLAAKLRTIDDAERIAAKLRRVRVADIVERIELKLYPQGLYPRQSELTVEECHETLRTVFIDAMDLAISKHLDLLHKINEIQAVKSNDMESQRSDGVEESENGPTDEDNGVSDGENEDDLGADAEKWKRQEIDEMEYDDDAEKEEGFDMDSESEEDTKSKPESEDHQAKLDEELEESEEGHVLDSSNKGENLKAKQATARLEDEMNEAEDEKAQVTIKFKKNIKWTIHYESTGLNFEVHYALQEQPHILLAQIAQRTARSVFVKACKNIDRCEVNKPKKIDNNTINTPITLQTAGVNFEVFHKLVDYLDINEVRSNDIHAMLNTYGVEAARATIIGEVKGVFGAYGIHVDMRHLNLIADFMTFDGGYRPMSRLGMGQFSTSPFGKMTFETATKFIVEAASHGESDTLDGPSASVCLGKPVKVGTGSFGLLQNFSLEQPVAM >ORUFI06G22340.3 pep chromosome:OR_W1943:6:21608905:21624333:1 gene:ORUFI06G22340 transcript:ORUFI06G22340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADVRPDEAASEEVNSIHFSFYNDDEIKRISVKQITKSDRVDAKNCPVPGGLLDPAMGPTNDTDTCKSCGQQSIRCPGHFGHIELAKPLFNPLLFMSLKNLLQVTCFHCHKFRLNKEQVDRYTNELELLVRGDIAHAKNLEDLGGKVLSKEDDETEATSGDKSARSERENKTWTSIQLKEALSIFSKLMKKRQKKCAHCEKKNPIIKNPIYGWLIKDTTSSSVRANAIANAKLSGDGHVNDSRETGVSGLDEELTSPGTLSRRSTNETRRISDDTIKEMVASSGKKHLLTTENTLSVSEKRRGYEMFFLKNLLVAPNRFRPSISSSLGIMEHPQNVLLSKVQESNLALQQSIAASNHMEVLRRWMDLQRNVNVLYDSTKNEKNANGIRQLLEKKEGILRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATRLTYPEKVTPWNARKLQEAINNGADIHPGATHYRDNNNMYKLQAAPPKRRAIAKMLPASRGSISQPGKDPKCEFESKVVYRHLQDGDVVLVNRQPTLHKPSMMAHVVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAINIVDANKQYIGPRSGDAVRGLIQDHIIGAVLLTKLDTFLSREEYNQLVYGSVLSSTRRSGQFGKKISIIMDDDALEPVPPAIWKPKPLWTGKQVITTILNHVTKGRPPFTVEKKGRIEKEYLIPEERNGDKVKTINPSEQVLYVHDNELIKGMIDKAQFGNYGIVHTVHELYGPETAGVLLSSFSRLFTMVLQLHGFTCGVDDLLLSQESDMTREEILGKSEKHSKIVHINFTRPKKDDKAEAKAEDIRPKEGDEAEDTRPKEGDEAEDTRPKEDHEAEDSTHPKEDHEAEDSTHPKEDHEAEGDDEDQMKLQMEVEKIIRRNGESATVILDRNMSSELNTLTSKVNKKVFPYGLRKPFPGNCLSLMTQTGAKGGLVNMTQISSLLGQQELEGKRVPRMISGKTLPCFPPWDTSSRAGGFIGDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKSLESLKVSYDHTVRDVDGSIIQFCYGEDGVDVLKTSFLDDKFRELSDNRRALLGKLDSHNDKHLLLNPNGYISELPEKLIENAMEFLKSKRNEKGRYDIKEKELMKLLKVKYISSLVDPGEAVGVVAAQSIGEPSTQMTDDEEEEMDDKLKKARDAERLAAKLRTIDDAERIAAKLRRVRVADIVERIELKLYPQGLYPRQSELTVEECHETLRTVFIDAMDLAISKHLDLLHKINEIQAVKSNDMESQRSDGVEESENGPTDEDNGVSDGENEDDLGADAEKWKRQEIDEMEYDDDAEKEEGFDMDSESEEDTKSKPESEDHQAKLDEELEESEEGHVLDSSNKGENLKAKQATARLEDEMNEAEDEKAQVTIKFKKNIKWTIHYESTGLNFEVHYALQEQPHILLAQIAQRTARSVFVKACKNIDRCEVNKPKKIDNNTINTPITLQTAGVNFEVFHKLVDYLDINEVRSNDIHAMLNTYGVEAARATIIGEVKGVFGAYGIHVDMRHLNLIADFMTFDGGYRPMSRLGMGQFSTSPFGKMTFETATKFIVEAASHGESDTLDGPSASVCLGKPVKVGTGSFGLLQNFSLEQPVAM >ORUFI06G22350.1 pep chromosome:OR_W1943:6:21649928:21653288:1 gene:ORUFI06G22350 transcript:ORUFI06G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHTQDTTTLPLHLSLFITVVCLLLHPLCYLLFSFSSYLELAPYYQSSSMGDPYTNFLRGYHHHHRSHHPLHFPPPPPPPPPPYAASFSGLYSSYLHPPPPPSSPPIREALPLLSLTPSTTHDDDHHHRRHDQDHHHHHKQGQEKNSHGGGGAASCSNNDDKRESPSAAAAADDQAAEVTVALHIGLPSPSPSDAAAAAGNQAAAAAAAEASAAGGGSSRMQVEEEGGEEEDEDEAAAAAATATLPLGCASIGIGKLTKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAAGCRNNIDHPRARPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCACGSDFKHKRSLKDHIRAFGRGHAACGIDSFDDLDADDDPSSDLDHASASASRVG >ORUFI06G22360.1 pep chromosome:OR_W1943:6:21674853:21675134:-1 gene:ORUFI06G22360 transcript:ORUFI06G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKIAVAFAVLAIAFAASATAVLASESEAGGAAAAAAEPTAPAPGAGGEAAAAGGAAAGGAAAATSAAAVVAAAPPLAAIVACSFLAYYLH >ORUFI06G22370.1 pep chromosome:OR_W1943:6:21684430:21686106:1 gene:ORUFI06G22370 transcript:ORUFI06G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKETGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAKLAASSIDSIVNGGDSGKEPIIAGHAEVAVAQVEVKTLVAQPAEIAGPSEGVTVNPKGREGPNRCSTCRKRVGLTGFNCRCGNLYCAMHRYSDKHDCQFDYRTAARDAIAKANPVVKAEKLDKI >ORUFI06G22370.2 pep chromosome:OR_W1943:6:21684541:21686106:1 gene:ORUFI06G22370 transcript:ORUFI06G22370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVMQGSPERNRAEEKKKKRASSSSKEAMEHKETGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAKLAASSIDSIVNGGDSGKEPIIAGHAEVAVAQVEVKTLVAQPAEIAGPSEGVTVNPKGREGPNRCSTCRKRVGLTGFNCRCGNLYCAMHRYSDKHDCQFDYRTAARDAIAKANPVVKAEKLDKI >ORUFI06G22380.1 pep chromosome:OR_W1943:6:21687776:21693415:-1 gene:ORUFI06G22380 transcript:ORUFI06G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRAAAAVVMVVAVVAVAVAKECTNIPTQLSSHTVRARLQSSSAAEWRWLEEYFHGDHLNPTDEAAWMDLMPLAAASASEFDWAMLYRSLKGAAVAGDEGGGGGGGGFGFLEEVSLHDVRLDMDGGGDGVYGRAQQTNLEYLLLLEVDRLVWSFRTQAGLPAPGKPYGGWEGPDVELRGHFVGHYLSAAAKMWASTHNGTLAGKMAAVVDALHDCQAAAGTGYLSAFPAEFFDRFEAIRPVWAPYYTIHKARNATQSICISTMAMNLICSCKCLNEIMQGLLDQHTVAGNGKALGMVVAMADYFAGRVRSVIQRYTIERHWTSLNEETGGMNDVLYQLYTITKDQRHLVLAHLFDKPCFLGLLAVQADSLSGFHANTHIPVVIGGQMRYEVTGDPLYKEIATFFMDIVNSSHSYATGGTSVSEFWSNPKHLAEALTTETEESCTTYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKAVSYHGWGTQYNSFWCCYGTGIESFSKLGDSIYFEQKGDKPGLYIIQYIPSTFNWRTAGLTVTQQVKPLSSSDQYLQVSLSISAAKTNGQYATLNVRIPSWTSMNGAKATLNDKDLQLASPGTFLTISKQWDSGDHLLLQFPINLRTEAIKDDRPQVASLNAILFGPFLLAGLTTGDWDAKTGGAATAASDWITPVPASYNSQLVTLTQESGGKTMLLSTVNDTSLAMLERPEGAGGTDAAVRATFRVVPPGSRAELRQRAGAGAGEGAARLKVAAATIEPFGLPGTAVSNGLAVVRAGNSSSTLFNVVPGLDGKPGSVSLELGSKPGCFLVAGAGAKVHVGCRTRGGAAAAAAAGFEQAASFAQAEPLRRYHAISFFASGVRRSFLLEPLFTLRDEFYTIYFNLAA >ORUFI06G22390.1 pep chromosome:OR_W1943:6:21695222:21700073:-1 gene:ORUFI06G22390 transcript:ORUFI06G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRSLAGGAAAAVVVLAAAAAAAFLSLLDGAAALHLCTDRLFNDTQGRHSDGLPHLNQAEEATWMGLLPRRAGPRDELDWLALYRSITRGGGDVGGEPAGFLSPASLHDVRVDPYGANMYWQGQQTNLEYLLYLDPDRLTWTFRQQAKLPTVGEPYGGWEAPDGQLRGHFTGHYLSAAAHMWASTHNDALREKMTKVVDILYSCQKKMNTGYLSAYPESMFDAYDELAEAWSPYYTIHKIMQGLLDQYTLAGNPKGLEIVVWMTDYFSTRVKKLIQEYSIQRHWEAINEETGGFNDVMYQLYAITKNQKHLTMAHLFDKPCFLGPLGLHDDDISGLHVNTHVPVIVGAQKRYEVVGDQLYKEIATFFFDVVNSSHTFATGGTSTMEHWHDPKRLVDEIKISSNEETCATYNLLKVSRNLFRWTKEGKYTDHYERLLINGIMGNQRGKEPGVMIYFLPMGPGRSKSISGMPTSGLPPKNPGGWGNANATFWCCYGTGIESFSKLGDSIYFLEEGEIPGLYIIQYIPSTFDWKAAGLTVKQQAKPLSSTDSHFEVSIFISSKGDARPANVNVRIPSWTSVDGAIATLNGQKLNLTSAGDFLSVTKLWGDDTLSLKFPITLRTEPIKDDRPEYSSIQAVLFGPHLLAGLTHGNQTVKTSNDSNSGLTPGVWEVNATHAAAAVAVWVTPVSQSLNSQLVTLTQRDGDAQAAAAFVLSVSIADGALTMQESPVAGSDACVHATFRAYHSPSGASAIDAATGRLQGRDVALEPFDRPGMAVTDALSVGRPGPATRFNAVAGLDGLPGTVSLELATRPGCFVAAPTTAYLAGAKAQVSCRKPTAAGGGEDDDDTAFRRAASFTQAAPLRLYHPLSFSATGTDRNFLLEPLQSLQDEFYTVYFNVLTK >ORUFI06G22400.1 pep chromosome:OR_W1943:6:21700810:21705172:-1 gene:ORUFI06G22400 transcript:ORUFI06G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARGLLRPEAAARGLALQRCFVAPLRAASGMALPGASARFHEYEAAITACIERRALWEGRQVHARMITARYRPAVFLGTRLVTMYVRCGALDDARNVLDRMPERSVVSWTTMISGYSQTERHVEALDLFIKMLRAGCIPNEYTLATVLTSCSGPQSIYQGKQVHSLLVKTNFESHMFVGSSLLDMYAKSENIQEARRVFDTLPERDVVSCTAIISGYAQKGLDEEALDLFRQLYSEGMQCNHVTFTTLVTALSGLASLDYGKQVHALILRKELPFFVALQNSLIDMYSKCGKLLYSRRVFDNMLERSVVSWNAMLMGYGRHGLGHEVISLFKDLHKEVKPDSVTLLAVLSGCSHGGLVDEGLDIFDTVVKEQSALLHTGHYGCIIDLLGRSGRLEKALNLIENMPFESTPSIWGSLLGACRVHANVHVGELVAQKLLEMEPENAGNYVILSNIYAAAGMWKDVFKVRKLMLEKTVTKEPGQSWIILDKVIHTFHSSERFHPSKKDINAKIKEIFVDIKAAGFVPDLSCVLHDVDDEQKERMLLGHSEKLAITFGLMNTPPGLTIRVMKNLRICVDCHNFAKFVSKTEDWSMIFLIQVIPLELHRDDLLMAILFITRSPIRSQKLHTNHLTFTSKGKNNMI >ORUFI06G22410.1 pep chromosome:OR_W1943:6:21708797:21711511:1 gene:ORUFI06G22410 transcript:ORUFI06G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANVLGGDVVADDFNYFSGVSTIFVANIQEVKDRVSQIELLFCSQLFPHVQAMWKAAKDAWMEREAALLSQLEELSSGKRHAEEKALQLGCSLDEMKGKLADAERSVAGHEVEKKRLLGRLEEEIGNKDEVIRRLEREIAEKAADFSRERDAHQRLLQLVELKDKNLLLEQNKRRDAEEMALQLGNSLEDMKGNFERLIARHEVEKERIPGRLEEEMGKKDEVIGRLESEIAEKAADVSRERDAHQRMLQQVELKDKDLLLEQNKRKDLIEDYTKLKTLYKDLKSQYNFLVGKIGQNEGSKSPVVNVVDRKTSGSPPSKRKLKDLVDTKKENNQAVSKTVDEKNGPASSAKAQGTHHASSVRSPFSNSRLCLPSRTTNPPPKNATSNSKTEAASSFTRPSLHWRETRARKEPGVVDPHDDFLGTPLEAVKNMIRNPKTPEEAQALAASPPKDMDFNNSDDETQDVNIATQGQKNMPVPKQQSTISIQPPNKGFKYTEPVRKKADRENLKGVECKQCKKFYDAVLPDGRTNGDGADSTSMRCEHHDGVSRHRYRYAPPLTPEGFWNIGFESEM >ORUFI06G22420.1 pep chromosome:OR_W1943:6:21715220:21720884:-1 gene:ORUFI06G22420 transcript:ORUFI06G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHSPPPPPDLSSAVGEIHPPLASAARSSSNGGGSGGSFTALLGLPTSQAMELLLPTAAASAPPPPPAFPADPHLVDRAARFSAFASPSPPSPPPPPPPPPSSSSKRKPDPASKGKAAAKKGKTAASGEDGGDGGEDEKPAYVHVRARRGQATDSHSLAERARREKINARMELLKELVPGCSKVSGTALVLDEIINHVQSLQRQVEYLSMRLAAVNPRVDFGGLDNFLTTECGRITGLNYKNGMDLEQVTWPDMGVHGARNLMQLQQQFWHGDLAHPLQPPSQWEKRTDTNPPVFSNSSSSLFGYDLASSGAPAQTGNKLKTEL >ORUFI06G22430.1 pep chromosome:OR_W1943:6:21727203:21728869:-1 gene:ORUFI06G22430 transcript:ORUFI06G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQLVARGLFKPLLLFVAGLIVLYALRRRRHRRSSGLRLPPSPFGLPILGHLHLLAPLPHQALHRLAARHGPLLFLRLGSVPCVAACSPDAAREVLKTHEAAFLDRPKPAAVHRLTYGGQDFSFSAYGPYWRFMKRACVHELLAGRTLDRLRHVRREEVARLVGSLRASADGGERVDVDAALMGLTGDIVSRMVMGRRWTGDDNDAEEMRSVVAETAELTGTFNLQDYIGVFKYWDVQGLGKRIDAVHRKFDAMMERILTAREAKRKLRRQAAADGEDDEKDLLDMLFDMHEDEAAEMRLTRDNIKAFMLDIFAAGTDTTTITLEWALSELINNPPVLRKLQAELDAVVGGARLADESDIPSLPYLQAVAKETLRLHPTGPLVVRRSLERATVAGYDVPAGATVFVNVWAIGRDAAWWPEPTAFPRRRRSGGGGGGTAADVRGQHFHLLPFGSGRRICPGASLAMLVVQAALAAMVQCFEWSPVGGAPVDMEEGPGLTLPRKRPLVCTVSPRIHPLPAAASASLT >ORUFI06G22440.1 pep chromosome:OR_W1943:6:21737185:21741414:1 gene:ORUFI06G22440 transcript:ORUFI06G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRGNCSSSNEEKKTCTNSRKKGDQTHFSGTGIVVRNKDEVTTSILTSANVIRCFDDDSKINPFLDVVLLALSLQIRVLLPNKQRVIGWMGHFDLNYNIAVVVIKYLPGLRAASFDHEVRFGSQSKDMAGGPLIDLDGNFVGMNFFSEERTPFLPRNKIYRSLVRSCTLWVEIDDECTSIIERCRSKMIHNNFVGTSRGVAKKRNQEQTVSITSFSEGTSDEENESETQKLPEYSTSDSEDFWEEELFPELIKPLPDDEFTQLLKKDLKSRNYPMPIRFWGGMRLKNTFEEEFAEDTWCKLSKKVALNTSQSVVSLASFKGEERFFACTGVFIDFNGSTSRVVTSASLVRISADENKIADNLKIKVYLPNKRIAVGELQHCNLSYNIAVVSVKGFCCLRTAELDKQMQIEPHREVVAIGRIFESGKLMATSGILSDEESKLDCRELMISTCKITKAGIGGPLIDFDGNFVGINFYGTKETHYLPRLMIQRLLKDFDG >ORUFI06G22450.1 pep chromosome:OR_W1943:6:21743947:21746421:-1 gene:ORUFI06G22450 transcript:ORUFI06G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTGGHHHDAHHEDFQLKDTNPLLGEQWPKGAAGPARPAVGGGIAGWLGLEKPSSTYDLVEQMFFLYVRVVKAKDLPPNPITGSPMDPYVEVKLGNYKGTTKHYDRRANPEWDQVFAFSKSRVQSNVLEVYLKDKEMLGRDDYVGRVVFDLAEVPTRVPPDSPLAPQWYRLEERRVGGGGDGGGLKVRGELMLAVWIGTQADEAFPEAWHSDAATVRGEGVASVRSKAYVSPKLWYLRVNVIEAQDVQPQARGRAPEVFVKAQVGNQILKTSVVAAPTLNPRWNEDLVFVVAEPFEEQLVLTVEDRVTPRKDDLLGRAALPLALFEKRLDHRPFVQSRWFDLEKFGIGGAIEGETRRELRFASRVHVRACLEGAYHVMDESTMYISDTRPTARQLWKPPVGVLEVGILGAAGLQPMKNRDGRGTTDAYCVAKYGQKWVRTRTMLGTFSPTWNEQYTWEVFDPCTVITIGVFDNNHLGNGNGNGNNAGGGGGGSPPARDARVGKIRIRLSTLETDRVYTHAYPLIVLQPSGVKKMGELRLAVRFTCLSLMNMVHLYTQPLLPRMHYLHPFTVTQLDALRYQAMGIVAARLGRAEPPLRREVVEYMLDVESHMWSMRRSKANFFRAVSLFSGAAAAARWFADVCHWKNVATTALVHVLLLILVWYPELILPTVFLYMFMIGLWNYRRRPRHPPHMDTKMSWAEAVHPDELDEEFDTFPTSRQQDVVYMRYDRLRSVAGRIQTVVGDMATQGERLQSLLGWRDPRATCLFVVFCLVAAVVLYVTPFRVVALVAGLYLLRHPRFRSRLPAVPSNFFRRLPSRADSML >ORUFI06G22460.1 pep chromosome:OR_W1943:6:21749927:21759780:-1 gene:ORUFI06G22460 transcript:ORUFI06G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTAILPALRLRRGGVTMEGQIRRATTGPGGCDVQMQLKCSERLVSLKASVLHTVDDTMWLKLHRRRNPEGYDMPSDLDQALLLYFDGQEQDKPSTQEEPHKPLNFVKETLNIFPSQPMDGEPTPTPKASMSAPPIAGFSRRSPAPAAADGRPLTLGKTSKAAFKKEGGSGSGGAMAASASSELKGPKTPDPKTLRRLAQNREAARKSRLRKKAYIQQLETGRIRLAHLEQEIQFTRAQGAFCGAGILSPDAALFNLEYERWQEAHHQVISRLRAAVEEHRPDGELQPHVDEAMSHYGVLMAHKARLVGADPLHLLSGLWKGAVEQCFLWIGGFRPSELIKVVVRHVEPLTEQQLASVYSAQQAARQEEDALDGGLQALLRSLSDVVSSSDAPSSSQQTPPVMYHPSAAAAMAAASFMGQYGSYSNLQLAMDKLANLAIFLRQADEERMRTLHALRRMLTVRQAARCFVAVDDYFGRLRALALFWTTTRPHPAAG >ORUFI06G22460.2 pep chromosome:OR_W1943:6:21749927:21759780:-1 gene:ORUFI06G22460 transcript:ORUFI06G22460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTAILPALRLRRGGVTMEGQIRRATTGPGGYDMPSDLDQALLLYFDGQEQDKPSTQEEPHKPLNFVKETLNIFPSQPMDGEPTPTPKASMSAPPIAGFSRRSPAPAAADGRPLTLGKTSKAAFKKEGGSGSGGAMAASASSELKGPKTPDPKTLRRLAQNREAARKSRLRKKAYIQQLETGRIRLAHLEQEIQFTRAQGAFCGAGILSPDAALFNLEYERWQEAHHQVISRLRAAVEEHRPDGELQPHVDEAMSHYGVLMAHKARLVGADPLHLLSGLWKGAVEQCFLWIGGFRPSELIKVVVRHVEPLTEQQLASVYSAQQAARQEEDALDGGLQALLRSLSDVVSSSDAPSSSQQTPPVMYHPSAAAAMAAASFMGQYGSYSNLQLAMDKLANLAIFLRQADEERMRTLHALRRMLTVRQAARCFVAVDDYFGRLRALALFWTTTRPHPAAG >ORUFI06G22470.1 pep chromosome:OR_W1943:6:21760114:21761999:1 gene:ORUFI06G22470 transcript:ORUFI06G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAEFREDTGDHVKQERGTNRTNQRSRNRRRTRANSKQASGVLLTCAQRGAGVRHAQLRAMPPSSPCQSLSVLMLQYNPTLGDIPSGFLLGWPASSSPARGRPTRSSPHADGRRAPPRARTASEILHTRGWPATWRGCLVLTTPSQMMPRRPPASSACSSRAAPPRAARPADEFIPRAPSSPPC >ORUFI06G22480.1 pep chromosome:OR_W1943:6:21762718:21770570:1 gene:ORUFI06G22480 transcript:ORUFI06G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHETRTRLAVAVPFTVSTFPSQISTLLSLSLSLSLSSHGRRAPRRRGGGGGRRRGHRLLGRRHVGGGLLPIPKLVFPEGTLTQTLAQTKERLIAAAGGDGDASAAPRVGAAALAEALQIPRELAALVLGTLAAVLPAEEEAEDADLRDVLLFLYIQSYKRLVPRAHKDSPAVTDVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSQADEEAHQLSYLQKHMVNILSLLADSVDGEGDESMVLTAETFEHLGFLLQFSEGTPLSQVATFFANSDPDMPAAPVPAAQVHDWILQNIAASLENTAEKLTAKENSQQSASDPDVTMAEAVTNSRIHSSSPTGTAVPNNQGHYRNTTFLEGFSKTSVVKQASDIKGHSIKVLNCHDSVIYILAPVKYATVYGCSDTTIVLGAVGKVVKVEHCERVQIIAASKRICIANCRECIFYLGVNHQPLIVGDNHKLQVAPFNTYYPQLGEHLVQVGVDSNINKWDQPFVLGVVDPHDSLSHPAGVSDVQAESATCLDPDLFTNFLIPSWFEAQGPTKYNPFTLPEVYWASQRKKHVSLEDIQKNIRELELDDTRKKELASALHAQFKDWLYASGNIRQLYCLQGE >ORUFI06G22490.1 pep chromosome:OR_W1943:6:21780387:21781162:1 gene:ORUFI06G22490 transcript:ORUFI06G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLILPLSQPSTTSGRFVLLPGGLLFTAVCLLLNEAASKYCSKLKVVVVWCDEEARKLMIFCTIGTGHSFCIQIH >ORUFI06G22500.1 pep chromosome:OR_W1943:6:21813330:21814360:1 gene:ORUFI06G22500 transcript:ORUFI06G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMDPVACGAPDRSIRLHNGEGKEVVVEEVDLAAATTMTTTTIVMMTLAAMTTTIAGSQQGFSGSASTLPLLDLAKGYEDGDGPTSASLRLDGGCRPIKMWVTVVVDNDVQ >ORUFI06G22510.1 pep chromosome:OR_W1943:6:21815589:21816275:1 gene:ORUFI06G22510 transcript:ORUFI06G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLYPVMNSNPHFCCRHLYCHQLRLLLPCGFPPPLVPSLHREQSRPDPEGESSPTVAFLAATWRLETCAGWLQEPSSPTPRTSLPTRLALTCAATLVFPMCCSPTTAAGKVSDIVDYLPVELELLSDIALLHLNSTRFCHPPLPPRARPQQQPPRPPLPHHCARLPLQQLQGRHPAPASPKCHTRSSDDEGEGARMHRRRTERRRRGGADGEECMREKGDGVGEGSG >ORUFI06G22520.1 pep chromosome:OR_W1943:6:21853344:21855491:1 gene:ORUFI06G22520 transcript:ORUFI06G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAQEDPKRRAIYSMNTLVAVVRIYLVLGQEKPCEVFLEFGGYRQSDILLRKSKTWRYKLQRFHLTILVWLRDRD >ORUFI06G22530.1 pep chromosome:OR_W1943:6:21856669:21856830:-1 gene:ORUFI06G22530 transcript:ORUFI06G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPELKLLPDPEFPRAWLWRCTPLWRGRRIRTPLSLAGAPNAVEGLSSSGAVG >ORUFI06G22540.1 pep chromosome:OR_W1943:6:21862171:21862680:-1 gene:ORUFI06G22540 transcript:ORUFI06G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRHDEVRGEARAREREKSRGGAEVDVDGHTCCRSTWAAGVHPGGAKVAGCSGDDGDAEAEDEDEDEGSSCFCPPRGDDSPAHTRPTRVASQRNEGRRARGRPRRGLADLANAVPSSSSSAAGDDDDDPFLPAGFVSARPSSERERGGGGEGEGGLQFCVIWGRRVR >ORUFI06G22550.1 pep chromosome:OR_W1943:6:21868528:21875870:1 gene:ORUFI06G22550 transcript:ORUFI06G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLEGMKARSTLWRAARPHDHAVVDANGPRERYGGGLSWHGVIPVVGPTRQRRADKSIARAYPLIELQPKELPDAKIELLLPFTTSEEKNALCKWLHAVIVSTGFSSNIRKLVLMKDLTISRYNFHDCHVMLTGYLKDVKTIELPIPRHQERLSRRGANKAEAWARAQRKARVRHKPV >ORUFI06G22560.1 pep chromosome:OR_W1943:6:21889448:21889687:1 gene:ORUFI06G22560 transcript:ORUFI06G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFHLQTLIRKRGHRPGTCVQVSVIQSDRDVNNKVGTDQITAAHRCATLLAKDAVAVLLRIRGDVLKLLAVQAQRRPY >ORUFI06G22570.1 pep chromosome:OR_W1943:6:21896429:21897000:1 gene:ORUFI06G22570 transcript:ORUFI06G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELLPDAVTDPAPTWSSRCWHSTPPVPFCEALRWVVRRRGGQTGGWSEVACAVVDRQWYAPPCSTACSATRASAPGHRHHQRNPVNVPLDTGRWAAKASSSRAPCAGMLPCVIAVGGEPR >ORUFI06G22580.1 pep chromosome:OR_W1943:6:21899980:21900552:1 gene:ORUFI06G22580 transcript:ORUFI06G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRQDKGVVAAQQQQGGATGPKWQNSGNGTAKATMDRSGGGDRAAVARSSGGPRAATGPSGVEGGGSAATGPWEHGSVTTRPRKAIPCLRGHLTVQPKKGDFRGALRVDTCENRFLHIDENPSTKIDDFHGP >ORUFI06G22590.1 pep chromosome:OR_W1943:6:21913957:21927660:1 gene:ORUFI06G22590 transcript:ORUFI06G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPVRENVLHNFVGNLKRNQLLPSPPSAQGTGGTRLAEQEARAGDRLDPCRTDDDEEKEAPKSGDGRRSRRRWAQERQQPRAAGSGAAVATTATTTGAAAARRLERRQHDVGDDEDDSDHERWQPGVVMA >ORUFI06G22600.1 pep chromosome:OR_W1943:6:21963562:21967313:-1 gene:ORUFI06G22600 transcript:ORUFI06G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAAALSSSPFVSSRRLSSPAASLRARTPRCVMGSEQVRVVVEEEGKTKKRMGVAEPRSAPPAVWTPRAPAQEARLAALRTDGRDSRLKIFSGTANRPLAQEIASYLGVDLGKVLIKRFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFVMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLSANLLTEAGSDRVIVCDIHSTQALGYFDIPVDHIHGQPVILDYLASKTISKDLVVVSPDVGGVVRARAFAKKLSDAPLAIVDKRRQGHNMSEVMHLIGDVKGKVAIMVDDMIDTAGTITSAAALLKQEGAEAVYACSTHAVFSPPAIERLSGGIFEEVIVTNSILLPEHKCFPQLTVLSMANLVAETIWHVHRDGSSSISTSETHSPLNQGGI >ORUFI06G22600.2 pep chromosome:OR_W1943:6:21963562:21967313:-1 gene:ORUFI06G22600 transcript:ORUFI06G22600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAAALSSSPFVSSRRLSSPAASLRARTPRCVMGSEQVRVVVEEEGKTKKRMGVAEPRSAPPAVWTPRAPAQEARLAALRTDGRDSRLKIFSGTANRPLAQEIASYLGVDLGKVLIKRFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFVMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLSANLLTEAGSDRVIVCDIHSTQALGYFDIPVDHIHGQPVILDYLASKTISKDLVVVSPDVGGVVRARAFAKKLSDAPLAIVDKRRQGHNMSEVMHLIGDVKGKVAIMVDDMIDTAGTITSAAALLKQEGAEAVYACSTHAVFRFGSWFIVTSMIHVIVLIHWLVAKEPRYGMIRQIGFIPPAIERLSGGIFEEVIVTNSILLPEHKCFPQLTVLSMANLVAETIWHVHRDGSSSISTSETHSPLNQGGI >ORUFI06G22600.3 pep chromosome:OR_W1943:6:21964102:21967313:-1 gene:ORUFI06G22600 transcript:ORUFI06G22600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAAALSSSPFVSSRRLSSPAASLRARTPRCVMGSEQVRVVVEEEGKTKKRMGVAEPRSAPPAVWTPRAPAQEARLAALRTDGRDSRLKIFSGTANRPLAQEIASYLGVDLGKVLIKRFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFVMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLSANLLTEAGSDRVIVCDIHSTQALGYFDIPVDHIHGQPVILDYLASKTISKDLVVVSPDVGGVVRARAFAKKLSDAPLAIVDKRRQGHNMSEVMHLIGDVKGKVAIMVDDMIDTAGTITSAAALLKQEGAEAVYACSTHAVFSPPAIERLSGGIFEEVIVTNSILLPEHKCFPQLTVLSMANLVAETIWHVHRDGSVSSIFQ >ORUFI06G22610.1 pep chromosome:OR_W1943:6:21979875:21984850:1 gene:ORUFI06G22610 transcript:ORUFI06G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEAEGRGGEPVTPGTPAPDLSQATDDRRLLRSRYLAVKSRISDDKDDMARADSVKFRAVFTQVEDLHRLVQRPREQIADAEALLGIATSLVASVRTHSALGITPSDFVSGMLKKFGEKRRDDDEAASLRWLDVGLYTSRIFMAVPGCSTMVGPMNTEVLPRRVRVCRKRTAKPRGSECPEQLPDSSNAAKTDTDRNMSVIFDVLRKKKNARLENLVLNRKSFAQTVENIFALSFLVKDGRVEISVNDEDHHLVYPRNAPAASAITSGKVVYNHFVFRFDFKDWKLMKDMVVDGEELMQHRPPAPQVGTHGSSTTTTTTTTGGANEGPETETPAAPAHSTAIRKLCRNRGLVMHDMKEEAATMEEKRSAMDAQVSQLASKRRRLFQDDDDDDGDLE >ORUFI06G22620.1 pep chromosome:OR_W1943:6:21983466:21986410:-1 gene:ORUFI06G22620 transcript:ORUFI06G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGSSTNLEAVPTAPRTVPTRFNLTHHHSPSGSLVASRLVSCSARLHPPREREREGGSKPYLGFCPPTALAVDAMEAGGGKRAAPEGTNGAAKRARASESSQVGVGSKLKPCTKFFSTSGCPFGSSCHFLHNFPGGYQAAAKMTSHGGTAVAAPPGRMPLGPGAPNGPPTSSVKTRMCNKYNTAEGCKWGSKCHFAHGERELGKPMLLDNSMPHPMGSMPFEAPPMPGPDIVPPSTFGASATAKISVDASLAGGIIGKGGTNTKHISRMTGAKLAIRDNESNPNLKNIELEGTFDQIKHASAMVTELIVRISGNAPPAKNPGRGSHAGGPGSNFKTKLCENFNKGSCTFGDRCHFAHGESELRKPPAAA >ORUFI06G22620.2 pep chromosome:OR_W1943:6:21983466:21986410:-1 gene:ORUFI06G22620 transcript:ORUFI06G22620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGSSTNLEAVPTAPRTVPTRFNLTHHHSPSGSLVASRLVSCSARLHPPREREREGGSKPYLGFCPPTALAVDAMEAGGGKRAAPEGTNGAAKRARVLLAVLLVQVVIFSITSLAAAKMTSHGGTAVAAPPGRMPLGPGAPNGPPTSSVKTRMCNKYNTAEGCKWGSKCHFAHGERELGKPMLLDNSMPHPMGSMPFEAPPMPGPDIVPPSTFGASATAKISVDASLAGGIIGKGGTNTKHISRMTGAKLAIRDNESNPNLKNIELEGTFDQIKHASAMVTELIVRISGNAPPAKNPGRGSHAGGPGSNFKTKLCENFNKGSCTFGDRCHFAHGESELRKPPAAA >ORUFI06G22620.3 pep chromosome:OR_W1943:6:21983466:21986410:-1 gene:ORUFI06G22620 transcript:ORUFI06G22620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGSSTNLEAVPTAPRTVPTRFNLTHHHSPSGSLVASRLVSCSARLHPPREREREGGSKPYLGFCPPTALAVDAMEAGGGKRAAPEGTNGAAKRAREIVVEGTSGCPFGSSCHFLHNFPGGYQAAAKMTSHGGTAVAAPPGRMPLGPGAPNGPPTSSVKTRMCNKYNTAEGCKWGSKCHFAHGERELGKPMLLDNSMPHPMGSMPFEAPPMPGPDIVPPSTFGASATAKISVDASLAGGIIGKGGTNTKHISRMTGAKLAIRDNESNPNLKNIELEGTFDQIKHASAMVTELIVRISGNAPPAKNPGRGSHAGGPGSNFKTKLCENFNKGSCTFGDRCHFAHGESELRKPPAAA >ORUFI06G22630.1 pep chromosome:OR_W1943:6:21988132:21991970:-1 gene:ORUFI06G22630 transcript:ORUFI06G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVDGEPVSASASPTFGSSRGGSGGRELVAALMGNPGLRAASERLRAEPERRISSGPEEDDADAAAAAAPRHVYVFQREFATVDPARVELVGTDEVTTCVGVVIRNNKTGMTSISHMDFPKIVEGGLKQMLELLGDDNAPFDVHLIGGFDDVSTKVVHSAGRKHIKQEGYSYPLCCRILEVLYKSRQQFHLRTFCVLGSNTTTDSYGNTRPIIGGFVVETSSGAVNPASFEMNSRCPDEIVRRIRVSVSSYDPNWQGRLLETYDTHSDAFEIAPACWMPDWAEMASSLNQLSDSEVLLQCSTSPAAEPPHFVENERRIWRYLIENPYWQDTFPKYKPRVFHRTSDGRCLCAVGQCRFHCTLLLGRNCASSASTQRFAISTV >ORUFI06G22630.2 pep chromosome:OR_W1943:6:21988132:21991970:-1 gene:ORUFI06G22630 transcript:ORUFI06G22630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVDGEPVSASASPTFGSSRGVRLLGCWRDFFPPRLSPSRFLQGSGGRELVAALMGNPGLRAASERLRAEPERRISSGPEEDDADAAAAAAPRHVYVFQREFATVDPARVELVGTDEVTTCVGVVIRNNKTGMTSISHMDFPKIVEGGLKQMLELLGDDNAPFDVHLIGGFDDVSTKVVHSAGRKHIKQEGYSYPLCCRILEVLYKSRQQFHLRTFCVLGSNTTTDSYGNTRPIIGGFVVETSSGAVNPASFEMNSRCPDEIVRRIRVSVSSYDPNWQGRLLETYDTHSDAFEIAPACWMPDWAEMASSLNQLSDSEVLLQCSTSPAAEPPHFVENERRIWRYLIENPYWQDTFPKYKPRVFHRTSDGRCLCAVGQCRFHCTLLLGRNCASSASTQRFAISTV >ORUFI06G22630.3 pep chromosome:OR_W1943:6:21988132:21991970:-1 gene:ORUFI06G22630 transcript:ORUFI06G22630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVDGEPVSASASPTFGSSRGVRLLGCWRDFFPPRLSPSRFLQGSGGRELVAALMGNPGLRAASERLRAEPERRISSGPEEDDADAAAAAAPRHVYVFQREFATVDPARVELVGTDEVTTCVGVVIRNNKTGMTSISHMDFPKIVEGGLKQMLYILLEGSTSNRKGIPIHYVAGYLRCCISPGSNSIFVETSSGAVNPASFEMNSRCPDEIVRRIRVSVSSYDPNWQGRLLETYDTHSDAFEIAPACWMPDWAEMASSLNQLSDSEVLLQCSTSPAAEPPHFVENERRIWRYLIENPYWQDTFPKYKPRVFHRTSDGRCLCAVGQCRFHCTLLLGRNCASSASTQRFAISTV >ORUFI06G22640.1 pep chromosome:OR_W1943:6:21994182:22004053:1 gene:ORUFI06G22640 transcript:ORUFI06G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFI >ORUFI06G22640.2 pep chromosome:OR_W1943:6:21994343:22004053:1 gene:ORUFI06G22640 transcript:ORUFI06G22640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGSRRWACVRVMTGTILGGALGFYVMHRLETSHKAKMEERLRKYEAHMSMVAKGKEEAQQRLQDEAALQHKDQARLLPDS >ORUFI06G22650.1 pep chromosome:OR_W1943:6:22005533:22013882:1 gene:ORUFI06G22650 transcript:ORUFI06G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLPIPQGLSFLRSVGWFEERKADAAAARQRHSPRLKLQTDREVYRPGDSVTATIEICTPAGLKDDAASAETGEDAPSLLVDGLSFEIKGIEKLDSQWFSVSKPLPGSKQRRGEHLFLDCSAPSLIPKVIIASGQTKTCPKCSILAQYQTHILEARVPLQICVSQKSSNLLNEEADHVVPVPVNFPLPIEQPDIFWREKDEDSEWSKANDNTDLEEGYDSSKDEVSSVSSYNKANPESSLRSSVSMHSLSSRLSTSEPLYSRERPSFPSYSPIPRLSVSEISDDHGGGVVSPQRKLNHLLSDHHPSNGQMFSLDPDRSNDDAGPPLTPKYVEPAGSEGFMRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDMIGGALTFFHGSGTRRCLEVSVTLETSETVNPRVIHPSRRSSPSITKVHSEHYEVVADLHQTSFLFSVPIDGPMSFSTSKVSVQWSLRFEFFTTPEGIDSSRYEHPLLVEKREKGDWVLPITVYAPPLRRQAIHGRNDRSVLVGNLFNS >ORUFI06G22660.1 pep chromosome:OR_W1943:6:22017809:22018375:-1 gene:ORUFI06G22660 transcript:ORUFI06G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECSYNSMSPSTPLPPPPSAAAAKKGSPEAAAAHKERPKIRIIHIIAPEIIKTDVANFRDLVQRLTGKQQQQQQESAETTLPPPSPVAVLDEKKEKVTTKKRPAPAEDESMMRKKKKKKIKCEVKVEEGHGFGYDHPDHTDLWMDLNPGGFLSFLEEEDVFQGMAADLFQSPLGSSRMDFVGEMYAS >ORUFI06G22670.1 pep chromosome:OR_W1943:6:22030619:22036371:1 gene:ORUFI06G22670 transcript:ORUFI06G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELTVTGWFLSPIIREMQDTALSYIRGQFSWEKDQEKDLERLDTILTEILAIVDAIEKREIKDGNQRKLLRKLKDAIYSAVDVLDSFQYMALKSKVDSQAMVSRVTSSCVYLGKRVVGTDKFRRKLTDMLKKLDEVKTTADTLFKLVSFDSATAKLLPVTQARVTSPLKEENHIYGRKDDLDRLRDLLLMQSDSSAPGPSNSCVPVISIIGVGGIGKTSLAQLAFRDERIRASFGLRIWVCVSDIYDEITLARDILESVTGENYRSVTKLDELKNVLQEKISQKNFFLVLDDVWYDENRTNWENELVWDGVLSTLDTGLGGSKILVTTRTNKASELLRAGACLQLGGLNRDDYWMLFKSCAFGEKHPGLFQELKEIGMQIAERLNGLPLAAKVIGRLLNVDLDSSHWKKVLESDISGDVMKVLRLSYQHLPIHLQLCFSFCSLFPKNWRFDPRRLTDMWISQGFVQKEDESDNDMNVEDVAKGYFNDLVQRSFFERSLLDLPIEYVMHDLINDLARNVSKDEYTRIESEKQKEIPPNIRHLSISAHLWAGMKKTEMKNLRTLLVWSKSWPCWKLSLPNDVFKKSKYIRVLDLTGCCLERLPTSVKNLKHLRYLAFRVPEKPLPTALVQLYHLEVLVTRGHSCRGSECFQLPTNMKKNLLKLRKAYLFNVGGATISGFGGQTLLHGPGEFHVKKESGHRLGELKEMNNIRGRLSVRFLENVEHQQQAVDAHLDCKEHVKHLQLEWSDLPRPITSELDSDVLEALRPHPDLDRLNITGYKGLRSPTWFETNWMKALTSVILENCMGWVQLPPLGQLPLLEDLVLRNMHAVGQIGEEFYGNGEMKGFPKLEEIVFDGMPNWEKWSGIEDGSLLPCLTRLYIAKCPKLQEAPPLNARPKVEVAITSDSLPSSCLFDSLMASASYLILLVNCCSFLSSLNTDQLSHVEELNVKSCTDPMPACGFIGLSSLKVLRISNCSALLSSVCVEAGEELDTCFFPQSLSELEIVDCNIQSSLLPRYLQGLTNLSVLVINSCDSMDLLSLAYGPHHLTSLEAIIIKDCIFLSSLDGFENLIALRKLVVADCKNFCFLPADLNALISLKTLAIYGCPKMKFLPQNGVPASLQLILLSLLHPELDRQLQRREGTEWDKIAHVPEKKLEFFLTSVVIFGRVVCLPEPLYYIYFNRITTSHIIK >ORUFI06G22680.1 pep chromosome:OR_W1943:6:22038878:22039688:1 gene:ORUFI06G22680 transcript:ORUFI06G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPCGSRMGEAKRLCTGSAALKQLHHSTTRCIVGRPDCWARLDAIAVIALTTGYATQPRDNRANIAVITAIITVIALPRGDDNPDPNDKVKCRHIVVVTVQHELCTLPVHTS >ORUFI06G22690.1 pep chromosome:OR_W1943:6:22040686:22041270:-1 gene:ORUFI06G22690 transcript:ORUFI06G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLATVIVGGFLAHNWLSKYTQSRQLVIMTSVRAFSSKKLHQTTNGFLKLLGKGSFGGVYQGTVRSSEPRLIREAALGIAKGIEYLHEGYYSLITHCDIKPDNIHLDDMNNSRITAWGSLSSSTTTPCTPR >ORUFI06G22700.1 pep chromosome:OR_W1943:6:22042169:22044650:-1 gene:ORUFI06G22700 transcript:ORUFI06G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFAGMSLSSFARLLLLLIVLHHQPRLLEADNLNLTAGSTLRPPQYITSSSDDFAFGFRAIDAGLFLLAVWFNNDDDEHKAVVVWYATDPSSASAVTATAQSVFSVTLGQLLLADTTTGGGVGGNVWTSSNPGQPNGFLLVLLDSGNLQFLAAGDNSVVWESFRHPTDTLLPGQSMGAGAILRSKRLDADFSAGCFGLFVQADGNIVLYLINLAAGGNADSSKAYWATRTQQPGNTPDGNTTLFFASTGSIQYQIKNGSLYDLTPPVAISTAGGSYRRATLDLDGIVRVYIRPRSSANASWTVADLFPAVGCGMSTRALDGFCGPNSYCVSGDDGRLDCACPTGYSSVDTKLRYMGCRPLFAPQSCDVVSSTAEFGITKLPNTTWTASPYVMYERTAEERCADMCLSDCFCVAALFEPDATRCTKMASLMGSGQQGRNVMTKALIKVRTSSPRRRAPPLPYILLACSALLLSAAATILLVHWRIRRINITNHDTVREGGGFGEVYHGVAKSLQPPDIAVKKLVTSNVYSEREFLNEVQSIGRIHHRNLVRMVGYCKEREQRMLVFEFMPGGSLRSILFQTPRPPWSWRTEAALGIAKGIEYLHEGCTSPIIHCDIKPDNILLDDKNNPKITDFGIAKLLGDQQIHTTVTNVRGTRGYITPEWFHSERCIDTKVDVYSFGVVLLDMICCRRCQDPVSGHGEDGEDDSATLFGWAGQLVKHGRVEVLPHSDDDAMEDLERVERFARVAFMCIERNPSLRPTMHQVVQMLEGSVEVHALPQFPSSTDTSLPISMESHAPRFFPNLQLNSI >ORUFI06G22710.1 pep chromosome:OR_W1943:6:22045652:22050822:1 gene:ORUFI06G22710 transcript:ORUFI06G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTTIHRDSSSSALVIFSHQVLLRLLRASPPRLQVATFAALGWWSRYLYMATDDVVPAGHAVVCNAIIAAGSDGVARSR >ORUFI06G22720.1 pep chromosome:OR_W1943:6:22053859:22056342:1 gene:ORUFI06G22720 transcript:ORUFI06G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFAGISVSSLAPLLLLLVLHQPHQLEAENRNLTAGNSLRPPEYITSPSGDFAFGFRALDSGGPDSLLFLLAVWFNDNTAAADPVQQKAAVVWHATDPDGSGSAVTATTQSVFSVNFGQLSLANNGSRNIWTNVNPAQPNGFVLVLLDSGNLQFLTGGDNSVVWESFRHPTDTLLPGQSMGAGENLRSKRTDADFSAGRFGLFVQADGNIVLYIGGHADSSRAYWATRTQQPSNTQDGNTTLFFASTGSIYYQIKNGSLYDLTPPMASSTAGGSYRRATLDPDGVVRVYIRPRSSANASWTVADLFPAVGCGMSTRALDGFCGPNSYCVVSGADSRLDCACPSNYSFIDKNIRYEGCRPAFAPQSCDVVNSSAEFEITKLPNTTWTTSPYVIYERMAEEQCADICLRDCFCVAALFEPGATRCTKMALLAGSGRQERSVTQKALIKVRTSRSPPAPPSRGRVPLLPYIILGCLAFLIILAAATSLLLHWHMRRINNNDHDIVRHFTKKELHRATNGFQRLLGRGGFGEVYHGVAKSLHPPDIAVKKLVTSNEYSEREFANEVQSIGRIHHRNLVRMLGYCKEREQRMLVFEFMPGGSLRSFLFQTPRPPWSWRAEAALGIAKGIEYLHEGCTLPIIHCDIKPDNILLDDRNNPKITDFGIARLLGDQQMYTTVTNVRGTRGYIAPEWFHSERRIDTKVDVYSFSVVLLEMICCRRCQDPVTSRGEGGDDHDNSVVTLFGWASQLVNHGRVEVILHSDDDAVEDLERVERFVRVAFLCIETNPSLRPMMHQVVQMLEGVVEVHAMPHCGDYGYPIPTQHGYPTSNRG >ORUFI06G22730.1 pep chromosome:OR_W1943:6:22061111:22062928:-1 gene:ORUFI06G22730 transcript:ORUFI06G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVPDDALADILRRLPPRSLAAARCVCKPWRDLVDGRALLLPRLLPHSVHGVLINYIDHDRPHLFSRRRSRSRSRSSSSPAASSGGGDIDGNLSSVPPKGDMDWWHVMDHCDGLLLCAVEWGNRLCVCNPATRRRATLPPPPQRACRDDVTAIPVGEYLVFEPAASSPSPHYEVFLIPGLPEKPPPPPPKQKAKAITAPPFCLDSLLASLDGACWTMEEVEPPPPPSPPASSMGDADLYRLMEWPPSPYKVYVFSSRSGRWEERAFVREGGETAAATTTVDDMEPWECPLEGPRQGYTGKFIASRSRLGPSFSLSNDKYQEAIFLDETFRTVAYHLDSSKVQYLGYSRPKCYYRNYTNGIYESFVYTPCMIGELHGDHSGQNSSFK >ORUFI06G22740.1 pep chromosome:OR_W1943:6:22073444:22073683:1 gene:ORUFI06G22740 transcript:ORUFI06G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVTGAAAARPHGGGEVGSDCAAGDRGSDGRVARLRQKTAATVRHTSEDGDGQATRRKRKTVTAVQWTTGTVAASPLS >ORUFI06G22750.1 pep chromosome:OR_W1943:6:22074650:22077223:-1 gene:ORUFI06G22750 transcript:ORUFI06G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFSFVPLLLIALLLHQSSCLLQVEAENLTAGSTLRPPHYITSPSGDFAFGFRALGSGRPDGWFLLAVWFNDAVQEKAVVWYARDPGSGSAVTATAQSVFSVTLAGQLSLADTAGSNVWTNANPGQQYGSVLVLLDSGNLQFLAAGGRAVVWESFRDPADTLLPGQSMATGAGATLVSKRSDADFSAGRFSLYVQADGNVVLYLNLAAGNVDPYNAYWATGTNQPGNTQDGNTTLFFASPGRVYYQVKDGTVHDLTTPMAKANYYQRATLDPDGVVRVYVRRRSPTSSTSTTTANASWAVAGMFPGDGCSMGTRGLDGFCGPNSYCVVSDDGRLDCACPSGYSFVDAQLRYRGCSPAFAPPRCDFVGDDVANRSGEFVIAKLPNTTWTASPYKVYSYTAEEQCGGLCLNDCFCVAALFDGTRCTKMASLTGAGRQGSNVTGKALIKVRTRSTPPAAAVARRRAPPLPYILLLGFSAFLLLASTTSLVLLHRRIRRRSSSDHDMVMRLFTRKELYDATNGFQRLLGRGGFGEVYHGVANSLHLLHSPDTDIAVKKLIVSNEYTEREFANEVQSIGRIHHRSLVRMIGYCKEREQRMLVFEFMPGGSLRSFLFHQQPRRRPPPPPWTWRAEAALAIAKGIEYLHEGCASPIIHCDIKPDNILLDDKNNPKIADFGISRLLGDEQLHTTVTNVRGTRGYIAPEWLHGDRRIDTKVDVYSFGVVLLEMICCRRCQDPITSQLHQDDNGDCDDDTVTLFGWAAGLVSHGRVEVLLRSDDDAAEDLERVERFARVAFWCIVHNPSLRPTIHQVVQMLEGVVEVHAPPHLPSYTDSSSSSFIHTDSPALRPRGSSCPVELDLI >ORUFI06G22760.1 pep chromosome:OR_W1943:6:22080325:22080807:-1 gene:ORUFI06G22760 transcript:ORUFI06G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSRSSTVTSSPTTYSWITWRIRRSLTLGIAKLLNNQQVHYTITKIMGTKGYAAPEWFVEIICCGRLPPDNHRIGTMVPLLNWVESLIEDGRMSEVSTRGGRRERAGAPMVLGSSMADSAERYARVAYMVHTGGPIYEANNTRGGAHAHGCCPSASLI >ORUFI06G22770.1 pep chromosome:OR_W1943:6:22083097:22083531:1 gene:ORUFI06G22770 transcript:ORUFI06G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMANATVVFFFLAAAAVISCHAARAGNSTATAAAAGDCKLSDITVTAARTGKVVEGQPEYEVAVSNGCACPQNGVRVSCPGGGGGGVPSVEPVDESKIRADEAGLCLVNDGMPVAKGSPVTFVYAWKQPLEFAAAQATTRCS >ORUFI06G22780.1 pep chromosome:OR_W1943:6:22084354:22085993:-1 gene:ORUFI06G22780 transcript:ORUFI06G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGEVSMAAMNNDLGGPGGRPATSQANPFESALYGAGPGLIRSGLGAYGEKFLGSSSEFMQSNITQYLSNPQYYFQVNSQYVRNKLKVILFPFLHRGHWTRITEPVGGRLSYKPPIQDINAPDLYIPLMAFGTYVVIAGYALGVLGRFTPEALTLQFTKGLLGWFLQVILIRVLLYTLGSGEAPLLDIVAYAGYGFAGTSLAMLVRVFWSPSYYFVLPWFSICTGVFLVKTMKRVLLGAPRSYERHPSRNHYFLLFLAVVQFPMLFWLGNISG >ORUFI06G22790.1 pep chromosome:OR_W1943:6:22087594:22087872:1 gene:ORUFI06G22790 transcript:ORUFI06G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRKREWIRRKVAAAAAGSGSPRWSRRVRAVSTAVLHRRRRLGLGLGLGLGLGLGVRVYENVVFYVLWVVESVVVLANLCFFFLRFGFRL >ORUFI06G22800.1 pep chromosome:OR_W1943:6:22094002:22105539:-1 gene:ORUFI06G22800 transcript:ORUFI06G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRPIIFPKNLDNLSCIFHIDVHRDLFVDLASVGNMPYLWAAGKFCVGNTKMQGLEVLKDMNELQGFLTITSLENVKNKDEATNAQLVNKSQISRLKLQWGSCNADSKSDEQNVLNSLIPHPGLEELTVDGYPGCSSPSWLESEWLSRLRHISIHNCTCWKFLPPLGQIPSLKKLHIDRMDALECIDTSFYGIAGFPSLETLELTQLPELVYWSSVDYAFPVLRDVFISCPKLKELPLVFPPPVEMKVLSSNIVCTQHTDHRLDTCIIQKVSLTSLVGIFHLWHLDSEEIADTSFDRTNMLNNGLRDSSPNLPSLEGPFIGWCSDFHHAFVRLNEMEIVDCPNVTSLVDFGCFPALQNLIIRDCPKLKELPDNGNLTTLTKVLIESCYGLVSLRSLRNLSFLSKLEIKHCLKLVALPEMVNFFSLRVMIIQDCPELVCLPEDGLPMTLNFLYLSGCHPLLEEQFEWQHGVEWEKYAVLPSCFYAGKSMEDTEDIADEILLENDMIEWSTQTSLLHPTDSAASSSSSGSAGIGIIIRDNFGSVLLSSWKYIRHGASAEELKLLACRGGVGWVLSPVIKLMVEKVQSYISTQYKWQSNLEDDLKKLETILTEILLVVGTAERRRTLDCNQQTLLHQLKDAVYDAEDILDEFDYMLLKENAEKRNLRSLGSSSISIAKRLVGHDKFRSKLRKMLKSLIRVKECAEMLVRVIGPENSSSHMLPEPLQWRITSSFSIDEFVVGRQKERDELVNRLLEQADIPKSRTEGAISVSPEVITIVGTGGIGKTTLTQLIYNDKRIENNYDMRAWICVSHVFDKVRITKEILTSIDKTIDLTNFNFSMLQEELKNKVKMKKFLLVLDDVWYDEKVGGPINADRWRELFAPLWHGVKGVKILVTTRMDIVANTLGCTTPFSLSGLESEDSWELFRRCAFSTRDPNEHQEMKSIGECIVQKLNGSALAIKAVAGHLSLNFNYDEWNRDHGHTYGSLRSTGISYFDELFSRSFFHALQYGGTVHYVMHDLMNDLAFHTSNGECYRLDVDEPEEIPPAVRHLSILAERIDLLCTCKLQRLRTLIIWNKDRCFCPRVCVEANFFKEFKSLRLLDLTGPVIFPKNLDNLSSIFYIDIHTDLLVDLASAGNIPFLRAVGEFCVEKAKVQGLEILKDMNELQEFLVISSLENVNNKDEAANAQLANKSQISRLKLQWDSSNADSKSDKEYDVFNALRPHPGLKELTVDGYPGYKSPSWLEFNWLSRLEHINIHDCTCWKLLPPLGQLPCLKELHIDTMNALECIDTSFYGDVVFIRRCPKLKELPPVFPPPVKLKVLESIICMWHTDHRLDTCVTREISLTGLLDLRLHYLESMESADISFDGAGISNDGLRDRRHNLPKGPYIPGFSDSPSTFLRITGMEFISCPNLTLLPDFGCFPALQNLIINNCPELKELPEDGNLTTLTQVLIEHCNKLVSLRSLKNLSFLTKLEIRNCLKLVVLPEMVDFFSLRVMIIHNCPELVSLPEDGLPLTLNFLYLSGCHPLLEEQFEWQHGIEWEKYAMLPSCFYADKSMEDTEDIAEEVLRENDMIEWSIQTSLLHPTDSAASSSSFLQLPAAKYNAQLHDMDDFCSLRFLKIDQCRQLRSLPWSGLLVSLETFILFGCHQALEEQFQRKEGPDWDKKRGRDPGAQWHSSQSNRIVRIPGRRSGCGQSFRRAGRKAHTSPGEEGKPRGLSRSAVQQRR >ORUFI06G22810.1 pep chromosome:OR_W1943:6:22105661:22107489:-1 gene:ORUFI06G22810 transcript:ORUFI06G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPLATGVGWVVSPVIKLMFEKVQSYISTQYRWQSNLDDGLKKLETILTEILLVVGTAERRRTLDFNQQALLHQLKDAVYDAEDILDEFDYMLLKENAEKRNLRSLGSSSISIAKRLVGHDKFRSKLRKMLKSLSRVKECADMLVRVIGPENCSSHMLPEPLQWRITSSFSLGEFVVGRQKERDELVNQLLEQVGIPKSRSEGARPTSSEVITIVGTGGIGKTTLAQLIYNDKRIEDNYDLRAWICVSHVFDKVRITKEILTSIDKTIDLTNFNFSMLQEELKNKVKMKKFLLVLDDVWYDEKVGGSMNADRWRELFAPLWHGVKGVKILVTTRMDIVANTLGCTTPFPLSGLESEDSWELFRRCAFNTRDPKEHLELKSIGEHIVQRLNGSALAIKAVGGHLSSNFNNQEWNRVLNKGLSNEKDIMTILRLSYECLPEHLQQCFSFCGLFPKGYYFEPDVLVNMWIAHEFIQDGRHTYGSLKSTGRSYFDELLSRSFFQALQYGGTVHYVMHDLMNDLAVHTSNGECYRLDVDEPEEIPPAVRHLSILAERVDLLCVCKLQRLRTLIIWNKVRSFQGVEGLETIGFDWLLLKTFT >ORUFI06G22820.1 pep chromosome:OR_W1943:6:22114089:22117655:-1 gene:ORUFI06G22820 transcript:ORUFI06G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVVSLGRGPSEATTTLASSRCHLRPDRGISDYMAEFSAMSSVGWIVSPIIRKMVSVVQSYISSQFTWKSEMMSDLKNLESTLRKDEVEKTVVK >ORUFI06G22820.2 pep chromosome:OR_W1943:6:22107517:22114089:-1 gene:ORUFI06G22820 transcript:ORUFI06G22820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQMKDAVCEADDVLDEFDYLIKEKIEDLGMFSSVLSIGKRLVSIDKLRSKLQEVIKTLGRVRASAEMFAQVMAGEVSSFSQSPEYAPARATGSLLHEDTIFGRKNEIDELVSILVKDCDEHLSYDGQLFNTVVHSIVGVGGIGKTTLAQAIYNDERITEIFDLKIWVCVSHNFDKTRLTKEIIACTAGTEHIELASFNFSMLQEKLRDRLMCKRFLLVLDDVWYDERVGEHMNRETWKELIAPIRNIDISSEALKRKRTGSKILVTTRAELVAKMLDSRSLFFLQGLGKDDSRMLFRKCAFGNRNPEDYPELKIIEDQIVENLKGSALAIKVTGGHLSGKYNALEWNKILQKSVLNPNDIMTILRSSYESLPNYLQQCFTYCSLFPKGYRIDPNRLVHMWAAQGFVHSDRNINTSLEDIGRGYFNDLLQRSFFQVFRCGDQIYYIMHDVLNDLALHVSGGECHRIEHGSPSELPHHIRHLSVSAELLENFVSFGSLGRLRSLLVFNKSWFCSKLSLTHGILAKLKGVRVLDVSGCCMKRLPDAVYNLIHLRFLAIQRTCYTLPKTISRLHHLRALFVQYHSCYSSGKFSSHCSSHKLLNLSWGQVNIAGGCFSLPESINRLSNLVHVDIEKSYALMLTGMHQLPCVEGSGEFHVGKKGQSIVGLKDLNELRGELAIRLLENVKTKEEAAKANLDLKKHIRKLELEWGSGDHDGHTSNGCDVLNVLKPHPNLVELTISGYPGATSPTWLNSGWLSSLQLICLRDCKKWEVLPPLGDLPLLKALEVRRMDELKILDQEFLGRKGFPSLERLLLERLPKLEWSIVENDQLFPALRDLSFSGCPRVREYPTYVRTLRHIAILDKEQIHFKVFMDNFELTRSFCCLLSSFFYVLRVRHLEFVEKLKIYVDHLRDIPKVAFNNMKQLKELTIFGLGSSWENTYPIISTLWDEDGVTVLPTSLQRLELIKCQLRASSLSKLLNNLVCLDTLDLGPCDTVGMPSQLSLSMHQLRMLRQLNIYKCYWLMSLEGSQSLVSLKELRLENCDNLESVPDMDNMPSLQILLLRSCPQVTRLYQSGCHTALEELRIESCDGLASLEDLNELVSLRKMKVIECSALISLPDMSTFYSLKILVIGRCTQLRALPRNGLPVSLKAFFLIEGHPLLGKQFELKNGPDYNKVAALSGCMRHTNQTSGTPVSRQTRIDRQGDWSSDPANQVDQLKATRRN >ORUFI06G22830.1 pep chromosome:OR_W1943:6:22122274:22128301:-1 gene:ORUFI06G22830 transcript:ORUFI06G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPIATGIGWVVCPIIKLIFEKVQSYTSTQYKWQSDLEDDLKKIESTLIKIQLVLGRKCKIATKKLYFAKMKDSAYDADDVMDEFDYLILKANAQQKSNLSSLASSSLAIGKRLVGQDKFRSKLRRVLNSLIRVKECADMLIKVIGAENSNSYMPPQPLQWRVTSSISPGQIIIGRQNEQDDLVHRLLREADGPEPSRGLTISPTPSIITIVGSGGIGKTALAQLIYNDRRIVSGFDLRTWIYVSNIFNKVKITKEILKSIDRNSDITNFSFNMLQEDLKNKLTAKKFLLVLDDVWYDEKIGELTNADRWRELFAPLCYGAKGSKILVTARTNIVSRILGCPAPFHLEGLKGEDSWNLFRICAFGAEDPGNYPELESIGECIVQKLNGSALVIKVVGAHLNANLNVEEWTRVMKSSSSNKEDIMQILRLSYECLPGHLQQCFTFCSLFPKGYSLEPDLLELQYGHTIRYVMHDLMNDLASHISRGEYSRIERDGNLTEISGTTRHLSIPVGRVNELHGFHNLQRLRTLIVSERRQVSLLKTLRLVTLPKCLGTLSSILCIDVHRMCTVDLAASSHMPCLRAAGEFCVDKSKVQGLEVLKQMNELQGSLAITSLENVKSRDEATDAQLFRKSQIFKLKLQWGSSNASSKSDKANDVFDALRPHSGLEELIVQGYPGCVSPSWLESEWLSRLRHISISDCKCWKLLPSLGQIQSLRTLRIARLNAVVCIGPEFYGTAGFPSLEILEMIELPELAEWSSVDCFFPALLEVCIRGCPKLKQLPPVVLPPVRMSIYVSTEVCRLRNHNRLETCFTQEVSLSTLLDMLHLRRLEPVKCVNIIFEGANTLEDGLKDVTTNLPSLEELVIRGCSDLQHAFAASKQREEDGNVFSSASIQCLKMIGCNLTVDIFLSVFQNISFLSLWINDCNITYSTPERVLAMPKSVTGVLEKLCILSCDGLTAFMGLETFLRLSTIEIASCPKLTSVPDFRCLPALQNLIIKNCPELKELPENGNLTTLTALVVEHCNALISLRNLRDLSFLSKLVVRNCMKVLIIKNCPEVVSLPEDGLPVSLNCLYLAGCHPVLEEQFDQKNGSEWEKYEVLPFCFFADKSIEDIEEIAKEVLMADDLTRISIQGNRVHATDSAASSSSFP >ORUFI06G22840.1 pep chromosome:OR_W1943:6:22128354:22130137:-1 gene:ORUFI06G22840 transcript:ORUFI06G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTREFGPQNSRHQIQPTGKFGPPVSSTDFIARGHRRPANASVAAGVGWGIPPAGSPMASPREPRSGSAAPPKGKKAAAAMAAPPNSPIGWWREVRARLWLHTVEVCPPDQWLVWRRSAPPCRRHRLVLQSRLARPGEWIIEFQAVMVI >ORUFI06G22850.1 pep chromosome:OR_W1943:6:22134141:22138907:1 gene:ORUFI06G22850 transcript:ORUFI06G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLLFLLLLLLSGVSLSGCIRLGNGGYEEWRMGSATYIKESLGHPLNDGGGACGYGDLDIFRYGRYTAGVSGALFGRGSACGGCYEVRCVNHVLWCLRGSPTVVVTATDFCAPNLGLSDDYGGWCNFPKEHFEMSEAAFLRVAKAKADIVPVQFRRVSCDRAGGMRFTITGGASFLQVLITNVAADGEVAAVKVKGSRTGWIPMGRNWGQNWQCDADLRGQPLSFEVTGGRGRTVVAYSVAPPDWMFAQTFEGKQFVE >ORUFI06G22860.1 pep chromosome:OR_W1943:6:22139717:22148235:-1 gene:ORUFI06G22860 transcript:ORUFI06G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETLDLNEPPHENQGGGLNYVLLQKDSKNICRTKVCDIPVKVPSVWSIVRFVPNKVYQQCDFLKFSLLPEPEDDRQNIEWGKFMRFLWDNQRVAVVRFSSFALHIFPPQSHESHERPNFSHAVVMYEQKDPGDCKPMAGVSDALKRSYRSDFQSGSMNPKSYLREEICDSGPNPKEMNASRVTELHKSVPESSPCESVEDGPRILDPIVKKRTTTLAKNFVSTDPSYLRTLSQTHAGWVFGAIAELIDNSRDADASRLNISVKSLFSKKADKKIPVLSVIDDGHGMTCAEMMRMISFGHKRPDKQRQDQIGRFGIGFKTGAMKLGRDAIVLTQTSSSRSVAFLSQSFNENKDNLEIPVVTYRKEGQYMEVDSSVQSEATAEYNLNAIKEFSPFNEYFIGEKLGIFGEDGTGTQIYIWNLDRWGADYTLDWSSGKPSEDPVHHGRGDILIRSRRVRLRPGQTSNNVPLDYSLQSYLEVMFLNPRMKISVQGSSVKTRPLAKTLNKTSVISGEIMGRTIQLTLGRSNVEWDRMNCGIFLYWHGRLIESYKRVGGQKHNADMGRGVIGVADITDLIDDEDGNSWVLNSKQGFQDCEMYAKLEEWLGRKADEYWDTNFDTLELRKGSERYKADHEWVQCYSCRKWRILNAGFDTKSLPDEWFCYMPPFNGKCEVAEQQMARGVIVIGEKRSEHDKRDRITQQEEVAKVNARETRSDDSQSQKFTQDEDVKDVKLISTVVNKKRKSSNGTRSMDKNNSEDKHDDAEGDSSQTQPGTPRPVLKRIRRGPARSCKQS >ORUFI06G22870.1 pep chromosome:OR_W1943:6:22165019:22171792:1 gene:ORUFI06G22870 transcript:ORUFI06G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFRSAPVESEAEPGADGPVDEANAVEEEKSGKGEDGIEEAADTVDSVKDSAKPEGMDAKEEAVEQEGGAAADKAKDIPVTSNCKTDAGGNEVAEMKVEKLENGDGHLKADGDSNGDNKGADGEKQLMLASAGEDVEDPVLSKLASNSFMFDYSCGGDDSGTEEEQAAFMKELERFYREKLMEFKPPKFYGEGLNCLKLVQGPLCLLWRQVTGLGGYDQLHQQVTSCKLWRQVGESFKPPKTCTTVSWTFRNFYEKALLEYEKHKIETGEFQVAASNLTERITSESQVGIHASGSGRARRESATRAMQGWHSQRLLGNGEIADPIIKDKGTVSVLKKDKTPKSSGSAKRKRTPTLEDDRIVPYKPDKLQNDSMVLDLGPPADWVKINVRRTKDCYEVYALVPGLLREEVHVQSDPAGRLIVTGEPEQLDNPWGVTPFKKVISLPSRIDPHQTSAVVTLHGQLFVRAPFEQSK >ORUFI06G22870.2 pep chromosome:OR_W1943:6:22165019:22170103:1 gene:ORUFI06G22870 transcript:ORUFI06G22870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFRSAPVESEAEPGADGPVDEANAVEEEKSGKGEDGIEEAADTVDSVKDSAKPEGMDAKEEAVEQEGGAAADKAKDIPVTSNCKTDAGGNEVAEMKVEKLENGDGHLKADGDSNGDNKGADGEKQLMLASAGEDVEDPVLSKLASNSFMFDYSCGGDDSGTEEEQAAFMKELERFYREKLMEFKPPKFYGEGLNCLKLVQGPLCLLWRQVTGLGGYDQLHQQVTSCKLWRQVGESFKPPKTCTTVSWTFRNFYEKALLEYEKHKIETGEFQVAASNLTERITSESQVGIHASGSGRARRESATRAMQGWHSQRLLGNGEIADPIIKDKGTVSVLKKDKTPKSSGSAKRKRTPTLEDDRIVPYKPDKLQNDSMVLDLGPPADWVKINVRRTKDCYEVYALVPGLLREEVHVQSDPAGRLIVTGEPEQLDNPWGVTPFKKVISLPSRIDPHQTSAVVTLHGQLFVRAPFEQSK >ORUFI06G22880.1 pep chromosome:OR_W1943:6:22175799:22185319:-1 gene:ORUFI06G22880 transcript:ORUFI06G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLMVDRVHGSLRLFMHRNAVFLCERLCAQFPAETNVQLLATCYLHNNQPYAAYHILKGKKLPESRYLFAMSCFRMNLLREAEEALCPVNEPSIEVPSGATGHYLLGVIYRYTGRVEAAAEQFVQALTLDPLLWAAYEELCILGVAEDANECFSEATALRLQQELTSTSNVEKSNFVNENRFLSSNVSASFGDSPKQIKQLHANTTAEVSGYPHVKSTALHMQNGAPSNLSQFDTPSPTSTQASGIAPPPLFRNMHAYQNTAGGNAPSKPKVNAPNLTLRRKYIDEAGLKKVSGRLFNQSSDSVPRRSARLSRDTTINSNSNISQFGGNGTDHSSGKLRVNSSTPSKLCSTALPSVQVRKGKPQATENFDEGNRYHVVDEMWTDNVTSTSSSTSIVDGRYPEQEKSERVLSQDSKLAIGIRELMALLRTLGEGYRLSCLFKCQEALEVYRKLPEAQFNTGWVLCQVGKTHFELVNYLEADHFFELAHRLSPCTLEGMDIYSTVLYHLNEEMRLSYLAQDLVSIDRLSPQAWCAVGNCFALRKDHETALKNFQRAVQLDSRVAYAHTLCGHEYSALEDYENSIKLYRSALQVDERHYNAWYGLGVRNEEALEMMEKAIFADKKNPLPKYQKALILLGLQKYPDALDELERLKEIAPHESSMYALMGKIYKQLNILDKAVFCFGIALDLKPPAADVAIIKSAMEKVHLPDELMDDDDDDDEI >ORUFI06G22880.2 pep chromosome:OR_W1943:6:22175799:22185319:-1 gene:ORUFI06G22880 transcript:ORUFI06G22880.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLMVDRVHGSLRLFMHRNAVFLCERLCAQFPAETNVQLLATCYLHNNQPYAAYHILKGKKLPESRYLFAMSCFRMNLLREAEEALCPVNEPSIEVPSGATGHYLLGVIYRYTGRVEAAAEQFVQALTLDPLLWAAYEELCILGVAEDANECFSEATALRLQQELTSTSNVEKSNFVNENRFLSSNVSASFGDSPKQIKQLHANTTAEVSGYPHVKSTALHMQNGAPSNLSQFDTPSPTSTQASGIAPPPLFRNMHAYQNTAGGNAPSKPKVNAPNLTLRRKYIDEAGLKKVSGRLFNQSSDSVPRRSARLSRDTTINSNSNISQFGGNGTDHSSGNRYHVVDEMWTDNVTSTSSSTSIVDGRYPEQEKSERVLSQDSKLAIGIRELMALLRTLGEGYRLSCLFKCQEALEVYRKLPEAQFNTGWVLCQVGKTHFELVNYLEADHFFELAHRLSPCTLEGMDIYSTVLYHLNEEMRLSYLAQDLVSIDRLSPQAWCAVGNCFALRKDHETALKNFQRAVQLDSRVAYAHTLCGHEYSALEDYENSIKLYRSALQVDERHYNAWYGLGVRNEEALEMMEKAIFADKKNPLPKYQKALILLGLQKYPDALDELERLKEIAPHESSMYALMGKIYKQLNILDKAVFCFGIALDLKPPAADVAIIKSAMEKVHLPDELMDDDDDDDEI >ORUFI06G22890.1 pep chromosome:OR_W1943:6:22192498:22194987:1 gene:ORUFI06G22890 transcript:ORUFI06G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWHIQALPLAMPRHHPAWAPPPPFAETFPSSFLPKQNPSISDESAIFKHTHERQPTARDKNISIAISMDVEFFADLDLDALLASFSSPAAAAGSGVSGLFAPSPPHDAEAGSPESVSSRRPSPSREAALSEIERFLMEEGPAAEEGVGAEDFFDALLVDGGEEEEEEEGKGSEAGGSTDGDSGKENEVATPDAEKEDVEAEVDGDDPMSKKKRRQMRNRDSAMKSRERKKMYVKDLETKSKYLEAECRRLSYALQCCAAENMALRQSLLKDRPVGAATAMQESAVLTETLPLVSLLWLVSIVCLLLVPGLSNRNPVARSSAGRDLATVTGKKTSSEQQLEETLLLHGRRCKGSRARIKLDTGPFRLAAAAC >ORUFI06G22900.1 pep chromosome:OR_W1943:6:22196968:22209653:1 gene:ORUFI06G22900 transcript:ORUFI06G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAAGEADAAARVLARALDKVIKHSSWRKHSALVAASKSALDLLSASPDVDEAASASASPIQGVAAAAADAAIRALLIALDPASPKVAEPALECVSTLLSLRLLHGEVVAVAAAGAADADDAASPVSKLFAAVVSCGGLGDEGLELAALRVLVAFARCPSVSVSGDCLGHVVRACYNLYLGSASGGNQLCAKLALAQVLAIVFARVEADAMDVRVRTVSAADMMDLSDRSLNDSSVVQAAQAFINEAMEGSDVPEEVPPSDLPAEADENGDDVGMSRIREDGLALFKNLCKLSMKFATPDNPDDPVLLRGKVLSLELLRTVVDNAGPFWKSNEMYLEAIKKHLFLSLLKNSALSAMSVFQLLCSIFVGLLSRFRSGLKEEIGLFFPMLILRVLENVLQPSFLQKMTVLNFLEKICKEPQVIIDIFVNYDCDVDAPNIFERIVNGLVKTALGVPAGSTTTLTVAQDQTFRIESVKCLAVIVKSMCSWMDRQLRIGEFSLISSETPGSMDNHTTNGDGSGMDYDMQPDTSSSDISDSSSLEQRRAYKIELQKGIALFNRKPSKGIDFLVRSKKIGHSPEDVALFLKNTAGLNATMVGDYLGERDDFPLKVMHAYVDALNFKGMDFGEAIRFFLQGFRLPGEAQKIDRIMEKFAERYCKCNPNAFTSADTAYILAYSVILLNTDAHSVMVKDKMSKADFMRNNRGIDDGKDLPEDYLSALYDQIVNKEIKMSADSSTTQIKQPNSISKLLGLDNIINFVNWGQAEDKALGANDLLIKHIQEKFKAKCRKSESVFYTVSDATILRFMMEACWAPMMAAFSVTLDQSDDKASAAQCLKGLRFAVHITSVMCMQTQRDAFLTTIAKFTSLHSAADMKQKNVDAMKAIISIAIEDGNYLQEAWEHVLTCLSRFEHLHLLGEGVPTDSSFLTVPLVESEQKNHKSSSGLSSKRTNALQNPAVMAAVRGGSYDSTVAKTSASSLVTPEQISNFISNLNLLDQIGIVELNHIFTHSQRLNSDAIVAFVKALCKVSMTELQSPTDPRIFCLTKIVEIAHYNVNRIRLVWSRIWKVLSEFFVSVGLLENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSNAPEVRELIVRCVSQMVLSRVNNIKSGWKGVFMVFTSAAADDTKSIVLLAFETMEKIVRDYFPYITETENTTFTDCVNCLIAFTSSQFNSDANLNAIAFLRFCAVKLADEGFGCQEKCTDEPRNLGMSDGNATVNKDDSISLWIPLLAGLAKLTSDSRSTIKRSAVGVLFDILKDHGQLFSESFWTNILESVIYPLFSSERSSSNDPTSTPSIPEDDFSNLETQTLAVKCLVGLFINFFDVMRPELARVASIVTYFIRSPYKHSASIGVSALMRLIEGVGGELSKEEWKDILLRFKESVAHTFLVFSKIVRMMQDIEIPDRFESYSENDQYSDHENYGNEEEEANMETTSYAIVKLKNHMALLLLVVQGIIKLYEEHRKYLSSDHINILLEMISAIATHASEVSSESSLLLKFHKACSLMEVSEPAIVHFENESYQTYLKLLQALFRDYPSMSEEMDIESQILRVCEKILRIYLQCAQREPSNEALHRNASIHCIVPLGAAKKEELAARTSLVLLVMQLLGNLEEDSFRRVLPWFFPLLVDLIRCEHSSGEVQHALYKIFQSSIGPMLTV >ORUFI06G22910.1 pep chromosome:OR_W1943:6:22206084:22209367:-1 gene:ORUFI06G22910 transcript:ORUFI06G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQRSPAAAAAGGGGTPALHYLSGPYGDTTYTKVFVGGLAWETRSEGLRAHFEAYGEILEAVVITDRATGRSKGYGFVTFRDPDSARMACMDPYPVIDGRRANCNLAILGRPGPAIPFAPLRPVIPYNGGVAVPGGMYVQSPTYQQPPYNYPQAFVYPSYGPSTYGPEYMYPQNAYGSYVGQQYVPVYGGPRTVGPAVYPYGQFGQPVPGAPRPQQQLLIPARAQFTPNNISEQTFSLSMVT >ORUFI06G22910.2 pep chromosome:OR_W1943:6:22206421:22209367:-1 gene:ORUFI06G22910 transcript:ORUFI06G22910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQRSPAAAAAGGGGTPALHYLSGPYGDTTYTKVFVGGLAWETRSEGLRAHFEAYGEILEAVVITDRATGRSKGYGFVTFRDPDSARMACMDPYPVIDGRRANCNLAILGRPGPAIPFAPLRPVIPYNGGVAVPGGMYVQSPTYQQPPYNYPQAFVYPSYGPSTYGPEYMYPQNAYGSYVGQQYVPVYGGPRTVGPAVYPYGQFGQPVPGDQPYSPGYVPGHILPLSNQNAANVVRMSSTPSATALDPCSCTIHTKQHFGTNVGLT >ORUFI06G22920.1 pep chromosome:OR_W1943:6:22217455:22228706:-1 gene:ORUFI06G22920 transcript:ORUFI06G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARQTVCVTGAGGFMASSHVELLLSRGNYAVRGTVRDPGDAKNDHLRALQGAEERLQLLKADLLDYDSVASAVAGCEGVFHVASPVPSGRSTNPEVEVIAPAVTGTLNVLKACHEAKVKRVVMVSSIAAVFSNPNWPKDKAFTEDSWSDEELCRKNQDWYYLSKTVAEREAFAYAAKTGLDIVTICPSLVIGPLMQSTVNASSKVLINYFKGDRDTVENRLRNVVDVRDVANALLLAYENPASGRYICSSAPIRVSDMINILKTLYPTYTYPKNFVDVEENTIYSFEKLQKLGWSFRPIEETLRDSKTTMDDGAAAAAAAGRETTKNKKKTVCVTGAGGFVASWLVHRLLSSGDYVVHGTVRDPSDAKNGHLREMDDGAGERRLRLVAAAVAGCAGVFHVASPVPASKPHNPEITSETKPGRNVAEVLAPAVAGTRNVVEASHEAGVRRVVVVSSAAAVILNPAFPRDAVLDEDAWSDEHYCRSIENWYCLSKTLAEREAWRFAADNAAAMDVVTVCPPLILGPLLQSTVNTSSSILINLIKGGGGDDEEKAATTDKRRNVVDVRDVAAALILTYENPAASGRYICSAYDIKVSEMVDIVRRFFPDINYPKFVGGEDERILSSKKLQKLGWKFRTVEECLRDSVQSYKAAGILK >ORUFI06G22930.1 pep chromosome:OR_W1943:6:22232781:22233818:-1 gene:ORUFI06G22930 transcript:ORUFI06G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTARPTAAATALALILVLVFSPSPAAAAARMFKTIDARRSQHLDLGGSLVGPESVAFDGKGRGPYSGVSDGRVMRWNGEAAGWSTYTYSPSYTKNKCAASTLPTVQTESKCGRSLGLRFHFKTGNLYIADAYMGLMRVGPGGGEATVLATKADGVPLRFTNGVDIDQVTGDVYFTDSSMNYQRSQHEQVTATKDSTGRLMKYDPRTNQVTVLQSNITYPNGVAISADRTHLIVALTGPCKLRYWIRGPKVGKSEPFVDLPGYPDNVRPDEKGGYWVALHREKYELPFGPDNHLVAMRVSAGGKLVQQMRGPKSLRPTEVMERKDGKIYMGNVELPYVGVVKSI >ORUFI06G22940.1 pep chromosome:OR_W1943:6:22238828:22241605:-1 gene:ORUFI06G22940 transcript:ORUFI06G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGDGRTKTVCVTGAGGFVASWLVKLLLSRGCYTVHGTVRDPGDAKNAHLMSLDGAAERLRLFKADLLDYGSVAAAIAGCDDVFHVDILAPAVTGTTNVLKACSEAKVGRVVVVSSVSAAMVNPNWPEGKAIDEDCWSDVDYCRATKNWYTLGKTLAEIEAFDYAKRSGLDLVTLCPSLVIGPLLQPTVNASSTVILGWVSGRYICSSHARRMPHIIDLLKSWYPGYKFADKFVEVSDEPQFNSGKLEKLGWKIKPFEETLRDSVESYRAAGVLD >ORUFI06G22950.1 pep chromosome:OR_W1943:6:22249929:22250696:1 gene:ORUFI06G22950 transcript:ORUFI06G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNNKWWQAALDFPPPPPPVNVPAAAPAGAASPESKQQAAAGAIVPLRRPRGRPLGSKNKPKPPVIITRDSPDALHSHIIEVAPGADVAACVAEYARRRGRGVCLMGASGAVADVAVRGAAAPLPGRFELLSVTGTVLPPPAPPGASGLSVLLSAGQGQVVGGCVVGPLVAAGPVTLFAATFANAVYERLPLADAADVKPDLSSAAAAATSTSAPQEVQQQQLPLPPSSHHPQAMPATYPDHRSPPYAWAGGV >ORUFI06G22960.1 pep chromosome:OR_W1943:6:22259897:22260280:-1 gene:ORUFI06G22960 transcript:ORUFI06G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTRQGSYGKPASSSRRRRRHHQRGRGGFRLGLLLRLRVRLSGLLGLLARSVEELRCCPGVSRISCSTVAAAARSARSRAPSSSSAAAAALCGGPHGSRAAPAVRDQSSFYAEAIADCLEFIKSRS >ORUFI06G22970.1 pep chromosome:OR_W1943:6:22272159:22277518:1 gene:ORUFI06G22970 transcript:ORUFI06G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGWEKRAVARALKQRYTLRRFSRAFWNLEGISFSLVSQENSEHPFFRKSGNLHGSQTHIEPEKCQLPGKCATHEDEDRDNMIFLVFYVFVVLLGEFCSSCSCAQVYVVYMGKGLQGSTENRHDMLRLHHQMLTAVHDGSLTNWMLGLSMEKAEASHVYTYSNGFQGFAAKLNKQQAMKLANMPGVISVFPNTKRSLHTTHSWDFMGLSVDAAAELPELSSKNQENVIIGFIDTGIWPESPSFRDHGMPPVPTRWRGQCQRGEANSPSNFTCNRKIIGGRYYLRGYQTEESGQSRSAIKFISPRDSSGHGSHTASIAAGRFVRNMNYRGLGTGGGRGGAPMARIAAYKTCWDSGCYDADILAAFDDAIADGVDIISVSLGPDYPQGGYFTDAISIGSFHATSNGILVVSSAGNAGRKGSATNLAPWILTVAAGTTDRSFPSYIRLANGTLIMGESLSTYHMHTSVRTISASEANASSFTPYQSSFCLDSSLNRTKARGKILICHRAKGSSDSRVSKSMVVKEAGALGMILIDEMEDHVANHFALPATVVGKATGDKILSYISSTRFSAKYCSYFQKGCGSTMILPAKTILGSRDAPRVAAFSSRGPNSLTPEILKPDIAAPGLNILAAWSPAKEDKHFNILSGTSMACPHVTGIAALVKGAYPSWSPSAIKSAIMTTATVLGNKRNAIATDPNGRTATPFDFGSGFADPIKALNPGIIFDAHPEDYKSFLCSIGYDDHSLHLITQDNSSCTDRAPSSAAALNYPSITIPNLKKSYSVTRTMTNVGFRGSAYHAFVSAPLGINVTVTPKVLVFENYGAKKTFTVNFHVDVPQRDHVFGSLLWHGKDARLMMPLVVKVDTAAKA >ORUFI06G22980.1 pep chromosome:OR_W1943:6:22292937:22299276:1 gene:ORUFI06G22980 transcript:ORUFI06G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMMETSPDDGGGGEAMAAAQQTEEDMGPPWLRPLLSTSFFVACASHPELSKNECNLFCLGCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMRWDPSLTFAIRPKRGQDSGDGGSGSDYDSFSPKKARRAAAGYDQLGRFDRGMIRWSDDEGSKSNTAPITPTTPPISRCRPSRRKGIPHRAPFYG >ORUFI06G22980.2 pep chromosome:OR_W1943:6:22292937:22299276:1 gene:ORUFI06G22980 transcript:ORUFI06G22980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMMETSPDDGGGGEAMAAAQQTEEDMGPPWLRPLLSTSFFVACASHPELSKNECNLFCLGCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMRWDPSLTFAIRPKRGQDSGDGGSGSDYDSFSPKKARRAAAGYDQLGRFDRGMIRWSDDEGSKSNTAPITPTTPPISRCRPSRRKGIPHRAPFYG >ORUFI06G22990.1 pep chromosome:OR_W1943:6:22306660:22307262:1 gene:ORUFI06G22990 transcript:ORUFI06G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSCNHRSSTLLRHHQPAAAPPAQVIAADGSLTEVAAAAASCPVSVSDVLGGNAGRLFLCSSDALYFDVDVPALDGGELLRPGQIYFLLPVSMLGRPLSGVDMAALAVRASDALVARARPRHQRGGGVKKVRIMPMLAANGCGGDDRDGEINEKLNERTLGESVMTRPCCPASSGDKLAAAAPPVKRLLSTIDEDAE >ORUFI06G23000.1 pep chromosome:OR_W1943:6:22317663:22318100:1 gene:ORUFI06G23000 transcript:ORUFI06G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSGGGLTSSRCGAWRGRREPRRRPSMAEVFLPLALGVAQIDVRLGNRGSRRLGGVMSEGRMETH >ORUFI06G23010.1 pep chromosome:OR_W1943:6:22318453:22320345:-1 gene:ORUFI06G23010 transcript:ORUFI06G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGARARRIAALDLIFLVFLRLASAYQRPSDAHINCIDSFCLGGYTCSETTATTSCTAYLTFRSDPPLSVAYLLNATPSAVAAANSVPLAVSPVDGTQLLLVPVPCSCNRATGYYQHNTTYAIQELDTFFLIANNTFQGLTTYQSIIANNPASEAMSPVINGPLAVPLRCACPSATTGRINNLLTYVVQEGDNVTSIARRFNSTHGDVLAANTLLVPLVHPPHSRVVLANTTITSTTPPESQKFYVSSPCSNGLLAGLGIGVGCGVSAWAAVLAVFLLWRRRRRRPVGDSSGMARETPLVAAVRGAVETLAAYSYADIETATAGFAEERRVAAGSSVYRAVINGEAFAVKRVAAGGDDVRGEVDVLGRVNHSGLVRLRGLCANGDDTYLVLEFAENGALSEWLHPGSAAACLRRVLGWKQRVLVALDVAGGLNYLHHFTNPPYVHKNLNSGNVLLDANLRAKVSSLGFARAVAVAVAAGDDSIALMTHHVVGTHGYLAPEYLEHGLISPKLDVFSFGVIQLELLSGKTAAFVTDDDGQNMLLWQAADGLVDGDGAWFKLRAFMDPQLQGHYPIGVASAVAALAVRCVAREPRARPSMEEVFVTLSAVYNLTVDWDPQNYSASASMVLGR >ORUFI06G23020.1 pep chromosome:OR_W1943:6:22320404:22327919:1 gene:ORUFI06G23020 transcript:ORUFI06G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCLRKDHELDYKHRCLQHVRTHFYDHLQKTRLIYLDINEIIMSASLLTEQAWEKVGRATNTTPPRSLATPHALLDELQFQARCRCRASSSLSCCSRRRCLATAQQQYEANAQGDCYTDNGRSIILSVGRPIRWKKKSGARTRCHVALPRVSVTLQLTPAGVDL >ORUFI06G23030.1 pep chromosome:OR_W1943:6:22328002:22330005:1 gene:ORUFI06G23030 transcript:ORUFI06G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLPRFLLLVVLLAVPMTAAQQQYEANAQGDCYTDNGSSVLGYTCGNAASPPPPPCTAYLTFRSAPPSYASPITVSYLLNASVPAVAAANSVPVSPPVARDGLLLVPVPCACTAAGYYQHDAGYVIQFDDETYFVMANDTYQGLTTCQALMAQNPAHDSLDLYPGIRLTVPLRCACPSPAQAAAGVRYLVTYLLGWDDDSSTVADRFGADYQAVLFANNLTDDSTVYPFTTMLVPLKHRPKPDVTVLPEPGPPSPAPAPAVSAPPPPAVPSSESGSGRWKKSFRGRCIGIGVGVGFAVLASGALLALFLLRRRWRWRGNGELHDVPLAPDKEGAKATPPPWMLPTTVADVDVRDAVGSMAVYEYGELERATAGFAEERRIGDSSVYRAVINGDVAAAVKRVAGDVGAEVSVLGRVSHSCLVRLFGLCVHRGDTYLVFELAENGALSDWIRGDNGGRALSWRQRMQAALDVADGLNYLHNYTRPPYVHKNLKSSNVLLDADFRAKVSNFGLARTVAGAGGQMTSRVVGTQGYMAPEYLEHGLIGPHLDVFAFGVVLLELLSGKEAAPARDGGEGGDGEALALLLWEEAEGQLVVDSDDDDARGKVAAFMDSRLRGDYPSEVALAMAALALRCVAREPRARPSMVEVFLSLSALHGTTLDWAPHATLS >ORUFI06G23040.1 pep chromosome:OR_W1943:6:22330105:22340294:-1 gene:ORUFI06G23040 transcript:ORUFI06G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPSPPLAAAAAAAPPRLAPGLPLAAAAVRRPSSLARRSSIALAAPANPLRCIHRRAVSPRLRRRTEAVGAASAAIGSLGEEREGCLSCFPRGRRRGRPGLARFAPCALPHTYGLSSLHSGLTGAKIRRRHVLHAAGPDEPHLVRGQLKNGLRYLILPNKVPANRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEDLLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRLLQHLHSENKLSERFPIGLEEQIHKWDPDKIRRFHERWYYPANATLYLVGEIDDIPRAIREIEAVFEHTLPEGEAAPMSTASPFGAMASLFAPKLPGGLAASLTGERSPAADKIKPVKRERQAIRPPVEHKWSLPGVAQDAKPPAIFQHELIQSFSINMFCKIPVNQVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALRHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDYGKPDAPLPAAIVACVPKKVHMDGVGETDFEIHPEEITDSIKAGLEEPIYPEPELEVPKELITRSELEDLKLQRKPSFASLSKEENVVKIFDDETGIAQRRLSNGISINYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNHDERFVEPSPHSLQKLTLQSVKDAVMNQFVGDNMEVSIVGDFTEEEVESCVLDYLGTVSAPKSSKTQEHIEKISFLPFPSDLHFQQVYIKDTDERACAYIAGPAPNRWGFATEGNDLFNVIRSSSGDAQVSESANTDLTERKHNDVRSHSLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHSNKIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKEISCIKELTMLYESATIEDLYLAYEHLKVDESSLFACIGIAGAESGEETTDDELDMGLHGMGPIGGRGFTIL >ORUFI06G23040.2 pep chromosome:OR_W1943:6:22330105:22340294:-1 gene:ORUFI06G23040 transcript:ORUFI06G23040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPSPPLAAAAAAAPPRLAPGLPLAAAAVRRPSSLARRSSIALAAPANPLRCIHRRAVSPRLRRRTEAVGAASAAIGSLGEEREGCLSCFPRGRRRGRPGLARFAPCALPHTYGLSSLHSGLTGAKIRRRHVLHAAGPDEPHVASPTWSETALDKHYVDQPIGKEELEGFLNTPLPSHPKLVRGQLKNGLRYLILPNKVPANRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEDLLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRLLQHLHSENKLSERFPIGLEEQIHKWDPDKIRRFHERWYYPANATLYLVGEIDDIPRAIREIEAVFEHTLPEGEAAPMSTASPFGAMASLFAPKLPGGLAASLTGERSPAADKIKPVKRERQAIRPPVEHKWSLPGVAQDAKPPAIFQHELIQSFSINMFCKIPVNQVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALRHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDYGKPDAPLPAAIVACVPKKVHMDGVGETDFEIHPEEITDSIKAGLEEPIYPEPELEVPKELITRSELEDLKLQRKPSFASLSKEENVVKIFDDETGIAQRRLSNGISINYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNHDERFVEPSPHSLQKLTLQSVKDAVMNQFVGDNMEVSIVGDFTEEEVESCVLDYLGTVSAPKSSKTQEHIEKISFLPFPSDLHFQQVYIKDTDERACAYIAGPAPNRWGFATEGNDLFNVIRSSSGDAQVSESANTDLTERKHNDVRSHSLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHSNKIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKEISCIKELTMLYESATIEDLYLAYEHLKVDESSLFACIGIAGAESGEETTDDELDMGLHGMGPIGGRGFTIL >ORUFI06G23040.3 pep chromosome:OR_W1943:6:22330105:22340294:-1 gene:ORUFI06G23040 transcript:ORUFI06G23040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPSPPLAAAAAAAPPRLAPGLPLAAAAVRRPSSLARRSSIALAAPANPLRCIHRRAVSPRLRRRTEAVGAASAAIGSLGEEREGCLSCFPRGRRRGRPGLARFAPCALPHTYGLSSLHSGLTGAKIRRRHVLHAAGPDEPHLPGGLAASLTGERSPAADKIKPVKRERQAIRPPVEHKWSLPGVAQDAKPPAIFQHELIQSFSINMFCKIPVNQVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALRHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDYGKPDAPLPAAIVACVPKKVHMDGVGETDFEIHPEEITDSIKAGLEEPIYPEPELEVPKELITRSELEDLKLQRKPSFASLSKEENVVKIFDDETGIAQRRLSNGISINYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNHDERFVEPSPHSLQKLTLQSVKDAVMNQFVGDNMEVSIVGDFTEEEVESCVLDYLGTVSAPKSSKTQEHIEKISFLPFPSDLHFQQVYIKDTDERACAYIAGPAPNRWGFATEGNDLFNVIRSSSGDAQVSESANTDLTERKHNDVRSHSLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHSNKIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKEISCIKELTMLYESATIEDLYLAYEHLKVDESSLFACIGIAGAESGEETTDDELDMGLHGMGPIGGRGFTIL >ORUFI06G23050.1 pep chromosome:OR_W1943:6:22344017:22345114:1 gene:ORUFI06G23050 transcript:ORUFI06G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASTLASLSATAAAAAGKRLLLSSPSRSLSLSLASRGRIAVMPHLRAGILSAAPRRAVSASAPAAATIAVGDKLPDATLSYFDSPDGELKTVTVRDLTAGKKVVLFAVPGAFTPTCTQKHVPGFVAKAGELRAKGVDAVACVSVNDAFVMRAWKESLGVGDEVLLLSDGNGELARAMGVELDLSDKPAGLGVRSRRYALLAEDGVVKVLNLEEGGAFTTSSAEEMLKAL >ORUFI06G23060.1 pep chromosome:OR_W1943:6:22355248:22360182:1 gene:ORUFI06G23060 transcript:ORUFI06G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAVLPEQIAAMWEQVKAPVVVPLLRLSVAACLAMSVMLFVEKVYMSVVLVGVHLFGRRPDRRYRCDPIVAAGADNDDPELADANAAFPMVLIQIPMYNEREVYKLSIGAACGLSWPSDRVIVQVLDDSTDPVIKEMVQVECKRWESKGVRIKYEIRDNRVGYKAGALREGMKHGYVRDCDYVAIFDADFQPDPDFLARTIPFLVHNPDIALVQARWKFVNANECLMTRMQEMSLDYHFKVEQEVGSSTHAFFGFNGTAGVWRISAMNEAGGWKDRTTVEDMDLAVRAGLKGWKFVYLGDLMVKSELPSTFKAFRYQQHRWSCGPANLFRKMLVEIATNKKVTLWKKIYVIYNFFLVRKIIGHIVTFVFYCLVVPATVLIPEVEIPRWGYVYLPSIVTILNSIGTPRSLHLLIFWVLFENVMSLHRTKATLIGLLETGRVNEWVVTEKLGDALKLKLPGKAFRRPRMRIGDRVNALELGFSAYLSFCGCYDIAYGKGYYSLFLFLQSITFFIIGVGYVGTIVPH >ORUFI06G23070.1 pep chromosome:OR_W1943:6:22360958:22366640:-1 gene:ORUFI06G23070 transcript:ORUFI06G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGSSSKRRRLPWRMTMSLAYQSLGVVYGDLSTSPLYVYKAAFAEDIQHSETNEEILGVLSFVFWTLTLVPLLKYVCVVLRADDNGEGGTFALYSLLCRHARAALLPPGGGGGGGEPGDEDQFLDAGAAKKAAANGNALALSGRGGGGGAAAGVRRLLERHKVLQRVLLVLALVGTCMVIGDGVLTPAISDGVFCFCSVLGGIRAGIVHGKASAQISYSLGLWQRIEFTLGRNMMWRFLLLVLYWFACFVCNTMVLKQCLQIWGILISCRYSFPSSEKIRWPVLAIAILAAVVGSQAVITGTFSMIKQCTALGCFPRVKIVHTSDKVHGQIYIPEINWILMILCLAITIGFRDTKHLGNASGLAVITVMLVTTCLMSLVIVLCWHKSIFLAFGFIIFFGTIEALYFSASLIKFREGAWVPIVLAFIFMAIMCIWHYGTIKKYEFDLQNKVSINWLLGLSPNLGIVRVRGIGLIHTELDSGIPAIFSHFVTNLPAFHQVLIFLCIKNVPIPHVSPEERFLVGRIGPKEYRIYRCIVRYGYHDVHKDDQEFEKELVCSVAEFIRSGAAAAADAAASSKPKNVCGGGAEESEKEEEERMSVIPSGSIRMMEEDGGAGAPSSEDTVGGSGSGSGRGSSRGGGGAREIMSPSPSPPPVVVAPRKRVRFVLPAASPRPDAGVREELQELMDAREAGMAFILGHSYVKAKSGSSFFRRLVINFCYDFLRRNSRGPNYAVTIPHASTLERCDAIRASSNSDRRELGSWIMGSVGLARMLRSLCVAGDLARAVGLLWRSTVCPGEGTYALLLQECVNRRDARMGKRIHARMVSVGFGGGVYIVTKLLIFYVKIGELGVARKVFDGMPQRSVVAWNAMISGCARGGAEARAVEMFGSMRAEGMRPDQFTFASVLCACARLAALEHGRRVHGVMVKSRVGGGNVFVDSALVDMYLKCSSPEEARRAFAAAPARNVTMWTAVISGHGQHGRAAEALALFDRMTRVDGLRPNDVTFLAVLSACAHAGLVGEGLRHLSSMSSGYGLTPRGEHYAAAVDMLARVGRLGDAYELVKNLPDCQEHSVVWGALLGAGRKHGDVRLVELAARRFFRLQPGNAGKYVVLANAYAAREMWGSVAGAHEAMRSLGIKKDPAWSAVEVRGKRHTFLAGDSYHDERSAIYAACNALAAAVAEQSVPPVMDGDDASHCS >ORUFI06G23080.1 pep chromosome:OR_W1943:6:22383014:22384702:1 gene:ORUFI06G23080 transcript:ORUFI06G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTRLMALTGLPLDMDVETKSASAIHACAIDLWKGPLFATPSASLVLRELVHEQANDLNDDDMDTTEAGIGMNQTRSLLMSTKSDMEQAVD >ORUFI06G23090.1 pep chromosome:OR_W1943:6:22393184:22401090:1 gene:ORUFI06G23090 transcript:ORUFI06G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRLSPGRSPREDALTFAARWSTPETPCSAARHRDVDLVARLWEERATAAAAVLRTHSTPVASLIHLTSKKRVILGLLQFRVKLPRAVGSAWPREALAGGGRREAADERVRWRDQGETGGGLWRRRTGGDEGAEDGWIGIALLLQKDCSNMRTITVDQRSDGCAWGLNARIAVRHVAGLFARESAQNSAALPLAHQQRRAGEERWPRKGVAAGPAMDSAARNDNQRRNGGGQRSIGGAGRSGRGAGSQRPRRGARGGRHAGAWCGATAVPEGGGSLGDAPADGGKGARWSRRRRPCGPAMAWSEPVIGWRNGGGGKLARGGGKMATGDGKVVNGGAGRISWPTTSNILQSELCTRRMTASSCICQLNEISSPH >ORUFI06G23100.1 pep chromosome:OR_W1943:6:22408277:22408892:-1 gene:ORUFI06G23100 transcript:ORUFI06G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAGHGLPGDVLDRLRAAGEAFFALPIAEKEAYANDPAAGRLQGKLAANASGKREWEDYLFHLVHPDHLADHSLWPANPPEYVPVSRDFGGRVRTL >ORUFI06G23110.1 pep chromosome:OR_W1943:6:22413210:22417200:-1 gene:ORUFI06G23110 transcript:ORUFI06G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSSMKPSSPSQANQDADDAKTYKELYQRCTDLVSSWPSRQGLSYLQLFRHEKGWYNGVTPLVGTMVADELFAARPSDIVVATLPKSGTTWIKALLYATVHRREHPADAAGDHPFNSLGPHECVKFLEYHLYRADEAPDLDALPDPRLFATHAPFDLLPRAVVAAAPPSGCKVVYVCRDPKDTLVSLLQFVNEYKSRNGRELVAVDAAVGFFCDGVSPFGPYWEHVLGYWRAHRERPERVLFLRYEEMKRDPAGHVRRLAEFAGVPFTSPEEDGGAVDAIVRLCSFDNMVGLEATKGGRTQLTTTTVPNSAFFRRGEVGDWANHLSPEMAQRIDAITEAKFAGFGLAPSLIELYLKLKKLYILAMDGLSKLTANELKSQRARERYTALSVEEKGALVQRNPENRERKNSASTSGTGSQTVNCQPSFQPVPSSTPMSSSTPEVRNSLFKEPVLHDAIKIEQNNAPGEPEVVIVEDDEVVIEPLPKKERTSNKG >ORUFI06G23110.2 pep chromosome:OR_W1943:6:22413210:22415175:-1 gene:ORUFI06G23110 transcript:ORUFI06G23110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVQCKVIIASWLYILAMDGLSKLTANELKSQRARERYTALSVEEKGALVQRNPENRERKNSASTSGTGSQTVNCQPSFQPVPSSTPMSSSTPEVRNSLFKEPVLHDAIKIEQNNAPGEPEVVIVEDDEVVIEPLPKKERTSNKG >ORUFI06G23110.3 pep chromosome:OR_W1943:6:22412806:22414400:-1 gene:ORUFI06G23110 transcript:ORUFI06G23110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLSKLTANELKSQRARERYTALSVEEKGALVQRNPENRERKNSASTSGTGSQTVNCQPSFQPVPSSTPMSSSTPEVRNSLFKEPVLHDAIKIEQNNAPGEPEVVIVEDDEVIIGL >ORUFI06G23110.4 pep chromosome:OR_W1943:6:22413210:22415175:-1 gene:ORUFI06G23110 transcript:ORUFI06G23110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWVQCKVIIASWLYILAMDGLSKLTANELKSQRARERYTALSVEEKGALVQRNPENRERKNSASTSGTEVRNSLFKEPVLHDAIKIEQNNAPGEPEVVIVEDDEVVIEPLPKKERTSNKG >ORUFI06G23110.5 pep chromosome:OR_W1943:6:22413210:22417200:-1 gene:ORUFI06G23110 transcript:ORUFI06G23110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSSMKPSSPSQANQDADDAKTYKELYQRCTDLVSSWPSRQGLSYLQLFRHEKGWYNGVTPLVGTMVADELFAARPSDIVVATLPKSGTTWIKALLYATVHRREHPADAAGDHPFNSLGPHECVKFLEYHLYRADEAPDLDALPDPRLFATHAPFDLLPRAVVAAAPPSGCKVVYVCRDPKDTLVSLLQFVNEYKSRNGRELVAVDAAVGFFCDGVSPFGPYWEHVLGYWRAHRERPERVLFLRYEEMKRDPAGHVRRLAEFAGVPFTSPEEDGGAVDAIVRLCSFDNMVGLEATKGGRTQLTTTTVPNSAFFRRGEVGDWANHLSPEMAQRIDAITEAKFAGFGLAPSLIELYLKLKKLYILAMDGLSKLTANELKSQRARERYTALSVEEKGALVQRNPENRERKNSASTSGTEVRNSLFKEPVLHDAIKIEQNNAPGEPEVVIVEDDEVVIEPLPKKERTSNKG >ORUFI06G23110.6 pep chromosome:OR_W1943:6:22413210:22414400:-1 gene:ORUFI06G23110 transcript:ORUFI06G23110.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLSKLTANELKSQRARERYTALSVEEKGALVQRNPENRERKNSASTSGTGSQTVNCQPSFQPVPSSTPMSSSTPEVRNSLFKEPVLHDAIKIEQNNAPGEPEVVIVEDDEVVIEPLPKKERTSNKG >ORUFI06G23110.7 pep chromosome:OR_W1943:6:22413210:22414400:-1 gene:ORUFI06G23110 transcript:ORUFI06G23110.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLSKLTANELKSQRARERYTALSVEEKGALSLHEGSQTVNCQPSFQPVPSSTPMSSSTPEVRNSLFKEPVLHDAIKIEQNNAPGEPEVVIVEDDEVVIEPLPKKERTSNKG >ORUFI06G23110.8 pep chromosome:OR_W1943:6:22413210:22415534:-1 gene:ORUFI06G23110 transcript:ORUFI06G23110.8 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYPRLYILAMDGLSKLTANELKSQRARERYTALSVEEKGALVQRNPENRERKNSASTSGTEVRNSLFKEPVLHDAIKIEQNNAPGEPEVVIVEDDEVVIEPLPKKERTSNKG >ORUFI06G23110.9 pep chromosome:OR_W1943:6:22413210:22414400:-1 gene:ORUFI06G23110 transcript:ORUFI06G23110.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLSKLTANELKSQRARERYTALSVEEKGALVQRNPENRERKNSASTSGTEVRNSLFKEPVLHDAIKIEQNNAPGEPEVVIVEDDEVVIEPLPKKERTSNKG >ORUFI06G23120.1 pep chromosome:OR_W1943:6:22415488:22415973:1 gene:ORUFI06G23120 transcript:ORUFI06G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFPPVVQHLGYRHIGQVQQHDRRWAAERGSRDNVDDGDKDCGSVGWGGRRLRLRPMGKRPAAAVGKKEEAAAAADGAEVGSGRGGGEEAGSGGGVSGEDAAERRQRSCEDVASVHMGRRRRSERSLRAWRSEVFASCGGKRNRAVVNEIARMDSRDSSQ >ORUFI06G23130.1 pep chromosome:OR_W1943:6:22418295:22420921:-1 gene:ORUFI06G23130 transcript:ORUFI06G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVELRVEALSLSDVSAIPPEYVRLEEERTDLGDALEVARAASDDADAARIPVVDISAFDXVSRDFGGRVRTLASKLLAILSLGLGLPEETLERRLRRHDQHGVDDDLLLQLKINYYPRCPRPDLAVGVEAHTDVSALSFILHNGVPGLQAHHAGTWVTARSEQGTIVVHVGDALEILTNGRYTSVLHRSLVSRDAVRVSWVVFCEPPPESVLLQPLPELLANGAGKPLFAPRTFKQHD >ORUFI06G23140.1 pep chromosome:OR_W1943:6:22427588:22428120:-1 gene:ORUFI06G23140 transcript:ORUFI06G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGEVGATWADVSGGGHSWVAGAVGLRASSGDAMAVHLPVDWLRESGERDVGGAGGGGGGGGGGGGGGGGRASSSAVEEELRALMEKRRAKMMLSNRESARMRKQRHLDDLTAQVAHLHRENVHVATALGLTT >ORUFI06G23150.1 pep chromosome:OR_W1943:6:22438456:22443983:1 gene:ORUFI06G23150 transcript:ORUFI06G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRRRRKCLTQSRGKPATVLASRLAAKEVRHHQAGGGSSAVSRRGRCGPAHGSNVHGCSRRPAATPGTHERHQPSGWELVRRRIPGASEDGGRSWRIAGVP >ORUFI06G23150.2 pep chromosome:OR_W1943:6:22438617:22445079:1 gene:ORUFI06G23150 transcript:ORUFI06G23150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLGHLQPRPPLHSLLPSSALSSLSTPPLSSPPHPPRCPPAAGQRGGAGSGATQATRRAVGRRMCRRRKGQAQVADGGSGAGAGGRCRERHGLSWPTVVGQCSY >ORUFI06G23160.1 pep chromosome:OR_W1943:6:22445379:22447874:1 gene:ORUFI06G23160 transcript:ORUFI06G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKRSGVVDSVVMCHAVRTGLVPTPKPHADPVRVAETLVGGGAPMDFDDINSTRKQRKAAGYKLGW >ORUFI06G23170.1 pep chromosome:OR_W1943:6:22448327:22449128:1 gene:ORUFI06G23170 transcript:ORUFI06G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRVGWSGMDWGINGGLGRRRQGQNRSCLPPPSHSDVGMYEYDDEVEEDYEEELRPAS >ORUFI06G23180.1 pep chromosome:OR_W1943:6:22449581:22455694:1 gene:ORUFI06G23180 transcript:ORUFI06G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVIHFGRDNDETIAGEVPAFPFLSPIRDFPSCPSLVAFSHGGRRATRAAAHVDGVVARADGTARVASSSAAPPRVRKEGKHRVEGSGATASGRGGAGGRWRTRSGRERGGEGERRRHRPRANVSTPLALAPPSTEMEEETSRAQLR >ORUFI06G23190.1 pep chromosome:OR_W1943:6:22457638:22460387:-1 gene:ORUFI06G23190 transcript:ORUFI06G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGGGGGGERAASAGGGAGGRVRVNPGFPWRASFPDDLCLAGPHGVSCDDDDGGNASHVVGISLGYVSDFSANPSCAAPSAATLLTSGLLAASFPRLRSLFVYGCFVAAPPAAPDLVLVNNPALTGRLAISAASLPLLRRLVVAYSGLSGDLPSTPFPRLEQLVLSGSRFAGRIPSALVQGLANVKILDLSSNLLAGGIPRAIGGLTQLVKLDLSSNTLAGPIPGELGGLASLELLDLSNNRLTGGVPAALRGMTAIREMYLSGNRRLGGSVPADMFAGLKGISAVGLSDAGLTGTIPASLGESLRNVTYLGLDGNLLEGEVPPALAKMAGRVRLHGNRAVCISPEFLAGAPRPRIAGVPSCNATQAAPVTRRPVVMPVPLASAEKPAAAAAPPPMRIATDLLVLCIVQQIIGDYLIEARAAFAAAAPLNGECGDHSAATTALGLVEAVLELSPRMEAALELRACSLLAFRRYRGVADMLRDYIPSCTKPTCFLCCFDISNLKHRVLADGEAAAHRRRWAQAAGGGAMRGRRPPGSPYAAPPTAAAITADARRRGTAGGREGREMRKREKRGKERGV >ORUFI06G23210.1 pep chromosome:OR_W1943:6:22472406:22473723:-1 gene:ORUFI06G23210 transcript:ORUFI06G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKRKKEDDTFALSYSRYSITLSKLRDRFRVMPSNPTQREIDWHSRALVLEILGSIIFTDSSGDSVPAMYLQFMDNLATHTEYNWGGAVLAMLYRQLNNGAEKARSEIFGPLVLLQFRSWSRLPLGRPKNIIQRMDEVEEQEEEESDGYPIFGAKWCSYHEFPTPHNCGTVQWQPYEGLIEQMPIQIQNDRTWWFARLPLVHFWVVEFHYPERVMRQFGRKQLIPPPPPHGEVELRKLRKVKHVGGKVDLNLEYKLQNMLSEAGLPIKVEEIQSDDDGTTACTPSPPNESSVDVFDEWMISGKCFSRYIDLGVETTNRVPTTQDTSQVTQCLENEDLVKF >ORUFI06G23220.1 pep chromosome:OR_W1943:6:22473762:22474219:-1 gene:ORUFI06G23220 transcript:ORUFI06G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDRFSDLLWMPHGQHKVSKISENPSSSMNIRHHRVGIPYHPLCRSALQNIGFYQIAKMRSIKIDKYLISALVERWRPKTNSFHLPIGEMTITLQDISCLWGLPISGKLIVGQSDGNWRI >ORUFI06G23230.1 pep chromosome:OR_W1943:6:22474684:22518222:1 gene:ORUFI06G23230 transcript:ORUFI06G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSQNFKSRFRWWHGLPVVVDGDGGLPASRWMTAAAAAAPCLSAAAPFASWVVPTLSRKEEQTPEVEADGGGGRLPKIRPASPLLLILLHFSTLSPSLSPLTSLDTRHPHIHTPSTRSGGGIRLHARVVATTGRFILRLQTGGRRRLPRPTSDRWPPDLAYGARIWPPRPLPPPPATSPPPVASSYATPPPPARSGGSRLHRGLSPHHGSSTSPLPVASSSTTPPLPARSGGSRLHRTANRWIRRPLPPTAGVVHRLLHPLALPPFPTLEIKWNSRRLKSLLTIGAILWVIAGAAVFVLPSQMHNLIYPLAVVIGAANTLVMLTTIGLESALVGDDLNGYTFVYGSLSFLDKIHLESPCSSSNPTKLRRDDALYTMRTGLIPSCFAVFVLLVPLLLPPLHWKLHSSPGVCRILWAVAMHTKLPDRYTKGYRSRHLE >ORUFI06G23240.1 pep chromosome:OR_W1943:6:22510932:22518608:-1 gene:ORUFI06G23240 transcript:ORUFI06G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKTAAAATCLVALLVVVLAEAAGVGGTTVTYNDRSLVIDGERRIIISGSIHYPRSTPEMWPDLIKKAKEGGLDAIETYVFWNGHEPHRRQYNFVGNYDIVRFFKEIQNAGLYAILRIGPYICGEWNYGGLPAWLRDIPGMQFRLHNAPFENEMEIFTTLIVNKMKDANMFAGQGGPIILAQIENEYGNIMGQLNNNQSASEYIHWCADMANKQNVGVPWIMCQQDSDVPHNVVNTCNGFYCHDWFPNRTGIPKIWTENWTGWFKAWDKPDFHRSAEDIAFAVAMFFQKRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNLRQPKYGHLKDLHSVIKSIEKILVHGEYVDTNYSDKVTVTKYTLDSTSACFINNRNDNMDVNVTLDGTTHLLPAWSVSILPDCKTVAFNSAKIKAQTTVMVNKANMVEKEPESLKWSWMRENLTPFMTDEKGSYRKNELLEQIVTSTDQSDYLWYRTSINHKGEASYTLFVNTTGHELYAFVNGMLVGQNHSPNGHFVFQLESPAKLHDGKNYISLLSATIGLKNYGPLFEKMPAGIVGGPVKLIDNNGKGIDLSNSSWSYKAGLAGEYRQIHLDKPGCTWDNNNGTVPINKPFTWYKTTFQAPAGEDTVVVDLLGLNKGVAWVNGNNLGRYWPSYTAAEMGGCHHCDYRGVFQAEGDGQKCLTGCGEPSQRFYHVPRSFLKNGEPNTVILFEEAGGDPSHVSFRTVAAGSVCASAEVGDTITLSCGQHSKTISAINVTSFGVARGQCGAYKGGCESKAAYKAFTEACLGKESCTVQITNAVTGSGCLSNVLTVQASC >ORUFI06G23250.1 pep chromosome:OR_W1943:6:22537873:22538710:-1 gene:ORUFI06G23250 transcript:ORUFI06G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAATAGTVLLLLFVINVVSVVAVAARPLEGDGWLESGIGMVTEVLRAAKSGPHATPPRALSLTTIDIAVLLHEAPTPCPAPAMASLPLSSC >ORUFI06G23260.1 pep chromosome:OR_W1943:6:22537901:22548657:1 gene:ORUFI06G23260 transcript:ORUFI06G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGQGVGASWSRTAMSMVVRLRARGGVAWGLSDADDIERWAAYEGRAPASNSKTCLCMGQEEAAV >ORUFI06G23270.1 pep chromosome:OR_W1943:6:22566600:22578908:1 gene:ORUFI06G23270 transcript:ORUFI06G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRRLELAALHEQYHHPDSPTSLSLRKRRASRGEASTGSGLAEGMRRRTRWREAPWGGALLSAISGGRGGGGRGSGLTGGRWRQARGLDEGRQRRAHRRETVIFRGEEPSPPPDLAGGEAAAGAAGSPGVGGGRQWACGGDAAMGSPEGGGGPAEETRRWARRWEVAGSPVGVSGLVDGRWRDGSKTTDRATATMADLKRNNLSTKKIAEIAPAALMAPASNSKTCLTPPRGVGIVETRCLRRTQARHRWRDGRTIDGETRDESASVRGIGGGEGGLAAGRRRRNRPSEGI >ORUFI06G23280.1 pep chromosome:OR_W1943:6:22596159:22596923:-1 gene:ORUFI06G23280 transcript:ORUFI06G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKLSGGKGRMSSARSVASPSAARVGGGSSAPDVEQQKSVAAVGASSPVSSSKHHHMAKAEDGTITVNGDKQQNPAADRNGFVRCMDTAARSEVMNHSLQKYVIHFDGCHPLPMRNPRKRCAWCSLRDIRAACDMNFRSNETTRVNSNGCEHVSNENM >ORUFI06G23290.1 pep chromosome:OR_W1943:6:22597681:22597872:1 gene:ORUFI06G23290 transcript:ORUFI06G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGGQRMMMGTSVSWQRLAIYGFGRLSGVHGNSSEMVWDTARADDALQAPSSGAPAGVLFK >ORUFI06G23300.1 pep chromosome:OR_W1943:6:22598617:22602065:1 gene:ORUFI06G23300 transcript:ORUFI06G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGRGKGTTPSGCPIPPKLPHQTMSKKKKPGGVDFNALSRHGYRGGPSVLTVPPPKVEPNWSWSTGKDRNDKEDQTESYEERERTRTAVTEGEKLIGVRNPQPRQMEKENKDASFSQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVYSGFDT >ORUFI06G23310.1 pep chromosome:OR_W1943:6:22605866:22608415:-1 gene:ORUFI06G23310 transcript:ORUFI06G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVLSSTSAALGGGRRRESGGAVGEKLAVSPGARFLITVFLGSVVHSSNAKDVEEKLKFKDGGDEDFDTGTEEFII >ORUFI06G23320.1 pep chromosome:OR_W1943:6:22612683:22613135:-1 gene:ORUFI06G23320 transcript:ORUFI06G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRTSSPCRSRPLLRNIVDFRSPSSKLLSSTHIVLLLEENAGRSATTRCPQGVISSGCVPNHLAGVAVDTAEPPKSIDGEALPANASLSPSSSAARRRRPLPGRQGASCRYPEVVNISSTSRDGYRRTPVASTCHDAPLVGRDVPLRLY >ORUFI06G23330.1 pep chromosome:OR_W1943:6:22621495:22622400:1 gene:ORUFI06G23330 transcript:ORUFI06G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPLPSQVTPARARRRHHLRPRSRAHAGRHRTRICAAAAASQRTRTMLPPLPTHARATASVLTTKFEHSAVDPAGVTRVCAYSLAATGSSGDRRRLMQVELLWPVATAEEEGAARLEEADDIGDVAVLVDASGAGSAFTRECPGLRLSTMYFAINPTGETRVCAYSLAAVGSSMPPASPAIASGRASPLFPSPAGAVGPGPCHPPLPPSRAVTLAASHPISRRRRRARSAPLAATPASPRLSRRRDLASARCCAAGARKPSHPYGPQIQGQTCLYLVFLSPNL >ORUFI06G23340.1 pep chromosome:OR_W1943:6:22629724:22638721:-1 gene:ORUFI06G23340 transcript:ORUFI06G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRRGAAAAPPPYWWITGASFRKSCFNLSNVMAAGMGMLSVQYVLSACGLAWRSISPIFGAICFYTGNLNNRCMRADHCVRSYPDIGHLMAICGYGQMAIGLVMYVELYLVTNLDKLLLGTVLSLARGDMFCNTAASFGRRTCLNLISGAIILPKTWLKNLSMLAYVSAVGLVRQWR >ORUFI06G23350.1 pep chromosome:OR_W1943:6:22642529:22643572:1 gene:ORUFI06G23350 transcript:ORUFI06G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLRPPEHQVAGNYASTDKLGPLVPRVYKILQAGERGEHEAAFFPGGGGEGGGGGEAGRGRGRQRRQEGRQIRARLGEGEGRRRRGRGAARRSHAEERRGGAASREIAMRRSGVAARSAASTHSTPWRRTTRGRWARGGGGRLEAGGGAAEEAGGGERAAVSGERRGRRRGRPDPLISN >ORUFI06G23360.1 pep chromosome:OR_W1943:6:22645016:22645420:-1 gene:ORUFI06G23360 transcript:ORUFI06G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRWGWCPNQPQPWISHCDHLAFGGYSQITIGLVMYIKLYLLAISFLILEGDILDKLLPSTWWRSYGTRCVREAVVRAHCGCRHPPDDVAQLPQHARLCLGGQAHLVGGVTTSLVWVGMSDKGFDIEGNCLLT >ORUFI06G23370.1 pep chromosome:OR_W1943:6:22657717:22658679:-1 gene:ORUFI06G23370 transcript:ORUFI06G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFTIWSSSHSSLLATARSASLALPSGGAASTSTTSSQASALRVICGKWLSLALFTMVGAICFYTGKLIDRCIFLILEGDNIEKLLPGTVVKILGVPGVWEAAIHARGGAPSSS >ORUFI06G23380.1 pep chromosome:OR_W1943:6:22664464:22667166:1 gene:ORUFI06G23380 transcript:ORUFI06G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDFFTLTEMKDGISTVARIGELVSEIKKLKSAAELNTADLIRQCATAANTLASTKNEECLQHFVQLNGVGFLHQWLQDAQNCGEDISNAAEDLIVAVLSALECLPVENAQITSCGVLHTVEHLLSHSNTDINKKAGVLCHKWRSVPKCTSDVHDMVAKERNPDQLKLPEPKPESGGANEAAIAGDKSKSEVMVCSSVPLPNHSQTNDNCDIVKQSLVMIPPNSDGNAIIGDGNPSVPSLACRNGLENVPVTEESSANNDAKSGAAQVSLPDGTAEAKSSGTNNPENPFVSNKMDVQDQNVSISVDIKKGESFLEDMPHSEKNTVGGWDLAPLDMQDSSDDESTRKEEGPTSSSDTDVKGAVNELRLKRCMTSFGDSSKAADKKSKAEKGDTSTPLAEYDDTDALEVARLVAIEVEREVIDYRGPFCGSPDINSRRSDSPDLEARRQPEPPMDEPDNDNKSSTTGEDSGSSSSMKEDGSGITDDSGTFSRKHTRSMKLGGIDLNENQCTEEVDCHTKSTLSNSINLSTPIAVAASRTSSVFPARLHFEGELGWKGSAATSAFRPASPRCTPDGEKSVSASSQRTGNALFDLNVSESDNATAGEPLSAAILPLSSDIVRKDASATVGLNSLELDLNCPCDDEEAAITTSNVPSFWNRQQCNGDWSHPSSSSSSRQPAVRNFDLNDNTPIVDGFLRGADESSVKTSGRDVSDHSAVTILGKRIVLGQKEHSHQNEHNFLGPSVESRDPARSMQSYGHTPPDYSVVSYSSHSALSFPSPFYAPGTVPYMVDAKGTPVIPPLPGFGVPTVPNLGVGTSHPSLGSRAIPPSSELSYFHPSMDLNYGRSYEGARREGASYWPVSFQGQTMFVDERMGNMSQGGSSGVPVLKRKEPDLGWDLYPRR >ORUFI06G23390.1 pep chromosome:OR_W1943:6:22688761:22691157:1 gene:ORUFI06G23390 transcript:ORUFI06G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPSSPKAVAKNVSKLSLPSCAAGQRRQRIQEVRVAAVVN >ORUFI06G23400.1 pep chromosome:OR_W1943:6:22691947:22698376:1 gene:ORUFI06G23400 transcript:ORUFI06G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEAGRRRGKKLSYTSCPFRPQPPAPLAAPPRSHTPAAHATLALPIRLPVDAICLRLVDEMVDIDRRLPVPHDLPHPPSHVAGFRGLSTAKTKCFKWCRRLPRTHRGADGIAPARRSSAPPTNSSPYCRPLRQPLARSSGRPMRRAQLPPPLRPTPARSASRLLPAPSPAPPAGRSTPRRALSSDLLGGEGREDREKKGKETKVSLTCGAHVVNDVCDRFATAGFNTNMIAYLTQQLHLPLVVASNLLTNFTGTADSFAGHLWTTAAPGVLSQLGMLGLVVSALVPAPPRAVQRCRCRPQMNTIAKAKQQHAKNTTTEIILLDFGDGGEGFLQLLVGVGEVDHVAPQDARSKWPCPHMAIRITFFSPVLLHSIASLIAAAIACVGSGAGMIPSE >ORUFI06G23410.1 pep chromosome:OR_W1943:6:22694963:22698605:-1 gene:ORUFI06G23410 transcript:ORUFI06G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASVRPPLLRQAAGSEKASLLCKPKQRASVRRRSFTARASSNPVSIPKQWYNLVADLPVKPPPPLHPQTHQPLNPSDLSPLFPDELIRQEVTEERFIDIPEEVAEVYKLWRPTPLIRARRLEKLLGTPAKIYYKYEGTSPAGSHKPNTAVPQAWYNAAAGVRSVVTETGAGQWGSALSFASSLFGLTCEVWQVRASYDQKPYRRLMMETWGATVHPSPSAATESGRRILERDPASPGSLGIAISEAVEVAARDADTKYCLGSVLNHVLLHQTVIGEECLEQLAAAGDVPDVVIGCTGGGSNFGGLVFPFMREKLAGRMSPAFKAVEPAACPTLTKGVYAYDFGDTAGLTPLMKMHTLGHGFVPDPIHAGGLRYHGMAPLISHVYELGFMEAIAIQQTECFDAALKFARTEGIIPAPEPTHAIAAAIREAMECKRTGEKKVILMAMCGHGHFDLASYEKYLRGDMVDLSHSDEKLQEALAAVPKI >ORUFI06G23420.1 pep chromosome:OR_W1943:6:22713717:22714184:1 gene:ORUFI06G23420 transcript:ORUFI06G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVKNPSIQSNIHDKITAKTGDEKVEVSEENVHGMPYLRAVVLEGLRKHPPGHFVLPQKAMEDMEVGGYLIPKGATVNFMVAEISRDEQEWAKPMEFIPKRFLPDGDSEGVDVTGSKGIRMMPFGVKRRICVGLNFAMHHLEYFVANMVREFK >ORUFI06G23430.1 pep chromosome:OR_W1943:6:22720565:22721288:1 gene:ORUFI06G23430 transcript:ORUFI06G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNLSCFGSAAAGSGYGDIAAPPPSRRRRRRTSCDGRRGRCGRATRTGCGTSASGTSTGRRRSSSPSSMPRLVNSTKMLWLVR >ORUFI06G23440.1 pep chromosome:OR_W1943:6:22725083:22728012:-1 gene:ORUFI06G23440 transcript:ORUFI06G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSAGSLRRRPKFAAPLLSPACLHRLSVPGEFAARLDDDDAAGVGEEEEEEEESGRRAAAVLVVGPLGKVWRVELRRSPSGDGEAWLGGGWSELAAAHGLGEGWGVVLRLERRGVASLRVFDPGFCLARFCTPHAGMRTKDRPHFIKLLQQEDLEKMKIPEKFVQQHLTETYTNNHQNAIIVCPLGKFWRVELQREQPDVLLRDGWAPFLAAHDLSEGNILLFRYEGNMVFTVEVFLQNGCLKEYKTAALYLTDGTEGPSNAPQQSAAKVGVSPVKRKRTRRIEGTCLEGPNRKSRASPISVKVEPHKKHVSIVSQNSFTKEMTAYSIHSLLSVRGTFCSQIGLLEACAITLKISMKKKGSWRVAFKTANTYGYINGPGWRKFCLENEVKEGDCLTFNAIETTVWHVVIVHC >ORUFI06G23450.1 pep chromosome:OR_W1943:6:22732362:22736691:-1 gene:ORUFI06G23450 transcript:ORUFI06G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARPTELVLGGPAQHVRRFLTSRPGAQTLDPRPQTYTTTRLISPGRRARPSPSPSPSTPQQPGGRPRRSSSPLPPQGRGAPAPPPRVPLTWSPSARHRRASPSRSDTAAAVAAGGPLIHRRTWGHNEDEDDELFCSSVEPASASMLSPSS >ORUFI06G23460.1 pep chromosome:OR_W1943:6:22738610:22739066:-1 gene:ORUFI06G23460 transcript:ORUFI06G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRSAAAAMSWPSARVTMLGDSMATTSSVVNPSSSQRSSSLPAATIPGRITVLCSPGRRRSLITVLCSPGRRRSLSVSPFSPTSTATLDRSMPHCIDRYLKD >ORUFI06G23470.1 pep chromosome:OR_W1943:6:22761681:22762067:1 gene:ORUFI06G23470 transcript:ORUFI06G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGVKLVGGGGGGVAATSSSAAAGGGGGHPGMWRTPTPYLFLGFAVMMGLIAVALLVLVCTRRKNHGDAGSSASAAASVKVLVPLDREPKVVVIMAGDTAPSFLASAKPLSSFLLPPPPPPAAAGEP >ORUFI06G23480.1 pep chromosome:OR_W1943:6:22776460:22778016:-1 gene:ORUFI06G23480 transcript:ORUFI06G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQRNKEEEERRSSSKKVDQLISKCVLLSFGERERERERERERERDLRMVNPGRTARALCLLCLALLLLGQDTHSRKLLLQEKHSHGVGNGTTTTQEPSRENGGSTGSNNNGQLQFDSAKWEEFHTDYIYTQDVKKP >ORUFI06G23490.1 pep chromosome:OR_W1943:6:22783078:22783572:1 gene:ORUFI06G23490 transcript:ORUFI06G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQSFGAVDLAASFFFSSSSSSPQPGLVGVHGDRFLGGGGGDGGDGGSSYDGGGDGGAASGKGTRRDERKERRLASNRESARRSRVRRRRQLDELSSHVAELRAANHRLAVELNRAAARHAQMARENARLAPLRGGGGGGGDGEAEEEAGGGCGAEAILAPMD >ORUFI06G23500.1 pep chromosome:OR_W1943:6:22787264:22788280:-1 gene:ORUFI06G23500 transcript:ORUFI06G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIAADDLFLDAAADHHPAGFSSSSSSYFASFSEVVEEEDEERHPHPHHRLLLDDDAAGFDHDETLAAPAPAPGSPFSFASDPDPDPDIDLELRLSSRSPPFWDCLEDDLADEEMVGGGFEWEEIADAAVPAPGAVAAGGGGGGGGGDGGLVGDGDVFGFLDEREILGAMEGLDSGDDESGFSDEPFDFGDEGDDIGDIFRSVGWEVLPVPLDEDDFEVLPGHVADAAAGGAPPAARAAVERLQVVAVGGGGEEAAAASRGCAVCKDGIAQGELATQLPCAHLYHGACIEPWLAIRNSCPVCRYELPTDDPEYEKRRVKRRSSGDSVAQLGTPMQI >ORUFI06G23510.1 pep chromosome:OR_W1943:6:22794882:22797622:1 gene:ORUFI06G23510 transcript:ORUFI06G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKLKLSDSKKVRCMIISILGFPDKNLTESLLSRRVKDIMGISSESPNGSQQIVQKETRDETTPLLPVKVEEEGFHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIIVVALLTEASIDMLVRCSHQGKITSYGWLMGEAYGQWGRIALQASVVINNIGVMIVYMIIIGDVLSGTSSTGVHHRGILEGWFGAHLWNSRAIVLLATTLFVFAPLVSFKRLDSLRYTSALSVALAVVFVIITAGIAIIKLFNGTVAMPKLFPELDGLSSIWKLFTAVPVLVTAYICHYNVHSIDNELEDRTQIKPIVRTSLFLCSSVYIATSFFAYLLFGEGTLDDVLANFDANLGIPFSSVFDDIVRVSYAAHVMLVFPIVFFALRLNLDGLLFPTSRHISRDNKRFAIITISLLTVIYLAAIFVPSIWDAFQFTGATAAVLIGFIFPAMVILRDPYGIASKRDKILAVTMIVLAVLSNSVALYSDAMNIFRKEEEA >ORUFI06G23520.1 pep chromosome:OR_W1943:6:22802272:22806690:1 gene:ORUFI06G23520 transcript:ORUFI06G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVASTVAARFAFFPPAPPSYGVEPPPSPSPAAAAEDGAVVELSGVPRRAGVEARRLPTGRGTEVVAMYVRQPGARLTLLYSHGNAADLGQMYELFVELSSHLNVNLMGYDYSGYGQSSGKPSEQNTYSDIEAAYRCLVETYGATEENIILYGQSVGSGPTLDLASRLPHLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKVPLVKCPVLVIHGTADEVVDCSHGRALWELSKIKYEPLWVKGGNHCNLELYPEYIKHLKKFVMAIEKLPPTKDESSGSSGPSDPCEIGSESMQSSRKSTDVKDKSRSSIDHRHSVDRREKPRGSIDRRDKSRKSIDHPDKPRASVDQPDRPRRSIDRFGGMMRSVTSVKLCNIDCFKVTYASGS >ORUFI06G23530.1 pep chromosome:OR_W1943:6:22807680:22808657:1 gene:ORUFI06G23530 transcript:ORUFI06G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGELARVWAAIRSGGDPVANDGMQKSTMMWKESKVGGEARRWSLGSRNKDGREWRGWCAVSSLSSGASIDGVIELEDATTTMDLELEVAGAADGVGLIELTGERG >ORUFI06G23540.1 pep chromosome:OR_W1943:6:22811494:22814447:1 gene:ORUFI06G23540 transcript:ORUFI06G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSTKAQAGILPMLYESPTVITPEKRNPQVSLCLNNVFSENRSNFNQFTGKCIHRASKPKPPLVLPTTGVEHGYTGCMTDIPKSRVRQLVMQPLNCVIFLLGLAILSVTFGPFVTIAHRELMMATYSEKGPENKLDHGVDETRTYEEIKSKIFAGRKMAFGVAVMENHPKDARSKPSSGEISNYSSNSRVPSSLKDSSSSTMKARPSVDNIKLEGSTSEQTLNIPNPQHIIILPFKPYYRHLSLGSKKEQKGSSICCNSYRTNEDWKEKMLESRDEVLRLLNKDYHANPHRRPPVHN >ORUFI06G23560.1 pep chromosome:OR_W1943:6:22818785:22819375:1 gene:ORUFI06G23560 transcript:ORUFI06G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGRSDDEQVESAAGGTSRSKDMTSSGNDSKSSQGGSNPPPIRQREGQFDYSPAILCKCGYNDGQMDFLSGNNPSRRYLTCARARENSEMRACLVSARDELKVVHNGVCNRDVADWTRKLKEKDDSACKLNVLN >ORUFI06G23570.1 pep chromosome:OR_W1943:6:22820985:22821702:1 gene:ORUFI06G23570 transcript:ORUFI06G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDGNGGGLGGFVWSYHGGDEGIMVLMCSTLLRLEPIGRLAQANSAPELHQARRGGRSLRTGGAATFGQNQV >ORUFI06G23580.1 pep chromosome:OR_W1943:6:22821741:22825530:-1 gene:ORUFI06G23580 transcript:ORUFI06G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASAAATLPTTLLLRRLLLLSPRRHPTTPAPRRFRSCCCSSSSSSPAAAPAHPHPPAGGRRLRGELRSRAMSSSTNSTVLAESVATGSSVDVELLPFVNDKHGGVIVEMTAPMDPQLFSASLKASLSKWREQGIRGVWIKLPISLANLIQYAVEEGFWYHHAEETYLMLAYWLPATTHTLPVNATHRVGVGAFVMNDKREVLAVQEKSGVLRGLGVWKFPTGVVEPGEDINLGAVREVKEETGIDTEFVEVLAFRQSHKAFFDKSDLFFVCILRPLSFDITKQDSEIEAAQWMPVEEFAAQPFVQKHELVKYILEVGLAKVDKDYAGFSPILIKSAFTDKKSFFYMNRRDLDKASESSSTQKKTEKPLFMEKL >ORUFI06G23580.2 pep chromosome:OR_W1943:6:22821741:22824693:-1 gene:ORUFI06G23580 transcript:ORUFI06G23580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHVCLICSSVVGAGRRLRGELRSRAMSSSTNSTVLAESVATGSSVDVELLPFVNDKHGGVIVEMTAPMDPQLFSASLKASLSKWREQGIRGVWIKLPISLANLIQYAVEEGFWYHHAEETYLMLAYWLPATTHTLPVNATHRVGVGAFVMNDKREVLAVQEKSGVLRGLGVWKFPTGVVEPGEDINLGAVREVKEETGIDTEFVEVLAFRQSHKAFFDKSDLFFVCILRPLSFDITKQDSEIEAAQWMPVEEFAAQPFVQKHELVKYILEVGLAKVDKDYAGFSPILIKSAFTDKKSFFYMNRRDLDKASESSSTQKKTEKPLFMEKL >ORUFI06G23590.1 pep chromosome:OR_W1943:6:22831305:22836366:-1 gene:ORUFI06G23590 transcript:ORUFI06G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSCVGSAVTSIKLSGMGLNGTLGYQLSNLLALKTMDLSSNNLHDSIPYQLPPNLAYLNLSHNLLFQEIGEMFGNLTALSELDVSFNNLNGNLPISLRSLSNISGILGGNSFLNVPSSPPSTITSPPQGQPDFPQGPTTAPNIPEIPIDQGSDKKQRLRTGLVIGIVIGSMAAACGVLFTLVLCLHNVRKSKDGGISESKDVASTFAVNIDRASNREIWDHTQQDAPVSSSVLPPTGKMTPERVYSTNSSMSKKMKVSVTANPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSASLSLYEEDNFLEVVSSISRLRHPNIVPLAGYCVEHGQRLLVYEHIGNGTLHDILHFFDDTSKILTWNHRMRIALGTARALEYLHEVCLPPVVHRNLKSANILLDKEYSPHLSDCGLAALTPNPEREVSTEVFGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTARKPLDSSRERSEQSLVTWATPQLHDIDALAKMVDPAMDGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLVQRASMVRRQSGEDVGLSYRGPDREGGTADAI >ORUFI06G23590.2 pep chromosome:OR_W1943:6:22831305:22836366:-1 gene:ORUFI06G23590 transcript:ORUFI06G23590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSCVGSAVTSIKLSGMGLNGTLGYQLSNLLALKTMDLSSNNLHDSIPYQLPPNLAYLDVSFNNLNGNLPISLRSLSNISGILGGNSFLNVPSSPPSTITSPPQGQPDFPQGPTTAPNIPEIPIDQGSDKKQRLRTGLVIGIVIGSMAAACGVLFTLVLCLHNVRKSKDGGISESKDVASTFAVNIDRASNREIWDHTQQDAPVSSSVLPPTGKMTPERVYSTNSSMSKKMKVSVTANPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSASLSLYEEDNFLEVVSSISRLRHPNIVPLAGYCVEHGQRLLVYEHIGNGTLHDILHFFDDTSKILTWNHRMRIALGTARALEYLHEVCLPPVVHRNLKSANILLDKEYSPHLSDCGLAALTPNPEREVSTEVFGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTARKPLDSSRERSEQSLVTWATPQLHDIDALAKMVDPAMDGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLVQRASMVRRQSGEDVGLSYRGPDREGGTADAI >ORUFI06G23600.1 pep chromosome:OR_W1943:6:22841045:22844847:-1 gene:ORUFI06G23600 transcript:ORUFI06G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAARAPPAAEAQSLVESFCGVTSATPQEAAFFLESHNWALESAVRSFYDSADGDASAAAADAADPPPRQPPPPPPASDGADSDDEDYVVGGGDEDQDDEDYVGDGDGDGEGDDDDDEDAALAAEEAAASDERRRPLKRLKRGQNARGGSGSGKGNVRTLSDLGGGKDSAGSEDSEDDEYKPPQELYTGGEKSGMVVRDRSKRKNRADEIFKEAKRKGAKKGSFEARRKSKSFAGTGRLLTGESAEPVAPQSPESIVHNIYFWTNGFTVNDGPLRSFDDPANASFLKSIKNSECPSELEPADKKSQVNVNLVRKEEKCPEPVKRAAPFHGAAKTLGTPSDNNSTPPEATSAAAAASSTETASKTVTITVDDSLPSTSLQIRFVDGSRMVAHFNTSHTIADVRAFIDTTRPGEAGDYTLQAGFPPKPLDDMSKTIEEAGVANSVIIQTA >ORUFI06G23610.1 pep chromosome:OR_W1943:6:22849639:22852045:-1 gene:ORUFI06G23610 transcript:ORUFI06G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSSASSAAAGEPLLPAAASAKGGGGGGGRASMLQRCVSRADDELQWFRSCLRWVCMDHSGPWGAALSWLLFLLLAVAVPAAAHFLLAFRASRRPFSAVVQVSLSAASAAGFLCLSSSFRRIGLRRLLYLDKLRTKSDRVRLNYTARLSFSFRLLASLVAPCFAAEAAYKVWWYATSGDRVPFFGNDVLSNAVACSVEMAAWMYRSAIYLLTCVLFRLICHLQGLRLEDFAGTLLVEVEEGRAGVERVLREHLDIRKQLKVISHRFRKFIVASLLIATASQFASLLLTTRHDSVDDLLNTSELALCSVVLMSGLIIILSSAAKITHQAQALTGQTTKWHACCTIEPVPDEEAEPGSNHSSMLEVEPVSDSDGESSEETGDEDLLENTKIMLPQAHVISFQKRQALVGSS >ORUFI06G23620.1 pep chromosome:OR_W1943:6:22857341:22860421:-1 gene:ORUFI06G23620 transcript:ORUFI06G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQRRQRRNEKSRSQPVRKNGSRDVDPFEYGEALSWRNQSYMNDIADRCAPSPHPLSMCQSRAAAFGYPCEEYKVTTEDGYILSLKRIPHGPHDSNTSTEMRPPVLLFHGLMVDGATWVMSTPKQSLGFILADNGFDVWIANSRGTNSSRNHTSLSTKDPAYWEWSWDELASYDLPAVLQFAYDHTGEKIHYIGHSLGTLMILAAFSEHKLLDVVRSAVLLCPIAYLSRTKSKLLKLAAHIFLAETVHWLGFYEFNPVGPVAHEVLSQICGDPEINCYDLFSAVAGPDCCLNTSTFCAFLEHAPQSTSVRNLVHLSQLVRNGGVSRFDYGNAKDNMKHYNQPRPPPYNLSSIPNHVPIFLTHGGEDYLGDVPDTRHLLRTLVKKHNSDSIEVIYVPDYAHADFIMAYNAPELIYGPMVDFFKRH >ORUFI06G23620.2 pep chromosome:OR_W1943:6:22857341:22860421:-1 gene:ORUFI06G23620 transcript:ORUFI06G23620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQRRQRRNEKSRSQPVRKNGSRDVDPFEYGEALSWRNQSYMNDIADRCAPSPHPLSMCQSRAAAFGYPCEEYKVTTEDGYILSLKRIPHGPHDSNTSTEMRPPVLLFHGLMVVRTLLYQSCAICALIIPESSVLFGQDGATWVMSTPKQSLGFILADNGFDVWIANSRGTNSSRNHTSLSTKDPAYWEWSWDELASYDLPAVLQFAYDHTGEKIHYIGHSLGTLMILAAFSEHKLLDVVRSAVLLCPIAYLSRTKSKLLKLAAHIFLAETVHWLGFYEFNPVGPVAHEVLSQICGDPEINCYDLFSAVAGPDCCLNTSTFCAFLEHAPQSTSVRNLVHLSQLVRNGGVSRFDYGNAKDNMKHYNQPRPPPYNLSSIPNHVPIFLTHGGEDYLGDVPDTRHLLRTLVKKHNSDSIEVIYVPDYAHADFIMAYNAPELIYGPMVDFFKRH >ORUFI06G23630.1 pep chromosome:OR_W1943:6:22867792:22869605:-1 gene:ORUFI06G23630 transcript:ORUFI06G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPLRPASTAARDLDQDAHRPEWDLDQSGIGSMRHRVVPPIGVDGWKAQGLFIGEQVTDEEVCTDGTQSVYFTDLLVNDVDESQFAAPTKARNQSGSRVDDKIANACELFKEEDKKHRKFNLMHCWNILKDKPKWMDNRKKVGCAKKPSNKKQKTVANSSPTSVEPADLDVYCSDAQPSVRPDGKKAAKQKLRQGRTIEAVDYLMEKKKEADVVRELKKEEMCKKAFALQEERCKRAFALQEERNKLEREKFEFQKKEAEKAEKVEEERILGLDLSTMN >ORUFI06G23640.1 pep chromosome:OR_W1943:6:22882220:22885177:1 gene:ORUFI06G23640 transcript:ORUFI06G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEERVAEAMKGLLVEYSQQSARLAVQVRGNEYRRAAAAGGGGGFLLSPGERERLRAGSGLELVIVPVMEGDQVVSSSASAEVLVSPLVEGEVRVVPAVERRRWRRQAAAEEWEEIDPETKYRGVRVRFGTRYVAEIHNPTGAGRLWLGTFDTAEQGAWAYDAAARVLRGEAAATNFGDPAQTPSPLSAEMRSMLAFFDRARARRERVVEERGAAAAEAEASEAPAPAPLLLLPAPPPSSDVSPVTVQVAAPPSSSDAAPVPATLLSDASPVIVQVPVPPSSDAAPVPAPTLSDASPVPVQVPAPPSSDVALVPVPVQVPAPTSSGSDEAAFVGGRGRGAGAGVGGGRGLGRGGGGGRGRGGKRAPTTAVTVVAPPPPVPTPAAGAAAPTLAPASAAAHAPALSVEPDALVSPASIAPAYAAPAPAPAPAALEALAPPPPAAPASLSASGGERGCVAIANAAVAGRGRGRGSGAKRAPTAVTVGAPPPQARTPAAAPAKAFVSAPAPAPSSVISPVLAASASAAAAFAPAPAPAAAEVIPPPPLPAAASTAAAPAAERKRKLLTASSLSSSGGERGRGRARGRGRVADAAIAGGSRGRGRGCGLTPAVVTVGAPPPPSAAPAPAPASAPSLEPNDIIAPVVSSTLAPGASAAAAAAPAVAPPAAALEAILIPMPPPAAAAAAAAPVPKKRKVRTASTLSGSGGPGRGRGRVAEATFVGRGRGVWSVRGRGRGRIPTEVTVGTPSPSAPTPAPASATAPLIQSNVVISPVPPTLAASGVAAWPPVPAIPELTTAAAAPLPEKPVLTAHDVVMATLFPNGVFSYTAGSSHAPPPPVASGSFFQEVIPPGGVPTNYAIHEAPDFDPNVFFADVPDGEQDELVLLSLTSTLLDIDNSLTLVADDAASSAQAAVDVASSSAQAAIDVPSSSVLAVVDIPSSSAQAAIDVPASLAQAATDVASSSTQGAAGNERPIMFDFDLNEPASNFE >ORUFI06G23650.1 pep chromosome:OR_W1943:6:22885183:22885969:1 gene:ORUFI06G23650 transcript:ORUFI06G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRKHQLRSLEPNVVISPVSSTLTTSAVAARPPAPMTPELATAAAAPLLEKPVLTIHDVVMATHFPNDVFSYIAGPSQASSSPPPTSSNIFLQAVIPPGSLQTNYAVHEAPDFDLIEHLLRRHA >ORUFI06G23660.1 pep chromosome:OR_W1943:6:22886008:22888934:1 gene:ORUFI06G23660 transcript:ORUFI06G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLGVDTRPTSPLNNPWSHRPLLSSVQAAVHIASLLAQAVVDVPSSSAQATDDDSSSSTQGATGNERPISPAPTMHELATAAAAPLLEKPVPTTHVVVMATLFHNDAFSYIAGPSHAPPPPPPPSSHTFVLAVIPLGSLQTNYTVHEAPAPTPLLLLPVPPPSSDVSPVMVQPQPSPKAVDRNADAGVLEWARARTRTHSDCGDRGYSIAVGTHTCYRTGIGACICISTSNCTVNATKCCDFAGAFYTRCLWRSCTATGSSKT >ORUFI06G23670.1 pep chromosome:OR_W1943:6:22888988:22889521:1 gene:ORUFI06G23670 transcript:ORUFI06G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSWQLSSPTVSSPTSPSHAPPPPPAASDSFFQVVIPPGGVPTNYAVHEAPDFDPNIFFADVPDGEQDVPVLLSSTSTLLDAAVDVAPSSAQADVDVPSSSVQAVVDVSSSSAQAAIDVPSSSAQAAADVASSSTQGAAGNERPIVFDFDLNEPASTYE >ORUFI06G23680.1 pep chromosome:OR_W1943:6:22890148:22890762:1 gene:ORUFI06G23680 transcript:ORUFI06G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPELATAAATPLPEKRILTAHEVVMATLFPNGIFSYTAGPSHALPPPPPPPPAASDSFFQVVIPPGGVPTNYAVHEALDFDPNIFFADVPDGEQGEPVLLSSMSMLLDVDTSSSLAADDASSSAQAAVDVASLSAQAAVDVPSSSVQAFINVPSSSAQAAIDVPSSSAQAATDVASSLTQGAAGNERPIVFDFDLNEPSSNYE >ORUFI06G23690.1 pep chromosome:OR_W1943:6:22897986:22899164:1 gene:ORUFI06G23690 transcript:ORUFI06G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVKQKTTTWLVELLREYCQRAAAQATAGNGAVAAAAAVEGEINTDGLAALAVEAEGFAAANGEGWVAPAVEGEGEGFVGAPVVEGEEGLTAELLVAAERKYRGARKRPWGKYAAEIRIRNTMGVKERVWLGTFGTAEEAAWAYDTAATVIHGDKATTNFPRAPLRPATTPVMRSMLVFFGIAHLVRSLVPRARGPRGRGGGARGRGRSRRRRAAAAAAPSAPASEAPPPPPPSSALVPEPELQVQGGRGERGRGRGRGRGGGRGGRRGRGRTPARVVAEDSPMLQATTPAAAPAPAPALAPAPANQAIFQPMIIPPGGVVVAPDDFLLSAISDDEPVLPHKKPKLLGVYTPPDSPDQFVMEFFADLGDGDDILSSSFWQDPAGDGEDTQ >ORUFI06G23700.1 pep chromosome:OR_W1943:6:22903745:22907949:1 gene:ORUFI06G23700 transcript:ORUFI06G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPSVPKFGTWEADNVGYTLYFDKIRENKGATAPPLRHPYNHNDPAENPGVIRAADNLAAAPSSRPATSSGHRESQRHHQQPPGSHQHHRRSGSVASDPGDFQSKFAPPPQFRPSPSPSQYDHHSSDHRHGHGHHPPHAGYNCGGGGRRAPSPSPQMHAASRRHHHGRHHQQVAPKARSASASPQHNIYGRQRASAVPKFGVWDEQNGEAAAQGFTVMFDNVKRSRAAARGAGAAGVVPRSPPQEISAANMRHSRDHSLMSKMFGCFQPTTRE >ORUFI06G23710.1 pep chromosome:OR_W1943:6:22914140:22914358:1 gene:ORUFI06G23710 transcript:ORUFI06G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAAVDSRCGGRGRQQPAVDKLRWKNTPAASAVDEGHGRGGHRRPGWTRNAAVAEESDGDGKGLGGSGHG >ORUFI06G23720.1 pep chromosome:OR_W1943:6:22914590:22914839:1 gene:ORUFI06G23720 transcript:ORUFI06G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEGAAAATKDEGGVCRQPCGRGQQRWRQTRTATQWSATAAMAEPVAAVVTDEGSDSMECDGRLAAAAATTTNEVGRARR >ORUFI06G23730.1 pep chromosome:OR_W1943:6:22919846:22926241:-1 gene:ORUFI06G23730 transcript:ORUFI06G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIGSGMYVSGPAPDRGKERRQLSSGSVATPPYTGGDVSRSGELGRMFDIGGAGVSPASSRRSSGPLPRPLPLLPSPASGPLSQLSHSGLLVGPSPPPPPPQTQQSPAGSWRKSSRRREEAAAAPEAARGRARLGVSVACYVAASVAATAGLGAGAFFLVAWHRWEVLSAAGGAVAAVAAAFAWNVRRRDAEAERFFRRLPDTVFDQSDMPIGELVKITGQVTCGHQPLGARFHDAARCIFTSVQLYERRGCCFRWQQTHSETRTANFYISDRNTGKRFYVRAGEGGKITWMIKQKTDSLDGERKGASRNLKSWMASNDLSCDGTVHVKEGFIREGDTASVIGVLKKHHAYDIVDAPSGVVTTGCQFTRCMFPVHVEGLILVGDEDPDDEVYMDIRS >ORUFI06G23740.1 pep chromosome:OR_W1943:6:22930158:22934235:1 gene:ORUFI06G23740 transcript:ORUFI06G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCFRLPRPPGGETNQAAAASASPRRPSLPFASSLFAGSPSTSGKQPWPADADDMEKKRWDSMESWSMLLDTAMGPSGEPTSSRDSGRREEWMADLSHLFIGNKFASGANSRIYRGIYKQRAVAVKMVRIPERDEARRAVLEDQFNSEVAFLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKDPYSLSSETILKLALDISRGMEYLHAQGVIHRDLKSQNLLLNDEMRVKVADFGTSCLETACQATKGNKGTYRWMAPEMTKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAASEKNLRPPLSTSCSPVLNNLIKRCWSANPARRPEFSYIVSVLEKYDHCVKEGMPIMAHQELRIWSSFAKIFRMGCITNNLSIPVHA >ORUFI06G23750.1 pep chromosome:OR_W1943:6:22932316:22938692:-1 gene:ORUFI06G23750 transcript:ORUFI06G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAALAAAAAASAALLVLAAFAGGVEARERESAWLPAAKKMAAAPKKVAAAAAAKVPAVIVFGDSTVDTGNNNVVATMLKSNFPPYGRDLGAATGRFCNGRLPPDFMSEALGLPPLVPAYLDPAYGIADFARGVCFASAGTGLDNATAGVLAVIPLWKEVEYFKEYQRRLRRHAGRAAARRIVRDALYVVSIGTNDFLENYFLLVTGRFKQFTVGEFEDFLVAQAAGFLAAIHRLGARRVAFAGLSAIGCLPLERTLNALRGGCVEEYNQVARDYNVKLNAMIAGLQSSLPGLKIAYVPVYDDMLNLINNPSTLGLENVEQGCCATGMFEMSYLCNEKNPLTCPDADKYFFWDSFHPTEKPILKILAKELQILSSWCAMIGIPSFTQWSYFSSTDTM >ORUFI06G23760.1 pep chromosome:OR_W1943:6:22942685:22943029:1 gene:ORUFI06G23760 transcript:ORUFI06G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAQTWVVVAALALALALVMLPRRPAVVGAESVAAATAEKSMGIGGAGKPKCQPGAATGPCRVGAVHDPENSEEEGLFSMRATPPPTAAPPADEDYFDPDLSNDDDLVVLGH >ORUFI06G23770.1 pep chromosome:OR_W1943:6:22946400:22946693:-1 gene:ORUFI06G23770 transcript:ORUFI06G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDDGGGDDAWVSSYADSLFEGMVLFTPSLSVDPDPKPPVVKAPDPELPTPHHDADAVAVAGADVAASHLASTRGSFPFTSRRPRFAPSAAGGGA >ORUFI06G23780.1 pep chromosome:OR_W1943:6:22958350:22958628:1 gene:ORUFI06G23780 transcript:ORUFI06G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLRQRVIVFTLVVVACLVLATTTKVADARMLKRMERDGDAVVESPAVDLEAMDGSTEGAGDGGLQWLKSVSLDMLGGIKDSGPSPGAGH >ORUFI06G23790.1 pep chromosome:OR_W1943:6:22962045:22962983:-1 gene:ORUFI06G23790 transcript:ORUFI06G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGVEADCDRIRGPWSPEEDEALRRLVERHGARNWTAIGREIPGRSGKSCRLRWCNQLSPQVERRPFTAEEDATILRAHARLGNRWAAIARLLQGRTDNAVKNHWNCSLKRKLAVATTTTTTTTTGAAAAPGVVADAAELVERPCKRFSPTPDSPSGSGSGSDRSDLSHGGGFGQIFRPVARTGAFEPVDCAISRRQEEDPFTSLSLSLPGTDQRFNHDSAHSHFQELPSSPSPPPPPPPAAAASTTQYPFTPEFAAAMQEMIRAEVHKYMVSVGVRAGCGDAGGADLHMPQLVEGVMRAAAERVGRMH >ORUFI06G23800.1 pep chromosome:OR_W1943:6:22969414:22969891:-1 gene:ORUFI06G23800 transcript:ORUFI06G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTKKPPMKDGLLGLGPSPQTFGFTAPLMHSGKTWTGGRPGHVAGSPVCRSNRWVTVFDMIYSMNIDLSKVCKEQIKTDNRRSYASNSNISLMQLLLSQNSPLSTNRSKVISNQGEKREANRSRIVQGRDSRKS >ORUFI06G23810.1 pep chromosome:OR_W1943:6:22979949:22989342:1 gene:ORUFI06G23810 transcript:ORUFI06G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPFDHPLHRRHYSDHHHFPPGGSGGSGGAASAAARLRVPLSPPLQQLLPWEEAEEERRRYGGATQQLRLSPSGPRKRQRCAVHDADVESTSSSGPPPRRQRQQPHPDYALDDSFVDRNNAHPGYMVHEGFSIHSDSKVSRKIQMPTQMALPGSPHGTSAGYARRAPQKVAPSRVSVWHRIEENPAMYEPSSPPPHMPKEVHVSPCKSNNVAPASKELASVISVDCRGKSADGNDGDSNTGTKKNPVKKNEKVLASVLVKPPMEPKEKEVAAKKMLKKPDKVQKNAVHSNIRSLVSTPCPGAGAKKVKKIVIKKIVRKINGKGNQNSTPVVSEKRDGIDANACEKEEGEITTSSFEKDVISAHDPIAVSDTAGFGNAVNDQKQKNTNFTNPSGRNAASANGYMEIPDPPNGSGSAHPGKEEVLSPKNPVDNSNASLVVEPIEVLEKSGTEHPRKEHDMSSIGSGVNDAFADANNHTQKEVGEMNVAVAINSVRVSDAREVPRCDDSSMEESKVPKDVDANNAVCMDGVASNCDTTEVCGNEDARRECGKKLIGINDEKAFLLNNSARSSSTSDTCMTAVEGAQKKEGIILTGSSEKSIGFLGDSVGTHRTTEFGASKDAPNEGDDMPSHPSEKDFMSLNSCGGLNYTEVSEKEDIQEKEDRVPMESIVACTSSGNEDIQVNEGRKPMELSEANAFSGSGDSQGKECRIPMGSSETNTSSVNHVNASNEKDFSLSEDTQKKESHRPIESCENTTFEIMHHEEAPSTEEVITGVSLGRKVAEGPTRSNERCSGARGNSATTLKFGLACATEDNQMEDLLNNRTALNETDDPLDAEDSPVFVPPSSRNVESTYASPLYDPMEDSTSDGIMNIGLGRNTTSKAAELLDLHRDHISSENDSLIHSRGTSSVSGNREQSVPTALTLGSNIYFSSAETDDRPEERHELVVEGQQGLTVETTSKLDSPGKIEVLNGAGFISIGIQNWLSLPPSINSMEMSGQFLNNGFTVSKGRLGLDQSMDDATSVSQDHDIAQDMDQRGSEDAFFSQDHSIRLCGSNLPHSHLLAPKESSMNGEDQSGIVLTGLHPSSSVNVLGHYGYQTDDIPVDNLNKLPSALESSDAMDADQVSSQVCVNPDHTNDSNTENAGVESNAKQDLLSSWIEAIVSEAKKEHPPCKSTPLTVGLPDKLLEPKDSDRKTLLETVVPSAVKSPQINFASSTLQKVAPKQVTLPSSSREPTRANQNARHRTWHRGNIASSSSSLHASQPLGLPPKLPPKKNDKAQNSYIRKGNALIRNPSNGNHPHSSTGHDTENKLNKPVVRRSMNFVRKADTKDLANSNISVERPKTPPLPLHTKSSCPTTLLEPLSQTLQKQHGHEAEKEDLTGQPKSGVDNSSIKSAQKSEPSDPSKVVYVRPKSNQLVAAQRQHPIDLVNSPTDKILSLQAPIASDLYLKKRKNQIVLSSCSPSDGLSTKETLPAENSNSEEKKDLMIACSISGIPGVKDRPQKALQTTNNVGRFSHVWTLNGQQPQRKGFMGSSHMNAFPRILPWKRKIFCKNFRSSHMSNVSSIRIVRKLLQTRKRDMIYTVSTDGFSLRKSGVLSVGGSSLKWSRSLEKRSQKVNKEATLALAEVERRKREKRKRQSLHDKGDHQFESVTGNQLRNSRQSSSDLRKPSTCNEYVRVSKGNQLVRNPKNVIRMLASDKVRWSLHTVRSRLAKKQQYCQFFTRFGECKKPRGKCPYIHDRAKVTICTKFLKGLCSNTSCKLTHKVLPERMPDCSYFLRGLCTNIACPYRHVKVNLNAPVCEDFLKGYCAYGDECHKKHSYVCPVFEATGECPQGSRCKLHHPKSKVKSKSRRPDFLQNSSWGRYFDASIDHQDETRKVSLDEDEREKPQRVFSDGDLGFISLDDDADEDVTALDASDDIPLMELDSGDLSAQTDNLDALIKPLRIMRTARV >ORUFI06G23820.1 pep chromosome:OR_W1943:6:22989850:22992065:-1 gene:ORUFI06G23820 transcript:ORUFI06G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLLSPAAAPRPPLPAPRRPSLPPRATSILTPAPRSPALRVVDAFAAGRWGGHAASRLPAAAAAAAAAEAGPPDPAPSPAEDEAERAMLAQVSKRLEKTAQYFKTLGTLGFWSQLVCTTVSAGILSFSAVATGNATSPFTFFATSIGIVAAFISVFWAFGYIRLSQRLKRTANKPAKAPPRADVVKNLKNGIVLNVLGMGAAILGMQATVGALVAKALTTSAVPYYQGISPGQSPVLSLDVFLVQASANTILSHFLGLSSTLELLRSVTLTKVEAASTPRSQPA >ORUFI06G23830.1 pep chromosome:OR_W1943:6:22994335:22996988:1 gene:ORUFI06G23830 transcript:ORUFI06G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAPAWAPPIVSSASAVSSRPRRGARAGAGAVRCELAASAPSSAAGSLAPRWAQRTVVIPPQRRGCHLITPKIVNGIRDDLSEFKCGMAHLFLQHTSASLTINENYDSDVQFDTETFLSRIVPEGPSAPWRHTIEGPDDMPAHIKSSMFGCALTIPITDGRLNMGTWQGIWLCEHRDYATPRQIVITLNGI >ORUFI06G23860.1 pep chromosome:OR_W1943:6:23003753:23006732:1 gene:ORUFI06G23860 transcript:ORUFI06G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERSFRVFVYPDGDPGTFYQTPRKLTGKYASEGYRFRTDDLEQAHLFFVPISPHKMRGKNSIRVVCSPSYNAGYIPHKDVALPQILQPFALPAGGNDIENRTILGFWAGHRNSKIRVILARIWENDTELAISNNRINRAIGNLVYQKQFFRTKFCVCPGSSQVNSARISDSIHYGCMPVILSDYYDLPFSGILNWRKFAVVLKESDVYELKSILKSLSQKEFVSLHKSLVQVQKHFEWHSPPVPYDAFHMIMYELWLRHHVIKY >ORUFI06G23860.2 pep chromosome:OR_W1943:6:23003753:23006952:1 gene:ORUFI06G23860 transcript:ORUFI06G23860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERSFRVFVYPDGDPGTFYQTPRKLTGKYASEGYRFRTDDLEQAHLFFVPISPHKMRGKGTSYENMTIIVQNYVESLINKYPYWNRTLGGGNDIENRTILGFWAGHRNSKIRVILARIWENDTELAISNNRINRAIGNLVYQKQFFRTKFCVCPGSSQVNSARISDSIHYGCMPVILSDYYDLPFSGILNWRKFAVVLKESDVYELKSILKSLSQKEFVSLHKSLVQVQKHFEWHSPPVPYDAFHMIMYELWLRHHVIKY >ORUFI06G23860.3 pep chromosome:OR_W1943:6:23003753:23006715:1 gene:ORUFI06G23860 transcript:ORUFI06G23860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERSFRVFVYPDGDPGTFYQTPRKLTGKYASEGYRFRTDDLEQAHLFFVPISPHKMRGKGTSYENMTIIVQNYVESLINKYPYWNRTLGGGNDIENRTILGFWAGHRNSKIRVILARIWENDTELAISNNRINRAIGNLVYQKQFFRTKFCVCPGSSQVNSARISDSIHYGCMPVILSDYYDLPFSGILNWRKFAVVLKESDVYELKSILKSLSQKEFVSLHKSLVQVQKHFEWHSPPVPYDAFHMIMYELWLRHHVIKY >ORUFI06G23860.4 pep chromosome:OR_W1943:6:23003753:23006732:1 gene:ORUFI06G23860 transcript:ORUFI06G23860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERSFRVFVYPDGDPGTFYQTPRKLTGKYASEGYRFRTDDLEQAHLFFVPISPHKMRGKNSIRVVCSPSYNAGYIPHKDVALPQILQPFALPAGGNDIENRTILGFWAGHRNSKIRVILARIWENDTELAISNNRINRAIGNLVYQKQFFRTKFCVCPGSSQVNSARISDSIHYGCMPVILSDYYDLPFSGILNWRKFAVVLKESDVYELKSILKSLSQKEFVSLHKSLVQVQKHFEWHSPPVPYDAFHMIMYELWLRHHVIKY >ORUFI06G23860.5 pep chromosome:OR_W1943:6:23003807:23006732:1 gene:ORUFI06G23860 transcript:ORUFI06G23860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKDTGGWGLTIFSLHAMMLVLEHSKGFPLSLRTLSEWYVHRAIMLAISRTRMSLFHKYCSHLLFLQEEMTLRTARIWENDTELAISNNRINRAIGNLVYQKQFFRTKFCVCPGSSQVNSARISDSIHYGCMPVILSDYYDLPFSGILNWRKFAVVLKESDVYELKSILKSLSQKEFVSLHKSLVQVQKHFEWHSPPVPYDAFHMIMYELWLRHHVIKY >ORUFI06G23860.6 pep chromosome:OR_W1943:6:23003807:23006732:1 gene:ORUFI06G23860 transcript:ORUFI06G23860.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKDTGGWGLTIFSLHAMMLVLEHSKGFPLSLRTLSEWYVHRAIMLAISRTRMSLFHKYCSHLLFLQEEMTLRTARIWENDTELAISNNRINRAIGNLVYQKQFFRTKFCVCPGSSQVNSARISDSIHYGCMPVILSDYYDLPFSGILNWRKFAVVLKESDVYELKSILKSLSQKEFVSLHKSLVQVQKHFEWHSPPVPYDAFHMIMYELWLRHHVIKY >ORUFI06G23860.7 pep chromosome:OR_W1943:6:23003753:23004840:1 gene:ORUFI06G23860 transcript:ORUFI06G23860.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERSFRVFVYPDGDPGTFYQTPRKLTGKYASEGYRFRTDDLEQAHLFFVPISPHKMRGKGTSYENMTIIVQNYVESLINKYPYWNRTLGGGG >ORUFI06G23870.1 pep chromosome:OR_W1943:6:23009287:23012298:-1 gene:ORUFI06G23870 transcript:ORUFI06G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRWVVVFWVLVVMCRGACGLNADGVLLLSFKYAVTADPLGALAGWGYADESPCAWNGVVCNGFPQADAAAAWTANVTGVAAAEGGNSSAAVPVPSNGTAAAAAGLGVNASLAAAATVSRVISLVLPNAQLSGSLPPELGRVEHLRHLDLSGNSLNGSLPPTLLNATELRVLSLADNDISGVLPDGGSVPYSRSLQELNLSNNALAGRLPPALCRLPSLAVLGLANNYLAGELPIGGLAALEVVDLSANYFNGSLPSDFGGSRLRFLNISSNKLTGALPTELSAVVPANSTVDLSHNNFTGTVPQAGPFAVQPAAAYEGNPELCGPPLKKMCSIPSSLSNPPNATDSPPAFAAIPKNPTRPSPGAQAQAPRGQEKLRPAAILAIVAGDLAGVGLLFMLFLYIYHIRKKRRQRRHHHHQQQQDSPLQHKSNRAIGDVKTLDIAGAREEKASTSTGCCIGRKNDSSDESSDCSASSGAETSDDDDDGDLKKRSMSFIGRSTPQHHSKKYDHPHHQAAAAPPAPATLVTVDGDGELEMETLLKASAYILGATGSSIVYKAVLADGTALAVRRIGESGGADKLKDFEAQVRAVARFRHPNILRLRGFYWGADEKLLIHDYATNGSLANIAFSRRFGASSPLQLSLEARLRIARGVARGLAFIHEKKGVHGNVKPSNILLGADMEPWIGDFGLDRLLSGEAVHRSTGASARLFGSKRSMHSTSSLPDLSQMPGAGASPCGSSSAATRAPPPPPYQAPECLKNLRPNTKWDVYSFGMVLLELLSGRVYSEVELCQWHAGFVVEERSRLLRMADPTLRGEADGREDALLACFKLAFACCAMAPGKRPAMRDAVLVLDRIPCSSSSASTTTTTTAAAAIP >ORUFI06G23880.1 pep chromosome:OR_W1943:6:23019111:23020453:1 gene:ORUFI06G23880 transcript:ORUFI06G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLGTILSKNCHMVITVQTRERNTWDGKAINTTRLINSASGILRRLDVSKNRYQPRKKGCTPVQCKCKKAKGH >ORUFI06G23890.1 pep chromosome:OR_W1943:6:23019469:23024453:-1 gene:ORUFI06G23890 transcript:ORUFI06G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSWTAAVVGGFLFLLGLCHGPALLVDVPRHSNEIVIVEALKLDDVEPGMYMLHCLPLRLAGAEGSPVRMVPRRTDMAKGSVKERHYSVACTVVLL >ORUFI06G23900.1 pep chromosome:OR_W1943:6:23025254:23025703:-1 gene:ORUFI06G23900 transcript:ORUFI06G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLATVVLLLVAAARQAPLAAGDHSANPRLPTCAAAPDVAAPQEHGDGGGVGGGRRILDITHAVRAELPVLGSCDGVGALVRLKKSMANGSRSNLSELRMSVHTGTHVDAPGHMWQPHFDAGLDVDTLDLGLLNGIDRLSKLTFGVVF >ORUFI06G23910.1 pep chromosome:OR_W1943:6:23026818:23029806:-1 gene:ORUFI06G23910 transcript:ORUFI06G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQGQILEVRVTGCRKLRDTEFFTRQDPYVCIEYATNKFRTRTCTDGGRNPTFDEKFHIPLIEGLRELTVTVWNSNTLTHDDFIGNGRVQLHKVLTRGYDDASWPLQTRHMRSAGEVTLIMHFDVSAMKNKPGKISAASTTHSVLPVPVPAVPYAAPSPSYALPPAGYPAVPPYQSYPASHVPAPYPTSAYPHPPPPLLARDVEHAAYPPTSTTYPPQLYPPQPQGQTYPPQPQGETYQPQPQRETYPPQPQVQPYPPKPQGQPYPPQPQGQPYPPQPYGQTYPPPPEGQPTYPPAPYPSTYPPAPY >ORUFI06G23920.1 pep chromosome:OR_W1943:6:23030919:23037394:-1 gene:ORUFI06G23920 transcript:ORUFI06G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAADRPPAAEQEEARPPSSTAAVAEEDEEEEEGDVCRICRNPGDDEHPLRYPCACSGSIKFVHQDCLLQWLDHSNSRQCEVCKHAFSFSPVYADNAPSRLPFQELIVGVGMKACHVLQFVLRLAFVLSVWLMIIPFITYWIWRLTFVRSLGEAQRLFLSHISAQLILSDCLHGFLLSAIIVLIFLGATSLRDYIRHLRELGGHDAERDDGGRERHGARAVRRLPGPNNRVPAADGNVDELAEAQGLGAGELLRRNAENVAARLERLEAQVEQMLDGLDDADGAEDVPFDELVGMQGPVFHLVENAITVLASNAIFLIVVIFVPFSLGRIVLYYLSWFFSSASSPMLARMMPFTETAISLANDTLKSALNAVKNLSADSHNEGVIGHVIEVVTQSLKINATGLTVMQASGKSSLIKGTAIGSSYLSDLTTLAVGYMFIFCLVFLYIGSLALLRYARGERFTIGRLYGIATILEAIPSLCRQFFAGMKHLMTMVKVAFLLVIELGVFPLMCGWWLDVCTLKMLGATIAQRVEFFTMSPLASSSIHWLVGIIYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVILVFLPVKLAMRVAPSIFPLDITIFDPFTEIPVDVLLFQICIPFAIEHFKPRATIKALLHHWFAAVGWALGLTDFLLPRHEENGGQENWNGRAGRDRVHGGREMVAPQLEQRMIQHVADNLNGRGNANDSNEVAEESDVDDQGDSEYGFVLRIVLLLVLAWMTLLIFNAGMIVIPISLGRLVFEAIPRLPITHGIKCNAAGTRYAIDYIRSRRLAFLVQQICKWCSIVVKSSALLSIWIFVIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDQMAPLVDESWRTKFERVREDGFSRLRGLWVLHEIIMPIVTKLLTALCVPYVLARGVFPVLGYPLIVNSAVYRFAWLGCLIFSALFFCGKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDSPHSSEPGTTTASDDDEHEQALIPRDQEGELGLRFRRHIMRGNQPRMAA >ORUFI06G23930.1 pep chromosome:OR_W1943:6:23040276:23043464:-1 gene:ORUFI06G23930 transcript:ORUFI06G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFYGVGSIALAMHEDDEEEGSGRVFGFAAGDLVRPAVVTQQLFPMTAAAAAVVPESTEQRHVAAAAEQWARPPSRKTRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAQAAARAYDQAAIKFRGVEADINFTLDDYKEDIKKMNNFSKEEFVQVLRRQGAGFVRGSSRFRGVTLHKCGKWEARIGQLMGKKYVYLGLYDTEMEAAKAYDKAAIKCCGKEAVTNFDTQAYEDELNLQSWDSELDLELSLGCSGGERAAGEVLHSAPSNQRTSLTFMLPEEEEMTACHRQRSIWARPSLAPAMPDGGAVIRPDQHQHHPSSRNMLLMSQVISSSGGGGGSGRQGAAELHMRPRHGWSSGGNNWAPPYAARPRLPGAEDDDDDDSAAAASSGFPMGQVATASSSSRPSSSSCSSRRSSTAAATATTGR >ORUFI06G23940.1 pep chromosome:OR_W1943:6:23047102:23047490:-1 gene:ORUFI06G23940 transcript:ORUFI06G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVVVCLTTVASPWVLATFKLKRRIGLIGIGVADPTKSY >ORUFI06G23950.1 pep chromosome:OR_W1943:6:23052020:23054941:1 gene:ORUFI06G23950 transcript:ORUFI06G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDGRGGGAEEEEEEQVMSEVHLGCPPRFSGLYVSRFSFSSRPLGPSAGSDGGECSGVREQVAASSSSCGSPDAVTVDEDGDLVLDRRRRNRGRSDHVLTVQHGITSSLRSVGLQVWKAALLLTDFVLHKSFTSSEFNGVTAIEIGAGTGLVGLALARVAKKIFITDRGSDILDNCLANVQLNSSMLKFDEAKACVRELDWKMSWPPPVFKCDSSDPSSKYLWYTSEIEAAEKATTLFAADVIYSDDLTDLFFSIAKKLMSHGAEKVLYLTLEKRYNFSMDELDVVANGYKHFRSFFTVQDESGALDDNSCRPDFVGEQMDLAEVPQYIREYDRGKDLEMWKIMYNPNPE >ORUFI06G23950.2 pep chromosome:OR_W1943:6:23052020:23054941:1 gene:ORUFI06G23950 transcript:ORUFI06G23950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDGRGGGAEEEEEEQVMSEVHLGCPPRFSGLYVSRFSFSSRPLGPSAGSDGGECSGGSPDAVTVDEDGDLVLDRRRRNRGRSDHVLTVQHGITSSLRSVGLQVWKAALLLTDFVLHKSFTSSEFNGVTAIEIGAGTGLVGLALARVAKKIFITVQNTYGIQVKSRRLRKLQHYLLRIIAKKLMSHGAEKVLYLTLEKRYNFSMDELDVVANGYKHFRSFFTVQDESGALDDNSCRPDFVGEQMDLAEVPQYIREYDRGKDLEMWKIMYNPNPE >ORUFI06G23960.1 pep chromosome:OR_W1943:6:23057887:23058214:-1 gene:ORUFI06G23960 transcript:ORUFI06G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTISSSSSSSSSSSSSVRRPLVQKPKPAATAAAAANGDDDAACKCALITVGIVCVVAIFIIFL >ORUFI06G23970.1 pep chromosome:OR_W1943:6:23064792:23070237:1 gene:ORUFI06G23970 transcript:ORUFI06G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRSDSQSDESFEYMLLERDPDLYRTVFSGPSQISPWIDPSVLTLQHRIGRGPFGDVWIATHHQRTEDHDRYHEVAVKMLHPIREDQLQAFSVRFDEIFSKCQGLSNVCFLHGISTQNGRICIAMKFYEGSIGDKMARLKGGRIPLSDVLRYGADLARGIIDLHSRGILILNLKPCNFLLDEHDHAVLGDFGIPSLLFGLSLPNPDLIQRLGTPNYMAPEQWQPSIRGPISYETDSWGFAWSILEMLSGIQPWRGKSPDEVYQLVVLKKEKPIFPYNLPPAIENVLSGCFEYDFRDRPQMTDILDAFESAKDVDYENTDQGNSENLRMVSPALPSRTNWSFFKDKLQVGDKVRSRKLKNTCSPTTMEVPDGTIVGMEDNGERDGYILVRIHGLHDPLKVRSSTVERVTYGFAAGDWVRLREDEKKRSQVGILHSIDRSGTVYVGLIGVDTLWKGEYSDLQMAEAYCVGQFVRLKANISSPQFEWQRKRGGGLATGRISQILPNGCLFIKFPGKFNLGEVCSCLADPSEVEVVSFDKCEGIVKKYEHLEDFHWAVRPLFIAVGFFTALKLGIFVGKGIARPRSRKVASVSDQSDHQQLQQQEVQNNANAAWLPPTVANMLFRDGPTLSG >ORUFI06G23980.1 pep chromosome:OR_W1943:6:23068430:23071798:-1 gene:ORUFI06G23980 transcript:ORUFI06G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGQTVGRSSFSRAAAPHVASSSTAAGVKLGPNGAAFVSSGIPDLDRILGGGFLIGSVVMIMEDSDAPHHLLLLRSFMAQGVVHKQPLLFAGPMKEPRLFLGTLPAVASSKEDGRQRGMGAGTSSDGRTSDEALRIAWQYKKYFGEEKTSHAEHRALKKETLLVSSRTVVPHSCPNFREKMVEMRMLDGLLYNHYVHHNADTLRRKDWDMVSFIRSLKAMVRASNAVAVITFPNTVLSSSFCKRWQHLADTLLSIKAIPDEDKELAKLLTGYQDMVGFLHVHKVAQTNSQVPVILEASTFSLKLRKRRSLVLERLNQAPVDGSGGPSLDASGSCSSSSQAFMAIFIHIRMPFITLQMQLQIDGSGTENPGILRDCSVMTKAKDSIRIWTASLQFTDISTEHVFVNMEMIVE >ORUFI06G23990.1 pep chromosome:OR_W1943:6:23080713:23097924:1 gene:ORUFI06G23990 transcript:ORUFI06G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDAKMADVLSQGYVYLAMALVALLGVLLTKCSRTATAQRRLPPGPWQLPVIGSLHHLIGKLPHHAMRDLTRRHGPVMMLRLGEVPTLVVSSPEAAQEVMRTHDAVFATRALSATVRAGTMGGRDIAFAPYGDYWRQLRKIAATELLSAPRVASFRAIREEEVAATLRTVAAAAADGRAVELRAALCALVTDSTSRAVVGDRCKESDALIRAFDRSMELASGFNPAADLWPSSRLAGLLSGGVREIEANLHTVFGILDRLIEKRLQQKKTAPSSAAGEDILDALLRIHKEGGGLQFPLDMDSIKLIIADLFSGGGETVATLLVWAMAELIRNPMAMQKATTEVRRAFALAGAVSEGKGALGELRYLHLVIKEASRLHPPAPLLLPRECSEPCQVLGYDVPRGTQVLVNAWAIGRDERCWTGGSGDGSSPEEFRPERFEDGAEAVDLRGNNFELLPFGAGRRMCPGMAFALANIELTLASLLFHFDWEVPDMADPAKLDMTETLGITARRKGDLLLRPVLRMPVPGNQGLGKRGRTKMKAVRTVKKKLAQGEEEDASHGYVYLAMAVVALLGVLLTKRSRKATAQRLPPGPWQLPVIGSLHHLAGKLPHHAMRDLARRHGPVMMLRLGEVPTLVVSSPEAAQEVMRTHDAVFATRALSATVRAATMGGRDIAFAPYGDRWRQLRKIAATQLLSARRVASFRAIREEEVATMLRAVAAAAADGRAVEMRAALCVVVADSTARAMVGESCQERDAFLREIDRSMELVSGFNPEDLWPSSRLAGRLSGAVRKIEASLHTVLGILDRIIQKRLQEKIGGAGAAAASEDILDVLLRIHKDGGAGGLQVPLDMDDITLVITDLFSGGGETVATLLVWAMAELIRNPMAMQKATAEVRRAFALPGVVSEGEGALGELRYLHLVIRETFRLHPPGPLLLPRECSEPCQVLGYDVPRGTQVLVNVWAIGRDERCWPAAGGGGSPEEFWPERFEDGAEAVDLRGNNFELLPFGAGRRMCPGVAFALANIELTLASLLFHFDWEVPGMADPAKLDMAEALGITARRKGDLLLRPVLRMPVPGRYHISRKSRNQVGAEHHLSDAVVYSSTHKSNRNRIGEHGDEPETTNVVVFRAAVTSGEVGRWGTPATSWFPPVSAPASSLLPSEDGHRKGAGSCKVK >ORUFI06G24000.1 pep chromosome:OR_W1943:6:23119382:23123844:1 gene:ORUFI06G24000 transcript:ORUFI06G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLHTYLYLGLALVSLLAVQLARRRRSSAAHGSGALRLPPGPWQLPVIGSLHHLVGKLPHQAMRDLARRHGPVMMLRLGEVPTLVVSSPEAAREVTKTHDVSFATRPLSSTTRVFSNGGRDIVFAPYGDYWRQLRKITVTELLSARRVASFRAIREEEVAAMLRAVGGYAAAGCAVEIRPLLAALVSDSTVRAVMGDRFPHRDVFLRELDRSIELTAGFNPADLWPSSRLAGCLTGTIRQAKKCWDTMSSVLESTIQEHLQKNGSSGGGAGATDEDLIDVLLRIQKEGGLQFPFDMDVINETSATTLGWAIAELIRNPMAMKKATAEVRQAFAAAGVVSEAALSELRYLHLVIKETLRLHPPGPLLLPRECREQCKVLGYDVPRGTQVLVNVWAIGRDPRYWPGGSPEEFRPERFGDGEPAAALDFKGTDYELLPFGAGRRMCPGLAFGLANVELPLASLLFHFDWEVPGMADPTKLDMTEAFGIGVRRKADLIIRPILRVPVPGV >ORUFI06G24010.1 pep chromosome:OR_W1943:6:23125889:23130434:1 gene:ORUFI06G24010 transcript:ORUFI06G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILRRVGPPGKQEANRSRPRSRKRDGNTEVGTGKPHPHTHCVTYGLLGHDRTSSSLGSWVCMGLCHRRLSRSHPPTATHAPHHTVATGTPPLLYILLPQLAALFPWAAWTAGDGEIGSVGARDGGSSSKTPTHVLLQLVAFLPWPDTDELLSLSVKLLLLQTEVVRSGDDDELGGAERERKQDPTSGSQDLASTALATTQLVMVASLATSAALHEVWRRRTGPHLTQHAARPVPHSALTNVYPNFDEEPVALFGSTVFRLGAQMCAWHGIPDVDGRGRTSSTRTINGIRWADTS >ORUFI06G24020.1 pep chromosome:OR_W1943:6:23130831:23132511:1 gene:ORUFI06G24020 transcript:ORUFI06G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGYFYLGLALVSLLVVLFARRRRSAAAAHGDAGLRLPPGPWQLPVIGSLHHLAGKLPHRAMRDLARRHGPVMMLRLGEVPTLVVSSRDAAREVMRTHDAAFASRPLSASVRAATKGGRDIAFAPYGDYWRQLRKIAVTELLSARRVLSFRPIREEEVAATLRAVAAAAADGRTVELRAALCALVADSTVRAVVGERCAGLDVFLRQLDRAIELAAGLNVADLWPSSRLAGRLSGAVRQAERCRDTMFGVLDGIIQAHLEKTGGAGEDILDVLLRIHKEGGLEFPLDMDAVKCVVVDVISGGCETSATTLGWAFAELIRNPAAMKKATAEVRRDFEAAGAVSESALAVGELPYLRLVVRETLRLHPPLPLLLPRECREPCRVLGYDVPRGAQVLVNAWAIGRDERYWPGGSPEEFRPERFGDGEAAAAVDFKGADFELLPFGGGRRMCPGMAFGFANVELPLASLLFHIDWEASGVADPTEFDMTEAFGITARRKANLLLRPILRVPVPGV >ORUFI06G24030.1 pep chromosome:OR_W1943:6:23132763:23151255:1 gene:ORUFI06G24030 transcript:ORUFI06G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSILRDTAMADDYFYLGLALASLLVVLFARRRRSAAHGDGGLRLPPGPWQLPVIGSLHHLAGKLPHRAMRDLARRHGPVMMLRLGEVPTLVVSSRDAAREVMRAHDAAFASRPLSATVRVLTSGGRGIIFAPYGGSWRQLRKIAVTELLTARRVASFRAIREEEVAAMLRAVAAAAAAGRAVELRAALSALVAETTVRAVIGDRCKDRDVFLRKLQRTIELSAGFNPADLWPSSRLAGRLGGAVREAEECHDTVYGILDGIIQEHMERTSSGSCGAGDGDGDGEDLLDVLLRIQKEGGLEFPVDMLAIKQVIFDIFGAGSETSATTLEWVMAELIRNPKAMRKATAEVRRAFAADGVVLESALGKLHYMHLVIRETFRLHTPLPLLLPRECREPCRVLGYDVPRGTQVLVNVWAIGRDERYWPGGSPEEFRPERFEDGEAAAAVDFRGADFELLPXRFEDGEAAAAVDFRGADFELLPFGAGRRMCPGLAFGLANVELALASLLFHFDWEAPDVADPAEFDMTEGFGITARRKADLPLRPTLRVPVLDQGFESVSCMLRPRGADFELLPFGGGRRMCPGMAFGLANVELPLSSLLFHFDWEVPGMADPTKLDMTEAFGITSRRKENLHLRPLLRVSEREMEDASHGYVYVGLALVSLFVVLLARRRRSPPPAAHGDGGLRLPPGPWTLPIIGSLHHLVGQIPHRAMRDLARRHGPVMLLRIGEVPTLVVSSRDAAREVTKTHDTAFAMRPLSATLRVLTNGGRDLVFAPYGDYWRQVRKIAVTELLTARRVHSFRSIREEEVAALLRAVAVAAGTVEMRAALSALVSDITARTVFDNRCKDRGEFLVLLERTIEFAGGFNPADLWPSLLAQPRNTLTLTNTNAGEGDDEQWILQRRTPRSRSLLYLGFITH >ORUFI06G24040.1 pep chromosome:OR_W1943:6:23151547:23152967:1 gene:ORUFI06G24040 transcript:ORUFI06G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHDTHTLTQPRQEYTMTCLFPTIVASRRVVRRAEECRNSVYKILDGIIQEHQERTSAGGEDLVDVLLRIQKEGGLQFPLAMDDIKSIIFDIFSAGSETSATTLAWAMAELIRNPTAMHKVMAEVRRAFAAAGAVSEDALGELRYLQLVIRETLRLHPPLPLLLPRECREPCRVLGYDVTRGTQVLVNAWAIGLDERYWPGGSPEEFRPERFEDGEATAAVDFRGTDFEFLPFGAGRRMCPGMAFGLANVELPLASLLFHFDWEVPGLADPAKLDMTEAFGITARRKADLHLRPCLLQMNMSLNG >ORUFI06G24050.1 pep chromosome:OR_W1943:6:23155074:23165134:-1 gene:ORUFI06G24050 transcript:ORUFI06G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSVLAYLLVVALLAIVPLVYFGWVARRRGEGGRLPPSPWGLPVIGHLHHLAGALPHHAMRDLARRHGPLMLLRLGELPVVVASSAEAAREVMRTRDIEFATRPMSRMTRLVFPAGTEGIIFAPYGDEWRELRKVCTVELLSARRVQSFRAVREEEVGRLLRAVAATSSSPSPAQAAVNLSALLSAYAADSAVHAIIGSRFKDRDKYLMLLERGLKLFARHTLPDLYPSSRLAMWLSRMPRRMMQHRREAYAFTDAIIREHQENRAAGAGDGDGDDKEDLLDVLLRIQREGDLQFPLSTERIKTTDMFAGGSETAGTALQWIMAELIRNPRVMHKVQDEVRQTLAGRDRVTEDAISNLNYMHLVIKEALRLHPPVPLLLPRECRNTCQVLGFDVPKGAMVLVNAWAISRDPQYWDEPEEFIPERFEDSNIDFKGTNFEYTPFGAGRRMCPGIAFGLANVELMLASLLYHFNWQLPDGMDTADLDMTEEMVICDAMAAFLVYVLVLVPLAVVPFVYFNRVARRRGGDVRLPPSPWGLPVIGHLHHLVGALPHVAMRDLARRHGPLMLLRLGELPVVVASSAEAAREVMKTRDLDFATRPMSRMARLVFPEGGEGIIFAPYGDRWRELRKICTVELLSGRRVQSFRPVREEEAGRLLRAVAAASPGQAVNLSELLSAHAADSSVRAIMGDRFRDRDAFLAMLERGLKLFAKPALPDLYPSSRLAMLLSRMPRRMKQHHRDMVAFLDAIIQEHQENRSAAADDDNDLLDVLLRIQREGDLQFPLSSESIKATIGDMLVGGSETAATTLHWIMAELVRNPKVMQKAQDEVRRELIGHRKVTEDTLCRLNYMHMVIKEALRLHPPGSLLLPRECRRTCQVLGYDIPKGATVFVNVSAIGRDPKYWDEAEEFIPERFEHSDVDFKGTHFEYTPFGAGRRMCPGMAFGLANVELTLASLLYHFNWELPSGIHAENLDMTEEMRFTTRRLHDLVLIPVVHVPLPTI >ORUFI06G24050.2 pep chromosome:OR_W1943:6:23165137:23175002:-1 gene:ORUFI06G24050 transcript:ORUFI06G24050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPVYLLFLAALIILPMANLIRSARHRRLAGARRPPPGPWALPVIGHLHHLLAGKLPHHHKLRDLAARHGPLMLLRFGELPVVVASSADAAREIAKAHDLAFATRPVTRTARLTLPEGGEGVIFAPYGDGWRQLRKICTLELLSARRVLSFRAVREQEVRCLLLAVASPSPEGTTATASVVNLSRMISSCVADSSVRAIIGSGRFKDRETFLRLMERGIKLFSCPSLPDLFPSSRLAMLVSRVPGRMRRQRKEMMEFMETIIEEHQAARQASMELEKEDLVDVLLRVQRDGSLQFSLTTDNIKAAIADLFIGGSETAATTLQWAMSELLNNPKVMQKAQDEIRQVLYGQERITEETISSLHYLHLVIKETLRLHPPTPLLLPRECREPCQILGFDVSKGAMVLINAWSIGRDPSNWHAPEKFMPERFEQNNIDFKETSFEYIPFGAGRRICPGMTFRLANIELLLASLLYHFDWELPYGMQAGDLDMTETLAVTARRKADLLVVPVVRVPILLSSFSSIHPFDSPKEQKP >ORUFI06G24060.1 pep chromosome:OR_W1943:6:23190205:23209612:1 gene:ORUFI06G24060 transcript:ORUFI06G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQERGATTRRLHDLLLVPLVHLLRYLFSVPMLFFIVPLLFLVCSPRRRRGRGSCRLPPSPWALPVVGHLHHLAGALQHRAMRDIARRHGPLVLLRLGRLPVVVASSADAAREVMRTSDVAFAARPVNRMIRVVFPEGSEGVIFAPYGETWRQLRKICTAELLSARRVHSFRSVREEEAGRMLRAVASAAAQTTVNLSELMSAYAADSSARAMIGRRLKDRDTFLAMVERGIKLFGEQSLPNLYPSSRLAVLLSTMPRRMKRHRERMTAYLDAIIEEHQESRASREDDEDLLDVLLRIQREGDLEVSRESIRSTIGDMFIGGSEPPAITLQWIMAELIRNPEVMQKVQDEVRQLLVGQHRVTEESLSKLGYMNLVIKETLRLHPPGPRLLLRVCRTTCQVLGFDVPKGTMVLVNMWAINRDPKYWSQAEEFIPERFENAGINFKGTNFEYMPFGAGRRMCPGMAFGLATLELALASLLYHFDWKLPDGVEIDMKEQSGVTTRRVHDLMLVPIIRRCADSGSDRKEAAAVAPSPAAATPFSLQRYLLPRWRLQGDSGGGRKEAVVEVPFSLQRRWPLLLSNPLQGSPWSLLNLLVLIIVAAMICGELCRRRRRRRGDENGGATRLPPGPWRLPFVGSLHHLAVMRPRGVVVHRALAELARRHDAPVMYLRLGELPVVVASSPEAAREVLKTHDAAFATRAMSVTVRESIGDKVGILFSPYGKKWRQLRGICTLELLSVKRVRSFRPIREEQVARLVDAIAAAAASSTAEAAAVNISRQITGPMTDLALRAIMGECFRWREEFLETLAEALKKTTGLGVADMFPSSRLLRAVGSTVRDVKLLNAKLFELVECAIEQHREQIRAAHDNGGDDDDAHGHGDKECFLNTLMRIQKEGDDLDDTLTMATVKAVILDMFAGGSESTSTTLEWALSELVRNPHVMQKAQAEIRHALQGRTRVTEDDLINLKYPKNIIKETLRLHPVAPLLVPKECQESCKILGYDVPKGTIMFVNAWAIGRDPRYWNDAEVFMPERFEKVAVDFRGTNFEFKPFGAGRRMCPGITFANATIEMALTALLYHFDWHLPPGVTPDGLDMEEEFGMSVSRKRDLYLRPTLHMGLETI >ORUFI06G24060.2 pep chromosome:OR_W1943:6:23187883:23190197:1 gene:ORUFI06G24060 transcript:ORUFI06G24060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVQLHHLILLLPLFILPFLLLRSSRRRRGACGRLPPSPWALPVIGHLHHLAGALPHRAMRDIARRHGPLVLLRLGELPVVVASSADAARDVMKTHDLAFATRPITRMMRLVFPEGSEGIIFSPYGETWRQLRKICTVELLSARRVNSFRSVREEEVNRLLRAVAAAAASATSPAKTVNLSELMSAYAADSSVRAMIGRRCKDRDKFLAMLERGIKLFVTPSLPDLYPSSRLAMVVSRMPRRMRRHREEVFAFLDAIIAEHQENRASGEDEEDLLDVLLRIQREGCMESTVIHRIHQDNNWRNYLKIWLHGTQDLFNGGSETTATTLQWIMAKLMRNPRVMQKAQDEVQRVFIGQHKVTEENLSNLSYMYLVIKEALRLHPPRPPLLPRECRTTCQVLGFDVPKGTIVLVNMWAINRDPKYWDQSEEFILERFEHVDINFKGMNFEYMPFGAGRRMCPGMAFGLVNLELVLASLLYHFDWKLSDKISR >ORUFI06G24070.1 pep chromosome:OR_W1943:6:23204854:23205315:-1 gene:ORUFI06G24070 transcript:ORUFI06G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKFHHPRPVNHNGATFLQSRSPRGEFIPVVTADDVAADGVLASGDGGRWWLPAARRQPQALRGATPALSAVLSAASRRRDGTTPVPVPRKRRRRRARFEQWCSWWLGSGSARRCAWWLAEWRTGDARRCSAATESRRTGGETGSEDLDYGV >ORUFI06G24080.1 pep chromosome:OR_W1943:6:23210349:23221980:-1 gene:ORUFI06G24080 transcript:ORUFI06G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAAASSRKRGFMDILDDPCPYRCATWRSAAGARLLQLIFPHEDPQLLKSFFEASGNVLDAAIRGFKHRLQSHTDTEITEAASGDTGNEVVSPKVESDLSAMNIPSNGSEWAELVVKEMSSALDLVDAKNRAFRLLDLFEKSTAACISPVEMRKMREEHKILKLMLGGLLEQNGVLKRAFLKQHNRLNDYEKKMSQERSQIIDTYEKEIKALQFATTAKLKQRNMEQYLFLATLLILSLAFVKLRPRNNGENPPPGPWQLPVIGSLHHLAGALPHRALRDLAARHGELMLLRLGELPVVVASSPAAAREVMRTHDAAFATRPQTATLRALTRDGLGVAFAPQGEHWRCLRKLCVTELLGARRVRCLRRAREAEAAALVASLSTTTPEPVNVSSLVARYVTDAVVRAVVGDRISDRDAFLERLEEGVKVAAGFTLADVFPSSRLARALSGTARRAEAHSREMTRLMDGVIEEHRQRRAATGWRDEEDEDLLDVLLRIQKDGGLQIPLDMGTIRAIIIDLFSAGSETTGTTLQWAMAELMRNPAALRKAQAEVRGVLAGHSHVTEDALPDLHYLHLVIKETLRLHVAVPLLLPRECQEPRLRVLGYDVPERAMVLVNAWAICRDTAVWGPDAEEFRPERFDGGAVDFKGTDFEFVPFGAGRRMCPGVAFAVAIMELGLASLLFHFDWELAGGTAAGELDMAEGLGITARRKSDLWLHATVSVPVPNTETS >ORUFI06G24080.2 pep chromosome:OR_W1943:6:23210349:23215039:-1 gene:ORUFI06G24080 transcript:ORUFI06G24080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAAASSRKRGFSVADILDDPFPLPSHHLAKRGRCSSSAASAADLGVSLEFDPIEVLQLIFPHEDPQLLKSFFEASGNVLDAAIRGFKHRLQSHTDTEITEAASGDTGNEVVSPKVESDLSAMNIPSNGSEWAELVVKEMSSALDLVDAKNRAFRLLDLFEKSTAACISPVEMRKMREEHKILKLMLGGLLEQNGVLKRAFLKQHNRLNDYEKKMSQERSQIIDTYEKEIKALQFATTAKLKQRNMEQYLFLATLLILSLAFVKLRPRNNGENPPPGPWQLPVIGSLHHLAGALPHRALRDLAARHGELMLLRLGELPVVVASSPAAAREVMRTHDAAFATRPQTATLRALTRDGLGVAFAPQGEHWRCLRKLCVTELLGARRVRCLRRAREAEAAALVASLSTTTPEPVNVSSLVARYVTDAVVRAVVGDRISDRDAFLERLEEGVKVAAGFTLADVFPSSRLARALSGTARRAEAHSREMTRLMDGVIEEHRQRRAATGWRDEEDEDLLDVLLRIQKDGGLQIPLDMGTIRAIIIDLFSAGSETTGTTLQWAMAELMRNPAALRKAQAEVRGVLAGHSHVTEDALPDLHYLHLVIKETLRLHVAVPLLLPRECQEPRLRVLGYDVPERAMVLVNAWAICRDTAVWGPDAEEFRPERFDGGAVDFKGTDFEFVPFGAGRRMCPGVAFAVAIMELGLASLLFHFDWELAGGTAAGELDMAEGLGITARRKSDLWLHATVSVPVPNTETS >ORUFI06G24080.3 pep chromosome:OR_W1943:6:23215091:23221980:-1 gene:ORUFI06G24080 transcript:ORUFI06G24080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAAASSRKRGFMDILDDPCPYRCATWRSAAGARRRPPPRLIRSQPDLPHREVLQIKQATRAARPQRRSIRITLRL >ORUFI06G24090.1 pep chromosome:OR_W1943:6:23223601:23223936:-1 gene:ORUFI06G24090 transcript:ORUFI06G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVNSRCDGLGMDDQWERQCHWQAAGVASSSASSGCGVFDVDEALEQRERWRFRRRCATSSGAAMATDLGPAAAATPTSSGLDLRQATAASPQSQHSVSIGDDFIDLIDL >ORUFI06G24100.1 pep chromosome:OR_W1943:6:23230426:23233270:-1 gene:ORUFI06G24100 transcript:ORUFI06G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSAIFADDLLPPSPPSPHSHHHPAKRSCRSPHRRREAHLHHLSSLFPGMDPQLLEGALDASGDDLDSAIKSLNNLRLESTEAILSATGCKSENGLPTAVYPSVEGIVNNGGVSTANEHPAAADSCQTGNNGSEWVELFVREMTNASDMGDARARASRALEVLEKSIVERTGADAAQNLQKENMMLKEQLTVVLRENAVLKRAVAIQHERQKEFDERSQEVQSLKQLVVQYQEQLRTLEINNYALTMHLKQAQQNNSIPGHFNPDVF >ORUFI06G24110.1 pep chromosome:OR_W1943:6:23237434:23244722:-1 gene:ORUFI06G24110 transcript:ORUFI06G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSFSSAARRKAPSPPKHRHDGTSGLPFGMDWSPPPKRWEGRNTIWPHNPQTGWSYCVMIPSWIAQTPEASATADNFLKSVVFYRIHVGIQSPEGISSSHGVLRRFSDFLKLSSDLKQEFPRKGIPPAPPKHAFSRINSSRVLLEERRNALEEWMQKLLSDIELSRSAPVAAFLELEAAARSYYQDWNQRPSEVGSSAKSSADSSPHPDEHGVLSESSQMNSAFAHGNGPTGATGNGMLGESILDQPNERVSGMSNHRKKNHVFLEHGVRNGSIDTYKGVVSEEDHDSNPGHARKDSAESIGSDLSSLRGSELSVPGVSSSLWDGPVDLPSGIDGHSQTEQFTGLDMQLLYDMDAQIILPADQRPKLTRLLITMDRRQVTAKTDMEDLIARLNQEVAVKEYLATKVKDLEVELEATKQKDKEILHQALLTEREKITQLQWDKDELYRKYSEMESNLKIEQNEKTRVQSEKTTASGEKEMLLEELETKLKEVESLQQHIGEFEAKSKADIKVLVKEVKSLRNSQKEMKKVLNQYHEEKTELERIVNREKQRSTRARLSREKILHECRLLRERLQECTAKFVADEQDTMTIDLSSLPDALDLVTTSDNRIRLLVAEAQLLSRDDEQGSSDDGDNSDGKSSVTMSSEDAYVTDEETTKMLSDLLVDNAQLRLRLNSLIRNAVNTAVKTEKEGSDGTVPKKTVLNWLLDR >ORUFI06G24120.1 pep chromosome:OR_W1943:6:23246467:23249427:-1 gene:ORUFI06G24120 transcript:ORUFI06G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTVATDFQRVFKIHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPQTFASLVSALLYEKRFGPYFCQPVIAGLGEDNEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPEELFETISQALQSSVDRDCLSGWGGFVLLVTPTEVKECVIKGRMD >ORUFI06G24120.2 pep chromosome:OR_W1943:6:23246469:23249427:-1 gene:ORUFI06G24120 transcript:ORUFI06G24120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTVATDFQRVFKIHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPQTFASLVSALLYEKRFGPYFCQPVIAGLGEDNEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPEELFETISQALQSSVDRDCLSGWGGFVLLVTPTEVKECVIKGRMD >ORUFI06G24130.1 pep chromosome:OR_W1943:6:23250304:23250921:1 gene:ORUFI06G24130 transcript:ORUFI06G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPEKLMTQIFDLKFTSKSLQRQARKCEKEEKEQKLKVKKAIEKGNMDGARIYAENAIRKRTEHMNYLRLASRLDAVVARLDTQAKMQVIGKSMANIVKSLDSALATGNLQKMSETMDNFERQFVNMEVQAEFMEGAMAGSTSLSTPETEVNSLMQQVADDYGLEVSVGLPQAAAHAIPAAKEKEKAVDEDDLSRRLAELKARG >ORUFI06G24140.1 pep chromosome:OR_W1943:6:23263578:23264351:1 gene:ORUFI06G24140 transcript:ORUFI06G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTKLRPFLLTLLLLLSTTVAPILAEYDPECDCDKPKHPKPSHPSPSPGHPKGPKNPTPRPPKGPTYPSPVTRPPPKTPSYRPPPLVAPPKGPVTRPPPVTYPTPPVTTPPVVVGPPVTYPTPPVTTPPVTYPTPPVTTPPVTYPTPPVTYPTPPTTTPCPPPPPPATTQRCPVDSLKIGACVDLLGGLVHVGIGDPVVNKCCPLLEGLVELEAAVCLCTTIRLKLLNINIYLPLALQLLLTCGKNPPPGYTCSI >ORUFI06G24150.1 pep chromosome:OR_W1943:6:23266428:23269295:-1 gene:ORUFI06G24150 transcript:ORUFI06G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRALEAHCVGRRIARCAVADDPKVVVAAAGGRVAFERAMVGRTIVAARRRGKNLWLRLDAPPFPSFQFGMAGAIYIKGVPVTKSVVSSTDEWPSKYSKFFVQLDDGLEFSFTDKRRFARVRLFEDPETVPPISELGPDALFEPMSSDSFADSLSRKKIGIKALLLDQSFISGIGNWIADEVLYQSRTHPLQIASSLSRESCEALHQSIQEVVKYAVEVDADCDRFPVEWLFHHRWGKKPGKVNGQKIEFITAGGRTTAYVPQLQKLTGMQSSKVVVANPEQVAENDDAKEIETDPEDADNLNARKLGATSRVARGKQKRDAIGALSRKTRKNGGGKEKPGSDYSEDDAETAKPNKVSTSSKGEKADPVRRSSRNVSKPRQI >ORUFI06G24160.1 pep chromosome:OR_W1943:6:23271776:23274537:-1 gene:ORUFI06G24160 transcript:ORUFI06G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMESAYHDELAPAAAPAPAKGGGSKKKRKQQKREEKRKECRLVSYHELPDYMKENEFILDYYRSEWPILNALLSLFSWHNETINIWTHLLGFVLFFGLTVLHLGQYFPQVADLIGHLSWPISKVAENVSSNIGDVLSGAASFMQASPASSAGAMAAAWPVTAAAAATTRWPFFVFLAGAMFCLLSSAACHLLSCHSHRLNLFLIRLDYTGIAVMIVVSFFPPIYYIFQCEPRWQVVYLSAITAAGVATVYALMSPRLSAARYRAHRALLFVAMGLSGVVPAAHAVAVNWHEPRRNVTLAYEGAMAASYLAGTAFYLTRVPERWRPGMFDLCGHSHQIFHALVIAGALAHYAAAIVFIQARDEMGCPAP >ORUFI06G24170.1 pep chromosome:OR_W1943:6:23279035:23286744:-1 gene:ORUFI06G24170 transcript:ORUFI06G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNDNWINSYLDAILDAGKGAAASASASAVGGGGGAGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQEKNTRLENMTWRIWNLARKKKELEKEEANRLLKRRLETERPRVETTSDMSEDLFEGEKGEDAGDPSVAYGDSTTGNTPRISSVDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALSSCPGVYRVDLFTRQILAPNFDRSYGEPVEPLASTSFKNFKQERGENSGAYIIRIPFGPKDKYLAKEHLWPFIQEFVDGALSHIVKMSRAIGEEISCGHPAWPAVIHGHYASAGVAAALLSGALNVPMVFTGHFLGKDKLEELLKQGRQTREQINMTYKIMCRIEAEELALDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRYMPRMVIIPPGVEFGHMIHDFDMDGEEDGPSPASEDPSIWSEIMRFFTNPRKPMILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHNMSAAVLTSVLTLIDEYDLYGQVAYPKRHKHSEVPDIYRLAVRTKGAFVNVPYFEQFGVTLIEAAMHGLPVIATKNGAPVEIHQVLDNGLLVDPHDQHAIADALYKLLSEKQLWSKCRENGLKNIHQFSWPEHCKNYLSRISTLGPRHPAFASNEDRIKAPIKGRKHVTVIAVDSVSKEDLIRIVRNSIEAARKENLSGSTGFVLSTSLTIGEIHSLLMSAGMLPTDFDAFICNSGSDLYYPSCTGDTPSNSRVTFALDRSYQSHIEYHWGGEGLRKYLVKWASSVVERRGRIEKQVIFEDPEHSSTYCLAFKVVNPNHLPPLKELQKLMRIQSLRCHALYNHGATRLSVIPIHASRSKALRYLSVRWGIELQNVVVLVGETGDSDYEELFGGLHKTVILKGEFNTSANRIHSVRRYPLQDVVALDSPNIIGIEGYGTDDMRSALKQLDIRAQ >ORUFI06G24180.1 pep chromosome:OR_W1943:6:23290974:23295497:-1 gene:ORUFI06G24180 transcript:ORUFI06G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRFALLLLHVLLCLVNGVSCGRTSSYVRTEYPSTDIPLESEWFAVPNGYNAPQQVHITQGDYNGKAVIVSWVTVAEPGTSEVLYGKNEHQYDQRVEGTVTNYTFYDYKSGYIHHCLVDGLEYNTKYYYKIGSGDSAREFWFETPPAIDPDASYTFGIIGDLGQTFNSLSTLQHYEKSEGQTVLFVGDLSYADRYQHNDGVRWDSWGRLVERSTAYQPWIWSAGNHEIEYRPDLGETSTFKPYLHRCHTPYLASKSSSPMWYAVRRASAHIIVLSSYSPFVKYTPQWTWLKYELKHVDREKTPWLIVLMHSPMYNSNEAHYMEGESMRAAFEKWFVKYKVDLVFAGHVHAYERSYRISNINYNITSGNRYPVPDKSAPVYITVGDGGNQEGLASRFSDPQPDYSAFREASYGHSILQLKNRTHAIYQWNRNDDGKHVPADNVVFHNQYWASNTRRRRLKKKHFHLDQIEDLISVF >ORUFI06G24180.2 pep chromosome:OR_W1943:6:23290974:23294980:-1 gene:ORUFI06G24180 transcript:ORUFI06G24180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRFALLLLHVLLCLVNGVSCGRTSSYVRTEYPSTDIPLESEWFAVPNGYNAPQQVHITQGDYNGKAVIVSWVTVAEPGTSEVLYGKNEHQYDQRVEGTVTNYTFYDYKSGYIHHCLVDGLEYNTKYYYKIGSGDSAREFWFETPPAIDPDASYTFGIIGDLGQTFNSLSTLQHYEKSEGQTVLFVGDLSYADRYQHNDGVRWDSWGRLVERSTAYQPWIWSAGNHEIEYRPDLGETSTFKPYLHRCHTPYLASKSSSPMWYAVRRASAHIIVLSSYSPFVKYTPQWTWLKYELKHVDREKTPWLIVLMHSPMYNSNEAHYMEGESMRAAFEKWFVKYKVDLVFAGHVHAYERSYRISNINYNITSGNRYPVPDKSAPVYITVGDGGNQEGLASRFSDPQPDYSAFREASYGHSILQLKNRTHAIYQWNRNDDGKHVPADNVVFHNQYWASNTRRRRLKKKHFHLDQIEDLISVF >ORUFI06G24190.1 pep chromosome:OR_W1943:6:23299576:23303341:1 gene:ORUFI06G24190 transcript:ORUFI06G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLLLVLFAVVAARVGAAAAYQPGSAEGHTIAGRIKIDAASAIAKGFGLPAKASNTKVILNGGQRVTFARPDGYFAFHNVPAGTHLIEVSSLGYLFSPVRVDISARNPGHIQAALTENRRVLNELVLEPLREEQYYEKREPFSIMSLLKSPMGMMLGFMVIMVFVMPKMMENIDPEEIKQAQEQMRNSPVPSFSGLLARANS >ORUFI06G24200.1 pep chromosome:OR_W1943:6:23309359:23315229:1 gene:ORUFI06G24200 transcript:ORUFI06G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILPDLATQVLVPAAAVVGIAFAVVQWVLVSKVKMTAERRGGEGSPGAAAGKDGGAASEYLIEEEEGLNEHNVVEKCSEIQHAISEGATSFLFTEYKYVGLFMGIFAVLIFLFLGSVEGFSTKSQPCHYSKDRMCKPALANAIFSTVAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLVVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLLISSVGIIACLITTLFATDFFEIKAVDEIEPALKKQLIISTVVMTVGIALVSWLGLPYSFTIFNFGAQKTVYNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPSCPSHLEFPLSSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNSIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLSPLIVGIFFGVETLSGLLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWF >ORUFI06G24200.2 pep chromosome:OR_W1943:6:23309359:23315178:1 gene:ORUFI06G24200 transcript:ORUFI06G24200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILPDLATQVLVPAAAVVGIAFAVVQWVLVSKVKMTAERRGGEGSPGAAAGKDGGAASEYLIEEEEGLNEHNVVEKCSEIQHAISEGATSFLFTEYKYVGLFMGIFAVLIFLFLGSVEGFSTKSQPCHYSKDRMCKPALANAIFSTVAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLVVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLLISSVGIIACLITTLFATDFFEIKAVDEIEPALKKQLIISTVVMTVGIALVSWLGLPYSFTIFNFGAQKTVYNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPSCPSHLEFPLSSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNSIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLSPLIVGIFFGVETLSGLLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWF >ORUFI06G24210.1 pep chromosome:OR_W1943:6:23316321:23330015:1 gene:ORUFI06G24210 transcript:ORUFI06G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRLASVIAADEVCIFHASDCSSSNTKNCCRYMYLLNLSEFSQDPILPNTARALHFKDCRKSPKNYSETKFLRILDFSACTINELPDSISHLSLLKYLNVSGLSGTLPKSLSKLHHLQALTLSTNIDLVELPSYICEFLKLQYLDLHGCSKLKKLPDGIHKHKELQHLNLSDCTSIESLPLFSSQSGGLQKLSFLNVSHCSQLVKLSFLEEKLEKQPDHYLPNMVHLNMSFCPKLQELPTGLFKHMRKLLFLNFSGCTSLEDLPEFVEHDAGCSMLEVLDLSGCAKLPALPESSTELRELRCLNLSGCSKLQNFLKLIPRWKFGTLEYLNISGVGAKSDSEVPGTSAEDQSSQDPIKELELGMLQEDIITQGLFRLKYLSIGGFTLYSEQGIARMVDLLTLPNFNVRLQDDGRCSNILILQQILDVTHRQLNIKCLENVVFSEEAKQLELDRMRQFHSLGFEWSLSGMVSSVKQRAVLGNLRPHRNLQSLSIKGYICTEFPDWINKINDTLPDLVKLVFSDINGCNYIPISQLPNLKELEINNMPRLNKIYGTLPNLVKLILSHIERCDHIPVLGNLPNLHELEIYNMPQLHDARIGPCNKLRRLTLVGLPNEATVHLFYDICTHTEVQMVESSHGCDEEMTETGQEFNTLPGCSFRKNEVRRSEELSRGPSTEKGKVNNWFDALLLSIGGARAKKTLASALSHISLVPEESQLSPKLSHGCLEVTSAALPELDYLQIGSCHDLKLHPTPPKSKEYFVKDSSLSLPVDKEDTSSFPSRPYDQYTEGCSHSGQGTAIPPHEHAMQKSIPRLKSILHIEGSRDQLRQWTELLSTHLDELTITDPLFYNSKYLEEESTVSEHDSLESIYGLPQSCIANLEKINLPPSHQYGLEECSNYDNIDFVGRIDSQVPYINIGKVGTFSVKPIEEGGIFCSSNIPLLYKHYDGQSSSLVIKNLENLKGSLGEVQELAKYQQVRLVWSRSNFIEDSSMAEDKAVLQKLRPHHDLETIEIEGYRGDEFCYWMMNINSSLPNLVTVKLSNIANCQCLPPLGQLANLEVLHISDMPSVRKVDGHVYGTEKPFRKLRELELSTMKNLEEWSTTTLLLTGHNDHQLSRSEEVFPNLQVLLIANCPRMRFVPGFPRSRECTLEKSYSILLSFEQFIGSSNLALIALKINDSGSSSDIVKFLQGCVNLLYLTIDSCIDLITLPEPIKNCHCLRKLEITNCWNFSVLPEWLGELTFLQKLDIQASKLEYLPQSIQRLTALERLVLNKCNYKLRERCTSGEDKEKIKHIKTIDMNEVPLMYLTPSYIMLLQQVTSSQFIDLHIGGLECMIGLREMENLELQTKKELSSLSLEWSYAYADSSDKYASSERGMQNRAVFEKLQPHDSLEILCIKNYAGVDFPRWMSLLPNLVQLKIVGMQFEYLHLDQFQNLKELFLSRVQFAHLHLNGLQNLIELSLSGLKFGHLHIDQLQNLRELKVSGVEFQHLHLERLQNITELKLSEERFERLHIDQLQNLKELKFSSVEFGHLHLERLQSITKLELCKKQFEHLHLVQLENLRQLYLFTVKFECVVLHQLQNLEELHLSQIESQKSNKPVCIECSQPLRKLQKIVMTKIINKGLQISVQGGEGDKNLFPGLQHLEMELCENLRFQPSIPRSTHYIISGEIYFDKFNYRRILGSFLFPSFKQVMGTSIPGSTSRMEIKNTSGLSSERWKSIPHLELLNITELTIDKCVDSCPVPKCILGWKSLQKLEIRRCEDIELLPEWLGEMSCLTELIVETYWMEALHPCIRRLTNLQSLTLITCLNRFKERCKSGDDWINIKHIPHIQITDRNGRTEIISPSCT >ORUFI06G24210.2 pep chromosome:OR_W1943:6:23316321:23329083:1 gene:ORUFI06G24210 transcript:ORUFI06G24210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRLASVIAADEVCIFHASDCSSSNTKNCCRYMYLLNLSEFSQDPILPNTARALHFKDCRKSPKNYSETKFLRILDFSACTINELPDSISHLSLLKYLNVSGLSGTLPKSLSKLHHLQALTLSTNIDLVELPSYICEFLKLQYLDLHGCSKLKKLPDGIHKHKELQHLNLSDCTSIESLPLFSSQSGGLQKLSFLNVSHCSQLVKLSFLEEKLEKQPDHYLPNMVHLNMSFCPKLQELPTGLFKHMRKLLFLNFSGCTSLEDLPEFVEHDAGCSMLEVLDLSGCAKLPALPESSTELRELRCLNLSGCSKLQNFLKLIPRWKFGTLEYLNISGVGAKSDSEVPGTSAEDQSSQDPIKELELGMLQEDIITQGLFRLKYLSIGGFTLYSEQGIARMVDLLTLPNFNVRLQDDGRCSNILILQQILDVTHRQLNIKCLENVVFSEEAKQLELDRMRQFHSLGFEWSLSGMVSSVKQRAVLGNLRPHRNLQSLSIKGYICTEFPDWINKINDTLPDLVKLVFSDINGCNYIPISQLPNLKELEINNMPRLNKIYGTLPNLVKLILSHIERCDHIPVLGNLPNLHELEIYNMPQLHDARIGPCNKLRRLTLVGLPNEATVHLFYDICTHTEVQMVESSHGCDEEMTETGQEFNTLPGCSFRKNEVRRSEELSRGPSTEKGKVNNWFDALLLSIGGARAKKTLASALSHISLVPEESQLSPKLSHGCLEVTSAALPELDYLQIGSCHDLKLHPTPPKSKEYFVKDSSLSLPVDKEDTSSFPSRPYDQYTEGCSHSGQGTAIPPHEHAMQKSIPRLKSILHIEGSRDQLRQWTELLSTHLDELTITDPLFYNSKYLEEESTVSEHDSLESIYGLPQSCIANLEKINLPPSHQYGLEECSNYDNIDFVGRIDSQVPYINIGKVGTFSVKPIEEGGIFCSSNIPLLYKHYDGQSSSLVIKNLENLKGSLGEVQELAKYQQVRLVWSRSNFIEDSSMAEDKAVLQKLRPHHDLETIEIEGYRGDEFCYWMMNINSSLPNLVTVKLSNIANCQCLPPLGQLANLEVLHISDMPSVRKVDGHVYGTEKPFRKLRELELSTMKNLEEWSTTTLLLTGHNDHQLSRSEEVFPNLQVLLIANCPRMRFVPGFPRSRECTLEKSYSILLSFEQFIGSSNLALIALKINDSGSSSDIVKFLQGCVNLLYLTIDSCIDLITLPEPIKNCHCLRKLEITNCWNFSVLPEWLGELTFLQKLDIQASKLEYLPQSIQRLTALERLVLNKCNYKLRERCTSGEDKEKIKHIKTIDMNEVPLMYLTPSYIMLLQQVTSSQFIDLHIGGLECMIGLREMENLELQTKKELSSLSLEWSYAYADSSDKYASSERGMQNRAVFEKLQPHDSLEILCIKNYAGVDFPRWMSLLPNLVQLKIVGMQFEYLHLDQFQNLKELFLSRVQFAHLHLNGLQNLIELSLSGLKFGHLHIDQLQNLRELKVSGVEFQHLHLERLQNITELKLSEERFERLHIDQLQNLKELKFSSVEFGHLHLERLQSITKLELCKKQFEHLHLVQLENLRQLYLFTVKFECVVLHQLQNLEELHLSQIESQKSNKPVCIECSQPLRKLQKIVMTKIINKGLQISVQGGEGDKNLFPGLQHLEMELCENLRFQPSIPRSTHYIISGEIYFDKFNYRRILGSFLFPSFKQVMGTSIPGSTSRMEIKNTSGLSSERWKSIPHLELLNITELTIDKCVDSCPVPKCILGWKSLQKLEIRRCEDIELLPEWLGEMSCLTELIVETYWMEALHPCIRRLTNLQSLTLITCLNRFKERCKSGDDWINIKHIPHIQITDRNGRTEIISPSCT >ORUFI06G24210.3 pep chromosome:OR_W1943:6:23316321:23318441:1 gene:ORUFI06G24210 transcript:ORUFI06G24210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFGENIASAVIKELSGKLGSPIWNTIMSQVTFRDDLEAIKSMLSSLQAKLNDAERKSQTDGSVRDLLKKLKAVAYDIEDRLAVYESSSNDGHDGSLRHEWSSFPEKLKSRYNLPREMKKMRRRLEGIKKEMDLTSFKVDGATEEQDSYISRHLEPRRYSSEDTVGRIAEKGRIMDLLLSDEEHSIIPIYGLGGLGKTTLAQMAFSDCTTQIAFEMLAWVYVSEKFDLNAISLSIKQQCNSHTLQYGDSGIHNVAVESILTEKRCLIVLDDLWEENNFKLDELEAMLRLCKKGSKVIVTTRSKKVADRMNKDLQIELGLLPNEDCWTLFRKKARVPTPVPPYVEAMRETIVEKCQGLPLAVKSLGYFLGRMRPTEWEQNLHSNIWAEKDDRFPDNGVIANLKLSYYSMPCSLRLCFAYLSVFPKGSHIQKSSLIQQWIALGFIQPPESIPTEQYAEYCLQELIEMSFLQNVNAATAPSQIFHHWAKILGPKS >ORUFI06G24220.1 pep chromosome:OR_W1943:6:23333653:23340151:1 gene:ORUFI06G24220 transcript:ORUFI06G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEDDSLKNDVYTAAAYGDLEKLQRLVEGEGHPVTEPDGLGYHALQWAALNNRVAAAQYILEHGADVNAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDVADLYGYQATHVAAQYGQTAFIYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLGNLEACTVLVQAGKKDDLMVKDKTGLTPAQLAADKSHRQVAFFLDNAKRVYDRGCDGNTNFGKLSKLGLAPVLWCIIVGLLATYIHSVISGQYIMDMTAPFGLFAWSGVFLATAGLVMFYKCSRKDPGYIKANIRDSQNQRDDEPLLKLELDNPALLSGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFITLEVFAMIITGSAAIIRMVRDPASPASFIPWLSYSAFNHTGALSFFIMDLFLFFGVAVLAVVQASQIAKNITTNEMANSMRYSYLRGPGGRFRNPFDHGARKNCSEFLLNGYNEDIERLDHTSHTDEEMGMIQMTNAVSQNGEGPSHHGNGTGHSCAESHAHSKSHSQVSSSQCCDHSKKTDRTPLGLGLGLGRNSASRQYVRSLLPL >ORUFI06G24220.2 pep chromosome:OR_W1943:6:23333261:23340151:1 gene:ORUFI06G24220 transcript:ORUFI06G24220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVLEDTTTTMAASAAPVAPASPSPSPAAAADGEEGEGPAPAAEDDSLKNDVYTAAAYGDLEKLQRLVEGEGHPVTEPDGLGYHALQWAALNNRVAAAQYILEHGADVNAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDVADLYGYQATHVAAQYGQTAFIYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLGNLEACTVLVQAGKKDDLMVKDKTGLTPAQLAADKSHRQVAFFLDNAKRVYDRGCDGNTNFGKLSKLGLAPVLWCIIVGLLATYIHSVISGQYIMDMTAPFGLFAWSGVFLATAGLVMFYKCSRKDPGYIKANIRDSQNQRDDEPLLKLELDNPALLSGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFITLEVFAMIITGSAAIIRMVRDPASPASFIPWLSYSAFNHTGALSFFIMDLFLFFGVAVLAVVQASQIAKNITTNEMANSMRYSYLRGPGGRFRNPFDHGARKNCSEFLLNGYNEDIERLDHTSHTDEEMGMIQMTNAVSQNGEGPSHHGNGTGHSCAESHAHSKSHSQVSSSQCCDHSKKTDRTPLGLGLGLGRNSASRQYVRSLLPL >ORUFI06G24230.1 pep chromosome:OR_W1943:6:23340594:23351865:1 gene:ORUFI06G24230 transcript:ORUFI06G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPFRAIGYITAGGVPFSVQRLGTETFVAVSVGKAFHVYNCAKLNLVLSGPQLPKKIRALASYKDYTFAAYGSDIAVFKRTDQVVTWSKHEEKINILYPFGEYILSADIKGNVFIWSFRGSEPNIGCSDGTIHVHNIRYDEELMSFNHQIRGAVTALSFRTDGQPLLASGGSSGVISIWNLEKRRLHSVIREAHDASIVSLHFFANEPILMSSASDNSIKMWIFDTNDGDARLLRFRSGHSAPPRCIRFYGNGKCILSAGQDRAFRLFSVVQDQQSRELSQRHVSKRAKKLGLKEEEIKLKPVIAFDCAEIRSRDWCNVVTCHTDTPQAYVWRLQNFVIGEHILTPSSGSKSPIKACAISACGNFTFLGTEGGWIEKFNLQSGISRGSYIDTSLAIKRAHDGEVVGLACDATNGSLISAGYGGDIKVWDFKSCKLKSRLDIGKSVTKIAYHRANEFYAQLTVLSYFMSGLLATVADDMVLILFDTVAMKMVRRFEGHTDRVTDLCFSEDGKWLISSSMDGTLRIWDISLARQIDAMHVDVSITSLSMSPNMDVLATTHVDQNGVYLWVNQALFSPSTNVDNYSSGKHVRNVRLPTVSSTEKSEEEPIHSSEDSKESKVKPYVIMDHQIPNMITLSLLPKSQWQSLANLDIIKVRNKPIEPPKKPEKAPFFLPTVPSLSGEILFEPAANSKETDSSTEDTSHKKMADLSSHFSRLLQSCGDTKNYSAFTDYLKGLSPSSLDMELRVLQLIDDEPQNLEQRPELQSISLLLDYFIHELSCRNNFEFVQAVLKLFLKIHGETIRRHSMLQDKVKKLLDVQSLVWQKIDKVFQSARCMVTFLSNSQF >ORUFI06G24230.2 pep chromosome:OR_W1943:6:23340594:23349347:1 gene:ORUFI06G24230 transcript:ORUFI06G24230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPFRAIGYITAGGVPFSVQRLGTETFVAVSVGKAFHVYNCAKLNLVLSGPQLPKKIRALASYKDYTFAAYGSDIAVFKRTDQVVTWSKHEEKINILYPFGEYILSADIKGNVFIWSFRGSEPNIGCSDGTIHVHNIRYDEELMSFNHQIRGAVTALSFRTDGQPLLASGGSSGVISIWNLEKRRLHSVIREAHDASIVSLHFFANEPILMSSASDNSIKMWIFDTNDGDARLLRFRSGHSAPPRCIRFYGNGKCILSAGQDRAFRLFSVVQDQQSRELSQRHVSKRAKKLGLKEEEIKLKPVIAFDCAEIRSRDWCNVVTCHTDTPQAYVWRLQNFVIGEHILTPSSGSKSPIKACAISACGNFTFLGTEGGWIEKFNLQSGISRGSYIDTSLAIKRAHDGEVVGLACDATNGSLISAGYGGDIKVWDFKSCKLKSRLDIGKSVTKIAYHRANEFYAQLTVLSYFMSGLLATVADDMVLILFDTVAMKMVRRFEGHTDRVTDLCFSEDGKWLISSSMDGTLRIWDISLARQIDAMHVDVSITSLSMSPNMDVLATTHVDQNGVYLWVNQALFSPSTNVDNYSSGKHVRNVRLPTVSSTEKSEEEPIHSSEDSKESKVKPYVIMDHQIPNMITLSLLPKSQWQSLANLDIIKVRNKPIEPPKKPEKAPFFLPTVPSLSGEILFEPAANSKETDSSTEDTSHKKMADLSSHFSRLLQSCGDTKNYSAFTDYLKGLSPSSLDMELRVLQLIDDEPQNLEQRPELQSISLLLDYFIHELSCRNNFEFVQAVLKLFLKIHGETIRRHSMLQDKVKKLLDVQSLVWQKIDKVFQSARCMVTFLSNSQF >ORUFI06G24240.1 pep chromosome:OR_W1943:6:23349898:23351307:-1 gene:ORUFI06G24240 transcript:ORUFI06G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNYSSLPLTSIELQSNQRTSEVANGVGYNGHAGGGGETAPLPLIGDGPAGPPEGSGVPAAVFNLATSIIGAGIMALPATMKVLGVAVGLVSILVMGILSEVTIELLVRFAVYCRALSYGEVVHKALGRPASIVAQMCVIINNAGVLIVYLIIIGDVMSGSLKHIGVMDQLIGHGEWDNRRLLILVVLVIFLSPLCALEKIDSLSLSSAASVALAVVFVVVSCIIALVKVVEGKISMPRMGPDFSSRAAMLDLLVVIPIMTNAYICHFNVQPIYNELKEKTPHNMYKIGRITTVLCVVVYALTAVSGYLLFGEDTESDVLTNFDKDLGIRFSSILNFIVRIGYVIHLVLVFPVVHFSLRQTVDSLIFGELAPHSRKKMLTLTVVLLALIYLGSTMIPNIWVAFKFTGATTGLALGFIFPALIALRLDKEGKSLGKGERLLSIVMLGLAMVVSIIGVIGNVYSLRSKSA >ORUFI06G24250.1 pep chromosome:OR_W1943:6:23353346:23355642:-1 gene:ORUFI06G24250 transcript:ORUFI06G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGGARVVLFVVAAAAAAALTAAADQIFTSSGAPFGRNSREPRYHVEFHPVDAPFNPENGQESVPMTSHVGKHYTCFLPVEETKTMKSIIPQNATNVIIESERRVKPKDPDELLEILKDQCFYRHEGWWSYEFCYYGKIRQVHVEGEKVIQEYVLGEYDADATDAYYENQTSDSADEDDNLIDTSKRYHVHLYTNGTVCDLTDMPRETEVRFVCSEPTVVISSIKEISSCKYVLTVQSPMLCKNPLFQQEKRTLSIHCNELLAEAEATVDDDSLPKEAQIIIPDPDGLHNYAAYAT >ORUFI06G24260.1 pep chromosome:OR_W1943:6:23356293:23356931:1 gene:ORUFI06G24260 transcript:ORUFI06G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERVAAAAGGVHGLQRAPGAMGGGTSSLLSAAVQEMLMVQKSNKAIKKPPRATPRLPPVTGERRGGSGGSVDRGEATAPAPKRAARAWQSPAKRVARSMLRRGAPPSPEEKRKVLTCCCARLPPGLRCALHQCAPGQSWMRSHCGGGGAIAATSEAVAPRACGRGGWLFSEYARWRRSVWMPSRFYLERIDGQPRPPPGELDAGRRPPAS >ORUFI06G24270.1 pep chromosome:OR_W1943:6:23357024:23365686:1 gene:ORUFI06G24270 transcript:ORUFI06G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMKHRALLLLSLVLARLQRDSACAVAMSARAAIVADGVTTFNLMLANVMVFEALATKQWRYRVTIELYQALNPPPPPPCRVPALLLSDYAVAGHAFLLPICPSPDCPLPLAPFLLPTDSTLPASSSDSTASMIFYK >ORUFI06G24280.1 pep chromosome:OR_W1943:6:23359571:23360674:-1 gene:ORUFI06G24280 transcript:ORUFI06G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPYADAERAAAVSRRWQHLHTRLPNVRFSMSVQGLLAPLGESSKPRVQSMARTLQRRCCGGGDTVKTLHIGYRKDVPFECRYAEEFVALANATRLELGVQCARGLPDEDDGEWSLELPPATAELQLRLYWYAVRPPGLHGPGVASLLWLARNGLAVLRPESFLSGGGVVFPALEELHIVKCTLPAGGGIDITSAAMPRLRRLIVTDVAVMSAATKAGIAVLADELAELRVSCRCATEPMATSDPAAYHLKPRFRALFTRYSCVRVRAPRLRVFEWRCCFADEVRVESVGRLSDVAVELAAGRLPRLWDEESKSLSVEDCDKLMKGILRGLLPGLRPRSWDWVQRKCVKRDERWLSFEISSAPKYDT >ORUFI06G24290.1 pep chromosome:OR_W1943:6:23362913:23366130:-1 gene:ORUFI06G24290 transcript:ORUFI06G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIAAGRRRVTMPYYALFGEVVMDGIVRVEPMGGFDGTATAYYLQCKDCGSLGSVSLIPGKGKALTPDSKNMVMLIHCDGHIPIAFSPAPYWIANKVNGDQHELHFSKDGFEGYGDNDELILTSAKFTVERLKEGRGALSTSEKMSFKWHIKAKDRANHRLSQVEYKYKTEDCKIQEKHRNGHLIRPQEKYRNSRRDKNSKDSQKIIEAVESEEEAGRVLSVGSRKGARGSGQSGLGQIGRRKAWPATA >ORUFI06G24300.1 pep chromosome:OR_W1943:6:23368298:23369646:1 gene:ORUFI06G24300 transcript:ORUFI06G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSRITVPRSSSLSGKVAAVGTGGRAVARAHRRRSGWQRAPPSGCGCGCPRPWWLSAAAFLTGSTDEVVTTAPISHYLHLLRSLQAVPADRLHHAAPPLRCPLPPPAALILSSSGELQVAVDLAMPMLRVVAAAAAAMLSLAASSAPATWTHHRDAASHSSFVRLQRSLARSRRVDLVHRALLQRPSSRLSSRAASCTHSPPSSASPRPTPSSTPLSATKTTSPRSISIPFQPTSRGNLVSSS >ORUFI06G24310.1 pep chromosome:OR_W1943:6:23369891:23382487:1 gene:ORUFI06G24310 transcript:ORUFI06G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLPAKRRRSTHAARELPALNPSPSLVPSPSSPYPPAAAAAAAAASSPETLAPFAAAAAVAASPETLAPFAAGVAASGAEAMEDVCEGKDFSFPAEEERVLKLWSELDAFHEQLRRTKGGEEFIFYDGPPFATGLPHYGHILAGTIKDVVTRHQSMRGRHVSRRFGWDCHGLPVEFEIDKQLGITNRQQVFDLGIGKYNETCRGIVTKYVAEWEAVVTRTGRWIDFKDDYKTMDINFMESVWWVFSQLWEKDLVYKGFKVMPYSTGCKTALSNFEAALDYRTVPDPAIMVSFPIIGDADNAALVAWTTTPWTLPSNLALCVNANLVYVKVKDKSTGAVYVVAESRLGQLPAKAKSSGKKQAPSKGGTAEVVQGGLDTEAYELLAKFPGSSLVGLKYKPLFDFFLELQETAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIIEASGLVVAVDDDGCFIEKISEFSGRYVKEADRDIINSVKDKGRLVSKGSIEHSYPFCWRSGTPLIYRAVPSWFVKVEKIRDQLLECNKQTYWVPDYVKEKRFHNWLEGARDWAVSRSRFWGTPLPLWISQDGEEIVVMDSIEKLERLSGVKVNDLHRHYVDGITIPSSRGPEYGVLKRVEDVFDCWFESGSMPYAYIHYPFENRELFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPSPVEVIDEYGADALRLYLINSPVVRAESLRFKRSGVFGVVKDVFLPWYNAYRFLVQNAKRLEIEGFSAFSPIDQASLLKSSNVLDHWINSATESLVSFVHQEMDAYRLYTVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEEDCRVSLSTLYHALVTTCVAMAPFTPFFTETLYQNLQKVSTKPEDSIHFCSFPSTTGERDERVEQSVNRMMTIIDLARNIRERHNKALKTPLKEMVIVHPDNEFLEDITGKLKEYVMEEMNVKTVTPCNDPMLYASLRAEPNFSVLGKRLGKDMGKVSNEVKKMTQEQILAFEQSGEISFFGHCLKLDDIKVIRQFKRPANVAENEIDAAGDGDVLVVLDLRADQSLFEAGVAREVVNRIQKLRKTAQLEPTDLVDVYYKPMDDGKNTLVEIVQSQDQYIRDALGNPLIPKMAAPPDAVMICEESHNVQDMSFVIYIARVSPVVTDDLLVHAAGNREHFDALKVYLLSRSISRLKNEFQAGNGKITVDFIEGFPPIDLQLGKHVFLSTGDFYLATRS >ORUFI06G24310.2 pep chromosome:OR_W1943:6:23369891:23382487:1 gene:ORUFI06G24310 transcript:ORUFI06G24310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLPAKRRRSTHAARELPALNPSPSLVPSPSSPYPPAAAAAAAAASSPETLAPFAAAAAVAASPETLAPFAAGVAASGAEAMEDVCEGKDFSFPAEEERVLKLWSELDAFHEQLRRTKGGEEFIFYDGPPFATGLPHYGHILAGTIKDVVTRHQSMRGRHVSRRFGWDCHGLPVEFEIDKQLGITNRQQVFDLGIGKYNETCRGIVTKYVAEWEAVVTRTGRWIDFKDDYKTMDINFMESVWWVFSQLWEKDLVYKGFKVMPYSTGCKTALSNFEAALDYRTVPDPAIMVSFPIIGDADNAALVAWTTTPWTLPSNLALCVNANLVYVKVKDKSTGAVYVVAESRLGQLPAKAKSSGKKQAPSKGGTAEVVQGGLDTEAYELLAKFPGSSLVGLKYKPLFDFFLELQETAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIIEASGLVVAVDDDGCFIEKISEFSGRYVKEADRDIINSVKDKGRLVSKGSIEHSYPFCWRSGTPLIYRAVPSWFVKVEKIRDQLLECNKQTYWVPDYVKEKRFHNWLEGARDWAVSRSRFWGTPLPLWISQDGEEIVVMDSIEKLERLSGVKVNDLHRHYVDGITIPSSRGPEYGVLKRVEDVFDCWFESGSMPYAYIHYPFENRELFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPSPVEVIDEYGADALRLYLINSPVVRAESLRFKRSGVFGVVKDVFLPWYNAYRFLVQNAKRLEIEGFSAFSPIDQASLLKSSNVLDHWINSATESLVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEEDCRVSLSTLYHALVTTCVAMAPFTPFFTETLYQNLQKVSTKPEDSIHFCSFPSTTGERDERVEQSVNRMMTIIDLARNIRERHNKALKTPLKEMVIVHPDNEFLEDITGKLKEYVMEEMNVKTVTPCNDPMLYASLRAEPNFSVLGKRLGKDMGKVSNEVKKMTQEQILAFEQSGEISFFGHCLKLDDIKVIRQFKRPANVAENEIDAAGDGDVLVVLDLRADQSLFEAGVAREVVNRIQKLRKTAQLEPTDLVDVYYKPMDDGKNTLVEIVQSQDQYIRDALGNPLIPKMAAPPDAVMICEESHNVQDMSFVIYIARVSPVVTDDLLVHAAGNREHFDALKVYLLSRSISRLKNEFQAGNGKITVDFIEGFPPIDLQLGKHVFLSTGDFYLATRS >ORUFI06G24320.1 pep chromosome:OR_W1943:6:23383344:23384060:-1 gene:ORUFI06G24320 transcript:ORUFI06G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYAWLAKTGLAPALAYEYGRLFNQNELERGDAAHFDHDLLKSMGIAVAKHRLEILKLAKKDSSSAADAGGGDSSSSSYAQLIARKAGRCIARCARRLARPRGVGGGRGSSVTVVPRICSGDDAVRVGAVQAAATRRRRSVKKMVLMITDGGATAARGGVGFAAGRFSGSQKASLMFHDCYEEEDDDYDHEEEARCGDGAEGGDEDAEEERCSDGGGGGGTDVEIKWDSMFQDLKPT >ORUFI06G24330.1 pep chromosome:OR_W1943:6:23392929:23396156:-1 gene:ORUFI06G24330 transcript:ORUFI06G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSSSPRRRALLLLFVFASSFFASAAAAVSRTNSSSAFVLAAAQTRRKDPLRGLRYYTGGWNISDKHYLASVGFSAAPVFVVAAVWFASLALAAFVACCCRCCCSGAGGGNGNGGYSYSRAIFAVSLALLLVFTAVAIIGCIVLYDGQGKFHGSTTATLRFVVNQSDGAVASLRGFSGFIEAAKAAAVEKATLPADLQGKVDDVVRRVDASADDLAARTTTNSRKIRTALETIRTILIVVAAVMLALAFLGLVFSLCGLKSLVYTLVIFGWILVTATFILSGTFLLLHNAVGDTCVAMDEWVLHPQGHTALDDILPCVDAAATSDALRRSKEVNYQIVSVLNNLLATVANANVPASSPPSPPASYRQSGPPVPLLCNPYNGDLSDRACAAGEVAAADAPRAWRGYVCRATGAAPSSEVCATTGRLTPTMYDQMVAAANASAGLTQYGPVLADLADCSYVRRAFQAVTAAHCPGLRRHSGRVYQALLAVSVAVAAAVAAWVAHSQERRRRSETRRFQVSPYRFPIEEKSLLKSPRRPYRRGDSGRMAR >ORUFI06G24340.1 pep chromosome:OR_W1943:6:23397487:23411698:-1 gene:ORUFI06G24340 transcript:ORUFI06G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGERREDENPTTSAADDDDDEDYDEPGGGNHFLGFMFGNVDDSGDLDADYLDEDAKEHLFALADKLGPSLKDIDLIKPSAAPTDPSEQDYDAKAEDAVDYEDIDEEYDGPEVEAATEEDHLLSKKDYFSSNAVYASVNSKVSVFDEENYDEDEEPPNDNDLPSDNIVQNCTSASAEQLDMAPSNDNLAVEKMSSSLSEPEESFESEAFQKEMVAEEQLESKTATSLPVLCIEDGSVILKFSEIFGAQEPVRKAKMDRHKRPVNKELQITNFTDIVEEDEEVFLRSTIQNLSALKHIKTNDNFVESDSDESTSDVALRLKDLCLSEQPMKDKDIPTAVQSPVFPDFYPLEHENWENDIVWGNSPTTAIQPCLTSCAISKESLDDHNEDQAEGYVSGCWDVQNKFHSSSVMADPFGHTEIPDSTSYRSPENSYSPLRKETAQENNSLDEPNNITQPVKIDTTRHLNKLSLLNKELLEGSWLDNIVWDPSEDVPKPKLIFDLKDDHMLFEILDEKNGDHLRSHARAMIVTRPRKTSAVENVDHNNQAIALSGRFNISNDKFYSNRKMSQQARSHAKKRATMGLKLVHSVPAQKLQTMKPKLSIKEIANFHRPKAKWYPHENKLTARFQGDECSHGPMTAIVMTLGGKGVKFLVNAEETPLSVKSKASKKLEFKPSEKIKLFCSGKELQDDISLAMQNVRPNSILHVVRTEIHLWPKAQRLPGENKPLRPPGAFRKKSDLSVKDGHVFLMEYCEERPLLLANAGMAARLCTYYQKTSPSDQTATSLRSNSDGLGTMLAIDPADKSPFLGNIRSGSHQSCLETNMYRAPVFPHKVATTDYLLVRSPKGMLSLRRIDKLYAVGQQEPHMEVFSPGTKNMQNYILNRILVYVYREFRAREKPGIIPQIRADELPIQPPITEAIKGPKGHLFYIQRPDFRIPSEEELRRLLTPENVCCYESMQAGQYRLKHLGIEKLTQPVGLASAMNQLPDEAIELAAAAHIERELQITSWNLTSNFVACTNQDKENIERLEITGVGDPSGRGLGFSYVRVTPKAPVSNSTHKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPEEQIDKLTRWHRIAMVRKLSSEQAASGVTMDEIPVSKFARGQRMSFLQLQQQTKEKCQEIWDRQIQSLSAMDGNENGSDTEANSDLDSFAGDLENLLDAEEFDDEDVGNTDIRSDKMDGMRGLKMRRCHTQSQINEEIQDDVAEAALVEKLLEESDSDMKRKKQPVETTNYSTPMYNQGNKMKQGKAGQMIKSSVYAGALTPKESIPREAKEVENFAEGSLPSKLRTKTGFDANDDIILVKRKNIPGKDGFKEKRQGARGDTLVCGACGQLGHMRTNKLCPKYGEDPETSEMDVNSIRSHPPDIVSNAQIKTSNKRLVAKVSSEAFETEGPESIEKAKPVPVKFKCGAPEKSLDRNMSISASLVSDKRMMDATDSKSTGKVNKIKISNKIKYDDYPPDTPKPSVVIRPPAEVEKDLPRKKIIIKQPKVLGDQQRPTELRSGQEPRKTRKIVELSSFEKRDREDDNGFSGQPIQINSSHDRGWGLVGKRSKGIMESSESWRAFEEQRERQEQRLIEARIYDARREDELQKAKKKNKKKKKHEFRDDDLLDPRPYKNDRRVPERGRAAKRRTPADMTEYTPPAKRHRGGEVELSNILEKIVDHLRTMSCSFLFRKPVTKKEAPDYFDIIERPMDLGTIRDKVRKMEYKNREDFRHDVAQIALNAHTYNLNRHPHIPPLADELLELCDYLLEESADVLDDAEYAIED >ORUFI06G24340.2 pep chromosome:OR_W1943:6:23397487:23411698:-1 gene:ORUFI06G24340 transcript:ORUFI06G24340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGERREDENPTTSAADDDDDEDYDEPGGGNHFLGFMFGNVDDSGDLDADYLDEDAKEHLFALADKLGPSLKDIDLIKPSAAPTDPSEQDYDAKAEDAVDYEDIDEEYDGPEVEAATEEDHLLSKKDYFSSNAVYASVNSKVSVFDEENYDEDEEPPNDNDLPSDNIVQNCTSASAEQLDMAPSNDNLAVEKMSSSLSEPEESFESEAFQKEMVAEEQLESKTATSLPVLCIEDGSVILKFSEIFGAQEPVRKAKMDRHKRPVNKELQITNFTDIVEEDEEVFLRSTIQNLSALKHIKTNDNFVESDSDESTSDVALRLKDLCLSEQPMKDKDIPTAVQSPVFPDFYPLEHENWENDIVWGNSPTTAIQPCLTSCAISKESLDDHNEDQAEGYVSGCWDVQNKFHSSSVMADPFGHTEIPDSTSYRSPENSYSPLRKETAQENNSLDEPNNITQPVKIDTTRHLNKLSLLNKELLEGSWLDNIVWDPSEDVPKPKLIFDLKDDHMLFEILDEKNGDHLRSHARAMIVTRPRKTSAVENVDHNNQAIALSGRFNISNDKFYSNRKMSQQARSHAKKRATMGLKLVHSVPAQKLQTMKPKLSIKEIANFHRPKAKWYPHENKLTARFQGDECSHGPMTAIVMTLGGKGVKFLVNAEETPLSVKSKASKKLEFKPSEKIKLFCSGKELQDDISLAMQNVRPNSILHVVRTEIHLWPKAQRLPGENKPLRPPGAFRKKSDLSVKDGHVFLMEYCEERPLLLANAGMAARLCTYYQKTSPSDQTATSLRSNSDGLGTMLAIDPADKSPFLGNIRSGSHQSCLETNMYRAPVFPHKVATTDYLLVRSPKGMLSLRRIDKLYAVGQQILFSWQEPHMEVFSPGTKNMQNYILNRILVYVYREFRAREKPGIIPQIRADELPIQPPITEAIKGPKGHLFYIQRPDFRIPSEEELRRLLTPENVCCYESMQAGQYRLKHLGIEKLTQPVGLASAMNQLPDEAIELAAAAHIERELQITSWNLTSNFVACTNQDKENIERLEITGVGDPSGRGLGFSYVRVTPKAPVSNSTHKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPEEQIDKLTRWHRIAMVRKLSSEQAASGVTMDEIPVSKFARGQRMSFLQLQQQTKEKCQEIWDRQIQSLSAMDGNENGSDTEANSDLDSFAGDLENLLDAEEFDDEDVGNTDIRSDKMDGMRGLKMRRCHTQSQINEEIQDDVAEAALVEKLLEESDSDMKRKKQPVETTNYSTPMYNQGNKMKQGKAGQMIKSSVYAGALTPKESIPREAKEVENFAEGSLPSKLRTKTGFDANDDIILVKRKNIPGKDGFKEKRQGARGDTLVCGACGQLGHMRTNKLCPKYGEDPETSEMDVNSIRSHPPDIVSNAQIKTSNKRLVAKVSSEAFETEGPESIEKAKPVPVKFKCGAPEKSLDRNMSISASLVSDKRMMDATDSKSTGKVNKIKISNKIKYDDYPPDTPKPSVVIRPPAEVEKDLPRKKIIIKQPKVLGDQQRPTELRSGQEPRKTRKIVELSSFEKRDREDDNGFSGQPIQINSSHDRGWGLVGKRSKGIMESSESWRAFEEQRERQEQRLIEARIYDARREDELQKAKKKNKKKKKHEFRDDDLLDPRPYKNDRRVPERGRAAKRRTPADMTEYTPPAKRHRGGEVELSNILEKIVDHLRTMSCSFLFRKPVTKKEAPDYFDIIERPMDLGTIRDKVRKMEYKNREDFRHDVAQIALNAHTYNLNRHPHIPPLADELLELCDYLLEESADVLDDAEYAIED >ORUFI06G24350.1 pep chromosome:OR_W1943:6:23413191:23415829:-1 gene:ORUFI06G24350 transcript:ORUFI06G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVRAAAAASCSSGASLQLPRARAPLRLLGHRRLPARRVAVEAAAIAVEPENKVPQSNNSEAEVFACPVCYEPLIRKGPSGINLPSIYRSGFKCSKCNKSFTSKDIFLDLTVTSGTKEYSELKPARTELFRSPLVSFLYERGWRQNFNRSGFPGLDEEFQMAQDYFQSVAGGVLLDVSCGSGLFTRKFAKSGSYSAVIALDFSENMLCQCYEFIQQDDTLVNTNLALVRADISRLPFASSSIDAIHAGAAIHCWPSPSNAVAEISRVLRPGGVFVATTFLSSPRNNPFSVEALRPLRQIVGPVNTSYNYFTEGELEDLCKSCGLVNYSSKVQRSFIMFSGQKP >ORUFI06G24360.1 pep chromosome:OR_W1943:6:23421621:23421929:1 gene:ORUFI06G24360 transcript:ORUFI06G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPPTSPSSLRHRLRTTVCCCFGSPGERRSGEKLRWRRRVAAGEFGYDPLSYALNFDDGDGDDDAADDAAAAFRYKNFSSRLPPSPVAAPARRSTAIAIS >ORUFI06G24370.1 pep chromosome:OR_W1943:6:23424590:23428250:1 gene:ORUFI06G24370 transcript:ORUFI06G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVSTQASMCLGERRVLELKQSVSRMVRSGKRTSRRVTGAVVGGGGGDAVEEEQVGRRGGVEAAGEDLEKGGLWRRRWVRDATRWWWRGGDAPRGETGGRSGGRRDATRVPGRARVPAKPVKKQKAARLQEGASHLGVRLAGFLGMWPMWPLSPSRFQLD >ORUFI06G24380.1 pep chromosome:OR_W1943:6:23435938:23441914:1 gene:ORUFI06G24380 transcript:ORUFI06G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDAGGGAGERMKLLCSLGGRILPRPGDGTLRYAGGDTRIVSVPRGVALGELFGRLAEAYGGATGASFAVKYQLPDEGLDALISVSSPEDLDNMIEEYDKLAGASPKLRVFIFPISDAAGGGGGEEAEGGGFDAGLRYLEAVNGIVRKDSVASLSSTQNSDGGPPPPAPTSGGGGGGGSSPTALSPTSTCSNDAARSAFGVAAPPPLVDVFSNAAAPAPVPVKPQEIAAEVRAPPQANPQPEAARYRQPLSQLPPLPPVFMNDHREAMQGLNQAMPGNGGRLEDCNMCLKALPHAHSDPVVNDYGSDMHGGPGPETVPVYMSLRPEDVARIMMPERAVPVPMGAYGYTHMHPVPHEREMMYAQQVEGIPNTVLIDPSGLHQHVYVHQQQQVPPQQLPSTYGFNQIPVIPNEKDRVVSPGSAHSDISSSHQHIMQQPPPPQQQQLPSGQGMAQYPVKQNSPNNQLTGEGSLSGNARHREDGLTRRDNVSPVAPAAVPTYMANVDRMMDSLRLSPSEASSASTEQRKPVMPPENGVPQNAIPEHSQGFPDTRAREVSQSNTNTFFDVSEPKVVLPTEPAPSPSIASSYLHNVQHTNVSHMPHMMSIGGPYSSYVVATVGPGGVPPSAYGVDLVYANSAVNPLSERKDVPHEVAPNANAQVPAAALVNHAPNVDQIQESGLQGQQFGNDDPWKVVTNTHALPPRPKRVASRENISPKDPHSHNSLLNCKGPDLNIPAEDVALHQQSDHKDAHTEHGRFIKGDDMTSPDLVSVEDSLPTSNTKSSEPQPPVVNDGVGAVTGKVDAEAHANEVNKSRPADWISGFPATDDLGRLQIIKNNDLEELQELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKPSEQDKMRNDFWNEASKLADLHHPNVVAFYGVVLDGPGGSIATVTEYMVNGSLRTALLKNAKTLDKRKRLIIAMDTAFGMEYLHNKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYADLHYGVIIGGIVSNTLRPAVPDSCDPEWRSLMEQCWSTEPSERPTFTEIAGRLRSMAASHKVQP >ORUFI06G24390.1 pep chromosome:OR_W1943:6:23444692:23451616:1 gene:ORUFI06G24390 transcript:ORUFI06G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHLRSGLPLLRAHLSQEGSRGFASQVAKPTGKDIKVPEALYGGTGNYASALFLTAAKANLLDKVETEIRDVVEASKKSPLFSQFIKDLSVPKETRVKAITEIFAEAGFSDVTKNFLAVLADNGRLKHIDRIAERFVDLTMAHKGEVKVLVRTVIPLPEKEEKELKETLQDILGKNKTILIEQKIDYSIMGGLVIQFGQKVFDMSIKTRAKQMEMFLRQPLDI >ORUFI06G24400.1 pep chromosome:OR_W1943:6:23448664:23451548:-1 gene:ORUFI06G24400 transcript:ORUFI06G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTQVRGASRLTPSLPPNCYNNKSSSSSSSSSSSSTSRAAAAAAVRVMAFHYQDHALAMDAAAAAAETGGHHHPGFVGAGGVVGGGGGGGWEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAATAAAAAAAAGGAPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPREGSGATMSDDEDNQVDSESNMFDGNDGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLVDIREEILRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSSSDKSKRKRSNAGDGKAEQSW >ORUFI06G24400.2 pep chromosome:OR_W1943:6:23448380:23451548:-1 gene:ORUFI06G24400 transcript:ORUFI06G24400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTQVRGASRLTPSLPPNCYNNKSSSSSSSSSSSSTSRAAAAAAVRVMAFHYQDHALAMDAAAAAAETGGHHHPGFVGAGGVVGGGGGGGWEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAATAAAAAAAAGGAPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPREGSGATMSDDEDNQVDSESNMFDGNDGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLVDIREEILRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSSSDKSKRKSWDVLAVSLQLGTTMYL >ORUFI06G24400.3 pep chromosome:OR_W1943:6:23448771:23451548:-1 gene:ORUFI06G24400 transcript:ORUFI06G24400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTQVRGASRLTPSLPPNCYNNKSSSSSSSSSSSSTSRAAAAAAVRVMAFHYQDHALAMDAAAAAAETGGHHHPGFVGAGGVVGGGGGGGWEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAATAAAAAAAAGGAPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPREGSGATMSDDEDNQVDSESNMFDGNDGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLVDIREEILRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSSSDKSKRKRYRVVDF >ORUFI06G24410.1 pep chromosome:OR_W1943:6:23458664:23464322:-1 gene:ORUFI06G24410 transcript:ORUFI06G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAADAEACAVAAAADIICSLRGADLAGWTPPWGTAAAKGKEVVVEEEEEELAWPTVARGKRSRSSRRRSPSGSGSAATKGRWARGSPASPLDYSGGSGSGSGSAASTSGGEDGAFCSPPPPPPPVVTAAAATTPTAAPTPSPAKVGPAGRRQLILPTPPPRPAGQRPRKKMRLPEIQQLVRSLTVENDGLREEMVALQRACTALSKENCKLETRLEKSSKRNGTKSEGQQARPQPDEPAAKQESQNGFVLPDLNLPVEDMAADGSAP >ORUFI06G24420.1 pep chromosome:OR_W1943:6:23472748:23476061:-1 gene:ORUFI06G24420 transcript:ORUFI06G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSAAAAAAACSAIKPAAHQHTVQVQEDKRGSEFRARFGTRKLSWGGKLSVENSALHHLTRSIRRQKRQHSPVLQVRCYAIAGDQHESIATEFEEICKEVPQKLGAFYRFCRPHTIFGTIIGITSVSLLPMRSLDDFTMKALWGFLEALSSSLCMNIYVVGLNQLYDIQIDKVNKPSLPLASGEFSVATGAVLVLTSLIMAPLLRWKRNAFLAASCILFVRAVLVQLAFFAHMQQHVLKRPLAPTKSVVFATLFMCCFSSVIALFKDIPDIDGDRHFGVESLSVYWLCINILLTAYGAAILAGASSTNLCQMIITVFGHGLLAFALWQRAQHCDVENKAWITSFYMFIWKLFYAEYFLIPFVQ >ORUFI06G24420.2 pep chromosome:OR_W1943:6:23472748:23476061:-1 gene:ORUFI06G24420 transcript:ORUFI06G24420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSAAAAAAACSAIKPAAHQHTVQVQEDKRGSEFRARFGTRKLSWGGKLSVENSALHHLTRSIRRQKRQHSPVLQVRCYAIAGDQHESIATEFEEICKEVPQKLGAFYRFCRPHTIFGTALSSSLCMNIYVVGLNQLYDIQIDKVNKPSLPLASGEFSVATGAVLVLTSLIMAPLLRWKRNAFLAASCILFVRAVLVQLAFFAHMQQHVLKRPLAPTKSVVFATLFMCCFSSVIALFKDIPDIDGDRHFGVESLSVYWLCINILLTAYGAAILAGASSTNLCQMIITVFGHGLLAFALWQRAQHCDVENKAWITSFYMFIWKLFYAEYFLIPFVQ >ORUFI06G24430.1 pep chromosome:OR_W1943:6:23479689:23481924:1 gene:ORUFI06G24430 transcript:ORUFI06G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLSLARVALPPLLQQQGGAPRKLHPGALAFPAKSFFGAPLAAVAVSPASAAAASPLRRRPSSASAVVAAGKGYKMKTHKASAKRFRVTGRGKIVRRRAGKQHLLSKKNTKRRKRLSKMIQVNKSDYNNVTGALPYLKVNRNAE >ORUFI06G24430.2 pep chromosome:OR_W1943:6:23479556:23481924:1 gene:ORUFI06G24430 transcript:ORUFI06G24430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLSLARVALPPLLQQQGGAPRKLHPGALAFPAKSFFGAPLAAVAVSPASAAAASPLRRRPSSASAVVAAGKGYKMKTHKASAKRFRVTGRGKIVRRRAGKQHLLSKKNTKRRKRLSKMIQVNKSDYNNVTGALPYLKVNRNAE >ORUFI06G24440.1 pep chromosome:OR_W1943:6:23487725:23494962:1 gene:ORUFI06G24440 transcript:ORUFI06G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQATAARKFPEGLRVLAVDDSPVCLMLLEALLRRCKYQPTMTRDAATALRMLRERPGDFDLVISDVHMLDMDGFKLLELIGLEMDLPVIMQSANGELETMMKGVTHGACDYLVKPVSLKDIQNIWQHVWRKRKLDIRNHNGGYNDGGELVGATRTKRKYTRKMRNDGDNYGENKENMDSTLKRQRVVWTPELHRDFVIAVHELGVDRAVPRKILRMMKVDYMTRENIASHLQKYRLYLKRISTQTGMDPDQFPEKWKYMNELDALKNYCENGRYRLTPAIASSSSSNPFARMNSASALATNGFLPTHSVQLKNSQRNMAMGTVGHGGSPGNNPVFQPLQNSSNARKCFPSGPSGSSFANISNGLVLDTDDSGSSYAGMFCKSMWETSNGSPSCHSGNSSANKSNNGVSAPANQFQVQSKFGFSALANQFPVQSNCGFSAPANQYQVQSNGGFSVPANQFPVQSNGEFLAPTNQFPVQYPEVNNQPLVQMNQSSTNHFSTIGNDYQFPDLANCSKYWQPTAPSMFPDLGHNDGTSFRPSQANIANINQLSSFAASSGQEPMFGDELHGQMSPIMSTISLSDFDDQMGSFNIGNDTSPAEMMHDNFSLGSDSNISSSTPTDSSFGSTFPDFHLDSPEMPAQMLNGTNGPEGTDTLDDIVAELFNDDFMEGYDAVVDGDQDFVP >ORUFI06G24450.1 pep chromosome:OR_W1943:6:23493224:23498753:-1 gene:ORUFI06G24450 transcript:ORUFI06G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASWFRLKYPHVTIGAVASSAPILQFDYITPWSSFYEAVSQDYKSESFNCFSVIKAAWDLIDERGSTDAGLLQLSKTFRACKTVKSVYSFRNWLWTAFVYTAMVDYPTPANFLMNLPAYPIKEMCKIIHGFPAGADIVDKAFAAASLYYNYTGDQTCFQLEDGEDPHGLSGWGWQACTEMVMPMTISNESMFPPFTFTYEGKSDDCFQSYGVRPRPHWITTEYGGNRIDLVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIIALVTEKGAHHLDFRSATKDDPDWVVEQRRQEVKIIQGWIDQYNEDLAQISK >ORUFI06G24460.1 pep chromosome:OR_W1943:6:23511559:23515997:-1 gene:ORUFI06G24460 transcript:ORUFI06G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRKEAVCSGASRERWWLTLISAFSEPFLDASHVVLHIGMEATALAAADVEVPVAEGVGTVEAVSALAFCLRL >ORUFI06G24470.1 pep chromosome:OR_W1943:6:23520211:23520519:-1 gene:ORUFI06G24470 transcript:ORUFI06G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIDGSNLGPSVCGEGGDEDGCGGGGIGTPRSTGATPFLRAATLRLGMLPPLGGGAAAAASSVVGGFGIRWVVGWGGVRCGDRAEPTLRSEPPPRRWMDDS >ORUFI06G24480.1 pep chromosome:OR_W1943:6:23523634:23526021:1 gene:ORUFI06G24480 transcript:ORUFI06G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRRHPGDLEKLKVKAKRERCGYRGMTEDARMDAHQRVCSSTMAPLLTGWRSGTRGAMDLRQRGSSQWLSNVLAAISGNIPWHSQHQA >ORUFI06G24490.1 pep chromosome:OR_W1943:6:23526195:23526788:1 gene:ORUFI06G24490 transcript:ORUFI06G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHPGSHERAKGGPKDPLNTSQFAVVRRTVHARPRENTVRRGENGRRRGGVGGGGGGGGWHSAFPGFQRIHSFLMVDVQFSRHVSNKVETDVAYRH >ORUFI06G24500.1 pep chromosome:OR_W1943:6:23527842:23529972:-1 gene:ORUFI06G24500 transcript:ORUFI06G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEAGLAPAPLLIGGGRLGFPLFGGQSPAASRTPCGIGLEATALAAADVAAPAAVEDGDLVQTHVSYVP >ORUFI06G24510.1 pep chromosome:OR_W1943:6:23533894:23535272:-1 gene:ORUFI06G24510 transcript:ORUFI06G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVVRRRRRIADYLNDGEELGIEGSPAVTPRSPALAAARSLLPRFRWARAASRIGRKGKAEKEGVVVVEEEIAVEKNGEPVAAAAAAATVASTSVFDNESHTRTPDLGVGLSLVFLLAKTSDEFNKMAKVRAEMETLLREIKEQVRQSSSGGVGDDDASKPRCNLESAASSCLTDTNENERASARMMEDQATSSSNHMEEEEVSCEKSAEEYECCFPRMDVLEEEFHAELDLLQVNYGSDVQLFLPEEHDAEQLDEITESREEFNDDVGREDEVVEDEDYDDEAEYNGVNAVELERRLHELLHQRNQERIEELELALKRAEKKLVEKEMEVSMWKDTAKLALRQDSSTMLW >ORUFI06G24520.1 pep chromosome:OR_W1943:6:23537382:23547502:1 gene:ORUFI06G24520 transcript:ORUFI06G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATMLATASSTAGDGADDGDDGCVRQRPPQRRRLATARRRRHPATADLATAQRQLTTVTALVPTAAASGNDDFNCSNGISTTTVAERERGRGMLSAWDPQLARLFWLAKFGQWEEDFGQPDGLAIRIASLLEGEIEPKLPKFNLLNRAKFIGVLTMDQFLVGESSRIRPESAVTSAALNPGSLVSVAAIFSARFFLAATSVDGPAAAACSCCCRTTAAAALGGGWCCECLAAAAVLLPASWCGGWAWLCSPSYVATRTVLSSALRCTFFFTGHAGANEHLK >ORUFI06G24530.1 pep chromosome:OR_W1943:6:23547127:23548505:-1 gene:ORUFI06G24530 transcript:ORUFI06G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMLPPPSQSVPSRPPSWLYIPPRRRHGTFTSSCAFRLSPSSPSSPPPPVLDFQYIQFMDSWIEQTSLSLDLNVGLPSTARRSSAPAAPIKVLVEENFLSFKKDHEVEALEAELRRASEENKKLTEMLRAVVAKYTELQGQVNDMMSAAAAAAVNAGNHQSSTSEGGSVSPSRKRIRSVDSLDDAAHHRKPSPPFVAAAAAAAYASPDQMECTSAAAAAAAKRVVREDCKPKVSKRFVHADPSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSADDNTVLVATYEGEHNHAQPPHHDAGSKTAAAAKHSQHQPPPSAAAAVVRQQQEQAAAAGPSTEVAARKNLAEKMAATLTRDPGFKAALVTALSGRILELSPTKN >ORUFI06G24540.1 pep chromosome:OR_W1943:6:23551854:23553605:1 gene:ORUFI06G24540 transcript:ORUFI06G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAASRDASRGTWAVGFPEWPATTPPRRGDYYYLSVLDLDSLSPLAVSRRRRLLSEWPCVAWWKAVSERGDCTYGTTCLFVPQEVTGGSPQSISRIRVAARIQADGGAGPLARVGKGDGDAGPTDNGGGPACFSGLHYKAPLPRPSAGGHRCGAVATPSVTDEVAPSALLLGHIAPQQRKRVWKVVGPTDGKWSRLAKFG >ORUFI06G24550.1 pep chromosome:OR_W1943:6:23566245:23575855:1 gene:ORUFI06G24550 transcript:ORUFI06G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRKGFRLTELALQEERNRISTSSVSDVALARSENDPARYYDGYSKLRTWAYSSLDQYKHELLRVLYPVFIHSFMDLVAEGHTQEARSFFHTFREDHELMHSRDLQKLEGILSPSHLEYSYELLLQYLQKTQALVVLGIINEHTTFDVSPGQPSLISDDTDVVALVGTKKDLAKQINLKELLEDSVEERMEKTLLGSDKTEAESKDADAEDNNKRKSSEGGKQGGSVKKVKKDKIAGATGKTNKSETSIVSVAPRVKPELTLPVIPVEVEQSILEDLRNRAQLNSLALPSVSFYTFLNTHNGKTLCSPGLGYVKDWPTAENIPQGENGLSQGERTSASDYGKRPYTLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVSPLGGHSSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKVLKTDDTSTNRLRMLKTLRTKSTPVYTLRFSRRNLLFAAGALSLGS >ORUFI06G24550.2 pep chromosome:OR_W1943:6:23566245:23575855:1 gene:ORUFI06G24550 transcript:ORUFI06G24550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRKGFRLTELALQEERNRISTSSVSDVALARSENDPARYYDGYSKLRTWAYSSLDQYKHELLRVLYPVFIHSFMDLVAEGHTQEARSFFHTFREDHELMHSRDLQKLEGILSPSHLEYSYELLLQYLQKTQALVVLGIINEHTTFDVSPGQPSLISDDTDVVALVGTKKDLAKQINLKELLEDSVEERMEKTLLGSDKTEAESKDADAEDNNKRKSSEGGKQGGSVKKVKKDKIAGATGKTNKSETSIVSVAPRVKPELTLPVIPVEVEQSILEDLRNRAQLNSLALPSVSFYTFLNTHNGPQGENGLSQGERTSASDYGKRPYTLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVSPLGGHSSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKVLKTDDTSTNRLRMLKTLRTKSTPVYTLRFSRRNLLFAAGALSLGS >ORUFI06G24550.3 pep chromosome:OR_W1943:6:23566245:23575855:1 gene:ORUFI06G24550 transcript:ORUFI06G24550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRKGFRLTELALQEERNRISTSSVSDVALARSENDPARYYDGYSKLRTWAYSSLDQYKHELLRVLYPVFIHSFMDLVAEGHTQEARSFFHTFREDHELMHSRDLQKLEGILSPSHLEYSYELLLQYLQKTQALVVLGIINEHTTFDVSPGQPSLISDDTDVVALVGTKKDLAKQINLKERKSSEGGKQGGSVKKVKKDKIAGATGKTNKSETSIVSVAPRVKPELTLPVIPVEVEQSILEDLRNRAQLNSLALPSVSFYTFLNTHNGLNCSSISHDGSLVVGGFSDSSVKATSPQGENGLSQGERTSASDYGKRPYTLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVSPLGGHSSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKVLKTDDTSTNRLRMLKTLRTKSTPVYTLRFSRRNLLFAAGALSLGS >ORUFI06G24550.4 pep chromosome:OR_W1943:6:23566245:23575855:1 gene:ORUFI06G24550 transcript:ORUFI06G24550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRKGFRLTELALQEERNRISTSSVSDVALARSENDPARYYDGYSKLRTWAYSSLDQYKHELLRVLYPVFIHSFMDLVAEGHTQEARSFFHTFREDHELMHSRDLQKLEGILSPSHLEYSYELLLQYLQKTQALVVLGIINEHTTFDVSPGQPSLISDDTDVVALVGTKKDLAKQINLKERKSSEGGKQGGSVKKVKKDKIAGATGKTNKSETSIVSVAPRVKPELTLPVIPVEVEQSILEDLRNRAQLNSLALPSVSFYTFLNTHNGKTLCSPASPQGENGLSQGERTSASDYGKRPYTLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVSPLGGHSSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKVLKTDDTSTNRLRMLKTLRTKSTPVYTLRFSRRNLLFAAGALSLGS >ORUFI06G24550.5 pep chromosome:OR_W1943:6:23566245:23575855:1 gene:ORUFI06G24550 transcript:ORUFI06G24550.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRKGFRLTELALQEERNRISTSSVSDVALARSENDPARYYDGYSKLRTWAYSSLDQYKHELLRVLYPVFIHSFMDLVAEGHTQEARSFFHTFREDHELMHSRDLQKLEGILSPSHLEYSYELLLQYLQKTQALVVLGIINEHTTFDVSPGQPSLISDDTDVVALVGTKKDLAKQINLKELLEDSVEERMEKTLLGSDKTEAESKDADAEDNNKSILEDLRNRAQLNSLALPSVSFYTFLNTHNGLNCSSISHDGSLVVGGFSDSSVKATSPQGENGLSQGERTSASDYGKRPYTLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVSPLGGHSSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKVLKTDDTSTNRLRMLKTLRTKSTPVYTLRFSRRNLLFAAGALSLGS >ORUFI06G24550.6 pep chromosome:OR_W1943:6:23566245:23575855:1 gene:ORUFI06G24550 transcript:ORUFI06G24550.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRKGFRLTELALQEERNRISTSSVSDVALARSENDPARYYDGYSKLRTWAYSSLDQYKHELLRVLYPVFIHSFMDLVAEGHTQEARSFFHTFREDHELMHSRDLQKLEGILSPSHLEYSYELLLQYLQKTQALVVLGIINEHTTFDVSPGQPSLISDDTDVVALVGTKKDLAKQINLKELLEDSVEERMEKTLLGSDKTEAESKDADAEDNNKSILEDLRNRAQLNSLALPSVSFYTFLNTHNGKTLCSPGLGYVKDWPTAENIPQGENGLSQGERTSASDYGKRPYTLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVSPLGGHSSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKVLKTDDTSTNRLRMLKTLRTKSTPVYTLRFSRRNLLFAAGALSLGS >ORUFI06G24560.1 pep chromosome:OR_W1943:6:23596151:23602733:1 gene:ORUFI06G24560 transcript:ORUFI06G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLCFRGKFQNFCIFSLAFLCQYSVVPNCAFISSGPGETSRSILVDHIHKFFASKVSFSFCRFGHFLPCQRSHLLQAPKVHW >ORUFI06G24570.1 pep chromosome:OR_W1943:6:23604761:23607406:1 gene:ORUFI06G24570 transcript:ORUFI06G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLTLLLLLCLASPPLAAAAAACGTNTSLVGYEADLWMSQHQLRGRVEVLDGCSFRVAALDLLAGSASARWWRAEGPDLDSLARGAPAAGDPLNRTFLSESLVFRLLPGVSWPLVPVLAAFDPLTSSLFGFVRLSNDSSADSEAPTMFDSCAQLSPRLRVRWTLHGTSDSIDIGLEAAVGSEYYIAFGWAAPGAPEPSMIGADVAVTGFTEDGLPFADDYYVTKYSECTVRADGAVEGVCPDTIYEQGNDTAAGAVNNTRLVYGHRRDGVSFVRFSRPLVSPDKKYDVPVNATANMTVIWAIGLLRPPDSLQPYYLPLGHGAPAGTAFGFATLNVSASGGCVGPLDAEDKEDQDRITAERNTPLVVTAGPSLHYPNPPNPDKVLYINKKEAPLLKVERGVPVTFSVEAGHDAPLYITSDAVGGNATSRNATEVVFAGGARAEGVPAAPAELVWLPDRNTPDVVYYQSLYDPKMGWKIQVVDGGLSDMYNNSVLLDDQQVTFFWTLSGDSINIAARGERKSGYLAVGFGSAMVNSYAYVGWIDGNGTGHVASYFIDGEDGAGVHETSENLTHTRCRSENGAIVFELTRPLSPSCSGRVECRNIVDPTTPLRVIWAMGSQWSSGQLTVSNMHSITSNRPVRVLLLAGTAEAEEELRPVLAVHGFMMFVAWGFLVPGGIMAARYLKHLKSGDLWFQAHTYLQSSAMAVMFLGLLFAIAELRGFSFKSTHAKIGTAAFVLACLQPINAYLRPHLRAENGEILPMKNRVIWEYLHIITGRSAVVVGAIALFTGLQHLGDRYGSKNIKGLTCGLILWVVGVTLVVVYLEFMAARRRRGGGADDLSGKWVLGNTDEDDSVDLLQSTKMESDSIEPMEVQLEPLKG >ORUFI06G24580.1 pep chromosome:OR_W1943:6:23610954:23613495:-1 gene:ORUFI06G24580 transcript:ORUFI06G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMAGAPRVRSLNVAETDADARPVLVPGGNKARSGPAAARKPSLKPLRKADTAARTPEKPAAAAPPAKEEEGAKKNAGSGVGKGSSPLPSPRRAQPQPPPARKAAHDAPVHLNLSLNASCSSDASVESLRGRDSSGGRLERSWSRVAPAVPRRGKTPVKAAAAAAAEKVAADAEVVAPATPEAGKRRCAWVTPTSDPCYVIFHDEEWGVPVHDDRRLFELLVLSGALAELTWPEILKRRQLFREIFVDFDPVAISKINEKKLVAPGSVANSLLSEQKLRAVVENARQILKIVDEFGSFDRYCWGFLNHKPIVSKFRYPRQVPVKSPKADMISKDMVRRGFRGVGPTIIYSFMQAAGLTNDHLVSCFRFKECNEAPTLCTSDTSNANSEADLSADELRTKICSKEMAAKAELLRTIGCVLGYPQNEF >ORUFI06G24590.1 pep chromosome:OR_W1943:6:23616577:23620885:-1 gene:ORUFI06G24590 transcript:ORUFI06G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSARGRGRLLLLLLLAAGAPAAPAAAEVATCKAWLVQSIPTDMPHLRRVPGVLSTADVLQWLSGNATKSLDVLAQYWQFLAQPKNPKSGDYGYSESEMVRFGADKGQRVYKALEKAADRKIKIRIVQHSGFAPDFDKESADLAAGRPNVQNVTLLFGDWWGSGVVHAKVWISDKKDVYIGSANNDWKSLSQVKELGIYFADCPQIAKTVEIYFQNLWKLSTLNSTAYTKVAWDKQWQAFRKVPCWSHFLKPEERCRSPLPPSVDVPYVDGYPSLANPKMLDFSFETPGYKSSTKEHHLSYLSYAPPELSFDKFQADEQGWLDTIKSVKFGGVVRISTMDWLGQSQYATQTVFWPSLSSAISEVIFSKNATVRILVAYWTHFIPNTEKYLKSLLYSNILCTSSSYNHCMGKVEIKYYVVPGYNKTGPALAQGAATGNRYPDFTRVNHGKYAVSDVRANIGTSNLIWDYFYTTAGVSFGTYSPAIVSQLQDIFDADWFSPYTVPKFYFPDEKCKLSENIVQV >ORUFI06G24590.2 pep chromosome:OR_W1943:6:23616840:23620885:-1 gene:ORUFI06G24590 transcript:ORUFI06G24590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSARGRGRLLLLLLLAAGAPAAPAAAEVATCKAWLVQSIPTDMPHLRRVPGVLSTADVLQWLSGNATKSLDVLAQYWQFLAQPKNPKSGDYGYSESEMVRFGADKGQRVYKALEKAADRKIKIRIVQHSGFAPDFDKESADLAAGRPNVQNVTLLFGDWWGSGVVHAKVWISDKKDVYIGSANNDWKSLSQVKELGIYFADCPQIAKTVEIYFQNLWKLSTLNSTAYTKVAWDKQWQAFRKVPCWSHFLKPEERCRSPLPPSVDVPYVDGYPSLANPKMLDFSFETPGYKSSTKEHHLSYLSYAPPELSFDKFQADEQGWLDTIKSVKFGGVVRISTMDWLGQSQYATQTVFWPSLSSAISEVIFSKNATVRILVAYWTHFIPNTEKYLKSLLYSNILCTSSSYNHCMGKVEIKYYVVPGYNKTGPALAQGAATGNRYPDFTRVNHGKYAVSDVRANIGTSNLIWDYFYTTAGVSFGTYSPAIVSQLQDIFDADWFSPYTVPVKPLEASA >ORUFI06G24600.1 pep chromosome:OR_W1943:6:23623093:23625140:1 gene:ORUFI06G24600 transcript:ORUFI06G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQIFLFPFFFLPIFSLIPHLTWLVSVRAPEGMRGRSGVGVLGRRRAGGEERRTDAAWREERSRDSGTAGRAQARQRELDGDNGHVGATAARVVGAKRPSRGRGVADRGCACGGRCRRARHERGGCELDSGSGGIGRAQARQRELDGDNGHVELRVAEDDELKLSPFSIGKLELSLPSPHNDRGAATATRAADPLLPGANKLLTITDVLLASQSLLSSGHADPLVVPYPCHRHCASPPAAAHALACKK >ORUFI06G24610.1 pep chromosome:OR_W1943:6:23626863:23629640:1 gene:ORUFI06G24610 transcript:ORUFI06G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGGQ >ORUFI06G24620.1 pep chromosome:OR_W1943:6:23631222:23631928:-1 gene:ORUFI06G24620 transcript:ORUFI06G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQADEVHKEKVCYYLDHIVQAKYGVFTVHRGALVRMVQAPGHGMDGLLKKKSGLTDTGIGGAYPILVPCKNLGVVVVTDITLTDRLSPAPRAATGAERCRAKSGLKRRLNRV >ORUFI06G24630.1 pep chromosome:OR_W1943:6:23633154:23634713:-1 gene:ORUFI06G24630 transcript:ORUFI06G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETTTMMKVLVRVREFDVEKDLPAVEELERRCQVGLSGDMAAVHDHADDGDGAAAKEKKKTKTKTKKKKASMSLCVEQIGDPLARVRHAPEHVMLVAEYGEEEEKKKVVGVIKACVKTVSRGGKQEKPFVKVANLLGLRVSPSHRRLGIGTALVRRAEEWCVARGAEHATMATTESNAASLALFTGRFGYAPFRRPEFIGHPVHAHRLPVARGHRVFQLPPEVAAAAYARLLPPQDAEFLPADMPALLAHKLTLGTFVAVAADGASFAVLSVWDSTRSLSLRVSGAPALLRASLAALRALDRGAPWLHLPSIPDIFRPFGAYLLYGLRMSGPDGPALLRSLCHHAHNVARKNPACAVVAADISPDDPAAAAVPRWRRFCCDEDVWCIKNLNPDEHDADDWAAPPPPPGRHLFVDPREF >ORUFI06G24640.1 pep chromosome:OR_W1943:6:23662872:23665814:1 gene:ORUFI06G24640 transcript:ORUFI06G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATREVPLLLLLVVVMVLAGAGAARGFYLPGVAPRDFRKKDQLAVKVNQLSSIKTQLPYSYYSLPFCRPATIVDSAENLGEVLRGDRIENSLYVFEMREPRLCQIVCKTALTHQEAKDFREKIDDEYRINMILDNLPLVVPIRSLLDDHDAPTSYQLGVHVGIKGQYAGSNEEKHFIYNHLSFLVKYHRDENTDLARIVGFEVKPFSTKHEYDGEWKENETRLKTCDPHSRRLVVDSDSPQEVEAGKEIIFTYDVNFEESDIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMLAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPARAGTLCVFVGTGVQFLGMLLVTLLFAILGLLSPSNRGGLMTAMLLVWAFMGVLAGYAAARLYRGFRGSEWKAVAMRTALAFPGAAFAVFFVLNALIWGERSSGAVPFTTMTALVLLWFGISVPLVFVGSYLGFKRPAATEDYPVRTNKIPRPIPEQPWYMNPAMSVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFAILVVTCAEIAVVLCYFQLCSEDYEWWWRSYLTAGSSALYLFLYAAFYFFTKLDITKVVSGVLYFGYMLIASAAFFVLTGTIGFYACFWFTRLIYSSVKID >ORUFI06G24650.1 pep chromosome:OR_W1943:6:23666023:23666238:-1 gene:ORUFI06G24650 transcript:ORUFI06G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPEKMRAWASMAEDPLKRASAASSSSTSPLRRYSPATLAAGGLLVGAVAYFMFKGKQGQGRQSDQPVRRP >ORUFI06G24660.1 pep chromosome:OR_W1943:6:23666818:23670550:-1 gene:ORUFI06G24660 transcript:ORUFI06G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAASSAAGDSAKQPLLHHQRGNPPHVASVSSPSLPSAPPGALAGGRRFPGGLDVPNLKKRGGGTRSWIRVEAATASVQTLEVDKATMMRRCELPARDLRLLDPLFVYPSTILGRERAIVVNLEQIRCVITADEVLLLNSLDSYVLQYAAELQRRLLQRAEGDELPFEFRALELALEAACSFLDAQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLSEKKLRTEASFYGDQSMLGYNSVGDGTSFSAPVSPVSSPTESRKLEKAFSLCRSRHDSVKSSDNTATEHIQELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFETSVFSIQNAFQWVLIITGVIGAFIFCGFLWFFKYKRLMPL >ORUFI06G24670.1 pep chromosome:OR_W1943:6:23678594:23679632:-1 gene:ORUFI06G24670 transcript:ORUFI06G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGMLCVSSSPTASASVARGRRQRRRSVEVRCSSVAAAGPGGPVEDHYRTLRLPPGATKGEVKRAFRRLALTVRLAGSAPASRYHPDVSKESDSGVHFQRINVAYQMVMGNMREAEERLEYWRLKYGLDDEDLDKYRNHLNDEDDDEWFDV >ORUFI06G24680.1 pep chromosome:OR_W1943:6:23682363:23688451:-1 gene:ORUFI06G24680 transcript:ORUFI06G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESGRRSGGGVRVCVTGGAGFIGSWLVKKLLGAGYTVHATLRSIGDEVKVGLLRRLVPGDAPPERLRLFEADLYDAATFAPAIAGCHTVKRVIYTSSMAATSPLKEDSTGFKDSIDESCWTPLTELLGHSHAGERRRPAVEVVTVPCSVVAGGTLQGQSTTSLDCVVSPVSRDEGRFRALRLLQRLMGSVPMVHVDDVCDALVFCMEQPSLTGRFLCSAAYPTLDDIVEHFAGKTETLPSIQAHTDKLGELGFKYKYGMEEILDESVECAVRLGCLDASKLKGRSGGVAGDGVRVCVTGGAGFIASWLVKKLLERGCIVHATLRSMGDEEKAGLLRRLVPGAAERLRLFEADLFDAATFAPAIAGCQFVFLIATPYGLEASNSKYKNTADAAVDAVREILRQCAESKTVKRVIHTASISTASPLIDVPGAGVGAAGYRDFIDESCWTPLDVDYPLRSAHFDKYVLSKMMSEKELLGYNDGEGRAFEVVTLPCGLVAGDTVLGRAPETLENAVSPVSRNEPSFAFLRLLQRLVGSVPLVHADDVCDALVFCMDQPSLAGRFLCSAAYPTIHDIVEHFAAKYPHLDVLKEYKYGMEEILDGSVGCAARLGYIDAAKLRPQEG >ORUFI06G24680.2 pep chromosome:OR_W1943:6:23683302:23688451:-1 gene:ORUFI06G24680 transcript:ORUFI06G24680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESGRRSGGGVRVCVTGGAGFIGSWLVKKLLGAGYTVHATLRSIGDEVKVGLLRRLVPGDAPPERLRLFEADLYDAATFAPAIAGCHTVKRVIYTSSMAATSPLKEDSTGFKDSIDESCWTPLTELLGHSHAGERRRPAVEVVTVPCSVVAGGTLQGQSTTSLDCVVSPVSRDEGRFRALRLLQRLMGSVPMVHVDDVCDALVFCMEQPSLTGRFLCSAAYPTLDDIVEHFAGKTETLPSIQAHTDKLGELGFKYKYGMEEILDESVECAVRLGCLDASKLKGRSGGVAGDGVRVCVTGGAGFIASWLVKKLLERGCIVHATLRSMGDEEKAGLLRRLVPGAAERLRLFEADLFDAATFAPAIAGCQFVFLIATPYGLEASNSKYKNTADAAVDAVREILRQCAESKTVKRVIHTASISTASPLIDVPGAGVGAAGYRDFIDESCWTPLDVDYPLRSAHFDVISPPLFFLLQSRTR >ORUFI06G24680.3 pep chromosome:OR_W1943:6:23682363:23688451:-1 gene:ORUFI06G24680 transcript:ORUFI06G24680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESGRRSGGGVRVCVTGGAGFIGSWLVKKLLGAGYTVHATLRSIGDEVKVGLLRRLFVFLIATPYGLEASNSKYKNTADAAVDAVREILRQCAESKTVKRVIHTASISTASPLIDVPGAGVGAAGYRDFIDESCWTPLDVDYPLRSAHFDKYVLSKMMSEKELLGYNDGEGRAFEVVTLPCGLVAGDTVLGRAPETLENAVSPVSRNEPSFAFLRLLQRLVGSVPLVHADDVCDALVFCMDQPSLAGRFLCSAAYPTIHDIVEHFAAKYPHLDVLKEYKYGMEEILDGSVGCAARLGYIDAAKLRPQEG >ORUFI06G24680.4 pep chromosome:OR_W1943:6:23682363:23688451:-1 gene:ORUFI06G24680 transcript:ORUFI06G24680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESGRRSGGGVRVCVTGGAGFIGSWLVKKLLGAGYTVHATLRSIGDEVKVGLLRRLVPGDAPPERLRLFEADLYDAATFAPAIAGCHTVKRVIYTSSMAATSPLKEDSTGFKDSIDESCWTPLTELLGHSHAGERRRPAVEVVTVPCSVVAGGTLQGQSTTSLDCVVSPVSRDEGRFRALRLLQRLMGSVPMVHVDDVCDALVFCMEQPSLTGRFLCSAAYPTLDDIVEHFAGKYKYGMEEILDGSVGCAARLGYIDAAKLRPQEG >ORUFI06G24680.5 pep chromosome:OR_W1943:6:23683302:23688451:-1 gene:ORUFI06G24680 transcript:ORUFI06G24680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESGRRSGGGVRVCVTGGAGFIGSWLVKKLLGAGYTVHATLRSIGDEVKVGLLRRLFVFLIATPYGLEASNSKYKNTADAAVDAVREILRQCAESKTVKRVIHTASISTASPLIDVPGAGVGAAGYRDFIDESCWTPLDVDYPLRSAHFDVISPPLFFLLQSRTR >ORUFI06G24680.6 pep chromosome:OR_W1943:6:23682363:23683242:-1 gene:ORUFI06G24680 transcript:ORUFI06G24680.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEKELLGYNDGEGRAFEVVTLPCGLVAGDTVLGRAPETLENAVSPVSRNEPSFAFLRLLQRLVGSVPLVHADDVCDALVFCMDQPSLAGRFLCSAAYPTIHDIVEHFAAKYPHLDVLKEYKYGMEEILDGSVGCAARLGYIDAAKLRPQEG >ORUFI06G24690.1 pep chromosome:OR_W1943:6:23689241:23692056:1 gene:ORUFI06G24690 transcript:ORUFI06G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHPFSLFFFIRRPPCNLHTISSTSSGAEAGAEDVGSREDDGGRRREEGDDGGGEGAEGGGGGGNLRARVAAARLGLVPWPGEEGDGEERGGGGGGGHEGGQDAGGGHRRGGGQEEAARRLTAAAWPQRRSSVLLSRSCFVQVACNI >ORUFI06G24700.1 pep chromosome:OR_W1943:6:23690914:23693654:-1 gene:ORUFI06G24700 transcript:ORUFI06G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRFRAGDRRHRPPSAASGPFSDTRRGYFVGAAQAMRGSATPRAGAVGHFRGGLRPPPPTPFGWEEAARLERIISEEVGRRLIEKEVERRLIEEDVRREVAFAHGLHVLPHDPFPRPPPPPPEMPVGMHPHPHEPPPRFQELGAWEGFRPRRQHAGVAAPLRFGQRMLLGGAERRWSPPPPPRPKPKHKLVLREIEPGEKSEVPSETKHSEAKPLETKPLQTKVSGVKRKVDAIPATTRPGKLQKPAQDWSCALCQVSATSEGALNEHLEGKRHKAKLAHCGASNAIKDGKSSLKEKTANKDDAGPSDAPKKICIQVDGAMHEVVQKSNYLWCDRCKVRCDNNVTMADHLRGKKHSGLNKVWTSINAVRMNKKKEQSAATWEETVNENERTEIPVEAKDDSAGLSTEEDETCHYEIPVKNSKNEGTYLATEVDQSDSEIETPVEIMREGLNMATDATDENVRMEDPLEIKKENPDETNLAPKEEQH >ORUFI06G24710.1 pep chromosome:OR_W1943:6:23694551:23697640:-1 gene:ORUFI06G24710 transcript:ORUFI06G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKLSEDGENDKLKFGLSSMQGWRATMEDAHSALLDIDNDTSFFGVFDGHGGRVVAKFCAKYLHREVLRSEAYSAGDLGNAAHKAFFRMDEMMRGQRGWRELQALGDKINQISGMIEGLIWSPRGSDSNDQHDDWAFEEGPHSDFAGPTCGSTACVAIVRNNQLVVANAGDSRCVISRNGQAYNLSRDHKPELEAERERILKAGGYIQMGRVNGTINLSRAIGDIEFKQNKFLSPDKQMLTANPDINTVELCDDDDFLVLACDGIWDCMSSQQLVDFIHEHINTESSLSAVCERVLDRCLAPSTLGGEGCDNMTMILVQFKKPISQNKNVSPAEQSAADKQPTGDTHWSEIHVTEESSS >ORUFI06G24720.1 pep chromosome:OR_W1943:6:23705762:23706716:1 gene:ORUFI06G24720 transcript:ORUFI06G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSGGCSTCLETIFSVVLPPLGVFFRYGFCSSEFVVSSALTALFYVPGIVYSVWVVILKTPPEPPGIDGERPYYILA >ORUFI06G24730.1 pep chromosome:OR_W1943:6:23709080:23719108:1 gene:ORUFI06G24730 transcript:ORUFI06G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLFPISPPCVPPPRPRLRRLSPPPPMAAVAPPSLSTPVTILPSVSVALPPLPPPATDDFHWLDLFAFLNSPADSYQIPVEEQEVEVEVEVEVGVERERERERERERERARKAEHRRLRQRQVKAETEAWARAADEYRELEREMLDRRLAPALPYVKSLFLGWFEPLRDAIARDQEVQRRKRVKHVYAKYLLLLPADKVAVIVMHKMMGLLMSSKDGVASVRVVQAAHCIGEAVERENRLNNVEALDSLALYTTDRCVRSWNFKVQTFFQKTRKKSAGENDLALEKEQAKCRKRVKSLVRRRKLTEAQKIVQQEIELEEWGTESQVKLGTRLIELLLDSAFVQSPADQTPESSPDIRPAFKHVLRQPIVENGRLKKKHWVIECDPLVHEGFESTARHVEIPYLPMLVTPKKWKGYDTGGYLFLPSYIMRTHGVKDQKEAIKSVPRKQLRKVFEALDTLGSTKWRVNRRVHNAVETIWSRGGGIAGLVDKENIPLPERPETEDPDEIQKWKWSLKKAKKANRELHAERCDTELKLSVARKMREEDGFYYPHNLDFRGRAYPMHAHLSHLGSDLCRGVLEYAEGRPLGKSGLRWLKIHLANKYGGGIEKLSHEDKVAFVENQLPDIFDSATNPVDGNCWWMNAEDPFQCLAACMDLSDALKSSSPQCAVSHLPIHQDGSCNGLQHYAALGRDYMGAAAVNLVPGDKPADIYSEIAARVLDVVREDSMEDPATNPTASLARVLVDQVDRKLVKQTVMTSVYGVTYIGARQQITKRLQEKGLITDDKLLYEVSCYATRVTLDALGQMFQSARGIMAWLGDCAKMIASENHPVKWTSPVGLPVVQPYKKYKNYMIALQRQKAAFPPNFVHSLDSSHMMMTAIACKKAGLHFAGVHDSFWVHACDVDKMNQILREQFVELYSMPILENLLKEFQTSFPTLEFPPCPSQGDFDVREVLASTYFFN >ORUFI06G24740.1 pep chromosome:OR_W1943:6:23719771:23721696:1 gene:ORUFI06G24740 transcript:ORUFI06G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAGAACRRASYTLLGPPVERLRAAVSATAAAASTGDPFVDLLDSNYNKPKPTPPAKRLTENYSPTFASSGDPCLDFFFNVVPDTHASTVTSLLSAAWTAEPNTALRLACNLRGVRGTGKSDHEGFYATALWMHDHHPKTLALNAPSVAEFGYLKDLPELLHRIIHGGVSTRTPPPARTRSYYSSRRPRKVRRDPATRAARIAASQEKHRKISDQAAVERRKKRAEAAARAVEMYARDPKYRFLHDRTADLFADLIADDMRKLEDGKVNNLSLASKWCPSLYKCYDRSTLLCESIGRRLFPKGSDAELPEDLPDEYYAYRVRERLRKMALVPLRRALHLPEVYISARRWGDVVYSRVASVAMKNYTDLFLEHDHERFNLFLANVKTGKAKIAAGALLPHDILASVDSHDKEGNEVANLQWKRMVDDLLELGKLNNCLAVCDVSGSMNGRPMDVCVALGLLLSELCDEPWHHRVITFSERPQLHHIKGETLYEKEEFIREMEWGFNTDLQAVFDQLLHVAVSGNLPPERMVKKVFVFSDMEFDQASSRAWETDYEAITRKFNEAGYGGAIPEVVFWNLRDSCSVPVTGNKKGVALVSGFSKNMLKLFLSGGYEKMTPRAVMERAISGREYEKLVVFD >ORUFI06G24750.1 pep chromosome:OR_W1943:6:23722819:23725506:-1 gene:ORUFI06G24750 transcript:ORUFI06G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTVTETAAAAAANTVARRRHRGGWCCAGGGAEEEEEEEEVVALSSSSSSSSAPGKKVGAAAGGLPRLVRFEELPDYLKDNEFIRGHYRCEWSVRDALRSAFAWHNETLNVWTYVAAARHCCFLLLQFPSSCSMESSIGWLTHLGGFFLFLWLAVAGGTERPAAAAAGVNAAPGIMTFLVASSANNASWETNSTSLEGKDSPALLGGGEHALARWPRTVFLVGAMTCLAVSATAHLLACHSRRFSRLFWQLDYAGIAVMIVASFFPPVYYAFLGRAVAQVAYLSAISALGALVVAALLAPARSSPRLRHIRAGLFVSMGLSGVVPALHALWLNWGHPECYLALSLELVMGLVYAAGAGFYVARVPERWRPGAFDCVGHSHQIFHVLVLAGALTHYAATAILIDWREAAIAAGGGAAAFL >ORUFI06G24760.1 pep chromosome:OR_W1943:6:23729023:23730066:-1 gene:ORUFI06G24760 transcript:ORUFI06G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQQRSSSGSTAKAGDADGDGDAAAVSFLGDKSAKVFIAGHRGMVGSAVHRKLDALGFTNVVVRTRAELDLACQAAVEAFFAAELPRYVILAAAKVGGVHASSAAPAEYLTENLRITVNVVDAARRCGSVRKLLVLASSTIYPADAPQPTPESALLTGPPAAGSEWYAIPKIAGIKMCQAVRAEYGLDAIAAAPNNLYGPRHPFPPEYSHVIPALIRRFHRAKLEGAGEVAVWGSGAAAREFTHVDDLAEAVVVLMERYSGEEHVNVGSGEEVTVRELAEAVRGVVGYEGVVAWDAARPEGVARRVVDSGRMRKLGWEPRVALRDGIQDLYRFYLRHECGGQAHHA >ORUFI06G24770.1 pep chromosome:OR_W1943:6:23737116:23740154:1 gene:ORUFI06G24770 transcript:ORUFI06G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSLDRARHARGGNFRTTQTTGATDVTQPALQNTSKLQTPSAPVLIAPKSPYANRISSSPAAAAINSGDMGTVTTADPHASFLADKGGKVFVAGHRGLVGSAILRHLVSLGFTNVVVRTHAELDLTRQSDVEAFFAAELPRYVVLAAAKVGGIHANSTFPADFIAANLQIQTNVVDAALKCGSVRKLLFLGSSCIYPKFAPQPIPENSLLSGPLEPTNEWYAVAKIAGIKMCQAYRIQHGFDAISAMPTNLYGPQDNFHPENSHVLPALIRRFHEAKASNAAEVVVWGTGSPLREFLHVDDLADAVIFLMDHYSGLEHVNVGSGSEVTIKELAELVKEVVGFQGKLVWDSSKPDGTPRKLMDSSKIQEMGWKPKVPLKEGLVETYKWYVENVISAKK >ORUFI06G24780.1 pep chromosome:OR_W1943:6:23741474:23744402:1 gene:ORUFI06G24780 transcript:ORUFI06G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVAIGVGRRRRRWPEVEERLTQPRRLLRQLSDVDSGRLRRLIRSGDLAPCFDAAEDDAGLDEDCPICFYFYPSLNRSKCCGKGICTECFLQLIPSKSSKVVHCPFCKTASYAVEYRGNRAKREKKLDQQKEQNTSESKKKIQSKSQIADELT >ORUFI06G24780.2 pep chromosome:OR_W1943:6:23741474:23743649:1 gene:ORUFI06G24780 transcript:ORUFI06G24780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVAIGVGRRRRRWPEVEERLTQPRRLLRQLSDVDSGRLRRLIRSGDLAPCFDAAEDDAGLDEDCPICFYFYPSLNRSKCCGKGICTECFLQLIPSKSSKVVQVTLIKLIASYILHLTTPWGPYTRNAAVLSAKPHPTQLSIEAIEPKGRRNWINK >ORUFI06G24790.1 pep chromosome:OR_W1943:6:23745015:23747677:1 gene:ORUFI06G24790 transcript:ORUFI06G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRAAVFAVLTLLLSVAAIAMARPIRRSDLGLGLGANGGLGVGLGLDIGLGGSGSASSSGQGSGYGAWSGPNGGSYTASGHGLGLGSGYGYGSGSAYGAGNGGSASGCGSGSTSCSGSGSGSVGLGTSINVGVGVGANGGTNGGSDCDTGSGSNYGSSTGSSSGYGSGGVSYRSRGHGGSSSIGSGSGVGLGATSGVGAGSNVGPSGGCSTCGSGSRSGSGAGGGSYSGSNSGSSSSSGSGSNSNSIGGSGSSSGAGSGSSASSGYGGSSSGSMSGSGSSSSSGSTGMSYGSSGAGSFSGSSSGSNSNAGSMSGANSGAGSSSSAYGASGSGSNSMSDSGSSSGSVSASGSGSSNSGAGSGSSSSSWSGSNSGSGTMSGIDAPSSTGTSSTAESNSGSATSVTGANSGSYSSAWSNSNSNSGSTMPSGIGVASNAGSSSGSWSNAGSNTGTLSGAGSSNWSSSTSGSTSSSGLGGGKGVGSRFGSGAQPTFGMGGGGGFGAGYGFGAGAGGWGKHH >ORUFI06G24800.1 pep chromosome:OR_W1943:6:23767136:23775034:1 gene:ORUFI06G24800 transcript:ORUFI06G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMASPLSSWPWAFLGSYKYLLYGPVVGKVVQEWREQGRLPLGTSWCLHLILLLALRSLTYQLWFSYGNMLFFTRRRRVVDDGVDFRQIDTEWDWDNMVIMQTLIAAVLVTSRVFPATSDLSAWDLRGWAIAVVLHVAVSEPAFYWAHRALHLGPLFSRYHSLHHSFQATQALTAGFVTPLESLILTLVAWAPLAGAFMAGHGSVSLVYGHILLFDYLRSMGYSNVEVISHKTFQDFPFLRYLIYTPSYLSLHHREKDSNFCLFMPLFDALGGTLNPKSWQLQKEVDLGKNHRVPDFVFLVHVVDVVSSMHVPFAFRACSSLPFATHLVLLPLWPIAFGFMLLQWFCSKTFTVSFYKLRGFLHQTWSVPRYGFQYFIPSAKKGINEMIELAILRADKMGVKVLSLAALNKNEALNGGGTLFVRKHPDLRVRVVHGNTLTAAVILNEIPGDVAEVFLTGATSKLGRAIALYLCRKKIRVLMLTLSTERFMNIQREAPAEFQQYLVQVTKYQAAQNCKTWIVGKWLSPREQRWAPAGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPEDVEGLGTCEYTMGRGVVHACHAGGVVHFLEGWDHHEVGAIDVDRIDAVWNAALRHGLTPA >ORUFI06G24810.1 pep chromosome:OR_W1943:6:23782992:23785892:1 gene:ORUFI06G24810 transcript:ORUFI06G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSNGLLGLLNAGVLVLAVVVLGGGIWLSNRAATTDCERFMERPVVALGVLLLALSLAGLAGALCGASCLLWLYLLALFLLILALFVFTVFAFVVTNRGAGWVVSGRGYREYRLGDYSTWLQRRVENSANWAKIRSCLQDGKVCEKLGARRETMDQFVGSNLSPIQSGCCKPPTGCNFAYVSETVWTKPSGFNSTDDPDCTTWSNDQTALCYDCQSCKAGVLANLKNDWKKIATVNIIFLIFLIIVYSVGCCAFRNNRRDNSYPAWK >ORUFI06G24820.1 pep chromosome:OR_W1943:6:23787246:23788008:-1 gene:ORUFI06G24820 transcript:ORUFI06G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPGASSTMSFEQAFLKNLLLSLQDCSTTKPLDAMSLHERKRAVKSSADFAMATARGGGARWPKAIVLQQQPASTTARARRCGRIVRRCCGRKTRSGAGGGGEMARRLQVRRRAMALRKVIPGGGDAMDEAALLREAMDYVVHLRAQVDVLRRVSEAVQLQRRYTSSTSLRDYSWSKCALKGEEDSSMNMKR >ORUFI06G24830.1 pep chromosome:OR_W1943:6:23805183:23805604:1 gene:ORUFI06G24830 transcript:ORUFI06G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGDSGAAAHDGRCQEAGADGVGVGSSGVATMDGREDAQSATAGGRQRTTMHGAGGQCPCHVMVGRRPTRRGQRWKEATVGRGWCGGCASKECGDLDTGEQEYYGQKPSLSEPAMMTLAGVAFPLGRF >ORUFI06G24840.1 pep chromosome:OR_W1943:6:23807178:23808098:1 gene:ORUFI06G24840 transcript:ORUFI06G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRHAPPRPLDEPTVSMGEEEQRRSTVTAALLVLVACNLALALSGLSPPPPSAHDDDDAARVEPVGYLASVASSVLAVCVASSAARHGRRRGRLSVEAVLREARRTWTRPAVTALYVELLTTAMASLLLTLRAFLGAAAATGGGAGAELMAVSASAALVAWLGPVLFAHSDIACRMSLVVAAVEDGYQGPAAVDRAEALVTGRRARGIAVALAASLVEQAPSRWCGDGAPAFVVVPAVLAARLAACYACAARLAACYACAAFYYQCRAHHDKNTSSVLNLGESSMVDETEADAMDSVLGCFRLT >ORUFI06G24850.1 pep chromosome:OR_W1943:6:23808897:23811553:-1 gene:ORUFI06G24850 transcript:ORUFI06G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHATRRIPNPRFVHAPCCAASLVSSRSSLSGGRIGEPPPAAARARSPASPPSRGVAEARAPFRMFPPGNNSLALSAPRPGMELANIQQHPNQALGPGGKQRTSSLEAPIMLLTGHQSAVYCMKFNPAGTVIASGSHDKDIFLWYVHGDCKNYMVLRGHKNAVLDLQWTTDGTQIISASPDKTVRVWDVETGKQVKKMAEHSSFVNSCCPARKWPPLVVSGSDDGTAKLWDLRQRGAIQTLPDKYQITAVSFSEAADKVFTGGLDNDVKWWDLRKNEVTEYLKGHQDMITGMQLSPDGSYLLTNAMDNELKIWDLRPYAPENRNIKTLTGHQHNFEKNLLKCSWSPDNRKVTAGSADRMVYIWDTTSRRILYKLPGHNGSVNETAFHPTEPVIGSCGSDKQIYLGEL >ORUFI06G24860.1 pep chromosome:OR_W1943:6:23814185:23814483:-1 gene:ORUFI06G24860 transcript:ORUFI06G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVVDPLREFAKDSVRLVKRCHKPDRKEFSKVALRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >ORUFI06G24870.1 pep chromosome:OR_W1943:6:23815526:23821563:-1 gene:ORUFI06G24870 transcript:ORUFI06G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGLGGGGGGGGAGGLGLDLSAVIQAAVVGLVLFSAAVVAVRRAASRYFVVDAAGFAASSYDDHHHPHHHLVMTPRGEEQQRQQGGGAAQGAQAAASGGGEPCAACGYIATKKCSGCKRVRYCSQGCQSKHWQSGHKFKCKQMKKSSPADKLSGGGEEDRNKLSGFGPISLVPARRKLNKVIFPYDEFLKLCNWRDLDYLPCGLLNCGNSCFANVVLQCLSCTRPLAAYLLGIDHSRECYKRHEDWCFLCELQCHIQRASESMHPFAPKNILSHLPNIGGNLGFGRQEDAHEFMRFAIDKMQSACLDEFGGEKAVDPSTQETTLIQHIFGGRLQSQVQCTACGMVSNRYENMMDLTVEIHGDADSLEECLDKFTAVEWLDGDNKYKCDGCSDYVKAQKRLTVYQAPNILTITLKRFQSGRFGKLNKRVTFPMKLDLTPYMSSTDGSDQYDLYAVVVHLDMLNASFFGHYICYIKNYRGRWYKIDDCKVMAVDEEEVHAQGAYMLLYSRRTARPRPLIAVEELMKQQQQLKVCPLNGQNHLIQEDVPLEGVPSLKPSEDLEVDFESNNKSLHTMDREPDLDFHVSFDRDKFTNNDIMHPPVSTVSHALDENTRGDSGFPLEESNTMGSVQFGNSTYETSLVHSPAEQCEEPASCIDSVDYMEIDTEAGVKVERWSRPALGDSVGVMGNGTLVPALENGLAGKPIPGFPDKPSRINSFFAEGCQTGDNGAGSSQELNGHCNGEPSCPEQGVLTNGGNTPSPSTQCYENKFATSTNGNYSIGNGDTLSSSNSLHAGKQNAGFTYNGFNPKPYKEPSGSNTYLNNTCNGKPSEDNHNKCAPNLPAKDCQGGMPFLHRGFLLRPCSRGNPGKCDDGLSISNGTSSSFVSSNSKSSNISSSRNGEGGTPFLSPSFRTNHCRESAAMDTSASSVHDLKTSYNISLEQKSIGAAVPSDLIKESWGEDGTTFGTVYQQRATSVDNVSSRHDENGHVILGANNSSYGGENGSNNGILDMNSSSSQRDAASSTMMASEKGIGPKTADQVNYISDALEHDGLRRRLTSNFPDQNGIDAQ >ORUFI06G24880.1 pep chromosome:OR_W1943:6:23836941:23843174:1 gene:ORUFI06G24880 transcript:ORUFI06G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEYLAEPEDEVAISMWPENIGDKHQKQFKMEKLGKDQDALEDANFQQKPSSVDLNRLMELANSEKGVSQMQYFVKHWEYKRANTARLLKEQIGLLCQQRKEIEQRKQQILEEQQFQDESYYAVKRQVPILDEVYKDEWKRPSKKNDDLSHNQELKIDAEYDSISYWKERAMQLEKTLEASLQRERSLEEKLEENIKNLQSHTPVEEFSGMLKRADYFLHLVLQSAPIVIAHQDADLRYRFIFNHFPTLADEDVIGKTDYEILSGEGIEEMNNVKKEVMASGKATKREFVFNTPLFGAKTFVTYIEPVFSKSGETIGVNYVAMDITDQVTRREKMADIRVREAVQKAKETELSKSLHITEETMRAKQMLATMSHEIRSPLSGVLSMAEILATTKLDKEQYQLLEVMLSSGDLVLQLINDILDLSKVESGAMKLEATTFRPREVVKHVLQTAAASLKKELILEGCIGDNVPLEVTGDVLRIRQILTNLISNAVKFTHEGKVGINLHVLDKQLPGCRIEGGQLHSKAHSAPAAAAEHFSASPRKCDNDTLGCSNHEDACQTGIPSNDNFGEHHEGDEVVWLRCDVYDTGIGIPEKSLPLLFKRYMQASDDHARKYGGTGLGLAICKQLVELMGGTLTVVSKENEGSTFSFVLPCKIPVKEDHSDDPDDMPSSGGDFTTSDIEGSFIFKPQARPYLLTSGVSVMNNTKLIGGNQFYDPPNILEDRKPFSNGFVLAEDHSTNSASTAHQSNGPSVSRTNKEQHDNAMVIELNRQAERVSSSRGDTTSVSGLIHDERGPCRVHEEKSLHKKSKCSPSSNKAKILLVEDNKVNIMVAKSMLEQLGHGIDIVNNGLEAIRAIQKRQYDIILMDVHMPEMDGLQATKFIRSFENTGCWDTSVKPEHDQIIAGSDNLSDCAHMKKQGKRVPIIAMTANSFSESAEECLAAGMDSYISKPVNFQNIKECLQQYLPPQ >ORUFI06G24890.1 pep chromosome:OR_W1943:6:23858985:23859539:1 gene:ORUFI06G24890 transcript:ORUFI06G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVAELASAPASYAHAANGTAAHSPWQSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLDGGSGNGQASGSAAAGEGAEGEKGSAAGAARPALGFREHVVVIMAGDERPTFLAMPATSRPAVELGAAPVASSCGCSHSEEEKKVEEVSSPPPPSERDADDDAASRRHESSSNTRQQESSQ >ORUFI06G24900.1 pep chromosome:OR_W1943:6:23863184:23865415:1 gene:ORUFI06G24900 transcript:ORUFI06G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASSCCGGSKKVEQGCVSASMSSNTWRIFSYKELHAATNGFSEENKLGEGGFGSVYWGKTSDGLQIAVKKLKATNTSKAEMEFAVEVEVLARVRHKNLLGLRGYCAGGAAGDQRMIVYDYMPNLSLLSHLHGQFAADVRLDWARRMAVAVGAAEGLVHLHHEATPHIIHRDIKASNVLLDSGFAPLVADFGFAKLVPEGVSGACDVYSFGILLLELVSGRKPIERLPSGAKRTVTEWAEPLIARGRLADLVDPRLRGAFDAAQLARAVEAAALCVQAEPERRPDMRAVVRILRGDADAKPVRMKSIKYADHLMEMDKSSVYYGEDGGGDGEEDMDDEEVEEYSLMEDKSSVNFGVFGAMPVQTMHDPYVRRFGGGDGIKI >ORUFI06G24910.1 pep chromosome:OR_W1943:6:23872552:23873751:-1 gene:ORUFI06G24910 transcript:ORUFI06G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRKYWGVGGRRCGACEASPAAVHCRGCGGVYLCTACDARPGHARAAHERVWVCEVCEVAPAAVTCKADAAVLCAACDADIHDANPLARRHARVPVAPIGSAAAAAVAAEAMLFGVAAAGAEAEAVEDKAAAEHHHHQQRQQHGALNLNVEAKDMKLDYLFSDLDPYLNVEFARFPHADSVVPNGAGAGAAIELDFTCGLGVGVGGAKQSYSSYTATDLAHSGSSSEVGVVPEAMCGGGGAIDLDFTRPKPQPYMPYTATPPPSHSVVSAQMSSSVVDVGVVPERAAAMGEGREARLMRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRADHDADDADADADDPAAVPSSYMLDFGYGVVPSF >ORUFI06G24920.1 pep chromosome:OR_W1943:6:23884253:23887710:-1 gene:ORUFI06G24920 transcript:ORUFI06G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPPLCHLLAAPPPSTSAAADAAHDHAGALAPHRRASPLRRRGRLFLACRVPAAAPSARGVAAEAAAGRPTVLVTEKLGDAGLELLRRFANVDCAYELTAEELRAKVSLVDALVVRSATRVTREVFEAARGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHAIALLAAMARNVAQADASLKAGKWQRNKYVGVSLVGKTLAIMGFGKVGSEVARRAKGLGMDIISHDPYAPVDRARAIGVDLVSFDEAISTADFISLHMPLTPSTAKLFDDETFANMKKGVRIINVARGGVVDEDALLRALDNGTVSQAALDVFTEEPPPKDSKLVHHEHVTVTPHLGASTSEAQEGVALEIAEAVLGALKGELAATAVNAPMVPAEVLSELSPYVILAEKLGRLVVQLVAGGSGIKGVKIGYSSSRDPDDLDTRVLRAMVTKGIIEPISSAFVNIVNADYVAKQRGLRISEERILLDGSPEIPIDSIQVHLANVESKFAGALSDEGDIRVEGEVKDGKPHLTLVGPFSVDVSLEGNLILCRQVDQPGIIGKVGSILGKMNVNVNFMSVGRTAPGKQAIMAIGVDEEPEKEALKLIGDIPSVEEFVFIKL >ORUFI06G24930.1 pep chromosome:OR_W1943:6:23888478:23888834:-1 gene:ORUFI06G24930 transcript:ORUFI06G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFLLAAAVATLFVVGSYATELTFKVNEGSSATSLELITNVAISEVEIKEKGASDWTALKESSSNTWTIKSGSPLKGPFSVRFLVKNGGYRVVDDVIPESFTAGSVYKSGIQL >ORUFI06G24940.1 pep chromosome:OR_W1943:6:23898371:23898643:1 gene:ORUFI06G24940 transcript:ORUFI06G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRLGGTPVEPCGRLSQQPPHHTAYPGDLGLLGKKSGVSEMQEFFETLPASILAKIREKVGHGSKQEPLSFKISKFWEISHNHHFLQA >ORUFI06G24950.1 pep chromosome:OR_W1943:6:23904335:23904757:-1 gene:ORUFI06G24950 transcript:ORUFI06G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPDRTTARQIRRGFIHRSPASAAACRSDDPPMARILASCIGYVHLCLVFLLPSGVQNRSTCDILNRSLEENNKDLRTTILTIKYTTQ >ORUFI06G24960.1 pep chromosome:OR_W1943:6:23915793:23916287:-1 gene:ORUFI06G24960 transcript:ORUFI06G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSARLRGCGWGRRRRGWGRLPRNLADAAATIAATVTPALDVAVAPPSDLTVVAPPPCVSSGYFWREGGTKTVEHMDIQAAAAQLGMGKVATRLGTGTGTAAAPTWLGQVGMFSYIDTDGVAGTTVIPAAPLSQAAFPTHSGVVPVPSRAGAEDPSPPRNSTK >ORUFI06G24970.1 pep chromosome:OR_W1943:6:23916676:23920405:1 gene:ORUFI06G24970 transcript:ORUFI06G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPTRLHLLLAALLVAPTLAAAQPRGFGGVVAPPPAYARYVVDAAETAAEEAYDYIVVGGGTAGCPLAATLAGPGGGRVLVLERGGAPAEFPPLATAGGFVRTLAMADPAPESDAPAQTFASEDGVPNVRARVLGGATSINAGFYSRAHPDWFRSHGEGGEAMNWDMKLVNSSYEWVERELAFQPVVRGWQAAVRAGLLEANVTPWNGFTMDHVSGTKVGATTFDSSGRRRSAADLLAFARPGRLRVAIRATVTRIIMSPIEPVARRGRSPQPAVAASGVVYQDRLLQQHHALLRPGGEVILSAGSLGSPQLLLLSGIGPANDLTSLGIPVFADVPDVGKHMFDNPRNGISIIPSIPIDHSLIQVVGIPSANGNESYLEAASYIVPLAPILRRGGPFSPSSPLYVTVVTIMEKVPGPLSEGSLWLTSSNPLESPSVRFNYLSRREDLARCVTGMRRVAKVLESTTMDVFRSAMGSLSQDSRRREFRIVGAALPVDWRTNDTALGDFCQQTVATLWHYHGGCVAGSVVDRDFRVFRVRALRVVDGSTFRETPGTNPQATIMMMGRYIGQKMIDERHSRRQVRTSTDSSSNA >ORUFI06G24980.1 pep chromosome:OR_W1943:6:23922577:23931754:1 gene:ORUFI06G24980 transcript:ORUFI06G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSVAVIVGVLVWVIRRRRRKPCRAFGRFDDDGARGRRENLVPASDEWRWRYDVVSSFGASLRRSSNTLTILGDDVLHLGSAVVTPLKTLRESFDVMEKLEV >ORUFI06G24990.1 pep chromosome:OR_W1943:6:23938694:23942606:1 gene:ORUFI06G24990 transcript:ORUFI06G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYMEAAAAARAAEAKTEGLLRGACALLAAAAALLVGLNTQTETVLFIRKKATVKDVQALWVLAMAAAAAAGYHLLQLLRCFYLSRFADGKPCRHRRAIAWLCFLLDKGCAYITFATTVAAAQACVVALYGTHALQWTKLCNIYTRFCEQVAGSLVCAMLAAVGTALLSVVSARNLFRLYPSMLSPPPSSFVG >ORUFI06G25000.1 pep chromosome:OR_W1943:6:23943610:23947040:1 gene:ORUFI06G25000 transcript:ORUFI06G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGERRRLRRPLARRVRHGSAGALIPRQLGTKNGRHGRARHVLPGAMGSASVAMTMSKVDLKAWINLRYNGSILDIRVCDEKSFKFRRSQPPLSMVFFVDSGSFR >ORUFI06G25000.2 pep chromosome:OR_W1943:6:23943569:23947537:1 gene:ORUFI06G25000 transcript:ORUFI06G25000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLAR >ORUFI06G25010.1 pep chromosome:OR_W1943:6:23944898:23951214:-1 gene:ORUFI06G25010 transcript:ORUFI06G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAAQEEETVVFRSKLPDIEIPSHLTLQAYCFEKLPEVAARPCLIDGQTGAVYSYGEVEELSRRAAAGLRRLGVGKGDVVMSLLRNCPEFAFTFLGAARLGAATTTANPFYTPHEIHRQASAAGARVIVTEACAVEKVRGFAADRGIPVVAVDGDFDGCVGFGEAMLDASIEPLDADEEVHPDDVVALPYSSGTTGLPKGVMLTHRSLVTSVAQQVDGENPNLYFRREDVVLCLLPLFHIYSLNSVLLAGLRAGSAIVIMRKFDLGALVDLTRRHGVTVAPFVPPIVVEIAKSPRVTADDLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFEVKSGSCGTVVRNAELKIVDPDTGATLGRNQSGEICIRGEQIMKGYLNDPESTKNTIDKGGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPDIKDAAVVPMIDEIAGEVPVAFIVRIEGSAISENEIKQFVAKEVVFYKRLNKVFFADSIPKSPSGKILRKDLRAKLAAGIPTNDNTQLKS >ORUFI06G25020.1 pep chromosome:OR_W1943:6:23954152:23955124:1 gene:ORUFI06G25020 transcript:ORUFI06G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTTTELNITPANMLPSRNISAKALQLVRLSKNSQVPPSSVGVRLLSSSPAPARMLSVAASDALVEIKPGEISMVSGIPKEHLRRKVLLWTYSDLCSVDRFASPHRSVWESLEMSRFGGFFWLLLGLGIEPGELGRNVLVRARPCRSAGSSLRNTLFASARPRNDVMLKKLGGISPMRLFYDKANMRSAGRRDKPSGMELSRRFWSNSSCTIFVRFASDGGMWPESELWLSRSTVRFGNASSHRGTPPTIEVVVVEVRDVEGGAIAKRVRYLAGERVVA >ORUFI06G25030.1 pep chromosome:OR_W1943:6:23955809:23957264:1 gene:ORUFI06G25030 transcript:ORUFI06G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWFRVGRIAAAARVLWRGPTPASAPSEVRERLAVETVKLFSPGMNQQDRSFWSYLIGYNCGALIILGNANRELDQRAKALAEEREAL >ORUFI06G25040.1 pep chromosome:OR_W1943:6:23958254:23958644:1 gene:ORUFI06G25040 transcript:ORUFI06G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVVRSTARRAAATAQGYGRRSAAMSSGGGKASSSEMRRIDYGSIWHVIAGNIGLGVTLFGLKGLHDMRMEEREEKWNREREERLEAARKEWIQQTQGQHVV >ORUFI06G25050.1 pep chromosome:OR_W1943:6:23959263:23960132:-1 gene:ORUFI06G25050 transcript:ORUFI06G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLSLLLLLALVLPASGDDAAAAAAAPPPAPAGGASFNVTEILGRFPEFGLFSYLISKTHVDRDINSRNTVTVLVPDNSAVDWLLRRSARLPRAALVELLSVHVVLDYFDAAKIAALPPGKPTVSTTLFQTTGNARRRTGFLAITPTAKGGAVFASAAPGALVNATLKRVVAAVPYNISVLQISNFVVPPGVLTRPRPGPGPLPLPSPPLPRMKPMAIAPTPAPVPAPTKMVPIPPSLPLTDPADEDGDEAPAAAPAPSHGNAVKVMSWWSGLGVLVGTMACVFGYL >ORUFI06G25060.1 pep chromosome:OR_W1943:6:23974210:23974841:-1 gene:ORUFI06G25060 transcript:ORUFI06G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALTAMSVDFRRRGVGDNAKGSDFHILRRHVGATGTGRMAAVEVQLPRGNDPRGGSYPWGFYSQVWPMTARAMVLFLHVVWMAVVMIRTHGSEVDAIGRTTTSLLAVLTATREVQSGLILRSNVLLVSKGRSK >ORUFI06G25070.1 pep chromosome:OR_W1943:6:24020614:24025020:1 gene:ORUFI06G25070 transcript:ORUFI06G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMTRQEFIASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVDSILNSDLPVGGGAATRASKFPSDPSLPLPSPAMQPSEKDYWSLLALHYHHHQQQQQQQQQQQFPASAFDTYGCSSGVNVDFTMGTSSHSGSNSNSSSSSAIWGTAAGAAMGRQQNGGSSNKQSNSYSGNNIPYAAAAAMTSGSALYGGSTGSNGTWVASNTSTAPHFYNYLFGME >ORUFI06G25080.1 pep chromosome:OR_W1943:6:24022448:24033211:-1 gene:ORUFI06G25080 transcript:ORUFI06G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKESSNQEVRKVLTYGFHDSKSFKTCQAGDSSSDAMNSCRVMDFISSSSVS >ORUFI06G25080.2 pep chromosome:OR_W1943:6:24022741:24033211:-1 gene:ORUFI06G25080 transcript:ORUFI06G25080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKESSNQEVRKVLTYGFHDSKSFKTCQAGDSSRWKPLLRSRCSS >ORUFI06G25080.3 pep chromosome:OR_W1943:6:24031550:24033211:-1 gene:ORUFI06G25080 transcript:ORUFI06G25080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKESSNQEVRKVLTYGFHDSKSFKTCQAGTSSFTLLKIDLVQLQDMDIFQQFSVLRVQILYSDHPYES >ORUFI06G25100.1 pep chromosome:OR_W1943:6:24049651:24050139:1 gene:ORUFI06G25100 transcript:ORUFI06G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTLGSGGGNRQIRAASAGSGGMVAGGGEGVYNDGGDGDGDIRVSTKEAAGMVTAMGWRRAKTADLAAGRPNLAPLRWIRMVRASLRGLVWLAGWQEDGAAVVDGGDGPTRRGDNGDSAQRSGATWLARPASAETMRGRSGGTARETRDDDGQPAEGAAAG >ORUFI06G25110.1 pep chromosome:OR_W1943:6:24051617:24058943:-1 gene:ORUFI06G25110 transcript:ORUFI06G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDNRYNLQLKHMIIKYMEANIIFSGFRPDTLQCPRYLMKLCPCVALSIVSVSLLAVENLSDVSPLFLTGLLEAVVAALFMNIYIVGLYQLFDIEIDKPTLPLASVEYSPATGVALVSAFAAML >ORUFI06G25120.1 pep chromosome:OR_W1943:6:24060695:24065572:1 gene:ORUFI06G25120 transcript:ORUFI06G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAAGGASPAPEAPPPPPRGWISGLVSGAGRILASVLGPDSPAAASGSATTTSATSPSASSSPASSRHPDHGNSPLFFPKANKLNKSENEAIMKDYSEASLAIISEIEPKDAIMQLLKQETYSRSECNALVKIIQERVVDSNLNGVDAGGLALPINWKTGRQANIGYSSLSPKGLLPATSIPPVQDHVFDNSAGAGASTTIAHDRGPFAHATDKIQSVLKRSCSVATDTPDPEDSRRVRPKINGNSLEISNFKQVDVIRTHSGDDNKLSDVPLFGTNNLIYSNIVSIVGSADEKIGIPNKPSAGDDNKNYDSEFLNPCTNKDLKNSFPLKVEPLDVCIPFEQQMMDLSHQKHELGVPLENGSKNRRRRAPNTQRITPARSPAKGSRRKSNDVTVKSETDLLEQSKGSHDVTVKSEIDLLEQSKLALMEQSPDLGDIPVKRPVGRPRKAK >ORUFI06G25130.1 pep chromosome:OR_W1943:6:24067938:24068279:1 gene:ORUFI06G25130 transcript:ORUFI06G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYANNGLHSKATELFDSIKQSRLNPTLIIYNSLMDMYAKSNESWEAENILKQLESSQVKPDVASYNTVVVNGFCLRGLIKDAQRILSEMIADGIGSMCCNFMYDASHARGRIC >ORUFI06G25140.1 pep chromosome:OR_W1943:6:24072417:24073626:-1 gene:ORUFI06G25140 transcript:ORUFI06G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPVLPIFFSLHLVLPSWQRHQRSRRVPALVSPPSSLRRPSAPGRPRGGDARRSSSQGRSGDGQAERSRRRLVARSVLATALLGAECARWWLAARLLETAVVGAERRTAGTATTALRRGLAPPAALRPDATTGAGRLLPDGALRRCSLTALPPRRASSASPHQLRLTLAHRATARAPLQCFASVERGDGYLPSALAATQYAYRICLSRWRLFFYPKSLCTTDK >ORUFI06G25150.1 pep chromosome:OR_W1943:6:24075813:24082134:1 gene:ORUFI06G25150 transcript:ORUFI06G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRLRPSLLAARAPGAASLPPLRRACVSNHHAIDITCLCVGKITFYHLYVLSIEMVNGQFLCPAKGPKVLPSINVRNSLVGNPPTTGYHIDQHLVPLTLRDNLYNLQLKHMIHQALSIVSVSLLAVENLSDVSPLFLTGLLEAVVAALFMNIYIVGLNQLFDIEIDKVNKPTLPLASGEYSPATGVALVSAFAAMSFGLGWAVGSQPLFLALFISFILGTAYSINTFVFRRPAVFTRPLIFATAFMTFFSVVIALFKDIPDIEGDRIFGIKSFSVRLGQKKVVGHAILAAILWNRSRSIDLTSKTAITSFYMFIWKLFYAEYLLIPLVR >ORUFI06G25160.1 pep chromosome:OR_W1943:6:24082426:24082812:1 gene:ORUFI06G25160 transcript:ORUFI06G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARAGGGWPVELTIRVVLLWSRKRRLVPDLREKATMAGKRAGTAVEAGNRGGEGSAVKDKRRASGCESPRGGGRPIKLTRPCPPVVGGVATASDLREKAAMVRERGDMAGRPRRRGRRGQWRG >ORUFI06G25170.1 pep chromosome:OR_W1943:6:24103661:24105549:1 gene:ORUFI06G25170 transcript:ORUFI06G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRMNAAGDESPFPFGAMQAPGPGAYVGFDHGAAAVAAAAAAAQRAGMLQHHHHHMYDGLDFAAAMQFGGGQDAPPHPQLLALPPSMAAPPPPPMPMPLQMPMTMPMPGDVYPALGIVKREGGGGGQDAAAGRIGLNLGRRTYFSPGDMLAVDRLLMRSRLGGVFGLGFGGAHHQPPRCQAEGCKADLSGAKHYHRRHKVCEYHAKASVVAASGKQQRFCQQCSRFHVLTEFDEAKRSCRKRLAEHNRRRRKPAAAATTAVAAAKDAAAAPVAAGKKPSGGAATSYTGDNKNVVSMSAAKSPISSNTSVISCLPEQGKHAAAAARPTALTLGGAPPHESSAPQFGAMLHHHHHHQQDHMQVSSLVHINGGGGGGSNNILSCSSVCSSALPSTATNGEVSDQNNDNSHNNGGNNNNMHLFEVDFM >ORUFI06G25190.1 pep chromosome:OR_W1943:6:24122322:24130753:-1 gene:ORUFI06G25190 transcript:ORUFI06G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKKVWGVQQLNPMPHARSATGEHTFSSLLKQKSPKTSPTKPRGSMAVSMREVDAVFQGAGQKDGLEIWRIEKLQAVPVPKESHGRFFTGDSYVILKTTALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETERFLSYFKPCIIPEEGGIASGFRHTEINEREHVTRLFVCRGKHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDSNHEGKCDVGSVEDGKLMADADAGEFWGLFGGFAPLPRKTFSDLNGKDSAFSSNLNKGQTVPVDFDVLTRELLDSTKCYLLDCGSEIYVWMGRETPLEERKRAGSAAEELLREVNRPKSHIVRLMEGFETVIFRSKFSKWPKKADAVVSDESRGKVAALLKRQGFNVKGLAKAAPVKEEPQPQIDCTGNLQVWRVNGTEKTFLSFSEQCKFYSGDCYIFQYSYPGEEGEECLIGTWFGKKSVQDEKTTAISVASKMVESLKFQAVMVRLYEGKEPAEFFSIFQNLVIFKGGVSTGYKKFVSENGIEDDTYSENGVALFRVQGSGPENMQAIQVDTAATSLNSSYCYVLHDGDTLFTWIGNLSSSMDQELAERQLDVIKPNLQSRMLKEGSEYDQFWKLLGVKSEYPSQKIAKDQERVLKVREIFNFTQDDLMTEDVFILDCHSCVFVWVGQRVDTKMRAQALSVGEKFLELDILMENSSQETPVYVITEGSEPQFFTRFFTWDSAKSAMHGNSFERRLSIVKDGVKPKLDKPKRRPTTSSSHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPAIRKPSPKSPSSDPTKPPQRAASIAAISASFERPRPTLIPKSIKASPDVNKPQVEASKPKPEANGKDSTPSKDSPTVTPTIQEDLKEGQPENEEGLPVYPYERLRTSSINPVTDIDVTKRETYLSAAEFRERFGMTKEAFAKLPKWKQNRLKIALQLF >ORUFI06G25190.2 pep chromosome:OR_W1943:6:24122320:24131101:-1 gene:ORUFI06G25190 transcript:ORUFI06G25190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMREVDAVFQGAGQKDGLEIWRIEKLQAVPVPKESHGRFFTGDSYVILKTTALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETERFLSYFKPCIIPEEGGIASGFRHTEINEREHVTRLFVCRGKHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDSNHEGKCDVGSVEDGKLMADADAGEFWGLFGGFAPLPRKTFSDLNGKDSAFSSNLNKGQTVPVDFDVLTRELLDSTKCYLLDCGSEIYVWMGRETPLEERKRAGSAAEELLREVNRPKSHIVRLMEGFETVIFRSKFSKWPKKADAVVSDESRGKVAALLKRQGFNVKGLAKAAPVKEEPQPQIDCTGNLQVWRVNGTEKTFLSFSEQCKFYSGDCYIFQYSYPGEEGEECLIGTWFGKKSVQDEKTTAISVASKMVESLKFQAVMVRLYEGKEPAEFFSIFQNLVIFKGGVSTGYKKFVSENGIEDDTYSENGVALFRVQGSGPENMQAIQVDTAATSLNSSYCYVLHDGDTLFTWIGNLSSSMDQELAERQLDVIKPNLQSRMLKEGSEYDQFWKLLGVKSEYPSQKIAKDQESDPHLFSCTFSKGVLKVREIFNFTQDDLMTEDVFILDCHSCVFVWVGQRVDTKMRAQALSVGEKFLELDILMENSSQETPVYVITEGSEPQFFTRFFTWDSAKSAMHGNSFERRLSIVKDGVKPKLDKPKRRPTTSSSHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPAIRKPSPKSPSSDPTKPPQRAASIAAISASFERPRPTLIPKSIKASPDVNKPQVEASKPKPEANGKDSTPSKDSPTVTPTIQEDLKEGQPENEEGLPVYPYERLRTSSINPVTDIDVTKRETYLSAAEFRERFGMTKEAFAKLPKWKQNRLKIALQLF >ORUFI06G25190.3 pep chromosome:OR_W1943:6:24122322:24130753:-1 gene:ORUFI06G25190 transcript:ORUFI06G25190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKKVWGVQQLNPMPHARSATGEHTFSSLLKQKSPKTSPTKPRGSMAVSMREVDAVFQGAVCLSLTTLSGLEIWRIEKLQAVPVPKESHGRFFTGDSYVILKTTALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETERFLSYFKPCIIPEEGGIASGFRHTEINEREHVTRLFVCRGKHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDSNHEGKCDVGSVEDGKLMADADAGEFWGLFGGFAPLPRKTFSDLNGKDSAFSSNLNKGQTVPVDFDVLTRELLDSTKCYLLDCGSEIYVWMGRETPLEERKRAGSAAEELLREVNRPKSHIVRLMEGFETVIFRSKFSKWPKKADAVVSDESRGKVAALLKRQGFNVKGLAKAAPVKEEPQPQIDCTGNLQVWRVNGTEKTFLSFSEQCKFYSGDCYIFQYSYPGEEGEECLIGTWFGKKSVQDEKTTAISVASKMVESLKFQAVMVRLYEGKEPAEFFSIFQNLVIFKGGVSTGYKKFVSENGIEDDTYSENGVALFRVQGSGPENMQAIQVDTAATSLNSSYCYVLHDGDTLFTWIGNLSSSMDQELAERQLDVIKPNLQSRMLKEGSEYDQFWKLLGVKSEYPSQKIAKDQERVLKVREIFNFTQDDLMTEDVFILDCHSCVFVWVGQRVDTKMRAQALSVGEKFLELDILMENSSQETPVYVITEGSEPQFFTRFFTWDSAKSAMHGNSFERRLSIVKDGVKPKLDKPKRRPTTSSSHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPAIRKPSPKSPSSDPTKPPQRAASIAAISASFERPRPTLIPKSIKASPDVNKPQVEASKPKPEANGKDSTPSKDSPTVTPTIQEDLKEGQPENEEGLPVYPYERLRTSSINPVTDIDVTKRETYLSAAEFRERFGMTKEAFAKLPKWKQNRLKIALQLF >ORUFI06G25200.1 pep chromosome:OR_W1943:6:24134940:24137120:1 gene:ORUFI06G25200 transcript:ORUFI06G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAALLPWRRLVVPLLAVVSVPFVLPLALPFLLRSSSSRQPLSVHRLDWLPPLSPLPRTPDPSTPPPPPLTLPLAPPTTPPPPPSTLPMAPPTRSSPAASPPPPEKDEGGGTTCDLYDGEWARDEAARPLYAPGTCPYVDEAYACASNGRPDAAYTRWRWAPRRCRLPRFNATDFLATLRGKRLMLVGDSMNRNQFESLLCILREAIPDKTRMFETHGYRISKGRGYFVFKFVDYDCTVEFVRSHFLVREGVRYNRQKNSNPILQIDRIDKSASRWRKVDVLVFNTGHWWTHGKTARGINYYKEGDTLYPQFDSTEAYRRALKTWARWIDKNMDPAKSVVFYRGYSTAHFRGGEWDSGGSCSGETEPTLRGAVIGSYPRKMRIVEEVIGRMRFPVRLLNVTKLTNFRRDGHPSVYGKAAAGKKVSRRKQDCSHWCLPGVPDAWNELIYASLVLEPKPRSWKHH >ORUFI06G25200.2 pep chromosome:OR_W1943:6:24134940:24137120:1 gene:ORUFI06G25200 transcript:ORUFI06G25200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAALLPWRRLVVPLLAVVSVPFVLPLALPFLLRSSSSRQPLSVHRLDWLPPLSPLPRTPDPSTPPPPPLTLPLAPPTTPPPPPSTLPMAPPTRSSPAASPPPPEKDEGGGTTCDLYDGEWARDEAARPLYAPGTCPYVDEAYACASNGRPDAAYTRWRWAPRRCRLPRFNATDFLATLRGKRLMLVGDSMNRNQFESLLCILREAIPDKTRMFETHGYRISKGRGYFVFKFVVINLTKTDKWNPWRRSVTVIIVILQDYDCTVEFVRSHFLVREGVRYNRQKNSNPILQIDRIDKSASRWRKVDVLVFNTGHWWTHGKTARGINYYKEGDTLYPQFDSTEAYRRALKTWARWIDKNMDPAKSVVFYRGYSTAHFRGGEWDSGGSCSGETEPTLRGAVIGSYPRKMRIVEEVIGRMRFPVRLLNVTKLTNFRRDGHPSVYGKAAAGKKVSRRKQDCSHWCLPGVPDAWNELIYASLVLEPKPRSWKHH >ORUFI06G25210.1 pep chromosome:OR_W1943:6:24137672:24140495:1 gene:ORUFI06G25210 transcript:ORUFI06G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGRRFGMAAAALIALAALGSAASGTASKSSFVKSTVKAHDVVIFSKSYCPYCRRAKAVFKELELKKEPYVVELDQREDGWEIQDALSDMVGRRTVPQVFVHGKHLGGSDDTVEAYESGKLAKLLNIDVKEDL >ORUFI06G25220.1 pep chromosome:OR_W1943:6:24141205:24142519:1 gene:ORUFI06G25220 transcript:ORUFI06G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVYPYDWTLRVEPLVNAPMTLTARELSEYLYLRVFLSSDPSSDIVGGGCVVVLLHRPDGQMSFARLGDTHWTWIRTPTGNELYVDVGFSADGRMLYGIRRDGAIHEFDLGGEPALERTTILPAQDGMMRHTNYLVDAPWLGGGDGGCWLMVCRRLPYDGVWNTHSIKVYRVDPAAGTAAEINDVGGRHALFLGCDSSFGLAMADCPAGILPDHVYYTDNEEQYALDTPECARDIGVYSMGDGSFHRVKPPSPWLDWPLPAWIIPSFGCLGYSNRFLAN >ORUFI06G25230.1 pep chromosome:OR_W1943:6:24149132:24149614:-1 gene:ORUFI06G25230 transcript:ORUFI06G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELELSSELAALRPIRVTAAAAAAGSGAATARPDDAGVPEESGSATVRQGGGGGGGGGASVSAAAAAVVESSDGCVTPTSAGSALRPATVCPPAPRKPRPARRRRRPAAAAVLPGAARPRRRVRGARPGGHHLAAVPAARQEDPRPRRGVIRLARRRR >ORUFI06G25240.1 pep chromosome:OR_W1943:6:24172292:24176288:1 gene:ORUFI06G25240 transcript:ORUFI06G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGRDIYDVLAAIVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISTNDPYSMNYRFLAADSLQKLVILAALAVWHNLLSRYRRNGGAAASLDWTITLFSLSTLPNTLVMGIPLLRAMYGDFSGSLMVQIVVLQSVIWYTLMLFLFEYRGAKALISEQFPPDVGASIASFRVDSDVVSLNGREALQADAEVGRDGRVHVVIRRSASASTTGGGGGAARSGVSRAYGASNAMTPRASNLTGVEIYSLQTSREPTPRASSFNQADFYAMFSGSKMASQMASPMAQHGGAGGRAQGLDEQVTNKFASGKAADPPSYPAPNPGMMPAPRKKELGGSNSNSNKELHMFVWSSSASPVSEANLRNAVNHAASTDFASAPPPAAVPVGGATPKGVSGSVTPAAKNGGGELEIEDGLKSPAAGLAAKFPVSGSPYVAPRKKGGGADVPGLAEAAHPMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLVSFRWNIQMPSIIKGSISILSDAGLGMAMFSLGLFMALQPKIISCGKTVATFAMAVRFLTGPAVIAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNCHPQILSTAVIFGMLIALPITILYYVLLGI >ORUFI06G25250.1 pep chromosome:OR_W1943:6:24181450:24181656:-1 gene:ORUFI06G25250 transcript:ORUFI06G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCGKEEKLLGVQKAPGSCPYCGGGVAATDVEAKWVLCFLPLCLNNKRRFSCTACNRRLVSYPAIVHD >ORUFI06G25260.1 pep chromosome:OR_W1943:6:24182087:24183356:1 gene:ORUFI06G25260 transcript:ORUFI06G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPHVRPSARRSPLLRPSSGASLRPLLLRPSSHAPLRPLLAARRSSAPSDLADRGRRRWPAIEDGGTVGRGGGTRQHGGSAVLVAAPSAELVAVKLFASSSLAGSGSAHAISEDVWAQRWLEIEGGMVGRGGGCSSKSNSSGAIPSSLSSPYRSLGENEEGARGGVVTPSPALAHAGAARCIARAWPSSEAQIERSCSAWLWGLFCIRSTWLGVRCRQPNSDPLHPRVRARFHAGNQTHP >ORUFI06G25270.1 pep chromosome:OR_W1943:6:24187778:24191327:-1 gene:ORUFI06G25270 transcript:ORUFI06G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGDPPTRSTQQHATSQRYDDRGGTAAPGDSLAAAAEAAAGQLGRAAGRMRKLRAGERIPGGGRPPARGREGGGGGNPRGVEEALFDLGGEGGRRRRKREESAPGPGNRESSAAGEICSELGFAGVNQTSSGYYKRMHDYFNEHKPEGSNRSQIAIQHRWALIQKAINKFCGHKAAVDRLNESGKNEQDRAKWNNKFLELNNSTSPDRMEPPSTQGHTVAGHAESGNENIDTARPEGRDSAKRRRSKSYAETSSSSTTVEVLQRLQEKSEKTELKQDQQMVEILSRKDEKIKIQRDLFNLQKKHMKMSVKQHKKKNEIREKQTEAQLMSAESSIMSIDIEKVPPYLKNYYLGMQRQIMERRGFSSPLNNGD >ORUFI06G25280.1 pep chromosome:OR_W1943:6:24191051:24194692:1 gene:ORUFI06G25280 transcript:ORUFI06G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVVRQLAKELKSLDESPPEGIKVIVNDDDFTTIFADIEGPAGTPYENGVFRMKLLLSRDFPQSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPSLGLRHVLLVVRCLLIEPFPESALNEQAGKLLLENYEEYARHARLYTSIHALKPKNKTKSGTISESTTALNVDQSNNAVSKNTPLGPAALSTSTANKAFGSNLQDQNAAPSDPALGASAVPKKDGLHAVKAPVEKKKIDARKKSLKRL >ORUFI06G25280.2 pep chromosome:OR_W1943:6:24191975:24194692:1 gene:ORUFI06G25280 transcript:ORUFI06G25280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYSMLVLAIDHTVEIKFQVKLPNNYLSAVIASMATNENLPPNVVRQLAKELKSLDESPPEGIKVIVNDDDFTTIFADIEGPAGTPYENGVFRMKLLLSRDFPQSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPSLGLRHVLLVVRCLLIEPFPESALNEQAGKLLLENYEEYARHARLYTSIHALKPKNKTKSGTISESTTALNVDQSNNAVSKNTPLGPAALSTSTANKAFGSNLQDQNAAPSDPALGASAVPKKDGLHAVKAPVEKKKIDARKKSLKRL >ORUFI06G25290.1 pep chromosome:OR_W1943:6:24197609:24204363:1 gene:ORUFI06G25290 transcript:ORUFI06G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGTVRRGGGVGLRALVVVAVAAAALVVARADTAAADDDSDSSLLVLFVWCVLACVRGVRGFRFRRDEQGEQGDWFVLVVLRRCSCLQPLQLTVRGEIVSVAARASWEWIIGSVNWPVSAINGLYISLGSPKLPGWSGNGGDPCSELWQGVVCTGSSITSVTMNAANLGGQLGGLGNFTSIVTINLSNNKIGGTIPEDLPVTLQHIFLSANQLTGSIPSSLAKLKNLADMSLNDNQLNGQLPDAFGSLTGLVNLDISSNNLTGVLPPSMKNLSSLTTLHMQGNRLSGTLNVLQDLPLKDLNIENNLFSGPVPPKLQSIPNFKKDGNPFNTSIAPSASPPSTPGGPAPTPTPTRPAPSPSPTGPPTPSPTNPNLEPSPPPPSSSAPPPSRTPSNSSDGPTTRDNISSSKKQNSSTLKIVGFVFLGVVLFIVTVLLVIFCLSKYQERRSRYDYNRSQLARVHHTVEHRINPSIVQPRDDAKKDQQSLAAVPKKPLESQREHIIDLEHPNNLARTNSELFAVDPPPPPPPPFLPPFPVEKVTVNPVVPPEKRYISPPRINKPTSATSFSVASLQQYTSSFREENLIRKSRLGKVYLAEFPEGKFLEVMKIDNTNGRISVDEFLDLVQLVSDIRHPNIHELVGYCAEYGQRLLVYNHFSTKTLDDALHDREGVDSTLSWNARLQVALGSGKALEYLHESFQPPIVHQNFEPANVLLDNKFSVRVAECGLEKLLASSSVTQLADRMHSLLNYEPPESRESGIVTEQGDVYSFGVVMLEILTGRKPYDSSLPRAEQHLVRWANSQLHDIESLSRMVDPSIQGQCSEKALSRFADIISGCIREPQFRPPMSEVVQDLARMVNETGEESE >ORUFI06G25290.2 pep chromosome:OR_W1943:6:24197609:24204363:1 gene:ORUFI06G25290 transcript:ORUFI06G25290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGTVRRGGGVGLRALVVVAVAAAALVVARADTAAADVSAINGLYISLGSPKLPGWSGNGGDPCSELWQGVVCTGSSITSVTMNAANLGGQLGGLGNFTSIVTINLSNNKIGGTIPEDLPVTLQHIFLSANQLTGSIPSSLAKLKNLADMSLNDNQLNGQLPDAFGSLTGLVNLDISSNNLTGVLPPSMKNLSSLTTLHMQGNRLSGTLNVLQDLPLKDLNIENNLFSGPVPPKLQSIPNFKKDGNPFNTSIAPSASPPSTPGGPAPTPTPTRPAPSPSPTGPPTPSPTNPNLEPSPPPPSSSAPPPSRTPSNSSDGPTTRDNISSSKKQNSSTLKIVGFVFLGVVLFIVTVLLVIFCLSKYQERRSRYDYNRSQLARVHHTVEHRINPSIVQPRDDAKKDQQSLAAVPKKPLESQREHIIDLEHPNNLARTNSELFAVDPPPPPPPPFLPPFPVEKVTVNPVVPPEKRYISPPRINKPTSATSFSVASLQQYTSSFREENLIRKSRLGKVYLAEFPEGKFLEVMKIDNTNGRISVDEFLDLVQLVSDIRHPNIHELVGYCAEYGQRLLVYNHFSTKTLDDALHDREGVDSTLSWNARLQVALGSGKALEYLHESFQPPIVHQNFEPANVLLDNKFSVRVAECGLEKLLASSSVTQLADRMHSLLNYEPPESRESGIVTEQGDVYSFGVVMLEILTGRKPYDSSLPRAEQHLVRWANSQLHDIESLSRMVDPSIQGQCSEKALSRFADIISGCIREPQFRPPMSEVVQDLARMVNETGEESE >ORUFI06G25300.1 pep chromosome:OR_W1943:6:24210460:24212557:-1 gene:ORUFI06G25300 transcript:ORUFI06G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYDIFVEGYNVQRVMRQMGLYQQVPVPVGLHLPPDVHTQKRQADNRYRRSMHSRMTPWIEAWSQALNDVTDIAGELVRDAKTLWEKLRDGMAGTNQEVMAAVDSLRRKGKRIMRLASCRHSSDVYNPATSTRTFEPMPECPSTSSRPSTSVRPSASARRSSDGRAEVRSTSFRDPPTIPTIPEITEISERLGGFGSTQEGTRMVRPVPHMPPARPQMIPQMALDVPTSHWQGGFAPFAGPTQSVPLHAPTYGTNPWQGQSIDYGGSFRPEIMSGFSPYTTSYDDMSSFGGGSSSVPNELRTSQTNDAPHVTQPT >ORUFI06G25310.1 pep chromosome:OR_W1943:6:24213867:24219491:-1 gene:ORUFI06G25310 transcript:ORUFI06G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGYDATEKTWARGIALCARETADAACARERKPGGGQWARGIVLWERETERDVAIRVRESEERGGAALLRFEGARPSDVPVCARETVGESECMEGAAVGSVSRYARARPPSVSCSACARASPLFSIDTFRKTEGSELRRGRAREERRQCMQWREGKKDTELNFEAAIVIRASWLKRSRRYLINAGMSAFRVYFSEGEIMENESGIWKRSGLTRCLAKRSMHDIVQRRYMMEILALV >ORUFI06G25320.1 pep chromosome:OR_W1943:6:24224641:24226297:1 gene:ORUFI06G25320 transcript:ORUFI06G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAERCALCGAAAAVHCEADAAFLCAACDAKVHGANFLASRHHRRRVAAGAVVVVEVEEEEGYESGASAASSTSCVSTADSDVAASAAARRGRRRRPRAAARPRAEVVLEGWGKRMGLAAGAARRRAAAAGRALRACGGDVAAARVPLRVAMAAALWWEVAAHRVSGVSGAGHADALRRLEACAHVPARLLTAVASSMARARARRRAAADNEEGWDECSCSEAPNALGGPHSFRTTEAPAFSFIASVRWKKRLLIVLAEYKYATSNQFPTLN >ORUFI06G25330.1 pep chromosome:OR_W1943:6:24228304:24236523:1 gene:ORUFI06G25330 transcript:ORUFI06G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGTWRKAYGALKDSTKVGLANFNSEYKDLDIAIVKATNHVECPPKERYLRKILFATSANRPRSDVGYSICTLARRLSKTKNWIVALKTLIVIHRLLREGDGTFKEDFLNYSYRGTILQIPQFKDDSSPLAWDCSVWVRTYASYLDERVECFRILKYDVEADRLVKLPQASGKAHSRTRTLPCGDLLDHLPALQRLLLRLISCQPEGAACTNYLVQYALALVLKESFKIYCSINDGIINLVDMYFDMQKYDAIKALEIYKRAGYQAEKLSAFYEHCKRLELARTFQFPTLRQPPPSFLITMEEYIREAPRVSIASKSLESEEQNSPSDNEDEAPQETEKPVDEEEQEPSEPEEEPQPTAESVEGTEPVPLATTGDLLNFDEEVNPLIANIEESNALALAIVAPGNENKASASQDLFALDKSGWELALVTAPSTHTSRPVDNQLAGGFDKLLLDSLYEDEARRQQIASATYNGSVAGNPFDPNDPFAMSNNFAPPSNVQLAMMQQQHQDYQEQQQQYYQIQQQQQMVTLPPQTYHQQQQTQYSALPSHDGLSNPFGDPFGGLVAMANPPKQNNSNLV >ORUFI06G25330.2 pep chromosome:OR_W1943:6:24228304:24236523:1 gene:ORUFI06G25330 transcript:ORUFI06G25330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGTWRKAYGALKDSTKVGLANFNSEYKDLDIAIVKATNHVECPPKERYLRKILFATSANRPRSDVGYSICTLARRLSKTKNWIVALKTLIVIHRLLREGDGTFKEDFLNYSYRGTILQIPQFKDDSSPLDERVECFRILKYDVEADRLVKLPQASGKAHSRTRTLPCGDLLDHLPALQRLLLRLISCQPEGAACTNYLVQYALALVLKESFKIYCSINDGIINLVDMYFDMQKYDAIKALEIYKRAGYQAEKLSAFYEHCKRLELARTFQFPTLRQPPPSFLITMEEYIREAPRVSIASKSLESEEQNSPSDNEDEAPQETEKPVDEEEQEPSEPEEEPQPTAESVEGTEPVPLATTGDLLNFDEEVNPLIANIEESNALALAIVAPGNENKASASQDLFALDKSGWELALVTAPSTHTSRPVDNQLAGGFDKLLLDSLYEDEARRQQIASATYNGSVAGNPFDPNDPFAMSNNFAPPSNVQLAMMQQQHQDYQEQQQQYYQIQQQQQMVTLPPQTYHQQQQTQYSALPSHDGLSNPFGDPFGGLVAMANPPKQNNSNLV >ORUFI06G25330.3 pep chromosome:OR_W1943:6:24228304:24236523:1 gene:ORUFI06G25330 transcript:ORUFI06G25330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGTWRKAYGALKDSTKVGLANFNSEYKDLDIAIVKATNHVECPPKERYLRKILFATSANRPRSDVGYSICTLARRLSKTKNWIVALKTLIVIHRLLREGDGTFKEDFLNYSYRGTILQIPQFKDDSSPLDERVECFRILKYDVEADRLVKLPQASGKPEGAACTNYLVQYALALVLKESFKIYCSINDGIINLVDMYFDMQKYDAIKALEIYKRAGYQAEKLSAFYEHCKRLELARTFQFPTLRQPPPSFLITMEEYIREAPRVSIASKSLESEEQNSPSDNEDEAPQETEKPVDEEEQEPSEPEEEPQPTAESVEGTEPVPLATTGDLLNFDEEVNPLIANIEESNALALAIVAPGNENKASASQDLFALDKSGWELALVTAPSTHTSRPVDNQLAGGFDKLLLDSLYEDEARRQQIASATYNGSVAGNPFDPNDPFAMSNNFAPPSNVQLAMMQQQHQDYQEQQQQYYQIQQQQQMVTLPPQTYHQQQQTQYSALPSHDGLSNPFGDPFGGLVAMANPPKQNNSNLV >ORUFI06G25330.4 pep chromosome:OR_W1943:6:24234161:24236531:1 gene:ORUFI06G25330 transcript:ORUFI06G25330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYDEVEIEDMEWNAELGAYTYPCPCGDLFQITLADLRLGEEIARCPSCSLFLTVVYNEEDFADAKEPPHKPAPRPVAPLLLGH >ORUFI06G25340.1 pep chromosome:OR_W1943:6:24232791:24233757:-1 gene:ORUFI06G25340 transcript:ORUFI06G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSRALSRAGFLARRGGIGILAAARCGYHTRRRLPLTAAEVVEGGGAASAAGGASTSEEAGSSSSAMARRMEEAIDGAMARMSEPEWAPFRPGTSYYAPPRPAGAARGLLALVSHAAARMGPVPRALSADEARAVDAASRGFPCTTYFIDGHFPDEVERSDVIPAEDE >ORUFI06G25350.1 pep chromosome:OR_W1943:6:24236916:24240937:-1 gene:ORUFI06G25350 transcript:ORUFI06G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPECPARERFSALRGARWRADLGVLPDCASVSTEEFRRAAADSRRRYANLRRRLLIDPHLSKDEENAPDLAVENPLSQNPESTWGQYFRNAELEKMLNQDLSRLYPELGNFFQTTICQSMLGRILLVWSLRYPELGYKQGMHELLAPLLYVLHADVHYFKQVRELHDELFSDDFDGQTFPDRIKLNRSDRTNTIEGSAAKIRSLDDLDSDTRDLFLINDAYGAEGELGIILSEKFMEHDAYCMFESLMHGSMNGAQGVVAITDFYSLSPAPESSTGLTPVREASSAIYHLLASVDSPLHSHLMELGVEPQYFALRWLRVLFGREFSLDNLLFIWDEIFSSPNHSYCADIKNQSDYQFKILCSHRGALILSMAVSMMLHLRSSLLGSEHATSCLVRLLNFPGDTDLKSLIDKAKLLQPFALEANLPSSPLRGKSPLNPPNYWEETWKILQMSEEKRSGGSINRMKVRGLFRRSSPNTESNVSRTKDANFEDSNSTSGTQSTADEHHRSGIVPVNLVNGTLHTPIRERTSHVEQCTAENMITTSNNVLEAGQPEVHRSSSVDVRDALGVACGNLSRDSSTSLSCGTEYDHETHHADEPCASHDDKVVSEPDPLPVHNDKIDEVTIAAIQTCALVDYQQSQQNKPCSVNGKSEVKYQQNFAVHEVGRKETFELGSSSDVADKELLGTLRLLGESMVENIEVIDLLFQPNLHSTSLDKSEEIVLGSIEQAKAKAALEGLKKISELLRRI >ORUFI06G25360.1 pep chromosome:OR_W1943:6:24243704:24250027:1 gene:ORUFI06G25360 transcript:ORUFI06G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEKGARFSFCGFIEEMRELEKLRTETMMKSCQSTTSRAGAIRCPVPRKSGRSYKEYDLTQDLSDFIMSKASPPYFTGSPPVRASNPLVHDTQFCAWKLQNVDQSLSIPIPTKGCNVRYCVREGSITKA >ORUFI06G25360.2 pep chromosome:OR_W1943:6:24243167:24250027:1 gene:ORUFI06G25360 transcript:ORUFI06G25360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIHTTARTRGLLPAVLSPLSLSFSLAGRCVFALIFAAASPRPPSQLAQSLSRPPHKYSPCLSSPLSPRERADERTSERGARRAGGGGAVRLGVSSPLLPTLPFLRPPLDSRGSGFIEEMRELEKLRTETMMKSCQSTTSRAGAIRCPVPRKSGRSYKEYDLTQDLSDFIMSKASPPYFTGSPPVRASNPLVHDTQFCAWKLQNVDQSLSIPIPTKGCNVY >ORUFI06G25360.3 pep chromosome:OR_W1943:6:24243704:24250027:1 gene:ORUFI06G25360 transcript:ORUFI06G25360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFPWRMSRSRPCFVRLRFKSSKHSIRNCALNAERNESNQRVSLMNPTNMQPKSHQNIKVICTKQTEFQSEIDAQFFHLQSWGNRSSGCSPRRSGDRRSRRGGRHRRRPTRAPPPRCRAPGGRS >ORUFI06G25360.4 pep chromosome:OR_W1943:6:24243167:24250027:1 gene:ORUFI06G25360 transcript:ORUFI06G25360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIHTTARTRGLLPAVLSPLSLSFSLAGRCVFALIFAAASPRPPSQLAQSLSRPPHKYSPCLSSPLSPRERADERTSERGARRAGGGGAVRLGVSSPLLPTLPFLRPPLDSRGSGFIEEMRELEKLRTETMMKSCQSTTSRAGAIRCPVPRKSGRSYKEYDLTQDLSDFIMSKASPPYFTGSPPVRASNPLVHDTQFCAWKLQNVDQSLSIPIPTKGCNVRYCVREGSITKA >ORUFI06G25360.5 pep chromosome:OR_W1943:6:24243704:24250027:1 gene:ORUFI06G25360 transcript:ORUFI06G25360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEKGARFSFCGFIEEMRELEKLRTETMMKSCQSTTSRAGAIRCPVPRKSGRSYKEYDLTQDLSDFIMSKASPPYFTGSPPVRASNPLVHDTQFCAWKLQNVDQSLSIPIPTKGCNVRYCVREGSITKA >ORUFI06G25370.1 pep chromosome:OR_W1943:6:24246811:24250044:-1 gene:ORUFI06G25370 transcript:ORUFI06G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFLSSSSPLNPQFLLLPRQSARLRVLLSIPVSAMSSSSSSSSRGALAAAAVPSLSADEAGAAADEAFLRYTSPSMRRSGGGGVAIVWFRNDLRVLDNEAVVRAWAASDAVLPVYCVDPRISAGSTHYFGFPKTGALRAQFLIECLEDLKRNLTKQGLDLLIRHGKPEDILPSIAKAVTAHTVYAHKETCSEELLVEHLVRKGLEQVVIPQGGASNQKKPRNPKLQLIWGATLYHVDDLPFSVNNLPDVYTQFRKAVESKSSVRNCSKLPPSLGPPPGSGLDEIGGWGTVPTLESLGLSMTKAEKGMHFVGGESAALGRVHEYFWKKDQLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRYICEEVKRYEKQRIANDSTYWVLFELIWRDYFRFISAKYGNSIFHLGGPRNVESKWSQDQALFESWRDGRTGYPLIDANMKELLATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPASNYGNWTYGAGVGNDPREDRYFSIPKQAKTYDPDGEYVAYWLPELRSIAKERRNFPGASYIKQVVPLKFDGGHQKRDQQFNRQRRPGHMYRRQK >ORUFI06G25380.1 pep chromosome:OR_W1943:6:24251537:24255142:-1 gene:ORUFI06G25380 transcript:ORUFI06G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPSLRHRAVAKKPKWIIILVSLVCFVLIGAYVFPPRRYSQCYLFGSGACATFKDWLPSVTRRERTDEEIISSVVLRDILAMPMPVSKNPKIALMFLTPGTLPFEKLWEKFLQGQEGRYSIYVHASREKPVHTSSLFVGRDIHSDAVVWGKISMVDAEKRLLANALADVDNQFFVLLSDSCVPLHTFDYVYNYLMGTNISFIDCFRDPGPHGNGRYSPEMLPEIEEKDFRKGAQWFAITRRHALLILADSLYYKKFKLYCKMVDPGGIANWSVTHVDWSEGKWHPRSYRAADVTYDLLKNITAVDENFHVTSDDKKLMTQKPCLWNGSKRPCYLFARKFYPETLDNLLKLFTSYTSV >ORUFI06G25390.1 pep chromosome:OR_W1943:6:24257842:24264191:-1 gene:ORUFI06G25390 transcript:ORUFI06G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDRATTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGNDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDQLWDFEPKKLGVGDAITGGDLYATVFENTLMKHHVALPPGSMGKISYIAPAGQYSLQTWPVRSPRPVSSKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSEAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPDRTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDQDFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQAVERAANADGQKITYSVIKHRMGDLFYRLVSQKFEDPAEGEDVLVAKFQKLYDDLTTGFRNLEDEAR >ORUFI06G25400.1 pep chromosome:OR_W1943:6:24265520:24270854:1 gene:ORUFI06G25400 transcript:ORUFI06G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRRGRRAPPPRTTYGATREDATRPRALESFRASESQIGTPVECQSAASSAAAAATSIHATKTKRLGLHKVGALVNY >ORUFI06G25410.1 pep chromosome:OR_W1943:6:24272177:24273636:-1 gene:ORUFI06G25410 transcript:ORUFI06G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKCPSELQLEAFIREEAGAGDRKPGVLSPGDGARKSGLFSPGDGEMSVLDQSTLDGSGGGHQLWWPESVRTPPRAAAAFSATADERTPASISDDPKPTTSANHAPESDSDSDCDSLLEAERSPRLRGTKSTETKRIRRMVSNRESARRSRRRKQAQLSELESQVEQLKGENSSLFKQLTESSQQFNTAVTDNRILKSDVEALRVKVKMAEDMVARAAMSCGLGQLGLAPLLSSRKMCQALDMLSLPRNDACGFKGLNLGRQVQNSPVQSAASLESLDNRISSEVTSCSADVWP >ORUFI06G25420.1 pep chromosome:OR_W1943:6:24275419:24275775:-1 gene:ORUFI06G25420 transcript:ORUFI06G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFLLATAVAALLVIGSYATELTFKVGPGSSTTSLNLITNVAISEVEVKEKGAGDWTGLKESSANTWTLKTGAKLKGPFSVRFLVKNGGYRVVDDVIPEAFKVGSVYKSGIQLK >ORUFI06G25430.1 pep chromosome:OR_W1943:6:24278531:24278887:-1 gene:ORUFI06G25430 transcript:ORUFI06G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSLMLVTAVAALLVIGSSGTEVTFKIGESSTTSTLELITNVAISEVEIKEKGGSDWRGLKESSANTWKIKSDAPLKGPLSVRFLVKNGGYRVVDDVIPETFKAGSVYKSGIQVQ >ORUFI06G25440.1 pep chromosome:OR_W1943:6:24293191:24304589:-1 gene:ORUFI06G25440 transcript:ORUFI06G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFRLAVAVAALLVVGSCATEVTFKVGEGSSGKSLELVTNVAISEVEIKEKGGKDWVALKESSTNTWSLKSEAALKGPFSVRFLVKNGGYRVVDDPPVGTLRLPWGRSRFSIPDVEPLVVKLGINQTQGRSAAPGTLRLDAYSGRSFTIPSTSTARAQLALGLCVILAAPSPLTVIGVTLPPH >ORUFI06G25450.1 pep chromosome:OR_W1943:6:24306428:24310063:-1 gene:ORUFI06G25450 transcript:ORUFI06G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAAVRLLLLQAAAALALAAAAAAGGPEAATLLAFRGALRGPHGAPPEPLSQWATTTPGPCAGAGTGVSLWYGVTCHQRTGQVRGLRLEYLGLQGPAPDMAPLAALRGLRALSIANNNLTGPFPDVSMLPALKMLYMSRNKLDGGIPPAAFAHMRGLRKLFLSDNAFTGPIPTSITSPKLLVLQLSKNRFDGPLPDFNQKELRLVDVSDNNLSGPIPPGLRRFDAKSFQGNKNLCGPPVGAPCPEVPILASPSPSPLSSSWWSPRSLKILMIIALVVVVVGALLAFAGALTAMLARRREATTETQGGGVGGAAANADAARMKATPNPAVTVAHGGGGGGGEQQPHVTVSAVPAKRGGRRDDHGRLVFIQEGRERFELEDLLRASAEVLGSGNFGASYKATLVEGQSMVVKRFKEMNGVGRQDFNEHMRRLGRLVHPNLLPVVAYLYKKDEKLFVTEYMVNGSLAHLLHGGSSMAALDWPRRLKIIKGVTRGLAHLYDELPMLTVPHGHLKSSNVLLDAAFEPILSDYALVPVMTPRHAAQVMVAYKSPECGETGRPSKKSDVWSLGILILEVLTGKFPANYHRQGRTGTDLAGWVHSVVREEWTGEVFDQEMRGARGGEGEMVKLLKVGLGCCESDVDKRWDLRDALARIEELRERDAGAGADDSSAASSVASGGGGGEASRSHSS >ORUFI06G25460.1 pep chromosome:OR_W1943:6:24312800:24316440:-1 gene:ORUFI06G25460 transcript:ORUFI06G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKLRPLMATAAAAAAAAAKPAPALSFSTAAAEGDEHAHYNHTDACHHLRWTAKESYEYMYARPWSRVVDFYAELVRTGAGAAGLADLFGKDENGYSLDTNGEDYTAPSENQTSTKSSKDRGGRWERVTFKIVLSYHGGSFDGWQKQPGLNTVQGLVEKHLGQFVDEKKAKQLEARSLPLEGCAVVAGRTDKGVTALQQKHLNKKDVKSSDIKDAINKAAPDKLRPLHVSQVSREFHPNFAAKWRRYLYIFPLDEDVKLISGEEQSAKTVEGPECNIKPQSFDVGKVDKIIRQLEGKSLSYKMFARDTQASRSVGPPTECFMFHSRAAVAKLHTADENCEEGTTRAICIELVANRFLRKMVRVLVATAIREAAAGAEEDALLNLMEATCRRATAPPAPPDGLCLVDVGYEDFNRQRCFIVD >ORUFI06G25460.2 pep chromosome:OR_W1943:6:24312197:24316440:-1 gene:ORUFI06G25460 transcript:ORUFI06G25460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKLRPLMATAAAAAAAAAKPAPALSFSTAAAEGDEHAHYNHTDACHHLRWTAKESYEYMYARPWSRVVDFYAELVRTGAGAAGLADLFGKDENGYSLDTNGEDYTAPSENQTSTKSSKDRGGRWERVTFKIVLSYHGGSFDGWQKQPGLNTVQGLVEKHLGQFVDEKKAKQLEARSLPLEGCAVVAGRTDKGVTALQQDVKSSDIKDAINKAAPDKLRPLHVSQDVKLISGEEQSAKTVEGPECNIKPQSFDVGKVDKIIRQLEGKSLSYKMFARDTQASRSVGPPTECFMFHSRAAVAKLHTADENCEEGTTRAICIELVANRFLRKMVRVLVATAIREAAAGAEEDALLNLMEATCRRATAPPAPPDGLCLVDVGYEDFNRQSNNIIYNYC >ORUFI06G25460.3 pep chromosome:OR_W1943:6:24312197:24316440:-1 gene:ORUFI06G25460 transcript:ORUFI06G25460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKLRPLMATAAAAAAAAAKPAPALSFSTAAAEGDEHAHYNHTDACHHLRWTAKESYEYMYARPWSRVVDFYAELVRTGAGAAGLADLFGKDENGYSLDTNGEDYTAPSENQTSTKSSKDRGGRWERVTFKIVLSYHGGSFDGWQKQPGLNTVQGLVEKHLGQFVDEKKAKQLEARSLPLEGCAVVAGRTDKGDVKSSDIKDAINKAAPDKLRPLHVSQVSREFHPNFAAKWRRYLYIFPLDEDVKLISGEEQSAKTVEGPECNIKPQSFDVGKVDKIIRQLEGKSLSYKMFARDTQASRSVGPPTECFMFHSRAAVAKLHTADENCEEGTTRAICIELVANRFLRKMVRVLVATAIREAAAGAEEDALLNLMEATCRRATAPPAPPDGLCLVDVGYEDFNRQSNNIIYNYC >ORUFI06G25460.4 pep chromosome:OR_W1943:6:24312197:24316440:-1 gene:ORUFI06G25460 transcript:ORUFI06G25460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKLRPLMATAAAAAAAAAKPAPALSFSTAAAEGDEHAHYNHTDACHHLRWTAKESYEYMYARPWSRVVDFYAELVRTGAGAAGLADLFGKDENGYSLDTNGEDYTAPSENQTSTKSSKDRGGRWERVTFKIVLSYHGGSFDGWQKQPGLNTVQGLVEKHLGQFVDEKKAKQLEARSLPLEGCAVVAGRTDKGVTALQQDVKSSDIKDAINKAAPDKLRPLHVSQVSREFHPNFAAKWRRYLYIFPLDEDVKLISGEEQSAKTVEGPECNIKPQSFDVGKVDKIIRQLEGKSLSYKMFARDTQASRSVGPPTECFMFHSRAAVAKLHTADENCEEGTTRAICIELVANRFLRKMVRVLVATAIREAAAGAEEDALLNLMEATCRRATAPPAPPDGLCLVDVGYEDFNRQSNNIIYNYC >ORUFI06G25460.5 pep chromosome:OR_W1943:6:24312800:24316440:-1 gene:ORUFI06G25460 transcript:ORUFI06G25460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKLRPLMATAAAAAAAAAKPAPALSFSTAAAEGDEHAHYNHTDACHHLRWTAKESYEYMYARPWSRVVDFYAELVRTGAGAAGLADLFGKDENGYSLDTNGEDYTAPSENQTSTKSSKDRGGRWERVTFKIVLSYHGGSFDGWQKQPGLNTVQGLVEKHLGQFVDEKKAKQLEARSLPLEGCAVVAGRTDKGVTALQQDVKSSDIKDAINKAAPDKLRPLHVSQVSREFHPNFAAKWRRYLYIFPLDEDVKLISGEEQSAKTVEGPECNIKPQSFDVGKVDKIIRQLEGKSLSYKMFARDTQASRSVGPPTECFMFHSRAAVAKLHTADENCEEGTTRAICIELVANRFLRKMVRVLVATAIREAAAGAEEDALLNLMEATCRRATAPPAPPDGLCLVDVGYEDFNRQRCFIVD >ORUFI06G25470.1 pep chromosome:OR_W1943:6:24317299:24320427:1 gene:ORUFI06G25470 transcript:ORUFI06G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARYLIFRNIIRLTGAMLIGKPYGRNNARLRPAEFEESWPAPCLPTTDVLDSPSVPATASQLCVSVFVYWDLRMGTMIQMAHLAWKMKLYINIINNKSMMYLLENNADFVHLNEPQDVEKLFVS >ORUFI06G25470.2 pep chromosome:OR_W1943:6:24317561:24320427:1 gene:ORUFI06G25470 transcript:ORUFI06G25470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIIRLTGAMLIGKPYGRNNARLRPAEFEESWPAPCLPTTDVLDSPSVPATASQLCVSVFVYWDLRMGTMIQMAHLAWKMKLYINIINNKSMMYLLENNADFVHLNEPQDVEKLFVS >ORUFI06G25470.3 pep chromosome:OR_W1943:6:24317299:24320427:1 gene:ORUFI06G25470 transcript:ORUFI06G25470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALARKPYGRNNARLRPAEFEESWPAPCLPTTDVLDSPSVPATASQLCVSVFVYWDLRMGTMIQMAHLAWKMKLYINIINNKSMMYLLENNADFVHLNEPQDVEKLFVS >ORUFI06G25470.4 pep chromosome:OR_W1943:6:24317561:24320605:1 gene:ORUFI06G25470 transcript:ORUFI06G25470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIIRLTGAMLIGKPYGRNNARLRPAEFEESWPAPCLPTTDVLDSPSVPATASQLCVSVFVYWDLRMGTMIQMAHLAWKMKLYINIINNKSMMYLLENNVKAD >ORUFI06G25480.1 pep chromosome:OR_W1943:6:24333153:24346399:1 gene:ORUFI06G25480 transcript:ORUFI06G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVSGLIRVSMPKLISMSGGKYKLYKDFKRDMKFLVRELDMINAAISDVQAGGDHRGAVLQVTVEELRDFAHAIEDCIDKIMYRATRKQQSSMLDRSIGFPKTVYTKLQLAHEMQRLKKQAEEAKKRTERYTIAIAQPSPATHGEEFSDPRIINANLIGVEVPLLDLREQLAEAEGGEGKLKVISIVGFSGSGKTALAAKLYNQETGNNLTESNFYKHAWVCATHKNPKEILADLHQKLLSLKERNDANSCQGRMEVDITNIGQLCVAIKEQLENKRYLIVIDDIRAEDHWSEIKAAFPAFQNVSSRIVVTTTIHSVATACSLSNDYQGYVLKMSRLSEDCSKQLFDEKACPKNYSQYKQPDSAAILKKCDGQPLALVRFGEYLRTNDWPTCNDICRLIQNYLGKKSCETMQRIMINNYTSLPDHALKVCFLYFCMFPFDHPISRKSLLCRWLAEGFLESLPPDSKLNAPDSNLNAAVAFDALVDRNIIHPINVSNNCNIKTCKTYGMMHEFLLHKAISENFVTLFCDKKFEPLWSGGRKKFEPKYVRRLSVHGNTAIDGDSSKIIDLSLVRSLTIFGKVDESVLDFSKYKLLRVLDLEKCDNLKNGHLKGIWNLLLLKYLNLGGKVTELASDIAQLKYLEALDLRRTEVDTVEVPVEVFQLPCLIHLFGKVKLRVPDKVQQKTEASEFLAKHNSKLETLAGFFTDGSDWYLHLMGFMNKLRKVKIWCKSSAGSTDMPDLKKAIQQFILDEEETDIGARTLSLHFIECSANFLNSLKGRFYLTSLKLHGNIPNLREFFSSLRGLVELCISSSNNCTMTTDVLEALSTLSDLKYLKLIAYEFDKFTIDDTMFPNLLRLCVELQCPTPTFPSIEHGALQYVDTLQVLCKDLNGPSDIRIERLINLKEVTIRGKVARETRNQWEKAGKEHPMRPKLLFPDSVHTAEYDPMDYCTASEQSQIVTTGSLVLSGEPEQEMGIETALNHGSESYSSVPKKQKICADQSGSNDYMDLAISDISHGALQSNIMDSGK >ORUFI06G25480.2 pep chromosome:OR_W1943:6:24340397:24341352:1 gene:ORUFI06G25480 transcript:ORUFI06G25480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRVGTTVVAVTASSALMLPLRRTGSPALSSPRFHRRRRRKLPGATTAASLPRPMWPSAGRIRLPGGRIRALQSNIMDSM >ORUFI06G25480.3 pep chromosome:OR_W1943:6:24333153:24340585:1 gene:ORUFI06G25480 transcript:ORUFI06G25480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVSGLIRVSMPKLISMSGGKYKLYKDFKRDMKFLVRELDMINAAISDVQAGGDHRGAVLQVTVEELRDFAHAIEDCIDKIMYRATRKQQSSMLDRSIGFPKTVYTKLQLAHEMQRLKKQAEEAKKRTERYTIAIAQPSPATHGEEFSDPRIINANLIGVEVPLLDLREQLAEAEGGEGKLKVISIVGFSGSGKTALAAKLYNQETGNNLTESNFYKHAWVCATHKNPKEILADLHQKLLSLKERNDANSCQGRMEVDITNIGQLCVAIKEQLENKRYLIVIDDIRAEDHWSEIKAAFPAFQNVSSRIVVTTTIHSVATACSLSNDYQGYVLKMSRLSEDCSKQLFDEKACPKNYSQYKQPDSAAILKKCDGQPLALVRFGEYLRTNDWPTCNDICRLIQNYLGKKSCETMQRIMINNYTSLPDHALKVCFLYFCMFPFDHPISRKSLLCRWLAEGFLESLPPDSKLNAPDSNLNAAVAFDALVDRNIIHPINVSNNCNIKTCKTYGMMHEFLLHKAISENFVTLFCDKKFEPLWSGGRKKFEPKYVRRLSVHGNTAIDGDSSKIIDLSLVRSLTIFGKVDESVLDFSKYKLLRVLDLEKCDNLKNGHLKGIWNLLLLKYLNLGGKVTELASDIAQLKYLEALDLRRTEVDTVEVPVEVFQLPCLIHLFGKVKLRVPDKVQQKTEASEFLAKHNSKLETLAGFFTDGSDWYLHLMGFMNKLRKVKIWCKSSAGSTDMPDLKKAIQQFILDEEETDIGARTLSLHFIECSANFLNSLKGRFYLTSLKLHGNIPNLREFFSSLRGLVELCISSSNNCTMTTDVLEALSTLSDLKYLKLIAYEFDKFTIDDTMFPNLLRLCVELQCPTPTFPSIEHGALQYVDTLQVLCKDLNGPSDIRIERLINLKEVTIRGKVARETRNQWEKAGKEHPMRPKLLFPDSVHTAEYDPMDYCTASEQSQIVTTGSLVLSGEPEQEMGIETALNHGSESYSSVPKKQKICADQSGSNDYMDLAISDISHENVYHHPHTDSANTLYQEKVNTGPAAAKCD >ORUFI06G25490.1 pep chromosome:OR_W1943:6:24346458:24352838:1 gene:ORUFI06G25490 transcript:ORUFI06G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVVMQAPMSCSLGALGPLLRKLDSLENRLPKPLKEGMNLLKEEVEEISADLVKMSSVDSPSYRARCWMEEVRDLSYHMEDCIDSMLLKRSDANAKMRCVYGSRVGRVKIGRRHPKKLKTSTRINKMTKITRIWKLMNLAWEAGERHWIAELRNLVREATKRRHKRYRPADGGASRPRLVLTAHGRVPGPYWVAANLVGVAGLRNLLWEARDRHTRYRLDDDYASSSLNDPLDTAELEKRVWEVRDRRHKRHRSDNDYASSSSAHISPVFGFVDSDLDLILEDVVRVEQNRYKRYRLDDGYDSSSVDVFPEDGWVHAPSRVGTTNLIGIYKPKAKLTNLVNDKTDLQLKVVCILGSAGVGKTTLAEQVYRQLRRQFECRALVRVSRRPDMRNLITTILSQVHPRLKISDSSTVQSLIDILKEYLQKKRYLIVIDELCEAETWNIVNRAFPDDNNCSRIMTTTEIKEVALNCRRNCSVNIFDMVPLGINNAKTLFFDRVFGPEQHCPNELYEVASRIAENCGGLPLSIISIAGLLASLPQEMKLWCKVDECLRSCVTTNTKVNGQILKESINLSYNGLPHHLKTCLLYLSFYPEGFISCTSDLLKQWVSEGFIPVIDGKDTGEVAESYFYELVNRGMIQGVSTKYNNQVLSCTLHRIVFDLIIQKSKEEKFITAIDYSKTMLQNSLEARRLLLHFSNTRYATEVEGITLSHVRSFAFFGLLKCMPSVMEFKLLRVLILDFWGDHNGRKSFNVAGICRLFQLRYLKISSSILIELPPQIGCLKYLETLDIDATVSAVPSDIVHLPGLLHLHLRDDTNLPDEIGRIRSLQTLKYFDLGNNSEENVRSLGELTNLRDLHLTCSTATSSEQAKKNLIVLVSCTGKLRNLKSIIFCPGVSSMDILFDCSSRMCPLPVSVWRLELLPPIFIFTRLSNWIGQLRELRTLKVVVRELVTNDIDSLAVLPHLTVLSLHIIKAPIGRVIFKREEFPLLKKLKFICGVLCLTFQAGAMPSLHRLKIGFNAHKGERYDNILVGSEHLLNLEFVTGQIGRAPEAQESDRTAAEAAFREANSKHPGFNGYLNMDIESMDWFKEEYWHPEIKESTNKKYWDSQKQYSDKNKQEYKGSTKNDAGRGLWTTEEDEKLAQYIQKNGHGSWRTLPKLAGLNRSSKSCRLRWTNYLRPDILRSDTFSQKQEQTIIRLHSLLGNKWSAIASQPAGEDGVRDPELLEHTHQESTHTDGLGPITHRPRTDFLARQPLLIGGAIVPFQLSAGALVQGAGGADVAIKESNEMPYQQYLFHNQQPLMSGATTISTAFGSSAARTTQTQIFTPPPESVSTTAMAGPHIWEELDDLSTLGL >ORUFI06G25500.1 pep chromosome:OR_W1943:6:24355620:24361011:-1 gene:ORUFI06G25500 transcript:ORUFI06G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWITPSLPTRRGHQINDRGGRCHPRATERRNPRERCVKSDASPPASLVLSRALAQALAAVAGAKARHATLLPLSLSPLSHSRSLLHPHVSSTEQETSIVLVAPTGEQRHRPRRAVGEPTASAPATSSGLVEQRERASPRQVATSPSSSGVRGNGIGTGGNDPDVQLPGPRASNGGICDFNMSWILRRRWRSSLPSSQIRLEEGVIVAEATLPPSLPPSQIWPEAAAALSHHELQRRRPHPPSTVSGGGGGALSHHERQRRRRQWLPPVDPMATVALAASRS >ORUFI06G25510.1 pep chromosome:OR_W1943:6:24359764:24364210:1 gene:ORUFI06G25510 transcript:ORUFI06G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDMRPEEGERRGNPTDELLTPYTSFTVPWLRRLQPWRARYSEGLAAMRQGQDTSLIWCPRRVGSDGVIHIGLLSSALPASQDHETASAGSARQNEYMSRCPAGRRQNMSTQAERPSTLTNQLREAANATVAIESTGGSH >ORUFI06G25510.2 pep chromosome:OR_W1943:6:24359764:24361926:1 gene:ORUFI06G25510 transcript:ORUFI06G25510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDMRPEEGERRGNPTDELLTPYTSFTVPWLRRLQPWRARYSEGLAAMRQGQDTSLIWCPRRVGSDGVIHIGLLSSALPASQDHETASAGSARQNEYMSRYLAMR >ORUFI06G25520.1 pep chromosome:OR_W1943:6:24361877:24364456:-1 gene:ORUFI06G25520 transcript:ORUFI06G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAVTVGDGDPAGGRSDSAGGSAPSPPSLPDLAGGRRCPLPPRAAATAPSPPSTVSGGGGALSHHERQRRRRQWLPPVDSMATFQKY >ORUFI06G25530.1 pep chromosome:OR_W1943:6:24365087:24368698:1 gene:ORUFI06G25530 transcript:ORUFI06G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGLASTPTSSAYPPRPWARCHRKLPAPVHLRLTISTFQSSMVSKTGEVSAFLFQPLNSEMKDIQIDRAGSFSHGAFE >ORUFI06G25530.2 pep chromosome:OR_W1943:6:24365087:24368698:1 gene:ORUFI06G25530 transcript:ORUFI06G25530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGLASTPTSSAYPPRPWARCHRKLPAPVHLRLTISTFQSSMVRPAQQGAGSFSHGAFE >ORUFI06G25540.1 pep chromosome:OR_W1943:6:24368904:24370585:-1 gene:ORUFI06G25540 transcript:ORUFI06G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASCLVPQNKGSRAGVTSTREQHRARNINSAGGPDWQAETSASSSSGRSDGLRTGDKQWPRRAAGEGLTTASSDLALIERRERQRHRHRWQRPRCAAAWASGKQRWHM >ORUFI06G25550.1 pep chromosome:OR_W1943:6:24368998:24379291:1 gene:ORUFI06G25550 transcript:ORUFI06G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARSLLAVVRPSPAARRGHCLSPVRRPSLLPLLDEADVSACQSGPPALLMFLALCCSRWDGLIADLALNILEANNEGFEP >ORUFI06G25560.1 pep chromosome:OR_W1943:6:24375037:24379613:-1 gene:ORUFI06G25560 transcript:ORUFI06G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSLEEHRLQGKTEAGGPDGLRKCKSDSKATASVLAPPKDVEDLQIEGYGNVNIFTYNELRAATKNFRPDQILGEGGFGVVYKGVIDENVRAGFPSTQVAVKELNPEGFQGDKEWLAEVNYLGQLSHPNLVELIGYCCEGSHRLLVYEYMACGSLEKHLFRRVCLNMPWSTRMKIALGAARGLEYLHGAERSIIYRDFKTSNILLDADYNAKLSDFGLARTGPSGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMIIGRRAVDKSRPSREHNLVEWARPLLVHNRKLFRIIDPRMEGQYSTKAAIEVAGLAYRCLSQNPKGRPTMSQVVETFEAVQNMPECQDILLQNCMTGAVTLYEVAKDPTESVEPEKIKQEQPAAKTVTVAPAPVNGKPVPQSRRTRPGNGRSKSEPSLECKLYIPSPDSDGQQPGLEALSSPSRDGSIKDPPDEDLYKI >ORUFI06G25570.1 pep chromosome:OR_W1943:6:24387156:24387512:-1 gene:ORUFI06G25570 transcript:ORUFI06G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFRLSVAVAALLVVGSCATEVTFKVGEGSSGKSLELVTNVAISEVEIKEKGGKDWVALKESSTNTWSLKSEAALKGPFSVRFLVKNGGYRVVDDVIPESFTAGSEYKSGINV >ORUFI06G25580.1 pep chromosome:OR_W1943:6:24393317:24395980:1 gene:ORUFI06G25580 transcript:ORUFI06G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGSRFQNMLGGAGIGGGIGCRGRQENEMNGFHNMPYYHKVGESSHMSVDNADNLNMMNFVGGSVAMSVDNSSVGSNESRTVMLKHPGLRDMPAPSYSVHNSVIHPNRAMAPTLNEDALARVLMDPSHPTEILSNYEEWTIDLGKLDMGAPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAQLMEQQFVQEVMMLSTLRHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLGLAVKQALDVARGMAYVHALRFIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNRGSRPAIPQDCVDSLSKIMTCCWDANPEVRPSFAEIVVMLENAEIEVMRNVRRARFRCCIAGPMTTD >ORUFI06G25580.2 pep chromosome:OR_W1943:6:24393986:24395980:1 gene:ORUFI06G25580 transcript:ORUFI06G25580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGSRFQNMLGGAGIGGGIGCRGRQENEMNGFHNMPYYHKVGESSHMSVDNADNLNMMNFVGGSVAMSVDNSSVGSNESRTVMLKHPGLRDMPAPSYSVHNSVIHPNRAMAPTLNEDALARVLMDPSHPTEILSNYEEWTIDLGKLDMGAPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAQLMEQQFVQEVMMLSTLRHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLGLAVKQALDVARGMAYVHALRFIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNRGSRPAIPQDCVDSLSKIMTCCWDANPEVRPSFAEIVVMLENAEIEVMRNVRRARFRCCIAGPMTTD >ORUFI06G25590.1 pep chromosome:OR_W1943:6:24396993:24400252:-1 gene:ORUFI06G25590 transcript:ORUFI06G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNPVSSTTSSSLLWDWDATASAEPPPPPGKRGGRDSSSASASAKRGRSAAAAGDAAAVAAEAPRCQVEGCGLELGGYKEYYRKHRVCEPHTKCLRVVVAGQDRRFCQQCSRFHAPSEFDQEKRSCRRRLSDHNARRRKPQTDVFAFGSGTLPRSLFDDRQQISFAWDNNAPLNHANTTSSSSWTSDLQLSQVMDISKRSRKAGADSANIRLSNALPTLCHDTNELLPIKGADASETASKLDGALDVQRALSLLSASSRGLTDPGHQTSSIIQFTNSNQNSTLPSVPSEGNSNVPFWVDGQHQAVEPQVFQFTMDTGNTVFPDLERIKPSYESSMFGLNQIH >ORUFI06G25600.1 pep chromosome:OR_W1943:6:24405605:24409835:-1 gene:ORUFI06G25600 transcript:ORUFI06G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTPRFSGGGDDCELMQQKQEWAKTQDMLKSKLILEDDFGWNLPSMGSNSDQSNANNKGNLKYIGGVDISFSKEDPSTACAALVVLDAGTLEVVHEEFDVVRLQVPYIPGFLAFREAPILLGLLEKMKTNNQHFYPQVLMVDGNGLLHPRGFGLACHLGVLADLPTIGVGKNLHHVDGLNQSELRRQLEAKENCNKELILLTGQSGTTWGAAMCSCPSLSKPIYISVGHRISLDSAIGIVKFCSNYRIPEPIRQKSIQQWVAINSEMAATFSSVMWKILVIAVAIAALLIPSGEGKFVCRGKCEDIRDCDNWCKTAGGYPQGGQCVPPLYQFCCCIE >ORUFI06G25600.2 pep chromosome:OR_W1943:6:24405607:24409359:-1 gene:ORUFI06G25600 transcript:ORUFI06G25600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTPRFSGGGDDCELMQQKQEWAKTQDMLKSKLILEDDFGWNLPSMGSNSDQSNANNKGNLKYIGGVDISFSKEDPSTACAALVVLDAGTLEVVHEEFDVVRLQVPYIPGFLAFREAPILLGLLEKMKTNNQHFYPQVLMVDGNGLLHPRGFGLACHLGVLADLPTIGVGKNLHHVDGLNQSELRRQLEAKENCNKELILLTGQSGTTWGAAMCSCPSLSKPIYISVGHRISLDSAIGIVKFCSNYRIPEPIRQKSIQQWVAINSEMAATFSSVMWKILVIAVAIAALLIPSGEGKFVCRGKCEDIRDCDNWCKTAGGYPQGGQCVPPLYQFCCCIE >ORUFI06G25600.3 pep chromosome:OR_W1943:6:24405605:24409835:-1 gene:ORUFI06G25600 transcript:ORUFI06G25600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTPRFSGGGDDCELMQQKQEWAKTQDMLKSKLILEDDFGWNLPSMGSNSDQSNANNKGNLKYIGGVDISFSKEDPSTACAALVVLDAGTLEVVHEEFDVVRLQVPYIPGFLAFREAPILLGLLEKMKTNNQHFYPQLHHVDGLNQSELRRQLEAKENCNKELILLTGQSGTTWGAAMCSCPSLSKPIYISVGHRISLDSAIGIVKFCSNYRIPEPIRQKSIQQWVAINSEMAATFSSVMWKILVIAVAIAALLIPSGEGKFVCRGKCEDIRDCDNWCKTAGGYPQGGQCVPPLYQFCCCIE >ORUFI06G25610.1 pep chromosome:OR_W1943:6:24412012:24413206:-1 gene:ORUFI06G25610 transcript:ORUFI06G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIDPPAAAAATASPLSRLLLSLPNPSVKLPVSSPSRNAGAAVAAKNSTPVVALRRREAVAAVLSASILSRVLPAAAEASGGECPLEVAPNGLAFCDRVVGTGAAAEQGQLIKVSTTARTCPPTGRRRRGEAHYTGRLEDGTVFDSSYKRGKPLTFRVGVGEVIKGWDQGIVGGEGIPPMLAGGKRSLRLPPELAYGARGAGCRGWEPTSCVIPPNSTLLFDVEYVGRAVG >ORUFI06G25620.1 pep chromosome:OR_W1943:6:24421555:24427762:1 gene:ORUFI06G25620 transcript:ORUFI06G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMASNEEVGNEDGRQEDLLVKEGPGEHQENTIRDSDEAKNEDCSNSGSVTEAVVSSEEPSDRSSTEEPSDRSSTEEPSDSSSGGDSSSQSADSDGGSKEAPKMDSKAGNDDSSECTDQSSPRAVLDISVSGSVDSDESSSVEQPAESNHNTQWRNLISGLILRRRKSMARAGTFPQRTKTTGLKRYLERMRSGKNQIDCGAIAPEILPEISKWRPSWRSFDYSELCAATDKFSSENLIGKGGHAEVYKGHLADGQFVAVKRLTKGGNKEDRISDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGTKGALKWKARFNIALGIAEGLLYLHEGCHRRIIHRDIKASNILLTEDYQPQISDFGLAKWLPDKWTHHVVFPIEGTFGYMSPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLAKPLLDSNNMKELVDPSLDVGYDPEEMAHILAVASMCIHHSSSSRPSMKSVVRFLKGDRESLEMMQMQRPKLMKPLMFDSGDSEDYTRSSYLNDLDRHKKLALEQ >ORUFI06G25620.2 pep chromosome:OR_W1943:6:24421555:24427455:1 gene:ORUFI06G25620 transcript:ORUFI06G25620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMASNEEVGNEDGRQEDLLVKEGPGEHQENTIRDSDEAKNEDCSNSGSVTEAVVSSEEPSDRSSTEEPSDRSSTEEPSDSSSGGDSSSQSADSDGGSKEAPKMDSKAGNDDSSECTDQSSPRAVLDISVSGSVDSDESSSVEQPAESNHNTQWRNLISGLILRRRKSMARAGTFPQRTKTTGLKRYLERMRSGKNQIDCGAIAPEILPEISKWRPSWRSFDYSELCAATDKFSSENLIGKGGHAEVYKGHLADGQFVAVKRLTKGGNKEDRISDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGTKGALKWKARFNIALGIAEGLLYLHEGCHRRIIHRDIKASNILLTEDYQPQISDFGLAKWLPDKWTHHVVFPIEGTFGYMSPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLAKPLLDSNNMKELVDPSLDVGYDPEEMAHILAVASMCIHHSSSSRPSMKSVVRFLKGDRESLEMMQMQRPKLMKPLMFDSGDSEDYTRSSYLNDLDRHKKLALEQLHLYSTVPFFVAAVGSPSCPADSGAAGGGSPVAWKPLRRTSRRGAAGKSALVNPAWLHRFPWTGLARRGSPEVRRRVE >ORUFI06G25620.3 pep chromosome:OR_W1943:6:24422553:24427762:1 gene:ORUFI06G25620 transcript:ORUFI06G25620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEGILLFSLKYSVSRIQVCSSFFFLLLLSSLFFFCPSWGRERERERERERERKKKLLVMTKLKEYLVIESAEVGNEDGRQEDLLVKEGPGEHQENTIRDSDEAKNEDCSNSGSVTEAVVSSEEPSDRSSTEEPSDRSSTEEPSDSSSGGDSSSQSADSDGGSKEAPKMDSKAGNDDSSECTDQSSPRAVLDISVSGSVDSDESSSVEQPAESNHNTQWRNLISGLILRRRKSMARAGTFPQRTKTTGLKRYLERMRSGKNQIDCGAIAPEILPEISKWRPSWRSFDYSELCAATDKFSSENLIGKGGHAEVYKGHLADGQFVAVKRLTKGGNKEDRISDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGTKGALKWKARFNIALGIAEGLLYLHEGCHRRIIHRDIKASNILLTEDYQPQISDFGLAKWLPDKWTHHVVFPIEGTFGYMSPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLAKPLLDSNNMKELVDPSLDVGYDPEEMAHILAVASMCIHHSSSSRPSMKSVVRFLKGDRESLEMMQMQRPKLMKPLMFDSGDSEDYTRSSYLNDLDRHKKLALEQ >ORUFI06G25620.4 pep chromosome:OR_W1943:6:24422712:24427264:1 gene:ORUFI06G25620 transcript:ORUFI06G25620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLKEYLVIESAEVGNEDGRQEDLLVKEGPGEHQENTIRDSDEAKNEDCSNSGSVTEAVVSSEEPSDRSSTEEPSDRSSTEEPSDSSSGGDSSSQSADSDGGSKEAPKMDSKAGNDDSSECTDQSSPRAVLDISVSGSVDSDESSSVEQPAESNHNTQWRNLISGLILRRRKSMARAGTFPQRTKTTGLKRYLERMRSGKNQIDCGAIAPEILPEISKWRPSWRSFDYSELCAATDKFSSENLIGKGGHAEVYKGHLADGQFVAVKRLTKGGNKEDRISDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGTKGALKWKARFNIALGIAEGLLYLHEGCHRRIIHRDIKASNILLTEDYQPQISDFGLAKWLPDKWTHHVVFPIEGTFGYMSPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLAKPLLDSNNMKELVDPSLDVGYDPEEMAHILAVASMCIHHSSSSRPSMKSVVRFLKGDRESLEMMQMQRPKLMKPLMFDSGDSEDYTRSSYLNDLDRHKKLALEQ >ORUFI06G25630.1 pep chromosome:OR_W1943:6:24424004:24427548:-1 gene:ORUFI06G25630 transcript:ORUFI06G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLGPIFARLTLQLFAFCISILLLPFLSPLLHTAADLRRTPPREAGPRESMQPCRVNERTLTCCASPARPPERLPGDGGASACCAGVRGAAGGSDGGDKKRYSGVQSKSTQVSLLQGKLLVPVEIVEVPPLRIVIRLRWLLNGGALIGVDTTGYRDV >ORUFI06G25630.2 pep chromosome:OR_W1943:6:24426981:24427548:-1 gene:ORUFI06G25630 transcript:ORUFI06G25630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLGPIFARLTLQLFAFCISILLLPFLSPLLHTAADLRRTPPREAGPRESMQPCRVNERTLTCCASPARPPERLPGDGGASACCAGVRGAAGGSDGGDKKRLLDWI >ORUFI06G25640.1 pep chromosome:OR_W1943:6:24429036:24437107:-1 gene:ORUFI06G25640 transcript:ORUFI06G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSAAAGRDALLDELRALMAAHSPSLHALVVPSEDAHQSEYVSERDKRRQFVSGFTGSAGLALITMKEALLWTDGRYFLQAEQQLTNRWKLMRMGEDPPVEVWIADNLSDEAVIGINPWCISVDTAQRYEHAFSKKHQTLFQLSSDLVDEIWKDRPPVNALPVFVQPVEYAGCSVTEKLKELREKLQHEKARGIIIAALDEVAWLYNIRGNDVHYSPVVHSYSIVTLHSAFFYVDKRKVSVEVQNYMTENGIDIKDYNMVQSDASLLASGQLKGSAVNGSSHGENDMNENSKVWIDSNSCCLALYSKLDQYQVLMLQSPIALPKAVKNPVELDGLRKAHIRDGAAVVQYLAWLDKQMQENYGASGYFTEAKGSQKKEHMNVKLTEVSVSDKLEGFRASKEHFKGLSFPTISSVGPNAAVIHYKPEASSCAELDADKIYLCDSGAQYLDGTTDITRTVHFGKPSEHEKSCYTAVLKGHIALDTAVFPNGTTGHAIDILARTPLWRSGLDYRHGTGHGIGSYLNVHEGPHLISFRPSARNVPLQASMTVTDEPGYYEDGSFGIRLENVLIVKEANTKFNFGDKGYLAFEHITWTPYQTKLIDTTLLTPAEIEWVNAYHSDCRKILQPYLNEQEKEWLRKATEPIAASC >ORUFI06G25650.1 pep chromosome:OR_W1943:6:24438567:24440503:-1 gene:ORUFI06G25650 transcript:ORUFI06G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSLSLLPLHRAPLPPTSNYPPPPPPSRALLLPLLRHSPLARRSPVSRARAVAADGMAAATAAAETPPTLLEYMGQAGAADDLVVLVAHVQSACKRIAALVASPGNAELSRGKAGGGVAVAAGRDAPKPLDELSNEIILSSLRRSGKVAVMASEENHLPIWVSNDSPYVVVTDPLDGSRNIEVSIPTGTIFGIYNRLAELDHLPEEERAQLNSLQSGTHLVASGYVLYSSATIFCISFGAGTHGFTLDHLTGEFVLTHPSIQIPPRGQIYSVNDARYFDWPEGLRKYIDTIRQGKGQHPKKYSARGSDGKSRILSIQPVKLHQRLPLFLGGMEDMLELESYGDVQQKVNPGYEV >ORUFI06G25650.2 pep chromosome:OR_W1943:6:24438567:24440503:-1 gene:ORUFI06G25650 transcript:ORUFI06G25650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSLSLLPLHRAPLPPTSNYPPPPPPSRALLLPLLRHSPLARRSPVSRARAVAADGMAAATAAAETPPTLLEYMGQAGAADDLVVLVAHVQSACKRIAALVASPGNAELSRGKAGGGVAVAAGRDAPKPLDELSNEIILSSLRRSGKVAVMASEENHLPIWVSNDSPYVVVTDPLDGSRNIEVSIPTGTIFGIYNRLAELDHLPEEERAQLNSLQSGTHLVASGYVLYSSATIFCISFGAGTHGFTLDHLTGEFVLTHPSIQIPPRGQIYSVNDARYFDWPEGLRKYIDTIRQGKGQHPKKYSARYVCSLVADFHRTLIYGGVAMNPRDHLRLVYEANPLSFLAEQAGGRGSDGKSRILSIQPVKLHQRLPLFLGGMEDMLELESYGDVQQKVNPGYEV >ORUFI06G25660.1 pep chromosome:OR_W1943:6:24442640:24450585:1 gene:ORUFI06G25660 transcript:ORUFI06G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAPWLAGIGAVPFLIVVVVAVVGAAVPAMGRFVVEKSSVRVLSPEHIRGHHDAAIGNFGVPDYGGTLTGVVVYPDKKATGCAEFDAKFKSKSRRPVILLLDRGECYFALKAWNAQQAGAAAVLIADNVDEQLLTMDTPEESPETEYIDRISIPSALVNRAFGESLKRMSSPSPSSEAAVEVVVKLDWRESMPHPDERVEYELWTNSNDECGARCDEQMEFVRGFRGHAQIMERGGYALFTPHYITWYCAPDPEQDFGEGYEGKDVVVENLRQLCVHRVANETGRPWAWWDYVMDYKIRCSMKEKKYSKGCAEDVVKALGENEIHGLSLDKVLECMGDPEADTDNAVLAKEQEDQIGRGSRGDVTILPTLVINNVQYRGKLERTAVLKAVCAGFKEGTEPRVCLSNDIETNECLHRNGGCWRDEKTNVTACKDTFRGRVCECPVVNGVQYEGDGCWARQVYGGQRRLLDTALTGCRCPPGFQGDGHKCEDLDECKEKLACTCPNCHCKNTWGNYECKCKGNQIYIRGEDTCIANSMSRFGWFITILVASCVAGVGIAGYVFYKYRLRSYMDSEIMAIMSQYMPLDSQNNENQPLRQHDSEA >ORUFI06G25670.1 pep chromosome:OR_W1943:6:24451059:24454240:-1 gene:ORUFI06G25670 transcript:ORUFI06G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIGAAAAAARRHAHLPTSYSAAFSSFSGIGGGAGRGRGRGLPPSATPPRAPGSPVPDDDDGGGADPFSSPAPIGRGRGEAVIPSVSSPPLPGAGRGRGSPPPLGEVAPKQPVPAKLFDAPAAEASSSEPPPPPPPRTLPSAGAGRGVPRMQQPPVEMPQEENRFIRRREEKKKAASAARPAPSGQPKLSPEDAVKRAMELLGGGGDDDGGRGGRGRGARGRERGRGRGRDGGRGRRSADMEEKHGIYLGDNADGDRLQKRLGEDKMKIFNEAFDEAADNALPDPKQDAYLEACHTNNMIEFEPEYHVNFNNPDIEEKPPMSLEDMLQKVKPFIVAYEGIQNQEEWEEAVKDVMARAPHMKELIDMYSGPDVVTAKQQEEELQRVANTLPGNIPSSVKRFTDKTLLSLKNNPGWGFDKKCQFMDKFAREVSELYK >ORUFI06G25680.1 pep chromosome:OR_W1943:6:24467336:24470021:1 gene:ORUFI06G25680 transcript:ORUFI06G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSSPSPSPSSSKKQQQLSGGVGVGGAAAAASSNDRPPLCVQGDSGLVLTTDPKPRLRWTVELHDRFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQHKDFNDHSVKDAMDMQRNAASSSGIMGRSMNDRSVHVNEALRMKMEVQRRFHEQLEVQKHLQMRVEAQGKYMQTILEKAYQAISSSGDCATWHAGYKSLGSQAVLDIGSSMSFPSLQDDLQLYGGSHLDHLHQQHEQMEIRPSIDTFLAFNYSSSTGKSPMVWPGADDGGGEPAKISGDHQLQMAAPATTTMMMEAITMSGGDSMGSKGFEGQMSSKLDMRSPPPQQTVLPVGSERMSSPIVGAKARNISYG >ORUFI06G25690.1 pep chromosome:OR_W1943:6:24472572:24473480:1 gene:ORUFI06G25690 transcript:ORUFI06G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQWNAAPSSGTNSDRNVHVNGAEKKAQSQEQQEAEKHLQMRVEAQEKYMRSMMEKAHQALASGATWPAANEQAKISPPGRK >ORUFI06G25700.1 pep chromosome:OR_W1943:6:24476829:24482327:1 gene:ORUFI06G25700 transcript:ORUFI06G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHAAGGSTSNGGSGVDGDGGGGGGAARRNTKMPKYSKFTQQELPACKPILTPKWVVSVFFLVGVIFVPVGVVSLLAAQNVVEIVDRYDDACVPANMTDNKLAYIQNPNISKECTRTLTITEDMNQPIFVYYQLDNFYQNHRRYVKSRNDGQLRDAAKANQTSACEPEKTTADGKPIVPCGLIAWSLFNDTYSFTRGNENLTVDKKDISWKSDREHKFGKNVYPSNFQNGLLKGGGTLDPAIPLSEQEDLIVWMRTAALPTFRKLYGRIYVDLKKNDTITVKLSNNYNTYNFGGKKKLVLSTATWLGGKNDFLGFAYVIVGGVCFFLAFAFTLLYLIKPRKLGDHNYLSWNRHPGGR >ORUFI06G25710.1 pep chromosome:OR_W1943:6:24479193:24481796:-1 gene:ORUFI06G25710 transcript:ORUFI06G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISKLRLITVDVTGTLLAYKGQLGDYYCMAAKAAGKPCPDYQRMHEGFKLAYTEMARQYPCFGFAAKMPNIDWWRMCVKDSFVKAGYEYDEETFEKIFKRIYSSFGSSAPYSVFPDAQPFMRWLRGKGLTVGIVSNAEYRYKDVILPALGLNQGSEWDFGVFSGIVGVEKPDPSIYRIALEMAGKVAPEEALHIGDSMRKDYTPARSIGMHALLLDRFKTADAESWRKSGATVLPDLVAAQEWLSKNLKDEPVAAEQNGTYVTNLGFMRYSKVKANAKKKQTPPTITYANPRKSFFPPSQVAVERTSFFLPPKLYVL >ORUFI06G25720.1 pep chromosome:OR_W1943:6:24484064:24484906:-1 gene:ORUFI06G25720 transcript:ORUFI06G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIIKRYILLALILSIQCGGANGTSREYSPLPPFKDVVGPSTPVAADDVPPPPYCVYPPPPTKPALPAPLPPTPASPGDSPPSIAPAGNPPTPAQAGAPPPSIAPGTGSPPPATTTPPAPGAREAGVWCVANPTVASAVAQTAMDYACASGADCDMVAAPGAPCFLPDTLMAHASYAFNSYWQRTKVAGGTCDFAGAAMLITKDPSKYAAMSIVCIIGPRPSSAH >ORUFI06G25730.1 pep chromosome:OR_W1943:6:24484438:24486107:1 gene:ORUFI06G25730 transcript:ORUFI06G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHRPAPASVAGAIDGGESPGLAGVGGSGAGRAGLVGGGGYTQYGGGGTSSAATGVDGPTTSLNGGNVLAHVLAWSMRNSKKKKKKKKK >ORUFI06G25740.1 pep chromosome:OR_W1943:6:24490835:24492140:-1 gene:ORUFI06G25740 transcript:ORUFI06G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRVWRRLPQPLVDRVLACLPTPSFLRLRAACRRFYHLLFSSPFLHSHLLLSPHLPFFAFVVPAAGHLLLLDPTATASWSRLPLPLPPVAGGPAAFSPAAASAGLLAFLSDASGHKTLLLANPITRLLAALPISPTPRLSPTVGLAAGPTSIIAVVAGDDLVSPFAVKNISADTFVADAASVPPSGFWAPSSLLPRLSSLDPRAGMAFASGRFYCMSSSPFAVLVFDVAENVWSKVQPPMRRFLRSPALVELGGGREGAARVALVSAVEKSRLSVPRSVRLWTLRGGGGGGGGGAWTEVARMPPEVHAQFAAAEGGRGFECAAHGDYVVLAPRGPVAQAPTSALVFDSRRDEWRWAPPCPYVVVAHHGGAGAAGFRVFAYEPRLATPAIGLLDATAPVALHGMHDG >ORUFI06G25750.1 pep chromosome:OR_W1943:6:24503095:24503304:-1 gene:ORUFI06G25750 transcript:ORUFI06G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVEEDNKCGGKEEKRTLPPRTPSSSSLHLRMLLTLICSLPGGAALICTIEIAVQSLLADEGGEEGPT >ORUFI06G25760.1 pep chromosome:OR_W1943:6:24505278:24509324:-1 gene:ORUFI06G25760 transcript:ORUFI06G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLSYPRRHPSIHLLILSAYALFLLPILDGLELGGDGLYREILRDETVLRLKELGKISDGEGYLERTFLSPASIRASAVIISWMKDAGLTTWIDQMGNIHGRFEPTNSTKEALLIGSHMDTVIDAGMYDGALGIISAISALKVLKVTGRLQRLTRPVEVIAFSDEEGVRFQTTFLGSAAVAGTLPESILQVSDKSGTTVQDVLKLNSLEGTANALGEVRYSPESVGSYVEVHIEQGPVLEALRYPLGVVKGIAGQTRLKVIINGSQGHAGTVPMKLRRDPMVAAAELVLTLETLCKEPNKFLTYDEECGCFTEESLAGLVCTVGELLTWPSASNVIPGQVNFTVDIRAMDDKVRETIVTSFSRLVLQRCDDRLVDCAVEQKHAAAATPCDAELTSRLERATRSTISSMAAGVRRAGGETPVLMSGAGHDAMAMARLTKVGMLFVRCRGGVSHSPEESVMDDDVWAAGLALVNFIDQNAVDAAAATAAES >ORUFI06G25770.1 pep chromosome:OR_W1943:6:24528889:24534902:-1 gene:ORUFI06G25770 transcript:ORUFI06G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLSAVAGGGRPAAAGGGGDDMEDVRLLDSYDEEMGGGAAAAAAGEEEEAHVRVTGMTCSACTSAVEGAVSARRGVRRVAVSLLQNRAHVVFDPALLKVEDIIEAIEDAGFDAEIIPDTAISQPKAQKTLSAQFRIGGMTCANCVNSVEGILKRLSGVKGAVVALATSLGEVEYDPSVINKDEIVEAIEDAGFEAAFLQSSEQDKILLGLTGLHTERDVNVLHDILKKMIGLRQFDVNATVSEVEIIFDPEAVGLRSIVDAIETGSNGRLKAHVQNPYARGASNDAHEAAKMLHLLRSSLFLSFVVGKRFYIAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFHPPIYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPATALLLLKDKEGKYTEEREIDALLVQPGDILKVLPGSKVPADGGVVWGTSHVNESMITGESAPIPKEVSSAVIGGTMNLHGVLHIQANKVGSKTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSMITFLVWFLCGWVGAYPNSWISGTSNCFVFSLMFAIAVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVNYVIFDKTGTLTQGKAVVTTAKVFSGMDLGDFLTLVASAEASSEHPLAKAIVEYAFHFHFFGKLPTSKDGIEQRKEDRLSQLLLQVEDFSALPGKGVQCLINGKRVLVGNRTLVTENGVNVPPEAENFLVDLELNAKTGILVSYDDDFVGLMGITDPLKREAAVVVEGLKKMGVHPVMLTGDNWRTAKAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPVAAGALFPFTRLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTLLQITVE >ORUFI06G25770.2 pep chromosome:OR_W1943:6:24528891:24534902:-1 gene:ORUFI06G25770 transcript:ORUFI06G25770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLSAVAGGGRPAAAGGGGDDMEDVRLLDSYDEEMGGGAAAAAAGEEEEAHVRVTGMTCSACTSAVEGAVSARRGVRRVAVSLLQNRAHVVFDPALLKVEDIIEAIEDAGFDAEIIPDTAISQPKAQKTLSAQFRIGGMTCANCVNSVEGILKRLSGVKGAVVALATSLGEVEYDPSVINKDEIVEAIEDAGFEAAFLQSSEQDKILLGLTGLHTERDVNVLHDILKKMIGLRQFDVNATVSEVEIIFDPEAVGLRSIVDAIETGSNGRLKAHVQNPYARGASNDAHEAAKMLHLLRSSLFLSFVVGKRFYIAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFHPPIYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPATALLLLKDKEGKYTEEREIDALLVQPGDILKVLPGSKVPADGGVVWGTSHVNESMITGESAPIPKEVSSAVIGGTMNLHGVLHIQANKVGSKTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSMITFLVWFLCGWVGAYPNSWISGTSNCFVFSLMFAIAVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVNYVIFDKTGTLTQGKAVVTTAKVFSGMDLGDFLTLVASAEASSEHPLAKAIVEYAFHFHFFGKLPTSKDGIEQRKEDRLSQLLLQVEDFSALPGKGVQCLINGKRVLVGNRTLVTENGVNVPPEAENFLVDLELNAKTGILVSYDDDFVGLMGITDPLKREAAVVVEGLKKMGVHPVMLTGDNWRTAKAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPVAAGALFPFTRLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTLLQITVE >ORUFI06G25780.1 pep chromosome:OR_W1943:6:24541419:24544158:1 gene:ORUFI06G25780 transcript:ORUFI06G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHRLCRLPRLLPLAAAAAASKPYLPGKPSPAPPPPLSSPPPFPSLSCLFSTTPSSSGDSSMVVVGSAESFTSIMSKVEGLDHGLMDARDFLFAAEKLPAVFYYTAVWCGPCRAMAPVISKLSSRYPKIPIYKVDIDMDGVGSKLSDLKIFSVPTFHFYYQGRKTGEVVGANATKLESTMESLHKQL >ORUFI06G25790.1 pep chromosome:OR_W1943:6:24560111:24564515:1 gene:ORUFI06G25790 transcript:ORUFI06G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGGDLSNILYMSGGSSGEPDLAAGFPRFENLRVDGGGGGGGGLSDDDDDHQVTTPNSILEDPLHHHHHQYYGGGGSSNNGRSTSPAPTTADVPRKKKSGGGGGFFPQIVLSLNNRRKGAPHRSPLA >ORUFI06G25800.1 pep chromosome:OR_W1943:6:24597423:24598064:1 gene:ORUFI06G25800 transcript:ORUFI06G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSDTGSSLAQWAELYHDASAAHGGVVANGAAAAATSPASPAGSTGGSPTRAPGVEGPRVGKPARRRSRASRRAPVTLLNTDTTNFRAMVQQFTGIPAPPAGAFAGPGGVPVINFGSDYGFTGAVLPFSDHLQPRRPTFQDHQQLLRPQQQYTGAPFGYGNLQQAGGAGTGAGDMFSHALSSAEDRLLLQSLQSAQMPTSAANHSANGYFA >ORUFI06G25810.1 pep chromosome:OR_W1943:6:24601496:24602041:1 gene:ORUFI06G25810 transcript:ORUFI06G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSHMANCSNVSSPEQQPMPPSSAAASSPSPPQLDYDVVVILAAMLCALVCALGLNSMLQCVVRCTRRAVADPVGWVEHRRAGAGLKREDVVALPVATYVASPAPSVAGCAICLSDFADGERVRLLPACGHRFHVVCIDRWLLAHCSCPTCRRRPSPEADGHGAVVGEDHHHRLQVLTAA >ORUFI06G25820.1 pep chromosome:OR_W1943:6:24604175:24607888:-1 gene:ORUFI06G25820 transcript:ORUFI06G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVPLRASATPAIAGTGSGGGSRAADPVKVSCVRSKVTCGFPSVGASSSLASSVEPVRATATQAPLATHQSSSTEKTKVGINGFGRIGRLVLRIATNRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGTIKVVDESTLEINGKKISVTSKRDPSDIPWGNFGAEYVVESSGVFTTTEKASAHLKGGARKVVISAPSADAPMFVVGVNEKNYNPSMNVVSNASCTTNCLAPLAKIVHEEFGIAEGLMTTVHATTATQKTVDGPSMKDWRGGRGASQNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYEDVKAAIKEASEGSLKGILGYTDEDVVSNDFIGDTRSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIGHMALVNAKP >ORUFI06G25830.1 pep chromosome:OR_W1943:6:24611207:24612001:1 gene:ORUFI06G25830 transcript:ORUFI06G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALFSTIATSVESLRVTGANADVDGVAAEKKPPRNDRKRKRNKGASGRTYEETRARYPLLVEAVEALAAAGELGLPPPPHVRGHRLLLERVSEDDARRLERKLKVEELARGKFELRRRRLTAALKEALVKAGGRAPKLETIQEEEDDDDDDSHGDSKRRRKAAAAAEEEECGRGRRQYEEMRDRYPLLVAEVEALAAAGELALPPHVPGLRRLVELVGGCDARRLEDMLKNDALMKVVTNLQRRRLTVNLMSALIKTEEKHK >ORUFI06G25840.1 pep chromosome:OR_W1943:6:24616954:24617262:1 gene:ORUFI06G25840 transcript:ORUFI06G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGNASSSSTATGRGCGLALGRLVRKLRRQSRMMLSTATSSRPPAAARCQYDPLSYARNFDRSGLGDDGGDVSAQLYHRYTFASRFVLSSSSTAARRQPQ >ORUFI06G25850.1 pep chromosome:OR_W1943:6:24621683:24624394:-1 gene:ORUFI06G25850 transcript:ORUFI06G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSHHHLVAPPWSGTGSFACYHGEEGKRPHPDESAKIITSIKRLYDEAARRLPIDEITELVGCIFEGGHCLGLADPVGNIILNAIAHHASGRAAAAPHLALPREEGKSLWGILAARSYAGLVAFMSSYFRLGATSTSPPTIDLPLAVRLVLHDRRRRCQRPRLLPDGGKIKAALRVAALKAAHPALDELARLMTAQFPAGSELLTAGDVMDIKNLLGHQWPQVNIDFLRRPYGLGGGQDGTVTLRTTIGEDGRVALITIAADASRIDSPQLGYISDLTFDCETMEAKLSRRLAGVTRAADDGDEAAGAALNYDLSPCEHILSLKMCLLDAIHGFYIRALAVLPAGDGWTTTRRRGRFIRSLLAAGHCYGPLDPASNIILNTVWYDAAAPPPPDDEADLPGDIFDTDAMLRVECRSLDGLVAAVRAAAAAGKPISEHEAIEHLWSRQCDLTEILQNSSREKKRNPYAAAGEASDHPQSAMIGSFLVSLSGENLDCLRQWLKPARDFGSSGCVISDVDWEKLNTMIHGHQPIRGLKRKRSSSENPLNTQALSEISTERALFWSRSWYLQPFRQINFMLLFFFAGNFLLLNLFLYVSFLLKNCSTKSTIVVASNDPWSDAVVAEDNYRSAATAVADDPWSTAVTADNSWNAAVVAADNNSWSTVVASEDWWSAP >ORUFI06G25860.1 pep chromosome:OR_W1943:6:24625517:24628702:1 gene:ORUFI06G25860 transcript:ORUFI06G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPVGVAPVLLLLLLPLAAATAAAESDDRDALMAFKAGVTSDPTGVLRSWNETVHFCRWPGVNCTAGRVTSLDVSMGRLAGELSPAVANLTRLVVLNLTSNAFSGSIPGGLGRLRRMRYLSLCDNAFAGEIPDALRNCTALAVAYLNNNNLVGGVPRWLGALPNLAVLRLSHNSLSGRIPPSLANLTKIFRLELDQNLLEGSIPDGLSRLPALGMLALSQNSLAGEIPVGFFNMTSLRGLALADNAFRGELPGDAGARTPNLQYLFLGGNLLAGPISASLSNATALVALSLANNSFAGQVPGEIGTLCPLSLELSNNQLTATDDAGGGWEFMDNLTNCSALAEILLDGNKFAGVMPPSVVRLSPQLEALNLAGNRISGVIPPEIESLVGLQTLCLQSNLFSGEIPEAIGKLKNLRELLLEQNELAGPVPSAIGDLTQLLKLDLSGNSLNGSIPPSLGNLHQLTLLNLSGNELTGHVPSELFTLSSLSLLMDLSDNQLDGPIPPDVGQLTKLAFMALSGNRFSGEVPTELESCQSLEFLDLARNVFVGSIPPSLSGLKGLRRLNLTGNRLSGSIPPELGGMPGLQELYLSRNDLSGGIPASLETMSSLMELDVSYNRLAGQVPVHGVFANTTGLRIAGNTALCGGAARLRLPPCPAPGNSTRRAHLFLKIALPVVAAALCFAVMFALLRWRRKIRSSRTGNAAARSVLNGNYYPRVTYAELAKATDDFADANLVGAGKYGSVYRGTLSLKTKGEFAREDAVVAVKVLDLRQVGASKTFMAECEALRSVKHRNLINIVTCCSSIDMEGNEFRALVFDFMPNYSLDRWLHRAKHTETGKWCGGAGGLGVIQRLDVAVDIADALNYLHNSCNPPIIHCDLKPSNVLLGEDMTACIGDFGLAKLLLDPASHGAAAANTESTIGIRGTIGYVAPEYGTTGMVTASGDVYSFGITLLEIFSGKAPTDGELRDGLTLPEFVAGAFPDNIEEILDVALLLQAEELDGAASSTTSEEESEARVTVRDCLASAIRVGLSCSRRAPYERMAMSVAADEMRLIRDACLRACGK >ORUFI06G25870.1 pep chromosome:OR_W1943:6:24634589:24635341:1 gene:ORUFI06G25870 transcript:ORUFI06G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSQPQPAIGVVAGGSQVYPAYRPAATVPTAPAVIPAGSQPAPSFPANPDQLSAQHQLVYQQAQQFHQQLQQQQQRQLQQFWAERLVDIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEIFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDMYDFLVDIVPRDDLKEEGVGLPRAGLPPLGVPADSYPYGYYVPQQQVPGAGIAYGGQQGHPGYLWQDPQEQQEEPPAEQQSD >ORUFI06G25880.1 pep chromosome:OR_W1943:6:24640192:24644090:1 gene:ORUFI06G25880 transcript:ORUFI06G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEMKRIEDATRRQVTFSKRRAGFLKKANELAVLCDAQVGVVVFSDKGKLFDFCSPPVILMELFHRYEITTRNTRLQETNRDDEQMVMEITRLRNEIDQLEASLRRQTGEDLSSVSTVDELSQLQLQLESSLSKVHARKDELMSQQLEDMRRMVHYSLIVVAVVVFADECIRPCMSRTISCAAWGELQLWSSLPVGEQQMSENWHSENWQSPGSGEASAMEALTMLPPAAAATTAAEAFNCFFPEEEKGVAASSTLLQLWPQPHDGDQPDLRLW >ORUFI06G25890.1 pep chromosome:OR_W1943:6:24658625:24661614:1 gene:ORUFI06G25890 transcript:ORUFI06G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAAAKLTAAASSLLLRRSPLLRPHGLRLSRRFAPQRFVRHIASSTNEEAAAKAAAATADTGGPTIFDKIIAKEIPSNVVYEDEKVLAFRDINPQAPVHVLVIPKIRDGLTGLDKAEPRHVEILGYLLYAAKIVAEKEGIAEGYRVVINNGPKGCQSVYHLHLHVLGGRQMKWPAG >ORUFI06G25900.1 pep chromosome:OR_W1943:6:24661219:24672113:-1 gene:ORUFI06G25900 transcript:ORUFI06G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGLAAPPPTSRISSFPRAFSTGGGGVGPLEELGGGTARSIMAAAAAASRALWACRTASYLRISSFPRAFSTVLKDLKYADTHEWVKVEGDSATIGVTDHAQDHLGDVVYVELPEVGSTVSQGTNFGAVESVKATSDINAPVSGEIIQVNDELSEKPGFINGSPYEKGWIIKVKISDPSELNSLMDDEKYKKFCEEEDGKH >ORUFI06G25900.2 pep chromosome:OR_W1943:6:24661217:24672113:-1 gene:ORUFI06G25900 transcript:ORUFI06G25900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGLAAPPPTSRISSFPRAFSTVLKDLKYADTHEWVKVEGDSATIGVTDHAQDHLGDVVYVELPEVGSTVSQGTNFGAVESVKATSDINAPVSGEIIQVNDELSEKPGFINGSPYEKGWIIKVKISDPSELNSLMDDEKYKKFCEEEDGKH >ORUFI06G25910.1 pep chromosome:OR_W1943:6:24667561:24671436:1 gene:ORUFI06G25910 transcript:ORUFI06G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAAAGVVGGFLQVVFDKYYGSKLEQWAARSGLHGDFLSLKNQLHMVRAMLEAGGGGNAPHNDSLRSLIVELKSAAYAADNVLDEMEYYRLKELVEDTSGRDGGAPSSSARQVVGRILVPAPLLSNPFKRARTGADEALQGQGADTDTPNFDQDAMSSKIKSISCCLEQIAGMVRRIIELDKLVSMASLGHVQPEVVVSLRQTSSFPTETKLFGRDESTNNIINLMLRTDMESRYNNFNVLPIVGIGGVGKTALAQSVYNHQRVVDSFQVRAWACVSDTLDVRRVIADLIDSIDGGQETPKFHRVPSLDATQRTLLRKIEGKRFLIVLDDVWVSSHWEKLCGPFSAGMSGSMVLVTTRQRKIAKAMGTFDSLTLHGLHDNEFWAFFLQCTNITEDHSLARIGRKIALKLYGNPLAAKTMGRFLSENHEEEHWCKFLNRNIWELKQEPDDVMPVLLLSYQHLPLSLQRCFTYCAIFPRGYKFTEQELIFAWMAQGLVPTPGEDQTLEDVGKEYLNELLSCSFFHIIESGHYMIPGLLHDLAQLVAEGEFQATNGKFPISVEACHLYISHSDHARDMGLCHPLDCSGIQMKRRIQKNSWAGLLHLKNLRTIMFSASSSIWSPGSEVVFVQSNWPSTIRLLSLPCTFRKEQLAAVSNFIHLRYLDLRWSRLEELPEAVCKLYLLQVLNIKHCPCLLHLPPRIANLLNFEHLIADEGKHLLTGVPCVGNMTSLLLLDKFCVRKTRGFDIGQLKRLRNLRGLLKVQNLENVDGNEEAAKARLSDKRHLTELWLSWSAGSCVQEPSEQYHVLEGLAPHSNVSCLHITGYRGSTTPSWLASNLSLSSLEYLYLDYCSELEILPPLGLLPHLRKLHIVNMHALRRIGSEFYSSGQVVGFPCLEGLFIKTMPELEDWNVDDSNVFPSLTSLTVEDCPKLSRIPSFLWSRENKCWFPKLGKINIKYCPELVLSEALLIPRLPWLLDIDIQIWGQTVINLRGGCLEVSEINANTSSGPINAVLQLHWLKHVSSFHIWAQDSLSVHPCKQKTEPSACNSEHMVNSLQTSAEKVEVTGYGITDELLSAILENEICPSSLSISDCPQITSLDLSPLRSLKSLVIHNCVSLRKLFDRQYFTALRDLEVTNASSFAEAWSELLGSRYAEWGQVTTSLESLTVDSTLFLNSPLCAVLTSLKKLTIHSDFRVTSLSRQQVQALLLLTSLQDLGFIQCCNLHSLPSELHKIYTLKQLEIDSCPCVESLPNNGLPEKLEKLIIRGCNRRLYTGASMMGSTSTKVHLVDR >ORUFI06G25920.1 pep chromosome:OR_W1943:6:24677703:24680485:-1 gene:ORUFI06G25920 transcript:ORUFI06G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRSVGTLTESDLKGKKVFLRADLNVPLDDSQKITDDTRIRASVPTIKFLMGKGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVDVVMANDCIGEEVQKLAATLPDGGVLLLENVRFYKEEEKNDPEFANKLASVADLYVNDAFGTAHRAHASTEGVTKYLRPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMIYTFYKAQGYAVGKSLVEEDKLELATSLIEKAKSKGVSLLLPTDIVVADKFAADAESKTVPASAIPDGWMGLDIGPDSIKTFSETLDTTKTVIWNGPMGVFEFEKFAAGTDAIAKKLADITAKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALNDA >ORUFI06G25930.1 pep chromosome:OR_W1943:6:24682339:24683418:-1 gene:ORUFI06G25930 transcript:ORUFI06G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAINLTQAARRVINGYSATANRQNLVTSCTIAVGGYDWLIEVFPAAYYHGTSSRNSGPYIKLRFTLSSDGERTVSATFRCRLVDDHQINQTAASASSSFKEVIVTSIFSNGQPKDMFLVSRSYASEYRYVQPDDSLLIECAITVLLEAPVNAAASVPAPLSDLQKHLGEMLTSKNGADITFLVSGEPVAAHRCVLAARSPVFMAELFGDMKEKDSQSIEIKDMEAEVFRTLLHFIYTDTLPEQDDDDVEAETMAYGLLEAADRYGVERLMLICAEKVHAGISVDTAAMALALAERHGCTKLKARCIEFILASQENFHAVAATEGYKLLMDSCPSALNDLLVAVFLRYKLTVL >ORUFI06G25940.1 pep chromosome:OR_W1943:6:24685319:24686413:-1 gene:ORUFI06G25940 transcript:ORUFI06G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTDVTRSNDIQLKIVGHSLTMAMDDGEFFSSRRYCVGGHDWEIRLRPKDPWVGRRDRPLTLKLVLRGAPRTGSGSVKAQLSCCLVDPTQKLRPSEMKTVSHKFHKPGDYSPRAVFMARDELEASGYLTDDSYVVQCAITVLREQPEIAAAAAAAGDSANAAVAPSSELHAYLGALLESKTGADVTFVVSGESFAAHKAILASRSPVFMAELFGAMKVKASERVEVKDMEAPVFKAILHFVYTDTVPELDHRDGEETEAASTATAMAQHLLAGADRYGLERLKLICESKLAERIDVDTVSTTLALAEQHDCSHLKAKCVEFIAAGTAENLDAVLATDGFKHLEASCPSVLTDLVKVARGRKN >ORUFI06G25950.1 pep chromosome:OR_W1943:6:24704703:24708176:-1 gene:ORUFI06G25950 transcript:ORUFI06G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSGKEQRRMDRFIVIPFPPCRNGSSVDVVDGGGKSGKKPQGGGGEGGGAADHHRQHFNVNGYSATKAMAKHEHVSSKRLTVAGYAWEIHYTPGHDAHWHYWVAFKLVFLGIGEQAQRAGGDDDDNDAGAIKACCLSHAFGSANESSPWVLLVKRRELEASGFITGDSFAVRCTITVLSKNTINSAEPSPDLHLQLGELLRSGRFADVEFIVSGVSIAAHRCVLAARSPSLAAAVLKGGTRKKDGSVRVEVKDDMRAGVFRALLHFIYTDTLMELDWREDGSDPLLPRTMVMSLNEAAGRYGLERLKQICENMLGFDDACSADCAVM >ORUFI06G25960.1 pep chromosome:OR_W1943:6:24712376:24713509:1 gene:ORUFI06G25960 transcript:ORUFI06G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGEAEGGGVASGARRGWAGQSQRDPEEAKIGMGEIEAVGDLPVTRFEAADEIQRHGSRRDLADKLQKGGEVGIVVTVVRQSSWYACRLLLTSMAPPPLLGSYSSSLQRGSWWEGRGHDLHNTAASFSHMPLVLKLSESCRRTCVQSRLHGFRLVTRDA >ORUFI06G25970.1 pep chromosome:OR_W1943:6:24713821:24715299:-1 gene:ORUFI06G25970 transcript:ORUFI06G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARLLFDLNEPPPEEDIEDDVAMACEDSQPQPQPQPHLDADDGGEGDGSTACDLPSPPPPPLPPKDDSTGGESSEISEPLLPVLDLDAPLSPLDDDDDDEVEDDDHDLPRPPDDPDGARSPGPSHSERMAKTDRSTTASHAEAASTVTTPCSQAADDGGHGVTTSPRTTTTSLPDSRGMKTLSPAFSARSSSETGAAARVHGSHMPRRDKPPVPSVPRDDDYSGSRLAGSPSTSHHERSMRSHHPYAMRSGGTPRNNNNNNRRRPRRPMRQGYKYNGHDQRGQQQVNNYSHGQRQVYGNGQDQRQQLNNNGRDQRHQVYSSNCHDQRQPVYNNDQDQRRQVYNNGQDQRRQGHHGYRKPESYQGGQGQLQYGYSAPNRQRQQQQQQQGYSSGRPSAGGQYAGEDSYGSRQIPANQQHQHFRGQQRHVVKPYYARGFDASDDRATNAGKQAKYDHPEQQQRAYQQHRRNTQSTAGGGGPARRRQYYGDLYN >ORUFI06G25980.1 pep chromosome:OR_W1943:6:24716047:24717405:-1 gene:ORUFI06G25980 transcript:ORUFI06G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVAGQHARRRIRPPEPLVMAGSPSTPAAFRCPISLEVMRSPVSLPTGATYDRASIQRWLDTGHRTCPATRLPLASTDLVPNLLLRRLIHLHAATLPPSPSPEVVLSQLAAAGGEPAAAEKAVRSLAAKIAPEKGKRASVASAVAADLDSAVPALLSFAKGGAGADARVDAVRILATVAPELVPYLTGDGTEKRGRVRMAVEALAAVLSADGVGEDTKEGLIAALVAGDLGHIVNTLIAAGANGVMVLETILTSPVPDADAKTAIADRSELFPDLVRILKDAASPAAIRCMAAAVQVRGRPARSSMVRAGAIPALALAVAAAPTAVAESALGLLVEAARCTDGKAAIGADAAEVAAAVMGRMIRVGPAGREFAVAVLWLSCCAGGGDRRMREAVASAPEAVGKLLVVMQGDCSPSTSRMAGELLRAVRMEQERKGLAAAYDSRTIHVMPY >ORUFI06G25990.1 pep chromosome:OR_W1943:6:24722788:24724443:1 gene:ORUFI06G25990 transcript:ORUFI06G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSSSAPRQLEDAVMARLRACVTFRDLLRVHGHVVRLRISQSSYLATQIVHLCNAHRRVTHAARVFAQVRDPNLHLHNAMIKAYAQNHQHRDAVAVYIRMLRCPTSPPDGHAGGDRFTYPFLLKACGGTAALELGKQVHTHVVRSGCDSSAIVQNCLIEMYTRAGDLALAHKVFDEMRERDVVSWNMLISAHARLGQMRKATALFNSMPDKTIVTWTAMVSGYTTVGDYPGAVDAFRSMQTEGFEPDDVSIVAVLPACAQLGALELGRWIYAYCKRHGMLTSTHICNALMEMYAKCGCIDQALQLFDGMADKDVISWSTVIGGLAAHGRAHEAVWLFTEMEKEGKVRPNVITFVGLLSACSYAGLVDEGLSHFDRMNDVYGVEPGVEHYGCVVDLLGRSGQIRRALDLVRDMPVPADAKVWGSLLSACRSHGDVDTAVLAAERLVELEPDDVGNLVMLANVYAAARRWSDVASTRKAIRSRSMRKTPGCSLIEVGNVVREFVAGEGLSSELGGLAGVLDILASHLADDEEDIDFADSDCTVYANLAND >ORUFI06G26000.1 pep chromosome:OR_W1943:6:24727598:24727993:-1 gene:ORUFI06G26000 transcript:ORUFI06G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVAADGGRRRRRRIARRAAPPLASVTGGGEAWWRRAQAARGPSLPTRLPLQIQQRAGEAWGRRAQAAATTGPAWLLLSPPLPGSDGSARAADPASVKVADGGSVAGNHGGDGGAPRLGWRCRRRRRLD >ORUFI06G26010.1 pep chromosome:OR_W1943:6:24729772:24729975:-1 gene:ORUFI06G26010 transcript:ORUFI06G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSKPVIALVLLVVCIVSCFEVVTAQYDGSSSNGAAVTGPMAAGGNCSLVVAAVVLAIATFVWN >ORUFI06G26020.1 pep chromosome:OR_W1943:6:24730256:24730508:-1 gene:ORUFI06G26020 transcript:ORUFI06G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSASTTRLSTGRQPLLAPGRRQLLLRLPRRDGDSRSARIRRSRPSPSRGEWLLRLEESGVVGVQAERKEHQQ >ORUFI06G26030.1 pep chromosome:OR_W1943:6:24731790:24732233:-1 gene:ORUFI06G26030 transcript:ORUFI06G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSWNLTEATSAIHDITVNGYSATKSGGENDFPSRRLTVGGYEWEIRYYPKVFITHGDYRIAFRLVFLGPAGARGVNASFSCRLMDHRSTWTEARWRDASGNQHDCRAETVSRKFHLARESSDWVKLIKQDDLERSPAILACDSE >ORUFI06G26040.1 pep chromosome:OR_W1943:6:24733665:24743539:-1 gene:ORUFI06G26040 transcript:ORUFI06G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASASPRTARQLADALTAHLSLYHAATPPPPSSSSPRAAILRWLASLSPPCRAAAATSLLTPAAAAALLSMLRRLRARGHSSFFVLHPPSPSSAAVAEEGAAAPTVLSRLSRGLLARAAEASRGQGLVFDHLLLFPSSPTSSSRLDAITVAEELLGDLDGFVAAMDEISGGRFLCGDGEVDLVAMVGEEFPELPWLKAKGYYVIEEFVANWVEIALRMSWAAAGGGGGGGGGGRKAVRVGKGVKEKAGLAANAFWREKGYVDWWMRLEPRVRARIMGAFFGKGTTALANEIIEGTYIASSEKFSFCLGEPESFVAETYYESTRQSFFRRNRPCCLDVPSTLSCKKNPIFVKELQRLMLVQEIVYLKSNISNRSGDAIFFTKLMSAGTVADHILMKLRGILMVVSTESINLELIGDEAPKAAKKKDVEKISGGSRKGKKKSSSLKKLITSSKQMKDIGCSSSDSHDPKVLPDQQGPSVVCTTIEHASEDNLCKEIAPTPKVEQTVGLGDCKNQCNSKKRNKRKGKTKLHNLMKTENPGSGTLKTDAPHIATEAPNKPVEATHVSPHLPSYVHQSKSCIPKAVNCCDSSIALNGTDVKGIGNTKLEDTLHSPRVSSLLTTECSQSVKTSDGFSMNEQVISQNGQNESTLQPSSCLPSRSDTVDRNSVVATEKILPPVIPTNMFHSAISDNGGVMKTGGEYYVYNRNTLGGTSYEWPSVAPHHFVSPEMQQRPATTDRLHLDVGYRWPAQFEQPFLPPNHQMRNPPVEAGCNQVLSSLSVPLSFDWPPVFRGYGKLNQNNALGYDPLYTPQMQSSAWSGFHAPLIQRGSICNEKDRKYFGDSDPRNKSDVGDDTESYWFSEEESDGRGLSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSQVLDDIPVGIPCTYNANSVSSPPSTSVCSQNESPDPLPQSVGHSMTANGMNSESLQSPSSMQDSPEDKTISVSKSVSCGSEVIKGDTLPYAMLRPIVVPISRRPSRSEFKGGHDHRSPCVPSNRRDIPLVRRPPSPVVLSVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFTEDKILNRAQFCLDGPEVVWPSWGNKGSPAGTLGQSIEDTVLQDHLVKISQLSRDQHPDVALPLQPPDMLNCSSSKASLSLMHNALHEEIDQFCKQVSAENLVRKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVISLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLANQDWVRTDSLKTVENTAIPVIMLVAQVPCDTNLSSEYSSVLDSSHEQLSINVLGDQGSPSRSDNSSSEGSSMPMCSRINKDDGNVVQSIRLDISFKSPSHTGLQTTELVCELTQQFPAAVPLALILKKFLADRSLDHPYSGGLSSYCLVLLIVRFLQHEHHLGRPINQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFTVLENELLQFSAECYTPVSSFNLLKKIMPISNFRMQK >ORUFI06G26040.2 pep chromosome:OR_W1943:6:24734184:24743539:-1 gene:ORUFI06G26040 transcript:ORUFI06G26040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASASPRTARQLADALTAHLSLYHAATPPPPSSSSPRAAILRWLASLSPPCRAAAATSLLTPAAAAALLSMLRRLRARGHSSFFVLHPPSPSSAAVAEEGAAAPTVLSRLSRGLLARAAEASRGQGLVFDHLLLFPSSPTSSSRLDAITVAEELLGDLDGFVAAMDEISGGRFLCGDGEVDLVAMVGEEFPELPWLKAKGYYVIEEFVANWVEIALRMSWAAAGGGGGGGGGGRKAVRVGKGVKEKAGLAANAFWREKGYVDWWMRLEPRVRARIMGAFFGKGTTALANEIIEGTYIASSEKFSFCLGEPESFVAETYYESTRQSFFRRNRPCCLDVPSTLSCKKNPIFVKELQRLMLVQEIVYLKSNISNRSGDAIFFTKLMSAGTVADHILMKLRGILMVVSTESINLELIGDEAPKAAKKKDVEKISGGSRKGKKKSSSLKKLITSSKQMKDIGCSSSDSHDPKVLPDQQGPSVVCTTIEHASEDNLCKEIAPTPKVEQTVGLGDCKNQCNSKKRNKRKGKTKLHNLMKTENPGSGTLKTDAPHIATEAPNKPVEATHVSPHLPSYVHQSKSCIPKAVNCCDSSIALNGTDVKGIGNTKLEDTLHSPRVSSLLTTECSQSVKTSDGFSMNEQVISQNGQNESTLQPSSCLPSRSDTVDRNSVVATEKILPPVIPTNMFHSAISDNGGVMKTGGEYYVYNRNTLGGTSYEWPSVAPHHFVSPEMQQRPATTDRLHLDVGYRWPAQFEQPFLPPNHQMRNPPVEAGCNQVLSSLSVPLSFDWPPVFRGYGKLNQNNALGYDPLYTPQMQSSAWSGFHAPLIQRGSICNEKDRKYFGDSDPRNKSDVGDDTESYWFSEEESDGRGLSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSQVLDDIPVGIPCTYNANSVSSPPSTSVCSQNESPDPLPQSVGHSMTANGMNSESLQSPSSMQDSPEDKTISVSKSVSCGSEVIKGDTLPYAMLRPIVVPISRRPSRSEFKGGHDHRSPCVPSNRRDIPLVRRPPSPVVLSVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFTEDKILNRAQFCLDGPEVVWPSWGNKGSPAGTLGQSIEDTVLQDHLVKISQLSRDQHPDVALPLQPPDMLNCSSSKASLSLMHNALHEEIDQFCKQVSAENLVRKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVISLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLANQDWVRTDSLKTVENTAIPVIMLVAQVPCDTNLSSEYSSVLDSSHEQLSINVLGDQGSPSRSDNSSSEGSSMPMCSRINKDDGNVVQSIRLDISFKSPSHTGLQTTELVCELTQQFPAAVPLALILKKFLADRSLDHPYSGGLSSYCLVLLIVRFLQHEHHLGRPINQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFTVLENELLQFSAECYTPVSSFNLLKKIMPSIDFDEL >ORUFI06G26040.3 pep chromosome:OR_W1943:6:24734184:24743539:-1 gene:ORUFI06G26040 transcript:ORUFI06G26040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASASPRTARQLADALTAHLSLYHAATPPPPSSSSPRAAILRWLASLSPPCRAAAATSLLTPAAAAALLSMLRRLRARGHSSFFVLHPPSPSSAAVAEEGAAAPTVLSRLSRGLLARAAEASRGQGLVFDHLLLFPSSPTSSSRLDAITVAEELLGDLDGFVAAMDEISGGRFLCGDGEVDLVAMVGEEFPELPWLKAKGYYVIEEFVANWVEIALRMSWAAAGGGGGGGGGGRKAVRVGKGVKEKAGLAANAFWREKGYVDWWMRLEPRVRARIMGAFFGKGTTALEIVYLKSNISNRSGDAIFFTKLMSAGTVADHILMKLRGILMVVSTESINLELIGDEAPKAAKKKDVEKISGGSRKGKKKSSSLKKLITSSKQMKDIGCSSSDSHDPKVLPDQQGPSVVCTTIEHASEDNLCKEIAPTPKVEQTVGLGDCKNQCNSKKRNKRKGKTKLHNLMKTENPGSGTLKTDAPHIATEAPNKPVEATHVSPHLPSYVHQSKSCIPKAVNCCDSSIALNGTDVKGIGNTKLEDTLHSPRVSSLLTTECSQSVKTSDGFSMNEQVISQNGQNESTLQPSSCLPSRSDTVDRNSVVATEKILPPVIPTNMFHSAISDNGGVMKTGGEYYVYNRNTLGGTSYEWPSVAPHHFVSPEMQQRPATTDRLHLDVGYRWPAQFEQPFLPPNHQMRNPPVEAGCNQVLSSLSVPLSFDWPPVFRGYGKLNQNNALGYDPLYTPQMQSSAWSGFHAPLIQRGSICNEKDRKYFGDSDPRNKSDVGDDTESYWFSEEESDGRGLSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSQVLDDIPVGIPCTYNANSVSSPPSTSVCSQNESPDPLPQSVGHSMTANGMNSESLQSPSSMQDSPEDKTISVSKSVSCGSEVIKGDTLPYAMLRPIVVPISRRPSRSEFKGGHDHRSPCVPSNRRDIPLVRRPPSPVVLSVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFTEDKILNRAQFCLDGPEVVWPSWGNKGSPAGTLGQSIEDTVLQDHLVKISQLSRDQHPDVALPLQPPDMLNCSSSKASLSLMHNALHEEIDQFCKQVSAENLVRKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVISLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLANQDWVRTDSLKTVENTAIPVIMLVAQVPCDTNLSSEYSSVLDSSHEQLSINVLGDQGSPSRSDNSSSEGSSMPMCSRINKDDGNVVQSIRLDISFKSPSHTGLQTTELVCELTQQFPAAVPLALILKKFLADRSLDHPYSGGLSSYCLVLLIVRFLQHEHHLGRPINQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFTVLENELLQFSAECYTPVSSFNLLKKIMPSIDFDEL >ORUFI06G26050.1 pep chromosome:OR_W1943:6:24745538:24749093:-1 gene:ORUFI06G26050 transcript:ORUFI06G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGFRLSGLISSLSTLWARRRPRRPHPPLLLFLPKSPRNCAPERERERPSERRRKNQSAATLLVGVGLFIHSTPSSLPFLVRPTPPPLSPPRPAPIHSAKMAPTSMSLAAKTPLPFSTLPSSGVAQRPVSVTASLEHKTNDARRKFLKLALGNLGVGLPTLLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHSNQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRAQGGLGGPNGPGFPLGFGQSRAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGSNKKFDTDVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGRTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLIPRGQARGLTWFIPMDDPTLISRQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSDIGPWSLMDSGAQSGDVIMRMMARNSMSEKLAEDIDTAVKRLSDEAYEIALSQIRSNREAMDKIVEVLLEKETLSGDEFRAILSEFTEIPVENRVPPATPAALPA >ORUFI06G26050.2 pep chromosome:OR_W1943:6:24745538:24749093:-1 gene:ORUFI06G26050 transcript:ORUFI06G26050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGFRLSGLISSLSTLWARRRPRRPHPPLLLFLPKSPRNCAPERERERPSERRRKNQSAATLLVGVGLFIHSTPSSLPFLVRPTPPPLSPPRPAPIHSAKMAPTSMSLAAKTPLPFSTLPSSGVAQRPVSVTASLEHKTNDARRKFLKLALGNLGVGLPTLLGAKRALAEEQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHSNQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRAQGGLGGPNGPGFPLGFGQSRAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGSNKKFDTDVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGRTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLIPRGQARGLTWFIPMDDPTLISRQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSDIGPWSLMDSGAQSGDVIMRMMARNSMSEKLAEDIDTAVKRLSDEAYEIALSQIRSNREAMDKIVEVLLEKETLSGDEFRAILSEFTEIPVENRVPPATPAALPA >ORUFI06G26060.1 pep chromosome:OR_W1943:6:24749643:24754336:-1 gene:ORUFI06G26060 transcript:ORUFI06G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDASEFGAEGFDPKRWINAALDARHPSEPLDRFLADAEERLRSAADDAAAALERDSADALRRVPLACRDALRLRDDAVSLRSHLASVLQSLSQAEGSSAESITALARIDTVKQRMEAAYATLQDAAGLAQLSQSVEDVFSSGDLPKAAETLATMRHCLSAVGEVAEFANVRKQLEVLEERLDDMVQPRLVDALSNRKVDAVQDLRGILIRIGRFKSLEVQYTKIHVKPLKKLWEDFDLKQRAKRVEMEKYGGESINSLSFASWLPNFYDETLLYLEQEWKWCLTAFPEEYKSLVPKVLVETMSELNSSFVSRVNLATGDAVPETRSVAKGILDVLSGDLPKSTKLQNKHLGALIDLHNMTGTFARNIQHLFSESDLLVLLNTLKAIYSPYETFKARYGQMERALLSAEMAGIDIRGAIARGVGAQGIELSETVRRMEESIPQIIVLLEAAVERCISLTGGSEADELVLALDDIMLQYISNLQETLKSLRIVCGVDNTAHSDSSKKEAGLEKKEAQRLVDVSEEEEWSIVQGALQILTVADCLTSRTSVFEASLRATLARIGTNFSISGFGSSLDKSTAANGDENAEVPITGRAALDIAAIRLTDLPDKSKKLFTSKDPRFHALPLTSQRVAAFSDTVNELVYDVLISKVRQRLNEVARLPIWSSVEEPGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGISGGEAGNEEAQFFATEWIFKVAEGATALFMEQLRGIHYITDRGAQQLAADIEYLSNVLSALSMPIPPFLATFHTCISTPRDQVRDLIKSDGGSQLDLPTAHLVCKIRRISSSD >ORUFI06G26060.2 pep chromosome:OR_W1943:6:24749643:24754336:-1 gene:ORUFI06G26060 transcript:ORUFI06G26060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDASEFGAEGFDPKRWINAALDARHPSEPLDRFLADAEERLRSAADDAAAALERDSADALRRVPLACRDALRLRDDAVSLRSHLASVLQSLSQAEGSSAESITALARIDTVKQRMEAAYATLQDAAGLAQLSQSVEDVFSSGDLPKAAETLATMRHCLSAVGEVAEFANVRKQLEVLEERLDDMVQPRLVDALSNRKASLFHLKPTNFGWKVDAVQDLRGILIRIGRFKSLEVQYTKIHVKPLKKLWEDFDLKQRAKRVEMEKYGGESINSLSFASWLPNFYDETLLYLEQEWKWCLTAFPEEYKSLVPKVLVETMSELNSSFVSRVNLATGDAVPETRSVAKGILDVLSGDLPKSTKLQNKHLGALIDLHNMTGTFARNIQHLFSESDLLVLLNTLKAIYSPYETFKARYGQMERALLSAEMAGIDIRGAIARGVGAQGIELSETVRRMEESIPQIIVLLEAAVERCISLTGGSEADELVLALDDIMLQYISNLQETLKSLRIVCGVDNTAHSDSSKKEAGLEKKEAQRLVDVSEEEEWSIVQGALQILTVADCLTSRTSVFEASLRATLARIGTNFSISGFGSSLDKSTAANGDENAEVPITGRAALDIAAIRLTDLPDKSKKLFTSKDPRFHALPLTSQRVAAFSDTVNELVYDVLISKVRQRLNEVARLPIWSSVEEPGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGISGGEAGNEEAQFFATEWIFKVAEGATALFMEQLRGIHYITDRGAQQLAADIEYLSNVLSALSMPIPPFLATFHTCISTPRDQVRDLIKSDGGSQLDLPTAHLVCKIRRISSSD >ORUFI06G26070.1 pep chromosome:OR_W1943:6:24756218:24761370:-1 gene:ORUFI06G26070 transcript:ORUFI06G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPNPIDASVVSAAAAVAGGGGGGDGGGGGGGKEKEKQVVAAPLQPPMAVPAPAAAVGEEARKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPQKASKNVSPAAISQPPPLGEQGCVMSMDTSPVIRNTNASAVVPSWDNSIAQPLSASRTQGTGAVATNNCSSSIESPSTTWPTSEAVEQENMLRPLRAMPDFAQVYSFLGSIFDPDTSGHLQTLKAMDPIDVETVLLLMRNLSMNLTSPNFAAHLSLLSSCNSGGDPIKSEGMENLGSPQSCHLPFM >ORUFI06G26080.1 pep chromosome:OR_W1943:6:24763858:24767899:1 gene:ORUFI06G26080 transcript:ORUFI06G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGLSLSFALISSSPDSKCELLNSRPSCRAARRGESGLLIRRSYLRPCQCPFGDRMSEQQDSTSKSSSSSISSSTQESEEEVSITIGSLLAQAKNNSGHSLGRRLSQLGSIPHTPRVNGKIPNLDNATLDHERLSERLGNYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHLKEFRKQYESYVPMEYKVYLKKMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCLIEIVPRDVTPTRELWLSFWCEVHYNSLYATDDLLTRKTKKKHWLF >ORUFI06G26090.1 pep chromosome:OR_W1943:6:24769719:24777023:1 gene:ORUFI06G26090 transcript:ORUFI06G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKEEFLRQFGGDYGYPGAPKGVDEMRAAEFKRLEGMAYLDHAGATLYSEAQMADVLKDLASNVYGNPHSQSDSSMAASDLVTAARHQVLKYFNASPREYKCIFTSGATAALKLVGECFPWSRESCYMYTMENHNSVLGIREYALSKGATVLAVDVEEGADLAKDNGSYSLYKISRRTNQRRSKDVLSHNCQNGSLSDISGNNWNIFAFPSECNFSGQKFSLSLVKLIKEGKIPLQQQGKWMVLIDAAKGCATEPPNLTVYPADFVVCSFYKIFGYPTGLGALIVKNEAANLLNKTYFSGGTVAASIADIDFVQKRKNIEQVLEDGTISFLNIASLRHGFKIIEMLTTSAIERHTTSLATYVRNKMLDLKHSNEINVCTIYGQQYSKVEGLKMGPTITFNLKREDGSWFGYREVEKLASLFGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDIINGKPTGAVRISFGYMSTFEDAEKFLKFLQSSFVSLPVQFNNGYMLNLNSLNLIDNSSQKAVSDIHLKSIIIYPVKSCQGFSVKSWPLTTGGLMYDREWLLQGSGGEILTQKKVPELGSIRTLIDLELGKLFIESPTRRDKLQLSLLESLADLSEEVDVFGQRYEVQSYDDRVNTWFSEAIGRPCTLVRCSSSKYRSCTYTGLRDRPCRDTQSKLNFVNEGQLLLISEESISDLNSRLNSGKGDCKQKLPVDAMRFRPNLVISGSSPYSEDNWKKLRIGEACFTSMGGCNRCQMINLHQDSGQVLKSKEPLATLASYRRKKGKILFGILLNYEDIMEGENETIAGRWLQVGQQVYPSTE >ORUFI06G26090.2 pep chromosome:OR_W1943:6:24769719:24777023:1 gene:ORUFI06G26090 transcript:ORUFI06G26090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKEEFLRQFGGDYGYPGAPKGVDEMRAAEFKRLEGMAYLDHAGATLYSEAQMADVLKDLASNVYGNPHSQSDSSMAASDLVTAARHQVLKYFNASPREYKCIFTSGATAALKLVGECFPWSRESCYMYTMENHNSVLGIREYALSKGATVLAVDVEEGADLAKDNGSYSLYKISRRTNQRRSKDVLSHNCQNGSLSDISGNNWNIFAFPSECNFSGQKFSLSLVKLIKEGKIPLQQQGKWMVLIDAAKGCATEPPNLTVYPADFVVCSFYKIFGYPTGLGALIVKNEAANLLNKTYFSGGTVAASIADIDFVQKRKNIEQVLEDGTISFLNIASLRHGFKIIEMLTTSAIERHTTSLATYVRNKMLDLKHSNEINVCTIYGQQYSKVEGLKMGPTITFNLKREDGSWFGYREVEKLASLFGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDIINGKPTGAVRISFGYMSTFEDAEKFLKFLQSSFVSLPVQFNNGYMLNLNSLNLIDNSSQKAVSDIHLKSIIIYPVKSCQGFSVKSWPLTTGGLMYDREWLLQGSGGEILTQKKVPELGSIRTLIDLELGKLFIESPTRRDKLQLSLLESLADLSEEVDVFGQRYEVQSYDDRVNTWFSEAIGRKGDCKQKLPVDAMRFRPNLVISGSSPYSEDNWKKLRIGEACFTSMGGCNRCQMINLHQDSGQVLKSKEPLATLASYRRKKGKILFGILLNYEDIMEGENETIAGRWLQVGQQVYPSTE >ORUFI06G26100.1 pep chromosome:OR_W1943:6:24777526:24780616:-1 gene:ORUFI06G26100 transcript:ORUFI06G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRCAAAIARAVVEFLDAVLVGFFLSFFGPHPRDRDRDDSPGSGRRDSLAHKDRLGELLSDDDELGLGGRGGSHEDLADDCGSDEELRSEANFLKLCGTLFETPAELHNISYQINMESYIEHDKIPTNVLAVEATPAFESKSSGGFEYGEDHILTPQLNTEDTEHLPLVKSVYQSAIRGNSPFQNIKSINDGSSDSPFHTPLVLRDDMQTPRTVYTSHKGSSGKRVRTRKQFAYPIFRPTENKLQKMQLSDSAKMTQQISSDSVVKGESLNSSHFPLEVSKYQLDRQRLLDAGERSKSNSDENIEVCSLSRWLKSSPAGNTNQMYDENNLIEEGHAFMTSEDNVDVDNHTPRLSKARDCHCIPNTSRKYGEDQHVSWHSTPFEERLIKVLSDEEVPPTRKLVPGRLLYLEERV >ORUFI06G26110.1 pep chromosome:OR_W1943:6:24792229:24795679:1 gene:ORUFI06G26110 transcript:ORUFI06G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFERRGVRQYNRSEVPRMRWTEELHRQFVEAVECLGGQDEATPKRILQLMGVKGVSISHIKSHLQMYRSGSSNSNHPVSLQKLTSATVNNISKREFVNSEDRCIYASGDRNTASSDKNTYTILRCGRSSMPSIEEIFRNWEQTRGRLLPWNSNVITTEQATTRASRQTTDYSKPLKQLTDCDLTLSIGQLWDDAAGSDADGSSTISEEVAAPSRDEAFVSSADDHFAAAAAKKESNMLTTDLNLDLTISSSWLS >ORUFI06G26120.1 pep chromosome:OR_W1943:6:24797512:24813327:1 gene:ORUFI06G26120 transcript:ORUFI06G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIEVDLHTDMCPLTTKNFLKLCKMKYYNGCLFHKVEKDFLAQTGDPTGTGAGGDSVYKFLYGDQARFFDDEIRPDLRHSKKGTIAMASAGENCNASQFYITLRDDVDYLDDKHTVFGMVAEGFDTLTKISETYVDDKGRPFKDIRIKHTYVLDDPFDDPPQLSELIPENSPVGKPQDEIAEERLEDSWVPMDETVAPEELEEMIRSKEAHTNAVILESVGDIPDAEIKPPDNVLFVCKLNPDEDLYTIFSRFGTVTSAEIIRDYKTGDSLCYAFIEFETKEACERAFFKMDNCLIDDRRIHVDFSQSVSKLWGQFRQSKRNANKDGCFKCGAPDHIAKDCDQGTEQKNKGPSYVLKDENTQRGGNNRRSYDLVFDEDGENYTDQQDPGSTGRRKIQRTDDRKSGLPPRGDHDRISRERTHSDENGREGGKEGDRDRGIRKHEDYHRYNKSGERSSSRYDDRGYSKHESRGKYRDGNDDYRRQPGGGSRYGRDKYEGERRYREDDGHGRSDRHKRDESDNRKRSPDTGKHRREDGGHRETSKHRERRQRDDR >ORUFI06G26120.2 pep chromosome:OR_W1943:6:24807168:24813327:1 gene:ORUFI06G26120 transcript:ORUFI06G26120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIEVDLHTDMCPLTTKNFLKLCKMKYYNGCLFHKVEKDFLAQTGDPTGTGAGGDSVYKFLYGDQARFFDDEIRPDLRHSKKGTIAMASAGENCNASQFYITLRDDVDYLDDKHTVFGMVAEGFDTLTKISETYVDDKGRPFKDIRIKHTYVLDDPFDDPPQLSELIPENSPVGKPQDEIAEERLEDSWVPMDETVAPEELEEMIRSKEAHTNAVILESVGDIPDAEIKPPDNVLFVCKLNPDEDLYTIFSRFGTVTSAEIIRDYKTGDSLCYAFIEFETKEACERAFFKMDNCLIDDRRIHVDFSQSVSKLWGQFRQSKRNANKDGCFKCGAPDHIAKDCDQGTEQKNKGPSYVLKDENTQRGGNNRRSYDLVFDEDGENYTDQQDPGSTGRRKIQRTDDRKSGLPPRGDHDRISRERTHSDENGREGGKEGDRDRGIRKHEDYHRYNKSGERSSSRYDDRGYSKHESRGKYRDGNDDYRRQPGGGSRYGRDKYEGERRYREDDGHGRSDRHKRDESDNRKRSPDTGKHRREDGGHRETSKHRERRQRDDR >ORUFI06G26120.3 pep chromosome:OR_W1943:6:24797547:24805847:1 gene:ORUFI06G26120 transcript:ORUFI06G26120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIEVDLHTDMCPLTTKNFLKLCKFYITLRDGVDYLDDKHTVFGMVAEGFDTITKINETYVDDKGRPFKDIRIRHTYVLDDPFDDPPQLSKLIPENSPVGKPQDEIAEERLEDNWVPPDETVAPEELEDTIRSKEAHTNAVILQSLGDIPDAEIKPQDNVLFVRELNKDEDLYTIFSHFGSVTSAEIIRDYKTGDSLCFAFIEFEKKEACERAFFMMDNCLIDDRRIRVDFSQSVSKQWRQFRQSKSNANKDGCFKCGALDLIARDCDQRAEQKNKGPNYILKDENTQRSGNKRRSYDLVFEDGENYNGQQDLRSADRRKIHKIDDRRSGLPPRGDRDRISRERTHIDENDKEGNRDRGNQKHEDYNRYCKPGERSSSRHDDRGYSKHESRSKYRDGDDDYRRQSGGSRYGRDKCDGERRYRGDDDHGRSNRHTR >ORUFI06G26130.1 pep chromosome:OR_W1943:6:24815565:24816806:1 gene:ORUFI06G26130 transcript:ORUFI06G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDVYNFPYLKKMEVSAAIVRVSNYQDEATKAGLNDIQAGWVIDPTTYGDSKTHFFVSWTVLIWMHDIQADYYNKTGCFNLDCDGFVPVNGAPVTPGDTLEQANNQTKISFKIFKDKNDGDWWLYFGYDINNLNRVGFWPKNIFNRMVDHATRIRWAGYAQSYKGSSSPPMGNGQFPGKMSASFQNVMYVDTDGQPYPPPVWPAGLEVYASNTKCYQASIFEDNMFYYVGPGSCTS >ORUFI06G26140.1 pep chromosome:OR_W1943:6:24833737:24841030:1 gene:ORUFI06G26140 transcript:ORUFI06G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVAARGRGTRHQTNHGMEAKHSLQLWWLQQERERGSEGSKNSVAFQLPWERGQESRSKNSSGGGGGGGRRRGGEMDVEGGGGGGGGGGAPPRGRNSWGWQKGTLLLAYQSFGVVYGDLCISPVYVYKNTFSGKLRLHEEDEEILGVLSLVFWSLTLIPLLKYIILVLGADDNGEGGTFALYSLLCRNSKMGLLNNMRANHGSLSAYNKEEPCKESRNSMLIKAFFEKHYSLRVVLLLFVLMGTSMVIGDGVLTPTMSVLAAVSGLRIKFPELHENYTVLLACVILIGLFALQHYGTRRVGFLFAPILISWLTCIGGIGIYNIIKWNPSVIRALSPYYIYNFFRKAGKDGWSSLGGIVLCLTGAEAMFADLGHFSKLSLRLGFTIVVYPCLVLAYMGEAAYLSKHREDLQSSFYKALPDRVFWPVLFIATLATAVGSQAIISATFSIISQCRALGCFPRIKVVHTSSHVHGQIYIPEVNWVLMSLCLAVTIGFRDTEMIGNAYGLAVILVMCATTCLMFLVITTVWNRWVVWAAAFTVVFGSVELLYLSACLAKVPHGGWLPLLLSLTTLLVMSTWHYGTAMKQQHEVQNKVCLDHFLGLSSGIGLVRVPGVGFVYSSTTNGVPPMFAHFVTNFPAFHRVLIFVSLQTLAVPKVSPEERFLVGRIGSPANRLFRCIVRYGYKEGRWDHFNFENQLLMKVVEFLRHQDGGGGGGGDRMSAAASGEDEAMSVIPATSSSGGSNQHAFDAGTTTSSCEIDATAGRKKVRFDDGGGGEEEEAAEVKELMEEKEAGVSYMIGHTCVFAHESSSAVKKFAVNVVYGFLRRNSRRPAVVLGIPHTSLIEVGMAYRV >ORUFI06G26140.2 pep chromosome:OR_W1943:6:24833815:24841030:1 gene:ORUFI06G26140 transcript:ORUFI06G26140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKQNTPSFCEKPPPTFSRLLNCFSPSSPTQLWWLQQERERGSEGSKNSVAFQLPWERGQESRSKNSSGGGGGGGRRRGGEMDVEGGGGGGGGGGAPPRGRNSWGWQKGTLLLAYQSFGVVYGDLCISPVYVYKNTFSGKLRLHEEDEEILGVLSLVFWSLTLIPLLKYIILVLGADDNGEGGTFALYSLLCRNSKMGLLNNMRANHGSLSAYNKEEPCKESRNSMLIKAFFEKHYSLRVVLLLFVLMGTSMVIGDGVLTPTMSVLAAVSGLRIKFPELHENYTVLLACVILIGLFALQHYGTRRVGFLFAPILISWLTCIGGIGIYNIIKWNPSVIRALSPYYIYNFFRKAGKDGWSSLGGIVLCLTGAEAMFADLGHFSKLSLRLGFTIVVYPCLVLAYMGEAAYLSKHREDLQSSFYKALPDRVFWPVLFIATLATAVGSQAIISATFSIISQCRALGCFPRIKVVHTSSHVHGQIYIPEVNWVLMSLCLAVTIGFRDTEMIGNAYGLAVILVMCATTCLMFLVITTVWNRWVVWAAAFTVVFGSVELLYLSACLAKVPHGGWLPLLLSLTTLLVMSTWHYGTAMKQQHEVQNKVCLDHFLGLSSGIGLVRVPGVGFVYSSTTNGVPPMFAHFVTNFPAFHRVLIFVSLQTLAVPKVSPEERFLVGRIGSPANRLFRCIVRYGYKEGRWDHFNFENQLLMKVVEFLRHQDGGGGGGGDRMSAAASGEDEAMSVIPATSSSGGSNQHAFDAGTTTSSCEIDATAGRKKVRFDDGGGGEEEEAAEVKELMEEKEAGVSYMIGHTCVFAHESSSAVKKFAVNVVYGFLRRNSRRPAVVLGIPHTSLIEVGMAYRV >ORUFI06G26150.1 pep chromosome:OR_W1943:6:24845008:24845424:-1 gene:ORUFI06G26150 transcript:ORUFI06G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLRRLSRVAAADACAAAAYQPLRPDGTAKLSASSSSSLAGARRLGCGARVPEGHVPVCVGEEGGPVERYAVRTDLLGQPAFAALLRRAAQEYGYGHPGALRIPCPVADFHQLLLRLSAAAAGDGDDEDGGGLVYY >ORUFI06G26160.1 pep chromosome:OR_W1943:6:24857687:24861211:-1 gene:ORUFI06G26160 transcript:ORUFI06G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEMGKLLHRPWKWSLNSPLLLLLIVPVMIHVQLKLRRRRKNAAAGTRLPPGPWRLPVIGSLHHLAMNPKAVHRALADLARRCGGGGGVMYLRLGELPVVVASSRDAAREVLRTHDAAFATRAMSVTVRDSIGDTVGILFSPYGERWRRLRGICSLELLNARRVRSFRPIREEQVARLVGAIAAAAAAPGGDQPPPVNVSWQIAGALTDLTLRAIMGECGFRWREEFLETLGEAQRKASRFGVADLFPSSRLLRAVGSTAVRDVRALNAKLFELVDRAIEQHREAAATTAAVGDHDDGGDDDARDDNECLLNTLMRIQKEGGGTLSMSTVKAVILDMFAGGSETTSTILEWAMSELVKNPQVMQKAQAEIRLALQGRSRITEDDLINLSYPKNIIKETLRLHPVAPLLMPKECQESCKILGYNIPKGSIMLVNVWAIGRDHRYWDDAEVFLPERFEEITVDFGGTNYEFIPFGGGRRICPGITFAHATLELALTALLYHFDWHLPPSVTPDGLDMEEEFGMNVRRKRDLHLHPVIHVGVEKGIMS >ORUFI06G26170.1 pep chromosome:OR_W1943:6:24875196:24875612:1 gene:ORUFI06G26170 transcript:ORUFI06G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELMRRMSFSDRVGGGGGGDGGGGGAAAAVKRGLMRRLSFSDRAGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGERFVVRVEALRHPAFAALLEKAAQEFGYKQEGILRVPCDVSHFQQVLHAATAAAKS >ORUFI06G26180.1 pep chromosome:OR_W1943:6:24880494:24888562:1 gene:ORUFI06G26180 transcript:ORUFI06G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAGGDSGLLLAARRRLPAAALAAGGHRIRLLHAFSAASRRGRHEVACCVRTEPAPRPASPVAVRSRSVHSTENYKKGCEQRLGQLIERLKKEGISPKQWRLGTYQRMMCPKCNGGSTEEPSLSVMIRMDGKNAAWQCFRANCGWKGFVEPDGVPKLSQAKNNTECETDQDGEANLAVNKVYRKICEEDLHLEPLCDELVTYFSERMISPETLRRNSVMQRNWSNKIVIAFTYRRDGVLVGCKYREVSKKFSQVEGEIDKLSMEEAGYRNCVSVPDGAPPKVSSKLPDKDQASRIILATDADPPGQALAEELARRLGKERCWRVNWPKKNENEICKDANEVLMFLGPQALRKVIEDAELYPIRGLFSFKDFFPEIDNYYLGIRGDELGVPTGWKSMDELYKVVPGELTVVTGVPNSGKSWVEIRSVLNGKQGKQLLMVREHARKLLEKRIKKPFFDARYGGSAERMSLDEFEEGKQWLNETFHLIRCEDDCLPSVNWVLELAKAAVLRYGVRGLVIDPYNELDHQRPSNQTETEYVSQMLTKIKRFAQHHSCHVWFVAHPRQLHNWNGGPPNMYDISGSAHFINKCDNGIVIHRNRDPNSGPLDVVQVCVRKVRNKVIGQIGDAFLSYERVSGEFRDADKDTAKKAAVAAANVAKAPQRKG >ORUFI06G26190.1 pep chromosome:OR_W1943:6:24888599:24891015:1 gene:ORUFI06G26190 transcript:ORUFI06G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVVSEGAAAAAAEVAAPETKEVTAKAAADEAVTLAAVVSKNASFREESNFLDDLKDGERKALAELRAKVEEAIVDGKLFDDGKVEAKKKAAAAEEEKAVEEAAGEKKDGEEKKEEEEPVTEEKKEEEQGEEEEEPKKEEADEGEKEEKPAEEEAAAVVDKDIALWGVPLLPSKGDDATDVVLLKFLRARDFKAGAAFDMLRKTLHWRREWKGFAAGTDDDDDDGEALPAELADACYLDGADREGHPVCYNALGVFADDAVYKKALGTEEGKARFLRWRVRAMESHVAKLDLRPGGVASLLQVTDLKNSPGPAKKDLRVAMKQVLDLFQDNYPELVARNILINVPFWYYAFSTLFYPFMTQRTKSKFVIARPSKVTETLLKYIPIEAIPVKYGGLKRDDDTEFSAEDSEVTELVVKASSTETIEIEATEGDTTLTWDLTVLGWEVNYKEEFVPSEEGSYTVIVKKGKKMGSSEAAVRNSFRAGEPGKVVLTVENLTHRKKKVLFRHKAKSACAKKR >ORUFI06G26200.1 pep chromosome:OR_W1943:6:24891472:24893613:-1 gene:ORUFI06G26200 transcript:ORUFI06G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYSGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPIGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEDYEEEEEDEEVAA >ORUFI06G26210.1 pep chromosome:OR_W1943:6:24895622:24895909:1 gene:ORUFI06G26210 transcript:ORUFI06G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVFVVAVLALSVAVAVAGRVLEEGEAYYGLEAAAAAPGLQLAASPPYASSPGGGGGGGHSWRGGAGAILDAIWVVFRWANDAVAGGGGRTNVR >ORUFI06G26220.1 pep chromosome:OR_W1943:6:24898158:24898541:-1 gene:ORUFI06G26220 transcript:ORUFI06G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGNATAAVIIVLAVAAALVASSLAPAADAFRTYFPEDEQLLRNKGSGATVVVMTAPVLSGSPVVTPAGAPSGAELIAFAGRDDVDAEDGAGDGDDVSPGPAPSSAGVISLDSERAAADDVLLP >ORUFI06G26230.1 pep chromosome:OR_W1943:6:24924289:24925755:-1 gene:ORUFI06G26230 transcript:ORUFI06G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEGHFFIPHPHFMHGHFLVPGGDADHHHQVSNAGNGGNTNTNTNTNTGGGGGNGDEMAVAMAAVAEAHAAGCMLPLSVFN >ORUFI06G26240.1 pep chromosome:OR_W1943:6:24941880:24943590:-1 gene:ORUFI06G26240 transcript:ORUFI06G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSKEHHRMPMHIDIVHRLCGGQLVDLNRVNAGPDHNRGVPANVMVNNAVEENTIPDGGSLAWSGYAMIVLSVLALWSGFVSEPVAVFLAFVLLLLGCGFLHVAMLAPSKPKML >ORUFI06G26250.1 pep chromosome:OR_W1943:6:24948117:24951766:-1 gene:ORUFI06G26250 transcript:ORUFI06G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVDIMPANLTDLTDSKAASHSAFETSSSPRLADPPLVPPAFRPLKMVKVDTSAFVILLYCASPMVAVAWQAEPLQWSLLLLLGIWLIGCFKLFGLLHIMFPAYHGDNHSMGAATPTSPACVELVNRAEVAWSGYILMAAPVLAVWAGFISGPEISFLAFLLLLLGCRFVYLAMLAPSKFKMSLQHFHGPHQKKKRKKLAKHLV >ORUFI06G26260.1 pep chromosome:OR_W1943:6:24959829:24960201:-1 gene:ORUFI06G26260 transcript:ORUFI06G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSKPADDQQHGSSTGKHTTAAATTDRWAVTMAVWHGPVMPSRYYCLCWAMWAGMGHGPVPFFRLQAFHACDAWMRAYFLLPFTPSRPKML >ORUFI06G26270.1 pep chromosome:OR_W1943:6:24963470:24964206:-1 gene:ORUFI06G26270 transcript:ORUFI06G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVVGEAEMVESAMDLQGQSVTWPHRLLPLALLVVPAHFVFSGEPLSRKAVFFLISGETFSRGS >ORUFI06G26280.1 pep chromosome:OR_W1943:6:24966262:24966906:1 gene:ORUFI06G26280 transcript:ORUFI06G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTTTASLIHEVLLLLDCNPCVYPPDVFPFILLNIDPLYDHHVIPSPNTKVGLPHLEAPNIKHHGTKNNIQI >ORUFI06G26290.1 pep chromosome:OR_W1943:6:24967972:24968235:-1 gene:ORUFI06G26290 transcript:ORUFI06G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFIACAPNQLHVVVGPRQRHEQHEFAAVELQPPPPPSSYRHRLPWPYMARRVRGVAAGGGSVELRHAPPPLKAISNESQAGSGEE >ORUFI06G26300.1 pep chromosome:OR_W1943:6:24971710:24971910:-1 gene:ORUFI06G26300 transcript:ORUFI06G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENAIPDEGSLAWSGYALMVLSVLALWSGLVTEPVAVFLAFLLLLLGCGFLHLAMLAPSKPKMF >ORUFI06G26310.1 pep chromosome:OR_W1943:6:24972216:24974176:-1 gene:ORUFI06G26310 transcript:ORUFI06G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWSDLTRNEFREMLMHNVHHLRGGQLVDLNRVNAGPDHNRGVPANGAHQFNWSGFSLMLFGAAPMMVLPWQVVHVPWPLLLLALMTWFIGCLRLYGFQIAFPVYYHQHGGNNSQGVAAPASTGR >ORUFI06G26320.1 pep chromosome:OR_W1943:6:24978785:24987463:1 gene:ORUFI06G26320 transcript:ORUFI06G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQKTEEQHSAFFHLQGSQSAVVVKRASAARTRVPKPQHDSTAAATATLAFLAGATEATTPRLTAPTNADVRKNVHTFSCALPTAPPSSPPNTASTAPNDTDATATAMAYIVPSSDFPSSSSSSPTAAVQNSMNAHAAAPATTGKTTAGNRSP >ORUFI06G26330.1 pep chromosome:OR_W1943:6:24981584:24981928:-1 gene:ORUFI06G26330 transcript:ORUFI06G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGERDDEAAGCFPAGGGKGKAPSPPPPPPSHEFDGGHRRGLIRRRRRWRPVRGEVFAVGFMVAGAAYFLLADALAVGRDPADGGDPSGGWFFAAYVLWITGLNLLYVLDYLMN >ORUFI06G26340.1 pep chromosome:OR_W1943:6:24994195:24996843:-1 gene:ORUFI06G26340 transcript:ORUFI06G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGLEAAKDVVDLEKAIDIIDAAAVVEAIAGEEKVPADLQVQVQRDASKLNNQHARKKYWLLLWFLIVVWVMILTDHFFDVDGDQQNDHAESDSPHSTLAKFIGFLSVICLMFRALD >ORUFI06G26350.1 pep chromosome:OR_W1943:6:25010678:25011010:1 gene:ORUFI06G26350 transcript:ORUFI06G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRILRKLHWRCRGLRRFHTTGDHRADLARDLTHARFRVPALTPIPTPPLGGLPRRPRQWLEAFLLYSLLLYPQQSRGRRSRRAPCFTPTSSCAHASTELLHVDDPFGA >ORUFI06G26360.1 pep chromosome:OR_W1943:6:25013968:25015047:1 gene:ORUFI06G26360 transcript:ORUFI06G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTPERVDQHQRCAVVEAVESRMSGGLGNRCHVPTVIVAAFPKPAAVIIAVVSDGSGLPAVASHGSGIPEVAGHGSGLPTEAGDESGIPEVAGHGSSLPDATVVAVVDAAASAALADGAAVAKDKRKRERAMGGGGAVVDAAASAALAEHAAVAEDSREREKCGRRRMMISVGGAAWREPLYFRGVDYFRVRVC >ORUFI06G26370.1 pep chromosome:OR_W1943:6:25015283:25015600:-1 gene:ORUFI06G26370 transcript:ORUFI06G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHLPREHEQRGEAAVIAIDDGDDGGVQDDDAAVRLALLDAGRALMLCGALASVGSISHNHHGAFVGHLLWLLGVSLLALVPAPPAAARFAAAVLAYFLSPPWW >ORUFI06G26380.1 pep chromosome:OR_W1943:6:25019633:25019872:-1 gene:ORUFI06G26380 transcript:ORUFI06G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGCGHHAEIDSTTPPSSRNRRASAMAARGCGWRGRWRRYARMPSPSSSTLPLMTRSAPLTSSYASSSSKLATPAAA >ORUFI06G26390.1 pep chromosome:OR_W1943:6:25022988:25033209:1 gene:ORUFI06G26390 transcript:ORUFI06G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAASKAAGKEKSRRKGGGGGAGGGGGEQLLTDQVLSLRARLHLALALGLAKSDGGPKKWQSTDAGIQSHVLKAASAFLGCLTNEMLRLPPIKESISDILIALEGILQSKNVSVLIQATDVSLKLVSSVGNLARQYPVLEIVTCLASQLSANQITIAVSSASTLNCILNTLATARSSIHAEIWEALEKTDAVTSVIGALQNYSPDVHPLNYLMEMMSLLRIILWIWPSSRYHVWSNCNLMGKLAQYCVASEMDVAVRVLKLYAALALCGNGAMVLLNNEDLMAKVGALLGKSNPSIARIEALKFYQILLRSSKGCDLLMAAHYQHIIEGTINAMSRDDERLLTIEGCRTALLVLRYAGDHHRLFWSHAIDDVLYKILTGGCTSSHKANQILCHDKLFNMVSENFMDIHSYVWDILGNLAVHCKNEYLSVRKGQDSALQALIHCICSLAADAMQKSNTMKLSKDVHEPALRAVLMMLLSPSGYILSEASSKLLHVLPLGDDCLNILFTSLESNTTRSITASFDNVKIMSNLMSLAGMVMLQPSNNSLNMRRAVAVLSTIIKECVHNNIHITRPKVVSHLQFCFEGEWEGENIALIYGLMVLFNLLKSINFVCIHCKRNLDVGIVCNDCRDHYSEGLIRVLQNASCQNLSPGPKLYISRILSLFGLCGFPSKLGGKMRRALDDNELADLELLLSNGESLKAHTAIISVRCPKLLPSAKSLGSDGKITDEWGRSFYHVRMSDRVDSCGLKKILEYTYTNSVMVDDDNIKPVRTLAKYCHLKSLQEMLQKEQPRWNSDCPRYDLTAALEPVKCSFSDIILEAQSNEEMKCYHGSCQLSTSHVHCHKIVLSMSCDYLRALFQSGMHESFSEVINVPLGWQALNKLIHWFYSGELPKIDPDCRWRNLNSEEQLSQLRPYAELSSLSEFWFLEGVKEESLSVVTSCLSSTSTAASVEFVVFAAQLGQWEMVEAAVGSVAHLYPKLRDSGQLEQLDDDVLNMLRTEYVSPRGGTVIYSRSSGGLPPWCGAGSHDALAAVRWPSLPGLESHQTAQVIRRGAGRRGEGRDVNVTKQSNAPMRPPETMQREQPQSRARANGRKWPPPRRWRSGIREEQGVPSAKAWQEKRKRTQQQRCALPAAIAASRLQL >ORUFI06G26390.2 pep chromosome:OR_W1943:6:25022988:25033209:1 gene:ORUFI06G26390 transcript:ORUFI06G26390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAASKAAGKEKSRRKGGGGGAGGGGGEQLLTDQVLSLRARLHLALALGLAKSDGGPKKWQSTDAGIQSHVLKAASAFLGCLTNEMLRLPPIKESISDILIALEGILQSKNVSVLIQATDVSLKLVSSVGNLARQYPVLEIVTCLASQLSANQITIAVSSASTLNCILNTLATARSSIHAEIWEALEKTDAVTSVIGALQNYSPDVHPLNYLMEMMSLLRIILWIWPSSRYHVWSNCNLMGKLAQYCVASEMDVAVRVLKLYAALALCGNGAMVLLNNEDLMAKVGALLGKSNPSIARIEALKFYQILLRSSKGCDLLMAAHYQHIIEGTINAMSRDDERLLTIEGCRTALLVLRYAGDHHRLFWSHAIDDVLYKILTGGCTSSHKANQILCHDKLFNMVSENFMDIHSYVWDILGNLAVHCKNEYLSVRKGQDSALQALIHCICSLAADAMQKSNTMKLSKDVHEPALRAVLMMLLSPSGYILSEASSKLLHVLPLGDDCLNILFTSLESNTTRSITASFDNVKIMSNLMSLAGMSINFVCIHCKRNLDVGIVCNDCRDHYSEGLIRVLQNASCQNLSPGPKLYISRILSLFGLCGFPSKLGGKMRRALDDNELADLELLLSNGESLKAHTAIISVRCPKLLPSAKSLGSDGKITDEWGRSFYHVRMSDRVDSCGLKKILEYTYTNSVMVDDDNIKPVRTLAKYCHLKSLQEMLQKEQPRWNSDCPRYDLTAALEPVKCSFSDIILEAQSNEEMKCYHGSCQLSTSHVHCHKIVLSMSCDYLRALFQSGMHESFSEVINVPLGWQALNKLIHWFYSGELPKIDPDCRWRNLNSEEQLSQLRPYAELSSLSEFWFLEGVKEESLSVVTSCLSSTSTAASVEFVVFAAQLGQWEMVEAAVGSVAHLYPKLRDSGQLEQLDDDVLNMLRTEYVSPRGGTVIYSRSSGGLPPWCGAGSHDALAAVRWPSLPGLESHQTAQVIRRGAGRRGEGRDVNVTKQSNAPMRPPETMQREQPQSRARANGRKWPPPRRWRSGIREEQGVPSAKAWQEKRKRTQQQRCALPAAIAASRLQL >ORUFI06G26390.3 pep chromosome:OR_W1943:6:25022988:25033209:1 gene:ORUFI06G26390 transcript:ORUFI06G26390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAASKAAGKEKSRRKGGGGGAGGGGGEQLLTDQVLSLRARLHLALALGLAKSDGGPKKWQSTDAGIQSHVLKAASAFLGCLTNEMLRLPPIKESISDILIALEGILQSKNVSVLIQATDVSLKLVSSVGNLARQYPVLEIVTCLASQLSANQITIAVSSASTLNCILNTLATARSSIHAEIWEALEKTDAVTSVIGALQNYSPDVHPLNYLMEMMSLLRIILWIWPSSRYHVWSNCNLMGKLAQYCVASEMDVAVRVLKLYAALALCGNGAMVLLNNEDLMAKVGALLGKSNPSIARIEALKFYQILLRSSKGCDLLMAAHYQHIIEGTINAMSRDDERLLTIEGCRTALLVLRYAGDHHRLFWSHAIDDVLYKILTGGCTSSHKANQILCHDKLFNMVSENFMDIHSYVWDILGNLAVHCKNEYLSVRKGQDSALQALIHCICSLAADAMQKSNTMKLSKDVHEPALRAVLMMLLSPSGYILSEASSKLLHVLPLGDDCLNILFTSLESNTTRSITASFDNVKIMSNLMSLAGMVMLQPSNNSLNMRRAVAVLSTIIKECLIRVLQNASCQNLSPGPKLYISRILSLFGLCGFPSKLGGKMRRALDDNELADLELLLSNGESLKAHTAIISVRCPKLLPSAKSLGSDGKITDEWGRSFYHVRMSDRVDSCGLKKILEYTYTNSVMVDDDNIKPVRTLAKYCHLKSLQEMLQKEQPRWNSDCPRYDLTAALEPVKCSFSDIILEAQSNEEMKCYHGSCQLSTSHVHCHKIVLSMSCDYLRALFQSGMHESFSEVINVPLGWQALNKLIHWFYSGELPKIDPDCRWRNLNSEEQLSQLRPYAELSSLSEFWFLEGVKEESLSVVTSCLSSTSTAASVEFVVFAAQLGQWEMVEAAVGSVAHLYPKLRDSGQLEQLDDDVLNMLRTEYVSPRGGTVIYSRSSGGLPPWCGAGSHDALAAVRWPSLPGLESHQTAQVIRRGAGRRGEGRDVNVTKQSNAPMRPPETMQREQPQSRARANGRKWPPPRRWRSGIREEQGVPSAKAWQEKRKRTQQQRCALPAAIAASRLQL >ORUFI06G26390.4 pep chromosome:OR_W1943:6:25022988:25033209:1 gene:ORUFI06G26390 transcript:ORUFI06G26390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAASKAAGKEKSRRKGGGGGAGGGGGEQLLTDQVLSLRARLHLALALGLAKSDGGPKKWQSTDAGIQSHVLKAASAFLGCLTNEMLRLPPIKNYSPDVHPLNYLMEMMSLLRIILWIWPSSRYHVWSNCNLMGKLAQYCVASEMDVAVRVLKLYAALALCGNGAMVLLNNEDLMAKVGALLGKSNPSIARIEALKFYQILLRSSKGCDLLMAAHYQHIIEGTINAMSRDDERLLTIEGCRTALLVLRYAGDHHRLFWSHAIDDVLYKILTGGCTSSHKANQILCHDKLFNMVSENFMDIHSYVWDILGNLAVHCKNEYLSVRKGQDSALQALIHCICSLAADAMQKSNTMKLSKDVHEPALRAVLMMLLSPSGYILSEASSKLLHVLPLGDDCLNILFTSLESNTTRSITASFDNVKIMSNLMSLAGMVMLQPSNNSLNMRRAVAVLSTIIKECVHNNIHITRPKVVSHLQFCFEGEWEGENIALIYGLMVLFNLLKSINFVCIHCKRNLDVGIVCNDCRDHYSEGLIRVLQNASCQNLSPGPKLYISRILSLFGLCGFPSKLGGKMRRALDDNELADLELLLSNGESLKAHTAIISVRCPKLLPSAKSLGSDGKITDEWGRSFYHVRMSDRVDSCGLKKILEYTYTNSVMVDDDNIKPVRTLAKYCHLKSLQEMLQKEQPRWNSDCPRYDLTAALEPVKCSFSDIILEAQSNEEMKCYHGSCQLSTSHVHCHKIVLSMSCDYLRALFQSGMHESFSEVINVPLGWQALNKLIHWFYSGELPKIDPDCRWRNLNSEEQLSQLRPYAELSSLSEFWFLEGVKEESLSVVTSCLSSTSTAASVEFVVFAAQLGQWEMVEAAVGSVAHLYPKLRDSGQLEQLDDDVLNMLRTEYVSPRGGTVIYSRSSGGLPPWCGAGSHDALAAVRWPSLPGLESHQTAQVIRRGAGRRGEGRDVNVTKQSNAPMRPPETMQREQPQSRARANGRKWPPPRRWRSGIREEQGVPSAKAWQEKRKRTQQQRCALPAAIAASRLQL >ORUFI06G26390.5 pep chromosome:OR_W1943:6:25022988:25033209:1 gene:ORUFI06G26390 transcript:ORUFI06G26390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAASKAAGKEKSRRKGGGGGAGGGGGEQLLTDQVLSLRARLHLALALGLAKSDGGPKKWQSTDAGIQSHVLKAASAFLGCLTNEMLRLPPIKESISDILIALEGILQSKNVSVLIQATDVSLKLVSSVGNLARQYPVLEIVTCLASQLSANQITIAVSSASTLNCILNTLATARSSIHAEIWEALEKTDAVTSVIGALQNYSPDVHPLNYLMEMMSLLRIILWIWPSSRYHVWSNCNLMGKLAQYCVASEMDVAVRVLKLYAALALCGNGAMVLLNNEDLMAKVGALLGKSNPSIARIEALKFYQILLRSSKGCDLLMAAHYQHIIEGTINAMSRDDERLLTIEGCRTALLVLRYAGDHHRLFWSHAIDDVLYKILTGGCTSSHKANQILCHDKLFNMVSENFMDIHSYVWDILGNLAVHCKNEYLSVRKGQDSALQALIHCICSLAADAMQKSNTMKLSKDVHEPALRAVLMMLLSPSGYILSEASSKLLHVLPLGDDCLNILFTSLESNTTRSITASFDNVKIMSNLMSLAGMSINFVCIHCKRNLDVGIVCNDCRDHYSEGLIRVLQNASCQNLSPGPKLYISRILSLFGLCGFPSKLGGKMRRALDDNELADLELLLSNGESLKAHTAIISVRCPKLLPSAKSLGSDGKITDEWGRSFYHVRMSDRVDSCGLKKILEYTYTNSVMVDDDNIKPVRTLAKYCHLKSLQEMLQKEQPRWNSDCPRYDLTAALEPVKCSFSFSEVINVPLGWQALNKLIHWFYSGELPKIDPDCRWRNLNSEEQLSQLRPYAELSSLSEFWFLEGVKEESLSVVTSCLSSTSTAASVEFVVFAAQLGQWEMVEAAVGSVAHLYPKLRDSGQLEQLDDDVLNMLRTEYVSPRGGTVIYSRSSGGLPPWCGAGSHDALAAVRWPSLPGLESHQTAQVIRRGAGRRGEGRDVNVTKQSNAPMRPPETMQREQPQSRARANGRKWPPPRRWRSGIREEQGVPSAKAWQEKRKRTQQQRCALPAAIAASRLQL >ORUFI06G26390.6 pep chromosome:OR_W1943:6:25022988:25033209:1 gene:ORUFI06G26390 transcript:ORUFI06G26390.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAASKAAGKEKSRRKGGGGGAGGGGGEQLLTDQVLSLRARLHLALALGLAKSDGGPKKWQSTDAGIQSHVLKAASAFLGCLTNEMLRLPPIKESISDILIALEGILQSKNVSVLIQATDVSLKLVSSVGNLARQYPVLEIVTCLASQLSANQITIAVSSASTLNCILNTLATARSSIHAEIWEALEKTDAVTSVIGALQNYSPDVHPLNYLMEMMSLLRIILWIWPSSRYHVWSNCNLMGKLAQYCVASEMDVAVRVLKLYAALALCGNGAMVLLNNEDLMAKVGALLGKSNPSIARIEALKFYQILLRSSKGCDLLMAAHYQHIIEGTINAMSRDDERLLTIEGCRTALLVLRYAGDHHRLFWSHAIDDVLYKILTGGCTSSHKANQILCHDKLFNMVSENFMDIHSYVWDILGNLAVHCKNEYLSVRKGQDSALQALIHCICSLAADAMQKSNTMKLSKDVHEPALRAVLMMLLSPSGYILSEASSKLLHVLPLGDDCLNILFTSLESNTTRSITASFDNVKIMSNLMSLAGMVMLQPSNNSLNMRRAVAVLSTIIKECLIRVLQNASCQNLSPGPKLYISRILSLFGLCGFPSKLGGKMRRALDDNELADLELLLSNGESLKAHTAIISVRCPKLLPSAKSLGSDGKITDEWGRSFYHVRMSDRVDSCGLKKILEYTYTNSVMVDDDNIKPVRTLAKYCHLKSLQEMLQKEQPRWNSDCPRYDLTAALEPVKCSFSFSEVINVPLGWQALNKLIHWFYSGELPKIDPDCRWRNLNSEEQLSQLRPYAELSSLSEFWFLEGVKEESLSVVTSCLSSTSTAASVEFVVFAAQLGQWEMVEAAVGSVAHLYPKLRDSGQLEQLDDDVLNMLRTEYVSPRGGTVIYSRSSGGLPPWCGAGSHDALAAVRWPSLPGLESHQTAQVIRRGAGRRGEGRDVNVTKQSNAPMRPPETMQREQPQSRARANGRKWPPPRRWRSGIREEQGVPSAKAWQEKRKRTQQQRCALPAAIAASRLQL >ORUFI06G26400.1 pep chromosome:OR_W1943:6:25034191:25035429:-1 gene:ORUFI06G26400 transcript:ORUFI06G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVASNLPAAAPAAVMPFGGWHGPRVSFSRDAAGAEEAAAVVVCSSPLAAAAAVATTTTPEPAISKDFIDFEFSLGGSATMLPADELFADGKLLPLRKAAAVPEMDAAAPRPPQPEAMPAPSEPMKPLRAAAAAVDAADPYVFSPKAPSCSSRWRELLGLKRAAAQSPKPSPSSAPARTPGRAMNSTAARSLKLLLQRNNGRSSGASASELASAPLLRDSSDSEASLSLASSRFSLSSSSSSSGHDHDDIPRLSLDSAADPNPPRIRLVRSSHRHSTSSSSSSRAGRSPARRRPSPPPPPRCLSVDSPRMNSSGKIVFQGLERSSSSPCTLHAAAKPRSRAVDRSYSSGVRVAPVVLNVPVCSRPVFGFFKDKKDAAAKDAMAARTRSSLGRKTTAAPQGWSGELGRSCG >ORUFI06G26410.1 pep chromosome:OR_W1943:6:25036475:25037552:-1 gene:ORUFI06G26410 transcript:ORUFI06G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLQSIGPLARFRLSTHTYQGQPGLFEYVISDPRFVFYETERVHRHRRHGPSRPAISHCSSSWSPATMTAFARLPPKVASNTNAELSSPPKLLKCVEVYPPDPVL >ORUFI06G26420.1 pep chromosome:OR_W1943:6:25053220:25057939:1 gene:ORUFI06G26420 transcript:ORUFI06G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMNSLSMVEARLPPGFRFHPRDDELVLDYLERKLLDGGVGGAAAAVTIYGCPVMVDVDLNKCEPWDLPEIACVGGKEWYFYSLRDRKYATGQRTNRATESGYWKATGKDRPISRKGLLVGMRKTLVFYKGRAPKGKKTEWVMHEFRKEGQGDPMKLPLKEDWVLCRVFYKSRTTIAKLPTEGSYNNIDSVATTSLPPLTDNYIAFDQPGSMQNLEGYEQVPCFSNNPSQQPSSSMNVPLTSAMVDQEQNNMGRAIKDVLSQFTKFEGNVKREALQSNFSQDGFDYLAESGFTQMWNSLS >ORUFI06G26420.2 pep chromosome:OR_W1943:6:25053601:25057939:1 gene:ORUFI06G26420 transcript:ORUFI06G26420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMNSLSMVEARLPPGFRFHPRDDELVLDYLERKLLDGGVGGAAAAVTIYGCPVMVDVDLNKCEPWDLPEIACVGGKEWYFYSLRDRKYATGQRTNRATESGYWKATGKDRPISRKGLLVGMRKTLVFYKGRAPKGKKTEWVMHEFRKEGQGDPMKLPLKEDWVLCRVFYKSRTTIAKLPTEGSYNNIDSVATTSLPPLTDNYIAFDQPGSMQNLEGYEQVPCFSNNPSQQPSSSMNVPLTSAMVDQEQNNMGRAIKDVLSQFTKFEGNVKREALQSNFSQDGFDYLAESGFTQMWNSLS >ORUFI06G26430.1 pep chromosome:OR_W1943:6:25061589:25071277:-1 gene:ORUFI06G26430 transcript:ORUFI06G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRVRNGQITGPFPRRRIAVIRRASMATLTGSVAASPSVVSDAMATRARPLLLCLCLCFASSCLSSAVDAAAAAGNGYRTTAFLVDDEGRRLRAELVAGGGGGNTAYGGDVQRLDVYARAIDFLSLTAMMGSPPAPPARRLGALAVFLLALFLAAPWGVDCGYNVASVAGLETDSRLHVRITDADHPRWEVPQDVIPRPSPDSFLAATRPGGGRVLSTATSDLTFAIHTSPFRFTVTRRSTGDVLFDTTPNLVFKDRYLELTSSLPPPGRASLYGLGEQTKRTFRLQRNDTFTLWNSDIAAGNRNDTFTLWNSDIAAGNVDLNLYGSHPFYMDVRSGGGGGGGAAHGVLLLNSNGMDVIYGGSYVTYKVIGGVLDFYFFAGPSPLAVVDQYTQLIGRPAPMPYWSFGFHQCRYGYKNVADLEGVVAGYAKARIPLEVMWTDIDYMDAYKDFTLDPVNFPADRMRPFVDRLHRNGQKFVVIIDPGINVNTTYGTFVRGMKQDIFLKWNGSNYLGVVWPGNVYFPDFLNPRAAEFWAREIAAFRRTLPVDGLWVDMNEISNFVDPPPLNAIDDPPYRINNSGVRRPINNKTVPASAVHYGGVAEYDAHNLFGFLEARATHDALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWEDLHYSINTMLSFGLFGIPMIGADICGFGGNTTEELCSRWIQLGAFYPFSRDHSAIGTVRRELYLWESVARSARKALGLRYRLLPYLYTLMWSQIKFSGATESGGGVVRVRSHVVHDSYAPSRTMAIGKVVLMGLRSPAPPKGFAVYANGVQVNASTAVGGAAGSPEKGALGVAHVIGLTLVVGQEFDLKVVMTY >ORUFI06G26430.2 pep chromosome:OR_W1943:6:25061589:25071277:-1 gene:ORUFI06G26430 transcript:ORUFI06G26430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRVRNGQITGPFPRRRIAVIRRASMATLTGSVAASPSVVSDAMATRARPLLLCLCLCFASSCLSSAVDAAAAAGNGYRTTAFLVDDEGRRLRAELVAGGGGGNTAYGGDVQRLDVYARAIDFLSLTAMMGSPPAPPARRLGALAVFLLALFLAAPWGVDCGYNVASVAGLETDSRLHVRITDADHPRWEVPQDVIPRPSPDSFLAATRPGGGRVLSTATSDLTFAIHTSPFRFTVTRRSTGDVLFDTTPNLVFKDRYLELTSSLPPPGRASLYGLGEQTKRTFRLQRNDTFTLWNSDIAAGNRNDTFTLWNSDIAAGNVDLNLYGSHPFYMDVRSGGGGGGGAAHGVLLLNSNGMDVIYGGSYVTYKVIGGVLDFYFFAGPSPLAVVDQYTQLIGRPAPMPYWSFGKLALCFALLLQFFLLDLAAADIVAFVVAGFHQCRYGYKNVADLEGVVAGYAKARIPLEVMWTDIDYMDAYKDFTLDPVNFPADRMRPFVDRLHRNGQKFVVIIDPGINVNTTYGTFVRGMKQDIFLKWNGSNYLGVVWPGNVYFPDFLNPRAAEFWAREIAAFRRTLPVDGLWVDMNEISNFVDPPPLNAIDDPPYRINNSGVRRPINNKTVPASAVHYGGVAEYDAHNLFGFLEARATHDALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWEDLHYSINTMLSFGLFGIPMIGADICGFGGNTTEELCSRWIQLGAFYPFSRDHSAIGTVRRELYLWESVARSARKALGLRYRLLPYLYTLMWSQIKFSGATESGGGVVRVRSHVVHDSYAPSRTMAIGKVVLMGLRSPAPPKGFAVYANGVQVNASTAVGGAAGSPEKGALGVAHVIGLTLVVGQEFDLKVVMTY >ORUFI06G26430.3 pep chromosome:OR_W1943:6:25061589:25071277:-1 gene:ORUFI06G26430 transcript:ORUFI06G26430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRVRNGQITGPFPRRRIAVIRRASMATLTGSVAASPSVVSDAMATRARPLLLCLCLCFASSCLSSAVDAAAAAGNGYRTTAFLVDDEGRRLRAELVAGGGGGNTAYGGDVQRLDVYASLETDSRLHVRITDADHPRWEVPQDVIPRPSPDSFLAATRPGGGRVLSTATSDLTFAIHTSPFRFTVTRRSTGDVLFDTTPNLVFKDRYLELTSSLPPPGRASLYGLGEQTKRTFRLQRNDTFTLWNSDIAAGNRNDTFTLWNSDIAAGNVDLNLYGSHPFYMDVRSGGGGGGGAAHGVLLLNSNGMDVIYGGSYVTYKVIGGVLDFYFFAGPSPLAVVDQYTQLIGRPAPMPYWSFGKLALCFALLLQFFLLDLAAADIVAFVVAGFHQCRYGYKNVADLEGVVAGYAKARIPLEVMWTDIDYMDAYKDFTLDPVNFPADRMRPFVDRLHRNGQKFVVIIDPGINVNTTYGTFVRGMKQDIFLKWNGSNYLGVVWPGNVYFPDFLNPRAAEFWAREIAAFRRTLPVDGLWVDMNEISNFVDPPPLNAIDDPPYRINNSGVRRPINNKTVPASAVHYGGVAEYDAHNLFGFLEARATHDALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWEDLHYSINTMLSFGLFGIPMIGADICGFGGNTTEELCSRWIQLGAFYPFSRDHSAIGTVRRELYLWESVARSARKALGLRYRLLPYLYTLMWSQIKFSGATESGGGVVRVRSHVVHDSYAPSRTMAIGKVVLMGLRSPAPPKGFAVYANGVQVNASTAVGGAAGSPEKGALGVAHVIGLTLVVGQEFDLKVVMTY >ORUFI06G26440.1 pep chromosome:OR_W1943:6:25073036:25078977:-1 gene:ORUFI06G26440 transcript:ORUFI06G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEAHTTGAPIARPLFFSYPGDVETYGIDRQFLLGRGVLVSPVLEPGATTVTAYFPAGRALTSPRRQPSLVSLLLADATTTTTSSVSPPRARRAAPLHAAADPRRGLPTHPRYGASPRSLLQVRFAELPNKNQIQPDHRFPVPIFMYLKSHQLLQVLRVLALGEGEMSGPMQRSSQPQFISSVERNNQSNGPGTPLIDSIDVDQIVIPEKNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILIASCAALIIQSLAARLGVVTGKHLAEHCRAEYPKATNFILWILAELAVVACDIPEVIGTAFALNMLFKIPVWCGVLITGLSTLMLLLLQQYGVRKLEFLIAILVSLIATCFLVELGYSKPNSSEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYMIESAFALMIAFLINISIISVSGAVCGSDNLSPEDQMNCSDLDLNKASFLLKNVLGNWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMTPWIRNLLTRSLAILPSLIVSIIGGSSAAGQLIIIASMILSFELPFALVPLLKFTSSRTKMGQHTNSKAISVITWGIGSFIVVINTYFLITSFVKLLLHNGLSTVSQVFSGIFGFLGMLIYMAAILYLVFRKNRKATLPLLEGDSTVRIVGRDTATEGEGSLGHLPREDISSMQLPQQRTASDLD >ORUFI06G26440.2 pep chromosome:OR_W1943:6:25073036:25078977:-1 gene:ORUFI06G26440 transcript:ORUFI06G26440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEAHTTGAPIARPLFFSYPGDVETYGIDRQFLLGRGVLVSPVLEPGATTVTAYFPAGRALTSPRRQPSLVSLLLADATTTTTSSVSPPRARRAAPLHAAADPRRGLPTHPRYGASPRSLLQVRFAELPNKNQIQPDHRVLALGEGEMSGPMQRSSQPQFISSVERNNQSNGPGTPLIDSIDVDQIVIPEKNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILIASCAALIIQSLAARLGVVTGKHLAEHCRAEYPKATNFILWILAELAVVACDIPEVIGTAFALNMLFKIPVWCGVLITGLSTLMLLLLQQYGVRKLEFLIAILVSLIATCFLVELGYSKPNSSEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYMIESAFALMIAFLINISIISVSGAVCGSDNLSPEDQMNCSDLDLNKASFLLKNVLGNWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMTPWIRNLLTRSLAILPSLIVSIIGGSSAAGQLIIIASMILSFELPFALVPLLKFTSSRTKMGQHTNSKAISVITWGIGSFIVVINTYFLITSFVKLLLHNGLSTVSQVFSGIFGFLGMLIYMAAILYLVFRKNRKATLPLLEGDSTVRIVGRDTATEGEGSLGHLPREDISSMQLPQQRTASDLD >ORUFI06G26440.3 pep chromosome:OR_W1943:6:25073036:25078977:-1 gene:ORUFI06G26440 transcript:ORUFI06G26440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYEAHTTGAPIARPLFFSYPGDVETYGIDRQFLLGRGVLVSPVLEPGATTVTAYFPAGRRRDDDDDVVGVASARAARCSPARRRRPTERTPHPSQLQVLRVLALGEGEMSGPMQRSSQPQFISSVERNNQSNGPGTPLIDSIDVDQIVIPEKNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILIASCAALIIQSLAARLGVVTGKHLAEHCRAEYPKATNFILWILAELAVVACDIPEVIGTAFALNMLFKIPVWCGVLITGLSTLMLLLLQQYGVRKLEFLIAILVSLIATCFLVELGYSKPNSSEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYMIESAFALMIAFLINISIISVSGAVCGSDNLSPEDQMNCSDLDLNKASFLLKNVLGNWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMTPWIRNLLTRSLAILPSLIVSIIGGSSAAGQLIIIASMILSFELPFALVPLLKFTSSRTKMGQHTNSKAISVITWGIGSFIVVINTYFLITSFVKLLLHNGLSTVSQVFSGIFGFLGMLIYMAAILYLVFRKNRKATLPLLEGDSTVRIVGRDTATEGEGSLGHLPREDISSMQLPQQRTASDLD >ORUFI06G26450.1 pep chromosome:OR_W1943:6:25079845:25080726:-1 gene:ORUFI06G26450 transcript:ORUFI06G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRVRNGQITGPFPRRRIAVIRRASMATLTGSVAASPSVVSDAMATRARPLLLCLCLCFASSCLSSAVDAAAAAGNGYRTTAFLLPAMATGQRRSWWTMKGGGSVPSSSPAAAAGTRHHSNVFV >ORUFI06G26460.1 pep chromosome:OR_W1943:6:25109714:25114952:1 gene:ORUFI06G26460 transcript:ORUFI06G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLFMSEEKSKITMRAFNHNEKSTGVLVMLRGTKNVGFDAKEKLSITERKNVSSMLLGAWGQAKHDGTLLFPST >ORUFI06G26470.1 pep chromosome:OR_W1943:6:25115090:25116105:1 gene:ORUFI06G26470 transcript:ORUFI06G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARFKDSSSAFSPSKACICKMSSKRHQRVWGKEIPPLLISCCFCLRASEVISNPILLYISNTPVGGIWNYTVSRRPELEKEMTSVELTSSSAVRNPCAFLDGPGRWQWCSGHPLAGLEHHRRCRLLAGMELRRLPKRFC >ORUFI06G26480.1 pep chromosome:OR_W1943:6:25123553:25126626:-1 gene:ORUFI06G26480 transcript:ORUFI06G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKRSRTGQQQSDPYNEVFSGAENITRYSYKELAKATLNFDQSNKIGEGGFGPVYKGTLKDGTDVAVKLLSLQSRQGVKEFLNELMAISDISHENLVKLHGCCVEGRHRILVYNYLENNSLAHTLLGSRQSNIQFNWRARVNICIGVAKGLAFLHDGVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPSDASHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLVEIVSGRCNTDTKLPYEDQILLEKTWKCYDQGCLEKAIDSSMVDDVDVDEACRFLKVGLLCTQDISKRRPTMSMVISMLTGEMEVDKEKISKPDVIRDFRDLKLRSKATSSSSLLTSIMARSTPSSSQETTRTSITVTAISDRD >ORUFI06G26490.1 pep chromosome:OR_W1943:6:25134192:25139309:1 gene:ORUFI06G26490 transcript:ORUFI06G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAPGRHPAPLAGVLPPDVASGPARPLHGDLRPHLRHPRLLPVPLRRLPPXGGVLDFYFFAGPSPLAVVDQYTQLIGRPAPMPYWSFGFHQCRWGYKNVSDLEGVVAGYAKARIPLDVMWTDIDYMDAFKDFTLDPANFPADRLRPFVDRLHRNGQKYVVIIDPGISVNATYGTFIRAIKEDIFLKWNGSNYLGVVWPGNVSFPDFLNPRAAEFWAREIAAFRRTLPVDGLWIDMNEISNFVDPPPLNALDDPPYRIDNSGVRRPINNKTVPASAVHYGGVAEYDAHNLFGFLEARATHDALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNFATWDDLRYSINTMLSFGLFGIPMIGADICGFGGNTTEELCSRWIQLGAFYPFSRDHSAIGTVRRELYLWESVARSARKALGLRYRLLPYLYTLILYDFSLAVATKTGKRVTLPAPADTVNVHVAGGNILTLQQPALTSSRVRQSVVHLLVALAEDGTATGDLFLDDGESPEMVGARSRWSQIKFSGATESGGSVVRVRSHVVHDSYAPSRTMVIAKVVLMGLRSPVPPKGFAVYANGVQVNASTAVDGGGGGDPGKGGRRERWAWRTSAGCRWSSGRSSISRSL >ORUFI06G26500.1 pep chromosome:OR_W1943:6:25142597:25144366:-1 gene:ORUFI06G26500 transcript:ORUFI06G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRARKKTTKPEHPPQLLSFSFASLQKSISEKPRTKRLSSTSRLLMATTSSLALPLFAGGGGSDRLSQEIFSILESNFLFGAPPPEGPAGYYSSVGRVRVLSIDGGADGGALAAAALVRLERRLKELSGNPDARVADYFDLAAGSGAGGFLAAALFACRMPAEAARDVVARNRKVFSGRRGRGGGLFWRPESVFKKVFGDLTVRDAAKPLLIPCYDMATAAPFVFSRADAVEADAFDFPLWQVCAAACGVGPAEVASLDGRTRLRAAAATGGGGAVSNPAAVAVTHVLHNKREFPFAAGAGDLVVLSLGGNNAAAGPRASSSSLLRIAGACQADMVDQAVSMAFGECRATNYIRIQGNGIVAGAAAATAEAAMTERSVESVLFRGKKVMAQTNGERLDGVAEQLVREHHRRMESKAPVVLIKPSATPRTSSSSASTLITVSTNASSESP >ORUFI06G26510.1 pep chromosome:OR_W1943:6:25167521:25168474:-1 gene:ORUFI06G26510 transcript:ORUFI06G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQQQQQHYATFNNNQINYHTPYALAQDAAGQRMESTVGINWFRRYPRIRSIINLLFGWGLHAIMIHDQFLRRMRCKLAMLLSLWLRAPAMIAPYFTIAGALIDRLLAQLMNAYIIQWSYRTGHLHDERALSIMR >ORUFI06G26520.1 pep chromosome:OR_W1943:6:25172128:25176523:1 gene:ORUFI06G26520 transcript:ORUFI06G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAEEGQSSEPADSAAPAQDDSVQTASGHNSRRPNLSLQIPARTLDNQIGTSARINISPSPSSTRAGLPPRPNSTRTKSSLKSIIPQQSFRARSSAQEGDRAILLVPGTPSEGQQDNTSTLRSFSFRKVINSLSAKRTHSLPVTPIATSDKTSSPANQINNLPTTDDQDVQARIRRSLSVPGNRKNRSLRRADSLGVIRVIPTTPRPIPVNTTASSDGIEETVDVPGDGGKDIPEEEAVCRICLVELNEGGETLKMECSCKGELALAHQDCAVKWFSIKGNKICDVCKQEVQNLPVTLLRIPTQTANRRVTNGAHQRASQQYRFWQDIPILVMVSMLAYFCFLEQLLVTDLLSHALAISLPFSCVLGLLSSMIASTMVTKSYLWAYASFQFAIVILFAHIFYNVLKVNPVLAVLLSSFTGFGIAISANSMLVEYLRWRSRRNQQLAQTVDDGQRQEPGSNAVNENNGDRQQAQHRESGDNIV >ORUFI06G26530.1 pep chromosome:OR_W1943:6:25177596:25181030:1 gene:ORUFI06G26530 transcript:ORUFI06G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGWRVRSMVQHLGWNCRRGLSSAAVQSQLENVGFIGLGNMGAHMARNLVMAGYKVTVHDVNENTMKKFSDDGIPTKLSPLEVSKSSDVVITMLPSSAHVLDVYNGRNGLLANGGCLGPWLYIDSSTVDPQTSRKISMDISRCTLKEKKAYAEKPMMLDAPVSGGVPAAEAGKLTFMVGGSEEAYLAAKPLLLSMGKKTIYCGGAGNGSVAKICNNMAMAISMLGVSEAFALGQNLGIKASVLTDIFNCSSARCWSSDTYNPVPGVMMDVPSSRNYDGGFTSKLMTKDLDLAMASASGVGFNCPFGSQALEIYRKLCADGCELKDFSCAFRHNYAGKDEN >ORUFI06G26530.2 pep chromosome:OR_W1943:6:25177596:25181029:1 gene:ORUFI06G26530 transcript:ORUFI06G26530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGWRVRSMVQHLGWNCRRGLSSAAVQSQLENVGFIGLGNMGAHMARNLVMAGYKVTVHDVNENTMKKFSDDGIPTKLSPLEVSKSSDVVITMLPSSAHVLDVYNGRNGLLANGGCLGPWLYIDSSTVDPQTSRKISMDISRCTLKEKKAYAEKPMMLDAPVSGGVPAAEAGKLTFMVGGSEEAYLAAKPLLLSMGKKTIYCGGAGNGSVAKICNNMAMAISMLGVSEAFALGQNLGIKASVLTDIFNCSSARCWSSDTYNPVPGVMMDVPSSRNYDGGFTSKLMTKDLDLAMASASGVGFNCPFGSQALEIYRKLCADGCELKDFSCAFRHNYAGKDEN >ORUFI06G26530.3 pep chromosome:OR_W1943:6:25177841:25181030:1 gene:ORUFI06G26530 transcript:ORUFI06G26530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGWRVRSMVQHLGWNCRRGLSSAAVQSQLENVGFIGLGNMGAHMARNLVMAGYKVTVHDVNENTMKKFSDDGIPTKLSPLEVSKSSDVVITMLPSSAHVLDVYNGRNGLLANGGCLGPWLYIDSSTVDPQTSRKISMDISRCTLKEKKAYAEKPMMLDAPVSGGVPAAEAGKLTFMVGGSEEAYLAAKPLLLSMGKKTIYCGGAGNGSVAKICNNMAMAISMLGVSEAFALGQNLGIKASVLTDIFNCSSARCWSSDTYNPVPGVMMDVPSSRNYDGGFTSKLMTKDLDLAMASASGVGFNCPFGSQALEIYRKLCADGCELKDFSCAFRHNYAGKDEN >ORUFI06G26540.1 pep chromosome:OR_W1943:6:25182180:25186785:1 gene:ORUFI06G26540 transcript:ORUFI06G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRRPDKPEDAAAAEAKAAKLRDLQAQVLHNHHSRTYTKEALGLSFKLLEINPEAYTAWNYRKLAFQHNIGELSEPEAIKSAIDDELRVVEVALRQNPKSYGAWYHRKWLLNQKLAPVDFKREFGLLDKLLKVDARNFHGWNYRRFLARFMGVPEEEELKYTMDKISDNFSNYSAWHNRSILLSNLLIKQSKGFESKQKIFSEEFELVTQALFTDPSDQSGWFYHLWLLAQTSSPENPQLIASWPSNGSNLSLSSLSSICCYSLKEGILPIVLYFNEPVKGLSSSSVSLNSDLVVSKNIQWRPLSVTDSGHSNCWVTYLEVSNLECNSLQQFSVEVSITNSDEIVSRSGSNYNCPVHFSFTFELSNNDSTAKDIDPIHELISWDFSEPLLSHVNPSCICFEQLKITNSLVHKESNWHLERLSDEIDLFRELHDDNSKFAKLTLARLLLACAAIKSRGSSLVERKGYCEESLGLFNDLIDLDPSHKRYYEDERSLVLMDQLTCDMEAFKKYCSVKALPKLAPLNHVQLCRLSLTRIGFAERLLWVQMLDLTHNNLRSIEGLEALQQLVCLNLGNNQISSFTALEPLTKIISLKVLDLSCNEIGTHPIDTTRYICPSPFSHRVEACEAFEECRKKNINVEEFWDAILFFKHVNLVQLCLEGNAVTNKENLRTLVVTLNPSLKWLDGKFVH >ORUFI06G26550.1 pep chromosome:OR_W1943:6:25187669:25188019:-1 gene:ORUFI06G26550 transcript:ORUFI06G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVISAPDAETTGGGGSSPHVEKLRKMLFRRMLVGVNDGRYFLGLFHCVDKQGNILLQDAVEYRTSARRRSPSSPSSSPAEQRGLGLILIPAACRSSCHVDCAVEESMSLLSLDR >ORUFI06G26560.1 pep chromosome:OR_W1943:6:25189758:25195192:1 gene:ORUFI06G26560 transcript:ORUFI06G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDLSFDFEGGLDQPPAGGGGGPAPHSSDPGGVGGGGGGGGPGDGGGHGRGRGRGSYRQTVCRHWLRGLCMKGEACGFLHQFDKARMPVCRFFRDFGECREPDCAYKHSYDDVKECNMYKMGFCPNGPNCRYKHVKLPGPPPPVEEVLQKILQIRSFNKFNQHRHNNYNQQGERPQHPQGSGLPNQNSIDNTTTTTAQPAVGQQAQTTNQQPPQQQQQQQQQQQQQKPNTNDQVQSVPNGSSNQATRIATPLPQGPSRYFIVKSCNRENLEISVQQGIWATQRSNEAKLNEAFESIENVILIFSINRTRNFQGCAKMTSRIGGYIGGGNWKSAHGTAHYGRNFSIQWLKLCELSFQKTHHLRNPYNDNLPVKISRDCQELEPFIGEQLASLLYLEPDSELTAILIAAEAKKEEEKAKGVSADEAADNQDIVLFDDNEEEEEEESEEEEEGNGQESQGRGRGRGMMRPPQMPMLRGVGPMMGGRGFPPNMIGDGFGFGGGFGMPDPFGVPRGFPPFGPRFPGDFARGGPMPGMVFPGRPPQPGGMFPMGLEMMMGPGRGPLMGGLGMGGPGRPNRPVGMAPFMPPPPPPNNRGTKREQRRPGGERGDRYETTSDQGSRGHDATGNSGAEGARSQSGDRYGRSALRDDDSESDEEAAPRRSRKR >ORUFI06G26570.1 pep chromosome:OR_W1943:6:25197187:25202341:-1 gene:ORUFI06G26570 transcript:ORUFI06G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSSGSVLPAQAASPEAVEEQKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPYLPAELGSANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELIAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLSKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDAARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLHGGKDDDLTSSLMWLRDSANPGFQSLNFGGLGMNPWMQPRFDASLLGLQPDMYQTIAATAFQDPTKQVSPTILQFQQPQNIGGRANTLLPSQILQQVQPQFQQQQYLQNINETTIQGHAQSEFLQQQLQRCQSFTEQKPQLQTQQQQQESQQQQQQQSQCMQVPQHQQMQQQKNMTNYQSVPNALSPFSQLSSPSQSSPMTLQTVLPFSQPQSYPDTSMSSLSPSNTSTMHNALRPFSSEAPSHLSMPRPTAVPVPDPWSSKRVAVESLLPSRPQVTSQMEQLDSTAPSIPQSSALAPLPGRGCLVDQDGNSDPQNHLLFGVNIDSQSLLMQGGIPSLQGENDSTAIPYSTSNFLSPSQNDFPLDQTLSSADCLDESGYVPCSQNSDQVINRPPATFVKVYKSGTYGRSLDITRFSSYHELRRELGRLFGLEGQLENPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKPFELLSSAPGKRLGSSCDDYVSRQESRSLSTGIASVGSVEF >ORUFI06G26570.2 pep chromosome:OR_W1943:6:25197187:25202341:-1 gene:ORUFI06G26570 transcript:ORUFI06G26570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSSGSVLPAQAASPEAVEEQKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPYLPAELGSANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELIAKDLHGNEWKNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLSKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDAARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLHGGKDDDLTSSLMWLRDSANPGFQSLNFGGLGMNPWMQPRFDASLLGLQPDMYQTIAATAFQDPTKQVSPTILQFQQPQNIGGRANTLLPSQILQQVQPQFQQQQYLQNINETTIQGHAQSEFLQQQLQRCQSFTEQKPQLQTQQQQQESQQQQQQQSQCMQVPQHQQMQQQKNMTNYQSVPNALSPFSQLSSPSQSSPMTLQTVLPFSQPQSYPDTSMSSLSPSNTSTMHNALRPFSSEAPSHLSMPRPTAVPVPDPWSSKRVAVESLLPSRPQVTSQMEQLDSTAPSIPQSSALAPLPGRGCLVDQDGNSDPQNHLLFGVNIDSQSLLMQGGIPSLQGENDSTAIPYSTSNFLSPSQNDFPLDQTLSSADCLDESGYVPCSQNSDQVINRPPATFVKVYKSGTYGRSLDITRFSSYHELRRELGRLFGLEGQLENPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKPFELLSSAPGKRLGSSCDDYVSRQESRSLSTGIASVGSVEF >ORUFI06G26580.1 pep chromosome:OR_W1943:6:25224789:25226278:1 gene:ORUFI06G26580 transcript:ORUFI06G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRTVGPTNNVLDAIKSLDIWSTNSQAPQVDISEKIAPSLQVCWRGLEHKRDNDDD >ORUFI06G26590.1 pep chromosome:OR_W1943:6:25232570:25233756:1 gene:ORUFI06G26590 transcript:ORUFI06G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRCTMGSVLPRQRSSDHHAGGGGGGGRQSLAPDDFRDVFGGPPRTVLLRSFYGGEAAAESYHSPAMAQQQHHHVYYGGAADAFCRRGAAAAAAVVPTEEGFFDDIFGAGRHARSRSRSTKSSSVVSSDEFGAGPAFYRPGGAPPGCRGGVILKNIVRTCRPIAIPSRRYDSSPPSTASTRCDYQSSFTCSTAAYPASRCYYYAGRTAATNHSSAASSHAAAQQHRHHHRGGGGFCCFTSNPETTSNGHSFRRTRAGGRRARSPAATDTDTATEWSQYSHADYGYYYSPPSAASSSAFGNPLPRTPRRLEEVVMEVRERAPLLMDDDGGGDIDSVGAAAVDEAIAWAKERFWSQS >ORUFI06G26600.1 pep chromosome:OR_W1943:6:25234336:25239021:-1 gene:ORUFI06G26600 transcript:ORUFI06G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRWALARRVAALGATSGGGDGATAQAQRLFSSAAALLGRHPPPPSPPHYQIRSKVVGCRGATFVSSRWLHDAQYQVRQDGLSRSEEQQDPFELVADELSLLANRLRSMVAAEVPKLASAAEYFFKVGAEGKRFRPTVLLLMASALKFPLSDSTEVGVLTILANKLRTRQQNIAEITEMIHVASLLHDDVLDDADTRRGVSSLNCIMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVEHLVTGETMQISTSREQRRSMDYYLQKTYYKTASLISNSCKAVAILAGHTADVSMLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLTDIRHGIITAPMLYAMEEFPQLHEVVDRGFDNPANVELALDYLQKSRGIEKTKELAREHANRAIKAIEALPDSDDEDVLTSRRALIDITERVITRTK >ORUFI06G26610.1 pep chromosome:OR_W1943:6:25249254:25249628:1 gene:ORUFI06G26610 transcript:ORUFI06G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRASLLLQVVRTSSRSRKGEGGVVFSEVAPWQQQQPISAPAGAGAAGIGSPRPAAKLDTIVEEDHSSMMMQEAATAFQAGPSAAAASSSSSSASSSSSAPAAMAYRFGAAEEHGHGEALLIR >ORUFI06G26620.1 pep chromosome:OR_W1943:6:25250652:25250981:-1 gene:ORUFI06G26620 transcript:ORUFI06G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPNVRCSEIESESSAILPEKKVVIGGGGGGNGEVAERQARAIIRMAKRALEEEEEAGGGGGGEGKLSMKRSMEWFLETRRKRMAAAADAGVHRGRAGECGSSSSCSN >ORUFI06G26630.1 pep chromosome:OR_W1943:6:25257584:25282567:-1 gene:ORUFI06G26630 transcript:ORUFI06G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVRGVADLLRKAPAPPPAPPAVPSSSFGVREGSFHGADQDVAPSPRVVFSDSTEERVLNTLWKKYENALNKAEKEKSLQIFVLQFVQTFRDWGPYHNIHLVDQEQGSDETVVGCSHGHPSEVILILIQEMSIITSTIAESGNSPESSPNYSEQPGDLGLSTERLHVLECLTILTRSMHNCRVFSYYGGVKKVTSLLKAAVAQLKIQNSLLAADNHVSCQAVENIKMMLNMLKYIVTIISNFMKLEPTILRIPHFLNSTKCASSKNHLATVTPSTSESGIFDTLQRWQQRAIVLVMGAGGVNSLVELLRVIQRLNSEQWTDLSLHFITLCTLRSTISGTRAQNHVRSIGGLEILLDGLGLPSSKFSVLKNSSIPKDERFGNVNNLQFLCENGRVHKFANCICWPAFMILEFHRQRDNTGPSLALDSISGPIYFLDITEWREYSVKLSNALCSFIIPSKVISYCRNEIACNKISLSIPSAYREQSVRWFIRVLMTVFLCIKACTSETELPNHIKILAKTLQLYMIRTFRMVLVSKPTLLTAFREEGVWDLIFSENCFYFGSSVEDMQFHIVAEVQNEDINGNTEPTDSESLYLSDVNILQLEAISFLEYAATLNENKYNLPECSALLEALEHCIYDPVVVSILLKSFRVILQLATEQTLVSFKSLDVITGVLKAACQQAKELQNFSCFPSDDVISSGYGSKIENIEMSSSGKRTEYAIICIELALSLFKEYVTISSYGRILILHNPDCIECLFNLFQEKNFRKHVLEQIFALFRLPPSSKQDHAAKLQLCSKYLENFTRANEKEKVNSELLVDLLVNMREIIMMDRMYYQNLFRDGGCFLYIVSLLNGTFNEATGEQLVLNVLETLTLLLEGNDASKNEDVVILVLNILQKSSTSLQHYGLVVLQQLLKQSIANRTSCFRAGLLSYLLDWFSVEERDDTVGQIADLIQIIGAHSISGKDIRKIFALLRCGKIVAKKKHSSLLLTCLSHMLKEKGPEAFFEFDGHDSGIEIKSPFQWPYNRGLSFSCWLRVENFPENGMMGLFSFFTEDGKGYPKMNEAMTHCTIGTKLMPVGEQPISIGYERTFAFTGQMGPIYVFSDALSSEQIKGIYNLGPSYMYSFHGDDSLYRGILDARDGISSKIIFGFNAQASDSRSLFSVSSALDSADRSTFEATIMGGTNCNAVTDGEQSCHTSVINGKLRAEVIELVASVLDANVSNQQQMYLLSGLSIMGFLLQSATPKLLNIETLSALKYMFDVLRNCGMSKVLLKDAILQIYLNPQIWVHSSYEVQRDLYMFLLKYFETDGRFLPLLCGLPRIIDIVCQYYSEYVDCRCAVGSKSLLRTGNKQVVGDRPKIEEICKLRLLLLSLAEMSLKESEPVRLLGLQLVGKLMAGIPSERKGAKLFTLPIGQSRPLSDNSKNEITAASHLFFYTISERLFKFPLSYNLCAAFFNVLLGGTSPIKEYSQSEQSKNKSCSTSHLVPFFLPQILVCIFRYLQSCQDSSARIRILSELIGLLDSNPTNIEALMEHSWNYWLETSTKLDVLKEYSLVSKGELDNVEIDEVILVRKLYALVLAYYLSAVKGGWHQLEDTVNYFLLKFGQTSSEENIILSQPCCDNVLYLLKLIQELLFNQIGIKLLFPSNPSEESLSSIKWKDDIKSTLNEILIDESHSQYKSFPWKSCQFADEDEKSEDWWNFFDKVWDLICNLNGKGPNKLLPKGPNIEVPSLGQRARGLVESLNVPATEMAAAVVSGGIGTALGAKINRFSEKATLLREEIFPRVFFHLVILYLCKAGLENASKCVLQFMSLLPVLISEDEQSKNKLHFLIWSLLITRSQYGQLDDGARYHVLSHLILETIICGKSMLVPNILGRDDSMEIGNSNKDTGFILNFVQKDRVLAAATVEVKHMKAVQADRLSQLDELQSKLNEHFTEETQLEKMIEDNIHISITSALSADDKRKIAFRLAFDEDQQIVADKWIHISRALIDERGPWSANPFPNDVVTHWKLDKTEDKWRRRLKLKRNYKFDERLCQPSYSRNESTEACVDQSSLSTKVPLKLKRFLLKGVRAIFEDNAYEPIEDTNDTGESSQSSLLENQNPNNVSDLSDYRTAVQNKKESASNNGDNDYTKVLCSVHCVLITPKRKLAGQLDITRTVLHFSFEFLVEGTGGSSVFSKFKEIEDSDCKSDLGSVERLDGGRDYVIKTPNGVLMQKQSNKIKHHRRWNITKIKAVHWTRYLLQYTATEIFFDDSNAPIFLNFSSQKDAKNAGSLLVSLRNEALFPKGSTKGKSKVISFVDRRVALEMAENARDRWIKREISNFEYLMILNTLAGRSYNDLTQYPVFPWVLTDYTSEKLDFNKSSTFRDLSKPIGALDEKRFEVFEDRYVNFDDPDIPSFYYGSHYSTMGIVLHYLLRLEPFTTLHRSLQGGKFDHADRLFQSIDSAYKNSLSNTSDVKELIPEFFYMPEFLENSNSYHLGVRQDGEPLGDVVLPPWAKGSPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGQPAVEAANIFYYVTYEGAVDLENMDDLLQKSAIEDQIANFGQTPIQIFRVKHPRRGPPVPIAHPLYFAPQSIALTSSVSSTISHMSALLFIGLLDNTVILMNEGLILSIKLWLTTQLQSGGNFTFSGPQDHFFGIGSDVISPRKIGTFLAENVNFGRQCLATMQINSDKYLILCGNWENSFQIISLSDGRIVQSIRQHKDVVACVAVSSRGNVVATGSYDTTVMIWHAFRGRPSDHVVMERPVHIFCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGRYVRSIRHPSGIGLSKLVASQHGRVVFYSESDLSLHMYSINGKHIASSASGGRINCMELSCCGQFLVCAGEHGQIVLHSMHCLDIIRRYDGAGKTITSLSVTPEECFLAGTKDGSLLVFSMESPLLRRKSMPRTRIKPPTASY >ORUFI06G26630.2 pep chromosome:OR_W1943:6:25257584:25282567:-1 gene:ORUFI06G26630 transcript:ORUFI06G26630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVRGVADLLRKAPAPPPAPPAVPSSSFGVREGSFHGADQDVAPSPRVVFSDSTEERVLNTLWKKYENALNKAEKEKSLQIFVLQFVQTFRDWGPYHNIHLVDQEQGSDETVVGCSHGHPSEVILILIQEMSIITSTIAESGNSPESSPNYSEQPGDLGLSTERLHVLECLTILTRSMHNCRVFSYYGGVKKVTSLLKAAVAQLKIQNSLLAADNHVSCQAVENIKMMLNMLKYIVTIISNFMKLEPTILRIPHFLNSTKCASSKNHLATVTPSTSESGIFDTLQRWQQRAIVLVMGAGGVNSLVELLRVIQRLNSEQWTDLSLHFITLCTLRSTISGTRAQNHVRSIGGLEILLDGLGLPSSKFSVLKNSSIPKDERFGNVNNLQFLCENGRVHKFANCICWPAFMILEFHRQRDNTGPSLALDSISGPIYFLDITEWREYSVKLSNALCSFIIPSKVISYCRNEIACNKISLSIPSAYREQSVRWFIRVLMTVFLCIKACTSETELPNHIKILAKTLQLYMIRTFRMVLVSKPTLLTAFREEGVWDLIFSENCFYFGSSVEDMQFHIVAEVQNEDINGNTEPTDSESLYLSDVNILQLEAISFLEYAATLNENKYNLPECSALLEALEHCIYDPVVVSILLKSFRVILQLATEQTLVSFKSLDVITGVLKAACQQAKELQNFSCFPSDDVISSGYGSKIENIEMSSSGKRTEYAIICIELALSLFKEYVTISSYGRILILHNPDCIECLFNLFQEKNFRKHVLEQIFALFRLPPSSKQDHAAKLQLCSKYLENFTRANEKEKVNSELLVDLLVNMREIIMMDRMYYQNLFRDGGCFLYIVSLLNGTFNEATGEQLVLNVLETLTLLLEGNDASKNEDVVILVLNILQKSSTSLQHYGLVVLQQLLKQSIANRTSCFRAGLLSYLLDWFSVEERDDTVGQIADLIQIIGAHSISGKDIRKIFALLRCGKIVAKKKHSSLLLTCLSHMLKEKGPEAFFEFDGHDSGIEIKSPFQWPYNRGLSFSCWLRVENFPENGMMGLFSFFTEDGKGYPKMNEAMTHCTIGTKLMPVGEQPISIGYERTFAFTGQMGPIYVFSDALSSEQIKGIYNLGPSYMYSFHGDDSLYRGILDARDGISSKIIFGFNAQASDSRSLFSVSSALDSADRSTFEATIMGGTKLCSRHLPQDIIYCVGGVSVFFPLFSCNAVTDGEQSCHTSVINGKLRAEVIELVASVLDANVSNQQQMYLLSGLSIMGFLLQSATPKLLNIETLSALKYMFDVLRNCGMSKVLLKDAILQIYLNPQIWVHSSYEVQRDLYMFLLKYFETDGRFLPLLCGLPRIIDIVCQYYSEYVDCRCAVGSKSLLRTGNKQVVGDRPKIEEICKLRLLLLSLAEMSLKESEPVRLLGLQLVGKLMAGIPSERKGAKLFTLPIGQSRPLSDNSKNEITAASHLFFYTISERLFKFPLSYNLCAAFFNVLLGGTSPIKEYSQSEQSKNKSCSTSHLVPFFLPQILVCIFRYLQSCQDSSARIRILSELIGLLDSNPTNIEALMEHSWNYWLETSTKLDVLKEYSLVSKGELDNVEIDEVILVRKLYALVLAYYLSAVKGGWHQLEDTVNYFLLKFGQTSSEENIILSQPCCDNVLYLLKLIQELLFNQIGIKLLFPSNPSEESLSSIKWKDDIKSTLNEILIDESHSQYKSFPWKSCQFADEDEKSEDWWNFFDKVWDLICNLNGKGPNKLLPKGPNIEVPSLGQRARGLVESLNVPATEMAAAVVSGGIGTALGAKINRFSEKATLLREEIFPRVFFHLVILYLCKAGLENASKCVLQFMSLLPVLISEDEQSKNKLHFLIWSLLITRSQYGQLDDGARYHVLSHLILETIICGKSMLVPNILGRDDSMEIGNSNKDTGFILNFVQKDRVLAAATVEVKHMKAVQADRLSQLDELQSKLNEHFTEETQLEKMIEDNIHISITSALSADDKRKIAFRLAFDEDQQIVADKWIHISRALIDERGPWSANPFPNDVVTHWKLDKTEDKWRRRLKLKRNYKFDERLCQPSYSRNESTEACVDQSSLSTKVPLKLKRFLLKGVRAIFEDNAYEPIEDTNDTGESSQSSLLENQNPNNVSDLSDYRTAVQNKKESASNNGDNDYTKVLCSVHCVLITPKRKLAGQLDITRTVLHFSFEFLVEGTGGSSVFSKFKEIEDSDCKSDLGSVERLDGGRDYVIKTPNGVLMQKQSNKIKHHRRWNITKIKAVHWTRYLLQYTATEIFFDDSNAPIFLNFSSQKDAKNAGSLLVSLRNEALFPKGSTKGKSKVISFVDRRVALEMAENARDRWIKREISNFEYLMILNTLAGRSYNDLTQYPVFPWVLTDYTSEKLDFNKSSTFRDLSKPIGALDEKRFEVFEDRYVNFDDPDIPSFYYGSHYSTMGIVLHYLLRLEPFTTLHRSLQGGKFDHADRLFQSIDSAYKNSLSNTSDVKELIPEFFYMPEFLENSNSYHLGVRQDGEPLGDVVLPPWAKGSPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGQPAVEAANIFYYVTYEGAVDLENMDDLLQKSAIEDQIANFGQTPIQIFRVKHPRRGPPVPIAHPLYFAPQSIALTSSVSSTISHMSALLFIGLLDNTVILMNEGLILSIKLWLTTQLQSGGNFTFSGPQDHFFGIGSDVISPRKIGTFLAENVNFGRQCLATMQINSDKYLILCGNWENSFQIISLSDGRIVQSIRQHKDVVACVAVSSRGNVVATGSYDTTVMIWHAFRGRPSDHVVMERPVHIFCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGRYVRSIRHPSGIGLSKLVASQHGRVVFYSESDLSLHMYSINGKHIASSASGGRINCMELSCCGQFLVCAGEHGQIVLHSMHCLDIIRRYDGAGKTITSLSVTPEECFLAGTKDGSLLVFSMESPLLRRKSMPRTRIKPPTASY >ORUFI06G26640.1 pep chromosome:OR_W1943:6:25283417:25292641:-1 gene:ORUFI06G26640 transcript:ORUFI06G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATITRFLVFFFLLLVVAVRGDDPYRFYTWNITFGDIYPLGVKQQGILINGQFPGPQIDAVTNDNIIINVFNNLPSPFLLSWQGIQQRRSSWQDGVYGTNCPIPPGGNFTYIMQFKDQIGSYYYFPSLAFHKAAGGYGGIRVLSRPGIPVPFAPPAGDFTILAGDWFKLNHTDLQGILDSGNDLPPPDGLLINGQGWNGNRFTVDQGKTYRFRVSNVGIATSVNIRIQGHSLLLVEVEGSHTVQSTYTSIDVHLGQSYSFLVTADQPPQDYSIIVSTRFTNPVLTTTAVLHYSNSNGALSTVAPPPAPTIQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGLVNTTRTIRLANSRASINGKLRYAVNSVSFIPADTPLKVADFYNIQGVFALGSMPDNPTGGGAYLQTAVMAANMRDYVEVIFENSENFVQSWHIDGYAFWVVGMDGGQWTPASRQSYNLRDAVARYTLQVYPQSWTAIYMPLDNVGMWNIRSESWARQYLGQQFYLRVYSPANSWRDENPIPKNALLCGRASGRRTRPL >ORUFI06G26650.1 pep chromosome:OR_W1943:6:25319324:25323280:1 gene:ORUFI06G26650 transcript:ORUFI06G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEFVELKNTPAAIDAYRRAVDINPRDYRAWYGLGQIYEMMGMPFYAVYYFRKSSYLQPNDARLWNAMAQCYESDQLQMIEEAIKCYERSANNNDTEGIALHQLAKLHGMLGQSEEAAFYYKKDLERMEVEERQGQNFVEALLFLAKHCKSIGRFEEAEHYCTRLLDYTGPERETAKSILQGLKRSQSVLPLMDIDHFAM >ORUFI06G26660.1 pep chromosome:OR_W1943:6:25329237:25329828:-1 gene:ORUFI06G26660 transcript:ORUFI06G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVQRSSQSSALPAAAPAWRSPSSLWKHLAAAGDGEVETVVELLARPGDGASGAGRGRRGVGHIEAKNRAVDGLLEALNKDEKSVLSVLGRANVAALVQLLTAPATKVREKAATVICQFAESSGCEGLLVGRAARMRGEPPAAASRLTFWEPSSPPP >ORUFI06G26670.1 pep chromosome:OR_W1943:6:25332719:25334626:-1 gene:ORUFI06G26670 transcript:ORUFI06G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGGGNGGGEGGGGGGNGGGGGGLKKGPWTQAEDKLLVDHVRRHGEGNWNAVRRETGLQRCGKSCRLRWANHLRPDLRKGPFSPDEERLILRLHGLLGNKWARISSYLHGRTDNEIKNYWNTRLKRRVRAGLTPYPPEIEREIAHLRAQNLNLRRRRRRWRRRHAXLPPLPNRPRELPSNQFETATSGGGGGCDALLESLLLGDDHLLPRPNPAMLRVGSMPELMYREPSSSHVLHGGGGGGGASDSDVTSQYPPGEEDPHYGGRWDFIFEDVKPKRKAASAVEEISGMFGIAPGSIPGEWFNPGGVGSAAAAAGGASAPSPGPAAVRRHHRRRLHPRDAADHVVAAAAST >ORUFI06G26680.1 pep chromosome:OR_W1943:6:25337598:25341452:1 gene:ORUFI06G26680 transcript:ORUFI06G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRGDGGVARRWVLLLCTGSFFLGLLFTDRMWTLPEVTEVARPNGRREKEDELTAGDCNSAKVNVKRDYREILQTQDTHHAVWTLDKTIAKLETELSAARTLQESFLNGSPVSEGHKGSDSTGRQKYLMVIGINTAFSSRQRRDSIRNTWMPQGIKRRKLEEEKGIVIRFVIGHSAISGGIVERAMKAEERKHGDFMRIDHVEGYLELSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSNHVKKPRVYIGCMKSGPVLSDKDVRYYEPEHWKFGDQYFRHATGQLYAISKDLATYISINKRVLHKYINEDVSLGAWFIGLDVEHIDERRLCCGTPPDCEWKAQAGNTCAVSFDWKCSGICDSVENMQWVHNRCGESEKSLWISSF >ORUFI06G26690.1 pep chromosome:OR_W1943:6:25342204:25347055:-1 gene:ORUFI06G26690 transcript:ORUFI06G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKSVVAELAASLRDVEVTPRRKPANSPTNKARPRKLVSLCLGILGQHLEDIITDISEFSTFFPPHIKLAILSIARRRRLLNDEVLISLADSSWEILDISGSDVSDIGLATVANISNNLWAIDISRCERITAAAVSEVICHCPSLEILRCGGCPGSESTARRSVYLLKPKLNTLEEDSWEELDTVEIGGGAESLRWLVWPKIDDNSKEIISMECPRITVNPQPSPFDLRGHKVPAEALASVPLDHSIIADIDPKTWAVAAAPRRPTVPTNPNAPPEIPIAEKFRLAYVEREARLAPKRAKRERQQRRRAEREYLMNDINAKSVALAAQPEESVCQCAASISDGAATANASMNTSAASAAVVGGGGRNTTVLAAASFFRSGYLGPLTAPRKCGTPAPSPAAAAAVGGGEDGGSVFAGGDAGTATAAAAAADRSERAMTLESRSRASAPGRRKRWWWRRSSAWTGAPGGEDLRDGERSSSWRRWWWQSMGEGDACDMADSSAGRFGEFGRGGGGGGARRRLEFIAAAWRESEAGR >ORUFI06G26690.2 pep chromosome:OR_W1943:6:25342204:25347055:-1 gene:ORUFI06G26690 transcript:ORUFI06G26690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKSVVAELAASLRDVEVTPRRKPANSPTNKARPRKLVSLCLGILGQHLEDIITDISEFSTFFPPHIKLAILSIARRRRLLNDEVLISLADSSWEILDISGSDVSDIGLATVANISNNLWAIDISRCERITAAAVSEVICHCPSLEILRCGYELQQNTSIRYENELKSHDCRGCPGSESTARRSVYLLKPKLNTLEEDSWEELDTVEIGGGAESLRWLVWPKIDDNSKEIISMECPRITVNPQPSPFDLRGHKVPAEALASVPLDHSIIADIDPKTWAVAAAPRRPTVPTNPNAPPEIPIAEKFRLAYVEREARLAPKRAKRERQQRRRAEREYLMNDINAKSVALAAQPEESVCQCAASISDGAATANASMNTSAASAAVVGGGGRNTTVLAAASFFRSGYLGPLTAPRKCGTPAPSPAAAAAVGGGEDGGSVFAGGDAGTATAAAAAADRSERAMTLESRSRASAPGRRKRWWWRRSSAWTGAPGGEDLRDGERSSSWRRWWWQSMGEGDACDMADSSAGRFGEFGRGGGGGGARRRLEFIAAAWRESEAGR >ORUFI06G26700.1 pep chromosome:OR_W1943:6:25342322:25342804:1 gene:ORUFI06G26700 transcript:ORUFI06G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHASPSPMDCHHHRRQLLLRSPSRRSSPPGAPVHADERLHHHRFLRPGALARLRDSKVIARSLRSAAAAAAVAVPASPPANTLPPSSPPPTAAAAAGDGAGVPHFLGAVRGPRYPLRKKLAAARTVVFLPPPPTTAADAAEVFMDAFAVAAPSEMLAAH >ORUFI06G26710.1 pep chromosome:OR_W1943:6:25348515:25355406:1 gene:ORUFI06G26710 transcript:ORUFI06G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNDTLVAAAARQRGIDVLLNAESNRESPAAVAFSHNARLLGPHAAGAASSHAPFSSIKRLLLLAGRPTLLPRRGGDLSRLPFPVEASSADGGGGVLVHVDHIGRRIALSPTQLLAMLLGYLRQLAEADLEAPVSDCVISVPCYFTQAQRQAYLDAAAVAGLRPLRLMHDLAATALGYGLYRSDLGGPGGPTYVAFVDVGHCDTQVAVVAFDVSGMKVLSHGFDADLGGRDFDEVLFEHFAEEFRDKYKIDVTGNVKASMRLRAACEKAKKVLSANAEAVVNIECLMEEKDVRGMIRREEFEKLCAGLLERVVEPCKKAMEGSRIGFDRLHSVELVGSGSRVPAIARILAGFFRREPSRTLNASECVARGCALQCAMLSPTFRVREYEVQDAIPSSIGFCTSEGPISTLPSNALFQRGHPLPSVKVVTLHKNSKFKLDAFYVDENELPPGTSTKIGAFQIGPFQAHTEKSKVKVRIRLNLHGLVSVESAALIDDDQSDAHSADSMEVDSNGEMGQQVDKSRSERLIQLPIVQSIYGAMSNQELLEAQEQESQLAYQDKLMERTKERKNALESYVYDTRNKLSERYRSFATDSEREEISLSLQQTEDWLYEEGDDETEAVYNSKLEELKRLVDPIENRCKDEEVRGQATRDLLKFILDHKTAAKSLPTPEQEAVDSECTKAEQWLRERSQLQESLPKNVDPALWSHEIKKKEHELDMFYRNIVRYKGSPARADSSGGSDHMHTTDRD >ORUFI06G26720.1 pep chromosome:OR_W1943:6:25388219:25388620:-1 gene:ORUFI06G26720 transcript:ORUFI06G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSVTIKFTIQPFHFASSFVCLTVGVTSSALHGIGRHWDTLRCKILGILKCIVKYKFWDVVSGTLYVVLSVLTPGNVVGSVLI >ORUFI06G26730.1 pep chromosome:OR_W1943:6:25396257:25398512:-1 gene:ORUFI06G26730 transcript:ORUFI06G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRSKTAQEIEEADCKFFWRSTAKGTALPSRASLSPGAAWFGMGGVGTCRDGTIVTKFPGPLDQRTGSRNVYMDELFVNHGGHRAGFAAPSPELCHPWKDGGSIDKGKMVVKSHLARSRRPDSTPVIMEEEN >ORUFI06G26740.1 pep chromosome:OR_W1943:6:25408982:25414237:1 gene:ORUFI06G26740 transcript:ORUFI06G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAVLVVLWLAVAAAGAGERPSEVSIGALYTYDSVIGRAAGLAIELAVGDVNADRTVLVGTTLSLISQDTNCSGFLGTIEALQLMEKNVVAVIGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFLRSTMSDYFQMHAVASIVDYYQWKEVTAIFVDDDYGRGGVAALSDALALSRARISYKAAIPPNSNAATINDVLFRANMMESRVFVVHVNPDAGMRIFSIANKLRMMGSGYVWIVTDWLAAVLDSSMSGDLKTMSYMQGLIVLRQHFPDSETKREFISKWNNAARNRSIASGLNSYGFYAYDSVWIVARAIDQLLDSGEQINFSADPRLHDSMNSTLRLSTLKLFDSGEQLLQQLLLTNFTGLTGWAQFDSDRNLVRPAYDILNIGGSVPHLIGYWSNYSGLSVAAPEILYEKPPNTSTSAQRLKNVVWPGNSASKPKGWVFPNNGQPLRVGVPNKPSFKELVSRDTGPDNVTGYCIEIFNAAIKLLPYPVPCQFIVIGDGSKNPNYDDIINMVAANSLDAAVGDFAIVRNRTKIAEFSQPYIESGLVIVVPVKEASSSAWAFLKPFTLEMWCVTGVLFIFVGIVVWILEHRTNEEFRGSPRRQVITIFWFSFSTMFFAHRQNTVSALGRFVLIIWLFVVLIINSSYTASLTSILTVQQLATGITGLDSLLSSALPIGYQAGKFTRNYLIEELNVPESHLVPLNTIDEYADALNRGPKDGGVAAIVDEMPYIEIFLSYHCNFRIVGQEFTKEGWGFAFQRDSPLAADMSTAILQLSESGQLQRIHDEWFSRSSCSSDDSEMGATRLGLGSFWGLFLMCALICVFALVMFFARVCWQYSKYSGSEEPDEPKDDSAGTAEIAAEAVAEMQRRRPKRLGSFKELMQFVDKKEEEVRKSMKRRPSEKDNQGVGSSDAQSVA >ORUFI06G26750.1 pep chromosome:OR_W1943:6:25418160:25421714:1 gene:ORUFI06G26750 transcript:ORUFI06G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFQMVDGSAFTPPTPLCTCLRRYISLPPTHSSSTPASYTKLRGEEAESDRSIECSTAAARSKMVDMNDVLLVVSAAVLAAMWWRRCSRTGGADGLPPGPPGWPVVGNLFQVILQRRPFMYVVRDLREKYGPIFTMRMGQRTLIVVTDADLIHDALVKQGAAFASRPEDSPTRLLFSVGKCTVNSAPYGPLWRALRRNFVAEIVSPPRVKGFSWIREWAVGSHLRRLRAEFAATGAVRMMANCRLSICSILICICFGAKIPDELIREIEEVLKDVMMMTMPKLPDFLPLLTPLFTKQLAAARELRRRQLGCLAPLVRARREFIRGGGERNADGNTVVGGVEMVSAPGEAYVDSLFDLEPPGRGKRLGEDELVTLCSEVMSAGTDTSATALEWAMMHLVLDAGVQDKLYGEVVSKVGTTARITEADVEAMPYLQAVVKETFRRHPPSHFVLSHAATRDTELGGYRVPADASVEFYTAWVTENPATWPDPEAWRPERFLEGGEGFDTDITATRALRMMPFGAGRRICPAATLGVLHIQLMLANMVREFRWVPPAGEGPPDPTETFAFTVVMKNPLRAALVERRVGGELATGGGGGAAASA >ORUFI06G26760.1 pep chromosome:OR_W1943:6:25426941:25430836:-1 gene:ORUFI06G26760 transcript:ORUFI06G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNSCACHGVVVTLASCLLLVAAAVSVSVLAAHVAVGRVWSPAGAAAAAGHHHSLSPAWVPSPSSRHAHHARELVNRRVQVGRMEAGLVQARVSIRRASRTRSCTPDDGGGFIPRGAVYRDAYAFHQSYIEMEKRFKVWTYREGEPPVVQKGGAAFAGNDGIEGHLIAELDSSGGGGRHRARHPGEAHAFFLPISVASIAGYVYRRDMIDFWDPQLRLVAGYVDGLAAMYPFWNRSRGADHFLAPILSAAKAELRGNAIRVMCDADMSDGFDPATDVALPPVVASARATPPQGRVASERTVLAFFAAGGGGGGAVREALLARWEGRDDRVVVYGRLPAGVDHGELMRRARFCLCPCGGGEGAAAASRRVVEAITAGCVPVLVDDGGYSPPFSDVLDWARFSVAVPAERVGEIKDILGGVSDRRYGVLRRRVLRVRRHFRLNRPPAKRFDVVNMVIHSIWLRRLNLSLPY >ORUFI06G26770.1 pep chromosome:OR_W1943:6:25431957:25434975:-1 gene:ORUFI06G26770 transcript:ORUFI06G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGRPVPRRESPWGLPEGDKREPKAHRCNDRAEDVVQACFEGNPFKTVPGPFKLFWQCMRSKPGEEPTEPYTYLQLDPPKRAEVKLE >ORUFI06G26770.2 pep chromosome:OR_W1943:6:25431959:25434609:-1 gene:ORUFI06G26770 transcript:ORUFI06G26770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGRPVPRRESPWGLPEGDKREPKAHRCNDRAEDVVQACFEGNPFKTVPGPFKLFWQCMRSKPGEEPTEPYTYLQLDPPKRAEVKLE >ORUFI06G26780.1 pep chromosome:OR_W1943:6:25436085:25448289:-1 gene:ORUFI06G26780 transcript:ORUFI06G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKQRKEAEAAREEEERRRLLEASPLRKEAVEAMRLDREGRHDEAIARADELAAKHPESAVVLHLAAGLHQNASTRSARDGSDGQASVMHLVYARDLYAQAKRLAPNCVQIATGFAMAKVASAKDYEPDREIMRALAISSPTDPAENNVAFDLDRTLSTTMDRLAKAREAALFHSHHIMSHMSAKIIPAVVVDMLDISKREGAATAKKQAKKALVERFGYSARAHLTHAKISLDFARGLDPNIDKKPFLNSILDALNNLVEEFSNSLEIAMFRAKLWFVMGKYCSVEVECDRAIRMEEPTDPREEDVPPGSIPGEKPEDRKSYIRTELKRLLQKLVLVCRDYWCSLASEKQDSFRLVGLKYLHLHFVTFYQDDHEAAKTISDALNFVKKNKSWRFWICPYCVGKKIPDIDSLLQHMRSKHPEGGFWTNLPQVFDPESISDTYQGDHFSDNATICQDSEENYVLHFKRMDDIFKYLFLRAADNIEEKPFSQIREEKCRKGVFILEKIKLKLNNVPTDISSSEFNEACAEIRDLWRYFLEISLMDYRVAISPLAMCFISDQLLTCMTEDKEAASNSIDVAAINAVFPFVDVCPDIDAIFPNVDDAPDGNDADTSTSGWKRIASEVVATILQALHMSETPLHFDFNSEIEEREIESFAELPVLYDEQLCFGDNCKHCGSPKNVDVSPSNTPHIFTIGLYWFGDCENQVQLSEVLVGIAHPIDIKLLCKGVHSSAKYSLASMISYANGRYFCFARDQDKWLISDAETIEAEDSWEQLLERFRDCRLQPEVLFFEIIN >ORUFI06G26790.1 pep chromosome:OR_W1943:6:25450993:25451996:-1 gene:ORUFI06G26790 transcript:ORUFI06G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVATVSVGLAWLGLMAAAASATQFRVGGGRGWSVPDANAEPYNSWAGRMRFQIGDQLLFVYPKEMDAVVVVDQGAYDACNTSSSVAGGGGGRYDDGNTVFTFDRSGPFFFISGNEANCRAGEKLVVVVMADRGGRPAPPPSAVAAGCASSRRAGADAEPGVVATVACASRRHPELGAVSGSYDAEPIAVGFTYGAGACSDEVNTELATGASGHGTVAVNYPWRRGPAAAAAGDRWGERDDAGGARSQRPEWRRRRCSGGGRRRRHVAGSIHRLRHASYLKLSASAVTCSFICAV >ORUFI06G26800.1 pep chromosome:OR_W1943:6:25453132:25458362:-1 gene:ORUFI06G26800 transcript:ORUFI06G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLRLAVPPPRSPPCPRVLPATSGARRCGGLKLVQILCEELRDSRASVLRHLTNGDKDEGDIQRFEDNLSNIRTAEATRDGNRVPYRHFDKPPRATLPTEICTDKSGQEAASCCHDIPRGPKNPRRKKAARASSASSFLSRSRSSSFVTACGDFSELRGGLRVA >ORUFI06G26800.2 pep chromosome:OR_W1943:6:25453132:25458396:-1 gene:ORUFI06G26800 transcript:ORUFI06G26800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVYLDTMRGIERQPSFRHLTNGDKDEGDIQRFEDNLSNIRTAEATRDGNRVPYRHFDKPPRATLPTEICTDKSGQEAASCCHDIPRGPKNPRRKKAARASSASSFLSRSRSSSFVTACGDFSELRGGLRVA >ORUFI06G26810.1 pep chromosome:OR_W1943:6:25468889:25469486:1 gene:ORUFI06G26810 transcript:ORUFI06G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCADYLTNSSAQTPPATCCEGFKSLVSTAPICLCHGINGDLSKFLPLPVDMMKMMTLPNTCGATVPLQTFSMCNTPSVPPLMPSAAPAPASPPSS >ORUFI06G26830.1 pep chromosome:OR_W1943:6:25483170:25486548:1 gene:ORUFI06G26830 transcript:ORUFI06G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDDGPGVNGSRWAKMMSTDSWRWCLGLIYIVAVASIWIAASYIVQSVVDEGVSPFLITYICNSLFVIYIPIVEAARYFEDSINNFWTKLKGKDVADLEQSADLESINLLHGSEEGNTASPTRLPEDILASEAVFPVQAELNVADGSKGLDAKGRWTRARVARVSMVVCPFWFLAQLTFNLSLRYTTVTSNTILSSTSSLFTFLVALVFLGETFTWLKLISVLLCMGGTIIVSLADSGSTANTIATNPLLGDVLSIVSAGLYAVYITLIRKKLPDEKEGQGEVSMAQFLGFLGLFNMLFFFPFALFLNFTKLEPFHRLTWEQVGLIVGKGLLDNVLSDYLWAKAILLTTTTVATAGLTIQVPIAAIVDTLTGHAPHLLDYIGAAAVLVGFAGINIPVGTPQGSQQEQETPIVSMVDDPVHLPSDRNATSMVDDPVYLPSDRNATGAVQ >ORUFI06G26840.1 pep chromosome:OR_W1943:6:25487024:25487650:-1 gene:ORUFI06G26840 transcript:ORUFI06G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYIISGAPPPPAIFCPPPLSPPPPPPPIFYSPPDVSYFSPPALPCYAGFPACTGGGGGGNDGSGGDGHSGGDERRVFVCNCKSWSWVQVFVTMIASLFSACGGAYIYRSQCWKSLKKKKKPPPPLPLYRPRPPPAGDGRPDVTVHHHHHHYGANGGGGHSANGGGGLDGSSSIGTNGGDSGGNDDLYGGGGNGSFGAASDAEACSIM >ORUFI06G26850.1 pep chromosome:OR_W1943:6:25487672:25490369:1 gene:ORUFI06G26850 transcript:ORUFI06G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGRQSRGERETERTPAKKKMGGIRPLASILVLYMEGGGRTHMSDLSAFSISLFLLNNRIDEGTQQRLKPPARLSTAREQVAATAHQERPTAWRRTRSGREREGGGDGGAEREQEVAVREEAVERWPI >ORUFI06G26860.1 pep chromosome:OR_W1943:6:25490817:25491538:1 gene:ORUFI06G26860 transcript:ORUFI06G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQKALFGFIGYCCKKLMLIWACDWQRPCRRPASRRPPCPPRGRTPPGQAPTASRRPPPLRSGHGFWCRRRRPHPPDSWSGTHASSGPPSTVSSSGSASTFLRFSAASTCAPATPPAAVDALLLLLRLSLGVNRMYASNGFRC >ORUFI06G26870.1 pep chromosome:OR_W1943:6:25498589:25501760:-1 gene:ORUFI06G26870 transcript:ORUFI06G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILFVGVLLMSCCFVLISSASGNGTIRPIFSMNQARKCGAIGGTARCKEIVDGYIIEDGSIFQSAGYITKTYEAHYGFIATMDVYGFPLSPGQIVSYGSAWIITDNVDDPVSNLEVIQIGWRVEPGDKRLFSTSIAMDPDLIGRIPMSYYSTLSNSATNIWFGGMVATNATFQPTPPLPMGSGYMPADNGNMAASMKNIQLIDEQGRAWSAGNGLVGFSTKKDDYTFTPIVGDQFFYGGPFQLTSSGTMLRANVVYPLLLVLFFYYLFS >ORUFI06G26880.1 pep chromosome:OR_W1943:6:25502302:25505568:1 gene:ORUFI06G26880 transcript:ORUFI06G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPASPICGDATVPQDTAAAPPRHHVPALPDHGTDAVPQGPQWCRSFNMREQPGWRLHVDDEITSENTVIPV >ORUFI06G26890.1 pep chromosome:OR_W1943:6:25508818:25512051:-1 gene:ORUFI06G26890 transcript:ORUFI06G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPPPPHPAYMHPPPFDSAPPPAAAPPPSDPELQKRIDKVVEYIAKNGPEFEVVIRDKQHDNPDYAFIFGGEGHAYYRYKLWVSPRPPVAPYPPGSMHMMPPPLGPMMRGPPMHQPGYPPFYDQHQHFGAHGHGEYDAAPQQSFKGLSGPLPVDVAAELHDVLTNLNGTKESIKGAKTWFMQRSPFAPALAEALKDRVFALEDSERQLHIIFLVNDILFESLQRRTNSRDLDNEALAFKFVLGSMLARIYNNPQSKDDNQIRLEKILQFWGSKEVYDQETIANLERDMKGGVAYPLPPRHVSPDPSTFSGSVHQPSKWSSDPQEEMATHPLSVPPQPVPSAQFPLNQLPAGVYPPVGQTAFPGSLPVQTPTVLPQTAATPAITNDPNPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTIIPPSTIPESEILERVSKFFKEIGEVNPSEGPMKQSEPDDYDNYERDIPARKGGACIPPPPNLLVNLETGMRADGSVDSKPGSTGRLGLGASADPNEIGQYDDVYSSYRKQRSSTYHSSISARSLAPK >ORUFI06G26900.1 pep chromosome:OR_W1943:6:25513647:25518645:-1 gene:ORUFI06G26900 transcript:ORUFI06G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMMGPPRRGPAYKTKLCALWRQGECNRASCSFAHGDAELRGPPPRSPFPPRGGPGRRDHRPDDFRGRHLRPHRRNSPERDARDHLFRDHRPRSRDRGSSHSRSPIRKRHRKKLEGGKTNSSESLNSSDNEDRKKDDRFNKADDKHDNEAQLQRIQLDMEALHEEKSSLEVILNGKINEASKLSSRIADLESQLNDEKEACERSQARFERFADLLASDTLKPCSKDQGSSAAKEDPYNAYEMSPSDQRQNHETTRKRSVALSTSEEGRNGKKRRESYDNRDPMSEKYRPEDALEPFQSSKGTEAKELLSVKKNLGDGDNNEKGNVVSSANVFTDRYEGGDDDDVLVD >ORUFI06G26900.2 pep chromosome:OR_W1943:6:25513647:25518645:-1 gene:ORUFI06G26900 transcript:ORUFI06G26900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREMMGPPRRGPAYKTKLCALWRQGECNRASCSFAHGDAELRGPPPRSPFPPRGGPGRRDHRPDDFRGRHLRPHRRNSPERDARDHLFRDHRPRSRDRGSSHSRSPIRKRYGARGRHRKKLEGGKTNSSESLNSSDNEDRKKDDRFNKADDKHDNEAQLQRIQLDMEALHEEKSSLEVILNGKINEASKLSSRIADLESQLNDEKEACERSQARFERFADLLASDTLKPCSKDQGSSAAKEDPYNAYEMSPSDQRQNHETTRKRSVALSTSEEGRNGKKRRESYDNRDPMSEKYRPEDALEPFQSSKGTEAKELLSVKKNLGDGDNNEKGNVVSSANVFTDRYEGGDDDDVLVD >ORUFI06G26910.1 pep chromosome:OR_W1943:6:25519373:25521438:-1 gene:ORUFI06G26910 transcript:ORUFI06G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRWREDAVALSLRGCGFGDGDDDRPEKPRRYGVTEMRSPFYAFRPAHHALQEILDSLGPFVDGLKFTGGSHSLMGKELVREITDLAHKHDIYVSTGDWAEHLLRQGPSFFKQYVEECKALGFDTIELNAGSLKLPEEALLRLVRLIKTSGLQAKPLFSVKFDSSDIPPSGDRAFGAYIVPVKQNSERVEDVDLLIRRAERCLEAGADMIMIDADDICQRADSLRADIVAKIVGRLGLEKTMFEASNPNTSEWFVRRYGPRVNLFVDHSDVMNLERLRGFNMRGVCNSPLFGIGSPFFLM >ORUFI06G26920.1 pep chromosome:OR_W1943:6:25523214:25526432:1 gene:ORUFI06G26920 transcript:ORUFI06G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDISSYRLTVSSAQSLQYSAIGLSGEEGESPEKGEMPTVWAALKKSLNCKSGDSCGVIEREESQGGVTAGKKSSSTSAAALRRSGCSRSIANLRDVFHSQYGGSRRQEAAVAVAAAAAGEGDGGCGSPRSIGSNDVLNPVTHDVLLAARPDAKCELRISTPGRGAWAGAGGGVPFPHSPLLLRCSTTPVSTRKSPSAMSPLRRADDDDDNAEAPSPAPARASCEVGVRCHRCGDRFANHDALESHHHSRHAVTELVEGDSSRKVVEIICKAGWAKTENALGRIERVVKVHNAERSVARFEEFREAVKGKAARLSKKHPRCLADGNELLRFHATTLACSLGAGDSSTLCTSGSCSVCRIIRHGFSATREIKDGVGVFTTSTSKRALECIAGDGDGDEAANAGVRKALLVCRVVAGRIHRPLENLQEVAAQPGFDSVAGKVGAYASIEELYLLNPRALLPCFVVICKP >ORUFI06G26920.2 pep chromosome:OR_W1943:6:25523214:25525810:1 gene:ORUFI06G26920 transcript:ORUFI06G26920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDISSYRLTVSSAQSLQYSAIGLSGEEGESPEKGEMPTVWAALKKSLNCKSGDSCGVIEREESQGGVTAGKKSSSTSAAALRRSGCSRSIANLRDVFHSQYGGSRRQEAAVAVAAAAAGEGDGGCGSPRSIGSNDVLNPVTHDVLLAARPDAKCELRISTPGRGAWAGAGGGVPFPHSPLLLRCSTTPVSTRKSPSAMSPLRRADDDDDNAEAPSPAPARASCEVGVRCHRCGDRFANHDALESHHHSRHAVTELVEGDSSRKVVEIICKAGWAKTENALGRIERVVKVHNAERSVARFEEFREAVKGKAARLSKKHPRCLADGNELLRFHATTLACSLGAGDSSTLCTSGSCSVCRIIRHGFSATREIKDGVGVFTTSTSKRALECIAGDGDGDEAANAGVRKALLVCRVVAGRIHRPLENLQEVAAQPGFDSVAGKVGAYASIEELYLLNPRALLPCFVVICKP >ORUFI06G26930.1 pep chromosome:OR_W1943:6:25525596:25527275:-1 gene:ORUFI06G26930 transcript:ORUFI06G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGGGSPEERAAAGGPVCVTGSTGYVGSWLVRALLRRGYRVHATARDPDKAWRVFSAVEEGKDQLRVFRADMAGEGSFDAAATDCVAFFHVAASMDIHVPPQNGNDNNIEEEHVRTRVLEPATRGTINVLQSCVRAGTVRRVVFTSSISTTTAATTTAATGRRKALVDESCLRAAADVWNTKPIGWVYILSKLMTEEAAFGFARENGINLASLVLPTVAGPFLTPNVPTSIQLLLSPITGKQILHHTSDPKLYSLLASVHSRFGCVPLAHIQDVCDAHVFLMETEQADGRYLCAGGSYPMAQIAQILLSKDFHGSNPSVVSSKRLRDLGFRFEYDVEEIIKNSVVQCVDHGFLQDPDSSNC >ORUFI06G26940.1 pep chromosome:OR_W1943:6:25528106:25529974:-1 gene:ORUFI06G26940 transcript:ORUFI06G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAALQGAMASLSISAPGAASTSSFWGHPLSTFAAAPAGVKFMIKTCPIQMRLKRWERKKCKPNSLPVLHKMHVRIGDTVQVIAGREKGKVGEVTRLFKHNSTVIVKDLNLKSKHKKGTEDEPGEIVMIEGPIHSSNVMLYSKEKNVASRVGHKFLEDGTKVRYLVKTGEIIDSAENWVKVFKEGSSE >ORUFI06G26950.1 pep chromosome:OR_W1943:6:25530901:25536377:1 gene:ORUFI06G26950 transcript:ORUFI06G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDIGMDAYRFSISWSRIFPNGTGEPNEEGLSYYNSLIDALLDKGIEPYVTLFHWDLPQALEDRYGGWLNSEIIEDFVQYAFTCFKEFGDRVKHWITFNEPYNFAIDGYDLGIQAPGRCSILSHVFCREGKSSTEPYIVAHNILLAHAGAFRAYEQHFKHEQGGLIGIALNSRWYEPFSNADEDTEAAARAMDFELGCITDFSACTMAAYRHGKKIGETAASSWLHIVPWGMFKLMKHVKEKYGNPPVVITENGMDDANHPFSRLEDVLQDDKRIQYHNDYMSNLLDAIRKEGCNVHGYFVWSLLDNWEWNSGYTVRFGLYYIDYKNNLTRIPKASVQWFSQVLAQKTAII >ORUFI06G26950.2 pep chromosome:OR_W1943:6:25531367:25536377:1 gene:ORUFI06G26950 transcript:ORUFI06G26950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDIGMDAYRFSISWSRIFPNGTGEPNEEGLSYYNSLIDALLDKGIEPYVTLFHWDLPQALEDRYGGWLNSEIIEDFVQYAFTCFKEFGDRVKHWITFNEPYNFAIDGYDLGIQAPGRCSILSHVFCREGKSSTEPYIVAHNILLAHAGAFRAYEQHFKHEQGGLIGIALNSRWYEPFSNADEDTEAAARAMDFELGCITDFSACTMAAYRHGKKIGETAASSWLHIVPWGMFKLMKHVKEKYGNPPVVITENGMDDANHPFSRLEDVLQDDKRIQYHNDYMSNLLDAIRKEGCNVHGYFVWSLLDNWEWNSGYTVRFGLYYIDYKNNLTRIPKASVQWFSQVLAQKTAII >ORUFI06G26950.3 pep chromosome:OR_W1943:6:25532417:25536377:1 gene:ORUFI06G26950 transcript:ORUFI06G26950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTLVHILVSFSACVEAISRADFPPGFIFGTASSAYQYEGAVNEGQRGPTIWDTLTKRPGRVIDFSNADVAVDHYHRYKEDVELMNDIGMDAYRFSISWSRIFPNGTGEPNEEGLSYYNSLIDALLDKGIEPYVTLFHWDLPQALEDRYGGWLNSEIIEDFVQYAFTCFKEFGDRVKHWITFNEPYNFAIDGYDLGIQAPGRCSILSHVFCREGKSSTEPYIVAHNILLAHAGAFRAYEQHFKHEQGGLIGIALNSRWYEPFSNADEDTEAAARAMDFELGCITDFSACTMAAYRHGKKIGETAASSWLHIVPWGMFKLMKHVKEKYGNPPVVITENGMDDANHPFSRLEDVLQDDKRIQYHNDYMSNLLDAIRKEGCNVHGYFVWSLLDNWEWNSGYTVRFGLYYIDYKNNLTRIPKASVQWFSQVLAQKTAII >ORUFI06G26960.1 pep chromosome:OR_W1943:6:25539105:25539482:1 gene:ORUFI06G26960 transcript:ORUFI06G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQRQQQQMMQEGFEDYLPVMAERLGEEGLMQELASGFRLLMDPASGLITFDSLRRNAPLLGLGGMSDDDLRGMLAEGDFDGDGALSEMEFCVLMVRLSPDLMDEPRRWLDDAVAQASQFLFH >ORUFI06G26970.1 pep chromosome:OR_W1943:6:25543121:25545987:-1 gene:ORUFI06G26970 transcript:ORUFI06G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVQVQLLVTPILCVSRRCLASSDTISASSAVSGGRTVVSRGGSFQLGFFRINASSSSSSSRNGSCYYVGIWYKKAVSPCTPVWIANRAAPVADRATSRLAIAADGNLVLINEADELVWSTNVSSAAAAAAGAGSNGTVAVILDTGNLVLRRKNDVDEVVLWQSMDHPTDTWLPGARLGLNKVTGEAQVLTTWKNSGDPAPGVFSLGIDPAGTSQYFIVWNRTVPYWASGEWNGDIFAGIPEMTSHYMYNFEFVSDANGSYFTYSLQDPAIISRLVVGVSGQVTQLTWAPSADEWILIWTEPHRLCDVHAVCGAFAVCDEKSEPLCSCLAGFRAASPGDWDLGDHTKGCRRNTPLQCASTSTVTGDKDDDDFLLIAAEESIVCTGIRRSRLQTDEAAGAANLYLRLSAMGVPSKSSKKTIALACAGAAAALILALFVAMAFVLVRMLRRRRSMRFAQALERGNRVAFRYSDVRRATKNFSEKLGGGSFGSVYKGKLPGAGAAVRLRGFCSGRGGERLLVYDYMPNGSLDKALFGKSAAAAVLSWGARYQIAVGAARGLLYLHQGCRDCIIHCDIKPENILLDGALVAKVADFGLAKLWISGVPVTAKADVYSFGMVLLEIVSGRRNARCSSASEYFPLVAARKVLDGEVAGLLDERLDGEADMEELDRACRVACWCVQDEEANRPTMEQVVQALEGVIAVDVPPVPVSLKVFADDASSYFSDECSQLKSSS >ORUFI06G26980.1 pep chromosome:OR_W1943:6:25548922:25549233:-1 gene:ORUFI06G26980 transcript:ORUFI06G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLSKKASSFVVTASMSAVEALKDQAGLCRWDYALRSLYQRAAAAKQVTGRAVPVSLSSQTGGAAASSSPAAACGRAARSKRSEEEKMQKAYHLVCWGPN >ORUFI06G26990.1 pep chromosome:OR_W1943:6:25551785:25552069:1 gene:ORUFI06G26990 transcript:ORUFI06G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGRECKRESAFRAASLLRERDAAKGEQQQRQPSVDGRGRQATEAEAEAEAMTMSRARARARAWEAEVRRRHAAETEIMKTEKIMHLLLWGPN >ORUFI06G27000.1 pep chromosome:OR_W1943:6:25554064:25555359:1 gene:ORUFI06G27000 transcript:ORUFI06G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPLIPLVHVWNNAAFDDSSCSRSAWLPQSPAVAAVRKGDKENHRPEVVDVAAGYDVEAEIGHIEAEILRLSSRLHHLRVSKQPEPNRDDAPMGEMVAKVRPRPRGLSLGPLDVISIVNREKHPLRTKQPPATRGRGLSLGPMEIAAANPRVPAAAQHQQQQRAGTARILKPIKEPPVQRRRGVSLGPLEIHHGVGSKAPAAARAKPFTTKLNAIREETRPSKQFAVPAKPWPSSNTRQTLDSRQGTAASRAKARSPSPRPRRQSNGKATDTRGGNKVVDELKPKGASSSQSGSAAAAATAKRMAGSSKMRVIPSRYSLTPGASLGSSGAQERRRKQSLPGSSGDANQNEEIRAKVIEPSNDPLSPQTISKVAEMLPKIRTMPPPDESPRDSGCAKRVAELVGKRSFFTAAAEDGRALDVEAPEAVAEA >ORUFI06G27010.1 pep chromosome:OR_W1943:6:25557214:25559028:-1 gene:ORUFI06G27010 transcript:ORUFI06G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAEEGAVDGSCHGEAAAEALEVLRSMAMASSSLTCSIPQFPAKWRSIKDKLRQLCSGLDSLCGSVGFGVDVGGDGDGDGEERHGALVQLVASSSATVRSIQAMASQCGDGTYKGGRLRLRSDLDNLSSKLEAHMKQLREMASSGMPSPSQAIVAVRPSADAGAGEKMFYMRDLFSRVRIGGSVQRSQSLATIGELLAEDEVCVKIVAVDIDDGVALLTGFLESSDARLQEEAAGAVAMVASFDSYRGMLVKAGVIAPLVQMLDDAAATAAVAGGSGATAVAKERAAQALRELTENSDNVWAVCAHGGLTTLLHACADAGSGGKLVASSFAVLRNLSRVEEVKVFMAEQGVVTELVKLSQKKEEARKLGAVELLHAMALDDADVREEAVSMGVIQSLLQLIYPDLPYSYKAREVALAAIWFFCFSSVNSIDDLISSDVLGWLLFYLNNGDYAVLECTLKILRHLSEVSEEYNRMMGRAGYLSALSSLLGAKSCRVREMAAQVLSSLLLLHPNRVIFIQDGDNLNRLLQLLDPAEGKLVAKDLILSAILSLAEINSGRKKIISSEHFSSLKELADTGDFDAKKVVKKLGTNRFQTIFSKIWSV >ORUFI06G27020.1 pep chromosome:OR_W1943:6:25562951:25567251:-1 gene:ORUFI06G27020 transcript:ORUFI06G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEERRMGFFFFASRAAARFLGEARIIHPGVSTAALLVAAASGGGLVAYADSAGENASSETSQEAPRKKKVVVLGTGWAGTSFLKDLDCSKYEVKVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRKMLEKKRKDVAFYEAECFKIDASKKAVHCRSAVGTNFDGNGDFMVDYDYLVVALGATVNTFNTPGVMENCYFLKEVEDAQKIRRNVIDCFEKASLPNISEEEKRKILHFVIIGGGPTGVEFAAEMHDFLVEDLVKLYPAIQDFVKITIIQSGEHILNMFDQRIATFAEMKFQRDGIEVNTGFRVVKVSDDLITMKSKSLGEVSVPYGMAVWSAGIGTRPVIMDFMQQIGQTNRRVLATNEWLRVHECDNIYAIGDCASITQRKIMDDISTVFKMADKDNSGTLTLKEINDVLEDICIRYPQVELYMKSMHMLDIRDLIKDAIGDSHKESMVVNIEEFKKALSHVDSQVKSIPATAQVAAQQGHYLAECFNKMDQCKEHPEGPLRMTGTGSGRHNFRPFRYKHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSQTSELAHTDAGGIRLDSEVHIWEGFKPNLALPNAASFLVEITLLNNFVVFGCV >ORUFI06G27020.2 pep chromosome:OR_W1943:6:25562951:25566991:-1 gene:ORUFI06G27020 transcript:ORUFI06G27020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFFFASRAAARFLGEARIIHPGVSTAALLVAAASGGGLVAYADSAGENASSETSQEAPRKKKVVVLGTGWAGTSFLKDLDCSKYEVKVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRKMLEKKRKDVAFYEAECFKIDASKKAVHCRSAVGTNFDGNGDFMVDYDYLVVALGATVNTFNTPGVMENCYFLKEVEDAQKIRRNVIDCFEKASLPNISEEEKRKILHFVIIGGGPTGVEFAAEMHDFLVEDLVKLYPAIQDFVKITIIQSGEHILNMFDQRIATFAEMKFQRDGIEVNTGFRVVKVSDDLITMKSKSLGEVSVPYGMAVWSAGIGTRPVIMDFMQQIGQTNRRVLATNEWLRVHECDNIYAIGDCASITQRKIMDDISTVFKMADKDNSGTLTLKEINDVLEDICIRYPQVELYMKSMHMLDIRDLIKDAIGDSHKESMVVNIEEFKKALSHVDSQVKSIPATAQVAAQQGHYLAECFNKMDQCKEHPEGPLRMTGTGSGRHNFRPFRYKHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSQTSELAHTDAGGIRLDSEVHIWEGFKPNLALPNAASFLVEITLLNNFVVFGCV >ORUFI06G27030.1 pep chromosome:OR_W1943:6:25572411:25573056:1 gene:ORUFI06G27030 transcript:ORUFI06G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPGFQLESGASIQPGDFIPGVSRPNGARQYMALKVFKDSASGDWLVYYGFNSDPELIGRLSKSIFSGLAYKAIALWFSGMAINNATFQPTPALPPVGSGYMAGHGSNMAASMSNIQLIDEQGQALSTAKHFIGFSSNPKLYSYTAIVDDQFFYGGPGRTTSSDATSSTTAIYSLLALFILLLL >ORUFI06G27040.1 pep chromosome:OR_W1943:6:25577779:25578252:1 gene:ORUFI06G27040 transcript:ORUFI06G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPPPPPPLPPPAVALIIAAGGIYTHASVDSNTNPKANGNKMSVESLLYMVATIAVAALLLVGVRSVIHWCISKLKKKKQSVSKQPTTMETATAPPSGPHFTGPIYTVNVFNYGGVGGYSDVGSYGYGDGDGYSYSDGDGECQAPQAAWNYFMSS >ORUFI06G27050.1 pep chromosome:OR_W1943:6:25578368:25579680:1 gene:ORUFI06G27050 transcript:ORUFI06G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASAGVLQSARSESVRGVVCVAHGSGRKPRCPGERVAHGDIERVLWNVAQWPAWRLAPGVSPDYKKPLYSFISPHHECVWVYMLVVEYADI >ORUFI06G27060.1 pep chromosome:OR_W1943:6:25580589:25583246:1 gene:ORUFI06G27060 transcript:ORUFI06G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPGLLLICLLMILPVLSTNRSERGGAELVHRSNWVQPLNRAAVDSEAVHMVGYITQPKEDGYYGFIVTMDVLPGDERPVFYLARTMSAAGAPTTGVDVYCPGFQPKKDASTRPGDVIPSISELNGTRQYIALKVFKVS >ORUFI06G27070.1 pep chromosome:OR_W1943:6:25585764:25587600:-1 gene:ORUFI06G27070 transcript:ORUFI06G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSGAGGGAPPPPPPSPPSVYPFLPPATFIPPPPPAATAEPYAPPSLIISPPPSPSSVLHYWGLAVAVVLVACTISIWMGYMLAKFERRRRRRKKKEEKEHAAAGLAAAAAAAPCSCNCACCGGGSCAARVHIQQQNIFSGAAAGAGAGDEYDDEDRCCEVGLAMVLVATTVGGAGAEEEEVVALLSSSSSAPGKKVGTAVAEARGLPRLVRFAELPDYLKDNEFILGHYRCEWSVCDALCSAFA >ORUFI06G27080.1 pep chromosome:OR_W1943:6:25590101:25592201:-1 gene:ORUFI06G27080 transcript:ORUFI06G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDRVEALPDDGLPAAVAPVEAAAPVGEDPMVVIPDDAVLRIISFLPLESAIRTTVLSKEWLRLWKEDWGQKSRRYEIRARCAPSVEGLLKILEEERRLERLSVVVHTIMKSSHLREIIERSADRRVEQLHVELTNPNVVDKVRFHLPILSRVIALQHLSLRQIVVSKMRFTPQQRFNELSEICFHFVSIESYMLRNVITRCPNLRFLDLRSCFDLDAVAISPGGPKLSSLTIAGCKHLNKVNVVGVTSLRSVFYSGRFLSSFYLPRTSRRSSFTDLYICYDGPIIPMIVPSLPDEERTTQLKWLGGFRRLKELQLLMFDIKTINVFDIYAFLQSFHFPNLTKLFLQLPRIREDVQEAVREDVLQSMSEGVPEYALDNLKVVRMMNFNRTRIEMHLVRFFLRKARNINSLQLVSLFHNAIPLGLAVQQGDIIQGALASGVIQESNSGAGTTQPCHSEVFIDF >ORUFI06G27090.1 pep chromosome:OR_W1943:6:25594017:25596047:1 gene:ORUFI06G27090 transcript:ORUFI06G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRWAALLLGVAVVLAVAAAGARAQDYNNGGGGDGEDEEEEEKPSFKAQEACNGAFLTYTFTEREKEYPRTKNATAQAFAFKATATVLNTMTEDLKAWQMFVGFQHKEILVTVGGAVLLDGTDLPANVSGGVTFAGYPMANLLNSIETAGDLTQIQAQIDITGTQFGVKPPTAPMPRTIKLSNPGFRCPKPTHKQSVMYVCCVKDPKFKAKKVNTTTRYLPRQKADLTIAYDVLQAFGNNYMVQVTIDNWSPIGRLDNWNLTWEWKRGEFIYKMRGAYTLNKEGPACVYSPAAGYYKDFDFTPAYSCEKRPIVVDLPPEREKDKDVGNIPFCCKNGTLLPPSMDESKSRAVFQMQVFKLPPDLNRTALYPPQNWKIIGKLNPQYTCRQPVRVSPVVFPDQTGLMSSTPAVASWQVACNITRPKRRAAKCCVSFSAYYDDSVVPCNTCACGCGGGGGGGNGTATCDADARATPLPPEALLIPFDNRTAKARAWAKIKHRRVPNPMPCGDNCGVSVNWHIMNNYKSGWAARITIFNWQDYTFKDWFAAVTMRDHYSGYENVYSFNGTKMGAPFNNSIFMQGLPGLTYLEPIIDGRTPEDPRVPGKQQSVISFSRKDAPNVNIAKGEGFPKRLYFDGEECALPDTIPKPSSAHRRASLGQIVMAVVLVMVVDSLCL >ORUFI06G27100.1 pep chromosome:OR_W1943:6:25598470:25600726:-1 gene:ORUFI06G27100 transcript:ORUFI06G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFASRVGFCLANKATTAQGGGGGGGGSPVSCLVRNLRKLRGFSGPGPCRVTVGPTLWKGYLNLDDGEEAAEVDDDRNLDQPPEKDAEAAKVDVDRKLDQLPEKDAEAAKVDGDRITALQEKARARILDFLPIKSVILMGSLSKRWREMYGLYWRDVAVDVELPTDGDALRKLEERAGQQDPKRRLRYFFLLVVERKNVQREYFNSCLEYAGKCSPEVIHISNRGAAGRKFKMNLTSKQLVRLSLIGVALGHFQGKFCEGVSFPTLEEIHIKNSTINKMDDLKNLVGACPILRVLDLRGCKTITQIDVDTAGEHLMSLTVMDCERVRLLTAGKHLRSFRYSGNFLTSLSLPDNDSLADLFISFPQDQSTPGPGNSLKRLPDLSNLTFLTLCSTSLRAVTVAGNTIQTNLRSLRELQLLMFKLEPINLSDVRRFLNTCGYYPQLTKLFVQLPERDCTYTENTSSENVEGEQQDGFEKLNVVKMTNFKYDWNEIRLLQFLFKKAKLLQKLILVRPIPVSVDRPFRLQVPANVQLTDCADDSTVKSFHSELLTWKTN >ORUFI06G27110.1 pep chromosome:OR_W1943:6:25600818:25606807:1 gene:ORUFI06G27110 transcript:ORUFI06G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGGLLGFGVGLPLGIAVAYLVYLRLFAPRRRLQDPIIRPLRDLDSETLQTTIPDIPLWVKCPDYERVDWINKFIFDMWPFLDKAICNTIRSVIRPTFDQYVGQYGIKSIEFGHLTLGALPPTFQGIKVYEMREKELVIEPVIRWASIANVIVKVKVHSFQVSAQLLDLHIMLTPRVTLKPLVPSFPCFANLCVSLMEKPHIDFGFKLLGGDVMAIPGLHRFVREKISKQIANLYHWPKLIQIPILDEASGATKKPVGILHVKVIRAMNLLKMDLLGKSDPYVKLRLSGEKLPSKKTSIKMSNLNPEWNEHFRFIVKDPETQILELRMFDWEKVKMHDKLGMQVVPLRLLTPYESKLFTLDLLKSMDPNDPHNKKNRGKLVVELTFDPFRDDSNSTILMSDGEGNVSVKRDVPPSGGLLLVSVENAEDVEGKRHTNPYAVVHFRGERKETKIIKKTRDPRWNEEFQFMVDEAPVDDKIHIEVVSKRRGLRLPFRNKESLGHVDINLVDVVNNGRINEKYHLINSRNGMVHVEMKWSTV >ORUFI06G27120.1 pep chromosome:OR_W1943:6:25629424:25635086:1 gene:ORUFI06G27120 transcript:ORUFI06G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTRDRTKSPGGHPTSPEGRLHAFVYLYFPNKREMITFVDSAAKERERESDKCLDPQLWHACAGGMVQMPPVSSKVYYFPQGHAEHAQGHGPVEFPGGRVPALVLCRVAGVRFMADPDTDEVFAKIRLVPVRANEQGYTGDADDGIGAAAAAAAQEEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGVGGPEFLPPPPPPPPTPAAGGNYGGFSMFLRGDDDGNKMAAAARGKVRARVRPEEVVEAANLAVSGQPFEVVYYPRASTPEFCVKAGAVRAAMRTQWFAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVSWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLCVPLYPELPIDGQFPTPMFHGNPLARGVGPMCYFPDGTPAGIQGARHAQFGISLSDLHLNKLQSSLSPHGLHQLDHGMQPRIAAGLIIGHPAARDDISCLLTIGSPQNNKKSDGKKAPAQLMLFGKPILTEQQISLGDAASVAVKKSSSDGNAENTVNKSNSDVSSPRSNQNGTTDNLSCGGVPLCQDNKVLDVGLETGHCKVFMQSEDVGRTLDLSVVGSYEELYRRLADMFGIEKAELMSHVFYRDAAGALKHTGDEPFSEFTKTARRLNILTDTSGDNLAR >ORUFI06G27120.2 pep chromosome:OR_W1943:6:25630931:25635086:1 gene:ORUFI06G27120 transcript:ORUFI06G27120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITFVDSAAKERERESDKCLDPQLWHACAGGMVQMPPVSSKVYYFPQGHAEHAQGHGPVEFPGGRVPALVLCRVAGVRFMADPDTDEVFAKIRLVPVRANEQGYTGDADDGIGAAAAAAAQEEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGVGGPEFLPPPPPPPPTPAAGGNYGGFSMFLRGDDDGNKMAAAARGKVRARVRPEEVVEAANLAVSGQPFEVVYYPRASTPEFCVKAGAVRAAMRTQWFAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVSWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLCVPLYPELPIDGQFPTPMFHGNPLARGVGPMCYFPDGTPAGIQGARHAQFGISLSDLHLNKLQSSLSPHGLHQLDHGMQPRIAAGLIIGHPAARDDISCLLTIGSPQNNKKSDGKKAPAQLMLFGKPILTEQQISLGDAASVAVKKSSSDGNAENTVNKSNSDVSSPRSNQNGTTDNLSCGGVPLCQDNKVLDVGLETGHCKVFMQSEDVGRTLDLSVVGSYEELYRRLADMFGIEKAELMSHVFYRDAAGALKHTGDEPFSEFTKTARRLNILTDTSGDNLAR >ORUFI06G27120.3 pep chromosome:OR_W1943:6:25631210:25635086:1 gene:ORUFI06G27120 transcript:ORUFI06G27120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MITFVDSAAKERERESDKCLDPQLWHACAGGMVQMPPVSSKVYYFPQGHAEHAQGHGPVEFPGGRVPALVLCRVAGVRFMADPDTDEVFAKIRLVPVRANEQGYTGDADDGIGAAAAAAAQEEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGVGGPEFLPPPPPPPPTPAAGGNYGGFSMFLRGDDDGNKMAAAARGKVRARVRPEEVVEAANLAVSGQPFEVVYYPRASTPEFCVKAGAVRAAMRTQWFAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVSWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLCVPLYPELPIDGQFPTPMFHGNPLARGVGPMCYFPDGTPAGIQGARHAQFGISLSDLHLNKLQSSLSPHGLHQLDHGMQPRIAAGLIIGHPAARDDISCLLTIGSPQNNKKSDGKKAPAQLMLFGKPILTEQQISLGDAASVAVKKSSSDGNAENTVNKSNSDVSSPRSNQNGTTDNLSCGGVPLCQDNKVLDVGLETGHCKVFMQSEDVGRTLDLSVVGSYEELYRRLADMFGIEKAELMSHVFYRDAAGALKHTGDEPFSEFTKTARRLNILTDTSGDNLAR >ORUFI06G27130.1 pep chromosome:OR_W1943:6:25652829:25655753:1 gene:ORUFI06G27130 transcript:ORUFI06G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRMAAAVAAMVAAVAISLAAGGAAQSSPSTPSCASKLVPCAQYMNGTDTPPAACCDPLKEAVKNELKCLCDLYASPEIFKAFNINISDALRLSTRCGISQTTSMCPGNSPTNSPPASPSGGKNAGHRTMSVGLPGLMSLFLALWSVLA >ORUFI06G27140.1 pep chromosome:OR_W1943:6:25656367:25663416:1 gene:ORUFI06G27140 transcript:ORUFI06G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLSASAMLSRAASLRRAAAAAVAGFSAAAARPETGLYGFDVLRTAKGFRRFVDDAIEREFMDEADKASMRIYEHLQYLNTNTTLYNAILKAESEGVMLTEEARRAATTLRVDFEKGGIHLPKGWPTRVILVLLVFHLSVAKSIISSMADKLEHVNHLNLEIAQLGRNCMSARLQLTISKRSHYLVTFACWFSENVMNKPGFVDIYPASRIPRNMQRHFKSIHRIKPWGDEEQRNQMDTTKQKGLRIVTDSGTLSTALRWVSDEEIRKQVYLVGNSEPRENITVLDKLINARDKLAKTMGCKSYGDFAIHPNMAASVDVVMSFLKDLSGAVRHKADEEFKRIQDFKRTVCNEKSAKLEPWDEDYFIGMMKSSVHNLDVSVVAAYFPLSQCLKGLNVLVESLFGATFHQIPMGDGESWHPDVMKLSLHHPDEIVALVCNFSSSSKIMARLNHWDVETLFHEFGHALHSLLSRTEYQHFSGTRVALDVAETPSNLFEFYAWDYRVLRKFAVDETTGDPIPEKLVKALNASRNMFPATELQRQIFYSIMDLTLFGEQGSKPMDTISTVADLRRKHTSWKCVEGTHWHTRFTHLINYGAGYYSYLYARCFATTIWQEVCQDDPLSRSTGSALRDKFLKHGGAKDPSALLKDFVGDSIIINSGGGIIPDISSLCKEVGL >ORUFI06G27150.1 pep chromosome:OR_W1943:6:25663872:25665113:-1 gene:ORUFI06G27150 transcript:ORUFI06G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCRSVPHEHSAAYYGCGGGYDYEDVSGGGGAAAKSYSFNGPSARDDPEAKRRRRVAAYNVFATQGRLKSTVRSSFKWIKSKFSDIRYVNSCNILRMIHIRKNQVEEIYSDMDADLIPSCLPIPNPGHPVSPNQGSKPTVITP >ORUFI06G27160.1 pep chromosome:OR_W1943:6:25671301:25673134:-1 gene:ORUFI06G27160 transcript:ORUFI06G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQGGKAKPLKAPKAEKKEYDESDLAYLQKKKDEEKALKELKAKAGQKGALGGSGLKKSGKK >ORUFI06G27170.1 pep chromosome:OR_W1943:6:25673236:25678738:1 gene:ORUFI06G27170 transcript:ORUFI06G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDARGRGVHERLTRELEIDLSTSGASSHCHWHCQLATEKSSYAAISITISRMSLLPLLLRPVVRAAASVARRPASAVATVLHRAGALPRNRGLERLVRDDMLVGGDDNFIAHFVAQDNLVQPQAFLRALTRAASSSKSGIFGCTCTVLGQSGCSEVDRAGTFTSSSRQTDSPGSEIALPGGCMEPLRSDCRRGSLSDRQILRENNPRCQGGKSATFSDSP >ORUFI06G27180.1 pep chromosome:OR_W1943:6:25675598:25679487:-1 gene:ORUFI06G27180 transcript:ORUFI06G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSLLNSKFYNKCKHAFKCIRTRLALIRRKKQAMIRFMKKDIADLLTNGLDTHAFGRMDGLIIEMNHASCYDMIEQFCEYIGKQLNSLQKQRDCPQETREAVSTLIFAAARFPDLPELCDLRHIFTGRYGNFLEPFVSLEFVQKLDNKVFTNEEKIQAMQSVSEELLVDFDIKALKIKLWATPETKHDLPAKDSKKQVEPLSSKKKGDDAAPSGRKSEAATLGHKKKLEASLKQQKDVHPVADGIDRLRENTRRQHADKSDGKGHVEKPVSDSEMKRRNIQKEVQKANKKDGRPCEKELMEAVELDLNGLPKKEFGSLKVPEAESKKTFALNVKPKKDNDLEKENESNLGHHHRSHMPCAADHADSGLRTLGLDKQGLQSVNPLNGNTKNRMPPYSKLDGSTGKKCTEKEENTGCLNARPHHLADKGNPVQDRQPVPERAAYVRPPYIKPKLNMETVNDDPAERAASDYSKRAIPEQTDHLSDKDPLRPVSVRSKYAKLPAPAAVYDEAPANEKVSSRTPSSHRRHTSRQNAVDDGSARRDGSRQPHGGKGMDDVNGENVQRTPSSRPRHSGRRNGALYTEDYDGFVQRHKSEEDEAAIDFGNLLPRTGNGHRRHKSRNTDACSGVDEEERMMDKLLRHYSKKGLDAEINPAPTNKAEEQSERKGSMHPPGRAISLPGESVCRDEDVKVPARSTSLQPDCPKTVHVHPKMPDFDELAARVSALRKA >ORUFI06G27190.1 pep chromosome:OR_W1943:6:25683131:25684437:1 gene:ORUFI06G27190 transcript:ORUFI06G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDVIQAKLVVYLGIFNILESTIGAAFFSQVLIMDEATVKLDIWDTAGQERYHSLAPMYYRGAAAAVVVYDISSTDSYIRARKWVDELQRQGNPHLVMALVGNKVDLEEKRQVGTQEAMEYAERNGLFFIETSAKTSQNVTELFYELGKHYRNNSVGFNSLMHRRLIITSTCCCQLIGWSKCDLTALPGWFSTTAGAAATTAAAGHGGSVAPADAPLPTPEIRHP >ORUFI06G27200.1 pep chromosome:OR_W1943:6:25684827:25690151:1 gene:ORUFI06G27200 transcript:ORUFI06G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENACEAGLPLSVAVSVPPVMVDGETVPKEVEECEDEEEEEEYAGDAPPPWKVMGSDDEGETEAGEEEEIAEADGEEEAADVEEVEYEFYDSDGSEDEGDGEEVDPAVASAAQFVPEGQFLGSAQFAAYGCAAGFMRVAAVEADPADGQEILVLYRYTLLKRAWSDPAGVELSMWAKVSKIHRLRFIVPASGDPASSLPFAGLSLSPLIYHNDYVEELETLWSKLAAQVRVPPVATRVQVIVDVGILRAGDNTPERREYMRAELEAKKEMPWPGKLLGMELHVPEPVVAAAASCKRDSSEEVFDDAPAPPAKRRKVFDAGEECPVCLDELEDGVVAWPGCSVAHVFHGQCLETTLKGSQMCPICRRDLGLKTLQEMAAPSCPPRDDNTTSSPRDDDAASPRAPLPSLSLHTFFLLAMPPPSLPFPSLAYQFVLVLWPNLANPNIEEEAPAISVVDYELTDDDESGDEADEPTKARDGEAPAARGELPLVPAPFVPEGEFLGPARFATAGCAAGFMRVAVVEGDGGGGGQEIVVLYRYTRYSGTWSGRKGVEVSRRTKLNRLRFVVSPAAGMASSLAWAGSSLAPLIYPYFFRRELLELWSSLIMAAPASIVPPGATRVEVLVAVGILRPFDKRPDRMEYMRRELEAEAAAAWSWPGHYVGLDLNLPEPVLCDRGATAGEVLSEEEGDGAPPPAKKRRRAVAGVAGEECPVCFFQLETDLVAWPGCSVRHVFHGDLVYVHLNGSRNGVLGSAKSLS >ORUFI06G27210.1 pep chromosome:OR_W1943:6:25690432:25694499:-1 gene:ORUFI06G27210 transcript:ORUFI06G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHATAAAAATRASSSPAKRDAESSASSSPLVASSSSPRGGGGGDGKDGAPRSSAPLHHQKYHLPSPLRSLLALEDPRSPTASASYRILVAVVACLFVAALVSAPSVWSRINAPYLCRKDGIRLHCPRVNERESLWENPHAAAASWKPCAERRNLVPENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDYFINYLKDDVRIVRDIPEWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKDKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPEIEEMAEKLATRMRNRTGNVNPYMALHLRFEKGMVGLSFCDFAGTREEKAMMADYRQKQWPRRFKNGSHLWSLALEKRKEGRCPLEPGEIGIILRAMGYTKETQIYVASGQVYGGSNRMAPLRNMFPNLVTKEDLASKEEIEHFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGFRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWATFVEDVMITHQTRTGLPESTFPHYDLWENPLTPCMCRA >ORUFI06G27210.2 pep chromosome:OR_W1943:6:25690432:25693569:-1 gene:ORUFI06G27210 transcript:ORUFI06G27210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLFCDCMQYLVPENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDYFINYLKDDVRIVRDIPEWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKDKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPEIEEMAEKLATRMRNRTGNVNPYMALHLRFEKGMVGLSFCDFAGTREEKAMMADYRQKQWPRRFKNGSHLWSLALEKRKEGRCPLEPGEIGIILRAMGYTKETQIYVASGQVYGGSNRMAPLRNMFPNLVTKEDLASKEEIEHFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGFRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWATFVEDVMITHQTRTGLPESTFPHYDLWENPLTPCMCRA >ORUFI06G27210.3 pep chromosome:OR_W1943:6:25693795:25694499:-1 gene:ORUFI06G27210 transcript:ORUFI06G27210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHATAAAAATRASSSPAKRDAESSASSSPLVASSSSPRGGGGGDGKDGAPRSSAPLHHQKYHLPSPLRSLLALEDPRSPTASASYRILVAVVACLFVAALVSAPSVWSRINAPYLCRKDGIRLHCPRVNERESLWENPHAAAASWKPCAERRSDEISGKSSI >ORUFI06G27220.1 pep chromosome:OR_W1943:6:25695718:25699608:-1 gene:ORUFI06G27220 transcript:ORUFI06G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPTQSRGGGGGGGGALGRRGFVALLAAAVIALALLCLFYGAAFAPTLRSRRLPLQRRFEAVPADLALSSLPVCDARYSELIPCLDRGLHNQLRLRLNLSLMQHYERHCPPAHRRLNCLIPPPAGYRVPIRWPRSRDEVWKANIPHTHLASEKSDQRWMVVNGDKINFPGGGTHFHTGADKYIVHLAQMLNFPNGKLNNGGNIRNVLDVGCGVASFGAYLLPLDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTRRLPYPSHSFELAHCSRCRIDWLQRDGILLLEVDRVLRPGGYFVYSSPEAYAMDPINRNIWRKMSDLAQRMCWQIASKEDQTVIWIKPLTNECYMKREPGTLPNMCDRDDDPDAAWNVPMKACVTPYSERVHKVKGSNLLPWPQRLTAPPPRLEELGISSNNFSDDNEIWHFRVIQYWKLMKSEIQKDSFRNVMDMNANLGGFAASLRKKDVWVMNVVPSTESGKLKIIYDRGLLGTIHNWCESFSTYPRTYDLVHAWLLFSEIEKQGCSVEDLLIEMDRIMRPQGYAIIRDKVAVINHIKKLLPAVRWDDWSSDVKPKKDALWSGDERVLIVRKKLWNQTL >ORUFI06G27230.1 pep chromosome:OR_W1943:6:25702277:25706813:1 gene:ORUFI06G27230 transcript:ORUFI06G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYYNNPPPPHSSYAAPPPPPPPPPPPAYVGAYYDHAAEPLPPRDELRTLFIAGLPGDAKAREVYNLFRDFPGYVSSHLRTSGKSSQAYAFAVFADQPSALAAMSATNGRIFDLENNCTLHVDLAKSNSRSKRSRTDDVPSYSSEKKARNPRGFPDSGAGSNIHMSGMGNSSHSLNGYPSAQSYTNFEPAAFSKDPSAFAPQNNPPCPTLFVANLGPTCSEQELIDVFSSCAGFIKVKMQNKFGAPVAFVDFKDMDSSTEAINRLQGVILYSSTGEGMRLEYAKSRMGLRKQDKRQ >ORUFI06G27230.2 pep chromosome:OR_W1943:6:25702139:25706813:1 gene:ORUFI06G27230 transcript:ORUFI06G27230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYYNNPPPPHSSYAAPPPPPPPPPGTSLYASYRHHAYPPHPPPPPAYVGAYYDHAAEPLPPRDELRTLFIAGLPGDAKAREVYNLFRDFPGYVSSHLRTSGKSSQAYAFAVFADQPSALAAMSATNGRIFDLENNCTLHVDLAKSNSRSKRSRTDDVPSYSSEKKARNPRGFPDSGAGSNIHMSGMGNSSHSLNGYPSAQSYTNFEPAAFSKDPSAFAPQNNPPCPTLFVANLGPTCSEQELIDVFSSCAGFIKVKMQNKFGAPVAFVDFKDMDSSTEAINRLQGVILYSSTGEGMRLEYAKSRMGLRKQDKRQ >ORUFI06G27230.3 pep chromosome:OR_W1943:6:25702277:25706813:1 gene:ORUFI06G27230 transcript:ORUFI06G27230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYYNNPPPPHSSYAAPPPPPPPPPPPAYVGAYYDHAAEPLPPRDELRTLFIAGLPGDAKAREVYNLFRDFPGYVSSHLRTSGKSSQAYAFAVFADQPSALAAMSATNGRIFDLENNCTLHVDLAKSNSRSKRSRTDDVPYTNFEPAAFSKDPSAFAPQNNPPCPTLFVANLGPTCSEQELIDVFSSCAGFIKVKMQNKFGAPVAFVDFKDMDSSTEAINRLQGVILYSSTGEGMRLEYAKSRMGLRKQDKRQ >ORUFI06G27230.4 pep chromosome:OR_W1943:6:25702139:25706813:1 gene:ORUFI06G27230 transcript:ORUFI06G27230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYYNNPPPPHSSYAAPPPPPPPPPGTSLYASYRHHAYPPHPPPPPAYVGAYYDHAAEPLPPRDELRTLFIAGLPGDAKAREVYNLFRDFPGYVSSHLRTSGKSSQAYAFAVFADQPSALAAMSATNGRIFDLENNCTLHVDLAKSNSRSKRSRTDDVPYTNFEPAAFSKDPSAFAPQNNPPCPTLFVANLGPTCSEQELIDVFSSCAGFIKVKMQNKFGAPVAFVDFKDMDSSTEAINRLQGVILYSSTGEGMRLEYAKSRMGLRKQDKRQ >ORUFI06G27240.1 pep chromosome:OR_W1943:6:25711411:25712861:1 gene:ORUFI06G27240 transcript:ORUFI06G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSGPKLLVVHPSSNKPLGGAASPAMAVLGSRRRMWVVLFLAGFACVSLGTMLCAARDHPPPPVAARRLAAAEAQAATLAVSARGGGAGGGGGGLPGYVFDALVQYASAGGNSTASMPGGDVRAIAAVVKRRAPCNFLVFGLGGETPLWRALNHGVFLDENQYYVSHLEGRHPGLEAYDVVYTTTVREFPDLLDAARAARSAECRPVQNLLYSDCRLAINDLPNQLYDVAWDIILVDGPRGYTAASPGRMSAIFTAGVMARSRAEKGAETDVLVHDYEREVERACSREFLCEENRVEETSTRSLAHFVVPGGRDLRRETFCAGGGGSGAST >ORUFI06G27250.1 pep chromosome:OR_W1943:6:25714239:25718767:-1 gene:ORUFI06G27250 transcript:ORUFI06G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMQPQIILLKEGTDTSQGRAQVVSNINACTVVADTVRTTLGPRGMDKLIHDDKGGTTISNDGATIMRLLDIIHPAAKILVGDGTTTVVLLAAEFLKEAKPYIEDGAIEKVKDLATSIEGKSLEEKKELLAKCAATTLSSKLIGGEKEFFASMVVDAVLAISNDDRLNLLGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLSPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLATQYFADRDIFCAGRVAEEDLQRVTAATGGTVQTSVNNVIDEVLGTCEVFEERQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPGGGAIDMEISKYLRQHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHASGEGANFGVDINTGGIADSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGDAAASAMAGRGGGAMRGRGGRGMRRR >ORUFI06G27260.1 pep chromosome:OR_W1943:6:25720697:25725916:1 gene:ORUFI06G27260 transcript:ORUFI06G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGQHPASGYSKEHQKTYQEWFAFADSDGDGRITGPDAIKFFAMSKLPRADLKQVWAIADSKRQGYLGFSEFVTAMQLVSLAQAGDEITQDSLKRDDLGSLNPPTMDGLDALLVKSKHHAKRVDPDIDGFPQAQSPATSQWFSSKSSKKIPLNAVTSVIDGLKKLYIEKLKPLEVTYKFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLRTSYPGAHIGPEPTTDRFVVVMSGPDERTIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVARVYIGSFNDKPVNESAVGPIGKELFEREQDDLLSDLKDIPKKACDRRVQREQHLPAGDFPYVEHFRDVLGGYSIDKFEKIKPKMVQAVDDMLGYDIPELLKNFRNPYE >ORUFI06G27270.1 pep chromosome:OR_W1943:6:25726735:25730354:1 gene:ORUFI06G27270 transcript:ORUFI06G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLLPQSQLRRSAAAASAARSSGGGAGSGGADGAGSDGGAGGRAPATSTFWFLLHALCCLVSLFLGFRFSRLLFFLLFSTTALYSSTSSSSSSAVLRATTTTTTTTTTTTTTTNTFTLSFQANPNPPPSNLSNHTALDAAGAAGHTQSHVVVGRHGIRIRPWPHPDPVEVMRAHRIMERVQEEQRRWYGVKEPRHVLVVTPTYSRAFQALHLTGLLHSLRNVPYPLTWIVVEAGGTTNATASLLARSDLTIVHIPFPDRMPHDWADRHATENRMRLHALRVIRERKMDGVIVFADDSNVHSLELFDEVQKVQWMGAVSVGILAHTGTADQPRLSEEDKQNMPLPVQGPACNSSGHLAGWHTFNSLPFAGKTATVVGEAAPVLPRGLEWAGFVLNSRILWKEAEGKPDWVKDLDAVGENGEEIENPLILLNDPSSVEPLGNCGKKILLWWLRVEARADSKFPQGWVIEPPLDIVVPAKRTPWPETTAELSAELVDSKQDQEGRRLSRTDRSSRSRSTTKRKEN >ORUFI06G27280.1 pep chromosome:OR_W1943:6:25731396:25738320:1 gene:ORUFI06G27280 transcript:ORUFI06G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELRRDDMEVAEEDISDSQAFRFVEHVLQSVRMDPFQVDLRDKEEYDSLLSIVDSSKKRSYDDEALLVTTLKALSEAVSKIDIMYHHALLNNAAVADQFLRECLQMLVNNFTPPGSLTAFIGQPRWLARKKEIYSQLHESLRMISDTVPLAPRMLKDIIDRSMPKLFDNKAKMVSFVECMLGLDNDRMGDLIGALLLAKVVDLLTELDALFGGNACAEKLDGLMVVFCEHLKSCKEHGRLPQEFDILKTIFRASVLRVHKSKFAQFIMFYACSLDPEICGLEFALFLSDIFIKKEEDSISRLVDWCVDYCDLQNNIRITTKPINHQIFYASCQAVMYILCFRLRSIMDYPNLKAQLFNMPFGYILTHPLEPLKVCLPSIVDEFLRQAKAARLFNASVHSEFEDALESDLSKTFGGMNRLDMFFPFDPYLLKESDRYMRPNFEYWSMVKTTYNNYNSDVDDELVDLDAPEMNVGSLDDHVEIDLNSDDDLEYSMNKMSITPNRSFFHQIMANSDTGLTMPARIRPSISPPS >ORUFI06G27290.1 pep chromosome:OR_W1943:6:25738932:25739988:-1 gene:ORUFI06G27290 transcript:ORUFI06G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGILLAAALLLGLVSASQAIEGTATFYTVYTPSACYGFQDQGTMIAAASDGLWDGGRACGRMYTVRCVRGTNAVPNPCNGGTVTVKIVDRCPSPGCTSTLDLSREAFAAIGNLDAGRIVIDYNQV >ORUFI06G27300.1 pep chromosome:OR_W1943:6:25741292:25741938:-1 gene:ORUFI06G27300 transcript:ORUFI06G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIAFLLAAALLLGLVSVSQAIQGTATFYTTYNRTPLHVGVLRKPGQREDDRGSERRAVGRRQDLRDDVHGAVRRATNAVPNPCRGGAITVKIVDRCPGSTATLDLSREAFAAIANPVAGKVLIDYQQYALDEEMII >ORUFI06G27310.1 pep chromosome:OR_W1943:6:25743954:25744715:-1 gene:ORUFI06G27310 transcript:ORUFI06G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRQNKRNGKVSKPQAEPKKVTEKQEDLISKLPDDILLHILSMCPYHDAVRTAAVSRRWQHLHTRLPNVRFRMSVLGNLASLGESSEPRVQSMERMLRQRCHDDGGLHDTIETLHIGYRKDVPFECRYANEFVALANASRLELHVQCKRGLPDEDAGVWSLELPPATTELELGLYWYAVRPPRVRGPGVTSLRWLALDGLTVLRPSDFLSTVVFPSLEELHIVDCTLPASIDITSDTMPRLKRLRITDVT >ORUFI06G27320.1 pep chromosome:OR_W1943:6:25745598:25752873:-1 gene:ORUFI06G27320 transcript:ORUFI06G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRVSRNVMDGQVSPEIGNLKQLEFFSLTINSFVNISGMFWNLKGCTSLTALLVSYNFYGEALPDAGWRKQNKMERSSSKPVIALVLLVVCIVSCFEVVTAQYDGSSSNGAAATGPMAAGGNCSLVVAAACLSGTETLRWKRCDRLMRALRLSPSWAREAEEKLAAAGASNGCLPVDGRVVAANEKVRRRRRVVVGVADAGCGLHGTAAASRLARRFSSFATLPPQTMPVSYPVGNLVGAREGNYRCIAKILSRGHNSCSSSRQRERNRREEEGEGG >ORUFI06G27330.1 pep chromosome:OR_W1943:6:25756542:25758863:-1 gene:ORUFI06G27330 transcript:ORUFI06G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFGLFVEEPVGGGFNGPAAAYYLKLSCDTCGEPTTRDVCLVPGPDSCTNLPGIKNQWRYTCYPTCPDSGHVVVRKCKVCSALGSVALLPGHGKALTTSSRTMVMMLQSKGYTPNNFAPGSRWVATKVTGYRCELELTADHFKGYDGTITIPSTSTKFSVEKLKRAEVCSLGRGLLDTTNGNSEKTSD >ORUFI06G27340.1 pep chromosome:OR_W1943:6:25763182:25767014:1 gene:ORUFI06G27340 transcript:ORUFI06G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKREEARGGVSHLQGRHGIAGGQGHLPRGGAPHRQRAASRGGGGEDGQQGKPYDLCCESTRDGTKSKREGARGVISKAVAASPEGSAICRTNVHHIDNVLPQEVVGVKLDDKANHTTCMLRVDTRRDEVEEGGGEEQLASQGGLPLPRVSWHLVASRGPAVLISSAPSSSSRVQQAAPARSSCCASCMNNVSPVLAHRQRRRPAGPRRGTDHASSSTFLAPACMARPAL >ORUFI06G27350.1 pep chromosome:OR_W1943:6:25770467:25777678:-1 gene:ORUFI06G27350 transcript:ORUFI06G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAEAARHRVVAAAAALRGERNAMESSSLWGVLGQASNVAQLVGVDALGLVSMVAQAALAARRHRDACRRLGQHAELVGGLLRELELAELMRREATRRPLEQLQGALRRCYALATACQEDGGYLHRLLLGARMADELRAAQHEIDMYIRLIPLIALVDSSSNHRDKAMDGAATAIKYSSNRHIRFPARVSGFTEIHVQGDTKLCNAGEQPLGTVYLQEQKILDIEELVELCTRTEESCPGFSKFDFSQIVHATDNFSENSNIGRGGFATVYKGQLPNGLVVAIKRLDECAVNFDFNNELQLARVQHNNLVKLLGWCIHGKERILVYEFADRGSLQHYIFDKMRKSLLDWPQRVNIIKGVAEGLVYLHKLSMLWIVHRDLKPDNILLDYNMNPKISDFGSSSSLSSEVAEEHTRRVVGTSGFKAPEYASRGVYSVKTDVFSFGVLVLVIISGRKNSILYKQRDAIGDLVRDAWHMWRDGRLHELVDPSLGNVYELDQIIRCTQVALLCTQEDPTVRPTMPDVAAMLSSGSVILLDDPKKPSELSNEVEKGGDETSTCMDQSSQTIDITITSSAPVSTRVRIIIDQEII >ORUFI06G27360.1 pep chromosome:OR_W1943:6:25779187:25779621:1 gene:ORUFI06G27360 transcript:ORUFI06G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKEEEQEQDAEMEELVVDYYADEPMEEDGKNSNNKWKYREDPGFMEAYLKVMQLVEEDDRIEDEESAAAAEAAKPGRKRAHARKAGELDDVETTKRYKCNYWADDDPAYRGKRRLENTGQLLARTAALMNRAERETAAMMAR >ORUFI06G27370.1 pep chromosome:OR_W1943:6:25779829:25781307:1 gene:ORUFI06G27370 transcript:ORUFI06G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLLGLSKSTHRAGCVEDSPCTDLASRASPPASRLSGPSVRWASTAPATAVAEAEAEALGDGDGARGEALRDDETTAVEAEVEATA >ORUFI06G27380.1 pep chromosome:OR_W1943:6:25788534:25789088:1 gene:ORUFI06G27380 transcript:ORUFI06G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWAALWRDDATRFAAAVTSQCRAEKDLCCLAAAALKHSSRLGFGSIAEETEMAAAAPCSWTSAAVFMAAVFMSLPRHPPARRRQRLWPSTRRPRRRRTRWLLSLGRFEALEQCIDESNSSYSNVFRSILQTRVALLNIQTRIGTWKQCIPPKEILFQPGDDWSCFLLMIGVLDYQWLLFTDD >ORUFI06G27390.1 pep chromosome:OR_W1943:6:25789875:25793504:-1 gene:ORUFI06G27390 transcript:ORUFI06G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLWGVLGQASNVAQLHVELVGGLLWELELAELMRREATRRPLEQLQGALRRCYALVTACQEDRGYLHRLLLGARMANELRAAQHEIDMYIRLIPLIALVDNSSSNRRVTATEGVRAVVPSCSDHHTRFQRRALEFIELHVQGAAKPSEIGGKSLVVTVDPQPQEEKIMDIEAVLKLFVHTEENYPGFRKFDFIQIVDATDGFSEKRILGKGGFGTVYKGHLLDGLTVAIKTFDADTAIFDLKSELQLVRIQHTNLIRLLGWCIHEKERILVYEYIQKGSLHNIIFHKTKGALLNWSKRFQIIKGLAEGLLYLHKHCWIVHRDLKPSNILLDHDMNPKIGDFGSAVTLVSDVAEERTKRVVGTSGYIAPEYASQGLYSLKTDVFSFGVVVLETISGRKNFIMEKQRDIVGYLVRDAWHMWKDGRLQELVDPALCDGYESTVIMRCTQVALLCAQEDPADRPTMTDVTAMLDSESIMLSDPKEPTELTHGGASPDRSSTYIGHSSKAIDITITSSAPVSTRVRIIVEPEI >ORUFI06G27390.2 pep chromosome:OR_W1943:6:25789875:25793513:-1 gene:ORUFI06G27390 transcript:ORUFI06G27390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLWGVLGQASNVAQLVGVDALGLVSMVVQAALAARRHRDACRRLGQHVELVGGLLWELELAELMRREATRRPLEQLQGALRRCYALVTACQEDRGYLHRLLLGARMANELRAAQHEIDMYIRLIPLIALVDNSSSNRRVTATEGVRAVVPSCSDHHTRFQRRALEFIELHVQGAAKPSEIGGKSLVVTVDPQPQEEKIMDIEAVLKLFVHTEENYPGFRKFDFIQIVDATDGFSEKRILGKGGFGTVYKGHLLDGLTVAIKTFDADTAIFDLKSELQLVRIQHTNLIRLLGWCIHEKERILVYEYIQKGSLHNIIFHKTKGALLNWSKRFQIIKGLAEGLLYLHKHCWIVHRDLKPSNILLDHDMNPKIGDFGSAVTLVSDVAEERTKRVVGTSGYIAPEYASQGLYSLKTDVFSFGVVVLETISGRKNFIMEKQRDIVGYLVRDAWHMWKDGRLQELVDPALCDGYESTVIMRCTQVALLCAQEDPADRPTMTDVTAMLDSESIMLSDPKEPTELTHGGASPDRSSTYIGHSSKAIDITITSSAPVSTRVRIIVEPEI >ORUFI06G27400.1 pep chromosome:OR_W1943:6:25795946:25798929:-1 gene:ORUFI06G27400 transcript:ORUFI06G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCYALVTACLWTLVASNVVFWWRSPRSESEVGGLWHKQELVAPLRYSAPPPQPILFLSSRECHRSIYTGRRLAIVFSISLKSLTKKGLSMSSVLVAAVMAGVFFSALVSTDTAQQITNVAAAAGADDNRGLMQDKVQVALERWHPRRQR >ORUFI06G27410.1 pep chromosome:OR_W1943:6:25802797:25817580:1 gene:ORUFI06G27410 transcript:ORUFI06G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRREHSPEGEGAAVEWPCPSAPPPLSPRGDIHYGRWQRSTARSRRRRPAAAVDSRAVSATTGGGERWRHLSALASSPSSWGHGRARWWRAALSPPLDPGGEAVAARCPGGPLHRIQWVGSSRRPGIHVTAVAWRRFLSPTGALPHPPPALPFLLVGVPPPPPSSQDPVGRRLPTARQVSGSMAARLISGVAATRRRGSSPAQRRVDGSPVAAAAQDLAPELGTSTAARRRGGGSARSSGASGSTFPLLSSSSCQQLLYQAWNGGTRAWRRLRRAGGGCGGEAGGGRPWTGGCVN >ORUFI06G27420.1 pep chromosome:OR_W1943:6:25807576:25818099:-1 gene:ORUFI06G27420 transcript:ORUFI06G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRFATVPPPPPPLPLSTHRPFPHLRHHRCPRRSPPPATKSSPLLRARRSLPFTPRAHGDHHHGHCHCHHHHNDRHKVGVHGHGAGGGGAAVMRVARAIGWADVADALREHLQVSCISIGLLLVAAACPHMAALNFAKRLQATAIAIALPLVGVNLSSAALVLKPLIGSMLGAYTFKPPVHGLPPPASPPQPPPARRSRRHARVPPFHASPPTRSAMQLLTAASASASSAAASPPSAHLLRLSRPPPFPHLRRRCAPHLPSKPLNLAARSPLLLARRSLPFAPRAHGDHHHGHHHHHHGHGHSHHHGPEVHGSGGGGAIGWADVADALREHLQLCCISLGLLLIAAACPHIPVLNSVRRLQDALIAVAFPLVGVSAALDALVNIADGKINIHVLMALAAFASIFMGNSLEGGLLLAMFNLAHIAEEHFTSKSMIDVRELKENHPEFALLLETCGDQSAQFANLCYTKVPVHDLEVGSHILVRAGEAVPVDGEVYQGSSTVTIEHLTGETKPLERTVGDAIPGGARNLEGMMIVKVTKSWEDSTLNRIVQLTEEGQLNKPKLQRWLDEFGEHYSRVVVVLSLVVALLGPLLFKWPFFGNSVCRGSIYRGLGLMVAASPCALAVAPLAYATAISSLASKGILLKGGHVLDALSACQSIAFDKTGTLTTGKLMCKAIEPIHGHSDVTNDFSDQACCTPNCESEALAVAAAMEKGTTHPIGRAVLDHSVGKDLPLVAVESFECLPGRGVVATLSGVKAGNNEDELSKASIGSVEYISSLYRSSGESEQIKEAVKASAFGPEFVQAALTVDKKVTLFHFEDEPRSGVCEVISTLRDKAKLRIMMLTGDHESSALRVAKAVCIDEVHCCLKPEDKLNKVKAVSREGGGGLIMVGDGINDAPALAAATVGIVLAQRASATAVAVADVLLLQDNICGVPFCIAKARQTTSLVKQSVALALSCIVFAALPSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNSPTWSWVDDIRQLINSLRKYISSKLQSTSSNYVADAVPL >ORUFI06G27420.2 pep chromosome:OR_W1943:6:25807576:25818099:-1 gene:ORUFI06G27420 transcript:ORUFI06G27420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRFATVPPPPPPLPLSTHRPFPHLRHHRCPRRSPPPATKSSPLLRARRSLPFTPRAHGDHHHGHCHCHHHHNDRHKVGVHGHGAGGGGAAVMRVARAIGWADVADALREHLQVSCISIGLLLVAAACPHMAALNFAKRLQATAIAIALPLVGVSAALDALVNIADGKINIHVLMALAAFASIFMGNSLEGGLLLAMFNLAHIAEEHFTSKSMIDVRELKENHPEFALLLETCGDQSAQFANLCYTKVPVHDLEVGSHILVRAGEAVPVDGEVYQGSSTVTIEHLTGETKPLERTVGDAIPGGARNLEGMMIVKVTKSWEDSTLNRIVQLTEEGQLNKPKLQRWLDEFGEHYSRVVVVLSLVVALLGPLLFKWPFFGNSVCRGSIYRGLGLMVAASPCALAVAPLAYATAISSLASKGILLKGGHVLDALSACQSIAFDKTGTLTTGKLMCKAIEPIHGHSDVTNDFSDQACCTPNCESEALAVAAAMEKGTTHPIGRAVLDHSVGKDLPLVAVESFECLPGRGVVATLSGVKAGNNEDELSKASIGSVEYISSLYRSSGESEQIKEAVKASAFGPEFVQAALTVDKKVTLFHFEDEPRSGVCEVISTLRDKAKLRIMMLTGDHESSALRVAKAVCIDEVHCCLKPEDKLNKVKAVSREGGGGLIMVGDGINDAPALAAATVGIVLAQRASATAVAVADVLLLQDNICGVPFCIAKARQTTSLVKQSVALALSCIVFAALPSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNSPTWSWVDDIRQLINSLRKYISSKLQSTSSNYVADAVPL >ORUFI06G27430.1 pep chromosome:OR_W1943:6:25820058:25824772:1 gene:ORUFI06G27430 transcript:ORUFI06G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPPLAPSATSSAAAAPSTSTPDVVAELGRILSTRRWNKGRAYKRLAPSVTAAHVADLFRADSTAPEPATALAFFEWLARRDGFRHTADSHAALLHLLSRRRAPAQYERLVVSMLNCSDTAEDMRVSADAIQAIRRTGSARLALSPKCYNFALRSLARFDMTEYMGRVYSQLVQDGLLPDTVTYNTMIKSYCKEGDLTTAHRYFRLLLEGGLEPETFTCNALVLGYCRTGELRKACWLFLMMPLMGCQRNEYSYTILIQGLCDAKCVRKALVLFLMMKRDGCSPNVRAFTFLISGLCKSGRVGDARLLFDAMPQNGVVPSVMTYNAMIVGYSKLGRMNDALKIKELMEKNGCHPDDWTYNTLIYGLCDQKTEEAEELLNNAVKEGFTPTVVTFTNLINGYCMAEKFDDALRMKNKMMSSKCKLDLQVFGKLINSLIKKDRLKEAKELLNEISANGLVPNVITYTSIIDGYCKSGKVDIALEVLKMMERDGCQPNAWTYNSLMYGLVKDKKLHKAMALLTKMQKDGIIPNVITYTTLLQGQCDEHDFDNAFRLFEMMEQNGLKPDEHAYAVLTDALCKAGRAEEAYSFIVRKGVALTKVYYTTLIDGFSKAGNTDFAATLIERMIDEGCTPDSYTYSVLLHALCKQKRLNEALPILDQMSLRGIKCTIFAYTILIDEMLREGKHDHAKRMYNEMTSSGHKPSATTYTVFINSYCKEGRLEDAEDLILKMEREGVAPDVVTYNILIDGCGHMGYIDRAFSTLKRMVGASCEPNYWTYCLLLKHLLKGNLAYVRSVDTSGMWNLIELDITWQLLERMVKHGLNPTVTTYSSLIAGFCKAGRLEEACLLLDHMCGKGLSPNEDIYTLLIKCCCDTKFFEKALSFVSIMSECGFQPQLESYRLLVVGLCNEGDFEKVKSLFCDLLELGYNHDEVAWKILNDGLLKAGYVDICFQMLSIMEKRYCCISSQTYALVTNKMHEVSSSLVSEVREEARYFNFASCHIGTGHIVEP >ORUFI06G27440.1 pep chromosome:OR_W1943:6:25824632:25834403:-1 gene:ORUFI06G27440 transcript:ORUFI06G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSGGRGAPPPATAASPGQKRPRGDDPASPSNRSESAPAKNPRRAFSSSPFADFGSYMAAKNSKLAAQFEADASTSAAEVTGGVFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRNTVTHIICTHLPNSKMSNLRAFSKGLPVVKPAWVVDSLAENRLLSCSVPYQISQHNSSSRKQTKLSSFFSGRHYQGELNDQSNSHELQSSSVQEGSQDHNSGCEKEGSLLKEEASKDSLSSDDHKASMFEEQDSEDFVDEAGNAYETACSERRDNDMDGKLHVAESPDIRSRCSNLCSTSSTGSHLSLDSLDRNATKSSSRTHSTLTDPNFVENYFKYSRLHFIGTWRNRYRKRFSNLLGDKSSKGNRDHSGKNNTIIHIDMDCFFVSVVIRNKPELHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMFVREAKARCPHLMIVPYDFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQKIRNEIFGISGNMLIARLATRSAKPNGQCFISSEKVDGYLNTLSIKALPGIGHTVSDKLKSKEVEYCGQLRNIPKESLHKDFGKKIGDMLWNYCRGIDHSVVEAVQETKSVGAEVNWGVRFNDNKDAENFLVNLSKEVCLRLEGCGVQGRTITLKLKTRRKGAGEPIKFMGCGDCETVSRSMTIAGATDNPVTLQRIAKQLFSSFCVDVKEVRGVGLKISRLEHADLARGAPQGNMLESWLASPSDKLKKHSTEKACLLKNRDDAATSERRGFGSIRPSGIGGTSRSSEVNPPSDRSTRVGVELPPLSELDLEVLKNLPPEIIYEMNDMYKGELHGFLGITSGDKAKESNTKSLVFPAVDQNLVPVLDTKLHGDGKHKDSIHFKKEADIKGPSGEQLSELKQANAPRSIASELVDIPTKSVIQHDFMPNSLSQADVTVLQELPEDVKADLFNALPLHRSGDPTCSTSHVSENKFPQDGRSDDPKQHPQICHLPGNSQKWIEEFRVSHCLILNVIAEQHTDSISSRPLSSVLEPVISYLPLCPNSGTEEWNEAFASLSELLTQYIHQKVESDIEELHKCFRLLKRLSSGSELFLELHDSILPLLQDSVRQHYGGILHLYFYGSTM >ORUFI06G27440.2 pep chromosome:OR_W1943:6:25825414:25834403:-1 gene:ORUFI06G27440 transcript:ORUFI06G27440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSGGRGAPPPATAASPGQKRPRGDDPASPSNRSESAPAKNPRRAFSSSPFADFGSYMAAKNSKLAAQFEADASTSAAEVTGGVFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRNTVTHIICTHLPNSKMSNLRAFSKGLPVVKPAWVVDSLAENRLLSCSVPYQISQHNSSSRKQTKLSSFFSGRHYQGELNDQSNSHELQSSSVQEGSQDHNSGCEKEGSLLKEEASKDSLSSDDHKASMFEEQDSEDFVDEAGNAYETACSERRDNDMDGKLHVAESPDIRSRCSNLCSTSSTGSHLSLDSLDRNATKSSSRTHSTLTDPNFVENYFKYSRLHFIGTWRNRYRKRFSNLLGDKSSKGNRDHSGKNNTIIHIDMDCFFVSVVIRNKPELHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMFVREAKARCPHLMIVPYDFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQKIRNEIFGISGNMLIARLATRSAKPNGQCFISSEKVDGYLNTLSIKALPGIGHTVSDKLKSKEVEYCGQLRNIPKESLHKDFGKKIGDMLWNYCRGIDHSVVEAVQETKSVGAEVNWGVRFNDNKDAENFLVNLSKEVCLRLEGCGVQGRTITLKLKTRRKGAGEPIKFMGCGDCETVSRSMTIAGATDNPVTLQRIAKQLFSSFCVDVKEVRGVGLKISRLEHADLARGAPQGNMLESWLASPSDKLKKHSTEKACLLKNRDDAATSERRGFGSIRPSGIGGTSRSSEVNPPSDRSTRVGVELPPLSELDLEVLKNLPPEIIYEMNDMYKGELHGFLGITSGDKAKESNTKSLVFPAVDQNLVPVLDTKLHGDGKHKDSIHFKKEADIKGPSGEQLSELKQANAPRSIASELVDIPTKSVIQHDFMPNSLSQADVTVLQELPEDVKADLFNALPLHRSGDPTCSTSHVSENKFPQDGRSDDPKQHPQICHLPGNSQKWIEEFRVSHCLILNVIAEQHTDSISSRPLSSVLEPVISYLPLCPNSGTEEWNEAFASLSELLTQYIHQKVESDIEELHKCFRLLKRLSSGSELFLELHDSILPLLQDSVRQHYGGILHL >ORUFI06G27440.3 pep chromosome:OR_W1943:6:25824632:25834403:-1 gene:ORUFI06G27440 transcript:ORUFI06G27440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSGGRGAPPPATAASPGQKRPRGDDPASPSNRSESAPAKNPRRAFSSSPFADFGSYMAAKNSKLAAQFEADASTSAAEVTGGVFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRNTVTHIICTHLPNSKMSNLRAFSKGLPVVKPAWVVDSLAENRLLSCSVPYQISQHNSSSRKQTKLSSFFSGRHYQGELNDQSNSHELQSSSVQEGSQDHNSGCEKEGSLLKEEASKDSLSSDDHKASMFEEQDSEDFVDEAGNAYETACSERRDNDMDGKLHVAESPDIRSRCSNLCSTSSTGSHLSLDSLDRNATKSSSRTHSTLTDPNFVENYFKYSRLHFIGTWRNRYRKRFSNLLGDKSSKGNRDHSGKNNTIIHIDMAGMFVREAKARCPHLMIVPYDFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQKIRNEIFGISGNMLIARLATRSAKPNGQCFISSEKVDGYLNTLSIKALPGIGHTVSDKLKSKEVEYCGQLRNIPKESLHKDFGKKIGDMLWNYCRGIDHSVVEAVQETKSVGAEVNWGVRFNDNKDAENFLVNLSKEVCLRLEGCGVQGRTITLKLKTRRKGAGEPIKFMGCGDCETVSRSMTIAGATDNPVTLQRIAKQLFSSFCVDVKEVRGVGLKISRLEHADLARGAPQGNMLESWLASPSDKLKKHSTEKACLLKNRDDAATSERRGFGSIRPSGIGGTSRSSEVNPPSDRSTRVGVELPPLSELDLEVLKNLPPEIIYEMNDMYKGELHGFLGITSGDKAKESNTKSLVFPAVDQNLVPVLDTKLHGDGKHKDSIHFKKEADIKGPSGEQLSELKQANAPRSIASELVDIPTKSVIQHDFMPNSLSQADVTVLQELPEDVKADLFNALPLHRSGDPTCSTSHVSENKFPQDGRSDDPKQHPQICHLPGNSQKWIEEFRVSHCLILNVIAEQHTDSISSRPLSSVLEPVISYLPLCPNSGTEEWNEAFASLSELLTQYIHQKVESDIEELHKCFRLLKRLSSGSELFLELHDSILPLLQDSVRQHYGGILHLYFYGSTM >ORUFI06G27440.4 pep chromosome:OR_W1943:6:25825414:25834403:-1 gene:ORUFI06G27440 transcript:ORUFI06G27440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSGGRGAPPPATAASPGQKRPRGDDPASPSNRSESAPAKNPRRAFSSSPFADFGSYMAAKNSKLAAQFEADASTSAAEVTGGVFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRNTVTHIICTHLPNSKMSNLRAFSKGLPVVKPAWVVDSLAENRLLSCSVPYQISQHNSSSRKQTKLSSFFSGRHYQGELNDQSNSHELQSSSVQEGSQDHNSGCEKEGSLLKEEASKDSLSSDDHKASMFEEQDSEDFVDEAGNAYETACSERRDNDMDGKLHVAESPDIRSRCSNLCSTSSTGSHLSLDSLDRNATKSSSRTHSTLTDPNFVENYFKYSRLHFIGTWRNRYRKRFSNLLGDKSSKGNRDHSGKNNTIIHIDMAGMFVREAKARCPHLMIVPYDFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQKIRNEIFGISGNMLIARLATRSAKPNGQCFISSEKVDGYLNTLSIKALPGIGHTVSDKLKSKEVEYCGQLRNIPKESLHKDFGKKIGDMLWNYCRGIDHSVVEAVQETKSVGAEVNWGVRFNDNKDAENFLVNLSKEVCLRLEGCGVQGRTITLKLKTRRKGAGEPIKFMGCGDCETVSRSMTIAGATDNPVTLQRIAKQLFSSFCVDVKEVRGVGLKISRLEHADLARGAPQGNMLESWLASPSDKLKKHSTEKACLLKNRDDAATSERRGFGSIRPSGIGGTSRSSEVNPPSDRSTRVGVELPPLSELDLEVLKNLPPEIIYEMNDMYKGELHGFLGITSGDKAKESNTKSLVFPAVDQNLVPVLDTKLHGDGKHKDSIHFKKEADIKGPSGEQLSELKQANAPRSIASELVDIPTKSVIQHDFMPNSLSQADVTVLQELPEDVKADLFNALPLHRSGDPTCSTSHVSENKFPQDGRSDDPKQHPQICHLPGNSQKWIEEFRVSHCLILNVIAEQHTDSISSRPLSSVLEPVISYLPLCPNSGTEEWNEAFASLSELLTQYIHQKVESDIEELHKCFRLLKRLSSGSELFLELHDSILPLLQDSVRQHYGGILHL >ORUFI06G27440.5 pep chromosome:OR_W1943:6:25825392:25834403:-1 gene:ORUFI06G27440 transcript:ORUFI06G27440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSGGRGAPPPATAASPGQKRPRGDDPASPSNRSESAPAKNPRRAFSSSPFADFGSYMAAKNSKLAAQFEADASTSAAEVTGGVFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRNTVTHIICTHLPNSKMSNLRAFSKGLPVVKPAWVVDSLAENRLLSCSVPYQISQHNSSSRKQTKLSSFFSGRHYQGELNDQSNSHELQSSSVQEGSQDHNSGCEKEGSLLKEEASKDSLSSDDHKASMFEEQDSEDFVDEAGNAYETACSERRDNDMDGKLHVAESPDIRSRCSNLCSTSSTGSHLSLDSLDRNATKSSSRTHSTLTDPNFVENYFKYSRLHFIGTWRNRYRKRFSNLLGDKSSKGNRDHSGKNNTIIHIDMDCFFVSVVIRNKPELHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMFVREAKARCPHLMIVPYDFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQKIRNEIFGISGNMLIARLATRSAKPNGQCFISSEKVDGYLNTLSIKALPGIGHTVSDKLKSKEVEYCGQLRNIPKESLHKDFGKKIGDMLWNYCRGIDHSVVEAVQETKSVGAEVNWGVRFNDNKDAENFLVNLSKEVCLRLEGCGVQGRTITLKLKTRRKGAGEPIKFMGCGDCETVSRSMTIAGATDNPVTLQRIAKQLFSSFCVDVKEVRGVGLKISRLEHADLARGAPQGNMLESWLASPSDKLKKHSTEKACLLKNRDDAATSERRGFGSIRPSGIGGTSRSSEVNPPSDRSTRVGVELPPLSELDLEVLKNLPPEIIYEMNDMYKGELHGFLGITSGDKAKESNTKSLVFPAVDQNLVPVLDTKLHGDGKHKDSIHFKKEADIKGPSGEQLSELKQANAPRSIASELVDIPTKSVIQHDFMPNSLSQADVTVLQELPEDVKADLFNALPLHRSGDPTCSTSHVSENKFPQDGRSDDPKQHPQICHLPGNSQKWIEEFRVSHCLILNVIAEQHTDSISSRPLSSVLEPVISYLPLCPNSGTEEWNEAFASLSELLTQYIHQKVESDIEELHKCFRLLKRILSGSIMVGYYIYSLLCKYN >ORUFI06G27450.1 pep chromosome:OR_W1943:6:25836637:25836951:1 gene:ORUFI06G27450 transcript:ORUFI06G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSKQPQPQPSPEIRYRGVRKRPSGRYAAEIRDPAKKTPIWLGTFDSAEVAARAYDDAARSLRGPTARTNFPLAAPGRRPPRPATAAPLSHGAAAPHAPPHPP >ORUFI06G27460.1 pep chromosome:OR_W1943:6:25836969:25844272:1 gene:ORUFI06G27460 transcript:ORUFI06G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATQEEDCHSYCGSSSSVLCEDGSDDAAASRTPLPFDLNMPPPEEELDMAAVADQMGFRNMDFDLTGKQLLKWDSGNVGARAALPCTCEAGITWMGGLRASPTTPAQPEAEGEKLSSDTRTQGETRHAHDSHAHAAASCGCPRETLISANCDPLFAIPFLYPPELGGHDPRRCGSKSPSRRDEAKCHLTQKPHQPS >ORUFI06G27470.1 pep chromosome:OR_W1943:6:25844428:25848446:1 gene:ORUFI06G27470 transcript:ORUFI06G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVRVAAGLVVALLLAGDASAATLALYNRCAETVWPGIQPSAGKELLARGGFQLAPNRATSIRLPAGWSGRVWGRQGCSFDAAGRGRCATGDCGGALYCNGAGGAPPATLAEITLASTPAAQDFYDVSLVDGYNIPIAMTPSHGSGANCVPAGCISDLNRVCPAGLAVRGGGGDNRVVGCRSACAAYGAPQYCCTGQFGSPQQCKPTAYSRLFKTACPKAYSYAYDDPTSILTCSAVNAGVFATARETAFQRTVPPDSEA >ORUFI06G27480.1 pep chromosome:OR_W1943:6:25849115:25854284:-1 gene:ORUFI06G27480 transcript:ORUFI06G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGGHELAVVVLVLLLLVSATSCTFLEEDVILGTVEEAKVARLGGGGGGGSKGANASTRRADNTCAGVGVGVGGGGGGGGGGGGGGRGRFYLGWKEEIAGMAGRPETAAWLRAVRRRIHERPELAYEEVETSRLVREELDAMGVGFRHPVARTGVVATIGTGRPPVVALRADMDALPIQEAVEWEHKSKNPGKMHACGHDAHVAMLLGAAKILKAREHHLRGTVRLLFQPAEESGAGAKRMIEGGALEDVEAIFAVHVSHQHPTSVIGSRTGPLLAGCGFFKAVTPGGRRSGDAVLAAASTIISLQSIVSREADPLDSQVVSVAMVNGSDHPAATAAAAAAEEEEEEFVLGGTFRAFSNASFYQVRRRIEEVIMAQARVHGCEAAVDFFENQSFYPPTVNDARMYEHVKAVAGELLGAGSYRDVPPMMGAEDFSFYSQVVPAGFYYIGVRNETLGSVHTGHSPYFMIDEDVLPTGAAFHAAIAERYLADHSPSSSDSDDPDVELEAS >ORUFI06G27490.1 pep chromosome:OR_W1943:6:25862649:25863473:1 gene:ORUFI06G27490 transcript:ORUFI06G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGILRRWKRFFPAFASIHAAIEAAEPGISRKEFRDATDKVVAMLCSATDDEAVAEELRVVLDEMMVEALLTLELVPAMPKMLASTDLAQDVGALRNHPSERVRGLATGIVRAWRASVKDELLKAAAAMEKLSQALEPDEADDHHAKILQPSPPKKTANSSQPSFPKKQSAPVAGGSHVTMAKMEPPREKLPAAVGSFRRESAASCGTDEKAMNAAKRKLREGYQEAEDAKRQRTIKVIEAPKQQQRKRHPIVQERNRSRVASHTSSLRRRF >ORUFI06G27500.1 pep chromosome:OR_W1943:6:25863964:25864476:-1 gene:ORUFI06G27500 transcript:ORUFI06G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAGGRPSQPQPQAQQLSVDFEALSYISSLVEAFQAFDSDNDGLVTAPELRGLLASLGLDKPEHEVRDMLARADADRDGKLSVEELLDVMNAGQLGLGALGALLQSAVPALESAAGPDGVLGADELARLLSVMGTASVEDCMEIIACMDGDGDGAISVEEFRLMAQLL >ORUFI06G27510.1 pep chromosome:OR_W1943:6:25868565:25873961:-1 gene:ORUFI06G27510 transcript:ORUFI06G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGFGTGRSDVSVCINIVQAGDHFLDGWPLARIMADTLASHIKHLQHLLFMASSSQCWIQHLSILSMRSHLPGPLDKFLRLGQDLDWPLPCEQLKQHHSKTDQSGKAKIRNTSSEFSVEKDIAGFDVTVNNLPRADGSSPDMLLLSNRSSSNLLLLTLRATIFFITSHCFDENCPVNELLEIFSTWRGEPPLGDSNSGREPSRRLKLTSRTMILLENNNSIGRLRCNSPVRLPRDGEMRPWRPLEANETSVTVPSLLQLMPSHLQQSMPFTHDVLRLPLWPGKRPSRKPMRELSSCSVQELVGEANESRRITRSRKKATDNLVVVVVVVVVLLLHGKLGSCMEEAAFCFCIVGLSSLCSFEEDDQREKASTMRERNICSKIRAFLQGTSSASGSCKNQ >ORUFI06G27510.2 pep chromosome:OR_W1943:6:25870029:25873961:-1 gene:ORUFI06G27510 transcript:ORUFI06G27510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGFGTGRSDVSVCINIVQAGDHFLDGWPLARIMADTLASHIKHLQHLLFMASSSQCWIQHLSILSMRSHLPGPLDKFLRLGQDLDWPLPCEQLKQHHSKTDQSGKAKIRNTSSEFSVEKDIAGFDVTVNNLPRADGSSPDMLLLSNRSSSNLLLLTLRATIFFITSHCFDENCPVNELLEIFSTWRGEPPLGDSNSGREPSRRLKLTSRTMILLENNNSIGRLRCNSPVRLPRDGEMRPWRPLEANETSVTVPSLLQLMPSHLQQSMPFTHDVLRLPLWPGKRPSRKPMRELSSCSVQELVGEANESRRITRSRKKATDNLVVVVVVVVVLLLHGKLGSCMVLS >ORUFI06G27510.3 pep chromosome:OR_W1943:6:25868565:25873837:-1 gene:ORUFI06G27510 transcript:ORUFI06G27510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAGVPFLPIGAAAALPSPPLAADSSEGLHRRREQAASEGSLSLNREKASTMRERNICSKIRAFLQGTSSASGSCKNQ >ORUFI06G27520.1 pep chromosome:OR_W1943:6:25870042:25873242:1 gene:ORUFI06G27520 transcript:ORUFI06G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPNLPCSSSTTTTTTTTTKLSVAFFRLLVILLLSFASPTSSCTEQEESSLIGFLEGLLPGHNGSLSTSWVKGIDCCKWEGINCSSDGTVTDVSLASKGLQGRISPSLGNLTGLLHLNLSHNLLNGYLPMELLFSRSIIVLDVSFNRLDGSLPELESPSGGSPLQVLNISSNSFTGQFSSKQWEVMKNIVALNVSNNSFTGQIPPSICINSPSFAILDLCYNQFSGSISSGLGNCSKLREFKAGYNNFSGALPEELFSATSLEHLSLPNNDLQGVLDGSHIVKLVKLTVLDLGSTGLSGNIPDSIGQLSTLEELRLDNNNMSGELPSALGNCTNLRYLSLRNNKFVGDLSKVNFTWLNLRIADFSINNFTGTVPESIFSCSNLIALRLAFNKFHGQLSPRMGTLKSLSFFSISDNHFTNITNALQILRSCKNLTSLLIGTNFKGETIPQDETVDGFENLRVLTIDSCGAMGQIPPWISKLKKLEVLDLSNNMLIGEIPFWIRDMPVLFYLDITNNSLTGDIPVALMNLPMLQSGKNAAQLDPNFLELPVYWTPSRQYRLLNAFPNALNLGNNSFTGVIPPEIGQLKMLDGFNVSFNRLSGEIPQQICNLTNLQLLDLSSNQLTGELPAALTDLHFLSKFNVSNNELEGPVPTGRQFDTFLNSSYSGNPKLCGPMLSNLCDSVPTHASSMKQRNKKAIIALALGVFFGGIAILFLLGRFLISIRRTSSVHQNKSSNNGDIEAASLSSVSEHLHDMIKGTILVMVPQGKGGSNNLKFKDILKATNNFDQQNIIGCGGNGLVYKAELPNGSKLAIKKLNGEMCLMEREFTAEVEALSMAQHDNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRDNGRPLLDWPTRLKIAQGASRGLSYIHNICKPHIVHRDIKSSNILLDREFRACVADFGLARLILPYDTHVTTELIGTLGYIPPEYSQAWVATLRGDIYSFGVVLLELLTGKRPVQVLSKSKELVQWTREMRSHGKDTEVLDPALRGRGHEEQMLKVLDVACKCISHNPCKRPTIQEVVSCLDNVDADLQVQM >ORUFI06G27530.1 pep chromosome:OR_W1943:6:25874213:25876934:-1 gene:ORUFI06G27530 transcript:ORUFI06G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGKDLISQLPDDILVHILSMVRYKEAVRTTVVSRRWKHLHTKLPAVSFTMSVLGLQGSPLSTQSKQRVESMARTLRRRCAGPDHDTVQRLRLSYRKDVPMECRYADEFIALAAASSLVLFLNCPKNLRNNDAGPWSLHLPAATPCLSMESCWYSVRPPHVHGPGASALKSLTFKDSFMVLHPGYLQDTAFPSLEELQISGCTLSGSIEITSATMPRLKHLRIVDVSIISLGTEAAITVLADELATLRVSCHDGGKPDPPSSHEMLCVETPFRASFTEYSCFRLRSPKLRVFEWRCCYAKEVRVDAVGRLSDVVIELFAGRLPRCYNEAKRFLQMEDCDKLMKHILQGIMPGRWKYVQRNFIECDELRLRCEITEYDM >ORUFI06G27540.1 pep chromosome:OR_W1943:6:25878731:25881611:-1 gene:ORUFI06G27540 transcript:ORUFI06G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLKLLLLLQYSCASCAWGSVEMRFSPDGSTSAMQLQTVKNLACLNIDDQRDAGTERVSAAVRGFALGSVSVSAVFAETKWILGRCDDATRAGADQIAAPDPGTMGMEGWLEPKGLFGKGILQLYWLAGCSSLVPMAPFVLAVAQLQSRRPNRPKGVFLF >ORUFI06G27550.1 pep chromosome:OR_W1943:6:25881610:25882410:1 gene:ORUFI06G27550 transcript:ORUFI06G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCRVASVSHRAALLLLLVLLLCLTSSSLAESEGRRWQPRRLLVSPAATSSQHRAGQQQQQMRVDGANKPFKQPATAASFGRRLYGFK >ORUFI06G27560.1 pep chromosome:OR_W1943:6:25886424:25893334:-1 gene:ORUFI06G27560 transcript:ORUFI06G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLTEQSSLDFVAQLSPEHNGSLNMSWALGTDCCSWEGINCRGENTVRDISLPSKGLAGSISPSLSNLAKLLHLNLSHNSLSGGLPMDSLLFSSIAVLDVSFNHLDGPLQELQSSNPSLSLPLQVMNISSNFFHRTISISSMEMMKNLVALNASNNSFTGQIPASICISTPSFAVLDLLSFGATNSDAEFPQNGKIPNSIGQLNKKNSIGQLRRLEELHLDNNNMVGELPSALGNRTNLRFITLRGNRFTGDLGKVHSTMLDLKIPNFSMNNFTVTIHESIYSSSNLIALWLAFNRFHGHISQRIGDLNYPKEPISRGKSFRRMKQFMVLRTFRYSPWMVAHRLDKSLFGCQRSQSLQEIFHLASTLMNMPMLLSEKKPATLDLKLLDLPTSGRVVVVVSGVLVLLLAISICGRAAACAEAERAALLSFLAEASPPAGDAIVADWRGSPDCCRWDGVGCGGAGDGDGAVTRLSLPGRGFNGTISPSIGNLTGLTHLNLSGNSLAGQFPEVLFSLPNVTVVDVSYNCLSGELPSVATGAAARGGLSLEVLDVSSNLLAGQFPSAIWEHTPRLVSLNASNNSFHGSIPSLCVSCPALAVLDLTVNVLSGVISPGFGNCSQLRVLSAGRNNLTGELPGELFDVKPLQHLQLPANQIEGRLDQDSLAKLTNLVTLDLSYNLFTGELPESISKMPKLEELRLANNNLTGTLPSALSNWTSLRFIDLRSNSFVGNLTDVDFSGLPNLTVFDVASNNFTGTMPPSIYSCTAMKALRVSRNVMDGQLSGVIPPSLMEMRLLTSEQAMAEFNPGHLILMFSLNPDNEAANRQGRGYYQLSGVAATLNFGENGITGTISPEVGKLKTLQVFDVSYNNLSGGIPPELTGLDRLQVLDLRWNRLTGTIPSALNKLNFLAVFNVAHNDLEGPIPTGGQFDAFPPKNFMGNPKLCGRAISVPCGNMIGATRDDHPDKHVGKRVLIAIVLGVCIGLVALVVFLGCVVITVRKVMSNGAVRDGGKGVEVSLFDSMSEFYGDCSKDTILFMSEAAGEAAKRLTFVDILKATNNFSQERIIGSGGYGLVFLAELEDGARLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFCIRGRLRLLLYPYMANGSLHDWLHERRAGGAGAAPQLLDWRARLNVARGASRGVLYIHEQCKPQIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTPGYIPPEYGQAWVATRRGDVYSFGVVLLELLTGRRPVEAASPPHGQQRELVRWVLQMRLQGRQAEVLDTRLSGGNEAQMLYVLDLACLCVDSTPFSRPAIQEVVSWLDNVDTIGRS >ORUFI06G27570.1 pep chromosome:OR_W1943:6:25890059:25892503:1 gene:ORUFI06G27570 transcript:ORUFI06G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASPWASGGGWGRRCAASVRASPSRRAIQPHPGPGYSAVAANRRGEAALVLREAARAAADAGNGARAGIPGCKNLQCEANHGRRWLKLTSRTAMLLNNNESIGRPPERELWERLRCNNLARLLRDGEMLPARPLEGSEISLTVFSPLQLIPSHEQQSVPNAHDMLRLPLCSGESCATKSRELCSCYMKELVGEAKVSSSNSITQSSQKAWGICF >ORUFI06G27580.1 pep chromosome:OR_W1943:6:25893382:25896612:-1 gene:ORUFI06G27580 transcript:ORUFI06G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHQVVVTGVLVLVLAASVSDRAAACVEAEREALLSFLAEAAPPAGDGIVGEWQRSPDCCTWDGVGCGDDGEITRLSLPGRGLGGTISPSIGNLTALVYLNLSGNDLSGPFPDVLFFLPNVTIVDVSYNCISDELPDMLPPPPADIVQGGLSLQVLDVSSNLLAGQFPSAIWEHTPRLVSLNASNNSFRGTIPSLCVSCPALAVLDLSVNMLTGAISPGFGNCSQLRVLSAGRNNLTGELPGDIFDVKSLQHLHLPSNQIEGRLDHPECIAKLTNLVTLDLSYNLLAGELPESISQITKLEELRLIHNNLTGKLPPALSNWTSLRCIDLRSNRFTGDLTGIDFSGLDNLTIFDVDSNNFTGTIPPSIYSCTAMKALRVSHNLIGGQVAPEISNLKELQFLSLTINSFVNISGMFWNLKGCTSLTALLVSYNFYGEALPDAGWVGDHIKSVRVIVMENCALTGTIPSWLSKLQDLNILNLSGNRLTGPIPSWLGGMSKLYYLDLSGNLLSGEIPPSLKEIRLLTSEQAMAEFNPGHLPLMFSVKPDRRAADRQGRGYYQLSGVAATLNLSDNGITGTISPEVGKLKTLQVLDVSYNNLSGGIPPELSNLTKLQILDLRWNHLTGTIPPSLNELNFLAIFNVAYNDLEGPIPTGGQFDAFPPRSFKGNPKLCGLVISVPCSNKFEARYHTSSKGVGKKVLIAIVLGVSFGLVILIVSLGCLVIAVRRVMSNGAVHDGGRGVGASLFDSMSSELYNDNDSSKDTIFFMSEVADEAAKAVTFVDVLKATNNFSPANIIGSGGYGLVFLAEMEDGARLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFCIRGRLRLLIYPYMANGSLEDWLHERHAGGGAPQQLDWRARLNIARGASRGVLHIHERCKPHIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTPGYIPPEYGQAWVATLRGDIYSFGVVLLELLTGRRPVETLPPQQGQQWELVRWVMQMRSQGRHAEVLDPRLRGNGDEAQMLNMLDLACLCVDSTPFSRPEIQDVVRWLDNVDTIGRADV >ORUFI06G27590.1 pep chromosome:OR_W1943:6:25901384:25904575:-1 gene:ORUFI06G27590 transcript:ORUFI06G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHVVVSGVLVLVSAATICGCAAACVEVERKALLSFLADAASRAGDGIVGEWQRSPDCCNWDGVGCGGDGEVTRLSLPGRGLGGTISPSIGNLTALVYLNLSSNSLSGPFPDVLFFLPNVTVVDVSNNCLSGELPSVATGATARGGLSLEVLDVSSNLLAGQFPSAIWEHTPRLVSLNASNNSFHGTIPSLCVSCPALAVLDLSVNVLSGVISPGFGNCSQLRVFSAGRNNLTGELPGDLFDVKALQHLELPLNQIEGQLDHESIAKLTNLVTLDLGYNLLTGGLPESISKMPKLEELRLANNNLTGTLPSALSNWTSLRFIDLRSNSFVGDLTVVDFSGLANLTVFDVASNNFTGTIPPSIYTCTAMKALRVSRNVMGGQVSPEIGNLKELELFSLTFNSFVNISGMFWNLKSCTNLTALLLSYNFYGEALPDAGWVGDHIRKVRVIVLEKSALTGAIPSWLSKLQDLNILNLSGNRLTGPIPSWLGAMPKLYYVDLSGNLLSGVIPPSLMEMRLLTSEQAMAEYNPGHLILTFALNPDNGEANRHGRGYYQLSGVAVTLNFSENAITGTISPEVGKLKTLQMLDVSYNNLSGDIPTELTSLARLQVLDLSWNLLTGTIPSALNKLNFLAVFNVAHNDLEGPIPTGGQFDAFPPKSFMGNAKLCGRAISVPCGNMNGATRGNDPIKHVGKRVIIAIVLGVCFGLVALVVFLGCVVITVRKLMSNAAVRDGGKGVDVSLFDSMSELYGDCSKDMILFMSEAAGETAKSLTFLDILKATNNFSPERIIGSGGYGLVFLAELEDGTRLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFYIRGQLRLLIYPYMANGSLHDWLHESHAGDGAPQQLDWRARLSIALGASRGVLYIHDQCKPQIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTPGYIPPEYGQAWVATRRGDVYSFGVVLLELLTGRRPFEVLRHGQQLELVQWVLQMRSQGRHGEVLDQRLRGNGDEAQMLYVLDLACLCVDSTPLSRPVIQDIVSWLDNVQFIG >ORUFI06G27600.1 pep chromosome:OR_W1943:6:25911045:25922047:-1 gene:ORUFI06G27600 transcript:ORUFI06G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPCAASPTREKVSSDLPCGMTGERGDLLARLAGGRGVLFDGVTARVVARQHLPARRKIHKSAALFFGLAVVVLLSFTSPTSSCTEQEESSLIGFLDGLLQGGNGSLCMSWVKRTDCCKWEGITCSSDGTVTDVLLAAKGLQGHISPLLGNLTGLLHLNLSHNLLNGNLPMELLFSRSIIVLDVLNISSNLFTGQFSSKLWEAMKNIVALNASNNSFTGQIPSSICINSPSLAILDLSYNQFSGSIPPELGNCSKLREFKAGYNNFNGALPEELFSATSLEHLSLPSNDLQGVLDGSDILKLVKLTVLDLGSTGLSGNIPDSIGQLSTLEELRLDNNNMSGELPSAVGNCTNLRYLSLRNNKFVGDLSKVNFTRLNLRIADFSINNFTGTVPESIYSCSNLIALRLAFNKFHGQLSPRMGNLKSMSFFSIADNHLTNITNALQILKSCKNLTAVLIGTNFKGETISKSETIDGFENLRVLTIDSCGLVGQIPTWISKLKKLEVLDLSNNMLSGKIPFWISDLPVLFYLDITNNSLTGDIPTALMNTPMLQLGKNAAQLDPNFLELPVYWTRSRQYRLLNAFPNALNLGNNGFTGVIPPEIGRLKMLDGFNISFNRLSGEIPQQICNLTNLQLLDLSSNQLTGELPSALTDMHFLSKFNVSNNELEGPVPTGGQFDTFLNSSYSGNSKLCGAVLSNLCSPTTRKENFTSQRRNLRCALVTGITLGALVALALLACFLIGRLEPDEELLLGFAFGPTLNNEGMEPEMSSRVVVVSGVLVLVLVVVSVCGRAAACVEAEREALLSFLAAAAPPAGDGIAAQWRGSPDCCAWDGVGCGVDGAVTRLWLPGRGLSGTISPSIANLTALTYLNLSGNSLSGRFPDLLFALPNATVVDVSYNRLSGELPNAPVAAAAATNARGSLSLQVLDVSSNLLAGRFPSAIWEHTPRLVSLNASNNSFHGSIPSLCASCPALAVLDLSVNVLSGAISPGFSNCSWLRVLSVGRNNLTGELPGDIFDVKPLQRLQLPSNQIEGRLDPERIAKLTNLITLDLTYNMFTGELPESISQLTKLEELRLGHNDFTRTLPPALSNWTSLRCLDLRSNSFVGDLTVVDFSGLANLTVFDVAANNFTGTIPPSIYSCTAMKALRVSNNLMVGQISPEIGNLKELQFFSLTVNSFVNISGMFWNLKGCTSLTALLVSYNFYGEALPDAGWVGDHVRSVRLMVMQNCALTGVIPSWLSKLQDLNVLDLSGNRLTGPIPSWLGAMPKLYYVDLSGNQLSGVIPPSLMEMRLLTSEQAMAEFNPGHLPLTFTLTPNNGAASRQGRGYFQMSGVATTLNFSDNGITGAIPPEIVKLKTLQVLDVSYNNLSGGIPPELSSLTRLQIVNLRWNRLTGTIPPALKELNFLAVFNVAYNDLEGPIPTGGQFDAFPPRDFTGNPKLCGEVISVPCGDRFDATDTTSSKVVGKKALVAIVLGVCVGLVALVVFLGCVVIAFRRVVSNGAVRDGGKCVESTLFDSMSEMYGDSSKDTILFMSEAAGEAASGVTFVDILKATNNFSAGNIIGSGGYGLVFLAELQDGTRLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFCIRGRLRLLIYPYMANGSLHDWLHEQRAGAGRGAPQRLDWRARLRIARGASRGVLYIHDQCKPQIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTLGYIPPEYGQAWAATLRGDVYSFGVVLLELLTGRRPVEALPHGQQRELVRWVLQMRSQGRHGEVLDQRLRGKGDEAQMLYVLDLACLCVDSTPLSRPAIQDIVSWLDNVEFIG >ORUFI06G27610.1 pep chromosome:OR_W1943:6:25917693:25918368:1 gene:ORUFI06G27610 transcript:ORUFI06G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPWLNPAQSVGPRGLSARLALIGPGDRAPAAAPDSGAGAHLEGLFGRRNKTSNSDHLFIDSAVG >ORUFI06G27620.1 pep chromosome:OR_W1943:6:25923546:25927734:-1 gene:ORUFI06G27620 transcript:ORUFI06G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWCVRAEDALAAAAAVAVASDKMRSVTLGGSIQRVMRRIGGGGGGRRSAGSRGAPQRAECLGAGSGDASASCSGDDSSNGTGKRDGSRRVRMRRYRSELEQEVKKLQRQLEEEIDLQLALTDAITNNATLILEPSAKLPNKAQELIISIASLENTVSKLEKDLNDLCYQLCHLRNNTRLAENNSRYLETLAEENNSRGLLSTSLQYQPPSTCKCTGEEDISTLRDIKLGESESMQENLFPGLEDQQNIQKESEGREIVSQDGLLEEHQDVPSNRLLEKHWNEEMQESYPMENGGREYQIIDALSFDQSHQRKSSINGNVWNGNPNKLSEEMVRCMRDIFLRLSDSSSEISPKGSSVNSISSTERLSGCTLTSVSDSSLMASVMQSPSVDSNHDSIDEVRYFDPYNVNGKEVRRDIGNYCSVAEVSWMYVGKEQLAYASEALKNFRNLVEQLSKVDPTCMTCAERLAFWINLYNTLIMHAYLAYGVPENDIKLFSLMQKACYIVGGQSFSAAEIEFVILKMKTPVHRPQLSLMLALHKFRVTEEHKKYSIDDAEPLVLFGLSCGMFSSPAVRIFSAGNVRQELQESMRDYIRASVGINDSGKLIVPKLLQSYAKGTVEDSLLADWICRHLTPNQVAAVQDTSSSRKQRLLGVRSFSVVPFDSKFRYLFLPDNSSSQH >ORUFI06G27630.1 pep chromosome:OR_W1943:6:25931676:25937191:1 gene:ORUFI06G27630 transcript:ORUFI06G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLWGALGQASSVAQLVGVDALGLVSMVVQAALAARRHRDACRRLGQHVDLVGGLLRELELAELMRREATRRPLERLQGALRRCYALVRACQDDCGYLHRLLLGARMADELRAAQHEIDMYIRLIPLISLVDSSNNNRRVTATEGVPSVVPSYSDHHTRFPRRILAFTEIHVQGATKPREIRGKSLEANSTTKFTAKRRKREAVAAAAVNNIHSRKEEERGRRCRRLRRRASSSPLPPSIEAAAASSPQLLPSRIKAAALLPSRIEAAAGNLLNFCPNCVGDMGSFTTHPVTVDLQEQKIMDMEAIMRLCIQTEENYPGFRKFGFFQLVDATDGFSENRNVGIGGFGTVYKGQLLDGHTVAIKRFVVDAAIFDFKSELQLVRLQHTNLIRLLGWCIHEKEKILVYEFMQKGSLDNIIFHKRKGALLNWSKRLQIIKGLAEGLLYLHKHCLIVHRDLKPNNILLDHDMNPKIADFGSAVTLVSDVAEECTRRVVGTSGYIAPEYASEGRYSLKTDVFSFGVVVLEIISGRKNFIMEKQGDTVGNLIRDAWHMWRDGRLHELVDPALCDEYESPVIMRCTQVALLCAQEDPTERPTMTDVTRVLNSQSILLSDPKKPTELTDGGASTDRPSTYIGQSSKTIDITITSSAPVSTRVRIIVDPEI >ORUFI06G27630.2 pep chromosome:OR_W1943:6:25931709:25937191:1 gene:ORUFI06G27630 transcript:ORUFI06G27630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLWGALGQASSVAQLHVDLVGGLLRELELAELMRREATRRPLERLQGALRRCYALVRACQDDCGYLHRLLLGARMADELRAAQHEIDMYIRLIPLISLVDSSNNNRRVTATEGVPSVVPSYSDHHTRFPRRILAFTEIHVQGATKPREIRGKSLEVTVDLQEQKIMDMEAIMRLCIQTEENYPGFRKFGFFQLVDATDGFSENRNVGIGGFGTVYKGQLLDGHTVAIKRFVVDAAIFDFKSELQLVRLQHTNLIRLLGWCIHEKEKILVYEFMQKGSLDNIIFHKRKGALLNWSKRLQIIKGLAEGLLYLHKHCLIVHRDLKPNNILLDHDMNPKIADFGSAVTLVSDVAEECTRRVVGTSGYIAPEYASEGRYSLKTDVFSFGVVVLEIISGRKNFIMEKQGDTVGNLIRDAWHMWRDGRLHELVDPALCDEYESPVIMRCTQVALLCAQEDPTERPTMTDVTRVLNSQSILLSDPKKPTELTDGGASTDRPSTYIGQSSKTIDITITSSAPVSTRVRIIVDPEI >ORUFI06G27630.3 pep chromosome:OR_W1943:6:25931676:25937191:1 gene:ORUFI06G27630 transcript:ORUFI06G27630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLWGALGQASSVAQLVGVDALGLVSMVVQAALAARRHRDACRRLGQHVDLVGGLLRELELAELMRREATRRPLERLQGALRRCYALVRACQDDCGYLHRLLLGARMADELRAAQHEIDMYIRLIPLISLVDSSNNNRRVTATEGVPSVVPSYSDHHTRFPRRILAFTEIHVQGATKPREIRGKSLEVTVDLQEQKIMDMEAIMRLCIQTEENYPGFRKFGFFQLVDATDGFSENRNVGIGGFGTVYKGQLLDGHTVAIKRFVVDAAIFDFKSELQLVRLQHTNLIRLLGWCIHEKEKILVYEFMQKGSLDNIIFHKRKGALLNWSKRLQIIKGLAEGLLYLHKHCLIVHRDLKPNNILLDHDMNPKIADFGSAVTLVSDVAEECTRRVVGTSGYIAPEYASEGRYSLKTDVFSFGVVVLEIISGRKNFIMEKQGDTVGNLIRDAWHMWRDGRLHELVDPALCDEYESPVIMRCTQVALLCAQEDPTERPTMTDVTRVLNSQSILLSDPKKPTELTDGGASTDRPSTYIGQSSKTIDITITSSAPVSTRVRIIVDPEI >ORUFI06G27640.1 pep chromosome:OR_W1943:6:25938167:25938463:-1 gene:ORUFI06G27640 transcript:ORUFI06G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLVATEMLDIVASLSRALVSTETVLLHQSTVVSTEVVREHEEGLALWLHSRAFLSRSKDDTRVQSYRSLVLVRLRRDSACTVAMVPEPDGRTG >ORUFI06G27650.1 pep chromosome:OR_W1943:6:25939419:25943257:-1 gene:ORUFI06G27650 transcript:ORUFI06G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKCWCSLNINNLETCLHFGKWHGVAASWYSIEEPACQRNLEKIFCSRAGESEIKSAWNVKKELEKLETSLRSICAVLRDAECKQSTSHSLQEWLDNLKDAVYDIDDVLDDVSTEALEQEVRNSFIHRTRNMLTYPFKLSQKIKEVRKKLDEIAANRAQFGLTEHVIDVHTSGSSNRETHSFITEPEIIGRDEAKRKIVETICTATESNPLSVLPIVGLGGIGKTALAQLIYNDVQITETFQKKLWVCVSNVFDLKKILDDIMQSGTGKSNKHLNLEMLQSKVRGFLCEKRYLLVLDDMWNDKVNEWDELKCLLSSGGSGSVIIVTTRNMSVASIVKTLEPYDVAKLTDDKCMQVFMHYAFRGGGPHDPKLLEIGKSIVEKCCGIPLAAKTLGSLLCTSHDVGEWRRVMEDKIWNTEAKVYGLIPALKLSYDALPPHLRACFSCLSIFPKDHQLFYSTTVMLWMALGMLNTGKESKQMISAGSKCFHDLLGRSLFQDQIIVYDETIQSCKMHDLIHDLAQFVSENEHAVISCEKTAFSKRVKHLVLVNLQTVHLAQCDQLEGLPRDVHQLVSLTYLSLTSKQKYLLKSGFCGWPSLTFLYLHHCVELTSLTEGFGSLAALRELRIFNCPKLASLPSAMKQLSSLEKLVLNNCNELDLMEPGEALSGLGSLRALNLVGLPKLVGFSASFQSAASSLQYFCIGDCQGLEKLPDFIQSFTCLKIIGIRDCPELSRRCTAESGEDFHLIHHVLRIYIDNKIWEKACREPAGFKTFRSKKIAFEYLVGVAFQAGRPYSDTPRVLKA >ORUFI06G27660.1 pep chromosome:OR_W1943:6:25947823:25950375:1 gene:ORUFI06G27660 transcript:ORUFI06G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLYLRSSGSFKKLLLSIGHRGAKNGNGDAAAKERYTPAAAAAAPESPRKPAWRCFSYEEIHRATNAFHEGNLVGKGGSSEVYRGELPDGRAVAVKRLMGAWACERRERDFLAELGTVGHARHPNVCALLGCCVDRDLYLVFHFSGRGSVSANLHDEKKAPAMGWAVRRAIAVGTARGLEYLHKGCQRRIIHRDIKASNVLLTDDFQPQISDFGLAKWLPSEWTHRAIAPIEGTFGCLAPEYYTHGIVDEKTDVFAFGVFLLEIMTGRKPVDGSHKSLLSWARPFLNEGRIESLVDPRIGGDYDGEEARRLAFVASLCIRSSAKWRPSMTEVLELLEGVEIRQERWTMPEAVEDDDDEELWRFDDLDDEDDEDEEEFNTASPSSCSSSLSN >ORUFI06G27670.1 pep chromosome:OR_W1943:6:25950711:25957042:-1 gene:ORUFI06G27670 transcript:ORUFI06G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASYFSGTALMPSQRSGAPAPEYSAAGTGAAAAPSPSKPRDPRFSGCVPATVLHISRSFAAALAADGGGDPVFSIDGVETTNVRVLGRVVSVVSRDTDVCFTLDDSTGKIPLVRWITDQSDTRDTSYIQEGVYVKVQVNLMGFQAKKQGLARSIRPINNFNEVVLHFIECMHVHLESVQSKMQRQLPPSVQTNEYTHVPSSGGVRDYQVHFTPQVNQGLPPAVQTNTSTYVPLLGGVRDHQAHFAQVNQGQFSPAVQANTSTHLPFSGGVGEHQIHFTPKVNQGQFPPSVQTNTSAHVPYSGGFREHQVHFTPPVNQGQFPPAVQTNLYNHAASSGGVREQVHLTQANQLTQALVDYSMTHKEWFWKLCSNQIYLLSNMEHTLMNWGVVKHLAAAGFVYWTIDDNHVKSMHTPRHAQQLPESREDKNTPAALHKFTIHLNNCHNH >ORUFI06G27670.2 pep chromosome:OR_W1943:6:25950711:25957042:-1 gene:ORUFI06G27670 transcript:ORUFI06G27670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASYFSGTALMPSQRSGAPAPEYSAAGTGAAAAPSPSKPRDPRFSGCVPATVLHISRSFAAALAADGGGDPVFSIDGVETTNVRVLGRVVSVVSRDTDVCFTLDDSTGKIPLVRWITDQSDTRDTSYIQEGVYVKVQVNLMGFQAKKQGLARSIRPINNFNEVVLHFIECMHVHLESVQSKMQRQLPPSVQTNEYTHVPSSGGVRDYQVHFTPQVNQGLPPAVQTNTSTYVPLLGGVRDHQAHFAQLTQALVDYSMTHKEWFWKLCSNQIYLLSNMEHTLMNWGVVKHLAAAGFVYWTIDDNHVKSMHTPRHAQQLPESREDKNTPAALHKFTIHLNNCHNH >ORUFI06G27680.1 pep chromosome:OR_W1943:6:25957269:25959993:1 gene:ORUFI06G27680 transcript:ORUFI06G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGKAAFEKEYKSSPRRRAQGELIRRAKRRLAASPLQLRAVVVGGGALSVLAGGSREPSAQMGHGPRRLVSGAYAMPPWVPIEVKIDQKWKRAFVLRQADHRGLCLVRVTGTPDAEPVMVPLSTIRLPSRVHRAKRRPETAMQIQVKKARIEQSSDDEE >ORUFI06G27690.1 pep chromosome:OR_W1943:6:25961045:25966929:1 gene:ORUFI06G27690 transcript:ORUFI06G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTCNACNAGFDDEEQQRLHYRSEWHRYNLKRKVAGVPGVTEALFLARQTALAEGSNSDSTPMLYSCALCGKEYRSSKAHAQHLNSRSHLMKASQEPNASIAGITIVKPRPERVQRRAPSAVEEDEDEDEEEEWVEVDPNDKDNIELGSGGAELVITNKSEKGTRVRTLGSREFIRYYRQKPRPSVATDRALALSLASSYKSMGLVTVQSKEQVVRLKVLRAMNKTGVETMRTKIGMKSNVKRDFILQ >ORUFI06G27700.1 pep chromosome:OR_W1943:6:25969076:25972472:1 gene:ORUFI06G27700 transcript:ORUFI06G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNLMMKKVVRPSSFDFDIQLDKSWTEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKGAYELPANMKVSTIAVAPLDSIHIVAPNVNNRPSCPLCRGDVIGWIVIGEARLHLNQKKRCCEEDCCSFVGNFNELQKHTQQKHPDSRPSEIDPARQVDWENFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDETGEEYEVFRRVRRHWWSCMFFRGFSRSSRRRRRARARERRGSGRRNSNQAHLESFNLEVPTQSVDLREIRFDEIDDEYIVTGAIPSIATPGRMASFHYRDTRYGR >ORUFI06G27700.2 pep chromosome:OR_W1943:6:25969121:25972264:1 gene:ORUFI06G27700 transcript:ORUFI06G27700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNLMMKKVVRPSSFDFDIQLDKSWTEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKGAYELPANMKVSTIAVAPLDSIHIVAPNVNNRPSCPLCRGDVIGWIVIGEARLHLNQKKRCCEEDCCSFVGNFNELQKHTQQKHPDSRPSEIDPARQVDWENFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDETGEEYEVFRRVRRHWWSCMFFRGFSRSSRRRRRARARERRGSGRRNSNQAHLESFNLEVPTQSVDLREIRFDEIDDEYIVTGAIPSIATPGRMASFHYSCTTENCNCHCHCHWSIAQNGLSHNSYKISAA >ORUFI06G27710.1 pep chromosome:OR_W1943:6:25973292:25974158:-1 gene:ORUFI06G27710 transcript:ORUFI06G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGVLRRWKRFFPAFASIHAAIEAAEPGISRREFRDATDKVVAMLCNATDDEAVAEELRVVLDGMMVEALLTLELVPAMPKMLASTDLAQDVGALRNHPSERVRSLAIGIVRGWRASVKDELLKAAAAMEKLSQAMEPDEADDHHAKILQPSPPKKTANTSRSQPPFPKKQSARPVVGGSRVTTMAKIDPPPEKAPAAAAARSSHHRESVVPCCTDEKAMNAAKRKLREGYQEAEEAKRRRTIQVIQAPDRQRKMQAITRPRSRPSFVAAASTAKKSSGFSSLRRF >ORUFI06G27720.1 pep chromosome:OR_W1943:6:25974508:25975623:1 gene:ORUFI06G27720 transcript:ORUFI06G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKRSAADSGIADADEHAGGGGGGKRSCIESTDNYEVTCWLRKGAFGAVIRARHRATGRDVAIKFLRRHRCGMGGKAVGEDALLREALYLARCSHHPSIVHYHGLALEPRSGMWGLVMEHVGPSLSSVLRERHGGGGGPPFTEEEVRRVMRQLLSGVQRLHDRHVVHRDIKPGNILVGDGGVVKLCDLGLAMDTAARKPPYQKAGSPGYKAPEMLLGKPDYGELVDAWSAGCVMGELLAGVPLFRGHSETDELLRIFRLLGAPCRQTWPSYPSLPLFGAVPICRSWDRNRLRDLFPEERLSRDGFEVLNGLLTCNPDARLSAAEALRLPWFNATVDGIAAADETKIGQPAASPRQEPPPLASFAAIYPLW >ORUFI06G27730.1 pep chromosome:OR_W1943:6:25976414:25978107:-1 gene:ORUFI06G27730 transcript:ORUFI06G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSEVRKCIDNGTEFRGDLLNFRKDGSPLMNKLHLTPIYGDDETITHYMGIQFFTNANVDLGPLPGSLMKEPVRSTRFTPDNFFRPISTGPGQSNFCREYSSLFQLTDEVLCQSILSRLSPRDIASVSSVCRRLYLLTRNEDLWRMVCQNAWGSETTRALETVPAAKRLGWGRLARELTTLEAVAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASNPEWRHVNVSSAPPGRWGHTLSCLNGSLLVVFGGCGRQGLLNDVFTLDLDAKQPTWREIPGVAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVTMDKPVWREVPASWTPPSRLGHSMSVYGGRKILMFGGLAKSGPLRLRSSDVFTMDLSEEEPCWRCLTGSGMPGAGNPAGAGPPPRLDHVAVSLPGGRVLIFGGSVAGLHSASQLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWMLTEIHELSLASSTV >ORUFI06G27740.1 pep chromosome:OR_W1943:6:25978116:25980874:-1 gene:ORUFI06G27740 transcript:ORUFI06G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSESDGAGSIGAGEEEEEEEEEEEGGFGGGGGGGGGGGGMFSFAIEGMLRASGPCGLVVTDALEPDCPIIYVNCGFEEATGYRAEEVLGRNWDQNATPKVKEPSDSCNAEGPLRKGDIP >ORUFI06G27750.1 pep chromosome:OR_W1943:6:25984020:25987468:1 gene:ORUFI06G27750 transcript:ORUFI06G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAGAAIPRDALLRIAAPLRDSLAAAPYAPPEGSSTSTKSLLSSLLPSSHPQAPAGGGGARSKEAAGLLLFCAAARAASPEYPALHWVPVALSDAAAAAVEEMAAAGGWGDVGEMVVGMMPEVVPPLKDVVKATCVDTEDEEIGKEKPPKEHAVVAAHQFRWLVSQVTYPKLGDLCWLVIPCALTALDHWSPEVKEQGMVSFMHIAKSVKATELNLYEDAILDACCHNIPANDELWYRAVEVSVLLLTCTQRSNPRSPWYDRMLAEMLGHLERQPLNKKRRVAWLTLIGPVFEAMGLFLLAHFRLLFSLFFQWMHADDDKTVLLVLERIHEVIKLTWIRKSPYTSRLVDELVLLYKESATRSSREVVRNHILEMLATLQKCKGQQFEEAWKKHEVDPDLTMLLSCFNELCTKNHSS >ORUFI06G27750.2 pep chromosome:OR_W1943:6:25984020:25987468:1 gene:ORUFI06G27750 transcript:ORUFI06G27750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAGAAIPRDALLRIAAPLRDSLAAAPYAPPEGSSTSTKSLLSSLLPSSHPQAPAGGGGARSKEAAGLLLFCAAARAASPEYPALHWVPVALSDAAAAAVEEMAAAGGWGDVGEMVVGMMPEVVPPLKDVVKATCVDTEDEEIGKEKPPKEHAVVAAHQFRWLVSQVTYPKLGDLCWLVIPCALTALDHWSPEVKEQGMVSFMHIAKSVKATELNLYEDAILDACCHNIPANDELWYDRMLAEMLGHLERQPLNKKRRVAWLTLIGPVFEAMGLFLLAHFRLLFSLFFQWMHADDDKTVLLVLERIHEVIKLTWIRKSPYTSRLVDELVLLYKESATRSSREVVRNHILEMLATLQKCKGQQFEEAWKKHEVDPDLTMLLSCFNELCTKNHSS >ORUFI06G27760.1 pep chromosome:OR_W1943:6:25988053:25989881:-1 gene:ORUFI06G27760 transcript:ORUFI06G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAYFAFSVLVTLHLCCCHGQAADAAGSNSSDVTAIYSLGDSITDTGNLIKEAPPGMFETIKHLPYGITFGYPTGRCSDGLLMIDFLAQDLGLPFLNPYLGKNKSFDHGVNFAVAGATAMDPTDQFSGRFFAPFSSNSLNVQLRWFKDYMKSTFSTDEGNSPDQFHIRKRLQSSLVLIGEIGGNDYNYALFGKSVSEVEKLIPGVEVLEMGANRVIIPGNFPIGCMPTYLTSKRSSEPSDYDATGCLRELNRFAAKHNARLRRAIADELRPSYPAAAVAYADYFNSFLALLDAAGELGFDAGSARRACCGAGGGEYNYDPRRMCGAEGAAACAEPEKYVSWDGVHMTQAAYRAMSRLVYHGMYLEPQILSLADAP >ORUFI06G27770.1 pep chromosome:OR_W1943:6:25992462:25994408:1 gene:ORUFI06G27770 transcript:ORUFI06G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLIPRLHAPPPPPPRVPAGLPLPLLPAPALAATLLEAVSSSPSLPHLRHLHALAVRLPLPATSLPFLLSRLLRRLAALPPPPHAPLPYALNVFSAVSPPDPFLAAALLRFAFLTQPPLLPFRVFSHLRRAHGAELPFLPFAFSTLAKSATASRSLPAAAAAHAVSVLVGGFDRHRFVENSLIGAYVACGDVGAARKVFDEMVEKDVISWTSIVVAYTRSGDMRSAEEVFGRCPVKDMVAWTAMVTGYAQNAMPVKALEVFDRMAELGMVIDEVSLTGAISACAQLGALRRAAWVQEIAERTGFGNNVVVGSGLVDMYAKCGLIDEASKVFYGMQEKNVYTYSSMIAGLASHGRASEAIALFKEMVNRANVEPNHVTFIGVLTACSHAGMVGEGRYYFAQMKDKYGIMPSADHYACMVDLLGRAGLVDEALDLVRSMSVTPHGGVWGALLGACRIHGKSEIAKVVAEHLFKLEPESIGNYVLLSNILASAGKWEEVSKVRILMRKQRLKKDPAVSLFEGRDGLVHQFFAGDNAHPRTHEIKKALLELVAKLKLEGYVPILSSIVYDVNDEEKERLLMGHSEKLALSFGLLTLGSGCTIRITKNLRICDDCHLFMRLVSRVESVEIIVRDNMRFHHFKNGECSCGGFW >ORUFI06G27780.1 pep chromosome:OR_W1943:6:25998398:26003348:1 gene:ORUFI06G27780 transcript:ORUFI06G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFSPHLRQAAAAAAAAATGAPPLAAALLKNSSAPLIHGRLSFSHTSLQSPNNRGKRTGWAVRVLPLTEENVEMVLDQVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEILAVEQIVDTETGLELNHDNVDKVLDEIRPYLSGTGGGSLDLVQIDESVVKVRLTGPAAGVMTVRVAVTQKLREKIPSILAVQLTE >ORUFI06G27780.2 pep chromosome:OR_W1943:6:25994429:25999692:1 gene:ORUFI06G27780 transcript:ORUFI06G27780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNARLSHTLFQSADRLPAVNPFHPCLHRSRGRNCSHVRRCTWWPVATSNIKLAGSLQGPHCSTPGCTIHTIFLDIPISSCPVALDIGYYGCCVALQRQLNTSINLTR >ORUFI06G27790.1 pep chromosome:OR_W1943:6:25995178:25996554:-1 gene:ORUFI06G27790 transcript:ORUFI06G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKLSGMATDLFGLHRILSSLLEIEGTRTTREIKSKDSIQKLAKVLLKANWQKDLHHILVEPMEKSKQDSRESVRRTIMEHDEVFRQQVHELHRLYRVQKSLMAELGGEKHRFQSRTGETQEMMQGPLSNLKNSPSTSETTQSAHLGSTQHCAPEQVPEHSILQEYKPATCLNFFTEETSRTQEFRREGGRSTGGENWSASDPSVENDLDLKLTIGPSLHATKAPHWLFSGSRERNPSGQHR >ORUFI06G27800.1 pep chromosome:OR_W1943:6:26002456:26003937:-1 gene:ORUFI06G27800 transcript:ORUFI06G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLAPPPSAARPLLAPTVPHLVPAPTVPPLARPLLAGAPETPPLLLAILQASPPLLTPLLSHLLLSHSPPLPALSLFRRLLALPGFPVPEASLPALLRLLARSRRHAVLSFRLLDSLPPTHPHLLSTPALAVLLSTALSASAPGASFDAAVTCFDSAARVWARAGREFGVAELNALLRAFCARGRVAEARALFHRYCDAYPPDARTFNTLLLGFKEAGNAQALDLFYHDAVLRGFVPDAVSYCVRMDAYCKKGRFLDALDLLDEMRKRENCRPTLQVFTTLIYGAGIVRNAIRARQLFDEMGQWGVTPDRGAHNALMGAYVRARDLQSGMTVMSEMERKGIGLDDVSYNTMLCGFQRIGDLEGIWKVYSKMVGSGFMPRTRTTMLLMKVFCENARPDLGLELWDYLLGKGCVPHRHALDVLVTGLCCRGVVLEAYRCFREMIEMGMTPTERAFRVLEGFLKRKREFEKLEEIRQMMKAAQLDEHQCDEEAA >ORUFI06G27810.1 pep chromosome:OR_W1943:6:26005645:26006318:1 gene:ORUFI06G27810 transcript:ORUFI06G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGVTVVDVPAMSFSPPTRITLVRWTPPRHGWVKLNFDGSVHNDGSGRASIGGVIRDDHGRVLLAFAERTPHATIGVVEARALIRGLQLALDHGWNDRLLVEGDDLTLVRLLRCESTHTRIPPAMLDDILWLLDSFRVCEVQHAYREGNQVADALCHEAYKAAPAARLWTPGTAMVPFPVWEKLEDDRRGVLHQRVRA >ORUFI06G27820.1 pep chromosome:OR_W1943:6:26009254:26010299:-1 gene:ORUFI06G27820 transcript:ORUFI06G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPTSLRCFLTGRPLCSAGSTAAVLPAPKPTRRRPSARLSCRAANEAPSTRGDLPVKLGKLAMVALAAGVLALSPVDDAMAAKSGGRVGGQAFRSAPRSAPRPSGPRINNSRTNIYINPPVAPPLVGGYGYGYGYGWSPFSFFAPGPSVAVGVGGGFDTLVLFIVLGTIVGAVRRFLNRNDDDYDDY >ORUFI06G27830.1 pep chromosome:OR_W1943:6:26016953:26017216:-1 gene:ORUFI06G27830 transcript:ORUFI06G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGARRLLRERPAPHHRVPPRVRVAGSADRADAFVGARDDTSAPTSAERDEEAEKVEKPPRSRAASAGGRRGAALSPRPGQLAVAEK >ORUFI06G27840.1 pep chromosome:OR_W1943:6:26017905:26019318:-1 gene:ORUFI06G27840 transcript:ORUFI06G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFYDFKRFSFVCVFSSGVVREAAYVAVADESSMAVGLVDQLAGARREGGAATAAGGGGVRARRLLRFLRLLVPLGRRGLSICGSNAVAAPALPADDDIDSAAPREEEEEATGTARAAGASSSGGSGSRSGSYPPFKRGRDELVDSLSKFADETRPSKRPAAKHRTRATEVHNLSEWVKPQEHQPTIDG >ORUFI06G27870.1 pep chromosome:OR_W1943:6:26034424:26037277:1 gene:ORUFI06G27870 transcript:ORUFI06G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAGLAFLAVTSAALLSPLAVVGQLRTDYYSTICPNLETIVRSSVKQSMAASPISAPATLRLFFHDCAVRGCDASIMIVNSNGDDEWRNSDNQSLKPEGFTTVLNAKAAVDSDPQCRYKVSCADILALAARESVYQSGGPNYQVELGRYDGRVSTRDSVVLPHANFNLDQLNAFFAGLGLSQTDMIALSGGHTFGAADCRFFQYRIGADPAMDQGFAAQLRNTCGGNPNNFAFLNGATPAAFDNAYYRGLQQGRGLLGSDQALHADQRSRGTVDYYAWSQSAFFGDFAAAMTRLGRVGVKTAATGGEIRRDCRFPN >ORUFI06G27880.1 pep chromosome:OR_W1943:6:26050403:26053994:1 gene:ORUFI06G27880 transcript:ORUFI06G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRRRSGPRRQSQSVVVVVVAVLLATASCAAAQLSQSYYASTCPNVETLVRGAVTQKLKETFNAAPGTLRLFFHDCFVRGCDASVLIAGPDDEHSAGADTTLSPDALDLITRAKAAVDADAQCANKVSCADILALAARDVVSQAGGPYYQVELGRLDGKVGTRAVVKHSLPGAAFDLDQLNKLFATNGLTQTDMIALSGGHTIGVTHCDKFVRRLYQFKGAAPQYSPPMNLAFLRQMRQTCPLSYSPTTVAMLDAVSPNKFDNGYFQTLQQLKGLLASDQVLFADRRSRATVNYFAANQTAFFDAFVAAITKLGRVGVKTAAGSDAEIRRVCTKVN >ORUFI06G27890.1 pep chromosome:OR_W1943:6:26058827:26061238:-1 gene:ORUFI06G27890 transcript:ORUFI06G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSGRSISTTGFLRRGSSVSLKDQGNEERPNKTKLNPMNARWADSKEKPRYLREPFRSSGTKAACPSSSKAPVRKYFEEKQGRTFLGEADNAESSSRRTEANRLQCSKKAVVEEDVHPYGQQDEPEDLLSTSTTEDQPAELDPELLDSSVSSGVSAHAIGSVVRNAALRSKSRQQKGKEELCQIRHQTASAFVNRSTIPRNSTNGVKSSNAAGPGVQRRTLKNLGCTSISDVLPSGCSSANSVHNKRAEVMRNRAFDGESSSRPRGLNGHSSLGHSPAMYSGITGPRVRTAEQSASQQTRTSSRSIQESADSSRIRRPSTQHARVRVPNEREDSVFALRETLARDRQPEWAHFSLGEAAPRRSMRPFSMELPHEIYSSSRQGSSNQTARSRSSYRPDESPPQMFHGLLVERENYRRINMEGIAEVLLALDRIEQDDELTYEQLLVLETNLFLSGLGLHDQHRDMRMDIDNMSYEELLALEERIGSVSTALSDEQLVKCLKRNVYKLPNSDLEANRAVLDDAKCSICQEEYIEGEEVGRMQCEHQYHVSCIHEWLRQKNWCPICKTSAIPSEMDKGGT >ORUFI06G27900.1 pep chromosome:OR_W1943:6:26068146:26070023:1 gene:ORUFI06G27900 transcript:ORUFI06G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPSPRSRDSARPKSTSGRPPSSPRSSDTTRRSSFTAASSSDKPVPSFLRPTVSSSLHSSSSSSPSSSSLLSSSPSSKGTGTTPRRSADKAPSASAASSRPITPKDKAPAVATSRPITPKDKAKAAAASTSRWSAVSPRQLMQKASNAFTRGSSSKSRAAKKDKEAATPAATSAAAKDAATPASTSAVRKKAATPATSAAGKAVAGASPGPTRAQPTEEHHQQPTEAPPAEPSPAAAAVQEEAVTSRAQLTEEHHQQPPEAPAEPSPAAVAVQEEAAAAEIEAEQEKQPDETPQEVVAAVEEKVQDEQVSTEAAEEAIAVEEDAAAVKTDAPEPEETQTQTGAIAESETEFQRSSEDEPASDAIVEEAAIESATQNEPDEPESTTVEEMAVEEEIKTDESQQEETPKPEERMENSETSVISEDPKEMDAISEDQKVEEPVVAEEQEELSEVVKMAVEPSISSEPATPLEEEDRDDVETEQANSSEPATTVEEEEDRDDVETEQANSSEPATTVEEEEDRDDVETEQASSSDPATPLNEEAINEEGTSTETGKTKKVAFKGSKVKTAMERRPEGEQPRKKDVARSNDVIEETKRTLMMKRKSKVKALVGAFETVMDTPSPGKSS >ORUFI06G27910.1 pep chromosome:OR_W1943:6:26071312:26074492:-1 gene:ORUFI06G27910 transcript:ORUFI06G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGLSLHHDTSPSFAALACNQRPPPNGTVHACSKSRPPQLEPGKVGKKPIKKTMRIARIPTSIPPHLRLPLDLSAVSPMGSASEHDVREHLLDVDGVGEEGAAAAAGPKIRVRGLTRRSEARGEEILRGVDLDVPRGVVVGVIGPSGSGKSTLLRALNRLWEPAPGAVLLDGVDICGIDVLALRRKVGMLFQLPAMFDGTVADNVRYGPQLQGKKLTDAEVQSLLSLADLDPALCSKPASELSVGQAQRVALARTLANDPEALCVQVLLLDEPTSALDPISTQNIEEAIVRLKKTRGLTTVMVSHSVKQIQRIADLVCLLVAGEVVEVLPPSELSEAKHPMARRFLELS >ORUFI06G27920.1 pep chromosome:OR_W1943:6:26078055:26079254:-1 gene:ORUFI06G27920 transcript:ORUFI06G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRRLLLSDYDGAIVSPLPSPPPSSATPFRPGVAVVVGILTSVFSITFLLLLYAKHCKRSAAESSGPYGSGGAFGSSGGGGAGERRNSGVDRAVVESLPVFRFGALRGQKAGLECAVCLGRFEPTEALRLLPKCRHGFHVECVDTWLDAHSTCPLCRSRVDPEDVLLLPEPPKPSTTGPPDPPETKVAAAAAAAATAKDKEASLAPAAPAPSPAFRGFFSGRHSTGSVRAPGRVGPASRRSADLVGGDGGAVVGCFEAAKVRKDRVLLMEPAAAVAEPDPEAYDRRFGHRILVSTAGGCEDETAPAAKQRWSEVRPSDLMFVRSEMLVTEAGRYSCSAAVHSGNGRSVISARSLSELAGVSRLPPIRAGGEPRAGARRWPGSSWWARGPPALNGPST >ORUFI06G27930.1 pep chromosome:OR_W1943:6:26098804:26107079:1 gene:ORUFI06G27930 transcript:ORUFI06G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTPPLVAAIVCSVLLLAGGAAGNFYQDVDITWGDGRGKILGNGQLLTLSLDRSSGSGFQSKNQYLYGRFDMQIKLVPGNSAGTVATFYLSSQGSQHDEIDFEFLGNASGEPYTVHTNVYSQGKGGREQQFRMWFDPTKDFHTYSVLWNPSHILFYVDGTPIREYRNTEATTGVAFPRAQAMRVYASLWDAEEWATQGGRVRTDWSRAPFTASYRGLAASGCTSQDATACANPGSPWMYQQQLDSASQDRLRQVQRDYMIYNYCADTYRFPQGLPPECTANREMGKGYEEDNKSTTMDV >ORUFI06G27930.2 pep chromosome:OR_W1943:6:26093900:26098914:1 gene:ORUFI06G27930 transcript:ORUFI06G27930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLTLLVSSIRRISRIGGALSLCLVHAFALCADMRGGASLRLRWPAALVAVVAAAVTAAAAAGHGDHNFHRDFDAVWGKGNARFRDGGRMVELTLDEQTGARLQSKERFLFGRFDLEIKLVRGESAGTITSFYICSGGARHDEVDFEFLGNVSGEPYLLHTNIFSDGKGEREQQFVLWFDPTADFHTYSILWNPHNIILYIDGTPIRVFKNNEAYGVPFPTRQPVHVFASIWNAEEWATQGGRVKTDWSRAPFVATYRRYNVSNACVWDAAGAGASRCAGGGGGWMRRRMDWWSWMTLNWVRMNYMAYDYCADRKRFPHRFPAECIIPIGRT >ORUFI06G27930.3 pep chromosome:OR_W1943:6:26092232:26094207:1 gene:ORUFI06G27930 transcript:ORUFI06G27930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVALGIVAMACLVAIAHGGNFFQDAEVSWGQGRGKIVDGGRGLDLTLDRSSGSGFQSKSEYLFGKIDMQIKLVPGNSAGTVTTFYLSSQGSTHDEIDFEFLGNVTGEPYTLHTNVFTQGQGQREQQFRLWFDPTQSFHTYSIIWNPQHVIFAVDGTPIRDFKNHEARGVAFPKSQPMRVYASLWNADDWATQGGRVKADWSKAPFVASFRDFNADACVWSNGAQRCPVGTMETVAAPAGGRRGGAGGWWNQELSDMSYRRMRWVQRKFMIYNYCTDAKRFPQGTPAECKLR >ORUFI06G27940.1 pep chromosome:OR_W1943:6:26109085:26109489:-1 gene:ORUFI06G27940 transcript:ORUFI06G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRHGRMGGRRQGEAASAGERGGGEAAAATTPSRLPLPLPLLPKQRRRRPPSSGAKRASFAMAAALGLSGGEAFRLSADAGAGALKLHKDDITLWSVDGATVAIVRPSFLVNSFPSSILADACVRGYGAFRI >ORUFI06G27950.1 pep chromosome:OR_W1943:6:26116787:26117824:-1 gene:ORUFI06G27950 transcript:ORUFI06G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLVVVLVAMSAMVATANFNQEFDITWGDGRGKILEDGQLLTLTLDRTSGSGFQSKHEYLYGKIDMQLKLVPGNSAGTVTAYYVSVTFRRRRCCRRCDHLSSQGPTHDEIDFEFLGNVTGEPYTLHTNVFTQGQGQREMQFRLWYDPTKDFHTYSILWNPKHIIFMVDDMPIRDFRNLEGKGIAFPKNQPMRLYSSLWNADDWATQGGRVKTDWTHAPFSASYRGFRADACVVAAGGRTRCGATVGTDAAPGTGAAAAAGGWYNQELDLTRQQRMRWVQSKYMIYNYCTDPKRFPQGVPAECSM >ORUFI06G27960.1 pep chromosome:OR_W1943:6:26124183:26127246:1 gene:ORUFI06G27960 transcript:ORUFI06G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGGGDSPRRGTPDSDEEDYEEYVPVAKRRAMEAERLRRATKPPTTNAVAVAAPPPPPRSTSSPAAGEVAVKTSLLVKATKLKREAPEVTPAERLEREMIEHLSDRKALMPVGEIAKGISYSEPITTGWRPPLRLRRMPRSRADALRRSWHILVDGDDVPPPSRSFGDLRLPEPILRALRGKGIEKPTPIQVQGLPVALSGRDMIGIAFTGSGKTLVFVLPLIMAALQEEILMPIVPGEGPFGLIVCPSRELARQTHEVIEMFLAPLMEAGYPEIRPLLCIGGVDMRTQMEVVKKGVHIVVATPGRLKDLLSKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPEKIQNFAKSALVKPIIVNVGRAGAANLDVIQEVEYVKEEARIIYLLECLQKTPPPVLVFCEHKADVDYIQEFLLLKGVEAVAIHGGKDDEERKDAFKSFKASEKDVLVATDVASKGLDIPDIQHVINYDMPAEIENYVHRIGRTGRRGKTGVATTFINKNQTETTLLDLKQLLIESKQRLPPILADLDDPQEDDKVAIAQQSGVKGCAFCGGLGHRIEACPKQQLQNSVTLARARSDYFGGGGYRGEI >ORUFI06G27970.1 pep chromosome:OR_W1943:6:26131724:26132555:1 gene:ORUFI06G27970 transcript:ORUFI06G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRWPARRAAALCIAVVVLQLAAAAAARSLSSSRRRAHDHGHRVALPASAAAAASSLQQPVHRAVAKAKGGGRSTAFDAGGGVPCKEKSGGHGGAPSPCSDDDDKRVVPTGPNPLHNRNKNCPHQLFLHGVFVSIPQVEDSAVHHV >ORUFI06G27980.1 pep chromosome:OR_W1943:6:26133803:26135993:-1 gene:ORUFI06G27980 transcript:ORUFI06G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPLLPPLSATYTRTQPCKNPCQFSQPTPAFPSRPLTPPPPPRKMVEHLFEDIFTVTRLDPDGKKFDRVSRIEARSEQFDMYMQLDVATEVYPMRAGDRFTMVLAPTLNLDGTPDTGFYTQAGRKTLADKFDYVMHGKLYKISEDSSSGQATKVEIYASFGGLLMMLKGDPSSAASFELDQRLFLLIRKV >ORUFI06G27990.1 pep chromosome:OR_W1943:6:26145974:26147749:1 gene:ORUFI06G27990 transcript:ORUFI06G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLKLTWASLGSLFATAVLVRTAVRDFIPPEAHGLLRALLSRAAAALVPPCDAIIVHETDANGVPNELYEAAQLYLGARCLATAPAMHLHKTHGAAAAVASLPDSHATLDAFRGVRVLWTSQLDGNASSSFGGSSSSSRGFVHHPFPIGGRQRCLRLEFRRRDRDVVRDAYIPFVLEEAAALRAKMRERKLYTNNSADLLRFVRSREHYARAGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDIYDLELTTVTSNYDLRRLLASTRPKSVIVVEDVDCSLGLFDRTRSPAPPSSQDDDADSDEQRNRAMLQHALTLLPPAVEAAMQRETISLSGVLNFVDGLWSSCVGERLVVFTTNHKDRLDPALLRPGRMDRKVELGYCKAPALRVLAKNYLGDDDADDHDEIMGEAGRLLEEVQVTPADVAEVFMGCDGDDGAHDALQKLVDELNARKEKMNGPK >ORUFI06G28000.1 pep chromosome:OR_W1943:6:26154766:26156280:-1 gene:ORUFI06G28000 transcript:ORUFI06G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLDWRSVGSLLATIMVFRTAMRDFLPPEAEIFLRRLLTRLAAAFRPHVGTILIDEADGASGGANDLYDASQLYLGARCLATAPTVRLHKPHQAPRPVASLPDAHTTHDVFRGVLVKWTARPVERGASAGGGGGGVFNPYNPYGRGGGGGEPRRLELQFPRQHRELIHGHYIQHVIDEATKMRLRSRERRLYTNRAAAPGDDHHRLWTSHAFSHPSTFDTLAVDPALRDDIRADLLRFAARREHYARVGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDVYDLELTTVPTNSHLRRLLVSTTPKSVVVVEDIDCSLDLSDRKNKASDDENAAQLSIISPAAAAAMAAMGRESISLSGVLNFVDGLWSSCVGERLMVFTTNHPERLDPALLRPGRMDRKIELGYCSPPALRVLAKNYLGVGVGDEGCEDAADDPDTVSGLMADAEGLLAAGVLITPADIAEVFMGCDGAGATAALRKLADELRRRRDAPAVPVTEEAAMTTE >ORUFI06G28010.1 pep chromosome:OR_W1943:6:26157234:26157437:1 gene:ORUFI06G28010 transcript:ORUFI06G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDGRRHHRQTTLLHVAAALLDVAAMDEVAAAVHGAVLKWAQVQQERRWREDPPPLILGSDVGAWI >ORUFI06G28020.1 pep chromosome:OR_W1943:6:26159261:26161448:1 gene:ORUFI06G28020 transcript:ORUFI06G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSVREGKRSPDLPLLSPPMADPRERETKRRRDPGVGGGSARAASMAWNRCMRRPCRHPQSATALLHLLAVALLHLLPHQGEEAPLAAAAADLAPVTRREVVLCIGYDDDDLALVDITYPKRDVDNMQWFREITLLYRGHRHSAPFVLGLIVLCGHAPRNNWGEKGVRGHPRDLGTLIRYVAIYISGSHALCTSPTATSPSIPSACFLSMRTAEGWRGSSHSCATGASRWPASVRTR >ORUFI06G28030.1 pep chromosome:OR_W1943:6:26164496:26165743:1 gene:ORUFI06G28030 transcript:ORUFI06G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGLREVSLSVVFSVWCLLLLRSQCHGSINVMSPWRLIDLFFVDFYDDVEDGMRENYCKGKAENGRIPDGAAAHRLEPSGAEYNYAAASKGAPRNFWSWWYQ >ORUFI06G28040.1 pep chromosome:OR_W1943:6:26169736:26170822:-1 gene:ORUFI06G28040 transcript:ORUFI06G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDLGSWLGLGIGGGGYAYGGDDCRRSPSSPSPVQMLFSQHVKEEITRGYDHFRDEEQASGSKIMKGERGAKLRVMRSIRNSGGDGSRSRVLSLGDDGGDGGSGGGGGGGTRKKLQLTKEQSTLLEDSFRVHNILSHAQKHELARQLKLKPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCESLTEENKQLKHELMELRPLASAAAAAAGSQLYVQFPRAAAAAMVNVCPSCEKVTVMGGGGGETGKSSSSYSS >ORUFI06G28050.1 pep chromosome:OR_W1943:6:26179578:26182080:-1 gene:ORUFI06G28050 transcript:ORUFI06G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVLLLGSLVVLALLSLFPCCSCLSQGAEEEEDDGEVRLMGLAGEAAGSPGSGGGFSANGKFSYGYASSPGKRSSMEDFYDTRIDGVDGETVGLFGVFDGHGGARAAEFVKQNLFTNLIKHPKLFSDTKSAIAETYTSTDSELLKAETSHNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGDAIAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVAMVKPILDSEQAAKKLLQEASQRGSADNITCLVVRFLEQENHLPERPTNDQAS >ORUFI06G28060.1 pep chromosome:OR_W1943:6:26182165:26182437:-1 gene:ORUFI06G28060 transcript:ORUFI06G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTAKEGAKPSSTATTCRPARAPVGSPSRHVEVTSHFLVSHDEVFVRAQQQQEEEEENHKTKTNHGRGRGAHGVAPRDARRARASGPPL >ORUFI06G28070.1 pep chromosome:OR_W1943:6:26182890:26183189:1 gene:ORUFI06G28070 transcript:ORUFI06G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSCRCSSVVFVGNVSYRATEAELRDACEEIGPVESLRLAVDEDAGNRRRGFAFVEYLDDETARSACRNLDRRALRGRALRVDLADLGCGGGGGETD >ORUFI06G28080.1 pep chromosome:OR_W1943:6:26183346:26188513:1 gene:ORUFI06G28080 transcript:ORUFI06G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAYAGLATLLEQARILLDMADADAAAAAAKRKKRGARDHHHGREAQLASKLRKLNDGKPSTSMAFFHMAQRTYY >ORUFI06G28090.1 pep chromosome:OR_W1943:6:26186833:26190050:-1 gene:ORUFI06G28090 transcript:ORUFI06G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRREQAEEQQQQGWREEAVSGASLRQGRQWVGLAAGGFVPPAREGVPGRPDAYSAVFYFAAEAAIPAESLLGRFVHGDDAYRNARFKIVNRIVKGPWLVRATVGNYAACLLGRALTCRYHGGDGYLEIDVDIGSSAIASAILHLALGAVTSVTIDMGFLVESQSEEELPERLFGAVRIAQMEMGAAKYVETAPDDVVPDAGRAGAGFRVHSAKVANDSRHQERAAGKVGRSMSCQERGSGGGGK >ORUFI06G28100.1 pep chromosome:OR_W1943:6:26201995:26202201:-1 gene:ORUFI06G28100 transcript:ORUFI06G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAARGGEEAAASVRWTTSWAARHGWRQLGCLPRGGRTAHALDCGRDGPKIGRAPAPPAKLARCHG >ORUFI06G28110.1 pep chromosome:OR_W1943:6:26202510:26204531:1 gene:ORUFI06G28110 transcript:ORUFI06G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGEEEGRGMASLLAARRALRAGVERSRALSHALARAGPRLGEIRARLPAMEAAVRPIRAPRDALEGAGECVDRAVGPAAAVLKVFDAVHGLEPPLLAASAVADDLPGYLAVLSRLEEALHFLSDNCGIASQWLADIVEYLGDRSLADPRFVSDLAEALSHLKTPSANLDGGLLAAALDILEAEFRHLLTEHSAPLAMKEPNSSSDPGSITPPRIPASAVHKLSLILDRLAANGRLATCTAAYADARGDTVSASLRALGLDYLHDPAEDAQVLTPNVERWGRHLEFAVRHLLEAERKLCVAVFERRPEAASSCFAEIASRAGILDFLKFGRAICDARKDPIKLLRLLDVFDSLSKLRMDFNRLFGGKACVEIQTRTRELVKRVVDGSVEIFEELLVQVELQRNMPPPADGGVPRIVSFVAKYCNQLLGDPYRSVLTQVLVIHRSWRKETFNDKMLVDAVLNIVKALEANFEAWSKAYEDVTLSYLFMMNTHWHFFKHLKGTKMGEILGDEWLREHEQYKDYYSAVFLRESWGTLAPLLSREGIILFSKGRATARDLVKQRLKSFNANFDEMYQKQSAWIISDRDLQQKTCHLVVQAIVPVYRSFMQNYGPLVDQQDASANKYVKFTAEGLDKMLSTLFLPKPRRAGSFQIRHSNGKITSAMTGLYRSSSTLK >ORUFI06G28120.1 pep chromosome:OR_W1943:6:26205166:26205395:-1 gene:ORUFI06G28120 transcript:ORUFI06G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLLLCMELQETPEKSQFTSASDISTRCSRQYFRSRLFQVSNISDMDFPPASNPGPVWLYQGE >ORUFI06G28130.1 pep chromosome:OR_W1943:6:26206243:26207595:-1 gene:ORUFI06G28130 transcript:ORUFI06G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDDKTGTSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKAQMITLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGGGKDKKAMRRAEKERLKEGEAADEEMKRLKKEAKKKGASKESTSSKSGAGKKKAASGSDEDHSNSPTRSHDGDNVAADENDDDDVQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEEPEKKKKHEASHKEGASNGSAKHVVEEAKSSPYDDLVKEMKDNLSKGANAVQLKGLMTSSALPPQDAMNALFDALFGGLGKGFAKEVVKKKKFLAAAVPDEASQMVLLQALVAFGAKSSPEAVKEVPIVLKALYDGDVLDEEVITQWYNESVAGGKESQVVKNAKPFVEWLQSADSESEEE >ORUFI06G28140.1 pep chromosome:OR_W1943:6:26215139:26215899:1 gene:ORUFI06G28140 transcript:ORUFI06G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVAAAAAAVAVAPPSSPYGMCGGGGGGGGARKRKDVVQQLEDLAGGVVGDDGGGGGGGGDVVHGLFVLETVEEKAEEEEEERSSIGADSEDEQDDGEEEVESKASSAAVAAETCRRKTKTKCGGGGGGALACLDALDDALPIKQALRGLSNFFSGKSRSFANLQDVAAAGATTASLAKPENPFNKRRRILRCSSIRRVSSTSLTALPPFLPPHHHPPPPDDGGGGGGNG >ORUFI06G28150.1 pep chromosome:OR_W1943:6:26235134:26243841:1 gene:ORUFI06G28150 transcript:ORUFI06G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAQSAAAVSSAARASRPRPTRAAPRRIAASASSVAPPEPAARRLVAAFDPAVPLASAVTPPSGWYTDPDFLRLELDRVFLRGWQAVGHIWQVKNPNDYFTGSRLGNVEFVICRDANGELHAFHNVCRHHASLLACGSGQKTCFQCPYHGWTYGLDGVLLKATQISGIKNFNKNDFGLIPIKVATWGPFVLAKFDSGFSQETADNTVGDEWLGSASDLLSRNGIDTSLPHICRREYIIECNWKVFCDNYLDGGYHVPYAHGTLASGLQLQSYETHTYERVSVQRCESVQAEQNDFDRLGTKAIYAFVYPNFMINRYGPWMDTNLVVPLDATRCKVIFDYFLDKSLMDDQNFIESSLKDSEQVQMEDIALCEGVQRGLESPAYSVGRYAPSVEMAMHHFHCLLHANLSGDW >ORUFI06G28160.1 pep chromosome:OR_W1943:6:26240216:26251330:-1 gene:ORUFI06G28160 transcript:ORUFI06G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYKQFIQVLEDDISPAEAEKRYQEYRTEYITTQKRAYFDLNKNDDRLKDKYHPTNLSSVIDRRNDSCKATAKDFFHDLQNGTLDLGPGITAAAASGSDGNSDDDGDSDKRRKHGRGSSKETDPLSGAPVAHPVSSESRRVQVDIEQALALVRKLDTEKGIVGNILSSGDHDKSDVDKSHIGSMGPIIIIRGLTTVKGLEGVELLDTLLTYLWRIHGVDYYGMSETNEAKGSRHVRADNKTSNTTNINAADWEKKVDTFWQERLRGQDPMVILAAKDKIDAAAVEVLEPYVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLRLKHPELVLELTSKVREDLYFQNYMNDPNAPGGTPVMQQSAPDKSRQRPGMDNRLRYDRANRREYDRAERDGSRYGRGDRSPSLDGADDQMFDAFRGRGPNAPFVPELPAPPILMPIPGAGPLGPFVPAPPEIAMHMLREQGPPPPFEPNGPPHANPGVLGPMMGGPAPIITMPPSFRQDPRRLRRKVAFSLGEGALVKLGTEVVEAASVLTYFEHSMKQIESEFTIMRAFISQVRDVAHDVEDIVDEYAYLAAQAIDTGTFFKRKFQQTKNVAAWQNISRQISQVETRIQRLSAMRNRNNLGGRVLITTRKKDVAFLADHNCVVELEALPHTEAWHLFCKKAFRRLEDKMCPENLRPWAEKIVTKCQGLPLAIVAIGSLLSYREFEEQEWKLFYNQLGWQLANNPELNWIANILNLSLNDLPSYLRSCFLYCSLFPEDCRIKRKMLVELWIAEGLVEERGDGTTMEEVAQCYLTELTQRSLLTVIERNACGRARTFRMHDLVREMASIIAKKERLAFSYDNVGITQVAHEYRRLWIQKDAQSLRYLGGSKLRSFVLLDIGEPSSWIYDALSRFRLLRVLCLRFANIEQVPGVVTELYNLHYLDLSHTKVKHIPASFKNLINLQFLDIRSSYVEELPLEITLLTNLRNLYAYVIHDLQERSLDCISATKIPGNICHLKNLQALQTVSANKDLVSHLGNLTRMRSLCVMKVQQSYIAELCNSLTKMPNLSRLFISSCDMDETLNLKMLKALSNLKVFWLAGKLEGGVLPPMFAKLEKITELKLDWSGLKKDPIESFSYMLNLVVLVLTGAYDGERLNFHAKWFPKLNLLQLADMEHLNRIEIEDGSMMCLRELDLVGLRNLNEVPKGIRYIRTLHEMIITDMPKEFIVKIQGSDSQQLHSSATPHEEASCNVVAPKIQDKS >ORUFI06G28170.1 pep chromosome:OR_W1943:6:26253579:26257728:-1 gene:ORUFI06G28170 transcript:ORUFI06G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHDGSKPYQPRRGPERPPPPAPADDAAAHVAPTVDHLAAVAAEAEAMARFEEEHRALGAEEEYEEEEDELEEEEEEMEEDEDAQHHEGVGGEVAVPMDAEAAAQLDPHGGMLAASGAVQPMASNQLTLSFQGEVYVFDSVSPDKVVQAVLLLLGGRELNPGLGSGASSSAPYSKRLNFPHRVASLIRFREKRKERNFDKKIRYSVRKEVALRMQRNRGQFTSSKPKGDEATSELTASDGSPNWGSVEGRPPSAAECHHCGINAKATPMMRRGPDGPRTLCNACGLMWANKGMLRDLSKAPPTPIQVVASVNDGNGSAAAPTTEQEIPAPATVNGHESST >ORUFI06G28180.1 pep chromosome:OR_W1943:6:26262040:26262995:1 gene:ORUFI06G28180 transcript:ORUFI06G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKSEREMERARNTQEDEICNAKSEREMKHVMMLVRETGLLFTYHDGKWGCVHACANKDDDDPNYHGTDFVGVGEGEDERNEYTDEGNNVDEEEDEAATDVARKREM >ORUFI06G28190.1 pep chromosome:OR_W1943:6:26265181:26266699:1 gene:ORUFI06G28190 transcript:ORUFI06G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIVVSKSGPPVLVTPSSEPTPAAATIRLTSADKSRLGLSFTAFLVFERRRRRSRVHRPAETVRRALSRALVHYYPLAGHVVAAGDDDNVVLSCTGEGGGLPFVAATASCTLEDVDDGDGDLPLADLAIWYGGESCWMSDPLLMMQVTEFECGGFVVGVTWNHGVADTYGLAQFLRAKHVDFAYCDIMIPWSFVNRVKAEFVSRNGGGGGRRRCSVFDVVTAAIWQCRTRAIHGRRCRSDAPAVLLFAVNARPHIGAKDGYYGNCITRQVVASTADAVAYGDIVDVVKLVNDAKERIPEELLRNKLRGKQGVDGGGGEGLFVGPMHRLYVSSWAGLGLDGIDFGGGKPARVIPRMEVTVMPSCLPCLPCSRSNGSDGVNAVAWCVTDEHVDVFRAELAKLQ >ORUFI06G28200.1 pep chromosome:OR_W1943:6:26267599:26269851:-1 gene:ORUFI06G28200 transcript:ORUFI06G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSTSLVSLLLPCLLSLLLIRLSTHLNPDPTAAAPRFKRTPPFPLRFRHDGAFKILQVADMHFGNGAATRCRDVAPEVGGARCSDLNTTRFLRRVIEAERPDLIAFTGDNIFGGSASDAAESLLKAISPAIEYKVPWAAILGNHDQESTMTREELMVFMSLMDYSVSQVNPPGSLVHGFGNYHVSIHGPFGSEFVNTSLLNLYFLDSGDREVVNGVKTYGWIKESQLAWLRATSQELQQNLHAPAFAFFHIPIPEVRGLWYTGFKGQYQEGVACSTVNSGVLGTLTSMGDVKAVFLGHDHLNDFCGDLNGIWFCYGGGFGYHAYGRPHWPRRARVIHTELKKGQKSLVEVESIHTWKLLDDEKLTKIDEQVLWRHSSI >ORUFI06G28210.1 pep chromosome:OR_W1943:6:26278451:26284047:1 gene:ORUFI06G28210 transcript:ORUFI06G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVDPPNGMGNQGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRATNEKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCQYFLFQLLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADIEFIDNPKARKYIKTLPYTPGIPLTSMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVDMIREMMWQEMLHYHPEVVAGVNM >ORUFI06G28210.2 pep chromosome:OR_W1943:6:26278378:26284047:1 gene:ORUFI06G28210 transcript:ORUFI06G28210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVDPPNGMGNQGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRATNEKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCQYFLFQLLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADIEFIDNPKARKYIKTLPYTPGIPLTSMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVDMIREMMWQEMLHYHPEVVAGVNM >ORUFI06G28220.1 pep chromosome:OR_W1943:6:26283154:26283829:-1 gene:ORUFI06G28220 transcript:ORUFI06G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLSLTTNVPVDAVVAADIIKDCSKALARIIGKPESYVMVSISGSVPMSFAASEEPAAYGELMSIGGIGPGVNGKLSAALAEILETKLSVSRSRFYVKFDDVKGFNLGFNGSTF >ORUFI06G28230.1 pep chromosome:OR_W1943:6:26288895:26291454:1 gene:ORUFI06G28230 transcript:ORUFI06G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCIPTGLRLDLEMVKAAAPPGGSSAAAHSSASSTLSEASNSSSSSVASLSLKRARTPRKRPNQTYNEAAALLASMYPSVFPVIKGAGTAPPRLLGLATALADDPSSSDLLPPFPVLGNGSAAHLLRDTPPPPTPRCPAPIKSCSSPAPVSSVFREFRDAAPSPGTPDADADVTDDDYLGELDFEDEDGFDADSFLAVDDGVAEGIDRIMGKLSMEKNSAAASRADAVLSSAAIHPYIRSLMVLGLGFRQGRLNAGQALKRHDDESDWWMCPAIPVKEIAAPPAPSVAMPVPVPMPLQASDKKKKKSKKKSLKEIERDNAIAVGECKFGDEGTLGFDHGDAGISALKDPKIGLGLNLNTEEVLKAWCNRGSVFAGCDALESPRSSSDLHAKLADIDLFLDNNTSGVIREGSMLKLRHKQKQCTPLLSNKTRYQSRKGKYVSQASLLQQASEKER >ORUFI06G28240.1 pep chromosome:OR_W1943:6:26293612:26299148:1 gene:ORUFI06G28240 transcript:ORUFI06G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLPTPPPPRAPAPWLHSSHRRRVAAPRGAGGGGGGGGAVPPPPVRTLLIDNYDSYTYNIFQELSVVNGGEPPPRLPSRETMACDCHCFCSTFPWPVFFFPVPPVVVRNDEWTWRDVYRWVYKERAFDNIVISPGPGSPACPSDIGIGLRILCECGDIPILGVCLGHQALGFVHGAKIVHAPEAIHGRLSELEHNGCYLFNHIPSGINSGFKVVRYHSLVIEPDSLSEDLISIAWTASPKMLSFLESDKPDITSSTLWGSLDNLFVTNQSECSTTDGKMPSINDASELDGYRVLMGVRHSTRPHYGVQFHPESVATHYGRQIFQNFKKITTDFGLQTPLLQERKISSPDLKNFVANDLLHSARLKLWDSVGPCALPKRSSGDKCLRLQWKKIDNFLNRIGGSENIFSVLFGHHSAEDTFWLDSSSVDQNRARFSFMGGKGGPLWKQMTFHLASQRANCGGNLTIRDAYGCTVRNFLKDGFLDFLDKEMQSIQYIEKDYEGLPFDFHGGFVGYIGYGLKVECDASSNSAKSSTPDACFFFADNLVVVDHNNGDVYILSLHDEYSSGNGDGDYQNSIHSLWLANTEKKLLRMDAMAPRLSINGNSSINGNSFTISSSVNKQRFVIEKSKDEYIRDVQSCLDYIRDGESYELCLTTQMKRRTDYMDALKLYLKLRKQNPAPYAAWLNFSSENLSICCSSPESEKDQAENLMIVDLLRNDLGKVCEPGSVHVPRLMDVESYKTVHTMVSTIRGTKMSDLSPVDCVKAAFPGGSMTGAPKVRSMEILDSLETSPRGIYSGSVGFFSYNKTFDLNIVIRTVVLHNGEASIGAGGAIVALSDPEAEYNEMLLKAKAPTKVVEECSQQIYNPDRSDSMQTTVS >ORUFI06G28250.1 pep chromosome:OR_W1943:6:26300082:26303071:1 gene:ORUFI06G28250 transcript:ORUFI06G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSVQKSWRKACGAIKDSTTVGLARAHSKDLDVAVVKATNHVERPPKERHLSKIIAAASGARPLADVSYCVHALARRLSKTHNWVVALKTLVVIHRALREGDAAFREELLSYRRGRGGHCLQMSSFKDDSTPLAWDCSAWVRTYALFLEERLECFRVLRYDIEAERLRTAEGAPKGQSRTRSLGKDELLEQLPALQQLLYRLVGCQVLKESFKIYCAVNDGIINLVEMFFDMTKIDAIKAFDIYRRTGHLPPSSFLGTMEEYIREAPRTAPVANKTVEYRQLEFIPNKEEEPPQPLPEVLEEPVKEEILPEPPEEPHHPAAEVDDEPEPTTTADLLGLNEVNPAAAQLEENNALALAIVSPGSNTSAGAGSGFGGMLGSSGWELALVTEPTNSSSNQLLTESKLAGGFDKLLLDSLYDDASRRQQGAQMDHPRDPFAMSTSVAPPTNVQMSMMAQQQQYFQQEQQQQMVLGMPQQFSGWPQYAGVSQANPFGDTYSGGTLHGSSSLI >ORUFI06G28260.1 pep chromosome:OR_W1943:6:26303847:26311642:-1 gene:ORUFI06G28260 transcript:ORUFI06G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGDASSASPSDPVPPPPQQPQPQPAKGKGKKKDEKKDDDLSEEDQALKEQLELYVVRAQDTDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPESDLKKYMADILSVLALTMSAEGERESLNYRMIGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDGMPTDSLKELVEQIVSFHMKVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLYDKSLELKPIFTATDDFQLKKQFAFIIARHGLSIEIDDDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTTPSDSSSSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDSRDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLTDSQVPLEVLVFSAISLGLVFVGSCNEEVAQTIICVLMERSEPELAEPIMRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLIAGIASEPLKLLLQRSRTSVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILTLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRKNPEYHED >ORUFI06G28260.2 pep chromosome:OR_W1943:6:26303847:26311642:-1 gene:ORUFI06G28260 transcript:ORUFI06G28260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGDASSASPSDPVPPPPQQPQPQPAKGKGKKKDEKKDDDLSEEDQALKEQLELYVVRAQDTDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPESDLKKYMADILSVLALTMSAEGERESLNYRMIGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDGMPTDSLKELVEQIVSFHMKVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLYDKSLELKPIFTATDDFQLKKQFAFIIARHGLSIEIDDDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTTPSDSSSSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDSRDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLTDSQVPLEVLVFSAISLGLVFVGSCNEEVAQTIICVLMERSEPELAEPIMRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILTLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRKNPEYHED >ORUFI06G28260.3 pep chromosome:OR_W1943:6:26303847:26311642:-1 gene:ORUFI06G28260 transcript:ORUFI06G28260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGDASSASPSDPVPPPPQQPQPQPAKGKGKKKDEKKDDDLSEEDQALKEQLELYVVRAQDTDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPESDLKKYMADILSVLALTMSAEGERESLNYRMIGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDGMPTDSLKELVEQIVSFHMKVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLYDKGLSIEIDDDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTTPSDSSSSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDSRDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLTDSQVPLEVLVFSAISLGLVFVGSCNEEVAQTIICVLMERSEPELAEPIMRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLIAGIASEPLKLLLQRSRTSVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILTLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRKNPEYHED >ORUFI06G28260.4 pep chromosome:OR_W1943:6:26303847:26311642:-1 gene:ORUFI06G28260 transcript:ORUFI06G28260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGDASSASPSDPVPPPPQQPQPQPAKGKGKKKDEKKDDDLSEEDQALKEQLELYVVRAQDTDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPESDLKKYMADILSVLALTMSAEGERESLNYRMIGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDGMPTDSLKELVEQIVSFHMKVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLYDKSLELKPIFTATDDFQLKKQFAFIIARHGLSIEIDDDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTTPSDSSSSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDSRDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLTDSQVPLEVLVFSAISLGLVFVGSCNEEVAQTIICVLMERSEPELAEPIMRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILTLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRKNPEYHED >ORUFI06G28270.1 pep chromosome:OR_W1943:6:26314848:26321378:1 gene:ORUFI06G28270 transcript:ORUFI06G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSGDLLRVPFFGRFCGVAIRILLKMKALELACLLLIIVPQVVLGTHDVYIVTMEGEPVVSYRGGVEGFPATAIDLDEDMEITSEAVTSYSLHLRRYHDKLLDSLLVEGTYEKLYSYHHLINGFAVHMSPLQAEFLRKAPGVKHVERDMKIQKLTTHTPQFLGLPTGVWPTGGGFDRAGEDVVIGFVDSGIYPQHPSFSAHKTDPYGPVPHYKGKCEMDPVTRRSFCNGKIVGAQHFAKAAIAAGAFNPDVDFASPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKVLYRLFGGYVSDVVAAIDQAVQDGVDILNLSVGPNSPPTATRTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAGVDDRRYKNHLVLGNGKLLPGLGVSPATHENKSFSLISAADALLGSSATKYSALDCQRPELLNKRKIQGKILLCGYSFNYISGTASIKKVSETARSLGAAGFIVAVENSYPGTKFDPDLIDYYNSSTIRDWAGRATTFQATAAIADGLAPTLYNSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDEANYAGEGFAMVSGTSMAAPHIAGIAALIKQKNPKWSPSAIKSALMTTSNTLDKGSHPLRAQQYSTSEIMTLTRATPFDYGSGAVNPKAALDPGLVLDATHQDYITFLCSIPDVEHSEVSNITGSTCSSSSKVQQRPYDLNIPSITISQLRGTQTVKRTVTSVAAEAETYTIMTRMSPEIALEVSPPALTVLPGASREITATLTARSVTGTYSFGEITMKGDRGHLVRIPVVAMGFK >ORUFI06G28280.1 pep chromosome:OR_W1943:6:26321915:26323345:1 gene:ORUFI06G28280 transcript:ORUFI06G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAAAALRTGAAILAALSDVSACQIHARALKLGVLPSSLHLCSALVKSYAASGSLAAARKLFDEIPRPDVPLWNTLLSACARSGLPQHALVTASTMARAGSSRPDNVSVTILLSACARLRSLVHGREIHGYAVRNLAALDLPLLNALVSMYGRCGRLVNARMVFDSIGSMKSVVSWTCMINACCENGKPAEALQVFEQMRLAGVKVDEVTLLAVISACTMLDCRSELGEWVEEYAHENGFLENTRVANALIHMHGKMGRVRRSCEIFDSITVRTVVSWTAIIQALAVHGHGVAALVQFSQMLRQGFQPDELVFLSVINACSHSGLVNEARQLFKSMVEEYHITPWMEHYGSMVDLLCKSGMLEEAFEFVLAMPVRPDPVIWRVLTGACRDLGNAILARKVVDHVIEMEPEYGGNYVLASNLYAANEDWRRVVDVRMEMGVWKETSRYSTALSYVEVNVEENAESLHPPTNDAYR >ORUFI06G28290.1 pep chromosome:OR_W1943:6:26323611:26324057:-1 gene:ORUFI06G28290 transcript:ORUFI06G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKNNGAMVLCFATLFAMSVVFMSCDATGRHNAGVLDDAGVLCFTWLNCTNASCQKECKAGKWDAKKSSCGASDVCCCRAAKLLVLDEQAVR >ORUFI06G28300.1 pep chromosome:OR_W1943:6:26327108:26327689:-1 gene:ORUFI06G28300 transcript:ORUFI06G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAFSSAGALRPFEGVRVIHINGYVEDFDAPVTVGQVTGKPAAGEGQGQGRYVLCSSAHLLQPGRGPFRADDPLEAGTVYFLLPQSIFQSESSAVDLACLMNRLTSLARKGAAAASSPVEALFTAGIHHPPQPSSSCSSSKPAAAAASSGSPERCYLAAAARPAAWKPRLDRIDESFGRASMRSSSARSTEA >ORUFI06G28310.1 pep chromosome:OR_W1943:6:26340291:26341204:-1 gene:ORUFI06G28310 transcript:ORUFI06G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMKNNSMMVLCLAVLVLMSATSISCHAPPARNSDGTICIYYPACTIEGCVIMCGKVVGANTGHCDNYGNCCCPNLTK >ORUFI06G28320.1 pep chromosome:OR_W1943:6:26343003:26347617:-1 gene:ORUFI06G28320 transcript:ORUFI06G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAITPTSVGIGAYLYTPQHYTPCYLVSSNSCSSRPPPEPARVYTDDEIAARIVIRDIILAQPVQSKNPKIAFMFLTPSSLPFEKLWEKFFMGHEDRYTIYVHASRERPVHASPIFNGRDIRSEKVVWGTISMIDAERRLLANALQDPDNQHFVLLSESFDDPGPHGAGRYSDHMLPEIVKRDWRKGAQWFTVKRQHAVLILSDFLYYAKFKRYCKPGNEWHNCYSDEHYLPTLFNMVDPTGIANWSVTHVDWSEGKWHPKAYRAVDTSFELLKNISSIDESIHVTSNAKHQVMRRPCLWNGMKRPCYLFARKFYPEALDNLMNIFSNFTII >ORUFI06G28330.1 pep chromosome:OR_W1943:6:26350559:26357413:-1 gene:ORUFI06G28330 transcript:ORUFI06G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGRCQKSYFDVLGICCPSEVPLVEKLLQPLEGVQKVTVIVPSRTVIVVHDVDAISQSQIVKALNQARLEASVRAYGNGSEKITNKWPSPYVLLCGLLLVVSLFEHFWHPLKWFALVAAAAGLPPIVLRSIAAIRRLTLDVNILMLIAVAGAIALKDYSEAGFIVFLFTTAEWLETRASHKATAGMSALMSMAPQKAILAETGEVVAARDVKVNTVIAVKAGEVIPIDGVVVDGRSEVDESTLTGESFPVSKQPDSQVWAGTLNIDGYIAVRTTAMADNSAVAKMARLVEEAQNSRSSTQRLIDTCAKYYTPAVVVMAGSVAAIPAIAKAHNLKHWFQLALVLLVSACPCALVLSTPIATFCALLRAARTGLLIKGGDVLESLASIKVAAFDKTGTITRGEFSVEEFQPVGERVSLQQLLYWVSSVESRSSHPMASVLVDYAQSKSVEPKSENVSEFQIYPGEGIYGEIDGAGIYIGNKRILSRASCETVPDMKDMKGVTIGYVACNNELIGVFTLSDACRTGSAEAIKELRSLGIKSVMLTGDSSAAATYAQNQLGNILAEVHAELLPEDKVRIVGELKEKDGPTLMVGDGMNDAPALAKADVGVSMGVSGSAVAMETSHVALMSNDIRRIPKAVRLARRTHRTIIVNIIFSVITKLAIVGLAFAGHPLIWAAVLADVGTCLLVIMYSMLLLREKDSRKAKKCAASHHGSPKKCCSSSHHGSHAKKNHGVSHHCSDGPCKSMVSCKESSVAKNACHDHHHEHNHHEEPAHKHSSNQHGCHDHSHGHSNCKEPSNQLITNKHACHDGHNHCADTSNLHDTKKHDCHGHEHSTCKEELNALPPTNDHACHGHEHSHCEEPVALHSTGEHACHEHEHEHIHCDEPIGSHCADKHACHDHEQVHEHHCCDEQQTPHTADLHPCHDHDHDNLEVEEVKDCHAEPPHHHNHCCHEPHDQVKNDTHPVQEHSISIEESSDHHEHHHNEEHKAEDCGHHPKPKDCAPPPTDCISRNCCSNTSKGKDICSSLHRDHHTSQASRCCRSYVKCSRPSRSCCSHSIVKLPEIVVE >ORUFI06G28340.1 pep chromosome:OR_W1943:6:26378395:26382761:1 gene:ORUFI06G28340 transcript:ORUFI06G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRRLRIIVGIDKEEWRAAQDRMPRPAEVGLHAVAGGGTAEEVGVSVDAAVLEREACGAGLGGDGVLLAAEGEACDDVAIMPLHETRSITSVP >ORUFI06G28350.1 pep chromosome:OR_W1943:6:26380558:26382407:-1 gene:ORUFI06G28350 transcript:ORUFI06G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKHYDSKMQELLNQGETEEFFTSYDEVHESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYAVVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPANVADLL >ORUFI06G28360.1 pep chromosome:OR_W1943:6:26385576:26391424:-1 gene:ORUFI06G28360 transcript:ORUFI06G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDGAAAVAALGISGGGGDDWAPPLRRNLPLLAPHEVKLAKLLLSEGQSHLFEHWPEPGVDDDKKRNFFDQVCRLNSSYPGGLASYIQNARKLLADSKAGKNPYDGFSPSVPSGEVLTFGDDNFVSLEEAGVKEARHAAFVLVAGGLGERLGYKGIKVALPRETTTGKCFLQHYIESILALQEASCKLVEGECNTKIPFVIMTSDDTNALTVKLLESNSYFGMEPSQVHILKQEKVACLADNDARLALDPNDKYKIQTKPHGHGDVHALLYSSGLLEQWKSTGRKWVLFFQDTNGLLFNAIPSALGVSATKGYNVNSLAVPRKAKEAIGGITKLTHVDGRTMVINVEYNQLDPLLRATGHPDGDANCETGYSPYPGNINQLILEIGPYMEELQKTHGAISEFVNPKYTDSTKTAFKSSTRLECMMQDYPKTLPPSAKVGFTVMDAWLTYAPVKNNPEDSAKVPKGNPYHSATSGEMAIYRANSLILRKAGAQIADPVIDTFNGQEVEVWPRITWIPRWGLIFKDVKAKVHSNSSVSQRSALVINGKNITIQGLSLDGTLIVNAKDEAKFNVTGHIENKGWTIQHVDHKDTSEKEEIRIRGFKFNKVEQLELNY >ORUFI06G28370.1 pep chromosome:OR_W1943:6:26392959:26400418:-1 gene:ORUFI06G28370 transcript:ORUFI06G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICQNCPCSSPSISLSSLASPCLNSELSLSLSLLLFPAESPTSPPLPARGTTGGKVSGVRGGVDVFLPSPSQPRGRFVLGRPRRLKVFSMALFIYFDYKAVQKRVKWVGAGKKDAIWTKTHERNARRVLNLMIELEGLWVKMGQYLSTRADVLPEPYINVLKQLQDSLPPRPLEEVRGTIEKELGKPMNDLFANFVLDPLATASIAQVHRATLVDGREVVVKIQHDGIKDIILEDLKNAKSLVEWIAWAEPQYNFNPMIDEWCKEAPKELDFNHEAENTKAVSSNLSRKTNCESGAVSSAVDVLIPEVIQSTDKVLILQYMDGIRLNDNDSLEAYGVDKQRLVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISQSMRQALAKMFLSCAEGDHVALLSAFAEMGLKLRVDMPEQAMEIATVFFRQSTTANEAKENIKTLNDQRERNVKALQEKMKMNKKEVQRFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGSMTRGPTANSQWIYDSPVNSEVESKLRNLLLELGSNKILGIQVCAYKDGKVIIDTAAGTLGKYDPRPVQPDSLFPVFSVTKGITAGMVHWLVDKGCIHVIQVHHLLNHTSGLHNALGDVMKSDPLLVCDWEEMLHQITKCTPETEPGSEQMYHYLSFGWLCGGIIEHASGKKLQEVLEEAIVHPLHINGELYIGIPPGVESRLAALTVDMEELEKLSGFRAGPDVPQELLSNVAQMATGLPVLFNTLNIRRAILPAANGHCSARALARYYAALGAGGAIPPPHSGGGSKPPLGSHVHTPKFPTMPSKKKKKGGSKNDVGVADKDGYTQLRTSDGSDEGSTVSAVVAGNGSGSGSSMFVDGGTKMLDAFMGVGDFSGMIHPNGKFGLGFRRYGYGAGAGEKVATTTFGHSGMGGSTGFCDVEHGLAMAVTVNKMSLGGVTRRVVRLVCEELGVPVPDEFSVAGDKGPDMVLNLAPPE >ORUFI06G28370.2 pep chromosome:OR_W1943:6:26392959:26400418:-1 gene:ORUFI06G28370 transcript:ORUFI06G28370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKICQNCPCSSPSISLSSLASPCLNSELSLSLSLLLFPAESPTSPPLPARGTTGGKVSGVRGGVDVFLPSPSQPRGRFVLGRPRRLKVFSMALFIYFDYKAVQKRVKWVGAGKKDAIWTKTHERNARRVLNLMIELEGLWVKMGQYLSTRADVLPEPYINVLKQLQDSLPPRPLEEVRGTIEKELGKPMNDLFANFVLDPLATASIAQVHRATLVDGREVVVKIQHDGIKDIILEDLKNAKSLVEWIAWAEPQYNFNPMIDEWCKEAPKELDFNHEAENTKAVSSNLSRKTNCESGAVSSAVDVLIPEVIQSTDKVLILQYMDGIRLNDNDSLEAYGVDKQRLVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISQSMRQALAKMFLSCAEGDHVALLSAFAEMGLKLRVDMPEQAMEIATVFFRQSTTANEAKENIKTLNDQRERNVKALQEKMKMNKKEVQRFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGSMTRGPTANSQWIYDSPVNSEVESKLRNLLLELGSNKILGIQVCAYKDGKVIIDTAAGTLGKYDPRPVQPDSLFPVFSVTKGITAGMVHHLLNHTSGLHNALGDVMKSDPLLVCDWEEMLHQITKCTPETEPGSEQMYHYLSFGWLCGGIIEHASGKKLQEVLEEAIVHPLHINGELYIGIPPGVESRLAALTVDMEELEKLSGFRAGPDVPQELLSNVAQMATGLPVLFNTLNIRRAILPAANGHCSARALARYYAALGAGGAIPPPHSGGGSKPPLGSHVHTPKFPTMPSKKKKKGGSKNDVGVADKDGYTQLRTSDGSDEGSTVSAVVAGNGSGSGSSMFVDGGTKMLDAFMGVGDFSGMIHPNGKFGLGFRRYGYGAGAGEKVATTTFGHSGMGGSTGFCDVEHGLAMAVTVNKMSLGGVTRRVVRLVCEELGVPVPDEFSVAGDKGPDMVLNLAPPE >ORUFI06G28370.3 pep chromosome:OR_W1943:6:26392959:26399374:-1 gene:ORUFI06G28370 transcript:ORUFI06G28370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGNTLTRRLKVFSMALFIYFDYKAVQKRVKWVGAGKKDAIWTKTHERNARRVLNLMIELEGLWVKMGQYLSTRADVLPEPYINVLKQLQDSLPPRPLEEVRGTIEKELGKPMNDLFANFVLDPLATASIAQVHRATLVDGREVVVKIQHDGIKDIILEDLKNAKSLVEWIAWAEPQYNFNPMIDEWCKEAPKELDFNHEAENTKAVSSNLSRKTNCESGAVSSAVDVLIPEVIQSTDKVLILQYMDGIRLNDNDSLEAYGVDKQRLVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISQSMRQALAKMFLSCAEGDHVALLSAFAEMGLKLRVDMPEQAMEIATVFFRQSTTANEAKENIKTLNDQRERNVKALQEKMKMNKKEVQRFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGSMTRGPTANSQWIYDSPVNSEVESKLRNLLLELGSNKILGIQVCAYKDGKVIIDTAAGTLGKYDPRPVQPDSLFPVFSVTKGITAGMVHHLLNHTSGLHNALGDVMKSDPLLVCDWEEMLHQITKCTPETEPGSEQMYHYLSFGWLCGGIIEHASGKKLQEVLEEAIVHPLHINGELYIGIPPGVESRLAALTVDMEELEKLSGFRAGPDVPQELLSNVAQMATGLPVLFNTLNIRRAILPAANGHCSARALARYYAALGAGGAIPPPHSGGGSKPPLGSHVHTPKFPTMPSKKKKKGGSKNDVGVADKDGYTQLRTSDGSDEGSTVSAVVAGNGSGSGSSMFVDGGTKMLDAFMGVGDFSGMIHPNGKFGLGFRRYGYGAGAGEKVATTTFGHSGMGGSTGFCDVEHGLAMAVTVNKMSLGGVTRRVVRLVCEELGVPVPDEFSVAGDKGPDMVLNLAPPE >ORUFI06G28370.4 pep chromosome:OR_W1943:6:26392959:26400418:-1 gene:ORUFI06G28370 transcript:ORUFI06G28370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKICQNCPCSSPSISLSSLASPCLNSELSLSLSLLLFPAESPTSPPLPARGTTGGKVSGVRGGVDVFLPSPSQPRGRFVLGRPRRLKVFSMALFIYFDYKAVQKRVKWVGAGKKDAIWTKTHERNARRVLNLMIELEGLWVKMGQYLSTRADVLPEPYINVLKQLQDSLPPRPLEEVRGTIEKELGKPMNDLFANFVLDPLATASIAQVHRATLVDGREVVVKIQHDGIKDIILEDLKNAKSLVEWIAWAEPQYNFNPMIDEWCKEAPKELDFNHEAENTKAVSSNLSRKTNCESGAVSSAVDVLIPEVIQSTDKVLILQYMDGIRLNDNDSLEAYGVDKQRLVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISQSMRQALAKMFLSCAEGDHVALLSAFAEMGLKLRVDMPEQAMEIATVFFRQSTTANEAKENIKTLNDQRERNVKALQEKMKMNKKEVQRFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGSMTRGPTANSQWIYDSPVNSEVESKLRNLLLELGSNKILGIQVCAYKDGKVIIDTAAGGAIPPPHSGGGSKPPLGSHVHTPKFPTMPSKKKKKGGSKNDVGVADKDGYTQLRTSDGSDEGSTVSAVVAGNGSGSGSSMFVDGGTKMLDAFMGVGDFSGMIHPNGKFGLGFRRYGYGAGAGEKVATTTFGHSGMGGSTGFCDVEHGLAMAVTVNKMSLGGVTRRVVRLVCEELGVPVPDEFSVAGDKGPDMVLNLAPPE >ORUFI06G28380.1 pep chromosome:OR_W1943:6:26403981:26405254:-1 gene:ORUFI06G28380 transcript:ORUFI06G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYTFVCRSSGDEWTAKQLKGELEASAATPYELQRRLVAAASAADSAAGVQSSFSMVSPSSAVFQVIIGAVGGGAAIGGGAAAGAASGGAAAEAPKAEEKKEEEKEESEDDLGFSLFD >ORUFI06G28390.1 pep chromosome:OR_W1943:6:26406414:26410090:1 gene:ORUFI06G28390 transcript:ORUFI06G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSIRLDRSISMASAVSSAAGQSEGLPLLFLPIDAVAAAAAALLPMPPLLILGIVIYLFVLVAVVELEEGELVAWWRWRRRERREQRVADGLLLWLPPLRLPASPPPPAAITARCPFSPPGTALLPAAHRSSRPREERGEMKIGEMGDAKKRKRKTKAAPSAAAARSSSETRAIAERSLEGLVTFLTSYFRYLPTWDALRYLRLANTDLLVAVRLIELNRGCYNTKDERFQISSYAARAALTCAASSARQPNVDGFIAASFSLASHLEFVTQAVPGGLTISLRAVLLDKIHAKYIKAISRLPMQDVRAHYHLAFVNGGYCYGPFSCVTNIIINTLWYDSAFPAVEKLEVDMICTSTFVRVESRSLRGLIKQLLTCIPEIFEHDAMIYLLKNNLKVCKAVEMAGVKIEAALRKKGYLYDLQVICVANERVGSQMNFLDFKCPYSHVNFLASPKVGSGLKLFFAEFSNDDDDVSFCCTVSRKSKHGTRIMHPAHPIENYCGGDMDFTEMAHGTHELTNARIISGGKWAGNRVGMCGDDYIYFDPTRDAKFAQCMNRTASRANISWSDILRVNTS >ORUFI06G28400.1 pep chromosome:OR_W1943:6:26411184:26412905:-1 gene:ORUFI06G28400 transcript:ORUFI06G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRLHIFVSSARHAINSSALICRFIAFHLSPLLIHLSYFLIIDVLGFVALVVLRPSNHKYNPRYIDMFFLSTSAVTVTGLATTQMEDLSSSQIAVLTLLMFLGSEMFLSFLGLVLESSKQNKHDPENRRVSSVTVCEQSHLEEAIPQTPSMNSTDIKRSCHKYLVFVVLAYMIIILVTGSLLVFMYIAHVSSARDVLTRKSINKALFSISVTVSSFTNGGLLPTNESMAVFSSNNGLLLLLIGQILAGSTLLPMFLRLVIWALRGLRLAKAEEPDFMMNNSSSVGFSHLLPNLQTIFLAAVEVAFVGMTVILFCCLNWDSAVFAGLTSLQKITNALFMAVSARQAGENSIDCSLVAPAALVLFMVMMYTPSLTKLFSACQDHKQIGPESDDRTSKGKPFLKTMAFSPLAFNTTVIMLVCITERRSISTDPLNFSTFNIIFEVISAYGNIGLSTGYSCSRQLQHQDGIACHEKPYSFSGWWSEPGKLILVLAMLYGRLNSKDSTSARTR >ORUFI06G28410.1 pep chromosome:OR_W1943:6:26415537:26417534:-1 gene:ORUFI06G28410 transcript:ORUFI06G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIYHDFIHNKLQSFGRIGRYFVNFVVLAHRFIALHIHPFWIQLSYFLLISILGSVLLMFLKPSNPEFRPGYIDMLFLSTSALTLSSLITIEMEVLSSSQIVVITLLMLLGGEVFVSFLGLMLRLNHKHNPEFSGDKVSSVPIELDTINSASTVISCEELQLEAAIPEVPSSTIKDLKRSKRLRWFLGFVVFSYFVVIHVAGFLLVLWYISRVSSAKAPLKKKGINIALFSFSVTVSSFANVGLVPTNENMAIFSKNPGLLLLFIGQILAGNTLYPLFLRLLIWFLGKVTKLRELKLMIKNPEELQYDYLLPKLPTAFLASTVIGLMASLVTLFGAVDWNSSVFDGLSSYQKIINALFMAVNARHSGENSIDCSLIAPAVLVLFIILMYLPPSTTFALSNGDEKTANKKAKRKLGLVVQNLAFSQLACISVFVIVAFITERSRLRNDPLNFSALNMIFEIISAYGNVGLSTGYSCSRLQKLHPGSICQDKPYSLSGWWSDEGKLLLVFVMLYGRLKAFTKGTGEYWRLW >ORUFI06G28420.1 pep chromosome:OR_W1943:6:26427360:26428130:-1 gene:ORUFI06G28420 transcript:ORUFI06G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSRLVKPAVDWMRGTELLIIMTRQGIPALMPPDMSSGRGGLAAIPSIHHPVADRSQAFEIGLAHLLIDTNANGYNIGLKTMSKVLHLKWTMPKSNWVNP >ORUFI06G28430.1 pep chromosome:OR_W1943:6:26450030:26450434:1 gene:ORUFI06G28430 transcript:ORUFI06G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHQGGGVGRASNKIRDIVRLQQLLKRWKKLATMAPGGRSGVPKGSFAVYVGEEMRRFVIPTEYLGHWAFERLLRDAEEEFGFRHQGALRIPCDVAAFEATLRLVAAGNGNAKAKDDAAAMCSCSSDTEILCR >ORUFI06G28440.1 pep chromosome:OR_W1943:6:26452532:26452954:-1 gene:ORUFI06G28440 transcript:ORUFI06G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMGYLLAPKKGGRRRRGKDQPSAAAHHGDNDDGLRETLLEQQQQPASSSSPTAGGGGVPKGYFAVYVGEEARRFVVPTGYLREPAFRDLMERAADEFGFAQAGGLRVPCGEDDFEDLLRRLRRKNGGAAAAKAKKAIS >ORUFI06G28450.1 pep chromosome:OR_W1943:6:26465463:26468573:1 gene:ORUFI06G28450 transcript:ORUFI06G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFQGHNPNTMKVHSRKSQPSKKKPREFYDTVEVHIIDDDSDGDANIHKDYSMEDTSKHLVMYNPEITYDKQGEVEVTEPIDNYTSLNQRYMKPRHGYNTVLPSIGAYTVQCAHCFKWRIIPTKEKYEEIRENICQDVFVCERAREWNRVISCVDPEDISQDGSRVWAIDKASISQTPPGWDREVRIRGEGCSKFADVYYTSPTGKKLRSLVEVGRYLAENPHYIRQGVNLTQFSFATPKPLQEDYVRKHTYAATPELPELLETAQVDPLCWAAPPTRSELLGELGASTSRSVDVSQSEVSYPVDLHQPEESAPLPPRTKKKTMKRGRVSATGSQSTPPGSSKDQSGGCVSDVEFVSL >ORUFI06G28460.1 pep chromosome:OR_W1943:6:26468304:26469404:-1 gene:ORUFI06G28460 transcript:ORUFI06G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDASPTSTTSCSKQSKLPLALLTLECNSNKCFLFDPSTKQTRGATDMAAFFLDAATLAFENRGWLLMVQNYRHSPRREKTLRTVFLSTPATAGGWTCRCSAPSPVASSSSTSTPMGSHWWWPASRRPPIIPPSCPGDVYWTTYKNTNTSPPQQARHGRRRAAFTFIVDAALRGKQVVCADYRGRISVFDMTETAWRTPVPSPGWNWQEDHFLVTASGEGGGEEEEEEEVILVSCRRHDDQFCEFKFFKLDIAMAPSPLDAGDLDGFSWFLCRGRSSRLREEKGGRKVYTFCPDRLWGESRTIDLGNGKKRKMAPFNPRGLIEKSITNVYAHNLVDGVVEELLPASIVTEARHWVHSAVFSEPFA >ORUFI06G28470.1 pep chromosome:OR_W1943:6:26469411:26470382:-1 gene:ORUFI06G28470 transcript:ORUFI06G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLSARPKRNQSASVSSSSSSQFASLLPREASPNRRARATPPILIAPSALPPAPRPRRNPHSLRLASLLRDLEELASTN >ORUFI06G28480.1 pep chromosome:OR_W1943:6:26481411:26485208:1 gene:ORUFI06G28480 transcript:ORUFI06G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENSSPAFTDFLPRALSPIPFVDKGDIMMNIDQAEDSCSNISGASRSSQSHTDQTEDSKGNELCTRVKAVTDVSCGSELQDTCEEEGIILWPGLKMSFPQAPLVRIQDNELDYAYVDVSETSIGNSFFITQFNLPLSLPVYVVLCLSFPVPSRNACKQLAANPEAPLFRLPPLGSYEKEMCGPVLLICNVHSPGMSCFSPYTKSTFYRENMEIDIDDVLYSNGSWFLLREANIISLWNVNTEKRWFVGEAEEIIHQGYFIGNPPFDVTIALARQHPPKLGEEAITAIWLRSVKIGGWSKGSVRCDYEHSGLNSLTIHQGMLFWLTDSGSLCCARQTSEGLELMIWNGSVRIYGMNFSLVKHFDDLYIVNGGGFFPNEVAKSYQIVVGDELLVDEKRLKGKDVFTVSRQGGFVLPSSEADNGKLFTGNMIPDVDCSMYSWKNNQNEIIKPCHFLRHATCSSVWAMLRTKRRT >ORUFI06G28490.1 pep chromosome:OR_W1943:6:26485946:26486959:-1 gene:ORUFI06G28490 transcript:ORUFI06G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNGTEKNNCSKELMVPLALFAVELNSKKRLLFDVSSRKIHGVTSTVFPDAFCEFENGGWLLMAQHKPLSFQDQIVFLVHPSTGTHLDLPVLRSPNEGFFVFYVGSHGMPLVVAFIEIMSAVPTAHIACPGDVYWSIYKHISDPEMSEAMYKVQSALIVDVVLLGKQAVCVDFHGQILSFSITDMIWRTVSSCPDWSKQDSHFLVASNEQVVAILHPCKTGSAFKFFKLDLQAMEWSLLDDRELDNTSWFLCKGQSYHVKEEGKRRVYLFGPNKCAGSIVNGTEVATFTGSLGPSTLKSITNIYAYDLVDETVETVIPASIVTEVHRWILPSTFAT >ORUFI06G28500.1 pep chromosome:OR_W1943:6:26491237:26499815:1 gene:ORUFI06G28500 transcript:ORUFI06G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGDAAHAPPPPHRRLVFAFYLTGHGFGHATRAIEFSSVRFPSQVVRHLIAAGHEVHVATAVPEFVFTAELPRSPSSQGLLHIRRAILDCGAVQTDALTVDPLASLLKYHETAVVPRESILRTEAEWLTSINADLVISDVVPVACRVAADVGIPSVCIGNFSWDYIYAEYIVASGDHHRSIVWQIAEDYSHCDILLRLPGYCPMPAFRDVTDVPLVVRGLRKSRSEVRKELGIAENAKVVVFNFGGQVAARILEDTAVGKKCISDKFNGARRLQDAIVLGYQLQRAPGRDVAIPDWYSLSETEVGACPISENIKTKESTESCFEDFEILHGDLQGLPDTMSFLKSLSEFNQSELKSNGKQPQERIAASVLFDWEEEMYIARAPGRLDVMGGIGDYSGSLVLQLPLREACHVAVQRNHPSNQKLWENTQARRLENGGMEPVVQIVSFGSELSNRSPTFDMKLSDLMDVDKPISYEKAREFFCRNPSQKWAAYVAGTILVLMTELDVKFTDSMSILVSSDVPEGKGVSSSASVEVATMAAIAAAYGLNIAPRDLALLCQKVENHVVGAPCGVMDQMTSACGEANKLLAMVCQVSSVQYSLQVLLQPAEVKELVAIPNHMRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAASDLASESSVSDAPVQSNDYKQNAIELLKSEASLEYLCNIPPHRYCADNDILQLHLNFRYEAIYAKDIPEVITGDAFLKKYGDHDDTVTAIDPKRSYNVKAPTRHPIYENFRVETFKALLEAANTDEQLSALGELMYQCHYSYNACGLGSDGTDLLVNLVQEMQHRNMSKGESPSLFGAKITGGGSGGSVCVMGKNCLKSSEEIIEIQQRYKAATGYLPILFDGSSPGAAKFGYLKIRRRPSSPIAAK >ORUFI06G28500.2 pep chromosome:OR_W1943:6:26491237:26499815:1 gene:ORUFI06G28500 transcript:ORUFI06G28500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGDAAHAPPPPHRRLVFAFYLTGHGFGHATRAIEFSSVRFPSQVVRHLIAAGHEVHVATAVPEFVFTAELPRSPSSQGLLHIRRAILDCGAVQTDALTVDPLASLLKYHETAVVPRESILRTEAEWLTSINADLVISDVVPVACRVAADVGIPSVCIGNFSWDYIYAEYIVASGDHHRSIVWQIAEDYSHCDILLRLPGYCPMPAFRDVTDVPLVVRGLRKSRSEVRKELGIAENAKVVVFNFGGQVAARILEDTAVGKKCISDKFNGARRLQDAIVLGYQLQRAPGRDVAIPDWYSLSETEVGACPISENIKTKESTESCFEDFEILHGDLQGLPDTMSFLKSLSEFNQSELKSNGKQPQERIAASVLFDWEEEMYIARAPGRLDVMGGIGDYSGSLVLQLPLREACHVAVQRNHPSNQKLWENTQARRLENGGMEPVVQIVSFGSELSNRSPTFDMKLSDLMDVDKPISYEKAREFFCRNPSQKWAAYVAGTILVLMTELDVKFTDSMSILVSSDVPEGKGVSSSASVEVATMAAIAAAYGLNIAPRDLALLCQKVENHVVGAPCGVMDQMTSACGEANKLLAMVCQVSSVQYSLQVLLQPAEVKELVAIPNHMRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAASDLASESSVSDAPVQSNDYKQNAIELLKSEASLEYLCNIPPHRYCADNDILQLHLNFRYEAIYAKDIPEVITGDAFLKKYGDHDDTVTAIDPKRSYNVKAPTRHPIYENFRVETFKALLEAANTDEQLSALGELMYQCHYSYNACGLGSDGTDLLVNLVQEMQHRNMSKGESPSLFGAKITGGGSGGSVCVMGKNCLKSSEEIIEIQQRYKAATGYLPILFDGSSPGAAKFGYLKIRRRPSSPIAAK >ORUFI06G28510.1 pep chromosome:OR_W1943:6:26522104:26530351:1 gene:ORUFI06G28510 transcript:ORUFI06G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQAQQQPPPPPASSAATTTTAMAAAAAAAVVGSGCEGEKTKAPAINSELWHACAGPLVSLPPAGSLVVYFPQGHSEQVAASMQKDVDAHVPSYPNLPSKLICLLHNVTLHADPETDEVYAQMTLQPVTSYGKEALQLSELALKQARPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIFVRDEKQQLLLGIRRANRQPTNISSSVLSSDSMHIGILAAAAHAAANNSPFTIFYNPRASPTEFVIPFAKYQKAVYGNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFICPPPFFGAKRPRQLDDESSEMENLLKRAMPWLGEEICIKDPQTQNTIMPGLSLVQWMNMNMQQSSSFANTAMQSEYLRSLSNPNMQNLGAADLSRQLCLQNQLLQQNNIQFNTPKLSQQMQPVNELAKAGIPLNQLGVSTKPQEQIHDASNLQRQQPSMNHMLPLSQAQTNLGQAQVLVQNQMQQQHASSTQGQQPATSQPLLLPQQQQQQQQQQQQQQQQQQQQKLLQQQQQQLLLQQQQQLSKMPAQLSSLANQQFQLTDQQLQLQLLQKLQQQQQSLLSQPAVTLAQLPLIQEQQKLLLDMQQQLSNSQTLSQQQMMPQQSTKNDGLLHQNFPMSNFNQPQMFKDAPPDAEIHAANTSNNALFGINGDGPLGFPIGLGTDDFLSNGIDAAKYENHISTEIDNSYRIPKDAQQEISSSMVSQSFGASDMAFNSIDSTINDGGFLNRSSWPPAAPLKRMRTFTKVYKRGAVGRSIDMSQFSGYDELKHALARMFSIEGQLEERQRIGWKLVYKDHEDDILLLGDDPWEEFVGCVKCIRILSPQEVQQMSLEGCDLGNNIPPNQACSSSDGGNAWRARCDQNSEAILKISMMKSKVEDVRYWNTA >ORUFI06G28510.2 pep chromosome:OR_W1943:6:26522104:26530351:1 gene:ORUFI06G28510 transcript:ORUFI06G28510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQAQQQPPPPPASSAATTTTAMAAAAAAAVVGSGCEGEKTKAPAINSELWHACAGPLVSLPPAGSLVVYFPQGHSEQVAASMQKDVDAHVPSYPNLPSKLICLLHNVTLHADPETDEVYAQMTLQPVTSYGKEALQLSELALKQARPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIFVRDEKQQLLLGIRRANRQPTNISSSVLSSDSMHIGILAAAAHAAANNSPFTIFYNPRASPTEFVIPFAKYQKAVYGNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFICPPPFFGAKRPRQLDDESSEMENLLKRAMPWLGEEICIKDPQTQNTIMPGLSLVQWMNMNMQQSSSFANTAMQSEYLRSLSNPNMQNLGAADLSRQLCLQNQLLQQNNIQFNTPKLSQQMQPVNELAKAGIPLNQLGVSTKPQEQIHDASNLQRQQPSMNHMLPLSQAQTNLGQAQVLVQNQMQQQHASSTQGQQPATSQPLLLPQQQQQQQQQQQQQQQQQQQQKLLQQQQQQLLLQQQQQLSKMPAQLSSLANQQFQLTDQQLQLQLLQKLQQQQQSLLSQPAVTLAQLPLIQEQQKLLLDMQQQLSNSQTLSQQQMMPQQSTKVPSQNTPLPLPVQQEPQQKLLQKQAMLADTSEAAVPPTTSVNVISTTGSPLMTTGATHSVLTEEIPSCSTSPSTANGNHLLQPILGRNKHCSMINTEKVPQSAAPMSVPSSLEAVTATPRMMKDSPKLNHNVKQSVVASKLANAGTGSQNYVNNPPPTDYLETASSATSVWLSQNDGLLHQNFPMSNFNQPQMFKDAPPDAEIHAANTSNNALFGINGDGPLGFPIGLGTDDFLSNGIDAAKYENHISTEIDNSYRIPKDAQQEISSSMVSQSFGASDMAFNSIDSTINDGGFLNRSSWPPAAPLKRMRTFTKVYKRGAVGRSIDMSQFSGYDELKHALARMFSIEGQLEERQRIGWKLVYKDHEDDILLLGDDPWEEFVGCVKCIRILSPQEVQQMSLEGCDLGNNIPPNQACSSSDGGNAWRARCDQNSEAILKISMMKSKVEDVRYWNTA >ORUFI06G28510.3 pep chromosome:OR_W1943:6:26522104:26530351:1 gene:ORUFI06G28510 transcript:ORUFI06G28510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQAQQQPPPPPASSAATTTTAMAAAAAAAVVGSGCEGEKTKAPAINSELWHACAGPLVSLPPAGSLVVYFPQGHSEQADPETDEVYAQMTLQPVTSYGKEALQLSELALKQARPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIFVRDEKQQLLLGIRRANRQPTNISSSVLSSDSMHIGILAAAAHAAANNSPFTIFYNPRASPTEFVIPFAKYQKAVYGNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFICPPPFFGAKRPRQLDDESSEMENLLKRAMPWLGEEICIKDPQTQNTIMPGLSLVQWMNMNMQQSSSFANTAMQSEYLRSLSNPNMQNLGAADLSRQLCLQNQLLQQNNIQFNTPKLSQQMQPVNELAKAGIPLNQLGVSTKPQEQIHDASNLQRQQPSMNHMLPLSQAQTNLGQAQVLVQNQMQQQHASSTQGQQPATSQPLLLPQQQQQQQQQQQQQQQQQQQQKLLQQQQQQLLLQQQQQLSKMPAQLSSLANQQFQLTDQQLQLQLLQKLQQQQQSLLSQPAVTLAQLPLIQEQQKLLLDMQQQLSNSQTLSQQQMMPQQSTKVPSQNTPLPLPVQQEPQQKLLQKQAMLADTSEAAVPPTTSVNVISTTGSPLMTTGATHSVLTEEIPSCSTSPSTANGNHLLQPILGRNKHCSMINTEKVPQSAAPMSVPSSLEAVTATPRMMKDSPKLNHNVKQSVVASKLANAGTGSQNYVNNPPPTDYLETASSATSVWLSQNDGLLHQNFPMSNFNQPQMFKDAPPDAEIHAANTSNNALFGINGDGPLGFPIGLGTDDFLSNGIDAAKYENHISTEIDNSYRIPKDAQQEISSSMVSQSFGASDMAFNSIDSTINDGGFLNRSSWPPAAPLKRMRTFTKVYKRGAVGRSIDMSQFSGYDELKHALARMFSIEGQLEERQRIGWKLVYKDHEDDILLLGDDPWEEFVGCVKCIRILSPQEVQQMSLEGCDLGNNIPPNQACSSSDGGNAWRARCDQNSEAILKISMMKSKVEDVRYWNTA >ORUFI06G28520.1 pep chromosome:OR_W1943:6:26530680:26532448:-1 gene:ORUFI06G28520 transcript:ORUFI06G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAAAAVDGVGVGVGAHSVFVYGSLMQDEVVRTIIKRVPPSSPALLPNYHRFNIKGRIYPAILPVQSKKVAGKVITGVTDAELQILDEFEDVEYVRTRVEISLTDTSETMLADTYVWADAEDPNLYGEWDFEEWKRLHMKDFLAMTHGFMDGLEQPESKSRVETYQSFMQEIQQPGTTTTTTQVEI >ORUFI06G28520.2 pep chromosome:OR_W1943:6:26530680:26532412:-1 gene:ORUFI06G28520 transcript:ORUFI06G28520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAAAAVDGVGVGVGAHSVFVYGSLMQDEVVRTIIKRVPPSSPALLPNYHRFNIKGRIYPAILPVQSKKVAGKVITGVTDAELQILDEFEDVEYVRTRVEISLTDTSETMLADTYVWADAEDPNLYGEWDFEEWKRLHMKDFLAMTHGFMDGLEQPESKSRVETYQSFMQEIQQPGTTTTTTQVEI >ORUFI06G28530.1 pep chromosome:OR_W1943:6:26535512:26538787:1 gene:ORUFI06G28530 transcript:ORUFI06G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAQRGTNRTAHEGTNKAHPIKRPAHPLRLHPTAEATTRYSDPPAPAPPVADFIGPRRRSPAAAPSSRWGKGELEAEAEAERELRTTTMGTSAQEPNLWKQIDDAEHYLVSGLFEQAVSTALSVSSQVHSAAMENSCDHDELLEMLELAGMVLVQALKELKRTSEMFIQLKTIYGSVASIPLKIFITGATMHMAGGSGSDLRPIFDEYLAKWRYTDDQVYVLDEGKNSSSNGLVVTSVMSPEQYFEVAELYTVTFLSVVSHETATAISWTEKAELTEQDRQDLLRKLHALQSAANKKSTNQGAKLSESAERNLSTSQNGSISPAHEDATKSSAPTHNGNIQGLRKALPKSIQPSFQRVTNQFDPLFWWFHSFRIKFGKVHVVLPSGKVMFLFSLLFSTLYILRRKGAALKRTAFQQISSLRRGFLDALQLAFSTQINPLAAVQQVPQAPRASW >ORUFI06G28540.1 pep chromosome:OR_W1943:6:26540319:26543001:-1 gene:ORUFI06G28540 transcript:ORUFI06G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWFIASCGDIRAAAARSSRRRGGDAIAPKSAPPSPSPPPRLRKTVSETAAVMLAVPKDVEEFRTMPAYGTNLELFTYDQLRAATADFSPEQIVGEGGFGVVYKGLIHGAVVAVKQLNPLGHQGDREWLTEVSYLGQYNHPNLVELIGYCCEDDHRLLVYEYMANGSLENHLFRRSCNLSWTTRMKIALDVARGLAFLHGGDRPIIYRDFKTSNILLDTDMKAKLSDFGLAKEGPRGGKTHVSTRVMGTYGYAAPEYVATGHLTAMSDVYGFGVVLLEMLVGRRALEPPAAGCSKCNLVDWARPILIRPKKLERIVDRRMALPAPAADYGGGVDAAVERVARLAYDCLSQNPKVRPTMGRVVHVLEAVLADHHHHAGAAAAAARPAAAAAAATATAGRLYV >ORUFI06G28550.1 pep chromosome:OR_W1943:6:26545031:26547964:-1 gene:ORUFI06G28550 transcript:ORUFI06G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALLPSSTLLPKLVELSWYLLLKEDIRVIRSGGGHKITLAKQDLQELQNCINKASFFLAGTGRINAQSWQTSRLHTWISDALKAASCLEDQIAMYAMRGVYVGHLYAMKSAIGMLSTDKLVKIIRDRKNEMPTEVQNYHQEVMERLPAILPFTPHSHCEEQDTVPIGFMEKIEELKNILLRDAAPVIFVSGEQLSGKTTLMNQVYKDIDVRNHFKIRSKVDMSKTRCLSDLLRAVLKHEERETNSMYPDAIDELKIIEEIQRTFRTVGNRYLMVMDDVDDTSSLHVLRHVLGGWEGKIVCLTRNTRIQYEELHAKVEIRPLELAYQQQLLVHVAFRNADDTPAPVAVDRNNDGQQGGGEYDQLEKALKGKTETDNIDGDDLTPMVETLKGILRKCRGNPWNIRAVGALLGANRVDKWKEIEENQVDDLVIGDKKRDPLIPAEYAQLPADIRLGFLYCLAFPERSEIPENSLIPARKLVRLWTAEGFPPNDSPLQSQEQEAENLLQRLIDYKLLVVKKTGLDGEVLKCKVNEHMRSLALEMCEAQKICRFARDPAHPAPRTRPSSSLFSRKTALLHRYRVLAVHGDGDGNEAVQEMSSAMSKDIRLRSLLYFRTERKEPPKLELSFGRTYKLLRTLDLQGTRLTRLHSSITCLVCLRYLGLRGTQLEYLPETLQSLRRLMCLDIRDTGITEVNDVSEFKEMRHLYLANSFRDQSVLIKEGLLSLLHLQTLSGATHEVPSERKKAGMVPFEQELLYLKLLRKLSVKKASISCSKGISDAINKMDLLQSLTITCAAGEKRGFNLSYLNVNKNLRKLKLGGRMQKFDRLQPKLQSITYLYLWDSKLPVEGKDPLQLLQGLQQLLLLSLYNVYEGEKLTCTNGYHKLKKLSIIAMGKLNECTFGTKNMANLEVLVFAKCARLSSPPPKLDELNFLREVHLAQMPQGFYDGMKPATKKLVHFPEFQHHFHSSTRAVVQGGS >ORUFI06G28560.1 pep chromosome:OR_W1943:6:26561009:26567656:1 gene:ORUFI06G28560 transcript:ORUFI06G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGYRQKLIQVWNDWQVSVLVLLSLTLQLLFLSTAGARRRAQPSWGKKTYFWALYIGSRFITTYSLGILSRASTGDANADIQAFWASLLLFHLGGPDDFTALSLEDNKLWDRRCLELFIQVSTTLYVFSRYVLDPGFRRFIVPFALIFSAGVVKYVEQVVALHHATMEALIKSVLGKPDAGPDYADTINRLDGIMRSGALPSLDIKNERVDRPNSDPEANVQVKDYSEDEQVAIKTIRSAHSLFSRFSVLFADGIFSFEDRQESQAMFLRKDARWAFKIVEIELGFAYDRLYTKASVSRGARLAVRVCSLSLTLAAGLWAALAILRASQYRQRHRCVTYALLAGAALNDAAILAAHAFSVWSLVHGDWLSWCSVMLVKRRRWSASMAQSNLVTFCLRKLPSNNDSDPAPLSSSFLLRRLLLGGGRGGGVQQQDASPAPALSTTLASMDEFQKLFERRSLLDQVRSGSFWSKYKHTKYVPVSEKLKDFIYAQLEEKVRRLSEYDKRMERERERERKRVRAPSPSPSPSPSPSPSASATTPTQTGTSTTTTTSSMYSILTDCRGDQVMKKERISNLSWSLEKKEFDESLLIWHIATDLRFREEAQAAGAAASAASAATVDQRETRKHMEIARELSNYLYYIMVVVHPLMLSSSTTMAIKRCRDTCAEARRLFLKDHVMAAAGKGKGDRRRAVGEDNAHRVLLDVDTPLHAAVVKGDKCKSVLWDGCFLARELRQSMADPGRRWRVVCEVWVEMLGYAAVHCGGYQHAERLKDGGELITFVCLLMTHLGMGKHYRTEVGDAYAHLSPYSAAA >ORUFI06G28570.1 pep chromosome:OR_W1943:6:26568280:26571509:-1 gene:ORUFI06G28570 transcript:ORUFI06G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGMNWNQKSPVFWDWENPAPFGPNTMENPKSIPHPEPRGVVVAAANHGSTNSSGGTFTSSSELANGSSKSSLSASFDSSSKLGNSLEFRFASVKGHGKNMCKDGEAGRVEDSGTSPAVAVSHGEPVIGLKLGKRTYFENVCGGQNVKSSSAASGVTCPSTVVKKMKVSQQSTQSSYCQVEGCKVDLSSAREYHRKHKVCEAHSKAPKVIVSGLERRFCQQCSRFHGLAEFDQKKKSCRRRLSDHNARRRKPQQEAISFGSSRLATMFYDARQQTDIYFGQSPFGQVRSNAISSCDNLGGFKFTEAKLPWMKPMKTIGLEDLNFSTLQMPGNVVSHTVHHHDFDGLIPFKGNTPKVLNQGVDPACAVVSSNSNGAPDLRRALSLLSSDSWGPADVQAGSQVHPGGVMPPLAVAAATVTAPTNPVSVMHALHPSTGGGGFWQDGDDPPPLDHASQAQAFMHPGNGSSSGYGHLH >ORUFI06G28580.1 pep chromosome:OR_W1943:6:26575988:26576914:-1 gene:ORUFI06G28580 transcript:ORUFI06G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANMLSAMMAAVGGDKPQPDTSEQINVSPLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVTVADVFAMPQSGTGVSVEAVDHAFQSEMLEMLRQTGRPEMVVGWYHSHPGFGCWLSGTDMATQQSFEQLHPRAVAVVIDPVQSVKGKVVMDAFRLVDHMAMVLGGAAGGEARQTTSNVGAVARPSAVALVHGLGRHYYSLAISYRMKEGEERMLACLSRSGWSDGFALRRFGAHDAGNEAAVRGMRDLAVGYGAQVREEDETPPERLAVVRAGKVDAKGKLEEKAMDAMSANIVQTLGMMLDTVTF >ORUFI06G28590.1 pep chromosome:OR_W1943:6:26577793:26581445:1 gene:ORUFI06G28590 transcript:ORUFI06G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAAAAAEKATSYSYWVREATGDAAPLPAPRKIDAADLAAKPAPTTLGSVWNKAGTWEEKNLNSWANGRIKDLLGSLDPLEFSTGKASVYEVSKCSGDAFLVTVRNKKRVGYTYELGLKFKGKQPLVLEFTSSHELSPAENWHSWEYSSGEWLIKEENKKVKGYLDIPEFSFGELEDLEVQISFTDIKDLSSDNKAQISKDLKSFLAPIREKLRKFEEELKDR >ORUFI06G28610.1 pep chromosome:OR_W1943:6:26599428:26604078:1 gene:ORUFI06G28610 transcript:ORUFI06G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSLSAKARRGFSSSSSSASAAASSCRSQLEQDVKKLQKALQEETTLHAILENALHRAALTLADMSYLPTNAQELLSNISILEGTISKLEDDMVSLHFQLIQERNERRLVEYRLKQAPPPPQLQLQQPRSVCSCHSTKSESDDTANEKSCRVDKVYPFAVLHDSAMKLQRQLSSKCFGNPNQLSEDIVRCMKNIFISLSDSCRESSRTPSTEKQQSGPSPSGNYSISAFWSLSEPTSISSWVQSPQVDLNYNNNLLASETVFDPYKAREKLSWADIGSYGAAAEVSWMSVGKKQLEYAAESLRKFRLLIEQLAEVNPIHLGDDAKLAFWINLYNALMMHAYLAYGVPRSDIKLFSLMQKAAYTIGGHSFSAAFIEYVILKMKPPNHRPQMKIKVPEEQKKFCIGSPEPLLTFALSCGLYSSPAVKIYTAGNVREELQDAQRDFIRASVGVSRKGKLLVPKMLHCFARGFVDDNSFPIWISHFLPQQQATFVEHCVSQRRQSLLGTRTFGIIPFDSRFRYLFLPDMGFSN >ORUFI06G28620.1 pep chromosome:OR_W1943:6:26603180:26604069:-1 gene:ORUFI06G28620 transcript:ORUFI06G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGLARAAAPAVVALALAAILSTPPPQPETFSNIPQTLSGGDGKQQVRIKRPKSAKALQCTSKCVATCIRGGEGPLNASGSFQGTIPQPAVLSARMSAISSRMEKMGNEESFDKEKQQQFKPRQGMDSRMVTD >ORUFI06G28630.1 pep chromosome:OR_W1943:6:26604457:26616353:1 gene:ORUFI06G28630 transcript:ORUFI06G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQEICRNFQRGSCKYGAQCRYLHASPHQQQQQQQQQQAKPNPFGFGTGSRQQQQPSFGSQFQQQQQQQQKPNPFGFGVQGANAQSRNAPGPAKPFQNKWVRDPSAPTKQTEAVQPPQAQAAHTSCEDPQSCRQQISEDFKNEAPIWKLTCYAHLRNGPCNIKGDISFEELRAKAYEEGKQGHSLQSIVEGERNLQNAKLMEFTNLLNSARPSQTPSFPTMSSFPEVKNNSSFGASQTNGPPVFSSFSQIGAATNIGPGPGTTAPGMPASSPFGHPSSAPLAAPTFGSSQMKFGVSSVFGNQGSGQPFGSFQAPRFPSSKSPASSVQHRDIDRQSQELLNGMVTPPSVMFEESVGNNKNENQDDSIWLKEKWAIGEIPLDEPPQRHRLHNGNSRQFFASSVQRRDIELLNGMMAPIVMDEVSADSRVNKGTLQQTEEEFTRVKGDMEH >ORUFI06G28630.2 pep chromosome:OR_W1943:6:26604457:26620117:1 gene:ORUFI06G28630 transcript:ORUFI06G28630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQEICRNFQRGSCKYGAQCRYLHASPHQQQQQQQQQQAKPNPFGFGTGSRQQQQPSFGSQFQQQQQQQQKPNPFGFGVQGANAQSRNAPGPAKPFQNKWVRDPSAPTKQTEAVQPPQAQAAHTSCEDPQSCRQQISEDFKNEAPIWKLTCYAHLRNGPCNIKGDISFEELRAKAYEEGKQGHSLQSIVEGERNLQNAKLMEFTNLLNSARPSQTPSFPTMSSFPEVKNNSSFGASQTNGPPVFSSFSQIGAATNIGPGPGTTAPGMPASSPFGHPSSAPLAAPTFGSSQMKFGVSSVFGNQGSGQPFGSFQAPRFPSSKSPASSVQHRDIDRQSQELLNGMVTPPSVMFEESVGNNKNENQDDSIWLKEKWAIGELQGQQRNSTTNRRRIHKSEGRHGTLMWATRANNQLTAVSTCRIRNARVLVSRSTEIIHQRNTAHAPHHCAARPSPARPDPSQPASPSRASRPPPPALTLATM >ORUFI06G28640.1 pep chromosome:OR_W1943:6:26620241:26624348:1 gene:ORUFI06G28640 transcript:ORUFI06G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAALLALLLALAAPAPAASQPAAVDPPPSWAFPNARLRAAYVALQAWRRTAIFSDPANFTANWSGPDVCGYNGVFCAAHPTDGRVRVVAGLDLNHADIAGYIPASLPEGLPDLALLHLNSNRFCGVLPDTFSHLRLLHELDISNNRFVGGFPEVVLSLPSLRYLDLRFNDFEGAIPPKLFDRPLDAIFLNSNRLTRPIPPNLGSSPASVVVLAHNRLGGCIPPSIGRMAETLNEIVLIDDELTGCVPPQVGLLRKVTVFDVSGNHLQGPLPGSVAGLAAVEQLDVAGNLFEGPVPATICSLQSLKNFTYEDNFFSSRPGCPAATADGRWNCIPGAPAQRPPAQCAAAAAHPFDCSKAQCQATPPTTRRPGGRTPPAPHRSPLPHHMPPRRPAAAELAPPISPAAAAANLQREPQILNTAINLTTILSRRLAAILILVAAHRAPGIRVAAAHAAAAADDATRTPRSGPGNTILAAKLFLVATSRRRREAAVPAGARGGVLVAAAAAIGRQAAVPAGVRGGVLIAAAAIEAAAGHYHFAKPARQLTQSRAGRC >ORUFI06G28650.1 pep chromosome:OR_W1943:6:26623455:26627455:-1 gene:ORUFI06G28650 transcript:ORUFI06G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVSFSPANVQMLQGRSCHGHAAFGGCSAVPRTGPRMRSVAVRVSSEQEAAPAVRAPSGRTIEECEADAVAGRFPAPPPLVRPKAPEGTPQIRPLDLTKRPRRNRRSPALRAAFQETTISPANLVLPLFIHEGEDDAPIGAMPGCYRLGWRHGLLDEVYKSRDVGVNSFVLFPKVPDALKSQSGDEAYNDNGLVPRTIRLLKDKFPDIVVYTDVALDPYSSDGHDGIVREDGVIMNDETVYQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALLETAADEAEGADILLVKPGLPYLDVIRLLRDNSALPIAAYQVSGEYSMIKAGGALNMIDEEKVMMESLMCLRRAGADIILTYFARQAANVLCGMRSN >ORUFI06G28650.2 pep chromosome:OR_W1943:6:26623455:26627081:-1 gene:ORUFI06G28650 transcript:ORUFI06G28650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVSFSPANVQMLQGRSCHGHAAFGGCSAVPRTGPRMRSVAVRVSSEQEAAPAVRAPSGRTIEECEADAVAGRFPAPPPLVRPKAPEGTPQIRPLDLTKRPRRNRRSPALRAAFQETTISPANLVLPLFIHEGEDDAPIGAMPGCYRLGWRHGLLDEVYKSRDVGVNSFVLFPKVPDALKSQSGDEAYNDNGLVPRTIRLLKDKFPDIVVYTDVALDPYSSDGHDGIVREDGVIMNDETVYQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALLETAADEAEGADILLVKPGLPYLDVIRLLRDNSALPIAAYQVSGEYSMIKAGGALNMIDEEKVMMESLMCLRRAGADIILTYFARQAANVLCGMRSN >ORUFI06G28660.1 pep chromosome:OR_W1943:6:26628408:26632376:-1 gene:ORUFI06G28660 transcript:ORUFI06G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIVAARAPPGGGGAAAVIAYHHCASPSRALPLAAGPSAGGGVVVGRRYHHHGGACCFAAKPTQVAAEVDQGGAAEPGVASANAAVEAKPRKKARSRRGRKGKRSSSSETRTTAVLEEEEEVEVKEKKTEEDGEEGKRKEKAAGLDLDEVMAVSPVGLGRRSRQIFDEVWRKFSRLGQMSSASSTALAEEEQAVLIRGGPMCEFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDAEVIDMLPRSVDIVVGDVGDPSTVKSAVSGCSKIIYCATARSTITGDLNRVDNQGVRNVSKAFQDYYNELAQLRAGKSSKSKLLIAKFKSPKSLNGWEVDQGSYFPNTFASRFDEGIDASFDFSEAGQAVFSGFVFTRGGYVEISKRLSLPLGSTLDRYDGLLFSVGGNGRSYVVILETGPLADTSQSKKYFARMTTKVGFCRVRVPFSAFRPVNPQDPPLDPFLVHTLTIRFEPKRQRPGDGSQSATDPRNFELILEYIKALPTGQETDFILVSCSGSGIEPNRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVAEQGNELYELVAHLPDKANNYLTPALSVLEKNT >ORUFI06G28670.1 pep chromosome:OR_W1943:6:26633904:26646338:-1 gene:ORUFI06G28670 transcript:ORUFI06G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGQGAHLSGQRIGHHPTAQMNPGDGDGNGRQQVASGHASADPELMNLRIRMTNMLIWELLSREPKLQTRPRKLVSDLAKRFEAVIYKKNPNKAAYYSILNGEIFPHLQHALSTHMAQHQQGQQMLQQLTSSSSYGTTIPIPDVVQNASGNTRALYEMDNTSGPMSNGHHHFSANFPLHSTTKGASLEMSAVSMQEGKITHMIPTPGSSNQQSLPGNFHYSTGTGYLNGKSNVMAQMQEQQAPFASKINCCPVQRDLGGYAGSGVHSDILNNSSPYGVSEAHMIDGMGLHRSNVQVINRTVVPETFINPSPYGISPNKPLQRHVNPSTRSTPTPADIAASTSFNGTGSSALSTTSYLDMTTVNSLPKSRMDSGLIMSQPTIQSFQTEYYIQTEGLDLQEKISLEQLHQQVNQLHLIQPHSQYAQNQCSLKLQQQNSLHHLVMSRGNVLTQCHLGSDHAEKLLDKRNQLHSELVSSQINEHVGLTNLQGHYEQTQYHDNYKKGQMSASSQNLGIPAPHDLLPPQQQFDDGSYRLSCFLKETYTKPLQPHCKSKPMKEVIMTSLLSGKIQDGFCQKKMARDREHHPIISGWHSAGCAATSFGSEEVMENTKQYHAQARWLLFLFHAKSCTSPPGSCKSSYCDRVRELVVHLTDCQIKDCPYRHCRESKMVSDHYKNCINEHCHVCCKAKEMLRRSSELAHKQNPAEPILITQHNMNQRSADRVHGDRMDIDQAVETFDDQPPAAKRPKLQLVSPDASENVPVCQKNPGFMLQEAHPRQLDQNKKMVPDQEVDVGLDIRHPQVTLVSCHGSDEKIGAAQNTVIPGALNKIHCHVQQETVVADKESVTAVDVKKKTGSVDVTISKTGKPKVKGVSLMELFTPEQIHEHINGLRQWIGQSKAKAEKNQVIGYSESESLCQLCKVENLTFEPRPIYCSPCGARIKRNASYYTGSTAMGRLFFCISCYNASLGNTIEVELIKLSKADLEKKRNSDEPEEGWVQCDKCECWQHQICALFNARRNDVEEAEYTCFKCYIEEFKRGLRMPLPESVVRGAKDLPRTLLSDHIEERLFKRLREERQERANKLKTSLDEVPGADGLVVRVVSSVDKKLEVKPRFFKILQEDNYPAEFPYKSKAILLFQKIEGVEVCLFGMYVQEYGAECKFPNQRRVYLSYLDSVKYFRPDIETVSGQALRTYVYHEILIGYLEYCKQRGFTSCYIWACPPVKGEDYILYCHPEIQKTPKYLSMLQKAIKENIVVELTNLYDQFFVTAKECKIKVSAARLPYFDGDYWPGAAEDIINQLQLEGDGKLLKKGRVNKIITKRALKAAGHTDLSGNASKEAMLMQKLGEIICPIKDDLIMVHLQYSCSHCCTFMVSGRRWVCNERKSFYICDRCYNAEQRLEEKERHPSNSKCLHILHPVEIVGVSEDTKDRDIILENEIFDTRQAFLSFCQGYHYQYDTLRRAKHSTMMMLYHLHNPTGPAFVATCNVCNCDIENGQVWYCKDCPDFDMCASCYQKHGGANHHHKLTNHPSCAECNVQNKGAWQKHVQQVRVWLELALHASSCHVRNCQYPNCRKLKGLFHHGAQCKIRLTKGCKQCARMWYIIRLHSQSCRQSDCAVPRCRDFKSFERKQNQLSESRRMASVNERVRQRVAEVTRHE >ORUFI06G28680.1 pep chromosome:OR_W1943:6:26647795:26650954:1 gene:ORUFI06G28680 transcript:ORUFI06G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKDKRDIYYRKAKEEGWRARSAFKLLQIDQEFNIFHGVKRVVDLCAAPGSWSQVLSRNLYVPAKQSPDCKEGDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAALTIVTHVLKVGGKFVAKIFRGKDTSLLYCQCFMQLKLFFSQVTFAKPKSSRNSSIEAFAVCENYSPPEGFKEKDLYHLLEKVGTPSGADDLDCRSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLTSTEGGSYQSLDPVQPPIAPPYKTALEMKKVASHGIGADISKLSLDS >ORUFI06G28690.1 pep chromosome:OR_W1943:6:26652701:26655084:1 gene:ORUFI06G28690 transcript:ORUFI06G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPKLLLQAAAAAVADRRWYGSGGRRGYLPLRHPPHVAPGRFTACLLAVAAVTTTFALALTLHRPDLSSAAAYAASPRGGGGAGYAVVINTWKRYDLLRRSVAHYSGCGGVDAVHVVWSEPEEPTEELRGSVLNCSDGGGAGVRFVINAEDSLNNRFRPIQGLTTDAIFSVDDDLIVPCSTLRFAFAVWQSAPSAMVGFVPRMHWLADPGSNAKEYRYGSWWSVWWTGTYSMVLSKASFFHRQYLDLYTNRMLPSIRDYVNENRNCEDIAMSFLVANVTGSPPIWVQGRIFEIGSSGISSLKGHDLQRSKCLNTFSAMYGHMPLVATRVKAVDSRTSWFW >ORUFI06G28700.1 pep chromosome:OR_W1943:6:26655215:26656912:1 gene:ORUFI06G28700 transcript:ORUFI06G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAIATEAWALAGCGAAAKSAAAAAQEAPVQLQQHSLSAARAKKPISFRAVAAAAVSSQCHQERRAVVVGRRSGMASCLLAAVAASLSGAGEARAAVLEADDDIELLERVKEDRKKRLQKQGVISSSGTETGYLQDLIYKLSKVGQAIDKNDLPAASSVLGPNSDAQWVQNINVAFTKFSSSPEEKNMVDSFNSSLASLITSVNKSDVDSSKSAFVSSATTLEKWIASAGLSGQLKGF >ORUFI06G28710.1 pep chromosome:OR_W1943:6:26657335:26661226:-1 gene:ORUFI06G28710 transcript:ORUFI06G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLFLGLAVLTLLVATAAAALPPPGCPRTCGGVAVEYPFGIGPNCSLSDGFSLDCVRDTPQLRLGPVKQQQTVRVLGVDLLHGKIRTTNAIASQCLDARTGKLVNTSWEGLNAAALPYRFSDEDNRFFAVGCSGVVLLQGTAAGADDRVVIGCISTCFGNASIRTGSCSNIGCCETAIPRGLNSYLLAMERMPGGSPVNRCFYATLMEAASFSFEAADAAADGFYRKSSNGTVPVVLSFVVGSETCKEAQTSDTYACLSDHSVCVDGAPGYVCNCSQGYTGNPYLPNGCVDIDECGPGKHGCPDGMICTNFPGGYNCSCPEGEYKSNKNGVLICESDQKRSSLSVSVIIVIGVSGGVVIAVIAILITYLMRQRRALADVKRNSSKRPTASTTATSSAAAATPPSTWASCPPAATASSSPSSVISFATRLRIAHESAESLAYLHSFASPPILHGDVKSSNILLDESFMAKVSDFGASILAPTDEAQMVTMVQGTCGYLDPEYMRTCQLTEKSDVYSFGVVLLELLTGKKPLCLDGPEEERSLSARFVAAMGERKVGEMLDEQVKREASGESLEEITRLALECLQMCGADRPAMKEVAERLGGLRKLHQHPWTQDAVELEEARCLLHGSPEYQLSARYTTGSR >ORUFI06G28720.1 pep chromosome:OR_W1943:6:26670976:26679442:-1 gene:ORUFI06G28720 transcript:ORUFI06G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPWPTPRTVRQAAELHAHLTTSGRLLHPPSAHHLLNSLVNCLPPSDPLHLRYALHLFDRMPASTFLFDTALRACFRAGTSSGDPDIPFVLFRRMRRAAIRPDGFTFHFLFKCSSSSRPRALLCTMLHAACLRSMLPSAAPFVANSLIHMYTELGLAGDVRRAFDEIPVKDAVSWTMVISGLAKMGMLSDARLLLAQAPVRDVISWTSLIAAYSRADRAKEAVDCFKNMLSEGIAPDDVTVIGVLSACSQLKDLELGCSLHLLVKEKGMSMSENLVVALIDMYAKCGDFGHAREVFDAVGRGRRPQSWNAIIDGYCKHGHVDVARSLFDQMEVRDIITFNSMITGYIHSGQLREALLLFMNMRRHDLRVDNFTVVSLLSACASLGALPQGRALHACIELRLVETDIYIGTALLDMYMKCGRVNEATIVFQRMGKRDVHAWTAMIAGLAFNGMGKAGLEYFYQMRCDGFQPNPVSYIAVLTACSHSCLLNEGRLYFDEMRILYNIHPQIEHYGCMIDLLGRSGLLDEAMDLVKTMPMQPNSVIWASILSACRVHKRIDLAQCAAEHLLKIEPDEDAVYVQLYNICIDSRKWEDASKIRMLMEERQVKKTAGYSSVTVAGQVHKFVVSDKSHPRILEIIAMLEEISHRLKSAGYSPITSQVTVDVDEEEKEQTLLAHSEKLAIAFGLVSLAPNLPVHIIKNLRPTIFRPLGADDGKQKQPDRRVVFLLPPSRRDAPVLVRPIPSSPAPFSLRSSVTMAVRDVASLNRMITGFIRDGLADRARAVYRWMVASGIRETPHTFSTILGVCSTYEALQLHGRVLALGLCCNPFVGSALVNHYMHVESPHAALSLFRELPLQNTAMCNVVLRGLGNLKLTEELICCFLDMRRQYLELNGLSYCYAMKGCYQNGEWLEQGRQLHGVVLKAGWIPSNIFLSNSLVDLYSAIGDSVDTVKALNDILSEDVISWNSILSMYADRGHMKEAVYYLKQMLWHGKMPSIRSFVSLLALSGKTGDWQLGVQIHGIVHKLGFSCSSVHVQTTLIDMYGKCCCFDHSLAIFNEIPSIALECCNSLITSSLRCNMFDAALEILHCMIVEGVTPDDVTFSATMKAISLSASPSLTSCQMLHSCLVKLGFEMDMAVCSSLITAYACAGQLSSSHLIFEGLLDPNVICFTAIISACARYGDGARAMELFDQMVSSGLKPDNVTFLCAIAGCDQAGMFEEGRLVIELMRASRELDPDERHFACMVNLLSRDGFVKEAMEMMEQSPLRHYTKAWSSLLQSCKAHGENVLGKRAANMLIDVGRKDPATTLQVSNFFNDIGDRETALRIKEMTNVKEVKKSGHSLIEDGRGKVQEGIRAGGVEKAPATCQERTSTRTLVDSCWVLLLNSLVLGLFAEDTVPLKFDLRAYSDSAILGLQCMQAHKVQNLIHCCLQLYMDKKEVVDALSREAKIEPSVTQHVWQKLEENNREFFKAYYLRLMLKNQITAFNKLLEDQLRIINKEYHPGPSSMPLPNGSNSNLLKQNPCFLSESTPMPAMPDDVMCNGNSSGIVDRTQSSDQLIYAGKDIQGLHSSMDASNLLPVQNANSVLFGVENGTTIKTESGYSSNGNFGFCGNAFLESCQSIGDASGGSFSSSELNGQPLDDSILDIESSSFGFLSQLPRNFFSDLPEDFSQSTEILDNYGKSPFLPSEQNNFSDSTGGEHTG >ORUFI06G28730.1 pep chromosome:OR_W1943:6:26680439:26680735:1 gene:ORUFI06G28730 transcript:ORUFI06G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGINRKGGAAAAYAVALCAALVLAAGAADAAGCNPSALSPCMSAIMLGAAPSPGCCVQLRAQQPCLCQYARDPSYRSYVTSPSAQRAVKACNVKANC >ORUFI06G28740.1 pep chromosome:OR_W1943:6:26681383:26682233:-1 gene:ORUFI06G28740 transcript:ORUFI06G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPSARACRPSMCEENDMDPLALTKSVLLMFPNISEEFIDELLQANEFDICLTVDMLHELNSQNMLHGDAIMGFPTFPDVKKFHGNLGLPDGDLSESNSSLDQSLQKGMSLTTSGAKSASAMIPDNISLHDKLGVQKDDKPAIASTTN >ORUFI06G28750.1 pep chromosome:OR_W1943:6:26687322:26716569:1 gene:ORUFI06G28750 transcript:ORUFI06G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEQQEHAAPLLQPEVEEAYTSDGSLDIDGNPALKHRTGGWRACRSILGAEFCYCLANNGIMYNLVTYLTTQLHQSNVAAAKNVSIWKATCFLTPLAGAVVADSYWGRYRTMVVACCVGVAVSLLRSPHFNQSLHPAMNSAQGMLMASLSALLPQLIESSSTLSMPSAQEFVLFLGLYMIAFGFDAGDTSERASKASLFNWYVFTMNCAAVISATGLVWVQGHYGWALGLGIPAMVLAVGLSCLVAASRTYRFQTTRGSPLTRVCQVAVAAVRKFNVAAPGDMALLYELPDDASSMKGVERIEHTTDLEFFDKAAVVTASDEEAPRNPWRLCMVTQVEELKILVRMLPVWACIAFFYTGTAQTKSTFVEQGMPMDAHVGALRVPPASLAAFQMLTTIVLIPLYDRVFVPAARKHTGREKGISDLLRIGGGLATVGLAMAAAATVETKRASAARTTASILWQAPQFVLVGAGELLATIGQLDFFYSQAPPAMKTVCTALGLLAVAAGDYLSSVIVTAVSWATASGGRPGWIPDDLNEGHLDSFFWMTAGLGCLDLLAFTCCAKRYSKSRKAFHELSIDRIFAMASSEQQEHAVALLQPKVEEAYTTDGSLDIDGNPALKHRTGGWRACRSILGTEFCYCLAYYGIMYNLVTYLTTVLHQSNVAAAKNVSTWQATCFLTPLAGAVVADSYWGRYRTMVVGCCVAVAGMLMASLSALLPQLIESSSTLSMEIILFLGLYMIAFGVGGLRPCLISFGADQFDAGDPSELISKGSYFNWYIFTMNCGSVISTSGMVWVQDHYGWALGLAIPAMVLAVGLSCLVAASRAYRFQTTRGSPLTRVCQVVVAAVCKFNVAPPDDMSLLYELPDDASSMKVVERIEHTTDLRFFDKAAVVTASDEEAAGAAPRNPWRLCVVTQVEELKIFVRMLPLWACITFFYTGTAQVNSTFVEQGMAMDARVGSLRVPPARLTGRERGISELVRIGGGLAMVVLAMAAAALVETKRVRAWQTAMEKTSIMWQVPQFVLVGVGELLTSIGQLDFFYSQAPPAMKTVCAALALGAIAAGDYLSSIIVTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLAAFMSCAMKYKTRKASEEEEVYTTDGSLDIDGNPALKHRTGGWRACRSILGTEFCQCLAYFGMTINLVTYLTTELHQSNVAAAKNVSTWQATCFLTPLAGAIVADSYWGKYHTMVVGCCIGVAGLLMASLSALLPLLIKNISTLAMASAQEFVLFLGLYMIAFGVGGLRPCLMSFGADQFDAGDPSERNSKGSYFNWYLFAMNCASVISTTAMVWLQDHYGWALGLAIPAMVLAVGLSFLVAATPAYRFQRNRGSPFTRVCQVVVAAVRKFNVAPPVDVALLYEVPEDDCSMERGMAMDARVGSLRVPPASLATFELLTSMALIPLYDRAFVPAARRLTGREKGIPDLLRIGAGLTMAVLAMAAAALVETKRARAARMGMEKTSIVWQVPQYAVMGVGEMLASAGQLDFFYSQAPPAMKTVCMALGFLAVAAGVYLSSLVLTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVAFTSCAMRYKSRKDCARRCKPQDSGTHGGGPGIRRRNVSQLPPKWPQPTQSEQHGMEITTRRRNGLNPPKWALPRISGLAQGLRVGTG >ORUFI06G28750.10 pep chromosome:OR_W1943:6:26711920:26716569:1 gene:ORUFI06G28750 transcript:ORUFI06G28750.10 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDTEQQEHAVALLQPEVEEAYTTDGSLGVDGNPALKHRTGGWMACRPILGTEFCYCLAYYGITFNLVTYLTAELHQSNVAAANNVSTWQATCFLTPLAGAVAADSYWGYAPWSSAAASASLLLAWMKRGIFPGGMKSLSPLCAAMNANPISFMAGMLMAALSALLPLLIKDTSSMASAQEIILFLGLYMIAFGVGGLRPCLMSFGADQFDDGDTSERISKGSYFNWYIFTMNCASVISTTAMVWVQDHYGWALGLGIPAMVLAVGLSCLVAASRAYRFQTTRGSPLTRVCQVVVAAVRKFNVAPPADMALLYDLPEDASSMKGVQRIEHTADLRFFDKAAVVTASDEEAEGAAPRNPWRLCVVTQVEELKILVRMLPLWACVAFYYTATAQANSTFVEQGMAMDTRVGSFHVPPASLATFQIITTIVLIPLYDRAFVPAARRLTGREKGISDLLRIGGGLAMAALAMAAAALVETRRDRAAHAGMEPMSILWQAPQYVLVGVGELLATVGQLDFFYSQAPPAMKTVCTALGFISVAAGEYLSSLVVTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVVFTSCAMRYKSRKAC >ORUFI06G28750.2 pep chromosome:OR_W1943:6:26687322:26716569:1 gene:ORUFI06G28750 transcript:ORUFI06G28750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEQQEHAAPLLQPEVEEAYTSDGSLDIDGNPALKHRTGGWRACRSILGAEFCYCLANNGIMYNLVTYLTTQLHQSNVAAAKNVSIWKATCFLTPLAGAVVADSYWGRYRTMVVACCVGVAGMLMASLSALLPQLIESSSTLSMPSAQEFVLFLGLYMIAFGPCLMSFGADQFDAGDTSERASKASLFNWYVFTMNCAAVISATGLVWVQGHYGWALGLGIPAMVLAVGLSCLVAASRTYRFQTTRGSPLTRVCQVAVAAVRKFNVAAPGDMALLYELPDDASSMKGVERIEHTTDLEFFDKAAVVTASDEEAPRNPWRLCMVTQVEELKILVRMLPVWACIAFFYTGTAQTKSTFVEQGMPMDAHVGALRVPPASLAAFQMLTTIVLIPLYDRVFVPAARKHTGREKGISDLLRIGGGLATVGLAMAAAATVETKRASAARTTASILWQAPQFVLVGAGELLATIGQLDFFYSQAPPAMKTVCTALGLLAVAAGDYLSSVIVTAVSWATASGGRPGWIPDDLNEGHLDSFFWMTAGLGCLDLLAFTCCAKRYSKSRKAFHELSIDRIFAMASSEQQEHAVALLQPKVEEAYTTDGSLDIDGNPALKHRTGGWRACRSILGTEFCYCLAYYGIMYNLVTYLTTVLHQSNVAAAKNVSTWQATCFLTPLAGAVVADSYWGRYRTMVVGCCVAVAGMLMASLSALLPQLIESSSTLSMEIILFLGLYMIAFGVGGLRPCLISFGADQFDAGDPSELISKGSYFNWYIFTMNCGSVISTSGMVWVQDHYGWALGLAIPAMVLAVGLSCLVAASRAYRFQTTRGSPLTRVCQVVVAAVCKFNVAPPDDMSLLYELPDDASSMKVVERIEHTTDLRFFDKAAVVTASDEEAAGAAPRNPWRLCVVTQVEELKIFVRMLPLWACITFFYTGTAQVNSTFVEQGMAMDARVGSLRVPPARLTGRERGISELVRIGGGLAMVVLAMAAAALVETKRVRAWQTAMEKTSIMWQVPQFVLVGVGELLTSIGQLDFFYSQAPPAMKTVCAALALGAIAAGDYLSSIIVTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLAAFMSCAMKYKTRKASEEEEVYTTDGSLDIDGNPALKHRTGGWRACRSILGTEFCQCLAYFGMTINLVTYLTTELHQSNVAAAKNVSTWQATCFLTPLAGAIVADSYWGKYHTMVVGCCIGVAGLLMASLSALLPLLIKNISTLAMASAQEFVLFLGLYMIAFGVGGLRPCLMSFGADQFDAGDPSERNSKGSYFNWYLFAMNCASVISTTAMVWLQDHYGWALGLAIPAMVLAVGLSFLVAATPAYRFQRNRGSPFTRVCQVVVAAVRKFNVAPPVDVALLYEVPEDDCSMERGMAMDARVGSLRVPPASLATFELLTSMALIPLYDRAFVPAARRLTGREKGIPDLLRIGAGLTMAVLAMAAAALVETKRARAARMGMEKTSIVWQVPQYAVMGVGEMLASAGQLDFFYSQAPPAMKTVCMALGFLAVAAGVYLSSLVLTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVAFTSCAMRYKSRKDCARRCKPQDSGTHGGGPGIRRRNVSQLPPKWPQPTQSEQHGMEITTRRRNGLNPPKWALPRISGLAQGLRVGTG >ORUFI06G28750.3 pep chromosome:OR_W1943:6:26687322:26716569:1 gene:ORUFI06G28750 transcript:ORUFI06G28750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEQQEHAAPLLQPEVEEAYTSDGSLDIDGNPALKHRTGGWRACRSILGAEFCYCLANNGIMYNLVTYLTTQLHQSNVAAAKNVSIWKATCFLTPLAGAVVADSYWGRYRTMVVACCVGVAGMLMASLSALLPQLIESSSTLSMPSAQEFVLFLGLYMIAFGFDAGDTSERASKASLFNWYVFTMNCAAVISATGLVWVQGHYGWALGLGIPAMVLAVGLSCLVAASRTYRFQTTRGSPLTRVCQVAVAAVRKFNVAAPGDMALLYELPDDASSMKGVERIEHTTDLEFFDKAAVVTASDEEAPRNPWRLCMVTQVEELKILVRMLPVWACIAFFYTGTAQTKSTFVEQGMPMDAHVGALRVPPASLAAFQMLTTIVLIPLYDRVFVPAARKHTGREKGISDLLRIGGGLATVGLAMAAAATVETKRASAARTTASILWQAPQFVLVGAGELLATIGQLDFFYSQAPPAMKTVCTALGLLAVAAGDYLSSVIVTAVSWATASGGRPGWIPDDLNEGHLDSFFWMTAGLGCLDLLAFTCCAKRYSKSRKAFHELSIDRIFAMASSEQQEHAVALLQPKVEEAYTTDGSLDIDGNPALKHRTGGWRACRSILGTEFCYCLAYYGIMYNLVTYLTTVLHQSNVAAAKNVSTWQATCFLTPLAGAVVADSYWGRYRTMVVGCCVAVAGMLMASLSALLPQLIESSSTLSMEIILFLGLYMIAFGVGGLRPCLISFGADQFDAGDPSELISKGSYFNWYIFTMNCGSVISTSGMVWVQDHYGWALGLAIPAMVLAVGLSCLVAASRAYRFQTTRGSPLTRVCQVVVAAVCKFNVAPPDDMSLLYELPDDASSMKVVERIEHTTDLRFFDKAAVVTASDEEAAGAAPRNPWRLCVVTQVEELKIFVRMLPLWACITFFYTGTAQVNSTFVEQGMAMDARVGSLRVPPARLTGRERGISELVRIGGGLAMVVLAMAAAALVETKRVRAWQTAMEKTSIMWQVPQFVLVGVGELLTSIGQLDFFYSQAPPAMKTVCAALALGAIAAGDYLSSIIVTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLAAFMSCAMKYKTRKASEEEEVYTTDGSLDIDGNPALKHRTGGWRACRSILGTEFCQCLAYFGMTINLVTYLTTELHQSNVAAAKNVSTWQATCFLTPLAGAIVADSYWGKYHTMVVGCCIGVAGLLMASLSALLPLLIKNISTLAMASAQEFVLFLGLYMIAFGVGGLRPCLMSFGADQFDAGDPSERNSKGSYFNWYLFAMNCASVISTTAMVWLQDHYGWALGLAIPAMVLAVGLSFLVAATPAYRFQRNRGSPFTRVCQVVVAAVRKFNVAPPVDVALLYEVPEDDCSMERGMAMDARVGSLRVPPASLATFELLTSMALIPLYDRAFVPAARRLTGREKGIPDLLRIGAGLTMAVLAMAAAALVETKRARAARMGMEKTSIVWQVPQYAVMGVGEMLASAGQLDFFYSQAPPAMKTVCMALGFLAVAAGVYLSSLVLTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVAFTSCAMRYKSRKDCARRCKPQDSGTHGGGPGIRRRNVSQLPPKWPQPTQSEQHGMEITTRRRNGLNPPKWALPRISGLAQGLRVGTG >ORUFI06G28750.4 pep chromosome:OR_W1943:6:26687322:26716569:1 gene:ORUFI06G28750 transcript:ORUFI06G28750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEQQEHAAPLLQPEVEEAYTSDGSLDIDGNPALKHRTGGWRACRSILGAEFCYCLANNGIMYNLVTYLTTQLHQSNVAAAKNVSIWKATCFLTPLAGAVVADSYWGRYRTMVVACCVGVAVSLLRSPHFNQSLHPAMNSAQGMLMASLSALLPQLIESSSTLSMPSAQEFVLFLGLYMIAFGFDAGDTSERASKASLFNWYVFTMNCAAVISATGLVWVQGHYGWALGLGIPAMVLAVGLSCLVAASRTYRFQTTRGSPLTRVCQVAVAAVRKFNVAAPGDMALLYELPDDASSMKGVERIEHTTDLEFFDKAAVVTASDEEAPRNPWRLCMVTQVEELKILVRMLPVWACIAFFYTGTAQTKSTFVEQGMPMDAHVGALRVPPASLAAFQMLTTIVLIPLYDRVFVPAARKHTGREKGISDLLRIGGGLATVGLAMAAAATVETKRASAARTTASILWQAPQFVLVGAGELLATIGQLDFFYSQAPPAMKTVCTALGLLAVAAGDYLSSVIVTAVSWATASGGRPGWIPDDLNEGHLDSFFWMTAGLGCLDLLAFTCCAKRYSKSRKAFHELSIDRIFAMASSEQQEHAVALLQPKVEEAYTTDGSLDIDGNPALKHRTGGWRACRSILGTEFCYCLAYYGIMYNLVTYLTTVLHQSNVAAAKNVSTWQATCFLTPLAGAVVADSYWGRYRTMVVGCCVAVAGMLMASLSALLPQLIESSSTLSMEIILFLGLYMIAFGVGGLRPCLISFGADQFDAGDPSELISKGSYFNWYIFTMNCGSVISTSGMVWVQDHYGWALGLAIPAMVLAVGLSCLVAASRAYRFQTTRGSPLTRVCQVVVAAVCKFNVAPPDDMSLLYELPDDASSMKVVERIEHTTDLRFFDKAAVVTASDEEAAGAAPRNPWRLCVVTQVEELKIFVRMLPLWACITFFYTGTAQVNSTFVEQGMAMDARVGSLRVPPARLTGRERGISELVRIGGGLAMVVLAMAAAALVETKRVRAWQTAMEKTSIMWQVPQFVLVGVGELLTSIGQLDFFYSQAPPAMKTVCAALALGAIAAGDYLSSIIVTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLAAFMSCAMKYKTRKASEEEEVYTTDGSLDIDGNPALKHRTGGWRACRSILGTEFCQCLAYFGMTINLVTYLTTELHQSNVAAAKNVSTWQATCFLTPLAGAIVADSYWGKYHTMVVGCCIGVAGLLMASLSALLPLLIKNISTLAMASAQEFVLFLGLYMIAFGVGGLRPCLMSFGADQFDAGDPSERNSKGSYFNWYLFAMNCASVISTTAMVWLQDHYGWALGLAIPAMVLAVGLSFLVAATPAYRFQRNRGSPFTRVCQVVVAAVRKFNVAPPVDVALLYEVPEDDCSMERGMAMDARVGSLRVPPASLATFELLTSMALIPLYDRAFVPAARRLTGREKGIPDLLRIGAGLTMAVLAMAAAALVETKRARAARMGMEKTSIVWQVPQYAVMGVGEMLASAGQLDFFYSQAPPAMKTVCMALGFLAVAAGVYLSSLVLTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVAFTSCAMRYKSRKDCARRCKPQDSGTHGGGPGIRRRNVSQLPPKWPQPTQSEQHGMEITTRRRNGLNPPKWALPRISGLAQGLRVGTG >ORUFI06G28750.5 pep chromosome:OR_W1943:6:26687322:26716569:1 gene:ORUFI06G28750 transcript:ORUFI06G28750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEQQEHAAPLLQPEVEEAYTSDGSLDIDGNPALKHRTGGWRACRSILGAEFCYCLANNGIMYNLVTYLTTQLHQSNVAAAKNVSIWKATCFLTPLAGAVVADSYWGRYRTMVVACCVGVAVSLLRSPHFNQSLHPAMNSAQGMLMASLSALLPQLIESSSTLSMPSAQEFVLFLGLYMIAFGFDAGDTSERASKASLFNWYVFTMNCAAVISATGLVWVQGHYGWALGLGIPAMVLAVGLSCLVAASRTYRFQTTRGSPLTRVCQVAVAAVRKFNVAAPGDMALLYELPDDASSMKGVERIEHTTDLEFFDKAAVVTASDEEAPRNPWRLCMVTQVEELKILVRMLPVWACIAFFYTGTAQTKSTFVEQGMPMDAHVGALRVPPASLAAFQMLTTIVLIPLYDRVFVPAARKHTGREKGISDLLRIGGGLATVGLAMAAAATVETKRASAARTTASILWQAPQFVLVGAGELLATIGQLDFFYSQAPPAMKTVCTALGLLAVAAGDYLSSVIVTAVSWATASGGRPGWIPDDLNEGHLDSFFWMTAGLGCLDLLAFTCCAKRYSKSRKAFHELSIDRIFAMASSEQQEHAVALLQPKVEEAYTTDGSLDIDGNPALKHRTGGWRACRSILGTEFCYCLAYYGIMYNLVTYLTTVLHQSNVAAAKNVSTWQATCFLTPLAGAVVADSYWGRYRTMVVGCCVAVAGMLMASLSALLPQLIESSSTLSMEIILFLGLYMIAFGVGGLRPCLISFGADQFDAGDPSELISKGSYFNWYIFTMNCGSVISTSGMVWVQDHYGWALGLAIPAMVLAVGLSCLVAASRAYRFQTTRGSPLTRVCQVVVAAVCKFNVAPPDDMSLLYELPDDASSMKVVERIEHTTDLRFFDKAAVVTASDEEAAGAAPRNPWRLCVVTQVEELKIFVRMLPLWACITFFYTGTAQVNSTFVEQGMAMDARVGSLRVPPARLTGRERGISELVRIGGGLAMVVLAMAAAALVETKRVRAWQTAMEKTSIMWQVPQFVLVGVGELLTSIGQLDFFYSQAPPAMKTVCAALALGAIAAGDYLSSIIVTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLAAFMSCAMKYKTRKASEEEEVYTTDGSLDIDGNPALKHRTGGWRACRSILGTEFCQCLAYFGMTINLVTYLTTELHQSNVAAAKNVSTWQATCFLTPLAGAIVADSYWGKYHTMVVGCCIGVAGLLMASLSALLPLLIKNISTLAMASAQEFVLFLGLYMIAFGVGGLRPCLMSFGADQFDAGDPSERNSKGSYFNWYLFAMNCASVISTTAMVWLQDHYGWALGLAIPAMVLAVGLSFLVAATPAYRFQRNRGSPFTRVCQVVVAAVRKFNVAPPVDVALLYEVPEDDCSMERGMAMDARVGSLRVPPASLATFELLTSMALIPLYDRAFVPAARRLTGREKGIPDLLRIGAGLTMAVLAMAAAALVETKRARAARMGMEKTSIVWQVPQYAVMGVGEMLASAGQLDFFYSQAPPAMKTVCMALGFLAVAAGVYLSSLVLTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVAFTSCAMRYKSRKDCARRCKPQDSGTHGGGPGIRRRNVSQLPPKWPQPTQSEQHGMEITTRRRNGLNPPKWALPRISGLAQGLRVGTG >ORUFI06G28750.6 pep chromosome:OR_W1943:6:26687322:26716569:1 gene:ORUFI06G28750 transcript:ORUFI06G28750.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEQQEHAAPLLQPEVEEAYTSDGSLDIDGNPALKHRTGGWRACRSILGAEFCYCLANNGIMYNLVTYLTTQLHQSNVAAAKNVSIWKATCFLTPLAGAVVADSYWGRYRTMVVACCVGVAVSLLRSPHFNQSLHPAMNSAQGMLMASLSALLPQLIESSSTLSMPSAQEFVLFLGLYMIAFGFDAGDTSERASKASLFNWYVFTMNCAAVISATGLVWVQGHYGWALGLGIPAMVLAVGLSCLVAASRTYRFQTTRGSPLTRVCQVAVAAVRKFNVAAPGDMALLYELPDDASSMKGVERIEHTTDLEFFDKAAVVTASDEEAPRNPWRLCMVTQVEELKILVRMLPVWACIAFFYTGTAQTKSTFVEQGMPMDAHVGALRVPPASLAAFQMLTTIVLIPLYDRVFVPAARKHTGREKGISDLLRIGGGLATVGLAMAAAATVETKRASAARTTASILWQAPQFVLVGAGELLATIGQLDFFYSQAPPAMKTVCTALGLLAVAAGDYLSSVIVTAVSWATASGGRPGWIPDDLNEGHLDSFFWMTAGLGCLDLLAFTCCAKRYSKSRKAFHELSIDRIFAMASSEQQEHAVALLQPKVEEAYTTDGSLDIDGNPALKHRTGGWRACRSILGTEFCYCLAYYGIMYNLVTYLTTVLHQSNVAAAKNVSTWQATCFLTPLAGAVVADSYWGRYRTMVVGCCVAVAGMLMASLSALLPQLIESSSTLSMEIILFLGLYMIAFGVGGLRPCLISFGADQFDAGDPSELISKGSYFNWYIFTMNCGSVISTSGMVWVQDHYGWALGLAIPAMVLAVGLSCLVAASRAYRFQTTRGSPLTRVCQVVVAAVCKFNVAPPDDMSLLYELPDDASSMKVVERIEHTTDLRFFDKAAVVTASDEEAAGAAPRNPWRLCVVTQVEELKIFVRMLPLWACITFFYTGTAQVNSTFVEQGMAMDARVGSLRVPPARLTGRERGISELVRIGGGLAMVVLAMAAAALVETKRVRAWQTAMEKTSIMWQVPQFVLVGVGELLTSIGQLDFFYSQAPPAMKTVCAALALGAIAAGDYLSSIIVTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLAAFMSCAMKYKTRKASEEEEVYTTDGSLDIDGNPALKHRTGGWRACRSILGTEFCQCLAYFGMTINLVTYLTTELHQSNVAAAKNVSTWQATCFLTPLAGAIVADSYWGKYHTMVVGCCIGVAGLLMASLSALLPLLIKNISTLAMASAQEFVLFLGLYMIAFGVGGLRPCLMSFGADQFDAGDPSERNSKGSYFNWYLFAMNCASVISTTAMVWLQDHYGWALGLAIPAMVLAVGLSFLVAATPAYRFQRNRGSPFTRVCQVVVAAVRKFNVAPPVDVALLYEVPEDDCSMERGMAMDARVGSLRVPPASLATFELLTSMALIPLYDRAFVPAARRLTGREKGIPDLLRIGAGLTMAVLAMAAAALVETKRARAARMGMEKTSIVWQVPQYAVMGVGEMLASAGQLDFFYSQAPPAMKTVCMALGFLAVAAGVYLSSLVLTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVAFTSCAMRVGTG >ORUFI06G28750.7 pep chromosome:OR_W1943:6:26687322:26716569:1 gene:ORUFI06G28750 transcript:ORUFI06G28750.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEQQEHAAPLLQPEVEEAYTSDGSLDIDGNPALKHRTGGWRACRSILGAEFCYCLANNGIMYNLVTYLTTQLHQSNVAAAKNVSIWKATCFLTPLAGAVVADSYWGRYRTMVVACCVGVAVSLLRSPHFNQSLHPAMNSAQGMLMASLSALLPQLIESSSTLSMPSAQEFVLFLGLYMIAFGFDAGDTSERASKASLFNWYVFTMNCAAVISATGLVWVQGHYGWALGLGIPAMVLAVGLSCLVAASRTYRFQTTRGSPLTRVCQVAVAAVRKFNVAAPGDMALLYELPDDASSMKGVERIEHTTDLEFFDKAAVVTASDEEAPRNPWRLCMVTQVEELKILVRMLPVWACIAFFYTGTAQTKSTFVEQGMPMDAHVGALRVPPASLAAFQMLTTIVLIPLYDRVFVPAARKHTGREKGISDLLRIGGGLATVGLAMAAAATVETKRASAARTTASILWQAPQFVLVGAGELLATIGQLDFFYSQAPPAMKTVCTALGLLAVAAGDYLSSVIVTAVSWATASGGRPGWIPDDLNEGHLDSFFWMTAGLGCLDLLAFTCCAKRYSKSRKAFHELSIDRIFAMASSEQQEHAVALLQPKVEEAYTTDGSLDIDGNPALKHRTGGWRACRSILGTEFCYCLAYYGIMYNLVTYLTTVLHQSNVAAAKNVSTWQATCFLTPLAGAVVADSYWGRYRTMVVGCCVAVAGMLMASLSALLPQLIESSSTLSMEIILFLGLYMIAFGVGGLRPCLISFGADQFDAGDPSELISKGSYFNWYIFTMNCGSVISTSGMVWVQDHYGWALGLAIPAMVLAVGLSCLVAASRAYRFQTTRGSPLTRVCQVVVAAVCKFNVAPPDDMSLLYELPDDASSMKVVERIEHTTDLRFFDKAAVVTASDEEAAGAAPRNPWRLCVVTQVEELKIFVRMLPLWACITFFYTGTAQGMAMDARVGSLRVPPASLATFELLTSMALIPLYDRAFVPAARRLTGREKGIPDLLRIGAGLTMAVLAMAAAALVETKRARAARMGMEKTSIVWQVPQYAVMGVGEMLASAGQLDFFYSQAPPAMKTVCMALGFLAVAAGVYLSSLVLTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVAFTSCAMRYKSRKDCARRCKPQDSGTHGGGPGIRRRNVSQLPPKWPQPTQSEQHGMEITTRRRNGLNPPKWALPRISGLAQGLRVGTG >ORUFI06G28750.8 pep chromosome:OR_W1943:6:26687322:26716569:1 gene:ORUFI06G28750 transcript:ORUFI06G28750.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEQQEHAAPLLQPEVEEAYTSDGSLDIDGNPALKHRTGGWRACRSILGAEFCYCLANNGIMYNLVTYLTTQLHQSNVAAAKNVSIWKATCFLTPLAGAVVADSYWGRYRTMVVACCVGVAVSLLRSPHFNQSLHPAMNSAQGMLMASLSALLPQLIESSSTLSMPSAQEFVLFLGLYMIAFGFDAGDTSERASKASLFNWYVFTMNCAAVISATGLVWVQGHYGWALGLGIPAMVLAVGLSCLVAASRTYRFQTTRGSPLTRVCQVAVAAVRKFNVAAPGDMALLYELPDDASSMKGVERIEHTTDLEFFDKAAVVTASDEEAPRNPWRLCMVTQVEELKILVRMLPVWACIAFFYTGTAQTKSTFVEQGMPMDAHVGALRVPPASLAAFQMLTTIVLIPLYDRVFVPAARKHTGREKGISDLLRIGGGLATVGLAMAAAATVETKRASAARTTASILWQAPQFVLVGAGELLATIGQLDFFYSQAPPAMKTVCTALGLLAVAAGDYLSSVIVTAVSWATASGGRPGWIPDDLNEGHLDSFFWMTAGLGCLDLLAFTCCAKRYSKSRKAFHELSIDRIFAMASSEQQEHAVALLQPKVEEAYTTDGSLDIDGNPALKHRTGGWRACRSILGTEFCQCLAYFGMTINLVTYLTTELHQSNVAAAKNVSTWQATCFLTPLAGAIVADSYWGKYHTMVVGCCIGVAVSHNQLPLQSSWYGIRLSCISSRGLD >ORUFI06G28750.9 pep chromosome:OR_W1943:6:26687322:26716569:1 gene:ORUFI06G28750 transcript:ORUFI06G28750.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEQQEHAAPLLQPEHRTGGWRACRSILGTEFCQCLAYFGMTINLVTYLTTELHQSNVAAAKNVSTWQATCFLTPLAGAIVADSYWGKYHTMVVGCCIGVAGLLMASLSALLPLLIKNISTLAMASAQEFVLFLGLYMIAFGVGGLRPCLMSFGADQFDAGDPSERNSKGSYFNWYLFAMNCASVISTTAMVWLQDHYGWALGLAIPAMVLAVGLSFLVAATPAYRFQRNRGSPFTRVCQVVVAAVRKFNVAPPVDVALLYEVPEDDCSMERGMAMDARVGSLRVPPASLATFELLTSMALIPLYDRAFVPAARRLTGREKGIPDLLRIGAGLTMAVLAMAAAALVETKRARAARMGMEKTSIVWQVPQYAVMGVGEMLASAGQLDFFYSQAPPAMKTVCMALGFLAVAAGVYLSSLVLTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVAFTSCAMRYKSRKDCARRCKPQDSGTHGGGPGIRRRNVSQLPPKWPQPTQSEQHGMEITTRRRNGLNPPKWALPRISGLAQGLRVGTG >ORUFI06G28760.1 pep chromosome:OR_W1943:6:26721989:26730380:-1 gene:ORUFI06G28760 transcript:ORUFI06G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHHPMLLFLGLAVLALQPLIAAASRRPPPSPLCPKKCGNVDIEYPFGIGPNCSLSDDFSLECVHDTPQLGLGTDRQQVVAVLDINLLHGKIRIANSISWQCKDENDPTGAPLKNSSWTGLDVTELPYRFSYEDNQFVTVGCNVLVLLSGEEASVDPILNVCMSTCFGNGSNIRNGYCSGAGCCEMAIPVGLKSYRLEFSGPLLFNNSWSPDNYTWCSHAVLMEAKSFSFERDYATTDKFFRNKNGTVPVVLNFAAGSEKCKEARMKDTYACVSDHSACVGTADGYVCNCTSGYKGNPYLPGGCTEGEHKSNKSGVLICEPDLKRSLPDLKFKRSYRLPVSAIIAIGVTGGIAIIVMSILSSYLVHQRRALADIKRSYFKRHGGLLLYEELNARKSNAFTIYTEEQLEHATNGFDESNVLGRGGHGTVYKGWVAAASDDLVVAIKRCKLMDERNKKEFGKEMLILSQVNHKNIVKLLGCCLEVDVPMLVYEYVPNGTLYQLIHGGSAGAISFASRLRIAHESAESLSYLHSFASPPILHGDVKTSNILLDESFMAKVSDFGASILAPTDEAQMMTMVQTCQLTEKSDVYSFGVVLLELLTDKKPLCLDGPDEGRSLSARFLAAMRENRADLILDEQVKSEASGELLEEITLLALECLQMCGGDRPAMKEVAERLGGLRKLHQHPWTQDVVELDEVRCLLSDSPESISFEVDATSSSGY >ORUFI06G28770.1 pep chromosome:OR_W1943:6:26732147:26732494:-1 gene:ORUFI06G28770 transcript:ORUFI06G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGGGGGGGGKGGGGGGGGGKGGGGGSGGGGRSGGGGGGGGKGGGEGGSGKQGGGYSGGHAGGGGGAGKSGGYHGGGGGDSMKAPGGDGSYISRSGFEYNPQGYFQGLHGDGK >ORUFI06G28780.1 pep chromosome:OR_W1943:6:26733342:26735200:-1 gene:ORUFI06G28780 transcript:ORUFI06G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVRSKKSKGSFCHPPLLLLIVAIQFLVIYSPTLDQYMVMLTTGKPGFPSMLIDGRRSFKQVDEFIPEPHLRCDFRDNRSDVCEMEGAIRILGRTSEVFLVAPSLASISGGGGGVNATGVDANATRWKIQPYTRKGESRVMPGITEVTVRLVTADEAPPCDEWHDVPAIVYSNGGYCGNYYHDFNDNIIPLFITSRHLAGEVQLLVTQKQRWWFGKYREIVEGLTKYEPVDLDAEQRVRCYRRATVGLHSHKDLSIDPRRAPNNYSMVDFKRFLMWRYALPREHAIRMEEEDNSKKPRLLVINRRSRRRFVNLDEIVAAAEGVGFEVAAAELDAHIPAAASAVNSYDAMVAVHGSGLTNLVFLPMNAVVIQVVPLGRMEGLAMDEYGVPPRDMNMRYLQYNITAEESTLSEVYPRAHPVFLDPLPIHKQSWSLVKDIYLGQQDVRLDVRRFRPVLLKALHLLR >ORUFI06G28790.1 pep chromosome:OR_W1943:6:26737875:26746850:1 gene:ORUFI06G28790 transcript:ORUFI06G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLWQEVARPFQRVGELGEVELHILLVQVTRVSHSSPPNGTTWMSTARLGRNTMLVRPAPWTPIITSAELTRRAKLGMSVWLSGSAMRTSNLASAAACAMARSLRKRRELLREMMRRRGLSRRGAPVRDATARSRRKVRRRRRLKSATVTPPGERAGSMPMARWNVAPMKIRGKQCTSWSLSTSMTSYRESCRNSGVNLSSHQSLIPLSKNCTSPPKWLVLVKSGTSTLPAKPPLEKRNAGTEWLRVQSGGTAVWLAPPKGTSVNSRTSSMATASWRRAYGLILEWLPSTRMSPTAAHRSDRLLVT >ORUFI06G28790.2 pep chromosome:OR_W1943:6:26737875:26746850:1 gene:ORUFI06G28790 transcript:ORUFI06G28790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLWQEVARPFQRVGELGEVELHILLVQVTRVSHSSPPNGTTWMSTARLGRNTMLVRPAPWTPIITSAELTRRAKLGMSVWLSGSAMRTSNLASAAACAMARSLRKRRELLREMMRRRGLSRRGAPVRDATARSRRKVRRRRRLKSATVTPPGERAGSMPMARWNVAPMKIRGKQCTSWSLSTSMTSYRESCRNSGVNLSSHQSLIPLSKNCTSPPKWLVLVKSGTSTLPAKPPLEKRNAGTEWLRVQSGGTAVWLAPPKGTSVNSRTSSMATASWRRAYGLILEWLPSTRMSPTAAHRSDRLLVT >ORUFI06G28790.3 pep chromosome:OR_W1943:6:26737875:26746850:1 gene:ORUFI06G28790 transcript:ORUFI06G28790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGEVEAAAEAAPLLVPHDPQPAVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTFCGQSYGAKQYDMLGTHAQRAIFVLMLMGVPLAFVLAFAGQILIALGQNPEISSEAGLYAVWLIPGLFAYGLLQCLTKFLQTQNIVHPLVVCSGATLVIHILLCWVMVHCFDLGNRGAALSISLSYWFNVILLAIYVKVSEVGRRSWPGWSREALKLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGARNPQAARLSVFVSGIMCLTEGILVAIITVLVRDIWGYLYSNEEEVVKYVAAMMPILALSDFMDGIQCTLSGAARGCGWQKVCSVINLCSYYTIGIPSAVTFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWNEEAEKARARVQGSDGRITLA >ORUFI06G28790.4 pep chromosome:OR_W1943:6:26738535:26746850:1 gene:ORUFI06G28790 transcript:ORUFI06G28790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALDTFCGQSYGAKQYDMLGTHAQRAIFVLMLMGVPLAFVLAFAGQILIALGQNPEISSEAGLYAVWLIPGLFAYGLLQCLTKFLQTQNIVHPLVVCSGATLVIHILLCWVMVHCFDLGNRGAALSISLSYWFNVILLAIYVKVSEVGRRSWPGWSREALKLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGARNPQAARLSVFVSGIMCLTEGILVAIITVLVRDIWGYLYSNEEEVVKYVAAMMPILALSDFMDGIQCTLSGAARGCGWQKVCSVINLCSYYTIGIPSAVTFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWNEEAEKARARVQGSDGRITLA >ORUFI06G28790.5 pep chromosome:OR_W1943:6:26737875:26748093:1 gene:ORUFI06G28790 transcript:ORUFI06G28790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGEVEAAAEAAPLLVPHDPQPAVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTFCGQSYGAKQYDMLGTHAQRAIFVLMLMGVPLAFVLAFAGQILIALGQNPEISSEAGLYAVWLIPGLFAYGLLQCLTKFLQTQNIVHPLVVCSGATLVIHILLCWVMVHCFDLGNRGAALSISLSYWFNVILLAIYVKVSEVGRRSWPGWSREALKLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGARNPQAARLSVFVSGIMCLTEGILVAIITVLVRDIWGYLYSNEEEVVKYVAAMMPILALSDFMDGIQCTLSGAARGCGWQKVCSVINLCSYYTIGIPSAVTFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWNEELNDPTVKGEEATEIWLSELKTAKILEEHYWWWQK >ORUFI06G28790.6 pep chromosome:OR_W1943:6:26737875:26745998:1 gene:ORUFI06G28790 transcript:ORUFI06G28790.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGEVEAAAEAAPLLVPHDPQPAVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTFCGQSYGAKQYDMLGTHAQRAIFVLMLMGVPLAFVLAFAGQILIALGQNPEISSEAGLYAVWLIPGLFAYGLLQCLTKFLQTQNIVHPLVVCSGATLVIHILLCWVMVHCFDLGNRGAALSISLSYWFNVILLAIYVKVSEVGRRSWPGWSREALKLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGARNPQAARLSVFVSGIMCLTEGILVAIITVLVRDIWGYLYSNEEEVVKYVAAMMPILALSDFMDGIQCTLSGAARGCGWQKVCSVINLCSYYTIGIPSAVTFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWNEELNDPTVKGEEATEIWLSELKTAKILEEHYWWWQK >ORUFI06G28790.7 pep chromosome:OR_W1943:6:26737875:26745998:1 gene:ORUFI06G28790 transcript:ORUFI06G28790.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGEVEAAAEAAPLLVPHDPQPAVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTFCGQSYGAKQYDMLGTHAQRAIFVLMLMGVPLAFVLAFAGQILIALGQNPEISSEAGLYAVWLIPGLFAYGLLQCLTKFLQTQNIVHPLVVCSGATLYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGARNPQAARLSVFVSGIMCLTEGILVAIITVLVRDIWGYLYSNEEEVVKYVAAMMPILALSDFMDGIQCTLSGAARGCGWQKVCSVINLCSYYTIGIPSAVTFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWNEELNDPTVKGEEATEIWLSELKTAKILEEHYWWWQK >ORUFI06G28790.8 pep chromosome:OR_W1943:6:26737875:26748093:1 gene:ORUFI06G28790 transcript:ORUFI06G28790.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGEVEAAAEAAPLLVPHDPQPAVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTFCGQSYGAKQYDMLGTHAQRAIFVLMLMGVPLAFVLAFAGQILIALGQNPEISSEAGLYAVWLIPGLFAYGLLQCLTKFLQTQNIVHPLVVCSGATLVIHILLCWVMVHCFDLGNRGAALSISLSYWFNVILLAIYVKVSEVGRRSWPGWSREALKLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGARNPQAARLSVFVSGIMCLTEGILVAIITVLVRDIWGYLYSNEEEVVKYVAAMMPILALSDFMDGIQCTLSGAARGCGWQKVCSVINLCSYYTIGIPSAVTFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWNEEVVRIKDCQDLGRTLLVVAKVNCSSLPTNK >ORUFI06G28800.1 pep chromosome:OR_W1943:6:26745716:26747207:-1 gene:ORUFI06G28800 transcript:ORUFI06G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHHVARGRAEPRRMGNAAMVITMLLSLCVLTYIKARYCSTPFPKAAEEMEVVEIDEDYDSTRYKMTGPIGEEDFDPTRPTCYVTSKRSERCAAVGDIRVDGNHSKIYINPLDKEWRTKPYARLHDAVAMDDVREFTLVPFGGANHTAVPPLCTRNHSVPAFLFSSGGFAGNLYHDYTDVLVPLFTSTNHFGGEVQFLLSGIKDWWLDKFTPLFRQLSRYDVIDVDNDQEVHCFPRIFIGATFHRAMGIDPARSPGGVTVADFKRLLRRTFRLERAVASRTGAPRRDKPRLLIISRKSSRRFLNERAMAHAAALARFDVRIAEPDNHTDMPNFARLVNSADVMMGVHGAGLTNMVFLPSRAVLIQVVPFGGLEWLTRVTFKDPAKDMDVNYMEYNVSFDESSLRELYPRDHFYIQHPYDVHKKGWDAIKTVYLDKQNVELNLTKLTNTLERARDFLPEP >ORUFI06G28810.1 pep chromosome:OR_W1943:6:26752318:26753736:-1 gene:ORUFI06G28810 transcript:ORUFI06G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKLVGAAVRRPGWADLPRDLLESVLGRLPVPDRLRFPGVCTAWQSADAASATARFRAAQPPWLMLPFNPTARRQSPSGGGGGDGRFLEARFLSLSDGRAYAIPQPAPPVSERLCVGSSDGWLVTADAASELHLLNPLTGAQVQLPSVTTLPFVDASRDADGRVASYDLRCCFGDGDNDGDEVLVPPESFAPDRLRYELYEKAILVAPPRRQTTPPGSWGGYAVLLICQPLYRLSIARAGDTKWTLLDMPSRCWVDAVRAASAPAADGHQAVYTLDSVGRVEAWDMDVTAAGTTPPPPREIAPPCCCSGRACSMSIPCSKYLVELSPGHLLQVHRLRDKAHARSKWEPRQERVEYTTVKAELFEWNAAGGGHGEWARVDGAGAGILAGRALFLGKSASLCVPADCCPEVKGNCVYFTDDGPWSHERCHEVVPDVGVLDLADGSYKVPRGAVRDLLWKWPPPVWVFPSCTN >ORUFI06G28820.1 pep chromosome:OR_W1943:6:26758177:26762674:-1 gene:ORUFI06G28820 transcript:ORUFI06G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAVNAARWVVGKALSPLSGGFVEAWAATTELGPNVDAIKMELLYAQGMLHNARSRETSNPALQQLLLKLRGLAYDAEDVLDELDYFRIQDELDGTYEAAEEHAKGCLYGLVLNTRRTVRNIKKKACSCGDNGEASRHTNDGEALAGSSCIHKLFSNARERSQFLCCAYPCKASHIEHTMKTPKLKFDRVDLSTRMKHIVEQLKPVCAKVSTILNLELLESNRNIGLMSLNAALSRMPGQAPFLPSSVAMSRPVTTSEFIDPKFHGRMSEINKIIGITRGDYCGKDLTIIPIVGSGGIGKTTLTQHIYKEVQNHFDVKVWVCVSLNFNVYRLKEEIAKLMPELKDEKSGGPDDLIEQRLKSKRFLLVLDDMWNCGNEDEWRRLLAPLRKAQSTGNIILVTTRFLAVAEMVKTIYHSIQLEGLESEVFWELFQACVFGDEKSIGNHADLLVTGKKIAEKLKGSPLAAKTVGRLLRNHLDLEHWTSVLESREWELQTGENDIMPALKLSYDYLPFHLQHCFTYCALFPEDYRFESDEMIHLWIGLDILQSRNQNKKVEEIGLSYLNDLVNYGFFRKDMNKNGSPYYEYLAISSSSVRSVQIPPSVRHLSIVIDDTDVNHRVTFENVKKDFSTLHKRLDVEKLQSLMLFGQYHGSFVIPFGNLLSKAKALRVILMFNASYDMENMLRNFSKLVHLRYLRIVKGYFQELSLSNIISRFYHLRILDVRQCSGHFNLPSDMSNLIKLRHILDPNDSFHSAIANVGKLKCLQELKRFEVKGQAEAFALRQIGQLEELKGSLCIYNLENAQTGEEANLLNKRHLHKLELLGIPQLAKWVGNDASHVFSLLEVFIIRDCPELMELPFSHSTCPRSGQEMNLTQFPTLRELEVVNCPKLSSFPHIPWTSSPCRVLIDEVGSDFQRLDYSKNNQSEFCLKVVGKDGHLDMSFWNVLAFSNLTELEVLYLKKCPPLPLKHLLVLSCLRSPTIHDSSNVLLNVEAENTVGYQFQIEGLSIDDCSCSGKELTLLLSLFPKLSMFSLQGCGKIRVLGVAKEQTMAMSALSSSPSGHKLEDAHIGQEQEQEQLRGEDEKAAADAGLLLLPHQLQDLYIENISKLILQFDSLVDGMAGGLRSIGGGLQGLHFLRTLTIRGCPNFLSSYYSSSSSCFPFPSSLQYLHLDGVGGMETLAPLSNLSSLTRLTIRKCMDLRGEDLSSLLAHGQLTNLNIFETPKFFVGCGSDSLRLQCLQTDDITKVLAAPICSLLASSLTSLTISWNDEVERFTKEQSAALLLLSSLQDLEFWYCSELQSLPTGLHRLTSLKRLKIWSCPAIRSLPKGGLPSSLEVLDVRSSNNEELKRQCRNLRGTIPIIKDRRYY >ORUFI06G28830.1 pep chromosome:OR_W1943:6:26762832:26776911:-1 gene:ORUFI06G28830 transcript:ORUFI06G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAVNAARWVVGKALSPLSGGFVEAWAATTELGPNVDAIKMELLYAQGMLHNARSRETSNPALQQLLLKLRGLAYDAEDVLDELDYFRIQDELDGTYEAAWGTVAMSAAKWVVSKALSPLSGGFVEAWAASTELGPNVGAIKMELLYAQGMLHNTRGRETSNPALQQLLLELRGLAYDADDVLDELDYFRIQDELDGTYEAADEHASGCLLGLVLNAHHTARNIKRRYLSASCSCGGDEEASRHANEEEAVAGSGCMRILACSAQSTIHLVGKHLPCFPFAPGLDNCDDSGALHISTMKTPKLKFDRVDLSTRMKHIVEQLKPVCAKVSTILNLELLESCIAISINAEFSRKPGQTLVHPSNVAMNRPVTTSGIIDPEFYGRKGDRSRIIEDITRGDYCDKDLTVIPIVGPGGIGKTTLTQHIYKEVHNHFDVKVWVCVSLNFNLYRLKEEISKWIPKLKDDEKYVDMDDSIEQRLKSKKLLLVLDDMWKCDNEDEWKKFLAPLRKAMTKGNIILVTTRFPVVAEMVKTFDRPIQLEGLEPEEFWELFQACVFGDDKSIDDHANLLETGEKIVKKLKGSPLAAKTVGRLLRNHLDLDDWMRVLESKEWESQTSENDIMPALKLSYDYLSFHLQQCFTYCALFPEDYKFDSEEMIHLWIGQGILHSQDENKTIEDIGLRYLNDLVNYGFFIKDVNEDGSPYYTMHDLLHELALKVSSYEYLSISNTKVMSMQIPPSIRHLSIVIDDMDVNDRATFENIKKDFGTLSKRLDGYFPELSLSNIISRFYHLRILDVRQCSGHFGLPRDMNHLVRMQHFLVPNDNLHSEIASVGKLKCLQELRRFEVQRQVEAFALRQLGQLEDLKGSLGIYNLENAQAPEEAELSNKSRLHKLILDCDIKRLTKDSLQEEHILESLKPHSNLRELHINGHGGTTCPSWLGVNLSIKGLQTLRLDGVDWNKFPPLGKLLLINEHGEESLVLIIRDCPELTELPFSHSTCPRSEQEMNLTQLRTLKELEIVNCPKLSSFPPIPWRGSPCRALIEGVGLDFQQLDYSKNKQYELCMLVKGKDEHLDGAFWRRLVFGNLTDLKELDLKKCPPLPLEYLLMLSCLRRLTISDSSNVMSHLESENTVSYQFPIEKLEIFECGCSGKELTLFLSHFPKLSMFISRRCNKIRGLGVLGKQMTTMLASSSSPCGNKLEDARFGLEQQQPRGEDEKVSAEAGLLLLPHQLQELNISEIPELILQIHSLVDGMEGGLRGIGGGLQGLHSLRAFSIWGCPNFLSSYYSTSLSCFPFPSSLQKMYLSDVRGMETLAPLSNLSSLTRLTIWKCMDLSGEGLSSLLDHGQLTDLRIQMTPKFFVRSDPSQLQQLQTDDIARVLAPPICSLISSSLTKLTIGFNHEVERITKEQSEALLLLSSLQDLEFYSCRKLQSLPTGLHRLVGLKILEIDWCPAICSRLYVHMFRDESIYRVELSLLSCSLPKGGLPSSLQELDVCRCENEELKRQCRKLRGTIPIIKDREIKVQPKLPQG >ORUFI06G28840.1 pep chromosome:OR_W1943:6:26777208:26798835:-1 gene:ORUFI06G28840 transcript:ORUFI06G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAVEAARWMVGKALSPLSGGLVEAWLACSELGTNVGAVKLELLYAQVMLDNARGRETHSPALKQLLLQLRGLAYDAEDVLDELDYFRIQDELDGTDEAADEHTRGCLHGLLLNTRHTARNIKKRYLSACCSGGGDEKAVAGSDLSLAGEHDADDDCTDEDDNDTGSTDIDHSSTATHMPRKEKQWGSQREDTMKTPKLKFDRVDLSTRTKHISEQLKLVCAKVSTILNLELPESNRTIRSSIAMHRPVTTSATIEPEFYGRKGEKDRIIKDITHGDCCVKDLTVIPITGPGGIGKTALTQQIYKAVKNLFDVNVWVCISLNFNAYRLKQEIADSIPKVENEQLGDLDDLIERRLKSKKILLVLDDMWNCSNEDDWKRLLAPLRNAQTKGNVILVTTRFPAVAEIVQKTYRPIQLEGLEFEELWELFQAYVFGDEKLINHHAILQQTGEMIAKKLKGSPLAAKTVGRLLRNHLDFNHWTSVLESKEWELQTGDNDIMPALKLSYDYLPFHLQQCFIYCALFPEDYKFDSDELIHLWIGLDILQSHQDQNKRTEDIALSCLNHLVDFGFFKKNVNEDGSPYYSMHDLLHELALKVSSCECLAVSSSNVRFVQIPPSIRHLSIVIDDMDVNDRVTFESIKTDFSTLSKRLDVEKLHSFMLFGRFHGSFISPLGDLLSNAKSLRVILLSTPSYAVENMLHNFSNLVHLRYLRIIRGYFPEIRLPNTISRFYHLRILDVRKCNGHFGLPRDIDNLVRLRHFLVPDDNLHSDVANVGKLKCLQELRRFKVKRQSEPFALRQLGQLELNGTLGIYNLENAQAADEAKLLNKSHLHKLILHWSTKDCSQDEHILESLKPHNNLQELQIEGHGGATCPSWLGFNLSIKGLQSLSLHGLDWNKFPPIGELWLVNQHSEKSLSCIEGQSFWNLKRLELVGIPRLEKWTGNDASRVFSQLEVFIVRDCPELIELPYSKMDSTQFPTLKELEIVKCPTLSSLPPVPWTNSPCRALIEEVRSDFQHLKYSNLYQSKSHLWVAGKKGHLNCAFSKVLASSNLTEMKYLILTKCPFLPLEHLQMLSCLKKLEINGSSSSLLMVEGGSAVRYQFPVKKLVIRKCGASGKELTLLLSHFPKLSDLTMYRLEKIAMLGVAEQQITATSASSPSLSGNKLENARFGQEQQQPRGEDEKAAASSGLLLLPTQLQELFISCCSKLILHHDSLGENMEGRLRGIEGGLQGLRSLVSLTIIDCPDFFSSYSSSSSSFPFPSSLKYLSIDRVSGMETLSLLSNLSSLTNLGIECGDLRGEDLCSLLAQGQLTRLRVNKNPKFFVGINPSSLQHLVTDDIAGVLVVPICRLLSPSLTKLTIFCNNEVKRFTKEQNMALEHLSSLQELSFSFCRLQFLPSVLHRLVSLKRLEISCSEFISSLPKSGLPSSLEILDVSGGSEELKRQCRKLRGTIPIIKDSDWDLIVVYELIELSCGYTLVRRGSFSRRMAVDGDAVRRRPPQPPPRSGGSRRDASTGFAESALRVPGSNVRNSRIHPAFEAVTFQPLLPYTSATACFSSLTQLASGAGIRAMESVAVNAARWVVGKALSPLSGGLVEAWAASTELGPNIGAIKTELLYAQGMLHNARGRETSNPALQQLLLELRGLAYNAEDVLDELDYFRIQDELDGTYEAAEEHAKGCLQGLVLNTRHTVRNIKKKACSCGDNGEESRHANDEEALAGSGCIHKLFSNARERSRFLCCAYPCKALHIEHTTKTPKLKFDRVDLSTRMKHIVEQLKPVCAKVATILNLELLESNRSIGQCIAMSLNSEFSGKMGHAVVLPSSIAMNRPVTTSDFIEPKFYGREGEKSTIINDVIEGDYCDVDLTVIPIVGPGGIGKTTLTQQIYKEVQNHFDVNIWVCVSLNFNVYRLKEEIAKSIPKVNEENSGWPDDLIEQRLKSKRFLLVLDDIWNLVYEDEWKQLLAPLKKSQSKGNIIVVTTRFPAVAEMVKTTNCSIQLEGLEPKMFWELFKAYAFGDEKTVNDHGNLQETGKMIAKKLKGSPLAAKTVGRLLRKHLDIDHWTGILDSKEWELQTGKNDIMPALKLSYDYLPFHLQQCFTYCALFPEDYIFDSEQLIHLWIGLDILHSHDQNTRTEDIGLNYLNDLVSYGFFKKDEQNDGSPYYVMPDLLHELALKVSSYECLAISSSNVRSIQVPPSIRHLSIVIDDVDVNDRVTFENIKKDFSTLHKQLDIEKLHSVMLFGQYHGSFVIPLGNLLSKAKALRVVLLYAPSYVVENMLHNFSNLIHLRYLRINKGYFPEMSLPNTISRFYRLRILDLQQCMGHFGLPRDMNNLVRLRHFLVPYDNLHSDITSVGKLKCLQELRRFEVKRQVEAFALRQLGQLEDLKGSLGIYNLENVKAAKEAELLNKSRLHKLILDWDIKRSTKDPSQEVHILENLKPHSNLLELHINGHGGATCPSWLGVNLSMKGLKSLRLNCVDWNNFPPIGELSLVNEHGHKSLDCTTDRSFPNLKRLELVAIPRLSKWAGNDACHVFSLLEVLIVRDCPELMELPFSHPTTCSRPEQGTNLTQFPTLKKLEIVNCQKLSSLPPIPWTSCPCHAYIEEVGSDFQQLDYSTNNQSELCLLVKGKDDNLDSAFWRLLVFSNLTELKELTLTKCPPLPLEHLQSLSSLRMLCMQDLSNVLLQDKAENTVSYQFPVEQLRIFNCSCSGKELTLLSSHFPKLSMFVIRGCENIRGLGVAKQGMTAMSASSLPSAGSKLEDECLGQEQQEPGEEDEKAAADGGLLLLPQQLQYLTIGEMSELALVFDTAGGLRGVGEGLQGLHSIKNLNIWNCPNFLSSYSSSSHHSPFPSSLQELFLSYMSGMNTLSPLSNLNSLAKLAIWDFGDLRADGLSSLIAHGQLKELDVRRSPNFFVGSDLSLLLQLKTDDITWLLIAPVCNILASSLTELTIGWNDEVEHFTKGQNAALLLLSSLQDLRFWCYSKLRFLPTGLHRLTRLKRLEIALCPAIRLLPKGGLPRSLKVLDVSESKNEELKRQCRKLRGTIPIIQDRNCSVNTENLGGWAVGEGLRSRRRPCSGNEHTRLHTIAAAAPARYV >ORUFI06G28840.2 pep chromosome:OR_W1943:6:26777208:26798835:-1 gene:ORUFI06G28840 transcript:ORUFI06G28840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAVEAARWMVGKALSPLSGGLVEAWLACSELGTNVGAVKLELLYAQVMLDNARGRETHSPALKQLLLQLRGLAYDAEDVLDELDYFRIQDELDGTDEAADEHTRGCLHGLLLNTRHTARNIKKRYLSACCSGGGDEKAVAGSDLSLAGEHDADDDCTDEDDNDTGSTDIDHSSTATHMPRKEKQWGSQREDTMKTPKLKFDRVDLSTRTKHISEQLKLVCAKVSTILNLELPESNRTIRSSIAMHRPVTTSATIEPEFYGRKGEKDRIIKDITHGDCCVKDLTVIPITGPGGIGKTALTQQIYKAVKNLFDVNVWVCISLNFNAYRLKQEIADSIPKVENEQLGDLDDLIERRLKSKKILLVLDDMWNCSNEDDWKRLLAPLRNAQTKGNVILVTTRFPAVAEIVQKTYRPIQLEGLEFEELWELFQAYVFGDEKLINHHAILQQTGEMIAKKLKGSPLAAKTVGRLLRNHLDFNHWTSVLESKEWELQTGDNDIMPALKLSYDYLPFHLQQCFIYCALFPEDYKFDSDELIHLWIGLDILQSHQDQNKRTEDIALSCLNHLVDFGFFKKNVNEDGSPYYSMHDLLHELALKVSSCECLAVSSSNVRFVQIPPSIRHLSIVIDDMDVNDRVTFESIKTDFSTLSKRLDVEKLHSFMLFGRFHGSFISPLGDLLSNAKSLRVILLSTPSYAVENMLHNFSNLVHLRYLRIIRGYFPEIRLPNTISRFYHLRILDVRKCNGHFGLPRDIDNLVRLRHFLVPDDNLHSDVANVGKLKCLQELRRFKVKRQSEPFALRQLGQLELNGTLGIYNLENAQAADEAKLLNKSHLHKLILHWSTKDCSQDEHILESLKPHNNLQELQIEGHGGATCPSWLGFNLSIKGLQSLSLHGLDWNKFPPIGELWLVNQHSEKSLSCIEGQSFWNLKRLELVGIPRLEKWTGNDASRVFSQLEVFIVRDCPELIELPYSKMDSTQFPTLKELEIVKCPTLSSLPPVPWTNSPCRALIEEVRSDFQHLKYSNLYQSKSHLWVAGKKGHLNCAFSKVLASSNLTEMKYLILTKCPFLPLEHLQMLSCLKKLEINGSSSSLLMVEGGSAVRYQFPVKKLVIRKCGASGKELTLLLSHFPKLSDLTMYRLEKIAMLGVAEQQITATSASSPSLSGNKLENARFGQEQQQPRGEDEKAAASSGLLLLPTQLQELFISCCSKLILHHDSLGENMEGRLRGIEGGLQGLRSLVSLTIIDCPDFFSSYSSSSSSFPFPSSLKYLSIDRVSGMETLSLLSNLSSLTNLGIECGDLRGEDLCSLLAQGQLTRLRVNKNPKFFVGINPSSLQHLVTDDIAGVLVVPICRLLSPSLTKLTIFCNNEVKRFTKEQNMALEHLSSLQELSFSFCRLQFLPSVLHRLVSLKRLEISCSEFISSLPKSGLPSSLEILDVSGGSEELKRQCRKLRGTIPIIKDSDWDLIVAVACHSYNNKQSPRNKRRGSFSRRMAVDGDAVRRRPPQPPPRSGGSRRDASTGFAESALRVPGSNVRNSRIHPAFEAVTFQPLLPYTSATACFSSLTQLASGAGIRAMESVAVNAARWVVGKALSPLSGGLVEAWAASTELGPNIGAIKTELLYAQGMLHNARGRETSNPALQQLLLELRGLAYNAEDVLDELDYFRIQDELDGTYEAAEEHAKGCLQGLVLNTRHTVRNIKKKACSCGDNGEESRHANDEEALAGSGCIHKLFSNARERSRFLCCAYPCKALHIEHTTKTPKLKFDRVDLSTRMKHIVEQLKPVCAKVATILNLELLESNRSIGQCIAMSLNSEFSGKMGHAVVLPSSIAMNRPVTTSDFIEPKFYGREGEKSTIINDVIEGDYCDVDLTVIPIVGPGGIGKTTLTQQIYKEVQNHFDVNIWVCVSLNFNVYRLKEEIAKSIPKVNEENSGWPDDLIEQRLKSKRFLLVLDDIWNLVYEDEWKQLLAPLKKSQSKGNIIVVTTRFPAVAEMVKTTNCSIQLEGLEPKMFWELFKAYAFGDEKTVNDHGNLQETGKMIAKKLKGSPLAAKTVGRLLRKHLDIDHWTGILDSKEWELQTGKNDIMPALKLSYDYLPFHLQQCFTYCALFPEDYIFDSEQLIHLWIGLDILHSHDQNTRTEDIGLNYLNDLVSYGFFKKDEQNDGSPYYVMPDLLHELALKVSSYECLAISSSNVRSIQVPPSIRHLSIVIDDVDVNDRVTFENIKKDFSTLHKQLDIEKLHSVMLFGQYHGSFVIPLGNLLSKAKALRVVLLYAPSYVVENMLHNFSNLIHLRYLRINKGYFPEMSLPNTISRFYRLRILDLQQCMGHFGLPRDMNNLVRLRHFLVPYDNLHSDITSVGKLKCLQELRRFEVKRQVEAFALRQLGQLEDLKGSLGIYNLENVKAAKEAELLNKSRLHKLILDWDIKRSTKDPSQEVHILENLKPHSNLLELHINGHGGATCPSWLGVNLSMKGLKSLRLNCVDWNNFPPIGELSLVNEHGHKSLDCTTDRSFPNLKRLELVAIPRLSKWAGNDACHVFSLLEVLIVRDCPELMELPFSHPTTCSRPEQGTNLTQFPTLKKLEIVNCQKLSSLPPIPWTSCPCHAYIEEVGSDFQQLDYSTNNQSELCLLVKGKDDNLDSAFWRLLVFSNLTELKELTLTKCPPLPLEHLQSLSSLRMLCMQDLSNVLLQDKAENTVSYQFPVEQLRIFNCSCSGKELTLLSSHFPKLSMFVIRGCENIRGLGVAKQGMTAMSASSLPSAGSKLEDECLGQEQQEPGEEDEKAAADGGLLLLPQQLQYLTIGEMSELALVFDTAGGLRGVGEGLQGLHSIKNLNIWNCPNFLSSYSSSSHHSPFPSSLQELFLSYMSGMNTLSPLSNLNSLAKLAIWDFGDLRADGLSSLIAHGQLKELDVRRSPNFFVGSDLSLLLQLKTDDITWLLIAPVCNILASSLTELTIGWNDEVEHFTKGQNAALLLLSSLQDLRFWCYSKLRFLPTGLHRLTRLKRLEIALCPAIRLLPKGGLPRSLKVLDVSESKNEELKRQCRKLRGTIPIIQDRNCSVNTENLGGWAVGEGLRSRRRPCSGNEHTRLHTIAAAAPARYV >ORUFI06G28850.1 pep chromosome:OR_W1943:6:26803029:26810066:1 gene:ORUFI06G28850 transcript:ORUFI06G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGTLVDGFRRLFHRRTASGSNQSSNAGEEAASSDLEVADDPDLVALRSIRIRVPKRKMPLPVESHKKNTVEMEFFTEYGEASQYQIQEVIGKGSYGVVAAAVDTRTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFQDIYVVFELMESDLHQVIRANDDLTLEHYQFFLYQLLRALKYIHAANVFHRDLKPKNILANSDCKLKICDFGLARASFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGRPLFPGKNVVHQLDIITDLLGTPSSETLSRIRNEKARRYLSTMRKKHAVPFSQKFRNTDPLALRLLERLLAFDPKDRPSAEEALADPYFASLANVEREPSRHPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYMKGGEQISFLYPSGVDRFKRQFAHLEENYSKGERGSPLQRKHASLPRERVGVSKDGYNQQNTNDQERSADSVARTTVSPPMSQDAHQHGSAGQNGVTSTDLSSRSYLKSASISASKCVAVKDNKEPEDDYISEEMEGSVDGLSEQVSRMHS >ORUFI06G28860.1 pep chromosome:OR_W1943:6:26809499:26813785:-1 gene:ORUFI06G28860 transcript:ORUFI06G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVELADRAAARPSETGEAPPSSPAAAAAASAAAEDAPLLPGGGGGVRRRVVVSERFRQRSGSFRREVRRAAEETYLLTRLTLILLRYLGIGYRWIRQFLALCCYTFLLMPGFIQVVYYYFFSSQVCRSVVYGEQPRNRLDLYIPTDRTGLKPVVAFVTGGAWIIGYKGWGALLGRRLAERGILVACIDYRNFPQGTIGDMVEDASQGIAFVCNNIASYGGDPERIYLVGQSAGAHIAACTLLHQAIKESGEGDASTWSIAQLKAYFGISGGYNLLNLVDHFHKRGLYRSIFLSIMEGEESLQKFSPLVMVKDPAARSAVSLLPRIFLFHGTSDYSIPSAESEAFFDALQQNGAKADLFLYDGKTHTDLFLQDPLRGGRDKLLEEIVTVIHNDNPDTSAQHLAVPVARRLVPEFMLMLAGRVSPF >ORUFI06G28870.1 pep chromosome:OR_W1943:6:26814451:26820744:1 gene:ORUFI06G28870 transcript:ORUFI06G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPSTASPTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTVSVKRSPEPAGSSPLRISVSDTGVGSKLEEFLELDALARETPVEKWDGTLLITTTGIDDKAIYRYQFNLQEDTSSSTRFTKLVTTGTEVCLCLPTEADVDDLILWLVGFVRKIFVLRASNLACELFVAQTDSAGSGDVCLSQDSDDVHISITTSSIDRLVSGLKDYALSHANTSDRCEACYMNRDRLKIGTGTAKYVDKRKAKGQLVEVVIMLAPTSSDLSCWMTNCSSTQVLHFVEFIPCPISQSSLSALMSIDWQSYGFKFKGATAVDEWKSSQPERHLLRKALKSALFGLKADHAEDFLSCHGQKVREYVPDLAESIAGLILSSNDQEFQDECIALLGLGSDQDLTEGAVRSCISEKMNRIVEMNDTKENVEHNAPYLFECERFDEDYSLLDEDDPDEDMIFDF >ORUFI06G28870.10 pep chromosome:OR_W1943:6:26819046:26820744:1 gene:ORUFI06G28870 transcript:ORUFI06G28870.10 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEMLSFNGITWHFLMSILPFTLTTKDIQLLFCACFLFSSDATAVDEWKSSQPERHLLRKALKSALFGLKADHAEDFLSCHGQKVREYVPDLAESIAGLILSSNDQEFQDECIALLGLGSDQDLTEGAVRSCISEKMNRIVEMNDTKENVEHNAPYLFECERFDEDYSLLDEDDPDEDMIFDF >ORUFI06G28870.2 pep chromosome:OR_W1943:6:26814451:26820744:1 gene:ORUFI06G28870 transcript:ORUFI06G28870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPSTASPTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTVSVKRSPEPAGSSPLRISVSDTGVGSKLEEFLELDALARETPVEKWDGTLLITTTGIDDKAIYRYQFNLQEDTSSSTRFTKLVTTGTEVCLCLPTEADVDDLILWLVGFVRKIFVLRASNLACELFVAQTDSAGSGDVCLSQDSDDVHISITTSSIDRLVSGLKDYALSHANTSDRCEACYMNRDRLKIGTGTAKYVDKRKAKGQLVEVVIMLAPTSSDLSCWMTNCSSTQVLHFVEFIPCPISQSSLSALMSIDWQSYATAVDEWKSSQPERHLLRKALKSALFGLKADHAEDFLSCHGQKVREYVPDLAESIAGLILSSNDQEFQDECIALLGLGSDQDLTEGAVRSCISEKMNRIVEMNDTKENVEHNAPYLFECERFDEDYSLLDEDDPDEDMIFDF >ORUFI06G28870.3 pep chromosome:OR_W1943:6:26814451:26820744:1 gene:ORUFI06G28870 transcript:ORUFI06G28870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPSTASPTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTVSVKRSPEPAGSSPLRISVSDTGVGSKLEEFLELDALARETPVEKWDGTLLITTTGIDDKAIYRYQFNLQEDTSSSTRFTKLIFVLRASNLACELFVAQTDSAGSGDVCLSQDSDDVHISITTSSIDRLVSGLKDYALSHANTSDRCEACYMNRDRLKIGTGTAKYVDKRKAKGQLVEVVIMLAPTSSDLSCWMTNCSSTQVLHFVEFIPCPISQSSLSALMSIDWQSYGFKFKGGFIDDDGNAELQWDNMAFSHVDIAIHTYHEGYPAFSSQPERHLLRKALKSALFGLKADHAEDFLSCHGQKVREYVPDLAESIAGLILSSNDQEFQDECIALLGLGSDQDLTEGAVRSCISEKMNRIVEMNDTKENVEHNAPYLFECERFDEDYSLLDEDDPDEDMIFDF >ORUFI06G28870.4 pep chromosome:OR_W1943:6:26814451:26820744:1 gene:ORUFI06G28870 transcript:ORUFI06G28870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPSTASPTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTVSVKRSPEPAGSSPLRISVSDTGVGSKLEEFLELDALARETPVEKWDGTLLITTTGIDDKAIYRYQFNLQEDTSSSTRFTKLIFVLRASNLACELFVAQTDSAGSGDVCLSQDSDDVHISITTSSIDRLVSGLKDYALSHANTSDRCEACYMNRDRLKIGTGTAKYVDKRKAKGQLVEVVIMLAPTSSDLSCWMTNCSSTQVLHFVEFIPCPISQSSLSALMSIDWQSYGFKFKGATAVDEWKSSQPERHLLRKALKSALFGLKADHAEDFLSCHGQKVREYVPDLAESIAGLILSSNDQEFQDECIALLGLGSDQDLTEGAVRSCISEKMNRIVEMNDTKENVEHNAPYLFECERFDEDYSLLDEDDPDEDMIFDF >ORUFI06G28870.5 pep chromosome:OR_W1943:6:26814451:26820744:1 gene:ORUFI06G28870 transcript:ORUFI06G28870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPSTASPTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTVSVKRSPEPAGSSPLRISVSDTGVGSKLEEFLELDALARETPVEKWDGTLLITTTGIDDKAIYRYQFNLQEDTSSSTRFTKLIFVLRASNLACELFVAQTDSAGSGDVCLSQDSDDVHISITTSSIDRLVSGLKDYALSHANTSDRCEACYMNRDRLKIGTGTAKYVDKRKAKGQLVEVVIMLAPTSSDLSCWMTNCSSTQVLHFVEFIPCPISQSSLSALMSIDWQSYATAVDEWKSSQPERHLLRKALKSALFGLKADHAEDFLSCHGQKVREYVPDLAESIAGLILSSNDQEFQDECIALLGLGSDQDLTEGAVRSCISEKMNRIVEMNDTKENVEHNAPYLFECERFDEDYSLLDEDDPDEDMIFDF >ORUFI06G28870.6 pep chromosome:OR_W1943:6:26814315:26820744:1 gene:ORUFI06G28870 transcript:ORUFI06G28870.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVHTMSNLTILPQCADEHRLAKLRFQSSQPERHLLRKALKSALFGLKADHAEDFLSCHGQKVREYVPDLAESIAGLILSSNDQEFQDECIALLGLGSDQDLTEGAVRSCISEKMNRIVEMNDTKENVEHNAPYLFECERFDEDYSLLDEDDPDEDMIFDF >ORUFI06G28870.7 pep chromosome:OR_W1943:6:26814451:26820744:1 gene:ORUFI06G28870 transcript:ORUFI06G28870.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPSTASPTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTVSVKRSPEPAGSSPLRISVSDTGVGSKLEEFLELDALARETPVEKWDGTLLITTTGIDDKAIYRYQFNLQEDTSSSTRFTKLIFVLRASNLACELFVAQTDSAGSGDVCLSQDSDDVHISITTSSIDRLVSGLKDYALSHANTSDRCEACYMNRVHTMSNLTILPQCADEHRLAKLRFQSSQPERHLLRKALKSALFGLKADHAEDFLSCHGQKVREYVPDLAESIAGLILSSNDQEFQDECIALLGLGSDQDLTEGAVRSCISEKMNRIVEMNDTKENVEHNAPYLFECERFDEDYSLLDEDDPDEDMIFDF >ORUFI06G28870.8 pep chromosome:OR_W1943:6:26814451:26820744:1 gene:ORUFI06G28870 transcript:ORUFI06G28870.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPSTASPTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTVSVKRSPEPAGSSPLRISVSDTGVGSKLEEFLELDALARETPVEKWDGTLLITTTGIDDKAIYRYQFNLQEDTSSSTRFTKLIFVLRASNLACELFVAQTDSAGSGDVCLSQDSDDVHISITTSSIDRLVSGLKDYALSHANTSDRCEACYMNRVHTMSNLTILPQCADEHRLAKLRHLLRKALKSALFGLKADHAEDFLSCHGQKVREYVPDLAESIAGLILSSNDQEFQDECIALLGLGSDQDLTEGAVRSCISEKMNRIVEMNDTKENVEHNAPYLFECERFDEDYSLLDEDDPDEDMIFDF >ORUFI06G28870.9 pep chromosome:OR_W1943:6:26814451:26819031:1 gene:ORUFI06G28870 transcript:ORUFI06G28870.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPSTASPTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTVSVKRSPEPAGSSPLRISVSDTGVGSKLEEFLELDALARETPVEKWDGTLLITTTGIDDKAIYRYQFNLQEDTSSSTRFTKLIFVLRASNLACELFVAQTDSAGSGDVCLSQDSDDVHISITTSSIDRLVSGLKDYALSHANTSDRCEACYMNRVHTMSNLTILPQCADEHRLAKLRFQV >ORUFI06G28880.1 pep chromosome:OR_W1943:6:26821189:26823895:1 gene:ORUFI06G28880 transcript:ORUFI06G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRAPFAFTECEASSSRLVLPSSSSSLLLLSAFALTKPKPRAALPSPRATPRNLAPPTRLASPRNPPTPERGGDHGALPRRRRVRLRVGGAVARGGVAGVPALPGSGGAAHGGAVGRHARGRGGVRAAGLLRAGVLRRHLRPRHLPPQPPHRVPLPHGRPGARGARRRPGPPHPRLRRVQALHPPPPRVQVLVCHHKSLLCCFSDDILLCIRRSCLLANTSLLLGCAFCPDNEAANCAYDKVQVCPIQHRKAEIWWKERPGSQYV >ORUFI06G28890.1 pep chromosome:OR_W1943:6:26824212:26826505:1 gene:ORUFI06G28890 transcript:ORUFI06G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARETSRHASLCLWLALVAATLSLAQAVESEAELTKVTTKVFFDITINGKPAGRIVMGLFGNTVPKTAENFRAICTGEKGLGKSGKPLSYKGTPFHRIIPGFMIQGGDTVSGNGTGCDSIYGGMFPDENFKINHSAPGLLSMANYAKDTNGSQFFITTVKLTRLDGKHVVFGKVLSGMDVVYKIEAEGSQSGTPRSKVLISDSGELK >ORUFI06G28900.1 pep chromosome:OR_W1943:6:26826991:26829807:1 gene:ORUFI06G28900 transcript:ORUFI06G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGWRRIPAARRPPMSRPASVCLWIVLVAATLALAQAKKSKADLTEVTHKVYFDVEIDGKPAGRVVMGLFGKTVPKTAENFRALCTGEKGTGKSGKALHFKGSAFHRIIPSFMIQGGDFTLGDGRGGESIYGTKFADENFKIKHTGPGLLSMANAGRDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVVYKIEAEGQQSGSPKSKVVIADSGELPM >ORUFI06G28910.1 pep chromosome:OR_W1943:6:26830207:26830551:1 gene:ORUFI06G28910 transcript:ORUFI06G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGQKDRLGQDKGGHAKLECPLCKTTAPDIKSMQIHHEARHPKLPFEPDKLNNLHGGGAGAAAAAGEAAASSSKPKPGVRGSLKK >ORUFI06G28920.1 pep chromosome:OR_W1943:6:26833001:26835157:-1 gene:ORUFI06G28920 transcript:ORUFI06G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERGGGEGMTMLQRWSSSVWSVSGSGRLLWADKAWRAHAAMAFTQLAYGGYHVLTKSVLNVGMNQIVFCVYRDLVALALLAPAAFFRERRTRRPLTPHLLASFALLGFTGIFGNQLLFLLGLSFTNASYAAAFQPAIPVFTFLLAAIVGVEVINIFTKDGIVKVLGTAVCVSGAVLMVFYRGPSLIGMGGGGGGGSNGVGENVLAGTWSSNSYTPQWLTSAMLQYGLETWNLGVICLIGNCFLMGAYLVIQAPVLIKYPASLSLTAYSYSFATVFMVLTGVVTTNGLHEWALTTTEIIAILYAGIVASCLNYAIMTWSNKILGPSLVALYNPLQPACSTILSTIFLGTPIYLGSIIGGVFIIAGLYLVTWARYNEAQRVLAIGYLDPLLVEDEDPPTPKTQESSFTGSIDP >ORUFI06G28920.2 pep chromosome:OR_W1943:6:26833003:26835157:-1 gene:ORUFI06G28920 transcript:ORUFI06G28920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERGGGEGMTMLQRWSSSVWSVSGSGRLLWADKAWRAHAAMAFTQLAYGGYHVLTKSVLNVGMNQIVFCVYRDLVALALLAPAAFFRERRTRRPLTPHLLASFALLGFTGIFGNQLLFLLGLSFTNASYAAAFQPAIPVFTFLLAAIVGVEVINIFTKDGIVKVLGTAVCVSGAVLMVFYRGPSLIGMGGGGGGGSNGVGENVLAGTWSSNSYTPQWLTSAMLQYGLETWNLGVICLIGNCFLMGAYLVIQAPVLIKYPASLSLTAYSYSFATVFMVLTGVVTTNGLHEWALTTTEIIAILYAGIVASCLNYAIMTWSNKILGPSLVALYNPLQPACSTILSTIFLGTPIYLGSIIGGVFIIAGLYLVTWARYNEAQRVLAIGYLDPLLVEDEDPPTPKTQESSFTGSIDP >ORUFI06G28930.1 pep chromosome:OR_W1943:6:26841253:26842323:-1 gene:ORUFI06G28930 transcript:ORUFI06G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTSTHRLHQPPPPPPPPASHQFRSAAAFRRPPSPAAAPLRARPQRGGGTTIRAIDAAQPFDYESRAAGLLEERQRLKIAIVGFGNFGQFLARTFARQGHTLLAHSRSDYSSLAASVGASYFQDPHDLCECHPDVVLLATSILSAEAVLRSLPVHRLRRNTLFVDVLSVKEFPRNLLLGSLPPDFDVICTHPMFGPESARDGWDGLPFVFDKVRVGDCPARRARAEAFLNIFEREGCRMVEMTCAEHDAHAAETQFLTHTVGRMLAMLELRSTPINTKGYETLLRLVDNTCSDSFDLYNGLFMYNKNSTELLNRLEWAMDSVKKKLFDGLHDVLRKQLFEGSPHAPNNTPVRK >ORUFI06G28940.1 pep chromosome:OR_W1943:6:26843539:26847585:-1 gene:ORUFI06G28940 transcript:ORUFI06G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVTGSRGGLGGGKKMAAGEVELKEKAGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQIHANRARRQEEVQREFAQEQEFFRQTALFSKKDKEKMEIMKAVSFMYVRPPGYNAESAKAAEIEDEKRRSGEGDATQSAAAASTSSMPDKELDKTHAGPDKKNRPKDVFGRPLPTEQEFEVLKNAPRLETGAPARVKPFGVEVRNVRCLRCGNYGHQSGDRECPLKDVIMPNEESRLKRDDPLTAIMAQTDSSEPLKWELRQKPGMSPPRGGYNPDDPNQQIVAEDIFDEYGGFLGGCDIPALLSNFSASKSKKRSKSKSKHRQAEPAAHEESSHSEAEKSNRTSRSKRKKEYCSDSSFSGAEVEARKSKQKSKHKKKHLPESLSDSKVEVGRDTRRHQKREHRKKKRNITESESSSDSEVDIDTRKHPKREHRKKKRDVTESYSSSDSEVEVDRDTRRHPKREHRKEKRNMTENGHSSRSREKHHYSDTSTSDNEKHSMGHKDEQYYSDSSSSRSNRHSRRSREKRYYSDSSSPEHNRHSRRSKEKRAYTDLSTHDRDRHSKRSRDKREYTESRPYESNKHSRTLKVNWHYSDSSASDYSNSERHYSHRHRRRK >ORUFI06G28950.1 pep chromosome:OR_W1943:6:26846892:26852477:1 gene:ORUFI06G28950 transcript:ORUFI06G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQEDAAGLDEDLVLEDELRGREEGEDGGGGGGEEEEEDDVDGLASFLESEILSGSSSDDPTGREEGDEKEKQLGDDAKNNKRKQESESDGDSGSGEEQNKRVRRAKGKEKDVASVAPQIDSGMFCNIPPELFLQIFKFLSSEDLISCALVCRFMNVVASDETLWRRLYCMRWGLSCNTKLRECAWKKLYIQQDREDMVEFVRNTPTEFKEYYIQMQASKRSQAPLPSEVNDDKVILDKTIADQVSSWKSSRGLTDDAVKGHSCSGNTCSYTQIGDAYICEKTGRVHVCDDACREFVLDQSSGLLLCTISGHCFERWLCPDDEWDADDDTDLQQAGMTDEAEPFMGSGRFARAYQLGYSCADEKELEYALRFC >ORUFI06G28960.1 pep chromosome:OR_W1943:6:26849420:26849620:-1 gene:ORUFI06G28960 transcript:ORUFI06G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRHRLDLDVVVADEIAAPPLTLMGEILVRLVGGYVSLQTTTSWAESHRRPSYLILSATLRDHFD >ORUFI06G28980.1 pep chromosome:OR_W1943:6:26860852:26865013:1 gene:ORUFI06G28980 transcript:ORUFI06G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMLMRMQIRCVVQESAADEPLKKGDCREPLAAINSFLDSCIRSAKEAYDLAGNEHHGHESKRYYMLNKGYREVI >ORUFI06G28990.1 pep chromosome:OR_W1943:6:26868735:26869884:-1 gene:ORUFI06G28990 transcript:ORUFI06G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRPNGFGAAAAAEVLVGGGCGGWAWRPRPRPATAVSTAAMAVRGPGTTQAAAAASAVHSERHRGGVHGLQLPPLRLQFTADLEARIEKVIYACRFMTFLAIAGSLIGSVPCFLKGCVYVMDAFIEYYLHGGGKVTLMLVEAIDMFLVGTVMFVFGTGLYELFISNMDIAKSSSYGSNLFGLFRLPERPEWLEIQSVNDLKTKLGHVIVMVLLVGIFEKSKRVTITSCTDLFCFAASIFLSSACLYLLSRLSSK >ORUFI06G29000.1 pep chromosome:OR_W1943:6:26870881:26871639:-1 gene:ORUFI06G29000 transcript:ORUFI06G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHHQPPPTPPRRHRRPHEQSMAAEGGGHHGTQTAMASDDDRHHDRGGWIRPEEKHRSSGVAWALVILCTLLAVGVIVAGATVFAVYLIYKPRMPYLLVSDAQLVRLDYDQGGTIDYLEALVTVMARNTNSRADASFARVDLALRFHGADVARLRAAPFVVASASAAPLRYDVVSKGRALDAAGMRAMDASLKSGVVPLDLLGRARTRWKVGIFASLKFWTRISCRLHFFYPGNGTVMASDRNTCTSRSP >ORUFI06G29010.1 pep chromosome:OR_W1943:6:26878650:26878885:-1 gene:ORUFI06G29010 transcript:ORUFI06G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGRSLSTLSWMYIVSDMSHAGFKSINFGWGEAIYGGLAKGRSLASKNGKGEQSIVVPKLLYLRAKHTV >ORUFI06G29020.1 pep chromosome:OR_W1943:6:26882226:26883578:-1 gene:ORUFI06G29020 transcript:ORUFI06G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLPAFTVRRGEPVLVTPAAPTPREVKPLSDIDDGEGMRFYSSGIHLYRNNPAKKGQDPAMVIREALARALVPYYPLAGRLREEAGRKLVVECAGQGVMFAEADADLTADDFGDVQSPPFPCFERFILESTTVAGVEPVVGRPLLYIQVTRLRCGGFIFGQRFCHCVVDAPGGMQFEKAVCELSRGAAAPSVAPSWGREMFMARDPPRPSYPHLEYREPAGGADRLLATPLEDMVRVPFFFGPREIAGLRQHAPASVRGACSRFELVAACIWRSRTAALGYAPGEEVRLSFIVNARGRADVPLPEGFYGNAFAYSVAATTAGELCGGDLGYALGLVKKAKSAVTYDYLQSVADLMVVAGRPLFALSRTYIVSDVSHAGFKSVDFGWGEAVYGGPAKGGEGPLLGVTNYFSRSKNGKGEQSVVVPICLPKDAMDKFQLEVQALTAELS >ORUFI06G29030.1 pep chromosome:OR_W1943:6:26887441:26891934:1 gene:ORUFI06G29030 transcript:ORUFI06G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYYLVRLHLPPPLQLRPTLPLPRGRHDRRVGGAVACRATAGPVGDQERPWESYDRGIQHHAGSDLASSLRLLADMQATGLRPSGAAYARLIRALARAGRTLEAEALLLEMRRLGLRPDAAHYNALLEGLLSTAHLRLADRLLLQMADDGVARNRRTYMLLLNAYARAGRLEDSWWVLGEMKRRGIRLDTAGYSTLVRLYRDNGMWKKATDLIMEMQELGVELDVKIYNGLIDTFGKYGQLADARKVFDKMCAEGIKPDITTWNSLIRWHCRVGNTKRALRFFAAMQEEGMYPDPKIFVTIISRLGEQGKWDEIKKLFHGMRNRGLKESGAVYAVLVDIYGQYGQFRDAHDCVAALKAENLQLSPSIFCVLANAYAQQGLCEQTVNVLQLMEAEGIEPNLVMLNLLINAFGTAGRHLEALAVLQHIKDSGMSPDVVSEVYKEMEGAGCTPDRKAREMLNDASIIKQKQQ >ORUFI06G29040.1 pep chromosome:OR_W1943:6:26891948:26892823:-1 gene:ORUFI06G29040 transcript:ORUFI06G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGGGGKLSAVDAILAEAADLVALEQIAKLNTAHLAAADDSALPSSLESRFRKLKSLPAAPLPPPPPAKSLGRSATAPPHHTDPPPSETPDSAPPAPPAPAAQERRPEDAAKEAQEKENSSPPPSQAHPPPAVTVPTAAAADDDEEDLEKLFRPGRGRPTLRERNRGRDDGSPSPPRQACCFGFSPKKTLQRTATGSGRKSRRAGVAAATDDDVLGIGDAGEWGDENRRIVTELKQQQRKLKKALEEQVKVSRETAKMAQWVKQASARMTHTASIDDLLSDCDDEDELK >ORUFI06G29050.1 pep chromosome:OR_W1943:6:26895003:26895605:-1 gene:ORUFI06G29050 transcript:ORUFI06G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding TWPGTATPPSWAPPPPRRASLPFLLNLPSAGHRISGELYVVTSRGLEHLDELEGVDAVTYYAHRGYAADLWARNGEKGYPD >ORUFI06G29060.1 pep chromosome:OR_W1943:6:26898604:26902774:1 gene:ORUFI06G29060 transcript:ORUFI06G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERQAVNPACPNAANPFHRCADYCPVPAPAAATAKPPPPPPSSRPAQNGTAAPQNGTAAAQNGIAEQNGSTHSDGELQAKPRRRDRAGGSGGLPFYVFLREGADGDGKKVDPRCPNAPNPFHVCTEHCAAKMAEVSRSSEGGKSPMSLFSRHSRRSSSSSEDGSVRSGSSKKVDPKCPNAGNPFHECTEHCAAKMKEVGQQNKTVKKSSSRKKGGKEISVVQNWKVDPRCPNASNPFHICAQYCFDHLNETGQKDTSKPDSRKGKAVVKAEQTGEINPDCVNASNPYHKCGEHCKRKGDRLAIQGFLSR >ORUFI06G29060.2 pep chromosome:OR_W1943:6:26898604:26902848:1 gene:ORUFI06G29060 transcript:ORUFI06G29060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERQAVNPACPNAANPFHRCADYCPVPAPAAATAKPPPPPPSSRPAQNGTAAPQNGTAAAQNGIAEQNGSTHSDGELQAKPRRRDRAGGSGGLPFYVFLREGADGDGKKVDPRCPNAPNPFHVCTEHCAAKMAEVSRSSEGGKSPMSLFSRHSRRSSSSSEDGSVRSGSSKKVDPKCPNAGNPFHECTEHCAAKMKEVGQQNKTVKKSSSRKKGGKEISVVQNWKVDPRCPNASNPFHICAQYCFDHLNETGQKDTSKPDSRKGKAVVKAEQTGEINPDCVNASNPYHKCGEHCKRKGDRLAIQGFLSR >ORUFI06G29060.3 pep chromosome:OR_W1943:6:26898604:26902507:1 gene:ORUFI06G29060 transcript:ORUFI06G29060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEERQAVNPACPNAANPFHRCADYCPVPAPAAATAKPPPPPPSSRPAQNGTAAPQNGTAAAQNGIAEQNGSTHSDGELQAKPRRRDRAGGSGGLPFYVFLREGADGDGKKVDPRCPNAPNPFHVCTEHCAAKMAEVSRSSEGGKSPMSLFSRHSRRSSSSSEDGSVRSGSSKKVDPKCPNAGNPFHECTEHCAAKMKEVGQQNKTVKKSSSRKKGGKEISVVQNWKVDPRCPNASNPFHICAQYCFDHLNETGQKDTSKPDSRKGKAVVKAEQTGEINPDCVNASNPYHKCGEHCKRKGDRRQKDENEVATQNLREESRRQK >ORUFI06G29060.4 pep chromosome:OR_W1943:6:26898160:26898983:1 gene:ORUFI06G29060 transcript:ORUFI06G29060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMILINIQLRTGGRRLHEASRLDRPDRLAPRLYQYHHPQQLLRLDLFPSSSISNALLPPLLIPPPRRRQPPDRVPTPANDPTPASPPPPFKSHPSSSEFSALQHQHLASQTILIYSGFLALLLLLLRLILILEEEEEEGLGWWG >ORUFI06G29070.1 pep chromosome:OR_W1943:6:26903632:26904862:1 gene:ORUFI06G29070 transcript:ORUFI06G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSPPPPGKSRPDCVNSSNPYHECSDYCLRQIVKSREDNHRWSRVHPHCINASNPYHACSNFCFRRIIHAKPSPAGLERPVQEPPASEAVPAQADDDDDAQTDDDDDEDAAADDDDGYLKMTVTENQKLVFELRVRPSTILRFVVAAVCALLVIHLLGVSLVVVAHALI >ORUFI06G29080.1 pep chromosome:OR_W1943:6:26908749:26911161:1 gene:ORUFI06G29080 transcript:ORUFI06G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAASGKSRPECINSSNPFHECSDYCLRKIAEAKERIEDEQRPPVDRTVHPDCINASNPYHVCSEYCFKRIADAKSGLERAEQEPPSADAGKSDAAQAEGGGGDDDAEQEDAGSDDGYPQMTEKQKKLFELRLKMNEARKANQQAMVAEKKRMEPRAESRGVSKQKWLEDRKKKIGKLLDSNGLDMTKSYMLDTQESAEAKYKKWEKEPAPYGWDVFNQKTLYDAYKKRTKNIEVDMEAYNKAKEADPEFYRDASSLQYGKVSKVPEENIDRMVNELKERDEKRKAFSRRRKFHEDKDIDSINDRNEHFNKKVERAFGKYTLEIKNNLERGTALPD >ORUFI06G29090.1 pep chromosome:OR_W1943:6:26913731:26915044:-1 gene:ORUFI06G29090 transcript:ORUFI06G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAKRPYCKPPPPTTTTTAITSSSGQNHKRLRPSLPAAAAMDGGMEEEAVAPPPPTTMTTTTPPQPLLPGLPDHLAQLCLSPLPPRLLHAVCRPWRRLMYTPSFPPFLSLYALLDDADADAGVSFAAYDPLAGRWDALPSPPMPSPPPMLWHPSFLARRLPLQSVAAAGRLVLVSGSTQSLHPALSRPLVFDPAAPTPRWQLGPRIPLSPRRWCAAGAARGRVFVAGGVGAGYDPAVARSGATWDPAASPPPAAAWEPIPPLRDGRFSRDAAEAVCSGGKVCMVNLRTPGAKEGAVFDLRAGRWEDMPPGMLAGWKGPAAASPPDDGETIYVVDEERGALTAYDWGADRWRTVAESDRLKEAAEMTVAGGKACVVAPGGGKVLIVDVTPPPPPPPAARRESWAAPPPPPRMWEVAAPGGKRVVSLHVLPRMTRPE >ORUFI06G29100.1 pep chromosome:OR_W1943:6:26927333:26927914:-1 gene:ORUFI06G29100 transcript:ORUFI06G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLAAFAAAATANSGAAPAASDFIRKSCRATQYPAVCVQSLASYGGAGAPPPRSPRELARAALSVSVDKARSASTYVGHICGPGGGRGGAGGAGPVRDCLENMADSVGHLRDAAQELGGNMGRAGSPGFKWHLSNVQTWCSAALTDENTCLDGLSRGVDAATRSAIRGKIVEVAQVTSNALALVNRVAPAN >ORUFI06G29110.1 pep chromosome:OR_W1943:6:26935121:26937059:1 gene:ORUFI06G29110 transcript:ORUFI06G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAFVAVVVVVVAALFVGAASSSAAAQAAVDTGAAAGVPSCASKLVPCGGYLNATAAPPPASCCGPLREAAANETACLCAILTNKAALQAFGVAPEQGLLLAKRCGVTTDASACAKSASSSATAAAAAAGAGTAGSTAASSASTGNAASTAAKPTASGGATHRLSLISASSLVGFSFIWWTIMAQ >ORUFI06G29120.1 pep chromosome:OR_W1943:6:26938982:26939707:1 gene:ORUFI06G29120 transcript:ORUFI06G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSPPRPLAFPTLDSLAAFLGSRLPASALASWGTAPGTKTLLNLFLELSQGECVLISAAAAAAPPSQQQQQHPVVRAVHVASVRIRNGRGALLMETGQLLSDGTLRSRGGLRPLSEKMRPGETPEAAAVRAVREELGERVRVRILGGEEARVEERDSASYPGLHARYVLHAVDAEVVEGVPEDGEFDTEEGGEHEDEVVVDGAAAAITVKRHYWKWVDDNDNDEEDVAGAEEGARQSAH >ORUFI06G29130.1 pep chromosome:OR_W1943:6:26943980:26951597:1 gene:ORUFI06G29130 transcript:ORUFI06G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNPSPASLSTPLLSDSISPTPTTNGHAGHHNHDDDDEESPTVCGGDGGGGGDPFAFLSEDRPAWWSPRGVSPADPFRNGTPGWCGAYELVRALVCAPVAAARLVLFGLSIAVGYAATWVALRGWVDVRERAAQEGAGPMPAWRRRLMWITRISARCILFSFGYHWIRRKGKPAPRELAPIVVSNHVSYIEPIYFFYELFPTIVSSDSHDSIPFVGTIIRAMQVIYVDRFSPASRKSAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVIVRYPHVHFDQSWGNISLGKLMFKMFTQFHNFMEVHTLIPFSLECKIFMSLVEYLPVVYPPEIKQENALHFAENTSYAMAHALNVIPTSYSYGDSMIMARAVEDGKVNCSNYMVEMAWVKETYGVSTSEAMALLEDFLCMSPDKDGRVNAQDFWAHFGLNCTPLCKKIFQYFDFEAKESITFRQFLIGCAHLRKQPSFQDACETAFERCRNPLTSHIGREQLADVLRSSMLELMTDNGMMKLFKTLDVDDDDGISKDDLMASLRKLPFMIALFAGRINGEVYIEIV >ORUFI06G29130.2 pep chromosome:OR_W1943:6:26943980:26951597:1 gene:ORUFI06G29130 transcript:ORUFI06G29130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNPSPASLSTPLLSDSISPTPTTNGHAGHHNHDDDDEESPTVCGGDGGGGGDPFAFLSEDRPAWWSPRGVSPADPFRNGTPGWCGAYELVRALVCAPVAAARLVLFGLSIAVGYAATWVALRGWVDVRERAAQEGAGPMPAWRRRLMWITRISARCILFSFGYHWIRRKGKPAPRELAPIVVSNHVSYIEPIYFFYELFPTIVSSDSHDSIPFVGTIIRAMQVIYVDRFSPASRKSAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVIVRYPHVHFDQSWGNISLGKLMFKMFTQFHNFMEVEYLPVVYPPEIKQENALHFAENTSYAMAHALNVIPTSYSYGDSMIMARAVEDGKVNCSNYMVEMAWVKETYGVSTSEAMALLEDFLCMSPDKDGRVNAQDFWAHFGLNCTPLCKKIFQYFDFEAKESITFRQFLIGCAHLRKQPSFQDACETAFERCRNPLTSHIGREQLADVLRSSMLELMTDNGMMKLFKTLDVDDDDGISKDDLMASLRKLPFMIALFAGRINGEVYIEIV >ORUFI06G29140.1 pep chromosome:OR_W1943:6:26949660:26955357:-1 gene:ORUFI06G29140 transcript:ORUFI06G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDQPHLPPPAPPPGAAAADPPESTPAPPPPPPLEPPEVMHKTRAVDFLGRRTPIVYQNDNGPCPLLAICNVMLLKNVISLNPDAGEVSQQKLLSLVAERLIDSNSNVQGKDEEYVRNREQNISDAIDLLPRLATGIDVNVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQDTDTATSIGSKSYNALASGLAEFKSGESTKENRHVQEEETVDFAAATTAALGVPSPSVSRGISFDENTLTDPVELHIRRGDREEEEELRRVLSLSKAENANAVDGSGSFDTSQSHSSSNMEETAHTESFQLEAVEAMDSTNKEEHGNSHALSDGPMLQDTTNAAPNINEVGMEESQQVLTSNELEDDGKRNILPEHSDITIQPSESVLDCSSHESSAPNQAAPALGQVDKESCEEQAPLQIHGQASDTEKIHGEEHILSTGNLAYENQEPVYEGEVVLAEQADKTEKSSEDMQDGPAEHQWELIDNFLQNTASQLTVYGLFCLQEGLNERELCVFFRNNHFNTMFKYNGSLYLLATDQGFFSQSDLVWQKLDEVNGDGVFLTSNFTPFKAENPRNDSWNEQQAMTSTADYLAQFDNTTLQHSSGNSDLELAIALQQQEFERQQPQRHQSSTQQQEPVPQQQQQTPNQSHGTGRPGLVVGPTTARRPPAPQPTTPKKEKCIVM >ORUFI06G29140.2 pep chromosome:OR_W1943:6:26949660:26955357:-1 gene:ORUFI06G29140 transcript:ORUFI06G29140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDQPHLPPPAPPPGAAAADPPESTPAPPPPPPLEPPEVMHKTRAVDFLGRRTPIVYQNDNGPCPLLAICNVMLLKNVISLNPDAGEVSQQKLLSLVAERLIDSNSNVQGKDEEYVRNREQNISDAIDLLPRLATGIDVNVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQDTDTATSIGSKSYNALASGLAEFKSGESTKENRHVQEEETVDFAAATTAALGVPSPSVSRGISFDENTLTDPVELHIRRGDREEEEELRRVLSLSKAENANAVDGSGSFDTSQSHSSSNMEETAHTESFQLEAVEAMDSTNKEEHGNSHALSDGPMLQDTTNAAPNINEVGMEESQQVLTSNELEDDGKRNILPEHSDITIQPSESVLDCSSHESSAPNQAAPALGQVDKESCEEQAPLQIHGQASDTEKIHGQASDTEISSELTTAASQDTPNHATKELDGEDGNSPEPIILNLQESEPIYQGEEHILSTGNLAYENQEPVYEGEVVLAEQADKTEKSSEDMQDGPAEHQWELIDNFLQNTASQLTVYGLFCLQEGLNERELCVFFRNNHFNTMFKYNGSLYLLATDQGFFSQSDLVWQKLDEVNGDGVFLTSNFTPFKAENPRNDSWNEQQAMTSTADYLAQFDNTTLQHSSGNSDLELAIALQQQEFERQQPQRHQSSTQQQEPVPQQQQQTPNQSHGTGRPGLVVGPTTARRPPAPQPTTPKKEKCIVM >ORUFI06G29150.1 pep chromosome:OR_W1943:6:26957636:26962334:1 gene:ORUFI06G29150 transcript:ORUFI06G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARAMAFVALCALAAFPVAVTGAQVDPLYSSKQVLDWSSQANIKLQNFSLTEEDGLQLLVRPEEVTHRKLRERTRIKKKIEPVQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSKVRLMRDQMIMARIYSVLAKSRDKLDLHQDLLSRLKESQRSLGEATADAELPKSASERVKVMGQLLAKARDQLYDCKAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPKSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESVAMKEYYFKADRPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTSTSIFQIQILLRTSILMLVAGRME >ORUFI06G29150.2 pep chromosome:OR_W1943:6:26957680:26962334:1 gene:ORUFI06G29150 transcript:ORUFI06G29150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARAMAFVALCALAAFPVAVTGAQVDPLYSSKQVLDWSSQANIKLQNFSLTEEDGLQLLVRPEEVTHRKLRERTRIKKKIEPVQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSKVRLMRDQMIMARIYSVLAKSRDKLDLHQDLLSRLKESQRSLGEATADAELPKSASERVKVMGQLLAKARDQLYDCKAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPKSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESVAMKEYYFKADRPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDINFDPNACGWAYGMNMFDLEEWKKKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIERSEIDNAAVIHYNGNMKPWLEIAMSKYRPYWTKYINYEHTYVRGCKISQ >ORUFI06G29150.3 pep chromosome:OR_W1943:6:26957636:26962334:1 gene:ORUFI06G29150 transcript:ORUFI06G29150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARAMAFVALCALAAFPVAVTGAQVDPLYSSKQVLDWSSQANIKLQNFSLTEEDGLQLLVRPEEVTHRKLRERTRIKKKIEPVQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSKVRLMRDQMIMARIYSVLAKSRDKLDLHQDLLSRLKESQRSLGEATADAELPKSASERVKVMGQLLAKARDQLYDCKAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPKSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESVAMKEYYFKADRPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNIAQNFDPNACGWAYGMNMFDLEEWKKKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIERSEIDNAAVIHYNGNMKPWLEIAMSKYRPYWTKYINYEHTYVRGCKISQ >ORUFI06G29150.4 pep chromosome:OR_W1943:6:26957680:26962334:1 gene:ORUFI06G29150 transcript:ORUFI06G29150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARAMAFVALCALAAFPVAVTGAQVDPLYSSKQVLDWSSQANIKLQNFSLTEEDGLQLLVRPEEVTHRKLRERTRIKKKIEPVQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSKVRLMRDQMIMARIYSVLAKSRDKLDLHQDLLSRLKESQRSLGEATADAELPKSASERVKVMGQLLAKARDQLYDCKAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPKSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESVAMKEYYFKADRPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNIAQNFDPNACGWAYGMNMFDLEEWKKKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIERSEIDNAAVIHYNGNMKPWLEIAMSKYRPYWTKYINYEHTYVRGCKISQ >ORUFI06G29160.1 pep chromosome:OR_W1943:6:26978041:26979809:1 gene:ORUFI06G29160 transcript:ORUFI06G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLRGGGGGGGGGGGVGGGGGGGRGGERGGGGDHAIGADSLFLYARGAAAAAADTAGSGGGGXALFRGAAANNSAAAAAAAAASKRPRELVRTLGRLPSANTAMVATTTSSGEGDGRFPPELSVEAVFRCVRIGAVDEADAELAYQTAVSIGGHTFKGILRDHGPADEAAGQLPPSSAEYHQLTGQGREESSPAGSSEGVGGGHGAATAATSAAVLMDPYPTPIGAFAAGTQFFPHNPRT >ORUFI06G29170.1 pep chromosome:OR_W1943:6:26989898:26993743:-1 gene:ORUFI06G29170 transcript:ORUFI06G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENATNRQVTYSKRRTGIMKKARELTVLCDAQVAIIMFSSTGKYHEFCSPSTDIKGIFDRYQQAIGTSLWIEQQRMGEDLDGLEFDELRGLEQNVDAALKEYHVITTQTETYKKKVKHSYEAYETLQQELGLREEPAFGFVDNTGGGWDGGAGAGAAADMFAFRVVPSQPNLHGMAYGGNHDLRLG >ORUFI06G29180.1 pep chromosome:OR_W1943:6:26995840:26996148:-1 gene:ORUFI06G29180 transcript:ORUFI06G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNISKRFTLASVTRPYGRRVCGASTGLEEIKRSSSRSIAASTALSSSLWPLGDSGDTSGKDVKDECIGGTWSGWCEKKINHNLYRVSEGLVPGGTRHRRY >ORUFI06G29190.1 pep chromosome:OR_W1943:6:26998872:27004491:1 gene:ORUFI06G29190 transcript:ORUFI06G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGRSSRGASGKRGAASSSSSSVSSSSAAASACVYYATTAVLVTLCVAGAYFLTSASSASLAGSVVDGDGGGGGGGGGTVTTTYRHTTRSSFAYEVSRPERKAPPAPPRDVERVDDAAAARGRIADEEGAEEEHGDGDDDPRGKPDLDDHGADEEETKSAVAAMDDAQRREEDGSVSSSEANAEEEEAAATTGARRVRVGEDEEEAAREESQELHLQMPLGESRPRAAAAVEEKSLDGGVEEESNAGQRQREEEQIDHGVDGGASLRREAQEEGQIGEGYVMADHGEGEEMLLEQQQQQPEEERGGDAEAARTSESDAGGEVDPEDKPTVSERTEEMVDTLPGEEDRAEVSATGVDEQNAWATQADHSHQEKDRRDEAAGVDDNIADATAGGGGGGEEPEWRLCNVKAGPDYIPCLDNDKAIKKLRPENYRRYEHRERHCPDEGPTCLVPLPAGYRRPIEWPKSRDRVWYSNVPHTKLVEVKGHQNWVKVSGQYLTFPGGGTQFIHGALHYIDFLQQSARGIAWGKRTRVVLDVGCGVASFGGYLFDRDVVAMSFAPKDEHEAQVQMALERGIPAISAVMGSKRLPFPSKVFDLVHCARCRVPWHADGGALLLELNRVLRPGGFFVWSATPVYQKLTEDVQIWKAMTALTKSMCWELVAIKKDRLNGIGAAFYRKPTSNECYETRRRQQPPMCSDDDDADVAWYIRLNACMHRVPVAPSDRGAAWPAEWPRRLRAPPHWLNASRAGVYGKPAPEDFAVDYDHWRRVVDRSYLNGLGIDWSRVRNVMDMRATYGGFAAAMRDHKIWVMNVVNVDAADTLPIIFERGLIGMYHDWCESFSTYPRTYDLLHADRLFSKIKERCAVLPVVVEVDRIVRPGGSIVVRDDSGAVGEVERLLRSLHWDVRLTFSKNGEALLYAEKSDWRPELLADPL >ORUFI06G29200.1 pep chromosome:OR_W1943:6:27002995:27004804:-1 gene:ORUFI06G29200 transcript:ORUFI06G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAPILRNPRRRRLLRSVNPSLAAMSPPAAAHLATASDPDEDLCFTTESVAPAEETAPPLPAPPPPVSAEERVERAWAHWRRLGSPKMVVAPMVDNSELPFRMLCRRYGATGAYTPMLHSRIFSENEKHRAMEFTTCKEDRPLFVQFCANDPDILLQAAKIVEPYCDYVDINFGCPQRIARRGYYGAFLMDNLPLVKSLVQNLSANLHVPVSCKIRIFPRLEDTLAYAKMLEEAGASLVAVHGRTRDEKDGKKFRADWDAIKAVKDALRIPVLANGNIRHLDDVKDCLEHTGADGVLSAETLLENPALFAGFRTKEWKENGDEDEASGLDQADLVIEYLKLCEQYPVPWRMVRSHVHKMLGDWFRVHPQVREELNAQSKLTFEWLHDMVKRLKDLGGGIPLYRNNNALQTTSNGLAASNA >ORUFI06G29210.1 pep chromosome:OR_W1943:6:27011662:27013128:1 gene:ORUFI06G29210 transcript:ORUFI06G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQCDVCAAEPAAVLCCADEAALCSACDRRVHRANRLASKHRRLPLVHPSSSSSGDGGAAAAPLCDVCREKRGLVFCVEDRAILCADCDEPIHSANDLTAKHTRFLLVGAKLSPAALAEQPLPSSDCSSDDDAAAAATEEEYHSSAASTGAAVSAPLDASSNGAGGGGGVGGSSISDYLTTICPGWRVEDLLPDDDAFAAAAAQAGKEKDERVPFLDADLFDVVAGRPEKKGGAWAPHVPHLPAWCLDEVPVVVAASAAPAATPVKAKQGHVRDSHWSDSDAFAVPEFSPPPPPAKRARPSSQFWCF >ORUFI06G29220.1 pep chromosome:OR_W1943:6:27018893:27022462:-1 gene:ORUFI06G29220 transcript:ORUFI06G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVACRRGLLLQQLQQQLWQAHRWVGPARSISQLVKTNGRRAFLVDTLALVTCLHPPVRKLESQGVPTKQAEAITSAITEVLNDSLESISESFVSKAEMQKAEMLQESNISKFKSQVQSSQRETEKLRGDIDKMRSELKYEIDKVTAGQRLDLNLERGRIRDELAKQNEETTELTTKLDKEIHSLKAQLEAAKYDVIKYCIGTIVSISAVGLAVLRIVM >ORUFI06G29230.1 pep chromosome:OR_W1943:6:27031822:27033641:1 gene:ORUFI06G29230 transcript:ORUFI06G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPQRIFGAASSPPIGIPPTSIAAPVDPPHPIFGAASSSPIAHRSLLPTPSVATAVAVQVDPPPPHSESELVQALSDKYRLPVRFLLSSIPPTYLSAWELSDGKAQGLVWSHSDALDITHHYQSLGLHEIRFLYSEFISLRCVQDMEYMIARTPFSMRVLNPDVAKEKSRMLSKLNGYCCSLREALRQVQAGGNLVEMFNLRSKFAQEHELCTCRTELLRQMKVDALNRVLVLGLGEGASSAHSADMIWILKHHRPDEYAVAVNDDGTVSWPIIHGLVQNIRNMMGNKLTSNDTLANSRTSLLGVIGRFQFEHKVQEVLEKTRSHSTVHDRSYFKIPAAAPSSAPAAVPCTAPPAAPAAASTAAPAAASTAAPAAASTAAPAAASTAVPDDATPAEASDASSDDAGEEVAIMKFMEMEGEPEEQRAKRFQRYCASLDFCL >ORUFI06G29240.1 pep chromosome:OR_W1943:6:27035619:27041342:-1 gene:ORUFI06G29240 transcript:ORUFI06G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTMAAKRSWMEHHRVLSDDSSFHMEDLPEQQPQLMENVAVAKRSRLEQHRTLSDNSFRVEDLPEEIQSLLLSLLSLKEAASTSIVSRNWRKLWTRYPNLCFDGSKDGPADMDSVKIERMKFIDTVNSIIQQHSGIGLNKFSIRCNLLKDDSDILDRWIRFATASKAKIIDMNLCTNRNNKGPTKHLYDFPLEAFGDQDIPFIQCLFLNNVSIKPHSDIGFTKLRSLHLHCVQIIGDLSGLLFNCSSLEDLEVFACLGVTALNIPHQLNKLRHLLICNMRIQMLEFHVPGLSHFEYKGTMIPIMLHGCSKLQKATLNFHQTWLEEDNNKVLGHVFHGIPSVSAVEVLNLLVDICTKQSVWSSQVHTLTARPTIMFMNLKHLTYEILIFTKDPNSHSGVLQLAQYLAFAPQLETLELHMLYHSTHCRCWHEGAGVSYGHMPHHHLKMVYMSGFRCYRAQVELLFAILEMGDELEHVTIDPMTRVPYSPDLMNLGIPEDEICQWANRTSQRFGKAISVVKPP >ORUFI06G29250.1 pep chromosome:OR_W1943:6:27060035:27064207:1 gene:ORUFI06G29250 transcript:ORUFI06G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRRLSMILLLLLLGLASGDKILFQGFNWESWRQSGGWYNLLMGKVDDIVAAGVTHVWLPPPSHSVSTQGYMPGRLYDLDASRYGTSMELKSLISALHGKGIQAIADVVINHRCADYKDSRGIYCIFEGGTPDGRLDWGPHMICRDDTQFSDGTGNLDTGADFAAAPDIDHLNGVVQRELTDWLLWLKSDEVGFDAWRLDFARGYSPEVAKVYIEGTTPVGLAVAELWDSMAYGGDGKPEYNQDAHRQALVDWVDRVGGTASAGMVFDFTTKGIMNTAVEGELWRLIDQQGKAPGVIGWWPAKAVTFVDNHDTGSTQQMWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGLKEQIAALVAVRQRNGVTATSSLKIMLHDADAYVAEIDGKVVMKIGSRYDVSSLIPPGFHLAAHGNGYAVWEKIAAAAAAADHRTSSSASL >ORUFI06G29260.1 pep chromosome:OR_W1943:6:27067592:27069967:-1 gene:ORUFI06G29260 transcript:ORUFI06G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAGGGGGGAGEARGADAPPPPPVAAGGGGGAVKGKSCKGYLFYSSSLRSRDRGPVCAGITRAIPQVPDHMVGEIEMEAIQEGRNLSDFRYGCIGYSMYLDDKKSSEGKGDKHPQLPICVGIELLADRKTSTNQASSHHKKEAPQPRRYKPAQRGDDFLTKFQRNAGLVANGVARNLNKVGAYIKDTMDDIMYPYRKRPK >ORUFI06G29270.1 pep chromosome:OR_W1943:6:27071946:27076140:-1 gene:ORUFI06G29270 transcript:ORUFI06G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHRSPAMVGGGGAGAVGPPSPATVPVRRRCEGTAMGAITLDLRPGNGVGPFTLGMPISDAFAQIEGQPTLYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLIEIYDVKRLQLRYAKSLIGGPSTLATFVAVYGLFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTNLFTNGEVADLPLEFPDGTTPVTCRVSIYDSSTDSKVGVGSLMDKAVVPALPAGSLYMEEVHAKLGEELLFTIGGQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYMKCNFVIYDAEAEGTDQPGSIPKSCITPRTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVCLVLAMECSRSAQHG >ORUFI06G29270.2 pep chromosome:OR_W1943:6:27072387:27076140:-1 gene:ORUFI06G29270 transcript:ORUFI06G29270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHRSPAMVGGGGAGAVGPPSPATVPVRRRCEGTAMGAITLDLRPGNGVGPFTLGMPISDAFAQIEGQPTLYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLIEIYDVKRLQLRYAKSLIGGPSTLATFVAVYGLFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTNLFTNGEVADLPLEFPDGTTPVTCRVSIYDSSTDSKVGVGSLMDKAVVPALPAGSLYMEEVHAKLGEELLFTIGGQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYMKCNFVIYDAEAEGTDQPGSIPKSCITPRTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVVFN >ORUFI06G29280.1 pep chromosome:OR_W1943:6:27077011:27078996:-1 gene:ORUFI06G29280 transcript:ORUFI06G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAGFLARRAAQKERVRLLYRRALKDTLNWAVHRHLFYQDASDLREKFEANRDVDNPDVIDRLIDDAEAQYRNFQHPDPYIVPWAPGGSKFTRNPPPPKGIEIIYNYGKED >ORUFI06G29290.1 pep chromosome:OR_W1943:6:27088256:27094978:1 gene:ORUFI06G29290 transcript:ORUFI06G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKGASGRTADDEGGVVTEHQSPPPANGLPSTPPRQQAQAQAQQVGTPRRRGSKSGSTTPGHQTPGVAWPSPYPSGGASPLPAGVSPSPARSTPRRFFKRPFPPPSPAKHIKATLAKRLGGGKPKEGTIPEEGGVGAGGGGGGAADGAETERPLDKTFGFSKNFGAKYELGKEVGRGHFGHTCSAVVKKGEYKGQTVAVKIIAKAKMTTAISIEDVRREVKILRALSGHNNLVKFYDACEDGLNVYIVMELCEGGELLDRILARGGRYTEEDAKAIVVQILSVVAFCHLQGVVHRDLKPENFLFTTRDENAPMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPTVSAEAKDFVKRFLNKDYRKRMTAVQALTHPWLRDEQRQIPLDILIFRLIKQYLRATPLKRLALKALSKALREDELLYLKLQFKLLEPRDGFVSLDNFRTALTRYLTDAMKESRVLEFLHALEPLAYRRMDFEEFCAAAISPYQLEALERWEEIAGTAFQQFEQEGNRVISVEELAQELNLAPTHYSIVQDWIRKSDGKLNFLGFTKFLHGVTIRGSNTRRH >ORUFI06G29300.1 pep chromosome:OR_W1943:6:27101604:27102014:-1 gene:ORUFI06G29300 transcript:ORUFI06G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHLLKRQQSSASAGGSSAGGGMPPKGCMAVRVVGPGGVGGGGGGAEGERFVVPVGYLKHPLFVGLLKEAEEEFGFEQKGAITIPCGVDHFRRVQGIIHHQKHHHGGSHGAGGLLSGHGSSGHHNNFHIAACFRA >ORUFI06G29310.1 pep chromosome:OR_W1943:6:27118750:27122260:1 gene:ORUFI06G29310 transcript:ORUFI06G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSESSRFVQELVLYAASAALSCLVLFAGLRQLDPNRAASQKALQHKKEIAKRLGRPLVSTTPYEDVIACDVINPDHIDVEFDSIGGLDHVKQALYELVILPLRRPELFTFGKLLSPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVSAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGIPVQSERSKILRVVLKGENVEPNINYDYIAGLCEGFTGSDILELCKQAAFYPIRELLNNEKDGRKADKPRPLRQSDLEKALSTSRKGKRAANGTSTGLQSPVWIRPSDSEDDQLARFRVCMDSFSVARLRWFLKLMAAGNALH >ORUFI06G29310.2 pep chromosome:OR_W1943:6:27118750:27122546:1 gene:ORUFI06G29310 transcript:ORUFI06G29310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSESSRFVQELVLYAASAALSCLVLFAGLRQLDPNRAASQKALQHKKEIAKRLGRPLVSTTPYEDVIACDVINPDHIDVEFDSIGGLDHVKQALYELVILPLRRPELFTFGKLLSPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVSAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGIPVQSERSKILRVVLKGENVEPNINYDYIAGLCEGFTGSDILELCKQAAFYPIRELLNNEKDGRKADKPRPLRQSDLEKALSTSRKGKRAANGTSTGLQSPVWIRPSDSEDDQVQSAIFEISKLMSRIVQNSQSEPQEPSSP >ORUFI06G29320.1 pep chromosome:OR_W1943:6:27122681:27123358:-1 gene:ORUFI06G29320 transcript:ORUFI06G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDYVFKVVVIGDSAVGKTQLLGRFTKDEFFIDSKSTIGIEFQTRTVEIGGKRVKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDVTSRRSFHHAARWLHDLRAHADNSIVVMLIGNKADLSHARAVAADEAAAFAEDQGLFFSEASAFSGDNVEEAFLGLLREIHAIVSRRSLLEMDGINGDAAANANAALMLRGTKLSLSDELSIMETSAIKRVSRCSCS >ORUFI06G29330.1 pep chromosome:OR_W1943:6:27125123:27125641:-1 gene:ORUFI06G29330 transcript:ORUFI06G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVSLHLHGALPLPASRALHHLNPASSAAAAASAKQHQQPRARLAVTTARPSSRTRARAAAASAPPVPPVVHQQHRLSSSRAATGYAAALADASLRAGTLASAARHARALLVSDAAAAVDVAEDSRVVALVRMLVGKGKAAMVADVMAEFVAICDRLLLLPARPHAATSY >ORUFI06G29340.1 pep chromosome:OR_W1943:6:27126591:27128260:1 gene:ORUFI06G29340 transcript:ORUFI06G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAGGGSPPAMEEEERYVEVASRFYRVKPGAGGGGGGRRLHFLESCFLCKSSIAGDRDIFMYRGDAAFCSDDCRQEQMDMDEALQAVARRHRLRSSAAPASAEAAAAAPARSPMMHRRPTIANFAARTPVAATS >ORUFI06G29350.1 pep chromosome:OR_W1943:6:27129629:27133014:-1 gene:ORUFI06G29350 transcript:ORUFI06G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKKITLLQTVAFAGVFSAVSCWYGFMFGRESARRELGGIIEDLRSSGGNQTGMNPRMGLPPMKNPQMSLS >ORUFI06G29360.1 pep chromosome:OR_W1943:6:27133685:27136810:-1 gene:ORUFI06G29360 transcript:ORUFI06G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFAFKSKAKNQRAAASGARSPAPTSDGQKSKASSASTPTRSIQELSDERGAQRLRVSAAPPTGFSRLLKIGEGGFGSVYRAFFRSAGGGGGGRVVLAVKRLNQRSLQGHKQWLAEVQFLGVLEHPNLVRLVGYCAVDSETSKHRLLVYEFMPNKSLDDHLFNRAHPPLSWRLRLQIMIGAARGLDYLHEGLQEVQVIYRDFKAANVLLDADFKPKLSDFGLAREGPTEGKTHVSTAVVGTHGYAAPDYIETGHLTTKSDVWSFGVVLYEILTGRRSLERSRPAEEQKLLGWVRRHPPESQSFRSIMDPRLGGRYPAAAARQVARLADRCLVKNPKERPAMREVVEELERVLQMEPLTTTAADKDGDRRLPPAKR >ORUFI06G29360.2 pep chromosome:OR_W1943:6:27133685:27136242:-1 gene:ORUFI06G29360 transcript:ORUFI06G29360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFAFKSKAKNQRAAASGARSPAPTSDGQKSKASSASTPTRSIQELSDERGAQRLRVSAAPPTGFSRLLKIGEGGFGSVYRAFFRSAGGGGGGRVVLAVKRLNQRSLQGHKQWLAEVQFLGVLEHPNLVRLVGYCAVDSETSKHRLLVYEFMPNKSLDDHLFNRAHPPLSWRLRLQIMIGAARGLDYLHEGLQEVQVIYRDFKAANVLLDADFKPKLSDFGLAREGPTEGKTHVSTAVVGTHGYAAPDYIETGHLTTKSDVWSFGVVLYEILTGRRSLERSRPAEEQKLLGWVRRHPPESQSFRSIMDPRLGGRYPAAAARQVARLADRCLVKNPKERPAMREVVEELERVLQMEPLTTTAADKDGDRRLPPAKR >ORUFI06G29370.1 pep chromosome:OR_W1943:6:27139681:27143804:1 gene:ORUFI06G29370 transcript:ORUFI06G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGPSSPSPSSACADHPTPAPEEDEEGGRGWVVVPASEVPGADAPKVIDWEDLQQELARVWSLSAALATARERKALLAARLQSALEARKASVQQDNELAEIRERVQARADFMWDLKMHTKKMTEDVDDRREELRIKIRTLSTTSNTLSTARNKLKEADKLLSGENGLHVRLKTVERMLRTRQQYMTAQVAHLYPVRPLIERSPANKPSFLNSSILKTRDAESMAPNGSQNGQAPLAILGLQLSKLTMKKTGYFSDKTEIQNSATALGYVAHAVSLIASYLDVPLRYPLRLGGSRSYVLDRAPSVESSSLASAISSAPLSTTMRTMEFPLFFESQETTRSAYAIFLLNKDIEQLLNHIGAESLGPRHVLANLKQLTTIVQSQQYISN >ORUFI06G29380.1 pep chromosome:OR_W1943:6:27144672:27147589:-1 gene:ORUFI06G29380 transcript:ORUFI06G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESVNPELAEIDGQIGDILRALQNGFQKLDKIKDANRRSRQLEELTDKMRDCKRLIKDFERVVKDMAGSTDPETARMLHDRKQSMIKELNSYVALKKQYASENKRVDLFDGPSVEDGFGEENVLLASNMTNQQLMDQGNQLMDETDQAIARSKQTVQETINTEQMSRIVNELDSIHFSIKKASQMVKEIGRQVATDRCIMALLFLIVAGVIAIIVVKIVNPQNKTIRDIPGLAPPVSRRLLSIVEDI >ORUFI06G29390.1 pep chromosome:OR_W1943:6:27149471:27150052:1 gene:ORUFI06G29390 transcript:ORUFI06G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLLLPLLPHRPSQPLLLLLRHRRRPSIPRASSGDPSPTAADAPTDAQSATPPSSGAKPTGVKNRLRARNQARRVQEFTPPAPLGITMKSKSSSSSRPAASKSSASASASAATRREKQTRRKEWEEMSMAEKAGELYVGEKGLLFWLNKFAYASIFIMVGAWILFRFVGPSIGLYQLDAPPLAPTDVFAGSP >ORUFI06G29400.1 pep chromosome:OR_W1943:6:27150943:27153042:-1 gene:ORUFI06G29400 transcript:ORUFI06G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCVRCCCWLLVLMLMALAITAAVVFVRYKNGEGVFPFPGVPGAVDHKYADALAVALQFFQFLIDEAGKLVNNTIHWRGDSALDDGKEAGIDLSKGMYDAGDHMKFGFPMAFTATMLSWSVLEYGDAMRAADQRDSAIDALNWIMDYLVNAHPSDDVLYIQVGDPKADHKCWERPEKMKEKRPLTKITPKSPGSDVAAETAAAMAAASLVYKTINKTYSSSLLDHGERLFAFADKHRGSYTRTFPELSAFYNSTTYQDELLWAASWLYHATGNHSYLAYATGKNKDFADLGNPRYFSWDDKRAGTEVLLSRVSFFASQGSDVAQDDVLGMYKQTADAVMCILLPDSETAAFRTEGGLLYVAEWNSLQHPVASAFLAAVYSDYMQSSGKTELSCSGQGFSPADLRKFAKSQADYLLGSNPMKISYLVGYGDRYPEKVHHRGASIPEDVDTGCDGHKWLETSKPNPNVATGALVGGPYKNDSFVDERDNVMQNEATTYNSALVAGLLSALVSTSSLARSLS >ORUFI06G29400.2 pep chromosome:OR_W1943:6:27150943:27153042:-1 gene:ORUFI06G29400 transcript:ORUFI06G29400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANCVRCCCWLLVLMLMALAITAAVVFVRYKNGEGVFPFPGVPGAVDHKYADALAVALQFFQVQKSGKLVNNTIHWRGDSALDDGKEAGIDLSKGMYDAGDHMKFGFPMAFTATMLSWSVLEYGDAMRAADQRDSAIDALNWIMDYLVNAHPSDDVLYIQVGDPKADHKCWERPEKMKEKRPLTKITPKSPGSDVAAETAAAMAAASLVYKTINKTYSSSLLDHGERLFAFADKHRGSYTRTFPELSAFYNSTTYQDELLWAASWLYHATGNHSYLAYATGKNKDFADLGNPRYFSWDDKRAGTEVLLSRVSFFASQGSDVAQDDVLGMYKQTADAVMCILLPDSETAAFRTEGGLLYVAEWNSLQHPVASAFLAAVYSDYMQSSGKTELSCSGQGFSPADLRKFAKSQADYLLGSNPMKISYLVGYGDRYPEKVHHRGASIPEDVDTGCDGHKWLETSKPNPNVATGALVGGPYKNDSFVDERDNVMQNEATTYNSALVAGLLSALVSTSSLARSLS >ORUFI06G29410.1 pep chromosome:OR_W1943:6:27154038:27157274:1 gene:ORUFI06G29410 transcript:ORUFI06G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAADRSGAEEGESEWREELRQQQSQVDALRERLVEVKVGMKCSEEDSRKELDHLCRRVKTIATLLAYLKSKARIMAIPHLAHTSCGIRHQDGVGYVDRNGVPLADWSKGGESASCEGLDDETSADSSRVAEHGDANEGDVDVEDILKSIHVVTDVMETLVKRVIVAESEAANEKEKVRMGLEEIRRKTIQVESMSAKVEEMEKFAVGTNGMLNEMRQRVEDMVLETTRQRQRAAENEQELSRVKHDFESLRTYVGTLVNVRETLLSSEKQFETMEKLFDRLVARTNQLESEKAQKEAEVQKVVEENV >ORUFI06G29420.1 pep chromosome:OR_W1943:6:27157651:27161413:1 gene:ORUFI06G29420 transcript:ORUFI06G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRVWLSALLLAFLLAAAPVVQVARAQSVEEAATAEVVDGADLGIVSDDTQVSSDGPLSPAPGVETVCVFPKNAGKIVLAGEETELLVGLQNEGESTLNVVAIHSTLHLPFDHKMYGQNLTVQNFFNASVPVSVQATFPYTFAVSKFLQPGAYDLVGYIVYEIDQNPYQNVFYNGTVEVVEAGGLLSVESVFLITLGVALLGLFGLWAYGQVQQLSKKTKKAPKVELGTGTTDANMDEWLEGTAFAQGSKSKKKK >ORUFI06G29430.1 pep chromosome:OR_W1943:6:27163696:27167923:-1 gene:ORUFI06G29430 transcript:ORUFI06G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPADTAALASTSGAPLAPLVAAQLNFVLSQANLPIRVGQIWSGCRDGRYADRFTLAIPFCLDYVYWDFLYNALSPKVAPDVVFGPDDEGFQPLVDFDETGSGEKSCLANWDCRDTSALLSLIKELREFYIEYQKKRAAEVDDARLKFEISTVLSKEGIEVCTVSSNGRPDEVKFAVPLLDLDLAKLVPGCPWKLPQKIHLQAVFPISRSYSSVPSAPRLKLVSTPDLKSFFSVDDVKLPPWLDGMCMAEYLPNLEENLKIQVVEASASIGSRRRFIEALAPTFGRPLEADPVHFVIPLQFPKHQPVLTLESSQHFNAQGLPIMSAPVNDYPWSPRWDPTEMVERI >ORUFI06G29440.1 pep chromosome:OR_W1943:6:27170046:27173365:-1 gene:ORUFI06G29440 transcript:ORUFI06G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDNTVGLSLAVASSAFIGASFILKKIGLIRAGKGGVRAGGGGYTYLLEPLWWAGMMTMLLGEIANFVAYTFAPAVLVTPLGALSIIVSSFLAHFVLKERLEKLGVLGCVSCIVGSVIVVIHAPQEHMPNSVEEIWNLAIQPGFLTYAVATLVVVAALVLFFEPRYGQTNIMIYLGICSSMGSLTVVSIKAIGVAIKLTLDGMNQVAYPHTWLFVIIAIICVVSQINYLNKALDTFDLAVVSPIYYVMFTTLTIVASGIMFKDWAGQSFSSIASEFCGLITILTGTIMLHTAKEEETGSSAALPWPLDRGSISWCISLGSDNLLKNVNEDYFAALQSSPAPV >ORUFI06G29450.1 pep chromosome:OR_W1943:6:27192920:27198842:1 gene:ORUFI06G29450 transcript:ORUFI06G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCSRRFRDDAAPRSVQPLAAAYEARRGRYGPGDFDSGELAIPPPKLLTSHKVPETGTLLGRASIAAVEVLDTLGSSMTNLNHGSGFLSGGTNRGNRVCILAFEVANTIAKASNLWRSCSDTSIKELKEEILRSDGVQILVSSNSSELLYIAYVDKRDELDIFSREVIRFGNLCKDPTWHNLGRYFNKLTTDFAPQDHSKEHMETTIQQLINLAQNTSELYHELHALDRFEQDFQRKFHEEESVPAARRESVMILHSELKRQRKLVKTLKKKSLWSRTLETIVEKLVDIVVFLHKQIRDSFSEAGADLFNSEQAQNKRLGSCGLALHYANIINQIENIVSRPLSLPPSARDNLYHGLPVTVKSALRSRLQSVNAQEERTVAQIKAEMQKTLRWILPIAENTIRAHQGFGWVGEWANLGCEMNKKSGSQLSITRVQTLHYADKAKTEQYMLDLVVLLHHLVVQVKNRGYGSKSSKHDQSRSRKGMDLQPESKLNTSPVNNATYPSPLSESERETLDHLSFKRTGYGRSKSCEPPPNRGKKAHRTWDSCRSHGSSPAREFGRNSASELDKTMDLDVIDGLDRLTSYHPTSPTFC >ORUFI06G29450.2 pep chromosome:OR_W1943:6:27192920:27198842:1 gene:ORUFI06G29450 transcript:ORUFI06G29450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCSRRFRDDAAPRSVQPLAAAYEARRGRYGPGDFDSGELAIPPPKLLTSHKVPETGTLLGRASIAAVEVLDTLGSSMTNLNHGSGFLSGGTNRGNRVCILAFEVANTIAKASNLWRSCSDTSIKELKEEILRSDGVQILVSSNSSELLYIAYVDKRDELDIFSREVIRFGNLCKDPTWHNLGRYFNKLTTDFAPQDHSKEHMETTIQQLINLAQNTSELYHELHALDRFEQDFQRKFHEEESVPAARRESVMILHSELKRQRKLVKTLKKKSLWSRTLETIVEKLVDIVVFLHKQIRDSFSEAEQAQNKRLGSCGLALHYANIINQIENIVSRPLSLPPSARDNLYHGLPVTVKSALRSRLQSVNAQEERTVAQIKAEMQKTLRWILPIAENTIRAHQGFGWVGEWANLGCEMNKKSGSQLSITRVQTLHYADKAKTEQYMLDLVVLLHHLVVQVKNRGYGSKSSKHDQSRSRKGMDLQPESKLNTSPVNNATYPSPLSESERETLDHLSFKRTGYGRSKSCEPPPNRGKKAHRTWDSCRSHGSSPAREFGRNSASELDKTMDLDVIDGLDRLTSYHPTSPTFC >ORUFI06G29450.3 pep chromosome:OR_W1943:6:27192981:27198842:1 gene:ORUFI06G29450 transcript:ORUFI06G29450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCSRRFRDDAAPRSVQPLAAAYEARRGRYGPGDFDSGELAIPPPKLLTSHKVPETGTLLGRASIAAVEVLDTLGSSMTNLNHGSGFLSGGTNRGNRVCILAFEVANTIAKASNLWRSCSDTSIKELKEEILRSDGVQILVSSNSSELLYIAYVDKRDELDIFSREVIRFGNLCKDPTWHNLGRYFNKLTTDFAPQDHSKEHMETTIQQLINLAQNTSELYHELHALDRFEQDFQRKFHEEESVPAARRESVMILHSELKRQRKLVKTLKKKSLWSRTLETIVEKLVDIVVFLHKQIRDSFSEAGADLFNSEQAQNKRLGSCGLALHYANIINQIENIVSRPLSLPPSARDNLYHGLPVTVKSALRSRLQSVNAQEERTVAQIKAEMQKTLRWILPIAENTIRAHQGFGWVGEWANLGCEMNKKSGSQLSITRVQTLHYADKAKTEQYMLDLVVLLHHLVVQVKNRGYGSKSSKHDQSRSRKGMDLQPESKLNTSPVNNATYPSPLSESERETLDHLSFKRTGYGRSKSCEPPPNRGKKAHRTWDSCRSHGSSPAREFGRNSASELDKTMDLDVIDGLDRLTSYHPTSPTFC >ORUFI06G29460.1 pep chromosome:OR_W1943:6:27201002:27206481:1 gene:ORUFI06G29460 transcript:ORUFI06G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLHFLSLLLFLSLLQGAQAATFTISNRCGYTVWPGILSNAGVAPPSTTGFALSPGQTLAVSVAAAWSGRIWGRTLCGQDSSGKFTCATGDCGSGDVQCNGGGAAPPATLAEFTLAGGSGGGGGDDFYDVSLVDGYNLPMLVAPSTPPPASGGAASNNGSSCQVTGCVMDLNKSCPAELQVVAASAARRAVAACKSACEAFGTAEYCCSGAHGSPATCAATAYSRFFKGACPRAYSYAYDDATSTFTCAAAGGGYDVVFCPGMSSLKSGGNPEAVGLPPTYSTMAFTGNAESLTMSRNSLVILLMIISSVISTLSW >ORUFI06G29470.1 pep chromosome:OR_W1943:6:27206546:27209428:-1 gene:ORUFI06G29470 transcript:ORUFI06G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRDAARASLVSRNWRMLWTCHPNLCFDGTKQEPTDEGTLKIDRWYFSKTVNHVVRRHKGIGLNKFSINCDLNKDEFKHIDGKAVVLIEVHPNISGFTMLKRLALQYVKIVGDLPDLLSRCSLLEDLDISVCTGVGDLVIPCQLDKLQHLRIWGTEVQMIEFHVSCLTRFGYRGEAISIMLHGCPKSVKATIVFLELNQLDHVFTVLPSALPVKELSLDLHMYDYDLGQMYYYKKYKCRVRKTKVAREDYRLSRHDHLKTVYMSGFRCYRPQEELVYFILENAVALEFMSIEPHTILADDDHCDFSDIAEDKKIRKWARRTSACFGKQVQVKKKKLAQYFTM >ORUFI06G29480.1 pep chromosome:OR_W1943:6:27213030:27213574:1 gene:ORUFI06G29480 transcript:ORUFI06G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQARKEAAAAAQDMLKLDAAQMRKPAASQSRKGAAAPMRKAQGGARAASMISLIV >ORUFI06G29490.1 pep chromosome:OR_W1943:6:27218807:27223714:-1 gene:ORUFI06G29490 transcript:ORUFI06G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIINSLYSNKDIFLRELISNASDALDKIRFLALTDKEVLGEGDTAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTGGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQHVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYVEEDKLKDLVKKYSEFINFPIYLWATKEVDVEVPADEDESSESSEEEESSPESTEEEETEESEEKKPKTKTVKETTTEWELLNDVKAIWLRSPKEVTEEEYTKFYHSLAKDFGDDKPLSWSHFTAEGDVEFKALLFVPPKAPHDLYESYYNSNKSNLKLYVRRVFISDEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEYSNKDKTDEEKSAMEEKKGQYAKFWNEFGKSVKLGIIEDATNRNRLAKLLRFESTKSEGKLASLDEYISRMKPGQKDIFYITGSSKEQLEKSPFLERLTKKNYEVIYFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTDWWKKALDTESVDSVKISNRLSDTPCVVVTSKYGWSANMEKIMQSQTLSDASKQAYMRGKRVLEINPRHPIIKELRDKVAQDSESESLKQTAKLVYQTALMESGFNLPDPKDFASSIYRSVQKSLDLSPDAAVEEEEEVEEAEVEEKESSNIKEEAEPSSYDKDEL >ORUFI06G29500.1 pep chromosome:OR_W1943:6:27226466:27229835:-1 gene:ORUFI06G29500 transcript:ORUFI06G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDLDFSNPDTFLCPAVGGADPDGSCSMDSYFDDILKDTEHHACTHTHTCNPPVHDLSHTHTCVHVHTKIVSAPSDTPSDAAETAESPTENNASKKRPSGNRAAVRKYREKKKAHTASLEEEVVHLRALNQQLMKKLQNHATLEAEVSRLRCLLVDIRGRIEGEIGAFPYQRPVKNIDLVSSVDQGSYLGGAQVMNSCDFRCADQMYCSPGMQVRTMGEDGAVSGQVLGQGACDIASIQCQGAKSGSAKLPVCGAMGTMPVGCMPNSEKK >ORUFI06G29510.1 pep chromosome:OR_W1943:6:27232838:27235520:-1 gene:ORUFI06G29510 transcript:ORUFI06G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYCYYGNTSNPNPNPNPSPAPSAPPLYPTLSMADLAPVQIGPSSPSSPMSPASPATPVDAYANAPPPSEDVLLRIPGAQLHLIDRHRSYPLAAGDLSLLRIRSGDTSLAAIALLHPIQWPLARDVASVKLDPCHYSFSLTVPPSADDPNPGPLHYGLTLSHPDPRLDGILATYTSFSVQSVVGGEALASKVRDEVEAAAYWTAVAPNVEEYGGKVANAIATGAGHLAKGILWCSELTVDRLRWGNEVLKRRMQPGDADAEVSPEMLRRIKRVKMVTKMSEKVATGILSGVVKVTGYFTNSIANSKAGKKFFNLLPGEIVLASLDGFGKICDAVEVAGTNVLSTSSTVTTGLVSHKYGEKAAAATNEGMDAAGHAIGTAWAVFKIRQALNPKSVLKPTSLAKSTIKAAAADYRAKQKK >ORUFI06G29520.1 pep chromosome:OR_W1943:6:27237845:27242029:-1 gene:ORUFI06G29520 transcript:ORUFI06G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTLLLLLLLLPPSLASPDRDIYALAKLKAALVPSPSATAPPPLADWDPAATSPAHCTFSGVTCDGRSRVVAINLTALPLHSGYLPPEIALLDSLANLTIAACCLPGHVPLELPTLPSLRHLNLSNNNLSGHFPVPDSGGGASPYFPSLELIDAYNNNLSGLLPPFSASHARLRYLHLGGNYFTGAIPDSYGDLAALEYLGLNGNTLSGHVPVSLSRLTRLREMYIGYYNQYDGGVPPEFGDLGALLRLDMSSCNLTGPVPPELGRLQRLDTLFLQWNRLSGEIPPQLGDLSSLASLDLSVNDLAGEIPPSLANLSNLKLLNLFRNHLRGSIPDFVAGFAQLEVLQLWDNNLTGNIPAGLGKNGRLKTLDLATNHLTGPIPADLCAGRRLEMLVLMENGLFGPIPDSLGDCKTLTRVRLAKNFLTGPVPAGLFNLPQANMVELTDNLLTGELPDVIGGDKIGMLLLGNNGIGGRIPPAIGNLPALQTLSLESNNFSGALPPEIGNLKNLSRLNVSGNALTGAIPDELIRCASLAAVDLSRNGFSGEIPESITSLKILCTLNVSRNRLTGELPPEMSNMTSLTTLDVSYNSLSGPVPMQGQFLVFNESSFVGNPGLCGGPVADACPPSMAGGGGGAGSQLRLRWDSKKMLVALVAAFAAVAVAFLGARKGCSAWRSAARRRSGAWKMTAFQKLEFSAEDVVECVKEDNIIGKGGAGIVYHGVTRGAELAIKRLVGRGGGEHDRGFSAEVTTLGRIRHRNIVRLLGFVSNRETNLLLYEYMPNGSLGEMLHGGKGGHLGWEARARVAAEAACGLCYLHHDCAPRIIHRDVKSNNILLDSAFEAHVADFGLAKFLGGATSECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGGFGDGVDIVHWVRKVTAELPDNSDTAAVLAVADRRLTPEPVALMVNLYKVAMACVEEASTARPTMREVVHMLSNPNSAQPNSGDLLVTF >ORUFI06G29530.1 pep chromosome:OR_W1943:6:27246842:27249569:1 gene:ORUFI06G29530 transcript:ORUFI06G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDDDQDEVQESMEDQTAAAAGLDSRVKASLVLGTESFAISSESGILSEQLAAMKEKSMEILKGYITKHNAPADVPDEPIEGLSDDEGDAPAKNPPKKPKKQK >ORUFI06G29540.1 pep chromosome:OR_W1943:6:27248277:27252783:-1 gene:ORUFI06G29540 transcript:ORUFI06G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAASPPAIATALSALLRRQRRRSSRCVGASHARCLAADANAEAVAPSRRGGHGGTRLEEAVPAGEGRSRIDAWISARLGGGGVSRARIQASIRAGLVVVNGRPVSKVSHMVKGGDIVSCTVLELQPLRAEPEDIPLDIVYEDDHLLVVNKPAHMVVHPAPGNANGTLVNAILHHCKISTFTCLARNSIDDECPDSSDDDIDVFDIDQFTTGEVSSEVREALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGAPNPNSGRIEVPIARDPNNRIRMIATPGSGHRYARHAAIREVFAGGGSALVEWRLETGRTHQIRAHAKYLGIPLLGDETYGGTKSMALSLLRPRTPSRYHCDLSNMISKIDRPCLHAALLGFKHPHSGKILEFSCPPPDDFTEVLNELHQVTLASNGNSGGGVARIFYMYPSS >ORUFI06G29540.2 pep chromosome:OR_W1943:6:27248931:27252783:-1 gene:ORUFI06G29540 transcript:ORUFI06G29540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAASPPAIATALSALLRRQRRRSSRCVGASHARCLAADANAEAVAPSRRGGHGGTRLEEAVPAGEGRSRIDAWISARLGGGGVSRARIQASIRAGLVVVNGRPVSKVSHMVKGGDIVSCTVLELQPLRAEPEDIPLDIVYEDDHLLVVNKPAHMVVHPAPGNANGTLVNAILHHCKISTFTCLARNSIDDECPDSSDDDIDVFDIDQFTTGEVSSEVREALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGAPNPNSGRIEVPIARDPNNRIRMIATPGSGHRYARHAAIREVFAGGGSALVEWRLETGRTHQIRAHAKYLGIPLLGDETYGGTKSMALSLLRPRTPSRYHCDLSNMISKIDRPCLHAALLGFKHPHSGKILEFSCPPPDDFTEVLNELHQVTLASNGNSGGGVARICD >ORUFI06G29550.1 pep chromosome:OR_W1943:6:27260235:27265289:1 gene:ORUFI06G29550 transcript:ORUFI06G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGAFFHAAPRSGSNVSLASLARTAGGRRMMHRVFRGVITFIFAIAGLFLGAVTGGLIGLATESGLFRGTGIGAITGALVSIEVVDSSIRVWRSRRSGISSICYVLNVIYSLLTGRLVREKVDPAVQRVVRSQMNAVDSSPFRESPDLFEIEGTNGMPRASIDKLPEVRITEEYRRNAIGDLSGCSVCLQDFQTGEKVRSLPDCWHVFHVPCIDGWLIKHGSCPLCRRKL >ORUFI06G29560.1 pep chromosome:OR_W1943:6:27264562:27267175:-1 gene:ORUFI06G29560 transcript:ORUFI06G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTLMNLLRACWRPSSNQHARAGSDVAGRQDGLLWYKDTGQHVNGEFSMAVVQANNLLEDQCQIESGPLSFLDSGPYGTFVGVYDGHGGPETACYINDHLFHHLKRFASEQNSISADVLKKAYEATEDGFFSVVTKQWPVKPQIAAVGSCCLVGVICGGILYVANVGDSRVVLGRHVKATGEVLAVQLSAEHNVSIESVRKELQSMHPEDRHIVVLKHNVWRVKGLIQVCRSIGDAYLKRSEFNREPLYAKFRLREPFHKPILSSEPSISVQPLQPHDQFLIFASDGLWEHLTNQEAVDIVHSSPRNGSARRLIKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSSLVSRASTYRGPSVSLRGGGVNLRSNTLAPYASQM >ORUFI06G29570.1 pep chromosome:OR_W1943:6:27267226:27268698:-1 gene:ORUFI06G29570 transcript:ORUFI06G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNASASRESAAGDPPTSQRRLTPAAGSFVPGGAGRREREREGFPLGSASIRSERRRIRVGSEAASSVATPKSRDSIEATLPNSLKSPIRNPPIGRVRHAKRIKENIGVSTSEAAFS >ORUFI06G29580.1 pep chromosome:OR_W1943:6:27276925:27280000:1 gene:ORUFI06G29580 transcript:ORUFI06G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSSYLALALAVAAVLAMAAVEVSGLGFDLHHRYSPIVQRWAEERGHAGVSWPAGAEVIGSPEYYSALSRHDHALFARRGLAQGDGLVTFADGNITLRLDGSLHYAEVAVGTPNTTFLVALDTGSDLFWVPCDCKQCAPLGNLTAVDGGGGPELRQYSPSKSSTSKTVTCASNLCDQPNACATATSSCPYAVRYAMANTSSSGELVEDVLYLTREKGAAAAAAGAAVRTPVVFGCGQVQTGSFLDGAAADGLMGLGMEKVSVPSILASTGVVKSNSFSMCFSKDGLGRINFGDTGSADQSETPFIVKSTHSYYNISITSMSVGDKNLPLGFYAIADSGTSFTYLNDPAYTAYTTNFNAQISERRANFSGSTRSGPFPFEYCYSLSPDQTTVELPVVSLTTNGGAVFPVTSPVYPIAAQMTNGEIRIIGYCLAVIKSDLPIDIIGQNFMTGLKVVFNREKSVLGWQKFDCYKDEKMTDDGSSVGSPSPSPGPTTHVFPQPQESDSPAGRTPIPGAAPVPRSSSAAAGGRAGFRLLSSMALLLLAAAAAAVF >ORUFI06G29590.1 pep chromosome:OR_W1943:6:27280515:27282682:1 gene:ORUFI06G29590 transcript:ORUFI06G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAILPHVGWGWCSELRNGGGRIAPLLSHISLLHRPHAHQPLSPASLLSSPLPSHARIDSLSRISLRRPLRINLAVILSISHIVLARTGAGMSSASRATQRQDEGVALPFPSPPWQLVRCFPFLSHVWNRSANNKKLDKINP >ORUFI06G29600.1 pep chromosome:OR_W1943:6:27282695:27286330:1 gene:ORUFI06G29600 transcript:ORUFI06G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAALSGMAGGDGKKGARAAGNGGGHLCSAGYGRAVGGCGRAPAELLRRTTTRAGLEGILALNLMTAEACMVGAEAAAESRNNLARIKAR >ORUFI06G29610.1 pep chromosome:OR_W1943:6:27286388:27287670:1 gene:ORUFI06G29610 transcript:ORUFI06G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGHVFAVVAFVSYALLAAASTTVEAFAASGWSKGTATFYGGSDASGTMGGACGYGNLYTQGYGTRTAALSTALFDDGASCGQCYALTCDARADPRWCRAGASVTVTATNFCPPNYALPSDDGGWCNPPRPHFDMAQPAWERIGVYRGGIVPVAFRRVPCRRRGGVRFTVAGRDYFELVLVTNVAAAGSVRSMEVRGSRRGAGWMAMSRNWGANWQSLAYLDGQGLSFRVTATDGQTIVFAGVVPPSWRFGQTFASTQQFM >ORUFI06G29620.1 pep chromosome:OR_W1943:6:27288205:27292512:-1 gene:ORUFI06G29620 transcript:ORUFI06G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSPSLAKSIFSLGTQGAMIERSNSTPSATPARPPLAVDEEYNQAFRSKSFLDLWSHAHHHLTHTFSSFKLSTSTPCAGRGGAREDDFLHAGGDGGAADDSEQSCSYTVLDDFVLEPSPESLARGARLQQRRRRRPRRHRVETLLIEYFDVTEEACEACSALLAAIGAARRHHLTLRRLLLRLDGGDDDDAKDALARHVRLDNPLSPGSLSEFHDVHARLSEFHDVHARCSPLASRLAAAQRRLRRLARALRIARGTAAAALVGACAAAIVAAVVLAAHALVGIGVAAAAFGATPAGAARWWGRRAAEKVSSRHYARAGATLDAAARGAYIVGRDLDTVSRMVRRAHDELEHGRDVARIAMRGHGERPLLQEVAREEEECEEDLRAQLAELEEHVCLCLITINRTRRLVAHEMARGLPPPSPATVTTTSEERLTSS >ORUFI06G29630.1 pep chromosome:OR_W1943:6:27299245:27300164:1 gene:ORUFI06G29630 transcript:ORUFI06G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPLQLVALLLLSLLLRSATAAEYTVGDGPWDTGTNYATWSDKHAFLAGDILVFQYVRSQHNVLQVTEATYRSCDTGGGGVAGVIKSYDTGYDRVQLTEPNATYWFICDFPGHCLGGMRLAVKVAAAAAAGRRRRREPAAQRRPLASAGRQRRGAEPMAGVGVDSRRPPRRLPLLYYYFLSLMVLFFSGENLETLFV >ORUFI06G29640.1 pep chromosome:OR_W1943:6:27302321:27304451:1 gene:ORUFI06G29640 transcript:ORUFI06G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPVTSWEDWRPDCTANLHDFHVVDNTAHHGLLNKLMLSTSDDEEAKGSSLSLGCLAMSYPALSIDDDDVVYLLCNSANRDCGTVLVGVRGYDSGHREKEGGSTATSRTSNGRPIEMTFWNEAPPALSHFSAHGSDLPPAAHGDLLLAPKVIAVADGLLLLRVPVNPVPGGKSLFRQDDYFVYHHHQPARLDLLPRPCQQYCLRDDDFAIVSICGDKQQYVVAALEMINLPSQFALHRYKSSSGGGDGDEIAGNWTCEEVFVEEAVRDRVCPIPDSDERPVYHITTKTIVLGGAKGTVGWVDLWRGILLCDLLDEMSPPKLRDMPLPWPAKGNWTRYLSDSESFYRDITVSQHKDFIKYVEMEITMPRVVTKTIISSGDRTMPADDPPDSFLEWVRRSREPQPQPTTRQRSSVRRPGQWRLTTWTMPIPVTSWEDWRPDCTANLHDFHVVDNTAHHGLLNKLMLSTSDDEEAKGSSLSLGCLAMSYPALSIDDDDVVYLLCNSANRDCDMGGVMIALDVRKKEIQGDAM >ORUFI06G29650.1 pep chromosome:OR_W1943:6:27313387:27316928:1 gene:ORUFI06G29650 transcript:ORUFI06G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGGYQLDFCSLSSSKQALAYVEELEKEVRRLVDDNLNLKKQCKEGSGMREDIITGEQEQQLFSF >ORUFI06G29650.2 pep chromosome:OR_W1943:6:27313387:27316928:1 gene:ORUFI06G29650 transcript:ORUFI06G29650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGGYQLDFCSLSSSKQALAYVEELEKEVRRLVDDNLNLKKQCKEITGEQEQQLFSF >ORUFI06G29660.1 pep chromosome:OR_W1943:6:27318691:27321532:-1 gene:ORUFI06G29660 transcript:ORUFI06G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKLRDMPLPKLRDMPLPWPAKGNWRMYLNGDVSFCRDIAISQHKDSIKYLEMEIVSPRTVTTTIPTSTSADPTSYLEWPTRRRSVFHPGSWRITTWSMPIPVTSWDDWRRDCTAESREVHLDTNPSHHYELLHSLMLSNSGTRSCEEWPSLTPKRTPSTPCDATLQLGSPNASTLPQTQELDDLRRMQKPPSR >ORUFI06G29670.1 pep chromosome:OR_W1943:6:27324539:27327374:1 gene:ORUFI06G29670 transcript:ORUFI06G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYAPTTLAPAHDFWLLRYTSILGDGSLVVCERSLSSKQGGPSMPLVQPFIRDEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSALVAQKISMASCKIQSLRCLRQVAYKDTRSVITGWGRKLAALHALSQKAHHVGLLNSRCFLVLAMNLQFKSVKNNFACSLFRGFNEVLNGLADDGWSVIESDGIDDVCISVNSSKVTGCNATFSSGLTIVSTGVLCAKASMLLQFLEVIKLGNTRNYQDTLVHRDLFLLQRRHGAGSAASIAAASSKAVMTIAFQFAFESHLQGSVPAMAQQYMCSIISSVQRIAVVLSSSRLVPPGVAAATQHAPATRCCPDGFARAIGLWLRSCL >ORUFI06G29680.1 pep chromosome:OR_W1943:6:27337032:27337946:-1 gene:ORUFI06G29680 transcript:ORUFI06G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTADQARAPQIDWRHSFSPSFGAALLKEWIGVNQQRCGLCSDLGRGGYKNTAMRSGGVINGGKEWQVVTKAEGPGVLRVRRPLAVRGHGDGHAAIIQVWLLTNFFPEVGEVSPIGTHTTYIVIFGARAHSVLLFDL >ORUFI06G29690.1 pep chromosome:OR_W1943:6:27341241:27342931:1 gene:ORUFI06G29690 transcript:ORUFI06G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGGAAGEKTASSLLLGVRGYTSTLKNASTASCRLSAGHPIEVTLWEASPPALSHFSLRDMPLPWPAKGNWRRYLNEDVSFCRDIAISQHKDSIKYLEMEIVSPRTVTTTIPTSTSADPTSYLEWVRRSREPQPTRRRSVFHPGSWRITTWSMPIPVTSWDDWRRDCTAESREVHLDTNPSHHYELLHSLMLSNSGDEHREEAQGTRSCEDAMAAAAAENAASGSVRGYTSAGKNATTASSRTSTGHPIEVHSGTSRRRPPALSHFSVVHCPDRPELDMPGGVRGGSGCARSITQLREPYVPPDHQPRPSCSEAQRAPSAGSISGAGILVCDVLDEMSPKKLRDMSPRSGQA >ORUFI06G29700.1 pep chromosome:OR_W1943:6:27349484:27356731:-1 gene:ORUFI06G29700 transcript:ORUFI06G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYPRRDPPPDRQGQVPPRGDPPPGRQRQVPPRGDPPPGRQRVPPRLLITPFRDIGQRLSTGQAFKPIGQVSVSRMLAGPQLFGRLASQLFEVDRSLAFSTAGDSAEEGDEEDEEGEEEETAGDSAEEGDEEDEEGEEEETEEECVQKDEGGESTLPPPQVPRVPRDFCETKLISFLGRGYKIILQSKNGPCSLIAICNYLILTRKLSLPPSMTIVYLDYLVDRVFSQVFSKMKDDSYKTAQATVEQTATGLDIDIYCTSVDGFEDTPQYGLFRILDIPLYHAWVLDMNNQEDTILLNAVDGRSYNQLNIDRAKYNSKKDADFMIDAAETRRYELIHTLHTLKAATPDRKLFIFFQNEHFNVVYKYHGRFFVLESDVGFRNYQNIWRSVDSPGESGVLVHDITISRDQHSVLKSGNYFEGAKQSFKKKPHSARQTQHHSVSSSLESSQGPPPVRHSRMAGEHGSSSSVKPRPSPTNAQLETRPPSPGTVRADFASYFMSGKDQKLEHMFLDFLRSFERNNIPYYGAIVDSMKTLSSPEMHIHFDHIWSYAPELAHDLCQCYPRIQKNLENAVRTFIHDLNLPGFHCENPVICICDMPKPDRWIPLRTFLKLRGYHLTKPRHIRCDGFFLPVIDTTQIGRIILTNLLTMITRSHKGGRSWNGHWDLDHVMVSDSLDVKIDLPCTYQAACKTEAHDFLRVATDVLPEYEVGGKWPGLFRHLDKSLRNYIDHLRYGSAMLRKFQRFITIHPALKSSLTRLQIIDNIYSAHQATTGQVKRLLQSILNSVTLRQDWRVRCQKQDGSVSSVSPIHTVVYEHHRAKMEKSESNEQGDENAEKEGHELGGYVGNDGGNEECPEQREEYEPFENSVEDGMVYLRHVRHHGWKGSKDNMGKQQFLRLSDLELVNSNSLDEILPAMVECLILHKDDYHHMYDKDIYDRVLGWIDEILENYELLEFPKSYGIDFPAITPTGHDDAFPDPHRSAPPSGHYNPIGPPDVPGLEPSCSARRPKQEAPLQRPPS >ORUFI06G29710.1 pep chromosome:OR_W1943:6:27372411:27372650:-1 gene:ORUFI06G29710 transcript:ORUFI06G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVVRWNGGEAVGVVTAAVWRRRGAVGQRPCAAPQPWGKGGGTEVKGGSGVGAGGWRFEVEVIRRCRAYGAGDEAAV >ORUFI06G29720.1 pep chromosome:OR_W1943:6:27381537:27382347:1 gene:ORUFI06G29720 transcript:ORUFI06G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRNDQACAAKGFYTYDAFVAAANAYPDFATTGDADTCKREVAAFLAQTSHETTGGWPTAPDGPYSWGYCFKEENNGNAPTYCEPKPEWPCAAGKKYYGRGPIQITYNYNYGPAGQAIGSDLLNNPDLVASDATVSFKTAF >ORUFI06G29730.1 pep chromosome:OR_W1943:6:27387640:27388196:-1 gene:ORUFI06G29730 transcript:ORUFI06G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYHHQEYYQMAAAAAVAWPREPDSPQLSIMSGCSSLFSISTLRDDDDGGGVRLAGAALPATPVSLAGIAGGASTPGGDEVDMEVRQQSGGSGDDRRTIRMMRNRESALRSRARKRANLIV >ORUFI06G29740.1 pep chromosome:OR_W1943:6:27393856:27399707:1 gene:ORUFI06G29740 transcript:ORUFI06G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSGEYSPYYQPYPSPTSAPLATYPSASAPPYTPYPATDYAAPAAYPTYPPPPADPPQYAPPPAAPQPQPYYPYEPPPHNPAPSPYPSLDRAGSYGYGSGSQELYPPKPAGGGWSDDGVYAYSGGGGDAPEPYGARGTAPRSNSPLFDDYGRSIGSTKERGGGGGGGGGSSASPKVVRAVPKVETSEDTSGGVQKFRVKLLPEGAGSPMDVLCQVGLDGIRMLDPNTSRTLRIYPLETVTRWDVLDSSIFAFWSKSSVDFEARRIRLKSNSYTTNTILDTVTAASVQFKEMGGSSISRSRAIADAAKPPEQQNDRRKNFLDWRNLMKPMNEEKDHWVPDEAVTKCTACTADFSAFNRRHHCRNCGDIFCDKCTQGRTPLTTDADAQPVRAEVSQRLNNAREAANRPIVHSHEDLAKKLKDAMDINKKSSSASSRSTDGSSRRMREVACPTCTVHLQVQVPTSGSETIECGVCQQPFLVSAR >ORUFI06G29750.1 pep chromosome:OR_W1943:6:27399745:27402839:1 gene:ORUFI06G29750 transcript:ORUFI06G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKNPFRKESRKLKPLAVAILDWRLRQQETRQREEKCGRNLMGMGMEAAWACAVDRATGAADSTKRFFLSFRRPPPPPPGPNPIDILKRLQRQAFYDIMQLREKQEKIERVLTLFKASKSGPFAEESTRVKGIITVAGSLSSKNKKDSGPDSSETNSGISSQFVFQTNVRKKDSLLAELVTDHRSLPSENDSIGSPFVLSKVMYLANINDSLSASAVPVGARCDDFSTDPSLQEEHWLASFRSSLRPPLLIKRHNYAAGLILRSKNFAVSLAELISAAGKPNNSGEASRFFTGFGQMSCQMQNEMKLTMSAALHGPGLISRKSKPTAGGCVDFDLKIDEDSRVGAWIEVKKANPRLVRWALTLSETPEDDLGWGLSLRRGTEGSPERLQLEGFLNVHLGKATLQPGLMFNIDGRRCAPALVFQSSWFL >ORUFI06G29760.1 pep chromosome:OR_W1943:6:27402472:27405189:-1 gene:ORUFI06G29760 transcript:ORUFI06G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSKGGGAEGDGMRMQVVWRKGAVRLVLVSAIAWALLVILALAFHLWSCNSDVPFLSGCPIPVADDPDSITIPKRTPNTIVHCGFMKNSGADMDDVDVKYIQKCKFVVASGIFDGYDIPHQPSNISIRSQKLFCFLMVVDEVSLDFIEKNTTVKFDKAGGKWVGIWRLITLHRLPFDEPRRNGKVPKILTHRLFPQAWYSIWIDGKMELIVDPLLILERYLWRGKYTFAVAVHKHHKSIYEEGDAIKRRKRYARPLVDLQMKMYYHEGMEPWNPKKRMPSDVPEGAVLIREHTTMSDLFSCLWFNEVNLFTPRDQLSFGYVVYRLGDALKFFMFPNCEYNSLFILHRHTREHSSKVEWAKTIDEIVKKGLKESKGGLGLWTPYPADLSSVELPSVKRTSPAG >ORUFI06G29760.2 pep chromosome:OR_W1943:6:27402472:27405189:-1 gene:ORUFI06G29760 transcript:ORUFI06G29760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSKGGGAEGDGMRMQVVWRKGAVRLVLVSAIAWALLVILALAFHLWSCNSDVPFLSGCPIPVADDPDSITIPKRTPNTIVKRLSYITVDKQDKDPSPLFGGRQSWKQREDSFKLNATMKATTFLYCVHCGFMKNSGADMDDVDVKYIQKCKFVVASGIFDGYDIPHQPSNISIRSQKLFCFLMVVDEVSLDFIEKNTTVKFDKAGGKWVGIWRLITLHRLPFDEPRRNGKVPKILTHRLFPQAWYSIWIDGKMELIVDPLLILERYLWRGKYTFAVAVHKHHKSIYEEGDAIKRRKRYARPLVDLQMKMYYHEGMEPWNPKKRMPSDVPEGAVLIREHTTMSDLFSCLWFNEVNLFTPRDQLSFGYVVYRLGDALKFFMFPNCEYNSLFILHRHTREHSSKVEWAKTIDEIVKKGLKESKGGLGLWTPYPADLSSVELPSVKRTSPAG >ORUFI06G29770.1 pep chromosome:OR_W1943:6:27405906:27408036:-1 gene:ORUFI06G29770 transcript:ORUFI06G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAIATTSRSLLPLPPFSSNRRCRRRASFLPVAASNRRHHDDDDEEVAKAHEPTSLASYGLSISPLSKDAAMGLVVSAATGRGWTTGSGMEGPPKAAGGGDRPEVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNIFHKLVDNLNLFHEMKCYVGPDFRYEGDAPFNYLDRGEDGDNIFPIL >ORUFI06G29780.1 pep chromosome:OR_W1943:6:27408619:27411130:-1 gene:ORUFI06G29780 transcript:ORUFI06G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHRLPPPPWPTCEEGKRRGRRKKASRRRRKKEEEGEAMPREGTRMAGLWEREVGCLPPKLFANSVMASQDFVRSLGVQKRLRKHRGCVNTISFNEDGSLLLSGSDDRAAVLWNWQEGTPTFAFHTGHSDNVFHALFMPFSGDRSIITCAADGQVRHSQIQEGGRVITNELVDTEVAVHKLAIEPGNPHTFFSCGDNGSVFLFDLREKYVAELFKCAEVDHFGGDTIELYAIAIDPRKPSCFAVAGSDEYVRIYDSRKIDVNGNSSFGRPIEYFCPPHMMGENKDGISGLAFSQTSELLASYSYDNIYLFSREHGLHFNNIEVGKRLLMDEIEGDCHINTAPLPFCRDKLPAPQIFKGHRNKHTMKGVNFLGPNCDYVTTGSDCGRVFIWRKKDGELMRVMKGDKQIVNCVEQHPYGIVIANCGIDKDIKIWAPGGSENPDEVETDSCCSDISESYDSVYFDDYIFSSDFDSSEEDDEDDDDDDDDDDDDEEDGLSVSINEDMSYEEKDAASDHDSDV >ORUFI06G29790.1 pep chromosome:OR_W1943:6:27411402:27416073:1 gene:ORUFI06G29790 transcript:ORUFI06G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPASSSLLGAVCISPLIWLISVLTPLLFAGGDPRAQEVTTSSISRFGAWYSGSPSPYRGNPKSRSRSRSPAARSQSRSPVPDPRSQARSRSRSREREPDAVNHGNTLYVTGLSSRVTERELKDYFSKEGRVTSCHVVLEPHTRVSRGFAFVTMDTVEDAERCIKYLNQSVMEGRNITVEKSRRGRPRTPTPGSYLGHRYDRREPRGRYRSRGGGYGRDEYYGNSYRRSPPPMYPSYRDTRDYPPYRDTRDYSPHRDARDYYDGRGGRGYSPHRSPPYGGGRARRERSRSLPYSPYRMPERGYGRQAGGGGYDR >ORUFI06G29800.1 pep chromosome:OR_W1943:6:27428619:27447232:-1 gene:ORUFI06G29800 transcript:ORUFI06G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSSHIQELRELIAASSTTTSTSAPASVHFEVKLREVLPNLLRDYVVPSSPTADGREATAVLKLLSYTAGKFPGVFFHGRAADVIRVIGRVLPFFAEPNFRSRHEIIFDTVWSLLSLLRTGDREAYRQFFLDVMVAVQDVLYVVASMHGDRPSGVLTERYLVKCLCGSFSDILDSPGIFSDLPDSCQPKNGPGVLVDLTGETRWRPFATMLIKLVNKCLADGTLYVEGLVNMPFVSAACSIICYGDESLHKVCFDFARIVATVITVEILPVENIIRSIMCILSQDVNGLSDIRDADYDFSMGACLHALHSSCPGYIVAITASDIVNVFQRAVHTSRSSELQVAMCNAYKRIVELCSPRVWKPEILLKLLCLPKPCAKLIECIRLVVDKSGQSFLSSDDRDDGSSLLAKSEGLDLPKVGQKRIALDEENSFPKRLKMTEPRFSSGSFMVDELSAGVGQELEKDHGCDFRVQLYSLINCLSPDNHMAYPLEPAISIQVLSLLCLSLSVYPKTNLFSRISKQVLSWIPWICKQTTKICMFSFDVSLYFEAVQTVMLLQSFLPGHTKLFEDEPLLIGNGCTDFEYPRYADLINLLKLVSDDGYLTSQTCSEKLKCLAVQIIAKIGSRQNAECDLQVLELAIQSETGELQNEALMSLPIIVLYSGPRMLGAMFRKLETIGTLGCKKLWKSIAISLGFLSCLNGTTDCTDKVGNHCKLFLAKHCEQPILTLNLLRGFWCPQCDVRTVHIEDQVPIVDIALSEDKNIDFKINMFKAHSLFFKFLYAETSEECIVSIVEVLPRILKHSSRDVLLDMKFQWVQCVDFLLLHEMKAVRDAFSSVVSCFLETNAMDILFSDGTGMSGGTSRVKFMDKIKSAFTEAEDPQILLTLLESTAAIVKASDIHGEVFFCSFVLLIGQLGNHDYIVRVTALRLLQRCCTYCFKGGLELFLSKYFHVRDNLYDYLSSRLLTHPVVISEFAESVLGVKTEELIRRMVPSIIPKLIVSHQNNDQAVVTLNELASHLNRTDSKEIFSAALPTLLDEIICFPGESDQIETDRRMAKISPTIQNIARILTGNDNLPEFLKNDFVRLLNSIDKKMLHSSDVNLQKQALQRIRKLVEMMGPYLSTHAPKIMVLLIFAIDKETLQMDGLDVLHFFIKRLAEVSCTSIKYVMSQVVAAFIPSLERCRERPLVHLGKIVEILEELVVKNIILLKQHIRELPLLPSLPSLSGVNKVIQEARGLMTLQDHLKDAVNGLNHESLNVRYMVACELNKLFNDRRGDITSLIIGEDIADLDIISSLIMSLLKGCAEESRTVVGQRLKLVCADCLGALGAVDPAKFKVMSCERFKIECSDDDLIFELIHKHLARAFRAASDTTVQDSAALAIQELLKLSGCQSLPNESSSCKMSKRGQKLWGRFSSYVKEIIAPCLTSRFHLPSVNDATLAGPIYRPTMSFRRWIYYWIRKLTSHATGSRSGIFGACRGIVRHDMPTAIYLLPYLVLNVVCYGTPEARQSITEEILSVLNAAASESSGAIVHGITGGQSEVCIQAVFTLLDNLGQWVDDLKQEIALSQSNYAMAGRQGGKLRDESNSMYDQDQLLVQCSNVAELLAAIPKVTLAKASFRCQAHARALMYFESHVREKSGSSNPAADCSGAFSDDDISFLMEIYGGLDEPDGLLGLANLRKSSTLQDQLIINEKAGNWAEVLTLCEQSLQMEPDSVHRHCDVLNCLLNMCHLQAMIAHVDGLVYRIPQSKKTWCMQGVQAAWRLGRWDLMDEYLAEADKGLVCRSSENNASFDMGLAKIFNAMMKKDQFMVAEKIAQSKQALLVPLAAAGMDSYMRAYPYIVKLHMLRELEDFNSLLGDESFLEKPFAADDPKFLKLTKDWENRLRCTQPSLWAREPLLAFRRMVYNLSHMNAQAGNCWLQYARLCRLAGHYETAHRAILEADASGAPNAHMEKAKYLWNIRKSDSAIAELQQTLLNMPADVLGPTVLSSLSSLSLALPNAPLSVTQASKENPDVSKTLLLYTRWIHYTGQKQSNDIKSLYSRVADLRPKWEKGFFCIAKFYDDLLVDARRRQEDKKIASGVGPVPPSSTGSLTTATEEKPWWDMLPVVLIQYARGLHRGHKNLFQALPRLLTLWFEFGSIYIQDGSSFNKPMKEVHIRLLGIMRGCLKDLPPYQWLTVLSQLISRICHQNIEVVKLVKCIVTSILREYPQQALWMMAAVSKSTVAARRDAAAEILQSAKKGSRRGSDSNALFMQFPSLIDHLIKLCFHPGQPKARAINISTEFSSLKRMMPLGIILPIQQALTVTLPSYDTNMTDQSTFRPFSVSEHPTIAGIADDAEILNSLQKPKKVVFIGSDGISRPFLCKPKDDLRKDSRMMEFNAMINRLLSKVPESRRRKLYIRTFAVVPLTEDCGMVEWVPNTRGLRQILQDIYITCGKFDRMKTNPQIKKIYDQLQGKMPEEMLKAKILPMFPPVFHKWFLTTFSEPAAWIRARAAYAHTTAVWSMVGHIVGLGDRHGENILLDSTTGDCIHVDFSCLFDKGLLLEKPEVVPFRFTQNMVDGLGITGYEGVFVKVCEITLSVLRTHKEALMTVLETFIHDPLVEWTKSHKSSGVEVRNPHAQRAISNITERLQGVVVGVNAAPSLPLSVEGQARRLIAEAVSHSNLGKMYAQEIPVAKQRWRSERLGEGVCGKLYNLENDQNIRG >ORUFI06G29800.2 pep chromosome:OR_W1943:6:27431077:27447232:-1 gene:ORUFI06G29800 transcript:ORUFI06G29800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSSHIQELRELIAASSTTTSTSAPASVHFEVKLREVLPNLLRDYVVPSSPTADGREATAVLKLLSYTAGKFPGVFFHGRAADVIRVIGRVLPFFAEPNFRSRHEIIFDTVWSLLSLLRTGDREAYRQFFLDVMVAVQDVLYVVASMHGDRPSGVLTERYLVKCLCGSFSDILDSPGIFSDLPDSCQPKNGPGVLVDLTGETRWRPFATMLIKLVNKCLADGTLYVEGLVNMPFVSAACSIICYGDESLHKVCFDFARIVATVITVEILPVENIIRSIMCILSQDVNGLSDIRDADYDFSMGACLHALHSSCPGYIVAITASDIVNVFQRAVHTSRSSELQVAMCNAYKRIVELCSPRVWKPEILLKLLCLPKPCAKLIECIRLVVDKSGQSFLSSDDRDDGSSLLAKSEGLDLPKVGQKRIALDEENSFPKRLKMTEPRFSSGSFMVDELSAGVGQELEKDHGCDFRVQLYSLINCLSPDNHMAYPLEPAISIQVLSLLCLSLSVYPKTNLFSRISKQVLSWIPWICKQTTKICMFSFDVSLYFEAVQTVMLLQSFLPGHTKLFEDEPLLIGNGCTDFEYPRYADLINLLKLVSDDGYLTSQTCSEKLKCLAVQIIAKIGSRQNAECDLQVLELAIQSETGELQNEALMSLPIIVLYSGPRMLGAMFRKLETIGTLGCKKLWKSIAISLGFLSCLNGTTDCTDKVGNHCKLFLAKHCEQPILTLNLLRGFWCPQCDVRTVHIEDQVPIVDIALSEDKNIDFKINMFKAHSLFFKFLYAETSEECIVSIVEVLPRILKHSSRDVLLDMKFQWVQCVDFLLLHEMKAVRDAFSSVVSCFLETNAMDILFSDGTGMSGGTSRVKFMDKIKSAFTEAEDPQILLTLLESTAAIVKASDIHGEVFFCSFVLLIGQLGNHDYIVRVTALRLLQRCCTYCFKGGLELFLSKYFHVRDNLYDYLSSRLLTHPVVISEFAESVLGVKTEELIRRMVPSIIPKLIVSHQNNDQAVVTLNELASHLNRTDSKEIFSAALPTLLDEIICFPGESDQIETDRRMAKISPTIQNIARILTGNDNLPEFLKNDFVRLLNSIDKKMLHSSDVNLQKQALQRIRKLVEMMGPYLSTHAPKIMVLLIFAIDKETLQMDGLDVLHFFIKRLAEVSCTSIKYVMSQVVAAFIPSLERCRERPLVHLGKIVEILEELVVKNIILLKQHIRELPLLPSLPSLSGVNKVIQEARGLMTLQDHLKDAVNGLNHESLNVRYMVACELNKLFNDRRGDITSLIIGEDIADLDIISSLIMSLLKGCAEESRTVVGQRLKLVCADCLGALGAVDPAKFKVMSCERFKIECSDDDLIFELIHKHLARAFRAASDTTVQDSAALAIQELLKLSGCQSLPNESSSCKMSKRGQKLWGRFSSYVKEIIAPCLTSRFHLPSVNDATLAGPIYRPTMSFRRWIYYWIRKLTSHATGSRSGIFGACRGIVRHDMPTAIYLLPYLVLNVVCYGTPEARQSITEEILSVLNAAASESSGAIVHGITGGQSEVCIQAVFTLLDNLGQWVDDLKQEIALSQSNYAMAGRQGGKLRDESNSMYDQDQLLVQCSNVAELLAAIPKVTLAKASFRCQAHARALMYFESHVREKSGSSNPAADCSGAFSDDDISFLMEIYGGLDEPDGLLGLANLRKSSTLQDQLIINEKAGNWAEVLTLCEQSLQMEPDSVHRHCDVLNCLLNMCHLQAMIAHVDGLVYRIPQSKKTWCMQGVQAAWRLGRWDLMDEYLAEADKGLVCRSSENNASFDMGLAKIFNAMMKKDQFMVAEKIAQSKQALLVPLAAAGMDSYMRAYPYIVKLHMLRELEDFNSLLGDESFLEKPFAADDPKFLKLTKDWENRLRCTQPSLWAREPLLAFRRMVYNLSHMNAQAGNCWLQYARLCRLAGHYETAHRAILEADASGAPNAHMEKAKYLWNIRKSDSAIAELQQTLLNMPADVLGPTVLSSLSSLSLALPNAPLSVTQASKENPDVSKTLLLYTRWIHYTGQKQSNDIKSLYSRVADLRPKWEKGFFCIAKFYDDLLVDARRRQEDKKIASGVGPVPPSSTGSLTTATEEKPWWDMLPVVLIQYARGLHRGHKNLFQALPRLLTLWFEFGSIYIQDGSSFNKPMKEVHIRLLGIMRGCLKDLPPYQWLTVLSQLISRICHQNIEVVKLVKCIVTSILREYPQQALWMMAAVSKSTVAARRDAAAEILQSAKKGSRRGSDSNALFMQFPSLIDHLIKLCFHPGQPKARAINISTEFSSLKRMMPLGIILPIQQALTVTLPSYDTNMTDQSTFRPFSVSEHPTIAGIADDAEILNSLQKPKKVVFIGSDGISRPFLCKPKDDLRKDSRMMEFNAMINRLLSKVPESRRRKLYIRTFAVVPLTEDCGMVEWVPNTRGLRQILQDIYITCGKFDRMKTNPQIKKIYDQLQGKMPEEMLKAKILPMFPPVFHKWFLTTFSEPAAWIRARAAYAHTTAVWSMVGHIVGLGDRHGENILLDSTTGDCIHVDFSCLFDKGLLLEKPEVVPFRFTQNMVDGLGITGYEGVFVKVCEITLSVLRTHKEALMTVLETFIHDPLVEWTKSHKSSGVEVRNPHAQRAISNITERLQGVVVGVNAAPSLPLSVEGQARRLIAEAVSHSNLGKMYEAVKVGRTLTVVVDWCRSWKPSMAAHAGEKKSPMALYSHASLLSEKSSSKNACSSSSISFLSSSLCFASAAAIA >ORUFI06G29800.3 pep chromosome:OR_W1943:6:27428619:27447232:-1 gene:ORUFI06G29800 transcript:ORUFI06G29800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSSHIQELRELIAASSTTTSTSAPASVHFEVKLREVLPNLLRDYVVPSSPTADGREATAVLKLLSYTAGKFPGVFFHGRAADVIRVIGRVLPFFAEPNFRPSGVLTERYLVKCLCGSFSDILDSPGIFSDLPDSCQPKNGPGVLVDLTGETRWRPFATMLIKLVNKCLADGTLYVEGLVNMPFVSAACSIICYGDESLHKVCFDFARIVATVITVEILPVENIIRSIMCILSQDVNGLSDIRDADYDFSMGACLHALHSSCPGYIVAITASDIVNVFQRAVHTSRSSELQVAMCNAYKRIVELCSPRVWKPEILLKLLCLPKPCAKLIECIRLVVDKSGQSFLSSDDRDDGSSLLAKSEGLDLPKVGQKRIALDEENSFPKRLKMTEPRFSSGSFMVDELSAGVGQELEKDHGCDFRVQLYSLINCLSPDNHMAYPLEPAISIQVLSLLCLSLSVYPKTNLFSRISKQVLSWIPWICKQTTKICMFSFDVSLYFEAVQTVMLLQYFEYPRYADLINLLKLVSDDGYLTSQTCSEKLKCLAVQIIAKIGSRQNAECDLQVLELAIQSETGELQNEALMSLPIIVLYSGPRMLGAMFRKLETIGTLGCKKLWKSIAISLGFLSCLNGTTDCTDKVGNHCKLFLAKHCEQPILTLNLLRGFWCPQCDVRTVHIEDQVPIVDIALSEDKNIDFKINMFKAHSLFFKFLYAETSEECIVSIVEVLPRILKHSSRDVLLDMKFQWVQCVDFLLLHEMKAVRDAFSSVVSCFLETNAMDILFSDGTGMSGGTSRVKFMDKIKSAFTEAEDPQILLTLLESTAAIVKASDIHGEVFFCSFVLLIGQLGNHDYIVRVTALRLLQRCCTYCFKGGLELFLSKYFHVRDNLYDYLSSRLLTHPVVISEFAESVLGVKTEELIRRMVPSIIPKLIVSHQNNDQAVVTLNELASHLNSELVPLIVNSLPKVLSFALFYEDGQHLSSVLQFYHTETGTDSKEIFSAALPTLLDEIICFPGESDQIETDRRMAKISPTIQNIARILTGNDNLPEFLKNDFVRLLNSIDKKMLHSSDVNLQKQALQRIRKLVEMMGPYLSTHAPKIMVLLIFAIDKETLQMDGLDVLHFFIKRLAEVSCTSIKYVMSQVVAAFIPSLERCRERPLVHLGKIVEILEELVVKNIILLKQHIRELPLLPSLPSLSGVNKVIQEARGLMTLQDHLKDAVNGLNHESLNVRYMVACELNKLFNDRRGDITSLIIGEDIADLDIISSLIMSLLKGCAEESRTVVGQRLKLVCADCLGALGAVDPAKFKVMSCERFKIECSDDDLIFELIHKHLARAFRAASDTTVQDSAALAIQELLKLSGCQSLPNESSSCKMSKRGQKLWGRFSSYVKEIIAPCLTSRFHLPSVNDATLAGPIYRPTMSFRRWIYYWIRKLTSHATGSRSGIFGACRGIVRHDMPTAIYLLPYLVLNVVCYGTPEARQSITEEILSVLNAAASESSGAIVHGITGGQSEVCIQAVFTLLDNLGQWVDDLKQEIALSQSNYAMAGRQGGKLRDESNSMYDQDQLLVQCSNVAELLAAIPKVTLAKASFRCQAHARALMYFESHVREKSGSSNPAADCSGAFSDDDISFLMEIYGGLDEPDGLLGLANLRKSSTLQDQLIINEKAGNWAEVLTLCEQSLQMEPDSVHRHCDVLNCLLNMCHLQAMIAHVDGLVYRIPQSKKTWCMQGVQAAWRLGRWDLMDEYLAEADKGLVCRSSENNASFDMGLAKIFNAMMKKDQFMVAEKIAQSKQALLVPLAAAGMDSYMRAYPYIVKLHMLRELEDFNSLLGDESFLEKPFAADDPKFLKLTKDWENRLRCTQPSLWAREPLLAFRRMVYNLSHMNAQAGNCWLQYARLCRLAGHYETAHRAILEADASGAPNAHMEKAKYLWNIRKSDSAIAELQQTLLNMPADVLGPTVLSSLSSLSLALPNAPLSVTQASKENPDVSKTLLLYTRWIHYTGQKQSNDIKSLYSRVADLRPKWEKGFFCIAKFYDDLLVDARRRQEDKKIASGVGPVPPSSTGSLTTATEEKPWWDMLPVVLIQYARGLHRGHKNLFQALPRLLTLWFEFGSIYIQDGSSFNKPMKEVHIRLLGIMRGCLKDLPPYQWLTVLSQLISRICHQNIEVVKLVKCIVTSILREYPQQALWMMAAVSKSTVAARRDAAAEILQSAKKGSRRGSDSNALFMQFPSLIDHLIKLCFHPGQPKARAINISTEFSSLKRMMPLGIILPIQQALTVTLPSYDTNMTDQSTFRPFSVSEHPTIAGIADDAEILNSLQKPKKVVFIGSDGISRPFLCKPKDDLRKDSRMMEFNAMINRLLSKVPESRRRKLYIRTFAVVPLTEDCGMVEWVPNTRGLRQILQDIYITCGKFDRMKTNPQIKKIYDQLQGKMPEEMLKAKILPMFPPVFHKWFLTTFSEPAAWIRARAAYAHTTAVWSMVGHIVGLGDRHGENILLDSTTGDCIHVDFSCLFDKGLLLEKPEVVPFRFTQNMVDGLGITGYEGVFVKVCEITLSVLRTHKEALMTVLETFIHDPLVEWTKSHKSSGVEVRNPHAQRAISNITERLQGVVVGVNAAPSLPLSVEGQARRLIAEAVSHSNLGKMYAQEIPVAKQRWRSERLGEGVCGKLYNLENDQNIRG >ORUFI06G29810.1 pep chromosome:OR_W1943:6:27431129:27432973:1 gene:ORUFI06G29810 transcript:ORUFI06G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEQAFLEELFSLRRDAWEYNAMGDFFSPACAAMDGFQERHQSTTTVSVLPTFTASYEQPPPAPAAGFDCLSEVYGNAAAAFGPNAGGGGGEYGGGGDMGFLDVVEPKASMVVDGGGLGVCKVEPGLQAEGGFSAAAAAPASKKKRVEGMPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELLERIRQLQEEIEEQQQQETPGVLSVFRELNPNEMLARNTPKVAASIHCWIYNEEDDGINGVCEVQFDVERKEGGDTRVEIYCAAKPGLLLSTVSTLETLGLDIQQCVVSCFNDFGMHASCSEMQRERMSADMIKQELFKNAGYGGGCL >ORUFI06G29820.1 pep chromosome:OR_W1943:6:27448737:27454866:1 gene:ORUFI06G29820 transcript:ORUFI06G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGQVSPVPATATAPRKVRREHMRLGVYHDVLQRLRDAGAPEALAPDFAEKLWTHFHRFNASYAMDVNVERAEDVLMHMKLLEKAIHPENQPAFSVRIVQVPLDIDASEADSQSNITEDDNCPTPRTPAEHPAPIFGSTTALKALVRQASSKNLLDDNQDIDAILRPMHEITFASDDKPKGLTQLSSLLGNLNLDIKEVHALSTNDGYFLDIFIVIGWDHKETQLLEEALEKEIHNYEPQMPSKSSCWPPELAGKQSLINSQVNHVQIPKDNTDEWEINFDVLDIQEKVASGTYRGTYFGEDVAIKVLKSDRLNENMQEEFNEEVFIMRLLIKVADFGVARVKAESGIMTAETGTYRWMAPEVIEHKPYDSKADVFSFGVVLWELLTGKIPHEFLTPLQAAIGVVQEGLRPVIPKATDPKLALLLESCWQQNAVNRPDFVQILQKLDEIAGEHGIDLTHPHKEKEKGGFFTFGKVH >ORUFI06G29820.2 pep chromosome:OR_W1943:6:27448737:27454866:1 gene:ORUFI06G29820 transcript:ORUFI06G29820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGQVSPVPATATAPRKVRREHMRLGVYHDVLQRLRDAGAPEALAPDFAEKLWTHFHRFNASYAMDVNVERAEDVLMHMKLLEKAIHPENQPAFSVRIVQVPLDIDASEADSQSNITEDDNCPTPRTPAEHPAPIFGSTTALKALVRQASSKNLLDDNQDIDAILRPMHEITFASDDKPKGLTQLSSLLGNLNLDIKEVHALSTNDGYFLDIFIVIGWDHKETQLLEEALEKEIHNYEPQMPSKSSCWPPELAGKQSLINSQVNHVQIPKDNTDEWEINFDVLDIQEKVASGTYRGTYFGEDVAIKVLKSDRLNENMQEEFNEELIKVADFGVARVKAESGIMTAETGTYRWMAPEVIEHKPYDSKADVFSFGVVLWELLTGKIPHEFLTPLQAAIGVVQEGLRPVIPKATDPKLALLLESCWQQNAVNRPDFVQILQKLDEIAGEHGIDLTHPHKEKEKGGFFTFGKVH >ORUFI06G29830.1 pep chromosome:OR_W1943:6:27455209:27457157:-1 gene:ORUFI06G29830 transcript:ORUFI06G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARVSLPSVLLTLRHGATTQGFAAARPAAVVAKRLLGSVRCGGAAEALGADMAVPRSVPVRVAHELQQAGHRYLDVRTEGEFAGGHPVGAVNIPYMYKTGSGLTKNTHFLEKVSTTFGKEDEIIVGCQSGKRSLMAASELCSAGFTAVTDIAGGFSAWKENELPTNK >ORUFI06G29840.1 pep chromosome:OR_W1943:6:27459990:27461672:-1 gene:ORUFI06G29840 transcript:ORUFI06G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMKKKSVGLGRLSLMISMVQVLGAVGGGGVHPSKMRLVPAVYVLGDSTLDVGNNNHLPGKDVPRANKPYYGIDFPGSKPTGRFSNGFNAADYVAKNLGFDKSPPAYLVLKARNYLVPAALVMGVNYASAGAGILDSTNTGRSIPLSKQVVYLNSTRAEMVAKAGSGAVSDLLAKSFFLFGVGSNDMFAFAAAQQKLNRSATPSEVEAFYTSLISNYSAAITELYGMGARKFGIINVGPVGCVPSVRVANATGGCNDGMNQLAAGFDAALRGHMSGLAARLPGLAYSIADSYALTQLTFADPGAAGYANADSACCGGGRLGAEGPCQRGAALCGDRDRFVFWDSVHPSQQANKLGAKAYFHGPPQFTSPINFNQLANYNS >ORUFI06G29850.1 pep chromosome:OR_W1943:6:27468358:27469920:-1 gene:ORUFI06G29850 transcript:ORUFI06G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMMSSATVRPWLMMMMISIVTLLFQVQQLAGAQLQRQVAAVFVLGDSTLDVGNNNYLPGKDVFRANKPYNGIDYPASKPTGRFSNGYNVADFIAMKLGFKKSPPAYLSLLQGPAAAANLTLAIKALTGGVSFASGGAGVLDSTYAGKCIPLSTQLRSMEATRAAMVSKVGTRAVAAHLARSFFLLGVVNNDMFVFATAQQQQNRSATPAEVAAFYTTLITKFSAALTELYEMGARKFGIINVGLVGCVPLVRAQSPTGACSDDLNGLAAGFNDALASLLSDLAARLPGFAYSIADAHAAGQLAFADPAASGYTSVDAACCGSGRLGAEEDCQVGSTLCADRDKWAFWDRVHPSQRATMLSAAAYHDGPAQLTKPINFKQLARTTA >ORUFI06G29860.1 pep chromosome:OR_W1943:6:27476724:27478564:1 gene:ORUFI06G29860 transcript:ORUFI06G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNVAAVTADLFRHGHACGACYQLRCRDRRLCGEDGVKVVVADMAKQPEQEGEMNRTAGGSLQFRITEDAFAAMAKQGVSAHELTRQRTLEVDFRRIPCEYRESRRLAVRVEEASRNPTHLAIRFLYQGGQTDIAAVEIAQANATPPSSSYYSSWRYMTRRDGAPGVWTTSRAPVGPLRLRVVVTAGSGGKWLRSDGEVLPADWRPGEVYDTGLRVTDVAVRSCSLSCAIQDMDSDDGEEEELR >ORUFI06G29870.1 pep chromosome:OR_W1943:6:27478756:27481320:1 gene:ORUFI06G29870 transcript:ORUFI06G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGTTFTIPHNKRWHTVAGKGLCAVMWFWVFYRAKQDGAVLLGLRHPWDGHDDHSHGHGHEHEGSSSPH >ORUFI06G29880.1 pep chromosome:OR_W1943:6:27485739:27497696:1 gene:ORUFI06G29880 transcript:ORUFI06G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFARSISFPLSPSRSSSKHSSPPATPGYHARSISLPCRSHPILAHLHTHIRAVRSWAHDPTSVASGLAHLDALHAALGELLDLPEAQAALSAANDRLLDAFLRLADAHGSFQETVVALKQDVAEALAAIRRRDGARLASAVRSQRKAGKELARLAAAARDGARPSRLGLGGSAAEVEVTGLLMESAAVTAAASATLFNTVASMSASASAAACSCRKTAALVCLIKKTSASSEEEKETMALVERLEELEECIDELDNGSDKVFRSLVQTRSQSMMKPLRRGGVWVDGAMGSEEKDKGNVRRAWWAMEEDKTWHDGYVLLLEALLHLRHHAADVQAALRRRDAARLSSAVRSQRQAHKDLARLAASVRGAATKWPAQLPSSATVAEVEVSGVLADAMAAIASASAAVFSAVETMSTMATAAAASTCSSSSSSSSKTPLLISLVRKKNRKSAAAVPDEEKEMAASERMEELEECMAAMESGNDRVFRTILHTRGITIIMAPSFGRSISFPLSPARSFKPRSAAAACHVRSISLPCRSHPLLSHLQSHIAAVRSWLLQDHGDASASASVSAGLAHIHALHAALADLLLLPDPQDALRRSTAAADRLLDAFLLLADAHQGFHEALLDLTHHVADARAALRRSDAARLASALRSQRRAEKEIARLASTVSAAAAATKYSSRLGLGATAEETEMTAALMDAATASAAASAAVFTAAASMSSAAASSCSCKKTPAFAAFAKKASPETAQVALDRFEELEQCIDESESSCHKVFRGILHTRVALLNIQTPTF >ORUFI06G29890.1 pep chromosome:OR_W1943:6:27499840:27500655:1 gene:ORUFI06G29890 transcript:ORUFI06G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPFAAGHVRSASVPCHSHPLLTHVDDQLLALRSWTSNPGPNPLSLAHVRALLCVLDELLLHLPLAASTDRLLHGFLLLADAFGTFLSALLALRQHAAELHAAVRRRDHPKIASAARAQRQLDKDLAHLAAAVARDASRCARATTTVPSCDSHHGAGATELEVARTVAEAINDTAVASASVFMEVASLADAAAAAAAAPATKKRLPPLMHSSSRSKNKQASYEEKREAMALEKLKQLEQCIGELESESEKVFRSLIQARVSLLNIHTPTF >ORUFI06G29900.1 pep chromosome:OR_W1943:6:27501451:27505479:-1 gene:ORUFI06G29900 transcript:ORUFI06G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCSISSASHLLITASLPKPSLRPPRLPHPKPLPAALLALAAAAPTLPALADVPAPPPAPTQDVQVLEAPSPAANPFSNALLTAPKPTSSAAADLPEGAQWRYSEFLSAVKKGKVERVRFSKDGGLLQLTAIDGRRATVVVPNDPDLIDILATNGVDISVAEGDAAGPGGFLAFVGNLLFPFLAFAGLFFLFRRAQGGPGAGPGGLGGPMDFGRSKSKFQEVPETGVTFVDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFAGNSGVIVLAATNRPDVLDAALLRPGRFDRQVTVDRPDVAGRVKILEVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEEKRRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQMSSQKDYSMATADIVDAEVRELVEKAYSRATQIITTHIDILHKLAQLLMEKETVDGEEFMSLFIDGQAELFVA >ORUFI06G29910.1 pep chromosome:OR_W1943:6:27516023:27516286:1 gene:ORUFI06G29910 transcript:ORUFI06G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQSPKPSCHAVITGQWTPSADDQAAGRVPGYGEITNIINGGVECGHGADDKVADRIGFYKRYCDMLGVSYGDNLDCYNQRPYPPS >ORUFI06G29920.1 pep chromosome:OR_W1943:6:27519148:27520244:1 gene:ORUFI06G29920 transcript:ORUFI06G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHRFLTSLQLSSKQANSILLCIATMRALALVVVATAFAVVAVRGEQCGSQAGGALCPNCLCCSQYGWCGSTSAYCGSGCQSHQCSGSCGGGGPTPPSGGGGSGVASIVSRSLFDQMLLHRNDAACPAKNLYTYDAFVAAANAFPSFATTGDAATRKREVAAFLAQTSHETTGGWATAPDGPYSWGYCFKEENNGNVGSDYCVQSSQWPCAAGKKYYGRGPIQISYNYNYGPAGQAIGSNLLSNPDLVASDATVSFKTAFWFWMTPQSPKPSCHAVMTGQWTPNGNDQAAGRVPGYGVVTNIINGGVECGHGADSRVADRIGFYKRYCDMLGVSYGANLDCYNQRPFNS >ORUFI06G29930.1 pep chromosome:OR_W1943:6:27522014:27522892:-1 gene:ORUFI06G29930 transcript:ORUFI06G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRSRSTAAGGEVEVEQLPGFRFHPTEEELLEFYLKQVVQGKKLKFDIIPTVHLYRHDPRELPGLARIGEREWYFFVPRDRKQATGGGGGGRPSRTTERGFWKATGSDRAIRCAADPKRLIGLKKTLVYYEGRAPRGTKTDWVMNEYRLPDAAAIPDTMQLQMQHDDMVLCKVYRKAVSLKELEQRVAMEELARSTTSSGTHNTGSPLQQDSSSISISSSSDAMKKEVVGVDEASAAAHELVRPATLSLPQLEVARPQSGLEWMQEPFLTQLRSPWMETWSPYYASVLNF >ORUFI06G29940.1 pep chromosome:OR_W1943:6:27526184:27533602:-1 gene:ORUFI06G29940 transcript:ORUFI06G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLTSSSSSAPAPLLPSLADRPSPGIAGGGGNVRLSVVSSPRRSWPGKVKTNFSVPATARKNKTMVTVVEEVDHLPIYDLDPKLEEFKDHFNYRIKRYLDQKCLIEKHEGGLEEFSKGYLKFGINTVDGATIYREWAPAAQEAQLIGEFNNWNGAKHKMEKDKFGIWSIKISHVNGKPAIPHNSKVKFRFRHGGGAWVDRIPAWIRYATFDASKFGAPYDGVHWDPPACERYVFKHPRPPKPDAPRIYEAHVGMSGEEPEVSTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTHESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINKGFTGNYKEYFSLDTDVDAIVYMMLANHLMHKLLPEATIVAEDVSGMPVLCRPVDEGGVGFDFRLAMAIPDRWIDYLKNKEDRKWSMSEIVQTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYTGMSDLQPASPTINRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKYMNAFDQAMNALEEEFSFLSSSKQIVSDMNEKDKVIVFERGDLVFVFNFHPNKTYKGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGMPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEDREELRRGGAVASGKIVTEYIDVEATSGETISGGWKDSEKDDCGKKGMKSSQKEKWYHHSEH >ORUFI06G29940.2 pep chromosome:OR_W1943:6:27526184:27533602:-1 gene:ORUFI06G29940 transcript:ORUFI06G29940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLTSSSSSAPAPLLPSLADRPSPGIAGGGGNVRLSVVSSPRRSWPGKKPPQVNLTIVHNSKVKTNFSVPATARKNKTMVTVVEEVDHLPIYDLDPKLEEFKDHFNYRIKRYLDQKCLIEKHEGGLEEFSKGYLKFGINTVDGATIYREWAPAAQEAQLIGEFNNWNGAKHKMEKDKFGIWSIKISHVNGKPAIPHNSKVKFRFRHGGGAWVDRIPAWIRYATFDASKFGAPYDGVHWDPPACERYVFKHPRPPKPDAPRIYEAHVGMSGEEPEVSTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTHESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINKGFTGNYKEYFSLDTDVDAIVYMMLANHLMHKLLPEATIVAEDVSGMPVLCRPVDEGGVGFDFRLAMAIPDRWIDYLKNKEDRKWSMSEIVQTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYTGMSDLQPASPTINRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKYMNAFDQAMNALEEEFSFLSSSKQIVSDMNEKDKVIVFERGDLVFVFNFHPNKTYKGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGMPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEDREELRRGGAVASGKIVTEYIDVEATSGETISGGWKDSEKDDCGKKGMKSSQKEKWYHHSEH >ORUFI06G29940.3 pep chromosome:OR_W1943:6:27526763:27532760:-1 gene:ORUFI06G29940 transcript:ORUFI06G29940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLGHHAMPLPGGVVTEALSPFFYAGGNFLTCGVCHPSREIAATAPIFASSLVPPLVADGATIQYLHRPLLVVRVKTNFSVPATARKNKTMVTVVEEVDHLPIYDLDPKLEEFKDHFNYRIKRYLDQKCLIEKHEGGLEEFSKGYLKFGINTVDGATIYREWAPAAQEAQLIGEFNNWNGAKHKMEKDKFGIWSIKISHVNGKPAIPHNSKVKFRFRHGGGAWVDRIPAWIRYATFDASKFGAPYDGVHWDPPACERYVFKHPRPPKPDAPRIYEAHVGMSGEEPEVSTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTHESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINKGFTGNYKEYFSLDTDVDAIVYMMLANHLMHKLLPEATIVAEDVSGMPVLCRPVDEGGVGFDFRLAMAIPDRWIDYLKNKEDRKWSMSEIVQTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYTGMSDLQPASPTINRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKYMNAFDQAMNALEEEFSFLSSSKQIVSDMNEKDKVIVFERGDLVFVFNFHPNKTYKGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGMPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEDREELRRGGAVASGKIVTEYIDVEATSGETISGGWKDSEKDDCGKKGMKFVFRSSDEDCK >ORUFI06G29940.4 pep chromosome:OR_W1943:6:27526763:27532760:-1 gene:ORUFI06G29940 transcript:ORUFI06G29940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLGHHAMPLPGGVVTEALSPFFYAGGNFLTCGVCHPSREIAATAPIFASSLVPPLVADGATIQYLHRPLLVVRKPPQVNLTIVHNSKVKTNFSVPATARKNKTMVTVVEEVDHLPIYDLDPKLEEFKDHFNYRIKRYLDQKCLIEKHEGGLEEFSKGYLKFGINTVDGATIYREWAPAAQEAQLIGEFNNWNGAKHKMEKDKFGIWSIKISHVNGKPAIPHNSKVKFRFRHGGGAWVDRIPAWIRYATFDASKFGAPYDGVHWDPPACERYVFKHPRPPKPDAPRIYEAHVGMSGEEPEVSTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTHESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINKGFTGNYKEYFSLDTDVDAIVYMMLANHLMHKLLPEATIVAEDVSGMPVLCRPVDEGGVGFDFRLAMAIPDRWIDYLKNKEDRKWSMSEIVQTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYTGMSDLQPASPTINRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKYMNAFDQAMNALEEEFSFLSSSKQIVSDMNEKDKVIVFERGDLVFVFNFHPNKTYKGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGMPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEDREELRRGGAVASGKIVTEYIDVEATSGETISGGWKDSEKDDCGKKGMKFVFRSSDEDCK >ORUFI06G29940.5 pep chromosome:OR_W1943:6:27533127:27533602:-1 gene:ORUFI06G29940 transcript:ORUFI06G29940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLTSSSSSAPAPLLPSLADRPSPGIAGGGGNVRLSVVSSPRRSWPGKATAPKPRHPTADHLAAGGTAPLCRRRLYLLPTLPSFS >ORUFI06G29950.1 pep chromosome:OR_W1943:6:27534612:27545635:-1 gene:ORUFI06G29950 transcript:ORUFI06G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMRSENFNQGVSMEGVKHAPEMANTNRRALRDIKNIIGAPHQHMAVSKRGLLEKFAATLANQPSSAPLAPIGSERQKRTADSAFHGPADMECTKITSDDLPLPMMSEMDEVMGSELKEIEMEDIEEAAPDIDSCDANNSLAVVEYVDEIYSFYRRSEVHYKLELLDETLFLTVNIIDRFLARENVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILICDRAYTRTDILEMERMIVNTLQFDMSVPTPYCFMRRFLKAAQSDKKLELMSFFIIELSLVEYEMLKFQPSMLAAAAIYTAQCTINGFKSWNKCCELHTKYSEEQLMECSKMMVELHQKAGHGKLTGVHRKYSTFRYGCAAKSEPAVFLLKSIESTLRLRGRVPPPPPPIHPNPIAVVDPLSPAAAAPFQRKSSISPASISRRSPPGPRTANRRPACIFDSLRRPWFRSLAAHLHISLKDQAKPSQAMPSSHSSVCRVQFQAAYKNPEEQKFLVGVILMVPCYAVESIVNDLKFTVNHAVEPINEKLHRISQNIKKHEKEKKKTNDDSCINSQQSLSRVISGIDDPLLNGSLSDNSGQKKSRKHRRKSGYGSAESGGESSDQGLGGILFILSFK >ORUFI06G29960.1 pep chromosome:OR_W1943:6:27551770:27553747:1 gene:ORUFI06G29960 transcript:ORUFI06G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMGAAVAEAEPSGRQLSDGDLLEELLSTANAARAFHEFRQSQRKECFNLLRWLQLLLPLVQELRESAPALSDDAYRRLALLGRAFQAARRLLRCCHDGSKIYLTLESEAVMGRFRGVYEKMNMALEGMPYAELGVSDEVKEQVELISAQLKKRSKKRTETQDMELAMDLMMILQSKEQDANNADRPILDRLAKRLQLQSLADLRAETMAIKKLINDHQSDSTNQIVDLLHRLKAIAGVDEKNILGDVFIPKYLEKCPSLMIPNDFLCPISLEIMTDPTYERRSIQKWLDAGQRTCPKTQQPLGHLSLAPNYALKNLIMQWCDKNKVEIHSGDPPPEPPEDPKVVIPTLVKDLSSPNLDVQRKAVKKIRTLSKENPENRLLVTDNAGIPALIGLLPYPDKKMQENTVTSLLNLSIDEANKLLIARGGAIPLIIDVLRNGSVEGQENSAAALFSLSMVDENKVAIGTLGGIPPLVDLLQNGTVRGKKDASTAIFNLMLNNGNKLRAIEAGILPTLLKLLDDKKAAMVDEALSIFLLLASNPTCRGEVGTEHFVEKLVQIIKEGTPKNKECAVSVLLELGSSNNALMAHALGFDLHDHLADIAKNGTSRAQRKANSLIQLARKCS >ORUFI06G29970.1 pep chromosome:OR_W1943:6:27563293:27563847:-1 gene:ORUFI06G29970 transcript:ORUFI06G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVFVHHLGGGSGGDDPTHPWLSLKSSHEMDDAVASWREKLADMAAADERAGRYPCPLCDRHFPTEKAVHGHMRSHPGRGWRGMEPPREPSPGDLALAADGKRYRYVCDRCKAPFETRQALGGHRASHSTKKGCSWHAKQLAMAKPPKNDFDLNHLSLEAIQAAAQEEQAAQEGNKDEEPKN >ORUFI06G29980.1 pep chromosome:OR_W1943:6:27571014:27578152:1 gene:ORUFI06G29980 transcript:ORUFI06G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKHRPSSGSNSTFWTTNSGAPVWNNNSALTVGERGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPSPKTNMQENWRIVDFFSHHPESLHMFSFLFDDVGIPLNYRHMEGFGVNTYTLINKDGKPHLVKFHWKPTCGVKCLLDDEAVTVGGTCHSHATKDLTDSIAAGNYPEWKLYIQTIDPDHEDRFDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQLAFCPAIIVPGIHYSDDKLLQTRIFSYADTQRHRLGPNYLMLPVNAPKCAYHNNHHDGSMNFMHRDEEVNYFPSRFDAARHAEKVPIPPRVLTGCREKCVIDKENNFQQAGERYRSFDPARQDRFLQRWVDALSDPRITHELRGIWISYWSQCDASLGQKLASRLNLKPNM >ORUFI06G29990.1 pep chromosome:OR_W1943:6:27575637:27583445:-1 gene:ORUFI06G29990 transcript:ORUFI06G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCASAIDSFFFTKRANNENDDDDAAPGMSASKRTTSSTTTGKLSTLSNSTFIPSTISGVSTDDAYPDGQILESRNLRIFTFAELKNATKNFRTDTVLGEGGFGKVYKGWVDERTMNPSKSSTGVVVAVKKLNPESVQGTEQWESEVNFLGRISHPNLVKLLGYCKDNDELLLVYEFMAKGSLENHLFRRGAVYEPLPWSLRLKILIGAARGLAFLHSSERQIIYRDFKASNILLDSNFNAKLSDFGLAKHGPDGGLSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLSGLRALDPSRPSGKLNLVDWAKPLLADRRKLSQLMDSRLEGQYHSRGALQAAQLTLKCLSGDPKSRPSMKEVVEALEKIKLIKSKSREPRNSSSLFYTSDEIQCRSFKNNEIQCSAMKKGMDDADKTIAYTDQDGRIKLFKVTMTEFLSSSIWKNPLQPKDTQPLAQTVFRRKRKEDRTQELLQVDREAELNMRNVATDRSRNFSNKVRASYNIWRPGFHHTNTDSTLRLMKDQIIMAKVYATIAHSQKQPDLYVLLMTCIKQSQEGIGDAHMDYKLDLSALERAKAMGHALSSARDVLYNSGEVSRRLRVMLQSTELNIDSVKKQNSFLVQHAAKTVPMPLHCLHMQLTTDYHFRDGVVKEYFRDAALKEEEDKAKREDRSLYHYAIFSDNVLAASVVVRSTVTHAKEPEKHVFHIVTDRLNFAAMTMWFISNPPLPATVHVENIDNFKWLNSSYCSVLRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDVVVQKDLTPLWDVDLKGIVNGAVETCKESFHRFNTYLNFSHPKISENFDPHACGWAFGMNMFDLKEWKKQNITGIYHYWQDLNEDRKLWKLDTLPPGLITFYNLTYPLNRTWHVLGLGYDPSVDLVEIENAAVVHYNGNYKPWLDLAISKYKPYWSKYVDLDNSHIQRCYMSEQ >ORUFI06G30000.1 pep chromosome:OR_W1943:6:27584573:27584896:1 gene:ORUFI06G30000 transcript:ORUFI06G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANQDFQGPVSSPPPSPPPRVAPSDTTRSAYSSTTVTMHGSRRSTQRNVFLQLMEAERDATINLEATSGGRDSIVEAGSKSRRALKAQLD >ORUFI06G30010.1 pep chromosome:OR_W1943:6:27586400:27600607:1 gene:ORUFI06G30010 transcript:ORUFI06G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGVIILSLYQTSSEYKVQEVYMMLYIVVIFKDGGSIYLPIQQAVGGPLEYCAFLIPCGTNTNSSSSASNINILNKYMSLWAAPFSVRNSTTANFSSNATISGPKEKSKIEAEAVQVSRKKAAEERLPPGIVVRESDLHLRRLWGNPTSDVASGKQYLLTMSVGYTEKANVNATIHKLSDKFDIVLFHYDGRTSEWEEFEWSKKVVHVSARKQAKWWFAKRFLHPSIVAAYEYVFVWDEDLGVDNFTAEEYISIVRKHALDISQPGLDGTKGRRQYPVTVRRPSGDMHNSGRFVENDLVHGWGLDFNFWRCVHEPEKHIGVVDAQFVVHRGVPTLLSQGNGEQDGSSAKLSKSRSKMKAASSIFTALSAAVFGFFIGISFPVEITPKLQYCAFLPCDGTNTNSSSSSDSNNNMLNFWAPSVRNSTSAPSNATISGNGTTTAAAAVAKKPQGAERLPPGIVVRDSDLHLHRLWGHPTSDVASGKQYLVTLTVGYTEKDNINATVHKLSDKFDIVLFHYDGRTTEWEEFEWSKKVVHVSAKKQTKWWFAKRFMHPSIVAPYEYIFLWDEDLGVDNFSAEEYISIARKHGLGISQPGLDATKGKRSRYTATARRPAGDMHTSGRFVEVMAPVFSRDAWACVWHMIPASNDLVHGWGLDHNFWRCVDEPEEHIGVVDAQFVVHRGVPTLISQGNGEQEGSSAKVRSRQFDEMRTFYRRIADAEKAQADATAAAADHHR >ORUFI06G30010.2 pep chromosome:OR_W1943:6:27586400:27600607:1 gene:ORUFI06G30010 transcript:ORUFI06G30010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGVIILSLYQTSSEYKVQEVYMMLYIVVIFKDGGSIYLPIQQAVGGPLEYCAFLIPCGTNTNSSSSASNINILNKYMSLWAAPFSVRNSTTANFSSNATISGPKEKSKIEAEAVQVSRKKAAEERLPPGIVVRESDLHLRRLWGNPTSDVASGKQYLLTMSVGYTEKANVNATIHKLSDKFDIVLFHYDGRTSEWEEFEWSKKVVHVSARKQAKWWFAKRFLHPSIVAAYEYVFVWDEDLGVDNFTAEEYISIVRKHALDISQPGLDGTKGRRQYPVTVRRPSGDMHNSGRFVENDLVHGWGLDFNFWRCVHEPEKHIGVVDAQFVVHRGVPTLLSQGNGEQDGSSAKLSKSRSKMKAASSIFTALSAAVFGFFIGISFPVEITPKLQYCAFLPCDGTNTNSSSSSDSNNNMLNFWAPSVRNSTSAPSNATISGNGTTTAAAAVAKKPQGAERLPPGIVVRDSDLHLHRLWGHPTSDVASGKQYLVTLTVGYTEKDNINATVHKLSDKFDIVLFHYDGRTTEWEEFEWSKKVVHVSAKKQTKWWFAKRFMHPSIVAPYEYIFLWDEDLGVDNFSAEEYISIARKHGLGISQPGLDATKGKRSRYTATARRPAGDMHTSGRFVEVMAPVFSRDAWACNDLVHGWGLDHNFWRCVDEPEEHIGVVDAQFVVHRGVPTLISQGNGEQEGSSAKVRSRQFDEMRTFYRRIADAEKAQADATAAAADHHR >ORUFI06G30010.3 pep chromosome:OR_W1943:6:27600324:27608223:1 gene:ORUFI06G30010 transcript:ORUFI06G30010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLHPTVPNIHLKPNMRMRANPIVTALSAAVFGFFIGISFPVQITPQLQCGLLPCSSGDGANYSFSGSSMIGILWSPFRNTTILSNGTSENPALTKPKGAEKLPPGLVVTESDLHMRRLWGSPREDVATGKYLLALAVGYSEKANVNATVLKFSDKFDVVLFHYDGRTTEWDDLEWSKQAVHVSAKKQTKWWFAKRFLHPSIVAPYEYIFLWDEDLGVDNFTAEEYVKVAKKNGLEISQPGLDSTRGKKTYEVTVRRNDGREMHKFVEVMAPVFSREAWTCNDLVHGWGLDFNFWRCVDNPEEQIGIVDAQYVSHHGVPTLIAQGNGEQQGSSEKVRARQWAEMRTFHDRISNAEKKLGDSSQALEEYHP >ORUFI06G30020.1 pep chromosome:OR_W1943:6:27612538:27614160:1 gene:ORUFI06G30020 transcript:ORUFI06G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARRRHARQCRLVVAGLIIVTTLLFFTGDAPRVFIDAPTQNQLPRRLPLSLAAVREAATWPADAVLLPDWEVLLLLHPNATAIAHNATCAFQGAASSPARALGRLPSSGRHAYTCAMPEPARRHQPFHAPRIVAMDAVHASPHDDDELVMMVKWSGRLVYDSVVVDGGDVLVFAKGVNPRQGVNRPASDVRCVYYRGRGGSADDVVASLPAATSAQQVFRCPPPPPAALLRVTLALAGEEEPIPSVATYSLPPASAAATHKRRHKICACTMVRDVGKFVREWVAYHAAVGVGRFILYDNGSEDDLDEQVRRLTAEGMDVTTLAWPWPKTQEAGFSHSAAVHRDACEWMAFIDVDEFIFSPNWATAASPSSSMLRSIVAVKPDVGQVSLGCVDFGPSGRTTHPPEGVTQGYTCRRRAVERHKSLLRLEAAERSLVNSVHHFELREGKRGEWNRRARVNHYKFQAWDEFRLKFRRRVSAYVADWTHRVNLQSKDRTPGLGFDPVQPAGWAAKFCEVNDTLLRDVTRRWFAAAGESQLQAAR >ORUFI06G30030.1 pep chromosome:OR_W1943:6:27616901:27619498:1 gene:ORUFI06G30030 transcript:ORUFI06G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKSKGAAKPDAKLAVKSKGAEKPAAKGRKGKAGKDPNKPKRAPSAFFVFMEEFRKEFKEKNPKNKSVAAVGKAAGDRWKSLTEADKAPYVAKANKLKAEYNKAIAAYNKGESTAKKAPAKEEEEDDEEESDKSKSEVNDEDDDEGSEEDEDDDE >ORUFI06G30030.2 pep chromosome:OR_W1943:6:27616965:27619498:1 gene:ORUFI06G30030 transcript:ORUFI06G30030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKSKGAAKPDAKLAVKSKGAEKPAAKGRKGKAGKDPNKPKRAPSAFFVFMEEFRKEFKEKNPKNKSVAAVGKAAGDRWKSLTEADKAPYVAKANKLKAEYNKAIAAYNKGESTAKKAPAKEEEEDDEEESDKSKSEVNDEDDDEGSEEDEDDDE >ORUFI06G30040.1 pep chromosome:OR_W1943:6:27621588:27627597:1 gene:ORUFI06G30040 transcript:ORUFI06G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGEEPNPHKDDMSQGKFRLIHTWKKWKKVAETKKTGPEAPRNSLDSPLYDHTTITMTSTMRQKPPKGSTPQDRTTAKNVIHKDIFPSQPSVIARLMGIGTIPVSAKRDEVMIHAEEVSNLKLPSKLEMITVTSPRSATFRQSKCSLISYGSSSVDYTYRHCLKKMRPRRSRSRQHHPQEELLEKIREDFQAWQTSKALENARTVFTASGCPTITSSRHRMEEGRYIQILAQENLHKEKMAKFGYGSCTISMAEKDTLKNATDNSSDTEITSAKAAAESNISPGDKVIKVLRVSHCATMPDKFRDLEDEHNNSISTSAKPRSQKRIVLLKPSTCDIVASDQESLFSSSKVKREGNMEEFLEEVKERLKKELKLKSKSEVVRRSWGTTDPKQVARDIAKQIRETVRRQDLGKRLYSRSESFRAFRSDRKRNAAATAARNASPEHVSTTSVTPRTSGTNQGSNDCSPPIIRRSRGRIRSLTDMPLSVSVSESVPASGFDDQSYTGECKFADANVVSPRALVRSFSAPASGISRGRLFAEDNNVDSGRHGNSDAVSEGAAVAASKNSSSFSLRGTVSNLRNSLRSRANKLFGKKTHWSLKPSLGEFHPHKMAIGMLPPSPPEILSPFIVAQASTVLHLSKSNLYFRPDLERIRITFFCTMDSLPLLHLFQENFTELPPSPVSPLEVKGSSSRHFFSDLNCNLPELSPKSWSEFDTTPIASNESSSCKNRTNATETEESYTEMAYIKQVLIAAGLYEDGSSYSSPSMMNNARVDSMARRPICDYVFDEVEETYNTEEDAADHRMLFDLANEALEITMMGSTKTGSSLWRWVVDSTGVSPGRKLLVDVWQQVQSVRNPPVQQETQTVESMVAREAWTSPWIEVLHEDSYVLGRKLERAIFDQLIADIVQELFISQNAAD >ORUFI06G30050.1 pep chromosome:OR_W1943:6:27627598:27629119:-1 gene:ORUFI06G30050 transcript:ORUFI06G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALPSSPILPAQKATVAVLRWSGGGGVSAERRWRRRFGGCRRRDVPVCGGLMPRMAPAELKPTTRSGSTPRRAPVWCGPLRQASPDPKKKKGKK >ORUFI06G30060.1 pep chromosome:OR_W1943:6:27632330:27633388:1 gene:ORUFI06G30060 transcript:ORUFI06G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPCADAPPPALLTADLAARLRHMMASSSSPDDIVQAARSAASTAAQAAAAARATAAEKAAVAAKARAAARAAMEFLDSISRPASSRNGLQLKLKSRKKHVQVKLLYKPNGRLEEGRGGPPTTGDASSKPRRRGRETDEEVARKLHRAMNSSPRISFTGPKRPRTIAAENGGVLDACNGSSPYPPTFEVSTITNGCSLGQSSELPVPFSEHEGLDDDNKDSSGHDTTKSRAIVGNGVGAGNLSAGRKVKIKRKELLLNQHNSKDTQEAKEIKPSIDSIRYDESKQNGAEKRLNQLVDAKDPGDGLAPMKISSVWRFKKFKTSHCSSDSKVLHNVCPSTSAAETSASVKAD >ORUFI06G30070.1 pep chromosome:OR_W1943:6:27637365:27645505:1 gene:ORUFI06G30070 transcript:ORUFI06G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFDAYFRAADLDRDGRISGQEAVAFFKASALPQPVLAQIWTYADKNRTGFLGREDFYNALRLVTVAQSGRELTPDIVRSALYGPAAAKIPAPRINVSTPLPNATSVTSPLQPTQAPRPAQQSLAIQGSQGPLSTSLNPQVLQPGNVVRPPQASIANTPAQAIAPRAPAGGVPNHTVPATTGLSTDWFNGKKSASPLGVTSQTPTRGVSPQVNLATAGIPTQSSTPIAGYGSHTPASTTSVKANSADLNLLSSPPAANDSKALVPLGNGLSSASTFGVDPFAATPQAKQDSSSPPVVSNSLPSANALGPSAGPHHPPKPLQTGPMQGVASLPSQPAPKQNQFNSMPSAPAPMGSFPGGQIPSNTNQSQAPWPKITQADVRKYMIVFIKVDRDRDGKITGEEARNLFLSWRLPRELLRKVWDLSDQDKDGMLSFREFCTAVYLMERHREQRPLPDVLPDGIWAEGISLPSTGQFAENPTGPAPHPSAGFTSRAMPGQHHGMPPSSMKPPPQRPLSLDADDAVRTEKQKPKIPVLEEHLTGQLSKEERSSLDAKFKEASDADKKVQELEKEILDSREKTEFYRTKMQELILYKSRCDNRFNEVSERMSADKREVQSLAAKYDERCKKVGDVASKLSMDEATFREIQEKKLEIYNAIVKLQKGDGNDEKLQERANQIQSDLEELVKSLNEQCKRYGLRAKPTTLVELPFGWQPGIQETAAVWDEEWDKFGDDGFSTIKELTVEMEPPVVQKDQPTVEDSKVSTNGPSAPTSTEKEDSRGDKSAAASEQTVEPDATPSDSKTVAAKSPPVSPVKNTKDGHSDERDKKQSGTNDTSSRAIESVSNNGGADSPVHGEKRDDSHYWGPSFDNGDDNDSLWNFNRKDGENGDSDLFFGPQGLPPIRTGGSSTAGSVYGKEQKPFFDSVPGTPVEKPFFDSVPGTPLQKSVFDYSVPSTPMQKSVFDYSVPSTPLQKSLFDSVPSTPMQKSVFDSVPSTPMQNSLFDSFPSTPMQRSLFDSGPSRAESPTASSIYGKEQRGFFDSSVPSTPMYNSSFSPRYSEAGDDSSFDTFSQMDSFGMNYSNSFGQRDSFSRFDSFRSNADQGSNDTFGRFDSFRSNADQGGGNSFTRYDSMNSSSDHDRTDAFARFDSMKSTDYNSRGYSFDEDDPFGTGPFKSSDTSSPTKHGTDRWSAF >ORUFI06G30080.1 pep chromosome:OR_W1943:6:27650020:27652462:-1 gene:ORUFI06G30080 transcript:ORUFI06G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPQLEEKDSSDLAINKGPSLDLVKSPLMMNDASATVTAMQPNEGMEEFPVKVRKPYTITKQREKWTEEEHDKFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPGSNNAIEIPPPRPKRKPLHPYPRKCANSGSDANPATAQLKLAPGSSSSGSDQENGSPISVLSAMQSDAFGSSVSNPSTRCTSPASSDDGNNIPTFTSGEDNNVPCEPTVIDPSQSHKEIDQDRKDVNNMSEEDSSEEEVQETSLKLFGRTVVIPDPRKRSSSDPKHESEEQISQPSNEEMLQASSSVGEIPAAYCAPNGWFMSYNSFPFQFGESAADARIPPLHVWWPYYGFAPISHPRGLSTVMQQTEGSDESDGVKSHSSESSSDSGENVQMTAPQSSRIVESLGAIYVRDSGSSFELKPSANSAFVRVKPSNSGDEEVIRGFVPYKRCKFQ >ORUFI06G30090.1 pep chromosome:OR_W1943:6:27663305:27678022:-1 gene:ORUFI06G30090 transcript:ORUFI06G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGARRPDFSSAASPSPSPAGAAGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSNGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTYQPIMQYPEIQAAVNALRNIRGLPWPKEHEKKPDEKKTGKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQSPKTEQQAKLDDRALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPEDAYPSHLNGAMIIIAWNGGTPSDIFDVGVFKQVLSIFITAAVLKLGQAILDIVFGWKARRSMSFAVKLRYVLKLISSSAWVVILPVTYAYTWDSPTGLARIIKSWLGNGQNQPSLYILAVVIYLAPNMLAAMLFLFPFLRRFLESSNVKVITFIMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTVSFYIEIKPLVQPTKDIMKEPIRDFQWHEFFPRANNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPEAFNEHLIPSDSHKSKGLRAAFTGKPSKTSGDEQEKEKIAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLKKRMGSDPYFSYAIRECYGSFKNIINTLVFGQREKIVIQQIFTIVDEHIEGGSLIKDLNMRSLPALSKKFIELLELLQKNKEEDLGQVVILFQDMLEVVTRDIMDEQDQLGGLLDSVHGGNRKHEGMTSLDQQDQLFTKAIRFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRHMLPFSVLTPYYKEDVLFSSHNLEEPNEDGVSILFYLQKIYPDEWKNFLDRVDRKSEEELREDETLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEACAHDILRLMTVYPSLRVAYIDEVEAPSQDRNKKTDKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLDEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDQALATGKKFVHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQSYRGAITYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVAPTKSWESWWEKEQEPLRYSGKRGTILEILLALRFFVYQYGLVYHLNITKHTRSVLVYCFSWVVIFVILLVMKTVSVGRRRFSAEFQLVFRLIKGLIFITFVAIVVILIAIPHMTVLDIFVCILAFMPTGWGLLLIAQAIKPAVQAIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSTRNKE >ORUFI06G30090.2 pep chromosome:OR_W1943:6:27663305:27678022:-1 gene:ORUFI06G30090 transcript:ORUFI06G30090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGARRPDFSSAASPSPSPAGAAGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSNGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTYQPIMQYPEIQAAVNALRNIRGLPWPKEHEKKPDEKKTGKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQSPKTEQQAKLDDRALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPEDAYPSHLNGIIGMDYISSLVLQCNAKKKKYLLGVLILWVPISRLVANAGEQIRRRFLESSNVKVITFIMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTVSFYIEIKPLVQPTKDIMKEPIRDFQWHEFFPRANNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPEAFNEHLIPSDSHKSKGLRAAFTGKPSKTSGDEQEKEKIAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLKKRMGSDPYFSYAIRECYGSFKNIINTLVFGQREKIVIQQIFTIVDEHIEGGSLIKDLNMRSLPALSKKFIELLELLQKNKEEDLGQVVILFQDMLEVVTRDIMDEQDQLGGLLDSVHGGNRKHEGMTSLDQQDQLFTKAIRFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRHMLPFSVLTPYYKEDVLFSSHNLEEPNEDGVSILFYLQKIYPDEWKNFLDRVDRKSEEELREDETLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEACAHDILRLMTVYPSLRVAYIDEVEAPSQDRNKKTDKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLDEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDQALATGKKFVHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQSYRGAITYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVAPTKSWESWWEKEQEPLRYSGKRGTILEILLALRFFVYQYGLVYHLNITKHTRSVLTVSVGRRRFSAEFQLVFRLIKGLIFITFVAIVVILIAIPHMTVLDIFVCILAFMPTGWGLLLIAQAIKPAVQAIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSTRNKE >ORUFI06G30090.3 pep chromosome:OR_W1943:6:27663305:27678022:-1 gene:ORUFI06G30090 transcript:ORUFI06G30090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGARRPDFSSAASPSPSPAGAAGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSNGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTYQPIMQYPEIQAAVNALRNIRGLPWPKEHEKKPDEKKTGKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQSPKTEQQAKLDDRALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPEDAYPSHLNGIIGMDYISSLVLQCNAKKKKYLLGVLILWVPISRLVANAGEQIRRRFLESSNVKVITFIMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTIKPLVQPTKDIMKEPIRDFQWHEFFPRANNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPEAFNEHLIPSDSHKSKGLRAAFTGKPSKTSGDEQEKEKIAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLKKRMGSDPYFSYAIRECYGSFKNIINTLVFGQREKIVIQQIFTIVDEHIEGGSLIKDLNMRSLPALSKKFIELLELLQKNKEEDLGQVVILFQDMLEVVTRDIMDEQDQLGGLLDSVHGGNRKHEGMTSLDQQDQLFTKAIRFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRHMLPFSVLTPYYKEDVLFSSHNLEEPNEDGVSILFYLQKIYPDEWKNFLDRVDRKSEEELREDETLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEACAHDILRLMTVYPSLRVAYIDEVEAPSQDRNKKTDKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLDEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDQALATGKKFVHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQSYRGAITYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVAPTKSWESWWEKEQEPLRYSGKRGTILEILLALRFFVYQYGLVYHLNITKHTRSVLTVSVGRRRFSAEFQLVFRLIKGLIFITFVAIVVILIAIPHMTVLDIFVCILAFMPTGWGLLLIAQAIKPAVQAIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSTRNKE >ORUFI06G30090.4 pep chromosome:OR_W1943:6:27663305:27678022:-1 gene:ORUFI06G30090 transcript:ORUFI06G30090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGARRPDFSSAASPSPSPAGAAGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSNGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTYQPIMQYPEIQAAVNALRNIRGLPWPKEHEKKPDEKKTGKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQSPKTEQQAKLDDRALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPEDAYPSHLNGAMIIIAWNGGTPSDIFDVGVFKQVLSIFITAAVLKRFLESSNVKVITFIMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTIKPLVQPTKDIMKEPIRDFQWHEFFPRANNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPEAFNEHLIPSDSHKSKGLRAAFTGKPSKTSGDEQEKEKIAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLKKRMGSDPYFSYAIRECYGSFKNIINTLVFGQREKIVIQQIFTIVDEHIEGGSLIKDLNMRSLPALSKKFIELLELLQKNKEEDLGQVVILFQDMLEVVTRDIMDEQDQLGGLLDSVHGGNRKHEGMTSLDQQDQLFTKAIRFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRHMLPFSVLTPYYKEDVLFSSHNLEEPNEDGVSILFYLQKIYPDEWKNFLDRVDRKSEEELREDETLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEACAHDILRLMTVYPSLRVAYIDEVEAPSQDRNKKTDKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLDEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDQALATGKKFVHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQSYRGAITYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVAPTKSWESWWEKEQEPLRYSGKRGTILEILLALRFFVYQYGLVYHLNITKHTRSVLTVSVGRRRFSAEFQLVFRLIKGLIFITFVAIVVILIAIPHMTVLDIFVCILAFMPTGWGLLLIAQAIKPAVQAIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSTRNKE >ORUFI06G30090.5 pep chromosome:OR_W1943:6:27663305:27678022:-1 gene:ORUFI06G30090 transcript:ORUFI06G30090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGARRPDFSSAASPSPSPAGAAGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSNGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTYQPIMQYPEIQAAVNALRNIRGLPWPKEHEKKPDEKKTGKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQSPKTEQQAKLDDRALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPEDAYPREQIRRRFLESSNVKVITFIMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTIKPLVQPTKDIMKEPIRDFQWHEFFPRANNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPEAFNEHLIPSDSHKSKGLRAAFTGKPSKTSGDEQEKEKIAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLKKRMGSDPYFSYAIRECYGSFKNIINTLVFGQREKIVIQQIFTIVDEHIEGGSLIKDLNMRSLPALSKKFIELLELLQKNKEEDLGQVVILFQDMLEVVTRDIMDEQDQLGGLLDSVHGGNRKHEGMTSLDQQDQLFTKAIRFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRHMLPFSVLTPYYKEDVLFSSHNLEEPNEDGVSILFYLQKIYPDEWKNFLDRVDRKSEEELREDETLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEACAHDILRLMTVYPSLRVAYIDEVEAPSQDRNKKTDKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLDEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDQALATGKKFVHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQSYRGAITYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVAPTKSWESWWEKEQEPLRYSGKRGTILEILLALRFFVYQYGLVYHLNITKHTRSVLTVSVGRRRFSAEFQLVFRLIKGLIFITFVAIVVILIAIPHMTVLDIFVCILAFMPTGWGLLLIAQAIKPAVQAIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSTRNKE >ORUFI06G30100.1 pep chromosome:OR_W1943:6:27679812:27680637:1 gene:ORUFI06G30100 transcript:ORUFI06G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSSSTSTTSPASSRPSSSRPPAAPAQCSSISPRISSSRCPCHPGTCTCACRDTSPGYRSHRPPTCSTKSSVSSATPRNPSSTSVDGCSASGDELRCFVELTGIPLTTTLMGIGNFPSDGPLSLRMLGMHGTVYANYAVDNTDLLLAFGVRFDDRTNRQNRGIREEGKLTTAGGGDGGGQLVLT >ORUFI06G30110.1 pep chromosome:OR_W1943:6:27682342:27686178:-1 gene:ORUFI06G30110 transcript:ORUFI06G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITLLRSASLPGLSDALARDAAAVQHVCSSYLPNNKEKKRRWILCSLKYACLGVDPAPGEIARTSPVYSSLTVTPAGEAVISSEQKVYDVVLKQAALLKRHLRPQPHTIPIVPKDLDLPRNGLKQAYHRCGEICEEYAKTFYLGTMLMTEDRRRAIWAIYVWCRRTDELVDGPNASHITPSALDRWEKRLDDLFTGRPYDMLDAALSDTISKFPIDIQPFRDMIEGMRSDLRKTRYKNFDELYMYCYYVAGTVGLMSVPVMGIAPESKATTESVYSAALALGIANQLTNILRDVGEDARRGRIYLPQDELAEAGLSDEDIFNGVVTNKWRSFMKRQIKRARMFFEEAERGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVGKAKKLLALPVAYGRSLLMPYSLRNSQK >ORUFI06G30120.1 pep chromosome:OR_W1943:6:27685525:27686696:1 gene:ORUFI06G30120 transcript:ORUFI06G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMARRRSSVMSIVPAMRKQHEFHDMMHGKKKWMARCKTGVKIKKIDILHTKVQVLGNDGNGVWLWAQVAFEQCCLLEDDVVHLLLRGDDGLSSRGDGEAGVHRRGPGNLARGRVDAKAGVLERAEDPPPLLLLVVGQVGGADMLDGSSVPGEGVGEARKRR >ORUFI06G30130.1 pep chromosome:OR_W1943:6:27697706:27706137:1 gene:ORUFI06G30130 transcript:ORUFI06G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRPRLPGFGEDCEPRGGGRGGGGGGGRGRGSYYPQAQQYHPQGHGGRGGAGYYHGAAPQPRGAMVVQQWRPATAAAEHLGHQQPYNSSVRPQHYYGPSAIAPELLQAMDAPHEPPANVSSPEAASPEASSPRSLALEVTEQLQDLSVQYQLSESQEEIVQHVPVSTKSFKFPHRPGSGSIGTRCLVKANHFFAQLPDKDLHQYDVSITPELTSRIRSRAVMEELVRLHKMSYLGGRLPAYDGRKSLYTAGPLPFTSKEFRISLLEEDDGSGSERRQKTYNVVIKFAARADLHRLEQFLAGRQAEAPQEALQVLDIVLRELPTARYAPFGRSFFSPDLGRRRSLGEGLETWRGFYQSIRPTQMGLSLNIDMSATAFFEPLPVIDFVIQLLNTDIRSRPLSDAERVKIKKALRGVKVGVTHRGNMRRKYRISGLTSQATRELTFPVDQGGTVKSVVQYFQETYGFAIQHTYLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNQNQIRALLEETCQRPHDRERDIIQMVNHNSYHEDPYAKEFGIKISERLALVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGRVRSWICVNFARNDFALEPVLPSMYARPDQVERALKARFHDAMNILGPQHKELDLLIGLLPDNNGSLYGDLKRICEIDLGLVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQSHRQELIDDLYNITHDPHRGPICGGMVRELLISFKRSTGQKPQRIIFYRDGVSEGQFYQVLLHELDAIRKACASLEANYQPQVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMESDSSDSGSMASGRGGGSSTSRSTRAAGGGAVRPLPALKDSVKNVMFYC >ORUFI06G30130.2 pep chromosome:OR_W1943:6:27697649:27699813:1 gene:ORUFI06G30130 transcript:ORUFI06G30130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLWSTEWDQGDQDCLGLVRTVSLVVVGEEEEEEVAVAVAATTHRHSNTTHKDMVAVEEQATTMVLLLNLAAQWWCSNGVLLLLLLSIWAINSPTTAV >ORUFI06G30140.1 pep chromosome:OR_W1943:6:27711063:27718197:1 gene:ORUFI06G30140 transcript:ORUFI06G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSKSKTNNGFFVPSPATAMAPCFLLLLIFFFLHVDASAAAASSSSHPQLVQQMQVKRARSLLQAPKIDCQGTCSGRCANNWKKEMCNKMCNVCCNRCNCVPPGSGQDTRHLCPCYDTMVNPHNGKLKCP >ORUFI06G30150.1 pep chromosome:OR_W1943:6:27712180:27721568:-1 gene:ORUFI06G30150 transcript:ORUFI06G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASLHLHLHLLLSSSRRRCRLLVPRAHTDSISTGRRRFIADTATASAAAAVGPLVLPRTPLARADQPPSLSEWERVLLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTILETKNGGNTWFPRSIPSAEDEDFNYRFNSVSFMGKEGWIIGKPAILLHTSDAGDSWERIPLSAQLPGNMVYIKATGEQSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGSGITEDFEEASVQSRGFGILDVGYRSKDEAWAAGGSGVLLKTTNGGKTWVRDKAADNIAANLYSVKFLGDNKGYVLGNDGVLLRYALHLMPYALEVTDRRPQSVPHTRCSTLVDHNTNISFSKGHLIHKPENRNITFATISIGHNSDQKLVPFLPNILQDINKRSCFASGAAGTEIIQFPQPIILYWLDCPNEKNMHDQVDHSKPFSSGSPPPGSTLRQRQHRRKIKKSLTALPPSNVLVNNSSRSVTAPVRSDSDILHDDDKPPRKSPKKKGNKKGKHYRRAVCKGLNLPSETHCEENIDAASPVEVLTDLLADKLSETSSSASSLVKEAHFGEENGGDSGCTGSSNRTVGEIFSCKDVHYLNDESNNYERSLCARVSNSNDAIAYSLFKKLERDNSVNCSVNDEVRDSCHPIGAHLSITHAEDSNDSFGCSSCCSKNVADSSNHTERVKCSSEACSSKTSLPVIPGRSRTRLKEISSYSLTATNGVIGTNKNKHSGKYSSTSVWQKVEKLNVENTSRAGCMVDSAIQNKDVLENINKVAQHNLTRSMKINQRRKACNQHSPDERIEMEHAKENDALNSCQAFSRYKYKKQTSILYQQTSLSSDQGTSQSSGNYHAPKNGIVMVPKNHLQQKDGLPMMQLVYDKDSSVDTGVGSNGSADGDGSSQSGFEKAALASCNMGSYLVPQDTCEECTSTMQADPHYSSTENKAISTNSNSRNLCADPSPAEMDERCYVKLTMENTPQECPKLYSATGKQCKLYSAAAHVSQKWVAVGKKNILHFDGSETSAVDSSVLTNCIPISANIGVETNVSSVLASANNEVNKLAAEISDKPNSSGHLDLRCQPHTDTGTDFNKMREAVCDAYRAQQRVEDVQVIIGRPLADFEQFISSASPVLYCSTCPADRNFCSPEWVRDGLCFHQSTDITLSRIWQWYEEPCCYGLEVKAQDFRRSKGLWNSPHQFTTYFVPYLSAVQLFGQAKRASTGRVDKEAAGMDVTSKTSPCPSSLPILTKLLPQQLRETISSSDLYTKGDQQFGNGELIFEFFESEQPFWRRQLFDKVKELISGVKPSSCQISGDPKNLELSLCDLHPASWYCVAWYPIYRIPDGKFQAAFLTYHSLGHWIVQGASEQADDSRVVLPVTGLESYNDKGEWWFEVGRCSGEEDAAESREEESVWREASEVVKERVRTLKEAATVMSRAKVVMSNRSNRHPDHDFFLSRQLYQEPRKNH >ORUFI06G30150.2 pep chromosome:OR_W1943:6:27718730:27721568:-1 gene:ORUFI06G30150 transcript:ORUFI06G30150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASLHLHLHLLLSSSRRRCRLLVPRAHTDSISTGRRRFIADTATASAAAAVGPLVLPRTPLARADQPPSLSEWERVLLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTILETKNGGNTWFPRSIPSAEDEDFNYRFNSVSFMGKEGWIIGKPAILLHTSDAGDSWERIPLSAQLPGNMVYIKATGEQSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGSGITEDFEEASVQSRGFGILDVGYRSKDEAWAAGGSGVLLKTTNGGKTWVRDKAADNIAANLYSVKFLGDNKGYVLGNDGVLLRYVG >ORUFI06G30150.3 pep chromosome:OR_W1943:6:27712180:27716877:-1 gene:ORUFI06G30150 transcript:ORUFI06G30150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQALHLMPYALEVTDRRPQSVPHTRCSTLVDHNTNISFSKGHLIHKPENRNITFATISIGHNSDQKLVPFLPNILQDINKRSCFASGAAGTEIIQFPQPIILYWLDCPNEKNMHDQVDHSKPFSSGSPPPGSTLRQRQHRRKIKKSLTALPPSNVLVNNSSRSVTAPVRSDSDILHDDDKPPRKSPKKKGNKKGKHYRRAVCKGLNLPSETHCEENIDAASPVEVLTDLLADKLSETSSSASSLVKEAHFGEENGGDSGCTGSSNRTVGEIFSCKDVHYLNDESNNYERSLCARVSNSNDAIAYSLFKKLERDNSVNCSVNDEVRDSCHPIGAHLSITHAEDSNDSFGCSSCCSKNVADSSNHTERVKCSSEACSSKTSLPVIPGRSRTRLKEISSYSLTATNGVIGTNKNKHSGKYSSTSVWQKVEKLNVENTSRAGCMVDSAIQNKDVLENINKVAQHNLTRSMKINQRRKACNQHSPDERIEMEHAKENDALNSCQAFSRYKYKKQTSILYQQTSLSSDQGTSQSSGNYHAPKNGIVMVPKNHLQQKDGLPMMQLVYDKDSSVDTGVGSNGSADGDGSSQSGFEKAALASCNMGSYLVPQDTCEECTSTMQADPHYSSTENKAISTNSNSRNLCADPSPAEMDERCYVKLTMENTPQECPKLYSATGKQCKLYSAAAHVSQKWVAVGKKNILHFDGSETSAVDSSVLTNCIPISANIGVETNVSSVLASANNEVNKLAAEISDKPNSSGHLDLRCQPHTDTGTDFNKMREAVCDAYRAQQRVEDVQVIIGRPLADFEQFISSASPVLYCSTCPADRNFCSPEWVRDGLCFHQSTDITLSRIWQWYEEPCCYGLEVKAQDFRRSKGLWNSPHQFTTYFVPYLSAVQLFGQAKRASTGRVDKEAAGMDVTSKTSPCPSSLPILTKLLPQQLRETISSSDLYTKGDQQFGNGELIFEFFESEQPFWRRQLFDKVKELISGVKPSSCQISGDPKNLELSLCDLHPASWYCVAWYPIYRIPDGKFQAAFLTYHSLGHWIVQGASEQADDSRVVLPVTGLESYNDKGEWWFEVGRCSGEEDAAESREEESVWREASEVVKERVRTLKEAATVMSRAKVVMSNRSNRHPDHDFFLSRQLYQEPRKNH >ORUFI06G30160.1 pep chromosome:OR_W1943:6:27723996:27726095:1 gene:ORUFI06G30160 transcript:ORUFI06G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMMMLLLPTAAVSGGELPPSNKVVFILGGQSNMAGRAGVISQCGMQYGCRRPAVWKRSWSPAAAAATKNKVIVISGPTGAGKTRLALDLAKRLSGEIISADSVQVYRGLDVGSAKPSSSDRAAVPHHLIDILHASDDYSAGDFFHDARAATDHLLARARVPIVAGGTGLYLRWYIYGKPSVPQSSMDVTSAVWSELSRFRDTGRWEEAVDLVANAGDPKARDLSVNNWSRLRRSLEIIRSSGSPPSAFSLPYNAYNLNHHRRLSLTNQADQPTELELDYDFLCIFLACPRVELYRSIDLRCEEMLADTGGLLSEASWLLDIGLSPGMNSATCAIGYRQAMEYLLQCRHNGGSSSPQEFLEFLTKFQTASRNFSKRQMTWFRNEKIYQWVDASQPFDAIAQFICDAYHDRAARLVPDSLEMKRESCRHESRDLKTYRSENRVFRGDDDCCHVLDWITRTQRK >ORUFI06G30170.1 pep chromosome:OR_W1943:6:27729379:27731862:1 gene:ORUFI06G30170 transcript:ORUFI06G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISHPLSDDFDASPVLSPPPPPSSCCPPAHDDHYLEHQVSRMDTLPGLAIKYGISDIKRANSLMTDSQMFAHKMLLIPLPGRPMPSSVRLNGSGQKMKRAWAPNNQQNRDVTDSLDSSNYNSSKQQMSLAMSTLQSYYGLTPQNGAMTDAGTEMSLYSKGSLERINSETLVTSSRLPDTHNTDRSRNSEDTSNGFSATNGASGAKINGTAKAKQDGSIRRRQKVEADQVSNTTDTQDDVFTDPIKMTKSLLPRPISSIRQNMDTSNPESSLKSNGSFLSGFRSVRKSPSTPNFADAENGISMWSSSAWTFNHESFTRPLLDGLPKPTAPRRTKAALD >ORUFI06G30180.1 pep chromosome:OR_W1943:6:27733076:27735045:1 gene:ORUFI06G30180 transcript:ORUFI06G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQQLVVVGMVVVMVMMQWTGGAAARHHHHHHHHKKSYEEVFDRQEADRVQRLPGQPAELGFRQFAGYVTVNETHGRALFYWFFEAASDVATKPLVLWLNGGPGCSSLGYGALEELGPLLVNNNDTLIINPESWNKEANLLFVESPAGGHDLYIAGESYAGHYVPQLATKILHFNKKKKEHDDDDRIINLKGIMIGNAAIDSSSDDRGLVEYAWDHAVISDEIYAAIKGNCTFPDDGNETDKCNTAWNGFFTAMGDIDIYSLYTPSCTAALNGTTTITNGTRSRFADKVLRLRRGLPYNTYNPCVDYRVIDYLNRGDVQAALHANVSGGIPYSWAPCSDALTNWTDAPPSTLPDIAALVRAGLRVWVFSGDTDDRVPVTSTRYALRKLKLKTVRPWKQWFTSDQVGGYTVLYDGLTFVTIRGAGHMVPMITPVQARQLFAHFLAGDDMPANPILAPTPP >ORUFI06G30190.1 pep chromosome:OR_W1943:6:27742514:27745560:1 gene:ORUFI06G30190 transcript:ORUFI06G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKPAGDAEADERRRLRSLAFSNGLLQRGEPGEDEAVGDACVPQEQVSDTADDVFESLIVFSEAWWIGTKEEENPQELKLDFPKEFQNDEAVADSDFKGGAGASCDEAVSINKPPKETTTGSLSPKIESDIDSSEDSDLKDEDNTQSTSQAPSVRQSARTAGKALKYTEISSGDDSSDNDDEIDVPEDMDEKMKSPAVKNESQSEDIKPADWSAQPISAKKEPLVQATLSSIDVQEARKDLQQQKDLLLRSSEQVQRKNIQQGRRVVEDDKIEVLSSSSQDNNVDDDSDEDWAE >ORUFI06G30200.1 pep chromosome:OR_W1943:6:27750392:27753854:1 gene:ORUFI06G30200 transcript:ORUFI06G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASLHRPLSAMAVAAFAAVSSLELPDRLSHHKLPDTTVDAEAVVSIPASRPDVSAAPSASAMSRLHFLPRNLQTSHPAKAPPASLPVIHTVYHYAKFAKYYSEEEAVTTAMPSSSSPDVLYRWHLPDPKVCGDSHGKSQTVVVLLGWLGSRQKHLKRYADWYTSRGYHAVTFTLPMSDIVSYNVGGKAEKNVEMLSEHLADWVSEEDGKKIVFHTFSNTGWLCYGVILENLQRQDPSAMDKIKGCVVDSAPVAVPDSQVWASGFSAAIMKKHSVAAKGVKPNDARPDVLVVESNKDHPKPAVSEAILLSALEKLFDVVLNYPAINRKLSGVMELLSSKQPKCPQLYIYSSADRVIPAKSVESFVESQRRAGHEVRACDFVSSPHVDHYRSNPELYTSQLTEFMEDCVLARCQAKEEEEEVTN >ORUFI06G30210.1 pep chromosome:OR_W1943:6:27755831:27760890:1 gene:ORUFI06G30210 transcript:ORUFI06G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVGTPADRDHSSSGSASTSAQAKKPASTNAEEPSSPTRGGQQQDSRRLRTLSDPELDLLITLKDLAMVCTENASLAVLGHDYDLPTLRALGIVLLETLKERLKETSIDPSVFDRLALLSDSDAYFPSIASDSESEGVRSKTPMGANGKRKQTQAGWLSEEGRKKRTPASQR >ORUFI06G30210.2 pep chromosome:OR_W1943:6:27755911:27760890:1 gene:ORUFI06G30210 transcript:ORUFI06G30210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVGTPADRDHSSSGSASTSAQAKKPASTNAEEPSSPTRGGQQQDSRRLRTLSDPELDLLITLKDLAMVCTENASLAVLGHDYDLPTLRALGIVLLETLKERLKETSIDPSVFDRLALLSDSDAYFPSIASDSESEGVRSKTPMGANGKRKQTQAGWLSEEGRKKRTPASQR >ORUFI06G30220.1 pep chromosome:OR_W1943:6:27759983:27768696:-1 gene:ORUFI06G30220 transcript:ORUFI06G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGRIAIQLHASAPLLFSRRATATALVLPHSRRAAFAMAATPPVARKVPRELAQHGDVRVDNYYWLRDDSRSHPDVLAHLRAENLYTATIMSDVKNLEDEIYAEIRARIKEDDIGAPLRKGQYYYYNRTLTGKEYVQHCRRLVPTDAPVTVYDVMPTGPNAPHEHIILDENVKAEGHDYYSIGAFKVSPNGKLVAYAQDTKGDEIYTVYVIDAESGKFVGQPLEGITSDIEWAGDDHLVYITMDTILRPDKSFQLFENHIAVYERQNGLPKVTAYCLPATGEPIGQLQGGREIDFVDPAYDVEPEQSQFGSSVVRFHYSSMRTPPSVFDYDMDSGVSVLKKIDTVLGGFDASNYVTERKWAAASDGTQIPMTILYRKDRVNLDGSDPMLLYGYGSYEICIDPSFGRSRFSLVDRGFIYVIAHIRGGGEMGRKWYEDGKLLNKRNTFTDFIACAEHLIENKYCSKEKLCINGRSAGGLLMGAVLNMRPDLFKAAVAGVPFVDVLTTMLDPTIPLTTSEWEEWGDPRKEEYYYYMKSYSPVDNLKAQGYPNILVTAGLNDPRVMYSEPAKYVAKLRELKTDDNLLLFKCELGAGHFSKSGRFEKLREDAFTYAFILKALGMAPKAAL >ORUFI06G30230.1 pep chromosome:OR_W1943:6:27770891:27773599:1 gene:ORUFI06G30230 transcript:ORUFI06G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAARRERLRALRAAKDLLSTPDPAAAGQGQHHQNGNRETAPEQAEHPTLPAPLDAPEEASKENINPTDESEEVQNDGDMPAMKFRNYLPHDEQLRGGKVAPVSLPKFEDPISAETTEPKQVENPFGNIAPKNPNWDLKRDVQKRMDKLEKRTQKALAEIALEQQKEKEALGEGSDAAQD >ORUFI06G30240.1 pep chromosome:OR_W1943:6:27774323:27779638:1 gene:ORUFI06G30240 transcript:ORUFI06G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAVFALLMASALAGAAAGGDIVHHDDEAPKIPGCSNDFILVKVQSWVNGKEDDEYVGVGARFGPQIVSKEKHANRTRLMLADPIDCCTSPKEKVSGDILLVQRGKCKFTKKAKFAEAAGASGIIIINHVHELYKMVCEKNETDLDINIPAVLLPRDAGFALHTVLTSGNSDRPVVDTAEVFLWLMAVGTVLCASYWSAWSAREALCEQEKLLKWHDRYQCGISHNVCSGRVMLLNNALQDDVFLGLQTCLVALLSRWFRAASESFFKVPFFGAVSYLTLAVSPFCIVFAVLWAVHRHFTYAWIGQDILGIALIITVIQIVRVPNLKVGSVLLSCAFFYDIFWVFVSKRWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALRYDWAAKKSLQTGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTLGALISLGWKRGELWNLWSKGEPERVCPHHMHMQPQPKTPPLVQ >ORUFI06G30240.2 pep chromosome:OR_W1943:6:27774323:27779638:1 gene:ORUFI06G30240 transcript:ORUFI06G30240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAVFALLMASALAGAAAGGDIVHHDDEAPKIPGCSNDFILVKVQSWVNGKEDDEYVGVGARFGPQIVSKEKHANRTRLMLADPIDCCTSPKEKVSGDILLVQRGKCKFTKKAKFAEAAGASGIIIINHVHELYKMVCEKNETDLDINIPAVLLPRDAGFALHTQYSPDRPVVDTAEVFLWLMAVGTVLCASYWSAWSAREALCEQEKLLKWHDRYQCGISHNVCSGRVMLLNNALQDDVFLGLQTCLVALLSRWFRAASESFFKVPFFGAVSYLTLAVSPFCIVFAVLWAVHRHFTYAWIGQDILGIALIITVIQIVRVPNLKVGSVLLSCAFFYDIFWVFVSKRWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALRYDWAAKKSLQTGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTLGALISLGWKRGELWNLWSKGEPERVCPHHMHMQPQPKTPPLVQ >ORUFI06G30250.1 pep chromosome:OR_W1943:6:27785075:27792365:-1 gene:ORUFI06G30250 transcript:ORUFI06G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAEDKFVLRSGVRTGLKREFAFAIASQAALSSTLGRTRSSSSASATPNSKRPRRPPCTPESDPPVLALRAHTPDPDPHTSPRRITRSMLLNPSAPLLHHHPKLQLQPRRFTRSLLSSRTSNSSSSSPSASTSTSKMELKMSKKISFTRIPRNLKDLLATGLLEGHPVKYIMRKGKRAVLRGVIKRVGILCSCSSCKGRTVVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDILRACSDATLDMLQSAIQNAIGPAPKKRTFRCQTCKSSFATLRTGKFALLCDSCLESKGSQNSTRTSKIGRNPTSSARRSKNESPGSKYCNSSARGSKNAFPGVKTTSTGRITRKDKGLHKLAFMSGVLPEGTDVGYYVGGKVSPSQFEAHAGRAARRKPYHNIYMSNGVSLHELSVSLSKGRNMSNRQSDDLCSICSDGGELLLCDSCPRAFHRECVGFTTIPRGTWCCRYCENRQQRESSLAYNHNAIAAGRIDGIDPMEQIFTRSIRIATTPVTGFGGCALCRLHDFSKKKFSARTVLLCDQALPEGAWYCTADCVRISETLKDLLSRGAEPISSVDVEIIKRKYEQKALNKDGDLDVRWRVLKDKSSADSKLVLSKAVAIFHESFDPIIQIATGRDLIPAMVYGNTVVSAGLFRVMGSEIAELPLVATSRDSQGLGYFQALFGCIERLLASLKVKHFVLPAADEAESIWTQRFGFVKITQDELREYLKGGRTTVFQGTSTLHKLVPKLDG >ORUFI06G30260.1 pep chromosome:OR_W1943:6:27792882:27797821:-1 gene:ORUFI06G30260 transcript:ORUFI06G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLLSFLLLAAPAAAINILRRSLAAQTKGDLASITAGNPLVANAMNDRLKNLTDAFAQQMGKEFHYCIKDTDDEWNIAFNFSTDPTFLSNCMQATDGDVPQRVCTAAEMKFYFESFLDSNGRKNYVRPNKNCNLTSWMDGCEAGWACSAGPDQNINLQDAVNFPSRTLDCRGCCAGFFCPHGLTCMIPCPLGAYCPESTLNKTTGICDPYNYQPPPGKPNHTCGGADRWADVVSTDDVFCPAGFYCPSTIKKLSCSSGKGSTSQTKCFHKGSCKPNSVNQDITIFGALLVGALSLVLLIIYNFSGQLLTNREKKQAKSREAAARHAKETAMARERWKSAKDVAKKHAVGLQSSLSRTFSRKKTLRTHEPSKGAVETDVEPSKGSGEKKSNLTDMMRSLEENPEKGEGFNVEIGEKKKTKGRHAHTQSQIFKYAYGQIEKEKAMEQQNKNLTFSGVISMATDEDIRTRPRIEIAFKDLTLTLKGSKKKLLRSVTGKLMPGRVAAVMGPSGAGKTTFLSAIAGKATGCETTGMVLINGKMEPIRAYKKIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIEALGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSSSSLLLLRALRREALEGVNISMVVHQPSYTLYKMFDDLILLAKGGLTVYHGPVKKVEEYFSGLGIVVPDRVNPPDYYIDILEGIVKPNANVAVNAKDLPLRWMLHNGYEVPRDMLQSGSDAESSFRGGGDLTPGGDTGQSIAGEVWGNVKDIVGQKKDEYDYNKSSQNLSNRCTPGILRQYKYYLGRCGKQRLREARIQGVDYLILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLEKIHYWRERASGMSSLAYFMSKDTIDHFNTIIKPIVYLSMFYFFNNPRSSIWENYVVLVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKDTFFANLCYTKWALEGFVIANAQRYSGVWLITRCGSLLKSGYDINDRFLCILVLAANGVLFRCVAFFCMVIFQKH >ORUFI06G30270.1 pep chromosome:OR_W1943:6:27802304:27802900:-1 gene:ORUFI06G30270 transcript:ORUFI06G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMAAAPFPGKCFLLLQQVPKPSAALAAAHPLLLGRRRLAALPETAAACVAAAGLVGVAASLLVGRAAEGARREEEEEEEEECSECGGTGLCPRCKGEGFVFKQLPEEAASRARKAAKNMATRYTSGLPTKWTYCNRCSSTRSCTTCGGSGAIPKASSPTTTTL >ORUFI06G30280.1 pep chromosome:OR_W1943:6:27804670:27807675:1 gene:ORUFI06G30280 transcript:ORUFI06G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARRSAGGGLHCCLAFLFKFLAFLQAFAAVSALLYAAWILSRWARHHHLHLQDLLPDLWFVCALMAAGLFYCLLLLAGCVAAEINSPCFLCFYTILAVVMMLLEAALAADLFFNKHWIQDLPYDRTGELDNLLSFIQTNLDLCKWAALAIVATQALSLLLATILRSMLSTPSADYDSDEDFVVIRRPLLVAQGAPAYLPTTADTRGFHPDLWSSRMRHKYGLNSSNYTYNTLDPNAPPPQGLKNVKGNVA >ORUFI06G30280.2 pep chromosome:OR_W1943:6:27804670:27809824:1 gene:ORUFI06G30280 transcript:ORUFI06G30280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARRSAGGGLHCCLAFLFKFLAFLQAFAAVSALLYAAWILSRWARHHHLHLQDLLPDLWFVCALMAAGLFYCLLLLAGCVAAEINSPCFLCFYTILAVVMMLLEAALAADLFFNKHWIQDLPYDRTGELDNLLSFIQTNLDLCKWAALAIVATQALSLLLATILRSMLSTPSADYDSDEDFVVIRRPLLVAQGAPAYLPTTADTRGFHPDLWSSRMRHKYGLNSSNYTYNTLDPNAPPPQ >ORUFI06G30290.1 pep chromosome:OR_W1943:6:27807870:27820770:-1 gene:ORUFI06G30290 transcript:ORUFI06G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAAARGSGVGSSVPEAPVFRPTEEEFGDPLAYVARIRPLAEPYGICRIVPPPSWSPPPALDVCTLSFPTKRQPIHRLLARLAPADPDTFLLDYRRFLHRHRGRKKPKPKLPALSDGRPLDLCRLFHAVKRFGGYDGACAGKRWADVLRLVDDKAPRHAFSVSEHVIAQLYYEHLYQYEHFTNRLPSQSHDDQPPVSASSANISIRRQKKRLRKTSNTMGHCGGSTSAKTAASAPKQKRRKVDATATVVNEAMDQVCEQCNSGLHGEVMLLCDRCDKGWHLYCLSPPLESVPPGNWYCSECMNSDRNCFGFVHRRKTCQVETFRKFEERVRKRWFGHKNPSRVQVEKQFWEIVEGKVGELEVMYGSDLDTSIYGSGFPRLCDPVPSSVDPVMWHKYCSSPWNLNNFPNLPGSVLQTVRDNIAGVMVPWLYIGMLGEPKCWYGVPGAEANAFEKVMRNALPDLFDAQPDLLFHLVTMLSPSILQANGVPVYSVIQEPGNFVITFPRSFHGGFNFGLNCAEAVNFAPADWLPHGGIGAELYRLYRKAPVLSHEELLYVVAKNGVDNESLPYLQGEIERLFVKEKKCREELWITGIVKSNLMPPKNNPNFIGSEEHWKHLCECSPEKHRLLYRHTLAELGDLVCEVSKANLPRENVKQNSLLHSDVFLPTRKDKDQYMSYTQLAEDWLSKADHILHMPFLDTAYATALEDAEQFLWGDHNMDSVRNMSAKLIEGRKWASSVRKCLSQIDGFLHCKENCSEKVNYVEIKELAAVRCKPCYEPSLAQLQVYVDKGEIMINEINNALSSRSKVDYLETLYSRALEFPVELTETSALSCEISSAKSWLKKACDCLEQNKRGIVDIDFLNELKSEMVCLRVLVPEINLVSELWKEAEAWRIRCRSYLQDPPSLKELESFLLVVDGANFSIPELNILMQRYSGACSWVNHANNIVSKLLERNDYDNIVEELTGILKDGESLGEFSVVEEELKKSFCRKQASEALATQTSMEVVKEVLKEASILTIEEEQPFVDLSHNLKAAITWEEKASFILEHSAALPEFENHILCSENIHVILPSELDMKAEVATAKLWMDKCQAYLRPRSDKPASGGFLNVDDLKDLIGQPASMKVILDTSAINSVLNNVIEWEHNSLSLIHSSRSLLDSNVIDSTIDPLKRKLEELQDKINAEIEKGLSLGFEFKVVHELKDSFFTLGWILNALSFCGVTPLLQDAEKLIQQAVNLPASLSDCSLAELLEIAVPYPMMIAKLEDAINKHNSWAEQCNAFFMFPDHQSWDGLLSLRDSGQSVAFDCTEMDKVVAEIKKIEEWLTHCHCTLFPDGNNSDSLLSALLKIRGSMDNACMLYSDCNQKGLCAICSCDVGDHITPRCMICQARYHSSCVEPLPASTQVTREWTCPFCFHLESGDPLQNRLQEKISKGNRPALPALIGLRSFAKGFYSGIEELDLLEEIAEKAHKFKSYLMQILHDADSYHGEDLSVMHRSLLIALKATSAAGLYDHQISCRIESMLSRYSWKKRIHILLCGGKKIPIQQVLMLDNEGSSLEICGEDFFKLEINKIKETSLQWLAKAEKTTLDSGKLALDLVYGLIIEGESLTVHVEKELKLLRDRSVLYCICRKPYDNRAMIACDQCDEWYHFDCIKLHGPPPKTFYCPACRPNNGGEYISLPCLAHEDDRSTTEAGPHTPPASCEAAGRVGAIQCNSTKLTARGEKAKGFSIEQLEDVVGIYAPV >ORUFI06G30300.1 pep chromosome:OR_W1943:6:27827396:27829874:-1 gene:ORUFI06G30300 transcript:ORUFI06G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTATIIAASSSPQPQPLFLLLRLRSRARPGARLRAAAARCSSYNGWADLAAEPDLPFPLQPSHALLPLLLLPIAALSLPRLPPLPLLAAAFSAGFATRHLAPSPSPTHSRRLAALLADLDAQLRALSSSSSSTADPSLLLDVANQLRDAARLAAQGTMLGDAVKEAAGYFAAFSSPRKKPKTAAAAALSLETPSPQDDGNGGLLASATNATTKRTQGKPLDSPSASGGTRTGLGDMLPFDMDIHPLDDAGFSAHSKQDDDADDGVERLVSKHRYGRHTDSFQQGRLATESMESPLLERTLEIRDRSYKLKIESCRGNKSQSNEAQQRPSHHTTTSDNIDDESNAVDSDGDEFSHNVIEAAEILRKARECMMARDDEETADALLYKSARLLSTAVALRPSSLVAVGQLGNTYLLHGELKLKVSRELRTLLANTGALLNGRDRVSRSRKLDRRILSRENISSALVDVCEECESLLVEAGRSYRMALSIDSGDVKALYNWGLALIFRAQLLADIGPEAAIDADRVYLAAIDKFDAMLSKSNTYAPEALYRWGIALQQRSYLRSGNNKEKMRLLEQAKSMFEDVLYVEADNKTVREALSSCIAELNYHGRWL >ORUFI06G30310.1 pep chromosome:OR_W1943:6:27831853:27834385:-1 gene:ORUFI06G30310 transcript:ORUFI06G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFDSPTASPAASPFDDDSFLRFDAAAPAPAPADAFPPSPEPYAFRPDAPSPFGMPEANGSLHDDPFAAPDNDNGPVLPPPNQMGADEGFLLREWRRQNAILLEEKEKKEKEMRNQIILDAEEFKKAFVEKRKLNVETSKDQNREREKLYLANQEKFHAGADKQYWKAISELIPHEIANIEKRGAKKDKDKEKKPGIVVIQGPKPGKPTDMSRMRQILLKLKHTPPPHMKPPPPPAAATGKDGAAGKDGAKVAAAASKDASANGSVPEMEKAAAAAAPAAAATEPIAAA >ORUFI06G30320.1 pep chromosome:OR_W1943:6:27835210:27842425:1 gene:ORUFI06G30320 transcript:ORUFI06G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPRRRYGLLAVVVTLIVLASLQIQFHHLKEDRLTGDRTFATTTTDPVHWRTGAEGLPRGIVHSNSDMYLRPLWDSGANPKNKNDNHNALLAMAVGISQMQNVDIMARKFLNQNYTVMLFHYDGNVDGWHNLEWSDKAIHILARNQTKWWFAKRFLHPDVVAIYGFIFLWDEDLGVDNFDPRRYLDIMVSEGLEITQPALDPDLSTDIHHRITIRNKMMKVHRRIYDNRASGLRAWHLFFLGLLGDNDLIHGWGLDMKLGYCAQGDRAEKVGVIDSEYVVHQGIPSLGGPSLSSKTPRRSLDLRTHIRRQSSAELEKFKERWNRAVREDEEWTDPFDT >ORUFI06G30330.1 pep chromosome:OR_W1943:6:27841064:27849694:-1 gene:ORUFI06G30330 transcript:ORUFI06G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLAGAAPKPRFVSPSPSPAVAATRRRLRFPLAPPRPRPPPLRWSPPSPFRLSLTLSRSRRHSPPPPPPPRAAAAAAPTTSAGAASTSVFVRRATASAAAVTVTVAMARIKVDVLRGRNKAELQAQLKDLKAELSVLRVARVTGGAPNKLSNIKVVRTSIARMLTKQRTALREAYKKKKKSLLPLDLRPKKTCAIRRRLTKHQGMLLFLIGDDPQKRPYSKASQALFSDIEHGCLPQVVLGDVPCKFRNGTIVCEVTQQHCHSKSACGDEDLSVLLGGGCRASSVLGPVSEDDDQFTEGFDRLPHVILEGGYTTNQASVPDGEISRNFSCIKIGGLFLRDTFSPPPCTLTQPSMQSVPQEPPPVSDFAHSFPITSKLKAFFIGYCLANVMSSTARSKTVVSEKKPLIKFALNVPRVAQTEALPGFTKLLAAKQDKWILLNCLSSMGCTNRSFY >ORUFI06G30330.2 pep chromosome:OR_W1943:6:27841064:27849694:-1 gene:ORUFI06G30330 transcript:ORUFI06G30330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLAGAAPKPRFVSPSPSPAVAATRRRLRFPLAPPRPRPPPLRWSPPSPFRLSLTLSRSRRHSPPPPPPPRAAAAAAPTTSAGAASTSVFVRRATASAAAVTVTVAMARIKVDVLRGRNKAELQAQLKDLKAELSVLRVARVTGGAPNKLSNIKVVRTSIARMLTKQRTALREAYKKKKKSLLPLDLRPKKTCAIRRRLTKHQGMLLFLIGDDPQKRPYSKASQALFSDIEHGCLPQVVLGDVPCKFRNGTIVCEVTQQHCHSKSACGDEDLIGPVSEDDDQFTEGFDRLPHVILEGGYTTNQASVPDGEISRNFSCIKIGGLFLRDTFSPPPCTLTQPSMQSVPQEPPPVSDFAHSFPITSKLKAFFIGYCLANVMSSTARSKTVVSEKKPLIKFALNVPRVAQTEALPGFTKLLAAKQDKWILLNCLSSMGCTNRSFY >ORUFI06G30330.3 pep chromosome:OR_W1943:6:27841064:27849694:-1 gene:ORUFI06G30330 transcript:ORUFI06G30330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLAGAAPKPRFVSPSPSPAVAATRRRLRFPLAPPRPRPPPLRWSPPSPFRLSLTLSRSRRHSPPPPPPPRAAAAAAPTTSAGAASTSVFVRRATASAAAVTVTVAMARIKVDVLRGRNKAELQAQLKDLKAELSVLRVARVTGGAPNKLSNIKVVRTSIARMLTKQRTALREAYKKKKKSLLPLDLRPKKTCAIRRRLTKHQGMLLFLIGDDPQKRPYSKASQALFSDIEHGCLPQVVLGDVPCKFRNGTIVCEVTQQHCHSKSACGDEDLSVLLGGGCRASSLVQFQRMMINLQKASVPDGEISRNFSCIKIGGLFLRDTFSPPPCTLTQPSMQSVPQEPPPVSDFAHSFPITSKLKAFFIGYCLANVMSSTARSKTVVSEKKPLIKFALNVPRVAQTEALPGFTKLLAAKQDKWILLNCLSSMGCTNRSFY >ORUFI06G30330.4 pep chromosome:OR_W1943:6:27841064:27849694:-1 gene:ORUFI06G30330 transcript:ORUFI06G30330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLAGAAPKPRFVSPSPSPAVAATRRRLRFPLAPPRPRPPPLRWSPPSPFRLSLTLSRSRRHSPPPPPPPRAAAAAAPTTSAGAASTSVFVRRATASAAAVTVTVAMARIKVDVLRGRNKAELQAQLKDLKAELSVLRVARVTGGAPNKLSNIKVVRTSIARMLTKQRTALREAYKKKKKSLLPLDLRPKKTCAIRRRLTKHQGMLLFLIGDDPQKRPYSKASQALFSDIEHGCLPQVVLGDVPCKFRNGTIVCEVTQQHCHSKSACGDEDLIGPVSEDDDQFTEGFDRLPHASVPDGEISRNFSCIKIGGLFLRDTFSPPPCTLTQPSMQSVPQEPPPVSDFAHSFPITSKLKAFFIGYCLANVMSSTARSKTVVSEKKPLIKFALNVPRVAQTEALPGFTKLLAAKQDKWILLNCLSSMGCTNRSFY >ORUFI07G00010.1 pep chromosome:OR_W1943:7:1229:9084:-1 gene:ORUFI07G00010 transcript:ORUFI07G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTKGEAGTGNVVEAVRHVRSVMGDIRALRNMDDDEVFSYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFANEIR >ORUFI07G00020.1 pep chromosome:OR_W1943:7:1717:2491:1 gene:ORUFI07G00020 transcript:ORUFI07G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMFNVLKKKKSARLENLVLDRNSFSQMVHNINTLAFLVYAGRVEITVNDGGRHIVYPRNALAAEDITCGNVKYSQFVFRLDFKDRQMMMKDEVVDGEEPMHSPQLTTHGTNASNTPPIQVMQDVEAAATTTAKTFTIISIEIIAHEVCELYDKKIVFVSTQTIFSCIIAFG >ORUFI07G00030.1 pep chromosome:OR_W1943:7:12766:13443:-1 gene:ORUFI07G00030 transcript:ORUFI07G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGTGVVTVYGSGTNGAALLEPSNHKSATFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADDAHHINKHNFRVPFVCGALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPKILAEVSSGLGEAMVGINLSDPKVERFAARSE >ORUFI07G00040.1 pep chromosome:OR_W1943:7:18010:21947:1 gene:ORUFI07G00040 transcript:ORUFI07G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNTSILLSPPPPLLSRAAVATPALNPYPLRLHRRHPQKPLCLPSESYPADTDADASSASLDSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSVAIGKDLQAARVTAANKIRLWNKGVDSESFHPRFRNDEMRARLTNGEPEKPLILYVGRLGVEKSLDFLKRLPGSRIAFVGDGPFRAELQLMFTGMPAVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVAARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVSKIERLLTCEELRETMRKAARKEMEKFDWRAATRKIRNEQYSAAIWFWRKKRAQLLRPIQWVSRRLFRPTPAPSTMNQS >ORUFI07G00040.2 pep chromosome:OR_W1943:7:18010:21947:1 gene:ORUFI07G00040 transcript:ORUFI07G00040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNTSILLSPPPPLLSRAAVATPALNPYPLRLHRRHPQKPLCLPSESYPADTDADASSASLDSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIQLMHFSLPKEFLHRAADLTLVPSVAIGKDLQAARVTAANKIRLWNKGVDSESFHPRFRNDEMRARLTNGEPEKPLILYVGRLGVEKSLDFLKRLPGSRIAFVGDGPFRAELQLMFTGMPAVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVAARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVSKIERLLTCEELRETMRKAARKEMEKFDWRAATRKIRNEQYSAAIWFWRKKRAQLLRPIQWVSRRLFRPTPAPSTMNQS >ORUFI07G00050.1 pep chromosome:OR_W1943:7:25356:31832:1 gene:ORUFI07G00050 transcript:ORUFI07G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPTRESEARDEEAEVSRSGEQGGGEASTTQQQQQPVPLRHQLLGACRADERLRPLLTLNLSCGAAEDRFISHLSQHFEASEVGLLYRCLCVPLVALRVGKVDRHGPLLCPTPIRGKLSLGLLPSSSMCIIFAGDDGHSEQLALLNNDHEVSEVCVEEISADNTGRSFLIRISESKVFYYWCAEKSKKHGMDLLAKMKNLLQGRPTLSDLTGISDSRLDAFATHLHAYLVASSIGDVKSLGSLNDFLGASSPQDQYLQPSSVVSKSSRFCTSAANAAKASSVYQTSLSPRCGAFKDGVPRMSCAKIAGRDKLKRRGDWLSSSTGPDDANLLTPKIVSSDSASEKCGGDCSENSANSPPLDLPLSFPLLPSLFPLATQYPLPKDSTEQPFKPYYCWCPPCPSSLQYSVTPLHMPVTSVEPLPLPPLSSLLSNDQPPTSTVSAKMDTTDLPSLNLPSILRDPLLHLPLPTSPLVSLHGSQVPTFTPLMSDPIVHVPVIDVCSSGQAYLVSCGPSMSATVPLLPSLKPLIPETESLVERSARETLMRLIASTPSASNPQLVNILPAVLTDVPEMNVRKHLGVHPGDRLSSSCSVDVIGPGFAVTEDDASVGDGAHATFAEYDDIGDQQHFQSM >ORUFI07G00060.1 pep chromosome:OR_W1943:7:32061:33752:1 gene:ORUFI07G00060 transcript:ORUFI07G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTTDGTTDHAGKPAVRSKSGTWRACPFILGNECCERLAYYGMSANLVNYMVDRLRQGNAGAAASVNNWSGTCYVMPLVGAFLADAYLGRYRTIAAFMALYIVGLALLTMSASVPGMKPPNCATISASSCGPSPGQSAAFFVALYLIALGTGGIKPCVSSFGADQFDDADPREHRSKASFFNWFYMSINVGALVASSVLVWVQMNVGWGWGFGIPAVAMAVAVASFLMGSSLYRHQKPGGSPLTRMLQVVVAAARKSRVALPADAAALLYEGDKLACGTRRLAHTEQFRWLDRAAVVTPTTDKDDDTGSRWRLCPVTQVEELKAVVRLLPVWASGIVMSAVYGQMSTMFVLQGNTLDPRMGATFKIPSASLSIFDTLAVLAWVPVYDRLIVPAARRFTGHPRGFTQLQRMGIGLLISVFSMVAAGVLEVVRLRVAAAHGMLDSTSYLPISIFWQVQYFIIGQIDFFYDQAPDDMRSTCTALSLTSSALGNYLSTLLVVIVTAASTRGGGLGWIPDNLNRGHLDYFFWLLAALSAVNFLVYLWIANWYRCKTITTTEAAAQT >ORUFI07G00070.1 pep chromosome:OR_W1943:7:34861:35471:-1 gene:ORUFI07G00070 transcript:ORUFI07G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARASPSRGWVAEKDVLDLNIISRNAVPLPEQDRPVPLAAIVESPSNSSTSDLSVGKVLQVYLRTQRAWKMGWWGAEGAMVEAAKEEEEGKDGKVESAREMAGVEATKDLST >ORUFI07G00080.1 pep chromosome:OR_W1943:7:38345:42429:-1 gene:ORUFI07G00080 transcript:ORUFI07G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSDDKALISEDTAHQISADPWYQVGFVLTTGVNSAYVLGYSGSVMVPLGWIGGTCGLILAAAISLYANALLARLHEIGGKRHIRYRDLAGHIYGRKMYSLTWALQYVNLFMINTGFIILAGQALKATYVLFRDDGVLKLPYCIALSGFVCALFAFGIPYLSALRIWLGFSTFFSLIYITIAFVLSLRDGITTPAKDYTIPGSHSARIFTTIGAVANLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTVGSLPLYAVTFMGYWAYGSSTSSYLLNSVKGPVWVKAMANLSAFLQTVIALHIFASPMYEFLDTKYGSGHGGPFAIHNVMFRVGVRGGYLTVNTLVAAMLPFLGDFMSLTGALSTFPLTFVLANHMYLMVKRHKLSTLQISWHWLNVAGFSLLSIAAAVAALRLIMVDSRTYHLFADL >ORUFI07G00090.1 pep chromosome:OR_W1943:7:46500:47417:-1 gene:ORUFI07G00090 transcript:ORUFI07G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALLFLLLLLPPPAAPFSLDFFPESPSPPRLALSGAASLRPTAVSMASPRARLQLTHPVALGPAFSTYFSFSLSGPGSLSFFLTPHPHPDRHPFLLAIVFDAAARVRIDLAGHTTGTAASHLAPSSAPARLHSWIHYNATSATLQLRLSATSRRPALPLLSLHPLPPSALLLLRTKPMLAGFTSSATNCTLFAWAFRANNTMQHSQPLDPSHLLTTPPPHRPQPHPHHYYPWLSLLFAAACGAMLTFFLLFVWYSLLATRRPVAPVTTSDSDVVYEKIVLVGAKDDDAPAATTPSPDVAGNNN >ORUFI07G00100.1 pep chromosome:OR_W1943:7:49054:50872:-1 gene:ORUFI07G00100 transcript:ORUFI07G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLGSGSTRILLIVSLLLCLRQQAVVDAAIVEHTFHVGNLTVERLGQRQVITAVNGQFPGPKVEARNGDTLLVRVVNNSPYNITIHWHGVLQRLSAWADGPAMVTQCPILPGSSYTYRFNVTGQEGTLWWHAHVSFLRATVYGALLIRPRPGVPYPFPAPHAEHTLLLGEWWNASATLVDVERQAFLTGGQPANSVALTINGMPGLSHAHKEMHHLRVARGNTYLLRLVNAALNYQLFFKVAAHNFTVVAVDACYTDPYHTDVIVIAPGQTVDALMHAGAAPGRRYYVAAQVYQSIANATYSATARALLRYDDDAKDAAKTIIMSPRMPALNDSATAQRFYGSLTGLLRDGKPTMPQRVDTRMVVTYGLAIAPCLPAQTLCNRTRGSLAASMNNVSFQLPATMSLLEASRSRSSGVYTRDFPDRPPVMFDFTNAAAVNRNMSLMVTSKGTRVKALRYNETVEVVLQNTAVLGTENHPLHLHGFNFYVLAQGTGNYYYLIRKKKIRKNLVNPQQRNTIAVPPGGWAVIRFTADNPGVWLMHCHLEAHLPFGLAMAFDVQDGATPDAMLPPPPNDYPPC >ORUFI07G00110.1 pep chromosome:OR_W1943:7:55073:56425:-1 gene:ORUFI07G00110 transcript:ORUFI07G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPHPDLTSGSTDMKQAAAAPAKSTSSCEDASVAKRDQGGDSWRSLRRRESAWNLFVLDVILKSTASRQWILLGFACALTPLVQATRLGLVLLEQSSYHF >ORUFI07G00110.2 pep chromosome:OR_W1943:7:55888:56425:-1 gene:ORUFI07G00110 transcript:ORUFI07G00110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISPHPDLTSGSTDMKQAAAAPAKSTSSCEDASVAKRDQGGDSWRSLRRRESAWNLFVLDVILKSTASRQWILLGFACALTPLVQATRLGLVLLEQRLAAYHCCVVCCDI >ORUFI07G00120.1 pep chromosome:OR_W1943:7:59732:62756:-1 gene:ORUFI07G00120 transcript:ORUFI07G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATTVATSFPPPLQPPASTVAAAAAVTASSSCGRHRWIRLPSRGTVMLGCGQTLTERGAPHRETAQAPLCRFGRGPWVRENGNTQDTGDVYRFGPLRSPYAKTASENVTRGEG >ORUFI07G00130.1 pep chromosome:OR_W1943:7:62777:75808:1 gene:ORUFI07G00130 transcript:ORUFI07G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMQCHAAAAAAAAATVPAYCALIRPLASAGRVDAVDAAVASARSRLSPATIHPLYVASIRAYARAGRLRDAVDAFERMDLFACPPAAPAYNAIMDALVDAAYHDQAHKVYVRMLAAGVSPDLHTHTIRLRSFCLTARPHIALRLLRALPHRGAVAYCTVVCGLYAHGHTHDARQLFDQMLHTHVFPNLAAFNKVLHALCKRGDVLEAGLLLGKVIQRGMSINLFTYNIWIRGLCEAGRLPEAVRLVDGMRAYAVPDVVTYNTLIRGLCKKSMPQEAMHYLRRMMNQGCLPDDFTYNTIIDGYCKISMVQEATELLKDAVFKGFVPDQVTYCSLINGLCAEGDVERALELFNEAQAKGIKPDIVVYNSLVKGLCLQGLILHALQVMNEMAEEGCHPDIQTYNIVINGLCKMGNISDATVVMNDAIMKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWEYGIAPDTITYNSVLNGLCKAGKVNEVNETFQEMILKGCHPNPITYNILIENFCRSNKMEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEGAYLLFQKLEEKGYSATADTFNTLIGAFSGKLNMHMAEKIFDEMLSKGHRADSYTYRVLIDGSCKTANVDRAYMHLVEMIKKGFIPSMSTFGRVINSLTVNHRVFQAVGIIHIMVKIGVVPEVVDTILNADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVQSTIYCLEYGKQRASDPKCRKTTEECVEINVDGAQLRIMLTNLKAAAAPLLFSRVACFFYGGITLQFQLGASRFIVPLASDLASLELALQVNCEILTWGDVYVDKVITEIKGDLYDSPIDSKNQIYHEDWQLQFAAGIKTILFVPVVPHGVLQLGSLDLVPESSTSVALIKDLFYKLYDASISGSPSGTGFGYSNTGRQPAAMLPMDSPDVVPHNFFRSIKSSAQLLNNDHLSLLHAFPVLEFASTEDSIVSIYDTSLTACAVEPLDGNDSDIWTNVHEELSQFTRCNTASEADKANISYMDKLINSDSKMSCRSVSHAEDPGYGNIDHFILTEMERENQEHINNYTSVNDYAVTSNPSFHSELHKTLEPISREEREDCMWHIRYRQQESTSSALLQENGNKAGFDKQCENNDYAELLLDAINDQVIWASNSESSHSTDSPVSCATQIQKDDHVPRLDESSVPNFPETNKAILVEEDFISDPIEGMHRETSVEIKGRCRKTGLHRPRPRDRQLIQDRMMELRQLVPNTSKCSIDSLLDKTIAHMQFLQCVSEKADKLEKIINSEGSTKRQPGSCPLKVEMVCEEYGVFLEIAHVLKDLEVTILKGLLESRSDKLWARFVIQASQGFDQMQILYPLMHLLQKQRWS >ORUFI07G00130.2 pep chromosome:OR_W1943:7:62777:75808:1 gene:ORUFI07G00130 transcript:ORUFI07G00130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMQCHAAAAAAAAATVPAYCALIRPLASAGRVDAVDAAVASARSRLSPATIHPLYVASIRAYARAGRLRDAVDAFERMDLFACPPAAPAYNAIMDALVDAAYHDQAHKVYVRMLAAGVSPDLHTHTIRLRSFCLTARPHIALRLLRALPHRGAVAYCTVVCGLYAHGHTHDARQLFDQMLHTHVFPNLAAFNKVLHALCKRGDVLEAGLLLGKVIQRGMSINLFTYNIWIRGLCEAGRLPEAVRLVDGMRAYAVPDVVTYNTLIRGLCKKSMPQEAMHYLRRMMNQGCLPDDFTYNTIIDGYCKISMVQEATELLKDAVFKGFVPDQVTYCSLINGLCAEGDVERALELFNEAQAKGIKPDIVVYNSLVKGLCLQGLILHALQVMNEMAEEGCHPDIQTYNIVINGLCKMGNISDATVVMNDAIMKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWEYGIAPDTITYNSVLNGLCKAGKVNEVNETFQEMILKGCHPNPITYNILIENFCRSNKMEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEGAYLLFQKLEEKGYSATADTFNTLIGAFSGKLNMHMAEKIFDEMLSKGHRADSYTYRVLIDGSCKTANVDRAYMHLVEMIKKGFIPSMSTFGRVINSLTVNHRVFQAVGIIHIMVKIGVVPEVVDTILNADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVQSTIYCLEYGKQRASDPKCRKTTEECVEINVDGAQLRIMLTNLKAAAAPLLFSRVACFFYGGITLQFQLGASRFIVPLASDLASLELALQVNCEILTWGDVYVDKVITEIKGDLYDSPIDSKNQIVMSTLYNNDQYQSYPLCPIEAALLSMTWFQQHYFSLQYHEDWQLQFAAGIKTILFVPVVPHGVLQLGSLDLVPESSTSVALIKDLFYKLYDASISGSPSGTGFGYSNTGRQPAAMLPMDSPDVVPHNFFRSIKSSAQLLNNDHLSLLHAFPVLEFASTEDSIVSIYDTSLTACAVEPLDGNDSDIWTNVHEELSQFTRCNTASEADKANISYMDKLINSDSKMSCRSVSHAEDPGYGNIDHFILTEMERENQEHINNYTSVNDYAVTSNPSFHSELHKTLEPISREEREDCMWHIRYRQQESTSSALLQENGNKAGFDKQCENNDYAELLLDAINDQVIWASNSESSHSTDSPVSCATQIQKDDHVPRLDESSVPNFPGGQDFSLISIDEGFMSCTMTGSSLTETNKAILVEEDFISDPIEGMHRETSVEIKGRCRKTGLHRPRPRDRQLIQDRMMELRQLVPNTSKCSIDSLLDKTIAHMQFLQCVSEKADKLEKIINSEGSTKRQPGSCPLKVEMVCEEYGVFLEIAHVLKDLEVTILKGLLESRSDKLWARFVIQASQGFDQMQILYPLMHLLQKQRWS >ORUFI07G00130.3 pep chromosome:OR_W1943:7:62777:75808:1 gene:ORUFI07G00130 transcript:ORUFI07G00130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMQCHAAAAAAAAATVPAYCALIRPLASAGRVDAVDAAVASARSRLSPATIHPLYVASIRAYARAGRLRDAVDAFERMDLFACPPAAPAYNAIMDALVDAAYHDQAHKVYVRMLAAGVSPDLHTHTIRLRSFCLTARPHIALRLLRALPHRGAVAYCTVVCGLYAHGHTHDARQLFDQMLHTHVFPNLAAFNKVLHALCKRGDVLEAGLLLGKVIQRGMSINLFTYNIWIRGLCEAGRLPEAVRLVDGMRAYAVPDVVTYNTLIRGLCKKSMPQEAMHYLRRMMNQGCLPDDFTYNTIIDGYCKISMVQEATELLKDAVFKGFVPDQVTYCSLINGLCAEGDVERALELFNEAQAKGIKPDIVVYNSLVKGLCLQGLILHALQVMNEMAEEGCHPDIQTYNIVINGLCKMGNISDATVVMNDAIMKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWEYGIAPDTITYNSVLNGLCKAGKVNEVNETFQEMILKGCHPNPITYNILIENFCRSNKMEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEGAYLLFQKLEEKGYSATADTFNTLIGAFSGKLNMHMAEKIFDEMLSKGHRADSYTYRVLIDGSCKTANVDRAYMHLVEMIKKGFIPSMSTFGRVINSLTVNHRVFQAVGIIHIMVKIGVVPEVVDTILNADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVQSTIYCLEYGKQRASDPKCRKTTEECVEINVDGAQLRIMLTNLKAAAAPLLFSRVACFFYGGITLQILTWGDVYVDKVITEIKGDLYDSPIDSKNQIYHEDWQLQFAAGIKTILFVPVVPHGVLQLGSLDLVPESSTSVALIKDLFYKLYDASISGSPSGTGFGYSNTGRQPAAMLPMDSPDVVPHNFFRSIKSSAQLLNNDHLSLLHAFPVLEFASTEDSIVSIYDTSLTACAVEPLDGNDSDIWTNVHEELSQFTRCNTASEADKANISYMDKLINSDSKMSCRSVSHAEDPGYGNIDHFILTEMERENQEHINNYTSVNDYAVTSNPSFHSELHKTLEPISREEREDCMWHIRYRQQESTSSALLQENGNKAGFDKQCENNDYAELLLDAINDQVIWASNSESSHSTDSPVSCATQIQKDDHVPRLDESSVPNFPETNKAILVEEDFISDPIEGMHRETSVEIKGRCRKTGLHRPRPRDRQLIQDRMMELRQLVPNTSKCSIDSLLDKTIAHMQFLQCVSEKADKLEKIINSEGSTKRQPGSCPLKVEVLDQPGHLLIEASDAVSMVCEEYGVFLEIAHVLKDLEVTILKGLLESRSDKLWARFVIQASQGFDQMQILYPLMHLLQKQRWS >ORUFI07G00130.4 pep chromosome:OR_W1943:7:62777:75808:1 gene:ORUFI07G00130 transcript:ORUFI07G00130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMQCHAAAAAAAAATVPAYCALIRPLASAGRVDAVDAAVASARSRLSPATIHPLYVASIRAYARAGRLRDAVDAFERMDLFACPPAAPAYNAIMDALVDAAYHDQAHKVYVRMLAAGVSPDLHTHTIRLRSFCLTARPHIALRLLRALPHRGAVAYCTVVCGLYAHGHTHDARQLFDQMLHTHVFPNLAAFNKVLHALCKRGDVLEAGLLLGKVIQRGMSINLFTYNIWIRGLCEAGRLPEAVRLVDGMRAYAVPDVVTYNTLIRGLCKKSMPQEAMHYLRRMMNQGCLPDDFTYNTIIDGYCKISMVQEATELLKDAVFKGFVPDQVTYCSLINGLCAEGDVERALELFNEAQAKGIKPDIVVYNSLVKGLCLQGLILHALQVMNEMAEEGCHPDIQTYNIVINGLCKMGNISDATVVMNDAIMKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWEYGIAPDTITYNSVLNGLCKAGKVNEVNETFQEMILKGCHPNPITYNILIENFCRSNKMEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEGAYLLFQKLEEKGYSATADTFNTLIGAFSGKLNMHMAEKIFDEMLSKGHRADSYTYRVLIDGSCKTANVDRAYMHLVEMIKKGFIPSMSTFGRVINSLTVNHRVFQAVGIIHIMVKIGVVPEVVDTILNADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVQSTIYCLEYGKQRASDPKCRKTTEECVEINVDGAQLRIMLTNLKAAAAPLLFSRVACFFYGGITLQILTWGDVYVDKVITEIKGDLYDSPIDSKNQIYHEDWQLQFAAGIKTILFVPVVPHGVLQLGSLDLVPESSTSVALIKDLFYKLYDASISGSPSGTGFGYSNTGRQPAAMLPMDSPDVVPHNFFRSIKSSAQLLNNDHLSLLHAFPVLEFASTEDSIVSIYDTSLTACAVEPLDGNDSDIWTNVHEELSQFTRCNTASEADKANISYMDKLINSDSKMSCRSVSHAEDPGYGNIDHFILTEMERENQEHINNYTSVNDYAVTSNPSFHSELHKTLEPISREEREDCMWHIRYRQQESTSSALLQENGNKAGFDKQCENNDYAELLLDAINDQVIWASNSESSHSTDSPVSCATQIQKDDHVPRLDESSVPNFPETNKAILVEEDFISDPIEGMHRETSVEIKGRCRKTGLHRPRPRDRQLIQDRMMELRQLVPNTSKCSIDSLLDKTIAHMQFLQCVSEKADKLEKIINSEGSTKRQPGSCPLKVEMVCEEYGVFLEIAHVLKDLEVTILKGLLESRSDKLWARFVIQASQGFDQMQILYPLMHLLQKQRWS >ORUFI07G00130.5 pep chromosome:OR_W1943:7:62777:75808:1 gene:ORUFI07G00130 transcript:ORUFI07G00130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMQCHAAAAAAAAATVPAYCALIRPLASAGRVDAVDAAVASARSRLSPATIHPLYVASIRAYARAGRLRDAVDAFERMDLFACPPAAPAYNAIMDALVDAAYHDQAHKVYVRMLAAGVSPDLHTHTIRLRSFCLTARPHIALRLLRALPHRGAVAYCTVVCGLYAHGHTHDARQLFDQMLHTHVFPNLAAFNKVLHALCKRGDVLEAGLLLGKVIQRGMSINLFTYNIWIRGLCEAGRLPEAVRLVDGMRAYAVPDVVTYNTLIRGLCKKSMPQEAMHYLRRMMNQGCLPDDFTYNTIIDGYCKISMVQEATELLKDAVFKGFVPDQVTYCSLINGLCAEGDVERALELFNEAQAKGIKPDIVVYNSLVKGLCLQGLILHALQVMNEMAEEGCHPDIQTYNIVINGLCKMGNISDATVVMNDAIMKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWEYGIAPDTITYNSVLNGLCKAGKVNEVNETFQEMILKGCHPNPITYNILIENFCRSNKMEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEGAYLLFQKLEEKGYSATADTFNTLIGAFSGKLNMHMAEKIFDEMLSKGHRADSYTYRVLIDGSCKTANVDRAYMHLVEMIKKGFIPSMSTFGRVINSLTVNHRVFQAVGIIHIMVKIGVVPEVVDTILNADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVQSTIYCLEYGKQRASDPKCRKTTEECVEINVDGAQLRIMLTNLKAAAAPLLFSRVACFFYGGITLQILTWGDVYVDKVITEIKGDLYDSPIDSKNQIVMSTLYNNDQYQSYPLCPIEAALLSMTWFQQHYFSLQYHEDWQLQFAAGIKTILFVPVVPHGVLQLGSLDLVPESSTSVALIKDLFYKLYDASISGSPSGTGFGYSNTGRQPAAMLPMDSPDVVPHNFFRSIKSSAQLLNNDHLSLLHAFPVLEFASTEDSIVSIYDTSLTACAVEPLDGNDSDIWTNVHEELSQFTRCNTASEADKANISYMDKLINSDSKMSCRSVSHAEDPGYGNIDHFILTEMERENQEHINNYTSVNDYAVTSNPSFHSELHKTLEPISREEREDCMWHIRYRQQESTSSALLQENGNKAGFDKQCENNDYAELLLDAINDQVIWASNSESSHSTDSPVSCATQIQKDDHVPRLDESSVPNFPGGQDFSLISIDEGFMSCTMTGSSLTETNKAILVEEDFISDPIEGMHRETSVEIKGRCRKTGLHRPRPRDRQLIQDRMMELRQLVPNTSKCSIDSLLDKTIAHMQFLQCVSEKADKLEKIINSEGSTKRQPGSCPLKVEMVCEEYGVFLEIAHVLKDLEVTILKGLLESRSDKLWARFVIQASQGFDQMQILYPLMHLLQKQRWS >ORUFI07G00130.6 pep chromosome:OR_W1943:7:62777:75808:1 gene:ORUFI07G00130 transcript:ORUFI07G00130.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMQCHAAAAAAAAATVPAYCALIRPLASAGRVDAVDAAVASARSRLSPATIHPLYVASIRAYARAGRLRDAVDAFERMDLFACPPAAPAYNAIMDALVDAAYHDQAHKVYVRMLAAGVSPDLHTHTIRLRSFCLTARPHIALRLLRALPHRGAVAYCTVVCGLYAHGHTHDARQLFDQMLHTHVFPNLAAFNKVLHALCKRGDVLEAGLLLGKVIQRGMSINLFTYNIWIRGLCEAGRLPEAVRLVDGMRAYAVPDVVTYNTLIRGLCKKSMPQEAMHYLRRMMNQGCLPDDFTYNTIIDGYCKISMVQEATELLKDAVFKGFVPDQVTYCSLINGLCAEGDVERALELFNEAQAKGIKPDIVVYNSLVKGLCLQGLILHALQVMNEMAEEGCHPDIQTYNIVINGLCKMGNISDATVVMNDAIMKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWEYGIAPDTITYNSVLNGLCKAGKVNEVNETFQEMILKGCHPNPITYNILIENFCRSNKMEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEGAYLLFQKLEEKGYSATADTFNTLIGAFSGKLNMHMAEKIFDEMLSKGHRADSYTYRVLIDGSCKTANVDRAYMHLVEMIKKGFIPSMSTFGRVINSLTVNHRVFQAVGIIHIMVKIGVVPEVVDTILNADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVQSTIYCLEYGKQRASDPKCRKTTEECVEINVDGAQLRIMLTNLKAAAAPLLFSRVACFFYGGITLQILTWGDVYVDKVITEIKGDLYDSPIDSKNQIYHEDWQLQFAAGIKTILFVPVVPHGVLQLGSLDLVPESSTSVALIKDLFYKLYDASISGSPSGTGFGYSNTGRQPAAMLPMDSPDVVPHNFFRSIKSSAQLLNNDHLSLLHAFPVLEFASTEDSIVSIYDTSLTACAVEPLDGNDSDIWTNVHEELSQFTRCNTASEADKANISYMDKLINSDSKMSCRSVSHAEDPGYGNIDHFILTEMERENQEHINNYTSVNDYAVTSNPSFHSELHKTLEPISREEREDCMWHIRYRQQESTSSALLQENGNKAGFDKQCENNDYAELLLDAINDQVIWASNSESSHSTDSPVSCATQIQKDDHVPRLDESSVPNFPGGQDFSLISIDEGFMSCTMTGSSLTETNKAILVEEDFISDPIEGMHRETSVEIKGRCRKTGLHRPRPRDRQLIQDRMMELRQLVPNTSKCSIDSLLDKTIAHMQFLQCVSEKADKLEKIINSEGSTKRQPGSCPLKVEVLDQPGHLLIEASDAVSMVCEEYGVFLEIAHVLKDLEVTILKGLLESRSDKLWARFVIQASQGFDQMQILYPLMHLLQKQRWS >ORUFI07G00130.7 pep chromosome:OR_W1943:7:62777:75808:1 gene:ORUFI07G00130 transcript:ORUFI07G00130.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMQCHAAAAAAAAATVPAYCALIRPLASAGRVDAVDAAVASARSRLSPATIHPLYVASIRAYARAGRLRDAVDAFERMDLFACPPAAPAYNAIMDALVDAAYHDQAHKVYVRMLAAGVSPDLHTHTIRLRSFCLTARPHIALRLLRALPHRGAVAYCTVVCGLYAHGHTHDARQLFDQMLHTHVFPNLAAFNKVLHALCKRGDVLEAGLLLGKVIQRGMSINLFTYNIWIRGLCEAGRLPEAVRLVDGMRAYAVPDVVTYNTLIRGLCKKSMPQEAMHYLRRMMNQGCLPDDFTYNTIIDGYCKISMVQEATELLKDAVFKGFVPDQVTYCSLINGLCAEGDVERALELFNEAQAKGIKPDIVVYNSLVKGLCLQGLILHALQVMNEMAEEGCHPDIQTYNIVINGLCKMGNISDATVVMNDAIMKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWEYGIAPDTITYNSVLNGLCKAGKVNEVNETFQEMILKGCHPNPITYNILIENFCRSNKMEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEGAYLLFQKLEEKGYSATADTFNTLIGAFSGKLNMHMAEKIFDEMLSKGHRADSYTYRVLIDGSCKTANVDRAYMHLVEMIKKGFIPSMSTFGRVINSLTVNHRVFQAVGIIHIMVKIGVVPEVVDTILNADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVQSTIYCLEYGKQRASDPKCRKTTEECVEINVDGAQLRIMLTNLKAAAAPLLFSRVACFFYGGITLQILTWGDVYVDKVITEIKGDLYDSPIDSKNQIYHEDWQLQFAAGIKTILFVPVVPHGVLQLGSLDLVPESSTSVALIKDLFYKLYDASISGSPSGTGFGYSNTGRQPAAMLPMDSPDVVPHNFFRSIKSSAQLLNNDHLSLLHAFPVLEFASTEDSIVSIYDTSLTACAVEPLDGNDSDIWTNVHEELSQFTRCNTASEADKANISYMDKLINSDSKMSCRSVSHAEDPGYGNIDHFILTEMERENQEHINNYTSVNDYAVTSNPSFHSELHKTLEPISREEREDCMWHIRYRQQESTSSALLQENGNKAGFDKQCENNDYAELLLDAINDQVIWASNSESSHSTDSPVSCATQIQKDDHVPRLDESSVPNFPETNKAILVEEDFISDPIEGMHRETSVEIKGRCRKTGLHRPRPRDRQLIQDRMMELRQLVPNTSKVQLLALFDVSPSTKDVLFICLFVLSFGNEEANGLLTVSSFYKLKQCSIDSLLDKTIAHMQFLQCVSEKADKMVCEEYGVFLEIAHVLKDLEVTILKGLLESRSDKLWARFVIQASQGFDQMQILYPLMHLLQKQRWS >ORUFI07G00130.8 pep chromosome:OR_W1943:7:62777:75808:1 gene:ORUFI07G00130 transcript:ORUFI07G00130.8 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMQCHAAAAAAAAATVPAYCALIRPLASAGRVDAVDAAVASARSRLSPATIHPLYVASIRAYARAGRLRDAVDAFERMDLFACPPAAPAYNAIMDALVDAAYHDQAHKVYVRMLAAGVSPDLHTHTIRLRSFCLTARPHIALRLLRALPHRGAVAYCTVVCGLYAHGHTHDARQLFDQMLHTHVFPNLAAFNKVLHALCKRGDVLEAGLLLGKVIQRGMSINLFTYNIWIRGLCEAGRLPEAVRLVDGMRAYAVPDVVTYNTLIRGLCKKSMPQEAMHYLRRMMNQGCLPDDFTYNTIIDGYCKISMVQEATELLKDAVFKGFVPDQVTYCSLINGLCAEGDVERALELFNEAQAKGIKPDIVVYNSLVKGLCLQGLILHALQVMNEMAEEGCHPDIQTYNIVINGLCKMGNISDATVVMNDAIMKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWEYGIAPDTITYNSVLNGLCKAGKVNEVNETFQEMILKGCHPNPITYNILIENFCRSNKMEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEGAYLLFQKLEEKGYSATADTFNTLIGAFSGKLNMHMAEKIFDEMLSKGHRADSYTYRVLIDGSCKTANVDRAYMHLVEMIKKGFIPSMSTFGRVINSLTVNHRVFQAVGIIHIMVKIGVVPEVVDTILNADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVQSTIYCLEYGKQRASDPKCRKTTEECVEINVDGAQLRIMLTNLKAAAAPLLFSRVACFFYGGITLQILTWGDVYVDKVITEIKGDLYDSPIDSKNQIVMSTLYNNDQYQSYPLCPIEAALLSMTWFQQHYFSLQYHEDWQLQFAAGIKTILFVPVVPHGVLQLGSLDLVPESSTSVALIKDLFYKLYDASISGSPSGTGFGYSNTGRQPAAMLPMDSPDVVPHNFFRSIKSSAQLLNNDHLSLLHAFPVLEFASTEDSIVSIYDTSLTACAVEPLDGNDSDIWTNVHEELSQFTRCNTASEADKANISYMDKLINSDSKMSCRSVSHAEDPGYGNIDHFILTEMERENQEHINNYTSVNDYAVTSNPSFHSELHKTLEPISREEREDCMWHIRYRQQESTSSALLQENGNKAGFDKQCENNDYAELLLDAINDQVIWASNSESSHSTDSPVSCATQIQKDDHVPRLDESSVPNFPGGQDFSLISIDEGFMSCTMTGSSLTETNKAILVEEDFISDPIEGMHRETSVEIKGRCRKTGLHRPRPRDRQLIQDRMMELRQLVPNTSKVQLLALFDVSPSTKDVLFICLFVLSFGNEEANGLLTVSSFYKLKQCSIDSLLDKTIAHMQFLQCVSEKADKMVCEEYGVFLEIAHVLKDLEVTILKGLLESRSDKLWARFVIQASQGFDQMQILYPLMHLLQKQRWS >ORUFI07G00130.9 pep chromosome:OR_W1943:7:62777:75808:1 gene:ORUFI07G00130 transcript:ORUFI07G00130.9 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMQCHAAAAAAAAATVPAYCALIRPLASAGRVDAVDAAVASARSRLSPATIHPLYVASIRAYARAGRLRDAVDAFERMDLFACPPAAPAYNAIMDALVDAAYHDQAHKVYVRMLAAGVSPDLHTHTIRLRSFCLTARPHIALRLLRALPHRGAVAYCTVVCGLYAHGHTHDARQLFDQMLHTHVFPNLAAFNKVLHALCKRGDVLEAGLLLGKVIQRGMSINLFTYNIWIRGLCEAGRLPEAVRLVDGMRAYAVPDVVTYNTLIRGLCKKSMPQEAMHYLRRMMNQGCLPDDFTYNTIIDGYCKISMVQEATELLKDAVFKGFVPDQVTYCSLINGLCAEGDVERALELFNEAQAKGIKPDIVVYNSLVKGLCLQGLILHALQVMNEMAEEGCHPDIQTYNIVINGLCKMGNISDATVVMNDAIMKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWEYGIAPDTITYNSVLNGLCKAGKVNEVNETFQEMILKGCHPNPITYNILIENFCRSNKMEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEGAYLLFQKLEEKGYSATADTFNTLIGAFSGKLNMHMAEKIFDEMLSKGHRADSYTYRVLIDGSCKTANVDRAYMHLVEMIKKGFIPSMSTFGRVINSLTVNHRVFQAVGIIHIMVKIGVVPEVVDTILNADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVQSTIYCLEYGKQRASDPKCRKTTEECVEINVDGAQLRIMLTNLKAAAAPLLFSRVACFFYGGITLQILTWGDVYVDKVITEIKGDLYDSPIDSKNQIYHEDWQLQFAAGIKTILFVPVVPHGVLQLGSLDLVPESSTSVALIKDLFYKLYDASISGSPSGTGFGYSNTGRQPAAMLPMDSPDVVPHNFFRSIKSSAQLLNNDHLSLLHAFPVLEFASTEDSIVSIYDTSLTACAVEPLDGNDSDIWTNVHEELSQFTRCNTASEADKANISYMDKLINSDSKMSCRSVSHAEDPGYGNIDHFILTEMERENQEHINNYTSVNDYAVTSNPSFHSELHKTLEPISREEREDCMWHIRYRQQESTSSALLQENGNKAGFDKQCENNDYAELLLDAINDQVIWASNSESSHSTDSPVSCATQIQKDDHVPRLDESSVPNFPGGQDFSLISIDEGFMSCTMTGSSLTETNKAILVEEDFISDPIEGMHRETSVEIKGRCRKTGLHRPRPRDRQLIQDRMMELRQLVPNTSKVQLLALFDVSPSTKDVLFICLFVLSFGNEEANGLLTVSSFYKLKQCSIDSLLDKTIAHMQFLQCVSEKADKMVCEEYGVFLEIAHVLKDLEVTILKGLLESRSDKLWARFVIQASQGFDQMQILYPLMHLLQKQRWS >ORUFI07G00140.1 pep chromosome:OR_W1943:7:72336:75278:-1 gene:ORUFI07G00140 transcript:ORUFI07G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAASWSRSVTETVRGSHQYTVKGFSMAKGVGAGRYVSSDTFAVGGYHWAVYLYPDGKNPEDNANYVSVFVALASDGADVRALFELTLLDQSGRGRHKVHSHFDRSLQAGPYTLKYRGSMWGYKRFYRRSLLESSDFLKDDCLVMNCTVGVVKNRLETPKNIHINIPPSDMGRCFNNLLNLRIGCDVSFEVGDERVQAHKWILAARSPVFKAQFFGPIGNPDLHTVIVEDVEPLVFKAMVNFIYSDELPSIHELAGSVSTWTSTVVVQHLLAAADRYGLDRLRLLCEEKLCDELTAETVATTLALAEQHHCTQLKSACLKFTAVRENLGAVMETEGFNYLEETCPSLLSDLLATVAVVDDDAASFNRKRGVGGNEGANPVESVEASDRRIRRRV >ORUFI07G00150.1 pep chromosome:OR_W1943:7:75473:77892:-1 gene:ORUFI07G00150 transcript:ORUFI07G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFVSWAGLVVAIASIIDKGQIMEKRKKERKEGKKELREGAYCIVGRQQLRLSDRPPTPTPTPVS >ORUFI07G00160.1 pep chromosome:OR_W1943:7:77989:80571:1 gene:ORUFI07G00160 transcript:ORUFI07G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAGLESAWEYLITHFSEFQLASIGTFLLHESVFFLSGLPSLLFERLGLFSKYKIQKKSNTPDYQNRCVVRLVLYHVCVNLPLTILSYRTFKFMGLRSTLPLPHWTVVVSQVLFFFVLEDFIFYWGHRALHTKWLYQHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVAGPALTGPHLFTLWVWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGAEFHDYHHRVLYTKSGNYSSTFIYMDWLFGTDKDYRKTKALEEKERTKHL >ORUFI07G00160.2 pep chromosome:OR_W1943:7:77989:80432:1 gene:ORUFI07G00160 transcript:ORUFI07G00160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAGLESAWEYLITHFSEFQLASIGTFLLHESVFFLSGLPSLLFERLGLFSKYKIQKKSNTPDYQNRCVVRLVLYHVCVNLPLTILSYRTFKFMGLRSTLPLPHWTVVVSQVLFFFVLEDFIFYWGHRALHTKWLYQHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVAGPALTGPHLFTLWVWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGAEFHDYHHRVLYTKSGNYSSTFIYMDWLFGTDKDYRKTKALEEKERTKHLFSMMMKMVCMLAGLLLDDDDQY >ORUFI07G00160.3 pep chromosome:OR_W1943:7:77989:80610:1 gene:ORUFI07G00160 transcript:ORUFI07G00160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAGLESAWEYLITHFSEFQLASIGTFLLHESVFFLSGLPSLLFERLGLFSKYKIQKKSNTPDYQNRCVVRLVLYHVCVNLPLTILSYRTFKFMGLRSTLPLPHWTVVVSQVLFFFVLEDFIFYWGHRALHTKWLYQHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVAGPALTGPHLFTLWVWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGAEFHDYHHRVLYTKSGNYSSTFIYMDWLFGTDKDYRKTKALEEKERTKHLLSDRDGGSSKLVLEEDNGL >ORUFI07G00160.4 pep chromosome:OR_W1943:7:77989:80783:1 gene:ORUFI07G00160 transcript:ORUFI07G00160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAGLESAWEYLITHFSEFQLASIGTFLLHESVFFLSGLPSLLFERLGLFSKYKIQKKSNTPDYQNRCVVRLVLYHVCVNLPLTILSYRTFKFMGLRSTLPLPHWTVVVSQVLFFFVLEDFIFYWGHRALHTKWLYQHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVAGPALTGPHLFTLWVWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGAEFHDYHHRVLYTKSGNYSSTFIYMDWLFGTDKDYRKTKALEEKERTKHL >ORUFI07G00170.1 pep chromosome:OR_W1943:7:90567:91319:-1 gene:ORUFI07G00170 transcript:ORUFI07G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNRMLATKPRGDGKGREQGPSRQRLQGGHYAFCTTIARLTRMGFHPERHAREGKVIHLTTPQGGFMAPKAPPQSFTTRTISASLQLYHSSAPPPASAALGTIAPSSRSPRRWPPTAADATRAGLAQSSVPPCRPCSATHAGLPQSSSPLMPASRCHCRHPLHLSPSVPSHVGYLTLAKSVVETSDLATGTLHQATAALDQQPHGGVGRPELLQLAKLHITSHV >ORUFI07G00180.1 pep chromosome:OR_W1943:7:98604:99458:1 gene:ORUFI07G00180 transcript:ORUFI07G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAMERMAKHYWALWGAGVRSGWPAAAHGGGAEPSWEEKAFAQDAAGHLGGCVWPPRSYTCSFCRREFRSAQALGGHMNVHRRDRARLRQCDDDDDDPIPPTVSICAPPPPPPPLLPAAAAAPDSPSPPSLLLQISSPKSTTADHHQNHQQLQLQGTNSSPNSCIATIIKESRNKARLFITTMPAPAPATTHDLGLGGGKDDDDSISISMEEIRRKRRRVDQPLTPTPSYSSERERRREDDPAAADASNNKVIPSSSILVNQLAMDMVGRQEIDLELRLGST >ORUFI07G00190.1 pep chromosome:OR_W1943:7:101880:102844:-1 gene:ORUFI07G00190 transcript:ORUFI07G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSNWATNNGGRVAEGSKRKLSLMFHWANSDYAFGYGNPTEGAVEVPLLPQQEALGENLVQFFGWTTRLLSTSQPSPGGNRSRIETAGLVLGCSLYST >ORUFI07G00200.1 pep chromosome:OR_W1943:7:102936:103841:-1 gene:ORUFI07G00200 transcript:ORUFI07G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQPTMLSGSVVGQQCSRTGSGSGEAVGGRRGGGGAEASALTLVETDGRCGGGDLGQHIAERRWGRGCWRRGRLTFGWPGYCPVRGAPPLICGELLGRVEAVVGYRDNVVPCPGSHRLDSTRRSASGVVEAAWVSALVTG >ORUFI07G00210.1 pep chromosome:OR_W1943:7:104984:107567:-1 gene:ORUFI07G00210 transcript:ORUFI07G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWRRVVGGVAGTPDSGVPGLPFLHRALLLPLLFAASKALRLLSHLQRRTSTSTSLPVPVVSVGNLTWGGNGKTPMVDFLARAFHRIGVSPLILTRGYAGGDESRMLRRRLSDTSAKIGVGPNRAAVATSMLRKYGAQIGVAILDDGMQHLSLLRDVDIVMINALNPWGNKHLIPRGPMREPLTALTRAHILLIHHANLVSQPQLKTILSTVHDNGAACPVFFSKLVPSHIFQVNQPMHRLPLHVLHGIIVLCVSAIGCPDAFIHSVQEIGPLKIERLDFSDHHSFSSHDLQLIQDTLKKLVYQHKNNAVVLVTEKDYDRDPDVLRALDAKVWVLSSCLQIIPHEGQGDDEFMRKDWSINSIPVAEYALLI >ORUFI07G00220.1 pep chromosome:OR_W1943:7:111330:117146:1 gene:ORUFI07G00220 transcript:ORUFI07G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQGQGAGTTTVAMMSRNPSYYYSGEGELSLAVQRQDSLYRDASRAGQHEQAHGEGWARTLRLAFQCFGVLYGDIGTSPLYVYSTTFDGGIRHTDDLLGVLSLIIYSFLLFTIIKYVYIALRANDDGDGGTFALYSLISRHAKVSLVPNQQAEDELHLHISKSSSLRRPSVQRLASTAEERAQWVKDLLENSRPVRISLFLLTILATAMVISDACLTPAISVLSAVGGLKDKAPHLNTEQVVWVTVGILVMLFAVQRFGTDKVGYLFAPVVLLWLLLIGGVGVYNLAAHDVGVLRAFNPKYILDYFRRNGRHGWVSLGGVLLCFTGTEALFADLGCFSIRSIQLSFAFGLVPAVLLAYAGQAAYLRVYPDHVGDAFYASTPQVLFWPTLVLALAASVVGSQAMISCAFATISHSQAMGCFPRVKVVHTSRQYQGQVYIPEINLLLGAAACVVTVAARDTVVIGEAHGICVVLVMLITTLLLTVVMVLVWRVNIGWVLVFACVFASTESVYLTSVLYKFAHGGYIPVAMSAVLMGVMGVWHYVHVRRYKYEMERTVSTERVRELVSRRELQRVPGVGLFYTDLVQGIPPVFPHLIDKIPSIHTVLLFVSVKHLPVPHVDPSERFLFRQVEPQEHKLFRCVARYGYRDRLEDARDFVANLVERLQYYVRDVNLYGAAANNKVSYPSSRCDSMGIPKSASYAERLQLQRARSVAMLHSHSQHQQQPLPQQLGQLLQYSASTGEQQRRSVYAEEMLTPAESFSEMGTMAASGRQLMAVAVKMSLEEMARIEEEQRFIQREMEKGVVFILGESEVVARPHSSLLKKLVVNYAYSFLRRNCRQGDKMLAIPRSQLLKVGMSYEI >ORUFI07G00230.1 pep chromosome:OR_W1943:7:118007:120403:-1 gene:ORUFI07G00230 transcript:ORUFI07G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSAPRRTACPCRSRRRNVAAGKAPPLVASSVTARALAAGLWRLRQAERSAAAAARRQDNQPRPSLLGVGGRKGKAPSEIHFGIGRKQQCCRSHGNGNGILDKIEAACSSSYSYCGSMEKATKWDNGKKQSLVKKEIGSSSSRRMRSLENALEKARAEIVEMEEEKRLMSRKLRKVAEEKAAAREELKLERHHRRELEGANGKLVKEVARARQRVETERKARELMEEACEELSKEVEEDQAEVEALRRECVSMREEMEEERRMLQMAEVWREERVQMKLSDAKAVLEHKYAHLNTLQSEMESFLLRHGHRTHNHAQLRRTVDMLAASVRGANADDGLFPPANTYKSPHAPDDVDKVFDHFRRNNTDTSSSVASPATDLFLEKLEDDDDGGWPWERETPRPPPHHTSNAACSNSNDHGGRSGVTEEEGGSGRSRRSGNFNTALIRRLWQSAISESRRKTAASASGRNRVLHNGFSPSYSDKHRDRDTARSSTVVDQAGSAAMEKENEINSKNKKKKKKKSLMEKLMEARMDDHHTADKPCQPQIINYAS >ORUFI07G00240.1 pep chromosome:OR_W1943:7:123943:132843:-1 gene:ORUFI07G00240 transcript:ORUFI07G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAPAGRLLLISCLLCVPNSFGFAFAVEEEEDGSGLMPQLSPTGSPNPLVPFLAPAPLAPFFNTTPPNLSGKCSLNFTAVGDLITTTAVDCFASFAPFLANVICCPQLQAMLTILIGQSSKQTGSLALDPTVATYCLSDVQQLLLSQGASDELHNICSLHLSNVTEGSCPVSTVDAFEAVIDSSKLLEACHKIDPVNECCSRTCQNAINDASQKISFKDGGLTSYAGSPKVDSCRNVVLRWLSSRLGPSSAKQMLRQISNCNVNGVLVFFMLRNCLRFNSPVCPLSFPDTSKVAKECSGTVKNGTSCCKAMDSYVSHLQKQSFITNLQALDCAQFLGDKLQKMNVSMNVYSSCQITLKDFSLQGELVRDAKTLWEKLRDGIAGTNQEVIAAVDSLRRKVGGPRSSGSSGASSRMPYTASYDDMSSFGGGLSSVSNELRASQTVDAPQVTAHTTRESGCLLPSMPSDASFDPATGISFTCDLNDNIAAPWPSSMQASSSSCNKSVNIPERPAATSAQNGVNQNRLELSLLIYLGTLVVAIWLQV >ORUFI07G00250.1 pep chromosome:OR_W1943:7:136836:139534:-1 gene:ORUFI07G00250 transcript:ORUFI07G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPVVVAASSAAALRGFWEEVNESPAWQDGAFLSLSAAYALVSAVALIQLIRIQRRVPEFGWTTQKVFHLMNFLVNGVRALVFGFHLHVFLLSAKARSLPTDKLRIIYIAVNAIIYTIQVCIWVYLGINDNPLVELVSKIFIVVVSFVALLGFSVYGGRLFFLLRRFPIESKGRKKKLYEVGTVTAICCACFLIRCIVVAISAFDSDVSLEVLDHPILDFFYYMLTEILPSALVLFILRKLPPKRVSAQYHPIN >ORUFI07G00260.1 pep chromosome:OR_W1943:7:142278:145625:-1 gene:ORUFI07G00260 transcript:ORUFI07G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQQESISSGGDGNNNNNKQVAVVVVEEASTPSSAFKFNVHAPEFVPAMSPTASPMSAPAGSSSFYSPFGLHVQPDHWSFFHDHEPVFFMPDFKFAAAAAASAQPKPTSAADMPHKIVKQVEYQFSDINLVANEFLLKIMNKDSEGYVPLSVIASWKKIKSLGATNQMLVKALRTSTKLIVSDDGKKVRRRQPFTEKHKEELQSRMIIAENLPEDSSRNSLEKIFGVVGSVKNIKMCHPQEPSTARASKSDTLVSNKMHALVEYESSQQAEKAVEKLNDERNWRKGLRVRTVLRRSPKSVMRLKRTEFDLNSDDEQSPMSSDLSPTATATAAELSAEAAGHDQGGEQQMMNSSKKGGGWARGGRGKLQVAAPHSPQSAPAGSVGHFEPASPRHKLPASPRHKCPSSPRQPPPHAHGPRMPDGTRGFTMGRGKPLLV >ORUFI07G00270.1 pep chromosome:OR_W1943:7:151937:152767:1 gene:ORUFI07G00270 transcript:ORUFI07G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTKIPSNQKALQVVRVNATTRVATHQGGSATVNLQAIVPGSQGSTTQEPGNGKGKKHTPGPLLLIPPWESAKLSFNS >ORUFI07G00280.1 pep chromosome:OR_W1943:7:152939:153400:-1 gene:ORUFI07G00280 transcript:ORUFI07G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCSRPTSSFIRDLLNDLRDAVWSLRREKDELFVAVREGQAMARDVDAARRELAALKKHVVETDAKLVPLKEQNRRLEKDRCMLFFCFTGNLWSVCHGLGDELSSISECYYDGRY >ORUFI07G00290.1 pep chromosome:OR_W1943:7:161215:168238:1 gene:ORUFI07G00290 transcript:ORUFI07G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQLLRRLLFLLWVVALAVPGLAARPANVSIGALFTFDSVIGRAAKVAIELAVADVNRDDGVLNGTYLSVVEQDTKCSGFIGIIQGLQVMEKKVVAVVGPQSSGIGHVVSHVADELRIPLVSFAATDPTLGSSQYPYFLRATHSDFFQMAAVADIISHYAWREATLIYVDNDYGRAALDALGDHLQSMRSKVSYRAPLPPAADRAAITDLLLRVSMMESRVIVVHANPDSGLDIFAAAQSLGMMSSGYVWIATEWLAALLDSDSSPPRKTTALALLQGVVTLRQYTPDSDAKRSLMSRFAARLQAHNTTGGINAYVLFAYDAVWMAARAIDQLLVDGSNVSFSDDARLRAENETGSALRLGALKVFDQGEQLLSKMKTLNFTGVTGQVRFGDDRNLADPAYEVLNVGGTGVRRVGYWSNRTRLSVTAPGQEQNGKKKKQQGEELYSVIWPGETASTPRGWVFPNNGKALRIGVPYRTTYKQFVSKDAGGPDGASGYCIDVFKAAVALLAYPVPVSYVVVGDGVKNPSYGELVQRVAEGELDAAVGDISIVTNRTRVVDFTQPYVESGLVIVTAVRERASSAWAFLKPFTGEMWAVTGGFFLFVGAVVWVLEHRSNTDFRGSPRKQLVTVFWFSFSTMFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSTGIQGLDGLIASSDPIGFQVGSFAKSYLMQELGVPESRLRELAITDYASSLQTGVVAAIVDELPYVELFLSTNCQFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILTLSENGDLQRIHDKWLSPGQCASQGTDVGADRLNLSSFWGLFLICGVACFIALLIFFFRTLRQYFRYHGHADDSENKATPFPVDGGERMSSRRPARLASIRDLMTFVDMKEAEVKRRKKMMNEDSSSCGRRLDMDSHSHRSMPTSANANAAPPSSSFSSV >ORUFI07G00300.1 pep chromosome:OR_W1943:7:167068:169970:-1 gene:ORUFI07G00300 transcript:ORUFI07G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGMLGAVLCLLLVFAIFPLLLWRRRSDAAHRLPPQPLQDERVLRGGPAPGPAARRMRRRPLSTSADASTSRDRDVDDADSDLEEEIQDVPRGSKKKEKKRQDREAQRQAEEAARDSRRTKQDRYAEMRRKKDEEREAQERLMEEEARARKAKEEEAAALEFEKWKGAFSVDAEGTTESDTQDDGQGLLHNFVEYIKNQKCVPLEDLAAEFRMRTQDCINRIITLEGMDRLSGVMDDRGKFIYISTEEMKAVADYIRKQGRVSISHLASNSNQFIDLEPKPQYNEESNLDENAAAGTEL >ORUFI07G00310.1 pep chromosome:OR_W1943:7:188001:189064:1 gene:ORUFI07G00310 transcript:ORUFI07G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHDYDSNSNPPLMSTYKHLFVEQHRLDMDMGAIDVDECELPVIDLAGLMEAEQVCRADMVRAASEWGFFQVTNHGVPQALLRELHDAQVAVFRRPFQEKVTERLLGFSPESYRWGTPTAKCLEQLSWSEAYHIPMTTPRPSTSIRARAVIEEVSRAMYELAQKLAEILMRGLPGAGEGETMVTTREETCFLRLNRYPPCAMAMGGFGLCPHTDSDLLTIVHQQQGTVGGLQLLKGGRWVAVKPSPSTLIVNVGDLLQAWSNDVYKSVEHRVMANATLERFSMAFFLCPSYHTLIIPSSSHVHDDDAHYRSFTFGEYRKQIMEDVRSTGRKIGLHRFRTR >ORUFI07G00330.1 pep chromosome:OR_W1943:7:239627:240673:1 gene:ORUFI07G00330 transcript:ORUFI07G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRLMVTKKQQAALLAVVAVAALAQVAAAAVHPVGGNGAWDTTGNYNAWSVSQKFSQGDSILFTYPSSHDVVEVPKASYDACSPANALASYTGGSTTVKLDAPGKHYFICGVPGHCAAGMKLEVTVAAATATKPRHKKGAAPAAAPAMPPAVSSPTEEMPAVTSPTGSPAPSSASAASTIAINVAATLAAGMALAFLAM >ORUFI07G00340.1 pep chromosome:OR_W1943:7:248230:250029:1 gene:ORUFI07G00340 transcript:ORUFI07G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMDDVPLCILQCIMMFLLPDVGDVVRASAVSRRLREAWMGMEAYELDASTIPDHHLLDLDSTFAAIVDRVVFNHSGPGIKSMSLAHTRYDTDGDRRVTAWLDRLASREHHRLERLDVNIGAALHTPASLFRCETLVELRLVVHAAARGLRLDVDGAVHLPQLRRLCLEHAGFRSSTQFQNLIDGCPLLELLHLRFTAVARREDTVGIEIRSPSVRRVVLEGCGGYGMVPFEVSAPNVEELVLSGRNMVAVEKGGVRRLSARKVSLLMDDKLWWYNVFAPFHHFTAFLNVGTNMSRIMAGFHGVLELAISGWCIEYLSKIVDSMNLPDWGIEVLRVEGMWPNQGQAGVVLHLLRSSPCLRNLFITNELEHPREISIDENREQYPATPEFLFDAVPGRLTHLRRFFMFNFSGNRNEISIIKFVLGSSFISINPDQFGVTDYLGNDWSSTQLILASL >ORUFI07G00350.1 pep chromosome:OR_W1943:7:251190:251969:-1 gene:ORUFI07G00350 transcript:ORUFI07G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRWCYVGKATKIFFTVLALLALIGVVLAFRALLHRAKSRASSSSSACAAADECQPILPDTVPQPSMPSTAATTPPPPHQYPSFPPPDAAMPMPMPQPPPPLQPPPPAIAQPPPAFASPPPPDALVPPPPPPAAPALVTPPPALPSSARTGGAKPNGLLMPPILHLRFRAATLLSEEHDSDGSHLFVCDCAN >ORUFI07G00360.1 pep chromosome:OR_W1943:7:251467:251952:1 gene:ORUFI07G00360 transcript:ORUFI07G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRRHQEAVGLGASGAGGGADGNAGGGVTSAGAAGGGGGGTRASGGGGEANAGGGCAIAGGGGCRGGGGCGMGMGMAASGGGKEGYWCGGGGVVAAVDGMEGCGTVSGRMGWHSSAAAQAEEEELARDLARWRRARKARTTPMRARRARTVKKILVALPT >ORUFI07G00370.1 pep chromosome:OR_W1943:7:253678:255430:1 gene:ORUFI07G00370 transcript:ORUFI07G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCTFAKAKVFLILSWHRALSIHSLATLTHILDGHLSPISRPHRRHCQHQPPPPANTTEAAPPRGGVLHWRTEISLPLRRPRSRRRHHLPASSRLRRHRRRAGKQWVVAHRVPFAGAKDCQQGAEQWRDGEPDVREERHLHSRHRAELRGARVQAAEHGVRPAGAGGPAGQQRRQGQLRHQVPPPQVHLHVLRLRQAHLRRLRRPAPPAARPRHPPLRQLRAPPEGLRHQGRHPDRLLLCRHQDHPPGGHGKDGLVFLLVY >ORUFI07G00380.1 pep chromosome:OR_W1943:7:255237:267856:-1 gene:ORUFI07G00380 transcript:ORUFI07G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEESSRSHCVFTLRIFAVHEGTNQQIRSPAMSTRATRPGMLHQKENAADAQAGKRQRTAAGSAARAPLSANAAPPAPDPAIEFAGRDDVDALLNEKMKGKNKMDYKGKSEQMMEYIKKLRACIKWLLEREDTNLAEIGKLNGLLEAAEKHHSEIVAQLKSAIEESKAINEELQRQYASLEENLKRVEAEKLDALRSYGDEKEARIAVEASRNEHLEDLRRIKLEEKRLNDQIKMLQDTNKRLQEYNTSLQQYNSNLQADATKNGETIAKLQKEKNTMVETMNGLKDHANSVKMQLDLAKSSQNEALKQKTDLLKEVDNLRGELQQVRDDRDHKLAEIHSLLADVSTYKEMTGKSVAELDNAMTRSTALEETCSSQAERIKTLELQLASANEKLKRSDLTTMETMTEYEKQKRMLEDLQLRLEEAEQQILDGENLRKRLHNTILELKGNIRVFCRVRPLLPNESGAVAYPKSGENLGRGIELTHNAQMYSFTFDKVFEQSASQEDVFIEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGNPELHDQKGLIPRSLEQIFQTSQALISQGWKYKMQASMLEIYNEAIRDLLATNRTTVQDGGASKYSIKHDANGNTHVSDLTIVDVSSINEVSSLLKRAAQSRSVGRTQMNEESSRSHCVFTLRIFGVNEGTDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSCLSDVIFSIAKKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEVSSTGESICSLRFAARVNSCEIGIPRRQTQVRSLAQG >ORUFI07G00380.2 pep chromosome:OR_W1943:7:255237:267856:-1 gene:ORUFI07G00380 transcript:ORUFI07G00380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEESSRSHCVFTLRIFAVHEGTNQQIRSPAMSTRATRPGMLHQKENAADAQAGKRQRTAAGSAARAPLSANAAPPAPDPAIEFAGRDDVDALLNEKMKGKNKMDYKGKSEQMMEYIKKLRACIKWLLEREDTNLAEIGKLNGLLEAAEKHHSEIVAQLKSAIEESKAINEELQRQYASLEENLKRVEAEKLDALRSYGDEKEARIAVEASRNEHLEDLRRIKLEEKRLNDQIKMLQDTNKRLQEYNTSLQQYNSNLQADATKNGETIAKLQKEKNTMVETMNGLKDHANSVKMQLDLAKSSQNEALKQKTDLLKEVDNLRGELQQVRDDRDHKLAEIHSLLADVSTYKEMTGKSVAELDNAMTRSTALEETCSSQAERIKTLELQLASANEKLKRSDLTTMETMTEYEKQKRMLEDLQLRLEEAEQQILDGENLRKRLHNTILVLSLKLFLVFCVSSVVAKTLALQELKGNIRVFCRVRPLLPNESGAVAYPKSGENLGRGIELTHNAQMYSFTFDKVFEQSASQEDVFIEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGNPELHDQKGLIPRSLEQIFQTSQALISQGWKYKMQASMLEIYNEAIRDLLATNRTTVQDGGASKYSIKHDANGNTHVSDLTIVDVSSINEVSSLLKRAAQSRSVGRTQMNEESSRSHCVFTLRIFGVNEGTDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSCLSDVIFSIAKKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEVSSTGESICSLRFAARVNSCEIGIPRRQTQVRSLAQG >ORUFI07G00380.3 pep chromosome:OR_W1943:7:255237:267856:-1 gene:ORUFI07G00380 transcript:ORUFI07G00380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNEESSRSHCVFTLRIFAVHEGTNQQVQGVLNLIDLAGSERLNKSDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSCLSDVIFSIAKKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEVSSTGESICSLRFAARVNSCEIGIPRRQTQVRSLAQG >ORUFI07G00390.1 pep chromosome:OR_W1943:7:262665:265151:1 gene:ORUFI07G00390 transcript:ORUFI07G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNPPVPQNDSEWEIRVAVLLSLTLQILLIFVGPMRKRSSHPVPRFAVWSCYLLADWVADLGLGLLLNNLGNISGGNGSSSSSSSSSISHLSAGVGGFKRGPGGGSTNNTSSGGGSPPIFAFWTPFLLLHLGGPDTITAYSLEDNELWLRHLIGLLFELFSAFVVFSCSVKSNPMVPATALIFLVGIIKYGERTYSLYSGSVSGFRDKILGEPNPGPNYAKLMTEFDSKKKAGLLVEITIADGEASKAKEALEEGEEVRLVKESNKSLEAMAYDFFTMFRLLFVNLILSYKERRISQAYFLDRHDMTAGKAFEVVEVELNFIYDMVYTKAPVSHSFRGCVLRCVGTACLVIAILLFALLDKTAILPVDRAITYALLLGGLALDVAAILMLLCSNRMIVFLEAKPMAWLSRVARAVRPRTKRWSERTSQLNLICYCLGKPKEQEGRRQCCKRKTIPPSVMRFLIWVADKVRVRETLDDFFFVQRKPVSCSHIDNNNNKMNHLCCWHKEEKPHVDVLTYVFDRLKKEAQKFKGSTDYGLMKKLCGYRGEGTLVDDEELVTVIKMELTKATRKVELNLRLTEEESSSSTTKKKEAEEITHDVLQLADKKEKEIDDLVKEKLDGVLRNSIGREFDESLLLWHIATDLCCHREWKEPRMHDTIGLMSISETLSEYMLYLLVRQPEMLSATAGIGLLRYRDTCAEARRFFKSAEAWDPNHDDARRMLLSVNTSKKPADVKGDRSKSVLFDACILAKVLLQLHDDTMWRVVAGVWREMLTYAAGKCHGSTHVRQLSRGGELITLVWFLMAHMGMGDMYRINEGDAKAKLIVHDQ >ORUFI07G00400.1 pep chromosome:OR_W1943:7:272879:274297:-1 gene:ORUFI07G00400 transcript:ORUFI07G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQGQGEQTSSMAGAGKGKGEVEGMEQKLEKLMSAFHDHQEGNKAVRHQKELEELFSFLREHEAAFSQLPGDKKDDLDALLRNIEGVLELCKNQEEKKKKTTNKMGDCIPFKSSSRPPADHTSSTSVVAPLLMQARDILGDSSSSAPAAAGPNKKEVLYEWTTSYVDEKRLYGWDDEATEVADALAGPEEDDDELFRAAGIFGIHGSGKTALAQKVFVHDRIKDTFLLRLWVCVGPTPPDDDKQQQYEVKFSLLYRMLDNLGLDTYKVEEVVNGSEAVKKHSGDSDAAKESKIGVLLFILHVALAKTSYLIVLDDIRAYDPWYTNLALPPPPHGEWSDRLAYGLPKLNKSAVLVTCRKEEHARAMVRTGRVFHPPLLAVADAWKLFEREYLQEAEKKQVGYNVKDDVLYNDLKVVQEEMVGKCLGLPVAILEAAKGFAQYCTYVDDDDAKTTQPTTAKGADAGDPAHAAA >ORUFI07G00410.1 pep chromosome:OR_W1943:7:274983:283162:-1 gene:ORUFI07G00410 transcript:ORUFI07G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIESIHSQIQAKSFLSLSPSSSSSLRRGAGRAIGRRRRLRPAVSPHRIPAATLPIRHDTASSDKQSGSPLLGTLKMKSVRTILTHTYPYPHEHSRHIMTAVIIACLFFISSDNMHTLIHKLDNNIKWWSMYVAWICFASLYHLPSFQSMGVDMRMNLSLFLTIYFSSVLFIIAFHIVFIGLWYIGLVARMAGTRPGIWTIFQNCTVISIACCVFYSHCGNLAVHKSKSFSRNSDPNLLAFLENEKGTTWISNFLRMNELKDQICSSWFAPVGSASDYPLLSKWVIYGELVCSGSCAGPSDEISPLYSLWATFVGLYIANFVVERSTGWALTHPSTAAMKRTTDETQNDDLLYDYFNEREDLWFDFVADTGDGGNSSYTVARLLAQPSIQTVIGGSMHTLPRGNLLLIGGDLAYPNPSSFTYEMRFFSPYEYALQPPPWYRAEHIALDKPEVPLGISKMKDYDGPQCFIIPGNHDWFDGLHTFMRYVCHKSWLGGWFLPQKKSYFALRLPQGWWVFGLDLALHGDIDVYQFKFFAELCRNKIGENDSVIVMTHEPNWLLDWYWKETTGKNVSHLIQDYLNGRCKLRLAGDLHHFMRHSANQIDNPTSVQHLLVNGCGGAFLHPTHVFKNFEQFSGATYECKAAYPSFDDSSGIALGNILKFRKKNWQFDTIGGFIYFILVFSMFPQCNLGHILNEETWSGRLGSFSNTIWSALLYIFEHSYVSSVGSLTLLLASYSFVPSKLSRRKRAIIGGLHVLAHLTAALLLMLLLELGIEICIRNHLLATSGYHTLYDWYRSMESEHFPDPTGLRARLKQWTLGLYPACIKYLMSAFDVPEVMAVTRINICKNGMMSLSRSVLMMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHIKKDGNLEIFTLAVDKVPKDWKLDPKWEAEERRPHQLSHHRKHPSKWRSSSSPDPVTSVRVVDHFTISRTRTSDPNTSC >ORUFI07G00420.1 pep chromosome:OR_W1943:7:283237:288758:1 gene:ORUFI07G00420 transcript:ORUFI07G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFFCFSSSTSTTHHRAKEHFLPAEQVILGASQKDTMLAVSQMDSQDQTVKSNAGSASPNQLTDVNDHSNVSRQYDTSPSSHQECWRSEDLNRYACSDDSKESGHLKKSLSLGNMLHKDHDHHFSEGAECDIIDCDHKGHCSSLKSNSAVGDSAKLNTKGNENAFDALSDLVSPSGDHVVDSDSHYLCYDQTKFPRSQSAIFQNNSNCGTEGSADSEILGPRCRSYEDLCSAVSEKVDYLNSDLCSAVSEKVDYLNSVEPHHSKSNLDVHCAGPSSPDVYERMNFEDNGSIGCSDAADGGQRSTASAEESFVRDGMLSHEYWDNKYVSGHQSVDPVAPYYSGTGDGSHHSNNDGGINEALDQERKDNLWNRDSTPYHKSLVIDASDLKLCDSKDISEELKHNRTGINDNQYFDVDPDELSPRTFSIKRIEDWINQIDIDDGTLVEEQGESSNSVLTKYNEPVAGVPAVRPDAKSPLGMEIAYTYISKLTPTSSSAQLGNLGLVAIPRLSAFLGLRLLNLSGNSIVRITAGALPRGLHMLSLSKNNISTIEGLRELTRLRLLDISYNRISRIGHGLASCSSLKELYLGGNKISEVDGLHRLLKLKVLDLRHNKISTSKGLGQLAANYSSLEAVNLDGNPAQKNVGDEHLKKYLVGLLPNLGFYNKHPIRASGSKEVSDRHTRKISSSHRSDRSGRSDRKSSRLVASTSSYKAQSSRHARSGHASSSFLKNPRGRSMAVAASGPRLMEYGGAGDVQIERKAQ >ORUFI07G00420.2 pep chromosome:OR_W1943:7:283237:293343:1 gene:ORUFI07G00420 transcript:ORUFI07G00420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFFCFSSSTSTTHHRAKEHFLPAEQVILGASQKDTMLAVSQMDSQDQTVKSNAGSASPNQLTDVNDHSNVSRQYDTSPSSHQECWRSEDLNRYACSDDSKESGHLKKSLSLGNMLHKDHDHHFSEGAECDIIDCDHKGHCSSLKSNSAVGDSAKLNTKGNENAFDALSDLVSPSGDHVVDSDSHYLCYDQTKFPRSQSAIFQNNSNCGTEGSADSEILGPRCRSYEDLCSAVSEKVDYLNSDLCSAVSEKVDYLNSVEPHHSKSNLDVHCAGPSSPDVYERMNFEDNGSIGCSDAADGGQRSTASAEESFVRDGMLSHEYWDNKYVSGHQSVDPVAPYYSGTGDGSHHSNNDGGINEALDQERKDNLWNRDSTPYHKSLVIDASDLKLCDSKDISEELKHNRTGINDNQYFDVDPDELSPRTFSIKRIEDWINQIDIDDGTLVEEQGESSNSVLTKYNEPVAGVPAVRPDAKSPLGMEIAYTYISKLTPTSSSAQLGNLGLVAIPRLSAFLGLRLLNLSGNSIVRITAGALPRGLHMLSLSKNNISTIEGLRELTRLRLLDISYNRISRIGHGLASCSSLKELYLGGNKISEVDGLHRLLKLKVLDLRHNKISTSKGLGQLAANYSSLEAVNLDGNPAQKNVGDEHLKKYLVGLLPNLGFYNKHPIRASGSKEVSDRHTRKISSSHRSDRSGRSDRKSSRLVASTSSYKAQSSRHARSGHASSSFLKNPRGRSMAVAASGPRLMEYGGAGDVQIERKAQ >ORUFI07G00430.1 pep chromosome:OR_W1943:7:288932:293039:-1 gene:ORUFI07G00430 transcript:ORUFI07G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAVVSTGAGKDYPGKLTLFVFFTCVVAATGGLIFGYDIGISGGVTSMDPFLRKFFPEVYRKKQMADKNNQYCKYDNQLLQTFTSSLYLAALVSSFFAATVTRVLGRKWSMFAGGLTFLIGAALNGAAENVAMLIVGRILLGVGVGFANQSVPVYLSEMAPARLRGMLNIGFQLMITIGILAAELINYGTAKIKAGWGWRVSLALAAVPAAIITLGSLFLPDTPNSLIDRGHPEAAERMLRRIRGSDVDVSEEYADLVAASEESKLVQHPWRNILRRKYRAQLTMAICIPFFQQLTGINVIMFYAPVLFDTLGFKSDASLMSAVITGLVNVFATLVSIFTVDRLGRRKLFLQGGAQMVVCQVVVGTLIAVKFGTSGIGDIPKGYAAVVVLFICMYVAGFAWSWGPLGWLVPSEIFPLEIRPAGQSINVSVNMLFTFVIAQAFLTMLCHMKFGLFYFFAGWVVIMTVFIALFLPETKNVPIEEMVLVWKSHWFWRRFIGDHDVHVGANHVSNNKLQP >ORUFI07G00440.1 pep chromosome:OR_W1943:7:308546:309062:-1 gene:ORUFI07G00440 transcript:ORUFI07G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGSLLGRPPLTTHFRRLLHGAPAPDQKLANKMMKKKLQDEQQQDWDAIVRMIVQSKMQTYNVVPDGEDPPWARRVFHVLVMIPASFICGCNLGERIYHELGLRTNRRP >ORUFI07G00450.1 pep chromosome:OR_W1943:7:318401:324119:1 gene:ORUFI07G00450 transcript:ORUFI07G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPAEQVTAMAIISIAGHLLALILAVAVPASAGGLPVRLRLYMHDITGGPGQTAVQVVNGTGPLHPAMPPGSHFGDTMVVDDLLTDVDDSKPVGRAQGSYTLACLRAPVFVVSITLVLTDGPYKGSTILIAGRDDISEEVRELAVVGGTGKLRRATGHVLWTTARRESPVHMVLELDVVPEHRRQLVAAVALILAVAAAVAPLGEARRQAVRLRVYMHDIVGGAGQTSVVVVKGPGPANPSMSPGNNFGDTVIIDDVVTEGPSLASREVGRAQGTYMLASMARPVFIVDITVVLTDGPYNGSTILIAGRDDTSEEVRELAVVGGSGMLRRASGHVLWRTAKVESKLHAVLELDVHASVPAAAVAPSGSGSHGHPFLVTSASE >ORUFI07G00460.1 pep chromosome:OR_W1943:7:330916:331605:-1 gene:ORUFI07G00460 transcript:ORUFI07G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSRSPAALFLLLALACAAPPLLRAADTHLHFFMHDVVSGSGQTAVQVIKGPTSANGGVSTGFGDTTVVDDALTETSSATSPEVGRAQGFYMMSSLSSPTLMMCVNLYFTAGENNGSTIAVIGHDDTTATVRELSVVGGTGKFRMATGYVVWKTASMSASTGVFELDVYVTTPNATTIDASAPVSPLDGGGSSGSTSTAKSGAAGRQVGWVSACVVGLVVALVGRGW >ORUFI07G00470.1 pep chromosome:OR_W1943:7:333525:333728:-1 gene:ORUFI07G00470 transcript:ORUFI07G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGVGVLGDGGGWRWWQCASRTMNRISGGGDTLLLWSRWVVEVAGLLPDLLRHGGGGGGGPNGGG >ORUFI07G00480.1 pep chromosome:OR_W1943:7:339059:339721:-1 gene:ORUFI07G00480 transcript:ORUFI07G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWMKVQSSGGRQLLSVVYLVAAVVIILPATSSRWRPQWLWREDRGVATHLHLFMHDVLTGPDATAVDVVNGTGRAFDVAGGLRFGQVVVMDDVLTEGPSRSSPRVGRTQGFYVFSDMNVPALLFCMNVVLTAGPYAGSTVTILGRDHITQPLRELSVVGGTGAFRMATGYVLWRTASWQFRADAVLELDVFVHTRPEYLQSPPPPLHHRPPPPTVVVT >ORUFI07G00500.1 pep chromosome:OR_W1943:7:346737:347231:-1 gene:ORUFI07G00500 transcript:ORUFI07G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGCLLLLLLMMIVSASSSATMIVISPSNSSSAAAAAGRGRRMEEYGSGGCSVKSKTWNERKLCTKRGTCNVPCRAEGFDYGSCYPNRPRPSFIGRFFHVCYCSMNYCKNNIIPSS >ORUFI07G00510.1 pep chromosome:OR_W1943:7:352771:355878:1 gene:ORUFI07G00510 transcript:ORUFI07G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPPPPPSLLPLSLHPASAREAKSMARRAPLRCLFLSLFALFALLPFPPAAAAPCHPEDLLALRAFAGNLSAGGGGAGLRASWSGDACCAWDGVACDAAARVTALRLPGRGLEGPIPPSLAALARLQDLDLSHNALTGGISALLAAVSLRTANLSSNLLNDTLLDLAALPHLSAFNASNNSLSGALAPDLCAGAPALRVLDLSANLLAGTLSPSPSPPPCAATLQELYLASNSFHGALPPTLFGLAALQKLSLASNGLTGQVSSRLRGLTNLTSLDLSVNRFTGHLPDVFADLTSLQHLTAHSNGFSGLLPRSLSSLSSLRDLNLRNNSFSGPIARVNFSSMPFLVSIDLATNHLNGSLPLSLADCGDLKSLSIAKNSLTGQLPEEYGRLGSLSVLSLSNNTMRNISGALTVLRACKNLTTLILTKNFVGEDLPDDGIAGFNNLEVLALGDCALRGRVPEWLHQCKRLEVLDLSWNQLVGTIPEWIGQLDNLTYLDLSNNSLVGEIPKSLTQLKSLVTARRSPGMAFTNMPLYVKHNKSTSGRQYNQLSNFPPSLFLNDNGLNGTIWPEFGNLKELHVLDLSNNAISGSIPDVLSRMENLEVLDLSSNNLSGSIPSSLTDLTFLSKFSVAHNHLVGPIPNGGQFFTFSNSSFEGNPGLCRSSSCDQNQPGETPTDNDIQRSGRNRKNKILGVAICIGLVLVVLLAVILVNISKREVSIIDDEEINGSCHDSYDYWKPVLFFQDSAKELTVSDLIKSTNNFDQANIIGCGGFGLVYKAYLPDGTKAAVKRLSGDCGQMEREFRAEVEALSQAQHKNLVSLRGYCRYGNDRLLIYSYMENNSLDYWLHERSDGGYMLKWESRLKIAQGSARGLAYLHKDCEPNIIHRDVKSSNILLNENFEAHLADFGLARLIQPYDTHVTTDLVGTLGYIPPEYSQSVIATPKGDVYSFGVVLLELLTGRRPMDVSKAKGSRDLVSYVLQMKSEKKEEQIFDTLIWSKTHEKQLFSVLEAACRCISTDPRQRPSIEQVVAWLDSV >ORUFI07G00520.1 pep chromosome:OR_W1943:7:356519:359484:1 gene:ORUFI07G00520 transcript:ORUFI07G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKSSRKAADEDEAKSKKLRSSRGKQRPKQRRRGRCSSTSSRSESPPRKRSKKLKVSDKKSTKNKGRRRHHSLSPSPSPSSSSVSYSTRSSSGGGGGGGASERSVSPPRRSRSRDVRKKKKERGRDSKRVRRSRRSTSYSTSGESNSSSRSRSRSNNSKSRNRKSGGNKDHASRNKIVQDYDNGHAHRAENVKSVEIADRDEKAMADTSKGSSIEISHSIIDHEKNESVEKMESAPTKDADETQDILPAGSGSPDAQDLELILRQKALENFRKFRGAALMAGKPQTNGTGKEVVTDSPKSSDTKIAEASSVDKPFQRQRSGLSVNCSVGSPRLEDFGNHITPRKQESSAGKSVGVESPGTFEAGSTSRRTEQKGSSLEPTRSNSQIRLQDGRSSSSIMHRLGSPPRSSASVIRRLGSSAGVNYVNGNPRVRSVVSIPTKEGLDSGTSITTPSACDNSPPVENISEVRHPPIDTNKIEGTKGDERNSGEASAPNVSTLSTGEVKDQPGTEVKDGSQFEKKTFSRMHEGETVQVSYKVYIPKKSPALARRKLQR >ORUFI07G00530.1 pep chromosome:OR_W1943:7:359725:365429:1 gene:ORUFI07G00530 transcript:ORUFI07G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIPTMFAHVVSMCISFIYFYLQICNFVVSLLYLTIWTADCNSDGTQAVIGTNSGAAFFDLERRALSWMYHCKSDILSQQFMQSGNVVLCGLRNGSIFPLDVRQKQHNRPTELASPGTARRTIPLTPRRHNRWRNQADNAKSSRAISMSSAVCSLVVLSSDEHYFLGSSMDGSIKLFDLRLIQKGPIQSYAGHINSHTHLPLVVDPSETLLMSGGEDRMVRIWSIKTGEQIFAQSVAGSLFTALCWPESGCDLHNSSLFGVNHSWGAWMGSRDGLFYVHGT >ORUFI07G00530.2 pep chromosome:OR_W1943:7:359725:365429:1 gene:ORUFI07G00530 transcript:ORUFI07G00530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPKELPGFYYDPDKNRYFPIRGPIPGAATRRPALPPPAQPPPPQAAAAGCRKTARQPELVHAREMYGGGVIFSNKRKSTFMRQCQYAQASQPMVWKYKGTALVADKALEELYVTIQTPIGLKESKVLVTGSMNGIVRLYGLGTAIANIEDEMEFLPEPAWTPLVKQNAPVNSALASIWSSETAFSKFLSSVTCIKKLQHCFPGADNTNSSSQRALVATLGSGESGGSIHIMDLSDTIDVAMGSMNAYGGNIIGNIIPVASFNRTIWTADCNSDGTQAVIGTNSGAAFFDLERRALSWMYHCKSDILSQQFMQSGNVVLCGLRNGSIFPLDVRQKQHNRPTELASPGTARRTIPLTPRRHNRWRNQADNAKSSRAISMSSAVCSLVVLSSDEHYFLGSSMDGSIKLFDLRLIQKGPIQSYAGHINSHTHLPLVVDPSETLLMSGGEDRMVRIWSIKTGEQIFAQSVAGSLFTALCWPESGCDLHNSSLFGVNHSWGAWMGSRDGLFYVHGT >ORUFI07G00530.3 pep chromosome:OR_W1943:7:359725:365429:1 gene:ORUFI07G00530 transcript:ORUFI07G00530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPKELPGFYYDPDKNRYFPIRGPIPGAATRRPALPPPAQPPPPQAAAAGCRKTARQPELVHAREMYGGGVIFSNKRKSTFMRQCQYAQASQPMVWKYKGTALVADKALEELYVTIQTPIGLKESKVLVTGSMNGIVRLYGLGTAIANIEDEMEFLPEPAWTPLVKQNAPVNSALASIWSSETAFSKFLSRSGESGGSIHIMDLSDTIDVAMGSMNAYGGNIIGNIIPVASFNRTIWTADCNSDGTQAVIGTNSGAAFFDLERRALSWMYHCKSDILSQQFMQSGNVVLCGLRNGSIFPLDVRQKQHNRPTELASPGTARRTIPLTPRRHNRWRNQADNAKSSRAISMSSAVCSLVVLSSDEHYFLGSSMDGSIKLFDLRLIQKGPIQSYAGHINSHTHLPLVVDPSETLLMSGGEDRMVRIWSIKTGEQIFAQSVAGSLFTALCWPESGCDLHNSSLFGVNHSWGAWMGSRDGLFYVHGT >ORUFI07G00530.4 pep chromosome:OR_W1943:7:359725:365429:1 gene:ORUFI07G00530 transcript:ORUFI07G00530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPKVSSDIEAIAELPGFYYDPDKNRYFPIRGPIPGAATRRPALPPPAQPPPPQAAAAGCRKTARQPELVHAREMYGGGVIFSNKRKSTFMRQCQYAQASQPMVWKYKGTALVADKALEELYVTIQTPIGLKESKVLVTGSMNGIVRLYGLGTAIANIEDEMEFLPEPAWTPLVKQNAPVNSALASIWSSETAFSKFLSSVTCIKKLQHCFPGADNTNSSSQRAFGAAFFDLERRALSWMYHCKSDILSQQFMQSGNVVLCGLRNGSIFPLDVRQKQHNRPTELASPGTARRTIPLTPRRHNRWRNQADNAKSSRAISMSSAVCSLVVLSSDEHYFLGSSMDGSIKLFDLRLIQKGPIQSYAGHINSHTHLPLVVDPSETLLMSGGEDRMVRIWSIKTGEQIFAQSVAGSLFTALCWPESGCDLHNSSLFGVNHSWGAWMGSRDGLFYVHGT >ORUFI07G00540.1 pep chromosome:OR_W1943:7:365867:367401:1 gene:ORUFI07G00540 transcript:ORUFI07G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAAASHLLHGLAHHVGRSNTTRLQGSSLRPRYNTMAAVSIGPALLGYQKNHNHLMKTSDDDERMNLTEEEAAALKVRHQEWMNKFNREYKDEAEKAYRFEIFKSTVRFAEKFNAEQVKEHGYCKCILGTTQFADLTLEEFGHSVDGRTDTFGPPKVTKFD >ORUFI07G00550.1 pep chromosome:OR_W1943:7:367551:372793:-1 gene:ORUFI07G00550 transcript:ORUFI07G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQRAGPAKSKEKKRVSRHKQTCPAASCRGSRYIYRCTASIQSQAKLTTVIIKAVPLTTKELRQKKSELRVERRRVKMGGDERAVAAPLLQQQQDGGGGDGERRRRRWWWGWWDGEEAAGHLAFAAPMVATSMAYYAIPLVSVMYAGRLGELELAGATLGNSWGTVTGIALMTGLSGSLETLCGQGYGAKMYHMMGVYLQASIITSAFFSVLVSLLWFYSEPVLIFLRQDPEVARTATLFLRYSIPAQFAYGFIQCTLRFLQTQSVVTPLVVFALLPLVLHVGITHAFVHYLGFGYAGAGMSTSVSLWLSFLMLAAYVCLSERFKHTWEGFSTEAFRHVLPGLKLAIPSAVMVCFEYWAFEVLVLVAGLMPNSHMSTSIIAMCENTEAISYMITYGFAAAISTRVSNELGAGNVAKAKKALAVTLVLSLLLGVAFLLLLGLGHDLWAGLFSKSDAVISEFASMTPLLIGSVVLDSTQGVLSGVSRGCGWQHLAAWTNLVAFYIVGLPLSILFGFKLGLQTKGLWLGQICGLLLQNAVLLFITLRTKWERLELTMNGKEDDRE >ORUFI07G00560.1 pep chromosome:OR_W1943:7:373622:378850:-1 gene:ORUFI07G00560 transcript:ORUFI07G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGGGGGRKPLDYEELNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLAMAPGGLGAYSDSRGIPGIRKEVAEFIERRDGYPSDPELIYLTDGASKGVMQMLNTIIRNERDGILVPVPQYPLYSAAISLFGGSLVPYYLEEEANWGLDFVNLRQTVASARSKGITVRAMVIINPGNPTGQCLSEGNIKELLKFCFHENLVLLADEVYQQNIYQDERPFISARKVLFDMGPPMSREVQLVSFHTVSKGYWGECGQRGGYFEMTNLPPKTVDEIYKVASIALSPNVPGQIFMGLMVNPPKPGDISYLKFSAESKSILESLRRRARLMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPKAIDAAKRAGKAADVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMTSFKKFNDTFMDQYDGYSRIIQYYITPSVKT >ORUFI07G00570.1 pep chromosome:OR_W1943:7:379781:384587:-1 gene:ORUFI07G00570 transcript:ORUFI07G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVHALLPLAGAALLLLATPPPATADDPGLAVYWGRHKEEGSLREACDTGRYNTGGGYSLPTNASAADVADNLIWNAYLGGHRAVVHRPFGDDAAVDGIDFFIDQGGADHYDDLARLLNGYNKYYDDLALQVRSDSPSLPQSAAASAASRPLEEQHLFHSSNPSTSKSIILDLRARMRSSSTSSLQKVKTSVKKKSVNPIWHEELTLSIMNPIAPIKLGVFDKDTFSRDDPMGDAEIDLEPFMEVLNMDPENIRNGSIIKTIRPSNQNCLADESHLFWRNGKFVQDIILRLRNVESGELQVQLQWVKIPGRH >ORUFI07G00580.1 pep chromosome:OR_W1943:7:387802:390444:-1 gene:ORUFI07G00580 transcript:ORUFI07G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLPGFLSVRVLRGVNLVSRDAGGSDPYVVLHLDNQKLKTGVVKKTTNPVWNEELTLAVRNPETPIQLEVFDKDTFSKDDQMGDAEFDIEALMQIVRMDLQDIRSGTVVRTVRPGRQCCLADESHIVWENGQIVQDMLLKLRNVETGVVHLQLKWVNIPELKMNTYVLSVGVA >ORUFI07G00590.1 pep chromosome:OR_W1943:7:394126:395154:1 gene:ORUFI07G00590 transcript:ORUFI07G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLVVAGGLSTMAKVTMASRAGTMEARHDKWMAEHGRTYKDAAEKARRFRVFKANVDLIDRSNAAGNKRYRLATNRFTDLTDAEFAAMYTGYNPANTMYAAANATTRLSSEDDQQPAEVDWRQQGAVTGVKNQRSCGCCWAFSTVAAVEGIHQITTGELVSLSEQQLLDCADNGGCTGGSLDNAFQYMANSGGVTTEAAYAYQGAQGACQFDASSSASGVAATISGYQRVNPNDEGSLAAAVASQPVSVAIEGSGAMFRHYGSGVFTADSCGTKLDHAVAVVGYGAEAYGSGGGGYWIIKNSWGTTWGDGGYMKLEKDVGSQGACGVAMAPSYPVVSA >ORUFI07G00600.1 pep chromosome:OR_W1943:7:397228:398277:1 gene:ORUFI07G00600 transcript:ORUFI07G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDQQPLLHDGGDQKPPPEGAARRFRRCRTAPSSEPPPTDKDNSSAADAPPKTLFTGGGRPSFRLVGLLLVAYLLLGTIAFYLAMDHMSGTRTTRALDALYFCVVTMTTVGYGDLVPASDAAKLLACAFVFAGVAVVGTFLSKAADYLVEKQEALLFRALHSHTMVRAMEMNKVRYKLYTAGLLLVAAVASGTVVLWKVEGMRAVDAFYCVCATVTTLGYGDRSFSSEGGRAFAVAWITVSTVVVALFFLYAAELYTERRQRELARWVLRRRTTNMDLEAADLDGDRRVGAADFVLYKLKELGKISQEDISEFLDEFDNLDADHSGTLSPADLAAAQPTPDPPPSLR >ORUFI07G00610.1 pep chromosome:OR_W1943:7:401406:407116:-1 gene:ORUFI07G00610 transcript:ORUFI07G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLKRIENKINRQVTFSKRRNGLLKKAHEISVLCDAEVAAIVFSPKGKLYEYATDSRMDKILERYERYSYAEKALISAESESEITLPQLTTCTASRSTHGICFQYCLMSKTLGNWCHEYRKLKAKIETIQKCHKHLMGEDLESLNLKELQQLEQQLESSLKHIRSRKSHLMLESISELQKKERSLQEENKALQKELVERQKNVRGQQQVGQWDQTQVQAQAQAQPQAQTSSSSSSMLRDQQALLPPQNICYPPVMMGERNDAAAAAAVAAQGQVQLRIGGLPPWMLSHLNA >ORUFI07G00610.2 pep chromosome:OR_W1943:7:401406:407116:-1 gene:ORUFI07G00610 transcript:ORUFI07G00610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLKRIENKINRQVTFSKRRNGLLKKAHEISVLCDAEVAAIVFSPKGKLYEYATDSRMDKILERYERYSYAEKALISAESESEGNWCHEYRKLKAKIETIQKCHKHLMGEDLESLNLKELQQLEQQLESSLKHIRSRKSHLMLESISELQKKERSLQEENKALQKELVERQKNVRGQQQVGQWDQTQVQAQAQAQPQAQTSSSSSSMLRDQQALLPPQNICYPPVMMGERNDAAAAAAVAAQGQVQLRIGGLPPWMLSHLNA >ORUFI07G00620.1 pep chromosome:OR_W1943:7:425420:428129:-1 gene:ORUFI07G00620 transcript:ORUFI07G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNPPVPQNDSEWEIRVAVLLSLLLQVILIFVGPMRKRTSHPVPCFAIWACYLLADWVADLALGLLLNNLGNIGSSSSHLSAGAGGGPPIFAFWTPSNPMIPATALIFVVGVIKYGERTYSLYSGSVDGVIAKIFRAPDPGPNYAKLMTVFGGKRNGGLLVEITIANGEASKAKEVLQQGSEVRLVETTKSLEAIAYEFFTMFRVLYVDINLSYKERRISQAYFLDRRDMTADKAFEVMEIELNYLYDMVYTKAPVSHSSAGCVLRFICTTCLVVAIVLFVLLDKTGILPVDRGITYALLLGGLALDVAAILMLLCSNRMIHMAWLSRVARAVRLQPRRWSERTSQLNFICYCLGKPKEQEGHRRQCCRRKTIPPSVMRFLIWVANKVGVRETLDDFFFIQRKPVTMVSVIGRRGRWCNCKEGDERAPTTIDALAYVFVGLQREAIKVRDSEDYDLMKKLCRYRGERTLRDDEELVRDIQMELTKATREAELNKKDNSSSTNKEEEMDESEYLVEKMVKEKLDGVLRNSMERAFDESLLLWHIATDLCCHREWKGPRMLDTIGLMSMSETLSEYMLYLLVCQPKILLASAGVGQLTYQDTCAEARRFFKSAEAWDPNHDDARRMLLSVNTSKKPADVKGDRSKSVLFDACILAKVLWELDYDTMWRVVAGVWREMLTYAAGKCHGSTHVRQLSRGGELITLVWFLMAHMGMGDMYRINEGDAKAKLIVHDQ >ORUFI07G00630.1 pep chromosome:OR_W1943:7:435195:440427:1 gene:ORUFI07G00630 transcript:ORUFI07G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPDAPAAPAPVEGEPKGKKSKSNKGNKHKQQDSLSAVSDASAVVTHTDLPDESGNGCTSGEGATTSTAPALEAEKVDKREAPAASASVEGTQKGKKSKDKKRKKHKQQGSPSAVSDTSAVVTDTDLANESGNGCTHGEGVLRDADVASSRSGNDLTPDVDRTLGKSKVSKRQCDATTSTAAAPEAEEMDEREAPAASASVEGTRKGTRKGTKSKDKKRKKHKQQESPSAVSDASAVVTDTDLSNEPGNGCTSGEGALRADDVVASSGHDPTPEMDRTPGKSKTLKQRRGGAISTLAVPEGDKEVDEQEAPGASASVEGAAPKGKKSKSKKQKKQSPSAVSDASAVVMDTDLANESGGGCRSGEGALQDADVVAIPRDGQEPKCPEVNSAEDLVAGKKGNKDNNSQLCSSLHESSIERKRRKNRDRRRRKKENANRRSNVQNPSLLPGAGEVVSVATADMNNTPGSKCKNLSQPVADEVGLVMTADGNISLGSECKKSNKKMKRNQTSVPEAPSVQRMDLGETASVGVMDGECEVQAVLSDCQSARSDRSNVAQAHKENFRHIYSPRGSLIRFRRKKLLILDINGLLADINQDHHNAHLSHAKVRGKLVFTRPYCDDFLRFCFENFELGIWSSRLKANVDSVVNIIMKKDMKQSLLFCWDMSKCTGTGFKTLENKNKPLVLKELKKLWNKEDPDLPWEQEEFSPSNTLLVDDSPYKALGNPPHTAIFPHPYSYLNKKDDSLGPGGDLRVYLENLATADDVQRYVQEHPFGQPSITKSDRHWNFYVKILDKLEKPFA >ORUFI07G00640.1 pep chromosome:OR_W1943:7:444828:451368:1 gene:ORUFI07G00640 transcript:ORUFI07G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKYLQMLGEERRPELHRQQAGCVTGILQAFDRRYPLAAHHSHNRLLPPAHALSSSPSVGEERTRYSSQIVLDKNMSKSWIDNQRAPLTVELSQGSYSSSSCSSSSSLDGNRSGQQDLSSTDRMLFPEKPFKSSPKLKSSSDSDCGVDYYLDDALAKLSAQPSYPTLGIRNLVKDSIYRDTRDFSIRTFTKEAEKDHLFNCGDPPRILNEPPNSAIQEKNKGTMDIDESLRVLAKLRNPSESVQQPRLSYDAPRFSCEGRESASKLREVPRLSLDIKESPLRNREIDVRPKPSMTDEDRRSSISKDYSPPLETQQEHNACKRLPSVVAKLMGLEDLPEHKDNTAISSQVSKSVTERSEEPTMLRPLSLSSQNEATPRQQRNLDATIKNVPNSKFPVETAPWKQQEKIVLPRKLPKGSKGAHGKEQHAASVYSEIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLQNKKREEPSMPKIYDGDHDNGDVTDVNLRLNSTSNTKQAPEGTPSFTTEEDSTTERSFKSPIVIMKPAKSADLLSDVTEDSAVGPLGGLSELPQLRTANSADKRKSSKKVTREAVEQHTKSSSRAPTPQHLASFDKRANGRNEEISRKQKSTSQLMTENSARRQQMQRENNGSLLKHKNSTSPRVQQKKPDSERRARPPIPSPDSSKNQRQSVERSHLDSVSPRSKFRRKPAQAQGEDFHQNGVSRRTRSLNQEGNDMSARSDGSISVASELDVEVTSTDRSAEVNILRSQHGTQTPSGRNPQKVKTSYDANKDLPSMDPAATITERPSPVSVLDSSFDQEEFFHTSKTTNSSNVDDEHHPSPSEESCKPSEKKSTELPTQPKNSKLANIASLLEKLQQLSVNKDEEAPPVDHIAFLCETPSPDHRYVSEILLASGLLMKDLGSGLSQMQLHTSGNPINPDLFFVLEQRKSGWTSKPEGIHQSRSTTKPDDPKRAHRKLMFEAVNELLLDKFEKETTLITGVAARDPVMSSGQQLVKMICSGIECLKTERSRMCQEDSSVIPDAEILNRLEGWSPSFIRRELPGMVLEIERSIFKELVDEVVRGESADGQPAKAGRRRRRLFA >ORUFI07G00650.1 pep chromosome:OR_W1943:7:453047:463476:-1 gene:ORUFI07G00650 transcript:ORUFI07G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRERDDEEDDDEAVEVVDEDDHPHPQQQQLLRHQVVDDDDDEDDVRSEGHARSGYHSKWKVRLIMEGKVKRKEKVKVRGKLGWKKKAKLKWKKKGEAEAHQADLDQGESDGEKVQSSPEREFSDRVMQNDAAGMDSEDGGYQQRPVASGRRGVVASESEGSEDDYYAGRGHEDEEPHQTRKTPSSPVEEERDHEVVHDVFGESDEDGPAPYRDQHEIDEDSHRSPMEDEGHYEKDLQPEDVVADEDMRYESDENRELKPKEKPVGPPLNLVVPLKQPPAQPDRMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVKNANGTTSCESNARIVKWKDGTMQLLIGNEVLDISVHEAHHDQSHLFLRNGKGVLQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWIESKDPEKVKQEKERALGQNIRAHSILQRKKEKVSRKYTQPARQRRQLSPGFLEDALDEDEEPDHQYGSRRMPARSRFEDELEAEALAERRIVSAKKSSMGRNIPRKPSFPARPPRRQANEYSESEREESEYETEGEDIEHSPTQGREDELDEEDEYEEDVEEEAAMSDEEIEEPKRRRESGGGSASQRRKEIDSDDDSPPRKQQAVHRRKAVVFDTAAAAAAFHLLPPPPEKRKKKERKMVSGSGLCTRRVVVDARHHMLGRLASLVAKELLNGQRVVVVRCEEMCISGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSRIFWRTVRGMIPHKTPRGEAALANLKAFDGVPPPYDRTKRMVVPDALKVLRLQPGHKYCLLGQLSKEVGWNYHDTIRELEEKRKEKAKVAYERRKQLTRLRVKAEKAAEEKLGSQIDILAPIKY >ORUFI07G00650.2 pep chromosome:OR_W1943:7:453047:463476:-1 gene:ORUFI07G00650 transcript:ORUFI07G00650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRERDVEEETRNQMMQNLFGDQSEDEEDDDEAVEVVDEDDHPHPQQQQLLRHQVVDDDDDEDDVRSEGHARSGYHSKWKVRLIMEGKVKRKEKVKVRGKLGWKKKAKLKWKKKGEAEAHQADLDQGESDGEKVQSSPEREFSDRVMQNDAAGMDSEDGGYQQRPVASGRRGVVASESEGSEDDYYAGRGHEDEEPHQTRKTPSSPVEEERDHEVVHDVFGESDEDGPAPYRDQHEIDEDSHRSPMEDEGHYEKDLQPEDVVADEDMRYESDENRELKPKEKPVGPPLNLVVPLKQPPAQPDRMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVKNANGTTSCESNARIVKWKDGTMQLLIGNEVLDISVHEAHHDQSHLFLRNGKGVLQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWIESKDPEKVKQEKERALGQNIRAHSILQRKKEKVSRKYTQPARQRRQLSPGFLEDALDEDEEPDHQYGSRRMPARSRFEDELEAEALAERRIVSAKKSSMGRNIPRKPSFPARPPRRQANEYSESEREESEYETEGEDIEHSPTQGREDELDEEDEYEEDVEEEAAMSDEEIEEPKRRRESGGGSASQRRKEIDSDDDSPPRKQQAVHRRKAVVFDTAAAAAAFHLLPPPPEKRKKKERKMVSGSGLCTRRVVVDARHHMLGRLASLVAKELLNGQRVVVVRCEEMCISGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSRIFWRTVRGMIPHKTPRGEAALANLKAFDGVPPPYDRTKRMVVPDALKVLRLQPGHKYCLLGQLSKEVGWNYHDTIRELEEKRKEKAKVAYERRKQLTRLRVKAEKAAEEKLGSQIDILAPIKY >ORUFI07G00660.1 pep chromosome:OR_W1943:7:464640:468996:1 gene:ORUFI07G00660 transcript:ORUFI07G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCRAVVMQSRGLLLRTRRRTFSTTGATDQEQVRRTNIVREIDELKAKVKREMIEDVEKVKRVENEDRNVLSRLLTSCGMPRGAFRDNLVFGCNVVAVFVASGVVGACSAGWRDARRKRAKFGTNA >ORUFI07G00670.1 pep chromosome:OR_W1943:7:466269:466880:-1 gene:ORUFI07G00670 transcript:ORUFI07G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAATRMMAAARRAFHSKAAEDVRRHLDAMEKANLERLPQLLDPIIRQRAARDVHRNTHRIKIYANPCIGRPPPEGHIWYDVAWAKNLGLVFFVTSFFGMYDVLFEETQQSDHHMSSSLPMPPS >ORUFI07G00680.1 pep chromosome:OR_W1943:7:475141:478120:1 gene:ORUFI07G00680 transcript:ORUFI07G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMIRRYGVPLREKLTRSARHGSQMAGGYGVEWFQVVYGKAEQASAVLSQHDLVRESGESLILERRKKIGTHNIRHLWMIAAFAMSGYLFGAGVATLIKGNNRRTPEAAGTKEDTTDEH >ORUFI07G00690.1 pep chromosome:OR_W1943:7:480196:482283:-1 gene:ORUFI07G00690 transcript:ORUFI07G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWRLRPAAAAAAAGRAIRRAAYHSDGAPPPRKLRGPRFSSFNRNNHEIDALLEEVKNTPVNMITDDLMIRTVRHSFLARQEILYQNVLRSWVVVAAVLTGYSWGYNKFAESSTVGSEPPKEHEGK >ORUFI07G00700.1 pep chromosome:OR_W1943:7:483720:485819:-1 gene:ORUFI07G00700 transcript:ORUFI07G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKRITVVPPGKDFIDIILSRTQRQTPTVVHKGYAISRIRQFYMRKVKYTQSNFYEKLSTVIDDFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKIAKDYLRLLKYGDSLYRCKCLKVAALGRRMCTVIKRISPSLAYLEQIRQHMARLPSIDPNTRTLLICGYPNVGKSSFMNKITRADVDVQPYAFTTKSLFVGHADYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLENLSEEDMKLVMEMKAEAMKTIGHGGEANEEGVLLTMSTLTENGVMAVKNAACERLLDQRVEIKMKSKKINDCLNRFHVAMPKPRDNKERPPCIPQAVLDARASADAAKEKKKLERKLEKDLENENGGAGVYSASLKKHYLLADDEWKEDILPEILDGHNVADFLDPDILQRCEELEREEGLRLEEEAAQEAFQIDGHELTEEQREILGQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRTFTTDRMGRQLSSMGFDPSAAMDRARSRSRGRKRERSLSRAASDGDDMDIDGQQSSKKLRALSRSRSRSKSRPPEEVVPGEGFKDSAQKKKAIKKAKDSVRNRNKEARRGEADRVIPTLKPKHLQDEQAISDSAQLLVITGFR >ORUFI07G00710.1 pep chromosome:OR_W1943:7:487207:490061:1 gene:ORUFI07G00710 transcript:ORUFI07G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSNLCTNGPQGPQNHGPRVASRLHGSASATAATPDFSFSSSPAVVEGRRAAAPATGHRKGSGVVVLGSEVGCGDVVQDLAARGAASAREQSCREFGKQYNQLLSRSTQPTFCPNATTSSDRDDGSQSKEKISVTFVNKDGTEQTISVPVGMSILEAAHENDIELEGACEGSLACSTCHVIVMDVNYYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIAKPELDGMRLALPAATRNFAVDGFVPKPH >ORUFI07G00720.1 pep chromosome:OR_W1943:7:491526:506288:1 gene:ORUFI07G00720 transcript:ORUFI07G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRGGRRRAGFERACRLPNTVHSEIASALPLPTLPLNLGAGLLDDDDPLADPDRPDMIMQAANIARILADTDVSHLGFTEADNVETDPSQCSWLWREVLKHNPDAFTIKPRPLPPSQDPLEGQENQNQEHEKHFAHVAPNFNSMRKDHGFPRDDPIPHSEHLNNDLTQDPVASKKPKVRKKEIHNSASSSDPSIPNSQEVIANFCEMVEDFCGRVEIPDDADGDEWLSIPLNDAKVLVNEITFVRSKKILHEIPMDTLTRLLHVIDRQIRCSQGLSIDVKENADAADAEPLVFSALESIHAALAIMTHHDMPKQLYREELIERILDFSRHQIIDCMAASNPTFRALYKPAEKVTNDGDEDEEDMGNGPANKRRRTANLSMRKSSTNKVSASIHSAVQKLCLILGFLTELLTTVRLSDSCILQLAKTCFTTFLVDNMQLLQLKAIGVICTVFSSYTQHRTYLVDETLVLLRKLQFSKNAIRTYHLADEEHKQIQMITALLVHLVQFSANVPDGLKGTVNWSTIVDASIDASYPIKCYEAATEACCLFWTNVLQRFTAAKSQDMSEAKGIIDNLVQDLLTILNLPEYPAAAPVLEVLCVLLLQNAGLKSKDTSARCFAIDLLGGIASRLKRDSVICSKEKLWILQELTDTESDGSKILKNKCCICLGGRGINMQCDVCGRCFHSDCVGAVSQENLQCDYACPLCFCKRQLSVLQSYYELQNKENGKRNAASHRKKSTVPDEVTAVDIVQQILLTYIQEGGPQDDGNLFTRWFYLCMWYKDDPHSQEKIIYYLARLKTKDILRDSGNGLVLSRDWAKKICLALGQKNSFSRGFDKILSLLLVSSIVEADPEVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYIEKVAERIKDTGVSVRKRAIKIIRDLCASNPNTDTTRAFVEIISRVNDEESSVQDLVCKTFYELWFEEPTGSHKHLVADGSSVPMEIAVKTEQIVDMLRKMPNHLPLITIVKRNLALDFLPQSAKATGINSSFMASLRKRCELICKRLLERILQVEEGAASETEVHALPYVLALQAFCIVDPTLCTPATQPFQFVETLQPYLKKQVDNKSTAQLLESIIFVIDAVLPLIWKPPQSVVIELEQDLKQMIVRHSFLTVVHACIKCLCALSKAADRGPRLLEYLVNIFYKHLSGSNSSNSDSQLLGRSLFCLGLLLRYGSQLMAASENQLDFPKIISLLKKEYLLKDDFSLKVRGLQALGYILIAKPDFMLRKDISTLIESSLSSVVDYRLKIQGLQNLFEYLRDAESQLNAESTGKPTPNATNGGSEVPVAAGAGDTNICGGIIQLYWNSILERCLDINDQVRQTALKIVEIVLRQGLVHPITCVPHLIALETDPLEGNSKLAHHLLMNMNEKYPSFFESRLGDGLQMSFRFFESTISNHDMVATNMKSNPIAFVKPGISRIYRLIRANRNSRNKFVHSIVRKFEGDNRSYPTISFLMYCAEVLASLPFTSPDEPLYLIYDINRVIQLRAGAVEANLKNWTSMYQQQEMVGMPRDTGDVMHEPGGCSDQNLVDVSQMMLGNTCSTPVVNMAKLQEDCHGAIALQLLLKLKRHLKTVYSLTDARCQAFSLKDPPKSGETISKQNIPLNISNTNTSLPSCPQDAARVYQDFKTVLREDTVDYGMYTVSAQKKRPTPRSSSRVRRPAAVTRGRGGGGGGGDEDTDDEDWTGGGARVLDFSAQGGRVTRQRVQV >ORUFI07G00730.1 pep chromosome:OR_W1943:7:507368:510887:1 gene:ORUFI07G00730 transcript:ORUFI07G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVAAAGRAVRYGVLSKALPTRLHINSGRGLDISEAEGPLDRYIQVLMNERNLGKVRSIEKILSKRDMVRESSESLILERMKKIGTHNTKHAWMVAGVTISGYLFGAAFVALLTVPTAKA >ORUFI07G00740.1 pep chromosome:OR_W1943:7:510741:513208:-1 gene:ORUFI07G00740 transcript:ORUFI07G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLEKRIFARAMQTWAEHMITLSEGNVEMLTKLTKSMSTIIKSLEKPKGIAGVLDNIGYRLPEDKKGKFTMLVIILIPTVGYFFLRLVAAYEKVFERHDLPGLPVATVNDHIQKTRQPY >ORUFI07G00750.1 pep chromosome:OR_W1943:7:528827:531304:-1 gene:ORUFI07G00750 transcript:ORUFI07G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLLRRALQRLLPHRTRSFCSSRLSSSSSSPGEVKSIRRFDEFEAMAQREIVEDVEEVRKLKSEDRNYLNRLLTSWGVPNGEFRDKLMWGGNVAAIFIASSAVGTLSAKIDGSA >ORUFI07G00750.2 pep chromosome:OR_W1943:7:528827:531304:-1 gene:ORUFI07G00750 transcript:ORUFI07G00750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLLRRALQRLLPHRTRSFCSSRLSSSSSSPGEVMNDNQVKSIRRFDEFEAMAQREIVEDVEEVRKLKSEDRNYLNRLLTSWGVPNGEFRDKLMWGGNVAAIFIASSAVGTLSAKIDGSA >ORUFI07G00760.1 pep chromosome:OR_W1943:7:531574:532640:1 gene:ORUFI07G00760 transcript:ORUFI07G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARSLLAGRMVAAARRAFRSWPLHTITTTTTTDAATAIATKEQHKVVSRLDVMEDISFPYMTREMAAVLRRSRVAAVEHNNFNIRQLANPYHGPADGDILYDRAWINNLALLFTLSTLFGAFSTLAKLKQTRTVDQCVQTQTS >ORUFI07G00770.1 pep chromosome:OR_W1943:7:538882:543512:1 gene:ORUFI07G00770 transcript:ORUFI07G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPAAAAAGRAIRRAAYHSDGAPPRKLRGPRFSPLNRHNHEVDALLEEIKNTPVSMISDDLIIRTVRQYILVQNVLRSWVIAAAVLSGYCWGYNRVAKRPVAGSEPPTEDEAGQWGEAGEEVGAVAAAGVGGGCDGGEWRASASAAAATWEKGRASPHGRGGAEVVHGGERAGARPPVEEDLPRRRWCMPSAASDDATLGRHLACRLVQVGISDVFAVPGDLNLTLLDHLIAEPGLRVVDCCNELNTGYAANGYAWARGMGTCTVTFTVCGLLLHGRRHRSHWFWNQETGDEAGAAFRNQERASASPSLPSAVARRSGSVVVGGGRALPSSPSSETTAS >ORUFI07G00770.2 pep chromosome:OR_W1943:7:538882:543512:1 gene:ORUFI07G00770 transcript:ORUFI07G00770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPAAAAAGRAIRRAAYHSDGAPPRKLRGPRFSPLNRHNHEVDALLEEIKNTPILVQNVLRSWVIAAAVLSGYCWGYNRVAKRPVAGSEPPTEDEAGQWGEAGEEVGAVAAAGVGGGCDGGEWRASASAAAATWEKGRASPHGRGGAEVVHGGERAGARPPVEEDLPRRRWCMPSAASDDATLGRHLACRLVQVGISDVFAVPGDLNLTLLDHLIAEPGLRVVDCCNELNTGYAANGYAWARGMGTCTVTFTVCGLLLHGRRHRSHWFWNQETGDEAGAAFRNQERASASPSLPSAVARRSGSVVVGGGRALPSSPSSETTAS >ORUFI07G00780.1 pep chromosome:OR_W1943:7:549831:556802:-1 gene:ORUFI07G00780 transcript:ORUFI07G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGAGDWPFAADEAYADSSAIFAELAWANGLAVVDTVGELLPPLDPPGELATPPPPPLDLPETPAGSSADGAASSCSTDDADGGKPAAASTEAASKSLTPGKKRARQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSDDPSVVITTYEGQHSHHTVTFPRAAATAAGFSHIHAMAALAAAPFSAHQQLYSNLQPPPPTMPLAATTPASSSSLLQLPLHCNHELQVVASCGGYPSSSSSPPASVLPVDKGLLDDMVPRAMRHDG >ORUFI07G00790.1 pep chromosome:OR_W1943:7:579548:584176:1 gene:ORUFI07G00790 transcript:ORUFI07G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLLFLLAAGEAAAAAAATTLTATPAKLTQSDREITIRWSGLPNPDGLDYVGIYSPPTSSDRDFLGYLFLNGSATWRTGAGELTLPRLPNLRAPYQFRLFRWPAREYSYHHIDHDGNPLPHGRHRVAASGEVAFDSPSRPDQVHLSFADGVDEMRVMFVCGDGGRRVVRYGPAKEEGEGWKEVAAEVRTYEQKHMCDSPANSSVGWRDPGFVFDGLMKGLEPGRRYFYKVGSNSSGWSDTYSFISRDNEANETIAFLFGDMGTYIPYNTYVRTQDESLSTVKWILRDIQALGDKPAFISHIGDISYARGYAWVWDHFFNQIEPIAANTPYHVCIGNHEYDWPLQPWKPWWATGIYGTDGGGECGIPYSVKFRMPGNSFVPTGNGAPDTRNLYYSFDSGVVHFVYMSTETNFVQGSDQYNFIKADLEKVNRSKTPFIVFQGHRPMYTSSNEARDFAHRQQMLQNLEPLLVTYKVTLALWGHVHRYERFCPMKNFQCVNMSSSFVYPGAPVHLVIGMGGQDYQPFWQPRKDHPDVPVYPQPERSMYRGGEFGYTKLVATKEKLTLTYIGNHDGQVHDMVEIFSGQVSNNNGVPEVIDDTKLSTGVSTKLKIPLFSLEIVGSVMFALVLGFSLGFLIRRKKEAAQWTPVKNEET >ORUFI07G00800.1 pep chromosome:OR_W1943:7:584289:587705:-1 gene:ORUFI07G00800 transcript:ORUFI07G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTASNTSSVSASPWRLLLTAHRSDPSRRCGAMATRVTVVLSLTASMRPNASQKSLIPIQHFPRSY >ORUFI07G00810.1 pep chromosome:OR_W1943:7:585676:605802:1 gene:ORUFI07G00810 transcript:ORUFI07G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRSSKKVCVVGAGMAGLAAARELRREGLDVTVLEQRGGVEHLREDGTVVFDDGSFVVADAVIYCTGYNYSFPFLDTNGKVTVDDNRVGPLYEHVFPPELAPSLSFVGIPGKVVLPVFIEVQARWVAQVLSGRRTLPSPEEMQRAVEEHNRGMEAAGLPKRWTHDMFLDLERCDDYGERICGFPRMDQWKKEIFVSSLSDMVDDIENFRDGYHDSDLVRDALRRHGRAAATGGGGGGGYRGPPALPFLAPSQIWPEGGGGRTVATRVAAAAAGGCRRRAATTDGRRRVAVGGGGGGRRRLLDPPSLPDLARGGRGEGSGRRRSPPSLPPRSGLGGWGEGGGHVCGCGGGGRLAVAGGSGPCAAASEEVEPMAWSASRHAQGGGSGGSGHQSSPFLRPRRRCRRRKQHRPLPSQIWTEEGSRPAATAKAALPSPSDSSAGRRWRAVGRRGMPTPTGSVGFFFCFLALFSQSGRGPGRMVSAHDDGKLPAQWKKVCVVGGGMAGLAAARELRREGLDVTVLEQRGGVGGQWLYDAATDAGDPLGMAGVHSSVFASLRLNSPRESIGFSDFPFRPTNDAGGDARRYPVHGELLRYIRDFCDAFGLMDAVRLNTTVTRVAMAPPRRDGSLRWAAETEEVFDAVVVAIGHYSQPRLPTIDGMDRWRRKQLHSHSYRVPDSFAGEVVVIVGCSVSGAELALELRRVAKEVHLSTKSTEETITSAMSKSVARYENLHLRPQVEHLREDGTVVFDDGSFVVEHLREDGTVVFDDGSFVVADAIIYCTGYNYSFPFLDTNGKVTVDDNRVGPLYEHVFPPELAPSLSFVGIPAKVLLPVFIEVQARWVAQVLSGRRTLPSQEEMQRAVEEHSRGMEAAGLPKRWTHDMFLDLERCDDYGERTCGFPRMEQWKKEIFFSSLSDMVDDMESFRDGYHDSDFVRDGLRRHGWTPGLDVTVLEQRGGVGGQWLYDAATDAGDPLGMAGVHSSVFASLRINGPRESIGFSDFPFRPTNDAGGDARRYPVHGELLRYIRDFCDAFGLMDAVCVIGAGVSGLAAARELRREGLDVTVLEQRGGVGGQWLYDTATDAGDPLGVAGVHSSMYASLRLITPREVMGFSDFPFRPGKDGDSGAGEVDARRFPGHAEFLRYIREFCDVFGLMDAVRLNTAVTRVAMAPPRRDGSLRWAVRSKHHGEAETEEVFDAVVVASGHFCQPRLPTIDGMDRWRRRQLHSHSYRVPDAFHGEVVVIVGCGISGKDIGLELRRVAKEVHLSAK >ORUFI07G00820.1 pep chromosome:OR_W1943:7:605815:614552:1 gene:ORUFI07G00820 transcript:ORUFI07G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAMSKILARYDNLHLHPQIEHLREDGTVVFVDGTCVVADAVVYCTGYTYSYPFLDTDGKVTVDDNRVGPLFNHVFPPALAPSLSFVGIPAMVVVPLFNEVQARWVAQVLSGRRALPSPEEMARAAEEYNRGREAAGVAKRRTHDILDLEYCDDYGERNCGFPRLEAWKKELMWSSYLTMCDNLETFRDDYHDSDLMVSNHDDGKPPAKWKKVCVVGAGMAGLAAARELRREGHAVTVLEQASDVGGQWLYDPRTDDPLGASPAPVRVHSSMYASLRLISPREAMGFTDFPFLPVDGAGGGGRDPRRFPGHREVLLYLKDFCDAFGLMDAVRLSLNTRVLRVAMAPPQCRAPAVAGGERKWVVRSVRVGERDDTGVQEEVFDAVVVATGHYSQPSVPTIKGMEAWRRRQLHSHSYRLPEPFRDEVVVMVGCGDSGKDIALDLISVAKEVHLTAKSTEEATTPAMSKLLAKYANLHLRPRVEHLCEDGTVVFVDGSRVVADTVMYCTGYVYSFPFLDTDGVVTVDDNRVGPLFEHVFPPALAPSLSFVGVPRKVPAPWFFEAQGKWVAQVLSGRRTLPPVEEMLRAVDEHYRARAAAGVPVKYTHELGGIEPQKYIEFGEKYCGFPRYEDWKREMIVSTISRRDDDDMETFRDRVDDDSDHVRLCLKSWHCSSPARHQASLAVSAADGHPPPPRLAQTAKAIAADAL >ORUFI07G00830.1 pep chromosome:OR_W1943:7:615036:615515:-1 gene:ORUFI07G00830 transcript:ORUFI07G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQWTPPFRRATIRPGTLQLCAGHRCLVFQLAHADAAVPAALRRFLADERVVFVGYGVRSDCRKLEEQHGLEVARTVELRSLAGMGNTSMQRMAEEHLGWDGVTTKPRKVGTSRWDARRLSKEQVQYACVDAYLSFRLAVHVVAAPEPDATSSTSSSE >ORUFI07G00840.1 pep chromosome:OR_W1943:7:616992:625877:-1 gene:ORUFI07G00840 transcript:ORUFI07G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCHRMLPLLVVAVALLPAAAVATNYTVGDEKGWNPDVDYTSWVKKHRPFYKGDWLLFEYQNGRSDVVQVDEVGYDNCDKANAISSYSKGHSYAFQLKEAKDYYFICSYGYCYNGMKLAVTAKKGSASSSSGSGDSSSSSSKSDTASSKSKSSAAASSLAKSPYAAFLAIAWREGGADSASTTARSPPLRRPLPRGSPPPPPATLQLCVGHRCLVFHLAHADAIPAALRRFLADPRVTFVGSGSSNDRRMLTAYYDLHVASARELRAVAGMGNASMEAMADRFLGYPGIAKPMNVAMSAWHAPYLSIEQVEYACVDAYLAFRLAVHLCPAPARQPVLRAPPPPAPRAPVYHHPLPLGPRVAVLAAPAPRPPRHAPVRARAAPPVYRAVARAEPAAAQTHWALVATAVDDDASESEYSSKITDNVRPRVAASDSDIEEEDDDGLSMIHSSSYASDDHVFSSDDFELVGHGLLSSDDEDGYEDFVLGMGALNIDIDDNDDKGYNGNTSIGILTVQSYNEHSSIGILTVENYDMAGTEEMFVRNGVATLEEVEEDDIVTGAGTVTVDEGGGGYEAFEGNSQAFDDVEEGGYVEDDWYDEDEEELLDYDTIACLRNQATSLGVLFAARAMAGKRPGDAPAGDTAAKRARTAARRQKTTVSARLRRSETKHDTYVVRVGASRVVATVTARPAVARRWVFSTRWRHGRRLRSGAGLTVAMGVQWTPPSRALAGGAEPRPGTLQLCVGSRCLVFQVAQGNAVPAALRRFLADGGVAAFVGYGIRSDCRKLAAHHGLHVACTRELRAVTGMGSASMARMAEELLGLAGIKKPAAVGRSRWDAPKLSKKQVKYACVDAFLSHRLGVHVGAAPPSTSSSDSAFSASMAKINDVVSQETPRRSQEAHRRRRAMVAAKTTTVSTRLRRCMRAHDEYVVRVGSHRRRRRRLLATVTAHPGVARRWVHTTLWRHARRLRSGDGITVGMGVQWTPPFRAPAAAARRPCTLQLCVGHRCLVFQLARAGAVPAVLRRFMADARAAFVAHNVRHDCRKLEEHHGLEVARGVELRRLVAGMGNASMERMAEEHLGLVGVWKPRRVGTSRWHARRLTKGQVEYACVDACLSFHLGVHLDAGDI >ORUFI07G00850.1 pep chromosome:OR_W1943:7:628790:631474:1 gene:ORUFI07G00850 transcript:ORUFI07G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFNGKKLEDIVPSSHNCDVPHVDRTDYQLIDISEDGFVSLLTESGNTKDDLRLPTDDTLTNQIKNGFGEEGKDMILTVMSAMGEEQICAVKEIGAKN >ORUFI07G00860.1 pep chromosome:OR_W1943:7:631825:632135:-1 gene:ORUFI07G00860 transcript:ORUFI07G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLNQALLNCSSMNEQASNDKLQAVRKQLIRLTSGRASIGIKRMGELDPKAFANACNQTLSEEDDVVSALL >ORUFI07G00870.1 pep chromosome:OR_W1943:7:632504:635327:-1 gene:ORUFI07G00870 transcript:ORUFI07G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGSEQAAAPAPPPLRLLDPPAPPPAAHDAGLRALGLLDFVRLDLAAPSPDLVAELVANYNALTRRSEVRGGSVVVSRVAFAEALALPRTRPDIRAAADLDATAAASAAAAFMAAYVEPQVKLDTADRLVREVDRAAERVRRGEAHWVDWATLAENEMREMARWRRTDACRNGAYWQRLIWAQNPDLFAPPPPPAVSKKMQGIAATSKKLNQDDDDDTKSKMTDEMPAMESLNQAPAINDELQAIRKQLIHALEELTSGRASIGIRRMGELDPKAFANACTQKLPKKQLDSALLYSKWEAEISDSSWHPFRVININGKNKEILCEDDGKLRELKEEHGEEVYRLVATALREMEEYNPSERCPVPELWNYKEKRKATLEEAIQFVLYSHQHGVHGFGV >ORUFI07G00880.1 pep chromosome:OR_W1943:7:636271:640029:-1 gene:ORUFI07G00880 transcript:ORUFI07G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAWMLRRAVSQRLLRHRSRSLCSSLSSSSSGKVKRIERFDEFEEMVQREIVEDVDKVERAKTEDRDCINRLLTSCGMPKGEFRDKLMWGCNVAAIFVASGAVGSLIAKIKIDGRRRRLYILGSWRGAEEEE >ORUFI07G00890.1 pep chromosome:OR_W1943:7:639747:641286:1 gene:ORUFI07G00890 transcript:ORUFI07G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSVLAGRLMVAANRAFRGRPVSTTTKERLELKMSSYPWTQNAMDNRLREGCAMAVDNHNRRIMRLANPYSGPADGDILYNWAWSNNISFLIILCSCFGLCHAALHLPHHASNNVSTA >ORUFI07G00900.1 pep chromosome:OR_W1943:7:645867:648741:1 gene:ORUFI07G00900 transcript:ORUFI07G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPAATAAGRAIRRAAYHSDGGPPPRKLRGPRFSPLNRHNHEVDALLEEVKNTPILVQNVLRSWVIAAAVISGYCWGYNRVITKRPVAGSEPPTEGEVE >ORUFI07G00910.1 pep chromosome:OR_W1943:7:649802:652618:1 gene:ORUFI07G00910 transcript:ORUFI07G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRALWYSGVSPKARHMNSGRGRGLDISEPNGPLDSYIRKLMDAGNLEEINRIGKILSKRDMVKQSSESSAFLFGTAFISLFAGSLHGEEEEDGILQDKKRLGLEE >ORUFI07G00920.1 pep chromosome:OR_W1943:7:656833:658615:1 gene:ORUFI07G00920 transcript:ORUFI07G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAAAAAAAVQPVLPSSSASTGGQQHGVLTADRVAGLLTGCATLRRTGELHAAAVRAGVDGDRAVGFRLQRAYAASGRLDLTVTLLRLTPDPTTVFYTSAIHAHSSRGLHLAALALLSEMLVTAMLTCYAKMGALDDARELFDGMPSKDFICWNAMIDGYTQHGRPNEALRLFRWMLRSGVDPDEVAIILALSAVAQLGTAESGRWLHSYVKNSRRVQLNARVGTALIDMYCKCGSLEDAVSVFNSIGDKDIVVWNAMINGYAMHGDSRKALEMFSQLRSQGLWPTDITFIGLLNACSHSGLVDEGRQFFQSMEEEYAIVPKIEHSGCMVDLLGRAGLIEEAFHLVQSMTIAPDTVMWVSLLAACRLHKNMALGQQIADYLVAGGLANSGMYILLSNIYAAVGNWEEVARVRSMMKASGIQKEPGCSAIEVGRKVYEFVAGDMSHPRTDEIYAMLEKMNGIVKEQGHVPQTELVLHDLDEVTKEKALAVHSEKLAVAFGLISTAPGETIKIVKNLRACADCHAVLKLISKITGRKIVFRDRNRFHHFVDGSCTCGDYW >ORUFI07G00930.1 pep chromosome:OR_W1943:7:661106:666838:-1 gene:ORUFI07G00930 transcript:ORUFI07G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPMGDGEVERAGMETDGGGEDRISALPDDLLCSILLRLGSTPAAGQTSLLSRRWRRLPSKLPRLLFPFPSTPPCVGPGIAANTAPVLRHVDVVCCDSPAGATATWLHLLAPRLAHDGVVYFRNTMSRRRLMAPHRGLGLASPSPTFELPCFATAAKLWLRLEFLNLELPRSGVFAHLTEMFLEHVDFNHRGRGDFGHTFSTPRCPLLRRLRIAMCTGIDTMGIYSDSLHHFELEFVPGLMELTLMAPGLRTLELLSCFYYIQEWNCSIHAPDLESLRWGDRFNIGSVRFVGFARLQQLAAFTIPVFGRPDNTIIQEFALLLGRFSAVYRLDLLLSYERDLDKYEYLMEYITKLPNMNTMSLWLYARGHSVGTSVFYLLSLCPSVKRLQLTLLDGTVANSPCHSNCECDEHPDWNEWEAIVHGLEEAEIRSFRGTEHDFNFVALLFLVSPALKKMTITLDCMADASEESCQKLREIVAGHPGACLEIHQNTSGVFYEFRQSNFTKTPDDHYYGD >ORUFI07G00940.1 pep chromosome:OR_W1943:7:667654:676986:-1 gene:ORUFI07G00940 transcript:ORUFI07G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSERAELARVCGGRNWSKAIRILDAHLARSPSSIHDLCNRAFCYSQLELHKHVVKDCDRALELDPALLQAYVLKGKALSALGKREEALAVWEQGHEVAVRDTMDLKQLLELEELVSSVKICETIECEDRVVDASPCDTKVVISEDRVVDTSCTATTMADTKTVVCEENIGNSGVISNGAVILANDNKADNNKECSSPTKDTTGTHHTPKKTTKPDKKSKAKGRKEINSQIEDVADSISSGETVAVDQTLFASKISKSSKSISLDFRLSRGIAQVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAVEDLTKALEFEPNSPDILHERGIVNFKFKDYNAAVEDLSTCVKRDKKNSSAHTYLGLTLSALGEYKRAEDEHLLGIKYDENFLDSWAHLSQLYLDIGCPEKMLNNVEKVLQIDVSFGKAYHLRGILYHGMGRHRSAIKDLSVALKHESSNIECLYLRASCHHAIGEYKAAIKDYDDVLDLELDSMDKFVLQCLAFYQKEIALYTASKANLEFSQFNIDDDVDPLFKEYWCKRLHPKNVAEKVYRQPPLRISLRSGRLNKQDFKFTKHQTTLILAADSIGKKIQYNCRGFLPNQRQYRMAGLAAIEIAQKVSKAWRFLRNPKNNAKLVRRRDKLNACQNRGGYCSTSTLSGSPTSSPNEDRISSGISLSWHDVYNIAVKWRQISEPCDPVVWINKLSEEFNSGFGSHTPMLLGQAKIIRYYPYYQSVLEAAKNIMLDLKYVNNAEDRAIFLTDIEKLKKIEVASSCSELYHIVGETYWVSTRCDSIAFQGRRLEGTRITTQNMGKTGFDFAIRTPCTPSRWEEYDEEMSAAWEAICEAYCSDTNPTRDPDTLDAVKAAILRMTYYWYNFMPLSRGSAVVGYVVLLGLFLAANMDVTASIPHGVQVDWEAILSQDPDTFVDKIKPWLYPSIKTSRNLKDYADVSVAFSTTGSVVAALTCVDT >ORUFI07G00950.1 pep chromosome:OR_W1943:7:696183:700611:-1 gene:ORUFI07G00950 transcript:ORUFI07G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSLPRRRSHRTAGEERRVSEGEGSKGERERGQSRASRRLAGEEEDIANIYPPPPYLDSDQITCPSTSTRAIHSWFESSLWMMARWCVGWPAAARGGRDELTWQAELTAHAAGEFSMAAAQANAVMEDQAQVMASPGATLVGVYDGHGGPDASRFLRSRLFPLIHEFAAERGGAVDADVIRKAFLAADEEYLQLLRWSLPNMSRAAASGSCCLLGAISGDTLYVANAGDSRAVLGRRAAAGQTVAERLSTEHNVASEEVRRELAALHPDDGEVVVHARGAWRVKGIIQVARAIGDVYLKTPEFKRDPAVQRLCSAAAAVELARPVVTAEPSIHARKLKAGVDLFVVFASDGLWEHISDEAAVQLVSKSSTRRGVAARLVQAALGEAARKREVRRCDLRRIERGVRRHFHDDITAVVVFLDLDDDGGRRARRRGRVVDSSSSSCSNTPLDVYSLYNSTA >ORUFI07G00960.1 pep chromosome:OR_W1943:7:701643:712741:-1 gene:ORUFI07G00960 transcript:ORUFI07G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSEGKGTESSGFNRRRSASVWGPHGLIWAVRFGRPVGLTGEPIADAGQQAAGSEIVMAAATPGRLMSGRLLSPSSSGKAMHAWPASGCTCSTGNNSIQAARNESRQEQR >ORUFI07G00970.1 pep chromosome:OR_W1943:7:712705:714553:1 gene:ORUFI07G00970 transcript:ORUFI07G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCLCLRFPSSLPKKKKKTRFLFFSSLLLLRRRGRGRSRSTRWAAAMGRSRRWRGRGTWRRTRAPRGASLRPTRRP >ORUFI07G00980.1 pep chromosome:OR_W1943:7:715149:720189:-1 gene:ORUFI07G00980 transcript:ORUFI07G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYTDVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDSLNSYLNKYRIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFLQVRAAENSRPRAQ >ORUFI07G00990.1 pep chromosome:OR_W1943:7:720468:723309:-1 gene:ORUFI07G00990 transcript:ORUFI07G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEWPIESWDVRRRWRRRLGGRSGEANKPVVREHAYSSITRRRRRWSSCPASGDAKRANDGESPKKINNLATCTVTVRWATPLARIQGPTCH >ORUFI07G01000.1 pep chromosome:OR_W1943:7:724380:727430:1 gene:ORUFI07G01000 transcript:ORUFI07G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGALLRRARALPRLPQGTAARSFSAPNVGHFSASNTNHAIQRCREALLEELIWNEVYAKHNEARVRRLINSLVRSLGDVPKQKGFTKTFSQEFGMVVKELEKDMNMSFKSFKVPLRRLILRTLDKYQQQGSDALLKNSLESKVHSSHWGDAHANPNFWTRAFGLSLLLSFFSFEVGQQYETLNGEGELPK >ORUFI07G01010.1 pep chromosome:OR_W1943:7:729406:730334:1 gene:ORUFI07G01010 transcript:ORUFI07G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLRSLTTLSSRSSATTAGIVSRRLICNAASDHHQKLAKNSGGTKTEVVSATVNSEVQIVSWGRLFGLAVITFFSCKLGGRYANYQYERSLFEGFQKSKQEMSTPQL >ORUFI07G01020.1 pep chromosome:OR_W1943:7:733012:733379:-1 gene:ORUFI07G01020 transcript:ORUFI07G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSHGRDLGEDLGDDEEVGSYMKAVNLLYMYSLGRDLGEDLGDDEEVGSYMKTVNLLYMYSLLVYLLIVATYGEFGGGFGDDFL >ORUFI07G01030.1 pep chromosome:OR_W1943:7:733772:736699:-1 gene:ORUFI07G01030 transcript:ORUFI07G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRCRLAAAASPGRRFLCRRASGDQQQERDALLRCCVESKLHSYKATMEYADEFNGSLRRAMFGMTALSVLCPFVGLVSGLWASSIALTDDDDDD >ORUFI07G01040.1 pep chromosome:OR_W1943:7:736617:738329:1 gene:ORUFI07G01040 transcript:ORUFI07G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSYYLCLLRSIPGKMMLGRQWKPGCSCWSAMRKLQGAEKEEMLRTMREMEAKLDDEIAANLHAINAHTSSHQHGFFNRKLTAMGVPKGKFREEVVGEINIAAMFCVGFLLASSSTERIMDKYITPQRQSPAQETKN >ORUFI07G01050.1 pep chromosome:OR_W1943:7:746548:747885:-1 gene:ORUFI07G01050 transcript:ORUFI07G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRRSAAATGRRLLCHASDHHHHQQVDPLLLKNVENLAKKQLLRDLTKRLAKEKRASSVAPTFRGIASSWPFASCAIMLIMDGYQKRFKKDCATVSTPNVSRALGNLHLAPQHQGHITLPLFCRI >ORUFI07G01060.1 pep chromosome:OR_W1943:7:749632:750363:-1 gene:ORUFI07G01060 transcript:ORUFI07G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGVVVLDGGGGWSWWLCASMMGNRSSGGGFTLPLWSQRVVKIPDLSYLGGGGGGSSGGCWRCPACVWAHAGGGNYVALGVVAVVVSLRCTCAGGGDGVYAALGVAAVIVSLRRACAGSGDSVCTALGAAAVVVSLRRAPVVCCAPACAAPVAASASVGSSHGWLDDDNLLQVNVFGIFVIGCLLRLDSCGSKLQVTSFLAIVVLTARHKSIGNLSNAPLLMVGWSMVWPSLLFPSSRNRV >ORUFI07G01070.1 pep chromosome:OR_W1943:7:752741:758380:1 gene:ORUFI07G01070 transcript:ORUFI07G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVVMRRRMAAAAMLVLVAMAGGATVCAAQLRRNYYAGVCPNVESIVRGAVARKVQETFATVGATVRLFFHDCFVDGCDASVVVASAGNNTAEKDHPNNLSLAGDGFDTVIKAKAAVDAVPGCRDRVSCADILAMATRDAIALAGGPSYAVELGRLDGLRSTASSVNGRLPPPTFNLDQLTALFAANGLSQADMIALSAGHTVGFAHCNTFAGRIRGSSVDPTMSPRYAAQLQRSCPPNVDPRIAVTMDPVTPRAFDNQYFKNLQNGMGLLGSDQVLYSDPRSRPIVDSWAQSSAAFNQAFVTAMTKLGRVGSLVHLNLTKLEMLDLSYNGLVGKFPQQLGSMTSLQVLDVSYNNLNKDLVMAGELNNLCSLEILDLTNKEITGDMTMLIDGLSSQCAARKKLLELHLSYNNFTGALPNSIRRFTSLRMLDISSNNLIGSIPPGIGDLTSLVSLDLSYNDISGHLPTEVMHLLSLASLDLSSNRLSGSIPAEIGVLTNLTSLVLRNNTFSGVIREEHFAGLISLKSIDLSSNYLKFSMDSDWLPPFRLESAWLASCQIGPLFPSWLQWQHKIIEFDISSTGLMGKIPDWFWSTFSQATYLDMSQNQISGSLPAHLGTLPPHLEAPELQTLLMYSNRIGGNIPQSICELQLLGDINLSGNLLVGEIPQCSEISYNFLLLSNNTLSGKFPAFLQNCTGLQFLDLAWNKFFGSLPAWIGDFRDLQILRLSHNTFSGSIPAGITNLLSLQYLDLSDNNISGAIPWHLSNLTGMTMKGFQPFSGASMSSGLVTVELSGEIPNKIGTLQSLESLDLSKNKLSGGIPSAYQVWHS >ORUFI07G01080.1 pep chromosome:OR_W1943:7:758416:759571:1 gene:ORUFI07G01080 transcript:ORUFI07G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSGRQLDTLSANDPSLMYIGNEGLCGPPLQKNCSRNYTFIHSSKQEFKPMTFYFGFGIGLVVGIWVVFCVLLFNKIWRIAYFRLFDKLYDRVYGRTRMRKEEALSIAGHARKLLAAAVPAFCTGVIGARRRRRRTGGTAMVGQRR >ORUFI07G01090.1 pep chromosome:OR_W1943:7:763652:764730:1 gene:ORUFI07G01090 transcript:ORUFI07G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLGRAAVPVKRVWLGLSARLGLRRTTGLGKLRNEVRTCEYSDVHVMWEMLSSMDAAAPPRHAAAAAAGRKRRRPAATAAWSRLVSCCCCAF >ORUFI07G01100.1 pep chromosome:OR_W1943:7:767376:769893:1 gene:ORUFI07G01100 transcript:ORUFI07G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAAARAVSVAFQDASYCLDGGKAKQVPHAPSPEKKRASFAAGAAAVRAKVCDARWPASAAAANSAPYGFRGGVATRSVAFDEMTPRRASVDVPNPLRAALSSDDTESATSSAGSPDGDADADAKLAARARPSPRSIMASPARFSRDAMGSRSERFADHSTPFMSRTPRFLASPSPKTTPTAPPPPTTTKKKSVKSLFNGLLSSPFTRPSPKQPPPTKPAAISPASPSPTRCSATAAASAVPGRLQAQGKAEEEHQLRLLHNRHLQWRLANAVAGAAISAQELNAEKQLCGAWVSILGMSKSIALNKLELQLLRQNCKVMNILKGQIYLPVQMMAYLEEWSLLENKYANSLSGTVEALNATVLRLPVSDGAVADFQSVKNAVGSAVDVMQTMRNSMSYLLPKLARTNVLVSQLSIITRQEQVLMAQCRELLSTLALMHENSFLPIILLKQVKYSSLQGQMIQLSDLKRAKSVSSSEYPY >ORUFI07G01110.1 pep chromosome:OR_W1943:7:772615:774259:-1 gene:ORUFI07G01110 transcript:ORUFI07G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYRYLVYWRLDKTSKIEAATSYAARVTTTDDDAQIICIDDIWLRSDKSLSTDLKDACLSFSLFHLLRRRFFGFTCAESAHPKTSDFVFKGLLQLKNGSTGTVDYIRAFKVIEVELAFMYDFFFTKYALIYYSSTSAAVWSLVSAAFTVLTAYSTTKLHWLQGGSTVVVQGGPTVVGDNKADIFITMLLLVSIALLELLQPLLYWTTIWGRVSFVCQYIRQQQPLRHGFSCCMMRVKELLTKIGLRVSSNGSYWQDMLGQYSLLASVSRNKPIKQLGRKRSRFIKCMNLLDYRALNFYPAIASFKKKNPVDKPIKLSPQVKEAVAKSLLQHAAAGHGNLTNGVSSLKSNGAHHLLWACDPDPAARILQNQTPSILIWHIATCCCEKKPPNYQHEEELKNFQVATALSRYCAYHSFFLGTTMTQRFLRERGKKEFDAMALQGYEPPPEGEPTKGIFESGLKLGKQLEEMPEKMRWKVLADFWPEMLLYISPSDNVKEHIQRLAKGGEFITHLWALLSHAGILQRPKQEHHPGSSV >ORUFI07G01120.1 pep chromosome:OR_W1943:7:800064:802342:1 gene:ORUFI07G01120 transcript:ORUFI07G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHVERNASSAHHLRDVWLSIGGTVVRIEVMAMVAIFLTFYAVTFGSCRRWSSRWIIQKVFLAANALSVSLGTYSIGLMQSSLVKSKMYPVWSVSLLALFASIDSINTFGLDYSGQFLKLLYQLFLYFGYVLVISISGNTNNGMVAAAIGMLCSVTLCKGFHRLMAYVLPTRLRSMNKEIADRMEVVKGGPNFNAAIMQGYQYLVDKTYYPSITLEELWKPDNGMDKLGTDADAYKDICLSFSLCHLLQRRFFGFSCAESDRPETRSFVLEGLLQPVASSSSSSQGTGNYERAFKVIEVELAFMYDYIYSSNAFVHYYEAGACTAWAIASILTTCFLCVAVALQQKEAIIASSAVTMLDSEVISTTMTPDIVITLVILVSLASLQVLQLVNSLSSNWARVSLVCHLFRRNANNLEPSMGLKLRLFLSRIKLLDKYQWQNKLGQYESSSWLKKALGVSFHALLRAVHDAMANHLLPMLCGVLSALYKLFGLQYIQQVLTDMLGISTASSIQLPIEVKSAVIDALVGILMPRPNHDSVVLSSGSTSLAKNGLQDKFIRQYASTSYHGGSASTIIPESKGNQASIILTWHAATGCYDKDYEQRKKMKATTESPLQHRQYRVVATALSKYCMYLVAYVPQLLPGQQSYTTSVYNDFVRSPSYILQSGTQLKDELCEAVVEDELRWKVLADFWVEMLLYLAPSDNVTAHIEQLAQGGEFITHLWALLFHAGILYRPYKEEEEPAAAGTV >ORUFI07G01130.1 pep chromosome:OR_W1943:7:803958:804637:1 gene:ORUFI07G01130 transcript:ORUFI07G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVVRNHFSQIVFFTRADLEDESIVDIRDGGVIKHRNGTSDIGSKIIITSRSDKIARLGTTPPLRLQLLPKEAYWYFFKVCTFGSMDASEHPEIASVAMELAMESERSFMVANVFGRLLRSNTNTLYWRLVLASLREFKKKIRVVLLGGADQPQGRFDALGWKSQIAPNYSYTYSCEIQRPMCIVSR >ORUFI07G01140.1 pep chromosome:OR_W1943:7:814597:815882:-1 gene:ORUFI07G01140 transcript:ORUFI07G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVACLPEDGGEVVLVREFDGGRDRPGVEQVERACEVGPSGGKLCLFTDLLGDPLCRVRHSPAYLMLVAEAVGGPLGTEIVGVVRGCVKTVACGRSQLFSKVAYLLGLRVSPRHRRRGIGRRLVERMEEWFREMGAEYAYVATDRDNEPSVRLFTGACGYAKFRTPSVLVHPVFGHDLAPSRRAAVVRLDAREAELLYRRRLGSVEFFPRDIDAVLSNALSLGTFLAVPRGTRWRGVEGFLASPPASWAVASLWNCKDAFRLEVRGAPRLWRAAARATRAADHAAPWLGIPSIPNLFEPFGLHFVYGLGGGGPAAARMARALFRHAHNVARRGGARVVATEVGACEPLRAGVPHWPRLGADDLWCIKRLADGYGDGALGDWSKAPPGTSIFVDPREF >ORUFI07G01150.1 pep chromosome:OR_W1943:7:825062:832036:-1 gene:ORUFI07G01150 transcript:ORUFI07G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFLSVILSDLASRSISLLINKCSKPTLPSVEERLQRLLLRVRIIVEEAEGRLITNQAMLQQLNMLRKEMYIAYYTLGNFICHGHEEDNAKDHEVSNYFKPSKLNPAKRIRYLWDGGQTLQDQLQQVLGRLQVTLEDMREFVIFLNYCPRLCRQPYSMHLLIDKCLFGRQMEMEHIMNFLLKEDTPGAENPDASMETLRDSGVIKHQNNATGGKMILIIIELTRDIDEGVWRRLYSVCKCCVANGSKIIVSSRSNKIACFGTTQALRVKFFTQEAYWYFFKLRAFGSMDAEEHPKLESIAMEIAREWNGCFMSSGIYNELLKANFNTRFWSTVLTRIREFRKLNISLYANFDGPWEVVESAYVRRTCSVPNMLHRCTNSAQSEVEVPQLSFEDFQFGNVRPQGKFKVLGWRSHLPPYHDYMFSCENHSARFSPANMEATISVILTELAGRSISFLVSKYLNQQKPAPSDDERLENLQRLLLRFRIIVDEAEERCITNQAMLEQLSILRKEMFRGYYTLDTFRCRAHQGKDHHGERVLGDLENTIADATEFIAFLSSCPRLHRQPYSMYLILDQCMFGRQTEMEYLINFLLQPGNHSTLEPGVLPIIGPGRVGKSTLVEHACNDERVRNHFSQIVFFTRADLEDESIVDLRDGGVIKHRNRASGVGRVLVIVELDGDRYSEGLDKNIDGVLLERLYSIYKTRIPRDSKIIVTSRSDKIARLGTTPPLRLQLLSKEAYWYFFKVRTFGSMDASEHPEMASIAMDIAIETEGCFMGANVFSRLLRSNANSHYWSLVLATLREFKKKNQHIWSFMYAADQIKALDRVNEPSEEATELLVILDNYQTICSHASSHCEAEAEAPKISLVDALFGSVRPQGRFDALGWKSQIAPYYSYMYSCEIQRPKCLAARKNKMKKNGG >ORUFI07G01160.1 pep chromosome:OR_W1943:7:831785:841766:1 gene:ORUFI07G01160 transcript:ORUFI07G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEVAEGVIRYHGLVCDKPSLCLLHNDTNPEQQSLQSLLHGCSNNITMHEPMKHWFISLAISMANDASFGCSSASKLPNVRTLKKYQ >ORUFI07G01160.2 pep chromosome:OR_W1943:7:831785:835491:1 gene:ORUFI07G01160 transcript:ORUFI07G01160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEVAEGVIRYHGLVCDKPSLCLLHNDTNPEQQSLQSLLHGCSNNITEGKPSTEPAIAMRGRSPPGQQHHYDTKPLKRRPHEGCDAERRRRHLSKGLDKVFTQSSLPREEGYLNHALRRVTMPEGVVTVGPKKLG >ORUFI07G01160.3 pep chromosome:OR_W1943:7:835588:841766:1 gene:ORUFI07G01160 transcript:ORUFI07G01160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHRSASSPANDKTTTTLHGCPTIGRLHRTTIPESWPRTPPFHHPPASSPNLAKGEPGTGFNRLPRTTNKIRVMHEPMKHWFISLAISMANDASFGCSSASKLPNVRTLKKYQ >ORUFI07G01170.1 pep chromosome:OR_W1943:7:832775:838292:-1 gene:ORUFI07G01170 transcript:ORUFI07G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFLSMILNDLASRSISLLINKCSKPTLPSVEERLQQLLLRVRIIVEEAEGRLITNQAMLQQLNMLRKEMYRGYYTLGNFICHGHEEDNAKDHEVSNYFKPSKLNPAKRIRFLWDGGQSLQDQLQQVLGSLQVILEDMHEFVMFLNSCPRLCCQPYSMHLLIDKCLFGRQMEMEHIMNFLLKEDTPGAENPGVLPIIGPGKVGKTTLIAHACDDERVRNHFSQIVCFSQDDLEDANMESLRDSGAIKHQNHTTGGERILIIIELTREIDEGAWRRLYSASKSCVANGRKIIVSSRSDKIACFGTTQALRLRFFTQEAYWYFFKVRAFGSIDTEEHPKMASIAMEIATELNRCFMSSGIFNELLKANFNTRFWSTVLTRIREFRKFNISIYANFDGPWEVVESAYVRRVNEISSENIVILHDYQTYSVPNMLHRCTNSAQSEAEVPLFSLEDLLFGSVRPQGKFKVLGWRSHLPPYYDYMFSCEVRTFGSLDAEEHPKLASLAMDLAREMSGCFMGSCIYSVLLKANFSARFWSMDLARIREFKLKNNLIYNAYLVGGPWEAVKPAYVRTVNKISPEYLVVLHDYQTFSIPNMSESEVSEVSMQDFLFGSVKPQRKFKVLAWRPHYNYIFNCECGESSAAAASTLDDGGGLDARRRRLDTQQQRWARCVAAAAGSMGDDGGGLDARRRQPRCATAAAISDLEERMRRFLT >ORUFI07G01170.2 pep chromosome:OR_W1943:7:832775:838292:-1 gene:ORUFI07G01170 transcript:ORUFI07G01170.2 gene_biotype:protein_coding transcript_biotype:protein_coding METFLSMILNDLASRSISLLINKCSKPTLPSVEERLQQLLLRVRIIVEEAEGRLITNQAMLQQLNMLRKEMYRGYYTLGNFICHGHEEDNAKDHEVSNYFKPSKLNPAKRIRFLWDGGQSLQDQLQQVLGSLQVILEDMHEFVMFLNSCPRLCCQPYSMHLLIDKCLFGRQMEMEHIMNFLLKEDTPGAENPGVLPIIGPGKVGKTTLIAHACDDERVRNHFSQIVCFSQDDLEDANMESLRDSGAIKHQNHTTGGERILIIIELTREIDEGAWRRLYSASKSCVANGRKIIVSSRSDKIACFGTTQALRLRFFTQEAYWYFFKVRTFGSLDAEEHPKLASLAMDLAREMSGCFMGSCIYSVLLKANFSARFWSMDLARIREFKLKNNLIYNAYLVGGPWEAVKPAYVRTVNKISPEYLVVLHDYQTFSIPNMSESEVSEVSMQDFLFGSVKPQRKFKVLAWRPHYNYIFNCECGESSAAAASTLDDGGGLDARRRRLDTQQQRWARCVAAAAGSMGDDGGGLDARRRQPRCATAAAISDLEERMRRFLT >ORUFI07G01180.1 pep chromosome:OR_W1943:7:838326:839303:-1 gene:ORUFI07G01180 transcript:ORUFI07G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDGLFRLKSFHTLVFGEALPSWVRFVSCGGQHALRFFLLMKSELLADGRKTDTWIQTVHTKKYQLFTT >ORUFI07G01190.1 pep chromosome:OR_W1943:7:867560:885384:-1 gene:ORUFI07G01190 transcript:ORUFI07G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDRLLFEVHLAGELLVGGGRNQVLGGRRWSSKGSRIWRFRRQRRRCTDGDASTTIPSDRQMETFLSAILSDLATRSLSFLINKCSKPTSPTMEEKLQRLLLRVQIILEEAEDRHIANQAMLQQLNILRKEMYRGYYILDKFRYHDREEENTKDHQVSNSFAPSKFNPAKRIRFCRTSGQSLQQQLQQVLASLEATIEDTSEFFMFLNSYPRLNRQPYSTHLVLDKCLFNRQMEMEHIMNFLLKDNTSSNQNPGVLPIIGPSNVGKSTLIEHACNDERVRNHFFQIVCFSVDDLEDANMVTLRNCGVIKHQNHATGGERILIIVELIRDINEGAWRRLYSASKTCAANGSKIIVASRSDSISSFGTTHALRVKFFTQEAYWYFFKVRTFGSMDAAEHPKLESIAVDMAMELNGCFMGSNVYSVLLRENFNDKFWSMALARIREFRKLNLLLCGTSNFDDPWQGVGPAYVRRVNKICSGNHVIHEDYKVCSIQNMIHCHTNSAHSEDDVPMVSLQNFLFGSVKPQGKFNVLAWRSHLPPHYNYIFNCEVTSFDLQEEEISEAFHLTISDADAGERCHVGPKQNEHCRSFSFLIDRCYSKLPLLSNEEKIQRLERMLHRLAAAIEEADGRRILNHGMLRHINILRQDMYRGYYILDSFRFPEAHEEEIMSENNDKTESAMEERLRWLLLRVRIIVEEADERIITNQVMLQQLNILRKEMYRGYFTLDIFRCHGYKGDNTKDHQVSNSFALSKFNPAKRVRLSRVSGHSVQKQLQQVLGSLEVAIEDTSEFVMFLNNCPRLCRQPYSMHLLLDNCLFSRQLEREHIMNFLLKENTPGAENLGILPIIGPENVGKSTLIEHACDDERVRNHFSQIVCFNDNDLEDANMVTLRNRGEIKHQNHATDGERILIIIELIRDIDEGAWRRLYSAYKNCVANGSKIIVASRSDKIANFGTTKALRVTLLTQEAYWYFFKLRTFGSTDAAEHPKMASIAMDIAIELNGCFMGSSIYTLLLRANFNAQYWSMALAIIRKFRKLNLLLYGACFFDGPWQRVEPAYVRRVNKICPEYLVLLDDYKICSGQNNIVHCHTNCADNEDEVPNALVDPLSYVQNFRLHTLHMETFLTVFLSDFASKSISFLINKCSKPTASNMEERLQRLLLRIRIIMEEAKDRLITNQGMLLQLNILRKEMYRGIEVTLEDVSVFVMLLNSCPHLCRQPYSMHLLLDKCLFGRQMEMEHIMNFPLKVDSPGAENPGVLPIICRRKAGKSTLIEHDCNDERVCNHFSQIVCFSDNDLKDACMVTLRDCGAIKHQNHGIGGERVFIVIEQIGDIDEGVWRRLYSASKSCVPNGSKIIVASQSDKIASFGTTQALRVELFTEEAYWYFFKVRTVGSMDEQEHPKLASIAMDMARELDGCFMGASIYSVLLKANFNARFWNMALASIKEFKQTNLLVYGAYIDNPWQTSEPTYVRTVNRISSEYLVILDDYQTCPIQITNPAQSEAEVPEVNIQDFLFASVIPQGKFKVLAWTSHLPPHYNYMLSCEVQRKYPGSKIIITSPSDKIAKLGTNSISSCEVSVTRGLLVLAFGTSNPEDYPELTYISIPCQHYKVSLRSQILLIR >ORUFI07G01190.2 pep chromosome:OR_W1943:7:872260:885384:-1 gene:ORUFI07G01190 transcript:ORUFI07G01190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDRLLFEVHLAGELLVGGGRNQVLGGRRWSSKGSRIWRFRRQRRRCTDGDASTTIPSDRQMETFLSAILSDLATRSLSFLINKCSKPTSPTMEEKLQRLLLRVQIILEEAEDRHIANQAMLQQLNILRKEMYRGYYILDKFRYHDREEENTKDHQVSNSFAPSKFNPAKRIRFCRTSGQSLQQQLQQVLASLEATIEDTSEFFMFLNSYPRLNRQPYSTHLVLDKCLFNRQMEMEHIMNFLLKDNTSSNQNPGVLPIIGPSNVGKSTLIEHACNDERVRNHFFQIVCFSVDDLEDANMVTLRNCGVIKHQNHATGGERILIIVELIRDINEGAWRRLYSASKTCAANGSKIIVASRSDSISSFGTTHALRVKFFTQEAYWYFFKVRTFGSMDAAEHPKLESIAVDMAMELNGCFMGSNVYSVLLRENFNDKFWSMALARIREFRKLNLLLCGTSNFDDPWQGVGPAYVRRVNKICSGNHVIHEDYKVCSIQNMIHCHTNSAHSEDDVPMVSLQNFLFGSVKPQGKFNVLAWRSHLPPHYNYIFNCEVTSFDLQEEEISEAFHLTISDADAGERCHVGPKQNEHCRSFSFLIDRCYSKLPLLSNEEKIQRLERMLHRLAAAIEEADGRRILNHGMLRHINILRQDMYRGYYILDSFRFPEAHEEEIMSENNDKTCYAKADCREKYRNLNFKEMWSFWILSDLATRSISFLINKCMKQTESAMEERLRWLLLRVRIIVEEADERIITNQVMLQQLNILRKEMYRGYFTLDIFRCHGYKGDNTKDHQVSNSFALSKFNPAKRVRLSRVSGHSVQKQLQQVLGSLEVAIEDTSEFVMFLNNCPRLCRQPYSMHLLLDNCLFSRQLEREHIMNFLLKENTPGAENLGILPIIGPENVGKSTLIEHACDDERVRNHFSQIVCFNDNDLEDANMVTLRNRGEIKHQNHATDGERILIIIELIRDIDEGAWRRLYSAYKNCVANGSKIIVASRSDKIANFGTTKALRVTLLTQEAYWYFFKLRTFGSTDAAEHPKMASIAMDIAIELNGCFMGSSIYTLLLRANFNAQYWSMALAIIRKFRKLNLLLYGACFFDGPWQRVEPAYVRRVNKICPEYLVLLDDYKICSGQNNIVHCHTNCADNEDEVPNALVDPLSYVQNFRLHTLHMETFLTVFLSDFASKSISFLINKCSKPTASNMEERLQRLLLRIRIIMEEAKDRLITNQGMLLQLNILRKEMYRGGHSLQEQLQKIDLRDRFGDFNSNIDLVLKGKYKCIQELSPFVPSAI >ORUFI07G01190.3 pep chromosome:OR_W1943:7:839590:867525:-1 gene:ORUFI07G01190 transcript:ORUFI07G01190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFLSAILGDLASRSISFLINKCSKPTVLTVEEKLQRLLLQACFIVEESDERLIINQAMLQQLNILRKEMYRGYYTLDSFRCHGHQEYNPKDLEVSSSFAPSMFNPAKRVRFCRVTGQSVQEHLLQQVFGRLEVTIEDMSEFVMFLNSYPRFCRQPYSMHLLLDKCLFSRQMEMENIMNFLLKEDTTGTENPGVLPIIGPGKVGKSTLIEHACDDERVRNHFSQIVLFNDDDLEDANILTLRDSGVIKHQNHATGGKRILIIIELTRDINEGAWRRLYSASKSHVASGSKIIVASRSEKIASFGTTQALRVKFFTQEAYWYFFKVRTFGSINAVEHPKLASVAMDIARELNGCFMGASIYSGLLKANINFQFWRRALAIIREFKKLNLLLYGAYFFDDPWQAVEPSYIRTVNKINSEYLLVLDDYQICSAPNMIHCHKNSAHSEDEVPMVNVKDFLFGNVKPQGKFKVLAWRSHLPPHYSYVFNCEVKRISGHSLQEQLQQVVGSIEVTLEDMSVFVMFLNSCPHLCRQPYSMHLLLDKCLFGRQMEIERIMNFLLKVDSPGSENPGVLPIIGRRKAGKSTLIEHACNDERVRNHFSQIVCFSDDDLKDADMVTLRHCGSIKNGNQCTGGERILIVIELIRDIDEVVWTRLYSASKSYVPNGSKIIVASQSDKIARFGTTQALRVELFTEEAYWYFFKVRTFGSMDAQEHPKMASMAMEMARELQGCFMGASIYSGLLKANFNARFWNMALASIREYKQTNLLVYGTYFENPWQASEPPYVRTVNKISSEYLVIHDEYHTCSVQNMVLCRTNFTRSEAEVPMLSMQDFLFGSVRPQGKFKVLAWKSHLPPYYNHMFNCEVNMLRQDMHKGYYALDTFRIQKHQEEDMNDDDDNETFLSAILGDLASRSISFLINKSSKPTALTVEERLQRLLLRARIILEEADERLITNQSMLQQLNILRKEMYRGYYTLDRFRCHVHEADHTKDHEVSNHVIPSKFNPAKRIRFCRVSGKSLEEQLQQVFGSLEVTIEDMGEVVMFLNSCPRLCRQPYSMHLLLDNAENLGVLPIIGPGKVGKSTLIEHACEDERVRNRFSQIVCFNDDDVGHANMVALRDCGVIKHKNHSIGGDRMLIIIELMGDIDEGVWGRLYSASKISVAVGSKIIVTSRSDKIVSFGTTQVLRVNFFTQEAYWYFFKVRTFGSLDAEEHPKLASLAMDIAREMNQCFMGSCIYSVLLKANFNARFWSMALARIREFKLKNNLIYNAYLVGGPWEAVKPAYVRTVNKISSEYLVVLHDYQTLSVPNMVHCYTNSAQSEGEVPEVSMQDFLFGSVKPQGKFKVLAWRSHLPPHYNYIFNCEPEGGLPGGGWMRGWWRGGRCGGAARPTARLCRLVTVKV >ORUFI07G01190.4 pep chromosome:OR_W1943:7:851472:872213:-1 gene:ORUFI07G01190 transcript:ORUFI07G01190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIMNFPLKVDSPGAENPGVLPIICRRKAGKSTLIEHDCNDERVCNHFSQIVCFSDNDLKDACMVTLRDCGAIKHQNHGIGGERVFIVIEQIGDIDEGVWRRLYSASKSCVPNGSKIIVASQSDKIASFGTTQALRVELFTEEAYWYFFKVRTVGSMDEQEHPKLASIAMDMARELDGCFMGASIYSVLLKANFNARFWNMALASIKEFKQTNLLVYGAYIDNPWQTSEPTYVRTVNRISSEYLVILDDYQTCPIQITNPAQSEAEVPEVNIQDFLFASVIPQGKFKVLAWTSHLPPHYNYMLSCEVQRKYPGSKIIITSPSDKIAKLGTNSISSCEVSVTRGLLFHMEIFLSAILGDLASRSISFLINKCSKPTVLTVEEKLQRLLLQACFIVEESDERLIINQAMLQQLNILRKEMYRGYYTLDSFRCHGHQEYNPKDLEVSSSFAPSMFNPAKRVRFCRLQQVVGSIEVTLEDMSVFVMFLNSCPHLCRQPYSMHLLLDKCLFGRQMEIERIMNFLLKVDSPGSENPGVLPIIGRRKAGKSTLIEHACNDERVRNHFSQIVCFSDDDLKDADMVTLRHCGSIKNGNQCTGGERILIVIELIRDIDEVVWTRLYSASKSYVPNGSKIIVASQSDKIARFGTTQALRVELFTEEAYWYFFKVRTFGSMDAQEHPKMASMAMEMARELQGCFMGASIYSGLLKANFNARFWNMALASIREYKQTNLLVYGTYFENPWQASEPPYVRTVNKISSEYLVIHDEYHTCSVQNMVLCRTNFTRSEAEVPMLSMQDFLFGSVRPQGKFKVLAWKSHLPPYYNHMFNCEVNMLRQDMHKGYYALDTFRIQKHQEEDMNDDDDNETFLSAILGDLASRSISFLINKSSKPTALTVEERLQRLLLRARIILEEADERLITNQSMLQQLNILRKEMYRGYYTLDRFRCHVHEADHTKDHEVSNHVIPSKFNPAKRIRFCRVSGKSLEEQLQQVFGSLEVTIEDMGEVVMFLNSCPRLCRQPYSMHLLLDKCLLGRQMEMEHIMNFLLKEDIPGDENTGVLPIIGPWRVGKSTLIEHACADERVRNRFFQIVHFSDDDLEDANMVTLRDCGVIKHQNRGTGEERLLIIIELIRDIDEAAWSRLYSASKRCVAKGSKIIVASRSDKIARFGTTQALRVKYLTQEAYWYFFKVRTFGSIDAEEHPKLASIAMDMAREMNGCFMGSSMYSVLLKANFNVRFWSMALAGIREFKQKNLLGYGANIDCPWHPVEPTYIRMINNVSSEYLVVLGDYQTCSVQDMVDYHTNFPQSEAAVPMVSLQDFLFGSVRPQGKFKVLASRSHLPPHYNYILNCEVWTSHHLITREERPQKLCT >ORUFI07G01190.5 pep chromosome:OR_W1943:7:851472:867525:-1 gene:ORUFI07G01190 transcript:ORUFI07G01190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFLSAILGDLASRSISFLINKCSKPTVLTVEEKLQRLLLQACFIVEESDERLIINQAMLQQLNILRKEMYRGYYTLDSFRCHGHQEYNPKDLEVSSSFAPSMFNPAKRVRFCRVTGQSVQEHLLQQVFGRLEVTIEDMSEFVMFLNSYPRFCRQPYSMHLLLDKCLFSRQMEMENIMNFLLKEDTTGTENPGVLPIIGPGKVGKSTLIEHACDDERVRNHFSQIVLFNDDDLEDANILTLRDSGVIKHQNHATGGKRILIIIELTRDINEGAWRRLYSASKSHVASGSKIIVASRSEKIASFGTTQALRVKFFTQEAYWYFFKVRTFGSINAVEHPKLASVAMDIARELNGCFMGASIYSGLLKANINFQFWRRALAIIREFKKLNLLLYGAYFFDDPWQAVEPSYIRTVNKINSEYLLVLDDYQICSAPNMIHCHKNSAHSEDEVPMVNVKDFLFGNVKPQGKFKVLAWRSHLPPHYSYVFNCEVKRLHHMVTRKKRSQKLFLVDPLSDLQNFRLHTSLMETFLISGHSLQEQLQQVVGSIEVTLEDMSVFVMFLNSCPHLCRQPYSMHLLLDKCLFGRQMEIERIMNFLLKVDSPGSENPGVLPIIGRRKAGKSTLIEHACNDERVRNHFSQIVCFSDDDLKDADMVTLRHCGSIKNGNQCTGGERILIVIELIRDIDEVVWTRLYSASKSYVPNGSKIIVASQSDKIARFGTTQALRVELFTEEAYWYFFKVRTFGSMDAQEHPKMASMAMEMARELQGCFMGASIYSGLLKANFNARFWNMALASIREYKQTNLLVYGTYFENPWQASEPPYVRTVNKISSEYLVIHDEYHTCSVQNMVLCRTNFTRSEAEVPMLSMQDFLFGSVRPQGKFKVLAWKSHLPPYYNHMFNCEVNMLRQDMHKGYYALDTFRIQKHQEEDMNDDDDNETFLSAILGDLASRSISFLINKSSKPTALTVEERLQRLLLRARIILEEADERLITNQSMLQQLNILRKEMYRGYYTLDRFRCHVHEADHTKDHEVSNHVIPSKFNPAKRIRFCRVSGKSLEEQLQQVFGSLEVTIEDMGEVVMFLNSCPRLCRQPYSMHLLLDKCLLGRQMEMEHIMNFLLKEDIPGDENTGVLPIIGPWRVGKSTLIEHACADERVRNRFFQIVHFSDDDLEDANMVTLRDCGVIKHQNRGTGEERLLIIIELIRDIDEAAWSRLYSASKRCVAKGSKIIVASRSDKIARFGTTQALRVKYLTQEAYWYFFKVRTFGSIDAEEHPKLASIAMDMAREMNGCFMGSSMYSVLLKANFNVRFWSMALAGIREFKQKNLLGYGANIDCPWHPVEPTYIRMINNVSSEYLVVLGDYQTCSVQDMVDYHTNFPQSEAAVPMVSLQDFLFGSVRPQGKFKVLASRSHLPPHYNYILNCEVWTSHHLITREERPQKLCT >ORUFI07G01190.6 pep chromosome:OR_W1943:7:851472:867525:-1 gene:ORUFI07G01190 transcript:ORUFI07G01190.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFLSAILGDLASRSISFLINKCSKPTVLTVEEKLQRLLLQACFIVEESDERLIINQAMLQQLNILRKEMYRGYYTLDSFRCHGHQEYNPKDLEVSSSFAPSMFNPAKRVRFCRVTGQSVQEHLLQQVFGRLEVTIEDMSEFVMFLNSYPRFCRQPYSMHLLLDKCLFSRQMEMENIMNFLLKEDTTGTENPGVLPIIGPGKVGKSTLIEHACDDERVRNHFSQIVLFNDDDLEDANILTLRDSGVIKHQNHATGGKRILIIIELTRDINEGAWRRLYSASKSHVASGSKIIVASRSEKIASFGTTQALRVKFFTQEAYWYFFKVRTFGSINAVEHPKLASVAMDIARELNGCFMGASIYSGLLKANINFQFWRRALAIIREFKKLNLLLYGAYFFDDPWQAVEPSYIRTVNKINSEYLLVLDDYQICSAPNMIHCHKNSAHSEDEVPMVNVKDFLFGNVKPQGKFKVLAWRSHLPPHYSYVFNCEVKRISGHSLQEQLQQVVGSIEVTLEDMSVFVMFLNSCPHLCRQPYSMHLLLDKCLFGRQMEIERIMNFLLKVDSPGSENPGVLPIIGRRKAGKSTLIEHACNDERVRNHFSQIVCFSDDDLKDADMVTLRHCGSIKNGNQCTGGERILIVIELIRDIDEVVWTRLYSASKSYVPNGSKIIVASQSDKIARFGTTQALRVELFTEEAYWYFFKVRTFGSMDAQEHPKMASMAMEMARELQGCFMGASIYSGLLKANFNARFWNMALASIREYKQTNLLVYGTYFENPWQASEPPYVRTVNKISSEYLVIHDEYHTCSVQNMVLCRTNFTRSEAEVPMLSMQDFLFGSVRPQGKFKVLAWKSHLPPYYNHMFNCEVNMLRQDMHKGYYALDTFRIQKHQEEDMNDDDDNETFLSAILGDLASRSISFLINKSSKPTALTVEERLQRLLLRARIILEEADERLITNQSMLQQLNILRKEMYRGYYTLDRFRCHVHEADHTKDHEVSNHVIPSKFNPAKRIRFCRVSGKSLEEQLQQVFGSLEVTIEDMGEVVMFLNSCPRLCRQPYSMHLLLDKCLLGRQMEMEHIMNFLLKEDIPGDENTGVLPIIGPWRVGKSTLIEHACADERVRNRFFQIVHFSDDDLEDANMVTLRDCGVIKHQNRGTGEERLLIIIELIRDIDEAAWSRLYSASKRCVAKGSKIIVASRSDKIARFGTTQALRVKYLTQEAYWYFFKVRTFGSIDAEEHPKLASIAMDMAREMNGCFMGSSMYSVLLKANFNVRFWSMALAGIREFKQKNLLGYGANIDCPWHPVEPTYIRMINNVSSEYLVVLGDYQTCSVQDMVDYHTNFPQSEAAVPMVSLQDFLFGSVRPQGKFKVLASRSHLPPHYNYILNCEVWTSHHLITREERPQKLCT >ORUFI07G01200.1 pep chromosome:OR_W1943:7:842267:852901:1 gene:ORUFI07G01200 transcript:ORUFI07G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTVEEHEKLAHIFKGMLNQGALTNPPRANDRQNSCIFITRNIFFEQEHGLVCDEPFICLLQDDTGPEQQPLQSLLHG >ORUFI07G01210.1 pep chromosome:OR_W1943:7:887935:888363:1 gene:ORUFI07G01210 transcript:ORUFI07G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIENHRSGAEVVNGDAICRKRSIELLGELGLPKGLLPLEDIEEFGYNRDTGFMWLVQKKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKITGVKTKELLLWLSVVEVYVADASPEKVTFKTGTGLSDTFDAAAFALGE >ORUFI07G01220.1 pep chromosome:OR_W1943:7:890525:890953:1 gene:ORUFI07G01220 transcript:ORUFI07G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRAGAEIVNGDDICRKKSIELLGELGLPMGLLPLEDIEEFGYNRETGFIWMVQRKKKIEHVFKKIKQNVSYAGEVTAFVEKGKLKKITGVKTKELMLWLSIVEVYAAEASPEKVTFKSGAGICKTFDAAAFALGE >ORUFI07G01230.1 pep chromosome:OR_W1943:7:892449:895976:1 gene:ORUFI07G01230 transcript:ORUFI07G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGAASASGGGGAAPEAQQQLSGGGDTPRRRRPTRFRSDPLLIVCRCFNVVTAATAALCVAVNVLSAVQSFRTGLDIFGGIFRCYAVVISLFVGVVETEWGFIMKFCKILEYWPARGMLQIFVAVMTKAYPNVERGDLILLENIASYLLLACGLIYIISGVLCIGVLKRSRQQKATSREQAVKDLEELEKRREELEALLLAQRSETV >ORUFI07G01240.1 pep chromosome:OR_W1943:7:901101:909603:1 gene:ORUFI07G01240 transcript:ORUFI07G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDGEEGAAKRTKLSAGDGGGGGGGGEDRLSALPDDLLVQVLLRVGGTTAAARTSVLSRRWRSLWCLLPELDFVPEADGGSIRAALAAHEPPSLRHLLVAAQDAAPHGMAEWLPVAARRLAGDLLLFNLAPKRDAKDDDDEEEGKDESPFLELPCFGSATKLSLDLGFLPLAVPVSGVFARLTDLSLDSVRFHGPCEFGDAASSRRFPSLKNLNIRNTQGLSNFIIHSDSLLQLDLRSVRGLKQLNVVAPALQVLSVFFCFADTQARSQPVADIAAPQLETLQWEDAFDPSSVEFGEMANLRCLGTYFFLVYGLEDFKNNRDCLRLLKRFQRDAISRLTLTLAFLPKDLRDFEYLMENMTMLPDIVSLNLNVLANGHAIGPSLFHVLRMCTSVRRLKLVTHISLDLEAQAVCSSDCVCDLPPNWKTEELLLKFLHEVEINNFRGTGHEIALVKRLFSWAVVLKDMTINFYHSVPESTAKEADVFRILGPRTRNPPKSMEDGDGEEGAAKRAKLSAGDGGGGGGGGEDRLSALPDDLLVQILLRVGTRAAARTSVLSRRWRSLWCLLPELDFVSIADARAIRAALAYHGAPPLRLLLVSAVGATAGSVAEWLPLAARRLSGYLGLLNVVPKTRRDEDQEGAAAGEVLELPCFGSAANLALDLGFIAVAMPRSGVFSRLTLLSLDNVRFHRPCDLGDAVSSPRSPSLKRLTIQNAHGLSNLSIHSESLQQIRLGGLKGLKQLNVVAPALGALYVISCFSDPLGMSQPVADISAPQLETLHWEDAFDPSSVRFGNMANLKCLGTHFYLAFGQEDFGHNGDCLRLLQRFQFDALDRLSLTLAYMSELNDLENEYLMEEMTMLPDIMFLGLTVLASGHAIGPSVFHVLRMSTSIRRLELATDIYSSNPQMGLAEFELSHGYHTLLETQLGFRDDTDWQFVINFAIPEDLAHNYNFCERQPGNFRQVDVTTLICHSFQARPACSSSCTCDLPPNWKTEELKLAFLHEVEINNFRGTEHQIALVKQLFGWAATLKDMTINFCHSITESMARKVCQIFINIYMNAGNARMTYIMKRRK >ORUFI07G01240.2 pep chromosome:OR_W1943:7:901101:909603:1 gene:ORUFI07G01240 transcript:ORUFI07G01240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDGEEGAAKRTKLSAGDGGGGGGGGEDRLSALPDDLLVQVLLRVGGTTAAARTSVLSRRWRSLWCLLPELDFVPEADGGSIRAALAAHEPPSLRHLLVAAQDAAPHGMAEWLPVAARRLAGDLLLFNLAPKRDAKDDDDEEEGKDESPFLELPCFGSATKLSLDLGFLPLAVPVSGVFARLTDLSLDSVRFHGPCEFGDAASSRRFPSLKNLNIRNTQGLSNFIIHSDSLLQLDLRSVRGLKQLNVVAPALQVLSVFFCFADTQARSQPVADIAAPQLETLQWEDAFDPSSVEFGEMANLRCLGTYFFLVYGLEDFKNNRDCLRLLKRFQRDAISRLTLTLAFLPKDLRDFEYLMENMTMLPDIVSLNLNVLANGHAIGPSLFHVLRMCTSVRRLKLVTHISLDLEAQAVCSSDCVCDLPPNWKTEELLLKFLHEVEINNFRGTGHEIALVKRLFSWAVVLKDMTINFYHSVPESTAKEADVFRILGPRTRNPPKSMEDGDGEEGAAKRAKLSAGDGGGGGGGGEDRLSALPDDLLVQILLRVGTRAAARTSVLSRRWRSLWCLLPELDFVSIADARAIRAALAYHGAPPLRLLLVSAVGATAGSVAEWLPLAARRLSGYLGLLNVVPKTRRDEDQEGAAAGEVLELPCFGSAANLALDLGFIAVAMPRSGVFSRLTLLSLDNVRFHRPCDLGDAVSSPRSPSLKRLTIQNAHGLSNLSIHSESLQQIRLGGLKGLKQLNVVAPALGALYVISCFSDPLGMSQPVADISAPQLETLHWEDAFDPSSVRFGNMANLKCLGTHFYLAFGQEDFGHNGDCLRLLQRFQFDALDRLSLTLAYMSELNDLENEYLMEEMTMLPDIMFLGLTVLASGHAIGPSVFHVLRMSTSIRRLELATDIYSSNPQARPACSSSCTCDLPPNWKTEELKLAFLHEVEINNFRGTEHQIALVKQLFGWAATLKDMTINFCHSITESMARKVCQIFINIYMNAGNARMTYIMKRRK >ORUFI07G01250.1 pep chromosome:OR_W1943:7:910189:915135:-1 gene:ORUFI07G01250 transcript:ORUFI07G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWWKRSKSAFQRSSAVSSAPASPARASTSSCAAPGRRSAAGRCADDDAGDLLLARRRQLTRQRKLRHVDDIGVGLESLGLVVANSSPPPRGRASTSAAVGHPVSIPIARSASSAEFRAVHQPPPRAATAASPVLLPLPLPSPKPVESDTPEPDGGGERATRVTSQIVQNFPDNNNNLPDNSSKRTTTSSHHRKVFREKFQDKSSTETANFRLNIPAKSAPSSGFSSPVCSPRRFSNAEYTTPTAQGPQAWSAPSIRSVDSMATSSPRISPEIYTGVTEQSTFSNSLRSPILMSKNSSAPPSPLHPKLFPENNMSRIEGNGNVSFHPLPRPPGAVNSMQTSIVNQSAPKVEMPSVAGQWQKGRLLGSGTFGCVYEATNRQTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSDTFEDRFYIYLEYVHPGSINKYVKQHYGAMTESVVRNFTRHILRGLAFLHGQKIMHRDIKGANLLVDVSGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEMVQATLNKDVGYDLAVDIWSLGCTIIEMFNGKPPWSDLEGPAAMFRVLHKDPPIPDNLSHEGKDFLQFCFKRNPAERPTASELLEHPFIRNSSHYNKHGSIHSFAGIKSNDNNNGNGSRDKAALKSDSCVKGKNTVGEPTNARPSESSAFRLTPLSIQEVAPNFSSKPLGLNSNPSPSANLVNTVYFPIANSQRSPLPRPNEKEVLF >ORUFI07G01260.1 pep chromosome:OR_W1943:7:915177:919732:-1 gene:ORUFI07G01260 transcript:ORUFI07G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTDDLQKEIEELELGLGIRRTRAAAAATGDEKAVVISWAAGWREGSGGAWQITAEDPERRREIFPNADPPPPQRPPQMEEVAVVAVSVAAHQLRRPPPLRLRCH >ORUFI07G01270.1 pep chromosome:OR_W1943:7:917556:920215:1 gene:ORUFI07G01270 transcript:ORUFI07G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAFSSPVAAAAARVRRMPNLQGLQHPPFICKGTERMQWAGQKRFFSVEAKAKDAKLMESARSSVKRLMAWMNEQANPRNTAIVLTIINVVYLGIFIRECLRSDEHAKDCTADDNGDRNSSYRIVKYGCHDPYACPWYRALVAQYAVMLVLVLFTM >ORUFI07G01280.1 pep chromosome:OR_W1943:7:939712:942275:1 gene:ORUFI07G01280 transcript:ORUFI07G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVGEIGVGAADLSLDLKMFAAKSFGRVRGKDTTTTAMGDCIRRLEEEMGKIEVFRRELPLCVRLLADVIDVMKEEVEKGGDRKEDEEDAAGDKSNWMSTAQLWTGNSGGPDAAAADPEKQDKVRISSEAKSNGGAFVGSGAPAFARPKQSLMRKEDMAYDVRMPDLSLLSPPASAAAADESRRQVVGFAQAAARAAAMAASGPALSLQPQPQPAAAQQQQQQARKTRRCWSPDLHRKFVAALQQLGGPQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHNRPRVPSSTIVNQPIVLMQGLCYIPQEQSSSQSGSPEGPLHFSGSGMAGGGSSAATVSCEEEDGRSESYGWK >ORUFI07G01290.1 pep chromosome:OR_W1943:7:949277:959451:1 gene:ORUFI07G01290 transcript:ORUFI07G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAAAALAACILAVAATLAGADDPYRFFTWNVTYGSINPLGSTPQQGILINGQFPGPRIDCVTNDNIIVNVFNNLDEPFLLTWNGIKQRKNSWQDGVLGTNCPIPPGANYTYKFQAKDQIGTFVYFPSVAMHRAAGGFGALNVYQRPAIPVPYPPPAGDFTLLVGDWYKAGHKQLRQALDAGGGGALPPPDALLINGMPSAAAFVGDQGRTYLFRVSNVGVKTSVNVRIQGHSLRLVEVEGTHPVQNVYDSLDVHVGQSVAFLVTLDKAAQDYAVVASARFSPGAAPLMATGTLHYSSAVSRAPGPLPAPPPEQAEWSMNQARSFRWNLTASAARPNPQGSFHYGTIATSRTLVLANSAPVLAGQRRYAVNGVSFVVPDTPLKLVDNYNIANVIGWDSVPARPDGAAPRSGTPVVRLNLHEFIEVVFQNTENELQSWHLDGYDFWVVGYGNGQWTENQRTTYNLVDAQARHTVQVYPNGWSAILVSLDNQGMWNLRSANWDRQYLGQQLYMRVWTPQQSFSNEYSIPTNAILCACGQGLRLNGAECSARSFRWNLTASAARPNPQGSFHYGTIATSRTLVLANSAPVRRQRRVVRRPRHAAQARGQLQHRQCHRLGQRPGAAPRSGTPVVRLSLHEFIEVVFQNTENELQSWHLDGYDFWVVG >ORUFI07G01300.1 pep chromosome:OR_W1943:7:955369:958756:-1 gene:ORUFI07G01300 transcript:ORUFI07G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMRSALARVFRRSASAHSPPPPESRRNDNSMRNVPMVRSICAQPIKLHLLPPRTLLLASSSFTCAVALHTLRQQQQLHGVGDGRICAGSAQPGGGKGGTMCNRRKETLAQSEKIGYALEQSENLVTKSVPGPRQFLVISEATEGVKWAGQKRFFSMERNANGFKLMESARLAVKKIKALGNARIEIDPRNEFYVVAVATAISLWLMSRQYRSLGDPTFPGGSMKKLQAWKRVSKLNSGKSNNADE >ORUFI07G01310.1 pep chromosome:OR_W1943:7:962033:962464:1 gene:ORUFI07G01310 transcript:ORUFI07G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIESHRAGAEIVTGDGVCRKKSIELLEELGLPKGLLPLEDIEEFGYNKETGFMWLVQRKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKIAGVKTKELLLWLSVVEVYVAEASPEKVTFKTGTGLSDTFDATAFALGE >ORUFI07G01320.1 pep chromosome:OR_W1943:7:971922:972350:-1 gene:ORUFI07G01320 transcript:ORUFI07G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRAGAEIVNGDDICRKKSIELLGELGLPMGLLPLEDIEEFGYNRETGFIWMVQRKKKIEHVFKKIKQNVSYAGEVTAFVEKGKLKKITGVKTKELMLWLSIIEVYAAEASPEKVTFKSGAGICKTFDAAAFALGE >ORUFI07G01330.1 pep chromosome:OR_W1943:7:973936:981275:1 gene:ORUFI07G01330 transcript:ORUFI07G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLMLTNYYLVNQLPLLDERRDLTGVGDGLLDLLEGVLDLLLPLHQPHEPSVPVVAELLDVLQWQEALGEAKFFQQLNGLVPADGISIDDLRAGAVALNLR >ORUFI07G01340.1 pep chromosome:OR_W1943:7:976183:976611:-1 gene:ORUFI07G01340 transcript:ORUFI07G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRSGAEIVNGDAICRKKSIELLEELGLPKGLLPLEDIEEFGYNRGTGFMWLVQKKKKIEHTFKKIKQTVSYANEVTAFTEKGKLKKITGVKTKELLLWLSVVEVYITDASPDKVTFKTGTGLSDTFDAAAFALGE >ORUFI07G01350.1 pep chromosome:OR_W1943:7:976725:990991:-1 gene:ORUFI07G01350 transcript:ORUFI07G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVESHRAGAEIVSGDGVSRKKSIELLEELGLPKGLLPLEDIEEFGYNKETGFMWLGQRKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKITGVKTKELLLWLSVVEVYVADASPEKTVVLSTTAFLPLDPTPTPNSQTLAPATATATASMAAAAPELVDDMTYEILLHIPPDDPASFVRASLVCKRWRQLLTDPAFLRRYRAFHRTPPMLGFIHNVDHSSNSSYIPRFVATISPSPFYPDFPPPSIEFPTYWWALDCRHGRLLLQLFNPIDLMVWDPTTGDHRIFPQPPYLDFYCTGAVLCATRGCRHVDCHGGPYLVVFVGTGEDDHSWACVYSSETGEWSSQASIAFDSYVEMLPGLLVQDTLYFRCERGKRILGYDIGRHELSEIDPPPLGHEVGILMESGYGGLGFATVEDCSILLWSRYVGDDGIEEWKKSWVIGLDFLNPVGNPSLSWELAGFAEGVHTIFISSEIGVFTIELKSGQVKKLCEEGYYTVVPYMSFYTSGRRNQLKTESHRSGAEIINGDAICRNKSIELLEELGLPKGLLPLEDIEEFGYNRDTGFMWLVQRKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKIAGVKTKELLLWLSVVEVYVTEASPEKPPPASSCPRRVHRLLSTQTLDRSLAPAMASLPDLIDDLTTEILLRIPPDEPASLVRASLVCKPWCRIITDPAFLLRYRAFHRTPPMLGFLHNVDGDKAISSVPRFVPTTTAASSPFSPPAIGSPHWWWALDCRHGRVLINLFNPMELMVWDPITGDHHRFPVPPHPHAYCTGAVLCAARDCRHLDCHQGPFLVVFVGSGEHGYHYSWACLYSSETGEWSSKVSIVFDSYVEMLPSLLVEDMLFFICENGIRILGYDIGRHELWEIEPPLWDDYQGGTLMTAEDGGLGFATMETRGLVLWSWYVDDDDGIADWEQLRVIKLEMLIPVDNPSVSLDLVGFIEGTQTIFVSSDVGVFAIELKSGQVKKVGDSRPYYSVLPYMSFYTSDLARGRSSHPAGVQTIGNRSKKRNEQADKS >ORUFI07G01350.2 pep chromosome:OR_W1943:7:984733:990991:-1 gene:ORUFI07G01350 transcript:ORUFI07G01350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVESHRAGAEIVSGDGVSRKKSIELLEELGLPKGLLPLEDIEEFGYNKETGFMWLGQRKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKITGVKTKELLLWLSVVEVYVADASPEKVTFKTGTGLSDTFDATAFALGDDGERAASSRMASAPELIDDIAAEILLRIPPDEPAHLVHASLVCKPWRRILTDPAFLRRYRAFHRTPPVLGFLHNVDGNKAISSVPRFVPTTAASPFSPPAIDPPNWWWALDCRHGRVLSHLFNPMELMVWDPITGDQHRFPLPPHPHAYCTGAVLCAASDCHHLDCHQGPFLVVFVGTGRHDHSWACVYSSKTGEWSSQASIVLDSYVEMLPSVLAENTLYFYCEYGTKILGYDIGKHELSEIDPPLGHDGGILIESEYGGLVGFAEGTDIIFMLTDVDLFAIELKSGQVKKVGESRPYYAVIPYMSFYTSEPHISSSITTISQPICTQPKFQTKTSSPETTYPMASQIESHRASAEIVNGDAICRKKSIELLEELGLPKGLLPLEDIEEFGYNRDTGFMWMVQRKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKITGVKTKELLLWLSVVEVYVAEASPEKVTFKTGTGLSDNFDATAFALGE >ORUFI07G01360.1 pep chromosome:OR_W1943:7:991274:996965:-1 gene:ORUFI07G01360 transcript:ORUFI07G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRERRQLARLCDLVAGALLPHLEPKPPATRLTREDERRILLALSRVSKAIRGWEEEEEEEEEEDDDEGCELDQEIISCSAEVHSCSLPANQHFDDGFSCLANIISILVGFFGFCSSYVKHSAGNILIVISDSLMKFEVVWIQFVELVWIAIHTVSTCAHSALPSLIDSISSFRKDSICYCSVMESLSHDIIISSTNITSFVELLQLRCLDINGHMVASLFRVLHTILKFLKHTDNELKEDFICISAHHILMVDWDLYYQLNVGEPLNLVKDSTFSLSDDLKQLGFLSSSLLQLLCSLLEQSDLEDNNGQDIYAKLVGVIPKLVAILPEHQDAPKSLSQYLKHKFLMIMMRLKPYIQKDCSYIVCCLKLLRQHFQDLLHEPIMQHIAKPENCLEGSPFLLSTVGLGETHDKSTRHLQRQAMYLFLSFCICLAHNGNDSALQCSCKRDDQMLGHKVLDCSDHCDCFALSEISDWFQRCFLDKIFGFKSSTDNALCFLQLYMEEDDMLFNVLLQLLDAPLISSKIDSMENRWASELIGGKLFSSIFDPVHLHYDHLVLVDYLISKDVGVHCAQYLLRCLRLVTQCWHSFTDDSIYEAKIEKLNFKRQKTFNDANSSSGSSIEGPKLGSACHKKSKNKHKLFLNAKACLLSLKRTLEDLHRKGLFPYNPKPLLKSLARFEELCPD >ORUFI07G01360.2 pep chromosome:OR_W1943:7:992146:996965:-1 gene:ORUFI07G01360 transcript:ORUFI07G01360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRERRQLARLCDLVAGALLPHLEPKPPATRLTREDERRILLALSRVSKAIRGWEEEEEEEEEEDDDEGCELDQEIISCSAEVHSCSLPANQHFDDGFSCLANIISILVGFFGFCSSYVKHSAGNILIVISDSLMKFEVVWIQFVELVWIAIHTVSTCAHSALPSLIDSISSFRKDSICYCSVMESLSHDIIISSTNITSFVELLQLRCLDINGHMVASLFRVLHTILKFLKHTDNELKEDFICISAHHILMVDWDLYYQLNVGEPLNLVKDSTFSLSDDLKQLGFLSSSLLQLLCSLLEQSDLEDNNGQDIYAKLVGVIPKLVAILPEHQDAPKSLSQYLKHKFLMIMMRLKPYIQKDCSYIVCCLKLLRQHFQDLLHEPIMQHIAKPENCLEGSPFLLSTVGLGETHDKSTRHLQRQAMYLFLSFCICLAHNGNDSALQCSCKRDDQMLGHKVLDCSDHCDCFALSEISDWFQRCFLDKIFGFKSSTDNALCFLQLYMEEDDMLFNVLLQLLDAPLISSKIDSMENRWASELIGGKLFSSIFDPVHLHYDHLVLVDYLISKDVGVHCAQYLLRCLRLVTQCWHSFTDDSIYEAKIEKLNFKRQKTFNDANSSSGSSIEGPKLGSACHKKSKNKHKLFLNAKACLLSLKRTLEDLHRKGLFPYNPKPLLKSLARFEELCEQD >ORUFI07G01370.1 pep chromosome:OR_W1943:7:997060:1010012:-1 gene:ORUFI07G01370 transcript:ORUFI07G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSVRARIQSPHQSAAHFVAGESVKDGSIIRAGGEKSSPSSDGTPAVTTVNQPEMRVNRACIPRALAAACNDGPGPLAQWANKFTNLE >ORUFI07G01380.1 pep chromosome:OR_W1943:7:1003959:1013524:1 gene:ORUFI07G01380 transcript:ORUFI07G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIISGEIPEGGNMGEVVSSAVVHETVNKIISGLIDKYEQNSSAEEQMERLEMAHIKLETALETSSKWQITGGPLQRWQKKLKRAAEECDDTLRKCRQRVQEEEQAEQQVRNSSLPTRVAHATKSLISSIFHGNIDEPIRSAVRRFEWFADGANDFLRSVEFGGTPRRYLFFDPLIGRLLAGETLEYKLVQGNNQHLFWIRPNNTAERGVEAKLIFVYNDESVPDFSWVPRSHSYHWDSIHSTVTEWFRPNPMCCKHRSQKVCSSGNMEKTYLPDISLESVIDVSLQCQVSLPGLKDQVTVVESKPSLKEFPHLKVHLVYTPHGSSEDLFPAVESSVIEMVNGVDQHCLHTNIALEQMEGIMLPRAVDCFRQNAGTTVYQMVWKSKHGGAYLQAVKVSKNMLRQRTIRGAKKAKLLRRHDHWTQSRIDVISDFLNLWAAHAPVQLQGSILDWTQKEKEAHDLISIVELRPPKSGRAAPQEQHDLLHTPGSGCGPPACTTALSGPAAGRLHAPPLRPPKSGRAARQEQRGLLHAPGSGCAAARLHHRAVRPGGRPPPRAPGRESYRLLKMGEVVSSALVHETVNKIISGMIDKYERKSSAQEHMDRLEMAQIKLDLALETSKKWQITSEPLLRWQKKLKRVAEECDDTIRMCRQRVQEEQEAKQVARDSFFPRRIAHATKSLISSIFYGNIDEPTRSTVRRFEWFADGANDFLRSVESGGTPRRYLFFDPLIGHLLAGEMLEYKLVQGNKQHLFWIRPNNIAERIEGMVFFVYNDGTAPEDNFFLGMILQISESTNIVGTIIKCLQLFAPHFESVTETVRKELTLLPTQDFSWIPHSRLYHWDNLHSIATEWFRPNPVCCKHHDQKVCGSGNMNMIELPDFSLESVIQVNLQCHVALPGFRERGTIVEGKSSLKEYLRGPHLNVLLAYTPHGSSESLFPSVEGSVIEVINANEQHCLHTNIALQQMEEIMLPRAVDYFHQNAKATVYQMLWKPKHGVAYLHAVKATVNILSTRRTIRGARKSKLLRQQDHKMHHRTDGISDFLSLWVAHAPVQLQGSILDWVQKEKEVQLAAPLLRLKF >ORUFI07G01380.2 pep chromosome:OR_W1943:7:997197:1003913:1 gene:ORUFI07G01380 transcript:ORUFI07G01380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAAAVAPSTTTGYGSGEARRSSGGRRSRGGKGKSLRGKEMVASTVVQEVLGGAVSYLSSNREKVSERHNLEKLEMAHAQLEHALERSSKLPITDVSLLRQRRMFKRAYEECSEVVEKCKVRILEVAEEQGAGKFARDVETGRTLWHYNFFSSLIRPLLEGKHLKYDMPLQGSKTLGITIVPVSLEGRGVEALIQLNKEDSRMPLKNFCLLLILRLSESTDIVRIIINCLQLLGPHFTRLAKDAIGQLAALQHSHPQDLEPLLALQAVDYHRSFLAFRATVARPDPFCCREKRLDPCADNTISPNLPYDIPEQVIYVRCSSYISAVEYNYLYNSSNIVRDWSILKMVINISPHFSHPEANRGSYSSRGATDKCMYASLHEMQEMAVLVPKVMDCFVCQPDLGFYSLSFHSVHGYGYLCLMKPRIRSCKTNSSCRSKARRRWRRAAAPPPQPPRAWRHGEVGGGAWRGKLAAPRRDGDEEAQAQAHPPTTAAASARDQESLICPFITLGIVICDRVLMAEIVSSVVVHEAVNQIIHGLVNWNERKSSAEENMERLEMAHIRLEAALETSCKWRITDSSLLCWQKKLKRAAQECDDTLRKCRQRILEQEEAEQEVRNSSIPRRIAHATKSLVSSLFYSNIDGSCRSAVRRFEWFADGANEFLRFVEFGGTPHQYLFFDPLIRQLLAGKTLEYKLVSENKYRLFVIRPFCISENRIEARLIFDSKNASALEDDFFLCMLLQVSESVDILGIVIKCLQLFNPHFMSTAESVRNELTQLPSQDFTWVPYAESCHKKHWDNIHSITTQWFRPNPLCCKRHGQNHSCESSNLGMPSVQGVSLGPVIEVSLQCHVPVPEFREQGTIVKGKPSLKKCPHMKVDLVYTPHGSSQDLLPEIKSSVIEVINGDKQHCLHTNIALELMEEIMLPRAVDCFHENAEAKLYQMLWKSKHGGAYLQVMKATMNTRSTQRTIRGSRKAKLLQQQGHKTQHRTNAISDFLNLWSAHAPVQLQGSILDWIQKEKEAQLAPPLLRLKF >ORUFI07G01380.3 pep chromosome:OR_W1943:7:1003959:1013524:1 gene:ORUFI07G01380 transcript:ORUFI07G01380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIISGEIPEGGNMGEVVSSAVVHETVNKIISGLIDKYEQNSSAEEQMERLEMAHIKLETALETSSKWQITGGPLQRWQKKLKRAAEECDDTLRKCRQRVQEEEQAEQQVRNSSLPTRVAHATKSLISSIFHGNIDEPIRSAVRRFEWFADGANDFLRSVEFGGTPRRYLFFDPLIGRLLAGETLEYKLVQGNNQHLFWIRPNNTAERGVEAKLIFVYNDESVPVNNFFLGMMLQLSECTNIVGTAIKCLQLFAPHFKSTTETVRKELSQLPTQDFSWVPRSHSYHWDSIHSTVTEWFRPNPMCCKHRSQKVCSSGNMEKTYLPDISLESVIDVSLQCQVSLPGLKDQVTVVESKPSLKEFPHLKVHLVYTPHGSSEDLFPAVESSVIEMVNGVDQHCLHTNIALEQMEGIMLPRAVDCFRQNAGTTVYQMVWKSKHGGAYLQAVKVSKNMLRQRTIRGAKKAKLLRRHDHWTQSRIDVISDFLNLWAAHAPVQLQGSILDWTQKEKEAHDLISIVELRPPKSGRAAPQEQHDLLHTPGSGCGPPACTTALSGPAAGRLHAPPLRPPKSGRAARQEQRGLLHAPGSGCAAARLHHRAVRPGGRPPPRAPGRESYRLLKMGEVVSSALVHETVNKIISGMIDKYERKSSAQEHMDRLEMAQIKLDLALETSKKWQITSEPLLRWQKKLKRVAEECDDTIRMCRQRVQEEQEAKQVARDSFFPRRIAHATKSLISSIFYGNIDEPTRSTVRRFEWFADGANDFLRSVESGGTPRRYLFFDPLIGHLLAGEMLEYKLVQGNKQHLFWIRPNNIAERIEGMVFFVYNDGTAPEDNFFLGMILQISESTNIVGTIIKCLQLFAPHFESVTETVRKELTLLPTQDFSWIPHSRLYHWDNLHSIATEWFRPNPVCCKHHDQKVCGSGNMNMIELPDFSLESVIQVNLQCHVALPGFRERGTIVEGKSSLKEYLRGPHLNVLLAYTPHGSSESLFPSVEGSVIEVINANEQHCLHTNIALQQMEEIMLPRAVDYFHQNAKATVYQMLWKPKHGVAYLHAVKATVNILSTRRTIRGARKSKLLRQQDHKMHHRTDGISDFLSLWVAHAPVQLQGSILDWVQKEKEVQLAAPLLRLKF >ORUFI07G01380.4 pep chromosome:OR_W1943:7:997197:1003913:1 gene:ORUFI07G01380 transcript:ORUFI07G01380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAAAVAPSTTTGYGSGEARRSSGGRRSRGGKGKSLRGKEMVASTVVQEVLGGAVSYLSSNREKVSERHNLEKLEMAHAQLEHALERSSKLPITDVSLLRQRRMFKRAYEECSEVVEKCKVRILEVAEEQGVTHSYFPKQFVQAVKAMKSYVLSFLGMNKQYLLSCSHVRRFEWFAKKAGKFARDVETGRTLWHYNFFSSLIRPLLEGKHLKYDMPLQGSKTLGITIVPVSLEGRGVEALIQLNKEDSRMPLKNFCLLLILRLSESTDIVRIIINCLQLLGPHFTRLAKDAIGQLAALQHSHPQDLEPLLALQAVDYHRSFLAFRATVARPDPFCCREKRLDPCADNTISPNLPYDIPEQVIYVRCSSYISAVEYNYLYNSSNIVRDWSILKMVINISPHFSHPEANRGSYSSRGATDKCMYASLHEMQEMAVLVPKVMDCFVCQPDLGFYSLSFHSVHGYGYLCLMKPRIRSCKTNSSCRSKARRRWRRAAAPPPQPPRAWRHGEVGGGAWRGKLAAPRRDGDEEAQAQAHPPTTAAASARDQESLICPFITLGIVICDRVLMAEIVSSVVVHEAVNQIIHGLVNWNERKSSAEENMERLEMAHIRLEAALETSCKWRITDSSLLCWQKKLKRAAQECDDTLRKCRQRILEQEEAEQEVRNSSIPRRIAHATKSLVSSLFYSNIDGSCRSAVRRFEWFADGANEFLRFVEFGGTPHQYLFFDPLIRQLLAGKTLEYKLVSENKYRLFVIRPFCISENRIEARLIFDSKNASALEDDFFLCMLLQVSESVDILGIVIKCLQLFNPHFMSTAESVRNELTQLPSQDFTWVPYAESCHKKHWDNIHSITTQWFRPNPLCCKRHGQNHSCESSNLGMPSVQGVSLGPVIEVSLQCHVPVPEFREQGTIVKGKPSLKKCPHMKVDLVYTPHGSSQDLLPEIKSSVIEVINGDKQHCLHTNIALELMEEIMLPRAVDCFHENAEAKLYQMLWKSKHGGAYLQVMKATMNTRSTQRTIRGSRKAKLLQQQGHKTQHRTNAISDFLNLWSAHAPVQLQGSILDWIQKEKEAQLAPPLLRLKF >ORUFI07G01380.5 pep chromosome:OR_W1943:7:1001170:1013524:1 gene:ORUFI07G01380 transcript:ORUFI07G01380.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRKHKRTHRLPPRRALEGGNMGEVVSSAVVHETVNKIISGLIDKYEQNSSAEEQMERLEMAHIKLETALETSSKWQITGGPLQRWQKKLKRAAEECDDTLRKCRQRVQEEEQAEQQVRNSSLPTRVAHATKSLISSIFHGNIDEPIRSAVRRFEWFADGANDFLRSVEFGGTPRRYLFFDPLIGRLLAGETLEYKLVQGNNQHLFWIRPNNTAERGVEAKLIFVYNDESVPVNNFFLGMMLQLSECTNIVGTAIKCLQLFAPHFKSTTETVRKELSQLPTQDFSWVPRSHSYHWDSIHSTVTEWFRPNPMCCKHRSQKVCSSGNMEKTYLPDISLESVIDVSLQCQVSLPGLKDQVTVVESKPSLKEFPHLKVHLVYTPHGSSEDLFPAVESSVIEMVNGVDQHCLHTNIALEQMEGIMLPRAVDCFRQNAGTTVYQMVWKSKHGGAYLQAVKVSKNMLRQRTIRGAKKAKLLRRHDHWTQSRIDVISDFLNLWAAHAPVQLQGSILDWTQKEKEAHDLISIVELRPPKSGRAAPQEQHDLLHTPGSGCGPPACTTALSGPAAGRLHAPPLRPPKSGRAARQEQRGLLHAPGSGCAAARLHHRAVRPGGRPPPRAPGRESYRLLKMGEVVSSALVHETVNKIISGMIDKYERKSSAQEHMDRLEMAQIKLDLALETSKKWQITSEPLLRWQKKLKRVAEECDDTIRMCRQRVQEEQEAKQVARDSFFPRRIAHATKSLISSIFYGNIDEPTRSTVRRFEWFADGANDFLRSVESGGTPRRYLFFDPLIGHLLAGEMLEYKLVQGNKQHLFWIRPNNIAERIEGMVFFVYNDGTAPEDNFFLGMILQISESTNIVGTIIKCLQLFAPHFESVTETVRKELTLLPTQDFSWIPHSRLYHWDNLHSIATEWFRPNPVCCKHHDQKVCGSGNMNMIELPDFSLESVIQVNLQCHVALPGFRERGTIVEGKSSLKEYLRGPHLNVLLAYTPHGSSESLFPSVEGSVIEVINANEQHCLHTNIALQQMEEIMLPRAVDYFHQNAKATVYQMLWKPKHGVAYLHAVKATVNILSTRRTIRGARKSKLLRQQDHKMHHRTDGISDFLSLWVAHAPVQLQGSILDWVQKEKEVQLAAPLLRLKF >ORUFI07G01380.6 pep chromosome:OR_W1943:7:999136:1004200:1 gene:ORUFI07G01380 transcript:ORUFI07G01380.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVASTVVQEVLGGAVSYLSSNREKVSERHNLEKLEMAHAQLEHALERSSKLPITDVSLLRQRRMFKRAYEECSEVVEKCKVRILEVAEEQGVTHSYFPKQFVQAVKAMKSYVLSFLGMNKQYLLSCSHVRRFEWFAKKAGKFARDVETGRTLWHYNFFSSLIRPLLEGKHLKYDMPLQGSKTLGITIVPVSLEGRGVEALIQLNKEDSRMPLKNFCLLLILRLSESTDIVRIIINCLQLLGPHFTRLAKDAIGQLAALQHSHPQDLEPLLALQAVDYHRSFLAFRATVARPDPFCCREKRLDPCADNTISPNLPYDIPEQVIYVRCSSYISAVEYNYLYNSSNIVRDWSILKMVINISPHFSHPEANRGSYSSRGATDKCMYASLHEMQEMAVLVPKVMDCFVCQPDLGFYSLSFHSVHGYGYLCLMKPRIRSCKTNSSCRSKARRRWRRAAAPPPQPPRAWRHGEVGGGAWRGKLAAPRRDGDEEAQAQAHPPTTAAASARDQESLICPFITLGIVICDRVLMAEIVSSVVVHEAVNQIIHGLKKLKRAAQECDDTLRKCRQRILEQEEAEQEVRNSSIPRRIAHATKSLVSSLFYSNIDGSCRSAVRRFEWFADGANEFLRFVEFGGTPHQYLFFDPLIRQLLAGKTLEYKLVSENKYRLFVIRPFCISENRIEARLIFDSKNASALEDDFFLCMLLQVSESVDILGIVIKCLQLFNPHFMSTAESVRNELTQLPSQDFTWVPYAESCHKKHWDNIHSITTQWFRPNPLCCKRHGQNHSCESSNLGMPSVQGVSLGPVIEVSLQCHVPVPEFREQGTIVKGKPSLKKCPHMKVDLVYTPHGSSQDLLPEIKSSVIEVINGDKQHCLHTNIALELMEEIMLPRAVDCFHENAEAKLYQMLWKSKHGGAYLQVMKATMNTRSTQRTIRGSRKAKLLQQQGHKTQHRTNAISDFLNLWSAHAPVQLQGSILDWIQKEKEAQLAPPLLRLKF >ORUFI07G01380.7 pep chromosome:OR_W1943:7:999136:1001245:1 gene:ORUFI07G01380 transcript:ORUFI07G01380.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVASTVVQEVLGGAVSYLSSNREKVSERHNLEKLEMAHAQLEHALERSSKLPITDVSLLRQRRMFKRAYEECSEVVEKCKVRILEVAEEQGVTHSYFPKQFVQAVKAMKSYVLSFLGMNKQYLLSCSHVRRFEWFAKKAGKFARDVETGRTLWHYNFFSSLIRPLLEGKHLKYDMPLQGSKTLGITIVPVSLEGRGVEALIQLNKEDSRMPLKNFCLLLILRLSESTDIVRIIINCLQLLGPHFTRLAKDAIGQLAALQHSHPQDLEPLLALQAVDYHRSFLAFRATVARPDPFCCREKRLDPCADNTISPNLPYDIPEQVIYVRCSSYISAVEYNYLYNSSNIVRDWSILKMVINISPHFSHPEANRGSYSSRGATDKCMYASLHEMQEMAVLVPKVMDCFVCQPDLGFYSLSFHSVHGYGYLCLMKPRIRSCKTNSSCRSKARRSAGGERRRRRRSRRAHGGTGR >ORUFI07G01390.1 pep chromosome:OR_W1943:7:1015315:1018654:-1 gene:ORUFI07G01390 transcript:ORUFI07G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLLSYGAGGIKGGASTQDGDVNGTDLASLLDFKRAITNDPFGAMSSWNTNTHLCRWKGVTCDQRAHRVVALDLVGQTLTGHISHSLGNMSYLTSLSLPDNLLSGRVPPQLGNLRKLVFLDLSGNLLQGIIPEALINCTRLRTLDVSRNHLVGDITPNIALLPNLRNMRLHSNNLTGIIPPEIGNITSLNTVILQGNMLKGSIPEELGKLSNMSYLLLGGNRLSGRIPEVLFNLSHIQEIALPLNMLHGPLPSDLGNFIPNLQQLYLGGNMLGGHIPDSLGNATELQWLDLSYNQGFTGRIPPLGKLRKIEKLGLDMNNLEARDSWGWEFLDALSNCTRLKMLSLHQNLLQGVLPNSVGNLSSSMDNLVLSNNMLSGLVPSSIGNLHRLTKFGLDFNSFTGPIEGWIGSMVNLQALYLDSNNFTGNIPAAIGNTSQMSELFLSNNQFHGFIPSSLGKLRQLSKLDLSYNNLEGNIPKEVFTVPTIVQCGLSHNNLQARPDNNLQGLIPSLSSLQQLSYLDLSSNNLTGEIPPTLGTCQQLETINMGQNFLSGSIPTSLGNLSILTLFNLSHNNLTGSIPIALSKLQFLTQLDLSDNHLEGQVPTDGVFRNATAISLEGNRQLCGGVLELHMPSCPTVYKSNDFKALVYKFMPNGNLDTWLHPTSEYAGGGFLSTSGDVYNFGLVLLELLTGKRPTDPLFCNGLSIVSFVERNYPDVIDHIIDTYLRKDLKELAPAMLDEEKAAYQLLLDMLGVALSCTRQNPSERMNMREAATKLQVINISYISGM >ORUFI07G01400.1 pep chromosome:OR_W1943:7:1029344:1037683:-1 gene:ORUFI07G01400 transcript:ORUFI07G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLDFKRAITNDPSGAMSSWSWNTTAAAADHFCGWKGVTCDGERRRVAALDLAGHTLSGRISASLGNMSRLASLNLSSNLLSGPLPPQLGSLRELVVLDLGGNSLQGGILEALTNCTKLRTLDISRNHLVGDITPNIALLSNLRNLRLYSNNLTGIIPPGIGNITSLNTVILQGNMLEGSIPDELGRLSNMSYLLLGGNRLSGRIPDVLFNLSYVQEIALPYNRLHGPLPSDLGNFIPNIQQLILGANMLEGRIPDSLGNASQLQWLHLGYNQRLTGRIPPSLGKLMKLEKLGLDMSNLEARDSWGWEFLDALSNCTRLNMVSLHRNLLQGVLPDSIGNLSSTMDNLVLSNNMLSGLVPSSIGNLHRLTKLGLDFNSFTGSIDGWIGSMVNLQALYLDSNNFTGNIPASIGNISKMSELFLSDNQFHGLIPSSLGKLRQLSKLDLSLQQLSYLDLSSNKFTGEIPPTLGKCQQLETINMAQNLLSGSIPTSLGNLSILTMLNLSHNNLTGSIPIALSKLQFLTQLDLSYNHLEEYAGGGFLSTSGDVYSFGVVLLELLTGKRPTDSMFCNGLSIVSFVERNYPVVIAHIIDTYLRENCKELAPAMLDEEKAVYQLLLDMLGVALSCTRQNPSERMNMREAATKLQVIKISYISGMES >ORUFI07G01410.1 pep chromosome:OR_W1943:7:1041406:1062344:1 gene:ORUFI07G01410 transcript:ORUFI07G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCSIHCHYCLHGAPHMRARPGDAAMEEASVSPRRPWRTSSSYRLLDTLWCTSQKIRERLLKMEEVVGSAIVHETVNKIVSGFIDRCERKSSAQDNLERLEMAQIKLDFALETSNKWQITSGPLLRWQKKLKRATEECDDTIRMCRQRVQEEQEAEQVARNSFFPRRIAHATKSLISSIFHGNIDEPSRSVVRRFEWFADGANDFLRSVEYGGTPRHYLFFDPLIGHLLAGETLEYIVQGNRQLLFWIQPNNIAERGVQAMLLFVYSDGTASEGNFILGMLLQLSESTNIVGTIIKGLQLFTPHFKSTTENVRKQLTLLPTQDFSWVPQAHSNHWYNIHSIAIEWFRPNPLCCKHHGAKVCGSGNTDKIGLQNVSLEPIIEVSLKCEVSLRGFGECGTIVEGKPSIKEVPHLKVNIIYMPHGSSGDLFPTVESSVVEVINANEQHCLHTNIALQQMEQIMLPKAVDCFHQNAKARVYQMLWKSKHGGAYLEVVKATMNMTSTRRTIRGAKKAKLLRGMDQRTQRRTVVTCDFICDFLNLWAAHAPVQLQGSILDWIQKEKETDMERNFIGLNICIIPKLVQLQGVFGEIQAVLREDLSSCYRMTSPPAIVNQLNPLFTTQMYALHKSQPQKGFINKNILMSEVVVSAVISEAVSRVSTFFINKHKRKLNEEDGMERLEMARIRMEAALEISSRWPPVTDASLLRWRKKLKRTSDECSQVMERCKRRAMEDDEMEQEVRQCAFPKRIAHATRSFLSSFTGQKKVDSPITTSTIQKFERLADGASEFLRFMEFGSIGRRINYMLVDPLTGHLLAGKALRYEISQGNQHYLAAWPMSFAERGLEAGVLLWYQNHERPEKNFIFGILLRLAASTNVTGIVARCLELLPPNFKPVAEAAKQELTQVHHRALYCFPFVDSTDPEYSRRTHHSETHHARPNSACCQGHNHHGRYPEPVIKLVVRRYISAWQKPSSSSSSSSSSGHGDRRTPLLQLTAVIGPHAWLEELPPRARSVAVEAIDGREEQAVHTNVRLCEVEELLLPNAIDRLCRHEAADDGSSSSSTHEVLWQSGHGVAYFCLKKMGREMAGCRRTHWPYCGIAELSSGAAAETARWLVVAAPAGKQEYGRESAHSAEPSIYESYVKTKTDSTSPKTGMPRRTRAPWSEPSGAFLKPAGDQIVRATTYYLVPHASPEELLSRNVTVAAVAIDGREDQAMNEHWAVLFEVEEFLLPGIDSLSIAKATTTMRWMNRRRTRFSGGPTMASPTYLCVEKIGTKIAKCRPTQWLSIWRNTGGVEGRLVILLSRTDVLKDLSLSHCESIELPSSPHRFMLFTSHNRSMFEALVSSSLARVIDKNILMSEVVASAVVSEAVSRISTFFIDKHKWKLSEEDGMEKLEIAHIRMEAALEISSRWPRVTDASLLRWRKKLKRASDECCQVMDRCKRRAMEDDEMEQEVRQCAFPKRIAHATKSFISSFTGQKKVDSLITTSTIQRFERFANGAGEFLRFMEFGSIGRINYMPVDPLTGHLRAGKALQYENSHGNHYYLAARPMRFAERGQEAGVLLRYQNHERPEENFILGIMLRLAASTNVTAIVASCLELLPPNFKSVAEAAKQELTQLHQRGFYCFPFVDSTDPEYWSIHHAETHRARPNSACCDCEEHEHHGRSRSSDMVEPSGAFPEPVIKLAVQRYVSTRQIQKQSSSSSSSGFSGNSGPPLLQLTAVFAPHASPEQLLSGAESVTVVAIDGREEQPVHTNVGLHELEELLLPNAVNHLCHEAAASAHEVFWRSGHGVAYLCVENVADSLAALSSGASTKTAWWLVACGGAPARGLEEGTDHLERLEMAQIKLEVTLETSNKWRITGDPILRRQKKLWKSHVEEEEAEQLVRNSSFPMQIVHATKSLVLSICNGNIHEPNRSVVRRFEWYADGANDFLRSVEFGGIPCYYLFFDPLVGHVLAGETLEYKLVQGNSFNQFGVRAAVITKNILMSEVIASAVVGEAVSRISTFLIDNHNRKSSEEDGLERLEMAHIKMEATLEVSSRWPLAMDSSLMRWRKKLKRASDECSHVMDRCKRRAMEDDETEKISRCSFPKRIALATRSFLSSFAADKNVDSLNSTSTIQRFERFADGAGEFLKFMEFGRIGSINYMLVDPLTGHLLAGKALQYESSHGNQYYLISKPMSFAERGQEAGVLLRYNTHERPEENFVLGILLRLTASTNVTGIVARCLDSLLPSFKHVADAAKQELTQSRSSDMVEPSTTGTTFPEQVIKLFVQRHVSARPSSSGHGGDGERRRSSEDSGPPPLLQVTAIFAPHASPEELPPSGAESAAVVAIDGREEPAVRTDIGLREVDEFLLPGAIDRLCHCHDVHGTVDGSSAAAAYEVFWRSGHGVAYLCVEKMGTEMARCRPTQWRE >ORUFI07G01410.2 pep chromosome:OR_W1943:7:1041406:1062674:1 gene:ORUFI07G01410 transcript:ORUFI07G01410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCSIHCHYCLHGAPHMRARPGDAAMEEASVSPRRPWRTSSSYRLLDTLWCTSQKIRERLLKMEEVVGSAIVHETVNKIVSGFIDRCERKSSAQDNLERLEMAQIKLDFALETSNKWQITSGPLLRWQKKLKRATEECDDTIRMCRQRVQEEQEAEQVARNSFFPRRIAHATKSLISSIFHGNIDEPSRSVVRRFEWFADGANDFLRSVEYGGTPRHYLFFDPLIGHLLAGETLEYIVQGNRQLLFWIQPNNIAERGVQAMLLFVYSDGTASEGNFILGMLLQLSESTNIVGTIIKGLQLFTPHFKSTTENVRKQLTLLPTQDFSWVPQAHSNHWYNIHSIAIEWFRPNPLCCKHHGAKVCGSGNTDKIGLQNVSLEPIIEVSLKCEVSLRGFGECGTIVEGKPSIKEVPHLKVNIIYMPHGSSGDLFPTVESSVVEVINANEQHCLHTNIALQQMEQIMLPKAVDCFHQNAKARVYQMLWKSKHGGAYLEVVKATMNMTSTRRTIRGAKKAKLLRGMDQRTQRRTVVTCDFICDFLNLWAAHAPVQLQGSILDWIQKEKETDMERNFIGLNICIIPKLVQLQGVFGEIQAVLREDLSSCYRMTSPPAIVNQLNPLFTTQMYALHKSQPQKGFINKNILMSEVVVSAVISEAVSRVSTFFINKHKRKLNEEDGMERLEMARIRMEAALEISSRWPPVTDASLLRWRKKLKRTSDECSQVMERCKRRAMEDDEMEQEVRQCAFPKRIAHATRSFLSSFTGQKKVDSPITTSTIQKFERLADGASEFLRFMEFGSIGRRINYMLVDPLTGHLLAGKALRYEISQGNQHYLAAWPMSFAERGLEAGVLLWYQNHERPEKNFIFGILLRLAASTNVTGIVARCLELLPPNFKPVAEAAKQELTQVHHRALYCFPFVDSTDPEYSRRTHHSETHHARPNSACCQGHNHHGRYPEPVIKLVVRRYISAWQKPSSSSSSSSSSGHGDRRTPLLQLTAVIGPHAWLEELPPRARSVAVEAIDGREEQAVHTNVRLCEVEELLLPNAIDRLCRHEAADDGSSSSSTHEVLWQSGHGVAYFCLKKMGREMAGCRRTHWPYCGIAELSSGAAAETARWLVVAAPAGKQEYGRESAHSAEPSIYESYVKTKTDSTSPKTGMPRRTRAPWSEPSGAFLKPAGDQIVRATTYYLVPHASPEELLSRNVTVAAVAIDGREDQAMNEHWAVLFEVEEFLLPGIDSLSIAKATTTMRWMNRRRTRFSGGPTMASPTYLCVEKIGTKIAKCRPTQWLSIWRNTGGVEGRLVILLSRTDVLKDLSLSHCESIELPSSPHRFMLFTSHNRSMFEALVSSSLARVIDKNILMSEVVASAVVSEAVSRISTFFIDKHKWKLSEEDGMEKLEIAHIRMEAALEISSRWPRVTDASLLRWRKKLKRASDECCQVMDRCKRRAMEDDEMEQEVRQCAFPKRIAHATKSFISSFTGQKKVDSLITTSTIQRFERFANGAGEFLRFMEFGSIGRINYMPVDPLTGHLRAGKALQYENSHGNHYYLAARPMRFAERGQEAGVLLRYQNHERPEENFILGIMLRLAASTNVTAIVASCLELLPPNFKSVAEAAKQELTQLHQRGFYCFPFVDSTDPEYWSIHHAETHRARPNSACCDCEEHEHHGRSRSSDMVEPSGAFPEPVIKLAVQRYVSTRQIQKQSSSSSSSGFSGNSGPPLLQLTAVFAPHASPEQLLSGAESVTVVAIDGREEQPVHTNVGLHELEELLLPNAVNHLCHEAAASAHEVFWRSGHGVAYLCVENVADSLAALSSGASTKTAWWLVACGGAPARGLEEGTDHLERLEMAQIKLEVTLETSNKWRITGDPILRRQKKLWKSHVEEEEAEQLVRNSSFPMQIVHATKSLVLSICNGNIHEPNRSVVRRFEWYADGANDFLRSVEFGGIPCYYLFFDPLVGHVLAGETLEYKLVQGNSFNQFGVRAAVITKNILMSEVIASAVVGEAVSRISTFLIDNHNRKSSEEDGLERLEMAHIKMEATLEVSSRWPLAMDSSLMRWRKKLKRASDECSHVMDRCKRRAMEDDETEKISRCSFPKRIALATRSFLSSFAADKNVDSLNSTSTIQRFERFADGAGEFLKFMEFGRIGSINYMLVDPLTGHLLAGKALQYESSHGNQYYLISKPMSFAERGQEAGVLLRYNTHERPEENFVLGILLRLTASTNVTGIVARCLDSLLPSFKHVADAAKQELTQVHHRAFYCFPFVDSTDPYWSIHHSETHRARPNPACCHGQSRSSDMVEPSTTGTTFPEQVIKLFVQRHVSARPSSSGHGGDGERRRSSEDSGPPPLLQVTAIFAPHASPEELPPSGAESAAVVAIDGREEPAVRTDIGLREVDEFLLPGAIDRLCHCHDVHGTVDGSSAAAAYEVFWRSGHGVAYLCVEKMGTEMARCRPTQWRE >ORUFI07G01410.3 pep chromosome:OR_W1943:7:1062397:1069309:1 gene:ORUFI07G01410 transcript:ORUFI07G01410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWASKRNVATHGRHRGRQPWPPPPAGRSAMRQAARGPAPAAQGGPGMAFPCSILYHYCLHVAPHIRYERVRRRCGDGGGALGGRGALVRDKEGIINWRIRDLTEGLLKMEEIVGSAIVQETVNKIISGLIDRCERKSSAQDHLERLEMAQIKLEFALETSNKWQITSGPLLRWQKKLKRATEECDDTLRKCRQHIQEEDEVEQQVRNSSFPRRIAHATKTLVSSIFHSNSDELGRSSVQRFEWFADGANDFLRSVEFGGTPRRYLFFDPLIGHLISGETLEYKSIQGNKQHWFWVRPNNSAERGIEAKLFFACNDGSAPEDNFYLDIILQLSESTNIVGTTIKCLQLFTPYFESTAETVRKELIQLPTQDFSRVSHSHSYGWENIHSIATEWFRPNPLCCKHHGQKVCGSGNLHKVELTDISLEPIIEVSLLCQVSPPGFREQGTIVEGKSSLKEFPHLNVILVYTPHGSSEDLFPAVDSTVIEVINGNEQHCLHTNVPLEQMEEIMLPRAVDCFRQNAKATAYQMLWKSKHGGAFLQAVKATMNMRSTRRTIRGARKAKMLRRHDRRTHNHRHEVADFLSLWAVHAPVRLQGSLLDWIRKEKKVADAKEDAKKEQQGWGNQQSIMMSEVVASAVVGEAVSRVSTFFVDKHKRKLSEEDGLERLEMAHIRMEAALEMSGKWPPVTDASLLRWRKKLKCASEECSQVMNRCKRRAMEDDEMEQQIRRCSFPRRIAHETRSFFSSFSGDKNVDSLITTSTIQRFERFADGAGEFLRFLQFGSIGSINYMLVDPLTGPLLAGKALQFENPPGISGYYLAARPLRFAERGVVACVFLQYKNHERPEENFLLGILIRVTASTNVVGIMARCSEKLTSNFKPVTEAVRQELAQFHQRGFYCFPFVASTDPEYSRIHQSETHRARPNPACCEEEHEHHGRRRSSDMVEPLGAFPEPVIKLVVQRYVSATRHKRTSSSSSYSCSCGHANMGCSGPTLLQVTAVFAPHASPEELPSGAKSVAVVAIDGREEQAVRTNVGWREMEELLPNAVDRLCHEAAAHEVFWRSGHGVAYLCVEKMGTEMARCRPTQWRV >ORUFI07G01420.1 pep chromosome:OR_W1943:7:1069643:1078029:1 gene:ORUFI07G01420 transcript:ORUFI07G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRLISQLKMGEIVSSAVVHETVNKIISGLVDKYERKSSAEEQMERLEMAQIKLEIALETSNKWQITSGPLLRWQKKLKRAAEECDDTLRKCRQRVEEEEEVEQQVRNSSFPKRIAHATKSMISSIFHGNIDEPTVSSVRRFEWFAEGANDFLRSVEFGGTPHRYSFFDPLIGHLLAGETLEYKSVQGNKQHLFWIRPNNISERRVEAKLIFIYNDCSAPEDNFFLGMMLQLSESTNIVGTTIRCLQLFSPYFSSSTTEAVRKELTQLPTQDFSWVPRSRSVHWDSIHRVATEWFRPNPLCCKHGHKVCSSGYMDKIEFCDVSLEPVIEVYLESQIFQYSCNKQRADVQGKICSPRRPSYLKLGVFLLPHVSSTDLLPATESFAVEVINGEEQLYCHKNVTLEKLNRIMLPKAIDSFNQNAEVTAHQLLWKSKHEAAFFHVWNTRMNMSSILSTARKSTLLQQHDHLELESRADSLTTMATTTVHATDAMAGRGLSAARRGLLCRRRVRSEAAPTRGSAAQGGAIMAFPSSIRCRCCFHCEPPPYTRACAATRRCGEGRRPPLPRGGHGALDQGRQLLRIDH >ORUFI07G01430.1 pep chromosome:OR_W1943:7:1070793:1077696:-1 gene:ORUFI07G01430 transcript:ORUFI07G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEKKNGNLPSPAQATLLERNHHDGRGTNQGNVSVIPRLLLAIDHLYCRTLSCRQKCFTSKKVPDKRVKEQVTASASASPLNRTAVTKIKLVARGEETEPYLDLARRGRREEAAAFFPLRIAGSPHKHACMVAAHSGSNSGIGSRKETPLWHRLAPPIHEWARPRCGPFGGRAIPVWRRRGPCNIFVTVKLLLTIDHLYCKTLSGRQKWTNLSPKQNSNKLSWTKQIAGCDET >ORUFI07G01440.1 pep chromosome:OR_W1943:7:1079278:1079523:1 gene:ORUFI07G01440 transcript:ORUFI07G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWSSELAGRIRSCRKILEAIRSAPSPSPPSDGSVSDKGLDCGGGGDGAMPPPASSAAGGGGGGLGAETALSILVDCFGH >ORUFI07G01450.1 pep chromosome:OR_W1943:7:1080454:1084309:1 gene:ORUFI07G01450 transcript:ORUFI07G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSENVEAPRDEQRDPPPSPPNPSEEAGAGEEMEAEGEGEEEVKTLERAEELFERGSKAIEEGDFVDAVDCLSRALEIRVAHHGELAPECVSTYFKYGCALLYKAQDEADPLGNVPKSSSNKESMKSTTNKDDSGSSKTPGSNTEDAPSTDKADAEEGQNSTGKGQEEENGDSDKDDDEMVGDEDDSDLDQAWKMLDIARAIVEKSPDDTLEKAKIFSALAEVSMEREDIDNSLGDYFKALAILEKLFEPDHRRIIDLYPSCLVNIILPNYLPATCILLPYSKYKTTFATQCCSACNLHPSRLKDPRNFRICLVYELVSKISDAIPYCAKAISLCKSRIQSLKNDKDALLAGKDDNASAADGGSEKSAPEGEIEQLSGILSELEKKLEDLEQAMSTPSSVMDEIMKRIASKAGGEQNATDTMPAAASFNSSSQMAGSSNGFDSSTLSTAATTGSTGSTVTDLGVVGRGIKRANIKPISAEPPPKRAAADSLSVKGDSSNNSDVHTPAQEGDDSVSK >ORUFI07G01450.2 pep chromosome:OR_W1943:7:1080454:1084309:1 gene:ORUFI07G01450 transcript:ORUFI07G01450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSENVEAPRDEQRDPPPSPPNPSEEAGAGEEMEAEGEGEEEVKTLERAEELFERGSKAIEEGDFVDAVDCLSRALEIRVAHHGELAPECVSTYFKYGCALLYKAQDEADPLGNVPKSSSNKESMKSTTNKDDSGSSKTPGSNTEDAPSTDKADAEEGQNSTGKGQEEENGDSDKDDDEMVGDEDDSDLDQAWKMLDIARAIVEKSPDDTLEKAKIFSALAEVSMEREDIDNSLGDYFKALAILEKLNFRICLVYELVSKISDAIPYCAKAISLCKSRIQSLKNDKDALLAGKDDNASAADGGSEKSAPEGEIEQLSGILSELEKKLEDLEQAMSTPSSVMDEIMKRIASKAGGEQNATDTMPAAASFNSSSQMAGSSNGFDSSTLSTAATTGSTGSTVTDLGVVGRGIKRANIKPISAEPPPKRAAADSLSVKGDSSNNSDVHTPAQEGDDSVSK >ORUFI07G01460.1 pep chromosome:OR_W1943:7:1084949:1085548:1 gene:ORUFI07G01460 transcript:ORUFI07G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLSQRGTHVGSTCQAATSHPLSLFPLSSSFLFLLHSLSIFSSTESQPAGGERVVGARWERRFGGERRGGGGRDGRRGGGRRQAPLRGATKAKAGPGAAAVSADAGTVAVGTEACVAAVDAEAGAAAVGMEAGVAAMVVEVERAPWRRPGYGDDGFPVFFTETKCTSAPTWS >ORUFI07G01470.1 pep chromosome:OR_W1943:7:1090996:1097290:1 gene:ORUFI07G01470 transcript:ORUFI07G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPELMDDVVEEILLRLPLDDPSCAARASAVCKHWSHLLADAGFLRRYRAFHRRRAPPRLGFIYDAGSPLARFAPTTAFRPADLDHDGWKPMDCRHGRALFRTSASAVLCAVSGCDHGGCHGGPFAVAFVANYVMEGEEEEITSPTSAWLYSSETGTWSAPSTVRHHNAEPFPKPSVLAGDGAVYFLTWHGRNILRYDLRKLDLTVIASPEIDDDDFENHLLMTTEDGGMGLARLVSGHSLQLWSWKPVSAAAAWVQLRVIDLDLVIPGDAMRPRLLGFAEGTDMVFVDTTYDGAQVVQQIELSTLKVTKVLDECYASCVLPYMSFFLPGRQKGKLPPSAITLIFTLTDDVVEEILLRLPPDDPSCSARASAVCKPWRRLLSDPVFLRRHRAFHRRRAPPLLGFIHHVSDEPARRVPSFAQFVPTTAFRPAELEHKNCWPLDCRHGRALFQSSNVELTIWDPMTGDVRRQREPYGTLCTFATAAVLCAVPGCDHHDCHGGPFVLVFVGNDEDDDGEEIASASSYSSETGTWTAASTVHHDDSLELESKPSVLAGDAVHFLTYFGKAILRYDLTKLELSVILPPVAYGDGDALLMTAEDGELGLALFDGEASIHLWARVAGAGWVRRNVIDLYAVLPFFDPVHSLSLVGFAEGTDIIFLHTIHGDYRMELKPLQISKLWEKDRCFNIFPYMSFFVPGRDRNKLPSPTIIASI >ORUFI07G01480.1 pep chromosome:OR_W1943:7:1098142:1099904:-1 gene:ORUFI07G01480 transcript:ORUFI07G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHCVGGGAAGVDDLTDDLVAEILLRLRPSEPACLVRASAVCKPWRRLLTDQAFLRRYRDFHGAPPLLGFLHNVAGGGEDRYVPFTASPVSPPDIACPCWVALDCRHGRALLDEFPFSADFTVWHPMAGRRRRLPRPDLPYFMSYAAAVLCSAVGCNHLDCRGGGPFLVVVVGIDEPEQQNSRPWATVYSSDSDSWSPTTSDYLNLTLTPNCDVDRKPAALVGDALHFALAEGSGIIKYNMGECSLSRIHPPVVYKGGIVVMAMGGNLLGLGGIEGSILSLWSSDVSLDGGVRWEKNRVIKLESLLPSIDCVEAVACELAQPAPIGFVDGADIVFVRTDAGIFMIELKSMCVRKVCERGYFKAVFPYTSFCTPGVHLQQNRVQFSRKRLLELREGDVATGMQSNGKSHKGRQP >ORUFI07G01490.1 pep chromosome:OR_W1943:7:1108704:1109321:1 gene:ORUFI07G01490 transcript:ORUFI07G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSSGVAAAMAEAGMAASTAMVLLPTGELREYPRPATAARVLEDVAAAEGEEEDVGRRFFLCDADKMGFEGPVAAVAAAAELRPGQIYFVLPGEVRRRGMRREEVAALAVKASAALAAASSSSTTSGCGGGRRRRGSVAPLVFAPPEEEYEYDASDYCKSNASAAAAAAGKRRPVAARRGGGKGRQFATDLTAIPELDMITE >ORUFI07G01500.1 pep chromosome:OR_W1943:7:1113633:1115488:-1 gene:ORUFI07G01500 transcript:ORUFI07G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEIGLVLARASDLRSRISACVAAAGAREPPEGEGEGGEAVKRLCDGEEGEEEEEVESLVGISNALESLERQLASLQIDRSRGCLLNKLKEYKGQDCEVIHEAASFAGEKIEHDDGLMLPPYSNHVTNSFVLDDLYPPSYLAKLKCMHNGLGSGGTNQDVTKTNRLENRNGSMPNGNSQGGIRSFVGWLAKTAVMVVGAVSIMKAAGYEPVIGRHSIKLDMAGLFSKEATSGKDQATVQCPPGKVMVLEDGRAHCVVKERVEIPFDTNLASPNASYGLG >ORUFI07G01510.1 pep chromosome:OR_W1943:7:1115538:1116809:-1 gene:ORUFI07G01510 transcript:ORUFI07G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLELCQAESESQSSPSREYQIERPASTRGPPAGGCVMQSFGFGYALGLLTTTATRRRRRRAAATARVASALS >ORUFI07G01520.1 pep chromosome:OR_W1943:7:1116834:1121015:-1 gene:ORUFI07G01520 transcript:ORUFI07G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILEKKGRKSLPKAMKPSNTTAKHNRSKSDLEDKNAKDALCSSLKACNQPKLILQLESHLKDQQVVRGALEKALGPDPDHPAPVNLSLESPMLKVQPANELIREVATLELEIKHLEQYLLTLYRKAFDQQQQQAATVACSDAARLSVSSRCSQLLEETPKAKAAAAAAPGRRGGDAIHYSCPPGPVSKRWNNGGGAADDCSPSTCPRKTTDSSDQYSGLRSQSALSFRGVCSSRISPSEDSLARALRSCHSQPFSFLEEGEAAPSGVVSLADYLGTNVADHIPETPNNLSEEMVRCMAGVYCKLADPPLVHHRASSSPASSFSSTSVVSPQYLGDMWSPNCRKEATLDSRLINPFRVEGQKEFSGPYNTMVEVPSISRDRRRLREVEDLLQTYKLILYRLETIDLRRMTNDEKIAFWINIHNALLMHAYLKYGIPQNHLKKTSLLVKAECKIAGRTINAAVIQGLVLGCSTHCPGQWLRTLLHPRIKSKASKAGGEWQAFAIHQSEPLLRFALCSGSHSDPAVRVLSPKRLSQQLEAAREEYIRATVGVRKEQRVTLPKLVESYARDARLSPERLVDAVQRCLPESLRAAVQRCRQSRPASKVVEWAPYRHSFRYLLARDLAFPHLT >ORUFI07G01530.1 pep chromosome:OR_W1943:7:1128099:1131876:-1 gene:ORUFI07G01530 transcript:ORUFI07G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFRFGRLDGQPAKIRNVPIAVTPEGFWCCPSQAILQKSMKNQNQHARPKGGASPSVSKASSVQRTPTISLEKRTHSTPTRSRTNSDEQVLPPADDAIPDQPKVSPVPDKRHNKQHKISVGFGQLHTSDLKVMLYGREGVAVKMIVHKNILAENSTFFADKLSRQSPVSCIEVSDCEDVEIFVETVGLMYCKDVKQRLIKQAVARVLRILKVAESLGFPTCIMSCLNYLEAVPWVGDEEENVLSSIRQLHCENYGVSPLLKRVASDLTNPPSDTLAHIIELVLKSSDDRGRREMKSLVLKLLKENNIWTNGSSDSCVVTFYSSCRNCLESLSNLFRQASEPEFSEQSSDSKEVIFRQITLEADNLLWLAEILADRNAADELTSIWASQGELAKLHCRIPVMHRHLISCVTARLFVAVGKGEALPSKETRQLLLDVWLQPLMDDYNWLQHGCRWFDRKVIEEGIGQTILTLPLEDQQSILLTWLGRFLKVGDSCPNLQRAFEVWWRRTFVRPYTDQQASSSSQSRWS >ORUFI07G01530.2 pep chromosome:OR_W1943:7:1128099:1131909:-1 gene:ORUFI07G01530 transcript:ORUFI07G01530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCEACHLQCHDCWCGVVEGLLLKTSMEEFRFGRLDGQPAKIRNVPIAVTPEGFWCCPSQAILQKSMKNQNQHARPKGGASPSVSKASSVQRTPTISLEKRTHSTPTRSRTNSDEQVLPPADDAIPDQPKVSPVPDKRHNKQHKISVGFGQLHTSDLKVMLYGREGVAVKMIVHKNILAENSTFFADKLSRQSPVSCIEVSDCEDVEIFVETVGLMYCKDVKQRLIKQAVARVLRILKVAESLGFPTCIMSCLNYLEAVPWVGDEEENVLSSIRQLHCENYGVSPLLKRVASDLTNPPSDTLAHIIELVLKSSDDRGRREMKSLVLKLLKENNIWTNGSSDSCVVTFYSSCRNCLESLSNLFRQASEPEFSEQSSDSKEVIFRQITLEADNLLWLAEILADRNAADELTSIWASQGELAKLHCRIPVMHRHLISCVTARLFVAVGKGEALPSKETRQLLLDVWLQPLMDDYNWLQHGCRWFDRKVIEEGIGQTILTLPLEDQQSILLTWLGRFLKVGDSCPNLQRAFEVWWRRTFVRPYTDQQASSSSQSRWS >ORUFI07G01540.1 pep chromosome:OR_W1943:7:1140423:1149912:-1 gene:ORUFI07G01540 transcript:ORUFI07G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSCQSRLVGSPPPFAVADLSLRLASSAARRPYDGAAASMAQYHLSAQLRGHEDDVRGICICGDAGIATSSRDRTVRFWTQHPEKKHEYVLSKTLVGHSSFVGPLAWIPPSDRFPEGGLVSGGMDTLVLLWDLHKGEVVETMKGHTSQVTGLAVDNNGDIISSSMDCTVRRWRNGSVVEAQVIPQLSFGKEGHVYIHSQDMQVWALTGQPLLEMIGHTSLVYSVDAHSSGVIVSGSEDRSAKIWKDGICVQSIEHPGCIWGAKFLENGDIVTACSDGIVRIWTTDNNRFCSDEELAAFTDLISQYILSRKTVGGLKLSDLPGIEALQVPGNSDGQTLIVREGDNGVAYSWNSKELKWDKIGEVVDGPGDAAAAPGQFHDGVRYDFVFNVDIGDGEPMRKLPYNRSDDPYAVADKWLLKENLPLTYRQQVVEFILQNSGQNNFVPDPSFRDPYTGANAYVPGQSASSTVSAPKPTFKHIPKNGMLTFETAQFEGILKKLSEFNATLSSNLEQKELSLSEIELSRLAAIAKVLKETSFYHTSKLADADMTLLLKMLKSWPTQMMFPVIDFLRMFVLHPDGATLLLKAIESGNDVLAETFHKVVTPPVQPPNVLTTLKAVTNLFDKPCLHQWLRIHGMEIIDSVSSCKTTFSKNAHLAYSTLLLNYAVLSIESKDEQSQAQILSAALEIAEDDTQVADSKYRALMLNGLVKSIALDLDVKSVANTARASKDSKIAEVGADIELLTR >ORUFI07G01550.1 pep chromosome:OR_W1943:7:1151700:1152185:-1 gene:ORUFI07G01550 transcript:ORUFI07G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGSGGGGGGKLVSWLWRAPRRALCRARDFYVRSITGCAGHLPPDAAFGYGYPTFAAPTTPTMSRNSSFASSRYSAGGGGDDDMRELVRAASQRLAAERAAAAAEPATVPRSQSVAMARIDEDRPCEFAGVGLVFPRSQSCAVGAGRVGGRRGRVAAVA >ORUFI07G01560.1 pep chromosome:OR_W1943:7:1157354:1157752:-1 gene:ORUFI07G01560 transcript:ORUFI07G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPKTLLLLVLALSVILVSATAFHHHHHHDGGAEAGGGGGGGGGFFEVPWFGPPGGGGWGAWGAGYGGAGGHEMARPSTVCMEKGACYKKRLTCPEKCFKSFSFKDKHGGGGGGGGGCSFDCNKCEATC >ORUFI07G01570.1 pep chromosome:OR_W1943:7:1160586:1161716:1 gene:ORUFI07G01570 transcript:ORUFI07G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLAFSRRRRRWLAWAGASAGAYLVYHHPAVAARRRRIARVASALASLADAVADVASDLAAFLRSDSDSIPPTVRQLSKLASSPEASASASALSGALTTGVIRGYATAAAASSSGDEAAFSDRLLDRILSPSGERLASAVAGSFGSQLVLAYYSAPSDPSSGSSSPSWVDVVTTGSCRRAIRSWVEVFTATAVGVFIDKTIHINTYDQLFAAATNPSYGARLQQLLVALCNASMETLVKTSHSVLSNPNPNANSNQNGSNNGSGSGSGNGGDGEGWVETVSTVLAVPSNRRLVLDLTGRATFEAVRSFLDFVMWRLHEGARAGGDAAIGAGLCALRHMSERSMVIAAICIALCLHLLNGAWLMTRPEPASVDQL >ORUFI07G01580.1 pep chromosome:OR_W1943:7:1166957:1167318:-1 gene:ORUFI07G01580 transcript:ORUFI07G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTVAVAAALAVLLIFAASSATVAMAGRPTPTTSLDEEAAQAAAQSEIGGGCKEGEGEEECLARRTLTAHTDYIYTQQHHN >ORUFI07G01590.1 pep chromosome:OR_W1943:7:1172193:1175006:-1 gene:ORUFI07G01590 transcript:ORUFI07G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTYNQFRLIMSMSMEDNVYMQSLSSLDRYGHGNSDMQLQKEGDRRLVLGLFLEAFGIDLCICMLTHVFLSVFSLVKRETEQHAYMGVMLRNEDDGSDMQVENDIKVNRPLAIRLVIDELELAVGYTIKLALIEMGQCRMDSAPTAAANRRVHEIAYRFRDADKADGEEIDSSMLCGLEFLFSNNWRPIRFTETVAYAPNYACSFSHLLQQVTKHALSERWLIGAAALTMVRLRKAKIDFRKRFS >ORUFI07G01600.1 pep chromosome:OR_W1943:7:1179779:1180198:1 gene:ORUFI07G01600 transcript:ORUFI07G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFGLPDMDVGFGLFGVDAAAFGYDGVASDATAGLSPVVGAGDGSGGGGDVLLYCDGGGGGGGGGEDGEEERRRRLRRKISXAAQRRRADRAVPPPTLDGERRPRRRRPGPVVERRRGVVDDVGEREKAPWEGMVVA >ORUFI07G01610.1 pep chromosome:OR_W1943:7:1186116:1190985:1 gene:ORUFI07G01610 transcript:ORUFI07G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWGQGDSDSEEEEQEIESEAGSESEDEGGDAGGRSNQNRYLRTTNASDSDESDSGQRVVRSLKDKRNEELKITVDQMRNAMKINDWVNLQESFEKLNKQLEKVVRVNESTTVPNMYVKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYENLIQECREHPERFEDDDVEDKDDDDETDDDASDADIEDPEKMVMSESEEEGDDDEEGDQDGGAWEKKISKKDKLMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNMWKKCVNNMLLVLDILQQYPNIVVDTSVEPDEKETQKGADYDGQIHVTGDLVAFLERLDSEFFKSLQCTDPYTKDYVQRLRDEPLFLVVAQNVQDYLERVGNFKAEAKVALRRVELVYYKPQEVYDAMRKLAEQDEDSREDDDADADEERQVVDDNRGPPPFVVIPEVVPRKPTFPESGRALMDALMSVIYKYGDERTKARAMLCDIYHHAISDKFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLIIEAHGCLSELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTYDRRKSMNRTFRRLLEISERQTFVGPPENVRDHVMAATRALRKGDYQKAFDVINLLEIWKLLRNKEHVLEMLKLKIKEEALRTYLLSYSSCYESLSLDQLTTMFDLSEQQAHSIVSKMMMHEELHASWDQPTKCIIFHNVDQTRLQGLLFQMSDKFSVLVESNERAYEAKTGGTLEGAPPRRRGDGQDSSNLGKWQENFVSSQGRQGGGRSGYSGRVGGPGRGGGGYQRDRGSQGSRGGYGGGSRFQDGGRSRNQSGSMARGGDGGARMVSLNRSGRG >ORUFI07G01610.2 pep chromosome:OR_W1943:7:1186658:1190985:1 gene:ORUFI07G01610 transcript:ORUFI07G01610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWGQGDSDSEEEEQEIESEAGSESEDEGGDAGGRSNQNRYLRTTNASDSDESDSGQRVVRSLKDKRNEELKITVDQMRNAMKINDWVNLQESFEKLNKQLEKVVRVNESTTVPNMYVKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYENLIQECREHPERFEDDDVEDKDDDDETDDDASDADIEDPEKMVMSESEEEGDDDEEGDQDGGAWEKKISKKDKLMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNMWKKCVNNMLLVLDILQQYPNIVVDTSVEPDEKETQKGADYDGQIHVTGDLVAFLERLDSEFFKSLQCTDPYTKDYVQRLRDEPLFLVVAQNVQDYLERVGNFKAEAKVALRRVELVYYKPQEVYDAMRKLAEQDEDSREDDDADADEERQVVDDNRGPPPFVVIPEVVPRKPTFPESGRALMDALMSVIYKYGDERTKARAMLCDIYHHAISDKFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLIIEAHGCLSELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTYDRRKSMNRTFRRLLEISERQTFVGPPENVRDHVMAATRALRKGDYQKAFDVINLLEIWKLLRNKEHVLEMLKLKIKEEALRTYLLSYSSCYESLSLDQLTTMFDLSEQQAHSIVSKMMMHEELHASWDQPTKCIIFHNVDQTRLQGLLFQMSDKFSVLVESNERAYEAKTGGTLEGAPPRRRGDGQDSSNLGKWQENFVSSQGRQGGGRSGYSGRVGGPGRGGGGYQRDRGSQGSRGGYGGGSRFQDGGRSRNQSGSMARGGDGGARMVSLNRSGRG >ORUFI07G01620.1 pep chromosome:OR_W1943:7:1192920:1197342:1 gene:ORUFI07G01620 transcript:ORUFI07G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGAAAGGGFVGERRKLFVGGIPTSAQEAELRGHFGQYGAVRSVIVMRDKETGHGRGFGFVEFEEEEDAARALGDGEHPRHLICGRVVDVKRARARPQRNHDDQSSQHQHFGQGQDQGHQPAPVSGTEDGGDGMNYASKKVFIGGLRDNITEEEFKTYFESFGTVTDVVVIYDSMTNRSRGFGFVTFDSEEAVRKVIEHSFHDLKGTRVEAKIAIPKDASYYRNGRGRGSRNFGGRGHAGFDGPSYQPYNDRYGFYNSYNMPQPVPPHPYYPGVYYGMGGGYPYANAYSNMGAPANIPPGMMTRRPVYGAYPPMFPGYGVIYRGYAGAAPSIQHDSNGGSDSKKDQTSVDVQEVDSAASVATKLEFMKLGSQ >ORUFI07G01630.1 pep chromosome:OR_W1943:7:1209922:1215600:1 gene:ORUFI07G01630 transcript:ORUFI07G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSNNGNGGTNAAASGWLGFSLSPHMASSTMDEHHHVHHHQQQQQQQQQHHQQQQHGLFFPSVTTAAAAAAYGLAGDVVAATNGYYSQLASMPLKSDGSLCIMEALRRTDQDHHGPKLEDFLGAAQPAMALSLDNTSSFYYGGGGAAAAGHGQHGYLQACDLYGGPAAPSLVTAADEEAAAAAAAMASWVAARGAATAYATGAADANAAENVLPSATAAQHLHHPLALSMSSGSLSSCITAGEYGMAAVAAADGGRKRGGAGGGGQKQPVHHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLEDYQEELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKIMASNTLLPADLARRNAATTTSKDDHSAAAAGAIVSVHSAADIAVADTLWKATTAPRQQQQHHDDVVLSGADQAAFSVLHDLVAVDAAAAHHHQQQQQHMSMSAASSLVTSLSNSREGSPDRGGGLSMLFAKPSPAVAASAQQQASTKLMAAPLPLGSWVSSPPASARPPAVSIAHMPLFAAWTDA >ORUFI07G01640.1 pep chromosome:OR_W1943:7:1216629:1219349:-1 gene:ORUFI07G01640 transcript:ORUFI07G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSGFWAASRPALAAAAAGGTPVVVKMDNPNWSISEIDADGGEFLAGGRRRGRGKNAKQITWVLLLKAHRAAGCLAWLASAAVALGAAARRRVAAGRTDDADAETPAPRSRLYAFIRASLLLSVFLLAVELAAHANGRGRVLAASVDSFHSSWVRFRAAYVAPPLQLLADACVVLFLVQSADRLVQCLGCLYIHLNRIKPKPISSPAAAAAALPDLEDPDAGDYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNILVQVLDDSDDPITQSLIKEEVEKWRQNGARIVYRHRVLREGYKAGNLKSAMSCSYVKDYEYVAIFDADFQPYPDFLKRTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLNGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFIPEAELPDWVVCYIPALMSFLNILPAPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLIALAPKELKQQKILDLTAIKEQSMLKQSSPRNEAKKKYNRIYKKELALSLLLLTAAARSLLSKQGIHFYFLMFQGLSFLLVGLDLIGEDVK >ORUFI07G01650.1 pep chromosome:OR_W1943:7:1226020:1228360:-1 gene:ORUFI07G01650 transcript:ORUFI07G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRNGEHQPTRWPPGAGVEERDNASSSPASILLFALIGATATTAAFGQLRRTMSWFYTQLSRSEPYVYWEDIPRRPNRRGEAWGQYYQRMREKSEDQRERVERIRHMQDMFKKERSKCRDYRTRDGHNPSYYQNSRREEWYWDAESFYANQRTNFRSMPREAMGYTMSQHYSILGLDRSRLEPFSDAEIKNAFRRKAMEYHPDQNQHNKEFAEAKFKEVMDSYEAIKLERQNGSL >ORUFI07G01660.1 pep chromosome:OR_W1943:7:1232216:1232695:1 gene:ORUFI07G01660 transcript:ORUFI07G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAVAQNSPQDFVDLHNAARRVEGVGEVVWDDAVAAYAENYAAERAGDCALIHSGSWEKAGYGENLFGGSGSEWTAADAVNSWVGEKDLYDYDSNSCLGSWDSCLHYTQVMWSRTTAIGCARVDCDNGGVFITCNYNPAGNFQGERPFERGLTLSA >ORUFI07G01670.1 pep chromosome:OR_W1943:7:1236919:1237238:1 gene:ORUFI07G01670 transcript:ORUFI07G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQGSLAEQVSAGFGGGAAGLKAMAGSSSSWSTTRWATEISRQEWWMQITAVV >ORUFI07G01680.1 pep chromosome:OR_W1943:7:1249464:1249982:1 gene:ORUFI07G01680 transcript:ORUFI07G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVGFVLVLLAAATLAMEAGTAAAQSSPQDFLDAHNAARRGEGVDLPDVAWNATLEAFAESVVASAAAGGACDLRHTSGSGYGENLYWGPAGKAWSAADAVGLWMEEKASYVYSSNTCTKGALLDCGHYTQIVWRSTTSIGCGRAVCNNGDVLISCNYFPPGNVPNERPY >ORUFI07G01690.1 pep chromosome:OR_W1943:7:1254277:1266696:1 gene:ORUFI07G01690 transcript:ORUFI07G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGASFAVVMAAMAVVLATTSTAQAQTTATDIVNIHNAARSAVGVPALSWDDNLAAYAQGYANQRAGDCALRHSDRNNYQYGENLSWNPSVQAWTAASSVDQWVAEKGSYDYASNSCVGGAMCGHYTQVVWRDTTAVGCAAVACNANRGVFFICTYFPAGNVQNQRPMAQKAFALVLLAAATLAMAASTAAAQSSPQDFLDAHNAARRGEGAGLPDVAWSTTLQAFAESYVAQLAAATTCSLAHSNSEDLGYGENLYGPAAAGSSAATAAAAVSKWMEEKADYVYSSNTCTRGALLECGHYTQVVWRSTTSIGCASAACSNGGGPSAYWSLAS >ORUFI07G01700.1 pep chromosome:OR_W1943:7:1266755:1269958:1 gene:ORUFI07G01700 transcript:ORUFI07G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSAEAKMMVVAMAAFAMIAMATTTTAQEFSANEKAVFVQLHNNARAAVGVGPVAWNDALAAQALQHARYCQTQHIPGPYGENLWWSYGAGTTGTPADAMSYWVGEKPYYDYSSNSCGGRECRHYTQVVWRRTAYVGCARVACNTNNGIGTIIACNYYPASAKMMVVVMAAFAMIIMATTTTAQQFSEDEKAAFVNLHNSARAAVGVGRVAWNDALAAQALQHARYCQTQHIPGPYGENLWWSYGAGTTGTPADAMSYWLAEKAKYYYDSNYCSAGELGCTHYTQVVWRRTAYVGCARVACNTNGIGTIIACNYFPRGNMKNERPY >ORUFI07G01710.1 pep chromosome:OR_W1943:7:1286225:1303381:-1 gene:ORUFI07G01710 transcript:ORUFI07G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVWRQRLSGGNKLWQLNKGCVVGIGCSSNVRLAPHKNTFRKDEKPCGAEEAGLAEAKPEIEQSILATPLQRKKGGIKKIRDRKKKERGRKKERKKKAKAQQRQMHGRR >ORUFI07G01720.1 pep chromosome:OR_W1943:7:1304960:1305178:-1 gene:ORUFI07G01720 transcript:ORUFI07G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGRGTRLSAAEEVVFLLHALLYPSPRQRCATAARLCSAADGRGGGGDFWRSSGEAPPETAALEGAAQQL >ORUFI07G01730.1 pep chromosome:OR_W1943:7:1313604:1319786:1 gene:ORUFI07G01730 transcript:ORUFI07G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLESLINFASKMATSSLLVAAVLAAAAMAATAQNSAQDYVDAHNAARSDVGVGPVSWDDTVAAYAESYAAQRQGDCALEHSDSGGKYGENIFWGSAGGDWTAASAVSSWVAEKQWYDHDSNSCSAPANASCLHYTQVVWSNSTAIGCARVVCDNSLGVFITCNYSPPGNVDGESPY >ORUFI07G01730.2 pep chromosome:OR_W1943:7:1312921:1319926:1 gene:ORUFI07G01730 transcript:ORUFI07G01730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRLSCCLLVLAAAAMAATAQNSAQDFVDPHNAARADVGVGPVSWDDTVAAYAESYAAQRQGDCALEHSDSGGKYGENIFWGSAGGDWTAASAVSAWVSEKQWYDHGSNSCSAPEGSSCGHYTQVVVWSNSTAIGCARVVCDNSLGVFITCNYSPPGNVDGESPY >ORUFI07G01740.1 pep chromosome:OR_W1943:7:1330325:1332833:1 gene:ORUFI07G01740 transcript:ORUFI07G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVITKLQGCSLLRVRRSCNNGCSGHGAELGAGLRNPHNAARSDVGVGPVSWDDTVAAYAESYAAQRQGDCALEHSDSGGKYGENIFWGSAGGDWTAASAVSSWVAEKQWYDHDSNSCSAPAGSSCGHYTQVVWSNSTAIGCARVVCDNSHGVFITCNYSPPGNYNGKPPY >ORUFI07G01750.1 pep chromosome:OR_W1943:7:1335624:1336142:1 gene:ORUFI07G01750 transcript:ORUFI07G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLSSSSRLAPLCLLLLVAASLAVATPEDYLNPHNVARGNVEVPAVVWNDTVAAFAEEYAADLYAGGCHLQPSSTEDYGENLYFNSDQSSTAADAVASWVSPTLDGDWYHHDTNTCTAPAGESCGHYTQVVWYNSTDIGCATVVCETGDNTGVVVACNYWPPGNIPGESPY >ORUFI07G01760.1 pep chromosome:OR_W1943:7:1337306:1339818:-1 gene:ORUFI07G01760 transcript:ORUFI07G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAADAPFLGPDSPVHGTSQTAYVSPLALLKILVHAARDSPVAAMGVILGEEVDGFSVRVVDAFPLPRCAGGGAFTQAIDPRYIEGMLAMLNKTDRLEGVVGWYRSNPGFYGRPSNHDSVFHKAFEQLNPRAILVAVDPVKSATGNFTMNAFRSVTSYHETSSNVGALNREYYSVAEDEKPFFELDIFAQGLASVFYSILISHRKNDLEINILKSMDKMGSKGSSSEDCRSLCQFPVMSESEKKNVEEMLIDLLTKYQNEEEMQESDAPENPPDAENHLEELKNLMSACILQIFGMMLAWSSF >ORUFI07G01770.1 pep chromosome:OR_W1943:7:1362439:1368649:1 gene:ORUFI07G01770 transcript:ORUFI07G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSFGNLGGGGGAGGSGKAAASSFLQLPLSTAAAATAYYGTPLALHQAAAAAGPSQYHGHGHPHHGGGHHHSKHGGAGGGEISVAEAESIKAKIMAHPQYSALLAAYLDCQKVGAPPEVLERLTATAAKLDARPPGRHDARDPELDQFMEAYCNMLAKYREELTRPIDEAMEFLKRVESQLDTIAGGAHGGGGGGAGSARLLLADGKSECVGSSEDDMDPSGRENEPPEIDPRAEDKELKFQLLKKYSGYLSSLRQEFSKKKKKGKLPKEARQKLLHWWELHYKWPYPSETEKIALAESTGLDQKQINNWFINQRKRHWKPSEDMPFVMMEGFHPQNAAALYMDGPFMADGMYRLGS >ORUFI07G01780.1 pep chromosome:OR_W1943:7:1371775:1385945:-1 gene:ORUFI07G01780 transcript:ORUFI07G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLAYDLLAFWETTAWYVEHNPFKQRHIASQQLVHKFHLLISSSELIDFNLFKMTKHDDVVVNHYHVYQTTMSFLPLILFFFLGLNLASITNGDDNHQFVYNGFTSANNLSLDGVAMVTPDGLVELTNDGIRVKGHAFYPSPLHFRETPNGTVQSFSVSFVFGIVPTFSDLNSGHGITFVIAPSKNFSDAIAAQYFGLFNSETNGNDRGHIFAIELDTVKNTEFGDMNDNHVGIDINNLTSLQSYPAGYYEESGRFKNLTLASMEAIQVWVDYDREATRINVTMAPLAMAKPVRPLLSATYNLSGLLMERSYIGFSSSTGATSARHYLLGWSFSMNGGTVLAIDIAKLPKLPRVGPKSDPSNLLQIILPVATAAFLVAVGATVFLLVRRRMRYTELREDWEIDFGPRRFTYKDLFHATEGFQNKNLLGTGGAGRVYKGMLLGSKQEIAVKKIPQNSKESMKQFVAEIVSIGCLDHRNLVHLLGYSRRKGELILVYEYMSNGSLEKYLYGQDGRCTLDWGQRFHIIKGIASGLLYLHEEWEKVVIHRDVKPSNILLDNKMNAKIGDFGLSRLHDHGANPQTTHVVGTIGYLAPEIALTGKVTPLADVFSFGILALEITCGQKPMKQNAQGIQQTLVGWVLECWKKGSVVDAVDANLQADYDNAEAGLVLKLGLLCSHPSEHSRPNMRQVTQYLNGDMPLPETISNPGFGLFHLMQERESPTFCNACWRRLKLYTVAHNQFNSLPIPSPAMKYTFLLFLCLASFVTCSEHQFVFSGFTGSNLVVDGATTITEDGLLELTNGANNIEGHAFYPTPLRFRKSPNGTVQSFSVSFVFSILQKYANRSNDGMAFFIAPSKNFSDASLPAQYLGLLNNKNNGNRSNDLFAVELDTFQNKEFQDMDDNHVGINVNSMKSLDAHYAGFYEDRSGIFRNLTLVIHEAMQVWFDYDGDAKKINVTLAPAKLAKPKRPLLSVTYDLSTVVADSAYIGFSAATGGVVNTKHCVLGWSFRMNGPAQAIDISRLPKLPNLGSKKSHSSRILVIISPVATAVLIFLVGVLLVLCVRRRLKYTEIQEDWEVEFGPHRFSYKVLYDATEGFKDKNLLGVGGFGKVYKGVLPVSKQVVAVKCVSHESSQGMKEFVAEIVSIGQLRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDNYLYCDLTEPTLDWAQRFNIVKGVTSGLLYLHEKWGKIVIHRDIKASNVLLDKDMNARLGDFGLSRLYDHGTDPQTTHLVGTMGYLAPELVFTGKASPVTDIFAFGVFLLEVTCGQRPLNNNQQDNQPLMLVDWVLEHWQKGLLPETVDKRLQGNYNVDEACLVLKLGLLCSHPIAMERPTMSQVQRYLDGDAPLPELAPSELKFNMVALMQGQGFDSYVLPCLSLSSVVSIETSPEVDDDTASV >ORUFI07G01780.2 pep chromosome:OR_W1943:7:1371775:1388531:-1 gene:ORUFI07G01780 transcript:ORUFI07G01780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMLLLLLLLSLILNLASPTTAASGDGDGDQFIYSGFHGSNLTVDGAASITPDGLLQLTDGAAYLKGHAFHPSPVRLRRDVSTSTTTTTVRSFSVTFVFGIVSVYPDFSAHGMAFVVSPTTNLSSSLPAKYLGLTNVQNDGNASNHMLAVELDTIQSVEFRDINANHVGVDINGLQSVRAYNAGYYDDVSGEFRSLKLISRQAMQVWVDYHGGEKKQLDVTMAPLRMARPVKPLLSVTHDLSTVLADVVYLGFSAATGRVNSRHCVLGWSLGINGPAPAIDIDKLPKLPRAGPKPRSRVLEIVLPIVTATIVLVVGGAIVMVVRRRSRYAELREDWEVEFGPHRFSYKELFRATDGFADKHLLGLGGFGKVYRGVLPKSKLEVAVKKVSHESRQGMKEFVAEIVSIGRIRHRNLVQLLGYCRRKGELLLVYAYIPNGSLDKYLHSEEDKPILSWAQRFRIIKGIASGLLYLHERWEKVVVHRDIKASNILLDKDMNGQLGDFGLARLYDHGTDSQTTHVVGTMGYLAPELIRTGKASPLTDVFAFGVFLLEVTCGQKPIKEKNPQGSHIALVDWVLEHWRDGSLMDTVDGRLHGEYDAGEAALVLKLGLLCSHPFAAVRPRMGQVTRCLAGEAPLPELTPADMGFDVLAMMQDKGFDTSVVSDHYHVYQTTMSFLPLILFFFLGLNLASITNGDDNHQFVYNGFTSANNLSLDGVAMVTPDGLVELTNDGIRVKGHAFYPSPLHFRETPNGTVQSFSVSFVFGIVPTFSDLNSGHGITFVIAPSKNFSDAIAAQYFGLFNSETNGNDRGHIFAIELDTVKNTEFGDMNDNHVGIDINNLTSLQSYPAGYYEESGRFKNLTLASMEAIQVWVDYDREATRINVTMAPLAMAKPVRPLLSATYNLSGLLMERSYIGFSSSTGATSARHYLLGWSFSMNGGTVLAIDIAKLPKLPRVGPKSDPSNLLQIILPVATAAFLVAVGATVFLLVRRRMRYTELREDWEIDFGPRRFTYKDLFHATEGFQNKNLLGTGGAGRVYKGMLLGSKQEIAVKKIPQNSKESMKQFVAEIVSIGCLDHRNLVHLLGYSRRKGELILVYEYMSNGSLEKYLYGQDGRCTLDWGQRFHIIKGIASGLLYLHEEWEKVVIHRDVKPSNILLDNKMNAKIGDFGLSRLHDHGANPQTTHVVGTIGYLAPEIALTGKVTPLADVFSFGILALEITCGQKPMKQNAQGIQQTLVGWVLECWKKGSVVDAVDANLQADYDNAEAGLVLKLGLLCSHPSEHSRPNMRQVTQYLNGDMPLPETISNPGFGLFHLMQERESPTFCNACWRRLKLYTVAHNQFNSLPIPSPAMKYTFLLFLCLASFVTCSEHQFVFSGFTGSNLVVDGATTITEDGLLELTNGANNIEGHAFYPTPLRFRKSPNGTVQSFSVSFVFSILQKYANRSNDGMAFFIAPSKNFSDASLPAQYLGLLNNKNNGNRSNDLFAVELDTFQNKEFQDMDDNHVGINVNSMKSLDAHYAGFYEDRSGIFRNLTLVIHEAMQVWFDYDGDAKKINVTLAPAKLAKPKRPLLSVTYDLSTVVADSAYIGFSAATGGVVNTKHCVLGWSFRMNGPAQAIDISRLPKLPNLGSKKSHSSRILVIISPVATAVLIFLVGVLLVLCVRRRLKYTEIQEDWEVEFGPHRFSYKVLYDATEGFKDKNLLGVGGFGKVYKGVLPVSKQVVAVKCVSHESSQGMKEFVAEIVSIGQLRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDNYLYCDLTEPTLDWAQRFNIVKGVTSGLLYLHEKWGKIVIHRDIKASNVLLDKDMNARLGDFGLSRLYDHGTDPQTTHLVGTMGYLAPELVFTGKASPVTDIFAFGVFLLEVTCGQRPLNNNQQDNQPLMLVDWVLEHWQKGLLPETVDKRLQGNYNVDEACLVLKLGLLCSHPIAMERPTMSQVQRYLDGDAPLPELAPSELKFNMVALMQGQGFDSYVLPCLSLSSVVSIETSPEVDDDTASV >ORUFI07G01790.1 pep chromosome:OR_W1943:7:1383554:1389439:1 gene:ORUFI07G01790 transcript:ORUFI07G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAANARARRRPTASAPSPTNSSTASSSASAAPATPRARPPSPGAGAASGRPCPSSTSAAPRGRSPTSAVALVDGALAGYSAPTLRLLDIDVCDARLAAATHVAPWLRFAAGRVAGELSIRLRSGRYGDGAGEEEVLDLPVCGAATAIRLRLVSHLRLRPPPGGAFAALATATIQSCRVDGGELGCLVSSPQCPRLEELYLINVALVAASDVAISSTPLRRLRFGVRDTRRLDVDAPELRFLSVSNAGEACVTAGKVEEVAHTGDMDRYEYTQLGRHLRRLDIDWTSTMAAFLGRLDTVGELSLHLAFQSELSDWSRQFEKLVEEMSKLPECETLEICPAFNHSHGFLPIAMHLLRRFAGIRKLSVNLWWVKPPCPPELVSYCPCRTLTDDLFTDNNIIMLCHLEEIEIDEFRGRDEQVEFVNQLLRCNVPLLERVVFNVPSCCFPESEEIIREKIHGKLRGDKIKVRFKIRRYFSEFAHLIPQPKKRV >ORUFI07G01800.1 pep chromosome:OR_W1943:7:1394949:1411715:1 gene:ORUFI07G01800 transcript:ORUFI07G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKEAEKDCTVPLSDPRKLKGAGKKAWPLSWVVPLVSSRRPPAVTVAVPSRVRLAPEKPEKTNWSSLALTASTRLKPWNSRIWRRKESAIFMKGAMPGLFSNNVTGHVNESSKRDQQGPILMGLKWAGLEPKIIYKENSVGHIGLGVIIPTPPASKPIPPRRRLICFAGRRLLAGYLPIRRRLGQGVARQGRGWPRGHARGGGARRRRNGGCMGFLRLRGLELVISRQVQADLMTDINCSDRSHLPDKMSGVIVPKLFPDHGYCATYGSTPSLCIIASKLEFRVAGVNSGSDAVQLRVHCLWQRTPVPVLENPVNQHLSAVVRTVADRPPATGDLKKERAEGEHVGERGCLSCACQLWGYESHGSNQEHVACFDVTVDDNLVPLLMEWPCRFGHFDGSQSDIDLCGLSIVVHPHLDRLAVAAREGQVLQEPTMLVIVEADVLGFLGVEAVDVDADVVAIDIVQLGVLGGVDFHGEHVVACAVVAADVEEAQELRRLGIGEALVWGDDEGHAVGARIGVRGDDAEHEGHGEGLDYAIRLLLEPERSWVECVALDIGHAVCQLKEPCGGDHG >ORUFI07G01800.2 pep chromosome:OR_W1943:7:1394949:1413569:1 gene:ORUFI07G01800 transcript:ORUFI07G01800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKEAEKDCTVPLSDPRKLKGAGKKAWPLSWVVPLVSSRRPPAVTVAVPSRVRLAPEKPEKTNWSSLALTASTRLKPWNSRIWRRKESAIFMKGAMPGLFSNNVTGHVNESSKRDQQGPILMGLKWAGLEPKIIYKENSVGHIGLGVIIPTPPASKPIPPRRRLICFAGRRLLAGYLPIRRRLGQGVARQGRGWPRGHARGGGARRRRNGGCMGFLRLRGLELVISRQVQADLMTDINCSDRSHLPDKMSGVIVPKLFPDHGYCATYGSTPSLCIIASKLEFRVAGVNSGSGASPSRYCMTCRMIGLAPGIGCEHISPSACLIDVVDAVQLRVHCLWQRTPVPVLENPVNQHLSAVVRTVADRPPATGDLKKERAEGEHVGERGCLSCACQLWGYESHGSNQEHVACFDVTVDDNLVPLLMEVE >ORUFI07G01800.3 pep chromosome:OR_W1943:7:1402035:1411988:1 gene:ORUFI07G01800 transcript:ORUFI07G01800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCREKQCRSIWAEPASMFELASFFQTDINCSDRSHLPDKMSGVIVPKLFPDHGYCATYGSTPSLCIIASKLEFRVAGVNSGSGASPSRYCMTCRMIGLAPGIGCEHISPSACLIDVVDAVQLRVHCLWQRTPVPVLENPVNQHLSAVVRTVADRPPATGDLKKERAEGEHVGERGCLSCACQLWGYESHGSNQEHVACFDVTVDDNLVPLLMEVE >ORUFI07G01800.4 pep chromosome:OR_W1943:7:1402035:1413569:1 gene:ORUFI07G01800 transcript:ORUFI07G01800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCREKQCRSIWAEPASMFELASFFQTDINCSDRSHLPDKMSGVIVPKLFPDHGYCATYGSTPSLCIIASKLEFRVAGVNSGSGASPSRYCMTCRMIGLAPGIGCEHISPSACLIDVVDAVQLRVHCLWQRTPVPVLENPVNQHLSAVVRTVADRPPATGDLKKERAEGEHVGERGCLSCACQLWGYESHGSNQEHVACFDVTVDDNLVPLLMEVE >ORUFI07G01800.5 pep chromosome:OR_W1943:7:1402014:1413301:1 gene:ORUFI07G01800 transcript:ORUFI07G01800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCREKQCRSIWAEPASMFELASFFQTDINCSDSSQTSIYIHHRINPITVTCTDLQLIKIHCTSTSKVSSSRQDVWCHCAQAIP >ORUFI07G01800.6 pep chromosome:OR_W1943:7:1394949:1413338:1 gene:ORUFI07G01800 transcript:ORUFI07G01800.6 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKEAEKDCTVPLSDPRKLKGAGKKAWPLSWVVPLVSSRRPPAVTVAVPSRVRLAPEKPEKTNWSSLALTASTRLKPWNSRIWRRKESAIFMKGAMPGLFSNNVTGHVNESSKRDQQTDINCSDRSHLPDKMSGVIVPKLFPDHGYCATYGSTPSLCIIASKLEFRVAGVNSGSGASPSRYCMTCRMIGLAPGIGCEHISPSVNTRHASSTL >ORUFI07G01800.7 pep chromosome:OR_W1943:7:1394197:1398549:1 gene:ORUFI07G01800 transcript:ORUFI07G01800.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTAKLNGPQWAFISFGFVDTPTPAATPASKPFRRAAAYPLRRPAAAHCLPRRTGSAREYSHAAEACLLIRSTALLASPAAHDGDALRGRPPSLDFFGSGVAAKMWLLALPLLSMLVSPMYCAASAVDRLLLGATTKAMPWQLRLG >ORUFI07G01800.8 pep chromosome:OR_W1943:7:1408518:1413569:1 gene:ORUFI07G01800 transcript:ORUFI07G01800.8 gene_biotype:protein_coding transcript_biotype:protein_coding MCREKQCRSIWAEPASMFELASFFQTDINCSDRSHLPDKMSGVIVPKLFPDHGYCATYGSTPSLCIIASKLEFRVAGVNSGSGASPSRYCMTCRMIGLAPGIGCEHISPSACLIDVVDAVQLRVHCLWQRTPVPVLENPVNQHLSAVVRTVADRPPATGDLKKERAEGEHVGERGCLSCACQLWGYESHGSNQEHVACFDVTVDDNLVPLLMEVE >ORUFI07G01810.1 pep chromosome:OR_W1943:7:1416438:1430267:-1 gene:ORUFI07G01810 transcript:ORUFI07G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYTLPIILFLTISISLLAISASGDHDQFIYTGFTGSNLTLDGAAKITATGLVGLTNDSFRIKGHASHPAPLRFRKGHGFAFFIAPSNDFSTAFPIQFLGLLNDINNGSSTNQLFAIELDTIRNDEFGDIDNNHVGIDINSLNSVRSSYAGFYNDNNGALTNVSLIGDKPMQVWVEYDGNATQIDVTLAPLGIGRPKRPLLSVVYNLSNVLPDQAYLGFSSSTGLSTGHHYVLGWSFGLNIPAPIIDPTKLPKLPNLSPKPQSKLLEIVLPIASAIFVLAIGVAIIVLPIASAIFVLAIGVAIVLLVRRHLRYKEVREDWEVEYGPHRFAYKDLFDATKGFKNKNLVGTGGFGRVYKGVLPNSRLEVAIKQVSYESKQGIKEFIAEVVSIGHLQHRNVVKLLGYCRRKGELLLVYDYMANGSLDKYLYQQEGKPTLNWGQRFQIIKDIASGLLYLHEEWDKVVIHRDVKASNVLLDKQLNGRLGDFGLARLYDHGTDPQTTHVVGTIGYLAPELVHRGKATTLTDVFSFGIFILEVTCGQKPIKEDSQGRQLILVDWVLQNWTQGSLLDTVDIKIQGNYDIGEACLVLKLGLMCSHPFPNVRPNVRQVMQYLDGDVPLPELKPEHFSFDMLALIQKQNEAPLKPQGLGEHPISNSALVEVLQVANIPHMEHPSFLLPLIALALNLAIAMSEDQFIYSGFFGRNLTLDGAATVTDDGVLELTNRTVHIKGRAFYPTPWQFRKTPNGTVQSFSINFVFGMIPVYSNEKCTDGMTFVISPTSDMSSAQDSQYLGLLNKTSDGKASNHIFAVELDSSQNTEFHDIDDNHVGIDINNLTSVQSRPAGFYSDNKSIFNNLSLCSYKPMQVWVDYDEETTRIKVTMAPIEVGKPLRPLLSEIHNLSLVLEEPSYIGFSASTGPINTLYCVLGLSLGINRPAPAIDISKLPKLPRVSPRPRSKLLEIILPIATATFILIVGTTIVLLVRRRMRYAELHEDWEAEFGPHRFSYKDLFHATDGFKNRNLLGLGGFGKVYKGVLPTSKLHVAVKRVSHDSKQGMKEFIAEIVSIGRLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDKYLYCEDSKPTLDWAQRFQIIKGVASGLFYLHDRWEKIVIHRDVKASNVLLDGEMNSRLGDFGLAKLYDHGADPQTTHVVGTMGYLAPELARTGKATPLTDVYAFGIFILEVTCGQRPIDNYADDNSQMLIDCVVEHWHKGSLTNMLDKRLLGDYDADEFSCFNTFTSYARVLLLDLFFFFLFISNLSALATGEDQFVYSGFNGANLTLDGVASVTPDGLLKLTNGTLRLQGHAFHPTPFSFKKKPNGTVNSFAVSYIFAIYCLRPVICGHGIAFVVSASKNFSTAMASQYLGLINDHNNGDPTNHFFAIELDTNQNDEFNDVNNNHVGIDINSLTSVNSSSAGYYTDNNGNFNNITLTSYKMMQVWLEYNGDSRQINVTLAPIKMAKPVKPLLSTYYNLSTVLTDMAYVGFSSSTGSFVTRHYVLGWSFGINKPAPAIDISKLPKLPYEGEKPQSKVLEITLPIATATFVLAMITLIILLIRRRLRYTEVREDWEVEFGPHRFSYKDLFCATEGFKNKNLLGIGGFGRVYKGLLPTSKLEIAVKRISHDSNQGMKEFVAEIVSIGHLQHRNLVQLHGYCRRKSELILVYDYMPNGSLDKHLYGQENSSTLTWGQRFQIIKGIASGLLYLHEEWEKVILHRDIKPSNILLDDNMNGRLGDFGLARLYDHGADPQTTHVVGTIGYLAPELARTSKATPLTDVFAFGMFVLEVACGRKPIDHTAQDNQLMLVDWVLHCWHQGFLNDAVDIKLQGVYNIDEACLALKLGLLCAHPFINKRPSMRHVTQILNREMELPELTPTHMSFNMLSLMQNQGFDPETMTNQFLISNSTLSDLSETKTLVEIRARQQDLP >ORUFI07G01820.1 pep chromosome:OR_W1943:7:1437627:1439259:1 gene:ORUFI07G01820 transcript:ORUFI07G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPEIQELIHHPYDGRVTSFVVLTCVTACLGGIIFGYDIGVSGNHPPTHHSPSLPPTHHRRRARHERRDTIGGAGGVTSMDAFLERFFPEVYRRMHGGGERVSNYCRFDSQLLTAFTSSLYVSGLATTFLASHVTARRGRRASMLVAGAAIAAGATVGASAAGLATVILGRVLLGVGVGFGNQAVPLYLSEMAPPSRRGAFSNGFQLCISVGAFVAERPARRGPRQGAR >ORUFI07G01830.1 pep chromosome:OR_W1943:7:1442213:1448576:-1 gene:ORUFI07G01830 transcript:ORUFI07G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIPAISASGDHDQFIYTGFTGSNLTLDGAVKITATGLLGLTNDSFRIKGHASHPAPLRFRKYKEVHEDWEVEYGPHQGLLELTNGTVNQKGHAFHPTPFRLRKPPNSAVQSFSASLVFGIVVSPLLHASTEGMAFFLAPSSNFSDALPAQYLGLFNYSNNGNLSYHVFAVEIHTAQNNEFMDIDGNHVGIDICDLHSATSSSAGYYDDITGSFRNLSLISGEAMQIWINYDGEATWIDVALAPFKMARPTKTLLSMSYNLSAVLTNVAYVGLSAATGQIESRHYILGWSFSMNGPAPPFFTAHLPNLPKAPHLLPLISPLATPTFVFLVILAIFFFVRRRLRYAELREDWEIEFGPHRFSFKDLYLATEGFKNSHLLGTGGFGRVYKGLLSKSKSQIAVKRVSHESRQGIREFVAEVVSIGRLRHRNIVQLLGYCRRKGELLLVYDYMPNGSLDNYLYGHSNRPILDWIQRFRIIKGVASGLWYLHGEWEQVVIHRDIKASNVLLDEEMNARLGDFGLARLYDHGTDMQTTRLVGTIGYLAPELLQNGKASPLTDVFAFDIFVLEVTCGRRPIEHKMNSDQLKLVDWVIDCWNERSLLEAMDPKLQNEYDADEAFLALKLGLLCSHQSPAARPSM >ORUFI07G01840.1 pep chromosome:OR_W1943:7:1448582:1450549:-1 gene:ORUFI07G01840 transcript:ORUFI07G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLACFFLFLTLKLTSFTTANSGQDQFIYNNGFSGSNLILSGTAMVTPNGILELTNGTNTYNASYALYPTPWQFRKVPFGAVQPFSVIFVLNMVPGNMCANTMAFMIFPSKDLEYGQSSSKLAINLVSCQDKRFLENNENDISISINNSFSRPPETHPAGFYDDKNGIFNDLPLVGGKSVQIWVDYDGDATKIKVTIAPLKLTKPLRPMLSTIVNLSTILDEGVSYIGFSSGANRVGTLNYILGWSFGMNSPALTIDITKLPKLPRFGPKVHSKTLKIILPIVITTVILLMGAAVTALVWRRMRYAELYEDWEVEFGPYRFSYKYLFDATEGFRNENILGVGGFGKVYRGVLPNSKLEVAIKKVSHESKQGIKEFIAEIISIGRIRHRNVVQLLGYCRRKGELLLVYDHMPNGSLDKYLHYKDDKSTLDWGQRFHIIRGVASGLLYLHEKWEKVVIHRDIKASNVLLDAEMNGHLGDFGLARLYEHGNDPQTTHVAGTFGYIAPEMARAGKASPLTDVYAFGIFVLEVTCGRRPINNYTHDSPTILVDWVVEHWQNGSLTSTLDVRLQGDHNADEVNLVLKLGLLCANPICSRRPGMRQVMQYLDNEMPLPELMPTNLSYSMLGYLQNDGFDQYKSVPSTVCSNNLTSSLTSGR >ORUFI07G01850.1 pep chromosome:OR_W1943:7:1464990:1466921:-1 gene:ORUFI07G01850 transcript:ORUFI07G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTKLILFFLLLFLITLNLSASSTGGDHERFIYSGFTGANLTMDGSAKIIPTGLLALTKDIYQTKSHAIDPDPIRISQSNGTVRSFSVSFVFGILSRSSGSRSSHGFAFFIAPTKNFSSALPSQYMGLLNIANNGNLSNHLFAIEFDTVRNLELSDINDNHVGIDINSLNSEQSYYAGFYDDKSGTFTNLSLTNGGPIQVWVEYDRNTTQTNVTIAPLGIAKPMRPLVSVTHDLSTVFTNQSYLGFSSATGATTSHHYILGWSFGMNSPAPFIDSTKLPKLPGPLDSGPRTRSILLILPPIGSILLVSIAVMVVVLLARRNLRCKEVREDWEVEYGPRRFAYQDLYRATRGFKNKNLVGIGGFGKVYKGVLPISKLQVAVKRVSYDSKQGIKEFIAEVVSIGNLQHRNIVQLFGYCRCKGELLLVYDYMENGSLDKHLYNFEGQPTLDWAQRFKIIKDIASGLLYLHEDWDKVVIHRDVKASNVLIDKETNARLGDFGLSRLCDHGSNLHTTNVVGTIGYLAPELIHTGKATTLSDVFGFGIFLLEVACGQKPIKINSEGSHLVLADWVVENWHKGSFLDTMDTRLQGNYNIDEACIVLKLGLLCSHPFPNARPNMRQVLQYLDGNVKLPELHRAHFSYEIN >ORUFI07G01860.1 pep chromosome:OR_W1943:7:1468871:1470971:1 gene:ORUFI07G01860 transcript:ORUFI07G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLGDDGELSQASALLLIVLVAVYVAGFAWSWGPLGWLVPSEIFPLEVRSAGQSIAVAVNFLLTTAVAQSFLAMLCHMKAGIFFFFAAWLVAMTAFVYLLLPETKGLPIEQVGKLWARHWFWRRFVMTGINAIAFYAPVLLRTVGMGESAALLAVVIKQVVGIGATLASMLAVDRFGRRTLFLAGGAQMVISQLLIGAIMAAQLGDDGELSQASALLLIVLVAS >ORUFI07G01870.1 pep chromosome:OR_W1943:7:1471415:1473306:1 gene:ORUFI07G01870 transcript:ORUFI07G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAPEIQELIHHPYDGRVTSFVVLSCVTACLGGIIFGYDIGVSGGVTSMDAFLERFFPEVYRRMHGGGERVSNYCRFDSQLLTAFTSSLYVSGLATTFLASHVTARRGRRASMLVAGAAIAAGATVGASAAGLATVILGRVLLGVGVGFGNQAVPLYLSEMAPPSRRGAFSNGFQLCVSAGAFVAQLINFGAEKIAGGWGWRVSLAVAAVPATFLAVGAVFLPETPNSLVQQGEEHGKVRALLSKIRGSDGAGVDDELDDIVAADRCKVTARRGLALMLTQRRYRPQLVMAVMIPFFQQMTGINAIAFYAPVLLRTVGMGESAALLAVVIKQVVGVGARLASMLAVDRFGRRTLFLAGGAQMVVSQLLIGAIMAAQLGDDGELSQASALLLIVLVAVYVAGFAWSWGPLGWLVPSEIFPLEVRSAGQSIAVAVNFLLTTAVAQSFLAMLCHMKAGIFFFFAAWLVAMTAFVYLLLPETKGLPIEQVGKLWARHWFWRRFVVTDSGDGEEQGGAIDADKL >ORUFI07G01880.1 pep chromosome:OR_W1943:7:1487102:1490496:1 gene:ORUFI07G01880 transcript:ORUFI07G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVVLLLLAAALPMSCSNDTALTALLAFRAQVSDPLGILRVNWTTGTSFCSWIGVSCSHHRRRRRVVAALELPNIPLHGMVTPHLGNLSFLSFINLTNTGLEGPIPDDLGRLTRLRVLDLSRNRLSGSVPSSIGNLTRIQVLVLSYNNLSGHILTELGNLHDIRYMSFIKNDLSGNIPENIFNNTPLLTYINFGNNSLSGTIPDGIGSSLPNLEYLFLHVNQLEGPVPPSIFNKSRLQELFLWGNYKLTGPIPDNGSFSLPMLRWIDLHWNSFRGQIPTGLAPCRHLERINLIHNSFTDVLPTWLAKLPKLIVIALGNNNIFGPIPNVLGNLTGLLDLELAFCNLTGVIPPLSRLHLSHNQLTGPFPAFVGNLTELSFLVVKSNSLTGSVPATFGNSKALNILVNFFAFGNQLTGGIPASLSNLSALNLLDLSYNQMSNIIPESIMMLKNLRMLDFSGNSLSGPIPTEISALNSLERLLLHDNKLSGVLPVGLGNLTNLQYISLSNNQFSSVIPPSIFHLNYLLVINMSHNSLTGLLPLPDDISSLTQINQIDLSANHLFGSLPASLGKLQMLTYLNLSYNMFDDSIPDSFRKLSNIAILDLSSNNLSGRIPSYFANLTYLANVNFSFNNLQGQVPEGGVFLNITMQSLMGNPGLCGASRLGLSPCLGNSHSAHAHILKFVLPAIVAVGLVVATCLYLLSRKKNAKQREVIMDSAMMVDAVSHKIISYYDIVRATDNFSEQNLLGSGSFGKVYKGQLSDNLVVAIKVLNMQLEEATRSFDSECRVLRMARHRNLMRILNTCSNLDFRALLLEFMPNGSLQKHLHSEGMPRLGFLKRLDTMLDVSMAMDYLHNQHYEVVLHCDLKPSNVLFDDEMTAHVADFGIAKLLLGDESSMVSVSMLGTIGYMAHEYCSMAKASRKSDVFSYGIMLLEVFTGKMPTDPMFAGELSLREWVHQAFPLRLTDVVDSNLLQDCDKDCGTNHNDNAHEDAASSRLITDLLVPIFEVGLMCCSHAPDERPTMKDVVVKLERIKRDYADSTGSQRTE >ORUFI07G01890.1 pep chromosome:OR_W1943:7:1491183:1498793:-1 gene:ORUFI07G01890 transcript:ORUFI07G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIKIITKTFFLFLALSHKFFAAAGEEERFLYSGFSGTDILVNGMAMVTPNGLLQLTNGTAQSKGHAIHPTPLRFHEHGSNGTRVRSFSASFVFAIRSIAPGVSAQGLTFFVSPTKNFSRAFSNQFLGLLNKKNNGNTSNHIFAVELDTVLNNDMQDINDNHVGIDINDLRSVDSYNAGYYDDKNGTFCNLTLASFDAMQVWVDYNGERKLISVTLAPLHMAKPARALLTTTYDLSQVLKNQSYVGFSSSTGILDTHHYVLGCSFGMNQPAPVIDVKKLPKLPRLGPKPQSKLLIIILPVATATLVLAIVSGIVVLRRRQMRYAELREDWEVEFGPHRFSYKDLFHATEGFKDKHLLGIGGFGRVYKGVLTKSKSEVAVKRVSHESRQGMREFIAEVVSIGRLRHKNIVQLHGYCRRKGELLLVYDHMPNGSLDKYLHNHDNQQNLDWSQRFHIIKGVASGLLYLHEDWEKVVVHRDIKASNVLVDAEMNGRLGDFGLARLYDHGSDPQTTHVVGTMGYIAPELARMGRASVLTDVFAFGMFLLEVTCGQRPIMQSEEQDCPIMLVDLVLLHWRNESLIDVVDKRLQNEYNIDEACLALKLGLLCSHSLPSARPNMRQVMQFLDGDISFPDEVLAQLLSHEGQEHIIVSSPPPSTSFGFHRAMSGMNRSSFLPLFFIIIVIKLGIGLLPSFTTAVDDGQFVFNGFLNSSLTVDGAAMVLPGGLLQLTNGTGMMKGHAFHPTPFRFRESPGTTLHSFSMSIVFGIISAYREVGTNGMAFLIVPSSNFSDANVAQHLGLFNYKNNGNMSNHVFAVEIDTVRNNEFMDIDSNHIGIDISDLRSVNSSSAGYYDDNTGGFQNMSLISGEAIQIWIDYDARAMRIDVALAPFKMAKPTKPLLLMSYNLSMVLTDVAYVGLSAATGPLETSHYILGWSFSMNGSAPSFLTAQLPDLPRRGTDRKGSRRSKVLLIILPIATATSAVAVSLAVFLFVRRWFKYAELREDWEIDFGPHRFSFKNLYFATEGFKNRHLLGTGGFGRVYKGFLFESKLQIAVKRVSHESRQGIREFIAEIVSIGRLRHRNIVQLLGYCRRKGELLLGVASGLWYLHGEWEQVVIHRDVKASNVLLDEEMNARLGDFGLARLYDHGTDMQTTHLVGTIGYLAPELANTGKASPATDVFSFGIFVLEVACGRRPIEHGMNSEYKFTLVDWVIDRWHEGSLLEVMDPKLQNGYDDDEACLALKLGLLCSHPSPIARPTMWHVMQYLNHDLPFPELMAMDMVRNQWVDSPIEYCQSVASDGTMSGLSEGR >ORUFI07G01900.1 pep chromosome:OR_W1943:7:1502063:1502434:1 gene:ORUFI07G01900 transcript:ORUFI07G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAHRRYLLYAHNHLHIVDDLLSHHAGPAELAGATRTPPPSVCTGLTPGPAELQERCRIWAYAARSDRPRAALVVELGEETPLARGRRPLALGGGGKPPDTPDLEKRREGEKRKDEEGDGKR >ORUFI07G01910.1 pep chromosome:OR_W1943:7:1503518:1504964:1 gene:ORUFI07G01910 transcript:ORUFI07G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLLPCTHAHLPLPLFFSILLLIFSMEVAHSDARRLPLKLLEVGNIKEESDETIGEKMEMEMEGRRLIGSRPPRCERVCMSCGHCEAVQVPIVPQVIQKTQTKAAAAAAAEQEQHVVVSATAISAAVFTYRVNGLSNYKPLSWKCKCGGIILDP >ORUFI07G01920.1 pep chromosome:OR_W1943:7:1510597:1512615:1 gene:ORUFI07G01920 transcript:ORUFI07G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDKLVFNGFSNSSLVLDGSAAVLPNGILKLANGSSYAYTKGHAFFPSPIQLRNSTDGSIFSFSATFIFAMLHTLPLEEGDGIAFFLAAHTNFTGTGISGDFGLPAEDDNGKSLDHILSIELDTLHNERFGDIDDNHVGININSLNSSQSSPAGYYTDEPYSILHPLRLKSGEEMQVWIDYDHRRMQLNVTLAPVPMAKPKRPLLSATDHNLSKLLLDHMYVGFSSSSSAALYISGHVHGHFIAGFCFRLDGKPASLQYSKLPKINKSDLPDHDTYYGTGRGSSIHWPDFLTSLPLIYASALGGAISMPVIIYLIVRRCRRYQELHEDWEVEFGPHRFSFKELFKATNGFVDKQLLGVGGFGKVYKGVLPSSKLEVGVKVMSHDSKQGMKEFVAEVVSMGRLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDKYLYDQDKPSPNWIQRFEIIKGVASGLLYLHEEWEQVVIHRDVKASNVLLDSEMNGRLGDFGLARLHDHGVDAHTTCVAGTRGYISPELARLGKATKATDVFAFGAFILEVACGRRPIGMNSSGELQVLVDIVLRFWQRDLILCMLDPRMGGEFVTEETELVLKLGLLCSHPSPASRPSMRLVMQYLCGDSLLPEMPESYWSIRSFSEMQVEGDQLDENPLRQYLSVQTSITGLSGGR >ORUFI07G01930.1 pep chromosome:OR_W1943:7:1530463:1530816:-1 gene:ORUFI07G01930 transcript:ORUFI07G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQRVGPGTRAPPPAPTLPNSNRVVATHLSGYCAYLVACYPDLLPDDDGRQGGCRESPRRRPSWRRSTRSWSVRLLSAGCRHKVLRNGAQLAEQLYCWPALVQSQTQNQQEEEDKV >ORUFI07G01940.1 pep chromosome:OR_W1943:7:1530877:1533664:-1 gene:ORUFI07G01940 transcript:ORUFI07G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIRERCYNKDDYAFRIVLTKLNQPGIEIISPMDDDISSFLRNCSDDADEVRWLFPKHAKWEIWRSSELSVNGERHWTVLVQIAAINTTAVVAADARELGRSIAPSALLLIHAIWTCYLWGAFFMARQSFALGRNPRLVLGYMKDVLPLHDVASSGPSDHVPPPPLLVMGEDTVNVQKRPNSYTVNNNNNNNNNNNQQRAGLVTLDKIWQLMDDKNSLTRRTVQGQGLLKLKDVCLSFALFKLLRCRFAKYTADELKFTWVENFIWQGLLLISSSTHDGGSSSSSRRVFKVIEDELSFIHDYYYSSIPTFYSPNTCWLPILIFSTSLFTLAYSLCLAVLSTMLGWNPIDSQLYMVYFAMQKSRARDIASLFCSNWTEVVLICRYVVHKTVMVMGWMLTILRCSGCKLVNNPWKDEMNLDLQLNPGDDASSNKVVDANIVSSSPAPHPLSAAAARENQERQGAKSSIIAKLIRSSKGSPPADQRHGVSAPPERAAGQRQPALGMLIFFFFFLF >ORUFI07G01950.1 pep chromosome:OR_W1943:7:1537324:1539384:1 gene:ORUFI07G01950 transcript:ORUFI07G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTISLLLLLLGLSILAMNSTAATTNNGQFIYTGFAGANLTLDGVATVTPAGLLQLTNGTGALKAHAFHPDPLRFRDLPVAIGGGSGSGNGNDVHSFSVSFVFAILSIYPNLSSHGMAFFVSPTNNLSAAAPRSYLGLFSNKTDGDMANHLFAVELDTIQNTDFMDINNNHIGVNINSIRSVRSYPTGYYDDGDNGNLKNLTLNSHEPMRIWIDYDQETTRIDVTVAPLEISKPKRPLGSVTYNLSTVLTDSAYVGFSSSSGDIDSQYYVLGWSFAMNGAAPAIDISKLPKLPREGPKSSSKVMEITLPIATAMFVLVIGVIVLHLLRRRSRYAELREDWEVEFGPHRFFYKDLFDATQGFKNKYLLGSGGFGSVYRGVLKSSNMEVAVKRISHESRQGIKEFIAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDKYLHGQEDKNTLDWAHRFHIIKGIASGVLYLHEEWDQVVVHRDIKASNVLLDSDMNGRLGDFGLAKLYDHGIDPQTTHVVGTMGYLAPELARTGKASPLTDVFAFGAFLLEVTCGRRPVEHNRQDNRVMLVDRVLEHWHKGLLTKAIDERLQGEFDTDEACLVLKLGLLCSHPVPQARPSMRQAMQYLDGDMKMPELIPANLSFGMQAMMSNEGFDSYMMSYPSSSMVSHGTFMSGLSGGR >ORUFI07G01960.1 pep chromosome:OR_W1943:7:1541708:1544032:-1 gene:ORUFI07G01960 transcript:ORUFI07G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEACPGEDWRVVPNLPEATAYINGKLVTLNVVVVGTSIYAGILVGIGLYRPRYRNHHAFVRLLIYGDGANTLFLPIISYLLSLDASMDSVLRPDPGFLQLTSYYIERSLLTELLLIWACLIQLLQTVGISNAATVAADDREAGRNTGLPVAPLLQTIWAAYVVLSQTYLSFHFYADVSELVLLGAFALMFARLVLKCFAFLMAQRSLALRLNPRLIVGYIKQLLQEEDSQSHGQPPQLIVMGEEREQVDEQPHGFYLKRLMMMMPRPSSQQEASMNKDNHLVTIDKIWQLEELEETSKDLCLSFALFKMLRCRFAGYTADETGYARAHDFIWQLLRSTSHDRLLGVITNELSFLHDFYFSSVPISYSKRCLPILSLLISLFTICYCLLVGIRVTYRYSYPDPGTDPDKYWSQLVIERDCRNGTTGGDSSSSSDFVFAGSSLYDLVPIFALLALVMLAEVREVASCICCNWGKVALICRQLYSNKQLLMLYPAVLRFRCNLLNPLEDKMRQCSIILPFYSRKHIITALHHLLIGKFTKNVKVPAAVKAAIVNALGSNNGQLSNGVGFLLQLQDHGGREFLWACIDGKATSDVILAWHIATSILEMKLVQGQQNANSSDNQIIAATHLSRYLAYVVKCWPELLPDDDKWSKRLYDDVSKDSMRVLAMARRGGDGGYKKVMELLTEKSEHEVVKNGVRLGRQLMELEDEAAAWRLLAGVWSEMILYVAPSENLSAHSDAIARGGELITVVWALLMHLGIYTRPSADANSVLSIIHV >ORUFI07G01970.1 pep chromosome:OR_W1943:7:1549481:1549952:-1 gene:ORUFI07G01970 transcript:ORUFI07G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWSTSRKTNGSGGNYCKGCQDRDRLIDELEDERRRLARMTTDNKLGGMTSPKTEAPTGRRWGNYFEYDPPKNFDELVKRYEERRSFNRMVITGAVSAYCIALFILSSMRNEVKEDLRNLCNNYD >ORUFI07G01980.1 pep chromosome:OR_W1943:7:1551531:1553237:-1 gene:ORUFI07G01980 transcript:ORUFI07G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFSRLLCFLLLLLLIFLIEVAVGGVDDGFVYSGFSSSSSNLVLDGAAYIGEDGILTLTNSTEYNAHGHCFLPQPVALFNSSSKERYIRTLFIFSITPGNLSSISGQGMDKTSSMLPPASPVATYDGVGLLLEVTLAPFPMDKPIAPLLRCYLNSSTATAIIRDHGYVYIGFSHQVHGWSLMMSGPAKPLDYQSIMAHVHRPRANQYRSLLRWLPATLSFLLLLIAGSVIWYKKWRKNRAQEEEDHRECEWEDGLGPRRFHYKDLRRATDGFSSHRLLGKGGFGRVYLGVLANEIFAVKMISSTDSDQGMAQFTAEVITLGRLRHRNLVRLLGYCRHKGQLLLVYDYMPNGSLDRFLHDDHHGPCKRTLNWPQRLHIIKCVAAGLLYLHDDWEQVIVHRDVKASNVLLDADMNGRLGDFGLARLHDHGADAHTTHVAGTRGYLAPELTRFGKATKATDVFAFGAFVLEVACGRRPMGHNARGELLVLVEWVRGAWAAGGGSVVDTMDPRLEEYSGE >ORUFI07G01990.1 pep chromosome:OR_W1943:7:1569743:1571214:-1 gene:ORUFI07G01990 transcript:ORUFI07G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLSLKMPVAPRPAGAGAGVHPSTSPCYCKIRLNKLPYQTADAPLLLPPSPEASAAPAPAPATGALAAAFHLSKADLDRLTAKPSLFGSRTARLKIVVYAGRRGTTCGVGGGSGRLLGKVVIPLDLKGASAKPVVYHSSWICIGKRGRKPLSVSAANAQLNITVRAEPDPRFVFEFDGEPECSPQVLQVQGSMKQPMFTCKFSCRSNSDLRSRSMPADMGSGGRNWLTAFGSDRERAGKERKGWSVTVHDLSGSPVALASMVTPFVASPGTDRVSKSNPGAWLVLRPGDGTWKPWGRLECWRERGAGAAAGDSLGYRFELVLPDPTGMGVGVSVAESTIPASKGGRFAIDLTATQQFGRSGSPACSPCGSGDYGMWPFGSCRGFVMSAAVQGEGKCSRPAVEVGVQNVGCAEDAAAFVALAAAVDLSMDACRLFSHRLRRELSASRSDLLR >ORUFI07G02000.1 pep chromosome:OR_W1943:7:1576128:1579478:1 gene:ORUFI07G02000 transcript:ORUFI07G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVRALAAIPARHPLPPSSLTKARRHGPQPSTTVLAPVPVGMLDRRRLLLIPAISISIGSFDKGAAKAEFADMPALRGKDYGKTKMKYPDYTETESGLQYKDLRVGDGPSPKKGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGGDKDFFKFKIGSGQVIPAFEEAISDMAPGGVRRIIVPPDLGYPDNDYNKLGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPNDKDPFH >ORUFI07G02000.2 pep chromosome:OR_W1943:7:1576128:1579305:1 gene:ORUFI07G02000 transcript:ORUFI07G02000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVRALAAIPARHPLPPSSLTKARRHGPQPSTTVLAPVPVGMLDRRRLLLIPAISISIGSFDKGAAKAEFADMPALRGKDYGKTKMKYPDYTETESGLQYKDLRVGDGPSPKKGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGGDKDFFKFKIGSGQVIPAFEEAISDMAPGGVRRIIVPPDLGYPDNDYNKLGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPSQ >ORUFI07G02010.1 pep chromosome:OR_W1943:7:1580550:1584149:1 gene:ORUFI07G02010 transcript:ORUFI07G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQICQFGTFLEVNNQHSATPKGKTIPVKCTDCLPGVASRSLTVIPLEVPHDVLLSAREAKTQYNSTFEHNLSETNFVTGTDNGAHHANVKDVPPDIYETEFRHQFPRIETSFSIIGAHFLSSMVRRGRRKGVRYIEEDRDRSLTLSKRRDGLFKLANDLSLLTDASVAICLHDSNKAQFFGAPSVKPVVDAFVSEAEPFADEQLKAKLTSMQSELVQLENEEEEKDKKTEESIQRFKEAQEESLGMGMAKHLFSRLEDLSHDDMRELLDVLLPLQQDFKKRLPPLRRGSKLQIGGSSAWAHQQPSCSRFLASHRPFTPLLPGGTSGVPMIPPPPVPGSPWSQIFPLRPPLFPSPELVPSQQLPPVSPPQNTVAPPPMHAPLVQQPLTNQSSAVPLLTQWQMRFGDQPPAEVQACTPVEQPQNDNAVHTPTFSDSFLLELLADVSDDGIATAEPLCSPPIDDQFLADIDWLAELDTIDGNL >ORUFI07G02020.1 pep chromosome:OR_W1943:7:1580595:1585073:-1 gene:ORUFI07G02020 transcript:ORUFI07G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPFGVAEC >ORUFI07G02030.1 pep chromosome:OR_W1943:7:1587917:1592715:-1 gene:ORUFI07G02030 transcript:ORUFI07G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERQETKVATTTAAFNLAESGYADRPDLDDDGREKRTGTLVTASAHIITAVIGSGVLSLAWAIAQLGWVIGPAVLVAFSVITWFCSSLLADCYRSPDPVHGKRNYTYGQAVRANLGVAKYRLCSVAQYVNLVGVTIGYTITTAISMGAIKRSNCFHRNGHDAACVASDTTNMIIFAGIQILLSQLPNFHKIWWLSIVAAVMSLAYSTIGLGLSIAKIAGGAHPEATLTGVTVGVDVSASEKIWRTFQSLGDIAFAYSYSNVLIEIQDTLRSSPAENEVMKKASFIGVSTTTTFYMLCGVLGYAAFGNRAPGNFLTGFGFYEPFWLVDVGNVCIVVHLVGAYQVFCQPIYQFAEAWARSRWPDSAFVNGERVLRLPLGAGDFPVSALRLVWRTAYVVLTAVAAMAFPFFNDFLGLIGAVSFWPLTVYFPVQMYMSQAKVRRFSPTWTWMNVLSLACLVVSLLAAAGSIQGLIKSVAHYKPFSVSS >ORUFI07G02040.1 pep chromosome:OR_W1943:7:1609363:1612726:-1 gene:ORUFI07G02040 transcript:ORUFI07G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFYSSSSQQERRGDDSIAKKLSPLPPHSPHTLLSPLAAPRHAAPRNAPPLPPPRRRPPRRRGGGGDGGGDDPTGALASWTTNTTSSPCAWSGVACNARGAVVGLDVSGRNLTGGLPGAALSGLQHLARLDLAANALSGPIPAALSRLAPFLTHLNLSNNGLNGTFPPQLSRLRALRVLDLYNNNLTGALPLEVVSMAQLRHLHLGGNFFSGGIPPEYGRWGRLQYLAVSGNELSGKIPPELGNLTSLRELYIGYFNSYSGGIPPELGNMTDLVRLDAANCGLSGEIPPELGNLANLDTLFLQVNGLAGGIPRELGKLASLSSLDLSNNALAGEIPATFADLKNLTLLNLFRNKLRGDIPEFVGDLPSLEVLQLWENNFTGGIPRRLGRNGRFQLLDLSSNRLTGTLPPDLCAGGKLETLIALGNSLFGAIPASLGKCTSLTRVRLGDNYLNGSIPEGLFELPNLTQVELQDNLISGGFPAVSGTGAPNLGQISLSNNQLTGALPAFIGSFSGVQKLLLDQNAFTGEIPPEIGRLQQLSKADLSGNSFDGGVPPEIGKCRLLTYLDLSRNNLSGEIPPAISGMRILNYLNLSRNQLDGEIPATIAAMQSLTAVDFSYNNLSGLVPATGQFSYFNATSFVGNPGLCGPYLGPCHPGAPGTDHGGRSHGGLSNSFKLLIVLGLLALSIAFAAMAILKARSLKKASEARAWKLTAFQRLEFTCDDVLDSLKEENIIGKGGAGTVYKGTMPDGEHVAVKRLPAMSRGSSHDHGFSAEIQTLGRIRHRYIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKVAVEAAKGLCYLHHDCSPPILHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGKKPVGEFGDGVDIVQWVKTMTDSNKEHVIKILDPRLSTVPVHEVMHVFYVALLCVEEQSVQRPTMREVVQILSELPKPTSKQGEEPPSGEGAVSDLVVPAESAEANEAKEQQQQQLNSPSSPPPDLISI >ORUFI07G02050.1 pep chromosome:OR_W1943:7:1627630:1630062:-1 gene:ORUFI07G02050 transcript:ORUFI07G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRRTAAPMAAAAVFRLSAPPRHAARLARFLGGASAASSDAAVASGEFVPWHNGGGVLHRAASVDPTAVVEAGAVVHSGAVLGKDVVVGSGAVVGPSVSIGQSTRIWYNVVLSNCSVGEFCTLHNGACIGQDGFGFFVGDDGQMLHVKIGNHVEIGANTCIDRGSWRDTVIGDETKIDNLVQIGHNVVIGKCCMICGQAGIAGSATLGDYVTLGGRVAIRDHVSIASKVRLAANSSVTKDIQKPGDYGGFPAVPINEWRRQTANLRIFSKKDGGRR >ORUFI07G02060.1 pep chromosome:OR_W1943:7:1635207:1643240:1 gene:ORUFI07G02060 transcript:ORUFI07G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKLRKQRSGRLIETLKMERVRTILTHRYPYPHEHSRHLMIAVFAIWLFFVSSDNLQTLIMKLDKNFKWWSILSNRAIQILVVGKQPLESVRRYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLIVFHVIFLGLWYLGLVSRMAEKKPEMLTIIQNCAVISIACCVLYSHCGNKTITRDKSIDRRTASWVAFSLWKKHDDNSLISKLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYEELASNGSGHSNDISPVYSLWATFIGLYIANYVVERSTGWALTHPLTMSEYEKLKKQLKPDFEDMVPWYSGTSTDLFKTVFDLMVSVTLFVGRFDMRMMQAAMNKTPDESKSSDLFYDHLDGKDELWFDFIADTGDGGNSTYAVARLLAQPSLAIKSDGSRQTFPRGQLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSELRKYRGPQCFMIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKRSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCQQKVGESDSVILITHEPNWLLDWYWGDKTGTNVEYLIREYLKGRCKLRMAGDLHHYMRHSFIESKEPVHVQHLLVNGCGGAFLHPTHVFENFREFYGNKYETKIAYPSYDDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILREDSWADRVNSFFTAMWNVVFEILEHSYVSLAGVVTLLMVSFFFVPTKLSRRRRALLGFLHAVAHLTSAVILMLLMELAIEICIRNNLLATSGYHTLYEWYRKVESEHFPDPTGLRTRLEQWTLGLYPACIKYLMSAFDIPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYICINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTFAVDKVPKDWMLDPDWDMEPKEPFQMSYTRKFPSKWRAASGSDPTNAVRIVDHFVIPRTPPDSPTSGSAS >ORUFI07G02060.2 pep chromosome:OR_W1943:7:1635207:1643240:1 gene:ORUFI07G02060 transcript:ORUFI07G02060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKLRKQRSGRLIETLKMERVRTILTHRYPYPHEHSRHLMIAVFAIWLFFVSSDNLQTLIMKLDKNFKWWSMYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLIVFHVIFLGLWYLGLVSRMAEKKPEMLTIIQNCAVISIACCVLYSHCGNKTITRDKSIDRRTASWVAFSLWKKHDDNSLISKLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYEELASNGSGHSNDISPVYSLWATFIGLYIANYVVERSTGWALTHPLTMSEYEKLKKQLKPDFEDMVPWYSGTSTDLFKTVFDLMVSVTLFVGRFDMRMMQAAMNKTPDESKSSDLFYDHLDGKDELWFDFIADTGDGGNSTYAVARLLAQPSLAIKSDGSRQTFPRGQLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSELRKYRGPQCFMIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKRSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCQQKVGESDSVILITHEPNWLLDWYWGDKTGTNVEYLIREYLKGRCKLRMAGDLHHYMRHSFIESKEPVHVQHLLVNGCGGAFLHPTHVFENFREFYGNKYETKIAYPSYDDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILREDSWADRVNSFFTAMWNVVFEILEHSYVSLAAVILMLLMELAIEICIRNNLLATSGYHTLYEWYRKVESEHFPDPTGLRTRLEQWTLGLYPACIKYLMSAFDIPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYICINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTFAVDKVPKDWMLDPDWDMEPKEPFQMSYTRKFPSKWRAASGSDPTNAVRIVDHFVIPRTPPDSPTSGSAS >ORUFI07G02060.3 pep chromosome:OR_W1943:7:1635207:1643240:1 gene:ORUFI07G02060 transcript:ORUFI07G02060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKLRKQRSGRLIETLKMERVRTILTHRYPYPHEHSRHLMIAVFAIWLFFVSSDNLQTLIMKLDKNFKWWSMYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLIVFHVIFLGLWYLGLVSRMAEKKPEMLTIIQNCAVISIACCVLYSHCGNKTITRDKSIDRRTASWVAFSLWKKHDDNSLISKLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYEELASNGSGHSNDISPVYSLWATFIGLYIANYVVERSTGWALTHPLTMSEYEKLKKQLKPDFEDMVPWYSGTSTDLFKTVFDLMVSVTLFVGRFDMRMMQAAMNKTPDESKSSDLFYDHLDGKDELWFDFIADTGDGGNSTYAVARLLAQPSLAIKSDGSRQTFPRGQLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSELRKYRGPQCFMIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKRSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCQQKVGESDSVILITHEPNWLLDWYWGDKTGTNVEYLIREYLKGRCKLRMAGDLHHYMRHSFIESKEPVHVQHLLVNGCGGAFLHPTHVFENFREFYGNKYETKIAYPSYDDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILREDSWADRVNSFFTAMWNVVFEILEHSYVSLAGVVTLLMVSFFFVPTKLSRRRRALLGFLHAVAHLTSAVILMLLMELAIEICIRNNLLATSGYHTLYEWYRKVESEHFPDPTGLRTRLEQWTLGLYPACIKYLMSAFDIPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYICINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTFAVDKVPKDWMLDPDWDMEPKEPFQMSYTRKFPSKWRAASGSDPTNAVRIVDHFVIPRTPPDSPTSGSAS >ORUFI07G02060.4 pep chromosome:OR_W1943:7:1635207:1643240:1 gene:ORUFI07G02060 transcript:ORUFI07G02060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKLRKQRSGRLIETLKMERVRTILTHRYPYPHEHSRHLMIAVFAIWLFFVSSDNLQTLIMKLDKNFKWWSMYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLIVFHVIFLGLWYLGLVSRMAEKKPEMLTIIQNCAVISIACCVLYSHCGNKTITRDKSIDRRTASWVAFSLWKKHDDNSLISKLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYEEKRSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCQQKVGESDSVILITHEPNWLLDWYWGDKTGTNVEYLIREYLKGRCKLRMAGDLHHYMRHSFIESKEPVHVQHLLVNGCGGAFLHPTHVFENFREFYGNKYETKIAYPSYDDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILREDSWADRVNSFFTAMWNVVFEILEHSYVSLAGVVTLLMVSFFFVPTKLSRRRRALLGFLHAVAHLTSAVILMLLMELAIEICIRNNLLATSGYHTLYEWYRKVESEHFPDPTGLRTRLEQWTLGLYPACIKYLMSAFDIPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYICINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTFAVDKVPKDWMLDPDWDMEPKEPFQMSYTRKFPSKWRAASGSDPTNAVRIVDHFVIPRTPPDSPTSGSAS >ORUFI07G02070.1 pep chromosome:OR_W1943:7:1648453:1653217:1 gene:ORUFI07G02070 transcript:ORUFI07G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSCLVFSPRLASSPPPPPRAAVNSSPHPTYAFRRTPRLHGGAAVSRRSRHLISHPMALRARLRRLLVVVILVVVEVVVAQQQQQERLASGSDLAGLFSLRASLGIRAREWPARVDPCGGGWAGVTCRGGRVVGVTVAGFRRTRVGARAPRFAVDGVRNLTALEVFNASGFPLPGEMPAWFGRGLPPPLAVLDLRSAAVNGTLPPDLGVSGNLTSLLLSGNSLSGAVPGSLLSVAGLRFLDLSGNNFTGGLPNVTPVAGGGAASLFNVSGNSLYGVVSDAIGALKGRFQVVDLSSNYFDGVWNVSDGNVDVRMNCFSGAPGQRNRVDCEEFYRRAGVRLGDALAPAPSPETSPGTTTKNNNSRISKGVLIGVIAAAATLMVVFFGALVFCLARQKAGRRGARGRGVDTNEESTRGVRRRDSSVNPVTSPPVAVSPSANSGHKDPVVVSGEFTFEQLVHATGGFGDDNLLKHGHSGDIYHGVFESGSQVVVKKVNAQSVNKHAGELDFYKMYSHERIVPLLGHLAKDEEEFMAYKYMPKGDLTNALHKKPVDTEDGLPSLDWITRLKIATGVAEAMCFLHDECRPPLVHRDIQATSVLLDDKFEVRLGSMSDVCAQQSGGSQSVFSRLLRSSRSLDKNISGPPATCSYDVYCFGKVMLELVTGNFGVSGSNDAASEEWMTNTLNRIDMNDKESISRIIDPLLIVDEDHLEEVWAMAIVAKTCLNSKPSRRPSARYVLRALENPLKILRMASRSNSARLRSSSSRSSWQSAFLQGNRYQSLETASSSGQMLDRKHSTRSHGSGGETSFSFKRASREIAPEPEGFEENVVV >ORUFI07G02080.1 pep chromosome:OR_W1943:7:1653746:1658096:1 gene:ORUFI07G02080 transcript:ORUFI07G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLPPSHHRLHLHLHLHTPKPSPPRRLRLAAAAAASRLQNPTTTHYPNLPPPAPPPSTSLVAAEEASLAPRRTYRFPGSVPSSPTLPDTADGGLAAAAAVADDAVLRRALEVRRAVAGEVLVAALRGGKVGGLTYIRNLTSRMAPFVDRVVVEAAAMRRDRPELAHQSFNARARVYIEESGLVALVKWFKHNSMTYPQIAKVVCSSSGNLEKVRRMIKWLRSIHVKGEYLGRVLAKGDTFLSRSFEELEEIIYYMESCGVRKDWIGHVVGRCPQLLNLSMDELETRVRFYTDMGMNDNDFGTMVYDYPKALGFFSLEEMNSKVQYLKEFGLSTDELGKLMAFKPQLMACSIEERWKPLVKYLYHLNISRDGMKRMLVVQPTIFCLDLETVIAPKVQFLQDIGVRSDAVGGVLVKFPPVLTYSLYKKIRPVVIFLMTKAAVKQEDIGKVIALDPQLLGCSIVRKLEVSVKYLRSLGIYHFVLGQMVTDFPTLLRYNVDVLRPKYQYLRRVMVRPLIDLVEFPRFFSYSLEDRIVPRHQTLVENRINMKLRYMLTGSDEDFSQRVREAVERRARFEAGNVEASDSQATTDGAEATAPASQDGWEADT >ORUFI07G02090.1 pep chromosome:OR_W1943:7:1657992:1663492:-1 gene:ORUFI07G02090 transcript:ORUFI07G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGCVSRGLRSLSKGKGSSSSAPVSAAARLFSTASSSYTVVDHSYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLIMDSEGTCQGVIALNMEDGTLHRFRATNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTMKGDNPDSVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAETAKPGEKQKPLQKSAGEKTIAWLDKLRNANGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCKLITKAWESYHDVKISDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDEQWMKHSLGYWENEKVRLAYRPVHMNTLDSEVESFPPKARVY >ORUFI07G02090.2 pep chromosome:OR_W1943:7:1657994:1663492:-1 gene:ORUFI07G02090 transcript:ORUFI07G02090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGCVSRGLRSLSKGKGSSSSAPVSAAARLFSTASSSYTVVDHSYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLIMDSEGTCQGVIALNMEDGTLHRFRATNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTMKGDNPDSVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAETAKPGEKQKPLQKSAGEKTIAWLDKLRNANGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCKLITKAWESYHDVKISDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDEQWMKHSLGYWENEKVRLAYRPVHMNTLDSEVESFPPKARVY >ORUFI07G02100.1 pep chromosome:OR_W1943:7:1676243:1676635:1 gene:ORUFI07G02100 transcript:ORUFI07G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKGATFPPRSGAMAKQDLVGVEKELRFGSPAMATPTPTKGAKGAAFPCPGPGALVTLDLVPKKLSFDPLPGAAAVGGGEAPKKVVTSSPSSPSKNARLYTGGEMLFTPGLEPFVVPVFQPGLQIRD >ORUFI07G02110.1 pep chromosome:OR_W1943:7:1678176:1678893:1 gene:ORUFI07G02110 transcript:ORUFI07G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAFELELQTMASEERDADMRNCQAVKQHGPNKWELLMMGQLLPFLLEQIPFLLRIATLLKLLMNIRSELWIRYAFLW >ORUFI07G02120.1 pep chromosome:OR_W1943:7:1682301:1684514:1 gene:ORUFI07G02120 transcript:ORUFI07G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALRSLAGKLRPLVAATLRRAPASRSLHPEDKLSGNTNPKIKPLTFHNAEEDARIMFENINERARVVAEPMVAFRRRQNRIVIAAAASGCVVGTVVMGVFLCQD >ORUFI07G02130.1 pep chromosome:OR_W1943:7:1685378:1700087:-1 gene:ORUFI07G02130 transcript:ORUFI07G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTDSLTRRSGSPRDGNSKNEMDRLTLIYGLALCPTSEIRLDIGALNKVRVNAKAKTLPALLYLLPQLVLLHPKQHHSISQCCNELHRGWRALVRAAVVTADSIGAFIFEESGATESNGYSGSGEESSSKIECPPHLYKPNDPWDKMFDALGGAAMDETTALATYSQPTRWRQWTATVTPVTCALARRPWRWWWTGMGDGDACFFHRCLFSALAKAEVDGDDALGGGGDDDDSAR >ORUFI07G02140.1 pep chromosome:OR_W1943:7:1688824:1689461:1 gene:ORUFI07G02140 transcript:ORUFI07G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSDGSSSSSRRPTPVPYRVGPMEYQPPVFCRCKAKAARWISWSVDNPGRRYFKCRNAQDGGCDFFDWCDELTSSFLRELLNDLRDAVMLLRMEKDQLRQEVEECRERLVEDTNRIEETRAELAAVRQNCFEIWKELCCVKDRNRKVERERERERNIMVICSVACVFVLFVVMFGKSIM >ORUFI07G02150.1 pep chromosome:OR_W1943:7:1694748:1697750:1 gene:ORUFI07G02150 transcript:ORUFI07G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEVMHRRALHRCLRTAPSLAGIRRALRAGLGRALRRSRWRAPLEPSAAASSPFAKPNVMPPVEPSAAASSPSRSPAARGRIRKEKTKGKGGEERNPRARQASPSRDLDAQQPEAIGMAMALRSLAGKIRPPVAATLRRAPASRSFHPEEKLSSMTSSKTKTPVDDNCFELLGDKYFEERSRIFAQLVKEDARRTEEQIAAYKKLKRAMVASSLGAAIGIFV >ORUFI07G02160.1 pep chromosome:OR_W1943:7:1699619:1700658:1 gene:ORUFI07G02160 transcript:ORUFI07G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHHVIISLPPSLPPLSLSLSSPSGQAGRRWGGHRDKEVGRPAGDAAAATWEKGREAAAGARGAKAASIKIDQTKPRRISHELAQASAMEEVVVGKERKRPRCALVGVGVGGHSGARGGRAEWRAQWRARRTSTAKWLSVPTAIAFYDIQDRLGVDQPSKAIEWLIRAAAAAIDALPSLDCSFVLPNAAQLLTRRRRRPLSGRPSAEQARTRKATVAASPGKSGEKRRKTRSRCAARRAEHIAKLRARREGWMPRREASTSAASGGQSWIRKPSTPRSGGLCSGAGEGEGGGGGSTREIEEDELKHGGERSGATMGGEGRQGGGAERGGGGRR >ORUFI07G02170.1 pep chromosome:OR_W1943:7:1700094:1700816:-1 gene:ORUFI07G02170 transcript:ORUFI07G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAARCRRRGRNWAASAAGEELGSGSVEELGGGGGDGSRRVCGGGAARASCHHRRPPPPRSAPPPCRPSPPIVAPLRSPPCLSSSSSISLVLPPPPPSPSPAPEHKPPLLGVDGFLIQLWPPDAAEVLASRLGIHPSLRALNFAMCSALRAAHLDLVFRLFSPDFPGDAATVAFLVRACSAEGRPLNGLRLRRVRSWAALGRTKEQSSDGSASMAAAAARMSHSMALLGWSTPRRSWMS >ORUFI07G02180.1 pep chromosome:OR_W1943:7:1702506:1704542:-1 gene:ORUFI07G02180 transcript:ORUFI07G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFYSPSHASRRLSTSITFAGGLDVTSELVIAAVAAALLLVLLFAACACCSWCCRRRSATQRWQNHHAAAFGYQGNTTAYYYHHTGGARPQWAATKTGAPSTPPNMMMHPTNMTGPHVVVRPPLVPPPPPPVPAGLDENAFGYDELAAATGGFSEGNMLGQGGFGYVYRGVLGDGKEVAVKQLSAGGGQGEREFQAEVDMISRVHHRHLVPLVGYCIAGAQRLLVYDFVPNRTLEHHLHKKGLPVMKWTTRLRIAVGSAKGLAYLHEECNPRIIHRDIKSANILLDNNFEPLVADFGMAKLTSENVTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSYGVMLLELLTGRRPADRSSYGADCLVDWARQALPRAMAAGGGGGYDDIVDPRLRGEYDRAEAARVAACAVACVRHAGRRRPKMSQVVKVLEGDVSPEELGDGARPGQSAMSSSSGDSSSGSGSYTAQMERVRRTAASPASPEYYSSEYQGYGCPSPASSAGDNASSGEHDNQWRKAHR >ORUFI07G02190.1 pep chromosome:OR_W1943:7:1706576:1716028:1 gene:ORUFI07G02190 transcript:ORUFI07G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPDRANADLRRRLAVDAPPPPPQIAKDKQGLDTEMPLSPQWLMKVGENKEPSLLGVRSDGSKIPGNDEDTSFSVKRKDVFRASVLDGETGRRERWRDDEREPNSAPRWNRWRETDKEHGDTRKLERWSDDSSKYSVDGRRPPQERWSDSGNKEGNYDQRRDNKWSARRGPNDKESENWRDRWGDSGKDGDAAREKGFSHYIAHGKDGNSHEKDAERDDNISRSWKSSYPVGRGRGDSSHHPSQNTQKSSATYGYGRGKPDNEIASFPGSRGKFTSGSTNTASSGSSRPFHLGLLSDRPGGTSGDRTAFRYSRMKLLDIYRTSHVTDFKMPLGGCEELSAFMQEETLEPLALSAPTTDEAAILKAIDKGDIINSGVHQASKDGPVGKNGREDQQGGMEDVKGETAASLRGFPGNTDLPARADSLRPETSAYVVPQRSRLIGEHRPGPTADYIQQMPFALDQESKVAVITGVDGFATPTYPNPESLSLYYKDPQGQVQGPFSGADIIGWFEAGYFGIDLLVRVANAPPDAPFLLLGDVMPHLRAKARPPPGFAATKPSDMLMPETLSTGNFVSSSNTHAGSASVGAFDSGLSRKDGAVEAQNRFLESLMSNSVRDPSAEMLAMTAGMTEYGSSGIGNITVSGGETGNSMNYLLAQKRLLERQKSLQNPGSFWSGDSIPAAQVQNKDIEASALHTKLHPPMADPPRQALQSQNVDLLAMLHSAEKPQAPASNSGLPAWSNYPEAKNLDPRGHGVDLTQGSLNMHGASVQSSQQATTAIQQQNFMPLNMSQIAHLGPEKLRAEISQDPQLLTRLQHQYLLSQLQLQPQLPKMKEVLEADRVLTAHGTQEGQQPSDPSIMNKKGMEGVGPSQSSVPSLLPHEIFGGVPSKDRYSHPQKLEDKVNVNTQLKASIVNPMLTEVANRREDDSDQQEVRSHERGIGIGKTGIMSENILGSGSSEVIGAAPSAPKEFPEAPFDPKPETLSSHISNQVQDLKISSENILGGSEPTVATEVKASDTQDTKKSEKKKKQKKKQAGADVAKGATKTVTSQQPRQETLVGSDQGGAKHDLQDDAEELFWGSPIQVESSSKSADPPLGLESSLVLPAKSFSEDYDTNKGEWEPNAAAVNQRGWKPTQGPRPKSLLEIQAEEQLRAQRGLAMENAKPAVSAASVPSIPWNGMLTTSDQQLMAVSKSVDGLESVGDSRNRRSQLHDLLAEEVLARSSNTDNEDMGNTNDMAFPPLSSAVVQPDAPAFDDTDFIEAKDSKKSKKKATKAKGSAVKAPAPVVSFDSSTVSVPTEKGKPSKQSHQEKEILPAPPSGPSFGDFVPWKSDQASAVPAPAWSSESAKVQRPLSLRDIQREQERRSAVAQQQPPSPTPAKVSINQRNHANVPSWQASGSSPSKAVAPVQMSSNASSRSKSNAEDDLFWGPSEHAKQDKKQSEFPTLSSQTRSSSIKDQSPMNRQKSQASRLPLSTAPTANHAGKGKAEAANRQTEAMDFRDWCESEWARLTGTNDTSFLEFCIKQPTVEAEMLLRENLGSFDRNGEFIDKFLNYKAFLSTDVIEMAFQLPARAVRGDGAGRANHASAAKGASSTETELDGGKKKGKKGKKVSAAVLGFNVVSNRIMMGEIQNVED >ORUFI07G02200.1 pep chromosome:OR_W1943:7:1716428:1717213:-1 gene:ORUFI07G02200 transcript:ORUFI07G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGDEEWIYVDEEWVYVDDFSKEAAAPVEEASPVDSSGDKDDDDGKSGSTAADVAAVDGDLPGGATASEAVGADDDSSTGVTSDEEEVVSSDDDAYSDTDLDKYLEYYEDDIADGLDSLKIGGDAIPPIFLDDPPPPIDGDAAAAEEKEPEHSPPINSAAVEEEPAYATKPQQLCFDGQFGYLTGGGYSYGYGGGGAYYGDLYGGVYRYPATYFPSYYLPRQPGAGVYQPPTYMSYPDGFDPYAGDIFAPPPPPPPPEN >ORUFI07G02210.1 pep chromosome:OR_W1943:7:1717002:1722971:1 gene:ORUFI07G02210 transcript:ORUFI07G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSSTVVGEMESSLERVRRQLSSTSSRHLLQGPLLKRSDTLRKWNERWVILDPATGKMEYKIRRSDAAVRGIIVFDSTSTVTLSPMNFHASQLVLQAHKEAVNSLGGNGPTKLGKVATVVAVANATAIEASKEVEAAMKVSLRAALGSTTNKLSKGQLDDLTIMMETLRVKDDELHQLLQDIRARDATIREITDKLQETAEAAETAASAAHSIDEQRRFLSSELERLKQDQEKQIEFSLLRLRESEEKAKLLSEEREHLLKERDSALQEAQMWRSELGKARGNAVILEAAVVRAEEKARVSAADADMRINDAASRLDSATKEKEELVALVDALQLQIRSQDTSTKQVCEERSELCSTSSKHVDMEDDNVDKACLSDTDPIPITENIVDLDDDGVDIPTIGVTEWNNPHSSEVSDVREVTTEPEDNSLDIPVDSQPVSENAFHG >ORUFI07G02220.1 pep chromosome:OR_W1943:7:1735888:1738434:1 gene:ORUFI07G02220 transcript:ORUFI07G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSAEMKRGCEDELGAGDVILRGVEEVEEEEDDDLVLPGFRFHPTDEELVTFYLRRKIAGKRLSIEIIKEMDIYKHDPSDFLKTSTVGSEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPICSAAGGGGGDCIGLKKSLEVWTICRIFQRNITHKKQPQPQLAVAAAAVPAPVPDATSSITGSLESDSAGDDVVEYMNTLQPPPASNVNGGYSNQHYFQEQWNSSSNDNTTVFHQHAAAAPPPEPSPATAMAGFGHDQSVLSSPAPSDFYYKDGCNDDIYRMVMELADPSLFYDHIYA >ORUFI07G02230.1 pep chromosome:OR_W1943:7:1749952:1751222:1 gene:ORUFI07G02230 transcript:ORUFI07G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSPEISARLFVGRVAPGTGKAELRRHFKVYGDVADIWLRHDRPFAFVHTTNKVIRRIANEMSKYLCQRVCWIVDKSDEDNKAVHGNISSTRLASETTSARFCGYCQRAVTPGGNCDGLVHTDACLIYQESFVHYPYCVAVADEWFPVGCFIGDVSNAE >ORUFI07G02240.1 pep chromosome:OR_W1943:7:1753606:1758983:-1 gene:ORUFI07G02240 transcript:ORUFI07G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNGRRRLPTGDPDQANASPSRRVSAVDGVTGGEGRPTYSHFPPGAYEPTDVAYGIRDAANDERARARARARHDQGGGAHDHHHDRPRQDQRGEAHLHDLPGEKSEVPDVGPSDQQGKEASDTDMAPLAALAKRSYDVNFPPLHEHRAAPFPAPAPASAPAPAGTMGSSSAQVQGDGAPDNHDHDPRHLPQQDQSGGAHPDDLHGEKTIGSGSDILDDSKRGMINAGPQHDRITTSNGGSGSGSDKGKGVSYAGDKPASSSSSSSSAGQQGSDTDKTPSAAAASSYAVNFPPLLPAPAPVPAPAPAPAVAGAMGVANAHHKIALCSKWRKGRCHNGAACRYSHGEEEQRIVPEMRVGGGGRPCPELAAAKGWCSSRDTIYVPANRDNPLLPIGQFPQDHVKSGSASAAVDMINSSAGDDNARDFSSSPRLDVIAEAQGAAWGWDALWEDTGFAHCLHDPKTVLCWRYMYGGDDYCDLGCDCFDAHSYNDLQSKIRTGVAAASRSYSVPHPDLDLDLSDVSQFAGVFSSQQQPPASDEWNFAVGNMQQQQQQRSMTPSASSRATAATDADGEDPPPPPPHPPSPSAEGSASPPTTPGTPTTGADEKNVGELNDVDYPLLLPDAPAPAPAPAPAPPPSHTPIRHCHEPLRVFVVRV >ORUFI07G02250.1 pep chromosome:OR_W1943:7:1763695:1765192:-1 gene:ORUFI07G02250 transcript:ORUFI07G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPNFPRFPVPGHGREPQPGGATSSSSPGSRFNGLNSGAAPFVPRMVEGAGLAGRMMFGSGAAAGTGATTRSLALAAAVPRGATSVLAGPTAAADFAPSSRDTVYVPVNHDVPLLPIGHHVNLGSASAAGDAMISSSGAPAGAHQYMTNPYAGDAMINSPPSLSLVLTRTWSTHRTSALPPRDSPLLPPSATGIGWNGCTTPWQNSSSRCSQFNSNHTASPPPPTWPIPTATTAASSPVPQGRLNLASAPASSVSTATSASSATTTAAADFAPSSRDIPLLPIGQFPQDHVNLGSASAAGDAMINSSALAGAHPHMVNPPPSQDDDVREIQSARVVQRRGWVSIRSPRGRATNCAGEEGQPLVASVQ >ORUFI07G02260.1 pep chromosome:OR_W1943:7:1766029:1772539:-1 gene:ORUFI07G02260 transcript:ORUFI07G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNDEATTSSSPPSELDALDTVAKAVGDAVRFALKMDPKTTFLAHGLADFVASAAARDALSPIFAKLAAAEPPPPPSTTAPIIAAPAWAPLLQFAATQQIIPISARLFVFGVSKKRTTELDLRRHFKRYGYVADIWLRRRGGYAFLYIEIAQPKLPVERPMAKYLCQRSAMWRLESIFRKPRGYLRATYDWCRQPTIGGSEARVKIACWSLGVRVYKTNGDHV >ORUFI07G02270.1 pep chromosome:OR_W1943:7:1775730:1776545:-1 gene:ORUFI07G02270 transcript:ORUFI07G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDADRNRPGRGRESQPGGATSSSSPPGSNFDGLAATTTSGASTGDSSRIASHLVELEDTGRRRSAAFVPSRHDPIYVPIGRPNPLGPIGHREDYYSGSGSTTAFFPSSRDPVHVPIGRDTALPPIGHPSQGRVKSGSSSASAGDDMINSSSAAVSHSTGAGGGFSSRHAPASDEAKKQQRVMAIRRQQQLQLAMEIWRQQQQQLAAAMWQQQQQEDELAIRKQQQQDRSMVFWQQLRAMTPSAKQQLAAAMWQQQQQEDECSGSSCVR >ORUFI07G02280.1 pep chromosome:OR_W1943:7:1777929:1780821:1 gene:ORUFI07G02280 transcript:ORUFI07G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPESARLSVGGVSPDMGDTELRDHFGRYGDVADIWLRRDRLTGLPRRFAFAGSCTPPTPPSPSPTITTSSTARSNYEESEYINNIRRFGVMKGNMLTFHCVVDYISQDGKDIYVSIIVNNRSDVKSVNGNISSTRFVGKNSTKFCRYCQRVVTPSNCDGMVHTDACLIYQESFVHYPNYGVTIGHSWYPIGGFIW >ORUFI07G02290.1 pep chromosome:OR_W1943:7:1785090:1786747:-1 gene:ORUFI07G02290 transcript:ORUFI07G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEDGDHVATTTTEQRYDDDGHLVPSSGGQEEEGSGGRDVVVPGGHVAEDYRSGVGVPVGRDAGGATSSPPQPVHVTPSILVGSIHAPVFQGELVGMKFGVGSGSMGAGTSATRRLPATGFGALPTSSMAEDSADHADDDHLAEEEEEEHYIDDGPLVPSSGGQEEEGSGGRHVFVPGGHDGEEDHPDDLVADLDLDLLVDGVVGPVPGGHLNADAPAFVPTTRGRQDLYSALSSSAPAAGYRYRHYITSSALAEAGHVSPFLGLPYATAFDSPLDRELVGPSSAPPPCSAASRAWLVRCSSPLSDSEWTRRSILAREAAHTPASTVTGRGRFEFVPIPGAPYAPPPSFAPIAAGAGPAARPLQQLAFGLEEHKTKLCAEYYSRGLGCPRGNTCKYAHGEDDLRLVVAVSSLADAGEGSSSSDSSFAALGGEDKYKTKLCKTFTSGGLCLFAANCRFAHGEVELGKKEPCWYFFSGQTCPRGDTCGFRHSY >ORUFI07G02300.1 pep chromosome:OR_W1943:7:1788321:1789082:-1 gene:ORUFI07G02300 transcript:ORUFI07G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHQPSGRILNILDRLLFLSRGRTVRLLRHAVGAQDLLLRLRRARPGQREPSREFALDTIGELEHHSTTAPPCSSNSTPSGNHRSALSSSMPTYANPPYVEVWVLIKRAFTNTRRMPELLVMRLRTITVTGFILATIFWRLDRRHAQGSPGAAGLLNSPWRCRRRSTSAPTRCRCSSRRATSTSGIETAHNAYRRLSYVIANTAVAFPPLVSLSLAFAATTFFAVGLSGGGAASPVASRCSTARRSSGCRRP >ORUFI07G02310.1 pep chromosome:OR_W1943:7:1806044:1809716:1 gene:ORUFI07G02310 transcript:ORUFI07G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTNRNRPQQRPARSWYFISDMDFSDPKRKPRYLSKILMVALLTAMCVVMLTQPPCHRRTPSVFSIHEPGVTHVLVTGGAGYIGSHAALRLLKDSFRVTIVDNLSRGNMGAIKVLQNLFSEPGRLQFIYADLGDPKAVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLVVLEAMAAHNVRTLIYSSTCATYGEPEKMPITEGTPQFPINPYGKAKKMAEDIILDFSKSKKADMAVMILRYFNVIGSDPEGRLGEAPKPELREHGRISGACFDAALGIIPGLKVKGTDYETPDGTCVRDYIDVTDLVDAHVKALNKAERGKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDYFPRRPGDYAEVYSDPAKINSELNWTAQHTDLLESLRVAWTWQKKHRSGYGPPQAMVL >ORUFI07G02310.2 pep chromosome:OR_W1943:7:1806097:1809716:1 gene:ORUFI07G02310 transcript:ORUFI07G02310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLTAMCVVMLTQPPCHRRTPSVFSIHEPGVTHVLVTGGAGYIGSHAALRLLKDSFRVTIVDNLSRGNMGAIKVLQNLFSEPGRLQFIYADLGDPKAVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLVVLEAMAAHNVRTLIYSSTCATYGEPEKMPITEGTPQFPINPYGKAKKMAEDIILDFSKSKKADMAVMILRYFNVIGSDPEGRLGEAPKPELREHGRISGACFDAALGIIPGLKVKGTDYETPDGTCVRDYIDVTDLVDAHVKALNKAERGKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDYFPRRPGDYAEVYSDPAKINSELNWTAQHTDLLESLRVAWTWQKKHRSGYGPPQAMVL >ORUFI07G02310.3 pep chromosome:OR_W1943:7:1806044:1809716:1 gene:ORUFI07G02310 transcript:ORUFI07G02310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSDPKRKPRYLSKILMVALLTAMCVVMLTQPPCHRRTPSVFSIHEPGVTHVLVTGGAGYIGSHAALRLLKDSFRVTIVDNLSRGNMGAIKVLQNLFSEPGRLQFIYADLGDPKAVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLVVLEAMAAHNVRTLIYSSTCATYGEPEKMPITEGTPQFPINPYGKAKKMAEDIILDFSKSKKADMAVMILRYFNVIGSDPEGRLGEAPKPELREHGRISGACFDAALGIIPGLKVKGTDYETPDGTCVRDYIDVTDLVDAHVKALNKAERGKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDYFPRRPGDYAEVYSDPAKINSELNWTAQHTDLLESLRVAWTWQKKHRSGYGPPQAMVL >ORUFI07G02310.4 pep chromosome:OR_W1943:7:1806097:1807069:1 gene:ORUFI07G02310 transcript:ORUFI07G02310.4 gene_biotype:protein_coding transcript_biotype:protein_coding QIRDDVTPSRIRYVSPSSAWAPLPPRPDRAAAAASAASSLQSLFFFSLHQQPHLASPLLAANERGRGRARTTATASGSRWLPCFPSSPPPSPRQPAKSSQQVVAGSEQEESSLAFLSPRNFDSFWEGIWCAAAAPDASHQQEQAPAEACQILL >ORUFI07G02320.1 pep chromosome:OR_W1943:7:1811221:1817633:-1 gene:ORUFI07G02320 transcript:ORUFI07G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSDDSDPDIDEDLQRDLDALRQSCILSGNDPNAAVAQVSACLAAPPAAAAGAEVNGLSNDDDEEEDEDLALVRSIRENLLLNKASPSSPLPRPICAWPPSDSEDDEDDLETLRAIQRRFSHYHSGTSSGSEMNTKTEASKEGSGNIFGNELDEEFDAEKHNKEATTRTGFPKAALLLVDALKKNRACQKFIRRKMITIEAKIEENKDLRDRVKCLLDYQLSCRKAFGKILCQKEDPRVRLISSRKPCAQSTKNKDKKTPALFLGPADNPHVSKYKMVLKQLPMSLQKQPWSDVEKEKLAKGIKQQYQEALILNSINKGSSTGDFSAVDMAYALTNTAGNFEVTPESLRSVLPLINWDKIAAMYLPGRSGAECESRWLNFDDPLISHNAWTAREEKRLILTVQQQGMNNWINIAVTLGTHRTPFQCLVRYQRSLNHCILNKDWAEEEDLQLQAAVNTFGTNWQLVSASMDGRTGNQCSNRWRKTLNPERSRVGRWSLDEDKRLMVAVKLFGSGSWNKIAQFIPGRTQSQCNERWRNVLDPDIDLGEWRPEEDSILLASVDEFGPCWSKIAGAKIPHRTDNMCLRRWRKLCQDKLPSVKAAQQIKKSILQCNFVDRETERPAIGPSDLMPLVRSKVDGSDENTVSAKVRKPRKRSRIPCEDNVLPGDTSNSSPSMNLPLSESIDAEAAVNTTTANSKKKPSRSRSKKQTDENLAVCDVNNSSNCSSGARKRKRSITDKNQVVQKKMKGSISGDNEAVVETVGTISADNEVATKQKTGSTSVGEEGTTKKTTRGSLSGKGEVNKRMRGSISGVQKGATKQRMRGSVSTDNHGAVMKSKRAPSRKSAEENSKADSMANAAFGSDLPTVASEDRDADNGNVKNGRLKSMPRPKQINMTEGSADKFSTSTRLADCMSFGRINGSSRVARHLCVSVKPLSNMIQSNGPSDVSAKDPTSAETDPTSVENSNTA >ORUFI07G02330.1 pep chromosome:OR_W1943:7:1818521:1819951:-1 gene:ORUFI07G02330 transcript:ORUFI07G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYRAQHRILPRVIRRAPHIRPRSQARAAAAEPSRFFSRPWSSPSGRERKDRGERRAAAMRAKWKKKRMRRLKRKRRKMRQRSKQRSQWE >ORUFI07G02340.1 pep chromosome:OR_W1943:7:1820550:1821495:1 gene:ORUFI07G02340 transcript:ORUFI07G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLRRIRDGGAMLLLVLLTIAAQVQQEYEVTSSSSSLPSRRGAGEGHQQLPWAQQEYEVTSAATAGPCDAYLVFRSSPPLYASAVSIFNLLNVTATPGDEVEMEEHQNWKKNALVLYDLVISQPLEWPSLTVQWLPSHSRSPDSTLSYRLILGTHTSDETGAVPTPLVSISRSVPHNGEVNHARCMPQRPYTVATKTCVDEVHVYHLGDGGEKSDVDVVLRGHEAEGYGLAPVQSTVPFQVSISTSRSTIAVDVPTCRSTASPSRGFAATLTKMTKGGRERER >ORUFI07G02350.1 pep chromosome:OR_W1943:7:1824294:1826071:-1 gene:ORUFI07G02350 transcript:ORUFI07G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSAAGEGRRGGSDDGDERRGGDGGETASGRRGGAAHGRRGSARGLPRQPPPTAHEAHEAEEEAASGTAATTRQITEDITKLNHFDQWNSDDLQPFYSSLGSYGHSCSSALRQPARRSSRCATTAPTPSGRPPCPETQRSGAIGGGDFKLSPGANVSFPAPDGWSDRLWARTDCAPSGTASLACATGYFGGAVSCSLGGAPPVTLAEFTLGGADGKDFYDVSLVGGGRRGSPRASPPPAARALLLAAPPRRPPAASPPTAAASPLAGRQLPPPPAG >ORUFI07G02360.1 pep chromosome:OR_W1943:7:1838571:1840254:1 gene:ORUFI07G02360 transcript:ORUFI07G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNGPRRLPTPFEPRPIDGLIGGDTADSDSGGTAIVGNMMTTGPGAALVLAAAGTTTTSTSLAESSGIGGRLVQDQEEAGSSVLGGSYIVPFPNGHGVLDHDGLTGKATPYEPPPSWIPWIESPSLFGGWRFGSDAVAGGGDKDIVDLSPVGNAHDELPSDGLNLSSAGDAIINTTASSSRCGLVDVLNEDMVSDGLNLGSAGDAIINTTASSSRCGLVDVLNEDMVTEILLRLPPEDPALFARLQLVCKQWHAILGDPCFIRLLRKFHDPPPMLGYFINEDEPGKPMEIARFVHMTTTFRASPDIYDLASAVDSRHGLVLFYVRVCSDEEERFVVWDPMVEEEEEEEQWIDGFPFPVETQYWTAAVMCGLLECHNDHLHCHGGPFLVVAACTRTMDSYSSLRMYSSYTDGWSDEILHKEKDQIDTKACVLVGRKLFLPTRV >ORUFI07G02370.1 pep chromosome:OR_W1943:7:1840429:1842968:-1 gene:ORUFI07G02370 transcript:ORUFI07G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLRMSLSLFLNIYISSLIFLIVFHVIFFGPWYPGLVSRMAEEKSDMLIIIQDCAMGSNGGYEKMKKQLKPDFEGTLERQKKVEQQEVKRFVTTMAEHPTNPGHMEKNGAAMVSEADEARWTEKLNRRA >ORUFI07G02370.2 pep chromosome:OR_W1943:7:1840636:1842968:-1 gene:ORUFI07G02370 transcript:ORUFI07G02370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLRMSLSLFLNIYISSLIFLIVFHVIFFGPWYPGLVSRMAEEKSDMLIIIQDCAVEQQEVKRFVTTMSWN >ORUFI07G02380.1 pep chromosome:OR_W1943:7:1846628:1847404:-1 gene:ORUFI07G02380 transcript:ORUFI07G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASDLGRDVVVVPPAGIMDDDDESTWSPWPDLQPELAGMVFCRLLSHGDRLRFRAVCRRWRLAAWQQHPLPPALPWLNLDGRITYQSLPDGEVHRIPVPDELQAGGTVVCRGSFDGWLLYDRSEQLECFLMNPISKARIDLPYHWHCDDDDDAILPDYGEEKEGQRTMCFGENAVRKIAVCSPDLVAAVIAGSGVFFYRPGMHSTWLFASGGPCFARDIAYYNGKLYSISSDGELFVHEFSDSISADIVIGIAPQA >ORUFI07G02390.1 pep chromosome:OR_W1943:7:1850455:1855321:-1 gene:ORUFI07G02390 transcript:ORUFI07G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANPNQSFDDFNKQASSNFNSFLAIVVVLSVVSIAGSVAIVYLVYRCVKKNGLPAVNINTNPTAAAAMYAVVPDSQIRDATVERFLKEIAGEKPIRFTAQQLAGFTNNYSARLGAGGFGTVYKGMLPNGLTVAVKRLHVGGHGDGWSTSQEQFMAEVGSVGRIHHINLVRLFGFCFDADVRALVYEYMGNGALDAYLFDRSRAVPVATRRAIAVGVARGLRYLHEECQHKIVHYDIKPGNVLLDGGLTPKVADFGLARLASRGDTHVSVSGMRGTPGYAAPEMWMQAGVTEKCDVYSFGVHLFEIVRRRRNLDDGGEPGSQHQWFPMLAWSKHEAGHLAEAIEGCDAMDKQERETVERMCKVAFWCVQQQPEARPPMSAVVRMLEGEVDIDAPPVNPFQHLVASPAAALRWTSTTDSAESDNSLRSGFARPCGARHKAVTLHMLSSKHQDYITCFMASPAVYVIATIIFVVAFAALLVKVYRGAESCGAAVAAEMKATAHYAVVPDAAMRSATVERFLWEMAHEKPIRFTPRQLAGFTRGYSARLGAGVFGTVYGGALPNGLAVAVKVLRGGMDRRRSEEQFMAEVGTIGRTHHINLVRLFGFCYDAAVRALVYEYMGNGALDAYLFDLSRDVGVPARRAIAIGVARGLRYLHEECEHKIVHYDIKPGNVLLDGGMTPKVADFGLARLVNRGDTHVSVSGMRGTPGYAAPETLMQSGVTEKCDVYSFGMLLLKIVGRRRNFDEAAPESQQWWPMEAWARYERGELMMVDDAAAAINHPSDEICSGSDGEAVVTVAEADDERRCKEAVVRMYQVAFWCVQQRPEARPPMGAVVKMLEGEMDVAPPVNPFLHLMAAPAPVPNP >ORUFI07G02390.2 pep chromosome:OR_W1943:7:1850455:1855321:-1 gene:ORUFI07G02390 transcript:ORUFI07G02390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSANPNQSFDDFNKQASSNFNSFLAIVVVLSVVSIAGSVAIVYLVYRCVKKNGLPAVNINTNPTAAAAMYAVVPDSQIRDATVERFLKEIAGEKPIRFTAQQLAGFTNNYSARLGAGGFGTVYKGMLPNGLTVAVKRLHVGGHGDGWSTSQEQFMAEVGSVGRIHHINLVRLFGFCFDADVRALVYEYMGNGALDAYLFDRSRAVPVATRRAIAVGVARGLRYLHEECQHKIVHYDIKPGNVLLDGGLTPKVADFGLARLASRGDTHVSVSGMRGTPGYAAPEMWMQAGVTEKCDVYSFGVHLFEIVRRRRNLDDGGEPGSQHQWFPMLAWSKHEAGHLAEAIEGCDAMDKQERETVERMCKVAFWCVQQRPEARPPMGAVVKMLEGEMDVAPPVNPFLHLMAAPAPVPNP >ORUFI07G02400.1 pep chromosome:OR_W1943:7:1856928:1857857:1 gene:ORUFI07G02400 transcript:ORUFI07G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTVLDCQVFGIHKMVLLSETAAAPPPPPPLAEQQTAPPAVTLRLLVQRACCYHDSDDDADGVVDHDMDTMEDVICRAPLRELMADDRDDDGASVAERAFREMLAGIEHQTLLPEVEPEVSKAAARVRAVCEGRPEEEIAGLELRLHVLLVVHVFGGAGDDDEIGSDMDLSDVCGETEDDDDGVLISDEDDDEYGVYGGGGCAMAREGGPSDGALLLSGFAARSDGAELDDDDQLEVTPRDVRRLVRMALDGEDVERDEAYQRALAGGTAVSPVSLAAMVDQALQSVRRQQQNAPRDGVVRRMRTGF >ORUFI07G02410.1 pep chromosome:OR_W1943:7:1858940:1860050:-1 gene:ORUFI07G02410 transcript:ORUFI07G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSCFLHQSTARVAARVASPSPATRTHLLVCRAQKQDDADVSRRAALALLAGATAAVGVKVAPAAAAYGEAANVFGKPKTNTEFIAYSGEGFKLLIPSKWNPSKEREFPGQVLRYEDNFDANSNVSVIINPTTKKTITEFGSPEEFLAQVDFLLGKQAYSGKTDSEGGFESDAVATANILESSAPVVGGKQYYSVTVLTRTADGDEGGKHQLITATVNDGKLYICKAQAGDKRWFKGARKFVESAASSFSVA >ORUFI07G02420.1 pep chromosome:OR_W1943:7:1866487:1874619:1 gene:ORUFI07G02420 transcript:ORUFI07G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSPLVNFQKGPFVYIAHDALLRKQPQKRPNIPLPRHNVLFLLLLILATGRRGCGGSGGGGEAINRRRRSATDYITTMNQASLADSFLADLDELSDNEAYPEEENAEAVGMDEDGGEDMLDLESLNYDDLDSVSKLQKTQCYNDIMQKVEDALQKGTDFSNQGSILEEDPEYQLIVDFNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPVDYARVVQKIGNEIDLTLVDLEGLLPSAIIMVVSVTASTTNGKPLSEENLAKTIEACERALTLDAAKKKVLDFVESRMGRIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKKKNLAGFSSATSQFRVGYLEQTEVFQSTPPALRTSACRLIAAKSTLAARINSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSAAQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQSHGNLLGSGTQSTYFSETGTFSKIKRN >ORUFI07G02420.2 pep chromosome:OR_W1943:7:1866487:1874619:1 gene:ORUFI07G02420 transcript:ORUFI07G02420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSPLVNFQKGPFVYIAHDALLRKQPQKRPNIPLPRHNVLFLLLLILATGRRGCGGSGGGGEAINRRRRSATDYITTMNQASLADSFLADLDELSDNEAYPEEENAEAVGMDEDGGEDMLDLESLNYDDLDSVSKLQKTQCYNDIMQKVEDALQKGTDFSNQGSILEEDPEYQLIVDFNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPVDYARVVQKIGNEIDLTLVDLEGLLPSAIIMVVSVTASTTNGKPLSEENLAKTIEACERALTLDAAKKKVLDFVESRMGRIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKKKNLAGFSSATSQFRVGYLEQTEVFQSTPPALRTSACRLIAAKSTLAARINSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSAAQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQSHGNLLGSGTQSTYFSETGTFSKIKRN >ORUFI07G02430.1 pep chromosome:OR_W1943:7:1872020:1876393:-1 gene:ORUFI07G02430 transcript:ORUFI07G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELQVSSAHSAVDSLLGRLIRILEDEARLLGGVRGDVQFIKDEMESINGFLLHVLHLDRPDHQLQGWTRQVKDLARDCDNCVDVYMQRLAGAGSGGSARRLLRYGVVVPRTRNNAAAAAAARRGGQRGLAKRQLSEAGGGDHHADASSAGNTTKQDHRRRALLEEETPANLFAGETDTLVGWLLAAADEHRRPKVISIIRPDDEELVAAAIDPVKRALDDPRILELFQIRQWHSGQSHPGWVYQDMMTQILPMIQHMHRALGGMVSDYDKRNKRRYTRLKKKKKRIVVSNFSRKNLGSKGNKFPVGTTFDEVKEFIGGTASDKEEEEEEEEGFLQAAAMEVHEGFAMDVAKIISETMASEMGFHQGMTFQEMMENFLKDKSYLIVLDDVPDESLWRGIESAFPGNTAHSAILLTTRSPAVAYSCSPHDRVFPPLDHLIDFFHAKAVSLVENYPSNGNLDEVIRSILSKCASNSTDMCIRAFLHVLYANPNRNREELQGLCDSLHDSHGLMLDENMQQILMFWYNDLPVHYKSCLTYLSLFIQDDGSSSNSTMMIRRTSLVRRWAAESIITERNGQTALDEAERCFGVLLAKRFVLERDIGASGKIKSCAVNGLISKFITKVAREDNFVDADLQPDFAHRVSIWNRSQLQQVLAELQASPRPSSSSCWNMRKHYDQPLDDLTIFLKSLPAFSRLGLLKVLDLEGCDGLKDHHLENICKLFQLRYLNLRRSKLTKLPKKIQNLQQLETLDIRETTVSSFATKSLVLPMLKHLLSGYTQQQNEQTEKFSTVRMPRGIGSMTNLQVLCHVVVSGIEDELMDIGKLLQLRKLGVVFHGDQNSFKHLVQAIEKLHKSLISLSIRVEVPDGCENFPDMNMAEPTAFSYPKLLESLNICGIRCGLPRWIKELSRLAKLTLCDTHLGEQDMAVVGNLKALRYLRLRCRSYVQSKLTLGEKQFQHLKVLLIHGEDITDISFSKNPKLEKIVWSFREMKSISGIERLPSLRSFELHGDCNPDKVEIALKDHPNHPDLEHHGNRQGQGDAAGSLDADAASTCASVSAPNHPDVKHPDNRQGHGDDSAASTSASASASAPKHIQSIT >ORUFI07G02440.1 pep chromosome:OR_W1943:7:1880217:1885195:1 gene:ORUFI07G02440 transcript:ORUFI07G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPPSERRRAGVLPEQQPSRGDGDGRLRLRHAAPLRLPRQIEPTMPPPSTGRSCLSSLHAPCRSFTREQQPPRDDGDDRLRCVMLSLDADHRGRNSMICSWTASAAANHGRGYHPYKIRI >ORUFI07G02450.1 pep chromosome:OR_W1943:7:1885407:1889882:-1 gene:ORUFI07G02450 transcript:ORUFI07G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGIIVARWVVGKALNPVLDGLVEAWAASQKLGPNVDALKMELLYARAMLNNVRGREIHNTDLNELLQKLRDLAYNADDVLDELDYFRIQDELDDTSEAAAEHAKGCVSDLFLNAHHTAKAAGKLLGFSSSCSSCATNNGPGDSITAACCGSPHNTIHAIGKRLCFSTSLVDDCDHDYGCVHDERDHVKGKSTPKLKFDRVGLSKKMKIIVEQLQPVCAKVTAILNLELMGSHLSIESSTAKSRPITAPTSIEPTLYGRDAVMKRIIDSITQGTCCEEYLTVLPIIGPGGIGKTTLIQHIYNSQQVQNHFQIMVWTCVSQSFSVDKLIEEIKEKLPSVEGEKKGSAEELIVQRLKSKRFLFILDDIWKCESDDWKRLLVPLRKGQTKGNIIIVTTRFLVVTETVKTSDNKIQLEGIDDEAFWELFLAYVFGPEKSKNDKDLLCIGKDIVKKLKGSPLAAKTVGKLLSNHLDRVHWMRVLDSKEWELQAGDHDIMPALKLSYDYLPFHLQQCFSYCALFPEDYKFNNKELIRFWIGLDILHSESQNKAFEDIALSNIDSLVSHGFFKREETDGHPCYIIHDLLHNLALKVASLECVSLHSSNVKSVEIRPSIRHLSIITDGANDTDGITDENFKSELIKLKKRLKVENVQTLMIFGEVDKSFIGCFHDLFKEASALRVLYFPKMPFAVGSILDEFTTLVHLRYLRLGTTIGNNFHLPINLSRFYHLRILDLEKWDNCFHLPGDISNLAKLHNFLVPGYPIHSNISNVGKLQFLQELKGFQVNRKDVGFELKQLGYLMELRELRIDNLEKVHTKEEAAEAKLLSKTRLRKLELNWKQGRTSTNAFNEDQILEKLQPHSSLQELSIHGHGGSSCPKWLGTELSVKFLETFRLKNVVWNILPPLGEVFLVGGPGEESIGQKTSQNFRSLKRLELVKLPNLRKWVAKEIFPTFFSVLEVLIVRKCNELAELPFSYHTYCTSEEDVKATCFPRLRELEIHNCPKIVSLPPIPYTQTLCSVNITDVGTGLESLVYSSKSSKLEIKGNKDLKVLDDNVLASRNLHKLQNLTIEGFPPLEERHLQMLTSLKRFSLFSSSIAFNPTVERSDVEWRLSIENLMIQDWNGSGKELTQLLFHLPKLSLLSLGGCRKKTLLSIALTQQQTSAQVESTQVTASNHRQQQKAEDLDLLEEEEVTQLDVDGEDEDDDRLLLTNSLEQLWIVNYKELILVSHPLPIGHHNKEEEGTGGWGLQALCSLRQLGIRGCPLLLSAYEAPACLFPSSLQYLQITGPMEGVQMLDLSNLTSLTKLFIEDCGEYLRKGLLPLLAQGQLSNLIVYKTYGLFAGVLDSILRGAQEEQEQLHLLEHSSKLRVLETDDLAGILVKPICRLLSSSLTNLTLQGNSEVKRFTNEQEEALQLLTFLQDLKFIHYDKLRCLPAGLHRLTNLKRLMIMNCPSIQSLPKDGLPGSLKYFIVRDNEKLVKQCKKLKKTNPEIELIL >ORUFI07G02460.1 pep chromosome:OR_W1943:7:1893297:1895637:1 gene:ORUFI07G02460 transcript:ORUFI07G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHSENAAANIVDSIVDAIADNLPKQKSVRFEDGSISDQAKRLFGGQKSVHHVLGGGKSADVLLWRNKKISSSVLAVATAVWVFFEWLDYHFLTIACFVLVLGMVVQFAWSTFAGMLNGSPSKVPRVELPDELFANIGSAIGTQVNKFLGTLQDVSCGRDLKNFLLVIAGFFAAAIIGSWCNLLTVIYIGFVCAHTLPVLYEKNQEKVDEFLYNTLGLLQNQYQKLDKGVLGKVPKGIIKLKKSD >ORUFI07G02470.1 pep chromosome:OR_W1943:7:1899825:1900295:1 gene:ORUFI07G02470 transcript:ORUFI07G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLTGSSSCQAARLLADATPPAVPAVTLPPMPAIPAIPAATLPPIPAVPTVPNAALPPMPAVPKVALPPMPAVPAVPTVPAVALPPMPAVPAVPTATLPPMPAVPAVPNAVLPPIPAVPKVTLPPMPSIPAVPKVTLPPMPSVPMPFLAPPPSA >ORUFI07G02480.1 pep chromosome:OR_W1943:7:1902541:1909026:1 gene:ORUFI07G02480 transcript:ORUFI07G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGLLATVLAMAVLLAGSSRSCQAARHLADATPPAAVPVPTVPAVTLPPMPAIPAVPAATLPPMPAVPIVPNTALPPMPAVPMVALPPMPAVPAVPTVPAVTVPPMPAVPAVPAATLPPMPAVPAVPAASLPPMPAMPAVPNAALPPMPAVPKLLGIFVYGGLGYKHISNLLAMAIAVAAVLLAGNGNTGHAARHLADTTEAPAXTGHAARRLADTTEAPAPAPAAAIPAVPAMPKPTIPTIVPAVTLPPIPAVPKVTLPPMPAIPTVPAVTMPPMPAVPAVPAVTLPPMPAVPTVPPNTVVVPAAVVPALPKVALPPMAAVPNVPMPFLAPPPKA >ORUFI07G02490.1 pep chromosome:OR_W1943:7:1902565:1903041:-1 gene:ORUFI07G02490 transcript:ORUFI07G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNAAFGTAGMAGIGGKLAAGTAGTAGIGGNVAAGTAGTAGIGGTVTAGTVGTAGTAGIGGSATIGTAGMGGNAVFGTIGTAGIGGSVAAGTAGMAGIGGNVTAGTVGTGTAAGGVASARWRAAWQLRLLPASKTAMASTVARRPEVEAMAERSVAS >ORUFI07G02500.1 pep chromosome:OR_W1943:7:1911734:1913161:-1 gene:ORUFI07G02500 transcript:ORUFI07G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPTPPPSPPVRVVSTRTVKPSPPRPRERIPLTTWDVSLLAADYIQKGLLFRPPPATLHLVEHLAAALADALHVYYPVAGRFATDKHPGGGCSVSIDCDGQGAQIVHAVADGVSTADILPPDVDVPSGIVRSFFPLDEAVNYDGHELPLFVVQVTELVDGGVFLGFVYNHALSDGTAFWDFLNAWAEIARAKLENAVATSRAPLLERWSPDGGAAAPVVLPYDDLAGLIARTTTTTPPLLRERMLHFSAESLAALKERARWELLAAGDAAGAAAVTRFQALSSLLWRCVTRARRLAPGREVVFRASVNSRGRLRPPLPPEDFGNSILPASTEAVPASELLARGHGWAAVAVGRAVAAHTDERIRARSAAAPSVSAFRLFDASGVFVSSSPRFDMYGCDFGWGKAVAARSGKGNKYDGKVSLFPGRDGGGGGGIDAEVELAPEHMAALEEDGEFWAAVTPDHLLLVNDNNDKA >ORUFI07G02510.1 pep chromosome:OR_W1943:7:1914991:1922222:1 gene:ORUFI07G02510 transcript:ORUFI07G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMALQVVAGGGCCCQRPVLGAGRRRLAAARAVASDAAAAKVSEEEGKVRLGGSEVAVSKLGIGAWSWGDTTYWNDSEWDDRRLQEAKAAFDTSIDNGMTFFDTAEVYGTALMGAVNSESLLGGFIKERQEKEQIDVAVATKFAALPWRFGRGSVLSALKKSLDRLGLSSVELYQLHWPGLWGNEGYLDGLADAYEQGLVKAVGVSNYNEKRLRDAYARMKKRGVPLAANQVNYSLIYRTPELNGVKAACDELGITLIAYSPIAQGVLSGKYTPEKPPTGPRANTYTPEFLTKLQPLMNRIKEIGESYGKNPTQVSLNWLTCQGNVVPIPGAKNAGQAQEFAGALGWSLTGDEVEELRSLAREIKGIKMPIEES >ORUFI07G02510.2 pep chromosome:OR_W1943:7:1915167:1922222:1 gene:ORUFI07G02510 transcript:ORUFI07G02510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMALQVVAGGGCCCQRPVLGAGRRRLAAARAVASDAAAAKVSEEEGKVRLGGSEVAVSKLGIGAWSWGDTTYWNDSEWDDRRLQEAKAAFDTSIDNGMTFFDTAEVYGTALMGAVNSESLLGGFIKERQEKEQIDVAVATKFAALPWRFGRGSVLSALKKSLDRLGLSSVELYQLHWPGLWGNEGYLDGLADAYEQGLVKAVGVSNYNEKRLRDAYARMKKRGVPLAANQVNYSLIYRTPELNGVKAACDELGITLIAYSPIAQGVLSGKYTPEKPPTGPRANTYTPEFLTKLQPLMNRIKEIGESYGKNPTQVSLNWLTCQGNVVPIPGAKNAGQAQEFAGALGWSLTGDEVEELRSLAREIKGIKMPIEES >ORUFI07G02520.1 pep chromosome:OR_W1943:7:1923653:1927479:1 gene:ORUFI07G02520 transcript:ORUFI07G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLQVAGGCLAPLLPSRRAAAAAAALGIGAWSWGDTTYWNEFQWDEKRLRDAYERLKKRGVPLASNQVNYSLIYRNPEENGVKAACDELGITLIAYSPIAQGVLTGKYTPNNPPTGPRGRIYTPEFLTKLQPLINRIKEIGGSYEKTPTQCTNFDDIVVVLNWLICQGNVVPIPGAKNAEQAREFAGALGWSLTDQEVEELRSMAREIKPVIGFPVEKL >ORUFI07G02530.1 pep chromosome:OR_W1943:7:1938882:1944032:1 gene:ORUFI07G02530 transcript:ORUFI07G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDVPKQAGQKKKKIRRFVNPSSPPPYKNATDTSPSFFLRFNFPDEIEPNYNYPSISSTRHLTLLPRHSHFLSTSKRSKKNEVSVTCRLSKNCGAMDAGATARSSSSSAMMMNQKKPLLSDGELVELLWQDGGVVAHAQTRHRSSDVLARSGVTGEEETASACFANVDGHAAGALALATPTPTPRAAARSDDVSSRLDEADLSICGSNAVRGREELVDSLSEVADETRPSKRPAAKRRTRAAEVHNLSERRRRDRINEKLRALQELVPHCNKTDKASILDEAIEYLKSLQMQVQIMWMTTGIVPMMFPGTHQLMPPMGMGLNTACMPGAQAQGLNQMQRTTYYMNNSLPNQMPQIPSPAMNAPSVPDDMQNDNRIRGPRNPFLHCNDTLTATAQVPGLFTYGSQIAEQNEIQELLSGAVIPSSSDGTIK >ORUFI07G02530.2 pep chromosome:OR_W1943:7:1938882:1942682:1 gene:ORUFI07G02530 transcript:ORUFI07G02530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDVPKQAGQKKKKIRRFVNPSSPPPYKNATDTSPSFFLRFNFPDEIEPNYNYPSISSTRHLTLLPRHSHFLSTSKRSKKNEVSVTCRLSKNCGAMDAGATARSSSSSAMMMNQKKPLLSDGELVELLWQDGGVVAHAQTRHRSSDVLARSGVTGEEETASACFANVDGHAAGALALATPTPTPRAAARSDDVSSRLDEADLSICGSNAVRGREELVDSLSEVADETRPSKRPAAKRRTRAAEVHNLSERRRRDRINEKLRALQELVPHCNKTDKASILDEAIEYLKSLQMQVQIMWMTTGIVPMMFPGTHQLMPPMGMGLNTACMPGAQAQGLNQMQRTTYYMNNSLPNQMPQIPSPAMNAPSVPDDMQNDNRIRGPRNPFLHCNDTLTATAQVPGLFTYGSQIAEQNEIQELLSGAVIPSSSDGTIK >ORUFI07G02540.1 pep chromosome:OR_W1943:7:1947149:1949203:-1 gene:ORUFI07G02540 transcript:ORUFI07G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIQVTSSMPSHHAISFTSTSSPFTPKYPIQSRSDLRDRHHLSPTPVAEVHLRFLPLR >ORUFI07G02550.1 pep chromosome:OR_W1943:7:1947173:1950012:1 gene:ORUFI07G02550 transcript:ORUFI07G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGDRSWRKMMPISKIAARLDRVLGGKWRGGRGEADGVVARPTTVHCTRNDTAPRFSGLRIIPMVIEYSDVNMEIFQSIQKHLQTSAVISSKKSKYRYRVSTDRSKH >ORUFI07G02560.1 pep chromosome:OR_W1943:7:1950791:1954176:-1 gene:ORUFI07G02560 transcript:ORUFI07G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEREEMGFADLIRAPGRRRASSPSAVNPGDAPLPPPHRGRAILAQAGRRREEELGKEKEREEEQYVSKGILCPPANTRSSGDEKVGDMPGSLYAARMAANPMNSISLLAAAYGVRVRAK >ORUFI07G02560.2 pep chromosome:OR_W1943:7:1952756:1954176:-1 gene:ORUFI07G02560 transcript:ORUFI07G02560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEREEMGFADLIRAPGRRRASSPSAVNPGDAPLPPPHRGRAILAQAGRRREEELGKEKEREEEQYVSKGILVLTQLSLSILTGNNKIMGGVSSSYNGHFFAVSTSKYTIFGCLTAKIAIFRCPIANFA >ORUFI07G02570.1 pep chromosome:OR_W1943:7:1963411:1973958:-1 gene:ORUFI07G02570 transcript:ORUFI07G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWAHRVCGPVGTHPSGDGPFAIPIPANPLHFSHPKPKIRISLKKKTKNAMFSLLSRALCAASSSPAAPRGRSLLAALLSPSASPLDPCRGPAAPEPPRRRAFHGSPSPLGFRSTPASWSSPEAGAAVGGDDGLEVARLGISPWIVERLAARGITRLFPIQRAVLDPAMQGKDMIGRARTGTGKTLAFGIPIMDRILRHNEKNGSGRNPLAIILAPTRELARQVEKEFKESAPLDSLCVYGGVPISHQMRALNYGVDVVVGTPGRIIDLLRRGVLNLSEIQFVVLDEADQMLAVGFDEDVEVIMENLPQNRQSMLFSATMPSWIRKITSKYLKDPIIIDLVGDEDQKLPEGISLYSIASEHYGKPSILGPLIKVSTLLNFAPLTTLFSTLPQEHANGGKCIVFTQTKREADRLAYAMGRSYACQALHGDISQNQRERTLSGFRDGRFNILVATDVAARGLDIPNVDLVIHYELPNTSELFVHRSGRTARAGKKGSAILIYTNDQARAVRIIEQDIGCKFTEVIGYVGAGCGVGFSVGVTLAGVGVGLPQHGLIRNQYHSDEASDMFNVVRDNRSRLAGSPRTGGSSFGRGGYGGFGEGRSRGFGDFDGFGSSPDRGGRSRDAGSRYGSGFGDFRRPSNAFGRSSSKQPDGFGFGDFGEGNFSRNGNRRSRSFDDSGSTRYSRRPNGFGTSDFGRSGGFNHRSSDPLGLRPLQKAQPEKRTTATAGVDGDSSDKVATATTWPPTTVQPAKWPPPPPTHPIPETNPHTCPIPPKSTTRRHRRRRRPPIPPRFASNIPFESARFYTFTIIRGLVWDAISYASQVAAVRKESRQRLLNFHENPQISGGVNLPKLGKGVSSSIQSTMEWLTNGALLNGFVRHVGRAGQLQGSPADQHDGDQGWSQTGREREGVAGLGSVSP >ORUFI07G02570.2 pep chromosome:OR_W1943:7:1963411:1973958:-1 gene:ORUFI07G02570 transcript:ORUFI07G02570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWAHRVCGPVGTHPSGDGPFAIPIPANPLHFSHPKPKIRISLKKKTKNAMFSLLSRALCAASSSPAAPRGRSLLAALLSPSASPLDPCRGPAAPEPPRRRAFHGSPSPLGFRSTPASWSSPEAGAAVGGDDGLEVARLGISPWIVERLAARGITRLFPIQRAVLDPAMQGKDMIGRARTGTGKTLAFGIPIMDRILRHNEKNGSGRNPLAIILAPTRELARQVEKEFKESAPLDSLCVYGGVPISHQMRALNYGVDVVVGTPGRIIDLLRRGVLNLSEIQFVVLDEADQMLAVGFDEDVEVIMENLPQNRQSMLFSATMPSWIRKITSKYLKDPIIIDLVGDEDQKLPEGISLYSIASEHYGKPSILGPLIKEHANGGKCIVFTQTKREADRLAYAMGRSYACQALHGDISQNQRERTLSGFRDGRFNILVATDVAARGLDIPNVDLVIHYELPNTSELFVHRSGRTARAGKKGSAILIYTNDQARAVRIIEQDIGCKFTELEAQEPVAPLSDEEAMVVLEKAVPGALVILMALAVPLIVVGDHAMLVQDMAVGLEILDDPPMPLAGLVPSSLMDSDLVTLEKAISVEMATDALGVLMIQVRHGTADALMVLEHQISADQAGSMIPTDHRTPRSSDPLGLRPLQKAQPEKRTTATAGVDGDSSDKVATATTWPPTTVQPAKWPPPPPTHPIPETNPHTCPIPPKSTTRRHRRRRRPPIPPRFASNIPFESARFYTFTIIRGLVWDAISYASQVAAVRKESRQRLLNFHENPQISGGVNLPKLGKGVSSSIQSTMEWLTNGALLNGFVRHVGRAGQLQGSPADQHDGDQGWSQTGREREGVAGLGSVSP >ORUFI07G02570.3 pep chromosome:OR_W1943:7:1963411:1973958:-1 gene:ORUFI07G02570 transcript:ORUFI07G02570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWAHRVCGPVGTHPSGDGPFAIPIPANPLHFSHPKPKIRISLKKKTKNAMFSLLSRALCAASSSPAAPRGRSLLAALLSPSASPLDPCRGPAAPEPPRRRAFHGSPSPLGFRSTPASWSSPEAGAAVGGDDGLEVARLGISPWIVERLAARGITRLFPIQRAVLDPAMQGKDMIGRARTGTGKTLAFGIPIMDRILRHNEKNGSGRNPLAIILAPTRELARQVEKEFKESAPLDSLCVYGGVPISHQMRALNYGVDVVVGTPGRIIDLLRRGVLNLSEIQFVVLDEADQMLAVGFDEDVEVIMENLPQNRQSMLFSATMPSWIRKITSKYLKDPIIIDLVGDEDQKLPEGISLYSIASEHYGKPSILGPLIKVSTLLNFAPLTTLFSTLPQEHANGGKCIVFTQTKREADRLAYAMGRSYACQALHGDISQNQRERTLSGFRDGRFNILVATDVAARGLDIPNVDLVIHYELPNTSELFVHRSGRTARAGKKGSAILIYTNDQARAVRIIEQDIGCKFTELEAQEPVAPLSDEEAMVVLEKAVPGALVILMALAVPLIVVGDHAMLVQDMAVGLEILDDPPMPLAGLVPSSLMDSDLVTLEKAISVEMATDALGVLMIQVRHGTADALMVLEHQISADQAGSMIPTDHRTPRSSDPLGLRPLQKAQPEKRTTATAGVDGDSSDKVATATTWPPTTVQPAKWPPPPPTHPIPETNPHTCPIPPKSTTRRHRRRRRPPIPPRFASNIPFESARFYTFTIIRGLVWDAISYASQVAAVRKESRQRLLNFHENPQISGGVNLPKLGKGVSSSIQSTMEWLTNGALLNGFVRHVGRAGQLQGSPADQHDGDQGWSQTGREREGVAGLGSVSP >ORUFI07G02570.4 pep chromosome:OR_W1943:7:1963411:1973958:-1 gene:ORUFI07G02570 transcript:ORUFI07G02570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWAHRVCGPVGTHPSGDGPFAIPIPANPLHFSHPKPKIRISLKKKTKNAMFSLLSRALCAASSSPAAPRGRSLLAALLSPSASPLDPCRGPAAPEPPRRRAFHGSPSPLGFRSTPASWSSPEAGAAVGGDDGLEVARLGISPWIVERLAARGITRLFPIQRAVLDPAMQGKDMIGRARTGTGKTLAFGIPIMDRILRHNEKNGSGRNPLAIILAPTRELARQVEKEFKESAPLDSLCVYGGVPISHQMRALNYGVDVVVGTPGRIIDLLRRGVLNLSEIQFVVLDEADQMLAVGFDEDVEVIMENLPQNRQSMLFSATMPSWIRKITSKYLKDPIIIDLVGDEDQKLPEGISLYSIASEHYGKPSILGPLIKVSTLLNFAPLTTLFSTLPQEHANGGKCIVFTQTKREADRLAYAMGRSYACQALHGDISQNQRERTLSGFRDGRFNILVATDVAARGLDIPNVDLVIHYELPNTSELFVHRSGRTARAGKKGSAILIYTNDQARAVRIIEQDIGCKFTEVIGYVGAGCGVGFSVGVTLAGVGVGLPQHGLIRNQYHSGFASNIPFESARFYTFTIIRGLVWDAISYASQVAAVRKESRQRLLNFHENPQISGGVNLPKLGKGVSSSIQSTMEWLTNGALLNGFVRHVGRAGQLQGSPADQHDGDQGWSQTGREREGVAGLGSVSP >ORUFI07G02570.5 pep chromosome:OR_W1943:7:1957247:1973958:-1 gene:ORUFI07G02570 transcript:ORUFI07G02570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWAHRVCGPVGTHPSGDGPFAIPIPANPLHFSHPKPKIRISLKKKTKNAMFSLLSRALCAASSSPAAPRGRSLLAALLSPSASPLDPCRGPAAPEPPRRRAFHGSPSPLGFRSTPASWSSPEAGAAVGGDDGLEVARLGISPWIVERLAARGITRLFPIQRAVLDPAMQGKDMIGRARTGTGKTLAFGIPIMDRILRHNEKNGSGRNPLAIILAPTRELARQVEKEFKESAPLDSLCVYGGVPISHQMRALNYGVDVVVGTPGRIIDLLRRGVLNLSEIQFVVLDEADQMLAVGFDEDVEVIMENLPQNRQSMLFSATMPSWIRKITSKYLKDPIIIDLVGDEDQKLPEGISLYSIASEHYGKPSILGPLIKEHANGGKCIVFTQTKREADRLAYAMGRSYACQALHGDISQNQRERTLSGFRDGRFNILVATDVAARGLDIPNVDLVIHYELPNTSELFVHRSGRTARAGKKGSAILIYTNDQARAVRIIEQDIGCKFTELPKIAVADEASDMFNVVRDNRSRLAGSPRTGTGSSRQYYSGYYTG >ORUFI07G02570.6 pep chromosome:OR_W1943:7:1960433:1963120:-1 gene:ORUFI07G02570 transcript:ORUFI07G02570.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHLPSARAPANLPAGRPATTTTLPKIAVADEASDMFNVVRDNRSRLAGSPRTVILNAKYF >ORUFI07G02570.7 pep chromosome:OR_W1943:7:1957247:1963120:-1 gene:ORUFI07G02570 transcript:ORUFI07G02570.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHLPSARAPANLPAGRPATTTTLPKIAVADEASDMFNVVRDNRSRLAGSPRTGTGSSRQYYSGYYTG >ORUFI07G02580.1 pep chromosome:OR_W1943:7:1974924:1976862:-1 gene:ORUFI07G02580 transcript:ORUFI07G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGRHTSVLSVTNRSELLRQGQGATTREAASLAAIQDDTRAVAAVNAVLFSKDVAVAKETGWRRDAKITVRGRGHGNPPRALVVRPLPLLPPSPFLRNRGISSLPSNQKDQGLKELLDSHGGGPGDSSAMNGIAYKHINDDADKAKMADGAGLQDATDVDKFGTGAGGAGSQDVEGAGDQDKAKNVAAVVVDGTSDVDKAKDVAGVVVEGDADKADDRAPGARRRRRTKPRDERLLQRILLYARNISGKLDDLCSRPRTGAADMDKIKVMMNQLRWSIPFTTGLGLFVGVVVTVVLAIKFGIPFVIDKFAQELGMVLQSIDAEDVKMVIDTFTNLILENVWAFLVGKIPYFGRSK >ORUFI07G02590.1 pep chromosome:OR_W1943:7:1978743:1979102:-1 gene:ORUFI07G02590 transcript:ORUFI07G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFAGRSWRRRMDNGDPQPRCLLQWRRRMCVGEEATEMEISSICDGDYWKSAADVRGGAKLWIFNNCDARGVQQRGSASAKRGGKEKDGDTEGE >ORUFI07G02600.1 pep chromosome:OR_W1943:7:1995706:1997756:1 gene:ORUFI07G02600 transcript:ORUFI07G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGRPRLLSRSSQGEKNGRASRVVLNEKSEREKEILQDMERTIKRLPMITALSMVGGAGLAFGFLGCVFVIASASED >ORUFI07G02610.1 pep chromosome:OR_W1943:7:2017185:2018842:1 gene:ORUFI07G02610 transcript:ORUFI07G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGQDDAADRLTYEIFSILESKFLFGYGGGGGGETKSLQCAPPVSRGNRVCVLSVDGGARPEDGLLAAAALVRLEAAVQRRAGSKAARLADFFDVAAGSGAGGVLAAMLFARGPCGRPMYSADDALGFLLRRVRRRGWSSRAGGLLRRPAGAFHKVGVRVLVYFLYYSLAPWLVNQVFGELTLRDTVRPVLVPCYDLATRAPFLFSRADAAQSPAYDFRLRDACAATCAPSGGGAAVEASSVDGVTRITAVGSGVALGNPTAAAITHVLNNRREFPAAAGVDNLLVISIGTGEAAGSSSRHRARTPVIARIAAEGASDMVDQAVAMAFGQHRTSNYVRIQGMGVARRRGGGVACGGETAEKAVWVAEAMLQQRNVEAVMFQGRRLAGETNAEKVERFARELIKEHGRRKQHVPPAASGGGGGGGLDCHVSKKQP >ORUFI07G02620.1 pep chromosome:OR_W1943:7:2019051:2021424:-1 gene:ORUFI07G02620 transcript:ORUFI07G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLLLRALTLAGRKAKAACLPRPRALVMLAAGAHGATRATTTVPLPCLPLRALSSRAGEVDPRPRPRPEEIIIGGGADMVSPTAAASGGGEAMVGVGMSAPWLIGAAGASASASATIKLGSDPVAPATATEDSALLRARHLLSKAEQHHLAAALVCLVKNLPLPAIQDPEFVVLEADDSMVELIRDLIVAGGGHPEHGETTGGFVSLAPCVFDDARDKKTLPPSSGITNVSSLATANGIKIMIPVQSASKGSRRRLSSMQTTRCLSSTPNVSIPDDTSTSSANGDKRRANRIRLVDVSVRVTELEQLVRSLEKRLEDVEAKWDANLRIAELRADIAEKRADQLEKLLEKTVEGMERMVNNKMEQTITWVLQKNFQQEELAHSRHSSLLLHCTQLAQEMAATKDELHSVRRNYRDDILTSKFTVSITAWRIVLVLLGGFGGVTAFYVPYVIKDIKLETCEEVAKRISEMLQGIADAIKELREEVKANKRSRPKSYSGDQRGGGGCGRRDHQPKT >ORUFI07G02630.1 pep chromosome:OR_W1943:7:2022177:2030170:-1 gene:ORUFI07G02630 transcript:ORUFI07G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFVNLWDHSRRSKPPPPLRRFSSAGGETPDKRRFAGGGAIGGDVEASPAAPTSSASPSLWRIRRGKKLGECGSGEGDEPALGFRCEGKPPITPAAGTRGSRVDPRPDLPRHHLLRKLYKHIKIAADDVETKQIVFQDTQDKMPSNIISVVQCGGSIHLLWSQEAKFSIKPNITIMVAGIEMRHQRRWQSWIGLFKALHIEKIGKAEVVSA >ORUFI07G02630.2 pep chromosome:OR_W1943:7:2022177:2030170:-1 gene:ORUFI07G02630 transcript:ORUFI07G02630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFVNLWDHSRRSKPPPPLRRFSSAGGETPDKRRFAGGGAIGGDVEASPAAPTSSASPSLWRIRRGKKLGECGSGEGDEPALGFRCEGKPPITPAAGTRGSRVDPRPDLPRHHLLRIMVAGIEMRHQRRWQSWIGLFKALHIEKIGKAEVVSA >ORUFI07G02640.1 pep chromosome:OR_W1943:7:2035669:2039581:1 gene:ORUFI07G02640 transcript:ORUFI07G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSGDRRPALAFSKPDFTRDSEKDIDREIEQLAQKFEENSKRWKQEREELDNLRSVARKGNGRQRSSSAFLDAGFRDSEKDIDREIEQLAQKFEENSKRWKQEREELDNLRRLDLEEIEKSRERTQKILDVATGVSAGFFLVGIASYNNLI >ORUFI07G02650.1 pep chromosome:OR_W1943:7:2047310:2051629:-1 gene:ORUFI07G02650 transcript:ORUFI07G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLHTSVVIWQENKPPIQKSALANQPLLFLGPLALRACILAQRLAAACTCVRPGDGLLMKDRVRAFEKRMRRDLFVGCRLANFLGFCGGCYLFARVYI >ORUFI07G02650.2 pep chromosome:OR_W1943:7:2047310:2051629:-1 gene:ORUFI07G02650 transcript:ORUFI07G02650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLHTSVVIWQENKPPIQKSALANQPLLFLGPLAVYRRRRLMKDRVRAFEKRMRRDLFVGCRLANFLGFCGGCYLFARVYI >ORUFI07G02660.1 pep chromosome:OR_W1943:7:2055919:2056901:-1 gene:ORUFI07G02660 transcript:ORUFI07G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKKEVADDTILLISSDGEHFNVPSAAASLSQLVSNMIENDCTTNGVPLPNVASKNDCTTNGVPLPNVASKVLAKVIEYCVKHAAAAEDEEKELKSFNAEFMIDVDKNMLYGLLLASNFLNIKSLLDLCCQHTANLIKGKSPEQIRKEFGIKNDFTPEEEEEIRKENT >ORUFI07G02670.1 pep chromosome:OR_W1943:7:2057162:2060563:-1 gene:ORUFI07G02670 transcript:ORUFI07G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHHAAPTSPHRGFEAAMPFSAKSTRRPLDGNWQVINGHHNSQAPEEVSTMEEGSEDQSS >ORUFI07G02680.1 pep chromosome:OR_W1943:7:2057442:2057960:1 gene:ORUFI07G02680 transcript:ORUFI07G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEATTDGGGKMIILISADGKRFEVTEAVASQSQLISNMIEDDCTENGVRLPNVDGDILTMVVDYCNMHAGDAAAAGDTMKASSTEEELKKFDAELVQALENPVLFKLILAANFLNIKSLLDMTCQRVADMMSGKTPEQMRETFSIENDFTPEEEAAIRQENAWAFDD >ORUFI07G02690.1 pep chromosome:OR_W1943:7:2090221:2090502:-1 gene:ORUFI07G02690 transcript:ORUFI07G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETLSIKNEFTPEEEAGHDRIKKEVFADWASGSATLKQRAVVAIPSRQVRARVSGRSVSSSAAAVPPP >ORUFI07G02700.1 pep chromosome:OR_W1943:7:2098276:2098770:1 gene:ORUFI07G02700 transcript:ORUFI07G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKKEVADAAAGSGSDTILLISSDGEHFNVPSAAASLSQLVSNMIENDCTTNGVPLPNVASKVLAKVIEYCIKHAAAEEEEEKDLKSFDAEFIDVDKNMLYDLLLASNFMNIKSLLDLCCQHTANLIKGKSPEQIRKEFGIKNDFTPEEEEEIRKENTWAFE >ORUFI07G02710.1 pep chromosome:OR_W1943:7:2100686:2104985:-1 gene:ORUFI07G02710 transcript:ORUFI07G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILGSFLVLLLLAAPAFGQLPSQDILALLAFKKGITHDPAGFITDSWNDESIDFNGCPASWNGIVCNGANVAGVVLDGHGISGVADLSVFVNLTMLVKLSMANNNLSGSLPSNVGSLKSLKFMDISNNRFSGPIPDNIGNLRSLQNLSLARNNFSGPLPDSIDGLASLQSLDVSGNSLSGPLPSSLKGLRSMVALNLSYNAFTKGIPSGLGLLVNLQSLDLSWNQLEGGVDWKFLIESTVAHVDFSGNLLTSTTPKELKFLADISETVLYLNLSNNKLTGSLIDGVELSTFGRLKVLDLSHNQLSGDLPGFNYVYDLEVLRLANNAFTGFVPSGLLKGDSLVLSELDLSANNLTGHINMITSTTLQVINLSSNALFGDLPMLAGSCTVLDLSNNKFKGNLSVIAKWSNDLEYVDLSQNNLTGTIPDVSSQFLRLNYLNLSHNSLADTIPEAVVQYPKLTVLDLSSNQFRGPIPANLLTSSMLQELYIHDNMLSGGLSFPGSSSKNLSLQVLDISGNHFNGSLPDEIASLSSLQALDISTNNFSGPLPASITKLAALTALDISINQFTGSLPDALPDTLQSFNASYNDLSGVVPVNLRKFPESSFHPGNSRLDYPASSSGSGSSSGSAGGRSLSAAAKIALIAASIVALVILILVAIVCHYKQISRQFPSSEKVSDKNLHKTSKDMASTKGKDDKGGLVVSADELGAPRKGSTSEALSQEEKLSGVGGFSPSKGSRFSWSPDSGEAYTQEGLARLDVRSPDRLAGELHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLENGVFLTVKWLREGVARPKKEFSKEAKKFANIRHPNVVGLRGYYWGPTAHEKLILSDYVSPGSLASFLYADRPGRRGPPLTWAQRLKIAVDVARGLNYLHFDRAMPHGNLKATNILLDGLDLNARVADYCLHRLMTQAGVVEQILDLGVLGYRAPELAASKKPSPSFKSDVYAFGVVLLELLTGRCAGDVVSGSEGGVDLTDWVRLRVAEGRGSDCFDPAMASDSENQVSVKGMKDVLGIALRCIRPVSERPGIKSVYEDLSSI >ORUFI07G02720.1 pep chromosome:OR_W1943:7:2125885:2129823:-1 gene:ORUFI07G02720 transcript:ORUFI07G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDINLLRRQLGWWWRRGDGHEDDSDDEEGGAYDGNGTGEKALVVLVVSSVAASTSSSAAVSGSVPVGLAAKNLKLMHEGAQDLNLAFPQHHGHALQSLEFTAFPSLESSSMCNPGGNLAAVNGAGGRGSMGSLGMSAEYAAARLHLGEFRMPPPRQQQQQQGQTVLDFSQDTHGAGAGGGSGVFGACSAGSQESAVGMLLFPFEDLKPVVSAVAGDTNSGGDHQHDDGKNQGGDGGVIGGHETTGF >ORUFI07G02730.1 pep chromosome:OR_W1943:7:2133887:2141081:1 gene:ORUFI07G02730 transcript:ORUFI07G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIDLEMLPPIGVTGGEAERPPFKERGTTSLRYASASSSFILASAFVVTIAIARRQLD >ORUFI07G02740.1 pep chromosome:OR_W1943:7:2145217:2147164:1 gene:ORUFI07G02740 transcript:ORUFI07G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRTLVSKLRIPAAASRRTPPTFRSFCSASQELGSTAARATAKVVYPYVGHKAIFEPAIARQNRYRWWLTFLRLIRNYVALNAAFRASSHVQKPE >ORUFI07G02750.1 pep chromosome:OR_W1943:7:2157424:2159144:-1 gene:ORUFI07G02750 transcript:ORUFI07G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYDRGWWQLKDVGRELNKVKVQCASWMRPLAAQELDKGFAGVASWRSEKTMVAVVFVAVAVPVSLLIWTAAGLLSTSRVCAVSDAIPAASLKMDGSGAFGVLSMATRACGGGSGAAGLGSLLGQSDGGGCGVSGPAVSGSLTRTDGVGCKSCCCSCSLLVESPHASAEALGGKLVGWLLRLLLIEALCGNIILSISGVAYLCQIVTRQGFSRRHPNSCMFKAIEDSIRVEYRPSSRLLSIGLQSMLLRFDGELLDNILFSPVKLKKNLRLNNKPRIAPFPWRQPKGSPVYQAVCASSVEARGRGRHGIAAVPCRSRSLLLFGLSWRMFFLVQLALPSKWMVLFFMSYLLWRCSGDIISFKLCL >ORUFI07G02760.1 pep chromosome:OR_W1943:7:2164122:2171701:1 gene:ORUFI07G02760 transcript:ORUFI07G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVMASLALKPSASPLLERSKLRGKGTMARPSLIIVAKKAKKIQTSQPYGPAGGVVFKEGVDASGRVAKGKGLYQFSNKYGANVDGYSPIYTPEEWSSTGDVYVGDRNENDLQNLKNGVDRREAFDSLCFITRIRAIQPSSRPSLFRVMAKKAKKIQTSQPFGPGGGPNLKDGVDASGRPIKGKGVYQFASKYGANVDGYSPIYNPEEWSPSGDVYTGGKTGLLLWAVTLSGILLAGALLVYNTSALAS >ORUFI07G02770.1 pep chromosome:OR_W1943:7:2168721:2171270:-1 gene:ORUFI07G02770 transcript:ORUFI07G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMESFAWLLLILVLFSILEDVRGSKSKPILINCGSDSTTDVDGRRWIGDSSPKNFTLSLPGTVATAPDSDGKETYGDLYKNARIFNASSSYKFIVAAAGSYFLRLHFSQLPTNFSTKESLFDVSANGLKLVSKFNVPAEIYLRNSKINSTSRAIVKEYLLNVTSSNLEIEFSPDAESFAFINAMEIVPVSGNSVFDSVNKVGGYGLKGPFSLGDSAVETMYRICVGCGKIESKEDPGLWRKWDSDENFIFSMSAARAISNSSNISYVSSDDSTSAPLRLYETARVTTESSVMDKKFNVSWSFNVDPDFDYLVRLHFCELEYDKAEQRKFKIYINNKTAAENYDVFAKAGGKNKAFHEDFLDAASPQMDTLWVQLGSESSAGPAATDALLNGMEIFKVSRNGNLAHPTVRIGGFNSAMGKPKRSPKWVLIGAAAGLVIFVSIVGVIFVCFYLRWKKKTSANKTKDNPPGWRPLVLHGATTPAANSRSPTLRAAGTFGSNRMGRQFTVAEIREATMNFDDSLVIGVGGFGKVYKGEMEDGKLVAIKRGHPESQQGVKEFETEIEILSRLRHRHLVSLIGYCDEQNEMILVYEHMANGTLRSHLYGTDLPALTWKQRLEICIGAARGLHYLHTGLDRGIIHRDVKTTNILLDDNFVAKMADFGISKDGPPLDHTHVSTAVKGSFGYLDPEYYRRQQLTQSSDVYSFGVVLFEVLCARPVINPALPRDQINLAEWALKWQKQKLLETIIDPRLEGNYTLESIRKFSEIAEKCLADEGRSRPSIGEVLWHLESALQLHQGLLQSANTDDLSQPELKLSDASCNLGCIEEVEESCRAGSQDVNEEYVDVKIEVP >ORUFI07G02780.1 pep chromosome:OR_W1943:7:2175112:2183842:-1 gene:ORUFI07G02780 transcript:ORUFI07G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIQPVLDERMDPFTGRMIIPASTCQSRCTAHNDLSLFSGPLIDRTSSSSISEFNSEAEKFNDLEVPPPWLLSYHRSCDIGSNFEAFATVMSRLRQHLLDANVEINYTEYLDLMKLEVEQHLNKLKEDIRFLKSHSLVHDGDANGSCPMVCHHGKLVEIYEGFNGFKLLLVVVFRQIKEMLSLFSASIRDLQWEHEMQLEVTSIMIGDCIKSLQDELERKLYEQSSIVNTLKKNWKETVVQCGAIHEELIDIADMLLPSEEESNILNSKHEHFGNWSSGWKHKFFGKKSGEERTPSSNEENISSATQKSVCPREVISEKSDFRHLKGMNREEMIKYFRFEISKLKRLHELSLQEKTEELFKFKREKGSLALKYDPEFEPLRKKVPEIISRVDQIILNTINAPTAFSTNQVLEERGRLTGRIDSLYYANQNLRGLLAEKMKDIKDLSRQVSDASRKMSFQLSLEEKLSRQLHKIKGDYEDLHIQSTIRDEVYQTVTKRMFDDYRNSLQDPALTYQEKVTSLEAALSEKETALRLANEENQRLKEKLSKQEKEHGIQNNQDYPELIKQDNEEMILRDIEMEPHVSPRRSYAISEQNAEYEELIKLKQTLEIASTALKEVESNELDYNGILGKNEQEKQLEFILVSIMDLSKEFVQIENKMSGDMKGSEKGPEILGYQCKHMVQQALVLTKKGLWYKQMLDTRRSQLRKAEAEVDVLGNKVSTLLSLVQKIYVTLEHYSPVFQQYPGLLDAFLKTCKLVADSTTTGRRSAIRAQDWSSYVQLLCEEVISRTNKLSELKDYPINSSGDASPRKSRMLFKLVQESWHADEMIPPTELWLEQPATARERTGRGSAKGMAAGMQTQTVLPAPPSTPRMTLPMRGLWLFSMSHISPPVFVMLLIGVSPADVTDGSGNGMQISSALQR >ORUFI07G02780.2 pep chromosome:OR_W1943:7:2175906:2183842:-1 gene:ORUFI07G02780 transcript:ORUFI07G02780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIQPVLDERMDPFTGRMIIPASTCQSRCTAHNDLSLFSGPLIDRTSSSSISEFNSEAEKFNDLEVPPPWLLSYHRSCDIGSNFEAFATVMSRLRQHLLDANVEINYTEYLDLMKLEVEQHLNKLKEDIRFLKSHSLVHDGDANGSCPMVCHHGKLVEIYEGFNGFKLLLVVVFRQIKEMLSLFSASIRDLQWEHEMQLEVTSIMIGDCIKSLQDELERKLYEQSSIVNTLKKNWKETVVQCGAIHEELIDIADMLLPSEEESNILNSKHEHFGNWSSGWKHKFFGKKSGEERTPSSNEENISSATQKSVCPREVISEKSDFRHLKGMNREEMIKYFRFEISKLKRLHELSLQEKTEELFKFKREKGSLALKYDPEFEPLRKKVPEIISRVDQIILNTINAPTAFSTNQVLEERGRLTGRIDSLYYANQNLRGLLAEKMKDIKDLSRQVSDASRKMSFQLSLEEKLSRQLHKIKGDYEDLHIQSTIRDEVYQTVTKRMFDDYRNSLQDPALTYQEKVTSLEAALSEKETALRLANEENQRLKEKLSKQEKEHGIQNNQDYPELIKQDNEEMILRDIEMEPHVSPRRSYAISEQNAEYEELIKLKQTLEIASTALKEVESNELDYNGILGKNEQEKQLEFILVSIMDLSKEFVQIENKMSGDMKGSEKGPEILGYQCKHMVQQALVLTKKGLWYKQMLDTRRSQLRKAEAEVDVLGNKVSTLLSLVQKIYVTLEHYSPVFQQYPGLLDAFLKTCKLVADSTTTGRRSAIRAQDWSSYVQLLCEEVISRTNKLSELKDYPINSSGDASPRKSRMLFKLVQESWHADEMIPPTELWLEQPATARERTGRGSAKGMAAGMQTQTVLPAPPSTPRMTLPMRGLWLFSMSHISPPVFVYLCISADTNSS >ORUFI07G02790.1 pep chromosome:OR_W1943:7:2175752:2178257:1 gene:ORUFI07G02790 transcript:ORUFI07G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYTKTGGEIWDMEKSQSPRMGSVILGVDGGAGNTVCVCIPAAMPFADPLPVLSRAVAGCSNHNSVGEDKARETLERVMAQALLKARRRRSNVCAVCLAVAGVNHPIDQQRMLDWLREIFPSHVKLFVENDAVAALASGTMGKLHGCVLIAGTGTIAYGFTRDGREARAAGAGPVLGDWGSAYGISAQALTAVVRAYDGRGPETALTNSILDFLGLASPDELIGWTYEDQSWARIADLLPVVVESAEAGDEVANKILHNSVGELASSVKAVVQRLELSGEDGKDHFPLVMVGKVLMANKRWDIGKEVIDCVTKTYPGAYPIHPKVEPAVGAALLAWNAVASELDGSPRTVA >ORUFI07G02800.1 pep chromosome:OR_W1943:7:2187273:2190156:-1 gene:ORUFI07G02800 transcript:ORUFI07G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIFSMSVQQASKSKVAVKPLELDNAKEPPLNLYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPNTVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPTKKGICSNFLCDFKPGDKVQITGPSGKIMLLPEDDPNATHIMIATGTGVAPYRGYLRRMFMEDVPSFKFGGLAWLFLGVANTDSLLYDEEFTNYLQQYPDNFRYDKALSREQKNKNGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWEQKLSQLKKNKQWHVEVY >ORUFI07G02810.1 pep chromosome:OR_W1943:7:2194982:2196054:-1 gene:ORUFI07G02810 transcript:ORUFI07G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWIVSAMDAPAHDGVGEAAPNLVPVLAAPHVALQPRRLVPEAVLPVPAAGDGLAGATVAVGDGNGPVAKAVKPTSVQLMADTFAVAQRIDNININVENTRMTYIVKRRE >ORUFI07G02820.1 pep chromosome:OR_W1943:7:2199048:2200481:1 gene:ORUFI07G02820 transcript:ORUFI07G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPSHPHVAVVAFPFSSHAPKLLAVARALATAAPSATFSFLSTADSLARLPATAVVPAGNNNLRFVEVPTDGDQEETWPVWRRMEMFVEAAEGGGLRRAMEAAGDAAGGVAVSCVVGDAFMSMAAEVGVPWVAVWTGGPCALLAHIVGDAIREDIGDDDDLHGARGDELLTSYPGLGSYRVRDLPFGGGGVGGDMHRVMTMLLGRVARRLPRAATAVAINAFPGLFPPDVSAALADALPNCLPIGPYHLLPGAAATQANDDDPHGCLAWLARRPAGSVAYVSFGTVAAPPPDELRELAAGLEASGAPFLWSLREDSWPLLPPEFLDRATKAGDSAAGLVVAWTPQAAVLRHPAVGAFVTHSGWGAVLEAMSGGVPMACRPFFGDQHMNARAVARLWCFGMAFDDDNDGGGKPSMMTRGRVAEAVASLLAGEEEGARMMRARARELQAMVVSAFEPDGGSTKNLHKFVEIVCARRV >ORUFI07G02830.1 pep chromosome:OR_W1943:7:2224472:2235106:-1 gene:ORUFI07G02830 transcript:ORUFI07G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGDEPIIMAGPVPFVDVVVDNAGGAVANKADPGVVLPQEEEYESFVSSLPSNPKLQLLRYQGKWLLQSWVPGIIAIQRGGFAPRRGGGDVVLASLPKCGTTWLKALAFATMARRAHPPAGDEQHPLLRLNPHDCVPSMEKLFAAGLGSKIMDALPSPRLMATHVHHSLLPASITDNPHCKIIYICRDPKDMIVSLWHFVRRRLPEIPFLELFESACEGRCLSSPIWDHILGYWNASKTRPETVLFLRYEELLHDPADSVRKLARFVGQPFSPEEEEASDVEDIVRLCSFEQMKNLEVNRAAGLSPALQQNAYTNGGPIWNHIVGYWNASKARPETVLFLRYEEMLQYPIDNFRKLARFVGQPFSPDEEEAGVVMDSWTSRGGAGDWTNHMTPEMARHYNIDEVPEVYVKSLAYHS >ORUFI07G02840.1 pep chromosome:OR_W1943:7:2253820:2268851:1 gene:ORUFI07G02840 transcript:ORUFI07G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPETSKPAEAKPSKTRLSVPTGRFALGTASSIKKRTDVAPSAELGMSRSSLTKSTSSMNAAPVQRRSSTGSAGKQQDNGSSVVAKKASPSLSDGVKKSKPVTASMVSSKSSLEKKSSVQSERAKVDAMKKPAVKSSPISTLKKVPSLTENSSSSASSSFRRAASNATLNSPRSPSVTSSVTKKVGSRTSSMDKGSSMPIRKKSSTADSRDSRFMMLPQVDLKASDEVRLDSRGHRVRTLKQLRLTPVLEFVYLRDNRLSSLEGIEILKGVKVLDLSFNEFKLPGFEPLENCKLLQQLYLAGNQITSLATLPELPNLEFLSVAQNRLKSLCMASQPRLQVLAASRNKISVLKGFPHLPSLEHLRVEDNPLLEMPHLEAASILLVGPTLKKFNDRDLNPGEAEVAKQYPAHTAICIRDGWEFCSPELAADSTFSFLLEQWKNKLPQDLIVKKAHVDHPFEEDPCHCHFSFTNQCDEGELVLKYQWFIGDKTPTDFVPLPEELSEVYWPKREDVGRCLKVECTPILNDAEFPPIFAVSLPVSPGTGCPKVINLTVHGDLVEGNVLRGVPEIAWCGGMPGKVNTIDFVKPSFEASWLRRRWNGNAVVIDGADRMEYQLTLDDIDSSLVFMYTPVTEDGVKGEPQCTMTDFVKAATPSVSSVHVVGDIVEDNTIKGNGKYFGGKEGLSKFLWFREKENGYDRMSHTAISLSSLSIDKTPLCEFLLVLSNSTEYTLTKEDVGRPLKFVYVPINLEGQEGEAAYAMTDAVKKAPPKVLDLKIVGEAREGSKVSATATVKGGTEGFSRVQWFIGSSSKFLNENELRVLTTSKVSKTFRIPLSAVGYYIVAKFTPMAPDGETGEPAYAVSADVVEMLPPSLNFLTVTGEFSEGQMLTASYGYIGGHEGNSLFSWHLHETEDDEGSLVSEASGLLQYQVTKEAVGKFLSFKCVPIRNDGILGRPTILSLELTGEAIEGTTMVASRRYWGGEEGETIFRWILTSSDGSQKEIEGAASSSYTLNCNDIGFYISVLCEPVRSDGVHGSLVSTEESGPILPGPPTCLSLELAGPMVEGGCLTFHAEYTGGFKGDCIQEWFRLHSDGSKEKLSTDECLDLTLDDVDSRIELIFTPVRDDGSQGSPKSVLSDTILPGDPKGVDLVLPECFQDNEISPIKTYFGGKEGTGKYTWYRTKEKLDNLEADLVASCSEVGVNLMYKPSLDDVGFYLILHWVPARYDGEIGDPLVAVTSDPVMAAFPSVSDVHLKQKSSLLYSGTGVYYGGYEGSSLYKWYRESSDGTRHCIDGADLIIYEVTDADYSRRLLFGYIPVRSDGIIGEERLSEPSDIILPERLKIEALSFKGNQVERETLTVLEQIPSTAVQQHLWSNYKKEITYQWFASSGSEVDQTFEPLANQCSRSYKVRFEDIGRCLKCECSVSDVFGRSSELISIVTAPILPGKPKIEKLEIEGRGFHTNLYAVRGTYSGGKEGKSKIQWLRSMVGSPDLISIPGEIGRTYEANVDDVGYRLVIIYTPVREDGVEGQPISASTEPIAVEPEIYKEVKQKLDDGSVKFEVLCDKDRTPKKAQVMGHLERRILEVNRKRIKVVKPGSKASFPTTEVRGTYVPPFHVELYRNDQHRFKIVVDGESEVDLMVQTRHMRDVIILVIRGLAQKFNSTSLNSLLKIEA >ORUFI07G02840.2 pep chromosome:OR_W1943:7:2254509:2268851:1 gene:ORUFI07G02840 transcript:ORUFI07G02840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPETSKPAEAKPSKTRLSVPTGRFALGTASSIKKRTDVAPSAELGMSRSSLTKSTSSMNAAPVQRRSSTGSAGKQQDNGSSVVAKKASPSLSDGVKKSKPVTASMVSSKSSLEKKSSVQSERAKVDAMKKPAVKSSPISTLKKVPSLTENSSSSASSSFRRAASNATLNSPRSPSVTSSVTKKVGSRTSSMDKGSSMPIRKKSSTADSRDSRFMMLPQVDLKASDEVRLDSRGHRVRTLKQLRLTPVLEFVYLRDNRLSSLEGIEILKGVKVLDLSFNEFKLPGFEPLENCKLLQQLYLAGNQITSLATLPELPNLEFLSVAQNRLKSLCMASQPRLQVLAASRNKISVLKGFPHLPSLEHLRVEDNPLLEMPHLEAASILLVGPTLKKFNDRDLNPGEAEVAKQYPAHTAICIRDGWEFCSPELAADSTFSFLLEQWKNKLPQDLIVKKAHVDHPFEEDPCHCHFSFTNQCDEGELVLKYQWFIGDKTPTDFVPLPEELSEVYWPKREDVGRCLKVECTPILNDAEFPPIFAVSLPVSPGTGCPKVINLTVHGDLVEGNVLRGVPEIAWCGGMPGKVNTIDFVKPSFEASWLRRRWNGNAVVIDGADRMEYQLTLDDIDSSLVFMYTPVTEDGVKGEPQCTMTDFVKAATPSVSSVHVVGDIVEDNTIKGNGKYFGGKEGLSKFLWFREKENGYDRMSHTAISLSSLSIDKTPLCEFLLVLSNSTEYTLTKEDVGRPLKFVYVPINLEGQEGEAAYAMTDAVKKAPPKVLDLKIVGEAREGSKVSATATVKGGTEGFSRVQWFIGSSSKFLNENELRVLTTSKVSKTFRIPLSAVGYYIVAKFTPMAPDGETGEPAYAVSADVVEMLPPSLNFLTVTGEFSEGQMLTASYGYIGGHEGNSLFSWHLHETEDDEGSLVSEASGLLQYQVTKEAVGKFLSFKCVPIRNDGILGRPTILSLELTGEAIEGTTMVASRRYWGGEEGETIFRWILTSSDGSQKEIEGAASSSYTLNCNDIGFYISVLCEPVRSDGVHGSLVSTEESGPILPGPPTCLSLELAGPMVEGGCLTFHAEYTGGFKGDCIQEWFRLHSDGSKEKLSTDECLDLTLDDVDSRIELIFTPVRDDGSQGSPKSVLSDTILPGDPKGVDLVLPECFQDNEISPIKTYFGGKEGTGKYTWYRTKEKLDNLEADLVASCSEVGVNLMYKPSLDDVGFYLILHWVPARYDGEIGDPLVAVTSDPVMAAFPSVSDVHLKQKSSLLYSGTGVYYGGYEGSSLYKWYRESSDGTRHCIDGADLIIYEVTDADYSRRLLFGYIPVRSDGIIGEERLSEPSDIILPERLKIEALSFKGNQVERETLTVLEQIPSTAVQQHLWSNYKKEITYQWFASSGSEVDQTFEPLANQCSRSYKVRFEDIGRCLKCECSVSDVFGRSSELISIVTAPILPGKPKIEKLEIEGRGFHTNLYAVRGTYSGGKEGKSKIQWLRSMVGSPDLISIPGEIGRTYEANVDDVGYRLVIIYTPVREDGVEGQPISASTEPIAVEPEIYKEVKQKLDDGSVKFEVLCDKDRTPKKAQVMGHLERRILEVNRKRIKVVKPGSKASFPTTEVRGTYVPPFHVELYRNDQHRFKIVVDGESEVDLMVQTRHMRDVIILVIRGLAQKFNSTSLNSLLKIEA >ORUFI07G02850.1 pep chromosome:OR_W1943:7:2269448:2270596:1 gene:ORUFI07G02850 transcript:ORUFI07G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLSAATSVPQFHGLRTYSSPRSMVTLPSLRMSKKRSQGIRCDYIGSATNVIMVTTTTLMLFAGRFGLAPSANRKSTAGLKLEARDSGLQTGDPAGFTLADTLACGAVGHIMGVGVVLGLKNIGVLDQIIG >ORUFI07G02860.1 pep chromosome:OR_W1943:7:2295527:2297535:1 gene:ORUFI07G02860 transcript:ORUFI07G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFIAGRLRRPSTLLTVLRPGPAAAATKRSIHHQLVDRQTKNAPSAAAGCIWATTVAGSCSKAGPAAPKLPLLPGNTPTLRSPSAAAGCSWGLATAAAATGPKSTALAAVCSRGLATAASATAAKSTALAAVCSRGLATATGKSTVLAAVRAKIVGVAVALRRVMVGSQRAHIALARLARIYRGDGSTMPRVATAADMSVVEAPSSSSSPSRAHCEGQQGCEGWSWRPGCVLVCTFVAVRFEGATPAEAIYKNLSYLEVFFKTSLLAVGDAVKLWVQQQPSHDCDINDGFST >ORUFI07G02870.1 pep chromosome:OR_W1943:7:2299878:2300901:-1 gene:ORUFI07G02870 transcript:ORUFI07G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSLLTKLRIPAAASRRTLPPFRSSSTAPQAKGKLSSTATAQDGTPVPGEGRRILEQIMEEGDKRQGKLMWYEIIGNFIAFNATLYTVYLL >ORUFI07G02880.1 pep chromosome:OR_W1943:7:2305483:2308699:-1 gene:ORUFI07G02880 transcript:ORUFI07G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAARKAMAGLGGGSIGRRLHGGGRTNNGQARQWKGEPWRAQRWQGEVVGDVPVDSETPIVTSSISPGFAGPVFEIALLCVRRGGVPNLHLQTQPKQVIVNKVE >ORUFI07G02890.1 pep chromosome:OR_W1943:7:2310276:2323552:1 gene:ORUFI07G02890 transcript:ORUFI07G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSSPVNTGRTGGARRWMEQARPRQYCNHEEELDGGGNELELASTMGRSSCSLLGGAIGGWVGGGLGLLAGGGVERCGRTLGESSDVGHWGWVVATLHC >ORUFI07G02900.1 pep chromosome:OR_W1943:7:2325903:2331507:-1 gene:ORUFI07G02900 transcript:ORUFI07G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGPRHIGPALAHLTSPPRWAGDSRPTLQKSTARNRAKIHSARAGGGARTAASRRPVAPPMSEAIPSTRPALRHCVALLRLHLAAPSLAAAKQIHARALRAGVPTSHPLLAKHLLFHLAALRAPPLRYAVAVLSRLLPHGPLDPFPLNTVLRIAAGSPRPRVALELHRRRLALPDTHTYPPLIQACARLLALREGECLHAEAAKNGFVTLVFVQNSLVHLYGACGLFESAHKVFDEMPVRGRNLVSWNSMLNSFAANGRPNEVLTVFWEMLGVDFAPDGFTIVSVLTACAEFGALALGRRVHVYVEKVGLVENSHVSNALIDLYAKCGSVNDARRIFEEMGLGRTVVSWTSLIVGLAANGFGKEALELFSLMEREKLVPTEITMVGVLYACSHCGLVDDGFRYFDRMKEDYGISPRIEHLGCMVDLLGRAGRVEEAYDYIITMPLEPNAVVWRTLLGSCAMHKKLELGKVAWERLVELDPGHSGDYVLLSNLYAAVGMWADVHVLRKTMVKDRVRKNPGHSLVELRNSVYEFVMGDRSHPESEQIYEMLAEIAERLRREGYIPRTSNVLADIEEEEKETALNYHSERLAIAFALLKSLPGIPIRIIKNLRMCGDCHVAFNLISKVYDREIIVRDRSRRPSNYFVDMLIRYQYKRENYRDMRHVMRTTPNYFSAAGARVAPQEKAESATPIPTLSPPEGNMTFVDGVTWCVARPGVSQEDLQNALDWACGQGGADCTPLQPGGRCYQPDTLLSHASYAFNIFYQQNGNSDIACNFGGAGTIIKRNPIPGIRDIFCISTDSRKHVDDLRSCVYDVSSSESFPIRVNWVDATKKALACIAAEVE >ORUFI07G02900.2 pep chromosome:OR_W1943:7:2325903:2331507:-1 gene:ORUFI07G02900 transcript:ORUFI07G02900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGPRHIGPALAHLTSPPRWAGDSRPTLQKSTARNRAKIHSARAGGGARTAASRRPVAPPMSEAIPSTRPALRHCVALLRLHLAAPSLAAAKQIHARALRAGVPTSHPLLAKHLLFHLAALRAPPLRYAVAVLSRLLPHGPLDPFPLNTVLRIAAGSPRPRVALELHRRRLALPDTHTYPPLIQACARLLALREGECLHAEAAKNGFVTLVFVQNSLVHLYGACGLFESAHKVFDEMPVRGRNLVSWNSMLNSFAANGRPNEVLTVFWEMLGVDFAPDGFTIVSVLTACAEFGALALGRRVHVYVEKVGLVENSHVSNALIDLYAKCGSVNDARRIFEEMGLGRTVVSWTSLIVGLAANGFGKEALELFSLMEREKLVPTEITMVGVLYACSHCGLVDDGFRYFDRMKEDYGISPRIEHLGCMVDLLGRAGRVEEAYDYIITMPLEPNAVVWRTLLGSCAMHKKLELGKVAWERLVELDPGHSGDYVLLSNLYAAVGMWADVHVLRKTMVKDRVRKNPGHSLVELRNSVYEFVMGDRSHPESEQIYEMLAEIAERLRREGYIPRTSNVLADIEEEEKETALNYHSERLAIAFALLKSLPGIPIRIIKNLRMCGDCHVAFNLISKVYDREIIVRDRISAAGARVAPQEKAESATPIPTLSPPEGNMTFVDGVTWCVARPGVSQEDLQNALDWACGQGGADCTPLQPGGRCYQPDTLLSHASYAFNIFYQQNGNSDIACNFGGAGTIIKRNPIPGIRDIFCISTDSRKHVDDLRSCVYDVSSSESFPIRVNWVDATKKALACIAAEVE >ORUFI07G02910.1 pep chromosome:OR_W1943:7:2332064:2338458:-1 gene:ORUFI07G02910 transcript:ORUFI07G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTASFVTSLLTSFVIFVVLVLVFTWLSSRPGNAPVYYPSVLLRGLDPWEGRGRGTRSPVGWLRQAISASEGDVVAAGGVDAAVYLVFLSSVLSILVFSGIVLLPVLLPVAATDDNLNLERAIGLKNGKTPQNFTELEKLALGNVQEHSRRLWAFLLSVYWVSFVTYFVLWKSYKHVSNMRAAARSTPDVKPEEFAVLVRDVPKPPPDQTIKDSVDSYFRALHPDTFYRSMVVTDHTKADKIYQEIEGHKQKIARAEVVYAESKTTGKPEGTKPTHRIGFLGLIGKKVDTIEYCNDQIKELLPKLEAEQKTTLREKQQQAAIVFFNRRSAAASASQTLHAQMFDKWTVEQAPEPRQIIWSNLSKKIYERQIRQVVVYTIVFLTVVFYMIPITAISALTTLEKLREKLPFLKVVVDQPKIKTVLQAYLPQLALIVFLALLPSLLMFLSKLEGIPSQGHTVRAAAGKYFYFIVFNVFLGVTISSTLFSALTTIINNPPGIVNMLASSLPGSATFFLTFVALKFFVGYGLELSRLVPLIIFHLKRKYLCKTEDEVRAAWAPGDLGYNTRVPNDMLIVTIVLCYSVIAPLIIPFGVAYFALGWIIVKNQVLRVYVPSYESNGRMWPHMHTRIIAALLIYQITMVGVILLKKFLYSPVLVPLIPISFIFAYICHMRFYPAFAKTPLEVVQHNVKDTPNMDAVYTSYIPACLKPEKLEDVDIFEDAQLHTTSRAPSI >ORUFI07G02920.1 pep chromosome:OR_W1943:7:2350410:2352811:1 gene:ORUFI07G02920 transcript:ORUFI07G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETPVETPAAPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQDYVKSH >ORUFI07G02930.1 pep chromosome:OR_W1943:7:2369398:2378773:-1 gene:ORUFI07G02930 transcript:ORUFI07G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVRSSSAAPPDPPPRSASPPATPVASSAGASSPPAQTNAASIDWLGGEPISKVESSSQIAPHAPQPSLSTNAAGAAVDFSQPSCRPWERGDLLRRLATFKSSTWASKPKAASSLACARRGWVNIEMDKIACESCGSHLIFTALTSWSPAEVANAGEAFAEQLDASHLGDCPWRGNSCADSLVQFHLTPSALVGGFKDRCDGLLQFISLPVIAKSAIESMKLTRSPQIDRVLSQAITILSGELGYKTDSTTGIDINHQDESCSYSQAQKLISLCGWEPRWLPNVQDWEENSTRSAKHTASADPDQIHSRLPEHKQNSYSASVKKDKGKGKIRVKDSGCSMRSPLLDCSLCGATVRIWDFRSVPRPSHLSINNIDAPDMRKGVLTRGISATSGINGWVAEGTERENVEGRGEAGTDEGKSLSNAQVDLNLTMAGGLPSTHSVMPSMHDHFNDGGMGRDLMIGQPTGSELGGFAASFESRGPSSRKRNLEEGGSTADKPLNRLHPADSIEGTVIDRDGDEVDDGAQDSDIRSNKRPRGFNLFDVNRPSSSGAGPSRNLSFDLDIDVNKFDTYKAEGPSALHNPSASMRASSVIAMDTVHGAEENSTESVEYHPCDVDDVHKPSSAVRSGGMSEALDLNYSNQAPQSSFVQPATESNAREIGGSSMNGGEEVLNAETAPAFARDQLSVGVSGGSVGMGASHEAEIHGVDVSEHKTDSVVGDVEPAPELTENMGNTGESAPGPGMMDEFVPEDVGREEPQGDSQDVASRLVGRADSGSKICGSTKADSVESGEKMSHAIGHESNLQHSLSRNARVYSGIDLSKDEVTQIAKLPANDDYDPGDDLAANGGNDYEAGLPEFDPISHHNNYCPWVNGHVAAACCINTGSSTSTGLSGWQLTVDALETIQSLAQAQNQIMPSDSAASLYKDDHVAPSRKLLKRASHSKFQKSFEAIHDQVIMALRCMLMRARTTALRPPPSSGQTSSPASILAGALRSPAAVLMTPHTSPAAAAASSRRHLSTLFRQIGEGYNPVAKRGLYVRDVLQRFGLTHKRNCSSSAYPAQYSKIRHDPSLELGLRRKGEIWEHIKTASIILGSCSAIAAAYFVVGSFMMQELDAKMKHRFDHAKTHIDAKMDEQGFREAIGMLFLLFILMLFLFALVDADDCKGKSIRKRKKKPSLLHN >ORUFI07G02940.1 pep chromosome:OR_W1943:7:2382153:2385846:-1 gene:ORUFI07G02940 transcript:ORUFI07G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGGRTRVGRYELGRTLGEGTFAKVKFARNADSGENVAIKILDKDKVLKHKMIAQIKREISTMKLIRHPNVIRMHEVMASKTKIYIVMELVTGGELFDKIASRGRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGTLKVSDFGLSALSQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMSLYKKIFKADFSCPSWFSTSAKKLIKKILDPNPSTRITIAELINNEWFKKGYQPPRFETADVNLDDINSIFNESGDQTQLVVERREERPSVMNAFELISTSQGLNLGTLFEKQSQGSVKRETRFASRLPANEILSKIEAAAGPMGFNVQKRNYKLKLQGENPGRKACATTLSWVYRLKSVHPFLKVFEVTPSLYMVELRKSNGDTLEFHKFYHNISNGLKDVMWKPESSIIEGDEIQHRRSP >ORUFI07G02950.1 pep chromosome:OR_W1943:7:2402552:2403415:-1 gene:ORUFI07G02950 transcript:ORUFI07G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLVYKAIVEYRNKASSRQVTLRSFFFVTVVDDQLHGGDSGRWCYAPSPPASAAHQLVSPLLRSAPRRHRAEITWKNACEVCMVQISKGSSSTSHLQEQSKLQNLHDPRTRFN >ORUFI07G02960.1 pep chromosome:OR_W1943:7:2426016:2426579:1 gene:ORUFI07G02960 transcript:ORUFI07G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERVFAELATIHCQKSLPCRHSFDPPRTTPILHLYIIHLLLPPLIAIVCLCYIAIVPFEEEEERMRMQVVEAAAVEEEEAAAAMMSVYERVARMASGNAVVVFSASGCCMCHVVKRLLLGLGVGPAVYELDQLPPAAASQLLPPGQPPVPVVFVGGRLLGGVEKVMACHINGTLVPLLKQAGALWL >ORUFI07G02970.1 pep chromosome:OR_W1943:7:2434139:2437720:1 gene:ORUFI07G02970 transcript:ORUFI07G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVHEFDGSTFRECFSLSWRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFPSVDKNTWLQEMIVSMAVAGAIIGAAIGGWANDRYGRRTSILVADALFFAGAAVMASATGPAQLVVGRVFVGLGVGTASMTSPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLAFTKAPGTWRWMLGVAAVPAVVQFFLMLFLPESPRWLYRKGREEEAEAILRKIYSAEEVEREKEELKESVEAEARERSSSEKTSLVALLMTTATVRRGLVAGVGLQVFQQLVGINTVMYYSPTIVQLAGFASNQTALALSLVTAGLNAAGSLVSIYFIDRTGRRKLLVISLAGVILSLALLSAVFHEATSHSPPVGAAETAHFHGGALTCPEYSSRSSSSSSFWDCTRCLKASAASAGCGFCAAGGGDKLRAGACLAAAASNTTARDACRGEGREWYTRGCPSRYGWLAMAGLALYIAAFSPGMGTVPWIVNSEIYPLRHRGVCGGAAATANWVSNLAVAQSFLSLTDAIGAAWTFLIFGGLSVAALAFVLVCVPETKGLPIEEVEKMLENRELRLRFWAKRRRSGDDGDGDGGGEKTRGV >ORUFI07G02980.1 pep chromosome:OR_W1943:7:2438643:2441227:1 gene:ORUFI07G02980 transcript:ORUFI07G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSDDYAQIDISTEEKDKLVAEVMRHVLFKTHQTTGCPIKREELTQIVTKNYRQRALPALVIKEAGDRLAATFGYEMRELQRTRAPSTRSGRPSQQQVNVDAKSYVLVSKLDPEVYMKYVEHKEAAHVSGFAFVVISIVHLSGGKISEGNVSRFISMSVRKSSPAIIFLKFANHISEDLWHQLRRLGLNESDENHPVLGNNKQALELLVQQRYLLKEKLSGPEGHSMMYELAERALDESISGKLKDYISQVVSTSTAAEVD >ORUFI07G02990.1 pep chromosome:OR_W1943:7:2441341:2441683:-1 gene:ORUFI07G02990 transcript:ORUFI07G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDEGIRVIHEELAKIEATSKEIAEIIRYNRFHRRCIMGSVVLGMGLAGVSCVWYS >ORUFI07G03000.1 pep chromosome:OR_W1943:7:2447132:2461956:-1 gene:ORUFI07G03000 transcript:ORUFI07G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGSNWVWTNGGDVATSFGGVASGRISASNDLVIMGNALETSRPLTTGLKGCLARVIVARRGILACLYQYFGFTSCHFDERTPGTEKGRKLWLCIGLIGVKHKRENDILVREGFVMRDDRGGALLDTVVYSFVEKGFEECVSGKLRKKIKPILQKTHLTDTPNLNHPIAISNDRSPTQCPRSLLSRIHAIAPHAHSFPHAASFALFPASPHFASASPPHLAANAAAVDSAPDPRDRAPAGDAAVAHPRPLPTPDPPTAPHSPQSAAASRCHHRMIRELQRNDDLWLLAPPGYGCIDEQCMDGNLYITFLHHEHDDLSSGVKAGRDLNVVTELGV >ORUFI07G03010.1 pep chromosome:OR_W1943:7:2462758:2463090:-1 gene:ORUFI07G03010 transcript:ORUFI07G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATARVRLGTGAAASGGGEGGEATHPIAAATTTTTRLVRSGCCDVGREVVRGWLRACYGGDEVNGELHNLSTTTTTAPALERTSTSAPPLQGAGATSSQRRRCLSVPSS >ORUFI07G03020.1 pep chromosome:OR_W1943:7:2465314:2479276:-1 gene:ORUFI07G03020 transcript:ORUFI07G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRYLACKLRAPAAALRRPRSLSANASQGGRSNATTENVARNTDGDLGWLEEEIAKLEKLRLEIEETTRYNRLHKRCLIGSVFAGFGLGGLACAWYTHSYRKALKEHFDNPIVWMPPSSTSSPE >ORUFI07G03030.1 pep chromosome:OR_W1943:7:2506479:2511814:1 gene:ORUFI07G03030 transcript:ORUFI07G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGSNNKRGRVRGPNDEDDDAGEPDAKRHHHQLLLPWPQQQQQQHPASRIYRVSRASGGKDRHSKVYTAKGIRDRRVRLSVATAIQFYDLQDRLGYDQPSKAIEWLIKAAAAAIDKLPSLDTASFPTHPASSAAVAAAAAPPLPHAEREQQQQLTKSGCSSTSETSKGSVLSLSRSESRVKARERARERSSAAAAAASKDAGDDAATPTAPTAAPASSQAASFTELLTGMAAANASPADHKQQQAWQPMTVAAATADYIGFAAAAAPHTQPRKSASGHHSAMPHTFASPAPHLANITPIAMAPAQHFTLTPAAAEHHAEMTHYSFDHFMPVHAAAAAAAAASTPAGGDYNLNFSMSSGLVGVHSRGTLQSNSQSHLSSHHHHHHQQQQHQQQLQRLSAPLDAPNIPFLFSPAAAPTAADTQFAAALQLWDGK >ORUFI07G03040.1 pep chromosome:OR_W1943:7:2513203:2516699:-1 gene:ORUFI07G03040 transcript:ORUFI07G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVARVLLAAAVFFAAVAAAAAASSSAAAVAALMEFKTKLDDVDGRLSSWDAAGGSGGGDPCGWPGIACSAAMEVTAVTLHGLNLHGELSAAVCALPRLAVLNVSKNALAGALPPGLAACRALEVLDLSTNSLHGGIPPSLCSLPSLRQLFLSENFLSGEIPAAIGNLTALEELEIYSNNLTGGIPTTIAALQRLRIIRAGLNDLSGPIPVEISACASLAVLGLAQNNLAGELPGELSRLKNLTTLILWQNALSGEIPPELGDIPSLEMLALNDNAFTGGVPRELGALPSLAKLYIYRNQLDGTIPRELGDLQSAVEIDLSENKLTGVIPGELGRIPTLRLLYLFENRLQGSIPPELGELTVIRRIDLSINNLTGTIPMEFQNLTDLEYLQLFDNQIHGVIPPMLGAGSNLSVLDLSDNRLTGSIPPHLCKFQKLIFLSLGSNRLIGNIPPGVKACRTLTQLQLGGNMLTGSLPVELSLLQNLSSLDMNRNRFSGPIPPEIGKFRSIERLILSENYFVGQIPPGIGNLTKLVAFNISSNQLTGPIPRELARCTKLQRLDLSKNSLTGVIPQELGTLVNLEQLKLSDNSLNGTIPSSFGGLSRLTELQMGGNRLSGQLPVELGQLTALQIALNVSYNMLSGEIPTQLGNLHMLEFLYLNNNELEGEVPSSFGELSSLLECNLSYNNLAGPLPSTTLFQHMDSSNFLGNNGLCGIKGKSCSGLSGSAYASREAAVQKKRLLREKIISISSIVIAFVSLVLIAVVCWSLKSKIPDLVSNEERKTGFSGPHYFLKERITFQELMKVTDSFSESAVIGRGACGTVYKAIMPDGRRVAVKKLKCQGEGSNVDRSFRAEITTLGNVRHRNIVKLYGFCSNQDCNLILYEYMANGSLGELLHGSKDVCLLDWDTRYRIALGAAEGLRYLHSDCKPKVIHRDIKSNNILLDEMMEAHVGDFGLAKLIDISNSRTMSAIAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELVTGQSPIQPLEQGGDLVNLVRRMTNSSTTNSEIFDSRLNLNSRRVLEEISLVLKIALFCTSESPLDRPSMREVISMLMDARASAYDSFSSPASEAPIEDDSSLKH >ORUFI07G03050.1 pep chromosome:OR_W1943:7:2545067:2546275:1 gene:ORUFI07G03050 transcript:ORUFI07G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTPAAAAVTGEHDDDELAAFGMRARIALHVLQVGFGFVEEDLRIRERSDLVLRMNPVHRSVPILIHRGRPICGSINILQYIDEVWAKRVGTRLLPPDPLKRASARFWADFVDHESKGEEKEMAKAELLDQLRRLEGVLGDRSFFSGDEFGFLDIVLIPFSSMFHGYKQHMWVKRCKERESVRQVLPDEGEMYELHKKWYGIE >ORUFI07G03060.1 pep chromosome:OR_W1943:7:2547796:2555100:1 gene:ORUFI07G03060 transcript:ORUFI07G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVTEYQAIAKQKLPKMIYDYYASGAEDEWTLQENREAFARILFRPRILIDVSKIDMATTVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRRVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPFLTLKNFEGLELGKMDQASDSGLASYVAGQIDRTLSWKDVKWLQTITTLPILVKGVITAEDTRLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQLPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVFSLAAAGEAGVRNVLQMLRDEFELTMALSGCTSLADITRNHVITEADKLGVMPSRL >ORUFI07G03060.2 pep chromosome:OR_W1943:7:2547830:2555100:1 gene:ORUFI07G03060 transcript:ORUFI07G03060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVTEYQAIAKQKLPKMIYDYYASGAEDEWTLQENREAFARILFRPRILIDVSKIDMATTVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRRVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPFLTLKNFEGLELGKMDQASDSGLASYVAGQIDRTLSWKDVKWLQTITTLPILVKGVITAEDTRLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQLPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVFSLAAAGEAGVRNVLQMLRDEFELTMALSGCTSLADITRNHVITEADKLGVMPSRL >ORUFI07G03060.3 pep chromosome:OR_W1943:7:2547796:2555100:1 gene:ORUFI07G03060 transcript:ORUFI07G03060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVTEYQAIAKQKLPKMIYDYYASGAEDEWTLQENREAFARILFRPRILIDVSKIDMATTVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASAAGTIMVYKDRRVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPFLTLKNFEGLELGKMDQASDSGLASYVAGQIDRTLSWKDVKWLQTITTLPILVKGVITAEDTRLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQLPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVFSLAAAGEAGVRNVLQMLRDEFELTMALSGCTSLADITRNHVITEADKLGVMPSRL >ORUFI07G03070.1 pep chromosome:OR_W1943:7:2549313:2557640:-1 gene:ORUFI07G03070 transcript:ORUFI07G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERRRDDGGDVEVELSLRLRTGDDSTSADPAPATAAVEARRNLTIFYNGRMCAVNVTELQARTIISMASQGNFGKQQQQQIQGRDDHHYHQGESSSGGGVSTAAARHCDVAGSSSSHSGSGSGSATPPRPALVSPRAGLQAAAAAAPTMNQPPAASGLSMKRSLQRFLEKRKTRAAAPLYYLLLHSRPIIRRPAKRVAAAGRRSIDGDKFAVVMIEYLLEGADGGRDVVQLAGAVAVPDPCRNRGRVKSQARQMEARNGGCGGGRTPRHLVVVQEGQMNSDPGYRKGPCPYRPYHPYHPCRPCRNHHKSLPTHCYTCLHHTSQNLRKGYHHNLHHN >ORUFI07G03080.1 pep chromosome:OR_W1943:7:2571495:2575008:-1 gene:ORUFI07G03080 transcript:ORUFI07G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYLVASLATTLLTSTFLSLLLLLRLLLTRRPPLAGGGDGGAAVRLYEGRVRHSRRRPAAHAFEYPVRYALVDLDRLPLPGHLSPDDARRVASTSGPVHLLTIPKSVGYEQNPLSIYYCYDSAEQGEDEKLKMCIAEVTNTPWGERVMFTFQPGSDLVAKPLHVSPFMDMLGNWSIRAESPGDSLYVVILVQHPTLGNYFTAALHAKLVEKTSSSLRLATFFWLMPHKVAAGIYWEAIRLWLKNVKFLDHPRYLNLNYRDEAQKRDLEIRSSCSFLQKQKLNDQRTGRADETAEITDHHDHNGEESVVKRWCVWTDAQWPWS >ORUFI07G03090.1 pep chromosome:OR_W1943:7:2576721:2579414:-1 gene:ORUFI07G03090 transcript:ORUFI07G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLSSRVLVVSIIVEKFCKNPLSFPHNLRRKQLLARTQACPIAFLVEVDGLVLGMFMDGIAGVLFSCAKSVTSMRRVRVSSHQSPVHKLGDSQMKLTPKFRLATTSALPSSMPASDLEQASWETPLIPGLPDDAALNCLLRLPVETHEACRLVCRRWHHLLADKARFFMQRKVMGFRSPLLFTLAFHRCTGKIQWKVLDLNYLTWHTIPAMPCRDRACPRGFGCVAIPSDGTLLVCGGLVSDMDCPLHLVLKYDVYKNRWIVMTRMLAARSFFAGGVIDGRVYVAGGYSTDQFELNSAEVLDPVKGVWQPVASMGMNMASSDSAVISGRLYVTEGCAWPFFSSPRGQVYDPKIDRWEVMPVGMREGWTGLSVVIDKHLFVISEYERMKVKVYDPETDSWDSVKGPPMPERIMKPFSVSCLENKIVVVGRGLHVAIGHVKKQPGSHPDSRSSSYLIQWQDVDVPREFGDLTPSNSQILYA >ORUFI07G03100.1 pep chromosome:OR_W1943:7:2591362:2591865:-1 gene:ORUFI07G03100 transcript:ORUFI07G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSASWPAPASPPVELSLSLPAAAARNRDEAAPTAIVDGKQVRLFPCLFCAKTFRKSQALGGHQNAHRKERVAGGSWNPNVYGDGGGSASMPIASHGVTAAGSSTAADGRWCGGAASDDDTTAAPMPSLGSGSAALGAGAGFASTERGSTGGGVAGEELVLELGL >ORUFI07G03110.1 pep chromosome:OR_W1943:7:2591900:2598351:-1 gene:ORUFI07G03110 transcript:ORUFI07G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACIASCSRLAIIQSSTRVLSLPFPPPVHYHRSRVGPAHCDEAGGSTDKPFEVPCSGRRDNTGMRSCSSWTLLLQGGEGGEGREHGYMHGRKVYMRNNGEAPDLAIQNKLGFGNN >ORUFI07G03120.1 pep chromosome:OR_W1943:7:2598428:2598916:-1 gene:ORUFI07G03120 transcript:ORUFI07G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSASALVPAAVVEDVSLSLPAAAAPTARVNGKEVRRLFQCLFCDKTFAKFQALGGHQNAHRKERLAGGGVVVNPYVDYAAAGAPSSSPPSAAAAARSIIPISAHGCSEWGAQLLPATFDDDDTVDDMLNWTRASHAAATAVDDSSMGAGEDQQLDLELRL >ORUFI07G03130.1 pep chromosome:OR_W1943:7:2611004:2611570:-1 gene:ORUFI07G03130 transcript:ORUFI07G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCHDGGGGGGGGRRSSRVFECLFCDKTFHKSQALGGHQNAHKKDHRVAAAGDWDPYYVHGNGIHPAAAAAAAARDPYAGYPAASTTMPPPPVAAGRTTPHGAVMTAPGLVFATTSRPLRPLPHGHGVAAISAGSGAWHDIRAWPVMEYSDDGAASFFGASRKDGGDAAVDDVVVDGGEVLDLELRL >ORUFI07G03140.1 pep chromosome:OR_W1943:7:2617363:2620087:-1 gene:ORUFI07G03140 transcript:ORUFI07G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLVVYLQLHWNLQPARGVLDDDSEVERLVVIGSGGCGFRLGGDGLGTRPRGCGINGRGRWTGLEPSVGVEDDDEEGDSEEDEVSAAAGGTVLGSEAKIRVANSSEGGPTRGSGGRGRSSGDPGRRCELVEGG >ORUFI07G03150.1 pep chromosome:OR_W1943:7:2620919:2621553:1 gene:ORUFI07G03150 transcript:ORUFI07G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRKSFGGRWRTSSASSVASPSAEAEGETSSMRDVAEQKPVLAVVVWSPASSGEHHKSTVEEDSTASGEW >ORUFI07G03160.1 pep chromosome:OR_W1943:7:2640334:2642078:1 gene:ORUFI07G03160 transcript:ORUFI07G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPSAPGLAPVAKPPPPPSKVKVATATVPTNGKIKQGARPMRVSAPPVEPRRRMNPLQRLAAAAIDAVEEGLVAGLLERGHALPRTADPAVQIAGNYARGPPRRGLPVSGRLPACLDGVYVRNGANPLHAPRAGHHLFDGDGMLHAVRLAGGRAESYACRFTETARLRQEREMGRPVFPKAIGELHGHSGVARLLLFGARALCGVLDASRGIGVANAGLVYHDGRLLAMSEDDLPYHVRVTHDGDLETVGRYDFHGQLDADGTMIAHPKLDPVTGELFALSYNVVSKPYLKYFYFTADGRKSRDVDIPVGAPTMIHDFAVTENYAVVPDQQIVFKLQEMVRGGSPVVYDREKASRFGVLPKRAADASELRWVEVPGCFCFHLWNAWEDDATGEIVVIGSCMTPPDAVFNEPSQSPEEESFRSVLSEIRLDPRTGVSWRRDVLRDAAEQVNLEAGMVNRQLLGRKTRYAYLAIAEPWPRVSGFAKVDLESGTAEKFIYGEGRYGGEPCFVPRAAAAAEDDGHVLCFVHDEERGTSELVVVDAGGEAMEEVAAVKLPGRVPYGLHGTFIGANELQRQA >ORUFI07G03170.1 pep chromosome:OR_W1943:7:2652335:2652565:1 gene:ORUFI07G03170 transcript:ORUFI07G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVTAVIAIAAVVLGWITIEMACKPCLDSGRRAMDRALDPNYDPDEPTAAGAAANEPLLADLSAASAAALAKTI >ORUFI07G03180.1 pep chromosome:OR_W1943:7:2653002:2662610:-1 gene:ORUFI07G03180 transcript:ORUFI07G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLQRKRPPQPPPPPSPPNPPPRAPRATRTATPDDASSSSAVDDAAALLAEAGCTLLVPLHQPPALPSPLSFAPLLARALAAADAALRDRLLAGLAAFAESPARLRQLLLPTSAAHSPSLARALLSVPALQPGLLGLLLDKLPEHFDNVLDGMPLHDDVGRLIVAQFRWLDFLVDADAFVAKLMEVLSVAPPRLKKEIIGSLPEIIGDQCHATVVAALEKLLQEDSEVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVHADQMPHLLRFLLLSATPFNAGRIISQIRKQLKFVSTVDPRAARGKKLKGKASANGTDGAILDALRSGLRFKNMLCEAFLKELKSVDNPRDHKVIDVWLIMLIYGNGGALQKSAEKILKSKILQLCIRETLFDQCIRGNTELVKDHFVSYLSVSDFLLACKEEKAREFAAYLFTALFEEFSDTFSRQELIGSLIAHIGSGVSLEVSSALDIMISLTSDKPEELIPLSSHITGILDYLESFHEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIADVNASMNCSSSQQPNYDEALELLKMAVNSCKFVMLPLILLYDELAVLLENSSLHSAIVEWVGEHVAEFDTVFLADLDDGELSKKYVCDGIEGELWMNLDGNISPVCVNIIPLVSTSPQMFQTCLQILPSQFSLLTIIERLGNQGSLGGINAVLGCPLHLPSTKYLDGARWGSLSAMQKKTVCHSLYYAINWIRELLNAFSTQVATRVDNVSQRARNETAVKLLMRLRNLILLEGLLNAYLKIHPLSLPDLRYVGDHGSTSTSKFNLPKNMGDQNMEGNVSNKRQKGHKDKASSEKSNSDEKFKQPTILDAFKRAGVTISQETNRDSQPSPSGMMSRVTEHETNNPCKFGIVDLMAAPAQLDMQRFKFRTLHATCLSLLNYSEPQDTTVSYNESEMPLYVYLLRELQNKLDNLYPSSKPFFSSSQGKSTQAYCQKSMEEFLNKIQPLFSSVRKHLDGAVSMIKDGSDSCPDNWNSHSASAGNPDIPYVVVLKSSVATSVFKEVLGCYRKLLGIPDLLNQANISVLKELLQTFQPSENFDEVLAEFRPSLVPSNLDYLYCGAYTMFAAILDIVCSFSYLLAYDVLITMQSVLNSVIMLFEKSGEQNGKNLHMGCSKEIIPFLRKHLGLSARKLLTSDLPSEDAENGWQSKGDLIQKILQIYLKNSDSTSDLLDELACSVLPKVPSFKTGSTQDMSHGFPTLCASTILSWYRVVHEENTGNLNKMIKQALKTKAQSERAVETSLEEIQKSVLVFVSLINMCKTHEKVVMHAMAVKHGGRFVDTFLKAFNFLETHFGQHNDIILQMIKSLQKATKTIQTICAEAKGHKRTMITSKIPVAKRSLERFVFQVKALLHNCSTEETFWMGNLRHKDLQGQLVSSQVYGSVDDSPNDEQEQMETDPETPADENANAMDEDAAEDSNEAPLEE >ORUFI07G03180.2 pep chromosome:OR_W1943:7:2653002:2662610:-1 gene:ORUFI07G03180 transcript:ORUFI07G03180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLQRKRPPQPPPPPSPPNPPPRAPRATRTATPDDASSSSAVDDAAALLAEAGCTLLVPLHQPPALPSPLSFAPLLARALAAADAALRDRLLAGLAAFAESPARLRQLLLPTSAAHSPSLARALLSVPALQPGLLGLLLDKLPEHFDNVLDGMPLHDDVGRLIVAQFRWLDFLVDADAFVAKLMEVLSVAPPRLKKEIIGSLPEIIGDQCHATVVAALEKLLQEDSEVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVHADQMPHLLRFLLLSATPFNAGRIISQIRKQLKFVSTVDPRAARGKKLKGKASANGTDGAILDALRSGLRFKNMLCEAFLKELKSVDNPRDHKVIDVWLIMLIYGNGGALQKSAEKILKSKILQLCIRETLFDQCIRGNTELVKDHFVSYLSVSDFLLACKEEKAREFAAYLFTALFEEFSDTFSRQELIGSLIAHIGSGVSLEVSSALDIMISLTSDKPEELIPLSSHITGILDYLESFHEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIADVNASMNCSSSQQPNYDEALELLKMAVNSCKFVMLPLILLYDELAVLLENSSLHSAIVEWVGEHVAEFDTVFLADLDDGELSKKYVCDGIEGELWMNLDGNISPVCVNIIPLVSTSPQMFQTCLQILPSQFSLLTIIERLGNQGSLGGINAVLGCPLHLPSTKYLDGARWGSLSAMQKKTVCHSLYYAINWIRELLNAFSTQVATRVDNVSQRARNETAVKLLMRLRNLILLEGLLNAYLKIHPLSLPDLRYVGDHGSTSTSKFNLPKNMGDQNMEGNVSNKRQKGHKDKASSEKSNSDEKFKQPTILDAFKRAGVTISQETNRDSQPSPSGMMSRVTEHETNNPCKFGIVDLMAAPAQLDMQRFKFRTLHATCLSLLNYSEPQDTTVSYNESEMPLYVYLLRELQNKLDNLYPSSKPFFSSSQGKSTQAYCQKSMEEFLNKIQPLFSSVRKHLDGAVSMIKDGSDSCPDNWNSHSASAGNPDIPYVVVLKSSVATSVFKELLGIPDLLNQANISVLKELLQTFQPSENFDEVLAEFRPSLVPSNLDYLYCGAYTMFAAILDIVLNSVIMLFEKSGEQNGKNLHMGCSKEIIPFLRKHLGLSARKLLTSDLPSEDAENGWQSKGDLIQKILQIYLKNSDSTSDLLDELACSVLPKVPSFKTGSTQDMSHGFPTLCASTILSWYRVVHEENTGNLNKMIKQALKTKAQSERAVETSLEEIQKSVLVFVSLINMCKTHEKVVMHAMAVKHGGRFVDTFLKAFNFLETHFGQHNDIILQMIKSLQKATKTIQTICAEAKGHKRTMITSKIPVAKRSLERFVFQVKALLHNCSTEETFWMGNLRHKDLQGQLVSSQVYGSVDDSPNDEQEQMETDPETPADENANAMDEDAAEDSNEAPLEE >ORUFI07G03180.3 pep chromosome:OR_W1943:7:2653002:2662610:-1 gene:ORUFI07G03180 transcript:ORUFI07G03180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLQRKRPPQPPPPPSPPNPPPRAPRATRTATPDDASSSSAVDDAAALLAEAGCTLLVPLHQPPALPSPLSFAPLLARALAAADAALRDRLLAGLAAFAESPARLRQLLLPTSAAHSPSLARALLSVPALQPGLLGLLLDKLPEHFDNVLDGMPLHDDVGRLIVAQFRWLDFLVDADAFVAKLMEVLSVAPPRLKKEIIGSLPEIIGDQCHATVVAALEKLLQEDSEVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVHADQMPHLLRFLLLSATPFNAGRIISQIRKQLKFVSTVDPRAARGKKLKGKASANGTDGAILDALRSGLRFKNMLCEAFLKELKSVDNPRDHKVIDVWLIMLIYGNGGALQKSAEKILKSKILQLCIRETLFDQCIRGNTELVKDHFVSYLSVSDFLLACKEEKAREFAAYLFTALFEEFSDTFSRQELIGSLIAHIGSGVSLEVSSALDIMISLTSDKPEELIPLSSHITGILDYLESFHEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIADVNASMNCSSSQQPNYDEALELLKMAVNSCKFVMLPLILLYDELAVLLENSSLHSAIVEWVGEHVAEFDTVFLADLDDGELSKKYVCDGIEGELWMNLDGNISPVCVNIIPLVSTSPQMFQTCLQILPSQFSLLTIIERLGNQGSLGGINAVLGCPLHLPSTKLNAFSTQVATRVDNVSQRARNETAVKLLMRLRNLILLEGLLNAYLKIHPLSLPDLRYVGDHGSTSTSKFNLPKNMGDQNMEGNVSNKRQKGHKDKASSEKSNSDEKFKQPTILDAFKRAGVTISQETNRDSQPSPSGMMSRVTEHETNNPCKFGIVDLMAAPAQLDMQRFKFRTLHATCLSLLNYSEPQDTTVSYNESEMPLYVYLLRELQNKLDNLYPSSKPFFSSSQGKSTQAYCQKSMEEFLNKIQPLFSSVRKHLDGAVSMIKDGSDSCPDNWNSHSASAGNPDIPYVVVLKSSVATSVFKEVLGCYRKLLGIPDLLNQANISVLKELLQTFQPSENFDEVLAEFRPSLVPSNLDYLYCGAYTMFAAILDIVCSFSYLLAYDVLITMQSVLNSVIMLFEKSGEQNGKNLHMGCSKEIIPFLRKHLGLSARKLLTSDLPSEDAENGWQSKGDLIQKILQIYLKNSDSTSDLLDELACSVLPKVPSFKTGSTQDMSHGFPTLCASTILSWYRVVHEENTGNLNKMIKQALKTKAQSERAVETSLEEIQKSVLVFVSLINMCKTHEKVVMHAMAVKHGGRFVDTFLKAFNFLETHFGQHNDIILQMIKSLQKATKTIQTICAEAKGHKRTMITSKIPVAKRSLERFVFQVKALLHNCSTEETFWMGNLRHKDLQGQLVSSQVYGSVDDSPNDEQEQMETDPETPADENANAMDEDAAEDSNEAPLEE >ORUFI07G03180.4 pep chromosome:OR_W1943:7:2653002:2662610:-1 gene:ORUFI07G03180 transcript:ORUFI07G03180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLQRKRPPQPPPPPSPPNPPPRAPRATRTATPDDASSSSAVDDAAALLAEAGCTLLVPLHQPPALPSPLSFAPLLARALAAADAALRDRLLAGLAAFAESPARLRQLLLPTSAAHSPSLARALLSVPALQPGLLGLLLDKLPEHFDNVLDGMPLHDDVGRLIVAQFRWLDFLVDADAFVAKLMEVLSVAPPRLKKEIIGSLPEIIGDQCHATVVAALEKLLQEDSEVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVHADQMPHLLRFLLLSATPFNAGRIISQIRKQLKFVSTVDPRAARGKKLKGKASANGTDGAILDALRSGLRFKNMLCEAFLKELKSVDNPRDHKVIDVWLIMLIYGNGGALQKSAEKILKSKILQLCIRETLFDQCIRGNTELVKDHFVSYLSVSDFLLACKEEKAREFAAYLFTALFEEFSDTFSRQELIGSLIAHIGSGVSLEVSSALDIMISLTSDKPEELIPLSSHITGILDYLESFHEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIADVNASMNCSSSQQPNYDEALELLKMAVNSCKFVMLPLILLYDELAVLLENSSLHSAIVEWVGEHVAEFDTVFLADLDDGELSKKYVCDGIEGELWMNLDGNISPVCVNIIPLVSTSPQMFQTCLQILPSQFSLLTIIERLGNQGSLGGINAVLGCPLHLPSTKLNAFSTQVATRVDNVSQRARNETAVKLLMRLRNLILLEGLLNAYLKIHPLSLPDLRYVGDHGSTSTSKFNLPKNMGDQNMEGNVSNKRQKGHKDKASSEKSNSDEKFKQPTILDAFKRAGVTISQETNRDSQPSPSGMMSRVTEHETNNPCKFGIVDLMAAPAQLDMQRFKFRTLHATCLSLLNYSEPQDTTVSYNESEMPLYVYLLRELQNKLDNLYPSSKPFFSSSQGKSTQAYCQKSMEEFLNKIQPLFSSVRKHLDGAVSMIKDGSDSCPDNWNSHSASAGNPDIPYVVVLKSSVATSVFKEVLGCYRKLLGIPDLLNQANISVLKELLQTFQPSENFDEVLAEFRPSLVPSNLDYLYCGAYTMFAAILDIVLNSVIMLFEKSGEQNGKNLHMGCSKEIIPFLRKHLGLSARKLLTSDLPSEDAENGWQSKGDLIQKILQIYLKNSDSTSDLLDELACSVLPKVPSFKTGSTQDMSHGFPTLCASTILSWYRVVHEENTGNLNKMIKQALKTKAQSERAVETSLEEIQKSVLVFVSLINMCKTHEKVVMHAMAVKHGGRFVDTFLKAFNFLETHFGQHNDIILQMIKSLQKATKTIQTICAEAKGHKRTMITSKIPVAKRSLERFVFQVKALLHNCSTEETFWMGNLRHKDLQGQLVSSQVYGSVDDSPNDEQEQMETDPETPADENANAMDEDAAEDSNEAPLEE >ORUFI07G03180.5 pep chromosome:OR_W1943:7:2653002:2662610:-1 gene:ORUFI07G03180 transcript:ORUFI07G03180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLQRKRPPQPPPPPSPPNPPPRAPRATRTATPDDASSSSAVDDAAALLAEAGCTLLVPLHQPPALPSPLSFAPLLARALAAADAALRDRLLAGLAAFAESPARLRQLLLPTSAAHSPSLARALLSVPALQPGLLGLLLDKLPEHFDNVLDGMPLHDDVGRLIVAQFRWLDFLVDADAFVAKLMEVLSVAPPRLKKEIIGSLPEIIGDQCHATVVAALEKLLQEDSEVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVHADQMPHLLRFLLLSATPFNAGRIISQIRKQLKFVSTVDPRAARGKKLKGKASANGTDGAILDALRSGLRFKNMLCEAFLKELKSVDNPRDHKVIDVWLIMLIYGNGGALQKSAEKILKSKILQLCIRETLFDQCIRGNTELVKDHFVSYLSVSDFLLACKEEKAREFAAYLFTALFEEFSDTFSRQELIGSLIAHIGSGVSLEVSSALDIMISLTSDKPEELIPLSSHITGILDYLESFHEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIADVNASMNCSSSQQPNYDEALELLKMAVNSCKFVMLPLILLYDELAVLLENSSLHSAIVEWVGEHVAEFDTVFLADLDDGELSKKYVCDGIEGELWMNLDGNISPVCVNIIPLVSTSPQMFQTCLQILPSQFSLLTIIERLGNQGSLGGINAVLGCPLHLPSTKLNAFSTQVATRVDNVSQRARNETAVKLLMRLRNLILLEGLLNAYLKIHPLSLPDLRYVGDHGSTSTSKFNLPKNMGDQNMEGNVSNKRQKGHKDKASSEKSNSDEKFKQPTILDAFKRAGVTISQETNRDSQPSPSGMMSRVTEHETNNPCKFGIVDLMAAPAQLDMQRFKFRTLHATCLSLLNYSEPQDTTVSYNESEMPLYVYLLRELQNKLDNLYPSSKPFFSSSQGKSTQAYCQKSMEEFLNKIQPLFSSLLGIPDLLNQANISVLKELLQTFQPSENFDEVLAEFRPSLVPSNLDYLYCGAYTMFAAILDIVCSFSYLLAYDVLITMQSVLNSVIMLFEKSGEQNGKNLHMGCSKEIIPFLRKHLGLSARKLLTSDLPSEDAENGWQSKGDLIQKILQIYLKNSDSTSDLLDELACSVLPKVPSFKTGSTQDMSHGFPTLCASTILSWYRVVHEENTGNLNKMIKQALKTKAQSERAVETSLEEIQKSVLVFVSLINMCKTHEKVVMHAMAVKHGGRFVDTFLKAFNFLETHFGQHNDIILQMIKSLQKATKTIQTICAEAKGHKRTMITSKIPVAKRSLERFVFQVKALLHNCSTEETFWMGNLRHKDLQGQLVSSQVYGSVDDSPNDEQEQMETDPETPADENANAMDEDAAEDSNEAPLEE >ORUFI07G03180.6 pep chromosome:OR_W1943:7:2653002:2662610:-1 gene:ORUFI07G03180 transcript:ORUFI07G03180.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLQRKRPPQPPPPPSPPNPPPRAPRATRTATPDDASSSSAVDDAAALLAEAGCTLLVPLHQPPALPSPLSFAPLLARALAAADAALRDRLLAGLAAFAESPARLRQLLLPTSAAHSPSLARALLSVPALQPGLLGLLLDKLPEHFDNVLDGMPLHDDVGRLIVAQFRWLDFLVDADAFVAKLMEVLSVAPPRLKKEIIGSLPEIIGDQCHATVVAALEKLLQEDSEVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVHADQMPHLLRFLLLSATPFNAGRIISQIRKQLKFVSTVDPRAARGKKLKGKASANGTDGAILDALRSGLRFKNMLCEAFLKELKSVDNPRDHKVIDVWLIMLIYGNGGALQKSAEKILKSKILQLCIRETLFDQCIRGNTELVKDHFVSYLSVSDFLLACKEEKAREFAAYLFTALFEEFSDTFSRQELIGSLIAHIGSGVSLEVSSALDIMISLTSDKPEELIPLSSHITGILDYLESFHEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIADVNASMNCSSSQQPNYDEALELLKMAVNSCKFVMLPLILLYDELAVLLENSSLHSAIVEWVGEHVAEFDTVFLADLDDGELSKKYVCDGIEGELWMNLDGNISPVCVNIIPLVSTSPQMFQTCLQILPSQFSLLTIIERLGNQGSLGGINAVLGCPLHLPSTKLNAFSTQVATRVDNVSQRARNETAVKLLMRLRNLILLEGLLNAYLKIHPLSLPDLRYVGDHGSTSTSKFNLPKNMGDQNMEGNVSNKRQKGHKDKASSEKSNSDEKFKQPTILDAFKRAGVTISQETNRDSQPSPSGMMSRVTEHETNNPCKFGIVDLMAAPAQLDMQRFKFRTLHATCLSLLNYSEPQDTTVSYNESEMPLYVYLLRELQNKLDNLYPSSKPFFSSSQGKSTQAYCQKSMEEFLNKIQPLFSSLLGIPDLLNQANISVLKELLQTFQPSENFDEVLAEFRPSLVPSNLDYLYCGAYTMFAAILDIVLNSVIMLFEKSGEQNGKNLHMGCSKEIIPFLRKHLGLSARKLLTSDLPSEDAENGWQSKGDLIQKILQIYLKNSDSTSDLLDELACSVLPKVPSFKTGSTQDMSHGFPTLCASTILSWYRVVHEENTGNLNKMIKQALKTKAQSERAVETSLEEIQKSVLVFVSLINMCKTHEKVVMHAMAVKHGGRFVDTFLKAFNFLETHFGQHNDIILQMIKSLQKATKTIQTICAEAKGHKRTMITSKIPVAKRSLERFVFQVKALLHNCSTEETFWMGNLRHKDLQGQLVSSQVYGSVDDSPNDEQEQMETDPETPADENANAMDEDAAEDSNEAPLEE >ORUFI07G03190.1 pep chromosome:OR_W1943:7:2696517:2697538:-1 gene:ORUFI07G03190 transcript:ORUFI07G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDDDAYSSASWCRGGGGRRRQPPLLSCWCPLLMIAELLSIGSALLVAMVISDVDGGIGGRTARQSFHPKDY >ORUFI07G03200.1 pep chromosome:OR_W1943:7:2700531:2703378:-1 gene:ORUFI07G03200 transcript:ORUFI07G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATAALARAMRGGSGAGAGLAAGELLSKAPATRTSSLPAMVASRGCSNGGGRCAKVADLAEMNGRINMVEFELKREVQLMKFAVEKQRAMARVEMMEAEANRMQFRFRLMCGLVWGGSLKSKMNS >ORUFI07G03210.1 pep chromosome:OR_W1943:7:2704586:2705279:1 gene:ORUFI07G03210 transcript:ORUFI07G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVVQFLVRKFVEEEAAAELPFRAHFYDVKAELEKAAISSTNDDELRQCLYELNDLLAVRTNMRPGCFSPSEAWRSNSLKKRVIAVKRRVLRCVESDSSGVGGNAAVAAALEEEDSAATGFSRWTSSWIEEGTVHGFDQQLAELESMAECGAGGLTGVGIVGMAGVGKTALAQLVFNSLQARRRGEGRRPPALGQGGGSGGGRASPRSLSPSARGGSRGER >ORUFI07G03220.1 pep chromosome:OR_W1943:7:2706003:2708165:1 gene:ORUFI07G03220 transcript:ORUFI07G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPAAAPPRRVVICGGGVVGACTAYFLSTHAASPTVPTLVEKSSPACAASGKAGGFLALDWCDKTPALSALARASFALHRRLAATLDGGSAYGFRPVHTLSICLPTDPDPAAAAAAAASPLLPAWVDPAASAAPPRELGTTDTTAQVHPGFFTKAVLAASGAEVVIGEAERVVVRDGRVAGVVVRGRGEVDADAVVLALGPWSGRFEMVREVFDVSGLKAHSIVLRPREPENITPHALFLSYQPEPGAKMLDPEVYPRPTGEVYICGMTKDEEVPDDPETITGEPDSIAMLHKIAGRVSGQLKREEGAEVVAEQACYLPCTDDGLPVIGEMPGVKGCYVATGHSCWGILNAPATGAALAELILDGDAKIVDLAPFSPARFLKKKSKRGV >ORUFI07G03230.1 pep chromosome:OR_W1943:7:2707859:2709914:-1 gene:ORUFI07G03230 transcript:ORUFI07G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARAQAIRALLARCSTECPRRAAASSCIRRASLLYCSPGSYPRSLLPAVRAAGADWTRSLASRAQGGAGAGEAGAEEGEAQEWMAEWEEEEEEEEDVEPEIGDGGDGGGVALRGVEWGKRALAAAEEVLGEHFGDDVAMFAFKVSPKGYVYVRLDKLTNRYGCPGIEEIESFNKLYKQKLDELIEQGEIPLDLAIEARAERLLKVPKDLDRFKDMAMRVQYLVEGDDVVPKQILQKDGIFLLESVDIQAEHCIWKLADVKENRAAAGKGRPLNRKKRDWRLQTSFQAVKKATLYLD >ORUFI07G03240.1 pep chromosome:OR_W1943:7:2742451:2749356:1 gene:ORUFI07G03240 transcript:ORUFI07G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQQLRSSESPASGGGGVTGGGAPHLFHALGPALLISIGYIDLGKWVAAVEAGSRFGLDLVLLALLFNFMAILCQYLAACIGTVTGRSLAEICHQEYSRPTCIFLGVQAGLSLLTSELTMIFGIALGFNLLFEYDDLITGICFATVVPNLLPYAISHLGKKMVGTLNACIAGFALLCYVLGLLVSQPQIPLTTNVIFPKLSGESAYSLMALLGANVMAHNFYIHSSVVQGQKRSAFAVGALFHDHLFSVLFIFTGIFLVNHVLMNSAAADSTNTLLLTFQDVVELMNQIFVNPMAPTIFLVVLLFSSHIISLTSAIGSQVISQHLFGINLPLSGHHLILKAFAIVPALYCAKVAGAEGIYQLLIICQIIQAMLLPSSVVPLFRVASSRLIMGAHRVSLHLEILTFLAFLLMLFSNIIFMAEMLFGDSGWLNTLKGNTGSPVVFPSTVLITVACVSVAFSLYMAVTPLKSGSHEAELQQEWSVPSQKELLNTTQDREETCAGNVTYEEDQRSDVVPSPRIQPVDCLKSALDYIDSSDTAIESDHDSQHSTAHTSTAPESCHSPSFIPEESKSVVAVDWPEPLEPISNAIVAEESTVESVDSKSTGERDIEVEPALLMDNDKEAPNILESDNKPLGGNNPSCASDDGPPSLTFSRGKGSDAGNGSGSLSRLSGLGRAARRQLAAILDEFWGHLFDYHGKLTQEASSKRFDILLGLDVRTPSSTVRADSQANEIPKSPMVRDNLQGSAFLGSSRDLMSTKNEMSNLDLTYGLQMGNNIGSSAWSQGMQLPSTQLQSSSNSLLDQGARLNSNFSTPSYADNNQFYQPATIHGYQLASYLKQMNANRNPYSSMPLDPQRLPKSSASAVPTYVDSVMHARNQNLLASLGATPSQIAATSRIGTMMAERSYYVPSTLDGNENAGSSAYSKKYHSSPDISALIAASRSALLNESKLGGGTIGSQSYLSRLASERSQYTNSVARPAAPLAFDELSPPKLPGDIFSMQQSPNPSARSLWAKQPFEQLFGVSSAELTKSEFNPAGRSGGMTKDDFSYKESEAKLLQSLRFCISKLLKLEGSGWLFKQNGGSDEDLIDQVAAVEKLLQQGTSDNQLLLGDTQQPPCDKADIQYMRVLPNCGDDCIWRASLVVSFGVWCIRRVLDLSLVESRPELWGKYTYVLNRLQGILDPAFTKPRSALSACACLHRDIRVLNSLRHSSLVATNSIPRQNRGSFTTASVVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKGQQ >ORUFI07G03240.2 pep chromosome:OR_W1943:7:2742709:2749356:1 gene:ORUFI07G03240 transcript:ORUFI07G03240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQQLRSSESPASGGGGVTGGGAPHLFHALGPALLISIGYIDLGKWVAAVEAGSRFGLDLVLLALLFNFMAILCQYLAACIGTVTGRSLAEICHQEYSRPTCIFLGVQAGLSLLTSELTMIFGIALGFNLLFEYDDLITGICFATVVPNLLPYAISHLGKKMVGTLNACIAGFALLCYVLGLLVSQPQIPLTTNVIFPKLSGESAYSLMALLGANVMAHNFYIHSSVVQGQKRSAFAVGALFHDHLFSVLFIFTGIFLVNHVLMNSAAADSTNTLLLTFQDVVELMNQIFVNPMAPTIFLVVLLFSSHIISLTSAIGSQVISQHLFGINLPLSGHHLILKAFAIVPALYCAKVAGAEGIYQLLIICQIIQAMLLPSSVVPLFRVASSRLIMGAHRVSLHLEILTFLAFLLMLFSNIIFMAEMLFGDSGWLNTLKGNTGSPVVFPSTVLITVACVSVAFSLYMAVTPLKSGSHEAELQQEWSVPSQKELLNTTQDREETCAGNVTYEEDQRSDVVPSPRIQPVDCLKSALDYIDSSDTAIESDHDSQHSTAHTSTAPESCHSPSFIPEESKSVVAVDWPEPLEPISNAIVAEESTVESVDSKSTGERDIEVEPALLMDNDKEAPNILESDNKPLGGNNPSCASDDGPPSLTFSRGKGSDAGNGSGSLSRLSGLGRAARRQLAAILDEFWGHLFDYHGKLTQEASSKRFDILLGLDVRTPSSTVRADSQANEIPKSPMVRDNLQGSAFLGSSRDLMSTKNEMSNLDLTYGLQMGNNIGSSAWSQGMQLPSTQLQSSSNSLLDQGARLNSNFSTPSYADNNQFYQPATIHGYQLASYLKQMNANRNPYSSMPLDPQRLPKSSASAVPTYVDSVMHARNQNLLASLGATPSQIAATSRIGTMMAERSYYVPSTLDGNENAGSSAYSKKYHSSPDISALIAASRSALLNESKLGGGTIGSQSYLSRLASERSQYTNSVARPAAPLAFDELSPPKLPGDIFSMQQSPNPSARSLWAKQPFEQLFGVSSAELTKSEFNPAGRSGGMTKDDFSYKESEAKLLQSLRFCISKLLKLEGSGWLFKQNGGSDEDLIDQVAAVEKLLQQGTSDNQLLLGDTQQPPCDKADIQYMRVLPNCGDDCIWRASLVVSFGVWCIRRVLDLSLVESRPELWGKYTYVLNRLQGILDPAFTKPRSALSACACLHRDIRVLNSLRHSSLVATNSIPRQNRGSFTTASVVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKGQQ >ORUFI07G03250.1 pep chromosome:OR_W1943:7:2750732:2755006:-1 gene:ORUFI07G03250 transcript:ORUFI07G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERRGYMMPKLKARSAATSTPPWASSALTQPRYFSTRATRVMMRRLEQRIRKQERAAGSMHGWRIAHLKANHRLDLLKSDMRGDQLKVTAHMYRGTCSSYLPVHTR >ORUFI07G03250.2 pep chromosome:OR_W1943:7:2750732:2751816:-1 gene:ORUFI07G03250 transcript:ORUFI07G03250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYNKKTYGYDHIVCMHSAATSTPPWASSALTQPRYFSTRATRVMMRRLEQRIRKQERAAGSMHGWRIAHLKANHRLDLLKSDMRGDQLKVTAHMYRGTCSSYLPVHTR >ORUFI07G03270.1 pep chromosome:OR_W1943:7:2759125:2760417:-1 gene:ORUFI07G03270 transcript:ORUFI07G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMFVALKRFLWPPTNSFATCSSFAESLVDTLLIERTSVSGHLPVEVLSPPPPLKKAMRSSAAAAPRLANINGPLTLNLSEQWKKRDNLLKFDNVFLPDEAVVEEETDEAGAIAGVAEDGGADDVADEVLRPGASIVVVSDLQLRLRQGQRRNGEEQDRPRYDHRRAVTTNTACCAAHLSLLYRV >ORUFI07G03280.1 pep chromosome:OR_W1943:7:2759149:2759882:1 gene:ORUFI07G03280 transcript:ORUFI07G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAARGVRRHGSPVIIAWAILFFSIASLSLSEAQLQVGYYNYTCPRAEDLVRNVVRAAILRDPGNGPGLVRLFFHDCFVRKKNIEMAENAL >ORUFI07G03290.1 pep chromosome:OR_W1943:7:2760633:2762951:-1 gene:ORUFI07G03290 transcript:ORUFI07G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAATAAAIAPHLVPVIRGATPFAPAAATAAAISLHLVPVIRGATPFSSAVAAAAAAASTPARSSSALTQTLSFPIQAIRSAATSTPPWASSALTQPRYFSTRATRQENDIYLKQTVCSCMVVLAHQENMPFASLTKIKIGGWEEAYWKLNLRIDHLNAVMRDGQGMQVHREVLKVVSGGVCIFFIVVTIRHLKETFARRRAGLPSVISDGHKC >ORUFI07G03290.2 pep chromosome:OR_W1943:7:2760633:2762951:-1 gene:ORUFI07G03290 transcript:ORUFI07G03290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAATAAAIAPHLVPVIRGATPFAPAAATAAAISLHLVPVIRGATPFSSAVAAAAAAASTPARSSSALTQTLSFPIQAIRSAATSTPPWASSALTQPRYFSTRATRIKIGGWEEAYWKLNLRIDHLNAVMRDGQGMQVHREVLKVVSGGVCIFFIVVTIRHLKETFARRRAGLPSVISDGHKC >ORUFI07G03290.3 pep chromosome:OR_W1943:7:2760649:2762951:-1 gene:ORUFI07G03290 transcript:ORUFI07G03290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAATAAAIAPHLVPVIRGATPFAPAAATAAAISLHLVPVIRGATPFSSAVAAAAAAASTPARSSSALTQTLSFPIQAIRSAATSTPPWASSALTQPRYFSTRATRGWARYASASGGAQGRVRWCLYIFYCGHYSSSEGDVCPSPCWTPLCDI >ORUFI07G03300.1 pep chromosome:OR_W1943:7:2765203:2776121:1 gene:ORUFI07G03300 transcript:ORUFI07G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVKLIDIAVNFTDGMFRGIYHGKQCHAADIPAVLARARAAGVDRIIIRCFAAGHSPFRFLFLAYGSDLVCLISRFLGLVRRKVTGGSLKESREALEIAETDGRLFCMVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKQWENVVWIMIDFTFVHRMYRKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLHRFPGGVTHSFTGTSEDRDKLLSFEKMFIERMMIETDSPYCDIKNTHAGIKLVKSVWPSKKKEKYEPDSTVKGRNEACLVRGIYHGKQCHAADIPAVLARAWASGVDRIIVTGGSLKESREALEIAETDGELSCLDAGCFDYVLLGAFKVFVVMCLRSCDVLEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRLHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLYRFPGGVTHSFTGTAEDRDKLLSFEKMFIGINGCSLKTSENLEVLQGIPAERMMIETDSPYCDIKNTHAGIKFVKSVWPSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPQDLDASADAQLESGASIQNS >ORUFI07G03300.10 pep chromosome:OR_W1943:7:2765203:2777776:1 gene:ORUFI07G03300 transcript:ORUFI07G03300.10 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVKLIDIAVNFTDGMFRGIYHGKQCHAADIPAVLARARAAGVDRIIIRCFAAGHSPFRFLFLAYGSDLVCLISRFLGLVRRKVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRLHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLYRFPGGVTHSFTGTAEDRDKLLSFEKMFIGINGCSLKTSENLEVLQGIPAERMMIETDSPYCDIKNTHAGIKFVKSVWPSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPQDLDASADAQLESASEGSEGFDWLVMETWTLSTLPASEKVWEISPEVMV >ORUFI07G03300.11 pep chromosome:OR_W1943:7:2765203:2777776:1 gene:ORUFI07G03300 transcript:ORUFI07G03300.11 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVKLIDIAVNFTDGMFRGIYHGKQCHAADIPAVLARAWASGVDRIIVTGGSLKESREALEIAETDGELSCLDAGCFDYVLLGAFKVFVVMCLRSCDVLEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRLHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLYRFPGGVTHSFTGTAEDRDKLLSFEKMFIGINGCSLKTSENLEVLQGIPAERMMIETDSPYCDIKNTHAGIKFVKSVWPSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPQDLDASADAQLESASEGSEGFDWLVMETWTLSTLPASEKVWEISPEVMV >ORUFI07G03300.12 pep chromosome:OR_W1943:7:2765255:2777774:1 gene:ORUFI07G03300 transcript:ORUFI07G03300.12 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVKLIGECPTLSLLPLARRSYAPTWTDPAFFFYSSSHLLLRLRRHRDEQTSPGIYHGKQCHAADIPAVLARARAAGVDRIIIRCFAAGHSPFRFLFLAYGSDLVCLISRFLGLVRRKVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRLHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLYRFPGGVTHSFTGTAEDRDKLLSFEKMFIGINGCSLKTSENLEVLQGIPAERMMIETDSPYCDIKNTHAGIKFVKSVWPSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPQDLDASADAQLESASEGSEGFDWLVMETWTLSTLPASEKVWEISPEVMV >ORUFI07G03300.13 pep chromosome:OR_W1943:7:2765203:2777776:1 gene:ORUFI07G03300 transcript:ORUFI07G03300.13 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVKLIDIAVNFTDGMFRGIYHGKQCHAADIPAVLARAWASGVDRIIVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKVFPGGVTHSFTGTAEDRDKLLSFEKMFIGINGCSLKTSENLEVLQGIPAERMMIETDSPYCDIKNTHAGIKFVKSVWPSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPQDLDASADAQLESASEGSEGFDWLVMETWTLSTLPASEKVWEISPEVMV >ORUFI07G03300.2 pep chromosome:OR_W1943:7:2765255:2776121:1 gene:ORUFI07G03300 transcript:ORUFI07G03300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVKLIGECPTLSLLPLARRSYAPTWTDPAFFFYSSSHLLLRLRRHRDEQTSPGIYHGKQCHAADIPAVLARARAAGVDRIIIRCFAAGHSPFRFLFLAYGSDLVCLISRFLGLVRRKVTGGSLKESREALEIAETDGRLFCMVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKQWENVVWIMIDFTFVHRMYRKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLHRFPGGVTHSFTGTSEDRDKLLSFEKMFIERMMIETDSPYCDIKNTHAGIKLVKSVWPSKKKEKYEPDSTVKGRNEACLVRGIYHGKQCHAADIPAVLARAWASGVDRIIVTGGSLKESREALEIAETDGELSCLDAGCFDYVLLGAFKVFVVMCLRSCDVLEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRLHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLYRFPGGVTHSFTGTAEDRDKLLSFEKMFIGINGCSLKTSENLEVLQGIPAERMMIETDSPYCDIKNTHAGIKFVKSVWPSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPQDLDASADAQLESGASIQNS >ORUFI07G03300.3 pep chromosome:OR_W1943:7:2765553:2776121:1 gene:ORUFI07G03300 transcript:ORUFI07G03300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGIYHGKQCHAADIPAVLARARAAGVTGGSLKESREALEIAETDGRLFCMVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKQWENVVWIMIDFTFVHRMYRKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLHRFPGGVTHSFTGTSEDRDKLLSFEKMFIERMMIETDSPYCDIKNTHAGIKLVKSVWPSKKKEKYEPDSTVKGRNEACLVRGIYHGKQCHAADIPAVLARAWASGVDRIIVTGGSLKESREALEIAETDGELSCLDAGCFDYVLLGAFKVFVVMCLRSCDVLEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRLHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLYRFPGGVTHSFTGTAEDRDKLLSFEKMFIGINGCSLKTSENLEVLQGIPAERMMIETDSPYCDIKNTHAGIKFVKSVWPSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPQDLDASADAQLESGASIQNS >ORUFI07G03300.4 pep chromosome:OR_W1943:7:2765255:2777604:1 gene:ORUFI07G03300 transcript:ORUFI07G03300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVKLIGECPTLSLLPLARRSYAPTWTDPAFFFYSSSHLLLRLRRHRDEQTSPGIYHGKQCHAADIPAVLARARAAGVDRIIIRCFAAGHSPFRFLFLAYGSDLVCLISRFLGLVRRKVTGGSLKESREALEIAETDGELSCFDAACFDYVLLGAFKVFVVMCLRSCDVLVRCREAVLHGGRAPDEMRGRGGLYSIPVSLLTPEIGVCLSRLDYVNSALLNEFEESGDPEGHFQALLALAKEGIAKGKQWENVVWIMIDFTFVHRMYRKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLHRFPGGVTHSFTGTSEDRDKLLSFEKMFIGHLPPPLDVTYLHTSENLKVLQGIPAERMMIETDSPYCDIKNTHAGIKLVKSVWPSKKKEKYEPDSTVKGRNEACLVRGIYHGKQCHAADIPAVLARAWASGVDRIIVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRLHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLYRFPGGVTHSFTGTAEDRDKLLSFEKMFIGINGCSLKTSENLEVLQGIPAERMMIETDSPYCDIKNTHAGIKFVKSVWPSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPQDLDASADAQLESGASIQNS >ORUFI07G03300.5 pep chromosome:OR_W1943:7:2765255:2776121:1 gene:ORUFI07G03300 transcript:ORUFI07G03300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVKLIGECPTLSLLPLARRSYAPTWTDPAFFFYSSSHLLLRLRRHRDEQTSPGIYHGKQCHAADIPAVLARARAAGVDRIIIRCFAAGHSPFRFLFLAYGSDLVCLISRFLGLVRRKVTGGSLKESREALEIAETDGELSCFDAACFDYVLLGAFKVFVVMCLRSCDVLVRCREAVLHGGRAPDEMRGRGGLYSIPVSLLTPEIGVCLSRLDYVNSALLNEFEESGDPEGHFQALLALAKEGIAKGKQWENVVWIMIDFTFVHRMYRKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLHRFPGGVTHSFTGTSEDRDKLLSFEKMFIGHLPPPLDVTYLHTSENLKVLQGIPAERMMIETDSPYCDIKNTHAGIKLVKSVWPSKKKEKYEPDSTVKGRNEACLVRGIYHGKQCHAADIPAVLARAWASGVDRIIVTGGSLKESREALEIAETDGELSCLDAGCFDYVLLGAFKVFVVMCLRSCDVLEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRLHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLYRFPGGVTHSFTGTAEDRDKLLSFEKMFIGINGCSLKTSENLEVLQGIPAERMMIETDSPYCDIKNTHAGIKFVKSVWPSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPQDLDASADAQLESGASIQNS >ORUFI07G03300.6 pep chromosome:OR_W1943:7:2765255:2776121:1 gene:ORUFI07G03300 transcript:ORUFI07G03300.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVKLIGECPTLSLLPLARRSYAPTWTDPAFFFYSSSHLLLRLRRHRDEQTSPGIYHGKQCHAADIPAVLARARAAGVDRIIIRCFAAGHSPFRFLFLAYGSDLVCLISRFLGLVRRKVTGGSLKESREALEIAETDGELSCFDAACFDYVLLGAFKVFVVMCLRSCDVLVRCREAVLHGGRAPDEMRGRGGLYSIPVSLLTPEIGVCLSRLDYVNSALLNEFEESGDPEGHFQALLALAKEGIAKGKQWENVVWIMIDFTFVHRMYRKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLHRFPGGVTHSFTGTSEDRDKLLSFEKMFIERMMIETDSPYCDIKNTHAGIKLVKSVWPSKKKEKYEPDSTVKGRNEACLVRGIYHGKQCHAADIPAVLARAWASGVDRIIVTGGSLKESREALEIAETDGELSCLDAGCFDYVLLGAFKVFVVMCLRSCDVLEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRLHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLYRFPGGVTHSFTGTAEDRDKLLSFEKMFIGINGCSLKTSENLEVLQGIPAERMMIETDSPYCDIKNTHAGIKFVKSVWPSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPQDLDASADAQLESGASIQNS >ORUFI07G03300.7 pep chromosome:OR_W1943:7:2765255:2777604:1 gene:ORUFI07G03300 transcript:ORUFI07G03300.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVKLIGECPTLSLLPLARRSYAPTWTDPAFFFYSSSHLLLRLRRHRDEQTSPGIYHGKQCHAADIPAVLARARAAGVDRIIIRCFAAGHSPFRFLFLAYGSDLVCLISRFLGLVRRKVTGGSLKESREALEIAETDGELSCFDAACFDYVLLGAFKVFVVMCLRSCDVLVRCREAVLHGGRAPDEMRGRGGLYSIPVSLLTPEIGVCLSRLDYVNSALLNEFEESGDPEGHFQALLALAKEGIAKGKQWENVVWIMIDFTFVHRMYRKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLHRFPGGVTHSFTGTSEDRDKLLSFEKMFIGHLPPPLDVTYLHTSENLKVLQGIPAERMMIETDSPYCDIKNTHAGIKLVKSVWPSKKKEKYEPDSTVKGRNEACLVRGIYHGKQCHAADIPAVLARAWASGVDRIIVTGGSLKESREALEIAETDGELSCLDAGCFDYVLLGAFKVFVVMCLRSCDVLEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRLHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLYRFPGGVTHSFTGTAEDRDKLLSFEKMFIGINGCSLKTSENLEVLQGIPAERMMIETDSPYCDIKNTHAGIKFVKSVWPSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPQDLDASADAQLESGASIQNS >ORUFI07G03300.8 pep chromosome:OR_W1943:7:2765203:2777776:1 gene:ORUFI07G03300 transcript:ORUFI07G03300.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVKLIDIAVNFTDGMFRGIYHGKQCHAADIPAVLARAWASGVDRIIVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRLHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLYRFPGGVTHSFTGTAEDRDKLLSFEKMFIGINGCSLKTSENLEVLQGIPAERMMIETDSPYCDIKNTHAGIKFVKSVWPSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPQDLDASADAQLESASEGSEGFDWLVMETWTLSTLPASEKVWEISPEVMV >ORUFI07G03300.9 pep chromosome:OR_W1943:7:2765255:2777774:1 gene:ORUFI07G03300 transcript:ORUFI07G03300.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVKLIGECPTLSLLPLARRSYAPTWTDPAFFFYSSSHLLLRLRRHRDEQTSPGIYHGKQCHAADIPAVLARAWASGVDRIIVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRLHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLYRFPGGVTHSFTGTAEDRDKLLSFEKMFIGINGCSLKTSENLEVLQGIPAERMMIETDSPYCDIKNTHAGIKFVKSVWPSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPQDLDASADAQLESASEGSEGFDWLVMETWTLSTLPASEKVWEISPEVMV >ORUFI07G03310.1 pep chromosome:OR_W1943:7:2770020:2770775:-1 gene:ORUFI07G03310 transcript:ORUFI07G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGIRILSKKFTPLLCRSSVARTGMALTKTNHMSTPFVDSLNGAKRPFSSSSIIEDRHLFRQSWYPWPPVPNIEEEFTRQWRIRFLANFLFLVYSSGFIAHKRRNLTHKMKLGATSFQALGAHACPPVLPRDREELVI >ORUFI07G03320.1 pep chromosome:OR_W1943:7:2776522:2778151:-1 gene:ORUFI07G03320 transcript:ORUFI07G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAFRSLLHPAAAALTERVPPPPAHLRLQGLHRHRVGVLNLFVASGHRRRILLPLAAAGGEFSSEEEEYANEEEEEGEEYVEEEEEDGEEEEAAAVAAPRGYYPPRSRPALGQEPGRLFVGNLPYTMTSGEISQTFSEAGRVDNVQIIYDKVTDRSRGFAFVTMATAEEAATAIQMFNGALLGGRTARVNYPEVPRGGERAVGSAAATRGNRRDDGTFKIYAGNLGWGVRADALRAAFEGQPGLLDARVIFERDSGRSRGFGFVSFRTAEDAQAALEALDGVELEGRPLRLSMAEQNPTAGSPSTVQSQEEETASESSDAETEQSITSEPSEAETEESNLQTAASY >ORUFI07G03330.1 pep chromosome:OR_W1943:7:2778542:2779120:1 gene:ORUFI07G03330 transcript:ORUFI07G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASDPAIAAPHLEAEEPAVVTVAAAAAEEAVVVAADVEKEGEGEDEEEEEEEGECGFCLFMKGGGCKEAFVAWEECVEAAGKEEGSDMVERCFEVTANLKRCMDAHADYYAPVLRAEQAVNDHADAAIAADKAKEGGEKKLDAVAQEAASAADEKKQQVEEKSSSSSSSPTTTIDERKEKEVVTEKADS >ORUFI07G03340.1 pep chromosome:OR_W1943:7:2797008:2804221:-1 gene:ORUFI07G03340 transcript:ORUFI07G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKERVDMHVNAALSFQDHGHGLQAITGRGGNGGAAAHALPWWAGAGAGAGSQTLLGTGGGEESFCQLSNTIMEDTRILQNHHHQILAAGRQLQQRHHFPAMPPERHHHPPPPAPGSPAMKFPIISGDSDLGKDLKFHESSAPTIAAYSPLQEYQGHFELALGHSMVCTNFCNSEQSYGVYSPYGAQTMAGRMLLPPAIATDVGPIYVNAKQFNGIIRRRLARAKAEREHRVSRSRKVRRRRRRRRRRVLVVVSFPCQFAGELAVTVTVTVTVTDSSSSSSSATAQPYLHESRHRHAMRRARGSGGRFLNTKXRRRRTSPAPVAIHPWL >ORUFI07G03350.1 pep chromosome:OR_W1943:7:2798969:2801053:1 gene:ORUFI07G03350 transcript:ORUFI07G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPGAGGGGWWCRSGGIAGKWWRCCSCRPAARIWWWWFCRIRVSSMIVLLNWQNDSSPPPVPSSVWDPAPAPAPAHHGNA >ORUFI07G03360.1 pep chromosome:OR_W1943:7:2820381:2821460:-1 gene:ORUFI07G03360 transcript:ORUFI07G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPLVLTVLLFASLTWLVVLAPRSSSPPATATPSPPVVGDGVGGGGEDGDLALFRRATLDGGEGAAAMAVAEPKVAFLFLTNSELTFAPLWERFFEGHGERLNVYVHADPAARLMMPPTRSFKGRFVAAGPTKRADATLIAAARRLLAAALVDDAANAYFALLSQHCIPVHSFRHLHATLFPPPAAAAAAARRQRRLPSYIEVLDGEPQMASRYAARGEGAMLPEVPFDRFRVGSQFFTLARRHAALVVGERRLWDKFRQPCLDQNACYPEEHYFPTLLDMADPAGVARYTLTHVNWAGSVHGHPHTYTAAEVSAELVADLRRPKKNTTHDYMFARKFSPDCLAPLMDIADAILFND >ORUFI07G03370.1 pep chromosome:OR_W1943:7:2822911:2825533:-1 gene:ORUFI07G03370 transcript:ORUFI07G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATTTKRKRPVIRFNDLPTDILIGIISRLPIKEAARTSILSSHWKKIWCSHVNLEFSFYSMSPRLASTSHDARLRMKMFIERILISNCNALEFLGIVDCGKLTRLSTSHLWNQLKHLHVESCHLLKEIELNLGLTKLGYKGTLIPLSPPGPLLLTNVCMKLQHARSSLGYIFTNLPSTLLHLETLSLQCSELERAILPENHIKFMYLKHLRLQLRHPVTEKKIDLLDFACLLEAAPLLQKFELHMWMPLHHQRYREEAHGELRSLPPQPHAHLRLVHISGFIGMKDQLELSLHILRNSAMIRAMKVDPKPLFALPCISMLSPLEGFQYLDGYEVAIEYLCREDHNNVVDVSEIRREEVETLSVCELVYPDCVRLTRKANSSS >ORUFI07G03370.2 pep chromosome:OR_W1943:7:2822913:2825361:-1 gene:ORUFI07G03370 transcript:ORUFI07G03370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATTTKRKRPVIRFNDLPTDILIGIISRLPIKEAARTSILSSHWKKIWCSHVNLEFSFYSMSPRLASTSHDARLRMKMFIERILISNCNALEFLGIVDCGKLTRLSTSHLWNQLKHLHVESCHLLKEIELNLGLTKLGYKGTLIPLSPPGPLLLTNVCMKLQHARSSLGYIFTNLPSTLLHLETLSLQCSELERAILPENHIKFMYLKHLRLQLRHPVTEKKIDLLDFACLLEAAPLLQKFELHMWMPLHHQRYREEAHGELRSLPPQPHAHLRLVHISGFIGMKDQLELSLHILRNSAMIRAMKVDPKPLFALPCISMLSPLEGFQYLDGYEVAIEYLCREDHNNVVDVSEIRREEVETLSVCELVYPDCVRLTRKANSSS >ORUFI07G03370.3 pep chromosome:OR_W1943:7:2822911:2825533:-1 gene:ORUFI07G03370 transcript:ORUFI07G03370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATTTKRKRPVIRFNDLPTDILIGIISRLPIKEAARTSILSSHWKKIWCSHVNLEFSFYSMSPRLASTSHDARLRMKMFIERVSQVFAQHSGLSVQKIAIQGKLDNEHADHINRWLSFVSATKTKDLTFDFKSRYPREGPYDFPFKFFGAMDSSYLLSMRLSAVSLNPPVDFKAFLNLKRLKLEHTNITDENMQILISNCNALEFLGIVDCGKLTRLSTSHLWNQLKHLHVESCHLLKEIELNLGLTKLGYKGTLIPLSPPGPLLLTNVCMKLQHARSSLGYIFTNLPSTLLHLETLSLQCSELERAILPENHIKFMYLKHLRLQLRHPVTEKKIDLLDFACLLEAAPLLQKFELHMWMPLHHQRYREEAHGELRSLPPQPHAHLRLVHISGFIGMKDQLELSLHILRNSAMIRAMKVDPKPLFALPCISMLSPLEGFQYLDGYEVAIEYLCREDHNNVVDVSEIRREEVETLSVCELVYPDCVRLTRKANSSS >ORUFI07G03370.4 pep chromosome:OR_W1943:7:2822913:2825361:-1 gene:ORUFI07G03370 transcript:ORUFI07G03370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATTTKRKRPVIRFNDLPTDILIGIISRLPIKEAARTSILSSHWKKIWCSHVNLEFSFYSMSPRLASTSHDARLRMKMFIERVSQVFAQHSGLSVQKIAIQGKLDNEHADHINRWLSFVSATKTKDLTFDFKSRYPREGPYDFPFKFFGAMDSSYLLSMRLSAVSLNPPVDFKAFLNLKRLKLEHTNITDENMQILISNCNALEFLGIVDCGKLTRLSTSHLWNQLKHLHVESCHLLKEIELNLGLTKLGYKGTLIPLSPPGPLLLTNVCMKLQHARSSLGYIFTNLPSTLLHLETLSLQCSELERAILPENHIKFMYLKHLRLQLRHPVTEKKIDLLDFACLLEAAPLLQKFELHMWMPLHHQRYREEAHGELRSLPPQPHAHLRLVHISGFIGMKDQLELSLHILRNSAMIRAMKVDPKPLFALPCISMLSPLEGFQYLDGYEVAIEYLCREDHNNVVDVSEIRREEVETLSVCELVYPDCVRLTRKANSSS >ORUFI07G03380.1 pep chromosome:OR_W1943:7:2833598:2837579:1 gene:ORUFI07G03380 transcript:ORUFI07G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARRSPPAAILSPIARAPPPSWDLGTSSTAFRPDVPRAWPARRRRWYVLIRPSLAESGVAERSVEVGRVEAIDDIALEREFRRLDDGSSVEVSFMQRPAGCYHHVACHDGFKVLRLPYKAATPTTTSSSATASRRSPCSSSSPTTATAGLSDLLDRITSSPEFVDDHLPPECVPESDDDLGFSLYDGYYPPPLKLVDFVADHLFAFFIVEERLQSIVFAGHVLDPSEEV >ORUFI07G03390.1 pep chromosome:OR_W1943:7:2845898:2846476:1 gene:ORUFI07G03390 transcript:ORUFI07G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPQLACLRRSAYVTSRQSIPTPRSQLMGAGAGDWPVFVDGGGSSYKTSWSGAAAVIVCLCAVAAVFLIMAGITQLCKRVFPAAPPAPPPQRRRDDDDDDGIVVAVGIDEATLQALPLVLYGEARTAQTSCAVCLESYGGGDVLRALPECGHLFHRDCIFTWLRRRPTCPVCRAPPSPAPPADVLGLQLSV >ORUFI07G03400.1 pep chromosome:OR_W1943:7:2848772:2850361:-1 gene:ORUFI07G03400 transcript:ORUFI07G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFFSSFLNESASSENLFGHPNVERCPFLRNINGATTYSFSSALPVAARGGNGPIFEDGPGFDSAFKLFHGWDGIVPLSGKSYLPDESNSESIDANPEPALLFNPLAARAATISLSAFGPFGFNFFNGKGKRQNKKPNNLNQSNKKPSNPNQNSMKQKGGNSSSHEAMSNEWMENGQCPLARSYRAMSGILPLVAKALQPPAGVKLKCPPAVVAIRAALARTELVKSLRPQPLPAKMVAIALLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFIGMLRKSVNMPKTAMAFTIAASIIGQTIGSRAERIRLKALAAKGDADSTTVADMYPNKSGNCSDTEGKAWDPLAMKMAGRASGGVAAPTPSMCF >ORUFI07G03410.1 pep chromosome:OR_W1943:7:2853995:2854543:1 gene:ORUFI07G03410 transcript:ORUFI07G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSPSPGGGDGGGGGGSIFGSGGIGGFGYGVGASVGVLLVVSTVALAIYFCSRTSMPVAAAAAGRPPSPPRPRDDGDVETGTGIDDATLEAFPEVVYGEARKARGGAAATQTCCPVCLENYGDGDVLRALPDCGHLFHRECVDPWLRQRPTCPVCRTSPLPSPMPTPLAEVTPLALVRPS >ORUFI07G03420.1 pep chromosome:OR_W1943:7:2855471:2857230:-1 gene:ORUFI07G03420 transcript:ORUFI07G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLSRHQLCLGGNRGLPFLRKFKHKEIEAATNGFSAILEAGPGGRAAYRARFADGLVATVRRAGGDGDQDREAFYRELQLLARLNHRHIVRLHGYSDGHSRFLVFDQMENRSLKECLHDPLRTPLNWRTRLQVAIDVAAALEYLYYFCDPPVFHVSVNSSNVMMDANFVAKLSDISVIGYDPKRTVESNAASFEDEIQQRRRDLVFQYGVLILELVTGQSPGGEGELVQWVQEPGFACTMYKMVDADLGNIYDSKELRNLVIIARLCTRPGNDAMVSIPLILRYLQGKVANLGCESENICELSV >ORUFI07G03430.1 pep chromosome:OR_W1943:7:2863679:2867261:1 gene:ORUFI07G03430 transcript:ORUFI07G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQSLLLLLALLAAAAAAASAVTDVEYCNKGKKYPVKVSGVEIVPDPVARGEPATFKISASTDKTIGKGKLVIDVKYFFFYVHSETRELCDVTSCPASGDFLVAHQQTLPSYTPPGSYTITMKMLGDNDEELSCISFGFSIGFAASEATI >ORUFI07G03440.1 pep chromosome:OR_W1943:7:2868306:2880617:1 gene:ORUFI07G03440 transcript:ORUFI07G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKTLKARILCALKSSLPGAASPPPSPTKPGRAAAAVVVVAGDAFSDDASFFDAHETPTKNGAEPIDDWELVDEEGRVGVAAAAAEEEEEQLREFPARCPQGGEGAVVLYTTTLRGIRKTFEDCNGVRALLENLDVAFQERDVSMDRGLRDELWSVTGEKAVPPRLFVRGRDVGGAAQVLALHEDGRLLALLSPGSNKNRSAAAAAAKCDACGGLRFVVCGECDGSRKVFDGERGRGVRCRGCNENGLVMWWARVREEAPPEGGVRYAATAAASPSSYYGLRLLHSFLHPDLVLRLERGGCRGTGAGAGGRSYALVPADELSRVLARQNSSLALHNKHSFAEDSAGAYPLVLRISVRETSILTVKISKKDNPVENYKRAYKIFNIDSQPVHVWDFSGQTNLILMNEWNRSNHDCCHSELENILEVQVYAMSDSLTSKIGGTSKEYTEQSSADVNDMDVDLSYGSFGRSSSHGLIGLENLGNTCFMNSSIQCLAHTSKLVDYFLGDYDRDINRTNPLGLNGELALAFGELLRRLWNTERKPVSPHHFKAKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDKEVADEYWSNHLARNDSVIVDTYHGQYKSTLTCPTCSKTSVTFDPFMYLSLPVPSTAKRTMTVTVFSTDGSIEPISYDVTVPQFGSLNDLVQALSSACSLGDDEILLITEVYNNRILRYLEEPSDSVSLLRDGDKLAAFRLPRKYEKSPVVVFTHQYFDERSSIDNITPQMKEFEAPLLAVLPERANGLTLKNIYLKLLEPLRFSKSTSSLNDSGRCNSGCAAVMMDATPDSDSKFQSAPSENAPESSQSETIECQMTEGPSESNIGDTTDSDREAHMEEFEFYLINGRGEFQQTRIQTDEVDLQTTPNRLLINVHWQQNAVGQYDTSMLKSLPEIHKLELIPKGNEDSVALHGCLEAFLKEEPLGPEDMWYCPCCKKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFPISDLDLSSYIIDKSELSDCHYRLYAISNHYGNMGGGHYTASIYHEEGKGWYKFDDECVRPITEDSIKTPAAYPFGGKNQRGNSLSFLAKTKGYSWVTWFS >ORUFI07G03440.2 pep chromosome:OR_W1943:7:2868306:2883888:1 gene:ORUFI07G03440 transcript:ORUFI07G03440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKTLKARILCALKSSLPGAASPPPSPTKPGRAAAAVVVVAGDAFSDDASFFDAHETPTKNGAEPIDDWELVDEEGRVGVAAAAAEEEEEQLREFPARCPQGGEGAVVLYTTTLRGIRKTFEDCNGVRALLENLDVAFQERDVSMDRGLRDELWSVTGEKAVPPRLFVRGRDVGGAAQVLALHEDGRLLALLSPGSNKNRSAAAAAAKCDACGGLRFVVCGECDGSRKVFDGERGRGVRCRGCNENGLVMWWARVREEAPPEGGVRYAATAAASPSSYYGLRLLHSFLHPDLVLRLERGGCRGTGAGAGGRSYALVPADELSRVLARQNSSLALHNKHSFAEDSAGAYPLVLRISVRETSILTVKISKKDNPVENYKRAYKIFNIDSQPVHVWDFSGQTNLILMNEWNRSNHDCCHSELENILEVQVYAMSDSLTSKIGGTSKEYTEQSSADVNDMDVDLSYGSFGRSSSHGLIGLENLGNTCFMNSSIQCLAHTSKLVDYFLGDYDRDINRTNPLGLNGELALAFGELLRRLWNTERKPVSPHHFKAKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDKEVADEYWSNHLARNDSVIVDTYHGQYKSTLTCPTCSKTSVTFDPFMYLSLPVPSTAKRTMTVTVFSTDGSIEPISYDVTVPQFGSLNDLVQALSSACSLGDDEILLITEVYNNRILRYLEEPSDSVSLLRDGDKLAAFRLPRKYEKSPVVVFTHQYFDERSSIDNITPQMKEFEAPLLAVLPERANGLTLKNIYLKLLEPLRFSKSTSSLNDSGRCNSGCAAVMMDATPDSDSKFQSAPSENAPESSQSETIECQMTEGPSESNIGDTTDSDREAHMEEFEFYLINGRGEFQQTRIQTDEVDLQTTPNRLLINVHWQQNAVGQYDTSMLKSLPEIHKLELIPKGNEDSVALHGCLEAFLKEEPLGPEDMWYCPCCKKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFPISDLDLSSYIIDKSELSDCHYRLYAISNHYGNMGGGHYTASIYHEEGKGWYKFDDECVRPITEDSIKTPAAYGIIQPPAAGSQASYPCMELLPCVWKWTSMLNEWYNPFFCNCTWYPVLMSASNIYSR >ORUFI07G03440.3 pep chromosome:OR_W1943:7:2868306:2883888:1 gene:ORUFI07G03440 transcript:ORUFI07G03440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKTLKARILCALKSSLPGAASPPPSPTKPGRAAAAVVVVAGDAFSDDASFFDAHETPTKNGAEPIDDWELVDEEGRVGVAAAAAEEEEEQLREFPARCPQGGEGAVVLYTTTLRGIRKTFEDCNGVRALLENLDVAFQERDVSMDRGLRDELWSVTGEKAVPPRLFVRGRDVGGAAQVLALHEDGRLLALLSPGSNKNRSAAAAAAKCDACGGLRFVVCGECDGSRKVFDGERGRGVRCRGCNENGLVMQNSSLALHNKHSFAEDSAGAYPLVLRISVRETSILTVKISKKDNPVENYKRAYKIFNIDSQPVHVWDFSGQTNLILMNEWNRSNHDCCHSELENILEVQVYAMSDSLTSKIGGTSKEYTEQSSADVNDMDVDLSYGSFGRSSSHGLIGLENLGNTCFMNSSIQCLAHTSKLVDYFLGDYDRDINRTNPLGLNGELALAFGELLRRLWNTERKPVSPHHFKAKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDKEVADEYWSNHLARNDSVIVDTYHGQYKSTLTCPTCSKTSVTFDPFMYLSLPVPSTAKRTMTVTVFSTDGSIEPISYDVTVPQFGSLNDLVQALSSACSLGDDEILLITEVYNNRILRYLEEPSDSVSLLRDGDKLAAFRLPRKYEKSPVVVFTHQYFDERSSIDNITPQMKEFEAPLLAVLPERANGLTLKNIYLKLLEPLRFSKSTSSLNDSGRCNSGCAAVMMDATPDSDSKFQSAPSENAPESSQSETIECQMTEGPSESNIGDTTDSDREAHMEEFEFYLINGRGEFQQTRIQTDEVDLQTTPNRLLINVHWQQNAVGQYDTSMLKSLPEIHKLELIPKGNEDSVALHGCLEAFLKEEPLGPEDMWYCPCCKKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFPISDLDLSSYIIDKSELSDCHYRLYAISNHYGNMGGGHYTASIYHEEGKGWYKFDDECVRPITEDSIKTPAAYGIIQPPAAGSQASYPCMELLPCVWKWTSMLNEWYNPFFCNCTWYPVLMSASNIYSR >ORUFI07G03450.1 pep chromosome:OR_W1943:7:2886583:2894647:1 gene:ORUFI07G03450 transcript:ORUFI07G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQFTSEHVCYVNCNYCNTILVVNVPNNCSYNIVTVRCGHCTMVLSMDLAPFHQARTVQDHQVQNRGFQGNNFGSYDIASRNQRTSTAMYPMPTSQQQVSPIRPPEKRQRVPSAYNRFIKEEIQRIKTSNPEISHREAFSAAAKNWAHLPRLHFGLSVADGGGGGGSN >ORUFI07G03460.1 pep chromosome:OR_W1943:7:2907021:2911079:1 gene:ORUFI07G03460 transcript:ORUFI07G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKKPAVVVAMKGHPGSGKSTVARAIATALCCPLLDKDDVRDCTLPLERVDGLAVGMLNELSYAVLWRMAERQVQLGLSVVVDSPLSRRAHLDALTRLPGALVIVVECQPGNEEEWRRRLEKRGAAVPEDGGDGWHKPKTWTELERLRDGYQGCTDYEFGDVPRIVVDTTDPTANSEAISVRVVEFIGSILACDH >ORUFI07G03460.2 pep chromosome:OR_W1943:7:2906794:2911079:1 gene:ORUFI07G03460 transcript:ORUFI07G03460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLQPGVPVTLQELEPSSESFRQGASLRVTGVLQSYDLNSAIAVIQDGGASLKVDTQNLREISFRTNSTYQFIGELLIKPDNDAVLQARVGRNVDGIDLNLYQQSLLIRRQYEAQLRSRRA >ORUFI07G03460.3 pep chromosome:OR_W1943:7:2907021:2911079:1 gene:ORUFI07G03460 transcript:ORUFI07G03460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKKPAVVVAMKGHPGSGKSTVARAIATALCCPLLDKDDVRDCTLPLERVDGLAVGMLNELSYAVLWRMAERQVQLGLSVVVDSPLSRRAHLDALTRLPGALVIVVECQPGNEEEWRRRLEKRGAAVPEDGGDGWHKPKTWTELERLRDGYQGCTDYEFGDVPRIVVDTTDPTANSEAISVRVVEFIGSILACDH >ORUFI07G03470.1 pep chromosome:OR_W1943:7:2910217:2912388:-1 gene:ORUFI07G03470 transcript:ORUFI07G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCLSSLALLSPSPSSSGKVSAMASPPVPSSAAPRRRPGTRLSVATGGEQLVTAQEASQEPAYGVVSIHHVGILCENLERSMAFYKDLLGLKVNPARPTDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHTCMAIKDVLKLKEIFDKAGIKYTLSKSGRPAIFARDPDGNALEFTQV >ORUFI07G03480.1 pep chromosome:OR_W1943:7:2912581:2923467:-1 gene:ORUFI07G03480 transcript:ORUFI07G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGWWGFIRGERDGDLGEGERGEAIVYNSREGWVQAGRWGRGEGVSVCGALHQPVQDFMNINNIAVVYFAEIFYGNSIPIQSVIQWLSAS >ORUFI07G03490.1 pep chromosome:OR_W1943:7:2916671:2920654:1 gene:ORUFI07G03490 transcript:ORUFI07G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRWVQEPKAMRDHAPSTSLLTAGFACSPSTKHGSPSAVGERDATQEDGELQLLFSLSLFPLEVAMAPQIHPFCVQTAPPHRCPLLGRLLPPQNGPAASAPPAAACKIPASDNLVVRRLRVSSNRMAEGKSEKFKQLNGVMVERKRPRIHLGDLHTDILNRIISLLPLKEAARTSVLSNHWKNIWCSRESLVFRFYTVLSMHHHIKRCWTSDGQRLNKELFIERVDSVLKQRSGLGVQTVAILYELENEDADHIDRWLNFVIASKTKQLILDLDPYYPKVAPYNFPFKLFNATNSLQLQALKLISVSLKLPANFMGFRNLQKLKLDCTDISDDDMQTLVSNCNALNFLGILYCGMLTRLQTSQPLNQLKHLQVENCTMLQDIQLNFGLTKLEYEGPLIPLAPPGPLLMTNVMMKLSDIDSALEYIFTKLPSTLPRLETLTVNCSELKRATLPEKTVKFMYLKHLRLELTFCVRPREADMFDFACILKAAPLLEILELHMWMPYDNQHYCEDHGVLRSLPNHAHSNLKLAYVTGFYGMKDQLELLRHILINSVMLNAMKIDPRPVVAVPHGTVMLCTEGLNCLNGYRVAMEYLSKADHRNVLDVHEILLEDVQKREIYAIMKDRWIQEPKAMLSYF >ORUFI07G03490.2 pep chromosome:OR_W1943:7:2916671:2920654:1 gene:ORUFI07G03490 transcript:ORUFI07G03490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRWVQEPKAMRDHAPSTSLLTAGFACSPSTKHGSPSAVGERDATQEDGELQLLFSLSLFPLEVAMAPQIHPFCVQTAPPHRCPLLERAGCICTSCCCLQDSCIRQSRRPASQRVSSNRMAEGKSEKFKQLNGVMVERKRPRIHLGDLHTDILNRIISLLPLKEAARTSVLSNHWKNIWCSRESLVFRFYTVLSMHHHIKRCWTSDGQRLNKELFIERVDSVLKQRSGLGVQTVAILYELENEDADHIDRWLNFVIASKTKQLILDLDPYYPKVAPYNFPFKLFNATNSLQLQALKLISVSLKLPANFMGFRNLQKLKLDCTDISDDDMQTLVSNCNALNFLGILYCGMLTRLQTSQPLNQLKHLQVENCTMLQDIQLNFGLTKLEYEGPLIPLAPPGPLLMTNVMMKLSDIDSALEYIFTKLPSTLPRLETLTVNCSELKRATLPEKTVKFMYLKHLRLELTFCVRPREADMFDFACILKAAPLLEILELHMWMPYDNQHYCEDHGVLRSLPNHAHSNLKLAYVTGFYGMKDQLELLRHILINSVMLNAMKIDPRPVVAVPHGTVMLCTEGLNCLNGYRVAMEYLSKADHRNVLDVHEILLEDVQKREIYAIMKDRWIQEPKAMLSYF >ORUFI07G03490.3 pep chromosome:OR_W1943:7:2916671:2920654:1 gene:ORUFI07G03490 transcript:ORUFI07G03490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRWVQEPKAMRDHAPSTSLLTAGFACSPSTKHGSPSAVGERDATQEDGELQLLFSLSLFPLEVAMAPQIHPFCVQTAPPHRCPLLGRLLPPQNGPAASAPPAAACKIPASDNLVVRRLRVSSNRMAEGKSEKFKQLNGVMVERKRPRIHLGDLHTDILNRIISLLPLKEAARTSVLSNHWKNIWCSRESLVFRFYTVLSMHHHIKRCWTSDGQRLNKELFIERVDSVLKQRSGLGVQTVAILYELENEDADHIDRWLNFVIASKTKQLILDLDPYYPKVAPYNFPFKLFNATNSLQLQALKLISVSLKLPANFMGFRNLQKLKLDCTDISDDDMQTLVSNCNALNFLGILYCGMLTRLQTSQPLNQLKHLQVENCTMLQDIQLNFGLTKLEYEGPLIPLAPPGPLLMTNVMMKLSDIDSALEYIFTKLPKGYFAREDRKIYVSKAFEIGIDMWMPYDNQHYCEDHGVLRSLPNHAHSNLKLAYVTGFYGMKDQLELLRHILINSVMLNAMKIDPRPVVAVPHGTVMLCTEGLNCLNGYRVAMEYLSKADHRNVLDVHEILLEDVQKREIYAIMKDRWIQEPKAMLSYF >ORUFI07G03500.1 pep chromosome:OR_W1943:7:2923352:2927534:1 gene:ORUFI07G03500 transcript:ORUFI07G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDGLPRPKIAIRELPIDYDNGLMDKYVYLPLLFAQLMTLKHEPK >ORUFI07G03500.2 pep chromosome:OR_W1943:7:2923352:2926898:1 gene:ORUFI07G03500 transcript:ORUFI07G03500.2 gene_biotype:protein_coding transcript_biotype:protein_coding LPACTHPSLLLYTIASPLSPSPKSPSLSPRINPHHPTSIFTPPTRQHKPNPIHQPPRRRRRRRSHGRLRPAAPPPRRGRHRRAAGAARIVRYSLLRRRRQQQQRWWWWCGEQRGELELGAGGAAGLALHGAGRAGGEGAAAADAGGRRREGERHHLRAAERGARARPRPGVSPLPPRAPQPPLAAAAPPLPRPPRPPPRLRLLPRLPLLPPHPLRRARRPLRLADAGRRRGGHAARRGGAPRRRHPRDRAAAGPALRAGGFQPPPQPRRDLGGAPDGGARGGSEDAPPQEARAARPPRRAAGAADLGRDGAGPIHRAGPRAGARVRETPLRRAQPGEGLHPDAPPLRRLQRARRHPRQPHLARHRDGPPRLRGVRAHRAGAQRRGHGAAHHRPAQRAGVAGEHPLLPHDPGVPDGGEHVQRGAPLREGEVRHAAAAQQGDGEGGRRVGQVRPWRGLRLPVRPGHLHRREDLRAGHRRRALPSQGHRHRRRRLRLRLVGRRSRQEGPRRHRPLQVQAPTSCWKGHAK >ORUFI07G03510.1 pep chromosome:OR_W1943:7:2930053:2938650:-1 gene:ORUFI07G03510 transcript:ORUFI07G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRLLPSTSGSRQPSMAGLDGGGAPPAVCDPNNLPAAIVLVFPVMVVALLCWRAARTRRNKDECRRLAQRVALLRDLRQLMAPAPPLAKAAAVSAEVRAVLAGQVDAWVKEAESVVLGCTSSRWPCRFVRCDRHGEQLSVVRMNLDEAYDRILPVVAQIDTAHRLHHLLQLQVIVQDGHKYEETDMSNGRQYCQRPPRPKE >ORUFI07G03510.2 pep chromosome:OR_W1943:7:2930053:2938650:-1 gene:ORUFI07G03510 transcript:ORUFI07G03510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRLLPSTSGSRQPSMAGLDGGGAPPAVCDPNNLPAAIVLVFPVMVVALLCWRAARTRRNKDECRRLAQRVALLRDLRQLMAPAPPLAKAAAVSAEVRAVLAGQVDAWVKEAESVVLGCTSSRWPCRFVRCDRHGEQLSVVRMNLDEAYDRILPVVAQIDTAHRLHHLLQLQLIWECGISPVSPTRYEETDMSNGRQYCQRPPRPKE >ORUFI07G03520.1 pep chromosome:OR_W1943:7:2942728:2947898:-1 gene:ORUFI07G03520 transcript:ORUFI07G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPEMMRLAQEQMSKMSPADLARMQQQLLSNPNLVKLASESMKNMRADDFRRAAQQMNQTRPDEMLDMAEKLANANPEEVAAMKVQAEQQMSYVISGAKMLKQQGNELHRCEQYSEAAAKYKLAKDNLKSIPSQSAHSLQLVCTLNLMACYLKTRNFEECINEGSEVLTYDSSNVKAYYRRGQAYKELGNLEAAVGDLSKAHELSPDDETIAAVLRDAEEKLAVEGKGAKHPKGVVIEEVVDDASEPSSSQRSSSPGYTVSQPPEEGNSRPSGSSSIDAIGLSKLGMQGMSPELVKTASDMIGTMKPEELQKMFEAASSLHGTSSSPPNLGPNMPEMSPEMFKMASDMIGNMSPDELQNMLNFASNMGGPSASPLRPENKLQSSSRATTSSTSQRSVDNSQPSSSQNVMENPHEILSNQRMGESSSPGAPSTADMQETMRNAMKDPAMRKMYASMMKNISPEMMSSMSEQFGMKMTKEDAAKAQEALSSLSPEALDRMMKWMDRAQQGVEAAKKTKNWLLGRKGLVLAIVMLILAFILRQLGFIGG >ORUFI07G03530.1 pep chromosome:OR_W1943:7:2948436:2948988:-1 gene:ORUFI07G03530 transcript:ORUFI07G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTTPPVTRSSGRAPLHTPASVLVGVPATTAIISSYPSTILRSAFLSTIPMGLMQRRQRRVMIEELGYGLWRGRWRDGEGGGGARDGTIQREDNRRRRRGLGGMGRRRVGGVRRWRAEEEGWGAGECDAKLRIGERGSAMRIREMRSGATGGSWEKISSADACERIL >ORUFI07G03540.1 pep chromosome:OR_W1943:7:2951502:2954053:1 gene:ORUFI07G03540 transcript:ORUFI07G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKPEESLDCAAKNRQGRRSGLQLNDLPIDVLGLIISRLPIGDAIRTGLISRQWKDLWRDHTMLTFSRATFPSCRMLNQQNFIRRVDSILQQHSGVGVERMEIKFLLRNARRDIDRWVKFAVASKTKELILDLSDLTRFFMLPVMVFHPYLDREGFYEFPCQLLDANNGGSHLQCLQLTSMYLKPAADFTGFLNLKRLNLIGVNITDEGVQNLLCNPNVLEFLEISFCRMLTKIHAPHFLNRLKHLQVDCCPVLEKIEMNCDLATLDFTGSSMTPLIFATTSSLTNDSSVICRLFECSNFMLLNIRKTDILDYAYLLEIAPFMEKLELHVQITGFFGQKDQVELALHHILCSSTVLKNMVINPEIAIVPHDAYRPPKRGAHNFVDGRDAAMEFVCKADHRNVVEVV >ORUFI07G03540.2 pep chromosome:OR_W1943:7:2951502:2954053:1 gene:ORUFI07G03540 transcript:ORUFI07G03540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKPEESLDCAAKNRQGRRSGLQLNDLPIDVLGLIISRLPIGDAIRTGLISRQWKDLWRDHTMLTFSRATFPSCRMLNQQNFIRRVDSILQQHSGVGVERMEIKFLLRNARRDIDRWVKFAVASKTKELILDLSDLTRFFMLPVMVFHPYLDREGFYEFPCQLLDANNGGSHLQCLQLTSMYLKPAADFTGFLNLKRLNLIGVNITDEGVQNLLCNPNVLEFLEISFCRMLTKIHAPHFLNRLKHLQVDCCPVLEKIEMNCDLATLDFTGSSMTPLIFATTSSLTNVRLKTMPFCTGLDYIVTGFISNLPVVRMLEFHVVEYKKAISPQRLPKLIYLRHLKLETIVFGYGRKTDILDYAYLLEIAPFMEKLELHVQITGFFGQKDQVELALHHILCSSTVLKNMVINPEIAIVPHDAYRPPKRGAHNFVDGRDAAMEFVCKADHRNVVEVV >ORUFI07G03550.1 pep chromosome:OR_W1943:7:2955590:2959886:-1 gene:ORUFI07G03550 transcript:ORUFI07G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGRGPAHLVPLRPSPLSSTKILLRSKSTNPRRRRHRRLCRRRRRRLPRVTAGRANTCVGPSSAADRHGFFHSVSLAVLWRPGGRAEPSQPPGYPPRESSHSSVTSSTAPERVTIADSDLSSSTPNKGGNKPKVRRVQSAGLLADSVLKRDSERLKDLYTLGKKLGQGQFGTTYQCVEKATGKVLACKSIAKRKLVSEEDVEDVRREIQIMHHLAGHPSVVSIVGAYEDAVAVHLVMELCAGGELFDRIVQRGHYSEKAAAQLARVIIGVVEACHSLGVMHRDLKPENFLFVNHKEDSPLKTIDFGLSIFFKPGENYSDVVGSPYYVAPEVLMKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSDPWPAISDSAKDLVRKMLNRDPRKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLREMFKMLDTDNSGQITLEELKTGLRRVGANLKDSEITTLMEAADIDNSGSIDYGEFIAATMHLNKVEREDNLFAAFSYFDKDSSGYITQDELQKACEEFGIGDAHLEDIIKDIDQDNDGRIDYNEFVTMMQKGNNPLGKKGQGQLSFGLREALKLVDKVNSRKALNFGIHE >ORUFI07G03550.2 pep chromosome:OR_W1943:7:2955001:2959886:-1 gene:ORUFI07G03550 transcript:ORUFI07G03550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGRGPAHLVPLRPSPLSSTKILLRSKSTNPRRRRHRRLCRRRRRRLPRVTAGRANTCVGPSSAADRHGFFHSVSLAVLWRPGGRAEPSQPPGYPPRESSHSSVTSSTAPERVTIADSDLSSSTPNKGGNKPKVRRVQSAGLLADSVLKRDSERLKDLYTLGKKLGQGQFGTTYQCVEKATGKVLACKSIAKRKLVSEEDVEDVRREIQIMHHLAGHPSVVSIVGAYEDAVAVHLVMELCAGGELFDRIVQRGHYSEKAAAQLARVIIGVVEACHSLGVMHRDLKPENFLFVNHKEDSPLKTIDFGLSIFFKPGENYSDVVGSPYYVAPEVLMKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSDPWPAISDSAKDLVRKMLNRDPRKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLREMFKMLDTDNSGQITLEELKTGLRRVGANLKDSEITTLMEAADIDNSGSIDYGEFIAATMHLNKVEREDNLFAAFSYFDKDSSGYITQDELQKACEEFGIGDAHLEDIIKDIDQDNDGRIDYNEFVTMMQKGNNPLGKKGQGQLSFGLREALKLVDKVNSRKALNFGIHCIILDVLWPNLQFYAA >ORUFI07G03550.3 pep chromosome:OR_W1943:7:2955242:2959886:-1 gene:ORUFI07G03550 transcript:ORUFI07G03550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGRGPAHLVPLRPSPLSSTKILLRSKSTNPRRRRHRRLCRRRRRRLPRVTAGRANTCVGPSSAADRHGFFHSVSLAVLWRPGGRAEPSQPPGYPPRESSHSSVTSSTAPERVTIADSDLSSSTPNKGGNKPKVRRVQSAGLLADSVLKRDSERLKDLYTLGKKLGQGQFGTTYQCVEKATGKVLACKSIAKRKLVSEEDVEDVRREIQIMHHLAGHPSVVSIVGAYEDAVAVHLVMELCAGGELFDRIVQRGHYSEKAAAQLARVIIGVVEACHSLGVMHRDLKPENFLFVNHKEDSPLKTIDFGLSIFFKPGENYSDVVGSPYYVAPEVLMKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSDPWPAISDSAKDLVRKMLNRDPRKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLREMFKMLDTDNSGQITLEELKTGLRRVGANLKDSEITTLMEAADIDNSGSIDYGEFIAATMHLNKVEREDNLFAAFSYFDKDSSGYITQDELQKACEEFGIGDAHLEDIIKDIDQDNDGRIDYNEFVTMMQKGNNPLGKKGQGQLSFGLREALKLGCSAETVKL >ORUFI07G03550.4 pep chromosome:OR_W1943:7:2955540:2959886:-1 gene:ORUFI07G03550 transcript:ORUFI07G03550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGRGPAHLVPLRPSPLSSTKILLRSKSTNPRRRRHRRLCRRRRRRLPRVTAGRANTCVGPSSAADRHGFFHSVSLAVLWRPGGRAEPSQPPGYPPRESSHSSVTSSTAPERVTIADSDLSSSTPNKGGNKPKVRRVQSAGLLADSVLKRDSERLKDLYTLGKKLGQGQFGTTYQCVEKATGKVLACKSIAKRKLVSEEDVEDVRREIQIMHHLAGHPSVVSIVGAYEDAVAVHLVMELCAGGELFDRIVQRGHYSEKAAAQLARVIIGVVEACHSLGVMHRDLKPENFLFVNHKEDSPLKTIDFGLSIFFKPGENYSDVVGSPYYVAPEVLMKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSDPWPAISDSAKDLVRKMLNRDPRKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLREMFKMLDTDNSGQITLEELKTGLRRVGANLKDSEITTLMEAADIDNSGSIDYGEFIAATMHLNKVEREDNLFAAFSYFDKDSSGYITQDELQKACEEFGIGDAHLEDIIKDIDQDNDGRIDYNEFVTMMQKGNNPLGKKGQGQLSFGLREALKLGITTPECRMECYLVKDED >ORUFI07G03560.1 pep chromosome:OR_W1943:7:2964020:2965090:-1 gene:ORUFI07G03560 transcript:ORUFI07G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDNKLWSLRAWLQASTCHEAHLVCNMLQLFIAGLLSPPVTFLVVVRDENEIKKCTDAEERKECIQSAS >ORUFI07G03570.1 pep chromosome:OR_W1943:7:2965815:2966550:-1 gene:ORUFI07G03570 transcript:ORUFI07G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAHILSPLSLPLSPCRVCSPLSHDWWRWLHRRRRRGVARATATVAASSCEGHGCCVELPGPRRLRRWHRRGAARRRWWRGEVLITLLLSMSSKKRRAKC >ORUFI07G03580.1 pep chromosome:OR_W1943:7:2973587:2975559:1 gene:ORUFI07G03580 transcript:ORUFI07G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITVKMSAKVKRIRFADSQTGCEDPMVKGPNLTRCSAALASEACRALSKTHHEKLEEIGLDAVACMKLESLEKPDLIQWLMDRTGPNSMCILIDDDRKIQITPRTVHLVMGNPLGGKDIVIPPNKVVRNTHDRITEELGIQRNAQLSSKMLIEVIKNREDDPTAVCFFVMVIMSKLLLPTTDFYIPKSDVWVAADLDRVASIDWSKAVFQALSHSLRCWRQNPGSSIASCVVYDGKREPIEALQIPSDSTILRSSILHHMVFSEHNLITQHHPEISQFSSSPVINPPS >ORUFI07G03580.2 pep chromosome:OR_W1943:7:2973587:2975559:1 gene:ORUFI07G03580 transcript:ORUFI07G03580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITVKMSAKVKRIRFADSQTGCEDPMVKGPNLTRCSAALASEACRALSKTHHEKLEEIGLDAVACMKLESLEKPDLIQWLMDRTGPNSMCILIDDDRKIQITPRTVHLVMGNPLGGKDIVIPPNKVVRNTHDRITEELGIQRNAQLSSKMLIEVIKNREDDPTAVCFFVMVIMSKLLLPTTDFYIPKSDVWVAADLDRVASIDWSKAVFQALSHSLRCWRQNPGSSIASCVSDSTILRSSILHHMVFSEHNLITQHHPEISQFSSSPVINPPS >ORUFI07G03590.1 pep chromosome:OR_W1943:7:2979519:2980052:1 gene:ORUFI07G03590 transcript:ORUFI07G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPSKAKRPGGGSIQGPRPQSLIVSPAAAEASRPTKKPRVVAGGGDMGPVIVYELTPRVVHAQPEEFRAIVQKLTGKPSTATATAPSDPTATLPDLVAGGRAAAAADPLVLALGQQRQPAPPAIDDNDDDDDDHSAHPFLLPSPAAASLLSPSSLFFSPTTMQALQELGVLF >ORUFI07G03600.1 pep chromosome:OR_W1943:7:2982541:2984225:-1 gene:ORUFI07G03600 transcript:ORUFI07G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWWPAFLYPPPAPAFVAAASVAQFALLANAGLGELRGEHMAYSKFWQVVAGKKKNGGGGGGGALLPSRQGMLVAYVPAFVAAAASFAVPGAVVGVRAQVLSAALTVHFLKRILEVLFIHQYSGSMPLNTAATISSSYLVITATMIYAQHLAAGLPDPPVDLLYPGVAAFAVGIAGNFYHHYLLSQLRNAAGGSGGGERQYRIPTGGLFGLAACPHYLFEIVGFFGFAMIAQTAHALAVASGTVAYLAGRSCATRRWYESKFEDFPDSIKALVPYIL >ORUFI07G03610.1 pep chromosome:OR_W1943:7:2987178:2988035:-1 gene:ORUFI07G03610 transcript:ORUFI07G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIATASWPSTSASSWPRSVRRRVPEAEEERELGRRVEEMEEAVERLRAEKEAAEAEERDLRAELDAERAAAETAASEAMLMIERLQREKAAALLEARHFRRLADGRADRDGELQDELASLSALAASYLSLLHAHGIDPDDDDGSNQQEQLQPPVEHLDAEADRESRSVVASPPPSEKVFAYAAATAPAADCGAEVTENLYARVEALEVDWSAMRREVAALRAERAQAVLAREVARRLCREAAVAGERGAVAVAAERPRFSVLAVCKVEFQLCAFVLDDQSCLA >ORUFI07G03620.1 pep chromosome:OR_W1943:7:2989407:2994689:1 gene:ORUFI07G03620 transcript:ORUFI07G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAAVYLPEVDSRFADADEEEEEEATVRPVEVAESKDQEEVEEEEDEEEWSDSDVADALDWLDAAEGPDGSGRPAAAFTAAGGAAAARRPNAHGGVLSRPFQPISNRTQKLASHIRATPLEEWEGRMNVGMSNSVTTAIRDSIRETAIGKTRNTEKADRATVEQLYRMDNNLDCIIQENRSSAIDCFGRKFLRCIFFMACCVHKAIDPRTRMVLFKMLNRGVFNTINGCISTGKEANVYHASKADGQELAIKVYKTSVLVFKDRDRYVHGDYRFRHGYCKHNPRKMVKTWAEKEMRNLLRVGAAGIRCPKPLLLRLHVLVMEFIGKGGWAAPRLKDAALSDDKLRESYFELITTMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPSALEFLKEDCLHVTDFFKKRGVAVMSVTELFNFVIDQNIADEDADHYLEKIQQKMLENGDMVANDDEITPTVLVQTLDYVKQCEADIVNMSLMQRPSFANEPTADKLYNQPLLGFVRNKNEPTKNQQVQSEEPLDLQNKCSSEHSESCTSSDEDGSWHETLKVGPEERKAARKENKKKVKAEKREARKDKIPKAEKKKRKKMAKAKCKR >ORUFI07G03630.1 pep chromosome:OR_W1943:7:2990094:2996837:-1 gene:ORUFI07G03630 transcript:ORUFI07G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAEEHEDDVVVVVVALGNDGDATRPPRALPATAGAAGGQIRPLRRRIWHPRLATARGGGCQGGRRWERGAAG >ORUFI07G03640.1 pep chromosome:OR_W1943:7:2997639:2998622:-1 gene:ORUFI07G03640 transcript:ORUFI07G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAEPYVVEDCRGAVQLMSDGTVRRSAEPAFHVDLPDDADAAVEWKDVTYDAEHDLNARLYRPRHLGAANDARVPVVAYFHGGGFCIGSGRWPNFHAWCLRLAAELPAVVLSFDYRLAPEHRLPAAQEDGATAMAWVRDSAARDPWLADAADFSRVFVAGDSAGGNITHHMAVRFGKAGLGPQVRLRGHVLLMPAMAGETRTRAELECRPGAFLTAEMSDRYARLILPGGATRDYPVLNPAGPEAPGLEAVAMAPSLVVAAEHDILRDRNEHYARRMREEWGKEVAFVEFAGEQHGFFEVDPWSERADELVRLIRSFVVEHMDSE >ORUFI07G03650.1 pep chromosome:OR_W1943:7:2998813:3012278:-1 gene:ORUFI07G03650 transcript:ORUFI07G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPAPPHVVEDCLGIVQLLSDGTVTRSGDYSSISLMRDVPIDLPVQWKDVVYDAGRGLRLRMYAPANHGGEEGKLPVLVYFHGGGFCIASFELPNFHAGALRLAGELPAVVLSADYRLAPEHRLPAAYEDAVAVFSWLRGQAAAAAADPWLAASADFERVFVCGDSCGGNIAHHLTVGCGSGDIALDAARLAGCVMLWPYFGGEERMPSEAPPPPPPPVGDASPSAMGITLFDQMWRLALPAGATRDHPAANPFGPESPPLDGVAFPPVLIVDPELDVLRDRVADYAARLEAMGKRVELVKFEGQGHGFFVLDPMSEASGELVRVVRRFPAMASLSDPNAPPPHVVEDCRGALQLLSDGTVVRAAAAPPPFYVRLDIDVGRVEWKDVVYDAAHGLGVRMYRPAATGGAEEKLPVVVYFHGGGFCIGSCTWPNFHAGCLRLAAELPAVVLSFDYRLAPEHRLPAAHEDAAAALIWLRDQLLSDPWLADAADARKVFVSGESAGGNFAHHLAVRFGAAGLDPVRVAGYVLLMPAFISERPTPSELAAPATAFLTRDMCDRYCRLALPAGADKDHPLVNPFGPASRSLEAADVGRVLVVAADGDLLRDKNVEYAERMKAMGKDVELVMFAGEEHAFFGVKPMSAATGELVEVIRRFIAGAAARGPWKRRRIF >ORUFI07G03660.1 pep chromosome:OR_W1943:7:3006703:3007016:1 gene:ORUFI07G03660 transcript:ORUFI07G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETNIVLSAMHKRQFNIFSLCHVAQFVWRCVFFAFNILHPVTPKTFSVIFMSFYWLHF >ORUFI07G03670.1 pep chromosome:OR_W1943:7:3019593:3020617:-1 gene:ORUFI07G03670 transcript:ORUFI07G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMPAVVSAAGAAAPCSNVVEDLVGFLRVLSDGTILRSPGPVFCPSTFPGEHPSVEWKEAVYDKPKNLHVRMYKPSPASGGVGAGGGGKLPVLVYFHGGGFCLGSCTWANVHSFCLRLAADAGAVVLSAGYRLAPGGPSSTGSGNAPSTATATATDGGSPRPPTSAASHHLAVRAGSAAAAAPDDPVAIRGYVLLMPFFGGVSRTPSEAGCPAEVFLNLDLFDRFWQLSLPPGATRDHPMANPFGPDSPAMDGVELPPVLVVAGGLDMLRDRAVDYAERLSAMGKPVELAEFAGEHHGFFTLGPGSDAAGELIAAVARFVDVAAPPPK >ORUFI07G03680.1 pep chromosome:OR_W1943:7:3026773:3027478:1 gene:ORUFI07G03680 transcript:ORUFI07G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLNPKPGYTNANGAVHRRVIKPVLEFVQMDAWRRGSCYTGGARFDPRGAVPQVTGVGGLPRRVNLPTMRPSANEIKCSCY >ORUFI07G03690.1 pep chromosome:OR_W1943:7:3028337:3029329:-1 gene:ORUFI07G03690 transcript:ORUFI07G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERVVVDECRGVLFVYSDGAVERRAAPGFATPVRDDGSVEWKDAVFDAARGLGVRLYRPRERGGGRLPVFFYYHGGGFCIGSRTWPNCQNYCLRLAAELGAVVVAPDYRLAPEHRLPAAFEDAENALLWLASQARPGGDTWVAEAADFGRVFVSGDSAGGTIAHHLAVRFGSASGRAELAPARVAGYVQLMPFFGGVERTPSEAACPDDAFLNRDLNDRYWRLSLPAGGATADHPFSNPFGPASPDLAAAEFAPTLVVVGGRDLLRDRALDYAARLAAMGKPVEALEFEGQQHGFFTIDPWSAASGDLMHAVKLFVDTDGGGGARLDG >ORUFI07G03700.1 pep chromosome:OR_W1943:7:3031469:3037966:-1 gene:ORUFI07G03700 transcript:ORUFI07G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAALLRLSRSRRPLLPLSSLRLPPPAPYHHHSHSQTPPSSSSSHARLPAFLSFLAAAAAAGGTTVALCDSGIDHRVGGKESTELVVRGERKRVPNEFIDELASFLGENLTVDYEERHYHGTPQNSFHKAVNVPDVVVFPRSQDEVQKIVMACNKYKVPIVPYGGATSIEGHTLAPHGGVCINMSLMKKIKSLHVEDMDVVVEPGVGWIELNEYLKPYGLFFPLDPGKSIVYCLRPGATIGGMCATRCSGSLAVRYGTMRDNVINLQAVLPNGDVVKTGSRARKSAAGYDLARLIIGSEGTLGVITEVTVRLQKLPSHSVVAMCNFQTIKDAADVAIATMLSGIQVSRVELLDEVQIRAINMANGKNLPEVPTLMFEFIGTEAYALEQTLLVQKIATEHHGSDFVFVEELDAKEELWKIRKEALWAGFAMKPDHEAMITDVCVPLSRLAECISVSKEKLDASPLTCLVIAHAGDGNFHTIILFDPSQEDQRREAERLNHFMYLEKELGIESLRTMKRIKAALDPNNIMNPGKLIPPQVCI >ORUFI07G03700.2 pep chromosome:OR_W1943:7:3031469:3037966:-1 gene:ORUFI07G03700 transcript:ORUFI07G03700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAALLRLSRSRRPLLPLSSLRLPPPAPYHHHSHSQTPPSSSSSHARLPAFLSFLAAAAAAGGTTVALCDSGIDHRVGGKESTELVVRGERKRVPNEFIDELASFLGENLTVDYEERHYHGTPQNSFHKAVNVPDVVVFPRSQDEVQKIVMACNKYKVPIVPYGGATSIEGHTLAPHGGVCINMSLMKKIKSLHVEDMDVVVEPGVGWIELNEAWGHYWRNVCYSLFWFTSCEAVLPNGDVVKTGSRARKSAAGYDLARLIIGSEGTLGVITEVTVRLQKLPSHSVVAMCNFQTIKDAADVAIATMLSGIQVSRVELLDEVQIRAINMANGKNLPEVPTLMFEFIGTEAYALEQTLLVQKIATEHHGSDFVFVEELDAKEELWKIRKEALWAGFAMKPDHEAMITDVCVPLSRLAECISVSKEKLDASPLTCLVIAHAGDGNFHTIILFDPSQEDQRREAERLNHFMYLEKELGIESLRTMKRIKAALDPNNIMNPGKLIPPQVCI >ORUFI07G03710.1 pep chromosome:OR_W1943:7:3040574:3045366:-1 gene:ORUFI07G03710 transcript:ORUFI07G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTPTTTILDRNSFHPHISSGTPCVNESPLKRRPLWHRDHSGEHVSTAQLRRPRRRRTASLAAGGGGREPPASSPRDPRKRIASKEDLHGQMMKRKKWRLQLSNLPEDILCTIVSKLPLREAARTSILSSQWNRTWCSHTNLNLSYRSIMSRRYIERDIRPEGRKLNAEEFIRRVDAILQQHNGGGVEKIEVIGLLENENAYHINGWVNFAIKSKTKQLVLDFRSFHWPIDEPYNFAFQIFDAANMENLQSLKLGSISLKPPADFKGFQNLKRLKLLDVGITDEDLQLLLSNCNCLEFLGIYCCKLITSLRTTHLSTQLKHLYVYECPCLKEIELNSGLTTLEYIGPLIPLAPPGIYVLTNLRIKSWDISDSLQYIFTELPSTLPRLEMLTLQCRELERITLPDKPIKFIYLKHLRLELAFSGLRKWDADILDFACILEAAPLMEKLEFHMWMNCRDHLRYRKAHGKLRTLPPCPHYHLKEVNIAGFYGQKDQLELAHHILRNSVVLQAMNIDPRPIAACDPSRMAILEAFNFVDGSKVAMKYLCKADHRNVVHVSDVSRKDVENVPAYRLVSPFWIEFDKTKRSGLLIR >ORUFI07G03720.1 pep chromosome:OR_W1943:7:3054361:3055014:-1 gene:ORUFI07G03720 transcript:ORUFI07G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFVFPKLASHLSSKSTRSLFPRTAEASRNFNTFPSAHPKLKINCPTTGLPSVDHTNHLIKPLGCARDANTTALYSTTVKDRLTPIVRE >ORUFI07G03730.1 pep chromosome:OR_W1943:7:3056086:3074266:1 gene:ORUFI07G03730 transcript:ORUFI07G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGASSSACLRRLNPLLFSAHRRPAWTPRRAARRFCAAAVASERDVFTSPEVAKSFDFTNEERIYKWWESQGFFKPNFDRGGDPFVIPMPPPNVTGSLHMGHAMFVTLEDIMVRYFRMKGRPALWLPGTDHAGIATQLVVEKMLAAEGIKRTDLTREEFTKRVWEWKEKYGSTITNQIKRLGASCDWSRERFTLDEQLSRAVIEAFVRLHEKGLIYQGSYLVNWSPNLQTAVSDLEVEYSEEPGNLYFIKYRVAGGSRDDFMTIATTRPETLFGDVAIAVNPEDERYAKYVGKLAIVPLTFGRHVPIIADRYVDPEFGTGVLKISPGHDHNDYHIARKLGLPILNVMNKDGTLNDVAGLYSGMDRFEAREKLWSDLVETNLAVKKEPYTLRVPRSQRGGEVIEPLISKQWFVTMDPLAEKALHAVEKGQLTILPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKKCEEDYIVARSAEEALAKAQEKYGKSVEIYQDPDVLDTWFSSALWPFSTLGWPDLSIEDFKHFYPATVLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSEGRKMSKTLGNVIDPLDTIKEYGTDALRFTLSMGTAGQDLNLSTERLTSNKAFTNKLWNAGKFLLQNLPDRSDATAWDVLLANKVTGLHELIDRVSTSYDKFFFGDAAREIYDFFWGDFADWYIEASKTRLYHSGDDSASSMAQSVLLYVFENILKLLHPFMPFVTEELWQALPYRKQAIIVAHWPATDLPKNSLSIKRFQNLQSLIRGIRNVRAEYSVEPAKQISSSVVAAADVLDYISKEKQVLALLSKLDMQSIHFSELPPGDANQSVHIVADEGLEAYLPLADMVDVSEEVKRLSKRLSKMQSEYDSLLARLNSGSFVEKAPEEIVRGVREKASEAEEKISLTKNRLAFLQSTVSIPLFSFAGQQAQLYTPSSPIHTPWPNFHRIFPHSIHTTIPVPLLRPSGRFSPRRQKLRPRHRRLTFQILRSVVVPRQLLDCSPPNGNHRSHRANFMAVIKIVVVSSTSRNYLLPHLARSSPHTLPDSLPTASKTIVPFIFPAFKVSATSSPSRNLRGIYSSTKGSAPPRRGRALAWRHSVETALDGICE >ORUFI07G03740.1 pep chromosome:OR_W1943:7:3079774:3092710:1 gene:ORUFI07G03740 transcript:ORUFI07G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRGGRGFMACDHKRQFLKAGVGGPHIAAGDRDSSPRLPRRRVRAAMTTSPPPPPPAEQQQQQEEEEVLVPRQELPNGTQPMEVVPSEPAATVENQQIEDPPISRFTWTIENLSRVSTKKLYSEIFVVGGYKWRILIFPRGNNVEYLSMYLDVADSAVLPYGWTRYAQFSLSVVNQMHNKFTIRKETQHQFSARESDWGFTSFMPLGDLYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPIQLDLDRDDGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKRALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLTTQIGKDIYFDLVDHDKVPSFRIQKQMPFTQFKEEVAKEFGIPTQFQRFWLWAKRQNHTYRPNRPLTPQEETHTVGQLKEAANKAHNAELKLFLEVELGLDLKPLPLPDKTREDILLFFKLYDPEKEQLRYVGRLFVKASGKPQDILPKLRKMAGFSQDEEIELYELEDGDIVCFQKSPKPDTADQYRYPDVPSFLVYIRNRQVVHFRSLEKPKEDDFCLEMSKAFTYDEVVEKVAQKLGVDDPTKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHGTKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPNAELRLLEVFYHKIYKIFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERIQKKLKVPDEDFSKWKFAYISLGRPDYFEDSDTVASRFQRNMYGAWEQYLGLEHPDTAPRKTHNANQNRHSFERPVKIYN >ORUFI07G03740.2 pep chromosome:OR_W1943:7:3079774:3092710:1 gene:ORUFI07G03740 transcript:ORUFI07G03740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRGGRGFMACDHKRQFLKAGVGGPHIAAGDRDSSPRLPRRRVRAAMTTSPPPPPPAEQQQQQEEEEVLVPRQELPNGTQPMEVVPSEPAATVENQQIEDPPISRFTWTIENLSRVSTKKLYSEIFVVGGYKWRILIFPRGNNVEYLSMYLDVADSAVLPYGWTRYAQFSLSVVNQMHNKFTIRKETQHQFSARESDWGFTSFMPLGDLYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKKGILLWQVIVLSHHGHYVQTCPFDLIGVHSNADLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPIQLDLDRDDGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKRALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLTTQIGKDIYFDLVDHDKVPSFRIQKQMPFTQFKEEVAKEFGIPTQFQRFWLWAKRQNHTYRPNRPLTPQEETHTVGQLKEAANKAHNAELKLFLEVELGLDLKPLPLPDKTREDILLFFKLYDPEKEQLRYVGRLFVKASGKPQDILPKLRKMAGFSQDEEIELYELEDGDIVCFQKSPKPDTADQYRYPDVPSFLVYIRNRQVVHFRSLEKPKEDDFCLEMSKAFTYDEVVEKVAQKLGVDDPTKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHGTKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPNAELRLLEVFYHKIYKIFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERIQKKLKVPDEDFSKWKFAYISLGRPDYFEDSDTVASRFQRNMYGAWEQYLGLEHPDTAPRKTHNANQNRHSFERPVKIYN >ORUFI07G03740.3 pep chromosome:OR_W1943:7:3079774:3092710:1 gene:ORUFI07G03740 transcript:ORUFI07G03740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRGGRGFMACDHKRQFLKAGVGGPHIAAGDRDSSPRLPRRRVRAAMTTSPPPPPPAEQQQQQEEEEVLVPRQELPNGTQPMEVVPSEPAATVENQQIEDPPISRFTWTIENLSRVSTKKLYSEIFVVGGYKWRILIFPRGNNVEYLSMYLDVADSAVLPYGWTRYAQFSLSVVNQMHNKFTIRKETQHQFSARESDWGFTSFMPLGDLYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGYVGLKNQVDSACQAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKKGILLWQVIVLSHHGHYVQTCPFDLIGVHSNADLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPIQLDLDRDDGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKRALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLTTQIGKDIYFDLVDHDKVPSFRIQKQMPFTQFKEEVAKEFGIPTQFQRFWLWAKRQNHTYRPNRPLTPQEETHTVGQLKEAANKAHNAELKLFLEVELGLDLKPLPLPDKTREDILLFFKLYDPEKEQLRYVGRLFVKASGKPQDILPKLRKMAGFSQDEEIELYELEDGDIVCFQKSPKPDTADQYRYPDVPSFLVYIRNRQVVHFRSLEKPKEDDFCLEMSKAFTYDEVVEKVAQKLGVDDPTKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHGTKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPNAELRLLEVFYHKIYKIFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERIQKKLKVPDEDFSKWKFAYISLGRPDYFEDSDTVASRFQRNMYGAWEQYLGLEHPDTAPRKTHNANQNRHSFERPVKIYN >ORUFI07G03750.1 pep chromosome:OR_W1943:7:3094329:3096527:-1 gene:ORUFI07G03750 transcript:ORUFI07G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLLAVLPRLLPDKPRHRGDDGGGDGDHYAYPLALFRRANSTSAFASNNLLRVLPHPLPLTLFSRFRRRNPHSFTFLLASISNHLNAAGPSASACSFLGSHVHALAVKAGAAGDLFVRNALVHFYGVSGDVGAMRRVFDELPRVRDVLTWNEVLAGYVRAGMMTVAREVFDEMPVRDEISWSTLVGGYVKEEELEVALGVFQNMVEQGVRPNQAAVVTALSAAARLGLLEHGKFVHNVVQRSGMPVCMNVGAALVDMYAKCGCVAVAREVFDGMRRDVFAWNAMICGLAAHGLGRDAVELFERFISEGLSPTNVTFVGVLNGCSRSGLVAEGRRYFKLMVEKYRIEPEMEHYGCMVDLLGRAGLVPEAIELIEGMHIAPDPVLWGTILSSCKTHGLVDLGVSVGNKLIELDPTHSGYYVLLSGIYAKANKWDEVREVRKLMSSRGTSKSAGWSLMEAHGKVHKFLVGDTYHKDSVQIYDTLDMINKRLTEAGYVPDVSSVLHDIGEEEKVHAVKVHSERLAIAYGFIVLEAGSPIRIVKNLRVCGDCHEFIAGNADELTGVKQVQRRIREAGCEVLRVDHAGGMEEGHAKHGRADWG >ORUFI07G03760.1 pep chromosome:OR_W1943:7:3097667:3102532:-1 gene:ORUFI07G03760 transcript:ORUFI07G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLRGGGGSKRGMPAAPTVTPKAVIHQKYGAKACYSVEEVREAVDGGCPGLALPQQTRSVYRCSLDIPGLTVVTPGTFVRKKDAEQAAAQIALDKLGIQPTANAPSTPEESWDELIARISGFFADENFPSSSHPLIGHMCVTFRRTGDRFGMIPMSAIAACDVKVIGLCKLIDPKAEFDPLLVLSLIYNAAKKSPGVSVSDSNFWIWSQKPYSPEAVDLALQHWSGITDPIEVDGIFVPCMMEDEPKTIRLTLSHNEHYMGDIVSKLSASDSSHVVVSRTVGKASSEIRLYFSAPNVQFVSEISHNVVSSLGDGYMESLINKRASFISGQTIYGDAILANVGYTRRDSELHTEDVALSNYYRILLGKSPDGNYKISRDSILVAELPSVYSRSSWKGLSPRDLLCSFCRLHRLAEPYFAVNRCASDGKNDKENPDMFKCDVKIYSKKQELLLEYSTADTWSKESDAIHNSSLKVLIWFCSYFKQPNKHVLKLSHSKSTDGFTICPDNFLHEFAMFLSIYGNRGGDDSSACSTVGSLSMDTSKQKLENNAVLAHIDGPDSGVFPSHGSLTCISYTASLVVKDKTNRYTLESNNEFEFEIGTGAVKNQIESCVSQLSVNQSACFIAELPPKDLILAAANEFSHDLSKISRDNCFLEFSVKVTEPLEDRMEKALFNPPLSKQRVEFAVRYINELHATTLVDFGCGSGSLLDSLLEHPTTLEKVVGVDISRKGLTRAAKSLHQKLSKKSLMQTSVPTAVLYDGSITDFDSRLYRFDIGTCLEVIEHVEEDQASLFGDVVLSSFCPTVLIVSTPNYEYNPILQRSAMPNKEEEPEENAGPCKFRNHDHKFEWTRSQFQHWATGLAEKHNYSVEFSGVGGSGDEPGFASQIAVFRRMASGQDEVCQEGELHQPYELLWEWPNASLPSH >ORUFI07G03760.2 pep chromosome:OR_W1943:7:3097667:3102532:-1 gene:ORUFI07G03760 transcript:ORUFI07G03760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLRGGGGSKRGMPAAPTVTPKAVIHQKYGAKACYSVEEVREAVDGGCPGLALPQQTRSVYRCSLDIPGLTVVTPGTFVRKKDAEQAAAQIALDKLGIQPTANAPSTPEESWDELIARISGFFADENFPSSSHPLIGHMCVTFRRTGDRFGMIPMSAIAACDVKVIGLCKLIDPKAEFDPLLVLSLIYNAAKKSPGVSVSDSNFWIWSQKPYSPEAVDLALQHWSGITDPIEVDGIFVPCMMEDEPKTIRLTLSHNEHYMGDIVSKLSASDSSHVVVSRTVGKASSEIRLYFSAPNVQFVSEISHNVVSSLGDGYMESLINKRASFISGQTIYGDAILANVGYTRRDSELHTEDVALSNYYRILLGKSPDGNYKISRDSILVAELPSVYSRSSWKGLSPRDLLCSFCRLHRLAEPYFAVNRVSASCKVLGSPVSSEEMDVLKNAENQCASDGKNDKENPDMFKCDVKIYSKKQELLLEYSTADTWSKESDAIHNSSLKVLIWFCSYFKQPNKHVLKLSHSKSTDGFTICPDNFLHEFAMFLSIYGNRGGDDSSACSTVGSLSMDTSKQKLENNAVLAHIDGPDSGVFPSHGSLTCISYTASLVVKDKTNRYTLESNNEFEFEIGTGAVKNQIESCVSQLSVNQSACFIAELPPKDLILAAANEFSHDLSKISRDNCFLEFSVKVTEPLEDRMEKALFNPPLSKQRVEFAVRYINELHATTLVDFGCGSGSLLDSLLEHPTTLEKVVGVDISRKGLTRAAKSLHQKLSKKSLMQTSVPTAVLYDGSITDFDSRLYRFDIGTCLEVIEHVEEDQASLFGDVVLSSFCPTVLIVSTPNYEYNPILQRSAMPNKEEEPEENAGPCKFRNHDHKFEWTRSQFQHWATGLAEKHNYSVEFSGVGGSGDEPGFASQIAVFRRMASGQDEVCQEGELHQPYELLWEWPNASLPSH >ORUFI07G03770.1 pep chromosome:OR_W1943:7:3104257:3112772:-1 gene:ORUFI07G03770 transcript:ORUFI07G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDGLTRAEHEAHEPLFTVIPWPRRAPPKIQSREASAAAAGIALQIALHALMASDMRSLNSQKGQSCGVSDQACHSKSKSGNDGKPSHAKANGVSSLSGSHNDEKILKENSGACNLNSDHANPLSVDGTKVSTARSELIDSSGHDGCLHVKNESCMACDDLLQESDKEQPGGTLEDLFSFNDEEDDDSDWEPSARLALSRWFCLNCTVPNMEGFTHCQNCDELKGSVVVGYDAFKAHLAQAALLSADAALPSVSTAVGFDERMLLHSEIEIKPNPHPERPDRLRAIAASLAAAGIFPSKCVMVPPREITKEELLRVHTSDHIDSVEQTKNMLYSYFTSDTYANGHSACAAKLAAGICADLANLIVSGRVRNGFAMVRPPGHHAGVKQAMGFCLHNNAAVAALAAQRAGAKKVLIVDWDVHHGNGTQEIFDGDNSVLYISLHRHEDGSFYPGTGAANEVGVMDGQGFSVNIPWSRGGVGDNDYIFAFKHVVLPIAAEFAPDITIISAGFDAARGDPLGCCDVTPAGYSRMASMLTACSQGKLLVILEGGYNLRSISSSATEVVKVLLGDSPVYDTDATEPSEEGIQTVLQVLSIQQQFWPVLVPSFASVLALQRSVFSRYTTEVNKMKRKHARGAGPFWWKWGSKRLLYEVLFEGRCLRKTKDTGKEKLNDEAEP >ORUFI07G03770.2 pep chromosome:OR_W1943:7:3104257:3114523:-1 gene:ORUFI07G03770 transcript:ORUFI07G03770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTAQTSFGFRAITLLDASRDGLTRAEHEAHEPLFTVIPWPRRAPPKIQSREASAAAAGIALQIALHALMASDMRSLNSQKGQSCGVSDQACHSKSKSGNDGKPSHAKANGVSSLSGSHNDEKILKENSGACNLNSDHANPLSVDGTKVSTARSELIDSSGHDGCLHVKNESCMACDDLLQESDKEQPGGTLEDLFSFNDEEDDDSDWEPSARLALSRWFCLNCTVPNMEGFTHCQNCDELKGSVVVGYDAFKAHLAQAALLSADAALPSVSTAVGFDERMLLHSEIEIKPNPHPERPDRLRAIAASLAAAGIFPSKCVMVPPREITKEELLRVHTSDHIDSVEQTKNMLYSYFTSDTYANGHSACAAKLAAGICADLANLIVSGRVRNGFAMVRPPGHHAGVKQAMGFCLHNNAAVAALAAQRAGAKKVLIVDWDVHHGNGTQEIFDGDNSVLYISLHRHEDGSFYPGTGAANEVGVMDGQGFSVNIPWSRGGVGDNDYIFAFKHVVLPIAAEFAPDITIISAGFDAARGDPLGCCDVTPAGYSRMASMLTACSQGKLLVILEGGYNLRSISSSATEVVKVLLGDSPVYDTDATEPSEEGIQTVLQVLTTEVNKMKRKHARGAGPFWWKWGSKRLLYEVLFEGRCLRKTKDTGKEKLNDEAEP >ORUFI07G03780.1 pep chromosome:OR_W1943:7:3112909:3115354:1 gene:ORUFI07G03780 transcript:ORUFI07G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMIGPAPSPAAAAAAAVSPSCYASPAASSVRRRGVVGVVRCAPDSGRGGDGGGGGGKGKLRVGSPIVIVEAPVMLKTAASVPSLRHNAGQVKAGDVGRVMARKPKDVWAVRLAIGTYLLDGKYFKTLDVDDDDTASPDE >ORUFI07G03790.1 pep chromosome:OR_W1943:7:3114941:3118534:-1 gene:ORUFI07G03790 transcript:ORUFI07G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTDSFELPRGGSSRDGDIEMGMQADPSDNLKGFLKKVDAIESLIAKLTNLLHKLQTANEESKAVTKARDMKAIKQRMEKDIDEVGKIARMAKTKVDELEKDNLSNRQKPGCGKGSAVDRSREQTTGAVKKKLKERMDDFQVLREAIRQEYRDVVERRVFTVTGSRPDEEIVDNLIETGRSEQIFQEAIQQQGRGQILDTVAEIQERHDAVRDLERKLLELQQIFMDMAVLVDAQGDMINNIETHVSNATNHIQQGVSALQNAKKLQKNSRKWMCYAIILLLIIVVIIVVAVIQPWKKGA >ORUFI07G03800.1 pep chromosome:OR_W1943:7:3123410:3126675:1 gene:ORUFI07G03800 transcript:ORUFI07G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRAARLKRRRRNLVREDSPSLAPDPAAVALEEDALSGAGGAPVEPRSGGCAARQAVDASVRAAAAASARAACEDSSAGRLGGVGLADFANNQGEPMAKTKKPRSSAPDPPAGAAHLPWHHPPAPPVSTALLISLAALLLRVLVSVGPYSGQGVAPKFGDYEAQRHWMELTLHLPSSDWYRNTSANDLAYWGLDYPPLSAYQSRLHGLLLNASLPDAVALRSSRGFESPESKLLMRWTVLSSDLMVFFPAALWFVWVYFKCGVGGTGEEGMSGWTWLLASCLINPCLVLIDHGHFQYNCISLGLTLGAIAGVLSGNELVAAALFSLSINHKQMSLYFAPAFFGHLLGKCIKRKYPIVEVMKLGFVVLGTFALVWWPFLHSYEAAMQVISRLAPFERGIYEDYVANFWCSTSVLIKWKRLFAIKLLKLMSLSATILAFLPSLVQQVRSPSNLGFLYSLLNSSISFYLFSYQVHEKSILLPLLPASLLALQEPHLYGWLMYFGLFSMYPLICRDHLLLQYIAVLGLFVLIYYSPGGSSKKGVSIPSGAKAVLSLALLCSLLLKVLYLQIEPPKRYPFLFDALIMFICFSQFVILTLYTNYKQWMLNSHSRSVGRKKDL >ORUFI07G03810.1 pep chromosome:OR_W1943:7:3129571:3132354:1 gene:ORUFI07G03810 transcript:ORUFI07G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAESRPAPPDPNDARQRFLLELEFIQCLANPTYIHYLAQNRYFEDEAFIGYLKYLKYWQRPKYIKYLMYPHCLFFLELLQNANFRNAMAHPASKEVAHRQQYFFWKNYRNNRLKHILPRPPPEPTPMPATAPAAVPPAAPVPSTVVPPAAAPPSSLPPMSAAGASAMSPMQFAGTPGTNIPKNDMRNVMGGQGGRKRKIG >ORUFI07G03820.1 pep chromosome:OR_W1943:7:3130436:3131214:-1 gene:ORUFI07G03820 transcript:ORUFI07G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLMSFFGILVPGVPANCIGDIADAPAADIGGKDDGGAAAGGTTVDGTGAAGGTAAGAVAGMGVGSGGGRGKRDSKDHLACWMCHCIPEIRILEELKKEKAVWIPASSATTMNKGISSQQIPTCI >ORUFI07G03830.1 pep chromosome:OR_W1943:7:3133890:3137059:1 gene:ORUFI07G03830 transcript:ORUFI07G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKQCETVDAVGMVPMEEEKKSKEEIHLKIKSKDKSSGDKDEKKEIEIEVKAKIVDKEEVKLDSDDGAKSAVKSKDSKKDKENKKSDKKDDEHDDEDEEGKKKEKEMKEKKKDKSDKKEEGKKKKDGDEEEEGKKKEKKKKDRGDKEKTNDPAKLKAKLEKIDTKIQDLQAKKEDILRQLKEQLKEELEGGKSKNAIEEKPAQTLEKGIEHNKPIEEKPAETVEGSRECKNNEKEETHVAAA >ORUFI07G03830.2 pep chromosome:OR_W1943:7:3134050:3137059:1 gene:ORUFI07G03830 transcript:ORUFI07G03830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKQCETVDAVGMVPMEEEKKSKEEIHLKIKSKDKSSGDKDEKKEIEIEVKAKIVDKEEVKLDSDDGAKSAVKSKDSKKDKENKKSDKKDDEHDDEDEEGKKKEKEMKEKKKDKSDKKEEGKKKKDGDEEEEGKKKEKKKKDRGDKEKTNDPAKLKAKLEKIDTKIQDLQAKKEDILRQLKEQLKEELEGGKSKNAIEEKPAQTLEKGIEHNKPIEEKPAETVEGSRECKNNEKEETHVAAA >ORUFI07G03840.1 pep chromosome:OR_W1943:7:3136671:3143417:-1 gene:ORUFI07G03840 transcript:ORUFI07G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPPPREEVVVFAVNSERFELRRDGGDPGESLLEFLRSRTRFTGAKLGCGEGKATPQPTALPPPRLLCFRGCGACVVVVSAYDAEADEVAHAAVSSCLTLARGLHHRAVTTTEGLGSSRRGLHALHERLAGFHASQCGFCTPGVCMSLAGALAAAEGNGKKAASAAEGFSRLTAAEAERAVAGNLCRCTGYRPIADACKSFAADVDLEDLGLNCFWNKGDATASVSKLPPYKERSIAAFPEFLKDEIRSSLGIDHSISSASMVGSVSSWYQPKNVEEYYKLIGSLSSSSDKSRTKVVVGNTSSGVYRDAELYDRYIDLRAIPELNSVSKDVKGVGIGAAMSISQVIEILRGEGNSYKDVVFCKIADHMEKVASQFVRNMASLGGNLIMAQRDEFASDIATVLLAAGSSLCIQVSSERMNVTLERFLDMAPCDCKTLLLRIYIPHCTPSGISSSSESVNKTGDKPASSVLFETYRASPRPIGNAVSYLNSAFLAKLSSDETSGNCILEKLCLAFGAYGTQHAVRATNVESLLVGKPITASLLLEACTVLKKTIVPGEGTRHAAYRSSLAVAFLFSFLYPITKGTFKPVEAVHLNGHIISDNNGNMNRGPDTHVDVSPKEINNVKSDLHGNDRILESSKQVIEISEDYLPVGLPAKKVGAELQASGEAIYVDDIPSPKDCLHGAFVYSTKPLAHVKSIELNPSLEQLKTVAIVTAKDIPKGGSNVGANTIFGPEPLFGDPLTQWAGEPLGIVVAETQKTANIAASRALVDYSMENLDAPILSIEEAVRRSSYFEILPFLLPQKIGDFSKGMEEADQKIYSTEVNLHSQYYFYMETQTALAIPEEDNCMVVYSSSQCPEVAQETIAKCLGLPCHNVRVITRRVGGGFGGKAVRSLPVATACALSAFKLQRPVRIYLDRKTDMIMTGGRHPMKIRYSVGFKSDGNITALHIELLVNAGITQDVSPVIPHNFIEALKKYNWGAFSYDARICKTNIATRSAMRGPGEVQGSYVAEAIIEHVAAVLSTDVNLVRQRNLHTVESLSLYHSECMEDALGYTLPSICNQLITSANYQHQLEMIRSFNKSNRWKKRGLSVVPIVHKFASRPTPGKVSILNDGSVAVEVGGIELGQGLWTKVKQMAAFGLGQLWTDRRQELLERVRIIQADTLSVIQGGWTTGSTTSESSCEAVHRACNILVDRLKPLKEQLQEKQGTVSWDELISQAKMVGVDLSAKELYVPGASGSYLNYGAAASEVEIDLLTGATTILRSDLIYDCGRSLNPAVDLGQVEGAFVQGIGYFMNEEYVTNSDGLLVSDGTWTYKIPTVDTIPKQFNVKLLNSGFHKKRVLSSKASGEPPLLLAASVHCATREAIRAAREEYHCSRSGSSPPFFDLEVPAIMPTVKELCGLDNVEKYLESICSK >ORUFI07G03850.1 pep chromosome:OR_W1943:7:3145699:3151280:1 gene:ORUFI07G03850 transcript:ORUFI07G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRLPSLLKPSAAAARPSALLRRRCRAGTAASVSASRSQAAAATTGAAAPAPPETRGGGDREGQVTPRSVDFNAWYTDVIAAAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTKWIQSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATLEEAEKEAMQMIDVYTKFAYEQAAIPVIPGRKSRVETFAGANRTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQFMDENSQIEHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPNIAPIQVVIVPIWKKGDEKSAVMEAVSSVQNTLKEAGIRVKVDDSELRTPGWKFNFYEMKGVPIRLEIGPRDVTNKSVVISRRDIPGKQGKEFGVSMDPSILVDHIKGRLVEIQASLLQKAIAFRDSNIVDVSSYGELKEAIAEGKWARGPWSASDADELKVKEETSATIRCFPFEQPEGAKKCFMTGNPAEEVAIFAKSY >ORUFI07G03860.1 pep chromosome:OR_W1943:7:3150717:3153830:-1 gene:ORUFI07G03860 transcript:ORUFI07G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETVRKLVVEVVEARNLLPKDGTGTSSPYARVDFDGQRRKTHTVPRELNPAWNEALEFNFAGVAGDVVVGGEPLEVAVLHDVRVGPSRRSNFLGRVRLDARQFVRKGEEALIYFPLEKKGFFNWVRGEIGLRVYYLDEPVAPPPPPPEPPAADPAPAEAAPDAPPADADAAPEAPEKAEEAPPAASGGDDGATDKPPETDAAAAAATSAPEEEAPVMASEAVAASAEAAPEEEQILTPPPPPTPTPTPMPRQVPVPARPPPPPPEAPVERSKHDLVDKMPYLFVRVVRARGLPAGAHPHVRVAAGGRHASTREARRGAFFEWDQTFAFVRDPGATDSPGPTLEVSVWDLPPDADVSDADDRHFLGGLCFDTADVHARDPPDGPLATQWYRLEGGRRLAGADLMVATWAGTQADEAFADAWKADSPASSVAAAAASRAKVYVSPKLWLLRLTVIEAQDTLTAPPPRDAGIAVRGTLGFQSLKTRTAPVARNGGPSWNEDLLFVAAEPHADGDDCLVISLEVRHGKDAFPVGSASISLATIERRVDDRKVASKWIDLLPSDEAMKKVGKKAAMHMHGGRLHVRVCLDGGYHVADEQPYASSDFRPSARQLWRPPIGVVELGIVGCKGLLPMRTADGKGCTDAYAVAKYGPKWARTRTISDSFDPAWNEQYTWPVYDPCTVLTVGVFDDPPPPSPSQLPDGAKDAAAFSRPMGKVRIRLSTLESGRVYRGVYPLIMMLPTGAKRMGDVELAIRFAASASALDVLHMYGRPALPPMHHLRPIPAASRDALRLSAARISAAHLARSEPPLRREAATWMLDAAEPRGFSMRKLRANWTRAVAALSWVSDAARWAEDTRSWRNPTATALAHAVLVLLAWHPDLVVPTLTLHVAAVGVWKYRRRPRAPAPHPCVRASMAEAADREELDEEFDAIPSSRPPEVVRARYDRARMVGARLQAMVGDVATQAERLQALVSWRDPRATGVFVALCVFVAMALYVVPIKVVAVVAGFYYLRHPMFRDRMPAPAINFFRRLPSMSERIM >ORUFI07G03870.1 pep chromosome:OR_W1943:7:3156994:3163692:-1 gene:ORUFI07G03870 transcript:ORUFI07G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALILGLMEASISPPGSSKQAGLRRPSPGNSLKDLCLVSKQGSIAEVESALALLKKSGGSIDGRNVFGLCALHLATWRNHLPIVRRLLDAGADPDARDGESGWSSLHRALHFGHLCVASVLLQFGASLALEDTKGRTPVDLLSGPVSQANGDSPDSVAMEVFSWGSGTNYQLGTGNAHIQKLPCKVDALHGSYIKTVAASKFHSVAVSSDGELYTWGFGRGGRLGHPDIHSGQTTAVITPRQVTVGLGRKRVNVVAAAKHHTVIATEAGELFTWGSNREGQLGYPSVDTQPTPRRVSSLKARIISVAAANKHSAAVADTGEVFTWGSNKEGQLGYGTSNSASNCIPRMVEYLKGKAFKCVSAAKYHTVALGTDGEVFTWGHRLVTPRRAVISRCLKKGGNTNLKFHRMERLQVISVAAGVMHTTVLTADGAIFYWVSSDPDLRCRQIFSMCGRNVVNISAGKYWTALATAGGDVFMWDAKKHKDDLPMFTRVHGVKRATSVCVGETHMLVLSSIYHPEYPPKPKIQCKKAMLEWNGGMEELDEDIMFNDVQPDSGISGSDGVIKKGAPSLKSLCEKVAIEHILEPKNSIQLLEVAESLEAKELKKHCEDIAIRNLDYIFTVAAPSVMNASPETLANLERLLDEKSSEPWSHRRLPTVTATYPAVIDSDEEGDEAGGFLRLRDSQKSASKSYGISSYGNFLEKDSNAGQAASKQIRALRKKLQQIEMLEAKQLDGHQLDNQQLAKLESRAALEGELAELGIPTDLRTPVCVTEEKTNKKSSVSKKQKRKNKQAAHSDTPLVKREDRDQIYVKDLQEVLPVHISAEKEASVADSIKPSEHVTFINTKAISCPLENKASQPTSSKKKNRKGGLSLFLSGALDDTPKPSPPTPVVTVTPKHEGPAWGGAKVTKGSASLRDIQSEQRKTNEPITAKAKDRFEDSPDSAGRMRLSSFIPDARSTPITVTPARVVPASEGDKSTLSWSSSATSPNVLRPSLRDIQMQQEKRQTGISHSPKTRTSGFAIPSQGTSPEVGGIKDNVPNRWFKPEADAPSSIRSIQIEEQAMKDFKRFYSNVRIVKPQVQ >ORUFI07G03870.2 pep chromosome:OR_W1943:7:3156994:3162263:-1 gene:ORUFI07G03870 transcript:ORUFI07G03870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASISPPGSSKQAGLRRPSPGNSLKDLCLVSKQGSIAEVESALALLKKSGGSIDGRNVFGLCALHLATWRNHLPIVRRLLDAGADPDARDGESGWSSLHRALHFGHLCVASVLLQFGASLALEDTKGRTPVDLLSGPVSQANGDSPDSVAMEVFSWGSGTNYQLGTGNAHIQKLPCKVDALHGSYIKTVAASKFHSVAVSSDGELYTWGFGRGGRLGHPDIHSGQTTAVITPRQVTVGLGRKRVNVVAAAKHHTVIATEAGELFTWGSNREGQLGYPSVDTQPTPRRVSSLKARIISVAAANKHSAAVADTGEVFTWGSNKEGQLGYGTSNSASNCIPRMVEYLKGKAFKCVSAAKYHTVALGTDGEVFTWGHRLVTPRRAVISRCLKKGGNTNLKFHRMERLQVISVAAGVMHTTVLTADGAIFYWVSSDPDLRCRQIFSMCGRNVVNISAGKYWTALATAGGDVFMWDAKKHKDDLPMFTRVHGVKRATSVCVGETHMLVLSSIYHPEYPPKPKIQCKKAMLEWNGGMEELDEDIMFNDVQPDSGISGSDGVIKKGAPSLKSLCEKVAIEHILEPKNSIQLLEVAESLEAKELKKHCEDIAIRNLDYIFTVAAPSVMNASPETLANLERLLDEKSSEPWSHRRLPTVTATYPAVIDSDEEGDEAGGFLRLRDSQKSASKSYGISSYGNFLEKDSNAGQAASKQIRALRKKLQQIEMLEAKQLDGHQLDNQQLAKLESRAALEGELAELGIPTDLRTPVCVTEEKTNKKSSVSKKQKRKNKQAAHSDTPLVKREDRDQIYVKDLQEVLPVHISAEKEASVADSIKPSEHVTFINTKAISCPLENKASQPTSSKKKNRKGGLSLFLSGALDDTPKPSPPTPVVTVTPKHEGPAWGGAKVTKGSASLRDIQSEQRKTNEPITAKAKDRFEDSPDSAGRMRLSSFIPDARSTPITVTPARVVPASEGDKSTLSWSSSATSPNVLRPSLRDIQMQQEKRQTGISHSPKTRTSGFAIPSQGTSPEVGGIKDNVPNRWFKPEADAPSSIRSIQIEEQAMKDFKRFYSNVRIVKPQVQ >ORUFI07G03880.1 pep chromosome:OR_W1943:7:3169999:3176013:1 gene:ORUFI07G03880 transcript:ORUFI07G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDHPPEFIIHVVDDLAPPPPPPPRAQPALVPPRILPLPPPAFHGAAVQRRRPRPPPRDEATNNRTLLFVFQVCMFTMMVINLSIAIYLTVHPSPEESIADERSGVRMTCVFIIRHYKCVFMDFEYIRDARLNTGIADSWIHKYLGGDPFVHMMFEDTDVEVPMPNVDLRTSTNGAKGSTKKSSNYTCKEDIQLCISWQSISSDPIIGNEQPGKAYLKRITEHCHANRDYESVGDMGPGVRKIEGKDLPVWPRGRAACPSPLPTSGENERS >ORUFI07G03890.1 pep chromosome:OR_W1943:7:3184809:3186672:1 gene:ORUFI07G03890 transcript:ORUFI07G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALILGNSAGAEVLEAEALYSFNAPKNRAFQFNHCWFKLRNSPKFQTLESHKKPRSRKSSTPIERADEENEEGDDASKSTNLDLSQASAKKRPICRKQAKEKLKNGGEDGPYREAMKDLLDAKEKEAKLKEERWKKTKEIQERKLLFAERKLVWDQQHKIMFFDVSTLAMRAHIVASNVAALNDGFDGSSGFGGEFGGGNGESLSTSME >ORUFI07G03900.1 pep chromosome:OR_W1943:7:3188744:3190662:1 gene:ORUFI07G03900 transcript:ORUFI07G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNAESVHNPPEIIIHVVDDLAPPPPNAIAVPPRILPPATAFRRRPPPPSEAVRAARGILFIFKCKYGQMAYSILKNEVSCFAAIAMASSVTIYFMVHPIKGDSIADERSGVRLMCGFILAVAVIWLLLSYFSCDDKCVILDDEEQQAGNPVAETRGHVQWPGGQPFYVFT >ORUFI07G03910.1 pep chromosome:OR_W1943:7:3200825:3203042:-1 gene:ORUFI07G03910 transcript:ORUFI07G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCSSVDESAAAIATLAESAAAGARETVADEFARAAGSGAGGRGDVAGSAAAGVASGAGGRDDAAGDEVVIAGVLSLADGQKAAAAGAISGVESMQSYMRGTRFFCFGERVCSDQMAALKMAAACGSAAGMRAVAMVAARARHAARIAANPDVENPLHDIPEAKYVPFLAPFIGGALPGSYAAYHLVKHSPEWVMGVVFGSISLGFFAACTGTVSGLLGTSSATFQYSRFAAITTFTAVWFLFSFAMTSVFSKTWRKILCGFICGVPGVTFVGAWLYSGW >ORUFI07G03920.1 pep chromosome:OR_W1943:7:3205717:3206958:1 gene:ORUFI07G03920 transcript:ORUFI07G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDGHSPEFIIHVIKDLAPPPPPPPARAAAPPRILPAAAAFQPRLRPSSEANKTIRTVLFIFKVICFALIALASSTTIYSTVHPNPGQSIADQRLDVQVMCGFILAVGVLWLLVSYFSLIIHDEEQGLDPLFVD >ORUFI07G03930.1 pep chromosome:OR_W1943:7:3208445:3209536:1 gene:ORUFI07G03930 transcript:ORUFI07G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQVLLLAIVSAVALLPAMVSATDYTVGDGHGWTLEYPSTNWADGKSFQIGDKLVFTYTKGKHTVTEVDGAAFHACNRQGNTLMTWNSGNDTVALDKAGKRWFFCNVDNHCELGMKLVVDVADPNAPAPASPPPPPSSSSSAGRLNYRARGGAVAGAVAAAALVWF >ORUFI07G03940.1 pep chromosome:OR_W1943:7:3215896:3222026:1 gene:ORUFI07G03940 transcript:ORUFI07G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNHLEPKPKLNQPRYTTKGERSLFHIDPAVRARRRVEVRRLLAAAGRQTRGGAVAVAVDGLLFEHLLWLQAARNKGMLRYEPTTTTNLPPPTCRRSSSSTCRTTPAQGNYRVFSAKISLFEECIMLDRALEEMQKKDSKIVDKLSFKEQMAYVLLKVGRFEEAEKTYRSMLFMNPDNYKCFIAIQKCLGLYSENGQYSTDDVDRLCTFYSSLKKEYGWSSVVKAARLGSPQPLVIIYP >ORUFI07G03940.2 pep chromosome:OR_W1943:7:3215896:3222026:1 gene:ORUFI07G03940 transcript:ORUFI07G03940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNHLEPKPKLNQPRYTTKGERSLFHIDPAVRARRRVEVRRLLAAAGRQTRGGAVAVAVDGLLFEHLLWLQAARNKGMLRYEPTTTTNLPPPTCRRSSSSTCRTTPAQGWKFRTEISISLFEECIMLDRALEEMQKKDSKIVDKLSFKEQMAYVLLKVGRFEEAEKTYRSMLFMNPDNYKCFIAIQKCLGLYSENGQYSTDDVDRLCTFYSSLKKEYGWSSVVKAARLGSPQPLVIIYP >ORUFI07G03950.1 pep chromosome:OR_W1943:7:3222108:3227366:-1 gene:ORUFI07G03950 transcript:ORUFI07G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAMLQSAAESAIQSIGLGYDIAHDIRLKYCKQRSSPDPLLIELDHDEVQDIVLPGGLTVAGVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQELSLSGKIPSGLFNTMFEFTGCWQKDAANTKSLAFDGWCITLYTVALSKAQIVLRDHVKQAVPSTWEPAALARFIRKFGTHVVVGIKMGGKDIIYLKQQHSSTLQAVDVQKRLKEMSDRRFLDANGQSDFSFKDSYGKDKIDTREHRLRFVDSSPLNSYSSKEDLVMMPKRRGGRDKDILSHSEWLNTVQAEPDVISMSFIPITSLLNGVPGCGFLNHAINLYLRYKPQIEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQSTVSLPVNLIGPKLYVCTNMVDVGKRPVTGIRLFLEGKRSNKLAIHLQHLCSLPQILQLEDDPYNDQTPEAYDRKYYEPIGSWKRFSHVCTAPVESDDSSIVTGAQLEVVSHGFKKILFLRLHFSKVCNATSVRNPEWEGSPNLAQKSGLISTLISTHFSTAAQKPAPRPADVNINSAVYPGGPPVPVQTPKLLKFVDPTEMMRGPQDLPGYWVVSGAKLQLERGKISLRVKYSLLTAMLPDDDEFAFDEDCGFETWKQK >ORUFI07G03950.2 pep chromosome:OR_W1943:7:3222284:3227366:-1 gene:ORUFI07G03950 transcript:ORUFI07G03950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAMLQSAAESAIQSIGLGYDIAHDIRLKYCKQRSSPDPLLIELDHDEVQDIVLPGGLTVAGVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQELSLSGKIPSGLFNTMFEFTGCWQKDAANTKSLAFDGWCITLYTVALSKAQIVLRDHVKQAVPSTWEPAALARFIRKFGTHVVVGIKMGGKDIIYLKQQHSSTLQAVDVQKRLKEMSDRRFLDANGQSDFSFKDSYGKDKIDTREHRLRFVDSSPLNSYSSKEDLVMMPKRRGGRDKDILSHSEWLNTVQAEPDVISMSFIPITSLLNGVPGCGFLNHAINLYLRYKPQIEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQSTVSLPVNLIGPKLYVCTNMVDVGKRPVTGIRLFLEGKRSNKLAIHLQHLCSLPQILQLEDDPYNDQTPEAYDRKYYEPIGSWKRFSHVCTAPVESDDSSIVTGAQLEVVSHGFKKILFLRLHFSKVCNATSVRNPEWEGSPNLAQKSGLISTLISTHFSTAAQKPAPRPADVNINSAVYPGGPPVPVQTPKLLKFVDPTEMMRGPQDLPGYWVVSGAKLQLERGKISLRVKYSLLTAMLPDDDEFAFDEEF >ORUFI07G03950.3 pep chromosome:OR_W1943:7:3222284:3225354:-1 gene:ORUFI07G03950 transcript:ORUFI07G03950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRRFLDANGQSDFSFKDSYGKDKIDTREHRLRFVDSSPLNSYSSKEDLVMMPKRRGGRDKDILSHSEWLNTVQAEPDVISMSFIPITSLLNGVPGCGFLNHAINLYLRYKPQIEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQSTVSLPVNLIGPKLYVCTNMVDVGKRPVTGIRLFLEGKRSNKLAIHLQHLCSLPQILQLEDDPYNDQTPEAYDRKYYEPIGSWKRFSHVCTAPVESDDSSIVTGAQLEVVSHGFKKILFLRLHFSKVCNATSVRNPEWEGSPNLAQKSGLISTLISTHFSTAAQKPAPRPADVNINSAVYPGGPPVPVQTPKLLKFVDPTEMMRGPQDLPGYWVVSGAKLQLERGKISLRVKYSLLTAMLPDDDEFAFDEEF >ORUFI07G03950.4 pep chromosome:OR_W1943:7:3225360:3227366:-1 gene:ORUFI07G03950 transcript:ORUFI07G03950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAMLQSAAESAIQSIGLGYDIAHDIRLKYCKQRSSPDPLLIELDHDEVQDIVLPGGLTVAGVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQELSLSGKIPSGLFNTMFEFTGCWQKDAANTKSLAFDGWCITLYTVALSKAQIVLRDHVKQAVPSTWEPAALASIHQLCKLLMFKND >ORUFI07G03960.1 pep chromosome:OR_W1943:7:3229751:3230047:1 gene:ORUFI07G03960 transcript:ORUFI07G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKQEDAGRHLSSQDGDRRKRRRGDETRGRGRMGWIRMLTGERMRREQENAGATSASRMPAGGEKTGVEGGGRGDGGRIGEAERRSCSALLPYTHMH >ORUFI07G03970.1 pep chromosome:OR_W1943:7:3231265:3231999:1 gene:ORUFI07G03970 transcript:ORUFI07G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPRIDVSRIRIRIPLVGGEKLDGGGHRLTWLPTTCARGECGAGPNYNCNVVFTCTREGWGFCLVTLTKLLSPKLIAPPPRPGSSCSKADVFRCPRALPLVCRGARVVPSHHLRGQLHLHHIVVASSPMHAPFSPPLATAKLLVGYQPSPHPSLGAVVELLPTAATQQPPPLSLLVTGHHTPLPRFSVWHPLRVHARTLMESVVLATMLRRRNVLHLPTLGDPLPISTCG >ORUFI07G03980.1 pep chromosome:OR_W1943:7:3234033:3234633:-1 gene:ORUFI07G03980 transcript:ORUFI07G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDDGHGLPRTLSHRELQAMCKRNDGHANMTNATMTDALQLLPSVDGIHKIDTTALCLPTPSRLTMKSALKAASAVGEEEQQQHGSPLPRGRRVSVKSLEAIQMDFEEGEDEMKRDREERNLGVALRSTSRRARATPTPIPTPCDH >ORUFI07G03990.1 pep chromosome:OR_W1943:7:3235390:3236175:1 gene:ORUFI07G03990 transcript:ORUFI07G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKGSKMLQFVNYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPSKSSKTTGEREERRTLGLLLLRGEEVVSMTVEGPPPPDESRAKAAGAGAAMAGPGVGRAAGRGVPAGQMLQAQPGLAGPVRGVGGPAPGMMQPQISRPPMPNLSAPPVAYPQVVRPPPGQMPPPMRPPQMPIPFQRPPGVPPAFPGGPPPPPGPFMRGPPPMGPPQVRPGMPGGPPPGMRPGMPPPPFRPGMPPPPPGPQQPGQNPPQ >ORUFI07G04000.1 pep chromosome:OR_W1943:7:3236296:3250521:-1 gene:ORUFI07G04000 transcript:ORUFI07G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGNGWYPPCQKDAISNREKPTVPTQNSAIWTQAFGRTTATPAHHATVNDRQVNSWAVRSLAGPESDAARALPGLVESMEAKGISGSWSVEKGGGEWVKSEDSEDIINL >ORUFI07G04010.1 pep chromosome:OR_W1943:7:3244051:3249319:1 gene:ORUFI07G04010 transcript:ORUFI07G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKNLVVLFLVSVVMAMVPGSTQLQASQTWSLLKIQQMLGYPAVLGHWHNYTDFCYGGDYKTTSAFVECYGDSVTQLHIIGGGGGSPTPPPLPKTFSIDSFFTTLSRLPDLRVLTLTGLGLWGPLPGKVSRLAALEIVNVSGNYLYGELPLALSRLGNLQTFIADDNMLSGELPAWLGRLPVLAVLSLRNNSLEGTLPGSVSDMASLRSLSLASNNLSGNLPDMSGAKNLQVIDLANNSLGPEFPRLGRKVASVVLAGNRFSDGLPPELASFYLLERLDVSRNRFVGPFMPALLSLPSIEYLSVAGNRFTGMLSGNMSCGNNLKFVDVSSNLLTGSLPTCLAAGAVGKAADSDSDSSKTVLFSANCLATGDDTQHPSPFCKNQAIAVGIVPDQARRKPSGARSGLVAGVVAAAIAAAVLAGVAVFLAVRKASMRRAQARPPRRLVEHASSAYPSKLFADARYISQTVKLGALGIPAYRSFSLVELEAATNDFEVSNMMGQDSHGQMYRGRLSNGTPVTIRSLKVKRSQTSQSFNHHIEMISKLRHRHLVSALGHCFEYNLDDSTITQLYLVFEYVQNGNLRGRISQGTEGRKLTWVQRISTAIGVAKGIQFLHGGIIPGLFANNLKITNILLDQNLVAKIGSYNIPILSETMKSEGGSGNKYPSDSVPNGDKLDIFDFGVILLEVISGRPITSIYEVEIMKEQLQSALTAEGTAKRRSFVDPAVSKGCSDESVKTVMEICLRCLAKEAVQRPSVEDVLWNLQFAAQVQDDWRGDSRSSEESPLSPSQIPRDLEDDQ >ORUFI07G04010.2 pep chromosome:OR_W1943:7:3244051:3248782:1 gene:ORUFI07G04010 transcript:ORUFI07G04010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKNLVVLFLVSVVMAMVPGSTQLQASQTWSLLKIQQMLGYPAVLGHWHNYTDFCYGGDYKTTSAFVECYGDSVTQLHIIGGGGGSPTPPPLPKTFSIDSFFTTLSRLPDLRVLTLTGLGLWGPLPGKVSRLAALEIVNVSGNYLYGELPLALSRLGNLQTFIADDNMLSGELPAWLGRLPVLAVLSLRNNSLEGTLPGSVSDMASLRSLSLASNNLSGNLPDMSGAKNLQVIDLANNSLGPEFPRLGRKVASVVLAGNRFSDGLPPELASFYLLERLDVSRNRFVGPFMPALLSLPSIEYLSVAGNRFTGMLSGNMSCGNNLKFVDVSSNLLTGSLPTCLAAGAVGKAADSDSDSSKTVLFSANCLATGDDTQHPSPFCKNQAIAVGIVPDQARRKPSGARSGLVAGVVAAAIAAAVLAGVAVFLAVRKASMRRAQARPPRRLVEHASSAYPSKLFADARYISQTVKLGALGIPAYRSFSLVELEAATNDFEVSNMMGQDSHGQMYRGRLSNGTPVTIRSLKVKRSQTSQSFNHHIEMISKLRHRHLVSALGHCFEYNLDDSTITQLYLVFEYVQNGNLRGRISQGTEGRKLTWVQRISTAIGVAKGIQFLHGGIIPGLFANNLKITNILLDQNLVAKIGSYNIPILSETMKSEGGSGNKYPSDSVPNGDKLDIFDFGVILLEVISGRPITSIYEVEIMKEQLQSALTAEGTAKRRSFVDPAVSKGCSDESVKTVMEICLRCLAKEAVQRPSVEDVLWNLQFAAQVQDDWRGDSRSSEESPLSPSQIPRDLEDDQ >ORUFI07G04020.1 pep chromosome:OR_W1943:7:3250525:3254608:-1 gene:ORUFI07G04020 transcript:ORUFI07G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >ORUFI07G04030.1 pep chromosome:OR_W1943:7:3255373:3255651:1 gene:ORUFI07G04030 transcript:ORUFI07G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEGAKTSSRSRRPPAQFRHGLELSLLQLVASPADASSPMKLEILGGGRLQELELEAILARPALNVAQCCVCWCNSRIQGQQAYNELGSKL >ORUFI07G04040.1 pep chromosome:OR_W1943:7:3256569:3260579:1 gene:ORUFI07G04040 transcript:ORUFI07G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDLTARMAGHLDCHLVLPLLEFLQERHLYPEEEILEAKIRLLRGTNMVDYAMDIHKSRYGTDDVPEDMVKRRAEVVSRLTSLGEAIDNIHQNQQIGPDQIETLYQYAKFQFDCGNYSFAAQYLHQYRALCTNIERSLSALWGKLAAEILMQNWDVALEELNRLKEIIDSKNFSSPLNQLQNRIWLMHWSIFIFYNHENGRNGIIDLFFQERYLNAIQTNAPHLLRYLATAVVVNKRRRNMLKELIKVIQQEQHSYKDPITEFLECLFVNYDFDGAQQKLIECEEVILNDPFLGKRIEEGNSITVPLRDEFLENARLFIFETYCRIHRSIDIGMLSQKLNMRYDEGELWIMNLVRNSKLDAKIDSVSGTLIMTTNHVNIHEQFIESLKNLNMRTSMLAKNIVEPAQAMQQATR >ORUFI07G04050.1 pep chromosome:OR_W1943:7:3261371:3275413:1 gene:ORUFI07G04050 transcript:ORUFI07G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRVATCNLNQWAMDFDTNLRNVKESIARAKAAGAAVRVGPELELTGYGCEDHFLEQDTAAHAWECLKDILSGGYTDGILCSIGMPVIFKSVRYNCQVFCLNSKIVMIRPKISLANDGNYREFRWFSAWTFKDALVDFQLPLDISEVTSQDTVPFGYGFIQFLDVSLASETCEELFTANAPRIDLALNGVEVFVNASGSHHQLRKLSLRIDSMRNATLACGGVYMYANQQGCDGGRLYYDGCCCIAVNGDVVAQGSQFSLKDVEVLDALVDLDAVSSYRASVSSFREQASHRTKVPFVKVPYKLCKPFQSGMVPTGPVEVMYHRPEEEIAFGPSCWLWDYLRRSRASGFLLPLSGGADSSSVAAIVGCMCQLVVKDIENGDEQVKADAMRIGQYKDGEFPKDSRELAKRLFYTVYMGTENSSEGTRSRAKMLAEEIGSFHLDVPIDSIVSALLSLFERLTGKRPRYKVDGGSNTENLGLQNIQARIRMVLAFMMASLMPWVHNKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKQDLRAFLRWAAVHLHYSSLAEVEAAPPTAELEPIRADYNQLDEVDMGMTYEELSIYGRLRKIFRCGPVSMFQNLCHRWCGTLSPSEVADKVKHFFKYYAINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNARWPYQFRKIDELVQDMDKDGKWVNSTEGELRRRKGVRSAEGGGMGVVAVGSANPSAGS >ORUFI07G04060.1 pep chromosome:OR_W1943:7:3272015:3275179:-1 gene:ORUFI07G04060 transcript:ORUFI07G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAGDASPPPAAAGVGATAAQSRDMAASPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFSVGGYQWAVYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTIDYSRPHSILVPDSDIGYHFGTLLDNHEGVDVVLSVGGERFHAHKLVLAARSTVFRSKFFDDEDGEKNEPGENDDVQEIVIDDMEPKVFKAMLHFIYRDTLVDDNELGGSSSEGSIFDTLAAKLLAAADKYDLARLRLLCESYLCKAISVATVASTLALADRHHAMELKAVCLKFAAENLSAVIRTEGFDYLKDNCPSLQSEILRTLAGCEEECSSGGKSQSVWGQLSDGGDTSGRRVRPRT >ORUFI07G04070.1 pep chromosome:OR_W1943:7:3276975:3279153:1 gene:ORUFI07G04070 transcript:ORUFI07G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGVRRRRSPMEIAAFFTAATAAAEAVVAITRNCVFYFCMRTIVFAGGLLALFIGYVMAAYSKTWLDSLSLLPLLFAAVILPVYAAVAIQDARRLERERVVWIVRKALEIEGL >ORUFI07G04080.1 pep chromosome:OR_W1943:7:3279412:3280378:-1 gene:ORUFI07G04080 transcript:ORUFI07G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADRRNERGGRAAVKEHDDGGKDGCRRPRAGWNTGIELQRRRKRATATARWRRTQVTSAEDEGSGDAGHNGRGWRMQLTATSVMADKSDSRGGHGSGGASESGETGTRDGSGRGGRGWPPRAWMARRTWATAAEDEDGGEHERGRTQTRMERWQPWRDDCRRRRWPRKTAMAAAREDEDDSIHDREWGAGRRQPRPRARVRATAAASEDSDDGSHDHGQGYERGWQRRRPRKIATAATTTVGKGVGRRRPRKTVNTKGCAEPLRHGRR >ORUFI07G04090.1 pep chromosome:OR_W1943:7:3281361:3291381:-1 gene:ORUFI07G04090 transcript:ORUFI07G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMMLRKLLLNTFVYPMPVGIWDEQCQLVYKTIMAVLHALNPILRPRTLGHNSGTRRDIFGSSPGGGDAGRHFRSEESMAVSCQQNEIRLGHSSSGERVLHPFARGGAGGGAPFAHGEEHIAIDIDLLLQATPNIFSAREASPTPVTPDDRVVVAQTLQTILASILPLCAASRPLFKDGPEYAKVVTFGFLGTIVLVAYAGVFAKAANSKAALRLVVSAAIAAMTFAVASQLSGIGYIYNSRPAPAAVNDGAGAGHLSSRRKKQWKPIVFDWVIMLTRFLLCSPFQNVKNVRRRSFFLNV >ORUFI07G04100.1 pep chromosome:OR_W1943:7:3294639:3295769:1 gene:ORUFI07G04100 transcript:ORUFI07G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGMLPTLKSVFAWPSSSPSPAEGAPCSLSQAFFLLCMRATIILGACYTVFLFWVAFAYPRSWLEYLQLSPLFVVAVTAPTLGVVMIRNG >ORUFI07G04110.1 pep chromosome:OR_W1943:7:3306772:3317250:-1 gene:ORUFI07G04110 transcript:ORUFI07G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATPGALHHLLLLPPPPHTQLAFHHAVGGVPAALLPLPRPRRVAASASTSRRGGARRRAAGARVRASVGEEAPPVVTEEASTSGGPTKFSTKIPVGDRHILVETGHIGRQASASVMVTDGETVLVCRLIDRPLRPTMPKGFYYETQILSWVFSYDGIHSPDSLAITAAGVAICSCSYLPQSFLCRALSEVPNKQTIAGVRIGMINDQFVVNPTTEQMDDSELDLVMAGTDSAILMIEGYCDFLTEEKLLQAVETGQKCGKKKMFDAIDLPPPELYRHVEDISGDELVKALQIKEKILRRKALSALEEKVITILSEQGYVAKDESSGVSENLADVIEEEDEDEVIVDGEVDEGEVHIKPVSRKPPRQLFSEVDVKLVFKEVSSKFLRRRIVEGGKRSDGRSPCELRPINSQCGLLPRAHGSALFTRGETQALAVVTLGDYQMAQRIDNLVDTEESKSFYLQYTFPPSSVGEVGRIGAPNRREIGHGMLAERALEPILPPEEDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPIKFPVAGIAMGLVLDTLEFGGDGKPLILSDITGAEDASGDMDFKVAGNENGISAFQMDIKVVGITLPIMEHALLQARDGRKHILNEMSKCSPPPAKVLSPYAPLIHVMKVKPNKVNLIIGSGGKTIKSIIEETGVDAIDTGDDGTVKITARDLSSLEKSKAIIANLTMVPKVGEIYRNCEIKTIAPYGAFVEIAPGREGLCHISELSSSWLAKAEDAFKVGDRIDVKLIEINDKGQLRLSSRALLPDANQESSSKQQAGGSTREKAPQKDNLVKMTTRRPRRKKQAEASTAENNATASPKDLASQGSEMGTE >ORUFI07G04120.1 pep chromosome:OR_W1943:7:3322939:3323646:1 gene:ORUFI07G04120 transcript:ORUFI07G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGGDGGGELKLLGTWASPFVQRVRLALNLKGLAYEFIEEEIGGGKSELLLASNPVHKKVPVLLHRSNPICESQVIVQYLDDAFPGGAAGGDLLPSDPHARAVARFWAAYIDAEFFAPWNRSFYTASEEEKTAEMGRAAAALATIERAFAELSRGKGFFSGEDRPGFVDVVLGGFVGSMRAYGTAVGVEVLDAGRTPLLVAWAERVAALDAARGVIPDVERVVELSRYARKK >ORUFI07G04130.1 pep chromosome:OR_W1943:7:3328995:3332679:1 gene:ORUFI07G04130 transcript:ORUFI07G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVVVIVAVAMAAWWAVAAVEGLGINWGTQATHPLPPKAVVQLLKDNGIAKVKLFDTDFAAMSALAGSGVEVMVAIPNKDLATMASDYGNAKDWVKKNVKRFDFDGGVTIKYVAVGNEPFLKAYNGSFINITLPALQNVQNALNDAGIGDRIKATVPLNADVYESTVPSAGHFRPEIAGLMTDIVKFLAKNNAPFTVNIYPFLSLYLDEHFPINFAFFDGGSTPVNDGGIMYTNVFDANFDTLVAALKAVGHGDMPIIVGEVGWPTDGDKNARVDLAQRFYAGLLKRLAANVGTPARPNQYIEMYLFGLVDEDMKSVAPGSFERHWGVLRYDGQPKFAMDLAGQGRNTMLVPAKGIEYLPKTWCVINTNAKDVSKLGDNINFACTYADCTPLGFGSSCNGMDTNGNASYAFNAYFQAQSQKEEACNFQGLAVPTETDPTTAQCNFTIQIKSSAAAAAAPVAAGVVVAALAQLLLLW >ORUFI07G04140.1 pep chromosome:OR_W1943:7:3336592:3346214:-1 gene:ORUFI07G04140 transcript:ORUFI07G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLGCDIRRPEHPMQGKARESSGVERLSRRFIGKNGGSNGGEQDAGGGRRWRCDVRRRLRVLRQRGHRRALLQVLQGAAAAAATSHLIGTATGNGDKVVDKVVADLSALVIKDNSGVGGEGTTVMAPPATVTKAKNRCEACRKKVGLLGFPCRCGGMFCGAHRHAGAHACAFDYKAAGREVIARQNPLMEQYLSLCDALQPRRRPCPCYPLPVAMAQESWKNESEETVHTPEAPILCVNNCGFFGSSMTNNMCSKCYRDFVKVTTMAAPVVEKKAFTPASSSKTPLEPAKPDEVPAAAVEDKQAAQEPPKPPSNRCLSCRKKVGLTGFQCRCGGTFCSTHRYTEAHDCTFDYKKAGRDQIAKQNPVVIAEKINKI >ORUFI07G04150.1 pep chromosome:OR_W1943:7:3348741:3350647:1 gene:ORUFI07G04150 transcript:ORUFI07G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISALRLLQAAAFAVLLVCLAPATAASVRRLPTTSCPDRCGNISIPYPFGIGADCARDEGFQLDCELDSPPRLVTSSQFEKPQELVSLSLADGEARVLLNPLSKCYREEEVVILGDTSTSTTYRYSPEKNRLVALGCPNLGYIVDGSDNYVSGCMSACRRPPPPSSSLGDAVPRLPGRPASGAARAINGEFEVVPVVLDGTIRNVYN >ORUFI07G04160.1 pep chromosome:OR_W1943:7:3351558:3352748:1 gene:ORUFI07G04160 transcript:ORUFI07G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFPCDRMEDINECLHPKEYGCYGNCMNTPGGYTCVCPPGTSGNPTEMNGCHSKDKFTFVVKVVTAYRKLIRTKQKFFEQNGGVILQQQMHSGGGARGFRIFSIEELKKATNIFAAGYVLGRGGHGVVYKGVLEDKTVVAIKKSKMMKEAQTKEFARETSLVATLKLKCPCWSTNSSQITPSTTTSMGRTPKADIPLDIRLQIAAESAEALSYMHSSASPPTLHGDVKMANILLDDKLSAKVSDFGASKLAPTDEIEIAMWVQGTCEYLDPEYLMTRQLTDKSDVYSFGVIVLELLTRKKALYLDGPEEDRSLVSCFTTAVKVGRY >ORUFI07G04170.1 pep chromosome:OR_W1943:7:3358177:3358635:-1 gene:ORUFI07G04170 transcript:ORUFI07G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGNEMQARNGGGAAMCAAGCGFFGSAATDGLCSKCYKQQQPQPRHLIGTAAGDSDKTSLKVVADLSTLVIKDNSGVGGEGTTVMAPPATATKAKNRCEACRKKVGLLGFPCRCGGMFCGAHACAFDYKAAGREAIARHNPLVVAPKINKI >ORUFI07G04180.1 pep chromosome:OR_W1943:7:3359091:3364126:1 gene:ORUFI07G04180 transcript:ORUFI07G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVFDAAILSKQEAIPAQFVWPADEAPAADDGVVEEIAIPVVDLAAFLASGGIGRDVAEACERHGFFQVVNHGVDPALLAEAYRCCDAFYARPLAEKQRARRRPGENHGYASSFTGRFDCKLPWKETMSFNCSAAPGNARMVADYFVDALGEEYRHMGEVYQEYCDVMTRLALDVTEVLAVALGLGRGELRGFFADGDPVMRLNHYPPCRQPHLTLGTGPHRDPTSLTLLHQDDVGGLQVLPDDAAAAAGGWRAVRPRADAFVVNIGDTFAALTNGRHASCLHRAVVNGRVARRSLTFFLNPRLDRVVSPPPALVDAAHPRAFPDFTWREFLEFTQRHYRSDTNTMDAFVAWIKQRNGYESLDKY >ORUFI07G04180.2 pep chromosome:OR_W1943:7:3359091:3360828:1 gene:ORUFI07G04180 transcript:ORUFI07G04180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLSSSGAAAAVASQGQLPDCFVFPADRRPPASTAAVSLPVIDLSGPRDAVRRAVLDAGKELGFFQVVNHGVPPETMREMAAVCEEFFRLPAEDKAAFYSDAEENPNRLFSSTIYEVGDQRYWRDCLRLACGFPVADDTNTHWPDKPHHLRDVTEKFFVATRGLGIELLRLLCEGMGLRPDYFERDLTAGDVIINVNHYPPCPDPSLTLGLPPHCDRNLITLLLQGDVFGLQVSYNGDWINVDPVPDAFVVNFGHLLEELVGDGGQPQYRTVTFREFMRIYKTVGARRDSVEKAFKI >ORUFI07G04190.1 pep chromosome:OR_W1943:7:3366277:3367365:-1 gene:ORUFI07G04190 transcript:ORUFI07G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAPDVGSYYGEQWAPLAMSSLSSLFSYSPPGTAKYAAALASPSQQVSTTMPELETEGELKTLPAPMTIETTAAAAAAARSPEIVKVRSVWAHNLDEEANLIESLFPSFRLAAVDTEFPGTVHRPSAPAYTLTRKQKYALLKKNVDELHLVQLGLTLFDAGGRLPDLGTGGAARYVWEFNFREFDLRRHAHAPESIALLRSKGVDFDRTRRGGVDAAAFGPRLRRWLRAGLGRAGLVTFSGAYDLAYMLKMLYGGGGGYRLPGDAATFEFVVRAVIGRTLYDVGKMARHCPGDMRGGLERVAGKLGVRRAVGEAHQAGSDSLLTSQMFMRMRERYFDDQDALTAVAGINFGYLNFTSCEYT >ORUFI07G04200.1 pep chromosome:OR_W1943:7:3374474:3375259:1 gene:ORUFI07G04200 transcript:ORUFI07G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSAPAQAQAAALPLSGRVAIVTGASRGIGRAIAIHLASLGASVVVGYASSSGPAEALAAELPSAVAVKADVSDEAGARSLFDAAEAAFGGGAAHILVACAGLAVSTYPRLADTSAADFDAAFAVNARGAFLCLREAANRLRRGGGGRIVAVSSTLAATLLPGYAAYAASKAAVEAMVRVMAKEVGASRVTVNCVAPGPVATELFFAGKSEEAVERFKAGNPMGRLGEVGDIAPVVGFLCTDAAEWVNGQVIRVNGGIA >ORUFI07G04210.1 pep chromosome:OR_W1943:7:3375859:3376653:-1 gene:ORUFI07G04210 transcript:ORUFI07G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVAAAASSQPASQPLAGRVAIVTGASRGIGRGIAAHLSALGASLVLGYASSSAEADALAAELPRAVAVKADVSDETGVRALFDAAESAFGAGAHILVANAGLLDDRYPHLSNTPTADFDRTIAVNLRGAFLCLREAANRLPRGGRIVAITSSVVASLPPGYSAYTASKAAVEAMVRTMAKELKGTGITANCVAPGPVATDMFFAGKDEAWVKRTVDANPTGRLGDPGDIAAMVGFLCTDAAEWTNGQVIRVNGGYVS >ORUFI07G04220.1 pep chromosome:OR_W1943:7:3379848:3380057:1 gene:ORUFI07G04220 transcript:ORUFI07G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMHRIAPAPRVFTEKVRSKSAAAVSSRQGGALLVDHAGVRYGEGDVSLDGHGAASEHAGLRSPGRAV >ORUFI07G04230.1 pep chromosome:OR_W1943:7:3381443:3385069:-1 gene:ORUFI07G04230 transcript:ORUFI07G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSLREIRRAAAAGIGSRRCFSGDAAAAAAAAAGVAEGKVGGGAGKEVNLFTAINQALHIALDTDPRSYVFGEDVGFGGVFRCTTGLADRFGRNRVFNTPLCEQGIAGFAVGLAAMGNRAIAEIQFADYIFPAFDQACLRYRSGNEFNCGGLTIRSPYGAVGHGGHYHSQSPEAFFCHVPGLKVIIPRSPREAKGLLLASIRDPNPVVFFEPKWLYRLAVEEVPEEDYMLPLSEAEVIRKGSDITLIGWGAQLAVLEEACEDTAKDGISCELIDLRTLIPWDKETVEASVSKTGKLLVSHEAPITGGFGAEIAASITERCFQRLEAPVARVCGLDTPFPLVYETFYMPTKNKVLDAIKATVNY >ORUFI07G04230.2 pep chromosome:OR_W1943:7:3381443:3385069:-1 gene:ORUFI07G04230 transcript:ORUFI07G04230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSLREIRRAAAAGIGSRRCFSGDAAAAAAAAAGVAEGKVGGGAGKEVNLFTAINQALHIALDTDPRSYVFGEDVGFGGVFRCTTGLADRFGRNRVFNTPLCEQGIAGFAVGLAAMIVNEAAKFRYRSGNEFNCGGLTIRSPYGAVGHGGHYHSQSPEAFFCHVPGLKVIIPRSPREAKGLLLASIRDPNPVVFFEPKWLYRLAVEEVPEEDYMLPLSEAEVIRKGSDITLIGWGAQLAVLEEACEDTAKDGISCELIDLRTLIPWDKETVEASVSKTGKLLVSHEAPITGGFGAEIAASITERCFQRLEAPVARVCGLDTPFPLVYETFYMPTKNKVLDAIKATVNY >ORUFI07G04240.1 pep chromosome:OR_W1943:7:3386865:3389619:1 gene:ORUFI07G04240 transcript:ORUFI07G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVAAPLAFRRDVVVRGTLGGGRRSGVSGLWSNGGGGGGRLVAPPSAPWPARARGKNRSGGGGRSATKDDERAGKDEAAEAVVFVDGEDDEAAIDGDDLSGFRGLVLDLSYRPVNVVCWKRAICLEFMAKADVLEYYDQTVSSPSGSFYIPAVLRVPELLQVVKRRRVKHSLSRKNILYRDGFTCQYCSSVDNLTIDHVIPTARGGKWEWENLVTACSRCNSRKGQKTVEQANMKLLKVPKAPKEFDILAVPLTKAAFRTLKRNQGLPEEWLQYLARPSP >ORUFI07G04250.1 pep chromosome:OR_W1943:7:3389612:3392849:-1 gene:ORUFI07G04250 transcript:ORUFI07G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLTQSAACPPLFVLVLLLYRKSIDPFTIQYLLLGVLRKSVSHLVDMHMHKEHNFMEKIPQGLMEAPANSVAVADGNPKTATETPRVEDYKDAAMYYGTYPAYLYGAYGGWGEYSTYLSHDGAETPTAGAYGDMYYGYSPYGYSTSGHDSQMYGSQHYQYQPTYNKQQNTTGKPSNNGKTENPAALPQGDVSANGVDSLKGQKKTNLLPKASQNTPGSNGSYGRPSGRFGNYQNQTNRTTYPCYSSQIFNGKQQKLPTGNRSLTTSNSKSKGQSRNQNTYPHLMGLQTPTSPLGPPSIYSASGMYGYNGSSYGSGLWYGSHLYGSGLYGGWNALSDGKYNPRGRGNGSYGYIHGNQDGFNELRRGPRSGLFNNQQGVGATVAPVKGQELSASDSSLSVMKDQYNRADFVETYSDAKFFIIKSYSEDDVHKSIKYNVWASTSNGNKKLDAAYQEAKEKSSDSSVFLLFSVNASGQFVGLAEMVGRVDFNKTLEHWQQDKWTGCFPVKWHIVKDVPNSLLKHIILENNENKPVTNCRDTHEVKLEPGLQVLKIFKDHVCKTSLLDDFDFYDNREKMMQERKAKHQQLKKVVDEKLLNAVDTENSLLTVKSKLQETAEVEIDVLNKEPHGKAGQVDGKENGVLAVSVNGVTPEDVQLTNEKLASLSVC >ORUFI07G04260.1 pep chromosome:OR_W1943:7:3395103:3395713:-1 gene:ORUFI07G04260 transcript:ORUFI07G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDGTVTAEGGCRRAVHTGEEDDDISAVEAKVLASPARRRCSPRPRATINDCSKLATLTEQASPTFAHRALCGWPQRIGWSQPMMEVVCYFSFARRLYDMNENDNGWLLLCNVELISIPHRYISFRDSITDCRSLPGCPLHPLS >ORUFI07G04270.1 pep chromosome:OR_W1943:7:3395903:3397856:-1 gene:ORUFI07G04270 transcript:ORUFI07G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVLSDVEASMMEQGKAAVAAMTKTTTQPSQHVRAMPGDPTVDERVSFGGAVALIVVAFLNADERFRGTKLLERKRGAPSSGPSIDDHERAWQSHRALKLQHEQLAHPTRRPVIPLPPLSEYTIYFDKNNFSTSVLTPRPAIPLPPLSE >ORUFI07G04280.1 pep chromosome:OR_W1943:7:3407827:3412482:1 gene:ORUFI07G04280 transcript:ORUFI07G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERRRRKREEEEEAEEWERRKRGRRKRRKRRRRRGGEEDPVDVLGEEVMGRVMELLDARSVARCTAVSRAWRGVAADDRLWAPKCAELMAGKAHIPRLTMIPTASKLSTYSMAIADGKRTRITKEDLCDHDWEFRFTIAAPEYWRNLDPSWKHTGPPMRRYFHPDGYHSADPHDAVWGGHECTYTIITSFAGNGCIRDHYVRINRWPPMKVSRKEDWSWELSNHLYRYNSIPDTDKKGCTGPLFPVW >ORUFI07G04290.1 pep chromosome:OR_W1943:7:3416979:3420330:1 gene:ORUFI07G04290 transcript:ORUFI07G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEGRRGWIPWARRAMGEDAEMGSLRRAAAAGEDDEKGVPESETAAKGDGAASYGYIPVPQDADGDKCVVLVPTANAADWSVAEMKDGGGGGGGGEDATATTKMIQMRPVGLGIARTRPGGDRLDGIEDGIEDDIDEVKDPGEDQAVHQPMLPVLVRGSAPEKSDLESKALQRFTSIIPRVFLSCFSYLVMYHISFLIPNKKAAHIFWYVKVTVLLSLFHAGILIWVVIHKILKKHSNISMHQDTPINDFKYVPRNDLFDIDEYGHYIPSRPRSELQTTSSRFRGKRSQSKSTSSREKQRNL >ORUFI07G04300.1 pep chromosome:OR_W1943:7:3422485:3424533:1 gene:ORUFI07G04300 transcript:ORUFI07G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHQLVANRPLLPTPAPRVPRASISNARPQPLLGRDCRLTLLRAERRTLAVARASSSSSSSSSQTEPKSEGGEAAAAEGEEQPYEEYEVEILKPYGLKFAKGRDGGTYIEAILPGAAADQTGKFEVGDKVLATSAVFGEEIWPAAGYGQTMYCIRQRVGPLYMKMEKRFGKWDGAAELSEKEIIRAERNSGVISNRVREIQLQNYQRKMEQKMQREEDLRMGLRLYKDGKYEEALEKFESVLGSKPEINESSIASYNVACCYSKLDRIQAGISALEDALKAGYEDFKRIRTDPDLENLRKTEEFNVLLNKYDESFINENAINAIKSLFGFNKK >ORUFI07G04310.1 pep chromosome:OR_W1943:7:3425113:3428839:-1 gene:ORUFI07G04310 transcript:ORUFI07G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEASRTSEARRDAVFGRWVVFSPARSRRPTDLKSHAPANPSPGAGAAAGAPKPSCPFCQGRESECAPEIFRVPAPPDASPWRIRVIENLYPALRRDAEPPAPEEAGEGEATPGECAVVGFGFHDVVIETPRHDVRLWDLDAAGVGDVLLAYARRVRQLMEHPAVKYVQVFKNHGASAGASMAHSHSQMLGTPFVPPSVTTRLNCMKEVFERLGKCSLCEFQSKDILVRETHNFSAIVPFAASYPFEIWIIPRQHSSYFHEIDKDTALDLGSLLRTMLAKLSKQLNDPPFNFMIHSAPFGVSSSCLPYTHWFLQIVPQLSLIGGFEIGSGCYINPVFPEDAAKILWELDC >ORUFI07G04320.1 pep chromosome:OR_W1943:7:3435763:3442388:1 gene:ORUFI07G04320 transcript:ORUFI07G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSSTRQARLRSRKPDDQKPAEQAAKPALPAPQRAGKRVPPPAIRGRKGAAGRRGGAAPRGRRKAVEVVDLEAGQGRGDSPKPVVGQAVVGEAKNVKAPEVVANKGLRMDGESAEKLVAADDESSLPVPERIQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGTNRTGPDAYEVALKLEHRNSKGCNYGAPYEWQVYHNLNGCYGIPAVHYKGRQGDYYILVMDMLGPSLWDVWNSLGQTMSPHMGACIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSPDEKKLYLIDLGLASKWRESSGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDTKSFLVCKKKMATSPEMLSCFCPPPFKQFLEVVTNMKFDEEPNYAKLISLFDSLIEVPASRPIRIDGALKVGQKRGRNHEEDEQPKKKVRLGSPAAQWISVYNARRAMKQRYHYNVADNRLQQHIEKGNEDGLYISCVASSANLWALIMDAGTGFLSQVHELSPVFLHKDWIMDQWEKNFYITAIAGSANGSSLVVMSKGTPYSQQSYKVSESFPFKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWETGYRITSIAATNDQAAFILSIPKRKPVDETQETLRTSAFPSNHVKDKWAKNLYIASICFGRTVC >ORUFI07G04320.2 pep chromosome:OR_W1943:7:3436159:3442388:1 gene:ORUFI07G04320 transcript:ORUFI07G04320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSSTRQARLRSRKPDDQKPAEQAAKPALPAPQRAGKRVPPPAIRGRKGAAGRRGGAAPRGRRKAVEVVDLEAGQGRGDSPKPVVGQAVVGEAKNVKAPEVVANKGLRMDGESAEKLVAADDESSLPVPERIQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGTNRTGPDAYEVALKLEHRNSKGCNYGAPYEWQVYHNLNGCYGIPAVHYKGRQGDYYILVMDMLGPSLWDVWNSLGQTMSPHMGACIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSPDEKKLYLIDLGLASKWRESSGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDTKSFLVCKKKMATSPEMLSCFCPPPFKQFLEVVTNMKFDEEPNYAKLISLFDSLIEVPASRPIRIDGALKVGQKRGRNHEEDEQPKKKVRLGSPAAQWISVYNARRAMKQRYHYNVADNRLQQHIEKGNEDGLYISCVASSANLWALIMDAGTGFLSQVHELSPVFLHKDWIMDQWEKNFYITAIAGSANGSSLVVMSKGTPYSQQSYKVSESFPFKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWETGYRITSIAATNDQAAFILSIPKRKPVDETQETLRTSAFPSNHVKDKWAKNLYIASICFGRTVC >ORUFI07G04320.3 pep chromosome:OR_W1943:7:3436197:3442388:1 gene:ORUFI07G04320 transcript:ORUFI07G04320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSSTRQARLRSRKPDDQKPAEQAAKPALPAPQRAGKRVPPPAIRGRKGAAGRRGGAAPRGRRKAVEVVDLEAGQGRGDSPKPVVGQAVVGEAKNVKAPEVVANKGLRMDGESAEKLVAADDESSLPVPERIQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGTNRTGPDAYEVALKLEHRNSKGCNYGAPYEWQVYHNLNGCYGIPAVHYKGRQGDYYILVMDMLGPSLWDVWNSLGQTMSPHMGACIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSPDEKKLYLIDLGLASKWRESSGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDTKSFLVCKKKMATSPEMLSCFCPPPFKQFLEVVTNMKFDEEPNYAKLISLFDSLIEVPASRPIRIDGALKVGQKRGRNHEEDEQPKKKVRLGSPAAQWISVYNARRAMKQRYHYNVADNRLQQHIEKGNEDGLYISCVASSANLWALIMDAGTGFLSQVHELSPVFLHKDWIMDQWEKNFYITAIAGSANGSSLVVMSKGTPYSQQSYKVSESFPFKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWETGYRITSIAATNDQAAFILSIPKRKPVDETQETLRTSAFPSNHVKDKWAKNLYIASICFGRTVC >ORUFI07G04320.4 pep chromosome:OR_W1943:7:3435763:3442388:1 gene:ORUFI07G04320 transcript:ORUFI07G04320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSSTRQARLRSRKPDDQKPAEQAAKPALPAPQRAGKRVPPPAIRGRKGAAGRRGGAAPRGRRKAVEVVDLEAGQGRGDSPKPVVGQAVVGEAKNVKAPEVVANKGLRMDGESAEKLVAADDESSLPVPERIQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGTNRTGPDAYEVALKLEHRNSKGCNYGAPYEWQVYQYVLNTVIFHLYQIILCSLSLLIITFPTSNLNGCYGIPAVHYKGRQGDYYILVMDMLGPSLWDVWNSLGQTMSPHMGACIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSPDEKKLYLIDLGLASKWRESSGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDTKSFLVCKKKMATSPEMLSCFCPPPFKQFLEVVTNMKFDEEPNYAKLISLFDSLIEVPASRPIRIDGALKVGQKRGRNHEEDEQPKKKVRLGSPAAQWISVYNARRAMKQRYHYNVADNRLQQHIEKGNEDGLYISCVASSANLWALIMDAGTGFLSQVHELSPVFLHKDWIMDQWEKNFYITAIAGSANGSSLVVMSKGTPYSQQSYKVSESFPFKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWETGYRITSIAATNDQAAFILSIPKRKPVDETQETLRTSAFPSNHVKDKWAKNLYIASICFGRTVC >ORUFI07G04320.5 pep chromosome:OR_W1943:7:3435765:3436827:1 gene:ORUFI07G04320 transcript:ORUFI07G04320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIPEGWRGDLSCMGRGCQSCEAVLAKHV >ORUFI07G04330.1 pep chromosome:OR_W1943:7:3442601:3443923:1 gene:ORUFI07G04330 transcript:ORUFI07G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVSRNVTNFSPHPDPTRHATPPHAEKPSPPRAWRLAYQVLDQSSAPPPAEPRHATPRSEKPKSSYSTPGARSLAPACVASPPRRDAAPRHRADTSLALSLQPLPRMMEAFQGVDFAALRVWQCDSYLHADEDGRSVYHRRLGGCGGGVATTLCGPFDELVVGEPPTRYVLLRGAYGRYLGTLDPGDRERGASWRSAPSCGGPPAALASSGRYLRGNKNFLARRRSVSVDDNVDKETTCCGGRWCPSTGWSYRSWPMYCNLTSSCSLYREIRFVTAEDAAAADAGQFAGRSVQLLREKLAGIVGYDEFMLCVRTGLHGRLTPLLINLPRSQETLHIVLIRTNTTGNNQTNLICMLLLNTLNIVSKCPA >ORUFI07G04340.1 pep chromosome:OR_W1943:7:3449560:3449904:-1 gene:ORUFI07G04340 transcript:ORUFI07G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPDNAGDKADKEGETREHNVVFLSAPTPLSAATRRSRVCCCPQGRGPRSRDLAAGRPPSSGAEGVRCLVPSPLDRPDLEAGHPPPREPGGPLLGALVSSVWWTSLPGKTLLR >ORUFI07G04350.1 pep chromosome:OR_W1943:7:3460129:3463840:1 gene:ORUFI07G04350 transcript:ORUFI07G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKEAGDAEADERRRLRSLAFSNGLLQRGEPAAPRSALAPSTAVSRLQGRDIVRRGGQRKSRFLFSFPGLLAPAAAASGGRVGELADLGTKNPLLYLDFPQGRMKLLGTHVYPKNKYLTLQMSRSTKGVVCEDVFESLIVFSEAWWIGTKEENPQELKLDFPKEFQNDGAVADSDFKGGAGASCDEAVTINKPPKETTTGSLSPKIESDIDSSEDSDLKDEDNTQSTSQAPSVRQSARTAGKALKYTEISSGDDSSDNDDEIDVPEDMDEKVKSPAVKNESQSEDIKPADSSAQPISAKKEPLVQATLSSMFKKAEEKKRCTRSPKGSPATKGPAAKKQRASPEEKHPTGKKSAGRSQKKRKTQVEDDEIEVLSSSSQDNNVDDDSDEDWAE >ORUFI07G04360.1 pep chromosome:OR_W1943:7:3467671:3468589:-1 gene:ORUFI07G04360 transcript:ORUFI07G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAEWDHLLCSLLEEGRSGVAREPPPTTITAAEVVAAVAQPKHGRVDTENRWKPTHALACMRVAVWFFNTVSLVLFGIVVVKVVPHCKTMEEVFACILAILTVLGILIMGYCMIKNTKEDIKAMEGSP >ORUFI07G04370.1 pep chromosome:OR_W1943:7:3470378:3473063:-1 gene:ORUFI07G04370 transcript:ORUFI07G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKVSYERDTKIVNAGSFTIEREDHTIGNILRMQLHRDPNVLFAGYKLPHPLQYKILVRVHTANQSTPTQAYTQAINDLDKELENLKQAFEDEKIRYEERPKQGY >ORUFI07G04370.2 pep chromosome:OR_W1943:7:3470376:3471206:-1 gene:ORUFI07G04370 transcript:ORUFI07G04370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHRDPNVLFAGYKLPHPLQYKILVRVHTANQSTPTQAYTQAINDLDKELENLKQAFEDEKIRYEERPKQGY >ORUFI07G04380.1 pep chromosome:OR_W1943:7:3474167:3476785:-1 gene:ORUFI07G04380 transcript:ORUFI07G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTSSAAPPPRLLPPQPPPTSRPLPPPPPPPPPAHGPSPPPPRTRLHTRALAAAASADPRAAHAVAVKSGSAASSGARAWNAVMCGYLRAGALADARGVFERMPARDAASYSALISGHARLGSPAAAGVELLGRMRLAGMAPTEYTFVGLLTACARRGNPRLGSQVHALAVKGNSPCGGGGGSLLVDNALLGMYVKGGRFDDALKVFDGMERRDVSSWNTVLSGLVELGRYDEAFELFGDMRDSGVGADRFSLSALLAAAAEGFGLHEGAAVHALSLKSGLEMDLSVGNALVGFYAEHGHSIEDVVDVFERMPAKDVISWTGLLNGYMEFGLVDMAMDVFDRMPVRNFVTYNAVLTGFNHNKEGVRVTFARKSGLRGLGLFKQMLEDGLEISDVTVTGVLNACAITAERKMSEQVQAFAIKCGCGSTPWIDAALIDMCIKCGRSGDAHLLFEKWRHEESFHIAWNSLLAASFRDGEYEKALSTFLKMFRSNDVQFIDEFILTTVLGACGALGFAEFGKQMHCFAAKSGLLSAQGVGNAIISMYGKCGALETAVNVFKRMPCRDLVSWNALITSHLLHRQGDEILDLWSQMERLPIKPDSVTFLLVISSCSYTSSNSADKCRELFLSMSSIYGIEPAVEHYAAFVHVLGCWGHFEEAEQLIGKMPFKPSALVWRSCLDSCNRQPNMTMRRLAMRHLLALEPQDPSTYVLASNLYSESARWQCSESTRLKMREKGMRKIPARSWTFHGNSIHSFFARDRSHPQSKDIYAGLDVLILECMKAGYEPDTTFVLHDVEEYQKRHFLMYHSVKLAAMYGLLMSGHGETIRVVKNVRMCGDCHSFLEYTSAATGKEILVRDSAGFHIFCGGKCSCRG >ORUFI07G04390.1 pep chromosome:OR_W1943:7:3478788:3479850:-1 gene:ORUFI07G04390 transcript:ORUFI07G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFPFMGAYNLEDPLHCPLQKLPPAPPHTVVDVAAADDGNYVERRRVPPHHLPHRPMTPACKNFVTVLFVVSTVVVLGVIARMVVVDSTSWGEALLMLPVMLLVMAIIVVIQATVYLSIIRDFSAAAAEGHDGGGDSQMLLDQMEQV >ORUFI07G04400.1 pep chromosome:OR_W1943:7:3481288:3481575:-1 gene:ORUFI07G04400 transcript:ORUFI07G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLLRPNVRSGVPRPTAVIDIPMPRIMVMIRPAKPLAMADSSLPRPPTPDPPLLRAQVDGGVAMVDDDDSVARPWWRQLSTRSEKQRWRRKVM >ORUFI07G04410.1 pep chromosome:OR_W1943:7:3485700:3496841:1 gene:ORUFI07G04410 transcript:ORUFI07G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHCESYCAPPLCNLPCLPKSKDDSTTDDAAAASSPTPATVVAAAFAEDKPPPLQKIEAAVTANKDHDDDGDGGGDEGSKEVVVTVVPKSSLKKTNCEDSKNVVKGNVKWMDLLGKDLTQVKEFEPSESGDSDDEDGNTCICVIQYQVGSSKVDTSERYFDEWQKKLPNTDEIRKSKPRSRYFTISGVELSPDNMAVATVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVISGFSSLPWLIKPLYGFISDSIPLFGYRRRSYLILSGFLGALSWGLMATLVDSKYSAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRLSSGERAMSHSGSGFIETSKQHIRQLWTSVKQPNIFLPTLFIFLWQATPKSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGLYNYFLKAVPLRKIFLATTIIGSALGMTQVLLVTGLNRQFGISDEWFSIGDSLIITVLSQASFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVSGGLVGAGLTQFFGVTKDSFKNLALLIVICNLSALLPLPLLGLLPEESGFCQHHLYLIFLHQFIS >ORUFI07G04410.2 pep chromosome:OR_W1943:7:3485700:3496841:1 gene:ORUFI07G04410 transcript:ORUFI07G04410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHCESYCAPPLCNLPCLPKSKDDSTTDDAAAASSPTPATVVAAAFAEDKPPPLQKIEAAVTANKDHDDDGDGGGDEGSKEVVVTVVPKSSLKKTNCEDSKNVVKGNVKWMDLLGKDLTQVKEFEPSESGDSDDEDGNTCICVIQYQVGSSKVDTSERYFDEWQKKLPNTDEIRKSKPRSRYFTISGVELSPDNMAVATVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVISGFSSLPWLIKPLDSIPLFGYRRRSYLILSGFLGALSWGLMATLVDSKYSAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRLSSGERAMSHSGSGFIETSKQHIRQLWTSVKQPNIFLPTLFIFLWQATPKSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGLYNYFLKAVPLRKIFLATTIIGSALGMTQVLLVTGLNRQFGISDEWFSIGDSLIITVLSQASFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVSGGLVGAGLTQFFGVTKDSFKNLALLIVICNLSALLPLPLLGLLPEESGFCQHHLYLIFLHQFIS >ORUFI07G04410.3 pep chromosome:OR_W1943:7:3485700:3496841:1 gene:ORUFI07G04410 transcript:ORUFI07G04410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHCESYCAPPLCNLPCLPKSKDDSTTDDAAAASSPTPATVVAAAFAEDKPPPLQKIEAAVTANKDHDDDGDGGGDEGSKEVVVTVVPKSSLKKTNCEDSKNVVKGNVKWMDLLGKDLTQVKEFEPSESGDSDDEDGNTCICVIQYQVGSSKVDTSERYFDEWQKKLPNTDEIRKSKPRSRYFTISGVELSPDNMAVATVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVISGFSSLPWLIKPLYGFISDSIPLFGYRRRSYLILSGFLGALSWGLMATLVDSKYSAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSVLVNEHRLSSGERAMSHSGSGFIETSKQHIRQLWTSVKQPNIFLPTLFIFLWQATPKSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGLYNYFLKAVPLRKIFLATTIIGSALGMTQVLLVTGLNRQFGISDEWFSIGDSLIITVLSQASFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVSGGLVGAGLTQFFGVTKDSFKNLALLIVICNLSALLPLPLLGLLPEESGFCQHHLYLIFLHQFIS >ORUFI07G04420.1 pep chromosome:OR_W1943:7:3499675:3502602:1 gene:ORUFI07G04420 transcript:ORUFI07G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHPAPRKRTIAVQRRCGVAAAAAGMAGGKKLRRLPHIFAKVLELPFAADADVSVEEDAAALRFVAAADGFTPSGGASAHAVEIHPGVTKVVVRDLSAGLDGDDGAVFELDRWRFRLPPCTLPAMATATYADGELVVTVPKGAAPDDDGDGAAAAVLGGSGVVESVLLLDVM >ORUFI07G04430.1 pep chromosome:OR_W1943:7:3502288:3506100:-1 gene:ORUFI07G04430 transcript:ORUFI07G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAEGDAVSAGFAELERQQQLLASCTRLYKQLEEHFASLERGLAARSDSLRHKRRAAEARASAAMDSLRRREASIDGSVSRALDHLDDLASASSVPSDAAAAAEGVAESLRAMCARMDSAGFFGFVVARRKEVDALRAEMPPALKCCVDPAKFVMDAVADVFPVDRREAKNPTDLAWACVLILEAAVPALADPDPEIGAARLLVPRAARERARGMAREWKEAAERKGGVEWTKPPDAHAFLQHVATFAVAEREDRGIYRRIVVSFSWRRQMPRLALTLGLEEDMADIIEELIAKGQQLDAVNFAYEAGLQEKFPPVPLLKSYLADSKKTSCTVSDNSSTSSGQSGSNANKKEQSVLRAVIKCIEDRKLEAEFPLEDLQRQLEELEKAKTEKKKATSSASSGGSSGPATKRIRASNGGPMPPAKAGRLTNNACVSSTPAATTFVRSPSHTSYAMASPYPYDRPVGHGLYCNQSPPAIREPYVYPAKEVTNFAPGLPYSSPPISYPHAYGGYNNGMGAYNNGMAPAFHQAYYRLSDYVDEHSKQFFSKCFSFVDHV >ORUFI07G04440.1 pep chromosome:OR_W1943:7:3515618:3524268:1 gene:ORUFI07G04440 transcript:ORUFI07G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVASGSGRKRQLVLESSDSEADEFFVSTRRKEDDDDDAGNAGGGSGGGGDQGGEKVVTVSPEKVSGAKSTDEGGGSDKSKGSEVGKSVLQPDVKRIRTEAAHGGGSGSGGSVSKDGTGGKMLRPGFPKWRFEKPEVRAGRVLDEKGGVETKVSSSQKVKDHASSSVYERRRPEPLKPEKSTPSKTNQEVIRVQGKSGVLKIRPKNNKVASETGDGKNLPKNAKVDGDTGDGKVLPKKTTVEENGDGKILTKSGVLKLLPKNNKVAKETSDGNPRSKNTKVVGETSDAKILMKNKANRESGDDKAPKNCTVNLETSAGKILSRNTKEDLKTSDVCRQDKEKSDAIDVSQKQGADGEKRITEKLVSPILLRKSDPSVVGISLGQKMKQQNSKAQLKISSLGQRQPSLNLKDEKNKKKRLLDHKMSPENLSKKAKPNAIDQDTSRPSLEKHGIKKERKGPRYTMKQKLRGQIKDILLNNGWKIDLRRRKNKDYEDSVYVSPQGNGYWSITKAYAVFQEQSKSGKHTGKSSKHKAGVADAACNAISENDLAMLQRNVVKRRTKKELGASKKKYEDSSSRNSKDNNAGRSSGNKHQSSGVRGCALLVRGSTHSMEGNVDGYFPYRWKRTVLSWMIDMGVVSEDAKVKYMNKKGTRARLEGRITRDGIHCGCCSKILTVAKFELHAGSKEQQPYENIFLEDGGATLSQCLVDAWKKQSQSEKKGFYKVDPGDDPDDDTCGICGDGGDLLCCDNCPSTFHLACLGIKMPSGDWHCSSCICRFCGSTQEITTSSAELLSCLQCSRKYHQVCAPGTMKDSVKAESNSSTDCFCSPGCRKIYKHLRKLLGVKNAIEAGFSWSLVRCFPDKLAAPPKGKAHLIHCNSKTAVAFSVMDECFLPRIDERSGINIIHNVIYNCGSDFNRLNFSKFYTFILERGDEVISAAAVRIHGTDLAEMPFIGTRGIYRRQGMCHRLLNAIESALSSLNVRRLVIPAIPELQNTWTTVFGFKPVEPSKRQKIKSLNILIIHGTGLLEKRLLATGTINQENTTVNDMMDAQTHVEATGSRTPVHFSCELPVGGDPDIKHHDDSHPLVGNSKGLTLNLPCVPEEKTAELTSPVLDVVQCMPESENTQEMKNGETDATLTSEDIIAEQKYEDKSNSSLTDSSAIPMTVDPGSCSSNETVKGEHHTSSEPSVEAILVRDKPEPSISCNVTNQEDKNSSMVPVDTTVHLATIVGNHDIQNSVEVKGMEHNTAKDQTFVSAVANNVATTEDPSDSVADCEVPIVRSIQQKDEVIADKNACATIDQTAVDDVANNFVATTENDTDSTAELGVSMERCIQQKVEVIKDKSDSPLRTSISKVMLEKSDQMKSTESDSVKMKDMAIEVKVTVENFSEAGKPASALVMSNDINGEVMAKPNLTCGDDQLHGGDGTYKNSMEDDLASREPVNA >ORUFI07G04450.1 pep chromosome:OR_W1943:7:3527976:3555735:1 gene:ORUFI07G04450 transcript:ORUFI07G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGEDFQHILRLLNTNVDGKQKIMFALTSIKGVGRRFSNIACKKADIDMNKRAGELTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKISREVFLFTTSGGIFFVLAALTKVITNGWPPVARTLFALFTVCVVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVVRRLHSVHRVTLSHSSADHSGSRHCSPTASYLSPSLARSLLLPAHRSPCRPPPWPPARSRRPPLFTVRPPPQLPACLCGLLPVALPAEPPPPGFLNATGASCPDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >ORUFI07G04450.2 pep chromosome:OR_W1943:7:3527976:3555735:1 gene:ORUFI07G04450 transcript:ORUFI07G04450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGEDFQHILRLLNTNVDGKQKIMFALTSIKGVGRRFSNIACKKADIDMNKRAGELTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVVRRLHSVHRVTLSHSSADHSGSRHCSPTASYLSPSLARSLLLPAHRSPCRPPPWPPARSRRPPLFTVRPPPQLPACLCGLLPVALPAEPPPPGFLNATGASCPDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >ORUFI07G04450.3 pep chromosome:OR_W1943:7:3527976:3555735:1 gene:ORUFI07G04450 transcript:ORUFI07G04450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGEDFQHILRLLNTNVDGKQKIMFALTSIKGVGRRFSNIACKKADIDMNKRAGELTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRNHRGLRHYWGLRVRGQHTKTTGRRGKTVVRRLHSVHRVTLSHSSADHSGSRHCSPTASYLSPSLARSLLLPAHRSPCRPPPWPPARSRRPPLFTVRPPPQLPACLCGLLPVALPAEPPPPGFLNATGASCPDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >ORUFI07G04450.4 pep chromosome:OR_W1943:7:3527976:3555735:1 gene:ORUFI07G04450 transcript:ORUFI07G04450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGEDFQHILRLLNTNVDGKQKIMFALTSIKGVGRRFSNIACKKADIDMNKRAGELTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >ORUFI07G04450.5 pep chromosome:OR_W1943:7:3527976:3555735:1 gene:ORUFI07G04450 transcript:ORUFI07G04450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGEDFQHILRLLNTNVDGKQKIMFALTSIKGVGRRFSNIACKKADIDMNKRAGELTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >ORUFI07G04460.1 pep chromosome:OR_W1943:7:3538602:3543356:-1 gene:ORUFI07G04460 transcript:ORUFI07G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGNCSASHTDSLSPPASSLGVLTTDTETPVVTETTVVPELQKKPVRDLELARVCHHSHEALKLLRMPVMWAWMRGFKL >ORUFI07G04470.1 pep chromosome:OR_W1943:7:3546538:3547981:-1 gene:ORUFI07G04470 transcript:ORUFI07G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAATVAPAIASAASRAPGGGGGGSSTKSAGRGDDSLMRRHILDAIEQQVASTTPRCGGGGGSSARRPLRRRRKPLDASSRRGGLPRLEQIVKEPTLQQVKQMAEEMLPQKSMAQLLETREVTDERQWTAKCLHVHGGEPAEDLHLGRLGRRRSPCLREVAGDRQRNWKRVET >ORUFI07G04480.1 pep chromosome:OR_W1943:7:3559594:3565427:-1 gene:ORUFI07G04480 transcript:ORUFI07G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRAAHVAIYTLTPLGQPTGSPALPVVPARLRHRADQAYALQGDAGATGDPCYQGRRKSHLRAAAATSTPADLRVVEPALPSEKGRYRAVNSRRRRCIQFNHMLRRSLLAAAAPAAAIRVAGAIPGSQPIGHWSPNCLLEESCILEMTPSVSLAGETASTSSSTTTLVNTSQASPKVFRIPHPGGSSSLIRSTIDKLLGCNAVFLSQISTFHASCWTVMKAQRKCNVGWFDMVVAAIAPAARRMITQTEKGAKSVLQTIGQPLVMTLVSAASARKMPIATVNRKTSRAIHSVPTWHQFLVGAEARLARAMAQALPAARSNSPIIATTRLLAIAVAAASQCRCCDGGFLGPAPSSEIIASTRLLAIAVAAAMGYR >ORUFI07G04490.1 pep chromosome:OR_W1943:7:3566073:3581074:-1 gene:ORUFI07G04490 transcript:ORUFI07G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGRAAKGVVLRTALRPPPPLDAVITRVVQLRVAETKHLEHLRTSAPRHEWIHFDPKMAKMDAKIAEMNPMNEDEVDWATIANHPGVGFAAHGDGGARPTPAPPVASPLELLARVDAKAVADRSGAGVKAHGGGSGIATTRSGALAAGSGRGGVGTASARRGRWRPRQAVAGATAASGATVEQARAAAAGSEARLLWPAARCLAATAAVPPDPRLSAGSGGWEQSATAAVAVGDGGLGRIWTPAAVGDDGDGVVTAVAAALGQRQLATAVAAVHIPTNGGQQEINQTWISANATETTTVAMDAALPTLPPEALCPVVVVAVVGVAFDPPVPAGVSLGTAGAAGVAGVAGDGIGTPTAARTTTTYDRHVLLIVGIHHEMY >ORUFI07G04500.1 pep chromosome:OR_W1943:7:3566792:3567789:1 gene:ORUFI07G04500 transcript:ORUFI07G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARGVALAVVLAAAAAILAASPVAAQGGGGGGGSGSCMTEIISLASCLGYMSGNSSAPKPSCCTALSSVVTSKPACLCAVLGGGASSLGVTINNTRALELPAACNVKTPPASQCSTVGVPMPSPATPATPAAPAVPSETPAGTGGSKATPTTATTTTGQSASGGSVGKAASMATVVVSVAFALIHV >ORUFI07G04510.1 pep chromosome:OR_W1943:7:3570582:3576447:1 gene:ORUFI07G04510 transcript:ORUFI07G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYASTDHIRQPITTPPLRRSKLLIPVIFLPKPLTMATECGVNKSRRFDPGMSRRTRRSTSLIVCYQDQHAPSLVQQLRQDDKLKTLFQRQGMELQPPYPYEDQELQSEGDEQETPNRYHDEQEEKPHHYLDEEQEKKPFQDQDGERKTPKQYLDEDQKTVQQCQYEDKKVPNQCKDEENTPGQYQDEEQKIAKQCKEEEEEEEENALEKYQDEEHKSLKAQHQCQDTERKAPGQCKTAKTKLITPPCANDVPRFSLQDLIQEKQLLIGEAKATSKLGNGKKAIADRKLPPPPAASSATLAMVIKRPDGGKKSMGVIRRCVQALNQMVKAKHGSKKNKPPF >ORUFI07G04520.1 pep chromosome:OR_W1943:7:3592375:3593509:-1 gene:ORUFI07G04520 transcript:ORUFI07G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNNGVAVMFAAVVVVAGALVAGAAAQSGCTSEMVSLAPCLDYMQGNASRPTASCCAALSSVVKSRPECLCAVLGGGASSLGVTVNTTRALELPAACGVKTPPPSECSKVGAPIPSPAPGGAAAPNAPPAAGTGSKTTPTTGASSAGESVGKAASVAMVIVSAAFAMLYA >ORUFI07G04530.1 pep chromosome:OR_W1943:7:3594470:3596326:1 gene:ORUFI07G04530 transcript:ORUFI07G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMFISTMARQLCGQEEHIPALSRHSIPSIPARVAAAYNDLILHQHPHKPFSPDAPKAFLLFFFLRDIHQSVLDLTGIVLFVVEEEGGKEGGTIDRQLTMAARKSQTGVPRAPVVAVIVVVMTMLASRAASQNNGCSSVMMTLSPCLDYISGKSPIPEFTCCTTLAGVVQSDPRCLCMVLDGSAASFGISINHTRALELPGVCKVQAPPISQCTAVPTPPPAPDTPTLADEPAETNEDEPSPPPAGSAGSNKTSSATNSKKAASLMASVLIPTCALFYVF >ORUFI07G04550.1 pep chromosome:OR_W1943:7:3601602:3602067:1 gene:ORUFI07G04550 transcript:ORUFI07G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAEAEAGTGGGGGRDGTATKKKAAACDVAALRKCLEENKGDRSKCQDHIDAFRSSCSTNPPPPRRS >ORUFI07G04560.1 pep chromosome:OR_W1943:7:3602668:3604384:-1 gene:ORUFI07G04560 transcript:ORUFI07G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKRCDLQAPSPLSSELANGGGGLLSPRSSSSSSSSLQGFSPRSIFSVDDQAKNHPCASPRNPLSGGGQVTGLAGVLVDGEGERRCYGRTGRVLLGMMRLRVQLPQERVLAGGGGGEMPPQPSSPIEFGVKNRDAQLALLSPVQRSPLSSAAARTAQGEAELAEDYTCVIARGPNPKMTHIFDDLVVESSAAGGDACRLFMQHRDEKAFCSSQCRYHEVHFDKRIDEASDVSFKLKN >ORUFI07G04570.1 pep chromosome:OR_W1943:7:3614632:3619727:1 gene:ORUFI07G04570 transcript:ORUFI07G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTKCAVPLVSGAAGGGGSAELTRQLSSTQASPRFSFSSGVLPSLGSRGGGERHARLRRFIVSPYDRRYELWNNYLILLVVYSAWVTPFEFGFVPEPAGALAAADNAVNAFFAVDIVLTFFVAYTDPKTFLLQDDPRKIALRYITTWFVLDVVATIPTELARRILPPDLRSYGFFGILRLWRLHRVTLFAVHCSACFYYLLADRYPDPTNTWISAYMPNFHKASIWSRYVASMYWSITTLSTVGYGDMHAENTGEMVFTTTYMLFNLGLTAYIIGNMTNLVVHGTSRTRKFRDMIQAATSFAQRHQLPARLQEQMVSHLSLKFRTNSEGLHQQETFEALPKAIKSSISHHLFFGLVQNVYLFEGVSNDLIFQLVSEMNAEYFAPREDIILQNEAPADFYIIVSGSMLAGMAKSGDVVGEIGVLCYRPQLFTARTRSLCQLLRLDRAAFLRIIQSNIADGTIVMNNLIQYLREKKEIASIVAVAKEIDDMLARGQMDFPITLCFAASKGDSFLLHQLLKRGLDPNESDHYGRTALHIAASNGNEQCVRLLLENGADSNSRDPEGRVPLWEALCRRHQTVVQLLVDAGADLSGGDAAPYARVAVEQNDAALLGEIVRHGGDVSGACSGDGTTALHRAVLDGNVQMARLLLEHGADADAEDVNGLTPRAVAEQGGHADMQLAFASATRHEPRKARPPPPASAIVPVPLRDGVDSSPSSSSRRGRTSSTSAASARSTPQRMANFRNSLFGVISSSHAFHHEGGYRGGGGGGGAAAERERSSSSPPLVRVAISCPESRGGKDHSSKLVFMPETLRGLLELGAARFGVSPTRVVTSGGADVDDARLVRDGDHLLLVTDKWVPPENRSRNQ >ORUFI07G04570.2 pep chromosome:OR_W1943:7:3614632:3619727:1 gene:ORUFI07G04570 transcript:ORUFI07G04570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTKCAVPLVSGAAGGGGSAELTRQLSSTQASPRFSFSSGVLPSLGSRGGGERHARLRRFIVSPYDRRYELWNNYLILLVVYSAWVTPFEFGFVPEPAGALAAADNAVNAFFAVDIVLTFFVAYTDPKTFLLQDDPRKIALRYITTWFVLDVVATIPTELARRILPPDLRSYGFFGILRLWRLHRVTLFAVHCSACFYYLLADRYPDPTNTWISAYMPNFHKASIWSRYVASMYWSITTLSTVGYGDMHAENTGEMVFTTTYMLFNLGLTAYIIGNMTNLVVHGTSRTRKFRDMIQAATSFAQRHQLPARLQEQMVSHLSLKFRTNSEGLHQQETFEALPKAIKSSISHHLFFGLVQNVYLFEGVSNDLIFQLVSEMNAEYFAPREDIILQNEAPADFYIILAGMAKSGDVVGEIGVLCYRPQLFTARTRSLCQLLRLDRAAFLRIIQSNIADGTIVMNNLIQYLREKKEIASIVAVAKEIDDMLARGQMDFPITLCFAASKGDSFLLHQLLKRGLDPNESDHYGRTALHIAASNGNEQCVRLLLENGADSNSRDPEGRVPLWEALCRRHQTVVQLLVDAGADLSGGDAAPYARVAVEQNDAALLGEIVRHGGDVSGACSGDGTTALHRAVLDGNVQMARLLLEHGADADAEDVNGLTPRAVAEQGGHADMQLAFASATRHEPRKARPPPPASAIVPVPLRDGVDSSPSSSSRRGRTSSTSAASARSTPQRMANFRNSLFGVISSSHAFHHEGGYRGGGGGGGAAAERERSSSSPPLVRVAISCPESRGGKDHSSKLVFMPETLRGLLELGAARFGVSPTRVVTSGGADVDDARLVRDGDHLLLVTDKWVPPENRSRNQ >ORUFI07G04580.1 pep chromosome:OR_W1943:7:3620425:3621943:1 gene:ORUFI07G04580 transcript:ORUFI07G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNKAVAVAAAAMVAVVAMVAAPASGQAVAASCTASLITSFTPCFNFITSSSGGGGGNGTAAGGGAPTAECCQSVAAMINTSASCACLVLTGNVPLGIPINRTLAVTLPKACNSMSVPLQCKDTSAQIPAAGVPVAVSPAMPPLPPSPPESTAGAGSPTATATPPATSQTQTRPQVVPSSARRVATNAGFPAFLLLLAAMLF >ORUFI07G04590.1 pep chromosome:OR_W1943:7:3624193:3630583:1 gene:ORUFI07G04590 transcript:ORUFI07G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAWIGAAAAVVVVALMAGGAAAQPPSSTSGCTQTLLSMSPCLNYLTGNETAPSASCCGKLGEVVKSQPECLCVALNADTAALGLSINRTRALGLPDACKVQTPPVSNCKSGAAAPPAGQTPTTPAGTGSKATPATPVGSGVAPLRVSPVGILAGIVVAAVYAVSAV >ORUFI07G04600.1 pep chromosome:OR_W1943:7:3631554:3633613:-1 gene:ORUFI07G04600 transcript:ORUFI07G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNNLASSACPQGSLRASWPGSLATLYASARTLDGAFFLVSPGPDHRLQPLTPPLRLLRPRAAARPLRPRSPAVCRRRSRVLSP >ORUFI07G04610.1 pep chromosome:OR_W1943:7:3634572:3637291:-1 gene:ORUFI07G04610 transcript:ORUFI07G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMEATPYVKCASRRERERRAAAAMAGKGLNATGEFFRRRDERHPMVGNQLRHTTPRLGIAIVAFGIYLVNVATYNRLYRPSGDHHHH >ORUFI07G04620.1 pep chromosome:OR_W1943:7:3636694:3638470:1 gene:ORUFI07G04620 transcript:ORUFI07G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSFLSCLCLRCVTGGVKHIRHSCVAQGPLMPTCGLIQSYQHKIMAHWHQIVRLMNITMQMFQLVFSTVPDGFMLIRGNKMGVEFRVIAAEAAAAAMQRTALAN >ORUFI07G04630.1 pep chromosome:OR_W1943:7:3638649:3644130:-1 gene:ORUFI07G04630 transcript:ORUFI07G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREESAKKSKLSWSKSLVRKWFNIRTKAQDFHADSETTTQGRDGGGGAGGRASFSASSASTSSAKKSRTDRSSSKRSADRVRRGRNDFDLARLTEVQDYRIFAATWNVGGKSPPRGLNLDEWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNIPAKKWVSLIRRTLNKNPGASGSGVYHTPSPVLNPVVELEADFEASARRQENYSFFHRRSFHNLSRSLRMDADYMFPQPKLDRRFSVCDPVSLGGRPSDFDGNLRWLGSPDEENIDEELSNAAQCSPLPYSCNTTAPTEANDEQPNGSRYCLVASKQMVGIFLTVWVRNEIRDDVRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICCHLTSGEKEGDELRRNSDVMEILRKTRFPRVRGANDVKSPETILEHDRIIWLGDLNYRIALSYCSARALVEMHNWKQLLEKDQLRIQQRYGRVFQGWKEGRIYFPPTYKYSFNSDRYAGEGMHPKEKRRTPAWCDRILWYGNGLNQLCYVRGESRFSDHRPVYSIFMAEVEIIRVLAKNTNMQGSRVSSLGDQIILHGRSNFQVATYSISSGLED >ORUFI07G04640.1 pep chromosome:OR_W1943:7:3669612:3677133:1 gene:ORUFI07G04640 transcript:ORUFI07G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDRAAAAARAEDSPLFSFIDSLSPIEPLKSAYSASSIQAYQSLNITSISSIFTSPHDNAQKESKLSKSSFAEFSESEVCADESDKNKPSKSSNAVRLFACTSTLTQATHKITSSVSEGTVGPPEGSNDLPQPGQFDSGSPDHNTTPCHGVRSDLKQGKCRKLQAFQTAKTNTSEKRKCLFSTEVQLMDGCQPEKLNDEILGCDWDDLISATSGELIAYDEDHKGVQLAVSNAESCGFLLSKLTGDGDISDRTHPSSSTQTYYRELLMDEDQTENAQLVPDGEKNISTEEIQDNLYEANGSIPTGYKVETQQQRGMRRRCLVFEAAGYSNRIVQKESVMDLSVSTCKGKSPVQNHSNPGKTPSPRVLRGIGLHLNALALTSKDKMICQDPMSSLVPSSATQQEAHGKMLSAGENFIHPGGELLELQMDDDCSAGVFLGNDHDSSQSNSPQKKRRKSDNGDDGEACKRCSCKKSKCLKLYCECFAAGVYCSEPCSCQGCLNKPIHEEIVLSTRKQIEFRNPLAFAPKVIRMSDAGQDITGEDPNNTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSSNCRCEGCKNTFGKRDAAVSTEAEEMKQGGEEAENCGKEKENDLQKANAQSEDHPFLELVPITPPFDVSSSLLKPPNFSSAKPPRPTKARSNSSRSSSKAPGAVHSQKFSKIANSGLNEEMPDILRDDASPGNCVKTSSPNGKRVSPPHNALSVSPSRKGGRKLILKSIPSFPSLIGDASSGSSMNSSESAFNTASPLALDVRLYTSLLT >ORUFI07G04650.1 pep chromosome:OR_W1943:7:3679132:3680259:-1 gene:ORUFI07G04650 transcript:ORUFI07G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATTTTTLPAAAALLLLLLSAAAQLCSACPPAASQTAENNPRLQRAYVALQALRRRVTDDPKNLTGGWCGPDVCRYFGVYCAAAPDDPCAATVAGIDLNHGDLAATLPDELGLLTDLAVLHLNSNRFSGALPDTLPKLSLLHELDVSNNRLAGGFPDHILCLPNVKYVDLRFNNFCGEVPPAIFDKKIDALFLNDNHFDFELPANLGNSPASVIVLANIKLRGCIPSSVGRMAATLNELVVLNSGVRSCIPPEIGHLGELTVLDVSNNQLQGTLPESMAWMRSLEQLDVARNELAGHIPEGICALPRLRNFTYSYNYFCGEPERCLRLRRVDDRQNCIAGRPDQRPADQCLAFLHRPPVHCDAHGCFAPPGHY >ORUFI07G04660.1 pep chromosome:OR_W1943:7:3699464:3704265:1 gene:ORUFI07G04660 transcript:ORUFI07G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHKVEKKRYVLKKIRLARQTDRCRRSAHQEMELIAKVRNPYIVEYKDSWVEKGCYVCIVIGYCEGGDMSEAIKKANSNYFSEERLCMWLVQLLMALDYLHVNHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCLYEMTALKPAFKAFDMQTLINKISKSVLAPLPTIYSGAFRGLIKSMLRKSPDHRPSAAELLKHPHLQPFVLELQLKSSPARNLFPDTNKASCSDDENNWKAKYSKSHSFKVDRIVKVDKVAANNGHPSSTGTAKDYQELLKQPMDELLGQLTEKVVDEVIHGNHSRVTKSPAPTPRRASSTPRIRLEPSKTFHARAAETPPSKCSLERASQPTRRASTPVNMLQTPEKRQGADILTRLKSPDVSVNSPRIDRIAEFPIPSFDDEQLHPTTKLKLYPPSITDQSITKDKCTFQVLRSDSSKNHTGDSSDPSILGTDSNPLITSSSDWMKQRRFDTTSYRQRAEALEGLLEFSAQLLQQERFEELGILLKPFGPGKASPRETAIWLSKSFKGTGL >ORUFI07G04670.1 pep chromosome:OR_W1943:7:3706047:3708766:-1 gene:ORUFI07G04670 transcript:ORUFI07G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPSEYRRQLLRARSVETSCRRVAVAYYALRRNPNRRRRRHSLLTPIREIFFGSTSVAFCVGPTVVSQTSQEHVTSSQPLRVNAPITQEGSTSTTQPIPRCTPPRQAKQRAALRAATLRATVSRGKRNLLDTVINP >ORUFI07G04680.1 pep chromosome:OR_W1943:7:3717496:3719392:-1 gene:ORUFI07G04680 transcript:ORUFI07G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVSVRFHPAASAARCGGGSRRSRRLSGVIRAQSAPASAAAAALTQDDLKRLAAVRAVEQVESGMVLGLGTGSTAAFAVAEIGALLASGKLSGIVGVPTSKRTFEQAQSLGIPLSTLDDHPRIDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASDKFIVVVDETKLVTGLGGSGLAMPVEVVQFCWKYNQVRLQDLFNDEGCEAKLRLDEGGKPYVTDNSNYIVDLYFKTPIKDALAAGKEISALEGVVEHGLFLDMATSVIIAGTDGVSVKTK >ORUFI07G04690.1 pep chromosome:OR_W1943:7:3720169:3725450:-1 gene:ORUFI07G04690 transcript:ORUFI07G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPEGFGRFPGHRALAGHARFLDLSASAAAALIRVPLPFLRDHCVLDSPDGLLLLQRDGDTAIRLLHPFTGDIAEFPPPRFPRPPAPPPGLRPHRRSDIRKICAAVDVADEGIVTVMLAVEKIGRVAFAAAGDDDWVISTWKENQLDNALSFQGGSCMWDGLIHASVIDPPRRRRREGEESVAQPPVPPPRRIVTCSSEEIHLPSLVELDSELMLVGYNGSSLSRILVLRLADLAMGMIVPVANIGDHVLFIGARSLCVSPGWLPSIRGNSIVCFHAGENYLAQYHLGTGSWSPASDGQLMLSPPSRPCSLIHHIFTCCYRQFWNKGLIFCSESEPEWWAMRKYRYGDSTRQGFHPRLSQTAPPQNPRRVAGTFVEGHRCRACKTSSSDSTSTANPAARPETTSHPGTQLQETNGAADDQRTGCRNNSARHPYRTTELPGIDWKQLSLRREEHLRRGERPPHRQRAPEEQLLKKGRTGSGGLEGREMEAPSPSPAKAAVGRQPGQDHAAAGARARSARAPLDNRTSRRQPESRRQPGSVVADETAPELLRPRAPASTKTVAGRAETRRHAAVVTPARSGEATGSGDALDGAAQSPTAAPPSPQGAPPPRRRRDLAVPPPRPRRPAAIVATPAPGRDGAEGDGPAAAIPARRPALPATSSSGGEAGRREEEGRRWLGFRPRAAARGRCEGRVLPPPIISF >ORUFI07G04700.1 pep chromosome:OR_W1943:7:3726822:3736861:1 gene:ORUFI07G04700 transcript:ORUFI07G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAVGQWVPHVEAFVDVSRPPAQHSASVDALSALVNKDKLTLFVLVSKMEMYLTTTDHIVRSRGILLLGEILCRISFKQLDVNAISTLSDFFISRLSDWQALRGALVGCLALLHRKRTVGSIIIADVKRLLETFLQNVQVQSLAAADRKLCFQILNYILDHYPEAVKTMGDELLYGMCEAIDEEKDPECLKLSFNLVEAVMKLFPDPSGLAAQYASEVFEILSKYYPIYFTHGVGDDLDATRDDLSKALMHAFCSTPYFEPFAIPLLLDKLSSSLPLAKLDSLKYLDNCIRCYGADRMGRHVITIWFKLKEVIFSLSIDQILSTSGAKDMEKNKNEIVSEALTCLKTAIIQMGPSDEDRLINLILLDEDIVSSIHSVASEEASGLTSLQNPIQLHALGSVISILAESSAYFCTRVLQAHFARLVDSLEISAGRESQHLNNCSGPSSGAINYGALYLSVQMLSSCREVALTYKEEFSPIKSAKESWWLILEKKLDSLIHILQSLLTIDSQFVQSADRQEYVSCAVKGLIILATFPEPRLPLSASAYEDVLLTLTSVIMSKYENMHLWRLSLKALTTIGSSIVEFHASQKENIYNKVVDKISSLDEPCRTSIPLNLRLEACFEVGTSGSNCMLRVNGRVKCSEYVVNLLECYCGRVLPWLFNFGGVNELALNFAMRLWNEIRDLATSDRIGSQDLLSSLMMGMKLVIGICTEEQQSLIVQKAYDTISSMLSLPVKSMTRHLLAVDEAVPLYSVRDTFLMCMLSSVIVGLRPQTPVPDMLMMINLFTVFLLKGQIPAAHALASIFNKNLHNSEFSHENKLDKVIDTILERCFSTISVRSNMKTSLSCAGRSDDANCSEILSGSIESKDDIISGLAWLGKGLLMRGDEKVKDVSLFLLKCLCSDQSLAGISSHQEEHGISDSSYASLATSAADAFHVMMSDSEVCLNKKFHARIKPLYKQRFFSILMPIFLSKIKESTVMTTKLVLYRAFGHIISNAPVPAVITEAHRILLVMVDSIAKLSQDVKDKDLVYSILLVLSGMLMDEKGKECIVENIHIIVSVLTQLVSYPHMMVVRETALQCLVAMSSLPHSKIYRMRPQILQAAIKALDDKKRRVRQEAVRCRQTWQSFA >ORUFI07G04710.1 pep chromosome:OR_W1943:7:3736948:3738321:-1 gene:ORUFI07G04710 transcript:ORUFI07G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHNHHDVEAPAAGGSSFPPPPPAVGVAGVACTYMIERPELRWAFIRKVYAIVATQLVVTVAIAAAVYSVPAIRRFFLARTPASLAAFVLVIVAPLIVMLPTMFLRKKHPINLILLALFTICMSCAIGLGCLSSKAGIAIIEAASLTFGVVFGLTLYTFWAAKRGHDFSFLRPFLVAAFLVLVLYGLIQMLVPTGKVATTVYGCVAALVFSGFIIYDTDNLIKRHAYDEYVTAAISLYLDTVNIFIAIFTALDASDS >ORUFI07G04720.1 pep chromosome:OR_W1943:7:3738855:3741245:-1 gene:ORUFI07G04720 transcript:ORUFI07G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYEKGGDVEAGTSGGARELYPGMTEPPEMRWALIRKIYVILSMQLLLTAAVAAVVVKVRAISHFFVSSHAGLGLYIFLIILPFIVLCPLYYYHQKHPVNLILLGLFTVAISFAVGMTCAFTSGKVILESAILTTVVVFSLTAYTFWAAKRGRDFSFLGPFLFASLIVLLVFAFIQILFPLGRISQMIYGGIASLIFSGYIVYDTDNIIKRYTYDQYVWAAVSLYLDVINLFLSLMTLFRAAD >ORUFI07G04730.1 pep chromosome:OR_W1943:7:3742235:3742726:-1 gene:ORUFI07G04730 transcript:ORUFI07G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAQVALTKSIQPDTSNEEMTSGNVAGNNVLLPLSNRTEAPTPRHVIVTISLDAGQNTMVDDNGVIQGLTDEESDTKTKLLERGVLTAASATAAMLSHFLKGVFNHHQEGYYIILVVFLMTGLILATTATWLARRHSAKVVVLLALVPQVLVAGVITSTFS >ORUFI07G04740.1 pep chromosome:OR_W1943:7:3751288:3755242:-1 gene:ORUFI07G04740 transcript:ORUFI07G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKIIHATKQAEVAVTKFIESDIRNEQTSQRNIAGNSVKTSSSNTIEAPGHVVVTNSSDAGQDTMVNNNVAGNYVLLPLSNRTEAPRHVIVTISLDAGQNTVVDDNGVRQGLTDEESDTKTKLLERGVLTAASATAAMLSPFLRGVFNHHQEGDSDGLLGSSRPAGMVVVGVGVPRARSSQRILSSSSASSSPSFPPDPAVARWPASSSSAAAASTGAPGAVEFLVSVVKERASVGVDDATSAKPLGSSQEEMTCGVHDPAKASSEHPPAVSPDEAALSILHSLKLSEESFKRVLEGSGGEDFLETLAPSAKAVKVALHVLCRLCPWSRNRVKAVDVGAVSALVHLLLNEGCGGDRRACELAVMAIDHICGCAEGHLALVAHPTGLAATRLSTAGTESTVRALHVVATHTATSAVLQEMLAVGVGARLFFLVQVGASGKRTRARWTTRRSRSCRRRPHHPSAARAAVGALALVSPCPASFS >ORUFI07G04750.1 pep chromosome:OR_W1943:7:3773125:3773586:-1 gene:ORUFI07G04750 transcript:ORUFI07G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCIKTDIRNEQTAQRNTAGNCVKTSSSNTAEAPGHASVTIPSNVGENTMADNNGVRQELSDKESDTRTKLLERGAVTATSAAAGMLSHFLKGVFNHHQEGYYIILFLFLLLGLILASTATWLARKHFAQAVALLALVSQVLVAGLIASTFS >ORUFI07G04760.1 pep chromosome:OR_W1943:7:3782093:3787338:1 gene:ORUFI07G04760 transcript:ORUFI07G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFLSESPCDEQHIHGFNPQSWLQVERGKLPKSSYSPSSIESLIKIAEPPVVPLYKPLDYVEVLSRIHEELEQCVPSERPGLYLIQSQVFRGLGEAKLRQRSLHSAWRCATTVHEKIVFGAWLRYEKRGEDIISDVLASCRKCCKEFGPLDVASEMPEGDFEILGSCDIGTSSKVSPVVTFQIRDGKVTCNRCKIASLSIPFWSMLNGPFTESQLDLVDLSENGISLEGMRAVSEFSCTYSLEDLPLETLLEILVFANTFCCDRLKDACDRKLASFVSSRQDAVELMALAFEENAPVLAASCLQVFLQELPDCLNDEHVVSLFLSATEQQQCIMVGHASFLLYCLLSEVAMNIDPRTEATVCLSEKLVQLAVTPTQKQIAFHQLGCIRLLRKEYNEAEHQFSVAFSAGHVYSIAGLARIAGTRGRKGLAYEKLSSVITSSVPLGWMYMERSLYSEGDKKLGDLDKATELDPTLTYPYMYRAASLMRKKDARLALEEINRLLGFKLALECLELRICLYLALEDYKSAICDIHAILTLSPEYRMLEGRVAASKIGTLLGAHVEQWNTAECWLQLYERWSSVDDIGSLSVIYRMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHAATEHERLVYEGWLLYDTGHCEEALQKAEESISIQRSFEAFFLKAYVLADSGVDPSYSATVISLLEDALKCPSDRLRKGQALNNLGGVYVDCEKLDAAADCYTSALKIRHTRAHQGLARVHFLRNNRDAAYEEMTKLIEKAKNNASAYEKRSEYCEREQTMTDLQIVTQLDPLRVYPYRYRAAVLMDSHKEKEAIAELTRAIAFKADLHLLHLRAAFHEHIGDVPSALRDCRAALSLDPNHQEMLELQKRVNSQEP >ORUFI07G04770.1 pep chromosome:OR_W1943:7:3802315:3805605:1 gene:ORUFI07G04770 transcript:ORUFI07G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPMLNAVKEEESHWDGGGLEVVAGEDGAAAVAAGVAPRPMEGLHDAGPPPFLTKTYDMVDDAGTDAAVSWSATSNSFVVWDPHAFATVLLPRFFKHNNFSSFVRQLNTYGFRKVDPDRWEFANENFLRGQRHLLKNIKRRKPPSHTASNQQSLGPYLEVGHFGYDAEIDRLKRDKQLLMAEVVKLRQEQQNTKANLKAMEDRLQGTEQRQQQMMAFLARVMKNPEFLKQLMSQNEMRKELQDAISKKRRRRIDQGPEVDDVGTSSSIEQESPALFDPQESVEFLIDGIPSDLENSAMDAGGLVEPQDFDVGASEQQQIGPQGELNDNFWEELLNEGLVGEENDNPVVEDDMNVLSEKMGYLNSNGPTAGE >ORUFI07G04780.1 pep chromosome:OR_W1943:7:3806567:3810082:1 gene:ORUFI07G04780 transcript:ORUFI07G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATMALSSSFAAAAAGSAPWRGVVAAGRAAVGFPPRRRAAALVVRAQAEPEVEPTKEEAATSSSPTPTPSPAAAAPRAKPAASTGLWDVLAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLEQAGSGGGLAWFAATAAVLSAASLVPLLRGESAEARSGGVMSADAELWNGRFAMLGLVALAFTEFLTGSPLVNFELLAMAAATMALSSSFAAVAAAAGGAPWRAAVRFPPRRRVALVVRAQAEPEVEPTKEETATSSSPTPSPAAAAPRAKPAASTGLWDVLAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLEQAGSGDGLAWFAATATVLSAASLVPLLRGESAEARSGGVMSADAELWNGRFAMLGLVALAFTEFLTGSPFVNV >ORUFI07G04780.2 pep chromosome:OR_W1943:7:3806567:3810082:1 gene:ORUFI07G04780 transcript:ORUFI07G04780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATMALSSSFAAAAAGSAPWRGVVAAGRAAVGFPPRRRAAALVVRAQAEPEVEPTKEETATSSSPTPSPAAAAPRAKPAASTGLWDVLAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLEQAGSGDGLAWFAATATVLSAASLVPLLRGESAEARSGGVMSADAELWNGRFAMLGLVALAFTEFLTGSPFVNV >ORUFI07G04790.1 pep chromosome:OR_W1943:7:3814178:3819033:-1 gene:ORUFI07G04790 transcript:ORUFI07G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRWARKEKRTLDCAAPSLLFFFFLCFPLDAGGSLAVAAHQPPPRSPPRRRSLVAPHVRRCATGRPPSLRWGRGREAERQEAAAAAAMRIEEVQSTTKKQRIATHTHIKGLGLDANGAAIGLAAGFVGQGAAREAAGLVVDMIRQKKMAGRALLLAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEEAESTTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVSVGDVIYIEANSGAVKRVGRCDAFATEYDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKPRKTEITEKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESPLSPIVILATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQILAIRAQVEEIDIDEESLAFLGEIGQQTSLRHAIQLLSPASVVAKANGREKISKADLEEVSALYLDAKSSARLLQEQQGRYIT >ORUFI07G04800.1 pep chromosome:OR_W1943:7:3819128:3825687:1 gene:ORUFI07G04800 transcript:ORUFI07G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPERAPAAEARRRRTTVYHGHRRPSPHRPTVRGGVFSDLRATTAASSQPRPPSSSSSSAPFRLADWDPSSSSSSTPSPSATAAARRLSPLARFLLDALHRHQRWGPPVVAELTKLRRVAPELVAEVLSARPPPPPPLALPFFLWAGRQKGFRHCFPAFHALASLLSAAGLPAAADQLPDLMRAHGKPVSHSQLNLLVRLHTGARRPLRALHALRRFRHEFSVQPEVHACNRVLGALAAAGHVDDTLKLFDEMSHCSVRPMPVTFAIMVRALARAGMTDKLLEMIGRMRAEVCRPDVFVYTALVKTMVRWGHMEGCIRVWEEMRRDHVDPDTMAYTTMIAGLCNAGMVEKAEELFGEMRRKGLLVDRMVYASLIDGYVSTGRVSDGCRVLKEMVDAGYRADLGIYNTLIGGLCEIEREDKAHKMFQIVVQEDLIPSSETVSPLLARYADKGEMVKFFGLVDKLVELSLPIVEILVDFLKLFACKSGNELKAVEVFNAFRRRGHYSVGIYNILIENLLKIKERKKALVLFEEMQSSDDCKPDSCFFFTTTPGELASLDEVKKPIQPTGRTSEIHLVQPGRLMA >ORUFI07G04810.1 pep chromosome:OR_W1943:7:3838231:3845617:1 gene:ORUFI07G04810 transcript:ORUFI07G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREYHHSPTLALAAAAARSPPHPLAAPRRRRTPLALGQPSPRAPHPLLRRRACRHHRREASVVVEDAMPKAKKNPHVFFDIAIGGRAAERITFELFADVVPKTTENFRALCTGERGLGVSTQKPLYFKGTNMHRILKGFMAQGGDFSRGDGRGGESIYGAKFKDENFKLKHDQPGVLSMANAGPDSNGSQFFITFMPTPHLDGKHVVFGKVVTGMPLLKKLEAVGSDTGKPTCEVKIVDCGEVSDSQNQLKGEKEKKLRRTEDNSAAEKRVKTQKPPTHDKQKKKRKHYSSDSYSSDYSDTQSSDSGSESESYSSSSLDTSSSSDHRHKRRKSSKKDKHRSAKGKSKHKKTKRKSRGTKRKSKRSYRSSSDDSDSSKTGGSSSDSESEGRRTTRTKHSSKKDPDNTKTISLEKDSTLEDADKGKQTATLDNISNEGSKPSNTDGNGAGIRDDPGARARSSPIRADASLTKVDGNNGADTAEAGISRAEPVPTNGKDLAMGSTDNGQPQRVRKGRGFTQQYAFARRYRTPSPERSPVRSRYNDGRNDRWNHFNRYGRNGPYGARSPVRRYRGSPRASSPSRYPRRDRSRSRSRSPLRYRERGGYRRPSPRHSRSRSPAEHQRRDVRNRLRSGRDGGGPDHRNSSPPVNRGRSRSRSKSRDPSKSRSPDAPAKKGSSKYNRRRSSSSRSSSPAGSKGLVSY >ORUFI07G04820.1 pep chromosome:OR_W1943:7:3844845:3846849:-1 gene:ORUFI07G04820 transcript:ORUFI07G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMVSSSTFRAPGGLGFLGPSKIGLIPLRNRSGVRSRVKYIAPKCAVSSARPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPAELYHHGLKVVDVGGGTGFTTLGIVKHVDNENVTLLDQSPHQLEKARQKVALNGVNIIEGDAEDLPYPTDTFDRYVSAGSIEYWPDPQRGIREAYRVLKLGGVACLIGPVHPTFWLSRFFADMWMLFPKEEEYIEWFQKAGFQDVKIKRIGPKWYRGVRRHGLIMGCSVTGVKRSSGDSPLQLGPKAEDVEKPVNPFTFIFRFVMGTICASYYVLVPIYMWMKDQIVPKDQPI >ORUFI07G04830.1 pep chromosome:OR_W1943:7:3848666:3850470:-1 gene:ORUFI07G04830 transcript:ORUFI07G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPPVAGDTFAGAPPPPSQEEDAPPYGSVVLGGTFDRLHDGHRRLLKASADLARDRIVVGVCTGPMLAKKEYAELIEPVEKRMKAVEDYIKSVKPELVVQVEPIEDPYGPSIIDDKLDAIIVSKETLNGGFAVNRKREEKGLPLLKVEVVDLLSGGAEGEKLSSSALRKLEAEKANQQEGAASKGV >ORUFI07G04840.1 pep chromosome:OR_W1943:7:3854145:3855741:-1 gene:ORUFI07G04840 transcript:ORUFI07G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLKGTTLHNFRDSNLNFAAEGMPAVKKDDVRTHRPVGMRKGRWVLGDITEVLDRNSWRLGKIAKVLKDDYFVIRVTGCMQMREFHISCLRFPHAYHGKQSAVIDKVRKQSEKQTQHVDQTFHHSKMVMEDDHHSNEANDHITKRHKAINLCPSSSARNVKKKLELTRMPPDDSIPGASKKRRVDAHEVHRQTRKPQPLKVSAKNDIHRDLFCRPSSERYNDLAKNNLTKRKPDSIVRPPSQMPLQVREENECSVASCSVNFSEHSMNTDTQSVGVRNSFPDDAMSSCPSMLRQESDNVHGCDFKMDVHELELQAYQSTVRAFYALGPLTWEQESLLTNLRLSLNITNEEHLLQLRHLLSS >ORUFI07G04850.1 pep chromosome:OR_W1943:7:3859220:3859870:1 gene:ORUFI07G04850 transcript:ORUFI07G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALGRDTAIYGSGHRGVYGFHRHYRDGWRGDHHGGHGQEHIISHIGFLPPLPPPHYPLSEFLPPPYFGAYHEPTIGYAPHSEYYGSMVSHAHPGFASPD >ORUFI07G04860.1 pep chromosome:OR_W1943:7:3862144:3863953:1 gene:ORUFI07G04860 transcript:ORUFI07G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYGFNLEHGQQTGGFIWIYNTDEASAVNKVIAGWNVEPESYNDSQTHFSTWFIEGSNVCPDMRCPGFESVFSSEIVPGMVISPVSTTSGKKQYITVRVSKDQNSGDWQIYYGFNGDAKLAGYYPRSLFTSLSDKPVTILFGGYALRKDQKPSPPMGSGNAPFKNAASFRSIKFFDAGGNAHPIDFRLGFISNCYTISVIENDGFFYGGPGNIC >ORUFI07G04870.1 pep chromosome:OR_W1943:7:3869754:3870079:1 gene:ORUFI07G04870 transcript:ORUFI07G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPILPPPLLWLASICNIGLLRLGLCLAAQGARPQPVARPHRCGKPGSSRGRRVFVDNMRIAT >ORUFI07G04880.1 pep chromosome:OR_W1943:7:3875328:3876743:1 gene:ORUFI07G04880 transcript:ORUFI07G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMANATLPPLPATVAVSTLPLKRSSQRGVGVEQLAGHGIRRRREGVGGGAVEGNHDDGRPNPAKTGGGGSGRGGSSGGCGIRDDDDDLDGDGIHDHNLLAATMAGGGGRPWPGWIQQALDGCDQVVADLARTSECRQCYYKTPDRLRLIGAGLEICERLEGAGCETNTRDGNGYP >ORUFI07G04890.1 pep chromosome:OR_W1943:7:3882961:3884847:1 gene:ORUFI07G04890 transcript:ORUFI07G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLVELYYEREIQLFVLVSFALQVFLFFTGSLRRRSTNIFLSVSIWTAYLGADWVAVYALGNLSGVQESIISRRSQLPLSFFWAPFFLIHLGGQDTITAFAMEDNDLWLRHFLNLVVQVVLAVYVFWKSARRQSAELIVSGVFVFIVGVIKYGERTWSLKCGSSKSLESSPGHHYKQRFPELRDSDCDYRNMVSNALCSMFNVLNVFAARNLFGYSFPSVGPDDTQVDAKKMFKLVELELAMMYDDLYTKALVLRTRTGIILRCISHACSFVAFALFLASDKDRYIGVDIAITYSLFIGGFFLDFCAMFIVITSPWTWVWLKAAQKRDWLANLSWFLFSSDIGWPERRPLWSSSIGQYSLLSWDSGSDQPTRSCNQKVMALVRRSARLVGVGKKKLFWMSKLLDTKFLEVDEKTMEFVVEGINRIRDEFSDVASRAWPKLGPFLETIRVHFTADFGAAIVVIHSFTEEYLMNAAAAAEEEEEEAGQGGEANDMMEVCRKLSNYMMYLLVNHPSMLPLNVSSEATLAEAAQLMKVVRGRALEEMVDPCDETLREMVDMWTRLLIYTAGKSRGPMHAAELACGGELITFVWLLMVKAGLGDSEAKRILIANSACADTNTKEAYAFYFAS >ORUFI07G04900.1 pep chromosome:OR_W1943:7:3890019:3892022:-1 gene:ORUFI07G04900 transcript:ORUFI07G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFMKLYHQREIQLLVLLSFTLQMFLFFTGSLRRRNTNVFLRVSIWTAYLGADFTAVYALGYLSRHDDIQRQMSGGTQPLAFFWASFLLIHLGGQDSITAFSMADNNLWLRHLLNLVVQVVLAAYVFWKSPARHSAELLVSGVLVFIAGVTKYGERTLSLKYGRLKSLESSTGDHYKKRFPELNDSDHGYSKVVLDALCSMLNVHNVFAARNPFVNGPESNSPVVIARDTVQGTKKMLKVVELELAIMYDDLYTKALVLRTRIGMILRCTSHACSLLAFALFLTCDKRRYNGVDVAITYSLFIGGFFLDLSAVFIFIMSPWTWAWLKAQKCDRLASLSWFLFSSDIGWPEKRPRWSNSIGQYNLLNWVSGGDQPRSYNQKVVALVRRLSSLVGVGKEDLFWLSKTLDTEHVEADEKTMEFVVKGISSLSDEVSEQQQWQHLGPLLKKIRVCFVADMGCAIVVMHIFTQVYLNAVEAAAAGDEGGGDADDMVEVCRKLSNYMMYLFVNHPSMLPLNASSEATVVEFAKSREELSRTQQGSKMTLDELYNIIQQNKDGVSRQGTMEEMYKMILEEDVQPSWGAVEEMAAMWLRFLIFAAGRSNGKVHAAELASGGELITFAWLLMAREGLGESERRRVRLTSTISSISSADSAGAAADLKEAYAFFFN >ORUFI07G04910.1 pep chromosome:OR_W1943:7:3903345:3917338:1 gene:ORUFI07G04910 transcript:ORUFI07G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSEFDIQEAAASASASASASASASASASATAAAAPLLEKKITYWEGCPGCAVERRKAENPGVPYLQFFHIWIIILVSCLPITFIYPFLYFMIRDLHVAKTIEDIGFYGGFVGASYMLGRALTSTIWGMVADRIGRKPAYTTEVCRPEHQAIGLSLDSTSWAMGLIIGSVIGGYLAQPTEKYPKLFPGNSLFGRYPYLLPSLCISVFCFIIFISCMWLPETLHDLKVHKKDDGPIESPVAHFAKSEELLEQHITPTINKNLFKNWPLMSSIILYCIICFDDMAYTEIFSLWSESDKKYGGLSFSPEDVGQVLAISGSIYGFAFYLLTYDAPPKTLGSNSTKYCFGT >ORUFI07G04910.2 pep chromosome:OR_W1943:7:3903345:3917338:1 gene:ORUFI07G04910 transcript:ORUFI07G04910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSEFDIQEAAASASASASASASASASASATAAAAPLLEKKITYWEGCPGCAVERRKAENPGVPYLQFFHIWIIILVSCLPITFIYPFLYFMIRDLHVAKTIEDIGFYGGFVGASYMLGRALTSTIWGMVADRIGRKPAYTTEVCRPEHQAIGLSLDSTSWAMGLIIGSVIGGYLAQPTEKYPKLFPGNSLFGRYPYLLPSLCISVFCFIIFISCMWLPETLHDLKVHKKDDGPIESPVAHFAKSEELLEQHITPTINKNLFKNWPLMSSIILYCIICFDDMAYTEIFSLWSESDKKYGGLSFSPEDVGQVLAISGSIYGFAFYLLTYDAPPKTLGSNSTKYCFGT >ORUFI07G04910.3 pep chromosome:OR_W1943:7:3903345:3916385:1 gene:ORUFI07G04910 transcript:ORUFI07G04910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSEFDIQEAAASASASASASASASASASATAAAAPLLEKKITYWEGCPGCAVERRKAENPGVPYLQFFHIWIIILVSCLPITFIYPFLYFMIRDLHVAKTIEDIGFYGGFVGASYMLGRALTSTIWGMVADRIGRKPAYTTEVCRPEHQAIGLSLDSTSWAMGLIIGSVIGGYLAQPTEKYPKLFPGNSLFGRYPYLLPSLCISVFCFIIFISCMWLPETLHDLKVHKKDDGPIESPVAHFAKSEELLEQHITPTINKNLFKNWPLMSSIILYCIICFDDMAYTEIFSLWSESDKKYGGLSFSPEDVGQVLAISGSIYGFAFYLLTYDAPPKTLGSNSTKYCFGT >ORUFI07G04910.4 pep chromosome:OR_W1943:7:3903345:3915136:1 gene:ORUFI07G04910 transcript:ORUFI07G04910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSEFDIQEAAASASASASASASASASASATAAAAPLLEKKITYWEGCPGCAVERRKAENPGVPYLQFFHIWIIILVSCLPITFIYPFLYFMIRDLHVAKTIEDIGFYGGFVGASYMLGRALTSTIWGMVADRIGRKPAYTTEVCRPEHQAIGLSLDSTSWAMGLIIGSVIGGYLAQPTEKYPKLFPGNSLFGRYPYLLPSLCISVFCFIIFISCMWLPETLHDLKVHKKDDGPIESPVAHFAKSEELLEQHITPTINKNLFKNWPLMSSIILYCIICFDDMAYTEIFSLWSESDKKYGGLSFSPEDVGQVLAISGSIYGFAFYLLTYDAPPKTLGSNSTKYCFGT >ORUFI07G04910.5 pep chromosome:OR_W1943:7:3903345:3914246:1 gene:ORUFI07G04910 transcript:ORUFI07G04910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSEFDIQEAAASASASASASASASASASATAAAAPLLEKKITYWEGCPGCAVERRKAENPGVPYLQFFHIWIIILVSCLPITFIYPFLYFMIRDLHVAKTIEDIGFYGGFVGASYMLGRALTSTIWGMVADRIGRKPAYTTEVCRPEHQAIGLSLDSTSWAMGLIIGSVIGGYLAQPTEKYPKLFPGNSLFGRYPYLLPSLCISVFCFIIFISCMWLPETLHDLKVHKKDDGPIESPVAHFAKSEELLEQHITPTINKNLFKNWPLMSSIILYCIICFDDMAYTEIFSLWSESDKKYGGLSFSPEDVGQVLAISGSIYGFAFYLLTYDAPPKTLGSNSTKYCFGT >ORUFI07G04920.1 pep chromosome:OR_W1943:7:3916790:3922326:-1 gene:ORUFI07G04920 transcript:ORUFI07G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKRRLFTPAPRHAATPPPPPPPPTPAVEPTLPIPPASTPPTPPQPSASTEPSTAPPPAVDDAAARSSSSSSPASAAAARKVRKVVKKVIVKKVVPKGTFAARKAAAAAVAAAAAVAGAAASSEAGGEAPTDEPASDQDGGVGYEQKLDESKPATDCNAVAVVEESVCKEEEEVALVVGKGVEEEEAGMSERRKRMTMEVFVGGLHRDAKEDDVRAVFAKAGEITEVRMIMNPLAGKNKGYCFVRYRHAAQAKKAIAEFGNVKICGKLCRAAVPVGNDRIFLGNINKKWKKEDVIKQLKKIGIENIDSVTLKSDSNNPVCNRGFAFLELETSRDARMAYKKLSQKNAFGKGLNIRVAWAEPLNDPDEKDMQVKSIFVDGIPTSWDHAQLKEIFKKHGKIESVVLSRDMPSAKRRDFAFINYITREAAISCLESFDKEEFSKNGSKVNIKVSLAKPAQQSKQTKEDHKSSITGEGKMKTSKIRYPVQDYTHIYSGEKRPFSTLGDPYYPLRGHSCRRHEGSTYTTAASSYGALPPATAESSLPHYHDSNRYPPHLGEAIKFSPTSAVLSKQAWQKMKLESASENYPRISACCDVFLVFLNCEELLNDPSSTFKGTRTSLLT >ORUFI07G04930.1 pep chromosome:OR_W1943:7:3926042:3928916:1 gene:ORUFI07G04930 transcript:ORUFI07G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTRPLVSVKALEGDMATDNSSSLALAEVFRAPLRPDVVRFVHRLLSCNKRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKTWRKWHRRVNVHLRRVAVASALAATAVPSLVLARGHRIETVPELPLVISDSAESIEKTSQAIKILKQVGAYADAEKAKDSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGTFEAPSLKKKGFILPRPKMANADLGRIINSDEVQSVVKPLNKEVKRREKRKNPLKNVAAVLKLNPYFGTARKMATLAEAARIKARKEKLDSKRTKLSPEEAAKVKAAGKAWYKTMISDSDYAEFDNFSKWLGVTQ >ORUFI07G04940.1 pep chromosome:OR_W1943:7:3929748:3934445:1 gene:ORUFI07G04940 transcript:ORUFI07G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAHTLLHLAAPRKPSAGPPLPPATLRLPSRRLARLTASCSSGSGNNSAADFPNPNGILVAPPSAAAVAAASSHIDVDVATEADLRENGFRSTRRTKLVCTVGPATCGADELEALAVGGMNVARVNMCHGDREWHRGVIRAVRRLNEEKGFAVAVMMDTEGSEIHMGDLGGAAAAKAEDGEIWTFSVRSFEAPPPERTIHVNYEGFAEDVRVGDELLVDGGMARFEVVEKLGPDVKCRCTDPGLLLPRANLTFWRDGSIVRERNAMLPTISSKDWLDIDFGISEGVDFIAVSFVKSAEVINHLKSYIAARSRGSDIAVIAKIESIDSLKNLEEIIRASDGAMVARGDMGAQIPLEQVPSVQQKIVKLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGRYPEKALSVLRSVSLRIEKWWREEKRHEELELKDVSSSFSDKISEEICISAAKMANKLEVDAVFVYTNTGHMASLLSRCRPDCPIFAFTTSTSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIQSGDLVIALSDMLQSIQVMNVP >ORUFI07G04950.1 pep chromosome:OR_W1943:7:3945482:3948984:1 gene:ORUFI07G04950 transcript:ORUFI07G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSIGKPDDGQSLLANVEASDDHAAFDAMATSSRRPPSLRPLRHPNVTSLAPLPPLHRCVPRQTEVIFPPLDSPDSSELKKVMSISLPATPTGFAAPVAGASDSSGIDLRRQAMASNMTQRLQQRSPTSQSNNGRRLTDETTAFQSPPPTPGGGRSSMSRDKRYDSFKTWSGRLERQISHLAGIGPDIPSPAGQVVDAAMDGHHHSHTVSTPEVGRFFAALEGPELDQLREELVLPVDRTWPFLLRFPVSAFGICLGMGSQAILWKRIAESPPTTRYLHVAADVNLVLWWLSVALTCAVSAVYACKVVFFFEAVRREYLHPVRVNFFFAPLIACLFLAIGVPRSVAPSTAALPAWLWYALMAPMLCLELKIYGQWMSSGQRRLSMVANPSNHLSVVGNFVGALLGASMGIREGAVFFFAVGVAHYVVLFVTLYQRLPTNEALPRELHPVFFLFVATPSVASVAWAAIAGEFALGARLAYFVAMFLYASLAARAVSLFGGVRFSLAWWAYTFPMTSAAAATIRYAAEVEDTRLARALCVALAAAATLTVGCLFATTVVHAVVLRSLFPNDVAIAITDHRKVKPKPKPKTTMEVHYKMDGNGDIEGGAPAMTPSPCMPMATAA >ORUFI07G04960.1 pep chromosome:OR_W1943:7:3950207:3950962:1 gene:ORUFI07G04960 transcript:ORUFI07G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEVGEEAGTAAESHRERNAIASRIRRADATAARRPYIKCNNCGDVRIVKCDRALSTTGSATTSPTTNSARCLAPIDRALFLAAPLRLGAQRRLRRPPGARPTEHTAARVVLAESLDGEDNQLMVNSYHCTTREGVQAAAGGAVRADGVRSALRMRFCRRGAGLPPPPRPSPRRSSGCCRSPQRCARPMRGQE >ORUFI07G04970.1 pep chromosome:OR_W1943:7:3961163:3966636:1 gene:ORUFI07G04970 transcript:ORUFI07G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNIQIRKIYDLRVIQELGPIGISCSSFELFTN >ORUFI07G04980.1 pep chromosome:OR_W1943:7:3972512:3972772:1 gene:ORUFI07G04980 transcript:ORUFI07G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTLTSCPLCHVTLSARTLAALAAACAQRCSRNRRGRRRQCKAVGAASTRSGAIPSAPCRGRQSQCPRQRLLLGLLLSPCLRLG >ORUFI07G04990.1 pep chromosome:OR_W1943:7:3973199:3975326:1 gene:ORUFI07G04990 transcript:ORUFI07G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGVHARQVALPASPPLLRQAAAAAAVAPAHAGIALQLLRRVRATLRRQDPTKPQRHRRRRRQRQRQRRRGRGEEDYDGGVPIAVAPGGAGGGEQEKVTAAAAAAPRGEGGDRARRRALTAAKNSLYYTS >ORUFI07G05000.1 pep chromosome:OR_W1943:7:3982688:3985062:1 gene:ORUFI07G05000 transcript:ORUFI07G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRSRASAVAVLWMLAAVVAAAALDPDQDELERAFPIVEPDHGHTKLRLAKEGLEAIKRIETPIAAVAVIGPYRSGKSFLLNQLLSLTCNKGFGVGHMRDTKTKGIWVWGTPIELDVNGSKVSVLYLDTEGFESIGKSNVYDDRIFALATVLSSILIYNLPETIREADISRLSFAVEIAEEFYGRFAFCHSYLELTIY >ORUFI07G05010.1 pep chromosome:OR_W1943:7:3986977:3990521:-1 gene:ORUFI07G05010 transcript:ORUFI07G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEVRNEAQFEPSVQPRFDEITTEALVTEHKPVIMKDMTIACSIQRFLAVVANFDKSKISQIERIGFGRMLSLPDITFHRKLIGQIAERYDSKTETINIQGTAIPITTHDVKCIMGLPADGMIIKPKPHMNGEDYKYYSMYKQHKGKNISLHELARQINSAKHPDEHFLRRFVLFTIGYILCPTTKPIVSSQYLALLKDIDNIKNINWARITRDYLINCLNELKGGRRNLEGNVPLLQFWAWEHVHINDPMCTMTYVGRPPPLMAYWNEMNVMTWLKYDKKCILETGTVVVVIDDPEEIKGDIVPVQCEGQIDDKKIDGFMEDNTVDVIHIVPEPEEIKGDNVSEDCQGATAENNNGDFIKDDTPTNEEPDYMFKATKERTAADGFNSYGRGDDDESPHHVYIEDDVNVPSSPENFKYPEAHQSANFDAIMTQLMQIQQGCQFLDNKISTKLISIENTCIQNRRDIQAIKYRLGTTSRSRTFRKFKPAAKQEETVIDSRADCRQDLVDDSKNYDSQPNGTATSPHIIESDDNSQQNPTQLSTIGGRLRRPEGRIIKPTHKSQTDFIYYKKTFPKPVKSSREPKPHDLSLLDEVTLSYISKSEDKKLLSTIAGIKIFRQHLKPLILPKQAPSKAKWLNGTVIDAYIQIIIDKQSDTPRGQGIALLETATQCQLWKINGTDKGTCNKRYRDQRSKVAASYLEHEMIFLPLNRNSAHWYVAVLDGVNEKIQILDSLHMDRTSYDAEKTLTTTIKGIEKYLRYAKQDEHKTYKWNSTNITKWPICPMQVPQQKDGTRQLLLELKWPPP >ORUFI07G05020.1 pep chromosome:OR_W1943:7:3991601:4001875:1 gene:ORUFI07G05020 transcript:ORUFI07G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKFHRSGLCLEVPMYIDDGEYFGDETGTQLKCRHGLRPKRRTAWEGKDTGRRFLGCPLEEEDQCDALFWVDEEWQPRIQKAFEMLWLALDHANEEVKSDSDTETESESHMSVHLCRAKRPAAFSALFSRQYIQPYLQDPIDQFPVLICDSTEIYNVRFYVSTDDRATLTIGWTSFLEGAGIDVGTTCVFQLYDRDGDKYIDEVNRIRDSLAFMGDNSTAFSLPQGRTLNGKEFVSFLRQILEALNKGEIPSTGSLVEVFNKAILERCLKLYNERMERVGLPVSVDKLQLIHNLAEDEARKLFDKQHFGKHHTTRSILKLDEEMRKVFGNFGFANEYQSSKLCEAKFSECEDKMEHLQSLKLPSMAKFNAGFLRCNQSFEMECVGPAKESYERRMSKMLARSRALFIKEYNNKLFNWLVTFSLVMIVIARFVIKFFLLEVAAWVIFIFLETYTRLFWSSELLSYNPIWHMIVSSWETIVYNPVLDIDRQVSSLCEVHNMNMGDPNCCCAIIFSCLLALPRCQETNRKIIASFVQRFLRKLQSPKNRLIQV >ORUFI07G05030.1 pep chromosome:OR_W1943:7:4000486:4001574:-1 gene:ORUFI07G05030 transcript:ORUFI07G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTSKGVLEIAKFGVYVSVPVALTYLVATDSKTLKKLMGLREYVVYPPEGPRPPPPEELRERAREIARKRQQQQ >ORUFI07G05040.1 pep chromosome:OR_W1943:7:4004040:4008085:-1 gene:ORUFI07G05040 transcript:ORUFI07G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVFAVDEIPDPLWAPPPPRCPSGWNLERFLEELDGVPAPAASPDGAAIYPSPMPAAAAEAAARGSRGYGDREAVGVMPMPAAALPAAPASAAMDPVEYNAMLKRKLDEDLATVAMWRASGAIHSESPLGNKTSLSIVGSILSSQKCIEGNGILVQTKLSPGPNGGSGPYVNQNTDAHAKQATSGSSREPSPSEDDDMEGDAEAMGNMILDEEDKVKKRKESNRESARRSRSRKAARLKDLEEQVSLLRVENSSLLRRLADANQKYSAAAIDNRVLMADIEALRAKVRMAEESVKMVTGARQLHQAIPDMQSPLNVNSDASVPIQNNNPMNYFSNANNAGVNSFMHQVSPAFQIVDSVEKIDPTDPVQLQQQQMASLQHLQNRACGGGASSNGYTAWGSSLMDANELVNMELQ >ORUFI07G05050.1 pep chromosome:OR_W1943:7:4012157:4015032:-1 gene:ORUFI07G05050 transcript:ORUFI07G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALKASTASAAAASTASASASSLSVAAAAPGRRGGAAGRVSFRGVPAPMVAIRAEAAAVGEDERVISGTFAKLKEQGKTAFIPFITAGDPDLATTAKALKILDACGSDLIELGVPYSDPLADGPVIQASATRALSKGTTFEDVISMVKEVIPELSCPVALFTYYNPILKRGIANFMTVVKEAGVHGLVVPDVPLEETNILRSEAAKNNLELVLLTTPTTPTERMEKITKASEGFIYLVSTVGVTGARANVSGKVQSLLQDIKQVTDKAVAVGFGISTPEHVKQIAGWGADGVIIGSAMVRQLGEAASPEEGLKKLEELAKSLKAALP >ORUFI07G05060.1 pep chromosome:OR_W1943:7:4020462:4023673:1 gene:ORUFI07G05060 transcript:ORUFI07G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQPPTGGAEDDFFDHFFSIPSAAAAGAGGVGGFGSGDHHPFPLALSLDAEGAGAARRLLDGGHDGGRTDRDPVQLAGLFAPVFGAAAGVQPPHLRAPPPPQVFHAQPKPGEGAMAAPQPQQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQDLVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPISVKVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQHSQDGHSVKPEPNTPS >ORUFI07G05070.1 pep chromosome:OR_W1943:7:4031339:4036180:-1 gene:ORUFI07G05070 transcript:ORUFI07G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNISWTVMESVKNITDSDGIGVYHLYSCTTNSALDVPRMELFRNTMNTKIYYSDQKWQSFTGIMSPGVLCLDQSGLQFPVPPEAEHAAFLGLGPAASPLAIGTDDAGERRRGGGGGPPCLRVAERAAARTKESGAREARGGRTPALRRLGGRRRRRLARAMRLRKWFVMDKNSYMLDLYKHQTHNISDKPTYKPVTVDLFPMTGVEFALVIGLPWQKSYIEKHNSSSLREMHLFWVQGASSSLRELHLFWFKHSSASAVAALQTCSNHVGMRASSEHKEDGSSPGSQAGHDARRSTGKVLCLEAAALRLEGDSVIRFPYVSALIGEGVSVSVHVSDTDTSLDSRIQENILSDPENPTRMIDEQLLVYYLVSTCSMCGQLALCIHDFTFLTPALYRGLEASNSTILDIDDQQPRFTHADWLGFALFRD >ORUFI07G05080.1 pep chromosome:OR_W1943:7:4037944:4038802:-1 gene:ORUFI07G05080 transcript:ORUFI07G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLRSTSCLASAAETDADNLCLRLGPPGSSITTTTTTGGADPAAKRSLGAKRSLESTDSMASGTGTSAAGDEHDDDTAAPAKAQVVGWPPVRAYRRNTFHQAAAAAAATKKGGDEKQKQQQQGGGLYVKVSMDGAPYLRKVDLKMCKGYRELREALDLLFTKCFSATASDGCSDGQFAIAYEDKDGDLMLVGDVPWEMFISSCKKLRIMKGSEAR >ORUFI07G05090.1 pep chromosome:OR_W1943:7:4043400:4043627:1 gene:ORUFI07G05090 transcript:ORUFI07G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRCRCSQRKEGESNDVIPSTIATRREDAEERLEVEEKCTCGCEVGQGSSAAVAEEEVTTLRGGGGIAVGRLWE >ORUFI07G05100.1 pep chromosome:OR_W1943:7:4048253:4048962:-1 gene:ORUFI07G05100 transcript:ORUFI07G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDLQHHPKSFLIKFEHRRRHAEALKKGFPSAVGLSFILFKWHKSVLSIALMFCVHLYLDARTCVLKNIEMSFIHSSNTRWINIRAWTSNPNSGKSYALNQSSIPKRVWIIFTSSRRGNVLHTDCDGVRERPQERSQHAVKYCMYVHLEEIYGYASATVTLDDKPVVAPSKASTSTTAPRRDS >ORUFI07G05110.1 pep chromosome:OR_W1943:7:4056256:4056696:1 gene:ORUFI07G05110 transcript:ORUFI07G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARCSRGGRRRRRPWWGGRGTGCEPRVVAVEEDAAQREREEVRAQEVAGVDDARGATPCRRSGTLREATRREAAEDGREHVVRQRRRHIHRLSTSSSLDYRGKLGNSFSPMQLIWAQPLKPREVVVVRCQVVSLPVNGPAH >ORUFI07G05120.1 pep chromosome:OR_W1943:7:4059858:4070716:1 gene:ORUFI07G05120 transcript:ORUFI07G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRKKRCRAKPQKKDEDTTDKGKLDEGPLDATKEMNGVGKGDSRAACKRPRRAAACSDFKEKSVRLSDKSSVVATNGNKMEEEEMDAVKLTKLGPEVQRPCRKLIDFILHDADGKLQPFEMSEIDDFFITALIMPMDDDLEKDRQKGVRCEGFGRIEDWAISGYDEGTAVVWVSTEVADYECVKPAGNYKSYYDHFYEKAQVCVEVYRKLARSVGGNPNLGLEELLASVVRSINAIKGYSGTLSKDFVISNGEFVYNQLIGLDETANTDDEKFATLPVLLALRDGCKSRVEVSKLQPNISNGSLKINDAECKEVSEDDDEKLARLLQQEEEWKMMKQRGKRGTTSQKNVYIKISEAEIANDYPLPAYYKPSSQEMDEYIFDSEDSFYSDVPVRILNNWALYNADSRLIPLELIPMKAGAENDIVVFGSGFMREDDGSCCSTAESAKLSSSSSSNHQDAGVSIYLSPIKEWVIEFGGSMICITIRTDVAWYKLRQPTKQYAPWCEPVLKTARLSVSIITLLKEQSRASKLSFADVIKKVAEFDKGSPAFVSSNVALVERYIVIILQQFSDFPDETIRRSAFATGLLMKMEQRRHTKLVMKKKVQVMRGENLNPSATMGPASRRKVMRATTTRLINRIWSDYYAHHFPEDSKDADANEAKEIDDELEENEDEDAEEEAQIEEENVSKTPPSTRSRKLVSQTCKEIRWEGEAIGKTPSGEALYKCAYVRELRINVGRTVALEDDSGELVMCFVEYMFQKLNGAKMVHGRLLQKGSETVLGNAANERDLFLTNECLEFELEDIKELMSVNLQSLPWGHKYRKENAEADRIERAKAEDRKKKGLPMEYLCKSLYWPEKGAFFSLPHDKLGLGNGFCSSCQQKEPDCDELQILSKNSFIYRNITYNVNDYLYIRPEFFSQEEDRATFKGGRNVGLKPYVVCHLLDVHEPAGSRKIHPASTKISVRRFYRPDDISSAKAYVSDIREVYYSENIVKVPVDMIEGKCEVKKKIDISNSDVPVMVEHEFFCEHFYDPATGALKQLPPNVKLMSVQQKATGALKKNKGKQICESDQVDSDKCTKVSKENRLATLDIFAGCGGLSEGLQQAGVSFTKWAIEYEEPAGEAFTKNHPEAAVFVDNCNVILKAIMDKCGDADDCISTSEAAEQAAKFSQDNIMNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLTVASLLEMGYQVRFGILEAGTFGVAQSRKRAFIWAAAPGETLPDWPEPMHVFASPELKINLPDGKYYAAAKSTAGGAPFRAITVRDTIGDLPKVENGASKLLLEYGGEPISWFQKKIRGNTIALNDHVSKEMNELNLIRCQRIPKRPGCDWHDLPDEKVKLSSGQLVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPDQDRIITVRECARSQGFPDNYQFAGNIQSKHRQIGNAVPPPLAFALGRKLKEAVDAKRQ >ORUFI07G05130.1 pep chromosome:OR_W1943:7:4075027:4076424:1 gene:ORUFI07G05130 transcript:ORUFI07G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPADLYVEVEESARDDDVDVDGRSRVPVPVPSPSGWELPPCPVLRNVFRRLPRNPDFDGATFLSKPWLELPCDGPMVIAEPQRLMPALLLPEGMALDPASRAFLSLADGRRHDIAFPHARGARCVGSTRGWLVMVRKGPEGVAGAAGTATIHVVHPLLPHLEFRLPDEFSLFEIQVTAPEERFLLRLTPSEKARIRAGLPVEETGAELLQRVFKTAEGLRPPEPYITDVTLSCSPASSDDDCVALCVYRHGRCLAIARPGDASWTRVEVGWEYMEPHEYRREFLSVVHHKGSFYAACYDGMVLRVSIPPPGSASPPRVDKFADAPRRESIRWARWWLAVDTASSSAGGGALVLVATERRWWKQKMYMCAFRWDDELRFWRRPKDMGGRAVFVGRGTAFVADARHLPWCAGNCIYFTRDERVRTGDDVPVRCCDVRRQKLYSVHNAGPKVAMAPPVWVMPFHE >ORUFI07G05140.1 pep chromosome:OR_W1943:7:4077115:4077420:-1 gene:ORUFI07G05140 transcript:ORUFI07G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPSTLRRKRWQQGMGKMPKGGLLTVVERAVVPFLPNGPRAKGHDHVEPTTTLPPNFESAGVVPLMEGNSIRGKAMWEAETREDGPP >ORUFI07G05150.1 pep chromosome:OR_W1943:7:4079238:4081890:-1 gene:ORUFI07G05150 transcript:ORUFI07G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPDDAAAAAVPPPVVIDRDVWHACAVPYSGVLPGVGTLVYYIPHGHIEQCAEDPALLLSRLPDPIHPVPCTVADLVLDVDAESGEAYATISLLPGSHDDTTARRQVPAHGEPGFRFFEKQLSPADVTSNALVLPAGAEHVLPPLDIAAYQTARLFDVRDLRGKRFEFVHIWDKKRCRYMLGDLGVNDNDGWRGFVKAKRLATRDTVVFMRRGGGDGDGDGDGELLVGVRRAPRARGGHHPRPGVEDNKVVSEVWLAMQGVTPFEVTYYPREGTFEFVVSRDEYIGFSFSPFYPFVPGTTVHLRMNPLQIAQSISGTVRTFDHLRPWRMLEVDWDQAASPISYRIHRQVNSWQVLRQPQPAATTSAVRIRDAIVATPQVQIMAPPRPPPPTTTTGMVPVIDHDIWLACATPYSGRLPVVGSAVYYFPQGHAEQCHTCTTCLIPDNRHRLRCTSDDSYAMISLFPGDCYVTHRPLPAARDPVGGQREFCFFDKKLSPSDAAANGGGSGALFVIPKPSAAEHVLPRIPDLRVTNLQGGRWEFGHTWSDADTDRRSSSHTLAAGWSAFVKAKRLCVGDTVIFMRRRPGGEPLVGVRRKPHGGMPVGIPDKHVADAWLDASSAQPFRVTYCPWQGTAEFVVRREEVEGSPPLAPGTRVRLLMNPDDARRRSQPPVYGTVRDVHCRSEWRMLEVDWDRDSPLAPTMNRRVNSWQVQPVQLALPPQGSDEEAAAATTSTAHAGDATTSAPSLALQLQTMASSSSSSAPIIPSRGSAFRIVNPRDGSQG >ORUFI07G05160.1 pep chromosome:OR_W1943:7:4084315:4087754:1 gene:ORUFI07G05160 transcript:ORUFI07G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNPVRFLDADNSDDHRSANDSVIFMRRRDDDELYVGVRRQRTLDKPLRTRRSRPPTPLPVAVQEVIAAAGRAAAGEQFTATYRTRQDGDEFVVPREVVEEGLRLRSRFTPEMEVEFVWALEDGAPPSVGPHGKITAIHDTTWMWRSVEIGWTGGSEMNKYANFWQVRLVGSDDFASAAPPPPLSPKRLKSSEDHLPPPKASASAAAAKASPSSSAQAQQFSITREMWRACAAPKSGRLPAVGSFVYYFPDGHAHQCPSRPPEPLPGRVFLCKVTAVRLDATRNELFATMSLIPVARDQAIQPQAPADPGPSSPQVQTTLVSFVKPLTCTDAVKNRYRFIVPKRETAMGVLPQLQLNEHVPLYIKDMHGKEWVINYTWKEYTHMLSSGWIKFANANRLVTGDNVVFMRSMDSGERYMGLRRTLKPEPVSVDEVIEAVWRAARLEPFEVTYLSRQDGDEFVVPCGIVHNALRAKFTPGMVVNFVWAVEEDRLPNVGPQGKVIAIENYATSIWRMIQVEWPSCAGMNRYVNFWQIREVLGESSFEASTCIVRSQDYSPAPQRNLVNALQLPDGTKQLQQNKKSVSSSSTFRLFGKKMTPGVPPRRDTSGLSGQVSLFSHYLPHDEDDGQVPTMRQRLETLFPDNI >ORUFI07G05160.2 pep chromosome:OR_W1943:7:4082465:4084680:1 gene:ORUFI07G05160 transcript:ORUFI07G05160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPLADDGDGIVDRAMWLACAAPNSGRLPAVGSVVFYFVDGHAAQFCQFPAPLLEQLAVPGPRVFLCTVAAVRLRADALTNEAYAEITLDPVADHDVPRLAPAPAPAPAAAAGGQQLRYFVKTLMISDFDFRIRFSAPMADAKGVFPPLVDAKAVQPLLVKDLHGSPMTFDYGRKGKRVTLAKVWKKFRDDMDFVDGDSVIFMRRRDDDDDDGELYVGVRRQRTLERPLRNTMRRYRPPTPPQAAVQEAVLAAAGHAAAGERFTVAYRSRQDGDEFVVPREAVEEGLRARLTSLAEVEFVWAVEDGAPPIVGPRGKVTAIATGQLWRNLEIVWDGNSEMDMSANFWQVRPVEEVDISPSTPPPKRLKNCEIDDTASTSVSVDNGDEQVPTMRQRLEALIPDNI >ORUFI07G05170.1 pep chromosome:OR_W1943:7:4089420:4092119:-1 gene:ORUFI07G05170 transcript:ORUFI07G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGAACRTTNPSRRLRRRQQPTGTRPTGSDRAAAASSVERSAAPEAPSSQSTEDEEPTGRARRPPSSSMESGGEATEAGGVGGGPLAPTSSPPPPHRPSRGRPARRRASFESGNRSAPLVRGGMDLEEGELVGEAELEVRKQRVDDMESWMVAVPAAPATPLPPHEPSTGAPDAARAAVVGEGDVVEAPVGDDVVPVHGEREPWLGEEGGAVEVRVAREAAEDVAQDGARGELPGVVEDGGESVVVVAVGLLTIQIRRLRPHSTTSGAAK >ORUFI07G05180.1 pep chromosome:OR_W1943:7:4089447:4089788:1 gene:ORUFI07G05180 transcript:ORUFI07G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKASDLYCEEADRDDDDGLAAILHDAWELPTRPVLRNVFRRLPRNTDFDGATFLSKPWLALAVDGHNVVADGRFHDIAFPHDRGARCVGSSRGWLVRGQGSRRRRRHRHHP >ORUFI07G05190.1 pep chromosome:OR_W1943:7:4089793:4090755:1 gene:ORUFI07G05190 transcript:ORUFI07G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRCFRTSSSASPTSSPSSRSMPPRTRGALRLPLSKEARLRAGLPLEETDAEMLQRVYKPDKENCPYITMVTLSCSPAGSNDDDCVALCVYRRGRCLAIARPGDALWTRVEVGWEYMVSTASTSAWSTSNGGFYAACYDGTQQCVVVPAAGGEVCGQAVQGILILVEIAVVARRRRLVFVGTESCLSPWDDDRYLCAFRWDHELRSFGGRALFLSAGTAFFADARILPWCAGDCIYHIDDESVLAGMNMTVRCYNMRSRKYRWPSGRHGPGTAVLRPESIGPTRPDQHQKHRPRHGLI >ORUFI07G05200.1 pep chromosome:OR_W1943:7:4094584:4095294:-1 gene:ORUFI07G05200 transcript:ORUFI07G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFDKHLPLYGIKHLLTINIALKHLIAPLEISCHRSTMINSVNMQFGGITIVLGGDFRQTLPTATNPECLDHSLPLMAKLFELELFATWLLFLGDGVVHDSAPADRPDTTWVKIPSYLLLPVEERNLAGLISFVYGSIPHVSQLPTYLCERAILAPTDEIAAAINTQIINHIATEEMSYYSFDSIDDATPNYRNVQLFLTNCN >ORUFI07G05210.1 pep chromosome:OR_W1943:7:4097333:4098619:-1 gene:ORUFI07G05210 transcript:ORUFI07G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPVRSLPSSRPRRKRTVAAVMPKRAASRRRGGPTTRARVGNKSSSAAPGSGYGGAVDGVLPPEMLHEVLLRLPAKPICRLRAVCHSWRSFTSDPLFAAAHAARHPHTALLLAVGVETSPTPRINLVDLSGNVVKHIPCGCTGKGRVMISQSSDDHVLLDGCDDHIIRILHPTTSSALDLPRRRTRGGDRVWLAFGRTSHTGEHKLLRIVESRDYSHVSEIITTSDTKPQWRKADNPPDYLDWSFTNGVVYRGAAYFLLSYFNRVAASSLIRTGCMPSFDLETEQWSMTLQGPTKTILHDANGTVNYTGLAGHLMLAQLKGTLSAAHWKDHVSIVDLWFLTDFDKGTWSKEYRINVDFVFFGTGVYVKVHPLVVTDEGEVVFWLQAGTKAIVQIYNPVTKISLDITETSIYAGVGVYTGSPLCLEGV >ORUFI07G05220.1 pep chromosome:OR_W1943:7:4105091:4106369:1 gene:ORUFI07G05220 transcript:ORUFI07G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPLPHPCWPRFVDGLRGEDDSGGHEYTSVSGIHVPSSSGVAFVSFGQCPQEVISTDLRLGLGPIAATAPLQPPPPPAAAAAAGSPSATTPEPGHGEATPTTSSSAQFQTQAQQVTRDMWMACAAPKSGRLPTVGSLVYYFPDGHAEQCLSRPQEPLPGRIFLCKVTDVRLGAAATNEALATISLVPIAADDHAFQLQAPADPDPAPAQSQSLVSFVKPLTYTDVTKNRFMVPKDDAAAGVLPHIQLNDDVPLRIKDLSGKEWAFNYTWKAHTRMFRNGWMEFSNANGLVTGDNAVFMRRGNGEMFMAVRRTRNRPAPFSVEEAIEAVWRAARREPFEVSYCSRQDGDEFVVPRDIVDDGLRARFAPGMAVNFVWAVEDGKLPTIGPQGEVISIENYATSIGA >ORUFI07G05230.1 pep chromosome:OR_W1943:7:4129517:4130902:1 gene:ORUFI07G05230 transcript:ORUFI07G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAKASDLYREETDRDDDDDDDDDVGLAVILPDAWELPRRRVLRNVFRRLPRNPDFDGATFLSKPWLALAVDGHNVVAEPQRLMPGLLLPEEMALDPASRAFLSLADGRFHDIAFPHARGARCVGSSRGWLVMLREGPEGVVGAAATATVHVIHPLLPHLEFRLPDEFSLFEIHAAADLEEHVVRLPLSKEARLRAGLPLAERLQRVYKPDEKNYPYITMVALSCSPAGSDDDDCVALCVYRCGRCLAIARPGDASWARVEVGWEYMEPTEYNRKFVSVVHLNGSFYAACYDGTVLRVTIPPAGSSSSSASTPPRVEKFADRPYRSKWSMCRSRWWLADDGAGSLVFIGTERCLNPSDDERYLSVFRWDAELRFWRRPKSFGGRALFLSAGTAFFADARILPWCAGDCIYFTDDESVVTGENVTVRCYDMRSRKLYFVEDAGAKVALAPPVWVMPFHE >ORUFI07G05240.1 pep chromosome:OR_W1943:7:4132639:4136916:-1 gene:ORUFI07G05240 transcript:ORUFI07G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTKAVATSSLSSTPSPGTPHLPLPRAAANLLLRGAPHQQRRPRSVRLTSPPMTTSMPDAATTTASLRLRLRLRRRRRLRLRRRRRAPPARSEPIMADVEVETEVAAGAQPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >ORUFI07G05240.2 pep chromosome:OR_W1943:7:4132639:4134360:-1 gene:ORUFI07G05240 transcript:ORUFI07G05240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSLSNFPPLADVEVETEVAAGAQPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >ORUFI07G05250.1 pep chromosome:OR_W1943:7:4139198:4140805:1 gene:ORUFI07G05250 transcript:ORUFI07G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDGAAVPLSSRPRARSSRHSPSSLPSEAIHGHRRFFPETAAISPPPQSMFRCHGGQGCPCASIISTKEAVAFQISLSGKLGRTLH >ORUFI07G05260.1 pep chromosome:OR_W1943:7:4143193:4144842:1 gene:ORUFI07G05260 transcript:ORUFI07G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILRSLGRSATTAGLFGRRCRLLSEAASDHQKIAKNSGHMKKGSASAMMQQERDAAESGVQSIGGDDLAPSPRLLFWGRIIRLSIITYASFKLGQNSARNAYKRTKAELPCLEAVDSTTA >ORUFI07G05270.1 pep chromosome:OR_W1943:7:4146004:4146318:1 gene:ORUFI07G05270 transcript:ORUFI07G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERFAKQCCGLGVRDWLGAQTVGVRNWLGHADRECGTESVSRGGCAHACGARDRTDGCSVAQNGGTGPKWYRSLKARNRASPVGLRFHVSDVRERVKVKANH >ORUFI07G05280.1 pep chromosome:OR_W1943:7:4149566:4149937:1 gene:ORUFI07G05280 transcript:ORUFI07G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRNSNDRFFIVNLQPPLAFVRHFAASPTVVQQELNGWVLAARRMEDLAWAQITFQFVPYIKNLEIKTTVTPRCASETFWDSNRMQTHH >ORUFI07G05290.1 pep chromosome:OR_W1943:7:4155257:4156702:1 gene:ORUFI07G05290 transcript:ORUFI07G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEVVPEVPVTEVEAAAAEEAVEETTAAEEKAAKPAKEKKKAGRPPKEKKEAKPAKEKKVKEAKAKKPRVAAAHPPYAEMIMEAIVALKERTGSSSQAIGKHIHANHGANLPPNFRKLLSGNLKKLTAAGKLAKVKNSFKLSSTRPAAPAATDAKPKAAPATKPKVKTTKAAKPAAKAKAPATTKAAKPATKTKIKVAAAPAAKPKASPKAKAKTATSPVKPRGRPAKSAKTSAKDSPAKKAAPVAAKKKAAATKKKASVAAAPAARKGAARKSMK >ORUFI07G05300.1 pep chromosome:OR_W1943:7:4157146:4171295:1 gene:ORUFI07G05300 transcript:ORUFI07G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPEGLFRDDSDEDDDNVQEREANKEMVVYLIDASPKMFTPATKADEKEETHFHTIVNCITHALKTQIIGRSYDEVAICFFNTKEKKNLQELAGVYVYNVTEREPLDRPDARLIKEFSCIEDSFMSNIGSRYGITSGSRENTLYNALWVAQALLRKGSVKTVSKRIVIFTNEDDPFGGLTGAVKTDMIRTTIQRARDAQDLGLSIELLPLSRPDEEFNMSLFYADLIGLEGDEIVDYLPSSGEKLEDMTNQLKKRMMKKRKVKTLAFAITNDVCIEVNTYALIRPTTPGAITWLDSISNLPLKAERSFICNDTGALIQDPQKRFQVYNDKIVKFSTRELSDVKRVSSHHLRLLGFKPLDYLKDYHNLRPSTFIYPSDEQIFGSTRVFVALHSSMRRLGRFALAFYGNPTRPQLVALIAQEEVTSAGGQIEPPGIHMIYLPYSDDVRYPEEVHLTSDDAPRATDEQIKKASNLLRRIDLKNFSVCQFSNPALQRHYGILEALALGEDEMPDVKDETLPDEEGLARPVVVKAVEEFKASVYGENYDQEEAEAAAAKAGASKKRKALTDAAAEKSAAHNWAELADTGKLKDMTVVDLKSYLSAHGLPVSGKKEALKGMSNVSSIVQQYRLCCSCNVSAR >ORUFI07G05300.2 pep chromosome:OR_W1943:7:4157146:4170816:1 gene:ORUFI07G05300 transcript:ORUFI07G05300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPEGLFRDDSDEDDDNVQEREANKEMVVYLIDASPKMFTPATKADEKEETHFHTIVNCITHALKTQIIGRSYDEVAICFFNTKEKKNLQELAGVYVYNVTEREPLDRPDARLIKEFSCIEDSFMSNIGSRYGITSGSRENTLYNALWVAQALLRKGSVKTVSKRIVIFTNEDDPFGGLTGAVKTDMIRTTIQRARDAQDLGLSIELLPLSRPDEEFNMSLFYADLIGLEGDEIVDYLPSSGEKLEDMTNQLKKRMMKKRKVKTLAFAITNDVCIEVNTYALIRPTTPGAITWLDSISNLPLKAERSFICNDTGALIQDPQKRFQVYNDKIVKFSTRELSDVKRVSSHHLRLLGFKPLDYLKDYHNLRPSTFIYPSDEQIFGSTRVFVALHSSMRRLGRFALAFYGNPTRPQLVALIAQEEVTSAGGQIEPPGIHMIYLPYSDDVRYPEEVHLTSDDAPRATDEQIKKASNLLRRIDLKNFSVCQFSNPALQRHYGILEALALGEDEMPDVKDETLPDEEGLARPVVVKAVEEFKASVYGENYDQEEAEAAAAKAGASKKRKALTDAAAEKSAAHNWAELADTGKLKDMTVVDLKSYLSAHGLPVSGKKEALVSRILTHLGK >ORUFI07G05310.1 pep chromosome:OR_W1943:7:4163042:4164316:-1 gene:ORUFI07G05310 transcript:ORUFI07G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPNGRRTAVTRSRQQVCKAMLFVYARNKSETKGSSSGATVKLAAQTHFIEHIFSVLDFLSVLYCGQTNKLFSASKRWVFHEEITIRS >ORUFI07G05320.1 pep chromosome:OR_W1943:7:4171375:4173747:-1 gene:ORUFI07G05320 transcript:ORUFI07G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALQQQSNGHGHGVLLLAEAGYAEVDPTGRYGRFNEILGKGSSKIVYRGFDEWRGVEVAWNQVRLRDVVRGGGELERFYGEVHLLAALRHRGIVRLHAYWVDAPRRALNFVTELFVSGTLRQYRERHRRVSAAAVRRWCAQILDGLAYLHAHSPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAFRRGGGHARCVGTPEFMAPEVYDESYDELADVYSFGMCVLEMVTLDYPYSECSNPIQIYKRVISGIKPAALYRVSDPVVRQFIERCLAPAARRPAARELLDDPFLLPLEDDGFFSGDGGDGHGGFGVGYYNLMYNYLHQPACIDDHHACSNGGLSPSNSVGDNDVDAAVQRGDDDGDNWLRDIHMLFDEDDDDAAAADANERVGGVDITIKGRRTDDGGVYLGLRIADKNGTGRGRIICFRFDTEADTAMTVAAEMVAELDITDHEVTRIAQLIDGKVAALVPGWRPGPATDDDDDDDLVGGGDDPDAPGGAAAACCKNCRPAASSSSSCGSLVDFMSSAAAAERHGCRRCAELHGRFEEITFQADDDEEEQHLQGSSSDTGGSNHEQHAMGKDKEVMNINGIAQDGTYDDDEHPKIEQFDADRRYGSAMFTTIDGFLDLSNGASRCRHHDHDHGSSKDS >ORUFI07G05320.2 pep chromosome:OR_W1943:7:4171375:4172736:-1 gene:ORUFI07G05320 transcript:ORUFI07G05320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSDQGIKPAALYRVSDPVVRQFIERCLAPAARRPAARELLDDPFLLPLEDDGFFSGDGGDGHGGFGVGYYNLMYNYLHQPACIDDHHACSNGGLSPSNSVGDNDVDAAVQRGDDDGDNWLRDIHMLFDEDDDDAAAADANERVGGVDITIKGRRTDDGGVYLGLRIADKNGTGRGRIICFRFDTEADTAMTVAAEMVAELDITDHEVTRIAQLIDGKVAALVPGWRPGPATDDDDDDDLVGGGDDPDAPGGAAAACCKNCRPAASSSSSCGSLVDFMSSAAAAERHGCRRCAELHGRFEEITFQADDDEEEQHLQGSSSDTGGSNHEQHAMGKDKEVMNINGIAQDGTYDDDEHPKIEQFDADRRYGSAMFTTIDGFLDLSNGASRCRHHDHDHGSSKDS >ORUFI07G05320.3 pep chromosome:OR_W1943:7:4172790:4173747:-1 gene:ORUFI07G05320 transcript:ORUFI07G05320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGALQQQSNGHGHGVLLLAEAGYAEVDPTGRYGRFNEILGKGSSKIVYRGFDEWRGVEVAWNQVRLRDVVRGGGELERFYGEVHLLAALRHRGIVRLHAYWVDAPRRALNFVTELFVSGTLRQYRERHRRVSAAAVRRWCAQILDGLAYLHAHSPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAFRRGGGHARCVGTPEFMAPEVYDESYDELADVYSFGMCVLEMVTLDYPYSECSNPIQIYKRVISV >ORUFI07G05330.1 pep chromosome:OR_W1943:7:4175686:4179536:-1 gene:ORUFI07G05330 transcript:ORUFI07G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWYEEAVGLLRRPAVAEMAVDVLLCAVPIWAAVMIGLVIGWARGKHQRKDAALADDDDAADASGDAGDCVDGRTFFEGGHHVVTEKDLEHLVQLLDNKESGDTTWQHLMERTTSNMTYKAWRREPEVGPIMYCSRTIFEDATPELVRDFFWDDEFRLKWDPMLAYFKILEEFPQNGTMIIHWIKKFPFFCSDREYIFGRRIWESGKTYYCVTKGVPYPALPKKEKPRRVELYFSSWRIRAVQSPKQDGQQSACEVTLVHYEDMGIPKDVAKVGVRHGMWGAVKKFQSGFRAYQQMRDTENTLSRSAIMARVTTKTSIASSSCPLDQEPSNAAKTIDESENSRAVQPGFDWKWVVFGGAVAAVCVLNTGLVGKALLIGAASRRQAKK >ORUFI07G05330.2 pep chromosome:OR_W1943:7:4175451:4179536:-1 gene:ORUFI07G05330 transcript:ORUFI07G05330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWYEEAVGLLRRPAVAEMAVDVLLCAVPIWAAVMIGLVIGWAWRPRWTGLLYLGFRSRLRLLYVPPGLGARRLWLACTALSAFSVAPRLLSTAFGSRGKHQRKDAALADDDDAADASGDAGDCVDGRTFFEGGHHVVTEKDLEHLVQLLDNKESGDTTWQHLMERTTSNMTYKAWRREPEVGPIMYCSRTIFEDATPELVRDFFWDDEFRLKWDPMLAYFKILEEFPQNGTMIIHWIKKFPFFCSDREYIFGRRIWESGKTYYCVTKGVPYPALPKKEKPRRVELYFSSWRIRAVQSPKQDGQQSACEVTLVHYEDMGIPKDVAKVGVRHGMWVSLSHMCIVTIT >ORUFI07G05330.3 pep chromosome:OR_W1943:7:4175686:4179536:-1 gene:ORUFI07G05330 transcript:ORUFI07G05330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWYEEAVGLLRRPAVAEMAVDVLLCAVPIWAAVMIGLVIGWAWRPRWTGLLYLGFRSRLRLLYVPPGLGARRLWLACTALSAFSVAPRLLSTAFGSRGKHQRKDAALADDDDAADASGDAGDCVDGRTFFEGGHHVVTEKDLEHLVQLLDNKESGDTTWQHLMERTTSNMTYKAWRREPEVGPIMYCSRTIFEDATPELVRDFFWDDEFRLKWDPMLAYFKILEEFPQNGTMIIHWIKKFPFFCSDREYIFGRRIWESGKTYYCVTKGVPYPALPKKEKPRRVELYFSSWRIRAVQSPKQDGQQSACEVTLVHYEDMGIPKDVAKVGVRHGMWGAVKKFQSGFRAYQQMRDTENTLSRSAIMARVTTKTSIASSSCPLDQEPSNAAKTIDESENSRAVQPGFDWKWVVFGGAVAAVCVLNTGLVGKALLIGAASRRQAKK >ORUFI07G05340.1 pep chromosome:OR_W1943:7:4185092:4185619:-1 gene:ORUFI07G05340 transcript:ORUFI07G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVLTSPCLRPPPLLRRAFPSPATRLLPPQTLALRPLPLPRGLRSSPPPPRAAAEAAASAVGGLLAPLSTLEVGLRSVNLAPLRAPVAAAMSAVVRWLGVYREVLLVGVLFSWFPNIPWDRQPFSALRDLCDPFLALCREVMPPVFGRKLDLSPLIAFMAIDIIIMILRPQPRM >ORUFI07G05350.1 pep chromosome:OR_W1943:7:4190248:4190650:-1 gene:ORUFI07G05350 transcript:ORUFI07G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRLQIDSPTDTSPPPPRLIAKCPARTPEAHLSYNLVECGLEIQIWLPDLMLGMVVLVSTIGCNSLFLGKRNLCVNSSAFLTIVSDTIVFYHHMEFCLAQYNLGIGPVLSLIRFG >ORUFI07G05360.1 pep chromosome:OR_W1943:7:4191150:4191608:-1 gene:ORUFI07G05360 transcript:ORUFI07G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTRSRNGEQQQAPGCLDPVRRQQQEHAPAADGRSAASAVAATRDDSSWASLPEDLVSHHLPARPCRRRASCAGFVSFFNLSTGGAIAREKTDNSLYTLQQPPSSASSTPFTGGIAEFPPLDT >ORUFI07G05370.1 pep chromosome:OR_W1943:7:4191343:4191621:1 gene:ORUFI07G05370 transcript:ORUFI07G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVERLKKLTNPAQLARRRHGRAGRWWLTRSSGRDAHDESSRVAATAEAADRPSAAGACSCCCLRTGSRQPGACCCSPLRDLVGDGMPRA >ORUFI07G05380.1 pep chromosome:OR_W1943:7:4202175:4208517:1 gene:ORUFI07G05380 transcript:ORUFI07G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDDESDCVVICPPNGKAGHTEIMSGRHDEDSSRGQETPSTIDSHMNGNVQDGVPADQDVLKLVDQQKSSLPSSPINHGIAEQEESNHTVPQPFAPATEREDSGEGDCTPVPHPTSNGEKHSDKSSTSLASMAKKSPSVTPRKPLQADTRTGKIKKTTVPVAPTFICGNRLEKRGEFYTKLEEKRKALEEEKLEAEARKKVPPTRAKSPKLTRRKSCSDTPHTPEGKNGSAACCRLHRHSIGNSKEVNSRTQCSPKSAPKTGVAAKPRATKGVMKNVGKPGAANVAVQT >ORUFI07G05390.1 pep chromosome:OR_W1943:7:4212511:4212753:1 gene:ORUFI07G05390 transcript:ORUFI07G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTAVVAAIVAVILLVFLVSGGMAARPMVNMEKTTAVPVVRKSGVVVESWTMESSSLPSGCTNGNGAGGYCRPPAPAGH >ORUFI07G05400.1 pep chromosome:OR_W1943:7:4216927:4217139:1 gene:ORUFI07G05400 transcript:ORUFI07G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAELCDALAAAGFDGDGPLDLDSLEWPFLQGDDARRLLAWVSSRLRPANVLSATDPPPPTKFSLIVAF >ORUFI07G05410.1 pep chromosome:OR_W1943:7:4217206:4224791:1 gene:ORUFI07G05410 transcript:ORUFI07G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVWYEQLELEGKLLEGEDLDFAFDSISAFSETGENQEDTFLTEESLEHIRDSKLTLRAEVSDLERQLASLEWQLDMLTAQATTITQGKKSRVSAKTNPNIQISRLDEKLAKRSLEQMNSLLGKLAATTQELSYYHSEADIGIYLSYSDFQSYIIQNLACIKELNRWFSKKFEKGPLQFVAKEDMSRGDYEGSHHLSVELKRINSISIRFARSKRQYIEAQAEYAKEEAILSTLRTQLASQQSLVHQDVHSLRRRNSEFAEELRDLSLQVKRCLSEIITSLCADLAQLEGANILQGDHNLKVLRQECYISQQKRFINYLVNQLAAHQFLKIACQIEGRAKMSSAYSLLKAAAMELQGYFSVVDGRLDRYHLIGQAASVMLEEGSIDDRDTFLHAVRDILSTHSGAQAMTPSYVSAYGLVEQISDLQNELEYLHHELENVLPRERKRCIDELCRMIQTLEQILSVPFTYVQPTLTPWPVAQSLEELEIINQQVSACVNEVTMARDKKAKMLQQPSRNVQQERRVFVDFFCHPGRLENQVREMSSHVRALQE >ORUFI07G05420.1 pep chromosome:OR_W1943:7:4228571:4231672:1 gene:ORUFI07G05420 transcript:ORUFI07G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHEERAVVAKISRLLPPRRVAAEQGRGGDLHLASRAGERIMDREAKKEAFRKYLESSGVLDTLTKVLVALYEENDKPSSAVEFVQQKLGGPSISDYEKLKAEKLDLQLKYNELLETHKETCRQLDELKNSKNGSGNNTC >ORUFI07G05430.1 pep chromosome:OR_W1943:7:4239405:4239647:-1 gene:ORUFI07G05430 transcript:ORUFI07G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYVELLDMGVRIAARFHSHCPQTARMYYHPPPSSSSSPSSSAAAGSADGGGGGCAGFAAKRMPRAAADTAEIILYAVV >ORUFI07G05440.1 pep chromosome:OR_W1943:7:4246100:4247649:-1 gene:ORUFI07G05440 transcript:ORUFI07G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGVVIACHNKDEFDAQMTKAKEAGKVVIIDFTASWCGPCRFIAPVFAEYAKKFPGAVFLKVDVDELKEVAEKYNVEAMPTFLFIKDGAEADKVVGARKDDLQNTIVKHVGATAASASA >ORUFI07G05450.1 pep chromosome:OR_W1943:7:4257005:4257481:1 gene:ORUFI07G05450 transcript:ORUFI07G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSATSDDLVFLDGKEHSIHLLDPTTGSISALPTTESMSALPHYGDVSTDCSMLLAWFAFGQIVKTLRDRYVSEVITVSRRGVGGQQRRKVENPPVQLDCFCTDGVVFKGAAYFNFDILQCSPSVLEAGCLPSFDLAMEQWSMTL >ORUFI07G05460.1 pep chromosome:OR_W1943:7:4257551:4260544:1 gene:ORUFI07G05460 transcript:ORUFI07G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGIKDTLCTAHWDYHFYVVDLWFLTDSEKGTWSKEYRINVDPSFYGIGDCVKVHPLLVTDEGNVVLWLQMPSEGIVQIYNPVTNTFWDITQTSIYTGVDGWIRDWFLYSDMIYFTLQHLVILREGWTLFYVKAFSRLDDRNCPLNLKTVAERITEKCQGLPLALVAIGSLLSYKEMEEHEKGVVL >ORUFI07G05470.1 pep chromosome:OR_W1943:7:4260820:4261572:1 gene:ORUFI07G05470 transcript:ORUFI07G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYGRKAKEIPEAQMHDLVREIALTISKKEKIATIWDCPNSDGITNGSQKCQLFYLHYLNFGYTKLKDIPRLIGKLSNLQMLYLNGSVLELPSETTMLTKLHHLLVDGRFGMSASSKISQLQHLQSLRSIEANSYMKIAIDIFLIYGQLETSISPGEANDKWQDT >ORUFI07G05480.1 pep chromosome:OR_W1943:7:4264600:4267113:-1 gene:ORUFI07G05480 transcript:ORUFI07G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLYVLLLLSGLLLSSLHTPPCSAAIADGDTLMVGQALSVGEKLVSRNGKFALGFFQPQPTAGISKSINTTTNTLPGWYLGIWFNKIQVFTTAWVANRENPITGPELKQAQLKISRDGNLAIVLNNNNTSSESIIWSSTHTIVNRTTGSSSTNTSALLMNNGNLLLMASSNVVLWQSFDYPADVGLPGAKLGRNKITGLNRRFVAKKSLIDMGLGSYILEMDTNTVLRLRRRKPPVVVYWSWSSGQLAYTLVPLLNELLDMDPRTKGLLKPAYVHNNEEEYFTYTSLDESASVFVSIDITGQVKLNVWSQPKMSWQTIYAEPSDPCSLHDVCGPFTVCNGNSVPFCGCMESFSPKSPQDWDASDPIGGCIRDTPLDCASGKQNNTSSTDMFHPIAPVTLPLYPQSMEDASTQSDCEEACLHDCACTAYTYNGNRCSIWHGELRSVNQNDGIDNHSENVLYLRLAARDSQSLRKNNKRRPRVVAIVSIVVSFGLLMLMLLLTIWINKSKWCGVPLYGSQGNDGGIIAFRYTGLVRATKCFSEKLGGGGFGSVFKGMLGDQTAIAVKRLDGARQGEKQFRAEVSSIGMTQHINLIKLIGFCCEGDKRLLVYERMLNGSLDAHLFQSNATVLNWSTRYQIAIGVARGLCYLHQSCRECIIHCDIKPENILLNESFVPKIADFGMAAIVGRDFSRVLTTFRGTVGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNSPKVSASNSYHGAYFPVRAINKLHVGDVHSLMDPRLHDDFSLEEAERVCKVACWCIQEIESDRPTMGEVVRAIEGLHELDMPPMPRLLAAIIEHSDVASI >ORUFI07G05490.1 pep chromosome:OR_W1943:7:4268230:4269000:-1 gene:ORUFI07G05490 transcript:ORUFI07G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKLWTAQRRGQQLNGRAPLMPWPSLQSSLMPWQRGKDRQPLERDVALALAAEGPGDACSATEQPDPLGSERSTDASSPVEDLAGVRERRPRTSEEIRCKSELVNYRVDYPPPVLHNGKIGSKGSYYPLVIHIVDAISGKDRQLQAFKMMLVGIKHSCDEQRVQSSSILLFKNC >ORUFI07G05500.1 pep chromosome:OR_W1943:7:4276842:4284876:1 gene:ORUFI07G05500 transcript:ORUFI07G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRPPKRILESYTIKGSDKVIKPGDCVLMRASDTSKPPYVARVEAIEAAGSRGTNVRVRVRWYYRPEESMGGRRPFHGAKEVFLSDHYDVQSADTIEGKCNVHSFRSYTKLDSVNAEDFFCRFEYKSATGSFVPDRIAVFHPSCIGMTIKDAKKLEHFFCQSCTAENGKMAENSHEATAQSEEKVESKRRRR >ORUFI07G05510.1 pep chromosome:OR_W1943:7:4289224:4291944:1 gene:ORUFI07G05510 transcript:ORUFI07G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALVIAVVQKISSALAEEGSKILASKLKKQAPDLLEVTNKMRLLQSDFSMLQAFIAQVAVDRSNDMVLEAWMEQVRLAAHEAEDIVDEYTYLVGQTEGTGSFLKKAFNQAIEVKKWRKLSAQAKLVEDRLQKISEAKNRFDISFASSGRENTASYPSRHHHLSEYSYLNDDDDLVGNAEEMKRLIEWLCDAKKDRSVISICGMGGLGKTTLASSIYKKEEIKRTFICRAWITVSQNHGVKNLLKKILVQLMSKTENIMDGADTMDCVSLVEQLQRYLKGRRYLIVLDDVWSREAWPLLDNAFVKNNNGSRVVITTRIETVASLADANYELKLTLLPKQEAWTLFCQKAFSRLDDRSCPHNLKTVAERIVEKCQGLPLALVAIGSLLSYKEMDEHEWELFYNQLRWQLSNNPELSWVASVLNLSYNDLPSYLKNCFLYCGLFPEDYRIERKRLIRLWIAEGFVQDRGPETTLTDVAACYLKELASRSLLQVVNRNEYGRPKRFQMHDLVREISLTISKKEKFATTWDCPNSDGVTDGSRRVSLQKDGNLVQAAKCSSQLRSMLMFTEEISLSWFTDCYQSFRLLRVLCLRNCNVHKVPDSVSQLFNLHYLDLGYTKLKEIPSSIGKLSNLQTLYLNGSVLELPSETTMLTKLHHLLIDVGRFGKSASSKISCLEHLQTLRSIEANSYIVKNLGCLTRMRSVGIMKVLESHNTDLWTSISKMTSLNSLSVLAEDRDRYALDLGNLKPLSHLEKLMISGRLHKGAIPPVFASFTKLRSLSLCFSGLHEDPLASFAAMFQNLGHLNLYRCFDGAKLTFRAGWFPNLKHLYLSSMNELREVEVEDGAMRSLWRLELWSLKSLTSVPQGFVHLRSLQQLCIGSLMPEEFHRRLEGIDRWIVRHIPYIGDP >ORUFI07G05520.1 pep chromosome:OR_W1943:7:4311506:4313741:1 gene:ORUFI07G05520 transcript:ORUFI07G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNSPRLCVVISFFVSFGNQTKLNEAGAGQARAAATATSSGNKALDIEAAAAGDHQVARDDDDDDADAKRVSKSVQTVSLFAASASLLLFANLTAAGKAPTTTPPPPRLPAGGALYSVNLALICLGLLTSLALSIFSILAPAARKLAVTKVQKRGMVMAVAFVLVSFLLRISMMLPAASLEWVFLLIFLVFACAEAAYLSLLC >ORUFI07G05530.1 pep chromosome:OR_W1943:7:4317435:4319529:-1 gene:ORUFI07G05530 transcript:ORUFI07G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSVTNGRNIYDGCYLLDVQHVHPFNGNGVDMTPTKCLTLGPSSITTRPAVESSLAAPEHVFPATMNSSTPSTTAAAIATPSPSIKTNKAEGDMAQVEMKPKDTFQELCAKIIATLNNMLVTCRNIKLESITSVGITRVVTAKSTNTKSVPNTLEVSDEANSISLVGTNEFYMMTTTKCLTKGNEQMINDDDDDMATEDLVELTKVNSKFTLLQTGSSPPVSPWRAAIPWYKAEMTLGYRPLPWHDPWLSQDSGSVVMTKLLHPQQPPSQAEVKAEVGALQLFGCEASKTLISERTCCIELRPWPPPYFLLNEVIKEVLEICHQVNMNGISSYVWENIQGLLMLDDQVFQSSTQWQSAMYKEMNCLELLIGLELLRGQIHSCWREMAQLKIPWTPPLNACVVTLLAHARESFSCKCKIKGSYTIVGLWKHEFWQPTVKTSDWYTKSCTYSWVSLNSKLINLNEVIPVDMLQLPTSDEEFVIWPRPIGWFATSNQFANLWLGYSSYHLVRVTTKIVSLKKSWLREIVEEHSKPGPQDKHWKGRITSFGSHCCLVILIHYAPCN >ORUFI07G05540.1 pep chromosome:OR_W1943:7:4319575:4319817:-1 gene:ORUFI07G05540 transcript:ORUFI07G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTRDGRGDLWERDLSHGSVGASGARQVFGEMPSWLGGGAGATLLTGEVLHQIYNTYGAVVVQVLTTSS >ORUFI07G05550.1 pep chromosome:OR_W1943:7:4321781:4331403:1 gene:ORUFI07G05550 transcript:ORUFI07G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAAGLLRRHLGPLAAGETLQARGMYPKQYGAANHAFSRFYSIQGQQRSLYGFRTNVETDDTQQSARMNFEVQKRSFSSAAAHVQRNPAYSVLNSDDVSYFKSILGDSGVVQDEDRVSVANMDWMGKYKGSSQLLLLPKSTAEVSKILSYCNSRRLAVVPQGGNTGLVGGSVPVYDEVNGILTCEAGCVLENLSSYVENKGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGSVLGLEVVLADGTVLDMLTTLRKDNTGYDLKHLFIGSEGSLGIVTKIAILTPAKLPSTNVAFLSCNDYISCQKLLLAARRSLGEILSAFEFMDRHCINLAMKYVEGVHNPLPVSPYNFYVLIETTGSDESYDKAKLEAFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEEMRSRVGDMGQVLGYGHLGDGNLHLNILSTKYSDKMLAQIEPFVYEWTSKQRGSISAEHGLGLMKAEKIHYSKSSEAVQLMASIKKLLDPNSILNPYKVLPQSVL >ORUFI07G05560.1 pep chromosome:OR_W1943:7:4328576:4331210:-1 gene:ORUFI07G05560 transcript:ORUFI07G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEVGRIFVGGLSWDTTERTLERAFSEYGKVIETQVVLERDTGRSRGFGFVTFSEPRAVDAAIRGMHNGELDGRTISVNKAQPRMNTDDGYGYGGGGTYSSGARGGYRGGGDAVPSANDDCFKCGRAGHWARECPYSGGGGGGRTGRYSPPSRYGSGTGGGRGDRFGGSDRFANRYVDDRYDGGRYVDDRYGGGGRDRYATDRYPPTADRFTGDRYGGSDRYASSGFTRERSYERDGGRSGGSYYRDEPRGSGGYDRGGMRMGSGDRYGTGGPARFAGSYRDRPAPYDRPSRAAARTYDDRY >ORUFI07G05570.1 pep chromosome:OR_W1943:7:4332357:4335964:-1 gene:ORUFI07G05570 transcript:ORUFI07G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALNTPTPPLRLRPACRVASQCGGRPSTRRRGAGGGPRRAVPQPPVRRPSGDRACTPWRGGVGAAAPAPVIPVAAAGARDELEAFLEVVPARMRRGLARHPEVRELVEVVMDLGRRPLARFPSGDWVISEQAVTADDLHQAVSKVGDFSEDNRSGINHSLHRISAIRNRKAHIIGLTCRVGRAISGSAEMIRDLVVGGGSILVIGPPGVGKTTLISLRCFQQYREIARILADEGKKRVIIVDTSNEIGGDGDVPHSGIGRSRRMQVPKVSMQHNVMIEAVENHMPEVIVIDEIGTELEAMAASTIAQRGVQLVGTAHGVTIESIIKNPCLQVLVGGIESVTLGDEEAKKRKVQKTILERKGPPTFSCAVEMISKTECRVHHKLEATVDAILAGKPPKFEARKMDYKATESGRSLVIPEREYETEPLPSYQEHHFAKAMPSEDNFDDDFSTRRTESKSVPSNDNFNDDFGSTRKTKGKTSVPGKSPVHVYTYQISEADILQVATVMGFDDELDVTDDIGAANVILASSSEMKQNHWIRNVAKYHKLPIFVVKTNTMAQIVKAVRMIVGRDKLNAPTRKQPKVVVGEIEIEDDAPKRKPSLEEIDALEEARLAIEYIVIPGGEPVELLPRCSEIVARQLELVESYQLLAETFGTDSNSRLQILPVKITKKSSSKGSAGSMPKQGSSDLIVSDNGGGSSFSRLPFLPK >ORUFI07G05580.1 pep chromosome:OR_W1943:7:4344156:4344420:-1 gene:ORUFI07G05580 transcript:ORUFI07G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCHGPWVKAWDIPNNFTRVIFVLLFGASAVLVFTGAMPFIFIQYAEVVSRVTLIVGCF >ORUFI07G05590.1 pep chromosome:OR_W1943:7:4348596:4353910:1 gene:ORUFI07G05590 transcript:ORUFI07G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGEGKVACAAWIRRREEKEKATRVFAAYGRAGSPPAVEVLGFDSKECSLSEPLARAELGEEPGDAPRGITVHPSGDELVCATAKGCRLFKLIFEEFTVRLISRDAPPLESVGPQKCLAFSTDGSKFAIGGEDGHLRIFHWPSMNVLLDEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWKIDEGVPLVNLTRSADEKIECCRFSRDGMKPFLFCTVAKGNKVVTVVWNISDWSRIGYKRLLGKPISTLSVSMDGKYLALGSHDGDFCAVDVKKMDVSHWSKKVHLGSPVSSIEFCPTERASTRKKNWREEEAMHRREEEATSEAALAVISTSHQWGAELTKLNVPADWKEWQVWLILLSLFLVSAILFYMFYERSDSFWNFPMGQHQPAKPWSVMKESPPVPEDQNPW >ORUFI07G05590.2 pep chromosome:OR_W1943:7:4348596:4353910:1 gene:ORUFI07G05590 transcript:ORUFI07G05590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGEGKVACAAWIRRREEKEKATRVFAAYGRAGSPPAVEVLGFDSKECSLSEPLARAELGEEPGDAPRGITVHPSGDELVCATAKGCRLFKLIFEEFTVRLISRDAPPLESVGPQKCLAFSTDGSKFAIGGEDGHLRIFHWPSMNVLLDEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWKIDEGVPLVNLTRSADEKIECCRFSRDGMKPFLFCTVAKGNKVVTVVWNISDWSRIGYKRLLGKPISTLSVSMDGKYLALGSHDGDFCAVDVKKMDVSHWSKKVHLGSPVSSIEFCPTERAVISTSHQWGAELTKLNVPADWKEWQVWLILLSLFLVSAILFYMFYERSDSFWNFPMGQHQPAKPWSVMKESPPVPEDQNPW >ORUFI07G05590.3 pep chromosome:OR_W1943:7:4348596:4352172:1 gene:ORUFI07G05590 transcript:ORUFI07G05590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGEGKVACAAWIRRREEKEKATRVFAAYGRAGSPPAVEVLGFDSKECSLSEPLARAELGEEPGDAPRGITVHPSGDELVCATAKGCRLFKLIFEEFTVRLISRDAPPLESVGPQKCLAFSTDGSKFAIGGEDGHLRIFHWPSMNVLLDEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWKIDEGVPLVNLTRSADEKIECCRFSRDGMKPFLFCTVAKGNKVVTVVWNISDWSRIGYKRLLGKPISTLSVSMDGKYLALGSHDGDFCAVDVKKMDVSHWSKKVHLGSPVSSIEFCPTERRHNVRLMVVKASLWRRYSTRACRGVKASLRLKGLTP >ORUFI07G05590.4 pep chromosome:OR_W1943:7:4352592:4353910:1 gene:ORUFI07G05590 transcript:ORUFI07G05590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAVISTSHQWGAELTKLNVPADWKEWQVWLILLSLFLVSAILFYMFYERSDSFWNFPMGQHQPAKPWSVMKESPPVPEDQNPW >ORUFI07G05600.1 pep chromosome:OR_W1943:7:4363466:4365607:1 gene:ORUFI07G05600 transcript:ORUFI07G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDAGTGSSGGGSPAAPSPPPPWQNPLRVILTAAFARQVAVGRWFTVFASLLILTASGATYIFGIYSPALKASLGYDQHTLNTVSFFKDLGANLGVLSGLINEVTPPWVVLAIGAAMNLSGYLMVYLAVAGRTAAPPVWLVCLYVFVGANSQSFANTGALVTCVKNFPESRGVVLGILKGFVGLSGAVYTQLYLAFYGDDAKSLILLIAWLPAAVSVVFVHTVRIMPYPRRRGGQETSVDPFFCFLYISIGLAAYLLVMIVVQRQFAFSRTAYSCAAAALLIVLFLPLCVVIKQEFKIHRERLELAAAAPPPHTITVLEMSKETERSPRPSSPAPAETSWVKGMFRPPARGEDYTILQALVSVDMAVLFVATICGVGGTLTAIDNMGQIGQSLGYPARSTNTFVSLISIWNYAGRVAAGFASEAFVERWRLPRPLVLTGILLLACAGHLLIALGVPRALYAASVIIGFCFGAQWPLVFAIISEVFGLKYYSTLYNFGGMASPVGSYILNVLVAGRLYDAEAGRQPGAGLAAGAGRDKVCLGVDCFKKSFLIITAATVFGALVSLVLVWRTWRFYKGDIYARFRDGDGAVAGEGGDGRLPVDQRRGAPPPGGGGEEEEEVGVVGRLTWSMMTSSAVTGDGFYLLWLWLLTWNGNYSIYRRSGKAQHESGCKFGFTKGNANISFLLICFGNFRFGEIASNVNMSTEYMYCIRNL >ORUFI07G05610.1 pep chromosome:OR_W1943:7:4369302:4378587:-1 gene:ORUFI07G05610 transcript:ORUFI07G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGDGLVGGGRGPLGGRDGRGRGPAGGRGGGRGGGHPQQQQQQQPGYGRGDGGGRGPAPAAGGVVGRGTGGGGGGGRGDGGRGRGRGGGGGDGVRPAMAAAPAASTPGPVAVAARSTPPPTPAVQIPAVASSSSAQPAAAAQPPPAAAAVSALARDVGRQLAVVAGGGRPAPPAAPPAPIPVSSKGVAPPSRPGFGTVGERIVVRANHFLVRVSDNDMIYLYDVSLSPPPKTRRINRVVMSELARLHRESHLGGISFAYDGSKALYTAGKLPFDSMDFKIKLGKELREIEYKVTIRRAGQADLHHLHEFIAGRQRDSQQQTIQALDVVLRESPSLNYVIVSRSFYSTMFGRQDIGDGLECWKGYYQSLRPTQMGLSLNIDISSTPFFKPISVVEYVKNCLGTPTNANGPDPRRPLSDIDRLKVKKALRGVRVETTHQGKSSKYKITTITSEPLSQLNFSMDGTTQTVIQYFSQRYKYRLQYTSWPCLQSGNPSNPIYLPMEVCTIVEGQRYSKKLNDKQMVQHNNYPADKVVSDFRINISNQMATMPARVLPAPTLRYHDSGKEKTCNPRVGQWNMINKKMVGGAVVQKWTCVNFSRMHIDAVHRLCGELVYTCNAIGMVFNEMPEIEVGSAAPNNIEAALSNIHTRAPQLQLLIVILPDVNGYYGRIKRVCETELGIVSQCLKPGRKLLSLDRQFLENVSLKINVKAGGRNSVLQRPLVPGGLENTTIIFGADVTHPASGEDSSASIAAVVASMDWPEITKYKALVSAQPPRQEIIQDLFTMTEVAQNADAPAQKAEGSKKNFICGGMFRELLMSFYSKNAKRKPQRIIFYRDGVSDGQFLHVLLYEMDAIKKAIASLDPAYRPLVTFVVVQKRHHTRLFPEVHGRQDLTDRSGNVRPGTVVDTNICHPSEFDFYLCSHAGIQGTSRPTHYHVLHDENRFSADQLQMLTYNLCYTYARCTRSVSVAYYAHLAAFRARYYDEPPAMDGASSVGSGGNQAAAGGQPPAVRRLPQIKENVKDVMFYC >ORUFI07G05620.1 pep chromosome:OR_W1943:7:4383995:4384309:1 gene:ORUFI07G05620 transcript:ORUFI07G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRVRLICSAVSLALYTAFLAFYLLCATGRSFRGVVLGPGAGGVPPHVELAWKLANWVAVLLCCVVYAYLVSSIVVSCRRSGKPAAAPAGLPPPPVQMDIC >ORUFI07G05630.1 pep chromosome:OR_W1943:7:4387114:4392448:1 gene:ORUFI07G05630 transcript:ORUFI07G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKRAEGIKNLLLKILFPLTFPLAGSFIFDLITDRANRDSDIDLSDSPTELDPSYGSSSIREEEEEEMDSIHRAPRKLVQTENPCSTGRIVSSEFSHTEEIMVAQASDSSSEVSVNRVQDDQRMMMEDVDSLKRMVSALEEQAASIESQFHDYCDMKEQESTYQKMQIMCLGMKLEQLESQNQRLEAAAAEIRASAEEFATMRARFDAMQSKSKKIWKKNKQDLDAIDERVLALDAREAEMATRCQGFEQFMEEMKQLTLQLQKEKGTDNENVEVIVERSMRKLGSSGRDVLDGLEALRDRWAADMEEMIYLGWITAWLQHDLLVLDGGEVGTPAAIIGDETPAQPRHKGEKVVVAVAPSNEVELCKAASASSSSSSEMRGAAEPSCMMGFVAGGCRSIGRPRLLRRLRGWAGGKGGSSRRQCKIEFPTSPM >ORUFI07G05630.2 pep chromosome:OR_W1943:7:4387314:4392448:1 gene:ORUFI07G05630 transcript:ORUFI07G05630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKRAEGIKNLLLKILFPLTFPLAGSFIFDLITDRANRDSDIDLSDSPTELDPSYGSSSIREEEEEEMDSIHRAPRKLVQTENPCSTDDQRMMMEDVDSLKRMVSALEEQAASIESQFHDYCDMKEQESTYQKMQIMCLGMKLEQLESQNQRLEAAAAEIRASAEEFATMRARFDAMQSKSKKIWKKNKQDLDAIDERVLALDAREAEMATRCQGFEQFMEEMKQLTLQLQKEKGTDNENVEVIVERSMRKLGSSGRDVLDGLEALRDRWAADMEEMIYLGWITAWLQHDLLVLDGGEVGTPAAIIGDETPAQPRHKGEKVVVAVAPSNEVELCKAASASSSSSSEMRGAAEPSCMMGFVAGGCRSIGRPRLLRRLRGWAGGKGGSSRRQCKIEFPTSPM >ORUFI07G05630.3 pep chromosome:OR_W1943:7:4387314:4392448:1 gene:ORUFI07G05630 transcript:ORUFI07G05630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESKRAEGIKNLLLKILFPLTFPLAGSFIFDLITDRANRDSDIDLSDSPTELDPSYGSSSIREEEEEEMDSIHRAPRKLVQTENPCSTVNRVQDDQRMMMEDVDSLKRMVSALEEQAASIESQFHDYCDMKEQESTYQKMQIMCLGMKLEQLESQNQRLEAAAAEIRASAEEFATMRARFDAMQSKSKKIWKKNKQDLDAIDERVLALDAREAEMATRCQGFEQFMEEMKQLTLQLQKEKGTDNENVEVIVERSMRKLGSSGRDVLDGLEALRDRWAADMEEMIYLGWITAWLQHDLLVLDGGEVGTPAAIIGDETPAQPRHKGEKVVVAVAPSNEVELCKAASASSSSSSEMRGAAEPSCMMGFVAGGCRSIGRPRLLRRLRGWAGGKGGSSRRQCKIEFPTSPM >ORUFI07G05630.4 pep chromosome:OR_W1943:7:4387090:4390306:1 gene:ORUFI07G05630 transcript:ORUFI07G05630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLSARLALPLPPPPLPLLLRRRLPRRDHPAAMARLPPRRHRCRSAKIPESEFTTLPNGLKYYDITVGSGLKAVKGSRVAVHYVAKWKGITFMTSRQGLGVGGGTPYGFDIGNSERGNVLKGLDLGVEGMKVGGQRLIIVPPELAYGKKGVQEIPPNATIEEAPFNY >ORUFI07G05640.1 pep chromosome:OR_W1943:7:4394693:4398361:1 gene:ORUFI07G05640 transcript:ORUFI07G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSFSSLLLPCSHGHGGGRATASTCAAAAAACLALVALVILVVSMDPRAQASSWFFLSSSSSSSSSSTLVRPAASSHAASLRKPSSWGGGNGGGGGGEHLLVTSSSFGSGGGARGSWSRNSTSKEVLFQGGGGGGGDEMTSTAAAPTPALIIGSSSGDGVSPSRVAVTAAAAEPTPALAPAPAPEWGVGDAASGDDIIQVMPQAQRRRDVKLELLELGLAKARATIREAIQNKDNKPPLTDKDYVPVGPVYRNAYAFHRSYLEMEKVFKVFVYEEGEPPVFHDGPCRSIYSTEGRFIYAMEMENRMRTRDPDQAHVFFLPFSVVKMVKMIYEPNSHDMDPLRRTISDYINVVSTKYPHWNRSLGADHFMLSCHDWGPYVSSANGHLFSNSIRVLCNANTSEGFDPSRDVSLPEINLRSDVVDRQVGGPSASHRPILAFFAGGDHGPVRPLLLQHWGKGQDADIQVSEYLPRRHGMSYTDMMRRSRFCLCPSGYEVASPRVVEAIYLECVPVVIGDDYTLPFADVLNWAAFSVRVAVGDIPSMGMHRRVRAVRRHFMVSDGAPRRFDVFHMILHSIWLRRLNVRVIARED >ORUFI07G05650.1 pep chromosome:OR_W1943:7:4400068:4406971:1 gene:ORUFI07G05650 transcript:ORUFI07G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAALLRSGSGLRRPPMAAPLSTAAAASWLSDSASSPPRVRLLIGGEFVESRADEHVDVTNPATQEVVSRIPLTTADEFRAAVDAARTAFPGWRNTPVTTRQRIMLKYQELIRANMDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGTLQMGEYVSNVSNGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPSEKDPGAAMMLAELAMEAGLPKGVLNIVHGTHDVVNNICDDEDIKAVSFVGSNIAGMHIYSRASAKGKRVQSNMGAKNHAIILPDADRDATLNALIAAGFGAAGQRCMALSTAVFVGGSEPWEDELVKRASSLVVNSGMASDADLGPVISKQAKERICKLIQSGADNGARVLLDGRDIVVPNFENGNFVGPTLLADVKSEMECYKEEIFGPVLLLMKAESLDDAIQIVNRNKYGNGASIFTTSGVSARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFFTQIKTVTQQWKESPAQRVSLSMPTSQK >ORUFI07G05660.1 pep chromosome:OR_W1943:7:4409569:4410277:-1 gene:ORUFI07G05660 transcript:ORUFI07G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRVVVIIKLTFRVGSNYFRTQWVEKEDDQEIVKLELDVSRSVIEWVQVIHVRAATAVGQADGEDRNREATCAAPIQVINKGHGESTLAIRPDNVYLIGFRTQAASWFAFKNSYNQISRATALGFDDSYTSLTGKGGYTNLKDIVVGKKSAQEAVATLAKYKKDGSVPEEEIKKALTTFILIICEAVRLVPVRTDVITVWDEAEGGKVGKVACDLTVKWKVISCA >ORUFI07G05670.1 pep chromosome:OR_W1943:7:4414287:4415221:1 gene:ORUFI07G05670 transcript:ORUFI07G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKMVTSTRASGREAATGKATTSNVSATSHRQVKTSWDRGCGRRGKDSEVDVSPATDRSLFSMIPSELHWPITFPIWNDTEGSFSSYSYIRAICTWCGILLSEQFLVSKHMCVSMVSMEASIGNQLPANDVIIAL >ORUFI07G05680.1 pep chromosome:OR_W1943:7:4418839:4421750:-1 gene:ORUFI07G05680 transcript:ORUFI07G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGDSRHSHRCGGASGGDPWIASDVVVPPGTVSSGGDFDHAAGETGHRGRPALADDAGASASRAAAGASDGSGLAGDNADEVMEGAAVTATGDDDEADAMLTPVSTAISDAEKLLGKPVLKEKQEQMEQIGAWERAIRVAARFNLRLLALPSLHEAEEVEVAKARATLHSQCGHRRGSRWDLLNLRWPGPWFGRVRRDLRGLRGICLDYRAPRFGGSLAGPEAEETSTHTTVIAPSASGAEPELHLGAAAAPEKPEAAIHPAAAPETSSGRAGLVADPSSTRDTHAGADAVMVAGAAAVEHGGRDTTDLHTEWLRVWDLQEQAAATLAEAEAARDSSRLAVARADSARRQAELDLTLVHAELARERDRAARLSDELAATKAALASREEEVQASQGRFEQARLILEELNVRAIYAAQALVRAFGSIGVQGPSPPPEDSSVAEKLQWVEKAGKFVAKASAGHGIWCSWATTRMLPLLLRGKGCAHIGPSARAAPSEVTALLASASGVNSSRRDADDFTQTVWPALGHDAAVPAMDSVT >ORUFI07G05690.1 pep chromosome:OR_W1943:7:4424063:4425814:-1 gene:ORUFI07G05690 transcript:ORUFI07G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDDVLAAVLRRLPPRGIAAPRCVCKEWRSLVDGRRLLRADLLPLSLAGILLNYDSTWFTQFLSRPTAAAAVSCRLDYTVPPPPAYIYVKDHCNGLLLLLREDGSSCPRPITIIIPYLQPWAVGCNENEYLVFDPTRSPNYELFMVPKVPYKLREEEECEWPPSTLILPVFSSKTGSWEERAFDREGDAAGTLPAMVGSTPFCDHQCGYWRGALYVCFSDCFVMRPQILVWFLNERYCGQTEWVLKHDMDISHILPNLNYDEQQRDGPWVLQHYNYRPFNFNYDDDDDEDDVELEPIVEEKFEKFEWDSDNDNVLEPGSMRENCYIYFLGFHPYKDIVFLGDEFDRVLAYNWSSSKLQDLGKVFTEFYIRLTTYRLHCSLKNKIHISPL >ORUFI07G05700.1 pep chromosome:OR_W1943:7:4428927:4430598:-1 gene:ORUFI07G05700 transcript:ORUFI07G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWWRRRSYAAAAADDDTAGEELRSYVVAVEFAADNRAESPPVPARVTAELIKADAAGIPNYSKGDKDDPCCDTYSLVMKCLENTKNDFKKCKTLIDKYEECSNPPKEPRLCPAHELAFEKCLQKNVGEIKVCQFWMDMMSKCLRRNKQWV >ORUFI07G05710.1 pep chromosome:OR_W1943:7:4435434:4435931:-1 gene:ORUFI07G05710 transcript:ORUFI07G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLAKCRANNEKIYLLDDICPILH >ORUFI07G05720.1 pep chromosome:OR_W1943:7:4438531:4442154:1 gene:ORUFI07G05720 transcript:ORUFI07G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRKVVGYPSKFICWPHSAEQHLSSGLRVAALLRPSLTKRIMPPLRLLPLLLLAAAAAALDPPTPTPWPEQFHAVVFTNLTGSGGRLQLIDLYYDWPRGRNLNLIRGQLSGDPTYDVEWTNGTSYFFNATSCRTKLFPVGLLPPDWLAAGAVYLGRETVAGFDCHLWTKVDIVWYYEDVVTHRPVRWNFFNGMQQHVMSFEVGGVLEDSKWQAPARCFSDQHTVIADPGAATVTDDRVEGEDGKLDVMNAFFRFVGAPAAAAAASV >ORUFI07G05730.1 pep chromosome:OR_W1943:7:4439319:4442088:-1 gene:ORUFI07G05730 transcript:ORUFI07G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPLLLAAAVLASAAAALADPPPPPSPTPWPERFHAVLFTNLTQTSGGGKLQMTDLYYDWPGGRNLNLVAGQLSGDPVYDVEWTNGTSYYFDSASCRTLLFPVGILPPDWLAAGAAYLGREAVDGFDCHVWTKVDFIWYYEDVVTHRPVRWNFYTGMQQHVMSFEVGGALEDSKWQAPAYCFNDQEAATNSDTANDNEDGEVDAMSSLLKFFRAQQTAVAALLSKTISTIELAAWHIKKLD >ORUFI07G05740.1 pep chromosome:OR_W1943:7:4443539:4443727:1 gene:ORUFI07G05740 transcript:ORUFI07G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQPRSLVDVSVEVGDVGEGCRFSPPSPQTPTDLPSLRGMEAVQLLGSLKVLRHQEREVKY >ORUFI07G05750.1 pep chromosome:OR_W1943:7:4483998:4488150:1 gene:ORUFI07G05750 transcript:ORUFI07G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQASSSPSMFRAIPTNTNASCRRKVRASAAAAAANGGGDGKVMMRKEAASGAWKIDYSGEKPATPLLDTVNYPVHMKNLSTPELEQLAAELRAEIVHTVSKTGGHLSSSLGVVELAVALHHVFDTPEDKIIWDVGHQAYPHKILTGRRSRMHTIRQTSGLAGFPKRDESAHDAFGAGHSSTSISAALGMAVARDLLGKKNHVISVIGDGAMTAGQAYEAMNNSGYLDSNMIVVLNDNKQVSLPTATLDGPATPVGALSKALTKLQSSTKLRRLREAAKTVTKQIGGQAHEVAAKVDEYARGMVSASGSTLFEELGLYYIGPVDGHSVDDLVAIFNKVKSMPAPGPVLVHIVTEKGKGYPPAEAAADRMHGVVKFDPTTGRQFKSKCSTLSYTQYFAEALIREAEADDKVVGIHAAMGGGTGLNYFHKRFPERCFDVGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVVHDVDLQRLPVRFAMDRAGLVGADGPTHCGAFDVAYMACLPNMVVMAPADEAELMHMVATAAAIDDRPSCFRFPRGNGIGAVLPPNHKGTPLEVGKGRVLVGGNRVALLGYGTMVQACMKAAEALKEHGIYVTVADARFCKPLDTGLIRELAAEHEVLVTVEEGSIGGFGSHVAHYLSLSGLLDGPLKLRSMFLPDRYIDHGAPVDQLEEAGLTPRHIAATVLSLLGRPLEALQLS >ORUFI07G05760.1 pep chromosome:OR_W1943:7:4493249:4493740:1 gene:ORUFI07G05760 transcript:ORUFI07G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSKKTQEFFPKGGNGGYAEQLELLLKQLRFPNKPIHHAEQVIKGFRKDWTMKIYIQAREEKCQGHVFKSRHLRANKEAALQDASREAFMRLCKIYSIEVASTPFFLHPFHECGDRRCHIRKFRGFEEQSPIHFSMWMWAADEAYEEALEELDMLRSKIAG >ORUFI07G05770.1 pep chromosome:OR_W1943:7:4506566:4507426:1 gene:ORUFI07G05770 transcript:ORUFI07G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVDQLGLEKPTMAHEKSADGIFHSFIEVDLVNWVSKGFRGPRQFIGTSPISSRRATRKAARNAVQRLEKCGLVKISDYSRRDSKLWKKRVMRVTTVCKEVVEGRDELERDFFFLQQNRAKLLVENCELQRKIVELQENVDCWKADKEEKDNLIIENYELKAELRALKRQLSEAKNKNE >ORUFI07G05780.1 pep chromosome:OR_W1943:7:4509760:4510668:1 gene:ORUFI07G05780 transcript:ORUFI07G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESNISVEDNPAEEINTTRESWESEFEDFHIPEMTVAEGTLYVTITSKLLVSEVMKKLRGSDPIYEVRRIGRGHVASAVVHIPKSTSSLELDTIRVDGDMDTEISNAEEKVAASLLAHLKKERKVVVVDDNYMECAYYRKDCRKLRRFISKVVEKRDSAMHACSAFKRGWQITLDYMTSTEDTCNQICNSYFGLNNGNNVSGTAASILLDVFHLGEWIGETAFAGTADLQDTTERML >ORUFI07G05790.1 pep chromosome:OR_W1943:7:4511559:4512871:-1 gene:ORUFI07G05790 transcript:ORUFI07G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVCRFSPRWQSVRVCFAHRFPTLTTSPTSTLKPNGRCAGIGDASRFVLGRRRKLPLQPLSGFLRAEDGRQPRAKDFKDSGKSKQETEWACKFFMWETQYEQLLKDMQAGNRQAGRLVLNHDGGKPWMGPVVPDFRVGERVLVYLGVLQALLLLLILVVVIMRRRAAPTGYIVARCPCTFHPVNSVTH >ORUFI07G05800.1 pep chromosome:OR_W1943:7:4514991:4526709:-1 gene:ORUFI07G05800 transcript:ORUFI07G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPSVSGSGGGGDLEAHLDGDVGNGNARSDSAAAAAAPELRYRGWKAMPFVIGNETFEKLGSIGTAANLMVYLTTVFHMSSLDAAVALNVFAGTTNLATVVGAFASDLYLGRYATVAAGCVSTFIGMVILTMTAGVPALHPPPCGEGRCLGATRGQLAVLGLAFAFIVAGAGGIRPCSLPFGADQFDPRTESGRRGINSFFNWYYFTLTIAVCASSTAIVYVQSSVSWWVGLAIPAALMLASCALFFAGAGLYVRVRPEGSPFAGVARVAVAAFRKRSAAAPSDADESLFRTRHASGVVSRLPYTDQFRFLDKAAVVVDAKSEVGGDGHPKNPWRLCSLQQVEEAKCILRVVPVWLTCIVYYVAFAQTNTYVILQAAQSDRHLGGGGGAGSFEVPPGSFTVFPMLALAVWIPLYDRLVVPWARRLTGREGGITPLQRMGVGMALSVLAMLVAAMAEKRRRDLAAGSPSNTGRVSRQSAFWLVPQLAALGLSEAFNQVSQTEFYYREFPESMRSVAGSVLFSGLALSSYLSGVLVAAVERATRGASAGDDGGWLAEDLNKGRLDWFYLLIAAIGAANFLAFVACAKWYRYKGSDDDDDDDHEHEQLPMEYSLLSQINSTRHNWRIKVRVARSWHLSGTSKRRDFTALELILVDEEGVGITACVGENEIQKFSTSIVEGHAYFLRNFRVSRQTKKLNAVPSTYAIFFTPWTIVEEIPTEISGSLPLYIFNFVDFEDLDERARHPNGLVDVIGQLTVVHPLVQSNGLNGPSVRRNVELRDLSDRLLEITLWAEHATSFEDEFLIETIGKDEPVIIVFAGLNMKLFSGAPSCRSSAATKWYINIDIPEINAFRTSLQGRGCEVLLVPSDGAALGAANEADANRKSISELLSLNPHDNNDVRFTCDARIKEIDVSSGWWYKACSICRKGVKPTLQGFECVNCDNTEPIITPSYKLNVVIEDNTDRAKIFMFGGMAEQVVRRTAADLVEESSANQLLLPSPLRALIGRRFVFQIVISEQTFRTGQLCFQVRKVFNPPPIDGQNNGVHATLGNSLSHATLARTSGAQKKCDPTPLMEASGSSSNKASTLQTYGVTELPTDPKGSATPPPPFAHGAITKTSPQKGNEISEEHGTPVGKRSRSTRKELFSTKKVKAR >ORUFI07G05810.1 pep chromosome:OR_W1943:7:4527279:4536418:-1 gene:ORUFI07G05810 transcript:ORUFI07G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASWTAEDDVLLKNAVEAGASLESLAKGAVCFSRKFTLQELQDRWSSLLYDSETSGQASALIVKYETELSTSNPTKAHKLFYVRRKHLSLRKRKIESVKNQYYAMRKRICHDPCLAADFGYVITPCSCPVGSDCVCDGLFNLLEDNHLIHNVNQAPDVVNGYGHIGESYADGQDVHAKDNGHYISHRRHDKAAGTVASDGSTNCESKLYGYNFMPKNIQSSERNIASPKDLSDVQDCVQPQQPILCEESANGMTGLKALLNTDQDCIKQNQFSGNSNEILQDPGSLKAMSEHWCSQAPSAPTRKKFQGVNAPDMLTDVHHKEQEILAFSDDKKKETTNIDTFSCKVNVENGMSGSGLDDATEGEVMHSCLMDASQGEDFELLNSENILDSSLDPNLEGLGDRHANVILKDISKEHLLDIPHVSSACGNNTDPIHEKHDVADISGVDMIYTTEVPFPCAGIVCILNTEDPEIPCNDDIFTPGPVASTSTCDQNSQHNMHLVSAKPIPPLNAADLNHTDLVSDVQPLLLTMKLEPYTLEQKETLVGLNESCTVRSKSPVMPVDASNANACTSTFHSAAEFVKKSTCGLVQHECFDNLGSVSLDECIGVLDEMNSKVPDESGISCDATTQNSISAHALPDVEFLNPITTTSSPEGGGSDSEDGIPNYFDIEALILDQDLIPWDQESDFIQLEVSRFQSLESRKDLIRLERGARSNTNRSIMSHGAFAVLYGQHLKYYIKDPEVTLGRETSEEHVDIDLGKEGKANTISRQQAIIKMDKGGSFHITNIGKAPIFVNSKEVPCNECTHLISDALLQIRHMKFIFHINQDAVRQHIVRMPRSAHCNKMGGAFSTSKPKPAAGEEGGESAVVAVVIDFSASWCGPCKMMEPVFKEMAGRFTDVAFLKVDVDELAEVARTWRVEAMPTFVLARGGEEVGRIVGADKDELEKTINTLRSSSSSTATTT >ORUFI07G05810.2 pep chromosome:OR_W1943:7:4529559:4536418:-1 gene:ORUFI07G05810 transcript:ORUFI07G05810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASWTAEDDVLLKNAVEAGASLESLAKGAVCFSRKFTLQELQDRWSSLLYDSETSGQASALIVKYETELSTSNPTKAHKLFYVRRKHLSLRKRKIESVKNQYYAMRKRICHDPCLAADFGYVITPCSCPVGSDCVCDGLFNLLEDNHLIHNVNQAPDVVNGYGHIGESYADGQDVHAKDNGHYISHRRHDKAAGTVASDGSTNCESKLYGYNFMPKNIQSSERNIASPKDLSDVQDCVQPQQPILCEESANGMTGLKALLNTDQDCIKQNQFSGNSNEILQDPGSLKAMSEHWCSQAPSAPTRKKFQGVNAPDMLTDVHHKEQEILAFSDDKKKETTNIDTFSCKVNVENGMSGSGLDDATEGEVMHSCLMDASQGEDFELLNSENILDSSLDPNLEGLGDRHANVILKDISKEHLLDIPHVSSACGNNTDPIHEKHDVADISGVDMIYTTEVPFPCAGIVCILNTEDPEIPCNDDIFTPGPVASTSTCDQNSQHNMHLVSAKPIPPLNAADLNHTDLVSDVQPLLLTMKLEPYTLEQKETLVGLNESCTVRSKSPVMPVDASNANACTSTFHSAAEFVKKSTCGLVQHECFDNLGSVSLDECIGVLDEMNSKVPDESGISCDATTQNSISAHALPDVEFLNPITTTSSPEGGGSDSEDGIPNYFDIEALILDQDLIPWDQESDFIQLEVSRFQSLESRKDLIRLERGARSNTNRSIMSHGAFAVLYGQHLKYYIKDPEVTLGRETSEEHVDIDLGKEGKANTISRQQAIIKMDKGGSFHITNIGKAPIFVNSKEVPCNECTHLISDALLQIRHMKFIFHINQDAVRQHIVRSRRGTSQGKYAVFNWDEKP >ORUFI07G05810.3 pep chromosome:OR_W1943:7:4527279:4528509:-1 gene:ORUFI07G05810 transcript:ORUFI07G05810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAFSTSKPKPAAGEEGGESAVVAVVIDFSASWCGPCKMMEPVFKEMAGRFTDVAFLKVDVDELAEVARTWRVEAMPTFVLARGGEEVGRIVGADKDELEKTINTLRSSSSSTATTT >ORUFI07G05820.1 pep chromosome:OR_W1943:7:4536640:4540279:1 gene:ORUFI07G05820 transcript:ORUFI07G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPATSTVPPTSPLPHSRAAPRRHLRAGLLHGSVATAAVVRACGVTTGHRRRMGSVRASPRAGGWEVAMADKEGVGVERLVEVAQRAADAAGEVLRKYFRQRVEIIDKEDQSPVTIADREAEEAMVSVILKSFPSHAVFGEENGWRCVEKSADYVWVLDPIDGTKSFITGKPLFGTLISLLYKGKPVIGIIDQPILRERWVGVDGMKTTLNGQEISVRPCNVLAQAYLYTTSPHLFAGEAEDAFIRVRDKVKVPLYGCDCYAYALLASGFVDLVVESGLKPYDFLSLVPVIEGAGGSITDWKGNKLHWPVSAESRPESFNVVASGDARVHKQALDALQWH >ORUFI07G05830.1 pep chromosome:OR_W1943:7:4558159:4559091:-1 gene:ORUFI07G05830 transcript:ORUFI07G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASASTAVLGSSYAPSPTSPRAVLAGGGYARACLRDLPRRRPCPSATVLVGSGKDRWLYLRSAPNPVRPGRDRAGLSSARASRHASSPSSSLFCRVKPMRFVACASSASTPVVELLTRVRGQQT >ORUFI07G05840.1 pep chromosome:OR_W1943:7:4559097:4559285:-1 gene:ORUFI07G05840 transcript:ORUFI07G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSLELRRRWPQALSLVLPTPIFSLHTTILGSSCAPSATSPLSVLIGGGGGLARDCPRR >ORUFI07G05850.1 pep chromosome:OR_W1943:7:4559550:4560144:1 gene:ORUFI07G05850 transcript:ORUFI07G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTTAGPPRRWSTTTAATSARRSRRGAGSGRGQDRRRCHAAIHHRAVVPPCAVLPSAPAFVLPCWCCHRDKDDERTKTETAENVTDWTEIVIEWHGSYFKNLQWHPADNANMCGIFLICHICSGMDPINP >ORUFI07G05860.1 pep chromosome:OR_W1943:7:4563863:4570242:1 gene:ORUFI07G05860 transcript:ORUFI07G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGDNLEAVLNESVDLENIPLEEVFEHLRCNREGLTSANAEQRLNLFGPNRLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRNGRWSEEEAAILVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVLTAIGNFCICSIAIGMVVEIIVMYPIQHRDYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVFQRGVDQDTVILMAARASRTENQDAIDATIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDGEGKMHRVSKGAPEQILNLAHNKTEIERRVRAVIDKFAERGLRSLGVAYQQVPDGRKESPGGPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETARRLGMGTNMYPSSALLGQDKDESIVALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVDDSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLSEIFATGVVLGSYLAMMTVIFFWVAYKTDFFPRVFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFFVAQLIATLIAVYANWGFASIKGIGWGWAGVIWLYNIVFYLPLDIIKFLIRYALSGRAWDLVLEQRIAFTRKKDFGTQENQLKWATAQRTIHGLQPAATAAVFRDMTSYNDLNQLAEEARRRAEIARLRELTTLKGRMESVVKQKGLDLETIQQSYTV >ORUFI07G05870.1 pep chromosome:OR_W1943:7:4575270:4575815:-1 gene:ORUFI07G05870 transcript:ORUFI07G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQALQILRGIEGDMSGFRFCFAHMFCISIGGISSTTNIDSGSDKYPYIVVRSTSARPGNAGSFTSQSLAVTSKQYTSCSLLLQSCYAAAS >ORUFI07G05870.2 pep chromosome:OR_W1943:7:4575270:4575815:-1 gene:ORUFI07G05870 transcript:ORUFI07G05870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQGLPPPICPEHANADVMFWLLRSIGGISSTTNIDSGSDKYPYIVVRSTSARPGNAGSFTSQSLAVTSKQYTSCSLLLQSCYAAAS >ORUFI07G05880.1 pep chromosome:OR_W1943:7:4581517:4583169:1 gene:ORUFI07G05880 transcript:ORUFI07G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLAASSLPSTRTHRPDAASHSSSSSVRLLRSLARSRRADLAHRALLLFRSLQSTPSPPPPHVSLPAVLSAAAFLSALPEGRQLHALAAKLGLAPSHTVVANSLLHLYSSCGLPGAALDLFRRIPDRSLVSWNTAVDALVGNGDHLAALDLFREMQRDTELAPDAYTVQSVLGACAGAGALSLGVYAHALLLRELGGDGDGEAVSRDMLINNSLVDLYGKCGALELAQQVFDRMPARDLASWNVMILTLANHGRVCESVELFDRMTQVEKMAPNAITFVAVLSACNHGGLVEEGRRYFAMMVDQYRIKPRIEHYGCMVDLLARAGFIEEALDIVAGMNCRPDAIIWRSLLDACCKKNAGLELTEAMAKLALEVPDDAVSGVYVLLSRVYASAQRWNDVGMVRRLMVEEGVKKEPGFSSIEMDGLVHQFVAGDTSHPQSEAIYEKLDEIQLKLTSAGYKPDLSEAPLVASIDNAKGAALRLHSERLAISFGLLNATPGAPIRILKNLRVCKDCHTISKLISKLYGVEIIVRDRIRFHHFKDGSCSCKDYW >ORUFI07G05890.1 pep chromosome:OR_W1943:7:4586323:4587756:1 gene:ORUFI07G05890 transcript:ORUFI07G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFERARTVRLRGHHDKYLYAEEDESRVSQDRSASSPNARWSVEPVPHAPGVLRLRSHYGRYLSASNEPFLLGVTGRKVLQALPHRLDSSVEWVPVRDGAHARAALPPWRNSVTHDVPHRHAGWILWTVEVVEVLPESLVPAPIASDDDPAAPHYKTPSRGPSPVPTPALAPASPPRHRPASPPSYLARPPPPPPGYIEPPQGYIEPPPPEPTLARIESTESFSLPLHKVDGRAIHYHIGDDNGDIGDDQEGHSFTFNGTSLEELLERLQEETGLNDVIICSRSPINGKLMPLRLQLPPNNAAMHIVLIFVVI >ORUFI07G05900.1 pep chromosome:OR_W1943:7:4589367:4592223:1 gene:ORUFI07G05900 transcript:ORUFI07G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRHVGDLEAAAYGGGRFGFTGGLEFTGLTYTVTKKQRGAGGEWEKKEVDLLHEVTGYAPKGCVTAVMGPSGAGKSTLLDALAGRIAARLGGRVALDGVEVSPGLVKRCSAYVMQDDRLFPMLTVRETLMFAADLRLGASVPAADKRRRVDALIDQLGLAASGNTYIGDEGTRGVSGGERRRVSIGVDIIHGPALLFLDEPTSGLDSTSAHSVVEKVRDIACAGSTVVLTIHQPSSRILQLLDHLVILARGQLMYSSAPREVAAHLGRMGRRVPKGESSIEHLLDVIQEYDQSEFGVAALAEFCLTGLKPPKLAADGISTVSSIPPTPLLAGEEDFDHSLRSQHSRSPWSAAAAAAATQFTPSRRPKKDHPEIVMGTPTPLSMSAYTLSEGDYRTPPPPRHAAAVTTLGGHRGKFANTYGGEVWVLMRRNFTNIWRTPELFLSRLMVLVAMGVLMATMFTKPRDDDQGVTERLSFFVFTVCVLFFSSNDAVPAFIQERFIFIRETSHNAYRASAYVVAGVVTYLPFLLLQSAAYAAIVWFALRLHGQFLYFLVMLYASLLSTNSFVVFISSVVPNFILGYAAVIAFTALFFLFCGYFLDSHSIPVGWKWMNTISTMKYPYEGLLMNEFQGGRVFSSHPPPAPPLTGDVILEHLKISTAEDRKWWMVLYLMGWAVFYRVLFYLVLRFASKNKRK >ORUFI07G05910.1 pep chromosome:OR_W1943:7:4593182:4594421:1 gene:ORUFI07G05910 transcript:ORUFI07G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRYAYPYPSQGYYNQGPYQGPPVMAPPHAQYQYQYAQPPPPPRQPGFLEGCLAALCCCCLLDECCCDPSVIFVT >ORUFI07G05920.1 pep chromosome:OR_W1943:7:4595391:4608897:1 gene:ORUFI07G05920 transcript:ORUFI07G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSTSTSSLLLLLSPRGNPRRLLPLLLPLRLSSSSSAAAAAAAMPPRRDRHHGKQPQQQWKPKATDPAAAAPAVVAAASSPASSTSSSADFAGGVERMTISPSPQGGASQVWVPRGYATSASSSSSSSSAAAAEQRIDAEKLSRVFKAAPNFEVDNNTFIQSQIRATFYPKFENEKSDQETRTRMIEMVSHGLATLEVTLKHSGSLFMYAGHHGGAYAKNSFGNIYTAVGVFVLGRLFREAWGKEAPRMQEEFNVFLEKNCISISMELVTAVLGDHGQRPKDDYAVITAVTELGHGKPKFYSTPEVIEFCRKWRLPTNHVWLFSTRKSASSFFAAYDALCEEGTATSVCKALDEIADVAVPGSKDHVKVQGEILEGLVARIVSRESSVQIEEVLRNYPLPPLDGVGSDLGPSLREICAANRSDEKQQIKALLENVGPSMCPDHSDWFGCSGLDDHQSPSANRSVVTKFLQAHPTDYTTKKLQEMIRVMKQRNFPAAFKCYWNYHKIDSLSNDSLYYKMVIHVLSDSVFRRYQQEMRRNQGLWPLYRGFFVDVNLFKVNNMKSSIPSEDIDTSLKNINGALDSNSSAKDGLADEDSNLMVKLKFLTYKADEELGNISKAVYIRRKYGNKPLSSSTYLSEAEPFLEQYAKRSPENQALIGAAGDLVQTENFLAILEAKRDEEGDLQAERGTAPPSPTSTSLDVVPKAEGLIVFFPGIPGCAKSALCKEILTTPGGLGDNRPLHSLMGDLIKGRYWQKVADERKKKPFRITLADKNAPNEEVWRQIEDMCRTTKAAAVPVIPDSEGTDSNPFSLDALAVFMFRVLQRDNHPGNLDKASPNAGYVLLMFYNLYDGKSRREFESELYERFGSLVKMPLLKPDRAPLPDEVKAILDEGISLFRLHQSRHGRAEPSKGAYAKEWAQWEKRLHQVLFANKDYLNSIQVPFDFAVKEVLEQLKSVAKGDLKTPDTAKRKFGNIVFAAVTLPPADILGALPKLAEDTDANKFLNNTKLADNLTKAHVTLAHKRVHGVAAVSSYGVYQNHQVPVIFNAFLFSDKMAALEVELGTVNGEKIASRNDWPHATLWTAPGVAPKEANTLPQLVTEGKAKRVAIDPPITISGVLDFY >ORUFI07G05920.2 pep chromosome:OR_W1943:7:4595391:4608897:1 gene:ORUFI07G05920 transcript:ORUFI07G05920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSTSTSSLLLLLSPRGNPRRLLPLLLPLRLSSSSSAAAAAAAMPPRRDRHHGKQPQQQWKPKATDPAAAAPAVVAAASSPASSTSSSADFAGGVERMTISPSPQGGASQVWVPRGYATSASSSSSSSSAAAAEQRIDAEKLSRVFKAAPNFEVDNNTFIQSQIRATFYPKFENEKSDQETRTRMIEMVSHGLATLEVTLKHSGSLFMYAGHHGGAYAKNSFGNIYTAVGVFVLGRLFREAWGKEAPRMQEEFNVFLEKNCISISMELVTAVLGDHGQRPKDDYAVITAVTELGHGKPKFYSTPEVIEFCRKWRLPTNHVWLFSTRKSASSFFAAYDALCEEGTATSVCKALDEIADVAVPGSKDHVKVQGEILEGLVARIVSRESSVQIEEVLRNYPLPPLDGVGSDLGPSLREICAANRSDEKQQIKALLENVGPSMCPDHSDWFGCSGLDDHQSPSANRSVVTKFLQAHPTDYTTKKLQVGIFDWFLEMIRVMKQRNFPAAFKCYWNYHKIDSLSNDSLYYKMVIHVLSDSVFRRYQQEMRLTYVSLLGFFVDVNLFKVNNMKSSIPSEDIDTSLKNINGALDSNSSAKDGLADEDSNLMVKLKFLTYKADEELGNISKAVYIRRKYGNKPLSSSTYLSEAEPFLEQYAKRSPENQALIGAAGDLVQTENFLAILEAKRDEEGDLQAERGTAPPSPTSTSLDVVPKAEGLIVFFPGIPGCAKSALCKEILTTPGGLGDNRPLHSLMGDLIKGRYWQKVADERKKKPFRITLADKNAPNEEVWRQIEDMCRTTKAAAVPVIPDSEGTDSNPFSLDALAVFMFRVLQRDNHPGNLDKASPNAGYVLLMFYNLYDGKSRREFESELYERFGSLVKMPLLKPDRAPLPDEVKAILDEGISLFRLHQSRHGRAEPSKGAYAKEWAQWEKRLHQVLFANKDYLNSIQVPFDFAVKEVLEQLKSVAKGDLKTPDTAKRKFGNIVFAAVTLPPADILGALPKLAEDTDANKFLNNTKLADNLTKAHVTLAHKRVHGVAAVSSYGVYQNHQVPVIFNAFLFSDKMAALEVELGTVNGEKIASRNDWPHATLWTAPGVAPKEANTLPQLVTEGKAKRVAIDPPITISGVLDFY >ORUFI07G05930.1 pep chromosome:OR_W1943:7:4614916:4616457:1 gene:ORUFI07G05930 transcript:ORUFI07G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQAASAWSSVNSGIVLSLAAVLWTVVWNSLQSLQLHHLVGRHLARHARRLAAVVDPYLTVTVAEHDGGRMKRSDAYREVQAYLHRATCDASAGVRHLRAEPAKNPDAFVLSMADREEVADVFRGGVTVWWLAYSTPPREDDAGGGFYWGGRAARADRRFYRLSFLERDRDVVLGEYLPHVRREGRAAMVRNRQRKLFTNLAGDTWGDDGGWCESVWSHVVFEHPKTFDTLAMDPARKKDIMDDLDAFRNGKEYYARVGRAWKRGYLLHGPPGTGKSTMIAAMANYLDYDIYDIELTSVRTNTDLRKLFIETTSKSIIVIEDIDCSLDLTGKRKNKKKKDAAAAKNDTDGDKKESPPSEEEEKDKEGSKVTLSGVLNFIDGLWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHIEMSYCGFEAFKFLAKVYLGIDAHHLFDAVRALLRDVDMTPADVAENLTPKAAGDNADTCLAELVKELEKAKADKAQAKGKAAAAEEEVDGDDDEE >ORUFI07G05940.1 pep chromosome:OR_W1943:7:4621170:4621511:1 gene:ORUFI07G05940 transcript:ORUFI07G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKDAGVVDDGGNGVRLQDRVAMAEFCWIVLGFFRCTAEGALVRVVAVFYVVVLLPMLCLEVFPRAPPPVSLLNAAMGVLLMYRLYLVLRFEYFEEKKKQEEEDQAAAAAAS >ORUFI07G05950.1 pep chromosome:OR_W1943:7:4623698:4628082:-1 gene:ORUFI07G05950 transcript:ORUFI07G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLSLYDESTSLLGTPLRPATPLIAGLTVAAAALAGRYSIQAWNAYKARPVVPRMRKFYEGGFQPTMTRREAGLILGVRENAHPEKVKEAHKKVMVANHPDAGGSHYLASKINEAKDILLGKTKGGGSAF >ORUFI07G05950.2 pep chromosome:OR_W1943:7:4623698:4626142:-1 gene:ORUFI07G05950 transcript:ORUFI07G05950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQHDKATPLIAGLTVAAAALAGRYSIQAWNAYKARPVVPRMRKFYEGGFQPTMTRREAGLILGVRENAHPEKVKEAHKKVMVANHPDAGGSHYLASKINEAKDILLGKTKGGGSAF >ORUFI07G05960.1 pep chromosome:OR_W1943:7:4626833:4628404:1 gene:ORUFI07G05960 transcript:ORUFI07G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTHEPLTKAGISEREKRSPFPVLRHRPARRTRRERDGYAIGLGYAEAAGYPALRPPRRSPEVVVVVGGRGGVGDLVGEDSHRIGVWVFSLAAPSTTSSPAPPRTCSGCPIPERREIQAEAAYGGGADGDLGDPTPAMVACPSRRAAAAAAAAA >ORUFI07G05970.1 pep chromosome:OR_W1943:7:4643347:4650034:1 gene:ORUFI07G05970 transcript:ORUFI07G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAVTTTTGARWRSWAFANMGTLLAHFGSLSFFLGPLLAAYAPRRLLLTYFNLFLRRRARRLLNAVDPYITVDISECPAAARYYSRYDPVDARDTTYDEAKAYLSATCSSEARELHAEGAEEGDGLVISMRDGQDVADEFGGATMWWSSVAAEQQAAPPPPQGAAERRCLRLTFHMRHRRLVVDEYLPHVRREGREVLFSSRRRRLYTNNKMSEYASYSDEKAWSYVDFDHPTTFETLAMEPAKKKVIMDDLDAFRRSREFYRRTGKPWKRGYLLHGPPGTGKSTMVAAMANYLDYDIYDVELTVVGNNNNLRKLLIETTSKSIIVIEDIDCSLDITGDRAARRSRPPPSDVTLSGLLNFIDGLWSACGGERIVVFTTNHLDKLDPALIRRGRMDMHIEMSYCGFEAFKTLAKNYLDVDAHHLFDAVEELLRDVNLTPADVAECLMTARRSGSDDTSCLEICVDELKKRAEERAKEEAEAKAREEAEAKAMAEFEEKAKEKALAKAKAVVDAAAAAAAATTATAKPNATKEEEEEEEEEEEDSQEESSADTGQFTEYLGALLSNLGPVWFLVAPLLAAYAPRRLLLTYFNLVLRRRARRLLAAVDPYVTVDIPDPGAADAHQQYYHHRSRLGGSRAGDNAYEEVKAYLSAACSSEARELRAEAAAEGRGLVVSMRDGQDVADEFRGATMWWSSPTFHMRHRRLVVDEYLPHVRRRGRELLFHNRRRRLYTNNKSLSYSSVYHKAWIYVNFDHPTTFETLAMEPAKKAAIMDDLDAFRRSGEFYRRAGKPWKRGYLLHGPPGTGKSTMIAAMANYLDYDIYDVELTMVSDNNDLRKLLIETTSKSIVVIEDIDCSLDLTGDRATRRPGEIRGGGSMVTLSGLLNFIDGLWSASGGERVVVFTTNHVEKLDPALIRRGRMDMHIEMSYCRAAAFRTLAKNYLDVDAHHLFDAVDDILDKEDITPADVAECLMAAKRSSDSDVTSSLEFLVDELNKRAMENAKAVAEAKARAEAEAEAKAMADDDSEEDDDDYSDDYTDDDDYDDD >ORUFI07G05980.1 pep chromosome:OR_W1943:7:4654104:4655603:-1 gene:ORUFI07G05980 transcript:ORUFI07G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARRMRRAAAAAGVVERFAGMGSALAGVMFVWSMLSPLLPRQLFEHFVGRFLRRHARRLAGLVDPYLTVTISEHCGERMKLGDVYEQAKAYLSHRCARRARSLRAERAARDGGGDRFLLTMGDGEEVYDVFQGATVWWNSVSSGGGRRYESPWFGGGGVVYDDDRRAYRLLFHRRHRDLVVDSYLPHVCREGRAIMLRNRRRKLFTNAGGDRYRKSAWSYVAFEHPSTFDTLAMDPVKKKDIMDDLDAFRDGKDYYARIGKAWKRGYLLHGPPGTGKSTMIAAMANYLDYDIYDVELTSVATNTDLRRLFIETKGKSIIVIEDIDCSVDLTGKRKKRSPHAAAAAAEPVDAAKDESASKVTLSGLLNVIDGLWSACGGERIVVFTTNHVGKLDPALIRRGRMDKHIEMSYCCFETFKILAKNYLAIDAHHLFDDVRSLLQDARIKITPADVAEHLMRKCATAAADEAAACLASLVKALEKKAKGKETVEEEETVVDE >ORUFI07G05990.1 pep chromosome:OR_W1943:7:4658245:4672374:1 gene:ORUFI07G05990 transcript:ORUFI07G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTKGGKVMNPTDAFRKEQRKKELKRNKKERKKVREVGILKKDPEAILEQIEKLEKMKADGALDKARKHKKRQLEDTYNLIVKKRKEYEEKMKEKGEQPIMFSHLGPPKRRPAAEEDDRAKNPKPEDSVYYHPTLNPSGAPPPGKPPMYKSSIGPRIPLPSSSAGASSSMPGTEEAGPSTLPPPPPPPPLPASSEPVDPSAASLPPLPPPSPPPPKPANISGAPGLPLPPPPPPPPGPPPREIVPGQTLLPPPPPPRPLQPSPLAGTNEFANKQTIGEGASLTDSAQAKGALPPPPPGLIRNSSEMQNANEVPGLKEDDKVTRILPLPPPQPSHLPPLPPRPPTMPSMQPDMLAPGVPRFPPPPPPPDTRPPFMAPGVNARPLPPPPPGLPPAQMQMAPFGVPPGPPPMLPPPFYPGPPIQTGDFAAFGPRPNVPQQPSYVKSAAPTVVKRPLAQHTPELTAMVPASVRVKRESALPKPKPKVQQSATTSSSALKPSVAPIRSEPRPSSSVSKPQSIDDSYMAFLEDMKELGALDDCMFNELPWQIFKFKPPVLSTISASEHLHTFSFPESTMAPSASSTGAVLLFAIAAVLLLAVRDGHCAQLCMDSTFPRTVNGSLTFCGYNGTACCNSTDDAAVQRQFAAMNISGTPCGELVKSILCARCNPYAGELFTVTTSPRTVPRLCNSTGVASRLSGGKAAAAAATDYCTTVWDTCKAVRIPGSPFQPPRGGAAAPTLTDVWQSSGDFCTALGGAPGGGGAPCFDGESAAFDASRVAPPASGMCLERLGNGSYLNMAPHPDGSNRVFLNNQAGKVFVATVPAQGSGKPLQVDAATPFLDITDEVHFDNEFGLLGLAFHPEFAKNGRFFVSYSCDKTQSASCSGRCACNSDVGCDPSKLTVDNGAQPCQFQTVIAEYTANASSGSPATILFSKADGYLYLMMGDGGSVGDPWNFAQNKKSLLGKIIRIDVNALPTGNSTAGWGNYGIPKDNPFSTDSKFAPEVFALGFKNPWRCSFDSGKPSDLYCADVGQSSYEEVDLVIKGGNYGWRVLEGTTAYLPLASPGGNTSAADIDAIPPVMGYAHSAVNNNVGSASIPGGYVYRSGTDPCLAGRYLYADLYAQSAWAGLESPPGSGAYDVTPLPFACSGRSPIPCDAAAARSTLPSLGYIFSFGEDNAGDVYLLTSKGVYRVVDPAECGYACPIKSSAPGTSPPPGSSPSGGAAAAVVPAAAATMAALLLMGALLAL >ORUFI07G05990.2 pep chromosome:OR_W1943:7:4658245:4672512:1 gene:ORUFI07G05990 transcript:ORUFI07G05990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTKGGKVMNPTDAFRKEQRKKELKRNKKERKKVREVGILKKDPEAILEQIEKLEKMKADGALDKARKHKKRQLEDTYNLIVKKRKEYEEKMKEKGEQPIMFSHLGPPKRRPAAEEDDRAKNPKPEDSVYYHPTLNPSGAPPPGKPPMYKSSIGPRIPLPSSSAGASSSMPGTEEAGPSTLPPPPPPPPLPASSEPVDPSAASLPPLPPPSPPPPKPANISGAPGLPLPPPPPPPPGPPPREIVPGQTLLPPPPPPRPLQPSPLAGTNEFANKQTIGEGASLTDSAQAKGALPPPPPGLIRNSSEMQNANEVPGLKEDDKVTRILPLPPPQPSHLPPLPPRPPTMPSMQPDMLAPGVPRFPPPPPPPDTRPPFMAPGVNARPLPPPPPGLPPAQMQMAPFGVPPGPPPMLPPPFYPGPPIQTGDFAAFGPRPNVPQQPSYVKSAAPTVVKRPLAQHTPELTAMVPASVRVKRESALPKPKPKVQQSATTSSSALKPSVAPIRSEPRPSSSVSKPQSIDDSYMAFLEDMKELGALDDCMFNELPWQIFKFKPPVLSTISASEHLHTFSFPESTMAPSASSTGAVLLFAIAAVLLLAVRDGHCAQLCMDSTFPRTVNGSLTFCGYNGTACCNSTDDAAVQRQFAAMNISGTPCGELVKSILCARCNPYAGELFTVTTSPRTVPRLCNSTGVASRLSGGKAAAAAATDYCTTVWDTCKAVRIPGSPFQPPRGGAAAPTLTDVWQSSGDFCTALGGAPGGGGAPCFDGESAAFDASRVAPPASGMCLERLGNGSYLNMAPHPDGSNRVFLNNQAGKVFVATVPAQGSGKPLQVDAATPFLDITDEVHFDNEFGLLGLAFHPEFAKNGRFFVSYSCDKTQSASCSGRCACNSDVGCDPSKLTVDNGAQPCQFQTVIAEYTANASSGSPATILFSKADGYLYLMMGDGGSVGDPWNFAQNKKSLLGKIIRIDVNALPTGNSTAGWGNYGIPKDNPFSTDSKFAPEVFALGFKNPWRCSFDSGKPSDLYCADVGQSSYEEVDLVIKGGNYGWRVLEGTTAYLPLASPGGNTSAADIDAIPPVMGYAHSAVNNNVGSASIPGGYVYRSGTDPCLAGRYLYADLYAQSAWAGLESPPGSGAYDVTPLPFACSGRSPIPCDAAAARSTLPSLGYIFSFGEDNAGDVYLLTSKGVYRVVDPAECGYACPIKSSAPGTSPPPGSSPSGGAAAAVVPAAAATMAALLLMGALLAL >ORUFI07G06000.1 pep chromosome:OR_W1943:7:4675170:4678368:1 gene:ORUFI07G06000 transcript:ORUFI07G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLHKAAVQGNTARLAALLGEEQGGGKILNSKTPQGNTALHIAAGLGRVAFAEAAAAEHGDLLVTRNDQGDTPLHLAARAGKMAVADMLITFITMAGPCWPEEEPLMMMNKTRNTPLHEAVKQRRSAVALRLLEAEPNCGHTPNVDMQTPLHIAAREGLADVVDKILDQPWVPEKFVTDDNVSGTALHQAVLGGHTRVVEILLMKTAPGLIDLTDAVGNTALHFAAQKNDKRMVRMLLDHKPDLAHRCNERQQSGLHVAAYYGSTAAAAELLRHSPDAAEMLDREGRNAVHVAVSSGKVDALRCLLGRVRPAEVVNRGDNSGDTPLHLAAKMARIKSALMLLRDPRVDPCLLNRDGHSARSLVEERVAGGEMDAYVVYLWEKLKKYESRRCKNQQLPPVATYQSLRSRRPGSGSNDEYFELSVGTYTLVATLIATVTFAATFTMPGGYNQNTGLAIHADRAPFKIFVVSNTVAMCSAIVVVFCFIWAWRDPVKFKLDQLTWGHRLTVVACLAMIVSLMTSVYLTVLPTERWLAYLVIAIGACTPAVVILILRWEVFYVPL >ORUFI07G06010.1 pep chromosome:OR_W1943:7:4694838:4701199:1 gene:ORUFI07G06010 transcript:ORUFI07G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSECNTPLHEAVKQRRSAVALRLLEVEPNCGHTPNVDMQTPLHIAAREGLTDVVEKILDIPWVPEKFVATANVRGTALHQAVLGGHTRVVEILLIRTAPDLIDITDSAGSTALHYAAQKNDTRMVSMLLDLKPELASRPNDRQQSALHVAAVNGSIAAATEILQHSPDAAESKDKDGRNAVHVAVSNVDTLRGLLKVIGPAEVINQGDSAGNTPLHLAAKMAHVQSTLTLLKDPRVNPCLLNRDGHTARSLVEERLAVGEMDAYVVYLWEKLKKQEESRCKNLQHLPPVATYQSLRRRSHRSAGSGNGDYFELGVGTYTLVATLIATVTFAATFTMPGGYNQTSGLAIHADRAAFDIFLVSNTVAMCSSITVVFCFIWAWRDPVKFNLEHLRWVHMLTVIACLAMIVSLMTSVYQEVAGVPGDHHRRLHSGRRDTHIREGGFLHTVCSEDTATG >ORUFI07G06020.1 pep chromosome:OR_W1943:7:4703013:4703542:-1 gene:ORUFI07G06020 transcript:ORUFI07G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMQWITTVVRSCPLCVLLLVQVTHWPNGDKELLHRRDVKYSLTKALVAFYPFAGQLGVDGAGHIQIDYTGHVPPRLRCGGVVLSLASIARASAEALLPPTETPHLYRDSDVLRPQAAHHMCHVYYARSLGPLKQRRWHMR >ORUFI07G06030.1 pep chromosome:OR_W1943:7:4706814:4707014:1 gene:ORUFI07G06030 transcript:ORUFI07G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSIVVVFCFIWGWRDPVKFKLTWSHRLPRHDRLPHDLRLPHRPPHGAVAGVPGHHHRSLHSGPS >ORUFI07G06040.1 pep chromosome:OR_W1943:7:4709752:4715689:-1 gene:ORUFI07G06040 transcript:ORUFI07G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSSSSPPASPSHPNPSPPPHADPRSAPPMPSSADADAPSSPPPPQQEAAAEGEEEEEKKQQREEEEEAPAPAPAPAPEPAPRKARLPRACNSKPKPPPPPPPERPRRRAAAGGGAGGAEESPQCRVVTPLVSEPEAPAEMPRWRLRCMWELASVLNFLHVYRPLLNISGEFTAEDLEEALITPNSTLDDVHMPLLKSIPPVTRMAMGRGTWVTVLCRKLRDWWHWVAEGDIPIVASHGTEIEAYKVLEPATRLIILKAICDIRVEQEDIRNFIDSSLKHGYDLSTFRKERIGGDAYGISYWYEDDPILGHRLYREIRRVEQLKKEPGKRSRGKGGSIILPVVSYQWETVASNFDEFDDVAEKLFSSRNRTEANLGKKLKIEYLPDIEKIHKKKERLLKKQQREALLIDSFLAPDGFTTGRSLRDRKPVTYTFDEYDRSISEAIKITKKGENSAEPAAPANRRILTPRPEASSNGKVNGLSPTTNEYDGNSSKSDDYRDSDGEEESETLDRSNRRRRRSQRYTRDFVEAVSDIDPNFDSDDEIMGEAVYDEEYLRTRKQQKTSSASEEDEEFRLEEDDDEEEEEYSLSTSEDLEEPQQRKKLQTRGRRGAKLRSVDEIQTGLRRSKRSSRQRINYQQYDYSDTDTEGGKEGKSDASDPDAGFDAENDTELSTSSQEQEEDEDDGPEEQKDNSDDNKMDEDHVMVENKEEQEEQPQPPPLPPQQPVEKMEAPSREIETVGRTFLDLNELAPGGGFDDGPSLTMKDEDMDNS >ORUFI07G06050.1 pep chromosome:OR_W1943:7:4720187:4722858:-1 gene:ORUFI07G06050 transcript:ORUFI07G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPSLAAELWRPHHHRHHFEASSVVTDQGSGSRGGGGSGRRRPRSDAGPEDDDLSKVVSTSAASGGGGGGGQDSDAPEAKRLKPMKSSDKNDSLRTEAGTDSGNSSKAADKNATPPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKASVLDEIINYIQSLQHQVEFLSMKLEAVNSHMINGIVAFPSKDFGAQPYNTAAGLTFDPQTTREFAQGSTSEWLHMQIGNAYERVT >ORUFI07G06060.1 pep chromosome:OR_W1943:7:4729777:4731529:-1 gene:ORUFI07G06060 transcript:ORUFI07G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTASLAPATSRSVLSWRRPYPAAARPPAPLLRLDAGRLPRRRSRSSAWTPVVYLNVGRRRYSARCSSAESPPAKLRVLVPAESPIGDAPSPARRVAVRSPSRAAHSRSSACSPLPSRLPALLLRRPAESPAPLPARCRPARRGELLRRPAPPSGLSSGGSGDGECCGGGSGDGEGCGDGSGLSLSAADLADAVVAEPRLLAVKADTIARRRSAAPSCLAARRISVVEREVRAEAEVAMVIANGVVSGCKRERRDDAARALTLLALRRRRRAHRRRRRQARQRRWRQARATTADSTAAVGDRLGSGGVRGLGGAGSCGGEGGGAGSDNGEGSGGGRWLERRAADPTMGRAALTEDGSGDGGDKLGSGGVEGLGGTGSCGGEGGGGGSDDGEGCADGSGGGPAPWLLRAKVDAITRRIASLRDRSSVAEIQVRAEVAAAMVVANGVVSGGKRRPSHPSLVPSTKQKTGIVLFYKPNTQ >ORUFI07G06070.1 pep chromosome:OR_W1943:7:4735677:4739574:1 gene:ORUFI07G06070 transcript:ORUFI07G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVVLAEYTEFTGNFTTIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQIPIAFLDRVKEDFTKRYGGGKAATAAANSLNREFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCK >ORUFI07G06070.2 pep chromosome:OR_W1943:7:4735677:4739574:1 gene:ORUFI07G06070 transcript:ORUFI07G06070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVVLAEYTEFTGNFTTIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQIPIAFLDRVKEDFTKRYGGGKAATAAANSLNREFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCK >ORUFI07G06080.1 pep chromosome:OR_W1943:7:4742476:4747313:1 gene:ORUFI07G06080 transcript:ORUFI07G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGGAASAAAAAAAAATQQHRELLERYELVRVRGRGSFAQVWEARHRRTGLSVAVKILNLAGLLASGIPIRKVEREIAVMRLLNHPHIVRFHEAIAGGDGGGHVYIVMELATQGQLYDYVTQLGRLREDDARRIFQQIISGAEYCHHNMVVHRDLKLENILMDSEMNVKIVDFGFSKFFRHNKVLSASCGSREYAAPELLAGRKYVGPPVDVWSCGVILYILFCGRLPFDSADVSELHRIIKRGEFSIPPYVPDDARDLISSMLIVRPDKRLTITEVRTHRWLQHSIPRYLAMPPLNARTQITRASIDAETVDKVVGHGFERRYLVESLENRVENEATVAYNLILNKKFDAPTRYVWTIDVYQEAGQSNTTGAAEATGSSAAGEPPVAVAGEDDGRNNGWALGGVEFHECPREAMRAIAAALRETGVVYAHDDDDRGRYGKLLCARFAGAAGVRRIIRSYLAATDDAPSSSSSAGGGSGRGEAGHGGGAPVDDAVLESLSAAVFFEIQLYKSEGEGNYLMDLKRLSGPQLQYLNICSELSSKLRAIN >ORUFI07G06090.1 pep chromosome:OR_W1943:7:4748056:4748328:-1 gene:ORUFI07G06090 transcript:ORUFI07G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRMKRRCWCNSLARLGPDKARSRAPVGTTAFPSIGYTNREVVKARFDWHGEKIIEHELDRNGDGSLVIGSVLLLSG >ORUFI07G06100.1 pep chromosome:OR_W1943:7:4748351:4748674:1 gene:ORUFI07G06100 transcript:ORUFI07G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRRGRSHPFFVGADLNPPPSSALIKRTEGSEGTGHRSSGDAGTNRSLPACAWCCLPAAPRCTSSVVACPLSIWSNTAPPWSPMPLTAPAAGDATPRRADLTPLLL >ORUFI07G06110.1 pep chromosome:OR_W1943:7:4749009:4749645:-1 gene:ORUFI07G06110 transcript:ORUFI07G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAVVLLLLFGLAAVAVTAARIMPDDDCGDTANAAGVGEAKTAFGGSDGRGGLFGGYTGPLGGGAAGFGPFGGFGAGGGPFGGFGGGVGLGGGGGGFRPGKIHVADYLGPPVSQWKG >ORUFI07G06120.1 pep chromosome:OR_W1943:7:4754202:4759041:-1 gene:ORUFI07G06120 transcript:ORUFI07G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRKRMRGALLALALLLTATAVVPLLLLGEAGDDGVGAVAAAPPFNASRVRAVSWRPRVFVYKGFLSDDECDHLVKLGKRKMQRSMVADNKSGKSVMSEVRTSSGMFLDKRQDPVVSRIEKRIAAWTFLPEENAENIQILRYEHGQKYEPHFDYFHDKVNQALGGHRYATVLMYLSTVEKGGETVFPNAEGWENQPKDDTFSECAQKGLAVKPVKGDAVLFFSLHIDGVPDPLSLHGSCPVIEGEKWSAPKWIRIRSYEHPPVSKVTEGCSDNSARCAKWAEAGECEKNPVYMQHEEEGAKWTRSNPQQRNWRIRKITRPSVGSTRPDRWKLPKHFCGLDHCVGRKGGKNRSSAFVVGLLQDAGVTRGRWPMLF >ORUFI07G06130.1 pep chromosome:OR_W1943:7:4760699:4763622:1 gene:ORUFI07G06130 transcript:ORUFI07G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQQWLTPPRFGLDAATIACLPSFLYVRAHDNGEVSDTAALVEAREGEVADNAKIAS >ORUFI07G06140.1 pep chromosome:OR_W1943:7:4763712:4764537:1 gene:ORUFI07G06140 transcript:ORUFI07G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRKPTVGLVSSPDAVVEVGFCWREEREMEMEMEMEMEMEENEGLISFHDKTMKTQILSEDVLSVTKEACIFVVATQEMNQMAGTICEVNFYFQLEASCEDRNQTIKWSELSRAMGLKW >ORUFI07G06150.1 pep chromosome:OR_W1943:7:4769662:4773532:-1 gene:ORUFI07G06150 transcript:ORUFI07G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRKRLGRAALLLAAAAYLAFLLLFELPSLDLFPSSDAAAGAAMPTHRPRRRELEASSSSSAFASPVLRRPATAVSPAPASAAAAAAGALPIFSSLLLLPRPNATATPFDGTAAEAFAAARPHLDHLRTAAAAAAEEASSSSTAPTCPTSISVHADGLPGDGVRTVELPCGLAVGSHVTVVARPRAARPEYDPKIAERKSGQEPLMVSQFMVELVGTKAVDGEAPPRILHFNPRIRGDYSGKPVIEMNSCYRMQWGQSQRCEGYASRPADETVDGQLKCEKWIRDDDKKSEESKMKWWVKRLIGRPKDVHISWPYPFAEGKLFVLTLTAGLEGYHVNVDGRHVTSFPYRTGYTLEDATGLSLNGDIDIESIFASSLPNSHPSFAPERYLEMSEQWRAPPLPTEPVELFIGILSAASHFAERMAVRKSWMMYTRKSTNIVARFFVALNGKKEVNAELKREAEFFQDIVIVPFMDSYDLVVLKTIAIAEYGVRVIPAKYIMKCDDDTFVRIDSVLDQVKKVRSDKSVYVGSMNYFHRPLRSGKWAVTYEEWPEEAYPNYANGPGYVISADIARYIVSEFDNQTLRLFKMEDVNMGMWVEKFNNTRRPVEYRHDVRFYQSGCFDGYFTAHYQSPQHMICLWRKLQSGSSRCCNVR >ORUFI07G06160.1 pep chromosome:OR_W1943:7:4786345:4789457:-1 gene:ORUFI07G06160 transcript:ORUFI07G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPSRSRGDFDHLIKLLLIGDSGKFYTSSSSPPHKSELCPVLFRIPLWLFRVGKSCLLLRFSDDTFTTSFITTIGIDFKVRTVELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKVDMDTKRVVSTAQGQKLADEYGMKFFETSAKTNQNVEQVFFTIARDIKQRLTETVAAAAEPPTIQISRQEPDQAAASSRWSACCNT >ORUFI07G06160.2 pep chromosome:OR_W1943:7:4786343:4788022:-1 gene:ORUFI07G06160 transcript:ORUFI07G06160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKVDMDTKRVVSTAQGQKLADEYGMKFFETSAKTNQNVEQVFFTIARDIKQRLTETVAAAAEPPTIQISRQEPDQAAASSRWSACCNT >ORUFI07G06170.1 pep chromosome:OR_W1943:7:4792798:4796221:-1 gene:ORUFI07G06170 transcript:ORUFI07G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSSASAAAGASCRRRAIEVLAAVLVLYAVLVFVLESPLVSTSLSGGGGGGGGGGGGARYLRLAGGGVRAAPARPAKEPRLAASASVSGPSARGRRLSGMVSGLDLGLLNSSRAGPLRRPIAGAVETGARVFAELEDLDTAAFESPSAEGEAEAAKCPQSVMRSADEFHGRGRVVELPCGLTLGSHITVVATPRPAHAEGDPKIAVLKEGEQPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLRGDWSGKPVIEQNTCYRMQWGTPLRCEGWKSNSDEETGWGPLQFHFDYVSSVDGFVKCENWILNADERAGLEGYHVNVDGRHVTSFPYRPGFVLEDATGLSLSGDLDVQSVFAGSLPTTHPSFSPQSYLDMSTVWQSSPLPNEPVDIFIGILSSGNHFAERMGVRKTWMSAVRNSPNVVARFFVALHGRKEVNVELKKEAEFFGDIVFVPFLDNYDLVVLKTLAICEYGVHVVSARYVMKCDDDTFVRLDSIITEVNKVQSGRSFYIGNINFHHRPLRHGKWAVTYEEWPEEVYPPYANGPGYVISSDIAGAIVSEFRDQKLRLFKMEDVSMGLWVEQFNRTRPVEFVHSTKFCQFGCVDDYYTAHYQSPRLMLCLWQKLLDGKPQCCNMR >ORUFI07G06180.1 pep chromosome:OR_W1943:7:4806622:4808481:-1 gene:ORUFI07G06180 transcript:ORUFI07G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLLPPSPPLQGDPLLSRRRTGGEVDEAMASRSQCAKVSGEAGTTASPSAAGGGEGGGAALPADVLFEVLLRLGPKDVCRLRGVCRSWRALTTDATFVAAHAARHRGPLLAGGVLDFDAFPSVDVLLMDLSGSVVKRIRHAATHLVLPTTNLDLLCVTEVYTCRATLLNPIAGAAVHLPEPLSTPHSLRGRSLSDFDGSFKYGRDSNGDYKVLRVLTDCHNKQFPEQLFEILSLDESSRYHMRWRAKKALPMCVRRDAIGGVVINGVVYFLLDGRPNGVKNAHRESYEMDHMALFDLCSERWISYLEGPMATHPEMNNIDEILPEPLEMSVYQNLSLSELSGALVVAQYTDYRSAEIKSYVDLWYLMDSEKQIWEKKYRIVLEMDTWDTEHIFPHAHPSLILDDGRILIYITVHLANFEGQYTRRVMRLYDPETDTLGSDLVDVKNIHSIGFFSGSLLSIQNGQGL >ORUFI07G06190.1 pep chromosome:OR_W1943:7:4816316:4819667:-1 gene:ORUFI07G06190 transcript:ORUFI07G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAAGGDQRAALLAAATLFPPPPDGARFSYGTAGFRAEGAAMGPAVCRAGVVAALRSAKLGGAAVGVVITASHNPVRDNGVKIVDADGGMLSQDWEPFADALANAPNPDALLQIVLQFAKDEDIKLGGSHSAQVLLARDTRPTGEYLLDVAVKGVNAVIGAVAVDMGILTTPQLHWMVRSKNKGLKSSETDYFSQVIDSFRCLLELVPKDKEADVINNRLIVDGANGIGGLKLEEIKAKISGLDIHVRNSGKGEGILNESCGADFVQKEKVVPLGFGPEDVGFRCASFDGDADRLVYFRIVSSSDTRIDLVDGDKILSLFVLFIREQLDIINGKDNKGNEVLPTRFGVIQTAYANGASTDFLKNIGLEVVFTPTGVKYLHKEALKYDIGIYFEANGHGTVLFSDHFVSQLESLTSSSQHQAAMRLLATSQLINQAVGDALSGMLLVEAVLQYKGWSFQNWCDLYTDLPSRQLKVKVQDRNSIVTTDAERRVCQPNGLQELIDGEISNYSHGRCFVRPSGTEDVVRVYAEASSEEAADSLAKRVAQHVERILG >ORUFI07G06200.1 pep chromosome:OR_W1943:7:4823742:4825387:-1 gene:ORUFI07G06200 transcript:ORUFI07G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRNRTTEKAHVDDEALQRYCGAWRDMQCSRRGGGGGGDAFVVGADLTDITLMDAYSGEVVRRMDGLSRPGLRVCAGGDMICVVSPGDGLLRVVDVATGDLTDLSMGCSAATGRNVSSGYTLGKVPATGEHKLLHVYAAANGSQSSEVLTITTGGGGGCQWRATRSHPPMRIEHGISRSSATVGGVVHFLSPATTSAPRDRGVECDTIAAFDLATEQWRPVLMDGPLPADQRHRGQRPNLSLAALGGRLVAVHHDYPGRTINLWTINLWSLTPNTTTWTKLHSLPIKNVLRGWEEEPTSHPAAEAKRGGRSKKRKKKKEEVRWLCREKVAQPLAMVGDGRMAVWARGCEGAVRLHDPRTGACEEVAQVEKGGCVLGFYSGALAQGEEF >ORUFI07G06210.1 pep chromosome:OR_W1943:7:4830166:4832160:1 gene:ORUFI07G06210 transcript:ORUFI07G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGDGLPPGLKFEPKDDELVARFLLARIQGKPLPLHGVILDADPLCAPPWRLLADHGRGDEAFFFADARAKNGKGSRQKRTVEGGGYWQGQRMCVDGERLVVPDGGVEIAWRKYVLSYFADGEKGSSGWVMHEYAITTPADLASSTMRLYRIRFSGHGKKRKREPESQSAHHDDGRACCAPQIAMPETALLEDSAPPPQPVLPPAAVVNSVSDGAVPPPAPVVNCDSDVTDEDELQSFVPEFSARNLFVSLPQGSHEAEADVVGGALPAQSMSSFADVGGPENMDDQSCSGVVFANLSDLIVLPPVEASGAAPAPSWASSLDNQNDEAPVFFEFPESMDDIVGCFDFATMDDPSCTSAISEEPFLPPAAMVNHDDGYASDNADQGCSGAVPLPSAVVDLPNETDGADQSCSGVVDDSSMVFANIHPLDSPAEGGHEAEAGAGGGRAAPAPSWVSSLDNQNDEAPMFFELPESLDDMVSCFDFAAMDGQSCTSAVSETALIEELVLPPAAMVNHHDDSVSDIADHGCSGAVPPPSAVVDLPDDSDGADQSCSGMVDDSLPGYYEAELKVPLEYAARNPVDSPSKGGHDHEAEVDASGGAGSMMSSPDKEKEHSSSGVMDVEATGFGVPDSMDGLSCIDFAETMDDLSCIDFTIDDELFDLWS >ORUFI07G06220.1 pep chromosome:OR_W1943:7:4832863:4836146:-1 gene:ORUFI07G06220 transcript:ORUFI07G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRCSSPLTEQARKMHDSSNSGILPLDVLFDVLVRLPAKELCCLRAICQAWRSLTSDPLFIKAHAAHHRQPLLLATFRDDEAHVHIMDLVGNVLKTIGIPVGHKVLCTHLDLVCVATNWNSCRVLNPATGAVYDLPESPAEEHMYHAHLCKPYTSFAFGRIALLFNLGNFSRVLRMFNRPGFTDLELPQLCEVFTVKGGTGQGHAHWRGKQSRQFFVEMQKANSGVVVNGVVYFLMDALYDAMIISGLGAGIHPDFIFSFDLETEEWREDIQGPISSSFVFDGDFDPQEYFSIWHQLCLAELKGYLVHHQRFCSTMDLWFLTDYETRAWVKEYSIQTESFIPVLEYDVKPLLVLDDGRILIWLGSTGLLLIYDPRTSSFAEVKMRHLAEVGMYTGSLLSLQNGYFRYLEIRFRAVQWTSIAHLDNLIFYEFRKIAAEFAIKDICGLSYFLSIEANKLSGDELMLAQINEKRYLIDLPHRTDIRLSEAILDYCWCWCATILDDDRVR >ORUFI07G06230.1 pep chromosome:OR_W1943:7:4843474:4844557:1 gene:ORUFI07G06230 transcript:ORUFI07G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSMMEQGKTTTLPQKVSVTPEHERPEALLDANLKILLMIIVTGVSLGVFVTCLVLAFVLDLTVEGRAMVVFTALVGVVYGVAGYNIIRAC >ORUFI07G06240.1 pep chromosome:OR_W1943:7:4845984:4850284:-1 gene:ORUFI07G06240 transcript:ORUFI07G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSLSSTGSANAMIGLYLPSTTVFWTKKQKYQQGCGPTNRFEIHAVKITAEYINFTVTCYEPMILQKLELHQAVATPSDVSSVTGRIIRDLSMMVIPIEHHMVHRGHGIFDFQQAKEVGQTFSGLKISRCSAQPCNCVGRCCNGSTLEHPWCGLKPVRDKAIDDCFRTATPTRIKLGDGGTSFWVDDWFPDSGAVANLVPIVSTSVKPEKWTVASI >ORUFI07G06240.2 pep chromosome:OR_W1943:7:4845984:4850284:-1 gene:ORUFI07G06240 transcript:ORUFI07G06240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSLSSTGSANAMIGLYLPSTTVFWTKKQKYQQLKLLQILQKLELHQAVATPSDVSSVTGRIIRDLSMMVIPIEHHMVHRGHGIFDFQQAKEVGQTFSGLKISRCSAQPCNCVGRCCNGSTLEHPWCGLKPVRDKAIDDCFRTATPTRIKLGDGGTSFWVDDWFPDSGAVANLVPIVSTSVKPEKWTVASI >ORUFI07G06250.1 pep chromosome:OR_W1943:7:4850287:4850583:1 gene:ORUFI07G06250 transcript:ORUFI07G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGGRDGRGRPRRRRMGLRSDLAATVGKKPDLAAAAEDVGVGSGSGEDAAAVRAGRSSGDGEDAAALHAGRRRSERGGEAKSVRGGGAKSSRVEEEE >ORUFI07G06260.1 pep chromosome:OR_W1943:7:4851445:4852182:-1 gene:ORUFI07G06260 transcript:ORUFI07G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTPASFLRPPPLPHHHHPRIVRLPPPSATFRVADLLGGRGLCNGEVGIRKELASDSPAAPPSTTTSSDEPAESPPPPPAASGVDPDAFDKEMMGLTGGFPGGEVGLKDFVAKNPPPPKPAHRKGLAAAATVERPRAPELPLFLPGMVVLVKNPNNAYHMYCGIVQRVTDGKVGVLFEGGIWDRLITFDLDELEGREKGPPMVNPKSVLLESLAAEMEDDVAKEEEGEEAKKKEEEEGTAAAA >ORUFI07G06270.1 pep chromosome:OR_W1943:7:4854895:4860871:1 gene:ORUFI07G06270 transcript:ORUFI07G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGTSRRRGRRGRRAAGRCAGLPLDALFEIMLRLPARDVCRLRAVCRSWRAVASDRAFVDAHASRHPGPYVAACFSDEADGGDGDESCGGVDIVDLSSGDIVKTIYTEVSGSRVQRTRLDLVCLVEGPSPLDVTVLDPVTGATYIPAKSISADNKDLLSSGRLIMESCAFGKVPSTGEYKVVRLLGSGNPCELYECEIMTVNSAGALQWRAIQGPQLPVCSSNNMRSVVINGVAYFLLDYSRLYCSNDGLLIRPGNIVPFDLETEEWMDDEKIVFLLRGTNVLQSYDLKDDTYTDILVVPDFRSVGIYTGDLLSLEGGRPLRLLYSPKTKMLLLSLAEAT >ORUFI07G06280.1 pep chromosome:OR_W1943:7:4862957:4864618:-1 gene:ORUFI07G06280 transcript:ORUFI07G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYRIRFSGHGKKRKREPESQSDNHQIAVAETAMLEDSAPPPQPVHPPAAMVNCVSDDTDQGFSGAVPPPAPVVHHTNDSDVTDRYSSLVFSDQPGSIYEDELQSFVPEFPARNLFVSLPEGSHEAEPAQSVSSLADVGGPENMDDQSCSGVVFANLPELIVLPSAPSLDNQNDEAPVFFEFPESMDDIVGCFDFAAMDNQSCTSAIPEEPFLPPAAMVNHDDGYASNNADQGCSGAVPLPAAVVDLPNETDGADQSCSGVGDNSTLLFSDVTGSIDEDELQSFVPEFAASNLFVSLPQGSCEADAEADSGGGVAPAQFAEFGGSESMDDSSMMYPLNFPAEAGGGGGRAAPASSWVSSQHNQNDEAPMFFELPESLDDMVGCFDFAAMDGQSCTSAVSETALIEELVLPPAAMVNHHDDSVSDIADHGCSGSVPPPSAVVDLPNDSDQSCSGYYEAELKVPLEYAARNPVDSPAKGGNEAEVDASNGAGSMMSSPDKQKEHSSSGVMDVEATGFGVPDSMDSLSCIDFAETMDDLSCIDFTIDDELFDLWS >ORUFI07G06290.1 pep chromosome:OR_W1943:7:4866604:4867337:1 gene:ORUFI07G06290 transcript:ORUFI07G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRNRTTEEKAHVDNDALQRFCGAWRDMQCSRRGGGGGDAFVVGAVTINLWSLTPNDTTWTKLHSLPIRNVLRGWEEEPTSHPAAAAEAKRGGRSKKRKKKKEEEVRWLCREKVAQPLAMVGDGRMAVWARGCEGAVRLHDPRTGACEEVAQVEKCGCVLGFYSGALSPSHAVEMEE >ORUFI07G06300.1 pep chromosome:OR_W1943:7:4870423:4873836:-1 gene:ORUFI07G06300 transcript:ORUFI07G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFSPREVEDQFHIYRLKDEIAAVVATIDKIYSHLQLDKGSLEKKNGFCFGLLDPVTNILINSAISELSPATAAQAVVGGGGEKAKDLNNNAAPRVEAGGGSRKRRRRGDNAADLSQRSLDGLTAFLTCLFPYLPDAEARLYLDAADADPIVASLLIIRRRGIREFDLSSQPTEAAVEVALRCAAVAAKHPDPRSLVLGWKLLSPVVEALFGSAPSSPRETTMHGDVARRVLRRLHKDNAAADPVLRLEGSWELAKRRLKRERLMGIYAGPKWLPPARAHMKRVLLATIHGFYLQAMGRLPTSELCDSFHRSMLMGGHCYGPLDPVSNIIVNTICFQWAMISTTMLSCIVARSLYGLVSFLCTRYLAMQRLLVTGVNLKAADPNLSPTPSATSRKKRLDFSDCAQVLDNPDTSHIQHSVVEESTPSAGVDESYIAAATAGFHGYPLAQQEFLASPTGLLSKLELVSEVLHIQVCVPGSQSASDGPLSPQKLSLLRTILQRCPSSTGKLHQQQDVACRKEDHPFELHFICGVNELVSGPVRSLGEKVGDYNPWTRDKYYHTHINFLAVCKARLYDPPTLFFAECGKDGADTCWCVPVIPQKPEAGQVRCIYCEYQGNRILHPAMESFHGRDEFEKLFYGSNGSYTNDKLITNSDLEVDWVHGVQDGAIYRDCCPDSDDDEDDWIDIF >ORUFI07G06310.1 pep chromosome:OR_W1943:7:4877866:4879617:-1 gene:ORUFI07G06310 transcript:ORUFI07G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVDGERLVVPDGGGGGGVEIAWRKYVLSYFADGEKGSSGWVMHEYAITSPADLASSAMRLYRIRFSGHGKKRKREPDSQSAHDEHGRARCAPQIAMPETALLEDSAPPPQPVHPPAAVVDCVCDVTDQGSSLVFPDQPGSIYEDELQSFVPEFAARNLFVSLREGSRDVVAEAALIEDLALSPQPVPPPAEVVNQADDSDGADQGCSSVFAALPDLIVLPPEEACGSGGAAPAPSWASSLDNQNDDAPAFFEFPESMDDMVGCFDFASMDNQSCTSAVSEIAVLEEPFLPPPTMVNHDNNSVSDGADQSCFGVGDNSTLVFSDLTGSIDEDELQSFVPEFVSLPQGSCEADAEADSGGGVAPAQFAEFGGPESMDDPLNFPAEASGGGDRAAPASSWVSSQDNQNDEAPMFFELPESLDDMVGCFDFAAMDGQSCTSAVSETALIEELVLPPAAMVNHHDDSVSDIADHGCSGAVPPPNSEVVDLPNDSVGADQSCSGMVDDSLSGYYEAELKDASGGAGSMMSSPDKQKEHSSSGVMDVEATGFGVPDSMDGLSCIDFAETMDDLSCIDFTIDDELFDLWS >ORUFI07G06320.1 pep chromosome:OR_W1943:7:4880966:4884061:1 gene:ORUFI07G06320 transcript:ORUFI07G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAAAGWGLGAVDGVLPPELLLDVLLRLPAGPICRLRAVCRSWLAFTTDPHFVAAHAARHPAPLLAVGVQGFPRLCVDLVDLSGNLVKQILRVGKGRVVSGSSADRVLVAGEDHSVRVLNPTTGSISILPSHRCGGADPSTIAAWFAFGQTASTGECKLVRILLNIDNSRHLSEVITIGDTDGEWRETANPPGYLGWNCTNGVVFKGAAYFILDYCFSDPSFLERGCMPSFDFATEKWSVALQGPLNRILEESNGTLSYHDLANQLMLSGLKGTLCTSHWNDQFYTVDLWFLTDSEKGTWSKDHRINVDAVFHGIGDYLKVQPLLVTDEGKIVLSMQMGSKGVVQIYDPVTDTSSDIIQISIYTGASVFTGSLLCPQSVGRALYEVLPQSWALRISTALMMFRFGVIKV >ORUFI07G06320.2 pep chromosome:OR_W1943:7:4881465:4884061:1 gene:ORUFI07G06320 transcript:ORUFI07G06320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAAAGWGLGAVDGVLPPELLLDVLLRLPAGPICRLRAVCRSWLAFTTDPHFVAAHAARHPAPLLAVGVQGFPRLCVDLVDLSGNLVKQILRVGKGRVVSGSSADRVLVAGEDHSVRVLNPTTGSISILPSHRCGGADPSTIAAWFAFGQTASTGECKLVRILLNIDNSRHLSEVITIGDTDGEWRETANPPGYLGWNCTNGVVFKGAAYFILDYCFSDPSFLERGCMPSFDFATEKWSVALQGPLNRILEESNGTLSYHDLANQLMLSGLKGTLCTSHWNDQFYTVDLWFLTDSEKGTWSKDHRINVDAVFHGIGDYLKVQPLLVTDEGKIVLSMQMGSKGVVQIYDPVTDTSSDIIQISIYTGASVFTGSLLCPQSVGRALYEVLPQSWALRISTALMMFRFGVIKV >ORUFI07G06330.1 pep chromosome:OR_W1943:7:4886298:4887107:-1 gene:ORUFI07G06330 transcript:ORUFI07G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPLCLWVLNPATGVTVALPKNHSDEIAAGRGMMMYHGKVESHAFGEISSTGVYKALRIIRFYQRQLCEVIAVDGNNQDMWRKMQGPPATICCSKQMRCVVVDGVVYFMMEFYTTYFEIVVLPVEPGSIASFNLETEKWMTVQGPEVVHRHVQDGDSTYSELNLQLSLADSGGCLVTVHNIPPIRMDLWFLTDSETGMWVKKFSLPSQFIIVTVHPLLVLDDGRVYTRSVNKEFRSEDPGTGTCATVFEASRSSYKHFGIYTGNLLSS >ORUFI07G06340.1 pep chromosome:OR_W1943:7:4889293:4892584:1 gene:ORUFI07G06340 transcript:ORUFI07G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAIASPIPAAIAVVQQQRRGRSRGGGSGAAAVRCSAVAPTSAIAPILADLRLRCAAPLPVLRRVADAMASGMRAGLADDGAGELKMIPSHVYSLPTGNETGLFYALDLGGTNFRVLRVQLGGKDKRIIDTEFEQVSIPREIMHGITEDLFDFIASGLSRFVATEGDKFHLPQGRKRELGFTFSFPVNQTSIDSGILIKWTKGFAVSRTAGKDVVACLNAAMERQGLDMRVSALVNDTVGTLAGARYWDDDVMVAVILGTGTNACYIQRTEAIPKLQHLKLETGNTIINTEWGAFSDGLPLTEFDREMDDESINPGEQIFEKTISGMYLGEIVRRVLVKMAEVSDLFGHSFPKKLAEPFVLRTPHLCAMQQDTSDNLGEVESILSDVIGVSQASLLARRVTVEVSDCIIRRGGRLAGAGIVGILEKMENDSRGHIFGRRTVVAMDGGLYEKYPQYRRYMKEAVAELLGPERSNRIAIEHTKDGSGIGAALLAAANSKYAAAQISTR >ORUFI07G06340.2 pep chromosome:OR_W1943:7:4889293:4892736:1 gene:ORUFI07G06340 transcript:ORUFI07G06340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAIASPIPAAIAVVQQQRRGRSRGGGSGAAAVRCSAVAPTSAIAPILADLRLRCAAPLPVLRRVADAMASGMRAGLADDGAGELKMIPSHVYSLPTGNETGLFYALDLGGTNFRVLRVQLGGKDKRIIDTEFEQVSIPREIMHGITEDLFDFIASGLSRFVATEGDKFHLPQGRKRELGFTFSFPVNQTSIDSGILIKWTKGFAVSRTAGKDVVACLNAAMERQGLDMRVSALVNDTVGTLAGARYWDDDVMVAVILGTGTNACYIQRTEAIPKLQHLKLETGNTIINTEWGAFSDGLPLTEFDREMDDESINPGEQIFEKTISGMYLGEIVRRVLVKMAEVSDLFGHSFPKKLAEPFVLRTPHLCAMQQDTSDNLGEVESILSDVIGVSQASLLARRVTVEVSDCIIRRGGRLAGAGIVGILEKMENDSRGHIFGRRTVVAMDGGLYEKYPQYRRYMKEAVAELLGPERSNRIAIEHTKDGSGIGAALLAAANSKYAAAQISTR >ORUFI07G06350.1 pep chromosome:OR_W1943:7:4896379:4929772:-1 gene:ORUFI07G06350 transcript:ORUFI07G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVASYAEGVGKAIVGKIGQLVSDEFRLLSGVRGEIVYLRDDVAIMNALLRMLSEADEGTVVHFVREWMKQVRELAYDAEDCIDLFLLRISFAPPRAGALGRAWRRLVTIGPRHRLAVDIQNLHARALAISERRVRYEVDGQALRPSVWFVPAATAATMSSAAHTLRLHPANEYPSKFLGIGDQVQRLSDLWLTSDNNEPDVSLKVFSIVGFGGLGKTTLAMEVCRNLEEEFPCQAMVSVSQAFDSRKDLSGLLKRMLQQIVRVRRDLQLQEEKPLANIDDGDADWLAMKLREHLADRRYLIVIDDVWTISSWEAILTRIPDNKCSSRIIVTTRIEHVARACSPASPGEDYIQRIKPLGSEDAKELFVNRVFGPQKDCPEQFEEIMYKILTKCSGLPLAIVCIASLLGSYTSPGGVEVWTRVCNSTGSLMENNPTLDGMRQILTLSYNHLPHHLKACMMYLSTFPEDYAISRDRLVQKWMAEGLIPEMRGLTSAEVAEAYFDELLSRNMTTTVSYSNDGKPNSCSVHDMMLEVIVSKALESNFVSLVGGQCGSMPYSSVRRLSIQNDDIGSGIDNTNLRHVRSLTAFRPEGHRKLLDRLAEFSLLRMLDLEGCKDLRNKHMKHICRLFLLKFLSLTDTDITKLPSQINKLQHLQTLWLFNTLLDKVPESLVDLENLKQVGFSNRQDWRKLLRLPQHISKMKAIEELTRFELLSEDAQLAMEIGDLVHLQFLNVVLNCFDCSDGQLLTELAKSIGRCSLYRLKVEDMMPDSNNMNFLLNLPSPPKLLRYLCIGGTIDRIPGWVQSLTHLVHVEFWWIYLPSDEIYGALYKLPSLTKISLDRWCCSEDELVARTDFKFPLLKVLSFVPDEGTPQVVRFEEGAMPKLETLVMYFHDKKRSLEGVEHLTSLKDVRVRGSRDNHEMGTAVIQLKEENARRHSSNQFKVIVEYDSFDFARMESAAASHAGGLVARLGQLVTAEFRLLSGVRGEVDRLRDEVAIINADLRRLSEADESAVDHSVREWMKQARELAYDAEDCIDLFFFLRISLAPPRAVFRTRNFDLINKEKKSRQLINCKTDLIRYLIVIDDVWSISAWEAIMSRMPDNKCSSRIIVTTRIEHVARACSSASLEEEYYIHRVKPLQFQDAKKLFINAVFGPQQYCPEHLVEIMHKILTRCNGLPLAIVCIGRLLAGYRSSEGIEIWTRVSNSISSEMENNPTLEGMRQIITLSYNHLPHHLRACMMYLSIFPEDYTIGKNRLLYRWIAEGLVSEQRGLTIMEVAEAYFDELVSRNMIQPPHVEPYGRTVSCQVHDMMLDIVISKALESNFVSLVDGQCQGTSYGRVRRLSIQSDDIQSAIDYTKFSHIRSLTTFRPKGHRKLLDKLAKFTLLRVLDLQDCKDLQNHHMKHVCQLFLLRFLGLSGTDITELPSQINKLWHLQTLWLFNTLLDKVPESLVDLEKLERVGFSNRCNSKILLRLPRQIRKMKALQRIYSFELHEDDTQLAKEIGDLAQLRVLGVILNCSNCSHKQVLTELAKSIDRCSLHELFLDDMNFQANNMNFLLELPSPPKSLRVLYIRGIIDRIPGWVQSLTHLILIELWWINLHSNEIYGVLYKLPSLSKIILGRRCCSDDKLVASTAFKFPLLRELFLFPDEGTPRVFGFEKGAMPKLETLVMNFHGEGSILDGIKHLKSLKEVRLYGWKNYNSQRSVVDQLKAESLSRQKLHQFKSAAASHAGGLVARLGQLVTAEFRLLSGVRGEVDRLKDEVAIMNAVLRRLSEVEEGAVDHFVREWMNQVRELAYDAENCIDLSLLRVSHAPPRAGALRHGWRRLVTIGPRHRLAGDIRKLLARALAIRERRVRYDIDGQALPRSVWFVPASTTVPSTAHALRPSKLVGIDDQVQHLSDLVKSERLTCDNQPDVGLKVFCIVGFAGLGKTTLAMEVCRSLEEEFACQAMVPVSQVFDAGKDLGRLLKQIIKKVVRVTSGRGLQEEQELRNIDEDDVDELAMMLGDCLDGKRYLIVIDDVWSISAWEAILSRLPDNKCNSRIIVTTRIEHVARACRSASLEEEYYIHRVKPLQFEDAKKLFINAVFGPQQDCPEHLKDIMHKILTRCSGLPLAIFCIVRLLAGYRSPEGAVEMWTRVCNSTGSLMENNPTLNGMRHIITLSYNHLPHHLRACMMYLSLFPEDYVVDKRRLLYRWIAEGLVSEQRGLTPMEVAESYFAELVNRHMIQPSCTETLGTLMGCRVHDMMLDIIVCKALESNFVSFVGGQCRDPSYGSVRRLAIQSDDLGSSIENTNLRHVRSLTTFRPQGHRKLLDCLAEFTLLRVLDLQDCKDLQNKHMKHVCQLFLLRFLSLNGTDITKLPSQINKLQHLQALWLISTLLVKVPESLVDLEKLEHLGFKNKHDRTILLRLPRHIRKMKALQSLYRFEFREDDAQLAEEIGDLVQLRVLNVVLNCSNCSEAKVLTELAKSMGRCSQNLCKLFLEDMHFNANNMNFLLELPSPPKFLRVLYIGGTIDRTPDWVQSLTQLVEIVFWWTNLPSDEIYGVLYKLPNLSKIILGKRCCSEDKLVASGAFKFPQLKELILGPNDGKPRVFGFEEGAMPKLKTLEMNFHKEDMILDGVQHLTSLKEVRLRGWKHNSALHRAVDQLKAHSMSRHRSEQLKIIVTYF >ORUFI07G06360.1 pep chromosome:OR_W1943:7:4944018:4945280:-1 gene:ORUFI07G06360 transcript:ORUFI07G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTRQPRSGGRRKRRMAAAGDGGGGVAALMPEDMVREVLLRLPAKAAARFRAVCRPWRATLSDPRFVAAHAARRGALLVATGAPCRTSRGSGGHVDLVGLAGDVVRRTRAEEGVLELSTCGDLACVVGTDRRARVVHPVTGAGADDPLPHDLAEENKPWAGWRLEERFHAFTHAFGRASSTGEYKVLRVASLSPDLRVEQLVEVLALDRAGRAHAGARWRGMPRPPFHLAGASNAGMAVVAGVVHFLAVDIPLPFLPFEHDDDDIHHGAIARFDLDTEQWRPLLRGPLNIHQIQQDNDLSPPLLTLTELKGFLVTVHRDRSHQSSSMDLWFLIDSEEETWVKEYKIQIHLRPREFYAHPLLVLDERMIVFCVRPKGRVMVYDLEIGKCKDLGDGDCVEDGVYKGCLLSSGSVVVDNDK >ORUFI07G06370.1 pep chromosome:OR_W1943:7:4947852:4948241:-1 gene:ORUFI07G06370 transcript:ORUFI07G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPAAAATTGVGEGDFWNVGDGVVYVLDQSGPLLRMDLLLGVLRRLRGGAAVARARDACRSWRAVLSDDLSDPIVDAARRLQRRQEEPRPPRTRKRSGRRAKGDGIPPPRHGRRPRRKPAEFRMVCH >ORUFI07G06380.1 pep chromosome:OR_W1943:7:4954283:4958338:1 gene:ORUFI07G06380 transcript:ORUFI07G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHRHRGAVVLVVAAAAMAMAMSAVRGDFAADRAECADKLMALSTCLTFVQDGASGGAAAPTPDCCSGLKAVLAASRKCLCVLIKDRDDPNLDLKINVTKALSLPQLCNAPANISDCPRLLNLPPNSKDAQIFEQFAKQQAAMQGSPSASPGGSSAPAAGAQKSGAAVLRWLGVDGVGGGGARAVVLLLLSSVVAVAGAAPLLLVF >ORUFI07G06390.1 pep chromosome:OR_W1943:7:4967856:4975213:1 gene:ORUFI07G06390 transcript:ORUFI07G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSSRSRPAGHSGVFPVSGAVGGGGGGGGGGGGGGGGDGGVQLADKLKIFKTDNFDPDAYVQSKCQTMNEKEIRHLCSYLQDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELLSIRNLLNTQAALIHGLSEGVQIDSLTSNTEGSAEDDISNVEDQEPSEIQKWSADFPDMLDVLLAERRVDEALDALDEAERLASDAKLKQTLTATEIAALRRAVSDNRQKLADQLAEAACQSSTRGIELRAAASALKRLGDGPRAHSLLLNAHNQRLQCNMQTIHPSSTSYGGAYTAALAQQVFSVVAQALSDSVEVFGDESCYASELVTWATKQVMSFALLVKRHVLSSCAAAGGLRAAAECVQISLGHCSLLEARGLSVAAVLLRQFRPSLEQALYSNIRRIEESTAALAAADDWILTYPPTGIRPLARSSAANLALQPKLSNSAHRFNSMVQEFFEDVAPLLSLQLGGSTMDDITKIFNSYVNLLISALPGSMEDEANIDGLGNKIVRMAESEEQQLALLANASLLAEELLPRAAMKLSSMNHSSMDDLRKRGSDKQNRMPEQREWKRKLQRMVDRLRDSFCRQHALELIFTDEGETHLSADMYISMDNTVEEPEWAPSLIFQELYAKLNRMASIAADMFVGRERFATLLMMRLTETVILWLSEDQAFWEEIEQGPKPLGPLGLQQFYLDMQFVIIFGQGRFLSRHVHQVILDIIDRAMAAFSATGMNPDSVLPGDDWFMDVSQEVVSMISGKGRAANGDREINSPTASVSAHSMSSFRSHGSS >ORUFI07G06400.1 pep chromosome:OR_W1943:7:4980799:4985504:1 gene:ORUFI07G06400 transcript:ORUFI07G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGCVREQVAQIVRSLLHSTPELVGFVDLPGSMVKPHNVLPIGFGFRSKRHVRQQSHPQASTIARLYLEMKRCLPSSGQPQGLSLEGLSDKARSWMADILDLSLRAGCKRSEHHQGYPLQSSEKKGKLTIALST >ORUFI07G06410.1 pep chromosome:OR_W1943:7:4991191:4996839:1 gene:ORUFI07G06410 transcript:ORUFI07G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGALLGPEAEALVRLRAAACRLRREVAGDDDEHWAFAYSMLHRVSRSFAIVIQQLGPDLRNAVCVFYLVLRALDTVEDDTSIPTEIKVPILQEFHCHIYNRDWHFSCGTKDCKILMDKFHYVLTAFLELGSGYQEAIEEITRRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGIGLSRLFHAAGLEDLAPESLSNSMGLFLQKVNITRDYLEDINEIPKSRMFWPREIWSKYVDKLEDLKYENNSVKAVKCLNEMVTNGLIHTEDCLRYMSALKDITILRFCAIPQVMALATYALCYNNVNVFRGVVKLRRGLTARIINETNSMADVYTAFYEFSSLLAEKIDDNDPNASLTRKRVNAIKETCKSSGLLERRGYDLDRPKRNPAMIMMLLLLLVAIFLGALYRR >ORUFI07G06410.2 pep chromosome:OR_W1943:7:4991191:4996839:1 gene:ORUFI07G06410 transcript:ORUFI07G06410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGALLGPEAEALVRLRAAACRLRREVAGDDDEHWAFAYSMLHRVSRSFAIVIQQLGPDLRNAVCVFYLVLRALDTVEDDTSIPTEIKVPILQEFHCHIYNRDWHFSCGTKDCKILMDKFHYVLTAFLELGSGYQEAIEEITRRMGAGMAKFICKEKVNITRDYLEDINEIPKSRMFWPREIWSKYVDKLEDLKYENNSVKAVKCLNEMVTNGLIHTEDCLRYMSALKDITILRFCAIPQVMALATYALCYNNVNVFRGVVKLRRGLTARIINETNSMADVYTAFYEFSSLLAEKIDDNDPNASLTRKRVNAIKETCKSSGLLERRGYDLDRPKRNPAMIMMLLLLLVAIFLGALYRR >ORUFI07G06420.1 pep chromosome:OR_W1943:7:5002379:5002733:1 gene:ORUFI07G06420 transcript:ORUFI07G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHRCPTGPAWCRRLGLWDRFRSAQPARPAVGPACNTNPHNSAAGQTRFRAPGLHDRAGPTLPGCGTALPRHHPVLPSHFNISSVRRRYIFAKLYLPESH >ORUFI07G06430.1 pep chromosome:OR_W1943:7:5002815:5010007:1 gene:ORUFI07G06430 transcript:ORUFI07G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVVKKDDDLDEEEYYSPFLGIEKGAVLQEARVFHDPQLDARRCCQVITKLLYLLNQGDTFTKVEATEVFFATTKLFQSKDAGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDSTLLTQIERYLKQAIVDKNPVVASAALVSGIYLLQTSPEVVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVRSPLAQCLLIRYTSQVIRESSMNSQGGDRPFFDFLESCLRNKAEMVILEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQMTNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIIILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGALVDSLKPRIFVLLRRCLFDGDDEVRDRATLYLKLLGGEATVGETEKDVNEFLFGSFDIPLVNLETSLRNYEPSEAPFDISSVSLETKSQPLAEKKTTGKKPTGPASALSGPVPTVDASYEKLLSSIPEFAGFGKLFKSSAPVELTEAETEYSVNVVKHIYDGHVVLQYNCTNTIPEQLLEEVVVFVDASEADEFSEVATKSLRSLPYDSPGQTFVAFEKLEGVLATGKFSNILKFIVKEVDPSTGEADDDGVEDEYQLEDLEITSADYMLKVGVSNFRNAWESMDPESERVDEYGLGARESLAEAVSAVIGILGMQPCEGTDVVPSNSRSHTCLLSGVFIGNVKVLVRLSFGLSGPKEVAMKLAVRSDDPEISDKIHEIVANG >ORUFI07G06440.1 pep chromosome:OR_W1943:7:5013230:5030793:1 gene:ORUFI07G06440 transcript:ORUFI07G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATREAAPMAASASSSSPLHIVMFPWLAFGHMIPFLELAKRLARRGLAVTFVSTPRNVARLGAIPPALSAHLRVVPIDLPAVDGLPEGAESTADVPPEKVGLLKKAFDGLAAPFAGFVAEACAAGDGEATTTAAGFSRKPDWIILDFAQNWVWPIAEEHKNLAHPRTKTEHFMVQPPWIPFPSNVAYRRLHGAEWIAAVFRPNASGVSDADRFWEMEHACCRLIIHRSCPEAEPRLFPLLTELFAKPSVPAGLLMPPPPPPPPAGVDDDDDDVSMDDQHIAMAMRWLDEQPERSVIYVALGSEAPLTVGHVRELALGLELAGVHISAAMAATSDSTPAAAAAASSSSSPLHIVVFPWLAFGHMIPFLELSKRLASRGHAVTFVTTPRNAARLGATPPAPLSSSSRLRVVPLDLPAVDGLPEGAESTADVPPEKVGLLKKAFDGLAAPFARFVAEACAAGDGEAVTAAAGFLRKPDWIIPDFAHSWIWPIAEEHKIPYATFLIVTAALVAILGPRRENLTHSRTTAEDYMVQPPWIPFPSNIAYRRRHEAEWMVAAFRANASGVSDMDRFWKSEQHPNCRLIIYRSCPEVEPRLFPLLTELFAKPAIPAGLLMFPDTINNDDDASEQSFVPPAIEWLDKQSEKSVIYVALGSEAPLTEDHVRELALGLELANVRFLWALRPPRGDGGSNDGGAAEILPDGFESRVAARGIVCTQWVPQLRVLAHRAVGGFLTHCGWGSTIESFQFGHPLVMLPFIVDQGLIAEAMAARGIGVEVARNDDGLFHRDDVAAAVRRVMVEEEGKVLARKAKELSDIVGDREQQEMYLDELVGYLQLYK >ORUFI07G06440.2 pep chromosome:OR_W1943:7:5013230:5030793:1 gene:ORUFI07G06440 transcript:ORUFI07G06440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATREAAPMAASASSSSPLHIVMFPWLAFGHMIPFLELAKRLARRGLAVTFVSTPRNVARLGAIPPALSAHLRVVPIDLPAVDGLPEGAESTADVPPEKVGLLKKAFDGLAAPFAGFVAEACAAGDGEATTTAAGFSRKPDWIILDFAQNWVWPIAEEHKGLIAEAMAARGIGVEVARNDDGLFHRDDVAAAVRRVMVEEEGKVLARKAKELSDIVGDREQQEMYLDELVGYLQLYK >ORUFI07G06450.1 pep chromosome:OR_W1943:7:5022759:5023061:-1 gene:ORUFI07G06450 transcript:ORUFI07G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASVTVCKLSPDFIDIAPPPPCSCARCRRLPSRCAPGGRCALSSLAVGPRRCAAAFPAVSRAVPARAVAPTDEEEEGRKKRKRGRVMKS >ORUFI07G06460.1 pep chromosome:OR_W1943:7:5026422:5026988:-1 gene:ORUFI07G06460 transcript:ORUFI07G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTFNTSTTHSSRGQQEKQSHQEQDGEEEGATAFSTATAATDVSTASAVVGWRGGGRCCLLHHHHYRCCGKPPSPPPGPSHHRRLPSRRQAAATTSRQIWRRGGHRQRAVGPPPHPLLLPSRHRHLPSRQIWRRGGCRCHLPSRRRRKGGEGDDGEGEEEGGSGEGRRGTVERGKKRERSVGERRKG >ORUFI07G06470.1 pep chromosome:OR_W1943:7:5046527:5064725:1 gene:ORUFI07G06470 transcript:ORUFI07G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSVPGVYAYELLLWARKELENLEVVTVGDSGGGGGNTITVHGDKAPVDGKGEAATPAKRADVSEAAAGFSRKPDWIILDFAQNWIWPIAEEYEIACAIFSIFPAALGAFVGTKQENLAHPRTTTEDYMAQPAWIPFPSTVTYRRHEAEWIAAGFRPNASGVSDADRFWDSERPSCRLIIYRSCPEAEPRLFPLLTKLYTKPAIPSGLLVPPALDDNDIGVYNRSDRSFVAVMQWLDKQPNKSVIYVSLGTEAPITADHMHELAFGLELAGVRFLWALRRPSGINCHDDMLLPSGFETRVAARGLVCTEWVPQVRVLAHGAVGAFLTHCGWGSTVESFHYGQPLVMLPFIADQGLIAQAVAATGVGVEVARNYDDGSFYRDDVAAAIQRVMVEEEGKELAHRAIDAASSGIESGRRYDGWLLLRNRSKEEEEEASRVRRESLPAWRRLLRRHHPPPPRRCRRRRRGATDSADADVVGKGREVESTSPRRSAERSCHDSEGCCTAAILLLVVGAGSGGVERQMARMRTWLGRDGRWRRTSPRRCTERSCHDSEGCCAAAILVVVVDAGGSGVECEEKVAASVAPPRLRGRRAVPGEAAADASSSSSSSSSSSSSSSSSSSPLHIVVFPWLAFGHMIPFLELSKRLASRGHAVTFVSTPRNAARLGAIPPALSANLRVVPLDLPAVDGLPEGAESTADVPPEKVGLLKKAFDGLAAPFASLVAEACGGGSAGDGEEAAAGFSRRPDWIILDFAQNWFWPIAEEHEIPCAVFFIIPAAIVTFIGPKQENITHPRTTTEDYMVAPPWVPFPSTLAYRRHEAKWIAAAFQPNASGVSDVDRLLEMERSCCRLIAEPRLFPLLNKLFARAAVPASLLLPADIVHDEDAPNTTSNQSFVSAIQWLDKQPNGSVIYVALGSEAPITTNHVRELALGLELSGVRFLWALRPPSGINSQTGTFLPSGFESRVATRGIVCTEWVPQVRVLAHGAIGAFLTHCGWGSTVESFCFGHPLVMLPFVADQGLIAQAMAARGIGVEVVRNYDDGSFYRDDVAAAVRRVMVEEEGKVLARKAKEVHSILGDRAQEEQYLDEFMAINGVAGDVDVDVDASAPPPPLHLVMFPWLAFGHLTPFLQLAKRLAARGHAAVTFLATPRNASRLAALPPELAAYVRVVSLPLPVVDGLPEGAESTADVPPEKVELLKKAFDGLAAPFAAFLADACAAGDREGRPDPFSRRPDWVVVDFAHGWLPPIADEHRVPCAFFSIYSAAALAFLGPKAAHDAHPRTEPEDFMSPPPWITFPSTIAFRRHEAAWVAAAAYRPNASGVSDIDRMWQLHQRCHLIVYRSCPDVEGAQLCGLLDELYHKPVVPAGLLLPPDAAGDDDDGHRPDLMRWLDEQPARSVVYVALGTEAPVTADNVRELALGLELAGARFLWALRDAGERLPEGYKARVAGRSVVEAGWVPQVRVLAHAAVGAFLTHCGWGSTVESLRFGGLPLVMLPFIADQGLIARAMADRGLGVEVARDDDGDGSFRGEDVAAAVRRVMAEEEGKVFARNAREMQEALGDGERQDRYVDELAERLRRRRSLS >ORUFI07G06480.1 pep chromosome:OR_W1943:7:5055436:5055924:-1 gene:ORUFI07G06480 transcript:ORUFI07G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPLLFPSFSLPVDFAWNGTAASKARWGDTGGHLLLTLHAAAAGIDNDDEDGGGAAAFAVVAGSLGASARARPPPPPVPPQPRPHPRHLPLHATTAGTDDEEEDGGGAAAFAVVAGSLGGSARARALHLPSLPNHVRIRAVCCSTPPPPAPTRRRRMVAA >ORUFI07G06490.1 pep chromosome:OR_W1943:7:5066382:5071723:-1 gene:ORUFI07G06490 transcript:ORUFI07G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADSAANAEESAPAAAANHGNSRLPRSSYVPPHLRGQAAPAAPAQAGALPSVAAAAQPSVGQPGVVGGPRWAGIVNGGGGGGGGSVGGSRQGFGVGGRGGGGGGGGGAWNSRPGGWDRRDREPDPFANSEAAEVDFEGENTGINFEAYEDIPVETSGHDVPPPANTFAEIDLGDALNENIRRCKYVKPTPVQRYAIPISIAGRDLMACAQTGSGKTAAFCFPIISGIMRSRPPPRSRGSRTAYPLALILSPTRELSVQIHEEARKFAYQTGVKVVVAYGGAPITQQLRELERGVEILVATPGRLMDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGERQTMLFSATFPKEIQRMASDFLADYIFLAVGRVGSSTDLIVQRVEFVLDADKRSYLMDLLHAQRANGTHGKQALTLVFVETKRGADALENWLYNNGEYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNESNTPLARPLSELMQEANQEVPQWLERYAARSSFGGGGGRNRRSGGGARFGGRDFRRDRGSGGGGYGGGGGGYGGGGYGGGGGGGGYGGGSSYGGGGQGFSSAWD >ORUFI07G06500.1 pep chromosome:OR_W1943:7:5074172:5076238:-1 gene:ORUFI07G06500 transcript:ORUFI07G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQGMMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >ORUFI07G06510.1 pep chromosome:OR_W1943:7:5086062:5091545:1 gene:ORUFI07G06510 transcript:ORUFI07G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSLLRRAAVAATATAAGGGVGVGARATTGRADPPAALASLLVASRSYAKAKGGGKPAGATSNRGKVRAKDPRGVASEEGAAGEFEGGGGGAGGGDDLDVEFELPTDPLPPTYDTALDVGPGGRPLFAFTDTFASFSRRDANAYVDFTLDEWKAMLPEGLPAGMMKEFQETRRCAVMDFLKYNENRLQQLPCQIFEPIPLGEGAGVGMMKGADTVEMPEGSTLYDLIQTGITHSHASVGVVVRLRKELSLVKDVPVLFAIDQVNVYRPMLHNDMMVGAFSHSTAVGKLRQDLPDVPSDARVMFPRYTVNEAETVCHYYMRQKIIKRENFSEEKWKKIYYLSNGNGSEMRWLAAFI >ORUFI07G06510.2 pep chromosome:OR_W1943:7:5086062:5091545:1 gene:ORUFI07G06510 transcript:ORUFI07G06510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSLLRRAAVAATATAAGGGVGVGARATTGRADPPAALASLLVASRSYAKAKGGGKPAGATSNRGKVRAKDPRGVASEEGAAGEFEGGGGGAGGGDDLDVEFELPTDPLPPTYDTALDVGPGGRPLFAFTDTFASFSRRDANAYVDFTLDEWKAMLPEGLPAGMMKEFQETRRCAVMDFLKYNENRLQQLPCQIFEPIPLGEGAGVGMMKGADTVEMPEGSTLYDLIQTGITHSHASVGVVVRLRKELSLVKDVPVLFAIDQVNVYRPMLHNDMMVGAFSHSTAVGKLRQDLPDVPSDARVMFPRYTVNEAETVCHYYMRQKIIKRENFSEEKWKKIYYLSNGNGSEMRWLAAFI >ORUFI07G06520.1 pep chromosome:OR_W1943:7:5092927:5100007:-1 gene:ORUFI07G06520 transcript:ORUFI07G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSASLPEYVQFDGDLLPVAWLEGEVLAEFLAFLDDAAAAAAEDEAEPYEVEFEEEEEEEPQEVEFAADDDSDDDVGGDLVDDGGVMEEDGLDYVYDDDDVELVDADFEDGSFDDGLVSDADADGGAATATAEEHAARAAEPPARNARMSVGPVKQFGGDYEAINEMIRERTTSGGALAGSPRRCRASVASGGGRRRTASLSSASASLPEYVQFDGHLLPVAWLEGEVLAEFLAFLDDAAAAAEDEAEPYEVEFEEEEEEELGGGAVEFEVEEEEEEEDPQEVEFEVEEEEEEEPQEVEFAADDGSDDGVVVVVGDLVDDGGVMEGEVDYVVEHFEDDDNGGGSFDDLVSDADVADDGGGGGFDDLVAEADDEAATTPAAAAADDARAPRTTARMSVRRPVSLLGVDYDTINDIVRKHLAEKNRRRRARTMLLLRRQRRRGTAAVAHRRCPRRHARRRIV >ORUFI07G06530.1 pep chromosome:OR_W1943:7:5095310:5095759:1 gene:ORUFI07G06530 transcript:ORUFI07G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIAIVEMKSGRGRRVVAAIIRSTPARATSDKSAATITVGSILAGSTANGSATINYVVFFSPPPFVVVTLRLPSLSLLDPPHPDPSPRSTAGSVPIGPVADGSAIDNSAVLLGLLRHRGCPLPRHSILLCSPVAADPMPVVSSSHAGH >ORUFI07G06540.1 pep chromosome:OR_W1943:7:5101606:5101824:-1 gene:ORUFI07G06540 transcript:ORUFI07G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVTAEGGLGIREDRVTDGATSRRTMNARMSVKPMSLGIDYTILERADPQTRRGAAATGAVATVPRLAEM >ORUFI07G06550.1 pep chromosome:OR_W1943:7:5102467:5121752:1 gene:ORUFI07G06550 transcript:ORUFI07G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGDGKKGKGSGKPDQLRPKSKQFKKHSRKEEVAGEGEQQERPAAPDSAAVLAAAAADDGDFPRGGRSLLSRDEVAEARAEADADFEREERRGKRKRKGASSSGAGGDDDLGSLFGGATTGKLPRFANRVTLKNISPNMKLWGVVIEVNQKDIVVSLPGGMRGFVRSEEVHDITSQETRKDSEGSICADVVHVGQLVPCIVLRVDDDNKEGKVNKRVWLSLRLSRIYKGLSLDAIQDGMVLTAQVKSIEDHGYILHFGVSSFSGFMPKADRESAKIESGQLIQCVVKAIDKAREIVHLSSDEDLLSKSIIKDLKGLSIDHLIPGMMVNARVHSVLENGVMLSFLTYFTGTADIFNLSNSFPSGSWKDDYIKNKKVNARILFVDPSTRAVGLTLNQQLLRLEVPSINVKAGEIYDKARVLRMDKRAGLFLEIPSPTPSPGFVSIHDVSDKDVKNVEKKFKEGSMARVRVLGVRHLEGVAIGTLKESAFEGSVFTHADVKPGMVVRAKVVTVEPFGAIVQFSSGVKALCPLPHMSELEHVVKPPKKFKVGVELTFRVLGCKSKRITVTFKKSLVKSKLDVLASYADAKIGLLTHGWITKIEKHGCFVKFYNGVQGFVSRSELGLEPGTEAENVYHVGQVVKCRVVSVVPASRKINVTFLISTNRVIQADTPKVGSIVSGVVERLTPAAVVVSVNGFCKGSILNEHLADHRGQAAQLKNLLKPGHEFSELLVLDVEGQNLVLSAKQSLINCASDIPSEISQMHAGSVFHGYVCNIIEAGCFVRFLGHLTGFSPKDKAVDRSVEKLSNAFYVGQSVRSHILNVNAESARVKLSLQQSMCSSADCSFVQGYFLLDQKITELKYSDPSSSFHDWLNTFAIGNLVEGEVGAIEEYGVILNFQSHPDVVVGDSSVEVGSSVKGLVIDLSDGVFNISLKSELVRSVSKVGKKKKRHRAAVMDLELHEEVNAIVEIVKESHVVLSIPEYNYAIGFAPLMDYNSQLLPCCNYENGQRITVVVGSMPSSGPTGRLLLLPKASGKNSSVSSSKRAKKKSDFKVGSLVEAEIIDIKPLELLLKFGSNLHGRIHITEVFDDDSNDCPFSELQIGRSVQARIVAEAEHSGKGGKNSKWELSIRPSLLQGGLEDFTPPKAELRHSIGGIVHAYVVKVDREWIWLTVSRDVMAHLFILDSSAEPGELEKFQQRYSVGQAVKGRIIGVNREKRLLRLKALDSQSLPENIGETQKPLSATVEHTKQGDIIGGRIQKILPGVGGLVIQIGPHLHGRVHYTEIVDSWVQEPISGFHEGQFVKCKVLDVSRSSEGSVRVDLSLRSSMCANSNQSRRLFDDSRIRTSRFEKINDLCPGTEVKGYVKSVNSKGCFIMVSRTIEARIILSNLSDEYVENPQNDFPVGLLVHGRVLSSEPQSGKVEVSLRKNTGSKSQKSDDISYSDLHVGDIIAGQVKRVESFGLFVTIQGSELVALCHVSELSDEPVLDIHSCHKAGDKIDEERHRVSIGMKKSYIGPDSMGDTSDDEDDEIVPEEISRNPVMGRDRNHALVLPKPESRASVLPLQVSLDESEGSDQENDNKGQEIANGTEVDDKKSNKRLKEKARKQRELKISALEERALQRDIPQTPDEFEKLVRSSPNSSFVWINYMAFLLDLADVDKARAVAERALRTINIREEEEKLNVWVAYFNLENEYGSPREDAVKKIFQRALQYCDPKKVHLALLAMYERTEQYTLADELLDRMTKRFKTSCKIWLRCIQLSLKQSKDVECIKLIVKRALLSLPQSKRIKFLSQTAILEFKCGVPEEGRSRFELILREYPKRTDLWSVYLDQEIRLGDTEIIRALFERVTCLSLPPKKMKFLFKKYLEYEKSQGDEERIEHVKQKALEYVQSSLS >ORUFI07G06560.1 pep chromosome:OR_W1943:7:5123259:5128161:1 gene:ORUFI07G06560 transcript:ORUFI07G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPASASPSLPEGEVLADFLVFLDDAAAAAAAAEPYEVEMEEEEEEEPQEXRRVAAAMSRLRRQRRRPAEDGGAPTDDDVGGDLVGNGGLMEDEVDYAVDELVDADSEDGSLDIMADDGDDEAATEEEHATRAAEPPAATARNARMSVKPVKQFGGDYEAINEMIREYLQADKKRRRARRVAAAMSRLRRQRGQPTGMKVNRP >ORUFI07G06570.1 pep chromosome:OR_W1943:7:5129771:5130298:-1 gene:ORUFI07G06570 transcript:ORUFI07G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLPEYVQFDGHLLPVAWLEGEVRAEFLAFLDDAAAAAEDEAEPYEVEFAADDGIVDDGGVMEDGVDYVYDDVELVDADFEDGLVSDADLADDNDGGAATAAAEEHAARAAEPPAGNARMSVKPVKQFGGEYEAINEMIREYLQADKKRRRARRVAAAMSRLRRQRGQPTGM >ORUFI07G06580.1 pep chromosome:OR_W1943:7:5130994:5131458:1 gene:ORUFI07G06580 transcript:ORUFI07G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSAAAASLPEYVQFDGHLLPVAWLEGEVLAEFLAFLDAAAAAAEDAAEPYEVEFEEEEPYEVEFEEEPEEVEFAADDDDDGGLMEDGGRGSEVDDDDSDLFKAYEEEAEQEMALLLPHIMAIPAVMARAAAPATEQETKRHQFVSDQRGWM >ORUFI07G06590.1 pep chromosome:OR_W1943:7:5132430:5144815:-1 gene:ORUFI07G06590 transcript:ORUFI07G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTAGGGGGGGEAGAASSSSSSSGPSTSSAAAAATASASASSSAVATRYLAKRVLRGSAVLHVAQGCFRSPDCVDVVLCKENSLELVVIGEDGVLQSICEQTTFGIIKDVGVLNWRCTHFGLMPKIEGKEILVILSDSGKLSLLYFCSEMHRFFAIANIELSKPGNLRHRLGRILAIDRESRFVAVSAYEDEFAFVRVSVDHKLHAPNGEIEEDAKIISTAYNTSSIHGTVWSMCFISTCLDEEYYPVVAMIVNRGSDVNDLALYGLDSHKRTIDRISTYLEAGPLALEISEIPQLYGFALMFRAGDVLLMDLRNPKDISCIHRISLSTSLIGDHVSVEDSCRGLDVDDDVAACALLELRDSGNNMMRDDSYMDIDGTDNKAVVKSRIVCSWNWEPNAMQGHPRLIFCLDDGEFHLLEFSLDMEGVKVLPECVHRGLPCKPLLWMDKGMVVGFVEMGDGMILQLENNRLVHKSAIQNVAPILDLAIADHHGEKQDQMFACCGMCPEGSLRVIRNGVNVEKLLRTDPIYHGVTGLWTLRMKRTDAYHSFLVLSFVEETRILSVGLSFNDICDAVGFQTDVCTLACGLVADGLLVQIHSKCVKLCLPTACAHPEGTLLPSPVCADWYPDVTISVGAVGHNVVVVATSNPCCLYILGVRSLSSFQYELYEIQHVQLHYEVSCISIPQEDWRLDNSSSSCATSGDFRKDFAANIRKFAVIGTHEPSVHIISLEPGEAFQQLAVGHISVNNALGTPISGCIPENVRFVAAARFYILAGLRNGMLLRFESQTSKGHCFPGSFYKESSTPCDDTSLMLIAVRRIGITPVVLVPLHDRANADIIVLGDRPWLLQSARHSLAYSSISFLPASHVTPVSSTDCPSGLLFVSENCLHLVEMVHGKRLNAQKFSIGGTPRKVLYHSDSRTLLVLRTGLTSVSCSSDIVQIDPSNGALLSRFKCEPGETAKCMQIAKIGNDQVLIVGTSKSNGRPMMPNGEAESIKGRLILLSLETIESPRESAEELSSNSMCSSPDEVCCNQIQPELMAGHLRSLVQHTFNGAVLAVHPYLDRYVLAAAGNVLFVFGFLNESPHRIKKYTTSRTRFTITCLKTYASRIAVGDCRDGVLFYSYHENLRKLELIYSDPAQRLVGDVALLSCETAVVSDRRGSISVLSCPRLEVSESPEKNLAVHCSFYMGETAMSIQKVAFKHWLPIDDLTEPVLESVYNCVVASTLLGSIFVMIPLTSEEHQMLQDVQERLSVHPLTAPLLGNDHAEFRRRGIPSGVPPILDGDMLVQFLELTSEQQHDVLNIVSPGKKRQHDISVFQVMRALERVHYALN >ORUFI07G06590.2 pep chromosome:OR_W1943:7:5132430:5144815:-1 gene:ORUFI07G06590 transcript:ORUFI07G06590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTAGGGGGGGEAGAASSSSSSSGPSTSSAAAAATASASASSSAVATRYLAKRVLRGSAVLHVAQGCFRSPDCVDVVLCKENSLELVVIGEDGVLQSICEQTTFGIIKDVGVLNWRCTHFGLMPKIEGKEILVILSDSGKLSLLYFCSEMHRFFAIANIELSKPGNLRHRLGRILAIDRESRFVAVSAYEDEFAFVRVSVDHKLHAPNGEIEEDAKIISTAYNTSSIHGTVWSMCFISTCLDEEYYPVVAMIVNRGSDVNDLALYGLDSHKRTIDRISTYLEAGPLALEISEIPQLYGFALMFRAGDVLLMDLRNPKDISCIHRISLSTSLIGDHVSVEDSCRGLDVDDDVAACALLELRDSGNNMMRDDSYMDIDGTDNKAVVKSRIVCSWNWEPNAMQGHPRLIFCLDDGEFHLLEFSLDMEGVKVLPECVHRGLPCKPLLWMDKGMVVGFVEMGDGMILQLENNRLVHKSAIQNVAPILDLAIADHHGEKQDQMFACCGMCPEGSLRVIRNGVNVEKLLRTDPIYHGVTGLWTLRMKRTDAYHSFLVLSFVEETRILSVGLSFNDICDAVGFQTDVCTLACGLVADGLLVQIHSKCVKLCLPTACAHPEGTLLPSPVCADWYPDVTISVGAVGHNVVVVATSNPCCLYILGVRSLSSFQYELYEIQHVQLHYEVSCISIPQEDWRLDNSSSSCATSGDFRKDFAANIRKFAVIGTHEPSVHIISLEPGEAFQQLAVGHISVNNALGTPISGCIPENVRFVAAARFYILAGLRNGMLLRFESQTSKGHCFPGSFYKESSTPCDDTSLMLIAVRRIGITPVVLVPLHDRANADIIVLGDRPWLLQSARHSLAYSSISFLPASHVTPVSSTDCPSGLLFVSENCLHLVEMVHGKRLNAQKFSIGGTPRKVLYHSDSRTLLVLRTGLTSVSCSSDIVQIDPSNGALLSRFKCEPGETAKCMQIAKIGNDQVLIVGTSKSNGRPMMPNGEAESIKGRLILLSLETIESPRESGSFTAASNLNSSHAGSPFPEFVGYAAEELSSNSMCSSPDEVCCNQIQPELMAGHLRSLVQHTFNGAVLAVHPYLDRYVLAAAGNVLFVFGFLNESPHRIKKYTTSRTRFTITCLKTYASRIAVGDCRDGVLFYSYHENLRKLELIYSDPAQRLVGDVALLSCETAVVSDRRGSISVLSCPRLEVSESPEKNLAVHCSFYMGETAMSIQKVAFKHWLPIDDLTEPVLESVYNCVVASTLLGSIFVMIPLTSEEHQMLQDVQERLSVHPLTAPLLGNDHAEFRRRGIPSGVPPILDGDMLVQFLELTSEQQHDVLNIVSPGKKRQHDISVFQVMRALERVHYALN >ORUFI07G06600.1 pep chromosome:OR_W1943:7:5146781:5152260:1 gene:ORUFI07G06600 transcript:ORUFI07G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFLRHADARTPRAFVQLLAAQPPRPSAAAADQCHAAATKLGFLASNLFATTALLAFYCRSRRLPEAQHLFDQMPARTAVTWNTLIHGHARSAAPGLAVAAFARMARAGVSPTASSVSSVLVACVRLEDAAAGATLHSVGLMHGFCASVVVGTALVDMYAKCHHLGAAQQVFREMEEKNVATFTALVAGFVLSRRPHDAMLLVREMERSGVAPNLMTYSSLLSSFASPEDIDHGKQVHCAVLKKGLEHDQFVLSALVTMYSKCGILENFVKVQMSVSCQDQLSNAFAGDGRWGNAAEIRETMSDPL >ORUFI07G06610.1 pep chromosome:OR_W1943:7:5158085:5158885:1 gene:ORUFI07G06610 transcript:ORUFI07G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQFQKPTQGQSQQQQCHYQVPTVTVAKNKAKTKCSSSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFATQAAPDSPLASRIRTILTHKKLKKSMPQPTITFSTAVYHHARSTVPAAATSTSTSGVSPSRSNSSSLNFATNLSNFAMSGGEELQLASSQQQYDQSWALNTSLLPIGDGCDMSGSNACPVASDQDKMKAEKQGSHGMNGIQEQETFDMGNDLCDSLWDLPPICQLSCKY >ORUFI07G06620.1 pep chromosome:OR_W1943:7:5162373:5165087:1 gene:ORUFI07G06620 transcript:ORUFI07G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGASVSMDAAASMDMWDWEVLPDQLSSSSHGGGGHGRRVLGAQETEESNLDAAAADMAVDDECKDIGVDVAVPAETKTSQEETMAAKVTEEEEEEAFQGSDAKVVDGDDDGGGEEEEEEEEEGKKAGAECVVFRVGKLRVNGIGALCSFGVAAAATVCVFLVGGRLQHHHRQQQQHKIQLQLYGDDKRMQQVVQQTSRLNQAMSSVMGGGGSTRANISFGGYYEGF >ORUFI07G06630.1 pep chromosome:OR_W1943:7:5176656:5177547:1 gene:ORUFI07G06630 transcript:ORUFI07G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCNRLHLITKSNRADGYLLSNPTTPQPPLFPSICTLSLPHHSSMGWLHSLFSPLRRLWVRAHSERRNRRGMYILYKDVQSCQDEDVHVLWSILIDSHRHPALMKLKL >ORUFI07G06640.1 pep chromosome:OR_W1943:7:5178682:5185600:-1 gene:ORUFI07G06640 transcript:ORUFI07G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAASAAAAAAAPVVLVTNDDGIDAPGLRFLVGQLVAARRYRVLVCAPDTDRSGVSHSITWRPALRCKRVDIDGATAFAASGTPADCASLGISGKLFDGLVVSGINVGNNCGCHVIYSGTVGGAREAFLYGIPSLAMVASQSSVNDLKVAAEVVMPLINNVMAEIKNGTYPQGSFLNIDIPTDAAHHKGYKITKQGRYMARIGWEQTVYKKPAVESYQTANMDVDSEKDSEVDTSSENDLLFKRVLVRRSYDEEEGDDIDHKCLVDGYITVTPLGALSRAEADVIPYYKACLSRDQDTFVAH >ORUFI07G06650.1 pep chromosome:OR_W1943:7:5198815:5200782:1 gene:ORUFI07G06650 transcript:ORUFI07G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQDHEINNGKDRGQGVVKVIGKYFIRTRYQVTNIYQLKSRPQGVPLRVRKMLKSAQAIDEFNQDGV >ORUFI07G06660.1 pep chromosome:OR_W1943:7:5203925:5209726:1 gene:ORUFI07G06660 transcript:ORUFI07G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAATSRAPSTLAPASFSAAGGSRRRRRCPNPRVRVGVGVRCSLDSNVSDMAVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDLYESRPFIGGKVGSFVDRKGNHIEMGLHVFFGCYSNLFRLMKKVGADNNLLVKEHTHTFVNKGGTIGELDFRFPVGAPLHGIQAFLRTNQLKVYDKARNAVALALSPVVRALVDPDGALQQVRDLDDVSFSDWFLSKGGTRESITRMWDPVAYALGFIDCDNISARCMLTIFTLFATKTEASLLRMLKGSPDVYLSGPIKKYITDRGGRFHLRWGCREVLYDKSPDGETYVKGLLLSKATSREIIKADAYVAACDVPGIKRLLPSEWRQWDTFDNIYKLDGVPVVTVQLRYNGWVTELQDLEKSRQLKKAVGLDNLLYTPDADFSCFSDLALSSPADYYIEGQGSLIQAVLTPGDPYMPLPNEEIISKVQKQVLELFPSSQGLELTWSSVVKIGQSLYRESPGNDPFRPDQKTPVKNFFLSGSYTKQDYIDSMEGATLSGRRTAAYICGAGEELLALRKKLIVDDSEKARGKVEALQTS >ORUFI07G06670.1 pep chromosome:OR_W1943:7:5210379:5216831:1 gene:ORUFI07G06670 transcript:ORUFI07G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLTAKRPASLSSRGRNAVLQLWCGSEKWRILQSHRRLPGGVRCSSGSPDSGGGGAPPLVRAAKGDEGGGGAELDSPRSVDDVVAVLEADYQRAYFLTGNFTPDIYTEDCLFEDPTIKFRGRSRYSQNLDLLVPFFDSPSLELENIEKGLRVETKFIMATWTLRTYLRLPWRPLIAIRGNTTYDLDEEYKVTRHAESWDVSALEAIGQIFVPAPKQTDDI >ORUFI07G06670.2 pep chromosome:OR_W1943:7:5210379:5216831:1 gene:ORUFI07G06670 transcript:ORUFI07G06670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLTAKRPASLSSRGRNAVLQLWCGSEKWRILQSHRRLPGGVRCSSGSPDSGGGGAPPLVRAAKGDEGGGGAELDSPRSVDDVVAVLEADYQRAYFLTGNFTPDIYTEDCLFEDPTIKFRGRSRYSQNLDLLVPFFDSPSLELENIEKGLRVETKFIMATWTLRTYLRLPWRPLIAIRGNTTYDLDEEYKVTRHAESWDVSALEAIGQIFVPAPKQTDDI >ORUFI07G06670.3 pep chromosome:OR_W1943:7:5210341:5216831:1 gene:ORUFI07G06670 transcript:ORUFI07G06670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLQKQKRKRKMAPRLTAKRPASLSSRGRNAVLQLWCGSEKWRILQSHRRLPGGVRCSSGSPDSGGGGAPPLVRAAVSAVTELLRVLTPKNPRVVDDDLQKGDEGGGGAELDSPRSVDDVVAVLEADYQRAYFLTGNFTPDIYTEDCLFEDPTIKFRGRSRYSQNLDLLVPFFDSPSLELENIEKGLRVETKFIMATWTLRTYLRLPWRPLIAIRGNTTYDLDEEYKVTRHAESWDVSALEAIGQIFVPAPKQTDDI >ORUFI07G06670.4 pep chromosome:OR_W1943:7:5210341:5216831:1 gene:ORUFI07G06670 transcript:ORUFI07G06670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLQKQKRKRKMAPRLTAKRPASLSSRGRNAVLQLWCGSEKWRILQSHRRLPGGVRCSSGSPDSGGGGAPPLVRAAVSAVTELLRVLTPKNPRVVDDDLQKGDEGGGGAELDSPRSVDDVVAVLEADYQRAYFLTGNFTPDIYTEDCLFEDPTIKFRGRSRYSQNLDLLVPFFDSPSLELENIEKGLRVETKFIMATWTLRTYLRLPWRPLIAIRGNTTYDLDEEYKVTRHAESWDVSALEAIGQIFVPAPKQTDDI >ORUFI07G06680.1 pep chromosome:OR_W1943:7:5220011:5228997:1 gene:ORUFI07G06680 transcript:ORUFI07G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKRKGFRVAGLALLEDRTRLSATTTTTTTASSSSHSLSDAALSRSENDPARYYDGYSKLRTWAYSYLDHYKHELLRILYPLFFHCFMDLVAEGHTQEACSFFHTFREDHEHSRDLKKLESILSPLHLEYSYELLLQYLHKTQALVVLGIINERIDFQVSPGQSPLIIDDSDAVVLIGTSKDLTKQINQKEVHWGQRSSEGGKQGGSHKKIKKDKFVGTTGKNMKSETSMVSIAPRVKPELTLPMTSVEVEQSILEDLRNRAQLNSLSLPSFNHYMWNSACSQGENGPSQIERVSTSDEARKSCTLFQGHSGPVYSAMFSPIGDFLLSSSADSTIRLWNTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDISSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKTLKMDDTKGGSANRLRMLKALPTKSTPVYTLQFSRRNLLFAAGAPSLGS >ORUFI07G06680.2 pep chromosome:OR_W1943:7:5220011:5228997:1 gene:ORUFI07G06680 transcript:ORUFI07G06680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKRKGFRVAGLALLEDRTRLSATTTTTTTASSSSHSLSDAALSRSENDPARYYDGYSKLRTWAYSYLDHYKHELLRILYPLFFHCFMDLVAEGHTQEACSFFHTFREDHEHSRDLKKLESILSPLHLEYSYELLLQYLHKTQALVVLGIINERIDFQVSPGQSPLIIDDSDAVVLIGTSKDLTKQINQKEVHWGQRSSEGGKQGGSHKKIKKDKFVGTTGKNMKSETSMVSIAPRVKPELTLPMTSVEVEQSILEDLRNPCSQGENGPSQIERVSTSDEARKSCTLFQGHSGPVYSAMFSPIGDFLLSSSADSTIRLWNTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDISSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKTLKMDDTKGGSANRLRMLKALPTKSTPVYTLQFSRRNLLFAAGAPSLGS >ORUFI07G06680.3 pep chromosome:OR_W1943:7:5220011:5228997:1 gene:ORUFI07G06680 transcript:ORUFI07G06680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKRKGFRVAGLALLEDRTRLSATTTTTTTASSSSHSLSDAALSRSENDPARYYDGYSKLRTWAYSYLDHYKHELLRILYPLFFHCFMDLVAEGHTQEACSFFHTFREDHEHSRDLKKLESILSPLHLEYSYELLLQYLHKTQALVVLGIINERIDFQVSPGQSPLIIDDSDAVVLIGTSKDLTKQINQKEVHWGQRSSEGGKQGGSHKKIKKDKFVGTTGKNMKSETSMVSIAPRVKPELTLPMTSVEVEQSILEDLRNPCSQGENGPSQIERVSTSDEARKSCTLFQGHSGPVYSAMFSPIGDFLLSSSADSTIRLWNTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDISSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKTLKMDDTFLGGIFYLRLVLPHLAPKLLYVL >ORUFI07G06680.4 pep chromosome:OR_W1943:7:5220011:5228997:1 gene:ORUFI07G06680 transcript:ORUFI07G06680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVHQSENDPARYYDGYSKLRTWAYSYLDHYKHELLRILYPLFFHCFMDLVAEGHTQEACSFFHTFREDHEHSRDLKKLESILSPLHLEYSYELLLQYLHKTQALVVLGIINERIDFQVSPGQSPLIIDDSDAVVLIGTSKDLTKQINQKEVHWGQRSSEGGKQGGSHKKIKKDKFVGTTGKNMKSETSMVSIAPRVKPELTLPMTSVEVEQSILEDLRNPCSQGENGPSQIERVSTSDEARKSCTLFQGHSGPVYSAMFSPIGDFLLSSSADSTIRLWNTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDISSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKTLKMDDTKGGSANRLRMLKALPTKSTPVYTLQFSRRNLLFAAGAPSLGS >ORUFI07G06690.1 pep chromosome:OR_W1943:7:5259274:5262817:1 gene:ORUFI07G06690 transcript:ORUFI07G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWVLAAAAALALWAAAAEGARSPAARVHRHLKRLNKPAVKSIESPDGDIIDCVHLSHQPAFDHPLLKNHTLQMRPAYHPEGLYDDDKRSVASDNAGEKPMLQLWHQKGRCPEGTVPIRRTKKDDLLRASSLRRYGRKRHTAVNPLSIDPNMLNEGGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCSGFIQINSEIAMGASIFPISNIAGSQYDISILIWKDPKEGNWWMQDPKEGNWWMQFGREYVLGYWPSFLFSYLADSASMVEWGGEVVNSEPDGTHTSTQMGSGRFPEEGFGKASYFKNIQVVDSSNQLKAPKGVGTYTEQSNCYDVQNGNNGDWGTYFYYGGPGKNSNCP >ORUFI07G06700.1 pep chromosome:OR_W1943:7:5269784:5277168:-1 gene:ORUFI07G06700 transcript:ORUFI07G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEAARLSPSLAAAAILGRRGAPPAALPLRRALPLLLPPRRRRLCFLAAAGGDGRAVALPSSELRKRRGGASSSSSAAPGGGEDEKLRSLRRLLARPDVAIDAYIVPSQDAHQSEFIAECFMRRAYLTGFTGSAGTAVVTKDKAALWTDGRYFLQAEKELSHDWTLMRSGNQGVPTTSEWLNEVLPSGCRVGIDPFLFSFDAAEELKDAISEKNHELVLIKDLNLVDEIWGESRPEPPKEQTRVHGIKYAGVDVPSKLSFVRSQLAENGCNAVVISLLDEVAWLLNMRGSDVPNSPVFYSYLIVEDTAATLFVDNNKVSEDVLEHLEKAGVKLKPYEAILSDVERLAENGAKLWLDSSSINAAIVNVFRSSCERYVKKRGKAGRQIGKESSQGDPATGSSGVQNGTVNALYKVSPATLAKAVKNEAEVEGMKSSHLRDAAALAEFWCWLEGQVRESVPLTEVQVAEKLLEFRQKQDGFIDTSFDTISGYGANGAIIHYRPTPESCSSVGSDNLFLLDSGAQYIDGTTDITRTVHFGEPTPRQKECFTRVLQGHIALDQAVFPERTPGFVLDVLARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNLTALQKGMIVSNEPGYYEDNSFGIRIENLLLVKEVNLPNSFGGVSYLGFEKLTFVPIQSKLVDLSLLSPSEINWINEYHDEVWEKVSPLLSGHSLDWLRKNTRPL >ORUFI07G06710.1 pep chromosome:OR_W1943:7:5280618:5287895:-1 gene:ORUFI07G06710 transcript:ORUFI07G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISRKVLPVCGSLCYFCPGLRARSRQPVKRYKSILAEIFPKTQDEEPNERRIGKLCEYCSRNPLRVPKITVSLEQRIYKELRSEQYGFAKVVMLIYRRLLVSCKEQMPLFASSLLSIVHTLLDQKRQDDMRIIGCETLFDFAVNQVDGTYQFNLEGLVPRLCELSQEVGEDEQTIALRAAALQALSAMIWFMGELSHISSEFDNVVQVVLENYRPQKMQNDGQVTKDSSDQLEQEAPKTEDSKAEDSKTEDSSPFVISAVPLWENIVNHIEHKTMVKQQEMQLSIVEVAATLAEQSIAQASAATIGAISDLVRHLKRTFHITLGSKDSELVKWNEKFRKAIDDCLGQLAKKVTDAGPVLDMMAVMLENIASTPVVARSTAAAVYRTAQIIASVPNITYQNKVFPEALFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVAPSIQASVSGQAKKHDMQRTLSRAVSVFSSSAAIFDKLKKDKHSDNSQGDSSGLHSISEESSNAKRSNLPVSQSRRRSMKIPNFSMKRGPSMAMRAPSVALRAPSISLRVTSMSLRAPSMSIKADHGSSSHPEDEMESVLLKLSSRQITLLLSSIWAQATSPENTPANYEAIAHTYSLLLLFSGAKASVFEALTQSFQVAFSLRGYSLTEPDSLPPCRRRSLFTLATAMIIFSSRTFNVLPLIPICKQMLNERTGDPFLRLVDECKLQAVKDSVDDPSKIYGSPEDNTNALKSLSAIELSESQSRECIVSTIMNNITNMLEAELNSVKNQLLSDFSPDDMCPTSTHFFEATGDNSSPGSHDNDHHPEAVLIDLGNDHDIFGEASESTAASANAVPVTDLLSIDQLLETVCLALLNSFSMFLLYSSDEVVTDPAPHTERVSVSTDMPFKEMSSQCEALTVRKHQKMASFMSFSQDMTMDPMATNQPFQTDLSLFHDPYPPQVGVPNTNPFVDDNLYGYPQYMNMNEANPQPTYEQAQQHFLRLPASSPYDNFRRAAGC >ORUFI07G06720.1 pep chromosome:OR_W1943:7:5304833:5305996:-1 gene:ORUFI07G06720 transcript:ORUFI07G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAVSSAPPHPMAPSPSPSLAPPLLPPRRQIRPPPWSRAPDADELRREDQGEGPENSRKGKACRQSIVAQAHS >ORUFI07G06730.1 pep chromosome:OR_W1943:7:5317365:5322797:1 gene:ORUFI07G06730 transcript:ORUFI07G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFVFALLAIVACNASARFDPLSQSYRQYQLQSHLLLQQQVLSPCSEFVRQQYSIVATPFWQPATFQLINNQVMQQQCCQQLRLVAQQSHYQAISIVQAIVQQLQLQQFSGVYFDQTQAQAQTLLTFNLPSICGIYPNYYSAPRSIATNPTTMKIIFVFALLAIVACNASARFDALSQSYRQYQLQSHLLLQQQVLSPCSEFVRQQHSIVATPFWQPATFQLINNQVMQQQCCQQLRLVAQQSHYQAISSVQAIVQQLQLQQVGVVYFDQTQAQAQALLALNLPSICGIYPNYYIAPRSIPTVGGVWY >ORUFI07G06740.1 pep chromosome:OR_W1943:7:5328526:5330755:-1 gene:ORUFI07G06740 transcript:ORUFI07G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFAVEAKVAGGGERREFKGKITWYVWLCGIIAATSGLMFGYDVGISGGVTAMDGFLIKFFPSVYARKHRARENNYCKFDDQRLQLFTSSLYLAALAASFAASRLCTRLGRRRTMQLASVFFLGGTALCAGAANLAMLIVGRICLGVGVGFGNQAAPLFLSEIAPAHIRGALNILFQLDVTIGILIANVVNYFTSSAHPSTGWRYSLGGAGVPAAVLFLGSLVITETPTSLVERGRRDAGRATLERIRGTRDVGDELDEIARACEAAAALSAEESAYRRLRRRESRPPLVIAVAMQVFQQFTGINAIMFYAPVLFQTMGFKSNGSLLSAVVTGGVNVVSTLVSIVAVDKIGRRRLLLQACGQMLIAQANGNPGEKWAVAIVVLICVYVSSFAWSWGPLGWLIPSETFPLATRTTGFSFAVSSNMLFTFLIAQAFLSMMCSMKAFIFFFFAIWIVIMAAFVFWLLPETKGVPIDEMVDTVWRRHWFWKRFFTDAGDGRIGNC >ORUFI07G06750.1 pep chromosome:OR_W1943:7:5332354:5337988:-1 gene:ORUFI07G06750 transcript:ORUFI07G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHDKTHARERSHPILPTSLLAGRSSRLRRPPPPPPSPSGGAPVIDAAMSRSGAMDLASGLGGKITKDEVKSAVDEYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGVKPGMKVLDVGCGIGGPLREIAKFSLASVTGLNNNEYQITRGKELNRVAGVSGTCDFVKVIWDKDLAEDSPVPWYLPLDPSRFSLSSFRLTTVGRAITRTMVKALEYVGLAPQGSERVSNFLEKAAEGLVEGGKDKARSLKETLWRSKKHLMKLRSLKSSYAYEEMLKCV >ORUFI07G06750.2 pep chromosome:OR_W1943:7:5332352:5337988:-1 gene:ORUFI07G06750 transcript:ORUFI07G06750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHDKTHARERSHPILPTSLLAGRSSRLRRPPPPPPSPSGKHLPSSSSSSRVRSPAHMHCSYRTHRGAPVIDAAMSRSGAMDLASGLGGKITKDEVKSAVDEYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGVKPGMKVLDVGCGIGGPLREIAKFSLASVTGLNNNEYQITRGKELNRVAGVSGTCDFVKVIWDKDLAEDSPVPWYLPLDPSRFSLSSFRLTTVGRAITRTMVKALEYVGLAPQGSERVSNFLEKAAEGLVEGGKDKARSLKETLWRSKKHLMKLRSLKSSYAYEEMLKCV >ORUFI07G06760.1 pep chromosome:OR_W1943:7:5343412:5344164:-1 gene:ORUFI07G06760 transcript:ORUFI07G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQGFSRRGFAAELCRSDSLLLFSLIWKLRGTNLLSPVTSTPRSTAQHQTFDLCRFRGDSCRSLPVCQAVSMSMETQGFSRRGFAAELCRSDSLLLFSLIWKLASLDWLASTLLEMASCFALSCILLRCIGVYPLLSVLVNKVV >ORUFI07G06770.1 pep chromosome:OR_W1943:7:5356388:5357409:-1 gene:ORUFI07G06770 transcript:ORUFI07G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGSAAYGDGDGEKPSAQLGQPLLPPPNQPYYAFPAAAYAPPPPPPPPPTLVFVPVTSPGLRLDRFRVNPPPLPSVDLHLALRLRVRNPGLVLPLRYRAVSAAVSYRGHLLGSAAARPGSGELGARGTTYADAEVWVDAGRVVDDVIDLIGDLAAGSLPLEIVTEVVGAVRVFRFDIPVKFI >ORUFI07G06780.1 pep chromosome:OR_W1943:7:5358484:5360177:1 gene:ORUFI07G06780 transcript:ORUFI07G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMARVFLLLLLPLSSSAATAVAAPPPPRSQAQTADGNGVLVNGNFAMSPRKMNATVIVGRDSLPGWALRGRVEYVSGGPQPGGMYFAAAPGAHALRLGARASAAQAVAVRPGAAYALTFAATRACARDGEREEALRVAVSPSFSAPGDVPVRTLYGAGAADAWAWGFRAAERNAQVEFSNPAAADDHDGDDGLNCGPLLAAVAFKELPAPMPSKDNLIRNGDFEAGPAAIPNSTAGVLLPPKRKDATSPLPGWIVESLRPVRLVDAPHFAVPQGQRAVELVAGREGAVAQVIRTAPGRAYNLSFAVGDARDGCEGAMLVHAVVVAGGGGNATAKAAAAAVPYASRGGGGARQASLRFVASGRRARVTFYSSYYHTSAGDGVSPCGPVLDQVKVQPLMTKA >ORUFI07G06790.1 pep chromosome:OR_W1943:7:5363688:5366489:-1 gene:ORUFI07G06790 transcript:ORUFI07G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAFLFLACVLGASVAVAAAVAADGDGDAMRELRRALAPPDWGAAGEDGKGSYYCAWRGVTCAGGGGGAVVAIDLPRRGLRGDFSAVAGLRALARLDLSFNALRGGVPGEALGGLPGLEFLDLSMNHLSGGVPPSLAGAVGLRFLNLSNNALSGGIPDELRSLRALTELQISGNNLTGAIPPWLAALPALRILSAYENSLSGPIPSGLGLSSKLQVLNLHSNALEGAIPSSLFDLGNLQVLILTVNRLNGTIPDTIGRCSALSNVRIGNNRLAGAIPASIGDATSLTYFEADSNELTGGIPAQLARCANLTLLNLAYNRLAGEVPDVLGELRSLQELIVSSNGLSGEFPRSILRCRNLSKLDLSYNAFRGGLPESVCNGSRLQFLLLDHNEFSGGIPVGIGGCGRLLELQLGNNNLTGEIPAEIGRVKSLQIALNLSFNHLVGPLPRELGRLDKLVALDLSSNEISGEIPGDMRGMLSLIEVNLSNNRLSGAIPVFAPFQKSAASSFSGNTKLCGNPLVVDCGPIYGSSYGMDHRKISYRVALAVVGSCVLIFSVVSLVVALFMWRERQEKEAEAKMAEAGEVVVAAPQVMASNMFIDSLQQAIDFQSCVKATFKDANVVSNGTFSITYKAVMPSGMVVCVKKLKSVDRAVIHHQTKMIRELECLSHINHPNLVRPIGYVIYEDVALLLHHHMPNGTLLQLLHNVDNPDGDNQKPDWPRLLSIAIDVAEGLAFLHHVATIHLDISSGNVFLDSHYNALLGEVEISKLLDPLKGTASISAVAGSFGYIPPEYAYTMQVTVPGNVYSFGVVLLEILTSKLPVDEEFGEGMDLVKWVHSAPARGETPEQIMDPKLSTVSFAWRKQMLAVLKVAMLCTERAPAKRPKMKKVVEMLQEAKNS >ORUFI07G06800.1 pep chromosome:OR_W1943:7:5369390:5372303:-1 gene:ORUFI07G06800 transcript:ORUFI07G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCGCAIARLSLPHRSNSDGSGRRGSTVAGLPLLTNPTMKMMVASCLVADPKTLVSGVACPPPVTLGQKFPVSRGDKLGQLQLATTPWN >ORUFI07G06810.1 pep chromosome:OR_W1943:7:5378445:5378741:1 gene:ORUFI07G06810 transcript:ORUFI07G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVEGSKADEDGDEVGEEGHAGSLCSIVANVKEPEADEVDNDGIEAGRAQGDGVAEDSNEVSGESDDENNGGDNEGVLTTEAGECVRDAKVLDIGGR >ORUFI07G06820.1 pep chromosome:OR_W1943:7:5380109:5382204:1 gene:ORUFI07G06820 transcript:ORUFI07G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITPVQKQTRAGQRTRFKAFVVVGDGDGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWRETRFIKTLFQEYTDLLARPKGLTYGFLTPDFWRETRFIKTPFQEYTDLLARPKGLVIEAPAEKIEA >ORUFI07G06820.2 pep chromosome:OR_W1943:7:5380109:5382245:1 gene:ORUFI07G06820 transcript:ORUFI07G06820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKITPVQKQTRAGQRTRFKAFVVVGDGDGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWRETRFIKTPFQEYTDLLARPKGLVIEAPAEKIEA >ORUFI07G06820.3 pep chromosome:OR_W1943:7:5380109:5382204:1 gene:ORUFI07G06820 transcript:ORUFI07G06820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKITPVQKQTRAGQRTRFKAFVVVGDGDGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKTYGFLTPDFWRETRFIKTPFQEYTDLLARPKGLVIEAPAEKIEA >ORUFI07G06830.1 pep chromosome:OR_W1943:7:5394387:5395100:-1 gene:ORUFI07G06830 transcript:ORUFI07G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIIKASRLVALVLFGIFTVAMVITYVCLVIHDDLSLIQTLFFLVVWVIQWLVIFVMTYSAFDDANQEYRKRYNLVVVNARFC >ORUFI07G06840.1 pep chromosome:OR_W1943:7:5404246:5405408:1 gene:ORUFI07G06840 transcript:ORUFI07G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITYLMQQLHLPLVHDSFAGRLWTIAAAGVFSQLGMLGLVGSTLVPALCPAPCCAAAAAFIIKNTRYAANVANDACDRFATAGFNTNMITYLMQQLHLPLVHDSFAGRLWTIAAAGVFSQLGMLGLVGSTLVPALCPAPCCAAAAAS >ORUFI07G06850.1 pep chromosome:OR_W1943:7:5406085:5407859:-1 gene:ORUFI07G06850 transcript:ORUFI07G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNVLIFSAYVVVMSFFLCSVHAKVNMNASFHLTSGDDSLRGRSLESKKVVFDVRKHGAYGDGQHDDTKALAKAWAAACSSSQPSIVLIPKGKRYLTKHITLSGPCKSSITFMIEGTLVAPPKRSDWSKETIRHWIMFNGVSGLTVAGGGTVDENGKIWWQNSCKTNAKLPCTEAPTNLKLLNSQQIHMSVEDCTDVRISSLTITAPGTSPNTDGIHITRSKNVQVTGCIIKTGDDCMSIEDGTENLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTVDTVRLYGTTNGARIKTWQGGWGYAKNIVFQNMIMENVWNPIIIDQNYCDSATPCKEQVSNVVFKNIRGTSASKEAIKLDCSRN >ORUFI07G06860.1 pep chromosome:OR_W1943:7:5415603:5422333:1 gene:ORUFI07G06860 transcript:ORUFI07G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRKKGATKNALGDPASTKTSRQPRRAAQAAASEKKVNDLITSSAKKKKSVGAPSKKNRASKGGRKLISACDAANSENEVSQVVSGIPHDQKQSDDNVDGRPCNSIFSPAYHLQKECGASNFAKGLEHKGDTLGSVSSVEERTTHAQGRKEVTTSRSESTSHAVKTCVGSDHHTLNAQSAFCNTPLEEDEFSELGNLSSEVSAIYLAMQQSKLECIDEHSQDSISTEGYVDPEDTEEYDDFDPYAFIKDLPDLSLVVPKFRPVLLPKQTRSCPTTTLVLDLDETLVHSTLEPCEDADFAFPVYFNFREHTIYVRCRPYLKEFLERVANLFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRDSCVYVEGNYLKDLTVLGRDLTRIMIVDNSPQAFGFQLDNGIPIESWFDDPNDQELLKLLPFLESLVGVEDVRPYIARKFNLREKVVISINAEGLVW >ORUFI07G06860.2 pep chromosome:OR_W1943:7:5415603:5422333:1 gene:ORUFI07G06860 transcript:ORUFI07G06860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRKKGATKNALGDPASTKTSRQPRRAAQAAASEKKVNDLITSSAKKKKSVGAPSKKNRASKGGRKLISACDAANSENEVSQVVSGIPHDQKQSDDNVDGRPCNSIFSPAYHLQKECGASNFAKGLEHKGDTLGSVSSVEERTTHAQGRKEVTTSRSESTSHAVKTCVGSDHHTLNAQSAFCNTPLEEDEFSELGNLSSEVSAIYLAMQQSKLECIDEHSQDSISTEGYVDPEDTEEYDDFDPYAFIKDLPDLSLVVPKFRPVLLPKQTRSCPTTTLVLDLDETLVHSTLEPCEDADFAFPVYFNFREHTIYVRCRPYLKEFLERVANLFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRDSCVYVEGNYLKDLTVLGRDLTRIMIVDNSPQAFGFQLDNGIPIESWFDDPNDQELLKLLPFLESLVGVEDVRPYIARKFNLREKVVISINAEGLVW >ORUFI07G06860.3 pep chromosome:OR_W1943:7:5415603:5422327:1 gene:ORUFI07G06860 transcript:ORUFI07G06860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRKKGATKNALGDPASTKTSRQPRRAAQAAASEKKVNDLITSSAKKKKSVGAPSKKNRASKGGRKLISACDAANSENEVSQVVSGIPHDQKQSDDNVDGRPCNSIFSPAYHLQKECGASNFAKGLEHKGDTLGSVSSVEERTTHAQGRKEVTTSRSESTSHAVKTCVGSDHHTLNAQSAFCNTPLEEDEFSELGNLSSEVSAIYLAMQQSKLECIDEHSQDSISTEGYVDPEDTEEYDDFDPYAFIKDLPDLSLVVPKFRPVLLPKQTRSCPTTTLVLDLDETLVHSTLEPCEDADFAFPVYFNFREHTIYVRCRPYLKEFLERVANLFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRDSCVYVEGNYLKDLTVLGRDLTRIMIVDNSPQAFGFQLDNGIPIESWFDDPNDQELLKLLPFLESLVGVEDVRPYIARKFNLREKVVISINAEGLVW >ORUFI07G06860.4 pep chromosome:OR_W1943:7:5416302:5422333:1 gene:ORUFI07G06860 transcript:ORUFI07G06860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRKKGATKNALGDPASTKTSRQPRRAAQAAASEKKVNDLITSSAKKKKSVGAPSKKNRASKGGRKLISACDAANSENEVSQVVSGIPHDQKQSDDNVDGRPCNSIFSPAYHLQKECGASNFAKGLEHKGDTLGSVSSVEERTTHAQGRKEVTTSRSESTSHAVKTCVGSDHHTLNAQSAFCNTPLEEDEFSELGNLSSEVSAIYLAMQQSKLECIDEHSQDSISTEGYVDPEDTEEYDDFDPYAFIKDLPDLSLVVPKFRPVLLPKQTRSCPTTTLVLDLDETLVHSTLEPCEDADFAFPVYFNFREHTIYVRCRPYLKEFLERVANLFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRDSCVYVEGNYLKDLTVLGRDLTRIMIVDNSPQAFGFQLDNGIPIESWFDDPNDQELLKLLPFLESLVGVEDVRPYIARKFNLREKVVISINAEGLVW >ORUFI07G06870.1 pep chromosome:OR_W1943:7:5422764:5424401:-1 gene:ORUFI07G06870 transcript:ORUFI07G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLIVSASLLALFFFLHSADADVGSNVFSIQSYGAHGDGRHDDTKALGDTWAAACSSAKPAVLLIPKGKKYLIKHTTLSGPCKSSISLMVKGSLVASPERSDWSKETIRHWILISGVTGLTVTGGGTIDGNGKIWWQNSCKTNSKLPCTEAPTALTFYSCKNLKVEYLKVVNSQQIQISVEDCTDVMVSRLSITAPETAPNTDGIHITRSRDVEVTDCMIKTGDDCMSIEDGTENLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTVDNVRLYGTANGARIKTWQGGKGSAKNIVFQNMVMDNVWNPIIIDQNYCDSSTPCKQQKSAVEVSNVLFKNIRGTSASEEAIMLHCSSSVPCHGITLENVNLTVKGGSSNAKSTCQNAEWKKSGSVSPQPCGFRN >ORUFI07G06880.1 pep chromosome:OR_W1943:7:5427811:5429022:1 gene:ORUFI07G06880 transcript:ORUFI07G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRKRRRVDGSGGNGGNYPLVATPNDGVLPVDLLNAVLLRLPARPACRLRAVCRPWRAVLSDPRFAAAHAARHPDPHLVVAACDRLDAGGIELVDVYLVGASGDVAKRVPAGRCDTDAVSSARDGVALLVGNDRRLRVLDAAASAAVSLVPDGEHHPINCSFTLGRAASSSGEHKVLRIGTVVHGEPQVCAVLTLAVAGGRGQNARWREAPSPPLVVRTRRGDVAVAGGVAYFLLRRAYLADWIAAFDLEAEQWRPALVGGPPLPAWRPTRPDRPRVTLAELGGSLVVAIDDHRAATLDLWFLLAAGDGEQHWSKQYTVTMPYHRRPWRCDGESAEPVVVLDDGRIVFWVWAGGSGGTRHGRGVMRVYDPITGGHTDVATAARCAHVGVYTGNLLSLVSE >ORUFI07G06890.1 pep chromosome:OR_W1943:7:5430550:5431205:-1 gene:ORUFI07G06890 transcript:ORUFI07G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKTMYNAEKRGKRQVLIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >ORUFI07G06900.1 pep chromosome:OR_W1943:7:5432522:5435028:1 gene:ORUFI07G06900 transcript:ORUFI07G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMTNKENIGKHFILKVENLKVVNSQQIQISVEDCTDVKMSRLSITAPETAPNTDGIHITRSRDVQVTDCTIKTGDDCMSIEDGTKNLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTVDNVRLYGTTNGARIKTWQGGKGSAKNIVFQNMVMDNVWNPIIIDQNYCDSSTPCKQQKSAVEVSNLLFKNIRGTSASEEAIVLHCSNSVPCHGITLENVNLTVKGGSSNAKSTCQNAEWKKSGVSVHCPVVSKIDLELVGTSD >ORUFI07G06910.1 pep chromosome:OR_W1943:7:5438960:5440690:1 gene:ORUFI07G06910 transcript:ORUFI07G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNVLLFSAYVVVMSFFLCSVHAKVNMNASSLTNGDDSLRGKSSLESKKAVFDVRKHGAYGDGQHDDTKALSKAWAAACSSLQPSIVLVPKGKRYLTKHITLSGPCKSSITFMIEGTLVAPPRRSDWSKETIRHWIMFNGVIGLTVAGGGTVDGNGKIWWQNSCKTNAKLACTESPTALTFYSCSNLKVENLKLLNSQQIHMSVEDCTNVRISGLTITAPGTSPNTDGIHITRSKNVQVTGCTIKTGDDCMSIEDGTENLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTIGTVRLYGTTNGARIKTWQGGRGYAKYIVFQNMIMENVWNPVIIDQNYCDSATPCKKQLSICVNNMEAYNLVFLSQTSAVQISNVVFKNIRGTSASKEAIKLDCSRNVPCQGITLNDVKLTVKGGGGDAKSTCRNAKWKKSGTVVPQPCASTTTV >ORUFI07G06920.1 pep chromosome:OR_W1943:7:5458574:5463703:-1 gene:ORUFI07G06920 transcript:ORUFI07G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDADAVKSGRHGSGQACQICGDGVGTTAEGDVFAACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPAIRGEEGEDTDADDVSDYNYPASGSADQKQKIADRMRSWRMNAGGGGDVGRPKYDSGEIGLTKYDSGEIPRGYIPSVTNSQISGEIPGASPDHHMMSPTGNIGKRAPFPYVNHSPNPSREFSGSIGNVAWKERVDGWKLKQDKGAIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPLPSSRINPYRMVIVLRLVVLSIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWFPINRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFVKKYNIEPRAPEWYFSQKIDYLKDKVHPSFVKDRRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKALREAMCFLMDPNLGRSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKQKKKGSFLSSLCGGRKKASKSKKKSSDKKKSNKHVDSAVPVFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAAFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSIPLLIYCVLPAICLLTGKFIIPEISNFASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQTCGINC >ORUFI07G06930.1 pep chromosome:OR_W1943:7:5469956:5471749:1 gene:ORUFI07G06930 transcript:ORUFI07G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSDQLEHRPAPSAPRAEPDDVADDVEVEAFRDIHPEPSPPHLPPPPLRQPSWDAASHRSLSSSGAGGGGDVELFATMSREFTAMVAAGSSSAPSPDVPGDAPAAADLNLLQLARIGENEPAAEANALAIVPAAAGSGPPAPVEQVKKEEVEAKVAAWQAEEVAKINNKFKREEVVINGWESQQVDKATAWLAKIERKLEEERAKATEKARNEAAAARRKAEERRASAEARRGRKTAEVLDRANFCKAAGRVPSKRSFFSF >ORUFI07G06940.1 pep chromosome:OR_W1943:7:5475529:5476073:-1 gene:ORUFI07G06940 transcript:ORUFI07G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTWRLLLAERPRMAPPHPWEPRAEAAGVRHVRGTSCAVGPSLAAVVEEMVVAAAVVVSWLASRCACTDVTAAENGAGVLSSAAATTPQLQPCSTYFVRLRRRQQGRHG >ORUFI07G06950.1 pep chromosome:OR_W1943:7:5479024:5481655:1 gene:ORUFI07G06950 transcript:ORUFI07G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKSALASVVAFEIMEADDDINIFVKDMNANDGERHPRGEKRRRVGNDQKVKVDDREQHWCEERGEKRRRVGHGQQRSHQRDQERSHQHDQERSVERDQERSVERDQERSHQRDQEGSVERDQERSHQRDRHRSVKRDQHRSVECD >ORUFI07G06960.1 pep chromosome:OR_W1943:7:5482313:5486764:1 gene:ORUFI07G06960 transcript:ORUFI07G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFSSSLRHLEVLVLSFLPAILRRLLHPPLSLSLLSSARFISDQRIDRASKRQAPKEEGGGAAPAESMAMRHRARSAPSSPLTPSSTTRAKNIFGFSVSLILINLASIMERILSLHYDRPTVLAIGTVFWALSTGAVGVSQHFRQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATIMAGNDYWGLAGWRVAFIMVALVSLIIGILVYLYATDPRKIPGNHLLDDDDYERLHLASKDVLPPPSIWRDSLVATRSVMKVRTFQIIVLQGIIGSLPWTAIVFFTMWFELIGFDNNSSAALNSLFAIGCATGAFLGGVIADRLSRHFPDSARVMCAQFSAFMGIPFSWILLTVIPQSVDYWSAYAVTLFFMGITISWCATSANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGLVTEKIYGYDSKTVNLAHGSAEGAYALSRGLLTMMIVPFGVCVLFYSPLYLVFKRDRENAKLSSFKDQELV >ORUFI07G06960.2 pep chromosome:OR_W1943:7:5482313:5486764:1 gene:ORUFI07G06960 transcript:ORUFI07G06960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFSSSLRHLEVLVLSFLPAILRRLLHPPLSLSLLSSARFISDQRIDRASKRQAPKEEGGGAAPAESMAMRHRARSAPSSPLTPSSTTRAKNIFGFSVSLILINLASIMERADENLLPAVYKEVSAAFNAGPADLGYLTFLMNFLKSIASPLAGILSLHYDRPTVLAIGTVFWALSTGAVGVSQHFRQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATIMAGNDYWGLAGWRVAFIMVALVSLIIGILVYLYATDPRKIPGNHLLDDDDYERLHLASKDVLPPPSIWRDSLVATRSVMKVRTFQIIVLQGIIGSLPWTAIVFFTMWFELIGFDNNSSAALNSLFAIGCATGAFLGGVIADRLSRHFPDSARVMCAQFSAFMGIPFSWILLTVIPQSVDYWSAYAVTLFFMGITISWCATSANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGLVTEKIYGYDSKTVNLAHGSAEGAYALSRGLLTMMIVPFGVCVLFYSPLYLVFKRDRENAKLSSFKDQELV >ORUFI07G06970.1 pep chromosome:OR_W1943:7:5487624:5492435:1 gene:ORUFI07G06970 transcript:ORUFI07G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRHHHLALAVAVALLVVTAAAADEGGPRGRRVLVLVDDLAVRSSHSAFFASLQGRGLDLDFRLADDPKLSLHRYGQYLYDGLVLFAPSTPRFGGSVDQNSILEFIDAGHDMILAADSSASDLIRGIATECGVDFDESRVYGWCQDPEAMVIDHINYAATDAEGDHTLIAGDDLIQSDVILGSKKIEAPVLFRGIGHAVNPSNSLVLKVLSASPSAYSANPKSKLASPPSLTGSAISLVSVMQARNNARVLISGSLDLFSNRFLKSGVQKAGSKIRHEKAGNEQFVTETSKWVFHERGHLKAVNVKHNKVGETNEPGMYRINDDLEYSVEIYEWSGTSWKPYVADDVQVQFYMMSPYVLKTLSTDKKGVFSTSFKVPDVYGVFQFKVEYQRLGYTGLSLSKQIPVRPYRHNEYERFITSAYPYYAASFSTMGAFFIFSFVYLYHK >ORUFI07G06980.1 pep chromosome:OR_W1943:7:5493153:5496080:-1 gene:ORUFI07G06980 transcript:ORUFI07G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPQITVSDGRLAVRGRTMLTGVPENVTAAHASGAGLVDGAFVGADAGEAKSHHVFTFGTLRECRFMCLFRFKLWWMTQRMGSSGRDVPLETQFMLIEVPATAAGDGHDGGGDGEPVFVVMLPLLEGKFRAALQGNDDDELQICIESGDKAVQTEQGVNMVYIHAGTNPFDTITQAIKAVEKRMQTFHHRDKKKMPSFLDWFGWCTWDAFYTDVTADGVKQGLRSLANGGAPPRFLIIDDGWQQIGTEDDDTDEHPAVAVQEGAQFASRLTGIKENVKFQSKNGGAGEDTPGLRMLVEEVKGEHGVRQVYVWHAMAGYWGGVAPAPAMERYEAALAYPVQSPGVTANQPDIVMDSLSVLGLGLVHPRKVLDFYDELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVALTRAYNRALEASVARSFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTIHVASVAYNTVFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFDLLRKLVLPDGSVLRARLPGRPTRDCLFSDPARDGESLLKIWNLNKCGGVVGVFNCQGAGWCRVAKKTRVHDAAPGTLTGAVRADDVDAIAQVAGGDGGGWDGEAVVYAHRARELVRLPRGAALPVTLGALEYEVFHVCPVRAIAAAPGGAAVAFAPVGLLDMFNAGGAVEECAVDAAAAVALRVRGCGRFGAYFSRRPARCALDGADVGFTYDGDTGLVAVDLPVPEQEMYRWNLEIHV >ORUFI07G06990.1 pep chromosome:OR_W1943:7:5519428:5523641:-1 gene:ORUFI07G06990 transcript:ORUFI07G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAASSSTPASSPRPAESSGGVEMMTTHGTTLSGAPQVGVPTAMDCASATSASSSAAAEHGADGDKLSSPHDKMASDIINKSSCSKFDKEKPDQEVVDQQSGSLLIYPGYKTNECSQNSFGKICEEEIRTVCHEKVEESLRNDPLPLLVRADSDSRPTFQESCSSDRPREKQPTKSDEDIDLYFYRIRLKEVLDEKEYLVHELECARQQLDEVRSMNSEILRENRKLKRSLRTRAVEGISRNVLEKLGYCHDIFLCPNEVDVEKLQELLCRSECKLLYTVKIPGATTDHSWKVDTLAKMSPFCKVVFLGMIEDVYRLHRSGLCLNGKFSLKDFCWTLSQKVKFSAELKRSVLRRKLKRMRLDYQKLCEIMIEVLEECKVKIPADLEYLLDLMRDDPVKHQFLIRYNFCLMDDILKREETLTMFTQYEQLNREDREKHGKTTREYRTEIAVSFITLPRNIGSAMLANKFVAKIFERWRVETGFYSLDTRRKLIELIRHCMVHLPEKAFDEEKRTHYTDANVVDMFKWVLHRFSVSSREA >ORUFI07G07000.1 pep chromosome:OR_W1943:7:5526169:5526573:-1 gene:ORUFI07G07000 transcript:ORUFI07G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKTPARIDGNAIVSSPALERALRVAASTSSPFRVQWIVVGRATTPSASPRPPLYLPLSTLSSRAAILEIGIIFLQLRSLSSIFDFSLSGESQSDSLPW >ORUFI07G07000.2 pep chromosome:OR_W1943:7:5526171:5526573:-1 gene:ORUFI07G07000 transcript:ORUFI07G07000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKTPARIDGNAIVSSPALERALRVAASTSSPFRVQWIVVGRATTPSASPRPPLYLPLSTLSSRAAILEIGIIFLQLRSLSSIFDFSLSGESQSDSLPW >ORUFI07G07010.1 pep chromosome:OR_W1943:7:5532904:5540867:1 gene:ORUFI07G07010 transcript:ORUFI07G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPERSPRRRGPTPRCSACESYPSTTTWRRPSPAGFDFSYSHFHGEGGDQAPLLTCLHIHLLQAFNRVRRVLSSVLSVSYAVRRTFFITLKKCPNSLSVNILTIYYWLNAWMVLFLIEYSNLMSLTFPIFFTFCSVRLDESSSLSNNIPQLDGSSDENQEVPQEDDGAMPTEKEPSVSFMSRTGKNSHATTDKTGRESFSRSDIIVGWEIQLGSLGFFAEGAGIGLLKRISRTPPNQMKHPPMNPVEESCQEFPGASSADDVIDDASESNWSHTHASGIHVDGRIILNLWRLMRAEIKLNNYSLEAVADEVLRKVPLVPTKILNRWFATGPGRGRYRCIEYVNN >ORUFI07G07020.1 pep chromosome:OR_W1943:7:5542100:5542510:-1 gene:ORUFI07G07020 transcript:ORUFI07G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTHHCQLPLHCHLAASGAAAPDRRHPPVPLFFGRRISPGHRGGIPTVRSPRRAATEAWTPRRPTAPKGRSLRLGLPLGGQGKRVSRPGQAALSAASAWPAVAVRRAAAEPGRPSRSSPPPRCPKPPPAAAAQI >ORUFI07G07030.1 pep chromosome:OR_W1943:7:5543921:5545786:-1 gene:ORUFI07G07030 transcript:ORUFI07G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAVVTSSSATSGEEGGHLPQGWVKRKRSRRQRSEENLALCLLMLARGGHHRVQAPPPLSTTGPAPVGAEFKCSVYGKSFSFYQALGGHKTSHWSAIFRVDLAEYELTPSTLDYDGKNFTQVYAYYHGGIKGFNGSEQKPDSGSIVASIP >ORUFI07G07040.1 pep chromosome:OR_W1943:7:5546974:5549075:1 gene:ORUFI07G07040 transcript:ORUFI07G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRLCRESDDEDERHCSSSTNTKKRACRESEEEDERHCSSNSSTKKKSLKLGLVPCSSHNHNHKQRHLYLVLDDWEAGYSIHKVVDDDFGARPAAAAAKHNPLIRIQAQHAYSRFFAAHGTKIIAMHPASFSPGIPVFDTRTLEMAVYPPPKSRSIICPPVYASVGDRLVTFVHQYLEVLGPHPPRSAAADDDDEPEPPPFDAGRLEWTRVGDWMLPFEGQAHYDRELDAWVGICRYGEGTGHLCCCDVPPSPAADAACTTTTLPAWKFCKEVMFKKGFTGYWGATLVYMGDSRFCLVDCRVPDDCDVRTTLRVLTITSFGLKYDKAGELVISI >ORUFI07G07050.1 pep chromosome:OR_W1943:7:5557547:5561721:1 gene:ORUFI07G07050 transcript:ORUFI07G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRHVGPKPPEPPAAAAAVAAGKGKGAAASPEKGSSAAPSGDDASRSGDSGSEESSDTRDDDTDHKDSSAPKKRKSGNTSAEGEPSQATLVPYAAVESPYPLKGRSASKLPVSAPGRAALPNATPNLNIGIDLWSTPPALAVPAGQGEASPGLALARRDGVAHLDERELKRERRKQSNRESARRSRLRKQQECEELARKVAELTTENSALRSELDQLKKACEDMEAENTRLMGDKAQYKGPTVTTTLGMSIDSSKTQHHDDEGQLHKNTNNNSNGNYVGGSHKPEANSR >ORUFI07G07060.1 pep chromosome:OR_W1943:7:5578820:5583754:1 gene:ORUFI07G07060 transcript:ORUFI07G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLVPVPTTAADDDAAAGSPSPSPEMEMEEDGGGGKVVYVAVSGNRNKALPTLRWALRRHAPAPEGRKKTALLVLVYVHRPATMIPIFRAKVPSIVLKDEIVTSYRQQERRITEKFLQQYLDICTSEKVQAEAFMIANDNIAHGLIGANQEHKISTLIMGAGIYGKTSTQRTKLAITMEKEADPSCKILFVHKGNLFSIRPRTTSIPISVNSDVPTMAGSHIPWFSFIPPWHHDDRSSVTSSSFLTDSQTMTDNGLDPENLDHQFFENAMPMFDYDSFSLIRHESLHGLNEIASQIILSGHSQYLRQLNFDVSCNEEVRNRQFIHGIDSILGVDSMNLEEVYWKAYMEDKTIKWIYLLEYIHKIVSVSLKQIQEQHDGASSGLTLEGLSDAATKPINRLLTFASTVSKVNGSPEKLFHMLQMHKALTEASPMIQQTFLGEQKEFFVRELHRILDTLEDSAREILGKLKVQIQSHDSPIIPGGSVHLVTTYLMRYITLLAHNTSSLNTILGHDHSDHLLAADGINSPSRLISGLIFDLGSMLQKQSKLYKPEGLQYLFLMNNEHFVLQQIEREDIKLMIGTEWIQKYCHNINQYKVKYIEATWATVVSCLDKKISISLNFLQLSPLKEFISSFETEYRLQMHWKVPDPKLRDELRQTVCDYVLPAYCEFMEKHPNLEKSGDNLEDIRNKLSELFEG >ORUFI07G07070.1 pep chromosome:OR_W1943:7:5584171:5585050:-1 gene:ORUFI07G07070 transcript:ORUFI07G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTSSTNLSIRFATTESSSYDSHSFSDEPGNVSHYMQLLEDIPEDAHDPTDIGTWIQRVDLQMLQLFGKELVALIDRSIQEINREYGQARGASEMHRLLKLAVHFIGRLDALLERMARHESMMGLECISLLNNAHFILNRLRKLEVKSALQQDWILRYENQVKHQITRYLELSWLPVMSCLDAHTPTQALFPCFHLPLTTRFYEMLESTCAEQQNWRIEDPKLRNNVRKAVSSHVVQCYQAHLQKKGMNLHKYIPQEIESKLMELFEG >ORUFI07G07080.1 pep chromosome:OR_W1943:7:5592905:5595298:1 gene:ORUFI07G07080 transcript:ORUFI07G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKMIEDDCEKVYVAISPIQSVCLPMLLWTLNNTPPGKTVILLRIYRPARTNLIPIAVCGSVQTRLPAGFYTHEARTGEKMLRDYLRVCESQKFHAEVLTAEKENVELGLVELVSELKITTLIMGGGLYRKGGMKNMMLADRTITVLEKADPSCKIFVLNRGNLFFIRERRITISTSTKNGFAPVEVSDFPTSSYHFLGWHPNDYASRSSISSSLLSETQSKTDDGCDSEQLDDLMLEYLHPGFDNDSFRIVSKESLIYLDKIANQLTQSGHAQDLHQAPFDDRCHCHFIPDMDRILGIQSRNDDEAQWKNCIKHKMTEWLHELRYVCTIVLSAHKQLMQWHLAVHDSLALDELSKAVKEPITQLLTFASTVSKMHGSPEKFFHMLHMHQALTEASPVLQEVFSGELKESFTGELHKILHTLKDGTKETLDQLRVQIQSYSSEDMPEGGGIHLVTTYLIRYIMSLTQNTGSLDAILAHSYDDHALAEERMMNTSGHLISMLISDLTSMLYRLSKSYMSKSEGLQWLFLLNNENFILRKIEEADIRSMLPADWIQNYQHRVEQNKANYIEATWALTLSYLKKRIKSPFNFLHPSPMKEFTSSFETTCNAQTHWKVPDPKLRVELRQTIRDYVLPAYCAFMENHPNLEKSSGRSLENIRNKLSELFEG >ORUFI07G07090.1 pep chromosome:OR_W1943:7:5596809:5597999:-1 gene:ORUFI07G07090 transcript:ORUFI07G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTSSATNMSFVLTSTTELASSYDIQSSSEEAAISQYQQCSYEAGIRIYKQLLGDIPEDGLDLSNIRIWIQRADSRMLELFKEELVAMIDQSIQEINSKHGQEQESDMHCLLKLAGVMTMLSPSSDLLPAILRLYVTLEIFPVNQVNGIASELKRCVREIFQGQCSLALNGIYSVPRGGGIHNITSYMMNYIKYMWEHDSLLNVILAQDDGESENPSHDGKWTRLDYFVQSLIGYLDSLLETISKYQSTEFQCIFLLNNAHFILEILEKLDMKSALQQSWITRHHNQVEYQIARYLEHSWEPILSCLVARKNILFPCFHLPPLTEFYTMLNNNCAVQKYWKIENPKLRQVVRKTISSRVTQCYQAYLGRSVKNQKRAHYTSEELENKLMELFEG >ORUFI07G07100.1 pep chromosome:OR_W1943:7:5614129:5622646:1 gene:ORUFI07G07100 transcript:ORUFI07G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAAAAWVDWAAEYTKAAQAESRPPAEWAARVASVVAAAGDAPWSPGLAEMLARALLYGGGGAAWKYAEAALAAGLASPALLLAILSTRVIPHRFTRPTAYRLYLELLRRHGFNFAFQMKVANFKKIMQLIDDNLGLSKIFGFSTCEPGLVDAALDDEGLLELIPDKKAHWPTRSDDVSAFDGTFSEQRIDKIDKLQKMNNVITIELIGHLLHDKVITHILSLARENMQSQWAAFTNRLQLLITKSSTLQTSTVALEAFQQLNLDVCNIFRENKHWLRRKFHPIVTSNPLSSPNGRCLGASYSAQWIPIDMYLEDCLDGSIAATNSIEILSGLIKALQAVNRATWHDAFLALWIASLRLVQREREPIEGPVPHLDTRVCMLLSITTLAIVDIIEESDSEMNSNWKEKRTSDDLRKELMLSLQTLGDYESLLVPPPCIISVANQAASKAAMFVSRTNISSGYMENVNDRTTNYSGNMWHLIVESCISRNLLETSVYYWPGYINGHVNSITHALPSQLAAWSSFMKRAPLTQSLVNVLVATPAPSLAEVQKLYEVAVDGSDEDKVSAATILCGATLLRGWNFQEHTVRLVVKLLSSSDPIDFSGGESQLVKHGPMLNVIVTGISPVDYVPIFSFHGLIPELAAALMAICEVFGSLSPSVSWSPRTGEEISAHTVFSNAFILLLRLWKFNHPPLEYCVMGDGAPVGSQLTPEYLLLLRNSQVVSIRSSARNRNTQKQLPVTSNPSSEHPIFMDSFPKLKLWYRQHQACLASTLSGFAHGTPVHKNVDSLLNLMFRKANKESTSIGSLSGSSSISNSSGPGVDDSHLWPQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLTDFLPASLATIVSYFSAEVTRGVWKPAFMNGTDWPSPAANLSMVEEHIKKIVAATGVDVPRLVTGGSTLGTLPLPLAAFVSLTITYKLDKASERFLNLAGPALENLAASCPWPSMPIVAALWTQKVKRWSDFLVFSASRTVFHHNNDAVFQLLRSCFTATLGMSSTTSVCSCGGIASLLGHGFGSHCSGGLSPVAPGILYLRIFRCIKDCSILAEDILRLLMLSVKDIAETTVSRHRSDKVRKTKYVMRHGQVSLSSAMTQVKVAASLGATLVWLSGGTALVQSLFQEMLPSWFLSVQDLGRGGAASGGTVYKLGGHALAYLAVYAGMFAWRIDPTPVSRRRERVMWSHFEFLASALDGKISLGCDLSLWRAYVSGFLGLVVECTPCWAHEVDLRVLGRLSAGLRQWKEDELAVALLRRAGPEAMAAAAELIIGGDW >ORUFI07G07100.2 pep chromosome:OR_W1943:7:5614129:5622646:1 gene:ORUFI07G07100 transcript:ORUFI07G07100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAAAAWVDWAAEYTKAAQAESRPPAEWAARVASVVAAAGDAPWSPGLAEMLARALLYGGGGAAWKYAEAALAAGLASPALLLAILSTRVIPHRFTRPTAYRLYLELLRRHGFNFAFQMKVANFKKIMQLIDDNLGLSKIFGFSTCEPGLVDAALDDEGLLELIPDKKAHWPTRSDDVSAFDGTFSEQRIDKIDKLQKMNNVITIELIGHLLHDKVITHILSLARENIKFHPIVTSNPLSSPNGRCLGASYSAQWIPIDMYLEDCLDGSIAATNSIEILSGLIKALQAVNRATWHDAFLALWIASLRLVQREREPIEGPVPHLDTRVCMLLSITTLAIVDIIEESDSEMNSNWKEKRTSDDLRKELMLSLQTLGDYESLLVPPPCIISVANQAASKAAMFVSRTNISSGYMENVNDRTTNYSGNMWHLIVESCISRNLLETSVYYWPGYINGHVNSITHALPSQLAAWSSFMKRAPLTQSLVNVLVATPAPSLAEVQKLYEVAVDGSDEDKVSAATILCGATLLRGWNFQEHTVRLVVKLLSSSDPIDFSGGESQLVKHGPMLNVIVTGISPVDYVPIFSFHGLIPELAAALMAICEVFGSLSPSVSWSPRTGEEISAHTVFSNAFILLLRLWKFNHPPLEYCVMGDGAPVGSQLTPEYLLLLRNSQVVSIRSSARNRNTQKQLPVTSNPSSEHPIFMDSFPKLKLWYRQHQACLASTLSGFAHGTPVHKNVDSLLNLMFRKANKESTSIGSLSGSSSISNSSGPGVDDSHLWPQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLTDFLPASLATIVSYFSAEVTRGVWKPAFMNGTDWPSPAANLSMVEEHIKKIVAATGVDVPRLVTGGSTLGTLPLPLAAFVSLTITYKLDKASERFLNLAGPALENLAASCPWPSMPIVAALWTQKVKRWSDFLVFSASRTVFHHNNDAVFQLLRSCFTATLGMSSTTSVCSCGGIASLLGHGFGSHCSGGLSPVAPGILYLRIFRCIKDCSILAEDILRLLMLSVKDIAETTVSRHRSDKVRKTKYVMRHGQVSLSSAMTQVKVAASLGATLVWLSGGTALVQSLFQEMLPSWFLSVQDLGRGGAASGGTVYKLGGHALAYLAVYAGMFAWRIDPTPVSRRRERVMWSHFEFLASALDGKISLGCDLSLWRAYVSGFLGLVVECTPCWAHEVDLRVLGRLSAGLRQWKEDELAVALLRRAGPEAMAAAAELIIGGDW >ORUFI07G07100.3 pep chromosome:OR_W1943:7:5614129:5622644:1 gene:ORUFI07G07100 transcript:ORUFI07G07100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAAAAWVDWAAEYTKAAQAESRPPAEWAARVASVVAAAGDAPWSPGLAEMLARALLYGGGGAAWKYAEAALAAGLASPALLLAILSTRVIPHRFTRPTAYRLYLELLRRHGFNFAFQMKVANFKKIMQLIDDNLGLSKIFGFSTCEPGLVDAALDDEGLLELIPDKKAHWPTRSDDVSAFDGTFSEQRIDKIDKLQKMNNVITIELIGHLLHDKVITHILSLARENMQSQWAAFTNRLQLLITKSSTLQTSTVALEAFQQLNLDVCNIFRENKHWLRRKFHPIVTSNPLSSPNGRCLGASYSAQWIPIDMYLEDCLDGSIAATNSIEILSGLIKALQAVNRATWHDAFLALWIASLRLVQREREPIEGPVPHLDTRVCMLLSITTLAIVDIIEESDSEMNSNWKEKRTSDDLRKELMLSLQTLGDYESLLVPPPCIISVANQAASKAAMFVSRTNISSGYMENVNDRTTNYSGNMWHLIVESCISRNLLETSVYYWPGYINGHVNSITHALPSQLAAWSSFMKRAPLTQSLVNVLVATPAPSLAEVQKLYEVAVDGSDEDKVSAATILCGATLLRGWNFQEHTVRLVVKLLSSSDPIDFSGGESQLVKHGPMLNVIVTGISPVDYVPIFSFHGLIPELAAALMAICEVFGSLSPSVSWSPRTGEEISAHTVFSNAFILLLRLWKFNHPPLEYCVMGDGAPVGSQLTPEYLLLLRNSQVVSIRSSARNRNTQKQLPVTSNPSSEHPIFMDSFPKLKLWYRQHQACLASTLSGFAHGTPVHKNVDSLLNLMFRKANKESTSIGSLSGSSSISNSSGPGVDDSHLWPQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLTDFLPASLATIVSYFSAEVTRGVWKPAFMNGTDWPSPAANLSMVEEHIKKIVAATGVDVPRLVTGGSTLGTLPLPLAAFVSLTITYKLDKASERFLNLAGPALENLAASCPWPSMPIVAALWTQKVKRWSDFLVFSASRTVFHHNNDAVFQLLRSCFTATLGMSSTTSVCSCGGIASLLGHGFGSHCSGGLSPVAPGILYLRIFRCIKDCSILAEDILRLLMLSVKDIAETTVSRHRSDKVRKTKYVMRHGQVSLSSAMTQVKVAASLGATLVWLSGGTALVQSLFQEMLPSWFLSVQDLGRGGAASGGTVYKLGGHALAYLAVYAGMFAWRIDPTPVSRRRERVMWSHFEFLASALDGKISLGCDLSLWRAYVSGFLGLVVECTPCWAHEVDLRVLGRLSAGLRQWKEDELAVALLRRAGPEAMAAAAELIIGGDW >ORUFI07G07100.4 pep chromosome:OR_W1943:7:5614129:5622644:1 gene:ORUFI07G07100 transcript:ORUFI07G07100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAAAAWVDWAAEYTKAAQAESRPPAEWAARVASVVAAAGDAPWSPGLAEMLARALLYGGGGAAWKYAEAALAAGLASPALLLAILSTRVIPHRFTRPTAYRLYLELLRRHGFNFAFQMKVANFKKIMQLIDDNLGLSKIFGFSTCEPGLVDAALDDEGLLELIPDKKAHWPTRSDDVSAFDGTFSEQRIDKIDKLQKMNNVITIELIGHLLHDKWAAFTNRLQLLITKSSTLQTSTVALEAFQQLNLDVCNIFRENKHWLRRKFHPIVTSNPLSSPNGRCLGASYSAQWIPIDMYLEDCLDGSIAATNSIEILSGLIKALQAVNRATWHDAFLALWIASLRLVQREREPIEGPVPHLDTRVCMLLSITTLAIVDIIEESDSEMNSNWKEKRTSDDLRKELMLSLQTLGDYESLLVPPPCIISVANQAASKAAMFVSRTNISSGYMENVNDRTTNYSGNMWHLIVESCISRNLLETSVYYWPGYINGHVNSITHALPSQLAAWSSFMKRAPLTQSLVNVLVATPAPSLAEVQKLYEVAVDGSDEDKVSAATILCGATLLRGWNFQEHTVRLVVKLLSSSDPIDFSGGESQLVKHGPMLNVIVTGISPVDYVPIFSFHGLIPELAAALMAICEVFGSLSPSVSWSPRTGEEISAHTVFSNAFILLLRLWKFNHPPLEYCVMGDGAPVGSQLTPEYLLLLRNSQVVSIRSSARNRNTQKQLPVTSNPSSEHPIFMDSFPKLKLWYRQHQACLASTLSGFAHGTPVHKNVDSLLNLMFRKANKESTSIGSLSGSSSISNSSGPGVDDSHLWPQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLTDFLPASLATIVSYFSAEVTRGVWKPAFMNGTDWPSPAANLSMVEEHIKKIVAATGVDVPRLVTGGSTLGTLPLPLAAFVSLTITYKLDKASERFLNLAGPALENLAASCPWPSMPIVAALWTQKVKRWSDFLVFSASRTVFHHNNDAVFQLLRSCFTATLGMSSTTSVCSCGGIASLLGHGFGSHCSGGLSPVAPGILYLRIFRCIKDCSILAEDILRLLMLSVKDIAETTVSRHRSDKVRKTKYVMRHGQVSLSSAMTQVKVAASLGATLVWLSGGTALVQSLFQEMLPSWFLSVQDLGRGGAASGGTVYKLGGHALAYLAVYAGMFAWRIDPTPVSRRRERVMWSHFEFLASALDGKISLGCDLSLWRAYVSGFLGLVVECTPCWAHEVDLRVLGRLSAGLRQWKEDELAVALLRRAGPEAMAAAAELIIGGDW >ORUFI07G07110.1 pep chromosome:OR_W1943:7:5629416:5639456:1 gene:ORUFI07G07110 transcript:ORUFI07G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLPLVLAAAAIASLVILVLVVFACRRWRRAVVAAAPQPPPRAAADVVAASPVRSQNEDLNKPLLEILDDHSSQSNTFPGNVVGESSKVQTSRSDTSPRSHGISDSGRTYPADSCTPQGETHVIDVTDDTSEEFHLGSTLKCTKQTSWSRPDKKHKRWGSGEDNKNGSISLKDNTYRSNLDVEVIAGPSHGISCSRQSTSPTIPITLGRVPPSDLVLKDSEVSGKHARINWNAKTLKWEIVDMGSLNGTFVNSRAVHHPNVGSRHWGEPAELADGDIITLGTSSKLSVQISLQNQRVPAGIGMASDPMVGRRSGKKLAMEDISFCQCPLQGVEQFGLFGIFDGHGGDGAARAVSKIFPENVATLLSHHETKEKVLSYSDASDVLRYAFTMTEAAIDHEYEGCTATVLLIWFDQKKDCFAQCANLGDSACVMSVNGKMIEMTEDHRVASVTERARIARAGQALKAGEVRINGLNLARMFGDKFLKEQDSRFSSEPYVSQAVHITKACTAFAVIASDGLWDVISTKRAVQLVVEGRERNSGDSASADKVANRILSEARNLRTKDNTSVIFVDFDILRTDHCIAK >ORUFI07G07120.1 pep chromosome:OR_W1943:7:5641834:5648826:1 gene:ORUFI07G07120 transcript:ORUFI07G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEAQAALQAVAQSLRWTYCLLWQLCPHQGSSLVWGEGHYNGAVKTRKSTVMQPPPAEEEDDADHAARHRSRQLRELYDWLQQAGENSSGGVQTSSTTASRRPGAALSPEDLTETEWFFLMSASYSFPPGIGLPGRAFARRGHVWLTGANEVDSKVFLRAILAKTVVCIPVVDGVLEIGTTEKVEEDMGLIQYARGIFMDQHGIHMKPTLSQHSTSNPVTHCTHQHPIQVQMQLGITSQTKFDYSDELNADEENDDTEEEGMSGSDTNNTDTERNSGQLQLQMQDQLNMVSNDHQTIPNNAVSSELMQCEMSEVVRDGCSNNILEDEIQMLMDCQNSNCQLNLQGPDEPCHSWHFLCEELQNDYQPATEDQVASPENTHYPKTLMTILHYNTLRQQEMNIKNYLPVSEKSSFSRWTTPEGSDDNKTMISPGTTQRMLKSILMIVPSSHCSYRGAETPESRGGKGASGTRKVGAIQGDFSANHVLKERRRREKLNEKFIILRSLMDKASILGDTIEYVKQLRNRIQELESSSSSSRAAARAPSAAAAGRRRKRSAAAATATAAEGMSSSNGRNGGEAAEVVQVSIIESDALLELRCGCGGGGGGVVLLRVMQAMQELQLEVTAVQASCAGGELLAELRAKVKGRRRSSIAQVKRAIHLVLSSSSISP >ORUFI07G07130.1 pep chromosome:OR_W1943:7:5658153:5659196:1 gene:ORUFI07G07130 transcript:ORUFI07G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSLAPKPAAVAVAAAAIPRLVPPPSIDMSAPSPPPPLVSVSRSMVAKHKAVVVMGATGTGKTRLAVDLALQFGGEVINADKLQLHRGLDVATNKATADERAGVPHHLIGVAHPDEEFTAADFRRAASRAAAAVAARGALPIIAGGSNSYIEELVDGDRRAFRDRYDCCFLWVDVQLPVLHGFVGRRVDDMCGRGMVAEIEAAFDPDRTDYSRGVWRAIGVPELDAYLRSCAAAGGEEERARLLANAIEDIKANTRWLSCRQRAKIVRLDRLWRIRRVDATEAFRRRGGAANEAWERHVAAPSIDTVRSFLHGEFTTAAETTAAPVPPPPLLPMFTLAAAGAGV >ORUFI07G07140.1 pep chromosome:OR_W1943:7:5676461:5683540:-1 gene:ORUFI07G07140 transcript:ORUFI07G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPSWFSGIARASSASSSAMPPGGVASAAAPLSDGAGGRGGGGGGGGGGGGVVAAVVAAGPGAGAGVGAGGKRRQVQGALFKYGPKSAQVAFKTGDFNHQVIFIGGLTDGFLATDYLEPLSLALEVEKWSLVQPLLSSSYTGYGISSLEQDALELDQLISYLINKENSDGVILLGHSTGCQDIVHYMRTNFACSKAVSGVILQAPVSDREYRATLPETAEMIDLAAKMLSEGRGMDLMPREANPDAPITAYRYHSLCAYMGDDDMFSSDLSEDQLRQRLGHMSTTQCQVIFSMGDEYVPEYVDKEALVDRLCRALGNAEKVEIEWGNHALSNRVQEAVRAIVDFVKREGPKGWDDPWS >ORUFI07G07150.1 pep chromosome:OR_W1943:7:5686261:5689812:-1 gene:ORUFI07G07150 transcript:ORUFI07G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSKEDGEGPVARCRERKHLLRDAVAARHALAGAHAGHAAALKNVGAALSDYASGEGEAHAGGALRSGSADSSAAAAALVTASSDGKPVLAILPPPPPELPPPPPPPPPPHGDVDSAPLARSMSAPDLHLQQPIKKKPSGEAPIMEEEDDEGGDGGDAGGRRGDDDAELKPPPPLPSQRPPPSRSPPPLPPENDHKVDTPGGFISSLFDSMPPPTLDTAAAAAEPSSSASAERREPPPPAPDEHQPSAAAREAAEGKRPAAVEAATTRRAMTQKAARKGKAKAVMLVAPPQPQPAKLGVGDILRALDEHFLKASQSAHEVSKLLEAARMHYHSNFAETRGFVDHSARVMQVITWNRSFKGIPQPENVRNEMDDDEWETHATTLDKLLAWEKKLYHEVKDFEVIKREYQQKLAVLNKKKQRGVTSSSLEKTKSVVSHLHTKYVVDLQTMESTVAEINRLRDQQLYPKLLELVKGMWHMWDAMYLHNKTQLKIILELKSLDISVAPRETSEQHHDRTVQLWNVVHEWHTQFDKFMTYQKQYVGSLYTWIKLNVIPIDTNLKPNSSQPHETTPPIKRVLHAWHEILGKLPDEAAKKAINTFAEIVKTILVHQEDELKLRMKIEDTRRDYGKKRRQFDDWAQKYMHQTAGILPEDRNPDGARPDPMAERKAAMEKLELSMKELEEMYVKQCRVVREKSLSLLRTNLPELFRVVSDFSLQSAGMFKGVWSIAHTNDQLDE >ORUFI07G07160.1 pep chromosome:OR_W1943:7:5696585:5696817:-1 gene:ORUFI07G07160 transcript:ORUFI07G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVLSGGVLGAAARAVDDGRRWLRDEAGLMIRTMATEALDGGVLEVATVT >ORUFI07G07170.1 pep chromosome:OR_W1943:7:5697492:5700464:1 gene:ORUFI07G07170 transcript:ORUFI07G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSTAAAASTAFVSGHRRRGGACHLLSSSFLIAFPRAAAERRCGAAAAAPRRVGAVTVRAQAAAAGAGKKSVLIVNTNGGGHAVIGFYLAKDLLAAGHAVTVLTVGDEGSDKMKKPPFSRFSELTSAGATTVWGDPADVGAAVGGGASFDVVLDNNGKDLDAVKPVVDWAKAAGVAQFLFVSSAGIYTPSDEPPHVEGDAVKESAGHVGVEKYIAEQFGSWASFRPQYMIGSGNNKDCEEWFFDRIVRGRPVPIPGSGMQVTNISHVRDLASMLALAVESPGAAAGRIFNCVSDRAVTFNGLVKMCAAAAGAQPEILHYDPAAVGVDAKKAFPFRNMHFYAEPRAAKEVLGWRSSTNLPEDLKERFAEYASSGRGQKEMSFDLDDKIIAAA >ORUFI07G07180.1 pep chromosome:OR_W1943:7:5701431:5704759:-1 gene:ORUFI07G07180 transcript:ORUFI07G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYEHGRRLVAGCIPFRYKDNNDETSDDGHKKLVEVLMINSQSGSGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLVQLLGFYDFKSKTHQDKFCPEGMCRAAVFALRVKEELASWPEQSTRKRTWLTLSEAVERSRYPWVREALTTGFTTWHENWSNGDDHVDPSSR >ORUFI07G07190.1 pep chromosome:OR_W1943:7:5709516:5709872:-1 gene:ORUFI07G07190 transcript:ORUFI07G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRTNSRMMKKKRCIPFSMNWTLEPSQTVLRLFIDSEMLMMMMMTMDLHKLFFGPFTDDETLMLMKALHKLFFRPSLSDDDHVDKLRHGDDEVTMKASSNGELVMIKANSDSELVM >ORUFI07G07210.1 pep chromosome:OR_W1943:7:5738759:5740190:1 gene:ORUFI07G07210 transcript:ORUFI07G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRVNRASVFVILLIVASALSVFTAGGRELVAQETNQKKYSSAALGEGATSSGEAHPRNLMVKTNDYGRYDPSPAFSKPRFKIIPN >ORUFI07G07220.1 pep chromosome:OR_W1943:7:5759620:5768034:-1 gene:ORUFI07G07220 transcript:ORUFI07G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAPPPPRSPAATPDAAASASPARTRVVRAPPRPRPRRAHPGATPPEWAERRPAVSVDYDRGRREVRAEVEGVGAAALPARHRLRVEGSRWQRDWKVSEAAARVLALPRAEAGAVDAVLNCWAGRFARRNFPLLIREITISGNLQHAVHVFRWMKNQDNYCARNDIYGMMIRLYARHNQVDQARGLFFEMQEWRCKPDADIYNSLIHAHSRAGQWRWAINIMEDMLRAAIPPTRTTYNNVINACGATGNWKKALELCKKMTENGVGPDLVTHNIVLSALKNGAQYSKAISYFEIMKGANVIPDTFTLNIIIHCLVKIGQCGEANELFNSMRERRTKCPPDVVTYTSIMHSYCVYGQVENCKAIFDLMVAEGVKPNIVAYNSLLGAHASRGMHREALAIFNLIKKNGLRPDIVSYTSLLNAYGRSAQPEKAREVFNKMKKNSCKPNKVSYNALIDAYGSAGMLKDAVGLLHEMEKDGIQPDVVSISTLLAACGRCRQITRIETILEAARSRGIDLNTVAYNSGIKSYLSFGDYEKALELYTTMRESNVKPDAVTYNILISGSSKLGKYTESLRFFEDMVESKVSSTKEGKLSEAESTFSSMKKSGCFPDVLTYTTLIQAYNADGGWKRAWDLFKEMEVNGIPPDAIICSSLMEAFNKGGEPERVLQLMEFMKKKSIPLNQKSYFEIIASCTMMRDWKTASEMIEYLDSSLSSISVGTLNHVLNFLGKCGKTENMMKLFYKMMTSCSTVGLSTYAVVLRNLLVVGKWRKYIEVLQWMEDAGVHPTLYMYQNVLPYIWRENGMDFAATMQEKISSLRDKQTWPY >ORUFI07G07220.2 pep chromosome:OR_W1943:7:5760537:5768034:-1 gene:ORUFI07G07220 transcript:ORUFI07G07220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAPPPPRSPAATPDAAASASPARTRVVRAPPRPRPRRAHPGATPPEWAERRPAVSVDYDRGRREVRAEVEGVGAAALPARHRLRVEGSRWQRDWKVSEAAARVLALPRAEAGAVDAVLNCWAGRFARRNFPLLIREITISGNLQHAVHVFRWMKNQDNYCARNDIYGMMIRLYARHNQVDQARGLFFEMQEWRCKPDADIYNSLIHAHSRAGQWRWAINIMEDMLRAAIPPTRTTYNNVINACGATGNWKKALELCKKMTENGVGPDLVTHNIVLSALKNGAQYSKAISYFEIMKGANVIPDTFTLNIIIHCLVKIGQCGEANELFNSMRERRTKCPPDVVTYTSIMHSYCVYGQVENCKAIFDLMVAEGVKPNIVAYNSLLGAHASRGMHREALAIFNLIKKNGLRPDIVSYTSLLNAYGRSAQPEKAREVFNKMKKNSCKPNKVSYNALIDAYGSAGMLKDAVGLLHEMEKDGIQPDVVSISTLLAACGRCRQITRIETILEAARSRGIDLNTVAYNSGIKSYLSFGDYEKALELYTTMRESNVKPDAVTYNILISGSSKLGKYTESLRFFEDMVESKVSSTKEGKLSEAESTFSSMKKSGCFPDVLTYTTLIQAYNADGGWKRAWDLFKEMEVNGIPPDAIICSSLMEAFNKGGEPERVLQLMEFMKKKSIPLNQKSYFEIIASCTMMRDWKTASEMIEYLDSSLSSISVGTLNHVLNFLGKCGKTENMMKLFYKMMTSCSTVGLSTYAVVLRNLLVVGKWRKYIEVLQWMEDAGVHPTLYMYQNVLPYIWRENGMDFAATMQEKINELPPS >ORUFI07G07230.1 pep chromosome:OR_W1943:7:5771644:5773486:1 gene:ORUFI07G07230 transcript:ORUFI07G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVGSAPETMDLETENRLASLLLEEARRLQAEADREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVNEMWRAREKELELESKMKRRTKDLGDARGEKRKSDLRNQSSSPRVEEEGIAYNSSYSDQEDGLGDDDIEKFLHSRVKRGRGAVGSRMDEPGPYLNVASRSQDKDNGPNADTRVEEKWERRVQGPEKPLFLRSRSPDDYWRKETLDGEPSSPELHKKKEKKKEKSSEKKDRKEKRKKKDKKKSKHRHHHHHKSRQRE >ORUFI07G07240.1 pep chromosome:OR_W1943:7:5783215:5784274:-1 gene:ORUFI07G07240 transcript:ORUFI07G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNSKLSVSVAAALVALVVVVGAVVTAAAGGEDQYYGGGARAADGCEPGQGVVPKDPLPGCRAYLLRRCAGGDPPGVRARCCHQLREVAPRCRCDALRAMVEVLVEEEEAPPACNKGAMAAIAEGLPGRGECDLDTRAGADDGGSRRCHLKPEKKTDKEKETEDGKQTEDQNSGVPDDLQDVDPAESRLGRGLRAKKINTRVAGPMWAK >ORUFI07G07250.1 pep chromosome:OR_W1943:7:5786084:5786329:-1 gene:ORUFI07G07250 transcript:ORUFI07G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGETTSGSSIATINNNLCRCPALSHLLVGMYKELCAVTDGKPMDEVFPGCQRDNVKCVAASLLALCNVDIPIGISGVY >ORUFI07G07260.1 pep chromosome:OR_W1943:7:5801306:5804611:1 gene:ORUFI07G07260 transcript:ORUFI07G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFHTNLQLIQLAVILILFQPCFLLLQTAVAVAGHGGISLTSQRMALLQWKSSLRRSSSVPVLNSWQNHTTPCNWTGIECSRNTPLVVTTISLPNSSISGRLGELNFSALPFLNYIDLSSNSLIGEIPPSIGTLSALSYLDLTDNMLHGRIPSEIGDMRSLSQYLGLSLNNLTGHVPPSLGNLTMLMDISIHLNDLVGPIPEELGKLTNLVNLELSGTSLCGQIPTSISNLTKLRLLYLHSNQLSGPIPPSLGNLKEMQDLELANNHFTGGIPISFCNLTQLNILYLAMNQLTGYVPQEISWLSNLTSLVLYTNQLRGPIPASIGNLTRLNYLYLYENQFMGFIPDEIGNLVNLEAMFIADNQISGSIPATFSNLTSMRRLSLFDNTLSGPLPQEFEYLTGLVELSLFNNSLSGNLPSEICKGGQLETFNVANNMFTGRVPRSLKTCKSLKSLHLAYNQITGDISDFGPYPQLIDANLEENNLYGELSKNWAESTNLNSLALARNMITGALPPELSRLIRLEILVVHTNNLTGEIPPGIGNLANLYMLTLARNKFIGHIPSEFGRMRGLQHLDISSNKLSGSIPPELGNCTKLIYFNINGNNLSGGFPVTIGNLVNLQIKLDASNNKFTGGIPAQLGNLAMLELLNLSHNQFNGNIPSSFANMVSLSTLDLSYNNLEGPLPTGRLFGNASTSSWFLHNKGLCGNMSGLPPCYSAPKLDHHKRNFHNLALAISLPTCIALILATFIVIMAFHRRKTSQQTTAIQRRDVFSVWNFDGKLAFEDITSATEDFNERHIIGAGGYGTVFKAQLQGGRLVAVKKLHPTEAEMTDEKMFLNEIEVLMKIRHRSIVKLYGYCSHPHYKFLVYDYIDRGSLHVTLENEEVAKELDWPKRAAIVRDVAQAIYYLHHECNPPIIHRDITSNNILLDSAFKAYVSDFGTSRILKPDSSNWSELAGTYGYIAPEIVMGRYPRELQSITSAGQREKLALDNLDQRPLLSMMEEDIALLVKVAFACQQTSPQARPTMKDVYHILMHHPSSYCFPTTSEF >ORUFI07G07270.1 pep chromosome:OR_W1943:7:5807056:5807466:-1 gene:ORUFI07G07270 transcript:ORUFI07G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHGQVVYTPGQLCTAGRGYPMYPLPRCRALAKRQCAGGAVDEQVRQDCCRQLAAIDDSFCRCPALSHMLVGMYKELGAPADGKPMDEVFPGCRRGDMKRAAASLPAFCNVDIPIGIGGVCYWLSYPMSPMTGH >ORUFI07G07280.1 pep chromosome:OR_W1943:7:5809932:5810357:-1 gene:ORUFI07G07280 transcript:ORUFI07G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMADHHQVYSPGEQCRPGISYPAYSLPQCRTLVKRQCVGRGAASAADEKVWQDCCRQLAAVDDGWCRCGALDHMLSGIYRELGATEAGHPMAEVFPGCRRGDLERAAASLPAFCNVDIPNGPCGVCYWLGYPRTTRTGH >ORUFI07G07290.1 pep chromosome:OR_W1943:7:5810465:5818666:-1 gene:ORUFI07G07290 transcript:ORUFI07G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKVVFSVLLLAVVSVLAATATMAEYHHQDQVVYTPGPLCQPGMGYPMYPLPRCRALVKRQCVGRGTAAAAEQVRRDCCRQLAAVDDSWCRCEAISHMLGGIYRELGAPDVGHPMSEVFRGCRRGDIERATASLPAFCNVDIPNGVGGMKTLNLSLSYKFRLKIFCKQKAMASNKVVFSALLLAIVSVLAATATMADHHKDQVVYSPGEHCQPGMGYPMYSLPRCRAVVKRQCVGHGAPAGGAVDEQLRQGCCRQLAAVDDSWCRCSALNHMVGGIYRELGATDVGHPMAEVFPGCRRGDLERAAASLPAFCNVDIPNGTGGVCYWLGYPRTPRTAKYKTTQRRRA >ORUFI07G07300.1 pep chromosome:OR_W1943:7:5867609:5869237:-1 gene:ORUFI07G07300 transcript:ORUFI07G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGCFPPWCVGSTCPPPSWLAGEEGSSWWTKVNRGEHSQVVVLNISGDFIHLNELQEVQFVVEPFK >ORUFI07G07310.1 pep chromosome:OR_W1943:7:5868780:5869707:1 gene:ORUFI07G07310 transcript:ORUFI07G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKLALLQNLIPPLGCALLGSPSSTSLNPPLRPAKKGAGRCCQHTKEGSIRRPPCQGGGAAATGSTAGGATTAGSTLSRRYRRRIHTRSPPPPLDPPREGGERGRKEPSQPDPSSAAATVVGSTRGRRRRRHRRRICCGREARLRGGDDERGESIHDSLGLPVSDHSLRLKLLASEYRRRILQDHVFALEEDLHGDGIVDLAGTSREKEG >ORUFI07G07320.1 pep chromosome:OR_W1943:7:5870261:5870530:1 gene:ORUFI07G07320 transcript:ORUFI07G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRYAARFLASIKVITSTVQRGIHVGHVVHATSHMMASRLAQLRNLTAVSDTSRKDGKQGGIAAKTGRVEPARGAADRMMGVSAE >ORUFI07G07330.1 pep chromosome:OR_W1943:7:5880739:5883106:-1 gene:ORUFI07G07330 transcript:ORUFI07G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMLTSVHREVSSRSGAAHAQYYQAFNKKINLAKIKAHQGTNQLVMALASDKLILSAIVLAVLAAVSAAGYGDVGEYCRVVKAVS >ORUFI07G07340.1 pep chromosome:OR_W1943:7:5885985:5887855:-1 gene:ORUFI07G07340 transcript:ORUFI07G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASDKLVLSAIVLAVQSAVAAAGYGGYGDVCEYCRVGKAVSRNPVPSCRNYIARWCAVAGGRLDYGKQPPRQLLEPCCRELAAVPMQCRCDVLSVLVRGVVTEEGDRVAGMISQHAAPGFNRLQFYPQPVH >ORUFI07G07350.1 pep chromosome:OR_W1943:7:5897633:5904650:-1 gene:ORUFI07G07350 transcript:ORUFI07G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASDKFVLSAIVLAVLTVAAAAAGYGGYGDVGEYCRVGKAVSRNPVPSCQNYIARWCAVAGGRLDSGKQPPRQLLEPCCRELAAVPMQCRCDALSVLVRGVVTEEGDRVAGMISQHAAPGCDAATIAGMASALTDYGRCNLQHTGFFGCPMFGDKLVLSAIVLAVLATAAAAGYGGYGDVGEYCRVGKAVSRNPVPSCRNYIARWCAAAGGRLDSGKQPPRQLLEPCCRELAAVPMQCRCDALSVLVRGVITEEGDRVAGMISQHAAPECDGATIGRMASALTDYGRCNLQHTGFFGCPMFGGGMD >ORUFI07G07360.1 pep chromosome:OR_W1943:7:5948784:5949152:-1 gene:ORUFI07G07360 transcript:ORUFI07G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPANALVSMSPRKFTFAKGALVTALLGIAFQPWRLLSSCESFVYTWPFELSSAARGRCAPRRPTTPTTARARSCTCIVEDGGGGSSRDRARPAHRRARRCRHVRRRRRHAAPAGHVAAAA >ORUFI07G07370.1 pep chromosome:OR_W1943:7:5964128:5965554:1 gene:ORUFI07G07370 transcript:ORUFI07G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKVLLAVGASLVLVFLSKLISSYAKKPRLNLPPGPWTLPLIGSAHHLVSWSESVHSVIGKLAREHGPVMQLWLGEVPTVVASSPEAAQEILRDHDLIFADRHLTSTTAAITFGGTDVVMAQYGERWRHLRKLLTQELLTVARVRSFRRVREEEVARLVRDLSAAAASGATVNLTDMVNRLVNDTVLRCSVGSRCKYREEFLAALHAILHQTSALSVADLFPSSKLASMVATGPRNVLANRNKVERIIEEIIQERKNQIETDMMSGNDDVGDKAAVESKSCSLDVLLRLQKEGGTPIPITNQVITVLLWSNCSKPNTLKKSFEVSTCPIHPIQQTQHMDAGEDASPVISPRNGGEAGKEEAAATPGEVAARPEGARARREVRLEVAGKEE >ORUFI07G07380.1 pep chromosome:OR_W1943:7:6033924:6034404:-1 gene:ORUFI07G07380 transcript:ORUFI07G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTERDSIGLNANVRGTYLCKSSPQLSAERQTSIDLGAILHKLGSRCILTLQAHSTRLLFGSSFCDIQCAYGDIGLLVWEYFLPCDGLATGTHVRFPTST >ORUFI07G07390.1 pep chromosome:OR_W1943:7:6039466:6040383:-1 gene:ORUFI07G07390 transcript:ORUFI07G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWYGGGERRKEKEGVGVRRQCGGGESREETEARRGLECTVPVKLIKRDINFSVAGVVLRVIFSHIKLGLIRSEWSFKMDCNMNNTICQDSFMMPAVLSMPCFPKVICTMVIAGGGKLCQRPKASEGVPFSPAADNRQHINIFAGGRHKVAVIKETNPLRLPFKGYQ >ORUFI07G07400.1 pep chromosome:OR_W1943:7:6043168:6043659:-1 gene:ORUFI07G07400 transcript:ORUFI07G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAVEGDCGLWPFPRDLIHGGWLAVGALKGFWMVVAGGSNGDVAWDGKSFSKPKPNLFYGWQHQYFQGPLYLIGGGAAVFSSLPRKPLGENSLLIPRVGSGGAYEIATSLEALFEGPIYSLYSLSSYGGASVYHSGTTQWRVDPSFSLAHSLS >ORUFI07G07410.1 pep chromosome:OR_W1943:7:6047576:6048022:-1 gene:ORUFI07G07410 transcript:ORUFI07G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNLLLSAAVLLSVLAIAAAAATASAATTSCQPGMAIPHDPLRGCRRYVLRRACGLAAGGRLYDWSLKERCCQELAAVPAYCRCAALAYFMDGASEGRLLEDLPGCPRETQRGLAAMLTTPGECNLETIHGGPYCLELTDREMPKY >ORUFI07G07420.1 pep chromosome:OR_W1943:7:6048591:6048893:-1 gene:ORUFI07G07420 transcript:ORUFI07G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARCCRELAAVQPRCRCEALRLFMDGVGELRGCPREAQRAAAAALMAAGECDLRGGSGETAVLLAMARRRRRCASVLRRSEACDIILFSKKKCGDCDM >ORUFI07G07430.1 pep chromosome:OR_W1943:7:6050568:6058225:-1 gene:ORUFI07G07430 transcript:ORUFI07G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQSSREAQRWRMLADVGEEAVRGRRRPAPRLARRGGCDGGGGGGGLDLAGLLSPGGPRPLPFSSSSMAWHGDRRGEALQVSSSINSIATAYSYRSMSDTHGTGAPTSCTPSSHDYTKPALVVVVVVMATVSGAMSVVGVERLIRGRFTLFSMVRFLLRSTFVLILPLLSSMSRDTVHRPSVLFVLLWMLLVELMRKKVSSMARSSGADGGAFSRATGGRFRLMGHCDEATKLAWIGWLIFQNTYYSDSKCGDHKVLAMFAVLWSLVVAKLLQRVFNEWKAQESLTAAGNTHLIAGYMQLVYVVMGEEKLVVHAVKKKKHDVVTTTITTPHCGYGVGTYPQHQSEQKHVNLLVDMAKCDEVVTVQKIKRKIKLPRWWCCCCFTVTGSRFTDYIYQLCFSFALFKLLRRRFEHYPMVEAGSQTSRQLLLEELLVGGAKKTFRVMRQELDFLDSYYDAGSPVAMSSPWLFIVNYFFSLVFVSTYLAAIIVVLVDVEYNMGTFKSHLPSPGLYIAVSILLVVTLVAVEFTDLLTNYILSNWFMVHLFCLQAGDGGGRVWRWVCKPAIWMFIAGRFLLFYSFQCMLRLSCRGVNVDKIKLKQVSILRVCEPVHKVLTWSPQVKLATEGQTAIVNFLEDVVRDSLKDDGNVAILSGLQPKKGVDDTATQVVLACHLATELLEMKHVVMLLPDNERWVADRYGDMRAFLDEAASRRRRRCCCCLRRRLWKCGCWRTFLMDDMVVDAAADPAAQAGVALFRKLHARTTTTEGGAVVVSAWKELADFWVRMVVYLAPSSDVEGHAMALADNGGDLITYLWAFCTHAGIIRDPNPSDKSLV >ORUFI07G07440.1 pep chromosome:OR_W1943:7:6064139:6067471:-1 gene:ORUFI07G07440 transcript:ORUFI07G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDLTARMAGQMDCHLVFPLLEFLQERALYANKEILEAKLRLLSGTNMVDYAMDIHKSLHDTDEVPDDMVRRRTDVVSRLRALDEATAPIVSFLQNQQLVQELRPDKQYNLHMLQDRFQIGPEQIETLYQFAKFQFDCGNYSDAAVYLYQYRALCTNSERSLSALWGKLAAEILMQNWDVALDELNRLKEIIDSKNFSSPLNQLQNRIWLMHWSIFIFFNHENGRNGIIDLFFQDRYLNAIQTNAPHLLRYLATAVVVNKRRRNMLKELIKVIQQEQHSYKDPITEFLECLYVNYDFDGAQQKLIECEQVILNDPFLGKRIEEGNFVTVPLRDEFLENARLFIFETYCRIHRCIDIGMLSQKLNMSYDEAELWIMNLVRNSKLDAKIDSVSGTLIMTTNHVNIHEQVIESLKNLNMRTFLLAKNIVEPAQAAQQAAR >ORUFI07G07450.1 pep chromosome:OR_W1943:7:6077121:6078517:-1 gene:ORUFI07G07450 transcript:ORUFI07G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAGSNGNLGLIKGGWTREEDEVLRQMVRHHGDCKWTEIAKSLPSQTGKQCRERWTNHLYSEIKIEEEHMKLIEVHRTYGNRWSAIVRWLLGWSENTVKNHWNPTKRSLNSKQRLRKKNSEKAAPGQPSHLEECICSFQNPLLDETAPPPLAPPAPFDIVRYGTCRLIGVIPTPPAI >ORUFI07G07460.1 pep chromosome:OR_W1943:7:6078681:6083355:-1 gene:ORUFI07G07460 transcript:ORUFI07G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGNSFLNQELVKVADGVSDWHLPSSSHGGTCHTNTRGAPITVGVPDLGCPVSSIGMATSSSLMPKEGLTTASYNSVVAFPVGATMVPQQQQTQAAGSNDNPGLVKGGWTREEDEVLRQMVRHHGDRKWAEIAKSLPGRVGKQCRERWTNHLHPDIKKDIWIEEEDRMLIEAHQTYGNSWSAIAKQLPGRSENTIKNHWNATKRSLNSKRRLRKKNSEQTVPGQPSLLESYIRSCQHMLPSETVPPPPAPPAPFDISRYGNSGVIGASPTLPVVQEPGTSTPPGLVMFLDLLNQAIPHPPQPETMDLFNMTPEVSHLNTSGYCLQLDAGGNLYYGRLPAPAPVQPHGISTQELQDTPQLSLYYPLSSFAGSHTDGTVEFNHQLSNPNGGHYGEEAGPSSVAAGGSANGMDDNDVVQMASNQFMMPSEDEGNSFLNQELLMVVNNMTDRHIMRSHGGASY >ORUFI07G07470.1 pep chromosome:OR_W1943:7:6078699:6079718:1 gene:ORUFI07G07470 transcript:ORUFI07G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDVPISHVVNHHQQLLVEEGVTCSHHQLTFEGMKQGQESLIGEDFREGKATSLGSKLRRGLVNLISLPFLTIPF >ORUFI07G07480.1 pep chromosome:OR_W1943:7:6092581:6098518:1 gene:ORUFI07G07480 transcript:ORUFI07G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSLRRAAAAAGGARFKNVSHLLPTCSGLMDNNLNGGSLRGLGEIGCQVSCQELPFGYSGTGFPSIRRLSSEPAERPFRNKNDSEILGDDASDQLPDDNLECDDELDQHNVMIDASETKAKNLRSQFPAIDRSGDTKLQNKTYRSYLFQIVLDAPSNSLRHVLDKWIEDGNQLERNDAMLVLFHLKKQHMYRKALQFVEWMERGKLLNFEKRDYACHLDLIARSHGIETAQKYIKRVPLPFRNEVLYETLIVNCVLAGDIQKAEEVFKEIKDLCLRLTVTLCNQMILLYKRIAPGKVASVLMLMEKENVKPSAFTYRLLIDLKGRSNDLAGIEVVLNEMKAYGIEPSTSTQTMVARFYIHGGLTEKAEAVVKEMEAQLSNSKDGRHVIKSLLHLYAALNKPNDVARIWEMCTEPMLEDFLSAIKAWGELGLIEKAEETFEAMANAPEKLSSKYYNAMLNVYAQNKLLSKGKQFVERMCRDGCPNGPLTWDALINLYVNSGEVEKADSFLLNVAEENPDRKPLFTSYFFLMKGYAKRGDIHNTEKIFDRLKNVGYAPRPLHYAVLLEAYVNAKVPAHGFLERMRGDNVRPTKKIVTSLDTLQKGWIAGLD >ORUFI07G07490.1 pep chromosome:OR_W1943:7:6100687:6101879:1 gene:ORUFI07G07490 transcript:ORUFI07G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRPAILRRIRLSPSPAAAAGAAAASQPHALARWLARPMSSHDAHLTRDEVVDRLLDVLKCHPKVDPSKVSPEAHFEKDLGLDSLDTVEVVMAIEEEFKLEIPDQEADKIDSLPLAIEYVANHPMAA >ORUFI07G07500.1 pep chromosome:OR_W1943:7:6105256:6108915:1 gene:ORUFI07G07500 transcript:ORUFI07G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAAAAHSPASTALPRRRRRAAAPPSRKTPESQALRSILHSRVIACLRAQDGETAMQAARAAVRGGVSVLEIVMSTPGALEVVIGDLRRSYPSLTFGVGTVLNPEDARKAITAGAQFLMSPGTVMEILHALKESEVLCIPGVLTPTEVISASNAGAEVVKVYPVSVMGGEVYMLALKKPFPFLPMVASQGISIDSIKGYLEAGASAVVLSDAIFDKELMRERKFDEISELANLATLRASQSGT >ORUFI07G07500.2 pep chromosome:OR_W1943:7:6105256:6108915:1 gene:ORUFI07G07500 transcript:ORUFI07G07500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAAAAHSPASTALPRRRRRAAAPPSRKTPESQALRSILHSRVIACLRAQDGETAMQAARAAVRGGVSVLEIVMSTPGALEVVIGDLRRSYPSLTFGVGTVLNPEDARKAITAGAQFLMSPGTVMEILHALKESEVLCIPGVLTPTEVISASNAGAEVVKKPFPFLPMVASQGISIDSIKGYLEAGASAVVLSDAIFDKELMRERKFDEISELANLATLRASQSGT >ORUFI07G07510.1 pep chromosome:OR_W1943:7:6110648:6120254:1 gene:ORUFI07G07510 transcript:ORUFI07G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGLRRQEPEALALLFFLSSDETTMNQWRDPRRRRMERHRDKGGAGPRLSQGGRCKDAAREEQGAGPLPLKGREAHGRCHRRRDYVMPLPLEGREAHGYRRRREVGREHAKLMPSEKEEGGKMGLAFGKLFSRLFAKKEMRILMTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKVKKEERGGGKMGLAFGKLFSRLFAKKEMRILMTTILYKLKLGEIVTTIPTIDIYLYVLSNLKAIAEKKGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >ORUFI07G07510.2 pep chromosome:OR_W1943:7:6110648:6120254:1 gene:ORUFI07G07510 transcript:ORUFI07G07510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGLRRQEPEALALLFFLSSDETTMNQWRDPRRRRMERHRDKGGAGPRLSQGGRCKDAAREEQGAGPLPLKGREAHGRCHRRRDYVMPLPLEGREAHGYRRRREVGREHAKLMPSEKEEGGKMGLAFGKLFSRLFAKKEMRILMTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKVKKEERGGGKMGLAFGKLFSRLFAKKEMRILMTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >ORUFI07G07510.3 pep chromosome:OR_W1943:7:6110648:6120254:1 gene:ORUFI07G07510 transcript:ORUFI07G07510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGLRRQEPEALALLFFLSSDETTMNQWRDPRRRRMERHRDKGGAGPRLSQGGRCKDAAREEQGAGPLPLKGREAHGRCHRRRDYVMPLPLEGREAHGYRRRREVGREHAKLMPSEKEEGGKMGLAFGKLFSRLFAKKEMRILMTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >ORUFI07G07520.1 pep chromosome:OR_W1943:7:6128492:6129562:1 gene:ORUFI07G07520 transcript:ORUFI07G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGKRVSSSSTSSHQQHAKRRRRAPGDELSQTHGVARNAVAAMPMVGVAPLMLSPVTMQAQLLCYVAMPMAPVAGGGVPLAAALCRLRNGTLERMMREMAQECTPPLVARRDRKSGATPPPPPPWWPTAEEPWWGTEVAAHLRGRRMATPVPFASPRRLAKAEKVAVLVAVVRHVAPDFGRLAAAAGRSRLTELESSIWESALRGERERRYVVMPSFILLPPPPPPPPQHAHSAESAAAHAGPEPESADQTAVDFSVSGEDTVTTGPELEQLVGDGNGATVEGEGQKTEDSPVPPLLEQHGGEDHDHHRQHGGFSGEVSGAAPEDVDWFDYDEVLRGLDELEIPSFFGGYYI >ORUFI07G07530.1 pep chromosome:OR_W1943:7:6135741:6140348:1 gene:ORUFI07G07530 transcript:ORUFI07G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCCCCCPCPAALGIGIGPRLRSFLRDYDALQSLALALIYLQIGCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQTLGRTYAVLLFFAIVLDVAWFILFSHAIWNITPEEKYGQLFVLSLKLALWMQIIGFSVRLGVSSSTPTYHEVNYDGRNSFLSPRSSSVRRNSMADDILGGSIYDPAYYSSLFEDVRNNTCTHQGDKQSGSNDSGSTSAGQSPRLKSFASRSFVANDVEAGLRRPLNS >ORUFI07G07540.1 pep chromosome:OR_W1943:7:6145493:6146056:1 gene:ORUFI07G07540 transcript:ORUFI07G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNILIPLVSSLMMLVLGPLIIDVISVSKKIGRLFSAIARFLAHDDSVINSMIVDNDPPPSSQLLGGGVSCCDAMAVTTRLGLRWRRSGEAAMECQGCDIPMDATVDELLDRKMASEDELKDAFYVFDRNEDGFICASELWSVMRRLGFKEGQRYEDCMRMIHTFDEDRDGRISYLEFRRMMEDAV >ORUFI07G07550.1 pep chromosome:OR_W1943:7:6152894:6155576:1 gene:ORUFI07G07550 transcript:ORUFI07G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRAEKPEVRDAARESALREIKERIKKTKDEKKAKKAEVAKSQKASGKGNAPKPGKGPKLGGGGGKR >ORUFI07G07550.2 pep chromosome:OR_W1943:7:6153102:6155576:1 gene:ORUFI07G07550 transcript:ORUFI07G07550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRAEKPEVRDAARESALREIKERIKKTKDEKKAKKAEVAKSQKASGKGNAPKPGKGPKLGGGGGKR >ORUFI07G07560.1 pep chromosome:OR_W1943:7:6240648:6242249:1 gene:ORUFI07G07560 transcript:ORUFI07G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTSKPFFPAAPNPALLPSGRKPSRLSPEASYWRSFRASELTPANEFNVTHLAFSPSSAPTLAASLSTSVLLFSGDPLSPLPRIPASQDVAFSPSFRSDGSLLAVGDKKGVVRVFRADKKQSSGALRTLTAHTAETRVVRYPVAGGDKVHLFTAGDDALLAYWDVPSETPVFAAPAAHRDYIRAGAASPADHNIFATGSYDRIVKLWDARMGKTSTLSFSHGELVESVLFLPSGGLLATAGGNVVRIWDVIGGGRLLHSVESHVKTVMALALAKMTNTGETRLLSAGSDGYVKSFDYGELKLTHSMRYPKELLSLACSPCGTVLVAGSSKGTIYMGRRKKKSTGEDEEEGKGVGGELDWAPTKPEKRRLAPSNYRYFLRGQNAKAKEGDLVIEKPKKVKVAEHDKLLRKFRHKDALVSALARNNPRSIVAVMEELVSRRKLVRCIENLDTEELILLLLFLHRNATLPRYARFLMGVANKVLEMRADDIRSDENLRGCVRNLKRMAAEEIQIQHTLQGIQGMISPMLALASR >ORUFI07G07570.1 pep chromosome:OR_W1943:7:6244649:6245458:1 gene:ORUFI07G07570 transcript:ORUFI07G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCSTIFADDVTSSSATAMSSFLSSPSPGAVRMVTATLEEHGSGGAAAAGDGGGEAHTQWGSAVAGGRKKKEEAWLEEEGGAAATRGGEKGGRAAVGDGGENDFHIALNSYVEKLGLEW >ORUFI07G07580.1 pep chromosome:OR_W1943:7:6245514:6250056:1 gene:ORUFI07G07580 transcript:ORUFI07G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSRLHLATPSSPATCPSPLRRRRRLGLPEPRTRRPPPLASKNPAPPQPQPLPLSWLSPRRQWRWRSGYGDADAAEEESPAPLVEDGVSGGGEKKSFWAAVSLIVGTAVGPGMLGLPSATIRSGPVPSTAAIVLSWVYVVSSIVLVAELSFAAMEDGGVDEVSFTGLASSTLGATLGAVVAVVYAALSFSLLVACVAGIGSLVSQLFPAVDPALANAIFPCFAGTLIAFFPFKAVDGANRALCGLMLASITALVVTGVSVGRSSMLRSLGYACWRPATILPAIPVTVLTLGFHVITPFICKIVGDSVYDARRAILIGGAVPLAMVLSWNAVILGLASSSGGARFDDPIKLLLSVNPAALPAVRGFAFAALATSLIGYAVSFPKQLADTVELIGQRFSPKRGIGQLSESSGGHGRNEAILTWIVLIIPIVIASFFSAAFSKALDFAGVYANCFLFGILPPVMAWIHRSQKRKRHLEDKGGYISQGPTLLEDKIPCTTLCLYQENLKILRCLHVKRSELEKSEKQYLMKGALDSGGIWKLQLPYLVT >ORUFI07G07590.1 pep chromosome:OR_W1943:7:6263029:6263859:1 gene:ORUFI07G07590 transcript:ORUFI07G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKRRVRDAEADLNLPPGFRFHPTDEELVAHYLCPRAAGRAAPVPIIAELDLYRHDPWDLPHRALFGRREWYFFTPRDRKYPNGSRPNRAAASGYWKATGADKPVLHNGRTAGIKKALVFYHGKPPRGVKTEWIMHEYRLAKKGGAAAAAGAGALRLDDWVLCRLYNKKNEWEKMQSRKEEEEAMAAAQSWGETRTPESEVVDSDAFPEMDYSLPAASFDDALLPKEEARDDDWLMGMSLDDLQGLGSLLQADDLSMLAPPPAAKTEPLGAPFF >ORUFI07G07600.1 pep chromosome:OR_W1943:7:6318417:6320698:-1 gene:ORUFI07G07600 transcript:ORUFI07G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTARCLAAALAVLHRSAPLDRILRVAAVGDSGGGVATVVAAVGRQQLATAVSAGSGRRQQLAMTAVVCLYAVKFMELWRLRVRGLNGLSNGDESGSSSEGYA >ORUFI07G07610.1 pep chromosome:OR_W1943:7:6320913:6321362:-1 gene:ORUFI07G07610 transcript:ORUFI07G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFIIVFLTGDVVKEASLRKPLSGARPNPLPPRHSQGRGDTGGDPAATTAPPPPPSFHLAAARAGRRKPRGRKDGGGGAFSPPPKVTAFGAHDPDLALGQRLRRLRRRARRLRMASARWRCRRRLKRWAAGPTTTRRRCWLLCSPAWI >ORUFI07G07620.1 pep chromosome:OR_W1943:7:6321594:6321911:-1 gene:ORUFI07G07620 transcript:ORUFI07G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDELASEARLDGSEWCRRQREEGCVEVADDAMGGLRLTDEGHPAGNEGRLARRRWRQWFRKADAGCSRRLVRAMAGRPPRSMARRADEREVGEAIWWRASVQW >ORUFI07G07630.1 pep chromosome:OR_W1943:7:6330514:6331920:1 gene:ORUFI07G07630 transcript:ORUFI07G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDRGEGIPKTKIGAWNVGEGEEGGEAVWGRVVGGQLPRSGGGRGGREAQAEMGVGARSERGGSGVTVCCSAQGRVVGC >ORUFI07G07640.1 pep chromosome:OR_W1943:7:6331947:6332243:1 gene:ORUFI07G07640 transcript:ORUFI07G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSEGRQTRRRGPVSRSGGTRGGREASAALGSRAEGRRKGWVRGRDCAGESGASGGSGEGSGESSWEEGRDRGSRKGRGRASEALILQEDPRSNLSP >ORUFI07G07650.1 pep chromosome:OR_W1943:7:6332394:6332846:1 gene:ORUFI07G07650 transcript:ORUFI07G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSCPWRAGTSQSGTILGPLYHASLVLFMPKNAYRGLAHDDLGPHALPGAWWSMQEHWVRQRQLDALPELLLLRLATIDVVVHDARLVGVRHDRNRGAEQPAMSEGTTPTSASDRRCSLTFTEGLRRSWWREMGKKEKTMNLTGGPTCR >ORUFI07G07660.1 pep chromosome:OR_W1943:7:6374024:6374759:-1 gene:ORUFI07G07660 transcript:ORUFI07G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPSPSLLFLSQIRWVTGCEVVSGRAAVGLSIEAESELLVVASSATMTLNKRPGNEARGWRWSILREPRFWRPRVMLSKLLAFGKLGNDDFCEVSIVAFFSFYV >ORUFI07G07670.1 pep chromosome:OR_W1943:7:6376445:6376736:-1 gene:ORUFI07G07670 transcript:ORUFI07G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGKCKVPAILSGAPGAKWWKCSSDVVARPSFSLMAAAIPDREDDEEEELFESEDDVNSRSFLFPIPIWAVDANLQWE >ORUFI07G07680.1 pep chromosome:OR_W1943:7:6377514:6378178:-1 gene:ORUFI07G07680 transcript:ORUFI07G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEIAAVPNISEGLAEISKKMMDLAAQLRALAAQLADPTAFLEEAEPLYRHAVTLRLRGRVFKQHGWGQHSVVTLQPRGDGVTRGEALMFPLPAVPSPGVAVHLRPRRWDWRWRRCHWRPQRWRRRRWRFPLRQRYNSVQASDFQALFSSDAALFPQVEAHIPVMVGSELGGVRVVCDRWAWHSRVAMAQIAPAPHTGLRSWRINHGCVAPSGVVS >ORUFI07G07690.1 pep chromosome:OR_W1943:7:6387223:6387498:1 gene:ORUFI07G07690 transcript:ORUFI07G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAEVGVPEVVGDVLGRPGHGEGVLVTFTTNGEEHGDILGVLVVLDVGADAGDGVAGEVGPVAAMAEDAEEGDDDNVVEAVPRTICSRG >ORUFI07G07700.1 pep chromosome:OR_W1943:7:6388366:6388641:1 gene:ORUFI07G07700 transcript:ORUFI07G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWRETPAASAAFPVAYTAVLALYLLLGVFPRPRGAPPCEALLWELADWAAVAVCLAADAYFIYCIASSRRRPDVPPRLPPPPPQMDLC >ORUFI07G07710.1 pep chromosome:OR_W1943:7:6391707:6393689:-1 gene:ORUFI07G07710 transcript:ORUFI07G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAVFLLPLAVAAASPAPARGPTACPYDLATATRMIPRECYANATAGQAATGCCWYVFAAYIFAAADHANRTGAAFLPAEPAAACSGAFAARLLSSGLVSPSLLANNGSCDLTGDPGKLAAGSRPCQLATIDAVRAMAPRALPNATRLCAAPGAARAPGVGPGDPGCAACRGAVIATTYEMLASARTKEFVPCGMAATVAVWSRAPPPLERFRAYALCMLQVLENVNSLGTSDLVPSPPPPPASPTTASRPLPSSSSRRNTVAIAVGSASAVVVAVVAVASAALAIVTIRRRRRSTTTAGDVSDDESVASLPPLPREGLYIFTKSELKQATNGYDEKLLLGSGGAGKVYLGRLPSGQRVAIKKIYRSKKVSEFYAEVAVLAKLRHRNLTTLVGYCLGGDHHALVYEYLGGGNLWRALFQGELAWRRRLEVAVDVAEGLAYLHGFREGAVVHRDVKPTNVLLSESGAAKLSDFGVSRIVPEGGTHVSTEVRGTRGYVDPESFSAGHVSEAGDVYSFGVVLLELATGMRAVVPTPSGGAESIVHAAHWAVAQAGGEAGAAAESMVDERLGADWDRPTVRAVFALACRCVRPYKHERPAMGEVLAELKAMLADYTARGGGADRSEASTSSSTATPDPASLPSTSSSVANTEAMATPPRRDS >ORUFI07G07720.1 pep chromosome:OR_W1943:7:6401672:6407411:1 gene:ORUFI07G07720 transcript:ORUFI07G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLYNHQGFSPARTLSPQIRSNPEADSQYLSELLAEHHKLGPFMQVLPICSRLLNQEIMRVSSMVNDHGFNDFDRRRYRSPSPMSSPIMRPNLHGNGFGPWNGIHQERLGFPPPPPPGTSMDWQGAPPSHGSYIVKKIVRMEVPVDAYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDADKEEKLKGKPGYEHLNDPLHILIEAELPANIIDTRLRQAQEIMDELLKPVDESQDYYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKPSH >ORUFI07G07720.2 pep chromosome:OR_W1943:7:6401672:6407473:1 gene:ORUFI07G07720 transcript:ORUFI07G07720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLYNHQGFSPARTLSPQIRSNPEADSQYLSELLAEHHKLGPFMQVLPICSRLLNQEIMRVSSMVNDHGFNDFDRRRYRSPSPMSSPIMRPNLHGNGFGPWNGIHQERLGFPPPPPPGTSMDWQGAPPSHGSYIVKKIVRMEVPVDAYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDADKEEKLKGKPGYEHLNDPLHILIEAELPANIIDTRLRQAQEIMDELLKPVDESQDYYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKPSH >ORUFI07G07730.1 pep chromosome:OR_W1943:7:6413191:6413654:-1 gene:ORUFI07G07730 transcript:ORUFI07G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGEVEGGGRRRVVRIIFRDEDATDSSSSEEEGEGEVVAARRVVVKRTRLRCQEVERRFTGVRRRPWGSGGGGKRKKQSGCGGRIPALNTAAAAVEETGRA >ORUFI07G07740.1 pep chromosome:OR_W1943:7:6418366:6422753:1 gene:ORUFI07G07740 transcript:ORUFI07G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWDEILTLPVQNPPTPEFSASDIMWSRVEGWKDSMDRLALIPFSRVNDFVRGESNNKECPTRFHVEARRRRPPTMNCKPKVDGILEYILYWCSFGPDDYRKGGSVRPSRNSSTKRKTPAGRPHTKRGCICHFIVKRLIAEPSVALVIYNHDKHVDKIGKPCHGPMDNMAIGTKAMFAPYISDELRLQIMSLLCVGIPVETIMQRHTEMIEKQGGPSNRDGLLTHRYVRRLERKIRRSVYELDDDDAISINIWVENHQNHIFLYEDFSDKDTFIVGIQTDWQLQQMIQYGNRSLLASDSKFGTNKLKYPVHSLLVFDKQKNAIPVAWIITPNFSHGEAYRWMGALYDRVRTKDPTWQLGGFIIDDPFADVRTIRGNGGMELFEAFLEDFVDCAGFLDYFRALWFPRLGSWITMLRTTPLATTEVASAIESYHHLLKLRLLNEANERVYQRADWLVHKLGTKVHSYYWLDEYSGKDNFSRYWRSEWKSGPNPWQQGLQIPDSDVVVEGNCARVVCQKNKERSHVIVNPGSDLALCDCSWSRKGNICKHAIKSTKVFRQRGLAPPSLALFRYYQALANVVHCPPSDTLISDHAVAVAIFVRTQLDSLLDATNGNSSNRSTSKDPQSVIEPRESDVREGSIENDVCASQSQAECGDEVSDEQNDDLDRFVFKKRKSREASDEEGSPTATQITQPSETESSQATDLQEPLDRRENRAPKKSKSKEASDGGKANARQAKQPSETESRPAIDQQEPLHHRQENPAHKKTKSGEASDGEETMAMQVMEPSETE >ORUFI07G07750.1 pep chromosome:OR_W1943:7:6424136:6425027:-1 gene:ORUFI07G07750 transcript:ORUFI07G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIGDHSLFLGAKDFPAFRPNCDCLSKYLSSSACSNEIESNIVCGVPTGSSANSFCIDSTGFCLSGAKALRKPLCSSMFRRMWPNTFIYPLSLYRRQLPLELEFRVESLSLEFYQIGPKVLKPGTEE >ORUFI07G07760.1 pep chromosome:OR_W1943:7:6425789:6430777:-1 gene:ORUFI07G07760 transcript:ORUFI07G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARGAAAARSPLVLHRHPHPAHHRRLRLLPLVAGGGGGSPPRVGRRIRASREKGRRVGIRVFARYSQAQDFSTRLQDRAGELPKLVEDLLQTSISTGPRGAFRFAQGIQAVLGVGGEWLNDFSKTANTSAGIPAQMQLGLLSPLYLRRLFERMGATYIKLGQFVASAPTLFPAEYVEEFQNCFDRAPPVPYSEIESILREELQQPLDSVYEYIDPVPIASASIAQVHGARLKSSQKDVVIKVLKPGIEDTLVADLNFIYVVARILEFLNPELQRTSLVGIVKDIKESMLEEVDFRKEAMNIEAFQRYIDAMGFDRQAKAPFVYRHCSTKRVLTMERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRVGFLDFGIVGRISPRTWAAMEVFLASFATDDYNAMASALSEMGATGNDINVNEFAKDLEKIFSSIQDLDTEVIVATARTPDATAVSANVVVDERQMNALFLDLVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPSMNMLRDERINIGMNRSAAAKENLDEYNWTFS >ORUFI07G07770.1 pep chromosome:OR_W1943:7:6433797:6443970:-1 gene:ORUFI07G07770 transcript:ORUFI07G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASIPTSCKSGEVDFGVEEVGGVEELANLESARRRSGVCRWRRLQYEATSASFLPMGGRFDERAARPVLLFTDLLVYILVNSDELMNQGIQDINYVIQIPQKSCIPGSAVTEAVAVMKWESLLPNDTFLIVASSDGAFEKMTMQDVCDLMLYVKLGVKQELGSFAVTQQNLADYMGRSQYLMEAITMLPAIEVMSLELSKRGHAFGQCVFHLLRMSTGIRKLKLALRGGLKDSEERISVLSTWFQGHQADARCSASCICNRPQAWKTEDLFLDSLQEVEISGFRGSEHELAFLKRRFGWAAILKTFTMHLHLDLTVSDDLCKELLSLATPETDVKIYFYRDDDVHARPAWVLYTPEE >ORUFI07G07770.2 pep chromosome:OR_W1943:7:6440495:6443970:-1 gene:ORUFI07G07770 transcript:ORUFI07G07770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASIPTSCKSGEVDFGVEEVGGVEELANLESARRRSGVCRWRRLQYEATSASFLPMGGRFDERAARPVLLFTDLLVYILVNSDELMNQGIQDINYVIQIPQKSCIPGSAVTEAVAVMKWESLLPNDTFLIVASSDGAFEKMTMQDVCDLMLYVKLGVKQELGSFAVTQQNLADYIGTTDNVAAVIVPLGSHYSSKVTLKDCVARFA >ORUFI07G07770.3 pep chromosome:OR_W1943:7:6433797:6440485:-1 gene:ORUFI07G07770 transcript:ORUFI07G07770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDRLSALPNDVLHLILLRLRSAEAAARTSVLARRWRHVWATLPELRFRMDVSLAAHAAPALRRLEVSTDADDPAASTAALRLAAPRVAGELSFCIWPRWDDAPEEDDGPAPVRRPGVVKLPCFEKATELWLILGLLGVSLPKSGVFAQLTALAFRDVRFTGRCDLGAVVSSKRCPVLQKLQVHDSQDLYNLTIFSESLLHIELSDLHGGMGRLMIVAPLLRVLDVRHCFYWRTYRSHSLVRDQPYAAVFTPALEDLIWVDAYDPTMVQFGGVERLRKLVTQLQYPAPATRREVVLCIGYDDDDLALVDVTYPKRDVDNMQWFREITLLYRGHRHSAPFVLGLIVLCGHAPPNNWCPWGREGRARPSSGPRHPDPLRRDLHRRLPCLMGRSQYLMEAITMLPAIEVMSLELSKRGHAFGQCVFHLLRMSTGIRKLKLALRGGLKDSEERISVLSTWFQGHQADARCSASCICNRPQAWKTEDLFLDSLQEVEISGFRGSEHELAFLKRRFGWAAILKTFTMHLHLDLTVSDDLCKELLSLATPETDVKIYFYRDDDVHARPAWVLYTPEE >ORUFI07G07770.4 pep chromosome:OR_W1943:7:6433797:6440485:-1 gene:ORUFI07G07770 transcript:ORUFI07G07770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDRLSALPNDVLHLILLRLRSAEAAARTSVLARRWRHVWATLPELRFRMDVSLAAHAAPALRRLEVSTDADDPAASTAALRLAAPRVAGELSFCIWPRWDDAPEEDDGPAPVRRPGVVKLPCFEKATELWLILGLLGVSLPKSGVFAQLTALAFRDVRFTGRCDLGAVVSSKRCPVLQKLQVHDSQDLYNLTIFSESLLHIELSDLHGGMGRLMIVAPLLRVLDVRHCFYWRTYRSHSLVRDQPYAAVFTPALEDLIWVDAYDPTMVQFGGVERLRKLMGRSQYLMEAITMLPAIEVMSLELSKRGHAFGQCVFHLLRMSTGIRKLKLALRGGLKDSEERISVLSTWFQGHQADARCSASCICNRPQAWKTEDLFLDSLQEVEISGFRGSEHELAFLKRRFGWAAILKTFTMHLHLDLTVSDDLCKELLSLATPETDVKIYFYRDDDVHARPAWVLYTPEE >ORUFI07G07780.1 pep chromosome:OR_W1943:7:6457276:6460018:-1 gene:ORUFI07G07780 transcript:ORUFI07G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGAGDLLSALPDDVLHLILLRLRSAAAAARTSVLARRWRSLWTTLPELRFPAVTDLARVTAALLSHDAPLLHRLELCSHDPAPHEVAAVLHLAARSLAGKLLLDIVMRKKRNPVAAAAAGIGAAFHIPCFRKATEISIRFAYLTIRLPPFGVFAKLSVLRLTRFRLDDSQCDHLGDIVSSERLVKSAIRSKTLLTVGLFELEELQQLTISAPMLRTLHLVHCLDKRAVRAADISAAALEKLGWIGLCDWNSVHFNVIQHLKRLDTLNLSSMVDCEFLMEDMNTLPAIEILSLRSITAGHAFGPCVFQLLRTSTGVRELHLDLDHHLKGEVSCSSGCICYKPSDWESMDICLNFLQKVEINNLSGAEYEICFVKRLLTWAPVLKMITVMFGPSVTVSEEVCQELLSFSRRCSPGICMEIYLHGNRAKVMYRAVNLKRPRDD >ORUFI07G07780.2 pep chromosome:OR_W1943:7:6457276:6459110:-1 gene:ORUFI07G07780 transcript:ORUFI07G07780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQHFKAVSNVDLYLIYPSSMVDCEFLMEDMNTLPAIEILSLRSITAGHAFGPCVFQLLRTSTGVRELHLDLDHHLKGEVSCSSGCICYKPSDWESMDICLNFLQKVEINNLSGAEYEICFVKRLLTWAPVLKMITVMFGPSVTVSEEVCQELLSFSRRCSPGICMEIYLHGNRAKVMYRAVNLKRPRDD >ORUFI07G07780.3 pep chromosome:OR_W1943:7:6459123:6460018:-1 gene:ORUFI07G07780 transcript:ORUFI07G07780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGAGDLLSALPDDVLHLILLRLRSAAAAARTSVLARRWRSLWTTLPELRFPAVTDLARVTAALLSHDAPLLHRLELCSHDPAPHEVAAVLHLAARSLAGKLLLDIVMRKKRNPVAAAAAGIGAAFHIPCFRKATEISIRFAYLTIRLPPFGVFAKLSVLRLTRFRLDDSQCDHLGDIVSSERLVKSAIRSKTLLTVGLFELEELQQLTISAPMLRTLHLVHCLDKRAVRAADISAAALEKLGWIGLCDWNSVHFNVIQHLKRLDTLNLSVYGPPNLVDS >ORUFI07G07790.1 pep chromosome:OR_W1943:7:6461361:6461868:-1 gene:ORUFI07G07790 transcript:ORUFI07G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREKGTKKGIKEEDEGNLRRAPSRRRDEFQLVLDGGDELHLILDGAKCSIPKTRRALSWFLEDMALDIVT >ORUFI07G07790.2 pep chromosome:OR_W1943:7:6460075:6461360:-1 gene:ORUFI07G07790 transcript:ORUFI07G07790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKRFLPWRALTTSPRWSPFQACGGDAIAMAMATALDMATGGDLMRMQLVLAKERMGKKDN >ORUFI07G07800.1 pep chromosome:OR_W1943:7:6471894:6474817:-1 gene:ORUFI07G07800 transcript:ORUFI07G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKVDEVSKAYQELVAAAQAILEARRQPGGENTAAMDAAREAFEQRRKLFAVACDDADALVQSARQSIVSDPGRFVDVVTTPDEDSVRSPTRSSAESSSSSGFGALPDEVLRHILLRLPSAAAAARTSLISRRWRNLWSTLLPKLRFPGVTDLARVGAALRLRVAPVRLLRIESSDRAPDKIVAVLHLAAPLLEGKLCFDVITPAAAAAGAAGTGGAIQIPCFEKATEITIRLRGRLGIQLPPSGVFAKLTALSLSHCRFDDQGQRDLGDAVSSEGCPSLRELRIRDADVVSNLAIRSESLRLVQLSRLEGIRQLTISAPALTELDLYACLLWGMPMVDIFAPALETLHWIMDMSCELLVQAVKKLPAVEILSLRLLTIGHTFGPCVYHLLKMSTGIRELKLKLEDHIADGEVPCSSGCVCYEPQAWKKNNISLNFLQKVEINNLSGAERQIYFVKRLLRWTMPELKTITLSFDPLVTVSEKVSRKLLSFSTPGICMEIYLHRNGTRVKYSAAN >ORUFI07G07810.1 pep chromosome:OR_W1943:7:6480152:6482530:-1 gene:ORUFI07G07810 transcript:ORUFI07G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGYDLLTALPDDVLRLLLARLPSTAEAARTSVLSRRWRSLWTNLPQLRFADVTDLARVAAVLRHHSAPVLLLRIESSDPAPEKIAAVLDLVAPRLQGKLHFDIITLGNQNSAAGAAGIGAAIRIPCFERATEVTIRLLRGIGIRLPPPSGVFARLTALSLSHCRLDDQSQRDLGGAVSSEGCPSLRELRIRDADVVSNLAIRSESLRLISSNDKLLVEAVKDELPAIEILFLRVEKFLAHLAAFVTSPVTGKP >ORUFI07G07820.1 pep chromosome:OR_W1943:7:6487728:6488634:1 gene:ORUFI07G07820 transcript:ORUFI07G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNVVVLPTVVSRSCRLTVVVEPFDKVVEIKQKVESCYGIPNRELADDHDIEYYPIFDGSHVLLLPHWQVAARFCWIHGLAKWSGGDRMHDTVHVTAYLPPASWGRKVTVFARREESVAALKLRIHVDMPLPECMWNDFVCGSLMVMMDHWPLGAYVEFDSGVVEVTIVDCKKMVETGSSSGSNRNTNVDANNNKIVIGLLMEGSHSQHMDFLLEASPADMEQLNDNFEGDPETPLLAEGDYHFELNGVAMNEELLLEVHGVVESGETIMIISGRLPTPGRE >ORUFI07G07830.1 pep chromosome:OR_W1943:7:6497844:6501108:-1 gene:ORUFI07G07830 transcript:ORUFI07G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGAMERELLEAFEAARKAADAVGEAAAAAGAGEGESPEAARCVDALRRLRGARVTTAALVSTQIGRRIRYLTKHPHSSIKATASDLLGHWKKVVIEEDKKNGALQNGKSSSTVVKVEKVEPMKVEKASPRATVNNNNMDTRVVNHKGGKVEKFSNAELRTQSIKVEKVQKVVHKVSSVEKPSPVQGGPPRLTSVVKCGDASRDRIRAILGDAFSRVSEETRKDDREEVRNIIEEVKACDPFRIAVMVECALFQKLGNFNGPNKQRYRSLMFNLKDDHNTDFRRRVLLGQVQPERIADLTPTEMASDTRKLENKKIEEKALFECERGGAPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >ORUFI07G07840.1 pep chromosome:OR_W1943:7:6503050:6505311:-1 gene:ORUFI07G07840 transcript:ORUFI07G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVAAKKADLEVSMLLRDDLVCRSINHSHINWLPENITATTSASDALAGADFCFHAVPVFRTVHYKSLFSAMGVPFPLVTLEFSSSFLEGISTHVDPKLPFISLSKGLELNTLRTMSQIIPQALGNPRQPFIVLSGPSFAIELMNKLPTAMVVASKDKKLAAAVQQLLASPNLRISTSNDVTGVEIAGALKNVLAIAAGIVEGMHLGNNCMAALVAQGCSEIRWLATKMGAKPTTLSGLSGSGDIMLTCFVNLSRNRNVGLRLGSGEKLDEIMNSMNQVAEGVSTAGAVIALAQKYHVKMPVLTAVARIIDNELTPKKAVMELMNLPQVIHLTSCLILFFMINYFILQT >ORUFI07G07840.2 pep chromosome:OR_W1943:7:6503050:6505311:-1 gene:ORUFI07G07840 transcript:ORUFI07G07840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVAAKKADLEVSMLLRDDLVCRSINHSHINWLPENITATTSASDALAGADFCFHAVPFSSSFLEGISTHVDPKLPFISLSKGLELNTLRTMSQIIPQALGNPRQPFIVLSGPSFAIELMNKLPTAMVVASKDKKLAAAVQQLLASPNLRISTSNDVTGVEIAGALKNVLAIAAGIVEGMHLGNNCMAALVAQGCSEIRWLATKMGAKPTTLSGLSGSGDIMLTCFVNLSRNRNVGLRLGSGEKLDEIMNSMNQVAEGVSTAGAVIALAQKYHVKMPVLTAVARIIDNELTPKKAVMELMNLPQVIHLTSCLILFFMINYFILQT >ORUFI07G07850.1 pep chromosome:OR_W1943:7:6506366:6508282:-1 gene:ORUFI07G07850 transcript:ORUFI07G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETPVEAPPAPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHSVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDFGEESEGLNIVQDYVKSH >ORUFI07G07850.2 pep chromosome:OR_W1943:7:6506368:6508048:-1 gene:ORUFI07G07850 transcript:ORUFI07G07850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETPVEAPPAPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHSVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDFGEESEGLNIVQDYVKSH >ORUFI07G07860.1 pep chromosome:OR_W1943:7:6508672:6508968:1 gene:ORUFI07G07860 transcript:ORUFI07G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGRQLVKEASVRRSRSGSRARPNLGGAPSLRVEGGLAHEAEVDDAAWRCRIRRHRGQIRFPCGGGSGASALGSDRCKPLEQRRWEAEAAGGSR >ORUFI07G07870.1 pep chromosome:OR_W1943:7:6509915:6516814:1 gene:ORUFI07G07870 transcript:ORUFI07G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGGDSVRSTGTGTGRDDGSPLPRSSPTATATATSRPFAAPFAAFQFQSLHEAHRLEIHQPCCVLSVPLPNSSVFEACAHPPTTQRQHICELKHHVMYLTSMHLTISITNAANIYRLDQAFIQEFIEVSLSDFL >ORUFI07G07880.1 pep chromosome:OR_W1943:7:6515355:6524303:-1 gene:ORUFI07G07880 transcript:ORUFI07G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTGAINTLLLKFAKLLVGEYKLQKGVKKEIESLQKELISLGAALRKVSEVPVDQIDEQVKIWARDVRELSYDIEDSIDTFMVVAHHQIAREIKDIKKLLEDASKRHERYKIDDAVIARRSESIDPRLGAMYRKETELVGLDGPKNVLVKTLMKEDGKLRQHQDIISIVGFGGLGKTTIANALLHELKVKFDCHFFALVSNKPDIRNILKSILHQLDNKADIFEGLADFQLINKIREFLQNKRFLCVIDDIWEESAWDVIKLALPDGDHGSKIITTTRKMAVAKCVGGAIFELKPLSYDDSYKLLSKRVFDTEDGFPLELSEVTRSILKKCGGLPLAIITIASLLASKPMQIQEWEKVNNSIGLGLGNNLDVDNMRKILSLSYYDLPPHLKTCLLYLSKYPEDTIIRKDILIWSWIAEGFITNEGEQHGKSLQEIGEAYFNELLNKSLIQAVDIGSICDRDGQVHACQVHDMVLELTNMLSAEEGFVTLMPSDDKEEGILVPAVPKKVRRLSYSYRFRNSTNGYATAHARGSLSQVRSFTAIGDVRSLPPLTIFRVLRVLILGNCLRLEDKHLKDFGKLYNLRFLRLGNIRKLPKCIGNLQFLQTLDIRDASSVIQLPPSFVRLRQLVRMIAHRVRLPYDLTLENMKSLQELQCVGLTENLMQGLCDLTGLRILNLLLSSDDDCDIFREAFINNLQKHNGLQQLTLKTPGRFSLDFMQGAPCCLQRFVSNGLFYRGFPQWVNSSLSCLTILSIKLDNYMKQEYLVTLAELPSLRFLRINAFDAVEMKDVKVEDKKRRLIIYSGACAFRCLQEFHFYCKRMGLSFEPRAMQELRRLCLQFSVQRTIDALGEIDIGLENLPSLQHVFVDLGRSETPGEEIQEAEAAIRKATNDHHNNPSLDFGSVDAMLSSRMRLRIH >ORUFI07G07890.1 pep chromosome:OR_W1943:7:6532355:6532636:1 gene:ORUFI07G07890 transcript:ORUFI07G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAVVLPGGGALLCCLAARRSVQPFGAARPRQPGSRHGDLKAGAGARAAAFPVSAQAQMVVQRELAAVGRLSRCRSAPSPFLELLPFFAGSF >ORUFI07G07900.1 pep chromosome:OR_W1943:7:6534296:6535994:-1 gene:ORUFI07G07900 transcript:ORUFI07G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAANGKRRPRKAAAEAVDGQEEACRGGGGAAEAAKGKKRPRKAAAAEEGGRGGGGGGRFFCCYLLRSLCPRRKGSTYIGFTVNPRRRIRQHNGEIRCGAWQTKRGRPWEMVLCIYGFPTNVAALQFEWAWQHPTESLAVRKAAASFKSLGGVGSKVKLAYTMLNLPSWENLNLTVNFFSTKNTKFAAGCPPLPGHMKTAVCSLEDLQYCTDGVSSEEDNNVDEPPPKKNHQEPDDAHAPARDELSVSEHGLVQLPEEEIRNAGNESYYDDFAPIDWSVFGAAEARGLDESSEHDEWMGQEDNPLFEAQPLEHETRTAASAVSDAECSTDELGYMSWSGIHETTRESDGSATSPRCSSGLSSDDEGGRILDGVSGQISSPFPYVGRSSSSDESGPAPLFLEKDVINLVTPIARRLGRKGGGEMARIVDLTSSPIVIEL >ORUFI07G07910.1 pep chromosome:OR_W1943:7:6544444:6544950:1 gene:ORUFI07G07910 transcript:ORUFI07G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAADRGAAGGASSFRVYYSLGAAGTVPFVWESKPGTPKSTVVPASAADGGYAMPPISPPPSYSYQWSSCNSDQPPPPSKAKKCRRRLSTSSSSCSWASSGGWMSWLTSFRRRQRWLPPSPGKTTYRRRWLGQDGGVAVDDVVVVRRSPPRRAVPCFGAGKVHW >ORUFI07G07920.1 pep chromosome:OR_W1943:7:6547253:6558182:-1 gene:ORUFI07G07920 transcript:ORUFI07G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMAPMLPAPAPMAAGPTEPVVVVGPQYCAPYVVPLTVTKKSISLTDGDFTVTDANDNVVLNVKGTLFSVRHRRVLHDAVGQPLLSMQEKILSMHNRWEVYRGDSAHSCDKLFTVKKSSMLQLMKTEMDIFLAGNTAEQVCDFKIKGSYFDRSSAFYLGKSNTIIAQMNRKHTAASVALGRDVFSITVFPQVDYVFIAALVAILDDVALTVTRRSAYAADGGFAVTDAAGAVVLRSEVELMSRFTRRAIVDAAGVPIVSMKRKLFSTRYTWEVFRGDSGHESDLLSTVRRSTYYPKPKLDVDVFLASNTSQAACDFRVRCSYFRSTCTLYIGGSNTVIAQMNRCNVVSDFVYLGSKYSVTVFPHVDYVFIMFCEPHAVDLTVTRSVATGFLKDDGGGFTATDAAGAVVLATEPRFIYREKGRRVLVDADGMPLLSMRRKVTISSEIYSDFIFSWSNLIILVLTNLVGFISTRMSEFIWADSAYSLQYTWEVFRGSSTNANRLLFTVRRSSLLPQLKLEINVFLAGNTMQNACDFRIKCSFFSRSCILYIGNSNTPIAQINRKFIGLSDMIFVGSKFSVTVFPHVDYVFVMALVVILDEIARDIRRGAVIQISTSQRPGRSTR >ORUFI07G07930.1 pep chromosome:OR_W1943:7:6562987:6563367:-1 gene:ORUFI07G07930 transcript:ORUFI07G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVAGVRGLVAAADPFGVELMHVLINDTDIDDNVRRPENTVPGAWATVESCDVTELLLTVESCDVAELLLASLDAGVPFSCRVNGSCFAIARERIKQFAYRDGEITKKRRGHFDREGESTCTYS >ORUFI07G07940.1 pep chromosome:OR_W1943:7:6584618:6587523:1 gene:ORUFI07G07940 transcript:ORUFI07G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAPLLAARGEEEVVEGRRRGGGGGATSAQTLGNVVVSIVGTGVLGLPYAFRTAGWVAGSVGVAAAGSATLYCMLLLVDCRDKLEEKESEETYHGHYTYGDLGEKCFGTIGRCLTEILILVSQAGGSVAYLIFIGQNLHSVFSQLMSPAAFIFAILLPVQIALSFIRSLSSLSPFSIFADVCNVLAMAIVIKEDLQLFDHPVANRSAFNGLWAIPFTFGVAVFCFEGFSMTLALESSMAERRKFRWVLSQAVVGIIIVYACFGVCGYLAYGEATKDIITLNLPNSWSSAAVKVGLCIALVFTFPVMMHPIHEIVEERFQSSGCLQKLSHKVRGAEWVGLHSSRIVMVTILSVVASFIPAFGSFISFVGSTVCALLSFVLPTIFHLSIVGSSMSPWRRWGDYGFLLFGLGFAGYGLITALFSH >ORUFI07G07950.1 pep chromosome:OR_W1943:7:6588257:6598845:1 gene:ORUFI07G07950 transcript:ORUFI07G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATPPWRSRTTATTPSSATTSGGSLDPSPSSPRSSRTTATTPSCATTSGGSLDPSPSSPRSWRPTPPATPPPPPPHGPPSPTSSAPSAAARRPPSRSASSWSGSTPSFGWRASATTSSSRPRATSSPGSTSPGSWGAPPRWRPGSASTRPRRTASSPPRSSWAPSSGTPATCSRRGGPPCSRRAPTRRSARGRWPGSSGASCSPWTTACSSAATGSGGSAAPWSRRCIGA >ORUFI07G07960.1 pep chromosome:OR_W1943:7:6601161:6607263:1 gene:ORUFI07G07960 transcript:ORUFI07G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKTTRAEDAGADVDAQLPARRVAAAAAEPKRALGADAYAAARYRLFRNNFVAAAVAVALCLGALVHTILCRDDPTVIPRYVLPLLVMCCATAEVVRNYVQMYGWSVPMGVARFRINDGVHRAGALLQPWLLPLLLTSGTGPEASPTLSTGGENPTPFKSATLHPQTNVAVHFLHDIICGVLGYGPYSNKMSPFRLPNLQVSEDSGKIFNLAALTLALMVCIYEAPSTLRRDLIGTISAQLIRGDMWGAAKKLMLAMGSDMEEQWMRSLNLAITNWIMETRRSGGTPVSPFTVFSYAVSASRLWKVELYCPVVAMIMEHPAHQTKDEKLQFSLNYQHLEAVIQFIYRVTFRENWIDVTVNVDNIRCDLIQLVSETLMAKQGYGSDEKHFPSRISLQLTPLVQTDILSLTVSRSTDNPAQEVDTEMGLDASLSAAPATIGITVSAHETVTRTLRPWKFEHSVHGNTAALNWFLHGGAEGREVFSSEPHKRELLQPRSWFRNRYTNPGRPFTRGGGVIFAGDEYGESVCWRMPAAAAGKTVEWEIKGRIWVTYWPNKKRTLHVETRRVEFRELLRLTIRE >ORUFI07G07970.1 pep chromosome:OR_W1943:7:6608115:6624580:-1 gene:ORUFI07G07970 transcript:ORUFI07G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSRSSVPLPLPDPSSRSETSAGGTSPHIGSVRSEGDAAPHRSEEKSEKQRPGRRKRKRGKGGLRRPTIALLTEETKQRLLRVVTPTSPGTTVSPFAPAPRRPQYPPFPEDGNVEDVRKWNDECHEVSKIIKKIEKGGDPALGDFLDAGGDPVSAIFSTPAENLLSAPVMPLICKGKMPRRTIDISSEESEGNSTNSETNTCTGSDEDYHTDEVFEALNISGFDNMLHELYSKKGIKMKGAHNETKLDKMLYALFRKDIQKGKAASNTDTSFIRFSAKYFAEVVSKLPEEKKIVIKNFGFHSLLLFDSSFIPNKFATWIVKKVDLKTSEIVLGGKVIPVNKEVVHAILDLPIGCSEFGKNYEHGTQFILSKFGKTIMSSVKFFGDQLINNEDMTDDSIIISFLIVALATFLCLNSSTYPSIKYLDIFEDVDMLHSFDWSSFVYDWLMSYVKKFQKTNSLGGCLYIWAVLYLDHRDFGSKNVPKDVPRIAVWKQDMVKTYSDLDEIDDKNYALRPLKDFSDTCYYEAVHVQNSESFRNKLDRAIGDNLPANLKDSISDLMDSHCSANHDANNNSCEDVMISALQILIDASVSHFVRAAHNNASTENGTDTPTENDKQNGSCIDAIPKSYIDIDANLDQGHGEHLTNDLLILNCCCEGQAFFTPGVVCPPNSKSCGSESIKKPPALLTKIAMEFKSRLAEFNNRDNRGHIYDEDRPAFDIFHEYNIGEKVCTPDTLKSHTHGKENEAPANPATFAGPDYMTPPLGTRTRLNNNTYKRHANLNPSQIGMKRTFQDLTNSPNDICNTTKLLTLTNSSHATNSSHASIPCINNSGLSSSGGKVPLYGPRRIIHPAKHRSDPFVCPRRRFVVSDNALRYYNAICSLSDSEYQDEDAVNIDNVRISFCNFGKSLKKGGDVNGFVISAFCRCLFHKNHPSKSKKNYFFPSIGDMLISHLNSKELAKIEKSFQGAATARILHKCDLLFFLICYLEHWFLFVVDIKDRMLIFLDSLHEKDDPYFDPIMDLMDEAEESAMDFNSFEIFFPPVPHEENNADSGIFVMKSIELWSPRSLLCNEFDKIDIDMIRIQLANQIFFNEKNKMLQTEAEHLVQSWATKGNLSCAGKQHDKDIPIKTEPKDPYTTKAIQSSRKKVVVLHAARAIVSISHIMDDGQRRPQCTGIIIKQWSDDTGHHHATIVTYSRIVCEAGRKRDPLPKLSVCLPNKKTVLDAELIYFNDHYDIALLHINLEVTMELPSFGRGPEYGQEVFVLARDGEASLRARRGDIQWLEESDILGRDHYMFLSCDIPEGGNGGMVIDNDGVVRGMAIYCSPYPAVTSISTIVARPLFGIGVRTIVLLDVQLQEDISDFGIKGGFLVDRVYNPVAEDLGIKRGNVITSINGKGALTLPELEDYLLSLGWNYLEDKLNCIKDIKSGVEIDVTLPVRFYDKSERSSLDVLLVFCHAADTSCKTSIFILGS >ORUFI07G07970.2 pep chromosome:OR_W1943:7:6608602:6624580:-1 gene:ORUFI07G07970 transcript:ORUFI07G07970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSRSSVPLPLPDPSSRSETSAGGTSPHIGSVRSEGDAAPHRSEEKSEKQRPGRRKRKRGKGGLRRPTIALLTEETKQRLLRVVTPTSPGTTVSPFAPAPRRPQYPPFPEDGNVEDVRKWNDECHEVSKIIKKIEKGGDPALGDFLDAGGDPVSAIFSTPAENLLSAPVMPLICKGKMPRRTIDISSEESEGNSTNSETNTCTGSDEDYHTDEVFEALNISGFDNMLHELYSKKGIKMKGAHNETKLDKMLYALFRKDIQKGKAASNTDTSFIRFSAKYFAEVVSKLPEEKKIVIKNFGFHSLLLFDSSFIPNKFATWIVKKVDLKTSEIVLGGKVIPVNKEVVHAILDLPIGCSEFGKNYEHGTQFILSKFGKTIMSSVKFFGDQLINNEDMTDDSIIISFLIVALATFLCLNSSTYPSIKYLDIFEDVDMLHSFDWSSFVYDWLMSYVKKFQKTNSLGGCLYIWAVLYLDHRDFGSKNVPKDVPRIAVWKQDMVKTYSDLDEIDDKNYALRPLKDFSDTCYYEAVHVQNSESFRNKLDRAIGDNLPANLKDSISDLMDSHCSANHDANNNSCEDVMISALQILIDASSPNIVHSHGSLNCCCEEPPALLTKIAMEFKSRLAEFNNRDNRGHIYDEDRPAFDIFHEYNIGEKVCTPDTLKSHTHGKENEAPANPATFAGPDYMTPPLGTRTRLNNNTYKRHANLNPSQIGMKRTFQDLTNSPNDICNTTKLLTLTNSSHATNSSHASIPCINNSGLSSSGGKVPLYGPRRIIHPAKHRSDPFVCPRRRFVVSDNALRYYNAICSLSDSEYQDEDAVNIDNVRISFCNFGKSLKKGGDVNGFVISAFCRCLFHKNHPSKSKKNYFFPSIGDMLISHLNSKELAKIEKSFQGAATARILHKCDLLFFLICYLEHWFLFVVDIKDRMLIFLDSLHEKDDPYFDPIMDLMDEAEESAMDFNSFEIFFPPVPHEENNADSGIFVMKSIELWSPRSLLCNEFDKIDIDMIRIQLANQIFFNEKNKMLQTEAEHLVQSWATKGNLSCAGKQHDKDIPIKTEPKDPYTTKAIQSSRKKVVVLHAARAIVSISHIMDDGQRRPQCTGIIIKQWSDDTGHHHATIVTYSRIVCEAGRKRDPLPKLSVCLPNKKTVLDAELIYFNDHYDIALLHINLEVTMELPSFGRGPEYGQEVFVLARDGEASLRARRGDIQWLEESDILGRDHYMFLSCDIPEGGNGGMVIDNDGVVRGMAIYCSPYPAVTSISTIVARPLFGIGVRTIVLLDVQLQEDISDFGIKGGFLVDRVYNPVAEDLGIKRGNVITSINGKGALTLPELEDYLLSLGWNYLEDKLNCIKDIKSGVEIDVTLPVRFYDKSERVSP >ORUFI07G07970.3 pep chromosome:OR_W1943:7:6608602:6624580:-1 gene:ORUFI07G07970 transcript:ORUFI07G07970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSRSSVPLPLPDPSSRSETSAGGTSPHIGSVRSEGDAAPHRSEEKSEKQRPGRRKRKRGKGGLRRPTIALLTEETKQRLLRVVTPTSPGTTVSPFAPAPRRPQYPPFPEDGNVEDVRKWNDECHEVSKIIKKIEKEHDKDIPIKTEPKDPYTTKAIQSSRKKVVVLHAARAIVSISHIMDDGQRRPQCTGIIIKQWSDDTGHHHATIVTYSRIVCEAGRKRDPLPKLSVCLPNKKTVLDAELIYFNDHYDIALLHINLEVTMELPSFGRGPEYGQEVFVLARDGEASLRARRGDIQWLEESDILGRDHYMFLSCDIPEGGNGGMVIDNDGVVRGMAIYCSPYPAVTSISTIVARPLFGIGVRTIVLLDVQLQEDISDFGIKGGFLVDRVYNPVAEDLGIKRGNVITSINGKGALTLPELEDYLLSLGWNYLEDKLNCIKDIKSGVEIDVTLPVRFYDKSERVSP >ORUFI07G07980.1 pep chromosome:OR_W1943:7:6633092:6634089:-1 gene:ORUFI07G07980 transcript:ORUFI07G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPTFSMVKIKTASQDYLPIPVAVTKASRLKHGRTLKLMTAHGLKIRVKVAEARDKLYMTIGWKEFIQQTGLKMGESKSVVFRTLSKSRLNVIIFNKEGYSRCPIPDKAAKALINNRSSSAPSFSTKSTAPRHPSSTNVEGRVHKTKSHKNQHIQLRFKHHIGSTSTANTKRIVKDMCCYNKRMKLSSEVKNYVRDIAQFLDYSSKFYIVTIKNIHEVRQGGKIFHLLSIYSLEK >ORUFI07G07990.1 pep chromosome:OR_W1943:7:6638228:6638817:1 gene:ORUFI07G07990 transcript:ORUFI07G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALPSSYLTPRTVPPHLIPVIIAMTKQDHEINNGRDRAQANKLVTAVPAPLLS >ORUFI07G08000.1 pep chromosome:OR_W1943:7:6647477:6651458:1 gene:ORUFI07G08000 transcript:ORUFI07G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEESSDFTFCKIDFEGDGGLEFPKAIPVASTPGDAGADNLKTKKIEGNMQTNNSIKDQTSNSISSSINRVSLEDSNGKESVLSRENTQSNLSSQPKSSKKPAARAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNRRLISLEEVKQHKTGDSIWTVLKGRVYNIAPYMKFHPGGVDMLMKAAGKDSTALFSILLLLLFKHTFKVACCLS >ORUFI07G08000.2 pep chromosome:OR_W1943:7:6647519:6651458:1 gene:ORUFI07G08000 transcript:ORUFI07G08000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEESSDFTFCKIDFEGDGGLEFPKAIPVASTPGDAGADNLKTKKIEGNMQTNNSIKDQTSNSISSSINRVSLEDSNGKESVLSRENTQSNLSSQPKSSKKPAARAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNRRLISLEEVKQHKTGDSIWTVLKGRVYNIAPYMKFHPGGVDMLMKAAGKDSTALFSILLLLLFKHTFKVACCLS >ORUFI07G08000.3 pep chromosome:OR_W1943:7:6647517:6651458:1 gene:ORUFI07G08000 transcript:ORUFI07G08000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADEESSDFTFCKIDFEGDGGLEFPKAIPVASTPGDAGADNLKTKKIEGNMQTNNSIKDQTSNSISSSINRVSLEDSNGKESVLSRENTQSNLSSQPKSSKKPAARAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNRRLISLEEVKQHKTGDSIWTVLKGRVYNIAPYMKFHPGGVDMLMKAAGKDSTALFSILLLLLFKHTFKVACCLS >ORUFI07G08010.1 pep chromosome:OR_W1943:7:6657616:6658357:1 gene:ORUFI07G08010 transcript:ORUFI07G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALVVGADMEWCDEYGTGSEEWTTKVEIKTKNVPEHANHPEIMERLVSSFCDAQTYMFDAVNKDYYICGFAQSIESIPKSKHLKLKYGTSNGVRIKSFLLNLEASLYAGPESNAITEAEDSEIYEDPEIYEDLDVVREFFETHVRLEHIADGQESSSSADGSLGMSVDFDWSLKYSGR >ORUFI07G08020.1 pep chromosome:OR_W1943:7:6677683:6681427:1 gene:ORUFI07G08020 transcript:ORUFI07G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPLVALLLISSPKLLAAQQQLPFCSSSSSNANTLAYMAEGTYKTNLLNLAKDLIANVTKTGSHSATGATAGTTGPDIVYGAALCRGDSTNCSSRLQRVLHLTASISNNGSTSSESDSQSQLQKSVTLYDHEFQALLSFSDMDFISNFSNAPECIVSAYLNLQTDADHAIPAGFSKLFSELMEAITSSMIISEQESYSTGQGWFDLSGRTVTVYGLAQCTDGMQPERCRTCLDSITAQGKGMVGNGLTVGVVLGVRCSLWYQTDIKFFAGEPRVVLPPYTPTESKFMLWVTIGSFFLMERKREARFKLRLISMAIQNVINLWRIEEGNSGFSLYNFSQIKEATQDFSRENKIGQGGFGSVYKGLLPGGLEVAVKRLSACSVQDSVKGAQLTWSKRLHIIDGIAQGILYLHNYSRLCVVHRDLKASNILLDSDMTPKISDFGMARIFYSNTIESNTTRIVGTLGYISPEYIFDGVCSIKSDVFSFGVLVLEIISGKRTSGFYPYDGKLYNLISYAWLLWRSGQGHELICCCIENNHESIQRCIQMALLCVQERADDRPCIDQVVTMLNSEGMTLPEPNQPAYFYVRSSGSSDVLSCDSNISITLER >ORUFI07G08030.1 pep chromosome:OR_W1943:7:6687212:6687897:1 gene:ORUFI07G08030 transcript:ORUFI07G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGERWPMALQAKALVQTSLPQHLLQINAEEMSGPWRTRLTSIGLSTHLGVLLYSPLHDGDPVCDVYDNEAVTTATVTSIFGSVAVSGSYRGRNGQTWME >ORUFI07G08040.1 pep chromosome:OR_W1943:7:6690490:6690810:-1 gene:ORUFI07G08040 transcript:ORUFI07G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPMHFYFSYPRSIFEFKLLTEESSLPDPSPPSAISFAHDDVTGELAIFKGTSTSWVVVAGAVSLAPVVVAGAAPIAVEIAVGELGFADNGEWGMGNRDG >ORUFI07G08050.1 pep chromosome:OR_W1943:7:6693633:6694343:-1 gene:ORUFI07G08050 transcript:ORUFI07G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYCHAGEYDYLRETCDTQAEAKELQLQANYEALNQSKLECADLKKKLECEKAWTRTIVSMMILFCVLLVAVMIRQKCSN >ORUFI07G08060.1 pep chromosome:OR_W1943:7:6703314:6707605:1 gene:ORUFI07G08060 transcript:ORUFI07G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNTTTTATVLLAAAVALLLATAARGDGGDGGCGKEDAAAGRDQARARGLKIAAFFSILVCGALGCGLPSLGRHVPALRPDGDVFFLVKAFAAGVILATGFIHILPDAFDNLTDDCLPAGGPWKEFPFAGFGAMVGAIGTLVVDTLATGYFTRAQSKKDAAAAVADEEKQSAAATTQQHNHHYVVGDGGGGEEHEGQVHVHTHATHGHAHGSSALVAAVGEDDKETTLRHRVISQVLELGIVVHSVIIGISLGASQNPETIKPLVVALSFHQMFEGMGLGGCIVQAKFKVRSIVTMVLFFCLTTPVGIAVGVGISSVYNESSPTALVVEGILNSVAAGILIYMALVDLLAEDFMNPRVQSKGKLQLGINLAMLAGAGLMSMLAKWA >ORUFI07G08070.1 pep chromosome:OR_W1943:7:6714911:6718684:-1 gene:ORUFI07G08070 transcript:ORUFI07G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDEAEGLEARLLLLPPEAAAEEPTRCGGGDGGGGGRKRKKTYLDVLGVCCSAEVALVERLLAPLDGVRVVSVVVASRTVVVEHDPAAAPESAIVKALNKAGLEASVRAYGSSGVVSRWPSPYIVASGVLLTASFFEWLFPPLQCLAVAAVVAGAPPMASAGMSSLMGMLPVKAVIATTGEVVSVRDVRVGDVVAVRAGEIVPVDGVVVDGQSEVDERSLTGESFPVPKQPHSEVWAGTMNFDGYIAVRTTALAENSTVAKMERLVEAAQNSRSKTQRLIDSCAKYYTPAVVVVAAGVALIPALLGADGLEQWWKLALVMLVSACPCALVLSTPVASFCAMLRAARMGIFIKGGDVLESLGEIRAVAFDKTGTITRGEFSIDSFHLVGDHKVEMDHLLYWIASIESKSSHPMAAALVEYAQSKSIQPNPENVGDFRIYPGEGIYGEIHGKHIYIGNRRTLARASSPQSTQEMGEMIKGVSIGYVICDGELAGVFSLSDDCRTGAAEAIRELGSLGIKSVMLTGDSSAAATHAQGQLGGVMEELHSELLPEDKVRLVSGLKARFGPTMMVGDGMNDAAALAAADVGVSMGISGSAAAMETSHATLMSSDVLRVPEAVRLGRCARRTIAVNVAGSVAVKAAVLALAAAWRPVLWAAVLADVGTCLLVVLNSMTLLREEWKGGAKEDGACRATARSLVMRSQLAADSQAPNAADAGAAGREQTNGCRCCPKPGMSPEHSVVIDIRADGERQEERPAEAAVVAKCCGGDGGEGTGCGASKRSPPAEGSCSGGEGGTNGVGRCCTSVKRPTCCDMGAAEVSDSSPETAKDCRNGRCCAKTMNSGEEPATAAVVAKCCGGDGGEGTGCGASKRSPPAEGSCSGGEGGTNGVGRCCTSVKRPTCCDMGAAEVSDSSPETAKDCRNGRCCAKTMNSGEVKG >ORUFI07G08070.2 pep chromosome:OR_W1943:7:6714911:6718048:-1 gene:ORUFI07G08070 transcript:ORUFI07G08070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAVAGALCLGDYTEAGAIVFLFTTAEWLETLACTKASAGMSSLMGMLPVKAVIATTGEVVSVRDVRVGDVVAVRAGEIVPVDGVVVDGQSEVDERSLTGESFPVPKQPHSEVWAGTMNFDGYIAVRTTALAENSTVAKMERLVEAAQNSRSKTQRLIDSCAKYYTPAVVVVAAGVALIPALLGADGLEQWWKLALVMLVSACPCALVLSTPVASFCAMLRAARMGIFIKGGDVLESLGEIRAVAFDKTGTITRGEFSIDSFHLVGDHKVEMDHLLYWIASIESKSSHPMAAALVEYAQSKSIQPNPENVGDFRIYPGEGIYGEIHGKHIYIGNRRTLARASSPQSTQEMGEMIKGVSIGYVICDGELAGVFSLSDDCRTGAAEAIRELGSLGIKSVMLTGDSSAAATHAQGQLGGVMEELHSELLPEDKVRLVSGLKARFGPTMMVGDGMNDAAALAAADVGVSMGISGSAAAMETSHATLMSSDVLRVPEAVRLGRCARRTIAVNVAGSVAVKAAVLALAAAWRPVLWAAVLADVGTCLLVVLNSMTLLREEWKGGAKEDGACRATARSLVMRSQLAADSQAPNAADAGAAGREQTNGCRCCPKPGMSPEHSVVIDIRADGERQEERPAEAAVVAKCCGGDGGEGTGCGASKRSPPAEGSCSGGEGGTNGEPATAAVVAKCCGGDGGEGTGCGASKRSPPAEGSCSGGEGGTNGVGRCCTSVKRPTCCDMGAAEVSDSSPETAKDCRNGRCCAKTMNSGEVKG >ORUFI07G08070.3 pep chromosome:OR_W1943:7:6714911:6718048:-1 gene:ORUFI07G08070 transcript:ORUFI07G08070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAVAGALCLGDYTEAGAIVFLFTTAEWLETLACTKASAGMSSLMGMLPVKAVIATTGEVVSVRDVRVGDVVAVRAGEIVPVDGVVVDGQSEVDERSLTGESFPVPKQPHSEVWAGTMNFDGYIAVRTTALAENSTVAKMERLVEAAQNSRSKTQRLIDSCAKYYTPAVVVVAAGVALIPALLGADGLEQWWKLALVMLVSACPCALVLSTPVASFCAMLRAARMGIFIKGGDVLESLGEIRAVAFDKTGTITRGEFSIDSFHLVGDHKVEMDHLLYWIASIESKSSHPMAAALVEYAQSKSIQPNPENVGDFRIYPGEGIYGEIHGKHIYIGNRRTLARASSPQSTQEMGEMIKGVSIGYVICDGELAGVFSLSDDCRTGAAEAIRELGSLGIKSVMLTGDSSAAATHAQGQLGGVMEELHSELLPEDKVRLVSGLKARFGPTMMVGDGMNDAAALAAADVGVSMGISGSAAAMETSHATLMSSDVLRVPEAVRLGRCARRTIAVNVAGSVAVKAAVLALAAAWRPVLWAAVLADVGTCLLVVLNSMTLLREEWKGGAKEDGACRATARSLVMRSQLAADSQAPNAADAGAAGREQTNGCRCCPKPGMSPEHSVVIDIRADGERQEERPAEAAVVAKCCGGDGGEGTGCGASKRSPPAEGSCSGGEGGTNGVGRCCTSVKRPTCCDMGAAEVSDSSPETAKDCRNGRCCAKTMNSGEEPATAAVVAKCCGGDGGEGTGCGASKRSPPAEGSCSGGEGGTNGVGRCCTSVKRPTCCDMGAAEVSDSSPETAKDCRNGRCCAKTMNSGEVKG >ORUFI07G08070.4 pep chromosome:OR_W1943:7:6718067:6718684:-1 gene:ORUFI07G08070 transcript:ORUFI07G08070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDEAEGLEARLLLLPPEAAAEEPTRCGGGDGGGGGRKRKKTYLDVLGVCCSAEVALVERLLAPLDGVRVVSVVVASRTVVVEHDPAAAPESAIVKALNKAGLEASVRAYGSSGVVSRWPSPYIVASGVLLTASFFEWLFPPLQCLAVAAVVAGAPPMVRRGFAAASQL >ORUFI07G08080.1 pep chromosome:OR_W1943:7:6724055:6727120:-1 gene:ORUFI07G08080 transcript:ORUFI07G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAPVSPAPRTVEDIFKDFSGRRAGLVRALTVDVDEFYGFCDPEKENLCLYGHPNGRWEVALPAEEVPPELPEPALGINFARDGMHRRDWLSLVAVHSDSWLLSVAFFFGARLNGNERKRLFSLINDHPTVLEALSDRKHGRDNKSGADNGSKSRHSGKRANDVQTKTSRPAVVDDGYDEEEHSETLCGTCGGRYNANEFWIGCDICERWFHGKCVRITPAKAEHIKHYKCPDCSSSKKSRQ >ORUFI07G08090.1 pep chromosome:OR_W1943:7:6727994:6728836:1 gene:ORUFI07G08090 transcript:ORUFI07G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPLFSFLVLFQPVLPHRAARQRVQRLSPPPFRLHVQGDVPQLKEICSSLATSKELVKALVGIWGPDDGLNPSTASLLSALCAELDLARAHVRHLATEDRRHGDETARMRTQLVEEAREWRSQQREKVAAMVRVAAAELDGEQRSRRRAERVNAKLGKALADAERELAASRRELERERRSRERLEKVCDKLVRGGLACGVDDVRGGEEEVRREAQRGAGGAGEREGDAAPRRRAPQAFRCAATKAFPPAAPLTATPSSRSRPRCCHLRRHGGTADQLR >ORUFI07G08100.1 pep chromosome:OR_W1943:7:6735041:6735557:1 gene:ORUFI07G08100 transcript:ORUFI07G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPRAPLLMLCLLLLLCFASATSSGVGHGQRRTRPPATSLAWSVVEEDAAAGDELWRGMWMAEDVAAPVMISVMGCRAATTELQHVE >ORUFI07G08110.1 pep chromosome:OR_W1943:7:6766423:6775302:1 gene:ORUFI07G08110 transcript:ORUFI07G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATTSPTAAAARRSERLGRRTLAGERRHDDANGGHQRVEGDAANSPINYLDFKDFGFRNIPIGPPPRISVWKGGMIKEYSKMDECKTGDFGKRPLIDDISTVCKWFTRELSDTTYGKNAQLAAKVLDCVYMSKASLFTQKDSTITREEKSREEKIKEGRKQGGSNVAHNGNAPPLEQNHPNNTDVCSQLPKTPQTKSTVEHETKSNINNTSTSKGAPPTDKKASSKDFVCSQQSNNSVASRTRAKRLHSASPLSVVSISPSKKNSSDQKIRKAVRKQPLKATQPISTQPDKEESNKDGLFVEPICTIPAKKEEGPYDVEDITGHTTDKTKFILVNYSNSSEDHKSQHPTQDESDNIPNKSTNVTQGERYMHTPKTCINNKVGVQHLSANFTANMGNRRLEGQHCDENEYQDDFAEFLYEEEPSDNIDMVEQRAQPQINNEQSSPQTPLHINITSARTPATKKSSQSSPNIEERQYSMTRIIDSLNASGNCSGTRHNLYRPKRIVHPSKYKSSPYDNYTRHQTISAAELNHYNNILSIGETQQYKNKFAVLMDNVKVTWSSLSKYLSPRGVVDTYVLNAYAKKIANDQNNKENEYMNFYFFHRTSVYFLKNWEGAGKEEDYENCARQAFTLARNKKPLHYYDLLIFPCLYDNHWFVFTVDIKGHHFVFLDSIYDENSKYHKKIQGLLIPGFIAMWEEFSDVEKDFSKFDIQYPQITRQNNGHDCGIYAMKCMEWWNPRMHLKDMIRPEYIPNMSKQVANDLLFS >ORUFI07G08110.2 pep chromosome:OR_W1943:7:6766423:6775302:1 gene:ORUFI07G08110 transcript:ORUFI07G08110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATTSPTAAAARRSERLGRRTLAGERRHDDANGGHQRVEGDAANSPINYLDFKDFGFRNIPIGPPPRISVWKGGMIKEYSKMDECKTGDFGKRPLIDDISTVCKWFTRELSDTTYGKNAQLAAKVLDCVYMSKASLFTQKDSTITREEKSREEKIKEGRKQGGSNVAHNGNAPPLEQNHPNNTDVCSQLPKTPQTKSTVEHETKSNINNTSTSKGAPPTDKKASSKDFVCSQQSNNSVASRTRAKRLHSASPLSVVSISPSKKNSSDQKIRKAVRKQPLKATQPISTQPDKEESNKDGLFVEPICTIPAKKEEGPYDVEDITGHTTDKTKFILVNYSNSSEDHKSQHPTQDESDNIPNKSTNVTQGERYMHTPKTCINNKVGVQHLSANFTANMGNRRLEGQHCDENEYQDDFAEFLYEEEPSDNIDMVEQRAQPQINNEQSSPQTPLHINITSARTPATKKSSQSSPNIGMNSPRIT >ORUFI07G08110.3 pep chromosome:OR_W1943:7:6766423:6775302:1 gene:ORUFI07G08110 transcript:ORUFI07G08110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATTSPTAAAARRSERLGRRTLAGERRHDDANGGHQRVEGDAANSPINYLDFKDFGFRNIPIGPPPRISVWKGGMIKEYSKMDECKTGDFGKRPLIDDISTVCKWFTRELSDTTYGKNAQLAAKVLDCVYMSKASLFTQKDSTITREEKSREEKIKEGRKQGGSNVAHNGNAPPLEQNHPNNTDVCSQLPKTPQTKSTVEHETKSNINNTSTSKGAPPTDKKASSKDFVCSQQSNNSVASRTRAKRLHSASPLSVVSISPSKKNSSDQKIRKAVRKQPLKATQPISTQPDKEESNKDGLFVEPICTIPAKKEEGPYDVEDITGHTTDKTKFILVNYSNSSEDHKSQHPTQDESDNIPNKSTNVTQGERYMHTPKTCINNKVGVQHLSANFTANMGNRRLEGQHCDENEYQDDFAEFLYEEEPSDNIDMVEQRAQPQINNEQSSPQTPLHINITSARTPATKKSSQSSPNIGMNSPRIT >ORUFI07G08110.4 pep chromosome:OR_W1943:7:6771760:6775302:1 gene:ORUFI07G08110 transcript:ORUFI07G08110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MREPNQHAQTEERQYSMTRIIDSLNASGNCSGTRHNLYRPKRIVHPSKYKSSPYDNYTRHQTISAAELNHYNNILSIGETQQYKNKFAVLMDNVKVTWSSLSKYLSPRGVVDTYVLNAYAKKIANDQNNKENEYMNFYFFHRTSVYFLKNWEGAGKEEDYENCARQAFTLARNKKPLHYYDLLIFPCLYDNHWFVFTVDIKGHHFVFLDSIYDENSKYHKKIQGLLIPGFIAMWEEFSDVEKDFSKFDIQYPQITRQNNGHDCGIYAMKCMEWWNPRMHLKDMIRPEYIPNMSKQVANDLLFS >ORUFI07G08120.1 pep chromosome:OR_W1943:7:6780586:6782055:1 gene:ORUFI07G08120 transcript:ORUFI07G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNGVVQLITNVRNPRASAKAMWRPILLQPRRTPISHLRRRGHDATGHDATDDDKAVLPLRRLIGSRGYASSSDATNAAASAAANIAAPRADSRRRRRSPAAKAKAKQHLYVVLNDRKDACEIHKLDIDGGGGGRLMMNAGDMASLKTLRRLPEPPLLRLQSPTVHPCSKFTTVGSSIVTMGEYFSDHYCGDWFREARGNTLVYDTKTAALTVVRHLPEGLLGVYDFVAAIAVGANRLYVLDEGTMDDYRGRIVGGMHCFRLTDDDDDGSRKKKERWSWWQPDESTRISWSDHPSRLPFDTITGQIEAYAVHPKGRTFFVSVRQVDDEGTFSYSVESGKWTRRGDWMLPFVGHGHYDGELGSWVGLHHSDDDGRLSACRVVSARQRRALPEVKVSKEKVFVQVPGWARVQAELVYMGGRSEYCLVEWLEPEGSSDEEKCDECVLRLTKMRVVYDGDGELTVAAHRLSGCYKVSRSEKYSRHMAAFWM >ORUFI07G08130.1 pep chromosome:OR_W1943:7:6782467:6785981:-1 gene:ORUFI07G08130 transcript:ORUFI07G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPETLPRLPEPPLLRLQRPTVSDLPQFTAFGSSILTMGQHTEYGFVPVANPTWVSDLATGTRRAASPGAYVGFQFERARTWISIWMEREETLVEMVTSVDKDTVSTVRMAANTAPLTADAADIGSTTGTAAADVGSTTETTAAAGSQAAISPDAALPTIILVEGGGEGM >ORUFI07G08140.1 pep chromosome:OR_W1943:7:6790947:6792810:-1 gene:ORUFI07G08140 transcript:ORUFI07G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSEYCLVELLEPEGSRDEEKCDECVLRLTTLRVVFDDGGELVATARRPAGCYKFSRE >ORUFI07G08150.1 pep chromosome:OR_W1943:7:6800124:6801187:-1 gene:ORUFI07G08150 transcript:ORUFI07G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPILLQPRRTLLSHLRRRGHDATDDDKAVLRLLRLIGSRGYASSSDATNAAAAADIAAPRADSRRRRREGEAASVYVVLTDRKDACAIHKLDIDGGSGGVDNGHLMNAGGGMASPKTLPRLPEPPLLRLQSPTVHPCSKFTTVGSSIVTMGEYFSDDYYDSDCCPEARGNTLVYDTKTAALTVVRHLPEGLVVGVSHYVAAIAAGDNRLYVFDATTVDDYHDGVVGGMHCFRLTDDDGSRKKKERWSWRHPDASTPISWSYDPSRLPFDAITGKIEAYAVHPEGRTFFVSVRKLFRRVPGAVDDEGTFSYSVESGAWTRRGGWMLPFVGHGHYDGERARLVGRPPS >ORUFI07G08160.1 pep chromosome:OR_W1943:7:6802516:6804575:-1 gene:ORUFI07G08160 transcript:ORUFI07G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGVLGVEKATVTAIAAGGGGMGVEEEIVKLFVGADAVTDVALRQPAAPGAVVALRGRFEILSLTGTFLPGPGPPGSTRLTVYLAGGQGQVVGTLTAAGPVMVIASTFANATYERLPLDQEEEEAAAGGGGHMMAPPPLMAGAADPVLFGGGMHDAGLATPAWHHARPPPPPPY >ORUFI07G08170.1 pep chromosome:OR_W1943:7:6818064:6818904:-1 gene:ORUFI07G08170 transcript:ORUFI07G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVRWCRCAAVAAMRARPSQAVAGDCATWWGGAVVAWWSDAIGSVATDGCHDQEQPQHHPFSHRLPLQWQARPDGVASMAASSPPPSLWKMTTGGTAATRGGGGTADTSKCHRRATTAKNIHHAPLPPAGPSILLPSNSLGGRSATGMTSDPLVEAAYKMTALGSTAACVHRRLLSSSQASSCQCCLDALCGGGFSLRRCGGGFFSEASMPRSSSPAEGAERRTTIKQSTPTISVFSI >ORUFI07G08180.1 pep chromosome:OR_W1943:7:6821540:6826706:1 gene:ORUFI07G08180 transcript:ORUFI07G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPGGSAATSSSSTPPPPPDGGGGGYFRFDLLGGSPDEDGCSPPVMTRQLFPSPSAVVALAGDGSSTPPPTMPTPAAAGEGPWPRRAADLGVAQSQRSPAGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNLNDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGREAVTNFDPSSYDGDVLPETDNEVVDGDIIDLNLRISQPNVHELKSDGTLTGFQLNCDSPEASSSVVTQPISPQWPVLPQGTSMSQHPHLYASPCPGFFVNLREVPMEKRPELGPQSFPTSWSWQMQGSPLPLLPTAASSGFSTGTVADAARAPSSRPHPFPGHHQFYFPPTA >ORUFI07G08180.2 pep chromosome:OR_W1943:7:6821540:6826706:1 gene:ORUFI07G08180 transcript:ORUFI07G08180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPGGSAATSSSSTPPPPPDGGGGGYFRFDLLGGSPDEDGCSPPVMTRQLFPSPSAVVALAGDGSSTPPPTMPTPAAAGEGPWPRRAADLGVAQSQRSPAGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNLNDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGREAVTNFDPSSYDGDVLPETDNEVVDGDIIDLNLRISQPNVHELKSDGTLTGFQLNCDSPEASSSVVTQPISPQWPVLPQGTSMSQHPHLYASPCPGFFVNLREVPMEKRPELGPQSFPTSWSWQMQGSPLPLLPTAASSGFSTGTVADAARAPSSRPHPFPGHHQFYFPPTA >ORUFI07G08190.1 pep chromosome:OR_W1943:7:6840408:6840614:1 gene:ORUFI07G08190 transcript:ORUFI07G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHMNRTNYQLIDISEDGLFVSLLTESGNTKDDLGLPTETISWGRSRLDLVKARKEEEIYALKDIGTK >ORUFI07G08200.1 pep chromosome:OR_W1943:7:6840658:6843294:-1 gene:ORUFI07G08200 transcript:ORUFI07G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSLLYLFLLSTHLLVLPHLSPLFLPELPALLLVLSATATTASMTGAGDEALTSLPSPPIPLPLPDLAGGRGQQPPWQRRQMGGGGADADNDVPDQAGAGSGRWRAGAGGEAGSTAAGPQGGRIRSRWTAVRTAPTSTPSTV >ORUFI07G08210.1 pep chromosome:OR_W1943:7:6840725:6845663:1 gene:ORUFI07G08210 transcript:ORUFI07G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFKDKTSPTKEIEISILLMALRTSKAEAGTIRKNGYIVIKNRPCKVVEVSTSKTSKHGHAKCHFVAKDIVPSSHNCDVPHVNRTEYKLIFVSLLTRSGNNKDDLRLPTYDNLLGQIKTGFGEGKDVVVTIMSAMGRSRSDFTDKGLMPVFEDRTSPTKEIEISILLMALRAVSIH >ORUFI07G08220.1 pep chromosome:OR_W1943:7:6845494:6853555:-1 gene:ORUFI07G08220 transcript:ORUFI07G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGLPSPTAAGGGLGDGLWRRIRRRRPWEGRIRRPTKELGTRRARRRPAAATLPPPQCRSHIPDPRRSSRITGLPFIDGWRRRGRAFSSGAAGRSGVPCRIGDSAAVSRRAAGQEGAQVASRGVPCHDPNPVAKLTGVEGPIDCLEDGKNRREAEEEGRQDRVASESVREAVVTRRWVEHSSAIIEPQQFDT >ORUFI07G08230.1 pep chromosome:OR_W1943:7:6878716:6880096:-1 gene:ORUFI07G08230 transcript:ORUFI07G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNQHQAHHGHHQLHQSVEANQLPMTSASLLLAPRSPDMAAAGILPVSGGGGASSARPVSMAERARMAKIPQPEPGLKCPRCDSTNTKFCYFNNYSLTQPRHFCKACRRYWTRGGALRNVPVGGGFRRNKRGTKPSNSKKPAAAIAGGVMAPPHAQLQLPFGFDGGGGGGHGSIIGGGGGGGASRLGFPELSSLHAAAAVDYQLGGGGGGDGLGLERQRLPHFPFLARSNAAVHPLPLMSTAAGISYPFGDVAAGGLGGDMPANAASVAGSAGLITQMASVKMDDIDNHPPPSAATTTASSPIEFLGLRGSLQFWGGGGGHRGGGDGAGGSAAPGGGGGGWSDLPAFDLSTSGNIL >ORUFI07G08240.1 pep chromosome:OR_W1943:7:6895547:6900868:1 gene:ORUFI07G08240 transcript:ORUFI07G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFGKRKTPAELLRENKRMLDRSIREIERERQGLQAQEKKLITEIKKTAKEGQMKLDNLPMKWWKVVDEQFHFAAKYGQGAVKVMAKDLIRTRHQITKFYQLKSQLQGVSLRVQTLKSTQAMGDAMKGVTKAMGQMNRQLNLPGLQRIMMEFERQNERMEMTSEVMGDAIDDALEGDEDQEEETEELVNQVLDEIGIDINQELVKAPSAAVAQPAAAGKVAQAESAGGNGDGGIDADLQARLDNLRRM >ORUFI07G08240.2 pep chromosome:OR_W1943:7:6895547:6900868:1 gene:ORUFI07G08240 transcript:ORUFI07G08240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFGKRKTPAELLRENKRMLDRSIREIERERQGLQAQEKKLITEIKKTAKEGQMGAVKVMAKDLIRTRHQITKFYQLKSQLQGVSLRVQTLKSTQAMGDAMKGVTKAMGQMNRQLNLPGLQRIMMEFERQNERMEMTSEVMGDAIDDALEGDEDQEEETEELVNQVLDEIGIDINQELVKAPSAAVAQPAAAGKVAQAESAGGNGDGGIDADLQARLDNLRRM >ORUFI07G08250.1 pep chromosome:OR_W1943:7:6901702:6908778:-1 gene:ORUFI07G08250 transcript:ORUFI07G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLDMSLDDLIKQSKTKPKGGAPSSSGPTRRAAPPAARAVPYPPAGPKAAGGASPYGVYSEHVAAMAGVVPRPRPPPAAAAAAARSLETGTKLHISNLDPGVTVDDVQELFSEIGELKRYSVNYDKDGKSQGTAEVVFARKVDALEAIKRYDGVILDGNPMKIDLIGNNSETSPMPPTAPLLYNPPFPNYPNSVPRRGGQRGQFHQGNGRPGNSQGIGGGPRGFQGSGRPGSGSQGGGGRSQGKTRGNERSRIQKSAADLDAELDQYHAEAVKEK >ORUFI07G08260.1 pep chromosome:OR_W1943:7:6911251:6911490:-1 gene:ORUFI07G08260 transcript:ORUFI07G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAWVNLVILGLSLVALLVLTTIPEVAGGRPGGYIDYGAMNKDRIPGTPEFNHLGGSANQHTRGCEKQLHCRGKRRGF >ORUFI07G08270.1 pep chromosome:OR_W1943:7:6919425:6919706:-1 gene:ORUFI07G08270 transcript:ORUFI07G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVPFEAHCRHGCPHHHLFAKTVELPRSFRWGDQIRPPLALGWPDLVASDFRVARSGAPKVAVIDPDAKWAKTTPMMEVEARRGDPMNGIAT >ORUFI07G08280.1 pep chromosome:OR_W1943:7:6934281:6937567:-1 gene:ORUFI07G08280 transcript:ORUFI07G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLKPVLFNDSGTFDVPRHTATLAQQFITSRTKRWLEDQPMQLRLEISPNDKLMLWARMLMVNPYDRTYLKKAGVLRGIMASIYKCKIDPSLVAAFLTYWNVDGHTLLTSQGEMGYPLHTMYDAMGIPISGRLYEEFIPLSSTVRGHVQTLHNIYVEECPLQLNEGPGIVTIATWVNHFFGDHPVPFQSFLPDGFADPKEPLYEGPNFRVEIRNGRPTGIMCGLEMTYVHTYLLVVYRAAFIASWLCTYCVPVEEGNFIRPEVFTMVVEIAQGSRQAIGVTSMAFLYHALDNVYHQVAARQASASDCSSFVPGHFIMGWFASFWRGVPTSATFSCPVMNPPFIIDFRDYESMDIKSAHSLFWDFNDAGTTLRSLDFLGRSSIRFPTSDQEVELFDDCSPKAHRAISMAAVDFLEVQEVGWAHLSKEQPTLSRSLLSTRRRALKPRSKTTSPLPTSSANPTEIVRVGPDRSGKDIEKIRACIANPDNVTTHVSVQASQQELLLLPPRNETALNSSAMTHIDPYSEGLAIPHDDTGTLPTDLLTGDFSDVATLLEGGANPETSLCLDSPIFPGATQKDNVNSHLSEDDETRTEACADTGKGGTSHHASLTGVEELSNESKKLSMDVEQIVAQIPKAPNGDSGAGENSQFKEFHGNNPSEIKAFQELLKQRPIQKDIVLKEISINLDLWSNFFSKPPPEIIRLMEGLRVLKGALSEEAPLPTTKIDHHIDMLRTAQDKVESSCVALAALTSQYNVERAVEEGNKRECSRQAQKIKAKIAMLQAELQQVEDDYSRAQCHQDVVIENLNSHLERHHQAKNRGSEIAAQLEQASVRQKSLQNIVVFTKSDEFGLSQYVYNIFDFFIGCSLDE >ORUFI07G08290.1 pep chromosome:OR_W1943:7:6943800:6944039:-1 gene:ORUFI07G08290 transcript:ORUFI07G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARVNLAILCLSLIALLVLTTVPEATGGRPGGYINYGAMSKNRSHGSPQYNHQGSSANHYTRGCEKQLHCRGKRRGF >ORUFI07G08300.1 pep chromosome:OR_W1943:7:6963124:6963348:-1 gene:ORUFI07G08300 transcript:ORUFI07G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARVNLTVVVGLSLVALLVLTAVEDVGVSADNEIGYTTMNHDDIPGTPKLLHPGGPANTYTRGCEKEQDCRD >ORUFI07G08310.1 pep chromosome:OR_W1943:7:6965095:6965596:-1 gene:ORUFI07G08310 transcript:ORUFI07G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARVNLTVLGLSSLVALLVLIIAVEDVAVVAQVIDYSSMNRDHIPGTPQLNHPGDIANKYTRGSLAKFAMIFARKHCKNVDLLMDVYHKIIYGVLL >ORUFI07G08320.1 pep chromosome:OR_W1943:7:6968127:6978313:1 gene:ORUFI07G08320 transcript:ORUFI07G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAFYRWLADRYPQTVSDAVEEEPVELEPGAFVPVDLRRPNPNGLKFDNLYLDMNGIIHPCFHPEGHARSYSPIPSVFSSSNQPILCSISPWIWTPSPAAGTDHLRRGAALKGNFVEQDECVARNFKQAAKLLGLDSKLEKNLLIPFREIKATDWVFDTTEDRACQGGAPQALPTNCINIRLHRVGIPYHSLCQTALENLGFFQIARMKEINNDKYLISALVERWRPKTNTFHLPVGEMTITLQDVSCLWGLPIHGRPITGQADGSWVDMIERLLGIPMEEQHMKQKKRKKEDDMTMVSYSRYSISLSKLRDRFRVMPKNSTEREINWYTRALVLDIIGSMVFTDTSGDGVPAMYLQFMVNLSEQTEYNWGAAALSMLYRQLSIASEKERAEISRPLLLLQLWSWSRLPLGRPVKAMKITKEREEEDEQEELDYCPVFGASGVSHMNFVPHIMRNIPPHPPYGKVEHRKLCKIKHGGKMRSWEIVHAKYIHQYDNLEAVMVQEDQPFDPASLPEYRRWFQQNGMYSCLGGLENPIPYPRDIFEWTGYMPSGPPLARISLHVIKNAAWGIKCAITNSCKKLGKSILKTCLGNLRDLNLEPRLQNMLTDAGLPINIEDIPSDDDLSAHVHHPSPPKDSNSDIFDEWVYSGRGFERYLHAGGIAATQDLGQVTQIDE >ORUFI07G08320.2 pep chromosome:OR_W1943:7:6968266:6971359:1 gene:ORUFI07G08320 transcript:ORUFI07G08320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLSPAARRALRSGQCGAKSTSGTSAVSLRRRAQLSYGCHDDSCGAATTTARPSPSATRAAVHGTTPSSLAPLESPHLLSVLFSFAYIMHESKKSTYIIHQAYRCSPTISHKDLANKTFRAPSITTQASV >ORUFI07G08340.1 pep chromosome:OR_W1943:7:6983918:6986527:-1 gene:ORUFI07G08340 transcript:ORUFI07G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVMGSESGWTSPAFEELLPQLPRGEQLRLETHLRDRDRRWRRMRYNNNTPPPPSSTKIRRQEKERDTWMIPHVQNALRHYNARHPGGEFDVVKPLMQARVVFKGQHWFHINFWARSRSSNKIKRFFAELHYKPLITISGFVSWEQLLPDPLPAPVAIVETCTIIEEPLDQYKRSCAFCPAGFDILHPKGDRKFVCGNDKDRFYQKLIPCKQLQFGLPFM >ORUFI07G08350.1 pep chromosome:OR_W1943:7:6994866:6998039:1 gene:ORUFI07G08350 transcript:ORUFI07G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAFYRWLADRYPQTVSDAVEEEPVELEPGAFVPVDLCRPNPNGLEFNNLYLDMNGIIHPCFHPEGHVRSYSPIPSVFSSSNQPILCSISPWIWTPFLQPAPTTYDEVFKFLVGFGTYLVRFLGAFSGAALKGNFVEQDECVARNFKQAAKLLGLDSKLEKNLHIPFREIKEFDILGHRVGLRHHGGLRLPSLD >ORUFI07G08350.2 pep chromosome:OR_W1943:7:6994866:6998013:1 gene:ORUFI07G08350 transcript:ORUFI07G08350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAFYRWLADRYPQTVSDAVEEEPVELEPGAFVPVDLCRPNPNGLEFNNLYLDMNGIIHPCFHPEGHVRSYSPIPSVFSSSNQPILCSISPWIWTPFLQPAPTTYDEVFKFLVGFGTYLVRFLGAFSGAALKGNFVEQDECVARNFKQAAKLLGLDSKLEKNLHIPFREIKEFDILGHRVGLRHHGGLRLPSLD >ORUFI07G08360.1 pep chromosome:OR_W1943:7:7010189:7010659:1 gene:ORUFI07G08360 transcript:ORUFI07G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVIACSSLPPMTAPMRTLDDDVGVWTVEDAASATSSGMGHGWWRTQPPVMSPAWGVAEEDAATGDELRRETWMAEDVAAPATISGVDRGGCRCRRQALARDVDGGITGDDLRRGSWRMPPPATSSGTRRGRWDHRRRCFRCLPPDTLQVSPNTW >ORUFI07G08370.1 pep chromosome:OR_W1943:7:7013816:7015130:1 gene:ORUFI07G08370 transcript:ORUFI07G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKPKNKERSHFAAHPNPAGDQLLLLARAIHGLALRLALPLSAFHRNTFLAFYFRQRNAPAAAFHLFDAMPDRNPIVVLCYRNLETAQHLFKDCPFTREVWDRVATRLDCSQLFPTHNSEEKLIEWWEKRTLQQDKRQTKGLRSLHMLLCWEVWCERNRRVFKDTELSMLQLVAKVLDEVQLWSACGAKDIVRIAQ >ORUFI07G08380.1 pep chromosome:OR_W1943:7:7017368:7018828:-1 gene:ORUFI07G08380 transcript:ORUFI07G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDALTESSYTIPGPSTNQLKEVLKKIEKLIDVAEEIVGLANWQSYHNRNTTTPNANHIPTISDPPMFVVGQDENREQLKSMLRTTEPDDNPTCYSVIGIYGILGSGKTTLVQYVCESERNAGYFDLVMWIHVTRNFSVKTVYQEMFDQAASKNREQYTAHDSLDALQNDLKGKLDGKQYIWCDKDNRGEKLISLLGGPLKDGKGGSKILATSRNADALSDLGITVNDQDRWQFEVIGAQIAEKIRRSPLAATTVGRQLREKPYLDFWREALSRDLLEETRGALLWSYQQLDDQLRMCVAYFSILPRGHELERDKLVALWVEEGLITTSDAGEEMEAVGERCFDELVSSSFLMKQARKGFSDPDYFIAHDLLHDLAEKITGNDCFRIPRGWTGVLPQGVRRLFIETGSEEDISEKLTGLEELCTLTISTGLINGKVVCETL >ORUFI07G08390.1 pep chromosome:OR_W1943:7:7035871:7038764:-1 gene:ORUFI07G08390 transcript:ORUFI07G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSAKDRDGHTTEPVLGRGDYEAAHQKPSAAAADAAIAAAIEGGAAAANAPSRPPPPPRVAKSLPREQPGGRGSGRGAEGSASASPVAVGDREGKKTSTATQGGGHASSSPRRLGEKLARDHPGGGGRGAEGLASAAFPVVDRKGKRKVCAASEGAASSSSPPFERLSGEELGAGAGGRGTEASTSASAAAVVDQDEREVREWPRFALLSNSHLLEIGARCEGHKDLSADAQESTYTVVSSVVRNFSADFSWWSIMGNPMKQTIPNTCTILACAVCIEALHRLEWERLHGPGTFLCRAAAPRKLRRACIRDDILHPEEGVESKKMVLLLKKIKGMGGIRTTNAPPPAPFLLPLKSWRMYRQKGSLTRERAVHLLRTGGPYIGIIRVSLLYHFIDASVNDELVYRWVPPELRTAADVWLIDALVAGRATDNDICDLISETNGNHVIVCYGYRHRGGELQILILDNHAQTGPSRWIGFEELEKVYVLRVDPLPLDLDQLNPLPVYPISGC >ORUFI07G08400.1 pep chromosome:OR_W1943:7:7039981:7043096:-1 gene:ORUFI07G08400 transcript:ORUFI07G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKTEDRTIKINKPEGDAEATTLQKSACCGS >ORUFI07G08410.1 pep chromosome:OR_W1943:7:7046153:7048571:-1 gene:ORUFI07G08410 transcript:ORUFI07G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRTSFTLMFGMGCGVYVAQNYDVPNVKKLFNTYMFLAKHIEETYRKPKRDD >ORUFI07G08420.1 pep chromosome:OR_W1943:7:7049537:7054271:-1 gene:ORUFI07G08420 transcript:ORUFI07G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAARKAAARLLSPRASTHPFSAALLLRGRAARGGSLEARLATVPHERASVLRFWVRRRRFHDARGVFDERPTRTAPVWTLTISGCARRGRYADGMRAFAEMLAEGEATPNAFVLAAVVRCCAGMGDVESGKRVHGWMLRNGVHLDVVLCNAVLDMYAKCGQFERARRVFGAMAERDAVSWNIAIGACIQSGDILGSMQLFDESPLRDTTSWNTIISGLMRSGHAADALSHLRRMAQAGVVFNHYTYSTAFVLAGMLLLPDLGRQLHGRVLIAALEGDAFVRSSLMDMYCKCGLLEAAASVFDHWSPLTRDMNFAWSTMVAGYVQNGREEEALDLFRRMLREGVAADRFTLTSVAAACANVGMVEQGRQVHGCVEKLWYKLDAPLASAIVDMYAKCGNLEDARSIFDRACTKNIAVWTSMLCSYASHGQGRIAIELFERMTAEKMTPNEITLVGVLSACSHVGLVSEGELYFKQMQEEYGIVPSIEHYNCIVDLYGRSGLLDKAKNFIEENNINHEAIVWKTLLSACRLHQHNEYAKLASEKLVQLEQCDAGSYVMLSNIYATNNKWHDTFELRVSMQERKVRKQPGRSWIHLKNTVHTFVAGDASHPQSAEIYAYLEKLVERLKEIGYTSRTDLVVHDVEDEQRETALKFHSEKLAIAFGIISTPSGTPLRIFKNLRVCEDCHEAIKYISLATGRCWVNPDKEVLAILQTELKSKQDKSFLTFIDKCIEVCKESREGSHLNPSSGLFQPNEKKDVMLKLYAVPYMILSCGWILSHTCTIMAMKKLPWHHGRVNNIINVVGLLFVNDARMATRVLFPFLQAECLDLWAKILNWVIQVQAIVGKNVGISDDPL >ORUFI07G08430.1 pep chromosome:OR_W1943:7:7056776:7059607:1 gene:ORUFI07G08430 transcript:ORUFI07G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLTLEAAGNGSPPCHLKELDKGRRGGGVGCGQCHLAPLCSPSTFDRLHQLLCAAFGPALNHRAWRWEGDMEEGHTRR >ORUFI07G08440.1 pep chromosome:OR_W1943:7:7059763:7060476:1 gene:ORUFI07G08440 transcript:ORUFI07G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYGSVILQIWTPFGWTTPAPLKLNLGARAPPNKPSTSDSNIINPCFFQLLHNHHRHLRHVRNHRGKEELKTNLARMGIPSICMQCISNICYTLTQNNHVYIKYIFAICI >ORUFI07G08450.1 pep chromosome:OR_W1943:7:7080458:7080943:-1 gene:ORUFI07G08450 transcript:ORUFI07G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEVERWWSIGASAVDLEGVVVPSHPSRVFVGQKPNLGSFESPTDSDGGFPSLISLETSFRHPLRRSVTLSRGRSSASLLSDLCVGAVGVYVVVYFFLFPD >ORUFI07G08460.1 pep chromosome:OR_W1943:7:7081023:7081541:-1 gene:ORUFI07G08460 transcript:ORUFI07G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSRQRQWQRRGARGEGGGSGWTMATAQWLVDGTAGCCGNGAHSRRRQRRGARGDGRGSGEVAIGSSGCNGGEAWRSRKASRGRGRRPHLAGAAVIGGVGGRLGVERHGCWQWRSARRERHGRWQGGRHGVRGTADGRKPDWRERLIRWWRRTARRDEARPAVKEATTM >ORUFI07G08470.1 pep chromosome:OR_W1943:7:7082199:7084265:1 gene:ORUFI07G08470 transcript:ORUFI07G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRHVDAVAAVLPVLLTILLPSAAAIGVNYGTKGDNLPPPATVAKFLANRTRIDRVKLFDTNPDIVKAFAGTGITVMVTAGNGDIPTLGTKDGAAAWVAANIAPYYPATDISLVAVGNEIINTADNALIGGLVPAMRTLRAALVAAGFRRIRVSTPHSLGILSVSSPPSASRFLDVLDRTFFAPMLEFLRKTKSPFVVNPYPYFGYNGDTIPYALARRPNPGVLDPGTGITYTSMLEAQLDSVFSAMKKLGFEDVDITVGETGWPTKAEPGQAGVSVAEAAEYNRYLIGEASSGSGTPLMPKRTFETYIFALFNENLKPGPIAERNFGLFKPDLTPMYDVGLMKDTGKSSASAPAPAKGGNASGAAVTKRDSESEAAAPADEASAPAPSSVGKKASTKATAPAPSDDGSASPEPSEGESADEKNPEEEEEEEGDDAAATTTPEGDGDSPETEAAGDDAKESEGKNNPHGHGDSSEAISVMFSVPSMLTIALSAILLHL >ORUFI07G08480.1 pep chromosome:OR_W1943:7:7085624:7090653:1 gene:ORUFI07G08480 transcript:ORUFI07G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAFSCAGARLQQGRVGVGKCRGGGGGGGGAAVVRRSGCCLYPGGRRGLGVRGIRAELPPRACADGGGGATTSGSTVAVPDAGEVADHVKEVGAVAPPGVLPKGERGEVADVDGSGGNGKLPSSGGGGDGDNGGGGGGGDGGDGGDEGDDEFGPILSFDQVVQEVEKRGVSLPSLPADMIEAAKSVGIQKLLLLRYLDMQASAWPLGPAIRSCSLLRNRMLVDPSFLFKIGTEIVIDTCCATFAEVQKRGEEFWSEFELYAADMLVGVVVNVALVGMLAPYARFGGGSASPGLLGRVRHAYDSLPSSVFEAERPGYSFSIQQRIGTYFFKGILYGTVGFFCGLVGQGIANLIMTAKRSVKKSDDDVPVPPLLKTSALWGAFLGVSSNTRYQIINGLERVVEASPVAKRVPAVSLAFTVGVRFANNIYGGMQFVDWARMTGCQ >ORUFI07G08490.1 pep chromosome:OR_W1943:7:7091740:7092333:-1 gene:ORUFI07G08490 transcript:ORUFI07G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGSGGGGGGGGGGTSIHITALDGIVNVNSLFTLAAFIGLAWRPSADGPELAGGADRLGSACAAGDRVESDLVLFHVLAFACFLFSSIVALCLKQIVRTHPHYRLRSGGGGGSAVSRTAMINRVALRVGILASAVGSVCGCGFLMMALVNVVQVKLGRLGCGAGGAAAWGAVVPLVSLVPTAMLIYIGIVFYAFTR >ORUFI07G08500.1 pep chromosome:OR_W1943:7:7103861:7108077:1 gene:ORUFI07G08500 transcript:ORUFI07G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISPASDGVGRRRRRVLMFPIPFQGHVTPMLQLADVLRSRAGLAVTVFHAPVNAPAAAEQSAAEEDYRFVTVGAGVAGEAAALMPTGGSGSDFAGALMRLDALLRAPFDDALRQALLADDEEEAAATCLVVDSNLRGVQEVAERRGVRTLALRTGGACCLVAYMAFPELCGKGVLPPLSRDQLQLDMPLDELPPLRLRDMMFSATTTHGTMATCLERLLDSARCSSGVILNTFDDLENSDLRKIANGLSVPVYAIGPLHKISIGQESSLLTQDQSCLEWLDKQEAESVLYVSFGSLASMDSQELLETAWGLVDSEIPFLWVIRPNSVQGSEQTCLPDGFEEATRGRGMVVSWAPQQDVLKHRAVGGFWTHNGWNSTLESICDGVPMISRPQFADQMINARYVQEVWKIGFELEGKLERRMIERAVRRLLCSEEGKEMRHRAKDLKNKATTCIEKGGSSNTAIDMLVNLIMSF >ORUFI07G08510.1 pep chromosome:OR_W1943:7:7113951:7116445:1 gene:ORUFI07G08510 transcript:ORUFI07G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEAVHAAVAAGAGHRRRRVLLLPLPLQGHINPMFHLASVLHARGFAVTVFHLQPAGVNAPDASLHPAFDFVPVPADGDGDGAGGDYLEATLAGILDVNRRCEAPFRERLAALLEEAAPAGCGDVACLVADAHLLTLMDVARRLGVPTLALRTGSAASFRVFAAHRMLRDMGYLPARESELDAPVTVLPPAPYRVRDVMLTAGFGGHAQDQIYELVSRAVEAVRTSSGLILNTFDALEHDELAALRRDLDVPVFDVGPLHKLSPTAPPSSLLRQDRGCLEWLDSQAPASVLYVSFGSIASVSAGELVEAAWGIANSGHPFLWVLRPGLVRGAAAAAALPDGFDAATRGRGAVVSWAPQEEVLAHPATAAFWTHCGWNSTLESVCAGVPMLLRPCFGDQPGNARYAERVWRAGLALDGGGGELERGKVEAAIRRLMEEDDAAGMRRRAGELKSRAAECITKAGSSCLIIDKLGAARSRADASTWFFLALVRIKDAGYGTGTFDHG >ORUFI07G08520.1 pep chromosome:OR_W1943:7:7170304:7182742:1 gene:ORUFI07G08520 transcript:ORUFI07G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDGATRRGGGDGSSRRRRVLVFPLPFQGHINPMLQLAGALHGRRGGGGGELSVTVLHTRFNAIDPSRYPELAFAEVPDGIPPDVAANGKIVDIIVALNVAMDGGESSPSFRDVLASVVAADDEGRKPRASCLIIDGNLMAAQKTAAELGLPTLVLRTGSAACLGCYLAYPALLQKGYLPPKESQLYEPVEELPPLRVRDLYYTSNANQELVRKVLGWIAETARNSNGVVINTFDELEPAELERIRRELDGDGVAIVLAVGPLHKLSPMNAGGSLHLRPDRSCIEWLDTQATGSVLYVSFGSLASLDSNEFLEVAWGLESSGQPFLWVVRPDLVKGLDKPSLPDGFERAVEGRGKVIKWAPQQEVLAHHAVGGFWTHNGWNSTLESVSEGVPMICKPQFADQMLNTRYIEAVWAVGFELVGKLERGEIKKAIKRLMVEKEGAEIREGAKELKKKMDQCLESSGSSQIAINSASVVGGGARHGGERRRRVLVFPLPFQGHTNPMLQLAGALHGRGGLCVTVLHTRFNALDPSRHPELAFVEVADGIPPDVAERGRVAEIILAMNAAMEATEDESGAASPSNIREVLASVVAAGEGQPSVACLVIDSHLLAVQKAAAGLGIPTLVLRTGSAACLRCYLDYDMLLQKAICLPKELPPLRVRDLFSTDDELVFEVLARIAETVRNSNGVVINTFEELEPMELERVHGELGDDSVATSGHPFLWVVRPNLVRGAERACLPDGFESAVEGRGKVIKWAPQQEVLAHCAVGGFWTHGGWNSILESICEGVPMICRPQFADQMINTRYVEAVWGAGFELEGKLEWCKIEKAIMKLMGINEGAEMRERANELKNKVACCLEDGGSSQIAIDRLTTATMAGARDRGDGRAPRGHVVLFPLPFQGHLSPMLQLAGALHARGLAATVLHTAYNAPDAAAHPELAFVAVPSADAIARALAAAPRDGIAKIMALNAAIEASGCARDALASLMSGPERPACLVIDAALPGAQKAAAELGLPTIVLHTGSAAAFRLFRSYAMLREKGYLPAKESELNRPVEEMPPLRVSDLFDPSKYFNEEMANKILALSTETTTNSSGTVVNTFEALETPELRSVRDELGATIPVFAIGPLHKLTSNGDRSSLLDQDRSCIEWLDTKEPGSVLYVSFGSVVMVSQDEFKEVAWGLANSGRPFLWVVRPGFVIGVSGKPELPEGFVEAVEGRCKVVDWAPQTEVLAHHAVGGFWTHNGWNSTLESIYEGVPMLSRPIFGDQLVTARYVQETWQIGFRVEGKLERGKIEEAIRRLMEGEEGAEVKQRADELKKKILICLKNGGSTQQAIDKLVDHMTSPRTAAMATQEREPERQPHAGRRVALFPLPFQGHLSPMLQLADLLRARGLAVTVLHTRSNAPDPARHRHGPDLAFLPIHEAALPEEATSPGADIVAQLLALNAACEAPFRDALASLLPGVACAVVDGQWYAALGAAARLGVPALALRTDSAATFRSMLAFPRLRDAGFIPIQGKNG >ORUFI07G08520.2 pep chromosome:OR_W1943:7:7170304:7182742:1 gene:ORUFI07G08520 transcript:ORUFI07G08520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDGATRRGGGDGSSRRRRVLVFPLPFQGHINPMLQLAGALHGRRGGGGGELSVTVLHTRFNAIDPSRYPELAFAEVPDGIPPDVAANGKIVDIIVALNVAMDGGESSPSFRDVLASVVAADDEGRKPRASCLIIDGNLMAAQKTAAELGLPTLVLRTGSAACLGCYLAYPALLQKGYLPPKESQLYEPVEELPPLRVRDLYYTSNANQELVRKVLGWIAETARNSNGVVINTFDELEPAELERIRRELDGDGVAIVLAVGPLHKLSPMNAGGSLHLRPDRSCIEWLDTQATGSVLYVSFGSLASLDSNEFLEVAWGLESSGQPFLWVVRPDLVKGLDKPSLPDGFERAVEGRGKVIKWAPQQEVLAHHAVGGFWTHNGWNSTLESVSEGVPMICKPQFADQMLNTRYIEAVWAVGFELVGKLERGEIKKAIKRLMVEKEGAEIREGAKELKKKMDQCLESSGSSQIAINRDRGDGRAPRGHVVLFPLPFQGHLSPMLQLAGALHARGLAATVLHTAYNAPDAAAHPELAFVAVPSADAIARALAAAPRDGIAKIMALNAAIEASGCARDALASLMSGPERPACLVIDAALPGAQKAAAELGLPTIVLHTGSAAAFRLFRSYAMLREKGYLPAKESELNRPVEEMPPLRVSDLFDPSKYFNEEMANKILALSTETTTNSSGTVVNTFEALETPELRSVRDELGATIPVFAIGPLHKLTSNGDRSSLLDQDRSCIEWLDTKEPGSVLYVSFGSVVMVSQDEFKEVAWGLANSGRPFLWVVRPGFVIGVSGKPELPEGFVEAVEGRCKVVDWAPQTEVLAHHAVGGFWTHNGWNSTLESIYEGVPMLSRPIFGDQLVTARYVQETWQIGFRVEGKLERGKIEEAIRRLMEGEEGAEVKQRADELKKKILICLKNGGSTQQAIDKLVDHMTSPRTAAMATQEREPERQPHAGRRVALFPLPFQGHLSPMLQLADLLRARGLAVTVLHTRSNAPDPARHRHGPDLAFLPIHEAALPEEATSPGADIVAQLLALNAACEAPFRDALASLLPGVACAVVDGQWYAALGAAARLGVPALALRTDSAATFRSMLAFPRLRDAGFIPIQGKNG >ORUFI07G08530.1 pep chromosome:OR_W1943:7:7182774:7184292:1 gene:ORUFI07G08530 transcript:ORUFI07G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERLDEAVPELEPLRVRDLIRVDGCETEALCGFIARVADAMRDSASGVVVNTFDAIEASELGKIEAELSKPTFAVGPLHKLTTARTAAEQYRHFVRLYGPDRACLAWLDAHPPRSVLYVSLGSVACIDHDMFDEMAWGLAASGVPFLWVNRPGSVRGCMPALPYGVDVSRGKIVPWAPQRDVLAHPAIGGFWTHCGWNSTLESVCEGVPMLARPCFADQTVNARYVTHQWGVGLELGEVFDRDRVAVAVRKLMVGEEGAAMRETARRLKIQANQCVAATLAIDNLT >ORUFI07G08540.1 pep chromosome:OR_W1943:7:7189596:7191068:1 gene:ORUFI07G08540 transcript:ORUFI07G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEMGKRKRPVDDGGDSSEPELPADIIAHITGRLTSQVDFLNCRNVCPSWERALRGEARRLAAAVERVPWLLLAAKADGSYLGRRQAGDVAAVQLPGRHVRLGRREICLGCSSGWLVVANDFGYARLVNPLTAATAPLPPLWRLPYLDAAHGYDGCVGSFLYVDEHHRGGPGVAFSFDGLCDLVLLKAVVVDISDGGATAAVLYRREREFAMARTGQRSWRLVNNKLDGIVDMARHGDGKLYTVHLSGKVARWKFDCNVRRSPEILESVLVIDSPYHYVVKADNNVNAITMSREYEHDHRDRAGECCYLVGAPRGTLYLLKRVYKHKQVCSDGGGGGRTQRTTATFHVWHLTWASDGGMEWPATMDGAAIYHNLATFVSYTGAVCVGKRDADAVLAGGAVYFTEDAAGYAGAAMVEDFGVRRINIRRQKSRRITRMTRLDDESMKRIKDKLEDEESEEVKPLGRCMNWPPPFWFIPSLDDSLGAAPPGK >ORUFI07G08550.1 pep chromosome:OR_W1943:7:7195802:7205870:1 gene:ORUFI07G08550 transcript:ORUFI07G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPPTENPRFEGGENGDNDYPLVATSDGEAVSSPSPSAAASTPTAASAPTGGSWWTDWFVRRRLFVPDWVNHPTNCSFALGRTVSTGGDQYKLLRIRTDRVLQVCSVLALGGDGINSGSCVQWRKVPSPPQNVFTGDARLYVTLAALRGSLVVAQDDGESAEAVVVLDDGRVVFWVWDTTFSHADAGGVMLVYDPATGGQTEVAAMAGAVHIGVYTGSLLRLRN >ORUFI07G08560.1 pep chromosome:OR_W1943:7:7207231:7209362:-1 gene:ORUFI07G08560 transcript:ORUFI07G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFSSQTHPGERRETAPPPPPPPPCWTPAGHRAPLEASAMASPEPCAAAAAAAAAPGSGFGAVDGVLPPELLLEVLLRLPAKPICRLRAVCRSWLSFTTDRLFLAAYAAVHPHPLLAVLVDSFPSRCCVDLVDLSGNVVEEILGVGGECRVLTASYDRVLVAGEHHRVSVLDPATGSVSALPFGIAEDMARRNGMRPAWFAFGQTNSTGEYKLLRILEDLEDGYEADPVCEVFAIGDMNGRWRKMESPPGYLDPSCTNGVVSEGAAYFFLDHWQMDPSYYFATGCIPSFDLATEQWSTALQGPVNRILEEANGTLNYADLTDRLMLAQLEGTLCTAHFNDRISAVDLWFLVDFENGMWSKEYRINVEFAFDGFGDGVQPLLVTDEGNVVLWVQIGSKGMVWIYNPVTNTSSEIVQTKASIFTGVGKWKKCQKKRSKTDVRTGVIAYWRI >ORUFI07G08570.1 pep chromosome:OR_W1943:7:7209612:7210476:-1 gene:ORUFI07G08570 transcript:ORUFI07G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSRLYQTELVESFRLTAASASLRRRDAAVVRCADDGENDAAVERVLKRRGTVLPVGRYGSGGDAARVRRATAPASAPTQDTASSKNGALLSCGDDDTPVSRNGSVVTSIDKPATAAASTPLVTIPKLPAPDSLVILPSVDRPQPEFVIPDAAYLGAPAPPLSSPCRWPRVASTGARQWQGCHAAWLSPVAPLSSSLSASVALLLLVGSRWARSSCGVFAIGFF >ORUFI07G08580.1 pep chromosome:OR_W1943:7:7210589:7212607:1 gene:ORUFI07G08580 transcript:ORUFI07G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRNPLLAVAEYMDTGRSSQLTERVRRMPASSNSGILPLEVLFDVLVRLPAKELCRLRIVCRPWRSLTSDPLFMKTHVARHRETFFLASFKDDKTHIHIMDFAGNVIKQIGIPAGHKVLCTRLDLVCVATNKNSCHVLNPVTGDVYNLPKSPVEEHTYHVNLRKPFTSFAFGHVASTGEYKVLRMFNRPGFTDLGIPQLCEVITVKGGTGQARWRGKQSREFFVECQKANSGVVVNGVVYFLIDSVYDSMIIGGDGAGIHPDFICSFDLEVEEWREDIQGPISRNFVYDMDFPDEYIAIWDQLSLAELKGYLVLVYHQSYRSSTIDLWYLIDYETRTWIKQYSIQIESFVPVRECKVKPLLVLDDGRIVVWLGSTGLLLIYDPRTSTFAEVEMRRLSEVGLYTGSVLSL >ORUFI07G08590.1 pep chromosome:OR_W1943:7:7215176:7216994:-1 gene:ORUFI07G08590 transcript:ORUFI07G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGSMKVGLMAYNCGGDWVSGGGCGGGSASGGGCGGSSGWASDAGCGRGWVSEEEGEEADLTRNGSAGSAAAVARAGIYDGVDPFEGMEFDDLESLTLTPWCKRSRSHWGWTRRR >ORUFI07G08600.1 pep chromosome:OR_W1943:7:7218669:7220457:1 gene:ORUFI07G08600 transcript:ORUFI07G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITTRARGKRRKLQGHQTPPPPPPCGAAQISLLPDDALREIVTRLPSNDAARTQLLSSRWRHLWRSAPLNLDLRDAGDISRVLATHPGPARRFAVPDLGSSFPNRRATLDAWFAAPALDNLQELELMGSYRPLPPSAARLFPTLRVAVFSRCSFPDDPAAAAFCFPRLEQLTLEYVAVSEATLHGVLAGCAALDCLLLRGVRGCRRLSISSPTIRVVGVCVTRALKELIVEDAPRLERLLMPEVWQLLRVSVISAPKLEALGWLSNHCTLEIGTIAIKCSIREFHFDSLTTVARGVKVLALDIDNLSLDMAIDFMRCFPSLEKLYIRKFSHKCNNVWRQKMRHKMLDPIECLDLNLKKVEVSGYCGNKSHIDFAMFFVLNGRVLELMRLECGTRRNDRKWIENQKMCLKLDNMVSKDAEFHFTRRTSWNYFTNVRRAHELLIADPFCT >ORUFI07G08610.1 pep chromosome:OR_W1943:7:7224098:7225222:-1 gene:ORUFI07G08610 transcript:ORUFI07G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGADGLPPGLRFDPSDDELVGRYLLRRLQGQPLPLDGVVLDADPLSAQPWRLLADHGRGGDEAFFLAEAHAKNAKGKRQKRTVEGGGFWQGQRMCVDGKKLLVPGGDDGGGGGEVLEIAWRKYVLSFFAEGERGSSGWVMHEYSVTAPADLASSPLRLYRIRFSGYGKKRKREPEDDGRAHGAPRRAEAETALFDLEVGPPPPPLLVPPPAAAAADHGTDQSSSGVTDMVFRDLPDLIADAGAALPDQNQQDWSEVADQSSFCVMGDDSSLLLPDLPGMIDDNEHQQFVRECDMPHLFVPQAEEAIAGGGAASAPSADNQNCEFNDGEDMALSDFEFPESIDEVLSYIDFSTSDTSCRDFTMDELFDLPVD >ORUFI07G08620.1 pep chromosome:OR_W1943:7:7233089:7234616:1 gene:ORUFI07G08620 transcript:ORUFI07G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNRRRRKTEEAEISAADRFAALPDGVLARIVSMLPYWDVIQLSAVCASWRRLRLHRAAPVVNIDLREFVLFGAFLPGYVVLGHRAALRRMRRPVDKLRLTYFAADRCMNEEANAIIRAVAAREIRITICHGPGGARAARARRLDEWDVDVPSTATDLDVRGSGYRAPAVYADCLRVLTLYHLELHDAPRLPSLRSLTLQSVLVAAAVPFAPGKWCPQLESLEMESCTVEYRQVDIRLQLLKLLVMDDVSVGPPCRKNDDEPFGHVTVDAPALDELVVVCSTGWAVEYASFTLRAPALRRLCWWEQFAGRVAIDVGMPGSVTEGTIEFKSNGELEEMSCREMRFYRAQLMQMLRGILPERAAGEDRRRRTAFHDGEDDHRDGRRRDDPGGEAHLRPSTPHLVAPRLISAMIAGSISTNI >ORUFI07G08630.1 pep chromosome:OR_W1943:7:7235577:7237037:-1 gene:ORUFI07G08630 transcript:ORUFI07G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGARRHCRRVVMFPFPFRSHIAPMLQLAELLRGRGLAVTVVRTTFNAPDAARHPELIFVPIHERLPDAATDPGTDLVEQMLALNAACEALRRVWYWYAALTAAAEVGVAALALRTDNAAALHCMLSYSRLRYSGYLPIKGKLFPESRDEVLPPVEPLRGRDLIRVDGGDAERVREFIARVDNAMRTAAMGFVINTFRAIEKPVLRNIRRHLPRIPAFAIGPMHRLLGAPEEHGLHAPDSGCVAWLHAHSPRSVLYVSLGSVARIDREVFDEMALGLAGSGVPFLWVIRPGFVTGIVSDALPLTEPLTAVVDNGMGKVTSWRLAHPAIGGFWTHCGWNSTLDSICEGVPMLAQPCFGDQTVNARYVTHQWGVGLELGEVFDRDRVAEAVRKLMVGEEGAAMRDKARGLKAKASKSVEDDGASNAAIDRLVRYMVSF >ORUFI07G08640.1 pep chromosome:OR_W1943:7:7240100:7242832:-1 gene:ORUFI07G08640 transcript:ORUFI07G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGQPVNVQKEQVNMQKEQMFGLAEKEMEYRVDLFNRLTQTCFSKCIEKSARKAAPHPYSVYSFVIA >ORUFI07G08650.1 pep chromosome:OR_W1943:7:7249604:7249969:1 gene:ORUFI07G08650 transcript:ORUFI07G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGFGTTVAPAVAAGRRLSHRRAAPPPPPSARVARPSATKSVTAAAATEEKGLFDAIFGALYKEEQLLETDPILNKVEEKAPAAASRATKASGAPAKKAAGDGDGDGGFSFGGLFSKKE >ORUFI07G08660.1 pep chromosome:OR_W1943:7:7250572:7256218:-1 gene:ORUFI07G08660 transcript:ORUFI07G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSWAATTAAAAPPRPPARCRSRVAALRRTAAASAAAASCVLAEAPKGLKVEQADAVEPAAAAAARRDVGPDTVASIILGGGAGTRLFPLTRTRAKPAVPVGGCYRLIDIPMSNCINSKINKIYVLTQFNSQSLNRHIARTYNIGEGVGFGDGFVEVLAATQTTGESGKRWFQGTADAVRQFLWLFEDARLKRIENILILSGDHLYRMDYMDFVQKHVDKGADISVACVPVDESRASDFGLMKTDKNGRITDFLEKPKDESLKSMQLDMGTFGLRPEVADTCKYMASMGIYVFRTDILLRLLRGHYPTANDFGSEVIPMAAKDYNVQAYLFDGYWEDIGTIKSFFEANLALTDQSPNFYFYDPVKPIFTSPRFLPPTKVENCKVLNSIVSHGCFLTECSVDRSVIGVRSRLEPGVQLKDTMMMGADYYQTEAERFSELSDGKVPVGVGENTIIRNCIIDKNARIGKNVMIMNSQNVQEAERPLEGFYIRSGIMVVLKNAVIPDGTISIPSGLLHLKYIFETHKGI >ORUFI07G08670.1 pep chromosome:OR_W1943:7:7257716:7258410:-1 gene:ORUFI07G08670 transcript:ORUFI07G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPRRWPAGDHDDPWDVTVTLAELRGTLVVAHDDHRAGVLDLWFLLAGDGDGGKVGPQHWTKLYTVTMPYHALGLPLPWDAESAEPAVVLVDDGRVVFWVWANGSSEHGRGVIRVYDPATGGQTDVAAMVGAVHVGVYTGSLLLPLLKWELRDGVFISRADYLWFLHGMANARKSKFYIKHPKI >ORUFI07G08680.1 pep chromosome:OR_W1943:7:7258426:7258664:-1 gene:ORUFI07G08680 transcript:ORUFI07G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHITKDGELKHGEQQACSVLTLACDSVNGGRLALWREVRPSQPYTVSTSRRFAAVVGGVAYFAMEERLDRGV >ORUFI07G08690.1 pep chromosome:OR_W1943:7:7258707:7259177:-1 gene:ORUFI07G08690 transcript:ORUFI07G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRANGGDYPVVAVSNDGVLMVLLCLPARPLCRLHAVCRPWRALLSRDPVFAAAHAARHPAPHLAVAVRGRLNSYGRELVDVYVVDASSGDIVKRACAGRCDRPAEVSTHGGVALLVDNNQLLRVLDPVSGAVPVVPDYKISHPTKY >ORUFI07G08700.1 pep chromosome:OR_W1943:7:7263839:7264072:1 gene:ORUFI07G08700 transcript:ORUFI07G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDCRGLVDRHAWIWRVLVFGTWCYRAAAAEIGFASSTVSSISAHLRYKHRLGFHFVVMPRASST >ORUFI07G08720.1 pep chromosome:OR_W1943:7:7272639:7273058:1 gene:ORUFI07G08720 transcript:ORUFI07G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNLLRRAADDDEHRLVALRRLRTQAARRRRDVPGNSDEMAYDVAGASDALRAPSSGTPAGVLLEQPADEFEEDGNVDESERTSVMSRRGGCDREGDNVQRLGDITRRRGIEVAAGEARTSWQQVDVSPATDVSDERE >ORUFI07G08730.1 pep chromosome:OR_W1943:7:7275608:7276203:-1 gene:ORUFI07G08730 transcript:ORUFI07G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVDLHNDARAAVGVKAQVSWSEAVAAKAREHASTCRTDHIQGPYGENLWWGWSSTAGWVGKPADAMGSWVGEKPYYDRSSNKCVGGKVCGHYTQVVWSRTTQIGCARVTGCNINGRSSTLIACNYNPRGNINGKRPY >ORUFI07G08740.1 pep chromosome:OR_W1943:7:7291347:7291694:-1 gene:ORUFI07G08740 transcript:ORUFI07G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDGREVEAATPFGGRRIRPERRGSKARGRRGQTVGGGTRRPVVRIHIDHIGGEERVEALAAGRWGRRRRPDPCPRGQIRVLEADSVVVVGGHRRRRSSLAPVTPSSWRSAVGD >ORUFI07G08750.1 pep chromosome:OR_W1943:7:7299283:7300736:-1 gene:ORUFI07G08750 transcript:ORUFI07G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFAVRRRATELVAPAAPTPRETKRLSDVDDPESLRWQVPVVFVYRPSAAAADPVDTIRRALAAALVPYYPFAGRLREVEGRKLVVDCTGEGVMFVEADADVRVVELEAAGLRAPFPCMDQLLFDVDGSAAVLGTPLLLIQVTRLLCGGFVLGIRLNHAMCDASGIVQFMDAVADLARGAREPAVSPAWSRELLDARKPPKLAFHLREYNDFAAAPPAAPSVGALGDMVMRTFSFSPGDVAALKGALPPHLRGRATSFDVLASFVWRARARALETPAGEDARLAIIVSFRNNGELRLPRGYYGNVCVPVTVAMPAEALRRRGSLADVVEQVREAKKTVNAEYVRSVADTLVMRGRPAIDTANLLLLSDVRLAGFHRVDFGWGEPVYGGPSHAWYGVSYLIAVKNGAGEDGVAVPVVLPAAAMERFTSEIERLRKGQQRGHFRVQTTSRI >ORUFI07G08760.1 pep chromosome:OR_W1943:7:7303641:7309122:1 gene:ORUFI07G08760 transcript:ORUFI07G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWVATVAYTGTALACAAAATVVALRLVYRHLLHYAEPTHQRFIVRIILMVPVYAVMSFLSLVLPGSAIYFNSIREIYDAWVIYNFFSLCLAWVGGPGAVVVSLTGRSLKPSWFMMTCCFSAVPLDGRFIRRCKQGCLQFVILKPILVVITFILYAKGKYEDGNFSVNQSYLYITIIYTISYSMALFALALFYVACRDLLQPYNPVPKFIIIKSVVFLTYWQGVLVFLAAKSRFIKNAEEAAYLQNFVLCVEMLIAAIGHQFAFSYKEYAGSNARPFGGFRGSLFHALKFNDFYHDTVHQFAPTYHEYVLYSNEEEDEPTKYSSASVVSTVQDIQLVEVSVVDSKAPLASVILTHEADKTMPSHGMEETVAPSEPYDLSNLVDVELSNYSAEVPAIPDVGKQ >ORUFI07G08770.1 pep chromosome:OR_W1943:7:7310011:7311321:-1 gene:ORUFI07G08770 transcript:ORUFI07G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSVAKPFAATATAAAPRLSPRRRRRRRLLAANATTARGALPLPALRKPTKPPPPPPLHPRPSLPVPTTSSDDDGDIRRKPATGATASLCSSGAGDVLRLLDALRLPPDEDVYVSLLRDCADAAEVASVHAHIAGKFAVSGLPLPLANRLVLAYAACGDIGAARQVFDEMPVKNGITWATMVSAYSDGCFHHDALQLFVQMCHQVRGITGDHYTHAIVAVLRSCARVNELQFGEQVHAFVVKKNGVCGDVGSSLLQLYCDSGQLSSARHVLEMMRFSCQEPVPEAAWTSLITAYHRDGILDDAIDVFRGMASSGIARSSFSLSSILAVCAEAKNKGCYGQQVHADAIKRGLDMNQFVGSGLLHMYAKEGQLADAARAFEAIDGKPDAVCWNAMAMAYARGGMYREATRVVYQMKAAGMNPSKLTMNEVKLACFR >ORUFI07G08780.1 pep chromosome:OR_W1943:7:7319938:7321842:1 gene:ORUFI07G08780 transcript:ORUFI07G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRMPDSHFMASSNGGACYQNTHGTPITMGVPNLGCLVASIGIAPSSSLMPERGLATANYNLVANFPEDAAVVPQQQQLQAASSNSNSGLIKGGWTREEDEVLRQMVRHHGDRKWAEIAKSLPGRIGKQCRERWTNHLHPDIKKGIWTEEEDRKLIKAHQTYGNRWSAIARSLPGRSENTVKNRWNATKRSLNSKRRLRKKNNEQAVPRQPSLLEEYIRSCQHPLPNETAPPASFDIGGYGTGGTIGASPTPPTVHALGGSTPLGLVMFLDLLNQATPHPPQPDLNLLNITPVYGQLPVQPHGISAQVGPSNVGTSGRTGGMDNNGVIQMASNQFVMPSEGGGIPDMARWIN >ORUFI07G08790.1 pep chromosome:OR_W1943:7:7325113:7326545:1 gene:ORUFI07G08790 transcript:ORUFI07G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEAAAPPAGEKMAIRVVSRRLVKASDASIQPHLPNAGDGDFDAVVATFEAVLPSLLNHFYPLAGRIVVDPATGLPELHCHNQGAELVVGELLRFACGSFSVVWGNYNLLHDGQVSTMIVRMWSELARTGRISDGLPINHDRSVFRPRSPPSYGAAIDAMFTAYDDGGRLVNALTAHDSFVERLYYIEAGDIARLRDAASAGAEQQRASRVQAVSAYLWKALAGVVAASCVPEERCCMGWWVDARRRVASPALVPAMCGYCGNVTSYALGDAAVGEVLERPLAEVAAMVRESIASIDYDEYLQELVDWVVGHKTEKTVFASFPLDTDFGFGQAALAMPIWDHGKMGCGTLAVGVRPGGDGSWLVSAYIWPRMAAALESDGVFKPLTAAYLGLV >ORUFI07G08800.1 pep chromosome:OR_W1943:7:7330038:7330628:1 gene:ORUFI07G08800 transcript:ORUFI07G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQTLSAVFFLLLVPHAACMAMAKPSSSTLLQDKCELYAAGDRPSYDYCIRTLRADRASATDDERGLAAIAARIARATAVATGAKIARLQRGETAPARRDGLAACAAEYAAAVRRLGRAARDVVSRSRGGAGAREMREAQTLLAEVTGAPERCDVAFKAAGGQGSPLDAVDRDRARRRGRVGLRHPAADEADVM >ORUFI07G08810.1 pep chromosome:OR_W1943:7:7331422:7332435:-1 gene:ORUFI07G08810 transcript:ORUFI07G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRLAVFFLLALLAVMSPAAASASAAAAPETCVRTMQRMLSCLDFIEHRTDAVPRPCCAQLNATVAKQPCCLMHVLHGDVARLVGPGFDTARAMVNVTAACLGDASVLMSIARSCAGKPLPPLTPEYPFTTGVPPAPPQTSGATRLEGTSNTALLFALGAVAIAMLRI >ORUFI07G08820.1 pep chromosome:OR_W1943:7:7341487:7344341:1 gene:ORUFI07G08820 transcript:ORUFI07G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQFVESKDGTISVASAFAGHHEAVQDRDHKFLTKAVEEAYRGVDCGDGGPFGAVVVRNDEVVVSCHNMVLKHTDPTAHAEVTAIREACKKLGKIELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANMEIKKADGNGALIAEQVFEKTKEKFQMY >ORUFI07G08820.2 pep chromosome:OR_W1943:7:7341963:7344341:1 gene:ORUFI07G08820 transcript:ORUFI07G08820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSPIGMCDLVFEGERLLQAVCCFLLPEFSDEFGTVLLVESKDGTISVASAFAGHHEAVQDRDHKFLTKAVEEAYRGVDCGDGGPFGAVVVRNDEVVVSCHNMVLKHTDPTAHAEVTAIREACKKLGKIELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANMEIKKADGNGALIAEQVFEKTKEKFQMY >ORUFI07G08830.1 pep chromosome:OR_W1943:7:7345191:7347456:-1 gene:ORUFI07G08830 transcript:ORUFI07G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCNDLTASVHRPHSVSITEFGAVNDGVTLNTKAFKNAIFYLSSFADKGGAELFVPAGRWLTGSFNLISHLTVSLDADAVIIGSQDSSDWPVIDPLPSYGRGRELPGANGTIDGQGELWWNWFHNHTLNYTRPPLLELMYSDRVVISNLTFMNAPFWNIHPVYCSQVLVQHLTILAPISSPNTDGIDPDSSSNVCIEDCYIRNGDDIVVIKSGWDEYGISFAHPSSNISIRNITGQTRNSAGIAFGSEMSGGISDVRAEGLRFINSVHGIRIKTAPGRGGYVKNIYIADVSMDNVSIAIRITGNYGEHPDDNYDKNALPVISNITIKNVVGVNIGTAGMLLGIQGDIFSNICLSNVSLSSKSADPWNCSLIEGFSNSVAPEICEQLRPSPGPGQVCYDGNSYPVPAAQQPYKSGATRLQNPFLKFISLYS >ORUFI07G08840.1 pep chromosome:OR_W1943:7:7387839:7390139:-1 gene:ORUFI07G08840 transcript:ORUFI07G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYRSTAPARAWHGGVWQWWGGAERGARGEVSSVGSRPWWSVSAAGRRGARWSEELRIKGRRSVAESSRGGWGRGRLRSRRWTSAPVPADGAEVGAGRGGSGGGEEAAAPAAGMRRQSTRGRSTGSSGGEESEALAAAVHAGRISSEDAAAQRQNIRGGGGASEEEEKRSLRVWSDVFAGGGGGAKYSAWRGWMDRAVAKSSTQIDGRDRFEASSMMNEMAVTEGEQQITRNVVRKKWSPPGLVSLSSILMETQKTGGWGFILRNSEGQGLLAGAGRLAFVHDADSAEARACQAALLAASVQGITEVGIETDSLILVSALKS >ORUFI07G08850.1 pep chromosome:OR_W1943:7:7396973:7401334:1 gene:ORUFI07G08850 transcript:ORUFI07G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRARSSSYAAAAVALALALASVAAVAGEVFFQEKFEDGWESRWVKSEWKKDENMAGEWNHTSGKWNGDPEDKGIQTSEDYRFYAISAEYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHTIFTKNDKNHLIKKDVPCETDQLSHVYTLIIRPDATYTILIDNVEKQSGSIYEHWDILPPKQIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTIPNPEYKGPWKQKKIKNPNYQGKWKAPMIDNPDFKDDPYIYAFDSLKYIGIELWQVKSGTLFDNFLITDDPELAKTFAEETWGKHKDAEKAAFDEAEKKKEEEEAAKAGEDDDDLDDEDAEDEDKADEKADSDAEDGKDSDDEKHDEL >ORUFI07G08860.1 pep chromosome:OR_W1943:7:7403838:7404785:-1 gene:ORUFI07G08860 transcript:ORUFI07G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKIELDHKDMVHDSAIDYYGKRLATASSDSTVKISSIGGKSAPSQLLATLSGHYGPVWRVAWAHPKYGTILASCSYDGRVIIWKEGAGGHWSQAHVFTDHKSSVNSIAWAPYEVGLCLACGSSDGTISVMTMRADGGWDTARIERAHPVGVTAISWAPATALGSLAGSGELVYKLVSGGFDSVVKVWGFVNGGWKLESALPSDVHTDCVRDVAWAPVLGLAKATIASASQDGKVVIWSRGKVGDKWEGKVMHDFGSPVWRVSWSLTGNILSVAAGENNITLWKQASDGQWEEVMKVEPTKPESSEEVKKAEQ >ORUFI07G08870.1 pep chromosome:OR_W1943:7:7421486:7425544:-1 gene:ORUFI07G08870 transcript:ORUFI07G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSGAAAASSSSSSTSSTTSSSSQSSSTRGGYMLSDRFYSPPHVRRQQMLLLQQQQLLQGQRPPSPSPSPATAPRAARQKPLPSPSPPPPPPFLVEAARQKEVERRVDAVVQSKPSVSPLPSSADVKRPPAAESAPEPARAAEEEAAGNLERFLSSTTPSVPVQYLPKTSMRGWRSGDAMNSSPYFCLGDLWEAFNEWSFYGAGVPVVLNGKDSVIQYYVPYLSAIQLYADPSKHSTRIRHPWEESDEESMDTSSESSSGTDADQLRGLENGGFQREDSESHFPSTRPLFEYLEKDPPYGINSCKYPIYRIPTGPTLKDLDACFLTFHYLSTATRSRDADPSTPACPPSGGFNSCMNAAGKLTLPVFGLAPYKLRSSVWSSNGPHEQQLAASLMQAADDWLRDRQVHHPDFRFFLTHYNTVWR >ORUFI07G08880.1 pep chromosome:OR_W1943:7:7438949:7439398:1 gene:ORUFI07G08880 transcript:ORUFI07G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIMSPSPPLRAPLLAVAAAIVAGLLLLAPAAAQQPPPLVQQFYYYSPPPPSSPVGGGGTGGGGPSPPTNPAPPAVPCNCGTTTAPAAPSPPGVYNYSAPSGGGGGGGQLAFLSGSARSTSHLPGGGGWRHARLLASAAAPLLLIVWW >ORUFI07G08890.1 pep chromosome:OR_W1943:7:7447358:7447856:-1 gene:ORUFI07G08890 transcript:ORUFI07G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEGCQSEPMLTQGQQYEDDVKKAAIQLMYLSRAANT >ORUFI07G08900.1 pep chromosome:OR_W1943:7:7450667:7451752:1 gene:ORUFI07G08900 transcript:ORUFI07G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARSQLAAVFLAVLVSVAPLAGAADNLQDACNRTLFPKVCIQALTTNPESRTANARRLAELSVYVAAEVGTAVAAFAHHELNGVKEDALFKCVDSCSDDIEEAVAHLSALTRELTDAKFLEVKAWLSATLGGSSTCEDTCKDAPISEIKNAVVTKSLEFEKLLRVTLDLITEASGSMSADVALPPATGGGGGGYGYESSSAAAAPAPSESDSDVGSGSGSAASAPGPSPSDDTGYGGSSGSPSSSPSSSPSSSPSGSPSSSPSGSPSGSPAGSPAGGPTAGGPASGPSSYGAASGPAEGPSSSGAASGPAEGPSPSGAAGPAEGPSSYGSTEGPSPSPSSSGSADAPGPGASAPDSEEY >ORUFI07G08910.1 pep chromosome:OR_W1943:7:7453559:7459439:1 gene:ORUFI07G08910 transcript:ORUFI07G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLPWLAAAATTPVRRSPPLPATPRALLRLPASSFPPWSNCAKSGLPPRGPFATAADTPLGGSLPEPEEERDTLLDGALRAARFRDEESRRPDPLFIDPYAAVLLSLDVASEDKDLLALHLMPSAEHYRLVTRYIDDKLQHFISNSDDLRQIVLLTDGMDTRPYRLSWPRLSVVYDVSPRRVFITASQQLRGAGAKISRNCVVLHTSSESPDLQAGLNKNGFNGNRPSLWVLQGLPLFTFKSLEDLLLVIGNLAMKGSIFIGEVPRFTQWGAATDMASEQDRLENLFFTQGFRVSFVHYEEVAKDVGLGLDSPPEIHGRAIFIAEQLRFSDAQMESFRMHFERIEDDADEDGFEEL >ORUFI07G08910.2 pep chromosome:OR_W1943:7:7453559:7459439:1 gene:ORUFI07G08910 transcript:ORUFI07G08910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLPWLAAAATTPVRRSPPLPATPRALLRLPASSFPPWSNCAKSGLPPRGPFATAADTPLGGSLPEPEEERDTLLDGALRAARFRDEESRRPDPLFIDPYAAVLLSLDVASEDKDLLALHLMPNGMDTRPYRLSWPRLSVVYDVSPRRVFITASQQLRGAGAKISRNCVVLHTSSESPDLQAGLNKNGFNGNRPSLWVLQGLPLFTFKSLEDLLLVIGNLAMKGSIFIGEVPRFTQWGAATDMASEQDRLENLFFTQGFRVSFVHYEEVAKDVGLGLDSPPEIHGRAIFIAEQLRFSDAQMESFRMHFERIEDDADEDGFEEL >ORUFI07G08920.1 pep chromosome:OR_W1943:7:7462623:7462838:1 gene:ORUFI07G08920 transcript:ORUFI07G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPIAFLAVLAIAVKKATKAHTRTYPLEAVMLPATEQLLQPAPSPSPPPPPPQCDGQRLVGGDAAVEIDS >ORUFI07G08930.1 pep chromosome:OR_W1943:7:7491680:7493987:-1 gene:ORUFI07G08930 transcript:ORUFI07G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLGLGKRGNKSNHRQRRDEKEIRPKARQYPWFLLPTATPMHIRRTKSLILKLCVCNPITGEVDVLPHLRGSDRPPRLYACALLTVDDLQYFNLGNDEDDHYTTSPSSYRVVLMYNRRGFTAARWFASGSGQWGAEDEAAHGGGHGGAPRARWFASGSGQWGAEVRAEGARADRMRQHTAAAMVVWPRLKLALLRLPKAGVRGVYRTEGSLLGSLPYGRLCWAEVSWDKTRIRVFFTHHHHYHGLADMMVSLLESPYSGQRWQRERSVVIPRSSCHCQRVDMVAGFGIQGDVDNMCGYEIDRVALLSSLAHISST >ORUFI07G08940.1 pep chromosome:OR_W1943:7:7492652:7493658:1 gene:ORUFI07G08940 transcript:ORUFI07G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVCCLILPALAPSARTSAPHCPLPDANHRAAVKPRRLYIRTTRLKYWRSSTKKPSARLRMQRWEVEVGGRARWQMKAASEETRPDEVGDVRDEERHDEEEDLVVERTAIVLVCPGIAPLPLQLFTRNHGYCRAFGRISFSSRLCL >ORUFI07G08950.1 pep chromosome:OR_W1943:7:7504980:7513735:1 gene:ORUFI07G08950 transcript:ORUFI07G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWMVLRAVAVSGALLLLVVVGSSAAGAGSGSCQTRCGDVDILYPFGIGPNCSRGVGFEIECNTRNGSGDLVPTLAATSLSIKPPAGSYACVSDNSECVFSTNGPGVCLGFSFLIVAFLITLVMFQKQKMNEYFKKNGGSILQKVDNVKIFSKDELKKITKNNSEVLGQGGFGKVYKGTLGDNTIVAVKTSIEVNEARKDDFTNEVDVPMLVYEFAANGNLQDILHGDGNIPLPLHLRLDIAIESAEGLRYMHSSTNRTIRHGDVKPANILLTDKFIPKISDFGTSKLLTVDKDFTMFVVGSMGYIDPVFHKTGHLTQKSDVYSFGVVLLELISRKPTIYGENCSLIIEFQQSYDKENSGRMMFDKDIEIEEDILILEEIGRLAM >ORUFI07G08960.1 pep chromosome:OR_W1943:7:7521285:7529369:-1 gene:ORUFI07G08960 transcript:ORUFI07G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCVAGGRRESDCFLLPSSIQNHFVSSFFFYLSIYLWRREERKSMASPPPSPSASRAVAVERSPGFGLEPSSSSSSSSSSAPSRNELLTMVKKHSHLIGWTVVDAEDDASDVGMDDRFWHEMLDLFFVRGRVSKRREEDDLVFFVNNNMKMHGHGFNDNMEDPPPFFVRRWAPMLEKISNINSAGVDWERSFYLNLIAHTSYTVTVAICSVRDLCSRAEKSKPLSPVYKVTKTVYASPSRVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPEDTESKNASSNLLNTGSNQEKPPKRTLFSGYVSYQNVREAYNAGRSQFGSLLSLGHDQTKLDKLYMRGPEGRGEVEVAVSGIADQSHERSKKDPGDSFRVFVHRAASAASKLAKHAYEAASTNKRFDHELLPLKCCLMSVSLPWDYIAHDLLHKVKTITTCK >ORUFI07G08960.2 pep chromosome:OR_W1943:7:7521285:7529369:-1 gene:ORUFI07G08960 transcript:ORUFI07G08960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCVAGGRRESDCFLLPSSIQNHFVSSFFFYLSIYLWRREERKSMASPPPSPSASRAVAVERSPGFGLEPSSSSSSSSSSAPSRNELLTMVKKHSHLIGWTVVDAEDDASDVGMDDRFWHEMLDLFFVRGRVSKRREEDDLVFFVNNNMKMHGHGFNDNMEDPPPFFVRRWAPMLEKISNINSAGVDWERSFYLNLIAHTSYTVTVAICSVRDLCSRAEKSKPLSPVYKVTKTVYASPSRVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPEDTESKNASSNLLNTGSNQEKPPKRTLFSGYVSYQNVREAYNGRGEVEVAVSGIADQSHERSKKDPGDSFRVFVHRAASAASKLAKHAYEAASTNKRFDHELLPLKCCLMSVSLPWDYIAHDLLHKVKTITTCK >ORUFI07G08980.1 pep chromosome:OR_W1943:7:7541437:7545354:-1 gene:ORUFI07G08980 transcript:ORUFI07G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRTPATRRPLLAPLSILRTPTPRHLSSSSPPSQPPSSPAGELLRLLSAAPTWTPDLARAVSSTFSASPTADVVISVLRSIRNPSLAAPFFLLASSSSASAPHPLPADAYHAVLPFLHHDLAALEKVLEEMAVLGYGLPNQACAHLAAALVRARRLDDAVLAVAVMRRLKFRPAFSAYTVLIGALAEARRPERALELLRQMQEVGYEVGVHLFTTLVRALAREGQVADALALVDEVKGSCLEPDIVLYNVCIDCFGKAGNVDMAWKFFHELKAQGLKPDDVSYTSMIWVLCKAGRLGEAEELFAQMEAERSVPCAYAYNTMIMGYGSAGRFEDAYKLLERLRERGCIPSVVSFNSILTCLGKKRKVDEALSLFEVMKKDAEPNSSTYNIIIDMLCLGGRVEEAYRILDEMEHASLFPNLLTVNIMVDRLCKARKLEEAYKIFESASQRGCNPDCVTYCSLIDGLGKKGQVDEAYRLFEKMLDAGHNANPVVYTSLIRNFFIHGRKEDGHKIFKELIRRGCKPDLTLLNTYMDCVFKAGEVEKGRMIFEDIRSYGFLPDVRSYSILIHGLTKAGQARETSNIFHAMKQQGFALDARAYNAVVDGFCKSGKVHKAYEILEEMKEKCVQPTVATYGAIVDGLAKIDRLDEAYMLFEEAKSKGIELNVVLYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLLDALVKAEEINEALVCFQSMKEMKCPPNTYTYSILINGLCRVQKYNKAFVFWQDMQKQGLVPNVVTYTTMISGLAKVGNITDAYSLFERFKANGGIPDAASFNALIEGMSNANRAMEAYQVFEETRLRGCRINIKSCISLLDALNKSECLEQAAIVGAVLREIAKSQHASRSLVCLGETVCLTCKLDQAPLQTTVYQAGWLDTTMRLDCLIFS >ORUFI07G08990.1 pep chromosome:OR_W1943:7:7547015:7550336:1 gene:ORUFI07G08990 transcript:ORUFI07G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPGKKGEGGGDGGGGKAEWLIYAFVARGTAVLAEYTEFTGNFPALAAQCLQRLPASGGGGSGGGAPARFSYACDGHTFNFLLHRGYAYCVVAKESVPKNVSVAFLERLKDDFMKRYGGGKADTALAKSLNKEYGPVIKQHMQYVLDHSEEIEKTLKVQAQVSEVKNIMLENIEKTLGRGEKLSELQDKTSDLQSQAQEFKKKGVKIRRKTWLQNMKIKLVVLGILLLLVIIVWVSVCQGFDCTKH >ORUFI07G09000.1 pep chromosome:OR_W1943:7:7575488:7580626:1 gene:ORUFI07G09000 transcript:ORUFI07G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGGRAKVTPNLAMDEEGTRVLNITVLQRLDPAVEDILITAGHVTLYDFDTNLNQWSRKDVEGSLFVVKRNAQPRFQFVVMNRRNTDNLVEDLLGDFEYQLQVPYIMYRNAAQEVIGIWFYNSQECEEVANLFSRILNAFSKATPKPKAPSIKSEFEELEAAPTLVEGPLEPQTSNIIPATTHVQEDPLSAFFSGAINVGSASGLSVAGQLNQSFGSTPLSSHAPTSISISQPPAVHHLLPSQTSSVISPDVHGGTGAVVNRSASLLNPSLFSPLTSSQTTMARTNPVAPTAPPQHPRITQQPHSAPLLQPFPLPTASPSPPYGTPLLQPFPPPNPSPSLASAPVYSPVLSREKVRDALLRLVENDDFIDLVYREIVKG >ORUFI07G09010.1 pep chromosome:OR_W1943:7:7581011:7597001:-1 gene:ORUFI07G09010 transcript:ORUFI07G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSTTRLIPLLLVLTFCLALASASAWAAAAGDDDLLAAAREPGMAEWLRGVRRRIHRHPELAFEEVRTSELVRAELDAIGVPYQWPVARTGVVATIAGGGGGDGPVVALRADMDALPVQELVDWEHKSQENGKMHACGHDAHTAMLLGAAKLLQKRKNELKGTVKLVFQPAEEGSAGAYYVLQEGVLDDVSAMFGMHVDPALPVGVVAARPGPFAATSGRFLATITGKGGHAAFPHDAIDPVVAASNAILSLQQIVAREIDPLQGAVVSITFVKGGEAYNVIPQSVEFGGTMRSMTDEEYFRPKIGQIVEGQAAVNRCGGGVDFMEESMRPYPAVVNDEGMYAHARASAERLLGAGGVRVAPQLMGAEDFGFYAARMPSAFFTIGVGNATTSSARAAHTTHSPHFVIDEAALPVGAAVHAAVAIDYLSKHASSILLPTLPASMLTSMAPPNASARLLLVVAAAAAVVLFAHLPTTTTAASPALKALGEDLLAAAGAAGFAGWLSGLRRRIHQRPELAFQEVRTSELVRAELDAIGVPYAWPVARTGVVATIDGGAGAGPVVALRADMDALPLQGTIKLVFQPAEEGHAGAYHVLESGLLDDVSVIFGLHVIPNLPVGVVASRPGPFMSAAARFAATFTGKGGHAGVPHDAVDPVVAVSSAVLSLQQLVSRETDPLEAAVVSITILKGGDAYNVIPESASLGGTFRSMTDEGLAYLMKRIREAGVNRCAAAVDFLEEELRPAVAVDVLGEDGVKVGTPFMGSEDFAFYAQRFPAAFFMIGVGNETTMRKVYPLHSPHFVVDEDVLPVGAALHAAVAMEYLNKHAFTATF >ORUFI07G09010.2 pep chromosome:OR_W1943:7:7581011:7597001:-1 gene:ORUFI07G09010 transcript:ORUFI07G09010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSTTRLIPLLLVLTFCLALASASAWAAAAGDDDLLAAAREPGMAEWLRGVRRRIHRHPELAFEEVRTSELVRAELDAIGVPYQWPVARTGVVATIAGGGGGDGPVVALRADMDALPVQELVDWEHKSQENGKMHACGHDAHTAMLLGAAKLLQKRKNELKGTVKLVFQPAEEGSAGAYYVLQEGVLDDVSAMFGMHVDPALPVGVVAARPGPFAATSGRFLATITGKGGHAAFPHDAIDPVVAASNAILSLQQIVAREIDPLQGAVVSITFVKGGEAYNVIPQSVEFGGTMRSMTDEEYFRPKIGQIVEGQAAVNRCGGGVDFMEESMRPYPAVVNDEGMYAHARASAERLLGAGGVRVAPQLMGAEDFGFYAARMPSAFFTIGVGNATTSSARAAHTTHSPHFVIDEAALPVGAAVHAAVAIDYLSKHASSILLPTLPASMLTSMAPPNASARLLLVVAAAAAVVLFAHLPTTTTAASPALKALGEDLLAAAGAAGFAGWLSGLRRRIHQRPELAFQEVRTSELVRAELDAIGVPYAWPVARTGVVATIDGGAGAGPVVALRADMDALPLQGTVKLVFQPAEEGYAGARYVLQEGVLDDVSAIFGLHVDPRIQVGTVTSRPGPFLAASGRFLATITGKGGHAAGPHNAVDPILTASSAIVSLQQIVARETDPLEAAVISVTFMKGGDAYNVIPESVSFGGTFRSLTSEGLSYLKKRIKEIVEAHATVHRCTATVDFMEEERIPYPATVNDEGMYRHARAVAVDVLGEDGVKVGTPFMGSEDFAFYAQRFPAAFFMIGVGNETTMRKVYPLHSPHFVVDEDVLPVGAALHAAVAMEYLNKHAFTATF >ORUFI07G09010.3 pep chromosome:OR_W1943:7:7586006:7597001:-1 gene:ORUFI07G09010 transcript:ORUFI07G09010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSTTRLIPLLLVLTFCLALASASAWAAAAGDDDLLAAAREPGMAEWLRGVRRRIHRHPELAFEEVRTSELVRAELDAIGVPYQWPVARTGVVATIAGGGGGDGPVVALRADMDALPVQELVDWEHKSQENGKMHACGHDAHTAMLLGAAKLLQKRKNELKGTVKLVFQPAEEGSAGAYYVLQEGVLDDVSAMFGMHVDPALPVGVVAARPGPFAATSGRFLATITGKGGHAAFPHDAIDPVVAASNAILSLQQIVAREIDPLQGAVVSITFVKGGEAYNVIPQSVEFGGTMRSMTDEEYFRPKIGQIVEGQAAVNRCGGGVDFMEESMRPYPAVVNDEGMYAHARASAERLLGAGGVRVAPQLMGAEDFGFYAARMPSAFFTIGVGNATTSSARAAHTTHSPHFVIDEAALPVGAAVHAAVAIDYLSKHASSILLPTLPASMLTSMAPPNASARLLLVVAAAAAVVLFAHLPTTTTAASPALKALGEDLLAAAGAAGFAGWLSGLRRRIHQRPELAFQEVRTSELVRAELDAIGVPYAWPVARTGVVATIDGGAGAGPVVALRADMDALPLQGTIKLVFQPAEEGHAGAYHVLESGLLDDVSVIFGLHVIPNLPVGVVASRPGPFMSAAARFAATFTGKGGHAGVPHDAVDPVVAVSSAVLSLQQLVSRETDPLEAAVVSITILKGGDAYNVIPESASLGGTFRSMTDEGLAYLMKRIREAGVNRCAAAVDFLEEELRPYPATVNDDGMYGHAKAVAEAMLGEANVRVAARSMGGEDFAFYARRSPGAFFFIGVGNETTMGPAAAVRPVHSPHFVLDERALPVGAALHAAVAIEYLNKHDCS >ORUFI07G09010.4 pep chromosome:OR_W1943:7:7586006:7597001:-1 gene:ORUFI07G09010 transcript:ORUFI07G09010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSTTRLIPLLLVLTFCLALASASAWAAAAGDDDLLAAAREPGMAEWLRGVRRRIHRHPELAFEEVRTSELVRAELDAIGVPYQWPVARTGVVATIAGGGGGDGPVVALRADMDALPVQELVDWEHKSQENGKMHACGHDAHTAMLLGAAKLLQKRKNELKGTVKLVFQPAEEGSAGAYYVLQEGVLDDVSAMFGMHVDPALPVGVVAARPGPFAATSGRFLATITGKGGHAAFPHDAIDPVVAASNAILSLQQIVAREIDPLQGAVVSITFVKGGEAYNVIPQSVEFGGTMRSMTDEVEGQAAVNRCGGGVDFMEESMRPYPAVVNDEGMYAHARASAERLLGAGGVRVAPQLMGAEDFGFYAARMPSAFFTIGVGNATTSSARAAHTTHSPHFVIDEAALPVGAAVHAAVAIDYLSKHASSILLPTLPASMLTSMAPPNASARLLLVVAAAAAVVLFAHLPTTTTAASPALKALGEDLLAAAGAAGFAGWLSGLRRRIHQRPELAFQEVRTSELVRAELDAIGVPYAWPVARTGVVATIDGGAGAGPVVALRADMDALPLQGTIKLVFQPAEEGHAGAYHVLESGLLDDVSVIFGLHVIPNLPVGVVASRPGPFMSAAARFAATFTGKGGHAGVPHDAVDPVVAVSSAVLSLQQLVSRETDPLEAAVVSITILKGGDAYNVIPESASLGGTFRSMTDEGLAYLMKRIREAGVNRCAAAVDFLEEELRPYPATVNDDGMYGHAKAVAEAMLGEANVRVAARSMGGEDFAFYARRSPGAFFFIGVGNETTMGPAAAVRPVHSPHFVLDERALPVGAALHAAVAIEYLNKHDCS >ORUFI07G09010.5 pep chromosome:OR_W1943:7:7581011:7585926:-1 gene:ORUFI07G09010 transcript:ORUFI07G09010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGSGGELLSAARAPGFAAWLRGLRRSIHRHPELAFEEVRTSELVRAELDAIGVPYEWPVARTGVVATIAGGDGAGAGTVFALRADMDALPLQELVDWEHKSEESGKMHACGHDAHTTMLLGAAKLLQSQKDDLKGTVKLVFQPAEEGYAGARYVLQEGVLDDVSAIFGLHVDPRIQVGTVTSRPGPFLAASGRFLATITGKGGHAAGPHNAVDPILTASSAIVSLQQIVARETDPLEAAVISVTFMKGGDAYNVIPESVSFGGTFRSLTSEGLSYLKKRIKEIVEAHATVHRCTATVDFMEEERIPYPATVNDEGMYRHARAVAVDVLGEDGVKVGTPFMGSEDFAFYAQRFPAAFFMIGVGNETTMRKVYPLHSPHFVVDEDVLPVGAALHAAVAMEYLNKHAFTATF >ORUFI07G09020.1 pep chromosome:OR_W1943:7:7600388:7600588:1 gene:ORUFI07G09020 transcript:ORUFI07G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCGPRSPLPLLRPSCDGLLRCVVVLPCPSRAAGWLLGRAAIRPRHRRINRRLCLRVRRARHPRR >ORUFI07G09030.1 pep chromosome:OR_W1943:7:7605533:7615417:-1 gene:ORUFI07G09030 transcript:ORUFI07G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHVLVFPAPAQGHLNCFLHFATALLRAGLHVTFLHTHHNLRRLGAAAAAAAAAAISPRLRFLSVPDGLPDDDPRRVDGLPELMEGLRTTGSAAYRALLASLVELGMPAIAFRTVSASAVLAYLSVPSLIELGELPFPGRGGDDGLDERVRGVPGMESFLRRRDLPIQCRHLAATTTHGDPLLTSVVAATAHSRNARALLNTAISLEHPALTHLARHMRDLNKPLNTDMAGNNHDDALLRQALLDVAGAGACVVPWAPQRDVLRHRAVGCFLTHSGWNSTAEGVAEGVPMVCWPFFADQQINSRLVGAVWGNRVDMKDACERGVVERSVKEAMESGEIRRSARRLAEQVKRDTGDGVTNK >ORUFI07G09040.1 pep chromosome:OR_W1943:7:7616237:7616926:1 gene:ORUFI07G09040 transcript:ORUFI07G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGIAASEVGIDTTAEGIDAAATDPRDSTRARASLFSSRARERGEGGVTGVEEGSRLLSWSRGLIDNGLMNPSMGLWDMVLVRNFFLEAAISERRLVMLSQPCSSEWCGRTATGRSMKEVLAIVRPCGRVEPSLWATGGLAAGAPGAHGGGRWASTVRWRRRFYERNFASDGEGIRLG >ORUFI07G09050.1 pep chromosome:OR_W1943:7:7617986:7621057:1 gene:ORUFI07G09050 transcript:ORUFI07G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRPVVLVFLLLVLVITSQFEWKQQIGDAAADPADARRRHQGLAKEDAVKEKIILSQEKNIQQLNELIESLQRQLLHCRGSNNTVHTTTVSHTEVSEVDGQESIDD >ORUFI07G09060.1 pep chromosome:OR_W1943:7:7621783:7622090:1 gene:ORUFI07G09060 transcript:ORUFI07G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATDPKAARGTGGGSSDDAWCGRDGEARERGPTAADAFYLHERTPAGLRITLPTLPQSAAVVPSPRRRCPLRAPLPSTPPQSSAARTQSAVAAA >ORUFI07G09070.1 pep chromosome:OR_W1943:7:7624536:7625153:-1 gene:ORUFI07G09070 transcript:ORUFI07G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDECCCTCSNNCRDGLIVCGIVFGTLLLAVLISAFGFVRQPTFVVDDASLTRFNLSAAASSIAYNLTLKLVVHNRNWAMSVKNTKPMDAEYKFDGQPFERIQLADKGDKLGPGKTVVHRLSSGSEGAIVPALGNAGAQEYRKESAKGTFEVEVAIAGEVRYTARLTKCKIEATCPLKLQLAPPGTTSVAFQKVKCKLTKPEKNC >ORUFI07G09080.1 pep chromosome:OR_W1943:7:7632193:7632756:1 gene:ORUFI07G09080 transcript:ORUFI07G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLNLSSNNLSGEVTPLLGKIRSLTMLDLSGNPSLCGNDIAGLNSCSSNTITGDGNSGKTRLVLTVTLSVAAALLVSMVTVVCAMSRKARRAADVVVEKAETSAIGGSSTAAAAAASFVIFPPPPRRGCPRRRPAVAEPSRLGPAVVAPLICCKEKKGKKRYREEEQCPGVFWYLHNSFSLHLDRK >ORUFI07G09090.1 pep chromosome:OR_W1943:7:7646732:7647415:-1 gene:ORUFI07G09090 transcript:ORUFI07G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCCCECGCYECYDACCDRCCCGCVSYDTRETIFYCAVCLLLVAAVVLLAVLLAAYGFIRHVSITVESASLTRFNLSSPSEATALAYNLSLTLAVRNKNWAMSIKNTKDLEAGYSFDGQRFERVKLAGEGEKHPAGKTRVYHLDSGSDNAYAALGNAGVAEFKKENATGVFEVEVAVTGEVRYQAHYTKCKLAATCPLKLQLAPPGTPAVVFQKVKCKLAAADKNC >ORUFI07G09100.1 pep chromosome:OR_W1943:7:7671123:7671764:-1 gene:ORUFI07G09100 transcript:ORUFI07G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFDDGCGECCSSWKDFCWCLLCIAILLAIALIVVLVVAFGFVVQPSITVDDASLTRLALAATPTTALAYNLSLALTFRNRNWAMSMKNVEPLEAAYRFDGQQFDRIQLADKGAKQGPKKTVVYRLSSGSDAAAAPGLGNAGVAEFKKENATGTFEVEVGVTGKVSYTARITKCKIEATCKLKLQLAPPGQEPAAVVFQKVKCKLAKAEKNC >ORUFI07G09110.1 pep chromosome:OR_W1943:7:7690274:7690606:-1 gene:ORUFI07G09110 transcript:ORUFI07G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVAAYLMATIGGNASPTKDDVRAILGAVGADVDEDKLGYLFDQVAGKDLSEILAAGSEMLAFGGVGAAPAAAATAGGGAAAAGEKEKEEEKVEEEEEDDIVFSLFDDE >ORUFI07G09120.1 pep chromosome:OR_W1943:7:7693176:7693376:1 gene:ORUFI07G09120 transcript:ORUFI07G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVARAATPSALPSSPTNTTQVCAPAVASSDASPSPKTASSSMMVSAVEDAAARRLNVTGPELG >ORUFI07G09130.1 pep chromosome:OR_W1943:7:7696908:7699401:-1 gene:ORUFI07G09130 transcript:ORUFI07G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGLKFLNFRKYGKFRTEISGLTEPSCRLFNSYFSATFPPILGVSSTIIAAAAAAAGGSLILSPASRSTSPSVSPRPVLLAGVHPSFKMTTCKFITSFAPGRRSIGTQPPMAAPRSLSTRVISYPDRRSATPTPKAGLRKLCVPAVDLYKVKLVSPKGVEHEFDAPGDACILDSAETAGLELPYSCRAGDCSTCAGRIEDGVVDQPNGSYLDDAQRADGYVLTCVSYPRSNCVIHTHKGREV >ORUFI07G09140.1 pep chromosome:OR_W1943:7:7722367:7726039:1 gene:ORUFI07G09140 transcript:ORUFI07G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKVTTATAHFLLVFLASTISHSVICSALGNETDQLSSLLEFKNAISLDPEQSLISWNSSNHLCSWEGVSCSSKNPPRVTAIDLSHQGLVGRISPSLGNLTFLRNLSLATNRFTGQIPASLGRLRHLRSLYLSNNTLQGTIPSFANCSELRALFLDGNELAGVLPGAGDLPVGIEALVLSSNRLAGTIPPSLGNVTTLRKLACMNNGVGGGIPGELAALRGMEVLAVDGNRLSGGFPVAVMNMSGLAVLGLSTNGFTGELPSGIGGFLPKLRQLTIGGNFFQGNIPSSLANASNLFKLGMSDNNFTGVVPASIGKLAKLTLLNLEMNQLHARSKQEWEFMDNLANCTELQVLSLEKNQMEGQVPSSLGNFSVQLQYLYLGLNRLSGSFPSGIANLPNLIILALDDNWFTGSVPQWLGGLKTLQSLTVSYNNFTGYVPSSLSNLSHLMELFLESNQFIGNIPPSLGNLQFLTRIDISNNNLHGSEIFRIPTIEQVWLRFNNLSGELPAEVGNAKQLMYLQLSSNMLSGDLPNTLGSCENLQHIELDHNNLSGGIPPSFGKLISLKFLNLSHNKLTGSIPMLLGDLQLLEQIDLSFNHLRGEVPTKGIFKNSSAIQIDGNLGLCGGALELHLPECPITPSNTTKRKPSVLAIVIPLASMVTLALVILVLFICKGKQKKNSMSLPSFGSEFPKVSYRDLARATNGFSTSNLIGEGRYSSVYQGQSFQGITVVAIKVFSLETRGAQKSFIAECNALRNVRHRNLVPILTACSSIDSSGNDFKALVYKFMSRGDLHKLLYSTPHDDRSSNLCSISLAQRLNIVVDVSDALAYLHHNHQGPIIHCDLKPSNILLDDSMTAHVGDFGLARFKIDSKTSLGNSVSTSSFAINGTIGYVAPECAIGGQVSTAADVYSFGVVLLEIFIRKRPTDDMFKDGLSIAKYADINIPDRLLQIVDPQLVQELSLNQEDPVATDENAAHCLLSVLNIGLCCTKSSPNERISMQEVAAKLHAIRDSISQSTTSRLSRRQFSYIDGDHHNTWGSVLLDMEQRVLMEEIVTLLPVERAVATTRFVLGLLRTDMILHTGVACRDALEMRASKQLKEATHEDLLIPNTGNFVETLYDVDCMERMLEQFIVTVHELVGVRSPVRLATDIGRRLGG >ORUFI07G09150.1 pep chromosome:OR_W1943:7:7731320:7736724:1 gene:ORUFI07G09150 transcript:ORUFI07G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGGAAAVLREAHRLTGHTDRVWSLAWNPSPGAGAGPVLASCGGDKAVRIWKRAADGAWQCSDVLEDTHNRTVRSCAWSPDGKLLATASFDSTTAIWEYSGGDFECVATLEGHENEVKSVSWSASGSLLATCSRDKSVWIWEMQPGNEYECVSVQQGHTQDVKMVQWHPILDVLVSVSYDNSIRVWADDGDDEWHCVQTLTEANNCGHSSTVWALSFNQKGDRMVTCSDDHTLKIWDTSADLSQPKTSDNQESWRHLSTLTGYHNRTIFSAHWSSEDIIASGAGDDAICLFAEDKSSMVEGPSYRLILKKEKAHDMDINCVRWCPQDPRMLASASDDGTVKLWELRGNALD >ORUFI07G09160.1 pep chromosome:OR_W1943:7:7737652:7738256:1 gene:ORUFI07G09160 transcript:ORUFI07G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWQVTAAPLVVTDGSEQTPSGTLTDAFHLSKADLEWFCVEVVAVLVVVITRADNAEGGGVRLGYGTGIDGDNVRGLAGDRPGCESHGAASSVGASVAGQAPQTASTTALTFSFPASTTLSPWWSPPSPHPRATSSPSTSPRCSPRAETARQGAAHEAAKLQPVAAHPLQLSTYCGDLSQHPP >ORUFI07G09170.1 pep chromosome:OR_W1943:7:7747110:7753079:1 gene:ORUFI07G09170 transcript:ORUFI07G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGGGGGGVGGRRAAEAKAACQICGDDVGEGPDGEPFVACNECAFPVCRNCYDYERREGSQACPQCKTRFKRLKGCPRVAGDEEEDGVDDLDGEFGLDGREDDPQYIAESMLRANMSYGRGGDLQPFQPIPNVPLLTNGQMVDDIPPEQHALVPSYMGGGGGGGKRIHPLPFADPSVPVQPRSMDPSKDLAAYGYGSVAWKERMEGWKQKQERMQQLRSEGGGDWDGDGDADLPLMDEARQPLSRKVPISSSRINPYRMIIIIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWLPIERETYLDRLSLRFDKEGQPSQLAPVDFFVSTVDPSKEPPLVTANTVLSILSVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKRDYEEFKVRINALVAKAQKVPEEGWTMQDGSPWPGNNVRDHPGMIQVFLGQSGGRDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLSNAPYLLNLDCDHYINNSKAIREAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCCCCCCGNRHTKKKTTKPKPEKKKRLFFKKAENQSPAYALGEIEEGAPGAETDKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSITEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSKHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFVTGILEMRWSGVAIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVLAGVDTSFTVTSKAGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNNGPLLEECGLDCN >ORUFI07G09170.2 pep chromosome:OR_W1943:7:7747110:7753079:1 gene:ORUFI07G09170 transcript:ORUFI07G09170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGGGGGGVGGRRAAEAKAACQICGDDVGEGPDGEPFVACNECAFPVCRNCYDYERREGSQACPQCKTRFKRLKGCPRVAGDEEEDGVDDLDGEFGLDGREDDPQYIAESMLRANMSYGRGGDLQPFQPIPNVPLLTNGQMVRTTTTTTSSSSSSSDSALNCSEIERNSVFPGAPQVDDIPPEQHALVPSYMGGGGGGGKRIHPLPFADPSVPVQPRSMDPSKDLAAYGYGSVAWKERMEGWKQKQERMQQLRSEGGGDWDGDGDADLPLMDEARQPLSRKVPISSSRINPYRMIIIIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWLPIERETYLDRLSLRFDKEGQPSQLAPVDFFVSTVDPSKEPPLVTANTVLSILSVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKRDYEEFKVRINALVAKAQKVPEEGWTMQDGSPWPGNNVRDHPGMIQVFLGQSGGRDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLSNAPYLLNLDCDHYINNSKAIREAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCCCCCCGNRHTKKKTTKPKPEKKKRLFFKKAENQSPAYALGEIEEGAPGAETDKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSITEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSKHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFVTGILEMRWSGVAIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVLAGVDTSFTVTSKAGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNNGPLLEECGLDCN >ORUFI07G09180.1 pep chromosome:OR_W1943:7:7755428:7755941:-1 gene:ORUFI07G09180 transcript:ORUFI07G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTELVLLFVHGRDIDGVAQGLMLHKDTGIVILSPATADATTNKKRNHGDLAVDLLLVALSDGNPDGPQWRQPQCIAQPLPLLPCTTPSLLLSSSSTH >ORUFI07G09190.1 pep chromosome:OR_W1943:7:7764139:7764411:-1 gene:ORUFI07G09190 transcript:ORUFI07G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMKSCKCVYLICLNSPLKERDASHKDFICLDAPLKDEHVTYKDIGGTGSQAGLGFKLPLVCLLET >ORUFI07G09200.1 pep chromosome:OR_W1943:7:7765625:7766346:-1 gene:ORUFI07G09200 transcript:ORUFI07G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGLGPCRATAAGRAWRQPATGPDINEVQPPPGAEEGPRAAAARSLPYSRRRGGLQLARGGGLTRARGGEKAAQSPPQSRWRGGGRLSGLAQRRLPASSQRWPDTGKGRRSDEAAAQSPPHSRRRGGLAPLQTGVGRPRCEHARLGRDWRRRRLARRVGAWGENGVLQVGQCSGPLN >ORUFI07G09210.1 pep chromosome:OR_W1943:7:7774549:7775585:1 gene:ORUFI07G09210 transcript:ORUFI07G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAGSVTRRPPPVLLACRSRPNNRRLIRLLPLLFAVVVLLALLPPCVHGARALNDAKEAKVAEAGDQTTTTTHAAAAAVARWSVTVREGGGGGGHGSGHAGAGHGHGSGHGRPEPAEHHTGRRSAAAGSVRPPMAASCAALLVAAVVALLRF >ORUFI07G09220.1 pep chromosome:OR_W1943:7:7775740:7776224:1 gene:ORUFI07G09220 transcript:ORUFI07G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVRKQWLLLLVFFLLASSCVLAAGAEEKKTASTAAELAVVVRSSHGDHHGRHGRGGRKHKGKKSGAAASDRRPGGSTAIACCGVASPLLPIGCFLSSSMYLAQW >ORUFI07G09230.1 pep chromosome:OR_W1943:7:7778326:7781223:1 gene:ORUFI07G09230 transcript:ORUFI07G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAKRSCLAISLILLLLLVPSIHGARHVAAAIKGTGADSEMVVTERTAGGGGGHGRGYTSHRSHNPNNPNDGGSGTPVVDPHNVATRGHHHRGAATRTAAGGDPRLAACMLRLGATFFLLVLG >ORUFI07G09240.1 pep chromosome:OR_W1943:7:7788239:7788685:1 gene:ORUFI07G09240 transcript:ORUFI07G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKSIPNGGRITRHIIPTHCDGLVAFATYGRAMLVCNPATQELVVLPPGNGSGPCPRSTESTAAVGFDPWRNRYVVVRCFYCKSHNDPPVYNIGHEIFTLDTGAGDGWQRMQDPSRAISPGGRRPAACTRGGSFYWFINESQPCELL >ORUFI07G09250.1 pep chromosome:OR_W1943:7:7793141:7794002:-1 gene:ORUFI07G09250 transcript:ORUFI07G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMAELTEGTCEGAQGCRMYMRLRWSPNLRRCVRSMSRLSRESDQGRCRSWQASEKPRSCGIPIDRANHKARARISWAAWFVDMNLGSGLRLRRAAVQAMEELDAGRLQLVVAAEVVASGSRRTHLQMGALSSAGWGDQGGAAAWLLCS >ORUFI07G09260.1 pep chromosome:OR_W1943:7:7840026:7841384:1 gene:ORUFI07G09260 transcript:ORUFI07G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAHGKVVKPPCVRYRVMAHGSVDFIIMSIAQPTRYVCSRTLQILVVQVEEERHLPHLSPLLLKRLKSYDFVPLLGLHTSKHWEVGRWSS >ORUFI07G09270.1 pep chromosome:OR_W1943:7:7900938:7902064:1 gene:ORUFI07G09270 transcript:ORUFI07G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEVEAGTGGGGDGEAAEEVGKRVESTAAMGRRWAEEPIAEASVQVESEVGRRGGGGRPVESTAAWGGVGEAEGAAWWRRRVVGEERAGEGRRIWRRRWCAVAGARAVDLAEEAAARAVDGDKGARGGRGHEVAAPRVFFASIFFLAWIFFWRGKNLGDSFFYYFPAHLN >ORUFI07G09280.1 pep chromosome:OR_W1943:7:7912628:7916862:-1 gene:ORUFI07G09280 transcript:ORUFI07G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGGGQRCNHSCQLWGLSTDIVDRIRSPEAKRWQILILVRHARSFIVIIVDQESQTLYVLDPNPLMPEYKNNPNMRYTRKLITICDHFNKAMKKVCPGSRWNEDINLWRQVFVNNPVYNRMVTS >ORUFI07G09290.1 pep chromosome:OR_W1943:7:7917303:7917518:1 gene:ORUFI07G09290 transcript:ORUFI07G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPYRRASWWGRRGYIPSDDGVCDHLEWSRASRGEEATQVEGGGEARGGGAVWGWLLVEVEGRHGRRLSG >ORUFI07G09300.1 pep chromosome:OR_W1943:7:7924480:7924788:-1 gene:ORUFI07G09300 transcript:ORUFI07G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAGEALRTNITTLGPLVLPLSEQLLFLAMVVLHRVFLAGVKTYLPDFTPALDHFCIHAGGRGVLDELERSLKLSAWHMEPSWMTHVLLLQAAWHSPAHFG >ORUFI07G09310.1 pep chromosome:OR_W1943:7:7992763:7994441:-1 gene:ORUFI07G09310 transcript:ORUFI07G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYHYQPVVYHHPHNQKPSGKNPGYFVSKHACAWLGCGFLSLALLHLLCCAPAATRQAAFSPLRQYINNTYSFVSTVPGGGKSCNYSEGSWVWAPGHGRRYNATGCNVKESHDCIRNGRPDTGYLDWRWQPAAAGCTLPAFDAGSFLAAVRGKHVAFIGDSMARNQAQSLICLLTAAAADLPHRLVYRGTGAYPDKYNYWRYAFPSHGVTVSFYWTPFLVRAEGKSVDDGLRHNYVHIDEPHGRWAADAGTIDVAVLAAGHWFLNGAVYYNGSGEVVGVHNAPPEFANHTLVGYAWPLRAAFRSAIERLLTSGRPRPRTLVLATFSPSHFEGKPAENPTSWVCTKTEPYREGEKEMAWVVKDTRGIVYDVVDAARARYGDVGSGGGAVRIEVLDVTKLASMRPDGHPGVYMNRDPFANGGVDEQKLVSDCLHFCLPGPVDTFNEILVQLLKRGR >ORUFI07G09320.1 pep chromosome:OR_W1943:7:7996536:7996995:-1 gene:ORUFI07G09320 transcript:ORUFI07G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDEYDALHAAANARGGTVGCPCALPSYGFCHGRGFSDFRGVRLTCYQHILGYGSSTAGIDPPRRLILDRWETSSSSCADRVVESHSANPSPSRQYMAVNDDDVLQIDAITNGGGRSLDGGSALSHVCREIKAPGSHHWW >ORUFI07G09330.1 pep chromosome:OR_W1943:7:8014969:8019370:1 gene:ORUFI07G09330 transcript:ORUFI07G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQPSQRPRTRARRGQRRPRPPRPPPPPPLDSGGSAWIGTCVDSSSRWSIFCSKEMSASENQAAEASKGDDEKMDPPKMKIRIRLPPRKRLSNGLLKADMDGPDDRNNAQSSGVPVQTKSTIPSKQLTIPAAQCYASSISTSILWNEGNNNASSQTLPNEPNCDTSSDKLPEEASDSIPSKKLIIKAAQHYTSSISTGGLCNEANNNTSSKTLTNESNCDTSSDKLPEETIDNISSKVLTITAAQHQIDSISTGELCNEANNNTSRKILTITAAQHQIDSISTGELCNEANNNTSSKILPNEPNCDISSDKQPEEANDTIPSKNLMITAGVCMDDVSNYSAKEGLCEDANDTIPSTNLMITAGVWGHDVSYYSAKEGLCEEANDIIPRKNLTIVDGVWEDDVSNYSTKEGLCEEANDTIPSKNLIITAGVWGDNVSNYSAKEGLCEETNDIIPRKNLTITAGVWGDDVSNYSAKEGLCEEANGNIPSNAHPATIKELPAKPLESTPSKNLTTIAMLGEEENNNPLRLFHETDINIPSKVVLPKKSKNNQRRNLVTTAVKCEEANNDPLSRRFSEDANRNIPTRNLSDKTKNNAQSNRPTNPDRKNNPQKKLSTTAVHAAPARKNTSEIKMANSEMKPSTSFGQAAEQGINLANLKAIKQYQEFEEKVKRTVYLDYFSHQATESVIRTALNQFGTVREINFVVNYTIPFSIPQSALVIMETEKDAVAVVNMLNEFPFMMSGMPRPVRATRATAEMFNDRPRRPGNKLEFRWVGPSDADYHIVKKLKLMSRRHELDNLALVKHELEEEHFLAKHQEEILNCNQRKLEVMDSIMLTGKFTHLQHIYSVKVDEVFCNKWLV >ORUFI07G09340.1 pep chromosome:OR_W1943:7:8019994:8023012:-1 gene:ORUFI07G09340 transcript:ORUFI07G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAASPGGGLRRGTAGEAPRSEKRPRESQGLESESGSDGGSGSDSDGDFVRNTFLGYELLGEFCFLIEVLPGGLDCSDLREIVCLLRLIKGGADREGQKMCEQIIASVAADIQTMLEDTKLKFEKQRQNLLKVLSNTSKEQCDSSLSKEFIKFQESYEIFCKEKDVHVQAFRDLFSKVEVEKKALLEQFENHRKEETATLSELDNTFSEKITRAEQSLRKTEVDNTFSAKITHAEQYLRRTQFNNMFSGKKTHEEQSLRRKEFDKTFSEKVTHAEQPLRRMKQDDKSFIILRKSVGSFLEFGSDDDFDLDDD >ORUFI07G09350.1 pep chromosome:OR_W1943:7:8036479:8038625:1 gene:ORUFI07G09350 transcript:ORUFI07G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYQAVLDPDNQKPSSKNPGYFLSKHTCAWLASGFVLLALLHLLCCAPAGTRPAAAFSPLLQYINNTYSFVSTVPGVGKSCNYSDGKWVWAPGHVLRYNATRCNVKATHDCIRNGRPDTGYLDWRWQPAAAGCTLPAFDAGSFLAAVRGKHVAFIGDSMARNQAQSLICLLAAAFPYRLQYRATGDPGKYNLWRYAFPSHAVTVSYYWAPFLVRAEGKSVDDSVPHNYVHLDEPGERWSADAATIDVAVLAAGHWLMNGAIYYNGSEVFGVHNAPEEFANRTKVGYAWPLRLAYRTAMERLVGASRGTPRDMVLVTFSPSHFEGRPVQSPTACTRMEPYREGEKELEWVFREIRDVVYDVAAEARRGGGGGETTVRIEVLDVTKLASMRPDGHPGVYMNRDPFANGVDENMFSDCLHFCLPGPVDTFNEILVQLLKKRR >ORUFI07G09360.1 pep chromosome:OR_W1943:7:8052600:8052902:-1 gene:ORUFI07G09360 transcript:ORUFI07G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMRVTATHGQETDGGGDDTTTCVPERAAAAGNGDAEACSNTAEIDGASAAQIDRVAEVRGLIDHDSRSNFADDAMKDQATAHHQFSSVQMMRILKIA >ORUFI07G09370.1 pep chromosome:OR_W1943:7:8065847:8072635:-1 gene:ORUFI07G09370 transcript:ORUFI07G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERESSERGSISWRASAAHDQDAKKLDADDQLLMKEPAWKRFLAHVGPGFMVSLAYLDPGNLETDLQAGANHRYELLWVILIGLIFALIIQSLAANLGVVTGRHLAEICKSEYPKFVKIFLWLLAELAVIAADIPEVIGTAFAFNILFHIPVWVGVLITGTSTLLLLGLQKYGVRKLEFLISMLVFVMAACFFGELSIVKPPAKEVMKGLFIPRLNGDGATADAIALLGALVMPHNLFLHSALVLSRKTPASVRGIKDGCRFFLYESGFALFVALLINIAVVSVSGTACSSANLSQEDADKCANLSLDTSSFLLKNVLGKSSAIVYGVALLASGQSSTITGTYAGQYIMQWLRNLIVSIIGGSGGAGRLIIIASMILSFELPFALIPLLKFSSSKSKMGPHKNSIYIIVFSWFLGLLIIGINMYFLSTSFVGWLIHNDLPKYANVLVGAAVFPFMLVYIVAVVYLTIRKDSVVTFVADSSLAAVVDAEKADAGDLAVDDDEPLPYRDDLADIPLPR >ORUFI07G09370.2 pep chromosome:OR_W1943:7:8065847:8072635:-1 gene:ORUFI07G09370 transcript:ORUFI07G09370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERESSERGSISWRASAAHDQDAKKLDADDQLLMKEPAWKRFLAHVGPGFMVSLAYLDPGNLETDLQAGANHRYELLWVILIGLIFALIIQSLAANLGVVTGRHLAEICKSEYPKFVKIFLWLLAELAVIAADIPEVIGTAFAFNILFHIPVWVGVLITGTSTLLLLGLQKYGVRKLEFLISMLVFVMAACFFGELSIVKPPAKEVMKGLFIPRLNGDGATADAIALLGALVMPHNLFLHSALVLSRKTPASVRGIKDGCRFFLYESGFALFVALLINIAVVSVSGTACSSANLSQEDADKCANLSLDTSSFLLKNVLGKSSAIVYGVALLASGQSSTITGTYAGQYIMQMILSFELPFALIPLLKFSSSKSKMGPHKNSIYIIVFSWFLGLLIIGINMYFLSTSFVGWLIHNDLPKYANVLVGAAVFPFMLVYIVAVVYLTIRKDSVVTFVADSSLAAVVDAEKADAGDLAVDDDEPLPYRDDLADIPLPR >ORUFI07G09380.1 pep chromosome:OR_W1943:7:8092146:8092645:-1 gene:ORUFI07G09380 transcript:ORUFI07G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVLCKRLTPLFLAAHASSSSVTCAGAAAAARTGMALMKNNPMKPPFSDSINGAKRPFSSTSTKNTDPL >ORUFI07G09390.1 pep chromosome:OR_W1943:7:8119821:8122749:1 gene:ORUFI07G09390 transcript:ORUFI07G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLIPPPLADSDGASPPPHWVLIDIWCYIGDLPNATTAESTTSTGLPIKVTFRTARPPLLSHLCVHCPGLDFLRATPKVIASHADLLLLVVPFDPLTALSSGTWDYFVYRAADPPLLHLIPPPPRSMRFNDSEVAIVSHGDGEYAVAALAFAGTFLSVNKDFHLHLYHGGKQQQGEWVSKLLTLEDQLRDKLVPLPKAAAEYRFYQETRKTIVIGGERGTVGWVDLWRGIIFCDVLDDHPVLRDMPLPLPASGNWDRLLKQTDPNYIRDVTVSLCRDSIKYIELEIVGTGETHTTVQPTESYQEWVRRKPRYTSSVVLRRGWKATI >ORUFI07G09400.1 pep chromosome:OR_W1943:7:8122624:8138584:-1 gene:ORUFI07G09400 transcript:ORUFI07G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAIYFGHQNLKAKQRFLTILVSAFIESMSGDFPELLHNEKKIKDIIAEEEITFATDKKKFKKKDSKRRKKEKNNTEQNVVVTRPPKVSYKTRTIDFFGRPTHIIHQHENGPCGNVLLLRSEIGLFLNKTEVMEDDLLSRIISRLKRCRKMQFELHEGFQYLEFQHKVLSAAKNLWREVCINVTFKSTDGFVFSPEYAHFDYLEIPVFHGWLVDQDSELASAIATSSYDELNLEVGEYISQKEAMGIKGRVEQISDFLQGPQLTAYGLSCLHKDLEEKKPCVLFWNNHWSTVIKVNGGGSFVDSSFTPIKYAGEGASFCSDQARQIQKCLMPVFAMSHKVTKNLLIPNGGPRDLVERMNGIILEEMLLLERKIRHEDLSAVEVVTEEQLPPAEITDVHSSSSTPEVARSDQLKDAAASQTPKCSIQAVPYSTPISSPQPLGRQIIAEEERAQLLFGSFGCCDLKYWPSYPTVVCNSDVMAKSVPTVSNKRGFSSFVDKPLYISKASSEHRAQQSAFTNRFLEFLRGFRLGNTEEPYYKGTAASMVFLDLPMMDLKFDHIKIFDNELALMICHDFERSRLDLNYAAKSFIMDFRSQLEGMFMKKFESFDNIIVRIDGLPKIDRLMSLEAFVKLPGNHFVEPRTLFATGSSLTVASGTRVGRIIATGALQEILKAHASRNSWNGSFKRKNILVRNGCYSEISMPFHAEFSRDSMLNDYIAYFDEVISLFELKGVGCPAFFPWMRKSLLRFMPAPSPSFCDEFRHFQCFAMAQFALKRPVVRIGFLSNLYRLRRCANRQVRKTLLAILRSLSLRSDWRVIVLLHSHPILVKVYLFNKKMDKEGNGGGKTKEGNGENSKDQKGGEKTNDADYKMAKLTKYDNSANHLVIYTRHVIEHGMDPAQLESDDEVLEDEMYQLDDESIEMLSELDLLYSHYLELNDMLEELFYSGMMTKELWQVFEEILDVHNMVEPLYKDDAYKGGRNKAVVRCC >ORUFI07G09410.1 pep chromosome:OR_W1943:7:8146509:8152944:1 gene:ORUFI07G09410 transcript:ORUFI07G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVARERAWPPTSPPPGRRSAAAPLSLSSPFSRPSVKREEEDDGRKKKEKKKKRIPDRIYFILDGNLWYFEDWIIRLPISDLFALEQGNLYAPSLSLSMLLHGKKERRKMTAGRRRKKRKRKRKRKTDRVYYILDGNLSVGMNLRGRPANLSLVMREGGRKLPSSGTELRVMASTKVVWREASVCGEMRQESGES >ORUFI07G09420.1 pep chromosome:OR_W1943:7:8164135:8169018:1 gene:ORUFI07G09420 transcript:ORUFI07G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKAEAVAGDGGKVVDDIEALADLRKEPAWKRFLSHIGPGFMVCLAYLDPGNMETDLQAGANHKYELLWVILIGLIFALIIQSLSANLGVVTGRHLAELCKTEYPVWVKTCLWLLAELAVIASDIPEVIGTGFAFNLLFHIPVWTGVLIAGSSTLLLLGLQRYGVRKLEVVVALLVFVMAGCFFVEMSIVKPPVNEVLQGLFIPRLSGPGATGDSIALLGALVMPHNLFLHSALVLSRNTPASAKGMKDVCRFFLFESGIALFVALLVNIAIISVSGTVCNATNLSPEDAVKCSDLTLDSSSFLLRNVLGKSSATVYGVALLASGQSSTITGTYAGQYVMQGFLDIKMKQWLRNLMTRSIAIVPSLIVSIIGGSSGAGRLIVIASMILSFELPFALIPLLKFSSSSNKMGENKNSIYIVGFSWVLGFVIIGINIYFLSTKLVGWILHNALPTFANVLIGIVLFPLMLLYVVAVIYLTFRKDTVKFVSRRELQAGDDTEKAQVATCVADEHSKEPPV >ORUFI07G09430.1 pep chromosome:OR_W1943:7:8186842:8190576:1 gene:ORUFI07G09430 transcript:ORUFI07G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCQSCKEEYEEEDAGTCKECYEEASETEEELKREIDDLRSRLHFLRLPSPSLDASSLSHSDLLLHAIPADAPARPDTPAVPAHRVILASRSPVFRAMLENEMEESRSGIIKIYDVSYDVLRAFVHYMYTAEALLDEQMASDLLVLAEKYEVKNLKAYCEKFLTSKVSNDNAITHYAFAHRHSAKQLLETSLAAIMDNMSTLADREEYKELVEKDPRLVVEIYEAYLNRQVNTAAGKETDSSSRKG >ORUFI07G09440.1 pep chromosome:OR_W1943:7:8191745:8194892:-1 gene:ORUFI07G09440 transcript:ORUFI07G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPASAAAAAAAGEEEEEDAAWADALDFDDSGFLRRGPASSPSRLRPPRPDDPAPAASLSQGAARGLRSPPHLASSGPAARGHATDPDFSLAPWLHALGSLGEGGVEAVTGSDIPCLVLRFRLLIVAWVVGVVTSCAPNRLGDLFLSLKDPSGTVGASVHQKVFTKEDNMVVSVGSCIVLKNVAVFRPSHKGCYLNVTKENLEMLVPKDFCFPSKQVFSSSPSESQHPVKCQETRDSSCQGDNRIRKTGVETYGQTTGNAVRDSTLRMDNGSTQGVGNHLDTRMKEKDINPSNKNTPSYSADQQFQKTSCSSASSDEKLSRPLEGERVHPNSKKQRGDAVLPDNVMSSTNIETYGLANNLNIGLDDVAHLVEHASIKKPNEHQQKDFITGTLGIVLPTQENSSVSNSDATTLSASLHSQPNKMASVTEWTDDQLSELFADY >ORUFI07G09450.1 pep chromosome:OR_W1943:7:8201360:8201605:-1 gene:ORUFI07G09450 transcript:ORUFI07G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKVRMSCRLGTMVALVLVGVVLAAILQEATVDAASSSSDSPAAKSGTGYLDYGNLKAKLPPPGVAVTKRPCIAKEKCRG >ORUFI07G09460.1 pep chromosome:OR_W1943:7:8202900:8205842:-1 gene:ORUFI07G09460 transcript:ORUFI07G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTARQFLASAAELGSGRRRCGGGGACDMREDGGVEALMQCQRVSDLLIAASFLSIPLELFYFATCADLSEVKCAVLHFCAFIVLCGATHLLAAFTHAHPHSAPLLRALTAAKVLAAVASSAAAVSLLTFIPKLLRIKVRESLLRDKASRLHRDLGLVRRREEATSRAVRELTGRIRASPPDAHAILRTTALQLADALGLHACAVWMPAAGRPHDLVLVHHLTSRPDDAADLLLEVGDACTVAADDPDVVDVMASKVAKVLGPDSALAMASSVGAAPAGAVAAIRIPILRVSIYDGGGTPEVTEASYAILVVADQAAVALSHAAVLEESRSMRDRFAEQHRALMQAKHRAAMATRAFSSIQSAMCHAMRRPVHSVVGLVSMLQHPEADTMRPEQRLAVDAIARTSNLLSALMDEVTVNRQHLSVQRKPFSLHALIKEAISVAGCLSHCGGAGFLHQPECALPEWVVGDERRVFHLLLDMVGTLLNRCNTESGACRLSFSVRICNVGEERYSLDWIPMRPTFSGCNVCVKFKVGIGRSRSCAIERSLPCELPRRSAATTSSQMGHIFSGYFNKIVQMMNGNMWSASDSEGVGESVTLILQFKLQQGHVEASPPYIPHLNGLRVLLADDDAMNRGVTKKILERLGCQVMSAPSGAHCLSLLASAEASFQLVVLDLDDRAVPSAAMDRFEVALRIRELRNSCWLLIVIAVAAGVVATDDGGAVQELCQRAGINGLVQKPVTLPALGAQLCRVLQDN >ORUFI07G09470.1 pep chromosome:OR_W1943:7:8210928:8216135:1 gene:ORUFI07G09470 transcript:ORUFI07G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSLTADSVLEDTIKFITDAVRSSFNPGPGLQVDDGLTDAVTGNAADRAANAARTALALVLLGLFQSAPATDDVVSSAVPLSTPLTAAPPTTVVLASASAPPPE >ORUFI07G09480.1 pep chromosome:OR_W1943:7:8219673:8225324:1 gene:ORUFI07G09480 transcript:ORUFI07G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAALLRLLRSGASAGVRGRPACAAVHPLAVKSGSGSDARVATALADAYAKSGLVDRARRVFDETPLRDQVLWNVMVSCYSSHGLVRECWDVFGSMRRSGFPGDGFTFSALLSVRASSSSSCYDHANLLLVLGSSVHGIVIRLGLHLDVVVATALLDMYAKCGQVAEASRVFDAMVLRNTVSWNAIIVCYGKHDRGKEAFDLFVSMMRHGFCPDELTLASLLSSCADMAAANEATQLHAYTVRRGLQDFLQVGNALIMAYGKNGFVQEAKRTFGMIHNPDLVTWSSMVSSFAYLGLAKSAIDLFDRMLQQGIRADGIAFLGVLSACSHAGLIEDGFKYFLLMTRDYKIDPTPQHLACLVDLLGRAGRIRDAYEFLVNMSCDANVDVIGAFLGACRMRGNIESAKWAASRLFSLKPDDPINYLLISNTYAAAGDWNELAKVRSVMRNMCGNKVPGCSWIEIGGIVQTFVSNDMMLHQSREMQRMMELLVSLVEQDCNGQSKITDGRRHLQSFVIDTQVYI >ORUFI07G09490.1 pep chromosome:OR_W1943:7:8225273:8226903:-1 gene:ORUFI07G09490 transcript:ORUFI07G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRWLVQAVVALVAVLGRAGVLGREDMSARMIGTSLWLRMCHGQFCQLCRHFGTLLLLFGFIYIEKALMGPYIKYRLKKSIENTQLQMIWVNWIHGNANMPIQKNASAMRISATCYWNLEKLKPIDEFPDAS >ORUFI07G09500.1 pep chromosome:OR_W1943:7:8229154:8231846:-1 gene:ORUFI07G09500 transcript:ORUFI07G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLSAALLRLLPCSRRRYAGGGRHEQTAFGGFYIIDEESGAGNESLIELQPALCAGMCGAGTSRRLNYSRTYQGFNREVHFTLESLENNPPPRSQELLYGFNSSALNRAFLVGF >ORUFI07G09510.1 pep chromosome:OR_W1943:7:8233430:8236468:-1 gene:ORUFI07G09510 transcript:ORUFI07G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAQRHHRGRRRGAAGSAARTPRGWCCSFAGVPQSPDLRPFPPSLAPPATAASSSPAPGGGAGRNKLPPKSPSISSFHSSPTSSRLAGLGGLIDPRRILSPGRVSPIDLDDSAPPLPLPLPLPPPPVTPAAETVVVPAETSAAVAPLVVASAEADAAGDEALDLRLFLRGRDGSTCVVMELDSGVLCDSSAFFAAMAPPRGPAGDGGGSGRRIEVDGVDNVEAFRAAVELMYQPDPLRWLAAAGVSRSIDVLEVSSSIMFERGVKLCLSYIEAVPWNENEEEKLKNLFARCTFDEAISQDVLARLRPHSWSSSEDLTVHLIQSVTSSTNSGARKDMQSLVNGLLSKSSVYQKDMAGLNRESLYNICYACLNSLVDLYDEATEATNHTAQALVIKGSKPFIERISQQTENLNWLLDILVNIDMAEEFVELWAKQDRLIRIHEQASPMMRYELSRISASVFIALGKGKVQCRGELRSLLFYGWFSPMLLDFGWLQRCSKGLDVRSLEENLGQALLTLPLKQQQCLFEEWFQCFASKGSECPNLTRAFQVWWRRSFVRSSVEVNLVTSK >ORUFI07G09520.1 pep chromosome:OR_W1943:7:8258189:8264121:-1 gene:ORUFI07G09520 transcript:ORUFI07G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALLRILRTLGSTAHLTQAHARLLAAGLAASPRLLPALVAAAFSAHSPRYAAAALRAAGPAASTVSHNTLVERLAGARPRGRRPAPAPAPADALAAYAAMRAQGVPPNGFTFTFLLRACALLGLPRPCGCVHGQIVRCGFGSDVFVQNALMDVYHRCGGGGGGGVGAARQVFDEMVDRDVVSWNSIVGVYMSSGDATGAMGFFEAMPERNVVSWNTVVAGFARMGDMVTARAVFDRMPSRNAVSWNLMISGYAMSGDVEAARSVFDRMDQKDVVSWTAMVSAYAKIGDLDTVNELFDHMPVKNLVSWNAMITGYNHNSRYDEALRTFQLMMLEGRFRPDEATLVSVVSACAQLGSVEYCNWISSFIGKSNIHLTVALGNALIDMFAKCGDVGRAESIFYKMETRCIITWTTMISGFAFNGLCRDALLVYNNMCREGVQLDDTVFIAALAACAHGGLLQEGWSIFNEMVERYNIQPRMEHYGCMVDLLGRAGRWDGVIDARTSMRNWGIEKVPGSSSIQVGSEVHEFLAKDTRHKRRKEIYETVDGLMALMRHTEQAHWDLFVARYWGYSMLDRATTVNMGKGDLPIVLQLYQEDHRSFDHQAAVRGLKLISPSNSCQRWEQGQPLDKLVVTTHKSHHHPGVYRNQRSPHKALIRSILAYWDTRNTWNHFHLVHSETVSYSATQSQAKNGRFFHCPALSPLLPKAGAGGMKLGDCCLEGPRIRWWLNYPGVHSEI >ORUFI07G09520.2 pep chromosome:OR_W1943:7:8258189:8264121:-1 gene:ORUFI07G09520 transcript:ORUFI07G09520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALLRILRTLGSTAHLTQAHARLLAAGLAASPRLLPALVAAAFSAHSPRYAAAALRAAGPAASTVSHNTLVERLAGARPRGRRPAPAPAPADALAAYAAMRAQGVPPNGFTFTFLLRACALLGLPRPCGCVHGQIVRCGFGSDVFVQNALMDVYHRCGGGGGGGVGAARQVFDEMVDRDVVSWNSIVGVYMSSGDATGAMGFFEAMPERNVVSWNTVVAGFARMGDMVTARAVFDRMPSRNAVSWNLMISGYAMSGDVEAARSVFDRMDQKDVVSWTAMVSAYAKIGDLDTVNELFDHMPVKNLVSWNAMITGYNHNSRYDEALRTFQLMMLEGRFRPDEATLVSVVSACAQLGSVEYCNWISSFIGKSNIHLTVALGNALIDMFAKCGDVGRAESIFYKMETRCIITWTTMISGFAFNGLCRDALLVYNNMCREGVQLDDTVFIAALAACAHGGLLQEGWSIFNEMVERYNIQPRMEHYGCMVDLLGRAELIEYVSKKITELEPFNSSYQVLVSNCSALEGRWDGVIDARTSMRNWGIEKVPGSSSIQVGSEVHEFLAKDTRHKRRKEIYETVDGLMALMRHTEQAHWDLFVARYWGYSMLDRATTVNMGKGDLPIVLQLYQEDHRSFDHQAAVRGLKLISPSNSCQRWEQGQPLDKLVVTTHKSHHHPGVYRNQRSPHKALIRSILAYWDTRNTWNHFHLVHSETVSYSATQSQAKNGRFFHCPALSPLLPKAGAGGMKLGDCCLEGPRIRWWLNYPGVHSEI >ORUFI07G09530.1 pep chromosome:OR_W1943:7:8285310:8289028:1 gene:ORUFI07G09530 transcript:ORUFI07G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATAAAGAQPFVRSSSSAAAASSSRPLLAVAAARHRRPHGSLAAAAAAARRRRRRPLLQVRAARTESTGVSVGFRAPQFELPEPLTGKLWTLDDFEGNPALLVMFVCNHCPFVKHLKKDIAKLTSFYMEKGLAAVAISSNSIVTHPQDGPDYIAEEAKLYKYSFPYLYDESQEVAKAFRAVCTPEFYLFKKDGRRPFELFYHGQFDDSRPSNNVPVTGRDLSRAIDCALSGQELPFVPKPRLNMGIELRPCGADS >ORUFI07G09530.2 pep chromosome:OR_W1943:7:8285310:8289552:1 gene:ORUFI07G09530 transcript:ORUFI07G09530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATAAAGAQPFVRSSSSAAAASSSRPLLAVAAARHRRPHGSLAAAAAAARRRRRRPLLQVRAARTESTGVSVGFRAPQFELPEPLTGKLWTLDDFEGNPALLVMFVCNHCPFVKHLKKDIAKLTSFYMEKGLAAVAISSNSIVTHPQDGPDYIAEEAKLYKYSFPYLYDESQEVAKAFRAVCTPEFYLFKKDGRRPFELFYHGQFDDSRPSNNVPVTGRDLSRAIDCALSGQELPFVPKPREIDAVEALWS >ORUFI07G09540.1 pep chromosome:OR_W1943:7:8292385:8300979:-1 gene:ORUFI07G09540 transcript:ORUFI07G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSMGYERKNIARIWRYNSLLPSLCSSPEEAKYIIVEAKCLPNMDLMTERMRKCFTGYGACSTECGKSDPHTDVRKIITSDPYVSVCLSGATVAQTRVIANSENPKWDEHFYVQVAHSVSRVEFHVKDNDVFGAELIGVASVPVENITPGDTVSGWFPISGQYSNPMKASPELHLSIQYKPIEQNPLYKDGVGSDGCQSIGVPNAYFPLRKGGMVTLYQDAHIPDDFCPKIEIDGGRVYEQNKCWEDICHAIAEAHHLIYIIGWSLYHPVKLVRESTKPVPNGSPPTLGGLLKTKVQEGVRVIVLLWDDKTSHDKFLLKTDGLMHTHDEEARKFFRHSGVHCVLAPRYASNKLSIFKQQVVGTLFTHHQKCVIVDTQVIGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFKDDFHNPTFQVNKSGPRQPWHDLHCKIEGPAAYDILTNFEQRWRKSAKWKVSVRRAVSWHHDTLVKINRMSWIVSPSADELNARVCEQDDPENWHVQIFRSIDSGSVKGFPKLVQEAESQNLVCAKNLQIDKSIHNAYVKAIRSAQHYIYIENQYFIGSSYYWSSNRSAGAENLIPIELAIKIARKIKARERFAAYIVIPMWPEGNPTTAAMQEILFWQGQTMSMMYKIVAEALQKEGLDDTHPQDYLNFYCLGKREVSNDVSTTSQSNENSPQVYGYRMSLWAEHLGTVEECFRWPHSVECVRQVNEMAEENWARYVSPEMVNMRGHLMRYPINVERDGRVGPVHGYECFPDVGGKVLGTHSSLPNALTT >ORUFI07G09550.1 pep chromosome:OR_W1943:7:8330854:8333623:-1 gene:ORUFI07G09550 transcript:ORUFI07G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMANEESPNYQVKKGGRIPPRKDQIHPQIAPLSSIDHNMISQWPPYLSLIPNLPCLLLLLLLQPEKDVACAAPTVAAVAPAIATMMMDSPSSSRRVRARGSAPRRHRCTSSSSLATTAAATTARAWPGCSMTTRRRRPLLPPPGCIIGRRPTAGRLFRRNTGAGGLTFDVSLGERPDLDAGLGLGGGGGRHAEAAASATIMSYCGSTFTDAASSMPKEMVAAMADDGESLNPNTVVGAMVERKAKLMRYKEKRKKRCYEKQIRYASRKAYAEMRPRVRGRFAKEPDQEAVAPPSTYVDPSRLELGQWFR >ORUFI07G09560.1 pep chromosome:OR_W1943:7:8366475:8366842:-1 gene:ORUFI07G09560 transcript:ORUFI07G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRACESQNHSIPKSWSEDEPTATVSAHRETQATRDVEDGGEVGGMGPQGFRILEAPISIRLDLAA >ORUFI07G09570.1 pep chromosome:OR_W1943:7:8392652:8396958:1 gene:ORUFI07G09570 transcript:ORUFI07G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDAGCDTASLSKSMERPPPWSRQAHHQGCRSNVAMAPSCAVALTATGSCSTKKASAPDWVEDWRKKCTFAKSCYDVLGISWDTSLSLDNMMPMYITYQMGRYIGTLEIVWITWSRSRTPSRTTSILPHPPFVLSIRPLLGIIVKIDQEHYTPTSASLPASGDSGGNPRRSRQSKWPPKPATCEVGGGLHRSGVADSKVEDGRRDAGVEVGMQEKVVQAWSGRRWRGTGGRVQRWGTGGDNGGDGEPPTRQTRPDPRWTSLYLEPSGGGDRRRGSDVMRWDCSDGGNVEAVAAAVGAKSGTLWPDPVVGDSDRLV >ORUFI07G09580.1 pep chromosome:OR_W1943:7:8399403:8404735:-1 gene:ORUFI07G09580 transcript:ORUFI07G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKGGGRVPVPPTGAGTLVKLVVLGGTAVYAAVNSLYNVEGGHRAIVFNRIQGIKDKVYPEGTHFMIPWFERPIIYDVRARPNLVESTSGSRDLQMVKIGLRVLTRPMPEKLPTIYRTLGENFNERVLPSIIHETLKAVVAQYNASQLITQRETVSREIRKILTERARNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAELIGQAIANNPAFLALRQIEAAREISHTMSSSANKVFLDSNDLLLNLQQLTVANKSKK >ORUFI07G09590.1 pep chromosome:OR_W1943:7:8426127:8426636:1 gene:ORUFI07G09590 transcript:ORUFI07G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNTTNQQQQHHHDEHHVPMMCRGHPPVPLPLSQWRGRCRTVLWQAHTSDTSLRHFPVQWALEVVIVAVVHTERAVVDWWQRSTHWRGPWPPPLPSRPRAPPSTEQAACASGSATAAEQAARAPGTATEQAAAAVEQTTASEQATAVRAAAAEQATTAEQAAATAAAE >ORUFI07G09600.1 pep chromosome:OR_W1943:7:8428745:8429527:1 gene:ORUFI07G09600 transcript:ORUFI07G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAFFLLRLISLLHLALALCSGSGDFQFAYHGFTGTNLTLDGNATVMPDGILVLTSRKTNLKGHAFFPAPLQFRTSPDGTARSFSAAFVFAIISDYTDFCAHGMAFIVSPTKNFTTALPVGYLALLNVQNNGNTTNHLFAVELDTVQNTDFQDVNANHVGINVNNLHSLQSSPTSYYDDGNNGVFKNLTLFSREAMQVWVDYDGNTGQIDVALAPIKVAKPRKPLVSAKYDLSTVLTEWAYIGFSSVTSEINYRHYLLS >ORUFI07G09610.1 pep chromosome:OR_W1943:7:8429537:8430765:1 gene:ORUFI07G09610 transcript:ORUFI07G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPAPPINIANLPKLPCFGPKPPSKVLVIVLPIATATVMLSLGTIATLLVLRHLRYAQLLEDWELEFGPHRFSYKDLYHATNGFKSKHLLGTGLYGQVYKGVFRKSRLEVAVKKVSHESRQGMKEFISEFVTIGRLRNRNLVQLHGYCRRKGELLLEDKPLRDWSQRFHIIRGVASGLLYIHEKWEKVVIHRDIKASNVLLDHQMNGCLGDFGLSRLYDHGTDPQTTHVVGTMGYLAPELIWTGKASKLTDVFTFSAFLLEITCGQRPVNDDSGRYNQEMLVDWVLDHFKKGSLNETVDLRLQGDCNTDEACRVLKLGLLCSHPSANLRPGMRQVMQYLDGDTPLPDLTSTNMSFSTMALMQNEGFDSYPMSYPSSAATIGTVSFLLGGR >ORUFI07G09620.1 pep chromosome:OR_W1943:7:8437033:8439575:-1 gene:ORUFI07G09620 transcript:ORUFI07G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGHEPRVTTITMKHLPFLVHLFFLLCLGLSLTTRCAGDVQFIYSGFTGANLTLDGVAAVTAGGMLELTNGTLQRKGHAFYPAPVPLRGAAGPNATTTTAEESFSPLPSCSASSFVVAASRDFSSALPSGYLGLLNVTSDGDTGNRLLAVELDTMQNDEFRDINDSHVGIDINSLQSLRSYSAGYYNDDDNNNGFRNLTLISGKAMQVWVDYDRETTRIDVTMAPLAVAKPKRPLVSARYNLSKLLKDVAYIGFSAATGGTLRSRHYVLGWSFGLGRPAPAIDITKLPKLPRTVSKDRSRILQITLPLSTAAFLLPVGAAVFMLVRRHRRYSELLEDWEIEFGPHRVLYKDLFHATEGFKNSCILGIGGFGRVYRGVLPTSKSEIAVKRVSHGSRQGMKQFITEIVSLGCLQHRNLACATTCGELLLVYDYMSNGSLDRYLHDEEGQCSLDWVKRIHIIKGVASGLLYLHEEWEKVVIHRDIKASNVLLDSEMNGRFGDFGLARLYDHGSDPKTTHVVGTIGYIAPELGRLEGNHDTDEAILVLKLGLLCAHPFSNARPSMRQIVQYLDGDMALPEQMPTDQISNQTEGLDQYIQTGPQSTIPVNASYGTMSNLSGGR >ORUFI07G09630.1 pep chromosome:OR_W1943:7:8475229:8478072:1 gene:ORUFI07G09630 transcript:ORUFI07G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVGGGGWPAGGSATPALRSNGSAVCIYKDMFFTYPINLYELNILLKILVDEVGLEEVPDVIQNYHLCIVKNRLIDSDIIAKASQMKVIMQYGVGIEGVDVNAATEHKIKVARINGSTTGNAVSCAEMAIYLTLGILRKQVLILGFGAIGVEIAKRIRPFGVKILATKRNWSAETLPCDIDELVDKKGGPEDMYEFTGEADIVITCLLLSNETGSYLVNIARGHILDYDAVFDHLKSGHLGGLGIDVAWTEPYDPEDPILKFSNVIITPHTAGVTEYSFRAAAKVCTNSSKCP >ORUFI07G09640.1 pep chromosome:OR_W1943:7:8492974:8493371:-1 gene:ORUFI07G09640 transcript:ORUFI07G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGDKRNCNPQSSHTTYLQAISVVTASKSSTVYVSVQGKSAADDLSYFKSTQTHAVSYMKNKIPHIDVFLTEQDFKNNEI >ORUFI07G09650.1 pep chromosome:OR_W1943:7:8493908:8494587:-1 gene:ORUFI07G09650 transcript:ORUFI07G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAADGPIYQFGRAVYYWHAPCTSREGHGFATMDSSVQITHPTKQPYPPTAPTRWLELNYESSAIVFQFCACMSIASILLIDHRLQIYSPQDQGENSGYKFHYSGSNLRIFIAADKIVAPSDKLPSKEFD >ORUFI07G09660.1 pep chromosome:OR_W1943:7:8520478:8525245:1 gene:ORUFI07G09660 transcript:ORUFI07G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEGQRRLEFNDEAAYKGFPMVLGHIADGQCLMPCRSTDEAEKIRSPSPQRIRTLPPSAITHGLMSIIARLCLMAFPLPTIKVEKPSMCSIGLKIGGFEWEFVFWFNQRMEDNMIYPIKDQETMLAVPMDMNRQQDTVCSKGYIRQKKFEMFETT >ORUFI07G09660.2 pep chromosome:OR_W1943:7:8520478:8523981:1 gene:ORUFI07G09660 transcript:ORUFI07G09660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEGQRRLEFNDEAAYKGFPMVLGHIADGQCLMPCRSTDEAEKIRSPSPQRIRTLPPSAITHGLMSIIARLCLMAFPLPTIKVEKPSMCSIGLKIGGFEWEFVFWFNQRMEDNMIYPIKDQETMLAVPMDMNRQQDTVCSKGYIRQKKFE >ORUFI07G09660.3 pep chromosome:OR_W1943:7:8520478:8525245:1 gene:ORUFI07G09660 transcript:ORUFI07G09660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEGQRRLEFNDEAAYKGFPMVLGHIADGQCLMPCRSTDEAEKIRSPSPQRIRTLPPSAITHGLMSIIARLCLMAFPLPTIKRMEDNMIYPIKDQETMLAVPMDMNRQQDTVCSKMFETT >ORUFI07G09660.4 pep chromosome:OR_W1943:7:8520478:8522719:1 gene:ORUFI07G09660 transcript:ORUFI07G09660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEGQRRLEFNDEAAYKGFPMVLGHIADGQCLMPCRSTDEAEKIRSPSPQRIRTLPPSAITHGLMSIIARLCLMAFPLPTIKVEKPSMCSIGLKIGGFEWEFVFWFNQRMEDNMIYPIKDQETMLAVPMDMNRQQDTVCSK >ORUFI07G09670.1 pep chromosome:OR_W1943:7:8529120:8538918:1 gene:ORUFI07G09670 transcript:ORUFI07G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARLVSTTLARSSSSLAAAARRPDLLASSPRGFSSMADSIQRSGSGDITRVDEVGLEEVPDVIQNYHLCVVKNRRLDSDTIAKASQMKIIMQYGVGLEGVDVNAATEHKIKVARIPGSTTGNAVSCAEMAIYLTLGVLRKQKVMDTAVKRKDLGIPVGDTIFGKSVLILGFGAIGVEIAKRLRPFGVKILATKRNWSSDTLPCDIDELVDKKGGPEDMYEFAGEADIVTTCLLLTNETVGIVDHKFLSAMKKGSYLVNIARGRLLDYDAVFNHLKSGHLGGLGIDVAWTEPYDPEDPILKFSNVIITPHIAGVTEYSYRTMAKVVGDVALKLHSGEPITEVEFVN >ORUFI07G09670.2 pep chromosome:OR_W1943:7:8530009:8538918:1 gene:ORUFI07G09670 transcript:ORUFI07G09670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFDCRFFKHGRFNSKERLWRYYAGFILWTLLACFYQLHQGVDEVGLEEVPDVIQNYHLCVVKNRRLDSDTIAKASQMKIIMQYGVGLEGVDVNAATEHKIKVARIPGSTTGNAVSCAEMAIYLTLGVLRKQKVMDTAVKRKDLGIPVGDTIFGKSVLILGFGAIGVEIAKRLRPFGVKILATKRNWSSDTLPCDIDELVDKKGGPEDMYEFAGEADIVTTCLLLTNETVGIVDHKFLSAMKKGSYLVNIARGRLLDYDAVFNHLKSGHLGGLGIDVAWTEPYDPEDPILKFSNVIITPHIAGVTEYSYRTMAKVVGDVALKLHSGEPITEVEFVN >ORUFI07G09680.1 pep chromosome:OR_W1943:7:8563730:8565972:1 gene:ORUFI07G09680 transcript:ORUFI07G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAATMAVLLRPSTLLPSSQSTTTSRLRFPVATPLPRCRHGGAGKKSRLLVARRRGRRDRVACLPTKEEEEEEVGVAGDGGDEDGDEDGYLAREGEWGVRRMGRVGEEMRRVALVQAEAFHIPVALFNDFFFDFFKAEVLSALIYRVRNSPPDRYACLVAEEVDATSQISEAPFEKIVGVVDCTVQNEADILKNLQGVDEYLYVSGIAVLPSFRRRKVGTALLKACEALALQWRHRFMALRAYKDDDGARGLYSKAGYRVVAKDPGWVTWVGRRRRVLMIKELPIHEHHLEQQ >ORUFI07G09690.1 pep chromosome:OR_W1943:7:8567691:8572343:1 gene:ORUFI07G09690 transcript:ORUFI07G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPAMANEEATTSPQASVEDKANRVFLDFMTKVAQYDELVDAGKRALMMFHQELEHFRRPKLLTESGAISEIVKSNLSDRMRSYLEAGCTHHNETIQNMNKLHSCQEKLNDHISKAKLLLEELHILEEDDEQQSGDLLDKAVSCASVMVLVHNMLKLDYTMQEKIVKALCIKTTSSELEGYCQMWDLRPYIDDNVIQLAWQFVS >ORUFI07G09690.2 pep chromosome:OR_W1943:7:8567714:8572343:1 gene:ORUFI07G09690 transcript:ORUFI07G09690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPAMANEEATTSPQASVEDKANRVFLDFMTKVAQYDELVDAGKRALMMFHQELEHFRRPKLLTESGAISEIVKSNLSDRMRSYLEAGCTHHNETIQNMNKLHSCQEKLNDHISKAKLLLEELHILEEDDEQQSGDLLDKAVSCASVMVLVHNMLKLDYTMQEKIVKALCIKTTSSELEGYCQMWDLRPYIDDNVIQLAWQFVS >ORUFI07G09690.3 pep chromosome:OR_W1943:7:8567691:8572343:1 gene:ORUFI07G09690 transcript:ORUFI07G09690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEATTSPQASVEDKANRVFLDFMTKVAQYDELVDAGKRALMMFHQELEHFRRPKLLTESGAISEIVKSNLSDRMRSYLEAGCTHHNETIQNMNKLHSCQEKLNDHISKAKLLLEELHILEEDDEQQSGDLLDKAVSCASVMVLVHNMLKLDYTMQEKIVKALCIKTTSSELEGYCQMWDLRPYIDDNVIQLAWQFVS >ORUFI07G09690.4 pep chromosome:OR_W1943:7:8567708:8572343:1 gene:ORUFI07G09690 transcript:ORUFI07G09690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPAMANEEATTSPQASVEDKANRVFLDFMTKVAQYDELVDAGKRALMMFHQELEHFRRPKLLTESGAISEIVKSNLSDRMRSYLEAGCTHHNETIQNMNKLHSCQEKLNDHISKAKLLLEELHILEEDDEQQSGDLLDKAVSCASVMVLVHNMLKLDYTMQEKIVKALCIKTTSSELEGYCQMWDLRPYIDDNVIQLAWQFVS >ORUFI07G09690.5 pep chromosome:OR_W1943:7:8567714:8572343:1 gene:ORUFI07G09690 transcript:ORUFI07G09690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPAMANEEATTSPQASVEDKANRVFLDFMTKVAQYDELVDAGKRALMMFHQELEHFRRPKLLTESGAISEIVKSNLSDRMRSYLEAGCTHHNETIQNMNKLHSCQEKLNDHISKAIWGFAGQSSVLCKCDGPGSQYEKIVKALCIKTTSSELEGYCQMWDLRPYIDDNVIQLAWQFVS >ORUFI07G09690.6 pep chromosome:OR_W1943:7:8567691:8572343:1 gene:ORUFI07G09690 transcript:ORUFI07G09690.6 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEATTSPQASVEDKANRVFLDFMTKVAQYDELVDAGKRALMMFHQELEHFRRPKLLTESGAISEIVKSNLSDRMRSYLEAGCTHHNETIQNMNKLHSCQEKLNDHISKAIWGFAGQSSVLCKCDGPGSQYEKIVKALCIKTTSSELEGYCQMWDLRPYIDDNVIQLAWQFVS >ORUFI07G09700.1 pep chromosome:OR_W1943:7:8574206:8576142:1 gene:ORUFI07G09700 transcript:ORUFI07G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METASASASDPPPLRRRRQRQRRLVFDRRYGWIFDEWTDPADAALAGGRGMFCVLPMARSLVDVAVSSVTYAADSVSQALERCGTSSPIAYLPPLSLHRKQQTWFRELEHVGVIADTKLIPCRTMCSLGCISTDGH >ORUFI07G09710.1 pep chromosome:OR_W1943:7:8597749:8597988:-1 gene:ORUFI07G09710 transcript:ORUFI07G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERLEYHAHGIGDGGGGSGDSNHKGERIINGHSVGKREAQHATENVTCWRGSAWHRTARDKQIRVRVCDESGYHLIP >ORUFI07G09720.1 pep chromosome:OR_W1943:7:8633656:8646695:-1 gene:ORUFI07G09720 transcript:ORUFI07G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKIVVGISYAAKIPLKSVALALQGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKIIGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIELCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVRLFEPVKIERWAIVNFSARCDMSRISRDLINCGRTKGIIIERPFTLVDEDSQSRRCTPVVRVESMFEKVKANLPGPPEFLLCVLPERKNCDLYGPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENTPDNVPPGTVVDSGIVHPRQYDFYMYAHAGPIGTSRPTHYHVLLDEIGFLPDDVQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMFFC >ORUFI07G09720.2 pep chromosome:OR_W1943:7:8633656:8646695:-1 gene:ORUFI07G09720 transcript:ORUFI07G09720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKIVVGISYAAKIPLKSVALALQGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKIIGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIEVAGTNPLCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVRLFEPVKIERWAIVNFSARCDMSRISRDLINCGRTKGIIIERPFTLVDEDSQSRRCTPVVRVESMFEKVKANLPGPPEFLLCVLPERKNCDLYGPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENTPDNVPPGTVVDSGIVHPRQYDFYMYAHAGPIGTSRPTHYHVLLDEIGFLPDDVQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMFFC >ORUFI07G09720.3 pep chromosome:OR_W1943:7:8633656:8646695:-1 gene:ORUFI07G09720 transcript:ORUFI07G09720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKIVVGISYAAKIPLKSVALALQGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKIIGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIELCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVRLFEPVKIERWAIVNFSARCDMSRISRDLINWPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENTPDNVPPGTVVDSGIVHPRQYDFYMYAHAGPIGTSRPTHYHVLLDEIGFLPDDVQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMFFC >ORUFI07G09720.4 pep chromosome:OR_W1943:7:8633656:8646695:-1 gene:ORUFI07G09720 transcript:ORUFI07G09720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKIVVGISYAAKIPLKSVALALQGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKIIGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIEVAGTNPLCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVRLFEPVKIERWAIVNFSARCDMSRISRDLINWPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENTPDNVPPGTVVDSGIVHPRQYDFYMYAHAGPIGTSRPTHYHVLLDEIGFLPDDVQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMFFC >ORUFI07G09730.1 pep chromosome:OR_W1943:7:8697154:8699017:1 gene:ORUFI07G09730 transcript:ORUFI07G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHRLLSFDPTTMITLPLPTSPSLGFNLRPSYIFLTVLPALFPTVPAHARHALSAATARRLHARETAGGGDVRDGARGAVGRQAVLAHNGGHSEGGADAGTGIGVPQGHRERGTQGDVVWHSCTGPSPSPSRCSTICSPTPEHMPPLRARRRLACRPIRLGEEEKRREEEKRRDEKKEKRRRKKRKKKKSAEDRMLLRCGSHRIPCKQNQGKLDGFTGGPTPMPRVVAYFAKALALRVVRMWPHMFEISAPWELTDDAFNSDDDAMALRILNTITRAKTETSPGRAKAEEMFVRERMCNGMVAAAVGKTGPGVAAVRRMGAPSSCVSTADSVLASDALDTSSTGNLGNLSSHLNPLPPLWPINDFR >ORUFI07G09740.1 pep chromosome:OR_W1943:7:8750484:8757639:-1 gene:ORUFI07G09740 transcript:ORUFI07G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAETPALDTWWRSSASPSKEERRWKEDRRRQEGRRFGYKTTATYVDYTAGRLPLPLIPRTNATRSPATAAALAWIYPARRPIHHREPSSSPPTVGPHLHRQRAPQSLQGILLASSLATARRRSSRQRHPPPSASSALLRSRRRPQDLRRRNLGPSPPPPPPSSNAGAALLQHRRGPPPPLWSTKAMVLCASY >ORUFI07G09750.1 pep chromosome:OR_W1943:7:8758189:8758608:1 gene:ORUFI07G09750 transcript:ORUFI07G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVASHLNGNPDSLDDQLRFVAVAAEASVADGLAYYSTVTTRLLARANGDTISSDDNVWSFAQSTALPPPSAPVFPSHIATGASRTWAPAATAFDLRDGVVDGKAEWSDGGGGVHAWENAKWRHGETAPTGSGWKTR >ORUFI07G09760.1 pep chromosome:OR_W1943:7:8847706:8848317:1 gene:ORUFI07G09760 transcript:ORUFI07G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYMLYQLFVNPGMLLAGSRRNLFTDAYKQLKGIVKEKNLSLNERELAQRIIVEVQQPHEENEGELIDDAWSIAEELLNLEDEEKMWRVIEGVWVEMLCFSAARCKGYLHAKSLGTGGEFLSYVWLLLHYMGMETLAEKLARAELPNGARSGNSSTKHAGDSYGKEQAPGASTSHARGAYGDEDGAGPSSYHEIEVMGHENV >ORUFI07G09770.1 pep chromosome:OR_W1943:7:8851804:8858474:-1 gene:ORUFI07G09770 transcript:ORUFI07G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGYYSDVNGTPGTTVNFDPSEIFVDLSSPSFDYRLKKLKWFSALDADKTNGWLQKGLSHTFDLLYTKRKMYLPPEGRVQQMMQNVKRDWLKGLFRKSIVGSLLRMIALYLQFGAIGLFHHSHRQAYNDRDVKVTYALLCCTAALEFFGQLALDIVNTAERKSSSSIGRSKTGMDGNVSQHMDAMLYQHNLIGCFICNQRHYIRSFATDYLDQRWQMKSSSSSRCITSLVLQHSVLLWHLATDFCYYTSGSYGRERSIHHKSAVQCRELSNYMMYLLFNPVESADARRNFFTIAYNQLKACMQRIITWMQQERLVHRKIRNTLVHEPLRALRHRITALIEKALVARTTPNFVRIVYNKLEAFIQRIIASRQQPLEEITNEDTPPSDGTGLIDDAWSIAEELLKLDDEEKMWRVIEGVWVEMLCFSAARCRGYLHAKCLGTGGSS >ORUFI07G09780.1 pep chromosome:OR_W1943:7:8871324:8872398:1 gene:ORUFI07G09780 transcript:ORUFI07G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQSPPLLIFGRRRRQTKSGRCSNPCRSPPTDPCERLSQRRLETIPFFVADITVPAAGRHPARSGRGGTDLAVAAAATGATPLATGAGWREAPPPPSLRSLLAAAQAAARWRRKKEREGVAGLVASGAVPGVGRRKSVTVDAARSLQRWELHGCGSMEAVAMTTDPEAGRPSATPYGGSGWWRRANGVARRPVAGKASWWLVAWQEDGAAVSDGAMAGTSRAGATQEGGDNGQPKKENRWGPTAAGMEMMAGMEGGGEDGDGGPDPVAPEADQPPPPPGADLPAVVVALRSSRAHGDGGGSDWTAATTGGWPTVASAADYCGNDASG >ORUFI07G09790.1 pep chromosome:OR_W1943:7:8872419:8873009:-1 gene:ORUFI07G09790 transcript:ORUFI07G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGGGMNHCFRSGQGGAGFHPPLITCESTADAPMIQHRSTSADIPRHTHQQLLLASWSPPLLPTAPHRAPLAYSTTRRASGASPASRHQSRLSHQAGLHRHQTRLSHQSGLLPSAAPLCAKPASDGRSPTLAPIAVAPARCSHLPSPQLAVRDHHASPSSHGHHHRASTHRSHSSRCC >ORUFI07G09800.1 pep chromosome:OR_W1943:7:8875020:8877398:1 gene:ORUFI07G09800 transcript:ORUFI07G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMSCKRRKVSPASGAGAPVVLPEEMMIEVLQWLPVESVLRFRAVCRSWATALSSDQFRGFHTAKNKIKPLPPKLFFVAQTAGFGSTSVHTSSPLSRSVPGGDDHRDLLFSLDNVRGDFMAMTPTPCHGLTLLHDAMGLEYYVLNAATRSISRLPPCQTVPSGSAGLGFDARTGEYKVVRLFREIISGEPHTKCQIYTLGGKHGDSWRPASGGVPFKFRTAGTYSISASQQHKLLPVFVDGFLHWLTGSLFSFLRPHAAILSFSVTEETFRLVRSPPFQVSGVHLVNLSGNLCMVRDLRRMSSTLEIWKLNDLYSSDWSLEHRIDLSTEHVARDLMKPDFIRVIGSAGSSGMSGKKNVIIATSNRKAIAYDPTSETLETILEIKGTPLPYQTARSALGLISLFEDSLAPVCKTNEEIALSSPLAKVIKEALLRLPGDYAVQFKLVSKQWHRFIESWSFARGYDMYNNRDRRPKIRLVGMGTGGSSGFSFASIEKLLQESPSKDTWLDAKVVCSKPCHGMNLISTELEDYLYNPCTGYGYVRSTRGALVYIPNRIPSDRFRHDHAFTTGNKNVGLGFDPLMQEHVIVELFYQWRNFKTCRYNITCSLFTCKSRHTCDFLQPPLPVNDMPPAYLAGFLYWMSEPRLSQSKTSTILSFEIATKTFVVIQCPSCALTRHNRSPCESFVVELEGMLCVVLANPFEEELDIWKMEHGQWDRAYRVCLKGWPGYSLGANVVVPMAVDPKDGRILLNTGSKLGLYDPTKRVIENMYDLDEVLRVKQTDETLHVEDKEKS >ORUFI07G09810.1 pep chromosome:OR_W1943:7:8882525:8882746:1 gene:ORUFI07G09810 transcript:ORUFI07G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCHVISPLSLSFLLAGGRRGGRWGKIGEEEGGATPATTVAAVETTGEKEGSSGSERPGRQEKEAASSPVTK >ORUFI07G09820.1 pep chromosome:OR_W1943:7:8953662:8955956:1 gene:ORUFI07G09820 transcript:ORUFI07G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISNALQWWEEWQLRVLVLGSLAFQYFLFITASRHKFPIRSYLRSFIWFVYLGSDALAIYALATLFNRHKKQDVGHTHNNDVLEILWAPILLIHLGGQDSITAYNIEDNELWMRHVLTALSQITVAIYVFCKSWPGGDRRLLQSAILLFVPGILKCLEKPWALNRASINSLVSFDEKVRRTINRQGKQIDSIEDFVRSARGFFCGNDHLEKPSRSADFTPDELFVDLASPCTDNRVRKLMSFSALCGDEAYYLLQNNLSDTFDLLYTKEKMSLKTPPTEELETGLHHFVELYKQLNYTLFSSLSEFFGTVIRELAMFLPFTAIGLFHQSNRKSYNDKDVKVTYALLCCTAVIEFYNPFVKVFTSVTLNQRSSSVSKLSQRPRQRYLYQHQDDMVSQYNLLGYFVRNKKHSTIMNFVGFFGCKNYLDRRWRMKSCFSSRSITNVVLGHVKRWWKDHITDVFTYRMFNDIRGQWSLKVEGCFQGLGWSLEGAFDESVLLWHLATDLCFYHISPSHGREHATTMCIERSSGLNNRCPTWCEKSIHHKNAVQCREMSNYMTYLLFVNPEMLMPGTRRNLFTDAYNELKGVVKEKNPPLDERELAERIIAEVQQQLEEITGEDKSPSSKRGLIEDAWSIAEELLKLEDDEKMWRVIEGVWVEMLCFSAARCRGYLHAKGLGTGVEFLSYVWLLLHYMGMETLAEKLARGDLQNRGHSGNLRTFHVRESSGEEQVAGPSTSYANGDNGDQHVVAPFSEDGFTLAGDENV >ORUFI07G09830.1 pep chromosome:OR_W1943:7:8962779:8966605:1 gene:ORUFI07G09830 transcript:ORUFI07G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVIWHCIRHNHCSFMAKITTGIFCRNPYNATGICNRSSCPALFANSRYATIRDHDGIFYLYMKTAERAHLPNKLWEREFWPKLLVHKIKQRLTKMTQYRIRMRKLQLKVREKVMTMPRKETQRHLRRMDKAEKAAQLEKNIESELKERLKKGVYGDIYNYPFKEFDTILEMEKDDVAPTEEEEEEEVEYVEGDDEMDDMEDIEDFGGLPDDDEDDDNDGGTR >ORUFI07G09840.1 pep chromosome:OR_W1943:7:8967225:8970333:-1 gene:ORUFI07G09840 transcript:ORUFI07G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTGEGISDQIERFQTQLQMDGRGRKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVIVMTHAYGNRSGVRYVTGGLKVYYVPWKPFLMQNTLPTLFLTFPIVRTILIREKISVVHGHQAFSTLCHEALMHARTMGKENTVLRSGISPEKVFMVPNAVDTAMFTPSPDRLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRIEEMREKFSLQDRVEMLGAVPHAQVRSVLISEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMVVLAEPAPEDMVRAVRKAIDMLPGIDPQVMHLRMKKLYSWDDVAKRTEIVYDRAMRSPQTDLLERLPRCGAWAGKLFCLVMIINYLLWCLLEYLQPTEGIEEVPDIRPVHARLESVDDTCETQGKGI >ORUFI07G09840.2 pep chromosome:OR_W1943:7:8967225:8970333:-1 gene:ORUFI07G09840 transcript:ORUFI07G09840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTGEGISDQIERFQTQLQMDGRGRKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVIVMTHAYGNRSGVRYVTGGLKVYYVPWKPFLMQNTLPTLFLTFPIVRTILIREKISVFTLADIDQAICVSHTSKENTVLRSGISPEKVFMVPNAVDTAMFTPSPDRLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRIEEMREKFSLQDRVEMLGAVPHAQVRSVLISEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMVVLAEPAPEDMVRAVRKAIDMLPGIDPQVMHLRMKKLYSWDDVAKRTEIVYDRAMRSPQTDLLERLPRCGAWAGKLFCLVMIINYLLWCLLEYLQPTEGIEEVPDIRPVHARLESVDDTCETQGKGI >ORUFI07G09840.3 pep chromosome:OR_W1943:7:8967225:8970333:-1 gene:ORUFI07G09840 transcript:ORUFI07G09840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTGEGISDQIERFQTQLQMDGRGRKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVIVMTHAYGNRSGVRYVTGGLKVYYVPWKPFLMQNTLPTLFLTFPIVRTILIREKISVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLADIDQAICVSHTSKENTVLRSGISPEKVFMVPNAVDTAMFTPSPDRLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRIEEMREKFSLQDRVEMLGAVPHAQVRSVLISEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMVVLAEPAPEDMVRAVRKAIDMLPGIDPQVMHLRMKKLYSWDDVAKRTEIVYDRAMRSPQTDLLERLPRCGAWAGKLFCLVMIINYLLWCLLEYLQPTEGIEEVPDIRPVHARLESVDDTCETQGKGI >ORUFI07G09850.1 pep chromosome:OR_W1943:7:8973384:8978772:-1 gene:ORUFI07G09850 transcript:ORUFI07G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLHMDRNDYYGGDSTSLNLNQLWKRFKGEGTPPAQIGASRDYNVDMIPKFMMANGTLVRVLIHTGVTKYLSFKAVDGSYVFNKGKIHKVPSTDMEALKSPLMGLFEKRRAGKFFLFVQDYKENDPSTHKGYDLNKMTTKELISKYGLDDNTIDFIGHAVALHKEDNYLTEPAIDTLYAESVGRFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKIEFNDEGKVCGVTSEGETAKCKKVVCDPSYIPDKVRKVGKVFRAIAIMSHPIPNTADSHSVQIIIPQKQLGRKSDMQFIAFVSAQAESENPAAELKPGIDLLGPVDELFIDTYDRFEPTNDPSSDNCFISTSYDATTHFESTVMDVLSIYTKITGKTVDLSVDLSAASAAEDDL >ORUFI07G09850.2 pep chromosome:OR_W1943:7:8973384:8978772:-1 gene:ORUFI07G09850 transcript:ORUFI07G09850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLHMDRNDYYGGDSTSLNLNQLWKRFKGEGTPPAQIGASRDYNVDMIPKFMMANGTLVRVLIHTGVTKYLSFKAVDGSYVFNKGKIHKVPSTDMEALKSPLMGLFEKRRAGKFFLFVQDYKENDPSTHKGYDLNKMTTKELISKYGLDDNTIDFIGHAVALHKEDNYLTEPAIDTLYAESVGRFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKIEFNDEGKVCGVTSEGETAKCKKVVCDPSYIPDKFIAFVSAQAESENPAAELKPGIDLLGPVDELFIDTYDRFEPTNDPSSDNCFISTSYDATTHFESTVMDVLSIYTKITGKTVDLSVDLSAASAAEDDL >ORUFI07G09860.1 pep chromosome:OR_W1943:7:8983224:8983465:-1 gene:ORUFI07G09860 transcript:ORUFI07G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPECQGCNKGEKRGGASIRSSSPRKYGESGIVAKEELSLQQIIERITDEIWLWPAAGAKGIKCLIPNTQDAINSL >ORUFI07G09870.1 pep chromosome:OR_W1943:7:9005120:9007129:1 gene:ORUFI07G09870 transcript:ORUFI07G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTMGSALYPLGEMRRSQRADGLAAVLAIGTANPPNCVTQEEFPDFYFRVTNSDHLTALKDKFKRICQEMGVQRRYLHHTEEMLSAHPEFVDRDAPSLDARLDIASDAVPELAAEAAKKAIAEWGRPAADITHLVVTTNSGAHVPGVDFRLVPLLGLRPSVRRTMLHLNGCFAGCAALRLAKDLAENSRGARVLVVAAELTLMYFTGPDEGCFRTLLVQGLFGDGAAAVIVGADADDVERPLFEIVSAAQTIIPESDHALNMRFTERRLDGVLGRQVPGLIGDNVERCLLDMFGPLLGGDGGGGWNDLFWAVHPGSSTIMDQVDAALGLEPGKLAASRRVLSDYGNMSGATVIFALDELRRQRKEAAAAGEWPELGVMMAFGPGMTVDAMLLHATSHVN >ORUFI07G09880.1 pep chromosome:OR_W1943:7:9008841:9009270:-1 gene:ORUFI07G09880 transcript:ORUFI07G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTAPVLVAADLATPLLTTTNPAERRRDGVCGRDEGRWWQRRLDCRLDYLRSVGFLKDYPVEALACFRWYTGGNGMGSHAHYSGYQITGNIIQMWQLAL >ORUFI07G09890.1 pep chromosome:OR_W1943:7:9010794:9014645:-1 gene:ORUFI07G09890 transcript:ORUFI07G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVIWHCIRHNHCSFMAKITTGIFCRNPAHHPNKLWERVKLPRNYEKAIEVINRHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLRLKAIQRELKERLCGDDGMIYSYPFKVLDMEKGDVDPEEDEEEEVEEYVEGDYMDDMEDMEDFEGLPGGDYGEMNEDDLSDERIAKKPKVLGSDLRSNIGKKSKKPTEVELDEDIIYGYQAKDMKGVDQVVVNRFLVWMAYLPTDVAVFVLGQLAL >ORUFI07G09900.1 pep chromosome:OR_W1943:7:9016217:9020031:-1 gene:ORUFI07G09900 transcript:ORUFI07G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKQHSILFELASPHNLTEMRPVRNGEGIGNMRNLKEKQSFGHHVKELWDEWEIQCLILVSFFLQENLTTFSKEDNDLWKRHLLNLVIQVVVAGYVISTSSWTDRRLQAAVVLMFLCGCLRYTGRTFCLILARPRSLRAASLHYLKFVLQEVEKGRVEEEAKKYVKERFESTLDGKSSSKIIHTEAENIGYTESEVISVDTPRDDVKCILAAKDIPSMLKEFYDNPNRRRAYEIVGTQKKYPTSSLLLDYCALPHIISFPPYYLVGHCPGGQLHNRADIIISYILLVGAIVLDVFAATMYVCPYLISKLPSERTTSIILWAVNSIRPLRGRKQWSQEVAQYNMITKYTMQGTVGLLSSVQKWISECSNTCGVELLDSTLTHISITEDLKELVLDKLLEFGKVKEDWNFASSRGQLTLQKRIDICKSEDLEQTSMTKLQDSIGRDVDFPTAVLIWHIATDICYYSEDSTTEVNKKKKSTPSLYMTELLVKLGKKFIANRINRLKQACQVAAELFSIGNEAERWKLISEIWLEMLYYVAPRCGGAFHYEHLSTGGEFITHVLLLMRFLGPFLPIPTASAP >ORUFI07G09910.1 pep chromosome:OR_W1943:7:9036187:9037140:-1 gene:ORUFI07G09910 transcript:ORUFI07G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSPKVTERKADKDHDDNNDGEGGGFFDKVKDFIQDIGEKIEDAVSFGKPTADVTGIHIPHISLEKVELIADVLITNPNPVPIPLVDIEYLIESEERKLMSGTIPDSGTIHAHGSETVKIPLLLIYDDIKSTYGDIKPGSIIPYKIRVVLHIDIPVIGRISIPLEKNGEIPVPYRPDVNVSKIKFEQFSFEEATATLHLNLDNKNDFDLGLNSMDYEVWLSNVSIASAEMKETTNIKKQEVTTMNLPISFRPKDFGSAMWDMIRGKGTGYTIKGHIDVNTPFGHMKIPICKEGGTTRLKKGDDDDDDDDQVFVS >ORUFI07G09920.1 pep chromosome:OR_W1943:7:9041757:9045574:1 gene:ORUFI07G09920 transcript:ORUFI07G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMATSREVFKYHPLPTPGVENSFKDEIQSKVLGTIGDVMNSFDPKSFPQHVEGALGTAGNIINSFESKLAEHKQFDFGGKTNFYGYDCADDGWGSAPLKADKPVNLRNLLGGLIAIISRGGKNSEIQPPKDTKSSVAFLGSGSDGETFLHASVYVPSAPPLLDEEALNYNVYRVVIEAEPPEWLPDSYANSCMQCAASFTVVTRGRHHCRFCGGIFCRTCSKGRCLLPAKFRERNPQRVCDACYDRLDPLQNLFINSISNATQTAKHDVMDWTSTRGWLNLPIGLTMEHEIYKAANSVRSYSQIARLNPERSIPHAVLSGASGLAILTVVKAGALLTYKLGTGLVVARRSDGSWSPPSAIVSVGLGWGAQVGAELMDFIIVLRGLEAVRTFSSQMHFSVGAGLSAAAGPVGRVLEADLRAGDKGSGVCYTYSCSKGAFIGVSLEGNFVATRRDANLRFYGDPYLTTSDILMGDMQRPNAAKFLYTALDGLYSGLSR >ORUFI07G09930.1 pep chromosome:OR_W1943:7:9062895:9065651:1 gene:ORUFI07G09930 transcript:ORUFI07G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKKSVMTKEEQQLSPAASPAAAVMTAEADAINEEQDKAAAATTADHTAPPPPPPAAAAVADHAGEMDMASGSGVAHLAPPAVAPAPRSSLAMAVSGLADHVLERMVKVLMRKCHPPQALYPLIGKSPLRPPWWPTGREQWWPELGAGAVVPPYRPAPLLSKAEKEVAVVAMVKNLVPDFERLFMAVRMAPSVTSRITDAEARAWDDGVAGERETYMARHPHRTTPTRAWKLMDSLKPEAVRMKLKAPKPKPQVTIKVEDAAPFLTVSAAADPAAVEAAMGAIEAMRNSSKDPDAPYYPMPSPLHGHNEVGPNDYPENPAIWKEFNRKEGQLDLLRVGKKNDRMAISDRVDGGASGSGPRKGYLVMKTYKKAQEYYRELRNKGAMASGAGVKIEDDSETESDNEDEKANEKAKAKAKARAVYQQNKGVKTEDQSETESDNEDEQAKVMAKAKARVIPRPNKGI >ORUFI07G09940.1 pep chromosome:OR_W1943:7:9070000:9071400:-1 gene:ORUFI07G09940 transcript:ORUFI07G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISDRVDGGASGSSPRQGGASGVKTECESEAESDNEDEKAKAMVRAMLRTNKGVKTEDDSETESDNDDEKPKAMAMAKAIVILRPNRGI >ORUFI07G09950.1 pep chromosome:OR_W1943:7:9081769:9083586:1 gene:ORUFI07G09950 transcript:ORUFI07G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGADGLPPGLRFDPTDGELVSRFLLRRLQGKPLPLNGVILEADPLSVPPWKLLAEHGRGDEGFFFAEARAKNGKGSRQKRTVEGGGLWQGQRVCADGEKLLVPDGGGVEVEIVWRKYLLSFFAEGERGSSGWVMHEYAVTSPAELAASPIRLYRVRFSGHGKKRKREPQSGEDGVGRARAAPQSAGTETALLEERVMPPQPAPQSVGTEDALVEERIPPPQPVPIPPIAGTEDALDVGTEDVRGRAAPQSAGTESSLLEECVLPPQTAPQITGTGVALLDEVVPPPQTVSISPPAALVDAVDDADCANQGCSGVMDDSTMVFSHLPDMITLPAEEGDAAGGAALASMDYSWADFEFPEINMDELPSCIDFTTTDPSCLDIELSMGDLHEPQSTGIESDLLEEFVPQPQPVLVPPLAALVEVADSSEGPDQGCSVVMHDSSAVFTHLSDPIVLPEEEEADRPDAPAGTMSLDYQNYSLSDFEFPEYPLLDVAGDADGADQCSSNVMDDSSMVFSHLEDLITLPAEEAEADACSAAPAPSLDNQKYSSQGIIDSEAPALSDFEFPETIDEVLNSINFTMADPSCLDMEFSMDDLLDFDPPAD >ORUFI07G09960.1 pep chromosome:OR_W1943:7:9085388:9086294:-1 gene:ORUFI07G09960 transcript:ORUFI07G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGWSQGVMLTPRYGSCVATERSSYYVLTPATGVVYNLPVNPAEEHVYHVQLITCTDLTLICIWACCFHGRVQGDPYLQT >ORUFI07G09970.1 pep chromosome:OR_W1943:7:9088033:9088395:-1 gene:ORUFI07G09970 transcript:ORUFI07G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVPPAFSTAATTTSPGRPPRHHLAMPASMPAAATQHRARGGGARGERVSVRSSNRAATSPCRSPHHVVLEEEKGSGARSLGRKVAAVTATSPRLPRRRPPPHPRCHEERKARGARLL >ORUFI07G09980.1 pep chromosome:OR_W1943:7:9097018:9106637:-1 gene:ORUFI07G09980 transcript:ORUFI07G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDGDEAKESAMDGLASETASRIPDVSKKQPKRKRTLVDEEVASAGLQGEIDALFDYYKEVSGYQLKPEEIGCSTNDSIVACLLEESSLPYDKLVDEIYRRMELRDGVTKSFISSAVNNIGQRMSYGISDIHDQVLVDESKSKLWCWETRDLKLLPSQLRGSLQIRRTARKLIHERILAISGTPKVHVNQKNTGSEKELKHIKEKAEKEAKRAEREKAEQKKRSKKHQEEVEREQKRRERQQAELKRQASIQKQANFMQHFLRGKKGGNMESLGNHHSMRSPHPNVFSKIEDSSATSAMDCTLSEENQLRSDEIWKLQIARWRKLYHQKELCRWSDRKNPKIELFKELKLQKCPATAPSEYVSTPSKEQSSQMEHQESLNFSKLLDQSYDENADTSKTTNANTSSSVWRVKKLLQFDKSHRPAYYGTWTMKSSTVSARHPFKVDPLLDYDVDSDEEWEEEEPGENLSDFDNDDEEAMGEKDSKHDAEEETDNSFVVPNDYLSEDEGVQFEPLSGKLDDTCRLLSIPRVAIEELDVVLQQQKALHSFTEHALKKDRPLVIYNLDHGKAYLLDAEAITGILKVEQLCLQALCMKEYLGAPIIDVPVDINFPIKDLEIGRLNRKGPSTPVASKSISGSDLPEFVKIISSCPYGIGKLVESLRVQFPCVPKLQLKNKIWEIADFTNNRWQVKKDILDWCGLSLPPDRGIQQMQPDESGDSVQPSPQPGAKLEIHKHQIDA >ORUFI07G09980.2 pep chromosome:OR_W1943:7:9097018:9107111:-1 gene:ORUFI07G09980 transcript:ORUFI07G09980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWKLLAGTRARHAPPVTLPVPLLRPPNNIAAVQLSRGAHESRRTRRKKRLLPWVSSSSSSLQPLRIPLLANNRGEMDGDEAKESAMDGLASETASRIPDVSKKQPKRKRTLVDEEVASAGLQGEIDALFDYYKEVSGYQLKPEEIGCSTNDSIVACLLEESSLPYDKLVDEIYRRMELRDGVTKSFISSAVNNIGQRMSYGISDIHDQVLVDESKSKLWCWETRDLKLLPSQLRGSLQIRRTARKLIHERILAISGTPKVHVNQKNTGSEKELKHIKEKAEKEAKRAEREKAEQKKRSKKHQEEVEREQKRRERQQAELKRQASIQKQANFMQHFLRGKKGGNMESLGNHHSMRSPHPNVFSKIEDSSATSAMDCTLSEENQLRSDEIWKLQIARWRKLYHQKELCRWSDRKNPKIELFKELKLQKCPATAPSEYVSTPSKEQSSQMEHQESLNFSKLLDQSYDENADTSKTTNANTSSSVWRVKKLLQFDKSHRPAYYGTWTMKSSTVSARHPFKVDPLLDYDVDSDEEWEEEEPGENLSDFDNDDEEAMGEKDSKHDAEEETDNSFVVPNDYLSEDEGVQFEPLSGKLDDTCRLLSIPRVAIEELDVVLQQQKALHSFTEHALKKDRPLVIYNLDHGKAYLLDAEAITGILKVEQLCLQALCMKEYLGAPIIDVPVDINFPIKDLEIGRLNRKGPSTPVASKSISGSDLPEFVKIISSCPYGIGKLVESLRVQFPCVPKLQLKNKIWEIADFTNNRWQVKKDILDWCGLSLPPDRGIQQMQPDESGDSVQPSPQPGAKLEIHKHQIDA >ORUFI07G09990.1 pep chromosome:OR_W1943:7:9111175:9114585:-1 gene:ORUFI07G09990 transcript:ORUFI07G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLITHALRDALFQFAVKSRKLASPMLRALGRASTGPVTVGDDELAALRSMLRRVHAALRDADSLSVTDHSVRLWLAELGDLEYRAEDVFEELEYECHRAAQLEDLKIDLLRAAALATGKRKREVAQLFAAAPAARLRRKIDDIWARYEEIASDRKKLRLRPGDGAARPAVGALVPSSSLPRCQIHGRERDLQRVVEMVCQSQPDGRRNYAVVAIVGMAGVGKTSLMQHVCGEEAVASRFDLALWVWVSQEFDVVGVTAKIVEAITRSRPDCSELSALHGTMVEHLTGKRCLLVLDDVWDDNPNHWDTITAQLSFCAPGSTVVVTTRSRMVAKMVTPNVYHLGCLSDEHCWLVCQRRASHGCTTATIDDELTNIGQQIAKKCRGVPLAAEAAGTAMSTSITRKHWTHVLNSNLWADNDEAKNHVLPALKSFVFDKDALVQLWTAQGFIDAGGEQRPEDVGTGYFYDLVARCFFQPSPSHGIDQEKFVMHDLYQELAQFVSGNECRMIQHIVSGNECRTIQQSNLNRADKTSARHLSIVNNESHPEQELSLDSFCGQDLRTFLFLSRLEQIIHGEMPLRRKIAPYGLMTDFECLRVLDLSNTDIVEVPKSIGSLIHLRYLGLDNTRIQMLPESVGALFHLQTIKLNHCSSLTQLPHGSKLLQNLRCFEIAHSNVQMPSGIRALTSLQKLPVFVVGDGSAGCGIGELDELINIRGDLHIIGLSNLDAAQAANVNLWKKEGLQKLTLEWCDILQNSDVTLRDLQPNEANRVPDCRCVPQQNDRAAQVLQCLRPNSNLEELIIKGYNGSSFPSWVGSLPLDRLASIELKDCQNCEELPPLGCLPSLKHVVIQSLPSVQLVGPEFLGDVGDIPYNNRKKAYFAFPALESLKFRDMGAWEEWSGVKDEHFPELKYLSIVRCGKLKVLPNFTSGPKQRIRNCEKLLQPLCQNIHWNLMEYIPPSSELSYTCMAEGDISILEASCSYST >ORUFI07G10000.1 pep chromosome:OR_W1943:7:9114603:9128691:-1 gene:ORUFI07G10000 transcript:ORUFI07G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGSSSREGEQQEPANERLTATGGGGGGGGGSPVSDQELSDGEEVSDGEYQAGDDFSGYAVRGRGFVEKEHIFDKVVTPSDVGNLGRLVIPWQHAECYFPRDVPANEREGVVLRFEDDAGNSWRFLYRGSSLTLGWSHFFRKNRLDAGDMVSFYRGASEATRDRLFIHSKRRMHILPTLGYSDPQVHINRLFQLLVRVRTMVSSFGKSGSPSPPNSLLPTVQNFTYKALKDCLQDLHYYSLLSMMGRNHKSQSTSAKIRDTIPTLDALIQLRSLSDPVFRIPAAATSHCILLCRGVLGIMGFLCSDENMKEDHRMLQVPAFDDLNYSAQDKITRMKEQTMPSSLADPIYLLPTAIRNLLYLDLSNCSDIVQLPPSLGSSLHMLSALNLSCCYSLRALPDSLVCLYDLQILLLSFCHNLQNLPVSFGDLSNLRLLDLSGCRSLRLFPSSFVNLGSLENLNLSDCIRLMGIPQNFEDLQKLEYLNFAGCYRVDLPVYCLTNLVNLKCLTLSNHTDIKDFPYSFTDLKRHLYLSRWWKYNWVHTQCNLKSYRCHQQRIINSLLSDGSDEGDITSEQSLTSICIFGESGTGKTELLHEIYNDQKILEGFHLRIWINMCDKKRLLEKIIEFTACAYCYDAPSSILEETVREELNGKRFLLVLNDADIENQCFWTDVWKVSNVGAAGSALIVTTRSKEVASLFGAMKPYYMNPLSKEECFMVFQEHADCGFDINNDHELTKVGWKIVEKCGGNLLCMKALSGLLWHSKTALSEIDSLVGGIVPALRLCYDLLPSHLKQCFKFCSLFPKDYVFVKHHIIQLWISQGFVYPEEDSQPEDTGLQYFNEFLCRSFFQHCPFSNDHEDKFVMHELFHDLARSVSKDESFSSEEPFFSLPENICHLSLVISDSNTVVLTKEHRHLQSLMVVRRSATEYSSSFVPLLKILGLNDLLMKCGFLRALNLSCTTIVDLPGSIGRMKHLRFLAMNNTKIKSLPTEIGQLNTLQTLELKDCCCLIELPESTKNLMKLRHLDVQKEPGNIHVGMPSGLGQLTDLQTLTVFNIGDDLSHCSIRDLKNLSGLRGHVHITGLQNITAGDDAKEANLVGKQFLQALTLEWCCSSEEMEDESDKEIANQVLQNLQPNTSIQELAIQNYPGNSFPNWIKDSGLCMLVSITIDNSQDCNEIPYLGDLPCLKFLFIQKMYAVENFGQRSNSLTTDGKHAPGFPSLEILNLWEMYSLQFWNGTRYGDFPQLRGLSISRCPKLSNLPPLISLLYLSFHCGDQLPALSEFPSLKSLKIEGFQKLKSVSFCPEMPLLQKLEISDCKELVSIDAPLLSVSNLKVVRCPKLHFGGSWLEGCLMWEEFKRDKCTIKYLLVNTMKDDGWNWIKYGQKDILGSKYQRSYLRCNQMHSTGCKARKIVEPSNDDLNIWLDQPSKFLHKPKVFVNAAQRAVTTFLIPRSAQQIVCFSLANP >ORUFI07G10000.2 pep chromosome:OR_W1943:7:9114885:9128691:-1 gene:ORUFI07G10000 transcript:ORUFI07G10000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGSSSREGEQQEPANERLTATGGGGGGGGGSPVSDQELSDGEEVSDGEYQAGDDFSGYAVRGRGFVEKEHIFDKVVTPSDVGNLGRLVIPWQHAECYFPRDVPANEREGVVLRFEDDAGNSWRFLYRGSSLTLGWSHFFRKNRLDAGDMVSFYRGASEATRDRLFIHSKRRMHILPTLGYSDPQVHINRLFQLLVRVRTMVSSFGKSGSPSPPNSLLPTVQNFTYKALKDCLQDLHYYSLLSMMGRNHKSQSTSAKIRDTIPTLDALIQLRSLSDPVFRIPAAATSHCILLCRGVLGIMGFLCSDENMKEDHRMLQVPAFDDLNYSAQDKITRMKEQTMPSSLADPIYLLPTAIRNLLYLDLSNCSDIVQLPPSLGSSLHMLSALNLSCCYSLRALPDSLVCLYDLQILLLSFCHNLQNLPVSFGDLSNLRLLDLSGCRSLRLFPSSFVNLGSLENLNLSDCIRLMGIPQNFEDLQKLEYLNFAGCYRVDLPVYCLTNLVNLKCLTLSNHTDIKDFPYSFTDLKRHLYLSRWWKYNWVHTQCNLKSYRCHQQRIINSLLSDGSDEGDITSEQSLTSICIFGESGTGKTELLHEIYNDQKILEGFHLRIWINMCDKKRLLEKIIEFTACAYCYDAPSSILEETVREELNGKRFLLVLNDADIENQCFWTDVWKVSNVGAAGSALIVTTRSKEVASLFGAMKPYYMNPLSKEECFMVFQEHADCGFDINNDHELTKVGWKIVEKCGGNLLCMKALSGLLWHSKTALSEIDSLVGGIVPALRLCYDLLPSHLKQCFKFCSLFPKDYVFVKHHIIQLWISQGFVYPEEDSQPEDTGLQYFNEFLCRSFFQHCPFSNDHEDKFVMHELFHDLARSVSKDESFSSEEPFFSLPENICHLSLVISDSNTVVLTKEHRHLQSLMVVRRSATEYSSSFVPLLKILGLNDLLMKCGFLRALNLSCTTIVDLPGSIGRMKHLRFLAMNNTKIKSLPTEIGQLNTLQTLELKDCCCLIELPESTKNLMKLRHLDVQKEPGNIHVGMPSGLGQLTDLQTLTVFNIGDDLSHCSIRDLKNLSGLRGHVHITGLQNITAGDDAKEANLVGKQFLQALTLEWCCSSEEMEDESDKEIANQVLQNLQPNTSIQELAIQNYPGNSFPNWIKDSGLCMLVSITIDNSQDCNEIPYLGDLPCLKFLFIQKMYAVENFGQRSNSLTTDGKHAPGFPSLEILNLWEMYSLQFWNGTRYGDFPQLRGLSISRCPKLSNLPPLISLLYLSFHCGDQLPALSEFPSLKSLKIEGFQKLKSVSFCPEMPLLQKLEISDCKELVSIDAPLLSVSNLKVVRCPKLHFGGSWLEGCLMWEEFKRDKCTIKYLLVNTMKDDGWNWIKYGQKDILGSKYQRGLKMK >ORUFI07G10010.1 pep chromosome:OR_W1943:7:9138231:9140399:1 gene:ORUFI07G10010 transcript:ORUFI07G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADHGGEKPIAHALRDALFQFVVKSRKLASPMLRALGRASTGPVTVGDDELAALRSMLRRVHAALRDAERLSVADHSARLWLAELGDLEYRAEDVFEELEYECRRAAQLEDLKIDLLRAVGAAPTTGKRKREVAQLFAAAPAARLRRKIDDIWARYGEIASDRKRLRLRPGDGAARRPAAGALVPSSSLPRGEIHGRERDLQRVTDLVCRCKPDGGRNYAVVAIVGMAGVGKTSLAQHVCSEEAVASQFDLNLWAWVSQEFDVIGMTAKIVEAITRARPDCSELNALHGTMVEHLAGKRCLLVLDDVWDDNPIHWDTITAPLSCCAPGSTVVITTRSKMVAKMVTPNVYHLDCLSDEHSWYMCRRRASRGGATIDDELASIGQQIAKKCRGLPLAAEAAGTTMNTSVTREHWNHVLESNLWADNDEAKNNVLPALKVSYDHLPAPLKRCFAFCSLFPKSFVFDKDALVQLWTAQGFIKTRGECRPEDVGAGYFYDLVARCFFQLSPSHGIGKGKYVMHDLYQELAQFVSGHECRMIHQLNLTGADKTTRHLSIVHDESNSDKELLLKSFCSPDLRTFLFLARMEQVIRGEMPYRRKIVPCGLVTDFECLRVLDLSNTDIVEVPKSIGSLIHLRYLGLDNTGIQMLPESVGALFHLQTIKLNHCSSLTQLPQGIKLLLNLRCLEIAHSNVQMPSGIRVLTSLQKLPIFKGCSVQGTILQPF >ORUFI07G10020.1 pep chromosome:OR_W1943:7:9140853:9144391:1 gene:ORUFI07G10020 transcript:ORUFI07G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLGQLTDLQTVTVFNIGDDLSHCSIGDLKNLCRLRGHIHITGLQNITAGDDAKEANLVDSSLGMLVSITIDDCQNCNEIPYLGDLPSLKYLFIQKMYVVESFGQRSNSLTTDGRCTESLGDILFAILEWNKQGGFPTASLSLSTNDTAAQVLQYLRPNSNLEELIMKGYNGSSFPSWVGSLPLDRDMGAWEEWSEFKDEHFPQLKYLSIVRCAKLTVLPNFTSGPKQRIRSCEKLLQPLCQNIRRNLMKYIPPPSELSYACMAEVTFYSDNIQPSLLTIPSKVPLYSGHGNVVEKCHFFMWLVVHNWCWIVLADEFCHTRIIVLIVTNRTKQLITCLQTVSSRDNFGTPSYSGLA >ORUFI07G10030.1 pep chromosome:OR_W1943:7:9144410:9144660:1 gene:ORUFI07G10030 transcript:ORUFI07G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINFEWINEATNGSVQNGLNSIVILGVWTFWKHRNRCVSDGVNPNLASALILAEEKGRLWCLARARGLSFYIAKVLGG >ORUFI07G10040.1 pep chromosome:OR_W1943:7:9145664:9164174:-1 gene:ORUFI07G10040 transcript:ORUFI07G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPPRLRAYPLALFFLPVALLGVLAGAAPIRGDLRRVVEVEGEPRSVVWAVQLSDLHLSAFHPERAADFRRHVGGALAMVNPSLVLITGDLTDAKSKDLLSSRQEKSEWLEYEELIDEVVGLSGLNKEIFYDLRGNHDSYGVPEVGGMFDFYEKHSINARLGRTASIGLRSPANVFGQPTDQLLVELDAALSQWDNYSSTSAVTKVTFGHFPMSFSSSTTSGGSLRDVFLKHSLSAYLCGHLHTNFGRNLKRHHRSDRNHLSAKQYYQTNMHEGTSTSIGSNNCSTTTESVAEFWEWEMGDWRSARSMRILAIDSGHVSYTDIDFRFGSMDVIIVPTFPLDSRFMQRLSTPHDLNCQANSTSHFGMVRTLVFSKYKIISVSVKIYDSFSGSHHLVLEKDMEMTSGEGARGAMYTVPWNWKAYVDESPDRYWLQIEAKDLTGKIYYSQLRPFSVNGLTAKVRWTWKEFRVMGCQWGQLYQPIMWSTLAFLCLLILIPRTLLMLYENHMLKCRSSKTAAGSSGRHLLISFEYFAAELSKMYSVWSGMLIYLLYLVFFPWFAGNAVTENHNKMYLYYKAIAANRAAYLVHISHKAKKDDDHYEERKCIHHVWIFRCFRRFLILLCLPIAWRHWKHCRAIVRAYEANPFMDAPIYCFGVPLLILADTHITSAETPKKVALTKGTARKHVSRRC >ORUFI07G10040.2 pep chromosome:OR_W1943:7:9145664:9164174:-1 gene:ORUFI07G10040 transcript:ORUFI07G10040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPPRLRAYPLALFFLPVALLGVLAGAAPIRGDLRRVVEVEGEPRSVVWAVQLSDLHLSAFHPERAADFRRHVGGALAMVNPSLVLITGDLTDAKSKDLLSSRQEKSEWLEYEELIDEVVGLSGLNKEIFYDLRGNHDSYGVPEVGGMFDFYEKHSINARLGRTGNVQSITLQNSGWKHLFVGFDSAASIGLRSPANVFGQPTDQLLVELDAALSQWDNYSSTSAVTKVTFGHFPMSFSSSTTSGGSLRDVFLKHSLSAYLCGHLHTNFGRNLKRHHRSDRNHLSAKQYYQTNMHEGTSTSIGSNNCSTTTESVAEFWEWEMGDWRSARSMRILAIDSGHVSYTDIDFRFGSMDVIIVPTFPLDSRFMQRLSTPHDLNCQANSTSHFGMVRTLVFSKYKIISVSVKIYDSFSGSHHLVLEKDMEMTSGEGARGAMYTVPWNWKAYVDESPDRYWLQIEAKDLTGKIYYSQLRPFSVNGLTAKVRWTWKEFRVMGCQWGQLYQPIMWSTLAFLCLLILIPRTLLMLYENHMLKCRSSKTAAGSSGRHLLISFEYFAAELSKMYSVWSGMLIYLLYLVFFPWFAGNAVTENHNKMYLYYKGWSTSNLANLSTAAPYIGLPDVMVIVLPHLLFVVLPAFLIIAAIAANRAAYLVHISHKAKKDDDHYEERKCIHHVWIFRCFRRFLILLCLPIAWRHWKHCRAIVRAYEANPFMDAPIYCFGVPLLILADTHITSAETPKKVALTKGTARKHVSRRC >ORUFI07G10040.3 pep chromosome:OR_W1943:7:9145664:9164174:-1 gene:ORUFI07G10040 transcript:ORUFI07G10040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPPRLRAYPLALFFLPVALLGVLAGAAPIRGDLRRVVEVEGEPRSVVWAVQLSDLHLSAFHPERAADFRRHVGGALAMVNPSLVLITGDLTDAKSKDLLSSRQEKSEWLEYEELIDEVVGLSGLNKEIFYDLRGNHDSYGVPENSGWKHLFVGFDSAASIGLRSPANVFGQPTDQLLVELDAALSQWDNYSSTSAVTKVTFGHFPMSFSSSTTSGGSLRDVFLKHSLSAYLCGHLHTNFGRNLKRHHRSDRNHLSAKQYYQTNMHEGTSTSIGSNNCSTTTESVAEFWEWEMGDWRSARSMRILAIDSGHVSYTDIDFRFGSMDVIIVPTFPLDSRFMQRLSTPHDLNCQANSTSHFGMVRTLVFSKYKIISVSVKIYDSFSGSHHLVLEKDMEMTSGEGARGAMYTVPWNWKAYVDESPDRYWLQIEAKDLTGKIYYSQLRPFSVNGLTAKVRWTWKEFRVMGCQWGQLYQPIMWSTLAFLCLLILIPRTLLMLYENHMLKCRSSKTAAGSSGRHLLISFEYFAAELSKMYSVWSGMLIYLLYLVFFPWFAGNAVTENHNKMYLYYKGWSTSNLANLSTAAPYIGLPDVMVIVLPHLLFVVLPAFLIIAAIAANRAAYLVHISHKAKKDDDHYEERKCIHHVWIFRCFRRFLILLCLPIAWRHWKHCRAIVRAYEANPFMDAPIYCFGVPLLILADTHITSAETPKKVALTKGTARKHVSRRC >ORUFI07G10050.1 pep chromosome:OR_W1943:7:9171440:9173895:-1 gene:ORUFI07G10050 transcript:ORUFI07G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPLDTSISMEITAFWLWLEGNYDHTDYLERIDSFDDDHFQAIAFVAKSFVETLNLDHCDLSNTRSPFQQEAIEGIAFYLNNVCYKALKDLHGHEETEEFPDQICRDNEGNLNDQVPLSTDDLLSKIKSLYANNQENHGESSSYRSIQYPRNHILQDTKVAIDEYASSSCLVSFLDNLSLREKHSDPVIQQPSDVPNDERTLFVTFSNGYPLSKDELYDFFMRHYGDIEDITIEEPPEPRPPLFAQVTFYSQLTLLRVLDGNKRVKFMTRGKHLWARQFVPKKKKSKNDEANLID >ORUFI07G10060.1 pep chromosome:OR_W1943:7:9179085:9186073:1 gene:ORUFI07G10060 transcript:ORUFI07G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMIISTVIPFIFKSLSPRRSRAGGSGGENPSHPRRRNPAPSSSSPSLELAGGKLGMRQRGLSSSEATNSAESGASEVGSGRYGDATARVRRLGALWARRQSGCGGGFAGVAAAAGGASALSRRPGLGGGGGTGVDAAAGGSGRSSSRPPWLPDGGGTPRGCRSRWRRRRLTPWLPLPATRRRSGRAAGTLDGGGVGDLEWWLDLALQVRCQCSQEKRLCKESWRRCVVFLVAGEAQAAEATLSSPGFSFGRTWRGGRRVAGRRWPGPALRGGAVGCSELAVGFCGKFGSRPAEGHRCGLGGSHALPMLVWWFTKLMRDKLLERLQGFQAKASLDDHQAGSGYAFGCRNPLGSAVMASPRLLAPQEEKQQQSKPAMAAAAASSAAWKRWIRPEVYPLFLATGVAVSICVGQLVRNITGNPEVRVLKEKRAAGVLENFDEGKRYSQHGFRKFIDGKRPEIMPGINSFFSDPPKY >ORUFI07G10060.2 pep chromosome:OR_W1943:7:9176583:9186073:1 gene:ORUFI07G10060 transcript:ORUFI07G10060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWIRPEVYPLFATTGVAVGICAMQLVRNITTNPEVRVLKEKRAAGVLENFDEGKRYSQHGFRKFIDGKRPEIMPGINSFFSDPPKY >ORUFI07G10060.3 pep chromosome:OR_W1943:7:9176583:9179422:1 gene:ORUFI07G10060 transcript:ORUFI07G10060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWIRPEVYPLFATTGVAVGICAMQLVRNITTNPEVRVTKENRAAGVLENFDEGKRYSQHGVRRFWLSKRRDYMQALDNPTNPSTKK >ORUFI07G10070.1 pep chromosome:OR_W1943:7:9183313:9184146:-1 gene:ORUFI07G10070 transcript:ORUFI07G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRPAVAEPSQLRATMRCRRRRGRAVHQAAPPPLGEDEEAIDKCARLLGLISPESLKTGDFCGGDWNIGLAGAAAPPRAGDHGFEVGRGGEVNEKGKEHTDEGEEAGEGEVLPGVSRGNGSAVAALPGVRRAGGETPVLMSGTRHDAMAMVRLTKVRMLFVRCRGGVRHSPEESALDDDVWAAARKKGKRKEEREEEQCQRPKD >ORUFI07G10080.1 pep chromosome:OR_W1943:7:9201443:9201901:1 gene:ORUFI07G10080 transcript:ORUFI07G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPNRGHEHAHQPSGQQTNVSSYTSPTDLVLGNHVNGSDWVNSSLSVFLEQHRLQLDRALQTHISLHNATLSAIVDSMITTALKEKDEEIARLHIMLNQLQELIINIE >ORUFI07G10090.1 pep chromosome:OR_W1943:7:9205521:9209348:1 gene:ORUFI07G10090 transcript:ORUFI07G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAITGGGRRPPCRRGPAAVAPPARFSCRCGTHPVPRRNVLSTMLSTSTVILFGSKQITLAEITGATFREYIDTFDGYSFLYPKSWIQVRGAGADIFFRDPFVLDENMSVEISSPSSSKYVTVEDLGPPEKAAERVLQQYLTEFMSTRLGVRRTSNILSASSKVADDGKLYYEVEVNIKSFASNNELAVMPQDRVQRLEWDRRYLSVLGVENKRLYELRLQSPEQVFKEEEGDLRRVMDSFRVNKTLSEARCGDLGIPNNGSHQFRVRARFH >ORUFI07G10090.2 pep chromosome:OR_W1943:7:9205497:9209348:1 gene:ORUFI07G10090 transcript:ORUFI07G10090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAITGGGRRPPCRRGPAAVAPPARFSCRCGTHPVPRRNVLSTMLSTSTVILFGSKQITLAEITGATFREYIDTFDGYSFLYPKSWIQVRGAGADIFFRDPFVLDENMSVEISSPSSSKYVTVEDLGPPEKAAERVLQQYLTEFMSTRLGVRRTSNILSASSKVADDGKLYYEVEVNIKSFASNNELAVMPQDRVQRLEWDRRYLSVLGVENKRLYELRLQSPEQVFKEEEGDLRRVMDSFRVNKTLSEARCGDLGIPNNGSHQFRVRARFH >ORUFI07G10100.1 pep chromosome:OR_W1943:7:9217085:9220895:1 gene:ORUFI07G10100 transcript:ORUFI07G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVFGLAHNLGFKEKIWSCNPKRSPPLLHRPFRLHKLLPSFLRLPPSPLPPFHSIPFLSFLSPPPRAIKAPELSPSSLGGDWKKRRSSARGPKNRVRRRRRMPERSELGRQLPLRGPLKALEADIHHANTMANAIQRNYGGACVQMRLSCSSLAPFFLYLIQWLDCGCCYALPSYLGLFHILICKVYADGDSSVSTYERRASLREFYAIIYPILQQLEGSLIERDLKGKGRCKDIVSRKRLEDWRKLCNKDVEREDECGICMETCTKMVLPNCSHAMCIKCYRDWYRRSESCPFCRGSLKRIRSRDLWVLTNYNDVVDPVTLERENVRHFYSYIDSLPLILPDNIFFFYYDYLL >ORUFI07G10110.1 pep chromosome:OR_W1943:7:9225822:9226184:1 gene:ORUFI07G10110 transcript:ORUFI07G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTVGAPPPSSLVPHTHDCKSSSDLPVFGRGRVRPDRSDLGRCRIRTAQRSGKAVHRRPRGDAGDLA >ORUFI07G10120.1 pep chromosome:OR_W1943:7:9226732:9228702:-1 gene:ORUFI07G10120 transcript:ORUFI07G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIWLTMVVQGYLIVIDNVWSISAWEAILSRLLDNKCSGRIIVTTRIEHVARACSSASLEEDYYIHRIKPLQFEDAKKLFINAVFGPQQDCPQHLVETMHKILTRCTGLPLAIVCIGRLLAGYRSPDGIEIWTRVSNSIGSQMENNPTLEGMRQIITLNYNHPPHHLRACMMYLSIFPEDYDIGKNRLLYRWIAEGLVSEQRGLTLIEVAEAYFDDLVSRHMIQPPCVEPYGKEPKCRVHDMMLDITVSKALESNFVRLVGNQCQGTNSYGSVRRLSIHSDDQGYGIDNTKLSHIRSLTTFRPSGHRRLLDKLSEFTLLRMLDLQDYITELPSQINKLHHLYTLWLYETLLNKVPESLVDLEKLERVGFTNRHDPTILLRLPRHIRKMKVLQQIYSFKLRKDDVQLAREIGDLVQLQVLGVILSCSNCSNEQVLIKLAKSIGRSLRVANNMNFLLKLPSPPKFLRVLYIRGTINKIPGWVQSLTHLVLIDEGMPRVFGFEKGAMPKLETLVINFHGKESILDGVEHLKRLKEVRLHDWKNYNGPHSVVDQLKAKSKSRHRSDQFKIIVTHSDLMSKL >ORUFI07G10130.1 pep chromosome:OR_W1943:7:9235181:9241903:-1 gene:ORUFI07G10130 transcript:ORUFI07G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTSTFILFGPKQITLAETTGGTFRESEEPVPTSSETLLSSTRICQSRYRLLHRRST >ORUFI07G10140.1 pep chromosome:OR_W1943:7:9246193:9246474:1 gene:ORUFI07G10140 transcript:ORUFI07G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGWIASVFQLPDESGLDRGDLIVAKGATEFLDALQGSLRYLGSLCGLDDVWKETNARVFHNREKSVGLLFGAIKEEVIIWKEAGVLKGLRE >ORUFI07G10150.1 pep chromosome:OR_W1943:7:9248567:9250755:-1 gene:ORUFI07G10150 transcript:ORUFI07G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATDPPPPPPPLTRKRGREARKSKEAAPPREPRRARSRSHVPPPPGAGDGRGGDGEEDVGFDLISRLPDAVLGDIISRLPTKDGGKTRALSKRWRPVWRTAPLNLDAGDLAPDANGAALAVLVTQILLVHAGPVRRFCIPAQQIHERPAMVEGWLGSRRFKNLEELEFTVPEDPFYGRSFLLLPPPPSTFRFSATLRVAAISQCSLPDCTATLALRFPQLRLLSLQEVIVSEHSLHSIIAGCPALEGLLLKRSFGFRCLRINSPTIRSVAFHSPCCGDHCVWKVGFHLEEVVIEDAPCLERLIHIERAMGLGVNVTVIAAPKLEACVLDDLDDGYYRLDFGKVVFKGFAVINYTTPVSSIKILALIRDNLRLDRVIELMRCFQCLEKLYITASHYGATYCWRRKHWRKLKSLDICLKTLVLDNYRGLKSQINFATFFIRNATKLENMIFTGGRSNGNAYFIARQQKLLEFEKRASKTAHFHFTTKKCYYDWVHIKDVHDLSIADPFECTC >ORUFI07G10160.1 pep chromosome:OR_W1943:7:9254324:9254719:-1 gene:ORUFI07G10160 transcript:ORUFI07G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDAVLDSATKTEWRRIDGDLIVKAAARRRADATVVLAPTTAVRGEGDSATKTGCWRRIDGDLQGGGREENQKMMEAWMRVSALAARSTKARSASATGGLRAAGIGQGRAGVMETVRLAAMETGSRG >ORUFI07G10170.1 pep chromosome:OR_W1943:7:9257599:9268383:-1 gene:ORUFI07G10170 transcript:ORUFI07G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPADPPIPPPPRPPPPPTRKPTRKRGRGATKGDDPVPDEAAPPRKPSLPLATGSRRGRSHVPPPLGVRGDGGEEGVDFVSHLPDAVLGIIISLLPSKDGGKTRTLSKWWRPVWRTAPLNLDAGDLAPGANGAALAVLLTQIPLAHAGPVRRFCIPAQQIHERPAMVEGWLTSPRFNNLEELEN >ORUFI07G10180.1 pep chromosome:OR_W1943:7:9287712:9288456:1 gene:ORUFI07G10180 transcript:ORUFI07G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKYITLSEVALHAIIIACPLLETLLLEHIYGFHCVRISSPRLRASRNSGYSVANESANPGCPLSQMIAISCPCLSHFSGVASKLEIMGWLGISMLKLGIIEIQLYSEVYAIMKIRIEDRRSV >ORUFI07G10190.1 pep chromosome:OR_W1943:7:9289509:9289676:-1 gene:ORUFI07G10190 transcript:ORUFI07G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTKGKEDGGGDREEEEAAASRSSVGPKTMCGMHRERRSGAGDTLDLQCEFDM >ORUFI07G10200.1 pep chromosome:OR_W1943:7:9289817:9290131:-1 gene:ORUFI07G10200 transcript:ORUFI07G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRLPALGCHSKKTTVTADKAATADKAATATAKATRTTCARGGLVRGMGTSGPPLALQRHAANLLSDSNLSPDLLYLTIATPARSTLPPPSHSASPAAPRWEA >ORUFI07G10210.1 pep chromosome:OR_W1943:7:9306835:9308151:1 gene:ORUFI07G10210 transcript:ORUFI07G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRPPPASIFRFSSSLRVATIGKCHLQDAIVQMLHFPKLEHLGLEDVVISEGSLHSIIAACPVLECLLLVRAIGFRCLRINSASLTSIGVDILYFPAEQIELGELIIEHAPLLEKLLNFGVRNELDVSIISAPKLVAVGCLCQQFCHRHSRFTFGTTVIKGVKNESLPEVVHNVKTLAVSVLLLDVDKVVDILRCFPCLENLYFKSCELTSKVVWRKKYRNLTKSLDIRLKTVVLEDYRGIWAEVHFAQFFVLNARTLEAMKFFVTCKDYYKGFAAEQRKVLQLDKKASSGARFIFTTKGCFHDAACIEHVQDLSFTDPFECRC >ORUFI07G10220.1 pep chromosome:OR_W1943:7:9310246:9312283:1 gene:ORUFI07G10220 transcript:ORUFI07G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGHVTLPESALPLLNSCHVASSPFGGFARILALFGWAVPGQDWGPIPVDHPGEETIQAHPKLCVRLSPVWVLPRPIPAFHPHPGPGKKSTSRVAWKNSSRREPGGDTQPAQRQHDAEAIRGGATAGAARRGGDGDGTALSSGRHLLSIGEDADDGVNYGTGRL >ORUFI07G10230.1 pep chromosome:OR_W1943:7:9313250:9316169:1 gene:ORUFI07G10230 transcript:ORUFI07G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNSVTSSAPTPSLNADEVQQEMAVGRSASARIRDRASRSLLGQEPYMTILIVREGYGDGDSGRPAHWRTAPHGRQSSSLLPSSSYSLGQPKRMIIGSGFSIRRQMLANIQRFICSE >ORUFI07G10240.1 pep chromosome:OR_W1943:7:9335160:9335447:1 gene:ORUFI07G10240 transcript:ORUFI07G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPPCKEVEQVVMPWEVSAPGGGRHYRLEKMVDRFGCNRLDAALIDCIARLTSRLPHRFLRRGIFFAHRCSSAAKLEELATELRMVFVCWIGI >ORUFI07G10250.1 pep chromosome:OR_W1943:7:9359988:9362132:1 gene:ORUFI07G10250 transcript:ORUFI07G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCDVAPKLGFQKPSLIESRFFPALQGESTKMSASDPNSAIYVTDNSKQIKAKVNKYAFSGGQDTVELHRELGANLDVDVSIKYLNFFLQDDDELEHIKKEYKAGRMLTGEVKQRLIEVLSELVARHQRARAQVTEEMVDAFMAIRPLPNMFG >ORUFI07G10260.1 pep chromosome:OR_W1943:7:9367620:9369591:1 gene:ORUFI07G10260 transcript:ORUFI07G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGGGSGGAVLGKAGSAALGRSSALGGGRRARGGGQRRARDRGPVGQAGSEAVAEPRRRAGVDGVGGGGGDLARLAAWIAPWRLLSSGGKVLGEEEKAAENIYIKWALI >ORUFI07G10260.2 pep chromosome:OR_W1943:7:9367620:9369601:1 gene:ORUFI07G10260 transcript:ORUFI07G10260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGGGSGGAVLGKAGSAALGRSSALGGGRRARGGGQRRARDRGPVGQAGSEAVAEPRRRAGVDGVGGGGGDLARLAAWIAPWRLLSSGGKVLGEEEKAAENIYIKCC >ORUFI07G10270.1 pep chromosome:OR_W1943:7:9399315:9402819:-1 gene:ORUFI07G10270 transcript:ORUFI07G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARLTWPYAEAAPAQPTWLCGAVVVRRRAQAGLGCGDDDDGIDGGRRRMYAGKEEEGGVLAGFIATARREIAELVLPSFKEKAGGTEEERTATQRCWTAAAQDLDVDIATGGEGEESKFSGCYNAPARRRCGALDQFLLYHGSTPKQDGGGACGGSDGPAPPIGIVGIATPCVAPWSKANTYGCEKEDGGGGREALTARALFPPFVLTYLGIGEAAVVVVLQCCSRARSGWGYLWSKRAKDLAYPSDTGKSGNAGYPIIVASHAVDLEELVSENLVGAGDPAGDELRMSIQSPRR >ORUFI07G10280.1 pep chromosome:OR_W1943:7:9457475:9464366:1 gene:ORUFI07G10280 transcript:ORUFI07G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSMANADGESTRTGYCAATKSFRSLRPPVPLPPPDVPLSFPEFAFSLLPRSSSSSSSSLLPANPALVDAATGEAVSFQALLSRVRALAGALRSRVGLRGGDVAFVLAPAGLDVPVLYFALLSIGAVVSPANPALTPAEVSRLVSLSGASVAFAVSSTATKLPAGLTTVVLLDSPHFRSLLMDCGQAQGQEPLPVVVVRQSETAAIQYSSGTTGRVKAAALPHRSFIAMVAGFHALRAKAREVRTLLGAPMFHSMGFLFVLQGVALGATTVVVTDAVARAGIRGLVEAAERWAVMDMTASPPVVLGMTKQRCRLPALERITCGGAPLPAAAIERFRRRFPHVDLWMGYGSTEAGGISRMISQEECNHIGSAGRVTENVEVKIVDHVTGKPLPAGQQGELWVRGPAVMTGYVGDNEANATTFDSEGWLKTGDLCYIDQDGFLFVVDRLKELIKYKAYQVPPAELELVLHSLPQIVDAAVMPYPHEEAGQIPVALVVKQPGSKLTEAEVMYNVAKQVAPYNKIRKVLFVDSIPKSPSGKILRRELVNQLRLCELSRL >ORUFI07G10290.1 pep chromosome:OR_W1943:7:9476108:9477156:-1 gene:ORUFI07G10290 transcript:ORUFI07G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGRCGGRYPSWRCDGDGMVMAQLRVSWRESGKAVRGAAWHGAGPGARKGRRGTWERRGTRVRRGCKYGAAWREGKDADLRHGSGLGPDRTALA >ORUFI07G10300.1 pep chromosome:OR_W1943:7:9477228:9477892:-1 gene:ORUFI07G10300 transcript:ORUFI07G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFGGARLSADETGAASGRRRLQVFDAWFPTSSGPRLPQWRRRQHSSSSTPSNLQLRPGSLASGGSRKLASQLDG >ORUFI07G10310.1 pep chromosome:OR_W1943:7:9477971:9478325:-1 gene:ORUFI07G10310 transcript:ORUFI07G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQRPGRHAEGR >ORUFI07G10320.1 pep chromosome:OR_W1943:7:9494569:9497373:1 gene:ORUFI07G10320 transcript:ORUFI07G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRVDEVVPAKSPRPDQISSSITLSGQESKLLTHPAVPRNTDGQRRLFDVLRVGQNTAAAALTIDLLPRFHRRRSPAANATRHQSRTPSPELSTSLHPQLTTGK >ORUFI07G10330.1 pep chromosome:OR_W1943:7:9494926:9495333:-1 gene:ORUFI07G10330 transcript:ORUFI07G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRAASLLGVRRWSRDYFEAYPVSSGDGVLLWWRVALAAGLLRRWNLWNDEGESIMVVLGVWWREGLSYSSPRQQIDGEGGGGGVLADAKDVEEAALPVGVSSEDKGKMDSVRDAKKDDANGFS >ORUFI07G10340.1 pep chromosome:OR_W1943:7:9500432:9503499:-1 gene:ORUFI07G10340 transcript:ORUFI07G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPRSDVNREYFAEEHDRRARAGIDYDSSNGKARPNDTILKLQRTSPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLSKAGEMPSLTPPDDESIRTLYIGGLDSRVTEQDLRDQFYAHGEIESIRMVLQRACAFVTYTTREGAEKAAEELANKLVIKGVRLKLMWGKPQAPKPEEDEAGRQGHVAHGGMLPRAIISQQQSGDQPQPPGMEGQQQPASASYYFNIPAPPAAERTVYPSMDPQRMGALVKSQEGDGKPGPQHGGQGQASSSSGQSYPAPPPPYYHGGQYPPYYPPYGGYMPPPRMPYQQPPQYPPYQPMLAPPAQSQASSSQQQAPATQQLGQGPPQQQTTQNGMT >ORUFI07G10350.1 pep chromosome:OR_W1943:7:9506190:9506540:-1 gene:ORUFI07G10350 transcript:ORUFI07G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLLLVLLLASVLAAAVSASSSEESSSKPSILIPVADTPLGSYEGADGPIADDALEDMEAAPLGSPIGTTMTEPEPELPANAPPSSAGAAVSSAPTTLLAAAVVAAVAGVFAF >ORUFI07G10360.1 pep chromosome:OR_W1943:7:9513284:9513469:1 gene:ORUFI07G10360 transcript:ORUFI07G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAAAVTVTVSAIDVGDGEEPGSEQLWRADGNLSPTVAVVSVDLPMMRGDESRMKRELIV >ORUFI07G10370.1 pep chromosome:OR_W1943:7:9532156:9550203:1 gene:ORUFI07G10370 transcript:ORUFI07G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMKKKVVAPVERVVFALNGERQEVAAADVDPSTTLLEFIRTRTPFKGPKLGCGEGGCGACVILIAKYNPKTDEVTEFNASSCLTLLYSIHLCSIITSEGLGNTKDGFHAIQKRMSGFHASQCGFCTPGMCMSIFSSLVNADKSKKPEPPKGFSKLSVSEAERSFSGNMCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKHPDPTKLPSYTLGGGICTFPDFLKSEIESSLDFNDASISSPREGWYCPKSIKQYYKLVNSDLFSESSVKVVVGNTSTGVYKDQDLYDKYIDIAGIPELSAIVRKDKGIEIGAATSISRTIEILNQESESTSSPNGSVVFRKLAEHMSKVASPFVRNTASIGGNIILAHKYPFRSDIATILLGAAATVNLQVSSKTLHVTLEQFLEQPPLDHSTLLLSIFIPHWASDCKNEHTLVFETYRAAPRPLGNAVSYVNSAFLGHVSLDKSSGDNILSNLHLAFGAYGTEHAIRARKVEEYLTGKILSASVVLEAIRLLRETIVPVEGTTHPEYRVSVAVGFLFSFLSPLCKGVIEPRKTLSTSEDLVHTDNVHNMPLSSRRETLSGDEYKPVGDPIKKYKVELQASGEAIYVDDIPAPKNCLYGEFIYSTQPLANVKSIKFKPSLASKKIITVVSAKDIPTGGRNIGSTFLFGDEEPLFGDPIAEFAGQALGVVIAETQRYADMAAKQAVVEYTTDGLKAPILTVEQAVQNNSYFQVPPERAPKQVGDFSNGMAEADHKIMSEEVKLASQYYFYMETQTALAIPDEDNTVTVYSSSQFPELAQNVISKCLGIPFNNVRVITRRAGGGFGGKAVRSLHIATAAALCAHTLRRPVRMYLNRNTDMIMVGGRHPMKARYSVGFKSDGKITALHLDLLINAGISADASPVIPGTIISGLKKYNWGALSFDVKLCKTNNTSKSVMRAPGDTQGSFIAEAIIEHVAAILSLDANTVRQKNFHTYDSLVLFYPDSAGESSTYTLHSIFDRLASTSRYLQRVESIKKFNSTNKWRKRGISSVPLIFKVEPRPAPGRVSVLNDGSIVVEVGGVELGQGLWTKVQQMTAFALGQLWPKGCEGLLDRIRVLQSDTLNLIQGGLTAGSTTSESSCAATLQACNMLIERLKPVMERLQLQSDTVSWDTLISQASQENINLSASAYWVPEQDSNFYLNYGAGTSEVEVDLLTGAITIIRSDLIYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEHQTNSDGLVISNSTWDYKIPSVDTIPKQFNAEVLNTGYHKHRVLSSKASGEPAVVLGASVHCAVREAIRAARIEFAGNNGSGSPLLTFQLDVPAPMTVVKELCGLDIVEKYLEDLSNRGAASGN >ORUFI07G10380.1 pep chromosome:OR_W1943:7:9555982:9556302:1 gene:ORUFI07G10380 transcript:ORUFI07G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGGGRAGGRWAVEAEVKAEQAAEVEVEAELVGRRMRRQSGRLRWRRSGGRQRRLSSPCGRQLRLRWRRSGRPVAEAASWAAGEGRARVETMTYALRVAATDDD >ORUFI07G10390.1 pep chromosome:OR_W1943:7:9559792:9560263:-1 gene:ORUFI07G10390 transcript:ORUFI07G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATPSPSQRCIPQSPPSLAPSRVRARRSTSVSTALAPPPPSTSALRLQHSNLHHADAASALHRAGDAWVCIAPRLKPPPWCCLHHGATSISARAADRARFASGIKL >ORUFI07G10400.1 pep chromosome:OR_W1943:7:9559925:9560311:1 gene:ORUFI07G10400 transcript:ORUFI07G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGTKIPSIPSPPIVVVTAALAEMDVAPWWRQHQGGGFSRGAMHTHASPARWRAEAASAWWRLECWRRRAEVEGGGGASAVETEVERRARTRDGASDGGDWGMQRCEGEGVAETPSARVGVGLVGWS >ORUFI07G10410.1 pep chromosome:OR_W1943:7:9580234:9594462:1 gene:ORUFI07G10410 transcript:ORUFI07G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKTTTTKVVAPVERVVFALNGERQEVAAADVEPSTTLLEFIRTRTPFRGPKLGCGEGGCGACVILIAKYNPKTDEVTEFNASSCLTLLYNIHFCSIITTEGLGNTKDGFHAIQKRMSGFHASQCGFCTPGMCMSIFSSLVNADKSKKPAPPKGFSKLSVSEAERSFSGNMCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKHQDPTKLPSYTLGGGICTFPDFLKSEIKSSLDFNDASISGPREGWYCPKSIKQYYKLVNSGLFSESSVKVVVGNTSTGVYKDQDLYDKYIDIAGIPELSAIVRKDKGIEIGAATSISKTIEILNQESESTSSPNGSVVFRKLAEHMSKVASPFVRNTASIGGNIILAHKYPFRSDIATILLGAAATVNLQVSSKTLHVNLEQFLEQPPLDHSTLLLSIFIPHWTSDCKKEHTLVLETYRAAPRPLGNAVSYVNSAFLGHVSLDKSSGDNILSNLHLAFGAYGTEHAIRARKVEEYLTGKILTASVVLEAIRLLRETIVPVEGTTHPEYRVSVAVGFFFSFLSPLCKGVIEPGKTLSISEDLVDTDNVHNMPLSSRRETLSGDEYTPVGDPIKKYKVELQASGEAIYVDDIPAPKNCLYGEFIYSTQPLANVKSIKFKPSLASKKIITVVSAKDIPTGGRNIGSTFWFGDEEPLFGDPIAEFAGQALGVVIAETQRYADMAAKQAVVEYTTDGLKAPILTVEQAVQNNSYFQVPPERAPKQVGDFSNGMAEADHKIMSEEFVIGVTNFPQAISKAHLRQHSITSSHVKLASQYYFYMETQTALAIPDEDNTMTVYSSSQFPELAQNVISKCLGIPFNNIRVITRRVGGGFGGKAVRSLHIATAAALCAHTLRRPVRMYPNRNTDMIMVGGRHPMKARYSVGFKSDGKITALHLDLLINAGISADASPIIPGTIISGLKKYNWGALSFDVKLCKTNNTSKSVMRAPGETRGSLIAEAIIEHVAAVLSLDANTVRQKNFHSYDSLVLFYLESAGESSTYTLHSIFDRLASTSSYLKRAESIKKFNSCNKWRKRGISSVPLILKVRVRPAPGRVSVLSDGSIVIEVGGIELGQGLWTKVQQMAVYALGQLWPNGCEGLLDRIRVLQSDTLNLIQGGVTAGSTTSESSCAATLQACNMLVERLKPVLDRLQLQSGIVSWDTLISQASQENVNLSASAYWVPDQDSKFYLNYGAGTSEVEIDLLTGAITILRSDLIYDSGKSLNPAVDLGQIEGSFIQGIGFFIYEEHQTNSDGLVISNSTWDYKIPSADTIPKQFNVEVLNTGYHKNRVLSSKASGEPAVVLGASVHCAVREAIQAARIEFAGGSESTSSLLTFQLDVPAPMTLVKELCGLDIVEKYLEDLSSHGVGSCN >ORUFI07G10420.1 pep chromosome:OR_W1943:7:9602672:9606652:1 gene:ORUFI07G10420 transcript:ORUFI07G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIQGGDLCPVRIRPVADRGCHCQPHLSLSSSLRAATAGVCATAVEGPGEEEEGEKEEEEKRSSSGLEPSAADADTLVFPPSSGGNAFSRRLPALIEAADQGLSSRTEVQNARCGIVDMLRGAMDDAVVAEEICTMLNGVMAKSLLTLKMVSTMLRMVATTDLTRDVARLRRHDFERVCALAPSIVRGGRRGFGDRRADGMGLSNHEMSLKDDYCSKMKLQYPASRAPSATPYA >ORUFI07G10430.1 pep chromosome:OR_W1943:7:9610445:9611972:-1 gene:ORUFI07G10430 transcript:ORUFI07G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTKCSTSVPSCASTKSDGESTPTTLEHAFPATMNPSTPSNESAAAAPPMSLTATKENGADIGKAVDKSEKAIQDLCSKIDRILEAFRDIKVDLPVSKDSTRDVAALSANIGQTSITLKVGAEAGSTNHVDSAKLGMGTTIKCSMKCKNQLVDDDGEDMANDGRTELTEVDTKFTPVNLCFRDPWLALNAIPSRNLIWCLSCDLGVVSPSFVPSKLEVLYHCFVLGSVCRASSPPVPPWRVAVPWYNDQVFSGSGSVVVVQPLQPWPPTSRTNCKGDQMELQSQPWPDPRQVTRLSSSEQKKHGEKLKIQIIVTVCSVPKVAIKGLQLLGERMLQEEQLKCEFVKSSWYNFSNHLIGDIMDAALPMQSLGQLVPSYSLAQSENENLLIQQAMNWFQFKFSANHFLSKPFQWRQDMVDDQQQIDGVNKMLLYYHQISTIFCIVSEDVVYDVAWTPVMPSNWEDVAIICFCPGAFPGSWDCAIDSEACVCQDC >ORUFI07G10440.1 pep chromosome:OR_W1943:7:9625519:9632335:-1 gene:ORUFI07G10440 transcript:ORUFI07G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPRPSASAAPEGGGGASTSRSVPTARSTPLQVIHILGNFARIWSVYSLYNYLSSSGDSMVGFIFSCLVPASVIFLALQKPWKGRPLPNTQVVPTVINGGILALYFVLWGKGLLACGPLVALLAEYAGAVLGVLSAALYGRKVNIWKKIGGLAAMLVAYYLLGNGWATRTHSPLYSFGSESLEKGTQVIGMKEMVVPLTAGILSALRRVLARRVSLKNQLKRRLHAINVASATCFLFPFAMWDTILGSASDSIVKLQFPSWAYLSTVLFGMIASGLLFSKTSNGFNRLHHCFGDTAFLKQHPWSARRKVLWRHMNYQMDHFTTSCQFLHFQAKSIKSG >ORUFI07G10440.2 pep chromosome:OR_W1943:7:9625540:9632335:-1 gene:ORUFI07G10440 transcript:ORUFI07G10440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPRPSASAAPEGGGGASTSRSVPTARSTPLQVIHILGNFARIWSVYSLYNYLSSSGDSMVGFIFSCLVPASVIFLALQKPWKGRPLPNTQVVPTVINGGILALYFVLWGKGLLACGPLVALLAEYAGAVLGVLSAALYGRKVNIWKKIGGLAAMLVAYYLLGNGWATRTHSPLYSFGSESLEKGTQVIGMKEMVVPLTAGILSALRRVLARRVSLKNQLKRRLHAINVASATCFLFPFAMWDTILGSASDSIVKLQFPSWAYLSTVLFGMVLIFYVDNVAEEKLHLVFSSPRHLMVSTGCIIVLEILYKMDFSLLGFLLCSVILGFGIFEATSLERSKKSPLEAHELSNGSFHNQLPISALPS >ORUFI07G10450.1 pep chromosome:OR_W1943:7:9650538:9653156:1 gene:ORUFI07G10450 transcript:ORUFI07G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPYFLLAPLLTPLPHSASDPQPKYPITVSQIIQWRQQRKIVHMPPLCSESYFAFGLSLKNFAISLGFILIVSSTYIYISPYSPQFPQNNLERKIQIRRMLQVQGSLRVHTFSSAAIVHAVETSDEDSDPSHFCAVPQNGKKASRKEIKRRIKKLLSSLGQKHHISKVFFRSRSEAANSNAVIDNRGGGQSDMETFVSAKSSELCSFRTDDDDSESRSFRLSPLPIFPTGGIEFQPPASPVKIIKKLPFGYIIGRQLDGAPAAAAPSTKLSLSFKKLMPRLVDIQLKSKSKMIKKKVLRALKGTFGGGERRGRDGHVREGKESSDYGDGDGDGDDEDVFWRKDVRGLRCRRVEDNDLPY >ORUFI07G10460.1 pep chromosome:OR_W1943:7:9657860:9661260:-1 gene:ORUFI07G10460 transcript:ORUFI07G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFMSRGPPNGSVYVCNLPPGTDETMLAEYFGTIGLLKKDKRTGRPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVEWFNNKDFHGSTIQVHIAESKNKDMNDSSATASLNNSAELGGQDELDNGEGRGRGRGDGPGKAWQQDGDWLCPNTSCGNVNFAFRGVCNLCGAARPAGVSGSSAGGGGRGRGRGSDDARGGSRAAAAAAVGGPPGLFGPNDWPCPMCGNINWAKRMKCNICNTTKPGHNEGGVRGGRGGGYKELDEEELEEVKRRRKEAEEDDGEMYDEFGNLKKKFRAKTQQTENAPTLPGSGRAGWEAPLEEKARKGAEIRAETMTTMNGIAGTEIEAVMEGSGAEVETVRRKGGGTRAEITAMRGAGSEEPSVTMIAIDEYDGRFSHVACRFWFYDTSVLLLDSYAASASAVRWVCTLFVLA >ORUFI07G10470.1 pep chromosome:OR_W1943:7:9678765:9679259:1 gene:ORUFI07G10470 transcript:ORUFI07G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVTSAMTSAPAAARQRTLAGERRRNDANGGHQRVEGDAANS >ORUFI07G10480.1 pep chromosome:OR_W1943:7:9689635:9691206:-1 gene:ORUFI07G10480 transcript:ORUFI07G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAAAAAKKRRFGRPTGQGPLGSDGLDHISRLPDAILGEIISLLPTKDAARTQAVSRRWRPLWRATPLNLDVDSLSTQERKRTMFVSRILASHPGPARRLSLPFFRLRDRYAKLDGWLRSPALADLQELDFSYDIEDEEALYPLPPSALRFAPTLRVVELRTCHFPNGMAPALHFPRLARLTPYRVTISEDTLHGLLSRCSALESLLLVGNFGIRRLRINSPSLRSLGFSASSWEGYQNDCCQPYNLDSNGEVVDFLKCFPCLEKLYVIGYNFVKYYIAKCQPSHPHKVIKNIRSYDQLHPIECMELHLRKVVIRYYEGKRPDVDFAKFFVLNAKVLREMDFCSPSNRNLKWQDNQHRRLSLQNKASQVAQFTFKTTSRTRNELTRNRHTHELSMSDPFDFTSCLCSSCRFFFRL >ORUFI07G10480.2 pep chromosome:OR_W1943:7:9689635:9691206:-1 gene:ORUFI07G10480 transcript:ORUFI07G10480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAAAAAKKRRFGRPTGQGPLGSDGLDHISRLPDAILGEIISLLPTKDAARTQAVSRRWRPLWRATPLNLDVDSLSTQERKRTMFVSRILASHPGPARRLSLPFFRLRDRYAKLDGWLRSPALADLQELDFSYDIEDEEALYPLPPSALRFAPTLRVVELRTCHFPNGMAPALHFPRLARLTPYRVTISEDTLHGLLSRCSALESLLLVGNFGIRRLRINSPSLRSLGFSASSWEGYRVLSDGISQLHLGTTIFQKMIAVNLTTSIRTVKVLVLDSNGPNLDVVVDFLKCFPCLEKLYVSHPHKVIKNIRSYDQLHPIECMELHLRKVVIRYYEGKRPDVDFAKFFVLNAKVLREMDFCSPSNRNLKWQDNQHRRLSLQNKASQVAQFTFKTTSRTRNELTRNRHTHELSMSDPFDFTSCLCSSCRFFFRL >ORUFI07G10490.1 pep chromosome:OR_W1943:7:9699962:9701329:-1 gene:ORUFI07G10490 transcript:ORUFI07G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVASAVVQETVSGVFSYLSSNRTEKASKRHNMERLEMAHSELDLALERSSKLPITDASLLRQRKIYKRAYDECGDVLHRCKLLILEGEDSHMVKGGFTKRIFRAVKSSISSLIGMDKDEASYSDDAVRRFEWFADKAGKFVRDVETGCSLAHYRFFSPLIKHLLEGKRLCYELVRRSQTLRLEIDPVRSEERGVEAEIRLCNDDVTMLTRSFNLRLILRLSESTDIVGIIISCLQSFGPHFKSLVENAKNTVAELPTQDVLNSSARIFFALPSDALYEGSATTYRPDPLCCRTHGHGVGSLELSYRFPEQVSNVHFNGYVVASDCNYRSANSTSKFIDRDIMRDWPPLQLTIAFAPHQPHHEDVQGSYEIIGGNNERIDTSMHQMEEMVVSKAIGCFNSQPEVATYSIFGWSVHGCAYFAVQKSIVPVAFPLSPRPTNSTPRPEEFSTGEPY >ORUFI07G10500.1 pep chromosome:OR_W1943:7:9707432:9709546:-1 gene:ORUFI07G10500 transcript:ORUFI07G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTAPVAKKRRHDEPECQERSEGSDLGGDADAGGIDLISLLPDEILGTIISLLPTKDAARTTVLSPRWRHLWRSAPLNLDADGALSGLERKRISIVSRIVEAHRGPARRLSLRSVRLRGIYARFDRWFRSAALNNLEHLDFAYARDGRYYGIGVDPDPRPPRPLPPSALRFAPTLRTAYIGGCDFPAVAPAAAAAPCFPRLTRLTLYGVAISEDALHRVLAGCAVLDTLGLEASSGFGAVRINSPTLRSVGFAVSAETELVIEDAPCLERLMLLDPHSGPKNVRVVRAPQLKVLGYLSDKITKLDLGTVIIQLKAPSSTASLRTVKVLVLESAGQNLDTIIGFLKCFPCLEKLYIMKTMKNTRRYNPLEPIACLDHHLRYIILNNYIGMRPDVNFAKFFVLNARMLKAMKFGVLVGCTEKWMANQHRRLQLDHKASPDAQFDFRRDYCWRNILYNKRTHDLPRDDPFDGSIC >ORUFI07G10510.1 pep chromosome:OR_W1943:7:9731164:9732844:1 gene:ORUFI07G10510 transcript:ORUFI07G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESSQPAKKRRTYRCRRCGFPKKGHVCAAAAAPGGGGLPLLPSPEEEEKKVDGISALPDDVLHTIISLLPTMGGAKTQVLSSRWLPLWRSAPLNLDDAEIPDLWEDFLLNVITEIIADHRGPTWRLSITKLARVNEFRGDLVATLDDLLRAGTLDGLEELRFHYRPNMTAPDPLPPAATRFSRLRVASFGFCSFPGAGVLGGVAFPNLQELTLLAITNSEDTLHAMISACPALRSLLLRDNDAFRRVRISSPTLVSLGLCSRTSDMEELIIDNTPSLERLLMFRSSDKLPRVVRVFSAPKLEVLGCLSDGISDEHYGIVVWPLAEGLFVPDIQNGLVDDDAAIECLDLHLKEIVVRNYRGQKSHVAFAKFFVLNASVLKVMTFRARVGLSKKWLSNQRRLLRLREKASPNARFEFSCDGYFMDYYYNHSQRTHELSVGDPFDD >ORUFI07G10520.1 pep chromosome:OR_W1943:7:9734024:9734771:1 gene:ORUFI07G10520 transcript:ORUFI07G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESSQPAKKRRTYRCRRCGFPKKGHVCVDAAAAGGVLPLLPSPEEEEKFGRISALPENVLHTIISLLPTIGGAKTQLAEGLFVPDIHNGLIDDAAAIECLDLHLKEIVRARGDDISSRCEIEQKVAVESANSISLEGKASPNARFEFSRDDYFMDYYYNHSQRTHQLSVGDPIDD >ORUFI07G10530.1 pep chromosome:OR_W1943:7:9737383:9738466:-1 gene:ORUFI07G10530 transcript:ORUFI07G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLGLHLTQPGHERALPLTLQHDLEDWLKFPMMNRLSHLDIQLTNAWQHHASQAAAYMMTSSASHSINFPKLRKLNLHSVTSSEDALHALPARPLRASKSTTPLGCIIFASGCAAPRKTNVSFVGRWPSELPDNHLDRRYWEMPPGNVAASVPTTKILVLQSSGPNLAAVVHLRYITCLQKLYVRMNI >ORUFI07G10540.1 pep chromosome:OR_W1943:7:9747942:9748133:1 gene:ORUFI07G10540 transcript:ORUFI07G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLFRCELSVCMCLYGVPGCSLEFVLALTKLGRRRPERGTSRRRRKQQGDGVGSFRSSGC >ORUFI07G10550.1 pep chromosome:OR_W1943:7:9750627:9751729:-1 gene:ORUFI07G10550 transcript:ORUFI07G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSHLDFQFTNGNITMTDQANYMSYLLVVSFSSCCLHDDIISQPLHFPKLRKLNLHSVNSSEDALHALSARPLRASKSTTLLVASSSHQVSITEEHMHRRHTRSESRGHEVPLLERPMSAFLDDGPPSFREMPSGNVAASVPTMKILVLQSTCPNLAAVVHLRYFPCLEKLYVRMNL >ORUFI07G10560.1 pep chromosome:OR_W1943:7:9758190:9760101:-1 gene:ORUFI07G10560 transcript:ORUFI07G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSTKSPRKRRSQELKVGHGIHHAPGAKKEKYSSLDLLPVGLGEPKEEEASRANNISRLPKEILGTIVSLLYTRDAARTQVISRQWLPLWGSASLNLDMNALSVHERKRIDIAGSILAAHRGPIHRLVLISDRLERCNTTFEDWLKLPGMKNNLSHLDFRFATSNTTPADQANDMTYSLVISALRFSSTLEVVSFSSCCFRDDMINQPLHFPKLRKLNLHSVTTSEDAHHAVISACPTLESLHVNYTIGLRRLHVRSASLRSICLGTTHGLNQEVVFQEVVVEDAPLLERLMPTLLDDGPPSIRVISAPRLHILGILPSFISRLEIGTVVIQEMPPVSVAVSVPTVKILVLQSVGPNLAAVVNILKYFPCLEKLYIKITLQSTAKNELRNYLPGPVHCLEHHLKSIVLKRYQAKTPVVNFAKFFILNAKVLKVMKFGVQDITRQNEKWMTNQRRRLQLDNKASQDARFDFDSKYWSDYLESTRIDDFSVSDPFDLSLD >ORUFI07G10570.1 pep chromosome:OR_W1943:7:9777156:9779069:1 gene:ORUFI07G10570 transcript:ORUFI07G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLPYAAAAAATGEDPDQIGRLPDCLLTTILSLLPLDAAARTTALSRRWRSLWPSAPLRLHDSDLPSRSQYHSAISGILASHRGDAVSFRLSSGRPSSADLDTWLRILAGKRLQELLLQPPSEPLPLPPSLLACRSLCSADLTNCRLPAAAAAAASFPHLHELTLRYCFASSPALHGLLAGCPALATLSLDRVFGCRSLCVRSRTLRSLTVSVSLRRRDEVGDELQDLVVEDAPLLERLLGHDVNWGPSIHVLHAPRLEMLGYLGVGIPSLQIGAALFHSMRAVRLAAEFRCLKTLALEMVDPQVKPVVDFLRCFPCLEALYITSHMFVPRSMQTLKCDNMDYPIECLNRHLKKVVLAGYGGRRRELQLARFLVSNARVLQVMKFLCANDCNPTWLASQKRRLCWESRLSLGPQVIFEVYRKSHTRFRKHASNITLVDPFDVKT >ORUFI07G10580.1 pep chromosome:OR_W1943:7:9781836:9794042:1 gene:ORUFI07G10580 transcript:ORUFI07G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGLPAPGCLQKLGYIVDAERFVLNMSNRGIHKALSFQCFASDGRGFGAGSTNRRKIKSKKRQKDVAQEPSKVISGGSKNRDQWAPELGTRRESKSAKTVMDKQFLEKVEAVRRSALEKKKADENKNYQAIDYDAPIESDKSTIGFGTRVGIGIAVVVFGLVFAFGDFLPYGSVSPSKESTVVSKQLSEEEIQNFKTALEGFEATLRTSPNDPTALEGAAVSLVELGEYQKASEFLEKLVKVIPDKVEAYRLLGEVKFELKDYDGSSSSYRKSLSASDNIDFEVLRGLTNALLAAKKPDQAVDVILSCRQKLNEKSQTQVANLAAANDDGAPKSQDIDLIQVDLLLGKAYSDWGHISDAVAVYENLITEHPEDFRGYLAKGIILKENGKSGEAERMFIQAKFFAPDAAKALVDRYAQR >ORUFI07G10590.1 pep chromosome:OR_W1943:7:9808159:9810436:1 gene:ORUFI07G10590 transcript:ORUFI07G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEQELGLGGGGVVQQFRGGSPATGRRKLRFTEAVVPCARSSIWKATMTINLGPTRFQHLILHLLSDYFNGAGMLRYFSSDIAKATPCNIFWCKSIQSYHSNYS >ORUFI07G10600.1 pep chromosome:OR_W1943:7:9814006:9814320:1 gene:ORUFI07G10600 transcript:ORUFI07G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVALAVLLVAVAAALLAVAPAPARAVCNMSNDEFMKCQPAAAATSNPTTNPSAGCCSALSHADLNCLCSYKNSPWLSIYNIDPNRAMQLPAKCGLTMPANC >ORUFI07G10610.1 pep chromosome:OR_W1943:7:9835137:9835475:1 gene:ORUFI07G10610 transcript:ORUFI07G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGGGGVVTTEFVSRWRRAAGAQSAPVEMRAGSGVGGAKCLGGARVDLVEKPQPLSTSVSVTAYAYLAIVGAAPLPTSSPPTSPPFPDCLPVRSGRHRGHSRRIWTPQLL >ORUFI07G10620.1 pep chromosome:OR_W1943:7:9851114:9861829:1 gene:ORUFI07G10620 transcript:ORUFI07G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLPRWAPTPSPTRPLRTLGTPSTGAAAAAASSSSSSSWRSCMPLLTTFSSVFGSSAARRGGGLSGGEPPALPPDAGRHDTVGGIAHLDGVEAAVERRRKDEMMMSATTACSRGVFLTWDDLSVTAPAAAAGCGGHGRRAVILDGLSGYARPGEVLALMGPSGCGKTTLLDALAGRLSPNMKMRGDILMNGLREKLSFGTSAYVTQENVLMATLTVTEAVHYSAQLQLPDSMPAADKRALADRAIRQMGLGAVAGVRIGGRVCKGISGGQRKRVSICVELLASPSLLFLDEPTSGLDSAASYHVMSRIAGLARRDGMTVVAAVHQPSTEMFELFRGLCLLATGRTVYFGAASDALEFFESNGFPCPLRRNPSDHFLRMINKDFEESEEGSTIISPRAAEVIQKLMGSFKSCGTLRTEKEACAMINQGASPIPQRQATFLTKTYVLTKRSIVNMHRDAGYYWLRFVIYIAICLSIGTIFFNVGSNFASIQARASMLMFTSTLLTMMAIGGFPSFVEDMKIFRKERMSGHYGATEFVISNTLSSIPYLGLISIIPGAIAYYLTGLQRGIDHFIYFAAVLWACTMLVEGLMMIVAAVVPDFLLGIITGSGVQGVLMLNAGFFRLPSDLPKPVWKYPTYFISYHKYATQGLYKNELLGLVFDDIGGGGLTISGEYILKNYLQVELSYSKWVDLAILVAMIIIYRVLFLVIIKISEIVKPRMLHFLCASKV >ORUFI07G10620.2 pep chromosome:OR_W1943:7:9851114:9861678:1 gene:ORUFI07G10620 transcript:ORUFI07G10620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLPRWAPTPSPTRPLRTLGTPSTGAAAAAASSSSSSSWRSCMPLLTTFSSVFGSSAARRGGGLSGGEPPALPPDAGRHDTVGGIAHLDGVEAAVERRRKDEMMMSATTACSRGVFLTWDDLSVTAPAAAAGCGGHGRRAVILDGLSGYARPGEVLALMGPSGCGKTTLLDALAGRLSPNMKMRGDILMNGLREKLSFGTSAYVTQENVLMATLTVTEAVHYSAQLQLPDSMPAADKRALADRAIRQMGLGAVAGVRIGGRVCKGISGGQRKRVSICVELLASPSLLFLDEPTSGLDSAASYHVMSRIAGLARRDGMTVVAAVHQPSTEMFELFRGLCLLATGRTVYFGAASDALEFFESNGFPCPLRRNPSDHFLRMINKDFEGASPIPQRQATFLTKTYVLTKRSIVNMHRDAGYYWLRFVIYIAICLSIGTIFFNVGSNFASIQARASMLMFTSTLLTMMAIGGFPSFVEDMKIFRKERMSGHYGATEFVISNTLSSIPYLGLISIIPGAIAYYLTGLQRGIDHFIYFAAVLWACTMLVEGLMMIVAAVVPDFLLGIITGSGVQGVLMLNAGFFRLPSDLPKPVWKYPTYFISYHKYATQGLYKNELLGLVFDDIGGGGLTISGEYILKNYLQVELSYSKWVDLAILVAMIIIYRISEIVKPRMLHFLCASKV >ORUFI07G10630.1 pep chromosome:OR_W1943:7:9869294:9869771:-1 gene:ORUFI07G10630 transcript:ORUFI07G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKETLKTSLPQPSSASTIAIFSQKRKRKRKKSSSRKRFLTFCNPGCVCSCIGGWVNIYSGCQGQYKLGPEGALRVPSTAAEAFCGGPCLAEANLVLGYVDAIMDSFRFYNGATIRDVRLTLDRGCGRSGGLRRVATPGR >ORUFI07G10640.1 pep chromosome:OR_W1943:7:9882961:9884016:-1 gene:ORUFI07G10640 transcript:ORUFI07G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLAGGGTGRNGGTRWGRWLGLVTAVWVQYISGNNYTFSNYSHSIKTLMGLTQQQLKTASPSPRKRRAVAEAAQLCALPNTVAQFFRRPVLPRWPPAAPSVVAASQDRKDQSATSQVENGARKRHRC >ORUFI07G10650.1 pep chromosome:OR_W1943:7:9887274:9887588:1 gene:ORUFI07G10650 transcript:ORUFI07G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQATAAVLAVALVLAASAGVARGICNLSDAGLQACKPAAAVRNPADTPSSECCAALAAADLPCLCRYKGSAGARVWVRFYGIDLNRAMTLPGKCGLTLPAHC >ORUFI07G10660.1 pep chromosome:OR_W1943:7:9895883:9896194:1 gene:ORUFI07G10660 transcript:ORUFI07G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAQAVLLLALVASLAAARGADAICNMSNGDFRLCQPAAAASDPTDGPSAECCAALGEADLACICRYKGVAGFWMRIYHIDAARAMALPGKCGLTMPTNCS >ORUFI07G10670.1 pep chromosome:OR_W1943:7:9914957:9919457:1 gene:ORUFI07G10670 transcript:ORUFI07G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHFQLPWLPNNPTSSSSSPTKPPSPAIPNPFLPIQAGLASFLSSLPLPRAPFPPPPWARISSASASAASASALPVAEIEERLAGVPVYALANSSQEFVLVSSARGGGGGGGGARAAVPPPALGLLCFRREDADALLAQMDGDMAAGSTVVPVALNKVIQLKSDGVAFRFVPDSSQVANAMKLMENEGQYVNDGFPGVPVFQSRSLVLMSDNKRYRPIFFRKEDLDNSLHRASRDQQKPNPAVKMGDIQVSSLENIIKSMKDSSSSKWDDAVFIPPGFDLATSSKQSNHDN >ORUFI07G10680.1 pep chromosome:OR_W1943:7:9919743:9920636:-1 gene:ORUFI07G10680 transcript:ORUFI07G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSSLIQLLLIAAVASQFVSSQAGSIAIYWGQNNGEGTLADTCATGNYKFVNIAFLAAFGNGQPPVFNLAGHCGPTNGGCASQSSDIKSCQSRGVKVMLSIGGGAGSYYLSSSEDAKNVATYLWNNFLGGQSSSRPLGDAVLDGIDFDIEGGTNQHWDDLARYLKGYSNSGRRVYLTAAPQCPFPDAWIGDALNTGLFDYVWVQFYNNPPCQYSSGSTSNLADAWKQWLSVPAKQIFLGLPASPQAAGSGFIPADDLKSQVLPVIKSSGKYGGIMLWSKYYDDQDDYSSSVKSDV >ORUFI07G10690.1 pep chromosome:OR_W1943:7:9927247:9930685:-1 gene:ORUFI07G10690 transcript:ORUFI07G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVAESCVDGVVMQMVAAYCGGFYAAKPELAARRIEAIGFQVGHQLTERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRVSVDPSVESMDATENDSTTLGDSAAQTTSMLLYFPCGIIRGALTNLGISCSVTADMSNLPACELHHFSCLLHTMQHHEAA >ORUFI07G10700.1 pep chromosome:OR_W1943:7:9933594:9936962:-1 gene:ORUFI07G10700 transcript:ORUFI07G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFAEGAAALLLMVKIGPLRELAMRGVEQAKDGKGPAAVKTLACTLLVIFMSSVASILRIQNRGIKLGTVSPMDQVLWRTHLLEASLIGYILFLAFVIDRLHHYHRKLTILKKTANTSREEVEKLQIELQGKEDKSKEVKKLRAELASLSEKMKKLKSESEEHERQRLEAEAHVNALQKQSEELLLEYDRLLEDNQILQTQVLSLRS >ORUFI07G10710.1 pep chromosome:OR_W1943:7:9941191:9942361:-1 gene:ORUFI07G10710 transcript:ORUFI07G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTQSFSYLKDAFAGGIDTTVVTTTWIMSELMRNPRVMQKAQAEVHNIVKNKSKVYEEDIQNMKYLKMIIKENCRLHPPGTLLIPRHTMKTCTIGGYSVPSKTRIYVNGMKEEDIDMDEIGQLAFRKKLPLLIVPMKH >ORUFI07G10720.1 pep chromosome:OR_W1943:7:9959938:9970155:-1 gene:ORUFI07G10720 transcript:ORUFI07G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELLASSQLLPWQPLVQLLAAVLFLLLPLVYLLFFKGDGNGGVMDSASAPSPPGPPRQLPVLGNLLQIGSRPHRYFQAVARRYGPVVQVQLGSIRTVVVHSPEAAKDVLRTNDLQCCSRPSSPGKAITVFVMSSSIGEGMDGSFFPNGSSGSRRAAVLTFMLVAKPSTVEARHCCCHPEATPLSSSHCWLKELLGGRTSEDWPPLPPCSHPTITVTRGETTNYLDVAVSPYSAYWREMRKLLVIELTSIRRVQSFAYARAAEVARLVDTLTASPAGVPVDLSSALYTFSDGVIGTVAFGKVYGSAAWSSSEWGGSFQEAMDETMQVVGSFSFEDFFPSSALARWADALTGAAGRRRRVFHRIDGFFDAVIDKHLEPERLSAGVQEGMVDAMVKVWREQKDEAFGLTRDHIKAILLDAFVGGIDTTVVTTTWIMSELTRNPRVMQKAQAEVHNIVKNKSKVCEEDIQNMKYLKMIIKENFRLHPPGTLLIPRQTMKTCTIGGYSVPSETRIYVNVWAMGRDPNIWDNPEQFYPERFEDKGIDFRGSHFEILPFGSGQRICPGIAMGVANVELVVANLLYCFDWQLPKGMKEEDIDMDEIGQLAFRNKLPLLIVPMKH >ORUFI07G10730.1 pep chromosome:OR_W1943:7:9999693:10002892:1 gene:ORUFI07G10730 transcript:ORUFI07G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTVYPGHGIQFVRNDAKIFHFCRSKCHKNFKTKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTEQTLKAIPLITKIRHERQKKHITERQKQGKTKQRERDAKELEQDIQMLPKKVTLSTQKTKVVVKVSQQQTEENLMEE >ORUFI07G10740.1 pep chromosome:OR_W1943:7:10008088:10015118:-1 gene:ORUFI07G10740 transcript:ORUFI07G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDFLSSQPQPWPPLLQLSAAVLFFLLPLLYLLFLRGSNGEARGRQGNSASAPSLPGPCRQLPVLGNLLQIGSRPHRYFQAVSRRYGPVVQVQLGGVRTVVVHSPEAAEDVLRTNDVHCCSRPPSPGIDYWREMRKLFVVELTSVSRVHSFAYARAAEVARLVDTLAASPPGIPVDLSCALYQLLDGIIGTVAFGKVYGAAQWSPERAVFQDVLSELLLVLGSFSFEDFFPSSALARWADALAGVERRRRRIFRQVDGFLDSVIDKHLEPERLSAGVQEDMVDALNTFAGGIDTTAITAIWIMSELMRNPRVMQKAQAEVRNTVKNKPLVDEEDIQNLKYLEMIIKENFRLHPPWTLLVPRQTMQPCLIGGYNVPSGTRVFINIWAMGRDPMIWDNPEEFYPERFEDRNIDFRGSHFELVPFGSGRRICPGIAMAVASLELVVANLLYCFD >ORUFI07G10750.1 pep chromosome:OR_W1943:7:10030995:10031773:1 gene:ORUFI07G10750 transcript:ORUFI07G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRLDNSTTKQRTKALLANHDINMQTEGTGRKSVRRRSLPTLTRRRMATEDGRRRDRDGDEVLSTAATTFRQCAATTE >ORUFI07G10760.1 pep chromosome:OR_W1943:7:10037147:10038461:1 gene:ORUFI07G10760 transcript:ORUFI07G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAHGETVAATGAARRQDGAAGEEEREREDGAVWWRCSDVSVAVAAAGDEEATALRSQEHGTKGIDRGRGEDGARLALIGGAAVLWAVRKWRRRGCVGRRRRWIERRKSNRERGLARLGVVKVGAARRCGGVRGSVSARAPGQEGEQDGRAREGKAKGGGGKGALLHTDLGEQREERRRALALCFGRVRTGVGRRGNRAWAATGRHGARARGAAGAAEIKWREREIWRRSDEGGGGKDGGDGERRENERERGERERRRSLSRSEHGNREESRNGFKVDSDIGGIMTSIWRGFKEAIEFQDLISKLVFTPKFGTYGRNRGKLPKFGK >ORUFI07G10770.1 pep chromosome:OR_W1943:7:10048355:10049489:-1 gene:ORUFI07G10770 transcript:ORUFI07G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTTPLGIVSLLEGVVLALTSPGTKNLPCVMGHWWTPALPLKLYKPKVFDEVFSVLVLFLALQGATKLDNDDMLQSFYKGSIAVKLKLLCRLGGNLGNENMCGLLCHHYDKLGRCLVSGSVVDF >ORUFI07G10780.1 pep chromosome:OR_W1943:7:10050621:10054537:-1 gene:ORUFI07G10780 transcript:ORUFI07G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPTGAMAAVIPKLSKLLMEEYGLQNSVKEGITFLNSELESMQAEVDKISKVPLDQLDSQIKIWARDVRELSYDIEDNVDTFMLCVDDLEARKKHDFTWLIDKYCKSLSELKIHHKIANDIKHDIIPVKEVVERHDRYNADDVDSKLPTIIDPRILKLYDNVTKPVGVDKASGDLIKKLSMETDQSSQKLKMISVVGFGGLGKTTLAKEVFGMLRVQFSYACFVSVGRKPDIKKVLKSILIEVNKQKHMSDLAKLSERHLIDEIREYLENRRYLVVLDDIWEISTWDIIKCAIVDSNCGSRVIATTRISQVAEEVGDIYNMEPLSDDNSKRLFNRRIFGADCIGTTNSQSIEAMEKVLKKCGGVPLSIITIASLLVDKPLEDWSNVFDSIGFRLEDNEAVQNTRKILSFSFYDMPSYLKNCLLHLRIFPEDCLIEKESLIWKWIAEGFVHVEQGKGLFEVGERYFTELINKSMIQPMDFNNYEGTLDGCRIHDMVLDLIRIISTEENSTTVLDRMHEEHNTSLVSRNVRRLALHISWNQDIDNNLPVDMARLRSFNAFECPTSMMPPLLDFHALRVLALEDCDITGGYFLKHLGNLRQLRYLGMRNTGKVELPQEIGNLRHLQTLDVRDSFLDALPVTVYELSKLLCLCMDSFTEVPAGLGNLKSLQELRVYVSDDSCPNFAAELLKLTDLKILHINWYWEVDEVSLKDLVESLRSLRGIEDLDFFSCSDAEMSGWEGWEPPRQLRRFSIDSVRVTLPRLPSWVNSTCVPHLSHLDLRVKAMEMQDLEALARISELRFLSVNVEAGFSWTVPGGGLFPNLRRCHTDIALTFLHGAMPMLMEIELCVVASGGGATSYDVGLGNLLLLKTVEVWIACEGATASQWLIKEDKEDGDDEDISATDQELCDEGGKEDTAFRLIGENKHTLAPARGGAPARQQGGGAPFSGEPFSGPASRMGAPSQLAR >ORUFI07G10790.1 pep chromosome:OR_W1943:7:10056531:10057098:-1 gene:ORUFI07G10790 transcript:ORUFI07G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRADVSNTCRDSFGRSTTTVGITAVGIRLCRHVLSGGAWYQQGSGRAGTEAIGGTLGRASACSVASCQSSRAGVKDGGIPDVGQREAAAVGLVALGIGAASVGRGARRGQRRSRQGLGRRGVSISGLVGVVMPLRRRGQREGETGGIGSVSMGGGFGSVTAGCELSA >ORUFI07G10800.1 pep chromosome:OR_W1943:7:10069979:10070224:-1 gene:ORUFI07G10800 transcript:ORUFI07G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPARLSPSPLPPGRRLPSRHRPSQLPPPPLMSGHRSRPDLAEGRCCCRLRCQAAAFPPATTRRRHPRLPSCRAAAPGQI >ORUFI07G10810.1 pep chromosome:OR_W1943:7:10072325:10072797:-1 gene:ORUFI07G10810 transcript:ORUFI07G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIFNQYGMQLAKCMEVIDNLAARFEARKTQPDTNGMVTKSSYTCEIIRIVLWCALNNCEPNSKVLDPGFGIFKTDRVPYILCADNIIPNTICTAPIKEMELKP >ORUFI07G10820.1 pep chromosome:OR_W1943:7:10079786:10080966:-1 gene:ORUFI07G10820 transcript:ORUFI07G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDARLLEFMEVTSCYDVTLAARQLASCGWHLDRAVDLFYAGVVEGGGRPSSWSARHDGEESTSSASASTSVRAPISARSDTLYGVPYPSVGRATRRRRQTRWESEEDAALRRQREGEASTSTSGYGGGRDDSDDEQPPPASKKRKPSTLAELYRAPRELTYRGGFHSAKVHAARLSRWLLVNVQAEYGGREFASHLLNRDVWADETVAMYVRDNFVFWQADEGDSGGEGSKVCCYYKLDRAKLPAVLFVDPVTGQLMEKLHHITDPTDFLMAAEKFIDSKSFISTTTRANRITYHRLTVVGKEFGGQCGVDALFAYCRSVIGVEQPFRVMRMPATAGAKEEVREDKDVSFEQLGLNMSTVYVLLD >ORUFI07G10830.1 pep chromosome:OR_W1943:7:10086253:10092310:1 gene:ORUFI07G10830 transcript:ORUFI07G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSAAAVLFPRPPPPPPPPAGAPTEPDDDEGSLHSLLASLSSSSALRLLPFPLLAFSRLRRHLPPAAGTSLLLLRPVAALLHHHRSHLRLGVQLHALSLSLGLSRHPILLPRLLSVYTSHPSLLPSAASVAADSTLPLPYNVLISSCLRHGLPLQALAAYQEMGKNGVLPDVFTYPSVLRACAEARELVLGRAVHMHAAGAGMDGNLFFQNALMSMYAKCGDLASARKVFDGMVQRDVVSWNSMISSYAAVGQWAEAMELFRRMRDEGTEVNSVTWNTIAGGYIQMRDYRAAVGLIREMVRGGAEVDYVTLVIGLNACSRVGWLRLGKEIHGLAVRMCCDQVESVSNALITMYARCKDMECARMLFRMLECPGVVTWNTMLSSFALSDCAEEASSIFREMICRGVKPNYVTVVTYLALCARVANLQHGQELHGHIVKHGFKGYRLLWNSLIDMYSKSGRLSVAQNVFDTMDDCDMISYTSMIAGYGMQGKGTVALRLFEQMIDSGIKPDHIIMVTVLSACSHSGLVLEGEELFNKMVISYGIKPQMEHYSCMIDLYARAGLLEKAEEMLDHTPFPPTSTMWAALVGACHDRGNIEIGERAARKLLEMRTENAGHYVLIANMYAAAGCWDELATVRKLMRDLGVTKAPGLAWTDLGNGFTPFLVGDRSNPLAPEIYVVLDELSEQMRNINNCSDLDILAENIDTAVYTNKPLPSARDWDACVAVTAMEF >ORUFI07G10840.1 pep chromosome:OR_W1943:7:10097783:10106581:1 gene:ORUFI07G10840 transcript:ORUFI07G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSATSLLLGAALATVFFLLYTSVCRDLGDGPPKSSPPRWAHAQEQGTATVTPATRVVDAEQGTGRPGRQEEEVVAPREEKQTKDEAASRSGHGGGSVEQQQNQRRIVMPTSQQKETPSSPPQRQQQDLGELLRRAATPDKTVLMTAINEAWAAPGSFLDLFLESFRHGEGTEHLVRHLLVVAMDGRAFERCNAVHQFCYWFRVDGMDFAAEQSYMKGDYLEMMWRRNRFQQTILELGFSFLFTDVDILWFRSPFPHLSPDAQVVMSSDFFVGDPTSPGNYPNGGLLYVRSSASTVRFYEHWQSSRARFPGKHEQFVFDRIVKEGVPPHVGATVRFLDTGHFGGFCQHGKDLGRVVTMHANCCVGLHNKLFDLRNVLDDWKTYKERVDAGNMDYFSWRVPGRCIH >ORUFI07G10840.2 pep chromosome:OR_W1943:7:10104153:10106581:1 gene:ORUFI07G10840 transcript:ORUFI07G10840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAINEAWAAPGSFLDLFLESFRHGEGTEHLVRHLLVVAMDGRAFERCNAVHQFCYWFRVDGMDFAAEQSYMKGDYLEMMWRRNRFQQTILELGFSFLFTDVDILWFRSPFPHLSPDAQVVMSSDFFVGDPTSPGNYPNGGLLYVRSSASTVRFYEHWQSSRARFPGKHEQFVFDRIVKEGVPPHVGATVRFLDTGHFGGFCQHGKDLGRVVTMHANCCVGLHNKLFDLRNVLDDWKTYKERVDAGNMDYFSWRVPGRCIH >ORUFI07G10840.3 pep chromosome:OR_W1943:7:10097783:10099030:1 gene:ORUFI07G10840 transcript:ORUFI07G10840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSATSLLLGAALATVFFLLYTSVCRDLGDGPPKSSPPRWAHAQEQGTATVTPATRVVDAEQGTGRPGRQEEEVVAPREEKQTKDEAASRSGHGGGSVEQQQNQRRIVMPTSQQIDDG >ORUFI07G10850.1 pep chromosome:OR_W1943:7:10107231:10111429:-1 gene:ORUFI07G10850 transcript:ORUFI07G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATTTSVEGVIAGGAAGVVVETALYPIDTIKTRLQAAKGGSKIQWKGLYAGLGGNIAGVLPASAIFIGVYEPTKRKLLEMFPENLSAVAHLTAGAIGGAASSLIRVPTEVVKQRMQMSQFKTAPDAVRLIIRKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLAAKRDLKDGENALIGAFAGAITGAITTPLDVLKTRLMVQGQANQYRGIISCAQTILREEGAGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSILAERNSRKVRIL >ORUFI07G10850.2 pep chromosome:OR_W1943:7:10107231:10111429:-1 gene:ORUFI07G10850 transcript:ORUFI07G10850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATTTSVEGVIAGGAAGVVVETALYPIDTIKTRLQAAKGGSKIQWKGLYAGLGGNIAGVLPASAIFIGVYEPTKRKLLEMFPENLSAVAHLTAGAIGGAASSLIRVPTEGYGSFLLRDLPFDAIQFCIYEQLRIGYKLAAKRDLKDGENALIGAFAGAITGAITTPLDVLKTRLMVQGQANQYRGIISCAQTILREEGAGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSILAERNSRKVRIL >ORUFI07G10850.3 pep chromosome:OR_W1943:7:10107231:10111429:-1 gene:ORUFI07G10850 transcript:ORUFI07G10850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATTTSVEGVIAGGAAGVVVETALYPIDTIKTRLQGFCNLYRSTAGAIGGAASSLIRVPTEGYGSFLLRDLPFDAIQFCIYEQLRIGYKLAAKRDLKDGENALIGAFAGAITGAITTPLDVLKTRLMVQGQANQYRGIISCAQTILREEGAGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSILAERNSRKVRIL >ORUFI07G10860.1 pep chromosome:OR_W1943:7:10115450:10119153:-1 gene:ORUFI07G10860 transcript:ORUFI07G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDALPEGPESDLFVYYCKHCASHVLITDTQLQKMPKRKTDRAHVLDKKKHLSRLNVKEAGKVLLKRGEGKLEKQFRMSCLGCGLFVCYRSEEELELAPFIYVVDGALSSVAAETNPHDAPVPPCITQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVEDLSARQVYEKLLEAVQP >ORUFI07G10870.1 pep chromosome:OR_W1943:7:10128583:10128990:1 gene:ORUFI07G10870 transcript:ORUFI07G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHESQMEEHWRLNGVAMEADQRGVLGSRSDGRADNCLYPHHRNPASATVYRYECNLSNTTSYA >ORUFI07G10880.1 pep chromosome:OR_W1943:7:10129223:10135114:1 gene:ORUFI07G10880 transcript:ORUFI07G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTPASSPLFGTPSTTPAFGAPSSTPAFGTPSTNPAFGTPSSTPAFGAPSSTPSFGTPSTAPAFGTPSSTPAFGAPSSTPAFGAPFSTPAFGVAPSPSPSPFGFQQQMTPSPSPFGFAGGGGGQITTQMAPVAPLPLSPSDRDIQAIVDAYKEDPGNPRYAFRHLLFSVTEPSQRVKPVAASDIMWAEAMGKLEGMDSSDRERLWPQLVQGFKDLSYRLKASSRHTPYHYGSKAVLQDGVLVSDSDRLSMTRDNVKKLQRHFQADTYPWIQRLKQQELVIERRLLRIMRIVEALENRGYRIPLTKEEADLYERLAVIAKQLKGPTGDLHRRVYNLLSTSRLLASAGGTAGPIYIPSSAKVDEQSVAELLEALQQQTEAVAKLGNVMKRDTRDLEIILSEDTDMAEDSVGRRALKMYN >ORUFI07G10880.2 pep chromosome:OR_W1943:7:10129223:10135114:1 gene:ORUFI07G10880 transcript:ORUFI07G10880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTPASSPLFGTPSTTPAFGAPSSTPAFGTPSTNPAFGTPSSTPAFGAPSSTPSFGTPSTAPAFGTPSSTPAFGAPSSTPAFGAPFSTPAFGVAPSPSPSPFGFQQQMTPSPSPFGFAGGGGGQITTQMAPVAPLPLSPSDRDIQAIVDAYKEDPGNPRYAFRHLLFSVTEPSQRVKPVAASDIMWAEAMGKLEGMDSSDRERLWPQLVQGFKDLSYRLKLQDGVLVSDSDRLSMTRDNVKKLQRHFQADTYPWIQRLKQQELVIERRLLRIMRIVEALENRGYRIPLTKEEADLYERLAVIAKQLKGPTGDLHRRVYNLLSTSRLLASAGGTAGPIYIPSSAKVDEQSVAELLEALQQQTEAVAKLGNVMKRDTRDLEIILSEDTDMAEDSVGRRALKMYN >ORUFI07G10890.1 pep chromosome:OR_W1943:7:10147072:10147266:1 gene:ORUFI07G10890 transcript:ORUFI07G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEADVQRVTVAEADRAAGCGGGGAARPGSERQRRRRSGGRLQRRRRTGRSAAKVKVACAAGD >ORUFI07G10900.1 pep chromosome:OR_W1943:7:10151436:10170699:-1 gene:ORUFI07G10900 transcript:ORUFI07G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPAPRRRRPAAGGGGGGAAEAALVLLALAALYGAMSLVAYRVIHMRHVAPLGADAPLGDFSEGRVLHHLRRLSVDIPGRQEGSPGLEAAARYIKGQLEELAARAGPEYRIEVEESLVSGSFSMRFLRHRVTLTYRNHKNIVMRISSNVSEDQDLAFLVNGHFDSPLGSPGAADCASMLELSRLIIDSGWVPSQPVIFLFNGAEELFLLGSHGFIKTHKWNNTIGAFINIEASGSGGAVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDITNIPGLDIIFVLGGYFYHTSYDTVENLLPGSIQARGENLFNLVKAFTNSPMLLKENKRSNEAAMPIKDDLRAIFFDYLTWFMVIYPRGVSLVLHSLPVAIFLLAPLFLTSPNITLMSWSLTVLDLMRGMLLHAFGAILAIVIPAVAAAGLSEQAHFWGAFGLYSLITLFLIEKMGMMGSLPKPYGYFVADVIVGSVIGLVVGWCFGPVTPIASRWLAKTSILHGLLQVTVVGLAISSQLFPYSTGAPKRVVLQHTFVTDANSIVESHYGFSVVDANSLEFLFNNAPEAAKWLKDNSLLSFEEKYHSDRSSWLALYPVNFLFSGSLKFPSENEEIRKHYQHFPQMVIQKTSSNNGHRRMHLELSLGLSGESHENWSFWLEANSSEPLRIDVAVLDQYLLDRTRKLKSLFPKVASVHLDFATRSSDIKLPVPWSFQHHL >ORUFI07G10900.2 pep chromosome:OR_W1943:7:10151436:10170699:-1 gene:ORUFI07G10900 transcript:ORUFI07G10900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPAPRRRRPAAGGGGGGAAEAALVLLALAALYGAMSLVAYRVIHMRHVAPLGADAPLGDFSEGRVLHHLRRLSVDIPGRQEGSPGLEAAARYIKGQLEELAARAGPEYRIEVEESLVSGSFSMRFLRHRDLAFLVNGHFDSPLGSPGAADCASMLELSRLIIDSGWVPSQPVIFLFNGAEELFLLGSHGFIKTHKWNNTIGAFINIEASGSGGAVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDITNIPGLDIIFVLGGYFYHTSYDTVENLLPGSIQARGENLFNLVKAFTNSPMLLKENKRSNEAAMPIKDDLRAIFFDYLTWFMVIYPRGVSLVLHSLPVAIFLLAPLFLTSPNITLMSWSLTVLDLMRGMLLHAFGAILAIVIPAVAAAGLSEQAHFWGAFGLYSLITLFLIEKMGMMGSLPKPYGYFVADVIVGSVIGLVVGWCFGPVTPIASRWLAKTSILHGLLQVTVVGLAISSQLFPYSTGAPKRVVLQHTFVTDANSIVESHYGFSVVDANSLEFLFNNAPEAAKWLKDNSLLSFEEKYHSDRSSWLALYPVNFLFSGSLKFPSENEEIRKHYQHFPQMVIQKTSSNNGHRRMHLELSLGLSGESHENWSFWLEANSSEPLRIDVAVLDQYLLDRTRKLKSLFPKVASVHLDFATRSSDIKLPVPWSFQHHL >ORUFI07G10910.1 pep chromosome:OR_W1943:7:10174178:10178180:-1 gene:ORUFI07G10910 transcript:ORUFI07G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAIVDAKPLFFPQLKIQRRKDVFFAQSKLLASTKTFASLIAAKSIPSCSTALQDYPFPSSAPAASTIKPFLTSTAEQKIQ >ORUFI07G10920.1 pep chromosome:OR_W1943:7:10179628:10180851:-1 gene:ORUFI07G10920 transcript:ORUFI07G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILGFTVMGGDSPAWIEVPEKSKSAFWELMRRKVQRYVIFKIDDRREEIVVEKTGAPWESYDDFTASLPADDCWYAVYDLDFVSDDNCRKSKIFFISWSPSLSCIRAKTIYAVSRNHFRHELDGVHFEIQATDPDDMDLEVLRGRANRT >ORUFI07G10930.1 pep chromosome:OR_W1943:7:10186242:10187077:1 gene:ORUFI07G10930 transcript:ORUFI07G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDEVGQDVDILYRRRLASFHGGGLRWTGSMVQEGNERPCCSVRGWGGDEVDAVRGVPALQDRLGRRIILHSCLARHATSLA >ORUFI07G10940.1 pep chromosome:OR_W1943:7:10189689:10191741:1 gene:ORUFI07G10940 transcript:ORUFI07G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNTMAPSNSSEDTKESMEHLKGKQDSFVVTTKNDHVDHSVLESSSMLNLGKQDEPDKVEILAKATKDVPEELETIETKSMNSVKNTSKNVGKRTRQDNGGSKIKKSSDHNLQGQGTDRSVKGRTIETTPGPTQYPRGRGPTRGNLPYGFV >ORUFI07G10950.1 pep chromosome:OR_W1943:7:10193669:10193908:1 gene:ORUFI07G10950 transcript:ORUFI07G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGGRDDRAHRLSRRVSFVEKTMVHVFDRNDDMSIPKEEHVASSPSQGKPAEEEEEEFVNVVVDSSYPVSAIRFVVS >ORUFI07G10960.1 pep chromosome:OR_W1943:7:10198054:10200802:1 gene:ORUFI07G10960 transcript:ORUFI07G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVGGGARGRAAPTRASRDAPAADGAEEGVGQHWGRTQLGAAGWRRAVAEAARGRGLAPSGSDGGEQDGEDAPVEGGGGATATPLPLLHLNPTSSIRGGAPARPPPWALSVRATSPCAELAAHPSAELVARGRGWGEDVIAGGGRGGKEGVEMEKHRRIGHELKEVSSILIRED >ORUFI07G10970.1 pep chromosome:OR_W1943:7:10208355:10209023:1 gene:ORUFI07G10970 transcript:ORUFI07G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEAGVTATAPTVSAIVLTATVGCHLLKISGYSQTRLVDNGERVESAKFKAAGHTWRIVFYPNGKYSMDHGAFSFYLKLIDRSKGVDAEIQFSLLPRHGADSGTLPYSKPEIMHTFGSARRNSKCGFNWFISRDEMETLQNKYVGEDDDSIIVRCDIKVVNKPAIHRIGLNDLGVFCPCDDDTCKRLHKRSLQAASGIAMESQPCLGIKGGFKRLFSYFLA >ORUFI07G10980.1 pep chromosome:OR_W1943:7:10211540:10211766:-1 gene:ORUFI07G10980 transcript:ORUFI07G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLLTETFRFCIDRGGTFTNIHTELPGRREGYENEASLRRSVQLRVYDDDAPIEGIRRILEEFSGEKI >ORUFI07G10990.1 pep chromosome:OR_W1943:7:10213871:10221471:-1 gene:ORUFI07G10990 transcript:ORUFI07G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFGCRCTWRPRYLIHDLFPLFWFSIRIKFWKRVMPLRNAARVHLIIGEGKRVIKAVSSKERCRSESGDMAAALLPETAPRLLTPETIRAAAKQSQGIQLVPLSLRRAIKRYLRDQDKAHMNQKVLQLSASFERAKGTGTELAVAAMRGALIDDPRAPSGAEQRAARWKVRSAYGDIGLRYREDETVAYVASRMPAIYAACHRVLREVRRRLPDFAPAKVLDFGAGPSSALWAMRAVWPKSIEKANLVEPSKEMQRAGKNLLDNLKGLPLIHSYDSIQELNRNIEKHERRHDLSYALGEIPSLNDRITIVRQLWDLTGDVLVLLEPGTPQGAKIISQMRSYILWMEKRKCRKIEKSTRAAPSEMKSIVCQEASLKNGAFVVAPCPHDGRCPLENTDKYCHFVQRLERTSSQRAYKRSNGVPLRGFEDEKFCYVALRRGKRPEEAWPLDGMKFETLKERHAKRNPEDLIIDYDEQFPSEEDEEAPVNAEDSLVPYDSDAQELGLFHETEEEFEEQSVRADLGGGWGRIIYSPIRRGRQVQLDVCRATKRDASEGAFERVVITQSKNPTMHHQARRSLWGDLWPF >ORUFI07G10990.2 pep chromosome:OR_W1943:7:10213871:10221471:-1 gene:ORUFI07G10990 transcript:ORUFI07G10990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFGCRCTWRPRRVIKAVSSKERCRSESGDMAAALLPETAPRLLTPETIRAAAKQSQGIQLVPLSLRRAIKRYLRDQDKAHMNQKVLQLSASFERAKGTGTELAVAAMRGALIDDPRAPSGAEQRAARWKVRSAYGDIGLRYREDETVAYVASRMPAIYAACHRVLREVRRRLPDFAPAKVLDFGAGPSSALWAMRAVWPKSIEKANLVEPSKEMQRAGKNLLDNLKGLPLIHSYDSIQELNRNIEKHERRHDLSYALGEIPSLNDRITIVRQLWDLTGDVLVLLEPGTPQGAKIISQMRSYILWMEKRKCRKIEKSTRAAPSEMKSIVCQEASLKNGAFVVAPCPHDGRCPLENTDKYCHFVQRLERTSSQRAYKRSNGVPLRGFEDEKFCYVALRRGKRPEEAWPLDGMKFETLKERHAKRNPEDLIIDYDEQFPSEEDEEAPVNAEDSLVPYDSDAQELGLFHETEEEFEEQSVRADLGGGWGRIIYSPIRRGRQVQLDVCRATKRDASEGAFERVVITQSKNPTMHHQARRSLWGDLWPF >ORUFI07G11000.1 pep chromosome:OR_W1943:7:10221485:10222823:-1 gene:ORUFI07G11000 transcript:ORUFI07G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLGVIPAVVRRGPRWPCEDVEEAIPAVVVGKMMEAGRNGAEATAGLAFGQPKAQLPPPHPQNTRRSPPHLPLAVIWYPGGAHLPLWSTSPMPALLPTWEEEGKKKKGKEESGGN >ORUFI07G11020.1 pep chromosome:OR_W1943:7:10232687:10237662:1 gene:ORUFI07G11020 transcript:ORUFI07G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTPQNFTAYNLSPTQSQDFGITHSSLPKSNVNSFQQNGSYVQPTMHKAKHRLQNDDIKLASSAMDQFHEEFSFKRKNLEEEIYRDMMNRLNVCVLNKRLEPTFVLEQKPNNVELSTKKKMVPVFEFSEIEEPFVLPDEFRAKEVDEHQIDGARVLENTEKTLEGHHMAKHDIVQKIQSAINEGRLHFATPRKKKSLAQVGGSSTRKQIWVPKSRGQEKGLATGARVYKRLQFRKRLLNLGGSVQEQNEPYVKVEVEANNEKGVRRYAPNQRFAFNHQVLHHHIIYILHLCNRGLCLGLIIILGYHTSLYIMEGCYHIVLHLNY >ORUFI07G11030.1 pep chromosome:OR_W1943:7:10254122:10255424:-1 gene:ORUFI07G11030 transcript:ORUFI07G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPAPPGETSRQIPSRDDAKHEKEAANSSSSSSSEEIDEDDFFQIEGPILSTQYSLSPPPAEGGNRDAKQSDEPHDPKRIPSAVFARSKSSTPTDWSITSNESLFSINVGNASFSKDHMFLYGKSGELGANDPLPPLPKQSPSSSPLKGEVATPEKPSTSKEKGDGRGLTDRNGDDNTDYTHSSSHRSDGSTTSFAFPILTGSAKTSGSLKDSHPELARQSTAQLTHPSEMRDENENKETPFPAVVMEAPKVEATPAAAATAPAPPAPPATTKWFPCCSCCPFCC >ORUFI07G11040.1 pep chromosome:OR_W1943:7:10275014:10275366:-1 gene:ORUFI07G11040 transcript:ORUFI07G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNLRLNGSSSRAPSKATLFHQPSFQAYSTSASRLQRGSMLLRQHPQQVSSAPGRPTVVSSSNAPRIAPIRRPIDKSPLGNPTGKKILHVTVVKTVATPPSKINK >ORUFI07G11050.1 pep chromosome:OR_W1943:7:10289550:10292872:1 gene:ORUFI07G11050 transcript:ORUFI07G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQAVPISLPCAVRSIAERLTGSACSAAEAAASPQPIHYGDREAMALPRSPALLTTIQSCLSFTQTGFQELHNTFIKK >ORUFI07G11050.2 pep chromosome:OR_W1943:7:10289653:10292872:1 gene:ORUFI07G11050 transcript:ORUFI07G11050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQAVPISLPCAVRSIAERLTGSACSAAEAAASPQPIHYGDREAMALPRSPALLTTIQSCLSFTQTGFQELHNTFIKK >ORUFI07G11050.3 pep chromosome:OR_W1943:7:10289649:10292872:1 gene:ORUFI07G11050 transcript:ORUFI07G11050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQAVPISLPCAVRSIAERLTGSACSAAEAAASPQPIHYGDREAMALPRSPALLTTIQSCLSFTQTGFQELHNTFIKK >ORUFI07G11060.1 pep chromosome:OR_W1943:7:10292080:10292316:-1 gene:ORUFI07G11060 transcript:ORUFI07G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWEMVGARELDKTGGMGQWNLIKEMVGVRILLHAVLVIQSQQRAARFNPVRSALDKWIPSVVLYKSLLHRADASNQ >ORUFI07G11070.1 pep chromosome:OR_W1943:7:10299997:10303633:-1 gene:ORUFI07G11070 transcript:ORUFI07G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDWPYPLLSRDIDARHRARQMSSSRPSGLSVFITRTCPMWGVDERWVPRLSAADLLPFVRMLQARGHYDYMVARQQSARRRRANPGEGSTRMVRPTPTTTGGSQWHQGFTSVPGSTAVIPSIPHASTTGQWQGGFAPYAGSSQLVPPMRTEAGSSQFQGGFSGSPINAHVIPQVNMPMFSTGTNDLWQGAHTYNTGGIYQMSINENTQPQGPSFLDMLGHRDWLFSQPPIMQPQTTGRRPPTGARRKGKKKVTSTSRNFDDEPNE >ORUFI07G11080.1 pep chromosome:OR_W1943:7:10309581:10309817:1 gene:ORUFI07G11080 transcript:ORUFI07G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTDWGPIIAAVVLFILLSPGFLFQLPARARVVELGNMGTSGLSILVHAILYFCILTIVVVAIGVHVYSTKPDPID >ORUFI07G11090.1 pep chromosome:OR_W1943:7:10317594:10319748:-1 gene:ORUFI07G11090 transcript:ORUFI07G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSPAIYRCMQWRSWMPATPAGGSGGGANDGGGDGEDKKKSGEVAEAVISVPVHCDGCARKLRRSVQRLDGVEEVTVDCRTNTVIVRGPKAAVDPAGIVEVVDRRTGKKALLLSSLPSANLKPPPSPEKRSSETAKKDAAEQDMGKEMIDLHCEACCEEIKRRILKIKGVEEVTPHMKSSQVMVRGKVEPATLVGLIHKWTGRRAAIFRAEPQHPLPPPSESPPKVDDDNEPPKVAGSTEPAEEEETKQGGDPSPSDDAQEKKEGEEADQMKDQKEEPEEKEKKEEPDEKNEGGEADDLKPLTEDDASYNGVAEESHSTKDHLFRVALPRSVVAVAPPESEKMAMNSLCYSYYYYPAYPYPCHQYYQYPQQNIYAAGNYPAMYGYYPRHVPEDFSDANPNVCTVM >ORUFI07G11100.1 pep chromosome:OR_W1943:7:10322919:10324236:1 gene:ORUFI07G11100 transcript:ORUFI07G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIDGNGDAIHGSTLDTEGDDELSARCSTHGKLRTKDMNELGMVSRLRSSDRFKKVTHQGFMADELMISGSM >ORUFI07G11110.1 pep chromosome:OR_W1943:7:10335727:10340164:1 gene:ORUFI07G11110 transcript:ORUFI07G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSSNEISSAEAVLVGALSSGVNAPTWFVLQITFLLLAFCFTAMLSLAFFSSDFMIIAHVLLLVTIGTVLFVLLNRFLAETGLVPVEQQMKEMGIHKIEATEKDKGN >ORUFI07G11120.1 pep chromosome:OR_W1943:7:10343027:10346225:-1 gene:ORUFI07G11120 transcript:ORUFI07G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASVMTSLPNPLPTPPAHPAPAFNPQRQREHSVPSPTTTASSLRALFLRAVDPSRPASWSAAVADLLSSGDAVAALATFAAAVRANPAALRPALPPALRAAAAARSLAAGRQLHLLALRSGLFPSDPYSASALLHMYHHCSRPMDARRAFDEIPDPNPVIVTAMASGYVRNNLVYHSLELFRAMIASDSASVVDEAAALVAFSASARVPDRGVTASLHALIAKIGFERNAGVVNTMLDSYAKGGSRDLEVARKVFDTMERDVVSWNSMIALYAQNGMSAEAIGLYSKMLNVGGGIKCNAVALSAVLLACAHAGAIQTGKRIHNQVVRMGLEENVYVGTSVVDMYSKCGRVEMASRAFRKIKEKNILSWSAMITGYGMHGRGQEALEIFTEMKRSGLRPNYITFISVLAACSHAGLLDEGRYCYNAMKQEFGIEAGVEHYGCMVDLLGRAGCLDEAYSLIKEMKVKPDAAIWGALLSACRIHKNVELAEMSVKRLFELDASNSGYYVLLSNIYAEAGMWKDVERIRLLVKTRRIEKPPGYSSVELKGKTYLFYVGDKSHPQHIEIYSYLEKLLERMQEAGYVPNTGSVLHDLDEEEKESALRIHSEKLAVAFALMNSVPRSVIHIIKNLRVCSDCHTAMKFITKITEREIIIRDLQRFHHFKDGLCSCRDYW >ORUFI07G11130.1 pep chromosome:OR_W1943:7:10347930:10349282:1 gene:ORUFI07G11130 transcript:ORUFI07G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAKHTLKAAVVSHHGFDEEKVTMPVFYANLPIGVKDGLESACDYFDTRINQKFRSLRGVLLCWSIVCHRHVRYMLDRVGVHHRNIVNMMTRMRVWGCVLIEVIIIVVHDNLLAEVLLLWTGLLMIFTVIIGIVVLLVFHWMKGWRIRRYVLHLLNSPVMLYLGGINWYVLVVHILGNI >ORUFI07G11140.1 pep chromosome:OR_W1943:7:10364267:10372389:-1 gene:ORUFI07G11140 transcript:ORUFI07G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGWLCSGVLTALDGVAQPHPLPLPALRFAPTLRVAKLGWCDFPSGMASRPHFPRLKQITLSDVSISEDAIHGVLSRCPALESLLLEEKSFGVRRLRIASQTLRSLGLCYSWNARDDGKLQEVVIEDAPCLQRLLTPYLNNGPATIQVIAAPKMEALGWISDGISELHLGTAYFPKTTAVNMPRSMPTLKVLALVSDGPNLDAVVDFLKFSPRYKVIKNARRYDLLHPIECIELHLKKVVVRNYGGKRPDVDFAKFFVLNAKVLRQMELGSLPNCNQKWLANQHRRLQLENKASQDAQFTFKKTSWCRFVSIRHTHDLSISDPFDRSLFERSSSQEPPGSGGLDLISGLPDAILGEIISLLPTKYGARTQLVSRRWRPLWRSAPLNLDVYDLSGQERKRVALASKILAEHPGPARRFSLHCFRLRGRHAKLDGWLRSRALADLRELSFSYEVEREAQAQAYPLPPSALRFAPTLVVLYLSSCGFPDEMPPTLHFPRLKQLTLCSVAISEDAIHGVLSRCPALESLLLQGNFGVRRLRINSASLRSLGFYSESFGFSSASWNRFAGAELQEVVIEDAPCLERLLPLCPNDGVAAIRVIAAPKLEIMGPLSDGISQLHLGTTIFQEMTAVSLTTSMRSVKVLVLDSDGPNLDAVVDFLSCFPCLERLYIASQPFKVIKNTRRYDPLNPIECIEFHLKKVVIRNYGGRRPDVDFAKFFVLNAKALREMELAGLNNCNQK >ORUFI07G11150.1 pep chromosome:OR_W1943:7:10385674:10386092:1 gene:ORUFI07G11150 transcript:ORUFI07G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRTSGTTLGAWRWWIHVGWATWMNHRGQYIVDDVGEMEEGTEGGGGAVGWRGSSGGAAGWGGDEAEAEAVLLPARSWNGDFFTFLGERVCEREERSVCLEFWC >ORUFI07G11160.1 pep chromosome:OR_W1943:7:10405685:10405927:1 gene:ORUFI07G11160 transcript:ORUFI07G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWGRCTGGLDEATLGAPTPPTRGFGSWLRGSPAQVQRFERFLYKLTATLRNHAFGCQLGSAPMWSRETTDQSHALYVA >ORUFI07G11170.1 pep chromosome:OR_W1943:7:10406059:10412039:1 gene:ORUFI07G11170 transcript:ORUFI07G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAARGRRHPAAAARLLPIPLSSGPSFASSTTTTTSNGACSSSAADPDAVAAEVATLLSRCSGDWRLAVSSSDLPSRLSPAAISSLVRRRPSPSSPRLHPKLLLDFFYWSSPQLAPSAPAPDAFAHLAMSLCAGSLFNLANGLLIKMIRAYPSPPVVLASIHRALSDSGHRSPAVLDVLVDTYKKSGRVQDAAEVVLMMRDLGLAPSIRCCNALLKDLLRADAMALLWKVREFMVGAGISPDVYTSGAVEEAFGFKKDMEDYGLVPDGFTYGALINGLCKSRRSNEAKALLDEMSCAELKPNVVVYANLIDGFMREGNADEAFKMIKEMVAAGVQPNKITYDNLVRGLCKMGQMDRASLLLKQMVRDSHRPDTITYNLIIEGHFRHHSKKDAFRLLSEMENAGISPNVYTYSIMIHGLCQSGEPEKASDLLEEMTTKGLKPNAFVYAPLISGYCREGNVSLACEVFDKMTKVNVLPDLYCYNSLIFGLSKVGRVEESTRYFAQMQERGLLPNEFTYSGLIHGYLKNGDLESAEQLVQRMLDTGLKPNDVIFIDLLESYFKSDDIEKVSSTFKSMLDQGVMLDNRIYGILIHNLSSSGNMEAAFRVLSEIEKNGSVPDVHVYSSLISGLCKTADREKAFGILDEMSKKGVDPNIVCYNALIDGLCKSGDISYARNVFNSILAKGLVPNCVTYTSLIDGSCKVGDISNAFYLYNEMLATGITPDAFVYSVLTTGCSSAGDLEQAMFLIEEMFLRGHASISSFNNLVDGFCKRGKMQETLKLLHVIMGRGLVPNALTIENIISGLSEAGKLSEVHTIFVELQQKTSESTARHFSSLFMDMINQGKIPLDVVDDMIRDHCKEGNLDKALMLRDVIVAKSAPMGCSSYLAIVDNLCRKGKLSEALNLLKEMDKRGNLQPTLVALLGIFWFRRHHHILNKVVFTVFAPSLMFASLAKTVTLSDRGMIIAFCSTGNLGNLLLIVVPAVRDEDGNPFRKRQQPLPLSWALLFIILGGLYIWTHTYSLMKKKRGQMYHQPNSIQGLDDSNEEHHAKKFKANGEAACADEEATLPVSAKLAEHNEENQMEAPLLSGESEIAKKGSWTTTNLKDTIHHVVEELMAPPTLSAILGFVFGLVPWLKSLVIGDGAPLRVIQDSIQLMGNGTIPCVTLILGGNLIKGLRKLEFKHTVIIAIVCIRYVILPLVGIAVVHGAYWVGFLPHDPLYRYVLMMQLALPPAMTIGTMAQLFDVAQEECSVIFLWTYLVSSISLTTWSTIFMSIPSLGQSAMISAFQLTQREEVEDNNTPLEKSRSN >ORUFI07G11170.2 pep chromosome:OR_W1943:7:10406059:10412039:1 gene:ORUFI07G11170 transcript:ORUFI07G11170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAARGRRHPAAAARLLPIPLSSGPSFASSTTTTTSNGACSSSAADPDAVAAEVATLLSRCSGDWRLAVSSSDLPSRLSPAAISSLVRRRPSPSSPRLHPKLLLDFFYWSSPQLAPSAPAPDAFAHLAMSLCAGSLFNLANGLLIKMIRAYPSPPVVLASIHRALSDSGHRSPAVLDVLVDTYKKSGRVQDAAEVVLMMRDLGLAPSIRCCNALLKDLLRADAMALLWKVREFMVGAGISPDVYTYSTLIEAYCKVREFDTAKKVLVEMRERGCGLNTVTYNVLIAGLCRSGAVEEAFGFKKDMEDYGLVPDGFTYGALINGLCKSRRSNEAKALLDEMSCAELKPNVVVYANLIDGFMREGNADEAFKMIKEMVAAGVQPNKITYDNLVRGLCKMGQMDRASLLLKQMVRDSHRPDTITYNLIIEGHFRHHSKKDAFRLLSEMENAGISPNVYTYSIMIHGLCQSGEPEKASDLLEEMTTKGLKPNAFVYAPLISGYCREGNVSLACEVFDKMTKVNVLPDLYCYNSLIFGLSKVGRVEESTRYFAQMQERGLLPNEFTYSGLIHGYLKNGDLESAEQLVQRMLDTGLKPNDVIFIDLLESYFKSDDIEKVSSTFKSMLDQGVMLDNRIYGILIHNLSSSGNMEAAFRVLSEIEKNGSVPDVHVYSSLISGLCKTADREKAFGILDEMSKKGVDPNIVCYNALIDGLCKSGDISYARNVFNSILAKGLVPNCVTYTSLIDGSCKVGDISNAFYLYNEMLATGITPDAFVYSVLTTGCSSAGDLEQAMFLIEEMFLRGHASISSFNNLVDGFCKRGKMQETLKLLHVIMGRGLVPNALTIENIISGLSEAGKLSEVHTIFVELQQKTSESTARHFSSLFMDMINQGKIPLDVVDDMIRDHCKEGNLDKALMLRDVIVAKSAPMGCSSYLAIVDNLCRKGKLSEALNLLKEMDKRGNLQPTLVALLGIFWFRRHHHILNKVVFTVFAPSLMFASLAKTVTLSDRGMIIAFCSTGNLGNLLLIVVPAVRDEDGNPFRKRQQPLPLSWALLFIILGGLYIWTHTYSLMKKKRGQMYHQPNSIQGLDDSNEEHHAKKFKANGEAACADEEATLPVSAKLAEHNEENQMEAPLLSGESEIAKKGSWTTTNLKDTIHHVVEELMAPPTLSAILGFVFGLVPWLKSLVIGDGAPLRVIQDSIQLMGNGTIPCVTLILGGNLIKGLRKLEFKHTVIIAIVCIRYVILPLVGIAVVHGAYWVGFLPHDPLYRYVLMMQLALPPAMTIGTMAQLFDVAQEECSVIFLWTYLVSSISLTTWSTIFMSIPSLGQSAMISAFQLTQREEVEDNNTPLEKSRSN >ORUFI07G11170.3 pep chromosome:OR_W1943:7:10406059:10412039:1 gene:ORUFI07G11170 transcript:ORUFI07G11170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAARGRRHPAAAARLLPIPLSSGPSFASSTTTTTSNGACSSSAADPDAVAAEVATLLSRCSGDWRLAVSSSDLPSRLSPAAISSLVRRRPSPSSPRLHPKLLLDFFYWSSPQLAPSAPAPDAFAHLAMSLCAGSLFNLANGLLIKMIRAYPSPPVVLASIHRALSDSGHRSPAVLDVLVDTYKKSGRVQDAAEVVLMMRDLGLAPSIRCCNALLKDLLRADAMALLWKVREFMVGAGISPDVYTYSTLIEAYCKVREFDTAKKVLVEMRERGCGLNTVTYNVLIAGLCRSGAVEEAFGFKKDMEDYGLVPDGFTYGALINGLCKSRRSNEAKALLDEMSCAELKPNVVVYANLIDGFMREGNADEAFKMIKEMVAAGVQPNKITYDNLVRGLCKMGQMDRASLLLKQMVRDSHRPDTITYNLIIEGHFRHHSKKDAFRLLSEMENAGISPNVYTYSIMIHGLCQSGEPEKASDLLEEMTTKGLKPNAFVYAPLISGYCREGNVSLACEVFDKMTKVNVLPDLYCYNSLIFGLSKVGRVEESTRYFAQMQERGLLPNEFTYSGLIHGYLKNGDLESAEQLVQRMLDTGLKPNDVIFIDLLESYFKSDDIEKVSSTFKSMLDQGVMLDNRIYGILIHNLSSSGNMEAAFRVLSEIEKNGSVPDVHVYSSLISGLCKTADREKAFGILDEMSKKGVDPNIVCYNALIDGLCKSGDISYARNVFNSILAKGLVPNCVTYTSLIDGSCKVGDISNAFYLYNEMLATGITPDAFVYSVLTTGCSSAGDLEQAMFLIEEMFLRGHASISSFNNLVDGFCKRGKMQETLKLLHVIMGRGLVPNALTIENIISGLSEAGKLSEVHTIFVELQQKTSESTARHFSSLFMDMINQGKIPLDVVDDMIRDHCKEGNLDKALMLRDVIVAKSAPMGCSSYLAIVDNLCRKGKLSEALNLLKEMDKRGNLQPTLVALLGIFWFRRHHHILNKVVFTVFAPSLMFASLAKTQSVTKMATRFGKDSSRCRSLGLSYSSLSMALGGLYIWTHTYSLMKKKRGQMYHQPNSIQGLDDSNEEHHAKKFKANGEAACADEEATLPVSAKLAEHNEENQMEAPLLSGESEIAKKGSWTTTNLKDTIHHVVEELMAPPTLSAILGFVFGLVPWLKSLVIGDGAPLRVIQDSIQLMGNGTIPCVTLILGGNLIKGLRKLEFKHTVIIAIVCIRYVILPLVGIAVVHGAYWVGFLPHDPLYRYVLMMQLALPPAMTIGTMAQLFDVAQEECSVIFLWTYLVSSISLTTWSTIFMSIPSLGQSAMISAFQLTQREEVEDNNTPLEKSRSN >ORUFI07G11170.4 pep chromosome:OR_W1943:7:10406059:10412039:1 gene:ORUFI07G11170 transcript:ORUFI07G11170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAARGRRHPAAAARLLPIPLSSGPSFASSTTTTTSNGACSSSAADPDAVAAEVATLLSRCSGDWRLAVSSSDLPSRLSPAAISSLVRRRPSPSSPRLHPKLLLDFFYWSSPQLAPSAPAPDAFAHLAMSLCAGSLFNLANGLLIKMIRAYPSPPVVLASIHRALSDSGHRSPAVLDVLVDTYKKSGRVQDAAEVVLMMRDLGLAPSIRCCNALLKDLLRADAMALLWKVREFMVGAGISPDVYTYSTLIEAYCKVREFDTAKKVLVEMRERGCGLNTVTYNVLIAGLCRSGAVEEAFGFKKDMEDYGLVPDGFTYGALINGLCKSRRSNEAKALLDEMSCAELKPNVVVYANLIDGFMREGNADEAFKMIKEMVAAGVQPNKITYDNLVRGLCKMGQMDRASLLLKQMVRDSHRPDTITYNLIIEGHFRHHSKKDAFRLLSEMENAGISPNVYTYSIMIHGLCQSGEPEKASDLLEEMTTKGLKPNAFVYAPLISGYCREGNVSLACEVFDKMTKVNVLPDLYCYNSLIFGLSKVGRVEESTRYFAQMQERGLLPNEFTYSGLIHGYLKNGDLESAEQLVQRMLDTGLKPNDVIFIDLLESYFKSDDIEKVSSTFKSMLDQGVMLDNRIYGILIHNLSSSGNMEAAFRVLSEIEKNGSVPDVHVYSSLISGLCKTADREKAFGILDEMSKKGVDPNIVCYNALIDGLCKSGDISYARNVFNSILAKGLVPNCVTYTSLIDGSCKVGDISNAFYLYNEMLATGITPDAFVYSVLTTGCSSAGDLEQAMFLIEEMFLRGHASISSFNNLVDGFCKRGKMQETLKLLHVIMGRGLVPNALTIENIISGLSEAGKLSEVHTIFVELQQKTSESTARHFSSLFMDMINQGKIPLDVVDDMIRDHCKEGNLDKALMLRDVIVAKSAPMGCSSYLAIVDNLCRKGKLSEALNLLKEMDKRGNLQPTLVALLGIFWFRRHHHILNKVVFTVFAPSLMFASLAKTVTLSDSLMKKKRGQMYHQPNSIQGLDDSNEEHHAKKFKANGEAACADEEATLPVSAKLAEHNEENQMEAPLLSGESEIAKKGSWTTTNLKDTIHHVVEELMAPPTLSAILGFVFGLVPWLKSLVIGDGAPLRVIQDSIQLMGNGTIPCVTLILGGNLIKGLRKLEFKHTVIIAIVCIRYVILPLVGIAVVHGAYWVGFLPHDPLYRYVLMMQLALPPAMTIGTMAQLFDVAQEECSVIFLWTYLVSSISLTTWSTIFMSIPSLGQSAMISAFQLTQREEVEDNNTPLEKSRSN >ORUFI07G11170.5 pep chromosome:OR_W1943:7:10406059:10412039:1 gene:ORUFI07G11170 transcript:ORUFI07G11170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAARGRRHPAAAARLLPIPLSSGPSFASSTTTTTSNGACSSSAADPDAVAAEVATLLSRCSGDWRLAVSSSDLPSRLSPAAISSLVRRRPSPSSPRLHPKLLLDFFYWSSPQLAPSAPAPDAFAHLAMSLCAGSLFNLANGLLIKMIRAYPSPPVVLASIHRALSDSGHRSPAVLDVLVDTYKKSGRVQDAAEVVLMMRDLGLAPSIRCCNALLKDLLRADAMALLWKVREFMVGAGISPDVYTYSTLIEAYCKVREFDTAKKVLVEMRERGCGLNTVTYNVLIAGLCRSGAVEEAFGFKKDMEDYGLVPDGFTYGALINGLCKSRRSNEAKALLDEMSCAELKPNVVVYANLIDGFMREGNADEAFKMIKEMVAAGVQPNKITYDNLVRGLCKMGQMDRASLLLKQMVRDSHRPDTITYNLIIEGHFRHHSKKDAFRLLSEMENAGISPNVYTYSIMIHGLCQSGEPEKASDLLEEMTTKGLKPNAFVYAPLISGYCREGNVSLACEVFDKMTKVNVLPDLYCYNSLIFGLSKVGRVEESTRYFAQMQERGLLPNEFTYSGLIHGYLKNGDLESAEQLVQRMLDTGLKPNDVIFIDLLESYFKSDDIEKVSSTFKSMLDQGVMLDNRIYGILIHNLSSSGNMEAAFRVLSEIEKNGSVPDVHVYSSLISGLCKTADREKAFGILDEMSKKGVDPNIVCYNALIDGLCKSGDISYARNVFNSILAKGLVPNCVTYTSLIDGSCKVGDISNAFYLYNEMLATGITPDAFVYSVLTTGCSSAGDLEQAMFLIEEMFLRGHASISSFNNLVDGFCKRGKMQETLKLLHVIMGRGLVPNALTIENIISGLSEAGKLSEVHTIFVELQQKTSESTARHFSSLFMDMINQGKIPLDVVDDMIRDHCKEGNLDKALMLRDVIVAKSAPMGCSSYLAIVDNLCRKGKLSEALNLLKEMDKRGNLQPTLVALLGIFWFRRHHHILNKLGGLYIWTHTYSLMKKKRGQMYHQPNSIQGLDDSNEEHHAKKFKANGEAACADEEATLPVSAKLAEHNEENQMEAPLLSGESEIAKKGSWTTTNLKDTIHHVVEELMAPPTLSAILGFVFGLVPWLKSLVIGDGAPLRVIQDSIQLMGNGTIPCVTLILGGNLIKGLRKLEFKHTVIIAIVCIRYVILPLVGIAVVHGAYWVGFLPHDPLYRYVLMMQLALPPAMTIGTMAQLFDVAQEECSVIFLWTYLVSSISLTTWSTIFMSIPSLGQSAMISAFQLTQREEVEDNNTPLEKSRSN >ORUFI07G11180.1 pep chromosome:OR_W1943:7:10493877:10502304:-1 gene:ORUFI07G11180 transcript:ORUFI07G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVALRCNPRPSAAVALRGAAAAPQVGNEAAFELLVGATEPCLRGRRRGAGIRCQRSAAASAVVVEKKGRAVEPAREGANAGHAEAELTVVMKFGGSSVASAERMREVADLILSFPEERPVIVLSAMGKTTNKLLMAGEKAVGCGATNVSELDELTFIKELHFGTIDQLGLDRSIVSGSLYQYQLKLEVFHQAMKMAVTLFGRGGGVATASGIRRRQMERRCLLDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAALLNKLGVKARQYDAFEIGFITTDDFTNADILEATYPAIAKRLHGDWVTGPAIPIVTGFLGKGWKTGAMTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHPNAKPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNRRAPGTLITKARDMSKTVLTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSRELIKQANELDHVIEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRTNGVNVQMISQGASKVNISLVVHDSEAKQCVQALHSAFFESGFLPEVNDILQDDSVAHSNGTVYRH >ORUFI07G11180.2 pep chromosome:OR_W1943:7:10493877:10502304:-1 gene:ORUFI07G11180 transcript:ORUFI07G11180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVALRCNPRPSAAVALRGAAAAPQVGNEAAFELLVGATEPCLRGRRRGAGIRCQRSAAASAVVVEKKGRAVEPAREGANAGHAEAELTVVMKFGGSSVASAERMREVADLILSFPEERPVIVLSAMGKTTNKLLMAGEKAVGCGATNVSELDELTFIKELHFGTIDQLGLDRSIVSGLLDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAALLNKLGVKARQYDAFEIGFITTDDFTNADILEATYPAIAKRLHGDWVTGPAIPIVTGFLGKGWKTGAMTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHPNAKPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNRRAPVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSRELIKQANELDHVIEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRTNGVNVQMISQGASKVNISLVVHDSEAKQCVQALHSAFFESGFLPEVNDILQDDSVAHSNGTVYRH >ORUFI07G11180.3 pep chromosome:OR_W1943:7:10493877:10502304:-1 gene:ORUFI07G11180 transcript:ORUFI07G11180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVALRCNPRPSAAVALRGAAAAPQVGNEAAFELLVGATEPCLRGRRRGAGIRCQRSAAASAVVVEKKGRAVEPAREGANAGHAEAELTVVMKFGGSSVASAERMREVADLILSFPEERPVIVLSAMGKTTNKLLMAGEKAVGCGATNVSELDELTFIKELHFGTIDQLGLDRSIVSGLLDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAALLNKLGVKARQYDAFEIGFITTDDFTNADILEATYPAIAKRLHGDWVTGPAIPIVTGFLGKGWKTGAMTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHPNAKPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNRRAPGTLITKARDMSKTVLTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSRELIKQANELDHVIEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRTNGVNVQMISQGASKVNISLVVHDSEAKQCVQALHSAFFESGFLPEVNDILQDDSVAHSNGTVYRH >ORUFI07G11190.1 pep chromosome:OR_W1943:7:10528846:10552112:-1 gene:ORUFI07G11190 transcript:ORUFI07G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEVTLSSLLSCFLLTAAVFLHRNGASTTTHLHFYMHDAYTGLAPTTMRVFGDIVALNNALTEGPSYTFDSNQNSGSFELVKPPETSLLTLDWPQGPSAAERAIAAHGGDGGTSSSASSSKSDGDGGGVSGAAAERATATARVAAAMEQAASATAAMAAERVTAVRGGRGRRRSERRKRERG >ORUFI07G11200.1 pep chromosome:OR_W1943:7:10627085:10639443:-1 gene:ORUFI07G11200 transcript:ORUFI07G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSMLPEQQEDVSRKSKKAKKSKKDKKRKLEAEAEVVVVEAAAATSTDEATKSSKKKRAKGDLGQGEEAENGGGKVVAVTGKGSADAKYAPLSSFAATALPPQVLDCCKGFERPSPIQAYAWPYLLDGRDFIGIAATGSGKTIAFGVPALMHVRRKMGEKSAKKGVPRVLVLSPTRELAQQIADVLCEAGAPCGISSVCLYGGTSKGPQISALKSGVDIVIGTPGRMKDLIEMGICRLNDVSFVVLDEADRMLDMGFEPEVRAILSQTASVRQTVMFSATWPPAVHQLAQEFMDPNPIKVVIGSEDLAANHDVMQIVEVLEDRSRDSRLVALLDKYHKAQRGWSAVSVHGDKAQHDRTKALSLFKEGSCPLMIATDVASRGLDIPDVEVVINYSYPLTTEDYVHRIGRTGRAGKKGVAHTFFTQENKGLAGELVNVLREAGQVVPPALTKFGTHVKKKESQIYGSHFKEIKADAPKSTKITFEIYWIVVD >ORUFI07G11200.2 pep chromosome:OR_W1943:7:10627475:10639443:-1 gene:ORUFI07G11200 transcript:ORUFI07G11200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSMLPEQQEDVSRKSKKAKKSKKDKKRKLEAEAEVVVVEAAAATSTDEATKSSKKKRAKGDLGQGEEAENGGGKVVAVTGKGSADAKYAPLSSFAATALPPQVLDCCKGFERPSPIQAYAWPYLLDGRDFIGIAATGSGKTIAFGVPALMHVRRKMGEKSAKKGVPRVLVLSPTRELAQQIADVLCEAGAPCGISSVCLYGGTSKGPQISALKSGVDIVIGTPGRMKDLIEMGICRLNDVSFVVLDEADRMLDMGFEPEVRAILSQTASVRQTVMFSATWPPAVHQLAQEFMDPNPIKVVIGSEDLAANHDVMQIVEVLEDRSRDSRLVALLDKYHKAQRGWSAVSVHGDKAQHDRTKALSLFKEGSCPLMIATDVASRGLDIPDVEVVINYSYPLTTEDYVHRIGRTGRAGKKGVAHTFFTQENKGLAGELVNVLREAGQVVPPALTKFGTHVKKKESQIYGSHFKEIKADAPKSTKITFGDSDED >ORUFI07G11210.1 pep chromosome:OR_W1943:7:10633313:10637350:1 gene:ORUFI07G11210 transcript:ORUFI07G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFVIKEMGKPPDWQDFVGIITFLLINSTINFIEENNASNVAAALMACLARKANVLPLTLNKLTVNKNFIDLSDPSWSECDHVYNIHPNI >ORUFI07G11220.1 pep chromosome:OR_W1943:7:10654893:10655393:-1 gene:ORUFI07G11220 transcript:ORUFI07G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAEEGVLGSSCRFVLELDPLLKMPENRFFMATTKSKGTRLLERGPNGHLPRSANLLVGPTGPGFRGVDSTASWSGTH >ORUFI07G11230.1 pep chromosome:OR_W1943:7:10655983:10666567:-1 gene:ORUFI07G11230 transcript:ORUFI07G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLFLTLLATPILLTASAAAAAAAAAESTLTQSSTLAGDQRLVSPGDIFRFGLFPAVNRSRWYLGIWFTVSPDAVVWVANRDRPLSGQSSGVVAVSGARGGLVLLDVASNETSIVWSSSNSSGTAARAQLFDTGNLVLTDKDGNVLWQSFEHPTNTFLPGIRVGKDLRTGAEWSLSSWRGADDPSPGDFRYVMDTSGSPELHVWSRGRKTYRTGPWNGVRFSGIPEMTTFEDMFEFEFRVATGGEVSYQFRNRDGSPMSRVLLNESGVMQRMVWDRSAMSWSNFWSGPRDQCDNYGRCGAFGVCNVVDATVCGCIRGFAPRSQAEWYMRNTSGGCGRRTPLQCTGSGGGGGEDGFYLLRGVKLPETHGCAVDAAATLEECRRRCLSNCSCTAYAGADIRGGGSGCIQWFGDLMDTRFVDGGQELYVRLAKSELDAIKNANFAVVIALVITGFVLAILSLGFLMWRKARRGKKVRVLDETGDFITGCPTYPFEIIRAATNGFSQENEIGRGGFGIVYKGQLPNGQEIAVKKLSKENTVQGLKEFMNEVDIICKLQHRNLVRLLGYCIHCSERLLVYEYMSNKSLDAFIFHESGRAMLSWKMRMDIILDIASGLQYLHKDSRHTIIHRDLKAANVLLDADMVAKISDFGIARLFSHNGGNQDCTITDRVVGTPGYMSPEYAMDGKLSFMQDVYSFGVLLLEIISGKHNQGTSSLIAHATTCIQVGLLCVQESPDQRPPMADVIHMLSREKALGQPRRPVVCTPMRRSPAAVVDDLGVQETTTCGHSGRLTITDLEAR >ORUFI07G11240.1 pep chromosome:OR_W1943:7:10700351:10701044:1 gene:ORUFI07G11240 transcript:ORUFI07G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTLMALSCMLFFMGTRLYVRVRPEGSPFTSFAQRRLPAPAYPPDDLFDPPHQSKLVAKIKHTDPFRWLDKAAVVTPGGMSTAAANPWRLCMVQQVEDVKLLAWIIPVWSSSIVYYVMLTQLGTYTVFQVMQSDRHVGGVGVAAWVNMVALTAWLPAYDLLVVPALRRVMGREEGISQLQRIGIRLELSVATMAVAVAVEHRRRWVGARSSWAWMVP >ORUFI07G11250.1 pep chromosome:OR_W1943:7:10701051:10701281:1 gene:ORUFI07G11250 transcript:ORUFI07G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSEAFAVIGLNEPCNKESPESMRSVAGARVHAAATPWRPSPTRPKGDGRRWSGMRRPARWAGTPVMTGRGRGA >ORUFI07G11260.1 pep chromosome:OR_W1943:7:10703930:10704373:-1 gene:ORUFI07G11260 transcript:ORUFI07G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHGRERELEELGLCGEEEGTSSSFYRWREAVDGGGCKGEGRADYGDGGAKKKEENGANLVHPLAGLGWRAHASAAARLGGGGDGLRHGDGLPWLARQRRQRQKDHGRRRNAASGGVRMTHSGGAHREEGGGSVGWLSWASAQLGR >ORUFI07G11270.1 pep chromosome:OR_W1943:7:10706082:10706802:1 gene:ORUFI07G11270 transcript:ORUFI07G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLKRSNDWGERMCKELRGMVHNSGSHESVTMKVTLSRPGKEFDHYDGPRSHCAVTSSKWDSRTAMVFTTLQIVLIVWVKLRRRCRVVPYSNTPCSRLRRYVIVGIS >ORUFI07G11280.1 pep chromosome:OR_W1943:7:10732634:10744951:-1 gene:ORUFI07G11280 transcript:ORUFI07G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYVLSLLRRRRRRTIPTLPPLLPRLLSSSSFSYCPSSLSPAKPPSLSARLSFVFDQLDALDRSRFSDHSARDAALRRIQSWRRPAALPDVLPAEAEPRPAPEPGEPVKKEPEAVDVAGKEELERMSVADVLRREVELVHPWPEWIELMDRLAQQKYFDLGGTGGADEGCVAAAVPMDLAEVTQETGFDFSRDWTTVKNACMNFGRDRFDIVKSLPRKDLQVLVGHGCPSMDPKVVFSAKLIRKLVHLDEGDVCSSCNLRNLCSRGYILTRKEDEARTLDVMRILLFYGFDHVKETVENKPLLKLKSVKTVVRKLIHDIAKLSAVPIDPNLPPPIIRKPPPKVKQPPPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNTICLQCDAKRPKRQLLPGEWECPRCNFLNYRRNMSCFHCEHNRPPDEYTNSQMEANLSVPRKRLERPAHKSEVCNAWNFDFDDNESDGADVAAFEFADSSKARNSLSVDNTSSRGGSKFSEDKEFGINETWREGTERRFSERERVGFDDFDDEEDDIDSYELDLSKGGQTDGMSRMSYSDFEDACDSADLNGRAKIRNSKHGEEEDIIGSPEDEEFDHPSQSSHLAASWRKPVSCNGSNNHRRESFGSESDDGIISDLDGDINEGLKCKGGHNKHYPRRAVVRHNELDDVPFSDMDCDIGGCFQSDRRNRFSTKFSDEFHARASNSNRKKMNERFKSSDMRDGHPPFDRTRRRGTTELHDGSRDLQSNARRNWVKSGGFDDNNRPLRRLNRR >ORUFI07G11290.1 pep chromosome:OR_W1943:7:10768211:10768453:-1 gene:ORUFI07G11290 transcript:ORUFI07G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSTPINPSIGGGCAEGRTRREEEHDGTREAGGCRPAHPTLGEGTGGHPRDGARRSTFVQPSLFLMRRYSTPASGWTE >ORUFI07G11300.1 pep chromosome:OR_W1943:7:10807611:10824086:1 gene:ORUFI07G11300 transcript:ORUFI07G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAITSAELNFLIFRYLQESGFIHAAFTLGYEAGIHKGGIDGNLVPPGALITIVQKGLQYIELEANTDENDEDVAKDFALLEPLEIITKNVEELQQIVKKRKREKTQSDRDKDKGKEKERMEEHERCPGGERERERHDQEKELEKEKDRAERDRDQDKEKEKLHTERIDKVKAEEDSLAGGGPTPMDVSTTAHEISSADVTVLEGHSSEVFACAWSPAGSLLASGSGDSTARIWTIPDGPCGSITQSSPPGVHVLKHFKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWNSDGELKQTLFKHKGPIFSLKWNKKGDFLLSGSVDKTAIVWDTKTWECKQQFEFHSAPTLDVDWRNNSSFATCSTDNMIYVCKIGDQRPVKSFSGHQSEVNAIKWDPTGSLLASCSDDWTAKIWSMKQDKCVYDFKEHTKEIYTIRWSPTGPGTNNPNQQLLLASASFDSTIKLWEVEQGRLLYSLAGHRQPVYSVAFSPGGEYLASGSLDQCLHIWSVKEGRILKTYRGSGGIFEVCWNKEGSKIAACFSNNTVCLMDFRM >ORUFI07G11310.1 pep chromosome:OR_W1943:7:10922033:10922552:1 gene:ORUFI07G11310 transcript:ORUFI07G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPSSANHLKQPTSALNPRIVCFASTRRTHFPSFPSLSPSETTHYRHHYSQPEPSSSTRRSGHPPLPATTLPGCAVSGNTLGCHRQGHSVTGAPTPCHSPSLLLLDLGRRKKRRKRKREGTDWWAPLQ >ORUFI07G11320.1 pep chromosome:OR_W1943:7:10923097:10928433:-1 gene:ORUFI07G11320 transcript:ORUFI07G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCMRCSPAAGAVRRGLASAAPPAALSFARCGLRRAAALGWRVAAVATTGVQGAKDAGLEKAARSASQSKVENGSPSEIILDDFEDLSPLSENDDSTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTIFGYARSKMTDAELRNMVSKTLTCRIDKRCLTRLDLLTIILNILRENCNEKMEEFLKRCFYHSGQYDSEEHFMDLDKKLKQHEGSRVSNRLFYLSIPPNIFLDVVKCASKSASSGNGWTRVIVEKPFGRDSDSSSALTRGLKQYLVEDQIFRWIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDRYGIIRDIMQNHLLQILALFAMETPVSLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHTKGGTTYPGYTEDKTVPKDSVTPTFAAAALFINNARWDGIPFLMKAGKALHTKGAEIRVQFRHVPGNLYKRSFGTDLDTATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWELFTPLLKELEEKRIAPELYPYGSRGPVGAHYLAAKYNVRWGDLTTEQKA >ORUFI07G11330.1 pep chromosome:OR_W1943:7:10930445:10930897:1 gene:ORUFI07G11330 transcript:ORUFI07G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEVGAHDQSQGGDGYDGGGLELACPRRALAIGGKARVPSADLARRGDRDDPGGGLDLTPENEVSCHRFRPPCYHRLGLCVEKPGRATTATPVQPKGRMQMDLAPLCQIRPESGHAGRWGSAKMVWWLGGGRGFRVLQRRLGGQRHRK >ORUFI07G11340.1 pep chromosome:OR_W1943:7:10936854:10939725:1 gene:ORUFI07G11340 transcript:ORUFI07G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MT >ORUFI07G11350.1 pep chromosome:OR_W1943:7:10941608:10953481:1 gene:ORUFI07G11350 transcript:ORUFI07G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVNYSGLQRKETWVIWFLFFFWLPFFCVYICNFVMNLFPLLPEAFKGNKQIGVIGWGSQGPAQAQNLRDSIAQVKSDVVVKELSLAVERDALLQEDSIVFALIKQQPTLPPPKLPVAVLSHDKTCGYQSSGHLQKNKESATAVKPTGERVDHRSRHHAISTKITISRSSPNNVAVKPQAKFATGHHTCVVLAQGHRESNTRHTFDDVCSLAQNVQLSSHMKHITDISQTIHPSTRLYIVTMNSTFMKQDRVVSRYIKPLIQNKKAIGIQVQTLQGVSTNMLMYISTDGRCNLKKGWKDFAANIGIHLHAICMFLFYKATHVALTVDEMGSR >ORUFI07G11350.10 pep chromosome:OR_W1943:7:10941609:10947842:1 gene:ORUFI07G11350 transcript:ORUFI07G11350.10 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVNYSGLQRKETWVIWFLFFFWLPFFCVYICNFVMNLFPLLPEAFKGNKQIGVIGWGSQGPAQAQNLRDSIAQVKSDVVVKELSLAVERDALLQEDSIVFALIKQQPTLPPPKLPVAVLSHDKTCGYQSSGHLQKNKESATAVKPTGERVDHRSRHHAISTKITISRSSPNNVAVKPQLSSHMKHITDISQTIHPSTRLYIVTMNSTFMKQDRVVSRYIKPLIQNKKAIGIQVQTLQGVSTNMLMYISTDGRCNLKKGWKDFAANIGIHLHAICMFLFYKATHVALTVDVL >ORUFI07G11350.11 pep chromosome:OR_W1943:7:10941608:10945826:1 gene:ORUFI07G11350 transcript:ORUFI07G11350.11 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVNYSGLQRKETWVIWFLFFFWLPFFCVYICNFVMNLFPLLPEAFKGNKQIGVIGWGSQGPAQAQNLRDSIAQVKSDVVVKSFDEACAAGFTEESGTLGDIWETVSGSDLMLLLISDTA >ORUFI07G11350.2 pep chromosome:OR_W1943:7:10941608:10953481:1 gene:ORUFI07G11350 transcript:ORUFI07G11350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVNYSGLQRKETWVIWFLFFFWLPFFCVYICNFVMNLFPLLPEAFKGNKQIGVIGWGSQGPAQAQNLRDSIAQVKSDVVVKELSLAVERDALLQEDSIVFALIKQQPTLPPPKLPVAVLSHDKTCGYQSSGHLQKNKESATAVKPTGERVDHRSRHHAISTKITISRSSPNNVAVKPQLSSHMKHITDISQTIHPSTRLYIVTMNSTFMKQDRVVSRYIKPLIQNKKAIGIQVQTLQGVSTNMLMYISTDGRCNLKKGWKDFAANIGIHLHAICMFLFYKATHVALTVDEMGSR >ORUFI07G11350.3 pep chromosome:OR_W1943:7:10941608:10953481:1 gene:ORUFI07G11350 transcript:ORUFI07G11350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVNYSGLQRKETWVIWFLFFFWLPFFCVYICNFVMNLFPLLPEAFKGNKQIGVIGWGSQGPAQAQNLRDSIAQVKSDVVVKELSLAVERDALLQEDSIVFALIKQQPTLPPPKLPVAVLSHDKTCGYQSSGHLQKNKESATAVKPTGERVDHRSRHHAISTKITISRSSPNNVAVKPQEMGSR >ORUFI07G11350.4 pep chromosome:OR_W1943:7:10946055:10953481:1 gene:ORUFI07G11350 transcript:ORUFI07G11350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQELSLAVERDALLQEDSIVFALIKQQPTLPPPKLPVAVLSHDKTCGYQSSGHLQKNKESATAVKPTGERVDHRSRHHAISTKITISRSSPNNVAVKPQAKFATGHHTCVVLAQGHRESNTRHTFDDVCSLAQNVQLSSHMKHITDISQTIHPSTRLYIVTMNSTFMKQDRVVSRYIKPLIQNKKAIGIQVQTLQGVSTNMLMYISTDGRCNLKKGWKDFAANIGIHLHAICMFLFYKATHVALTVDEMGSR >ORUFI07G11350.5 pep chromosome:OR_W1943:7:10946055:10953481:1 gene:ORUFI07G11350 transcript:ORUFI07G11350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDQELSLAVERDALLQEDSIVFALIKQQPTLPPPKLPVAVLSHDKTCGYQSSGHLQKNKESATAVKPTGERVDHRSRHHAISTKITISRSSPNNVAVKPQAKFATGHHTCVVLAQGHRESNTRHTFDDVCSLAQNVQLSSHMKHITDISQTIHPSTRLYIVTMNSTFMKQDRVVSRYIKPLIQNKKAIGIQVQTLQGVSTNMLMYISTDGRCNLKKGWKDFAANIGIHLHAICMFLFYKATHVALTVDEMGSR >ORUFI07G11350.6 pep chromosome:OR_W1943:7:10946055:10953481:1 gene:ORUFI07G11350 transcript:ORUFI07G11350.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDQELSLAVERDALLQEDSIVFALIKQQPTLPPPKLPVAVLSHDKTCGYQSSGHLQKNKESATAVKPTGERVDHRSRHHAISTKITISRSSPNNVAVKPQLSSHMKHITDISQTIHPSTRLYIVTMNSTFMKQDRVVSRYIKPLIQNKKAIGIQVQTLQGVSTNMLMYISTDGRCNLKKGWKDFAANIGIHLHAICMFLFYKATHVALTVDEMGSR >ORUFI07G11350.7 pep chromosome:OR_W1943:7:10941608:10948107:1 gene:ORUFI07G11350 transcript:ORUFI07G11350.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVNYSGLQRKETWVIWFLFFFWLPFFCVYICNFVMNLFPLLPEAFKGNKQIGVIGWGSQGPAQAQNLRDSIAQVKSDVVVKALSHLMKHVLLVLLKRVEPWEIFGRLFLAVTSYAAKPTGPTLKER >ORUFI07G11350.8 pep chromosome:OR_W1943:7:10941608:10947842:1 gene:ORUFI07G11350 transcript:ORUFI07G11350.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVNYSGLQRKETWVIWFLFFFWLPFFCVYICNFVMNLFPLLPEAFKGNKQIGVIGWGSQGPAQAQNLRDSIAQVKSDVVVKELSLAVERDALLQEDSIVFALIKQQPTLPPPKLPVAVLSHDKTCGYQSSGHLQKNKESATAVKPTGERVDHRSRHHAISTKITISRSSPNNVAVKPQLSSHMKHITDISQTIHPSTRLYIVTMNSTFMKQDRVVSRYIKPLIQNKKAIGIQVQTLQGVSTNMLMYISTDGRCNLKKGWKDFAANIGIHLHAICMFLFYKATHVALTVDVL >ORUFI07G11350.9 pep chromosome:OR_W1943:7:10941608:10948155:1 gene:ORUFI07G11350 transcript:ORUFI07G11350.9 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVNYSGLQRKETWVIWFLFFFWLPFFCVYICNFVMNLFPLLPEAFKGNKQIGVIGWGSQGPAQAQNLRDSIAQVKSDVVVKALSHLMKHVLLVLLKRVEPWEIFGRLFLAVTSYAAKPTGPTLKER >ORUFI07G11360.1 pep chromosome:OR_W1943:7:10957687:10962393:-1 gene:ORUFI07G11360 transcript:ORUFI07G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRSHRQIAAEAAAGVGCGGGAGGLPTYRVAPQLEVRLEEFELFAIDRLRVLKGIADGLSRGKRPEEMEKLVKELWKAHMRHQDPTETLNKDIISHFVLRLVYCRTEELRKWFLSMETTLFRYRFRLESPESQRMLMSEFQLPYKALPHSEFEAVKDKLSQVARTIGQSAAVESVFFKVPFEEVPDLVASRRVFLSKGYAYVAMSQVVSLVVTQFRCNISKALVLTNRKWTATIKEQEKDRLTPIVEALSNAYFGPDYSQLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGEDNLRAALNKMGISGHPLEEIMDKVKNRHYQLACTMTFEAEHGVSCDTGINHPNQYFSESQKVLKAKNSNG >ORUFI07G11360.2 pep chromosome:OR_W1943:7:10957687:10962393:-1 gene:ORUFI07G11360 transcript:ORUFI07G11360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRSHRQIAAEAAAGVGCGGGAGGLPTYRVAPQLEVRLEEFELFAIDRLRVLKGIADGLSRGKRPEEMEKLVVSLVVTQFRCNISKALVLTNRKWTATIKEQEKDRLTPIVEALSNAYFGPDYSQLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGEDNLRAALNKMGISGHPLEEIMDKVKNRHYQLACTMTFEAEHGVSCDTGINHPNQYFSESQKVLKAKNSNG >ORUFI07G11370.1 pep chromosome:OR_W1943:7:10978145:10978510:-1 gene:ORUFI07G11370 transcript:ORUFI07G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCQLMNLYMELWDEDIPIRRLRRVIQHLGLGSAAFAAAVLDDGGGCAGGISRILALVVLLHGVAMVTLSVVCVRERRPRRCRLARAVARAWLAPFLLLAMAGLLQGDSGGEEDDRYYST >ORUFI07G11380.1 pep chromosome:OR_W1943:7:10997341:10998618:-1 gene:ORUFI07G11380 transcript:ORUFI07G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLKLPIARCLLLLLCSYLAAIVSSHTTRSEQAHSFPNERLYRAYLVIQRFKSTITSDPKNVTATWTGNDICDEKSYLGIYCATPTGRSDDLRVTGIIWNGYGFRAPKLQGFLDQLPDLAFFHAASNYFGSDIPRLDAVPYFYNLNVDKNPPVHPQDEAYVSGDSHIRGPCINGDIHFMIKVGTDLPKKKWDWGKIPAGATNAKAVLLNYNSLSGPLPANLGASKLSYLALANNKLTGPIPPSIAQAQDSLLEMLLLNNQLSGCLPHELGMLINATVIDAGMNQLTGPIPSSFSCLTSVEQLNLGGNRIYGEIPDALCKLAAGPAGRLANLTLSSNYFTSVGPACLSLIKDGVLNVKNNCIPGFANQRRPAECASFLSQPKACPAATATHVACPAAAANAAAAPADRVAKDYSSYVTYATLHE >ORUFI07G11390.1 pep chromosome:OR_W1943:7:11040654:11040922:1 gene:ORUFI07G11390 transcript:ORUFI07G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNTIPGCNCCKQSTNGSPVGRESTTETLGQSQTRLVVDLSPRWTILEDDETKLVAQQLIRICLGAAGRRKTLLLGHGSSS >ORUFI07G11400.1 pep chromosome:OR_W1943:7:11041015:11041401:1 gene:ORUFI07G11400 transcript:ORUFI07G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMLQRRNPELSGEIDGRQRRLFMLRRRTTAMVDGATSTGLHVITNIENRAISMWYTRSRVSCELSFAGVAGDFIKQLRAPTSGREDGGIPGEWRQSLMGEVRVVKEESVVNLVGKVLGALAGFTT >ORUFI07G11410.1 pep chromosome:OR_W1943:7:11041522:11049744:1 gene:ORUFI07G11410 transcript:ORUFI07G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGVKEKDLVGAWRIWPGRRGDEVDTAQPYLRWGVSMWRGMSGRRARGCTAWLGCRGLVENVGWSQDRSFSRPQIHLAGLIRIGKGTILDVPSEDNDLFWINNSLGRNPLPSPLGPSPFFRPIPFPLLSLPSSFRPHWPNNLAQPSRPKRQPPPSSFPWALPPCAMGAAVRRSTTATHLLRRLCGFEYRLH >ORUFI07G11420.1 pep chromosome:OR_W1943:7:11064937:11068346:1 gene:ORUFI07G11420 transcript:ORUFI07G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHLCRPFGPQPCCFRRLHHRPCIDTLVVRTGHLQPSGSSSTALSIVAAFLISYLARMVLATSLRAFVPSCPRVWQTRHDVSSSTVRQHQLFGVIFLNDCHERITVIIFSTAF >ORUFI07G11430.1 pep chromosome:OR_W1943:7:11070467:11072121:-1 gene:ORUFI07G11430 transcript:ORUFI07G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVRRWPGAALPSSSLHWLMKKLGEEGPGQDLHASCLSMNQVEIKFLFYLYDAIPFLEDCFHEPPSTTQLKSYGKIGAMFQEVIPKLQCLTEPIQLGSISSHHRHHLKDILLTAKLGSHFSLSSWHAFLFLS >ORUFI07G11430.2 pep chromosome:OR_W1943:7:11070519:11072121:-1 gene:ORUFI07G11430 transcript:ORUFI07G11430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVRRWPGAALPSSSLHWLMKKLGEEGPGQDLHASCLSMAENLELLTEDWSHVPRSHSKITMSVPVLNQSSSAPFQAIIGITSKTFYSLQS >ORUFI07G11430.3 pep chromosome:OR_W1943:7:11070519:11072121:-1 gene:ORUFI07G11430 transcript:ORUFI07G11430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVRRWPGAALPSSSLHWLMKKLGEEGPGQDLHASCLSMNQVEIKFLFYLYDAIPFLEDCFHEPPKDWSHVPRSHSKITMSVPVLNQSSSAPFQAIIGITSKTFYSLQS >ORUFI07G11430.4 pep chromosome:OR_W1943:7:11070591:11072121:-1 gene:ORUFI07G11430 transcript:ORUFI07G11430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVRRWPGAALPSSSLHWLMKKLGEEGPGQDLHASCLSMKIGAMFQEVIPKLQCLFQY >ORUFI07G11440.1 pep chromosome:OR_W1943:7:11077185:11077973:1 gene:ORUFI07G11440 transcript:ORUFI07G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATTTTGGDVQIPIGQQATVAATATATSDGIRDSPGTSSPFRGGGGSTTPTPQRPVKAGSSSSPPPPPTTAMDRTLSSVANLAKLLPTGTALAFQSLSPSFTNRGACLTSNRYLTAALLYLCVLSCIFFSFTDSFVGGDGKLYYGVATAKGFLVFNYDAGSSSDGDDDDQRRRREVFKDLRRLRIRWVDYVHAVFTAVVFMTVAFSSTAVQSCYFPEAGDNVKQLLTNLPLGAGFLSTTVFLVFPTTRKGIGYGGQSTN >ORUFI07G11460.1 pep chromosome:OR_W1943:7:11094245:11101919:-1 gene:ORUFI07G11460 transcript:ORUFI07G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQQLVLAASSTDAGVAAWDLRTGAEAIRHRTCASRPRALTVVAGRFLAASQAPAGNSAPIHYYHWDKPQVAVKSFPVEPIRALIADPEGSYLIGGGISGDIFFWEVASGELLVQWHAHYRAVRCLALYDFLLISGSEDGSIKVWDLLTMLDEQSRLEAKTQHIYSFNQHALPVTDVACCHGAIAVSSSEDRTCKIWSLSEGRMLRSISFPAITDSVALDPRSHIFYAGGRDGKIYVTAMGIDVTSPSSDYSTIIGALDDHSKAVTSLASSTDGLILISGSEDGNVRVWDTRTQQVIRKFKHSQGPVTNVLLVTPKRVNLPPLQSLRKVCSANGGSESRAVIVPQPENDVQIFGNFSSDFLKRCLDALQPGSSSRLFESGASALYGAPKQQGVEWRSKYLELQDLFVREEIVISFSQHMSWFLTD >ORUFI07G11460.2 pep chromosome:OR_W1943:7:11094597:11101919:-1 gene:ORUFI07G11460 transcript:ORUFI07G11460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQQLVLAASSTDAGVAAWDLRTGAEAIRHRTCASRPRALTVVAGRFLAASQAPAGNSAPIHYYHWDKPQVAVKSFPVEPIRALIADPEGSYLIGGGISGDIFFWEVASGELLVQWHAHYRAVRCLALYDFLLISGSEDGSIKVWDLLTMLDEQSRLEAKTQHIYSFNQHALPVTDVACCHGAIAVSSSEDRTCKIWSLSEGRMLRSISFPAITDSVALDPRSHIFYAGGRDGKIYVTAMGIDVTSPSSDYSTIIGALDDHSKAVTSLASSTDGLILISGSEDGNVRVWDTRTQQVIRKFKHSQGPVTNVLLVTPKRVNLPPLQSLRKVCSANGGSESRAVIVPQPENDVQIFGNFSSDFLKRCLDALQPGSSSRLFESGASALYGAPKQQGVEWRSKYLELQDLFVREVLDQMPSPKNT >ORUFI07G11470.1 pep chromosome:OR_W1943:7:11109448:11111861:-1 gene:ORUFI07G11470 transcript:ORUFI07G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVLVSNHGCSGGGGRVGVGVRGAGGGGPGEGKAEGQQGQVLALLLAALRRSVVLPCQMADADDPAAVAWGMEIGWPTDVRHVAHVTFDRLNGFLGLPVEFELEIPGHVPSASASVFGVSPESMQCCFDDSGNSVPKILLLMQERLYAQDGLKVAEGIFRITPENSQEENVREQLNRGLVPDDIDVHCLASLIKAWFRELPEGVLDSLSPEQVLHCNTEEECVELVRLLPPTQAALLNWVVEFMADVVEEEESNKMNARNVAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLILKTLREREHDESEYSAISSQSSSSDELDEMHHHVEQGGDSGSDTDNFGDDGSQSQKDVAKVLQQNVVNEQPIGASRRHRSIDFRLPYISYGSDDDVSPNDIEECFLRRLEWNAVSKDASEIGSITVRSNQEAGQLSFSEENDGYYSTDYQSRNILLKDSVGIQSTLPKETESRAEITNDEVQDGAEVEVTLEQ >ORUFI07G11480.1 pep chromosome:OR_W1943:7:11127539:11128181:1 gene:ORUFI07G11480 transcript:ORUFI07G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAIGRRLRSAVVGGRSRHGVGRRRRDHGSDRFHRSQVMAKRAGEVATCWAPDSEGRWETRRARLTANGTAALAMGGSIHHAGPLISQWLRSRCLGTELAPWNEVGTTASGGTAGVEAEWRLAANHVCIWRDFCMLSAPMHSPWLPMGEDIDHEEDRFFFFFSCISFSLRCNFFLPIQRLCIDFFFQLCKFVIHD >ORUFI07G11490.1 pep chromosome:OR_W1943:7:11130504:11136387:-1 gene:ORUFI07G11490 transcript:ORUFI07G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAAKRARESGDAAAAGAGEQAGISAVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVMVFQSSTYGKVLVLDGVIQVTERDECAYQEMITHLPLCSIKDPKKVLVIGGGDGGVLREVSRHSSAEQIDICEIDKMVVDVSKQFFPHLAVGFEDPRVSLHIGDGVAFLKNAPEGTYDAVIVDSSDPIGPAQELFEKPFFQSVARALRPGGVVCTQAESIWLHMHIIEDIVANCRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPTVDFQHPIFNIEDNEFSTKSKGPLKFYNSEIHSASFCLPSFAKRVIGSKAN >ORUFI07G11500.1 pep chromosome:OR_W1943:7:11157865:11171205:1 gene:ORUFI07G11500 transcript:ORUFI07G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMVMGMVVGVALMAGWSRVMQRRSRKRIAKAADIKVLGSLGRDDLKKLCGDNFPEWISFPQYEQVKWLNKHLSKLWPFVDQVLKAATAVVKESVEPLLDDYRPPGIKSLKFSKFSLGTVSPKIEGIRIQNIQPGQIIMDIDLRWGGDPSIILAVDAVVASLPIQLKDLQVYTIVRVVFQLSEEIPCISAVVVALLAEPEPKIQYTLKAIGGSLTAVPGLSDMIDDTVNSIVSDMLKWPHRLVVPLGVNVDTSELELKPQGRLTVTVVKATSLKNKELIGKSDPYVILYVRPMFKVKTKVIDDNLNPEWNETFPLIVEDKETQSVIFEVYDEDRLQQDKKLGVAKLAVNSLQPEATSEITLKLQQSLDSLKIKDTKDRGTLHLQVTYHPFSKEEQMEALESEKRAIEERKRLKEAGVIGSTMDALGGAASLVGSGVGLVGTGIVGGVGLVGSGIGAGVGFVGSGVGLVGSGIGAVGSGLGKAGKFMGKTVAGPFSMSRKNGSSSTAPQAEQPSA >ORUFI07G11500.2 pep chromosome:OR_W1943:7:11157865:11171205:1 gene:ORUFI07G11500 transcript:ORUFI07G11500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMVMGMVVGVALMAGWSRVMQRRSRKRIAKAADIKVLGSLGRDDLKKLCGDNFPEWISFPQYEQVKWLNKHLSKLWPFVDQAATAVVKESVEPLLDDYRPPGIKSLKFSKFSLGTVSPKIEGIRIQNIQPGQIIMDIDLRWGGDPSIILAVDAVVASLPIQLKDLQVYTIVRVVFQLSEEIPCISAVVVALLAEPEPKIQYTLKAIGGSLTAVPGLSDMIDDTVNSIVSDMLKWPHRLVVPLGVNVDTSELELKPQGRLTVTVVKATSLKNKELIGKSDPYVILYVRPMFKVKTKVIDDNLNPEWNETFPLIVEDKETQSVIFEVYDEDRLQQDKKLGVAKLAVNSLQPEATSEITLKLQQSLDSLKIKDTKDRGTLHLQVTYHPFSKEEQMEALESEKRAIEERKRLKEAGVIGSTMDALGGAASLVGSGVGLVGTGIVGGVGLVGSGIGAGVGFVGSGVGLVGSGIGAVGSGLGKAGKFMGKTVAGPFSMSRKNGSSSTAPQAEQPSA >ORUFI07G11510.1 pep chromosome:OR_W1943:7:11173450:11178152:1 gene:ORUFI07G11510 transcript:ORUFI07G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSDWLLWLLHGEYGVSDYNNTLKVGYDPEIDSYPSWLMSQPYAYMLPSVRAPGAPIGSIKEDVRAQFGFPKNCVVCTGTTDSIAAFLAARTTEPGKAVTSLGSTLAIKLLSNARVDDARFGVYSHRLDDMWLVGGASNTGGAVLRQLFTDDQLVALSHEIDPSVPSLLDYYPLPKRGERFPVSDPNMMPRLQPRPESDTAYLHGILESIARIEAKGYNLLKELGASMVEEVLTAGGGARNDKWTAIRGRVLGVPVRKAEQTEAAYGAALLALKGANATH >ORUFI07G11520.1 pep chromosome:OR_W1943:7:11183913:11185640:-1 gene:ORUFI07G11520 transcript:ORUFI07G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFRAAALRRLLSTAAASSAPPKLWNLPYRLRRAAVPAARAAVSEYLHATRCLPSSHADTIAARSPRSLHAFLAGLPAVPSSLRTSAFPSLLRRHLAFHPLNELPFFLESIGLPPATRSDLMFLADHPSLLPAVAALAHFGFPWSRLGLLFPTVLLHLPPDLITSRLASLEACLGPLPRAAIIAACLAFPSLLENDLSSSDRLVDDLGKVFGRLGPGLGTSNDIDAFLGVCRRTWMFYDAGSEVGGIGDLVGCNNQRVFLELEEERIGKMLKFFKGLGMAGEEVGRFLLTNPMVFYLEFGDVVISVPEYLRRVGLAVDEVNAAVEKHPYVVGKNLLQNLPGVLRAMELDHWFLEKISDGGESLRYLFPDFVLEDVSYDVEIERAFLGGMIKMKADKRAQHIDGKLEFLKSIGYGENEIATKIIAVLHSNRDTLQERFDCLLERGLEYKMLCQIVSVFPKILNQGKKMLNDKLNYMTKELGYSVEYLELFPAFLCFDLENRVKPRYTMLRWLRENGLLRRTLAPATVLANSEKRFISNLYNVHPAAPKLWLECFSSRKHMEYYLRNIYHQHAETK >ORUFI07G11530.1 pep chromosome:OR_W1943:7:11188506:11188973:1 gene:ORUFI07G11530 transcript:ORUFI07G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAAAMLSTAIRNMFDKYPSIDHGGVIELPQHVSSGVFPKVKEYCTKHAKVDDKGNPTVSTNTGAAAAAVAASSSSTDDEEEDLKNWDKEFVNMEVKPLHDLLLVAHLLDIKGLFDITCRKVADMLKGKTSEEMRQILNIRNDFTKEEDKAIKE >ORUFI07G11540.1 pep chromosome:OR_W1943:7:11189081:11194575:1 gene:ORUFI07G11540 transcript:ORUFI07G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPRSMAAEEKASPSSPPLWRQKDSLFRWVAITKDPLGPVLEQCLDIPTALPNLRHNASLNTPLLDSHGRFVSILALPYWDTVAMEEKAGAKMISVECSDGKTFDMPLAAAMLSTVIRNSCKIVDDESDSDKPSGDGEITMVKLPHQVPSTIFPEVKKYCMKHAKVDEKGNSTATVFTNTGAAAASSSSTSVPDDDPTATEEEVLKNWDKEFVNVDQWPLYNLLLAAHFLDIQGLFDIASQKVADMLKGKNSQEMRDTLSIANDFTADEQQAIRALNPWAFPNP >ORUFI07G11550.1 pep chromosome:OR_W1943:7:11197905:11203010:-1 gene:ORUFI07G11550 transcript:ORUFI07G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSALLRALRRPSSEAALRLAASVRVQEVTGYRHLNNRNLSVFNEFSKQLKGEAKSNPEFQKSMKEFSEKLSGVKEDLKVRTKQTAETIYKSVDDVLTEAEATSKKVTANVKEKMSAATEEVKESFRLGKEDTSSCKDGSPETSKHEYSETSSHSDDKSQAGTSGYTLFNKLRSTLSSASPVLSGAFAKLRDTRVSTYAKQGYEIFKDELSSSSSRKKRNHARHASAGTVEKSTRTELVIVPTKKSVLGEKWEAFKNKMRGHPAYKRVNEYTKPVVNIGQEVAEDVRERWETSDNPVVQKIQDLNESIFEETATAVSFREIRQRDPSFSLPDFAGDVQEMIKPVLTAYSKGDVKTLKKYCTKEVIERCKGERDAYASQGIFFDHKILHISDADVRETKMMGSTPIIIVGFQTQQIYCVRDREGQVTEGGQDTIQTVFYAWAMQLMDSDEVPEEESYYPVWRLREIQQVGIKALI >ORUFI07G11560.1 pep chromosome:OR_W1943:7:11209020:11217209:-1 gene:ORUFI07G11560 transcript:ORUFI07G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSSSSARRDAGTPGGGNGAGNKDNAGRKGIVACGKRTDFGYDKDFEARYALGKLLGHGQFGYTFAAVDRRSSERVAVKRIDKNKMVLPVAVEDVKREVKILKALQGHENVVNFYNAFEDDNYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIRPGKHFRDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLKNKPDFRRKPWPNITPCAKDFVQKLLVKDPRARLTAAQALSHEWVREGGQASDIPLDISVLHNMRQFVKYSRFKQFALRALASTLNAEELSDLRDQFNAIDVDKNGTISLEELKQALAKDVPWRLKGPRVLEIVEAIDSNTDGLVDFEEFVAATLHVHQLVEHDTEKWKSLSQAAFDKFDVDGDGYITSDELRMQTGLKGSIDPLLEEADIDRDGKISLDEFRRLLKTASMSSRNVQTPRSVHRS >ORUFI07G11570.1 pep chromosome:OR_W1943:7:11226462:11233548:-1 gene:ORUFI07G11570 transcript:ORUFI07G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAHLLRHSRKLRSLHNALDCERSGLVRYFSTASGSFPTKGNGAEKRIGGARFPQRKQPGKELETSKVSLGLNGSYTCRRSPNNFIPNTITGLNGSLSCGQIASARSFSSSADLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGSKEIKVGEIIAVTVEEEGDIEKFKDYKPSTLAAPVAPSELKAQSEPTEPKVEEREPSKAPEPKAPRTEEPSRSGDRIFSSPLARKLAEDNNVPLSSVKGTGPDGRILKADIEDYLAKGGRKEALAAPGLSYTDVPNAQIRKVTANRLLSSKQTIPHYYLTVDTRVDNLIKLRGELNPLQESSGGKKISINDLVIKAAALALRKVPQCNSSWMNDFIRQYHNVNINVAVQTEHGLFVPVIRDADKKGLGMIAEEVKQLAQRARDNSLKPEDYEGGTFTISNLGGPFGIKQFCAIINPPQSVILAIGSAERRVIPGSANGQYEFGSFMSATTSCDHRVIDGAIGAEFLKAFKGYIENPTSIYTGN >ORUFI07G11570.2 pep chromosome:OR_W1943:7:11226462:11233170:-1 gene:ORUFI07G11570 transcript:ORUFI07G11570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGSKEIKVGEIIAVTVEEEGDIEKFKDYKPSTLAAPVAPSELKAQSEPTEPKVEEREPSKAPEPKAPRTEEPSRSGDRIFSSPLARKLAEDNNVPLSSVKGTGPDGRILKADIEDYLAKGGRKEALAAPGLSYTDVPNAQIRKVTANRLLSSKQTIPHYYLTVDTRVDNLIKLRGELNPLQESSGGKKISINDLVIKAAALALRKVPQCNSSWMNDFIRQYHNVNINVAVQTEHGLFVPVIRDADKKGLGMIAEEVKQLAQRARDNSLKPEDYEGGTFTISNLGGPFGIKQFCAIINPPQSVILAIGSAERRVIPGSANGQYEFGSFMSATTSCDHRVIDGAIGAEFLKAFKGYIENPTSIYTGN >ORUFI07G11580.1 pep chromosome:OR_W1943:7:11242591:11243262:-1 gene:ORUFI07G11580 transcript:ORUFI07G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQSHCWYYGGGMAVTAAAGAGAASSTSSESQPSGYDYETAGSAEVSAPGGGAGRERAARKEEGGAGAGAAAAGFIGVRKRPWGRFAAEIRDSTRNGVRVWLGTFETAEAAAMAYDQAALSARGAAAALNFPVERVRESLRALALGAAGGSPVLALKGRHSKRKRRKRSELAGATATANKTTTTTTTSATTGGEHKQIVLELEDLGADYLEELLWMSEQTSH >ORUFI07G11590.1 pep chromosome:OR_W1943:7:11260976:11261695:-1 gene:ORUFI07G11590 transcript:ORUFI07G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHFSHAGMYIGYTADAAASSSSSSSSSSSSSEMLRFDTGWPDETPAPSAVAGRRRSAGGDYRQGRGETEAAAAAFIGVRRRPWGRFAAEIRDSTRNGARVWIGTFDSAEAAAMAYDQAALSARGAAAALNFPVERVRESLHALSLGAAGGSPVLALKRRHSKRKRRKKAELLAAAAATAATANATPQTRRISKSTELTTATMDEQKRFVVELEDLGAEYLEELLWLSEINGGSDPAD >ORUFI07G11600.1 pep chromosome:OR_W1943:7:11291711:11293942:1 gene:ORUFI07G11600 transcript:ORUFI07G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGREEIVEAAVGQRSLTSSSTSAALVHGANCPALSTASGTKMKVKILQWHGVASWTWDAQDETCGICRMAFDGCCPDCKFPGDDCPLIWGSCNHAFHLHCILKWVNSQTSTPLCPMCRREWQFKG >ORUFI07G11610.1 pep chromosome:OR_W1943:7:11295058:11296433:-1 gene:ORUFI07G11610 transcript:ORUFI07G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTNAGGTASKQASSMAPNPGNATILALGHAFPQQLVMQDYVVDGFMRNTNCDDPELKEKLTRLCTVPDPNLIICSYKYIYSTIIELACKTTTVKTRYVVMSEEILKSYPELAQEGQPTMKQRLDISNKAVTQMATEASLACVRSWGGALSEITHLVYVSSSEARFPGGDLHLARALGLSPDVRRVMLAFTGCSGGVAGLRVAKGLAESCPGARVLLATSETTIVGFRPPSPDRPYDLVGVALFGDGAGAAVVGADPTPVERPLFELHSALQRFLPDTDKTIDGRLTEEGIKFQLGRELPHIIEANVEAFCQKLMQEHPQAADKLTYGDMFWAVHPGGPAILTKMEGRLGLDGGKLRASRSALRDFGNASSNTIVYVLENMVEETRQRREEAAEEEDCEWGLILAFGPGITFEGILARNLQARARARDRGGRETGIGL >ORUFI07G11620.1 pep chromosome:OR_W1943:7:11299188:11301146:1 gene:ORUFI07G11620 transcript:ORUFI07G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLLPAAGIEVEVCAFTTYVSIVPDLRPSPRSHLCVNHGSISCSKTQKLHISVEASRGLQDPWKEPVAVRDGDVATGSCGNASEGVRGGASGWVDLWTKNEREREEAAGDFDSSAVFSG >ORUFI07G11630.1 pep chromosome:OR_W1943:7:11317247:11324432:-1 gene:ORUFI07G11630 transcript:ORUFI07G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVAPVSRPLPARHLSGARFFPCSLISSSSLSLPRGVRLSILRRLFLLQHCCCATRRPPLPQRVGSLPQNSFGFRLYRRRRLPSTRTMGSTPTYCSYQTNGVGALKQSPHMQFQQSYNSGVRFLKRDTLSVRNNKHMAKRIATSTGICTKPRRSHMPIVCSAGMTIIFIATECHPWCKTGGLGDVLGGLPPALSAMGHRVMTIVPRYDQYKDAWDTNVLVEVNIGDRTETVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGAKLYGPTTGDDYRDNQLRFCLLCLAALEAPRVLNLNNSEYFSGPYGENVVFVANDWHTGVLPCYLKSIYQAKGMYVNAKVAFCIHNIAYQGRFAREDFELLNLPDSFLPSFDFIDGHFKPVLGRKINWMKAGITECDLVMTVSPHYVKELTSGPDKGVELDGVLRTKPLETGIVNGMDVYEWNPATDKYISVKYDATTVTEARALNKEMLQAEVGLPVDSSIPLIVFVGRLEEQKGSDILIAAIPEFVEENVQIIVLGTGKKKMEEELILLEVKYPNNARGIAKFNVPLAHMMFAGADFIIVPSRFEPCGLIQLQGMRYGVVPICSSTGGLVDTVKEGVTGFHMGSFNVECETVDPVDVTAVASTVKRALKQYNTPAFQEMVQNCMAQDLSWKGPAKKWEEVLLGLGVGGSQPGIEGEEVAPLAKENVATP >ORUFI07G11640.1 pep chromosome:OR_W1943:7:11339495:11344251:1 gene:ORUFI07G11640 transcript:ORUFI07G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGGARRTRPNVLVTGTPGTGKTTTCSLLADAVDLRHINIGDLVREKSLHDGWDEELECHIINEDLVCDELEDMMEEGGILVDYHGCDFFPERWFDLVVVLQTDNSILHDRLTSRGYMGAKLTNNIECEIFQMLLEEARESYKEEIVMPLRSDNVEDISRNVGTLTEWINNWRPSRS >ORUFI07G11640.2 pep chromosome:OR_W1943:7:11339495:11344185:1 gene:ORUFI07G11640 transcript:ORUFI07G11640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGGARRTRPNVLVTGTPGTGKTTTCSLLADAVDLRHINIGDLVREKSLHDGWDEELECHIINEDLVCDELEDMMEEGGILVDYHGCDFFPERWFDLMLLEEARESYKEEIVMPLRSDNVEDISRNVGTLTEWINNWRPSRS >ORUFI07G11650.1 pep chromosome:OR_W1943:7:11354501:11365387:1 gene:ORUFI07G11650 transcript:ORUFI07G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPKAWKAEYAKSGRSSCKSCRSPIGKDQLRLGKMVQATQFDGFMPMWNHASCILSKKNQIKSVDDVEGIDTLRWDDQEKIRNYVGSAPATASSAAAISDKCTIEVAKSARTSCRRCGEKITKGAVRVSSKLEGQGWYHASCFLEMSPAATVENFSGWEILSHEDKRAVLDLVKKDAPSSGQTSSKGSKRKNNQNDIHDRKAPKIIRSISEGTAQDKGKAVVSHDSNANSSDLQEKLKEQSDTLWKLKDELKKHVSTAELRNMLEANGQDTSGPERHLLDLCSSFLYYHGGQYHCSGYVSEWSKCTYSTTEPVRSKKKWKIPDEMDNGYLTKWFKSQKAKKPERVLPPMSPEKSLCQSTQQNRSFLSEGLDKLRVSIVGQSKDVVDEWKQKLKDAGANFNATVTKDSSCLVLCSELESENAEVKKARRLKIPILREDYLGECIRKNRVLPFDLYKVEAALESSKGGTMTVKVKGRSAVHESSGLQDTGHILEDGKSIYNTTLNMSDLTRGVIEEDNGSDCYVFRKWGRVGNEKIGGTKLEEMSKIDAIQEFRRLFLEKTGNPWEAWEQKTNFQKQPGKFYPLDIDYGVRQGPKRKDIDKMKSSLPPQLLELMNMLFNIETYRAAMLEFKINMSEMPLGKLSKENIQKGFEALTEIQNLLGNTNNQELAVRESLIVAASNRFFTLIPSIHPHVIQDEDDLMVKVKMLEALQDIEIASKLVGFDSDNDESLDDKYKKLRCAITPVPHDCEDYKLEWSLELEEVFSLDRDGEFNKYSRYKNNLHNKMLLWHGSRLTNYVGILSQGLRIAPPEAPVTGYMFGKGLYFADLVSKSAQYCYVDRKNPVGLMLLSEVALGDMYELKKATSMDKPPRGKHSTKGLGKTVPLESEFAKWRDDVVVPCGKPVPASIKTSELMYNEYIVYNTSQVKMQYLLKVRFHHKR >ORUFI07G11660.1 pep chromosome:OR_W1943:7:11373090:11373935:-1 gene:ORUFI07G11660 transcript:ORUFI07G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTPTDDVMMTGAGDVQGAAAAETFRVFVGYDSREDIAYRVCRRSLLRRSSVPVAVIPIVQQELRSAGLYWRERGPTESTEFSFTRFLTPHLAGYRGWALFVDCDFLFVADVAELARMADPRYAVLCVHHDYAPKEATKMDGAVQTVYPRKNWSSMVLFNCAHPKNRAALTPEAVSTQSGAYLHRFMWLDDADIGEVPFAWNFLVGHNRVDPADTAGTAPRAVHYTSGGPWFEQYKNCEFAELWVQERDAYEAEAEEEEEHEAKAILHAPAAPSAVSVDA >ORUFI07G11670.1 pep chromosome:OR_W1943:7:11384467:11385873:1 gene:ORUFI07G11670 transcript:ORUFI07G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGPLIHPVTVLEQCHVSPSPAPAAGQPRSLPLTFFDLVFLDFPPVQRLFFYDNADLRDAHDFLLRELPLFRESLAAALHHFYPLAGTLPCGIRERVSPPEVAYSDGDSVRLTVAVSSDDFQDLAGDHPRDTARLRPLLPPLPKHGSSQDVLAVQVTVFPRAGICIGTTLHHAVADGSSYVHFLKTWAAIHRLGDERRKAVVVDHTPPLFDRGVVQDGDGLREAFIRDHRDLVESGDKRLDDWDLSRRPDTVLATFRFTDELLRKLGRHVEAETSARCSPYALACGAAWAGIVRARGVGGGDVARFGFVTGCKPRVSPPIPSSYFGNCLGLCFVEAKRRHLTAASASAAIWRVITGLAEQGRALRGARGWVRGAREYAAARAVTVAGSPKLGVYAAADLGATWGGRPRKVEIASVERTGALALAESGRRGDRDGGGIEVGVALPRAEMEAFRAFHVELVRLLDATSV >ORUFI07G11680.1 pep chromosome:OR_W1943:7:11388450:11399676:-1 gene:ORUFI07G11680 transcript:ORUFI07G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGRTRLLFRFFPLGPARYRPTTEVKPPSAADDEKIDIVPSSKPCLRVSTMEGGGERAAEAEEYHCHDFEWEDLRAEVEANPAFSYHLSPFPTTVGAPEKPPPPPPPSEAWTSFHRRHTSGKFFKERRYLLKEFPELLNSKDSAKVLEVGCGNGSTVVPILRSSPSTTVYACDCSKETLEKANEIVCSTKGVEVKDRFHPFLLDASNAAFPDWLFCKSCRSPCSSNCNMIEEYPAFLRDNPCCVGGVDFITMIFTLSAIPFDNMLATLERCVSVLKPGGLVLFRDYGLYDMTMLRFLPHQRVGFREYMRSDGTLSYFFTLDTVRELFHASGLLELELEYCCVISVNRKKGKKMQRVWVHGKFQKP >ORUFI07G11680.2 pep chromosome:OR_W1943:7:11388450:11399676:-1 gene:ORUFI07G11680 transcript:ORUFI07G11680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGRTRLLFRFFPLGPARYRPTTEVKPPSAADDEKIDIVPSSKPCLRVSTMEGGGERAAEAEEYHCHDFEWEDLRAEVEANPAFSYHLSPFPTTVGAPEKPPPPPPPSEAWTSFHRRHTSGNSSPSTTVYACDCSKETLEKANEIVCSTKGVEVKDRFHPFLLDASNAAFPDWLFCKSCRSPCSSNCNMIEEYPAFLRDNPCCVGGVDFITMIFTLSAIPFDNMLATLERCVSVLKPGGLVLFRDYGLYDMTMLRFLPHQRVGFREYMRSDGTLSYFFTLDTVRELFHASGLLELELEYCCVISVNRKKGKKMQRVWVHGKFQKP >ORUFI07G11680.3 pep chromosome:OR_W1943:7:11388450:11399676:-1 gene:ORUFI07G11680 transcript:ORUFI07G11680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGRTRLLFRFFPLGPARYRPTTEVKPPSAADDEKIDIVPSSKPCLRVSTMEGGGERAAEAEEYHCHDFEWEDLRAEVEANPAFSYHLSPFPTTVGAPEKPPPPPPPSEAWTSFHRRHTSGKFFKERRYLLKEFPELLNSKDSAKVLEVGCGNGSTVVPILRSSPSTTVYACDCSKETLEKANEIVCSTKGVEVKDRFHPFLLDASNAAFPDWLFCKSCRSPCAKDVDIFTLSAIPFDNMLATLERCVSVLKPGGLVLFRDYGLYDMTMLRFLPHQRVGFREYMRSDGTLSYFFTLDTVRELFHASGLLELELEYCCVISVNRKKGKKMQRVWVHGKFQKP >ORUFI07G11680.4 pep chromosome:OR_W1943:7:11388450:11399676:-1 gene:ORUFI07G11680 transcript:ORUFI07G11680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGRTRLLFRFFPLGPARYRPTTEVKPPSAADDEKIDIVPSSKPCLRVSTMEGGGERAAEAEEYHCHDFEWEDLRAEVEANPAFSYHLSPFPTTVGAPEKPPPPPPPSEAWTSFHRRHTSGKFFKERRYLLKEFPELLNSKDSAKVLEVGCGNGSTVVPILRSSPSTTVYACDCSKETLEKANEIVCSTKGVEVKDRFHPFLLDASNAAFPDWLFCKSCRRLYDMTMLRFLPHQRVGFREYMRSDGTLSYFFTLDTVRELFHASGLLELELEYCCVISVNRKKGKKMQRVWVHGKFQKP >ORUFI07G11690.1 pep chromosome:OR_W1943:7:11403243:11404412:1 gene:ORUFI07G11690 transcript:ORUFI07G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPPRPQMKRSTPHMLVAALVFLVLLFVAGAAVTVHHKDRRPISPSTSPAAAEKITLSRKMLRDKRAEAPAASSDGGGRIRSEPLPRGIVQGESNLEMVSMVGDPEHGRQKASRSLLAIPVGIKNKAAVDKLVSKFPAEEFALMLFHYDGAVEQWGDLEWHGRAVHVAAAGQTKWWFAKRFLHPDVVAEYDYVFLWDEDVEVDAFDPARYLAIVRREGLEVSQPALARGSEIHHGITARQTVAGGGGGGDVHRRFYRRARPRCDEGSTAPPCTGWVEMMVPVFSRAAWRCTWGMVQNDLVHGWGLDYKLGYCAQGDRTMRVGVVDSEYVMHRGIPSLGGGGGWSASAGRIAVRRRSFAEMQIFNRRWKEAVAADASWADPYPETAAA >ORUFI07G11700.1 pep chromosome:OR_W1943:7:11407030:11410655:-1 gene:ORUFI07G11700 transcript:ORUFI07G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGEEKREIAVWFLSNAPAGEIHYAVYEAAAAEAFPEHNKAHLVALELPDRSGDIIITTYGELDKNNYLDPRTAQVATVDHIKQKCTKLRPAADEELPSAYIEDFRSALDVELSKYVGEAYPKGVCAVYCTSGKDIEGPGADFRFAAVISAAKRSPQNFCNGNWRSIWTLEFIDELQLVEIKGKIQVGAHYFEEGNVQLDTNIDCKDSTILQSPEECAVSITNIIRHHESEYLSSLEESYMNLSDATFKDLRRKLPVTRTLFPWHNTLALSLTRDLTKELAIGK >ORUFI07G11710.1 pep chromosome:OR_W1943:7:11412656:11417124:1 gene:ORUFI07G11710 transcript:ORUFI07G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGGKRRTLLDPSDGNNRDRGTLSSPPLCLLGPPPPPPESQSAASGSASGSHHVGVTASSSTPESAVPPTIATDRVAIQSIVVDLELAELMANKLVIEDDDVAMSIDDEMMNDV >ORUFI07G11720.1 pep chromosome:OR_W1943:7:11430220:11435585:1 gene:ORUFI07G11720 transcript:ORUFI07G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRRVIHFANLPLKLMLPPAPLSSVQEFAVKTVPSASKVDIRRCLESMYGFSVAEVRTLNMEGKKLRRGPFLAAKPDYKKAYVTLRAPLPVSPDLFPIGLVLGERERKASSAAARRKAVEGAEVEGEGKGKHWMEDEKVGFSRAGRGKVVYGNPGRLGKKRNGGTKVKDRAGEEVGKFPWSGTRLATEKKPARKQQYAPKKKGIVLKQKSWKGSVHRQPKKVEA >ORUFI07G11730.1 pep chromosome:OR_W1943:7:11436431:11437648:-1 gene:ORUFI07G11730 transcript:ORUFI07G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEIAAVPNISEGLAKISTKMMDLAAQLQALAAPSAEQAMSLGAAERSDRQAMTFLRPRQHEFKQRLRGANSRRRMSCLPQPKAAVPRGRLPGVMPLISAETRLGGVVLGMASTAPLLPKPPSTTTAKRHPSPCLQAPQPVGLSPVTAVRWSLPPSLLAALQQSEPLETTREKLRATDDTPSRAAVPTFVTPTLETRRRDQGRWRACPAGTRPRCSAWQCRRGYWRSQRRQRRRLRVPLPWRRSTVRASVRFPGDAIMRIGFHSGRRKFRRRLEQSHGKRSGFFGRQVWHDLLANRALRADGPARALDWLPRRRGDGADRSESERWLEKLAIRSQLAASLLSAWPREEKDRVFVFFQLGWLVWSGWFPRWPMNHRWFFLMFWAMNHASKLLLLPLLLGWARGFW >ORUFI07G11740.1 pep chromosome:OR_W1943:7:11446614:11446847:1 gene:ORUFI07G11740 transcript:ORUFI07G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSPSPSHATAVPVPSRRATFPVPSHAAAIPVPSHPAELNYASAAHHLQRRGGRHRGAIAKQRRGDEGVEVSTQR >ORUFI07G11750.1 pep chromosome:OR_W1943:7:11447021:11447882:1 gene:ORUFI07G11750 transcript:ORUFI07G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGGSSLCFLKVSNFVSGYRSVALPSTSFIKGVWRPQVGDARRGVRAGAVRVSVVVCVVPAQPEVRRRVPRRAGEPDGGGGEAAGGDREGEKQFRMEVATISSTHHLNLVRLISFCSEGRHRLLAYEFMKNGSLDAFLFTDAPGCKMSWPTRFAVTVGTARGITYLHEKCRDCIVHCNIKPENILLDEHHNAKVFDSAATASHASTLLKSARRSSLGGGRETRRSPGTLMATAPPSTKERKMRRGGNVGNKGQMSPTKIFSTPI >ORUFI07G11760.1 pep chromosome:OR_W1943:7:11454209:11454872:1 gene:ORUFI07G11760 transcript:ORUFI07G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASLVGEAGGLAVLLTPRGGGRRGRRLDVAPNAGVGLEGVEKRPAATRIWPAVEEAVAASVETGEEESAAEAGRCGRREDGGGDGEVEKRRRQGARWRRGGGDEGEHNAI >ORUFI07G11770.1 pep chromosome:OR_W1943:7:11472485:11473045:1 gene:ORUFI07G11770 transcript:ORUFI07G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDASGGRWRFRQRHRSGPRCQTLGNTLTSKKVFTWANSNNQRLLHVDDIDGTNKSYIYTSCSMSLATEDRVESAGDGDDGWLLLRNVELISIPHRYILP >ORUFI07G11780.1 pep chromosome:OR_W1943:7:11475654:11481230:-1 gene:ORUFI07G11780 transcript:ORUFI07G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSRPTTVKEGKKLEPPRRAGNHAAVQRSPVDKPPFTLGDIRKAIPPHCFHRSVIKSFSYLLHDLAIAAGLLYFALVVIPALPGVLRLVAWPFYWAAQGCFLFGVWIIAHECGHHAFSGHALLDDTLGLVLHSWLLAPYFSWKYTHQRHHSNTSSQERDEVFVPRFKSDLPWYSPYVYKYNNPVARLLLLVVQLTVGWPMYLVFNTWGRQYPRFASHFDPSGPIYKGRERVFIAISDIGMLAVSLALYWLAEGYGFWWVVRVYGVPLLVVNAWLVVVTYLHHTHRAIPHYDSSEWDWLRGALATVDRDYGFLNRVFHNITDTHVLHHLFPAIPHYHAVEATKAIRPVLGEYYQFDPTPVVKAIWREAKECIYIQSEDHKGIFWYSNKF >ORUFI07G11790.1 pep chromosome:OR_W1943:7:11504502:11505590:1 gene:ORUFI07G11790 transcript:ORUFI07G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSPVDKPPFTLGDIKKAIPPHCFHRSVIKSFSYLLHDLAIAAGLLYFALVGIPALPSILRLVAWPLYWAAQGSVLTGVWVIGHECGHHAFSDYLLLDNLVGLVLHSALLTPFFSWKYSHRRHHANTGSMEKDEVYVAKKKSALPWYTPYVFGNPVGRLVYIALQLTLAWPLYLAFNLSGQPYPRLVTCHYDPYSPLFSDQERVQVVVSDAAMLAVLLALHRLTAAYGLWWVVRVYGVPVMIVGALFVLITYLHHTHRALPHYDSREWEWLRGSLATVDRDYGVLNRVLHNVTDTHVLHHLFPSMPHYHAMEATRAARPVLGEYYKFDRTPIIEATWREAKECMYVEPRERDGIYWYNNKF >ORUFI07G11800.1 pep chromosome:OR_W1943:7:11512067:11513185:-1 gene:ORUFI07G11800 transcript:ORUFI07G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPMTRYWNKPAEFGGESGGIDYGYGSGIGYGYGGIGYGFGGSGCIGYGSGIDGSRADPWPATAGRPDPCVGGRIRGLSSWGGRIYRRPPRGGWIHRRPPRGGRIRHRATAGRPDPSPVTAGTMTVGFGKAATTMVVAATMTVLIFY >ORUFI07G11810.1 pep chromosome:OR_W1943:7:11516539:11516850:1 gene:ORUFI07G11810 transcript:ORUFI07G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGAPARRAPAASYYECTFCKRGFTNAQALGGHMNIHRKDRSAGGKSQGGGQHHEGGGSGSGGGGGQQHGRDVHLGLTLGRNEEERDGVDLELRLGHAHYP >ORUFI07G11820.1 pep chromosome:OR_W1943:7:11524856:11526472:-1 gene:ORUFI07G11820 transcript:ORUFI07G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMRGASPVVVLVLVASVLAPGAMSAKFVFTNNCHEVLYPGVLTPATAQAFPTTGFELQPGASAAYDGVPDNWSGNIWARRLCSTDASGRFSCESGDCGTGRVECDGRGNGPPSTLSEFTLRGGSAHDTDFYDISNVDGFNVPVQVAPSAAGCSAVACAADIDASCPAELAVKGAGGAVVGCKSGCLAFDRDDLCCRGAYGTPDKCPPSQYSKFFKDKCPQAYSYAYDDKSSTFTCTSGASYQITFCP >ORUFI07G11830.1 pep chromosome:OR_W1943:7:11528428:11532465:-1 gene:ORUFI07G11830 transcript:ORUFI07G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARPRRAASSSARLLRRLLPRRLLLLPAALLLFLLLPYTPGVLLRRSNSLGRRCLPLPHAAAGDLVLLPRAAPRLKIAIVTLSDEGAVSGSDQDHGRRGRSFRGVLAATARNKRSYAAANGYGLAVLPAASVDPRRPPSWSKVLALRAHLRHHHWLFWNDADTLVTNPDIPLEMILFSVIGHSDFDAAPDLILTEDFNGVFFIRGSKWSENFLDTWWNLTSFIQFGSTKSGDNAALKHLIDHFSPEEMQEHVRIAKMQLDLSTSSVPVLFKI >ORUFI07G11830.2 pep chromosome:OR_W1943:7:11528428:11532465:-1 gene:ORUFI07G11830 transcript:ORUFI07G11830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARPRRAASSSARLLRRLLPRRLLLLPAALLLFLLLPYTPGVLLRRSNSLGRRCLPLPHAAAGDLVLLPRAAPRLKIAIVTLSDEGAVSGSDQDHGRRGRSFRGVLAATARNKRSYAAANGYGLAVLPAASVDPRRPPSWSKVLALRAHLRHHHWLFWNDADTLVTNPDIPLEMILFSVIGHSDFDAAPDLILTEDFNGVNAGVFFIRGSKWSENFLDTWWNLTSFIQFGSTKSGDNAALKHLIDHFSPEEMQEHVRIAKMQLDLSTSSVPVLFKI >ORUFI07G11830.3 pep chromosome:OR_W1943:7:11529551:11532465:-1 gene:ORUFI07G11830 transcript:ORUFI07G11830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARPRRAASSSARLLRRLLPRRLLLLPAALLLFLLLPYTPGVLLRRSNSLGRRCLPLPHAAAGDLVLLPRAAPRLKIAIVTLSDEGAVSGSDQDHGRRGRSFRGVLAATARNKRSYAAANGYGLAVLPAASVDPRRPPSWSKVLALRAHLRHHHWLFWNDADTLVTNPDIPLEMILFSVIGHSDFDAAPDLILTEDFNGVNAGVFFIRGSKWSENFLDTWWNLTSFIQFGSTKSGDNAALKHLIDHFSPEEMQEHVRIAKMQLDLSTSSVPVLFKVIPLCASLVYHSALLICLCIFVSLTLDFLL >ORUFI07G11830.4 pep chromosome:OR_W1943:7:11529551:11532465:-1 gene:ORUFI07G11830 transcript:ORUFI07G11830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARPRRAASSSARLLRRLLPRRLLLLPAALLLFLLLPYTPGVLLRRSNSLGRRCLPLPHAAAGDLVLLPRAAPRLKIAIVTLSDEGAVSGSDQDHGRRGRSFRGVLAATARNKRSYAAANGYGLAVLPAASVDPRRPPSWSKVLALRAHLRHHHWLFWNDADTLVTNPDIPLEMILFSVIGHSDFDAAPDLILTEDFNGVFFIRGSKWSENFLDTWWNLTSFIQFGSTKSGDNAALKHLIDHFSPEEMQEHVRIAKMQLDLSTSSVPVLFKVIPLCASLVYHSALLICLCIFVSLTLDFLL >ORUFI07G11840.1 pep chromosome:OR_W1943:7:11536410:11544140:-1 gene:ORUFI07G11840 transcript:ORUFI07G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPWVFEQVDAAMEEWIVEQIFAQWWKQDSDTLCPEIIDGLSIQEILENWLKLKPTIMSEWNEDRDSLVDLFGSIRDDWIENDLSGWIGANRFYPGTADALKFSSSEVYIVTTKQGRFAEALLKELAGIEFPSERIYGLGTGPKVKVLQQLQQMPQHQGLTLHFVEDRLATLKNVIKEPALDQWNLYLVNWGYNTPKEREDAEGISRIQII >ORUFI07G11840.2 pep chromosome:OR_W1943:7:11536410:11544140:-1 gene:ORUFI07G11840 transcript:ORUFI07G11840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPWVFEQVDAAMEEWIVEQMYTLRPVVETGYENLLLVRLLVEIRIPSARRSSVADGLSIQEILENWLKLKPTIMSEWNEDRDSLVDLFGSIRDDWIENDLSGWIGANRFYPGTADALKFSSSEVYIVTTKQGRFAEALLKELAGIEFPSERIYGLGTGPKVKVLQQLQQMPQHQGLTLHFVEDRLATLKNVIKEPALDQWNLYLVNWGYNTPKEREDAEGISRIQII >ORUFI07G11840.3 pep chromosome:OR_W1943:7:11538518:11544140:-1 gene:ORUFI07G11840 transcript:ORUFI07G11840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPWVFEQVDAAMEEWIVEQMYTLRPVVETGYENLLLVRLLVEIRIPSARRSSVADGLSIQEILENWLKLKPTIMSEWNEDRDSLVDLFGSIRDDWIENDLSGWIGANRFYPGTADALKFSSSEVYIVTTKQGRFAEALLKELAGIEFPSERIYGLGTGLSKGKSSPATAANATASRPDTSVALCLCWRAGHLPTAADLRLILHKLHSVCALLRMAMEGNYVAAMRMRRLENSMTLMP >ORUFI07G11840.4 pep chromosome:OR_W1943:7:11536410:11538144:-1 gene:ORUFI07G11840 transcript:ORUFI07G11840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKPTRGGSVLEEGEDFVEDRLATLKNVIKEPALDQWNLYLVNWGYNTPKEREDAEGISRIQII >ORUFI07G11850.1 pep chromosome:OR_W1943:7:11548921:11552235:1 gene:ORUFI07G11850 transcript:ORUFI07G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTVSACPCYDRTPSRGHHHPAGTHLLHDPNLDWDAVRARALCLYLNFSRAKNKKNNKKDFAPPRPRPRAARLTTTRTRLLLPPTATTARKKKFRSPLAAYFPSLSLSLFPVAWPAGGAAKRAAPVSRILCMAENMKFAEGSYVANELDMLSLASSVYTAPLFQTEFDSVYVPEYGDVGNSQEGLFPGLFISDGFVFPPSEHENLPIESDLDGSNNNNNGQESSCAGNIYEGCNEPAKEVDGRSLSVSGDLHSANETTIPNLEPPEIHAEQEKDNATIKCDLPCEGWLKRKSNCLSHRMKGVTTVCTIVAAGALMGFVIIGQRWQQDKLHLHHFQFNIGTEGVNRIVGIFSRCKDALPSSQQLKSLLPTRVLPQEPLSA >ORUFI07G11850.2 pep chromosome:OR_W1943:7:11548921:11552235:1 gene:ORUFI07G11850 transcript:ORUFI07G11850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTVSACPCYDRTPSRGHHHPAGTHLLHDPNLDWDAVRARALCLYLNFSRAKNKKNNKKDFAPPRPRPRAARLTTTRTRLLLPPTATTARKKKFRSPLAAYFPSLSLSLFPVAWPAGGAAKRAAPVSSEHENLPIESDLDGSNNNNNGQESSCAGNIYEGCNEPAKEVDGRSLSVSGDLHSANETTIPNLEPPEIHAEQEKDNATIKCDLPCEGWLKRKSNCLSHRMKGVTTVCTIVAAGALMGFVIIGQRWQQDKLHLHHFQFNIGTEGVNRIVGIFSRCKDALPSSQQLKSLLPTRVLPQEPLSA >ORUFI07G11860.1 pep chromosome:OR_W1943:7:11557745:11558615:1 gene:ORUFI07G11860 transcript:ORUFI07G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATVTVEEMKRRRRQPSGLDAARAFLAGAGRDGLVCVVKTMSWLPETGGSDALCVVSFLEASSRRSPVLFL >ORUFI07G11870.1 pep chromosome:OR_W1943:7:11559503:11561800:1 gene:ORUFI07G11870 transcript:ORUFI07G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLLAWMVAAAAAAVLASWAFSAVVHLVWRPRAISRRLRAQGVGGPGYRFFSGNLGEIKRFRGDGAGVVLNVSSHDFLPIVQPHFRKWIPLYGRTFLYWFGAQPNICLADVSMVRQVLSDRTGIYPKNLTNPHFVRLLGKGLVLTDGDEWKRHRKVVHPAFNMDKLKMMTMTMSDCSRSMMSEWESELAAKGGLVEIELSRRFEELTADVISHTAFGSSYKEGKQVFLAQRELQFLAFSTFLTVQIPGFSYLPTMKNFKTWSLDKKVRGMLMDIIKTRNANKDVAGYGNDLLGLMLEACAPEHGESCPQLSMDEIIDECKTFFFAGHDTTSHLLTWTMFLLSTHLDWQEKLREEIAMECGDKVPTGDMLNKLKMVNMFLLETLRLYSPVSLIRRKVDTDIELGGIKMPEGALLTIPIATIHRDKEVWGEDADEFRPERFENGVTRAAKHPNALLSFSSGPRSCIGQNFAMIEAKAVIAMILQRFSFTLSPKYVHAPTDVITLRPKYGLPMILKSLKL >ORUFI07G11880.1 pep chromosome:OR_W1943:7:11578157:11578405:1 gene:ORUFI07G11880 transcript:ORUFI07G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNFLVAVLLVLYTLDQQENWCRRLGAGSMPANEDGMEMTNEQTIEKVADCHGVEDLTASKVDTKGVAIDGRRNSYTHAWQ >ORUFI07G11890.1 pep chromosome:OR_W1943:7:11635947:11636213:-1 gene:ORUFI07G11890 transcript:ORUFI07G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTASTVTGGRHAATATIGLDKARVGCTRTLADDTAAPRWYESFHVYRAHLTTHVAFTLKAKNPIGISLVGVGYLSPSRTSCICELIA >ORUFI07G11900.1 pep chromosome:OR_W1943:7:11639968:11640711:1 gene:ORUFI07G11900 transcript:ORUFI07G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSILSLCFHLALVIALAANVPDIANGRVIEAKSDPKPADPKPKPDPTPKPQPETKPNPQPNPQPDPKQSPQPDPKPTPQPNPKQDPQPNPQPDPKPTLQPNPKQDPQPNPQPDPKPTPQLDPKQDPQPNPQPSPKADPKPNPKPKPQPELSPNPKPEPKPEPKPEPSPNPKPNPNPKPEPQPDPKPEPKPQPEPSQPKLPPLSPAIAIIVPGN >ORUFI07G11910.1 pep chromosome:OR_W1943:7:11643444:11647111:-1 gene:ORUFI07G11910 transcript:ORUFI07G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVPINDRNSRINNYGITEEDNLTHLDLGFKFYDLTDNVHRVLGQTHRFDYVNKLSVSANMPTKYMDRNFLVTVLLALYTMDQQENWHRRQGAGSRPASKDGMEMTNGQTIEKVADGRGVEDLTASKADTKGVAIDQRQNSCMHARQ >ORUFI07G11920.1 pep chromosome:OR_W1943:7:11655094:11656393:-1 gene:ORUFI07G11920 transcript:ORUFI07G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYRTGIYPKNLTNPHSVRLLGKGLVLTDGDEWKRHRKVVHPAFNMDKLKMMTMTMSDCSRSMMSEWESELGAKGGLAEIELSRRFEELTADVISHTVFGSSYKEGKQVFLAQRELQFLAFSTFLTVQIPGFSYLPTMKNFKTWSLDKKVRGMLMDIIKTRHANKDEKLREEIAMECGDEMPTGDMLNKLKMVNMFLLETLRLYSPVLLIRRKVGTDIELGGIKMPEGALLMIPIATIHRDKEVWGEDADEFRPERFENGVTRAAKHPNALLSFSSGPRSCIGQNFAMIEAKAVIAMILQRFSFTLSPKYVHAPTDVITLRPKYGLPMILKSLKL >ORUFI07G11930.1 pep chromosome:OR_W1943:7:11656943:11664351:1 gene:ORUFI07G11930 transcript:ORUFI07G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSATEVSSPWLFTSFESARRNSSAVVTFDMNSLSPVAEIGRKEVYGADVEAAIPASRLSWLGRHNLLLAAGSHSGPAGVVGDICLWDVRASATVPVWELREKEDCFADIAASEALSSLFKVGAASGEVFMADLRMLGGGGISIEPWVCIGDGQRAAAAASAGRKEGNGCRIECYLNWVFVARGGEVEVWTQVELAQEAGGKKLMRRNWVGNGPSFVIAGGSGHESVKEKTKIVSWAFGGSRMALARDDKRSIEVWDSAPAAISFNP >ORUFI07G11940.1 pep chromosome:OR_W1943:7:11671240:11684670:1 gene:ORUFI07G11940 transcript:ORUFI07G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASGGGEGFVGASSVSNNISLPNEGTSPRGTDNAECSETSSDRSNSESIKPEECAMPSSIFDKKISIKKKLRLLSRMAILKDDGTVEVDIPTNAEAASLDLSSNDYCNEAFSGEPLASSDFQHRPPMQIVMLIVGTRGDVQPFIAIGKRLQIYGHRVRLATHANFKDFVVTAGLEFYPLGGDPKLLAGYMVKNKGFLPATPSEIPIQRKEIKEIIFSLLPACKDPDTDTGAPFNVNAIIANPAAYGHVHVAEALKVPIHIIFTMPWTPTCEFPHPFSRVKQPAGYRLSYQIVDSFVWLGIRDIINDLRKRKLKLRPVTYLSSAHAYSNDIPHAYIWSPYLVPKPKDWGPKIDVVGFCFLDLASNYKPPEPLLKWLESGEKPIYIGFGSLPIPEPDKLTRIIVEALEITGQRGIINKGWGGLGNLEEPKEFVYVIDNIPHDWLFLQCKAVVHHGGAGTTAASLKAACPTTIVPFFGDQFFWGNMVHARGLGAPPVPVEQLQLHLLVDAIKFMMDPKVKERAVELAKAIESEDGVDGAVKAFLKHLPQPRSLEKPQPAPPSSTFMQPFLLPVKRCFGIAT >ORUFI07G11940.2 pep chromosome:OR_W1943:7:11672523:11684670:1 gene:ORUFI07G11940 transcript:ORUFI07G11940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSIFDKKISIKKKLRLLSRMAILKDDGTVEVDIPTNAEAASLDLSSNDYCNEAFSGEPLASSDFQHRPPMQIVMLIVGTRGDVQPFIAIGKRLQIYGHRVRLATHANFKDFVVTAGLEFYPLGGDPKLLAGYMVKNKGFLPATPSEIPIQRKEIKEIIFSLLPACKDPDTDTGAPFNVNAIIANPAAYGHVHVAEALKVPIHIIFTMPWTPTCEFPHPFSRVKQPAGYRLSYQIVDSFVWLGIRDIINDLRKRKLKLRPVTYLSSAHAYSNDIPHAYIWSPYLVPKPKDWGPKIDVVGFCFLDLASNYKPPEPLLKWLESGEKPIYIGFGSLPIPEPDKLTRIIVEALEITGQRGIINKGWGGLGNLEEPKEFVYVIDNIPHDWLFLQCKAVVHHGGAGTTAASLKAACPTTIVPFFGDQFFWGNMVHARGLGAPPVPVEQLQLHLLVDAIKFMMDPKVKERAVELAKAIESEDGVDGAVKAFLKHLPQPRSLEKPQPAPPSSTFMQPFLLPVKRCFGIAT >ORUFI07G11940.3 pep chromosome:OR_W1943:7:11672471:11675139:1 gene:ORUFI07G11940 transcript:ORUFI07G11940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEINCKAIKDMLGSTDVLDFWCYQKVLPVTFEAALFSQVFLGWWNFNSLFSNNISLPNEGTSPRGTDNAECSETSSDRSNSESIKPEECAMPSSIFDKKISIKKKLRLLSRMAILKDDGTVEVDIPTNAEAASLDLSSNDYCNEAFSGEPLASSDFQHRPPMQIVMLIVGTRGDVQPFIAIGKRLQWYRQYLVLILVLTQ >ORUFI07G11950.1 pep chromosome:OR_W1943:7:11698488:11699675:1 gene:ORUFI07G11950 transcript:ORUFI07G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLGDSERKPSPFRADSGMLLSIVTPLGGTVMVSLLSIVESSGECLPTSYIKIPHHLQHLHSLSLSLSLPTKLFQPGDWDKVEEIKVATPAGAECRRCILPPIVCSSCYAPPAPSSCSSHGCSCSPSNSSPPAAEACNGSRQQAHGTAAAPPHPEEKKPVKSNLKKAPPAAVAAQEEKNRVSLVVSRKVTWPDAQGKDLAHVLEFHPR >ORUFI07G11960.1 pep chromosome:OR_W1943:7:11709625:11710632:-1 gene:ORUFI07G11960 transcript:ORUFI07G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDSKVRASHSTTSVISNSNNRTVERSSHYSMIPRHLQSPRLGRHVNNANNQEPANSTLPVEDSILMEECHDAMQSSADLKCPLCRGSVSGWIPAGEVRKYLNEKLRTCSHDSCKFVGTYEQLREHARTAHLLAKPAHVDLSRKRTWDRLEREQEVGDVISAIRSQNPGAIIVGDYVIETRDAMSPDENTGDESNDEWWRDSIESPDNRYNSPRLLPNEAPESSIIWADERHGLPRFQPQNNRVLPRFSFTNRSSSRSDWHRIRRPSRQSLARRGLLNRPYRNNSDYHGFRPQLFDQPNGSSHRSGINRSLDDPSFVPRRQRLRYTHRSHHIRD >ORUFI07G11970.1 pep chromosome:OR_W1943:7:11710647:11712870:-1 gene:ORUFI07G11970 transcript:ORUFI07G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRADHTNASATQTQQATPPPTHLFLEKKRKLEEKKKGEEKEKKRQAAAEGRRRGSSDLESRKVTDLQSHHALLCIWNGTGFLALFVWSNPTMLFYLYAVPTRMAVDVTFVTQVIDTLIA >ORUFI07G11980.1 pep chromosome:OR_W1943:7:11713771:11715109:1 gene:ORUFI07G11980 transcript:ORUFI07G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVVGDGDSHIELGVKCAKATTMFGAIILAMFRCAGGATRETISRKPHTVSCKVADKYGSVTVRMMLPPMGSSVVATHVPKKVLKFAGIEDVFTSSRGSTKTLGNFVKSRPPRRGAASPTRSKRDGSGSGEGSSFAGLPLDALFEILLLCGLVARQQPEGDLHLPPQRLSAVCRGRGHQRARVLVEPVVPRSIVRQPGLRRITSSPPTPR >ORUFI07G11990.1 pep chromosome:OR_W1943:7:11715912:11720824:1 gene:ORUFI07G11990 transcript:ORUFI07G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRVRDESAAPISAPSSTPRILPPLISSTRIPAASLAPPDSPLLPDQPPPPPSSSAARGIPAASLAPDSPMPLQSTAGPATPSSLLLPPPLSRVNARRSPSLDQRRRYRASTAGPPQSLHASSRVPAARHRIVCPCRRHGGSPSLTRRSSPEKPGPFSQTRSPETEKMNKRVERRKRDSRREMVQSTAATDGAGRGWSRCCPQSPPKLRSSPSTAALHHCPRQSRGLPEPCSSPSAAAGADSEQYALEIHGYDATFNIPLPPPNSTAATGFAPEVFD >ORUFI07G11990.2 pep chromosome:OR_W1943:7:11715922:11720824:1 gene:ORUFI07G11990 transcript:ORUFI07G11990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRVRDESAAPISAPSSTPRILPPLISSTRIPAASLAPPDSPLLPDQPPPPPSSSAARGIPAASLAPDSPMPLQSTAGPATPSSLLLPPPLSRVNARRSPSLDQRRRYRASTAGPPQSLHASSRVPAARHRIVCPCRRHGGSPSLTRRSSPEKPGPFSQTRSPETEKMNKRVERRKRVSRMLPAPIPLHVREFWHHGMNYLFGPRDLMPVVNDSRREMVQSTAATDGAGRGWSRCCPQSPPKLRSSPSTAALHHCPRQSRGLPEPCSSPSAAAGADSIPLPPPNSTAATGFAPEVFD >ORUFI07G11990.3 pep chromosome:OR_W1943:7:11715922:11720824:1 gene:ORUFI07G11990 transcript:ORUFI07G11990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRVRDESAAPISAPSSTPRILPPLISSTRIPAASLAPPDSPLLPDQPPPPPSSSAARGIPAASLAPDSPMPLQSTAGPATPSSLLLPPPLSRVNARRSPSLDQRRRYRASTAGPPQSLHASSRVPAARHRIVCPCRRHGGSPSLTRRSSPEKPGPFSQTRSPETEKMNKRVERRKRVSRMLPAPIPLHVREFWHHGMNYLFGPRDLMPVVNDSRREMVQSTAATDGAGRGWSRCCPQSPPKLRSSPSTAALHHCPRQSRGLPEPCSSPSAAAGADSIPLPPPNSTAATEKIKEVVPTNTNHAQTLLNQPLIHIFTKLILHGDDGV >ORUFI07G11990.4 pep chromosome:OR_W1943:7:11715912:11720979:1 gene:ORUFI07G11990 transcript:ORUFI07G11990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRVRDESAAPISAPSSTPRILPPLISSTRIPAASLAPPDSPLLPDQPPPPPSSSAARGIPAASLAPDSPMPLQSTAGPATPSSLLLPPPLSRVNARRSPSLDQRRRYRASTAGPPQSLHASSRVPAARHRIVCPCRRHGGSPSLTRRSSPEKPGPFSQTRSPETEKMNKRVERRKRDSRREMVQSTAATDGAGRGWSRCCPQSPPKLRSSPSTAALHHCPRQSRGLPEPCSSPSAAAGADSEQYALEIHGYDATFNIPLPPPNSTAATGFAPEVFD >ORUFI07G11990.5 pep chromosome:OR_W1943:7:11715912:11720979:1 gene:ORUFI07G11990 transcript:ORUFI07G11990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRVRDESAAPISAPSSTPRILPPLISSTRIPAASLAPPDSPLLPDQPPPPPSSSAARGIPAASLAPDSPMPLQSTAGPATPSSLLLPPPLSRVNARRSPSLDQRRRYRASTAGPPQSLHASSRVPAARHRIVCPCRRHGGSPSLTRRSSPEKPGPFSQTRSPETEKMNKRVERRKRDSRREMVQSTAATDGAGRGWSRCCPQSPPKLRSSPSTAALHHCPRQSRGLPEPCSSPSAAAGADSEQYALEIHGYDATFNIPLPPPNSTAATGFAPEVFD >ORUFI07G12000.1 pep chromosome:OR_W1943:7:11722639:11723544:1 gene:ORUFI07G12000 transcript:ORUFI07G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLALPAAIFCAVVVAASLGGAAATGKTGRITVYWGQTSSEGGLREACGTGLYSTVIISFLTDFGGGNYKLNLAGHAWSAVGPDVKYCQSKGVLVLLSIGGGVGRYSLASQADAKAVADHLWNFYLGGTSTKSRPFGDAVLDGVDFDIELGSNAHYGDLARYLKAYSGRKPGGRKVWLTAAPQCPFPDRMLGEALRTGLFDRVHVQFYNNPVCNYRASNVAAFTSAWNKWAASLPGSSVYLGLPAASGAANNGYVAPATLKENVLPIVQKSKNYGGIMLWSRYWDKQTGYSKSVKSAV >ORUFI07G12010.1 pep chromosome:OR_W1943:7:11730701:11731153:-1 gene:ORUFI07G12010 transcript:ORUFI07G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEHIKHKSRKHRTGTLMQGKAPSLMNFMGTTPRPCQNFQMLFRLMVLNMSGLRSKHFKNYSNNARERYQRKRHCKLLILFSHNKTRLTNMSICSVLLKASWSDRALPWKH >ORUFI07G12020.1 pep chromosome:OR_W1943:7:11731313:11733713:-1 gene:ORUFI07G12020 transcript:ORUFI07G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRTKVSPNDKLILWACMLMNPEDSAYLKKAGIFRGVMASIYKCQIEPTLVASFLTYWNLSSAHNVQCYGHTNSLDVLTKNLFRYHPLLMAMYKLYTTYMSFLPDGFADHTEPLYEDKGFHVELLNDRPTAIMCDLEIALHVQWVISMAAIDLFMSCTVGSVTYKRGVSKGTPTQQQKLAERRALKLRSRPHRHHPPQAQSLHRSCEARIKTVGKNIEEIRACIAYSDNVTTIASFQASQQESLALSPRSETVLNSSATTHTDPYSEGLAIPRAPDDIGTPSIDLFTSEFPDIAALLDGGADPETGLYLDSPIFPDATQEDHVDSHLPENNEACTEANPDT >ORUFI07G12030.1 pep chromosome:OR_W1943:7:11733907:11734461:-1 gene:ORUFI07G12030 transcript:ORUFI07G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLEEEHNATNFKQNPIRYGNSERPQCGRRVKIVARKLSTAARGRRRSATVEGWFRFFHGIEPTVALPESVQPLNTDIAEKTNDFMSRVASCLNIKLGSQLINKAEKDSLTRSCNWGQFRVPTPYN >ORUFI07G12040.1 pep chromosome:OR_W1943:7:11740297:11753108:1 gene:ORUFI07G12040 transcript:ORUFI07G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSTHAPHNPASSSPPPLLASSHSHFRLASSHPHLFRGSPRSRLRLIRDPGRADPLAGGGGGRVVGVSAAGRTASSAAAPAEMEWVRVLEEGVFRFDASGAARAAAAPSFSFAEPRRREAAREGADTPAVVPACHVVGDAQKVLIKLPAGTSFYGTGEASGPLERTGKRVFTWNTDAWGFGPGTTSLYQSHPWVLAVLPDGKALGVLADTTQRCEIDLREESTIKFSAPSAYPIVTFGPFNTPSEVMTSLSHAIGTVSMPPKWSLGYQQCRWSYDSSEKVLKVVRTFREKGIPCDVVWMDIDYMDGFRCFTFDSSRFPDPKSMVDDLHSIGCKAIWMLDPGIKKEGGYFVYETGSENEVWIQKADGSPFIGEVWPGDCVFPDFTCKRTRTWWASLVKDFISNGVDGIWNDMNEPAVFKSTTKTMPVSNIHRGDDDIGGVQNHSYYHNVYGMLMARSTYEGMAKANTEKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHLHMSVPMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGLGALFPFSRGHTETGSIDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYFSHMKGTPVAAPVFFADPQDPELRKIETSFLLGPLLVCASTVPDKGAHECSHKLPKGNWLPFDFGDSHPDLPVLFLQGGAILPIGRPIKHVGEASLEDDLSLIISLDENGKAEGVLFEDAEDGYGFTQGNYLLTYYVAELHSSVVSVKVLKTEGSWRRPKRNLNISILLGGGAMISSRGIDGEEVHLTMPSDSEVSSLVATSELEQKKRLEMIKPIPDMDEPAGQEGAELSKTPVDLKSGDWMLKVVPWIGGRIISMTHLPSDSQWLHSRIEINGYEEYSGTEYRSAGCTEEYNVMRRYLEQSGEEESVCLEGDIGGGLVLQRHISILKDNPKIFQIDSSIQARNVGAGSGGFSRLVCLRVHPTFTLLHPTEVVVAFTAINGSKQEISPESGEVVLEGDMRPDGEWMLVDNCVGLSLVNRFDPSQVSKCLVHWGTGDVNMELWSEERPVSKETPLRICHQYEVRQTN >ORUFI07G12050.1 pep chromosome:OR_W1943:7:11761441:11762733:1 gene:ORUFI07G12050 transcript:ORUFI07G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTFRRWSDDLTPDLVSRVADCCPVKDYASCRAVCRAWRSALPSLASRPLAPVAAAAAADVAVSLGVCSQNARRWSRLVGLHQPSGLDAETCRCVGGTRDGWLALVGTAAGKPTSGAVLLFNPLTGAEIPLHASLYDPECERAPKVVFSPSPTARDFAAVSMCRPNRLAVQRATEGYSSSLVVDTEALMDGAALADIAYSEEGKAKVVYCLTTHGAVHVLHLDRRRRRRGRLRAVEVEPLVAGAGAGAAAFSTPYDTIARHTDAKSVVLCGGVLYQVWRRPGGAGSAVAPAGMLDQRLLRVSESEVFVLRYDPGARGPRWVEAKDLGGHAVFLGANDAAVRVVVDSSELVGDCLYYWDNTAAPEGGYEAFVFNVASRGSARRLPVAGGVSSPLWYFLPAWEKTNLKKPVQYDDSLPVQYDDEPDIGA >ORUFI07G12060.1 pep chromosome:OR_W1943:7:11765552:11767403:-1 gene:ORUFI07G12060 transcript:ORUFI07G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAIAALPPELVSEILLRLRPDEPEHLFRASLVCKAWLRAICDPVFLRRYRAFHGSPPLLGLLHRLRVIDGDPAPRLARTTAAPSEWSSWPPTTTTNSSRGVCIHRRPVCGARQQLLMMAINPGKSAARSRGEYYRTPYVHPKRCALVGDEIYFTLRNGNTIIEYNWGKNRLSMFDPPTSDLYYIALTVMENGSLGFAGIEGSSLNVWSRKVNPQGAAEWVLCRIIELEKIIPVVDLSDEACVVGSAEGLGVIFVSTGVGLFTIELKSRRVKKVEEPGVYFSVLPYMSFYTPEICKSC >ORUFI07G12070.1 pep chromosome:OR_W1943:7:11768742:11768954:-1 gene:ORUFI07G12070 transcript:ORUFI07G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIGASDDNGRPMRREASAVGGREASEAGVGNGKAVAACNRSPQEDDTCDGREANVPGGGGGCHGRRQ >ORUFI07G12080.1 pep chromosome:OR_W1943:7:11780218:11793065:1 gene:ORUFI07G12080 transcript:ORUFI07G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRAGAALRDGKACACYDIALLLLCAVGVIRAIDDQASFTYEPAGAAEKDGVGAALQFTFLWCPMLPSGTSFYGTGESSGPLERTGKLVITWNTDAWDYGPGTTSLYQSHPWVLAVLPDGKALGVLADTTCRCEVVKTFRERGIPCDVVWMDIDYMDGFRCFTFSHRFPDPKCMVDDLHSVGCKAIWMLDPGIKNESGYFVFDSGLESDVWVQKEDKQPFVGEVWPGDCVFPDFTCERARSWWSGLVRQFVSNGVDGLWNDMNEPAVFNTATKTMPESNIHRGDANIGGLQNHPYYHNVYGMLMAKSTYEGMKLANPTKRPFVLTRAGFIGQQRYAAMWTGDNVSNWEHLHMSIAMVLQLGLSGQPFAGPDIGGFAGNATPKLFGRWMGVGALFPFSRGHSDKGSLDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYLSHTNGTPVAAPVYFADPQDLELRKIETSFLLGSLLVCASTCPDKGAHESSQKLPKGIWLPFDFGDSHPDLPMMFLRGGAVLPIGLPLNHVGEAKLDDNLSLIIALDENGKAEGVLFEDDGDGYEFLQGNYLLTYYVAELHSSVVTVKVARTEGSWKRPNRNLKINILLGGGAMVSTHGIDGEDLHLTMPTESEVSSLVATSELELKKQMVRPIPDIDKPLGKEVAELSEIPIDLNGEDWLVKVVPQIGGRIISMTHLPSDSQWLHSTNRINGYEEYNAAEDTAGCTEEYKVIRRYREQSGKEESICLEGDIGGGLVLQRQISICKENPKIVKIDSSIRAKQGADHSASNGIKREILPDSGELTFEGVLRPNGEWMLVDKRTNLSLVNCFDLSQVSICKLHWGTDHLNMELWSEQRAVSKDTPLRICHHYEVRKIN >ORUFI07G12080.2 pep chromosome:OR_W1943:7:11778970:11793065:1 gene:ORUFI07G12080 transcript:ORUFI07G12080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGKEAMVWVRILEEGVFRFDASEAARAAAGPSLSFAVPRRREEPRAGGDRPAIVPVCEVVGDVQRVVVELPSGTSFYGTGESSGPLERTGKLVITWNTDAWDYGPGTTSLYQSHPWVLAVLPDGKALGVLADTTCRCEVVKTFRERGIPCDVVWMDIDYMDGFRCFTFSHRFPDPKCMVDDLHSVGCKAIWMLDPGIKNESGYFVFDSGLESDVWVQKEDKQPFVGEVWPGDCVFPDFTCERARSWWSGLVRQFVSNGVDGLWNDMNEPAVFNTATKTMPESNIHRGDANIGGLQNHPYYHNVYGMLMAKSTYEGMKLANPTKRPFVLTRAGFIGQQRYAAMWTGDNVSNWEHLHMSIAMVLQLGLSGQPFAGPDIGGFAGNATPKLFGRWMGVGALFPFSRGHSDKGSLDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYLSHTNGTPVAAPVYFADPQDLELRKIETSFLLGSLLVCASTCPDKGAHESSQKLPKGIWLPFDFGDSHPDLPMMFLRGGAVLPIGLPLNHVGEAKLDDNLSLIIALDENGKAEGVLFEDDGDGYEFLQGNYLLTYYVAELHSSVVTVKVARTEGSWKRPNRNLKINILLGGGAMVSTHGIDGEDLHLTMPTESEVSSLVATSELELKKQMVRPIPDIDKPLGKEVAELSEIPIDLNGEDWLVKVVPQIGGRIISMTHLPSDSQWLHSTNRINGYEEYNAAEDTAGCTEEYKVIRRYREQSGKEESICLEGDIGGGLVLQRQISICKENPKIVKIDSSIRAKQGADHSASNGIKREILPDSGELTFEGVLRPNGEWMLVDKRTNLSLVNCFDLSQVSICKLHWGTDHLNMELWSEQRAVSKDTPLRICHHYEVRKIN >ORUFI07G12080.3 pep chromosome:OR_W1943:7:11779027:11780256:1 gene:ORUFI07G12080 transcript:ORUFI07G12080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGKEAMVWVRILEEGVFRFDASEAARAAAGPSLSFAVPRRREEPRAGGDRPAIVPVCEVVGDVQRVVVEVWPYGKLIRAGLICGEAEAHARFSCSCRGSERQDFVFSDARGSSIEPAKFAT >ORUFI07G12090.1 pep chromosome:OR_W1943:7:11800373:11802450:1 gene:ORUFI07G12090 transcript:ORUFI07G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATFTARRSSPELVTPARPTPRETKLLSDLDDQWTLRYYETVVGFFRVSPKMAGGLPGGDNIAAKVIKAAVAEALVHYYPVAGRLRALVPGGNKLAVDCTAEGVAFVEATADVRLEELGEPLLPPYPCVEEFLGDAGDTRDILDKPLLFLQVTQLKCGGFVIGLHMCHCIFDAFGLLQFIKTIAGFAGGEPIPSTMPVWGRESFFAARTPPSFTHVYPAYKPILDGRSSAGDGDGDVDDVMLTTPPETMAMKYFSFGPKEISALRSLIPAHLTRSTTAFELLTAVMWRCRTSALGYEPDRRVRLMFTLNLRGRWWSREEEAAVPPGYYGNAHLSPMVTATVGELARQPLADTVELMCRAKAGTTRERVESMVDLLATWRERPAFAMDRTYEVSDTKWVGGGGGALRCGVAEMVGGGTPFAGDLTSKLISYHMKCKNENGEDSIVVSMLLPEPAMERFTKEMSFWLKSY >ORUFI07G12100.1 pep chromosome:OR_W1943:7:11811347:11811787:1 gene:ORUFI07G12100 transcript:ORUFI07G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPAVYYCVILPPPLHSLLHLLECISRGCALPAALLFSGGDADAEAELAPPPPGAAATAARAQADGIKSRLPVVRFSASGSGSDGEEEDGAAAEASPRCAVCLAAVEEGAEVRQLGNCSHAFHLPCIDRWVDMGHFTCPLCRSLL >ORUFI07G12110.1 pep chromosome:OR_W1943:7:11827348:11844606:1 gene:ORUFI07G12110 transcript:ORUFI07G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSKRGKAKGEKKKKDEKVLPVAIDITVNLPDQSHVILKGISTDRIIDVRRLLCVNTATCAITNYSLSHEIKSQDNMSTHILMPTLELLAAIHGLCRLVARCLTNCCEDRSQDVRRRGHSRYMVDLERAQRTGFRGNGLVGHATVCDHLRDGHGRLKDGADIATLKPFTLTLVEEEYDEESAVAHVRRLLDIVACTASFGPPPPPPPPPSPKDAAADPAKEPSGSKAGSAAATGGRRTGSPPPSPAPVAKDAATKDDAAAAAADAAAAAAAKESSASAELEAEMSGACPRLGAFYEFFSLANLTPPLHFIRRVAQPRQEEQPSDDHLFFLEAKLCNGKFVVVEARRKGFFSLGKQRVLCHNLVDLLRHLSRAFDNAYEDLMKAFLERNKFGNFPYGFRANTWLVPPIAAQSPSTFPPLPSEDETWGGNGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEEREIRDRRAFLLHSLFVDVAIFRAIAAVRHVMEIKDVSASANIDEVLHSETVGNFSITVTRDSSDASCKLDTKIDGSRATGMDFKHLAERNLLKGITADENTAAHDVESLGIVNLRYCGYVAMAKVNNIEKAKVNTSTKPIDITDQPEGGAHALNINSLRMLLNDANSTGEKKMLNLPQNNKQEELIAAHSFVENLLKESLQKLEEEESEKQSFMRWELGACWVQHLQDQKNSDKDKKQGGEKEKKKVVDKSAKETKIEGLGKPLKALKHSKNNVDVADKGSSLGEKSMCDGTSSAESQKFKPSAVELPQGESNASENESLLKDLLSDSAFTRLKDSETGLHQKSPPELIEMALKYYDEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGQVVKLSEKLSHVQSLCVHEMIVRAFKHIVRSAIAATSDMRQLALAIAAALNLLLGVPELEVFTSSDGVRPLVWKWLVAFLKKRYEFELTEQHYHDVRKYALLRGLCHKVGIELAPRDFVMDSAFPFQKQDIISLVPVHKQVACSSADGRQLLESSKTALDKGKLEDAVNYGTKALAKLITVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNEESKGRDSESSKRRYSSIKVLSNSNGGSNVASPEVSPRDSTSANADEDKQIIEPSQDDTVNFVAEAEIKQNLKSVEYSASSEQPVERAEVINVPREVVQEELVEPEDGWQPVQRPKSAAGSGKQMKHFNPTTRKMYDPDNHDPQYTSQYKARNSYPNSRYYFLKKRTVVPATYTDPHQHMKVQTSSARFGRKIYKAVTYRIKPGSTSTEAQDASAEQMSGKAESQMAYSQVHSTTSVDHKESEPHGTLVTSSGNVPSYKDVALARPGTIAKAQIQKSRDDVVQNQPSLGQIIAQEMKDSLVDTHQVEQGSVSANINNPKEVGNIPEEIQHSEDIKVSDRELDTGDIDTDGSPNDEKSLNGSNPANDHTSQEPVSCSNENAAVEFAESSNSAKDEQSRKSDMEIFEEALPTSIGPIAVSASTANTEGLAGAGNEKSKPNLLLNSIDLREMPNKKLSAAAPPFNPSPPAILSPLAVSVGLPPPGAIPGVAPWPVNVPMHPGHSTMVPSGPPLCTSPHHLYPPAPRSPNLLHPVPFIYPPYSQPQVIPSSTFPMNTNIFRPNHYGWQPYMNAPSSEFVPGSAWPSNHPVDFTPTPHVVNPISQSLADTHIQSDAAVVSIGPSLDSNTMAVKEEMEATMVGSGNLISNKRPADDQDKQLKDPVRIELNPDMPGDNAHGICATDHLRSTVKNEDEGSFRIYVKGKSRRKQTLRIPISLLNKTYGSRSFKLVYNRVVRENDIFRPSTVSFAEVVSSGN >ORUFI07G12110.2 pep chromosome:OR_W1943:7:11827348:11844606:1 gene:ORUFI07G12110 transcript:ORUFI07G12110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSKRGKAKGEKKKKDEKVLPVAIDITVNLPDQSHVILKGISTDRIIDVRRLLCVNTATCAITNYSLSHELRDGHGRLKDGADIATLKPFTLTLVEEEYDEESAVAHVRRLLDIVACTASFGPPPPPPPPPSPKDAAADPAKEPSGSKAGSAAATGGRRTGSPPPSPAPVAKDAATKDDAAAAAADAAAAAAAKESSASAELEAEMSGACPRLGAFYEFFSLANLTPPLHFIRRVAQPRQEEQPSDDHLFFLEAKLCNGKFVVVEARRKGFFSLGKQRVLCHNLVDLLRHLSRAFDNAYEDLMKAFLERNKFGNFPYGFRANTWLVPPIAAQSPSTFPPLPSEDETWGGNGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEEREIRDRRAFLLHSLFVDVAIFRAIAAVRHVMEIKDVSASANIDEVLHSETVGNFSITVTRDSSDASCKLDTKIDGSRATGMDFKHLAERNLLKGITADENTAAHDVESLGIVNLRYCGYVAMAKVNNIEKAKVNTSTKPIDITDQPEGGAHALNINSLRMLLNDANSTGEKKMLNLPQNNKQEELIAAHSFVENLLKESLQKLEEEESEKQSFMRWELGACWVQHLQDQKNSDKDKKQGGEKEKKKVVDKSAKETKIEGLGKPLKALKHSKNNVDVADKGSSLGEKSMCDGTSSAESQKFKPSAVELPQGESNASENESLLKDLLSDSAFTRLKDSETGLHQKSPPELIEMALKYYDEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGQVVKLSEKLSHVQSLCVHEMIVRAFKHIVRSAIAATSDMRQLALAIAAALNLLLGVPELEVFTSSDGVRPLVWKWLVAFLKKRYEFELTEQHYHDVRKYALLRGLCHKVGIELAPRDFVMDSAFPFQKQDIISLVPVHKQVACSSADGRQLLESSKTALDKGKLEDAVNYGTKALAKLITVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNEESKGRDSESSKRRYSSIKVLSNSNGGSNVASPEVSPRDSTSANADEDKQIIEPSQDDTVNFVAEAEIKQNLKSVEYSASSEQPVERAEVINVPREVVQEELVEPEDGWQPVQRPKSAAGSGKQMKHFNPTTRKMYDPDNHDPQYTSQYKARNSYPNSRYYFLKKRTVVPATYTDPHQHMKVQTSSARFGRKIYKAVTYRIKPGSTSTEAQDASAEQMSGKAESQMAYSQVHSTTSVDHKESEPHGTLVTSSGNVPSYKDVALARPGTIAKAQIQKSRDDVVQNQPSLGQIIAQEMKDSLVDTHQVEQGSVSANINNPKEVGNIPEEIQHSEDIKVSDRELDTGDIDTDGSPNDEKSLNGSNPANDHTSQEPVSCSNENAAVEFAESSNSAKDEQSRKSDMEIFEEALPTSIGPIAVSASTANTEGLAGAGNEKSKPNLLLNSIDLREMPNKKLSAAAPPFNPSPPAILSPLAVSVGLPPPGAIPGVAPWPVNVPMHPGHSTMVPSGPPLCTSPHHLYPPAPRSPNLLHPVPFIYPPYSQPQVIPSSTFPMNTNIFRPNHYGWQPYMNAPSSEFVPGSAWPSNHPVDFTPTPHVVNPISQSLADTHIQSDAAVVSIGPSLDSNTMAVKEEMEATMVGSGNLISNKRPADDQDKQLKDPVRIELNPDMPGDNAHGICATDHLRSTVKNEDEGSFRIYVKGKSRRKQTLRIPISLLNKTYGSRSFKLVYNRVVRENDIFRPSTVSFAEVVSSGN >ORUFI07G12110.3 pep chromosome:OR_W1943:7:11827348:11844606:1 gene:ORUFI07G12110 transcript:ORUFI07G12110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSKRGKAKGEKKKKDEKVLPVAIDITVNLPDQSHVILKGISTDRIIDVRRLLCVNTATCAITNYSLSHELRDGHGRLKDGADIATLKPFTLTLVEEEYDEESAVAHVRRLLDIVACTASFGPPPPPPPPPSPKDAAADPAKEPSGSKAGSAAATGGRRTGSPPPSPAPVAKDAATKDDAAAAAADAAAAAAAKESSASAELEAEMSGACPRLGAFYEFFSLANLTPPLHFIRRVAQPRQEEQPSDDHLFFLEAYEDLMKAFLERNKFGNFPYGFRANTWLVPPIAAQSPSTFPPLPSEDETWGGNGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEEREIRDRRAFLLHSLFVDVAIFRAIAAVRHVMEIKDVSASANIDEVLHSETVGNFSITVTRDSSDASCKLDTKIDGSRATGMDFKHLAERNLLKGITADENTAAHDVESLGIVNLRYCGYVAMAKVNNIEKAKVNTSTKPIDITDQPEGGAHALNINSLRMLLNDANSTGEKKMLNLPQNNKQEELIAAHSFVENLLKESLQKLEEEESEKQSFMRWELGACWVQHLQDQKNSDKDKKQGGEKEKKKVVDKSAKETKIEGLGKPLKALKHSKNNVDVADKGSSLGEKSMCDGTSSAESQKFKPSAVELPQGESNASENESLLKDLLSDSAFTRLKDSETGLHQKSPPELIEMALKYYDEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGQVVKLSEKLSHVQSLCVHEMIVRAFKHIVRSAIAATSDMRQLALAIAAALNLLLGVPELEVFTSSDGVRPLVWKWLVAFLKKRYEFELTEQHYHDVRKYALLRGLCHKVGIELAPRDFVMDSAFPFQKQDIISLVPVHKQVACSSADGRQLLESSKTALDKGKLEDAVNYGTKALAKLITVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNEESKGRDSESSKRRYSSIKVLSNSNGGSNVASPEVSPRDSTSANADEDKQIIEPSQDDTVNFVAEAEIKQNLKSVEYSASSEQPVERAEVINVPREVVQEELVEPEDGWQPVQRPKSAAGSGKQMKHFNPTTRKMYDPDNHDPQYTSQYKARNSYPNSRYYFLKKRTVVPATYTDPHQHMKVQTSSARFGRKIYKAVTYRIKPGSTSTEAQDASAEQMSGKAESQMAYSQVHSTTSVDHKESEPHGTLVTSSGNVPSYKDVALARPGTIAKAQIQKSRDDVVQNQPSLGQIIAQEMKDSLVDTHQVEQGSVSANINNPKEVGNIPEEIQHSEDIKVSDRELDTGDIDTDGSPNDEKSLNGSNPANDHTSQEPVSCSNENAAVEFAESSNSAKDEQSRKSDMEIFEEALPTSIGPIAVSASTANTEGLAGAGNEKSKPNLLLNSIDLREMPNKKLSAAAPPFNPSPPAILSPLAVSVGLPPPGAIPGVAPWPVNVPMHPGHSTMVPSGPPLCTSPHHLYPPAPRSPNLLHPVPFIYPPYSQPQVIPSSTFPMNTNIFRPNHYGWQPYMNAPSSEFVPGSAWPSNHPVDFTPTPHVVNPISQSLADTHIQSDAAVVSIGPSLDSNTMAVKEEMEATMVGSGNLISNKRPADDQDKQLKDPVRIELNPDMPGDNAHGICATDHLRSTVKNEDEGSFRIYVKGKSRRKQTLRIPISLLNKTYGSRSFKLVYNRVVRENDIFRPSTVSFAEVVSSGN >ORUFI07G12120.1 pep chromosome:OR_W1943:7:11847520:11848874:1 gene:ORUFI07G12120 transcript:ORUFI07G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTTQAWRATARRSTSWCSPSWPGWWARRPSWRASTTCARGATTASPPTRPPPSSPGPSPRSPSASPARRSTSAATAGGASACSRPSSSSSPSPSCSTCSCSTRASLAAPAAPTGTTTTASAPAPAPPPASPRGQRGSDRWSPRHVRGHARINDNTYMMVILCVYVQCSVAPLSRL >ORUFI07G12130.1 pep chromosome:OR_W1943:7:11858378:11861527:1 gene:ORUFI07G12130 transcript:ORUFI07G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHTPRGWYFGTRAKIGIWGSPNQGRFQESGASILVTSNELEDLNALEAEFHVYPDLYNDNNVHFFTHWTKDNDRSTGCYNLKCGGFVPAAGAELTPGQAVAPASTYDGEDHYISISLHTDPNSGDWVLFRDDLEKPIFLGHFPKELCPKLNGGAPRMAWTGFVSYPKNEPSPAMGSGHFPLEGERKAAYIKNIKLFDSKARAHDPYMEDLLPVLDRPDCYHLSIVDFVVKDRVYFYYGGPSGCIG >ORUFI07G12140.1 pep chromosome:OR_W1943:7:11862265:11863266:1 gene:ORUFI07G12140 transcript:ORUFI07G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREPVFAGTNDTMELSSDAAPSPANLSRTATAICSTPAFAREMVAGGVAGVVAKTAVAPLERVKLMRQVGAAPRGAGAVQMLREIGRGEGVAGLFRGNGANALRVFHTKALHFMAYERYKRFLLGAAPSLGDGPVVDLLAGSAAGGTAVLATYPLDLARTRLACAAAPPGAAAAGMSGVLRSAYREGGGVRGVYRGLCPSLARVLPMSGLNFCVYEALKAQIPREEEEHGARGWRRAAKVACGVAAGLVASTATYPLDVVRRQIQLGGGGGGTLQAFRAIVRAQGARQLYAGLGITYVKKVPSTAVGLVAYDYMKSLLMLPASGPKANGSK >ORUFI07G12160.1 pep chromosome:OR_W1943:7:11871618:11876849:1 gene:ORUFI07G12160 transcript:ORUFI07G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRQHPQQVSSAPDCPTVVSSSNAPRIAPIRRPIDKSPLGNPTGKKILPVTAVKTVATPPSGISKQGNVPGSQLLFLVFRAHIFQTAKWSWHKEKKAFNEIEHN >ORUFI07G12170.1 pep chromosome:OR_W1943:7:11875772:11878134:-1 gene:ORUFI07G12170 transcript:ORUFI07G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRCYHHHLKVRALLCGFGGGCFPTRTPPSPSPWSPPLTHFIKHLLGSPAALSGTATGAACEPCSLTLHFLRNTCGLSEDEAVAAAARVRLRSTKKAHAIVALFRGIGFSAADIARLVTSNPSLLSYRADATLMPKIEFFRRELGLTDAEIRRLVLANPSRVLGYSLKRCIRPNYLILRDLLGSDKNVTAAVLQSTDLIHGDVRGILLPKIKILQDYGATNDVIVKLVTTHPRALMHRASRFEESLAAMKELGVRPSSGMFPYSFGLFARLHPRKWKGRMDNFLSLGWTKEQVIEAFVRHPYCMSVSNDKVKLIWQFLAKKLRWTTDYVARSPMVLSFSYDKRILPRCTVLNLLASRGIFNRDIKTSHLVLGEKKFKEKYVTPYQDEIPECSVNYL >ORUFI07G12180.1 pep chromosome:OR_W1943:7:11898339:11899423:-1 gene:ORUFI07G12180 transcript:ORUFI07G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRGAASLGRRFSYCLVPHSVNISSALNFGALANVTVPGAASTPLVAGDVEMYHTMVLDSIEVGNKTVASVASSRIVVDSGTTLTFLDPALMGPLVDELSHRCSRRTGCCSCATSCPGGRWKPERESQITSINISRSPDTPSWNLVQIITVLM >ORUFI07G12190.1 pep chromosome:OR_W1943:7:11921109:11921825:1 gene:ORUFI07G12190 transcript:ORUFI07G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQANSALQVISGNPGALRDKLHAASGSCPPANYRDGAVVLENAVLLSLLAELGASTPTCVYFGQAPRGQQTNLLLGRLGLARGSVAARHITEAFTDGELNLVIGDGGDGRHGMEVPVFDAEGRRYGLTCGYSDYAMCYRLFGAAGEANNREVRDVAVGKDKLMKVFTFRSPALRPVEVDLDDGHSDGALGMIVLFYDLDAKEAVKNELLDTDTLTVNQIMKHYPKLAQMMLN >ORUFI07G12200.1 pep chromosome:OR_W1943:7:11939537:11939896:-1 gene:ORUFI07G12200 transcript:ORUFI07G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPLCDAAHPACGRGSGAPPGCERLRSCALRRPSCPELPTPLQSSLCLPSSIRRLGISATGFSTASRREGTEAARCTH >ORUFI07G12210.1 pep chromosome:OR_W1943:7:11941217:11941690:1 gene:ORUFI07G12210 transcript:ORUFI07G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQANSALQVISGNPGALRDMLRSASGSCPPANYRDSVVLEDGAAVLQSRLAELGASTPTCVYFGQAPRGQQTSLLLGRLGLARGSIAARHITEAFTDGELDRVIGDGGDGPHGMEVPVFDAEGRRYGLTCGYSDYAMCYRLFGAAGEFGRFRANNR >ORUFI07G12220.1 pep chromosome:OR_W1943:7:11941724:11941921:1 gene:ORUFI07G12220 transcript:ORUFI07G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFTFRSPALRPVEVDLDDGHPVGALGMIVLFYDLDAKEAVKNELLAVNQIMKHSPKLAQMMLD >ORUFI07G12230.1 pep chromosome:OR_W1943:7:11954699:11959368:-1 gene:ORUFI07G12230 transcript:ORUFI07G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGDPGPKPLRQQNGQVCQICGDDVGLNPDGEPFVACNECAFPVCRDCYEYERREGTQNCPQNDSQYVAESMLHAHMSYGRGGVDVNGVPQPFQPNPNVPLLTDGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGGGKDWDGDGDDGDLPLMDEARQPLSRKVPIPSSQINPYRMVIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQTSQLAPIDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKYSIEPRAPEWYFQQKIDYLKDKVAPYFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDIEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCICCCCFGDRKSKKKTTKPKTEKKKRSFFKRAENQSPAYALGEIEEGAPGAENEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKLPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPFTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFATGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >ORUFI07G12230.2 pep chromosome:OR_W1943:7:11954699:11959368:-1 gene:ORUFI07G12230 transcript:ORUFI07G12230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGDPGPKPLRQQNGQVCQICGDDVGLNPDGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRFKRLRGKSHPQCRIGGNLPPPPGCARVPGDEEEDGVDDLENEFNWRDRNDSQYVAESMLHAHMSYGRGGVDVNGVPQPFQPNPNVPLLTDGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGGGKDWDGDGDDGDLPLMDEARQPLSRKVPIPSSQINPYRMVIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQTSQLAPIDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKYSIEPRAPEWYFQQKIDYLKDKVAPYFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDIEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCICCCCFGDRKSKKKTTKPKTEKKKRSFFKRAENQSPAYALGEIEEGAPGAENEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKLPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPFTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFATGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >ORUFI07G12240.1 pep chromosome:OR_W1943:7:11979225:11979686:-1 gene:ORUFI07G12240 transcript:ORUFI07G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKAALRVLRKPAVAPRALADTVGPAGGTPMLMASELVPGALNAPCETSMPGGRGGSPVMHMPGPAKLQAMAKRVASSGGVIDVGRGKRRLGGGGHVDRDKLGKEVSEGLASGGRRGHRRRVGEGGIAGSAVQVESVVDGVGDGLGGVVEGG >ORUFI07G12250.1 pep chromosome:OR_W1943:7:11988156:11991227:-1 gene:ORUFI07G12250 transcript:ORUFI07G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVSPTTPGPCAARRPHRPSCTATTCIGSPRRRWRLARFQDSAAAAPPAGRLTRPPPPPPPSSSQPLPVPAPRTTAERLGSLREMRRVWWVCGLGYWVQGFRCFPWLALNFHLTRGLGLTPAALQLVQNAGNLPLVAKPLFGVLSDAVYVGRAHRLPYISIGALLQLMAWGTLAVIPVTGDTFPTQMACILIGNLGASVTEVVSDAVVTEFSRTQKAGVLQSYAFIILAAGSLLGNLSGGYVLLRTQEPKTMFSAFSILLGLQLALSLSTKETLPSSHRNWNICHVRTSLSDNLRKQFSNLRTAISEEQIFYPLMWIMTSFAVVPILSGTMFCFQTQYLKLDPSVIGLSKVVGQVMVLSLTVLYNKYLKKIPLRRLVAGVQTMYALAVLSDLVLVKQVNLMLGIPNEIHVLCFSALAEAIAQFKVLPFSVLLSSQCPPGCEGSLFAFFTSGLVFSAIVSGVFGVGLSSLIGVSGGDYTSFPLCILLQSLAALVPLGWISFLPEKWTADDKILKPR >ORUFI07G12260.1 pep chromosome:OR_W1943:7:12035280:12037025:-1 gene:ORUFI07G12260 transcript:ORUFI07G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGSQQESSDLHVHHLPIASVIGVEDRTEEADDAAVDYHDDSSEGQDEVSNKRMKRHTDDQIKHLESVFERCTYLGGNQRVELAKKLGMEERQVKFWFQNRRTRKKMHDERQEGMWLQEENDVLHAENKVLKEAMWANICFTCGSPVVPAIPTVQHQYLSFQNMRLADELQHATAVFNMVAQDADVGLATSVPFD >ORUFI07G12270.1 pep chromosome:OR_W1943:7:12051739:12052015:1 gene:ORUFI07G12270 transcript:ORUFI07G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSDRRSATPGTPRLDAYSGRSFTIPSTSIARRTVSSQALCDPSRSAATHGCWSNTSASLNMHKPPIPLVVILSIDTG >ORUFI07G12280.1 pep chromosome:OR_W1943:7:12075499:12076874:1 gene:ORUFI07G12280 transcript:ORUFI07G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRQRRSLSTTGVSMPLLSLLSPGINQTQGRSAAPGTPRLDAYSGRSFTILSTSTARHTVSSQALCDPSRSAATHESILDGSL >ORUFI07G12290.1 pep chromosome:OR_W1943:7:12198079:12226298:1 gene:ORUFI07G12290 transcript:ORUFI07G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTTTSMVIMCMVILSLTVDCATAAQCGCCISSRAKACCFGCIAAGGSDSVCKNTCCFPCILADSVVAKMDEMGVLAKMDEMGRTALSYTQHQQQEAMEGKRTTTSMVIMCLVILSLTVDSATAAQCGCCISSRAKACCFGCIAAGGSDSVCKNTCCFPCILADSVVAKMDEMGVLAKMDEMGVLAKMEGQV >ORUFI07G12290.2 pep chromosome:OR_W1943:7:12184507:12226298:1 gene:ORUFI07G12290 transcript:ORUFI07G12290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTTTSMVIICLVILSLTVDSATAAQCGCCISSRAKACCVGCIAAGGSNSVSKNTCRFPCILADSVVAKMDEMGVLAKMDEMGRTALSYTQHQQQEAMEGKRTTTSMVIMCLVILSLTVDSATAAQCGCCISSRAKACCFGCIAAGGSDSVCKNTCCFPCILADSVVAKMDEMGVLAKMDEMGVLAKMEGQV >ORUFI07G12290.3 pep chromosome:OR_W1943:7:12184507:12198313:1 gene:ORUFI07G12290 transcript:ORUFI07G12290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTTTSMVIICLVILSLTVDSATAAQCGCCISSRAKACCVGCIAAGGSNSVSKNTCRFPCILADSVVAKMDEMGVLAKMDEMGEQQLTFKNHLSTHN >ORUFI07G12300.1 pep chromosome:OR_W1943:7:12305422:12308938:1 gene:ORUFI07G12300 transcript:ORUFI07G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARSQPLTLIAARRRRDSDARSPPSLSSAPPPALISSVPLPEHPRPHHGTTNRFPAAAVLFRPPGRSASCHHRSPPTRCCPLLRKLHRCPTRINRLLSLAPEAPPLLPLCVALKLFLRHQKVLDSQTRSVCCLRLDEASLIFSFYSCL >ORUFI07G12310.1 pep chromosome:OR_W1943:7:12310250:12313660:-1 gene:ORUFI07G12310 transcript:ORUFI07G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCACNLLRIERHLVKTQPTAGFSPLSTTPLCNPLRPMSPIPRAITRRQGTVIPLWRRRYAPTLLIPRHQVTIAPCHQWHPQAPLPVLHRRPPDLASVCAPSSQILHSSVPLQRRDDVGTAKKAELQLVYRSFIGEISLNLRLGKFDMLINYHPMGRLTWRCIIFCWR >ORUFI07G12320.1 pep chromosome:OR_W1943:7:12317647:12318730:1 gene:ORUFI07G12320 transcript:ORUFI07G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTTTSMVIMRLVILSLAVDSATAAQCGCCISSRAKACCFGCIAAGGSDSVCKNTCCFPCILTDYVVAKMDEMGVLAKMDEMGILAKMEGQA >ORUFI07G12330.1 pep chromosome:OR_W1943:7:12323185:12323779:-1 gene:ORUFI07G12330 transcript:ORUFI07G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEVIMATPPLTPPPRARWRHHLARDVYHRPFTAREDDELLRLHYRLGDRWKEIGRAVYGRTSRVMKHRWRELRRGGFPAAATRKEQAPAMDADDMVESEMEESDQSLPPLSTLSRTLLPRASVLAALPTTTQWIPWPAASRLGSRAWQFDVT >ORUFI07G12340.1 pep chromosome:OR_W1943:7:12325874:12326323:-1 gene:ORUFI07G12340 transcript:ORUFI07G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSRTPFSLSSRRGEALESLQIRPDPLEHISSDALHQVAFYCLRLHHLHLSGLHDADAEVAIGALMRYCLLLEGVAFLDCGSVDKAANAGIQSGNPSASSPSLGADTGDDMRVSPLAVATLWWSWEEEESASRAAVHLRKTGEIFE >ORUFI07G12350.1 pep chromosome:OR_W1943:7:12330954:12346926:-1 gene:ORUFI07G12350 transcript:ORUFI07G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSTDKCGGRGGWRDSHDGCCMAAWRPRHGTGDWATATRITARVLPLARWPRRAQWLRLQLSQRHSAGRRLGASMAGGDHISVRRQRGSQRPHHGLDGAAGGVAAGARLYGQDAAWPTGGVSSNGSGRHRLPGLRFLFFRWFLLFPFLFFFPSTFPIMEWRRRSRATTLSSGGGRRQCNCARLTRPRQRKASSEVNANVFVPGGQNGISFPASNRAHDWGYGGVREEWEASYARKLQLINFLSSLHQRTANSLITTRMDANMDTPLEQKQKDSSAIIVLDSDDEDEAERCEQLASENNKQQAPSGPTSPCTTWIVSSAKDQVNGTLHVDGVQSTQIVPYGQNAPLINQSPLQTSWQPSIQYERVILQRRPEEQRVQDLVAASHAEKIAETQVFLTLPTLPNERKRRKSEPTTLVDGDGGTNLGKGKRKNHQNQAAVDSILDLQQTVVPLQQNDVPSQSYRTMIEEEKPVKESDGLEDLWKDFSLAAECTKLDTNEDMSNEKDVDDENEMDDDCNHDIRIHEDLGHVCRICGMIVRKAETIIDYQWKKASRTRTNYYESRSKDADDIDTGAVKVSEDFIVSDIAIHPRHAKQMRPHQLEGFSFLVKNLVGDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPSARPLVVLPKGILGTWKREFQRWQVEDIPLYDFYSVKADKRVEQLEVLKSWEAQMSILFLGYKQFSRIICGDGDGNIAAACRDRLLMVPNLLILDEGHTPRNRETDVLASLKRVQTPRKVVLSGTLFQNHVSEVFNILDLVRPKFLKMESSRPIARRIMSQVAISGIRSLKGVHDSAFTESVEDTLLNDDNFTRKSHVIRSLRELTKDVLHYYKGNKLYVAAVYHVHNAMLIFLDDHCCEGVAVAPSSAGVPFMLGFFVNLRHFPTCFEPLSDFCVHLLHPRPEGDDKDRGKTTQGRLGAYEVELFVPMDQEVIMATPPLPPPPRARCVVRLKLPPAWTPEEDARLQRLAKENGFRRWSRVARSMPRRSARSCRDRWRHHLARDVYHRPFTARDDDELLRLHYRLGDRWKEIGRAVYGRTSRIMKHRWRELRRSGFPLAATARKVQALDMVESEVEESDQSLPPPELQRSLADALASSFGYCSLANEHAMDPLAGSLSLGTRTNYYESRSKDADDIDTGAVKVSEDFIVSDIAIHPRHAKQMRPHQLEGFSFLGDILDELPGLVDFSVFLKLSTKQKEIVHKIEAYEKFKRSAVGTALYIHPCLSEISEGDAADRATNLTDATVDSLIESIIIKDGVKA >ORUFI07G12360.1 pep chromosome:OR_W1943:7:12352993:12357414:-1 gene:ORUFI07G12360 transcript:ORUFI07G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAACELAARVLEYAGTLVKPWVTTDEIDRAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRNGDIINIDVTVYLNGYHGDTSRTYLCGEVDESTMQLVKVTEECMLRGISACKHGASFKTIGQRISEYVDEYGYSIDPFVGHGIGKIFHSEPIIWHTYDYEPGYMVAGQTFTIEPTLSMGGTQCTLWDDGWTAVTVDSSLTAQFEHTILVTGDGAEILTMHPR >ORUFI07G12360.2 pep chromosome:OR_W1943:7:12352993:12357414:-1 gene:ORUFI07G12360 transcript:ORUFI07G12360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAACELAARVLEYAGTLVKPWVTTDEIDRAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRNGDIINIDVTVYLNGYHGDTSRTYLCGEVDESTMQLVKVTEECMLRGISACKHGASFKTIGQRISEYVDEYGYSIDPFVGHGIGKIFHSEPIIWHTYDYEPGYMVAGQTFTIEPTLSMGGTQCTLWDDGWTAVTVDSSLTAQFEHTILVTGDGAEILTMHPR >ORUFI07G12370.1 pep chromosome:OR_W1943:7:12362517:12365120:-1 gene:ORUFI07G12370 transcript:ORUFI07G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLVEVTQLFSRFKAAFARNDFDTCVDLLSQLKVRLTKFPSLPPSFQQTPNAVEELKIARDIYEHAVVLSVKIEDQDAFERDFCQLKPYYMDTCGIIPPSPQEYPILGLNLLRLLVQNRIAEFHTELELLPVTALENPCIKHAVELEQSFMEGAYNRVLSARQAVPHETYVYFMDLLAKTVRDELAGCSEKGYDYMSIAEARQVLMFSSDKELHQYIAEEHPEWEIKDGSVFFQKAKETQPCKEIPSLQVINQTLSYARELERIV >ORUFI07G12380.1 pep chromosome:OR_W1943:7:12369376:12370642:1 gene:ORUFI07G12380 transcript:ORUFI07G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRAEEEAAAPPPPPPPAAEEKPAEAEAAVATKEPAAAKPPPIGPKRGTKVKILRRESYWYNGTGSVVTVDQDPNTRYPVVVRFAKVNYAGVSTNNYALDEIQEVK >ORUFI07G12390.1 pep chromosome:OR_W1943:7:12371114:12377860:-1 gene:ORUFI07G12390 transcript:ORUFI07G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSDGDHGSDLSADDSPWSEGSWSDDDDEGSLSFEDSGEGSDAESDEPDAPAVEESDSSEDEVAPRNTIGDVPLEWYKNEEHIGYDITGSKIKKRDREGRIEAYLRNADDAKNWRKIYDEYNDEEVQITKEEAKIISRLLKGKTPHTNVDPYPDYVDWFEYDGKGHPLSSAPEPKRRFVPSKWEQKKVVKLVRAIRKGWIKFDKPKEEPNFYLLWGDETDTADNKRQGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPRKFDCLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINIDPESLKPKLPSKKDLRPYPRTCYLEFKGHNGPVKSLSVEATGQWIASGSSDGTIRVWEVETGRCIKVWNVGGVVHRIAWNPSPDRHILAAVVDHDLLLLNAEVGDEDAQMKTKGLLQIEELAQEEDNGDKKPAVKWVKHEKFDGIMLIHHKLSKKHSHHPFRKLPGLPIAAVFHPSQKMFFVATKKFVQVYDLQKAQLVKKLESGVREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTRPYKTLKNHSKDITNVTFHRKYPLFASSSEDCTAYVFHGMVYSDLNQNPLIVPLEILRGHSSSDGRGVLDCKFHPRQPWLFTAGADSVVRLYCD >ORUFI07G12400.1 pep chromosome:OR_W1943:7:12385359:12385877:1 gene:ORUFI07G12400 transcript:ORUFI07G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAANFSRSHAWATAVSLIAFASTLRRAAVDNQNRRRCHRESIRFPSPFPHPKPIRLQGFDTILNSEFNDISGAQSAGGGGQVELQKGTGKKACSCGSSQRQRQPGGGRWQRQGGIISITNV >ORUFI07G12410.1 pep chromosome:OR_W1943:7:12399652:12406356:1 gene:ORUFI07G12410 transcript:ORUFI07G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDTSPSSSAPSSPAASSDSIDLNFLPFLKREPKSEPASPERGPLPLPAAAPPPPPPPPPPPPPPQVQAATVATPVPATPDLSAAAVMTPLQSLPPNPEEETLLAEYYRLATLYLSSAGAAGVIVPAAAPEASAGAVAQPGSGSGAKKRRPRSSELVRVSSLSVQDQIYFRDLVRRARITFESLRGILLRDDERAEVLGLTGVPGFGAVDRRRVRADLRAAALMGDRDLWLNRDRRIVGPIPGISVGDAFFFRMELCVLGLHGQVQAGIDFVTAGQSSSGEPIATSIIVSGGYEDDDDRGDVLVYTGHGGRDPNLHKHCVDQKLEGGNLALERSMAYGIEIRVIRAVKSKRSPVGKVYFYDGLYKVVDYWLDRGKSGFGVYKYKMLRIEGQESMGSVNFRLAEQLKVNALTFRPTGYLSFDISMGREIMPVALYNDVDDDRDPLLFEYLARPIFPSSAVQGKFAEGGGGCECTENCSIGCYCAQRNGGEFAYDKLSALLRGKPLVYECGPYCRCPPSCPNRVSQKGLRNRLEVFRSRETGWGVRSLDLIKAGTFICEFSGIVLTHQQSEIMAANGDCLVRPSRFPPRWLDWGDVSDVYPEYVAPNNPAVPDLKFSIDVSRARNVACYFSHSCSPNVFVQFVLFDHYNAAYPHLMIFAMENIPPLRELSIDYGMIDEWVGKRITEACIWDDVSSLPMRKAKSQLIVIH >ORUFI07G12420.1 pep chromosome:OR_W1943:7:12411191:12416095:-1 gene:ORUFI07G12420 transcript:ORUFI07G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDAARYAHSEAHHAVATRDHAALRRVLDALPRARRPEEIRTEADSVAEEARAEAASAVIDRRDVPGRETPLHLAVRLGDAAAAEMLMAAGADWSLQNEQGWSALQEAICAREEALARVIVRHYQPLAWAKWCRRLPRVVAAMRRMRDFYMEITFHFESSVIPFISRIAPSDTYRVWKRGANLRADMTLAGFDGFKIQRSDQTILFLGEGSDDGKVPPGSLCMINHKDKEVMNALEGAGAPASEAEVQQEVTAMSQTNIFRPGIDVTQAVLLPQLTWRRQERTESVGPWKAKVYDMHHVMVSVKSRRVPGAMTDEEFFSACNENDTESEGFDDVLTEEEKKQLEAALKMDSPDGAGGEGQSDTFVGPRHSCVEPREREIPIEDLSISGNGDSKHDKKGWFGHWGKRVQSSKLEGTKKMAPPRSSLCVDEKVSDILIESPSNVQTRPGRHSVDVVRGDESRRGKERDYRRPAASSECGHRRKEGSKESEYKKGLRPVLWLSPNFPLRTEELLPLLDILANKVKAIRRLRDLLTTKLPPGTFPVKVAIPVVPTIRVLVTFTKFEELQPLEEFTTPPSSPDNSKSPVAQSSSSSWIQWIKAPYHQNFSTAPGPSSRVEDIQDPFVIPADYVWTTPEEKKKKTQENKSKSKKGRNAAA >ORUFI07G12430.1 pep chromosome:OR_W1943:7:12430673:12430936:1 gene:ORUFI07G12430 transcript:ORUFI07G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVGHKVLNMRPEVRLGKALLQFKWPTSLHPIALLCLLSRVWRICNLELQARDIQHTLKEHVMQTQEWQQNVDAQLSNFNNTMQQQ >ORUFI07G12440.1 pep chromosome:OR_W1943:7:12436324:12436861:-1 gene:ORUFI07G12440 transcript:ORUFI07G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGHMRCATAWCSARHSSELIKELAAVAVIPGGGIGAGLWQRRLLAEEEALRAPEEETFPGGFLRSVAVPDEGIGVELRRRRFPAEESALRAPKAATFPGEASGTVASSWRGPCPHTAPPLSSPRALRPLVTPPLADRLACRRREKGEGVEER >ORUFI07G12450.1 pep chromosome:OR_W1943:7:12438542:12441004:1 gene:ORUFI07G12450 transcript:ORUFI07G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQAAAVVVVSGKATDPVRGGLKQIRRGRGCDKFVAAVVGLAAAPTGPLAEASLSITTVEPPLLLPSSPLHFLSLSFFVPEQERSGGGILELMEQEIGGGGAGDLLRRQSSSTSLAFPSVRTAGEPKLKVRLSCRLQELYLLHEIELASELELLGDVEFGGGDGAWGRVWLRRDPPLLRGAASSTAIAALLAGDCVERLPPMTASATLAAPQPSQPLHIATAAVAATLAAAPCRRQWSRGRETQQGEEKERERERQYGLGLYYFVG >ORUFI07G12460.1 pep chromosome:OR_W1943:7:12442357:12443901:1 gene:ORUFI07G12460 transcript:ORUFI07G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPPAVAARLAAVRADPAAVPLPFFNSLLSALASSHAHLPLHLFRRLLLPRRRPDAFTLSSLAASLLPPAHSPSASVTAAAAAAGCLHAFSLRLGLLRADPVLANSFLLLYLRAASPGLARRLFDEMPARTASTYNTLISHSPPGVDVWPVVRHMVEDGCVPDRFTVSSILPACESELRGRELHCFALKSGMCGAGDFHVGSSLVSMYFRVGQPGHARRVFDGMEQRNVVSWTAMVGGFTESGMFEDAVDAFRAMWVIGAVLPNRIALISVLSAVEALTDLAAGKQVHGFAVRMGLSGEVSLNNALIVMYVKCGVLWYARQIFDDGRWCKDVISWCSMIQGYGLHGKGAEAVALFDQMHISGVKPDSITGLGVLSACSRAGLVFKGLEIYNSLVKDYGVHPTEEMSACIVDLLGRSGMINQALDFIKSMSIEPGPSVWGALLDASIVHNNKEIQDLSCRYLLRLEEGNPSNLVSVSNLHAFEGSWNIVEHVRAKIKQGALKKIPGFSWINPT >ORUFI07G12470.1 pep chromosome:OR_W1943:7:12445374:12445872:-1 gene:ORUFI07G12470 transcript:ORUFI07G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSEGCDGKSGKPFKTKVKCLKCRGEDCEQMHNPYGPGPKEYIATGVGKDVGFDLEEAKETKESDVTYVKINMHMGVTMKWKRLALQMIIRVDLEKF >ORUFI07G12480.1 pep chromosome:OR_W1943:7:12446314:12453960:1 gene:ORUFI07G12480 transcript:ORUFI07G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSNSLSPVPHCARLPWLHCPTGLSPGCSRSGLAHSEAQDDRLWLQIRWPNHGRPASTSVACGRPASELASTMAVVGNQHMGFKVKEKIEDRVEIIFDAQTDVRVETGSQMAM >ORUFI07G12490.1 pep chromosome:OR_W1943:7:12449979:12450399:-1 gene:ORUFI07G12490 transcript:ORUFI07G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEARRCPALAVQWNRAAPGATVPPAGWPRCCGAAGGPPAGPAARILTSCSSAYFWIQQWRGGSHPREFFMGRRGSIAQGPHHNYFVRATHKTIENKNEVTIWVMSNACKNCRLIDGMIDARNWW >ORUFI07G12500.1 pep chromosome:OR_W1943:7:12454823:12456483:-1 gene:ORUFI07G12500 transcript:ORUFI07G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVVWVNGPIVVGAGPAGLSVAACLRERGVPSVLLERADCIASLWQRRTYDRLRLHLPKHFCELPGMPFPDGYPEYPDRRQFVDYLQAYAARAGVEPRFNQSVTSARYDDAAGLWRVRAEDVSVDAAGDVTEYIGRWLVVATGENAERVVPEIDGADDFEGPVSHVAEYKSGAAYRGKRVLVVGCGNSGMEVCLDLCHHNALPAMVHVLPREMLGVATFSVAVFLLRFLPLWVVDRILVVLAWLFLGDLAKIGITRPSRGPLELKNTRGRTPVLDIGALARIRSGDIEVVPGIRRLLRGGAELVDGRRVPADAVILATGYQSNVPQWLKGSDFFTQEGYPRVPFPDGWKGESGLYSVGFTRRGLSGVSSDAVKVAQDIAMAWNHQTATTR >ORUFI07G12510.1 pep chromosome:OR_W1943:7:12478020:12478986:1 gene:ORUFI07G12510 transcript:ORUFI07G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAADAGGDADVAVAAAATETRQPFTALSRLTPAITLARVLQVSEGNNYGSSDVGSYEYDYEDEEDYKEELRVPGNKSGSNMGARGSSHPTPLHPHREEKEGREKKRGVEEEEDVPPSPPFLEPPLHGTNV >ORUFI07G12520.1 pep chromosome:OR_W1943:7:12495175:12497035:1 gene:ORUFI07G12520 transcript:ORUFI07G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGRCLSPPPWMRLLRRRMGHTEGSASMDGARSSFAIFPLPFSASLVDAVEAANGPSSWSSASWSSNHVHRRTQRKLGQPAMETPDGKPASSEDGDGRDIPYESKDNEGEGSARLGRVVFKAGFAWICSGGILDGDNDDGCSEVLWWQDTTATATRSDLG >ORUFI07G12530.1 pep chromosome:OR_W1943:7:12506340:12513379:1 gene:ORUFI07G12530 transcript:ORUFI07G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWHTGSKLDGKSKRRYKVKQKDCFFDARRKKKEIDRGFAGNLVADIQQKIIPGVTHWQSPNYFAYYPSNSSTAGFLGEMLSAAFNIVGFSWITSPAATELEVIVLDWFAKMLQLPSQFLSTALGGGVIQGTASEAVLVALLAARDRALKKHGKHSLEKLVVYASDQTHSALQKACQIAGIFSENVRVVIADCNKNYAVAPEAVSEALSIDLSSGLIPFFICATVGTTSSSAVDPLPELGQIAKSNDMWFHIDAAYAGSACICPEYRHHLNGVEEADSFNMNAHKWFLTNFDCSLLWVKDRSFLIQSLSTNPEFLKNKASQANSVVDFKDWQIPLGRRFRSLKLWMVLRLYGVDNLQSYIRKHIHLAEHFEQLLLSDSRFEVVTPRTFSLVCFRLVPPTSDHENGRKLNYDMMDGVNSSGKIFLSHTVLSGKFVLRFAVGAPLTEERHVDAAWKLLRDEATKVLGKMV >ORUFI07G12540.1 pep chromosome:OR_W1943:7:12514118:12514555:1 gene:ORUFI07G12540 transcript:ORUFI07G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPATAFLRLLVLLVAGGPEPVWSSHAVTAACLPDSLQPCRHGHRRRVLRRQLRGWATGADAGGRADGRWRQTPAGKWKDDESGGRAGEQWRRLRRRATGADASGCTDGRRKRQPSGEAAATTARPREVARNLAELLPEQGAHQP >ORUFI07G12550.1 pep chromosome:OR_W1943:7:12515939:12516426:-1 gene:ORUFI07G12550 transcript:ORUFI07G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVRITICDHFNKAMWKACPGSRWNEDINLWRQIFINNPVLDSLSGFLVHLFVCTWKNEELHLPAINDGDELRNFFLLNLLMYQQNECESNIPTGARDFLKCIKNAQH >ORUFI07G12560.1 pep chromosome:OR_W1943:7:12516501:12517126:-1 gene:ORUFI07G12560 transcript:ORUFI07G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVWVQSSSPHFISLSLKHLQSVLKKDEPLESECFNMAICKFMYEKIQTIHKTKEAISNHCLDLQFWNATGFGKDPVHHDNVDLAKTISSWSKIHYKLSQCKSYAMLEALSWS >ORUFI07G12570.1 pep chromosome:OR_W1943:7:12517145:12518688:-1 gene:ORUFI07G12570 transcript:ORUFI07G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTDAVPRSTKHGDLSCRPLPPQLQGLQYHLNIIGRQQDLPSHKWGDLNVMKSSCGLFMLKIMENWTGESLSRSITQEDITLFRFKLAAVITTGEQSKDTKDSDDDVVILGSHQRKFNSKRDIYETKEVNKKYSSLLFVVATMSKQELISGLLHYIQ >ORUFI07G12570.2 pep chromosome:OR_W1943:7:12517145:12518302:-1 gene:ORUFI07G12570 transcript:ORUFI07G12570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKDYNIILILLDGNRTCLATNGVTSISSCGLFMLKIMENWTGESLSRSITQEDITLFRFKLAAVITTGEQSKDTKDSDDDVVILGSHQRKFNSKRDIYETKEVNKKYSSLLFVVATMSKQELISGLLHYIQ >ORUFI07G12580.1 pep chromosome:OR_W1943:7:12518213:12519463:1 gene:ORUFI07G12580 transcript:ORUFI07G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDRKRGWGPHEFVACAPDLAAGVTWGGSGRQLRSPCFVDLGTASVFGILRKKNSQGLPQAEPNPKGQATVDLQSVWAMTPFSKMDTNLRCQSYPAARRNGFLLYQRNTPSVKKKRHPGFPCPIFDCPSYMNFFIISIFIIVR >ORUFI07G12580.2 pep chromosome:OR_W1943:7:12518213:12519463:1 gene:ORUFI07G12580 transcript:ORUFI07G12580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDRKRGWGPHEFVACAPDLAAGHELGRYLGRKWAAAEVAVLRRPWHGIGQATVDLQSVWAMTPFSKMDTNLRCQSYPAARRNGFLLYQRNTPSVKKKRHPGFPCPIFDCPSYMNFFIISIFIIVR >ORUFI07G12580.3 pep chromosome:OR_W1943:7:12518213:12519162:1 gene:ORUFI07G12580 transcript:ORUFI07G12580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDRKRGWGPHEFVACAPDLAAGHELGRYLGRKWAAAEVAVLRRPWHGIGQATVDLQSVWAMTPFSKMDTNLRCQSYPAARRNGISHFHRFLILFTM >ORUFI07G12590.1 pep chromosome:OR_W1943:7:12539090:12540213:-1 gene:ORUFI07G12590 transcript:ORUFI07G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSLVDVIGFVVHVGDIEFRSLYLCRTPTRIIALIIFIRVWDQQHTRNLTRWRSAWTHFDCVVTTLMRVDKRANYELTNEDVLAQFSVEYSTENCTLVDMGDFYVQKNHLTCLLSEDEFVNDDVSTTTYDEATLEFNCQDIL >ORUFI07G12600.1 pep chromosome:OR_W1943:7:12540419:12544902:-1 gene:ORUFI07G12600 transcript:ORUFI07G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLHRAYKLSLLQIIMRDHAHSVMKSGLPGDGSLLLETNLGKSLVLVRCLNLNAELFGSMWYKWITYTGNGRLVVWKGHLHENFYGDLQIRLILIDELGTKMETIVFRRQAEHLN >ORUFI07G12610.1 pep chromosome:OR_W1943:7:12554904:12555629:1 gene:ORUFI07G12610 transcript:ORUFI07G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTSTTTTTLLRLRSSEGKVLVAPAWDGRPSATAAAAAAPPLETGVPLRALEKAVLFWVGRALAEAIGGKSGDGDWEAQFLRCLQQDGLAAEDVAAAVEKLRGIDALAGVVPDFTLAAAAAAHRHPSSSAAPETSASCHSHSNSRADASPDRAAASRARGRQRREEEEEEAADRGHRKTRQAGAAASDDGVQSSGTSAAAAAATTGASLRGRRGLPELHALQVNNSETQTLLAWELLLV >ORUFI07G12620.1 pep chromosome:OR_W1943:7:12558284:12567700:1 gene:ORUFI07G12620 transcript:ORUFI07G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRDDVRSAAQSTIHGSSSSAPTSSPVPDYPISGSVKPVLNYSIQTGEEFALEFMRDRAIPKKHLVSGMSHDQNVASGAGLKDPRGLLGAHRTGAESRFDAAIFLTTDIQQTEGIERKSFAENENRSRHVSTSSVPRIPSRSGSSQRLSHGYASSESSDSSRRIKILCSFGGKILPRPSDGKLRYVGGETHIIRISRNISWQELKQKTTAIYNQPHVIKYQLPGEDLDALISVSNDEDLRNMMEECGFLDNGEGSQKLRIFLVSSIDFDDMSFSLGSMDSDSGIQYVVAINGMDVGTTKPSSGHGLGNTSINELDQFINLNNDSNQPNSSRDGSNLYSMSASTAVPPALISVPLPVTLSSDSTANLYPYHSHGMQHVQGSDYSLPASSERFYDIEGQTSIPLSVPSGYRYTSQCTPYSGTTSLQSFDQQSYHDSMMEGSMKEEKQPSVRVPLQKNDLDYFQSLENMSVPVIHHDSSSTNYMNSDVPVTTSIQEGLKSSLQPSDSAKSLETYTASKAMSAAQDSECNEDDRHSSGAFASGCSDFQVDMMDHSNKNPPPHSGRVFHSERIPREQAGSLNRLSKSDDSLNSQFLILQSQSGVAKESIAEASDPAIEGTEKSNLDARAINLNDPATVDSVTPEKECANTVQQTSTFSEQLLGEKRSSTDMSTRNVEKNMHAAENAVAKCNLNDATSDGTKIVNQQADHSAVPHHVSWDTPNPAIPTDVGCDPFVPSTSSLDDSHKEPIIPKKDNKDIVGGMSERTSPDILSDFFANTAAQSLSPFNEPVLSLNMHNYEPQRWSFFRNLAQNEFEHKNKEQDLAKIEEGVYPLVHAEHDAVNVKNVAPQNDVHLETYPVSSGINLDSSILPPGFISSQDNPPMTKNVEGFQVDNPYTNMHEMMPSVPEFEEPKFEEGKAVGPVMDASFKDNNFEYLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSELERLANEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPASCDPEWRRLMEQCWAPDPSQRPAFTEIAGRLRAMSVAANQAKAASK >ORUFI07G12620.2 pep chromosome:OR_W1943:7:12558284:12567174:1 gene:ORUFI07G12620 transcript:ORUFI07G12620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRDDVRSAAQSTIHGSSSSAPTSSPVPDYPISGSVKPVLNYSIQTGEEFALEFMRDRAIPKKHLVSGMSHDQNVASGAGLKDPRGLLGAHRTGAESRFDAAIFLTTDIQQTEGIERKSFAENENRSRHVSTSSVPRIPSRSGSSQRLSHGYASSESSDSSRRIKILCSFGGKILPRPSDGKLRYVGGETHIIRISRNISWQELKQKTTAIYNQPHVIKYQLPGEDLDALISVSNDEDLRNMMEECGFLDNGEGSQKLRIFLVSSIDFDDMSFSLGSMDSDSGIQYVVAINGMDVGTTKPSSGHGLGNTSINELDQFINLNNDSNQPNSSRDGSNLYSMSASTAVPPALISVPLPVTLSSDSTANLYPYHSHGMQHVQGSDYSLPASSERFYDIEGQTSIPLSVPSGYRYTSQCTPYSGTTSLQSFDQQSYHDSMMEGSMKEEKQPSVRVPLQKNDLDYFQSLENMSVPVIHHDSSSTNYMNSDVPVTTSIQEGLKSSLQPSDSAKSLETYTASKAMSAAQDSECNEDDRHSSGAFASGCSDFQVDMMDHSNKNPPPHSGRVFHSERIPREQAGSLNRLSKSDDSLNSQFLILQSQSGVAKESIAEASDPAIEGTEKSNLDARAINLNDPATVDSVTPEKECANTVQQTSTFSEQLLGEKRSSTDMSTRNVEKNMHAAENAVAKCNLNDATSDGTKIVNQQADHSAVPHHVSWDTPNPAIPTDVGCDPFVPSTSSLDDSHKEPIIPKKDNKDIVGGMSERTSPDILSDFFANTAAQSLSPFNEPVLSLNMHNYEPQRWSFFRNLAQNEFEHKNKEQDLAKIEEGVYPLVHAEHDAVNVKNVAPQNDVHLETYPVSSGINLDSSILPPGFISSQDNPPMTKNVEGFQVDNPYTNMHEMMPSVPEFEEPKFEEGKAVGPVMDASFKDNNFEYLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSELERLANEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPASCDPEWRRLMEQCWAPDPSQRPAFTEIAGRLRAMSVAANQAKAASK >ORUFI07G12620.3 pep chromosome:OR_W1943:7:12559118:12567174:1 gene:ORUFI07G12620 transcript:ORUFI07G12620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRDDVRSAAQSTIHGSSSSAPTSSPVPDYPISGSVKPVLNYSIQTGEEFALEFMRDRAIPKKHLVSGMSHDQNVASGAGLKDPRGLLGAHRTGAESRFDAAIFLTTDIQQTEGIERKSFAENENRSRHVSTSSVPRIPSRSGSSQRLSHGYASSESSDSSRRIKILCSFGGKILPRPSDGKLRYVGGETHIIRISRNISWQELKQKTTAIYNQPHVIKYQLPGEDLDALISVSNDEDLRNMMEECGFLDNGEGSQKLRIFLVSSIDFDDMSFSLGSMDSDSGIQYVVAINGMDVGTTKPSSGHGLGNTSINELDQFINLNNDSNQPNSSRDGSNLYSMSASTAVPPALISVPLPVTLSSDSTANLYPYHSHGMQHVQGSDYSLPASSERFYDIEGQTSIPLSVPSGYRYTSQCTPYSGTTSLQSFDQQSYHDSMMEGSMKEEKQPSVRVPLQKNDLDYFQSLENMSVPVIHHDSSSTNYMNSDVPVTTSIQEGLKSSLQPSDSAKSLETYTASKAMSAAQDSECNEDDRHSSGAFASGCSDFQVDMMDHSNKNPPPHSGRVFHSERIPREQAGSLNRLSKSDDSLNSQFLILQSQSGVAKESIAEASDPAIEGTEKSNLDARAINLNDPATVDSVTPEKECANTVQQTSTFSEQLLGEKRSSTDMSTRNVEKNMHAAENAVAKCNLNDATSDGTKIVNQQADHSAVPHHVSWDTPNPAIPTDVGCDPFVPSTSSLDDSHKEPIIPKKDNKDIVGGMSERTSPDILSDFFANTAAQSLSPFNEPVLSLNMHNYEPQRWSFFRNLAQNEFEHKNKEQDLAKIEEGVYPLVHAEHDAVNVKNVAPQNDVHLETYPVSSGINLDSSILPPGFISSQDNPPMTKNVEGFQVDNPYTNMHEMMPSVPEFEEPKFEEGKAVGPVMDASFKDNNFEYLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSELERLANEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPASCDPEWRRLMEQCWAPDPSQRPAFTEIAGRLRAMSVAANQAKAASK >ORUFI07G12630.1 pep chromosome:OR_W1943:7:12568774:12570524:1 gene:ORUFI07G12630 transcript:ORUFI07G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPSSAATATVLLLLALLGVAAGGGEDGQKRAAGVYIVIVQPPADGADTVAYHTCILAAALGSEERAKEALLYSYRAVASGFAAKLTPPELSALQKHPAVLQVRPDQMYHAVDNLN >ORUFI07G12640.1 pep chromosome:OR_W1943:7:12576588:12581660:1 gene:ORUFI07G12640 transcript:ORUFI07G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWKEKVADRLARLLADSPASPSSAAAAAAAVEPQATPFPVEHFTSPKKGSLSSYVMSLLPTSNPGHERTSPSSQHMKPLPPESLPKRWRGNDFLWHDPPLALSEESGSESERDERNGNSNNEQILQSHRPIDNSNGNEETSTSDCTDSLYYLTEKSTFISPKLFGFFQSSLPGTLKGCHWVLLYSTWKHGTSLRTLFRRSENLQGPCLLIVGDMRGAVFGGLLNGPLRPTEKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYYYLCLNDALAFGGGGSFALCLDEDLLHGTSGSCQTFGNSCLAHSPDFELKNVELWGFTHSWGRST >ORUFI07G12640.2 pep chromosome:OR_W1943:7:12576588:12581660:1 gene:ORUFI07G12640 transcript:ORUFI07G12640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWKEKVADRLARLLADSPASPSSAAAAAAAVEPQATPFPVEHFTSPKKGSLSSYVMSLLPTSNPGHERTSPSSQHMKPLPPESLPKRWRGNDFLWHDPPLALSEESGSESERDERNGNSNNEQILQSHRPIDNSNGNEETSTSDCTDSLYYLTEKSTFISPKLFGFFQSSLPGTLKGCHWVLLYSTWKHGTSLRTLFRRSENLQGPCLLIVGDMRGAVFGGLLNGPLRPTEKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYYYLCLNDALAFGGGGSFALCLDEDLLHGTSGSCQTFGNSCLAHSPDFELKNVELWGFTHSWGRST >ORUFI07G12650.1 pep chromosome:OR_W1943:7:12589291:12594917:1 gene:ORUFI07G12650 transcript:ORUFI07G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERISTNQLYNSGIPVTVPSPLPAIPATLDENIPRIPDGQNVPRERELRSTPMPPHQNQSTVAPLHGHFQSSTGSVGPLRSSQAIRFSSVSSNEQYTNANPYNSQPPSSGSSSTLNYGSQYGGFEPSLTDFPRDAGPTWCPDPVDGLLGYTDDVPAGNNLTENSSIAAGDELAKQSEWWNDFMNYDWKDIDNTACTETQPQVGPAAQSSVAVHQSAAQQSVSSQSGEPSAVAIPSPSGASNTSNSKTRMRWTPELHERFVDAVNLLGGSEKATPKGVLKLMKADNLTIYHVKSHLQKYRTARYRPELSEGSSEKKAASKEDIPSIDLKGGNFDLTEALRLQLELQKRLHEQLEIQRSLQLRIEEQGKCLQMMLEQQCIPGTDKAVDASTSAEGTKPSSDLPESSAVKDNQVTDNEDVMRVSPRCGPKWPLNGLDGYLTDVWQS >ORUFI07G12660.1 pep chromosome:OR_W1943:7:12601847:12602598:1 gene:ORUFI07G12660 transcript:ORUFI07G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTAKQRWRWLLAPMMLPMSPATSATGATANVKRLLTVASTNVPIWDEVMNK >ORUFI07G12670.1 pep chromosome:OR_W1943:7:12610468:12617011:-1 gene:ORUFI07G12670 transcript:ORUFI07G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARPFFSPHEAFARASTASSPAAAATVAVAAPAPDPSPATGHADAAEVAVFRLLLPQAFSDADAMRLYAAIAPLRRLFPTLQVRVETLGVGASSDDADGDGDGGGGGGGGRVAVVLGPASPARRVEASSSSGEPLELSPAQEALVALFDSGWVVHLNGEAWGAERMTCLVLVEAGRLEAASGKGILWTIANESGAEVRVTPWGGEGGAAYAAQPPEEVVEITGDGTTVRRALVSVSSCLQDMSTERANTSIPHIDCPQGATGIEQTECVMQFSFRLLCPVTLAGGLIGKNGMVIKAIEVNSGASVDVGGPVHRCMERAITVSALEKPGQKFSMVENAVLRIFDRMQVVESNMHSRPNNPLHCSARVLILKGQFGYLVGPGGSLIKHMNNTTRTKMKILEQTAVPACASQYELITGEPMNVRDALSLVCEKLRNHCFSSEKTTYGNGHVPSSAIDELTTSSQVNISSTGQYSAGNLSRVDHRLSQNEIDSVQNSISAFDLGCLGSPQIQKPTIGCGIEINNPINEVEKPANGNGTGINNLNTGMQNENGIDVSNHGATSLEEKKLLRGIKTATITRITYEVAVCGDNGNDFTMIREMSGADVTAHYPLPETSDGMIVISGTPDEAQSALAMFLDLVKEGQ >ORUFI07G12670.2 pep chromosome:OR_W1943:7:12610468:12617011:-1 gene:ORUFI07G12670 transcript:ORUFI07G12670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARPFFSPHEAFARASTASSPAAAATVAVAAPAPDPSPATGHADAAEVAVFRLLLPQAFSDADAMRLYAAIAPLRRLFPTLQVRVETLGVGASSDDADGDGDGGGGGGGGRVAVVLGPASPARRVEASSSSGEPLELSPAQEALVALFDSGWVVHLNGEAWGAERMTCLVLVEAGRLEAASGKGILWTIANESGAEVRVTPWGGEGGAAYAAQPPEEVVEITGDGTTVRRALVSVSSCLQGDGPLGSSTSAHSVNPILTQTFPKVPEPEMGSLYSDMSTERANTSIPHIDCPQGATGIEQTECVMQFSFRLLCPVTLAGGLIGKNGMVIKAIEVNSGASVDVGGPVHRCMERAITVSALEKPGQKFSMVENAVLRIFDRMQVVESNMHSRPNNPLHCSARVLILKGQFGYLVGPGGSLIKHMNNTTRTKMKILEQTAVPACASQYELITGEPMNVRDALSLVCEKLRNHCFSSEKTTYGNGHVPSSAIDELTTSSQNEIDSVQNSISAFDLGCLGSPQIQKPTIGCGIEINNPINEVEKPANGNGTGINNLNTGMQNENGIDVSNHGATSLEEKKLLRGIKTATITRITYEVAVCGDNGNDFTMIREMSGADVTAHYPLPETSDGMIVISGTPDEAQSALAMFLDLVKEGQ >ORUFI07G12670.3 pep chromosome:OR_W1943:7:12610468:12617011:-1 gene:ORUFI07G12670 transcript:ORUFI07G12670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARPFFSPHEAFARASTASSPAAAATVAVAAPAPDPSPATGHADAAEVAVFRLLLPQAFSDADAMRLYAAIAPLRRLFPTLQVRVETLGVGASSDDADGDGDGGGGGGGGRVAVVLGPASPARRVEASSSSGEPLELSPAQEALVALFDSGWVVHLNGEAWGAERMTCLVLVEAGRLEAASGKGILWTIANESGAEVRVTPWGGEGGAAYAAQPPEEVVEITGDGTTVRRALVSVSSCLQGDGPLGSSTSAHSVNPILTQTFPKVPEPEMGSLYSDMSTERANTSIPHIDCPQGATGIEQTECVMQFSFRLLCPVTLAGGLIGKNGMVIKAIEVNSGASVDVGGPVHRCMERAITVSALEKPGQKFSMVENAVLRIFDRMQVVESNMHSRPNNPLHCSARVLILKGQFGYLVGPGGSLIKHMNNTTRTKMKILEQTAVPACASQYELITGEPMNVRDALSLVCEKLRNHCFSSEKTTYGNGHVPSSAIDELTTSSQVNISSTGQYSAGNLSRVDHRLSQNEIDSVQNSISAFDLGCLGSPQIQKPTIGCGIEINNPINEVEKPANGNGTGINNLNTGMQNENGIDVSNHGATSLEEKKLLRGIKTATITRITYEVAVCGDNGNDFTMIREMSGADVTAHYPLPETSDGMIVISGTPDEAQSALAMFLDLVKEGQ >ORUFI07G12680.1 pep chromosome:OR_W1943:7:12634905:12635784:1 gene:ORUFI07G12680 transcript:ORUFI07G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGTSRGSPLSSWDGGGERGRRQPPVPYREGPLDYELPVFCDCKVKAARWISWSPHNPGRRYFTCYNARICFQGIDSFTSPFFVKSGGCGFWETIYEATVEAKPYMKQLLLDLRNAVWSAREQVNGLEAALWDRTVAQHNLQSTPKKESNEVQGLRAAVEKMEAANCVLVDRINKQQTSVLLESVIANWNECKIVVLFEMTVKNQVI >ORUFI07G12690.1 pep chromosome:OR_W1943:7:12644624:12645130:-1 gene:ORUFI07G12690 transcript:ORUFI07G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQMKSRSLLLVLFVVLLAMPARIYGKHMVYTASQSKNEGRYKVGINGLEPVKCTISPDGYCCYDKKSKDYKTCYPNASECWSNCCKLEARV >ORUFI07G12700.1 pep chromosome:OR_W1943:7:12654434:12655762:1 gene:ORUFI07G12700 transcript:ORUFI07G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATASRKGDHDCPELPPDTLRLIWASLPIKSRVRARAVCAAWSSALPDKIDHFPWLLRLPPAHGDAAAAAASSPAVFFPSTGTSAGFELPFHRPGTRCVGMHDGWIAAVDVDLGVRILDPLSGARVDLPPLTACPGVGFGRGRASRRLHEQVEYRQSPTAVTEFFPVDTFLDSVLVKIAFSAPGGADDGDGEVGAFAVAVFWDRVVYTAAGLGEWRQLTTPNAGTRCHPEKVVDVVHAGGGRFFGLTATDETHVTYLAPTALFDIQVFDLSACGGGGPVEASKLPVARLRPRQALRRQKFPSADVFCARLFLLDGTPHVVLRWWDVLARADEMAVLASDPGDPLGWRAAGDLRGRALLVGNGCAAPVRAPGGAIGGDRVYFADKVSCFARESNRRLTGVGTFDVKSGSLEMLWKDGAGDDPLEACRAPTWFAPPYFFR >ORUFI07G12710.1 pep chromosome:OR_W1943:7:12661293:12661795:1 gene:ORUFI07G12710 transcript:ORUFI07G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGGHVSRQPLPPIAGVSVPDPAIAMPSVVPFPPIARPSVLDPIVVIRSAVEEEGMRCTVEKEEPRHAVATPVLMPDLAIAIDSSHCEPIDTGSNHHEDANRGGGGDEAQVMEEPRHVVPVLITGSSRLLPAPPWATSGGFK >ORUFI07G12720.1 pep chromosome:OR_W1943:7:12663959:12665128:1 gene:ORUFI07G12720 transcript:ORUFI07G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLMPGDDGRWGSGRAGGGEEAVAATVARAWQPGEVAGGAAGRECGSRVLAGGGWAWAMAGRPLPGGRRGWRWQAGIRRAGEGSCPPEGGSGAGQARGGGGTAELVAIASAAMAREHGATATVATARSSWRQWQRRLVVGDSTVASQRQWRLPAAVAMGHSRRRQRRRARGDGRGL >ORUFI07G12730.1 pep chromosome:OR_W1943:7:12692514:12693385:1 gene:ORUFI07G12730 transcript:ORUFI07G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRFYLWYQRNKMARISMMPLLVCVAVLVVELRRMTGSRIKITGQFKPCVHMGCFELEAFVELNQRSRWWQCPTCLKNYSLDNIIIDPS >ORUFI07G12740.1 pep chromosome:OR_W1943:7:12695016:12697079:-1 gene:ORUFI07G12740 transcript:ORUFI07G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMKKKVESKHNNKDMKMALKHDIPLEVLQEGIPTVLRGAPDNEVQAGRVIRVSSATGLKEALQQAGPGALLKVYVVSYIPPSAAAQIREMTTTTRGGKEAQEKKPSASATIAKAQPQPHDQETATAPPQPTKSLSAPFKFKVKLRSHSKAPPQPIKRRNLPLSSSSPNPNASIGEEEEKGNIAGFIIANIHVLEAKEMAMVAMEGDMSVLEELQKRISSSPDLSPHDVQVQLPTTANNLGTDKGKNILFSLKKRYLLAHLQAGHSRMATNILKYVDLAQYNSFIEEADPEKASKAMAVKAQYELEYPGKHSPGWMAKQVLGVAAHMYWEKKKAKIMGEDGLWAGDKTTVLTRLKKQEQKDGKTRQQEVAHRRRPSR >ORUFI07G12750.1 pep chromosome:OR_W1943:7:12712340:12712891:1 gene:ORUFI07G12750 transcript:ORUFI07G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIADEKAHPGHGLGGSGEGSSGDGVLLRRRVASAGVLLRRWNLWKVEGESLVVVLGVWWREGLSYSSPWQRIDGEGGGGGVLADTKNVEEAALPGGVSSEDKGKMGSVRDAKKDDANGFSRGSPEGNQWQRGLAGTDAGARVPAVPRDFLPWARSMVSSLSLRSRAAWRWNRTSGRMKEEI >ORUFI07G12760.1 pep chromosome:OR_W1943:7:12739956:12746749:1 gene:ORUFI07G12760 transcript:ORUFI07G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGICLVNKKRRLTLRPCVEVDHSSKRVRSRCAKFESLPEDIVSRIISQLTLKEAVVMSSTSTKLRRAWIYHPNLYLDTSIVFGSSDRQKRVPSTETFIDTVNFILRTHSGLGVNKLAVMFELRKEHAHDIDGWVSFAVTSKARVVTLNFSPYHGSHDRSYNFPCHLFNGKSGSHLQVLQLDTVTLGPSPPGFCGFANLTMLTLENVLVLGDLQFLLKCPALEWLTIRMCSQLHNLYAPEPLPRLTFLCVQDCAIDKIDVHAPNLTTFKYRGRFKVIIALRECLKLKTASIVSPIEDNLYYIFTELPNGLPHVERLHVNVFVKTQIPGFTQAPYKFINLRHLTMRITYEIAKRFGRNAVLQLAYFSEAAPFLVDLHLDMLCLDFYESRPARDVIMNRPHYSLKRACITGFNGNGGQVALVKFILKNAVKLEEMVIDPKGRITNQMMGEHKGRRMIKEKLVPKYKNGLLGRVILV >ORUFI07G12760.2 pep chromosome:OR_W1943:7:12742359:12746747:1 gene:ORUFI07G12760 transcript:ORUFI07G12760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATATSTVAGGGFTGTTISARRAHMDGICLVNKKRRLTLRPCVEVDHSSKRVRSRCAKFESLPEDIVSRIISQLTLKEAVVMSSTSTKLRRAWIYHPNLYLDTSIVFGSSDRQKRVPSTETFIDTVNFILRTHSGLGVNKLAVMFELRKEHAHDIDGWVSFAVTSKARVVTLNFSPYHGSHDRSYNFPCHLFNGKSGSHLQVLQLDTVTLGPSPPGFCGFANLTMLTLENVLVLGDLQFLLKCPALEWLTIRMCSQLHNLYAPEPLPRLTFLCVQDCAIDKIDVHAPNLTTFKYRGRFKVIIALRECLKLKTASIVSPIEDNLYYIFTELPNGLPHVERLHVNVFVKTQIPGFTQAPYKFINLRHLTMRITYEIAKRFGRNAVLQLAYFSEAAPFLVDLHLDMLCLDFYESRPARDVIMNRPHYSLKRACITGFNGNGGQVALVKFILKNAVKLEEMVIDPKGRITNQMMGEHKGRRMIKEKLVPKYKNGLLGRVILV >ORUFI07G12770.1 pep chromosome:OR_W1943:7:12794050:12794445:1 gene:ORUFI07G12770 transcript:ORUFI07G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVVARSAPCSQYLAGGWPAAGADGGGGGAGGGCGGGGAGGGYGGEGDGDDDGGPRRWPGLSRTSPPRDLRRSGLIDAPSTTSTTRTARCTSRSEPPRPDLAGWQLATVADGDGGGCGDGGWRRWRLWR >ORUFI07G12780.1 pep chromosome:OR_W1943:7:12845217:12847132:1 gene:ORUFI07G12780 transcript:ORUFI07G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDGTTAPSSGGKTATVALESGGGRYGGPAPAKCSGANLALRALLFAVSLSALVVLVTAKQTVMVPFVIRPPQFILAPVPAKYTHSPALIYLLAALCATCFYSLITAISSVRLLSSSACSAKTLFYLILLDVFYAAVMASATGTAGAVAWVGLKGNSHTRWNKICNVYGKFCRHIGSSTFLALIAAIVLVLLAFLNAYSLYRRSR >ORUFI07G12790.1 pep chromosome:OR_W1943:7:12857562:12859616:-1 gene:ORUFI07G12790 transcript:ORUFI07G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGVRLVKRGDSARGWRREEATQWRTSVDSDGDLFPSDVRALRCLLAGISRVRTHLVTNRNQRRGSWWMISMAIGSFLEESSLLTDELRNDIDSLASAHANIAEYY >ORUFI07G12800.1 pep chromosome:OR_W1943:7:12873435:12875305:1 gene:ORUFI07G12800 transcript:ORUFI07G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGSRSSSRNSNMASEWSKEENKLFEQAIAYYGEGAPDLWHKVSRAMGGTKTADEVRRHFEILVDDIKLIEARRVPFPKSGSI >ORUFI07G12810.1 pep chromosome:OR_W1943:7:12882214:12886546:1 gene:ORUFI07G12810 transcript:ORUFI07G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEFDGATNALALTLRFDDNATLAPAHIISTRADVKRLLTQEVAFGFSATTGTWIERHRILSWSFNSTTVAVEDRSASRACLLLTIVTFNLTLVWEGNKDGEAEKGRNTPVMATLQQWQRNKEIFLWRWWDSGGHRV >ORUFI07G12820.1 pep chromosome:OR_W1943:7:12893806:12901535:-1 gene:ORUFI07G12820 transcript:ORUFI07G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNMFYRRKRSWRAGDLVSRSTLQLLDFDDGSPPEYAWRRKLSSHANRLKEFNVTFREAIKMMKLGLRLWSYIREEASHGRKAPIDPFTRESDKPSASQGVPLGGMGSGSISRGFRGEFKHWQIIPGSCEMSPVMANQFSIFITRGGNKKYSSVLAPGQHDSLKKSNDDGISSWDWKLRGDRSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYQESSLPTSVFVYTIVNTGKERAKVSLVMTWAVGFPIIPAVMTANNNPPVTFAVAACENQNVNVTVLPAFGLSGESSVTAREMWGTLSQDGCFDRDNFSAGPSMPSSLGDTVCAAVCASTWVEPHGRCTVVFSLAWSSPKVKFKKGNAYYRRYTKFYGTSPRSAINLVQDSLMKYKHWEEEIDKWQRPILHDERFPEWYKVTLFNELYFLVAGGTVWIDSASLMADADEMMNSRLSEDNDLPLHHSSRNSAVPLIGFSPHIIDDRENVGKFLYLEGIEVRFLADGTWGTRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQVYRDFAATGDMSFGKDVWPAVCTAMEYMEQFDHDDDGMIENDGFPDQTYDAWTVRGVSAYCGCLWLAALQAAAALARTLGHHDYAERCMLKFAKAKPLFEAKLWNGSYFNYDSGTSYNSRSIHADQLAGQWYTASSGLPPLFDEGRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMNDQAFTTAEGIFIAGWSEEGYGYWFQTPEAWTIDGHYRSLIYMRPLAIWAMQYALSPPRTILEAPKVNTMDRTSYISPSTLQFLQDSVRKMTPKNSCFGSSSSNCEC >ORUFI07G12820.2 pep chromosome:OR_W1943:7:12893806:12901535:-1 gene:ORUFI07G12820 transcript:ORUFI07G12820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNMFYRRKRSWRAGDLVSRSTLQLLDFDDGSPPEYAWRRKLSSHANRLKEFNVTFREAIKMMKLGLRLWSYIREEASHGRKAPIDPFTRESDKPSASQGVPLGGMGSGSISRGFRGEFKHWQIIPGSCEMSPVMANQFSIFITRGGNKKYSSVLAPGQHDSLKKSNDDGISSWDWKLRGDRSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYQESSLPTSVFVYTIVNTGKERAKVSLVMTWAVGFPIIPAVMTANNNPPVTFAVAACENQNVNVTVLPAFGLSGESSVTAREMWGTLSQDGCFDRDNFSAGPSMPSSLGDTVCAAVCASTWVEPHGRCTVVFSLAWSSPKVKFKKGNAYYRRYTKFYGTSPRSAINLVQDSLMKYKHWEEEIDKWQRPILHDERFPEWYKVTLFNELYFLVAGGTVWIDSASLMADADEMMNSRLSEDNDLPLHHSSRNSAVPLIGFSPHIIDDRENVGKFLYLEGIEYFMWCTYDVHFYASFALLELFPKIELSIQRDFATAVLREDKSRVRFLADGTWGTRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQVYRDFAATGDMSFGKDVWPAVCTAMEYMEQFDHDDDGMIENDGFPDQTYDAWTVRGVSAYCGCLWLAALQAAAALARTLGHHDYAERCMLKFAKAKPLFEAKLWNGSYFNYDSGTSYNSRSIHADQLAGQWYTASSGLPPLFDEGRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMNDQAFTTAEGIFIAGWSEEGYGYWFQTPEAWTIDGHYRSLIYMRPLAIWAMQYALSPPRTILEAPKVNTMDRTSYISPSTLQFLQDSVRKMTPKNSCFGSSSSNCEC >ORUFI07G12830.1 pep chromosome:OR_W1943:7:12901605:12902140:-1 gene:ORUFI07G12830 transcript:ORUFI07G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGAAAKATAGLVNRQRFLTSPPLLPRHEGKKRFELSRSFPLELARPFPWVQLEGSSRFLPDRSVLSGLYPTGAV >ORUFI07G12840.1 pep chromosome:OR_W1943:7:12952207:12957906:-1 gene:ORUFI07G12840 transcript:ORUFI07G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDARLDAAVFQLTPTRTRFDLVVIVNGRKEKIASGLLNPFLAHLKVAQDQIAKGGYSITLEPSSGVGAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQLEDAISIQSNDNLGLRSKGDAFLFAIWKVEDHGGKLTESNEGTRANHSPDADKAIVIYQPGSQPTPAVHDETTTHEENSKVQLLRVLETRKNVLRKEQAMAFARAVAAGFDIDNLGYLIAFAERFGASRLMRACSQFIELWKRKHETGQWIEVEPEAMSTRSEFPPFNPSGIVFVGDNMKQNTETMSVSNGEANGEDASKAEHKSGQQMGYQAAYPPWAMHPPPYHMQGMPYYPGPYYPPYPPVDDPRYHYSGRKSSRKHSSDSKESEVLDEGSDGSSSERGSSHGHKSHKKGKRSGKKKPSVVVIKNVNVTSKKHGSSESESQSSSEDGSQDSDDSHSKKRHGKHKSSGSKKKEGAKTNFDSGDDYNNKDESSYGQDADQGNWNAFQSFLMRAEEKTRSNDADMFSGEKAPPSRKKNNVNTADPILLAGGDSGDVYEQRGAGFDPVNGRSRAIRLQSNDELMMSGEGGRYMDGEIKEIEAGGGRYRRGTSEDFMLYGQERSMDRRSALDPLAEARYRNPNQVDKNGYVAADESFIIPLRSGSQDNVGPEYRAAIDIDVELPTNTKKTSDGKAGTQLFYEPDELMPERASEDASFGYDPAMDYESNMMVRAVKVEDSNDEDVSHSNDGDVKKPEKEKIRSTKDGSDKRKKDAILRRLSAPKTPLNDAQKRAQNMRAYKADLQKLKKEQEEEQMKRLERLKLERQKRIAARSNGKSDPPKASREHANGLSKSVPSLTGLKKEKSGSTESFSERLKRLAEPKSIGGADHLSNPKSATADHSRRRSMV >ORUFI07G12850.1 pep chromosome:OR_W1943:7:12973138:12975222:1 gene:ORUFI07G12850 transcript:ORUFI07G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFTPSLQGIKNVKSESGVILTKPFLEVCKHILPVLDKFGSAMSIVKNDIGGNITRLETKYASDPSKYEQLHSMVKVEISSKTAKSSSSCTNGLLWLTRAMDFLVALFHNLVQHPDWQMSQACSDAYSKTLKKWHGWLASSSFSVAIKLAPDRKKFMEIISGSGDINADIEKFCATFSPLLAENHRFLASVGMDDLKAS >ORUFI07G12860.1 pep chromosome:OR_W1943:7:12978584:12981038:1 gene:ORUFI07G12860 transcript:ORUFI07G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKMKKGILRPFRYISNMMDGKEAAQDMQIGFPTDVKHVAHIGWDGPSVPNNNNNTAGAPSWMKDYHSAPLDSASFRSDRGGSAAANPWASQEIVVDGGSLGDTSFSETRSEASGSMDITAGDSPPSPDSRRSRRHRSRGSAATSSMDCTAADGGAAPEKKDKAKKSSRGKNRKKDKSDKSAAAGAGDDASGATCQDLPAVPKKSNRRKNKGGSEGTGAAAASKADGAGAGGEDAAAPEPPATEEAQDHD >ORUFI07G12870.1 pep chromosome:OR_W1943:7:12985229:12990270:-1 gene:ORUFI07G12870 transcript:ORUFI07G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQSKEELVYQQVNYGNADGIRALRAQGAGKTPLMVASMRPDLINVVQVLIELGANVNAYRPGSYCGTALHHAAKKGLEQTVHLLLSHGANPFITNDDCHTALDLAREKGHVNVVRAIEGRISLFCGWMRENYGPGFLEAFAPQFLTRKIWAVILPREARNQTRPLKLELTIYPELQASKPQAVIKLWKCQLEEPKFNQANPSVTIFDKGTRTRYKLLPVCEGDKQQLQWFYSACCGIPQVASMVPAQPANAPLPNPSSASSLPSVISTPSKEDAELAMAINASIQSAIAEGVPDVQPITTTTATNDWGNPPSNSLNGWGPPDTSAPSKTSGQVPVVTSSSSTYNGWDVPGTSSGQSSSKHNKSQNSTFVVPQEALPSLPVPTAPPLAVGTFYDGPIQYPSIDSTPVDVTMPSADGGTAVSSAKPAENEGDAKPAESDANASNSGNTPPGTCVICLDAPVEGACIPCGHMAGCMSCLKDIESKKWGCPICRAKINQIIRLYAV >ORUFI07G12870.2 pep chromosome:OR_W1943:7:12985229:12990270:-1 gene:ORUFI07G12870 transcript:ORUFI07G12870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQSKEELVYQQVNYGNADGIRALRAQGAVLLFVEGWMLFALQWIDKEGKTPLMVASMRPDLINVVQVLIELGANVNAYRPGSYCGTALHHAAKKGLEQTVHLLLSHGANPFITNDDCHTALDLAREKGHVNVVRAIEGRISLFCGWMRENYGPGFLEAFAPQFLTRKIWAVILPREARNQTRPLKLELTIYPELQASKPQAVIKLWKCQLEEPKFNQANPSVTIFDKGTRTRYKLLPVCEGDKQQLQWFYSACCGIPQVASMVPAQPANAPLPNPSSASSLPSVISTPSKEDAELAMAINASIQSAIAEGVPDVQPITTTTATNDWGNPPSNSLNGWGPPDTSAPSKTSGQVPVVTSSSSTYNGWDVPGTSSGQSSSKHNKSQNSTFVVPQEALPSLPVPTAPPLAVGTFYDGPIQYPSIDSTPVDVTMPSADGGTAVSSAKPAENEGDAKPAESDANASNSGNTPPGTCVICLDAPVEGACIPCGHMAGCMSCLKDIESKKWGCPICRAKINQIIRLYAV >ORUFI07G12880.1 pep chromosome:OR_W1943:7:12992525:12992840:1 gene:ORUFI07G12880 transcript:ORUFI07G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLLLLHLHLPVLRATSLKRESYSRKEEDDAEKERQKAERYKVAFALDQQWIGLEKEKFELKRMIE >ORUFI07G12890.1 pep chromosome:OR_W1943:7:13028246:13029077:-1 gene:ORUFI07G12890 transcript:ORUFI07G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNWVSRKIHLYNVTMGLYMLDCCFPLTGVDIMVLILLWFICFNGSRFASDVFESPSFAITVGSWQSETLLNIKIVDVLELVVNELEVKG >ORUFI07G12900.1 pep chromosome:OR_W1943:7:13038329:13038554:1 gene:ORUFI07G12900 transcript:ORUFI07G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHHHRQPHDGIGDWGKAARGREEVFDLTGGAWEESLDLTGGHTTAAEVAWQGCRVMWDRVEALNIAGSDGG >ORUFI07G12910.1 pep chromosome:OR_W1943:7:13039579:13041075:1 gene:ORUFI07G12910 transcript:ORUFI07G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAADQKVVTMTSLREGCACAAPPAAAAPPMPKMAAAQRVVAELREACATPAARLAEVAAAMAGEMEAGLAVEGGSSEMKMIVSYVDSLPTGGEEGSYYALDLGGTNFRVLRVRLAGGGVAERVAREVPIPPGVMSGGGATSECLFGFIASALAEFVGEEEEEGGLDGGERELGFTFSFPVHQTSIASGTLIRWTKAFAVDDAIGEDVVAALQAAMSERGLDMRVSALINDTVGTLAAGSYYDEDVVAAVILGTGTNAAYVEDATAIAKLHPSQLPASNTMVINTEWGSFASPCLPLTEFDEALDQESLNPGEQTYEKLISGMYLGEIVRRVLLKISSRCPSLLGGAGELATPFVLRTPDVSAMHHDETPDLSIVGEKLERTLGIRGTSPEARRMVVEVCDIVATRAARLAAAGIVGILKKIGRVDGGEGRRRRSVVAVDGGLFEHYGKFRRCMESAVRELLGEAAAERVVVKLASDGSGLGAALVAAAHSQRA >ORUFI07G12920.1 pep chromosome:OR_W1943:7:13041722:13042588:-1 gene:ORUFI07G12920 transcript:ORUFI07G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNWVSRKIHLYNVTMGLYMLDCRSSLTGEDMMVLILLWFVCFNGSRFASDVFDSHLKARIIPGGNYGLGIEK >ORUFI07G12930.1 pep chromosome:OR_W1943:7:13044165:13046177:-1 gene:ORUFI07G12930 transcript:ORUFI07G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASFSLQIFLLFSSGFRKRHSSRVLSVLLWLAYLSADPVAVYVLGRLSLRASGSSDPRNQQQLVLFWAPFLLLHLGGQETMTAFSMEDNTLWKRHLLSLATQMATAIYVVSKQLRGDSRLVAPMVLVFVFGTAKYAERIWALRRAGSVAPGTSSSTANLVSRASSNAVWDTQGYYSQLCYVIERKLERNFEFILAVANEGFRLSLGFFMDMTPSISLLPEDISEIKNSVEVFKSSEDIVHMAYKLAEINLSLIYDYLYTKFGTRHFHIVPVCNVFHLIIKIALISVALALFMRARAGQKAHDVVDVIISYILLVGAIVLEICSVLMSFISSCWAYKTIITLPLACPLCQKFPGVIAALLSLVRHLHPDSRGEWSGKLAQYNMIEGCIQEKQAGAGLLRRARRYIGIDDSKAIKHIGVSPEVKKLVLDKLLEIASTSRVLEWDLGVGKFRGQWAQWVVEAKEDHLRSAAQQVLQVSNIQGLEFVSSVLLWHIITDICLLVDEDEDGGAELRGPIRDLSEYTMYLIADCGVMAGSEGHFVLRKGRHEVLSWLREKGESGCDRRKVIEEIRNEDSSFFADNYYPVLDRARRVSSDLLVLEEPGDRWELIAAVWMEMLCHISYNCGAGFHAKQLTTGGEFVTHVKMLLFMLGVPFLRDVKEPLFYRAGNLYS >ORUFI07G12940.1 pep chromosome:OR_W1943:7:13050238:13050847:-1 gene:ORUFI07G12940 transcript:ORUFI07G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGPNPSEACLWHGKDSVAMCERRRRRHGASKDGVVCSGKRGWHCGACAARGIGSGATVTGYGVPDMGPSGGVVWGGGAARGAAMTRLGRLGVPMEGSSMERREVSMGTTRGKRVRCQQATGANEETGGRGGDNRSWLPVGRHCGGDMTWQRFDWVMELGVATIDMGKGVADENLAWDLRRQ >ORUFI07G12950.1 pep chromosome:OR_W1943:7:13084865:13097074:1 gene:ORUFI07G12950 transcript:ORUFI07G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSGKIIQNAFLAQHRPQARHSTRYPSDFCALDTRSVHSFQECRLRLAGTSAKWLNTISTAWISSSKQAYISCNAAQGSSVVPSSAKVDFLKLQNGSDIRGVAVGGVEGEPVNLTEPVTEAIASAFAAWLLNKKKADGWRLTLGITTAGHDVLQFGLASTPAMFNSTLTEDEINHCPVDGAIMITASHLPYNRNGLKFFTSAGGLNKADIKDILERASRIYEDSSHGSTQELEQASKGEVSNVDYMSIYASDLVKAVRKSAGNKEKPLEGLHIVVDAGNGAGGFFVDKVLKPLGAITTGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVADNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIENKLGRLVLCSICLSVAHLFYDKGGKHHRFKRGYKNVIDEAIRLNTIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARILNPNVGSKVLTDLVEGLEEASVTVEIRLKIDQNHADLKGGSFRDYGEAVLKHLENAISKDEYLCKAPKNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSKDDAIKLGLAVLTAVSEFPALDITALNKFLQQ >ORUFI07G12950.2 pep chromosome:OR_W1943:7:13084865:13097074:1 gene:ORUFI07G12950 transcript:ORUFI07G12950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSGKIIQNAFLAQHRPQARHSTRYPSDFCALDTRSVHSFQECRLRLAGTSAKWLNTISTAWISSSKQAYISCNAAQGSSVVPSSAKVDFLKLQNGSDIRGVAVGGVEGEPVNLTEPVTEAIASAFAAWLLNKKKADGWRLTLGITTAGHDVLQFGLASTPAMFNSTLTEDEINHCPVDGAIMITASHLPYNRNGLKFFTSAGGLNKADIKDILERASRIYEDSSHGSTQELEQASKGEVSNVDYMSIYASDLVKAVRKSAGNKEKPLEGLHIVVDAGNGAGGFFVDKVLKPLGAITTGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVADNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIENKLGGKHHRFKRGYKNVIDEAIRLNTIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARILNPNVGSKVLTDLVEGLEEASVTVEIRLKIDQNHADLKGGSFRDYGEAVLKHLENAISKDEYLCKAPKNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSKDDAIKLGLAVLTAVSEFPALDITALNKFLQQ >ORUFI07G12950.3 pep chromosome:OR_W1943:7:13084865:13097074:1 gene:ORUFI07G12950 transcript:ORUFI07G12950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQGSSVVPSSAKVDFLKLQNGSDIRGVAVGGVEGEPVNLTEPVTEAIASAFAAWLLNKKKADGWRLTLGITTAGHDVLQFGLASTPAMFNSTLTEDEINHCPVDGAIMITASHLPYNRNGLKFFTSAGGLNKADIKDILERASRIYEDSSHGSTQELEQASKGEVSNVDYMSIYASDLVKAVRKSAGNKEKPLEGLHIVVDAGNGAGGFFVDKVLKPLGAITTGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVADNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIENKLGRLVLCSICLSVAHLFYDKGGKHHRFKRGYKNVIDEAIRLNTIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARILNPNVGSKVLTDLVEGLEEASVTVEIRLKIDQNHADLKGGSFRDYGEAVLKHLENAISKDEYLCKAPKNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSKDDAIKLGLAVLTAVSEFPALDITALNKFLQQ >ORUFI07G12950.4 pep chromosome:OR_W1943:7:13084865:13097074:1 gene:ORUFI07G12950 transcript:ORUFI07G12950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQGSSVVPSSAKVDFLKLQNGSDIRGVAVGGVEGEPVNLTEPVTEAIASAFAAWLLNKKKADGWRLTLGITTAGHDVLQFGLASTPAMFNSTLTEDEINHCPVDGAIMITASHLPYNRNGLKFFTSAGGLNKADIKDILERASRIYEDSSHGSTQELEQASKGEVSNVDYMSIYASDLVKAVRKSAGNKEKPLEGLHIVVDAGNGAGGFFVDKVLKPLGAITTGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVADNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIENKLGGKHHRFKRGYKNVIDEAIRLNTIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARILNPNVGSKVLTDLVEGLEEASVTVEIRLKIDQNHADLKGGSFRDYGEAVLKHLENAISKDEYLCKAPKNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSKDDAIKLGLAVLTAVSEFPALDITALNKFLQQ >ORUFI07G12960.1 pep chromosome:OR_W1943:7:13105085:13123203:1 gene:ORUFI07G12960 transcript:ORUFI07G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTEHLFVSSRSSFSLSEKPCSVATMGKEVDVSTLEAGGVRDYEDPPPAPLVDADELCRWSLYRAVIAEFVATLLFLYVTVATVSLVRALLYMAAQCLGAICGVALVKGFQSGLYARHGGGANELAAGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVMYNNSKAWSDQWIFWVGPFIGAAIAALYHQVILRASARGYGSFRKADVEAGGVRDYEDPPPAPLVDIDELGRWSLYRAVIAEFVATLLFLYVTVATVIGYKHQTDASASGDDAACGGVGVLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAILYIVAQCLGAVCGVALVKGFQSSFYDRYGGGANELAAGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGAAVVYNNSKAWSDQWIFWVGPFIGAAIAALYHQIVLRASARGYGSFRSNA >ORUFI07G12960.2 pep chromosome:OR_W1943:7:13105085:13123203:1 gene:ORUFI07G12960 transcript:ORUFI07G12960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTEHLFVSSRSSFSLSEKPCSVATMGKEVDVSTLEAGGVRDYEDPPPAPLVDADELCRWSLYRAVIAEFVATLLFLYVTVATVSLVRALLYMAAQCLGAICGVALVKGFQSGLYARHGGGANELAAGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVMYNNSKAWSDQWIFWVGPFIGAAIAALYHQIVLRASARGYGSFRSNA >ORUFI07G12960.3 pep chromosome:OR_W1943:7:13103974:13105381:1 gene:ORUFI07G12960 transcript:ORUFI07G12960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDVSTLEAGGARDYIDPPPAPLVDVDELGKWSLYRALIAEFVATLLFLYVTVATVIGYKHQTDAAVNGADAACGGVGVLGIAWAFGGMIFILVYCTAGVSGGHINPAVTLGLFLARKVSLVRALLYMAAQCLGAICGVALLAAGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGVAVVYNNNKAWSDQWIFWVGPFIGAAIAALYHQVILRASARGYGSFRSNA >ORUFI07G12970.1 pep chromosome:OR_W1943:7:13120836:13121081:-1 gene:ORUFI07G12970 transcript:ORUFI07G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIWTGRRSTWAGGRRGVGKGWTRRCGGSRRTAVIYTRRGFARADRGREVALAAKDGDDRVVAAVIGQLVDENGLTWADC >ORUFI07G12980.1 pep chromosome:OR_W1943:7:13130744:13131106:-1 gene:ORUFI07G12980 transcript:ORUFI07G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTTSAVAASNHSMTAVGEGKWEVMSIPIKVEDQGSKTELSQGARQEYIDALMSIVDCILFPELREHIRATMAGFGETVRMAQAEQANATRKSILTDVRFFFFQTGMTFEVKWPSMKI >ORUFI07G12990.1 pep chromosome:OR_W1943:7:13150700:13154704:1 gene:ORUFI07G12990 transcript:ORUFI07G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDEVMESGGAAGEFAAKDYTDPPPAPLIDAAELGSWSLYRAVIAEFIATLLFLYITVATVIGYKHQTDASASGADAACGGVGVLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAILYIVAQCLGAICGVGLVKAFQSAYFNRYGGGANTLAAGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSIGAAVIFNNEKAWHNHWIFWVGPFVGAAIAAFYHQYILRAGAIKALGSFRSNA >ORUFI07G13000.1 pep chromosome:OR_W1943:7:13162856:13165756:-1 gene:ORUFI07G13000 transcript:ORUFI07G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLQAFWNHPAGPKTIHFWAPTFKWGISIANVADFAKPPEKISYPQQVAVACTGVIWSRYSMVITPKNWNLFSVNVAMAGTGLYQLSRKIRKDYFSDEKDAAASLEG >ORUFI07G13010.1 pep chromosome:OR_W1943:7:13189696:13191935:1 gene:ORUFI07G13010 transcript:ORUFI07G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLSLFLKTGCRHRRPISLTGASQAKPLRHQLLIVEPPPPPSLAGRTELLPPPFWPSFSLPLPSTTLPPSLFLCLPPPRVRVAREHLLPLPTPPSSHRRAAALLTLSSFRQKAAVGTLRLPWRRSCGLKPRRQTVGRKTQHNLILRSKCCSSHPTPQRVQWSKSHYSLDLHSTPHTSFRALCNPSRSAATHGCRVTLSTPQTCINLLNSLVVVLLDYLTASSIGIQGADVDLDGYAWQEFDHYDEPRPHYAVTSSNWDLRKRLCCSQPKLAVGIVRVKLDSLCRGELNHSNVPYSRFWSCVIRVIPTPEV >ORUFI07G13020.1 pep chromosome:OR_W1943:7:13204955:13209252:-1 gene:ORUFI07G13020 transcript:ORUFI07G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >ORUFI07G13030.1 pep chromosome:OR_W1943:7:13229023:13229463:-1 gene:ORUFI07G13030 transcript:ORUFI07G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLPPTLRDLERGGDGNGDDEPALRPHNAVSIDALRAANLEAMVAGWGRGDDGAEDGAEARGDDGVESPGDNDAEEARCDDGASSLPPSAASSAQPPSSAADAPLPPTPATAHRRDASHRASRGHHRDGCSGRGEEGEKEEEE >ORUFI07G13040.1 pep chromosome:OR_W1943:7:13250057:13255588:1 gene:ORUFI07G13040 transcript:ORUFI07G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGASSGGDSLVPGGDEDSDSPRGAMEGDAKQRRPHAGVPHVVEDEEDALPGDGRAEDQLQLRQRLGAAVVAAAAADGLLHRVRLRVLPRDAQPRPHGEPAGDPPAAEHPLRQRRLADAAHPDDGDDLSTAVVAHYLLHQRLRRALDFFSHHVGVQQRGARTCSNPAAAATATATAGAAVLRLGVIDGEAQEQPILAYLLESAVQATNLCPNLKPSLQFLE >ORUFI07G13050.1 pep chromosome:OR_W1943:7:13252443:13255619:-1 gene:ORUFI07G13050 transcript:ORUFI07G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSAFVSACASKLAGLIADRIAAGLGVEDDVRSLQRRMERVAGAVLADAERRRRRLTGEHEASAGAWIDELRDFLYDADDVLDLCRCRGERLLAERRPRSRPLMATVNLVSSLQKLQARLEIGAQISRLNRRFEEICKDRLFLSLAVDDSKAEDGGSRGGGGGGGGSRVRTRTSTPLLDTNVVGKEIKSATEALVEKIMRDDGRGEVVAVVGMGGIGKTTLAQRVFSSRRITGGFPVRAWLCISREYSETDTVKEAIRCCGGDYGRAETLAELQLILRSAVAGKRVFLVLDDVWDAGVWTSLLRIPFHGATGRVRVLITTRDQRVAARAGANHIHQVKRLGSDSGWELLHRTARLDGADEMQSLRDVGMAIVAKCGFLPLTIKVIGGLLMTKRRSRAEWERVLGSDAWSTAELRDEFKGAILLSYEDLPPHLKQCFLYFSLFPADFVYYRCHICRQWVAEGFIAASGQHMAEEIAEEYYYELITRSILQPHPDYLADQSRCTVHDVLRSFAQHLSRRESICGDLQAVGCSSFLVKLRRLSLMNLEEAMVPCNAISRDRKCFRTLFLMAIPKVDGRLVVRFSGLRTLFLSDCNIGKLPDSIGDLMHLRYLGFDTVDIPALPESIGHLTNLQFLNVKRCTLLTALPRALTRIQSLRRLGIEETPIRLVPRGIGGLHSLVDLQGFIVGNAISSSTMQQGWILTELESLSRLKWLRIDSLEKAAIDNVDILATKQHLKRLELSCSLKSNGDNDPWDEIEVKKTEAIFEKLLPASCLEDLLIRGFFGRKFPIWMESSSLCNVTWLKLIDCRFCLKFPPLGQLPHLTFLKIVRANSVIAVGSEFLGHGATAFPKLEFLWIGKMPNWEDWTFHIAQATRSSSLQIFPCLRQLELKDCPKLRALPEQLKHATKMQRLRIQGVHALKKIENLHELSGSLRINGSRSLASISDLYQIKELYVADCTALQFVNNLNALKWLYLEDETMDCIPMWLSRLTENGEQAGEDGLELELHCSTDMLDRCLMGRQDWTIMERFTSVAAYCKEDYMCYSRHPVSYHTSKSVSNSK >ORUFI07G13060.1 pep chromosome:OR_W1943:7:13286627:13288168:1 gene:ORUFI07G13060 transcript:ORUFI07G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLISTSLCLLATTIVAALAYASSTTTSRSNRGRLLPPGPRLRLPLVGNLVFHAPTISALSLALPRLRDAHGPIVTLWAGDQPAVFVIGRELAHRTLVRAGNALAHRPPSPFTASRALSFNQHGVNGAQYGERWRRLRRNICSFLAAAQSGEALLWSGDKLVARLMETAGSGGDGAGVVEPTDAFRHAVFSFFAVLCFGEGVDDGVLRGLRVAHAEILSLAVELGAFHLMPMVLMAAYVHRCWKLSGLQRRHNDIVAALISARRRRMEKTSTCYVDTLLQLGLSEDEMVSLCWEFMNAAAKTTSTALEWTMARLVHHRDIQHKLRHDIARTNNGGVSVSPSHYLKAVVQESLRRHPPAHYLLAHTVDRDVPVDGGYVIPRGSIVNYAVAEIGRDATAWTDPDEFVPERFLAGGEGAGVDVVPSGGAEIRMMPFGAGRRACPGSNVAVSALRYFVGRLVEQFEWWPVGGDEKAAVDLSEKAGLVTGMKTPLRALLVASRDCSCSLYFEEGS >ORUFI07G13070.1 pep chromosome:OR_W1943:7:13328338:13331638:-1 gene:ORUFI07G13070 transcript:ORUFI07G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGCPRNTMSAPATSLSLSHSPTPLFLISQAKALETTAHPPHCVPSPRKHTPRTECQKLGHLPRLRQLSRRCCASPDQSVEYVVGVTVLAAGHSNRHPPAVPHHRSNTKPMYFVCKLTLTPSSRSSRCTLHRRNVAAPPFSAICRRRRILPLRRPGDVDAEFLPPWANNHRLRRSLDLAASVSLLEEEYVEEEEDPAAGNDRLDEEFDAACDVADFQDGSN >ORUFI07G13080.1 pep chromosome:OR_W1943:7:13330300:13331926:1 gene:ORUFI07G13080 transcript:ORUFI07G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPASSHREQPWLSSVYGSPAAIGGSNRFEHKRENVENVLAHPVLTKQRNGGSEVQGAAETVVVGPRRQKFGVDVAGSTQGANYNFESTGLLGMSHRGCMREKSGGNSPEREDSPAAADGRKGGGGDVPAVQNEVHWLGVASVVRNGGRMAKEERGTHRGCTATTAKLPEPGKMAELLAFCAWGRVEWGSERERERWLVHSLCSLGIHWAWGTVDAVRGKWEAVMCVPGGKKPGLEILLVGGDVESKCAEVGWVRSLWFRFDGVMNMC >ORUFI07G13090.1 pep chromosome:OR_W1943:7:13344098:13345627:1 gene:ORUFI07G13090 transcript:ORUFI07G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLVSSSCLVVAASIAVLCYVNNDADERLPPGPRVRLPLIGNLFLHAPTMAFLPSALRRLRRSHGPVVTLWAGNRPAVFVIGRDFAHRTLVRAGAALAHRPPSPFASSRALSFNRHGVNAAEYGDRWRRLRSNICSCLAATEALRRRSVDRLVATLELEARAGAGATGVVAPTDAFRHGVFSFFAVLCFGEWVRDGEHDAVLRDLRRAHADILALTVELGAFHLVPAVLMTTSTALEWTMARLVHHSDIQRKLRHDIAKTTNSGGVGVSPSPYLKAVVQESLRLHPPAHYLLAHTVDRDVPLGAGGYVFPKGAIVNYAVAEIGRDATAWTDPDEFLPERFMEGGEGAMVDAASCGGADIRMMPFGAGRRACPGASFAVSVLHLFVGRLVEQFEWWPMAEDDKAPVDFSEKTGLVTVMKTPLRALIVPTTSS >ORUFI07G13100.1 pep chromosome:OR_W1943:7:13356141:13360306:1 gene:ORUFI07G13100 transcript:ORUFI07G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYALPRRSLVLFLLAAAAVASTAAWAAASSAAGGGDDAARRSLLDNGLGRTPQMGWNSWNHFGCNINENTIRSTAADPKKFPSGIKALADYVHSKGLKLGIYSSAGSRTCSKTMPGSLGYEDIDAKTFASWGVDYLKYDNCNSDGSSETVRFPKMSFALRKTGRPIFYSICEWGQRNVATWGGQYGNSWRTTGDINDSWASMLSNIDSNDASASYAKPGGWNDPDMLEVGNGGMTNDEYVVHISLWAIAKAPLIIGCDVRSISRETMEILSNPEVIAINQDPLGVQGKKVRKYDNEIEVWAGPLSQQRTAVLLLNRGATGSRQITAAWQDIGVGPGVAVEAKNVWLHATAPGRFTGSLTAEVAAHSCKLFVLTPVGRASEERS >ORUFI07G13110.1 pep chromosome:OR_W1943:7:13365019:13366930:-1 gene:ORUFI07G13110 transcript:ORUFI07G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEEEKRLKLDCLKNYTGVTEDRIKEGNNRMKSQRSNSCVTEDRIKERNITMKHMDQIQVN >ORUFI07G13120.1 pep chromosome:OR_W1943:7:13371758:13377600:1 gene:ORUFI07G13120 transcript:ORUFI07G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAPAPPASPPLAVHLVTGGGSSPEHALLLRSLAAARVVALDAEWKPRRRGPAVADDPSAAAAATPPPLQFPTVTLLQVACRGDGDGGGAAAAEVFVVDLLAVPLAELREPLRELFERPEVLKLGFRFKQDLVYLSATFAAALGSSAGFERVEPFLDVTNAYYYLKGHDMQKRLPRETKSLATICEELLGVYLSKELQCSDWSYRPLSEGQIQYAASDAYYLLDIFDLFHQKIRTEGKCLPTNELTSDGHCPQRDAECSSSEDAVCFDDYFTSVVMKYAEKILLTESDTKPRSSRRKEKQKLATNAKCKEKFEGSTEWQGLPPWDPSAGGDGCPKFLCDVMIEGLAKHLRCVGIDAATPSSRKPEPRELLNQTYKERRVLLTRDVKLLKYQYLTSNQVYRVKSLLKHDQLAEVIDTFQLKISEDKLMSRCTKCNGRFIQKPLTLDEAIEASKGFQIIPSCLFKRNLEFWKCTDCNQLYWEGTQYHNAVQKFLSVCNISD >ORUFI07G13130.1 pep chromosome:OR_W1943:7:13378364:13380452:-1 gene:ORUFI07G13130 transcript:ORUFI07G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAGSYPYYVEAAPPVDVNKNTEWFMYPGVWTTYILLLFFAWLLVLSVSACSPGIAWTVVNLAHFAITYHFFHWKKGTPFAADDQGIYNRLTWWEQIDNGQQLTRNRKFLTVVPVVLYLIASHLTDYKQPMLFLNTIAVLVLVVAKLPNMHKVRIFGINADI >ORUFI07G13140.1 pep chromosome:OR_W1943:7:13390565:13393974:1 gene:ORUFI07G13140 transcript:ORUFI07G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRATTAAASRPRRASTKPKAHSFLSPVAPPPPSARARARAGPSSPSSSSPSTPTAAGLSFLSSPGSSASPKPAPRSRSSRRSPLASPRTGTASPLSAAVAPGGPAPSPASAAVSSVGDLRSSAASQMEALKRRLDELHSRAHTDLDASLSRASKRFKIQDHACQQLTDEVDKEYKKMSDSINENTEMIKAKYKQIMAEAQSSTSRVCKVTIPEMTKSVEKAIDGLRSRYNISMPIGIFT >ORUFI07G13150.1 pep chromosome:OR_W1943:7:13395467:13401820:-1 gene:ORUFI07G13150 transcript:ORUFI07G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSVGIAALSSTSIATPPRPRRQGPVVDVLSSAGITTSPLSRWSCLVPPLQGALTIREEIELMRNVYKLLGGLHAFNEFNAGNLDELIILKSIARNHIFIAIGFSRESSRVTPMATPAAAAAKEVDKKVQLMKEIRAHEVAIGELNNLPPSRIQTILYQCYIKFILYMHSKELIIFRFEGDKAESVTEEEEVVASGPWGLRAEGRD >ORUFI07G13150.2 pep chromosome:OR_W1943:7:13394710:13401820:-1 gene:ORUFI07G13150 transcript:ORUFI07G13150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSVGIAALSSTSIATPPRPRRQGPVVDVLSSAGITTSPLSRWSCLVPPLQGALTIREEIELMRNVYKLLGGLHAFNEFNAGNLDELIILKSIARNHIFIAIGFSRESSRVTPMATPAAAAAKEVDKKVQLMKEIRAHEVAIGELNNLPPSRAVYQKTCNLFFRKSVKSAVTSEQKQLDMAKSRLQKLDQA >ORUFI07G13150.3 pep chromosome:OR_W1943:7:13395467:13401820:-1 gene:ORUFI07G13150 transcript:ORUFI07G13150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSVGIAALSSTSIATPPRPRRQGPVVDVLSSAGITTSPLSRWSCLVPPLQGALTIREEIELMRNVYKLLGGLHGFSRESSRVTPMATPAAAAAKEVDKKVQLMKEIRAHEVAIGELNNLPPSRIQTILYQCYIKFILYMHSKELIIFRFEGDKAESVTEEEEVVASGPWGLRAEGRD >ORUFI07G13160.1 pep chromosome:OR_W1943:7:13435683:13437140:-1 gene:ORUFI07G13160 transcript:ORUFI07G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSESTEYLVFDPGLSSHEAIEEQKFEWDSDNDNVIDINNRSNLTGYVTILGFHPYKEVVFLSHTLTRGLAYHLNTRKVQDMGNIRPKYYGTDMGIQPFIEGSFPYTPWLGEFPEDN >ORUFI07G13170.1 pep chromosome:OR_W1943:7:13447781:13448961:1 gene:ORUFI07G13170 transcript:ORUFI07G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMTAELGRSIARAAEGEHCIRGLGSDQFDEGFRGKVCNGRHQYKSLNWLIKSFFEYDKCAQCESQGSSGVGQYKSTADAAGRDDNVDGASSSSIATGRSS >ORUFI07G13180.1 pep chromosome:OR_W1943:7:13454474:13454701:1 gene:ORUFI07G13180 transcript:ORUFI07G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTGQLSLVMSMIGMKREVGLTEGYRHDRNGAGEAAGVLGWRRAISSSARSVESLLTTKFPSALHVPADQAELK >ORUFI07G13190.1 pep chromosome:OR_W1943:7:13486435:13486707:1 gene:ORUFI07G13190 transcript:ORUFI07G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDGTVVVDGGGRWLLRRSHCFGPRSRTPGNTLTSKEVFTWAKSNNWRLLHVGDIDITRKKGNDTPICICILSFTFTHEAPISFCKSN >ORUFI07G13200.1 pep chromosome:OR_W1943:7:13486741:13487553:1 gene:ORUFI07G13200 transcript:ORUFI07G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTGPTFAHRAPCGWLQRIGWSPPVMEVVCYFSFSRRLYDMNENRWMAATAQCQAHLYTTPLHPSMTLSSTTARHPIALSTTSHKAQTRMVTCAVVAFMQVNLFLLKRAFMRVKLLLLNEQMWEAGTK >ORUFI07G13210.1 pep chromosome:OR_W1943:7:13494021:13498661:1 gene:ORUFI07G13210 transcript:ORUFI07G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPLFDLNVAHEDWDWEKGEEAEAEEEEPEEVVEEGKKEVVVLEEEEEEEEPHEVIMEEEVVEEVVEEEAAVAEEVDGEVRRKRKDCEVLVGGLPRDAAEEDVARALADAGDVEEVRLVRDPADPRSNKGFAFVRFAAAWQARWAADDVRTAMVLFILVISFCCWLARFKLVDVVSGLLISVEEVDVWCHLPTKVLCTTLLSRQVKGEACMICKNDANETLHLRNICFDWTKDDLAEELKTYKLENLEDINLVEDPERKGKNRGYAFLDFRTNVDGVDAFFKLQNRDIYLGTDVRAQVSFSKTLSLDDKIMEKVKSVFLDGLPPHWDEDKVREVFGKFGEIDSIHLARNMFKAKRKDFGFIGFTSRQSALDCISTVSKGGIVEGSGKVRIKASLQRPRPTLKKHSWQGITPMLGIRRGFIGKSYGDREHYGDRERYDDRERYHNRERYGDRGFGFSGHIHRYERARPREAYLDSRYTNEYPRHRHSRHEESIQRDAYRSKYGHSYLERSHRDSCPDCNPSDHSSSAFYKTDHEPTPSSSQVASHCEESFSQGRKLMASSSPGMNKMQPPQAPKWCLFVTSWPNLFMSEVLSLMTTLLLPMKLRSTKNARAGIIHLPEMAPRRVTIGALVVLPRCRILPKGFLAFVGVAIFSGPVVAHILPWDAMSCDVTL >ORUFI07G13210.2 pep chromosome:OR_W1943:7:13494021:13498661:1 gene:ORUFI07G13210 transcript:ORUFI07G13210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPLFDLNVAHEDWDWEKGEEAEAEEEEPEEVVEEGKKEVVVLEEEEEEEEPHEVIMEEEVVEEVVEEEAAVAEEVDGEVRRKRKDCEVLVGGLPRDAAEEDVARALADAGDVEEVRLVRDPADPRSNKGFAFVRFAAAWQARWAADDVRTAMVLFILVISFCCWLARFKLVDVVSGLLISVEEVDVWCHLPTKVLCTTLLSRQVKGEACMICKNDANETLHLRNICFDWTKDDLAEELKTYKLENLEDINLVEDPERKGKNRGYAFLDFRTNVDGVDAFFKLQNRDIYLGTDVRAQVSFSKTLSLDDKIMEKVKSVFLDGLPPHWDEDKVREVFGKFGEIDSIHLARNMFKAKRKDFGFIGFTSRQSALDCISTVSKGGIVEGSGKVRIKASLQRPRPTLKKHSWQGITPMLGIRRGFIGKSYGDREHYGDRERYDDRERYHNRERYGDRGFGFSGHIHRYERARPREAYLDSRYTNEYPRHRHSRHEESIQRDAYRSKYGHSYLERSHRDSCPDCNPSDHSSSAFYKTGRKLMASSSPGMNKMQPPQAPKWCLFVTSWPNLFMSEVLSLMTTLLLPMKLRSTKNARAGIIHLPEMAPRRVTIGALVVLPRCRILPKGFLAFVGVAIFSGPVVAHILPWDAMSCDVTL >ORUFI07G13210.3 pep chromosome:OR_W1943:7:13494021:13498661:1 gene:ORUFI07G13210 transcript:ORUFI07G13210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPLFDLNVAHEDWDWEKGEEAEAEEEEPEEVVEEGKKEVVVLEEEEEEEEPHEVIMEEEVVEEVVEEEAAVAEEVDGEVRRKRKDCEVLVGGLPRDAAEEDVARALADAGDVEEVRLVRDPADPRSNKGFAFVRFAAAWQARWAADDVRTAMVKGEACMICKNDANETLHLRNICFDWTKDDLAEELKTYKLENLEDINLVEDPERKGKNRGYAFLDFRTNVDGVDAFFKLQNRDIYLGTDVRAQVSFSKTLSLDDKIMEKVKSVFLDGLPPHWDEDKVREVFGKFGEIDSIHLARNMFKAKRKDFGFIGFTSRQSALDCISTVSKGGIVEGSGKVRIKASLQRPRPTLKKHSWQGITPMLGIRRGFIGKSYGDREHYGDRERYDDRERYHNRERYGDRGFGFSGHARRDYSSNHVHDKYHRHMHRMAIDVEERPVSSREHRSHYRRDSAVSGHIHRYERARPREAYLDSRYTNEYPRHRHSRHEESIQRDAYRSKYGHSYLERSHRDSCPDCNPSDHSSSAFYKTDHEPTPSSSQVASHCEESFSQGRKLMASSSPGMNKMQPPQAPKWCLFVTSWPNLFMSEVLSLMTTLLLPMKLRSTKNARAGIIHLPEMAPRRVTIGALVVLPRCRILPKGFLAFVGVAIFSGPVVAHILPWDAMSCDVTL >ORUFI07G13220.1 pep chromosome:OR_W1943:7:13501982:13505294:1 gene:ORUFI07G13220 transcript:ORUFI07G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLIRARAIRAGTIIRVLDYLFIDSDINTRVIIVQQLELLQTECALIGTSNTHASPNAYNMLHSDMVAAVRIAHACCMFLDELSTTYLFPAHHNVNGYERENVNREF >ORUFI07G13230.1 pep chromosome:OR_W1943:7:13501994:13502415:-1 gene:ORUFI07G13230 transcript:ORUFI07G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSPLIPYSIRRGVGSRRSTPFYPHLTPPPPPALPSSPTPSKGDGRGRGAPEPTQTPPRGEGETGAGYATAARGGRRRGKGDRRQMTHGGGGGWRTATTGGERKATSRGGRTAAAGLAAAAALR >ORUFI07G13240.1 pep chromosome:OR_W1943:7:13506523:13506918:1 gene:ORUFI07G13240 transcript:ORUFI07G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAIVDSPLLGPNVGAGLRQGAAIPAVHLDSGRLQRLPSGFRLHNVRNLPLQFTSTHLEGSRIWRGRRRFLPSRREIAAAIHVLVESCWNNNPLMPACIASSVRPSWFLTARPGIASLQVAGRASMLSS >ORUFI07G13250.1 pep chromosome:OR_W1943:7:13508428:13521357:-1 gene:ORUFI07G13250 transcript:ORUFI07G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPEATGALPQTEGEEVKDGVEVCVFDESPEVFSRAVHAISELTAGEPEPNFPDAEVERLASSITFLREWRHLSYEPKNVSFTCDARSAPSRVDTHKINLPQFSSASVPQITHLDDGKAKTDNFVIFAGGNVWALDWCPRLCDRPHSPINCEYLAVAAHPPGSSYHKIGMPLIGRGIIQVWCLLAPSEEGHPHQSLVACNKYNPSNQPKRRGRPRKTITNSDHLEPSVKRPRGRPRKYPLPIAKLEDSSQNDTSQEFALIDPLVSSAVVSCDVAIACPMPTVNPVESTPRKGRGRPRKKPVEIKGLSGIKLTEDLSTALSPIALTCMEPKKKRGRPRKYPAPSNSKHLPGTDTELGNDSVCLLPGSIDCGLGPTENTGSGANITHAAVDAASPVPLSGQRGREQPEKEVIHIDNSMQSGQSDVGSMLPTYILPESSNKSNSTGPRRRGRPRKKPFPSTTSCVFAPGTETPKKGSTLTNSNNLMVLAKSNCDILANDIGRSSCAIENSVHLSVGTSRVATPAQGICLAKCKEESSAKKGRGRPRKQPISTERGCSKACRGEEQKTQTIPKSSDNAALVENCKKESCPGKGSVQDKKKSVSNERSSVVLSVEVQNMDGSSASTAYTSCCTPACNFENAERNQAVSVPSENSAQVIDELKDTEVARFKESTKDYHMICSAEKTLSRVPKDISLPRVVLCLAHNGKVAWDIKWKPPSANQSEHKSCLGFLAVLLGNGSLEVWEVPSPSMIQKIYSSSSKEGTDPRFLKLKPVFSSAKVALWKFSANLSFQGSKPFMCVTAESAPIRTVSWTPSVSKENMNAFVTAGEDGLKFWDLRDPYRHLWELTTARRAVISLQWLKDARGIVISLEDGTLKFVSLSRIANDVPVTGRPFVGMKTQGVSTYQLSEYLIWSVHASEIAGAFHLMSNHSISMSSIGISLTPRFWEKEPGRNRVPYFLCGSLSEEGTTIKIGQETGAIVLAAPSMQENFGTSTSRGSESPENFEVFPPKAVALHRLRWNMNKGSEKWLCYGGAAGIIRSGNVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGASNSQKSVEDKDLIDCLENGVNFWTDYSKVQYHPQSLYELHGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVGDSGSFSSVAAQFLENIADDYTNTPVLLYCVRDPMTLGSSRMNQRESIMRALHDAVSFSKLSSFCNLMVPIGPPSLSRSYMSSYLYIQDEKPFHASAVCAAAIHSITVPFRLQRTGPSSDLAHSSGNLDIGELLHILSDQGRQNMIEMLLGNIEMKLHSLTPEISDEDEDPYSVESLVKYKELTIEICLHRWAKDFHITSERLVYEARETKPKFSHLSASLCPLPVPLPFPSIFRGNIGRHGEILSDHAEESQPKGSLNIESIPMAARLRSSSAVLPFIERRSGSLQKHGVARGAIGSLVLRDWGFGREEVDDMGEHLAKLLRPFHPEMDLTSDSD >ORUFI07G13250.2 pep chromosome:OR_W1943:7:13508428:13521357:-1 gene:ORUFI07G13250 transcript:ORUFI07G13250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPEATGALPQTEGEEVKDGVEVCVFDESPEVFSRAVHAISELTAGEPEPNFPDAEVERLASSITFLREWRHLSYEPKNVSFTCDARSAPSRVDTHKINLPQFSSASVPQITHLDDGKAKTDNFVIFAGGNVWALDWCPRLCDRPHSPINCEYLAVAAHPPGSSYHKIGMPLIGRGIIQVWCLLAPSEEGHPHQSLVACNKYNPSNQPKRRGRPRKTITNSDHLEPSVKRPRGRPRKYPLPIAKLEDSSQNDTSQEFALIDPLVSSAVVSCDVAIACPMPTVNPVESTPRKGRGRPRKKPVEIKGLSGIKLTEDLSTALSPIALTCMEPKKKRGRPRKYPAPSNSKHLPGTDTELGNDSVCLLPGSIDCGLGPTENTGSGANITHAAVDAASPVPLSGQRGREQPEKEVIHIDNSMQSGQSDVGSMLPTYILPESSNKSNSTGPRRRGRPRKKPFPSTTSCVFAPGTETPKKGSTLTNSNNLMVLAKSNCDILANDIGRSSCAIENSVHLSVGTSRVATPAQGICLAKCKEESSAKKGRGRPRKQPISTERGCSKACRGEEQKTQTIPKSSDNAALVENCKKESCPGKGSVQDKKKSVSNERSSVVLSVEVQNMDGSSASTAYTSCCTPACNFENAERNQAVSVPSENSAQVIDELKDTEVARFKESTKDYHMICSAEKTLSRVPKDISLPRVVLCLAHNGKVAWDIKWKPPSANQSEHKSCLGFLAVLLGNGSLEVWEVPSPSMIQKIYSSSSKEGTDPRFLKLKPVFSSAKVALWKFSANLSFQGSKPFMCVTAESAPIRTVSWTPSVSKENMNAFVTAGEDGLKFWDLRDPYRHLWELTTARRAVISLQWLKDARGIVISLEDGTLKFVSLSRIANDVPVTGRPFVGMKTQGVSTYQLSEYLIWSVHASEIAGAFHLMSNHSISMSSIGISLTPRFWEKEPGRNRVPYFLCGSLSEEGTTIKIGQETGAIVLAAPSMQENFGTSTSRGSESPENFEVFPPKAVALHRLRWNMNKGSEKWLCYGGAAGIIRSGNVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGASNSQKSVEDKDLIDCLENGVNFWTDYSKVQYHPQSLYELHGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVGDSGSFSSVAAQFLENIADDYTNTPVLLYCVRDPMTLGSSRMNQRESIMRALHDAVSFSKLSSFCNLMVPIGPPSLSRSYMSSYLYIQDEKPFHASAVCAAAIHSITVPFRLQRTGPSSDLAHSSGNLDIGELLHILSDQGRQNMIEMLLGNIEMKLHSLTPEISDEDEDPYSVESLVDFHITSERLVYEARETKPKFSHLSASLCPLPVPLPFPSIFRGNIGRHGEILSDHAEESQPKGSLNIESIPMAARLRSSSAVLPFIERRSGSLQKHGVARGAIGSLVLRDWGFGREEVDDMGEHLAKLLRPFHPEMDLTSDSD >ORUFI07G13250.3 pep chromosome:OR_W1943:7:13508428:13521357:-1 gene:ORUFI07G13250 transcript:ORUFI07G13250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPEATGALPQTEGEEVKDGVEVCVFDESPEVFSRAVHAISELTAGEPEPNFPDAEVERLASSITFLREWRHLSYEPKNVSFTCDARSAPSRVDTHKINLPQFSSASVPQITHLDDGKAKTDNFVIFAGGNVWALDWCPRLCDRPHSPINCEYLAVAAHPPGSSYHKIGMPLIGRGIIQVWCLLAPSEEGHPHQSLVACNKYNPSNQPKRRGRPRKTITNSDHLEPSVKRPRGRPRKYPLPIAKLEDSSQNDTSQEFALIDPLVSSAVVSCDVAIACPMPTVNPVESTPRKGRGRPRKKPVEIKGLSGIKLTEDLSTALSPIALTCMEPKKKRGRPRKYPAPSNSKHLPGTDTELGNDSVCLLPGSIDCGLGPTENTGSGANITHAAVDAASPVPLSGQRGREQPEKEVIHIDNSMQSGQSDVGSMLPTYILPESSNKSNSTGPRRRGRPRKKPFPSTTSCVFAPGTETPKKGSTLTNSNNLMVLAKSNCDILANDIGRSSCAIENSVHLSVGTSRVATPAQGICLAKCKEESSAKKGRGRPRKQPISTERGCSKACRGEEQKTQTIPKSSDNAALVENCKKESCPGKGSVQDKKKSVSNERSSVVLSVEVQNMDGSSASTAYTSCCTPACNFENAERNQAVSVPSENSAQVIDELKDTEVARFKESTKDYHMICSAEKTLSRVPKDISLPRVVLCLAHNGKVAWDIKWKPPSANQSEHKSCLGFLAVLLGNGSLEVWEVPSPSMIQKIYSSSSKEGTDPRFLKLKPVFSSAKVALWKFSANLSFQGSKPFMCVTAESAPIRTVSWTPSVSKENMNAFVTAGEDGLKFWDLRDPYRHLWELTTARRAVISLQWLKDARGIVISLEDGTLKFVSLSRIANDVPVTGRPFVGMKTQGVSTYQLSEYLIWSVHASEIAGQETGAIVLAAPSMQENFGTSTSRGSESPENFEVFPPKAVALHRLRWNMNKGSEKWLCYGGAAGIIRSGNVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGASNSQKSVEDKDLIDCLENGVNFWTDYSKVQYHPQSLYELHGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVGDSGSFSSVAAQFLENIADDYTNTPVLLYCVRDPMTLGSSRMNQRESIMRALHDAVSFSKLSSFCNLMVPIGPPSLSRSYMSSYLYIQDEKPFHASAVCAAAIHSITVPFRLQRTGPSSDLAHSSGNLDIGELLHILSDQGRQNMIEMLLGNIEMKLHSLTPEISDEDEDPYSVESLVKYKELTIEICLHRWAKDFHITSERLVYEARETKPKFSHLSASLCPLPVPLPFPSIFRGNIGRHGEILSDHAEESQPKGSLNIESIPMAARLRSSSAVLPFIERRSGSLQKHGVARGAIGSLVLRDWGFGREEVDDMGEHLAKLLRPFHPEMDLTSDSD >ORUFI07G13260.1 pep chromosome:OR_W1943:7:13528124:13531352:1 gene:ORUFI07G13260 transcript:ORUFI07G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAIYSLFIINKSGGLIYYKDYGSAGRTDTNDSLRLASLWHSMHAISQQLSPTPGCEGIDLLQAHNFDLHCFQSLTGTKFFAVCETGAQNIETLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVTLLGR >ORUFI07G13260.2 pep chromosome:OR_W1943:7:13526448:13531355:1 gene:ORUFI07G13260 transcript:ORUFI07G13260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAIYSLFIINKSGGLIYYKDYGSAGRTDTNDSLRLASLWHSMHAISQQLSPTPGCEGIDLLQAHNFDLHCFQSLTGTKFFAVCETGAQNIETLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVTLLGR >ORUFI07G13260.3 pep chromosome:OR_W1943:7:13528648:13531352:1 gene:ORUFI07G13260 transcript:ORUFI07G13260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAIYSLFIINKSGGLIYYKDYGSAGRTDTNDSLRLASLWHSMHAISQQLSPTPGCEGIDLLQAHNFDLHCFQSLTGTKFFAVCETGAQNIETLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVTLLGR >ORUFI07G13270.1 pep chromosome:OR_W1943:7:13543832:13562702:-1 gene:ORUFI07G13270 transcript:ORUFI07G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAPPGPTFLREVELRLLRCTLPSPATLPPPPSPPPRHPLAPVAASAVAAVDAGDYAAALASAAPHLLPPTAPAAPGSAARFYGDLAAAAEGVLRGDDGGLARGSSAGAPSFCRRRWPRFSRSRSRTPPGKYSPFPFWTSSLDEGCYSNLEDEWDAWASAQLASIGSHVHGKFSLMQFIVFAELMLTSIKSLDPTDCCSVSWWLCRLSMVRQNIVDELSSTLFDQVQEYKNKTLAHFGELENVFSYWGPLLCDGEGSYFVSAAFLEAGIAEYKYGRIDQSRLHLDSAQEACGLHLSLTGMLGFRTIHQVDAKSQMVLVANTSGPASGEGQVTELTGTQDDAAALKNARSSVPGESDEFCDILRMPRLVENDNDSGNDEKKDPSKKAVLTAMQQAAVLAECLHVSRRSRHDEMSGWEMAPFIESIDSQEDSYFVVRSLCDILRIRWESTRNRTKQRALLMMENMVEDVGNDFPVAAQRAKLVFGVQMPTIPALRKLLGKVADATSLINARISVTPNDPRLWCSLGDVTNNDDHYKKALEVSNNKSARALRSLARSAYNRNDFHASKMLWESALALNSLFPDGWFAYGTVAWKDKDLEKAVDAFTRSVQIDPENGEAWNNIACLHMIRGRSQAAVQAFKEAVKFKRNSWEVWDNYSKVLLDTGSIQQTLEAVKMVLNLSSNKRFNIDLLEKVMAMLEEQPTHLSDTQEAESSRSTSDDANQETRKYNQLLDIIGDILQQIVRSGGSNSEIWGLYARWHKTKGNLIACSEAMLKQVRSLQGSGLWHDQTKFTKYAQASLQLCKIYMEISSSTGSQRELFSAEMHLKSSLKQTGP >ORUFI07G13280.1 pep chromosome:OR_W1943:7:13604328:13604696:1 gene:ORUFI07G13280 transcript:ORUFI07G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMAASGGWRSPATAEREERHGELERARWELGRMGKKREDGTGKLFIALGSHGRGRGRAATTGEEVGRHRGVAAAIRCRILGASGGRSVEEVGRRGPAMGVSARVEERAESAATWGDHGGG >ORUFI07G13290.1 pep chromosome:OR_W1943:7:13618484:13619020:1 gene:ORUFI07G13290 transcript:ORUFI07G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGGSLVSNETGVGNGGKVEREVTREHPAVRLISDIEAHDLDLLELVISMSRAKLTF >ORUFI07G13300.1 pep chromosome:OR_W1943:7:13623304:13625601:1 gene:ORUFI07G13300 transcript:ORUFI07G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFELELGPPPNTTMDSMSVRYLLNQIGSDRTTHIQILATVGGALLGFQALLGYRRRRSSNKLFLVLLWAAYTVSSNVVSYTVGLVQSVAERDRYSVQQWWAVGLLLLLGSADTMSAFTRGDAEQNKGMMAQHAVQTVLVLWVLVTRANNAILLESSSRGVNWEWTITLSVCWLYSIVKMGQRIKAMRMASSSHGLVRAAKVVADYMHDTVDAWDRDCGHGGDGGTAARDLDSVDMGPYKYLVHGEEGRSTPPSEQTDYRTRVPEDGTVVTIDKIWRCDGELLVSSGDGVVGDKRRARARALKDTCLSFALFKLLKRRFCGLEVAEAGHQKARDFVVAGLLAGDDYERAFRVVELELSFAHDFFYTKYPALFPTSAVLHVARFVSLLAFLKLFYDFTYTASYTAKFFKDISAVGIFSSFNDFLFISMILGVEVMQQLSTGYSDWAVVHFVCDYVRRVDKNNKKRHGGGFGFRQAVIKRLAARRARTSRHWQNKLGQYSLLYHSSAGNCLSWLTGRLLEPKVVRLPREVKVAVLRSFKESGGLLAVGRSLDSRLRWACDRLLPQSTQLQSDTHWKTRAHTHTVLVWHIATTMCDHLDAAAAADDDENGADRLVATRLSGYCAYLLAFVPEMLPDHSYTATLVLDAAVQEARKHLVDATAMADKCKKLRVLGESSGGGRYGILMDGARLGSQLMAASYDTRRRWKLLAEVWAELVLFLAPSENADAHAESLARGGEFMTHIWALLTHAGILDRDPEAAAPPAGATAV >ORUFI07G13310.1 pep chromosome:OR_W1943:7:13640544:13644434:-1 gene:ORUFI07G13310 transcript:ORUFI07G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGTARDLTEEERKAHKEVRWDDPDVCAAFMARFCPHDLFVNTKSNLGPCMKIHDLKLKESFESSPRRETHMRRFEAELAQQCEKLVIDLDRKIRRGRERLAQDVAVPPPVIGKTSEQLSIIEEKVKKLLEQIEELGEAGKVDEAEALMRKVELLNAEKTALTNQADNKVAMLPQEKKMELCEICGSFLVADDVLERTQSHVTGKQHIGYGLVRDFLAEHKAAKEKARDEERIAREKKAEERRKQREKEYDVGGRDGGSRREKSGDRDYDRDRYYDRNRGRERSHDHRDRGSEYRSSSYRNGRDSERDRHRYRSDDMRKDRSRVRSRSRSPSRHGPDQ >ORUFI07G13320.1 pep chromosome:OR_W1943:7:13646632:13651478:-1 gene:ORUFI07G13320 transcript:ORUFI07G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHTAASEAEEDQDWLYIRPYHALVFKTLEKLIISKMSFKSIDRLIRRNSKTKLSRNIVDGIYDQKEEQYVQSLRELLLANNQLPEKFDDYHVLLRFLKMRGFNIVKAKEMFLNMLKWREECAVDAIAKDFKFEEYDAVKRCYPHGFHGVDRFGRPLYIERIGLVDLNKLMQVSSTDRYVKYHISEQEKTLSLRYPACSLVAKKHIGSTTAIFDVKGLGMNNFSKSGRDLFIEIQKIDSNYYPETLNQLYIINAGAGFRALWKVLKACMEARTLAKIQVLGTNYLSTILEAVDPSNLPDFLGGTCTCSATGGCLLQDKGPWTDQEISQASKGVFGKGQKSFDEISTTVACENFPGHQEPSVGKLHPISGWKRTLGMLLKDNQVGDTNENIQQNKVNEQISEKIQELENCSAQTQETLHALLQKQNELANHIEQLRKLLREAANADNKANVLILK >ORUFI07G13330.1 pep chromosome:OR_W1943:7:13654827:13660555:1 gene:ORUFI07G13330 transcript:ORUFI07G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWGCLSSLCRPRRGGRAAAAPLPQPDPPRLESKEVEEHGASDKVVMENILSNNDFSEGLHLWHPNGCHGFVAVEGSGYHHGIRPHSGSNYAVLTRRTHNWQGLEQDITEKVTVGTEYIVAAHVRVHGELNEPVGIQATLKLEGDGSSTNYQSVARISASKDCWEKLEGSFELKTLPRRLVFYIEGPPPGVDLLIDSVTISYKKTERAASKLVSGTENIISNYDFSEGLHLWNPICCHAYVASQWSGFLDGIRGSSGENYAVVSKRTESWQGLEQDITDKVSAGTAYAVSAYVRVDGNIHTKVEVKATLRLHNTDDSTHYSPVGSLLASKEKWEKMEGSFCLTNMPKRVVFYLEGPPAGVDLIIDSVNVTCSGYQQLKEVKVPSGVDTIVKNPHFDEGLNNWSGRGCNICRHELTAYGNVKPLNGSYFASATGRVHNWNGIQQDITGRVQRKVLYEISSAVRIFGSANDTEVRVTLWVQEYGRERYVSLAKNPASDKQWTHLKGKFLLHAPFSKAVIFVEGPPAGIDILVDGLVLSPARKLHAAPRPRIENVSYGANVIHNSAFSHGLSGWSPMGSCRLSIHTESPHMLSAILKDPSAKQHIRGSYILATNRTDVWMGPSQLITDKLRLHTTYRVSAWVRAGSGGHGRYHVNVCLAVDHQWVNGGQVEADGDQWYELKGAFKLEKKPSKVTAYVQGPPPGVDLRVMGFQIYAVDRKARFEYLKEKTDKVRKRDVILKFQGSDAANLFGSSIKIQQTENSFPFGSCIGRSNIENEDLADFFMKNFNWAVFENELKWYWTEAEQGRLNYKDSDELLEFCRKHNIQVRGHCLFWEVEDSVQPWIRSLHGHHLMAAIQNRLQSLLSRYKGQFKHHDVNNEMLHGSFYQDRLGNDIRAHMFREAHKLDPSAVLFVNDYNVEDRCDSKSTPEKLIEQIVDLQERGAPVGGIGLQGHITHPVGDIICDSLDKLSILGLPIWITELDVTAENEHIRADDLEVYLREAFAHPSVEGIILWGFWELFMFREHAHLVDVDGTINEAGKRYIALKQEWLTSITGNVDHHGELKFRGYHGSYTVEVATPSGKVTRSFVVDKDNAVQVVTLNI >ORUFI07G13340.1 pep chromosome:OR_W1943:7:13669802:13672120:-1 gene:ORUFI07G13340 transcript:ORUFI07G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADGLPPGVRFDPADDELVSRYXRKQPIPLHGVIHEADPLGAPPWMLLAAHGRGGDEAFFFAEARAKNVRGKRQKRTVEGGGFWQGQRVCIDGERLRVPGDGGGGEVGGELEIEWRKYMLSFFAEGERGSSGWVMHEYAITAPADLASSPIRLYRVRFSGHGKKRKREPERLGARVHDDDVDGGERAAPRRAVAETALFVQQSSAVDCAESADQSFSGVIEPVFRDLPDMMPEQADAGDTAETTAAVVNLTDAMTEQPVLPLAADGDDQSSYGVIDPAFRDLADLMVLPPVLAQQEPPLAPVAMVDLPPGNADCADHQSCSGVIDPAFRDLPDMTVLPPEQADTGGGAETTTAMVSLTDKLKYSSSMDGEAAPAWCDFDFPESTDEVLSYMNFTAGAHDNNDGSVGRAAPWRPVSEIAMFEQPSAVDLPPGDADCTESADQSFSGVIEPVFHDLPDMIREQADAGDTAETTAAVVNQNYSMALCDFDSGIDFTAGAHDSGMERATPWTPMSEAALFEQQGPPLAPAAVVDLPPGNADCADHQSSYGDMIVLPSEQAGAGGGAETTEALFDQPVPPLAADCANQGSYGVIDPVFRDLADLIVLPPEQADAMDGEAAPAWCDFDFPENIDEALSYVDFTAGAHADNDGGVSETAMFEQPGSPPQHDPLLMDADGADQSSSSGALIDTVFGDHAEPIVLPLEQADTGGGAAAAVNLMDKQKYSSSSMDGEEAPAWCDSDFPESIDEVLSYVDFSTDGASCDFSMDELFDLAD >ORUFI07G13350.1 pep chromosome:OR_W1943:7:13676726:13678643:1 gene:ORUFI07G13350 transcript:ORUFI07G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADGLPPGVRFDPADDELVSRYLLRRLRKQPIPLHGVIHEADPLGAPPWMLLAAHGRGGDEAFFFAEARAKNVKGKRQKRTVEGGGFWQGQRVCVDGERLSVPGGDGGGEVGGGLEIEWRKYMLSFFAEEERGSSGWVMHEYAITAPDDLASWPIRLYRVRFSGHGKKRKREPERLGARVHDDDVDGGQRAAPRRAVTETALFVQPSAVDCAESAGQSFSGAIEPVFHDLPDMMPEQADAGDTTETTAAVVNLTDAMSEQPVLPLAADGDDQSSYDDGGMERATPCTPMSETALFEQQGPPGNADCADHQSSYGVIDPAFCEQADAGEAETTVSAAVVNQNYSMALCDFNFPEVLSYVDFTAGMEPSWQQRWPPMSESAPFEQQEPPLAPVAMVDLPPGNADCADHQSCSGVIDPAFRDLPDMTVLPPEQADTGGGAETTTAMVSLTDKLKYSSSMDGEAAQAWCDFDFPESTDEALSYIDFTAGAHTDNDGGVSETAMFEQLGSPPQHDPLPMDADGADQSSSGPLIDTVFRDHAEPIVLPLEQADTGGGAAAAVNLMDKQKYSSSMDGEAVPAWCDSDFPESIDEVLSYIDVSTDDTSCIDFSMDDLFDLAD >ORUFI07G13360.1 pep chromosome:OR_W1943:7:13679714:13681499:-1 gene:ORUFI07G13360 transcript:ORUFI07G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITPVDSPSPPSPISRANLHFSRLLALPAGDGELALGVNTATPHPSILLCVRPPCEQVWSGGARGRPPISVLESNRSLEKCQEIKIGGSHLAQVLLFTLQSLNNEVSLYNEKVVLQFAKDDDIGFGGSHSAQVLLSRDRKLTPVQCKVIAAMHRNPVHI >ORUFI07G13370.1 pep chromosome:OR_W1943:7:13682714:13688416:1 gene:ORUFI07G13370 transcript:ORUFI07G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELHDCVVQLRSNPQRCRDTVYVGCGAGFGGDRPMAALKLLERVKELNYLVLECLAERTLVDRYQIMMSGGKGYDPRVKEWLSVLLPLALDRGVCIITNMGAVDPLGAQEEVLELASNLGLEITVAVAYETSSVVQAGFKLSLALFSGNSVFSNESTGVRQGGSTYLGVASIVHCLENGKPQVVITSRVADAALFLAPMVYELGWNWNDFEELSQGTLASHLLECGCQLTGGYFMHPGDAYRDFSFEQLLDLSLPYAEVSYKGEVFVGKAEGSGGLLSYSTCAEQLLYEVGDPANYITPDLVVDFRDVKFQQISKDKVQCKGAKPSNPCWPEKLLQLLPTESGWKGWGEISYGGQECLKRAHAAEYLVRSWMDETYPGIEGKIISYIIGYDSLKAIGDNKDSSAKQVMDVRFRMDGLFELEEHAIKFVEEFIALYTNGPAGGGGISTGQKKEITLQKILVDREKIFWQVNMKKSSIPSPQNQATNADKGQMCDQQQHKCPRRCAMGTLPLNTNMDTLPSAVPSPSGTKIPLYHVAHSRAGDKGNDLNFSIIPHFPDDIGRLRAVITRDWVKNAVSPLLDSSSFPADRANQVRYDPLENVSIEIYDVPGISSLNVVVRNILDGGVNSSRRIDRHGKTLSDLILCQNVVLPP >ORUFI07G13370.2 pep chromosome:OR_W1943:7:13682714:13688416:1 gene:ORUFI07G13370 transcript:ORUFI07G13370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELHDCVVQLRSNPQRCRDTVYVGCGAGFGGDRPMAALKLLERVKELNYLVLECLAERTLVDRYQIMMSGGKGYDPRVKEWLSVLLPLALDRGVCIITNMGAVDPLGAQEEVLELASNLGLEITVAVAYETSSVVQAGFKLSLALFSGNSVFSNESTGVRQGGSTYLGVASIVHCLENGKPQVVITSRVADAALFLAPMVYELGWNWNDFEELSQGTLASHLLECGCQLTGGYFMHPGDAYRDFSFEQLLDLSLPYAEVSYKGEVFVGKAEGSGGLLSYSTCAEQLLYEVGDPANYITPDLVVDFRDVKFQQISKDKVQCKGAKPSNPCWPEKLLQLLPTESGWKGWGEISYGGQECLKRAHAAEYLVRSWMDETYPGIEGKIISYIIGYDSLKAIGDNKDSSAKQVMDVRFRMDGLFELEEHAIKFVEEFIALYTNGPAGGGGISTGQKKEITLQKILVDREKIFWQVNMKKSSIPSPQNQATNADKGQMCDQQQHKCPRRCAMGTLPLNTNMDTLPSAVPSPSGTKIPLYHVAHSRAGDKGNDLNFSIIPHFPDDIGRLRAVITRDWVKNAVSPLLDSSSFPADRANQVRYDPLENVSIEIYDVPGISSLNVVVRNILDGGVNSSRRIDRHGKTLSDLILCQNVVLPP >ORUFI07G13380.1 pep chromosome:OR_W1943:7:13689850:13692698:1 gene:ORUFI07G13380 transcript:ORUFI07G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGSSGDSEPMLPKIHGKRSDRPRGRNHTPWAPLQGLLNSVRNIRKYVNDRSVGSKMMKSTERDSMSSSASETAPKLKDNNGEDTKYKLLEIKTEITERIDPKTRGKRSARHRVKEPALWTSQDELQKFETGKNRNGNEQAVYSRKRKKTASKGEAKTGTGNDVTEKTGVRVIDTSAEVKNSTSENTNQKDGVPTLNTPMDKKLSGADAFKQEDALIADDAGAGLKDSNGAAASALDQHATGATNPMENKADNGVSGAEAALASIYGEPSEWDMCITFAVKLLMDEMPLPEDAAEVEEFFRQSITNIAGSSVP >ORUFI07G13390.1 pep chromosome:OR_W1943:7:13695241:13697203:1 gene:ORUFI07G13390 transcript:ORUFI07G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAGAAAAHAPSRRLPHCRPGGCGGGAPPRIWAAELGAPTTLPLLRRRFLGCSCSPSPSPGGDEDGARQLFDEFSVLSTNIPWEVEDIWRTFAAYFFIFHIPFSFGGLGVVAELLHCPSLDPLTTVSSTVVLQLTELTLALALLQYSTKKDHKLWAFFQGKLYPQHSWVKETVLGLIFLMTVVSLTTVVADRLIGLEDTYDPMLREILSDSPTSRLLCVFLYCVIAPLSEETIYRGFLLTALSSSMKWKDAVIVSSLMFSIAHFSINNSFQLFVIGCITGLAYSRTGTLAAPLTIHSLYNAAILHMTLMS >ORUFI07G13390.2 pep chromosome:OR_W1943:7:13695241:13697203:1 gene:ORUFI07G13390 transcript:ORUFI07G13390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAGAAAAHAPSRRLPHCRPGGCGGGAPPRIWAAELGAPTTLPLLRRRFLGCSCSPSPSPGGDEDGARQLFDEFSVLSTNIPWEVEDIWRTFAAYFFIFHIPFSFGGLGVVAELLHCPSLDPLTTVSSTVVLQLTELTLALALLQYSTKKDHKLWAFFQGKLYPQHSWVKETVLGLIFLMTVVSLTTVVADRLIGLEDTYDPMLREILSDSPTSRLLCVFLYCVIAPLSEETIYRGFLLTALSSSMKWKDAVIVSSLMFSIAHFSINNSFQLFVIGCITGLAYSRTGTLAAPLTIHSLYNAAILHMTLMS >ORUFI07G13400.1 pep chromosome:OR_W1943:7:13698508:13701336:-1 gene:ORUFI07G13400 transcript:ORUFI07G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDIEDCIDLLVHHLGSLTGKAGVIKKMAWRIKGLQLSHRISGRIQELKARVMDESDRYRRRRDLLDKIIKWLMDTQGGISQRLRTMAIVGCGGLGKTTLANQVYLEVKNQFDCSAFVTVSQNPDVKHVLAKILSDVSGAIGGALADEHHLINKLREYLQDKRYFLVIDDIWDAQTWRIIECALVKNSQGSRIVTITRINEIAKSCCCSYGDQVYEMKALCATDSKRLFFRRIFNSDERCPPQLREAANNILRKCGGLPLAIISISSLLATKPKSLDQWDKVKSRINYTQENSPDIETMAWVLSLSYFDLPHHLKTCLMYLSIFPEDYVIKKERLIGRWISEGFIHAKQGESLYEIGENYFNELINRSLLQPVDIEDDGQVHACRVHDTILDFVVSRSNEENFVTMVGASDLTSTPTGKIRRLSFHKNSEGSVTMPTYLLRSHVRSLTTFLHAGQVPPLLGFYGLRVLDLENCSGLKNHDLKSIGRLIQLRYLNIKGTDISDLPCQIRELQYLETLDIRSTHVKELPSAIVQLQRLAHLLVDCHVKLPDGIGNMQALEELTGFSVFMYPSTFLQEIGKISSLRVLRVVWNYVDFQGNAETYRENLAISLTKLGTCYLESLSLDIHGHDEEDDFSLHLWTLAPCRLRKLYIGRWHPISRIPNWIESLANLQYLHIYVKRINQEDLRMLGSIPSLLTLYLFSNEAPKEKLTISSQGFQSLTFFKIHCYHMGLVFEAGSMAKLEYLHILISAFQVKSWDGSFDFGIQHLYCLTKVYAYINCYGLTAEEAEAAVNAIMISVDTIPNCPKLQIDRRYAPL >ORUFI07G13410.1 pep chromosome:OR_W1943:7:13704543:13705221:1 gene:ORUFI07G13410 transcript:ORUFI07G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNNVLGAFSGDGIPESCNDKMVNNITKDVGDFYDLFEGPMVTVSRSLYAQAVPMVKFTS >ORUFI07G13420.1 pep chromosome:OR_W1943:7:13738701:13749709:1 gene:ORUFI07G13420 transcript:ORUFI07G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSTAAVLFSLAVAVAPPLVRLHQWYAAEFRGLNWAGAPPPLAALRRHPLRGLAPPPSSPGSPSSPQWKVYRPSPKINNFSN >ORUFI07G13430.1 pep chromosome:OR_W1943:7:13750763:13752507:1 gene:ORUFI07G13430 transcript:ORUFI07G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSTAADAAVVLFSLAVAAAAPLIDAQAVLPRRLFPAPLVGLHRWYATEFGDYLAAEPPGFFRGLVWLELLLHWPLSVATLYGVLARRPWAGATALAAGVSVVTAMSAVLGEFLVSGRATHKLLQMYVPFAVLAVIAALRGLVVWSSQGTGLAPAPSSQKKRP >ORUFI07G13440.1 pep chromosome:OR_W1943:7:13759123:13762336:1 gene:ORUFI07G13440 transcript:ORUFI07G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSAAADAAVVLFSLTVAVAAPLIDAQSVLPRHLFPAPLVSLKRWYAREFGDYLVARPPGFLRGLVWLELAFLWPLALATLYGILARRRWAATTSLIAGVSTLTSMSAILGEIVGSKKATLKLLQMYVPFAVFAVIAILRGLCSSAPRGTAGSSLGPSARKKRA >ORUFI07G13450.1 pep chromosome:OR_W1943:7:13766730:13771693:1 gene:ORUFI07G13450 transcript:ORUFI07G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSSSSSSSSYPSSSGGKKKRPRSPGHSDERPVHTTQIYTSSLEDTLTFSDTMIALQLMRTQFPKLEKVVTEPFILQSQLYSSVKDRTQVDRDLESLKKDKVLRVFKLNTGQDDHAIMFMDDYLKQMALAVKRSRGKDQDGTEVFGWFERYVIHLKLEVSIDQRDLFSLLSLGGDVTDKHITLLMNAGLLTRQLIDPNMYWFSIPSIGPVLKGLTQGRKEILSLLNRKKYKEMLLSSLEKTRLRFSPLDVRFHIRDLIGSGHIKTVQTPTGLLVRISKD >ORUFI07G13450.2 pep chromosome:OR_W1943:7:13766730:13771693:1 gene:ORUFI07G13450 transcript:ORUFI07G13450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSSSSSSSSYPSSSGGKKKRPRSPGHSDERPVHTTQIYTSSLEDTLTFSDTMIALQLMRTQFPKLEKVVTEPFILQSQLYSSVKDRTQVDRDLESLKKDKVLRVFKLNTGQDDHAIMFMDDYLKQMALAVKRSRGKDQDGTEVFGWFERYVIHLKLEVSIDQRDLFSLLSLGGDVTDKHITLLMNAGLLTRQLIDPNMYWFSIPSIGPVLKGLTQGRKEILSLLNRKKYKEMLLSSLEKTRLRFSPLDVRFHIRDLIGSGHIKTVQTPTGLLVRISKD >ORUFI07G13450.3 pep chromosome:OR_W1943:7:13766909:13771693:1 gene:ORUFI07G13450 transcript:ORUFI07G13450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSSSSSSSSYPSSSGGKKKRPRSPGHSDERPVHTTQIYTSSLEDTLTFSDTMIALQLMRTQFPKLEKVVTEPFILQSQLYSSVKDRTQVDRDLESLKKDKVLRVFKLNTGQDDHAIMFMDDYLKQMALAVKRSRGKDQDGTEVFGWFERYVIHLKLEVSIDQRDLFSLLSLGGDVTDKHITLLMNAGLLTRQLIDPNMYWFSIPSIGPVLKGLTQGRKEILSLLNRKKYKEMLLSSLEKTRLRFSPLDVRFHIRDLIGSGHIKTVQTPTGLLVRISKD >ORUFI07G13460.1 pep chromosome:OR_W1943:7:13781309:13790734:-1 gene:ORUFI07G13460 transcript:ORUFI07G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTSRRLLLLVARRAGHLCRHHHHHHHRDGLVLARSLQAAAAAAASSPPPLPASPPARSFSSAFSSVHGERPSSEYAKIRKESLESQFGRILGSSSRTLFADRGFGPFLAMYRAAIISFHVMKLTIWHLLLSDVHKRAEKFRETLIRLGPFYIKLGQALSTRPDILPNAYCQELSKLQDQIPPFPTRIAIRTIESQLGSRISDLFADISPEPVAAASLGQVYKAHLHSGELVAVKVQRPGMTPLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEIVRHMFDEIDYVLEGRNAERFARLYSHDLGGNSSGDGTSIKVPKVYWNFTRKSILTLEWIDGIKLTDAERIGKANLNRKRMIDEGLYCSLRQLLEEGFFHADPHPGNLVATEGGSLAYFDFGMMGDIPRHYRVGLIQMLVHYVNRDSLGLANDFHSLGFVPEGTDLHGVADALRVSFGDGRRQSNDFQGVMSHLYDVMYEFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLEDPSPDMRKILRQLLICDDGSIRWNRLERLIAAISEQSESSNKSEDRSGENAANKPGWRSFDMHSVVAATEDLFHFILSRKGWRVRVFLVQDIVKASDAFLQEATFPGIFDEEGTTGELHPERSKMIRRVVHGVQSFRQAISLAPDAWTAMLFRTLLKPESQKFILDVFLALAMHSCYKIPETSWICMSRFLNYLDRQGR >ORUFI07G13470.1 pep chromosome:OR_W1943:7:13797605:13801631:1 gene:ORUFI07G13470 transcript:ORUFI07G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEKAPRAFSMEELPGHLIGEVLTSGRLAAGDLARLEATCRALRPLAEHAASRLCAARAAFAAMGPAARGELLERCGGSWKKVLRFLQSVEQSSGTVHTSSGNMQVATGRYHTLLVHDSSVYSCGSSLCGVLGHGPDTTQCAAFSRVPFPSLSRVVNISASHNHAAFVTELGEVFTCGDNSSLCCGHGEVATGLSFTVILTTDGQVYTCGSNTHGQLGHGDTIDRATPKIVELFEGLAPVVQVAAGASYTFAVTDDGTVYSFGSCTNFCLGHGDQHDELRPRAIQSFKRRNIHVVRVSAGDEHAVALDALGYVYTWGRGYCGALGHGDENDKTSPELISSLKSQVAVQVCARKRKTFVLTDEGSVFAFGWMGFGSLGFPDRGSSDKVMRPRVLDSLRDHYVSQISTGLYHTVAVTNRGIVFGFGDNERAQLGQEYIRGCLKPTEIMFQKSMEEIVIAAPSG >ORUFI07G13480.1 pep chromosome:OR_W1943:7:13803209:13806891:1 gene:ORUFI07G13480 transcript:ORUFI07G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSGPASLHSPLILSRRRGGSENLAVAATDRRLAPYDRPPPSLPLPVCAWPRPSHCLFVVSPVMGSSKKAKVKSRRAAKSDRLSSLPLEIKADILSRLNVQDAVRTNILSSAWRSTWTTIPEMLLCDCTYTSCQGSVTSAPPKFITLVDMALSLHRGPLHGITILGSKNYHDVFGRWMHKLSMKSPNSVTIKLTSASRYRIPSCFFSISDLEHLDIKNCIISLPQMFKGFEWLTTLDLENFSSTDSDIDNLISCCPELSVLVLKSFEGISCLNIRAPELEILEVDGKFEDFHLDAPNLETANVTLHKAQEYQSVPVVHCGKSYLKQALGSLSDIEKLVINGYFLTYLSKGCIMTKIPAVFDHLEMMLLEICFWDQREILTGRSFPWDNDFGPMTLWDQDQTSIADLTLQMDHLVTVSVNDFLGLDYEVDFVGKLLSWAPVLEEVKINVDCTRAFSLGSKVLKKLLALPRVSDKAKIIVT >ORUFI07G13490.1 pep chromosome:OR_W1943:7:13833918:13841522:-1 gene:ORUFI07G13490 transcript:ORUFI07G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLIVSTCTFATSLAVLLMLLAVGGGGGCASRRVTARLISNMMTAVALFAAATFALCVADDYRLMAFVGTVVAVYAAVTVVFVRCNLALPFGRGGGGHGEDAEAEYITMALGLDVQRRSMDRRRIRPDVEIESVADDSANYVQNIRLVIDIGDRTIQVPLNGHTVVQNIGRQAAASVAGDSSSAGGVSEKAGGGGEEWLQEQDGLLCMRGWLMAAATLFAAMAFQAALQPPAWMPTVVFVRCNLALPFGRGGGGHGCCSWVPRIGVKMMRIRIAEQFIRLVIDIGDRTIQVPLNGHTVVQNIGRQAAAAVAGGVSEKAGSAGGSGEEWLQQQGGFGLLCMRGWLDGGGDHAVRRHGVPGGATAAGWMPRPRDWLAVLLAVGDGGSGCASRRVTARLITNMMTAVAQFAADTFALCAADDYRLPAHGVRRHRRGRVRRHHRRVRSGAILLCRLGEATPAMVVAGIPRL >ORUFI07G13500.1 pep chromosome:OR_W1943:7:13871356:13874348:1 gene:ORUFI07G13500 transcript:ORUFI07G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAERLLLLLLLLAASVAWETNAHTPTVIRQQQQDGEAVAPPPLIHALRPRLGSGGQLAARAGGVACDSWRLGVEAHNVIGWRTERDRTVPARCEGYVGHYMLGSAYRRDSAVVVDEAVAYAESIRVAGDGKAIWVFDIDETSLSNLPYYAKHGFGATPYNDTSFREYVAEGSAPALPETRRLYRRLLELGVKPVFLTGRTEDQRAITVANLRRQGYTGWEKLLLKPAAHVAGGLQLSAVAYKSGERQKLQDAGFIIVGNIGDQWSDILGAPEGARTFKLPDPMYYIG >ORUFI07G13510.1 pep chromosome:OR_W1943:7:13893466:13893726:1 gene:ORUFI07G13510 transcript:ORUFI07G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRSAAVGEGERGERGGAGGEEEAGAVRRRSARRRSALGAVALGSAAQRPMVEDGGGKGGRQRQRPSGRRRLRPASAMSTALVS >ORUFI07G13520.1 pep chromosome:OR_W1943:7:13894495:13894928:-1 gene:ORUFI07G13520 transcript:ORUFI07G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALLLPRRFACATVAGGRRQPPSRWMTAPATLAPQKGPFLDNYIHPQGVRLRF >ORUFI07G13530.1 pep chromosome:OR_W1943:7:13897915:13898442:1 gene:ORUFI07G13530 transcript:ORUFI07G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDKKPPATAHGGESLPASTRPSNTRKRKDREDEAEEVAAAAAAQEEEGAVASRRR >ORUFI07G13540.1 pep chromosome:OR_W1943:7:13911725:13913687:1 gene:ORUFI07G13540 transcript:ORUFI07G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGGGTAATERLIRSAQKSTNQLKALLAGGGGGGGRSSGAVEVILADISDSLSQALASLMLRAACDDQSLPAAAAPPPPPEASLLPSYGQCVVANSGGRSVSKRKAQRRSRADGSSRRIILELGDRDDSYLWRKYGQKDILGARFARSYYRCAQMLGCTARKQVQQSDDDPSRLEITYIGLHTCGGDRPSSPVPTNPADGPRCDAATSSHRLLPSALQQKLEEHVPAASDDMMMACTPSWLFIPSPACSQSELLSEGEVPELRVVRQEPYDPVELVEEHKKPSDADEDSLALHDSVVPDFM >ORUFI07G13550.1 pep chromosome:OR_W1943:7:13944123:13945241:1 gene:ORUFI07G13550 transcript:ORUFI07G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILPNLVVNKFLPDKSNAISGSNKRKKMETETESSNLHVALDTRTNSSPFGFGDIFIVEYPESPHQDTLHDCGFCVMRMLECTTVQRDTMKYRKQLCHRLIYHHFNDLHPHRVI >ORUFI07G13560.1 pep chromosome:OR_W1943:7:13949180:13950508:-1 gene:ORUFI07G13560 transcript:ORUFI07G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFDSVAAVAGDGDADDDDVLPPAPFDPAADGAQGGLGALRRGHRFATSYSSFGTAASEDDLAGAGAGTDGGVGAGIPLGSSSNGGAAYGYGGSGDVMNGHVDQIGDVMGGGVVVGDGGGIDDDLFAGAGDGDDGPVLPPPEAMKEEGILRREWRRQNALMLEEKERKERERRGEIIAEADEFKRSFAEKRKLNGDTNRAQNRDREKLFLAKQEKFHGEAEKQYWKAIAEMVPHEIPGLEKRGKRREKQSAEANAKAKQPGVVVVQGPKPGKPTDLSRMRQVLMKLKQTPPPHMAPPPPQPAKDTGGDTDANKDGEAEKAAGEIEKKAAGGEKEAAAGPPVTAAAAADAQANKAAAEETAKK >ORUFI07G13570.1 pep chromosome:OR_W1943:7:13953783:13964597:-1 gene:ORUFI07G13570 transcript:ORUFI07G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRNLLKMTCRMAAGKQKKRIIRSTNSDQNRTWKKSKVESSNCHISLKSQIALKWDDYQKRVVPQKEQVGILWSDLAPFIDSRQKHDSGLADVTYIPPETFSLENLRSVLSYKVWDTCLTEADRKSSSLCYGDTHPDALLNKEKQIRADEKAYRVNLNNYHSNMVESLKKWKKRWLSSDDPEIMFRDNNLAKHKQGDTRPKVTSSEMPLKVAQSSDVSKFMSYIEISRTQHNLVKSMKQSGDGIKTKHLTRVIGNLDKFHVKPYGTLIDDEQRRLREHWLNISCNDIPAAFEVLKNNRVTTEKLRNLLGLELGEKNVSIMRKADQLAGITKELGQHGACENDGSTDLQDALVEQLSEDMLQGGNDHCPSRQDCDDDETKHIETSADHHDSQGRENSDLQAQDYKGTSCADRSISFCASNVEEQNEDFVNTKFSNDGPDVQAEDFKEISYTDTTIIDHSPESRQIKTTCYTTAPIDTCESQNTQAQSLEGITYTGPSMHAHEQNQGLKGTRYKIMIDKGHNANDISLVNSYPEMNDVTMDSKEVENTPVIPSNSSTLLSNTSGGQIPVEEHLNGQAAKGVKDLWELPEPDDSYYLPLENSSVYNGSGGLQIGHRHLPAGQQGSVVCMENGILSQQQSQVTIAAAFPMDNPASFMQPCSNRQSNGQVQTVANDIGMLPYSLEHTDCIGQSTDLHSLDNNRFSQPTHFPSPLQEQQLVDQSNSVLYDELHKNLYSDVSFQTKGNNSILEQHSFASSGSMDHRYNRFPQEHQPHDNWPAMESNNCLPQALPVGSSNTDGSLFSALAQYRLPSSSLHMQSGRSSPSQLLEIRNQVPMSGSFVPRTQGTNLQAPSIYGYTQNLPSSSSSHVASVGSLNNMQWTNLIQQNPGMPNLTNRQF >ORUFI07G13570.2 pep chromosome:OR_W1943:7:13953781:13964597:-1 gene:ORUFI07G13570 transcript:ORUFI07G13570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRNLLKMTCRMAAGKQKKRIIRSTNSDQNRTWKKSKVESSNCHISLKSQIALKWDDYQKRVVPQKEQVGILWSDLAPFIDSRQKHDSGLADVTYIPPETFSLENLRSVLSYKVWDTCLTEADRKSSSLCYGDTHPDALLNKEKQIRADEKAYRVNLNNYHSNMVESLKKWKKRWLSSDDPEIMFRDNNLAKHKQGDTRPKVTSSEMPLKVAQSSDVSKFMSYIEISRTQHNLVKSMKQSGDGIKTKHLTRVIGNLDKFHVKPYGTLIDDEQRRLREHWLNISCNDIPAAFEVLKNNRVTTEKLRNLLGLELGEKNVSIMRKADQLAGITKELGQHGACENDGSTDLQDALVEQLSEDMLQGGNDHCPSRQDCDDDETKHIETSADHHDSQGRENSDLQAQDYKGTSCADRSISFCASNVEEQNEDFVNTKFSNDGPDVQAEDFKEISYTDTTIIDHSPESRQIKTTCYTTAPIDTCESQNTQAQSLEGITYTGPSMHAHEQNQGLKGTRYKIMIDKGHNANDISLVNSYPEMNDVTMDSKEVENTPVIPSNSSTLLSNTSGGQIPVEEHLNGQAAKGVKDLWELPEPDDSYYLPLENSSVYNGSGGLQIGHRHLPAGQQGSVVCMENGILSQQQSQVTIAAAFPMDNPASFMQPCSNRQSNGQVQTVANDIGMLPYSLEHTDCIGQSTDLHSLDNNRFSQPTHFPSPLQEQQLVDQSNSVLYDELHKNLYSDVSFQTKGNNSILEQHSFASSGSMDHRYNRFPQEHQPHDNWPAMESNNCLPQALPVGSSNTDGSLFSALAQYRLPSSSLHMQSGRSSPSQLLEIRNQVPMSGSFVPRTQGTNLQAPSIYGYTQNLPSSSSSHVASVGSLNNMQWTNLIQQNPGMPNLTNRQF >ORUFI07G13570.3 pep chromosome:OR_W1943:7:13953781:13958182:-1 gene:ORUFI07G13570 transcript:ORUFI07G13570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWAWVRGPGQSSSLCYGDTHPDALLNKEKQIRADEKAYRVNLNNYHSNMVESLKKWKKRWLSSDDPEIMFRDNNLAKHKQGDTRPKVTSSEMPLKVAQSSDVSKFMSYIEISRTQHNLVKSMKQSGDGIKTKHLTRVIGNLDKFHVKPYGTLIDDEQRRLREHWLNISCNDIPAAFEVLKNNRVTTEKLRNLLGLELGEKNVSIMRKADQLAGITKELGQHGACENDGSTDLQDALVEQLSEDMLQGGNDHCPSRQDCDDDETKHIETSADHHDSQGRENSDLQAQDYKGTSCADRSISFCASNVEEQNEDFVNTKFSNDGPDVQAEDFKEISYTDTTIIDHSPESRQIKTTCYTTAPIDTCESQNTQAQSLEGITYTGPSMHAHEQNQGLKGTRYKIMIDKGHNANDISLVNSYPEMNDVTMDSKEVENTPVIPSNSSTLLSNTSGGQIPVEEHLNGQAAKGVKDLWELPEPDDSYYLPLENSSVYNGSGGLQIGHRHLPAGQQGSVVCMENGILSQQQSQVTIAAAFPMDNPASFMQPCSNRQSNGQVQTVANDIGMLPYSLEHTDCIGQSTDLHSLDNNRFSQPTHFPSPLQEQQLVDQSNSVLYDELHKNLYSDVSFQTKGNNSILEQHSFASSGSMDHRYNRFPQEHQPHDNWPAMESNNCLPQALPVGSSNTDGSLFSALAQYRLPSSSLHMQSGRSSPSQLLEIRNQVPMSGSFVPRTQGTNLQAPSIYGYTQNLPSSSSSHVASVGSLNNMQWTNLIQQNPGMPNLTNRQF >ORUFI07G13570.4 pep chromosome:OR_W1943:7:13958765:13964597:-1 gene:ORUFI07G13570 transcript:ORUFI07G13570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRNLLKMTCRMAAGKQKKRIIRSTNSDQNRTWKKSKVESSNCHISLKSQIALKWDDYQKRVVPQKEQVGILWSDLAPFIDSRQKHDSGLADVTYIPPETFSLENLRSVLSYKVWDTCLTEADRKFLIQFLPTEIDAEENVHLLLKGQNYHFGNPSLSWQSFMEHHFSGTT >ORUFI07G13580.1 pep chromosome:OR_W1943:7:13968346:13970499:-1 gene:ORUFI07G13580 transcript:ORUFI07G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQSFLALNPPATAAALGGLGLGGARLRPSRVTACLATPTPTPPPPTSAPLAPAAAARRELSAASRAVVEDEARYIVGTYNRSRVVLVAGRGCKLYDADGREYLDMAAGIAVNALGHADPDWVAAVSAQAATLVHASNRLVEASFADRVFFANTGTEANEAAIKFAKKYQRVARPDGDAPTEFMSFTNCFHGRTMGSLALTSKVQYREPFAPVMPGATFAEYGNLEEAKKVIQSGKIAAVFVEPMQGEGGIHSATKEFLQGLRDACDEAGALLVFDEVQCGLGRTGYLWAYEAYGVVPDIMTLAKPLAGGLPIGVVLVTEKVASAINYGDHGTTFGGGPLVCQAALTTLDKIQKPGFLAEVAKKGENFKQLLSTKLSGNAHVKEIRGIGLIVGIELDVPAGPLVDACLDRGVIVLTAGKGNVVRQCC >ORUFI07G13590.1 pep chromosome:OR_W1943:7:13973407:13978206:-1 gene:ORUFI07G13590 transcript:ORUFI07G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKIWVSPTMLRAVADEDLGVTDEVARDTEEDLGVADADEVSRAPRAMSTWVWVSPTRLPAVVDEDLGVADADEVSRAMPRRNLRLSFDIEVEATDVWAAPHRFYNLAASPPPLYHLLARLSLSLSLTRTRLAAAAAAAAPLSPAGRGGEVFLRCTDLMAVASRLAVARVAPDGGAAGRRRRRGRPVVAVPTAAGRGRGRGGAVAASPPTEEAVQMTEPLTKEDFMAYLVSGCKPKENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMIRKFRAGLALQPIATAIFANSPFKEGKPNGYLSLRSHIWTDTDNNRSGMLPFVFDDSFGFERYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMVGKLPQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQSISDMTSDWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEEILQLSKNGLERRGYKEVGFLREVDAVISSGVTPAERLLNLYETKWQRSVDPVFQELLY >ORUFI07G13590.2 pep chromosome:OR_W1943:7:13973407:13977211:-1 gene:ORUFI07G13590 transcript:ORUFI07G13590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLAVARVAPDGGAAGRRRRRGRPVVAVPTAAGRGRGRGGAVAASPPTEEAVQMTEPLTKEDFMAYLVSGCKPKENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMIRKFRAGLALQPIATAIFANSPFKEGKPNGYLSLRSHIWTDTDNNRSGMLPFVFDDSFGFERYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMVGKLPQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQSISDMTSDWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEEILQLSKNGLERRGYKEVGFLREVDAVISSGVTPAERLLNLYETKWQRSVDPVFQELLY >ORUFI07G13600.1 pep chromosome:OR_W1943:7:13985863:13989478:-1 gene:ORUFI07G13600 transcript:ORUFI07G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIVLASVLLLDVIAFGLAVAAEQRRSKASPPPPTHPLPFSYRPLEEPSADATVTPDSEKLYDYCVYDSDIATGYGVGALLLLAAAQAVVMLASKCFCCGRGLKPGGSRACALILFLFAWLTFLIAEACLMAGSIRNAYHTRYRGMFVGESVSCETVRKGVFAAGAAFTFFTAILSEFYYVSYSKSRDAAGGAPYGGSNIGMGTYS >ORUFI07G13610.1 pep chromosome:OR_W1943:7:14017332:14020497:1 gene:ORUFI07G13610 transcript:ORUFI07G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKECGSSSVIVGVVKVKVVRGTNLAVRDVFSSDPYVVLKLGNQEVYDRDTFVDDPMGAAFFELRPLVEAAAASSRRRTPSGVDSKEDGTAVVPRSGSSVVWSASEGKAAQGLVLRLAGVESGEVELQLELEWHGGAAGDTSMIDRLIDRNS >ORUFI07G13620.1 pep chromosome:OR_W1943:7:14021296:14021644:1 gene:ORUFI07G13620 transcript:ORUFI07G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWAPHVNFLFSFSTSPLSLLAFFSIAEDELVPALTKAVHGMVTPISRSDRPALAPLPLGRARMRTPGEHLRDHVHDKSRLIDATLEHVRELVTECVHLLARAS >ORUFI07G13630.1 pep chromosome:OR_W1943:7:14022834:14024781:1 gene:ORUFI07G13630 transcript:ORUFI07G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVPPEERRKPSPAESRQWTQRFFQVLGAGEPLPAPAELPAAYSALVRGVLSSAAVSSSASPRVSCTLTVSPAAVNGYNTLHGGMVAAVAEAVGMACARAAAGDKEMFLGELSTAYLSAARLNSEVEVEAQILRKGRSVVVTTVEFRLKDTKKLCYSSRATIYIMPVVSL >ORUFI07G13640.1 pep chromosome:OR_W1943:7:14033196:14034471:-1 gene:ORUFI07G13640 transcript:ORUFI07G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIANTFSSENTLITKAEDKRNSNNEDAVYDKEYEKDGSPTENINVYDKIRACTHPWYTALQISMNALVMVELDGETDPLECLYSRNSNNEDAVYDKEYEKDGSPTENINVYDKIRVCTHPWYTALQISMNALVMVELDGETDPLEK >ORUFI07G13650.1 pep chromosome:OR_W1943:7:14044314:14046493:-1 gene:ORUFI07G13650 transcript:ORUFI07G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVHSPIHVAKCRSEATCSHACVLARGRLLVSFAPPPRFPPVGRGARSRFQRHEQGRDVDSGGAEETASSEASAASLAARRPVHGSRCNRRRWRSSTRRAI >ORUFI07G13660.1 pep chromosome:OR_W1943:7:14046565:14047743:1 gene:ORUFI07G13660 transcript:ORUFI07G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLGARAGGHKRTRLRSTRRWLRTALVGGGGQRVVAAVWPPCHAEGFAMSRLGGEPGRRRSHARPAESHVAAAAASHARSRRRQRRCRGNGLRRGECGVACGAEASARKPVQPAEAVELDTEEVH >ORUFI07G13670.1 pep chromosome:OR_W1943:7:14048275:14051327:-1 gene:ORUFI07G13670 transcript:ORUFI07G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDYNDMDMGYEDEPPEPEIEEGAEEEPENNNEDAVDDVVGAEDDKEQEKTARPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGG >ORUFI07G13680.1 pep chromosome:OR_W1943:7:14052796:14053348:1 gene:ORUFI07G13680 transcript:ORUFI07G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGWFLAAAVAAMAVVACFAATSSSSSSQLHCGTVTSLLSGCAAFVRGHGGGAQLPSPGTPCCDGVAGLYAVAADSADNWRAVCRCMARLVRRHSSNASAIALLPGVCGVVSPWTFAVGNTNSNRPYCRSLP >ORUFI07G13690.1 pep chromosome:OR_W1943:7:14060241:14065363:1 gene:ORUFI07G13690 transcript:ORUFI07G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASPPRSGDASPSSPLLPSPTSPDRRSGGGGGGGRLLPGLRGAARFLGRTGSRRLMREPSVAVRETAAEHLEERQTDWAYSKPVVVLDVLWNLAFVAVAAAVLAASLPESPSVPLRVWLAGYVLQCLFHVLCVTVEYRRRREARGGGFGADQGAAADGDFKLSIVKHLESANTMFSFIWWIIGFYWISAGGQALSHDAPQLYWLSIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVTDQEGASEEDINNLSKFKFRTMGDADKLVAGIAAPVGGVMTECGTNPPVEHFLSAEDAECCICLCPYEDGAELRELPCNHHFHCTCIDKWLHINATCPLCKFNIIKSNLGPEDV >ORUFI07G13700.1 pep chromosome:OR_W1943:7:14065259:14067162:-1 gene:ORUFI07G13700 transcript:ORUFI07G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMQTNQAKTRGKGGDQEMAPPMERRSPSLAESRQWTRRFLRGLGVDGTLPAAAELPAAYSALVRGVLSSAAVSVVPATPASPRVSCTLTVSPAAVNAYNTLHGGMVAAVAEAVGMACARAAAGDKEMFLGELSAAYLSAARLNSEVEVEAQILRKGRSVVVTTVEFRLKGTNKLCYTSRATFYIMPVASL >ORUFI07G13710.1 pep chromosome:OR_W1943:7:14078648:14082329:-1 gene:ORUFI07G13710 transcript:ORUFI07G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEQLLRASTELMNLGYIRSMALGCAAKLGVADAIHHAGGRATMDDLRAALSLHPSKLPYFLRRVMRVLVASGVFAHDEEEDDDDIYRLTPVSSLLVTATGGNGGRSLLPFVLLQLSPPLYVTPATSMAEWLTSGEEETPFEMTHGAGLWTVCSRDPELGELFNDAMAADSAFIMDVAIRGAGRQVLDKITSLVDVAGGTGTAARVVAATFPHIKCTVLDLPHVIDSIPADHRGRDVVKFVAGDMMDFIPRADALLLNFVVHDWSDEDYMKILKRCKEAIPSREAGGKVIVIDVVVGSSTQAMCHGTQLLFDLLISTTLPGMQRGEKEWCKVFKEAGFTDYKISPVLGIRSIIEVFL >ORUFI07G13720.1 pep chromosome:OR_W1943:7:14086857:14091982:-1 gene:ORUFI07G13720 transcript:ORUFI07G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGSKSKAKHAVTVQNWSPHFSPPLTQRRETAIIVGVAAVPSTAASGASPPQQDYSSNRGGSVSSRRVELYGWMTRDLDEHGATFLEGSETSQSLTLSSSIAGAHRRAKAQGGNGARKRRYHQPRIALGKVFTRGSLKMLHFQTLAALPGRSLRVIIAELARQEAPTPPQQPITLQLDQRPPHTQEPSCSKLTARPTTSEQDAENPHATPTKELPGIDWKQPSLRREEHLRRKERALHRQRAPEEQPLKKGRTGSGRTGGWMRRPRRRQKPQQACCPRPGPRGSRRRGALRRPTGRAAADPMPSSPTKPRGSCRPPANARGRRRRPSPSPATQSLTAAPPSSPRLDLAGRPELATPSPEPRRAPPPRRRRHREPHHHAVAATSPSRRRDLAAQPPLSPRPRRGEMEPREMAPLPPSQRVARNCRRRAPAAAKQGGGRGRGGGG >ORUFI07G13720.2 pep chromosome:OR_W1943:7:14088538:14091982:-1 gene:ORUFI07G13720 transcript:ORUFI07G13720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGSKSKAKHAVTVQNWSPHFSPPLTQRRETAIIVGVAAVPSTAASGASPPQQDYSSNRGGSVSSRRVELYGWMTRDLDEHGATFLEGSETSQSLTL >ORUFI07G13730.1 pep chromosome:OR_W1943:7:14087278:14088142:1 gene:ORUFI07G13730 transcript:ORUFI07G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASGRRRLVRLAGGARPGADCRVVLAGGSRPAAAFAGGGDGASILLFFQIRFSPSSVVVLPVLSGAGFLGVRWSLVELQGGVGASCLASSAMMTLNERPGNAARVWKWSILREPRVKTLPSFAQKVYAPLEDVWWFWRPRLHYVVEAACLLVFAGFLFN >ORUFI07G13740.1 pep chromosome:OR_W1943:7:14108122:14110559:-1 gene:ORUFI07G13740 transcript:ORUFI07G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEQLVQASTELMHHSLGYVRSMALGCAAKLGVADAIHRAGGRATLHDLHAALSLHPTKLPFLRRVMRVLVASGVFAQVKEEEEEDHYRLTPVSSLLVTAGRTLLPFVLLQHSPLCVTPATSMAEWLKTGEEETAFEMAHGAGFWGACRRAPELGDFFNDAMAADSAFIMDAAIRGARQVFDKITSLVDVAGGTGAAARAVAAAFPHIKCTVLDLPHVIDSIPVDHGDVVQFVAGDMMDFIPQADALLLKFVLHDWSDEDCVKILKRCKEAIPSKDTGGKVIIIDVVVGSSSQAMCYGTQLLFDLTISMLTPGMERDEKEWFKIFNEAGFTEYKISPVLGIRSIIEVFP >ORUFI07G13750.1 pep chromosome:OR_W1943:7:14119628:14120542:-1 gene:ORUFI07G13750 transcript:ORUFI07G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNLKADAVLLKSVLHDWSDEDCIKILKCCKEAIIPSKDAGGKIIIIDVVVGSSSEAICQGTQHLVDLNISVLTPGKERDEEEWFKMFTKYKISPVFTVTTIALF >ORUFI07G13760.1 pep chromosome:OR_W1943:7:14122121:14125716:1 gene:ORUFI07G13760 transcript:ORUFI07G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPIFYVIMLDATNQDYLACTHSKLSRITWLEDKNQSERSIQHALHDNRMEAIHKATGLKIGEKVVFRLKNVKLSVELKNYVKDIAEFLHHSSKFYIVAMNNTFMKQDRVDGHILQQPITDNYRRYVSSTSTKQIDCRQQYIFCEVATGNNIVIF >ORUFI07G13770.1 pep chromosome:OR_W1943:7:14127382:14130058:1 gene:ORUFI07G13770 transcript:ORUFI07G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLHLTSAKFLTTLLRRGGSDDGVEVGGVDNANIVEVRGSDGVKLLGPDSVLASASGGKEQGSGAVAAIRMPMLKVADFKEVIQTSYAVLVLVPPSGKSWGQHEMEIIEVVAGQVVVALSHATLLEGSRAMRVQLAEQNRELLSAQRDALMANEARQALQGVMSQGLRRPIHSILGLMSMEETLVPEQRLVIDTMARTDNVVSTLINDVMEMSVDSRERLPLETRPFHLHAMIRDTACVARCLIGRTELGHVTLRVHAAADDVLEGLERERGKKIGDR >ORUFI07G13780.1 pep chromosome:OR_W1943:7:14135599:14148052:1 gene:ORUFI07G13780 transcript:ORUFI07G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTWSTRLLLSPSASASSHRPNPIRRLAFPSPISGSTRGGVHALRRGPGRQAPRAYISAPASGPDAYPSPALDAAAAAADVAAAIASSDAVTWAGVWALLSPHRARIAVSLAALLACTTCTLSMPLFSGRFFETLIGRGSEPLWRLLSKIAVLYTLEPIFTIVFVINMTVIWEKVMARLRSQIFRRILIQKMVFFDRHKVGELTGLLTSDLGTLKSVITGTLCILFTLSTELAPVLGLLMVSVSVLVALFKRSTVPTFKSYGIVQARISDTASETFSAIRTVRSFGGEKRQISMFDNLALAFQNSGTKLGVLKSANESLTRVVVYVSLMALYVLGGSNVNAGKLSVGTMASFIGYTFTLTFAVQGAVNTLGDLRGTFASVERINSILSAEDIDDSLAYGLAKELEDSNGAVHENGTANKHYMSALKSSSSCSNLAWSGDIHLEGVHFSYPLRSDVEILNGLDLTIECGKITALVGPSGAGKSTVVQLLARYYEPTHGRITVAGEDIRVFDKREWSRVVSLVNQDPVLFSVSVGENIAYGLPDDVVSKDEIIKAAKAANAHEFIVSLPQGYDTLVGERGSLLSGGQRQRIAIARALLKNSPILILDEATSALDTTSERLVQEALNHLMKGRTSLVIAHRLSTVQNAHQIAVCSDGKIAELGTHAELVASGGRYASLVGTQRLAFE >ORUFI07G13790.1 pep chromosome:OR_W1943:7:14159975:14163599:1 gene:ORUFI07G13790 transcript:ORUFI07G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVCRDKEEHRRCVAACLVKGAYVVENDLNRRRMWVENDLNRRRMWGKELAPAWWENFGFRTVDVINDDVIDDNDQIVTGTIYEHETPPGGGEPRHPLSPRYVVAFRGTMTWHPKAFVDLYLDLQVLFNTLQDSQRFRLAKAAVQKLVDTIHKGTGVCDHAVGGRCVVWLVGHSLGASVALEVGRVMMTEQGYNLPTFLFNPPQVSPAPVINLLHPNEKAKRHLHAASSLLKGEGGAPPLRRCLPCQRRLRSGERPQQAQDVGDVDLADRFDMSGPTHMMKEKIIHWHLHAASSLLKVGLGKIMNSHEEQMEKLFERLSPWTPELYVHESDPICQGYIDYFEQRQLVGLGKIMNSHEEHMEKLFERLSPWTPELYVHESDPICQGYIDYFEQRQLERFRGIGNSAMKLSYRDMFFSVLGKNKERPHLLPSALLWKNSRVDDDVEDHKKLSKCKMLQEQLHQYKKLAFNAHSLEHWWKPDNELSLTKTQYMYSYPSA >ORUFI07G13800.1 pep chromosome:OR_W1943:7:14174644:14180970:1 gene:ORUFI07G13800 transcript:ORUFI07G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQVAASRLHAASRLPLLLHSSPVNVNVILSCCFFHFQFHPFSLVHAFVRATPNTQQGRGAIDMVRAPAGADPPPFLQTRMDMAASSSSSSLSQSHSQSQTQAHKVEEEEHAADPPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYFMDEEKRDDNSKMEKKVAECEQSPASVESKTERQQENELHEKRMDDSQECMSEEGGISEGLSCAKSHVISSSDSAYSKEKNPRAEDEGHKDLDLQKNNTTKKDKPAAEPIVVPIVLRMSDFDHKALLEEWTATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQCIERGLLAACSESPKQGGS >ORUFI07G13800.2 pep chromosome:OR_W1943:7:14174650:14180966:1 gene:ORUFI07G13800 transcript:ORUFI07G13800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPAGADPPPFLQTRMDMAASSSSSSLSQSHSQSQTQAHKVEEEEHAADPPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYFMDEEKRDDNSKMEKKVAECEQSPASVESKTERQQENELHEKRMDDSQECMSEEGGISEGLSCAKSHVISSSDSAYSKEKNPRAEDEGHKDLDLQKNNTTKKDKPAAEPIVVPIVLRMSDFDHKALLEEWTATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQYQQTRSAHQGRPK >ORUFI07G13800.3 pep chromosome:OR_W1943:7:14174644:14180966:1 gene:ORUFI07G13800 transcript:ORUFI07G13800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQVAASRLHAASRLPLLLHSSPVNVNVILSCCFFHFQFHPFSLVHAFVRATPNTQQGRGAIDMVRAPAGADPPPFLQTRMDMAASSSSSSLSQSHSQSQTQAHKVEEEEHAADPPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYFMDEEKRDDNSKMEKKVAECEQSPASVESKTERQQENELHEKRMDDSQECMSEEGGISEGLSCAKSHVISSSDSAYSKEKNPRAEDEGHKDLDLQKNNTTKKDKPAAEPIVVPIVLRMSDFDHKALLEEWTATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQKYQQTRSAHQGRPK >ORUFI07G13800.4 pep chromosome:OR_W1943:7:14174644:14180275:1 gene:ORUFI07G13800 transcript:ORUFI07G13800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQVAASRLHAASRLPLLLHSSPVNVNVILSCCFFHFQFHPFSLVHAFVRATPNTQQGRGAIDMVRAPAGADPPPFLQTRMDMAASSSSSSLSQSHSQSQTQAHKVEEEEHAADPPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYFMDEEKRDDNSKMEKKVAECEQSPASVESKTERQQENELHEKRMDDSQECMSEEGGISEGLSCAKSHVISSSDSAYSKEKNPRAEDEGHKDLDLQKNNTTKKDKPAAEPIVVPIVLRMSDFDHKALLEEWTATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQCIERGLLAACSESPKQGGS >ORUFI07G13810.1 pep chromosome:OR_W1943:7:14184318:14185402:-1 gene:ORUFI07G13810 transcript:ORUFI07G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLAWVKSNLIKERPEMFLKGDSVYFLRFTTRPGVLVLINDCDWELCGGLDAELEEKDVVVFISTLHGG >ORUFI07G13820.1 pep chromosome:OR_W1943:7:14187668:14187997:1 gene:ORUFI07G13820 transcript:ORUFI07G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVRSTPAPPPPQQYRARLWLVLLLHRHPQGPEHHRALSVVSGGGEVKGSTRNKLPLKLPSLPSFQSSPSFIVGCVPYKPRQLVRGGGWSEFGGGCATETVGAIRSSA >ORUFI07G13830.1 pep chromosome:OR_W1943:7:14188082:14190512:1 gene:ORUFI07G13830 transcript:ORUFI07G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGATVGSGTKRIEMENMEVFKETVELIHPQPLGCRPHRRSFRERPTPCSLSLLHMRSRSLCEDLTYKTRLTNDETTQKPWSMEEQVWLESERKPWSSTLLPPYLHTREVAHLGHDETWLL >ORUFI07G13840.1 pep chromosome:OR_W1943:7:14191049:14193989:-1 gene:ORUFI07G13840 transcript:ORUFI07G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPNLVFPVIATAWCLLLLQAGSSSPPPQTAPAPALPPSNPCIARERDALLDLKAGLQDPSNYLASWQGDNCCDEWEGVVCSKRNGHVATLTLEYAGIGGKISPSLLALRHLKSMSLAGNDFGGEPIPEFFGELKNMQHLTLSDANFSGLVPPHLGNLSRLIDLDLTSYEGPGLYSTNLAWLSRLANLQHLYLDGVNLSTAFDWAHSLNMLPSLQHLSLGQCGLRNAIPPPLHMNLTSLEVIDLSGNPFNSPVAVEKLFWPFWDFPRLETIYLESCGLQGILPEYMGNSTSLVNLGLNFNDLTGLPTTFKRLSNLKLLYLAQNNISGDIEKLLDKLPDNGLYVLELYGNNLEGSLPAQKGRLGSLYNLRISDNKISGDIPLWIGELTNLTSLELDSNNFHGVITQFHLANLASLKILGLSHNTLAIVADHNWVPPFKLMIAGLKSCGLGPKFPGWLRSQDTITMMDISNTSIADSIPDWFWTTFSNTRYFVLSGNQISGVLPAMMNEKMVAEVMDFSNNLLEGQLQKVPENLTYLDLSKNNLSGPLPLDFGAPFLESLILFENSLSGKIPQSFCQLKYLEFVDLSANLLQGPFPNCLNISQAGNTSRADLLGVHQNIIMLNLNDNNLSGMFPLFLQKCQNLIFLDLAFNRFSGSLPAWIDELSALALFTLTKMKELQYLDLAYNSFSGAIPWSLVNLTAMSHRPADNDSLSYIVYYGWSLSTSNVRVIMLANLGPYNFEESGPDFSHITSATNESLLVVTKGQQLEFRSGIIYMVNIDLSCNNLTGHIPEDISMLTALKNLNLSWNHLSGVIPTNIGALQSIESLDLSHNELSGQIPTSLSAPASLSHLNLSYNNLSGQIPYGNQLRTLDDQASIYIGNPGLCGPPLSRNCSESSKLLPDAVDEDKSLSDGVFLYLGMGIGWVVGLWVVLCTFLFMQRWRIICFLVSDRLYDRIRASFTKQSGRN >ORUFI07G13850.1 pep chromosome:OR_W1943:7:14198872:14199777:-1 gene:ORUFI07G13850 transcript:ORUFI07G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MK >ORUFI07G13860.1 pep chromosome:OR_W1943:7:14256947:14258590:-1 gene:ORUFI07G13860 transcript:ORUFI07G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFCAAACRLSVSPPNAAPSAPGRARALARRGLVVAVRAEAGVGGINPSIRKEEEKVVDTVLAGELSKPLTPYCRCWRSGTFPLCDGSHVKHNKATGDNVGPLLVKK >ORUFI07G13870.1 pep chromosome:OR_W1943:7:14270856:14271561:1 gene:ORUFI07G13870 transcript:ORUFI07G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQDGLGLGDAICFSCFAAWMMMMKAETIERRKRRTWPPDPLPRRPFITSACIFIVASVGGGGERDGDAQCWSLRIKKTRAMGETEIKGSIVVTGLDINYPTIWILSDDSLKNGGKIILTI >ORUFI07G13880.1 pep chromosome:OR_W1943:7:14275647:14278561:1 gene:ORUFI07G13880 transcript:ORUFI07G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEAKKDNASNPPGGGGGGGGGEEEEDSSLAVGEAAVGVGEAGGGGGGGEKADREEEEGKEDVEEGGVCKDLVLVEDAVPVEDPEEAAATAALQEEMKALVESVPVGAGAAFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPIWKSVTGASSEGAQKYPTLMGLATLCLDFGKNPEPEPGRCRRTDGKKWRCWRNAIANEKYCERHMHRGRKRPVQLVVEDDEPDSTSGSKPASGKATEGGKKTDDKSSSSKKLAVAAPAAVEST >ORUFI07G13890.1 pep chromosome:OR_W1943:7:14279256:14283811:-1 gene:ORUFI07G13890 transcript:ORUFI07G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSGATNGCGAGEYIRIPEDVEAGLGKEAGKGEGEGECPAVLRWRAIRWWAQVAALGILLAGAAAAAVVFLGPLVIKKVIAPVIEWESRTFSRPVIALICFGAIAFFPSVLLPSSPFMWMAGMSFGYFYGFLIITAAMSIGMSLPFFIGSAFHSKIHRWLEKWPKKAAFVRLAGEGDWFHQFRAVALLRISPFPYLVFNYASVATNVKYGPYIAGSMAGTVHETFLAIYSGKLLQSLAVATTQGSFLSVDQIIYNGLGFSVAAVSTAAITIYAKKALQKLQADDELESAATTINFINVLNRGLWCNVKEVNRYEVRNDYNILGF >ORUFI07G13890.2 pep chromosome:OR_W1943:7:14279462:14283811:-1 gene:ORUFI07G13890 transcript:ORUFI07G13890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSGATNGCGAGEYIRIPEDVEAGLGKEAGKGEGEGECPAVLRWRAIRWWAQVAALGILLAGAAAAAVVFLGPLVIKKVIAPVIEWESRTFSRPVIALICFGAIAFFPSVLLPSSPFMWMAGMSFGYFYGFLIITAAMSIGMSLPFFIGSAFHSKIHRWLEKWPKKAAFVRLAGEGDWFHQFRAVALLRISPFPYLVFNYASVATNVKYGPYIAGSMAGTVHETFLAIYSGKLLQSLAVATTQGSFLSVDQIIYNGLGFSVAAVSTAAITIYAKKALQKLQADDELESAATTINFINVLNRGLWCNTVDSKIYTTIIKGISSIQNQVTGYD >ORUFI07G13890.3 pep chromosome:OR_W1943:7:14281135:14283811:-1 gene:ORUFI07G13890 transcript:ORUFI07G13890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSGATNGCGAGEYIRIPEDVEAGLGKEAGKGEGEGECPAVLRWRAIRWWAQVAALGILLAGAAAAAVVFLGPLVIKKVIAPVIEWESRTFSRPVIALICFGAIAFFPSVLLPSSPFMWMAGMSFGYFYGFLIITAAMSIGMSLPFFIGSAFHSKIHRWLEKWPKKAAFVRLAGEGDWFHQFRAVALLRISPFPYLVFNYASVATNVKYGPYIAGSMAGTVHETFLAIYSGKLLQSLAVATTQGSFLSVDQIIYNGLGFSVAAVSTAAITIYAKKALQKLQADDELC >ORUFI07G13900.1 pep chromosome:OR_W1943:7:14302689:14309515:1 gene:ORUFI07G13900 transcript:ORUFI07G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCRRVNPFRACSPLRGLGYLMLAFVAAIVAVSYYAVVVYTWGPLLLGGGAAAGAAAVLVAFHLLQFEFQETPYHEYTAVQYHCASQNLDTNPGTKQAPNQVGAGLQLAMIIWCYLMVVFTDPGAVPENWRHASEEDGIGVNSRTISYNWDATYPNPEGQSAQKYCSRCQNGKPPRCHHCSVYTFVETVLDTLVLLPYFIEFFRDESRRSSSPGDIAILFVTFVLNLAFALSLLCFIGMHASLVTSNTTSIEVHERRNSVSWKYDLGWRKNLEQVFGTKKLLWFLPLYSAEDLHNIGALHGLEFPTRSDAVV >ORUFI07G13900.2 pep chromosome:OR_W1943:7:14302689:14309515:1 gene:ORUFI07G13900 transcript:ORUFI07G13900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCRRVNPFRACSPLRGLGYLMLAFVAAIVAVSYYAVVVYTWGPLLLGGGAAAGAAAVLVAFHLLLAMIIWCYLMVVFTDPGAVPENWRHASEEDGIGVNSRTISYNWDATYPNPEGQSAQKYCSRCQNGKPPRCHHCSVYTFVETVLDTLVLLPYFIEFFRDESRRSSSPGDIAILFVTFGILCLRSILTRLKIVQTFIAVLNLAFALSLLCFIGMHASLVTSNTTSIEVHERRNSVSWKYDLGWRKNLEQVFGTKKLLWFLPLYSAEDLHNIGALHGLEFPTRSDAVV >ORUFI07G13900.3 pep chromosome:OR_W1943:7:14302689:14309515:1 gene:ORUFI07G13900 transcript:ORUFI07G13900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCRRVNPFRACSPLRGLGYLMLAFVAAIVAVSYYAVVVYTWGPLLLGGGAAAGAAAVLVAFHLLLAMIIWCYLMVVFTDPGAVPENWRHASEEDGIGVNSRTISYNWDATYPNPEGQSAQKYCSRCQNGKPPRCHHCSVYTFVETVLDTLVLLPYFIEFFRDESRRSSSPGDIAILFVTFVLNLAFALSLLCFIGMHASLVTSNTTSIEVHERRNSVSWKYDLGWRKNLEQVFGTKKLLWFLPLYSAEDLHNIGALHGLEFPTRSDAVV >ORUFI07G13910.1 pep chromosome:OR_W1943:7:14312479:14321827:1 gene:ORUFI07G13910 transcript:ORUFI07G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHDPKDDVDRLFACFKCGVSPPQSAFRERPRRAGKRSRVASTADGGGGGGGGSSSSSTPTPDAAEKHEAPSSAVIKFTGRKQMSPVVFYGSPQGVPVKKPLSLLRLLREIRIDLKKQTDLVPSAGVWATFPRQEEAIRFCKAHAYTNVFSYQDHLSGQRRFLVSTYDEFWKRYNNMDPQIRHHYEVIQDGSPCHIYFDLEFDPRLNKMRDADEMVDILVAATFSALHDKYSIEGQEEWIIELDSSTEEKFSRHLIIRIPKTAFKDNSHVGAFISEICSRIASQRAANPNFDKLYITKDSSCTGHADHLFMDIAVYSRNRCFRLAFSSKSGKKSFLVATERFKHKNMSDKELFMESLICRLDDDCHKLLTCKLDLDCKKTLHFDSEASMIRIQGRNSKDSIGTYRNDFPVSNTYGISPFPALDVFIESIASFGNVSVCQGVDTVSILEENIKAIMRAAYYQKCYDPDCQGYRSPLRPVPWDVIPELSSISDSAQREYQGEVVEINIEGRNRNDEYLCNGTKSVTESGEDDPSWWEEAVKFADSIENTDHGPDTRDQEDKDCDDADWWMDAERIMVQIEEQIGGKPKHS >ORUFI07G13920.1 pep chromosome:OR_W1943:7:14326085:14326783:1 gene:ORUFI07G13920 transcript:ORUFI07G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGELVLLDFWASPFGQRCRIALAEKKLPYDYSEQELLGAKSDLLLRSNPIHAKVPVLLHGDGDGRAVCESLAILEYLDDAFPDATPRLLPSAADDPYARARARFWADYVDKKVYPVGTRLWKVKGEEGVRAAAGARGELVEALRTLDGELGEKEFFGGEFGFVDVALVPMMPWVYSFARYGGFSVEEECPRVAAWARRCMERDSVAGSLRSPEEIYDFIGLLRKHYGIDD >ORUFI07G13930.1 pep chromosome:OR_W1943:7:14336980:14337231:-1 gene:ORUFI07G13930 transcript:ORUFI07G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKDRRSEEVPGQHRAEEAEVEELGGRGGAARRAGAHSVVVAPSKTKTAGGGEEAMARGWCSRRRCGRAWRWLGVAAERGKR >ORUFI07G13940.1 pep chromosome:OR_W1943:7:14349925:14353073:1 gene:ORUFI07G13940 transcript:ORUFI07G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGHLAQLSALTTTVITCLILITPTPAAASGASCVASERDALAAFRASLLDPAGRLATWSGHSCCRWRGVHCDGSTGHVVKLDLRNDLTVHSDTDWILFYEVRVDIDSSWVHSALALRNTGEMISSLAALHHLRYLDLSWNNFNDSSIPLFMADLKNLRGCKLESAISTMSHFNLTRLEVLDLSVNKFNASIQQKWLWDHKGIKELYLTEGHWFGSIPDAFGNMSALQVMDLGHNNLMGTIPTTLQHLCDLQVVSLYDNYIDGDATEFMERLPRCSWNKLREMDLHSTNLSGELPVWIGKLSSLDFVDLSHNTLTGELPVGFGALRNMIYLNLGWNNFTGQISEEHFSSLLNLKYLYLSGNSFKQMVFEEDWIPPFRLKVAHLRSCRLGPKFPSWLKWQTEIRVLDVSGTCISDSLPVWFKTVFSQAYSLNLSDNQLCGTLPRTPEDMLAMVMDLGSNNLTGQVPRFPVNITYFDLSNNSLSGPLPSDLGAPRLEELRLYSNYITGTIPAYFCQLRRLVSLYLSSNHLTGEFPQCSDNYKALPPDDLDPFFSPYFGAAYLSNNSLTGPFPQFLENTTYLRFLDLSHNIFSGKLPTWIAKRIPYSRFLRLQSNMFSGHIPEELTNSFGLHYLDLANNNISGIIPQSLAGMKAMRRTTPDGNRGDVYEGSISSFTKSQELHYTFSNYNLVVLLDLSGNSLTGQIPEEISLLLGLKSLNLSGNHLGGKIPNTIGDLKGLESLDLSRNRLSGEIPSSLSELTSFSWLNLSYNNLSGRIPSGHQLQTLNDQEYIYIGNPGLCGPPLRKNCAMRGRHDEVDDVSDDLAVLYLGMSIGLCDNIFVQVACKLGNKSSVTGPKNNT >ORUFI07G13950.1 pep chromosome:OR_W1943:7:14356007:14356334:1 gene:ORUFI07G13950 transcript:ORUFI07G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAALRQVDLALANEGGDDNGSKLREGRSGGARLETSGSPSAGLSDDDNDDDNGTNG >ORUFI07G13960.1 pep chromosome:OR_W1943:7:14370395:14372822:1 gene:ORUFI07G13960 transcript:ORUFI07G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTCRLAAAPLVLAPLPRRPTTVAFAVAATGIKYGLRASRGVAIRAADGTGSETEVPEVVKAAQDAWAKVEDKYAVTAIGVAALVGLWTAIGAMASGHCHRRHQDMMLNFIFLAIDRLPLLPGVLELVGIGYTGWFTYRNLIFQPDREALVSKIKSTYNEITGSSS >ORUFI07G13960.2 pep chromosome:OR_W1943:7:14370395:14372822:1 gene:ORUFI07G13960 transcript:ORUFI07G13960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTCRLAAAPLVLAPLPRRPTTVAFAVAATGIKYGLRASRGVAIRAADGTGSETEVPEVVKAAQDAWAKVEDKYAVTAIGVAALAIDRLPLLPGVLELVGIGYTGWFTYRNLIFQPDREALVSKIKSTYNEITGSSS >ORUFI07G13970.1 pep chromosome:OR_W1943:7:14376067:14376276:1 gene:ORUFI07G13970 transcript:ORUFI07G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAIVAELLEEYTAAVARAMELLLSRAPPRIFPRRVRFLVLRSLPFASPPPSPLSPPPPFTVAAGTR >ORUFI07G13980.1 pep chromosome:OR_W1943:7:14417032:14417612:-1 gene:ORUFI07G13980 transcript:ORUFI07G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWATGHWDWEEKGGKTQGGRAPTPLPPCAATAEIGGKNRGGGFLRQRRSLYPTPLPFFFNLDHLVGLKLKVKWEPGYPFTRQND >ORUFI07G13990.1 pep chromosome:OR_W1943:7:14429399:14429728:1 gene:ORUFI07G13990 transcript:ORUFI07G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVAAYLMATIGGNASPTKDDVRAILGAVGADIDEDKLGYLFDQVAGKDLAEILAAGSEMLAFGAAPAAAAATAGGAAAAGEKEEEEKVEEKEKEGEDDIVFSLFDDE >ORUFI07G14000.1 pep chromosome:OR_W1943:7:14453569:14455488:1 gene:ORUFI07G14000 transcript:ORUFI07G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVNLQRQLSIEIESPSNRDGIAATEAQPNQQLVPETVLPKKLANVESTLKSLHASYESELQSTSKENDATTQSTSTSLGTTSSHFSAASQFHEYMKSKNAASLPKSDLKRYLDDPVEDIPAKSFNLLQWWRMNELKYPIVAKLAKDILTIPITSVSSESAFSTGGRVISVYRSSLLPSTVQALVCTSSWIRGGHHKSTDLVSSYIFMFLTN >ORUFI07G14010.1 pep chromosome:OR_W1943:7:14473565:14478990:1 gene:ORUFI07G14010 transcript:ORUFI07G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAAGGLLHLGASRVPSRRPSAGAAPRLRGASCARGRQPRRRAPPPLAVAAAEEAYTGAETELLDALAGVQGRGRGEVESAVQALEALGGLPDPTNSSLIEGSWQLIFTTRPGSASPIQRTFVGVDSFKIFQEVYLRTDDPRVINVVKFSESIGELKVEAEATIEDGKRILFRFDQAAFNFKFLPFKVPYPVPFKLLGDEAKGWLDTTYLSQTGNIRISRGNKGTTFVLQKSADQRQLLLSAISAGTGVKEAIDDLTSSRQGIEADLNTLAGEWQLLWSSKTEDESWSFVASAGLKGVQIIKEDGQLKNLVRPFPGVSLNASGNICKNEDGNNFNLSINKGAIQAGGLQFPLDARGEFAMEILYIDNKIRISNINQHKLVHVRIANRT >ORUFI07G14020.1 pep chromosome:OR_W1943:7:14479611:14483453:-1 gene:ORUFI07G14020 transcript:ORUFI07G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHVKSALLSGHRGPHHLAASAAAAASFHSTPPLQRKRKTQWHHRFSYYEKRRRNRESKRTMLRNMSEYAEYLFQSWRDEDDKNDESSGPSWFRGHRWVRNPKNNGFRPHDFYFGNFRSRGGFEFCTSDEDEPETRNHRRSHSESSRRWSYETDDEDETPAQTEVSLARQALGLSTSGPLKLEDVKSAYRTCALRWHPDRHNGSTKATAEEKFKHCSAAYQTLCDSLASA >ORUFI07G14030.1 pep chromosome:OR_W1943:7:14486773:14491652:1 gene:ORUFI07G14030 transcript:ORUFI07G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRRVLLQLVLLAGVAFRGVRFDDAADAAAAAQGSSDLFELPSPSPTLALPGGGDEGASTEIIAAPWPGRHGLFTPPRSTSQPARAVVQPAADFGSQLQFYDNGTIQLVDLLSKLPRWQFSTGPPLSKHITTSKPDLNYVIYLDGSETSDLIEVHNGSGVRLPWKLEEFIAETPYIRDSFVTIGSKVSTTFVVNADSGEIIYKHSLPVALNEVGGPLVEEIPSKLDAARSGTSANIIVVVRTDYSISASDLGEHLFNWTRTSFTANYYARYGHQDMLAQSSCLRGNIPCIRTEGPPIKLYLPDSSSDNAIVLRPVNEVSAVDALEPLLPPKKLPQPAGESNVALDSAQNQTADIALGHFVPADTELTNSVTKFSYRWLFPTFLMLLIMACLVKLADASKYCRQFVIRFLKPFMRDEKLMDPRGKSEGTSKRRKARKKDGLINSTQIFSASDKEGNGTGGSTEAQSNKAHDSTNVELPNGLNGRQIGKLCVYSKEIGKGSNGTVVFEGSYGGREVAVKRLLRSHNDIASKEIENLIASDQDPNIVRMYGFEQDNDFVYISLERCRCSLADLIQLHSVPPFSNTKGTDIELWRQDGLPSAQLLKLMRDVVAGIVHLHSLGIIHRDLKPQNVLISKEGPLRAKLSDMGISKRLQEDMTSVSHHGTGFGSSGWQAPEQLRHGRQTRAIDLFSLGCLIFYCITKGKHPFGEYYERDMKIINNQFDLFIVDHIPEAVHLISQLLDPDPEKRPTAVYVMHHPFFWSPELCLSFLRDTSDRIEKTSETDLIDALEGINVEAFGKNWGEKLDAALLADMGRYRKYSFESTRDLLRLIRNKSGHYREFSDDLKELLGSLPEGFVQYFSSRFPKLLIKVYEVMSEHCKDEEAFSKYFLGSSA >ORUFI07G14040.1 pep chromosome:OR_W1943:7:14498035:14501076:1 gene:ORUFI07G14040 transcript:ORUFI07G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLRWAAELGVSDSPSAPSPSSCLGRSLLIADFPDAGGRGLAAARDLRRGELVLRAPRAALLTSDRVMDDDHRIASSVASHLPRLSSVQTLIICLLSEVGKGNSSNWYLYLSQLPSYYTILATFNDFETEALQVDEAIWVAQKALRGIRSDWEEATPLMKGLGFKPKLLMFKSWIWAFATVSSRTLHIAWDDAGCLCPIGDLFNYAAPNDDNSSTDEDRDDMMHQETNKMLDQTDFDSSEKLTDGGYEDVNEYRLYARKRYRKGEQVLLAYGTYTNLELLEHYGFLLGENPNEKIYIPLDLDLCMIGSWPRDSLYILPNGHPSFALLCALRLWTTPRNRRKALSHQIYSGSLLSVESELEILKWLVKKCKETLQQLPTTIEFDDNLLVLLCKLQNSTSCITEMNRSIFEQEFAPFFRFHGFKLDCSIHSKLPVRLLRSLERWGLAVQWRCNYKRTLTKSS >ORUFI07G14040.2 pep chromosome:OR_W1943:7:14498035:14501281:1 gene:ORUFI07G14040 transcript:ORUFI07G14040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLRWAAELGVSDSPSAPSPSSCLGRSLLIADFPDAGGRGLAAARDLRRGELVLRAPRAALLTSDRVMDDDHRIASSVASHLPRLSSVQTLIICLLSEVGKGNSSNWYLYLSQLPSYYTILATFNDFETEALQVDEAIWVAQKALRGIRSDWEEATPLMKGLGFKPKLLMFKSWIWAFATVSSRTLHIAWDDAGCLCPIGDLFNYAAPNDDNSSTDEDRDDMMHQETNKMLDQTDFDSSEKLTDGGYEDVNEYRLYARKRYRKGEQVLLAYGTYTNLELLEHYGFLLGENPNEKIYIPLDLDLCMIGSWPRDSLYILPNGHPSFALLCALRLWTTPRNRRKALSHQIYSGSLLSVESELEILKWLVKKCKETLQQLPTTIEFDDNLLVLLCKLQNSTSCITEMNRSIFEQEFAPFFRFHGFKLDCSIHSKLPVRLLRSLERWGLAVQWRCNYKRTLTKCIVHCKNLVHELSLQQNQQ >ORUFI07G14050.1 pep chromosome:OR_W1943:7:14504523:14511047:1 gene:ORUFI07G14050 transcript:ORUFI07G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGGQHQRQQQQQQQQPGGYGRGGGGGGGRGRGRDGGPYSGGRGRGQDGSYPAGRGGGYGGGGGGGGPPYYGGGGGGGGGGGGQGRGYYDDGGDGRGYQRGMEGGGGRGGYRGDGDGGYGRGGGGYQGDGERGYGRGRVGGGGGGGGYRGDDEGSSYGRARGGGGGGYHGDGEAGYGRGRGGRDYDGGRGGGGRRGGRGGGGSSYHQQPPPDLPQAPEPRLAAQYAREIDIAALRAQFKELTTTTPGAASSQFPARPGFGAAGEECLVKANHFFVGLKNDNFHHYDVAIAPDPVLKGLFRTIISKLVTERRHTDFGGRLPAYDGRANLYTAGELPFRSRELEVELSGSRKFKVAIRHVAPVSLQDLWMVMAGCPAGIPSQALQLLDIVLRDMVLAERNDMGYVAFGRSYFSPGLGSRELDKGIFAWKGFYQSCRVTQQGLSLNIGKAIGRRITNAITAGYFLNNYGNELMRTLKGVKVEVTHRGNLRKKYRIAGFTEQSADVQTFSSSDGIKTVKEYFNKKYNLKLAFGYLPCLQVGSKERPNYLPMELCNIVPGQRYKNRLSPTQVSNLINITNDRPCDRESSIRQTVSSNQYNSTERADEFGIEVDSYPTTLKARVLKAPMFFRTSVLTFKVVNGATIKSWACVNLCEGLDNRVVEAFCLQLVRTSRITGLDFANVSLPILKADPHNVKTDLPMRCQEACSWSRDNKIDLLLVVMTDDKNNASLYGDVKRICETEIGVLSQCCRAKQVYKERNVQYCANVAIKINAKAGGRNSVFLNVEASLPVVSKSPTIIFGADVTHPGSFDESTPSIASVVASADWPEVTKYNSVVRMQASRKEIIQDLDSIVRELLNAFKRDSKMEPKQLIFYRDGVSEGQFQQVVESEIPEIEKAWKSLYAGKPRITFIVVQKRHHTRLFPNNYNDPRGMDGTGNVRPGTVVDRVICHPREFDFFLCSHAGIKGTSRPSHYHVLRDDNNFTADQLQSVTNNLCYIYTSCTRSVSIPPPVYYAHKLAFRARFYLTQVPVAGGDPGAAKFQWVLPEIKEEVKKSMFFC >ORUFI07G14050.2 pep chromosome:OR_W1943:7:14504523:14511068:1 gene:ORUFI07G14050 transcript:ORUFI07G14050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGGQHQRQQQQQQQQPGGYGRGGGGGGGRGRGRDGGPYSGGRGRGQDGSYPAGRGGGYGGGGGGGGPPYYGGGGGGGGGGGGQGRGYYDDGGDGRGYQRGMEGGGGRGGYRGDGDGGYGRGGGGYQGDGERGYGRGRVGGGGGGGGYRGDDEGSSYGRARGGGGGGYHGDGEAGYGRGRGGRDYDGGRGGGGRRGGRGGGGSSYHQQPPPDLPQAPEPRLAAQYAREIDIAALRAQFKELTTTTPGAASSQFPARPGFGAAGEECLVKANHFFVGLKNDNFHHYDVAIAPDPVLKGLFRTIISKLVTERRHTDFGGRLPAYDGRANLYTAGELPFRSRELEVELSGSRKFKVAIRHVAPVSLQDLWMVMAGCPAGIPSQALQLLDIVLRDMVLAERNDMGYVAFGRSYFSPGLGSRELDKGIFAWKGFYQSCRVTQQGLSLNIGKAIGRRITNAITAGYFLNNYGNELMRTLKGVKVEVTHRGNLRKKYRIAGFTEQSADVQTFSSSDGIKTVKEYFNKKYNLKLAFGYLPCLQVGSKERPNYLPMELCNIVPGQRYKNRLSPTQVSNLINITNDRPCDRESSIRQTVSSNQYNSTERADEFGIEVDSYPTTLKARVLKAPMFFRTSVLTFKVVNGATIKSWACVNLCEGLDNRVVEAFCLQLVRTSRITGLDFANVSLPILKADPHNVKTDLPMRCQEACSWSRDNKIDLLLVVMTDDKNNASLYGDVKRICETEIGVLSQCCRAKQVYKERNVQYCANVAIKINAKAGGRNSVFLNVEASLPVVSKSPTIIFGADVTHPGSFDESTPSIASVVASADWPEVTKYNSVVRMQASRKEIIQDLDSIVRELLNAFKRDSKMEPKQLIFYRDGVSEGQFQQVVESEIPEIEKAWKSLYAGKPRITFIVVQKRHHTRLFPNNYNDPRGMDGTGNVRPGTVVDRVICHPREFDFFLCSHAGIKGTSRPSHYHVLRDDNNFTADQLQSVTNNLCYIYTSCTRSVSIPPPVYYAHKLAFRARFYLTQVPVAGGDPGAAKFQWVLPEIKEEVKKSMFFC >ORUFI07G14060.1 pep chromosome:OR_W1943:7:14538719:14545689:1 gene:ORUFI07G14060 transcript:ORUFI07G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCMAQEGSEASVASSPPAPPPPSSSSSSAAAAASWWRDMHHPYGAASAPSWLPPPSAAAPRWPPTMAAAHQHHHHRTSSSGAEDDLSASNATITSFTNTSTTNHSGLSMDSSGEAAAAAAAAAAESHLIWNQVLMGAAGGEVGRSMPAVHDAHDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYGGGGGGGGGGWPEHQFTAAAALEKHLSSAAAAGYGGALAHHHHAAGAPERLTANLSDLVSNWSIAPPNHGHHVGGAAACDNPAVAAAMAAAHGGGNVKQSGSSFLDSGGGGGGAMLQQESSSSTGTGGGGQDFLRPMGLAAGSSSYSSMLGLSSRMYGGGGTATMDVPWGSSNAGAARSLSDLISFGGGAMDKPPPPPPSSAPARTSSADYKKQQGQQEISSPVKTSSSGGGGKEGKKKRSEEAAGSEGSTKKSKHEATSPTSSLKSQVPKVKLGDKITALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKSSSSKDYNAWGGLDRKEKADAEVDLRSRGLCLVPVSCTPQVYRDNNGPDYWTPPYRSCLYR >ORUFI07G14060.2 pep chromosome:OR_W1943:7:14540348:14545689:1 gene:ORUFI07G14060 transcript:ORUFI07G14060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAAGGEVGRSMPAVHDAHDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYGGGGGGGGGGWPEHQFTAAAALEKHLSSAAAAGYGGALAHHHHAAGAPERLTANLSDLVSNWSIAPPNHGHHVGGAAACDNPAVAAAMAAAHGGGNVKQSGSSFLDSGGGGGGAMLQQESSSSTGTGGGGQDFLRPMGLAAGSSSYSSMLGLSSRMYGGGGTATMDVPWGSSNAGAARSLSDLISFGGGAMDKPPPPPPSSAPARTSSADYKKQQGQQEISSPVKTSSSGGGGKEGKKKRSEEAAGSEGSTKKSKHEATSPTSSLKSQVPKVKLGDKITALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKSSSSKDYNAWGGLDRKEKADAEVDLRSRGLCLVPVSCTPQVYRDNNGPDYWTPPYRSCLYR >ORUFI07G14060.3 pep chromosome:OR_W1943:7:14538719:14539983:1 gene:ORUFI07G14060 transcript:ORUFI07G14060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQCMAQEGSEASVASSPPAPPPPSSSSSSAAAAASWWRDMHHPYGAASAPSWLPPPSAAAPRWPPTMAAAHQHHHHRTSSSGAEDDLSASNATITSFTNTSTTNHSGLSMDSSGEAAAAAAAAAAESHLIWNQVLITNL >ORUFI07G14070.1 pep chromosome:OR_W1943:7:14538734:14539186:-1 gene:ORUFI07G14070 transcript:ORUFI07G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLSAAAAAAAAAASPEESMLRPEWLVVEVLVKEVMVALDADRSSSAPDDDVRWWWCWCAAAIVGGHRGAAADGGGSQLGADAAPYGWCMSRHQEAAAAAEEEEEEGGGGAGGELATEASEPSCAMHCMRVVVAGRRRRIEKEKWRREK >ORUFI07G14080.1 pep chromosome:OR_W1943:7:14548699:14553624:-1 gene:ORUFI07G14080 transcript:ORUFI07G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPTTAAAAAVAVSGELSISATQSRLLPSASRVHLPHLLPAPPALSSSRSHPSVPTPTGGGGAGEAADLDPSDEHLATMSPREQTALLSRQRHWRRARDLLDRMRALPGYAPSAIHYGVVLRHLARARRWGELRRVWARMAREGAPPPTNQAYAALADALAKAGHARDSLLLLRHMRARGVAPDEVSMSTFVRILKDVGRYSDAATLFDNWCNGRFEVGFIDLDYSALDSGGPMQFLLEEMCHGNVDDAGASGIQGVARIPKLAVTYNTMIDLYGKAGKLKDAMDMFMGMPDYGVAADTCTFNTLINIFGSCGNVKEAEALFASMIVRGTNPDVKTFNVMMTLFASKGDVEGVLKHYYHIGKMGLSADTVSYGIVLRVLCERKMVHEAEDVIVGIMRSGTCVPEQSLPVVMKMYIDQGLLDKANAFFDRHCRGDEVSSKTFAAIMDAFAERGLWEEAEHVFYSHRGVRRRGIVEYNVMVKAYGAAKRYDRVSPLLEHMNESGISPDECTFNSLIQMFATGGYPQRAKKLLGKMKYAGFKPKCETYAAAITTLSRNYLVSEAIYLYNEMKASGVEPNVVVYGVLIDTFAETGQLEEALHYNNLMEESGIAPNQIVLTSLIKAYSKANCWKEAQDLYSRMKNMDGGPDIVASNSMLNLYANLGMVTKVKEIFDCLRRNNQADDVSYTSMISLYKNMGLLNESVRVAHDLQNSGLLSDCASYNAVMACFVAKGKLRECAELVQQMQEGNILPDASTFGMIFSIMKKIQIAPEEVSQLQSAYNDNRSSSSQAVIAFLFLIAGMHAAALNICEKYMKPVLTIDQCACNVAFKVYASCGEVDKAFSLFMQMHELGLKPDTATYIHLTTCYGEYGMPGGKMKAIFLLISQLRNEMLIQFTQSPDMLTRNSECPWLHAKCKVLTAASWKLQVIQGDFLPEVVNIYDSTSSSYRKATKHPGEHDFGGADILECNAQLLELSQEELHNKISVPIWKLEYMNLNKDQHPGPGAYKGRNEEHKCCQY >ORUFI07G14080.2 pep chromosome:OR_W1943:7:14548699:14553624:-1 gene:ORUFI07G14080 transcript:ORUFI07G14080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPTTAAAAAVAVSGELSISATQSRLLPSASRVHLPHLLPAPPALSSSRSHPSVPTPTGGGGAGEAADLDPSDEHLATMSPREQTALLSRQRHWRRARDLLDRMRALPGYAPSAIHYGVVLRHLARARRWGELRRVWARMAREGAPPPTNQAYAALADALAKAGHARDSLLLLRHMRARGVAPDEVSMSTFVRILKDVGRYSDAATLFDNWCNGRFEVGFIDLDYSALDSGGPMQFLLEEMCHGNVDDAGASGIQGVARIPKLAVTYNTMIDLYGKAGKLKDAMDMFMGMPDYGVAADTCTFNTLINIFGSCGNVKEAEALFASMIVRGTNPDVKTFNVMMTLFASKGDVEGVLKHYYHIGKMGLSADTVSYGIVLRVLCERKMVHEAEDVIVGIMRSGTCVPEQSLPVVMKMYIDQGLLDKANAFFDRHCRGDEVSSKTFAAIMDAFAERGLWEEAEHVFYSHRGVRRRGIVEYNVMVKAYGAAKRYDRVSPLLEHMNESGISPDECTFNSLIQMFATGGYPQRAKKLLGKMKYAGFKPKCETYAAAITTLSRNYLVSEAIYLYNEMKASGVEPNVVVYGVLIDTFAETGQLEEALHYNNLMEESGIAPNQIVLTSLIKAYSKANCWKEAQDLYSRMKNMDGGPDIVASNSMLNLYANLGMVTKVKEIFDCLRRNNQADDVSYTSMISLYKNMGLLNESVRVAHDLQNSGLLSDCASYNAVMACFVAKGKLRECAELVQQMQEGNILPDASTFGMIFSIMKKIQIAPEEVSQLQSAYNDNRSSSSQAVIAFLFLIAGMHAAALNICEKYMKPVLTIDQCACNVAFKVYASCGEVDKAFSLFMQMHELGLKPDTATYIHLTTCYGEYGMPGGKMKAIFLLISQLRNEMLIQFTQSPDMLTRMPMAPCEMQSVDSCLMETASDPSTSSSYRKATKHPGEHDFGGADILECNAQLLELSQEELHNKISVPIWKLEYMNLNKDQHPGPGAYKGRNEEHKCCQY >ORUFI07G14090.1 pep chromosome:OR_W1943:7:14557269:14561987:1 gene:ORUFI07G14090 transcript:ORUFI07G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVAAARRGEPYIARLICRRARRQHGRSTGATVDLDGSLTRQACHHTVLEPGRPSEVWCCVPPHPLPHAATRLESLRSRTGVIVDRAILAEDKKHGGFKRLVITIGTPRSESPWLKKPMHGVSGSTAMASTPWSSMPPSSHSLAFTGFFRTEIFLAFSSASLESFCRERKGDFSCLTVAGICEASTSDAIGSGSWHSNAGSPCPISNSCAIYSSQWYGFQLGTARTQLLFKFFFPDVGWSPRNVGTCHSVRPGAQSQLVCSGCCNLLMYPAGATSICCAVCGTVTAVPAPEQKSSCNVHENKERLKGNTNGFRAPQRCARRVDGACTQVPNPLTLAMSLEKYTLKLLWSYLHCCV >ORUFI07G14090.2 pep chromosome:OR_W1943:7:14557269:14561987:1 gene:ORUFI07G14090 transcript:ORUFI07G14090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVAAARRGEPYIARLICRRARRQHGRSTGATVDLDGSLTRQACHHTVLEPGRPSEVWCCVPPHPLPHAATRLESLRSRTGVIVDRAILAEDKKHAPRSESPWLKKPMHGVSGSTAMASTPWSSMPPSSHSLAFTGFFRTEIFLAFSSASLESFCRERKGDFSCLTVAGICEASTSDAIGSGSWHSNAGSPCPISNSCAIYSSQWYGFQLGTARTQLLFKFFFPDVGWSPRNVGTCHSVRPGAQSQLVCSGCCNLLMYPAGATSICCAVCGTVTAVPAPEQKSSCNVHENKERLKGNTNGFRAPQRCARRVDGACTQVPNPLTLAMSLEKYTLKLLWSYLHCCV >ORUFI07G14090.3 pep chromosome:OR_W1943:7:14557269:14561406:1 gene:ORUFI07G14090 transcript:ORUFI07G14090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVAAARRGEPYIARLICRRARRQHGRSTGATVDLDGSLTRQACHHTVLEPGRPSEVWCCVPPHPLPHAATRLESLRLTRNASSQPLLLGDYFSLPSSPGSNRASSCHRSRMLLEEEILAARLRKSSILHRRRFLKFKNWCNS >ORUFI07G14090.4 pep chromosome:OR_W1943:7:14557269:14561406:1 gene:ORUFI07G14090 transcript:ORUFI07G14090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVAAARRGEPYIARLICRRARRQHGRSTGATVDLDGSLTRQACHHTVLEPGRPSEVWCCVPPHPLPHAATRLESLRSRTGVIVDRAILAEDKKHGGFKRLVITIGTPRSESPWLKKPMHGVSGSTAMASTPWSSMPPSSHSLAFTGFFRTEIFLAFSSASLESFCRERKGDFSCLTVAGICEASTSDAIGSGSWHSNAGSPCPISNSCAIYSSQWYGFQLGTARTQLLFKFFFPDVGWSPRNVGTCHSVRPGAQSQLVCSGCCNLLMYPAGATSICCAVCGTVTAVPAPEI >ORUFI07G14090.5 pep chromosome:OR_W1943:7:14557269:14561987:1 gene:ORUFI07G14090 transcript:ORUFI07G14090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELDATKQPFPGFLSCRERKGDFSCLTVAGICEASTSDAIGSGSWHSNAGSPCPISNSCAIYSSQWYGFQLGTARTQLLFKFFFPDVGWSPRNVGTCHSVRPGAFFWTRNLMN >ORUFI07G14100.1 pep chromosome:OR_W1943:7:14564468:14566686:-1 gene:ORUFI07G14100 transcript:ORUFI07G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLKTVGRFFRRYPSIFSSLVFLVILYKFFFGWFTFLLETSPIFLFAGFFLGIILAYGEPNIPENDHVYKKIEKAYNQNVRDNSKSAGGVTLPSIASSEERLAKHNNTEKVTNGRSHIGAFSSESGSGESDGSETDTHPMLHTFHHLRSATSSSRSSQDGDSNDSSIEDGNENQEGKDDNEHEEKNGKVVAWTADDQKNILNIGCLEIERNQRLENLIARRRARKYIDRNLIDFGSSDSLPKIEELSKFNVQIPAIFAPRKNPFDLPYNEDNFPESAPSAPLNMLSKFDLPFDEANESSSTGGANSNHVDSTTVFSQSQKDTMFRRHESFTPGAPFLSDFWQDTQPSRFRPYFVTEKMANEGISVPNLEGEASEKSSVEDSDSTSSVTDQENHKLVLEDSPNQNLGPQMSQMDEQPHRSQNAREVPLALDIEPPLLISDSSDDDISLPGGNINDREEAQENGNLNLSQNASLEDPSVIEYPHQMEMISNEFHQLSPHSNDNDSLSSSTEATEPPELNSIELPANEVEFINEIPIADPIYDISPSRSEKPTSIGSTIDAVLLQEGNTHTSDVEMSMDGEDSVSRIEASEIPAPSLASVQESKPREKETSEVKEQDNSGHDGGNQDSVSHANSKVPVISSKPSISGLSNRKFYDYDLYIHTLAIFYHILIGKTILSWVL >ORUFI07G14110.1 pep chromosome:OR_W1943:7:14573413:14577854:1 gene:ORUFI07G14110 transcript:ORUFI07G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYIKNRRGRGGKKALPPRSHPPEKVNQPNSSPPSPPPSSPRLASSSLPPSIPKPTSPPPNGATNHHHPISAAAGEVAGGTTRARTHARPPDLGKAGEDMSQASLSPSSSGDEEEEDEGVEGYRKGGYHAVRPGDQFAAGRYVAQRKLGWGNFSTVWLAFDVHTQKFVALKIQKSAPEFAQAAFHEIEFLSEITKRDPSNCKCIIQLIDHFKHAGPNGQHICLVFEFLGDSLLKLVQYNRYNGIGFGPVREICRSILIGLDYLHRELGIIHSDLKLENILLISTIDPSKDPIRSGLKPNLERPEGNPNGEVMLNPIEKKLKMRARRVLAKLAEKKKSAAEYARAERSLDGIDMTCKIVDFGNACWADKQFTDFIQTRQYRAPEVILGSGYSFPVDMWSFACIAFELATGEMLFTPKEGQGYSEDEDHLALMMEVLGKIPKKIATMGTKSKEYFDRHGDLKRIRRLKFSSIERVLVDKYKISESDAREFAEFLCPLFDFAPEKRPTAAQCLQHKWLQYSDGKNYGTLNISDVKNASVTCSPGTTASSDSKSIDVTRNIGDKPDSYAERADAKCNTSKKSIINSNSENSDVQPNTASVGNRNSKIDDVNSNTGSITNKDSKNTVIKPSIGSFANRNAETVDVKPNIGSITSRGDSSSDTKSNIGSVASKDAKTIDAKPSTSSITSQDSKIGDGRSNIDGIANRDAKTADVKHNIRSVVNTYLRNFDVKHNTGNIVDGGVKHSDLKPGTNSDAKSISAKTSTGSFDNSDARNIMKANTGIVANNDVKDTDVQTNTESVTSGDDLNDIDRKPNIGRVAASIQRLESSIGKVQSGKYR >ORUFI07G14110.2 pep chromosome:OR_W1943:7:14573413:14578270:1 gene:ORUFI07G14110 transcript:ORUFI07G14110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYIKNRRGRGGKKALPPRSHPPEKVNQPNSSPPSPPPSSPRLASSSLPPSIPKPTSPPPNGATNHHHPISAAAGEVAGGTTRARTHARPPDLGKAGEDMSQASLSPSSSGDEEEEDEGVEGYRKGGYHAVRPGDQFAAGRYVAQRKLGWGNFSTVWLAFDVHTQKFVALKIQKSAPEFAQAAFHEIEFLSEITKRDPSNCKCIIQLIDHFKHAGPNGQHICLVFEFLGDSLLKLVQYNRYNGIGFGPVREICRSILIGLDYLHRELGIIHSDLKLENILLISTIDPSKDPIRSGLKPNLERPEGNPNGEVMLNPIEKKLKMRARRVLAKLAEKKKSAAEYARAERSLDGIDMTCKIVDFGNACWADKQFTDFIQTRQYRAPEVILGSGYSFPVDMWSFACIAFELATGEMLFTPKEGQGYSEDEDHLALMMEVLGKIPKKIATMGTKSKEYFDRHGDLKRIRRLKFSSIERVLVDKYKISESDAREFAEFLCPLFDFAPEKRPTAAQCLQHKWLQYSDGKNYGTLNISDVKNASVTCSPGTTASSDSKSIDVTRNIGDKPDSYAERADAKCNTSKKSIINSNSENSDVQPNTASVGNRNSKIDDVNSNTGSITNKDSKNTVIKPSIGSFANRNAETVDVKPNIGSITSRGDSSSDTKSNIGSVASKDAKTIDAKPSTSSITSQDSKIGDGRSNIDGIANRDAKTADVKHNIRSVVNTYLRNFDVKHNTGNIVDGGVKHSDLKPGTVSSANVDSKSISAKPSTGSFENSDAKSISAKTSTGSFENSDAKSISAKTSTGSFDNSDARNIMKANTGIVANNDVKDTDVQTNTESVTSGDDLNDIDRKPNIGRVAASIQRLESSIGKVQSGKYR >ORUFI07G14120.1 pep chromosome:OR_W1943:7:14579843:14594579:1 gene:ORUFI07G14120 transcript:ORUFI07G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDENPTPPPPYQEAEAAVPVDEQPPPPVEEEQAEAARQESAAPVEQDAAAAEGGGGDRAAGGERSREELERVVMELGFQNDYLKSQIAAAEGGSGAAESELVKGLKEQVERLRKEVEEQKQTQKATEAALEHVNVAYAEADAKVQDLTAKLTQAQQKMDKELKERDEKYVELDTKFQRLHKRAKQRIQDIQKEKDDMEARFNEINQKAEQASSLQSAAQQELERARQQASEALRSMDAERQQLRTVNSKLRTNLDEARVALEARNNVLEKLRQSMFEKEQLLEQTQASLQSAEEKRNASIAELTAKHQKQLESLEAQLTEVSAERTKASETIQSLQMLLVEKDSEIAEIEAASTGEAARIRAAMEELKGELAHLKDQHEKERQNWETTCESLRTKLEASESACHISVIESTKVKSQLELELSKQNQLLQTKDSDLLAAKDEISRLESEFSAYKVRAHALLQKKDAELNTAKNSDLIKAHEEAIREAEKEISAALAERDKAIHDLQIAQSKYGEEIEARDLALADSDKKLKNVMAKLDSLTSKFLSEKESWEKNMASVEESWRLKCESVKAESNGHAGDELKKNLVELTVKHEKLKEEHDSFRDIADRMLEEKDREVAKLLRENKDLHNSLEAKAAVSINGNQNPGPAKQDAMDSELAEQQILLLARQQAQREEELAQSQRHILALQQEIEELERENRLHDQQEAMLKTELRNMERSQKREGIDMTYLKNVILKLLETANEMSAWRPFSCGFIASNCGTRRWIHAKFILLKIHILAEVHRFDILAGGSRRGCCLHEIPAVFLAIDDSICLLFLGRHFLSSHFVKLVYIKL >ORUFI07G14120.2 pep chromosome:OR_W1943:7:14579843:14594579:1 gene:ORUFI07G14120 transcript:ORUFI07G14120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDENPTPPPPYQEAEAAVPVDEQPPPPVEEEQAEAARQESAAPVEQDAAAAEGGGGDRAAGGERSREELERVVMELGFQNDYLKSQIAAAEGGSGAAESELVKGLKEQVERLRKEVEEQKQTQKATEAALEHVNVAYAEADAKVQDLTAKLTQAQQKMDKELKERDEKYVELDTKFQRLHKRAKQRIQDIQKEKDDMEARFNEINQKAEQASSLQSAAQQELERARQQASEALRSMDAERQQLRTVNSKLRTNLDEARVALEARNNVLEKLRQSMFEKEQLLEQTQASLQSAEEKRNASIAELTAKHQKQLESLEAQLTEVSAERTKASETIQSLQMLLVEKDSEIAEIEAASTGEAARIRAAMEELKGELAHLKDQHEKERQNWETTCESLRTKLEASESACHISVIESTKVKSQLELELSKQNQLLQTKDSDLLAAKDEISRLESEFSAYKVRAHALLQKKDAELNTAKNSDLIKAHEEAIREAEKEISAALAERDKAIHDLQIAQSKYGEEIEARDLALADSDKKLKNVMAKLDSLTSKFLSEKESWEKNMASVEESWRLKCESVKAESNGHAGDELKKNLVELTVKHEKLKEEHDSFRDIADRMLEEKDREVAKLLRENKDLHNSLEAKAAVRPAKQDAMDSELAEQQILLLARQQAQREEELAQSQRHILALQQEIEELERENRLHDQQEAMLKTELRNMERSQKREGIDMTYLKNVILKLLETANEMSAWRPFSCGFIASNCGTRRWIHAKFILLKIHILAEVHRFDILAGGSRRGCCLHEIPAVFLAIDDSICLLFLGRHFLSSHFVKLVYIKL >ORUFI07G14130.1 pep chromosome:OR_W1943:7:14605384:14605999:-1 gene:ORUFI07G14130 transcript:ORUFI07G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQQRSWLEPKVNDHDKEKELNKGDKALAIKGELGWGLAVKPFAREVEILKMAQPAEGFKVMVERVARELERVEKLVVQEFTRERAQYLV >ORUFI07G14140.1 pep chromosome:OR_W1943:7:14614763:14615161:1 gene:ORUFI07G14140 transcript:ORUFI07G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDDVLTPAIDDEVAAALKVIDGYDVDPALKTVCKLALEVMAGVEPVAETLRKAEAILRMKKMKPPPAKEKVSQAYIDSLLSRPPPVPYPASRKDFSDDYTTLQPSVPGRRRHQQDPRRRRKDNRGVQAYG >ORUFI07G14150.1 pep chromosome:OR_W1943:7:14648018:14649746:1 gene:ORUFI07G14150 transcript:ORUFI07G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTNPRSDRWSVCLFSLKPRTIPTRREKPSPADQNHPPRRRRRHRRRKQFVSPVGVRPVVRSMDRRPPLAVSPRRLRPRQHRAAAAGAAPRPPVACSVQTPPGSIKKATTPMRSSFCALPTSRLEPTPRAKLDFAAAPSPARAAVAAGKENRHVDDEVSLDLTAMAMPTPMPTWTASPLPPPTSPLFERGRLYDLYSARRNERLKRKHGFPAGEEEAEAMAADPCVAVELSKRRGAKKMTGAESVRRSMPAAADFSAAGRAATSTLGLRSSLRSSKEMKKTSAASSSFAGSKSPAAKERRASTRSSARRF >ORUFI07G14160.1 pep chromosome:OR_W1943:7:14656631:14667463:1 gene:ORUFI07G14160 transcript:ORUFI07G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVAVVGAGVSGLAAAHEAARGGGGVRVTLYEREDSLGGHARTVAVDGDAGPVDLDLGFMVFNRVTYPNMMEWFEELGVEMELSDMSFSVSAQLQDGDEQTMEWGSRNGLAGLLAQKTNAVSPAFWRMIREILKFKDDVLTYLEEHDKNPDLDRNETLGHFVQSHGYSRLFQQAYLVPICACIWSCPSQGVLGFSAFFVLSFCRNHHLLQLFGRPQWLTVKGRSHTYVNRVREELESLGCQIKTGCEVQSVSALEGGGYRVVEAGGTEEAYDSIIFAVHAPDALNILGDEATHDERRILGAFQYVYSDIYLHCDKSLMPRNPSAWSSWNFLGTTTTGVCVTYWLNLLQNIEQSAGRGRRPFLVTLNPPRVPDHVLLAWKTSHPVPSVAAAAAAGELRRVQGCRGLWFCGAYQGYGFHEDGLKAGMAAARGLLLAANGGAGERRLLANPRQMVPSWTEAGARLLVTRFLAGYVSVGNLTLLEEGGTMFSFGEAGKKCQAKCVMRVHDPLFYWKVATEADLGLADAYINGYCSFVDKKQGLLNLLLILIANRDANKQSSTSTSRIRGWWTPMLLTAGVASAKYFLRHVSRKNTVTQTRQNISQHYDLDEDESLEAAQQRKVSLLIHKARVERDHHVLEIGSGWGSLAIQVVKQTGCKYTGVTLSEEQLKYCQRKVKEAGLEDHMTFLLCDYRQIPTVRKYDRIISCEMIEGVGHEYMDDFFGCCESLLAQDGLFVLQFISIPEERYEEYRRSSDFIKEYIFPGGCLPSLSRITSAMSTSSRLCIEHLENIGYHYYPTLIRWRDNFMANREEIKSLGFDDKFIRIWEYYFIYCAAGFKSRTLGNYQIVLSRPGNDKLLPFADNPYATFPAA >ORUFI07G14170.1 pep chromosome:OR_W1943:7:14673483:14675005:1 gene:ORUFI07G14170 transcript:ORUFI07G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPNLFAGDVVRRLDLQAKDMIAAAQDPTTQGRHLRAEQAASEHQDLRFTHCCQVHPKLLHCLASDKYYPFPSSAPAASTINPFLTSTGILFILGIPIFFEVSRWISYQ >ORUFI07G14170.2 pep chromosome:OR_W1943:7:14673183:14674976:1 gene:ORUFI07G14170 transcript:ORUFI07G14170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPNLFVPASG >ORUFI07G14180.1 pep chromosome:OR_W1943:7:14676090:14676413:-1 gene:ORUFI07G14180 transcript:ORUFI07G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCEASVGDDCAIDVATSSKLFLRILKALQTILLIETGKGIRKMGNTSKDKVKQTYLIISPYPSP >ORUFI07G14190.1 pep chromosome:OR_W1943:7:14678137:14679442:1 gene:ORUFI07G14190 transcript:ORUFI07G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFKTILLVVFFALFAVSSLQPSAAVRDAQVFKPTVANADVTQPSASLVGLPGLPPLQPLPTIQIPGLPPLPQLPTIQIPSLPQLPPLPTIQIPGLPTLPQLPTIPGLPQLPTLPTIQIPELPPLPPLPSVSITPGSPGAPARIPISSQSALAAAPIAPQQPTECLSSLMALMPCMEYVTKADVPAPPSVCCDGFKSLVEKAPICLCHGINGNISKLMPAPIDLTRIMSLPATCGVAPPVEALTKCFKPST >ORUFI07G14200.1 pep chromosome:OR_W1943:7:14683178:14685641:1 gene:ORUFI07G14200 transcript:ORUFI07G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRWPPLLLLLLFLLAAATATATATGNALPPEPKPARWPDVFHARLFTNLTNHSAASTGPPLRVADLYYDWSRRRNLNLIRYQLAAADDPLYDVEWDNGTTFYFDSRSCRTERFPVGVLRPGWLADGGGVVYLGRWRTGGIECDVWDKLGFVVYYQEVATGRPVRWNFLDKTGIQQFVMSFEVGVTLEDDSQWQAPAHCFPAAAADEDEEEEEDEEQDEMIKSSYDHIEGVDDGLDAARLLRMLAGAAAF >ORUFI07G14210.1 pep chromosome:OR_W1943:7:14685753:14685944:-1 gene:ORUFI07G14210 transcript:ORUFI07G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEGSSTAADGEGAARRRALPPEECSTATDGEGAPAPASKTVLGGNSGCVRAVVGGGHARSP >ORUFI07G14220.1 pep chromosome:OR_W1943:7:14700102:14700329:1 gene:ORUFI07G14220 transcript:ORUFI07G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGRSGGAVLGRAGSIALGRSSALDGGPRAAGMAMACGGGSGGVVLRRAGSAALGRSTALGGGRSNGQRRRI >ORUFI07G14230.1 pep chromosome:OR_W1943:7:14703177:14706085:-1 gene:ORUFI07G14230 transcript:ORUFI07G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLSSSFLLLLAASSSSTPRASACERCVRNGKAAYSPSLSPLPPGGGGGCGYGAMAMEMELNGGFLAAGGPRQHRGGLGCGRCFQMRCRNAEVCSNAGVRVVLTDFHRSNSTDFLLGGPAFAGLAKPGMAHKLKKLDALSVEYRRIPCDYKDKNLSILVEEQSKRPNNLVIKFLYQGGQTDILAVDVAQVGSSDWRFMTRVYGPVWSIDRAPNGPLQFRAVVTGGYDGKWVWADREVLPANWQPGQVYDTGARIADVARESCLDCATLDWK >ORUFI07G14240.1 pep chromosome:OR_W1943:7:14717162:14719947:1 gene:ORUFI07G14240 transcript:ORUFI07G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLIKPSSTRSRGFVRTATGPLPAARRVRERQIWMAGWAEGRSRASGPATRWKQGPCPRGCHMAPAQQRVAADGARARTCRCRCTPAEGANAHGRAHAIRRERRPAPPEPSIHSSFHAPPPHNH >ORUFI07G14250.1 pep chromosome:OR_W1943:7:14727075:14727437:1 gene:ORUFI07G14250 transcript:ORUFI07G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLRLAEISRKWSGSGSSKVTSPTAAAAACPRGHFAAYTRDGSRFFVPIACLASDTFRELLSTAEEEFGSPGGRPIVLPCSADRLHQILAAFRSASGKNKCSPPSGSGGRAGGRTKIW >ORUFI07G14260.1 pep chromosome:OR_W1943:7:14733446:14749345:-1 gene:ORUFI07G14260 transcript:ORUFI07G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAESCGSRGGESPPPPRTRGASAGGRRRKAEAYREVLRRIRGGGGALDDDELWAHFYSLPARYALDVNVERVDDVLLHKRLLDQAREPMNGLVFDVRRSQAATLDGSTEVEQSTSFKHEVQDPQCSSFTSRDQRCFHEIIFACDDKPKLLSQLTALLGELGLNIQEAHAFSTSDGYSLDIFVVDGWSHEVDVLRDALRRGVEKIKYKAWPLVQSMPTRTGHELMEDSPPADFVQIPADATDVWEVDPRLLKFERKLASGSFGDLYHGTYCSQDVAIKVLKPERVSVDMLREFAQEVRHKNVVQFIGACTRPPILCIVTEFMRGGSIFDFLYNFRGTFQLPDVLRIASDVSKGMNYLHQINIVHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHLPYDQRADVFSFGIVIWELLTGKLPYEDMTPLQAAVAVVQKDLRPIIPADTHPMLAGLLQKCWQKDPALRPTFSEILDILNSIKEAVRSSGHQKRHSGRSYSRQRRSVSKNVKRRGLMNLLFENETTAAPLRCRPPLLLRRRRRPIGLRLPPAPRYSPRPAVTMARLAAEDPLVRDEAILDDDDDDDVDTDEEESESEDDSGEEFHAEPSKKAVYNKEGILEKLEDIAWPENVDWRHKLTIEHDQGEKVDVNDDLARELAFYTQALDGTRQAFEKLQSMKVRFLRPADYYAEMVKTDAHMHKIKGRLLSEKKKIEEAEERKKAREAKKRAKEVQAQKEKERAKQKKEQIESVKKWRKQRQQGGFAKGNDDGPDLNFEGDEGFKQSKKKRPGVSPGDRSGGLAKKGKQGKNRKSRDSKFGHGGRKGLKKQNTAETTNDFRGFNQMDNTSLLDANIPFTSSLQQSTLYRTMRTGKVYSQINCRL >ORUFI07G14270.1 pep chromosome:OR_W1943:7:14754593:14754769:1 gene:ORUFI07G14270 transcript:ORUFI07G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLVVDVVAIRGWSWHPVLSLGRRVIEMRQDEYGAAVKKEKVEPEIDLEAAAGCGT >ORUFI07G14280.1 pep chromosome:OR_W1943:7:14770543:14784647:-1 gene:ORUFI07G14280 transcript:ORUFI07G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYIPVQGTEEEVRVALDQLPADASDILDILKAEQAPLHLWLIIAREYFKQGKIDQFRQILEEGSGPEIDEYYADVKYERIAILNALGAFHTFLGKVERAQQKEVHFKEATQCYNRASRIDETEPSTWIGRGQLCVVKHDLQMASDSFKIVLDEDGSNFPALLGQASVYFLMGDSEQQHKKALDYYRNSLDLYKRALRAYTSCPAAVRLGIAFCRYKLGQSDKARQAFQRLDPENIDALVALAIMDLQTNEAGGIRRGMEKMRRAFEIYPYCTLALNHLANHYFFTGQHFVVEQLTETALSSSNHGLLKSHAFYNLARSYHSKGDIETAGRYYMASVNEISKPQDFVLPFFGLGQIQLKFADYKSSLASFEKVLEVHPENCESLKAIGHIYAKSGENDKAIETFKKVTRIDPKDHQAFMELGELLVQSDWATAMEYLKTARNLLKKAGEKIPIELLNGIGLLHFEKGELEMAEQSFKEALGDGFWVSIIDGSVGSSVVNWSIQYRDQSFFQQLEEEGTPLELPWDKVTTLFNYARLFEELHDTVKASLFYRLIIFKYPDYIDTYLRLAAIAKEKNNLQLSIELIGDALKIDDKYPNALSMLGSLELQGDETWLTAKEHFREAKDASEGKDTYSMLQLGNWNYFAANRPEKKAPKFEATHREKAKELYSNVLKQHHGNMFAANGIGILYAEKAQWDIAKELFTQYQNCLRKFFYNTDATILLYLARTHYEAEQWQDCRKTLLRAIHLAPSNYLLRFNKFSASTLQKTKRTVDEVRATVSELQNAIRVFSLLSVASTYHSHGFDERKIETHIEYCKHLLDAAKVHRDAAEQAEQQNKQKMEVARQIALADEARRKAEEQRKAQLERRKQEDELKQVMQQEQHFERVKEQWKTSSNTPGKRKDRSKHEDEEGGGEKRRKKGGRRRKDQKTKAHYGEEEEDEYRDEPEAEDDYANTARSNDGGDSEKAPGHLLAAAGLEDSDAEEDDMGHPQSAIERKRRAWSESEDDEPVQRPVQPSAGENDLSD >ORUFI07G14290.1 pep chromosome:OR_W1943:7:14789350:14789867:-1 gene:ORUFI07G14290 transcript:ORUFI07G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASIDGEGGSAPLSSGICRQWFAKMLLHLLCLQDVWSCSSNFEGFWPATVHTRSFSSNLKGSGSATNGSEAISDLFCFLREPCDLFTIWMCAWKKGIGRKYMVLSLMDERHGCELDTDRDGIQNSWENILDFYNG >ORUFI07G14300.1 pep chromosome:OR_W1943:7:14791917:14792838:-1 gene:ORUFI07G14300 transcript:ORUFI07G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNCPHRRLEQAGSCLVPVAVAQLPPGAQLAVVVASVGGRRSAVLCCEGAAAPEPYHRGGWSAAAMEVALGGLVVARPAMKRLRRSPRPPAAAVARAPRLAVRRRRVLKRQELLVSQLHELPPHLPVQPADLAARAAAAADGAYRAVRRVGQALAPGATLDLEVGGVEEHKSGNEDARWSTARSSMVELTRRKPRAKALARAIPSYDPTFQRK >ORUFI07G14310.1 pep chromosome:OR_W1943:7:14795040:14799410:-1 gene:ORUFI07G14310 transcript:ORUFI07G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPKQLLIQSKTKKAPTRISYSTIVTWNLIVILVALSLYATYRHWHHRPMLETEMDLPRAEHVGRSEDSTKTSRPSYAVIDTAKGSITIEIYKDASADVVDRFSNHFKGMPFRHVIKNFVIQGGDFDFNGAAQEWILKAKASGENALSPKHEAFMIGTTKNPNNKGFDLFITTAPIPDLNDKLVVFGQVINGQDIVQEIEEVDTDEHYQPKTPIGILNITLKQQALS >ORUFI07G14320.1 pep chromosome:OR_W1943:7:14804399:14805194:-1 gene:ORUFI07G14320 transcript:ORUFI07G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGDGVCERKHGGRARASGSAAETVVVTRTASQGCGRRPHLTSAAQEARLVVEEATTVRGGAAGACGAVFDVRRLAGGVRRCSGPTCRQRLSGGEHRCVSRGLAGGERRVKTQLGLSRTDNDEWLPGESPVLGSFEPPTDGGGSFPSLLSLETSAL >ORUFI07G14330.1 pep chromosome:OR_W1943:7:14805236:14805724:-1 gene:ORUFI07G14330 transcript:ORUFI07G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGHPLPPSSSNASPPPERPPAKPRGCKDGGGGAPRFSPSDLARVAWGTTLGGGGGDRQIRVAPAGSGGWDGDGDGRGELCRAAGSKAAGMATAAGKAVVRQRRIWLGGASWRRWWRWSSQRRVSGSGASDDDARLAETTVAGRWYERLEARLVMDDGGSG >ORUFI07G14340.1 pep chromosome:OR_W1943:7:14808515:14809518:1 gene:ORUFI07G14340 transcript:ORUFI07G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAFLSTLAGSTSLGGATPASGGGSGRSKTARFLRRRRRGGAVRAAVSGTEQAPETTKKKGGGGGGGDERVVQVHSAEELDGALRAAKERLVVVEFAASHSVNSSRIYPCMVELSRTCGDVDFLLVMGDESDATRELCRREGITAVPHFTFYKGAEKVHEEEGIGPDQLAGDVLYYGDHHSAVVQLHSRADVESLISDHRGEGGKLVVLDVGLKRCGPCVKVYPTVVKLSRTMADTTVFARMNGDENDSCMEFLRDMDVVEVPTFLFIRDGDIVGRYVGSGRGELIGEILRYNGVKVT >ORUFI07G14350.1 pep chromosome:OR_W1943:7:14810706:14813157:-1 gene:ORUFI07G14350 transcript:ORUFI07G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLSQIEHNLPMPPHLLSRPLVDAIKAELERLFLDKVVVNLGLCVSVYDIRSVEGGFIFPGEGCSTYKVSFRLLMFRPFVGEVLVGKISGYDEKGLHVSLDFFSDISIPGHLMQYGTARALDGRWMLKTEDGDELYLDLDDEIRFLVSSIKYPPIPVEQKETDRAFAPMQIIGSIKGDGLGLLAWWAADEEEGEAEAEAEE >ORUFI07G14360.1 pep chromosome:OR_W1943:7:14815388:14821403:1 gene:ORUFI07G14360 transcript:ORUFI07G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRSHEEGSHSTPAKRPLDDSSLYSSPSGKIIQPGSSDFHGSFEHDGRFAKVQRIEPRDDKRPSLAHRMPIGPSNFVDHSISSDGRLESKQNKDPRDTKVDVREAKADTRDVYSDPRVEFPSNKVETDVKTDNRADDNDIRADRRIHADYKGDAKLDKDGHPTAISNIAWKDNKEHRGKRNIEQPSDNADWRFPRPGLQGTDESSKGPVPADERSKDAHESTGENKTEPKTEDKFRDKDRKKKDEKHRDFGTRDNDRNDRRIGIQLGGNSVERRENQREDRDAEKWDRERKDSQKDKEGNDREKDSAKESSVATEKENAILEKTASDGAVKSAEHENKTVEQKTLKDDAWKSHDRDPKDKKREKDMDAGERHDQRSKYNDKESDDTCPEGDIEKDKEALGSVQRKRMARSRGGSQASQREPRFRSRMRDGEGSQGKSEASAIVYKAGECMQELLKSWKEFEATPEAKSAESVQNGPTLEIRIPAEFVTSTNRQVKGAQLWGTDIYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRVLPPQDSYTSTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHYNRYELLPLTIWPSPEVIFLTSVEHSSFISPPPVTASFHRYELCFSGEKARLVGSSSNAADAETEKHQNSSHHHSQNGDRASSEHELRDLFRWSRCKKAMPESSMRSIGIPLPADQLEVLQDNLEWEDVQWSQTGVWVAGKEYPLARVHFLSSN >ORUFI07G14370.1 pep chromosome:OR_W1943:7:14832145:14833062:-1 gene:ORUFI07G14370 transcript:ORUFI07G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGNASFSVADDGVEGEEDTMELSLSVSSAQGWRAAREEAPTTYAGCCTSRSSPSSGHRRPPLRHARFADASVSPPCLPPCAPTVGPPPRAATRLRVRLRCRLHERATGARASPASPASRSRYLLLRSRSLGVEGQSRRRCARGQRGRPCAPPSAARPSASPFAARHQRLALVLLI >ORUFI07G14380.1 pep chromosome:OR_W1943:7:14833522:14833945:-1 gene:ORUFI07G14380 transcript:ORUFI07G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELHRASSSPSPAYALTEFTARTLPRRRALPAPRGRELGELAGGDRHQSSAGGESEWKTFSGGGGSLKIDCGLERSDEDLAMDGHWRRR >ORUFI07G14390.1 pep chromosome:OR_W1943:7:14906595:14909601:1 gene:ORUFI07G14390 transcript:ORUFI07G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPSSSAASAAGWTVCALLTCVFAVVGSLVGVFIGAFMGMSTESGMLRGAGVGAVSGAVFSIEAVESCIEIWRSSESGKYSIIFVLDIISSLFSGRIVWEKVSPALQRAVQSQMSLLSTPFIDNNDLFETGNTGGMSRDLINRIPKTTFSAATNPDQETDNCCAVCLQDFGASQFVRVLPHCQHTFHARCIDNWLFRHASCPLCRAGVHIDHIHM >ORUFI07G14390.2 pep chromosome:OR_W1943:7:14906211:14909601:1 gene:ORUFI07G14390 transcript:ORUFI07G14390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSAMPSPSPSSSAAAAAAAASGCCLDRLWRACGGCGAAAASAAGWTVCALLTCVFAVVGSLVGVFIGAFMGMSTESGMLRGAGVGAVSGAVFSIEAVESCIEIWRSSESGKYSIIFVLDIISSLFSGRIVWEKVSPALQRAVQSQMSLLSTPFIDNNDLFETGNTGGMSRDLINRIPKTTFSAATNPDQETDNCCAVCLQDFGASQFVRVLPHCQHTFHARCIDNWLFRHASCPLCRAGVHIDHIHM >ORUFI07G14400.1 pep chromosome:OR_W1943:7:14910742:14915322:1 gene:ORUFI07G14400 transcript:ORUFI07G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAGTMELEILGINFGCVLAALADAKIPEKDCLLPLASKLLGYAIVAASTTVKLPQECLLRLMSKILGYHVVAASAIGKLPQILKILKHGSVRGLSVASFELEVVGYTIALAYCIHKGLPFSAYGELAFLLIQVLGGKIDPALFEVLYASQHAIFFFARLPQIWKNFMNKGTGELSFLTCFMNFAGSIVRVFTSIQEKTPLSGILLVLITYILKDPIFLLSSKCAYYYIGALHIADKFLSLP >ORUFI07G14410.1 pep chromosome:OR_W1943:7:14916885:14920294:-1 gene:ORUFI07G14410 transcript:ORUFI07G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTRQSHSLLLTFLLVGAAAAAAAASPEDGSPFLRLPTASDLPVPAGQLPRSAAVGLIRALNLHPRDASPSPSSRGDGDVPAGTLVERPIHLASMATGKSGGSSAEDLGHHAGYYRLPNTHDARLFYFFFESRGSKGEDDPVVIWLTGGPGCSSELALFYENGPFHIADNMSLVWNDFGWDQESNLIYVDQPTGTGFSYSSNPRDTRHDEAGVSNDLYAFLQAFFTEHPNFAKNDFYITGESYAGHYIPAFASRVYKGNKNSEGIHINLKGFAIGNGLTDPAIQYKAYTDYSLDMGLITKSQFNRINKIVPTCELAIKLCGTSGTISCLGAYVVCNLIFSSIETIIGKKNYYDIRKPCVGSLCYDLSNMEKFLQLKSVRESLGVGDIQFVSCSPTVYQAMLLDWMRNLEVGIPELLENDIKVLIYAGEYDLICNWLGNSRWVNSMEWSGKEAFVSSSEEPFTVDGKEAGILKSYGPLSFLKVHDAGHMVPMDQPKVALEMLMRWTSGNLSNASSSFQRLDFTM >ORUFI07G14420.1 pep chromosome:OR_W1943:7:14927935:14931758:-1 gene:ORUFI07G14420 transcript:ORUFI07G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKGLLKPRPTPQQQLREWQRKLRNECRVLDRQIRDVQREEKNVEKSIREAAKRNDIGSAKALAKELVRSRRAVNRLYENKAQLNSVSMHLGEIVATARTVGHLSKSAEVMKIVNNLMKAPELAATMQEFSKEMTKAGVMEEMVNDAVDSALDSEDMEEEIEEEVDKVLASVAGETASQLPDAVRKQRINQASTSRVPEERQAVAEGADDDEEDLEEIRARLAKVRS >ORUFI07G14430.1 pep chromosome:OR_W1943:7:14933152:14933978:-1 gene:ORUFI07G14430 transcript:ORUFI07G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVSVSVSLEGNISSLPNSIINDSNGKDTSFINHAAIAWAEMRRQWTGDQEKVPKEASQEPIISWCTTYDDLLSTSERFPQPIPLSEMVDFLVDIWHEEGLYD >ORUFI07G14440.1 pep chromosome:OR_W1943:7:14937788:14938078:-1 gene:ORUFI07G14440 transcript:ORUFI07G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAATSRIYEALKMLQPPPWVHARSHLCLYHVTLVVLTTNFSSPLFCPPFPLALHFAGSSGVTIARAFIPDTINKAIGTDKKATKHADLCDFLIS >ORUFI07G14450.1 pep chromosome:OR_W1943:7:14939744:14947936:1 gene:ORUFI07G14450 transcript:ORUFI07G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQFFLHGLLPGALCSADLAPGEDATVSRWWGASRQHRKWKMAGGMVSSSSPAPLPSSSPPSSSSAATYRDPLLGNRLPPACRRDGKQNQLRVFHMGKYEPEAPIATVEDPISSHDKLENTEIVASLEMLGNMTDKPLASLEMLPKVKLSVETPPAPMSPPMRFGNGGCHIDLNDQPPETEKLVDETLKISGEETKANMELPAPVSSPRSFGTGGCGCDLNEMPEENDES >ORUFI07G14460.1 pep chromosome:OR_W1943:7:14954091:14954513:1 gene:ORUFI07G14460 transcript:ORUFI07G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHELEAPIPTVKDHVSSQDHLENIEMASSDMLGNKTDKPSRPLDLEMLCKINLSMGTPAPVSPPMRFGNSACHTHIDINDQPPVEEKLADEAVKISGEEKMLIKADMEYPTPVSPPRSFGTGGCGCDLNEMPEEIDEP >ORUFI07G14470.1 pep chromosome:OR_W1943:7:14969444:14969662:-1 gene:ORUFI07G14470 transcript:ORUFI07G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAVAVAATGSDGEEGGGGDVSPPSSQIWWRGVQRVVTAAATGGDSEEGGGGGFSPPSFQIQRCGGRWCLF >ORUFI07G14480.1 pep chromosome:OR_W1943:7:14977889:14980178:1 gene:ORUFI07G14480 transcript:ORUFI07G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAPATVAALLVAVAAIMAASPAGAQPSPGYYPSSVHRAMAFSRDYTNKWGPQHQTLSADQSSLTIWLDKTCGSGFKSRKSYRNGYFAARVKLPAGYTAGTNTAFYLSNNEAHPGFHDEIDMEFLGTIPGEPYTLQTNVYVRGSGDGRIVGREMRFHLWFDPTADFHHYAILWNPDAITFFVDDVPIRRYERKSELTFPDRPMWVYGSIWDASDWATDDGRHRADYRYQPFVARFDRFTVAGCAPSAPASCRPVPASPAGAGLTPRQYAAMRWAQQSHMVYYYCQDYRRDRSLTPEC >ORUFI07G14490.1 pep chromosome:OR_W1943:7:14983433:14984936:1 gene:ORUFI07G14490 transcript:ORUFI07G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPARLVVLVLVAVVVVVVGGDGDAGVIRQVTDGGYWPPGLLPEAQFAAFVRRHGREYSGPEEYARRLRVFAANLARAAAHQALDPTARHGVTPFSDLTREEFEARLTGLAADVGDDVRRRPMPSAAPATEEEVSGLPASFDWRDRGAVTDVKMQGACGSCWAFSTTGAVEGANFLATGNLLDLSEQQLVDCDHTCDAEKKTECDSGCGGGLMTNAYAYLMSSGGLMEQSAYPYTGAQGTCRFDANRVAVRVANFTVVAPPGGNDGDGDAQMRAALVRHGPLAVGLNAAYMQTYVGGVSCPLVCPRAWVNHGVLLVGYGERGFAALRLGHRPYWIIKNSWGKAWGEQGYYRLCRGRNVCGVDTMVSAVAVAPPPP >ORUFI07G14500.1 pep chromosome:OR_W1943:7:14985593:14994089:1 gene:ORUFI07G14500 transcript:ORUFI07G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAAKGLVAEPCAGEARRRCGGCGAVAYCSRAHQTVHWGFHKEECARLAEQMSRIDMLKQFPFTFSVESPAPNHTFPSLRCFLLESFKLHQKGLWKSECICDPEVTSVKDLSKTTDWSMGSALCPCTEPEHNVSTPLTSWKDYYSHLLYIIAFNYRTSKPQNMMAKTLYDLRKNYISLRCLGNYVHYFQVSIFTLNLWDQQFQNLVTFKLRKGLYHERYSDIVKDSKPHLIVAPNAGIAAYPSWIPTIEIIRKVGIPAIFTDFCEEAAHLASSCITSITGQPLRVPLAVHPIRQA >ORUFI07G14510.1 pep chromosome:OR_W1943:7:14993050:14995384:-1 gene:ORUFI07G14510 transcript:ORUFI07G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKDSPYAFIIVPYTCLQKQAARTAATMSGGSGFPGFHKHGYDRDYARPLFRVSSFSDNGGGEEQEHYTPSPPRGRSMSRTTSTAPRLSPSVSKLSMKKLQQVVNEKSLEDEEMELMKEKYTKLLLGEDMSGSGKGVCTAVAISNAITNLYATVFGTCHRLQPLPPEKKAMWNREMDCLLSICEYIVEFSPKVQAMPDGSTHDVMATSPRSDILMNLPALEKLETMLLEILDSFEKTDFWYIDQRKQSFSDSMKFQRSEDKWWLPEPCVPESGLSDRMHRELQQKRDQASQIHKMAMEINSGILSEMQVPLSYIETLPKSGKVGVGDAIYRYMSAGDQFSPDHLLNFLNLSSEHEALEIADRVEAAMYVWRRKASMTHVVSKWENVTELNADGDKNLILASRARSLLLCLKQRFPGLSQTTLDTSKIQYNKDIGQAILESYSRVLESLAYNIVSWIDDVLIADGNARKGHNIRMQKQEFSKLSPQQY >ORUFI07G14520.1 pep chromosome:OR_W1943:7:14999767:15008345:1 gene:ORUFI07G14520 transcript:ORUFI07G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACCLPVVECVYCLACARWACQHCFHTGGYDSETWGLASPNEFEPVPRLCRLILAVYEDDLEHPQWAPPGGYGIEPRWVVHRKTYEHTGGHAPTYLLYVDHHHSDVVLAVRGMNMAKESDYAVLLDNSLGQRRFDGGYVHNGLLKAAEWLFDAECDVLRDLLERNPGYTLTFAGHSLGSGVVAMLALVAVHNRDRLGGVERKRVRCFAMAPARCMSLNLAVRYADVINSVILQDDFLPRTDTPLEDVFKSLVWVPDVVLCIQLAMPFMWKVPYRHVYT >ORUFI07G14530.1 pep chromosome:OR_W1943:7:15010953:15015596:1 gene:ORUFI07G14530 transcript:ORUFI07G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATAALPPAARSAEALAAEVGGHAHAAADELRGLARRLDAVGAAAAGAEAREARDAGARAWLREILDALYELGDARDDFRRAADAAARPRRQQEEGRRSFLHWFTIPPNLYGMRYKTLKTSINSLNMKMDGILQKGSELGLLPIDQEILNERSEISLEVIPDDYIVGDIENEANKLIDILTDRKSAKTLVAIVGGSGTGKTTLAWKIHDDHRTRNAFGMIVWVSVFNDFDDIGLLSAIVTAAGGNPKEAKNRTQLELMLASMLKGKRFLLVLDDVRGHQIEENSLEAHWHVCGHGSRILITTRDENVATKLNASYIHQVKELSFQNCWSLLCCNACLDENLHGNTLRNIGIMIIQKCKKIPMAVKIIGAVLRRKEQTQESWQRVYEFEGWSFDDLRGDVQGLTGAIYLGYHDLPSHLKQCLLYLSLFPEGSTIRQQFVTQLWISEGLIDRQDDCSAEKIAEEYYEELISRNFLQLETGNRDITRCTMHDQIRSFLQFFVKDKICSGEVKPGTNGTSSEGLRHVWISGTSTTTNLEETVTSLKTVILYKNPLRNQGLDKLFKGLKYLHVLDLGGTEIRYIPRTLEFLVHLRLLNLSLTRITELPESISYLRNLQFLGLRYCNWLHTLPKGIGNLHRLQTLDLRGTSLHQVLPSLVNLKQLSTLHGFTVNRTPIPEDDPSGWPLENLKDLNALRSLQILKMEKVSDFSRVKEAMLEMKSQLKDLEICCSNDDRLAEAREDDSRTLKQIFDSLSPPQCLKSLKIVSYYARHFPNWLPCLTNLQRLVLSDCKFCEHMPDLSKLNQLKFLTITGCSKLLTVEQESTGVTQAFPKLEQLHLKDMPKLVSWIGFASGDMPSLVKFCLESCPKLKCLPEGLKYSRVLRSVQIRHADSLEVIQDLPVLKELNVQACNELKIVSNLPLLEVLTIRRCPRLDDVSGVHYLSHVCITDKELRKLPDWLSIHAPVLQTFNIVGVSELLDRLLPNGEDWEIIRQIDKVYANLLDESPFFTYTKSSGDFHVDQRIGEINKPPVVLGHGTAVGGLSIPDHSVEISSQIGVSRVPMNRISTLRWVVRSYLVPYLIMVMVVMQFLSYYLHNRSTREIWLVQTLFIFFATVFLLLLVFLD >ORUFI07G14540.1 pep chromosome:OR_W1943:7:15016766:15021916:-1 gene:ORUFI07G14540 transcript:ORUFI07G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAEEPLLLAALRNAGRAVLILRFQTILAGYTKDVVRSLGELAANEIAKVLCVKNEINKLKRKLETMSAIIRDAEQTVVQYETTRDWLKQLRGIAYEAENIIDRCRIEQERLQMFQPQECNPSSLFKCCRDVAVDYIIANDIHELNQELESIRSESTLLHLNPVAEDQIRLDLDVAPHLEPDIVGREVENDSDNLIQLLTRDYNTTCPLFAIIGTIGVGKTTLARKVYHKAAAMFETRLWVHVSKDLRHLTMWSDGMFSKAEIAEQQALLLSYLQDKRFLLVIDDVWGENVWDGRLEIQAQHGAPGSRVLVTTRDERVARRMGAIHLHRVKMLNEDDGWWLLRTRAFLDEGAGNMQDMGRRIVQKCNGLPMAIRRIGCHLRDVDHKEDEWGRVYSSDFCGISARIRSTINMSYLELPYYLKRCFLYCALYPEGSVIERQCITQQWIAEGFIVTQTNSTQRHSATVEEEAERCYDELLGRGLLLPENEACDVVGSKMPHLFRSFALLQSQDENFTGNPQDIGDVFKPCRLSVTNGGVESIRNGLKKLRNLRTLLLSGGTLNDRALSDIFLKFTHLRVLDLGNTQIDCVTASLGRMAHLRYLSFANTQIREIPGSIENLRMLRFLILRNCIRLNSLPESVGRLKNLRSLDMSGAGLNIVSFKFSQMRELNCLQGFLVSPSGTQNKCGWPFQELSSLSKLTSLQMLRIERELNAEGAKQSALREKRHLKELELCCSIDEQTTQIGRAENIKDVFEELAPAPSVVSIKMANYYGHEFPSWLSFPGLSELQRLTIDGCSHCSQLPSLGQMSNLKYLAIIDSNLSATIGPELRGKPDNGVAFPKLEQLLISEMSNLKSWSGIEEGDMPSLVDFRLERCPKLDSLPRWLEHCTALRSLRIDHADSLKTIENLPSLRELEVHRNKKLKRILNLESLEDLKVVHCLVLKVVHGVPSLRAVHSDDRNSTELPQWLQPQQPFVLKRLDIIGTEELLDKCSSASSTYWSAIQHADHVFAYLPDDTFYFSYTKSNSNFHRSARSLARSLYSSQSFVMPSITPSNDVIVPEENIRRNKRIEIGRSRSQSWVRTELFAVLLFVAAHLFFLSTTHASSH >ORUFI07G14550.1 pep chromosome:OR_W1943:7:15025911:15026585:-1 gene:ORUFI07G14550 transcript:ORUFI07G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAALQALPMVLEWLKNKKLKTTADVDMQQLTSQRDNDNGSTPLHLAASMAGLPSLGSKSAVPSATRLLPDANVSTATPYTLLRRSAGSMEAVKALLEKCPDCATLRDARGRTFLHAAVENKSYVVVRHVVRRSSELSSILNLQDDKGDTALHSAVRLDMRNKEGMMPADVSWSMMPLETYYAWVSNDILLGAISVC >ORUFI07G14560.1 pep chromosome:OR_W1943:7:15027980:15028627:-1 gene:ORUFI07G14560 transcript:ORUFI07G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESKRDMEKMSENVTAAAQVLALFSVLITTVTFASAFTLPGGYRSAGDDGGATGTPVLARHGSYAFDAFILADALAFVFSFVATAKLLYAGVPAFNLESRFHSIDCAYSLMMNCGRCLVAALALGLYVVLLPPVGRTIAIEIGVVMIMLAIAAFTKDSEGCVDPISISVSVMRRNSRKLSSRDVLLSILYLLERFWSFVLIFGLPAIHTWARAK >ORUFI07G14570.1 pep chromosome:OR_W1943:7:15049520:15052587:1 gene:ORUFI07G14570 transcript:ORUFI07G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAALQALPMVLEWLKNKKLKTTADVDMQQLTSQRDNDNGSTPLHLAASMAGLPSLGSMSAGPFATMLYSTPGLWFLWSKSAGPSSATRLLLDANVSTAYQPDNQGQYPIHAAASADSLEAVKALLEKCPDCATLRDARGRTFLHAAVEKKSFDVIRHVCTSRGLSSILNLQDDNGDTALHSAVRTEYSWVVPFLCHPQNSSIRIRKLLLKLGAPLGESRGDLFDEKHDRIIGEKSKWDMEKMSENVTAAAQVLALFSVLITTVTFASAFTLPGGYRSAGDDGGAAGTPVLARRGSYAFDAFVLADDWHSSVLSSLRPNCSMPGCLLLASKPASTVSMVHTA >ORUFI07G14580.1 pep chromosome:OR_W1943:7:15067530:15068720:-1 gene:ORUFI07G14580 transcript:ORUFI07G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFTNDQYLLQHLRSQRYFLITWYGENLAETDFLQYQLVRSESTLAELREQLEALRERCDSQALRIVELEHSLTTRGRSVAPTLATLAASSTTTLRPIPVPASAFAPLWATGSASASLSIGATGTAVASGSPTLRPVTPAEGAGLSATSGTTLIFATAPAPVSTPTPALPRSGTVLGAAPPLPLPLPLPPAPVFPRGSTVLGVAPPPPLPSPPPSTQHVSPTVSAVEALTTLGSGTLGSGTRTSAIEVEPMVEEEEDPEERVMEPVEQILPLTQPPPVEHFGGRGHRCSGDPEPSGKRRRGRPHRDSTPVLIGNPNAPTTAYRSRSRSPQRTVSLAGLQVAMDRRGEWILP >ORUFI07G14590.1 pep chromosome:OR_W1943:7:15079820:15080417:1 gene:ORUFI07G14590 transcript:ORUFI07G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDGAGMTGRWRWGQVDAGSEGREWQQCGEAPPLVPLLIAGSYGWSRSRVAAVEEARSTMVGGRGGELLPRRICISAWARSIEVLVAVIASFLPIVRHSSTDARPPGSSFPTIPPALRLATVWIEAAGTDGACDGVEWIQKRCCGERLRGQRPVLRPLHRGHANLLCFNSSSW >ORUFI07G14600.1 pep chromosome:OR_W1943:7:15095619:15096234:1 gene:ORUFI07G14600 transcript:ORUFI07G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGSLLHVVAECGDSHKFRDCTRLIYYREKHLLDAPNGNGDTPLHCAAAAGNAEMISFLIHLAAAGDDGNTEAEKAEKEVAYLRMHNNRGETALHHAVRAAAAAADNEDDKQLALDCIDQLMAADPQLAAIQHPNEKAASPLYLAISLGEIGIAKHLFDKTEGELSYSGPDGRNVWHAAVSFPQGKYRLCFKLSVVLLLS >ORUFI07G14610.1 pep chromosome:OR_W1943:7:15102758:15103333:1 gene:ORUFI07G14610 transcript:ORUFI07G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADMRQQEGGDHRHVAADDELLARLSSQRDNDNGSTPLHLAASINGLPSALYIPICSPRVLAPLRRPKPVELLLKANEFAAYQPDNQGMYPIHAAASAGSLETVKILLEKHPDCATLRDARGRTFLHAAVEKKRFGVVKYVCHWKRKERFALILNAQDNNGDTALSMLEILESSDALFGVIRNAWTYRIK >ORUFI07G14620.1 pep chromosome:OR_W1943:7:15103508:15104237:1 gene:ORUFI07G14620 transcript:ORUFI07G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLRVHIRTLLLKLGAPYGESQSHIFNKKRHAIIVDPKFKGGEEKMLENVTAATQVLALFSVLITTVTFASVFTLPGGYRSAGDGGSAAGTPLLAGRGCYAFDAFILSDALAFVCYFMATSVLLYAGVPAYKLEVRLRHINFAYSLMMNSGRSLAAAVALGLYVVLLPPVGRTIAIAIAAAMVMLALLLSKASEGIESLFGIAIAVNSRLCGFTIYVGERYWSFILIFGLPAIRKWARAG >ORUFI07G14630.1 pep chromosome:OR_W1943:7:15109282:15109765:1 gene:ORUFI07G14630 transcript:ORUFI07G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMTRGYKASEYAIRGELMAWRLYEQSKVMELIDAKMQANGFDEKRQVMQVCSWREEREREMEREEEEEEG >ORUFI07G14640.1 pep chromosome:OR_W1943:7:15114765:15117592:-1 gene:ORUFI07G14640 transcript:ORUFI07G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPCNGKLPPGSMGFPVVGETFQFFRASPSVDMPSYYKQRLERYGPLFKTSLVGQPLVVSLDPEVNRFIFQQEEPHHVQWNRSQVHPELCVQALWAENLKESLLPELENSMRESFASWASKPRIEVQDGVSDMIFDLVAKKLIGLNVTQSRELRKNFQEFFQGMVSFPIYFPGTSFYRCMQGRKNVRNTLTDVMKERLSAPEKKYGDLVDLIVEELQSEKPVIDENFAIDALAALLFTSFATLSSTLTVALKFLNDNPKIVEELKEEHDVILKKREVMNSGFTWEEYKSLKFTTQVTNEITRISNVAPGVFRKTLTDVQVNGYTIPFGWLVMISPMAVHLNPELFEDPLKFDPWRWTEEKRSSLLRNYMPFGGGIRLCLGADFSKLFIALFLHILVTEYRWKEIEGGEVLRISEIMFPQGYHIQLIPRT >ORUFI07G14650.1 pep chromosome:OR_W1943:7:15143092:15143704:-1 gene:ORUFI07G14650 transcript:ORUFI07G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSRSTASIAAASSLGPGGGAAAAGEGAEEGEGEGSSGGSGEAAAAAGSSILGWVYRAVWAGRVVEQKGDGRVSILYVLDENVPIFYLCLSSFSPLSLLMLLSDPNLGADNASPLLSDCTGEVVPVKVELGPCQERHEVEHVETTTEPQPPDLQFRIGYHEET >ORUFI07G14660.1 pep chromosome:OR_W1943:7:15143440:15145679:1 gene:ORUFI07G14660 transcript:ORUFI07G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPAAAAASPDPPEDPSPSPSSAPSPAAAAPPPGPRELAAAMEAVERDVAAISDSYASLFASLRVALANVTSTSAENMECLGDVVGRLQESALEASSKGNKYINSCLRLNEEIRGLESLAMQLKILRKNVDSLDLAVNQLLRLP >ORUFI07G14660.2 pep chromosome:OR_W1943:7:15143440:15145679:1 gene:ORUFI07G14660 transcript:ORUFI07G14660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPAAAAASPDPPEDPSPSPSSAPSPAAAAPPPGPRELAAAMEAVERDVAAISDSYASLFASLRVALANVTSTSAENMECLGDVVGRLQESALEASSKGNKYINSCLR >ORUFI07G14670.1 pep chromosome:OR_W1943:7:15146747:15149782:-1 gene:ORUFI07G14670 transcript:ORUFI07G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNLKLGVEVTSAHDLLPKEQGTCNPYVEIEFDDQKFRTAIKERDINPVWNEQFYFNISDPSRLTEKDLEAYVYHANRASNSKTCLGKVRISGTSFVSHSDATPLHYPLEKRTILSRARGELGLRVFLTDDPSVRVSAPGQEFDFISTPTTAQEQVAANAIPNPFQETRADQVRQFQHLPKEQHQHRPQPMTAQPYYPESSYGQQQQKTYSAVGNKAEGPPPPVMRMYAQGPQQQPVEFQLKETSPTLGGGRVIGGRVIPGEKAGAYDLVEKMQYLFVRVVKARDLPHMDITGSLDPYVEVHLGNYKMKTRHFEKNQRPEWDEVFAFPREVMQSTSLEVIVKDKDFIRDDYVGRVSIDLNEVPLRVPPDSPLAPEWYRLVGKEGHRDKGELMLAVWYGTQADECFPSAIHAGSEPIDSHRHNYIRGKVYPVPRMWYVRVNVIGAQDIFPMENHIPDVFVKVRLGHQMLKTRPARSPTRNFMWNEEMMFVAAEPFEEDLIIQIEDRVAQNKDEVIGETMIPLARLPRRADHKPVLPAWFDLRRPGLIDLNQLKEDKFYAKVQLRICLEGGYHVLDESTQYCSDLRPTMKQLWKPPIGLLEVGILSANGLNPTKTKHERGSCDAYCVAKYGQKWVRTRTIVDNLNPRFNEQYTWDVFDHGTVLTIGLFDNCHISADSNHSSSPGHMDKPIGKVRIRLSTLETGRVYTHTYPLLVLHPSGVKKMGELHLAIRFTATSLLNVLFTYSRPLLPKMHYAQPLSIVQQEMLRHQAVQLVAQRLGRMEPPVRREVVEFMSDARSHLWSMRRSKANFFRLMQVFSGFIAAGKWFGDVCQWKNPVTTVLVHVLFIMLVFYPDLILPTIFLYMFLIGLWNYRFRPRFPPHMNTRISHADMTNPDELDEEFDTFPTSKSPDLVRMRYDRLRHVAGRIQTVVGDIATQGERLQSLLSWRDPRATSMFLLFCLLTAVILYVTPFQVIALCLGFFWMRHPRFRHKVPSAPVNFFRRLPAKTDSLL >ORUFI07G14680.1 pep chromosome:OR_W1943:7:15184165:15186010:1 gene:ORUFI07G14680 transcript:ORUFI07G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMLALHAGEEWQANSASGLAVNDECKFKFQELKARRGFRFIVFKIDDKAMEIKVERLGQTAEGYEDFAATLPADECRYAVYDLDFVTDENCQKSKIFFFSWSPDTARTRSKMLYASSKDRFRRELDGIQCEIQATDPSEMSLDIIRARAH >ORUFI07G14690.1 pep chromosome:OR_W1943:7:15188278:15198789:-1 gene:ORUFI07G14690 transcript:ORUFI07G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNSLYVAAASTAASAAALQWWAGSLLDGDADAAGGGGGGGGGDWLGTVLGSHVTVALLANLAAHVFLVLILSLKTLFFVQLTSSETRKVLEHIINYVLYKMFQSLARERLEQLNASPSATPSKYFRVYSALLLVLSADVLWIKLCVGFCKSCNSQLFWLMFFEPLSIGFETLQSIMVHGFQLFDIWQRHQMDSGVDYLDFQKTYKQAAGSFSEWRGRLVRNFGFVIDLISLLMSLGHYSMIFWLRGMAFHLVDAVLLLNLRALIASFWKRIKTYAKLRKALSSLDGALPDATYDEICAYDDECAICRVGPRINGWLLMSNLSKTSLSVISRSHKIYSREQLNAGLNQQRVPGHEHPIEHQNPADAVWRGAGLDSSWAPPWSSPGMDNPSSSSAVTSVGLSGVQMMMRQLTSVTDNYGHPDATWSLWPEPVAGSSVVPSSSSRPDGASAAGLRFRGTARPANGSMSEVLSMVDRVREVLPHIPDELIIEVHFYFLSTLVLAITLRTGMH >ORUFI07G14690.2 pep chromosome:OR_W1943:7:15191671:15198789:-1 gene:ORUFI07G14690 transcript:ORUFI07G14690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNSLYVAAASTAASAAALQWWAGSLLDGDADAAGGGGGGGGGDWLGTVLGSHVTVALLANLAAHVFLVLILSLKTLFFVQLTSSETRKVLEHIINYVLYKMFQSLARERLEQLNASPSATPSKYFRVYSALLLVLSADVLWIKLCVGFCKSCNSQLFWLMFFEPLSIGFETLQSIMVHGFQLFDIWQRHQMDSGVDYLDFQKTYKQAAGSFSEWRGRLVRNFGFVIDLISLLMSLGHYSMIFWLRGMAFHLVDAVLLLNLRALIASFWKRIKTYAKLRKALSSLDGALPDATYDEICAYDDECAICRVGPRINGWLLMSNLSKTSLSVISRSHKIYSREQLNAGLNQQRVPGHEHPIEHQNPADAVWRQA >ORUFI07G14690.3 pep chromosome:OR_W1943:7:15191671:15198789:-1 gene:ORUFI07G14690 transcript:ORUFI07G14690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNSLYVAAASTAASAAALQWWAGSLLDGDADAAGGGGGGGGGDWLGTVLGSHVTVALLANLAAHVFLVLILSLKTLFFVQLTSSETRKVLEHIINYVLYKMFQSLARERLEQLNASPSATPSKYFRVYSALLLVLSADVLWIKLCVGFCKSCNSQLFWLMFFEPLSIGFETLQSIMVHGFQLFDIWQRHQMDSGVDYLDFQKTYKQAAVQVEQSMSHFLYMRLLNYLNFTTRVQSCFVTAGSFSEWRGRLVRNFGFVIDLISLLMSLGHYSMIFWLRGMAFHLVDAVLLLNLRALIASFWKRIKTYAKLRKALSSLDGALPDATYDEICAYDDECAICRVGPRINGWLLMSNLSKTSLSVISRSHKIYSREQLNAGLNQQRVPGHEHPIEHQNPADAVWRQA >ORUFI07G14690.4 pep chromosome:OR_W1943:7:15188196:15191649:-1 gene:ORUFI07G14690 transcript:ORUFI07G14690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAANVEISSAKTKWELRGAKTAGASEEAALQFVPMKKQPTASVAYSEGAGLDSSWAPPWSSPGMDNPSSSSAVTSVGLSGVQMMMRQLTSVTDNYGHPDATWSLWPEPVAGSSVVPSSSSRPDGASAAGLRFRGTARPANGSMSEVLSMVDRVREVLPHIPDELIIEDLMRTNNINATVNNLLLMQ >ORUFI07G14700.1 pep chromosome:OR_W1943:7:15211085:15215036:1 gene:ORUFI07G14700 transcript:ORUFI07G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDASRLGESLDAVSAAFQSRVMELQELVLARNMYPATAIPDLAAVDVSLTAMEAQLQAVRRRLQEEREAFPKAKKLVQQSLKQQRRLQLMLANMPTGMREDVFATPLEHNSSMMFPESLNFSSAVPEVRDHDLKIKEEPTAPPKKKGRGPAPRWYISTEELDSLSSYMRGRLTLEKVNIAINEVASYADGNAHLVACPKKKLSEDTWEKALELRDIAARESVKGKHFFLETDIKGPGLKLDTTGKAILTVLRHLGRFQETRIGHHRVFILSKQQ >ORUFI07G14700.2 pep chromosome:OR_W1943:7:15211085:15215041:1 gene:ORUFI07G14700 transcript:ORUFI07G14700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDASRLGESLDAVSAAFQSRVMELQELVLARNMYPATAIPDLAAVDVSLTAMEAQLQAVRRRLQEEREAFPKAKKLVQQSLKQQRRLQLMLANMPTGMREDVFATPLEHNSSMMFPESLNFSSAVPEVRDHDLKIKEEPTAPPKKKGRGPAPRWYISTEELDSLSSYMRGRLTLEKVNIAINEVASYADGNAHLVACPKKKLSEDTWEKALELRDIAARESVKGKHFFLETDIKGPGLKLDTTGKAILTVLRHLGRFQETRIGHHRVFILSKQQ >ORUFI07G14710.1 pep chromosome:OR_W1943:7:15226947:15227926:-1 gene:ORUFI07G14710 transcript:ORUFI07G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFMSKNGGDGCGGGGGGGGVALEVTVLSAELLRLPPPSYYSLIPRLLRPYVTVSSACSMDVAAAASGEHSWNDTLVVPSSLRAVAAAAACRLIGGATPLGWCRIPAADVLDGLRPPRALLRLSYSLRYPRTSGPAHGVVHLAVRVLGDLVPPPRPPQHAPSWNGAPISARNRVLGERDRAAPALPFSETASPAGRSRTPDARNRHAPDVLSHTRSAGVALSLIRLAPAFPCRGTASLPRGRHAGPDCSHVPAPRDRASFRPCAPTPRYRRAPA >ORUFI07G14720.1 pep chromosome:OR_W1943:7:15232891:15233079:1 gene:ORUFI07G14720 transcript:ORUFI07G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVVMMCGELMPHAISSSASVLLLGEVQDHTVVSLDLTRRRTDASLRRSEAAAKGMHGDA >ORUFI07G14730.1 pep chromosome:OR_W1943:7:15236126:15236883:1 gene:ORUFI07G14730 transcript:ORUFI07G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWMEAASSSGGDRGSDGGWKQRAAGVGIEAAAVEEQQRTVQLGTEEASDVVVEGSSDPDRPSDANSGADWFVQFGGATCCCWVLMDGLMTDEGAHKRRGEWTGYVMGEGKHMIIWFLDDPPAATANPPPPPLYPPRD >ORUFI07G14740.1 pep chromosome:OR_W1943:7:15242667:15243170:1 gene:ORUFI07G14740 transcript:ORUFI07G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAADGAADGEKMILLISSDGAKFELSEAAASLSKTLGNMIEDDCATNGAIPLANVASDILAKVVEYCNKHAAAAAAKASGEEELRRFDAEFVNIDRKKLFGLINAANFLNMPCLLELTCQRAADLIKDMMPEQVREVFGIENDFTPEEEAEVRNENAWAYEM >ORUFI07G14750.1 pep chromosome:OR_W1943:7:15252487:15252972:1 gene:ORUFI07G14750 transcript:ORUFI07G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKEGSDSIILLISSDGQHFQVTEAEASMSKLVSNMIEDDCTENGVPLPNVASNVLAKVLEYCKKHAAAADEDVAVKDQELKSFDASFIDVDNTMLFNLILAANYLNVPSLLDLACQHTADLIKGKTVQEIRDTFGIVNDFTPEEEEEIRKENEWAFEN >ORUFI07G14760.1 pep chromosome:OR_W1943:7:15256239:15256831:-1 gene:ORUFI07G14760 transcript:ORUFI07G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKREGDGSSSGRGIAGGRRAEEDWRRGAQRAEEARHGRSVAGRRSRGIGGGVAGGEWRERSVAGRRSRGIGGAVTGGRGAEELRYRRRGRERSRRGGAQKRRGRPGGVGPWSGRGGQAASVRGAAGRRRGAVGGAAGRRGRRSGGAGGDSGALSLHIHHLSASTPMVARVRLGGEQLRMA >ORUFI07G14770.1 pep chromosome:OR_W1943:7:15268239:15269881:1 gene:ORUFI07G14770 transcript:ORUFI07G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQTQTVEELLQQMRRRYQIYQMQQMQWQCQEPRQCLQSQVQVQMPARNPNQSQSQEFPISAPKTPVKMLSRSRSPXXVAESSSDQRKVSATCYDCGEQGHYARNCPWKVFTRSPEKYEKQCSGSNQAPSQSTDKKDGTLQPNSGANRTVIWKMDNGRTMIITGSEFTPEDAARIPKRHRRKNTFRGVRQLTRHLIKYGPPRPEDDESGERFSADDDDNDDDDDDEDEATLNSFMERGMEFKACTRCGIVGHTASLCLPTCRCDHVPKDYQLNTVIAKTKKEQGTTVQPIRRPMADDNSSHNPSALPPTPAPAEANHGRSNIARDVQKSLLVPVSAAKVHNQKPAPRDQASRLCRNCRKPGHCFSDCPLPRAVKAVHRCSQVTSTAHDTNRQLNVQPPPQWIIVKGTVKGRIVPPSTASSLQHQRQQGKQCQETNSSLQLQRGSMLLRQHPQQVLPASGCPTIANPSNAPRIAPIRRPIGKSSLGNPMSKKILPATAVKRIAIPSSKINAQSNVSDATSVSRN >ORUFI07G14780.1 pep chromosome:OR_W1943:7:15280747:15281405:-1 gene:ORUFI07G14780 transcript:ORUFI07G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQECTLGKEMMVRNYCQHQMPLVLPGQGGTACSTPRPASNASDQGLQIELGDGRPWIDADCMRPSRMGLWRKGEPPRRLQGHDAVACGGESRGGAPANEVVRQWTAAGIRTAERREDAHGARRGRLVRRWTASAAGNQAGQVRRRGFLVLLREGGCTQSEEEGGWSNGGNGESSGASDRGGGDSCG >ORUFI07G14790.1 pep chromosome:OR_W1943:7:15281115:15303310:1 gene:ORUFI07G14790 transcript:ORUFI07G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRRQSRAFHRRARSAALGRWRSKLASVWSTPFRLCSKPTNSSNRNPQPDLLHLSPTLDLYLTICWLLPWKDKGHLMLAFLTIISFPSVHSCIPSHAKPGADLRQGRPQLCVVQRPIPIQNIPVGQSLCAYRCKVNEGYKQPTNLLLLGATKALFFWRNGKNLFQRMVIDSHNTGAEAVCPLAIDAAQQSRCSTAPMVIDSHNTGAEAVCPFAIDAAQQSRCSTAPVCPQPLVLEATTLPSPEEMLRAVEEYNRAKEAAGLPKRQTHDLFLDLEYCDEYGERHCGFPRTEQWKKELIWHD >ORUFI07G14790.10 pep chromosome:OR_W1943:7:15281115:15303310:1 gene:ORUFI07G14790 transcript:ORUFI07G14790.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRRQSRAFHRRARSAALGRWRSKLASVWSTPFRLCSKPTNSSNRNPQPDLLHLSPTLDLYLTICWLLPWKDKGHLMLAFLTIISFPSVHSCIPSHAKPGADLRQGRPQLCVVQRPIPIQNIPVGQVNEGYKQPTNLLLLGATKALFFWRNGKNLFQRYSNKNITGLLFQSESLGVVRFGEVWTESMQWTIHRRFQAVEKGDFYNCHRIKIWSKIQILMAWWC >ORUFI07G14790.11 pep chromosome:OR_W1943:7:15281115:15303310:1 gene:ORUFI07G14790 transcript:ORUFI07G14790.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRRQSRAFHRRARSAALGRWRSKLASVWSTPFRLCSKPTNSSNRNPQPDLLHLSPTLDLYLTICWLLPWKDKGHLMLAFLTIISFPSVHSCIPSHAKPGADLRQGRPQLCVVQRPIPIQNIPVGQD >ORUFI07G14790.12 pep chromosome:OR_W1943:7:15302733:15303310:1 gene:ORUFI07G14790 transcript:ORUFI07G14790.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTLDQMNYSNKNITGLLFQSESLGVVRFGEVWTESMQWTIHRRFQAVEKGDFYNCHRIKIWSKIQILMAWWC >ORUFI07G14790.2 pep chromosome:OR_W1943:7:15281115:15303310:1 gene:ORUFI07G14790 transcript:ORUFI07G14790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRRQSRAFHRRARSAALGRWRSKLASVWSTPFRLCSKPTNSSNRNPQPDLLHLSPTLDLYLTICWLLPWKDKGHLMLAFLTIISFPSVHSCIPSHAKPGADLRQGRPQLCVVQRPIPIQNIPVGQSLCAYRCKVNEGYKQPTNLLLLGATKALFFWRNGKNLFQRMVIDSHNTGAEAVCPLAIDAAQQSRCSTAPMVIDSHNTGAEAVCPFAIDAAQQSRCSTAPVCPQPLVLEATTLPSPEEMLRAVEEYNRAKEAAGLPKRQTHDLFLDLEYCDEYGERHCGFPRTEQWKKELIWSDSVHLPRIAIKILIVIDVKSFARSIDVWPWMDYDLAFSNVAKFAHFCNVEVAYP >ORUFI07G14790.3 pep chromosome:OR_W1943:7:15281115:15303310:1 gene:ORUFI07G14790 transcript:ORUFI07G14790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRRQSRAFHRRARSAALGRWRSKLASVWSTPFRLCSKPTNSSNRNPQPDLLHLSPTLDLYLTICWLLPWKDKGHLMLAFLTIISFPSVHSCIPSHAKPGADLRQGRPQLCVVQRPIPIQNIPVGQVNEGYKQPTNLLLLGATKALFFWRNGKNLFQRMVIDSHNTGAEAVCPLAIDAAQQSRCSTAPMVIDSHNTGAEAVCPFAIDAAQQSRCSTAPVCPQPLVLEATTLPSPEEMLRAVEEYNRAKEAAGLPKRQTHDLFLDLEYCDEYGERHCGFPRTEQWKKELIWSDSVHLPRIAIKILIVIDVKSFARSIDVWPWMDYDLAFSNVAKFAHFCNVEVAYP >ORUFI07G14790.4 pep chromosome:OR_W1943:7:15281115:15303310:1 gene:ORUFI07G14790 transcript:ORUFI07G14790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRRQSRAFHRRARSAALGRWRSKLASVWSTPFRLCSKPTNSSNRNPQPDLLHLSPTLDLYLTICWLLPWKDKGHLMLAFLTIISFPSVHSCIPSHAKPGADLRQGRPQLCVVQRPIPIQNIPVGQSLCAYRCKVNEGYKQPTNLLLLGATKALFFWRNGKNLFQRMVIDSHNTGAEAVCPFAIDAAQQSRCSTAPVCPQPLVLEATTLPSPEEMLRAVEEYNRAKEAAGLPKRQTHDLFLDLEYCDEYGERHCGFPRTEQWKKELIWSDSVHLPRIAIKILIVIDVKSFARSIDVWPWMDYDLAFSNVAKFAHFCNVEVAYP >ORUFI07G14790.5 pep chromosome:OR_W1943:7:15281115:15303310:1 gene:ORUFI07G14790 transcript:ORUFI07G14790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRRQSRAFHRRARSAALGRWRSKLASVWSTPFRLCSKPTNSSNRNPQPDLLHLSPTLDLYLTICWLLPWKDKGHLMLAFLTIISFPSVHSCIPSHAKPGADLRQGRPQLCVVQRPIPIQNIPVGQVNEGYKQPTNLLLLGATKALFFWRNGKNLFQRMVIDSHNTGAEAVCPFAIDAAQQSRCSTAPVCPQPLVLEATTLPSPEEMLRAVEEYNRAKEAAGLPKRQTHDLFLDLEYCDEYGERHCGFPRTEQWKKELIWSDSVHLPRIAIKILIVIDVKSFARSIDVWPWMDYDLAFSNVAKFAHFCNVEVAYP >ORUFI07G14790.6 pep chromosome:OR_W1943:7:15281115:15303310:1 gene:ORUFI07G14790 transcript:ORUFI07G14790.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRRQSRAFHRRARSAALGRWRSKLASVWSTPFRLCSKPTNSSNRNPQPDLLHLSPTLDLYLTICWLLPWKDKGHLMLAFLTIISFPSVHSCIPSHAKPGADLRQGRPQLCVVQRPIPIQNIPVGQMVIDSHNTGAEAVCPFAIDAAQQSRCSTAPVCPQPLVLEATTLPSPEEMLRAVEEYNRAKEAAGLPKRQTHDLFLDLEYCDEYGERHCGFPRTEQWKKELIWSDSVHLPRIAIKILIVIDVKSFARSIDVWPWMDYDLAFSNVAKFAHFCNVEVAYP >ORUFI07G14790.7 pep chromosome:OR_W1943:7:15281115:15302534:1 gene:ORUFI07G14790 transcript:ORUFI07G14790.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRRQSRAFHRRARSAALGRWRSKLASVWSTPFRLCSKPTNSSNRNPQPDLLHLSPTLDLYLTICWLLPWKDKGHLMLAFLTIISFPSVHSCIPSHAKPGADLRQGRPQLCVVQRPIPIQNIPVGQSLCAYRCKVNEGYKQPTNLLLLGATKALFFWRNGKNLFQRMVIDSHNTGAEAVCPLAIDAAQQSRCSTAPMVIDSHNTGAEAVCPFAIDAAQQSRCSTAPVCPQPLVLEATTLPSPEEMLRAVEEYNRAKEAAGLPKRQTHDLFLDLEYCDEYGERHCGFPRTEQWKKELIWSSIDVWPWMDYDLAFSNVAKFAHFCNVEVAYP >ORUFI07G14790.8 pep chromosome:OR_W1943:7:15281115:15303310:1 gene:ORUFI07G14790 transcript:ORUFI07G14790.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRRQSRAFHRRARSAALGRWRSKLASVWSTPFRLCSKPTNSSNRNPQPDLLHLSPTLDLYLTICWLLPWKDKGHLMLAFLTIISFPSVHSCIPSHAKPGADLRQGRPQLCVVQRPIPIQNIPVGQSLCAYRCKVNEGYKQPTNLLLLGATKALFFWRNGKNLFQRMVIDSHNTGAEAVCPLAIDAAQQSRCSTAPMVIDSHNTGAEAVCPFAIDAAQQSRCSTAPVCPQPLVLEATTLPSPEEMLRAVEEYNRAKEAAGLPKRQTHDLFLDLEYCDEYGERHCGFPRTEQWKKELIWSIKIWSKIQILMAWWC >ORUFI07G14790.9 pep chromosome:OR_W1943:7:15281115:15303136:1 gene:ORUFI07G14790 transcript:ORUFI07G14790.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRRQSRAFHRRARSAALGRWRSKLASVWSTPFRLCSKPTNSSNRNPQPDLLHLSPTLDLYLTICWLLPWKDKGHLMLAFLTIISFPSVHSCIPSHAKPGADLRQGRPQLCVVQRPIPIQNIPVGQVNEGYKQPTNLLLLGATKALFFWRNGKNLFQRVYLVASRSLEKFGLNQCNGQFIEDFKQWKKETSTTATDLDGMVVLSVCHNSGESWM >ORUFI07G14800.1 pep chromosome:OR_W1943:7:15304621:15311060:1 gene:ORUFI07G14800 transcript:ORUFI07G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQRRGTTALLPTARHGPAASDRHHSASAARRDAAATRPATSVAAANTLVSSSLIEIESTHTPPTTGRPPRTGEQDGEAAVLRQGWVKKGPWTPEEDLMLASYIQEHGSGNWRAVPTNTGGIIWSDHSW >ORUFI07G14810.1 pep chromosome:OR_W1943:7:15315861:15328910:-1 gene:ORUFI07G14810 transcript:ORUFI07G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEEKKEMQDPRTQAIASTIRVVPNFPKPGIMFQDITTLLLNPPVFKDTIDLFVERYTGKGISVVAGVEARGFIFGPPIALAIGAKFIPLRKPNKLPGEVMSKEYELEYGADCLEMHVGAVQPGERALVVDDLVATGGTLCAAIVLLERAGAEVVECACVIELPELKGRERLNGKPLYVLVESHS >ORUFI07G14820.1 pep chromosome:OR_W1943:7:15343919:15348346:1 gene:ORUFI07G14820 transcript:ORUFI07G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGNGRSSEYDDPASLEKMEELVLPLKLMPLHTNGRLYDMRLSSPTATCVINSSSGSFDPIYRAWTKKYPSALNAFDHIVAYGKGKKIALFLDYDGTLSPIVDEPDNAIMSDQMREVVRNAALHLPTAIISGRSRDKVFDFVKLTELYYAGSHGMDIMGPVGEHDSVTDHRSSINSNRKQGKGVKIFQAGTEFLPMINEVFRLLIDKTKAIDGVKIENNKFCVSVHYRNVEEKNWQLVSQCTNDVLKVYPRLRLTHGRKVLEIRPVIDWNKGKAVEFLLDSLDLASCKNVLPIYIGDDCTDEDAFKVLRDDKRGFGILVSSVPKDSHALYSLIDPSEVMEFLKRLVMWKNEEASHNK >ORUFI07G14830.1 pep chromosome:OR_W1943:7:15348609:15351351:-1 gene:ORUFI07G14830 transcript:ORUFI07G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNGERPPQGEDAPAAAAGSIGGYESLHRLLQSNLSPELFKEASRLLLGLNCGRALEAISLPEATSALAKAHNFDVQAFRFDADKEYLRQPRVIRVGLIQNSIAIPTTSHFADQKKAIMEKVKPMIDAAGDAGVNILCLQEAWTMPFAFCTREKRWCEFAEPVDGESTQFLQQLAKKYNMVIVSPILERDVNHGEIVWNTAVVIGNHGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIGVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYRDGLMISDMDLNLCRQIKDKWGFRMTARYDTYASLLSEYLKPDFKPQVIVDPLINKSA >ORUFI07G14840.1 pep chromosome:OR_W1943:7:15366284:15372871:1 gene:ORUFI07G14840 transcript:ORUFI07G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPRLLRLAPRRLQGPPRACPFAALPLHPTPARLAATTSRWRRFCAAAQASAPPPPPAATAAAAAASGEAVGEFRRRTRVADVKGGEDEGAAWVGKQLAVRGWVRTCRAQRTVTFVEVNDGSCLSNMQCVLTPDTEGYDQIDSITTGASVLVEGVIASSQGGKQKVELKVSKISVIGESDPTSFPIQKKRASREFLRTVAHLRPRTNTFGAVARVRNALAYATHKFFQDNGFVWVASPIITASDCEGAGEQFYVTTLISNSAEGGSLVKDIPSTKDGRVDWSQDFFCKPAFLTVSGQLNGETYATALSDVYTFGPTFRAENSNTSRHLAEFWVKYVLENCKEDMDFFNTWIEKGIIDRLNDVVEKNFVHLSYSDAIELLVGSKKKFEFPVKWGLDLQSEHERYITEVAFGGRPVIIRDYPKEIKAFYMRENDDGKTVAAMDLLVPRVGELIGGSQREERLDYLEARLDELNLNKDSYWWYLDLRRYGSVPHAGFGLGFERLVQFATGMDNIRDTIPFPRVPGSAEF >ORUFI07G14840.2 pep chromosome:OR_W1943:7:15366284:15374218:1 gene:ORUFI07G14840 transcript:ORUFI07G14840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPRLLRLAPRRLQGPPRACPFAALPLHPTPARLAATTSRWRRFCAAAQASAPPPPPAATAAAAAASGEAVGEFRRRTRVADVKGGEDEGAAWVGKQLAVRGWVRTCRAQRTVTFVEVNDGSCLSNMQCVLTPDTEGYDQIDSITTGASVLVEGVIASSQGGKQKVELKVSKISVIGESDPTSFPIQKKRASREFLRTVAHLRPRTNTFGAVARVRNALAYATHKFFQDNGFVWVASPIITASDCEGAGEQFYVTTLISNSAEGGSLVKDIPSTKDGRVDWSQDFFCKPAFLTVSGQLNGETYATALSDVYTFGPTFRAENSNTSRHLAEFWVKYVLENCKEDMDFFNTWIEKGIIDRLNDVVEKNFVHLSYSDAIELLVGSKKKFEFPVKWGLDLQSEHERYITEVAFGGRPVIIRDYPKEIKAFYMRENDDGKTVAAMDLLVPRVGELIGGSQREERLDYLEARLDELNLNKDSYWWYLDLRRYGSVPHAGFGLGFERLVQFATGMDNIRDTIPFPRVPGSAEF >ORUFI07G14850.1 pep chromosome:OR_W1943:7:15373005:15376354:-1 gene:ORUFI07G14850 transcript:ORUFI07G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAATGGGGGEDDAAVPLLLPVLPPAAGWCSWEWEDVAISAGLVAVQLAGAAYMVVLAPVLALGLDPLFLVTFGSLATGLFTLPFAINLERKRWPVTVFQALMLHGMKKTSPAIASTMPNLAPVFIFVVAACLGFERVDLSCRYTRAKIAGTVLCLAGAVTMSVLQSPAAAAATRSSSHRTTTAAAANAGGGDWAVGCACLLGAVLVVSGTTVLQAATMVHFPAPFTLCSATSLAGAALTGAEQSVGGLVSSVCVMFQTWALEKKGPVVVSLFSPTQTVGSAIFSALFLGRVVHPVSMLGMVFLFSGLYVVLWAKKKECQVDRMVEDGTANDIEKPLLFQD >ORUFI07G14850.2 pep chromosome:OR_W1943:7:15373982:15376354:-1 gene:ORUFI07G14850 transcript:ORUFI07G14850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAATGGGGGEDDAAVPLLLPVLPPAAGWCSWEWEDVAISAGLVAVQLAGAAYMVVLAPVLALGLDPLFLVTFGSLATGLFTLPFAINLERKRWPVTVFQALMLHGMKKTSPAIASTMPNLAPVFIFVVAACLGFERVDLSCRYTRAKIAGTVLCLAGAVTMSVLQSPAAAAATRSSSHRTTTAAAANAGGGDWAVGCACLLGAVLVVSGTTVLQAATMVHFPAPFTLCSATSLAGAALTGAAG >ORUFI07G14850.3 pep chromosome:OR_W1943:7:15373005:15373964:-1 gene:ORUFI07G14850 transcript:ORUFI07G14850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFQTWALEKKGPVVVSLFSPTQTVGSAIFSALFLGRVVHPVSMLGMVFLFSGLYVVLWAKKKECQVDRMVEDGTANDIEKPLLFQD >ORUFI07G14860.1 pep chromosome:OR_W1943:7:15379354:15382774:-1 gene:ORUFI07G14860 transcript:ORUFI07G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRGRGDGDGAALGAAVGRSVLALRGSSDGRLRRQIWSPRLAGVTGDGLGRRRHRSANLAGGWLAAAMGIVGESLAEPFGRLTTTTLFGVVPLLGGVVLAYPFPFPTIFSGYIMDPKMKQLQEALVDIETDAEQLLLARHQLVENDRIRNGNREALTALRKRAKTTKTSVPTPFEIIMKGLEGTSGKQLVKEICPTCGDHDPKEDTWLMFPGSDIFARVPFHVAHTVLDKDQERLDYDTKKLQSFVKEKSFVISEKGALADRISPGIVKSLVSLTDKPK >ORUFI07G14870.1 pep chromosome:OR_W1943:7:15391848:15405222:1 gene:ORUFI07G14870 transcript:ORUFI07G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAAGAGGGDRSSIVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVAIVPPNKTAADGMVGVSELITMARGCFDDTKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESERGVTFNGSFDHMNIDSTSVQTLEIIDPLHTELWGTSNKKKSLFQMLKTTKTTGGTRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKDTDEVLKPANGRKSQGLISDIIVLKTALDAIPFLSKVLRGAKSFLLRNIYQTICENPKYASMRKRIGDVIDEDVVHSRAPFVTCTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLANKYREDFKLPNLKIPYNNRLGFYFIVPQKDITERLPNKFIQVVRHGKNVHCSSLELASLNARNKSAAAECFLRTELCLEGLINEIREDIGILTLLAEVLCLLDMLVNSFAFTISTKPVDRYTRPEFTDDGPMAINAGRHPILESLHNDFVPNNLFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRVFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKSLIVVDELGRATSSSDGLAIAWSCCEYLLSTKAYTVFATHMESLSELATIYPNVKILHFEVDLRNDRLDFKFRLKDGVRKVPHYGLLLAKVAGLPSSVIDTAASITSRITEQEMVRKDDNCEQYRSLQMAYQVTQRLICLKQSNQGDDYIREALQNLKDGYAAGRLT >ORUFI07G14870.2 pep chromosome:OR_W1943:7:15391848:15405222:1 gene:ORUFI07G14870 transcript:ORUFI07G14870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAAGAGGGDRSSIVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVAIVPPNKTAADGMVGVSELVGKHLPTNKKITMARGCFDDTKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESERGVIITNHSLSVTFNGSFDHMNIDSTSVQTLEIIDPLHTELWGTSNKKKSLFQMLKTTKTTGGTRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKDTDEVLKPANGRKSQGLISDIIVLKTALDAIPFLSKVLRGAKSFLLRNIYQTICENPKYASMRKRIGDVIDEDVVHSRAPFVTCTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLANKYREDFKLPNLKIPYNNRLGFYFIVPQKDITERLPNKFIQVVRHGKNVHCSSLELASLNARNKSAAAECFLRTELCLEGLINEIREDIGILTLLAEVLCLLDMLVNSFAFTISTKPVDRYTRPEFTDDGPMAINAGRHPILESLHNDFVPNNLFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRVFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKSLIVVDELGRATSSSDGLAIAWSCCEYLLSTKAYTVFATHMESLSELATIYPNVKILHFEVDLRNDRLDFKV >ORUFI07G14870.3 pep chromosome:OR_W1943:7:15391848:15405222:1 gene:ORUFI07G14870 transcript:ORUFI07G14870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAAGAGGGDRSSIVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVAIVPPNKTAADGMVGVSELITMARGCFDDTKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESERGVTFNGSFDHMNIDSTSVQTLEIIDPLHTELWGTSNKKKSLFQMLKTTKTTGGTRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKDTDEVLKPANGRKSQGLISDIIVLKTALDAIPFLSKVLRGAKSFLLRNIYQTICENPKYASMRKRIGDVIDEDVVHSRAPFVTCTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLANKYREDFKLPNLKIPYNNRLGFYFIVPQKDITERLPNKFIQVVRHGKNVHCSSLELASLNARNKSAAAECFLRTELCLEGLINEIREDIGILTLLAEVLCLLDMLVNSFAFTISTKPVDRYTRPEFTDDGPMAINAGRHPILESLHNDFVPNNLFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRVFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKSLIVVDELGRATSSSDGLAIAWSCCEYLLSTKAYTVFATHMESLSELATIYPNVKILHFEVDLRNDRLDFKV >ORUFI07G14870.4 pep chromosome:OR_W1943:7:15391848:15405222:1 gene:ORUFI07G14870 transcript:ORUFI07G14870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAAGAGGGDRSSIVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVAIVPPNKTAADGMVGVSELITMARGCFDDTKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESERGVTFNGSFDHMNIDSTRTRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKDTDEVLKPANGRKSQGLISDIIVLKTALDAIPFLSKVLRGAKSFLLRNIYQTICENPKYASMRKRIGDVIDEDVVHSRAPFVTCTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLANKYREDFKLPNLKIPYNNRLGFYFIVPQKDITERLPNKFIQVVRHGKNVHCSSLELASLNARNKSAAAECFLRTELCLEGLINEIREDIGILTLLAEVLCLLDMLVNSFAFTISTKPVDRYTRPEFTDDGPMAINAGRHPILESLHNDFVPNNLFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRVFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKSLIVVDELGRATSSSDGLAIAWSCCEYLLSTKAYTVFATHMESLSELATIYPNVKILHFEVDLRNDRLDFKFRLKDGVRKVPHYGLLLAKVAGLPSSVIDTAASITSRITEQEMVRKDDNCEQYRSLQMAYQVTQRLICLKQSNQGDDYIREALQNLKDGYAAGRLT >ORUFI07G14870.5 pep chromosome:OR_W1943:7:15391848:15401856:1 gene:ORUFI07G14870 transcript:ORUFI07G14870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAAGAGGGDRSSIVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVAIVPPNKTAADGMVGVSELITMARGCFDDTKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESERGVTFNGSFDHMNIDSTRTRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKDTDEVLKPANGRKSQGLISDIIVLKTALDAIPFLSKVLRGAKSFLLRNIYQTICENPKYASMRKRIGDVIDEDVVHSRAPFVTCTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLANKYREDFKLPNLKIPYNNRLGFYFIVPQKDITERLPNKFIQVVRHGKNVHCSSLELASLNARNKSAAAECFLRTELCLEGLINEIREDIGILTLLAEVLCLLDMLVNSFAFTISTKPVDRYTRPEFTDDGPMAINAGRHPILESLHNDFVPNNLFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRVFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKSLIVVDELGRATSSSDGLAIAWSCCEYLLSTKAYTVFATHMESLSELATIYPNVKILHFEVDLRNDRLDFKFRLKDGVRKVPHYGLLLAKVAGLPSSVIDTAASITSRITEQEMVRKDDNCEQYRSLQMAYQVTQRLICLKQSNQGDDYIREALQNLKDGYAAGRLT >ORUFI07G14870.6 pep chromosome:OR_W1943:7:15391848:15405222:1 gene:ORUFI07G14870 transcript:ORUFI07G14870.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAAGAGGGDRSSIVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVAIVPPNKTAADGMVGVSELITMARGCFDDTKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESERGVTFNGSFDHMNIDSTSVQTLEIIDPLHTELWGTSNKKKSLFQMLKTTKTTGGTRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKDTDEVLKPANGRKSQGLISDIIVLKTALDAIPFLSKVLRGAKSFLLRNIYQTICENPKYASMRKRIGDVIDEDVVHSRAPFVTCTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLANKYREDFKLPNLKIPYNNRLGFYFIVPQKDITERLPNKFIQVVRHGKNVHCSSLELASPNNLFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRVFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKSLIVVDELGRATSSSDGLAIAWSCCEYLLSTKAYTVFATHMESLSELATIYPNVKILHFEVDLRNDRLDFKFRLKDGVRKVPHYGLLLAKVAGLPSSVIDTAASITSRITEQEMVRKDDNCEQYRSLQMAYQVTQRLICLKQSNQGDDYIREALQNLKDGYAAGRLT >ORUFI07G14870.7 pep chromosome:OR_W1943:7:15391848:15405222:1 gene:ORUFI07G14870 transcript:ORUFI07G14870.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAAGAGGGDRSSIVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVAIVPPNKTAADGMVGVSELITMARGCFDDTKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESERGVTFNGSFDHMNIDSTRTRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKDTDEVLKPANGRKSQGLISDIIVLKTALDAIPFLSKVLRGAKSFLLRNIYQTICENPKYASMRKRIGDVIDEDVVHSRAPFVTCTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLANKYREDFKLPNLKIPYNNRLGFYFIVPQKDITERLPNKFIQVVRHGKNVHCSSLELASLNARNKSAAAECFLRTELCLEGLINEIREDIGILTSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRVFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKSLIVVDELGRATSSSDGLAIAWSCCEYLLSTKAYTVFATHMESLSELATIYPNVKILHFEVDLRNDRLDFKFRLKDGVRKVPHYGLLLAKVAGLPSSVIDTAASITSRITEQEMVRKDDNCEQYRSLQMAYQVTQRLICLKQSNQGDDYIREALQNLKDGYAAGRLT >ORUFI07G14870.8 pep chromosome:OR_W1943:7:15391848:15405222:1 gene:ORUFI07G14870 transcript:ORUFI07G14870.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAAGAGGGDRSSIVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVAIVPPNKTAADGMVGVSELITMARGCFDDTKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESERGVTFNGSFDHMNIDSTRTRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKDTDEVLKPANGRKSQGLISDIIVLKTALDAIPFLSKVLRGAKSFLLRNIYQTICENPKYASMRKRIGDVIDEDVVHSRAPFVTCTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLANKYREDFKLPNLKIPYNNRLGFYFIVPQKDITERLPNKFIQVVRHGKNVHCSSLELASPNNLFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRVFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKSLIVVDELGRATSSSDGLAIAWSCCEYLLSTKAYTVFATHMESLSELATIYPNVKILHFEVDLRNDRLDFKFRLKDGVRKVPHYGLLLAKVAGLPSSVIDTAASITSRITEQEMVRKDDNCEQYRSLQMAYQVTQRLICLKQSNQGDDYIREALQNLKDGYAAGRLT >ORUFI07G14880.1 pep chromosome:OR_W1943:7:15402685:15408981:-1 gene:ORUFI07G14880 transcript:ORUFI07G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKPGAENPIQREISRAPHSAAARTPLCPDFRGRPTPRAALPSRIAAAAAGMATGAEAAAGAAVMGVFKYNFTAQFLSRVTPFLYNSWFVRQLSADDCAAYALQLPLFINCVLFLSREGFRRACLRNDSDSGNAISDEEILKTFRKLMLQEGEKFVLVWFDTPYNQAAYGLVDKLGSLVVRIVFLPFEESSYATFAQLASGQNPQNISNLEGSLLGALKLIMLIGLVVISFGPSYSYTLLKLLYGARYSDGDATVILRYYCFYIICLAMNGTSEAFLHAVANEDKLKQSNDMLLLFSAIYIVLNVVLIKSAGAVGLIAANSINMLLRITYSAAFIKDYFKGSFSFRHCLPAGWGVLLISGLTTAFSERMFLNRNRFKQTLPIHMAIGIMCLGFSSLEIYRGEKQFLTSIIRSLKSRDKLA >ORUFI07G14880.2 pep chromosome:OR_W1943:7:15402685:15408981:-1 gene:ORUFI07G14880 transcript:ORUFI07G14880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKPGAENPIQREISRAPHSAAARTPLCPDFRGRPTPRAALPSRIAAAAAGMATGAEAAAGAAVMGVFKVTPFLYNSWFVRQLSADDCAAYALQLPLFINCVLFLSREGFRRACLRNDSDSGNAISDEEILKTFRKLMLQEGEKFVLVWFDTPYNQAAYGLVDKLGSLVVRIVFLPFEESSYATFAQLASGQNPQNISNLEGSLLGALKLIMLIGLVVISFGPSYSYTLLKLLYGARYSDGDATVILRYYCFYIICLAMNGTSEAFLHAVANEDKLKQSNDMLLLFSAIYIVLNVVLIKSAGAVGLIAANSINMLLRITYSAAFIKDYFKGSFSFRHCLPAGWGVLLISGLTTAFSERMFLNRNRFKQTLPIHMAIGIMCLGFSSLEIYRGEKQFLTSIIRSLKSRDKLA >ORUFI07G14880.3 pep chromosome:OR_W1943:7:15402685:15408981:-1 gene:ORUFI07G14880 transcript:ORUFI07G14880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKPGAENPIQREISRAPHSAAARTPLCPDFRGRPTPRAALPSRIAAAAAGMATGAEAAAGAAVMGVFKYNFTAQFLSRVTPFLYNSWFVRQLSADDCAAYALQLPLFINCVLFLSREGFRRACLRNDSDRLSALMDYDKQLLRMCMLFTGQTFRKLMLQEGEKFVLVWFDTPYNQAAYGLVDKLGSLVVRIVFLPFEESSYATFAQLASGQNPQNISNLEGSLLGALKLIMLIGLVVISFGPSYSYTLLKLLYGARYSDGDATVILRYYCFYIICLAMNGTSEAFLHAVANEDKLKQSNDMLLLFSAIYIVLNVVLIKSAGAVGLIAANSINMLLRITYSAAFIKDYFKGSFSFRHCLPAGWGVLLISGLTTAFSERMFLNRNRFKQTLPIHMAIGIMCLGFSSLEIYRGEKQFLTSIIRSLKSRDKLA >ORUFI07G14890.1 pep chromosome:OR_W1943:7:15431335:15433972:1 gene:ORUFI07G14890 transcript:ORUFI07G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRSATRRKEAAASPAMGTRATGKSKTTEAVGARAARGNKQAGAATKREEATATAIAGTGSGQSPSPEVEMDAEAEAEPSTRKRPQASGPEEGKVEGEEGDSALAKRVRDDAEDDEEDEEAAQAFNFRHFWNFLFSAQANFEDITDVPPMRHTDDPGAIHAKCRDAVQVYSVEVKQIKCGLQWPIEVFGHVAVRDSIDRKRNLVFNRGRDDCQTLTAQDSSLVLTGPSRYVLAMDNPDFEVELKVKGITETEDKVLSSLAFTFNCMCDNGRVKRHMASNKRSTVQIKFALIPETVEATFEVEVIDGSWDPSLRPHFFASTHHLPSMKFVLLDPREGAMVINDNNNVRLTRSVVSVQVLGGLKLTAEALDDENTVVHRTTVIFKPQRAGRINGFLDLNRCKMMVKVAWSRLSIC >ORUFI07G14900.1 pep chromosome:OR_W1943:7:15434602:15441176:-1 gene:ORUFI07G14900 transcript:ORUFI07G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRARHRQQRGHGQGPPVPAPPPPPPDPTQTQGIRVFELNVSLVGAAEYTRLQNERDQHCSQLSAVHFNGTPMTTGDDSSCHLVRLTHPDYQQRVQLLFRDRDQYLLAFQRGDGRWFRFLGLEVAGLNAEILNLQSSHGSMLRGPSSNTSVGPHALMGMFVELLEFDGAVLNGLTNITNELKRALHWATVMLSEGARFQSVRRHTCQALNTGQNITLETVLWLRMRNWERMSEYWVMCQRHDDNADLPMDLDLVEKMAALDIHSFADIRQELCMLLFNPKALE >ORUFI07G14910.1 pep chromosome:OR_W1943:7:15445170:15448971:-1 gene:ORUFI07G14910 transcript:ORUFI07G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCRSLRHSLVYLNRRSSPNTRCFDALSYFAQVPEVFYYAEKAMLNPIAPLSGQEAQSLKRRIGEAAEAFTIEDKMEVGLQPTGVPCTSMMLRAAACCLLAIVLEII >ORUFI07G14920.1 pep chromosome:OR_W1943:7:15447808:15448011:1 gene:ORUFI07G14920 transcript:ORUFI07G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGVASDDMGEAAEGVEQRGFGNHLWRGVAAARGWRCRWVRVATREGEHALRHNSRWTMGGGFRV >ORUFI07G14930.1 pep chromosome:OR_W1943:7:15452032:15454841:-1 gene:ORUFI07G14930 transcript:ORUFI07G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGSARGGFAAPVSGGLPSCFRPWSRARKHTSIHPSVSSQHLPPSDLIGQVLFASHPSSCLSQSTTDGGLAWSCGFRGGRTGVSPPAVAPTMEQNITPKHLGNWNYLES >ORUFI07G14940.1 pep chromosome:OR_W1943:7:15472176:15477283:1 gene:ORUFI07G14940 transcript:ORUFI07G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAESPQWRQKATNFFSSSSFKLKQAGQSAGDNIADVAGKVGSVVKSRWAVFQESRQRQPPPGETVQERFISAAATTGVLLRKGISETKEKVAVGKVKVEEAAKKTADKSKTILNNIERWQKGVASTDVFGVPIEATVQREQSGKAVPLVLVRCADYLVISGLSNEYLFKSEGEKKVLQQLVSLYNEDSGSSLPDGVNPIDVAALIKCYLASIPEPLTTFSLYDELRDARVSIADLRNILKKLPNVNYMTLEFVTALLLRVSRKSSLNKMDSRSLAVEFAPLIMWQQGDSGTDLRNHLRFTLKAPPKIVDTTSNTTEWDLLDEDDVDASSQIPLDDASPPDYSSIEVIQSLIEHHNAIFTDANETVWR >ORUFI07G14950.1 pep chromosome:OR_W1943:7:15483447:15484093:-1 gene:ORUFI07G14950 transcript:ORUFI07G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDNTVRWPASGGWRRLRRRHCFGPRCRTPGSTITSKEVFTWANSNNQRLLHVGDIDRISKSYICTSCSMWLAVNDRVESAGDGDDGWLLLRNAELISIPRSSLYHTATSFHDSIIDYCSPLGCPLQYQSQDTDHSLHSYSKI >ORUFI07G14960.1 pep chromosome:OR_W1943:7:15504401:15505091:1 gene:ORUFI07G14960 transcript:ORUFI07G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATAAAGGSATAAVDGLGGGAGSGLGDGGGGGLGGGRSSGGEGRGGGSGLRRRIRRRGTRRPADRASSRFSVCPTAMVAVLALLSTNLSLSLSRADLAAIVIAEPRQLCAKADPIAASARLGHPVRRRRAPPPALCNADVISAVRATTSGGDQTRLIDAIKEAGGDHVRR >ORUFI07G14970.1 pep chromosome:OR_W1943:7:15505661:15507056:-1 gene:ORUFI07G14970 transcript:ORUFI07G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQLRLGPAGERTEMDMVAAHVLVFPCPVHGHITCMLYFATGLLAAGLHVTFLHSDHNLRRAGRGDDAQLAAAASSPRLRHVSIPDGLPVEHPRDVGRIVELMESLQTKASVAYRSLLASLVGGFPPVTCVVADGIMSFAVDVAEELGVPALAFRTDSACSFLAYLSLPRLLELGELPFKDGDDLDEPVRGVPGMESFLRRRDLPSQCQDCDDPENDPLLQIVYGFTAHSGNARALVLNTAASMERAALAHIAPHMRDVFAIGPLHAMSPAPAAGGAGGSPWREDDGCLAWLDGQADRSVVYVSLGSLTVISLEQFAEFLSGLVAAGYPFLWVLRTDMVGASQNAAVQEAVAAAGRSKARVVEWAPQRDVLRHRAVGCFLTHAGWNSTLEAAVEGVPTVCWTFFVDQQINSRFVGAVWGTGLDMKDVCDAAVVERMVREARSPARSGRRLRRWRGR >ORUFI07G14980.1 pep chromosome:OR_W1943:7:15513005:15514465:1 gene:ORUFI07G14980 transcript:ORUFI07G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAHVLVFPAPGQGHINCMMHFAAGLVGAGLHVTFLHTDHSLRRLGGAAAAVSPRLRFMSIPDGLPDDHPRAVGDIVELLESLRTNGSVPYRSLLASLVRAGDGGGFPPVTCVVADGSMPFAADVAEEIGVPSLVFRTASACSVLAYLSVHRLFELGEVPFPADGDLDEPVRGVPGMESFLRRRDLPGNFRNCTENQNDPIVQMLIEVTAHNRRARAVVLNTAASMEGPAFAHVAPRMRDVFAIGPLHAMFPVPAAAGSLWRADDGCMAWLDGQPDRSVVYVSLGSLTVISLEQFTEFLHGLVAAGYPFLWVLRPDMVGASQSAAALSEAVAAAEKNNKARVVGWAPQRDVLGHRAVGCFVTHAGWNSTLEAAGEGVPMVCWPFFADQQINSRFVGAVWGTGLDMKDVCDAAVVERMVREAMESGEIRASAQALARQVRQDVADGGSSAAEFERLVGFIKELSMMDRSCKLNSSYSIAE >ORUFI07G14990.1 pep chromosome:OR_W1943:7:15516219:15517675:-1 gene:ORUFI07G14990 transcript:ORUFI07G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICNSHVMEKKLLDEIDSIPEAQPLGRAPPQTPAPAEQIHRQARGAKTPHKATNLFAAPVLIDNYSMCAITVESGKRGSSAAEFK >ORUFI07G15000.1 pep chromosome:OR_W1943:7:15584956:15587832:1 gene:ORUFI07G15000 transcript:ORUFI07G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSARRRKEEEAAASPAAGMRAARKSKATPAVGALAARGNKQAAAATKREEARALAIAGTGSGQSPPPEVEIDAEAELSTRKRPQVEGEEGGSESAKRVRDDAVEEEEEVDEEAAQVFDFRRVWNELYSAQGNFEDITDIPPMRYTDDAETIYAKCYAAVQVYAVEVTQIRCGLQWPIEVFGHVAVRDSIDRKRNLIFSRGRDNCQTLTAEDSSLVLTGPSRYVIGSDNPDFEVELKVKGITETEDKVLSLLAFTYNCICSDGRVETKTRSNKRGTVQLTFAVLSATVEATFEVKIVNGSWDSSLRPHLFASTHHLPRMKCVLLDPREGPMVVSGDGSVKLTRSVVSVELLGGLKLTAEALGDEKTVVDSGTVIFKPQRAGRADGFLNLKGCKMAVRIAWSRLSFF >ORUFI07G15010.1 pep chromosome:OR_W1943:7:15635149:15639205:-1 gene:ORUFI07G15010 transcript:ORUFI07G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILTVLPLVLVMLLLPLAAIASGDPPWQHYCGSSGNYTAGSKYQANLQALAATLPSTASSSSPALFAKDAAGGGDAEPDRVFALTLCRGDTASANASSSSCADCASRAFRDAQSVCPYSKEVAVYYDPCLLYFSGDDFLSSPANPAQVRLYDVDRSTRRGGGGADFVTLVRALLSYTMQWAVPYNSTGGGAAAVRWYTTVRMDVVTPPLFSLMQCTPDMSGGDCRQCLQDLVGNTTFNGSVSGVRNIGARCGYRYDTYKFYGGEPKLKIGSLSEINSTAPSSPPPPPPVTETRSGRKKVLTVALLVPLIALCPVVIFCFAWIRRLRNHYSCVISLLITQINVAKKGYNGQRRSIKALETRRKRFRIEDATSNFSEDKKLGEGGFGSVYKGQLPNGLEVAVKRLAAHSSQGLVEFKNEIQLIAKLQHTNLVNLRGCCIQGEENLLIYEYMPNKSLDFFIFDLKRAALLNWKTRLNIIEGITQGLLYLHKHSRLCIIHRDLKASNILLDRDMNPKISDFGLAKIFDSNDVQRNTKRVVGTYGYMAPEYASEGCFSLKSDVFSFGVLVLEIISGKRNAGFHQYGDFFNLLGYAWQLWKDGSWHELVDPSLVSEGQMMEIKKCMKVALLCVQENAVDRPTMSAVVKMLSSELKILPEPKQPAFFNVRVKHGELSNTAPSSINDVTITIVNGR >ORUFI07G15020.1 pep chromosome:OR_W1943:7:15666722:15668218:-1 gene:ORUFI07G15020 transcript:ORUFI07G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAHVLVFPFPAQGHINCMMHFATGLLGAGLHVTFLHSDRSLRRLGGAAAALAAGSPRLRFLSIPDGLPDDHARAAGDLLEHMESIRTKGSVAYRRVLLASLVRAGDDGSTGVQFPPVTCVVADGIMPLAIDIAEEIGVPALGFRTVSACSFLAYLSIPRLFELGELPFPDGGDLDEPVRGVPGMETFLRRRDLPSFCRGGGGGDSQNDPMLQTVNEVTAHSRKARALVLNTSASMEGPALAHIAPHMRDVFAIGPLHTMFPAPAAAGSLWRADDGCMAWLDGQPDRSVVYVSLGSFTVISLEQFTEFLHGLVAAGHAFLWVLRPDMVGASQSAALREAAAAAGDSRARVVEWAPQLDVLRHRAVGCFLTHAGWNSTLEAAGEGVPTVCWPFFADQQINSRFVGGVWRTGLDMKDVCDAAVVERMVREAMESAEIRASAQALARQLRRDIADDGGSSAAEFQRLVGFIKELSQSMADSKSDDLLTAGIRNALN >ORUFI07G15030.1 pep chromosome:OR_W1943:7:15676435:15677183:-1 gene:ORUFI07G15030 transcript:ORUFI07G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGGRPGYPMIEPNLLALARSKMEKKMICRKCYARLPYKATNCRKKKCGHTNEVIISILVFFMVHFDSGK >ORUFI07G15040.1 pep chromosome:OR_W1943:7:15678653:15687593:1 gene:ORUFI07G15040 transcript:ORUFI07G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPRWLAVVGERGEQRQRLTAVGGRREWRRRPALPLIVLVLAVTDVVSDGSPGSGPLSADVPVSIEEPRAGDVGEECDMFDPLYVGFRCSENGRPDDPYTKWRWRSLRCFH >ORUFI07G15050.1 pep chromosome:OR_W1943:7:15690389:15692074:1 gene:ORUFI07G15050 transcript:ORUFI07G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATMQLVVGLAGVASSSPRLAPPCAVATATTTSSCSVRKQSCSCCGAAHLPRRLQHKQQRRCGIRVRAVETDAAAGGEAKAAPEEPPSVDFAFVAPRLLPDGTPDVHYRTACGGQKLRDIMLDNYIDLYGPYDKLLLNCEGGGECGTCIVEVVEGGELLSPKTDVEKELLKRKPKTWRLACQATVGNPDSTGQMVIQQLPEWKIHEWDK >ORUFI07G15060.1 pep chromosome:OR_W1943:7:15698665:15701148:1 gene:ORUFI07G15060 transcript:ORUFI07G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAPAPAHVLVFPWPIQGHLNVMLHLAVALAGAGVHVTFLHTDYNLRRLGAAAAAAVASPWLRFMSVTDGLPDDHPRTVANLGEISRSLHTAGRAAYRALLASSSQLVPADAAGGGAFPPVTTVVADALLPFAIDVAEELSVPALAFRTASACSFLAYMSVPRLVELGELPFPPGGDLDEPVRGVPGMEGFLRRRDLPSPCRHHGANNNDDAAALLGRLVDAAVHCSKARALILNTAASLEAPALAHIAPRMRDVFAVGPLHAMSPAPAAATSLWRADDGCMAWLDCQADRSVVYVSLGSLTVISPEQFTEFLSGLVAAGNPFLWVLRPDMVTARRRHADLQESVTAAAGDSKARVVGWAPQRDVLRHRAVGCFLTHAGWNSTLEAAVEGVPTVCWPFFVDQQINSRLVGAVWRTGLDMKDVCDAAVVARMVREAMESGEIRASAQSVARQLRRDVAEGGSSAMELKRLVGFIGELATPIQHAKSESELY >ORUFI07G15070.1 pep chromosome:OR_W1943:7:15702926:15709082:-1 gene:ORUFI07G15070 transcript:ORUFI07G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREKRCCSCKRESSILEERRVAAPAEGRSAQKRKHFDELAAREEIEVISVNVVYLKLTCPCFQRGMYQMSINESAQPQGPSFFLEMLGQGDCLLSQPPIMQPQTTGMYTAEQMMGYAGSTQSYGEPCSYGPSQLDDPLPITQPTQDYGHVDFSGVEVACRFVRERHSPERLSLSGRRALAGARRKGKKKDTTTSRNFDDEANE >ORUFI07G15080.1 pep chromosome:OR_W1943:7:15710217:15711659:1 gene:ORUFI07G15080 transcript:ORUFI07G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAHVLVFPMPLQGHINVMLHLATALAAAAGVHVTFLHTDHNLRRLGNAAAATTAGSPRRLRFLSVPDGLPDDHPRSASDVPVMVDSLLGAGQAAYRALLGSLLVGSGGAGGSPPVTSVVADALLTFAIDVAEELGVPALAFRTASASSLLAYMSVPRLFELGELPFPPGGDLDEPVRGVPGMEGFLRRRDLPSTFRRHGNDHDVHPKLQMLVDLTTGSCKARAVILNTAASLEAPALAHIAPRVRDVFAVGPLHAMSPAPAAATSLWREDDGCMAWLDGQADRSVVYVSLGSLTVISPEQFTEFLSGLVAAGHPFLWVLRPDMVTARLQHADLQEAVAAAAGHSKARVVRWAPQRDVLRHRAVGCFLTHAGWNSTLEAAVEGVPTVCWPFFVDQQINSRFVGGVWGTGLDMKDACDAAVVARMVREAMESGEIRATAQALAEKVRRDVADGGSSATEFKRLVGFLQELATRIQHAN >ORUFI07G15090.1 pep chromosome:OR_W1943:7:15711679:15724805:-1 gene:ORUFI07G15090 transcript:ORUFI07G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGWDPLVGSEIHGFLTYPDLNYEKLVAEAAARWFRPNEIYAILANHARFKIHAQPVDKPVSGTVVLYDRKVVRNFRKDGHNWKKKKDGRTVQEAHEKLKIGNEERVHVYYARGEDDPNFFRRCYWLLDKDLERIVLVHYRQTAEENAMAPPNPEPEVADVPTVNLIHYTSPLTSADSTSGHTELSLPEEINSHGGISASSETGNHDSSLEEFWANLLESSIKNDPKVVTSACGGSFVSSQQINNGPKNSGNIVNTSMASNAIPALNVVSETYATNHGLNQVNANHFGALKHQGDQTQSLLASDVDSQSDQFISSSVKSPMDGNTSIPNEVPARQNSLGLWKYLDDDSPGLGDNPSSVPQSFCPVTNERLLEINEISPEWAYSTETTKVVVIGNFYEQYKHLAGSAMFGVFGDQCVAGDIVQTGVYRFMVGPHTPGKVDFYLTLDGKTPISEICSFTYHVMHGSSLEARLPPSEDDYKRTNLKMQMRLARLLFATNKKKIAPKLLVEGTKVANLMSALPEKEWMDLWNILSDPEGTYVPVTESLLELVLRNRLQEWLVEMVMEGHKSTGRDDLGQGAIHLCSFLGYTWAIRLFSLSGFSLDFRDSSGWTALHWAAYHGRERMVATLLSAGANPSLVTDPTPESPAGLTAADLAARQGYDGLAAYLAEKGLTAHFEAMSLSKDTEQSPSKTRLTKLQSEKFEHLSEQELCLKESLAAYRNAADAASNIQAALRERTLKLQTKAIQLANPEIEASEIVAAMKIQHAFRNYNRKKAMRAAARIQSHFRTWKMRRNFINMRRQVIRIQAAYRGHQVRRQYRKVIWSVGIVEKAILRWRKKRKGLRGIASGMPVVMTVDAEAEPASTAEEDFFQAGRQQAEDRFNRSVVRVQALFRSYKAQQEYRRMKIAHEEAKDHNNNHCTTINVRNGFD >ORUFI07G15100.1 pep chromosome:OR_W1943:7:15729622:15734664:-1 gene:ORUFI07G15100 transcript:ORUFI07G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWRAAAARISAAAESRLLSRAFSRVGTPAPQPPCRGFSKASAAAAASSRGPAAAAATTTATAAGARPEVRLNSMFLSKPCSLALPPDSPLRVEDPKFEGIKHLMLTLLLFYSKQSKSIRGANVVYHRITSQVDKSDIFDVFQLEKTFKTTFSLLVLHMWLILRRLKEEGKDGVKFGQYIYEIYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVKYDSAISPEARQDDLVNVIWRNVYADEGSEAMDAAAALARYTRREATCLSLTDKEAMFSGNFKFTALLPNSPSPAKPVR >ORUFI07G15110.1 pep chromosome:OR_W1943:7:15734972:15738848:1 gene:ORUFI07G15110 transcript:ORUFI07G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSSSRSVLPRCGGGGVAGVAAAPFRTASASSRRKGTSGFVCALGCDGEGKKSVAEGTVRRRAALALLLASPAMSVAFSAHGKTKSRNPYDERRLLQQNKKIQEANRAPDDFPNFIREGFEVKVVTSDNYITRDSGLLYEDIKVGTGNSPKDGFEEGIRDMKPGGKRRIIIPPELGPPDTVGKALLKISSIIKIEDVFAGTLVGPSTFFSAKQFEVFDIELLAVQDCQRRTIAFYSDVVCS >ORUFI07G15120.1 pep chromosome:OR_W1943:7:15742644:15749880:1 gene:ORUFI07G15120 transcript:ORUFI07G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRRTTARGGGGGGGGGDGDGGGGGRAVPPFAGNNADHNPRELRSWARRTGFHPSTFFSGESAVSTSSFASSVMPQPPPPPPPPATSRRPPRAPERELDTEEDGDEESDLPARPRLDLERGVRGGRGARGGRPPPPRRRIDLRGELELEIPDAAPAAEEDPAPAPARGGRADARRANGVERVAAVNGGARNGNGGGAHAAAGAEARKKAEEAEAKRKAEEAEARRKKEEEARDAELAAYYQEQWANEEDGGGEGGAPAVTSETAPLYGESGLRCGVTENPGWVPLIFYGIQHYLSIAGSLVFVPLILVPTMGGSDEDTATVISTILLVSGLTTILHTFFGSRLPLIQGSSFVYLAPALVISNSEEFRNLSENKFKHIMRELQGAILVGSVFQIILGYSGLMSLFLRLINPVVVAPTIAAVGLAFFSYGFPQAGSCVEISMPLILLVLLCTLYLRKVSLFGNRIFLIYAVPFSVAVVWAYAFFLTAGGAYNFKGCNSNIPSSNILMDSCKRHLETMRRCRTDASNAWRTAAWVRVPYPFQWGPPTFHFKTSIIMVIVSLVASVDSLSSYHATSLLVNLSPPTRGVVSRGIGFEGISTLIAGVWGTGTGSTTLTENIHTLENTKMASRRALQFGAVLLVIFSFFGKIGALLASIPVALAASVLCFTWALIVALGLSTLRYTQAASSRNMIIVGFTLFISMSVPAYFQQYEPSTNLILPSYLLPYAAASSGPVRSGSNGLNFAVNALLSINVVVALLVALILDNTVPGSRQERGVYIWSDPNSLEMDPASLEPYRLPEKISCWFRWAKCVGI >ORUFI07G15130.1 pep chromosome:OR_W1943:7:15746891:15747076:-1 gene:ORUFI07G15130 transcript:ORUFI07G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNSVNPTIIMFLELAAWVYRNVDKPSATISAQVKHRTEAAKATGMEARRAPIFPAAKKF >ORUFI07G15140.1 pep chromosome:OR_W1943:7:15748208:15752735:-1 gene:ORUFI07G15140 transcript:ORUFI07G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVENRGGGGFGSKRSRNDVSVREGDWTCPQCGNVNFSFRNVCNRGACGAPRPSPSLSPRVPPPPAAGYDRPHLGYDRPHLFYGSAGTPPPIPLGSGSYGAPYPHLGLRYGYGPPVGPPASYGLFSSYGQPGPMGSPMGGMGYGPGPELGRYGYGFRGSPMPVSSPWSGGALVENNDSSASRKRRGGPDGMAENDWICPKCENVNFSFRNSCNMKKCGAPRPSPGSNATPCRKDKDAPEGSWTCPECNNLNYPFRTACNRKGCGSSRPAAATAN >ORUFI07G15150.1 pep chromosome:OR_W1943:7:15755975:15760811:1 gene:ORUFI07G15150 transcript:ORUFI07G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAWLLRGCRDECSASDQLKQARDVFVAKEAVLQKKISQEMERAKEFTKSGNKQAAMQCLKRKKYYESQMNQVGSVQLRINTKEKMIADHSGNKEDK >ORUFI07G15150.2 pep chromosome:OR_W1943:7:15755975:15760811:1 gene:ORUFI07G15150 transcript:ORUFI07G15150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAWLLRGCRDECSASDQLKQARDVFVAKEAVLQKKISQEMERAKEFTKSGNKQAAMQCLKRKKYYESQMNQVGSVQLRINTKEKMIADHSGNKEDK >ORUFI07G15160.1 pep chromosome:OR_W1943:7:15759100:15763033:-1 gene:ORUFI07G15160 transcript:ORUFI07G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAERRQAELIEQFSAQAAALSSSAPQLAALVLEATSHPALFAFSELLSLPALSKLTGTQYASSLDVLRLFAYGTLKDYKSNSGNLPALLPDQVRKLKQLSVLTLAESTKVLPYDQLMQELDVSNVRELEDFLINECMYSVQFATGRDLTPDQLNNMIDTLSDWLGTSDNLLHQIQEKIKWADTMSEVNKKHQKEFEDKVEEAKKSIKEATTNGIVSMAYLPASPLVEVLTPYLETFWHQS >ORUFI07G15170.1 pep chromosome:OR_W1943:7:15770963:15773452:1 gene:ORUFI07G15170 transcript:ORUFI07G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSESGGRPSMFLAATSWHCVHPPSPTVSTHWDAASHEARGAAAASSPTSPRAASTNPPSATSAEPLHTCVFSGSVAGPSRQAALSYLTTQPSRMARPLLAAPHLARRRDAAAGVEARDAVRSRDVRAALRHGERRRVGEWLRRHHHRWMTGWRGARRSSSGLPGARPQWSKGALRPDLRRRRQGPARLRSPRWRVRGRVGAPLRRVEARGRRGGEALLSLRGPPAADGGGALPLHVRPAVEGDQARLPRVRPAVEDAEGGGAPPLPLQLHVRPAVEGAVEDVEGGGALPLRVRPAVEGAVEDVEGGGALPLRVRPAVVEGGVGVGAEPQALVAGVGDAAQGGEAVVVAVADDKSAARESASIELGVVYQQMEAVWVKIEREVEERRKNREIAKKTRDWASAIDSTKRSEAKIDDLIKQYDSHSRRASELRLKMVNLQTRPISPPPRLRRHPSHLLPRKGG >ORUFI07G15180.1 pep chromosome:OR_W1943:7:15774585:15774890:-1 gene:ORUFI07G15180 transcript:ORUFI07G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNGALSGAGRSISSTTKKKAEAASRSPQQQQPDHDVEAMEPDAEWEILRPLSPDPPELEEALRRLAEAERITGDERAAAAARARPGEKRSVSELPAGWK >ORUFI07G15190.1 pep chromosome:OR_W1943:7:15779628:15781601:1 gene:ORUFI07G15190 transcript:ORUFI07G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFCHVPLCRRVAAASHGGHPHQPPLPPPEWIEPYTDLSDPRPYAASSASSSPTPSPWLARVVSLILRSPPATLAADLRAFCATFLLRLSPAFVAAALRSPHLLPNPLPSLHFYRSLPSAVAADLLSHPHHLVSCYVSLLRSFAHSSSSSSREAAPDAAAAAAHARQLVAELRAHGDTALRHLTPSSSASLIRSLAALGLAEELLWAWKAMRLAGVEPSRLIYNCLLDGLVNAGLLDTAVNVFDAMSTEDQVRPDVVSYNILIKGYCRAGRAHDAMARLSEMQEQAKLTPDKVTYLTLMQCHYSEGTFPVCIGLFQEMEERGMGNDIPQHAYVLVIGALCKDGKPFEGMAVFERMLKRGCAANAAIYTALIDSMGKFGREKEAMALFERMKDSGLKLDSVTYGVIVNCLCRFGKLDEAVACFRSCQEKGIAVNAIFYTSLIDGFGKAGMVDQSKELFEEMIAKGFVPDSYCYNVLIDGLAKSGRMDDACALYKRMEDDGCDQTVYTYTILIDGLFKEHKNEEALKFWDAMIDKGITPTAAAFRTLASGLCLSGKFSRACRILDELAPMGVIPETAHEDMINVLCKAGRFKQACKLADGIVQKGREIPGRVRTMMINALRKAGNTDLAVKLVHSKIGIGYERSGSIKRRVKFQTLFQ >ORUFI07G15200.1 pep chromosome:OR_W1943:7:15789762:15797026:1 gene:ORUFI07G15200 transcript:ORUFI07G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAVASPSRTPSRGPPAAAADTTAPSPASPPRRLASAPPAVDASSPESARSGELAATPDPSSPLLASRSEEYRLLFRLPPDEVLVQDFNCALQENILLQGHMYLFLHHICFYSNIFGYETKKTIPLQEVTDVRKAKTAAIFHNAIEIIAGTKRHFFGSFLSRDEAFRIIVEGWEQHVSDARLLLERQDAKSGNSSDENGYVLLEGAKETKQDDDSSPLDRSVNGTAVTSGSNDSGDSDVNISKRSSEVLENESEDKCTAATALNPFILGPFDDEAPNVPEPFALITESKFQVPVEVLFNMLLSDSSFGFLDDFHKKCGDKGAKFGSCQEVQKLRVYKNRHLMIQTSQQIGDAPYGDHFTVEGIWDVEQDSLDESSCYLRVYINVAFSKKTIFRGKIDQSTKDECRDVFGLWVKLGHDLLKQDSSCHSRGPSSSTNVDDPSGTTLSSENPLENTDPGSSSAPDEPVVRSIVPSIHDHQQSIVWDSIISTSQELWRSLLSYIQSSQLGPVLALTLVTIIVLLLRSPKVYMVNQETSPSGFSYSKESIEWVQKRLNLLGEEMRMAESHLEMMQHEFAWLKSHLERLQRLRSSSS >ORUFI07G15210.1 pep chromosome:OR_W1943:7:15801231:15802765:1 gene:ORUFI07G15210 transcript:ORUFI07G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAVAVVIALLAAFLTPLAVYLARRASPPPPPRRNLPPGSLGLPLIGQSLSLLRAMRRNTADRWLQDRIDRYGPVSKLSLFGAPTVLVAGPAANKVVFHHEALAPKQPRSLAAIIGRRNILELVGDDHRRVRGAILQFLRPDMVRRYVGKIDSEVRRHLAARWAGRRTVAVFPLMKTLAFDVIATLLFGLDRGAIREQLADAFDGMHEGLWTVPVDLPFTPFRRGLMASARARRLVEATVREKAAKLEHGESSPSDDLISCLLSLRDGGRQLLTEEEIVDNSVLALVAGHDTSAVLLTFMLRHLANDPATLAAMAQGKNPTLPSPDEHSEHEEIARGKRDGEALTCEDVAKMKLSWRVAQETLRMVPPVLGSFRRAPVDVEFEGYTIPRGWQIFWSPSVTHMDPAIFHEPTKFEPSRFDGAAAAAAYSFVPFGGGPRICPGMELARVETLVTAHYLVRHFRWKLCLGEEKNTFLRDPMPTPHDGLPVELDHIAPLC >ORUFI07G15220.1 pep chromosome:OR_W1943:7:15804183:15806160:-1 gene:ORUFI07G15220 transcript:ORUFI07G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATTSSHALSCYLHPRRRRRGNVAVSPRSEGGGARRKEEVEIVIVGAGVAGLATAASLRRLGVGATVLEQGASLRAGGTSLTLFKNGWRVLDAIGVADELRAKHLRIQGMKMRSASSPASAAGGVLREFSFEEEAPGQEVRAVERRALLEALASKLPPGAISFSSKVRRVAVAGQQQGPGGATELELEDGRRIVAKVVVGCDGVNSPIARWMGFSEPRYVGHMAFRGLARYDGDGGQPFEAKVNYIYGRGMRAGFVPVSPTRVYWFICFNRPSPGPKITDPAALKREALELVRGWPEDLLAVMRDTPDDAVVRTPLVDRWLWPVLAPPASRGGVVLAGDAWHPMTPNLGQGACCALEDAVVLARRLATAAASEGGEASSYGEAMRAYERERWGRVFPLTARAGLVGALVQWGNPAVCAARDGVVIPRLVRLGPFLEHTNFDCGLLEPSAAAAAPSP >ORUFI07G15230.1 pep chromosome:OR_W1943:7:15807548:15809616:-1 gene:ORUFI07G15230 transcript:ORUFI07G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSFIMIKPDGVQRGLIGDIISRFEKKGFYLRGMKFMNVERSFAQQHYADLSDKPFFPGLVEYIISGPVVAMVWEGKDVVATGRRIIGATRPWEAAPGTIRADYAVEVGRNVIHGSDSVDNGKKEIALWFPEGLAEWRSNLHPWIYES >ORUFI07G15240.1 pep chromosome:OR_W1943:7:15810963:15823826:-1 gene:ORUFI07G15240 transcript:ORUFI07G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWKENASPLPLHPGGSSSLLPRKRPPPSPPPPQPPCPPPRRPLADVTGNALRQRGSGGGGCGYGYSTPAPKAPRPSCRFLLDDDEGMDEAFLREVDAICEEHERSSARKDKEAGEAPPSIPSEPESGVSGDAFRKEENAIGEEGDAQPLATSQEEMEDADEEEICELWFGDDSLPPAISIATGGGEFEDAFWNISDITEEVHHTGSSAKCQEDMDGKNSDGPSVPSVICHEEREGELVDAFLEDLDAIHQGDATKGQEEPQETELEIEENEGCVPKKYYEYLQSLNDRQREAACSDVTIPLMIVAGPGSGKTSTMVGRVLTLLKEEFPPSNILAMTFTTAAASEMRDRIGTVVGKAVAKEIVISTFHSFCLQLCRTHAEKLGRTSEFIIYGNGQQRRAVIEAERLLESDKNNGLGDANKNCDGDIKNSFKDKAKKWQKFVTQAKASGRTPEEYEKKGDLTGASILRHYNEILRSCNALDYHDFINSSITLLTKFPEVYDECRNTWQAIVVDEFQDTSAMQYYLLKTLASHNRITIVGDEDQSIFSFNGADVSGFDSFRRDFPNHKEVRLSKNYRSTRAIVEAATALIHNNTKRQSHKLAETDNPSGNKIIVKECHSEDSQCAFVIDKIIETTSSSVEGCHFGKIAVLYRRQITGKAFQASFRNRKIPFNIHGVAFYRKKVIKAIMAILKTTLPGCDDDAPWHQAFKAILPGDKEEKKKIIHHIEKISLARKCSFISAATDIFSAKVSGTFKRAQITQGRKVLSALDSLSKLVEREQSVSVVISSAGDMLPQKYLLEKRAIVDADGGKLLNEDNDIRSVLQFLMDDVSDFLSTHFSSSVDTSKTEEKGCASTLKAFIDYISLRETENFRSRKEENKNSITLTTIHQSKGLEWDVVFIVQANDSEIPLLHEYNGTVKEAGSTLEEERRLFYVAMTRARKKLYILHVTLLQPSRFLREIPAHLLEVQGEGTVRRTPEQPVNIPFDQPEGDTSVERPMVVRNETSPFPEMDQPCLANDFLKRFEIEDRAIISHIFHQWAKKQAFQNPKRLLDKIGFVIDERLRGKGYKRKDVLCKLKSFLSGDEAFGYAQYEHFQKQRIENSMGSSEPTPKQISYLRNLGCTITPTSRLHASHLIEKYKSL >ORUFI07G15250.1 pep chromosome:OR_W1943:7:15826840:15829698:1 gene:ORUFI07G15250 transcript:ORUFI07G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVRTLDECITLEVEGSDTIGDVKVKIHDKNGIPADDQRLMHAGRQLDDRRTLADYGIQTEHTLRLAIRLRRRGQPPHTRAQAQ >ORUFI07G15250.2 pep chromosome:OR_W1943:7:15826840:15829698:1 gene:ORUFI07G15250 transcript:ORUFI07G15250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVRTLDECITLEVEGSDTIGDVKVKIHDKNGIPADDQRLMHAGRQLDDRRTLADYGIQTEHTLRLAIRLRRRGQPPHTRAQAQ >ORUFI07G15250.3 pep chromosome:OR_W1943:7:15826840:15828466:1 gene:ORUFI07G15250 transcript:ORUFI07G15250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVRTLDECITLEVEGSDTIGDVKVKIHDKNGIPADDQRLMHAGRQLDDRRTLADYGIQTEHTLRLAIRLRRRGQPPHTRAQAQ >ORUFI07G15260.1 pep chromosome:OR_W1943:7:15831353:15831589:1 gene:ORUFI07G15260 transcript:ORUFI07G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWIPSMEAGIKDLNDPGDQATGDATARAAITASLRTITVTSGLSTRPDFAANLFSAYSSAGRPVLAAAELRARPPT >ORUFI07G15270.1 pep chromosome:OR_W1943:7:15831695:15832407:1 gene:ORUFI07G15270 transcript:ORUFI07G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYARRRSVRDAVRLFDEMPERLLNETPTLLQIKPNSLTFLAMLSACCDEGLADEGYKMF >ORUFI07G15280.1 pep chromosome:OR_W1943:7:15833263:15835485:-1 gene:ORUFI07G15280 transcript:ORUFI07G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGRMTAKPGVVTTDQERALPESRTTATDAPEAAGLEVTTDEPLAWKPKHLQITQSSYKEPGTAQELPHQLLHKSKAMDMFAQMLQVKWMRCYYWVMLLCDALGSSCCC >ORUFI07G15290.1 pep chromosome:OR_W1943:7:15839354:15843368:1 gene:ORUFI07G15290 transcript:ORUFI07G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPMSDTCAIVACTVALEGMHRKVYEESNGVGTFPAAWQAAGSWNEQLRLACERKGVWKAREGANVGDVLIKIQELAGVVTSVPGLLMPLLRWEKHSSELTRERVAELIDLGPCIGRLWVCPWQGVKNRRDECKELYEDKVMGSHAVVCLAYRFWEEGEEMHVLVLDNHDDDGPQRWVDVEELDAIFTLSVECLTNEDASPTKALFG >ORUFI07G15300.1 pep chromosome:OR_W1943:7:15855529:15859904:1 gene:ORUFI07G15300 transcript:ORUFI07G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLKTADEATQAPAVSPISTAEAYAPPVGTLLDPKLFMAASRGDNNGLKELLGPDDDEVVIVDVDPVPAAPSSSSASGSSHHQLQQLDDHGVTSNEGDSLLHVVATRSGGGDGDRFVACANTIYYHGSSNGALLAARNHKGDTPLHCAARAGGARMVACLVALKTAEVVAAPAGDGPGVEEFLRMRNQCGETALHQAVRAACTACIDELLLVDPMLATVPQEGEGGASPFYLAFSLGKLDIARHLLDKTNGQLSYSGLDGQNVLHAAISRGQGVGIPKYTLTVEEVLGTLNIPLPSTAFHFNLVHCSHYGWEGDRAIRVPLVSHLAQQRDHQTGSTPLHLAASLEGWPYVGILSKWFPDVWPRPKSAVALLLEANTCAAYQPDAEGLYPIHVAALADSLDVVRTMLQKCPDCATLRDAKGRTFLHSAVEAEGYRVVEYACRRMPKEFSSVLNMQDNNGDTALHRAVHLGNLPVFNCLTRNPHVHLNIPNKYELTPLDLSWITVPSSFYYDSNPRGLIQLSLQFVGAPCGASRPDLLSQKHIPKIDNDKVSAHLTNASQMLGIVSVLVATVTFASAFTLPGGYQTGSDNAAGTPLLAGSYAFDAFILSDTLAFICSCMATFSLIFAGVPAKDISIRCRYFEISALLLRSSGRSFVVAFALGLYLVLAPVAHTIATAVCVIIFVSSLYGNSEAWQILRVADMARARLGTRMHVAWTLGLTFYNVFVNLFLNFWSFIIIFGLPAVIRKVHARE >ORUFI07G15310.1 pep chromosome:OR_W1943:7:15865418:15868420:1 gene:ORUFI07G15310 transcript:ORUFI07G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMICRKCYARLPPRSTNCRKKKCGHSNKVSLVIKLSKRRRHRRLPQTLSPRLARPIEPIPSLPHSRASPGGGTGILTGNGDGYSAAFSW >ORUFI07G15320.1 pep chromosome:OR_W1943:7:15872399:15876268:1 gene:ORUFI07G15320 transcript:ORUFI07G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRRLRPEKRILLMKKKKNRKNTRQDAAKEMLAKTDIDPNVKCFTRKQMKRISNNYRTILGKGGFSVVYKGRLNDGRAVAVKKYNWKTQKKEFTKEVIIQSQFSHKNIVRLLGCCVEADAPMLVTEFVPNGNLSNLLHSNSSQFPVSLGTRLQIALDVAEALVYMHSSQNHPILHGDVKPSNILLGDKDVAKLCDFGISRLLCMDSDEYTGFVIGSRGYVDPVFCQTGRLSLKSDVYSFGVVLLELITKKKGIDDKKVCLAETFARISRKGNGHELFDMDVVTNENMEFLQGIGRLALECIKFEVEERPEMKEVLERLWSLKRSRDRRIREMQVMVRSEIEVLWRRCGFGRFMISKERMDDMTYYFKTVLKECASGKAYIGRFCNAQLLVIKMSISVLDQWKNIVWNELNVQSRIKHWNDAKLLGYCLDLWEGLVLVYEYGAMSLYDVLFHDARKVSPFICGLRLKIAVGAAEGLAHLHSLGIVHGNVSTVNILLDDLSVLKVISRNYPVKIAGYGTSGLPDIDKAQHTGFFMEDSLVTSHGKEHDVYCFGLVLLTLFTWKKVSLQEADTVFEQLWDIGPPHDVNSEPEKPGQQLKEAILRCRHLEEVKSLVSRCLTSEVTKRPSMVEVAKHLKNINDLHDSTACHELAIYQSRMLSG >ORUFI07G15330.1 pep chromosome:OR_W1943:7:15883933:15887641:1 gene:ORUFI07G15330 transcript:ORUFI07G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGWPALQPLLCFAWIAATLPIIAAALPIPTAVGGHLLRRLLSAFSSRGKTVRPSPASSSGSSSSKAKFTVPQKYFMHFYVVGVLATTILLLAIWFYAYMKLTPLLLESSSYSTIFSHLVGSNSFSFGRVRSRTMGHKYRVWRTVFALLLMEVQVLRRLYETEHVFHYSPARMHIVGYLTGLFYYVAAPLSLASSCIPEAAEYFQGQVPEFVVKGRARMPDLVIDSSSLLQPLLKLGWTQWIGAVIFIWGSLHQIRCHAILGSLREHKDYDEYVIPCGDCFNRVSCPHYLAELVIYFGMLVASGAEDIPVWFLFIFVITNLSFAAVETYNWYLQKFEDYPRSRYAIIPFVC >ORUFI07G15340.1 pep chromosome:OR_W1943:7:15892034:15892892:-1 gene:ORUFI07G15340 transcript:ORUFI07G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGAPVHAPSAVDLDHAKMSRRATSFPSRSSPKYLKISQYSSTGDGDCLRLLQLRLNAAVADSFLSGEHPFDLYDPRDIHAWQIVEGKQAISVCLRRCVTVGRTFTAKASLTAIVWPVRQDNTLRSDHVSKAELAIWRRKSRATPQIGESLPFDDCPRGDKFAQITLLDKQLAQEAGQVIRHRSEIGPGCRGRSSLPRSHFGLRSGEATKVKGELGE >ORUFI07G15350.1 pep chromosome:OR_W1943:7:15892549:15892926:1 gene:ORUFI07G15350 transcript:ORUFI07G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLAFARSKGCSPERKESATAAFSRSWRRRRQSPSPVDEYWEILRYFGEDLEGKLVARRLILAWSRSTALGACTGAPVGMAAFPSLQWMK >ORUFI07G15360.1 pep chromosome:OR_W1943:7:15915387:15917420:1 gene:ORUFI07G15360 transcript:ORUFI07G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWYDKLSQSFRDTAKEVLAKADIDPNVRCFTRRQMKRITNNYSTTLGRGGFSVVYKGMLDDGHSVAVKQYNWRTQKKEFTKEVIIQSQCSHRNIVRLLGCCVEADAPMLVTEFVPNGNLSELLHGNIGQLPVSLETRFQIALDVAEAVVYMHYSQNHPILHGDIKPSNILLGDKYVAKLCDFGISRLLCMDNDEYTGFVIGSMGYMDPVYRETGRLSPKCDVYSFGVVLLELITRSKGIDDQNRSLARVFAHSSIDERYKLFDNEIVTNENVDFIQEMANLALDCLKSEIEDRPQMKEVLEHLYSLKRKMLEQERKIAELMEERRIAELTERRTVAFREIKAILQDIGFERLVTKEKIDSIVGNPKQVSTSEAFSGKSSVLIQRAIGKICMGHLKNIRFIVIKMSVEADEIWKEMFLYEMIKQSRIEHCNVAKLFGCCLDHVDAPVLVYKYGDIGLHDALFGNAWQQFDCPFACEIRLEIAVGAAEGLAHLHSLNVVHGDVRTANVVLDVYSKSKLEMPGITAFMAKIAGYGTQRLLSLDKAKHEIFLTENIHYKDPHFLKTGLMAKEYDVYGFGVVLVELFAQNMVQMHDVNMVLKELDGIPARCHHLKEIKKLASWCLASKVTERPAMDKVVRCLRAVLTNLQNLHDPCNCKSMYNKSAMQSEQITSAKSASS >ORUFI07G15370.1 pep chromosome:OR_W1943:7:15918774:15919348:-1 gene:ORUFI07G15370 transcript:ORUFI07G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTRPTTSSSLSSTPSSTRSSMTYALSAGGGGQAELQKGAGKKACSCGSSQRQGQPGGGRWQRQGGIISITNV >ORUFI07G15380.1 pep chromosome:OR_W1943:7:15922138:15926134:1 gene:ORUFI07G15380 transcript:ORUFI07G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDALKTLDDRCVGVVKEGEPLHRTNGSLHPPHPWYRTIETFTQLQFRGGGDKLTVKRGIAVAAQWTPDIEGSTATGQQEIRCRREANAGIDDGRTAWDRRRGAIQTQGRSGSTETR >ORUFI07G15390.1 pep chromosome:OR_W1943:7:15929780:15930344:1 gene:ORUFI07G15390 transcript:ORUFI07G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNRQGDREKGRRRRCCPQLLYRPVRHLSPFLNLAAPRHTPRRHHLCSPPTEQPPRRQLPPTVIVVGRAGTCGSGGEDRISNLPDDQLCLVLRWLDTHTAVATGALLFSSPLPLARRRSWCSGEAGCGGTADDPTKAMAVGPARRRPKGGQAASGGWGEKAGAARRCRGGTG >ORUFI07G15400.1 pep chromosome:OR_W1943:7:15930856:15931095:1 gene:ORUFI07G15400 transcript:ORUFI07G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASKQRTATAALAFTRITSTSYTRCSGAHRGSRAQWRCLRCRGVALVPPPDATRNVGVAATAAVHRNRSSPPSARLPP >ORUFI07G15410.1 pep chromosome:OR_W1943:7:15931204:15931413:1 gene:ORUFI07G15410 transcript:ORUFI07G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRPGMGVVGGRDLGGGWRREVRRWIGGEGEVAWEGEVGHDDWGEHVMDEERECGHDDVLAGEIVGNV >ORUFI07G15420.1 pep chromosome:OR_W1943:7:15934248:15935559:1 gene:ORUFI07G15420 transcript:ORUFI07G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEKYSMHFIGFAHYKVQTNNKYSALNNAPSSRIFRHKYNHIFCVTDMGDWYDKLSQSFRDTAKEVLAKTDIDPNVRCFPKRQMKRITNNYSTTLGRGGFSVVYKGRLDDGRSVAVKQYNWRTQKEFTKEVIIQSQCSHKNIVRLLGCCVEAAAPILVTEFVPNGNLSDLLHGNSGLLPVTLETRLQIALDVAEALVYMHCSQSYPILHGDVKPSNILLGDKGVAKLCDFGISRLLSMDSDEYTGFVIGSKEIFACDLRLKIAIGAAEGIAHLHSLGVVHGDVSINDILLDHVSSSLVKIAGYGTSGLPDIDKALDSLETGHGKKEHDVYSFGLVLLTLFTWKKVSLPHDLKSEPDKPVLLHQEAIRGRRCNHLEMIKGLASRCLTSEATK >ORUFI07G15430.1 pep chromosome:OR_W1943:7:15951339:15956791:1 gene:ORUFI07G15430 transcript:ORUFI07G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGPMEGPSLLFLSLLLRFVPPVEMVERSEVVIVVADKGVDLSGMRWNKLATTVWDRARPSKGHRAWLMAAIKKTCQWRLRASARRRQLIPFDVKPCMCIHEVGPQGGADGAVACSRMDEEPDIDAAEAAALQSVLQHDDGTAVFPLLQWIKRDGVKRKFVRQDELSMERKRDKDDRGLVVNMIIVFISSGDGIETKEAAHACSLDVVSSRVESEMGRRSWEKTIAAAAAATQYAGEAMAQYNNIFCATHMGDWYEKLSQSFRDTANEVLAKADIDPNVRCFTRRQMKHITNNYGTVLGKGGFSVVYKGKLDNGRSVAVKQYNWRTQKKEFTKEAIIQSQCSHRNIVRLLGCCVEADAPMLVTEFVPNGNLSELLHGKSGQLPVSLETRFQIALDVAEALVYMHCSQNHPILHGDIKPSNILLGDKHVAKLCDFGISRLLCMDNDEHTGFVIGSKGYMDPVYCETGRLSPKCDVYSFGVVLLELITRKKGIDDQSRNLAGMFARSSRDKRHELFDKEIAADENIDFIEEIANLALDCLKSEIEDRPQMKEVLKQLWSIKRSEILRQERRLAELRERRIMTLREIKICYKSDIDSIIGDPEQESTSETFSGKSDVAMGKVYMGRLKNTPLIVIKMSVEVDEDWKQTFFYEMIMQSRIKHWNVAKLFGCCLDHVDAPVLVYEYGEMGLHDALFGKAWQSIEHPFTSYVRLQIATDAAEGLAHLHSFDMVHGDVRTANVIVDVFSGSKLEMPRTSTFPAKIAGFGTTKLLSLDKAQYARFLTENIHYKDPHFLITGLMTKEHDVYGFGGVLVELFTGNKIQMHDINTVIKYFDSVFAICHHLEEIKELASWCVAPEVTERPTMAKST >ORUFI07G15440.1 pep chromosome:OR_W1943:7:15957579:15961153:-1 gene:ORUFI07G15440 transcript:ORUFI07G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSTPSFDLSAGAEPTLGPRSPPPPPDAVHPPTPQQAAPASEAAARRLREAEERLREAIQELHRHQHGGGGDGDGDGKGVDGDEEGGGGGGGGWGCGHEGESCAAHAAGNLCQSFLLSYGVRVGIGILLRAFKLARRRSYSSLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCFLRRFRKKETPFNAILAGSVAGLAIVALDDSSRRRTLSLYLLARLAQCAYNSAKSKNRFHFWGSHWRHGDALLFSLASAQVMYSFVMRPESLPKSYQDFIQKTGPVAEPVYKAVRDCCRGGHVDLIGLSAYLANKKNSNLINLTKSPPIIPCSVIHPDRASCLAHNVSVSSSTFKKTFPLYFSLTFVPFVVLRLQKFLESPAATCWRALVGAVRSTTFLSAFVTFFQAAICLHRKVATKDHKFVYWFAGLMSGLSILLEKKARRAELALYVLPRAGDSLWYILINRHLLPNIKNAEVALFCMCMGGIMYFLEYEPDTMAPFLRGLIRRFLASKISNPSPPPNPNASYSYLQKLNVLEQPRTQQGPENGLTSSEKYNLEAIPGL >ORUFI07G15450.1 pep chromosome:OR_W1943:7:15964145:15969308:1 gene:ORUFI07G15450 transcript:ORUFI07G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPRLLLLVVASALPLASVAAGAVGVGEGFCSAEPSAASGGCSGVRPPLYWKATNPTLAPAHLQDLPGFTRSVYKRDHALITPESHVFSPLPDWINTLGAYLISPAIGAHFTMYLAKMHDGSKSALPPKGVERLIFVLQGSILLSEESGNTHTLLVDSYAYLPANMKHSVISDEVTTLVIFERRYTTIEGYHPDLIVGSTDKQPLLETPGEVFELRKLLPTSLPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQSGDTIWMAPFVPQWLVSVNIVFIIHGIGFCRQLITVALLFQSIKYVATCRTSMFGYLKMPFKNGNELL >ORUFI07G15460.1 pep chromosome:OR_W1943:7:15973261:15977696:1 gene:ORUFI07G15460 transcript:ORUFI07G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQGGGGGGGGGGGGGAGLDRKRISDALDKHLEKAVAAAAAAASPSTSRGSAGGRGGGDHQRLVVPSSASSMPKGRCSEGESESDSEASDVSGSDGEDHSWISWYCSLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGLAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIHRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYEHLKPQKPSQRYVPRVFGFKLHKP >ORUFI07G15470.1 pep chromosome:OR_W1943:7:15982317:15985606:1 gene:ORUFI07G15470 transcript:ORUFI07G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFFYSREKNKNKNKKQSRSSPTLTVDKDDFRDEMSQKANRSCSLVVASSPRSILELYEERAHELRDFRLAELRSATGNFSRELKIGEGGFGSVYKGFLKTSCGHLGLRNDSGNVVVAVKKLNPNGMQGHKQWLAEVQFLAVVDHPNLVQLIGYCGTDNGEQGPQRLLVYEFMPNKTLEYHLFNKAYPTLPWKTRLSIALGVAKGLQYLHEGLEIQVIYRDLKSSNVLLDEEFRPKLSEFGLAREGPVDGQTHVSTAVMGTYGYAAPDYVETGRLTASSDVWSFGVVLLELLTGHRAFDRSRPRPDQKLVDWARRHPAGTRWFSRLPDPRLAGRYSHRAAQDVAALAWRCLADRAGERPSMAEAARALERAARHGELDGPPEPPEEGSPPPPRCHAGSGSSSPEAKKAATAKRRRMAHLAKLAAGASAAPAARRLVHMKMTKAAASSYMQRRHAAC >ORUFI07G15480.1 pep chromosome:OR_W1943:7:15986605:15988823:-1 gene:ORUFI07G15480 transcript:ORUFI07G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAARRLATTRAAAAAGRSSRALSTAEVPAEAATDSAFAEAWKKVAPNIEAPATPMSLMQPRPPTPAAIPSKLTVNFVLPYKSEIANKEVDMVIVPATTGQMGVLPGHVSTIAELKPGVLSVHEGNDITKYFVSSGFAFVHANSIADIVAVEAVPLDQIDPAAVQQGLAEFNAKLGSASTELEKAEAQIGVDVHSALNAALAG >ORUFI07G15490.1 pep chromosome:OR_W1943:7:15990297:15995058:-1 gene:ORUFI07G15490 transcript:ORUFI07G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAARRLATTRAAAAAAAGRSSRVLSTAEVPAEAATDSALAEAWKKVAPNIETPATPMSLMQPRPPTPAAIPSKLIVNFVLPYKGARGAMAISPTPATTTSDSKLTVDFFILPSNSKQADCYGSWRRQSALAIHHLPMGTIAAKILPWEAPSRETLLRTINAALDDGNVDDVLQAFANYKTLHGLPEPRVLDRMIVSLSYASSRRWLQRAFDMVLSVYQCNGNLLNCGSLMKLALALARDQMPIPASTVVRIILESGKLPDVDMLTMVYLHMVKSQVGSYLAADVLCETCECFLEQIGDRRQLKKLDPIKSNVTLFNMVLKSCVDFKCMIKAQRIMELMSLVGVVADVNTVAIASLVFEMVGQRVELVNMKRSIDSFASLPFIQHYLYFYGSLLNLHFRYNDMDAAAQLLVDLCRQQKPRAFVGDSVHKQGVIQIGSGNLKTGFRIMFDPIKVDKGFVLDTESQFGLLAVIDGNIRPSEKALAKFIVGCLKASKVRALSSFLITLHKEDLKGPSHSDVISACILMGWLHAAHDILDDLESAEIPVLICTYMSLLRAYEKENKPEEVNRFLQQIQKKAYTMADFHTNPSFTIKDVAKIVKDEMPLRNSSLLSSLVQEIEHYSSREHLTFEFNNSILFFCKANMMDDALSTYKRMREQNVRPSLHTFCHILCGYSSLGMHREIAMLWGEIKRRLEYGELTVDRDLLDCLILNFLNAGYFARVMEVLSYMANRKMYCDKWKYKQVFLKLHKNLYRNLNLLHEKTEEQSKRIEDVRAFRSWAGDYGYVTVFI >ORUFI07G15490.2 pep chromosome:OR_W1943:7:15991787:15995058:-1 gene:ORUFI07G15490 transcript:ORUFI07G15490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAARRLATTRAAAAAAAGRSSRVLSTAEVPAEAATDSALAEAWKKVAPNIETPATPMSLMQPRPPTPAAIPSKLIVNFVLPYKGARGAMAISPTPATTTSDSKLTVDFFILPSNSKQADCYGSWRRQSALAIHHLPMGTIAAKILPWEAPSRETLLRTINAALDDGNVDDVLQAFANYKTLHGLPEPRVLDRMIVSLSYASSRRWLQRAFDMVLSVYQCNGNLLNCGSLMKLALALARDQMPIPASTVVRIILESGKLPDVDMLTMVYLHMVKSQVGSYLAADVLCETCECFLEQIGDRRQLKKLDPIKSNVTLFNMVLKSCVDFKCMIKAQRIMELMSLVGVVADVNTVAIASLVFEMVGQRVELVNMKRSIDSFASLPFIQHYLYFYGSLLNLHFRYNDMDAAAQLLVDLCRQQKPRAFVGDSVHKQGVIQIGSGNLKTGFRIMFDPIKVDKGFVLDTESQFGLLAVIDGNIRPSEKALAKFIVGCLKASKVRALSSFLITLHKEDLKGPSHSDVISACILMGWLHAAHDILDDLESAEIPVLICTYMSLLRAYEKENKPEEVNRFLQQIQKKAYTMADFHTNPSFTIKDVAKIVKDEMPLRNSSLLSSLVQEIEHYSSREHLTFEFNNSILFFCKANMMDDALSTYKRMREQNVRPSLHTFCHILCGYSSLGMHREIAMLWGEIKRRLEYGELTVDRDLLDCLILNFLNAGYFARVMEVLSYMANRKMYCDKWKYKQVFLKLHKNLYRNLNLLHEKTEEQSKRIEDVRAFRSWAGVT >ORUFI07G15490.3 pep chromosome:OR_W1943:7:15991787:15995058:-1 gene:ORUFI07G15490 transcript:ORUFI07G15490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAARRLATTRAAAAAAAGRSSRVLSTAEVPAEAATDSALAEAWKKVAPNIETPATPMSLMQPRPPTPAAIPSKLIVNFVLPYKSEIANKEADCYGSWRRQSALAIHHLPMGTIAAKILPWEAPSRETLLRTINAALDDGNVDDVLQAFANYKTLHGLPEPRVLDRMIVSLSYASSRRWLQRAFDMVLSVYQCNGNLLNCGSLMKLALALARDQMPIPASTVVRIILESGKLPDVDMLTMVYLHMVKSQVGSYLAADVLCETCECFLEQIGDRRQLKKLDPIKSNVTLFNMVLKSCVDFKCMIKAQRIMELMSLVGVVADVNTVAIASLVFEMVGQRVELVNMKRSIDSFASLPFIQHYLYFYGSLLNLHFRYNDMDAAAQLLVDLCRQQKPRAFVGDSVHKQGVIQIGSGNLKTGFRIMFDPIKVDKGFVLDTESQFGLLAVIDGNIRPSEKALAKFIVGCLKASKVRALSSFLITLHKEDLKGPSHSDVISACILMGWLHAAHDILDDLESAEIPVLICTYMSLLRAYEKENKPEEVNRFLQQIQKKAYTMADFHTNPSFTIKDVAKIVKDEMPLRNSSLLSSLVQEIEHYSSREHLTFEFNNSILFFCKANMMDDALSTYKRMREQNVRPSLHTFCHILCGYSSLGMHREIAMLWGEIKRRLEYGELTVDRDLLDCLILNFLNAGYFARVMEVLSYMANRKMYCDKWKYKQVFLKLHKNLYRNLNLLHEKTEEQSKRIEDVRAFRSWAGVT >ORUFI07G15500.1 pep chromosome:OR_W1943:7:15999670:16000639:-1 gene:ORUFI07G15500 transcript:ORUFI07G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLRAFAYYRRSSPVHTEAEAEVGSWLFSTNCLVSYESSLHRVFNSVVFLPVSRIPVKTQEDWGKYI >ORUFI07G15510.1 pep chromosome:OR_W1943:7:16008875:16019181:1 gene:ORUFI07G15510 transcript:ORUFI07G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPPSSSAAAADLYETASQPDPPASAAGDAYTFLEFNTQGDDFDYPDFPELSQPARSAPPTSAPGVPGSAGSPSPSSSSWPPPPPPPPDASQDPDLAPREVTTPPASSSSPSPRASAKARASAAAADGLASGVAALSFEEPLGAGAGEDGYDYGKGDFVEHACRYCGIHNPACVARCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKAENVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLSWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQQVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDSLTVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDSSHPAWQSVGHVIKLTAQEEVALELRASQGVPVDLNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEHQIIRNTLPRRFGAPGLPELNASQVLAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREILQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSDFPSNCFYEGTLQNGVTVNERQTPGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFLGGGQGVMQGASFGAAGTNPVADKRSGRGKGHSFVPFGPPNGAHKPGVHPSGYPLPRMPFPPFAGAHSQPYAIPTRGSLHGPIGAVPPVPQPGNRNFGPRGNTGGPIGGHLAHQQSSQQAMGGMGSAFNFPGLENPSSQPSGGGPMSQTGLMTQMPVQGLSQTFRDGFSIGGMSQDFFGDDFKSQGSHVAYNIADFSTQASQGGYGVDYSQGPQSGYPGNYLNQNAHPGYSHMGAANDIVSQDHMAHGSHGMFTQAGYNDPSQDESSQMHFGMAGPGLQSQPMMNPLYSQSYAHYNTQPQSLQPPPQ >ORUFI07G15510.2 pep chromosome:OR_W1943:7:16008875:16019181:1 gene:ORUFI07G15510 transcript:ORUFI07G15510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPPSSSAAAADLYETASQPDPPASAAGDAYTFLEFNTQGDDFDYPDFPELSQPARSAPPTSAPGVPGSAGSPSPSSSSWPPPPPPPPDASQDPDLAPREVTTPPASSSSPSPRASAKARASAAAADGLASGVAALSFEEPLGAGAGEDGYDYGKGDFVEHACRYCGIHNPACVARCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKAENVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLSWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQQVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDSLTVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDSSHPAWQSVGHVIKLTAQEEVALELRASQGVPVDLNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEHQIIRNTLPRRFGAPGLPELNASQVLAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREILQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSDFPSNCFYEGTLQNGVTVNERQTPGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFLGGGQGVMQGASFGAAGTNPVADKRSGRGKGHSFVPFGPPNGAHKPGVHPSGYPLPRMPFPPFAGAHSQPYAIPTRGSLHGPIGAVPPVPQPGNRNFGPRGNTGGPIGGHLAHQQSSQQAMGGMGSAFNFPGLENPSSQPSGGGPMSQTGLMTQDFFGDDFKSQGSHVAYNIADFSTQASQGGYGVDYSQGPQSGYPGNYLNQNAHPGYSHMGAANDIVSQDHMAHGSHGMFTQAGYNDPSQDESSQMHFGMAGPGLQSQPMMNPLYSQSYAHYNTQPQSLQPPPQ >ORUFI07G15520.1 pep chromosome:OR_W1943:7:16027989:16029227:-1 gene:ORUFI07G15520 transcript:ORUFI07G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGEQRWLSRTGMAGLYRLSQGHKRPYRNTYEDKWHGCPHPRLEKRGSRPTWSWSVGTSPAQCGSAYPRPVRLLPAYRVLLQVPSSTRRSSPADAFVVPGVSSSLHSPPELDEKHGPVTESCDGVKALDGPSAAAGCEIETVVAR >ORUFI07G15530.1 pep chromosome:OR_W1943:7:16031595:16034844:-1 gene:ORUFI07G15530 transcript:ORUFI07G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEGKAKDLGVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLKSSNANSSQSQAQAGGCSC >ORUFI07G15540.1 pep chromosome:OR_W1943:7:16041138:16049960:1 gene:ORUFI07G15540 transcript:ORUFI07G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASISRSLLRRSRCGGGEHHLLLLARGFVTAECHRPVVLHKRGSDILHDPWFNRGTGFSMTERDRLGLRGLLPPNVVSSQQQIDRFMLDMQRLQKYARDGPSDTYPLAKWRILNRLHDRNETMYYKAEVLIDNIEEHAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVHGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQEHRLEGEEYVAIIDEFMEAVFARWPNVIVQFEDFQSKWAFRLLQRYRKTYRMFNDDVQINALSLALTSAGIGVVNAASRTMARMLGNNEVAFESARSQFWIVDAHGLITEERTNIDPDARPFARRKSELGHQGLSEGASLVEVVKKVKPDVILGLSAVGGLFSKEVLEALKDSSSSRPAIFAMSNPTKNAECTPEEAFSILGEKIIFASGSPFSDVDLGNGKIGHSNQGNNMYLFPGIGLGTLLSGARVISDGMLQAAAERIRDITKEVAAAVVKEAVAEDLAEGYRDMDARELARLSEVEETVEYVQQNMWSPVYPTIVYKKD >ORUFI07G15540.2 pep chromosome:OR_W1943:7:16041138:16050006:1 gene:ORUFI07G15540 transcript:ORUFI07G15540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASISRSLLRRSRCGGGEHHLLLLARGFVTAECHRPVVLHKRGSDILHDPWFNRGTGFSMTERDRLGLRGLLPPNVVSSQQQIDRFMLDMQRLQKYARDGPSDTYPLAKWRILNRLHDRNETMYYKAEVLIDNIEEHAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVHGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQEHRLEGEEYVAIIDEFMEAVFARWPNVIVQFEDFQSKWAFRLLQRYRKTYRMFNDDVQINALSLALTSAGIGVVNAASRTMARMLGNNEVAFESARSQFWIVDAHGLITEERTNIDPDARPFARRKSELGHQGLSEGASLVEVVKKVKPDVILGLSAVGGLFSKEVLEALKDSSSSRPAIFAMSNPTKNAECTPEEAFSILGEKIIFASGSPFSDVDLGNGKIGHSNQGNNMYLFPGIGLGTLLSGARVISDGMLQAAAERIRDITKEVAAAVVKEAVAEDLAEGYRDMDARELARLSEVEETVEYVQQNMWSPVYPTIVYKKD >ORUFI07G15550.1 pep chromosome:OR_W1943:7:16051765:16053505:1 gene:ORUFI07G15550 transcript:ORUFI07G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLRRHLPVILSLILFLSKATADANFTVSRAAYYPNSDIKGTENGACEYGAFGATLNNGDVSASASLYRDGVGCGACYQVRCTNPYYCSPNGVTIVITDSGASDGTDFILSQHAFTRMAQSTDAGTALLTLGVVGIEYRRVSCTYPNKNIVFKITESSNFPNYLEFEIWYQQGNQDIIAVQLCETVNLTCQLLSRTHGAVWAAVSPPSGPLSIRMLFSSGAPRGGDTWLVPTNIVPQNWTAGATYDSGVQVQLQ >ORUFI07G15560.1 pep chromosome:OR_W1943:7:16060271:16060906:1 gene:ORUFI07G15560 transcript:ORUFI07G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLADPAAEGFRIPFLPSDSDLLDCLLRPKIASGRVDPRFAPLVHDVADAFALPPAQLAAAHAPAPGAGGAEAWYFFSVRPRARARARAGSKRAASRAVGGGGGKRWCSMGAKKAVEGGGYCQRFRYKERTAAGVVAPRWMMVEYGVAQEHDGEGVAQEHGGEGVAELVLCKIFRSPEPSRRSESGSPSSSSSASASPSCSGGRKRKAAE >ORUFI07G15580.1 pep chromosome:OR_W1943:7:16072439:16073422:1 gene:ORUFI07G15580 transcript:ORUFI07G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLPLLLLLAAAAVSTAGGAPVYRADYLVDGNQLVDMQYHMGPVVSGSPTNLYLIWYGRWEAAAQAVLRDFLASLSAPAAPSPAVSDWWARAPRLYADQTGANVTGAFAVAGERSDAGYSHGASLRRIDMQSVIRSAVYAYPDPLPLDPYSGVYLVLTSPDVQVEEFCRAVCGFHYFTFASVVGVTVPYAWVGNSATQCPGKCAYPFAAPDYGGGAGGQQVLRPPNGDVGVDGMVIVLGHELAELATNPLVNAWYAGDTPTAPTEIADLCLGVYGDGGGAGGLVGNVSRAADGASYNVNGVNGRRFMVQWLWNPVRGACYGPNSSS >ORUFI07G15590.1 pep chromosome:OR_W1943:7:16075689:16079146:1 gene:ORUFI07G15590 transcript:ORUFI07G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAEANILASLGRVRLCDLAAADGLPSDGYKMCVSALTQSLAQYSAAVVELPPADGALLRSGLDSARLFFHQRGYGSGEAGGGGGSGDAREWCKTSGYYADPQMWLEMYDFRPGITPVEPNGVAELPPSGLPDMFSVLGKVSREVLDAISFSLNLRSSTFTELLDNIPLRSQEVSSSVLSACCHSRPSMEGAQQHSVASQDDEQLLVFSDQENQIDKTLLTLVKSDRSGLYIKDLQGRWILVDGDLGPLDIVVYPGLALYRETAGYVNPAVHKTEVGNLQECMFGRCSLVFKLMPRSVARLSGEEMRAAGHGVDAQFQVPILVNDFMQTEHSANQLFPKNNEPSPHVEQEASYNFVMKRKKEGRKTKALPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCSLRDCESHIKSLDRPCENIRTEIGWPPGVPFVHPHDLPNKAKLMFLEAYEPGWTASQQDLESRSL >ORUFI07G15590.2 pep chromosome:OR_W1943:7:16075689:16079142:1 gene:ORUFI07G15590 transcript:ORUFI07G15590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAEANILASLGRVRLCDLAAADGLPSDGYKMCVSALTQSLAQYSAAVVELPPADGALLRSGLDSARLFFHQRGYGSGEAGGGGGSGDAREWCKTSGYYADPQMWLEMYDFRPGITPVEPNGVAELPPSGLPDMFSVLGKVSREVLDAISFSLNLRSSTFTELLDNIPLRSQEVSSSVLSACCHSRPSMEGAQQHSVASQDDEQLLVFSDQENQIDKTLLTLVKSDRSGLYIKDLQGRWILVDGDLGPLDIVVYPGLALYRETAGYVNPAVHKTEVGNLQECMFGRCSLVFKLMPRSVARLSGEEMRAAGHGVDAQFQVPILVNDFMQTEHSANQLFPKNNEPSPHVEQEASYNFVMKRKKEGRKTKALPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCSLRDCESHIKSLDRPCENIRTEIGWPPGVPFVHPHDLPNKAKLMFLEAYEPGWTASQQDLELSFTEP >ORUFI07G15600.1 pep chromosome:OR_W1943:7:16080160:16093589:-1 gene:ORUFI07G15600 transcript:ORUFI07G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLNDVRVGSKVARMYLVQANCVGGYKGRYFYECVECDLGGNLLCCDSCPRTYHLECLNPPLKRAPPGNWQCPRCRTKKVSLKLLDNADADTSKRERTRRMRTSTTSDSPSPSPQNKASFNTSRGAAFRDDEPGAKDNEVEKRKPLILHLKKRSTKELSTDTTSSKSGLLGKSSEEKQEKHGSALKVKKHLHPMELSPKKYKNKKQHNHRDSKRSEAKKVQYLASDVDSDSSMEPSTSLEHSESPPPKRKSLDGRTSASSTKKGKKKVKFVDKKHPENAVHITEKEHGGAGDKITTQGDLQVDRILGCRLQTSQIISPAHASSEQIDMAPPSASGAPEPSQALSKGLHEEIQSSNSDTNVTEDACADELANDGGENNLDCSDAQKESNVRSHGHKESLNAKEIMNTASACSADQIVTVKDAGAVQTYVTASVNGEYETVTDIPEEKNDTKHPVSKADTEVHTKQEHTPDSKLHGKIQETELKEHDGTTYEFLVKWVGKSNIHNSWISESELKALAKRKLENYKAKYGTDEIEEALIKWCALPYDECTWERLDEPTMVKYAHLVTQFKKFESQALDKDKGGSHAKPREHQEFNMLVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEYKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDASQMGKIKKSHKFNVLLTTYEMVLVDAAYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTLSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLTTTEKVEELKNLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPESGSPEFLHEMRIKASAKLTLLHSMLKILHKDGHRVLIFSQMTKLLDILEDYLTWEFGPKTFERVDGSVSVAERQAAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILHLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDVAVKDNNEASGAKNDVAEVEFKHKRKTGGLGDVYEDRCADGSAKFIWDENAITKLLDRSNVPSTVAESTDGDLDNDMLGTVKSIDWNDELNDDPGATEDIPNIDNDGCEQASEAKQDAANRVEENEWDKLLRVRWEQYQTEEEASLGRGKRLRKAVSYRETFATIPNEALSEDSDEEDEPKREYTAAGLALKEKYEKLRARQKERIAQRHVIKNYADDRLEEFMKLYDSSANPLRIVEDPNPVQPSGAKRLGESTAEMKQSSKKTKRYPEIPQELYAKLTGNAASSKHHPKAADISNSGTPHHLLPVLGLCAPNADQMNSYKGSVCGPSTKEQKRASGELANKPLLTPAVDHSSEQKHDGQPTPCKPMFPGSSEETLRRLNNIIPDSYFPFQPIPPISGKGIGDHVENPVSSIPSFQGKLGLPNFSLEDSIPLKHLKSVPDLFPNLSLGTSNEYLRNCVPELPNSSFLPSFMADIAGTSKQKNKFMADMSGLLPGLAINPVQQIHSSMPENHKKVLDKLMMRAQYSSSKFLKNASNKFLKKSLKPDYWSEDELDALWIGVRRHGRGNWEAMLRDPKLKFLSHRSHEELASRWILEEQKIIEEPMPTATRSSNSTSFPGISDAMMSRALNGSSFSKLRMEPPKLQSHLTDIQLGCNDIPTRFSHVEPTNYMNLSEGGPSLTPWQDFKNRSGHSGDFPGPLDKWEKPDMGLIPPFMPNPFMKESIGSLPINRHSSNSIQQNEVGSSSHESILHGFSDGQAKLFHEMQRRVKLGKLPIEMNLNHTKLSDPLAENSGDFESSKPNKLPHWLQEAVRAPPSKPPECELPATVSAIAQSVCLLLGEQKPAIPPFPIPGPRLSLPKDPRSAPKKRRVHKVQQASSLVDHSKTTVGQGDHNSTPSAPLSMEAAPASPAVIKSSDTPSLNLNSPSSSSAGSRGQDASTPSTFEEPERTMEVSEPASVAAATCPSRPEPPETGTHRTEFSAVDDMDTGSCRSPVRDTPDPDNQKSELSGSGNTPTELSVLPLVDAPGTSSEPAVVPVSSDEESTQEGVPGKAVSTGDQEKRTPPDESENSGAANPVSAAQTADEDKVDEMITDEH >ORUFI07G15600.4 pep chromosome:OR_W1943:7:16080160:16093740:-1 gene:ORUFI07G15600 transcript:ORUFI07G15600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MACDVDTLMMKERSSLCESAADGSWVLKYKRKRSKLTVSPSSEHDASSPILDSQMNNGSIKKKIKHDTNISPSTKKIRGHDGYFYECVECDLGGNLLCCDSCPRTYHLECLNPPLKRAPPGNWQCPRCRTKKVSLKLLDNADADTSKRERTRRMRTSTTSDSPSPSPQNKASFNTSRGAAFRDDEPGAKDNEVEKRKPLILHLKKRSTKELSTDTTSSKSGLLGKSSEEKQEKHGSALKVKKHLHPMELSPKKYKNKKQHNHRDSKRSEAKKVQYLASDVDSDSSMEPSTSLEHSESPPPKRKSLDGRTSASSTKKGKKKVKFVDKKHPENAVHITEKEHGGAGDKITTQGDLQVDRILGCRLQTSQIISPAHASSEQIDMAPPSASGAPEPSQALSKGLHEEIQSSNSDTNVTEDACADELANDGGENNLDCSDAQKESNVRSHGHKESLNAKEIMNTASACSADQIVTVKDAGAVQTYVTASVNGEYETVTDIPEEKNDTKHPVSKADTEVHTKQEHTPDSKLHGKIQETELKEHDGTTYEFLVKWVGKSNIHNSWISESELKALAKRKLENYKAKYGTGLINICKEQWCQPQRVIALRTSLDEIEEALIKWCALPYDECTWERLDEPTMVKYAHLVTQFKKFESQALDKDKGGSHAKPREHQEFNMLVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEYKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDASQMGKIKKSHKFNVLLTTYEMVLVDAAYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTLSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLTTTEKVEELKNLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPESGSPEFLHEMRIKASAKLTLLHSMLKILHKDGHRVLIFSQMTKLLDILEDYLTWEFGPKTFERVDGSVSVAERQAAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILHLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDVAVKDNNEASGAKNDVAEVEFKHKRKTGGLGDVYEDRCADGSAKFIWDENAITKLLDRSNVPSTVAESTDGDLDNDMLGTVKSIDWNDELNDDPGATEDIPNIDNDGCEQASEAKQDAANRVEENEWDKLLRVRWEQYQTEEEASLGRGKRLRKAVSYRETFATIPNEALSEDSDEEDEPKREYTAAGLALKEKYEKLRARQKERIAQRHVIKNYADDRLEEFMKLYDSSANPLRIVEDPNPVQPSGAKRLGESTAEMKQSSKKTKRYPEIPQELYAKLTGNAASSKHHPKAADISNSGTPHHLLPVLGLCAPNADQMNSYKGSVCGPSTKEQKRASGELANKPLLTPAVDHSSEQKHDGQPTPCKPMFPGSSEETLRRLNNIIPDSYFPFQPIPPISGKGIGDHVENPVSSIPSFQGKLGLPNFSLEDSIPLKHLKSVPDLFPNLSLGTSNEYLRNCVPELPNSSFLPSFMADIAGTSKQKNKFMADMSGLLPGLAINPVQQIHSSMPENHKKVLDKLMMRAQYSSSKFLKNASNKFLKKSLKPDYWSEDELDALWIGVRRHGRGNWEAMLRDPKLKFLSHRSHEELASRWILEEQKIIEEPMPTATRSSNSTSFPGISDAMMSRALNGSSFSKLRMEPPKLQSHLTDIQLGCNDIPTRFSHVEPTNYMNLSEGGPSLTPWQDFKNRSGHSGDFPGPLDKWEKPDMGLIPPFMPNPFMKESIGSLPINRHSSNSIQQNEVGSSSHESILHGFSDGQAKLFHEMQRRVKLGKLPIEMNLNHTKLSDPLAENSGDFESSKPNKLPHWLQEAVRAPPSKPPECELPATVSAIAQSVCLLLGEQKPAIPPFPIPGPRLSLPKDPRSAPKKRRVHKVQQASSLVDHSKTTVGQGDHNSTPSAPLSMEAAPASPAVIKSSDTPSLNLNSPSSSSAGSRGQDASTPSTFEEPERTMEVSEPASVAAATCPSRPEPPETGTHRTEFSAVDDMDTGSCRSPVRDTPDPDNQKSELSGSGNTPTELSVLPLVDAPGTSSEPAVVPVSSDEESTQEGVPGKAVSTGDQEKRTPPDESENSGAANPVSAAQTADEDKVDEMITDEH >ORUFI07G15610.1 pep chromosome:OR_W1943:7:16097337:16107961:1 gene:ORUFI07G15610 transcript:ORUFI07G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRFVVRQGGGGGGGEAEEHEVEYDTEHGLDILRLQIFSLTSVPPELQKIVVEADGSVVDDGTDLEAISEGLRLVAITGEEEEAEAAAAAEAARAQEKSDEELARMIQIVVEADGSRVDGGTDMESICEGLRVVAISEGDGEDIAAAEAARVQEKSDEEFARMLQAEEEALLLQQYSIRNDGGEEFRERVEPYMHQVLMYEDPMRQEAARKTVPMDELQEKALVSLAKEGNFSPSKDEEDHAFLLQLLFWFKQSFRWVNAPPCDSCGRETFNVGMGTALPSEIKFGANRVEIYRCNYCSSTTRFPRYNDPYKKLGKDAVENGPIALHSTVEVLDMKLVWWNKKLDYVIAISKDGVRDVTKRYTRKWHEVLSRRIITSEDTVSAILSSITGKYRSGLSIDGLTALENRDKKESEELSKAAYLEVDTSISLPGRQSGSVEWRKARLELSQVESLACSSCPARKCVDAHVSKIYDALSALLSHFCDGDIPKERVIEVFDSLKCLMQNLKDAKFKSRRATLDKKTQLVFEEIFPSVERLLCAMSLKAELGTDGKCSVTTVGNAVHTSLALPVAMDVVDEILSNYKSNAVCTKGPQFPRGNRLCSGSVLASGEQLPIGIATAAFDGIRSSKWEEPDGAKGCWIIYRMLDGQTCELDSYDLMSANDVPERDPMDWVLEGSTDGGSTWNTIDARSSVIFDSRFYRKIFTVDKRYKANAFRFRFLRVRESNGNPRFQIGSIDLYGKST >ORUFI07G15610.2 pep chromosome:OR_W1943:7:16097337:16107961:1 gene:ORUFI07G15610 transcript:ORUFI07G15610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRFVVRQGGGGGGGEAEEHEVEYDTEHGLDILRLQIFSLTSVPPELQKIVVEADGSVVDDGTDLEAISEGLRLVAITGEEEEAEAAAAAEAARAQEKSDEELARMIQAEEEALLLQQYSIRNDGGEEFRERVEPYMHQVLMYEDPMRQEAARKTVPMDELQEKALVSLAKEGNFSPSKDEEDHAFLLQLLFWFKQSFRWVNAPPCDSCGRETFNVGMGTALPSEIKFGANRVEIYRCNYCSSTTRFPRYNDPYKKLGKDAVENGPIALHSTVEVLDMKLVWWNKKLDYVIAISKDGVRDVTKRYTRKWHEVLSRRIITSEDTVSAILSSITGKYRSGLSIDGLTALENRDKKESEELSKAAYLEVDTSISLPGRQSGSVEWRKARVMRFAQKVPNSQEAIDSVQATAAFDGIRSSKWEEPDGAKGCWIIYRMLDGQTCELDSYDLMSANDVPERDPMDWVLEGSTDGGSTWNTIDARSSVIFDSRFYRKIFTVDKRYKANAFRFRFLRVRESNGNPRFQIGSIDLYGKST >ORUFI07G15610.3 pep chromosome:OR_W1943:7:16097337:16107961:1 gene:ORUFI07G15610 transcript:ORUFI07G15610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRFVVRQGGGGGGGEAEEHEVEYDTEHGLDILRLQIFSLTSVPPELQKIVVEADGSVVDDGTDLEAISEGLRLVAITGEEEEAEAAAAAEAARAQEKSDEELARMIQAEEEALLLQQYSIRNDGGEEFRERVEPYMHQVLMYEDPMRQEAARKTVPMDELQEKALVSLAKEGNFSPSKDEEDHAFLLQLLFWFKQSFRWVNAPPCDSCGRETFNVGMGTALPSEIKFGANRVEIYRCNYCSSTTRFPRYNDPYKKLGKDAVENGPIALHSTVEVLDMKLVWWNKKLDYVIAISKDGVRDVTKRYTRKWHEVLSRRIITSEDTVSAILSSITGKYRSGLSIDGLTALENRDKKESEELSKAAYLEVDTSISLPGRQSGSVEWRKARLELSQVESLACSSCPARKCVDAHVSKIYDALSALLSHFCDGDIPKERVIEVFDSLKCLMQNLKDAKFKSRRATLDKKTQLVFEEIFPSVERLLCAMSLKAELGTDGKCSVTTVGNAVHTSLALPVAMDVVDEILSNYKSNAVCTKGPQFPRGNRLCSGSVLASGEQLPIGIATAAFDGIRSSKWEEPDGAKGCWIIYRMLDGQTCELDSYDLMSANDVPERDPMDWVLEGSTDGGSTWNTIDARSSVIFDSRFYRKIFTVDKRYKANAFRFRFLRVRESNGNPRFQIGSIDLYGKST >ORUFI07G15620.1 pep chromosome:OR_W1943:7:16113110:16114672:-1 gene:ORUFI07G15620 transcript:ORUFI07G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHCCSKQKVKRGLWSPEEDEKLVRYISEHGHSCWSSVPKHAGLQRCGKSCRLRWINYLRPDLKRGTFSEQEERTIIDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPKTHNLLPASKTLLHGGGGGGAANPSGNGLAQFQSNNGAAAAGTTPFTISSPAKAAAYDVAPPALYDVVLPANPAGGMLMAHDHHHHHQVAAAAAPVGYPYADHGGNGGGVLMSFRDQNAGVHGAASMDFMNGSSSSSSMEQLGGGGGMSSNGNGSFSASMAAFMDEEAAMWATAVAPPGMGGLAGMDQVAQQQQQQQVLVQDAAVGVAPTTLMMHGGGAATAGAMVVDKSVEMVDVSSAVYGGATATAFDLDLMVESCGMFCGGGGAGNAMEQLQWDC >ORUFI07G15630.1 pep chromosome:OR_W1943:7:16127264:16127476:1 gene:ORUFI07G15630 transcript:ORUFI07G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGTWLCVGSEGARQQQGDKVAMASLPSSSKSSFARLGSSASVTPTRLPTRSSAMLIRLARSSLGSLV >ORUFI07G15640.1 pep chromosome:OR_W1943:7:16131735:16137552:1 gene:ORUFI07G15640 transcript:ORUFI07G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWGLPPAGLAYLLLVAGYGSMATTSRRRRRQRRSEPYSQITLPLMKNGDEEQEEELQAKSAI >ORUFI07G15650.1 pep chromosome:OR_W1943:7:16138452:16142020:1 gene:ORUFI07G15650 transcript:ORUFI07G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPTIIGALLGLGTQMYSNALRKLPYMRHPWEHVVGMGLGAVFVNQLVKWDEKLKEDLDKMLERAKQANERRYFDDDDD >ORUFI07G15660.1 pep chromosome:OR_W1943:7:16142387:16146031:-1 gene:ORUFI07G15660 transcript:ORUFI07G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRFFPAWVVVVMVVVVVLGSCSAAAAAGDGDALMDVKNAFVEDPGGVLAGWGGGGGNSSAFCSWAGVECDAAGARVTGLNLSGAGLAGEVPGAALARLDRLEVVDLSSNRLAGPVPAALGALGRLTALLLYSNRLAGELPPSLGALAALRVLRVGDNPALSGPIPAALGVLANLTVLAAASCNLTGAIPRSLGRLAALTALNLQENSLSGPIPPELGGIAGLEVLSLADNQLTGVIPPELGRLAALQKLNLANNTLEGAVPPELGKLGELAYLNLMNNRLSGRVPRELAALSRARTIDLSGNLLTGELPAEVGQLPELSFLALSGNHLTGRIPGDLCGGGGGGAESTSLEHLMLSTNNFSGEIPGGLSRCRALTQLDLANNSLTGVIPAALGELGNLTDLLLNNNTLSGELPPELFNLTELKVLALYHNGLTGRLPDAVGRLVNLEVLFLYENDFSGEIPETIGECSSLQMVDFFGNRFNGSLPASIGKLSELAFLHLRQNELSGRIPPELGDCVNLAVLDLADNALSGEIPATFGRLRSLEQLMLYNNSLAGDVPDGMFECRNITRVNIAHNRLAGGLLPLCGSARLLSFDATNNSFSGGIPAQLGRSRSLQRVRFGSNALSGPIPAALGNAAALTMLDASGNALTGGIPDALARCARLSHIALSGNRLSGPVPAWVGALPELGELALSGNELTGPVPVQLSNCSKLIKLSLDGNQINGTVPSEIGSLVSLNVLNLAGNQLSGEIPATLAKLINLYELNLSRNLLSGPIPPDIGQLQELQSLLDLSSNDLSGSIPASLGSLSKLESLNLSHNALAGAVPPQLAGMSSLVQLDLSSNQLQGRLGSEFSRPARPRVAGGGGGAGRSALRSATIALVSAAVTLSVVLLVIVLVLIAVRRRRSGEVNCTAFSSSLGGGGNNTNGRQLVVKGSARREFRSPSAPAGPGRCTXWLHGIAAGGGGGGDGERKKRVLSWDARLKVAAGLAQGVEYLHHDCVPRVVHRDIKSSNVLLDGDMEAHLGDFGLAKSVADNRKDFTDSASCFAGSYGYMAPECGYSLKTTEKSDVYSMGIVMMELVTGLTPTDKAFGGDVDMVRWVQSRVEAPSPGREQVFDPALKPLAPREESSMTEVLEVALRCTRTAPGERPTARQVSDLLLHVSLDYYRAGEHKR >ORUFI07G15670.1 pep chromosome:OR_W1943:7:16168705:16177063:-1 gene:ORUFI07G15670 transcript:ORUFI07G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSEGMIDDLARSVRDILGSLGLAMPGGMTNTTFSVPLTTAPEGANNVNGRTQPGNHAQPGFSILNHQIQVSQLQPAGSIPRNMVIPDSLTTLLEYINRMDQVLQNNGTPSVDTNTQQPPRLDDAYLNQRFPSPEVLVSVIERAQQLLGGSAASALSHLAQRIQRDSGTSDASIRSQIQNESAQLGVAMQHLGAMFLELGRTMMMLRMGPSPADAFVNAGSSVYINSAGPNPIMVQPSFQNTPPFGVSSIPVLGGISGAFGIVDPSRTSAVNTHGTSTTSGSSAGMTTASAGAVNEGRQNVERTQGGNPSATSMHGLPARTVIAAIPARSTAEAPNHVLSVILPVQVRSQVAMPNQSTVSQGSQTAVGGGSQPQASVTAQVANALSANQQGQVSSSVQNTVDQGSRLVTTNGVDNVDSLVSASTQLQNELSDSNNGRTSLNAQSLVAGAGISPSNTSDPNLASEDSSTENAPNIGSIQQHPEMEGIHADNVRKPSGESTTANLVGQITTTCTDDISVNRSAENSSQKNIPLDGVSAQSIKPSASSRSEPVGLGGGLQPKRRSRTAKPPGSSSDTGEVVNSSRISNSQNAVSMGQQVLQALASQNTNVNRSHVTDSPLPSTTSQFSGGMPPRRQGGEGQVDFGSMISSVLNNPAFGNLLSNVAEQTGMGSAGDLRNMVEECAQSPAIMDTMSNLVQNVDGSGRGQGGIDLSRMMQQMMPVVSQVLGGAGARPAGTNSGQSRLQPRRSDMRVDDASDYGNSQIDLHQAREHIEQHDSPRDIFGAVLETAAQAYGEDESIEDMLEELVSDPELTDDYLKLLLQQVRQRIQSASQSGNQS >ORUFI07G15670.2 pep chromosome:OR_W1943:7:16168705:16177063:-1 gene:ORUFI07G15670 transcript:ORUFI07G15670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSEGMIDDLARSVRDILGSLGLAMPGGMTNTTFSVPLTTAPEGANNVNGRTQPGNHAQPGFSILNHQIQVSQLQPAGSIPRNMVIPDSLTTLLEYINRMDQVLQNNGTPSVDTNTQQPPRLDDAYLNQRFPSPEVLVSVIERAQQLLGGSAASALSHLAQRIQRDSGTSDASIRSQIQNESAQLGVAMQHLGAMFLELGRTMMMLRMGPSPADAFVNAGSSVYINSAGPNPIMVQPSFQNTPPFGVSSIPVLGGISGAFGIVDPSRTSAVNTHGTSTTSGSSAGMTTASAGAVNEGRQNVERTQGGNPSATSMHGLPARTVIAAIPARSTAEAPNHVLSVILPVQVRSQVAMPNQSTVSQGSQTAVGGGSQPQASVTAQVANALSANQQGQVSSSVQNTVDQGSRLVTTNGVDNVDSLVSASTQLQNELSDSNNGRTSLNAQSLVAGAGISPSNTSDPNLASEDSSTENAPNIGSIQQHPEMEGIHADNVRKPSGESTTANLVGQITTTCTDDISVNRSAENSSQKNIPLDGVSAQSIKPSASSRSEPVGLGGGLQPKRRSRTAKPPGSSSDTGEVVNSSRISNSQNAVSMGQQVLQALASQNTNVNRSHVTDSPLPSTTSQFSGGMPPRRQGGEGQVDFGSMISSVLNNPAFGNLLSNVAEQTGMGSAGDLRNMVEECAQSPAIMDTMSNLVQNVDGSGRGQGGIDLSRMMQQMMPVVSQVLGGAGARPAGTNSGQSRLQPRRSDMRVDDASDYGNSQIDLHQAREHIEQHDSPRDIFGAVLETAAQAYGEDESIEDMLEELVSDPELTDDYLKLLLQQVRQRIQSASQSGNQS >ORUFI07G15670.3 pep chromosome:OR_W1943:7:16168705:16177063:-1 gene:ORUFI07G15670 transcript:ORUFI07G15670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGMTNTTFSVPLTTAPEGANNVNGRTQPGNHAQPGFSILNHQIQVSQLQPAGSIPRNMVIPDSLTTLLEYINRMDQVLQNNGTPSVDTNTQQPPRLDDAYLNQRFPSPEVLVSVIERAQQLLGGSAASALSHLAQRIQRDSGTSDASIRSQIQNESAQLGVAMQHLGAMFLELGRTMMMLRMGPSPADAFVNAGSSVYINSAGPNPIMVQPSFQNTPPFGVSSIPVLGGISGAFGIVDPSRTSAVNTHGTSTTSGSSAGMTTASAGAVNEGRQNVERTQGGNPSATSMHGLPARTVIAAIPARSTAEAPNHVLSVILPVQVRSQVAMPNQSTVSQGSQTAVGGGSQPQASVTAQVANALSANQQGQVSSSVQNTVDQGSRLVTTNGVDNVDSLVSASTQLQNELSDSNNGRTSLNAQSLVAGAGISPSNTSDPNLASEDSSTENAPNIGSIQQHPEMEGIHADNVRKPSGESTTANLVGQITTTCTDDISVNRSAENSSQKNIPLDGVSAQSIKPSASSRSEPVGLGGGLQPKRRSRTAKPPGSSSDTGEVVNSSRISNSQNAVSMGQQVLQALASQNTNVNRSHVTDSPLPSTTSQFSGGMPPRRQGGEGQVDFGSMISSVLNNPAFGNLLSNVAEQTGMGSAGDLRNMVEECAQSPAIMDTMSNLVQNVDGSGRGQGGIDLSRMMQQMMPVVSQVLGGAGARPAGTNSGQSRLQPRRSDMRVDDASDYGNSQIDLHQAREHIEQHDSPRDIFGAVLETAAQAYGEDESIEDMLEELVSDPELTDDYLKLLLQQVRQRIQSASQSGNQS >ORUFI07G15680.1 pep chromosome:OR_W1943:7:16180979:16187058:1 gene:ORUFI07G15680 transcript:ORUFI07G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPARSPAAGMRRRLPLAFLFLPLLLLLLLLHLTSSPARSPNSPLLRGSGDGEPRQRGACDYASGEWVPDDDDPAVSGGLRYDQTCREIFKGWNCLANGKRNGRELLRWRWRPRGCELPRLDPLRFLERHRNTSIGFVGDSLNRNMFVSLVCMLRGPSGEVRKWRPAGADRGFTFLRYNLTLAYHRTNLLVRYGRWSASPNGGPLESLGYKQGYRVDVDIPDQTWAEAPSFHDVLIFNTGHWWWAPSKFNPVQSPMLFFEKGIPVIPPLLPPAGLDLALKHMIIFVNKAMRPNGVKLFRTQSPRHFEGGDWNEGGSCQRDKPLSAEEVEELFSLDNNGTNVEARLVNQHLVRALEKSTFKVLNITGMSEFRADAHPSTTGGKKHDDCMHWCLPGPTDTWNDLLAANLLATES >ORUFI07G15690.1 pep chromosome:OR_W1943:7:16188561:16189919:-1 gene:ORUFI07G15690 transcript:ORUFI07G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPWCDLPSDLLGLVIARLPSPADRARFCAVCGAWHSAVRLHVASPPRLPWIALSRGPPVAMPTHAGENNAVNTVCVGSTDAWRALHRTAAAAAAGTKTKRHTFFLHNPFTATTVPLAELEDVLDDAFFKWNEVRKVIIRSSSSSCTPDGDQLVAVMTDHYNFPLILCRPGKGIWTPDSCTMPFVRVIDIAFFKDKLYLITTAEDLFAVDLADDKDGKPTVTNVERIIRQPRSPDGMIDAFRWSDDEDNGDAQEDDGDASSTNDDGEYSVDGEDHDEVLNQEGGDDDGDGEIEPVGDDDDIDDDGQQWHPTWEHRKFEQFYEQEYAIVGTRHLLESCERLHMVRREWLLPFILQTDHTRKLDVFEADMDAGTWVPVTGGLGGQAIFLSELFSKSVPAPAHGEVEEDTVYFVDTYDVWDMKSGTRRPFRRVYNIIDKDMTRPTWVFPPKLIV >ORUFI07G15710.1 pep chromosome:OR_W1943:7:16228652:16233637:1 gene:ORUFI07G15710 transcript:ORUFI07G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVARRRRSPRRXEAVGPATPRPCDLASDSDGGSPRGRVGCIVKKLSGTSSIPDDELDAANKEVAMSQSAPPSPAPMRAGVEPPTNIAGINGSRPTQLVVRTVRGRRAMEELVAMMAHCRRCELAAVADRHVVSRFSHKGRIQSMLRLRLLRQGFKVKDEVWTLPKPVRPRLPKHEHEAYTTSQCLTQAFLSKCIAGNQHKGGQVLAEKSTGSVERLVSSDGLGNEQNDGQNSNSENQCQEGCKNMVKLCTQNQEYSEPSSFVRYDEHSTVDDVSPSTISTLHELCTPSSRGDNLREEDNQSLNGSWEERALWISSLGWPAPVEAMSPDSWNQDEIGDIENHTQNEFNDRPWIDSPNSWRSLCVATQADSGALSGNADICNLLESKNVSKSLESDFSNKMNNMLLTILRKQRQQHMIDDFEGYYDERLYWRQNDEQQNADQRVSAQCSLAPVSHLHQQEGWQHSSFEHQHHENQNFLEMEVRVRSEMAQVHHEIYELRKLVESCIASQVKIQHSIKEEMCSALREAGLMPSQPDTPAKRGSCCICHQTQVDSLLYRCGHMCTCFNCADQLKSSNRSCPICQSPIEDVVRAHMNF >ORUFI07G15720.1 pep chromosome:OR_W1943:7:16233996:16235141:-1 gene:ORUFI07G15720 transcript:ORUFI07G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNTVFRFQVTNAGEEEEPEERQIAVDPFSLRQFSRLDIDGPLPIPSVSVDHHHHHAPHARPGPALVLAGASASVPTSPRRVSAWDAPPTRWDAHLAVVAAAPAARVASSDVMAPPRTAISRSRSCAGAAEAELDDDEFDVILSSSERKASAPQRWGSDVPLIGAGDGAEDSTGYAAADARGKSGRRKWKRGGGAAPFTCCLYLPGLGTRRTAKPSPPTAAARASSLPSSPATFRGGGGGGGVESDPGTARASTMSLAMSLERFDCGSCSTSSRSGLALDGEAGSSYFDLPLELILGCDGDDEADLPVHAAFMFDSDGIRKSVLKKGVRRAAAAAARPSVGKMSTDGPDRISGRHVRFYVTSGSSPTSTPAVVSVLAGER >ORUFI07G15730.1 pep chromosome:OR_W1943:7:16244257:16245603:-1 gene:ORUFI07G15730 transcript:ORUFI07G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSDLPSDLLGLVIARLPFPADRARFRAVCRAWHSALRRHVAAPPQLPWIVLPEGTFVTVSDGGVHRMAFPESNTVCIGSTDGWLALHRTDNNDDDSVDGARTTKTRHTFLLHNPFTGATVPLAELGDILDDDFFEEFRVCKVIIRSHPNGGGHLIAVMTNHWDCPLILCQPGKGIWTPDSCTMPFVRVVDIAFFADKLYLITKAEDLFAVDLADDKDGKPTVTSVERIIRQPRSPDGVIDAFRWSDDEDDDDGDAQDNDGDASTNDHDESLNQEGDSENDSEIEPVGDDGIDDVGHQWQYLTGEDLIWKTTKYELEGDDYAVNGSWHLLESSGRLLMVRRECLIAAFVKDADHTRSVDVSKADMDAGTWVPVTGGGLGGQAIFLSELFNKSMPAPAHGEVLEDTMYFVDTPDVWDLKSGTRRPFTRSIGFFDLDRTWVFPPELIV >ORUFI07G15740.1 pep chromosome:OR_W1943:7:16253222:16254034:1 gene:ORUFI07G15740 transcript:ORUFI07G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQECMEANHRLEEKIDMILEKLNEVEANRSKFFKEMSASIKATVAVLKDAPYPPPQDPPSSMLTTYSTMCSNNDHPRATSSSSHIDKETAPTVVLGLRDGEDKVHDPCIVTKDFLEVTLTMCSMKCSSPHTVPDLTMVAVVMCATTATTSTELVVFEDTTGVAYINTPDYSKMVHAKCSTAGLDIDGGMDQAVVVFQIMKSVSKVVPISVEPLSIFSLRLTANLKQDRPTPTKCSMKSPLHRNMVLLIVYDLHHITMATTNSDKFLGM >ORUFI07G15750.1 pep chromosome:OR_W1943:7:16254632:16255486:1 gene:ORUFI07G15750 transcript:ORUFI07G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLGNPCDGKVHLFTVLSDNWLEQLHFHLRINWWNTQLFVEVRIPKGIALQINFIATFSKKGKLIIGGHESLLLWLSFVEMVQMSACCLEPANHHFTTCVMVQLDTLYCVPSNSNLEPSVNTSAEAAYANYWSINLLEVTRDGILIEAIYWTLALGVITWKVISDAVLIGAGIKIVVSSKQHLQCIIFRMEVQVIKPSMRYSSYHFEVQFLANQLRTIKTPMNVLGHYGHCKGILHVPSDPGVKGSPIQLVGLGTSRNSREGDCQGLGMGQPMGWFSPK >ORUFI07G15760.1 pep chromosome:OR_W1943:7:16256370:16257505:1 gene:ORUFI07G15760 transcript:ORUFI07G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFPENSTVCVGSTDGWLALHRTDAAATAAGTKTKRHTFFLHNPFTATTIPLAELKDILDDAFFEWNEVYKGTWTPDSCSMPFVRVIDIAFFKDKLYLITAAEDQFAVDLAADKDGKPTVTNIERIIRQPRSPDGMIDAFRWSDDEDDDGDASSTNDDGEYSSIDDERVVDGEDHDEVFNQEGGDREIVPVSDDDGIDDVSQQWHLTWKHRKYEEFYEEEYASIGTWHLLELCDRLHMVRREWVLPFILQTDHTRKLDVFEANMDAGAWVPVTSGLGGQAIFVSELFSKSMAAPAHGEVEEDTIYFVDTHDVWDMKSGTRRPFRRVSKIMDTDMTWVFPPKMIV >ORUFI07G15770.1 pep chromosome:OR_W1943:7:16265586:16267953:1 gene:ORUFI07G15770 transcript:ORUFI07G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAHKEEVIGKLNVRVVRGSNLIIADPLTHTSDPYVVLSYGPQKVKTSVQKKNSNPVWNEVLQLAVTNPTKPVKLEVFDEDKFTADDSMGVAEFNVTDIYDAAKLDLKHVSDGARIKTIYPVGVNYLGAESHVSWKNGKVVQDITLKLSKVDSGLIVLQLEWVHVPGVTL >ORUFI07G15780.1 pep chromosome:OR_W1943:7:16276711:16280673:-1 gene:ORUFI07G15780 transcript:ORUFI07G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHHQAAAATTVTTQDIRRAQRADGPATVLAIATANPETRRSQDEYADFYFRVTKSEHLPQLKEKLERIYVDKFYINTVELYAMYNFILESHYKLLYLFWDSLQSSNFENFLQIRKPHQGKKSGIENRYTYVNDEVMKAHPEFSDRKLPSLDARVEIASNAVPELAAAAASMAIAEWGRPATDITHLIFSTYSDLKAPSSDRRLASLLGLRHTVCRTILSLHGCYGGCRALQLAKELAENNRGARVLVACSEISLIAFYGPEEGYTDTDTLVAHALFGDGSGAVIVGADPVDAVERPLFEMAFASQTTVPDSEGAITVQHKKGGMEYHIARGLPEMLAGNIKRCLADAFGAIGVAARWKDLFWAVHPGGRRILDLIEEALGLDNGAMAASRQVLREYGNMSGTTVIFVLNELRRRFAADGAEGADWGALMAFGPGITAETILLRVASGLKGN >ORUFI07G15790.1 pep chromosome:OR_W1943:7:16276726:16298009:1 gene:ORUFI07G15790 transcript:ORUFI07G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATCDAEQDRLGGDPRPERHQRAPVGALGAVGGEPAAQLVEHEDHRGAAHVAVLAQHLPARRHGAVVQPERLLDEVQDPAAARVDGPEEVPPPGGDADGAERVGQAPLDAAGEHLRHAAADVVVHAALLVLHGDGALAVRDGGLRRERHLEQRALDGADRVGADDDGARAVAEQRLPDDGVVAPHLGPVERDERGLGAGDEDARAAVVLGELLGELQRPAAAVAAVEAEHGARHGGAEAEERRQQAVAAGRLDAGVGAEDEVGDVGGRPAPLGDRLRRGGRGELRHDLGDDLHPGVE >ORUFI07G15800.1 pep chromosome:OR_W1943:7:16297017:16298645:-1 gene:ORUFI07G15800 transcript:ORUFI07G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPATAAAAAPAAVVSTHEIRRAQRADGPATVLAIGTANPETCVPQDEYADFYFRVTKSEHLPELKNKLRRICNKSGIEKRFMFVNDDVMEAHPEFADRHLTSLDARVEIVSKVVPELAAAASAKAIAEWGRPATDITHLIFSTYSGVKAPGGDRLLASLLGLRPTVSRTVLSLHGCYGGGRALQLAKELAENNRGARVLVACAESTLIAFYGPEVGCNDTIIGQALFGDGSGAVIVGADPVGAVERPLFEMAFASQATVPDSEGAITMQHKKGGMDYHIGGGVPEMLAGSIERCLADAFGAIGVAARWRDLFWAVHPGGRRILDLIEEALGLDNGAMAASRQVLREYGNMSGTTVIFVLNELRRRFVADGAEGADWGALMAFGPGVTVETILLRVGSGLKGN >ORUFI07G15810.1 pep chromosome:OR_W1943:7:16315578:16316924:1 gene:ORUFI07G15810 transcript:ORUFI07G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSSPAIGGGGGGRVAVDLYPFLRVYEGGHIERLVRSTAAVAASHDDGTTTSAAVRPATRDGVATRDVVVDEDTGASARLFLPGGGGEGRRLPLVLYFHGGAFVTGSAFGRLFHRYAASLAARAGALVVSVEYRLSPEHPLPAAFADGWAALRWAASLADPWVARYADPTRLFLAGESAGATIAHNVAARAAGPDGDDVDIEGVALLQPCFWGARWLPSEEAAAAGWRDDEPPMLAPGRLDALWPYVTGGAAGNDDPRIDPPAEDVSSLPCRRALVAVAEKDVLSERGRRYAAQLRGGGREVTLVESEGEDHCFHLYRPARPSAVELMDRVAQFISPASSCLQAEELHLHGRHRTLCHGNATAAAATRSGAPRRQLVVSGGPTTAKLGRPKTKVCGGPAYKAQTALCLGPRGMGKAQRHGFVGMGGPMPSGTNKYSVSSAALRVLC >ORUFI07G15820.1 pep chromosome:OR_W1943:7:16318157:16326670:-1 gene:ORUFI07G15820 transcript:ORUFI07G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFVKGLDNEASAYIVDEARGRHRCVWTSSCSVDMIGTRNGLICVLDGDTGAVTVANPATRVAVHAAAAVTGVALAVLPRRVHVPCYFNKSGTFDAMYPLWIRPTAKLVTTFTTQAHIRHKLSRKIKAINSRLEDIIENKHKYKIEEANTKTTGTWKASTSISYTHKKLEYLHESDVPIHVEERKKLEKVLLTTPEDLHGKEHNPVIISVFGKSGVGKTTLVRKIFKEIGKQKQFDIPAMECFAPYLSATNILQQIVQQLTTDNQDCPRNKVLEILEKKLKEKKYLLVIDGEVSGTELNNILSTLPIGHAGSRIVHITESKPEEPPSNYHHVTIELKTIDKSISKKMFLHHMEVQNLDLENHEDDIIFQITGGLPLAIALLSGLMKTKESTGEWQKVFEYLKSKQSKQIDDMLSICFDDLPHELKCCFLYLAAFPANVTIEARNLVSMWVAEGFLRSKVGKSMEDIGYFYLKELSARNLVSLVQMDDDSNVSNMTVTIQNKVHEFLQFEAHEASFLEVHSGDDIPTLTSARRLSLQNYTDKYAVLANPLPKLRSIFSQFEQEPKEELETMTKSIQAYVCCSPQQGTIASMQKKNIKSHIKELLHGSEFLRVINIQGIEIGNRLTRAIGKAVHLQYLGITSCSLENIPSSIGNLTSLQTLDVRETKVRKLPKAFWMIKTLRHVFGFILKLPKQTVNLKQLHTLDSIELEDFEQGLDNTLGEMIHLEGLVIWKISNGNVKALLSALRKLESLKTLNLQGNNITSSVFTTLFLRRLKFMVLDGELDFSSDQLNNGLALPNLTMLTLKETKVTQEFINKLAKLPSLVTLALCLGSYKDQELVFFSNKFRCLQKLKVDVEKLKKVEIKLSMLPKLKKLEIQTHDSHHYQEHEVTHQEHEQKTVISWKKENAIQVE >ORUFI07G15830.1 pep chromosome:OR_W1943:7:16326746:16328905:-1 gene:ORUFI07G15830 transcript:ORUFI07G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIVGPLVGRLQELALGQARALVGVNADIQKLKDKLMWLQAFLREADAKRRAVSDEVTKVWVLQTRDAVFDAEDALDHYYLQLDKSRTTMLPRKPPAMENVGVDFPTDVLVVILSQLPTSSL >ORUFI07G15840.1 pep chromosome:OR_W1943:7:16334116:16337294:1 gene:ORUFI07G15840 transcript:ORUFI07G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLLGLLKVRVMRGLNLAICDPLTHSSDPYVVLRHGSQKVKSSIRYHSINPEWNEELTLSITNMMLPVKIEVFDKDTFTKDDSMGDAEFGILDFVEIAKQDHSHLGDGAVMKTIHPDKENCFAAESHITWKDGKVSQDIVLKLRNTDTGEIILHLQWVNIPGVSR >ORUFI07G15850.1 pep chromosome:OR_W1943:7:16337701:16343163:-1 gene:ORUFI07G15850 transcript:ORUFI07G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAWREISDVNGRGGGRSAMQRGSLGVLRLRLWLWLLAVSASTAVLAADPSKEPFTIRISCGSFDDIRTAPTNTLWYRDFGYTGGRFANATRPSFIIPPLKTLRHFPLSDGPENCYYINNVPNGHYQVRLFFALVADPNLDSEPIFDVSVEGTLFSSLLLGWSSEDEKTFAEALVFVQDSSLSICFHSTGHGDPSILSIEVLQIDDNAYKFGPSWGKGTILRTAKRLTCGSGKPAFDEDLNGIHWGGDRFWLGVKTLSSSSDDQPISTENVIAETLLAPNFYPQSIYQSAIVGTDRQPSLSFEMDVTPNRNYSVWLHFAEIENGITAEEERVFDVLINGDTAFKDIDIIRMAGERFTALVLNKTIVVTGTTLTIVLQPLKGTRATISAIEVFEIILAEKKTLTQEVSALRTVKGSLGLPLRLGWNGDPCVPQQHPWSGVECQFDDIKGHWVIDGLGLDNQGLRGFIPSDISMLQHLQSINLSGNSIKGNIPVTLGTISGLQVLDLSYNELNGSIPDSLGQLASNLNGNYLSGRVPASLGGRPLHRARFNFTDNAGLCGIPGLHECGPHLSVAAKIGMAFGVLVAILFLVVFAACWWKRRQNIRRAQKLAAAREAPYAKSRTQFTRDMQMAKHHRPHESSRSGNDESTPHLLPS >ORUFI07G15860.1 pep chromosome:OR_W1943:7:16346963:16353532:-1 gene:ORUFI07G15860 transcript:ORUFI07G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRATIGAMGPSAAALRAAAVVGLVVAGGGAPPPLAAALRPLRERVASAGAASFSGSWGDEHAFFKRDENEISPYSWNITGTYKGSWNFAGSTNGSSRFLEFTKLKGDAVLELLSTPTKISGVHYVQGSVTFHDVLDNAHDRGVAQIRLEGVYIWPFRQLRMVANSGADGEPLQEEDYFLSNPYHLLRIFSSQVFQETSEEKNRRKNSLTYDMEKHCSVEIAAKVVRVSSNLNEGEHEKYRLEGLMESTAVDDDGECFSPILLNATSLNVEVYYNKAVNYTLMGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLNSGEGWEVMRRELSVLYSRFYGILLGGILLMYELHNFLRPLLFLMYSFWVPQIVTNVIRDTRKPLHPQYILGMTITRLAIPLYIFGCPSNFMRIEPDKTWCIAVTIFMGIQAAVLLLQHYFGSRCFIPHQILPEKYCYHRKVEDNTNQPIDCVICMTTIDLTQRTSEYMVGIEVQ >ORUFI07G15860.2 pep chromosome:OR_W1943:7:16347287:16353532:-1 gene:ORUFI07G15860 transcript:ORUFI07G15860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRATIGAMGPSAAALRAAAVVGLVVAGGGAPPPLAAALRPLRERVASAGAASFSGSWGDEHAFFKRDENEISPYSWNITGTYKGSWNFAGSTNGSSRFLEFTKLKGDAVLELLSTPTKISGVHYVQGSVTFHDVLDNAHDRGVAQIRLEGVYIWPFRQLRMVANSGADGEPLQEEDYFLSNPYHLLRIFSSQVFQETSEEKNRRKNSLTYDMEKHCSVEIAAKVVRVSSNLNEGEHEKYRLEGLMESTAVDDDGECFSPILLNATSLNVEVYYNKAVNYTLMGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLNSGEGWEVMRRELSVLYSRFYGILLGGILLMYELHNFLRPLLFLMYSFWVPQIVTNVIRDTRKPLHPQYILGMTITRLAIPLYIFGCPSNFMRIEPDKTWCIAVTIFMGIQAAVLLLQHYFGSRCFIPHQILPEKYCYHRKVEDNTNQPIDCVICMTTIDLTQRTSEYMVIMKSCCL >ORUFI07G15870.1 pep chromosome:OR_W1943:7:16364851:16371792:-1 gene:ORUFI07G15870 transcript:ORUFI07G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFRQVRAASLLLPSSPLPFSHRPPRATTTAPPRPNRCRRLHIHSSASHHHIQSQLHHRRASHHRRREAVVVVRCSGRDGDGVMASTDPLLGGGGSKEEEEDGGEVRARPRWWVGRVVDTEEAWAQTRFAVPMVLTNMSYYAIPLVSVMFSGHLGDVHLAGATLGNSWATVTGYAFVTGMSGALETLCGQAYGARMYRMLGLYLQSSLLMSAAVSVLVSALWCFTEPLLLLLRQDPAVSAAASAFVRAQVPGLFAFSFLQCLLRYLQTQSVVAPLVACSLAPFLLHVALAHLLVNALGLGLAGAGAAVSITFWASCLMLLAYVLRSERFAETWNGFSAEAFRFVVPTIKLATPSAVMVCLEYWAFELLVLIAGLLPNPTVSTSLIAMCSSTEAIAYMITYGFSAAVSTRVSNEIGAGNVEGAKNAVAVTLKLSVFLAAAFVLLLGFGHGLWAGLFSGSAIIAAEFAAVAPLMMASILLDSAQGVLSGVARGCGWQHLAAVTNLVAFYVIGMPLAIFFAFKLKWYTKGLWMGLICGLTCQTCTLMVITARTKWSKIVDAMQEKKASYVA >ORUFI07G15880.1 pep chromosome:OR_W1943:7:16396101:16398214:1 gene:ORUFI07G15880 transcript:ORUFI07G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAMASSEALCVAAVLIIAAWLERSELPLGREVVRHMLDVDGHTWSVHRAKANWFRIMGLYVFLFGIWYNRFQPRGPAGIDTQLSQADTVEPDNLEE >ORUFI07G15890.1 pep chromosome:OR_W1943:7:16402236:16403699:-1 gene:ORUFI07G15890 transcript:ORUFI07G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASANVLLLPEAGSGHLMSLIEAGKRLLAHGGRGDGEGPAVTVTVLVVRPATSESAAEVDAHVGRVEASGLGVRFHRLPAVEPPPMGCAAGNVQEFKSRYMQLQAPHVRAAAAELGAAALVVDFFATGVLDAAREAGVPTYVYFTSTAALLALMLRLPALEEEVPVDFEEFDGTVDVPGLPPVPAGSLPAFMGRKESPNFKWFVYHGRRFMDADGIIINTVAELEPALLAAIADGRCVPGRTAPPLYPIGPVLDLEDKPSSNARCVRWLDAQPPASVLFLCFGSMGWFDAAKAREVAAGLERSGHRFLWALRGPPAAGTVHPTDASLDELLPEGFLERTKGRGLVWPTWAPQKEILPHAAIGGFVTHCGWNSTLESLWHGVPLVPWPLYAEQRLNAFELVRDMGVAVPLGVDGKRRDSFVEAAELERAVRSLMDDASEVGRKAREKAAEMKAVCRNAVAPGGGSSYAALQRLLGAIRGGFSTMTQ >ORUFI07G15900.1 pep chromosome:OR_W1943:7:16416246:16416922:-1 gene:ORUFI07G15900 transcript:ORUFI07G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSHSSRRRRRPSIPLIRCPSCGVKQILELIATTEANRGRIFFTCPDHVKDGSGCNFWYWEEAYIKSLKRSGFIDEATCAELLKEAKMKDGDEMKKSSAQEFKKEPDVGHFKQLENMIFILTKMMVLLKLIQAGGVCLVGLLLKNNAEKNVMFQMKCNVQCAMNEQC >ORUFI07G15910.1 pep chromosome:OR_W1943:7:16418539:16420029:1 gene:ORUFI07G15910 transcript:ORUFI07G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPALVLLPEWGSGHLMSMLESCKRVLLAGAGGGREFSITLLVMRPPTDEAGSEVEAHVRREAASGLDIRFHRLPAVDPPADAAGVEEFIARYIHLHAPHVRDAVAGMGRPVAALVLDMFAAPMVDVARDLGVPSYVFMSSTGAMLALMLHLPVLHDRVAVEFHEVDGEVDVPGLPPLPPASMPCPVVDKKSPNYTWFVRLGDRFMDATGIIANTADELEPGPLAAIADGRCVPGRAAPPVYPIGPVLSLGGNDKRDSSEPPHECIAWLDGQPPASVVFLCFGSMGWFEAAQVVEITAALERSGHRFLWVLRGPPPAAESGTGAPDGSEHPTDANLDELLPEGFLERTKGRGMVWPTWAPQKEILAHPAIGGFVTHGGWNSVLESLWHGVPMAPWPLYAEQHLNAFELVRDMGVAVPLGVDRERDNFVEAAELERAVRSLMDDASEEGKKAREKAAEMKAVCRSAVAAGGGSSHAALQRLSEALHQGAALPKN >ORUFI07G15920.1 pep chromosome:OR_W1943:7:16426461:16427885:1 gene:ORUFI07G15920 transcript:ORUFI07G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTLVLLPTWGTGHLMSLLDAGKRLLGCRGGGGLSLTVLVMQPPRKEYASAVAATVRREEASGLDIHFRHLPAVEPPTGCAGVEEFVSRFVQLHADHVRAAVSGLDCPVAGLVIDFFCTTLLDVARELAVPAYVYFTSNAACLALLLRLPALEGEVTVEFEEMDGEVDIPGLPPVPPSSLPMPVMDKKNPNYTWFVYHGRRFMEANGIIVNTVREIERSVLAAIADGRVTPGVRAPVIHPVGPVISFTPPSDDPPHECVRWLDAQPPASVVFLCFGSMGSLAPPQVLEVAHGLERSGHRFLWVLRGAPAAGGGMNPTDADLDELLPEGFLERTTGRALVWPTWAPQKEILAHAAVGGFVTHGGWNSTLESLWFGVPMVPWPLYAEQHLNAFTLVAAMGVAVAMKVDRKRNNFVEASEVERAVRSLMGGSEEGRKAREKAAEMKAVCRKAVEEGGSSDMAVHKLYEELSTQTA >ORUFI07G15930.1 pep chromosome:OR_W1943:7:16427968:16428306:-1 gene:ORUFI07G15930 transcript:ORUFI07G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATASPATVVLIPFCVPGHLTPMLEVGKRMLRVGFCGNADDGRGAMSLTVLLAQLPESHRAPEIDEIIRREAAGASEHSGFDVRFHCLPAEELPDFRGGEDFISRFMQQL >ORUFI07G15940.1 pep chromosome:OR_W1943:7:16434202:16435171:1 gene:ORUFI07G15940 transcript:ORUFI07G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDLTARMAAHMNCHLVFPLLEFLQWRPGRVYAVEEILQAKLRLLIQGTNMVDYAMDTHKLLHGDTDDDVVVPVPDDMVERRHEVVTRLGALAAPAAPIVSALKNHHLGPDKEHNIRMLHERFQIEALYQYAKFQFDCGNYPDAAENLHRYRALCTSSERSLSAQWGKLSAEILNNNWDVALEELNCLKEMIDSKNSSSPLNQLQNRIWLMHCSIFIFFNHGNGSYGIIDLFFQDKFVY >ORUFI07G15950.1 pep chromosome:OR_W1943:7:16440306:16441766:1 gene:ORUFI07G15950 transcript:ORUFI07G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAATVVLIPFCVSGHLTPMLEVGKRMLRSRCCGDDDDGRPAMSLTVLLAQLPESHRAPEIDEIIRREAAGASEHSGFDVRFHCLPAEELPDFRGGEDFISRFMQQHASHAREAIAGLESRVAAVVLDWFCTTLLDVTRDLGLPGYVYFTSAASMLALLLRLPALDKEVAVDFEEMGGAVDLPGLPPVPAALLPTPVMKKGCNYEWLVYHGSRFMEAAGIIVNTVAELEPAVLEAIADGRCVPGRRVPAIYTVGPVLSFKTPPEKPHECVRWLDAQPRASVVFLCFGSMGSFAPPQVLEIAAGLERSGHRFLWVLRGRPPAGSPYPTDADADELLPEGFLERTKGRGMVWPTWAPQKDILAHAAVGGFVTHGGWNSTLESLWHGVPMAPWPLYAEQHLNAFELVRDMGVAVEMEVDRKRGNLVEAAELERAVRCLMDEGSEEGRMAREKAAAAKAACRNAVDGGGSSIAALRKLTQEMAHMSSI >ORUFI07G15960.1 pep chromosome:OR_W1943:7:16444443:16445932:1 gene:ORUFI07G15960 transcript:ORUFI07G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVLLGQLSGSHRADSGFDVRFHCLPAEELLDFCGSEDFISRFMQQHSSHSREAIFGLESHVAAVVLDLFSTTFLDVTCNLDLPGYVYFTSTASLLSLVLRLLVLDQEMLVDFEEMEGVVDLLGDESRLA >ORUFI07G15970.1 pep chromosome:OR_W1943:7:16448095:16449159:1 gene:ORUFI07G15970 transcript:ORUFI07G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPDGVRYCQQCERTTSMVLDHDTGDAICTECAIVLGNGNDPRRPAVASAATKHGGADAPADDDPLLQGSDVGFDAIDNMASRLGLAGNVRDRGKDVLRKVEEAKVCARGRSRDALYAACLHTACRMEGAPRTLKELIAATPDAAATKRNLGKFIHAIKRLLGSNDEEAEAGQDQAGSKATNGCGGGGGAGAVVRASNYLLRYGSAVGMSGQEVSAAQRAASRLDESLDVRRNPQSIAAAIIYMAVQRAGGGGGRSKSVREVSAATGVSESTIKDAYKDLCQHAEVLFG >ORUFI07G15980.1 pep chromosome:OR_W1943:7:16450294:16453983:1 gene:ORUFI07G15980 transcript:ORUFI07G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDEKREFKAAFREFKAAFVLYVTTKLLAPQSCANFISPRYIRAVADADNIKQYNWSQFVIDEVKKAAKSLPKRFPNTTQQSINGCIIFLMAKYFSKLVIRKVGITSVKSCHISQFEDNQIAMMIQQDIVSKHNPGYTFPRYGKLQLMKAPRENYPQAPEREFKAAFVLYVTTKLLAPQSCANFISPRYIRAVADVDNIKQYNWSQFVVDEVKKAAESLPKRFPNTTQQSINGCIIFLMFEDNQIAMMIQQDIVSKHNPGYPFPRYGKLQAPRENYPQAPEVSPLNLSSVSKIQCRGNDGGANLIKFLESHFNSLDVSAMVGPQAYKELKSYVQDGFNQIDEILPSIADFVDISNLKTATEAANMFKKAFKYNMAAAVKIATRAAVRNVIDTIEDMQGPLHP >ORUFI07G15990.1 pep chromosome:OR_W1943:7:16453999:16455469:1 gene:ORUFI07G15990 transcript:ORUFI07G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHTPTNYSTHATEYENPVEQPTVKPNRKLMSPFLSKQCSTERMDSRTADELYSYVMSISNEASLDAKWLQSSYLFRISLTLRNIQETIKIGSRMDSDSLNLAIRIMFQQEVERFQNTNYLGWRHFINQDFGEASHQLAHFTGPEVVYDVLDSHMILIPVHLLKHYVLYVFNMESKKVLVLDSLNTQDPLGESRFTRHDKIKIMVSRCVMECMRLAFPGWNKDILNWDFEAVENIPKQQNGDDCGFHVFNNMVNWDGLHLVNSTSQDPYYLRRQFLIHLLTLRDNEAILPEYVVHRLRHIKDN >ORUFI07G16000.1 pep chromosome:OR_W1943:7:16465350:16466375:1 gene:ORUFI07G16000 transcript:ORUFI07G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPDVVSYCQHCQRTTPMVLDHATGDAICTGCAFDLGNGANACPEPPRWRAAAGHGDSDGDRSSSSSSVGGDVSPAAADPLLQGGEGVACSVGAPPVQPRVRGAVVPPKQMRAGGAVPPKVRCGVPDTSKALAEGFDAIAGMASRLGLADKVSDRAKEVLRKLEEARACPKGRIRDALYAACLHAACRVEGAPRTLKELIAATSDAAATKRDLGKFINAIKRHLGMEERGQDQAADMKASGGGVGVVVRAGDYLHRYGSAVGMSGQEASAARRAAGRLDSLDVRRNPQSIAAAIVYMAAQGSSGVRKSVREVSAATGVSESTIKDAYKDLCPHAALLFA >ORUFI07G16010.1 pep chromosome:OR_W1943:7:16467274:16467987:-1 gene:ORUFI07G16010 transcript:ORUFI07G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGHDCTRSQKGRCRWIRRWRDSAAAAATLVADADFLIAHPSSSERPPSLFQQSTSPHRCNAARVAASSSLPTTGSIGKGAGSCSSIWLAHLVRQRRRPV >ORUFI07G16020.1 pep chromosome:OR_W1943:7:16471134:16471403:-1 gene:ORUFI07G16020 transcript:ORUFI07G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERDDGVRGNRATARHGSCHCRGFAEPPCWRRARDGGKRAGRKTAALMGGGQRRRWVEKGGDWGRRTTALTGGRGMAATRGGRRHWI >ORUFI07G16030.1 pep chromosome:OR_W1943:7:16471446:16471670:-1 gene:ORUFI07G16030 transcript:ORUFI07G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKVRSISLFGAPFFMVLEGKWRGVRGEYNGATAWPDLVQIDCDGNDATTATLGARSGALVGTSAFPSLQWMK >ORUFI07G16040.1 pep chromosome:OR_W1943:7:16472470:16473623:-1 gene:ORUFI07G16040 transcript:ORUFI07G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMMVQSAGIKRLRREMESRRRRSPELAPTGRVAKRSSTPPPLHPSPDDERSADVLAMPAPPPVPGRPATSAAAAATANEPTPTIEKGTQVSVRTRVGKISVTGHQTRHLVLRLDAVVVSADEDGFLDVVYKVGFPHDDPFRPVRVARGQVQVILQPAAAAPSVDSSTATDAAVRRAPGHDHARSRRTSVLPGRPTVAGNKGLFGTAPTPKLNSRS >ORUFI07G16050.1 pep chromosome:OR_W1943:7:16477082:16478228:1 gene:ORUFI07G16050 transcript:ORUFI07G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASEGIRSEELLDLDLTRILPAAGLTIKTEVSTLKRCRGCEVISLLPSCHVLMNRRIQCITTMSYDTVIGL >ORUFI07G16060.1 pep chromosome:OR_W1943:7:16480360:16480890:-1 gene:ORUFI07G16060 transcript:ORUFI07G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAYRRRISCEFERRRRRSRRPERVTIATTGRDAARRFATTADSTAAAAAAAPPSRERVRRRVVLPPASSSYRPRHATALDVKWSPWIENNEERSAAVFARSAGRPALAGAGAGDGPVKKRAVRVRVRDRVGKIMSSISRTIHLTSRDVVKHSGFRVAACHQAVSSLKYMFLRH >ORUFI07G16070.1 pep chromosome:OR_W1943:7:16482295:16485361:-1 gene:ORUFI07G16070 transcript:ORUFI07G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKDKARKNFSSSSSSSAASMAALAAAAAAGDGGAALPSPMEEDKKPRLVASSLAPVAGGGGGGSSSSAAVAAGASSSSSSSSVAAAARRGAGRAGGGAPSGGGGGPRCQVERCGVDLSEAGRYNRRHKVCQTHSKEPVVLVAGLRQRFCQQCSRFHELTEFDDAKRSCRRRLAGHNERRRKSAADTAHGENCRHADQDAGRSHQGTGNPPFQIR >ORUFI07G16080.1 pep chromosome:OR_W1943:7:16523026:16523355:-1 gene:ORUFI07G16080 transcript:ORUFI07G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELPDLDDGSSARPSHGNSGDLLTAIAATSSSQCTVAVARPPIGGAPPPHGHPLLQPRHDHRELRASNSGHRKLGMGGRGSSTSRRTAAAARPPVGTLLQPRPLTMN >ORUFI07G16090.1 pep chromosome:OR_W1943:7:16537081:16539437:-1 gene:ORUFI07G16090 transcript:ORUFI07G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSLFPGMDLTKMDAPTLTLLGAACCVMLSMHFTVQLVSQHLFYWKNPKEQKAILIIVLMAPLYAINSFVGLLDIKGSKTFFTFLDAVKECYEALAIAKFMALMYSYLNISISKNIVPDEIKGRVLHHSFPVSLFLPRNVRLEHKTLKLLKYWTWQFVVVRPICAILMITLQLLGLYPSWVSWTFTIILNFSVSMALYALVIFYHLFAKELAPHKPLAKFLCIKGIVFFSFWQGFALEVLAAVGIIQSHHFWLDVEHIQEAIQNVLVIIEMVFFSVLQQYAYHVAPYSGADRAKFEKKNE >ORUFI07G16100.1 pep chromosome:OR_W1943:7:16546555:16546926:1 gene:ORUFI07G16100 transcript:ORUFI07G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADRVMQWLNGKELRSVVFVSLAMMAHGLELSGAAFVHGAGGGRVGAAVARVRHRLCRHCGSGSEHDSQPHRSPLPSLFHRCLPLPPRLADRLSPLSRQCGRPPLSLSPSKAHQPPILFSPF >ORUFI07G16110.1 pep chromosome:OR_W1943:7:16555155:16555406:1 gene:ORUFI07G16110 transcript:ORUFI07G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLGDYRRSGRATATSIGRSAPKVGSGASSTSPTNVNLIAATLDNTCSIVWFRKLASDQIKNTLLPLLQSWPPATAVLPISR >ORUFI07G16120.1 pep chromosome:OR_W1943:7:16576764:16583403:1 gene:ORUFI07G16120 transcript:ORUFI07G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRRSTEKSPAETESSVSSPSERLSDEQDTPKSSPSSVQSPEISSKEAQDDNVKVKVLSERLSSAVLDIRAKDDLVKQHSKVAEEAVLGWEKAEKEIASLKTQLNAATAKNSTLEDRIVHLDGALKECVRQLRRAKEELDHGIQDALAQQSREWESEKADLELRVVELKAKLEAKSEFSVNAETDASSRLASLEKENSALKVQLLAMSEEVELRTIEKELNRRAAETASKQQLESIKKIAKLEAECRRLQANARRELKRAPSSVYAESVTDCQSDCSDSWASILITELDQFKNDKSITRSASLAAADIGMMDDFLEMEKIASANSPSKSEAEDAASVQLVKLKEKIKRLAMEKADREKALHEAQRELRNTRHRAMVAEEKSVELQRQLNLVKGVKHSMETEMEAMENRRNELEGRIELAHGEITSLLDKGRILEERLESEKALTLELAAKYQQMDALEAERRELRGHLEASQSEAKNLGDKITLLEKKLEEEKAFSTRLAVTCHGIEALEEKKKGTEHELESAREEIASLQKKVSILELKIQEERALSEKLATRSRDLEALGVQTNELRSQLQSANSEIAGLNEKVKMLEEAEEKHKPLTAGLESQLRLAQAEAMRLKDHVSSLEKKLESQKNLSSAYITALDASEAQKNKFASRFELKEAEAEELRRKIRLLEEEIHKEKAQSSELGVQCQNLKEQFTSRALSQPMKPMASKELHIKKEKELARAAGKLADCQKTIASLNRQLKSLADFDEFVPGFENDSVIAEGWEENGLKLLNSANYPAQLGCLAVK >ORUFI07G16130.1 pep chromosome:OR_W1943:7:16584716:16588770:-1 gene:ORUFI07G16130 transcript:ORUFI07G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTSVVESPGCPPLRALTTDILGLIKVVEARTKPAGVAKVVETWGAPDAPRAVLAASLADRAVDPVSVRDLPLFLPAVLAVARKNGVVELLNPLNGETLAGVNAAAGRAAPADSSAEEDPLATLHLFRRHALDSSMLGTFLACTEKGKAYVKSVAKENASSDMAVGPSSSWDVSNSGTVQFSSVDAGESYAMFGGKGIEVNLWDITSCSKIWSAKSPRGNSLQIFTAPWFTAGTFLCKDDHRKIVACTNNHQVRLYDTASQRRPVISVDFRESPIKAVAEDPNGHAVYIGTGRGDLASFDMRTELTLACTLFPGKLLGCFAGKCSGSIRSIVRHPELPLIASCGLDSYLRIWDTNTRQLLSAVFLKQHLTAVVIDSHFSTEELEETKSKQPDPVGAEVRKERKEKKNRTSEMDEDETRMLDHDDSDSEMHTSKRKKSGEKSKGMKKKSKKQQVA >ORUFI07G16140.1 pep chromosome:OR_W1943:7:16589364:16589543:1 gene:ORUFI07G16140 transcript:ORUFI07G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMSDGSSSSHQWKPSPVPYRVGPLDYQPAVMCRCPAKAARWISWSTDNPGRRYYKC >ORUFI07G16150.1 pep chromosome:OR_W1943:7:16590634:16591071:-1 gene:ORUFI07G16150 transcript:ORUFI07G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNTPGSSAQSRKRKAVSLTTTSTTEHSKSNQSKSKAAMDSQEVVRVAAKAKVSTAQGGSARVDLQAIVPHSNSSTTASVRLTSGKATVTVSAQEPTKNKPKKRAGGSLILLPWEAKKL >ORUFI07G16160.1 pep chromosome:OR_W1943:7:16596402:16600299:-1 gene:ORUFI07G16160 transcript:ORUFI07G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEIYHKMKDKVKDAFSSSGPETGKGKTKLSGKRVKHGYHLVKGKSNHPMEDYLVAEYRQEGEHDLGLFAIFDGHLGHTVPDFLRSHLFDNILKQPEFLSNPQAAIRNAYQLTDAKILESAAELGRGGSTAVTAILISSENSVNLVVANVGDSRAVISKSGVAKQLSVDHEPTKERHSIEKKGGFVSNLPGDVPRVDGQLAVARAFGDRSLKKHLSSEPDVVEEPIDENTDFLILASDGLWKVMSNQEAVDEIKDFKDAQAAAKHLTEQAVNRKSKDDISCIVVKFLC >ORUFI07G16170.1 pep chromosome:OR_W1943:7:16606158:16610746:-1 gene:ORUFI07G16170 transcript:ORUFI07G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDANPEAAAPPPQLQVDEGYEFCAPKFFDFVCDETEEEIRAAERWFEASASHAPSPFAPRIKESRAEVKIESLCDFTDAEPIPKEVAVEEAAGSAANPSQNSDGNVQQNKDGSIKLVHEANPSENCVTDGDHKHQESDAMLESPPAEEDEKESPKSFEFVPSNAKSADVASSTPKIQRPPPVKAVTTVPTCPKLTVKTEAFTPKVQATNSSRGLAPLTGSRAHPSALKQSMSVKRSVIKCPRELLAGKAATAANEIAQENQAVKRQKLDDGRTRQILNVKTRTLPHKGRGGGLAGSTEMSLSAMRKHRDDSHSLKEVTHYISAAEMVKKFESGTRELAIPHNRSLSHEDAATALQRRTKLMLTRPKEPEFQTSHRVRAVRVKSSAELEEEMLAKIPKFRARPFNKKIAEAPSFPPLPRKAPQLPEFNEFHLKTMERATRHADTCSEASSVGTIRSQSSKPLTLTAPKPPQLETALRARPPRVKSSQELELEELEKAPKFKAKPLNKKILESKGDIGVFPHLKAQPTAPKEFHFSTDDRLGPPAVVDLFDKLSLCSESSYHSKKDVPRLTIPNPFNLHTDERGHEKERQLAAQLLQKQLQEEKARIPKANPYPYTTDYPVIPPKPEPKPCTRPEGFQLESLVRHEMEQQRIMEERERMEREEAQRRVVKAHPIMKEDPIPLPEKERKPLTEVQPLKLHVDERAVQRSEFDNMVKEKEITYKRLREENEFAQKIEEEKALKQLRRTLVPQARPLPKFDRPFRPQRSTKQVTRPKSPQLQVDQRGARRHAFIR >ORUFI07G16180.1 pep chromosome:OR_W1943:7:16612079:16621115:-1 gene:ORUFI07G16180 transcript:ORUFI07G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASMAAAIEARFSGRDLIGRGSFGDVYKGFDKELHKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPYITDYYGSYLHQTKLWIVMEYMAGGSVADLLQTGPPLDELSIACILRDLLHAVEYLHSEGKIHRDIKAANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSKPMKEFVSLCLKKNPAERLSAKDLLKHRFVRNARKSPKLLDRIRERPKFPVKSSADATQNGRTHVEEDDGTGTIKVERATRDVVSPSSQGTVRKAAGWNLPDRSEGTGTVRGGLKPSQVTSTKDSRSDASHSPNTPKRTADRENQWRTSWTGSEESISTNPSQRDAQSEYGRLESSTEDNDQSISGSGTVVLRSPRASQVYPAATNHSSKPPSRFSSYEDTSISGTVVRNQIEEPETPRSSRSRLGIQEKSPNASLEDSATNLAEAKAALQAAFKKGNARERHVISKYEKESHEPRISAVNSHDAPSENADMEKGRKPRQIHDGQSAPQASGSVSSPALSSLIIPSLKEATGDKFNGPVVHTFLDSLMHLEKELPGSCEVLIGRMLHRLGSSKESSLQSLQETATSVFAKKSEPPSEPPSNKKMANTPSLAAPTVSPLARFLLTRWQNQVSQDLNSV >ORUFI07G16180.2 pep chromosome:OR_W1943:7:16612079:16621115:-1 gene:ORUFI07G16180 transcript:ORUFI07G16180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASMAAAIEARFSGRDLIGRGSFGDVYKGFDKELHKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPYITDYYGSYLHQTKLWIVMEYMAGGSVADLLQTGPPLDELSIACILRDLLHAVEYLHSEGKIHPANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSKPMKEFVSLCLKKNPAERLSAKDLLKHRFVRNARKSPKLLDRIRERPKFPVKSSADATQNGRTHVEEDDGTGTIKVERATRDVVSPSSQGTVRKAAGWNLPDRSEGTGTVRGGLKPSQVTSTKDSRSDASHSPNTPKRTADRENQWRTSWTGSEESISTNPSQRDAQSEYGRLESSTEDNDQSISGSGTVVLRSPRASQVYPAATNHSSKPPSRFSSYEDTSISGTVVRNQIEEPETPRSSRSRLGIQEKSPNASLEDSATNLAEAKAALQAAFKKGNARERHVISKYEKESHEPRISAVNSHDAPSENADMEKGRKPRQIHDGQSAPQASGSVSSPALSSLIIPSLKEATGDKFNGPVVHTFLDSLMHLEKELPGSCEVLIGRMLHRLGSSKESSLQSLQETATSVFAKKSEPPSEPPSNKKMANTPSLAAPTVSPLARFLLTRWQNQVSQDLNSV >ORUFI07G16190.1 pep chromosome:OR_W1943:7:16625133:16632186:-1 gene:ORUFI07G16190 transcript:ORUFI07G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASQGVVTQQQKPSGRRPVGSSQIWTTLNFPQRYGVLLPSQQTRKLKEWVLTDEQQQLVNASGLGHLALTTGFTIDRCLLTAFCERWNNETNTAHFMGFEMAPSLRDVSYILGIPVAGHVVTAEPIGDEAVRRMCLHFLGESPGNGEQLCGLIRLTWLYRKFHQLPENPTINEIAYSTRAYLLYLVGSTLFPDTMRGFVSPRYLPLLADFRKIREYAWGSAALAHLYRGLSVAVTPNATTQFLGSATLLMVNWNPYKDMNPAIIPEYCIAADNICYSRTWLISFNIKEVYVPDRFSRQFGREQGRLHGVPMWARRTWSKWKDWRVEYAREIEEFHQLVGCRFTPAETNINSLPIESITKQDAAGCSRSTSQNFSSMVEDLRNDFPVIDRYLEGQLLPVEVASFLERVGMMIKSYSPPQSSRKKDQAGQGQDSNVRSKNPRKRGKPSFFQDPSSPPNSRVDRFPAVLIPYQDSKCDMVLDGTVPLLDGAEEFKEQGVMDLWQNSHLTTPSCSSLDSSSPESRKRRQQDRDEIRLPRDTENLRRSGRLCVQLKMFKHRDGMESAEAEPTNKSVIQGTHELTVCQTTERLDTWKLNEQQQQLVDASGLGNLIHTAGLVIDRIALMAFFELWSKETNTAQLNGFEMAPSLRDAAYILGIPVTGRVVTTGAVLNKSVEDLCFQYLGQVPDCRDCRGSHVKLSWLQSKFSRIPERPTNDQTMYGTRAYLLFLIGSALLPERDRGYVSPKYLPLLSDFDKVQEYAWGAAALAHLYKALSIAVAHSARKRLFGSAALLMGWIYEYIPALRPDMYDPPEHIFPRVLKWTGSTISQPAKNVSDIRKAFSLLQVSYVNWEPYKGVDPASIPKHCAAPDNLCFSRTWLVSFNLKEIYAPDRFARQFGQEQHRPLNDVPAFQRQLWNPAVDWSLMYASEIERFQQLINAADGGDHGHTADAAAAAAADVEGVREELPTVARFLEQHRLPAELATSLSRIRGLIEASPPAPPLKRGDAAPQPQPQVIAVIPPLAVMPPREQEAPHGTGDAEAPGIVRGDADDDDAVPPKERSDEQQQQDEEEEEEEEEQKWRRRRRREEEDGAAKGSGGGGGAVRRSSRSCVQAKRFRRVGGKGSQSSDPIVL >ORUFI07G16200.1 pep chromosome:OR_W1943:7:16634891:16642777:1 gene:ORUFI07G16200 transcript:ORUFI07G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQACKKRRAVYISSESEDSGTDSEVEGSKLSKKDGVTSVYTCGHQPTSKNKVDPMNTSKSRQCGSILKKLMDHKSGWIFNTPVDPVVYGIPDYFDVIRNPMDLGTVKRKLTSKQYSNPYEFAADVRLTFSNAMKYNPPGNDVHAIADQLNKIFDSEWKLLERKWKDRNLVQEQPSLKVLKAQPAVTPKPVLPKGVTAGTNSAVSKTLATALSSKVKIKFSVRGSELTSSKDTPLQAVGRRDGTINQSLPCTKDNAKTPRIQSSEDRSESTEEEYLPEEPLSPSKALRAAMLKSRFAGTIVKAQQKALLDHGKKIDPAKLQLEKERLEKRQQEEKARIEAQVKAAEAAAQLKLDEEMRMKREQERRAARLALHMMKKTVDIDNSDFLKDLENLSKKWELNPPGKLIVDFVDGIDLPPGLGSPLERLGLFMKKDLEEEVEHEMEDSVSPSTEIDVEEGEISFCQ >ORUFI07G16210.1 pep chromosome:OR_W1943:7:16644109:16651833:-1 gene:ORUFI07G16210 transcript:ORUFI07G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLSLFQGDMDATMTTLGPEDDTGAQGLILPSRDRVMYRPPPGKSALGLDLLAHRKREAEGGNAFKPPPQKVVAAATSIDEDEKPGPAESDEKSLSSGHRGSVSRRYRGANSDERTSFKEPTITDEDGRGPSPSHRDGSYRQDTHKSRSSQGSHSRSTPRRYDDYEDRGSRDKHGERERSASIGYSSSGRRGHHDDRESHNRRDERERSTSIDYMNKRSRHEHSSRSSRTPARSDWDSGRWEWEDTPRREYRDDRSNSHRQHPSPSPMLAAASPDARLVSPWLGGNTPRYAASPWDNVSPSPAPIRASGSSKGSSYTRSAGRSHQLTFSSTSASNDRESDRSPSAADGNYEISEEMMQEMDYNADRAWYDCEEHNTMFDGDNSMYLEDDSSYKKREAQLPKRLTRKDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRVVFTKQAEPVMPLKDPTSDMAIVARKGSALVREIREKQSMNKSRQRFWELAGSKLGNILGVEKTAEQVDADTATVGDQGEIDFKEEAKFSQHMKVKAEAVSDFAKSKSLSQQRQYLPIFTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTTTGIVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDMTSSNTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEAAVKQAMTIHITSGPGDILIFMTGQEEIEATCYALAERMEQLISSSTKTVPKLSILPIYSQLPADLQAKIFQKAEEGTRKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGALTVIGWKMVEFPLDPTLAKMLLMGEQLECLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCHMEWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVTAVDPQWLAELGPMFFSVKETDTSLLDHKKRQKEDKTAMEEEMEKLRQEQAEAARLEKEREREKRAKQQQQVSMPGLKKGSTYLRPKRMGL >ORUFI07G16220.1 pep chromosome:OR_W1943:7:16654860:16655517:1 gene:ORUFI07G16220 transcript:ORUFI07G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATWWWTTTAAVYCNATTASSYLPVVCRALATPTRLAPDADPLSLLLFLNDITGHMRAFDKGSKMTCPAQMLSP >ORUFI07G16230.1 pep chromosome:OR_W1943:7:16657710:16664408:1 gene:ORUFI07G16230 transcript:ORUFI07G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHRNPPPPSFPRGGGGGVGRGHHPPPPSLHHHRLPPPHHLDDFREPPLLPPHHRLDDFREPHHLPPPHHHHHLDEFGEPPRHHVFAGHGGGAGPPPAPHVVAALEERLGAEIEEAHVLLGQNQRLAATHVALVQEVSAVRHELGRTARGLAAAQEEGELRLREVYERSMKMEAELRAVEEMRAELAQVRLDIQKLGAARQELMGQVQGFTQDLARSAVDLQQVAALKAEIQEIRHETQHLRSGIEVEKKGYAESYEQGQEMQKKLISVASEVEKLRAEAEKRSRAAVSGGNQVYVGGYGNPKAAYAANPYNAGYNINQPHPQANTADSGSQFGPGSTHAPWGAYDMQRATGRR >ORUFI07G16240.1 pep chromosome:OR_W1943:7:16663242:16668183:-1 gene:ORUFI07G16240 transcript:ORUFI07G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLRKQASKFKEQVAKQQQAVIKQFSTTGYEHSDAVVIDEVELQRHQQLEKLYTSTRSGRDFQKDIVRAAEGLVSIGIRHVEVGTKFSEDCYRYGGESSASDEALAKAASLYGGALRNVEKEYEEFNRILSSQTIDPLRAMAAGAPLEDARGLAQRYSRMRHEAEILSAEIARRKQRVREAPLAEHTTKLQQSESKMIEHKASMAVLGKEAAAALAAVESQQQRITLQRLVGMVEAEKLFHLRLAAILDDVEAEMSSEKQKRESAPPTIHSHKRAEKAQYFLAEAVHNFNGTTEKELSLIVGDYVVVRQIAPNGWAEGECKGVAGWFPAAYVERRENIPPNKVFPQA >ORUFI07G16250.1 pep chromosome:OR_W1943:7:16682561:16689235:1 gene:ORUFI07G16250 transcript:ORUFI07G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLERTPLVVPEAAAAAAAASSPLRRPSPRVLREVPPPPLDPILPYLRSINKAMDELRTGPKFEAAALDRLKICVMECIDNTPQTPDSSRXCIDKYGDDYQYSTDPRLLKIWILYADAIGDFDKAYKQLEEKRMFLEHALLYDAYALFLFSKGKVLEAGKVYEVGISRKAEPLDHLKKMHTIFLKHLEKIVEEADADAQPKPSKIQKKEPILLDPWSESTMNNLLENINVDLKKFAGYHKSNKVYHGKVPLTSPQNVLRNKVIELGGRKYQIKGSPGTGAFAKVYKASVDGNTEDLVALKIQKPPFPWEFYMYRQLDTRVSDIERPSFGYTHEVHVYADVSVLVCDYLPYGTLLDVINSHLVLERHMDEVLCIYYTIEMLRMLETLHSVGIIHGDFKPDNMLVCYPSGEITDETFKGETRSERNQGLCLVDWGRGIDLNLFPSGAEFYGDCRTSGFSCVEMQEQRAWTFQADTYGLCVIAHMMLHGTQMSIQKTPRPDGSYMYWNVELWKNLFSTLLNATSNGSDAAVLRSLRMSFQEYLCSNRQLVGKLNQQLAKQKTSLCLS >ORUFI07G16250.2 pep chromosome:OR_W1943:7:16682561:16689235:1 gene:ORUFI07G16250 transcript:ORUFI07G16250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLERTPLVVPEAAAAAAAASSPLRRPSPRVLREVPPPPLDPILPYLRSINKAMDELRTGPKFEAAALDRLKICYSTDPRLLKIWILYADAIGDFDKAYKQLEEKRMFLEHALLYDAYALFLFSKGKVLEAGKVYEVGISRKAEPLDHLKKMHTIFLKHLEKIVEEADADAQPKPSKIQKKEPILLDPWSESTMNNLLENINVDLKKFAGYHKSNKVYHGKVPLTSPQNVLRNKVIELGGRKYQIKGSPGTGAFAKVYKASVDGNTEDLVALKIQKPPFPWEFYMYRQLDTRVSDIERPSFGYTHEVHVYADVSVLVCDYLPYGTLLDVINSHLVLERHMDEVLCIYYTIEMLRMLETLHSVGIIHGDFKPDNMLVCYPSGEITDETFKGETRSERNQGLCLVDWGRGIDLNLFPSGAEFYGDCRTSGFSCVEMQEQRAWTFQADTYGLCVIAHMMLHGTQMSIQKTPRPDGSYMYWNVELWKNLFSTLLNATSNGSDAAVLRSLRMSFQEYLCSNRQLVGKLNQQLAKQKTSLCLS >ORUFI07G16250.3 pep chromosome:OR_W1943:7:16682561:16689235:1 gene:ORUFI07G16250 transcript:ORUFI07G16250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLERTPLVVPEAAAAAAAASSPLRRPSPRVLREVPPPPLDPILPYLRSINKAMDELRTGPKFEAAALDRLKICVMECIDNTPQTPDSSRXCIDKYGDDYQYSTDPRLLKIWILYADAIGDFDKAYKQLEEKRMFLEHALLYDAYALFLFSKGKVLEAGKVYEVGISRKAEPLDHLKKMHTIFLKHLEKIVEEADADAQPKPSKIQKKEPILLDPWSESTMNNLLENINVDLKKYWNVELWKNLFSTLLNATSNGSDAAVLRSLRMSFQEYLCSNRQLVGKLNQQLAKQKTSLCLS >ORUFI07G16260.1 pep chromosome:OR_W1943:7:16704935:16705126:1 gene:ORUFI07G16260 transcript:ORUFI07G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGSRGGGIRMGEGQPAGSTAVELTAESTVASSTPLDPPPSFLPSTDLPTTRSSAAALPTVR >ORUFI07G16270.1 pep chromosome:OR_W1943:7:16720640:16721700:1 gene:ORUFI07G16270 transcript:ORUFI07G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGAATAAAGGGGGGGVAAGRSGGGGGGGAAAAAGAGAPDPRAEALRCPRCDSANTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNDIPLVAAWGGAGLEAGRRRPSPGTSPASSLALPQPGSLPSLSSALGLTGGTSLASLLLGSGGSGGDHLGLFQAMQSVVSDAAAFEMHQQHQSQVDHLLGLGYGAAGAQIQAAKPWLHDGGATGGLLDGFYAPLLSGSIVPGLEELQVKAEATTGDHQQKSSAAAAGEQSWDLPTPSSSNVEASIIASDALMAAAAASMNPAVSAAAASTAPSAQSLLYWGNGGIGAAAAAWPDLANCGSSIATLF >ORUFI07G16280.1 pep chromosome:OR_W1943:7:16728357:16729093:1 gene:ORUFI07G16280 transcript:ORUFI07G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYAVVMTTTTEPSCIFLGGPRSPRRADGDTVMLKKDGNKRMGTNRSNASSTGSGVLLARYDALQSDMCMERKWEGETTTAEWFARWRDADMAEAQGSAHGGRGLIWPNNDMDGSGMDVIGSVNGLISSVVRSAIREEALAFMAERCSA >ORUFI07G16290.1 pep chromosome:OR_W1943:7:16737518:16744552:-1 gene:ORUFI07G16290 transcript:ORUFI07G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSGGSGSASGGGGGGRMRLRKTESAEMRWVVSGGAYEEDEIESSDGGGGTPAAASGSRGGCSDSDDNYEEAEMLRQRLVRTGPRADSLDVEAQDVAGMNRHQEITVGRSIVLAVQTLGVVFGDVGTSPLYAFDVMFNKYPITSKEDVLGALSLVIYTLILIPLLKYTLIALWGNDDGEGGTFALYSLICRNARVSLLPNQLRSDTRISSFQLQVPSVELERSLKIKERLETSSMLKKLLLMLVLFGTSMVIADGVVTPAMSVMSAVNGLKVGISSVNEGEVVMITVAVLIVLFTLQRFGSSKVALAVGPALFIWFCCLAGIGIYNMKTYGSAVLQAFNPMYIYYYFERNPTQAWMSLGGCLLCATGSEAMFADLCYFSVKSVQLTFVFLVLPCLLLGYLGQAAFLMENLTENQQVFFLSIPNQAFWPVVFIAILAAIIASRTMTTAIFSTIKQATALGCFPRLKIIHTSRSFMGQIYIPMMNWFLLVSCLAFVTMFGSINEIGNAYGIAELGVMMMTTVLVTIIMLLIWQINIIVVLCFLTLSLGLELIFFSSVLGSVADGSWVLLVFAAVLYLIMYIWNYGTKLNGFQFLLYLRMKDFSFVGYGYKDIRKEDYISFQQLLIESLEKFMRREAQERSLESDQYDGTDSEEEVASASSRALVGPNGSINSLGVPPAEAAGTTEHPTIGSSMSFDGSLDEAIDGRGSLDDELSFIHKAKESGVVYLLGHGDIRARKESFFVKKLVINYFYAFLRRNCRRGIAALSIPPSRMMQVAMQYMV >ORUFI07G16300.1 pep chromosome:OR_W1943:7:16751544:16751762:-1 gene:ORUFI07G16300 transcript:ORUFI07G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGAANKGGGVASDLKLDCCRGLYKRGWEELERGAGCVALEEAMQRRKWGGGDAVGKTAGAMESDSQARLA >ORUFI07G16310.1 pep chromosome:OR_W1943:7:16761709:16763201:1 gene:ORUFI07G16310 transcript:ORUFI07G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAVSSIRSRDVAHLATAPSPAAAEAWDGRFRLHKPRGQHLLTNPRVLDAIVRRAALRPGDAVLEVGPGTGNLTVRLLESPAARVSAVEIDPRMVDAVTARVDALGLAHKLTVIRADAVEAEFPEFDVCVANIPYGISSPLIAKLLFGPYRFRAATLLLQKEFARRLVAAPGDSEYNRLAANVRMVADARLLMDVSKRDFVPMPKVDSSLVEIRPRAAEPNVDLAEWLAFTRSCFGQKNKTLGAIFKQKRKVLELFRRSRCAEERCDGNANAGGGSRLIALGGGDDDGDMSDGDSNEGHDGAPSFSEEEVAVFKAKITGALESCELAGKRPSKLSNDELLRLLKLLNEQGVRFQ >ORUFI07G16320.1 pep chromosome:OR_W1943:7:16764301:16765176:-1 gene:ORUFI07G16320 transcript:ORUFI07G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPQILPSPRLAAAAASPNSAFRPAPRLHSSAPARRGRGARLATAASASGSGSGSSPSSPEPYQPAESDDGLVELPLFPLPLVLFPDATHALHIFEFRYRIMMHTVLQTDLRFGVVFAGSGAGGAADVGCVGEVVKHERLADDRFFLICKGQERFRVARVVRTKPYLVAAVQWLEDRPPAETPAPGDDAEALATDVEALMRDVIRIANRLNGKPEKDVGDLRRGLFPTPFSFYVGNTFEGAPREQQALLELEDTAARLRRERDTLRNTLNYLTAASAVKDAFPSSPSSG >ORUFI07G16330.1 pep chromosome:OR_W1943:7:16768468:16771372:-1 gene:ORUFI07G16330 transcript:ORUFI07G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATASISSHSVALRDLKAARIGAVKQQVAAAPAAGTAVARAQRARAVRPLRAAEPARQPVSASAAAAPAAAPVAEDAAAAAVDAPAPAVDYEALAQELQGASPLEIMDRALAMFGSDIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYQLFDKVEKHYGIRIEYMFPDAGEVQALVRAKGLFSFYEDGHQECCRARKVRPLRRALRGLRAWITGQRKDQSPGTRAAIPVVQVDPSFEGLAGGAGSLVKWNPVANVDGKDVWTFLRAMDVPVNALHAQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNIDDQGGAAAAAAHKAGGANGNGSAGAPDIFESSGVVSLTRAGVENLLRLESRAEPWLVVLYAPWCPFCQAMEASYLELAERLGGAGGGVKVGKFRADGEQKAFAQQELQLQSFPTILLFPSRTARPIKYPSEKRDVDSLLAFVNSLR >ORUFI07G16340.1 pep chromosome:OR_W1943:7:16777812:16782204:1 gene:ORUFI07G16340 transcript:ORUFI07G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGACLASRSPPAFLAGGFLAARPAAVSPIPSRSGIAGMRAACKLAARALDFAGTLIKTGDIMNVDVNVFLNGYHGGASRTFVCGEVDDSIRHFLKAAEECLEKGITVCRDGVNYKKIGKKIRTYSYNGKG >ORUFI07G16350.1 pep chromosome:OR_W1943:7:16786827:16792964:1 gene:ORUFI07G16350 transcript:ORUFI07G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSRGGRHLLLLLLLHLLSLHSSLLPWAAVSAAGGGGSGDPYVGVTIGTAVTNLLSPSDLPEFLRAQRITHVRLYDADPRMLSALASSGARAIVGVPNDELLALGSSPATASAWVARRVLPYAGANSSTPGLIAAIAVGDEVPTALPSALPVLLPAIQSLAAALAAANLSSIPVSTPLPFSVVLDPFPPSQAFFNQSLAKSFILPLLSHLANTSAPLMLNLYPYYSMMQSNGVIPLDNALFKPLPPSLEMVDPNTLLHYTNVFDAMLDAVHVAVKNLNATGGGGPVPVLVTETGWPSYGDRRAEPYATRDNADAYNSNLIKHVNDKPGTPMRPGAQASVYIYELFNEDLRPGPVSEANWGLFHGNGTPVYLLHVSGAGGFLANDTTDRTFCIASDDADEKAVQAAMDWACGPGRTDCTAIQPGQGCYEPNDVRSHASFAFDSYYQSQGKAAGSCYFQGVGMVTTTDPSEYTPPQHCHFFLIAYAFRFFLLFMFSVLTVFDLFIHVCLELEGFSRSACGHDSCIFPGSKLLSNVTKSDGANTTTAQTSDAEGSAIWRLRTGRETGFLFILRWLLSLSVVLITTNSNFWT >ORUFI07G16350.2 pep chromosome:OR_W1943:7:16786827:16792964:1 gene:ORUFI07G16350 transcript:ORUFI07G16350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSRGGRHLLLLLLLHLLSLHSSLLPWAAVSAAGGGGSGDPYVGVTIGTAVTNLLSPSDLPEFLRAQRITHVRLYDADPRMLSALASSGARAIVGVPNDELLALGSSPATASAWVARRVLPYAGANSSTPGLIAAIAVGDEVPTALPSALPVLLPAIQSLAAALAAANLSSIPVSTPLPFSVVLDPFPPSQAFFNQSLAKSFILPLLSHLANTSAPLMLNLYPYYSMMQSNGVIPLDNALFKPLPPSLEMVDPNTLLHYTNVFDAMLDAVHVAVKNLNATGGGGPVPVLVTETGWPSYGDRRAEPYATRDNADAYNSNLIKHVNDKPGTPMRPGAQASVYIYELFNEDLRPGPVSEANWGLFHGNGTPVYLLHVSGAGGFLANDTTDRTFCIASDDADEKAVQAAMDWACGPGRTDCTAIQPGQGCYEPNDVRSHASFAFDSYYQSQGKAAGSCYFQGVGMVTTTDPSHDSCIFPGSKLLSNVTKSDGANTTTAQTSDAEGSAIWRLRTGRETGFLFILRWLLSLSVVLITTNSNFWT >ORUFI07G16360.1 pep chromosome:OR_W1943:7:16794274:16795485:-1 gene:ORUFI07G16360 transcript:ORUFI07G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYNVWSREDVAVYKRMKAIGSLVEKHKPDVIFFQEITPYILRIFKSFAWWKEYHCSEVKPEEQATKLHFCMMLSKIPMEKPASWKFTNTSTGRGYVEADINPGTSSPAIHIATTQLESPSSGPPAAQPQTRSLERYAQAEHAVAALGSARNVVLGGDMSWDDAVDMPFPLPAGGGGGGGDWVDAWTVLRPEHERASARRTTASGTRISPCSTASRRMLASSLKKRSDRFVCKLQDYKLGGIELIGRTKLHLRPSCHLGVVLTVVVTGDPPPVHGALAPRRKLRDSTGSTAPSE >ORUFI07G16370.1 pep chromosome:OR_W1943:7:16804359:16805807:-1 gene:ORUFI07G16370 transcript:ORUFI07G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTVVVLPVWGAGHFMPMIEAGKRLLRGSGGALSVTVLLMPAPTPEAAVDIAAQVKREEASGADDISFRHLPAVDMPTGHTGVEEWISRILRSHAPNVRAAIAGLDCPVAALVTDIFCTPALEVSRELGVPGYVYFPCSASMLALLLRSPGLDEEVAVEFEEMDGAIRIPGLPPVPPSALPSTMLDRKKSTYDWFVATGRGYMNATGVIVNTAAELEQSVLAAIADGRCTRGVPAPTVYPIGPVLSFPPPPEEQPHECVRWLDAQPPASVLFLCFGSKGLLPPPKVREIAAALERSGGHRFLWVLRGPPKDSRQGQRVPTDAMLDELLPEGFLERTKGRGLVWPTRAPQKEILAHAAVGGFVTHCGWNSILESLWFGVPVLPWPLDAEQHFNAFTLVAHLGVAVPLGMDRRRDNFVEAAELERAVRSLMDDASDEGRKARAKAAETRAVCRKAVEEGGSSSTAFQRLTDDIVRRGAVQIR >ORUFI07G16380.1 pep chromosome:OR_W1943:7:16809531:16810058:-1 gene:ORUFI07G16380 transcript:ORUFI07G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATACRPPHSLLPASDDLPRALSAAVPAAAQHAGHCRRHPLASAATSANEEAGTAGSGDFGLFAGRSGHSKARGSRQPPSTPGTAPLSPSSPSSSFPDRWTPAIRRPRVHGQQQWLIQWLLSRSLPSLLWQRQSRFTRFLIPHRVLMIPLRLLPPIRGNGTIAVIFTCSSSVAI >ORUFI07G16390.1 pep chromosome:OR_W1943:7:16811887:16813344:-1 gene:ORUFI07G16390 transcript:ORUFI07G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTVVLLPVWGAGHFMPMIEAGKRLLRGSGGALSVTVLLMPAPTPEAAVDIAAQVKREEASGADDISFRHLPAVDIPTVHTGIEEWVSLILRSHGPHVRAAIAGLDCPVAALVTDIFCTPALDVAAELGVPSYVYFTSGAVMLELLLYSPVLDEEVPGEFSEMDGALNIPGLPPVPPSVLPATMLHKKKMSTYRWFLETGRCYMKATGFIVNTAAELEQSVIDAIADGRCTRGVPAPTVYAIGPVIALTPPPEQPHECVRWLDAQPPASVLLVCFGSKGLLPPPKVREIAAALERSEHRFLWVLRGPPKDSRPGQRVPTDAMLDELLPEGFLDKTKGRGLVWPTRAPQKDILAHAAVGGFVTHCGWNSILESLWFGVPMLPWPLDNEQHANAFLLVSVLGVAVPLRLDRERDNFVEAAELERAVSTLLGGGDGEAGRKAREKAVAVKAACRKAVEKGGSSDAAFQRLAEEIRRGAVNVPKKRN >ORUFI07G16400.1 pep chromosome:OR_W1943:7:16838117:16845364:1 gene:ORUFI07G16400 transcript:ORUFI07G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNVVAAALFLFLATSALLVAGDDPYRFFTWTVTYGDITPLGVKQQGILINGQFPGPTIEAVTNDNLIINVFNKLNDPFLISWNGIQQRRNSFEDGVAGTTCPIPPGGNFTYILQVKDQIGTYFYFPSLAFHKAAGGFGAIRVLSRPMIPVPFPPPAADYPLLIGDWYKANHTDLKYMLDSGKALGFPDGLLINGRSWDGYTFNVQQGKIPCFSKIFVLKTKNAGRTYRFRISNVGLSTSLNIRFQGHTMTLVEVEGSHTMQTTYSSLDVHLGQSYSVLLTADQPAYDYAVVVSTRFTSKIISTTAVLRYSGSGGKSPAALPGGPTIQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGMVTTTRTIRLASSSATVNGKQRYSVNGVSHVNPDTPLKVADYYKIAGVFSVGTISDSPSGGGGGGAYLQTAVMGASYRDYVEIVFENPENEVQSWHIDGYAFWVVGMDGGKWSSASRQGYNLRDAVSRYTVQVYPNSWTAIYMPLDNVGMWNVRSENWARQYLGQQFYLRVWTSSTSWRDEYPIPKNALLCGRAAGRRTRPL >ORUFI07G16410.1 pep chromosome:OR_W1943:7:16847115:16851541:1 gene:ORUFI07G16410 transcript:ORUFI07G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLPSPAPSSASSSPSTTTATTTSLVSRARTAIHSAAARVLTDIKADLRDADGSGALRAPSPRPSADRHPDSIAAVVGSPRDEAPDIISSPDGDTSNIEPDSTSSTKMAFPSVSVVKQLVAAIDNGKNFKSMNDMRSNGDQLLKEKGGLSLSVVKSLVRREKDERSSSEFVGDDETQSLMYTLFKLEEHFPHDKSQCNSELHHSISLPKDLHGAPPGSFTHQIAETIGKISSVYKMAFFWQSLVLELKKLWSDGQPVPRMPLDAAPDLNCCLLHQEIQVINCCIARKKRRKAAKESLDSLLKRASIDNSNHLYSNGDSPDSEMYIKGSAGDNVLRLGADHPSENLTLLETGELVYSPTLQEGPIMTAELIKETEELVLRTGSVGAGCSQLLSDMQAFKAANPGCVLEDFIRWHSPPDWSEDCAASSAEVGEGSSRRGRLSERMQTKEGNLWKELWGAAKPIPAVEQAPIYDEDLAVESIFDALEVIEPSKLFEQLLAVIKAISWLITLQVTSYLYQDKCNALPHAICGYQLSVCFVAAELVLPAGSNLSKLFYDCKDYILSIYQDDISKEKLDEICKVYETMEAIVTHPEETLQIMEAPDEKSPLESKNRFKIKLNFIGKDRHPLWKRAPKEEKKSSPKDEKRSSEERSTKIFSNLLDKKVSIFSKKNAKPTTEVPPPPPPSSAPGPFDDSEWTIL >ORUFI07G16410.2 pep chromosome:OR_W1943:7:16847115:16851541:1 gene:ORUFI07G16410 transcript:ORUFI07G16410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLPSPAPSSASSSPSTTTATTTSLVSRARTAIHSAAARVLTDIKADLRDADGSGALRAPSPRPSADRHPDSIAAVVGSPRDEAPDIISSPDGDTSNIEPDSTSSTKMAFPSVSVVKQLVAAIDNGKNFKSMNDMRSNGDQLLKEKGGLSLSVVKSLVRREKDERSSSEFVGDDETQSLMYTLFKLEEHFPHDKSQCNSELHHSISLPKDLHGAPPGSFTHQIAETIGKISSVYKMAFFWQSLVLELKKLWSDGQPVPRMPLDAAPDLNCCLLHQEIQVINCCIARKKRRKAAKESLDSLLKRASIDNSNHLYSNGDSPDSEMYIKGSAGDNVLRLGADHPSENLTLLETGELVYSPTLQEGPIMTAELIKETEELVLRTGSVGAGCSQLLSDMQAFKAANPGCVLEDFIRWHSPPDWSEDCAASSAEVGEGSSRRGRLSERMQTKEGNLWKELWGAAKPIPAVEQAPIYDEDLAVESIFDALEVIEPSKLFEQLLAVILSVCFVAAELVLPAGSNLSKLFYDCKDYILSIYQDDISKEKLDEICKVYETMEAIVTHPEETLQIMEAPDEKSPLESKNRFKIKLNFIGKDRHPLWKRAPKEEKKSSPKDEKRSSEERSTKIFSNLLDKKVSIFSKKNAKPTTEVPPPPPPSSAPGPFDDSEWTIL >ORUFI07G16410.3 pep chromosome:OR_W1943:7:16847115:16851541:1 gene:ORUFI07G16410 transcript:ORUFI07G16410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLPSPAPSSASSSPSTTTATTTSLVSRARTAIHSAAARVLTDIKADLRDADGSGALRAPSPRPSADRHPDSIAAVVGSPRDEAPDIISSPDGDTSNIEPDSTSSTKMAFPSVSVVKQLVAAIDNGKNFKSMNDMRSNGDQLLKEKGGLSLSVVKSLVRREKDERSSSEFVGDDETQSLMYTLFKLEEHFPHDKSQCNSELHHSISLPKDLHGAPPGSFTHQIAETIGKISSVYKMAFFWQSLVLELKKLWSDGQPVPRMPLDAAPDLNCCLLHQEIQVINCCIARKKRRKAAKESLDSLLKRASIDNSNHLYSNGDSPDSEMYIKGSAGDNVLRLGADHPSENLTLLETGELVYSPTLQEGPIMTAELIKETEELVLRTGRPQIQGVSWKTSLDGTLHLIGLKIVQQAAQKLGRAHLDEVD >ORUFI07G16420.1 pep chromosome:OR_W1943:7:16862634:16863713:1 gene:ORUFI07G16420 transcript:ORUFI07G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRTVLLLLLLGIAAELSSVALAGFGRGPFGHGCKFGRCHEGGFGEGEGFGGGGGFGGGGGGGLGGGGGGLGGGHGGGFGGGGGLGGGASGGVGGGGGFGGGGGGGLGGGQGGGFGGGAGAGGGAGGGLGGGGGFGGGGGGGLGGGGGHGGGFGAGGGVGGGAGGGVGGGGGFGGGGGGGLGGGHGGGFGGGAGVGGGAGGGVGGGGGFGGGGGSGLGGGQGGGFGAGGGAGGGIGGGGGFGGGGGGGLGGGHGGGFGGGAGVGSGAGGGVGGGGGFGGGGGGGLGGGHGSGFGGGAGVGGGAGGGVGGGGGFGGGGGGGLGGGHGGGFGAGAGVGGGAGGGVGGGGGFGGGGGGGF >ORUFI07G16430.1 pep chromosome:OR_W1943:7:16872650:16873654:1 gene:ORUFI07G16430 transcript:ORUFI07G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQLGKLVCTLLAAVLCLSCFARAHHFDDDGFGPGGFGHGPKGFGRGPGFGHDCRFGRCHGGGGGFGGGGGFRGGGGGGLGGGGGFGGGGGGGLGGGGCEGGGFGGGVGGGSGAGGGLGGGGGGGFGGGSGGGVGGGGGQGGGFGAGGGVGGGSGTGGGLGGGGGGGFGGGGGGGIGGGGGKGGGFGAGGGVGGAAGGGGGMGSGGGGGFGGGGGKGGGFGAGGVMGGGAGGGGGLGGGGGGGMGGGGGSGMGGGAGGGFGGGAGGGAGQGGGGGLGGGGGTGGGLGGGAGGGLEHGGGLDGGGGFGIGVGVGVGVGFGGGAGAGSGAGGGGR >ORUFI07G16440.1 pep chromosome:OR_W1943:7:16876391:16877618:1 gene:ORUFI07G16440 transcript:ORUFI07G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRAGKLVCALLAAALCLSCFARAHHFDDDGFGPGGFGHGPKGFGRGPGFGHDCRFGRCRGGGGGFGGDGGFGGGGGGGLGGGGGFGGGGGAGGGGGLGGGGGGGLGGGGGGGLGGGGGGGVGGGAGGGAGQGGSGGLGGGGGGGLGGGGGAGGGLGGGAGGGLGHGGGLGGGLGHGGGLGGGGFGVGVGVGVGVGFGGGAGAGGGAGGGGR >ORUFI07G16450.1 pep chromosome:OR_W1943:7:16881538:16888928:1 gene:ORUFI07G16450 transcript:ORUFI07G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGASSASTSRGRRRPREEEEEEDEEEVVGDVASDDSADSDFVADTEEEEAADDEYDYASDGKDGAGPAAAAAAAPAAVAPPPPQPKRLQGGRKKGAGGHGKLPLPWEEWEEANEKWLDEREAAAKGEEPPDPEVPPAVAAGVPTAEPAPEVLLPLLRFQKEWLAWALAQEASPSRGGILADEMGMGKTIQGISLVITARRLRPPAPPPRRRAASSSQGQPKRWVGCTLVVCPVVAVIQWAQEIERHTAKDSVRVLVYHGGRRGAQKYDFNKYDFVITTYSTIEADYRKHIMPPKTRCCYCDKLFYPNKLKVHLRYYCGPDAQRTEKQAKQESRKWGSKKGTSKRRVQKKKNDSDGEDFEERDGGSGSQSRGQSPLHSVRWERIILDEAHFIKDRRCNTAKAIFALESEYKWALSGTPLQNRVGELYSLIRFLQIFPYSNYFCKDCNCEILDTLFEGKRAMVLLKEKVLKGIVLRRTKKGRAADLALPPKIVTLRRDSFDKNEMEFYEALYTQSRTQFDSYVDAGTLMNNYAHIFDLLTRLRQAVDHPYLVAFSKTAELSDRSKNEGNENMESQCGICHDMTEDAVVTSCEHVFCKNCLIDYSATLGNVSCPSCSVPLTVDLTTRSSGEKVTPNLKGGKRSGILSRLQNLADFKTSTKIDALREEIRNMVEHDGSAKGIVFSQFTSFLDLIEFSLQKSGIKCVQLNGKMNIVEKGKAIDTFTNDPDCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVESQAQDRIHRIGQFKPISTVGDSPEAMSKLTEADLKFLFQN >ORUFI07G16460.1 pep chromosome:OR_W1943:7:16920637:16929905:1 gene:ORUFI07G16460 transcript:ORUFI07G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPSPPPDRHHHHHHPARRRGPAGAAAPPPRSLRPRRAAAPSSRPLVDDFFPFPSSPSSSPSRPPPPQQQQQRRPSPEPSSSDSDGHGGGGGGGGGGSSASDRRRRKLKLVVKLSQLAPDQNHHRRGPPPPSYSDSSGGEQEQEEEEEAGGNGGDDVSGGEERVKPPKKRRIEPRGDRSRHREVGGRSDAASAPRTKRLPVPGMARTTPLPDRKALDMILDKLQKKDTYGVFAEPVDPEELPDYHDVIEHPMDFGTVRRKLARNAYRSFEQFELQPNRCNGIALYQINHRFTITSVDFVFLLDDVFLICSNAMQYNAPDTIYFRQAHSIHELARKKFQELRDEGIPTENLIKSEQKIRPHPSNREPIKKPVLRYSDDDLGFMSHKEQVSRPNSKDLEDDRKFKDQVKKTISRNSEDVLSSSFQKERVKKSSVRNSDDDLSSSFQKEQVKRPISRNSGDDISSSFHKEQRRKVISRNSENDRVASFHKQHDKRPTSRSSKDELPSQKKHIRKPVCTNGEEPDFSSHRDSVENPVCTNGEHVGVLSPKRLVEKPICRNRDDLGHSHKKGLNNKSICGDGQDDMGYSCNGETVKKPVRMNSQDALGSDVSAATIASAGDDSNGLSMSQANAVEPQDCIAANGFMDKDISSPLDEIRSEKPDDISARESSVKPSYKSIVVDETRRKTYDTYEEQPSSESDTIFDVFCEEPKELVNVGPHSEHSYARSLARFAGSLGTQGWRLASERIQRVLPTDVKFGRGWVGEYEPPLPPILFVQNQPRSLVSSEANVQRSASMTRNNERIRPTESVNPKDMSLSLLNRITTGNNVVGVPGPLESPEIKPRLFGVTAEPQQRSTEAPSLHENHRAPGSVAKTKRAPSEQTRKGSSSSSSRPLQKQPQRPEISKGASNVLDMPSLNKMTGQPRPFFQPAEAAITQQMRKSETPKSSHPLEMAHQRLECAKGASGVHDMPSLNNTSGQPKPFFQSQEAAVPQPRNENTWVYHGRPGDGKYGTTDKSRPMSSMGFITKNQQVNAASFAMNLNGQKNVNDNVKSVGSTVMPVQVNTTNRGPDSSRNIFSAFPPAVRENQSIPSAPVAQSWISFGASSESKPTIVSPTFHDSNSGWKMPFANARPDEAKMTAVPQFFRQPVQMVRESPGQNKGLVIFPQLVQTDFSRSQGQPQWQGLVPPMQQKPNKDMLRPDLNIGFPSPGSPPARQSSGINLEAQQPDLALQL >ORUFI07G16470.1 pep chromosome:OR_W1943:7:16922450:16941015:-1 gene:ORUFI07G16470 transcript:ORUFI07G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASCLSVFAGAAATTVAASSRLMSRRAVACAGYAGMDDGGGGEGMMIMARRRRLVVPGIIATAGGGVRLRPATKGAYTCARAQRARGPSLATDQSLDIERANVHVAYQGSPGTAIEEMVFKAFPDCIAVPCKKFVAAFEAVDSSLADIVVLPIENSSTGSFHQNYDLLLRHKLHIVQEVQVEIELCLWALPGVQKNDLRTIFSHPEEFAQCEHSLSSLRVIKKNVDHCAAGAEIISMQNLGDAGVIGNAQAAELYGLNIVECNFQDASPNLTRYLVLAKTADIPKEYGQYKGRREYDLLHFPIKCPVLQTSIVFGLEEGPGILFKALSAFWMRDINLSKIESRPNKREPMRTQGNEKHFNYIFYVDFEASTAEVQQRATFLRVLGCYQMREVGLTAPICQLSTVELVVNQEKGTRCSSLGEAYPCLVSVASGEPGLAIVVGPVILRSLSGPTGGILPNPSASAGWKKGLGWPEKFT >ORUFI07G16470.2 pep chromosome:OR_W1943:7:16922450:16941015:-1 gene:ORUFI07G16470 transcript:ORUFI07G16470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASCLSVFAGAAATTVAASSRLMSRRAVACAGYAGMDDGGGGEGMMIMARRRRLVVPGIIATAGGGVRLRPATKGAYTCARAQRARGPSLATDQSLDIERANVHVAYQGSPGTAIEEMVFKAFPDCIAVPCKKFVAAFEAVDSSLADIVVLPIENSSTGSFHQNYDLLLRHKLHIVQEVQVEIELCLWALPGVQKNDLRTIFSHPEEFAQCEHSLSSLRVIKKNVDHCAAGAEIISMQNLGDAGVIGNAQAAELYGLNIVECNFQDASPNLTRYLVLAKTADIPKEYGQYKTSIVFGLEEGPGILFKALSAFWMRDINLSKIESRPNKREPMRTQGNEKHFNYIFYVDFEASTAEVQQRATFLRVLGCYQMREVGLTAPICQLSTVELVVNQEKGTRCSSLGEAYPCLVSVASGEPGLAIVVGPVILRSLSGPTGGILPNPSASAGWKKGLGWPEKFT >ORUFI07G16480.1 pep chromosome:OR_W1943:7:16945533:16949249:-1 gene:ORUFI07G16480 transcript:ORUFI07G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQDPSSPVPAAARPKRTSSAPIRPSDYTHSPAHHRVALRDAAGLAGVLHGLPPLAHPSRILTAADAAREARLAASVSGALDRRDVPGGDTALHLAVRLRLPSLASALAAAGADPTLQNHAGWTPLQEALCLGCKDIAACLLRAHRLAAWAKLRRRAPALSAALRRVQDFYLEVDFHFESSVVPLLSRAAPSDTYRIWKRGAELRADTTLAGFDGLRIRRADHSFLFFGEEADAGGRHLPPGSLLVLHRGKREVHDAFAAAAAAGDEDSATSDAAAYRPGLNISSARLVPRTTWLRKEKTESVGEWKARVFDVHNVVFSFRTLKAANAGRKDFTFELAGDDDDDDNNDDEEDFLPLEIRDDDEDGDFLVADIPPPPSRRSCYVPGRRSVAAPPSHMATPQRRRNSVDVPRRLPACASVGRGEGGVFGRHATTTGGARWKEEETVKTLRPTVWLTEDFPLTVDEFLPLLDILASRVRAVRRLRELLTTKFPPGTFPVKVAIPVVPTVRVVITFTKFVPLLEPEEFFTPMSSPSLLVSPGPGSIMHKPDTQKSSYLKWGLKNSRSKPVNLSQVADNTDPFTIPSDYTWGRIIGPTLRLYRAILAAKNIPGLAVKNGSFFYHG >ORUFI07G16490.1 pep chromosome:OR_W1943:7:16957894:16959826:-1 gene:ORUFI07G16490 transcript:ORUFI07G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSFKLEHPLERRQAESARIREKYSDRIPVIVEKADKTDVPEIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFVFVKNTLPPTASLMSAIYEENKDEDGFLYMTYSGENTFGSA >ORUFI07G16500.1 pep chromosome:OR_W1943:7:16963115:16963591:-1 gene:ORUFI07G16500 transcript:ORUFI07G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVRRVEEEEEFDEGEVWEVLHHDQSNEAAAAALAGRTTKGARNNRQHEEGGGGAAAARRSKGRSSAPVAIPAAAAGSSSSSSLSSRRGGGGGEEDEEEEEEMMMMLPPHEWLARKMERMNAAAPAPEIGGGRSKGREMRKVRDAVLPKTAFSSEQ >ORUFI07G16510.1 pep chromosome:OR_W1943:7:16966296:16966803:1 gene:ORUFI07G16510 transcript:ORUFI07G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRWRPGRGRRGGDALPSARSSRGGRGGAVAVDDMEGWRWRPNGGGEAVVARRQWILGATAAVAPSPLPDLAGGEAAAAKAVTSTSGGFGSGGLFGSEHLHRLSSN >ORUFI07G16520.1 pep chromosome:OR_W1943:7:16972022:16973050:-1 gene:ORUFI07G16520 transcript:ORUFI07G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRCSSPRSSRPIIHRRTELAVEAQGRVVSKKPSGSGSPINKMSFKKGDEVRVRTPVGRLGTTALRLVMWLGAVVVSDADDGHLEVIYNGNFPRDDPFRAVRVAVKDVKLPAPRPAPIPANIAAPRPTTAGKNLPRLKMFVLEKEQLRAKSEAFLASHNASSNSLHAFVVKKIHRSQYRVSHALANKARSENVSDFWLEGSCNFISQIICDDAKFE >ORUFI07G16530.1 pep chromosome:OR_W1943:7:16974246:16977209:-1 gene:ORUFI07G16530 transcript:ORUFI07G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSGAPPLPPATVHAQRRGCGGDGRTEEEWAERGLYGPWGCISPSCSPAGTSLLLLRLRTKAQGGKNGTLLTPSCAREGEEGRFSRRAAPRFKGYDIMKDDIGTSYGDLPDHKYFISVREFTTSAMEYIDSTRCSLLGEYKKLNVWIVKERIKCCVRSMAVELFNQHEEGNYSMDQIPEDWRSLMSLMLHNPHEHGYLICNYAPLIPIENTILFYFKAYEHMRFVLAYTNDAAYRDILKKLPYQNRWFQITEGNYLLEASLKHKNYGVDDNPEKAHDPETFFKYYRHSNCHRLDRCFMIEEVGGYSAEQFELIFIVKYPLFLPLLQQELQRYNQLRCLKPHTLFFYGNIQDAEQSCAMIYHDQLDNPQATVGELMCTLEELYQGTDLTVALHRRITRHTDEPVENEEIILQVKVLPGSRKGTKITLPYEGSHFYGQPPHDLILTLDIAPHETYILYGNDLVVHWVLRLVDALAKCTINLKTLDGRYLKIKVDEVVYPGYELVIKDEGWPIGEGLKGNLRIIFDVSFPKTLSGRQQHSIRQVLDQ >ORUFI07G16530.2 pep chromosome:OR_W1943:7:16975663:16977209:-1 gene:ORUFI07G16530 transcript:ORUFI07G16530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSGAPPLPPATVHAQRRGCGGDGRTEEEWAERGLYGPWGWGRLLSWEEEEEKNVVSSSSLRSPAFLLRVLLLERRFFSFGYDIMKDDIGTSYGDLPDHKYFISVREFTTSAMEYIDSTRCSLLGEYKKLNVWIVKERIKCCVRSMAVELFNQHEEGYCLSRFGESNIWVAPTGHIRFRLVTKDG >ORUFI07G16530.3 pep chromosome:OR_W1943:7:16975663:16977209:-1 gene:ORUFI07G16530 transcript:ORUFI07G16530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSGAPPLPPATVHAQRRGCGGDGRTEEEWAEQSREVISGDQQGAPPLLGGGRGEERRVIFFFAFSSISPSCSPAGTSLLLLRLRTKAQGGKNGTLLTPSCAREGEEGRFSRRAAPRFKGYDIMKDDIGTSYGDLPDHKYFISVREFTTSAMEYIDSTRCSLLGEYKKLNVWIVKERIKCCVRSMAVELFNQHEEGYCLSRFGESNIWVAPTGHIRFRLVTKDG >ORUFI07G16530.4 pep chromosome:OR_W1943:7:16974246:16975595:-1 gene:ORUFI07G16530 transcript:ORUFI07G16530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIPEDWRSLMSLMLHNPHEHGYLICNYAPLIPIENTILFYFKAYEHMRFVLAYTNDAAYRDILKKLPYQNRWFQITEGNYLLEASLKHKNYGVDDNPEKAHDPETFFKYYRHSNCHRLDRCFMIEEVGGYSAEQFELIFIVKYPLFLPLLQQELQRYNQLRCLKPHTLFFYGNIQDAEQSCAMIYHDQLDNPQATVGELMCTLEELYQGTDLTVALHRRITRHTDEPVENEEIILQVKVLPGSRKGTKITLPYEGSHFYGQPPHDLILTLDIAPHETYILYGNDLVVHWVLRLVDALAKCTINLKTLDGRYLKIKVDEVVYPGYELVIKDEGWPIGEGLKGNLRIIFDVSFPKTLSGRQQHSIRQVLDQ >ORUFI07G16540.1 pep chromosome:OR_W1943:7:16978156:16981277:1 gene:ORUFI07G16540 transcript:ORUFI07G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSQIPFPKFQHPPKQINSARNQKLGKGDTIHTIIKFLSNKLSGHEPTCKRIVAVGTGSSKSESVVAVDKVESMLCNLDRFEANAQHEVGPTTPDCNYSSPGCFNANVKQAAMATRELGIEGCRRRRQTSSGWWARAGDEATVAWPKLQVQ >ORUFI07G16550.1 pep chromosome:OR_W1943:7:16982217:16983024:-1 gene:ORUFI07G16550 transcript:ORUFI07G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKQNKKCWRLLQKKQIRLDLINLKSAKEVVHHVSLLEEECRMEVILLLWNWWFARNKINAGERGLLPEKVVHREMADPNTLDTRVTAAVMKHWAPPPAGKIKLNVDAAYQANRKTGGWGFVLRDEEGHALLAGAGRLEFVHDVVSAEARACLSALLAISVQGVTEVEIESDSAILVSAVTSSSHSQAVGATIFAEIKMLLQLHFANSKVSFAPRSCNNVAHNLAKIGVSWDPDQSVVWVDPLPYFVRTLVIRDRTESPQ >ORUFI07G16560.1 pep chromosome:OR_W1943:7:16983265:16984422:1 gene:ORUFI07G16560 transcript:ORUFI07G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKERSHVHLCLTDYRDGTYTYTVHHIDVAPFFLRSDDPDVPDPGAMEEAVLPPPATRLATRPETNGLEFHHLLRAADGGDMIVATDDQRRTLIYDVAARAVGPGHMLLSDKRVPVSAAVVDRLYVLDTSHAARRATCFEALVYDGVEDPLRADWYWRRLPGPPYADDGIGRPLPGSRVTALAVVGAGIWTTTAPAEDGVASVRPCSRLDPRRGFWTTPKGAGAGPGTYSFDTERQAWRREGDWELPFAGKAELVPGCNLWFGFSRADGSSSLCAADLAAAPHRACGVWEDFRPPKEWFSCGRHLVSLGSGKLCVVRFFATDPLDKWRRRDPVAIITAMEVRTMPACDGDDDGGRGGERRIKVVKHMSRCIKLPNYNKGRNWVL >ORUFI07G16570.1 pep chromosome:OR_W1943:7:16985118:16991299:-1 gene:ORUFI07G16570 transcript:ORUFI07G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRAVAAVAERSSRLSHSRPLLRRAGPACSSLTTTTTSQHRHGRRRAPPAESNALTTTAAPRPFPDYSPPRPDSPADDDLARRLAAAVLSSPNPGSLPPLPFLPLLRPLHLLLALPLLASHPHLSTILLPLLLLFPSGPRPHPHLLQSFAVAAHLAAVRDPGAARAILVRALRFPSPHRHFVEQFISTYKAFSSDPVSFDLLLLCLPSAPLLLRLRQYGISPSPESCNAVLCRLPLDEAVQLFQELPEKNTCSYNILLKALSTAGRIKDAHQLFDEMASPPDVVTYGIMVHGYCTLSELETAIKLLSEMAARGLELNPVAYTSVIALLCDEGQVSDAVRVVEDMVMHGVVLDAAVFTTVMSGFCRKGDLAAARNWFDEMQKRGLAADGVTYTALINGLCRAGELKEAERVLQEMEDKGLDVDAVTYTVLIDGYCKVGKMTEAFLVHNKMVQKRVTPNVVTYTALSDGLCKQGDVCAANELLHEMCSKGLELNIFTYNSLINGLCKAGNLEQAMRTMIDMDEAGLKPDVYTYTTIIGALCQSKELDRAHSLLQEMLDKGIKPTIVTYNVLMNGFCMSGRVEGGKRLLEWMLEKNIHPNTTTYNSLMKQYCIEKNMKSTTEIYKGMLSQEVVPNENTYNILIKGHCKARNMKEALYFHSEMIEKGFRLTASSYNALIRLLNKKKKFTEARRLFEKMRKERLTAEPDVYNFYIDLSFNEDNLESTLALCDELVEVTLVKSIADTDDDFAEEHISIMRFLEEMWEVLGYYRYIDHPVAVEHVDLQSGVMVSSKRTVRDLHGGRSGGSRSREDMEAQPRRLWW >ORUFI07G16580.1 pep chromosome:OR_W1943:7:16991671:17002180:1 gene:ORUFI07G16580 transcript:ORUFI07G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTANLRSRAAAAAAAAACLAALAVAALLHRRRRRGRARAPASPGLLGGRRGRRPRRACEEEEKPQARFRRVVADNSYSAFKHLRRQGAGPVGSGHHGSEAQPTSQESSQKVHPFEEEITSLLNNPPDFQNFMPGDRCPEMSTSYNWVETDAQLEDLARLLDDEKAFAVDTEQHSLRSFLGYTALMQISTQKADYLIDTIALHDVMSILRPVFANPSICKIFHGADNDVLWLQRDFHIYVVNMFDTAKACEVLSKPQKSLAYLLELYCGVTTDKTMQREDWRLRPLTPEMIQYARCDAHYLLYIANCLASELHAKTYDASDSPNDKINFFFEASHRSNMVCMQLYAKEIECPPGASSAASILSKNLQSHGLDSYKSSEVKDLVWKICAWRDLMARMHDESLRYVLSDQAIASLAVSVPRGPTEVCSAILETETSNSTVYPSLPPPSPIVVAHAEELRYLIEDITVSMDAIFKNLLEKYKDPSRLCRLSVFNYNLVSQLSLKQKNMFSFASSGEKLLMAPTNKKASRELFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKLEWYIQRNLAKLIEDNPPAIVLLFEPKGRPEDEDNDFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKRQIAKEFGVPLFVQKILNSGDISLIAGASLSEDKSNGTGVSPLQLRTAAMALLRHGSNMPLKRYEELMQIVKSYYGGRDVTPEDLEMALLVGMSPNERRRHSKKNGFSYRSQAQNVIRKSNSNGIVENNEHDPENGYAEQFSKNGVENNSHPDIDENNNQLGIDEHTSQPGSGGNKIHGPTLSKESTIYPPRMANPISDSSIEADTVQQASLGGNPANGDLDRDPCGSNNSNQAIPQNGDKKISLLGHGHHGKQVVELLLSNGGEEAINQFSQRWRQVFVASLHPRYLPSGWNIKHSGRRDFGDFSVYKPSKKPPAADQSETLAAAAVYLISTAGCQDILSTILSPSQWAKPKNDSSEMEAAEAAAHPST >ORUFI07G16580.2 pep chromosome:OR_W1943:7:16991671:17003993:1 gene:ORUFI07G16580 transcript:ORUFI07G16580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTANLRSRAAAAAAAAACLAALAVAALLHRRRRRGRARAPASPGLLGGRRGRRPRRACEEEEKPQARFRRVVADNSYSAFKHLRRQGAGPVGSGHHGSEAQPTSQESSQKVHPFEEEITSLLNNPPDFQNFMPGDRCPEMSTSYNWVETDAQLEDLARLLDDEKAFAVDTEQHSLRSFLGYTALMQISTQKADYLIDTIALHDVMSILRPVFANPSICKIFHGADNDVLWLQRDFHIYVVNMFDTAKACEVLSKPQKSLAYLLELYCGVTTDKTMQREDWRLRPLTPEMIQYARCDAHYLLYIANCLASELHAKTYDASDSPNDKINFFFEASHRSNMVCMQLYAKEIECPPGASSAASILSKNLQSHGLDSYKSSEVKDLVWKICAWRDLMARMHDESLRYVLSDQAIASLAVSVPRGPTEVCSAILETETSNSTVYPSLPPPSPIVVAHAEELRYLIEDITVSMDAIFKNLLEKYKDPSRLCRLSVFNYNLVSQLSLKQKNMFSFASSGEKLLMAPTNKKASRELFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKLEWYIQRNLAKLIEDNPPAIVLLFEPKGRPEDEDNDFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKRQIAKEFGVPLFVQKILNSGDISLIAGASLSEDKSNGTGVSPLQLRTAAMALLRHGSNMPLKRYEELMQIVKSYYGGRDVTPEDLEMALLVGMSPNERRRHSKKNGFSYRSQAQNVIRKSNSNGIVENNEHDPENGYAEQFSKNGVENNSHPDIDENNNQLGIDEHTSQPGSGGNKIHGPTLSKESTIYPPRMANPISDSSIEADTVQQASLGGNPANGDLDRDPCGSNNSNQAIPQNGDKKISLLGHGHHGKQVVELLLSNGGEEAINQFSQRWRQVFVASLHPRYLPSGWNIKHSGRRDFGDFSVYKPSKKPPAADQSETLAAAAVVP >ORUFI07G16590.1 pep chromosome:OR_W1943:7:17004412:17004927:1 gene:ORUFI07G16590 transcript:ORUFI07G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHHSSPRSSRPISHRRSELAVEAKGRVVSNKASGGSPVKKMSSAPSPAPVSFKKGDEVRIRTPVGRLGTTTLRLVMWLGAVVVSDADADDGHLEVIYNGNFPRDDPFRTVRVAVKDVKLPAPRPAPTLTPANMAAPRPTTAVKSLPRLKMFVLEKEQLRAKSEALLAP >ORUFI07G16600.1 pep chromosome:OR_W1943:7:17008975:17023274:1 gene:ORUFI07G16600 transcript:ORUFI07G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRSSPRSSRLIIHRRSELAVKAKGRLVSKKRSVSGSSVNKMPFKRGDEVLVRTPLGRLGTTALRLVLWLGAVVVSDADADDGHLKVIYNGNFPRDDPFRTVRVAVKDVKLPAPRPAPTPANIAAPRPNKAGKNLPRLKMFVLEKEQLRAKSEAFLASILDQLITAKLIADLNSDTDFNSNSDSAGYKSMRGRLRIHQQFLPPILALPAALSSSMALPRRSSHISSRPIIHCRSELAVEAKGRVVSKKPSGSGSPINKMSSFKKGDEVRVRTSLGRLGTTALRLVMWLGAVVVSDADADDGHLEVIYNGNFPRDDPFQTVRVAVKDVKLPARRPAPTPANMAAPRPNKAGKSLPRLKMFMLEKELLRANPEALLASTDFNSNSVSDLLPSPISTRTPLRLPINPSAPPLTVSAFTTIPSTHPRSSASSSSMALPRRSSPRSSRPIIHRAVDAKGRVVSKKPSAGSPVKKMSSAPSTATPVSFKKGDEVRVRTPVGRLGTTALRLVMWLGAVVVSDSDADDGHLEVIYNGNFPRDDPFRTVRVAVKDVKLPAPRPAPTPANMAAPRPTTAGKNLPRLKMFVLEKEQLRAKSEALFAS >ORUFI07G16610.1 pep chromosome:OR_W1943:7:17024287:17029533:-1 gene:ORUFI07G16610 transcript:ORUFI07G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVVGSKSNRDWSDCDAAFREEAGRAIVALTEEGEQGHAHGLSLATALVGRYPWSPLARAILARCYLQRNSRQQERVQLELAAVLAPRCPHIASLLIDALISMDLFDEAAEVRDRALRVAEPTDPALHYTFVSNRYSSADHHDNPFDLEYRKAHGRETIRGQRARIEKGKGQAAASPEPASTPEWPPETVDLGIAGDRWSRMSEEERQALLKVSFGEMKSYCRSRGLMDMTSMLSDAEVFVKKGWSCPFCSGMIYVEFAAFKSHIDEEHIVGKEFLSLVPERISDSERELLRSWRWEPTDGDDLAGRTKILREVKEIVFELIDLEVVSLNLLYIMHKFIMNRVRPVAPLVVSMCGSCGIGQLSSTHLQELCELLKPLKLVVQTQRGWEHQKHHNDEQESQQDSLVVHTHRGCNHHKRRNGEQESQQDSLVGITWSQETGTLSFDCEKIASRETDGSSQADRLFACLLSEPLLEDPMELCFSMWRECFIGSARVEMLLIDAEVDYWKESLLKTCQVDCLAVISPIAKACLWAKLVNDPLEDALLAHPQNCHKPQVPLDAILRSLWHIRRFCGDLWEIPCISPDVKARVYRAILLRIFRSWDQCKTCDLPSSAIFMVDSLRSFVIDEKAGNISAYRVVESILERLHVAQTPLHFEFKGESLVPQTAIVPSLLGCICLAHNLFGLHIIEKKCNCVNEVPMKTKSTFFHSINLGSVEGTTLESFSELLKAVDKQSVCDFRNGGCGHRITRYLWYPPHFFMIVLRWPDNKGNHINMHKVLISLAAELDISHIYEGLQSESMYTLVCYDDEGRQYLCFGRDEARWLIHDSTTQAESWKGLIDRYSQANLRPEILFFEHGRKRDHRLLL >ORUFI07G16620.1 pep chromosome:OR_W1943:7:17030718:17033027:-1 gene:ORUFI07G16620 transcript:ORUFI07G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRDDAASRHRRQLGPLLHARDLAPADLDAALALARAYSAMGRFDLAARECERALALTLADDTAAAAEELLHLQLEPPPTKEARMLAKERLRFLLLQASSKAVAMAARDRWRTAMADDERRRGFLTVSVEDLKAHYTGDPTSDAQMSALACAVDLAKDTGDWICWMCPDPQCMMVFLTAECFQSHVADEFFPDLQRSPLLVPERISEEQEAELIGSCAVKLAPSDDDDDDESERFLSKIKSTLQRIKDRKALSVDLLDNLVEFTNRWTIEEETAAAAASADPPQNPICSIAKLHPVALHVLALTLDMIVPGFFERSTMPGLSAGDDDAKLQDSNDHFDYVSVVREDFVPSIVVEEDALRIIIDGSSSNQDALFRWLSRPRRQDPVTSWNNMRQACLDNGARVLEKLIASAAALVEKIELKHGLIEMNTHESYFTKKAKLDIEILQLDAEVDDLKKKLVEVCTCDYRKVSLPAMKDYLWDKLRDDPPEKVLCSEDGLNIGTPEVYIQSYQDDEKGAKDNPKGGELEIQLRLTIYNSVVEELPGDKALSCLQVLRKSKHDPLYYCFSWKSFHYVCWTAYASLG >ORUFI07G16630.1 pep chromosome:OR_W1943:7:17036071:17043576:1 gene:ORUFI07G16630 transcript:ORUFI07G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRRSSPRSLRPISHRRSELAVEAKGRVVSKKSSGGSLINKMSSFKKGDEVRVRTPVGRLGTTALRLVMWLGAVVVSDVDADDGHLEVIYNGNFPRDDPFRTVPVAVKDVKLQAPRPAPTPANMAAPRPTTAGKSLPRLKIARHSSSMALPRRSSPRSSRPIIHRRSELAVEPKGRVVSKKPSGSGSPINKMSPFKKGDEVRVRTPLGRLGTTTLRLVMCLGAVVVSNDDDGHLHVIYNGNFPRDDPFRTVRVAVKDVKLPAPRPVPTPSLANMAAPRPKTAGKSLPRLKMQMLEKEQLRANSEALLAFSASSSSMALPRRSRPRSSRPIIHRAVDAKGKKPSGGSPVNKMSFKKGDEVRVRTPVGRLGTPALRLVMWLGAVVVSDADEDGHLEVIYNGNFPRDDPFRTVRVAVKDVKLPAPRPAPTPANMAAPRLTTAGKSLPRLKMFVLEKEQLRANSEALLAL >ORUFI07G16640.1 pep chromosome:OR_W1943:7:17046729:17059086:1 gene:ORUFI07G16640 transcript:ORUFI07G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDRRKAAALAALASPAPDKSPKGGVDAPIAPLLDALNSHPDLFTTSSCSGRVSVLAQPPPPPPPQQQGELGVAKTKKKARGGGWVYISHDPADPEALVEVLFGGKEDGGGGDELVFRFEPMIVAVECRDAAVAAALVAAAVGAGFRESGITSLQKRVMVALRCSIRMEVPLGQTKELVVSPDYIRYLVRIANGKMEANKKRMDGFLDLLQAKGLLGSSKGCATADNESLVAKKSSDSYNCDADNNCDNGFVESSLEASYLESQDPVLQNGAKHGFGNAKRHVLISLSFYPAFISPSWCHITGEPIEKLFLWGQSACALTVGREHHILTFGGFGGPGRHARRNYSLLVNPGSGLLTELKVTESPSPRMGHTITVVGNDIYVVGGRGGPSEILNDIWVLERSNNRWSKVDCSGDFFRPRHRHAAAAVDRKVYVFGGLSDDGLCSCMNIMDTASIQWNVISPDDKWPCARHSHSLVSYGSKLFLFGGHDGQRALNDFYSFDTTTLKWNKENTNGKAPSPRFSHCMFIYKDYLGILGGCPIRESSQEIALLNLKHKIWFYVSIPSLSQCLCVRSSSVIIDDDLVIVGGGASCYAFGTRFSQPIKIDLHLLESIFKLAYNKEKEMSVQHGSVSNVDLEGHEENCNPSDNVKVVIDTSTLGSAPLVLQLEKKYAKLAKDILKKFGWLDLTRKVRVSQDNIHVLFPVSKTFHALITDKHLKVQPDDPCVFEELLPFSENKLVGASISLQKALEILLLCRGSILKDELATSRKASKTPQTIMRELVSALLDKRGLPGQLLEQLPTRWETLGDIIVLPKTCFKDPLWESVRDDLWPLVAKSLGAQRLARQGKITPNGTRDSTLELLMGNDGWLTHHENGICYSLDATKCMFSSGNRSEKLRMGKLDCRDEVVVDLFAGIGYFVLPFLVKANAKLVYACEWNPHALEALQRNVMDNHVADRCIILEGDNRLTAPKGIADRVCLGLLPSSECSWDTAVRALRAEGGMLHIHGNVNDSDETLWLDNVVKSITNIAKTHGLSWNVTVEHVERVKWYGPHIRHLVVDVKCRAT >ORUFI07G16650.1 pep chromosome:OR_W1943:7:17053865:17057609:-1 gene:ORUFI07G16650 transcript:ORUFI07G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSRATSPDSGRGANGYGYSHQTKPAQTTPSYNHPQPPPPAEVRYTPPAMNPPVVPPVVAPPKPTPDTILGKPYDDVRSVYSLGKELGRGQFGVTYLCTEIASGKQYACKSISKRKLVSKADKEDIRREIQIMQHLSGQQNIVEFRGAYEDKSNVHVVMELCAGGELFDRIIAKGHYSERAAATICRAVVNVVNICHFMGVMHRDLKPENFLLATKEENAMLKATDFGLSVFIEEGKMYRDIVGSAYYVAPEVLRRNYGKEIDVWSAGVILYILLSGVPPFWAETEKGIFDAILQGEIDFESQPWPSISESAKDLVRKMLTQDPKKRITSAQVLQHPWLRDGEASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFTNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMEAADVDGNGSIDYVEFITATMHRHKLERDEHLFKAFQYFDKDSSGFITRDELESALIEHEMGDTSTIKDIISEVDTDNDGRINYEEFCAMMRGGGMQQPMRLK >ORUFI07G16660.1 pep chromosome:OR_W1943:7:17111022:17124111:1 gene:ORUFI07G16660 transcript:ORUFI07G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKCRIPLELEGSIVERPNIDFSLKINSPVTDLAWGLRYPCPLDLEVRGKKRDLRKKAMTLLHSQAASTPND >ORUFI07G16670.1 pep chromosome:OR_W1943:7:17124267:17131684:1 gene:ORUFI07G16670 transcript:ORUFI07G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRYANFSRAREARGAGPLTRGVHPPCTAFLPSLAGFSSLPSYSRPRLHRRHHLLLLLVGVAAAGVFSTSSAPRSQPPSSFREGSPAERDGHHLLVQQAKSCMERYRLILLNKKPLNFKTAPSRLLREISISSSRVQRVNNSSAEFVANLNDRNVEIVQHMEDNDHNIINATANVTSDWSIVKEEFTFPAGSAPFNSCHASTIVETEKDSFLVAYFGGSKEGAPDVKIWLQRYSDGCWHTPQVADEQDEVPMWNPVLFQLPSHELLLFYKIGQEVQKWSGAMKRSLDGGKTWSAREQLPPGILDGRLLCGSSVESWNSWGAWLEVTKDAGRTWRKYGPIYIEGETLGVIQPVPYMTANGTIRVLLRSFETIGRVCMADSADGGVTWSYVHETDLPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKVAVSMDDGDSWNDVMTLEDTEGMEFSYPAVIQTMDDLIHITYTYNRTQIKFDLPTLSSQVQKNMFLVAYFGGTQEGADDVKIWLQRYYNGSWHSPEVVDEVPNVPLWNPVLFQLPSGEILLFYKVGKTVESWSGCMKCSSDGGVIWSKREQLPPGILGPIKNKVTKDHGKTWKKYGPIYVRGKTMGVIQPVLYQTSSGTIRMLLRPSDEVGRICVAESKDSGVNWSYAQPTELPNPNSGIDGVKLKDGRVVLVYNSTSRGVLKVAVSQDDGDKWEDVLTLEETHGVEFSYPAVIQTSDGLVHVTYTYKRTQIKHVVLQPSEV >ORUFI07G16670.2 pep chromosome:OR_W1943:7:17124267:17129358:1 gene:ORUFI07G16670 transcript:ORUFI07G16670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRYANFSRAREARGAGPLTRGVHPPCTAFLPSLAGFSSLPSYSRPRLHRRHHLLLLLVGVAAAGVFSTSSAPRSQPPSSFREGSPAERDGHHLLVQQAKSCMERYRLILLNKKPLNFKTAPSRLLREISISSSRVQRVNNSSAEFVANLNDRNVEIVQHMEDNDHNIINATANVTSDWSIVKEEFTFPAGSAPFNSCHASTIVETEKDSFLVAYFGGSKEGAPDVKIWLQRYSDGCWHTPQVADEQDEVPMWNPVLFQLPSHELLLFYKIGQEVQKWSGAMKRSLDGGKTWSAREQLPPGILDGRLLCGSSVESWNSWGAWLEVTKDAGRTWRKYGPIYIEGETLGVIQPVPYMTANGTIRVLLRSFETIGRVCMADSADGGVTWSYVHETDLPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKVAVSMDDGDSWNDVMTLEDTEGMEFSYPAVIQTMDDLIHITYTYNRTQIKFDLPTLSSQETGNAWGKTYK >ORUFI07G16670.3 pep chromosome:OR_W1943:7:17128152:17131684:1 gene:ORUFI07G16670 transcript:ORUFI07G16670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKWSVLREEFTFSEGNVPFKTCHASTIVEVQKNMFLVAYFGGTQEGADDVKIWLQRYYNGSWHSPEVVDEVPNVPLWNPVLFQLPSGEILLFYKVGKTVESWSGCMKCSSDGGVIWSKREQLPPGILGPIKNKVTKDHGKTWKKYGPIYVRGKTMGVIQPVLYQTSSGTIRMLLRPSDEVGRICVAESKDSGVNWSYAQPTELPNPNSGIDGVKLKDGRVVLVYNSTSRGVLKVAVSQDDGDKWEDVLTLEETHGVEFSYPAVIQTSDGLVHVTYTYKRTQIKHVVLQPSEV >ORUFI07G16670.4 pep chromosome:OR_W1943:7:17129053:17131684:1 gene:ORUFI07G16670 transcript:ORUFI07G16670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKWSVLREEFTFSEGNVPFKTCHASTIVEVQKNMFLVAYFGGTQEGADDVKIWLQRYYNGSWHSPEVVDEVPNVPLWNPVLFQLPSGEILLFYKVGKTVESWSGCMKCSSDGGVIWSKREQLPPGILGPIKNKVTKDHGKTWKKYGPIYVRGKTMGVIQPVLYQTSSGTIRMLLRPSDEVGRICVAESKDSGVNWSYAQPTELPNPNSGIDGVKLKDGRVVLVYNSTSRGVLKVAVSQDDGDKWEDVLTLEETHGVEFSYPAVIQTSDGLVHVTYTYKRTQIKHVVLQPSEV >ORUFI07G16680.1 pep chromosome:OR_W1943:7:17132603:17134426:1 gene:ORUFI07G16680 transcript:ORUFI07G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQAVRETGRGRASSSSAGGRKVTFGYHLVEGKTPHGMEDLHVAEFRRLDDGNEDGGDGGSTAVTAILINGETLAVANVGDSRAVAFDVRAGRAQQLSVDHEPLRERDAIEHCGGFVTEIHGDVPRVDAQLATSRAFGDRQIKEHISSDPNVTIEDVGGRRRRWWHGARRPRQRRGVEECFLQRLAEYAICIHGASMEHRNFHVHSSHVHYFEIPPFKEV >ORUFI07G16690.1 pep chromosome:OR_W1943:7:17134953:17138086:1 gene:ORUFI07G16690 transcript:ORUFI07G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTAAAATVTRAAATPAAELRLPLAAGLGRASFAVTGRLRRAVSASLSTAAAAVKKEAVQTEKAPAALGPYSQAIKANNMVFVSGVLGLNPETGKFVSESVEEQTEQVMKNMGEILKASGASYSSVVKTTIMLADLQDFKKVNEIYAKYFPAPAPARSTYQVAALPLNARIEIECIAAL >ORUFI07G16700.1 pep chromosome:OR_W1943:7:17147948:17148526:-1 gene:ORUFI07G16700 transcript:ORUFI07G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARKQQPQGPFAGGAAAGERSFLGFQYHHHHRGGSVAPAYGDDDDLPDLAEADVWYAPSSEGGADHRGGGGGGGGGLEIGGGGWGGGKHKVGGLSRAFADGRQVAASAPVQVPAWPGRYADPDQAAFAEEEKRREEEDDAGDGDGWVPPHVYLARRQARSSVVEGVGRTLKGRDASRVRDAVWSRTGFDG >ORUFI07G16710.1 pep chromosome:OR_W1943:7:17159604:17159945:1 gene:ORUFI07G16710 transcript:ORUFI07G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLERSLGGGGGGAHCDAGKKKRAVLVEEELAAAAVEEEEEVRKQGGEKVTEVKIRITRKQLEELLRRLEEGSDGGGGGGAVVSELLCMTSSCNFRHRPEQWRPSLHVIPE >ORUFI07G16720.1 pep chromosome:OR_W1943:7:17164341:17165290:1 gene:ORUFI07G16720 transcript:ORUFI07G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGDLMRMQLFLAEVVHLVLLLVWGRRPELRVVASAGVEEEDGETPEIDENIYE >ORUFI07G16730.1 pep chromosome:OR_W1943:7:17165837:17172497:-1 gene:ORUFI07G16730 transcript:ORUFI07G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDWMKTSRSSAEYNIGVDKFIEFALSNSAHNNRIICPCKNCGNRYWLGEHKVREHLICDGFLAGYTSWIHHGESMSTSKPSVASSSHHEQNDDMDQMLLEGLGMYDSRTLGTDDGAEDDLDVDAEAYYKLVNDGSQELYPGCKNAISVDGQALNDCVDILVNTVFNQHTIIPRAYGMISKLGSAQARCIPWPRDNLMHPSGQALHSKVSTIARHNSANQGNSVALTEVQMHGQCEVLAVFMSAAEQLISKPGGKICDPMFIHLQQWILYPLQNHYCQMCQ >ORUFI07G16730.2 pep chromosome:OR_W1943:7:17166113:17172497:-1 gene:ORUFI07G16730 transcript:ORUFI07G16730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDWMKTSRSSAEYNIGVDKFIEFALSNSAHNNRIICPCKNCGNRYWLGEHKVREHLICDGFLAGYTSWIHHGESMSTSKPSVASSSHHEQNDDMDQMLLEGLGMYDSRTLGTDDGAEDDLDVDAEAYYKLVNDGSQELYPGCKNAISVDGQALNDCVDILVNTVFNQHTIIPRAYGMISKLGSAQARCIPWPRDNLMHPSGQALHSKVSTIARHNSANQGNSVALTEVQMHGQCEVLAVFMSAAEQLISKPGGKVCTRGQGSRTRHC >ORUFI07G16730.3 pep chromosome:OR_W1943:7:17165837:17172497:-1 gene:ORUFI07G16730 transcript:ORUFI07G16730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKPSVASSSHHEQNDDMDQMLLEGLGMYDSRTLGTDDGAEDDLDVDAEAYYKLVNDGSQELYPGCKNAISVDGQALNDCVDILVNTVFNQHTIIPRAYGMISKLGSAQARCIPWPRDNLMHPSGQALHSKVSTIARHNSANQGNSVALTEVQMHGQCEVLAVFMSAAEQLISKPGGKICDPMFIHLQQWILYPLQNHYCQMCQ >ORUFI07G16730.4 pep chromosome:OR_W1943:7:17165837:17172497:-1 gene:ORUFI07G16730 transcript:ORUFI07G16730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSGQALHSKVSTIARHNSANQGNSVALTEVQMHGQCEVLAVFMSAAEQLISKPGGKICDPMFIHLQQWILYPLQNHYCQMCQ >ORUFI07G16740.1 pep chromosome:OR_W1943:7:17174263:17177663:1 gene:ORUFI07G16740 transcript:ORUFI07G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAVDGRLEALLSGGGGGGEAAAPWARRMAAAAALELRLLAPLAAPAVVVYMLIIVMSSATQIFCGQLGNVQLAASSLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAGRHEMLGVYLQRSAVLLTAAGVPLAALYACSERVLLLLGQSPEISRAAAGFARGLIPQIFAYAANFPIQKFLQAQSIVAPSAAVLAASFALHLPLSWAAVRVLGLGLPGAALALSATWWVLVAGQFAYIVRSPRCAATWTGFTWAAFHDLAAFARLSAASAVMLALEVWYFQVLILLAGMLPDPQIALDALTVCTSIQSWVFMISVGFNAAASVRVGNELGAGNPRSAAFSTWMVTALSAIIAAIAGVVVILLRDKLSYIFTQGEAVSRAVSDLCPLLVGTIVLCGIQPVLSGVAVGCGWQALVAYINIGCYYLIGLPLGVLLGFKFDYGIKGLWGGMIGGTLIQTLILIWITFRTDWNKEVEDARRRLDKWDDTKQPLLVNRQ >ORUFI07G16740.2 pep chromosome:OR_W1943:7:17174263:17177663:1 gene:ORUFI07G16740 transcript:ORUFI07G16740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAVDGRLEALLSGGGGGGEAAAPWARRMAAAAALELRLLAPLAAPAVVVYMLIIVMSSATQIFCGQLGNVQLAASSLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAGRHEMLGVYLQRSAVLLTAAGVPLAALYACSERVLLLLGQSPEISRAAAGFARGLIPQIFAYAANFPIQKFLQAQSIVAPSAAVLAASFALHLPLSWAAVRVLGLGLPGAALALSATWWVLVAGQFAYIVRSPRCAATWTGFTWAAFHDLAAFARLSAASAVMLALEVWYFQVLILLAGMLPDPQIALDALTSWVFMISVGFNAAASVRVGNELGAGNPRSAAFSTWMVTALSAIIAAIAGVVVILLRDKLSYIFTQGEAVSRAVSDLCPLLVGTIVLCGIQPVLSGVAVGCGWQALVAYINIGCYYLIGLPLGVLLGFKFDYGIKGLWGGMIGGTLIQTLILIWITFRTDWNKEVEDARRRLDKWDDTKQPLLVNRQ >ORUFI07G16750.1 pep chromosome:OR_W1943:7:17180106:17180435:1 gene:ORUFI07G16750 transcript:ORUFI07G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLLLRPSAAAAAAPFAYAKVDKVDAEEARHLQAQYLIHKVLEGSSAARGRGRGRRPAARQVGVRLRRLRLAARSVRLRLCRGLQRHLRSLRRLVRGSSALHDSSSCS >ORUFI07G16760.1 pep chromosome:OR_W1943:7:17182943:17187139:1 gene:ORUFI07G16760 transcript:ORUFI07G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPPPTMNGGHHAAPPPPQVSGAPPPPHGHYQQQPPPQPYCQQQQPLPPHYYQAGPPHAPPPQQPPAMWGQPPPPPPQYAPPPPQQFQLPHQQYAPPPQHYAPPPPQQQYGAQMAGGPAPGGDEIRSLWIGDLQYWMDESYLSNAFAPMGQQVTSVKVIRNKQSGHSEGYGFIEFQSHAAAEYALANFNGRMMLNVDQLFKLNWASSGAGERRAADDGPEHTIFVGDLASDVTDSMLEEAFKTSYPSVRGAKVVFDKVTGRSKGYGFVRFGDENEQTRAMTEMNGATLSTRQMRLGPAANKKNMGTQQTYSTNGYQSSQGNSLENDPNNTTIFVGGLDSNVNEDHLKQVFTPYGEIGYVKIPLGKRCGFVQFTSRSSAEEAIRVLNGSQIGGQQVRLSWGRTPQNKQAPQQDANQWNGNYYGYQQGYDSSYYGAPNAQDPSAQNYYGYSGYGNYEQQQEPPQQQQQPPQQPPQQPQDNKFSTSFITR >ORUFI07G16770.1 pep chromosome:OR_W1943:7:17189163:17194753:-1 gene:ORUFI07G16770 transcript:ORUFI07G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRSRGAAKQTRLREADEIRLLEAWIDAGKPARGTRPPPLSKSSSSPADTAAAKRGAKGAGGVPSKAAGEHPEYGACARFDELPLSNKTKDGLRKAGYTEMSEIQRAALPHALCGRDVLGAAKTGSGKTLAFVIPALEKLYRERWGPEDGVGCIVLSPNKDLAGQIFNVFQKVGKLHGFSAACIVGNRKGLDEEKAVINNMNILVCTPGRLLQHMGETTNFDCSQIQILVIDEADQVLDKNFQEQVDNVVSQLPKVRQTLLFSATQTKSVKDLARVSLKDPEYISVHEEATTATPDTLEQYAMIVPLEQKLNMLWSFIKRHLKSRILVFLSSVKQVKFVYEVFKKLRPGISLRCMHGRMKYEVQQAIVAEFKEGHSVLFSTDIFARGLDIEDVDWVVQVDCPENIALYIHRVGRTARYNKRGKALIFLCPEEEKMLEKLKAAEISQRYAEMLREMQEHDKEDKLEHKRILREKKLQKKLKLKRKRNEEMDAGSENSGSESDRDQRTASKGKKRYFNSDDEEGSKDAAKDGDVLAQQEALALKLLSKMHS >ORUFI07G16780.1 pep chromosome:OR_W1943:7:17198204:17199382:1 gene:ORUFI07G16780 transcript:ORUFI07G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYYPMEEEEEVHERPRFRRPVHPWQWHHWQNLLGLLSSSSPSPATAAAAQRCSHVSWEETAAAHLYSASLPGVRKEEIRVEVEDAMYLVIRTELDDGGDGDGGGGGGRRSFARKFRLPAMVDADGISAEYTHGVLRVTVPRLHTRARPVVNLAGGGGGGGPATPSPEQPD >ORUFI07G16790.1 pep chromosome:OR_W1943:7:17201226:17206031:1 gene:ORUFI07G16790 transcript:ORUFI07G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGGGGGGEGSTSGARFPILQANRDPESNWEVDVAKSLEEYLLRICSGEISGEDGAHSVNFAEAALLLQGSVQVYSRKVEYLYTLVLNALEFLSQKKQDQENSSAQANESDRSTVPNEEDDVFSGLDDVPVEARTTLDNNIDRDDLLKKNVRPPANLLVFEGDCMDSEASELELYLLATCGFFGDFLLLDPCDAPAVSDFLQGKQSAKEDIFAGRGSSARSKSRTNVFCSPNGRSGGTGRRPTPGKVQEGNPDQTQESNPDQSQEMNANQTQEHIDDLNVNDDHWSVHPADHDFPDNDMPHPDDADAGCVDDSDDDDDPWRPLNPHEPGNLKIRTCRKVKSFARQVIGAPKRNIIASLFPMEKMDGASFKVHLSQQETHHVPEPPPLYEKLMRSLEHGEPESHLFGDLKDGHEPDIGVNDFDIHEPDMPDDVCDMDVDMDIPTYPDKNNDATLDGAQGTQDSMDAHESLEDLCRSHLDALLASIAEAEQQTELDARDRNPPFDIGSYGEQIIDTLSSRTENAGIASFSEIVSGKPKYEVARTFSALLQLVNGRSVDLDKGQTTNGLVCHTASNPFHVRLIGPNQRPEIEARFARKRVNSPSRNKGSGKPSPAQQKSPKKHGHKNGKVPVKTSIKLTPDGKRRRRSTQMLRPINLESS >ORUFI07G16800.1 pep chromosome:OR_W1943:7:17206839:17213864:-1 gene:ORUFI07G16800 transcript:ORUFI07G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREPAVSSPFRDLSNLRTPNPRAPPNPKSSASKEEPLPSATPTTRRRRGPPPPPRPGAATATPLARRLRALELDQSRSARRAESGRDGALRAFASSATSWLSLLLRDPSACGCAPSAAAARVTRDAPAHGVQGKRDAVDGERARGRSPKRHRGGEDRGGPGPRRKTMTPAMAASLRDSLREVCSLDDVTERMGSHMSREACEEVLVMMCQICKNIDNGRLKMKEHCPLVSDLRLRDKAIRIFMCYNPKWLRIGLHIVLGGDSWIQNESQKKDKEVAFLKFVLEKQLFVHMNTQPSALNKAPEGHRRACYAEAASNSILKRLFLLVAALDRAKIESGLPSESGIDGLDGGSPLLFCRQTEIKSSRQIVQESLGEVMHGEGDLLMHLNIMGYKLNYQQLALSEYDFTVGNLFEDLQDGIILCRIIQLLTSDASIILKVIAPSDTYKKRLHNCTMAIQYIKQAGFPLSDADGLSISAEDIVNGDKELILALLWNMFIYMQLPVLVNETSVAQEISRLKAPVSEQSISEMKSQTGLLYDWIQETLSDCRKELFSCHKTDMIADITTYQFNNIGKVLAQFLQDLPGWNILANDVLFVEKSAIILLAFLSSHLTNVRRLEQLKNLIDSKLDHQSLVTEVSPRRRSRGTTDMKCHFPQTEETDGSRSTREWAATVIQTQARRLNAMSKYCKLKNATQPCNKGHDPASSSPLKSIADSSCIDSATKLVCEDDVDCSSNSCQVLFYHDPVSTKVDFLFCRKAMAARKIQFAYRRFAHRIRSRISAAIKIQSHWRCFSVRIRFKRQIQNITTIQAVASPKVTKMVEKGPFKSVYQNISNLSSVLCSWLRWWRQVLFLESRKRSVIVIQAHVRGWIARQTAVRNKKRITIIQSYVKAYLLRKRSKQEITDDIMCLINRLIAAVSQRSISTIRQICATLSTATEHSEKCCQTIVNAGAVEILLKQINLLNRGVPDQEVLKQVLFTLRNIARFRNLQPVLANTPQAVEIVFQELLRSKTEGFFVACDILKRLCESEEGHKIARALKRHIRRLGCLVQELEKKVDLDKRNGRTGVAKDNNLRRLGEAVTLHHLFTNDHC >ORUFI07G16800.2 pep chromosome:OR_W1943:7:17206839:17213864:-1 gene:ORUFI07G16800 transcript:ORUFI07G16800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREPAVSSPFRDLSNLRTPNPRAPPNPKSSASKEEPLPSATPTTRRRRGPPPPPRPGAATATPLARRLRALELDQSRSARRAESGRDGALRAFASSATSWLSLLLRDPSACGCAPSAAAARVTRDAPAHGVQGKRDAVDGERARGRSPKRHRGGEDRGGPGPRRKTMTPAMAASLRDSLREVCSLDDVTERMGSHMSREACEEVLVMMCQICKNIDNGRLKMKEHCPLVSDLRLRDKAIRIFMCYNPKWLRIGLHIVLGGDSWIQNESQKKDKEVAFLKFVLEKQLFVHMNTQPSALNKAPEGHRRACYAEAASNSILKRLFLLVAALDRAKIESGLPSESGIDGLDGGSPLLFCRQTEIKSSRQIVQESLGEVMHGEGDLLMHLNIMGYKLNYQQLALSEYDFTVGNLFEDLQDGIILCRIIQLLTSDASIILKVIAPSDTYKKRLHNCTMAIQYIKQAGFPLSDADGLSISAEDIVNGDKELILALLWNMFIYMQLPVLVNETSVAQEISRLKAPVSEQSISEMKSQTGLLYDWIQETLSDCRKELFSCHKTDMIADITTYQFNNIGKVLAQFLQDLPGWNILANDVLFVEKSAIILLAFLSSHLTNVRRLEQLKNLIDSKLDHQSLVTEVSPRRRSRGTTDMKCHFPQTEETDGSRSTREWAATVIQTQARRLNAMSKYCKLKNATQPCNKGHDPASSSPLKSIADSSCIDSATKLVCEDDVDCSSNSCQVLFYHDPVSTKVDFLFCRKAMAARKIQFAYRRFAHRIRSRISAAIKIQSHWRCFSVRIRFKRQIQNITTIQAVARCVLCHRDFQKQRHAAIVIQRIFRGWLARKKLLGSWSPGSGINFCALDQNQHKISHQSTQLKIVLYSVLRLQRWWRKVLLNRSIRTSAISVQSFVRGWLIRKQVKQILCSVYLIQRWWRQVLFLESRKRSVIVIQAHVRGWIARQTAVRNKKRITIIQSYVKAYLLRKRSKQEITDDIMCLINRLIAAVSQRSISTIRQICATLSTATEHSEKCCQTIVNAGAVEILLKQINLLNRGVPDQEVLKQVLFTLRNIARFRNLQPVLANTPQAVEIVFQELLRSKTEGFFVACDILKRLCESEEGHKIARALKRHIRRLGCLVQELEKKVDLDKRNGRTGVAKDNNLRRLGEAVTLHHLFTNDHC >ORUFI07G16800.3 pep chromosome:OR_W1943:7:17206839:17213864:-1 gene:ORUFI07G16800 transcript:ORUFI07G16800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREPAVSSPFRDLSNLRTPNPRAPPNPKSSASKEEPLPSATPTTRRRRGPPPPPRPGAATATPLARRLRALELDQSRSARRAESGRDGALRAFASSATSWLSLLLRDPSACGCAPSAAAARVTRDAPAHGVQGKRDAVDGERARGRSPKRHRGGEDRGGPGPRRKTMTPAMAASLRDSLREVCSLDDVTERMGSHMSREACEEVLVMMCQICKNIDNGRLKMKEHCPLVSDLRLRDKAIRIFMCYNPKWLRIGLHIVLGGDSWIQNESQKKDKEVAFLKFVLEKQLFVHMNTQPSALNKAPEGHRRACYAEAASNSILKRLFLLVAALDRAKIESGLPSESGIDGLDGGSPLLFCRQTEIKSSRQIVQESLGEVMHGEGDLLMHLNIMGYKLNYQQLALSEYDFTVGNLFEDLQDGIILCRIIQLLTSDASIILKVIAPSDTYKKRLHNCTMAIQYIKQAGFPLSDADGLSISAEDIVNGDKELILALLWNMFIYMQLPVLVNETSVAQEISRLKAPVSEQSISEMKSQTGLLYDWIQVVCAKYGISVESSSQIDRRALNYFISYYLNINIPNFPLKETLSDCRKELFSCHKTDMIADITTYQFNNIGKVLAQFLQDLPGWNILANDVLFVEKSAIILLAFLSSHLTNVRRLEQLKNLIDSKLDHQSLVTEVSPRRRSRGTTDMKCHFPQTEETDGSRSTREWAATVIQTQARRLNAMSKYCKLKNATQPCNKGHDPASSSPLKSIADSSCIDSATKLVCEDDVDCSSNSCQVLFYHDPVSTKVDFLFCRKAMAARKIQFAYRRFAHRIRSRISAAIKIQSHWRCFSVRIRFKRQIQNITTIQAVARKRSVIVIQAHVRGWIARQTAVRNKKRITIIQSYVKAYLLRKRSKQEITDDIMCLINRLIAAVSQRSISTIRQICATLSTATEHSEKCCQTIVNAGAVEILLKQINLLNRGVPDQEVLKQVLFTLRNIARFRNLQPVLANTPQAVEIVFQELLRSKTEGFFVACDILKRLCESEEGHKIARALKRHIRRLGCLVQELEKKVDLDKRNGRTGVAKDNNLRRLGEAVTLHHLFTNDHC >ORUFI07G16800.4 pep chromosome:OR_W1943:7:17206839:17213864:-1 gene:ORUFI07G16800 transcript:ORUFI07G16800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREPAVSSPFRDLSNLRTPNPRAPPNPKSSASKEEPLPSATPTTRRRRGPPPPPRPGAATATPLARRLRALELDQSRSARRAESGRDGALRAFASSATSWLSLLLRDPSACGCAPSAAAARVTRDAPAHGVQGKRDAVDGERARGRSPKRHRGGEDRGGPGPRRKTMTPAMAASLRDSLREVCSLDDVTERMGSHMSREACEEVLVMMCQICKNIDNGRLKMKEHCPLVSDLRLRDKAIRIFMCYNPKWLRIGLHIVLGGDSWIQNESQKKDKEVAFLKFVLEKQLFVHMNTQPSALNKAPEGHRRACYAEAASNSILKRLFLLVAALDRAKIESGLPSESGIDGLDGGSPLLFCRQTEIKSSRQIVQESLGEVMHGEGDLLMHLNIMGYKLNYQQLALSEYDFTVGNLFEDLQDGIILCRIIQLLTSDASIILKVIAPSDTYKKRLHNCTMAIQYIKQAGFPLSDADGLSISAEDIVNGDKELILALLWNMFIYMQLPVLVNETSVAQEISRLKAPVSEQSISEMKSQTGLLYDWIQETLSDCRKELFSCHKTDMIADITTYQFNNIGKVLAQFLQDLPGWNILANDVLFVEKSAIILLAFLSSHLTNVRRLEQLKNLIDSKLDHQSLVTEVSPRRRSRGTTDMKCHFPQTEETDGSRSTREWAATVIQTQARRLNAMSKYCKLKNATQPCNKGHDPASSSPLKSIADSSCIDSATKLVCEDDVDCSSNSCQVLFYHDPVSTKVDFLFCRKAMAARKIQFAYRRFAHRIRSRISAAIKIQSHWRCFSVRIRFKRQIQNITTIQAVARKRSVIVIQAHVRGWIARQTAVRNKKRITIIQSYVKAYLLRKRSKQEITDDIMCLINRLIAAVSQRSISTIRQICATLSTATEHSEKCCQTIVNAGAVEILLKQINLLNRGVPDQEVLKQVLFTLRNIARFRNLQPVLANTPQAVEIVFQELLRSKTEGFFVACDILKRLCESEEGHKIARALKRHIRRLGCLVQELEKKVDLDKRNGRTGVAKDNNLRRLGEAVTLHHLFTNDHC >ORUFI07G16810.1 pep chromosome:OR_W1943:7:17219894:17234319:1 gene:ORUFI07G16810 transcript:ORUFI07G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRAHKFQEGEKTSALAVGRMDSSSAARWTRTGASAAAYAVLARSMARELLPDELRAAARLGWGSRERRTLVVRSHAGGGGGGGGGGGGEYEDSNLLFDAARTYLASRLDPRDVRCLGLTEGDGGRDGWRARLFIEPGDSTTDVFDGVEFTWQSVPLAAATGGAEKKAKGGDREFLLELSFDADAEHTATAMDSKHGSAPSINHDHFLDRCKVTLSGLLNLIDGLWSATSDERVIVFTTNYKERLLRPGRMDMHVYMGYCGWEAFKTLAHNYFLVDDHPLFPEIRQLLAGVEATPAEVSEMLLRCEDAGVALRGLAELLKEKKKQEARRDGQQQQKAVATAATVTAYAMLARGMARELVPHDLRAALIWAASLVRARVEPRPAECRTAIIRSIEGNGHGHAQCIESRFFVDAHAYLATKIDPRSMSRFFLGGGGGGRRGRNVLSMVPGDSMTDVFEGVEFKWTSVPAEGRFADTEVSLELSFDAAHTDMALRRYVPFITEEDRLDAALLRPGRMDMHVYMGYCGWDAFKTLAHNYFLVDDHPLFPEIRALLAGVEATPAEVSEMLLRSEDADAALSGLVEFLEEKKEKKKKQAMSDGRRPTKRSKAEASASIRSTTTIHSLGDDLLLAVFLRLPSLAALVRAALACRAWRRAVASSPAFRARFRATHGPPFLGLFFAPSAPAQAPNVPAFPSFVPSRPRYRDMAAAVRGGDFFLTSLQDRPHDEQQCWDVMEICGGHCLLMNWDDGLFAVLNPLTRRTEFVVDLSSAEFSDGACGQHHTVELTPRLICSDGHPKSFRLVVLAIDDSRVRASICSSDNTGEWEWSSLPWVDIPEPVRSDDTGCWLLNEGTMQANGSLYWDGATCIVYAHQLNVGVLMHTKGDDGAAERWVMDRVVPLGKELERVLRAPLRDGSVLMHLVDNPRQVFVLAVRDGYAYLAASPMFHDPQSPCWFLSLCLETMKLERLFRRTFDNLVQPYTMAWPPSLVGNYGRSSEPALLGCQLGLIFGIPVVGMDLSPSPSFAKAVDTYRRAVATAATVTAYAVLARGMARELVPHDLRAAVSWAATLVRARLGPRPAERRTVIIRRVDDDGRHDGCFADAHAYLATRIDPRALSRFRLSGGVGDGRGRRNALSMVPGDSMTDVFEGVEFRWTSVVAEGGGRFSESSLELSFDAEHTDMALGRYVPFITEEVEQARRRDRDLKIFMNERSSWRGIVHHHPATFDTLAMDPELKQSIVADLDRFLKRKEYYRRIGKAWKRGYLLHGPPGTGKSSLVAAMANQLRFNLYDLDLSEVHSNSVLQRLLIGMPNRTILVIEDIDCCFSARSREDGKDRKTPPAVCYGDGGGDYDEDEYYEEDEGNWRDDFSEKQSLTLSGLLNFIDGLWSTSGEERVIVFTTNYKDRLDAALLRPGRMDMHIYMGYCGWDAFKTLAHNYFLVGDNPLFPEIRELLAGVEATPAEVSEMLLRSEDADAALAGLVEFLEEKKKLASSVDASRTSGLK >ORUFI07G16820.1 pep chromosome:OR_W1943:7:17235649:17237279:-1 gene:ORUFI07G16820 transcript:ORUFI07G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPLVAAAAAAASASAPAAGAQQGDVLDAYKKALATAASVAAYAVLARSMSRELLPDELRAVARWGAAFVRARVGAAEKERHTVVIRRQLDGGYGENQMFEAARAYLATKIDPRALRRLSLARSRCIDADGRSSWTTLLCLEPGDSSTPPPTWTSMEAGGGDDGKRGGKGGDRGHRAPRERQSFSLELSFDAEHTDTALERYIPFVMATAEELQRRERALRIFMNEERSWHGFNHHHPATFDTIAMEPDLKKSIVDDLDRFLKRRDYYRRIGKAWKRGYLLHGPPGTGKSSLVAAMANYLRFNIFDLDLSQVHPRHRGHRLLPRRQPKGTPPQDDDAAAAAADLDSDDSDSDYDDSDESEPKVRQMQPQPQQNVTLSGLLNFIDGLWSTSGEQRVIVFTTNYKDRLEFCKIRFLEEN >ORUFI07G16830.1 pep chromosome:OR_W1943:7:17244177:17244650:-1 gene:ORUFI07G16830 transcript:ORUFI07G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRSSPRSSRPIIHRAVDAKGRLVSKKPPGSGSPINKMSFNKGDEVRVRTPVGRLGTTALRLVMWLGAVVVSDADDGHLEVIYNGNFPRDDPFRTVRVAVKDVKLPAPRPAPTPTPANMAAPRPNTAGKNLPRLKMFVLEKEQLRANSEALLAL >ORUFI07G16840.1 pep chromosome:OR_W1943:7:17249941:17251730:-1 gene:ORUFI07G16840 transcript:ORUFI07G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMPFALLLALFIPILLHLVTRHKYSSDNLLPGSLGFPLIGQSISLLRALRSNTDYQWYQDRIKKYGPVSKMSVFGSPTVLMAGPASNHFVFSNQDLIFTQTKAINVLIGCSIMTLSGDELKQVRSALQGYLSPEMVTKYVWKMDEEVRRHIDLNWVGHKTIKVAPLAKRLTFNIISSVMFGQGAAPFREALAIDFEKVVRAALSIPVNIPFTKFNKGLSASRRIRKLLRQIAHEREAAFQQGYCSSADDFFTYMLALRSEGKHSLTVEDIVDNAILLLIAGYETSSVLITFLIRQLANEPDILGKITDEQEEIARNKGPNKPLTWNDVSRMKYTWKVAMETLRTVPALLGSFRTATKDIEYRGYHIPKGWQIFTAQIVTHLDANFFDEPSKFDPSRFDNLSSIPPYCFVPFGGGPRMCPGNEFARTETSVAMHYLVRQFRWKLCCKEEGYRKDATPMPVLGLPIELETRSAP >ORUFI07G16850.1 pep chromosome:OR_W1943:7:17252913:17253710:-1 gene:ORUFI07G16850 transcript:ORUFI07G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESSATFSGSSRRASAQPCAGLPAAAPAAPTACRLTAPLPASRHAAYTCKPLQQQQQQQQQQSGPFHFQKN >ORUFI07G16860.1 pep chromosome:OR_W1943:7:17258100:17259662:1 gene:ORUFI07G16860 transcript:ORUFI07G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSLVVALIAVASSCVFVHFLARGATKKRRSPAAKKLPPGSLGLPVIGQSLGLLRAMRSNSGERWVRRRIDRYGAVSKLSLFGKPTVLVAGAAANRFVFFSGALALQQPRSVQRILGDRSILDLVGADHRRVRGALSEFLRPEMLRMYVGKIDGEARRHVAGCWSGRAAVTVMPLMKRLTFDIIASLLFGLGPGAAARDALAGDFERVMGGMWAVPVDLPFTAFRRSLRAAARARRLLAGITRERKAALERGAATRSSDLIACLLSLTDDRGGAPLLSEEEIVDTAMVALVAGHDTSSILMTFMVRHLANDPDTLAAMHEEIARSKRDGEALTWEDLTRMKLTWRVAQETLRMVPPIFGNFRRALEDIELDGYVIPKGWQVFWVASVTHMDAAIFHDPDKFLPSRFDSQSSSPSTAKAAPPCSYVAFGGGPRICPGIEFARIETLVMMHHLVRKFRWKLCCKEDTFARDPMPTPLHGLPIEIEPRISP >ORUFI07G16870.1 pep chromosome:OR_W1943:7:17260735:17261169:-1 gene:ORUFI07G16870 transcript:ORUFI07G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAVQLPQCPRHCSFDVGETKDGAICIVYAHQLNVSVLMHTKDDDGAAERWVMDRSSNESFEPRCVTAVFVLAVRDSYAYLATSPMFHDPQSPCWFLFLCLETMKLERLFRRTFDNDVQPYIMA >ORUFI07G16880.1 pep chromosome:OR_W1943:7:17261222:17261455:-1 gene:ORUFI07G16880 transcript:ORUFI07G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRGGDFFLTSLQDRPPRRAAMQICGGYCLLMNWDDGLFAVLNPLMRTTPGSGRLCHGWTSQNLSGQMTLAAGF >ORUFI07G16890.1 pep chromosome:OR_W1943:7:17272452:17272688:1 gene:ORUFI07G16890 transcript:ORUFI07G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQVVDASARTRLPPRHLSKAIAAPPARGRRRLPSVAAVAAHAWPPLLSSERPRVAAGGVTAGWGGKGNEDKVREE >ORUFI07G16900.1 pep chromosome:OR_W1943:7:17276123:17277184:1 gene:ORUFI07G16900 transcript:ORUFI07G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSRGREGDEGREGWDVGCLRGGGGREEEAEEGRGARWAARKRARKAGDEVVAFGHRPSRTATCMALLRVLVPLSTTSLSVAFAIDGMQVSRCI >ORUFI07G16910.1 pep chromosome:OR_W1943:7:17285375:17288247:1 gene:ORUFI07G16910 transcript:ORUFI07G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSILPALLIALFIPILLHLVTRFKYSSYNLPPGSLGFPFVGQSISFLRALRSNTDHQWYQGRIGKYGPVSKMWLFGSPAVLMAGPAANRFIFSNKDLLFTGTRSMNLLSGRNILMLSGEELKQVRGALQNFLSPEMVIRYVSKMDEEVRRHVKVNWVGHKTVKVLPLAKRLTLDIICSVIFGQEAGSVREALATDFPAMVRAALSIPVKIPFTRFSRGLSASQRIRKLLRGIARERETLLQQQQAHGASAADDFFTYMLALRAEGAHSLTVEDIVDNAIFLLIAGYETTSVLITFMLWHLDKEPEVLSKITEEQDEIARNKGPEDALTWDDVSRMKYTWKVAMETVFTAQSVTHLDANIFPEPSNFDPARFENNSSIPPYCFVPFGGGPRMCPGNEFARTETLVTMHSLVTQFRWKLCCKEESYKKDPSPTPLLGLPVELEPRCLPENAHA >ORUFI07G16920.1 pep chromosome:OR_W1943:7:17300198:17321843:1 gene:ORUFI07G16920 transcript:ORUFI07G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSSMLLALLLALFIPILLHLVTRRKYASYNLPSGSLGFPLIGQTISLLRALRKNTDYQWYQDRIKKYGPVSKMSVFGSPTVLLTGPAANRFAFCNPDLIFTQTKALNALVGGEELKQVRSAVQGYLRPEMVTKYIWKMDKEVRRHIDLHWVGQKTLTVAPLAKRLTFNITCSVFFGEEAGPIREALATDFEALVKATLSIPVNIPFTKFNKGLSASWRIRKLLSRIARYETTSVLIIFLLRYLANEPDILGNITEEQEEIARNKGPNEPLTWDDVSRMKYTWKVAMETLRTVPAIFGSFRTAIKDIEYQGYHIPKGWQIFTDQIVTHLDTNFFDGPRKFDPARFHNQSSIPPYCFVPFGGGPRMCPGNEFAKTGTLVAMHYLVRQFRWKLCCKEEGKILLQCLFLDSQLILRPEAPLDMLILRVIHMRKYSSYNLPPGSLGFPVIGQSISLLRALRSNTDYQWYQDRIKKYGPVSKMSVFGSPMVLLTGPAANRFVFSNQDLIITETKAANALIGRSILTLSGEELKQVRSALQGYLRTEMVTKCIRKMDEEVRRHIDLNWVGQKTVTVAPLAKRLTFDIICSVIFGQGAGPIREALAADFKKMVQAMLSIPVNIPFTKFNKGLSASRRVRKVLRQIARDREAALQQGHSSSADDFFTYMLVLRSEGTHSLTVEDIVDNAILLLLAGYETSSVLITFLLRYLANEPDILGKITEEQEEIARYKGPDEPLTWDDVSRMKYTWKVAMETLQTVPPIFGSLRTAIKDIEYQGYHIPKGWQVFTAIIITHLDANFFDDPNKFNPARFHNQSSVPPYCFVPFGGGPRMCPGNEFARTETLVAMNYLVRQFRWKLCCKDEEVLPSEMSRMARQVAHILRLERRPSAARGSPSAALTAGRWRCASGQASPPASYLQDAPQQAGSAVRGSLGFPVIGQTISLLRALHSNTDYQWCQDRIEKYGAVSKMSLFGSPTVLLAGPGANHFVFSNQDLIFTETKAINALVGRSILTLSGEELKQVRGALHGYLRPEMVTKYMRKMDEEVRRHIDLNWVGHKTVTVAPLARRLAFDIICSVIFGQGVGPIREALAADFETMVKAMLSIPVNIPFTKFNKGLNASRRIRKVLRQIARDMEGALQQGYSSSADDFFTYMLVLRSKGTHSLTVEDIVDNAIVLLAAGYETSSVLITFLIRCLANEPDIFGKITDEQEEIARSKGPNEPLTWDDVSRMKYTWKVALEILRTISPIFGSFRIAIKDIEYRGYHIPKGWQVFHAQSITHLDGKFFNDPIKFDPTRFDNQSLIPPYCFVPFGGGPSMCPGNEFARTETLVAMHYLVRQFRWKLCCKEEGYRKDPLPTPMVYRVGSVVDVKVVKGLRCAISQPS >ORUFI07G16920.2 pep chromosome:OR_W1943:7:17292968:17313108:1 gene:ORUFI07G16920 transcript:ORUFI07G16920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTWKMAMETLRTVPALLRSFQTTTKDIEYWGYHIPYPKTSGNAVLRRTAVTTISLLRALRKNTDYQWYQDRIKKYGPVSKMSVFGSPTVLLTGPAANRFAFCNPDLIFTQTKALNALVGGEELKQVRSAVQGYLRPEMVTKYIWKMDKEVRRHIDLHWVGQKTLTVAPLAKRLTFNITCSVFFGEEAGPIREALATDFEALVKATLSIPVNIPFTKFNKGLSASWRIRKLLSRIAREREAALQQGRYETTSVLIIFLLRYLANEPDILGNITEEQEEIARNKGPNEPLTWDDVSRMKYTWKVAMETLRTVPAIFGSFRTAIKDIEYQGYHIPKGWQIFTDQIVTHLDTNFFDGPRKFDPARFHNQSSIPPYCFVPFGGGPRMCPGNEFAKTGTLVAMHYLVRQFRWKLCCKEEGKILLQCLFLDSQLILRPEAPLDMLILRVIHMRKYSSYNLPPGSLGFPVIGQSISLLRALRSNTDYQWYQDRIKKYGPVSKMSVFGSPMVLLTGPAANRFVFSNQDLIITETKAANALIGRSILTLSGEELKQVRSALQGYLRTEMVTKCIRKMDEEVRRHIDLNWVGQKTVTVAPLAKRLTFDIICSVIFGQGAGPIREALAADFKKMVQAMLSIPVNIPFTKFNKGLSASRRVRKVLRQIARDREAALQQGHSSSADDFFTYMLVLRSEGTHSLTVEDIVDNAILLLLAGYETSSVLITFLLRYLANEPDILGKITEEQEEIARYKGPDEPLTWDDVSRMKYTWKVAMETLQTVPPIFGSLRTAIKDIEYQGYHIPKGWQVFTAIIITHLDANFFDDPNKFNPARFHNQSSVPPYCFVPFGGGPRMCPGNEFARTETLVAMNYLVRQFRWKLCCKDEEVLPSEMSRMARQVAHILRLERRPSAARGSPSAALTAGRWRCASGQASPPASYLQDAPQQAGSAVRERNNSGLPIYIHVYKSTS >ORUFI07G16920.3 pep chromosome:OR_W1943:7:17300198:17313108:1 gene:ORUFI07G16920 transcript:ORUFI07G16920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSSMLLALLLALFIPILLHLVTRRKYASYNLPSGSLGFPLIGQTISLLRALRKNTDYQWYQDRIKKYGPVSKMSVFGSPTVLLTGPAANRFAFCNPDLIFTQTKALNALVGGEELKQVRSAVQGYLRPEMVTKYIWKMDKEVRRHIDLHWVGQKTLTVAPLAKRLTFNITCSVFFGEEAGPIREALATDFEALVKATLSIPVNIPFTKFNKGLSASWRIRKLLSRIAREREAALQQGRYETTSVLIIFLLRYLANEPDILGNITEEQEEIARNKGPNEPLTWDDVSRMKYTWKVAMETLRTVPAIFGSFRTAIKDIEYQGYHIPKGWQIFTDQIVTHLDTNFFDGPRKFDPARFHNQSSIPPYCFVPFGGGPRMCPGNEFAKTGTLVAMHYLVRQFRWKLCCKEEGKILLQCLFLDSQLILRPEAPLDMLILRVIHMRKYSSYNLPPGSLGFPVIGQSISLLRALRSNTDYQWYQDRIKKYGPVSKMSVFGSPMVLLTGPAANRFVFSNQDLIITETKAANALIGRSILTLSGEELKQVRSALQGYLRTEMVTKCIRKMDEEVRRHIDLNWVGQKTVTVAPLAKRLTFDIICSVIFGQGAGPIREALAADFKKMVQAMLSIPVNIPFTKFNKGLSASRRVRKVLRQIARDREAALQQGHSSSADDFFTYMLVLRSEGTHSLTVEDIVDNAILLLLAGYETSSVLITFLLRYLANEPDILGKITEEQEEIARYKGPDEPLTWDDVSRMKYTWKVAMETLQTVPPIFGSLRTAIKDIEYQGYHIPKGWQVFTAIIITHLDANFFDDPNKFNPARFHNQSSVPPYCFVPFGGGPRMCPGNEFARTETLVAMNYLVRQFRWKLCCKDEEVLPSEMSRMARQVAHILRLERRPSAARGSPSAALTAGRWRCASGQASPPASYLQDAPQQAGSAVRERNNSGLPIYIHVYKSTS >ORUFI07G16920.4 pep chromosome:OR_W1943:7:17300198:17313108:1 gene:ORUFI07G16920 transcript:ORUFI07G16920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSSMLLALLLALFIPILLHLVTRRKYASYNLPSGSLGFPLIGQTISLLRALRKNTDYQWYQDRIKKYGPVSKMSVFGSPTVLLTGPAANRFAFCNPDLIFTQTKALNALVGGEELKQVRSAVQGYLRPEMVTKYIWKMDKEVRRHIDLHWVGQKTLTVAPLAKRLTFNITCSVFFGEEAGPIREALATDFEALVKATLSIPVNIPFTKFNKGLSASWRIRKLLSRIARYETTSVLIIFLLRYLANEPDILGNITEEQEEIARNKGPNEPLTWDDVSRMKYTWKVAMETLRTVPAIFGSFRTAIKDIEYQGYHIPKGWQIFTDQIVTHLDTNFFDGPRKFDPARFHNQSSIPPYCFVPFGGGPRMCPGNEFAKTGTLVAMHYLVRQFRWKLCCKEEGKILLQCLFLDSQLILRPEAPLDMLILRVIHMRKYSSYNLPPGSLGFPVIGQSISLLRALRSNTDYQWYQDRIKKYGPVSKMSVFGSPMVLLTGPAANRFVFSNQDLIITETKAANALIGRSILTLSGEELKQVRSALQGYLRTEMVTKCIRKMDEEVRRHIDLNWVGQKTVTVAPLAKRLTFDIICSVIFGQGAGPIREALAADFKKMVQAMLSIPVNIPFTKFNKGLSASRRVRKVLRQIARDREAALQQGHSSSADDFFTYMLVLRSEGTHSLTVEDIVDNAILLLLAGYETSSVLITFLLRYLANEPDILGKITEEQEEIARYKGPDEPLTWDDVSRMKYTWKVAMETLQTVPPIFGSLRTAIKDIEYQGYHIPKGWQVFTAIIITHLDANFFDDPNKFNPARFHNQSSVPPYCFVPFGGGPRMCPGNEFARTETLVAMNYLVRQFRWKLCCKDEEVLPSEMSRMARQVAHILRLERRPSAARGSPSAALTAGRWRCASGQASPPASYLQDAPQQAGSAVRERNNSGLPIYIHVYKSTS >ORUFI07G16920.5 pep chromosome:OR_W1943:7:17300198:17313108:1 gene:ORUFI07G16920 transcript:ORUFI07G16920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSSMLLALLLALFIPILLHLVTRRKYASYNLPSGSLGFPLIGQTISLLRALRKNTDYQWYQDRIKKYGPVSKMSVFGSPTVLLTGPAANRFAFCNPDLIFTQTKALNALVGGEELKQVRSAVQGYLRPEMVAPLAKRLTFNITCSVFFGEEAGPIREALATDFEALVKATLSIPVNIPFTKFNKGLSASWRIRKLLSRIARYETTSVLIIFLLRYLANEPDILGNITEEQEEIARNKGPNEPLTWDDVSRMKYTWKVAMETLRTVPAIFGSFRTAIKDIEYQGYHIPKGWQIFTDQIVTHLDTNFFDGPRKFDPARFHNQSSIPPYCFVPFGGGPRMCPGNEFAKTGTLVAMHYLVRQFRWKLCCKEEGKILLQCLFLDSQLILRPEAPLDMLILRVIHMRKYSSYNLPPGSLGFPVIGQSISLLRALRSNTDYQWYQDRIKKYGPVSKMSVFGSPMVLLTGPAANRFVFSNQDLIITETKAANALIGRSILTLSGEELKQVRSALQGYLRTEMVTKCIRKMDEEVRRHIDLNWVGQKTVTVAPLAKRLTFDIICSVIFGQGAGPIREALAADFKKMVQAMLSIPVNIPFTKFNKGLSASRRVRKVLRQIARDREAALQQGHSSSADDFFTYMLVLRSEGTHSLTVEDIVDNAILLLLAGYETSSVLITFLLRYLANEPDILGKITEEQEEIARYKGPDEPLTWDDVSRMKYTWKVAMETLQTVPPIFGSLRTAIKDIEYQGYHIPKGWQVFTAIIITHLDANFFDDPNKFNPARFHNQSSVPPYCFVPFGGGPRMCPGNEFARTETLVAMNYLVRQFRWKLCCKDEEVLPSEMSRMARQVAHILRLERRPSAARGSPSAALTAGRWRCASGQASPPASYLQDAPQQAGSAVRERNNSGLPIYIHVYKSTS >ORUFI07G16930.1 pep chromosome:OR_W1943:7:17328841:17329557:1 gene:ORUFI07G16930 transcript:ORUFI07G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAFVVALLVALLTPLAVHLAGRARRALPRRRNLPPGSLGLPLIGQSLALLRAMRAQHRRAMAAGQDRQVRPRVEAVAVRRADSAPRRAGGEQGGVPPAPAPKQPRSLATILGRMNILEAGARRRVDAVPQAGDAAAVRGKDRRRGEVRRHLADRWAGCRTVTVLPLTKTLTFDIIATLLFGLEPGAIREQLADAFAGMLEGTRSVPVDLICRSPRSATASGRSSATARRLLEATVS >ORUFI07G16940.1 pep chromosome:OR_W1943:7:17329896:17339744:1 gene:ORUFI07G16940 transcript:ORUFI07G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTMPFALLLALLVPTLLHFVIRRKYSSYNLPPGSLGFPLIGQSISLLRALRSNTDYQWYQDRIKKYGPVFKMSLFGSPTVLMAGPAANHFVFSNQDLIFTQTKAINTILGRSILTLSGEELKRVRSALQGYLRLEMVTKYMRKMDEEIRMHIDLNWVGHKTVKAAPLAKRLTFDIICSVIFGQGIGPIREALATDFETLVQALLSLPVNIPFTKFNKGLRASRRIRKVLREIAREREAALQQGHSSSADDFFTYMLVLRSEGTHSLTVEDIVDNAIVILTAGYGTTAVLITFLLRYLANDPDILGKITEEQEEIARRKGPNEPLTWNDVSRMKYTWKVALETLRTVPPIFGSFRTAVKDIEYHGYHIPKGWQVFTAQSITHLDGNFFSDPVKFDPTRFDNQTSLPPYCFVPFGGGPRMCPGNEFARTETLVIMHYLVRQFRWKLCCEEEGYRKDPVPIPVLGLPIELETRSPPEYAHA >ORUFI07G16940.2 pep chromosome:OR_W1943:7:17336116:17339744:1 gene:ORUFI07G16940 transcript:ORUFI07G16940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTMPFALLLALLVPTLLHFVIRRKYSSYNLPPGSLGFPLIGQSISLLRALRSNTDYQWYQDRIKKYGPVFKMSLFGSPTVLMAGPAANHFVFSNQDLIFTQTKAINTILGRSILTLSGEELKRVRSALQGYLRLEMVTKYMRKMDEEIRMHIDLNWVGHKTVKAAPLAKRLTFDIICSVIFGQGIGPIREALATDFETLVQALLSLPVNIPFTKFNKGLRASRRIRKVLREIAREREAALQQGHSSSADDFFTYMLVLRSEGTHSLTVEDIVDNAIVILTAGYGTTAVLITFLLRYLANDPDILGKITEEEIARRKGPNEPLTWNDVSRMKYTWKVALETLRTVPPIFGSFRTAVKDIEYHGYHIPKGWQVFTAQSITHLDGNFFSDPVKFDPTRFDNQTSLPPYCFVPFGGGPRMCPGNEFARTETLVIMHYLVRQFRWKLCCEEEGYRKDPVPIPVLGLPIELETRSPPEYAHA >ORUFI07G16950.1 pep chromosome:OR_W1943:7:17343402:17344970:1 gene:ORUFI07G16950 transcript:ORUFI07G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVVVVALLVAFLTPLAVYLAGRSTRTKPPPRRNLPPGSLGLPLVGQSLSLLRAMRRNTAERWLQDRIDRYGPVSKLSLFGAPTVLLAGPAANKAVFLSEALAPKQPRSLAAIIGRRNMLELVGDDHRRVRGALAQFLRPEMLRRYVGRIDGEVRRHLAGRWAGRRTVAVLPLMKLLTLDVIATLLFGLARGAVRERLAAAFADMLEGLWAVPLDLPFTAFRRSLRASARARRLLAATVREKKANLEQGESSPSDDLISYLVSLRDGDGGGGRPLLTEEEIIDNSIVCLTAGHDTSAILLTFMVRHLADDPAILAAMHEEIARSKRDGEALTWEDVARMKLTWRVAQETLRMVPPVFGSFRRALEDVELDGGYVIPKGWQVFWAPCVTHMDPAIYHDPDKFDPSRFDAQAAAAAAPPYSFVAFGGGPRICPGMELARVETLVTMHYLVRHFRWRLCCGGEENTFVRDPLPSPANGLPVELDHIAPLRCDEFNS >ORUFI07G16960.1 pep chromosome:OR_W1943:7:17345834:17351531:-1 gene:ORUFI07G16960 transcript:ORUFI07G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSKSAAKPHPRSPTTAQPPNNGSAAGAAAGGGGGGVGMGPAGATPSKNAAMAELKSRVLGALAKLSDRDTHHIAVEDLDRIIRSLPSPDAVPMLVHALASDSPGLASPARRESLRLLATLCAAHPDAAAPYLQKVMAHLARRLKDTNSDSSVRDACRDAAGQLSAVYLRPLAASAAAEAGNATVTLFVKPLFEALGEQSKAVQGGAAACLAKTVEGAGPGPGVVGMFGKIGPRVCKLLGGQGVQAKGALLTIIGSLSQVGAISPQNMPQTLQSIRDCLENSDWATRKAAADTLCVLATYSGRLIGDGTAPTIAALEACRFDKVKPVRDSMADAVQLWKKMAGDDSNDGKNKESADNEGKMDSPNNNDKVKGSSMAEKAAVLLKKRPTLTDRELNPEFFQKLETRITDELAVEVVVPRKTLQSHLQSEEEPEDADGDPVGPANSNGSADDEANLTQLRSSSNFQNIRDRWAGQRGSRNKDAKVRASDVEDRNESSAKDSASAAMNVPGEGPSLNNKTNWLAIQRQLSHLDRQQTSLMNMLQDFMGGSHDSMVTLENRVRGLERVVEEMARDISLSSGRRGGGPMLGFDSSPGRSSMKYNGFHEYSNSKFGRDRDGRVGFAERYFSGDGMSSGVRSSEPWDSYAYSGSRSGMNARRGLDSVSSDNRVPRNERSNDQAGPRRGWDKGQGPFRFGEGPSARSAWRASKDEATLEAIRVAGEDNGTSRAAARVAIRELDGETLNDDNQGDERGPIWESWTRAMDAIHVGDMDSAYAEVLSTGDAELLVKLMEQTGPVVDQLSNEVANEVLHAVGQFLVEESFYDIALSWLQQLFMFFVCSTIPIIIKVLSGQQLTDLVMDNGSGYLGIPLDAKNDLLLGLHEATAIELPDDWEGATPVQIMKQLASSWRIDLQQLIS >ORUFI07G16970.1 pep chromosome:OR_W1943:7:17356668:17357481:1 gene:ORUFI07G16970 transcript:ORUFI07G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRCTPGVARLPSLPDPAKGGRGEAGGGRRRGADDGGGRSVAEEDGGQRRRSHLPDPAGGPPIPDPEASWGRAATAAACSSGGRRSSAALPSPRGSGGIMGRAVAEAARRRRPKTLEYGYVPLVVLPMSPP >ORUFI07G16980.1 pep chromosome:OR_W1943:7:17365899:17368766:1 gene:ORUFI07G16980 transcript:ORUFI07G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAAAELANGEAAAAAACAVKVGTTGTIGSLMTRELEAIKAAPPHATAAATTPRRLRRQSSPVSVPCGASPRKIAALRKSSSSLSTTSSSGGSGRRTDRVSAEESSACKTAACRRSSSTTPASSPMLAADVDRSGGGGKAKKAAARGRRGVGGVEVVDVRCGNPMSSRLRRLGFSKLSETFA >ORUFI07G16990.1 pep chromosome:OR_W1943:7:17372197:17378853:1 gene:ORUFI07G16990 transcript:ORUFI07G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSFKITYNLSVPSIYLSPLFSTSRYSTIQRARSHGIHPVHLPAEREGVVGVGGGGGEEVAAGGGEAGVAAAVAAERGGAGEREREGGADVLLQVREQGRRGRRHLRQPGQGRLRPRRRRAVLQLHGDAGGGGHLRQDGGAAEPGHPPGGHPPHARRLRGRQAQARGAPPRRRQVRRQGRRRPDGARPRRRRHQGVHPRLRRHLGRLIDRFADDDDLDAWICFGYTSILRIYLFLFFSFSSFSKFFLCLVEFSREIHKLFTVQEEVASSVSFTFFAV >ORUFI07G17000.1 pep chromosome:OR_W1943:7:17373339:17381736:-1 gene:ORUFI07G17000 transcript:ORUFI07G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWGLGWKRSSEIFHLTLDYGDLADGPPHHHQQQHQPPPQQQPGSPPTPSSAGSTPTSSSSSPTARRSGGSGEFGFRIELDWSAGDDEDQVALRLQSQLMVALPPPHDAVCVDLSPRGEGGEEEEGAVAVAVEMRVVRRREALRSVRVARAAGSAAGSGDGAGVLSRLIRSNLAPAPAVDGAAATGVPVLADHWRSVAVLILCNCGLLMLPVELTRLALLEKLHLDNNKLSVLPPEVGDLKKLIVLTVDNNMLVSVPAELRQCVLLEELSLENNKLVRPLLDFRSMPKLRVLRLFGNPLEFLPEILPLHNLRHLTLANIRIEALESLKSVTVQIETENNSYFVAARHKLSAFFSLVFRFSSCHHPLLASALAKIMEDRSNQVAISKEENAVRQLISMISSDNRHVVEQACLALSSLGSDISSAMLLIKCDIMKPIEAVLKSFDEEELESVLQVVVTLTFVSDHVAQKMLTKDVQRLSLFAVGNLAFCLETRRTLMHSESLRDLLIRLTLSQEKRVSKAAARALAILGENENLRRAIRGRPVAKKGLRILSMDGGGMKGLATVQILKQIEQGTGKRIHEMFDLICGTSTGGMLAMALGVKQMTLDQCEEIYTKLGKLVFAEPAPKDEAATWKEKIDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKGIPKVFAVSTLVSVMPAQPYIFRNYQYPPGTVEVSSVMTESPSIGSAGTPVSGAPVGIKPINTVGTAVSGAPVGIKRGAFMGSCKHRIWEAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLVSIGCGSVPTKSRRGGWRYLDTGQVLIESSCSVERVEETLDTLIPMLPEMQYFRFNPVDERCGMELDETDPAVWLKLEAATDEYIQKNFQDFKNVCELLVPRYQEEEKSSETTKSMLFSRFKPSNSGFSESNPTLGWRRVVLLVEASYSPDFGKKVNHARSLETFCSQNGIRLTLMNSASGFGKAATTLPTPITSPLFTGSFPSSPLLYSPEGTQRIGRIDLVPPLSLDGNPTAKSSPPTSPLKSWQPSVHVQSLYDKLQNMPQVGVIHMALQNDSTGSILSWQNDVFVVAEPGELADRFLQCVKTSLSAMLHGCKRKGAYSLSKISCLSELVAEWPSFEIGGIHHRYIGRQTQVMEDNQEIGAYMFRRTVPAAHMTPEDVRWMVGAWRERIIVCSGKYGLAHGLVKAFMDSGAKAVISSAMEPPDSQSIVYHGMEVNGSLENGKFVIADDEASESEAEPVSPTSDWEDSDMEKNGDRSKDFDDEEYMAQFICLLYDKLFREGVTVDTALQQALRSHPKLKYNCHLPNVFIAETYPGIKVVVVGEAIDQAAKVAAKPRMNSLVSSAARSSAVRPSTSLTSYLAPARRSSSSLGLSPSEAASMRRMSTGWMSWFSSASILS >ORUFI07G17010.1 pep chromosome:OR_W1943:7:17385433:17389374:1 gene:ORUFI07G17010 transcript:ORUFI07G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYQSNARFAPFRDAPFALHGALGSSNSSFNNMDHLRQSSSFGQARSYTSSPLGALRPKMSPSGNRLLHTSRPLTAPVANRPLSPHLPLKKPQLSATFSISHRIFGAALGAVIISIPLATKFSLMFDV >ORUFI07G17010.2 pep chromosome:OR_W1943:7:17386030:17389374:1 gene:ORUFI07G17010 transcript:ORUFI07G17010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYQSNARFAPFRDAPFALHGALGSSNSSFNNMDHLRQSSSFGQARSYTSSPLGALRPKMSPSGNRLLHTSRPLTAPVANRPLSPHLPLKKPQLSATFSISHRIFGAALGAVIISIPLATKFSLMFDV >ORUFI07G17020.1 pep chromosome:OR_W1943:7:17387595:17393115:-1 gene:ORUFI07G17020 transcript:ORUFI07G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPSRLAPQDPRVGLVVGGWIAKAVIANILSRVRSLLHDNFNLQKDTEKMLNDLEVALPRIEAVIEAAERRSIESSALSTWLQQLKDAVSHAGDVVDDFEAKTIKDQVESKSKVSAKAYSTVKALKALVFSDSELKKLKHAVRRLENVSTRVDSFIELVKLNDDDTVGRIGHSLHSETSSLLGDTKVIGRDEEISLILDIILGYRYHLPRTSEHARPDDQPEFGQRGTLFDKLRKIFLTGTAESSKSSDKAKLEELEPRKKGIKIEEVDPSKDCIEIGEYEPNQKGQTEILDYTSSDVHETSGSSRNLGILPIVGINGVGKTTVAQAVFNNTRVKMCFDLRAWVYVSDNISGKQIVQRIIMSLEPWSGVTDAALDLDSLQHKLIDIIRSKRLLLVLDGVSDDIIIVWSQLQSILRCSEPQSMVLVTTQKYSIANLVGTMGPITLNTLGQTDFRYLFEHLVFDDCFYHHYEVHLFESVCEKIADKFHGLPLAAKTVAPLLRANRNMGYWENVLRSDWWNIADHGLGINVLPALGIGCLNAALRQCLLFCSLFPRNYVFEKERVVQMWVAHGFIQSSNTGDILPENVVNNWFDELVDRSFLQPTVWQGHYVMHDLIREFSVAVSSNEYYVFHRNSKVLPQFANHISVDNDNFDLQWGHYDHKRLQTLMFFGHHRVDKNYDTLGSIVRKSTSLRVLDLSYICMSNVSQASYILSKLSHLRYLDLSFTGIKDLPEAFGNLYHLQVLDLRGCIIEKLPKNMNNLINLRHLYADSQTIALIYAVGQLTKLQELQEFRVRLEDGYKINELRDMKDLRKLCITNLEKVSSLQEAIDAKLVEKKSLDSLQLKWVYQMPESRSTSQLNKDILDGLHPHFQLKRLKILNYMGIDFPYWVQRLTDLIVSSHVSRNSLFGLSSITHINDQVYGTNDVIFPYLEELHFSELFSWEQWSEAEYKLLIPHLRKLGINACSKLSLLPIETLSSSVKELHLSSCTSYISMLPAYLKRLTSLTKLSIQDCSATLLIPCHSLTLLEHLQLESCFDVHFEGGMQYFTKLKKLEVHRCFDVTQNIYEQTSVVERYSLMGGLQSLIHLVIDDRFMYYRYYHMLNTLCSIRTMKFCSFDLSEFTTEDEEWLQQLQSLQEIQFASCRNLLRLPSNLNNMLNLKKVVLNDCCKLQSLPLNGLPDNLKEFHVSGGSEVLEQQCQKTDGDEWPKISHVPYVRINGRTIQMISHDLGMQTKLAPAQFCIAPLQK >ORUFI07G17030.1 pep chromosome:OR_W1943:7:17393144:17393392:-1 gene:ORUFI07G17030 transcript:ORUFI07G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGGGSGSWGPAPSGSHEANPPSTRRVQAASSWARNARRLLDEMRGKDGSGPYVPRDRGKGARAWQTQIYSPAAPAPLP >ORUFI07G17040.1 pep chromosome:OR_W1943:7:17402017:17405829:-1 gene:ORUFI07G17040 transcript:ORUFI07G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTIGKLLSTCAKGESIIDMPNPNKDLAEGEKEHTSESHGSSSKAKYLPGNEFHIGRNEEIDMIRNMVLGNSHYVAEATSLKIRQEAEKLHIPRKGWITETLQKIDHSKRTQQTTEVSPRPENEVGNSKAEYIRVSCKSTVTDLRNPAVIPIVGISGVGKSALAKFIFNDENVQEHFGDQSAWVYITDNISQVDLVKKIIYSFDPKYDLSCMTNLETVHSELQTIIEGKKFLLVLDDVWDEICVIWNGLKSVLSKGAPGSVILVTTQLYSVANFVGTAGPVILDPLQTDDSWTLLKSYAFVDPCRSLSTEDLEEIGRKIAQRIPGLPQLIKVIGATLRSKLEESHWSHLLNSWWWNISDNFEIRVISSLGSCYSVLPGHLRQCFVYCAIFPRNFVFAKDKLVQMWIANGFVQLNNSTGFLRLEDVGGQWFDEIVNKGFLQPACKTGYIMHDLVWDFASAVSSNECHGINNKLKGVSQDVRYLSIDMEGLNALPDNFNIKQLRATILIGDIDHSDETYLRLGRIFDGSTSLRVLAFSSFNLGAEIRNDLSALKYLRYLDLSFTGIKILPDSVCSLSQLQVLDLRGCAFDELPRKMNCLINLRHLHASSDTIAQISGIGKLTKLQELHDYYVEEEDGHRITELSDMSHLRGSLCISNLDMVTDPAEALEANIIEKNYITALELRWFYTLLETLTPDLSKSILGCLSSPRYLQELQLYGYSGFELPDWVGQLKHVRVVEISLCRNLNVLPPLGQLEHLQKLKLHGLPSIKDIDSDFCGTPNVVFRSLEELSFEGLENWESWTYAGSRDYIPNLQKLQIRLCSKLIKVPFDSLGSATKEIILKVRDPNYRTFSRYLQGLNSLTRLEVCGNWLFKSVKLILPCKQLMSLEYLHIKNIWKVYIKDGLWYMRSLKDLLINCSIVVTDSNEESAHEDRQSPTQIDCTMHSLTHLALNSGPTRIVDLENVIPQTPSLRHLCLDRVRSITSITEKWLQHLTSLQELELSQCDVLPSSMAPLSLLKRFTLNYCHQIHSIPPNSLSGNLKELQIEGCSFELEARCQNPNGAVWRAEGHKIELWWKRKMDEWQKRKLEHGRKLIDMQLKKESLGISMSWREKSPKSYKGESLYQSDKYLSRGQRMQRGHKWPKKQLMEEQSFIKIEKPSSLNEQPEEDESEKEPLEEWLQQSDGDQWPEQPWEWSLPRQLWELYSWLEKKLEEALDSAGEKDDPSSLMKEREEWLKEEERKFCSETLGKDWPNISHVPYIRVEGKIVQNLYT >ORUFI07G17050.1 pep chromosome:OR_W1943:7:17412496:17413105:-1 gene:ORUFI07G17050 transcript:ORUFI07G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGSEEGDDVWPVPKAIGEVVKKLRNHLENDIDSFKGTMKMLGVLEDKIPLLCIGNLNVEADKEEDRAAWLRQVMTATEEAQELANQKV >ORUFI07G17060.1 pep chromosome:OR_W1943:7:17438789:17442055:-1 gene:ORUFI07G17060 transcript:ORUFI07G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPADASLVLSVAISELVQKLRLCLGNSRDSFKNTIKKMDVVEDALLFLHRNNLQRVKPDKEEATAQWLQHLKKAAHDAKGLLDDMESELKAPDSSNSDVMTWLSENANMIRVTYIIGKLASVCSQGKTIFETHTLNESALQDEKKDKICLSPVAMTGQNKYFLDDDFLIGRDEEVAMIRDMILDNARYVATEITLKIREEAEKLHIPRKDWITETLQKIDLSKLTQQAIGVFPHPKHEKSNKVEYIHRPHDSTVTYLQNPAVIPIVGISGVGKSALAKFIFNDGNVREHFGDKSAWVYMTDRTDQLVTIKQIICSFNPKDNLTNITSLDRAYSLLKVIIEGPVILDPLQSDDSWTILKRYAFVDPCRSLSTEDIEEIGRKIAHMLPGSPLAAKDNLVHMWIANGFIQLESSTKVKRSEDIGGEWFDELVGRAFIQPSVRKTGYIMHDLVWDFANALSYNEYHGMKNRFRFVSQDVLCLSIIDMKGLNALLDDLNIKKLRTIMLIGDIDHPSSDEISTPLGRIFDESISLRTLAFFSCSLGAAIPNGISNLKHLRYIDLSFTGINRLPDSVCSLCHLQVLGLKGCTFEEIPISINSLINLRHLYASSDTIAQINGIGKLTKLQELHEFHIKAEEGHRITELTDMNDLGGSLCISNLQNVTDPSEALKANIVEKDYITALELSWSCIWPDTVTPDLSKSILGSLSPPRYLQELKLYGYSGFEFPDWVGQLKHVRVVEISCCKNLKVLPPLGQLELLQKLKLDGLSSIKDIGFDFHGTSNVVFRSLEELSFQHMRKWKSWRYAGSSDFIRSLQKLQIRSCDELRMVPFESLGLGLATKEIIINWSNPYDDTFSWYLQGLNGLTRLEVGGSRRCKLIIPCKQLMSLEYLHIQDFGDVCIKSGLWYIKNLKNLLIIDCSTVVTDSNEESAQEDKQSPTQIDRTMHSLTHLTLGGHTMQKVGLEVVIPQTPCSL >ORUFI07G17070.1 pep chromosome:OR_W1943:7:17442599:17450435:1 gene:ORUFI07G17070 transcript:ORUFI07G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGLTIGGWFAGAVITNFVGKARSILEECHELHGDVANVLFNVEASLVHLQAVLDVAERRPIVSDKFTGWLELIKGIASDAEELLDDFETKRIQKSLQNKVSGVLAYLMKNLGLVDDDIYRLKTLLARLDKIASKAGNIFDLLKLNDSEEALTGFLPKIQPVFLGREEEKDKLMSIIFPSVAQTEHFAPSKMQEVLWGTASVRVVCIVGEAGVGKTTLAQVIYNHPNVKEAFDLKGWVFLSQKFDSNDLLKNISRSFAADQHPYDSEMGPEPLQASSCPSDHDISSIIQNNRFFLVLDSAKDNLQHTWKTLSAKLTGAAAGSIVLVTTRSEVIAEVIGRLLRMKLDEGHWRNVSESEWWDNYGDLAITNPALPSVTVTLEFLSDHLKKCLGYCSMFPSNYLFDKNKLAHMWMSDSMQQHHEFVYETREIQWFDELFNRSLIQPTVQKNKYIVNEKIKEILALITQTGCYTVEDSTRPKANLYGFSHIAINKGDFDVSMGLREHTKARSILFFDGQRTIKLNTALATILPQPSAMRVLDLSCIESKMRRPPDVIRTYSHLRYLDLSFTGITMFPDSFCGLHHLKVLGMRGCRFREMPRAMNKLVNLRYLYAEVCTLSLIQGIGQLTNLQCLQEFAVSEMEGHRITELKNLNNLGGHLCISNLEKVSCAKEVSDTELSRKMYIQKLVLKWHPESASSDSCMQVLSQLKPNGNIEDLEIQFYMGALFPEWIADNRHFTILRYIKFSGRKILVKLPPLGQLTHLKILILQGLEQIQCIGEEFYGSYDRVFPSLEVLTFCDMTNWHMWLDIKQKQIIPKIRKIVINNCRRLSDLPKSVLGSLKELELSDCKEIFRKNPKCLEYVNILRRLKVHNCLGITINFPSQLLASIKVLNLQNCEVCFQGRNECIGSLRIFLTIDCHEKWWNWGWRLVLGSPKPP >ORUFI07G17080.1 pep chromosome:OR_W1943:7:17460519:17463156:-1 gene:ORUFI07G17080 transcript:ORUFI07G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPLLAASDDASFAEPNTPSLRNLRFDTVTGHTSITEKWLQYLTSLQELELFRCRIFPSSLSPLSSLKRFTLEHCNQIHSIPPNSLPGNLKELQIMECSFELEAQCQNPTRDAWQPEGHKIELWRKGKMYEWIMNKMEYMERKLIKMQWKKREERLLMNEREELLKSSKAELLYRSDKQWQSGQRMVGGHDWSMKQQMDEQSLIKVDNPSSFSKQPDEDESLIKEFNEYEHEEEDEEESFSDKQWQSGQRMLGGHDWSMKQQMDEESFIKVDNPSSFSKQPDEDESLIKEFNEYEHEEEDEEESLRGEFSKDEYKEEKSWGEELKDEKLLREWLQPSEGEKFPKHQWEVRWWVWRKLEEALDSNKDDPSSLMKEREEWLKEEEHKFRSETVGKDWPNISHVPYIRVNEQIVQNLYI >ORUFI07G17090.1 pep chromosome:OR_W1943:7:17469114:17473434:-1 gene:ORUFI07G17090 transcript:ORUFI07G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLDLLEDKVQILCSEHPLHLHVKPDKELAAAEWLWRLNKATNEAQELLRDMETDQVNTGISNATQASSGDRGHSPSQVIDWVRSDNDNLLRMESTIGRLASLCAEGGSIVDIPNLEKSHLVDLNKQIVSLPSNISRNETKLSPDDPLIIGRDEEITVLLDMVLGDACYATSSLEGREESDKLQISQKGWVVKAIESLDLLDASHVGSEEVTYQNEMWSKVQYTRITNDDTITDLRNPTVIPIVGIGGVGKTALAQLIFNEESVQKHFGGQSAWVYVTDNISEEKIMELIIASFIDQDALTYTKDLHIKLESIIGGRRFLLVLDDAWGDICSIWCNLHRILSKGEPGSVILVTTRLYEVASFMGTTCPVVLNPLQSGDLWKLIKHYAFTDPCNYQYAENLEEIGRNIEAKLHGLPLAAKIIGALLRGNVDEDHWNRLLKSWWWKSSHDILCGNIISSIGISYCSLPGYLRQCFLFLSIFPRNYVFKKHKLSQMWIASGFIQPNNVTSSRRLEDITGEWFDELINWAFLGPSGCKTGFVMHDLVRDFAIALSSNGFRGMNTVNDSSQILHYLSIEMGGVNVQLSDFEIKHFKPLMMFADFGQSCSSDIYHSVHKVEDRSKSLCILDYSSSWWCEPRAYPMALGLDIPYPIRPPNAISKMKHLRYLDLSFTGIEMLPDSVCSLCHLLVLGLEGCEFDELPAKMNCLINLRHLHASSYTIDKINGIGKLTKLQELHEFQIKSQEGHRITELSDMNDLRGSLCILNLERVIDPAEALKANIAEKDYVTALELSWSYALPEPPIICWSPPRYPQEQETLPRDLPKSILGCLTPPRYLQELKLHGYSGFEFPDWVGQLKHVRVVEISSCKNLKVLPPLGQLENLQKLRFHDLPSIKDIDSEFCGTSKVVFRSLEELSFGYMENWESWTYAGSSDFIPNLQKLQILRPCNKLRKLPFESLGSAMKKIIIDYSDPYDDTFSRYLQGLNGLTHLEMDEWKQRKMEYGKRKLIKMRQKKEEEGLVMNKREELLKSSKGEPLYQSDKQWQSGQRMLGGHDWSMKQQMEQQSFIKVDNPSSFSNQPDEEESLRKEFNEDEHDEEESLGEEFSEDEYEEEESWGDELEDEKPLVEWLQPSEGEKWPEHKREVRSWVRRKLEEALDSNKDDPSSLMKEREEWLKEQEHKIRSEALGKDWPNISHVPSICVN >ORUFI07G17100.1 pep chromosome:OR_W1943:7:17485712:17486362:-1 gene:ORUFI07G17100 transcript:ORUFI07G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSPPGDDLGALVSAAIAAAAKKLRAFLDDDYGRYESALLALDVVEAQLRALPLGGGGRGEGGDDDPDVRVARLEWKLRLDGATNELEDLVGEMEAAVEAEKKAARWCWAAPWPWRRRRCRAAAEVVAGWLRSDARNKVRMELAVGRLAGVYVQGGELFDDDDDDVAGDGDGTAASQCRDGGACQSDGMAGGKNKPVVLVVAQPTMPSVRDE >ORUFI07G17110.1 pep chromosome:OR_W1943:7:17493962:17507322:1 gene:ORUFI07G17110 transcript:ORUFI07G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEITPSGSRRSWLSSGAARRDAGDDEENLRWAALEKLPTYDRMRRGILRKAVDGGGDGEGAGSLFKADEVDIANLDPREGRELMERVFKAVEDDNERFLRRFRDRLDQVGIELPKIEVRYQHLDIEADVHVGKRALPTLLNATINTLEGLVSLFISSNKRKLKILNDVNGIIKPSRMTLLLGPPSSGKSTLMRALTGKPDKNLKVSGEITYCGHTFKEFYPERTSAYVSQHDLHNPEMTVRETLDFSRRCLGSGARYDMLSELTRRERNAGIKPDPEIDALMKATVVEGKQNNIVTDLVLKALGLDICADTIVGGAMIRGISGGQKKRVTTGEMLTGPATALFMDEISTGLDSSSTFQIVKYIRQVTHVMNATVMMSLLQPPPETYALFDDIVLIAEGYIVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSRKDQQQYWFLEQDHYRYVSVEEFAQNFKKFHVGQKLQKELQVPYDKSKTHPAALTTKKYGLSSLESLKAVMSREWLLMKRNSFLFIFKAFQLFVLGFITMTLFLRTKMPHEKFSDTSKYVGALTASLITIMFNGFGELQLTIDKLPIFYKQRDFLFFPAWTYGLANIILKVPLSLMESSLWIVLTYYVVGFAPAAGRFFKQFLAYFWTHQMALALFRLLGAILRSMVVANTFGMFVLLLIFLFGGFLVSRKDIKPWWIWGYWTSPMMYSNNALSVNEFLASRWAIPNNDSSISAPTIGKAFLQSKGYFTGEWGYWLSIGAMIGFMIVFNILYLCALTFLRPIGSASTVVSDDDTKSELEAESNQEQMSEVINGTNGTENRRSQRGMVLPFQPLSLSFNHMNYYVDMPAEMKAQGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGDIKLSGYPKKQETFARISGYCEQTDIHSPNLTVYESIVYSAWLRLSSEVDKNTRKVFVEEVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFESFDELLLLKRGGRVIYAGQLGLHSQILVEYFEAIPGVPKITEGYNPATWMLEVSSSLAEARLDIDFAEVYANSALYRSNQELIKQLSVPPPGFQDLSFPTKYSQNFLNQCVANTWKQFQSYWKDPPYNAMRYVMTLLYGLVFGTVFWRRGKNIESVNDLNNLLGATYAAVFFLGAANLLTLLPVVSVERTVFYREKAAGMYSPLSYAFAQGFVEFCYSAVQGVLYTILIYSMIGYEWKADKFFYFLFFMIAAFAYFTLFSMMLVACTASEMLAAVLVSFVLSSWNNFAGFIIPRPLIPVWWRWFYWANPVSWTIYGVIASQFADSDRVVTVPGQSTTMVVKDFLEKNMGFKHDFLGYVVLAHFGYVIIFFFLFGYGIKCLNFQKR >ORUFI07G17120.1 pep chromosome:OR_W1943:7:17509589:17514839:1 gene:ORUFI07G17120 transcript:ORUFI07G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMGSAQLSALAVCVCIFGCLAMADGQNVTGGDGSRPAELRIGALFTFDSVIGRAVMPAIELAVADVNADPGVLPGTKLSVITQDTNCSGFLGTMEALELLAKDVVAVLGPQSSSIAHVISHAVNELHVPLVSFAASDPTLSSLEYPYFVRATTSDYFQMSAIASIINQYRWREVIAIYVDDDYGRGGITALGDALAKKKSKIAYKAKLPPGASRTTIEDMLMHVNEMQSRVYVVHVNPDSGLAVFAAAKSLGMMSTGYAWIATDWLSAVLDSSDHISTDRMELTQGVIMLRQHVSDSGIQHSLVSRWNNLTRNGGHSSFSSYSMRTYDSVWLVARAVEDFLSEGNAVSFSADPNLQDIKGSNLQLDSLRSLNNGERLLEKVWHTNFTGVSGLVQFTAERDLIHPAFDILNIGGTGFRTIGYWSNISDLSVVAPEKLHSEPLDSSTNNIELHGVIWPGQTSEKPRGWVFPYHGKPLRIGVPLRTSYKEFVMPDKGPDGVKGFSVDVFKAAVGLLPYPVSFDFILFGDGLKNPSYNDLIEKVSDNHFDAAIGDIAIVTNRTRLVDFTQPYTESGLIILAPAREIESNAWAFLKPFTFQMWSVLGVLFLFVGAVVWVLEHRTNTEFRGPPRQQIMTVCWFSFSTMFFAHRENTVSALGRFVLLVWLFVVLIINSSYTASLTSLLTVQELTSGIQGLDSLISSPSSIGYQVGSFARSYLVQELNIAETRLVPLNSPSDYARALELGSGNGGVDAIIDELPYVEIFLSKYCKFKTVGQVFTKSGWGFAFPRDSPLAEDLSTAILTLSENGNLQRIHDEWLTGTECSADDNEVGSNRLSLSSFWGLYLICGFSCVLALLIFFLRICCQYSKYNNQVGLDSPEPEIVTRSARLTTIKSIISFVDKREEEVKNALKKKPNDSLQPRTGSTGEQSTLPP >ORUFI07G17120.2 pep chromosome:OR_W1943:7:17509559:17514839:1 gene:ORUFI07G17120 transcript:ORUFI07G17120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMGSAQLSALAVCVCIFGCLAMADGQNVTGGDGSRPAELRIGALFTFDSVIGRAVMPAIELAVADVNADPGVLPGTKLSVITQDTNCSGFLGTMEALELLAKDVVAVLGPQSSSIAHVISHAVNELHVPLVSFAASDPTLSSLEYPYFVRATTSDYFQMSAIASIINQYRWREVIAIYVDDDYGRGGITALGDALAKKKSKIAYKAKLPPGASRTTIEDMLMHVNEMQSRVYVVHVNPDSGLAVFAAAKSLGMMSTGYAWIATDWLSAVLDSSDHISTDRMELTQGVIMLRQHVSDSGIQHSLVSRWNNLTRNGGHSSFSSYSMRTYDSVWLVARAVEDFLSEGNAVSFSADPNLQDIKGSNLQLDSLRSLNNGERLLEKVWHTNFTGVSGLVQFTAERDLIHPAFDILNIGGTGFRTIGYWSNISDLSVVAPEKLHSEPLDSSTNNIELHGVIWPGQTSEKPRGWVFPYHGKPLRIGVPLRTSYKEFVMPDKGPDGVKGFSVDVFKAAVGLLPYPVSFDFILFGDGLKNPSYNDLIEKVSDNHFDAAIGDIAIVTNRTRLVDFTQPYTESGLIILAPAREIESNAWAFLKPFTFQMWSVLGVLFLFVGAVVWVLEHRTNTEFRGPPRQQIMTVCWFSFSTMFFAHRENTVSALGRFVLLVWLFVVLIINSSYTASLTSLLTVQELTSGIQGLDSLISSPSSIGYQVGSFARSYLVQELNIAETRLVPLNSPSDYARALELGSGNGGVDAIIDELPYVEIFLSKYCKFKTVGQVFTKSGWGFAFPRDSPLAEDLSTAILTLSENGNLQRIHDEWLTGTECSADDNEVGSNRLSLSSFWGLYLICGFSCVLALLIFFLRICCQYSKYNNQVGLDSPEPEIVTRSARLTTIKSIISFVDKREEEVKNALKKKPNDSLQPRTGSTGEQSTLPP >ORUFI07G17130.1 pep chromosome:OR_W1943:7:17534711:17537638:1 gene:ORUFI07G17130 transcript:ORUFI07G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVGDDGAEDGVGRERMTPTRLGFHQETSPSPSTCPHQSRSTASSGCGVPMTHVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >ORUFI07G17130.2 pep chromosome:OR_W1943:7:17536247:17537638:1 gene:ORUFI07G17130 transcript:ORUFI07G17130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDDGMKSLRPDILVMTNISIFPEDPIEVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >ORUFI07G17130.3 pep chromosome:OR_W1943:7:17534711:17537638:1 gene:ORUFI07G17130 transcript:ORUFI07G17130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVGDDGAEDGVGRERMTPTRLGFHQETSPSPSTCPHQSRSTASSGCGVPMTHVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >ORUFI07G17130.4 pep chromosome:OR_W1943:7:17536247:17537638:1 gene:ORUFI07G17130 transcript:ORUFI07G17130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDDGMKSLRPDILVMTNISIFPEDPIEVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >ORUFI07G17140.1 pep chromosome:OR_W1943:7:17540755:17544460:1 gene:ORUFI07G17140 transcript:ORUFI07G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTATYTATNTAAAMEVTKAVTQLCAQGGGGGGRRRGRGRPAVLRLDLRWGRLLRLAVISRVVRLVWDQLLACSSCAGGGGGRYRRLGPPPQGVAAGAVLSPLPRDADDDAAAADRDAADVEDVVSLKVSLLGDCQIGKTSFMVKYVGDDEEQNGLQMTGLNLMDKTLAVRGARIAFSIWDVAGDSQFLDHVPIACKDAVAILYMFDLTSRCTLTNVIDWYERARKWNKTAIPILIGTKFDDFAQLPLEMQWTIVNEARAYARAMKATLFFSSSTHNINVNKIFKFITAKLFNLPWTVERNLTVGEPIIDF >ORUFI07G17150.1 pep chromosome:OR_W1943:7:17551518:17553230:1 gene:ORUFI07G17150 transcript:ORUFI07G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSLLPVRLSPPHDGKIMRVCVLVPVHGTLLWSICRGIAFFIHVDLLVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >ORUFI07G17160.1 pep chromosome:OR_W1943:7:17558139:17560031:1 gene:ORUFI07G17160 transcript:ORUFI07G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKPW >ORUFI07G17170.1 pep chromosome:OR_W1943:7:17561260:17563462:-1 gene:ORUFI07G17170 transcript:ORUFI07G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAASVKLSTAGTATAPKMALFKPLHLPPLFAAAAAAAGPRPLSLSARPLYRQQDPLFLASRVASPAPPPPSATADGARPVEAAPAGAAPEEAARRAKIGVYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLAAGSAIMLASWATRIAEAPATDLDFWKALSPVAIAHTIGHVAATVSMAKVAVSFTHIIKSGEPAFSVLVSRFFLGEHFPAPVYFSLLPIIGGCALAAITELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLVILLPFAFAMEGPKVWAAGWQKAVAEIGPNFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVASIIIFHTPVQPINALGAAIAILGTFIYSQV >ORUFI07G17180.1 pep chromosome:OR_W1943:7:17575325:17576884:1 gene:ORUFI07G17180 transcript:ORUFI07G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVSGSSVMRSAIISCSSTSEDQQAAAAQAQPEESTWTDYFVDFMMSEEEKKRQQDHGASSYCSHGGDGVYGDCSDQKELEEEEEEEEEDSMISDAASCAPAVAALPDRYKELKKLKKKVFKALDHDDSLEDTASSPVNSPKVSALTQLELSPKRRCNTRDLTKEVGIGDDHGREGMDYADAMVEGVRFVDQSQKSVTPCGELKDKGLCLFPLSMLLHYHG >ORUFI07G17190.1 pep chromosome:OR_W1943:7:17588894:17595144:1 gene:ORUFI07G17190 transcript:ORUFI07G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSKLKSVDFYRKIPRDLTEASLSGAGLSIVAALAMVFLFGMELSNYLAVNTSTSVIVDRSSDGEFLRIDFNLSFPALSCEFASVDVSDVLGTNRLNITKTVRKYSIDRNLVPTGSEFHPGPIPTVSKHGDDVEENHDDGSVPLSSRNFDSYSHQYPVLVVNFYAPWCYWSNRLKPSWEKTAKIMRERYDPEMDGRIILAKVDCTEEIDLCRRHHIQGYPSIRIFRKGSDLKENQGHHDHESYYGDRDTESLVAAMETYVANIPKDAHVLALEDKSNKTVDPAKRPAPLTSGCRIEGFVRVKKVPGSVVISARSGSHSFDPSQINVSHYVTQFSFGKRLSAKMFNELKRLTPYVGGHHDRLAGQSYIVKHGDVNANVTIEHYLQIVKTELVTLRSSKELKLVEEYEYTAHSSLVHSFYVPVVKFHFEPSPMQVLVTELPKSFSHFITNVCAIIGGVFTVAGILDSIFHNTLRLVKKVELGKNI >ORUFI07G17200.1 pep chromosome:OR_W1943:7:17596100:17596741:1 gene:ORUFI07G17200 transcript:ORUFI07G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGKKTKTKPHRRACCLLLAAVAVLGALVLALYLVYRPRPPRVVATPVDVTIELFSLVPPKLKAAVGVHVVVTNPSNSAYRYGESLASVTYHGERVGASVVPRGEVEARSTRLIEPATAVDVVRVAESPHFAHDAAAGVLPFVAVTTVEGKALVLRSFEVSVSVEVVCFVQMYVFHGESTSRCVSTVRTATETVSSEPSGARGARNSVPSYD >ORUFI07G17210.1 pep chromosome:OR_W1943:7:17598588:17599160:1 gene:ORUFI07G17210 transcript:ORUFI07G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRKPALICCGAVLAVVVVLAAVFTALYFTVLRPRPPRVTATVVGTRVSAFALLPSPALNLTFDVAVAAYNPNRAAFEYGEVVTVVRYHGDAVGEAVVPRGEVGARASAEVRAAVEVDAVGVVSSPYFPLEGIAGALPFETATTVAGKAVVLGVLKIRASSVVTCGVTVYPLRKETTSPQCTSTVHVG >ORUFI07G17220.1 pep chromosome:OR_W1943:7:17610978:17612775:-1 gene:ORUFI07G17220 transcript:ORUFI07G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGKVYATVVLIRLIYAGMHILTKASFNEGASTTVFVFYRHAVAAIFLLPFAYFLEIRKKQAPPLTFRLSAKIFVHGFYGMAGTINLYSIGLNYASATSSSAIFNIVPVVAFILAVMFRMETLNLKSTHGMAKASGILLCIGGVIVLALYQGPEFKSLNHHQLLHHASAAAAHSKKNWALGIFLMTTSVVIWSFWTVKQGPLLLEYPSKLMNTTLQCVFASVQSLVIALVLERDFSRWILPGVVSLVGVLFTGIVVAAISYYLQIWVIEKKGPVFLSMSMPLSLVFTMAIASFLLGEDVSLGSIIGSLLLVAGLYNVLWGKSREEHGGGGGGVVGRPGAGAVVAGGGGEKEDAVAPSAADVVMAKV >ORUFI07G17230.1 pep chromosome:OR_W1943:7:17626729:17628129:1 gene:ORUFI07G17230 transcript:ORUFI07G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTLGTGLDTPYQYDIYVGMWHQARSSRKKKEMTTTRWSDPMEEIEVAKMEGLKKVTGKLKGGATSDNSSSWQKRGGTDEKKEKEGETVAQLAGAEWDRHTCSRGQCTIPLQRAGKQWRLSATTYLREIFNSW >ORUFI07G17240.1 pep chromosome:OR_W1943:7:17629304:17632218:-1 gene:ORUFI07G17240 transcript:ORUFI07G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGAKAYAAVVLIRIMYSGMHVMSKIALDQGMNPLVFLFYRHTTAALVLIPITFVLERRKAKPVTLKIAGKMFVHALYGVTACGDLFNLGLNYTSAASSSALYNVQPVVTFVLAVVFGMESMKLKKFHGNVKAAGILFCIAGVTILAFYEGPMFKSFNHHHLFQQGSSSSTSSSGDTHSKKQWAFGIFLMTLSNILAGLWTVLQGPLIEDTSKLMNTTLQICCASVQAFVVAVAAERDFSKWKLGWNVELGAVIYSGVVVTALSYYMQMWTIAKRGPVFLAMSMPLTFIFTIIMSSFILGDAVSLGSIFAGILLIGGLYNVLWGKNIEEKDEMNKIGASKTGLELELHDSEAQVPDDDAAKV >ORUFI07G17250.1 pep chromosome:OR_W1943:7:17643625:17651188:1 gene:ORUFI07G17250 transcript:ORUFI07G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWGIGSSGTPADSYYEVRSDCTDDVPKSKFKIKAGKTLSVRKWQAAFSTDGCLDIASVLSRIQKGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIRQIRRLQYARWKEDCREMDSHVGSGKVITAPLITEDGRPIKDPLVLLEATSSENTSDGTSTSSTNGNEIDESASRITDKLIIDWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLKDEADAFWCFEKLMRRLRGNFKCTDQSVGVANQLQYLASIIQVLDPKLHDHLEILGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEDASAHKSKVSKSKLRGVRHFGKWDKDKDKENSKNGSEDTDGPVPISVFMVASVLKEKREKLLQEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLKKVQTKKP >ORUFI07G17260.1 pep chromosome:OR_W1943:7:17652737:17654115:-1 gene:ORUFI07G17260 transcript:ORUFI07G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSHVTVHDIRRAQRADTTAAVLAIGTANPVTCISQADYPDYYFRITNTEHLTDLKRKLNNLCKMRQASGADKRFFHHNEEMIAAHPEFLDRATPSLDARLDIAAAAGPELAAQAAERAIVRWGRPAADITHLVVATNAGAQAPGADHRLASLLGLRPTVRRTMLHLSGCSAGAAALRLAKDLAENSRGARVLVACVELNVVAFHGLKEDYPQTLTCQGLFGDGAGAVIVGADAVRPVERPLFEMVAVSQAVIPGTEHALNMRLTEHGLDGHVSIKDLIPLAAANADELLSDAFRQLGLAGVEWNDLFWVVHPGAPSILDQIESALRLEPGKLAASRKVLREYGNMLGSTLIFVLDEQRRRMEEEEGGRSAEWGVMMGFGPGFTIETMVLHLPECPKEQ >ORUFI07G17270.1 pep chromosome:OR_W1943:7:17681806:17683142:-1 gene:ORUFI07G17270 transcript:ORUFI07G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVAPVTVHEHRRAERADGPATVLAIGTANPANCVTQLDYADFYCRVTNSEHLAGIKDKLDTLCVSASGSEKRFFHHTEEMINAHPEFLDRAKPSLDARLEIAAAAVPELAATAAARAIVQWGRPATDITHLIVTTNAGAHAPGADVRFAALLGLRPTVRRTMIHLNGCSAGAAALRLAKDLAENSRGARVLVSCVELTVLTFRGPDCPHTVNCQALFGDGAGAVIVGADAVRPVEQPMFEMVSASQTLIPGTEHVITMQLTEHGLDGNIDAKELAPLAANNVKKCLSDALTPLGLDGGEWNDLFWAVHPGSPLILDHIESALQLKPGKLAATRRVLREYGNMLGSTLIFVLDEQRRRMEEEGDGAEWGVTLGFGPGFTIETMVLHAPDHDSRKKN >ORUFI07G17280.1 pep chromosome:OR_W1943:7:17695369:17695584:-1 gene:ORUFI07G17280 transcript:ORUFI07G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGMAARAPTLLLFWPLWIGAVRQLKRLLSSSSGPIPIHPAPVPPYRRIPTSATASGSGRARSAALNRQE >ORUFI07G17290.1 pep chromosome:OR_W1943:7:17700798:17702472:1 gene:ORUFI07G17290 transcript:ORUFI07G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKKARVATNGRAASSNVALDLYPFIRKYNDGCVVRFLTSTFVPASEDGGAGAARGVATRDVAIDRDNGVSARLFLPSGAAAAAGRRRLPIVLYFHGGCFCTESAFCRTYHRYAASLASRTGALVVSVEYRLAPEHPIPAAYDDAWAAFRWVESLSDPWLAQYGDLRRTFVAGDSAGGNIAYHTVARASRENDDDDIQGLIMVQPFFWGAERLPSETVWDDGVSAFPPYKVDELWPFVTAGQAGNDDHRIDPADHEITSLSCRRVLMAVAGMDTLRDRGCRLAARMRGGADVTVVESEGEDHGSVIKDNYELWSCTYKY >ORUFI07G17300.1 pep chromosome:OR_W1943:7:17711176:17712988:-1 gene:ORUFI07G17300 transcript:ORUFI07G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDDVLHRLLARMSFRRSPGETEGGRGRGDRCDARRGEGGGWGEEIAGDVVWFGVATVNGEFAGVVEREENAGWARQRRGVARPEILLQSYCLVPHSVNTSSVLNFGALANITEPGVATTQLVAGDVDTYYTVVLDSVKVGNKTVASAASSRIIVDSGTMLTFLDPALMGPLIDELSRRITLPPMQSPDGLLQLCYEVAGREVEAGERITNHIDKHLYSTVESCSDNQSTYVERIVRYRNAKISFVMNRTISS >ORUFI07G17310.1 pep chromosome:OR_W1943:7:17714850:17716134:-1 gene:ORUFI07G17310 transcript:ORUFI07G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTPAAVPVTVDELRRAQRADGTAAVLAIGTANPANCVTQADYADLYCRVTNSEHVAGFKDKLDALCVSASGSEKRFFHHTEEMINAHPEFLDRATPSLDARLEIAAAAVPELAATAAARAIVQWGRPATDITHLVVTTNAGAHAPGADVRLAALLGLRPTVRRTMIHLNGCSAGAAALRLAKDLAENSRGARVLVACVELTVLTFRGPYSPHTVTCQALFGDGAGAVIVGADAARPVEHPLFEMVSASQTLIPGTEHVITMQLTEHGLDGDIDTKELVPLAANNVKQCLSDALTPLGLDGGEWNDLFWAVHPGSPLILDHIESALQLKQGKLAASRKVLRENGNMLGSTLIFVLEEQRRRMEEEGDGAEWGVMLGFGPGFTIETMVLHAPDDSRKKN >ORUFI07G17320.1 pep chromosome:OR_W1943:7:17726010:17726735:1 gene:ORUFI07G17320 transcript:ORUFI07G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDDQIDPSSFRLALSLMRSSPPVSTFSSALSPPKSPRPMADDIQCYCFETHRRWRCSCYENTTSRLISMLAAAARPSWRSGSISGLVVKGLCGHGDHLGLRRAEQREQIERRWDNEGRRPEKKEMDGKRDGSGWKVEGKEDDRSRESHV >ORUFI07G17330.1 pep chromosome:OR_W1943:7:17733521:17735138:1 gene:ORUFI07G17330 transcript:ORUFI07G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQEKMIREAMAAMNGQTAADVAVNLYPFIRKYTDGRVERLLTSSYVPASEDAGRGRGGVGVATRDVVVDRDNGVSARLFLPSSAATGGGGGGRRLPVVLYFHGGSFCTESAFCRTYHRYASSLASRAGALVVSVEYRLAPEHPIPAAYDDAWAAFRWVESLSDPWLAEYGDLRRTFVAGDSAGGNIAYHTVARAGRENVGGGIQGLIMVHPFFWGPERLPCETVWDGASVFPAFGVDWLWPFVTAGQADNDDPRIDPADDELASLPCRRVLMAVAGRDTLRDRGRRLASRMRGDVTVVESEGEDHGFHLYSPLRATSKRLMQSIVQFINQPPSPCPPPPAPAPSPAMRWPATILPEFDEWSSTYSDDTANSSQILLGMPARAYKAIFVDRMDRKAAKTGRLSSNPTVNASLSIGPSGKASKTSCYGMSFGRTRAYNFRGVAAAGSGQCQPPFRGVTI >ORUFI07G17340.1 pep chromosome:OR_W1943:7:17745622:17746005:1 gene:ORUFI07G17340 transcript:ORUFI07G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVRWCRCSKEATPIYADLATVRRWQPCGRGHPERWPVTGRPGGVEQRWRGGRWQGRHRICGHRWSSRPGAAAASSLLPSSSIAVAGQA >ORUFI07G17350.1 pep chromosome:OR_W1943:7:17766619:17770602:1 gene:ORUFI07G17350 transcript:ORUFI07G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGIKAYAAIVLIRLMYSGMHVMSKVALDQGMSPFVFVFYRHGSAALVLIPAVLILERPKAKQVTLKIAAKIVTACGFLFNVGLNYASATSSSALYNVQPVVTFILAVVFGIESMKLKKLHGNVKAAGILFCVTGVTVLAFYQGPMLGSFNHHHLFQQANSSDDPEGNAHSKTQWVLGIFLITLSNVLAGLWTVLLGPLIEETSKLMNTALQISWAAVQAFVVAVAVERDFNKWKLGWDVELATGVVVTALSYYMQMWTITKRGPVFLAMSMPLTFIFTIIISSFILGDPTSLGSIFAGTLLIGGLYNVLWGKNIEEQDEVNDIVADKPEFEMQGKEAQMPGDAGTKV >ORUFI07G17360.1 pep chromosome:OR_W1943:7:17787351:17790223:1 gene:ORUFI07G17360 transcript:ORUFI07G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGSIKAYAAVVLIRIMYSVMQVVSKVALDQGMSPLVFIFYRHTAAALVLIPIAFVLERGKAKRVTLKNAGKMFIHALYGVTGCGDFFNLGLNYASATSSSALYNVQPVVGVYETEEIPWTCLWNVFQGPLIEDTSKLMNTTIQISFASVQAFVVAVAAERDFSKWKLGWNFGLAAIIYNGVIVTALSYYMQIWTIAKRGPVFLAMSMPLTLIFTIIISSFILGEAVSLGSIVAGILLIGGLFNVLWGKNLEEHDELNKIGPAIPDLEMQDKEAQVPNDRATN >ORUFI07G17370.1 pep chromosome:OR_W1943:7:17793566:17794439:-1 gene:ORUFI07G17370 transcript:ORUFI07G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVLDRILSSCLTPGATTFRSLIATAHTPSHLKIITWNMVMKMPMTSAAGTSIHQSLSDGARWPRPSMMASLHTVAKSAAGVPTVAQGSGDSELMDTSYLILQFEDEFPTGRQIERSKVNLFRYMNAWMIGLGHIQLTLWSMLRCWSHLWRKSTPKVPQLVIELQNRPPTTKPDIWHPQLKKPFT >ORUFI07G17380.1 pep chromosome:OR_W1943:7:17799977:17803394:-1 gene:ORUFI07G17380 transcript:ORUFI07G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQKNAVVVADDVAIDLHPFIRKYNDGRVERILRSSFVPASEAPAASRGGVAARDVIIDERNGVSARLFLPSGADGGRRLLPVVVYFHGGCFCTESAFGRTYHRYAASLASRAGALVVSVEYRLAPEHPVPAAHDDAWAALRWAASLSDPWLADHADPGRTFVAGDSAGGHIAYRTASEGEDHGFHLYSPLRATSRRLMESIVQFINQPSHSPAPLRWPATILSELHDTTDSPQILLPMPTREYKAVFIDRLEKRTKTGASSANSTAANASTAANASLTIGRGKLATKKSYGLFFGRTRAHIYGGLASAGSLQCPRPFCGAPLSDTPIVSFNTSGCYSTRPVRAAATGRRRLPIVVYFHGGSFCTESAFCRTYHRYATSLASRTGALVVSVEYRLAPEHPIPAAYDEAWAALQCRRLGVPPVQYKVDTLWPFVTLVESEGEDHGFHLYSPLRGPRRQAGRSWRASCCRSCTSTSTLRCTQAMAIRRCQGAQLICLRPGRILLSVPSRPYLAAFRDEPDKVIARSGKRCMEINNALIVGQEKASRRSFGLISARAKPDNWRASKNPLLSASVRLRITMAKNFL >ORUFI07G17390.1 pep chromosome:OR_W1943:7:17805852:17807234:-1 gene:ORUFI07G17390 transcript:ORUFI07G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPQPASICLVAVRVAEVAPCKDEEKNNFASRVSEIKTYEVHKTWLQPRKKKEKKTWLQSAGPVDPSPPTVAGPRLWLGDCDGGGSGTIVKQEPEPRPLSYLRLDSHARAAAVRRMASAE >ORUFI07G17400.1 pep chromosome:OR_W1943:7:17818957:17825295:1 gene:ORUFI07G17400 transcript:ORUFI07G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAETSPPSTPSTASCPTPRPDAAAAAPSMSPSLLRAARSGDERRFVKALLADPAAPDLDAVATAGGNTLLHVAAWGGHPALASLLLRRAPGLLAARNAALDTPLHLAARAGAHKVVALLVAAFSSSSSSSAAADASSPSLRALTRATNRRGETPLHDAVRGGHEAAARALTAADPGLAGLCGGAGESPIYMAAAAGSLGMVRLLTKTYRNDEEEEEELPVLCSCTGPGGRTVLHAAVLTSNEMTQGLLQWNPTLVKEVDDSGSTPLHYVASVGNIPALKLLLGYDTSPAYVPDSNGLFPVHIAAKMGYGQLIYELSRYFPDCDEMLDSKGRNFLHIAVEHKKWKVVWHFCGTQELERMLNVMDYEGNTALHLAVKNADQMIVSLLMANKAVLPNIVNNQGLTALDLAVLATDKGISYTLNPQVIILRCLAWTGAVLSPRRLDHFIDEFNIGKASGNELKKFTNISQNLVVGSVLISTVTFAAVFTLPGGYISDGHPHAGAPILWHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPLLRALYMFFSVISMEQATRSMVAAFALGAYVVLSPVSERIALVLYLLALKLKSITVGLPPLLQTVPVTVNARVLPQPRRWQEMLQRSFSSSPIKEKNMPKYDSISLSSSAPFSNNPSAWEGAIHKVALGDGEVMDAS >ORUFI07G17410.1 pep chromosome:OR_W1943:7:17828281:17829289:-1 gene:ORUFI07G17410 transcript:ORUFI07G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRSNPLAPAWWKSFGFRCRNVIKDDSWVSIDMDASDQGSSDSGRDDEIFGATYEYEPPARLPRHPSAPSYVVAFRGTIPTNLGDLIHDIKIVYNTFSNSNRCDITHDEVEGLLQGGANSCTMWLAGHSLGASQALDVGRSMAEKGFNLPTFLFNPPQVSPAPAIYLLRPNEKAKMHLYATSSLLKVGLSKIVKSHEEHMEDLFKQLYVHDSDPICQGYVDYFEQRQLVQERFPSIGMSAMKLSYRDMFFSALNKDKERSHLLPSALLWENSRMDNDVENHPSKCTLLRKANRLKKRVLKAHSLEQWWKPDNELSLTKTQYNCPSA >ORUFI07G17420.1 pep chromosome:OR_W1943:7:17845044:17850606:1 gene:ORUFI07G17420 transcript:ORUFI07G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDSNRRRVHTNALAPAWWESFGFRLLRVIKDDSNNNDQFIIGAVQNLVATIDKGTGSGCGGHGDGGSCIVWLMGHSLGASLALDVGRAMMAEKDYNLPTFLFNPPQVSLAPAIDVLLPTKKARRSIHAASSFLKARMDKVLKPHKERMEKLFEQLSPWAPELYVHERDLICKGYISYFEQREQVKERFRGIGKSAMALSYRDMLFAAFGKEKERPHLLPTARL >ORUFI07G17430.1 pep chromosome:OR_W1943:7:17853044:17853762:1 gene:ORUFI07G17430 transcript:ORUFI07G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHSLSLVVSLERVTGQQNRVGEDLGARDGEEGGGRRGFLRDLLDLYPRSGRR >ORUFI07G17440.1 pep chromosome:OR_W1943:7:17854972:17856557:1 gene:ORUFI07G17440 transcript:ORUFI07G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRDFDHADCFDNSGPVHMMAKNGAGSPRTVLDWGKEEHRRCVAACLVKGVYIIENDSTRRRVHTNALAPPWWENFGFNLLDVIRDDSDHDDQFIIGAIYEHVPPLGEPAHPLSPHYVVAFRGTMISHPKALIDLYLDAKIMVNTLKESKRSRLANTAVKKLVATIDKGMGGACGHGTAGSCIVWLAGHSLGASLALDVGRAMMVEQGYNLPTFLFNPPQVSPTPAIDVLLPIEKAQKAKRDIYAVSYFVKAGLGKVLNPHKERMENLFKRLSPWAPELYVHERDVICKGYIDYFEQRQ >ORUFI07G17450.1 pep chromosome:OR_W1943:7:17856594:17856782:1 gene:ORUFI07G17450 transcript:ORUFI07G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSYRDMFFSMFGMEKEQPHLLPSARLWKSTSKDEDAHALQQWWKPMDEQSLSVRWYSYPL >ORUFI07G17460.1 pep chromosome:OR_W1943:7:17868335:17870062:-1 gene:ORUFI07G17460 transcript:ORUFI07G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGNFFLSLAIHINFGNPATEPIRYPDDRIWEFDMAHRSNFLVDVVPGTVFVASSELPPQKVMQIAVVGTLGAGRERERESDRAGRIDVVTQDGTVEY >ORUFI07G17470.1 pep chromosome:OR_W1943:7:17870076:17876229:-1 gene:ORUFI07G17470 transcript:ORUFI07G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVVVVGSLRRLRRRCSLLFSGGRPSPGCPHRRAFSTAGYGKDVDEVNLKFAEAREEIEAACLLLQLLLLEFTWARRGGAASSSTTYEYKVVTREMVALGQSRASLSGSPAASPTRATTGHPFISTLDLRPLNASL >ORUFI07G17480.1 pep chromosome:OR_W1943:7:17877303:17880740:1 gene:ORUFI07G17480 transcript:ORUFI07G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTNSFESERDIEMGYQNSKNKSDYGLEDFFQEVQEIETLLDKMSNINHKLQEANEESKSVTKASEMKAINKRMEKDINEVGKITRTIKVKLEEMDRNNLENRKKQGCEKGTGVDRSRMSMTIALKNKLKERMKNFQNLRQIIQDEYRQGVARMVFTVTGEQPSDQVIDHLIETGSSEQIFEKAIQGIGRGQVEYRVQLHQYIICSFHVIKAGNSYNSAQMFHNCTYLQIIATVKEIHERHDVVMEIEKKLLELQQIFADMATLVDAQGETLNDIENQVQNAVDHIQRGTGELRTAKRLQKKSRKCMFIAIIILLVIAAIVVLSILKPWAK >ORUFI07G17480.2 pep chromosome:OR_W1943:7:17877303:17880740:1 gene:ORUFI07G17480 transcript:ORUFI07G17480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTNSFESERDIEMGYQNSKNKSDYGLEDFFQEVQEIETLLDKMSNINHKLQEANEESKSVTKASEMKAINKRMEKDINEVGKITRTIKVKLEEMDRNNLENRKKQGCEKGTGVDRSRMSMTIALKNKLKERMKNFQNLRQIIQDEYRQGVARMVFTVTGEQPSDQVIDHLIETGSSEQIFEKAIQGIGRGQIFADMATLVDAQGETLNDIENQVQNAVDHIQRGTGELRTAKRLQKKSRKCMFIAIIILLVIAAIVVLSILKPWAK >ORUFI07G17480.3 pep chromosome:OR_W1943:7:17877303:17880740:1 gene:ORUFI07G17480 transcript:ORUFI07G17480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTNSFESERDIEMGYQNSKNKSDYGLEDFFQEVQEIETLLDKMSNINHKLQEANEESKSVTKASEMKAINKRMEKDINEVGKITRTIKVKLEEMDRNNLENRKKQGCEKGTGVDRSRMSMTIALKNKLKERMKNFQMSTDKALRGWFSQIFADMATLVDAQGETLNDIENQVQNAVDHIQRGTGELRTAKRLQKKSRKCMFIAIIILLVIAAIVVLSILKPWAK >ORUFI07G17490.1 pep chromosome:OR_W1943:7:17880703:17882639:-1 gene:ORUFI07G17490 transcript:ORUFI07G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPFSVPSLIMEEEGRFEAEVAEVEAWWGTDRFRLTKRPYTARDVALLRGTLRQSYASGDMAKKLWRTLRAHQANGTASRTFGALDPVQVAMMAKHLDTVYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQLYHDRKQREARMSMSRAERAHEPYVDYLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHLEDQSSVTKKCGHMAGKVLVAVSEHVNRLVAARLQFDIMGVETVLVARTDAVAATLIQTNVDARDHQFILGATNPRLRNRSLAAVLSDAMSAGKNGRELQAIEDEWLATAQLKTFSDCVRDAIASLNATDADKQRKLQEWSAATSHDKCVPLEQARDIAAGLGVTSLFWDWDLPRTREGFYRFRGSVAAAVVRGRAFAPHADVLWMETSSPNIAECTAFAEGVRAASPGAMLAYNLSPSFNWDASGMTDADMSEFIPRVARLGYVWQFITLAGFHADALVTDTFARDFARRGMLAYVERIQREERSNGVETLQHQKWSGANFYDRVLKTVQGVTEEQFKGSWTGPGSESSSHVLAKSRM >ORUFI07G17500.1 pep chromosome:OR_W1943:7:17889540:17890253:1 gene:ORUFI07G17500 transcript:ORUFI07G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVRVSLRAGGGGGACSQSGYAAAAPLYTRELPGESMWTIAEALGSGAMRVARVQKQSSTAHGMQLRPYMQDN >ORUFI07G17510.1 pep chromosome:OR_W1943:7:17893397:17894410:-1 gene:ORUFI07G17510 transcript:ORUFI07G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQSQDIPDGSAFGGARNDWVHSGEKPCGTRPKLAWPCICALARCQAHPESTCRPRHKIYRVQSVVRMGTGKRVKQ >ORUFI07G17520.1 pep chromosome:OR_W1943:7:17907660:17910063:1 gene:ORUFI07G17520 transcript:ORUFI07G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTWTALPDICALLFFLYPRQPTLYPLTHTLLIPEQEAQLLLLSHGSHGHHRVQPPQDLLRRRAPPRRRPQPHRLRRAAHRRRHLQLHLVVVVHSPLRPQRHQVQPHQGVHRVPRDDPAVHDRHDHLRRHRRRRRRRRLRGALLRVRALQGPLRQRRRHRAVGVPRRRRVARRAAVLRHGGAQAGAPVPRRARRRVRRAGGLRRHQARRALHLHRHEPPPGAPQREAVQRRRRRGPHRQGGPRRRRGHQLGAGVDEPRHAVVHGPQRDGVQGGGELLRPRRAVRRHGRQAAAGHRHDRRRARHARPRHEHRRGRDRPPHPRGRPRHDRHRHGGRRDRRRPENGPDVRSHDDLRPEGGAPGAEGARPAERHRRHDQEGGGGGGAPGADPGVEGRRRDRGRLSE >ORUFI07G17530.1 pep chromosome:OR_W1943:7:17912424:17915421:1 gene:ORUFI07G17530 transcript:ORUFI07G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGRRPWVGGLTAAMIFAVAVCGFCFSGASAAAAAPTFGDNFEITGAEDHVKTSADGQTWYLYLDNKTGVGFQTKERYLFGWFSMNLKLAGNDSAGVVTAYYMCSDVDAAPQRDELDFEFLGNRTGEPYIIQTNVYRSGVGGREMRHSLWFDPTADFHSYSILWNPKQIVFFVDKVPIREYRNSDKPNTFFPIMKPMYVFSSIWNADDWATRGGLEKTDWTKAPFISSYRDFTADACSWGTAAASPPSCAASTGNSWWDQPPAWALDAGQREDSAWVARNLVIYDYCDDRKRFPSPPEECLLRTTSS >ORUFI07G17540.1 pep chromosome:OR_W1943:7:17916736:17918539:-1 gene:ORUFI07G17540 transcript:ORUFI07G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDIQIPTAFDPFAEANAGDSGAAAGSKDYVHVRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLVQAGIVKKEHIKIHGF >ORUFI07G17550.1 pep chromosome:OR_W1943:7:17923043:17932868:-1 gene:ORUFI07G17550 transcript:ORUFI07G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLDVSLVEVDKWAKAHIDLVVGLQSRFARLELSNGLRLRLLRFSFPSPSSSPLRWVGFQCGGLGFRVWSQSQTAPMTSSSRVRVGNLVPLNEGNASSSNGSVSSIPIYLGANVVGRNHLVVVDKRVSRKHLSLHASADGSIEAVVEGPNPIIVRSEGQRRKVCAQERVKIAHDDVLELIPGEYFVKYLNVGDNHKSSTSMGSSDFKKGKRLCEDDTVVIKRNRQIMEDEALARSLQKSFAEESSTISGLGCDQMLSSLDSAGFSERNNERIHSVDYLKDVLSLTFRLMRVQGLPSWTNTSSVTIQDVIQGEVLLAVLSNYMVDMEWLLTACPSLRKVRHVLVIHGEDGASVELLKKVKPANWILHKPPLPISFGTHHSKAMLLVYPQGIRVVVHTANLIHVDWNNKTQGLWMQDFPWKDAKDVNRSVSFENDLVDYLSAIKWPEFRVNLPVVGDVNINAAFFRKFDYKSSSVRLIGSVPGYHVGPNIKKWGHMKLRSVLEGCTFEQQFCKAPMIYQFSSLGSLDEKWMSEFACSLSAGKSDNGSPLGIGKPLIVWPTVEDVRTSIEGYAAGSCIPSPQKNVEKDFLRKYWARWKADHLGVLFLPKTHQSVPQFSCTGKNNSNLNNLAPGKEIKTKLVTLCWKSDEEKEQSTEIIRLPVPYQLPPKPYGTEDVPWSWDKRYTKKDVYGSVWPRHG >ORUFI07G17560.1 pep chromosome:OR_W1943:7:17934351:17934785:1 gene:ORUFI07G17560 transcript:ORUFI07G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKPKDDFDFLEPSVLLDETHYQTGFKNGYSEGLVSGKEEGRQVGLKNGFQVGEELGFYQGCLDVWTSLVSIDQDAFSARVRKNIEQLAALLRSYPLSNPEDEQVQDIMEKIRLKFRVITASLGTKLEYQGRPTSSKQDVEDL >ORUFI07G17570.1 pep chromosome:OR_W1943:7:17935635:17937674:-1 gene:ORUFI07G17570 transcript:ORUFI07G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDWSTLTGCLVMLIAERLLANDVTDYIRFRAVCSPWRQHTEDPRVGDGLRPKYLPRSWIMLEETPPAAAPFRNRLLNTGTGAVLAVDVPELKDHDVMGPTLGGLLTLRERGGAHVLRLLHPFTRHLTELPSLVTMIHAASHDPKMVEPEYHQPTAIGLSDDHKAVAVFCGLVNKVAVARPGDSHWKWVYVPHFHLESAASLAGCFYAVSHVYIYQLESDGARGEPKLVPVAYVPVDAPSFRLTLVADDERERLMLMKEVFYVHAGEEVPPEGPDMLTMPRVCVAYAVDMAARTIALSRLGARALFMGDDRAVWASPGAFSPGVAADTVYAGRPNRLFTVHECGIEADRPLTVVLHTHGLVSGLTRHAVFESDDGEDLNPMGIVETVSSYVASDRGGAARPTMYVASHARRGRGRGV >ORUFI07G17580.1 pep chromosome:OR_W1943:7:17945562:17946341:1 gene:ORUFI07G17580 transcript:ORUFI07G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALCHAGAALRSAGAAGDWRFSDARPRLREVSALTAAETATDDILVASPDVVMTSVAGKMSWSTGERDVEGGRNREKRKKEDADYAIATGKAHYVVIVEELNSAAA >ORUFI07G17590.1 pep chromosome:OR_W1943:7:17948306:17953304:1 gene:ORUFI07G17590 transcript:ORUFI07G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSPRFGSMISAKLASPPPSLLLPPSPRLQGRRLTPPSCTPGTPAALPSPGPDKEPEREAAGSGSGSATTPRSPAQLGSSQLHRWSRARAHRSGRRLEWPTIRDRGSGGASSPPAPTRPHPSSDEAASAAAKVAVEEEDGYGVVGRDEAAKSIYMVSDGTGWTAEHSVNAALGQFEHCLVDRGCAVNTHLFNGIDDMDRLIEIVKQAAKEGALVLYTLADPSMAEATKKACELWGVPSNDILRPTIEAIASHIGVAPSGIPRSSPSRKGQLTEDYFRRIEAIDFTIKQDDGAQPQNLNRAHIVLVGVSRTGKTPLSIYLAQKGYKVANVPIVMGVNLPKSLFEIDQDKIFGLTINPVVLQAIRKARAKTLGFHGQKSNYAEMEHVRGELDHANQIFAQHPIWPVIEVTGKAIEETAAVVVRIFHDRKQKCAMPRISKRY >ORUFI07G17600.1 pep chromosome:OR_W1943:7:17953281:17955927:-1 gene:ORUFI07G17600 transcript:ORUFI07G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAASNQPVLAASDLGQSNLDAGAGAGAVGGGGFIALDVSALSSLAGDGPDTTAAPPRTPKVVRSLSRKGERKPADGDGNGAAGTGKRPPLSPLFVHVAAADDMGGLGRLVHTPVAGTPGGKSRRLGRQPAAPWLDPRRVVFFFATLSSVGTLILLYFTLSMSKMGGDSGGGGSSDAR >ORUFI07G17610.1 pep chromosome:OR_W1943:7:17966873:17968558:1 gene:ORUFI07G17610 transcript:ORUFI07G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIFVVLVAAAAAALVSSSAAQAQLKVGYYGDTCNGAEETVRQEVASVLSVAPYLAGALLRLHFHDCFVRGCDGSILLDSVAGGAVDAEKEAETSAGLRGFDVIDSIKEKLEQACPGTVSCADILALAARDAVHWSNGPFWPVPTGRLDGKISNAAETVDLPPPNSGMAQLQAAFAHKNLTAKDLVVLSGAHTIGFSHCQPFHDRLYNYTGGNRLNDVDPELDPAYLNELRSKCGAAASATANADNPGVMVEISPKRSPKFDTGYYTQVARRRGLFRSDAVLLDDDFTGAYVKKHATGLFDMEFFGDFGEAMVNMGNLQPPPGNDGEVRRKCSVVNY >ORUFI07G17620.1 pep chromosome:OR_W1943:7:17969585:17970215:1 gene:ORUFI07G17620 transcript:ORUFI07G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVWPRQSMTTAAKVLDGGVLGAAVTWRAPTPRSVHGQARVGDGGRRYLGDRAGLMIRMMATEVLSGGVLEGEAATSYGEFDGVRKTVVTQQGGFVTHYGRSKCGSGVSGFGQRQRTLGIAVGSICWLSSTETEMVRQRVARTSSVSPLPPLALGWID >ORUFI07G17630.1 pep chromosome:OR_W1943:7:17973979:17974407:-1 gene:ORUFI07G17630 transcript:ORUFI07G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNCLERARAKVTAWGAEEGDGGEDEFWGATAAEFSGGGGGGARGGVPSTRKKEIIKDGGGGGEGSSSPTRRVKIRMTKGQLRRLLAGAGRGAAVEDVVAEIMSMGDVHVEPVKAEEGGGGRRPPPSPSKLEPIQEDMDE >ORUFI07G17650.1 pep chromosome:OR_W1943:7:17990569:17991171:1 gene:ORUFI07G17650 transcript:ORUFI07G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYRLPMYRERPAVRCINFLCAVLLTMVLVAGIIMFVLWLSLRPHRPRFFLDDFTIPNLNRQSGAVNLPVRFTVDERNPNQKIGIHYGTIFGSVYYNDLLVASGPVVQPFYQQPKGDTPLAGELTASGPTPGDPAWQRFAGDAAAGSVALRLLLNSTVRFQVQMWDTREHHMKVDCEFGLRGDGTLQQGDKNKQCTLYF >ORUFI07G17660.1 pep chromosome:OR_W1943:7:17992142:17996183:-1 gene:ORUFI07G17660 transcript:ORUFI07G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSVAGDIELDRPNLEDYLPSDSLPQEFPRNLHLRDLLDISPVLTEAAPPPPPPRFVRSQRKLVEMMCSVFVASWTGVIKYHGPRPSTRPHQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILESVGCIWFNRNDLKDREVVAKKLRDHVQHPDSNPLLIFPEGTCVNNQYTVMFKKGAFELGCAVCPIAIKYNKIFVDAFWNSKKQSFTMHLVRLMTSWAVVCDVWYLEPQYLRDGETAIEFAERVRDMIAARAGLKKVPWDGYLKHNRPSPKHTEEKQRIFADSVLRRLEES >ORUFI07G17670.1 pep chromosome:OR_W1943:7:17996476:18001668:1 gene:ORUFI07G17670 transcript:ORUFI07G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGNSDELASSKKVKKSNSKEERKHKKGKHERPAASDEAPTPRSDAKGSKGKKRKHKDGEGEKEHGKRSKERKGEGEAAEARRGDDKVRRAMEDERFAAARTDPRFRAMRRKEAKVELDSRFTSMLTDPRFSSSSAPVDKHGRRRRKKGGRENPMLQYYLNQEEEEEKEKAKLVEEEEEEGDAEEQQGEEESSSSDDDDDDEDEEEDDDDEVYSVGSDIAHYLMGRHDDTPMIDKETHRLAVVNMDWDHIKAVDLYMVMTSCLPKGGRVLSVSVYPSEFGLERMKIESTKGPAALVDVNGSDGEYSGGDDDDDDEEEEDSSDTEHDSEAENNKLRTYELNRLRYYYAVVVCDSSATANHLYMNLDGTELLKTSNVFDLQFIPDSMEFKHPARDVATEAPPSYKEPNFETRALQHSKVKLTWDDDEPERKKVLRRKFTDDQLDDLDMYLASDDSASDDEGADNHGDESLQSGAKRKLTREERLALLLQGDKSEEEQTDGEDMEITFNTELEDLSKRILDRKVNNEKTVWEKHQEKMKEKRKSRKRRSKDDDDDGYSSEDGLDEHDDFFDDEMSDEEIKPNKKQKAKAKDKGKGKGKDKLPEQHLEDEATREELELLVAADKDAGNGAKGYNLKRKKGTKGKKGKEQSVEDELPDIDLSKDERFSAMFNSHWFAVDPTDPQYKRSAAFMRKQAGIKGAHEPSLGGRGSGRGTLPPDDVPTDTHDQKPDGTSTEKLETMSAVKSLKRKLTALKNTSKSDR >ORUFI07G17680.1 pep chromosome:OR_W1943:7:18002631:18005852:-1 gene:ORUFI07G17680 transcript:ORUFI07G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAFGAVNLVLGLIQDEARLLGRVREDLQFIMQEMESMNNVLRHIVANKGSTTAGDYQLRPWMKQVMELAFDSRNCVELYTQSGSARCGWLPWEMVARHRVVTRIRELKIQAREISERQARYGIAVSHHQLPVEVTTTMEPRDASVRPRNQRRTNAGSWNNPSRRAILEDGWYGDYGSVDEALSRLKPFTEYLYVEVKPPEELNSDDKQQPQPLLDGGNKHDDKEQPPPPVQSGGCVKLQPPLQSDGSDKQDDKVQPLPLQSDGGNKEQPPPLQSEGGDKEQPLPLQSEGGDKEQPLPLQSEGGDKEQPPPLQSEGGDKEQPPPLQSGGGIKQQQQLPQSDGDDQQPLLPQSDGGNKQQHIRVVIISVQDGMDEAAVGETMLKRYKSHWRNPWTDEELHVALQRPPILSEITKAMVDKLRHPKERETDNEAEDRQRLAKKLSNENVLLVLSGLNYPVLWHQVLELLSSTGCSDSAVILCTNDSKMAKYCCDSAKCGPPVIYSLVDIYLNRALALLPHRYDDRHLKGILCNILTQCCPDVFCMKMFLHALYYNPETTEHQLQVLNTSLGKEYTDHGRQDRIMAFCYQALPNNYKNCLWYSTVFTRGISMPDGVRRASLLRRWVAQGLITQVDQSSAEIEAGHCFEAMLRQKLIVPSGLSGARKVKSCTVHPVVADLIDRESSTVEDLLLNNQLPLDLDLLYSIRNGMQLHPANSNITRFLNSLSSTSRLLLTVLDLEGRKGLKANDLHTVCKIHKLKYLSLRNTDVAQLPKQIGQLRLLETLDIRGTRVQVFHTALPMLKHLLAGCIIVCPGKDIVKSKEFFSTVCVPRAVATMEKMEILSHVKVSNSATELNNIGDKLEHLKKLGVVLSGTKASLIDLFLQVDKLHRGLLSLSIRMDPPGNWDAIDAILLRPPKLLESLHICSIRSRLPPRIKELHHLAKITLRDTFLNQGALDVLSRLDGIRYLRLCYHSFAEGALRFWSFGNLMDLIIEDDIIISVTFGYRNPDKLEKIVWSFTHMEKLSGVRKLQSLTHLELKGGTCNPQNLEKLKRKVSEHSNGITFTLKLPENQSQ >ORUFI07G17690.1 pep chromosome:OR_W1943:7:18009741:18010844:1 gene:ORUFI07G17690 transcript:ORUFI07G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPAAAAAVEFGPHPHDMLTLDGELLRVLITGDRVRLEEILREAGSYGGDGEHPGDGGNGGSPPHEVPPLVDGHRDPRRDGPELIAINLPDHAAPAVVVEAGLSLSPRRSYGYLKRCIAACSWSSMARWCQARDAPAPHPARGRGVHPRQPIAVAPAARHGAGCLLGVTSNGNTALHLVASRGHAELAALIRVRAPSLVATRNRCLDTPLHCAAKAGHREVVARLLDTRTGVAEAEVELAAAVAEAALRVRNCLGATVLHEAVRHGHTEVVHLLMSRAGAAELASVASDDGVSPLYLAATTGSVRMVQELLLRPADDGRRRSSASFTGREGRTALHVAATKSAGNTSSTTTTLLLLQLLVALYSP >ORUFI07G17700.1 pep chromosome:OR_W1943:7:18022594:18025967:1 gene:ORUFI07G17700 transcript:ORUFI07G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAATVEFGPQILWLDKELLQVLAAGNKVLLEELLRGEGGTHPPRTNGQVAISFHGTSEPAARRGTSRLLGVTSNGSTALHVVASHGHAELAALICERAPSLAATRNRSLGTPLHCASKAGHRDVAACLLRVMDQATPRSRNLTGATALHEAVRHGHVEVVDLLMTTDPWLASVTTNGGVSPLYMAFRSLNSVNFNCKPEIAQEILNWPEGPSLLTRADSSGRTPLHFAVIYGRLDTVKLFLGGDAASPRLTSISDSDGSYPVHAAAMFGRTKIIDELVKKCPNYYELVDDKGRNLLHIAVESEQEMVVRHICGNDMFAMLLNATDYDGNTPLHLAVKQGYPRIFGLLLGTRGVDMCIMNKDGHTARDISCCASTLEHGRLRYFLLFVGSRNQATRWELGAPVDTATAPTISPSTLDLVTGRRPTAVVRSLRRRGG >ORUFI07G17710.1 pep chromosome:OR_W1943:7:18027902:18031496:1 gene:ORUFI07G17710 transcript:ORUFI07G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFESTVTTGHFTIPSNRRDPRVLVHNCLCWVRAPLSLNHRAAHIIVDEPAPALDDEEDYMTRNGTIGSVLIATVAFAAAFTVPGGFIADDRPHAGTAILASRFAFRAFVVTDTMAFLCSIVATSFLIYGSAKEIPRGHRWWYSLLASGLVPWGAQFLIGTFALGFHLVLGSANRGLVIFVYMSSSVSRASGVHSVLGFGKRYGDEQGGEDSSTYTIDRRAY >ORUFI07G17720.1 pep chromosome:OR_W1943:7:18036564:18046273:1 gene:ORUFI07G17720 transcript:ORUFI07G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVAAAAEFGPRQKMTLDADLVQVLTDGDAVRMEELLMGREGCGSDDGGYRRTDALQVSINVGAAALRAAAPRTGTSSLLGVTSNGNTALHLVASRGHVELAKLISETAPSLVATRNKCLDTPLHCAAKAGHRDVADCLLPMMRAAEGTAPLRAMNQLGATALHEAVRHGRAEVVDLFMAEAPELAAVASGDGVSPLYLAATTGSGSVRLVAALLRPSRDGMPSPASFAGPEPEGRTALHVAAAISQAKAIAETHGHGASMDFGPCNETLDTELLHVLTSGDEVRMADLLGRERRGHGHSLSQVAIRVDDDDDGRAPAGASRLLGVTTGNGNTALHVAATRGHAALAALVCATAPALAATRNRFLDTPLHCAAKSGHRDVAACLLSEMLRAGGAASAALPLRRATNCLGATALHEAVRNGHAGVVALLMAEAPELASVANDGGVSPLYLAATVGSVDIVRALLHPLPDGTPSPASAAGPDGRTALHSAATTSKEIAREILDWKPEGRTLLTKADSSGRTPLHFAISSQIERFDVFQLFLDAEPSLALVCDIQGSFPLHVAAVMGSVRIVVELIQKCPNNYNDLVDDRGRNFLHCAVEHNKESIVRYICRDDRFGILMNAMDNEGNTPLHLAAEYGHPRMVSLLLETMSVDVAITNRDGLTAADLAYRHLQPGLHYFLNPRAVVKNLFYCTRAPVTLEGDHARTGIPSAMEDADAPKDSGGVTSTGTVASVLIATVTFAAALTVPGGYVADDHPNAGTAASAGRFAFRAFAVSDTMAFLCSIVGTCLLVVGEAREVRPSRGRLRAYQGSAWALVTAGAQFMVAAFAFGLQVALGGGADSRWLVVFVHALCSASVLLCFPGIWAPFCLGKVIWRRAGWRGLTNLHRCPSSLEEFLWCFVTSFLAKTLLRTFLPVLIAVTLVVAIVLNIAKPNC >ORUFI07G17730.1 pep chromosome:OR_W1943:7:18050967:18051836:1 gene:ORUFI07G17730 transcript:ORUFI07G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYNESVSLRSKTHLTGLIALRGGPTWQHICILAPRILLSSRILPPSSPNLLLYPRWLLPRRRCDHDRVVRHGRRTLAVEYNVAQLSRGSTEEWRKVTSEARKLSKHNVFCWACLVDDKAVPWLLCFLSSTTAAIQDNAVVSLLNLLKHPAGQMTIMEVGGVGLVVDVINVVAKAVAQHNVAAILFYLSSNNPDASRR >ORUFI07G17740.1 pep chromosome:OR_W1943:7:18051893:18052293:1 gene:ORUFI07G17740 transcript:ORUFI07G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLYELLQRAANQGRAIAAGTVSALATLLSTDRDDLAGESIALLARIAEQPSSAVAILSQPGLFAHLAASSSSQSVNVIEQRDAAVHKNTIKLFMTRTCAHSSLSKNAISSEQSNQPPTLTR >ORUFI07G17750.1 pep chromosome:OR_W1943:7:18054351:18055661:1 gene:ORUFI07G17750 transcript:ORUFI07G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAAILALVIILLPPITLAGDLHGFRATLTRIHELSPGKYSEAVRRDSHRIAFLSDATAAGKATTTNSSVSFQALLENGVGGYNMNISVGTPLLTFSVVADTGSDLIWTQCAPCTKCFQQPAPPFQPASSSTFSKLPCTSSFCQFLPNSIRTCNATGCVYNYKYGSGYTAGYLATETLKVGDASFPSVAFGCSTENGVGNSTSGIAGLGRGALSLIPQLGVGRFSYCLRSGSAAGASPILFGSLANLTDGNVQSTPFVNNPAVHPSYYYVNLTGITVGETDLPVTTSTFGFTQNGLGGGTIVDSGTTLTYLAKDGYEMVKQAFLSQTADVTTVNGTRGLDLCFKSTGGGGGGIAVPSLVLRFDGGAEYAVPTYFAGVETDSQGSVTVACLMMLPAKGDQPMSVIGNVMQMDMHLLYDLDGGIFSFAPADCAKV >ORUFI07G17760.1 pep chromosome:OR_W1943:7:18064822:18066177:-1 gene:ORUFI07G17760 transcript:ORUFI07G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAVMLALVLLSPTTLATDVHGFRATLTRIHQLSPGKYSAAVRRDSHRLAFLSNNAAAAAGSKATTTTTTNSSVSFQTLLDNSAGAYNMNLSIGTPPVTFSVLADTGSSLIWTQCAPCTECAARPAPPFQPASSSTFSKLPCASSLCQFLTSPYLTCNATGCVYYYPYGMGFTAGYLATETLHVGGASFPGVAFGCSTENGVGNSSSGIVGLGRSPLSLVSQVGVGRFSYCLRSDADAGDSPILFGSLAKVTGGNVQSTPLLENPEMPSSSYYYVNLTGITVGATDLPVTSTTFGFTRGAGAGLVGGTIVDSGTTLTYLVKEGYAMVKRAFLSQMATANLTTTVNGTRFGFDLCFDATAAGGGSGVPVPTLVLRFAGGAEYAVRRRSYVGVVAVDSQGRAAVECLLVLPASEKLSISIIGNVMQMDLHVLYDLDGGMFSFAPADCANV >ORUFI07G17770.1 pep chromosome:OR_W1943:7:18068648:18072811:1 gene:ORUFI07G17770 transcript:ORUFI07G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVPPPPSRAYVSGPLRDDDTFGGDRVRRRRRWLKEQCPAIIVHGGGRRGGVGHRALAAGVSKMRLPALNAATHRIPSTSPLSIPQTLTITRDPPYPMLPRSHGHRTGGGGFSLKSSPFSSVGEERVPDPKPRRNPRPEQIRILEAIFNSGMVNPPRDEIPRIRMQLQEYGQVXSKPARATLTQAMSVTAAMDLLSPLRRSARPRQEQRHEAHDVDATKLGLLQHGFGIITLAVHVDVASSAAGVLPPIPSSPSPPDAAVTVASVAITASLTDFAANVGSINRTSMLDDLRKNLDKRWPWVIAIFAALDSALFRVQ >ORUFI07G17790.1 pep chromosome:OR_W1943:7:18083473:18084840:-1 gene:ORUFI07G17790 transcript:ORUFI07G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAILALILLLLPPIALAAGDLNGFRATLTRIHQLSPGKHSEAVRRDGHRLAFLSYAATAAAGKATTTGTNSSSVNVQAQLENGAGAYNMNISLGTPPLDFPVIVDTGSNLIWAQCAPCTRCFPRPTPAPVLQPARSSTFSRLPCNGSFCQYLPTSSRPRTCNATAACAYNYTYGSGYTAGYLATETLHVGGASFPGVAFGCSTENGVDNSSGIVGLGRGPLSLVSQLAVGRFSYCLRSDMADGGASPILFGSLAKLTEGSVVQSTPLLKNPYLQRSTHYYVNLTGIAVDSTELPVTGSTFGFTQTGLGGGTIVDSGTTLTYLAKDGYAMVKQAFQSQMANLNQTTPASGAPYDLDLCYKPSAGGGGKAVRVPRLALRFAGGAKYNVPVQNYFAGVEADSQGRVTVACLLVLPATDDLPISIIGNLMQMDMHLLYDIDGGMFSFAPADCAKL >ORUFI07G17800.1 pep chromosome:OR_W1943:7:18089330:18090552:1 gene:ORUFI07G17800 transcript:ORUFI07G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGTPPVTVQALFGISDLCWVECTPCSGCNNNAAPPAGARLYDRANSSSFSPLACASQACKVRTTCSDTECGYRYVYGATDTDRNYVKGILGTETIKFGSNDAATVQSFTFGCTNTVYRNDLFDGNTGVVGLGRSKLSLVGQLGLDRFSYCLASNPNVASPVLFGSTASMDGNGVSSTPLLPDDANYYVNLLGISVDGTRLAIPNDTFAFNPNTGQDARRRLPDRRAGDPAGRAGLHRRRGGVQGADVSDAVNGSGLLCFLVDDASKNVVTVPTMTMHFDGMDMELLFGNYFAYTGKQSGGGGGDVLCLMIGKSSTGSRIGNYLQMDFHVLYELKNSVLSVQPADCGKI >ORUFI07G17810.1 pep chromosome:OR_W1943:7:18091107:18097320:-1 gene:ORUFI07G17810 transcript:ORUFI07G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRVIVVLVCVTAGAALADAAAGGVRVGLTRIHSEPGVTASQFVRDALRRDMHRRARFGRELASSSSSSSPAGTVSAPTRKDLPNGGEYIMTLAIGTPPQSYPAIADTGSDLVWTQCAPCGERCFKQPSPLYNPSSSPTFRVLPCSSALNLCAAEARLAGATPPPGCACRYNQTYGTGWTSGLQGSETFTFGSSPADQVRVPGIAFGCSNASSDDWNGSAGLVGLGRGGLSLVSQLAAGMFSYCLTPFQDTKSKSTLLLGPAAAAAALNGTGVRSTPFVPSPSKPPMSTYYYLNLTGISVGPAALPIPPGAFALRADGTGGLIIDSGTTITSLVDAAYKRVRAAVRSLVKLPVTDGSNATGLDLCFALPSSSAPPATLPSMTLHFGGGADMVLPVENYMILDGGMWCLAMRSQTDGELSTLGNYQQQNLHILYDVQKETLSFAPANFYLKTQQITPSSVRLRALVLAPMAAFLVWILLLLPYVAISSTASHGVRLELTHADDRGGYVGAERVRRAADRSHRRVNGFLGAIEGPSSTARLGSDGAGAGGAEASVHASTATYLVDIAIGTPPLPLTAVLDTGSDLIWTQCDAPCRRCFPQPAPLYAPARSATYANVSCRSPMCQALQSPWSRCSPPDTGCAYYFSYGDGTSTDGILATETFTLGSDTAVRGVAFGCGTENLGSTDNSSGLVGMGRGPLSLVSQLGVTRFSYCFTPFNATAASPLFLGSSARLSSAAKTTPFVPSPSGGARRRSSYYYLSLEGITVGDTLLPIDPAVFRLTPMGDGGVIIDSGTTFTALEERAFVALARALASRVRLPLASGAHLGLSLCFAAASPEAVEVPRLVLHFDGADMELRRESYVVEDRSAGVACLGMVSARGMSVLGSMQQQNTHILYDLERGILSFEPAKCGEL >ORUFI07G17820.1 pep chromosome:OR_W1943:7:18100199:18106222:1 gene:ORUFI07G17820 transcript:ORUFI07G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNMVLLPCHALRITPSGASAFASSPLSARPRPIFASSLGGRCSACRTPPPAAAAACRVAAASWAGDLGRAVPWKAAVSSALAVALSFTCFVGIVNAKTGVNKPELLPKEFTTVIDVAGFLSPGQENRLRQEIEDLEKDTGYKLRVLAQNYPDTPGLAIKDFWQVDDQTIVFVADPTFGNIINFNVGALVDLDIPRSFWSRVSGKYGNMFFWKEKGEDASIEAAVMAVSRCLREPTGANNCSEECQSNSLRDSK >ORUFI07G17820.2 pep chromosome:OR_W1943:7:18100199:18106222:1 gene:ORUFI07G17820 transcript:ORUFI07G17820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNMVLLPCHALRITPSGASAFASSPLSARPRPIFASSLGGRCSACRTPPPAAAAACRVAAASWAGDLGRAVPWKAAVSSALAVALSFTCFVGIVNAKTGVNKPELLPKEFTTVIDVAGFLSPEDLEKDTGYKLRVLAQNYPDTPGLAIKDFWQVDDQTIVFVADPTFGNIINFNVGALVDLDIPRSFWSRVSGKYGNMFFWKEKGEDASIEAAVMAVSRCLREPTGANNCSEECQSNSLRDSK >ORUFI07G17830.1 pep chromosome:OR_W1943:7:18107086:18134196:1 gene:ORUFI07G17830 transcript:ORUFI07G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALLILLLSSPPSPAAGDRWFCGNATTYTPNSAYTSNRDSLAASLIAGATKLHSATGAAGAPGSRPRRRGRRQGLRRHTAAADCGGRLREAFAGIVNGTSVCALRRDVALYDELYHLRFSDHDFLSAFSNSPEWVDVTNLNTAPAADAERFEEVVGELLGSLADAAARRPERYAAGDAPWPSRERDRTVRTVYGLAQCTRDMPPERCRSCLDGVVAERRRKIGGGTMGGAIHGVRCSLRYETDTQAKEGTCNLDHCYCLLSFHHLHTPVFLFPKHKKKTKKSYMQELMKDVHLVKINLMEQTTDMDEVMRLWKIEDAGSEFSLYDFSQLADATDNFSANNILGEGGFGPVYKGLFPDGQELAIKKLGAQSRQGLVEFKNEIQLVAKLQHKNLVRLLGCCVHEEQKILIYEYLPNKSLDHFIFGMFYSLAIDKNCIYSHPIRRTSLNWKTRRKIVEGIAQGLLYLHKHSRLRIIHRDLKASNILLDSELNPKISDFGMARIFPSDASRAKASRLVGTFGYMAPEYASEGLISIKSDVFSFGVLLLEIMSGTRSAGFQHYGEFQNLLEYAWGMWKDGRWCDFIDQSFGDEYEPGEMMKCLVVALMCVQEKSAERPTMSDVVAMLSSDDIPLTEPKQPAYSHIRLDVSVDVDVSCSRNDITITLTDVLFISCPAPSVGQELCSDYNGAIYMPNSTYKSNLISLAATLIANATELHSATGMAGTGLDKVYGAVSCRGDSDGSDCRKHLTEALDAAINSKNSNSYSPKAMTKKVTYYYNQDQARIHFSNQDFISSFTNVPECTVNTNLNAVTASVAKQFEDLVTKVLRALTDAAVSRPERYAVGKQRFEETGQTVYGLVQCMQGMPSEQCMNCLDGIISGRQSKISTTQMGAAILGVWCTLRYETDTQFFTDTKMLLLDVLKKKQALSKLRRLSLAIKTVIYLWRTEGTNSDFFLYDFSQLKEATNNFSNDNKLGQGGFGTGQLSSGLKIAVKRLETCSLQGLLEFQNETQLIAKLQHKNLVKLLGCCTQGDQEKILVYEYMENKSLDYFIFSNVKGAQLNWSKRLHIIDGIGQGLLYLHNFSRLCVVHRDLKASNILLDSTMNPKISDFGMARIFYSNMAESNTTRIVGTHGYIPPEYAFEGVCSIKSDVFSFGVLILEIVSGKRTAHFYQHNGKLYNLISFAWQLWRDGKWGDLIYYPPGNKHQEIERCIHVALLCVQESAEFRPAMERVVTMLNTKNVSLPMPMQPAYFNVNPSEEEVSSCNITVSITLESHVPYASQFHKLPAEEKASNMQMLIVSLLMLLLSTPNLLVAKQLPFCSNANTITHMPEGTYKTNLLQLAKNLITNVNQTQLHSANGTAGAAGPDTVYGAVLCRGDSSAESCATRLQRVLDTASINGTSGDDSGYFQNQKNVTLYDHDFQALLSFSDKDFISSFSNAPECTVSAYLNPPPDADRAQFSQLFSELMEKIAAAVVSRRPVNYLTGRGWFDLKSQTVYALAQCTDGMPPENCRSCLDGIIDEGKKMVGGGLTGGAVLGMRCSLWYQTDVKFFAGDPEVSLHMPTPSKFWIWVVIGSFSLMIKEATQNFSRENKLGQGGFGAVYKGLLPGGLEVAVKRLSACSVQGLLEFKNEIQLIAKLQHKNLVKLLGCCIEGEHEKMLVYEYLQNRSLDVFIFDFVKGAQLTWSKRLRIIDGIAQGILYLHNHSRVCVVHRDLKASNILLDSDMTPKISDFGMARIFGSNMIESNTTRIVGTHGYISPEYAFDGVCSIKSDVFSFGVLVLEIISGKRTAGFYPYDGKLCNLISYAWQLWRSGQGHELVCCRIGNNHKVIQRCIQVALLCVQERADDRPSIDQVVTMLNSEEMTLPKPNQPAYFYVRSSGSDDSSCNNSISITLARQTVRQWTVISINMDGRVSGRAAIFKSNKQTTLARSQLPSVFG >ORUFI07G17830.2 pep chromosome:OR_W1943:7:18107086:18134196:1 gene:ORUFI07G17830 transcript:ORUFI07G17830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALLILLLSSPPSPAAGDRWFCGNATTYTPNSAYTSNRDSLAASLIAGATKLHSATGAAGAPGSRPRRRGRRQGLRRHTAAADCGGRLREAFAGIVNGTSVCALRRDVALYDELYHLRFSDHDFLSAFSNSPEWVDVTNLNTAPAADAERFEEVVGELLGSLADAAARRPERYAAGDAPWPSRERDRTVRTVYGLAQCTRDMPPERCRSCLDGVVAERRRKIGGGTMGGAIHGVRCSLRYETDTQAKEGTCNLDHCYCLLSFHHLHTPVFLFPKHKKKTKKSYMQELMKDVHLVKINLMEQTTDMDEVMRLWKIEDAGSEFSLYDFSQLADATDNFSANNILGEGGFGPVYKGLFPDGQELAIKKLGAQSRQGLVEFKNEIQLVAKLQHKNLVRLLGCCVHEEQKILIYEYLPNKSLDHFIFGMFYSLAIDKNCIYSHPIRRTSLNWKTRRKIVEGIAQGLLYLHKHSRLRIIHRDLKASNILLDSELNPKISDFGMARIFPSDASRAKASRLVGTFGYMAPEYASEGLISIKSDVFSFGVLLLEIMSGTRSAGFQHYGEFQNLLEYAWGMWKDGRWCDFIDQSFGDEYEPGEMMKCLVVALMCVQEKSAERPTMSDVVAMLSSDDIPLTEPKQPAYSHIRLDVSVDVDVSCSRNDITITLTDVLFISCPAPSVGQELCSDYNGAIYMPNSTYKSNLISLAATLIANATELHSATGMAGTGLDKVYGAVSCRGDSDGSDCRKHLTEALDAAINSKNSNSYSPKAMTKKVTYYYNQDQARIHFSNQDFISSFTNVPECTVNTNLNAVTASVAKQFEDLVTKVLRALTDAAVSRPERYAVGKQRFEETGQTVYGLVQCMQGMPSEQCMNCLDGIISGRQSKISTTQMGAAILGVWCTLRYETDTQFFTDTKMLLLDVLKKKQALSKLRRLSLAIKTVIYLWRTEGTNSDFFLYDFSQLKEATNNFSNDNKLGQGGFGTGQLSSGLKIAVKRLETCSLQGLLEFQNETQLIAKLQHKNLVKLLGCCTQGDQEKILVYEYMENKSLDYFIFSNVKGAQLNWSKRLHIIDGIGQGLLYLHNFSRLCVVHRDLKASNILLDSTMNPKISDFGMARIFYSNMAESNTTRIVGTHGYIPPEYAFEGVCSIKSDVFSFGVLILEIVSGKRTAHFYQHNGKLYNLISFAWQLWRDGKWGDLIYYPPGNKHQEIERCIHVALLCVQESAEFRPAMERVVTMLNTKNVSLPMPMQPAYFNVNPSEEEVSSCNITVSITLESHVPYASQFHKLPAEEKASNMQMLIVSLLMLLLSTPNLLVAKQLPFCSNANTITHMPEGTYKTNLLQLAKNLITNVNQTQLHSANGTAGAAGPDTVYGAVLCRGDSSAESCATRLQRVLDTASINGTSGDDSGYFQNQKNVTLYDHDFQALLSFSDKDFISSFSNAPECTVSAYLNPPPDADRAQFSQLFSELMEKIAAAVVSRRPVNYLTGRGWFDLKSQTVYALAQCTDGMPPENCRSCLDGIIDEGKKMVGGGLTGGAVLGMRCSLWYQTDVKFFAGDPEVSLHMPTQQARFELRLLSMAVQNVINLWRIEEGNSGFSLYDFSQIKEATQNFSRENKLGQGGFGAVYKGLLPGGLEVAVKRLSACSVQGLLEFKNEIQLIAKLQHKNLVKLLGCCIEGEHEKMLVYEYLQNRSLDVFIFDFVKGAQLTWSKRLRIIDGIAQGILYLHNHSRVCVVHRDLKASNILLDSDMTPKISDFGMARIFGSNMIESNTTRIVGTHGYISPEYAFDGVCSIKSDVFSFGVLVLEIISGKRTAGFYPYDGKLCNLISYAWQLWRSGQGHELVCCRIGNNHKVIQRCIQVALLCVQERADDRPSIDQVVTMLNSEEMTLPKPNQPAYFYVRSSGSDDSSCNNSISITLARQTVRQWTVISINMDGRVSGRAAIFKSNKQTTLARSQLPSVFG >ORUFI07G17830.3 pep chromosome:OR_W1943:7:18107086:18134196:1 gene:ORUFI07G17830 transcript:ORUFI07G17830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALLILLLSSPPSPAAGDRWFCGNATTYTPNSAYTSNRDSLAASLIAGATKLHSATGAAGAPGSRPRRRGRRQGLRRHTAAADCGGRLREAFAGIVNGTSVCALRRDVALYDELYHLRFSDHDFLSAFSNSPEWVDVTNLNTAPAADAERFEEVVGELLGSLADAAARRPERYAAGDAPWPSRERDRTVRTVYGLAQCTRDMPPERCRSCLDGVVAERRRKIGGGTMGGAIHGVRCSLRYETDTQAKEGTCNLDHCYCLLSFHHLHTPTTDMDEVMRLWKIEDAGSEFSLYDFSQLADATDNFSANNILGEGGFGPVYKGLFPDGQELAIKKLGAQSRQGLVEFKNEIQLVAKLQHKNLVRLLGCCVHEEQKILIYEYLPNKSLDHFIFGMFYSLAIDKNCIYSHPIRRTSLNWKTRRKIVEGIAQGLLYLHKHSRLRIIHRDLKASNILLDSELNPKISDFGMARIFPSDASRAKASRLVGTFGYMAPEYASEGLISIKSDVFSFGVLLLEIMSGTRSAGFQHYGEFQNLLEYAWGMWKDGRWCDFIDQSFGDEYEPGEMMKCLVVALMCVQEKSAERPTMSDVVAMLSSDDIPLTEPKQPAYSHIRLDVSVDVDVSCSRNDITITLTDVLFISCPAPSVGQELCSDYNGAIYMPNSTYKSNLISLAATLIANATELHSATGMAGTGLDKVYGAVSCRGDSDGSDCRKHLTEALDAAINSKNSNSYSPKAMTKKVTYYYNQDQARIHFSNQDFISSFTNVPECTVNTNLNAVTASVAKQFEDLVTKVLRALTDAAVSRPERYAVGKQRFEETGQTVYGLVQCMQGMPSEQCMNCLDGIISGRQSKISTTQMGAAILGVWCTLRYETDTQFFTDTKMLLLDVLKKKQALSKLRRLSLAIKTVIYLWRTEGTNSDFFLYDFSQLKEATNNFSNDNKLGQGGFGTGQLSSGLKIAVKRLETCSLQGLLEFQNETQLIAKLQHKNLVKLLGCCTQGDQEKILVYEYMENKSLDYFIFSNVKGAQLNWSKRLHIIDGIGQGLLYLHNFSRLCVVHRDLKASNILLDSTMNPKISDFGMARIFYSNMAESNTTRIVGTHGYIPPEYAFEGVCSIKSDVFSFGVLILEIVSGKRTAHFYQHNGKLYNLISFAWQLWRDGKWGDLIYYPPGNKHQEIERCIHVALLCVQESAEFRPAMERVVTMLNTKNVSLPMPMQPAYFNVNPSEEEVSSCNITVSITLESHVPYASQFHKLPAEEKASNMQMLIVSLLMLLLSTPNLLVAKQLPFCSNANTITHMPEGTYKTNLLQLAKNLITNVNQTQLHSANGTAGAAGPDTVYGAVLCRGDSSAESCATRLQRVLDTASINGTSGDDSGYFQNQKNVTLYDHDFQALLSFSDKDFISSFSNAPECTVSAYLNPPPDADRAQFSQLFSELMEKIAAAVVSRRPVNYLTGRGWFDLKSQTVYALAQCTDGMPPENCRSCLDGIIDEGKKMVGGGLTGGAVLGMRCSLWYQTDVKFFAGDPEVSLHMPTQQARFELRLLSMAVQNVINLWRIEEGNSGFSLYDFSQIKEATQNFSRENKLGQGGFGAVYKGLLPGGLEVAVKRLSACSVQGLLEFKNEIQLIAKLQHKNLVKLLGCCIEGEHEKMLVYEYLQNRSLDVFIFDFVKGAQLTWSKRLRIIDGIAQGILYLHNHSRVCVVHRDLKASNILLDSDMTPKISDFGMARIFGSNMIESNTTRIVGTHGYISPEYAFDGVCSIKSDVFSFGVLVLEIISGKRTAGFYPYDGKLCNLISYAWQLWRSGQGHELVCCRIGNNHKVIQRCIQVALLCVQERADDRPSIDQVVTMLNSEEMTLPKPNQPAYFYVRSSGSDDSSCNNSISITLARQTVRQWTVISINMDGRVSGRAAIFKSNKQTTLARSQLPSVFG >ORUFI07G17840.1 pep chromosome:OR_W1943:7:18123659:18126974:-1 gene:ORUFI07G17840 transcript:ORUFI07G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDLRDKGISR >ORUFI07G17850.1 pep chromosome:OR_W1943:7:18137448:18137642:1 gene:ORUFI07G17850 transcript:ORUFI07G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFYGMSRRVVVVVVPADDGDLPRRAYLGYLAGGKRKDPRCLTNPPSLLSVVAFVVHRARACR >ORUFI07G17860.1 pep chromosome:OR_W1943:7:18138021:18140169:1 gene:ORUFI07G17860 transcript:ORUFI07G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTVAVSAMFARREQQQRWPVDRGDRRPRRRAPPRLLGMFPLDQEAKKERCARAFADTLLAPMLHCPGTVSVPTMSMEMEQVLKLWRIEESGSEFSLYDFDQIADATDNFSDACKLGQGGFGPVYKGQLPDGLEIAIKRLSSCSVQGLMEFKTEIQLIAKLQHTNLVRLLGCCVQADEKMLIYEYMHNKSLDCFIFDTEKGAMLNWDKRFRIIDGIAQGLLYLHKHSRLRVIHRDLKASNILLDREMNPKISDFGMARIFCSNVTEANTTRVVGTHGYIAPEYASEGLFSIKSDVFSFGVLLLEIISGKRTAGFYQYGKFFNLTGYAYQLWQEGQWHELVDQALGEDFPAMEVMKCVQVALLCVQDSADDRPNMSDVIAMLGSEGVTMPEPRQPAYFNVRISSLAVSSSSFGESYCMSNVTLMEEDGR >ORUFI07G17870.1 pep chromosome:OR_W1943:7:18157148:18168813:1 gene:ORUFI07G17870 transcript:ORUFI07G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPMGRRNPAASLTDDLVVEILRRLPVRSVCRFKRVCRSWRNLIADREHRKKLPQTLSGFFYKSSSGERCPCSAHHFTNVSGKGVPLIYPSFSFLPQCDTVVPLDCCNGLLLCRCFQPGPNNSDDEIEPFHYAVCNPATKEWVMLPDADWANGETRIACLCFDPAISSHFHVLEYVEAEYEDVTGVEIYSSETGLWTLHVSGWGDDVFLRHWANPRSVFLNGFLHSATCAAEIAVVDMEGKKWRTIAMPEPEGDTGIIHQTQGRLCAFNVDPDDIFKLSIWILEDYDTDNWILKHTHTVSSLRLFGGMKYRFGFDYQIIAVHPECNLIFFVYGWDKTLMAYEMDRKEVRAIRNLGHDSFEPYLPYHTVSSLRLFGGKKYQFGFDYQIIVVHPECNLIFFVYGWDKTLMAYEMDRKEVRVIRNLGHDSSDPYLPMQRRRGNGRDIHDALDDGSPSEASHRIRLVHGLMTHGVDPLSDIYLFAVLIVLFEQSPSAHCSPAPSARIPLRGFTDCRLKASPGSGSFTRWRISPWGGTWWPASPTIDLVVDILRRLPVRSVCRSKGVCPYWRDLIADHDHRKKLPQTLSGFFYTSFSEERSAAEHHFTNVTGIGMPLISPTFAFLPQCKEVIPLDSCNGLLLCRCLQFDGVSKFHYAVCNPATEEWVMLPDANWADGENRIACLCFDPAISSHFHVVEYVEDEAECVTGVEINSSKTGLWSVHVNGWNGVVLVSLSVNRRSVFLNGFLHSVTPADEIVAVDMEGKKWRKIPVPDRDNDIGIIHQTQGCLSAFNVDLLDIFKLSIWFLEDYDTNNWILKHTVSSLDLFEGKNYRLDFEYQVIAVHPDCNLIFFVYGLDNTLMAYEMDRKEVRVIRNLGHDYCWPYLPYELRSSPVMHVQ >ORUFI07G17880.1 pep chromosome:OR_W1943:7:18185643:18196958:1 gene:ORUFI07G17880 transcript:ORUFI07G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHERCPYTARHFTNVTGKGMPLVSPTFSFLPQCHDVDLLDCCNGLLLCRCYVSRGTFQFHYAVCNPATKEWVMLPDANWAIEENHTACLCFDPAISSHFHVLEYVEEDEDSYVTLVTGVEIYSSETGLWTLHENGWNDEVVISLSVNRRSVLLNGFLHSVTPADEIVAVDIEGKKWRKIPMPDPDGDIGIIHQTQGRLCAFNVDPNDIFKLSIWFLQDYDTDNWILKHTVGSMKLFGGKKYQLDYDYQVIAVHPECNLIFFVYGWHNTLMAYEMDRKEVRVIRKLGHESCQSYLPYVPMFSESLPNGRWRRRECKQEHIQLCTNKKLHNILDFKGFSARQTSGIPPGIWNLPKLQYLFLYTNQLTGDVVVNGKIGAASLIYLDISENQLTGTIPESFGSLMNLTNLVLMANNFSGEIRASLAQLPSLVIMKLFENNLSGQLPAELGKHSPFLRDIEAASITDELIVEILRRLPVRSVCRFKCVSKSWRKLIADHEHRKKLPQTLSGFFYKSIDYERCPHMARHFTNATGRGMPLVCPTFSFLPQCHHVVILDSCNGLLLCRCHVSRETLQFQYAVCNPATGECVMLPDANWAIDENRTACLCFDPAISSHFHVLEYVEDEEYVEDEDEYDALVTGVVIYSSKTGLWSLHVNGWDDEVMVSLPVDRTRVFLNGFLHSVTTCDIVAVDMEGKKWRKIPMPDPDGDIGIIHQTQGRLCAFNVDPNDILELSIWFLEDYDTDNWILKHTVSSINLFGRKKYQLDFDYQVIAVHPECNLIFFVYGWHNTLMAYEMDRKEVRVIRKLGHESCLPYLPYVPMFSESLPDGWC >ORUFI07G17890.1 pep chromosome:OR_W1943:7:18198964:18204712:1 gene:ORUFI07G17890 transcript:ORUFI07G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVVLFFFFLSPPLAAAAAYTEYSCNGTRGNFTEGSAFGLNLELLAAELPANASSSRSLFASAAVGAAAAPEDRVFGLALCRGDMRDAAACAGCVSGAFQRLRALCGRDRDATYYHDLCVVRYSGDDFLSRPDDNSPVINALDANASTYYGWDGRNATTRSFFLSLVGTLFGEMAMYGSYNSSARRYASAVMYVNPQLPTVYGLAQCTPDLSPAQCWHCFQGLQEQNRQWYDGRQGGRILGVRCNFRYESYQFYAGTPDVRIGLQDVAPSPTANNGTNHRKTLVIVLSVSITVFCFMLVGCLLLIKKLRKGDGRKSNRQLEAHSRNSSKTEEALKLWRTEESSTDFTLYDFGDLAAATDNFSEDHRLGTGGFGPVYRAINVDENADDLGELSDGAEIAVKRLAAQSGQGLKEFKNEIQLIAKLQHTNLVRLVGCCVQEEEKMLVYEYMPNRSLDFFIFDQEQGPLLDWKKRLHIIEGVVQGLLYLHKHSRVRIIHRDLKASNILLDKDLNPKISDFGMARIFGSNMTEANTNRVVGTYGYMAPEYASEGIFSVKSDVFSFGVLLLEIVSGKRNSGHQHYGEFVNLLGYAWQLWREERGCELIDPTLGECSGSEAAAIIRCVKVALLCVQDNATDRPTMTDVAAMLGSDGVPLPDPLPPPHYQLRVSGDDYDDGGRGSPAGGGFRPSRWRFTDSCSTNDVTITTIEEGR >ORUFI07G17890.2 pep chromosome:OR_W1943:7:18198964:18204712:1 gene:ORUFI07G17890 transcript:ORUFI07G17890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVVLFFFFLSPPLAAAAAYTEYSCNGTRGNFTEGSAFGLNLELLAAELPANASSSRSLFASAAVGAAAAPEDRVFGLALCRGDMRDAAACAGCVSGAFQRLRALCGRDRDATYYHDLCVVRYSGDDFLSRPDDNSPVINALDANASTYYGWDGRNATTRSFFLSLVGTLFGEMAMYGSYNSSARRYASAVMYVNPQLPTVYGLAQCTPDLSPAQCWHCFQGLQEQNRQWYDGRQGGRILGVRCNFRYESYQFYAGTPDVRIGLQDVAPSPTANNGTNHRKTLVIVLSVSITVFCFMLVGCLLLIKKLRKGDGRKSNRQLEAHSRNSSKTEEALKLWRTEESSTDFTLYDFGDLAAATDNFSEDHRLGTGGFGPGELSDGAEIAVKRLAAQSGQGLKEFKNEIQLIAKLQHTNLVRLVGCCVQEEEKMLVYEYMPNRSLDFFIFDQEQGPLLDWKKRLHIIEGVVQGLLYLHKHSRVRIIHRDLKASNILLDKDLNPKISDFGMARIFGSNMTEANTNRVVGTYGYMAPEYASEGIFSVKSDVFSFGVLLLEIVSGKRNSGHQHYGEFVNLLGYAWQLWREERGCELIDPTLGECSGSEAAAIIRCVKVALLCVQDNATDRPTMTDVAAMLGSDGVPLPDPLPPPHYQLRVSGDDYDDGGRGSPAGGGFRPSRWRFTDSCSTNDVTITTIEEGR >ORUFI07G17900.1 pep chromosome:OR_W1943:7:18208388:18210131:1 gene:ORUFI07G17900 transcript:ORUFI07G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAAILLLVLALVAPPLAAAAVLDDGGGGHRPPFHMCGMVQDTSSWWRIVLTHDFPMMVVFQVIGVAWVLFMFLQEWRDGRRRRAQANRLP >ORUFI07G17910.1 pep chromosome:OR_W1943:7:18220091:18222398:1 gene:ORUFI07G17910 transcript:ORUFI07G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATILLLVLALVAPQLSAADADALVDDGHTPPPFHMCGILPGPYAANSTYEANLRYLAATLPAKVMNGSSSSSVDVLAGERPNLIAASASCNSSSSEYHDCGACVAEAFRCARRLCPYSRHAVVHLGGGACSVRYYDVERTEHAEVLMVWCPPEASPRSIRHSGGTLGCIIGIKGLRFK >ORUFI07G17920.1 pep chromosome:OR_W1943:7:18225227:18225879:-1 gene:ORUFI07G17920 transcript:ORUFI07G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGGESLFLPLRCRLPSSPFDCGLQARAPPQSILVRASSCGSGRFKVLKIGGKWKSSTPVELSASSSRGVKAGRRARCEAASSLAACVLVLSASRVRQCWPEESHQGIQAGGVEESLFQAERVRGPMETTAKKRKRERDLLNDPLKHIDLHHAVFSGHTVVRNHSAVAGAAP >ORUFI07G17930.1 pep chromosome:OR_W1943:7:18228085:18230640:1 gene:ORUFI07G17930 transcript:ORUFI07G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANGSSTSNRSSCVGILAGVRPDQISASAFCCDSSEPAYSDCGACVAMAFRYARWLCGYSRRAMVDLGACRVGYHDILHLGGKLFRSMISLNGETVEGEEPRPIGCHRWRFSSESLALSSNGQGRSYVVPRGFLGTRLGK >ORUFI07G17940.1 pep chromosome:OR_W1943:7:18237920:18238511:-1 gene:ORUFI07G17940 transcript:ORUFI07G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRGSEPPDRIRRKEARGGGLRRRTLATRKRGNGGGATRIKFKVVGVSPGFKEFISGVGWGRATPWRSGDERRPPGASGDGSRDEAVATAGRRGTERARPGKEGGNEAERMMCACTRSWPRQCGTELDEADAEAHAAIGTARQRGGSRGASS >ORUFI07G17950.1 pep chromosome:OR_W1943:7:18240179:18245401:1 gene:ORUFI07G17950 transcript:ORUFI07G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRGHLPACVAAVAAAAALLTSLLPPPVAGQPLGNFCGDSGNYTANSTYQGNIRSISATLPKNASSSRTLFATATLGAVPDIVYALALCRGDTANATACAGCVAAAFQDAQQLCPYNKDATVFYDACALRFSNQNFLASTNGDNKFLILMNTQNVSAPAKVFDAAVGVLINATADYAAANSSRRFGTGEEGFNGSKIYGLAQCTPDMATATCRSCLGGIVGMMPKYFSGKQGGRVLGLRCNYRYEIYPFFDGVSLLQLPAASLGAPPAPSPAAVNVTPPATTTGTRRRVNMTFDMIWNTTGRVLAIALPIVAAILAAVVICFYIWKRKTERARKPSIADPTDPADIESIDSLILSISTLRVATNNFDDSNKLGEGGFGAVYKGVLPSDQEIAVKRLSQSSRQGIEELKNELVLVAKLQHKNLVRLLGVCLEEHEKLLVYEYMPNKSLDTILFDPDRSNVLDWWKRLKIVNAIARGLQYLHEDSQLKIIHRDLKASNVLLDSDFNPKISDFGLARLFGNDQSQDVTNRVVGTYGYMAPEYAMRGHYSIKSDVFSFGVLILEIVTGRKNNVSYDSEQSVDLLTLVWEHWLAGTVVELADSSMAGHCPGDQILKCVHIGLLCVQEDPTERPMMSMVNVMLSSSTVSLQAPSRPAFCIQKSSVNSDSYSEPFRGANQSTV >ORUFI07G17960.1 pep chromosome:OR_W1943:7:18254121:18276371:1 gene:ORUFI07G17960 transcript:ORUFI07G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRVRCLLAAALLLVAPMAAAQPWQFCGQSGNFSANSAYQSNLRQLSATLPKNASAALFAAGSLGTVPDIVYALALCRGDANASACESCVDNAFQGGQQLCPYNKDVFIVYDLCYLRFTNRNLLASATDNGSPMMLMNAQNASATAEVFDAAAATLLNATSGYAAANSSRRFATGEEAFDAADPTIYGLSQCTPDMSPDDCRSCLGGIIALIPQYFGRKRGARVIGTRCNYRYEVYPFFAGGSMLRLPAPAAPAAPPPAPGPANMTPPAHTGERKKNKSGTALAIALPLVVVLLAMRRRKRSRSKQQHSYSMQMGEDIESVDSLFIDLSTLRAATGNFSETNRLGEGGFGSGVLPNGEEIAVKRLSMSSGQGIEELKNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDLDKRRELDWGKRFRIINGIARGLQYLQEDSQLRIIHRDLKASNVLLDSDYTPKISDFGLARLFGGDQTREITRHVVGTYGYMAPEYAMRGHYSVKSDVFSFGILMIEIVTGRRSSGSLSFDQSNDLLSLVWEHWTMGTILEMMDPSLTSHAPRDQMLKCIHIGLLCVQDNPADRPMMSTVNVMLSSSTVSLQSPSKPSFFIPKSDTDSNIYSESHPLTSQSTASTAMATFARHRVLLCRLAAALLLAPVAAAQPWQFCGQGGNYSANGTYQSNLAGLSATLPKNASASRTLFAKDSLGAVPDIVYALALCRGDVANATACESCVATAFQDAQQLCPYDKDAFIVYDLCYLAFSNRNILAAAADDDGSPRFLMNTQNASAPAEVFDAAVATLLNATSSYAAENSSRRFATGEEAFDAAAATPTIYGLSQCTPDMSPDDCRSCLGRIIALIPRYLSRRKGGRAIGMRCNFRYEVGPFFAGGSMLRLPAPANSTPTAPTGDSIQMAEDIESTDSLFIDLSTLRAATGNFSESNRIGEGGFGSVYKGVLPSGEEIAVKRLSMSSGQGIEELKNELVLVAKLQQKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDLEKRKELDWGKRFRIINGIARALQYLHEDSQLRIIHRDLKASNVLLDSDYNPKISDFGLARLFEGDQTREVTSRVVGTYGYMAPEYAMRGHYSVKSDVFSFGILMIEIVTGRRSSGSYSFDQSYDLLSRVWEHWTMGTILEMMDPSLTSHAPRDQMLKCIHIGLLCVQDNPADRPMMSTVNIMLSSNTVSLQSPSKPSFFIPKSGTDSNIYSESYPQTSQPTHRSGMMSAQSCRARFVRQRRERGIVRCILPAAAMLAVVVVVVAVLLPLAAAQQTMQFSSCASGAYAANSTYEANLAVLAAALPGNASTAAAAGYATATVGAVPDQVSALALCRGDANATACRACVAASFRVARRDCPSSKDATTYQDGCIVRFSDQRFLDFVGVNSPVSELYSDADSNITAVPVAWFNAAVVALMNATVDTAVAAGSGSNNTKKYFATAVEDFDPKHYPKIYGMAQCAPVMTAAQCRSCLGGFVSSIPWFLNGKPGGRVLGIWCNLRYSVKPFYQGQPMLQISAPPEPPPPAMPSLAAPPTRTARRRRVAGISAGVACFVVLMLILSAYAFIRFKRKKAAKKDNLLKKMARGKCTIFDLATLKEATENFSEKHKLGEGGFGTVYKGKLPDGQEIAVKKLIESCGHGLNQLHNEVLVLAELQHKNLVRLQGFCVHKDEMLLVYEYIKNGSLDNFLFAHASRGNALSWDQQYNIIFGIAKGIMYLHEDSSIRIIHRDLKANNILLDEDMDPKIADFGLARLIGGGHTHTKTTRIVGTYGYMAPEYAIHGNVSPKIDIFSFGVLVLEIVTKRKNCSYGDGTTDTVNLLSDVWSYWTEGVISQVIDQSLEGHFRNQALRCIHIGLLCVQSDPDDRPDIPSVIFMLTRDNMELQPPTEPAFFFNGNSNSASQTSDQRVYVYDRSGKIYEEDISANGITLTDIYPR >ORUFI07G17960.2 pep chromosome:OR_W1943:7:18248933:18276371:1 gene:ORUFI07G17960 transcript:ORUFI07G17960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHPCTTTLLLAFLAVALPGGAKAWHFCGSSGDVFAPRSTYQSNLALLSAGLAKNASASPALFAAGGVGDPPDTVYGLALCRGDTTNATACGACVAAAFQDGQQLCAYAREATVFYDPCYLRFSGRNFLAADGDNFAAYFSKVRNVTAPAEVFDAAVVALLNATADHAAASSPRRFATGVEAFRGWGVRDIYALVQCTPDMSPAGCRSCLAGIISWVNDPDYFSGSPTGRVLGVRCNYWYDVHPFFPGSPLLRLDAPAFDVSPPAPSPAPVAADTTPPADRAGRKRTAVIISASVACSIVFVLIISGSVFICLKRRKASKNQNTPIIPAPNKIKRGNCAIFDLPTLQIATDNFSNSNKLGEGGFGTVYRGKLGNGQKVAVKKLSQAQYTREGLNQLHNELQLLAELQHKNFVRLLGFCSHQDEMMLVYEHIKNGSLDIFLFDTSRAKTLNWEQRYNIILGIAKGILYLHEDSSIRIIHRDLKANNILLDENMNPKIADFGLGRLLGGGHTQTKTATVVGTYGYMAPEYALFGKVSPKIDIFSFGVLVLEIVTGRRNSGFDTTYNAVNLLTEVWNCWTKGTALQLADQSLDGYSDSKVLRCIHIGLLCVQESPIDRPSISSVILMLTRRRIKLQQPRQPAFFFGGDFSSVYQQQHRHRNYMYDKSGVIVEDKFSPWQFCGQGGNYSANGTYQSNLAGLSATLPKNASASRTLFAKDSLGAVPDIVYALALCRGDVANATACESCVATAFQDAQQLCPYDKDAFIVYDLCYLAFSNRNILAAAADDDGSPRFLMNTQNASAPAEVFDAAVATLLNATSSYAAENSSRRFATGEEAFDAAAATPTIYGLSQCTPDMSPDDCRSCLGRIIALIPRYLSRRKGGRAIGMRCNFRYEVGPFFAGGSMLRLPAPANSTPTAPTGDSIQMAEDIESTDSLFIDLSTLRAATGNFSESNRIGEGGFGSVYKGVLPSGEEIAVKRLSMSSGQGIEELKNELVLVAKLQQKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDLEKRKELDWGKRFRIINGIARALQYLHEDSQLRIIHRDLKASNVLLDSDYNPKISDFGLARLFEGDQTREVTSRVVGTYGYMAPEYAMRGHYSVKSDVFSFGILMIEIVTGRRSSGSYSFDQSYDLLSRVWEHWTMGTILEMMDPSLTSHAPRDQMLKCIHIGLLCVQDNPADRPMMSTVNIMLSSNTVSLQSPSKPSFFIPKSGTDSNIYSESYPQTSQPTHRSGMMSAQSCRARFVRQRRERGIVRCILPAAAMLAVVVVVVAVLLPLAAAQQTMQFSSCASGAYAANSTYEANLAVLAAALPGNASTAAAAGYATATVGAVPDQVSALALCRGDANATACRACVAASFRVARRDCPSSKDATTYQDGCIVRFSDQRFLDFVGVNSPVSELYSDADSNITAVPVAWFNAAVVALMNATVDTAVAAGSGSNNTKKYFATAVEDFDPKHYPKIYGMAQCAPVMTAAQCRSCLGGFVSSIPWFLNGKPGGRVLGIWCNLRYSVKPFYQGQPMLQISAPPEPPPPAMPSLAAPPTRTARRRRVAGISAGVACFVVLMLILSAYAFIRFKRKKAAKKDNLLKKMARGKCTIFDLATLKEATENFSEKHKLGEGGFGTVYKGKLPDGQEIAVKKLIESCGHGLNQLHNEVLVLAELQHKNLVRLQGFCVHKDEMLLVYEYIKNGSLDNFLFAHASRGNALSWDQQYNIIFGIAKGIMYLHEDSSIRIIHRDLKANNILLDEDMDPKIADFGLARLIGGGHTHTKTTRIVGTYGYMAPEYAIHGNVSPKIDIFSFGVLVLEIVTKRKNCSYGDGTTDTVNLLSDVWSYWTEGVISQVIDQSLEGHFRNQALRCIHIGLLCVQSDPDDRPDIPSVIFMLTRDNMELQPPTEPAFFFNGNSNSASQTSDQRVYVYDRSGKIYEEDISANGITLTDIYPR >ORUFI07G17970.1 pep chromosome:OR_W1943:7:18266287:18272602:-1 gene:ORUFI07G17970 transcript:ORUFI07G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRAGCLSMLLTAAVVLLALAPRGAAYPWQVCGTTGNFTANSTYQANLDAVAAALPRNISSSPDLFATAMVGAVPEQVSALALCRGDANATECSGCLATAFQDVQNMCAYDKDAAIYYDPCILYYSNVPFLSSVDNAASTSRVNLQNVTSDPGRFNGMVAALVNATADYAAHNSTRRYASGEAVLDRESEFPKVYSWAQCTPDLTPAQCGDCLAAIIAKLPRLFTNRIGGRVLGVRCSYRYEVNPFLNGLVMVHLTAPPIPTASPPAAAAAAAGEGKDYNVPRLVLAILLPTIAALVLINILVWLCFWRRMERLRSGATQPYSSNSAESENISSVESMLIDISTLRAATGCFAERNKLGEGGFGAVYKGTLPDGDEIAVKRLSKSSAQGVGELKNELALVAKLQHKNLVRLVGVCLEQEERLLVYEFVPNRSLDQILFDADKRQQLDWGKRYKIINGIARGLQYLHEDSQLKVVHRDLKASNILLDMNMNPKISDFGLARLFGRDQTQGVTNLVIGTYGYMSPEYAMRGNYSLKSDVFSFGVMVLEIVTGKKNNDCYNSLQSEDLLTLVSTNHMILLVCSWKNEVWEQWTARAVSEAVDPVMGGGFSWSDVMRCIHIGLLCVQENPADRPVMSSVVMMLGSDTVSLRAPSKPAFCARRNGGTGGAGASSGGTSSGTSTAASVQGK >ORUFI07G17980.1 pep chromosome:OR_W1943:7:18278511:18284071:1 gene:ORUFI07G17980 transcript:ORUFI07G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAGYGILAVVLVLLPPSATPAGIADCDLGVGNTYVGNSTFEANLNHLAAELPGNVSTAHTGGFAVATVGADPDQVFALALCRGDVNATACRACVAAAFVDGKNACPGINGVTVYEDACVVRFSGQRFMDFLSPDQWQVTEMIWVPEQASESVNAPAVGWFNAAIAKILAALVDHAVATATGNNSTTKKYFATGEEDFDPNIYGFAQCVPDLTQEQCKECLNTFLFQAKQVYFGKSLSWVGMNSVWCRLMYSVRPFYGGRAMLQLSAPPPPAAEAPVLSLEPGAGKKKSAAGIAAVVASSVVVLLILSFFAVVLFRRRSKVTETDHQLRKITRAQCLIFDLPALQEATDNFSDNNKLGEGGYGIVYKGVLSDGQEVAVKKLLGTSEHGLDQLQNEVLLLAELQHKNLVKLQGFCLHQGETLLVYEYVKNGSLDNFLFDTSRGNTPNWEQLYNIIFGIAKGILYLHEDSSLRIIHRDLKSNNILLGEDMEPKIADFGLARLLEEGHTNTRTTRIVGTFGYMAPEYAIHGNVSTKIDVLSFGVLVLEIVTGRRNLNSDDHDRGNLLSDVWNCWTKGTVTQLIDQSLEEQFRRQALRCIHIGLLCVQSDPDDRPHMSSVIFMLSRENMNLQPPAQPAFFFDGDSNSCSQPFEQPVYNRPNAIYEEDISVNRMTVTDIYPR >ORUFI07G17990.1 pep chromosome:OR_W1943:7:18282231:18284235:-1 gene:ORUFI07G17990 transcript:ORUFI07G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHHLLRVLLATALPLLFLSHAADAGELGVCYGRDADNLMDPQSVVSLLRANGITMVRIFDADPAVLRALANTGIKVMVALPNTDLGSAGWDPSYAQRWVESSVVPYYPATLINGVAVGNEVFDQAPHLTQQLVPAMRNVHAALARLGLADAIRVSTPITFSSVEVSFPPSAGAFRDDIAQSVMSPMIDFLQQTDSYFMVNLYPFFAYADPSTGISLEYATFRPNAGVFDPVSGVTYYSLFDAELDAVYYAINKVSGSNERASLAQAGGRVPIRVSESGHPSGGRIRSGVTEANADGDSVATKANAQAYNNGLAKRVLFGASNMEDVSAYIFALFNENKKGGPSIESNFGLFYPDGTKVYDVDFHGGGTCPTKESWCVANAAIGNARLQGALDWACSNGADCSAIQQGKVCYEPNTMVAHASYAFNDYYQRNGKASSACNFAGAAYIVYKPSPSICDPNPSWCVANAEVGDMRLQAALDYACSSCADCSAIQPGGRCFDPNTKVAHATYAFNDYYQTAGRASGSCDFGGAASIVNQAPRIGNCVLPPSKTSSMNIFY >ORUFI07G18000.1 pep chromosome:OR_W1943:7:18285861:18286622:1 gene:ORUFI07G18000 transcript:ORUFI07G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWMLDLPIGTRTGAVNVVVAGGEGTRRWLSAAPTPTSSPRLNASPNASTDAQILSAGRHSSPMPPTVALPQRLRLARIFFHPLYLFPQILPTATTDSIPWNHPCSNPSAATANATSQRPYWGRIATLHHSTPIGSGGGRASRGLPPTPCGAHLHREGPDRTPRKLTEPEEGSRLREVRSQPKGLGDLGAQSRPPEPQSRFKGLGGPGASSSVPGLLPWLRARSLGRTPGMSMGCHKSALLISHPPPMLRHD >ORUFI07G18010.1 pep chromosome:OR_W1943:7:18287562:18295815:-1 gene:ORUFI07G18010 transcript:ORUFI07G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGYGIVAVVLVLLPPSATPAGIADCDEGVGNTYVANSTFEANLNVLAAALSPNVSVAPAGFAVATVGADPDKVFAMALCRGDVNASACSACVAAAFVDGKKDCPGNSGVAMYEDACVARFSRYRFMDFLSPDQWQVSQMIWYPEQASASVDVPAVGWFNAAVTKILAAMVDHAVTSTTGNSTTKKYFVTGEEEFDPNIYGFAQCVPDLTPAQCNDCLKDLLFYAKQAYLGKSLSWVRVNSVWCRLMYSVQPFYRGRAMLQVSAPPPTAEAPVLSPEPGSGKKISTAGIAAGVASSVVVLLILSVFAFVRFRRRTKVTDAVHPLKKITRAQCMIFDLSALQEATENFSPNNKLGEGGFGAVYKGVLSDGQEVAVKKLLGTGHGLDQLYNEIKLLAELQHKNLVRLQGFCLHQEQTLLVYEYLKNRSLDNFLFDPSRGNALNWDQQYNIILGIAKGILYLHEDSSLRIIHRDLKSNNILVGDDMEPKIADFGLARLLGEGHTHSKTTRVVGTFGYMAPEYAIDGNVSTKIDIFSFGVLVLEIITRRRNWHSDDHDLVWNCWTKGTATKMIYQSLNGYSESQALRCIHIGLLCVQPDPDDRPHMSSIIFMLTKENMKLQPPAEPAFFLGRESASSPPSCGQHGYNWTTCMVVSVSLPCWTEITHYYSPSPWERTWIELLFAHAGNTPMDRRLILSVAVAVALLAPRAAGEPWPVCGQDFGTFTPKSRFFANLQLIAATLPGNASSSPDLYATAVDVGAVPEQVTAAALCRGDVSASSCLGCLTQAFADLPNACGNSREAATYYDRCMVSYSAINFLSGGAGGEDPARIDAYTVNNENKVTSEQGRYNRLVAALVNATADYAAYNSTRRYAAGEADFDAALPKVYSLAQCTPDLSPARCRSCLAKIVAQELWSYKDDIGGRTLSVRCSFRIETKPFLNGTTMVRLPATSAPSPAPPVNATPSAATPGRETKYKVPRLVLIILLPIIAAVNLVVCFCVWRRKRPVITKAKQTNANYYAEADDVDSVDSMLMDISTLRAATGDFAESNKLGEGGFGAVYKGVLPDGNEIAVKRLSKSSTQGVQELKNELALVAKLRHKNLVSFVGVCLDQHERLLVYEFVPNRSLDLILFDTEKREKLDWEKRYRIINGVARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISNFGLARIFGQDQTQAVTNRVVDTYGYMAPEYMMRGNYSVKSDAFSFGVMVLEIVTGRKNNDFYNNSHQSEDLLNTIWERWMAGTVDEMVDPAMSRYVSASDVRKCVHVALLCVQENPADRPVMSSVVMMLDSETVSLQVPSKPAFFARNGGAKPGVASDESTASV >ORUFI07G18010.2 pep chromosome:OR_W1943:7:18287562:18295815:-1 gene:ORUFI07G18010 transcript:ORUFI07G18010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGYGIVAVVLVLLPPSATPAGIADCDEGVGNTYVANSTFEANLNVLAAALSPNVSVAPAGFAVATVGADPDKVFAMALCRGDVNASACSACVAAAFVDGKKDCPGNSGVAMYEDACVARFSRYRFMDFLSPDQWQVSQMIWYPEQASASVDVPAVGWFNAAVTKILAAMVDHAVTSTTGNSTTKKYFVTGEEEFDPNIYGFAQCVPDLTPAQCNDCLKDLLFYAKQAYLGKSLSWVRVNSVWCRLMYSVQPFYRGRAMLQVSAPPPTAEAPVLSPEPGSGKKISTAGIAAGVASSVVVLLILSVFAFVRFRRRTKVTDAVHPLKKITRAQCMIFDLSALQEATENFSPNNKLGEGGFGAVYKGVLSDGQEVAVKKLLGTGHGLDQLYNEIKLLAELQHKNLVRLQGFCLHQEQTLLVYEYLKNRSLDNFLFDPSRGNALNWDQQYNIILGIAKGILYLHEDSSLRIIHRDLKSNNILVGDDMEPKIADFGLARLLGEGHTHSKTTRVVGTFGYMAPEYAIDGNVSTKIDIFSFGVLVLEIITRRRNWHSDDHDLVWNCWTKGTATKMIYQSLNGYSESQALRCIHIGLLCVQPDPDDRPHMSSIIFMLTKENMKLQPPAEPAFFLGRESASSPPSCGQHGYNWTTCMVVSVSLPCWTEITHYYSPSPWERTWIELLFAHAGNTPMDRRLILSVAVAVALLAPRAAGEPWPVCGQDFGTFTPKSRFFANLQLIAATLPGNASSSPDLYATAVDVGAVPEQVTAAALCRGDVSASSCLGCLTQAFADLPNACGNSREAATYYDRCMVSYSAINFLSGGAGGEDPARIDAYTVNNENKVTSEQGRYNRLVAALVNATADYAAYNSTRRYAAGEADFDAALPKVYSLAQCTPDLSPARCRSCLAKIVAQELWSYKDDIGGRTLSVRCSFRIETKPFLNGTTMVRLPATSAPSPAPPVNATPSAATPGRDANYYAEADDVDSVDSMLMDISTLRAATGDFAESNKLGEGGFGAVYKGVLPDGNEIAVKRLSKSSTQGVQELKNELALVAKLRHKNLVSFVGVCLDQHERLLVYEFVPNRSLDLILFDTEKREKLDWEKRYRIINGVARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISNFGLARIFGQDQTQAVTNRVVDTYGYMAPEYMMRGNYSVKSDAFSFGVMVLEIVTGRKNNDFYNNSHQSEDLLNTIWERWMAGTVDEMVDPAMSRYVSASDVRKCVHVALLCVQENPADRPVMSSVVMMLDSETVSLQVPSKPAFFARNGGAKPGVASDESTASV >ORUFI07G18020.1 pep chromosome:OR_W1943:7:18298444:18298632:1 gene:ORUFI07G18020 transcript:ORUFI07G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAPVHRLRGTDSVPQASCAGPARSRISVPRDRLGFRSRIPSLRFVLAPVHPFSGLGIFL >ORUFI07G18030.1 pep chromosome:OR_W1943:7:18299298:18302946:1 gene:ORUFI07G18030 transcript:ORUFI07G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQKFTPINKTELDTSKVYEPPVHPFHRAVTMAASHVALSVPVAAAVALLLLVLPRAAESYPWAKCNDTAGDFPATRSSSYLASINLIAATLPGNASASPDLFATAEGVGAPPDQVSALALCRGDANASTCLACLTQAFLDLPNACAYHKVAAIFYDSCLLAYSNATIAAGDFSSEKIPIYGFYSNANATTEQARFNRLVAALVNATADYAARNSTRRRYASGEADFNAEFPKVYSWAQCTPDLTPASCRSCLAQIIGTYIGFFENRVGGFVRAVWCSFQYSTTPFLDGPMLVRLQGTSGASPAPSPAAVVPAVNQTPPAATPTLEGAINVVVGLCFWRRRRRPVTEAKRTYANYSTEAEDIENLDSMLIDISILRSATGDFAESNKLGEGGFGAVYKGVLPDGYEIAVKRLSKSSTQGVEELKNELALVAKLKHKNLVSLVGVCLEQQERLLVYEFVPNRSLDLILFDTEKSEQLDWEKRYKIINGIARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGLARIFGRDQTQAVTKNVIGTYGYMAPEYLTRGNYSVKSDVFSFGVMVLEIVTGRKNNHSYNSQQSEDLLTMIWEQWVAGTVLEMVDPSMNSFFSESDVMRCIHIGLLCVQGDPANRPVMSSVVLMLGTDTVELHAPAKPTLFARKGGGDESGVASGGMSIVSLEEQS >ORUFI07G18030.2 pep chromosome:OR_W1943:7:18299298:18302946:1 gene:ORUFI07G18030 transcript:ORUFI07G18030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQKFTPINKTELDTSKVYEPPVHPFHRAVTMAASHVALSVPVAAAVALLLLVLPRAAESYPWAKCNDTAGDFPATRSSSYLASINLIAATLPGNASASPDLFATAEGVGAPPDQVSALALCRGDANASTCLACLTQAFLDLPNACAYHKVAAIFYDSCLLAYSNATIAAGDFSSEKIPIYGFYSNANATTEQARFNRLVAALVNATADYAARNSTRRRYASGEADFNAEFPKVYSWAQCTPDLTPASCRSCLAQIIGTYIGFFENRVGGFVRAVWCSFQYSTTPFLDGPMLVRLQGTSGASPAPSPAAVVPAVNQTPPAATPTLEGDANYSTEAEDIENLDSMLIDISILRSATGDFAESNKLGEGGFGAVYKGVLPDGYEIAVKRLSKSSTQGVEELKNELALVAKLKHKNLVSLVGVCLEQQERLLVYEFVPNRSLDLILFDTEKSEQLDWEKRYKIINGIARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGLARIFGRDQTQAVTKNVIGTYGYMAPEYLTRGNYSVKSDVFSFGVMVLEIVTGRKNNHSYNSQQSEDLLTMIWEQWVAGTVLEMVDPSMNSFFSESDVMRCIHIGLLCVQGDPANRPVMSSVVLMLGTDTVELHAPAKPTLFARKGGGDESGVASGGMSIVSLEEQS >ORUFI07G18040.1 pep chromosome:OR_W1943:7:18304384:18304836:-1 gene:ORUFI07G18040 transcript:ORUFI07G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPEVETAVQLGAVDPVPLWPDLASRPTSTFDRRGGRRDRGESGGERCDACAPVGVGWGRCGDDAGSCSASCPRPAVLGMARRRKARPVASARWCWPHTQPWPDGRRREAVEVLHEEEASGGDRVVSGGGWPPMVTSVAYGSTQEAVRQ >ORUFI07G18050.1 pep chromosome:OR_W1943:7:18307189:18311073:1 gene:ORUFI07G18050 transcript:ORUFI07G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGYGVLAVAAAVVLTLPSAVRAAPSNICDMGVATYAANSTFEANLDRLGAELPANVSAARATGGYAVATVGAAPDLVYALALCRGDVNASACGACVAAAFADGKRSCPGIKGATVSGPGDGCVLRYSGQSFMNFLSTEQWQVSEILWYPDHATDSIKVPDVGWFNAAVAKILAALVEHTWATTTSNATAKKYFSTGEEEFNPKIYGFVQCVPDLSPEQCKECVRTLHDQAKIHYMGNSLPWASTYSVWCSLMYSVRPFFGGRAMLQLSPPLPPAVDTPVGTHEPGAGKKKSVAGLAAGLACSVGVLLILSVLVFVRFRRRTKAAETDHPLKKITRAQCMIFDLPTLQEATENFSENNKLGEGGFGTVYKGVLSDGQEVAVKKLLGTTGHGLDQLHNEVLLLAELQHKNLVRLQGFCLHQEQTLLVYEYIKNGSLDNILFDTTKGNSLNWEQQYNIILGIAKGILYLHEDSSLRIIHRDLKSNNILLGEDMEPKIADFGLARLLGEGHTHSRTTRVVGTFGYMAPEYVADGNVSTKIDIFSFGVLVLEIVMRRRNSDSGDHDNVNLLTDVWNSWTKGTISQFIDQSLDGYSRSQAMRCIHIGLLCVQPDPGERPHISSVIFMLTRENMELQPPAQPAFFFGTESASSSTSCGQRSYVYDRYGFASVSVNDVTLTEPYPR >ORUFI07G18060.1 pep chromosome:OR_W1943:7:18323181:18323881:-1 gene:ORUFI07G18060 transcript:ORUFI07G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPGMHDVSIYILSLFNENLKKGSDTKGNFSLFYPNGMKMYNVDFDGGNSCPTKASCTIQVGKTCYQPNTLVAHASYAFNDYYQRKGQANRTCYFESTALIVHKPSSSICDPNLSWCIAKPEVGDTRLQKTLDYASLSNMVDSVLILTPRRRLDLCIQ >ORUFI07G18070.1 pep chromosome:OR_W1943:7:18323906:18324245:-1 gene:ORUFI07G18070 transcript:ORUFI07G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMIDFLIQTADPSSISIEYATFHDNGGVLDKNTYKTYFNLFDTDLDIVYAAINKVSGVSVLDVKATESRYPWGMKIPPLADANVDSLAKIANAQA >ORUFI07G18080.1 pep chromosome:OR_W1943:7:18324266:18336149:-1 gene:ORUFI07G18080 transcript:ORUFI07G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSMAVNHKLSALLVATALPLLLLSTADAGEIGVCYGRDASNLIDPPEVVKLLNANSITMVRIYDTDPTVLNALANTGIKVMVMLPNKDLASAGADVGSATNWVKNNVVPYLNQGTLINGVAVGNEVFKQQPELTGMLVSAMQNVQMALANLNLADGIKVSTPIAFDALDVSFPPSDGRFKDSIAQSVMKPMIDFLVRTGSYLLVNLYPMYAAADPSTHISIEYATFRPNSGVLDEKTGIMYFSLFDAELDAVYAAISKVSGGSLRASLAQGDQMLVQVAETGHSSGNTFGGPVVVEADADLNAIATIPNAKAYNNGLIRRVLSGSPGKHDVSAYIFSLFNENLKPGPATEGHFGLFYPNGQQVYEVNFQGGRSPCPTNASWCVANPNVDNAALQRALDWACNNGADCSAIQLGKACYEPNTLVAHASYAFNDYYQRKGQASGTCNFNGVAFIVYKPSPSICDPNPSWCVAKDSVGEAQLQNALDYACGSCADCSAIQRGAQCFNPDTKVAHATYAFNDYYQTAGRASGSCDFAGAATIVTQQPSAGEVGVCYRRDMNNLIEPLAVVNLLNANDITMVRIDLRHQLDGVECIFQYKHQSHAIPNNDLASVGQDLGSSTNLVKNNVVLYLNQGTLINGVAMGNEVFIQQPNLTGMLVPAMQNVQMALVNLNLAKDIHVSTLIAFNALDVSFPPSDGRF >ORUFI07G18080.2 pep chromosome:OR_W1943:7:18324268:18336042:-1 gene:ORUFI07G18080 transcript:ORUFI07G18080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNHKLSALLVATALPLLLLSTADAGEIGVCYGRDASNLIDPPEVVKLLNANSITMVRIYDTDPTVLNALANTGIKVMVMLPNKDLASAGADVGSATNWVKNNVVPYLNQGTLINGVAVGNEVFKQQPELTGMLVSAMQNVQMALANLNLADGIKVSTPIAFDALDVSFPPSDGRFKDSIAQSVMKPMIDFLVRTGSYLLVNLYPMYAAADPSTHISIEYATFRPNSGVLDEKTGIMYFSLFDAELDAVYAAISKVSGGSLRASLAQGDQMLVQVAETGHSSGNTFGGPVVVEADADLNAIATIPNAKAYNNGLIRRVLSGSPGKHDVSAYIFSLFNENLKPGPATEGHFGLFYPNGQQVYEVNFQGGRSPCPTNASWCVANPNVDNAALQRALDWACNNGADCSAIQLGKACYEPNTLVAHASYAFNDYYQRKGQASGTCNFNGVAFIVYKPSPSICDPNPSWCVAKDSVGEAQLQNALDYACGSCADCSAIQRGAQCFNPDTKVAHATYAFNDYYQTAGRASGSCDFAGAATIVTQQPSAGEVGVCYRRDMNNLIEPLAVVNLLNANDITMVRIDLRHQLDGVECIFQYKHQSHAIPNNDLASVGQDLGSSTNLVKNNVVLYLNQGTLINGVAMGNEVFIQQPNLTGMLVPAMQNVQMALVNLNLAKDIHVSTLIAFNALDVSFPPSDGRF >ORUFI07G18090.1 pep chromosome:OR_W1943:7:18341815:18357576:-1 gene:ORUFI07G18090 transcript:ORUFI07G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAVVNLLKENGITKVRIYDADPTVLRSLSNTGIKVMVALPNKDLASAGHDQGSALDWVKTNIVPYYNQGTQINGVAVGNEVFRQAPNLTPQLLPAMKNVQTALARLGLADIIKVSTPINFDAVNVSWPSSKGVFQDSIAQSVMSPMIDFLQQTNSYLMVNFYPYIAWANSKGQISRDYATFGPNAGVVDPWSHITYYSLFDAQLDAVYYAINQVSGDSVRASMAQTRWGHPTPRVPVKCSECGHPSGGQFRKLTTQADAEVDVATKANAQAFNNGIIRRALFGASGMPDVSVYIFALFNENKKAGASVERNFGLFYPNGTKVYEVDFHGGGICPTKASWCVANLAVGNSRLQAALDWACSNGADCSAIQQGKPCFEPNTMVAHASFAFNDYYQRMGQANGTCDFAGAAYIVFQPSESICDPNPSWCIANPAVGDMRLQAALDYACGSCADCSAIQPGARCFEPNTKVAHASYAFNDYYQRVGRVSGSCDFGGAGSITYQAPEIGNCVLPPMLELDKAHLLHALLVATALPLLLLPRAADAGEIGVCYGRDANNLIDPPAAVSLLKANGISAVRIFDADQTVLDAMANTGIKVMVAIPNADLAAAGQDLRSATDWVTNNVVPYRSRGTLINGVAVGNEVFRQRPELTGMLVSAMRNLHKALENLNLANDVKVSTPIAFDALKQSSPPSAGEFKDEIAQSVMKPMIDFLKQTGSYFMVNLYPYFAYVAQPDKISLEFATFRPNAGVLDGNTGIRYFSLFDAQLDAVYAAINRVSGGSLTVSMARRDGILSVQASESGHPSGGTFPLFSMLAAADTDSIATIADAQAYNNGLIRRVVSGASGMRDVSAYIFSLFNENEKPGPAIERNFGLFYPNGQKVYEVDFRGGGGGGACPTKTSWCVARTDVGSAALQSALDFACGNGADCSAIRQGSVCFEPNTLVAHASYAFNDYYQRKGQASGTCNFSGAASIVFKPSPSICDPNPSWCVAKSEVGDAQLQNALDYACGSCADCSAIQPGARCFDPDTKVAHATYAFNDFYQTTSRASGSCDFAGAASIVNQQPKIGNCVLPPNNAGTEQTAIEDQSTANLPATAWQTEERANVYGLA >ORUFI07G18090.2 pep chromosome:OR_W1943:7:18341815:18357576:-1 gene:ORUFI07G18090 transcript:ORUFI07G18090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAVVNLLKENGITKVRIYDADPTVLRSLSNTGIKVMVALPNKDLASAGHDQGSALDWVKTNIVPYYNQGTQINGVAVGNEVFRQAPNLTPQLLPAMKNVQTALARLGLADIIKVSTPINFDAVNVSWPSSKGVFQDSIAQSVMSPMIDFLQQTNSYLMVNFYPYIAWANSKGQISRDYATFGPNAGVVDPWSHITYYSLFDAQLDAVYYAINQVSGDSVRASMAQTRWGHPTPRVPVKCSECGHPSGGQFRKLTTQADAEVDVATKANAQAFNNGIIRRALFGASGMPDVSVYIFALFNENKKAGASVERNFGLFYPNGTKVYEVDFHGGGICPTKASWCVANLAVGNSRLQAALDWACSNGADCSAIQQGKPCFEPNTMVAHASFAFNDYYQRMGQANGTCDFAGAAYIVFQPSESICDPNPSWCIANPAVGDMRLQAALDYACGSCADCSAIQPGARCFEPNTKVAHASYAFNDYYQRVGRVSGSCDFGGAGSITYQAPDGPDVGISKEAISPLDLRCLLPRHLLHALLVATALPLLLLPRAADAGEIGVCYGRDANNLIDPPAAVSLLKANGISAVRIFDADQTVLDAMANTGIKVMVAIPNADLAAAGQDLRSATDWVTNNVVPYRSRGTLINGVAVGNEVFRQRPELTGMLVSAMRNLHKALENLNLANDVKVSTPIAFDALKQSSPPSAGEFKDEIAQSVMKPMIDFLKQTGSYFMVNLYPYFAYVAQPDKISLEFATFRPNAGVLDGNTGIRYFSLFDAQLDAVYAAINRVSGGSLTVSMARRDGILSVQASESGHPSGGTFPLFSMLAAADTDSIATIADAQAYNNGLIRRVVSGASGMRDVSAYIFSLFNENEKPGPAIERNFGLFYPNGQKVYEVDFRGGGGGGACPTKTSWCVARTDVGSAALQSALDFACGNGADCSAIRQGSVCFEPNTLVAHASYAFNDYYQRKGQASGTCNFSGAASIVFKPSPSICDPNPSWCVAKSEVGDAQLQNALDYACGSCADCSAIQPGARCFDPDTKVAHATYAFNDFYQTTSRASGSCDFAGAASIVNQQPKIGNCVLPPNNAGTEQTAIEDQSTANLPATAWQTEERANVYGLA >ORUFI07G18090.3 pep chromosome:OR_W1943:7:18341815:18351022:-1 gene:ORUFI07G18090 transcript:ORUFI07G18090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSHLLHALLVATALPLLLLPRAAGNVLLAFLPMQLIGNAKRWSNSLLVNADAGEIGVCYGRDANNLIDPPAAVSLLKANGISAVRIFDADQTVLDAMANTGIKVMVAIPNADLAAAGQDLRSATDWVTNNVVPYRSRGTLINGVAVGNEVFRQRPELTGMLVSAMRNLHKALENLNLANDVKVSTPIAFDALKQSSPPSAGEFKDEIAQSVMKPMIDFLKQTGSYFMVNLYPYFAYVAQPDKISLEFATFRPNAGVLDGNTGIRYFSLFDAQLDAVYAAINRVSGGSLTVSMARRDGILSVQASESGHPSGGTFPLFSMLAAADTDSIATIADAQAYNNGLIRRVVSGASGMRDVSAYIFSLFNENEKPGPAIERNFGLFYPNGQKVYEVDFRGGGGGGACPTKTSWCVARTDVGSAALQSALDFACGNGADCSAIRQGSVCFEPNTLVAHASYAFNDYYQRKGQASGTCNFSGAASIVFKPSPSICDPNPSWCVAKSEVGDAQLQNALDYACGSCADCSAIQPGARCFDPDTKVAHATYAFNDFYQTTSRASGSCDFAGAASIVNQQPKIGNCVLPPNNAGTEQTAIEDQSTANLPATAWQTEERANVYGLA >ORUFI07G18090.4 pep chromosome:OR_W1943:7:18355578:18357576:-1 gene:ORUFI07G18090 transcript:ORUFI07G18090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAVVNLLKENGITKVRIYDADPTVLRSLSNTGIKVMVALPNKDLASAGHDQGSALDWVKTNIVPYYNQGTQINGVAVGNEVFRQAPNLTPQLLPAMKNVQTALARLGLADIIKVSTPINFDAVNVSWPSSKGVFQDSIAQSVMSPMIDFLQQTNSYLMVNFYPYIAWANSKGQISRDYATFGPNAGVVDPWSHITYYSLFDAQLDAVYYAINQVSGDSVRASMAQTRWGHPTPRVPVKCSECGHPSGGQFRKLTTQADAEVDVATKANAQAFNNGIIRRALFGASGMPDVSVYIFALFNENKKAGASVERNFGLFYPNGTKVYEVDFHGGGICPTKASWCVANLAVGNSRLQAALDWACSNGADCSAIQQGKPCFEPNTMVAHASFAFNDYYQRMGQANGTCDFAGAAYIVFQPSESICDPNPSWCIANPAVGDMRLQAALDYACGSCADCSAIQPGARCFEPNTKVAHASYAFNDYYQRVGRVSGSCDFGGAGSITYQAPEIGNCVLPPMLELDKAY >ORUFI07G18100.1 pep chromosome:OR_W1943:7:18365948:18369475:1 gene:ORUFI07G18100 transcript:ORUFI07G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGYVYGGGILAVVLAVVVVTLPLPATAAPVAECDPGVANTNVTGNSAFDRNLGLLAAALAANASAAGAPGFAVRTAGAAPDQVYALALCRGDVNASACRACVAAAFVDAKGVCPGGISLYEDACLIRFTGQSFMDFLRPDKWQVSQMTETDLLLVLNSWIPGQASGNVKVPEVGWFNAAVAKILAALVEHAWATTTTTTTGNNSTTTIKYFATGEESFNPKIYGFAQCVPVLTPEQCKECLRSLHDNAKTVYMGNSLRWVGIYSVWCRLMYSVRPFYGGRATLQLSPPPPPVVETPVEAGAGKKKSAAGVAAGLACSVLVLLILAVFAFVRFKRRTKAVEADHPLKKITRAQCMIFDLPTLQEATENFSENNKLGEGGFGSVYKGVLSDGQEVAVKKLLGTSGHGLDQLHNEVLLLAELQHKNLVRLHGFCLHQGETLLVYEYIKNGSLDNFLFGNFTSHSNISYFFTLLEEIPKDINRGNALNWEQQYNIILGIAKGILYLHEDSSLRIIHRDLKSNNILLGEDMEPKIADFGLARLLGEGHTHTRTTRVVGTFGYMAPEYAIDGNVSTKIDIFSFGVLVLEIVTRRRNCNSDDHDLVNLLSDVWNCWTKGTVSQMIDQSLHGYSQSQALRCIHIALLCVQSDPNDRPQISSVIFMLTRENMELQPPAQPAFFFGGGSASSSPSFGQRSYVYDRCGFDNISVNGVTLTEPYPR >ORUFI07G18110.1 pep chromosome:OR_W1943:7:18377035:18379086:-1 gene:ORUFI07G18110 transcript:ORUFI07G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALAHLILAAALPLLFLSRADGGEVGVCYGRDGNNLIDPPSVVSLLKAKGITMVRIYDADPTVLNALANQNIKVMVAMSNRDLVAGSAKDFNSALSWVKNYVLPYYRSSQINGVAVGNEVFQQAPDLTSQLVSAMRNVQAALARLGLADAIKVSTPISFDSVKVSFPPSAGVFQDNIAQSVMSPMIDFLQQTNSYLMVNFYPYIAWANSNGQISRDYAVFGPNASPVVDQASGITYHSLFDAQLDAVYFAIDHVSGGSVRVSMAQARRGRPSPRIPVKCSECGHPSGGRLPQLSTLDDVQVDVATKANAQAFNNGLISRALFGATGMPDVSVYIFALFNENLKGGASVEQNFGLFYPDGTEVYQVDFHNGGGGNVCPTKASWCVANSAVGSTRLQAALDWACSNGADCGAIQPGKTCFAPNTLVAHASYAFNDYYQRKSQASGTCDFSGAAFIVYKPSPSICDPNPSWCIAKPEVGDTRLQNALDYACGSCADCSAIQRGAQCFDPDTKVAHATYAFNDYYQTTGRASGSCDFNGAATIVTQQPKIGNCVLSPNNS >ORUFI07G18120.1 pep chromosome:OR_W1943:7:18388843:18389373:1 gene:ORUFI07G18120 transcript:ORUFI07G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXGGGAEVRCSGEAQVPICHRANRCWQLPPVEGLPQLDPTIAAPPQLDPIVTRPLLLDLAATVRSGCCKASDRIEALAIVEEEELRQAVTAVVVVAPEVDGGGCAPPGTGDGRLATLPPSRESRYA >ORUFI07G18130.1 pep chromosome:OR_W1943:7:18398099:18399163:-1 gene:ORUFI07G18130 transcript:ORUFI07G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELRALAAVSAAAAAAMAYARFATARLAPGVPRLSALLPVLALLPFLPFAFASIHLRTISAFSLVWLCAFKLLLLAAGRGPLHPSLPLVRFAACAALPIKVVDDEKRKPTTSTSSSSRRLAPAFVLSYAAKAAVFAALVSARCYREGMPAYAVVAFDGAHVYLMLELFLASAAAAARVVLGAELEPQFDRPYLATSLADFWGRRWNLMVPAVLRPSVYLPVRARHGAAAGVAAAFLVSGLMHEVLFYYITLDPGCTTGEVTAFFALHGACVVAERWWLEEARRRAWRWRAPRRAVATAMTLAFVTGTGSWLFFAPVTRSGLDKAIVAECEGFMAFLEEAGWKAAAAARLLPS >ORUFI07G18140.1 pep chromosome:OR_W1943:7:18400431:18416501:-1 gene:ORUFI07G18140 transcript:ORUFI07G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDDAAPAATKISISGAALAALLQRCGMADGDCDGLLSGRASTTPAPPPSLSDYDDHAPAQSAPAISLSISGHSSLSRPSSLSDPLGRFHPSASAAAAGPASIGFFSSRRRTALRPSMRELSLAHSLSKSLALAHPLLFLLVSPSSPNLSTHSYDHRAFLLIGSRLVPTSLHVVNVGPVFRDQYHSFAPDSPMPWLPLAQHSSSSSAAAGDAHTIGEQNAVDGVVDGFGLGKLQGILGSVAGQAAEMDGMYAGMLRRLEKLAREVEKSNLLVLKQSRHGICIIVWKKKAHGTEDENGGTSCGKLVWKGRLALCSAMCRGSVLLLRVHAALLLLAALPALIAGQPWEICGENGNYTANSTYQANLKQLAAALHKNVSSGTGGGRLFASGAVGAVPDAVYALALCRGDINASACADCVGTIFQDAQQLCPYRKEVSIVYDSCYLRFSNLDFLSSADNSGVVDLYNTGTVSGDVGRYDRAVTGLLNATARYAAGNTNASSRLFATGVMVGFDAQFPKIYAMAQCSPDLSPAQCGLCLGAMVARWWQTFEPNTQGARSVGARCNMRVELYSFYNVPSMLQLQAEAVAPSPSPAPAPAGKPPAVPGTTGGKRRNGAGKVLAIVMPIVAAILAVTVIGFCFWRRRRPEKTPPPGPLRSASRSEDFESIESLFLDLSTLRIATDNFSENNKLGEGGFGVVYKGSLPHGEEIAVKRLSQSSVQGMGELKNELVLVAKLQHKNLVRLVGVCLDEHERMLVYEYMPNRSLDTILFDAEKSSLLDWGRRLKIINGVARGMQYLHEDSQLKIVHRDLKASNVLLDSDYNPKISDFGLARLFGGDQTQDVTNRVVGTYGYMAPEYAMRGHYSVKSDVFSFGVLVLEIVTGRRNSGSYYSEQSGDLLSIIWEHWTMGTIMEMVDRSMGERAAGGEIARCIHVGLLCVQENPASRPAMSAVNVMLSSGTVSLKAPSRPAFYIRKGGGDDGGGTGSYSGSFVGTLPSSGRSAPMSPNEVSITELEPR >ORUFI07G18150.1 pep chromosome:OR_W1943:7:18420191:18420409:-1 gene:ORUFI07G18150 transcript:ORUFI07G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDEDAAPALSRCEMVTGGDCDGLSSAAPSDHDGNSSHQSPIPPPPPPFPHSSVSLSPHDTAHPARCSSN >ORUFI07G18160.1 pep chromosome:OR_W1943:7:18420671:18426747:-1 gene:ORUFI07G18160 transcript:ORUFI07G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFYTHHLPSQFTPRLLLLLHIEAYSREALEEEQEQPRNTPPPSPPHPSSVQRDRLLLFFFFSMEHRADRIFLPRVKMMVKKKTSWSQIVKNGRPANTSVTTRNLRPQDLGAVIFGCTNNTIAECHSRQLFGLPRTHLSYVQNIKEGLPLFLFNYDDRKLYGIYEAASNGKFCPESNAWSQDGKGKTSYPAQVAMRIKVWCVPLAESQFRGAILANYYQRMPGAPGQKLHFFQFELDHAQTRALMDMFTPSPSTNNGWSPPVAQPADEHVRSSWAPVYAGNNGLKSEKIVKSYADVVNNNKFEQVRTGDVVHVDAEHASSGNEHVNGFDDLDCGDTTPESEEYALSGKEVEVQQQQQQQQQEQSGQQDKLSFKGVLEKLKMLSVQQRKSTFYANATETESIDAYSCKDVQDDLPENLDSEVDQFSWGHSKLLMHSLDSESCTEVKLVDIVKELYERIAIMEKKQAWSNKELKYLQGVNERLLKRVVELKGTVKTLNSKIDPLTLDDSLSQFVEQCLGSEDVIYLVGGFDGFSHLPSLDSFSPSLDVLTPLKSMAVGKSYASTVALDGKIFVLGGGDGVCWFDTVDCYDRRRDDWTTCPSFTHDKGSLAAVSFNGKIYAYGGGDGNECFSDVEVFDPAYGKWIKNQSMLDKRFALAGVELNGAIYAVGGFNGVQYLSSAERLDPREPSWKRLPKLSTGKGCHTLAVLDDKIFSIGGYDAEAKTMVATVELYEPRMPSWVMAEPMNYNRGYHSSAVLGGSIFAFGGVKGEGDAILDVVERYKEGCGWVTTESRSIGRRCYCSAIDFTEADVGLAGFTIPAITNHI >ORUFI07G18170.1 pep chromosome:OR_W1943:7:18432049:18463079:-1 gene:ORUFI07G18170 transcript:ORUFI07G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAHHLAGVAAAFLLAVLLHVHLAAGEDEPPPWYLCDPYSASGRYSENSTFQANVNRLSATLPRNTSSSPAMYATGAAGDVPDKVYGYALCRGDVADAHACERCVAAALRDAPRVCPLVKDALVFHDLCQLRYSNRNFLLDDDYYCRNMNSAIIKGEYAMRGQYSIKSDVFSFGALVLEIITGRRNFGSYGSDHDVDLIYVRSSRLVSAPAPAAVAAFDAAVAMLANATAEYAAAANTSRRYGTAEEEGVDGDGDSGRPRMYALAQCTPDKAADVCRACLTTLTTVQLPKLYSGGRTGGGVFGVWCNLRYEVFPFFSGRPLLHLPAFVEAPPPATSAAATRRGEKKRNKTGIVLAIVMPTIAAMLLIVVAYFCCWRRRRPEEQTFLPYDIQSIDSLLLDLSTLRAATDDFAETKMIGRGGFGMVYKGVLPEGQEVAVKRLCQSSGQGIEELKSELVLVAKLYHKNLVRLIGVCLEQQEKILVYEYMSNKSLDTILFDIDKNIELDWGKRFKIINGIAQGLQYLHEDSRLKIVHRDLKASNILLDFDYNPKISDFGLAKIFDGDQSKDITHRIAGTYGYMAPEYAMHGHYSVKLDVFSFGVLVLEIVTGRRNSGSYDSGQDLDLLNHVWGHWTRGNVVELIDPSLGNHPPIEQMLKCIHIGLLCVQKRPASRPTISSVNIMLSSNTVRLPSLSRPAFCIQDVSAIALLLALLHGPLAEAQPLPWQLCNATAGNYTEGSAYQANVRALASALPANASSSRALFAEGAAGTAPDKVYAIALCRGDTNASSCAACLAAAFDTAQQLCAFNRRATLFNDPCILRYSDQDILANVTDNRGMFVAWNYNNVSTGRMAVFDATSGQLVNTSGDYASAVYDAFSGMLVNATADYAAKDSVRRFGTGEMGFNVFDSPYHNIFSLAQCTPDMSEADCRSCLGDIIRRMMPKYFVGKPGGRVFGVRCNFRFEAYEFFSGRPLLQLSGLPPSPPPPGLSAPSGLPPSPPGLRPAASGNINNREGRNKPGTQILVIILPLVAISSVAAISICMWNIRKKRRWRRAENLSAPDTAEDFESIKSTLLSLSSLQVATDNFDENKKLGEGGFGAVYKGLLSGQEVAVKRLAKGSSQGLEELKNELVLVAKLHHRNLVRLVGFCLEEGERMLVYEYMPNKSLDFFLFDPEKRSGLDWVTRFKIIEGVARGLQYLHQDSRKKIVHRDMKASNILLDIDMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMSPEYVMRGQYSTKSDVFSFGVLIIEIVTGQRNNRPYLFEQNEDIISTVWRRWSDGTVAKMIDHSLGKNYPEAEVLKCINIGLLCLQENPVNRPTMADIMVLLNSNASSSIPAPAARPTFSFDGSSRYSQTITQLSARRAATTEQGRLKNELVLVAKLQHKNLVRLVDVCLEEHDKMVIYEYMPNRSLDTILFGSFSIAKISAVQLYKCTTQVPHANWGRRLKIIHGIARHLQYLHEESRLKIIYRDLKTSKLQFNPNISDFGWRGSLDATSRNIRAVNQLLEGGPTSDKASVRPAVCRRSDQQQHCSQTGVDLTSSTSGSKEDNSAGAGRSERRRTGSEAAARRLMEESRRRVQRRGAVDAVPAVGGSMASAGGGGEAAHAAMAYAAAPRWGLGKRRERDSFATIFLACGGKAGNSAGGERFDPRLQRVPLRHGVFAVVEAKLGDPGFGDGCAAAVLVLVRFNKDVFVGPVLMGRAVGALVASASALAGAAAPLNGLVAAIRSPLVDELHARGELPRLVSLLCSADPRIRTLALEFALRVGYYGRKEIVDALLAEGLVKRHLALEGVVAAVATAAPPWFDSCTCLVHSCQS >ORUFI07G18180.1 pep chromosome:OR_W1943:7:18466080:18472981:1 gene:ORUFI07G18180 transcript:ORUFI07G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVATAMRRRRNLTPCDSRLATAVPLLVAFLLLLHAPPLAEAQPLPWQMCDTAGGNYTEGSTYQANVRALASALPVNASSSRALFAKGAAGAAPDVVYAIALCRGDTNASSCAACVATAFQDAQQLCAFNRRATMFDDPCILRYSDQDILANATDGQGNFIAWNYNNVSAARAAAFDAASGRLVNATAGYAAADPVRRFGTGEVGFDDATYPRIFSLAQCTPDLSEADCRSCLGRIIRWVPQYFAGKPGGRVFGVRCNFRFESYSFFSGRPLLQLPGPPPAPAPSPANMAPPSPPGTMGGRTRHRTGRVLAITLPIAGAILALIVLTCFCFWRRRTPARKASPVPYSTNPDDIQSIDSLLLDLSTLRAATDNFAERNKLGEGGFGIVYKGVLPEGREIAVKRLSQSSRQGIEELKTELVLVAKLRHKNLVSLVGVCLEEGEKLLVYEYLPNKSLDTILFDYEKSKDLDWGKRLNIVSGVARGLQYLHEDSQLRVVHRDLKASNVLLDFDHNPKISDFGLAKLFEWDQTQDVTSHIAGTYGYMAPEYAMRGQYSVKSDAFSFGVLIIEIVTGRRNSSFSNSEQSIDLLSLVWEHWTTGTIEELLDPAIGSRAVNVLLKLINIGLLCVQDNPADRPAMSAVNVMLSSDTVSLQAPSRPTFSIQEMDGAADTDLYESGAYPRSAFQPTGDGNTRAAAAASPNELSLSELEPR >ORUFI07G18190.1 pep chromosome:OR_W1943:7:18474812:18481318:-1 gene:ORUFI07G18190 transcript:ORUFI07G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVAATAMRRGQHLPLSLSLCYNLAAVALLVAVFLHAPPRAGAQPLPWQLCNATAGNYTEGSTYQANVRALASALPGNASSSPALFAEGAAGTAPDVVYAIALCRGDTNASSCAACVATAFDTAQQLCAFNKRATLFNDPCILRYSDLDILANVTDNSGRFVAWNYNNVSAAKAAAYDAASGRLVNATADYAAADPVRRFGTGEVGSDDATYPRIFSLAQCTPDMSEADCRSCLGDIIRKATPKYFVGKPGGRVFGVRCNFRFEAYEFFSGRPLLQLSGPPPPPANMTPPVTSEALAFIALTCFCFRRKRTPANKASSLPFSTNPDDIQSIDSLLLDLSTLRAATDNFADRNKLGEGGFGAVYKNLVSLVGVCLEGDEKLLVYEYMPNKSLDTILFDYEKSKDLDWGKRLKIVSGVARGLQYLHEDSQPRVVHRDLKASNVLLDFDHNPKISDFGGYMAPEYAMRGQYSVKSDAFSFGVMILEIVTGRRNSSFSNSEQSIDLLSLVWEHWTTGTIEELLDPAMRGRSADDLLKLINIGLLCVQDNPADRPMMSAVSVMLSSETFSIQAPSRPTFCIQETDSAADTDLYSSALRSTGDSKTRAVASPNEVSLTELEPR >ORUFI07G18200.1 pep chromosome:OR_W1943:7:18484757:18490313:1 gene:ORUFI07G18200 transcript:ORUFI07G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHRPYLDGVAAAAATFLLAVLLHAPLAAGEDEPPPWVLCAPYPPSGNYSKNGTYQANLDLLSTTLPKNTSSSPAMYATGTVGDVPDKVYGLALCRGDANASACERCVAAALRDAPRRCPLVKDVLVFYDLCQLRYSNRDFFLDDDYFVTTYTLQRSRRVGAAAAAAFDAAVAVLVNATADYAAADSSRRYGTGEEEGVDGDSDRPKIYALAQCTPDKTPEVCRTCLSTVIGQLPKEFSGRTGGGMFGVWCNFRYEVFPFFSGRPLLQLPAFVETPPPPPSPSATSGEKTKNRIGTVLAIVMPAIAAILLMVVACFCCWKRIKKRRPEEQTFLSYSVSSDDIQSIDSLILDLPTIRVATDDFADTKMIGQGGFGMVYKVENHTTCTFISYIFVGYINLVRLIGVCLEQQEKILVYEYMPNGSLDIVLFASDTDKNRELDWGKRFKIINGIARGLQYLHEDSQLKIVHRDLKASNILLDFDYSPKISDFGLAKIFGGDQSEDVTNRIAGTYGYMAPEYAMRGNYSIKSDVFSFGVLVLEIITGRRNTGSYDSGQDVDLLNLVWEHWTRGNVVELIDPSMGDHPPIEQMLKCIHIGLLCVQKKPASRPTISSVNIMLSSNTVRLPSLSRPAFCIQEVSASDSSNPYSERYARPRHSGYSDNSTVVSSNDLSITELVPR >ORUFI07G18200.2 pep chromosome:OR_W1943:7:18484757:18487964:1 gene:ORUFI07G18200 transcript:ORUFI07G18200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHRPYLDGVAAAAATFLLAVLLHAPLAAGEDEPPPWVLCAPYPPSGNYSKNGTYQANLDLLSTTLPKNTSSSPAMYATGTVGDVPDKVYGLALCRGDANASACERCVAAALRDAPRRCPLVKDVLVFYDLCQLRYSNRDFFLDDDYFVTTYTLQRSRRVGAAAAAAFDAAVAVLVNATADYAAADSSRRYGTGEEEGVDGDSDRPKIYALAQCTPDKTPEVCRTCLSTVIGQLPKEFSGRTGGGMFGVWCNFRYEVFPFFSGRPLLQLPAFVETPPPPPSPSATSGEKTKNRIGTVLAIVMPAIAAILLMVVACFCCWKRIKKRRPEEQTFLSYSVSSDDIQSIDSLILDLPTIRVATDDFADTKMIGQGGFGMVYKVENHTTCTFISYIFVGYINLVRLIGVCLEQQEKILVYEYMPNGSLDIVLFASDTDKNRELDWGKRFKIINGIARGLQYLHEDSQLKIVHRDLKASNILLDFDYSPKISDFGLAKIFGGDQSEDVTNRIAGTYGYMAPEYAMRGNYSIKSDVFSFGVLVLEIITGRRNTGSYDSGQDVDLLNLVWEHWTRGNVVELIDPSMGDHPPIEQMLKCIHIGLLCVQKKPASRPTISSVNIMLSSNTVRLPSLSRPAFCIQEVSASDSSNPYSERYARPRHSGYSDNSTVVSSNDLSITELVPR >ORUFI07G18210.1 pep chromosome:OR_W1943:7:18491472:18495356:1 gene:ORUFI07G18210 transcript:ORUFI07G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLCRSIPRDPTPPTRRRPLFIFTSMQPAPAERHRDRLEQASQVWASTAQIDDRHQFPDHCRLRSGEEDLEAKLLSLYDNNC >ORUFI07G18220.1 pep chromosome:OR_W1943:7:18492560:18493972:-1 gene:ORUFI07G18220 transcript:ORUFI07G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFGSLLLDLSTLRTATYDFSEHKRLGEGGFGVVYKGDLPEGQEIAVKRLAKTSRQGLEELKTELLLVAKLNHNNLVRLIGVCLEENEKILVYEYMPNRSLDTILFDAEKIKELDWGQRFKIINGIARGLQYLHEDSQLKIVHRDLKASNVLLDSAYNPKISDFGLAKIFERDQSQVITHRIAGTYGYMSPEYAMRGQYSIKSDVFSFGALVLEIITGRRNFGSYGSDHDVDLIYVVSTWTQLFYTETWEHWTKGEAMELIDPSLGSHYPVDKVLKCIHIGLLCVQPKPADRPLMSAVNVMLSSTVCLPSLSRPAFWFHEIGATASSDVNSEWNLHYPLNSRKTSQNEAPITELEPR >ORUFI07G18230.1 pep chromosome:OR_W1943:7:18499866:18503695:-1 gene:ORUFI07G18230 transcript:ORUFI07G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATTASLTGVTAATAGLVLLLLMLHAPLTDAQPMPWQLCNTSSGNYTANSTYQANIRYLATSLPAYASSSPSLFASGSSGAPPDSIYALALCRGDTTNASSCAACVAAAIRSAQQLCPLAKSATIYDDPCILRFSNEAFPISPPYNRGIYVAWNYDNVSAAVAPAFAAAVARLVNATADYAAADSVRRFGTGEEAFDATYPRIYSLAQCTPDMTAADCRSCLGDMIAQMTPKYFVARPGGRVLGVRCNFRFETYPFFFGQPLLQLPGPSPSPAPPMTGAGEKSKNKTSAILAISIPTVAVVLAIGVGVAWFCSWRWRSRRLAAKTLRPNSSLDDMQSFGSLILDLSTLRTATDDFSEHRRLGEGGFGVVYKGDLPEGQEIAVKRLAQTSRQGIEELKTELLLVAKLNHNNLVRLIGVCLEENEKILVYEYMPNRSLDTILFDVEKIKELDWERRFKIINGIARGLQYLHEDSQLKIVHRDLKASNVLLDSAYNPKISDFGLAKIFGRDQSQVITHRIAGTYGYMSPEYAMRGQYSIKSDVFSFGVLVLEIITGRRNFGSYGSDHDVDLIYVAWEHWTSDIAIELIDPSLGNNYPIDKVLKCIHIGLLCVQPKPADRPLMSAVNVMLSSTVRLPSLSRPAFCYQEIGASSDVNSEQNLLGPYNSTKMYQDEAPVTELEPR >ORUFI07G18240.1 pep chromosome:OR_W1943:7:18507192:18508117:1 gene:ORUFI07G18240 transcript:ORUFI07G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMACYYLAAAAGLVLLLLLHAPLTDAQPLPWHRCNISSGNYTENSTYHANIRYLATSLPAYAASSRSLFVSSSGTPPDGIYALALCRGDTSVSSCASCVAAAIQSAQQHCPLIKTVTVYDDPCILRFSNEAFPISPPYTKGLFIAWNDRNVSAAATPAFEAAVLRLGNATADYASTDSVRRFATGEVGFGDDVTYPRIFSLAQCTPDMTATECRSCLGEIITRMIPQYFVGRLGGRVFGVRCNFRFETYPFIFGQTMLQLPVPSPSPAPPVTGALIFSL >ORUFI07G18250.1 pep chromosome:OR_W1943:7:18514401:18526166:-1 gene:ORUFI07G18250 transcript:ORUFI07G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSSLVHAVLLAVAAVALPLAAGQPWPSCGTGGTYAANSTYETNLLDLISALQGNASSSPTLYASGAVGSGGRDAVYGVMLCRGDLSTSDCNDCGTRAGQDVGRVCNRTRDAALVYNQCYVRVSDADFLAAATNNSGEVALMSSTNITRAADVRAYDAAVVSLLNATVRYAVENSTRMFATGQRVGSDPGFSDIYSMAQCSPALSRPLCRSCLDGLVGQWWDTFPVNVEGARIAGTRCNLRSELNQGTFYTGQPMVNNSASKVLVIVVPIVAVAIVAATSFCIWNVRRKRRSRKAEHFSELDASEDLESVKSTLITLASLQGLLFGQEVAVKRLAKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEEGERLLVYEYMPNKSLDIFLFDSEQSRQLDWATRFKIIQGIARGLQYLHQDSQKKIVHRDMKASNVLLDADMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMSPEYVIRGQYSTKSDVFSFGILVRRHWEEGNIVEMIDHSLGRNYPEAELLKCVSIGLLCVQQNPVDRPTMADVMVLLNSDATSTLPAFPTHSPTISIEGNSAASTGAMRRRSTFAVLLFAAAALPLAAGQPWQLCGRRGGGGGGGKYTANSTYDTNLQSLIAALQQNASTSPTLFAAGALGAAPDAVYGLILCRGDVSSSDCYDCGTRAGQDVAPACNRTRDAILVYNQCYTRFSAAGDFLASANNSGQAPLMNSDNVTTADVAGYDRAVTELLSATLMYAVVNTTRLFATGQRVGADPGFPNIYSAAQCTPDLSPALCRSCLEDLVARWWKTFPRTTVGARIVGTRCSLRSEVSQDKFYTGAPMLKLWADGLSPAAAAASPDAAAGTTGVKNNSANKILEIVLPIVAVAIVAAVSILLWNIRKKRRRGKAEHFTGPDAAEDFESVKSTLLSLASLQVATDNFNESMKLGEGGFGVVYKGLLFGQDVAVKRLAKGSNQGLEEVKNELVLVAKLHHKNLVQLVGFCLEEGERMLVYEYMPNKSLDTFLFGTTDITPEFRYVTIFSYEEKRRQLDWTTRFRIIEGIARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTREITNRIVGTFGYMSPEYVMHGQYSMKSDVFSFGILIIEIVWRHWAEGNIKEIIDHSLGRNYPEGEVLKCVNIGLLCVQRNPIDRPTMADVMVLLNSDATTTLPAPVVHIPAASFSDGSSGYSQTVTQLSPR >ORUFI07G18250.2 pep chromosome:OR_W1943:7:18514401:18526166:-1 gene:ORUFI07G18250 transcript:ORUFI07G18250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSSLVHAVLLAVAAVALPLAAGQPWPSCGTGGTYAANSTYETNLLDLISALQGNASSSPTLYASGAVGSGGRDAVYGVMLCRGDLSTSDCNDCGTRAGQDVGRVCNRTRDAALVYNQCYVRVSDADFLAAATNNSGEVALMSSTNITRAADVRAYDAAVVSLLNATVRYAVENSTRMFATGQRVGSDPGFSDIYSMAQCSPALSRPLCRSCLDGLVGQWWDTFPVNVEGARIAGTRCNLRSELNQGTFYTGQPMVNNSASKVLVIVVPIVAVAIVAATSFCIWNVRRKRRSRKAEHFSELDASEDLESVKSTLITLASLQGLLFGQEVAVKRLAKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEEGERLLVYEYMPNKSLDIFLFDSEQSRQLDWATRFKIIQGIARGLQYLHQDSQKKIVHRDMKASNVLLDADMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMSPEYVIRGQYSTKSDVFSFGILVRRHWEEGNIVEMIDHSLGRNYPEAELLKCVSIGLLCVQQNPVDRPTMADVMVLLNSDATSTLPAFPTHSPTISIEGNSAASTGAMRRRSTFAVLLFAAAALPLAAGQPWQLCGRRGGGGGGGKYTANSTYDTNLQSLIAALQQNASTSPTLFAAGALGAAPDAVYGLILCRGDVSSSDCYDCGTRAGQDVAPACNRTRDAILVYNQCYTRFSAAGDFLASANNSGQAPLMNSDNVTTADVAGYDRAVTELLSATLMYAVVNTTRLFATGQRVGADPGFPNIYSAAQCTPDLSPALCRSCLEDLVARWWKTFPRTTVGARIVGTRCSLRSEVSQDKFYTGAPMLKLWADGLSPAAAAASPDAAAGTTGVKNNSANKILEIVLPIVAVAIVAAVSILLWNIRKKRRRGKAEHFTGPDAAEDFESVKSTLLSLASLQVATDNFNESMKLGEGGFGVVYKGLLFGQDVAVKRLAKGSNQGLEEVKNELVLVAKLHHKNLVQLVGFCLEEGERMLVYEYMPNKSLDTFLFDEEKRRQLDWTTRFRIIEGIARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTREITNRIVGTFGYMSPEYVMHGQYSMKSDVFSFGILIIEIVWRHWAEGNIKEIIDHSLGRNYPEGEVLKCVNIGLLCVQRNPIDRPTMADVMVLLNSDATTTLPAPVVHIPAASFSDGSSGYSQTVTQLSPR >ORUFI07G18260.1 pep chromosome:OR_W1943:7:18526179:18557220:-1 gene:ORUFI07G18260 transcript:ORUFI07G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSLVAHAVLLFAAVALPLAAAQPWPVCGTSGGTYTAGSKYETNLDNLALILSGNASSSLFASGTVGSSPNTVYGLLLCRGDINPSDCADCGTLVVQDVGQACNRTKDKILVYNQCYAQFSNRGDFLAATNNSGEYSLLISGTNITSTDVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGNDTGFSNIYSMAQCSPDLSPAQCRSCLDGLVGQWWKTFPLNGKGARVAGPRCYLRSELGPFYTGSPMVRLPVKADGLTPASAPAPDVVPAITGGKNNSGSKILVIILPIVSVAIIMAVISLCIWNARKKRRLAKAERHPGTDTNEDFESVQSTLLSLASLQVATDNFHESNKIGEGGFGAVYKGILHGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHRNLVRLVGFCLDEGERLLIYEYMSNKSLDTFLFEQKRKLDWAVRFKIIEGIARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTREVTSRIAGTFGYMPPEYVLRGQYSTKSDVFSFGILVIEIVTGRRRNSGPYLSEQNDEDILSIVRRHWEEGAIAEMIDHSLGRNYSETEVLKCVNIGLLCVQQNPVDRPTMADVMILLNSDTTCTMPALAPRPAYLIDGTSGYSQTVTQWSGSPTIEQACSDGAMRRRSSVLHAVLLLLVVAAVALPLAAAQPWPVCGTSGGNYTAGNTYESNLLRLASTLRANASASPTLFASGVRGAGPDAVYGLLLCRGDMNPSDCFDCSTRVGDDVAQACNRTKDAILVYNQCYAQFSDTGDFLAATNNSGAYSLLISGTNISSADVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGADPGFRNIYSMAQCSPDLSPAQCRSCLDGLVGQWWTGFLFPRNGEGARVAGPRCYLRSELGSGFYTGAPMVLLRADGLSPASAPAPDVVPATTLVKKNSASKILVIALLIVAVAIVAATSLCMWTVRKKSRSAKAEHLSELDASEDLESVKSTLLTLGSLQVATDNFDESKKLGEGGFGAVYKGHLFGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEDGERLLVYEYMPNKSLDTFLFDAEQRRQLDWATRFRIIEGVARGLQYLHQDSQKKIVHRNMKASNVLLDADLNPKIGDFGLARLFGFGILVLEIVTGQRNSGLCFAEQNEDLVSVVWRHWTEGNIVEMIDYSLDRNYPEAEVQKCVNIGLLFVISYKQATSSTGAMLRRRRSSSVVHAVLFFAAVVLPLAATQPWPQCGNGSTFTAGSTYETNLKNLALTLRANASSSPTLFASGALGSAPDTVYGLLLCRGDLSSSDCADCGTRVGDDVAQACNRTKDAILVYNQCYAQFSDRGDFLAATNNSGVYSLLISGTNISSADVAGYDRAVIELLNATVQYAVENSTRLFATGQRVGTDPGFRNIYSMAQCSPDLSPALCRRCLDDLVGRWWKLFPLNGEGARVAGPRCYLRSELGSGPFYTGNPMVQLPVKADGLTPAPDVVLAITGGTNNSASKILVITLPTVTVAIVAAISLCIWNVRKKRSLARYSRPDTTEDFESVKSGLLSLASLQVATDNFHKSKKIGEGGFGEVYQGLLSGQEVAVKRMAKDSHQGLQELKNELILVAKLHHKNLVRLVGFCLEKGERLLVYEYMPNKSLDTLLFDTEQRKRLDWATRFKIIEGTARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLAKLFAQDQTREVTSRIAGTFGYMPPEYVMCGQYSTKSDVFSFGILVIEIVTGQRRNSGPYFSEQNGVDILSIVWRHWEEGTTAEMIDHSLGRNYNEAEVVKCINIGLLCVQQNPVDRPTMADVMVLLNSDATCSLPAPAPRPTSLIDGSSGYSTGYSTEWSGCNQLLQTLAERASSGDSRASGGGGGGSGTGTEGFRCGDATSCSAFHHPTTASAKLL >ORUFI07G18260.2 pep chromosome:OR_W1943:7:18526179:18557220:-1 gene:ORUFI07G18260 transcript:ORUFI07G18260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSLVAHAVLLFAAVALPLAAAQPWPVCGTSGGTYTAGSKYETNLDNLALILSGNASSSLFASGTVGSSPNTVYGLLLCRGDINPSDCADCGTLVVQDVGQACNRTKDKILVYNQCYAQFSNRGDFLAATNNSGEYSLLISGTNITSTDVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGNDTGFSNIYSMAQCSPDLSPAQCRSCLDGLVGQWWKTFPLNGKGARVAGPRCYLRSELGPFYTGSPMVRLPVKADGLTPASAPAPDVVPAITGGKNNSGSKILVIILPIVSVAIIMAVISLCIWNARKKRRLAKAERHPGTDTNEDFESVQSTLLSLASLQVATDNFHESNKIGEGGFGAVYKGILHGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHRNLVRLVGFCLDEGERLLIYEYMSNKSLDTFLFEQKRKLDWAVRFKIIEGIARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTREVTSRIAGTFGYMPPEYVLRGQYSTKSDVFSFGILVIEIVTGRRRNSGPYLSEQNDEDILSIVRRHWEEGAIAEMIDHSLGRNYSETEVLKCVNIGLLCVQQNPVDRPTMADVMILLNSDTTCTMPALAPRPAYLIDGTSGYSQTVTQWSGSPTIEQACSDGAMRRRSSVLHAVLLLLVVAAVALPLAAAQPWPVCGTSGGNYTAGNTYESNLLRLASTLRANASASPTLFASGVRGAGPDAVYGLLLCRGDMNPSDCFDCSTRVGDDVAQACNRTKDAILVYNQCYAQFSDTGDFLAATNNSGAYSLLISGTNISSADVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGADPGFRNIYSMAQCSPDLSPAQCRSCLDGLVGQWWTGFLFPRNGEGARVAGPRCYLRSELGSGFYTGAPMVLLRADGLSPASAPAPDVVPATTLVKKNSASKILVIALLIVAVAIVAATSLCMWTVRKKSRSAKAEHLSELDASEDLESVKSTLLTLGSLQVATDNFDESKKLGEGGFGAVYKGHLFGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEDGERLLVYEYMPNKSLDTFLFDAEQRRQLDWATRFRIIEGVARGLQYLHQDSQKKIVHRNMKASNVLLDADLNPKIGDFGLARLFGFGILVLEIVTGQRNSGLCFAEQNEDLVSVVWRHWTEGNIVEMIDYSLDRNYPEAEVQKCVNIGLLCVQQNPVDRPTMADVMILLNSDATSSLPAPMAHRPIYLSDGSSATSSTGAMLRRRRSSSVVHAVLFFAAVVLPLAATQPWPQCGNGSTFTAGSTYETNLKNLALTLRANASSSPTLFASGALGSAPDTVYGLLLCRGDLSSSDCADCGTRVGDDVAQACNRTKDAILVYNQCYAQFSDRGDFLAATNNSGVYSLLISGTNISSADVAGYDRAVIELLNATVQYAVENSTRLFATGQRVGTDPGFRNIYSMAQCSPDLSPALCRRCLDDLVGRWWKLFPLNGEGARVAGPRCYLRSELGSGPFYTGNPMVQLPVKADGLTPAPDVVLAITGGTNNSASKILVITLPTVTVAIVAAISLCIWNVRKKRSLARYSRPDTTEDFESVKSGLLSLASLQVATDNFHKSKKIGEGGFGEVYQGLLSGQEVAVKRMAKDSHQGLQELKNELILVAKLHHKNLVRLVGFCLEKGERLLVYEYMPNKSLDTLLFDTEQRKRLDWATRFKIIEGTARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLAKLFAQDQTREVTSRIAGTFGYMPPEYVMCGQYSTKSDVFSFGILVIEIVTGQRRNSGPYFSEQNGVDILSIVWRHWEEGTTAEMIDHSLGRNYNEAEVVKCINIGLLCVQQNPVDRPTMADVMVLLNSDATCSLPAPAPRPTSLIDGSSGYSTGYSTEWSGCNQLLQTLAERASSGDSRASGGGGGGSGTGTEGFRCGDATSCSAFHHPTTASAKLL >ORUFI07G18260.3 pep chromosome:OR_W1943:7:18526179:18557220:-1 gene:ORUFI07G18260 transcript:ORUFI07G18260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSLVAHAVLLFAAVALPLAAAQPWPVCGTSGGTYTAGSKYETNLDNLALILSGNASSSLFASGTVGSSPNTVYGLLLCRGDINPSDCADCGTLVVQDVGQACNRTKDKILVYNQCYAQFSNRGDFLAATNNSGEYSLLISGTNITSTDVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGNDTGFSNIYSMAQCSPDLSPAQCRSCLDGLVGQWWKTFPLNGKGARVAGPRCYLRSELGPFYTGSPMVRLPVKADGLTPASAPAPDVVPAITGGKNNSGSKILVIILPIVSVAIIMAVISLCIWNARKKRRLAKAERHPGTDTNEDFESVQSTLLSLASLQVATDNFHESNKIGEGGFGAVYKGILHGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHRNLVRLVGFCLDEGERLLIYEYMSNKSLDTFLFEQKRKLDWAVRFKIIEGIARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTREVTSRIAGTFGYMPPEYVLRGQYSTKSDVFSFGILVIEIVTGRRRNSGPYLSEQNDEDILSIVRRHWEEGAIAEMIDHSLGRNYSETEVLKCVNIGLLCVQQNPVDRPTMADVMILLNSDTTCTMPALAPRPAYLIDGTSGYSQTVTQWSGSPTIEQACSDGAMRRRSSVLHAVLLLLVVAAVALPLAAAQPWPVCGTSGGNYTAGNTYESNLLRLASTLRANASASPTLFASGVRGAGPDAVYGLLLCRGDMNPSDCFDCSTRVGDDVAQACNRTKDAILVYNQCYAQFSDTGDFLAATNNSGAYSLLISGTNISSADVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGADPGFRNIYSMAQCSPDLSPAQCRSCLDGLVGQWWTGFLFPRNGEGARVAGPRCYLRSELGSGFYTGAPMVLLRADGLSPASAPAPDVVPATTLVKKNSASKILVIALLIVAVAIVAATSLCMWTVRKKSRSAKAEHLSELDASEDLESVKSTLLTLGSLQVATDNFDESKKLGEGGFGAVYKGHLFGQEVAVKRMAKDVFSFGILVLEIVTGQRNSGLCFAEQNEDLVSVVWRHWTEGNIVEMIDYSLDRNYPEAEVQKCVNIGLLCVQQNPVDRPTMADVMILLNSDATSSLPAPMAHRPIYLSDGSSATSSTGAMLRRRRSSSVVHAVLFFAAVVLPLAATQPWPQCGNGSTFTAGSTYETNLKNLALTLRANASSSPTLFASGALGSAPDTVYGLLLCRGDLSSSDCADCGTRVGDDVAQACNRTKDAILVYNQCYAQFSDRGDFLAATNNSGVYSLLISGTNISSADVAGYDRAVIELLNATVQYAVENSTRLFATGQRVGTDPGFRNIYSMAQCSPDLSPALCRRCLDDLVGRWWKLFPLNGEGARVAGPRCYLRSELGSGPFYTGNPMVQLPVKADGLTPAPDVVLAITGGTNNSASKILVITLPTVTVAIVAAISLCIWNVRKKRSLARYSRPDTTEDFESVKSGLLSLASLQVATDNFHKSKKIGEGGFGEVYQGLLSGQEVAVKRMAKDSHQGLQELKNELILVAKLHHKNLVRLVGFCLEKGERLLVYEYMPNKSLDTLLFDTEQRKRLDWATRFKIIEGTARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLAKLFAQDQTREVTSRIAGTFGYMPPEYVMCGQYSTKSDVFSFGILVIEIVTGQRRNSGPYFSEQNGVDILSIVWRHWEEGTTAEMIDHSLGRNYNEAEVVKCINIGLLCVQQNPVDRPTMADVMVLLNSDATCSLPAPAPRPTSLIDGSSGYSTGYSTEWSGCNQLLQTLAERASSGDSRASGGGGGGSGTGTEGFRCGDATSCSAFHHPTTASAKLL >ORUFI07G18260.4 pep chromosome:OR_W1943:7:18526179:18557220:-1 gene:ORUFI07G18260 transcript:ORUFI07G18260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSLVAHAVLLFAAVALPLAAAQPWPVCGTSGGTYTAGSKYETNLDNLALILSGNASSSLFASGTVGSSPNTVYGLLLCRGDINPSDCADCGTLVVQDVGQACNRTKDKILVYNQCYAQFSNRGDFLAATNNSGEYSLLISGTNITSTDVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGNDTGFSNIYSMAQCSPDLSPAQCRSCLDGLVGQWWKTFPLNGKGARVAGPRCYLRSELGPFYTGSPMVRLPVKADGLTPASAPAPDVVPAITGGKNNSGSKILVIILPIVSVAIIMAVISLCIWNARKKRRLAKAERHPGTDTNEDFESVQSTLLSLASLQVATDNFHESNKIGEGGFGAVYKGILHGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHRNLVRLVGFCLDEGERLLIYEYMSNKSLDTFLFEQKRKLDWAVRFKIIEGIARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTREVTSRIAGTFGYMPPEYVLRGQYSTKSDVFSFGILVIEIVTGRRRNSGPYLSEQNDEDILSIVRRHWEEGAIAEMIDHSLGRNYSETEVLKCVNIGLLCVQQNPVDRPTMADVMILLNSDTTCTMPALAPRPAYLIDGTSGYSQTVTQWSGSPTIEQACSDGAMRRRSSVLHAVLLLLVVAAVALPLAAAQPWPVCGTSGGNYTAGNTYESNLLRLASTLRANASASPTLFASGVRGAGPDAVYGLLLCRGDMNPSDCFDCSTRVGDDVAQACNRTKDAILVYNQCYAQFSDTGDFLAATNNSGAYSLLISGTNISSADVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGADPGFRNIYSMAQCSPDLSPAQCRSCLDGLVGQWWTGFLFPRNGEGARVAGPRCYLRSELGSGFYTGAPMVLLRADGLSPASAPAPDVVPATTLVKKNSASKILVIALLIVAVAIVAATSLCMWTVRKKSRSAKAEHLSELDASEDLESVKSTLLTLGSLQVATDNFDESKKLGEGGFGAVYKVWRHWTEGNIVEMIDYSLDRNYPEAEVQKCVNIGLLCVQQNPVDRPTMADVMILLNSDATSSLPAPMAHRPIYLSDGSSATSSTGAMLRRRRSSSVVHAVLFFAAVVLPLAATQPWPQCGNGSTFTAGSTYETNLKNLALTLRANASSSPTLFASGALGSAPDTVYGLLLCRGDLSSSDCADCGTRVGDDVAQACNRTKDAILVYNQCYAQFSDRGDFLAATNNSGVYSLLISGTNISSADVAGYDRAVIELLNATVQYAVENSTRLFATGQRVGTDPGFRNIYSMAQCSPDLSPALCRRCLDDLVGRWWKLFPLNGEGARVAGPRCYLRSELGSGPFYTGNPMVQLPVKADGLTPAPDVVLAITGGTNNSASKILVITLPTVTVAIVAAISLCIWNVRKKRSLARYSRPDTTEDFESVKSGLLSLASLQVATDNFHKSKKIGEGGFGEVYQGLLSGQEVAVKRMAKDSHQGLQELKNELILVAKLHHKNLVRLVGFCLEKGERLLVYEYMPNKSLDTLLFDTEQRKRLDWATRFKIIEGTARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLAKLFAQDQTREVTSRIAGTFGYMPPEYVMCGQYSTKSDVFSFGILVIEIVTGQRRNSGPYFSEQNGVDILSIVWRHWEEGTTAEMIDHSLGRNYNEAEVVKCINIGLLCVQQNPVDRPTMADVMVLLNSDATCSLPAPAPRPTSLIDGSSGYSTGYSTEWSGCNQLLQTLAERASSGDSRASGGGGGGSGTGTEGFRCGDATSCSAFHHPTTASAKLL >ORUFI07G18270.1 pep chromosome:OR_W1943:7:18528578:18529147:1 gene:ORUFI07G18270 transcript:ORUFI07G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPHRLRCLLLWLRSPPSSSSSAGAFSSAPSRGCPLHAALSQRCAPAVASLALYYRIREASPPTPFTFSLLLAALASSSFPPLPHPQALRASPTSMHSSAMRSRTPPDGAMEIAEVCDDCACARAMEVHQQEEYVVAGRRRPCRRRRGKGKACAGTGPCRYQLPPQALPLAREGDEVRNGNEEGGRG >ORUFI07G18280.1 pep chromosome:OR_W1943:7:18558514:18561781:1 gene:ORUFI07G18280 transcript:ORUFI07G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRRPATASASIQVSQLLQVFRIGKPDTSHLKSPQASHFVNDPEMQKMLLEACNQMEKLVLQAKQSPAAMQLLRDELIDLGVKLNAMVPEKELSQIEEFESYLGCSIPSQIEIHPPSDTRSRGKIKRIKGHHDKEPKQNKKRKKIERVPRKCKKCGHVGLHDSRNCPNKVTQQ >ORUFI07G18290.1 pep chromosome:OR_W1943:7:18574246:18575158:1 gene:ORUFI07G18290 transcript:ORUFI07G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSVALLAAVMAVAAVASTAVAKDYTVGGSYGWDTYVDYDKWAAGKTFIVGDTITFKYEPYHNVVEVPAETDYDGCVSTNPVSVHSGGNTTFELAAAGTRYFICSIPRHCLNGTMHVKVTTVPYSASAAAAAAAAADAGPSPAPLPSPPADEQQHRSNSASSPAAGPSSSAASTPRHRKQPAVAVAGLALAALVAMAA >ORUFI07G18300.1 pep chromosome:OR_W1943:7:18576132:18578786:-1 gene:ORUFI07G18300 transcript:ORUFI07G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEGSTWVFDCPLMDDLATAAGFDAAPAGGFYWTTPAPPQAALQPPPPQQQPVAPATAAPNACLVVLFFMYLLLYIIVTYGILKYKFIFFTSAEINGSVDCEHGKEQPTNKRPRSESGTRPSSKACREKVRRDKLNERFLELGAVLEPGKTPKMDKSSILNDAIRVMAELRSEAQKLKESNESLQEKIKELKAEKNELRDEKQKLKAEKESLEQQIKFLNARPSFVPHPPVIPASAFTAPQGQAAGQKLMMPVIGYPGFPMWQFMPPSDVDTTDDTKSCPPVA >ORUFI07G18300.2 pep chromosome:OR_W1943:7:18576132:18578786:-1 gene:ORUFI07G18300 transcript:ORUFI07G18300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEGSTWVFDCPLMDDLATAAGFDAAPAGGFYWTTPAPPQAALQPPPPQQQPVAPATAAPNACAEINGSVDCEHGKEQPTNKRPRSESGTRPSSKACREKVRRDKLNERFLELGAVLEPGKTPKMDKSSILNDAIRVMAELRSEAQKLKESNESLQEKIKELKAEKNELRDEKQKLKAEKESLEQQIKFLNARPSFVPHPPVIPASAFTAPQGQAAGQKLMMPVIGYPGFPMWQFMPPSDVDTTDDTKSCPPVA >ORUFI07G18310.1 pep chromosome:OR_W1943:7:18579801:18582548:1 gene:ORUFI07G18310 transcript:ORUFI07G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIHRTKPRTSSKNFQIWTNIARTASLLPIMITTSSPSSQPVRLQQRWTCRSRAASPAPLLPPPATGRRRRRRLVADSQKTAASLRVRAIAAESEQASPLPEPPPLLTEEEEEEEKMLANYVPVYVMLPLGVVTAENELEDAAGLRARLRRLRREAGVDGVMADVWWGIVEGAGPARYEWRAYRELFRVAQEEGLKVQAIMSFHACGGNVGDAVTIPLPRWVRDVGDDDPDVYYTSPGGARNHEYLTIGVDVRPLFHGRTAIQLYADFMKSFRENMGDFLDSGLIVDIEVSLGPAGELRYPSYPESQGWEFPGIGQFQCYDKYLEEDFRAAATEAGHPEWELPGDAAGEYNYTPEDTRFFAADGGTYLTEAGRFFLTWYSSKLLEHGDRVLDEANMAFLGCSLKLAAKDCCPDPARYGRPMRPLERSGPEVAIERLLDATAPEPPYPFDGETDMSVGGGLAELIDWVFDKVEWIFC >ORUFI07G18320.1 pep chromosome:OR_W1943:7:18593648:18596842:1 gene:ORUFI07G18320 transcript:ORUFI07G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLYFIKPSSVSNKTVKLVFSEHTQPATMAGNLLANYVQVNVMLPLDVVTVDNKFEKVDETRAQLKKLTEAGVDGVMVDVWWGLVEGKGPGSYDWEAYKQLFRLVQEAGLKLQAIMSFHQCGGNVGDIVNIPIPQWVRDVGASDPDIFYTNRGGARNIEYLTLGVDDQPLFHGRTAIQMYADYMKSFRENMAEFLDTGVIVDIEVGLGPAGEMRYPSYPQSQGWVFPGIGEFICYDKYLEADFKAEAAKAGHPEWELPDDAGEYNDTPEKTRFFADNGTYVTEKGKFFLTWYSNKLIKHGDKILDEANKVFLGCRVQLAIKISGIHWWYRVPNHAAELTAGYYNLDNRDGYRTIARMLTRHRACVNFTCAEMRDSEQSSEAKSAPEELVQQVLSAGWREGLHVACENALGRYDATAYNTILRNSRPTGINKNGPPEHKLFGFTYLRLSDELLEGQNYSTFKTFVKRMHANLDYNSNVDPLEPLQRSMPEMPIGKILQAAHPKLAPFPFDENTDLPV >ORUFI07G18330.1 pep chromosome:OR_W1943:7:18593751:18594552:-1 gene:ORUFI07G18330 transcript:ORUFI07G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLVIHSKGEVLDVPRPTTVGVEDVGVARADIPHPLRDRDVDDVADVTTALVERHDGLQLQPGLLHQPEQLLVGLPVDPGPFPSTSPHHTSTMTPSTPASVSFFSCARVSSTFSNLLSTVTTSSYISRNRIQDAHGLFILAKRRGGLFSTGGNQPILFFQVPTSSEKIRDGVLCPL >ORUFI07G18340.1 pep chromosome:OR_W1943:7:18600103:18601005:1 gene:ORUFI07G18340 transcript:ORUFI07G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDIQQHYSVESPNTTCLHKGYGIKDTVVVHPKTDMFSPIVSHQKKRREATTLITVYQATTLITVYRAPSQCDFLPSSVREGMGREKHRCGSRHYMWRARPQVTGDGDRRYVEEGVNSDGKGGMGIERCLQWPSQPIFPYLL >ORUFI07G18350.1 pep chromosome:OR_W1943:7:18611223:18615172:1 gene:ORUFI07G18350 transcript:ORUFI07G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVTVGGGGEHRVQVAAAKPCGGQQQQGKKAAAPEKWLNGFVSLVALVERVGNALGTLAFTWATVVLLGGYPTSLTALGSYNDFWFATAIIFLESASNNRSDYQLFFRTKGAFRPLDWNWLIAIVCILDVWKLLIPLPHKNVMAAVVLYAMIILLILGKSMTPKFQPSLRNPLRRAISLWSPLAAILLMTPAMQHDHSRDFVRNITGNGSITTRTGGFAPTRSTVVAKWIVFLLLLVSVLVVTISRLKFPRIIKLLDSAWGRKLVSWRRSIQNICMLAALVMVVLTTDGFFRFMIIGMLVIVAVMVSSGNLQIPAAVLRVVFAGLALSHLMALHKNNKEDEETNLVPSLIVFYAMVTAQGILYTVACTLEIFSFIPRRSLIRRAGFRGQLGVEYVNLYYAYALEKCMEKAVFAPKKTSLGNFAMDSLNSDSPKNHLYGIQLMHSLLEKETTRARLLEKLITSTKTMARIISMLGWTSPNYMMVRLYAAKVTAELAKDLQVIAVPRAMQLVSALLDTDGKLKKGNPLLQVDDEQEERQDPILSTANSQEERPNAIRNADDPPKQRQQETLQGTDNLPETQTRSAHIHEQNCIIRSLQKISEYWTVPKEHPLTYHDQLPALGMLIVDKLASCDQNNCVEIDRVTDLIPKIIGFTSFRSDTMNSEAQQMVLVKSSLKVLQRLTSIEGEIGKALRYKISKHPFLLRNLADILGDNIRDQELKKLAVGILRNISIDGNTRQEIGNMKVLITRLMKAFLDLHRTSNTDVDFLLPKVAGQALAMLAMDNVHNCLVMLKEPEFITKLKNMILIQDEKYIYVAPSLLRSMCQHAQAKLTELDLKELSHTLREVLERIMNAEGAELEILIGLSSQICKVNPEEFAQELDHGHIRQRFVKRLVDALNANMKPSAHCPGIRRVILEQSIYMMECNSHYANCFNEFRMMDALSIVEETPSKVENYMVFLGDVGFMECGTPLFALVDRAKELMGRQWLQGINN >ORUFI07G18360.1 pep chromosome:OR_W1943:7:18615989:18617535:-1 gene:ORUFI07G18360 transcript:ORUFI07G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATGDSTGRLMKYDPTTGYLDVLQSGMTYPNGLAISADRSHLVVALTGPCKLVRHWIEGPKAGTSEPFAELPGYPDNVRPDGKGGYWVALHREKTETPYGSDTHLLAVRIGRKGKILQELRGPKNVRPTEKI >ORUFI07G18370.1 pep chromosome:OR_W1943:7:18618509:18620185:1 gene:ORUFI07G18370 transcript:ORUFI07G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSTVAHGVGEVAAPTTVEEVGNRADDDDEAAVLAPTLSCADLSKGRNRSSAPSLMLVREGAEARRDKVNHLSEDGRGTRMAERRELSATTSPTTANPPASGSATVDLDSQDPVVADLMLLRPVTADSSYGGHIAVFTIVVIVVITSVVVVVATFIVAGPIDVPLPPKAHQVGVIDGADFYRQG >ORUFI07G18380.1 pep chromosome:OR_W1943:7:18628718:18629465:-1 gene:ORUFI07G18380 transcript:ORUFI07G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLGTGRVGTLTRVALTIVVFLLLLPSHALAAAVAKDTSATLADGVPFKFTNGVDVNQVTGEVYFTDSSTRFQRSQHERVTATGDSTGRLMKYDPTTGYLDVLQSGMTYPNGLALSADRSHLVVALTGPCKLVRHWIEGPKAGTSEPFAELPGYPDNVRPDGKGGYWVALHREKTETPYGSDTHLLAVRIGRKGKILQELRGPKNVRPTEVIERGGGKLYLGSVELGHVAVVKASAT >ORUFI07G18390.1 pep chromosome:OR_W1943:7:18632083:18641331:1 gene:ORUFI07G18390 transcript:ORUFI07G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLGTGRVGTLTRVALTVLVFLLLLPSHALAAAVAKDTSATLVETLPLPXGVDVNQVTGEVYFTDSSTRFQRSQHERVTATGDSTGRLMKYDPTTGYLDVLQSGMTYPNGLALSADRSHLVVALTGPCKLVRHWIEGPKAGTSEPFAELPGYPDNVRPDGKGGYWVALHREKTETPYGSDTHLLAVRIGRKGKILQELRGPKNVRPTEVIERSGGKLYLGSVELEELVKAKKTISIVRRSKARRRLSFMGAVLGTGRVGTLTRVALTIVVFLLLLPSHALAAAVAKDTSATLDTSATLVETLPLPTTLVGPESVAFDKFGDGPYSGVSDGRILRWDGADEGWTTYSHSPGYNVAKCMAPKLHPAELTESNRRGRARCYAARDCDLRLLRSDDCVASLPAVLPESWICSLCRFNVGEDRPIFYGFYIYCETCAGDCARAIIDRYHGAQNVVINKALIEWQHGRQMRRWHVTSMDRTMQQDHAATRILLKPPINHLALIFRSQHEMVTATGDSTGRLMKYDATTGYLDVLQSGMTYPNGLALSADRSHLVVALTGPCKLVRHWIDGPKAGTSEPFAELPGYPDNVRPDGKGGYWVALHREKTESPYGSDTHLLAVRIGRKGKILQELRGPKNKGTSLLLN >ORUFI07G18400.1 pep chromosome:OR_W1943:7:18634778:18635287:-1 gene:ORUFI07G18400 transcript:ORUFI07G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASRDDVNGHGMRMAESFPRRRVRASRGLVVANLHARGPKTADPPASSSAAVDLDSQGPMVANLMLLRPVTADSSCGGHIAVITIVVTVVITIVVVVVVTVIVAGPIDVPLTPKAHQLRAWSTTAVGRSGALAVIGRYGGGRPGEGGLGGGDGDGGESARSGGKEVE >ORUFI07G18410.1 pep chromosome:OR_W1943:7:18642950:18644327:1 gene:ORUFI07G18410 transcript:ORUFI07G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAMASMTGLSQGVQLPAGPRRAGGRSRLAVVRADAAAADVQTGRRAVLGLVATGIAGGALAQAALAEAAKPIKLGPPPPPSGGLPGTLNSDQARDTDLPLRERFYLQPLPPAEAAARAKESAQDIINLKPLIEKKQWPFVRDDLRLRASYLRYDLKTVINSKPKDEKKGLKDLTGKLFATIDGSPEEAEKYYTLTKSALGDVLAKLG >ORUFI07G18420.1 pep chromosome:OR_W1943:7:18646120:18647742:-1 gene:ORUFI07G18420 transcript:ORUFI07G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRAREMYKKVVRRVGGEGKLPAELMASVKNLLPDSKVVMGRAKRGIYAGRHIQFGNKVSEDGGNKSRRTWKPNVQEKRLFSYIHDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYNKMDTEMGVAWKAKIEKMYSQLAQMEVGFFSPEEETKIEQGFEEARAAKREHRREARRALAKQTQLEAGNAGGDKTAEAASNVAVKS >ORUFI07G18430.1 pep chromosome:OR_W1943:7:18651301:18652793:1 gene:ORUFI07G18430 transcript:ORUFI07G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEAVVVCLLIIAMDVVAGVLGIHAEKAQHQGRRLRILFIECRQPVRRAYELGVAAAAVLAASHAIANVVGGCSCACSRDRRATPNRQMASFALVISWIVLVVGLALLVLGALPNAERKAAKCGLPRHHFLSIGGILCFVHGLFCLVYYASANAAKREEGGRHA >ORUFI07G18440.1 pep chromosome:OR_W1943:7:18654793:18655143:-1 gene:ORUFI07G18440 transcript:ORUFI07G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVDPASATMTTMMAAVTTTTTEHQEGCSGSANPLPSTKSGRRRIRARAVDPRARGGSVTTCDGGRNLVTGGGRRIWAMAQGTGGASDAGARAWAMDLGISFARKSFFLVASLT >ORUFI07G18450.1 pep chromosome:OR_W1943:7:18660008:18662763:1 gene:ORUFI07G18450 transcript:ORUFI07G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMAGRGKAIGSGAAKKAMSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGTVTIASGGVMPNIHNLLLPKKAGGSAKAAAGDDDN >ORUFI07G18450.2 pep chromosome:OR_W1943:7:18658980:18662763:1 gene:ORUFI07G18450 transcript:ORUFI07G18450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGTVTIASGGVMPNIHNLLLPKKAGGSAKAAAGDDDN >ORUFI07G18450.3 pep chromosome:OR_W1943:7:18658980:18660070:1 gene:ORUFI07G18450 transcript:ORUFI07G18450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKAGSSKASTVDDDDN >ORUFI07G18460.1 pep chromosome:OR_W1943:7:18664036:18669696:-1 gene:ORUFI07G18460 transcript:ORUFI07G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVEARGFRVGVVELTGGKGLAGWSRSPIIRRGELLGFSVSPWLVNNSNSILEFSSSHSRVSDETLWQWRWRQITRPYSWRCRRGFRGMDMGRNFPGLDLPVLLFSSLVLGVGLADWGIGFELIGFDCAIRFLRFCGGGQHGCAFRSLVVDAPGIYPQFSLYLLVYIEGSTQMMRHCRREWLLALCLISVQLLIPTGCEGVLVAASNMSPPALTPLLINQVDQLVEHAWVKCGLDKRTLEDVRRHFNYNHVLAILRRMSGQDIKDTSPDIDGGTSVLSLERRDAILNCLSKQNFMSIAGQDGLKILSADYIKALIASLRTDLAQESSTTKSIPEQAGKPVPGKTSTPKPVNKPTDSVSSPPDRSYKSAPTEKENPPTKSVAEKKKDSSGMPNAFIGLSIAGIALMAHLCLCCFMCHGTSSSDLRDDKPLLTLNPSNLSAASKSSQGNPIDVNKLGVVSLKSEAGQNGDVKLISKEGTNNVNVVHPVSSVSESTLMPPPVMPPPEGANNVNMVHPEGANNMNVVHPEGANNVNMVHPEGANNVNVNMVHPVGSLSESTPMQPPVMPPPIPKLLSPPAPQAPMPPLKASPVPPPEPSPPPAPKAAPPPPPPKSTGPGPPRPPPPAMPGSSKTRPPPPLKPGAKVGAVENSNEAKTKLKPFFWDKVTANPARSMVWDHLKSGSFQFNEQLMENLFGYNSTDKSSDTKKDLSSKDATQLIRILDPKKAQNLAISLRALGVSPQEVCSAVKEGSELPSDLIQTLIRWSPSNDEELRLRLYSGELFQLGPAEQFLRVIIDIPYIFQRLDALLFMANLPEEASNVKQSFATLEVACQELRNSRLFMKLLEAVLKTGNRMNVGTFRGGAQAFRLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGVRAEQAAKEQNSGVSSVKTDDLSDKSEQTEDGYKQLGLKVISSLGDELQDVRKAAILDADQLTMSVASLGHKLMKTNEFLNMDMKSLDEDSGFHRKLTHFVQQSQTDITFLLEEEKKMRLLVKDTVDYFHGSAGKDEGLRLFVIVRDFLAMLDKVCKEVKEASKVAPVKAKAKQPSQSLQSFRDPRVNLFPAIQHLRADSSSSSSDDES >ORUFI07G18470.1 pep chromosome:OR_W1943:7:18669716:18669991:-1 gene:ORUFI07G18470 transcript:ORUFI07G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSAVWAKCQPIPEFPTRLVILDLDSADRRLRVHSHRGAAAAAEPWNPGWGGWGGGAAGTRGRGGRRWRPALIRPVAAGKGGAGEVAAC >ORUFI07G18480.1 pep chromosome:OR_W1943:7:18686830:18688545:1 gene:ORUFI07G18480 transcript:ORUFI07G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHQLLKYRLTGANVVYEIPTENNLQNSPWQANPLKYEFSDSPYTPLSSQFECDNLSALTNTPDNQSSTETISAQPISPLEADSSYRQAGILLQENIQVGADPLYATSRHNMQHALREIETVLMAPDTDDATTSTKHEFEEIKPAQLVRQRSRTWSHESRQPLPGVGRSQFASGGYPTASYEFRPEKRQRELREDPQIIVKQLLTRCAEALSEDRTEEFHKLVQEARGVVSINGEPIQRLGAYLLEGLVARHGNSGTNIYRALKCREPESKELLSYMRILYNICPYFKFGYMAANGAIAEALRTENNIHIIDFQIAQGTQWITLIQALAARPGGPPRVRITGIDDPVSEYARGEGLDIVGKMLKSMSEEFKIPLEFTPLSVYATQVTKEMLEIRPGEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEQESHTNTTPFLMRFGETMEYYSAMFESIDANLPRDNKERISVEQHCLAKDIVNIIACEGKDRVERHELLGKWKSRLTMAGFRPYPLSSYVNSVIRKLLACYSDKYTLDEKDGAMLLGWRSRKLISASAWH >ORUFI07G18490.1 pep chromosome:OR_W1943:7:18690610:18693686:1 gene:ORUFI07G18490 transcript:ORUFI07G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFSLRLGPRIAGTPPPPASATKGEGRGGGRPTGSRALVSKKPNKEHHLWIRKDSAGSGKKALHLVNTVSKLPNEKEAVYGALDKWTAFEPEFPTIAAAKALEMLKRRRQWLRIIQVAKWLMSKGQVLTWTTYDTLLLALFMDGRIDEAESIWNNIIQTHTRSVPKRLFSRMILIYDTRHCPDKVLEVIYADMEELGVHPDEDTTRRIGRAFVTLGQEDKEKIVLEKYLKKYKYMHFNGERVRVRRGGPLT >ORUFI07G18500.1 pep chromosome:OR_W1943:7:18694679:18697999:1 gene:ORUFI07G18500 transcript:ORUFI07G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAVEDAGMDEVQKRLMFDDECILVDEQDNVVGHESKYNCHLMEKIESENLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIQENYLGVRNAAQRKLLDELGIPAEDVPVDQFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVKVVPNPDEVADVKYVSREQLKELIRKADAGEEGLKLSPWFRLVVDNFLMGWWDHVEKGTLNEAVDMETIHKLK >ORUFI07G18510.1 pep chromosome:OR_W1943:7:18698462:18703337:1 gene:ORUFI07G18510 transcript:ORUFI07G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKAVTTMTLKDFHGGSIPSELPLPSAPGVSARPADRPVASQAAAPAASARPRAPATSAAAAAAAAAAVPSFLTNPSRIGRHFDEDERTPFEAASTPRRPAPSPPSFAPSPAAGPTRSGPGNAWGPRREAAPTAAPVSPAASGGGGGQIWSATRIAQASAVEKVISGRWNSSKPSSPPAAPVSVPVVVETHVAPPEMERPKSVGVRELDGGIERSVAPVRPASHEGRVGEARGLEVQERPRVGDVPERPRVGDVLPERPKLKLLPRSKPIEASEPSPVYVEEKQVHQVPVVANTVQVDVIHDVHQNVVAPKAGVAGADAEGRAVERPRLNLKPRSNAVGQSDESAPKERQSLFGGARPREQVLRERGIDALASDLDKTSPVGRSKSEFAKGEQKVEAMSINPSGEKAESFPAGSRGPRNADKKDYRRDTDRSDVYRPTRREDNRRVARDVEKPEQQRPEPETWRKPVEPPKPEVVAPRFGKGASALELAQAFSKSMSDTVPQSRLTSVPSPKVPQSPGTRDQVGFSRLTDNRALHSSPSQRKINGY >ORUFI07G18520.1 pep chromosome:OR_W1943:7:18703166:18705630:-1 gene:ORUFI07G18520 transcript:ORUFI07G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFAFVRRCRRLSSAPVDQAAEEEPAAVAPPAEKRRRRPSGPAWKPTLGAISEDAAVASSAAAKAKPAARTKAKAKGKAAAAPPPRRVVRSEYDDFRHYGAPTVLPAFAPTAFLF >ORUFI07G18530.1 pep chromosome:OR_W1943:7:18718466:18722948:1 gene:ORUFI07G18530 transcript:ORUFI07G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLEVDVDGEEVFLVDKEVLAPFCGRIKNLGGGGAGGEGVVGAAAAARVVLRGFPGGAEAFELVARFCYTGGGGGGVAVTASNACALRCAAEFMDMAAAAAEVSTPPSLVKMTEKALDEMPQWSWQSVVDAVRQCQRLLPTADATGVFDRVVGALVSHVAVSPGDATPTSSSPESSAFRFSCDTKSSCLSARCTSRTWWFEDLAVVLGPGMVERVAGALLARGTDHGVVSRFLFYYLKCRVAGAATADDKRAMLEAAIAAMSGLDRSSVSCRGLFGILRISSPLKLPTSCHDSLVAMIGAKLDHATLDNLLVPAPPGTTSSLYDVTLVLRFLDAFLHHAAATGARGETPRLKKVGKLVDLYLAEVAPDPSLRPAMFVELATALPAAARDCHDALYRAIDVYFQVHTRLTEEEKMRICKGINYEKLSPECCKHLARNAGFPTRAAVQALASQHTVLKSLLRGGGPDEHLRPVSAAKHRAGGEDHDDLVAAGGQVVLYAGRLDLSLESQNLRSLLDGMHWRVMELEKVCSRMKTQMSKMKAARRATGGGSGGAAGRRAASRSLPRMCS >ORUFI07G18530.2 pep chromosome:OR_W1943:7:18720105:18722948:1 gene:ORUFI07G18530 transcript:ORUFI07G18530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAAAAAEVSTPPSLVKMTEKALDEMPQWSWQSVVDAVRQCQRLLPTADATGVFDRVVGALVSHVAVSPGDATPTSSSPESSAFRFSCDTKSSCLSARCTSRTWWFEDLAVVLGPGMVERVAGALLARGTDHGVVSRFLFYYLKCRVAGAATADDKRAMLEAAIAAMSGLDRSSVSCRGLFGILRISSPLKLPTSCHDSLVAMIGAKLDHATLDNLLVPAPPGTTSSLYDVTLVLRFLDAFLHHAAATGARGETPRLKKVGKLVDLYLAEVAPDPSLRPAMFVELATALPAAARDCHDALYRAIDVYFQVHTRLTEEEKMRICKGINYEKLSPECCKHLARNAGFPTRAAVQALASQHTVLKSLLRGGGPDEHLRPVSAAKHRAGGEDHDDLVAAGGQVVLYAGRLDLSLESQNLRSLLDGMHWRVMELEKVCSRMKTQMSKMKAARRATGGGSGGAAGRRAASRSLPRMCS >ORUFI07G18540.1 pep chromosome:OR_W1943:7:18723574:18724319:-1 gene:ORUFI07G18540 transcript:ORUFI07G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAEEQEKGPLMNMKKPLAVDHTELAMDPWWDGVCCKDHPAFFDHLSMVMTCKLDLDFKPVVSKKEMESFCQAHRSTKVGIESL >ORUFI07G18550.1 pep chromosome:OR_W1943:7:18733948:18737243:-1 gene:ORUFI07G18550 transcript:ORUFI07G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSRSAAMIDCPRLLLAAARASPYPSSAAHRRVCTAGVPPVPVYCRVSHRRRSSVPVQSASSGSGSQSSVAESSEATEWAMQDFYALRKDVELAVARVSEVRQSAGLDQLEEEIASLEKKSADSSLWDDPSKAQEILVALTEVKDRVKLLNDLKLQVEEAETIVKLTEELDSIDTGLLEEASKIIKALNKALDNFEMTQLLSGPYDKEGAVINITAGAGGTDAQDWADMLLRMYVRWGEKQRYKTRVVEKSPGEEAGIKSATIELEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEESMDVEIPEEDLEISFTRAGGKGGQNVNKVETAVRMVHIPTGIAVRCTEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTACETSDITGVMDGELDTFIRAYLKYKLYAAAEEQSVK >ORUFI07G18560.1 pep chromosome:OR_W1943:7:18738345:18739755:-1 gene:ORUFI07G18560 transcript:ORUFI07G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPRSYWAVWINGPEGRFPSRSPPRSSSPPAPPQPPQLNSLRQRAAAPSTMPKQIHEIKDFLLTARRKDARSVRIKRTKDAVKFKVRCSKYLYTLCVFDADKANKLKQSLPPGLTVQEV >ORUFI07G18570.1 pep chromosome:OR_W1943:7:18746154:18747650:-1 gene:ORUFI07G18570 transcript:ORUFI07G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNVEYCVALNRLSSLWNLLDALHKIACVDFASTYLSLIKEANHIILGSWSYSQALALIFYGSNFVLNRARAYCRKESAHACPNHCTPSQEKQVDQKFSLKICPKKFIFTVSPITLVANHQT >ORUFI07G18580.1 pep chromosome:OR_W1943:7:18751536:18754152:-1 gene:ORUFI07G18580 transcript:ORUFI07G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRCIAHKRARLSGGSVGSSAGGGRGYGGPDRISRLPDELLHHVLSFVTTPEAVRTSALSRRWVGVWKRVPRLHLLEEEATKAEHIPDHYDGILRRYASDVDIADLAITYHWDWPEVDGDRASAWAGFAARRVTGRFDLAVTSQVGRGGDDDERAVLDLPCFERATEISLYSSGLAVRLPAELDAAGDGDFTRLTKLQMSELRASAASSRGGARAWIASIELEHIAGVEALTLRTDSLLSLRLVSVSRLQRLDVEAGNLRRMRVEYCFDETRSCRPWNGGGAAAVMRLSAPALEELGWEDACPDEVERIRLPTCLRELVVSELPSHIIRSMGQSDFTKILELFSGADVLKLTSPMTATLDSEEQESLIYSVQLPYYSKLDLGVITKGHKSYGSSVVHFLKRNSSIRNLTLTLHPYHPELTKG >ORUFI07G18590.1 pep chromosome:OR_W1943:7:18757520:18758112:-1 gene:ORUFI07G18590 transcript:ORUFI07G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFVAAPPCPSVFEHAAAEVAAPCRTGSADVAPAAARQWRWRSTSRRQLCCAESTQCRHRPLPRPLAALISEKIGSGYVVGYGAVSCRIRPMPPPLAATPARRSHLRKNRIYICGWLRGGCTTLVYIYTKS >ORUFI07G18600.1 pep chromosome:OR_W1943:7:18761044:18765087:1 gene:ORUFI07G18600 transcript:ORUFI07G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRCAAHKKASLSGGSVGAGAGGGRGYYGGPDRISSLPDELLHHVLSFVTTPEAVRTSALSRRWVGVWKRVPRLHLLEEEATSAGHIPDHFDGILRRYAADVDIADLAISYHWDWPEVDGDRASAWAAFAARSVTGRFYLAVTTQVGHDDDAVLDLPCFERATEISLYSSGMAVRLPALDDAAAGGGFTRLTRLRMSELRFSDAGEGISGVVSRRCPSLECLELEHIDGMEALTLRSDSLLSLRLAYVPLRRLDVAAGNMRKMRVKYCFDGTSRCPWTGGAAMRLAAPALEELGWEDAYPDKVELISLPSCLMELAVVELPSHIIHEIGQSDFTKILKLFSRAHVLRLTSPMTATATLDSEEQESLIHSVQLPYYSALDLGVITNGHSSFGSSVVHFLKRNSSIRNLTLTLNPYHPKENKFAPCCMSNCTCHEPLKWWDQDIPLDSLEQLAIKHISGHREAKKLRTAAAAYVQWPAREQTPTAGAGGGGVPARPTGSEHRRLERAEEAAWSARGNCDSAAVDFVDFLSVNVRILLIYYL >ORUFI07G18610.1 pep chromosome:OR_W1943:7:18767082:18769943:1 gene:ORUFI07G18610 transcript:ORUFI07G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGSSSPAKLERDERAPVVSVGAAAYEGPDRISSLADALLHHILVFLPVVEAIRTCVLSRRWARVWTGLPRLRLDDGAAEAVGSFPALVDGVLRRYDARVNLRDLTVSAHVGEEEELGGLENDDVVSLVGAAARLVTGRFRLDVSRGINISEDYDEEANLLALPCFERATEIAISIADMAVQLAPDDHRGRTFAHLTKLHLSNTFVADEGELLSEVVSHGCPCLKTLELIDIHAGARVLTIHTTSLLTLCVVSINDLQLLDVDAANLRWMKVKDCFDIDAAETEGSAMSLSTPAMEEFYWKDCCPEEVKLVREPAGFIHKIACVDSASTNLSFISGSQSFYTRILQLFSSTCTEVLQIEFPIKPESEEQKKFLHTVDLPYCLELELIVEKKEHTLAPTIVHLLKKSRWIKRFSLEICPKKNHIQCEPNCTCRQPPNWRDQEISLGSLEELSINGFGGTYDEKQLVLFIVENSKLLRKVSLVSSVNLHSYKSFLDNLRQLCTSDCTIELNNNNLV >ORUFI07G18620.1 pep chromosome:OR_W1943:7:18777984:18778427:1 gene:ORUFI07G18620 transcript:ORUFI07G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTLISLSSHASRGQRRSRTISVNMVIQLVLADDDKPTFALLTKLHLSETVITDDEGGYVSQRGHVVGLSSPADPRACQHLLLRERAHHPHQLTPDLIPPNLTKMQVKDCFVIELKDSAMSLSMPAMEEFC >ORUFI07G18630.1 pep chromosome:OR_W1943:7:18781130:18782122:-1 gene:ORUFI07G18630 transcript:ORUFI07G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVPIDGGGDGEGEGGRATAVADPAPAPAPMDIDGDRGALDVLAPAPMDLDLDRDRDGGGDRAALVASTSNGGGRGGLLRDLPEDILAGTLGCLRDTSAAARTSLLSRRWRHVWKWVSGLFLHHYPAPRLQPRARRPRRPRRARGHQHQPALRHLPPSTAPRRTPPPPGSASPRPSSPESFSSATGARFRSTCFSTRYSAIPSKKEARSSSPASREPPRFGCAWGFFAYRSLPPSGVFAALRELHLVFVRFNGGELTLDDTRMPFLEGVEIWCSRGLASLTIRLKHLISMNLYAVRGVVWAQCRGAETHSSLINPSWSIFDLLYTQLI >ORUFI07G18640.1 pep chromosome:OR_W1943:7:18782481:18797287:-1 gene:ORUFI07G18640 transcript:ORUFI07G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLQMLLPPAVYPYGLQNVFFNRSCQMLLNLCPSIDGLLLFVEIELEQGDKHGVRPLMEGITQLPHIKILCLNLLTRGHAYGASVLHILTMCTGIAVLGLRIEEDFQVENACPVNCLCDRPRNWRDKDISMRSLTEIVILNFRGKQHELDLVRVLVQVAPALDLVRMTCHRSLAAFGVELLRAYVRSFASFRTSVEAATGRAVAPRTSQPPPPLPWTSTATTTGATAEGGSATDVAAPSPAPMDIDGDDDGRDRRGEGDGGGVDRLSDLSNDTLAKILGHLRDIRNVATTAVLSRRWLDLWTHVDIIVLQYDEPPDSRIVQEVLAAHARKGSTATHIRLLEVTSLNSATAGATASWLRVAEPRLTGELLFRNASSVPFELLNDEMVVVEQLVEELGVVVDEMGAGFELPCFMRVTKITLSLGFLGLSLPPSGVFAKLRELHLVYVRFNGELTLDDAMLPSLEWLDIGKSRGLASLTLRLAPLTLMALHDMRWLRRLNAVLPGLKELSVSECFLEHLDGVSIVADEMEQLRWPGFYWPGLVYFSRMPRLRTLCVSVSDFAHGSREAFNQGSQMLLNRYPSIHHLELRVVIKTGVTPLMVGITGLPYTKILTLHLVTEGHSYGASVLHILTMCTRIAKLTLMIPKYFEVEDACAEICICDWLPNWRNENILLECLEEVTILYYRGEDDELDLLKLLVRGATGLRRIRIARYCSVADWEIEMLRADLLPPLSWQRARLAAPRVTRDDGFRLRYAAGKSVALTSFFCLGFGTFRGNGFGFGGYCRAGARAAVRVIDREMSIHSTRVPRCTASDGEAGSATVVDVPSPAPAPAMDIDGDDDGRDRGGEGDGAAASGGGVDHLSDLSNDILAKILGHLRDIRNVATTAAMVVIVPINGDGDGEGGSGTFVADNPPDPAPAPMDIDDDGDGRDRGGQGDSPATQDLLSKLNGSGGEGDSPATQDLLSKLNGSGGEGDSAAAARVPGGEGDSAPLSLALGLHLHVHGDGGGGDGVDRISNLPNDTLAFILGQLGDTRNAAVTSVLSRRWINLWTQVDILILRYDKPPDSRFVQEALAAHAHATEGSETTAIRLLEVISLNRATPEATAAWLRVAAPRLTGELYFRNRSSAPFEALDLEVFSILYEAVVEQEMIWSSSWFQLPCLTEVTKITLSLGFLGLSLPQSGSFGKLRELHLEHVRFNGDYTLDDAMLPLLEYLGIRRSNGLASLTLRLESLGWMGLYDVVGIRRLDAVVPGLKALCSVGCFCYHDVDSVSIVAEELEEIEWEDFYSPQSFNFNDLPLLTMIHTHCVFCSESNEAFIEGYYQLLLNRYPRISHLDLRFVIELIRDEKSVTDSMIDNIQLPYIRMLNLALKTEGHVYGASVLHILTKRTTIAELRLVNQEKFKSDDACKLECICDGPPDWRETDISMRYLRKVEILNFRGEEHELDLLRVLVRVAPALRMIRIICHRSCAAWETLSAHIRGFAREATSVESVKLHQNMSSPAVC >ORUFI07G18650.1 pep chromosome:OR_W1943:7:18797313:18806382:-1 gene:ORUFI07G18650 transcript:ORUFI07G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEQLVDEFGDLVVDESGAFELPCFMRVTKITLHLGFLGLSLPPSGVFAELRELHLVHVQFNGELTMDDVMLPSLERLDIRHSSGLASLTLRLPPLTQMTLYNVRWLRRLNAELPGLEVLSVIRCFRVHLEGVRILAEELEQLRWLDLYWPGLVYFNRMPRLRTLVPPAVYPYGLQRVLFNRSCQMLLNLCPSIYRVVLMVDIEPEQGGHHGVRPLMEGITQLPHIKILSLNLQTQGHAYGASVLHILTMCTGIAKLSLRNQEDFQVENACPPNCLCDRPRNWRDKDISMMSLREVEILNFRGRQHELDLVRVLVRVAPALDLVRIICHPSSTAFGVESLRAYVRSFASFRTSVEVSRTPSPAPMDIDGDDDGRDRGGEGDGAAASVPAADGSSAALSLTLGLHVHGDGGGADRLSDLHEDILAKILGHLRDIRNVATTAYDEPPDSRIVQEVLAARARNGSTATDIRLLEVTSLNSATPDATASWLRVAAPRLTGELFFRNRSSVPSEILNEEMDVVEQLVEELGDLVVDESGAFELPCFMRVTKITLSLGFLGLSLPPSGVFAKLRELHLVHVQFNGELTMEDAMLPSLEWLEIRHSSGLASLTLRLAPLTQMTLYNVRRLRRLNAVLPGLEVLSVTECFLEDLEGVSIVAEELEDLRWLDM >ORUFI07G18660.1 pep chromosome:OR_W1943:7:18808681:18812187:1 gene:ORUFI07G18660 transcript:ORUFI07G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSLLPPTLLPHRHRLRLPPAGCSTSSSPSATRYDFDPLLSYLSTTSSSPSPPPTSVLPVTESRLAASYAAVPAREWHALLRELAATDASLPLAFALLPFLHRHRLCFPLDLLLSSLLHSLSVSGRLLPHSLLLSFPPSLSDPPSPLLLNSLLAASAAASRPAVALRLLGLLREHSFLPDLASYSHLLASLLNTRDPPDAALLDRLLGDLRESRLEPDAPLFSDLISAFARARLPDAALELLASAQAIGLTPRSNAVTALISSLGSARRVAEAEALFLEFFLAGEIKPRTRAYNALLKGYVKIGSLKNAEQVLDEMSQCGVAPDEATYSLLVDAYTRAGRWESARILLKEMEADGVKPSSYVFSRILAGFRDRGEWQKAFAVLREMHASGVRPDRHFYNVMIDTFGKYNCLGHAMDAFDRMREEGIEPDVVTWNTLIDAHCKGGRHDRAIELFDEMRESNCPLGTTTYNIMINLLGEEQRWEGVEAMLAEMKEQGLVPNIITYTTLVDVYGRSGRFKEAVDCIEAMKADGLKPSPTMYHALVNAYAQRGLADHALNVVKAMRADGLEASTVVLNSLINAFGEDRRIAEAFSVLQFMKENGLRPDVITYTTLMKALIRVEQFEKVPVIYEEMITSGCAPDRKARAMLRSALRYMKHMRVA >ORUFI07G18670.1 pep chromosome:OR_W1943:7:18815337:18818923:1 gene:ORUFI07G18670 transcript:ORUFI07G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDARGSHRTDKSIETTPNPRPWRFSSRSTATATEREREVEPRPSPTPPRPPFPLPWTSTAIAAHSTSSPPAPMAMDLDRDRGRDRDGYGDGAALVASTSNGRGRGRGHLNDLPEDILAVILRRLLDTRAAARTSFAVPPLAPRLEMGPHDSSIVRAALAAHAVHAVTNINKLYVVCLRSATPDATASWIRVAAPLISGELAFCNRASVPFHMLFDEVFSDPIEERGALELPCFTRATKIALRLGFLGLSLPPSGVFAALRELRLSFVRFHGELTLDDTVMPFLEGLEIYSARVLASLTLRLKHLNWMNLSAVRGLLRLNAVVPRLKFLTVSCCFCSSTWLVAMAGVCIVAEELQVLRWLDWYCPRLIKFSQMPRLYVLSVSPFYPYGRHRQHTKFNPSCDRLLKTFSRIRCLEMLVFIEPHLGGVNPLMEGITRLPDIRFLHLQFSAHGHVYGASVLYMLTMCTGISNLKIGGDRYKDQDVCPPNCSCDRPPNWRDNKDISMRSLREVQMLNFRGKEHELDLLRVLVRVATGIRRIRITCHRSFAAWERLSAVIRSFARPETSVEVSQAPPSLQRQ >ORUFI07G18680.1 pep chromosome:OR_W1943:7:18837353:18840797:1 gene:ORUFI07G18680 transcript:ORUFI07G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSFQPIPHKQTPPYHEQTPAKISQTKPIFLLSRSPPNHLLFYFNPLPVLFPPPPPNLRSPLLSFSLKNLPSSSNSSSPPPPPPPPPPPPRHSHGHATASRRPPPPPRRRLRAAARSLPRGAKNGRGWRWWRGGGRHDTRAPADARAAGGPAAARGGVVQPRRGERAAGGAAVGAVLRQVRRRRPGLLRHRGAGQPQLRRAPRRGGPVPGGALRVAPRQGHRRRRPGLRRHPLRHRLRPQAALQVALRGATRLQALRLRRHGRRRRRQVEGQARCSWPEVHGRGIIRRRKSPGPEVKRGIKTRKW >ORUFI07G18690.1 pep chromosome:OR_W1943:7:18843213:18848640:-1 gene:ORUFI07G18690 transcript:ORUFI07G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCYAFLYIKEEKSKKKRVLVKCLVIGDVLAIDVLDLEAQNKGPYNIQINVKDFFSEEQPKNYGNMYKNFAGLIETMNSNALSKLDEKDAGAAKNPEVETSSSIHRSENPGLRTTEPGLVYPPIAPLGYDDAFPGPGAGFYPHSGIGGGGSMHVGPNDPRFFPSNPFPAPFGGPGSVPPGGRYDPIGPPDVPGFEPSRFVRRPRPPGGTTHPDLEFFQPGPHGPF >ORUFI07G18690.2 pep chromosome:OR_W1943:7:18843213:18848072:-1 gene:ORUFI07G18690 transcript:ORUFI07G18690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAAAMAVVKAARPAFRGAHDGVAFAANAAFLAAGYSLCAVGPAALTDPPPSGEEEVGIDGWNSMDNCYAFLYIKEEKSKKKRVLVKCLVIGDVLAIDVLDLEAQNKGPYNIQINVKDFFSEEQPKNYGNMYKNFAGLIETMNSNALSKLDEKDAGAAKNPEVETSSSIHRSENPGLRTTEPGLVYPPIAPLGYDDAFPGPGAGFYPHSGIGGGGSMHVGPNDPRFFPSNPFPAPFGGPGSVPPGGRYDPIGPPDVPGFEPSRFVRRPRPPGGTTHPDLEFFQPGPHGPF >ORUFI07G18700.1 pep chromosome:OR_W1943:7:18850806:18851171:-1 gene:ORUFI07G18700 transcript:ORUFI07G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLLFCLVSSQLAMTAVMGRQFPLFYGGGGGGAPASIADAPTSSSSSDVGGGGHLLHVYSLLESSFAESPMSSHHRNHSPFDRKFAGGKVILGGLAAAIFAAVFCYIRITRRKKIEPKS >ORUFI07G18710.1 pep chromosome:OR_W1943:7:18855167:18857279:-1 gene:ORUFI07G18710 transcript:ORUFI07G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRAPLARRSLLLLRYKALPLSSPSSSSSSTHSLLPRPPALWPPPPPPPPHGCERRRAFHDGRPRGPLWRSKKLIGKEALFAIQGLKRFKGDEERLGEFVRRYVARLLKADKLAVLGELERQEEVDLAVKMFRIIQKEDWYKPDVFMYKDLIVALAKCKKMDEAMVIWGNMTDENLFPDAQTYAEVIRGFLRYGSPSDAMNIYEEMKKSPDPPEELPFRVLLKGLLPHPLLRNRVKQDFEELFPERHIYDPPEEIFGLR >ORUFI07G18720.1 pep chromosome:OR_W1943:7:18865631:18867453:1 gene:ORUFI07G18720 transcript:ORUFI07G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRARARGSGGGGGEEVKVEDDFVDSVLNFGGGGGGEEDGDDGEEEQQQQQAAAAAMGKEFKSKNLEAERRRRGRLNGNIFALRAMSKEATLSDAIEHIKNLQNEVLELQRQLGDSPGEAWEKQGSASCSESFVPTENAHYQGQVELISLGSCKYNLKIFWTKRAGLFTKVLEALCSYKVQVLSLNTISFYGYAESFFTIEVKGEQDVVMVELRSLLSSIVEVPSI >ORUFI07G18730.1 pep chromosome:OR_W1943:7:18867638:18873791:1 gene:ORUFI07G18730 transcript:ORUFI07G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSPDPSRKRKGRKKKPTPRRKQQRPPPLYPAGGTPPQQPKRLLLRQPAAAAATRRRTKRSGKRRFEIRANRWQATTPPRGRVAWDPHPSGLGHSCLSLSLSSLIFLPPVSLRSSPLSLLLFSSPLFPVAANLPIPPSARSPIAPGGLFGHPVMDHAELTTEQVLKRDIPWESYMANKLISGTCLQLLRRYDHKPESQRGPLLDEDGPSYVRVFLNILRNISKEDTVEYVLALIDEMLAVNPKRAALFYDNSLSGEDIYDPFLRLLLKGNWFVQEKSCKILTQIISARPKMQNGIVPNGEASNSKSKLTSTQDVLRGLVDWLCSQLRNPTHPNCSVPTAMHCLATLLREQYVRALFVQADGVKLLIPLISPASTQQSIQLLYETCLCIWLLSFYDAAVDYLSTTRVMPRLVEVVKGSTKEKVVRVVIMSICNLLAKGAFAAQMIDLGLPHIVQNLKAQAWTDEDLLDALNQLEIGLKDNLKKLSSFEKYKQQVLLGHLDWSPMHKDPSFWRENINNFEENDFQILRVLMTIIDTSADTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHENAEVRKNALLCVQRLFLGAKYASFLQT >ORUFI07G18730.2 pep chromosome:OR_W1943:7:18867932:18873791:1 gene:ORUFI07G18730 transcript:ORUFI07G18730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGIVPNGEASNSKSKLTSTQDVLRGLVDWLCSQLRNPTHPNCSVPTAMHCLATLLREQYVRALFVQADGVKLLIPLISPASTQQSIQLLYETCLCIWLLSFYDAAVDYLSTTRVMPRLVEVVKGSTKEKVVRVVIMSICNLLAKGAFAAQMIDLGLPHIVQNLKAQAWTDEDLLDALNQLEIGLKDNLKKLSSFEKYKQQVLLGHLDWSPMHKDPSFWRENINNFEENDFQILRVLMTIIDTSADTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHENAEVRKNALLCVQRLFLGAKYASFLQT >ORUFI07G18730.3 pep chromosome:OR_W1943:7:18867638:18873791:1 gene:ORUFI07G18730 transcript:ORUFI07G18730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGIVPNGEASNSKSKLTSTQDVLRGLVDWLCSQLRNPTHPNCSVPTAMHCLATLLREQYVRALFVQADGVKLLIPLISPASTQQSIQLLYETCLCIWLLSFYDAAVDYLSTTRVMPRLVEVVKGSTKEKVVRVVIMSICNLLAKGAFAAQMIDLGLPHIVQNLKAQAWTDEDLLDALNQLEIGLKDNLKKLSSFEKYKQQVLLGHLDWSPMHKDPSFWRENINNFEENDFQILRVLMTIIDTSADTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHENAEVRKNALLCVQRLFLGAKYASFLQT >ORUFI07G18740.1 pep chromosome:OR_W1943:7:18874406:18876738:1 gene:ORUFI07G18740 transcript:ORUFI07G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELSRLLEWSRPSSLNPSLVAAAPQSLRPFRTAALFPATVGRWLSMAAAAARAGFRRMFSVSGFTPPPPPAARPVADPCNNLFVSGLNKRTTSDGLREAFSKFGQVIEARVITDRISGYSRGFGFVKYATVEEAGEGIKGMDGKFLDGWVIFAEYAKQREAQQPAQPAASTGYQYSNQ >ORUFI07G18750.1 pep chromosome:OR_W1943:7:18878686:18878997:1 gene:ORUFI07G18750 transcript:ORUFI07G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ORUFI07G18760.1 pep chromosome:OR_W1943:7:18879427:18882251:-1 gene:ORUFI07G18760 transcript:ORUFI07G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTPMTSSAVEDAATGELRRGAWSHGWRRILRGDGGGAGDKLRREGRGRRLRRGRWARSPVTSSRRRTQSPATSFDVDRGRGRMRLPATRFGPGSSISSNPFSSQMMPFVAPPIGDSEAELALPPMRRRDANRSHGLTRAARVDRPTGFDGDCQAMQRRGGVGGVDGVGGARLGGVASCAGQRGELGEARPDAAPSAGQEARARRVGGGREKDAVEELFGEVADAVVAAMEVAHDINLLV >ORUFI07G18770.1 pep chromosome:OR_W1943:7:18879448:18879789:1 gene:ORUFI07G18770 transcript:ORUFI07G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLHGGNDRISDLPEELLHSILLPTTADAARTSLLSRRWRRVWTSLPELSSLSGARRDPSQPCAADAVDAAYAAPTLHSLAISVETRRPIHARRPRQPVAAVRVAAPHRRES >ORUFI07G18780.1 pep chromosome:OR_W1943:7:18888665:18891587:1 gene:ORUFI07G18780 transcript:ORUFI07G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCSARNSGDGGEGVDRISHLPEELIQNILVRLPSVDAAARTSVLSRRWRRVWTRLPSLSFQCDSEKPGAIDAALAAYSAPALNRLALSAAHALEAATVAPWLEFASRRVAGNLTLNFSVAGGLELPACERATSINLHVGHLRLPPAGSFAALASLAIVGARMQGGDFEAVCSPRCPRLRRLAVRSVTLVGGAADVSVRSGSLEWLVFMAQGVGRLEVAAPRLRYFRAEAKAAAGDVSDVSVASPVLEDVAWYGEFDPRRHRFAEAGHRLRKLMVMDMPTAALMRRFYIVDELVLSFGISPGIRGYKTFLNATSMIAKCEVLEVQVTTRRHAFSSAVLHLLRKSVGVAKLLIRLPRMGNKSCTEGCPCSLTDSCNTDKIQLDSLKEVEILEFQGEFNQMKFINLLLDCQAPILKKVYVRIPKDVKSISNTKSKKIRSIIDGHPEIDVEFKLWS >ORUFI07G18790.1 pep chromosome:OR_W1943:7:18894902:18897427:1 gene:ORUFI07G18790 transcript:ORUFI07G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPDDGHRSEIVMDAAGRSCEVDEEDYISGLPDDLLHNILLRLRSTAAAARTSVLSRRWLRVWAHLPDLELGNFRAPAAAVDSVLDSIDAAMAASQAPAIDSLCITVEKENRTRQLPLSAAIPAHRVIAWLRFASRRRLRRLNLSLPADDDMAARAPRRRVGGEEKTMEALPIDLLAMERATSIVLDLGHRFRLRLMMIPPPVAGGSGAFTKLTALTIIAAAVERRELEALVSSHCPRLEKLSIIGVKLLDGAGAGAASSFSMRSDSLTSLYIHLRESGLEEVVAPRLEKLHASGDTGFHVAAPMLAEVSWQDVHRAYLSNGVLRRRPLKIAGAARSLRRLCITSPSSVGYLLQRFDAVDWLDLTLAVPQGVEAYRTFMDDMDNLPKCETLVVSLIAQFHGFVPSMLHLLRRCSHVKKLVVMIIEHRDPPPLSPSSFCSTACPCRSPDIYKTDGGIALDCLEEVEIRPIGPAPVGVVAEFVDQIFRLDAAMLKKVVYQQSPFRPDQEGYEKVRSMYLSNPRVEFRQLASTKQGCDSLVQ >ORUFI07G18800.1 pep chromosome:OR_W1943:7:18897680:18903056:-1 gene:ORUFI07G18800 transcript:ORUFI07G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAASAMRPLAPLFLFFFFFFFSLAASASDTILANSSLADGQKLVSAGGVFELGFFTPPGSTAAARFLGIWYRDIDPPTVVWVANRDAPVSGTAGSLAVVVNGSGGGGGGRLVLGDGSGRVVWSSAPSNVTASDPVAARLLDSGNFVLVGGGGGGGGAGDVLWQSFDYPSDTLLPGMKFGWDLTTGLDRYLTTWRSAGDPSPGDYTFKIDPRGAPEGFIWYNGTSPVYRNGPWDGLQFSGEPEMEPNNTSFRFEFVANRTDVYYTFVLDDGGGGVLSRFVLNQSSAQRYVWLPQAGGWSLYWSLPRDQCDQYAHCGAYGVCDVGAASMCGCPAGFAPASPRNWELRDSSAGCARRTRLNCTGDGFLPLRGVKLPDTTNATVDAAIAVDQCRARCLANCSCVAYAASDVRGGGSGCIMWSSPLVDIRKFSYGGEDLFMRLAASDLPTNGDDSSRKNTVLAVVLSLSGVVLLALAAFFVWDKLFRNKVRFQSPQRFTSFDSSIPLNQVQDRKMEDETRHSNELNVTLFDFNTIAFSTDNFANLAKLGEGGFGPVYKGELDGGQTVAVKRLSKFSTQGLDEFKNEVMLIARLQHVNLVRLLGCCIHGEERMLVYEYMENKSLDNFIFDKARSAQLNWSKRFNIILGIARGLLYLHQDSRFKIIHRDLKAGNILLDGDMNPKISDFGVARIFGDDTDSHTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLVLELVSGRKNRGMYSSGEQTSLLSHAWRLWREGNALALLDEAVAGGGGGGGGYSRSEVLRCVQVGLLCVQERPEDRPHMAAVFMMLGNLSAVVPQPRHPGFCSDRGGGGSTDGEWSSTCTVNDVTVTIVEGRLSSPPPYEVSRKIPGCFSRCEVKCSQSPWDGGSIE >ORUFI07G18800.2 pep chromosome:OR_W1943:7:18897680:18903056:-1 gene:ORUFI07G18800 transcript:ORUFI07G18800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAASAMRPLAPLFLFFFFFFFSLAASASDTILANSSLADGQKLVSAGGVFELGFFTPPGSTAAARFLGIWYRDIDPPTVVWVANRDAPVSGTAGSLAVVVNGSGGGGGGRLVLGDGSGRVVWSSAPSNVTASDPVAARLLDSGNFVLVGGGGGGGGAGDVLWQSFDYPSDTLLPGMKFGWDLTTGLDRYLTTWRSAGDPSPGDYTFKIDPRGAPEGFIWYNGTSPVYRNGPWDGLQFSGEPEMEPNNTSFRFEFVANRTDVYYTFVLDDGGGGVLSRFVLNQSSAQRYVWLPQAGGWSLYWSLPRDQCDQYAHCGAYGVCDVGAASMCGCPAGFAPASPRNWELRDSSAGCARRTRLNCTGDGFLPLRGVKLPDTTNATVDAAIAVDQCRARCLANCSCVAYAASDVRGGGSGCIMWSSPLVDIRKFSYGGEDLFMRLAASDLPTNGDDSSRKNTVLAVVLSLSGVVLLALAAFFVWDKLFRNKVANPVRFQSPQRFTSFDSSIPLNQVQDRKMEDETRHSNELNVTLFDFNTIAFSTDNFANLAKLGEGGFGPVYKGELDGGQTVAVKRLSKFSTQGLDEFKNEVMLIARLQHVNLVRLLGCCIHGEERMLVYEYMENKSLDNFIFDKARSAQLNWSKRFNIILGIARGLLYLHQDSRFKIIHRDLKAGNILLDGDMNPKISDFGVARIFGDDTDSHTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLVLELVSGRKNRGMYSSGEQTSLLSHAWRLWREGNALALLDEAVAGGGGGGGGYSRSEVLRCVQVGLLCVQERPEDRPHMAAVFMMLGNLSAVVPQPRHPGFCSDRGGGGSTDGEWSSTCTVNDVTVTIVEGRLSSPPPYEVSRKIPGCFSRCEVKCSQSPWDGGSIE >ORUFI07G18810.1 pep chromosome:OR_W1943:7:18908925:18911370:1 gene:ORUFI07G18810 transcript:ORUFI07G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLAFTVTRSAPELVAPSRATPRELRPLSDIDDQDGLRFYRSGLHFFRGRGGGGGADPAAVVRRGLADALVHYYPVAGRIREVEAPARKLVVDCTGDGVVFVEADADVSLSDFGDVLCPPFPCYQELLCEPDGNCAAVVGRPLLFIQVTRLRCGGFVFGLQICHNIADAAGTVQLLRAIGEMSRGMPAPTVPPVWARELLMARSPPVVTHRHPEYDETAAGGNHDVLAHHEPLVQRAFFFGPKEMSALRELAAPAGAAAGKRISRFDMLAAFLWQRRAAALEYDDDDEVRVMFVVNARGRSPPLPAGFYGNAFAFAVAACTAGRLRDSPLADVVGMVAGAKARATSEGNLQSVADLMAQRGRPRFGRAARAYLVSDVTRAGFEGVDFGWGEGAYGGPAAATLATFHLTVKDASGEEVIAVPMCLPAPAMERLELDVQMSLNH >ORUFI07G18820.1 pep chromosome:OR_W1943:7:18918015:18937930:-1 gene:ORUFI07G18820 transcript:ORUFI07G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPPPPRPLLLLLPLLLVWGVVVAAAAATDTLRQGESLTGAATLVSSPSGVFEVGFFAPDPKLPSRLYLGIWYRSISPRTVVWVANRAAPATAPSPSLTLAANGELRVLDGSAADADAPLLWRSNASTQSAPRGGYKAVIQDTGSLEVRSDDGTLWDSFWHPSDTMLSGMRITVRTPGRGPSEPMRFTSWTSETDPSPGRYALGLDPANSGQAYIWRDGNVTIWRSGQWTGQNFVGIPWRPLYLYGFKPANDANLGAYYTYTASNTSLQRFVVMPNGTDICYMVKKSAQEWETVWMQPSNECEYYATCGANAKCTAMQDGKAKCTCLKGFQPKLLDQWNTGNWSQGCVRSPPLGCQVNQTGDGFLSIPNIKWPDFSYWPSTVQDENGCMNACLSNCSCGAYVYMTTIGCLLWGSDLIDMYQFQSGGYTLNLKLPASELRSHHAVWKIATIVSAVVLFVLLACLFLWWKRGRNIKDVMHKSWRSMHTSTRSQQNSGMLDISQSIPFEDDTEDGKSHELKVYSFDRIKAATCNFSDSNKLGAGGFGPVYMGKLPGGEEVAVKRLCRKSGQGLEEFKNEVILIAKLQHRNLVRLLGCCIQGEEKILVYEYMPNKSLDAFLFNPEKQGLLDWRKRFDIIEGIARGLLYLHRDSRLRVVHRDLKASNILLDKDMNPKISDFGMARMFGGDQNQFNTNRVVGTFGYMSPEYAMEGIFSVKSDIYSFGVLMLEIITGKRALSFHGQQDSLNIAGFAWRQWNEDKGEELIDPLIRASCSLRQVLRCIHIALLCVQDHAQERPDIPAVILMLSSDSSSLPMPRPPTLMLHGRSAETSKSSEKDQSHSIGTPLANLSIFSPPSSSSWSMASTAAIAALALVFFVLLCVRDGGGVDAADTLSQGQSLGANDTLVSANGTFKVGFFTPAGGDPGKVYLGVMYATSNVQTVMWVANRDAPVRTAAGAASATVTGSGELLVKEGDRVAWRTNAPAAGRSNHTLTIRDDGNLVIAGSDAGGTDVEWESFHHPTDTFVPGMEIALRQTNGDRTLYTSWRSDADPATGDFTLGLDASVQLYIWQSQGGKNTTYWRSGQWASGNFVGIPWRALFVYGFKLIGDPPPISHDMSITFTAFNASLYRFVLRPNGVETCYMLLGSGDWELVWSQPTIPCHRYNLCGDNAKCADNGQPICTCFEGFEPKSLQEYNNGNWTQGCVRSVPLTCSSERNNTTAGGAGAGAGGGDGFTAIRGVKLPDFAVWRSLVGDANSCEKACLDNCSCGAYSYSTGSCLTWGQELVDIFQFPAGSEGAKYDLYVKVPSSLLDKSSGRWKTVVVVVVVVVVVVLLASGLLMWKCRRRIKEKLGIGRKKAQLPLLRPARDAKQDFSGPAQSEHEKSEEGKNCELPLFAFETLATATDNFSISNKLGEGGFGHVYKGRLPGGEEIAVKRLSRSSGQGLEEFKNEVILIAKLQHRNLVRLLGCCIQCEEKILVYEYMPNKSLDAFLFDPERRGLLDWRTRFQIIEGVARGLLYLHRDSRLRVVHRDLKASNILLDRDMNPKISDFGMARIFGGDQNQVNTNRVVGTLGYMSPEYAMEGLFSVRSDVYSFGILILEIITGQKNSSFHHMEGSLNIVGYAWQLWNGDRGQELIDPAIRGTCPAKEALRCVHMALLCVQDHAHDRPDIPYVVLTLGSDSSVLPTPRPPTFTLQCTSSSSGRDMYYRDKEESYSANDLTVTMLQGR >ORUFI07G18830.1 pep chromosome:OR_W1943:7:18926545:18927114:1 gene:ORUFI07G18830 transcript:ORUFI07G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRFVIQRNGIGRQGKKRKKKKKKKKKKKKKKKKKKKKKKGERNSNPLHYRIDEPETNQPCIADNKASWRGERTVKCRTCHTEKAVGGR >ORUFI07G18840.1 pep chromosome:OR_W1943:7:18951896:18952702:-1 gene:ORUFI07G18840 transcript:ORUFI07G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPPSHPVDLPLAAAPPPVKAPTPRPPTPASLQPESPGVFFTAAAAAAPVGSSHRRIAIAVDLSDESAYAVRWAVANYLRPGDAVILLHVRPTSVLYGADWGSVDLSLPAANPNPSGDPPSAEDDAEAAARKMEDDFDAFTASKADDLAKPLKDAGIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSKGFGASRRTSKGRLGSVSDYCVHHCVCPVVVVRFPDDGVAEGGEAGGASELAVGEEVLHPVPEEDAEYHDATEEHKGN >ORUFI07G18850.1 pep chromosome:OR_W1943:7:18955861:18968901:-1 gene:ORUFI07G18850 transcript:ORUFI07G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGGGGGAGGCSNGGGGGAVNGAAANGGGGGGGGSKGATTRRAKVSPMDRYWVPTDEKEMAAAVADGGEDGRRPLLFRTFTVRGILLHPYRLLTLVRLVAIVLFFIWRIRHPYADGMFFWWISVIGDFWFGVSWLLNQVAKLKPIRRVPDLNLLQQQFDLPDGNSNLPGLDVFINTVDPINEPMIYTMNAILSILAADYPVDKHACYLSDDGGSIIHYDGLLETAKFAALWVPFCRKHSIEPRAPESYFAVKSRPYAGSAPEDFLSDHRYMRREYDEFKVRLDALFTVIPKRSDAYNQAHAEEGVKATWMADGTEWPGTWIDPSENHKKGNHAGIVQVMLNHPSNQPQLGLPASTDSPVDFSNVDVRLPMLVYIAREKRPGYDHQKKAGAMNVQLRVSALLTNAPFIINFDGDHYVNNSKAFRAGICFMLDRREGDNTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGIQGPSYVGTGCMFRRVALYGVDPPRWRPDDGNIVDSSKKFGNLDSFISSIPIAANQERSIISPPALEESILQELSDAMACAYEDGTDWGKDVGWVYNIATEDVVTGFRLHRTGWRSMYCRMEPDAFRGTAPINLTERLYQILRWSGGSLEMFFSHNCPLLAGRRLNFMQRIAYINMTGYPVTSVFLLFYLLFPVIWIFRGIFYIQKPFPTYVLYLVIVIFMSEMIGMVEIKWAGLTLLDWIRNEQFYIIGATAVYPLAVLHIVLKCFGLKGVSFKLTAKQVASSTSEKFAELYDVQWAPLLFPTIVVIAVNICAIGAAIGKALFGGWSLMQMGDASLGLVFNVWILLLIYPFALGIMGRWSKRPYILFVLIVISFVIIALADIAIQAMRSGSVRLHFRRSGGANFPTSWGRRSMALSPAAAGRTGRNNNNDAGLADPLLPAGGGGGGGKDKYWVPADEEEEICRGEDGGRQPAPPLLYRTFKVSGVLLHPYRLLTLVRLIAVVLFLAWRLKHRDSDAMWLWWISIAGDFWFGVTWLLNQASKLNPVKRVPDLSLLRRRFDDGGLPGIDVFINTVDPVDEPMLYTMNSILSILATDYPADRHAAYLSDDGASLAHYEGLIETARFAALWVPFCRKHRVEPRAPESYFAAKAAPYAGPALPEEFFGDRRLVRREYEEFKARLDALFTDIPQRSEASVGNANTKGAKATLMADGTPWPGTWTEPAENHKKGQHAGIVKVMLSHPGEEPQLGMPASSGHPLDFSAVDVRLPILVYIAREKRPGYDHQKKAGAMNAQLRVSALLSNAPFIFNFDGDHYINNSQAFRAALCFMLDRRHGDDTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGVQGPSYVGTGCMFRRVALYGADPPRWRPEDDDAKALVCPGRYGNSMPFINTIPAAASQERSIASPAAASLDETAAMAEVEEVMTCAYEDGTEWGDGVGWVYDIATEDVVTGFRLHRKGWRSMYCAMEPDAFRGTAPINLTERLYQILRWSGGSLEMFFSRNCPLLAGCRLRPMQRVAYANMTAYPVSALFMVVYDLLPVIWLSHHGEFHIQKPFSTYVAYLVAVIAMIEVIGLVEIKWAGLTLLDWRRCCTSLLGLKGVRFKLTAKQLAGGARERFAELYDVHWSPLLAPTVVVMAVNVTAIGAAAGKAVVGGWTPAQVAGASAGLVFNVWVLVLLYPFALGIMGRWSKRPCALFALLVAACAAVAAGFVAVHAVLAAGSAAPSWLGWSRGATAILPSSWRLKRGF >ORUFI07G18860.1 pep chromosome:OR_W1943:7:18977423:18978095:1 gene:ORUFI07G18860 transcript:ORUFI07G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSGAEATWCEEASALATEAAAARAGARGRRGEVAEVGATWLKRSAMVEVGATRHGRPQQRTARRMWERPTAVVDWRRIARRRWSLVPRHIRKAAGCSLRAVTEVDLADGLSGGEAAAVEDEGDDDATGGSLTRDGLPPQDGQTGRVDGLVWGELIHFSLSRKSQSHPFPLQPNHVDRVEALEGRDSTLMAD >ORUFI07G18870.1 pep chromosome:OR_W1943:7:18995339:18998308:1 gene:ORUFI07G18870 transcript:ORUFI07G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAGDVWFGFSWVLNQLPKLSPIKRFPDLAALADRHSDELPGVDVFVTTVDPVDEPILYTVNTILSILAADYPVDRRKSLAKAISEKAANEVEVASASPQMAAHFGYRRESQMGRESVVYIDGGGVIPSIAAEGGDSIRSKS >ORUFI07G18880.1 pep chromosome:OR_W1943:7:19014821:19016340:1 gene:ORUFI07G18880 transcript:ORUFI07G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASTMSAAAAVTRRINAALRVDATSGDVAAGADGQNGRRSPVAKRVNDGGGGKDDVWVAVDEKDVCGARGGDGAARPPLFRTYKVKGSILHPYRFLILLRLIAIVAFFAWRVRHKNRDGVWLWTMSMVGDVWFGFSWVLNQLPKLSPIKRVPDLAALADRHSGDLPGVDVFVTTVDPVDEPILYTVNTILSILAADYPVDSNALLAGRRLHPLQRVAYLNMSTYPIVTVFIFFYNLFPVMWLISEQYYIQRPFGEYLLYLVAVIAMIHVIGMFEVKWAGITLLDWCRNEQFYMIGSTGVYPTAVLYMALKLVTGKGIYFRLTSKQTTASSGDKFADLYTVRWVPLLIPTIVIIVVNVAAVGVAVGKAAAWGPLTEPGWLAVLGMVFNVWILVLLYPFALGVMGQWGKRPAVLFVAMAMAVAAVAAMYVAFGAPYQAELSGGAASLGKAAASLTGPSG >ORUFI07G18890.1 pep chromosome:OR_W1943:7:19027020:19030578:1 gene:ORUFI07G18890 transcript:ORUFI07G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVAKFAELWVPFCRKHCVEPRSPENYFAMKTQAYKGGVPGELMSDHRRVRREYEEFKVRIDSLSSTIRQRSDVYNAKHAGENATWMADGTHWPGTWFEPADNHQRGKHAGIVQVLLNHPSCKPRLGLAASAENPVDFSGVDVRLPMLVYISREKRPGYNHQKKAGAMNVMLRVSALLSNAPFVINFDGDHYVNNSQAFRAPMCFMLDGRGRGGENTAFVQFPQRFDDVDPTDRYANHNRVFFDGTMLSLNGLQGPSYLGTGTMFRRVALYGVEPPRWGAAASQIKAMDIANKFGSSTSFVGTMLDGANQERSITPLAVLDESVAGDLAALTACAYEDGTSWGRDVGWVYNIATEDVVTGFRMHRQGWRSVYASVEPAAFRGTAPINLTERLYQILRWSGGSLEMFFSHSNALLAGRRLHPLQRMFFSHSNALLAGRRLHPLQRVAYLNMSTYPIVTVFIFFYNLFPVMWLISEQYYIQRPFGEYLLYLVAVIAMIHVKWAGITLLDWCRNEQFYMIGSTGVYPTAVLYMALKLVTGKGIYFRLTSKQTAASSGDKFADLYTVRWVPLLIPTIVIMVVNVAAVGVAVGKAAAWGPLTEPGWLAVLGMVFNVWILVLLYPFALGVMGQWGKRPAVLFVAMAMAVAAVAAMYVAFGAPYQAELSGVAASLGKVAAASLTGPSG >ORUFI07G18900.1 pep chromosome:OR_W1943:7:19038515:19039346:1 gene:ORUFI07G18900 transcript:ORUFI07G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKEQEESSTAFVESPLYPADKAGSKPRHVLVASSGFSVNASAYFGWLFRPVLSLASN >ORUFI07G18910.1 pep chromosome:OR_W1943:7:19041429:19055358:-1 gene:ORUFI07G18910 transcript:ORUFI07G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASVAGGGEDSNGCSSLIDPLLVSRTSSIGGAERKAAGGGGGGAKGKHWAAADKGERRAAKECGGEDGRRPLLFRSYRVKGSLLHPYRALIFARLIAVLLFFGWRIRHNNSDIMWFWTMSVAGDVWFGFSWLLNQLPKFNPVKTIPDLTALRQYCDLADGSYRLPGIDVFVTTADPIDEPVLYTMNCVLSILAADYPVDRSACYLSDDSGALILYEALVETAKFATLWVPFCRKHCIEPRSPESYFELEAPSYTGSAQEEFKNDSRIVHLEYDEFKVRLEALPETIRKRSDVYNSMKTDQGAPNATWMANGTQWPGTWIEPIENHRKGHHAGIVKVVLDHPIRGHNLSLKDSTGNNLNFNATDVRIPMLVYVSRGKNPNYDHNKKAGALNAQLRASALLSNAQFIINFDCDHYINNSQAFRAAICFMLDQREGDNTAFVQFPQRFDNVDPKDRYGNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRLALYGIDPPHWRQDNITPEASKFGNSILLLESVLEALNQDRFATPSPVNDIFVNELEMVVSASFDKETDWGKGVGYIYDIATEDIVTGFRIHGQGWRSMYCTMEHDAFCGTAPINLTERLHQIVRWSGGSLEMFFSHNNPLIGGRRLQPLQRVSYLNMTIYPVTSLFILLYAISPVMWLIPDEVYIQRPFTRYVVYLLVIILMIHMIGWLEIKWAGITWLDYWRNEQFFMIGSTSAYPTAVLHMVVNLLTKKGIHFRVTSKQTTADTNDKFADLYEMRWVPMLIPTMVVLVANIGAIGVAIGKTAVYMGVWTIAQKRHAAMGLLFNMWVMFLLYPFALAIMGRWAKRPIILVVLLPIIFVIVALLATASTMSAAAVTRRINAGGLRVEVTNGNGAAGVYVAAAAAPCSPAAKRVNDGGGKDDVWVAVDEADVSGPSGGDGVRPTLFRTYKVKGSILHPYRFLILVRLIAIVAFFAWRVRHKNRDGAWLWTMSMAGDVWFGFSWALNQLPKLNPIKRVADLAALADRQQHGTSGGGELPGVDVFVTTVDPVDEPILYTSRHYEAMVEVAKFAELWVPFCRKHCVEPRAPESYFAMKTQAYRGGVAGELMSDRRRVRREYEEFKVRIDSLFSTIRKRSDAYNRAKDGKDDGENATWMADGTHWPGTWFEPAENHRKGQHAGIVQVLLNHPTSKPRFGVAASVDNPLDFSGVDVRLPMLVYISREKRPGYNHQKKAGAMNALLRVSALLSNAPFIINFDCDHYVNNSQAFRAPMCFMLDRRGGGDDVAFVQFPQRFDDVDPTDRYANHNRVFFDGTTLSLNGLQGPSYLGTGTMFRRAALYGLEPPRWGAAGSQIKAMDNANKFGASSTLVSSMLDGANQERSITPPVAIDGSVARDLAAVTACGYDLGTSWGRDAGWVYDIATEDVATGFRMHQQGWRSVYTSMEPAAFRGTAPINLTERLYQILRWSGGSLEMFFSHSNALLAGRRLHPLQRIAYLNMSTYPIVTVFIFFYNLFPVMWLISEQYYIQQPFGEYLLYLVAIIAMIHVIGMFEVKWSGITVLDWCRNEQFYMIGSTGVYPTAVLYMALKLFTGKGIHFRLTSKQTTASSGDKFADLYTVRWVPLLIPTIVVLAVNVGAVGVAVGKAAAWGLLTEQGRFAVLGMVFNVWILALLYPFALGIMGQRGKRPAVLFVATVMAVAAVAIMYAAFGAPYQAGLSGVAASLGKAASLTGPSG >ORUFI07G18920.1 pep chromosome:OR_W1943:7:19062410:19066884:-1 gene:ORUFI07G18920 transcript:ORUFI07G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFDRVPTCASIQNTTHPNFHAKRMAPPSKRKICSSFSFLILSIFHLYTSTSALTDTISRVQSLSGSTTVVSKEGNFELGFFSPGNTGNLYVGIWFRTTSKKAVIWVANRDNPVTSATSPELKISGDGNLVLLNKFGEPKWSSNGTWNKPRKSIVAVLLDNGNLILRDQGNSSDVIWQSFDHPTDTILSGQGFGINKITGEYQDRVSWKDPEDPAPGPFSNHVDLIRLNQYVSLWNQSKVYWQSGNWTGQAFTSIPGMPLNTEYNYVFINNSHQLKFIYTTKDVSIITRMVLTVNGQLQRHIWSNKSEEWIVQWSLPAALCDVYSVCGPFGVCKTGFDEKCYCLPGFRPVSSRSWDLGAWNQGCVRKTDISCVDSNKHNGQQEKHAFLKIANIKVPGNPMQLNVQSEEECRSICLNNCICTAYAHQHECIVWNSELRDLKQLPDGNVDAIDIYVRLAASDLEVQYNEHKTHHMRLIAVLGSTFVALCVFGAIIWTFRKRNATQKAFSNDDSLILYSYSFLQHCTKNFSDKLGQGSFGSVYKGSLPNSQMIAVKKLQGMRQGEKQFQTEVRALGRIHHTNLVCLKGFCLRGAERLLVLDWYTRFQVILGVAKGLHYLHEECHECIIHCDIKPENVLVDADFSPKVADFGLAKLMDRNFSRALTTMRGTIGYLAPEWIAGLPITSKADVYSYGMMLFEIISGKRNTELMESKTIKYFPVWAAVRISNGDISEVFDHRMHDTNVQELERACKVACWCIQDNEAHRPTMQQIVHILQGTRDVGLPPIPAFLQNLVEEYDPASYS >ORUFI07G18930.1 pep chromosome:OR_W1943:7:19067104:19069578:-1 gene:ORUFI07G18930 transcript:ORUFI07G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVFFLLLFSQIFLCTAVDTINSTTPLSATQKIVSKGGRFALGFYTPPQGNNTASGTGNYYIAIWYNNIPLQTTVWTANSDVPVSDPTTASLSIGSDGNLVLLDQSKNRQLWSTNVSVASNSTVAVIQDGGSLDLMDATNSSIVYWRSIDHPTNTWLPGGKLGLNKTTGVSQRLVPWRNNANPSPGLFSLELDPNGTTQYFIQWNDSITYWTSGPWNGNIFSLVPEMTAGYNYNFRFINNVSESYFIYSMKDDSIISRFTIDVNGQIKQWTWVPASENWILFWSQPRTQCEVYGLCGAYGSCNLNVLPFCNCIKGFSQKFQSDWDLQDFTGGCKRNVPLQCQTNSSSAQTQPDKFYSMVSVRLPDNAQSAVAASSQACQVACLNNCSCNAYTYNSSGCFVWHGDLINLQDQYNGNGGGTLFLRLAASELPDSKKSKKMIIGAVVGGVAAALIILAIVLFIVFQKCRRDRTLRISKTTGGALIAFRYSDLQHVTSNFSEKLGGGAFGTVFKGKLPDSTAIAVKRLDGLSQGEKQFRAEVSTIGTIQHVNLVRLLGFCSEGSRRLLVYEYMPKGSLELQLFHGETTALNWAIRYQIALGTARGLNYLHEKCRDCIIHCDVKPDNILLDESFVPKVSDFGLAKLLGRDFSRVLTTMRGTRGYLAPEWISGVPITPKADVFSYGMMLFELISGRRNADLGEEGKSSFFPTLAVNKLQEGDVQTLLDPRLNGDASADELTKACKVACWCIQDDENGRPTMGQVVQILEGFLDVNMPPVPRSLKILDESPDVINFFSDVSSSQTSQMHNSTASSQTKSSTSGGSQFQST >ORUFI07G18940.1 pep chromosome:OR_W1943:7:19068297:19071180:1 gene:ORUFI07G18940 transcript:ORUFI07G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKAATTILQNGRTLRLQLPYAPQSPYTSHCVRGWDQNKIQFSEAGTHVHCLICPFTSMVNREMIESSFMEYMK >ORUFI07G18940.2 pep chromosome:OR_W1943:7:19069295:19071180:1 gene:ORUFI07G18940 transcript:ORUFI07G18940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDAVVGSDTGTSLLAVHTVVCNVVAGAGGGVVTLWRGVESKGEPAALGDDLLRCGQRRGGVDGVHCGAEKDLAEEEEEEDRSHGWILAEQPGLRTWDEWKLSLAFGEGCRKLVLQFCLRNSTVPSIN >ORUFI07G18940.3 pep chromosome:OR_W1943:7:19068297:19070677:1 gene:ORUFI07G18940 transcript:ORUFI07G18940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKAATTILQNGRTLRLQLPYAPQSPYTSHCVRGWDQNKIQFSEAGTHVHCLICPFTSMVNREMIESSFMEYMK >ORUFI07G18950.1 pep chromosome:OR_W1943:7:19075791:19075994:-1 gene:ORUFI07G18950 transcript:ORUFI07G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVQEQDKQLVVDLVSTAVDQLARMCSTGEPLHRQRGAEMMAVEEHARMFRCEILMASMDYQGTAM >ORUFI07G18960.1 pep chromosome:OR_W1943:7:19077416:19079837:1 gene:ORUFI07G18960 transcript:ORUFI07G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYKRSRNSQGRQGKQKLLTQHSYLLNHLLGFLCSGDRAAGRPAARVHFWAPTFKWGISIANVADFAKPPEMISYPQQVVVACSGVIWARWGMVITPINWNLSSVNAAMAVTGVCQLSRKIRHDYFSDEKSATASLEG >ORUFI07G18960.2 pep chromosome:OR_W1943:7:19077635:19079837:1 gene:ORUFI07G18960 transcript:ORUFI07G18960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGFELMIDGASFPDSCRLLCSRLCLLNIDDGWAVVSSSSIHFWAPTFKWGISIANVADFAKPPEMISYPQQVVVACSGVIWARWGMVITPINWNLSSVNAAMAVTGVCQLSRKIRHDYFSDEKSATASLEG >ORUFI07G18970.1 pep chromosome:OR_W1943:7:19082790:19083422:1 gene:ORUFI07G18970 transcript:ORUFI07G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFACFSAAAVPPRGGGGGGRRHRGTSSSLRSKLFGGRTTKAGSKKYSSAAALDDVYDAAEWSSSSVPWSSTSALSLDSARSSSSSSSTTTTAPCSRSRSLSSLSDALSPPAARRAPERRGRTPRPAAGVAAVIVCLVMVMLCGRVGATALASAAFYLFPRRWRPVGAIQAAESAAASPEHDWPSSATDQETTTKRKVVKEGFLARNCKK >ORUFI07G18980.1 pep chromosome:OR_W1943:7:19088217:19091020:-1 gene:ORUFI07G18980 transcript:ORUFI07G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAREEEEASTAARSRQESEGEEEEVMAVLDFDMLCASVALAAERRKDSAAAAAATVEAGGGGGGGGGGGVQRMWEGDVVLDCLEDRRIALEAACCPCYRFGKNMRRANLGSCFLQAMVYLISLVAILVSLIAFSVTRHNIYLYMGLSSVLLIAIYTGYFRRRIRKQFNIRGTDSSLDDCVLHLICPCCTLCQEARTLEINNVQCGVWHGRGDTICLGSNGEGNKAFAALHKSSFVPIKSPELCGMDRTSNGANEHEPLVPSDLPEQ >ORUFI07G18980.2 pep chromosome:OR_W1943:7:19088217:19091020:-1 gene:ORUFI07G18980 transcript:ORUFI07G18980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAREEEEASTAARSRQESEGEEEEVMAVLDFDMLCASVALAAERRKDSAAAAAATVEAGGGGGGGGGGGVQRMWEGDVVLDCLEDRRIALEAACCPCYRFGKNMRRANLGSCFLQGTDSSLDDCVLHLICPCCTLCQEARTLEINNVQCGVWHGRGDTICLGSNGEGNKAFAALHKSSFVPIKSPELCGMDRTSNGANEHEPLVPSDLPEQ >ORUFI07G18990.1 pep chromosome:OR_W1943:7:19097839:19102313:1 gene:ORUFI07G18990 transcript:ORUFI07G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEMASPSPPVAALTNDLIAEIFLRLPTPEDLVRASAACVSFRRLVTTDRSFLRRFRSLHAPPFVGFLDHRGFHPALPPHPSAPVARAVADAADFALSFLPSPAGSWMVRDVRGGRVLVDRDTKAETGGSEKPLVFTEIAVCDPLRRRFLLLPPIPDDLAASVDRPVRVHLDRWCEPFLAPHIEEEEDDTSFKVIWMAQCKAKIIAFVFNSSTGQWLAGASPSTTDLFNGAGLSPPPSSSSPSLVFSSPGRVFSAIVEAGEGMTGIFALRGSVGGTFDLHYSIWGKEGATRREQMEKIIPLDHGYRYYIRGAMEKHLLLARSRGEGEEDTPEEPDLECFSLDVKTLQLEPVCVLKYYSLHSVFTVKETLVEKPSLPVPKANELKIQLKHRYVFPKANNLKNVGFGAVGSWLRSGGEVGSGGESASDGSGGVRRLGGLEAAEVDEPEEERRVVDGAVAAEEVAAGDESAEGDVGGGGAGEVRGRADTEEDLLQELVSEGGKLTRP >ORUFI07G19000.1 pep chromosome:OR_W1943:7:19104754:19105983:-1 gene:ORUFI07G19000 transcript:ORUFI07G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAHRQLDALPDDLLAEVLIRLPSLADLGRACASCASFRRVVTDRAFLRRARALHPPSLLGFCGASPGAGGFHFHPAEPPHPSAPAARGVLRAADFGFSFLPSPDPLGWVVRDVLGGRFLLDRDVVEEGAAAASRILAVCDPLFRRHLLLPQIPQDLPAASALRQSRRGDTFFAPIGEEECVAAVAETSFKVIWIAECADKLVAFVFSSVTGQWRATASVCWGDLSSAFSRPACRSMSRRSYAYGCFYWMMGDSGKNLLVLDMRRMDFSVLELPSSAPGHDIVECAIVEEGEGKIGMFAFRNYIAGYALQIYSAKMQNEGKAAAGKWSFETAMVVPFDEFGILGATSRELFLKVPPSFARGCYSLEFSTNPSCKYLESVRRVISGVPPSLSFLYVGYPPSLSSPSI >ORUFI07G19010.1 pep chromosome:OR_W1943:7:19108205:19110194:-1 gene:ORUFI07G19010 transcript:ORUFI07G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWPASPLCPPVMAPSIVGFAPPSPTHPPRQPSEQIDEEVQEVTIPDADGTDPVEVDSASVDLGHGLSASEEEFNRGSYFGVPVTNFVSAQGSMEDGYFTNLMNEGGNTFNWSAEGSQPDYPKIQCIKVGKGKHLRDLTKKAWVNVSMDAIQGADQSRSAYWNRICDFFHANKDFSSDRSQNSLMHRWSTIQECLNTFAGCVSRIENRPQSGANAEDKVKIMQIRSRRPMCSLHLLRLHLQVLRTVSLKRKRTLLQLMLCSLREDLQGGRKREKQRQRSDQSKIDALDHLWAKKKEADAEKERQREERYRAALALEQQRINLEKEKLDFKRMIEEDRLLRLDTSAMSIEEQEYYKSVKTSILSRRSAST >ORUFI07G19020.1 pep chromosome:OR_W1943:7:19113638:19121090:1 gene:ORUFI07G19020 transcript:ORUFI07G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARRSGHRRLDDTQELALPDDLLAEVLIRLPSLADLGRASAACASFRRVATDPAFLRRARALHPPSLLGFCASPGGFHPAEPPHPSAPAARAVLRAADFGFSFLPSPLSWVVRDVLDGRFLLDRDGGEGGAALRILAVCDPLFRRYSLLPQIPEDLAASVRRRPRRGVAPNGRFDTFFAPIGEEERAAAAVAETSFKVIWIAQCPDKLVAFVFSSVTGQWRATASPCWGDLSPAFSRPACRSLLRRSYAYGCFYWMMGDSGNLLVLDMCKMNFSIVKLPSNPPGRDIVECAIVEAGEGKIGMFAFCNCIDIYALELYSTTMQNEGRVASKWSFESAILMPSRDGFRVLGVTGKELCLQVSPICVSGCYLLEFSTNPSCKKLEFVRRGCSNFSAIPVCRLPTISIITKHMKRQQQYVFVSVDI >ORUFI07G19020.2 pep chromosome:OR_W1943:7:19118716:19121090:1 gene:ORUFI07G19020 transcript:ORUFI07G19020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAWQPPHRLQPPPPAQLDLTDELLEEVFVRLPTAADLARASTACASFRRLITGHAFLRRFRRLHPPPVLGILAEGFLAAQPPHPSAAAARALADPDAADFSCSFLPSRDRWCLRHFSDGRYLLSAIPERSDPAPDHRALVREFAVCDPLYRRYLLLPPIPDDLASVVNQSEIVNFEPFLCPATEDEEDTMFRVICLAQCEAKLVAFTYSRCSGQWHAVEFDGWRDLTRGTSNPFPSGEPELSGRYYAHGCFCWVMHWVNKLLVLDARSFEFSSIDLPPGPSSRRMVIVEALEGKLGLFTLCNDNALYYFLWYDILENDDEGALQWCMKEIIPLHENFNYNILGVAGGYLLLQGTFKLEWFCGTTYAIISPDMYAGG >ORUFI07G19030.1 pep chromosome:OR_W1943:7:19121604:19124021:-1 gene:ORUFI07G19030 transcript:ORUFI07G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPRRKRKRGLGKAAGARKRAREQHPGVAKLRKQHGSTFEDRISELPDDLLITILGHLDTRSSAATSVLSRRWQHLWKSVPKLRFSQHDIVPQTELSRFLRAHEYVFFKPSLCSWKRRVRVNLDRRIRLTDMYRTRIFSSSLTGFLHKSNAGDKNNTKISSLFLSCTMEDRYVNLVDKLVSIAVCRGVEDLNLTTSFYYDGQRRSTTPYEFPLSLFTDGKGLSLTELKLCECTLNIPIGLDGFKSLVKLSLTRMPISEDMIHTLFENCLKLECFHLNHCWGANHLFAGPGANHLKIASHDLQLRDIMVNSCEQITHMELVAPKLHQFRYRGPSISMMLGSVPSIEHACLHYEDSRDGESVKYILGKLSQDFPLLTSLSIDFDTYELKNPVIPGGLPTAFKNLRSLMLRVTMHSNDDLAWATMLLEVAPALESFQIELISNKKREHPGGVLWEPSDFEHHRLRQVKFYRFRMRQGDVALAGLLLARAPLLQTMSFFRGFVHNPPNWITQYVEAYHDWSTGQQSAITRRLEACNTFGARLEFRS >ORUFI07G19040.1 pep chromosome:OR_W1943:7:19125819:19127951:-1 gene:ORUFI07G19040 transcript:ORUFI07G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFYANLIDKLISIAICRGVEHLNLETYLYSANDQRPSPAPYKFPLSLFADGKGLSVTKLILAECTLSIPVGFAGFKSLVELSFTEMHISEDMIQTLIENCPNLECFRLRLCSGARHLKIASPHLQLREIMVKSCLQITHMELFAPKLQQFTYRGPCISMVLSSVPLMEHACLDYEGRRDGESVKYILGKLSQDFSLLTSLSIVLNTYRLKNPVIPEVVPTPFKNLKSLTLGAIMHCNDDIGWVTMLLEVAPVLESFQIELLTNEKREHPGGVLWEPSDNAHRHLRQVKFYRFRMRQADVALAGLLLARAPLLQTMTFSRGSVHRSPGQTAQYVEAAADWTAEQRSAITRRLETCNAFGARLEFRS >ORUFI07G19050.1 pep chromosome:OR_W1943:7:19132632:19144519:1 gene:ORUFI07G19050 transcript:ORUFI07G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRQNPAPAPPPPPPVILTDDLLEEIFIRLDTPADLARASSSCPPFRRVITDPSFLRRYRALYPPPLLGILPRDADAFLPAEPPHRSAPAAGAVDLSCAFLPDRHTWRRRDVRDGRILFSREEEYYAPDDDGADVLLMDLAVCDPFSGRYAILPEIPQDLIDPLDLEGQSFLCFEPFLAPATAADDDEDEVGGASFRVMYMARGLTKLMAFIFPWEAGEWRAVEYDGWAALINGTSTWLAEMFWRFHVHGNICWLLDWANKLLILDTTTNQLSTIEMVPGSWKKDIVFLETEEGQLGLFVLINNFYTSFDLYYAIWQDNDKGVKQWRVIEAIQLPLNYWYENLLGIDGGYVLLQGIPKGSKSSWQASSRQNGSSQASESSSEVPEHVCFSVEVKTFRVERFCGTNHAIKTANLFTIAATLYMYVLRIMAITELGNSWAHIGHEFYYSAAVRWGIAFLLKRLAKLRCFRRRRRRAAKPNAATPSPAARPAPTPTPTPPPLAVLSDDVLREIFVRVPSPADLARAATACAGFRRVITEPSFLRRFRAADHPPALLGFIDVGGGFVPAEPPHPSAAAAAGAAARDVVDFACPFLPSSPDPWRRRDVLDGRVLFSRGAVGGGGEVDGQDDDPGFMDLAVCDPLSRRYVLLPAVPADLAASAQLHNLLDLQPFFAPPRDDDDDGGGGTSFRVMYMARCQSKLVVFTFSSDTQQWSSTSYDGWGILVAATPSQETALTQRHHAHGCIFWFLRWAKKLLVLDTFTMELSTINLPSSELIEIQQVAIVESARGGIGMFAMVDEILDSTFDMFYVVWDPEGANKWPLERLMKLPVEFRYNLVGAAGGYLLVQGISVQGPVQDQVCFTVELKTFKVEMFCETRRTLIGADLFAGFAPSLSPPSGQMDAGLSLDHPSGVLVLKPPIDPLPSSTSGDSPAMASPSPSPSPSSASNQRRSLPPSPAAASSVGSSPAFPPRKRRRSGRPPPFLGLACYDGFYPATEPHPSAERAIALARKADFEYGFVPWVPEEEAWGWFPLDARDGRVLIQSKYFPDDPDGGDFPRPRFMNYAVCDPLFKRYVMLPPVPDDLTANEGSLVDFGLCLAPSQEDEADTSFRVICVARYNTNLVAFVFSSVTRQWGIGSSSTWSSLGTEEPPNRHGLSCFDCVDGCFYWTVPSADKILVLDAIKMEFSVINYAHRVEDGFRACIAVDTEGTPGMLTVGEYLGNREFRFSRIAKQSDRESPNERLSENIIQLPSNCNKYFTLGAAEGFIFLRGIPEEEKVEDSSSEDLYMDPEEIEYYSLNVKTVEFEMVCAMDMDKCYFHVCPYFRFSPPSAKPWMKPCF >ORUFI07G19050.2 pep chromosome:OR_W1943:7:19132632:19144519:1 gene:ORUFI07G19050 transcript:ORUFI07G19050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRQNPAPAPPPPPPVILTDDLLEEIFIRLDTPADLARASSSCPPFRRVITDPSFLRRYRALYPPPLLGILPRDADAFLPAEPPHRSAPAAGAVDLSCAFLPDRHTWRRRDVRDGRILFSREEEYYAPDDDGADVLLMDLAVCDPFSGRYAILPEIPQDLIDPLDLEGQSFLCFEPFLAPATAADDDEDEVGGASFRVMYMARGLTKLMAFIFPWEAGEWRAVEYDGWAALINGTSTWLAEMFWRFHVHGNICWLLDWANKLLILDTTTNQLSTIEMVPGSWKKDIVFLETEEGQLGLFVLINNFYTSFDLYYAIWQDNDKGVKQWRVIEAIQLPLNYWYENLLGIDGGYVLLQGIPKGLFASKWIITGLRIFVRGAGACLFFGGGQDFSVTHHRCRHRAYEMGNSWAHIGHEFYYSAAVRWGIAFLLKRLAKLRCFRRRRRRAAKPNAATPSPAARPAPTPTPTPPPLAVLSDDVLREIFVRVPSPADLARAATACAGFRRVITEPSFLRRFRAADHPPALLGFIDVGGGFVPAEPPHPSAAAAAGAAARDVVDFACPFLPSSPDPWRRRDVLDGRVLFSRGAVGGGGEVDGQDDDPGFMDLAVCDPLSRRYVLLPAVPADLAASAQLHNLLDLQPFFAPPRDDDDDGGGGTSFRVMYMARCQSKLVVFTFSSDTQQWSSTSYDGWGILVAATPSQETALTQRHHAHGCIFWFLRWAKKLLVLDTFTMELSTINLPSSELIEIQQVAIVESARGGIGMFAMVDEILDSTFDMFYVVWDPEGANKWPLERLMKLPVEFRYNLVGAAGGYLLVQGISVQGPVQDQVCFTVELKTFKVEMFCETRRTLIGADLFAGFAPSLSPPSKPPIDPLPSSTSGDSPAMASPSPSPSPSSASNQRRSLPPSPAAASSVGSSPAFPPRKRRRSGRPPPFLGLACYDGFYPATEPHPSAERAIALARKADFEYGFVPWVPEEEAWGWFPLDARDGRVLIQSKYFPDDPDGGDFPRPRFMNYAVCDPLFKRYVMLPPVPDDLTANEGSLVDFGLCLAPSQEDEADTSFRVICVARYNTNLVAFVFSSVTRQWGIGSSSTWSSLGTEEPPNRHGLSCFDCVDGCFYWTVPSADKILVLDAIKMEFSVINYAHRVEDGFRACIAVDTEGTPGMLTVGEYLGNREFRFSRIAKQSDRESPNERLSENIIQLPSNCNKYFTLGAAEGFIFLRGIPEEEKVEDSSSEDLYMDPEEIEYYSLNVKTVEFEMVCAMDMDKCYFHVCPYFRFSPPSAKPWMKPCF >ORUFI07G19050.3 pep chromosome:OR_W1943:7:19132632:19144519:1 gene:ORUFI07G19050 transcript:ORUFI07G19050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRQNPAPAPPPPPPVILTDDLLEEIFIRLDTPADLARASSSCPPFRRVITDPSFLRRYRALYPPPLLGILPRDADAFLPAEPPHRSAPAAGAVDLSCAFLPDRHTWRRRDVRDGRILFSREEEYYAPDDDGADVLLMDLAVCDPFSGRYAILPEIPQDLIDPLDLEGQSFLCFEPFLAPATAADDDEDEVGGASFRVMYMARGLTKLMAFIFPWEAGEWRAVEYDGWAALINGTSTWLAEMFWRFHVHGNICWLLDWANKLLILDTTTNQLSTIEMVPGSWKKDIVFLETEEGQLGLFVLINNFYTSFDLYYAIWQDNDKGVKQWRVIEAIQLPLNYWYENLLGIDGGYVLLQGIPKGLFASKWIITGLRIFVRGAGACLFFGGGQDFSVTHHRCRHRAYEMEFYYSAAVRWGIAFLLKRLAKLRCFRRRRRRAAKPNAATPSPAARPAPTPTPTPPPLAVLSDDVLREIFVRVPSPADLARAATACAGFRRVITEPSFLRRFRAADHPPALLGFIDVGGGFVPAEPPHPSAAAAAGAAARDVVDFACPFLPSSPDPWRRRDVLDGRVLFSRGAVGGGGEVDGQDDDPGFMDLAVCDPLSRRYVLLPAVPADLAASAQLHNLLDLQPFFAPPRDDDDDGGGGTSFRVMYMARCQSKLVVFTFSSDTQQWSSTSYDGWGILVAATPSQETALTQRHHAHGCIFWFLRWAKKLLVLDTFTMELSTINLPSSELIEIQQVAIVESARGGIGMFAMVDEILDSTFDMFYVVWDPEGANKWPLERLMKLPVEFRYNLVGAAGGYLLVQGISVQGPVQDQVCFTVELKTFKVEMFCETRRTLIGADLFAGFAPSLSPPSKPPIDPLPSSTSGDSPAMASPSPSPSPSSASNQRRSLPPSPAAASSVGSSPAFPPRKRRRSGRPPPFLGLACYDGFYPATEPHPSAERAIALARKADFEYGFVPWVPEEEAWGWFPLDARDGRVLIQSKYFPDDPDGGDFPRPRFMNYAVCDPLFKRYVMLPPVPDDLTANEGSLVDFGLCLAPSQEDEADTSFRVICVARYNTNLVAFVFSSVTRQWGIGSSSTWSSLGTEEPPNRHGLSCFDCVDGCFYWTVPSADKILVLDAIKMEFSVINYAHRVEDGFRACIAVDTEGTPGMLTVGEYLGNREFRFSRIAKQSDRESPNERLSENIIQLPSNCNKYFTLGAAEGFIFLRGIPEEEKVEDSSSEDLYMDPEEIEYYSLNVKTVEFEMVCAMDMDKCYFHVCPYFRFSPPSAKPWMKPCF >ORUFI07G19060.1 pep chromosome:OR_W1943:7:19144613:19154434:1 gene:ORUFI07G19060 transcript:ORUFI07G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLVQANGSMGPNSERPQKPPTPPSSLSLRPHSSLPSPPPPINFFVRLVLSRPSTGDNQTLGRRRPGRPPGGGKRKRRRSEVGEGFMSQRGDRGEGHARRPGRSSSFGGGHRGGGGVGGAGKGGGGSSGQPPLATNRSFRKSGNGHGGHQRAVSQPDTHGFQPAPAPTALQTPPLRPPAPQNAPAHVPVPAPRPQHHDPSGARAPTLPPSSENTANAPPLKGIPHAAPRAPSRISSTSTSQGAPKGGAYNLQFGSFPMNGGTGGSTMQFPARTSSAPPNLDEQKRMQALPEGHKVVPSGLVPQAPKHQQQQQPLQQQKQQPQSQPPLQQTRKDVVSSNHSSKPINPHIPSQVKSSVHVSPSVPNVAPPRPPVQQIPGMPMSMPFHHQAPLQFGGHNPQIPPQGVVPSSLQMSMGLHGANAPQVAQQMYIPTIQHHHQLQPPTMMHQAAGIPYGPAAHQLTPQMSGMMNVGVAPQFTPQQPNKYVTGPTRKTTVKITHPDTHEELKLDKRMDSSGQRGLPSVQQQSQPVSTYGSPMGFYQQNSYNQSTMFYPTTSGVGQVPTVSQGPRFVSTQTVSYISPSMNTGPGSNKDNLAGSTTSGHSQVTGKPHPAGLHMEKSGVQTVTISAPPGKSDVNKLKPAEDVVSHRQKDNEAVSGVRKSGENESKASPITEKHPTPVSQPLQALAANPETTAAASFVVNSVPGDDGKSKESIQRTGSFKDSNKNATKDTRNLSQEPQSASSAEDLKVHTSVKDVCCGVSLMESKGVNKESEQTNAASAAPTEMLKAADASSIDRSSARSTSESTENVQEVGKSDVAIGDSEKSGITNKVSPDLTKDDISSGSTGNESHEVCTLDLAEQLPVGASNPDNLDTATSVTDQGQLLKEPSSSVSDENVIMDRLHQSAEKMSDLVDDTVASVASSETLPESIIQNANAKGNTSGNQETGSATSSNILNVLPVPHSVASEDPLKPESMLKDQSSSAPAASARPVSREKPSVEITRTKFTAVKKKKRREMLSKADAAGSSDLYNAYKGPEEKVDFIGASESLDSSSIADHELPDESSEKEVNMGEDEGKKKVELDDWEDAAEMSTPKLERSDSSNQTTEANGRKRYSRDFLLTLAQSCTNLPVGFQMIEYASVLFPNLAGKSYVVDHPSPGRGADRPASRGDRRGVVIEDDRWGKSGHLFGSGRDMSMDNGPPTMNHRGAPGVMRNPRGGLINVGPIAPQMSRSGSDADRWQQKGIFPSPVTPMQVMHKAEKKYVVGKVSDEEEAKQRQLKAILNKLTPQNFEKLFEKVKEVNIDNVATLTGVISQIFDKALMEPTFCEMYANFCFHLAGALPDFSEDNEKITFKRLLLNKCQEEFERGEREEAEADKTEEEGEIKQTKEEREEKRIRARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGNYQNPDEENIEALCKLMSTIGEMIDHAKAKEHMDAYFDIMLKLSTSQQLSSRVRFMLRDSIDLRKNKWQQRRKVEGPKKIDEVHRDAAQERHAQSSRLARGSVVGSGPRRGAAPMDYGPRGSAAALASPSSQQVGHRGMPSHSRGFGTQDIRFEERSPLDHRTTVLPPRKDEAITLGPQGGLARGMSIRGQPLISNAELSSADSRRMVSGPNGYNSASTAREEPGSRIPDRSGRIAPNTQFAGPSNRPASQEGRSGNKLYSEDDLREKSISAIREYYSAKDEKEVALCIEELNAPSFYPSVVSLWVNDSFERKDMERELLTKLFVSLCNSRNNLLSKSHLTAGLATVLGSLEDALSDAPRAAEYLGRLLARFVVESILSLQEVGTLIEKGGEEPGELVHHGIGADVLGAVLESIKVEKGDSFLNEAKASSNLKLEDFRPQHLKRSNPTYRLDHSPT >ORUFI07G19060.2 pep chromosome:OR_W1943:7:19144613:19153572:1 gene:ORUFI07G19060 transcript:ORUFI07G19060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLVQANGSMGPNSERPQKPPTPPSSLSLRPHSSLPSPPPPINFFVRLVLSRPSTGDNQTLGRRRPGRPPGGGKRKRRRSEVGEGFMSQRGDRGEGHARRPGRSSSFGGGHRGGGGVGGAGKGGGGSSGQPPLATNRSFRKSGNGHGGHQRAVSQPDTHGFQPAPAPTALQTPPLRPPAPQNAPAHVPVPAPRPQHHDPSGARAPTLPPSSENTANAPPLKGIPHAAPRAPSRISSTSTSQGAPKGGAYNLQFGSFPMNGGTGGSTMQFPARTSSAPPNLDEQKRMQALPEGHKVVPSGLVPQAPKHQQQQQPLQQQKQQPQSQPPLQQTRKDVVSSNHSSKPINPHIPSQVKSSVHVSPSVPNVAPPRPPVQQIPGMPMSMPFHHQAPLQFGGHNPQIPPQGVVPSSLQMSMGLHGANAPQVAQQMYIPTIQHHHQLQPPTMMHQAAGIPYGPAAHQLTPQMSGMMNVGVAPQFTPQQPNKYVTGPTRKTTVKITHPDTHEELKLDKRMDSSGQRGLPSVQQQSQPVSTYGSPMGFYQQNSYNQSTMFYPTTSGVGQVPTVSQGPRFVSTQTVSYISPSMNTGPGSNKDNLAGSTTSGHSQVTGKPHPAGLHMEKSGVQTVTISAPPGKSDVNKLKPAEDVVSHRQKDNEAVSGVRKSGENESKASPITEKHPTPVSQPLQALAANPETTAAASFVVNSVPGDDGKSKESIQRTGSFKDSNKNATKDTRNLSQEPQSASSAEDLKVHTSVKDVCCGVSLMESKGVNKESEQTNAASAAPTEMLKAADASSIDRSSARSTSESTENVQEVGKSDVAIGDSEKSGITNKVSPDLTKDDISSGSTGNESHEVCTLDLAEQLPVGASNPDNLDTATSVTDQGQLLKEPSSSVSDENVIMDRLHQSAEKMSDLVDDTVASVASSETLPESIIQNANAKGNTSGNQETGSATSSNILNVLPVPHSVASEDPLKPESMLKDQSSSAPAASARPVSREKPSVEITRTKFTAVKKKKRREMLSKADAAGSSDLYNAYKGPEEKVDFIGASESLDSSSIADHELPDESSEKEVNMGEDEGKKKVELDDWEDAAEMSTPKLERSDSSNQTTEANGRKRYSRDFLLTLAQSCTNLPVGFQMIEYASVLFPNLAGKSYVVDHPSPGRGADRPASRGDRRGVVIEDDRWGKSGHLFGSGRDMSMDNGPPTMNHRGAPGVMRNPRGGLINVGPIAPQMSRSGSDADRWQQKGIFPSPVTPMQVMHKAEKKYVVGKVSDEEEAKQRQLKAILNKLTPQNFEKLFEKVKEVNIDNVATLTGVISQIFDKALMEPTFCEMYANFCFHLAGALPDFSEDNEKITFKRLLLNKCQEEFERGEREEAEADKTEEEGEIKQTKEEREEKRIRARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGNYQNPDEENIEALCKLMSTIGEMIDHAKAKEHMDAYFDIMLKLSTSQQLSSRVRFMLRDSIDLRKNKWQQRRKVEGPKKIDEVHRDAAQERHAQSSRLARGSVVGSGPRRGAAPMDYGPRGSAAALASPSSQQVGHRGMPSHSRGFGTQDIRFEERSPLDHRTTVLPPRKDEAITLGPQGGLARGMSIRGQPLISNAELSSADSRRMVSGPNGYNSASTAREEPGSRIPDRSGRIAPNTQFAGPSNRPASQEGRSGNKLYSEDDLREKSISAIREYYSAKDEKEVALCIEELNAPSFYPSVVSLWVNDSFERKDMERELLTKLFVSLCNSRNNLLSKSHLTAGLATVLGSLEDALSDAPRAAEYLGRLLARFVVESILSLQEVGTLIEKGGEEPGELVHHGIGADVLGAVLESIKVEKGDSFLNEAKASSNLKLEDFRPQHLKRSKGEKSF >ORUFI07G19070.1 pep chromosome:OR_W1943:7:19156255:19159195:1 gene:ORUFI07G19070 transcript:ORUFI07G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVCDPVSRRYVILPPIPDDLITSGEQEGLLVFETFLAPAAKEEEMVGTTSFRVVARANYESKVVIFVFSSLTEEWHSSRSVSWSLLTADPWLSSTAQAHLWFFPRYYAHFVYWVMHLVDMLLVLDTSKMAFFTINFQWDRDFAILETEEDMIGAFCLKGDLSGRTHLCYGTRRIDADFADSPPLNLDKTIPLPLPLDHCYHIINATQGYLLLQGRRLHSSRRTTKEDDTDMLYFTLEPKTMLLEKVCGMSRPNVTAKIYTSFPPSLSLPSLNTEYALMLLVIHKHNLGQGTSNQQDRPGMGTLDLTFRLVWFNEKECSGARKEYLGRHEATTDGGGIYQY >ORUFI07G19080.1 pep chromosome:OR_W1943:7:19159698:19160990:1 gene:ORUFI07G19080 transcript:ORUFI07G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEQPVDPTSLYTENILPPDELLEEILLRVGSPADLARVSTACAAFRRIVTSRSFLRRFRSFHHPPPLLGLLCDDFYPADPAAAARALSHAADFTFSFLPTPDHWWRWMPLDWRDGRVLAALVPMTKIITHEGDEGEFVPFPRRDDVTDLAVCDSISRRYVIIPAIPGDLITSGEQRDCLFDFNAFLAPATEEEMADSSFRVVATAQCKSKFGSIFAADVSSSVLVQADFFLAPRYYAHCRLYWVLKEMDKLLVLDTCEMVFFTIDLERDEHMSNIAILEEAEEDMVGVFSLRTDLGFTTRTQLCYTIRQVEADAANGPPLNFDKIIPLPLPMEYMFRIIDAADGYLLLEGRLLDWFECSLKEGRPDTLYFSLEPKTLVLKRICVLERPITAAKIYTGFPPSLSPSSL >ORUFI07G19090.1 pep chromosome:OR_W1943:7:19187540:19189246:-1 gene:ORUFI07G19090 transcript:ORUFI07G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMATGAKEVVVVEAYEYEFDLENPFTSPADEPIASLLDAEGHHSPSVSAAASAARREAAGFISKVRYDGELDVHPRVAYLALNYVDRYLSKRQLACERNPWAPRLLAISCLTLAAKMQRAAAISAADIQRGEEFMFDEAKIQRMEQMVLNALEWRTRSVTPLAFLGFFLSACFPQPRHPALLDAIKARAVDLLLRVQPGTSKPPPRPMPPSSPLDSASAHLLPPVHFAEVKMAEFSPSVAAAAALLAAAGEVAGAHLLGFEAGVAACPFVNSEKLRECGEVMAAACGVGPSWAAAATSAETPVTVLGHHRSASSESERTTTVGSAANSADAKRRCMGPPRQWG >ORUFI07G19100.1 pep chromosome:OR_W1943:7:19200277:19204906:1 gene:ORUFI07G19100 transcript:ORUFI07G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALSTASNPTQLCRSRASLGKPVKGLGFGRERVPRTATTITCQAASSIPADRVPDMGKRQLMNLLLLGAISLPTVGMLVPYGAFFIPAGSGNAGGGQVAKDKLGNDVLAEEWLKTHGPNDRTLTQGLKGDPTYLVVEADKTLATYGINAVCTHLGCVVPWNAAENKFICPCHGSQYNNQGRVVRGPAPLSLALVHADVDDGKVLFVPWVETDFRTGDNPWWA >ORUFI07G19110.1 pep chromosome:OR_W1943:7:19203774:19204910:-1 gene:ORUFI07G19110 transcript:ORUFI07G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASSTPRAGGGGSGGSQHTPPLPPAPHGNGHGGGGGGGGGGGGAHVRLMCSFGGRILPRPGDHQLRYVGGETRIVSVPRAATFDALVAALAKVAPALFPAGGPSPALRYQLPQDDLDALISITSDDDVDNLIEEIDRFQGLAAASIKPPRLRLFLFASSPADHASAAAFGSVLSGAGGDSSSDQWFVDALNAPAPAPIERGRSEASSIISEVPDYLFGLDTTSDEPSPGPGGARPKVDTEMAHVEDDAPVLSRGTPPAPYVTESAPWPAPPPPYMTQQVYYVPVRPVHYLDPSSQGGYMPGPVYHIVGGGGSEARGDLYSTGGSVSAAAGVGGVYGVPHPMQPFPPMMYGHAPPPGAVVYSSEGKPPMEGGAHAS >ORUFI07G19120.1 pep chromosome:OR_W1943:7:19212606:19218816:-1 gene:ORUFI07G19120 transcript:ORUFI07G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLLAAVLLGVLAVAFPGAAAAVGTQPGAMEKATREPAPHVSLSCSPTAAAAVGTQPGAMAKASREPSPHVSLSCAPASSFAGRAAADTAISVGQLSGRRMLPAAREAPSTGKPPPQLSGADGIARDRLAAVSGGVAPALTPARGYASRPAAARRFLGEEVCDAPQLAAEVVGACMENVPDRPCCRAIAAVVDFGCFCPVAESSVIFSNVPRHQERIYPGSLSRSISSTATVTATVSYAASSTYDASSIQI >ORUFI07G19130.1 pep chromosome:OR_W1943:7:19226483:19228340:-1 gene:ORUFI07G19130 transcript:ORUFI07G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEALPGDVLEEVLRRLPPRSLAACRCVCRALRALVDDRRVLRADLLPLKLAGIFIGVIWVPQFFARPVLPHALDLTRLPETMLDCRNGLLLAHNVVVNPATGRWARLPRSPPPPPGCSCNDVFDYLVFDPTVSAHYEVYKIPSPFGDGMSDWPPSPFIIDVFSSKTLQWEKRSYVREGEAAGTVANLLARGFNCHQRSALWRGALYVPCETDFVTRISLSDGKYQVIKSPIGLEAMGKGSFLQLGKSKDGVCAFAHDDYQLCVWFLNESCGQMNWELKHQTHLWSLLAQLKSREHLAQCKSCKQTNGHWKYYDGNLFEEQILQTDLQVDTYPENDYQAEEDEFGRHFDTDSNYYDAEEYGWASDEDGECHSECNNKYGEDELYKVDKLYNMQCQELFYFFGFHPYRDVVFLHVSSSRAVAYHLNSSKVRDLGVGWEQHISAGFSKRHHRYGIGDPSIGVGSTTGTFEAHARENRADTYFKLLSVVSNHLTLKNKLNHLPHLTTTNP >ORUFI07G19140.1 pep chromosome:OR_W1943:7:19237519:19238415:-1 gene:ORUFI07G19140 transcript:ORUFI07G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPAAVLLLLYLPLLATPTRIGLSRNPFVPPPNSVPTIDRTEMDVSTSPYRDLIKKWRDLVLLNTRPEVMVPEDHPVLAPQYDDTVPPERLLLPKLVANGDKTATLALRDSNIYFIGFANKAGQWFSFKDRNDLPPSFRARPLSFGVDYASIAGFRKNLPNYPLGRRQTEWAVKVLSEYDPNRTDEATIKRAVVIILLTFCEALRFFPIRNAVEIGWDSVAYITSTDADRLVCWGQISYMLEYSFMSGHSWDSEEQRTRLKNLARDCKIFNEPQALETVDVLEYGAILGAKSTNWLY >ORUFI07G19150.1 pep chromosome:OR_W1943:7:19246201:19260697:-1 gene:ORUFI07G19150 transcript:ORUFI07G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIEDYYVYLFPNYHPTRMITLVYQPFVLTTTALFAYHEAKINTRMRNLAGYMLFFLSSFGVIVLDVASSGRGGIAPFVGLCLIAAAFGVADGHVQGGMTGDLSLMCPEFIQSFFAGIAASGAITSALRFLTKAIFENSRDGLRKGAMMFSSIACFFELLCVILYAFVFPKLPIVKFYRTKAASEGSLTVTADLAAGGIKSQPENPLDEEDQAFAERLSNRQLLNQNMDYALDVFMIYVLTLSIFPGFLAEDTGTHSLGSWYALVLIATFNVSDLIGRYMPLIEQIKLTSRKWLLIAVVARFLFVPAFYFTVKYCDEGWVIMLTSFLGLSNGHLTVCVITEAPRGYKEHGRGVTWKLPSLLPLLSLVFRLGHVGEVNTDGSSLFTAIDRATATKPRARNLRHRIVHRFVDVYSATHAPNRDTIGVAVRHLYALDLKVSWGVNVAHELKLLAPKSHCHDLDAAINDIVDLDIQRVILVDYKHGICVTKCLFWQCCDTDNRENVGVFSFAGFWEMGASLGSTACLQSKITTPLSFRYELYENYHPTRVVTLTYQPFVLGTTAIFTYHEAKVNTRLRNLAGYTLFFLSSFAAIVLDVATSGRGGIVPFVGVCIIAAAFGVADGHVQGGMTGDLSLMCPEFIQSFFAGLAASGMITSALRLITKAAFENSRDGLRKGATSEGSLTVAADLAAGGIQNRANPLLKTLDHTAWALGTVLTFVLDFGSIIDRYALVLIASYNVWDLIGRYIPLIEQVKLRSCKVILIAVVSRFLLIPAFYYTAKYSDQGWMIMLTSFLGLSNGYLTVCILTEAPKGYKAQVNFIMSLEVAGAGAPQAQGKFLGILVCWVLGNGSLFAWNSMLTIEDYYSILFPTYHPTRVLTIAYQPFAFGITCILTYHEAKLNTRKRNLIGFALFLISSFALIMLDIGTKGRGGLGPFIGVCIISALFGTADASVQGGLVGDLSFMCPEFIQSFLAGLAASGVLTSALRLITKAAFENSQNGLRNGAILFFSITCFFELVCLLLYAYVFPKLPIVKYYRSKAAAEGSKTVASDLAAAGISNEHSIQAEEDPKKCDRLSTKDLLIQNIDYAFDIFLIYVLTLSIFPGFLSEDTGAHSLGTWYALTLIAMYNVWDLIGRYLPLIKCIKLTSRKGLTGAILARFLFIPALYFTAKYGDQGYMIFLTSFLGLTNGFLTGPEQNALGNVLVVCLLGGIFSGVVLDWLWLIGKGW >ORUFI07G19160.1 pep chromosome:OR_W1943:7:19262236:19268113:1 gene:ORUFI07G19160 transcript:ORUFI07G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRYKGRGRGRGRGRGKGRGRAADATPVEEVDVEGAKSEEEEEAAAGSGGDTEAEDAKEEGASESEGEAMDVEAKESADEKEEEEAEAEADGDEGEDKSDEMDAEAGAAEEEAKEEEELKDAEVEGEGAGSDSERGEAEEADGENEEEAAATDGEKEEEAAETDGEEEAEEEAAETAGENEDEGAESGPEGEASDSEEDASEESPPSPPTRGRRRKRAATSDPPPEDDEEEGTPAQPRRRKRAATSDPPPEDDEEEGTPAPPRRRRRRKAGDRGDSPPPLPDHLRCRRSDGKKWRCQNRALPTVSFCEYHYSRANKGKKPPADGEVLAVALQRQKKNKRKGRRNVNASPASPPAATSDVTKDLPNGLMRISPGSSEPAASLPSPVTTKVGVDFPVPTQRCYRSKNAEPMPVGPVKVVPRAMGMSKAGQKTCHRCGMKKAARIVQCKNCDNRYFCNSCINKWYSGLSKKDIKTRCPVCRGSCGCKQCTLGQTKGAISKESSGDQDKLISIKICNHQLYKLLPVELNQEQLDELEIEAKIQETKISDVRVQVADEQSGSLDCNNCKLSVHRFLRSCPRCPFKLCLSCCQKIRDGNISAATPEDKFNQRLLQQESAHEDGSISCPSIELGGCGDSLLNLVYVPPSDQSEEVSSGDELDGPGNHSGVKDAVAESNGSPDRLSVGQQETVSS >ORUFI07G19170.1 pep chromosome:OR_W1943:7:19268382:19269686:1 gene:ORUFI07G19170 transcript:ORUFI07G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVRVGGGSLRYGRERGEGSRPRQRERGRGGRPPRGSAHGKRRGEIQHMRPYGVGNYCCDGDGTMMTEAKRVYCTE >ORUFI07G19180.1 pep chromosome:OR_W1943:7:19270902:19273097:-1 gene:ORUFI07G19180 transcript:ORUFI07G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHVAAALLLALLLAPSAATDVPSYPLSQAQSPANTSSGGSTSPPCHLDLSAELFGGVAAACGAGGGPGSLDRGRCCPVLAAWLFAAHARTALSVPAPAPALAGEGLDGGEDEPMVPYDNQRCVDALGSALERRGVALPRPNATCDTVLCFCGIRLHQIGSLRCPAAFAVGGGGAAAAKNATPTAAVKELEKSCRNASYAGCSRCVQSLQKLKGNVSREVAGGDRARRMLGRDCQLMGLTWLLAKNKTVYIPTVSAVLRAMLYSARPTESAAAGGAPPRCSPDQENMPLAVDSLQFERASATSSSAAATVLRGGGAGVVVSLLLGCLVVFDVFL >ORUFI07G19190.1 pep chromosome:OR_W1943:7:19281346:19286475:-1 gene:ORUFI07G19190 transcript:ORUFI07G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLVGIGERLLAVGTNRRAAYFSQPSHRSGYYTAARDNGLSAKRKIPTVFSRMFSHYKVIVRKNKAGDHKCRNRISRGFRTFSGTVANSSATQHAQLAWKRLSHTYMYSGPRFPLISRAACALSFSFTRFHVVPGVMALAFGNVGFRRRWLRLVRRTLEIAGPAFIKWGQWAATRPDLFPSDLCVELAKLHSAAPAHGFAYSKATIEKAFGRKLSEIFETFEEEPVASGSIAQVHRATLRDQHTEKHVAVKVRHPGVGESIKKDFLLINLLAKASNVVPGLSWLRLDESVRQFAVFMMSQVDLSREAAHLSRFIYNFRRWRHVSFPKPLYPLVHPSVLVETFENGESVSRFMDEIEGNARMKRDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRLNERKPKRKLFFRPKPHIVFLDVGMTAELTRDDRDNLQQFFKAVATRDGRTAANCTLQLSKQQSCPNPVAFIEELDKTFSFWGTPEGDIFHPVECMHQLLDTVRRHKVNIDGNICTVMVTILVLEGWQRKLDPGFDIMHTLKTLLLDKDIKQPG >ORUFI07G19190.2 pep chromosome:OR_W1943:7:19281346:19286475:-1 gene:ORUFI07G19190 transcript:ORUFI07G19190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLVGIGERLLAVGTNRRAAYFSQPSHRSGYYTAARDNGLSAKRKIPTVFSRMFSHYKVIVRKNKAGDHKCRNRISRGFRTFSGTVANSSATQHAQLAWKRLSHTYMYSGPRFPLISRAACALSFSFTRFHVVPGVMALAFGKFALSRPVLADSPHLPTMEGIVTNARDTRQFLSSIVWSIWEGVTLLIRAVHLAILFFPATALSPFADTFSVGFRRRWLRLVRRTLEIAGPAFIKWGQWAATRPDLFPSDLCVELAKLHSAAPAHGFAYSKATIEKAFGRKLSEIFETFEEEPVASGSIAQVHRATLRDQHTEKHVAVKVRHPGVGESIKKDFLLINLLAKASNVVPGLSWLRLDESVRQFAVFMMSQVDLSREAAHLSRFIYNFRRWRHVSFPKPLYPLVHPSVLVETFENGESVSRFMDEIEGNARMKRDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRLNERKPKRKLFFRPKPHIVFLDVGMTAELTRDDRDNLQQFFKAVATRDGRTAANCTLQLSKQQSCPNPVAFIEELDKTFSFWGTPEGDIFHPVECMHQLLDTVRRHKVNIDGNICTVMVTILVLEGWQRKLDPGFDIMHTLKTLLLDKDIKQPG >ORUFI07G19200.1 pep chromosome:OR_W1943:7:19294883:19296234:-1 gene:ORUFI07G19200 transcript:ORUFI07G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKSGLKKGPWTPEEDEKLVAYIKEHGQGNWRTLPKNAGLSRCGKSCRLRWTNYLRPDIKRGRFSFEEEEAIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHAPRLDLLDLTSLLKPAAAAAYYPTQADLDTLRALEPLAGYPDLLRLASAILPAATTTGAAAAAAAEQAQLLLPWLLQAQMAQQQQQVTPPPPPPPPQAAATEQFLQATSTACHQMPGLVHASPTQQLAQQPQDHMAAATCHRRGAVQHPSYDNQLDYVPALMQMASDASNLQQWSSTVSSSNNHNVNSGVSTPSSSPAAAGQINSSSTTTTTTYGLNASGDVDDAGLLINMHLSELLDVSDYM >ORUFI07G19210.1 pep chromosome:OR_W1943:7:19305639:19310529:-1 gene:ORUFI07G19210 transcript:ORUFI07G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLITSNIITATDMHDRIHCSPLNNEYLKIHANMLHWAVSLPPHARMPLLHLSPPPHRLLLAGGGRRRLLLPAARRRSLVRVRAAASAAAAAAEAYGLPFPPERAAHHRELAAAAAAVERACRLCVDVKRTLLSGDKKILEKNDQTPVTVADFGVQALISLELQRLFPSIPLVAEEDSASLRSSNTDDNSSNVLVESISSAVAEKVSNANSLLTHDDVLRAIDRGGKNAVSFDSNPASYWVLDPIDGTKGFLGGDDALYVVGLALVVNEKVVAGVMGCPNWSNATIASRKEDSAAAQPDRGILMIAHVGCGTWSRHLSVDIGQFTTAQSTWNRCLVDSCSVVNMARFCIPDSQTWNMIPLSVLFNSTMDESNPRDENEILLLSVYCGSLCKYLTVASGRASVFVLRARTKNLKSWDHAVGVICVQEAGGQISDWSGKPLDLAADLTGRRDIYPSGGVLVTNGALHGKLVEMISANHK >ORUFI07G19210.2 pep chromosome:OR_W1943:7:19305639:19310480:-1 gene:ORUFI07G19210 transcript:ORUFI07G19210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYTHWAVSLPPHARMPLLHLSPPPHRLLLAGGGRRRLLLPAARRRSLVRVRAAASAAAAAAEAYGLPFPPERAAHHRELAAAAAAVERACRLCVDVKRTLLSGDKKILEKNDQTPVTVADFGVQALISLELQRLFPSIPLVAEEDSASLRSSNTDDNSSNVLVESISSAVAEKVSNANSLLTHDDVLRAIDRGGKNAVSFDSNPASYWVLDPIDGTKGFLGGDDALYVVGLALVVNEKVVAGVMGCPNWSNATIASRKEDSAAAQPDRGILMIAHVGCGTWSRHLSVDIGQFTTAQSTWNRCLVDSCSVVNMARFCIPDSQTWNMIPLSVLFNSTMDESNPRDENEILLLSVYCGSLCKYLTVASGRASVFVLRARTKNLKSWDHAVGVICVQEAGGQISDWSGKPLDLAADLTGRRDIYPSGGVLVTNGALHGKLVEMISANHK >ORUFI07G19220.1 pep chromosome:OR_W1943:7:19310649:19314546:-1 gene:ORUFI07G19220 transcript:ORUFI07G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHLSLPPHRLLLVAGGRRRRERVVHLALAALEVAAAAGEYGLPFPSERAAHHRELAAAAAAVERACRLCVDVKRSLLSGEKKIFEKNDQTLVTVADFGVQALISLELQRSFPSIPLVAEEDSASLRSSNADNSSNVLVESISSAVADNVSNTDSLLTHDDVLRAIDKGGKDSASFDSNPATYWVLDPIDGTQGFSKVDDTLYVVGLALVVNGKVVAGVMGSPNWASDTIANRKDDSIASRYDRGILMIAHEGCGAWTKRLYDEFGQFTTSKDTWNRCFVDSCSVVHKARYCLSDNQTWNMIPLSVVFNSTTDESKPRDENELLTSYVFSGSLCKYLTVAYGRASVFVLKARTKSLKSWDHTVGVICVQEAGGQVTDWRGEPLDLEADLTGRRDIYPHGGILITNGVLHNKLAELIKANY >ORUFI07G19230.1 pep chromosome:OR_W1943:7:19317926:19319170:-1 gene:ORUFI07G19230 transcript:ORUFI07G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAAAASTFLGTRLADPAPQSGRIVARFGFGGGKKAAAKKAARPSAPTTDRPLWFPGAVAPDYLDGSLVGDYGFDPFGLGKPAEYLQFELDSLDQNLAKNNAGEIIGTRFETGEVKSTPFQPYTEVFGLQRFRECELIHGRWAMLATLGALSVEWLTGVTWQDAGKVELVDGSSYLGQPLPFSISTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGSYFDPLGLASDPEKKERLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTFSSSS >ORUFI07G19240.1 pep chromosome:OR_W1943:7:19320646:19324349:-1 gene:ORUFI07G19240 transcript:ORUFI07G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSLPFAALRRAADCRPSTAAAAAGAGAGAVVLSVRPRRGSRSVVRCVATAGDVPPTVAETKMNFLKSYKRPILSIYSTVLQELLVQQHLMRYKTTYQYDAVFALGFVTVYDQLMEGYPSNEDRDAIFKAYITALNEDPEQYRADAQKMEEWARSQNGNSLVEFSSKDGEIEAILKDISERAQGKGSFSYSRFFAVGLFRLLELANATEPTILDKLCAALNINKRSVDRDLDVYRNILSKLVQAKELLKEYVEREKKKREERSETPKSNEAVTKFDGSLNSMRH >ORUFI07G19240.2 pep chromosome:OR_W1943:7:19320648:19324349:-1 gene:ORUFI07G19240 transcript:ORUFI07G19240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSLPFAALRRAADCRPSTAAAAAGAGAGAVVLSVRPRRGSRSVVRCVATAGDVPPTVAETKMNFLKSYKRPILSIYSTVLQELLVQQHLMRYKTTYQYDAVFALGFVTVYDQLMEGYPSNEDRDAIFKAYITALNEDPEQYRADAQKMEEWARSQNGNSLVEFSSKDGEIEAILKDISERAQGKGSFSYSRFFAVGLFRLLELANATEPTILDKLCAALNINKRSVDRDLDVYRNILSKLVQAKELLKEYVEREKKKREERSETPKSNEAVTKFDGSLNSMRH >ORUFI07G19250.1 pep chromosome:OR_W1943:7:19329721:19331001:-1 gene:ORUFI07G19250 transcript:ORUFI07G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCNHEITAIITVLLQPAGDICTEVKNTVNGGGSQTEASALLLTSLVSGIGGFPTPAYVRGRRPSNGNEMVVGHGGRWRWGAKGKRGPFVKIHGHYQ >ORUFI07G19260.1 pep chromosome:OR_W1943:7:19332311:19337092:1 gene:ORUFI07G19260 transcript:ORUFI07G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGEDRYAAAGGGGDSGGGKLWNLCRMPFWQPGGAPATASAPPPPSSSSSSAGIHHHSAGRYGHEGGGGGAVAGDGAPAGSISSVAKSLLPARRRLRLDPPNKLYFPYQPGQQVRSAIRIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGETIIATVFKFVEHPENNENVLQKCKVKFKILSLKVKGPMDYAPEMFDEQRDQAVVEKILRVVFLNVENPGPQLEKLNNQLAEAEAALEARKKPPEENGPKIVGEGLVIDEWKERRERYLAQQQVEVVDSV >ORUFI07G19260.2 pep chromosome:OR_W1943:7:19332311:19336786:1 gene:ORUFI07G19260 transcript:ORUFI07G19260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGEDRYAAAGGGGDSGGGKLWNLCRMPFWQPGGAPATASAPPPPSSSSSSAGIHHHSAGRYGHEGGGGGAVAGDGAPAGSISSVAKSLLPARRRLRLDPPNKLYFPYQPGQQVRSAIRIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGETIIATVFKFVEHPENNENVLQKCKVKFKILSLKVKGPMDYAPEMFDEQRDQAVVEKILRVVFLNVENPGPQLEKLNNQLAEAEAALEARKKPPEENGPKIVGEGLVIDEWKERRERYLAQQQVEVVDSV >ORUFI07G19270.1 pep chromosome:OR_W1943:7:19338685:19339996:1 gene:ORUFI07G19270 transcript:ORUFI07G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVLVNKAYMAVTLGAAIELKEQVAKPCSSAAKRGASVLAVRPSSSAATAAAAAAEESLRMVMNMHAKPWVNGSYRNNSPLAKNDVANSGPPKKKEESYRYLSGWYKLVFRWTREGDQDRKAFTRTYVYSIEYLLIHFRCLGQKRKGVAKWRINALL >ORUFI07G19280.1 pep chromosome:OR_W1943:7:19341756:19342375:1 gene:ORUFI07G19280 transcript:ORUFI07G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRRRLARRARWREKTCVQAPAERRRWGRKRKSESAKPPHENHQPQWPKPPPLRFPATNTAPPPPFSHLRNSSSVASSTAPEERRRRGGVSDIVIRDGAAKPGVGGGHGGRGAGAAGEGAGGAGAGGRARAPGGRARRRAGGRRRRRPEAGRRRRVAPQGHVPQLLGAQLVAIAGAGSNGLLASAERAGPGWDGPHGGQPGRVVS >ORUFI07G19290.1 pep chromosome:OR_W1943:7:19344091:19344564:1 gene:ORUFI07G19290 transcript:ORUFI07G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRIAGCPSDNESCLYTAVAAALDRLSRVQEHGTIGSFPSDTAASFGVGECNTIGGCLLPPLAYSDTGWECAVGLVTSRCCDVMPLLHATRQRTLAIDNVTQVAAVASLASSIATTRLLGEGSERACTAHRRGVALAASGVKERRREGRIRVGADT >ORUFI07G19300.1 pep chromosome:OR_W1943:7:19345267:19346699:-1 gene:ORUFI07G19300 transcript:ORUFI07G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLSCFGRGSATASAPDQPEEAAAAAAPGPVLLELFCSQGCGASPEADAVAARMVHEDQQRAAAGEGGGGERGVVVLGFHVDYWDYRGWKDPFASSAWTVRQKAYVEALRLDTLFTPQAVVQGRAHCVGTEQDALAQAVRDAPRYPAPAMKVTFQRPNPSTLQASFTGTLRSRMEGGGSVLVALYESGLVTDCGRGENKGKSLLNDHVVRRLEKVAAVRDGASAKKSVSGSVQFPLWDGFRASRCGLVLFVQNAALQVLGVQHFDLPDNV >ORUFI07G19310.1 pep chromosome:OR_W1943:7:19351970:19354278:-1 gene:ORUFI07G19310 transcript:ORUFI07G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVVNNGGGKDYPGKLTMFVLFACIVAATGGLIFGYDIGISGGVTSMNPFLIKFFPSVYRKEQAAEKNQSNQYCKFDSPLLTMFTSSLYLAALVASFFASTVTRVAGRKWSMFGGGVTFLVGAALNGAAKNVLMLILGRVLLGVGVGFANQSVPLYLSEMAPARLRGMLNIGFQLMITIGILCANLINYGTAKIKGGWGWRVSLALAAVPAAIIAVGALFLPDTPNSLIDRGHTDAAKRMLRRVRGTDDIEEEYNDLVAASEESKLVAHPWRNILQRRYRPQLTMAIAIPLFQQLTGINVIMFYAPVLFKTLGFADDASLMSAVITGLVNVFATFVSIVTVDRLGRRKLFLQGGTQMLACQIVVGSLIGAKFGFSGVADIPKAYAAFVVLFICAYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSINVSVNMLFTFIIAQAFLPMLCRFKFILFFFFGAWVVIMTLFVAFFLPETKNVPIEEMVLVWKSHWYWGRFIRDEDVHVGADVEMPAAGNRNGKVDPAKLAN >ORUFI07G19320.1 pep chromosome:OR_W1943:7:19361193:19361430:-1 gene:ORUFI07G19320 transcript:ORUFI07G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVALKGRPLATLLKQLLADAPSAATGRPVATPAASGRARRYDVVDESGTDNGEEYDATDDGECDPKTQ >ORUFI07G19330.1 pep chromosome:OR_W1943:7:19365873:19366172:-1 gene:ORUFI07G19330 transcript:ORUFI07G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVGGGKVKKAAGGRKAGRPRKKAVTRSVKAGLQLPVSRIGRYLKKSRPVDLLSAASSPSAASTLFHAASMMPPTLFRTASVTPLLPLPHRPSSPHQL >ORUFI07G19340.1 pep chromosome:OR_W1943:7:19369094:19370943:-1 gene:ORUFI07G19340 transcript:ORUFI07G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAATAAAAERDEQGSVTAALDAITGLVSASLAASLFPYKWQLIRDRLNRLHAGLADIATGGGEGGEGHGALAGVLGAVVETAREASELVPRSQGRHYGGGKLRLRSDLDVVAGTLDALVARVDEVYASGALTRARALVVSRPCAGASRDDVRFYVRDLFARLRVGGAEMRGEAAAALAEVLHDDEKCVRVVVSDVADGVCVLVWLLECPDACVQEEVLEAVSVIAGFEAYRGDLVVGGVIAPVIRVLDSAGDRPSAKERAARLLCKLTENSDNAWAVAAHGGVTALLNVCADYTASGGELVCAACRVLRSLAGVDEIRKYMVAEAGAAPVLVSLCRGAADEAAQIQAMELLAAIASGDSSVREAVLQEGAVESLVSVLDPASPRSSKAREVALRAIDALCLSSPPLTSRLVAAGFLDRVLVFLRSGDATLQHCALKAAHRLCHASEDTKKAMGDAGFMPELVSILHAAKSLEARDMAAEALCAMVSVHRNRKRFVQEDRNVAQVLQLLGPDDEKLSPAKRFLLSTLMHLSDSTSGRRKIMSSEHLRNLERLAETNVTDAKKIVKKLGGSKLRNIFHGIWSLQKA >ORUFI07G19350.1 pep chromosome:OR_W1943:7:19384832:19385891:-1 gene:ORUFI07G19350 transcript:ORUFI07G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLDRKASHMVTLHRREKSTMNWEATPPPPPPPPPERAVPEAADTPPPPPPPTAPTPTPPELPSVFVETEFASSWAAKGRALEVIHEEFEAEWGPEEMGLTWTSDSDSDSYSGSDDDVDDYRDGDGMIEIELEEDNLIEIDISKCR >ORUFI07G19360.1 pep chromosome:OR_W1943:7:19402437:19403246:1 gene:ORUFI07G19360 transcript:ORUFI07G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRQAAAASYWRLYNCEQHGYFIKLLPIPKLMHPPRLVNNNGHLGSGLGFGGFTDGGSDDGGGLAGVIVGGGLVGGLAGAIVGGGLVGGLAGAIVGGGLVGGLAGAIVDGGFAGAIVGGGLVGGLAGAIVDGGMAGAIVGGDLIGGLVGAIVDGGLAGVIVDGGLAGAIGGGGLVGGLAGAIVDGGMAGAIVGGDLIGGLVGAIVDGGLAGVIMDGGLAGVGTGARHGLGGSFPSTVEPKIKRTIMKNIVELLVCLVAIVTYVYGCF >ORUFI07G19370.1 pep chromosome:OR_W1943:7:19409550:19410289:-1 gene:ORUFI07G19370 transcript:ORUFI07G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGQAKTKSSLSTSLSFSCSSTRILGRKRVAVSPAPPPSGGPHSPVRTLRKQRSTRLHMDDAVSLLESLPQDVLIKVLCKVNHSDLRPLLLVSKQVSEATVVAREQHFAFATPSSKAALRGGEEEEEAPGAPKLQRRVARSSPVWGKNLASISVNLFEAFESEVVEM >ORUFI07G19380.1 pep chromosome:OR_W1943:7:19416446:19418749:1 gene:ORUFI07G19380 transcript:ORUFI07G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRASASTAPPPQIRRSLSVIGEVVGRCRHHHHQTPPPPPPPLSPAADAVVSASGGAGTGHADDRRPANRERRPVGGGGGGGGCGGGSPLAVGMVVCGVGVGVPRARSSRQDPLLLVDVVVPHFPTGSRRGAVADVLLLLRLRIDGASMARPALKLALPAMPPTRLTSASATALVDGVVGVVADRPLAKAVKVALHVLYRLCPWSQNRVKAVDAGAVSALVRLLLNEGCGGDRRACELAVVATTTSAATQRGAWCWWHTRRGSRRWRAGVRSLFDAAESAFGAGAPHIVVANAAVLDDKYPTLVDTATADFDRTFAVNTRGAFLCLREAAHRLPRGGGGRIVAITSSVVGSHPTGYSAYTASKAAVEAMVRTMAKELKGTRITANCVAPGATATDMFFAGKSEERVDEIKATNPMGRLGEAGDIAPVVGFLCTDAAEWVNGQVIRVNGGYV >ORUFI07G19390.1 pep chromosome:OR_W1943:7:19419604:19420455:1 gene:ORUFI07G19390 transcript:ORUFI07G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRKEEAVELEDAAHRPHVEVREADEHPRRVRPPLEALGQHAVRDGVCVPRAAYVERSFLVESPPGSPPGTGDRRGLMQVELLRPVAAAEEEGFKVRMLDECGETWEEADDIGDVAVVVDASGAGAASTGECPRLRLSTMYFAVDPAGKTREQTVN >ORUFI07G19400.1 pep chromosome:OR_W1943:7:19420667:19421747:-1 gene:ORUFI07G19400 transcript:ORUFI07G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLGPEIFILKRTHYLLDFFWGSFDRLVASLRISLGKLELGIRLSGVWTGCSEDNIREMAKAVENQRWTTDIGRRQGNRATKMEKDDGETLEERASYLHLLGLRRHGQYSISAVRATVDRERTVVPSARLWRSRKGGGGSGMASLVNGSACGGEKKNKECVGVNE >ORUFI07G19410.1 pep chromosome:OR_W1943:7:19428542:19430140:1 gene:ORUFI07G19410 transcript:ORUFI07G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEATTTPLLASYKAKPAKAPSIDDAIETYIGATGAGQLFKAILLAFAWAFDAQQVFISVFTDAEPRWHCTAGADPSCSPGAASPCALPPGAWAWDRPAETSVVSEWALKCAGPALVSLPASSFFAGCLAGGFLLTTLADSLLGRRKMLLVSLASMSVAGVLTAFSPNVWAYAALRFVCGFGRSMVGTCALVLSTELVGKRWRDTVSVAGFVCFTVGFLSLPALAYTFREASWRSMYLWTSLPSLGYAILLYFLVQESPRWLLVRGRKHDAIETVRQIAALNGGGGITCSFSMLHACATEREDDAAGGAGGGGGGVFATLRSMWERRWALRRLAAIMTASFGVGMVYYGMPLNVGNLGSNLYLSVTYNALAEFPSSVLSWLLMGRINRRSSVVALTAAAGVCSLACVAIPEGTGGRMAAEVLSFFATCTAFNIILMYSIELFPTSVRNSAVGMVRQALVLGGVAAPMLVALGRERSFWSFGVFGLAVGCLGLFAVCLPETRGRSMSDTMEEEEHKEAAAAIGDMDSNADLV >ORUFI07G19420.1 pep chromosome:OR_W1943:7:19431874:19432309:-1 gene:ORUFI07G19420 transcript:ORUFI07G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPCLARAVLATPARAFVPSCPGVWQTLCDVSSFTVRLHRLFGINFLNDCRDRVTVIISCTSSRTLVHDHSTTPHARPAARLPRHQLPDIGYIDHGYSTHGFTDHGSFGSFILATSTMAQRAIIRVEHSCRPSVRVAHA >ORUFI07G19430.1 pep chromosome:OR_W1943:7:19432776:19433801:1 gene:ORUFI07G19430 transcript:ORUFI07G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAPLSTATTSGDVNHRQWHCGPMGRRSGNPKLDGVDAQLKTIGGRSRHVRALNRVIKSKSRSTRRGSRSCNRCRCRRRADVDEAIGDANPFSEREKKRYKQQHSSRPAVQCLKLHGRVQASRPSASGHHQSLDCPISTVRTGMIKSTMHRPPPLSHLSCSLVKREFTPEKSRERQARRRSPCRSVQQDPGGRGQHGAVEEVADGVGDGLRKDGARPQGGGATTMCRATSGGAEDSGGSSDEIGSGSVGGEAGRVRGRPSSWSQFPDPGRAAEACGEAAGGEVVEAGSRRMTGGGAQAEGGPRSATTCPRSPAATEEAGPGANGATTWRAAPPTRSATWR >ORUFI07G19440.1 pep chromosome:OR_W1943:7:19447833:19449467:-1 gene:ORUFI07G19440 transcript:ORUFI07G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAATTPLLTSHEAKPAKAPSIDDAIETYIGATGARQLLTAMLLAFAWAFEAQQVFMPVFTDAEPTWHCTGVAAAAGGDPGSSCSPAAASPCALPPGTWEWDRPAETSVVSEWALKCGGGGPALVSLPASSFFAGNLAGGFLLTTLADTHLGRRKMLVLSLATMSVAGVLTAFSPNVWVYAALRFVSGFGRSMVGTSAMVLSTELVGKWWRNTVSVAGFVLFSVGFMSLPALAYTLREASWRTMYVWTSLPSLCYAVLLYFLVQESPRWLLVRGRKQEAIEALRQIASLNGGEGVTTSSFSMLDACAVEVGDGVAGGDGMFASLRLIWERRWAFQRLAAMMTASFGVGVVYYGLPLSVGSLSSDLYLSVAYNAAAELPSSVLSWLLMGRFNRRSSVVALTAASGLCSLACVVIPDEEAGTGGLRLAAELASFFASCAAYDVMLMYSIELFPTSVRNSAVGLVRKAAVLGGVVAPMLVALGRERSYWSFGVFGLAVGCLGLFVTWLPETKRRRLSDTMEEEEEAAAAILSCAGASDMNNNGELV >ORUFI07G19450.1 pep chromosome:OR_W1943:7:19458376:19459949:1 gene:ORUFI07G19450 transcript:ORUFI07G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAATTPLLTSHEAKPAKALSIDDAIETYIGATGARQLLTAMLLAFAWAFEAQQVFMSVFTDAEPTWHCTGVAAGDPGSFCSLAAASASASACALPPGTWEWDRPAETSVVSEWALKCGGGGPALVSLPASSFFAGNLAGGFLLTTLADTLLGRRKMLVLSLVTMSVAGVLTVFSPNVWVYAALRFVCGFCRSTAGTSAMVLSTELVGKWWRNTVSVAAFVFFSVGFMSLPALAYTLREASWRNMYVWTSLPSLCYAVLLYFLVQESPRWLLVRGRKQEAIAALRQIASLNGGEGITTSSFTKLETCAGEVGDGVAGGEGMFATLRSICERRWALRRLAAITTATFGVGVALKCGGGGPVLVSLPASSFFAGNLAEAGTGGLRLAAELASFFASCAAYDVLLMYSIELFPTSVRNSAVGLVRQAGVLGGVVAPMLVALGRERSYWSFGVFGLTVGCLGLFVTCLPETKGRRLSDTMEDEEAAAVLSGG >ORUFI07G19460.1 pep chromosome:OR_W1943:7:19466248:19467145:1 gene:ORUFI07G19460 transcript:ORUFI07G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIKLLIGCFVPRGGETEAQMMMRELDEELNELFRDVLPPPRSPWKRAKEAIRRRRNGAKVAPATVDAAVIVLGPAVTRMLVDQGATHLLDELELLNQAIREHYASSVF >ORUFI07G19470.1 pep chromosome:OR_W1943:7:19467551:19468841:1 gene:ORUFI07G19470 transcript:ORUFI07G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIMAPTSRVLAAKTPFLGHPRPSNAPVRDIAAAATGRITMSKELWYGPDRVKYLGPFSAQTPSYLRGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCITPEVLEKWVRVDFKEPVWFKAGAQIFSDGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGYRINGLPGVGDGNDLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLADPVANNAWVYATKFTPGS >ORUFI07G19480.1 pep chromosome:OR_W1943:7:19469388:19477789:-1 gene:ORUFI07G19480 transcript:ORUFI07G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAAAKSSLEVLLETIKKRDEQPKDAPPALPARPTCRGRLPSARRPSLPSGVKLENGAAKGAVADTVMAGVNLENGAAEGAVADMVMADKKPGVEMKICVQEAKEENVVKTRIFGAKRKFSNGEVLEESPYADNIHKERKEMMVSKELPSVSPRTKTNGKPVFTDTMDYVLQKKLRVWCSSPDATWKLGQIQSVSGDDVEILLVNGEVLTLSPDRLLPANPDILDGVDNLIHLSYLNEPSVLYDLQSRYSRDLIYTKAGPVLVAVNPLKEVALYGKDFISQYRKKLNDDPHVYAIADLAFNEMRRDGVNQSIIISGESGAGKTETAKIAMQYLATLGDARGMESEVLQTNAILEALGNAKTSRNDNSSRFGKLTEIHFSETGKLSEKLFLKEADYYNYLKQSACLRIDGVDDAKRFSMLVDALDIIQISKEDQMKLFSMLAAVLWLGNISFSVIDNENHVEIVSNEGLATAAKLLGCSAPQLMNALTTRKIQAGKDNIIQKLTLTQAIDARDALAKSIYAHLFDWVVEQINHSLGTGREHTWRSISILDIYGFESFIKNGFEQFCINYANERLQQHFNRHLFKLEQEEYLEDGIDWANVEFVDNADCLTLFEKKPLGLLSLLDEESTFPKATDFSFANKLKQHLRGNSAFRGEQEGAFKICHYAGEVTYDTTGFLEKNRDPLNSELIQLLSSCKSELPKYFASVMVADSQNKSTLSWHSAVDSQKQSVVTKFKAQLFKLMQQLENTTPHFIRCIQPNSKQRPMLFEHDLVSHQLKCCGVLEVVRISRAGYPTRMTHQQFAERYGCLLLRSIASQDPLSISVAVLQQFNIPPEMYQVGYTKLFLRTGQVAALENAKNRMLHGALRIQKNFRGLCTRQEYQGLKKGAMTLQSFIRGEKARVHFDHLVKRWKASVLIQKYARRRIAATMFIDQLKYVVLLQSVMRGCLARKKYKCLKEEKDSKASHRKVIHVRNNVSQARMYHVYPLTISIPWQETNGDYPRQPVITELQGRVSKAEAALRDKEEENEMLKQQLDQYEKKWSEYEAKMKSMEEAWKKQLSSLQLSLVAAKKSLTAEDVASRAARTDAAPMHAHYDSEDTSTGTHTPEGTEFKYQNHNSEARVAAPNSDRRINAVNHLAKEFEDRRQVFEDDAGFLVAVKSGQVGSNMNPDDELRKLKDRFATWKKDYKSRLKETKVNLQKVGEEKSRKRWWGKKSSK >ORUFI07G19490.1 pep chromosome:OR_W1943:7:19486651:19489475:1 gene:ORUFI07G19490 transcript:ORUFI07G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKKSKKCGGGGVAAMDTSEGAPAASTAVGAPEPMDTSEGKQTSSVSVALTSINKKIKKGVQIKRSQNVRKMKAVARAISKNEKAEEKVLKAKSKKSRVQSAKSLYD >ORUFI07G19500.1 pep chromosome:OR_W1943:7:19493091:19498883:1 gene:ORUFI07G19500 transcript:ORUFI07G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQSKAQAGAKALSEPVRVGGSAAEATLSGGELAWRPTGGGGGGGEAGRLELESEVLGVRVDGRALRVATFARGDDAAAAAARPATCGGGERRREREREREVVVEMESEEAAAAWGDAMRDRLASLGRPKRLFIIVNPYGGKRGGRKIFQTEVLPLIEAAGILYTMQETKHRLHAQEIAHSLDLRKYDGIVCVSGDGVLVEVVNGLLQREDWNTAIKIPLGIIPAGHKRPLDVTSVVQGKTRFFSVLMLTWGLVADIDIESEKYRWMGSARLDFYSLLRVVSLRRYNGRVLFVPAPGYEGLGDLVEQISSCKSNGASTGVQEDRSNDFNDETCAYAGPSIDETDHEWRSLDGPFVSVWVSGVPFASENVMTAPEAKFGDGYLDVAIIKDCPRSALAGLMFQMKDGSYVKSPYVEYFKVKALRIEPGMRVGSTTKGGIIDSDGEVIARGDGSHTGDEIEHLMAYGPPIQLTVDQGLATIFSPR >ORUFI07G19510.1 pep chromosome:OR_W1943:7:19510772:19511642:1 gene:ORUFI07G19510 transcript:ORUFI07G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTDDLLLLCWCGGVACYVHGAAALAGWEVRAERGESIGNSELATCMQREHGARHAAVDSTPI >ORUFI07G19520.1 pep chromosome:OR_W1943:7:19511995:19519907:1 gene:ORUFI07G19520 transcript:ORUFI07G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARKSHAAMAPSQIWSSSMHMAQNVADRKDNFVKSWRPPAGQFSSQWRQNNMWSMSTMQSDLQQRLAFEFDRPSGSEKLLPGRTFIHAHEKKFDDMHDRSTTPAGMNQIMRERYANGHTQHTTLDPTYAYTLYHREGTNPNLHDHSHHAGENDHLTARKGVTSDPCSSVSTTFKLDSHHPSILKDDPSAVPAGLSSNFSSANGPKDHIRIGPTQQQQQMASSSLQKQFYSHSVIDNDFQAQLRNGRPRMDAKARSQLLPRYWPRITDQELQHLSGDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPAISQAEGLPLKVQDATGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPEGKLVMGFRKATNLSAEQDQPTKPANGVLPPPEANNKVVVPDSSPNAAVPRPIKVNTESKSSSPVEQATACKIDKGALPQKEGPGTSSSSPLPVKRKATSVGPKIKRFHMDSEESMELKITWEEAQELLRPPPKAPSIVVVDGHEFEEYEEPPILGRRTYFVTDQSGENHQWAQCEDCSKWRKLPVDALLPSKWTCSDNKWDSERSSCDSAQEINMEELGEMIPIKPGAAKKTKGKVDTDNIDVSDGLDTLANLAILGEGESLPSQPTTRHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFRTLMMRREKRQQSEKDSGVPRKREPGQSSEPVPQSGSGAHPTSTSSPHQRADTNGEGPEDMSIDNKRTSSPVKNQIDLNSQPEREDEQSPKSDATRLLRDNPT >ORUFI07G19530.1 pep chromosome:OR_W1943:7:19520703:19521804:-1 gene:ORUFI07G19530 transcript:ORUFI07G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYARTVKAATAAAAAVLVAFGVRMAAPAAAGFVADELPRAQAAAATWLTPPYLYLVINAIILSIAASSRFQPNRPQAASADASLVRPAPVPVPVPVVAVPEPAVTMPMEVPVVPVPEAMVPEPIPVEVTVPEVVKTAPEAEEAEENFTISRSAWTPRRRSTAEAEAEHEALSPFADLTNSREKPLVSTRFGRKPVKASPEGSSRALGVSRPRKEQTLESTWKAITEGRAPPLARHLKKSDTWETRPGRRQSGSGGGEDAPPPATAMRKAETFNEAAGGGGGGGGGKKVRREPSLGQDELNRRVEAFINKFNMEMRLQRQESLKHYNEMISRGSVY >ORUFI07G19540.1 pep chromosome:OR_W1943:7:19535517:19540389:1 gene:ORUFI07G19540 transcript:ORUFI07G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSGGSAKEKDPENAPELVALPLVRTLRPVHAVIDPAADPRSAQLSWPGHVVLLPPYATWPHHVPTPPPAVNPANPQQNGKIPGYVPLLTHFLIQGGRFDFHCCSVLRVCSFLSYPTSSHLFSTCTFFKFIINMFLNYIK >ORUFI07G19550.1 pep chromosome:OR_W1943:7:19540772:19546626:1 gene:ORUFI07G19550 transcript:ORUFI07G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLMEKESLLLGSSTGCLLLYNVDEKTTEVVGQLEGGVKTIASSPDGALISVTTGFGQLLIMTNDWEVLFETSLDPHCDLTGDINSPNGHIQSSISWRGDGKFFATLGGLEGSSQKLTIWERESGNIHSSSDTKAFIGASLDWMPSGAKVATAHDRKTEGKCPLIVFYEKNGLERSHFSIDEPAEAVIQALKWNCNSELLAALVSCGQYDVIKVWSCSNNHWYLKQELRYTKKEGVRFYWDQTKPMHLICWTLGGQVITHRFAWTTAVSETSIALVIDGSHVLVTPLSLDLMPPPMSLFHLTFPCAVNEVSFLSDNSKNHIAAYLSNGSLCVSVLPVADTWEEFEGSGISVDPCFSESTLNNYMHLTWIDTCTLIGICCRADAFSSTPMRSSEASSLLEKNDSPYFINEIELVCSEDSLLGSACSSGWQAKISKKMPLQAAVIGISQNPAKEGSAFIQLSGGRVVEYCSKVNLFRMSAPVQVSETGSDHTFPTSCPWMTAVQCHENGMVRTLLFGLDDSSKLHVGKRLLSSNCSSFTFYSSAYGAKEQVVTHLLVTTKQDLLFIVDISEILLKNGEVTTDSHIRSHPRGKQSKEHITVWEKGAKLIGVLHGDEAAVIMQTTRGNLECIYPRKLVLVSIVQALVQGRFRDAFDMVRRHRIDFNMVVDYCGWKSFMKSAADFVKQVNNLSHITEFVCSIKNENVSSKLYETYISFPDHCATSVADTVNSHGLLSDNKVTSVLMAIRKALEVQVEESSSRELCILTTLARSEPPLLEEALNRIKVIRELELLGVDDARRKLYPSAEESLKHLLWLTEPEAVFNAALGLYDLNLSAIVALNSQKDPKEFLPFLKSLECLPPAIMKYTVDLRLGRYESALKNIVSAGDEYHEDCMKLLNANPQLFPLGLQLFTDPDKRHQILEAWGDQLSEEKCFADAAITYQCCSSYQKSLKAYRDCGDWRGVFTVAGLLKFKKEEILQLAHDLCDEFQALGKPGDAAKIALEYCSDVDRGVGYFITAREWEEALRVAYMHSRQDLVDTVRDAALECSALLISEYQEGLLKVGKYLARYVAVRQRRLSLAAKLQSEDRFMDVEDDSISEVSTSFSEMSAYTTRSTKESSASVISSSASKSRGARRQKKGGKIRAGSPGEEMALVEHLKGMSLTSGALTELKSLLVVLIQLGREETARQVQLAGDNFEVSQRAAVKLAEDTVSNDKIDENAHTLENYVKMLRAHQSADSETTCWGIKALSPPWTGVYSNSHEHAEC >ORUFI07G19560.1 pep chromosome:OR_W1943:7:19547596:19552909:-1 gene:ORUFI07G19560 transcript:ORUFI07G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKASVSKELNAKHKKILEGLLRLPENRECADCKSKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKSNSYWEAELPPNYDRVGIENFIRAKYEDKRWIPRNVSSRPSSGARDEKSSESQTSVNRGGHNQRSSFEQHRTSPAAVSKIAPVVSRTPTQAPHQPKAQPSVPKVSPPQPEKSPPNATPPKVEKPSVAPPPKVDYATDLFNMLSMDGTTEKEAESSSNDDSAWEGFQSAEPVPSSDKKDSAKPVESKPQSTSGIEDLFKDSPAVTVSSAPAAPQVNVKNDIMSLFEKSSMVSPYAVQQQQLAFMTPQQLALLSQQQALLMAALKAGNAPQMIPGNASLLNGNGSNPANGGLPSQSWTNLAYQNPGLAPVAAQNGATKVANNNQEFSFGNFNFSTPGAYNTSSSVPANGAASAAANKSTSPTSSSLPSQSGKEYDFSSLTQGLFSKR >ORUFI07G19570.1 pep chromosome:OR_W1943:7:19554943:19555443:1 gene:ORUFI07G19570 transcript:ORUFI07G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTRQPLLPLPSPPFFLSPLLSLLYIDHNPLVMPPKEVVAGGVAAVKGYMARWWRDARAEAAPSPRAPRCRRPRSGWCAACCRWAARCLTSRGTASGRWQRKELRGRRGRSSMRAAGEQLGKGIARRAVAYGEDAVEVGGWHGGQRRRGAKDGEQVGGMARWQRM >ORUFI07G19580.1 pep chromosome:OR_W1943:7:19565038:19568961:1 gene:ORUFI07G19580 transcript:ORUFI07G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQATHRRAGDCGGGGDGGSSSASIAKHLANQSQVLKWLQDFSDKVEERAKGAAAEVNVLLDEAGALELDMKTAMISFNNLTRQRFIEHASSGSKSKISDEDSMHLKTTESMHGSLQSQVPAQDYERDILPRYKEALHIGLSSCKDHFRSKGRSTTSVFRAMSAYGPLPHIIGSEEYIHDNSCGLADDMQPLSDDFSWLREFQSESSDSRTADISESQIFRAQRGYEKGETDSVVSAAREFKAMLEAALVNPYKFYDDATITAQDASVEKKISTSEDQVMLTGTSEAPSGRSAQEDNSEQTGLFASLQSADANPHDIYSALVREGLFDTGDEILSMDRGESADTPISGLASGLANLGTADPAERGYSINETTNEEGYLIEGDDPSPSNKDEQDDQTDAHGVSSPEPEDGVSRPS >ORUFI07G19580.2 pep chromosome:OR_W1943:7:19565038:19568961:1 gene:ORUFI07G19580 transcript:ORUFI07G19580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQATHRRAGDCGGGGDGGSSSASIAKHLANQSQVLKWLQDFSDKVEERAKGAAAEVNVLLDEAGALELDMKTAMISFNNLTRQSMHLKTTESMHGSLQSQVPAQDYERDILPRYKEALHIGLSSCKDHFRSKGRSTTSVFRAMSAYGPLPHIIGSEEYIHDNSCGLADDMQPLSDDFSWLREFQSESSDSRTADISESQIFRAQRGYEKGETDSVVSAAREFKAMLEAALVNPYKFYDDATITAQDASVEKKISTSEDQVMLTGTSEAPSGRSAQEDNSEQTGLFASLQSADANPHDIYSALVREGLFDTGDEILSMDRGESADTPISGLASGLANLGTADPAERGYSINETTNEEGYLIEGDDPSPSNKDEQDDQTDAHGVSSPEPEDGVSRPS >ORUFI07G19590.1 pep chromosome:OR_W1943:7:19570640:19572085:1 gene:ORUFI07G19590 transcript:ORUFI07G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTIVLLPAWGSGHFMSALEAGKRLLVAGGGAVSLTVLVMQAPTEIEASVVEAHVRREAASGLDVTFRRLPAVEHPTGCEATEEFMSRYVERHAHHVKAAIAGLASPVAAVVVDLFFTPLLDAAHELSLPAYVYFASTTAFLALMLRLPELRDDLTVGFDGMEGTVDVPGLPPVPPSYMPVCLVSKTVKNYDWFEYHGRRFTEAKGIIVNSSVELEGAVLAAIADGRRPAPAIHAIGPVIWFDATPPPEQPHECVRWLDAQPAASVVFLCFGSIGFLDAAQVRELAAGLERSGHRFLWVLRGAPAGGVRYPTDADPGELLPEGFLEATAGRGMVWPRWAPQKDILGHAAVGGFVTHCGWNSVLESLWFGVPMATWPLYGEQHLNAFEAVASMGVAVELRRTTAKDGDAARSLVEAAEVERAVRRLMAPQGGGSSEAREKAAEVSAACRKAVEEGGSSHAALQRLVREIVRLVAGHTRPE >ORUFI07G19600.1 pep chromosome:OR_W1943:7:19574978:19575208:-1 gene:ORUFI07G19600 transcript:ORUFI07G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFCFLVDQRRKVRSSKPAAGICSRCGGCASVADMETATRFCYLLTVHRATWRAIICTFCGAMLKSYRHYRLYTS >ORUFI07G19610.1 pep chromosome:OR_W1943:7:19580816:19586374:1 gene:ORUFI07G19610 transcript:ORUFI07G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKGPDVPVVSGINSGSTVKLKDLAPAATNNVNTTFIPDAPGVSGISSGSTVKLKDLAPAATNNVNTTFIAARAPPPPPHGRADAREETCLALVADETAAAHFLLWGAERDAFEPGDIVRLTGGIFSYHRSNSLVLRAGRRGRAEKVGEFTMLFVETPNMSEVKWVRDPGDPRRMVQEAVVSPHSQVFKPLQ >ORUFI07G19620.1 pep chromosome:OR_W1943:7:19590423:19592764:1 gene:ORUFI07G19620 transcript:ORUFI07G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSRIARGSQLTQPLSRILAEGNAAATPAAYALRNAAALGQRASSASTSSSFHSLALAGLADKYAAGRLQPSRGISTTSPALRPAAAAAARVVECSDAADEAAAAVPDLGPTRPGEKPRVVVLGTGWAACRFLKDVDTRAYDVVCISPRNHMVFTPLLASTCVGTLEFRSVVEPVSRIQSALATRPGSYFFLASCTGIDTGRHEVHCTAADGDGLPANPYNFKVSYDKLVIASGSEPLTFGIKGVAENAIFLREVSHAQEIRRKLLTNLMLSENPGLSEEEKKRLLHCVVVGGGPTGVEFSGELSDFITRDVRERYAHVKDYVKVTLIEANEILSSFDVGLRQYATDHLSKYGVNLVRGVVKEVKPREIELSDGSRVPYGVLVWSTGVGPSEFVRSLPLPKSPGGRIGVDEWLRVPSVEDVFALGDCAGFLEGTGRAVLPALAQVAEREGRYLARVMSRIAAQDGGRAGRAVGSAELGEPFVYKHIGSMASVGRYKALVDLRENKDARGVSMAGFVSWLMWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNTRIG >ORUFI07G19630.1 pep chromosome:OR_W1943:7:19594859:19598764:-1 gene:ORUFI07G19630 transcript:ORUFI07G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDSNPFEEDDVNPFSEQARGKAGGQPSYGGGAFYMPNPRNVPSVSSNSRLSPLPPEPAAFGATVDIPLDSSKDLKNREKELQAREAELNKREKELKRREEAAARAGIVIEEKNWPPFLPLIHHDITNEIPSHLQRMQYVAFASFLGLACCLFWNVIAVTSAWVKGEGVKIWLLAIIYFISGVPGAYVLWYRPLYNAMRTDSALKFGLFFLVYLFHILFCVFSAVAPPVVFEGKSLAGILPAIDLISKNALVGIFYFVGFGLFCVESLLSIWVIQQVYMYFRGSGKAAEMKRDATRGAMRAAF >ORUFI07G19640.1 pep chromosome:OR_W1943:7:19601998:19612462:-1 gene:ORUFI07G19640 transcript:ORUFI07G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGGFVTRAFEAMLKECTANRGKFAALQQSIQSYLDAIKGAAAAGQEEGGDAAAAPITQVLASAGRVLEGTQAELVLQPLRLAFETKHVKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSPLFTDILNMVCGCVDNTSSDSTVLQVLKVLLNAVASNRFRVHGEPLLGVIRVCYNIALNSKSPVNQATSKAMLTQMISIVSVPPASSAMKEEPSSSTEESENGEVSTGNQADEKITLGDALSLNRATEASPASVEELQSLAGGADIKGLEAVLDKAVELEDGKKVSGGIDLDTVNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVGDSFTKNFHFIDSVKAYLSYAILRAAVSSSAVVFQYACGIFAVLLLRFRESLKGEIGVFFPLIVLRSLDSSDSPLSQRASVLRMLEKVCKDSQMLADMFVNYDCDLEGPNLFERMVSALSRIAQGSQNADTNTAASSQTVSVKGSSLQCLVSILKSLVDWEQARRDSLKQGSVAEACENDSSARSITSDEIKSQEDGRNQFEIAKAHKSTMEAAISEFNRKPARGIEYLLLNKLIENNATSVAHFLKSNSSLDKAMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNTASDAEECAPKELLEEIYDSIVQEEIKMKDDFPDSAKTNKPRRETEERGVVNILNLALPRLKSASDTKAESEKIIKQTQALFKNQGQKRGVFHVAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVLCMEGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLGLADTDMDALQDTWNAVLECVSRLEYITSNPSIAATVMQGSNQISRESVVQSLKELSGKPAEQVFVNSVKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLSQHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELNKFTFQNDILKPFVILMRNSHSEKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDENEHIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGCIPGGAVKPVDDVPEAHFDVTEHYWFPMLAGLSDLTLDPRPEVRHCALEVLFDLLNERGHKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSSGDDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLGLLLECAKKTDQTVVSIALGALVHLIEVGGHQFSDSDWETLLKSIRDASYTTQPLELLNSVGFQKPNNQQSLSREAETNGLGSSYHDSREGGASISHIDEQDGHQETNAQTSLDNSEGLPSPSGRAQPAVSPRGQTFGQRIMGNMMDNLLVRSLTSKSKGRTDDIVPPSPVKAPDADGADKTDDEENPMMETVRSKCITQLLLLGAIDSIQKRYWSRLKTTQQTAIMDILLSLLEFASSYNSTSNLRTRMHHIPPERPPLNLLRQELAGTAIYLEILQKSTVEHDGNDPSEDTNGHVIESDEHEKLKSLAEGKLVSFCGQILKDASDLQPSTGEAASADIHRVLDLRAPVIVKVLNGMCIMDAQIFKKHIREFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP >ORUFI07G19640.2 pep chromosome:OR_W1943:7:19601998:19612462:-1 gene:ORUFI07G19640 transcript:ORUFI07G19640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGGFVTRAFEAMLKECTANRGKFAALQQSIQSYLDAIKGAAAAGQEEGGDAAAAPITQVLASAGRVLEGTQAELVLQPLRLAFETKHVKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSPLFTDILNMVCGCVDNTSSDSTVLQVLKVLLNAVASNRFREIAVVFYKNPVSVPPASSAMKEEPSSSTEESENGEVSTGNQADEKITLGDALSLNRATEASPASVEELQSLAGGADIKGLEAVLDKAVELEDGKKVSGGIDLDTVNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVGDSFTKNFHFIDSVKAYLSYAILRAAVSSSAVVFQYACGIFAVLLLRFRESLKGEIGVFFPLIVLRSLDSSDSPLSQRASVLRMLEKVCKDSQMLADMFVNYDCDLEGPNLFERMVSALSRIAQGSQNADTNTAASSQTVSVKGSSLQCLVSILKSLVDWEQARRDSLKQGSVAEACENDSSARSITSDEIKSQEDGRNQFEIAKAHKSTMEAAISEFNRKPARGIEYLLLNKLIENNATSVAHFLKSNSSLDKAMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNTASDAEECAPKELLEEIYDSIVQEEIKMKDDFPDSAKTNKPRRETEERGVVNILNLALPRLKSASDTKAESEKIIKQTQALFKNQGQKRGVFHVAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVLCMEGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLGLADTDMDALQDTWNAVLECVSRLEYITSNPSIAATVMQGSNQISRESVVQSLKELSGKPAEQVFVNSVKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLSQHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELNKFTFQNDILKPFVILMRNSHSEKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDENEHIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGCIPGGAVKPVDDVPEAHFDVTEHYWFPMLAGLSDLTLDPRPEVRHCALEVLFDLLNERGHKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSSGDDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLGLLLECAKKTDQTVVSIALGALVHLIEVGGHQFSDSDWETLLKSIRDASYTTQPLELLNSVGFQKPNNQQSLSREAETNGLGSSYHDSREGGASISHIDEQDGHQETNAQTSLDNSEGLPSPSGRAQPAVSPRGQTFGQRIMGNMMDNLLVRSLTSKSKGRTDDIVPPSPVKAPDADGADKTDDEENPMMETVRSKCITQLLLLGAIDSIQKRYWSRLKTTQQTAIMDILLSLLEFASSYNSTSNLRTRMHHIPPERPPLNLLRQELAGTAIYLEILQKSTVEHDGNDPSEDTNGHVIESDEHEKLKSLAEGKLVSFCGQILKDASDLQPSTGEAASADIHRVLDLRAPVIVKVLNGMCIMDAQIFKKHIREFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP >ORUFI07G19650.1 pep chromosome:OR_W1943:7:19615351:19622758:-1 gene:ORUFI07G19650 transcript:ORUFI07G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLSSKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEVLAGLFINGLDIFAARRGGCGRWNPVESGRGATRAPSSDPRRALPRCVAPFRPRPRARASVVCAPAAINALRRLRRSSAPAPPADSSAAAATALEAKSRPFDTITVSDKVSSKVSKRSANRVGFLPHGIVEPYSDMELKPLWLTRSAQSKDSSQKDRCLLAIPAGIDQKRSVDAIMKKFLPENFTVMLFHYDGNVDGWNDLPWSKSVIHIAASNQTKWWFAKRFLHPSVVSMYEYIFLWDEDLEVDNFHPRRYLNIVKSEGLEISQPGLDPKLSEIHHRITVRKKGWSFHRWVEGMAPVFSRSAWRCAWHLIQNDLIHGWGIDYKFGYCAQGDRTKNIGVVDSEYIVHRGVQTLGGPSVKRSHGKNNDPLHQKTAEAQQQMRVKAGLDMRTKVRRYSRSELRDFQKRWERATREDRAWVDPFARPRRKRKRTDRQ >ORUFI07G19650.2 pep chromosome:OR_W1943:7:19615353:19622758:-1 gene:ORUFI07G19650 transcript:ORUFI07G19650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLSSKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEVLAGLFINGLDIFAARRGGCGRWNPVESGRGATRAPSSDPRRALPRCVAPFRPRPRARASVVCAPAAINALRRLRRSSAPAPPADSSAAAATALEAKSRPFDTITVSDKVSSKVSKRSANRVGFLPHGIVEPYSDMELKPLWLTRSAQSKDSSQKDRCLLAIPAGIDQKRSVDAIMKKNFTVMLFHYDGNVDGWNDLPWSKSVIHIAASNQTKWWFAKRFLHPSVVSMYEYIFLWDEDLEVDNFHPRRYLNIVKSEGLEISQPGLDPKLSEIHHRITVRKKGWSFHRWVEGMAPVFSRSAWRCAWHLIQNDLIHGWGIDYKFGYCAQGDRTKNIGVVDSEYIVHRGVQTLGGPSVKRSHGKNNDPLHQKTAEAQQQMRVKAGLDMRTKVRRYSRSELRDFQKRWERATREDRAWVDPFARPRRKRKRTDRQ >ORUFI07G19650.3 pep chromosome:OR_W1943:7:19615353:19622758:-1 gene:ORUFI07G19650 transcript:ORUFI07G19650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLSSKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEVLAGLFINGLDIFAARRGGCGRWNPVESGRGATRAPSSDPRRALPRCVAPFRPRPRARASVVCAPAAINALRRLRRSSAPAPPADSSAAAATALEAKSRPFDTITVSDKVSSKVSKRSANRVGFLPHGIVEPYSDMELKPLWLTRSAQSKDSSQKDRCLLAIPAGIDQKRSVDAIMKKFLPENFTVMLFHYDGNVDGWNDLPWSKSVIHIAASNQTKWWFAKRFLHPSVVSMYEYIFLWDEDLEVDNFHPRRYLNIVKSEGLEISQPGLDPKLSEIHHRITVRKKGWSFHRWVEGMAPVFSRSAWRCAWHLIQNDLIHGWGIDYKFGYCAQGDRTKNIGVVDSEYIVHRGVQTLGGPSVKRSHGKNNDPLHQKTAEAQQQMRVKAGLDMRTKVRRYSRSELRDFQKRWERATREDRAWVDPFARPRRKRKRTDRQ >ORUFI07G19660.1 pep chromosome:OR_W1943:7:19623884:19626553:-1 gene:ORUFI07G19660 transcript:ORUFI07G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFITAAVFMTEKAFLKQPKVFLSSKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRQFS >ORUFI07G19670.1 pep chromosome:OR_W1943:7:19624757:19631350:1 gene:ORUFI07G19670 transcript:ORUFI07G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQPSLSIPPSFAGASPPSPSPIGGGGVGGGVGQPAKDMKMASAEQLVLDLCDPELRENALLELSKKREIFQDLAPLLWHSFGTVAALLQEIVSIYSALSPPTLSPGASNRVCNALALLQCVASHPETRILFLTAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDTEVISFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVGSVLANMVVSLAEQPSTRLLKHIIRCYLRLSDNSRACAALQTCLPDMLKDGTFNNCLRDDPTTRRWLQQLLLNVTGAGMGAAPQPGLDHMMGI >ORUFI07G19670.2 pep chromosome:OR_W1943:7:19626816:19631350:1 gene:ORUFI07G19670 transcript:ORUFI07G19670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQPSLSIPPSFAGASPPSPSPIGGGGVGGGVGQPAKDMKMASAEQLVLDLCDPELRENALLELSKKREIFQDLAPLLWHSFGTVAALLQEIVSIYSALSPPTLSPGASNRVCNALALLQCVASHPETRILFLTAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDTEVISFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVGSVLANMVVSLAEQPSTRLLKHIIRCYLRLSDNSRACAALQTCLPDMLKDGTFNNCLRDDPTTRRWLQQLLLNVTGAGMGAAPQPGLDHMMGI >ORUFI07G19670.3 pep chromosome:OR_W1943:7:19626818:19631350:1 gene:ORUFI07G19670 transcript:ORUFI07G19670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQPSLSIPPSFAGASPPSPSPIGGGGVGGGVGQPAKDMKMASAEQLVLDLCDPELRENALLELSKKREIFQDLAPLLWHSFGTVAALLQEIVSIYSALSPPTLSPGASNRVCNALALLQCVASHPETRILFLTAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDTEVISFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVGSVLANMVVSLAEQPSTRLLKHIIRCYLRLSDNSRACAALQTCLPDMLKDGTFNNCLRDDPTTRRWLQQLLLNVTGAGMGAAPQPGLDHMMGI >ORUFI07G19680.1 pep chromosome:OR_W1943:7:19633550:19637225:-1 gene:ORUFI07G19680 transcript:ORUFI07G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVGGGGGGGGGGGVKKEEGEEEARGRGGGGGVVGGWGTWEELVLGSAVIRHGGVAWGAVAAEVRSRSPCAFSPEECEAKFSEIQARYSACDAWFEELRKRRVAELRNDLKKSENFIGSLQSMIKSLSNSKHDDGNSECHTSHTESCSNNENTADNNSSSKALSKDRSSAASFTEEASNSQKSEKVQHCDTDSIQVNNTSAETLVKPLVEKKVCAEDGLLWGSRKKRAVRDRRTFLMADNSCRAGENTSTSHIQTDGSSEGYAKKGLKTPNLESGVSVVEKAKPNLAEILKTISTQSDCYMLQRRLDVQRKRTRYKKMIRRHIDFRILHSKIKSGATSSTKELLRDILLFVNNVLAFYPKATLEHMAAIELRNIAFRTVQESASMPSKSCGVTGAATAPLVKKNTRPVQPGSHGPHDAKRSKVSLKGTGSTVKQGEAKGSRGGSSVTANVKTMQRNPPAKKRGVGRPPKNGQKRAAAQQDSPNKGRKRTRR >ORUFI07G19690.1 pep chromosome:OR_W1943:7:19638923:19644591:-1 gene:ORUFI07G19690 transcript:ORUFI07G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRVVKSKSLPMIASAAVFLLLLSAALPLSQSYTYEQDVFAINGLYTALGSPSVPGWITNGGDPCNEGWQGVECVVSNITSITLNAANLGGQLGNTLGNFTSLITLDLSNNNIGGTIPDNLPITLQRFFLSGNQLSGSIPSTLSTLTLLTGLSLNNNHLSGEIPDSFSTLTGLANLKDGNPFNTSIAPSALPPAAPTPLPSVSPPAGHVPTKEPSNSSIAPAGNAPSRKNNVSAMKFVGYILVGVVSAVVLVLMVMFCLSKYKERKSRDDVYTKNQLGRSPQKLGEPKIKEVSDIKEPPVKLKNNAGKAASDAVYDSREERKPGSSMSAAPRVVTMEQKEHVIDMEKKDNFVDEQLHPPQSAVLRTEKVTVHPSVRTRKGRVPSAGKLDLTTTVKSFSIASLQQYTNSFNEENLIRDSRFGKLLEVLKIDAANSRIPADAFLELVVNISELTHPNILGLVGYCAEFDQRLLVYEHCSKMTLHDELHYADDSNKGLSWNARLQVAVGAAKALQYLHDGCQPPIVHQNFEPSIVLLNSTLVVHISECGLAALSSRSVSQLSGRMRTLFHYEAPEVHESGLLSDRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWATSQLYDIDAISKMVDPSIRGQCSEKALSRFVDIISSCIQHEPEFRPSMSEVVQDLTRMVSDATKASM >ORUFI07G19700.1 pep chromosome:OR_W1943:7:19648315:19652649:-1 gene:ORUFI07G19700 transcript:ORUFI07G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGPAMAPAAGTGSGPGTVVVGSAAALAAQEEMRWRQLDSGVSAVSFGFVATAILVSMFLAMAILEHFLRSPAHRGHAGMGPPPPHPPPPGGILSRLRLLLHRRGAGEAAFPGGSDLEAARKLDGGASPEIPVYAKGVSVLMPGHDVPTFIAHPAPAPCPPERVQWPSHQPTPFAGSSSNPS >ORUFI07G19710.1 pep chromosome:OR_W1943:7:19655531:19661218:1 gene:ORUFI07G19710 transcript:ORUFI07G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAAAMVLAGALPVFPGRPLVAAGARCCDGGIRGRVSCSSHRRSDHPSCAAEEGGVVELLKGAVAALAVIAQISVSLPADAILYSPDTNVPRTGELALRRAIPANPNMKTIQESLEDISYLLRIPQRKPYGSMEGDVKKAMKIAMDNKDAILASIPVELKEKGSKLYTSLLEEKGGLQTLLKYIKENDPDRLSVALASSLDTVAELELLQAPGLSFLLPQQYLEYPRLAGRGVVEFSVEKGDGSTFFPTAGGEPKSVATIQVALNGCVVIDGYSAPLTAGNFAKLVLDGAYDGIKLKCASQAIIADNENGKKGYTVPLEVMPAGQFEPLYRTPLSIQDGELPVLPMSVYGAVAMAHSVDSDEYSSPSQFFFYLYDKRNSGLGGISFDEGQFSVFGYTTDGREVLSQIKTGDIIRSAKLVQGRERLVLPPEAPAES >ORUFI07G19710.2 pep chromosome:OR_W1943:7:19655531:19661218:1 gene:ORUFI07G19710 transcript:ORUFI07G19710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAAAMVLAGALPVFPGRPLVAAGARCCDGGIRGRVSCSSHRRSDHPSCAAEEGGVVELLKGAVAALAVIAQISVSLPADAILYSPDTNVPRTGELALRRAIPANPNMKTIQESLEDISYLLRIPQRKPYGSMEGDVKKAMKIAMDNKDAILASIPVELKEKGSKLYTSLLEEKGGLQTLLKYIKENDPDRLSVALASSLDTVAELELLQAPGLSFLLPQQYLEYPRLAGRGVVEFSVEKGDGSTFFPTAGGEPKSVATIQVVIDGYSAPLTAGNFAKLVLDGAYDGIKLKCASQAIIADNENGKKGYTVPLEVMPAGQFEPLYRTPLSIQDGELPVLPMSVYGAVAMAHSVDSDEYSSPSQFFFYLYDKRNSGLGGISFDEGQFSVFGYTTDGREVLSQIKTGDIIRSAKLVQGRERLVLPPEAPAES >ORUFI07G19720.1 pep chromosome:OR_W1943:7:19660154:19661158:-1 gene:ORUFI07G19720 transcript:ORUFI07G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGERHEEAPDVNFWGEQPATEAEYYAAHGADGESSYFTPPGGRRLFTRAWRPRGDGAPRALVFMVHGYGNDISWTFQSTAVFLARSGFACFAADLPGHGRSHGLRAFVPDLDSAIADLLAFFRSVRRREEHAGLPCFLFGESMGGAICLLIHLRTPPEEWAGAVLVAPMCKISDRIRPPWPLPQILTFVARFAPTLAIVPTADLIEKSVKVPAKRLIAARNPMRYSGRPRLGTVVELLRATDELGARLGEVTVPFLVVHGSADEVTDPDISRALYDAAASKDKTIKIYDGMMHSMLFGEPDENIERVRADILAWLNERCTPREEGSFLTIQD >ORUFI07G19730.1 pep chromosome:OR_W1943:7:19663305:19663658:-1 gene:ORUFI07G19730 transcript:ORUFI07G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSSLLVLTVLVVAAAACSTTAAARSVPAEEKKTAAPVAAADVKQPETFHEGTVLIPGLGRFELGSTYVPDITGVDHSVPAAEHGQFLPGADDTWVPNPGFEVPNPFQPGSSSP >ORUFI07G19740.1 pep chromosome:OR_W1943:7:19685136:19685357:-1 gene:ORUFI07G19740 transcript:ORUFI07G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGCARRDDLAGWLAACAERTLAVDGVHEDELVVLGPQRLATLDSGQMHARRTSTADGMCEEELADGDQIHY >ORUFI07G19750.1 pep chromosome:OR_W1943:7:19693829:19697926:-1 gene:ORUFI07G19750 transcript:ORUFI07G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVARSGTAVDAGGDGGEDGKRRRRRWKAPREDQLGMVPGRIFSNDGRSRTATVYTQQGRKGINQDAMLVWDGFGGEDDGVLCGVFDGHGPHGHVVARRVRDSLPLRLMSAARDSGADMPAAAWRKAFARAYKAMDKDLRSHPSLDCFCSGSTAVTVLKLVRAVDTGHDTHLLSITPPSSQKCQIESAYYASTHGGSRGDFCMQGSDLYMANIGDSRAVLGSREATGGGMVAVQLTVDLKPDVPSEAERIKKCRGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKDYGVISVPEFFHWSLTEKDQFVILASDGVWDVLSNQEAVDIVSASPSRSKAAKSLVEAATREWKTKYPTSKIDDCAVVCLYLDGKMDHERDSTASLDNISIEEGSVADPNEPQEQEPTLTRNFTVRTVAGSTQEKTLAGVDARIAGVANDQNWSGLDGVTRVNSLVQLPRFSEERAIG >ORUFI07G19760.1 pep chromosome:OR_W1943:7:19714540:19716783:-1 gene:ORUFI07G19760 transcript:ORUFI07G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLASPNDQTLFLDLLFLPLASAQLGRGQTTPRYHTRQFGCDGVRHRAATTHWGGARRLAALRRTRGSSLARARKGGGRASGHRLRGGKRGVVEDEAANYAWGGGHQPAVRKEELVHRPPAGRISSAYQARGGALQHGGHTGKFVDLPRRPGSSAQRRWVGAGALSKRGKEMVVEDEAEAAQANPSTSTAATVGEEGRRDPRAPSRRRLRRRRSALCT >ORUFI07G19770.1 pep chromosome:OR_W1943:7:19721983:19723579:-1 gene:ORUFI07G19770 transcript:ORUFI07G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDSSSGSAPPRVLRRQQQQPGSAPELPPGFRFHPTDEELVVHYLKKKAASVPLPVTIIAEVDLYKFDPWELPEKANFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPIMSSGSTREKVGVKKALVFYRGKPPKGVKTNWIMHEYRLTDTSSSAAAVATTRRPPPPITGGSRGAVSLRLDDWVLCRIYKKTNKAGAGQRSMECEDSVEDAVAAYAPSSQQHATAAAGMAGSDGAGGVAAAHGGDYSSLLHHDSHEDTFLVNGLLTAEDAAGLSTGASSLSQLAAAARAAATPCDATKQLLAPSPTPFNWFEAFLPRAKEFPSGLSRSSRDIGDMSLSSTVDRSLSEAGAVAIDTGDAANGANTMPAFINPLGVQGATYQQHQAIMGASLPSESAAAAAACNFQHPFQLSRVNWDS >ORUFI07G19780.1 pep chromosome:OR_W1943:7:19726774:19728129:1 gene:ORUFI07G19780 transcript:ORUFI07G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSVNLRSCALTTRSTERNNGQNVKQTARTHAPTHAPARREGVSRQTGVCHLQRNPAGIELGADVCSAGQRETVFVGLGPRIDIDLFVATNSVLVSTLYRCRSVSSNKLGREEGEDVAFHGELEIDRCTSRGPRQGRRDSAVRDLLSLRVGSCIAVPVPVCDTNLCQYSVKAAPRVIDNWCHFALSFRRPYTVANRDGFINYVLPFSIASLGMPFIFSKL >ORUFI07G19790.1 pep chromosome:OR_W1943:7:19737882:19738691:-1 gene:ORUFI07G19790 transcript:ORUFI07G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGLSRGRRCTIASRLNASAEAAVAATSSSRGEKQKAAVARRVEYYDEEDARGEARYDVFINHRGVDTKRTVARLFYDRLVAAGVRAFLDNQSMRPGDRLDERISEAISQCGVAVAIFSPHYCDSEYCLRELALLVESRKKIIPVFYNIKPSDLVLPQAVVESVAHHPRDIERFKFALREVKNTVGLTYDTATGDLAELVTSAATAVLENIDKHEVTQGRHMIMSRL >ORUFI07G19800.1 pep chromosome:OR_W1943:7:19743684:19747632:1 gene:ORUFI07G19800 transcript:ORUFI07G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWERGRLPRKPRPSPILVPPPPASPPPPPRLLAFLLPRSLLSLAARAMPSRRPSPLLLLLLALALAFLFLLLSPSAPSASHLSSSLASAAAAVSTPASSPPAPVKIYMYDLPAKFTYGVVRSYMAARARAGAAGAASAIPDDELRYPGHQHSAEWWLFKDLRRRGPRDRPVARVDDPADADLFYVPFFSSLSLVVNPIRPSAAAANASDAAEPAYSDESTQEELLVWLERQPYWRRHQGRDHVFICQDPNALYRVVDRISNAVLLISDFGRLRSEQASLVKDVILPYAHRINSFQGDVGVESRPSLLFFMGNRYRKEGGKVRDTLFQVLENEADVIIKHGAQSRESRRMATRGMHSSKFCLHPAGDTPSACRLFDALVSLCVPVIVSDYIELPFEDVIDYRNISIFVETSKAVQPGFLTSTLRGISSQRILEYQREIKKVKHYFEYEDSNGPVNQIWHQVSSKAPLIKLLINRDKRLVERGTNGTDCSCICSTTKELLRK >ORUFI07G19810.1 pep chromosome:OR_W1943:7:19748869:19751074:1 gene:ORUFI07G19810 transcript:ORUFI07G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVWFSAIAAVLLAASTIAVVVVAKMTGKRNGGAAAAAAEAELPLPPVVSGVSLIIPVITRGPMAVADELYVKLGSVFTVSFLGVVKATFLVGPEVQGGFYSRPESEVHQGGTYRMTVPMFGRGVMYDVDVATRSEQIAEYFAKWGEQGTVDLKRELDLLILTIASRVLLGKEVRETMFADVVASFHELMDNSMHLISLCFPNLPIPRHRRRDTASARLKELFSRAIQLRRGSGRAEDDVLQRFLESRYRDGRAMSDNEITGMLIALVVAGQHMSSSASTWTGAFLLRDPKHLAAAVDEQRRLIGDDRVDYDALTTGMSTLHRCIKEALRMHPPAPALVRTVRRGFAVRTREGKEYRMPAGHSVVSYAAFNHRLGYVYRDPDEYDPERFGPERKEDRVAGKFSFTAFGGGRHACLGEHYAFLKMKVIWSYLLRNFELELVSPFPEVELNNIMLGPRGEVMVRYKRRKLTST >ORUFI07G19820.1 pep chromosome:OR_W1943:7:19752640:19754894:1 gene:ORUFI07G19820 transcript:ORUFI07G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTKLRSYVSHMVYEVEGRMQAYDSYDLSPRLVSKMMIVVTLIATRCLFGEVRSKMLGEVPTLLRELNDSMRLITIVFPYLPIPAHRRRDSARARLGEIFVEIVRSRRSSPGGTRTAAPRRRAGGGRRDARIGALRGAVHELQHQHVDRRARLLTHPEHLRAAVREQEELVLVRHRHGGDVVDHDALQRMGHLHRCVKETLRLHPPSLMLLRHARRSFVVRARGSGDAEYEVPAGHTVASPMVIHNALPHVYEDAGSFDPGRFGPAREEYRAYAADHAYTVFGGGRHACVGEALSR >ORUFI07G19830.1 pep chromosome:OR_W1943:7:19755791:19762053:-1 gene:ORUFI07G19830 transcript:ORUFI07G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSHSHPAARRRSGLGAQLCAVVAALLLLVSLAVLHSRLSSSSSSPFPLSSSGDGVSNSSLVEDEDDGAAVLDPTVTITATTTTTTTTTNAAADSTTDASSVSNPEDDPIDELDVLDEDTASGLGAADEVPASASASSLVWDHAVGVARLPFRLPAAGDPLPAGLPHLEPAHRIAAAAFGSDDEPVDLELRVEISSIAGVEDALLLKPASSAPETPLRAGWARWLEGKADYLRRDRMLRSNLEFLNPRNHPLLQDPDSPGLTSLTRGDRMVHRMLLAEIEKAASKNFERRSLQSSDIKQGMGVTEKVQQRRWGYYPGIDPHLGFNEFMEKFFEHGKCSVKVFMVWNSPQWAYGVRHQRGLESLLRQHPEACVVMLSETLELEFFQEFVKEGYKVAVALPNLDELLEGTLTHDFVSVWNEWRKTKYYPLHYSELVRLAALYKYGGIYLDSDVVVLKPLNALRNSIGVVKQVSENSSFSGAVLAFEKNSPFLAECLKEFHSTYDDELLQWNGAELMTRVIRNMSDKADDNSGHLDIKFEPSVAFYPISSTDITRYFSEADSTDERAQHDALFSRIVNDSTTFHLWNSITSSLVPEPNSLVERILNRYCLHCLDVL >ORUFI07G19830.2 pep chromosome:OR_W1943:7:19755793:19762053:-1 gene:ORUFI07G19830 transcript:ORUFI07G19830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSHSHPAARRRSGLGAQLCAVVAALLLLVSLAVLHSRLSSSSSSPFPLSSSGDGVSNSSLVEDEDDGAAVLDPTVTITATTTTTTTTTNAAADSTTDASSVSNPEDDPIDELDVLDEDTASGLGAADEVPASASASSLVWDHAVGVARLPFRLPAAGDPLPAGLPHLEPAHRIAAAAFGSDDEPVDLELRVEISSIAGVEDALLLKPASSAPETPLRAGWARWLEGKADYLRRDRMLRSNLEFLNPRNHPLLQDPDSPGLTSLTRGDRMVHRMLLAEIEKAASKNFERRSLQSSDIKQGMGVTEKVQQRRWGYYPGIDPHLGFNEFMEKFFEHGKCSVKVFMVWNSPQWAYGVRHQRGLESLLRQHPEACVVMLSETLELEFFQEFVKEGYKVAVALPNLDELLEGTLTHDFVSVWNEWRKTKYYPLHYSELVRLAALYKYGGIYLDSDVVVLKPLNALRNSIGVVKQVSENSSFSGAVLAFEKNSPFLAECLKEFHSTYDDELLQWNGAELMTRVIRNMSDKADDNSGHLDIKFEPSVAFYPISSTDITRYFSEADSTDERAQHDALFSRIVNDSTTFHLWNSITSSLVPEPNSLVERILNRYCLHCLDVL >ORUFI07G19840.1 pep chromosome:OR_W1943:7:19762809:19763849:-1 gene:ORUFI07G19840 transcript:ORUFI07G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLPLASRPPGPHRAAAAAHRAPQRTTTACCGRLKQEATPSFASLAVAASAAAERAATPLLAAAALLLSAASPGFLASTPSAFAQSEGAALFRKACIGCHDMGGNILQPGATLYMKDLERNGVATEDELYNITYYGKGRMPGFGEKCTPRGQCTFGPRLVEDDIKLLAAFVKSQAENGWPKIDGDGD >ORUFI07G19850.1 pep chromosome:OR_W1943:7:19766239:19766571:-1 gene:ORUFI07G19850 transcript:ORUFI07G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGGGGSGGRQGSEPESAAAVHVPGPCAATQRALAECHRSAARGPLRPEVLCRHLNRALAECLVTSCCPGETEAVRTLCGSAGTALKRSQCQRARIGLSLCLESHQEP >ORUFI07G19860.1 pep chromosome:OR_W1943:7:19771471:19772844:1 gene:ORUFI07G19860 transcript:ORUFI07G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGVLSRAPGADAAAMKAKRAADDEEEGGERERARGKRLAAEGKQGLVVVSTGEEEEAAAETRGLRLLSLLLRCAEAVAMDQLPEARDLLPEIAELASPFGSSPERVAAYFGDALCARVLSSYLGAYSPLALRPLAAAQSRRISGAFQAYNALSPLVKFSHFTANQAIFQALDGEDRVHVIDLDIMQGLQWPGLFHILASRPTKPRSLRITGLGASLDVLEATGRRLADFAASLGLPFEFRPIEGKIGHVADAAALLGPRHHGEATVVHWMHHCLYDVTGSDAGTVRLLKSLRPKLITIVEQDLGHSGDFLGRFVEALHYYSALFDALGDGAGAAEEEAAERHAVERQLLGAEIRNIVAVGGPKRTGEVRVERWGDELRRAGFRPVTLAGSPAAQARLLLGMYPWKGYTLVEEDGCLKLGWKDLSLLTASSWEPTDGDADADVAVAGDTHHESHDS >ORUFI07G19870.1 pep chromosome:OR_W1943:7:19775409:19775642:1 gene:ORUFI07G19870 transcript:ORUFI07G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYAVGPGIVGETGTGQYGKSSQKRMAHSHPPCGAGDLEGAESADFLAQGVHSDVFACQNQRRGQALDAGQRQKIA >ORUFI07G19880.1 pep chromosome:OR_W1943:7:19779214:19779580:1 gene:ORUFI07G19880 transcript:ORUFI07G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPAPVTAYPPPAMAPLQQPTGQAPYTAPPQGNYAPPPPPGYPGNFDVGMNPPQPAQTQSRGDKAFLEGW >ORUFI07G19890.1 pep chromosome:OR_W1943:7:19780962:19785584:-1 gene:ORUFI07G19890 transcript:ORUFI07G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSEEELEEDFPGHEWITPQSSIRAAYQSQTEKGIRKICSELLELKDAIENLCGNMQSKYHAFLRISEEVVEAEQELIELQKHVSAQGILVQDLMSGVCRELEMWQKHCKDEHVEEKDLQTELDEILSYDTQDSKVSFLDKLDTLLAEHKIEEALLALETEEKKCMATDDPGKELDAEISTYKTALSKRKSILEDQLVRYSEQPSLSITELRKSLSGLIKIGKGSLAHQVLLKAYGSRLQKNVEAFLPTCSIYTETYSATLSKIVFSAISKVSKESSSLFGDSPMNLNRIIQWAEYEIETFARLVKENSPLPESVSALRSACICIQTSLTHCSYLESYGLKFSNLLMVLLHPYVEEVLELNFRRLRRKIVDSAKNDDILLPSPQEGSRLSSSVAPNIMLTSSGKKFMSIVNDVLDQITPMTIVHFGGTILNKFVQLFDKYVEALIEVLPGASEDDHLVESKEPIEFKAESDAQQIQLIGTAYTVADELLPAAVSKFFDIQTEKKRIGGTGEGLGSGSIYSIEYKEWKRSLQHSLDKLRDHFCLQYVLSFIYLEGKSRLDARMYLELKTDDLLWECDPSPSLPFQALFVKLRQLASVAGDVLLGKEKIQKVLLSRLTETVVMWLSNEQEFWDVFEDQSIQLRPSGLQQLILDMHFVVEIAVCGRYPHRPVQQLVSVIITRAIAAFSVRNVDPQSSLPEDEWFLDMAKVAINKQLGTSGSESELEEPVVVHDEISDSEESSISSPSTIGSEDSFASANNDDLETPVYFTDPEA >ORUFI07G19900.1 pep chromosome:OR_W1943:7:19790643:19798197:1 gene:ORUFI07G19900 transcript:ORUFI07G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFGPLLLVLPLSSALLVLSAATAPRGRPSQVDVGRQLREELWERNQGHEMLRSWRDGDPCSPSPWEGFSCRWKDGNLFVVKLNFSSKKLQGPIPAAIGNLTELDEIDLQDNNFTGSIPESFFDLTHLLKLSVKCNPFLNNQLPHGLSISVEFSYGGCAYHSPPGASNQRIAVIGGVAGGSLACTFALGFFFVCFNKREKNPQKKDCSSTRNPVFEECSTHKATNSAVQQLSLKSIQNATCNFKTLIGEGGFGSVYRGTLAHGEEVAVKVRSTSSTQGTREFNNELRLLSAVRHDNLVPLIGYCCEKDQEILVYPFMSNGSLQDRLYGEASKRKVLDWPTRLSVCIGAARGLAHLHGFAGRCIIHRDVKSSNILLDHSMCGKVADFGFSKYAPQEGDSNASMEVRGTAGYLDPEYYSTQSLSTKSDVFSFGVVLLEIVTGREPLDVQRPRDEWSLVEWAKPYIREYRIEEIVDPGIKGQYCSEAMWRVLEVASACTEPFSTFRPSMEDVVRELEDALIIENNASEYMRSIESTGTLGSNRYLSIDRKMFASGSARFASFDATKGHLQTMPSLPG >ORUFI07G19910.1 pep chromosome:OR_W1943:7:19796153:19799606:-1 gene:ORUFI07G19910 transcript:ORUFI07G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFAFSLMLEMDDLEVKNDEEYQMDPIEIKDGEEHSIEMLVEQPRFLEPICPEEVNEDTRVYPRVGDEYQVEIPNLATEEERMKLRSCPVDDSGIFGFDYPVGVGLAIPVTWTQKTSDHVKKEQTGFSGRSSCSSQDECNSHVTEDIPRNVPGCKVECDEHDEKLLKSAEQDINCLQNGKASDYIPLPGMTHYSWTDEEAQTFLLGLYIFGKNLVQVTKFLQCKTMGEVLSYYYGEFFRSDSYNRWAACRKVFKTFNEGTSTFEEFILSLRSTVGARVLVEAVGIGKGKYDLTGFALDPSRNHGISTRAEIPIGKACSSLSSGDIIKFLTGDFRLSKAKSNDLFWEAVWPRLLARGWHSEQPKDSSLVGKHALVFLIPGVKKFSRKKLVRGNHYFDSVSDVLSKVASEPRLLEFGVEGSNGEGGFKIENGWIHDAELEKSTVTDKKSSCYTRPSEPGCSPELMKFTVVDTSVVQGEEPCKVRSLRNLPTDASHGYMSSPHSEDSGSDSSEEHSDSEDSSQSYEHINTNQNKTGAKYVNEKKCKPPTGDRMDIDVLQKNSTFAGTMTSTNGHMSFDQGFSLMNNACSSTATILPVGTQRVHATNSSTEINFQFDQRVTPEPQIFLAASVAKRRRLVSCKNERTGRRNTAANKRQHGKQVDTAQHDVSKSNEASAGAKPFIWGSIPNSSTTINFDMSNIHLCHKQYNVPPTDEKMVLKEKSQDKHVIDLNIPQVPSDYESAVSYIVPSSDKNTHTMDRSIHSSETNRMDDCLPDINASCNGLLSEQRRQSTRSRPPTTRALEALACGFIGTKQKGAEGNFPSSSRSSRPVRRPRRLTDGAVSFPSDGEGSSSHFTDSAIIVNEWHMTNRQYQVLDNTPTDKSTDNRTRELFGADKSADKGTREFFGADKSADKGTREFFGADKSADKGSHELFGIP >ORUFI07G19920.1 pep chromosome:OR_W1943:7:19804656:19807730:1 gene:ORUFI07G19920 transcript:ORUFI07G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELADLVVVPSQPPLAGGRRDRLAALLELAAADDVDGLRGALAEGGEEAAELADGVGLWYGRSKAYEARTPLMVAATYGSAGVVSLLVGLGGCVDVNRRPGADGATALHCAASGGSRNAVAVVKLLLAAGADPATPDSAGRFPADVILAPPASPDALGDLEVLLGRRRALAVATSVASGSSSPPLSSSPDEGNRSPSSRSSSLSPITVDRGKKEYPVDPTLPDIKSSVYASDEFRMFAFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKHPYTAVPCPNFRRPGGCPSGDSCEFSHGVFESWLHPSQYRTRLCKEGAACARRICFFAHDEDELRHVPHNSGAGLLSPRASSSIDMTAAAALGLLPGSPTRHFAPPPVSPSAGSNGGAAAAHWLQGSRLRSSFNARDAAVDDLGMLLEWESQYLGALCLPPSSRPQPRLSAGLSIRPTIAPSNLEDMYASDMAMSPRFPNDQGHSVYSPAHKSALLNKLHQQKGLLSPVNTNRMYSPRALDPSSLAHSPFGGMSPRSPRTMEPTSPLSARVGAPATQRPSVGSPRNSSAWGTVGSPMGKVDWGVDSEELVRLRRPAQPGFGEDETDVSWVQSLVSNAELNGKRGEVQGMPGTSALMNRPDLNNQGDLLDQTVIGAWLEQMHLDQK >ORUFI07G19930.1 pep chromosome:OR_W1943:7:19809126:19813385:-1 gene:ORUFI07G19930 transcript:ORUFI07G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAPAISGEVGLRLLLAPLSSTVVIRTASCAIGVGLPVYSTFRAIEKKDQKEKERWLLYWAAYGSFSIAEIFADQILSSVPFYYHVKFAILVWLQFPSNSGAKHVYRRYMRPFFLKHQAKIDRILNILSKELNKFVSSHEDEIHFIEHMAARGASTANYIINGPEQSEAVRAAIEGPNSTTTEEAGTPRNET >ORUFI07G19940.1 pep chromosome:OR_W1943:7:19815439:19817415:-1 gene:ORUFI07G19940 transcript:ORUFI07G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSLRSSDPIRSHVCPVGTGFVQNDMLASFGRDDSIKGIPSLAARHSQHRRLEVGCRASSLASFSYPELTSKPRWWWRTVACVPYLLPLHNMWSYADVIYQLHTYLQGFSLVYTFIDTMTLFPGWLLLVIFMTVYFFVVRRKWSPHFLRFHVILAILLDTGSQAVATMCTWMPSIVYQGKPMQYFWMAIAFIQIFTVLECMRCALAGMYPNVPFISHTAFIHSDLNLFR >ORUFI07G19950.1 pep chromosome:OR_W1943:7:19822819:19826092:-1 gene:ORUFI07G19950 transcript:ORUFI07G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVTPEGSGRGRKKQQQEQKQKQKEPKQQQQQQKKGKKPNPFSIEYNRSSAPSGHRLVVLREPTGRDIAARYELGGELGRGEFGVTYLCTERETGDAYACKSISKKKLRTAVDIEDVRREVDIMRHLPKHPNIVTLRDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAALVTRTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPRVSDNAKDLVKGMLNPDPRRRLNAQQVLDHPWLQNIKKAPNVNLGETVKARLQQFSVMNKFKKHALRVIAEHLSVEEVAGIKDMFEKMDLNKDNMINFDELKLGLHKLGHQMADADVQILMDAADVDGNGSLDYGEFVALSVHLRKIGNDEHLHKAFAYFDRNQSGYIEIDELRESLADDLGANHEEVINAIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRERFTSLSLKLQKDGSLQLTTTQ >ORUFI07G19960.1 pep chromosome:OR_W1943:7:19838861:19839859:-1 gene:ORUFI07G19960 transcript:ORUFI07G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASFMLAVLLAVAVAAAPARAVRCPPSDKQALMRVKQSLGNPATLSTWSLASADCCEWDHVRCDEAGRVNNVFIDGANDVRGQIPSAVAGLTALMSLSLFRLPGLSGPIPACLTALSNLQFLTISHTNVSGVIPDSLARIRSLDSVDLSHNSLTGPIPNSFSDLPNLRSLDLRSNKLTGCIPAGLVQGQFRSLILSYNQLTGPIPRDDAQDEINTVDLSHNRLTGDASFLFAAGRPIGKVDLSWNDLDFDLSKLVFPPELTYLDLSHNRIRGTVPRSLAALSTLQTLDLSYNRLCGPLPRLHGVIRHGCKPYEHNQCAGGAPLGGCHQS >ORUFI07G19970.1 pep chromosome:OR_W1943:7:19842360:19846246:-1 gene:ORUFI07G19970 transcript:ORUFI07G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRFVPFPAIAVGGASLRFLADNTRAVGGSGRRRCSDVVAFSSSEKGPGPGSGEEREPRAEEALRRLAELDSQLEGLSEPRERPPAPPLPPDPYMDRDMITGRGSKDELPEFSPTADAAMRRNERSFRVSPMGTALQACYADLGQRPRPPPIHFRPPRNPHRASRLSSPHRGMCVSPDPRMRIPSATSAHASAATPAHRAPPARDREGALVGSRQPAGARGLRAARQNRAWGICMGRGRLRCRRAVAVADAWCMQFFDRDTVGGWEQLGSGEVMIARGQLGKGRIALRGLAVIWLFWPGRAARDGRGQRATNARDGCATKCCSARPPASCWLSFCHLMNEQQY >ORUFI07G19970.2 pep chromosome:OR_W1943:7:19842360:19846246:-1 gene:ORUFI07G19970 transcript:ORUFI07G19970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRFVPFPAIAVGGASLRFLADNTRAVGGSGRRRCSDVVAFSSSEKGPGPGSGEEREPRAEEALRRLAELDSQLEGLSEPRERPPAPPLPPDPYMDRDMITGRGSKDELPEFSPTYVTFSTLALVILTIFTNVVFNLYIKPSVDGFDQPVRIERVPMVNPADQQFNADAAMRRNERSFRVSPMGTALQACYADLGQRPRPPPIHFRPPRNPHRASRLSSPHRGMCVSPDPRMRIPSATSAHASAATPAHRAPPARDREGALVGSRQPAGARGLRAARQNRAWGICMGRGRLRCRRAVAVADAWCMQFFDRDTVGGWEQLGSGEVMIARGQLGKGRIALRGLAVIWLFWPGRAARDGRGQRATNARDGCATKCCSARPPASCWLSFCHLMNEQQY >ORUFI07G19970.3 pep chromosome:OR_W1943:7:19842380:19846246:-1 gene:ORUFI07G19970 transcript:ORUFI07G19970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRFVPFPAIAVGGASLRFLADNTRAVGGSGRRRCSDVVAFSSSEKGPGPGSGEEREPRAEEALRRLAELDSQLEGLSEPRERPPAPPLPPDPYMDRDMITGRGSKDELPEFSPTHVMGVPPSAAAPGRQLVAGCHFVI >ORUFI07G19980.1 pep chromosome:OR_W1943:7:19846450:19855447:1 gene:ORUFI07G19980 transcript:ORUFI07G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSEPGRPWTVSATWAPAGGAAVEDAVSFETSADDAEAVPSAVFLERPSPDGNGDAPPCEVTAVHQFISGESMRFTGCMCEAQLGFMKYTIQLTPRIALRIIYALCVVDLQLKSHSPLLKKVCPNGVVMPQLVKSMSMKPKASIDEDSWVDVKIPELHVEKNRSKSQEPNAIGAKQETTLAHYEATAEITDASPCVSLTVRLLSLQSKTSVHIEEIYIFADPVEPTNDESETRPGNMGGSSLLAMLVPSLMQMSKSRNQKTDNKYFSDASRTQLSQGCAMAVNNSPCENVVRDAEPCSTDDLNFKSAGMESKLNAIDGDTITYEKGNHYELKDSKSILLPIQTTENTQAPLTKNQSVSNTDQPVTPLMDENPNPYSRIEGKLDTLLSKMEKMELYCSRFDDGMMRPICSIESRLQRLEQQFDVFSMEIKTLQASSARMTAPDGLSDMINPQDKTDNDGKAENSASTTNRQPGLVVRAPEFSLEESFSCDKSNENAVTLRGPSMVPRLLVKAPDFVSESEFACEKLHDGSFSPVDFALSSEKEPKTSPGLVVKVPEFPNDSDDELEEEKETEVGDHDDGHTKSDAALSESTVDSFKSKSHVSVDGALASALEALLGSTKKTPSSQSAACPASNSTAENTTDSSTCPFSSEQVDETSTNDGSAGQLSGTFVDANKVNTFISCEEADAVPQTYLSKANLKAEVNDLNNVLDLNMMAFAESTEPLCVPQLHTVEESKDVGSQANLKNNGSSSYMMQSIANTEHIIAPSQLPTVLESINDGQQLNGNRSTLSLAEFLVARNANFSKNVISEALSSNGGAEMHTFKRTSMESAKNIKDINQLLLQKALEVNEDDSAKFSVSGGMNSCCLRAFTDSKKRCIESSGLEASLNDSFTKSEVEHSLSDLCSMESFSAEPAREAVGSGGVTAGNCVDDPFTGSSTVNPTAGEELQKVCDLLYEYNDDVLGMAFVAKKTSKSSPSLEVLLAESSVSEAEISDAKDTDNDAGLSSARLFSTFSSSDDEAPRTDEPIIDVVDLPTPLDAYASSKNELVDDESLLDMDDPPIPPESYASVLSDMHHACLNDQSKPSGTFAEEGNGEYPDSLI >ORUFI07G19980.2 pep chromosome:OR_W1943:7:19846450:19855447:1 gene:ORUFI07G19980 transcript:ORUFI07G19980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSEPGRPWTVSATWAPAGGAAVEDAVSFETSADDAEAVPSAVFLERPSPDGNGDAPPCEVTVHFRGKYEIHRVYVRSTARIYEIYYTTDPKDSSKDYLCTVRCGPAVKEPLPSAEESMPQWSSDASTSEKHEHETKSVSSSIDEDSWVDVKIPELHVEKNRSKSQEPNAIGAKQETTLAHYEATAEITDASPCVSLTVRLLSLQSKTSVHIEEIYIFADPVEPTNDESETRPGNMGGSSLLAMLVPSLMQMSKSRNQKTDNKYFSDASRTQLSQGCAMAVNNSPCENVVRDAEPCSTDDLNFKSAGMESKLNAIDGDTITYEKGNHYELKDSKSILLPIQTTENTQAPLTKNQSVSNTDQPVTPLMDENPNPYSRIEGKLDTLLSKMEKMELYCSRFDDGMMRPICSIESRLQRLEQQFDVFSMEIKTLQASSARMTAPDGLSDMINPQDKTDNDGKAENSASTTNRQPGLVVRAPEFSLEESFSCDKSNENAVTLRGPSMVPRLLVKAPDFVSESEFACEKLHDGSFSPVDFALSSEKEPKTSPGLVVKVPEFPNDSDDELEEEKETEVGDHDDGHTKSDAALSESTVDSFKSKSHVSVDGALASALEALLGSTKKTPSSQSAACPASNSTAENTTDSSTCPFSSEQVDETSTNDGSAGQLSGTFVDANKVNTFISCEEADAVPQTYLSKANLKAEVNDLNNVLDLNMMAFAESTEPLCVPQLHTVEESKDVGSQANLKNNGSSSYMMQSIANTEHIIAPSQLPTVLESINDGQQLNGNRSTLSLAEFLVARNANFSKNVISEALSSNGGAEMHTFKRTSMESAKNIKDINQLLLQKALEVNEDDSAKFSVSGGMNSCCLRAFTDSKKRCIESSGLEASLNDSFTKSEVEHSLSDLCSMESFSAEPAREAVGSGGVTAGNCVDDPFTGSSTVNPTAGEELQKVCDLLYEYNDDVLGMAFVAKKTSKSSPSLEVLLAESSVSEAEISDAKDTDNDAGLSSARLFSTFSSSDDEAPRTDEPIIDVVDLPTPLDAYASSKNELVDDESLLDMDDPPIPPESYASVLSDMHHACLNDQSKPSGTFAEEGNGEYPDSLI >ORUFI07G19980.3 pep chromosome:OR_W1943:7:19846450:19852814:1 gene:ORUFI07G19980 transcript:ORUFI07G19980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSEPGRPWTVSATWAPAGGAAVEDAVSFETSADDAEAVPSAVFLERPSPDGNGDAPPCEVTVHFRGKYEIHRVYVRSTARIYEIYYTTDPKDSSKDYLCTVRCGPAVKEPLPSAEESMPQWSSDASTSEKHEHETKSVSSSIDEDSWVDVKIPELHVEKNRSKSQEPNAIGAKQETTLAHYEATAEITDASPCVSLTVRLLSLQSKTSVHIEEIYIFADPVEPTNDESETRPGNMGGSSLLAMLVPSLMQMSKSRNQKTDNKYFSDASRTQLSQGCAMAVNNSPCENVVRDAEPCSTDDLNFKSAGMESKLNAIDGDTITYEKGNHYELKDSKSILLPIQTTENTQAPLTKNQSVSNTDQPVTPLMDENPNPYSRIEGKLDTLLSKMEKMELYCSRFDDGMMRPICSIESRLQRLEQQFDVFSMEIKTLQASSARMTAPDGLSDMINPQDKTDNDGKAENSASTTNRQPGLVVRAPEFSLEESFSCDKSNENAVTLRGPSMVPRLLVKAPDFVSESEFACEKLHDGSFSPVDFALSSEKEPKTSPGLVVKVPEFPNDSDDELEEEKETEVGDHDDGHTKSDAALSESTVDSFKSKSHVSVDGALASALEALLGSTKKTPSSQSAACPASNSTAENTTDSSTCPFSSEQVDETSTNDGSAGQLSGTFVDANKVNTFISCEEADAVPQTYLSKANLKAEVNDLNNVLDLNMMAFAESTEPLCVPQLHTVEESKDVGSQANLKNNGSSSYMMQSIANTEHIIAPSQLPTVLESINDGQQLNGNRSTLSLAEFLVARNANFSKNVISEALSSNGGAEMHTFKRTSMESAKNIKDINQLLLQKALEVNEDDSAKFSVSGGMNSCCLRAFTDSKKRCIESSGLEASLNDSFTKSEVEHSLSDLCSMESFSAEPAREAVGSGGVTAGNCVDDPFTGSSTVNPTAGEELQKVCDLLYEYNDDVLGMAFVAKKTSKSSPSLEVLLAESSVSEAEISDAKDTDNDAGLSSARLFSTFSSSDDEAPRTDEPIIDVVDLPTPLDAYASSKNELVDDESLLDMDDPPIPPESYASVLSDMHHACLNDQSKPSGTFAEEGNGEYPDSLI >ORUFI07G19990.1 pep chromosome:OR_W1943:7:19853971:19855017:-1 gene:ORUFI07G19990 transcript:ORUFI07G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEQTAASGSSSSSRGADDREIVISTGREIVVRSSGGEEREEEVVVEEELEEPEFRDIHALSPPPTPTPSQPSSSYHRRRRESWESAAGSRHTSIRSVGSDTAPSELFPTMSREFSAMVAAAANANAAAAAAANGGDSSRAGVDDALGRIGEDELEETNPLAIVPDSNPIPSPRRAHLALPAPGDVSSAGGGHGDEVSVGQVKKEEVESKIAAWQIAEVAKVNNRFKREEVVINGWEGDQVEKANAWLKKYERKLEEKRAKAMEKAQNEVAKARRKAEEKRASAEAKRGTKVARVLELANFMRAVGRAPSKRSFF >ORUFI07G20000.1 pep chromosome:OR_W1943:7:19869687:19870328:-1 gene:ORUFI07G20000 transcript:ORUFI07G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEGICRQVEAGCFAHCHIGVYTVWTFVDQPVVSKHQITSVLCKGEPSRSPFRPVTSGLHAHDQERSVCAVLPHAGCRVVSWELGKLWDGQFAM >ORUFI07G20010.1 pep chromosome:OR_W1943:7:19872895:19873701:1 gene:ORUFI07G20010 transcript:ORUFI07G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADPLVAGATVSSDSDSDSDSDDLHLLLPNLLPSAATAASDAQLHHFHLPALPSPITVRTLPSLGLTFQLWPSASTLLRVLPASPLLLSRAPTPESPLGILELGSGTGAAGLALAAALPAHAVLSDLPAALPNLRHNASLNAPLLDARGGSVSVVPLPWGDAAAMEAVAAPPPASRFDLVVASDVVYYEALVDPLIETMRFFVKGEVVFVMAHMRRWKRTDKKFFAKARKLFDVEVVHEDPPLEGWRHGPVVYLFTEKKRRDKK >ORUFI07G20020.1 pep chromosome:OR_W1943:7:19874619:19878785:-1 gene:ORUFI07G20020 transcript:ORUFI07G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARMAAAVVCLPSSSSSSSACRAAPLPWSRGVVVGVRRRRTVARAARRRGRRPGRRGLVIVDEFAGQYEEGFEDVHTEIMNYFTYKATSTVLHQLYEMNPPAYTWLYNYVVVNDPKEGKHFLIALAKERQDLAERVMITRLHLYSKWIKKCDHAKMYEKISNENLEIMRQSAQARYIIVPYTSLLPSDLGCHNSIDLCQLQNRDYSQEIFTACQVVIELIPKDKLNFTESNHNGSATIQLQEDAFYNKAVKLTKDELNGKITQSVGRAIYTDPVPLWDSTTGQLASFTTRFTFKIYAPTNDSSYGEGLAFFLSSYPSVVPNNSMDGYLGLFSNSNDQSDPLNQIVAVEFDSHKNTWDPDGNHVGINIHSIVSVANVTWRSSINDGRIANAWVTYQANSRNLSVFLSYQDNPQFSGNSSLSYSVDLSKYLPDKVSIGFSASTGKFVELHQILYWEFDSTDVHLMKTEKTKGILVISLSTSGSVVVCSIGLVCFFLCFRRIRRTTRSREKEKEKLDCDESIDSEFEKGKGPRRFQYNELVVATDNFAAERKLGEGGFGAVYQGFLKDQNIEIAIKRVAKGSTQGRKEYISEVKIISRLRHRNLVQLVGWCHEHGEFLLVYEFMPNRSLDKHLYDGGNLLAWPLRFKITIGVASALLYLHEEWEQCVVHRDVKPSNVMLDSGFNAKLGDFGLARLVDHDRGSQTTVIAGTMGYMAPECVTTGKASKETDVYSFGILALEIACGRRPVVPKEDNDRISLVQWVWDLYGRNEILNAIDGRLDGEFEEREVISLMVVGLWCAHPDYNIRPSIRQVISVLKFEAPLPDLPPKMPVAMYFAPPISLCRFSQSSNGTLKELERPNSYGNTSSSSATNDSCAPPSVRLPEVGY >ORUFI07G20030.1 pep chromosome:OR_W1943:7:19882613:19885279:1 gene:ORUFI07G20030 transcript:ORUFI07G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATRFRAPTPLTSEPCPLLFPSLLPAATTGKPPPTAGAESSRRRGRIGNPPPLRSSGMGTPEFPNLGKHCSVGDCNQIDFLPFTCDRCDHNCCFNKNEIDLRQNLKLGLRMQWVFCLQHRSYTSHQCPNANQKDVTVLICPLCAKGVRLNPNEDPNITWDTHVNSDCDPSNYQKVTKKKKCPVPGCRETLTFSNTIRCKDCTKEHCLKHRFGPDHKCPGPRKPESTFPFGNMLRRSQKAESCSNSNSSSTSSSWWSSSLLTAATSFKSSAEAGMQKLSTATTQAIQKAKDGISTSSSNSGDLVEQCVQCPARFSTVGALIEHCEKSHQSNSQSSRSRVTVDVCPKCSKAFRDPVLLVEHVERDHGGTSRA >ORUFI07G20040.1 pep chromosome:OR_W1943:7:19887166:19889328:1 gene:ORUFI07G20040 transcript:ORUFI07G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRSSMIPALLLLASLSIFKLPCFSRSLSFSYDFSKPTTFNRSDIAIDGSASLNGLIELTQNADPKREGIYDTVGRGSYGHPVPLWDEATGEMSPLDGGGLLGVFTNSTGMNPSAAAPIVAVEFDTFQNEWDQSSDHIGIDVNSINSTAVKLLSDRSLSNVTEPMVASVSYNNSTRMLAVMLQMAPQDGGKRYELNSTVDLKSLLPAQVAIGFSAASGWSEERHQVLTWSFNSTLVASEERRENATRGRPAAAVLAGVVVASVVVVGASICLFVMIRRRRISRRRTREEYEMGGSDDFDMNDEFEQGTGPRRFLYSQLATATNDFSEDGKLGEGGFGSVYRGVLSEPAGVHVAVKRISKTSKQGRKEYASEVSIISRLRHRNLVQLVGWCHGRGDFLLVYELVPNGSLDAHLYGGGPTLPWPTRYEIALGLGSALLYLHSGYEKCVVHRDIKPSNIMLDSAFAAKLGDFGLAKLVDHGDASQTTAVLAGTMGYMDPEYAASGKASTASDVYSFGIVLLEMCCGRRPVLLQEQSIRSRLLEWVWDLHGRGSILEAADERLRGGELELDAKQVECVMVVGLWCAHPDRGVRPSIKQALAALQFEAPLPALPPTMPVPTYSSSPSLALYCDAAAASSSSSSAGFSSSTSGERSSTSSSAATAESSWLLKHNNRGSEKIVLGNN >ORUFI07G20050.1 pep chromosome:OR_W1943:7:19891197:19906663:-1 gene:ORUFI07G20050 transcript:ORUFI07G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRPARETTTDAPAEPSSDGAVAAAPSAAAAAEGDAEITRPRNDKRGYRRVVLPNALECLLVSDPDTDKVSVLSLAPSIGDVPGVLAAASMNVSVGYFCDPERLPGLAHFLEHMLFYASEKYPVEDDYSKYIAEHGGSTNAFTCRERTNFYFDVNNSCLDDALDRFAQFFIKPLISPDATLREINAVDSENKKNLLSDPLRMSQLQKHFCSESHPYHKFSTESLDNLQNLVENKFSDVRNTGRESFSFHGHPCSSEHLQIIVKAVPIKEGHTLRIQWPITPNIQHYKEGPCKYVSRLVGHEGEGSLFYVLKNLGWAMSLYAWEGDWSYEFSFFNVVIQLTDVGYEHMEDIIGLLFRYIALLQTSGTRQWIFDELVAISEMGFHYRDKSPPIHYVVNISSNMQIFPPEDWLIASSVPSKFSPDAIQNILNDLTPDNVRIFWESKKFEGQTNLTEPWYGTSYSVEAVTPSIIQKWVNMAPMEDLHIPKPNIFIPSDLSLKNVEEKGSFPCMLRKTMFSRVWYKPDTMFFTPKAYVKMDFHCPLSNSSPESTVLTDMFTRLIMDYLNDFAYDAQIAGLYYFIRPSDTGFQITMVGYNDKMRTLLDTVIGKIAEFEVKVDRFAVIKETIIKDYENFKFRQPYEQAFYYCSLILEEQSWAWDEKLAAVSHIEASDLQIFLPRLLGKTFIECYFAGNMEPGEAKSVIQHVEDTLFNAPISFCKALPPSQHLTKRIVKLERGWRYYYPALCLNHQDEKNSSILHYIQIHQDDLKQNVLLQLLALVAKQPAFHQLRSVEQLGYITVLTQRNDSGVRGLQFIIQSTVKDPSNLDDRVEAFLNMFEGTLYQMPDEEFKSNVNALIDMKLEKYKNIREESAFFWKEISEGTLKFDRKEAEVAALRDLNKEELIEFFNNHVKVNAPQKKILSIQVYGGLHSSEYEKIVHDEPQPHSYQITDIFSFRRSRPLYGSFKGGVGQMKL >ORUFI07G20060.1 pep chromosome:OR_W1943:7:19907727:19943070:-1 gene:ORUFI07G20060 transcript:ORUFI07G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWLIRPKPISPPLSAAKTPSCSCQANSSSSALRPPGARADSVSVSPVHARGGESAAAMDSRPREAPAPAEPSGGAAPAAANGEVEITKPRNDKRGYRRVVLPNALECLVISDPDTDKAAASMNVSVGYFCDPEGLPGLAHFLEHMLFYASEKYPIEDSYSKYIAEHGGSRNAFTSREHTNFFFDVNNDCLDDALDRFAQFFINPLMSPDAILREVNAVDSENQKNLLTDILRMSQLQKHICLESHPYHKFSTGNRNTLLVNPNKEGLDILEELITFYSSHYSANLMQLVVYGKESLDNLQTLVENKFSDVRNTGRKRFSFYGHPCSSEHLQVLVKAVPIKQGHTLRILWPITPNIQHYKEGPCKYVSHLVGHEGKGSLFYVLKKLGWAMSLRAGEGDWSSVFSFFSVVIRLTDVGHEHMEDIIGLLFRYITLLQTSGTPKWIFDELLTIRETGFHYRDKSPPSQYVVNISSNMQIFPPEDWLIASSVPSKFSPDAIQSILNDLTPDKVRIFWESKKFEGQTNLTEPWYGTSYSVEAVPPSIIQNWVNRAPMEDLHIPKPNIFIPSDLSLKNVEEKGSFPCMLRKTLFCIVWYKPDTMFFTPKAYIKMYFHCPLSRSSPESIVLTDMFTRLLMDYLNDYAYDAQVAGLYYAVKPNDTGFQITMVGYNDKMRTLLETVIGKIAAFEVKVDRFVVIKETITKAYENFKFQQPHQQASYYCSLILEEQKWTWDEKLAAISHTEARNMEPGEVKGVIQHVEDILFNAPVSLCKALPSSQHLTKRIVKLERGLRYYYPALCLNQQDENSSLLHYIQIHQDDLKQNVLLQLLALVAKQPAFHQLRSVEQLGYITWLKQRNDSGVRGLQFTIQSTVKDPANLDARVEAFLKMFEGTLYQMPDIEFKSDVNALINMKLEKYKNIREESAFFWREISEGTLKFDRKEAEVAALRDLKKEELIEFFDNHVKVNAPRKKILSIQVYGRLHTNEYEKEVETFIWFIQRRSTAPTSLAVSPCQSQSQTQPNRNRPEASRTQPVHAIGGESAAADAMDSRPPETDAPAAAAAGDVEITRPRNDKRGYRRVVLPNDLECLLVSDPDTDKAAASMNVSVGYFCDPEGLEGLAHFLEHMLFYASEKYPIEDSYSKYITEHGGSTNAFTTCEHTNFFFDVNHDCLNDALDRFAQFFIKPLLSADATLREIKAVDSENQKNLLSDPWRMNQLQNHISLESHPYHKFGTGNWDTLEVKPKEKGLDTRLELIKFYDSHYSANLMQLVRVLTIFKLLLKISFVVSGILEGNDFPFLVIRVQVLVKAVPIKQGHTLRILWPITPNIRHYKEGPCKYVSHLIGHEGEGSLFYVLKKLGWAMSLEAGEGDWSYEFSFFSVVIKLTDVGHEHMEDIVGLLFRYITLLQTSGTLKWIFDELQTICETGFHYRDKGPPIHYVANISSNMQIYPPEDWLIASSVPSKFSPDAIQGILNELTPDNVRIFWESKKFEGQTNLTEPWYGTSYSVEAVPPSIIQKWVEKAPVEDLHMPKPNIFLPSDLSLKNAEEKASFPCMLRKTLFSRVWYKPDTMFFTPKAYIKMDFHCPLSRSSPESSVLTDVFTRLLMDYLNDYAYDAQVAGLYYGVRPNDTGFQITMVGYNDKMRTLLETVIGKIAEFEVKADRFSVIKETITKEYENFKFRQPYQQAFYYCSLILEEQTWAWDEELAAVSQIEASDLENLCKALPSSQHLTKRIVKLERGLRYYYPALCLNHQDENSCLLHYIQIHQDDLKKNVILQLLALVAKQPAFHQLRSVEQLGYITLLRQKNDSGVRGLQFIIQSTVKDPANLDARVEAFLTMFEGTLYQMPDTEFKSNVNALIDMKLEKYKNIREESAFFWGEISEGTLKFDRKEVEVAALRDLKKEELIEFFNNHVKVNAPQKKILSIQVYGGLHSSEYEKIVHDEPRPNSYQITDIFNFRRSRPLYGSYKGGVGQMKL >ORUFI07G20070.1 pep chromosome:OR_W1943:7:19948784:19953295:-1 gene:ORUFI07G20070 transcript:ORUFI07G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAAASRVSSAMAVAAAALVVMWAGTAAAAAAAVYEVGDKTGWTIMGNPNYTAWAASKKFHLGDTVVFTYNKQFHNVMAVSKSDYKNCNTSKPIATWSTGNDSVVLNATGHHYFLGGGLGWRAPCEL >ORUFI07G20080.1 pep chromosome:OR_W1943:7:19954606:19960143:1 gene:ORUFI07G20080 transcript:ORUFI07G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHAVSPAAAAAPPLALSSAARVPQRPESRQLWWWVLRAIGHPECLPVNEPSFYQWLCSSRIRIREHLRRGFDTVVTLVAWTIWKERNGRIFNQQQRTWVDIVKGMAAEATLWRQANQAIPALIFRREFVLRHATIEEIEAEKSVIEDQARERMEKAIETVQNNFNTVRTGRANPAMLDRIEVEYYGTPVNLKSIAQINTPDATSLLIQPYDKSSLKLIEKTIVAANLGVTPSNDGEVIRVTVPPLTSDRRKELAKTVAKLAEEGKVAIRNIRRDAIKAYDKLEKKVTDEYMKKIEAIQKQKEQELMKI >ORUFI07G20080.2 pep chromosome:OR_W1943:7:19954606:19960143:1 gene:ORUFI07G20080 transcript:ORUFI07G20080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHAVSPAAAAAPPLALSSAARVPQRPVLRHATIEEIEAEKSVIEDQARERMEKAIETVQNNFNTVRTGRANPAMLDRIEVEYYGTPVNLKSIAQINTPDATSLLIQPYDKSSLKLIEKTIVAANLGVTPSNDGEVIRVTVPPLTSDRRKELAKTVAKLAEEGKVAIRNIRRDAIKAYDKLEKKVTDEYMKKIEAIQKQKEQELMKI >ORUFI07G20090.1 pep chromosome:OR_W1943:7:19967280:19967756:-1 gene:ORUFI07G20090 transcript:ORUFI07G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGVISLAATRRHRLSFPSPLMESGARVEVADGKQWPSLSSLPRADIAPPPSPTLVPALSCAALAPSWPNWDGARRPRRIEEEAATPGEEVAQVVTSIVSAARLHLPLAADAHLSPSPLSPPFSLSLPLMATARAMRRDNAAAGATLLPVADNA >ORUFI07G20100.1 pep chromosome:OR_W1943:7:19972549:19978648:-1 gene:ORUFI07G20100 transcript:ORUFI07G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKGGSNPKSPIVWKAADEMIGVPVPRKARSASTKRSSHEWSVPGGGSSGGGGSGSVAGDTSQIQRPSSRPISPASGSTTGPARKKLKHLGGSGSSGASGQAPKQRPSPASAPSTAPPQPPPPKISKSPSFIQEEIEVAEVLFGLTRQFPCPSKPVESNHKLEVRDAPEAKSGNSSPAPSSSVARPSDSTSVATIAPKRKRPRLVKYDDDVRPASPAKPDLAEPSSRPETLPASRSDAKASVSAATDSGTTTATAGAQHEAMREQEKREDHRSRDSELRPGESDRRDHRPESRAEPTPPAQPSGKPDGESAAVVSEARNGEATAATKIELASDGARQEKFCIDLMAPPPGKSPDRDGASDPDVDKKGLDSEMDMAARGNSEKKDAERPRRGLEINLEDDKMVQRMPADEVAPKKLTLQLDLEKPSLGDEKSPSERRPQPALQQQKPKNEIKHEKSAMPAVTPPMPIPVGSWLGSFPPFGYLGPVPALSAAGLHHPMDVKPGSSAGLQVQPASDSKDAELLDTGDGSSGRGPPPPASRSAAPFFGPRPFNMGVVPPAEAASLLVNPMQGSYPVRAHAPLQEAKAPSMATSPFQGSLSKDKAAMNNAAVAESSQRKQPPAHEAQQPGPVPNMLQGPAFIFPFNQQQAAVVAAAANAASRVGDAKPSVGSNTMPPSAAAHNSSANPGAAAMNLSFANLPPADAQLFAILQNGGYPFQVAAHAGGPPAAYRGMAPPGPAVPFFNGHVYPSHMLHPSQQQGASPQNLQKNPMSNMSASSQKHQPQQSQGLLGYAPNANAAAAASNSQSYSSGNQRPVLLPGLAHRQDSEKTVQDGPSGDDKSSHPQKGGYDHNYAVPVHLPNFALMPPTSAAGGGGQSDKKLSDHHPHQLPPASRGQGVRIDLASSPSFVVPFGSVGAPGSAPGGLDFSSLGPNHQLFQSHQEAGRHGYPQLNFATAQSVQAGQHKPQRQVSGETKSVAGDSSTHNTVDSERKKSAAAKYPGDSQQHSLSFSRQDNKSYVPPFLSGSTNDSSSRTLSLIGSEPSNAFSLGGKSANTSAPAATSAAAPSPSSIPQQQQQQQQQQHFLQLHKQQQQLIQHHHMNSRPRSAAPSNTGGYSDRLNMPSFQGMMYPSSAAQVGVPSQSPQLKPSSARATGAPAGVASPGASPSNLIVMKSGLHQQQAKAPMQSLSTSNHQPQSSSKMGPVTNMSTGGGDLSRSSNAPVASGSPSNSVSKSTGGSPPASGSAKGVPPAVQLPSPQQQSAKNPASTSGAKSAPTNHYSSMPMPSILGQQPNVPPGSNSGSKQQSHMMKQQPFPQGGHFFISNAYTPQAPGAAGGVALGLYQKRSADKTQQQQQPHQQSAMSAAASNNMKTHHPPAGSFMHLAAASQSPSGVPHSHMSAAQLTFGAPMSMSVKPSSDQKPAAGRYSGLAS >ORUFI07G20100.2 pep chromosome:OR_W1943:7:19972549:19978648:-1 gene:ORUFI07G20100 transcript:ORUFI07G20100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKGGSNPKSPIVWKAADEMIGVPVPRKARSASTKRSSHEWSVPGGGSSGGGGSGSVAGDTSQIQRPSSRPISPASGSTTGPARKKLKHLGGSGSSGASGQAPKQRPSPASAPSTAPPQPPPPKISKSPSFIQEEIEVAEVLFGLTRQFPCPSKPVESNHKLEVRDAPEAKSGNSSPAPSSSVARPSDSTSVATIAPKRKRPRLVKYDDDVRPASPAKPDLAEPSSRPETLPASRSDAKASVSAATDSGTTTATAGAQHEAMREQEKREDHRSRDSELRPGESDRRDHRPESRAEPTPPAQPSGKPDGESAAVVSEARNGEATAATKIELASDGARQEKFCIDLMAPPPGKSPDRDGASDPDVDKKGLDSEMDMAARGNSEKKDAERPRRGLEINLEDDKMVQRMPADEVAPKKLTLQLDLEKPSLGDEKSPSERRPQPALQQQKPKNEIKHEKSAMPAVTPPMPIPVGSWLGSFPPFGYLGPVPALSAAGLHHPMDVKPGSSAGLQVQPASDSKDAELLDTGDGSSGRGPPPPASRSAAPFFGPRPFNMGVVPPAEAASLLVNPMQGSYPVRAHAPLQEAKAPSMATSPFQGSLSKDKAAMNNAAVAESSQRKQPPAHEAQQPGPVPNMLQGPAFIFPFNQQQAAVVAAAANAASRVGDAKPSVGSNTMPPSAAAHNSSANPGAAAMNLSFANLPPADAQLFAILQNGGYPFQVAAHAGGPPAAYRGMAPPGPAVPFFNGHVYPSHMLHPSQQQGASPQNLQKNPMSNMSASSQKHQPQQSQGLLGYAPNANAAAAASNSQSYSSGNQRPVLLPGLAHRQDSEKTVQDGPSGDDKSSHPQKGGYDHNYAVPVHLPNFALMPPTSAAGGGGQSDKKLSDHHPHQLPPASRGQGVRIDLASSPSFVVPFGSVGAPGSAPGGLDFSSLGPNHQLFQSHQEAGRHGYPQLNFATAQSVQAGQHKPQRQVSGETKSVAGDSSTHNTVDSERKKSAAAKYPGDSQQHSLSFSRQDNKSYVPPFLSGSTNDSSSRTLSLIGSEPSNAFSLGGKSANTSAPAATSAAAPSPSSIPQQQQQQQQQQHFLQLHKQQQQLIQHHHMNSRPRSAAPSNTGGYSDRLNMPSFQGMMYPSSAAQVGVPSQSPQLKPSSARATGAPAGVASPGASPSNLIVMKSGLHQQQAKAPMQSLSTSNHQPQSSSKMGPVTNMSTGGGDLSRSSNAPVASGSPSNSVSKSTGGSPPASGSAKGVPPAVQLPSPQQQSAKNPASTSGAKSAPTNHYSSMPMPSILGQQPNVPPGSNSGSKQQSHMMKQQPFPQGGHFFISNAYTPQAPGAAGGVALGLYQKRSADKTQQQQQPHQQSAMSGSSTMLSLCSTSMSISTTAMLTDPVNVLAAAASNNMKTHHPPAGSFMHLAAASQSPSGVPHSHMSAAQLTFGAPMSMSVKPSSDQKPAAGRYSGLAS >ORUFI07G20110.1 pep chromosome:OR_W1943:7:19992828:19996995:-1 gene:ORUFI07G20110 transcript:ORUFI07G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAADDAAAGEDQALSDLREKHRIDLERLTLTSQPLRTLALFALAIGQSIKSTCLCVLKDSARLKFLVLLVASACTPLLLTNGPHEKHVQELLWYIRFGLWWVILGVASSIGLGSGLHTFVLYLGPHIALFTIKAVHCGRTDLKSAPYDTILLKMRPSWLEKDCLEFGPPMYQETIPFSKILHEVHLEAVLWGIGTALGELPPYFLSRAGRKLDELEELDASVSGEGFLSSTLHRAKRWLMSHSQYLNFPTILLLASVPNPLFDLAGILCGQFNIPFWKFFLATLIGKAVIKTTLVITLCNNQLLDLVEKRIMWVFGNVPMVSSVLPSLVAKLKTAKSKFLSASVAASASSVVKETKWNLSFSLIWNTVVWLLIMNFIVQIITSTAQSYLKRQQELEIRKKLSATIQTVSETTGLSN >ORUFI07G20110.2 pep chromosome:OR_W1943:7:19992828:19996923:-1 gene:ORUFI07G20110 transcript:ORUFI07G20110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAADDAAAGEDQALSDLREKHRIDLERLTLTSQPLRTLALFALAIGQSIKSTCLCVLKDSARLKFLVLLVASACTPLLLTNGPHEKHVQELLWYIRFGLWWVILGVASSIGLGSGLHTFVLYLGPHIALFTIKAVHCGRTDLKSAPYDTILLKMRPSWLEKDCLEFGPPMYQETIPFSKILHEVHLEAVLWGIGTALGELPPYFLSRAGRKLDELEELDASVSGEGFLSSTLHRAKRWLMSHSQYLNFPTILLLASVPNPLFDLAGILCGQFNIPFWKFFLATLIGKAVIKTTLVITLCNNQLLDLVEKRIMWVFGNVPMVSSVLPSLVAKLKTAKSKFLSASVAASASSVVKETKWNLSFSLIWNTVVWLLIMNFIVQIITSTAQSYLKRQQELEIRKKLSATIQTVSETTGLSN >ORUFI07G20120.1 pep chromosome:OR_W1943:7:19999484:20001632:-1 gene:ORUFI07G20120 transcript:ORUFI07G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRFPAATFPRLPPPRPPSRPAFAAALAAAAAAAAAAAASLTLTAKSAGRPPLPHPAPLWASISLADGAAPGSVEPRTGAAFPAETSGGRRLLGVGLRRTTILGLKSIDVYAFGVYADDHDLRQLREKYQKLPVSQLKENAELINDALERDIRMTVRLQIVYGRLSIRSVRSAFEKSVGSRLLKFGGSDTHELLQSFVSLFKDEYKLPKGSVIELSRESSHVLKISIEGEELGSIQSKLLCKSILDLYIGDDPFDKNAKESVQENMASILKN >ORUFI07G20130.1 pep chromosome:OR_W1943:7:20004439:20008101:-1 gene:ORUFI07G20130 transcript:ORUFI07G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDLGSQQFNTIQPSTFPLSVACAAMAGGDAEEEEEEEEETYTTDDALTRAGFGRFQALVLAYACVGWVAEAMEVMLLSFVGPSVKAEWGVSGAAEGLVSSVVFAGMLIGACLGGLISDRYGRRIGFLSTAVVTGIFGLLSAFSPNYASLLVLRFVVGLGLGAGHVLSTWFIEFVPAAKRGTWMVVFHCSWTVGTILEALLAWAVMPVLGWRWLLALSSAPCFILFIFFPVTPESPRYLCSVGRTMDARVILEKIARMNNSSLPPGILTYASTRRIDKVLDDSETALLITEDGGSGIDEHTSSKPGGITALRESWSYDLIRSTFLLWFVYLANYFAYYGVILLTSELSNGQRRCASVGINFMQPKDANLYRDVLVTSLAGLVLAALLVDRIGRKVSLGIMLLLSCAFLAPLAVHLGQGSVTTLLFCARTCIMGGFAVLYVYTPEIYPASSRNTGVGITSSFGRIGSIVSPVVTVSLSENCRQKEAVFFMDLMLFLAAVACALIPLETKGRQIQ >ORUFI07G20140.1 pep chromosome:OR_W1943:7:20009381:20013703:1 gene:ORUFI07G20140 transcript:ORUFI07G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKSFVCPSVMSRSSMSSITPDLSTNLRRASRSASSSPNSVTGSRRMRRRRTTSCRGRSSSSIRRSSERSASSCAVFFPARPGGTDAAAADEEELGLGFAAEDWAGSALGLLGSEPAAEAEEVAGGALERSWRCSSWRRFWSCFCSSSDRRTKLFPPKSAVAAFRFFSSSLFRRSIAPATRRANPELSLSSAFSPPLPGERRRRRG >ORUFI07G20150.1 pep chromosome:OR_W1943:7:20011047:20013607:-1 gene:ORUFI07G20150 transcript:ORUFI07G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKRELEKKRKAATADFGGKSFVRRSELEQKQLQKRRHEEHRQLLSKAPPATSSASAAGSDPSNPNADPAQSSAANPNPNSSSSAAAASVPPGLAGKKTAQDEALLSEERRIDELDLPRHEVVRRLRILREPVTLFGEDEADRLARLKFVLKSGVIDDIDDLDMTEGQTNDFLRDMVELRKRQRAGRDTYVKGKGKRAGGGDGGEGGAGGDNADDGDGDGRRSGDDADADKDSKRMKTKFEELCDEDKILVFFKKLLIEWNQELDEMPELEKRTAKGKSMVATFKQCARYLSPLFEFCRKQVLPDDIRQALLVIVECCMKRDYLAAMDQYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSIKRLMTLCQRRYPALPSKSVEFNSLANGSDLQALLSEEKDPGNPPSEDRLRLMPASKE >ORUFI07G20160.1 pep chromosome:OR_W1943:7:20014672:20017755:1 gene:ORUFI07G20160 transcript:ORUFI07G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQQQAPAPPYRPYRQVRAATPHSRAVSCVRFSPCGRLLATASLDGTVALLSPSSLAAIATLRGHADGVSDISWSTDSFYLCSASDDRTLRIWDVRPVLAGLNPGSGGGGGGGAQPADPNADRCIRVLKGHTNFVFSANFNPQTNSTVASGGFDCTVRIWDVKSGRCVRAIDAHSEPVTSVHFIRDGSIIVSGSHDGTCKIWDAGTGSCLKTVIDEKKPAVSFSMFSPNGKFILVAALDDTLKLCNFASGKFLKMYSGHVNRKYCLQSAFSVTNGKYIVSGSEDNCVYIWDLQGKNILQKLEGHTDTVISVSCHPTENKIASGGLDNDRTVRLWLQDG >ORUFI07G20170.1 pep chromosome:OR_W1943:7:20018665:20023467:1 gene:ORUFI07G20170 transcript:ORUFI07G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRAMLPLPLLLAALAVAAAAAGAPRPHPLDPLSAAELTAVRAAVLASPLVPARPLTFHYVGLDEPDKPDVLSYAYGGGGGASRHALPRSAFVIARAGGESHELRVDVANASSPSVLSHAVHRGAGFPTLTLEEQFAAGALPPKHPPFVESVRRRGVDMDDVLCAVFPVGWFGGDGEPRRSRVAKVLCFVAGATANFYARPLEGVTLVVDLDRMAIVGYRDRVAYPVPKAEGTDYRAGKAGPPYAGPAPAPGVVVQPEGRGFHIDGHVVRWANWEFHVGFDMRAGTVISLASVHDADADAGGARRRRQVLYRGFVSEIFVPYMDPVEEWYYRTFLDAGEYGLGLWAFPLQPGGDCPANAAYLDGHYSGQDGRPVEARNMICVFERYSGDVAWRHTEAGFPNQLVIFDHEQKALRLRGRRRVSNSQSLADSVRPDVSLVARMVVSAGNYDYILDWEFKTSGSIKLVVSLTGLLEVKATAYAHADEVAQDAHGTLVAENTIAVYHDHYVTYHLDLDVDGTNNSFVKNIVTAVVRDADADADADADAAADTPRRSYWTVRREVAEREADGQVDLGGGAPADLVFVNPGKKTRMGNEVGYRVVPGGATAASVLADDDFPQRRAAYCKKQVRVTPYSRSEKWASGLYADQSTGGDGLAAWSERDRAIRGEDIVLWYTVGLHHVPYQEDFPVMPTLSGGLELRPSNFFERNPLLTTMPIGHGRPLVNCSCAGGDSISR >ORUFI07G20180.1 pep chromosome:OR_W1943:7:20028307:20029773:1 gene:ORUFI07G20180 transcript:ORUFI07G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLLLLMALGGRGRSTFACGAVGWVVILLGAAGQCPLLIAEAPAAPFFAGGVLDFVGGKDSSRQSEQADVLNPDSNRGEQPARSVRVTKAAKEKVGVQLATVAIVTMRDFASCLSQSGVQVAHSSSPGGQNMVQCTYLARLRGKSCSVTVTWSKMTMGQALSVAVDDSSNRCLCKAEIKPWLFSKRKGSKAMEVDGGALDIVWDLSSAKFAAGPEPVEGFYVALVCDLEAVLVLGDMRKDGDHRVSSDVLASNAVMIARKEHVYGKKVYSAKARFLDIGQLHHITIECDTSGLKDPSLEIRIGKKRVMQVKRLAWKFRGNQTVYVDGLPVEVLWDVHDWLFGSSNGCAVFLFQSGQSMEKLLSRSCSQNEKELQAHRFGFTLILNAWKTE >ORUFI07G20190.1 pep chromosome:OR_W1943:7:20031690:20033517:1 gene:ORUFI07G20190 transcript:ORUFI07G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKVNSGCRGRRVVVAGTMGWLQSLLAPLKKLWIRMHSAQRKKRGIYILYEDVKSCPCEDVQILWSILVESSHGGGHHHHHPPPLRLKQ >ORUFI07G20200.1 pep chromosome:OR_W1943:7:20034069:20035771:1 gene:ORUFI07G20200 transcript:ORUFI07G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPEGLLLLFRKDAPHGVRLLNPLTRHLTELPPFATVLTPEQRNDPHQGLRGEDFRVRGVAIADSSSTSTSTVVAYLKSPTTLAVAKPGDERCTKIDFDHRLMLYSTVPFAGRIYHATAIGIMTPETTTSSDQPPRMLTAVDTTIDPPFSFCSMSDSLHLVDNGGRELILVYRTVSHDEFRREYAVYRMDLDSRLLIPARSLSGRAVFIGLSRSVSISPSTFPSVTGDTVYLGFDCGERTKISGYHVGDGSIEHSQLIKHASWFKPSTLVDCLSWCIKSNGKQLG >ORUFI07G20210.1 pep chromosome:OR_W1943:7:20036019:20036907:1 gene:ORUFI07G20210 transcript:ORUFI07G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFINIYMNVDNARKTYILKRRKYFVLKDFRLALTGAPLPRLRLNAPPHYRGLPLAVASDLARPPPPPPPYGFDSGFVAVSSIYFTGDGVGMPTATTGLGVDGELELRCSMGTATMCSL >ORUFI07G20220.1 pep chromosome:OR_W1943:7:20037470:20041810:1 gene:ORUFI07G20220 transcript:ORUFI07G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDPSVSDEDDDLETLVPQNHAKPPSSSFHVAGLRAAVPPSAASLGRVLWSRRYLLLFVALPVLFLVLFVSLGGASSLRLPASIRLPSAAAPAADPAASRMRDAELRALYLLRSQRSGLLALFNRTAAPTNGSSSGSNAVSYADLHDALLGQIKINREIQAALLSAHRTGAAGNATEDGLDLDLPADGCRRRELPSNRRTIEWNPKKDRFLFAICVSGQMSNHLICLEKHMFFAALLGRILVVPSQKLDYQYDRVLDINHINDCIGRKVVITYEEFTEKRKKVSIDQFICYAASPPCFIDEDHVKKLKGLGISLGKIQAAWPEDAKLKEPKKRFVEDIMPKFTTDAEVLAIGDMFYADVEEEWVMQPGGPLAHKCNTLIQPSRLIMLTAQRFVQTFLGGNYIALHFRRHGFLKFCNVKKESCFFPIPQAAECILRIVEKANAPVIYLSTDAAESETSLLQSLVVFNDRQVPLVKRPEHHNSEKWDALLYRNHMGGDNQVEAMLDKTICALSNVFIGASGSTFTEDILRLRRGWGSASHCDEYLCQGELPNFIAELD >ORUFI07G20230.1 pep chromosome:OR_W1943:7:20065605:20069322:1 gene:ORUFI07G20230 transcript:ORUFI07G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPKANAAAAGDLPEYAEVDPTGRYGRYNDVLGKGASKTVYRAFDEYQGMEVAWNQVKLHDFLQSPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDVSRRNINFITEMFTSGTLRQYRQKHMRVNIWAVKHWCRQILSGLLYLHSHDPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPVQIYKKVISGTKPEALYKVKDPMVRQFVEKCLATASRRLSARELLKDPFLQVDDLVFCPGDGDYSLMDYLRQPYLEHAYSNVSMMSNGLSESIDEDTPTEDRWDCEDDDIKADGIDLFNGHEDEPLGNVDITIKGRKSEDGSIFLRLRIADNDGHVRNIYFPFDIEADTALSVATEMVAELDITDHEVTRIAEMIDGEVSALVPDWRPGPGIEESQDTTYCHNCGSNVSSCGSLYAYMSSAARGCQCAELHGRFEEITFQANGEQTDLQDSGGSSDDGGGQTQHVKDQEAVHSNGFVQMGRRGPPDQFCFSSFQEQSCSPRHYEYDTSLQAKGFDMKHEVKMAKYKARKMAHLRRAIHPSLDFDNLNGERRMKSSLNKLQSFHIGKNHNFRIPTCERSPGARDAEEDPDIFNLAYHSRHPDPGAQRARHCEVDAQSSPDLMFTARSYYTGAQLPTNLPRTKSVTLNAVDA >ORUFI07G20240.1 pep chromosome:OR_W1943:7:20072923:20073378:1 gene:ORUFI07G20240 transcript:ORUFI07G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSSGKGMSCSVLPYRRAAPAWVKTSASEVEEMIVRAAKKGQLPSQIGAILRDAHAVPLAQGVTGGKILRVLKSRGLAPEVPEDLYFLIKKAVAMRKHLERNRKDKDTKFRLILVESRVHRLTRYYRLAKKIPAFFKYDSTTASTLVA >ORUFI07G20250.1 pep chromosome:OR_W1943:7:20076267:20076626:1 gene:ORUFI07G20250 transcript:ORUFI07G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVQYQAAAAATAMACEEEFIPQGLISCFGRSLSRASSGRHLEYCSRDVSGGGEDSRRMAQERSARAKLRWKAVAQEIMARRSGGGGGGSGRRRKTAFSYDSKSYALNFDDQAAGAE >ORUFI07G20260.1 pep chromosome:OR_W1943:7:20080258:20084672:1 gene:ORUFI07G20260 transcript:ORUFI07G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLPFHHHHPAASSTAAHHAARLTPPPPPRDPRATARWVPPAAAPARSRSPANLGLPPHPPRRLRLRLAPPRITAAVTGGPRRPRRRAPPPLECAGGSSSSLRRPREEEEEEEEEEERSSTAHAGVSLVGENKVLQMSSTVPKASNIFWHDCAVGQADRQKLLKQKGCVVWITGLSGSGKSTLACTLDRELHTRGKLSYVLDGDNLRHGLNKDLGFKAEDRAENIRRVGEVAKLFADAGLVCIASFISPYRRDRESCRALLSDGSFIEVFLNMPLELCESRDPKGLYKLARAGKIKGFTGIDDPYESPLNSEIEIKEVDGVCPSPSDMAGQVVTYLEEKGFLHD >ORUFI07G20270.1 pep chromosome:OR_W1943:7:20085787:20086179:1 gene:ORUFI07G20270 transcript:ORUFI07G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSVAWVAAAARVPAELCQGQGGARGRRRRLRADEVLRALLVAPVRELERLADWLFVFFCLPLPDYYVPGSGRGGLLVARAPSSPSGGALLHYGGRYRRPLSLLLPSSSSSSSSSSMSSSEEYYYYSDD >ORUFI07G20280.1 pep chromosome:OR_W1943:7:20089610:20093872:1 gene:ORUFI07G20280 transcript:ORUFI07G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGDYASSAPPAAGHYYPHQFAPNPPPHPASSAADAAPPTIPASYASAPPYSVGGYSDQPPSAPSYAPPPPPPQYAGYAPPYNNPNPAPYPPESSPAPAPYYSYPPTAAGAATQHAPAAEPSPAPLPYDAPYYGGYQPPPTAGYGDDDYLNEGAYAYSGDGGSEPYGARGTAPTRSGAAMFDDYGRSIGPSSGGADQWPTGGGGGVGGSFGKIARAVPKAESHEDANGGAQKFRVKLLPEGAGSPTDVLCQIGLDGIRMLDPSTSRTLRIYPLDTLTRWDVLDSTVFAFWAKTPVDFEAKRIRLKSNSYTSNTLLDTVTAATVQFKEIGGDARGRGTVDSSKPMSQSNEKKKGFDWMFAKPVDEVKDHWVPDEAAKKCYSCAVDFSPFNRRHHCRNCGEIFCDKCSQGRTALTAEDNAPLVRVCDRCMAEVSQRLSIAQEAANRSATVQSHEDLARKLKEEMERNRKSSGSASGGSSGTRMREVACPTCTVHLQVQVPTSGSETVECGVCQHAFRVSAN >ORUFI07G20290.1 pep chromosome:OR_W1943:7:20098222:20102841:1 gene:ORUFI07G20290 transcript:ORUFI07G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARRGCLVALLAVLFLACAAEGGAAAASAAQQQQQLRRRRHLLRRQRQVHSHLRRLNKAPLASIESPDGDIIDCVHISNQPAFDHPFLKNHTIQMRPDYHPEGLYDESKVASQQNTQTITQMWHKNGVCPENTIPIRRTKKEDVLRASSIRRYGKKKHKSTPNPMSVDPDMLNESGHQHAIAYVEGDKYYGAKATINVWQPRIEQANEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNQIAMGASISPLSNYGGSQYDINILVWKDPKEGNWWLQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGSHTSTQMGSGHFPEEGFGKSSYFKNIQVVDSSNNLRAPSGIGSFTEQSNCYDVQNGNNGDWGTYFYYGGPGKNPNCP >ORUFI07G20300.1 pep chromosome:OR_W1943:7:20105647:20112186:-1 gene:ORUFI07G20300 transcript:ORUFI07G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRVKDQESYDVVSQKDIESPGERSLSSTSATSSLSTAGASKGKNSWKLKSIVTLALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCLLSLIALYRTWNSQGVTEDNRLSTSFDEVSVYPIPAILYMVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPIQGWVMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGMLFNLVAICVQDFDAVMNKGFFHGYSFITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFGFHLSLAFFLGSTWHSVLQRAENMVNAIKGLFISCDVPMAQFIVNLNASMPASDKFILHMLDPTHMFVQPHVAEMIRSKISEFRDQNSYEKPT >ORUFI07G20310.1 pep chromosome:OR_W1943:7:20114560:20118939:-1 gene:ORUFI07G20310 transcript:ORUFI07G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLLTPAARLAAAAPSTLSRLLRLQSGRHHAHASSSSNRLLPPLLRPPRDGFRGGRLIASSSSSSSQMAAPADAPGGSADAFEVIRAHQEKAARLPPVEEIRTILDQSVRGVLATHSQGHVGYPSGSMVDFACDQDGSPILAVSSLAIHSKNLSENPKCSLLVAKDPEDRTDTVITVYGDATPVSDQEKDAVRSAYLRRHPEAFWVDFGDFRFLHIKPKAVRYVSGVATAILGSGEFSAAEFKEAKVDPISQFSTPITSHMNKDHSDDTKLIVDFAYMLDVDSLGFNVKAGYDGSVLKLRIPFPRRAQDRKDVKTLIVEMLQAAKASSSSAE >ORUFI07G20310.2 pep chromosome:OR_W1943:7:20114560:20118939:-1 gene:ORUFI07G20310 transcript:ORUFI07G20310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLLTPAARLAAAAPSTLSRLLRLQSGRHHAHASSSSNRLLPPLLRPPRDGFRGGRLIASSSSSSSQMAAPADAPGGSADAFEVIRAHQEKAARLPPVEEIRTILDQSVRGVLATHSQGHVGYPSGSMVDFACDQDGSPILAVSSLAIHSKNLSENPKCSLLVAKDPEDRTDTVITVYGDATPVSDQEKDAVRSAYLRRHPEAFWVDFGDFRFLHIKPKAVRYVSGVATAILGSGEFSAAEFKEAKVDPISQFSTPITSHMNKDHSDDTKLIVQYSTTVKANKPSQVDFAYMLDVDSLGFNVKAGYDGSVLKLRIPFPRRAQDRKDVKTLIVEMLQAAKASSSSAE >ORUFI07G20310.3 pep chromosome:OR_W1943:7:20114560:20118939:-1 gene:ORUFI07G20310 transcript:ORUFI07G20310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLLTPAARLAAAAPSTLSRLLRLQSGRHHAHASSSSNRLLPPLLRPPRDGFRGGRLIASSSSSSSQMAAPADAPGGSADAFEVIRAHQEKAARLPPVEEIRTILDQSVRGVLATHSQGHVGYPSGSMVDFACDQDGSPILAVSSLAIHSKNLSENPKCSLLVAKDPEDRTDTVITVYGDATPTKKKMQYEVHTYEDILRHFGAAEFKEAKVDPISQFSTPITSHMNKDHSDDTKLIVDFAYMLDVDSLGFNVKAGYDGSVLKLRIPFPRRAQDRKDVKTLIVEMLQAAKASSSSAE >ORUFI07G20320.1 pep chromosome:OR_W1943:7:20124223:20124396:1 gene:ORUFI07G20320 transcript:ORUFI07G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRMKALAVAAIAASLVASAAAANAPAPAPASDAAPAVPLAAASLAAAAFGYLFC >ORUFI07G20330.1 pep chromosome:OR_W1943:7:20126404:20129411:1 gene:ORUFI07G20330 transcript:ORUFI07G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGHHEGFVAMFFLSVHGRKLSDQCDAAVRIVNTNGTSMMDELRKTLDRRGPGVQRCDAVVGSISTSKMSDAKAHSPVNPAE >ORUFI07G20340.1 pep chromosome:OR_W1943:7:20130099:20131988:-1 gene:ORUFI07G20340 transcript:ORUFI07G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSHHCCWFLLLLLLLAAWCVRGEAEVANGGHQDLPPLLSFKSYNPAAAALESWVGGDPCSGAWIGVRCSRGRVVGVFLDNASLVGGLAPLLGLARLGVLAVRRNSLSGRLPPLDNSTSPRLRHLLVSHNQLTGGLRVSLPSLVTLRAEHNGFHGDLRALSVPMVRSFNVSRNMLDGEISGDLSRFPSSSFGGNLGLCGLPLPRCVHAYNALGDSVGQSPSAAMEEASSGGSNGGLSKLSVTALMATGIGNAALMVISVAISVAMFVYMRRKLRSWKGASDAALSFEEEDKVRNREEKGQKSNGGGLVCFDGGEELRLESLLKASAEVLGKGVSGSTYKAVLEDGIVVAVKRLSALQFPGRSKAFDRHMRLAGRLRHRHVVSLRGYCNSNGERLLVYDYLPNGSLQSLLHGSNGGGGGGRSLDWAARKAILFGAAQGLNYIHTFPARPALVHANVKPSNILLDEHGAACVSECGVMRYAANIQQSIPQPPRCPPGLFLDRAAAAAGGGGWHGYAAPELASGAGAAGARATQESDVYSFGMVLLEVVTADNAGDGNGGGGGDGGEDETMGWVKIGMLCTAEAPEERPRMAQVLAMMGEFM >ORUFI07G20350.1 pep chromosome:OR_W1943:7:20134995:20135327:1 gene:ORUFI07G20350 transcript:ORUFI07G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSPRAEEDAKETVKPIFITLKVMDQEDRRIRHTIRMADKLQVVMDMYYAKAPEVTYGTGTFLFDGIRLKGDMTPMGLEMVDGDTVDFFPVMIGGGGFFQCNLLPSSH >ORUFI07G20360.1 pep chromosome:OR_W1943:7:20135987:20136379:1 gene:ORUFI07G20360 transcript:ORUFI07G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGWSGIPAAVKVEKENEWKTPATWEWKAPATRVAGEYVTLKVQGTDGRAVYRTMLRTEELQGLMDFYYDRSHGRVQRGTGRFLFDGRRLRGWQTPAELQMEDGDEVNFFEELIGGAAGSGWDPPSSILA >ORUFI07G20370.1 pep chromosome:OR_W1943:7:20143453:20143665:1 gene:ORUFI07G20370 transcript:ORUFI07G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAVEPPPYVTGREASWNNVGVETGTREEVEQTWWAGASTTAAAGDGGKEEGDRRRVRRSPARGCGRQ >ORUFI07G20380.1 pep chromosome:OR_W1943:7:20147365:20149223:1 gene:ORUFI07G20380 transcript:ORUFI07G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRSGITAAVKVEEEDDGKTPAAKRAGEYVTLKVQDTDGRAVYRTMRWTEQLQGLMDFYYDRAHGRVQRGTGRFLYDGRRLSGWQTPAELDMEDGDEVDFFEELIASRSAITMSKRSRSAMSSKATGEDDADDSSSPEVEASSLITLRVKDSEGVRITRTMRTTDALDDLMDFYLGMVPADMDAAEGVFMHYGRRVTGDRTPADYDMEDGDEVSFFPDGTWTTPVTLTVTDNNGRRVTHTMRRLHILDILFDLYFAMLPSTAPREGAFIYHCRELSPKQTPEECNMKDGDEIAFSPFSKPSAFVTLTISGNNNNGGGSVVVTRTMLRTQERLQDLIDLYFAMVPTDDERGEFDVTYCGRKVDIEKTPADYGMEDGDQLRLAPATERSRFVTINLVTMVGVKRAYTLRRTDELQGLMDLCLSREPASMYQNGCIFLYNGLCSRLGNSG >ORUFI07G20390.1 pep chromosome:OR_W1943:7:20153608:20154606:1 gene:ORUFI07G20390 transcript:ORUFI07G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSATRGEADSPSPEVEPSVLVTLRVKDSEGVRITRTMRRTDKLRDLTDFYLAMVVPAAVAQGHVCRGTDKLQDLIDFYDAMVPADKYCDGECQVVYGGKTVEGEKTPADCEMEDGDQIKRMSSMFVTVTVGFVSLGKNIEHAHTLRRTDKLQGLMDLCSSMMPSRYKHGCRFLFDGRFVLGSQTPDDLAQEDVDMIDLTCY >ORUFI07G20400.1 pep chromosome:OR_W1943:7:20155582:20156049:-1 gene:ORUFI07G20400 transcript:ORUFI07G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAETDAADEFVLVTAADDGEITHEPRVRVLAGGYSEKSLNANYFAAAAAVASRRDAFDNDDEVDDAVANASEGSGDADNDEEVEMVLVEDEQGGGGDHRWQQHVVGVLCSVGLTAATAAGLALLLGCGRGGGVAARNRRLLSTSGHPPITRCR >ORUFI07G20410.1 pep chromosome:OR_W1943:7:20162627:20166355:1 gene:ORUFI07G20410 transcript:ORUFI07G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNACWELYCLEHGIEPDGTMPSDTTVGVAHDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGSYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDENDDGEDY >ORUFI07G20420.1 pep chromosome:OR_W1943:7:20167202:20167429:-1 gene:ORUFI07G20420 transcript:ORUFI07G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYPIWRLEPLDLRLICDEEPVERVGVRESPHEKVFERLSRDGAISTVAATVTVTVTAAASVSLDVAWVSDFIN >ORUFI07G20430.1 pep chromosome:OR_W1943:7:20170264:20171211:-1 gene:ORUFI07G20430 transcript:ORUFI07G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNFQVQPPVFQLQDYCYYYSQEVAAAASPAAKPTKPRGRKKGSTSHSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETADAAARAYDEAARLLRGAEARTNFAPRISPDCPLAVRIRGILHHKKLKKARSAAAATAGSPGAASKKRSTTAAAAAATPTITTTSNSNSDGAGSACGGSSSSSSSTDSCDGAVKQGGGGGGAPTDASEVYRPDFVHAGAEEFDSWMFDTAFGPFPELDSFAAVDAVTPPPATASPEESSAGTPPVEMAEFERIKVERRISASLYAMNGLQEYFDKVFDASACDPFWDFSPLCH >ORUFI07G20440.1 pep chromosome:OR_W1943:7:20175673:20184235:-1 gene:ORUFI07G20440 transcript:ORUFI07G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDDQAAAAALLGGDPAAFDALLSTLMSSSNADRAAAEAAFHRLRGSHPEPLALRLASSLSSPATPADLRAMAAVLLRKLLSPTPSSDASSAAAAPVWPHLSPAGQAALKQHLLSALQSDPPKPIAKKVCDAISELAALLLPENAWAELLPFLFRAASGPEAPNLQESALLIFARLADYIAESLLDHLMTIHNLLASALAHPTSPDVRIAALSAAVNLVQCLPTNADRDKMQDLLPAMMRALTDCLNSGQEASAQEALELLVELAGAEPRFLRRQIADVVGAMLQIAEAAQLEDGTRHLAVEFVITLAEARERAPGMMRRLPQFVGRLFAVLMQMLLDVEDDPAWHTAETEDEDAGEGNNYGVAQECLDRLAIAIGGNAIVPIASELLPQYLSAPEWQKHHAALITLAQIAEGCAKVMLKNLEQVVSMILNGFQHPHARVRWAAINAIGQLSTDLGPDLQVNYHQQVLPALANAMDDFQNPRVQAHAASAILNFSENCTPEILTPYLDGIVTKLLVLLQNGKQMVQEGALTALASVADSSQEHFKKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKDKFRDDAKQVMEVLMSLQGTTMENDDPITSYMLQAWARLCKCLGQDFLPYMNVVMPPLLQSAQLKPDVTITSAESDDDIESDDDSIETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRRAAVAAMPELLRSAKLAVEKGLAQGRDESYVKQLSDYIIPALVEALHKEPETEMCSSMLDSLNECMQLSGRLLDENQVRAVSDEIKNVIIASATRKRERSERSKAEDFDADEGELLKEENEQEEEVFDQVGECLGTLIKTFKASFLPFFDELSVYITPMLGKDKTAEERRIAICIFDDIAEQCRESALKYYDTYLPFLLEASNDENSDVRQAAVYGVGVCAEFGGHVFRPLVGEALSKLNNVITHPEAKHADNIMAYDNAVSALGKICQFHRDGIDAAQVIPAWLGCLPIKDDKIEAKVVHDQLSSMVERSDADILGPHSQYLPKIVSIFAEVLCNGTELATDETTKRMVNLLRRFQQTLPPDFLASTFSSLQPQQQLVLQSILLT >ORUFI07G20450.1 pep chromosome:OR_W1943:7:20188818:20190941:-1 gene:ORUFI07G20450 transcript:ORUFI07G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNGGFVALLLLLSFLLSSPLPARCDAPLPVNVWPKPTSMSWAEPHMAVRVSSSFHVVAPSGNAHLLSAARRYAALLLAERYRPLVTPAVNVTAGGAGAGAAGRGAELGYLTLAVSDLHAPLQHGVDESYALEILPACAAATVTAATAWGAMRGLETFSQLAWWCGRERAVLVAAGVRVEDRPLYPHRGLMLDTGRTYFPVADILRTIDAMAANKMNVFHWHITDSQSFPLELPSEPALAEKGSYGDGMRYTVDDVKLILVSCAGEFWLPDASDWPSRLAAEPGAGQLNPLEPKTYQVMSNVINDVTSLFPDGFYHAGADEVTPGCWNADPSIQRYLARGGTLSRLLEKFVGAAHPLIVSRNRTAVYWEDVLLDQAVNVTASAIPPETTILQTWNNGGNNTRLIVRAGYRAIVSSASFYYLDCGHGDFAGNDSAYDDPRSDYGTSGGSWCGPYKTWQRVYDYDVAGGLTAEEARLVVGGEVAMWTEQVDAAVLDGRVWPRASAMAEALWSGNRDATGRKRYAEATDRLTDWRHRMVGRGVRAEPIQPLWCRNRPGMCNLVR >ORUFI07G20460.1 pep chromosome:OR_W1943:7:20193220:20195313:-1 gene:ORUFI07G20460 transcript:ORUFI07G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMIAKFSAHFVLLLVFSLSNDVVVASAAGGDGQFTYQGFAAANLTVDGLATVTASGLLVLTNFTYQAKAHAFHPAPLRFLGESSTAAAGANASGGAGADVARSFSTAFVFAIVSGYDGLSDHGLAFVVAPTANLSAANAGQYLGFLNATNGTASGQILAVELDTIMNPEFHDISSNHVGIDANSLMSTQARPAGYYGDGDGSFRELRLNSRQPMQVWVDYDGQAKQLNVTLSPVQVPKPKKPLLSQAIDLSTVMAEEMYVGFSSATGVVNTHHYVLGWSFGFDGPAPPLDLSKLPRLPRFGPKPRSKVLDIVLPLATALLVAAVLAAVFFVVRRRRRFAEVREDWEDEFGPHRFAYKDLFRATDGFKDRNLLGVGGFGRVYRGVLPESNLEIAVKRVSHDSRQGIREFVAEVVSIGRLRHRNLVQLLGYCRRKNELLLVYDYMANGSLDKYLHERNVTTLFWPERLWIIKGVASGLLYLHEDWEQVVIHRDIKASNVLLDSAMNGRLGDFGLARLYDHGTDPKTTHVVGTMGYLAPELVRTGKASPLTDVFAFGVFLLEVTCGRRPIETDEHNKRVVLVDLVLEHHRNGSIVGAADPRLTGKFDVEEVALVLKLGLLCSHPLPGARPSMRNVMQYLERGGKSAPDLSPSYVSYSMMAIMQNEGFDSFIMSGGPRSATSIGTVSGASSMTIMSEGR >ORUFI07G20470.1 pep chromosome:OR_W1943:7:20197245:20207715:-1 gene:ORUFI07G20470 transcript:ORUFI07G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTAGPTPIIQLENEQWPVEMWSKTMLVVFINIVFIHCLSVNIGAAVANPAASDGRFVHHGFTAEDLTMDGLAAVTPTGLLALTNATYQTKAHAFHPAPLRFLNTSSSAAATVRSFSTSFVFAIVSDDPRFRNNVDHGLAFVVSPTKNLSTANAGQYLGLLSMADDGKPSNHVFAVELDIITNPEFGDIDSNHVGVDVNSLRSLQAKTAGYYVDGDGAFRSLQLNSQKPMQVWVDYDGQAKQLNVTLSPVQVPKPKKPLLSQAIDLSTVMAEEMYVGFSSATGVVFTHHYVLGWSFSFDGGAAPSLDFSMLPKVPRVGPTRRSVMLYVVLPIASALLFLVAFVLGVFFFVRRWHRQYAEVREDWEVEFGPHRFTYKDLFHATQGFTDKNLLGAGGFGSVYKGVLPVSNTEIAVKRVSHNSRQGMREFIAEVVSIGRIRHRNIVRLLGARENFSLFMTTRQMCLHDNATSTTLCWPKRIHIIKGVASALSYLHKDWEQVVIHRDVKASNVLLDSEMNGLLGDFGLSRLRDHGADAKTTYVVGTMGYIAPELMHTGKATPLTDVFAFGVFLLEVTCGRRPIGESDSNEILLIDWVLKHFLSGSILDVVDPRLAGRFSFEEVNLVLKLGLMCSHPLPKARPSMDKVVKYLDGMLPAPELSPTHMSYNMMELMLQNGVGSHSLPCWYNVFCFGSLGREMILKLSNRQANKLAAPRRSDANTMLREPFHCVTLLLLLLAAADRCAAATGGSGGLQFAYDGFSGANLTLDGAATVTASGLLMLTNGSIQMKGHAFHPSPLPLRAARSFSTTFVFAIFGQYADFSSHGLAFFVSASADAFAAALPGQFLGLFNGTGATTGNRSAAGVFAVEFDTLFNAEFHDLNSNHVGVDVNSLTSVKAADAGYYDDVTGQFRNLTMISRKPMQAWVDYDGGSTEVTVAMAPLGTARPKKPLLRTNVDLSDVATGAAHVGFASATGILFSRHFVLGWSFAVDGPAPPLNISSLPPLPRAWPKPRSKVLEIVLPIASAALVAAVAMAVYAMARRRLRYAELREEWETAFGPHRFSYKDLFHATKGFSDKNLLGTGGFGSVYRGVLRKPDMEVAVKRVSHESRQGMKEFVAEVASIGRLRHRNLVQLLGYCRRKGELLLVYDYMPKGSLDKYLYDGSKHPLSWPQRFHIIRGVASGLLYLHEDWEHVVIHRDVKASNVLLDGEMNGRLGDFGLARLYDHGAVAQTTHVVGTMGYLAPELGHTGKATPSTDVFAFGAFLLEVTCGRRPIVQDKHGNRAVLVDWVTEQWSKGALVNVVDARIPSGFDPDEVSLVLKLGLLCSHPLPNARPTMRQVAQYLDGDMALPDLSPTYLSFTSLERMYKEFNRNSISYISSASMGAISDISGGR >ORUFI07G20480.1 pep chromosome:OR_W1943:7:20209257:20217640:1 gene:ORUFI07G20480 transcript:ORUFI07G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSKWCLPCFPMASTAALPIETAFSLPAPLPSWPSSVSDGGFAKGSIDLGGLEVRQVTTFAKVWSTGQDGGGATFFRPEQVPAGFSALGHYAQRNDRPLFGHVLVARDVSGGVLLAPPLDYTPVWSSQDGAAHFWLPTPPDGYRAIGVAVTASPDKPPRDEVACVRADFTDACEAEATVWDKDGFSAVALRPAVRGVDARGVHAGTFVLARSDATAASASALACLKNNGAAYTSCMPDLAQVNALLAAYAPQLFLHPDEPYLPSSVTWFFQNGALLYQKGSQTPTPVAADGSNLPQGGGNDGGYWLDLPVDNSQRERVKKGDLPGAKVYVQAKPMLGATATDLAVWFFYPFNGPARAKVGPLTIPLGKIGEHVGDWEHVTLRVSNFSGELLRMYFSQHSAGAWVDAPQLEYLDGGNRPSAYSSLHGHALYPRAGLVLQGDARLGVGIRNDCDRGSRLDTGGAGRCEVVSAEYLGGGGGGVAAAEHVAVAEWGPREEYDIGREINRVAKLLPRSTRERLRKLVESVFVGEGPTGPRMKGSWRNDEREAK >ORUFI07G20480.2 pep chromosome:OR_W1943:7:20209257:20216409:1 gene:ORUFI07G20480 transcript:ORUFI07G20480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGAAARPPVATTLSARRGTAPSATAAAASCPASSARRTTWRPRARLSSGNAARARARLLRVEASSMADPVEESLPAAPHTATDAPLETQPQVRTSMWNWKGYNIRYQYAGTSGPALVLIHGFGANSDHWRKNIPVLALKNRVYAIDLIGYGYSDKPNPRELGESFYTFETWGEQLNTFCAEVIKSEAFFICNSIGGLVGLQAAAMEPQKCKGIFLLNISLRMLHISKQPWFGRPFIKSFQSLLRNTVIGKLFFSAVATPESVKNILCQCYHDTSAVTDELVQFILQPGLDPGAVDVFLEFICYSGGPLPEELLPRVKCPVLVAWGEKDPWEPVELGRAYASFDTVEDFVVLPNVGHCPQDEAPDLITQKARTAIHLLDTVSCPSKLALPSTTSAPEFFNSEHTSLIRSGQLQLPLVPFV >ORUFI07G20490.1 pep chromosome:OR_W1943:7:20218439:20225589:1 gene:ORUFI07G20490 transcript:ORUFI07G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASPPLPCRAAATASRSGRPAPRLLGPPPPPASPLLSSASARFPRAPCNAARWSRRDAVRVCSQAGAAGPAPLSKTLSDLKDSCWRFLRPHTIRGTALGSIALVARALIENPQLINWWLVFKAFYGLVALICGNGYIVGINQIYDIRIDKVNKPYLPIAAGDLSVQTAWLLVVLFAAAGFSIVVTNFGPFITSLYCLGLFLGTIYSVPPFRLKRYPVAAFLIIATVRGFLLNFGVYYATRAALGLTFQWSSPVAFITCFVTLFALVIAITKDLPDVEGDRKYQISTLATKLGVRNIAFLGSGLLIANYVAAIAVAFLMPQAFRRTVMVPVHAALAVGIIFQTWVLEQAKYTKDAISQYYRFIWNLFYAEYIFFPLI >ORUFI07G20490.2 pep chromosome:OR_W1943:7:20218439:20225589:1 gene:ORUFI07G20490 transcript:ORUFI07G20490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASPPLPCRAAATASRSGRPAPRLLGPPPPPASPLLSSASARFPRAPCNAARWSRRDAVRVCSQAGAAGPAPLSKTLSDLKDSCWRFLRPHTIRGTALGSIALVARALIENPQLINWWLVFKAFYGLVALICGNGYIVGINQIYDIRIDKVNKPYLPIAAGDLSVQTAWLLVVRGFLLNFGVYYATRAALGLTFQWSSPVAFITCFVTLFALVIAITKDLPDVEGDRKYQISTLATKLGVRNIAFLGSGLLIANYVAAIAVAFLMPQAFRRTVMVPVHAALAVGIIFQTWVLEQAKYTKDAISQYYRFIWNLFYAEYIFFPLI >ORUFI07G20490.3 pep chromosome:OR_W1943:7:20218439:20225772:1 gene:ORUFI07G20490 transcript:ORUFI07G20490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASPPLPCRAAATASRSGRPAPRLLGPPPPPASPLLSSASARFPRAPCNAARWSRRDAVRVCSQAGAAGPAPLSKTLSDLKDSCWRFLRPHTIRGTALGSIALVARALIENPQLINWWLVFKAFYGLVALICGNGYIVGINQIYDIRIDKVNKPYLPIAAGDLSVQTAWLLVVLFAAAGFSIVVTNFGPFITSLYCLGLFLGTIYSVPPFRLKRYPVAAFLIIATVRGFLLNFGVYYATRAALGLTFQWSSPVAFITCFVTLFALVIAITKDLPDVEGDRKYQISTLATKLGVRNIAFLGSGLLIANYVAAIAVAFLMPQAFRRTVMVPVHAALAVGIIFQTWVLEQAKYTKDAISQYYRFIWNLFYAEYIFFPLI >ORUFI07G20500.1 pep chromosome:OR_W1943:7:20233907:20238965:1 gene:ORUFI07G20500 transcript:ORUFI07G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGISTIGAASRSLSSSLMAAAKEPDVENLRLIEELTSNVDAVQERVLAEILGRNADAEYLDKCGLDASDTDRATFRAKVPVASYDDLKPYVKRIANGDRSPILSTHPIIEFFTSSGTSAGERKLMPIVTDEMARREVLSSLATSVLNVYVPGLHTGKGLYFLFARSETKTPGGLTAQPALTSVYKSEHFKRAYAYTSPMAAILCEDASQSMYAQMLCGLCQRHDVLRVGAVFAAALVRAIRFLQLNWAQLAADIETGELNPRVTDPSDRECSRGDWTGIVTRLWPKTKCLNVVVTGVMAQYIPILHYYSGGLPIVSGMYASSECFFGLNLRPLCGPSEVSYTIMPNTAYFEFLPVGEAVDASNLVELARVEDGREYEVVVTTYAGLNRYRVGDVLCVTGFHNAAPQFRFVRRQSVLLSIEADKTDEAELQRAVERASSALLRPRGASVVEYTSRACTERVPGHYVVYWELLTESGNTVDGETLGRCCLEMEEALSAVYRQGRVTDGSIGPLEIHVVRPGTFEEVMDLAVSCGTSIGQYKLPRCVTLPCMVEQLDSRVVSSHFSPALPHWTLAP >ORUFI07G20510.1 pep chromosome:OR_W1943:7:20241493:20242203:-1 gene:ORUFI07G20510 transcript:ORUFI07G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYHATTRQVDIDCIHGTTTSKRAAGKDKAAMPECHASPPPANYFKNKADYNAAAASASAGAINYK >ORUFI07G20520.1 pep chromosome:OR_W1943:7:20243069:20244953:-1 gene:ORUFI07G20520 transcript:ORUFI07G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPDVSAIGAALRSPAATVGEADVEKLRLIEELTSDVDAVQERVLSEILGRNAGAEYLTRCGLDAGDTGRATFRAKVPVVSYDDLKPYIQRVADGDCSPVLSTHPITEFLTSTGTSAGECKLIPVAMDDSGRRQALHGLIGPVLKLYVPGLEKGKGLNFMFVKSETKTRGGLTAWFALTSVYKSKQFKSMANAYTSPTAAILCEDAFQSMYAQMVCGLCQRHDVVRVGAVFAAALVRAIRFLQLNWGQLAADIEAGELGPHVADPSVREAVSGILRPDAELAEFVRIECSKGDWAGIITRIWPNTKYVDAIVTGAMAQYIRTLQYYSGGLPIVSTSYASSECFFGINLRPMCDPSEVSYTIMPNMAYFEFLPVGEVVDATNLVDLARVEVGREYEVVITTYAGLSRYRVGDVLRVTGFHNVAPQFRFVRRQSVLLSIEADKTDEAELHRAVERASSALLRPRGVSVADYTSRACTERIPGHYVVYWELLTESPVGAGDGETVDGETLGRCCLEMEEALSAVYRQGRVADGSIGPLEIRVVRPGTFEEVMDLAVSRGTSIGQYKVPRCVTVPSMVELLDSRVVSSQFSPALPHWIPTPRSD >ORUFI07G20530.1 pep chromosome:OR_W1943:7:20253766:20259968:1 gene:ORUFI07G20530 transcript:ORUFI07G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRSTVPESGAGSSQRSSLQSEVRASFLAVCIWKGEVEVEERSLLRGQDQLRLSASEKVRKVSLDNKKSDARAPKYVASEPKRRGDSVNAHGAFVGINVGTDISNPPSASDIVSILKEKKIQHVRLLDSDHQMLSALANTGIEVVVGVPNDQLLRVGQSRSTAADWINKNVAAYIPATNITHIAVGNEVLTTEPNAALVLVPALQFLQSALLAANLNTQVKISSPHSTDMISKPFPPSTATFNSTWSSIMLQYLQFLNNTASPFMLNAQPYYDYVKGQGVFPLEYALFRSLNPDSQISDPNTNLFYTNMFDAMVDATYNSMQAMNFTGIPVMVTASGWPSHGGQNEKAANVDNALAYNTNLIRHVLNNSGTPGQPNNQVSTFIFELFNEDLRAGPVSEKNWGIMFPNATTVYSLTFEDMATTNTDSPVLRGTFCVANSSAPHSALKQSLDWACGPGSANCSAIQPGQPCYKSDDIVAVASYAFNDYYHRTRASGGTCNFNSTAMVTSTDPSHGSCIFAGSTGSNGSSGGAASGPVSPDNFATQIHSCWLTHLITLLSIMVFM >ORUFI07G20530.2 pep chromosome:OR_W1943:7:20253766:20259968:1 gene:ORUFI07G20530 transcript:ORUFI07G20530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRSTVPESGAGSSQRSSLQSEVRASFLAVCIWKGEVEVEERSLLRGQDQLRLSASEKVRKVSLDNKKSDARAPKYVASEPKRRGDSVNAHGAFVGINVGTDISNPPSASDIVSILKEKKIQHVRLLDSDHQMLSALANTGIEVVVGVPNDQLLRVGQSRSTAADWINKNVAAYIPATNITHIAVGNEVLTTEPNAALVLVPALQFLQSALLAANLNTQVKISSPHSTDMISKPFPPSTATFNSTWSSIMLQYLQFLNNTASPFMLNAQPYYDYVKGQGVFPLEYALFRSLNPDSQISDPNTNLFYTNMFDAMVDATYNSMQAMNFTGIPVMVTASGWPSHGGQNEKAANVDNALAYNTNLIRHVLNNSGTPGQPNNQVSTFIFELFNEDLRAGPVSEKNWGIMFPNATTVYSLTFEDMATTNTDSPVLRGTFCVANSSAPHSALKQSLDWACGPGSANCSAIQPGQPCYKSDDIVAVASYAFNDYYHRTRASGGTCNFNSTAMVTSTDPSKPHWLQWQQRWCGVWARKPRQFCYTNSLVLVDSPNYIAVYHGVHVKPCKKMMRFG >ORUFI07G20540.1 pep chromosome:OR_W1943:7:20260401:20265776:1 gene:ORUFI07G20540 transcript:ORUFI07G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATECDVNKSRRFDLGMSRRTRRSTSLITCYQDQQVQPLVQQLRQDAKLKTLFQCQDTELQPPCPYEDQELRILQAPLQCEVDAQETLNQHRDEQEEKLHHYLDEEHEKKLQDHLDEEPEKKLHHYLDEEQEKKLQDHLDEEPEKKLHHYLDEEQEKLFQDQDEEKKTPKQYLDEDQKTLQQCQDEEKAPNQYEDEDNTTGQYQDGEQKTAEQCEEEKTSEKYHDEEHKSLEAQQQCQDTKQKAQEQRKTVKKPITPPFADDVPRFSLQDLIQEKQLLIVGEAKATSKLGNGEKAIIADHKLPVPPAAGGATLAMVIKRPDGGKKSMGVIRRCVKALNQMVKAKHGSKKNKPF >ORUFI07G20540.2 pep chromosome:OR_W1943:7:20260404:20265776:1 gene:ORUFI07G20540 transcript:ORUFI07G20540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATECDVNKSRRFDLGMSRRTRRSTSLITCYQDQQVQPLVQQLRQDAKLKTLFQCQDTELQPPCPYEDQELRILQAPLQCEVDAQETLNQHRDEQEEKLHHYLDEEHEKKLQDHLDEEPEKKLHHYLDEEQEKKLQDHLDEEPEKKLHHYLDEEQEKLFQDQDEEKKTPKQYLDEDQKTLQQCQDEEKAPNQYEDEDNTTGQYQDGEQKTAEQCEEEKTSEKYHDEEHKSLEAQQQCQDTKQKAQEQRKTVKKPITPPFADDVPRFSLQDLIQEKQLLIVGEAKATSKLGNGEKAIIADHKLPVPPAAGGATLAMVIKRPDGGKKSMGVIRRCVKALNQMVKAKHGSKKNKPF >ORUFI07G20550.1 pep chromosome:OR_W1943:7:20268707:20270725:1 gene:ORUFI07G20550 transcript:ORUFI07G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSASSSTTAVAALPGAARASSFLGGAGRSGRLLLRQAESSSARASFAVRAAAPDRPIWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPESLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGEQQYFTDTTTLFIIELILIGWAEGRRWADIIKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGTGSPEKIKELRTKEIKNGRLAMLAVMGAWFQAEYTGTGPIDNLFAHLADPGHATIFQAFTPK >ORUFI07G20560.1 pep chromosome:OR_W1943:7:20272046:20276902:1 gene:ORUFI07G20560 transcript:ORUFI07G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARRASQLLGSAASRLLHARGFAAAAAAAPSPAVFVDKSTRVICQGITGKNGTFHTEQAIEYGTTMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALNKQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFVDDPQTEGIVLIGEIGGTAEEDAAAFIQESKTQKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKALREAGVTVVESPAKIGSTMFEIFKQRGMLE >ORUFI07G20570.1 pep chromosome:OR_W1943:7:20277764:20279459:-1 gene:ORUFI07G20570 transcript:ORUFI07G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGLHQQRWHRHSPLSLVSRHALLSPVNPTQLATMLEEKQLLKGNADHTKRHANSTRAFAFGLADDRTVVIHNTSFLAVAKPGDKCWTAVDLSDHLRPIMSFAGRFYGVTTHQRCHHGGGGQPGEPDAAAGGGRRDLTLQHRFSRMLGSAHLVDNNGELLLVHRTLSGDKRLYQAYRVDLDGRKMVPVRGLGGWAVFIGHDCSLSVSPATFPPSLVTPSTRASAVGIELAGSTSKPMITWQMEPLNTHSMKTAKRIGNTL >ORUFI07G20580.1 pep chromosome:OR_W1943:7:20281759:20283728:-1 gene:ORUFI07G20580 transcript:ORUFI07G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLHGSGPLRSRNASSSDEIQLRIDPVHGDLDEEIDGLHSRVRLLKGVAQEINAEAKFQNDFLSQLQMTLIKAQAGVKHNMRRMNKSIILQGSNHVVHVVLFALFCFFVVYVLSKFSRR >ORUFI07G20590.1 pep chromosome:OR_W1943:7:20284835:20290756:1 gene:ORUFI07G20590 transcript:ORUFI07G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERPAGLIAERVLANDVADYIRFRSVCQPWRRCCAAPPADDALDRRFHPRQWLILPEKPRIRYHCRLLNLSTGECILAHLPEFRGHRVFSPSTEGLVLLLHESTHVARLLNPLTHQLTDLPPVTTLLDLLLPLCDLSVDGFGLADDRTVVIHNTVFLAVAKPGDKCWTAVNLSDCLRPSMSLAGRFYGVTSDAIMVVEVSRESQTPQLVEAADLTLQHRFSRMLGSAHLVDNNIGELLLVHRTLSGNKRLTRRTGWIWMGGRRCLSVVSVVGPCSSAMTARYPCPLLHSPRSLVTPSTRASTVGIELAWSTSKPITWQMEPLNTHAMKTPARNGNTL >ORUFI07G20600.1 pep chromosome:OR_W1943:7:20299158:20306548:1 gene:ORUFI07G20600 transcript:ORUFI07G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVGARVSHAFRSPSLLPFPSVPPLSSSSVFALRLLLPHGSLPAHSSSSPLLLLLPSTRHHRASPSFFLRLALPQLDEPLGRVPILSYGSGHMLNDITSSCWFTYLLVFLTDIGLSPSDAAVVMLSGQLADGFATIFVGELIDRFGHFKLWHAGGSILVAISFSSVFGSCLPCKLTGTISSTMETVGYSTFAAIFNVGWAVTQVSHMSMVNCMTSNPTSRVALVSCRNAFTMIANLSLYGIALLIFSLRQSVSVIVQYRWIAYVSIALGSCFVVVFLIGTEEPGLNQHCQNKRLSRISWTHWFKKVLYYQVALVYMFTRLVTNVSQALLAFYVINDLEMPQSSKALVPAIIYVCSLIVSVILQETRWSSWRLKNYFSAGAMLWILSGVGIVVLPSGMHNFMYALSITIGVANALMTVTSISMEGILVGEDLNGCAFVYGSLSFVDKVSCGLALYILESYQGSTNTRQNLELAFGYSVTRLGLGLVPAACSLLSAIIAYTMDLPDTRRRPLVEPLLA >ORUFI07G20600.2 pep chromosome:OR_W1943:7:20299158:20306548:1 gene:ORUFI07G20600 transcript:ORUFI07G20600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVGARVSHAFRSPSLLPFPSVPPLSSSSVFALRLLLPHGSLPAHSSSSPLLLLLPSTRHHRASPSFFLRLALPQLDEPLGRVPILSYGSGHMLNDITSSCWFTYLLVFLTDIGLSPSDAAVVMLSGQLADGFATIFVGELIDRFGHFKLWHAGGSILVAISFSSVFGSCLPCKLTGTISSTMETVGYSTFAAIFNVGWAVTQIANLSLYGIALLIFSLRQSVSVIVQYRWIAYVSIALGSCFVVVFLIGTEEPGLNQHCQNKRLSRISWTHWFKKVLYYQVALVYMFTRLVTNVSQALLAFYVINDLEMPQSSKALVPAIIYVCSLIVSVILQETRWSSWRLKNYFSAGAMLWILSGVGIVVLPSGMHNFMYALSITIGVANALMTVTSISMEGILVGEDLNGCAFVYGSLSFVDKVSCGLALYILESYQGSTNTRQNLELAFGYSVTRLGLGLVPAACSLLSAIIAYTMDLPDTRRRPLVEPLLA >ORUFI07G20610.1 pep chromosome:OR_W1943:7:20307521:20309833:1 gene:ORUFI07G20610 transcript:ORUFI07G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSKPLQCLALFLLLAQLAHSAFIPKPKNRTEHKPDQLSNTYIVHANHLLKPSRFATLEHWYISMVATHSPRAATNATAAAAAVAGRILYTYDTVMHGFAVRLAADEARSLSRGAPGVTAVHQARMYYPQTTRSPGFIGLDPEYGLWRDTEFGDGVIIGVIDSGIWPENPSFNDSGLAAVRRSWKGGCVGLGARLCNNKLVGAKDFSAAEYGGASSPRDDVGHGTHVASTAAGSEVHGAGLFMFARGTARGVAPKARIAMYKCGGNWGCSDAAIIAGIDAAVKDGVDIISISLGGFPIPFYEDSLAIATFGAQREGVFVALAGGNSGPRPYTVTNVAPWMTTVGAGAVDRLFPANLTLGNGEVLVGQSLYTKMATGTTMAPLVLLDSCDEWSLSPDVVMGKIVVCLAGVYEGMLLQNAGGAGLVSMQGEEWHGDGVVADAFTLPALTLSYSKAEKLMDYFESAASPVASFSFACETVTGENRAPTAVGFSSRGPNRVVPELLKPDVLAPGLNILAAWPRDIPVSMLNMDTRRSEFNILSGTSMACPHAAGVAALIKKRHGDWTPAMIRSAMMTTAATLDNTGRDITDEGVQEAANATFTSATPLAAGAGHVRPQLAVDPGLVYDAGVEDYVDFLCSLNYTVEQLRVFVPDTAGCAPALPGGGPANLNYPSFVVAFNGSTRVRTLTRTVTKVYEKPETYSVAVSAPAGVKVTVRPATLEFKEKNEEKSYTVEFTSVAGGHVNQSWDFGHISWENRKHQVRSPVVFMWN >ORUFI07G20620.1 pep chromosome:OR_W1943:7:20310290:20311132:-1 gene:ORUFI07G20620 transcript:ORUFI07G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDVSSPLNIGLTDPEWMCTSMILRFLLFCSVLDLLLQGKNRIGESAGETAPTRALLRFGPKRLSGHRSAAACGPSGHTARPRPSTRVLKTSHGLWPVEEAN >ORUFI07G20630.1 pep chromosome:OR_W1943:7:20315476:20317129:-1 gene:ORUFI07G20630 transcript:ORUFI07G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPPPPPSAPPPSPPRAVFPSARRCLPSPHRFLPSTRRKRLVTVAKLAFPAELKAHTLHLVDNGGELMLVHHCFGTTRRGAGGGGFNLFNDRLTNDWIEAFSPKRTVSCRGLGVRRRAAFLGRNRTLSVSAEVFPAIRADTIYLQGMPEQEEAHLRSYA >ORUFI07G20640.1 pep chromosome:OR_W1943:7:20322043:20325450:-1 gene:ORUFI07G20640 transcript:ORUFI07G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPARDDEEPQDGYYSIVYELHAPGDDEWLLRHLWREAHPMAQAGGEAGAPVLGRMMAAMRRWWWRADPQLHLGRARLLLRRWRAGSASARRLARWRREGGVTPRVLLQSAEDIEGYFDFLSIRYPFALPSFFLRYERVMHDFAVAGGITVLTPFIWGALVAIMGGY >ORUFI07G20650.1 pep chromosome:OR_W1943:7:20327555:20331190:1 gene:ORUFI07G20650 transcript:ORUFI07G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNGAASLMVRLLHLPRAASAPTYPRRRHHLALLPSLRLRVPAPAAAAAMSSAAAAQEVADQKRALRSEVRRALRALTPEQRASEDQAIQNAILNSSWFKESKRLCAYISCAQLREVDTSKVLSEVLSPNSEHGHAKDLYVPRVEDKNRNMRMLKITTMDDLVKNSMNILEPSPLDASGNAREEGQAFDRTGRRLGRGGGYYDTFLMRYQELAKEKGWDQPLLVALSYSVQILEEGIIPVNSTDVPIDALVSSSGIIPISPAALARI >ORUFI07G20660.1 pep chromosome:OR_W1943:7:20331440:20332468:-1 gene:ORUFI07G20660 transcript:ORUFI07G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPPAPPPRPPFKVRIRIKPARAARAEEDAGKQEERLPMDHPLSTTTTTKRRPEGEESSGAASQPAPERVNPTGTTTPAARAAASCCVNEEALASSSHAGGRDDGASAIKKCKDGEGRQEDAKNAQESSGMTSPAVHSARATSPAPPPSRTKKETPVRAHAAVRDDDATPPRAIKSCKNGERGQEKDAESAQGCARTRSPPVRSARATTPAASPSRSKKETPVRAHAAVRGDDATPPRAIKKCKSDERRQEKDGESAGQCATTSSPRVQPSPTPSPAPAARPDPSAAENSLREAIERARPHMRRDIARQREAARREIASMVRTVEFNDPFISPEDVNKP >ORUFI07G20670.1 pep chromosome:OR_W1943:7:20333963:20339574:-1 gene:ORUFI07G20670 transcript:ORUFI07G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMSMAQIMRKTSVVVLQEEEDLTSSATRRAPAPPPREVVRVRLRLTPAPQEEACVEQEGKGGPCPLLLRRGATPDDQELQRRRRALAGERAGAVTVAGEAGLLDGARHAERGHRRGPFGHGQAEGGLAVEGGGPRRELAKMPTKAGTSCPEPEPEGPVASHAQPLNPEGLSSPTAVARRGRRARSGGQGYGAGCSGAGGSGRVQRGRSTAAEPLLRAAARRRRGGEPQGRPAGGRRQAAAERRPAARRRLGASGGTARPAVRRRRTAACRLRICGAAATRRRRGLAAAAGAGAAWSLGGLAAISPSPSTVGHLGTSLNSVQFYIHYWLLRNLVAITRKSIKRQLLALKVKKPRPALLIAVSSHRSHSNCYIMSLAAVASPHFPPSWAYQIRMAASQGQFLHAISLFLQMRASVVPRSSVPASLPAALKSCAGLGLCTLAASLHALAIRSGSFADRFTANALLNLCIKLPGFHHPFGTNGPSGEGGLESAAYESMRKVFDDMLERDAVSWNTLILGCAEHKRHQEALSMVREMWRDGFMPDTFTLSTVLPIFAECADIKRGMVVHGYAIKNGFDNDVFVGSSLIDMYANCTQMDYSMKVFDSFSDCDAVLWNSMLAGYAQNGSVEEALGIFRRMLQAGVRPVPVTFSSLIPAFGNLSLLRLGKQLHAYLIRARFNDNIFISSSLIDMYCKCGNVDIARRVFNGIQSPDIVSWTAMIMGYALHGPTTEAFVLFERMELGNVKPNHITFLAVLTACSHAGLVDNGWKYFNSMSNQYGFVPSLEHCAALADTLGRAGDLDEAYNFISEMKIKPTSSVWSTLLRACRVHKNTVLAEEVAKKIFELEPKSMGSHVILSNMYSASGRWNEAAQLRKSMRIKGMKKEPACSWIEVKNKLHVFIAHDKSHPWYDRIIDALNVYSEQMIRQGYVPNMEDVLQDIEEEQKREVLCGHSEKLAIVFGIISTPPGTTIRVMKNLRVCVDCHTATKFISKIVAREIVVRDGQPPLDASAIYNSSLRHFEISDSKFPLHSISLSYDNLAWMLALVEISADLWSIRSALQGTEEIGCLVYFPCVIKLFVLEE >ORUFI07G20670.2 pep chromosome:OR_W1943:7:20333963:20339574:-1 gene:ORUFI07G20670 transcript:ORUFI07G20670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMSMAQIMRKTSVVVLQEEEDLTSSATRRAPAPPPREVVRVRLRLTPAPQEEACVEQEGKGGPCPLLLRRGATPDDQELQRRRRALAGERAGAVTVAGEAGLLDGARHAERGHRRGPFGHGQAEGGLAVEGGGPRRELAKMPTKAGTSCPEPEPEGPVASHAQPLNPEGLSSPTAVARRGRRARSGGQGYGAGCSGAGGSGRVQRGRSTAAEPLLRAAARRRRGGEPQGRPAGGRRQAAAERRPAARRRLGASGGTARPAVRRRRTAACRLRICGAAATRRRRGLAAAAGAGAAWSLGGLAAISPSPSTVGHLGTSLNSVQFYIHYWLLRNLVAITRKSIKRQLLALKVKISSHRSHSNCYIMSLAAVASPHFPPSWAYQIRMAASQGQFLHAISLFLQMRASVVPRSSVPASLPAALKSCAGLGLCTLAASLHALAIRSGSFADRFTANALLNLCIKLPGFHHPFGTNGPSGEGGLESAAYESMRKVFDDMLERDAVSWNTLILGCAEHKRHQEALSMVREMWRDGFMPDTFTLSTVLPIFAECADIKRGMVVHGYAIKNGFDNDVFVGSSLIDMYANCTQMDYSMKVFDSFSDCDAVLWNSMLAGYAQNGSVEEALGIFRRMLQAGVRPVPVTFSSLIPAFGNLSLLRLGKQLHAYLIRARFNDNIFISSSLIDMYCKCGNVDIARRVFNGIQSPDIVSWTAMIMGYALHGPTTEAFVLFERMELGNVKPNHITFLAVLTACSHAGLVDNGWKYFNSMSNQYGFVPSLEHCAALADTLGRAGDLDEAYNFISEMKIKPTSSVWSTLLRACRVHKNTVLAEEVAKKIFELEPKSMGSHVILSNMYSASGRWNEAAQLRKSMRIKGMKKEPACSWIEVKNKLHVFIAHDKSHPWYDRIIDALNVYSEQMIRQGYVPNMEDVLQDIEEEQKREGQPPLDASAIYNSSLRHFEISDSKFPLHSISLSYDNLAWMLALVEISADLWSIRSALQGTEEIGCLVYFPCVIKLFVLEE >ORUFI07G20680.1 pep chromosome:OR_W1943:7:20340407:20341069:-1 gene:ORUFI07G20680 transcript:ORUFI07G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRRSYAIHRTPALPRIVSNSSSVATAEDAHRGGNYKQRPICRGDERLPMPPLHSKRRRDEHHRRDYHYDDDDYAYRASKKKKQQAAAEQAARMPNNRTTRPTTRRASSSRSRTKEESHARGGGAAPRRENKDRKDGAGGRRSQRRAPTISGPRVPPPATPPPAALPDSLTAQDALSAAAARAREISLMREEARRELAKMVRTVEFNDPYISPMDALKP >ORUFI07G20690.1 pep chromosome:OR_W1943:7:20342096:20343482:1 gene:ORUFI07G20690 transcript:ORUFI07G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISLACFADWALRGLDYGGGSSDLSMLVGSSGGGRRTVGDGGGEAPKLENFLDGNLFSDVHGQAAGGYLYSGSTVNGAGGYSNGGCGGGTIELSMIKTWLWSNHPQPQPSPPQHADQDMSTDASASSYACSDVLVGSCNGGGGGAGGTASSHGQSLALSMSTWSVASTAGGSVVVAAESSSSENRRVDSPGGAVPRKSIDTFGQRTSIYRAVRDFH >ORUFI07G20700.1 pep chromosome:OR_W1943:7:20345124:20346325:-1 gene:ORUFI07G20700 transcript:ORUFI07G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDTSPESSGPNRHPPPPLFAGRRPPPAGRIPVACSFGGSGAPSSLTEPPAEDFHQFFIHAVSGRRRAETKKQHVLRERTPARRHELSRGNNTLRSLRRVVYTNGSMQLC >ORUFI07G20710.1 pep chromosome:OR_W1943:7:20347530:20352178:-1 gene:ORUFI07G20710 transcript:ORUFI07G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTCLPWCSWQLAAASADNSGGGHGGGVGLAAWWWRATAQQFAEAEAGVGGSGNCGRGCGGGGDAGGCGGGTRIKRAYSRGSLDGLFPNNLGEASAAHAARIEAHPINLARIINGENSGRLSRARRQKATRNPNPQIRYLLALPSKFETRIALEQAESATNPSDWRARRREGDRSTEAMPTTAEDQKQWKVVEVQRINAESHPPPRRDDRCDDLRNDEEFQRVMDNVVFGRGYDPTRDDLRISTDPTAMVELIEYYHKMGLIEVPVRIGTGIIVDFGRPTKNGFSTSDPVVDCEGRDDATPDRADEQVKKQCLMSLELDINRDRGASSTLASFIASFWKLLKEDWQLAPESPDGCEIW >ORUFI07G20720.1 pep chromosome:OR_W1943:7:20353258:20353596:1 gene:ORUFI07G20720 transcript:ORUFI07G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSMGGCLPMGLATTPRTDVGRGQDLGGGGRRAAWICSAIDFIDMEGGVAFIDIAMDGAEGAVDVDALDDDLGVVDQARRLTRPICFTALVKICEGLKMCFTRIRRVAWI >ORUFI07G20730.1 pep chromosome:OR_W1943:7:20366953:20367520:-1 gene:ORUFI07G20730 transcript:ORUFI07G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEEKPLPAEEIQGINDEAEPHPPRRKRNDDLLHDEEFQRVVRDIVIGPDNVPGGGHALRIIRDPATAFEELLECYRKAGLLEGQVWKRCNIFKGLEGLDNLQDEVKMEETVKEEEEEATGCRGRDASPDRPDELAKKRRLDGP >ORUFI07G20740.1 pep chromosome:OR_W1943:7:20368216:20368676:1 gene:ORUFI07G20740 transcript:ORUFI07G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVSDSGGEVRGSDEGAVGGGEVRNSDEGGEEFGDFVGFRVMLTDQKNKQRLLPSVTYPKTNSGAKVGVTDTTCSGH >ORUFI07G20750.1 pep chromosome:OR_W1943:7:20369274:20370001:-1 gene:ORUFI07G20750 transcript:ORUFI07G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEEKPLPAEEIQGINDEAEPHPPSRNKDFLHNEEFQRVMRDVVVGPDYVPGGYALRILTDPATAFEELLEYYRKAGLIEGQVWKRYNIFEEVEGLDNSQDEIKMEEEPMKVEEAVRCRGRDATPDCPNEVKKRRLMDSDREAVPCNLPQECLSNSWYAAV >ORUFI07G20760.1 pep chromosome:OR_W1943:7:20373600:20374637:-1 gene:ORUFI07G20760 transcript:ORUFI07G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGAAAAAAAAGRTPTWKERENNKRRERRRRAIAAKIFTGLRALGNYNLPKHCDNNEVLKALCREAGWVVEDDGTTYRKGCKPPPSSAGGASVGMSPCSSTQLLSAPSSSFPSPVPSYHASPASSSFPSPSRIDNPSASCLLPFLRGLPNLPPLRVSSSAPVTPPLSSPTASRPPKIRKPDWDVDPFRHPFFAVSAPASPTRGRRLEHPDTIPECDESDVSTVDSGRWISFQMATTAPTSPTYNLVNPGASTSNSMEIEGTAGRGGAEFEFDKGRVTPWEGERIHEVAAEELELTLGVGAK >ORUFI07G20770.1 pep chromosome:OR_W1943:7:20376096:20380608:-1 gene:ORUFI07G20770 transcript:ORUFI07G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTVKQTKPSCCCSNKISGAVVMGTNLLFLSVFLLYLCMMASSCFAHQFNPSEEAEHSYLRFADVKRQCRSVLASASELTDDAYRGKRVKRELSFEKGDWLQDAGQAPLVPFDGGDAAEDGRRPTLDPLRLATFMVTHVDDDDERRARNAVNVSGLLVLTISRTSASPEIGYHVPVVSSPEFELSPGSTKLRIVFEGVYTEAARSGNGGGERVLCMVGTGVLPTRGDDGADPWGWAKNSGRAGFQPPVATDESMLLVLRYPKELTLTTRAVVGEMRSTRAMSDAAYFDAVKLVSGPTWNRQYEFRRPGELDPAAGTCRPLTSGDDDGNRARDLYNGRYLCDVLERYSHGVITARPFEMDRAEDPAIVGIVLHDLRCQGYDLDMAGKPGGVKVSVVFRALSPREHWYTAVQRTALSGETLSAEGVWNASAGEVSMVACRGIGSKACHFRVCLSFPATFSITGRDMMLGEITTVDVNEAGGGARSSLSFRQRMPPPRLQRCVSGILPVVYRYNYTKVKLAGEFLRRSSSPSDLREIIARSLPLSYPNCGGNGDGKRSLADLADRLTLRFTAMPSLFSPPGWMERPVLHLEVFFLGQLIERFMPASDDATTRSSKIPGDEPCLQEQRLLNVSAELTIFGELRVASSAMSLEGVYDREDGRMYLIGCRDVHHLPWRNSSARRELELEEGMDCSIEVKVEYPPTTTHWFVRSTARVQIASMHFDTVKLWAQPVRYPRRWPDFISRAIVDGVLCVVLLTATIAADLFQLRHLKHHADVAPYVSLVMLGAQALGLVMPLFAGMEALLARVTLQPELDTTRQLPPPGSSYMLDYNRPYQAVDRTAKILAVAAFLLTLCIAWKVRRSRARLLARSLGEAARVPGDGKVFVYCSSAHLALFVVVLALNSSRDATVEQHVGLMQDMFLLPQVIGNAAWRVNCKPLAGSFYVGITAARLLPRVYDLVRPTPVADVFSDDVHAPATASASSREGFFPRAGDVVMPLAAVSLAAAVFVQQRWNYAIVSSMGGSSQQQKGHHIF >ORUFI07G20780.1 pep chromosome:OR_W1943:7:20380611:20383799:-1 gene:ORUFI07G20780 transcript:ORUFI07G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEMVANLQIIGLCLLHLCLAASAAAYYPDMLLQTSFIPRDYARYADVARRCRSALASADELSPFDPVGAGVLARDLSFANGDWGQDAGRAPLMPSHGGDPPFLRLATFALTHIDTDTLLRRRRRPAISAVNVSGVLSFTITRNCCCSSEYAVPHRQVSPEFKLLPGAARLSILFEGVYTETRSSGNDDIGGGERVLCMVGNGVLPMRGGDSADPWAWARNAGDGSFEPPVMADGNMVLVLRYPKVHTLTTRAVRGELTSTSAASDNAYFDAVRMVSRIGQYSSYLFRPEHGELAANGCSTTRPFVCDDGVEGNCAGDLRGGASFCDILTELSPGDHGVLAVVPNWNCNSTDEFCSRLGPFQTGGGATNTTDRMLAGFAIVMQDLRCEPHGGEKPAARVSAVFRAVSPWEDQQLAVRRTGLGGATLSAEGVWRASTGQLCMTGCLGVVDAAAVGDEACHYRVSLHVPTTFSIRHRSIIVGRITAGDGSHFPLSFHQSVPPKHPWNRFGRSEASLRVAYDYTMVKNAGELLRRSEPSGFRSSSIAKALVSYPRQAGGASAAADEMMSLSDLADDLSLHFQPGSKLPFLPEQKVWPQWPALHLDMLSVGPLVGSYSPPFRTLPSTPVARAEIDGGVEQQLLNVSAVLSLSGKMFGWSPVMSLEGVYNQEDGRMYLIGCRNVEAPWRIVSTSRDLEDGMDCSIEVRVEYPPKTTRWLFSPTATAYISSTRDAGDPLHFNTTELRTTPISYRGGRRDAPPDTLTEQTIEGLVCIAMLSGTIAAAVGQLRYIASRPDVAPYVSLVALGVQAVGYTATLVTDAKMLPAWPTYNYRMYVGHLHWNMDSTVKALTLAALLLTLRLAQKVRRSRARARARSPAEPGRVPSDGAVLLRSSGFYLAGLVFVLAVHAVATHTSSTSKQEVFYDQQKAAAAASHAPPSCMRTRGAVVERYVGLVKEWFLLPQVIGNAVWRVNCKPLRNAYYGGVTAVWMLPHVYRYLRAPEVYIYRPEVQDDAMAFYAKATDVVVPVVAVALALLIYVQQRWNYKIVGWSLLRTVQTKVQHVY >ORUFI07G20790.1 pep chromosome:OR_W1943:7:20387132:20388577:-1 gene:ORUFI07G20790 transcript:ORUFI07G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFHPLAASRVRISPLIPAAAMAGTAGAAAASYAQHRRRFCAIVATAAASPVPAAAAAAATGFDFNAYMGEKAAAVNRALDASIPADEPPAALHEAMRYALLAGGKRVRPALCLAACAVVGGREAWAMPAAAAVEMVHTMSLVHDDLPCMDDDDLRRGKPTCHVVYGEPIAVLTGDALLSLSFHHMARFDSYPPDIDADKHPARVVRAIGELARCIGSEGLVAGQVVDLEMTGSTETVPLERLEYIHLHKTAALLEASVVIGAILGGGSDEQIESLRMYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLEKSRDCFLMQGNNFQDLIKRPQHHFCTWPIILPIGRTEVMGTPLIIVDL >ORUFI07G20790.2 pep chromosome:OR_W1943:7:20387171:20388577:-1 gene:ORUFI07G20790 transcript:ORUFI07G20790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFHPLAASRVRISPLIPAAAMAGTAGAAAASYAQHRRRFCAIVATAAASPVPAAAAAAATGFDFNAYMGEKAAAVNRALDASIPADEPPAALHEAMRYALLAGGKRVRPALCLAACAVVGGREAWAMPAAAAVEMVHTMSLVHDDLPCMDDDDLRRGKPTCHVVYGEPIAVLTGDALLSLSFHHMARFDSYPPDIDADKHPARVVRAIGELARCIGSEGLVAGQVVDLEMTGSTETVPLERLEYIHLHKTAALLEASVVIGAILGGGSDEQIESLRMYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLEKSREFAEKLLSDAREQLSGFDQETAAPLLHLANYIAYRQN >ORUFI07G20800.1 pep chromosome:OR_W1943:7:20391666:20394634:-1 gene:ORUFI07G20800 transcript:ORUFI07G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKKPPAVSDVGAWAMNVVSSVGIIMANKQLMSSSGYAFSFGTLRAFARSSSSPFPSPQIWIRRFGFVPATTLTGFHFTVTALVGWISNATGYSVSKHVPLWELVWFSLVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCLMEWVLNSKHYTTKVISAVVVVAAGVGICTVTDVEVNAKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQAVSLIILGPFADYYLNGRWLLNYNFSTGATFFILLSCSLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLILGWILFDSALTVKNILGMLLAVMGMVVYSWAVETEKKASAPIPRNKSDMLDDSEDVPLKARVSGLPSSDLEEGEMKS >ORUFI07G20810.1 pep chromosome:OR_W1943:7:20401808:20406297:1 gene:ORUFI07G20810 transcript:ORUFI07G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAESLGAETPFASVAASELFSLDLSKTEEALTQAFHRSIGVRIKAEAEIIEGEVVEISIDRPVSGGSSAPSGVAAAGKIGRLTLKTTDMETVDELGGKMIEALGKEKAGMDVVALDKAFGKVTKLGRSIGRSRDAGITMLFVLRPSPSSALRASSRSARRSCIVSTLHEIDVINSRTQGFLALFTGDTGEIRAEAREQIDTKVAEWREEGKAEIVPDIECFSFLNRALENDVTPILVIATNRGITSIRGINYQSLHGIPPDFLNRLLIITTQPYTEDDIPKVLDIRCDEEDVEMSAAYAKKHMGKIVEMEDISRVYQLFLDVKRSAQYLMEYQSHRVSVRCSSRVSTMIF >ORUFI07G20810.2 pep chromosome:OR_W1943:7:20401808:20406297:1 gene:ORUFI07G20810 transcript:ORUFI07G20810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAESLGAETPFASVAASELFSLDLSKTEEALTQAFHRSIGVRIKAEAEIIEGEVVEISIDRPVSGGSSAPSGVAAAGKIGRLTLKTTDMETVDELGGKMIEALGKEKAGMDVVALDKAFGKVTKLGRSIGRSRDAGITMLFVLRPSPSSALRASSRSARRSCIVSTLHEIDVINSRTQGFLALFTGDTGEIRAEAREQIDTKVAEWREEGKAEIVPDIECFSFLNRALENDVTPILVIATNRGITSIRGINYQSLHGIPPDFLNRLLIITTQPYTEDDIPKVLDIRCDEEDVEMSAAYAKVLLTKIGVETALRYAIHLITSAALAWQKHMGKIVEMEDISRVYQLFLDVKRSAQYLMEYQSHRVSVRCSSRVSTMIF >ORUFI07G20820.1 pep chromosome:OR_W1943:7:20406689:20414973:1 gene:ORUFI07G20820 transcript:ORUFI07G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGQPNSAAAADKTQNSSVPPVEGVAGGGTSYGWVDGGLQASSLGNGAIDPTKIHSADLLHVWSMPSTANVSQQEAPRPLEHVNLLAARNERESFQIALRPKVSWATSGIAGSVQVQCTDLCSSAGDRLVVGQSVTLRRVVPMLGVPDALVPIDPLNSQINLLPGETSAIWVSLNVPCGQQPGLYEGEIFISAVRAEAESRGESLTKSERYQLYKELRNCIDITEPRDYSSSEEMVQRLTSASTTLRRMLALPSFQDCQENNGLGDMMDEDIMNNVAVRLKLSLTVWDFTLPLTPSLPAVFGISETVIEDRFCLEHGTKGWYDALDHHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKAKEYYSDPRLAAYAVPYAPILSSTDAAKNSLRREVEILKSEAHWSKSYFYLWDEPLNMEQYDVICSISNELRSYASDVRILTTYYCGPSGSELAPSTFEAFVKVPNVLRPHTQIFCTSEWVLGTREDLVKDIVAELRPDLGEEWWTYVCMGPSDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASTRLERILSGMQDIEYLKLYSSRYGREEGLALLEKTGVYLGPDRYALDHGPIDVMRGEVYRTCRS >ORUFI07G20820.2 pep chromosome:OR_W1943:7:20406689:20414973:1 gene:ORUFI07G20820 transcript:ORUFI07G20820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGQPNSAAAADKTQNSSVPPVEGVAGGGTSYGWVDGGLQASSLGNGAIDPTKIHSADLLHVWSMPSTANVSQQEAPRPLEHVNLLAARNERESFQIALRPKVSWATSGIAGSVQVQCTDLCSSAGDRLVVGQSVTLRRVVPMLGVPDALVPIDPLNSQINLLPGETSAIWVSLNVPCGQQPGLYEGEIFISAVRAEAESRGESLTKSERYQLYKELRNCIDITEPRDYSSSEEMVQRLTSASTTLRRMLALPSFQDCQENNGLGDMMDEDIMNNVAVRLKLSLTVWDFTLPLTPSLPAVFGISETVIEDRFCLEHGTKADHPKAKEYYSDPRLAAYAVPYAPILSSTDAAKNSLRREVEILKSEAHWSKSYFYLWDEPLNMEQYDVICSISNELRSYASDVRILTTYYCGPSGSELAPSTFEAFVKVPNVLRPHTQIFCTSEWVLGTREDLVKDIVAELRPDLGEEWWTYVCMGPSDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASTRLERILSGMQDIEYLKLYSSRYGREEGLALLEKTGVYLGPDRYALDHGPIDVMRGEVYRTCRS >ORUFI07G20830.1 pep chromosome:OR_W1943:7:20420063:20423319:1 gene:ORUFI07G20830 transcript:ORUFI07G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNPTGTEGEPGPAVEPAPAGAGAGAAPVVKKKRNLPGTPDPDAEVIALSPGTLLATNRFVCEVCGKGFQRDQNLQLHRRGHNLPWRLRQRGPGAAPPRRRVYVCPEPGCVHHNPTRALGDLTGIKKHFCRKHGEKRWTCQRCGKRYAVQADLKAHTKTCGTREYRCDCGTLFTRRDSFVTHRAFCGALVEETGRVLAVPAPPSPRPPDLEAEENVDKDKDTEEEVKEKEKEKELEENEDSPVAEVDEPQPSQAVAEVPQQCAPSPPPPPPILQEHPQPVVAVVPNVDEQEVVAKPAVIAKIEVEDERDEEVCFQEVDRYKDAELEDSNLLDNDTPMLPCFLPSPSEAIGTDGSSTSCGTGSSVTNAIAPATTTSTFAGLFASVTTSSTPQSRSLRDLIGVDPTFLCLAIGAPSSLFPQTNASDPCSFAPPPAPHMSATALLQKAAEVGASQSSSSFLKEFGLAASTSSSPPSKLSQGRFTTGNTPTTSHPHPHPHPHPHPPQGRFMDNVPQPPLPAKLPHRMFTDNSVQQWHHRSNQQMEMEPGPMLPGGLGLGLTYDSGNSGLPDLMMGPSALYGPKPATLDFLGLGIGGTMGGSTANGGLPALMVGGELDMGSAQAPWEEAKRKTNGRTIL >ORUFI07G20840.1 pep chromosome:OR_W1943:7:20438872:20440256:1 gene:ORUFI07G20840 transcript:ORUFI07G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGEKQQQQQMFASYVDASLLAASGEVQGERPRARRRRRRGARCVGGGGGGGGEVDGGDPKKRRLSDEQVEMLELSFREERKLETGRKVHLASELGLDPKQVAVWFQNRRARHKSKLLEEEFSKLKHAHDAAILHKCHLENEVLRLKERLVVAEEEVRRLRSAAGSHTASGEGGDIMGLGGSGACVAGSPSSSFSTGTCQPPSFGGGDHLGDDDLVYVPEYGGYADNSVVEWFSLYGLI >ORUFI07G20850.1 pep chromosome:OR_W1943:7:20441497:20441801:1 gene:ORUFI07G20850 transcript:ORUFI07G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLIVVQVWDHDLDVCRQQEKVAREDGYRFCHPIAISVSSVSVSGPNNSGTLDSEAATKSSIICMHPATLHS >ORUFI07G20860.1 pep chromosome:OR_W1943:7:20460378:20463126:1 gene:ORUFI07G20860 transcript:ORUFI07G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTSNSRRLCNGRGQVIPGPTLPEAVKMGPHAIRIVVGGLGEGELSTATTVHGPERASSSSAPPEMASAALPEAVAPKKKGNVRFAFACAILASMTSILLGYDIGVMSGASLYIKKDFNISDGKVEVLMGILNLYSLIGSFAAGRTSDWIGRRYTIVFAAVIFFAGAFLMGFAVNYAMLMFGRFVAGIGVGYALMIAPVYTAEVSPASARGFLTSFPEVFINFGILLGYVSNYAFSRLPLNLGWRIMLGIGAAPSVLLALMVLGMPESPRWLVMKGRLADAKVVLEKTSNTAEEAAERLADIKAAAGIPEELDGDVVTVPKRGSGNEKRVWKELILSPTPAMRRILLSGIGIHFFQQASGIDSVVLYSPRVFKSAGITDDKHLLGTTCAVGVTKTLFILVATFFLDRVGRRPLLLSSTGGMILSLIGLGAGLTVVGQHPDAKIPWAIGLSIASTLAYVAFFSIGLGPITWVYSSEIFPLQVRALGCSLGVAANRVTSGVISMTFLSLSKAITIGGSFFLYSGIAALAWVFFYTYLPETRGRTLEEMSKLFGDTAAASESDEPAKEKKKVEMAATN >ORUFI07G20860.2 pep chromosome:OR_W1943:7:20460107:20463126:1 gene:ORUFI07G20860 transcript:ORUFI07G20860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALPEAVAPKKKGNVRFAFACAILASMTSILLGYDIGVMSGASLYIKKDFNISDGKVEVLMGILNLYSLIGSFAAGRTSDWIGRRYTIVFAAVIFFAGAFLMGFAVNYAMLMFGRFVAGIGVGYALMIAPVYTAEVSPASARGFLTSFPEVFINFGILLGYVSNYAFSRLPLNLGWRIMLGIGAAPSVLLALMVLGMPESPRWLVMKGRLADAKVVLEKTSNTAEEAAERLADIKAAAGIPEELDGDVVTVPKRGSGNEKRVWKELILSPTPAMRRILLSGIGIHFFQQASGIDSVVLYSPRVFKSAGITDDKHLLGTTCAVGVTKTLFILVATFFLDRVGRRPLLLSSTGGMILSLIGLGAGLTVVGQHPDAKIPWAIGLSIASTLAYVAFFSIGLGPITWVYSSEIFPLQVRALGCSLGVAANRVTSGVISMTFLSLSKAITIGGSFFLYSGIAALAWVFFYTYLPETRGRTLEEMSKLFGDTAAASESDEPAKEKKKVEMAATN >ORUFI07G20870.1 pep chromosome:OR_W1943:7:20463110:20464759:-1 gene:ORUFI07G20870 transcript:ORUFI07G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPKKKNASYAFTCAILASMASIILGYDIGVMSGASLYIKKDLKITDVQVEILMGILNIYSLVGSFAAGRTADWIGRRFTVVFAAAFFFAGALLMGFSGDYATLMVGRFVAGVGVGYAIMIAPVYTAEISPASSRGFLTSFPEVSINLGILLGYVSNYAFARLPLSLGWRVMLGVGAAPSVLLALMVLGMPESPRWLVMKGRLADAKAVLEKIADTPEEASERLADIKAAAGIPDDLDGDVVTVSKKRGGEEGQVWRELVVSPTPAMRRIVLAAVGLHFFQQASGVDSVVLYSPRVFQSAGITGDDQLLGTTCAVGVAKTVFILVAAFLLDRAGRRPLLLTSTGGMVFSLVGLATGLTVVGGSPDAQVPSWAVGLCVASILAYVAFFSVGLGPMSGVYTSEIFPLRARALGFAVAVACNRVTSGVISMTFLSLSSAITIGGSFFLYAAISSLAWVFFFTRLPETRGQTLEEIGKVFGMDDTAMEAEDSAAYRERLLATSP >ORUFI07G20880.1 pep chromosome:OR_W1943:7:20467182:20467516:1 gene:ORUFI07G20880 transcript:ORUFI07G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRARTLTGDHLRSSSSSNQAPSWGAKRARSGSALRDRSLPDSEWYVTYVSKLLFDRRDWSSIGNGPAWLISERVLAGDDVADYVSFRAV >ORUFI07G20890.1 pep chromosome:OR_W1943:7:20467535:20470353:1 gene:ORUFI07G20890 transcript:ORUFI07G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPRAHGPLDSRFHPRQWLAVPDRRRFLNVSSGRCIQADLPELRGHRVFGPTAEGLLVLLEEATFAVRLLNPLTRQLTDLPPATTVLDPTSLMISPVHYLLHVLTVHGAGLADGSATVVVYFENARKLTAAKPGDERWTVVEPDASFASVSSFAGRFYCATRHAIRPVIAEVSHSHGGGRRSSKYSVHRVYFDSKRTVSMVVTRFRRQALFIGAYRAISVNPEAFPGISPDTVYPGFDCDDKPSSRQVGGGLDGSNVAFPHTADDCLSLCISQPPRRSHAGGSGRNPSRPCRHLPHPL >ORUFI07G20900.1 pep chromosome:OR_W1943:7:20470350:20470775:-1 gene:ORUFI07G20900 transcript:ORUFI07G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAAASRAGHLLPSPAPPAASQLLPSPSPRAPPVRDVLGDDVGLLCQSTHRPASSAATGVASPRSGHGEARSAAEGAESASGVAGFALWTSSDAAFRLTLATPPPTPRGRIVAGWFSPPPPSWPAARFPAAGSGGGEGG >ORUFI07G20910.1 pep chromosome:OR_W1943:7:20471164:20471352:1 gene:ORUFI07G20910 transcript:ORUFI07G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWELKAAAIFSPGVSPLAVAACRCKMTTLDGPVWGREDSSFSLTLSLSNPLAWMEPERAEV >ORUFI07G20920.1 pep chromosome:OR_W1943:7:20471415:20471645:-1 gene:ORUFI07G20920 transcript:ORUFI07G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNDASKEEATPKSAAVARPRTGCGFHLKDQRRRKAQQRPAGVAVAGPKARLSPIAFLLPRVNECSSESTATSC >ORUFI07G20930.1 pep chromosome:OR_W1943:7:20472171:20477860:-1 gene:ORUFI07G20930 transcript:ORUFI07G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMAGLIGERGKKTSRLLLLAPPRRVAAEQGSGGDLHLASRAGERIMTTSRRLSDRKVARFEKNVTKRGSVPETVKKGNDYPVGPIVLGFFVFVVVGSYHQDSPKCWILLRTIHSNRFLSSASVFFLSDLQRISSAFTTRNLLVGLVNRDGVLFLPEMRRPKNKYGFVTAVLSSATPLLLGYDLVMVCGSATLPEPPGVKLLACVAVASCVLGALAAVGAQCVVGDRCTVLLSAAVLCAGALARGLATSFAAFEAGVFVNGVGMGLALMSVPAYAGELSPSSLHRGLTSHPDGFVCLGCILGGLCFSPRFLNLPVRVAWRLTVATGTAIPALLGFAVLLMPELPQWLLTKDHARRVLSRTLSLEDAELRLLETKTELGEPHDVGCDDTVATPAWRTRWREERALWLELLARPTEPVRRNIVSALVAKAFQQASGIGSMFLYVQRAFRDAGVPSDTRMTRALVAFGLVVFAFFAVSTVLLELAWLLVKALAGGCCPRRAPAPAPAPADHPSSPHAHRGGVAMGMKRRREQLKWARSLSATMLMSLMALVWLLLGPVQMADASSSSGWPRWLRTAVAAVNRAVRAAILWSFAWVYEVTAVYGNLLACSAIIVFAWFLVYFGVLGAKER >ORUFI07G20930.2 pep chromosome:OR_W1943:7:20472171:20477860:-1 gene:ORUFI07G20930 transcript:ORUFI07G20930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMAGLIGERGKKTSRLLLLAPPRRVAAEQGSGGDLHLASRAGERIMTTSRRLSDRKVARFEKNVTKRGSVPETVKKGNDYPVGPIVLGFFVFVVVGSYHQDSPKCWILLRTRISSAFTTRNLLVGLVNRDGVLFLPEMRRPKNKYGFVTAVLSSATPLLLGYDLVMVCGSATLPEPPGVKLLACVAVASCVLGALAAVGAQCVVGDRCTVLLSAAVLCAGALARGLATSFAAFEAGVFVNGVGMGLALMSVPAYAGELSPSSLHRGLTSHPDGFVCLGCILGGLCFSPRFLNLPVRVAWRLTVATGTAIPALLGFAVLLMPELPQWLLTKDHARRVLSRTLSLEDAELRLLETKTELGEPHDVGCDDTVATPAWRTRWREERALWLELLARPTEPVRRNIVSALVAKAFQQASGIGSMFLYVQRAFRDAGVPSDTRMTRALVAFGLVVFAFFAVSTVLLELAWLLVKALAGGCCPRRAPAPAPAPADHPSSPHAHRGGVAMGMKRRREQLKWARSLSATMLMSLMALVWLLLGPVQMADASSSSGWPRWLRTAVAAVNRAVRAAILWSFAWVYEVTAVYGNLLACSAIIVFAWFLVYFGVLGAKER >ORUFI07G20940.1 pep chromosome:OR_W1943:7:20490319:20493996:1 gene:ORUFI07G20940 transcript:ORUFI07G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPLAALRPAPFPLPRLLPCPASAAARRGAVAFSLQTNVRLLKPNRRSRRSRYPYYDHDEDEDDDEAEFEFEEGEEEEEDGYETDDDLSGLEYPGVLYSNNPRAPIKKPGREKPALKQNWEGRQPKTRDRCDTSKKVDALHAKSKASRSTGLVDIDNEVELKNESISRSLFQKLQEEYDFDDKWLPLIDYLCTFGLKESHFTNMYERHMACFQISQASAEERLEFLLSVGVKSKDMKRMLVRQPQILEYTLSNLKSHVAFLVGIGVPSARIGQIISAAPSFFSYSVEQSLKPTIRYLIEEVGIEESDVGKVVQLSPQILVQRIDSAWKSRFLFLSKELGAPKDNIVKMVTKHPQLLHYSIEDGILPRINFLRSIGMKDTDVLKVLTSLTQVLSLSLEENLKPKYLYLVNDLKNDVQSLTKYPMYLSLSLDQRIRPRHRFLVSLKKAPKGPFPLSSFVPTDERFCKRWAGTSLEKYHTFRQSMLLKGFSEKTGRKTLTSRRKRPGLLCWYSIISIRHTYINITDTSLTTV >ORUFI07G20950.1 pep chromosome:OR_W1943:7:20494986:20497515:-1 gene:ORUFI07G20950 transcript:ORUFI07G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKCSVLLNRAREFEPSRANGGYILSTSSYPQIRQYAASPDEHLRSLPSLLPPPPGQELPLAYLRAQRQSSGNYRGIQAQRRPLIDQTGALQSSFPESICLKEELQSLSMPRNSPNAGRNLVGHPHSSSKSSSKPCHFHFFRGYCKKGVNCQFFHGSVPELHNPRQVHPFASLSKLDMEIRELLIGIPPPVAVDRLPSMYFEKYGKPLRPDGWLTESQQHGRTGCSLTSLLMGLNTIRVVEREHGQYHVVLVEDARKKYMDCLGLAHSCNLMDTGTGSNQIYMTFPVHSKFTDDDVENYFKYCLNFIVTRQFGPVSGVRIPYQEKRMFGFVSFLYTETVRLILSKGTAHFICGSRVLVKRYMEKPELRKIYRKNKQFDYREHRTSGFGVTNEHYIGNNMKKKSHRSDDLDEASAYEDSDEIILPDSLGLY >ORUFI07G20960.1 pep chromosome:OR_W1943:7:20502810:20512135:-1 gene:ORUFI07G20960 transcript:ORUFI07G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLERGAYAPMSTGFAAQAKLDQLEPSCANAIVQYANISKPSWISWYAFSARDEAIQALINEAKSYYMRFPPIQHLQQLPLLQSPSTRDYLNAQVNVLGSGVHEMHNQRQSHPRGRQRDFFGEGPDFTIYITINFDGGRLTKENIWDYFKKFGPVINVYLSCKPGNEKYTFGFVTFENADTVSLLLSKSTPHFIFGVKVRVKRYLEWTKQEQRKLPQENDHFDNVAHRTSCANAFDGMPRDYLNAQVLGSGVPELHKQRQSHPRGSQRDFFGHNQRQSHLHGSQRDFFGQSTEFTIYITIAKNILTWKNIRDYFKKFGPVINVYIPFKPDNEKHTFGFVTFENDDTLPQRNDRFDNVAHRTSCDNAIEGHSGQKMPNFIELSQEILTHQFGDFDSPLTHNLSEKKTESPEGSAVFQMTYLVRNSEGEAALV >ORUFI07G20970.1 pep chromosome:OR_W1943:7:20503478:20504016:1 gene:ORUFI07G20970 transcript:ORUFI07G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSSGSSSSDQRRRSDLPLIKCLCCRVTNIVELTATTDANRGCIFFTCPDHEKDGSGCNFWYWEEGYVKYLERKGYIADKELRDVKHMSERKKAVNNEDGGEDEVKKLMLSLVSIGLEIVQILKGMLVGFILLVVALVCLVLAVWLK >ORUFI07G20980.1 pep chromosome:OR_W1943:7:20520791:20526337:1 gene:ORUFI07G20980 transcript:ORUFI07G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPTSRMIHPFSNIPSQNLKQFQYSDNPQHPCHPYRAPSDTHVVPHHYGLKSHSPDAGYESQATPNKYTLDSSEGAGCMRHDSPSSQSFTTRSGSPLSQEDSHSDSTDGSPVGASCVTEDPNDLKQKLKDLEAVMLGPDSEIVNSLENSVANQLSLEPEKWVRMMGIPRGNLKELLIACARAVEEKNSFAIDMMIPELRKIVSVSGEPLERLGAYMVEGLVARLASSGISIYKALKCKEPKSSDLLSYMHFLYEACPYFKFGYMSANGAIAEAVKGEDRIHIIDFHISQGAQWISLLQALAARPGGPPTVRITGIDDSVSAYARGGGLELVGRRLSHIASLCKVPFEFHPLAISGSKVEAAHLGVIPGEALAVNFTLELHHIPDESVSTANHRDRLLRMVKSLSPKVLTLVEMESNTNTAPFPQRFAETLDYYTAIFESIDLTLPRDDRERINMEQHCLAREIVNLIACEGEERAERYEPFGKWKARLTMAGFRPSPLSSLVNATIRTLLQSYSDNYKLAERDGALYLGWKSRPLVVSSAWH >ORUFI07G20990.1 pep chromosome:OR_W1943:7:20524912:20529913:-1 gene:ORUFI07G20990 transcript:ORUFI07G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPNPSSGDHPAGVGGSPEKQPPVDRRVAALAAGAAGAGARYKAMSPARLPISREPCLTIPAGFSPSALLESPVLLTNFKVEPSPTTGTLSMAAIMNKSANPDILPSPRDKTSGSTHEDGGSRDFEFKPHLNSSSQSTASAINDPKKHETSMKNESLNTAPSSDDMMIDNIPLCSRESTLVVNVSSAPSQLVGMVGLTDSSPAEVGTSELHQMNSSGNAMQESQPESVAEKSAEDGYNWRKYGQKHVKGSENPRSYYKCTHPNCDVKKLLERSLDGQITEVVYKGRHNHPKPQPNRRLSAGAVPPIQGEERYDGVATTDDKSSNVLSILGNAVHTAGMIEPVPGSASDDDNDAGGGRPYPGDDAVEDDDLESKRRKMESAAIDAALMGKPNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNTGCPVRKHVERASHDPKSVITTYEGKHNHEVPASRNASHEMSTPPMKPVVHPINSNMQGLGGMMRACEPRTFPNQYSQAAESDTISLDLGVGISPNHSDATNQLQSSVSDQMQYQMQPMGSVYSNMGLPAMAMPTMAGNAASSIYGSREEKPSEGFTFKATPMDHSANLCYSTAGNLVMGP >ORUFI07G21000.1 pep chromosome:OR_W1943:7:20535341:20535946:1 gene:ORUFI07G21000 transcript:ORUFI07G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLIHQVERDFPPSSSSANGGTTPIHNAMNLIDVQAPSSSGGFLDVLLSESTGHETDLVVDVESVDNTDVAAVTVEIDEQLDEDACEY >ORUFI07G21010.1 pep chromosome:OR_W1943:7:20539330:20540715:-1 gene:ORUFI07G21010 transcript:ORUFI07G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEAVPTGSGDVRGGAGEARSCCSGFSNRRIPLYGSTPTTHSPRGCVLLRFRPAAAAAVSILGVESTCCIFVSDFVSVCFFSRMPDWCSGSNFKHRWGGVRFKRTMLQLEPDQKQFIIDNGFESFLSLNNFKVHSRLAEWIMQKMNPEICEFRFRGKVIVFDKLLVQKITGLNDGDLPVKLSGANSEVVKEIRTLYHPYFVSNRLGTGMCEKLLLSLHDEEKFLRTFILYLLATILCPATGNYVNLDYVHSLVDVQMWS >ORUFI07G21020.1 pep chromosome:OR_W1943:7:20543211:20546766:1 gene:ORUFI07G21020 transcript:ORUFI07G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVESLPGPKVFKCKFCGVDSASPDAIVSKEFRGRHGRAYLFDSVVNVSLGPREDRLLMTGLHTVNDIYCSCCQRLLGWRYEKAYSEDQKYKEGKYILEKHMMLKEG >ORUFI07G21030.1 pep chromosome:OR_W1943:7:20548157:20550428:1 gene:ORUFI07G21030 transcript:ORUFI07G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNPTPPRRATTTTTTTRATSGVRRGEEEQGGMAVSASAGEEEEAFEEVDPTGRFGRYADVLGLGSVKKVYRGFDQEEGIEVAWNRVRLRALADRDPAMVERLHAEVRLLRSLHHEHIIGFHKVWLDRDAGVLNFITEVCTSGSLREYRDRHRHVSVKALKKWARQILLGLDHLHTHDPCIIHRDLNCSNVFINGNTGQVKIGDLGLAAIVDKTHVAHTILGTPEFMAPELYTETYTESVDIYSYGMCVLEMVTREMPYAECDSVVQIYHSVTRGVPPAALKRIRDPELRAFIERCIGQPRNRPSAAELLRDPFFAGIDDDDSTGTLG >ORUFI07G21040.1 pep chromosome:OR_W1943:7:20555411:20558192:1 gene:ORUFI07G21040 transcript:ORUFI07G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEMEVEVEVEMSPAAAKAAVFSPYSSPSTALLLQRRVVSWAKETGSPATVSVHVGDRSFNLHKDPLVSRCGYLRQAILRCGDGDGEVVELPASFPGGSEAFEVIGLYCYGDAVALDPFNVAAVRCAAEFLDVSGLGARCDLYINQVVLQSWDDALIVLQRCQPLLPVAEELLVVSRCVESLAFMACMEILDPDGDEQRRERDQPGLLAAAAARGLAGRRWDAELVKELAARDLWIKDLVALPFEFFRRIVLALRRQGMKEKYVSPVVLFYANKWVLSKKTHKFMASTDTGDGETDANRRATAILQGVIDLLPLESSAATGGAIPVSFYFALLARSITLELSDESQTKLRELVASNLQFARVDDLPLPEPEQDAGGQSIAGSPEVRAMESIVASHVSMQRRGAEAVAELWDRYIAQIVGDPKLRPDRLAELIGVVPAGDRKSHDHLYEAIDTYIVEHPGLSGDEKASLCGHLECRKLSHEACIQAVQNDRMPLRLIVQALFVQQLHTHRAFTECSDSFRCMHSGELLVPVSGGAAAATAYTPSPGCTTAVPTSQPLSTSSPYTDTAHATRDGRKLVRARAGDDDDDAASGYETASFRIQALEQEILSLKQTLQRHNTVKKSSSRKEASFRMDTAATPAAAAAVRRRAPVSSSSCIGSMRWGSQRRCASRILRIFARLAVFGRGSRSSSSSSTSRGKQSKCRASAEQLSSVACRTKHAARD >ORUFI07G21050.1 pep chromosome:OR_W1943:7:20560835:20562074:1 gene:ORUFI07G21050 transcript:ORUFI07G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWWRCVWQRRWVVATEEIGVVSLVLSSTVGGGTEMSRVGFGGADRGWGDTVLKAEIGGCTETVDEPEIGGGTEETDEAEFPVKIGGGAEETSEKGDERRSGEWRGQLAGWEGGCGVRRATAEWAMRSGRRVGARAPGDDGGGDVHRRDGRENWRRRWISRLEGKCNDFGGVGVREGGCYPGRQISWG >ORUFI07G21060.1 pep chromosome:OR_W1943:7:20562054:20565022:-1 gene:ORUFI07G21060 transcript:ORUFI07G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPIQGALRLVPQQEKVSALNEGSSPCSLPPLISASTPPDRRRSDSVCSANTLFHLLRLKRHHDQHKSPTPVRMDANREDGGARKSLGDITNHRQGHVDQFGLSNESQRKKERNFKQRIYRANLEAKKKCSTSPMQTTHVSEYEECDSAEVNVAEIATSTKREKNNSYLREWRARNRAKSSVVNSTVTSVNPTQATTTSEINQSEGGVVGTSELSAKKKREDTNKYLREWRARKKAKSTDGCNTVSSGTPMQSTIMTPEEHNEDGAVQRSSIYPIVKQILSLILSFITQRPDYPQEI >ORUFI07G21060.2 pep chromosome:OR_W1943:7:20562054:20565022:-1 gene:ORUFI07G21060 transcript:ORUFI07G21060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPIQGALRLVPQQEKVSALNEGSSPCSLPPLISASTPPDRRRSDSVCSANTLFHLLRLKRHHDQHKSPTPVRMDANREDGGARKSLGDITNHRQGHVDQFGLSNESQRKKERNFKQRIYRANLEAKKKCSTSPMQTTHVSEYEECDSAEVNVAEIATSTKREKNNSYLREWRARNRAKSSVVNSTVTSVNPTQATTTSEINQSEGGVVGTSELSAKKKREDTNKYLREWRARKKAKSTDGCNTVSSGTPMQSTIMTPEEHNEDGAVQRSSIYPIVKQILSLILSFITQRPDYPQEI >ORUFI07G21060.3 pep chromosome:OR_W1943:7:20561015:20565935:-1 gene:ORUFI07G21060 transcript:ORUFI07G21060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDANREDGGARKSLGDITNHRQGHVDQFGLSNESQRKKERNFKQRIYRANLEAKKKCSTSPMQTTHVSEYEECDSAEVNVAEIATSTKREKNNSYLREWRARNRAKSSVVNSTVTSVNPTQATTTSEINQSEGGVVGTSELSAKKKREDTNKYLREWRARKKAKSTDGCNTD >ORUFI07G21060.4 pep chromosome:OR_W1943:7:20561013:20565840:-1 gene:ORUFI07G21060 transcript:ORUFI07G21060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDANREDGGARKSLGDITNHRQGHVDQFGLSNESQRKKERNFKQRIYRANLEAKKKCSTSPMQTTHVSEYEECDSAEVNVAEIATSTKREKNNSYLREWRARNRAKSSVVNSTVTSVNPTQATTTSEINQSEGGVVGTSELSAKKKREDTNKYLREWRARKKAKSTDGCNTD >ORUFI07G21060.5 pep chromosome:OR_W1943:7:20561015:20565935:-1 gene:ORUFI07G21060 transcript:ORUFI07G21060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDANREDGGARKSLGDITNHRQGHVDQFGLSNESQRKKERNFKQRIYRANLEAKKKCSTSPMQTTHVSEYEECDSAEVNVAEIATSTKREKNNSYLREWRARNRAKSSVVNSTVTSVNPTQATTTSEINQSEGGVVGTSELSAKKKREDTNKYLREWRARKKAKSTDGCNTD >ORUFI07G21060.6 pep chromosome:OR_W1943:7:20562054:20565935:-1 gene:ORUFI07G21060 transcript:ORUFI07G21060.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDANREDGGARKSLGDITNHRQGHVDQFGLSNESQRKKERNFKQRIYRANLEAKKKCSTSPMQTTHVSEYEECDSAEVNVAEIATSTKREKNNSYLREWRARNRAKSSVVNSTVTSVNPTQATTTSEINQSEGGVVGTSELSAKKKREDTNKYLREWRARKKAKSTDGCNTVSSGTPMQSTIMTPEEHNEDGAVQRSSIYPIVKQILSLILSFITQRPDYPQEI >ORUFI07G21070.1 pep chromosome:OR_W1943:7:20564788:20565444:1 gene:ORUFI07G21070 transcript:ORUFI07G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSILESNAHTFSTALPWRRRCDEISDHRGQATGIGSPRKASAATWRREHLVRRGSVEQIGGGDLAT >ORUFI07G21080.1 pep chromosome:OR_W1943:7:20565480:20565875:1 gene:ORUFI07G21080 transcript:ORUFI07G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILEDEPAAGIRWQIGSPRKASAATWRREHLVRRGSVETGDADDGAAATWRREHLVRRGGGGQAMQRTARRLIRSGAVMAEVRERCSGGGRRCGSGGRSIGREMGRAGRALIEGGGGRIDLDRSDFRRMI >ORUFI07G21090.1 pep chromosome:OR_W1943:7:20575292:20577700:1 gene:ORUFI07G21090 transcript:ORUFI07G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADSGKLFVGGISWETDEDRLREYFSRFGEVTEAVIMRDRNTGRARGFGFVVFTDAGVAERVTMDKHMIDGRMVEAKKAVPRDDQSITSKNNGSSIGSPGPGRTRKIFVGGLASNVTEVEFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPGPAARSPAGGQNYAMSRVHSFLNGFNQGYNPNPIGGYGMRVDGRYGLLTGARNGFSSFGPGYGMGMNSESGMNANFGANSSFVNNSNGRQIGSFYNGSSNRLGSPIGYVGLNDDSGSLLSSMSRNVWGNENLNYPNNPTNMSSFAPSGTGGQMGITSDGINWGGPTPGHGMGNISSLGLANLGRGAGDSFGLPSGSYGRSNATGTIGEPFSAPPNAYEVNNADTYGSSSIYGDSTWRFTSSEIDMPPFGNDLGNVDPDIKSNIPASYMGNYTVNNNQTSRGQ >ORUFI07G21100.1 pep chromosome:OR_W1943:7:20591215:20594772:1 gene:ORUFI07G21100 transcript:ORUFI07G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLAGSQIPSPGQSPCAAARSQRRGAGYSMRTIRSALLQPDSCPGSPHVAAAYDAAGADSDMENLTDSVIDFHLSELAATAGPAHPAAVAKSSSANAAATEMLELSRDFSDYSSFNSDISGELERLAAAAAAVVTPRSDAPQVGAVDLNELESMDLSVEAAPLERVEPFVLACVRALGPDAAPDARRTAAARIRLLAKHRSDIRELIGVSGAIPALVPLLRSTDPVAQESAVTALLNLSLEERNRSAITAAGAIKPLVYALRTGTASAKQNAACALLSLSGIEENRATIGACGAIPPLVALLSAGSTRGKKDALTTLYRLCSARRNKERAVSAGAVVPLIHLVGERGSGTSEKAMVVLASLAGIVEGRDAVVEAGGIPALVETIEDGPAREREFAVVALLQLCSECPRNRALLVREGAIPPLVALSQSGSARAKHKAETLLGYLREQRQGGGGCRVEPVAASSLAR >ORUFI07G21100.2 pep chromosome:OR_W1943:7:20591215:20595071:1 gene:ORUFI07G21100 transcript:ORUFI07G21100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLAGSQIPSPGQSPCAAARSQRRGAGYSMRTIRSALLQPDSCPGSPHVAAAYDAAGADSDMENLTDSVIDFHLSELAATAGPAHPAAVAKSSSANAAATEMLELSRDFSDYSSFNSDISGELERLAAAAAAVVTPRSDAPQVGAVDLNELESMDLSVEAAPLERVEPFVLACVRALGPDAAPDARRTAAARIRLLAKHRSDIRELIGVSGAIPALVPLLRSTDPVAQESAVTALLNLSLEERNRSAITAAGAIKPLVYALRTGTASAKQNAACALLSLSGIEENRATIGACGAIPPLVALLSAGSTRGKKDALTTLYRLCSARRNKERAVSAGAVVPLIHLVGERGSGTSEKAMVVLASLAGIVEGRDAVVEAGGIPALVETIEDGPAREREFAVVALLQLCSECPRNRALLVREGAIPPLVALSQSGSARAKHKAETLLGYLREQRQGGGGCRVEPVAASSLARYLCGSIEYDLADHFTCY >ORUFI07G21110.1 pep chromosome:OR_W1943:7:20617035:20620009:1 gene:ORUFI07G21110 transcript:ORUFI07G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVDAACSCSLLRLIPHSSNQWCVLAAAAASVLERVVVVFVAMESQQEKAVSAPAANGSGGAGGGELIGYVDVHVQSARDIQNICIYHKQDVYARLSLPGEGAPAASTQVINGGGRNPVFDQSLRLGVRAGDVDGALRCEVWMLSRVKNYLQDQLLGFALVPLPDVVAAEGGTLAREFPLSTNDIFHSHAGFLQLELSYIGVVPEVVPISPTPKPALADAEEHRAGGAANGKEYEKIEFPDLNLVEENQIMLSEYIGLPCSAVEPHSSESLLTSEEDGDGATAETHVAGVRVVQSFSTDNSTADSAGTFRSDTPVSSVSTTESPAAAAVPATPQSNSSGNAVSSAEQKEKAASDAADAEVESSRTVQSSASPAANSPCAMSESAVDKPPVIRVNLEQEVKVDQKEIMDMYMKSMQQFTESLAKMKLPLDLDNGSDKSAAVSGAGAAASDADSSGADSGAAAAAAAKKPMAGGPEKSPKVFYGSRAFF >ORUFI07G21120.1 pep chromosome:OR_W1943:7:20623859:20631598:1 gene:ORUFI07G21120 transcript:ORUFI07G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPADVVRQTRELLIFLDLHSGSRGGDAKREEKMAELSKNIRELKSILYGNGESEPVTEACVQLTQEFFRENTLRLLIICLPKLNLETRKDATQVVANLQRQQVSSKIVASEYLEANKDLLDTLISGYENMDIALHYGSMLRECIRHQSIARYVLESDHMKKFFDYIQLPNFDIASDASATFKELLTRHKATVAEFLSKNYDWFFSEFNTRLLSSTNYITKRQAIKFLGDMLLDRSNSTVMMRYVSSKDNLMILMNLLRDSSKNIQIEAFHVFKLFAANKNKPTEVVNILVTNRSKLLRFFAGFKIDKEDEQFEADKEQVIKEISAL >ORUFI07G21130.1 pep chromosome:OR_W1943:7:20635364:20636146:-1 gene:ORUFI07G21130 transcript:ORUFI07G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHTWVISLLLTSAVAGASRQPPATASQGPTWTGLAGEQEMEGAATASSAAPVASLFPGLPPLPPLPALPALPPLPPLPALPPLPPLPPLPPLPSPGTTTTRPRPPSPPPTECLTSLVELLPCVDYLTNDATAPPGACCDGFRSLVGSALICLCHGINGDMSRMISRPIDPVRMVLLPAMCSTMLPPQSLFICYTETVPPLVP >ORUFI07G21140.1 pep chromosome:OR_W1943:7:20637009:20637911:1 gene:ORUFI07G21140 transcript:ORUFI07G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTSSNFASGGGGMWSWNGIGSDAMLPQDNYTLVWWENSEVKLEVVRMRIRLTDLVKNHVSMKRELVRVSPVNRLLRSFPRTLNSLFRTHLAVEPGVQPPGDAPYMCGDSSQMMAGDSKQVQRWPLQPSLRRSDEDDALHQGRLEEHKSSKERGNRARASKVGGLKELAATSLAACHGWMPYRPDLGGVKEGRAWSVGHWRVDPTG >ORUFI07G21150.1 pep chromosome:OR_W1943:7:20639206:20639588:1 gene:ORUFI07G21150 transcript:ORUFI07G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDWTSARGASGGGGGRHGAMRRGRRSGRGVWRGLRRTNAGRRGRRCSGSTCQQRLSGEHRCVSRELAGDERRVKTQPGLAGPTTMFPLQRALSCRLIPQGCLPGENPVLALLSP >ORUFI07G21160.1 pep chromosome:OR_W1943:7:20642651:20642890:1 gene:ORUFI07G21160 transcript:ORUFI07G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPVPYMSVSGTSAAPEEKDDPCSAGGRRGSLLTRQKEMRYDVRRIEPTESLKDTAARGAKPRVSSSRNAGSRTELTV >ORUFI07G21170.1 pep chromosome:OR_W1943:7:20645637:20646257:1 gene:ORUFI07G21170 transcript:ORUFI07G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPPVGVPPQQGYPGKDGYPPPGYPPAGYPPAQGYPPAGYPPQQGYPPPYAQPPPQQQQHSSGPSFMEGW >ORUFI07G21180.1 pep chromosome:OR_W1943:7:20649002:20654920:-1 gene:ORUFI07G21180 transcript:ORUFI07G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSVASARAAAEGAATVAARGGAEPARALRLPPANGARRGVRCCCGAANPGGGSGGGGGAVAREEFVGFFREAWPYIRGHRGSTFVVVISSEVVSGPHFDGILQDISLLHGLGIQFVLVPGTHVQIDKLLSERGRMAKYVGQYRVTDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVIGRWHGLVDNVASGNFLGAKRRGVVSGIDYGFTGEVKKIDVSRIKERLDSDSIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRVIPFMSLEEADMLIRKRAKQSDIAANYVKVVDEEGISHLHEDVKPFLNGSGPLGAYAASFHNGLGFNNGNGILSGEQGFAIGGEERLSRSNAYLSELAAAAFVCHGGVQRVHIIDGTVDGSLLLELFTRDGTGTMIARDVYEGTRIAREEDLLGIRKILRPLEESGVLVKRTDKELLEALESFYVVERDGSIIGCAALFPFPEDKSGEVAAIAVSEECRGRGQGDKLLDYIEKAAMSLGLEKLFLLTTRTADWFVRRGFLECSIESIPEQRRKRINLSRGSKYYIKQLQPKHASVTPNNITAR >ORUFI07G21190.1 pep chromosome:OR_W1943:7:20660210:20661238:1 gene:ORUFI07G21190 transcript:ORUFI07G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQPVDMAVKANEIMARFRPIAPKPVLPAAAAGVTGGGDGAAAVAATNRVLCQLQSRPCRARKRGRPSVVPPVSPPAGAKRKRAPAYPVPVAPLRCAAVATATRARVSVVVVPAPGSAGGVSALAPVSPSAGDSTRLSPTVVEVEDEDEERGVVLVERDLLRKLLEPKVISPRAVRPVGSTIHVESVHIDVGRTTAAAAAAAPKTAEEVEAELESDSLPAVVSDSSNRVRLVNDAYKRMVGQPECPWLDAVATAASRRISGEVALVVSEPAAAAAALPETCKGFSCSAKIAWERDGKWSSVHAPCDVTRLQCESRDYVFAWRFRAAGDECNTHRRAAGDA >ORUFI07G21200.1 pep chromosome:OR_W1943:7:20661677:20666141:-1 gene:ORUFI07G21200 transcript:ORUFI07G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLRRSLPSLGRALLSPSPAPARMLSAAASDALVEIKPGEIGMVSGIPEEHLRRKVVIYSPARTASQQGSGKVGRWKINFVSTQKWENPLMGWTSTGDPYANVGEAGLTFDSADSAKAFAEKHGWDYVVRKRHTPLLKAKSYAENFKWRGC >ORUFI07G21200.2 pep chromosome:OR_W1943:7:20661925:20666141:-1 gene:ORUFI07G21200 transcript:ORUFI07G21200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLRRSLPSLGRALLSPSPAPARMLSAAASDALVEIKPGEIGMVSGIPEEHLRRKVVIYSPARTASQQGSGKVGRWKINFVSTQKWENPLMGWTSTGDPYANVGEAGLTFDSADSAKAFAEKHGWDYVVRKRHTPLLKAKSYAENFKWRGPPKAEQA >ORUFI07G21210.1 pep chromosome:OR_W1943:7:20671178:20672047:1 gene:ORUFI07G21210 transcript:ORUFI07G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCGTGSFKDVDGKAAAPEAKKKKQGGGGGGGKKENPYASRGLDKFSTVLSELESRREKILRQVGGAGGGGAPGEGGGGGGGEHVLVRFVQSEGKGWVPIVVKLPPEEEEQQQRKGGKNKRKRQAAATSATSSQSSTPPTSEPASPREDVIKPARPAAAAAAAEPGSAKRKAGVRWSWSDVRPRHYMPFVAVLLLASLVVFGKVFAICCTSVWWYLVPILTASSNGAGGAGGAHGVRRSKAAVKVLGKKASDKKMAVTPLLGPSHGKRGSSGVHELISPRSHPHGKKG >ORUFI07G21220.1 pep chromosome:OR_W1943:7:20672953:20676137:-1 gene:ORUFI07G21220 transcript:ORUFI07G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAALRPTEPLPLPSGLSLAPRLKLLLTFFRADLSVRPVDEWQLKTALLAFLRDPPLSLPVLPDSDLSVRTLPDLHKRRRDEPVASGVLHVRDLSFLRPRRRNGDDEEEEAEEMTREQEEEKYFQWRSSLVEKLAGIELNLEGVKFRMSVEIPPSDDFRAMKKSWENFYASELLSSRNPVRKIAKRPDTILVRGVPSRWFAETRISSKASTLVMNCPSHFGELTPHTLFFGILLPIFRNLNISSDDEWGAKQDGTNKEIISGLNCKVWVQFENYDDFNSAMQALCGRSLEKEGSRLKVDYEVTWDHEGFFRNAQYEPVRSNLEERNSSAHGRKKHYTSRIESDHRKRFRD >ORUFI07G21230.1 pep chromosome:OR_W1943:7:20678102:20680118:-1 gene:ORUFI07G21230 transcript:ORUFI07G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRRRRCTAAPPTTAKLVLLLVVLLLQLSEGASSDGGSDAPCDFPAIFNFGDSNSDTGGLSALIAVVPPPFGRTYFGMPAGRFSDGRLTIDFMAQSLGIRYLSAYLDSVGSNFSQGANFATAAASIRPANGSIFVSGISPISLDVQTSQFEQFINRSQFVYSNIGGIYREILPKAEYFSRALYTFDIGQNDLTMGYFDNMSTEQVEAYVPDLMERFSAAIQKVYSLGGRYFWVHNTAPLGCLTYAVVLLPKLAAPRDDAGCSVAYNAAARFFNARLRETVDRLRAALPDAALTYVDVYSAKYRLISQAKQLGTYVDLTTGAGEETLEIAAGLKNWWVLFVAGFGDPLLVCCGYGGGEYNFDRDIRCGGKVEVNGTSVLAGKSCDDPSRSVSWDGVHFTEAANRFVFELIVGGKLSDPPVPLRQACRRGGGGR >ORUFI07G21240.1 pep chromosome:OR_W1943:7:20684547:20687703:-1 gene:ORUFI07G21240 transcript:ORUFI07G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPYAAAVVVATVAVLVLVSQVSVAAGADCRFPAVFNFGDSNSDTGGLSATFGAAPPPNGRTFFGMPVGRYCDGRLVIDFIAESLGLPYLSAYLNSIGSNFTQGANFATAGSSIRRQNTSLFLSGFSPISLDVQSWEFEQFINRSQFVYNNKGGIYRELLPKAEYFSQALYTFDIGQNDITTGFFINMTSEQVIAYIPDLMERLTNIIQNVYGLGGRYFWIHNTGPIGCLPYAMVHRPDLAVVKDGSGCSVAYNEVAQLFNQRLKETVGHLRKTHADAAFTYVDVYSAKYKLISDAKKLGMDDPMLTCCGYGGGRYNFDDRVGCGGKVKVNGTWVVAGKSCDDPLKRVSWDGVHFTEAANKFVFDQIAGGKLSDPPVPLRQACQISRGQ >ORUFI07G21250.1 pep chromosome:OR_W1943:7:20689256:20689620:1 gene:ORUFI07G21250 transcript:ORUFI07G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYASIIRRGRPSPRAADDIEYVNGSSMEPRPFPPGLAAAAGTGGDPGRDGELVVELPLPLQRTRGIPATQSNGTHRLLLEQLPKEEDLLDRRFVLREARLDGACKEIVFL >ORUFI07G21260.1 pep chromosome:OR_W1943:7:20690839:20691283:1 gene:ORUFI07G21260 transcript:ORUFI07G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYGFRSFIQLCDVPIESRKVLELHVFGKLRRESKVPGLWELVGVDEAMAIAMEEAPDDYDSLSEDAPDDYDSPSEDAPDGYDSLSEDAANGYDSLSDDAPDGYDNPSERCTLWLRQPE >ORUFI07G21270.1 pep chromosome:OR_W1943:7:20696646:20703868:1 gene:ORUFI07G21270 transcript:ORUFI07G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPASAASEEEAVKAAKVLMVGAGGIGCELLKTLALSGFRDIHIIDLDTIEVSNLNRQFLFRQSHVGQSKAHVARDAVLKFRPNINITSYHANVKDAQFNVEFFKQFNVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPVPKSYPVCTITSTPSKFVHCIVWAKELLFAKMFGDKNQDNDLNVRSNESGTSKSDVFERNADEDLDQYARRIYDHVFGYNIEVALENEETWKNRRRPNPIYIRDTLPEEAIRQNGSSRDINNEQEEPSAMVSLGLRNPQEIWSLADNSRVFLEALKLFFEKREKEIGNLVFDKDDQLAVEFVTTAANIRASSFGIPLHSLFEAKGVAGNIVHAVATTNAIIAGLIVIEAIKVLHGDYKKYRMTYCLEHPSRKMLLMPIEPFEPNKSCYVCSETPLLLEVNTKTTKLREVIEKIIKSKLGMNLPLVMIGSTLVFEDGEGLEEDEAANYALNLEKVLAELPAPVVNDTKLTVEDFQQELSCSINIKHRDEFDEEKEPDGMVLSGWSAPVEKQVTSNGGNQSVASSSGADYADGIVEDISTKPGMKRKLDEVLELENCDASSSAQVVEDDDDDDLVMLDENPKLAKKKRLQ >ORUFI07G21280.1 pep chromosome:OR_W1943:7:20704730:20705308:-1 gene:ORUFI07G21280 transcript:ORUFI07G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPPTPTPPPHLPLAASTAVSFTPRAAAQRGRGRRSKPKPKPVAFPPPPLRRLVSSSLRRLLPRPRPLTVLFLGGGGGGGGWFGMGGRGRRRATPAEELAALALSLALGDKLAVLADYWNASGLGEALGVWAAVWRRGGGRRRRGGGLRRLAALLLGIAFCALVCHLRGAALVDGLARTAGGRKLARIFLH >ORUFI07G21290.1 pep chromosome:OR_W1943:7:20706329:20708916:-1 gene:ORUFI07G21290 transcript:ORUFI07G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASAAVPARLKREDYPRTKHDSLFSPWKVLVGPSDWEDHAAGKEGIQRYRVLNLPENFPGLYELGVARASDEGIRAARRWNGSGGGGVVVVYLGQADSVRARLQQYGRTGSHLDAGNPPPSAGEAETNTRATGNGLFREVFVRGYSLVFRCALMGNKQEAEKTEARLLRVFDYAWNKLQNGGLRREEILIKLEQGAVNNRSSLLSRVRHFKQEVFREKAGIEISRNGSVDVSSGIMKNMLPRIRTFVGFRPQLVNSGDNVDKEIGIRWKNTSEGNSYGKQARRSSEGYKVKRVNVIKRRTMPEQDSNDVCGVMLEDGSSCLDHPVQGRKRCELHKGRRLGRITVNPKGSSCSYSCQVEIPVVESISPLTENESESDQAQQTSELLSKFLPATVKKSSRPWYSFEAKEIKTGEAPIEDGKQETSEVIDICEAKKSDNSACTNKVISGSKKCQLHNGCKAEEFVSSRVIDLLQNEEKVKSMTVDKLSGEEISHGKYQSQENQPSGRMWFELIKLQNPTSTLSSKGQGRQKRVTGNVAAICEALTDNRCRETIPMAGRERCDAHEGIKVTDASSVPFSGSSGWPSICGARASDGSPCKNQPIAGRKRCAMHKGQRACRTPSID >ORUFI07G21300.1 pep chromosome:OR_W1943:7:20715125:20715889:-1 gene:ORUFI07G21300 transcript:ORUFI07G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQVNVSVWRDDDYRRMVMACLIEAVYLLELERQERRDAAAVAQQWWKPFRYRLAHELVDERDGSVFGAIFERDHQPAAAVDGEAPRAVIAFRGTLLRGPTIRRDVEDELRLLARNSLRGSARLAGALQALRATIERFGSENVCLCGHSLGAGFARQVARMLASSSSPPSPRHHHHAAAAAAASLEFHLFNAPYLSLPMGVRSVVKTADCLLKAVRTGVAAVGRWHGKALKNVAYANCILGYTRLESSRRL >ORUFI07G21310.1 pep chromosome:OR_W1943:7:20732388:20734196:1 gene:ORUFI07G21310 transcript:ORUFI07G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSLQAASEQQQQQQQSASYNSRSTTSSGSRSSSHQTNASYSYYHHSSNSGGGGGGGGGYYYGGQQPPPSQYYYLEPYQEECGNAPHHQLYMDEDFSSSSSSRHFHHGARVQQQQPPASSTPTGTAPTPPLSTSSTAAGAGHGLFEAADLSFPPDLNLDFSSPASSSGGGTASSGAVGGGGGGRWASQLLLECARSVAARDSQRVQQLMWMLNELASPYGDVEQKLASYFLQGLFARLTASGPRTLRTLAAASDRNTSFDSTRRTALRFQELSPWSSFGHVAANGAILESFLEVAAAASSETQRFHILDLSNTFCTQWPTLLEALATRSADETPHLSITTVVSAAPSAPTAAVQRVMREIGQRMEKFARLMGVPFRFRAVHHSGDLAELDLDALDLREGGATTALAVNCVNSLRGVVPGRARRRDAFAASLRRLDPRVVTVVEEEADLVASDPDASSATEEGGDTEAAFLKVFGEGLRFFSAYMDSLEESFPKTSNERLALERGAGRAIVDLVSCPASESMERRETAASWARRMRSAGFSPVAFSEDVADDVRSLLRRYREGWSMREAGTDDSAAGAGVFLAWKEQPLVWASAWRP >ORUFI07G21320.1 pep chromosome:OR_W1943:7:20748183:20751221:1 gene:ORUFI07G21320 transcript:ORUFI07G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLGVREAGRMAVLSRRWRRLPGLLPRLVIDAREFEPAALRAGWHARTKRAMERVAGAVESLLPGDRAIERLRLDAYLLRDESYTVRRVVERLNDAVDSGKVAAGGLELVFRATGGGGAPDQDQPSKRQARRLARLLAAAASPSLLPSVAELSLVNLRFTSPALASLLGRCTGLEELGMYQSDAGFGAVLDVGHARLRRLAVHAVDEAMYKKLRVSSAPRLERVVVANWFCRYAPVSFGHVPCLRELHLKNKAVYYQEPVRLSKMLASTPHLESLTLGFSSWRIWIEPEAPKQLEPMFSKLKSLVLTGIFRGCDLSWTLFFLQAAPVLEEFILEVSSHLVML >ORUFI07G21330.1 pep chromosome:OR_W1943:7:20751695:20754937:-1 gene:ORUFI07G21330 transcript:ORUFI07G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQLAGQGKDEVLYKAGPFGTVKAIRKNPTVIPDESINPRLAKILQQVAIKKELIVALANSNVREMLEMWFTNIKRVGISNYLIVALDDSTETFCKSKGVPFYRRDPDEGVDNIGKTVRKDANLRKLKPVIVHLNYHPDKSERMKAVIEFYVNGKQNALEHFPDGSE >ORUFI07G21330.2 pep chromosome:OR_W1943:7:20751695:20754937:-1 gene:ORUFI07G21330 transcript:ORUFI07G21330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQLAGQGKDEVLYKAGPFGTVKAIRKNPTVIPDESINPRLAKILQQVAIKKELIVALANSNVREMLEMWFTNIKRVGISNYLIVALDDSTETFCKSKGVPFYRRDPDEGVDNIGKVGGNHAVSGLKFRILREFLQLGYSVLLSDIDIIFFQNPFDHLYRDADVESMSDGHDNRTAYGFNDVFDEPLMGWARYAHTMRIWVYNSGFFFIRPTIPSIELLDRVAGRLSREPKSWDQAVFNEELFFPSHPGYEGLHISKRTMDIYQFMNSKVLFKTVRKDANLRKLKPVIVHLNYHPDKSERMKAVIEFYVNGKQNALEHFPDGSE >ORUFI07G21340.1 pep chromosome:OR_W1943:7:20757650:20762916:-1 gene:ORUFI07G21340 transcript:ORUFI07G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMSRSWQELGVVDTIYEDDHEEEEEEEEEEEEEEEEEECFDSPTMSSSPAATSRSCSPPPAAEEFAMPAALRNAVREWSRANGPCKPDVIVRVQEHCFALHRDPITSQSSYLKRRLSECSDVAVDLPAGLTVDAFVDAVASCYGAEAALSPGSLAAAWAAADWLELRGEDGLARRAEDYFFQEVATDHGRAAAVLRACTAFLGGEAAGAGAALLVRCLETLASSGGADGRWLDDVAALPVEEFEVAVEAMRARFAHDHDLMYTIVDHYLQDLETNNLNLNHRGKLTEEEKSRVCYNVNCTKLSHHLFMHLVQNPRLPLRFVVQAMLVEQLHSHHSMLLSGGHHHHAGAAGAPSSAAPPASLLKSSLSGAFDRASAKSASFRIPRSRLWDGEDLAAPAAAVITKDTTNTRGLKSRLVLGFKNLFGRRPVTAAAAAPPTSGERAAARVSVNDKAMSTDAPELDADADADELTRPHRRNLSIV >ORUFI07G21340.2 pep chromosome:OR_W1943:7:20757650:20762916:-1 gene:ORUFI07G21340 transcript:ORUFI07G21340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMSRSWQELGVVDTIYEDDHEEEEEEEEEEEEEEEEEECFDSPTMSSSPAATSRSCSPPPAAEEFAMPAALRNAVREWSRANGPCKPDVIVRVQEHCFALHRDPITSQSSYLKRRLSECSDVAVDLPAGLTVDAFVDAVASCYGAEAALSPGSLAAAWAAADWLELRGEDGLARRAEDYFFQEVATDHGRAAAVLRACTAFLGGEAAGAGAALLVRCLETLASSGGADGRWLDDVAALPVEEFEVAVEAMRARFAHDHDLMYTIVDHYLQNHRGKLTEEEKSRVCYNVNCTKLSHHLFMHLVQNPRLPLRFVVQAMLVEQLHSHHSMLLSGGHHHHAGAAGAPSSAAPPASLLKSSLSGAFDRASAKSASFRIPRSRLWDGEDLAAPAAAVITKDTTNTRGLKSRLVLGFKNLFGRRPVTAAAAAPPTSGERAAARVSVNDKAMSTDAPELDADADADELTRPHRRNLSIV >ORUFI07G21350.1 pep chromosome:OR_W1943:7:20766408:20766791:-1 gene:ORUFI07G21350 transcript:ORUFI07G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTPTNPSNQPQQHKQPRPRDEGGEKITKEERGFVAKMEGLIPFVIDAIRRSNDQRGGYRGVSSQDGSSHGGGGGSRRHLIDYWELAGSAAEDARPGSVQETGAAEDERSRPPAAVVAAGSAYRRK >ORUFI07G21360.1 pep chromosome:OR_W1943:7:20769745:20771058:-1 gene:ORUFI07G21360 transcript:ORUFI07G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHETDRNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQIARVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINVSLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLETNRYVLKNSASGETVIKHFNKEQEADQSNFRDPASNAELEVQEKMSLLEWFANEYKKFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDEGLYEDSD >ORUFI07G21370.1 pep chromosome:OR_W1943:7:20776050:20778373:1 gene:ORUFI07G21370 transcript:ORUFI07G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVQRVRQRRPLVHAGCRRGNPPAAAVVRGGGVGRDDLVARRGAGVAVVVAGLATARRDGAPAAGEAQPGQPDSHRGGRGGASARRAAVARRPAPAGARRHGAAQPVDLRREQGDHRGGRRDTAARPRAQVGRVAGRAGERGVRAAPPVPARRLRRGLHRPRGRHPAAGLPPRDRRRARQEGRRYGPLRALQRRAREPPPRRGGRCRAPTARPHGRPGDRHGGQGRLRLALPRRHRRGPLRRRRGRRHPRPRRDGGGRLPAAEGDRHPLPPTDLRGQRCLPHHGRPRGRHPSPRRPLPILLRPPQAQNQGGGADRDATAATEREPAREANGGRCGGVTLTSFNSSPQLGGAPGVVLCFPYSGGDRGRRRPPARACKYTNQRVCVRERVYIFLKRDDDEGDGGAKKSLL >ORUFI07G21380.1 pep chromosome:OR_W1943:7:20786678:20788068:-1 gene:ORUFI07G21380 transcript:ORUFI07G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLSLSTPPPRRALANLPTNVVVVAAPFTSATATVKDSMGPTPGGSLPLSSIDKTAAVRVFVDFIQVFPFAFAEIVEDKAVSIFAMWEGFAKVLVHYYPVADTSRSRSSGSPRYTAHGEGVWFIEAEANCLLEEALNLEHLLCIPKDKLLPCPAPEVRRLEYAQAPLPQPRCCNGKRCLEVGMQVDSPATYIKTRLLGHFPYGSTKFRRKTKLEVR >ORUFI07G21390.1 pep chromosome:OR_W1943:7:20789956:20795586:1 gene:ORUFI07G21390 transcript:ORUFI07G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKSKVRGVGGGDDLIDSSDADSVGSSSTALSDLSMSYATEHVGSQEFVLDKYIDALYEKRGSTREAALSQLVDAFESFILHGLVENKYATLLSLFNSSIKKGSTKEACLASRAIGLLALTVGAGSSSHEIMEESHAQLSRVLQTWPDASKMISALDCLAVVTFVGAADLAETELSLKAMWDVIHPKSGSNVGIIRKPRPPVLAAAISAWAFLLTTIGSWRINADSWKEPIAFLSTLLGAEDRAVRMAAGEALALCFELNLLDVSFGEDDDVENGGTVGSKSKLFLDMQALKAKISSLASNLSMEAGGKGADKKNLTDQRDLFQRILDFVKYGECPEESVKISGKRDVLRVSSWSELIQLNFLRRFLGRGFLKHVQENGLLQDVFDIKTDKAETLSSTDKKIFRSGEEKGRALKLNKDRRLAQERKNAVMLDLDE >ORUFI07G21400.1 pep chromosome:OR_W1943:7:20797382:20799808:-1 gene:ORUFI07G21400 transcript:ORUFI07G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWRPPPTAVASLAHQCSLLLRRLAERHSPAPTCPSSSFLRALRCLHARLLTADLLHAPSHPHLTLRLIHLYTLSPDLATPAALFRSDPDPGPVAATSLVAAHAAAGRLRDAAAFFDAVPPARRDTVLHNAMMSAFARASLAAPAVSVFHALLGSGSLRPDDYSFTALLSAVGQMHNLAAPHCTQLHCSVLKSGAAAVLSVSNALIALYMKCDTPEASWDARKVLDEMPDKDDLTWTTMVVGYVRRGDVNAARSVFEEVDGKFDVVWNAMISGYVQSEMCADAFELFRRMVSEKVPLDEFTFTSVLSACANAGFFVHGKSVHGQIIRLQPNFVPEAALPVNNALVTLYSKGGKIVIAKRIFDTMNLKDVVSWNTILSGYIDSGCLDKAVEVFKVMPYKNDLSWMVMVSGYVHGGLSEDALKLFNQMRAEDVKPCDYTYAGAIAACGELGALKHGRQLHAHLVQCGFEASNSAGNALLTMYAKCGAVNDARLVFLVMPNLDSVSWNAMISALGQHGHGREALELFDQMVAEGIDPDRISFLTILTACNHAGLVDEGFHYFESMKRDFGISPGEDHYARLIDLLGRSGRIGEATDLIKTMPFEPTPSIWEAILSGCRTNGDMEFGAYAADQLFRMIPQHDGTYILLSNTYSAAGRWVDAARVRKLMRDRGVKKEPGCSWIEVGSKIHVFLVGDTKHPEAQEVYQFLEVIGARMRKLGYVPDTKFVLHDMEPHEKEYILFAHSEKLAVGFGLLKLPPGATVTVLKNLRICGDCHTAMMFMSKAVGREIVVRDVRRFHHFKDGECSCGNYW >ORUFI07G21410.1 pep chromosome:OR_W1943:7:20805078:20811064:1 gene:ORUFI07G21410 transcript:ORUFI07G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVALSTAIALLVGAQLCVAAEVEVAGAGGGVVRRRSLHQPFFPIEWSPPPPMSGSEAVPPPPPAAAASATTGGGRSTTTVMNTVAIALSAGLVALAVASYSCCLLLRRRRREEEDDGDRAAKRAVGAAAAVAARVPSDVGSSSRQHRSPPPSSTASDAIYLDPLTTLVEVRQHEKSPDLRPLPLLKQPSPDLRPLPPLKRPESQPPPPPPSTPPLTTTGYSTDEEDQATYYTAPKTAMSSFSRSTSQHSTLEQTAMPPMAAPAPPQTNPPRPVRPPPPPPPPRQRLLRPLPAESPPPAALANLELTGSPVKPAVEDRGGENSGAARPPKPPHLKPLHWDKLRAISGRTTVWDQVKNSDTFRVDEEAMESLFLNSGGGGAGSSDPAARRGGSGKQERRLLDPKRLQNVAIMLKSLNVAADEVIGALVRGNPEDLGSEFYETLAKMAPTKEEELKLKGYSGDLSKIDPAERFLKDVLGVPFAFERVDAMLYRANFDNEVNYLRKSFGTLEAACEELRSSKLFLKLLDAVLKTGNRMNDGTNRGEARAFKLDTLLKLADIKSTDGRTTLLHFVVKEIIRSEGFDSDQSAVNPGSGSKEQFKRDGLKLLAGLSSELSNVKRAATLEMDTLSGNILRLEADLEKVKLVLQLKETCSDQGASENFFQAMVVFLRRAEAEIKNMKTAEENALRLVKETTEYFHGDATKEEPHPLRIFVVVDEFLLILDRVCRDVGRTPERVMMGSGKSFRVTAGTSLPPHRNENRRVLSSSDEDSSSC >ORUFI07G21420.1 pep chromosome:OR_W1943:7:20813997:20816846:1 gene:ORUFI07G21420 transcript:ORUFI07G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVSSSTSRPASLPSSPHRPALRPGSLQRLLRPPDPSDDDGAAPTAPRSSRGGGRVLLQVTNITPALSGANPFSGHHGFYLRLSDSARSCYVSLHADHDDLILTNGLHIGQVIEVEHLVPSVPAPVLRHFRVLPGRYPCIQQEPADDAAAGGAAAEIKEVVSERPRRSSPTPSIPGERRARQAGGGGSPSAISYRHRSRSISNLSEAGAAARRSGAAVLGKLRKVSVTSIDGTSTDDDDEESDVSSLSSARRNWDFTGGVKDRRPVAPRRRGNSVSPSKSGPNSTITQNDDPMESVRRKAEKAFNVLSKRASAKMTRESSNCTVATPQSAAASSSIKWCESNVMWSTLSSSLLKHGKEAVKQRDMALQAVLDGLLEASTTEKLIKCLSTYSELQSDKEENPKELIDRFLKFSQELDHAIFIAQSQTKIRHVKACGSNSTSSASTKAALKAALDRKQSAILWIREAIEADLSPFSSHTRPTESPKLSLAESKPMTPLFCCSKPKCNCSKRSSRKASDGSSQGSNMSAAMDLAVALRSECNCWFLKYIDKFLDDIESETMYAPCDSQVAGLLQQLKRVDDWLNRVALHERMLSVDRTNKDIMFSEEEESDACERVRRKIYGALLRHVQYAAMALEGLNGVIDEEKDERK >ORUFI07G21430.1 pep chromosome:OR_W1943:7:20817609:20818909:-1 gene:ORUFI07G21430 transcript:ORUFI07G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSYTSAVPLIEADVMAQFLGAHGDHCFTYEQMDESMEAMAAMFLPGLDTDSNSSSGCLNYDVPPQCWPQHGHSSSVTSFPDPAHSYGSFEFPVMDPFPIADLDAHCAIPYLTEDLISPPHGNHPSARVEEATKVVTPVATKRKSSAAMTASKKSKKAGKKDPIGSDEGGNTYIDTQSSSSCTSEEGNLEGNAKPSSKKMGTRANRGAATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDMWMYAPIAYNGVNISNIDLNISSLQK >ORUFI07G21440.1 pep chromosome:OR_W1943:7:20826542:20841902:1 gene:ORUFI07G21440 transcript:ORUFI07G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPTGDPPVRVAVASGGGGMGLGKELREPPSDGVSSLRFSKHSDRLLVSSWDKTVRLYDAEANVPRGVFMHAASVLGCCFHDDSSGFSASADNTVRRLAFSSGGNYFLGRHDAAVSCVEYSYSTGQVITGSWDKTIMCWDPRGVNGTSHHTLVGTHNQPERVYSLSVSGYNLVVATAGRHVNVYDLRSMSRPEQQRESPLRYQTRCVQCYPNGTGFALGSVEGRVAMEFYDQSESAPYKKYSFKCHRVPEDGETKVYPVNAISFHPVHGTFATGGCDRFVNLWDGANRRKLFQFPRYPSSIATLSFSRDGRLLAVASSYTYEEGDIPHPPDAIFIRDVNEVQVKPRPKITFTDTPSVASALPMALDGKPPVPPPSTPPMDSWACGGRRSKRRGGGGGSSGSSGSSGGGESEEEYLAACLLMLAHGVRDEAEVVGVAAATAKPQHGYECSVCGKVYGSYQALGGHKTSHRKPPSPAAEPAAGEEPSSGGVAGEAKVHRCSICLRTFPSGQALGGHKRLHYEGGAVGDAVKEKNSLKTKAAVATAVLKDFDLNLPAAATTAGDEAESSPPEAKRARLLLLIDTGLASSSGGNNIMGPHDSPARCVEYSYSPICRYGTFATGGCDSFMAFGMALAKGRFHTTMGLNEKPLVPPLSPTPVDFRAHQVFPSKHHDFDTSKSRNISGSVAIGSDSEEYLATSLLMLAHGIRDETKDIRGMGDVKGVGVDTLELVKPSQRAYECSVCGKVYWCYQALGGHMTCHRNLFAQVVAGDELSSDRTMVVKGHKCSICRLEFPSGQALGGHMRVHYVGGVEGGSVKEKNVVKTKVTGALKLVLKDFDLNVPVVATMVGDEAESSHSEAKARMMTLP >ORUFI07G21440.2 pep chromosome:OR_W1943:7:20826542:20841902:1 gene:ORUFI07G21440 transcript:ORUFI07G21440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPTGDPPVRVAVASGGGGMGLGKELREPPSDGVSSLRFSKHSDRLLVSSWDKTVRLYDAEANVPRGVFMHAASVLGCCFHDDSSGFSASADNTVRRLAFSSGGNYFLGRHDAAVSCVEYSYSTGQVITGSWDKTIMCWDPRGVNGTSHHTLVGTHNQPERVYSLSVSGYNLVVATAGRHVNVYDLRSMSRPEQQRESPLRYQTRCVQCYPNGTGFALGSVEGRVAMEFYDQSESAPYKKYSFKCHRVPEDGETKVYPVNAISFHPVHGTFATGGCDRFVNLWDGANRRKLFQFPRYPSSIATLSFSRDGRLLAVASSYTYEEGDIPHPPDAIFIRDVNEVQVKPRPKITFTDTPSVALASSSGGNNIMGPHDSPARCVEYSYSPICRYGTFATGGCDSFMAFGMALAKGRFHTTMGLNEKPLVPPLSPTPVDFRAHQVFPSKHHDFDTSKSRNISGSVAIGSDSEEYLATSLLMLAHGIRDETKDIRGMGDVKGVGVDTLELVKPSQRAYECSVCGKVYWCYQALGGHMTCHRNLFAQVVAGDELSSDRTMVVKGHKCSICRLEFPSGQALGGHMRVHYVGGVEGGSVKEKNVVKTKVTGALKLVLKDFDLNVPVVATMVGDEAESSHSEAKARMMTLP >ORUFI07G21450.1 pep chromosome:OR_W1943:7:20846031:20850626:1 gene:ORUFI07G21450 transcript:ORUFI07G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRASAAWVASRSSHVKVDMLEVEKVVDKIQGNVPKVEWDFEGIHYFDDGPLTVQYLFVLDALNFCFWPDKDLSYDHLASGLKLALEKDKTALDADRLQSYTGPQLRQLLNWPRPLPIEEERVRLLHEVGMELERSFGGEAANLVKSAGNSAATLIELITRHFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGQNYGEFHDIKSITIFADYIVPAVLRELGILKYGSNLSCSIDSSSEIVPGSEEEVEIRACSVYAVEKMRELINKKFGKQLLSIDIDLWLWSCGVQNMALSHHRTLSIYY >ORUFI07G21450.2 pep chromosome:OR_W1943:7:20846031:20850651:1 gene:ORUFI07G21450 transcript:ORUFI07G21450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRASAAWVASRSSHVKVDMLEVEKVVDKIQGNVPKVEWDFEGIHYFDDGPLTVQYLFVLDALNFCFWPDKDLSYDHLASGLKLALEKDKTALDADRLQSYTGPQLRQLLNWPRPLPIEEERVRLLHEVGMELERSFGGEAANLVKSAGNSAATLIELITRHFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGQNYGEFHDIKSITIFADYIVPAVLRELGILKYGSNLSCSIDSSSEIVPGSEEEVEIRACSVYAVEKMRELINKKFGKQLLSIDIDLWLWSCGVQNMALSHHRTLSIYY >ORUFI07G21460.1 pep chromosome:OR_W1943:7:20856118:20857302:1 gene:ORUFI07G21460 transcript:ORUFI07G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSEGCVLRPCLQWIDGAEAQGHATVFVAKFFGRAGLMSFLTAVPEPQRAAVFQSLLYEAAGRTINPVGGAVGLLSGGSWHLCQAAVDTVLRGGGIQPLPDQVDAAAAGGRDVFASTARRAMGGCSTFSTAKRSTTTTTTKNPGTPHDAAAAAPQPEPSCDLGLWLSPGSPPAPGDRRSGGRRADTPSMNSEGSVTTCGVVGDGEREPELLNLFV >ORUFI07G21470.1 pep chromosome:OR_W1943:7:20875164:20876582:1 gene:ORUFI07G21470 transcript:ORUFI07G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTHTAPPPPPLHPNGHGLGLGLYLDVGATRGGGGARPWSSSSSTTTLGGSGYFPSSAAASKISLGNLNSTGCMEQLLVHCANAIEANDATLTQQILWVLNNIAPADGDSNQRLTAAFLCALVSRASRTGACKAVTAAVADAVESAALHVHRFTAVELASFIDLTPWHRFGYTAANAAIVEAVEGFPVVHIVDLSTTHCMQIPTLIDMLAGRAEGPPILRLTVADVAPSAPPPALDMPYEELGAKLVNFARSRNMSMDFRVVPTSPADALTSLVDQLRVQQLVSDGGEALVVNCHMLLHTVPDETAGSVSLTTAQPPVSLRTMLLKSLRALDPTLVVVVDEDADFTAGDVVGRLRAAFNFLWIPYDAVDTFLPKGSEQRRWYEAEVGWKVENVLAQEGVERVERQEDRARWGQRMRAAGFRAAAFGEEAAGEVKAMLNDHAAGWGMKREDDDLVLTWKGHNVVFASAWAPS >ORUFI07G21480.1 pep chromosome:OR_W1943:7:20880636:20885590:1 gene:ORUFI07G21480 transcript:ORUFI07G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGPAVPYRGPVQARHGPRAIVPGTARLGSVHLSTDSADAEAACVAASATRRRRRGPRHLLGSPPAPSSRRSPSPGALLLPSHTLASDPESISPSRRRLGLDSRLLEEFAPSPAADLHPSRERRCGVPAASASGREREERVTMVSMEVTDEMFKCMEVGLAFRDYNGRISSMDFHSKATNYLVTACDDESIRLYDIQNAVCLKTINSKKYGVELVCFTENPTYVLHSSKNGWDDSLRLLSLVNNCFLRYFKGHLDRVVSISLCSENGNILSGSLDRTVLLWDSRVEKAQGLLRVQGRPAVSYDDQGLVFAIAYGGYIRMFDARNFEKGPFDIFSVGNDDSEANVIKFSSDGRRLLLTTKAGRVHVLDSFHGNNIATYNVKPVVSNSTLEASFSPDGNHIISGSGDGSVYAWNVRSGKVARWGSTDSEPPLIRWAPGSLMFLTASSELSCWVPDLSKLGSFTVSK >ORUFI07G21490.1 pep chromosome:OR_W1943:7:20892165:20893022:-1 gene:ORUFI07G21490 transcript:ORUFI07G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAADAAAGGGSVAKDTGDDFEFCVLSSGGLVSAGAGAAAADMCVADEVFSQGKLLPLRLSSAAAGDAAGLGVLPRSESVASTVGFGSRSDSRSASSSGSSSGCVSRSESSKSASSDHSAAHPPPQQQQQPRRSLSSSLFYAHPSPSPQLRTRPPRRSTGSAPPPPPATAWGIFRLGVVGAPDVYPPRSTDSKNAAAAAKVGSSRSARFEPASTAADKKHPVVGLFGDSFGCKCSPDVVEPVTLPAAAKRAKAKNKNKAGDKKAQSVRRSRILDWFEELTITKK >ORUFI07G21500.1 pep chromosome:OR_W1943:7:20897254:20897530:1 gene:ORUFI07G21500 transcript:ORUFI07G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARPFEAMSNPHQLFEERKMECRRSIGCENSPSSEMALVLATVKVKQEDDKKTFLVV >ORUFI07G21510.1 pep chromosome:OR_W1943:7:20909963:20911116:1 gene:ORUFI07G21510 transcript:ORUFI07G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDEPATKAAGGEPKPSPATKAAGEQEEDDEEESSGGGGTEPENRVFLWTNFELVKEHAAVFVASGDSGPSFFRRKDTGFRVFRETVAHPSSVEKAPVSEYSLFRVCINPQVAYVKFAS >ORUFI07G21520.1 pep chromosome:OR_W1943:7:20912031:20912630:1 gene:ORUFI07G21520 transcript:ORUFI07G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGGAADGGDLISLCLMALAAAARGESTALALALAPPPPELHFRCSLCGKAFASYQALGGHKASHRKPSAAAAAPPAHRDVVVAAAPASSGRVAADADAASEADGRRRRHVCSLCRRGFATGQALGGHKRFHYLHGPSVSATVSSAATAASVGAAFDLNVAPIKEIAGEQRRCGEEADDDDEAESPSPAKKPRRRPG >ORUFI07G21530.1 pep chromosome:OR_W1943:7:20913201:20918199:-1 gene:ORUFI07G21530 transcript:ORUFI07G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCGFLVLPRDEATFRFVNEANKTKSGPSIHIQTGGLRRGSGAVTSSTTNNDGNIYNVVIFLETYIGDSVLSFAL >ORUFI07G21540.1 pep chromosome:OR_W1943:7:20922979:20924430:-1 gene:ORUFI07G21540 transcript:ORUFI07G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVVMVMVVVTATAMAMAVQGSRHSDFFVEGEVYCDTCRAGFVTNVTTAIQAARVRLECRHYMSASGSVERSAEGTTDATGHYRMELVEVDNRGAELVCAVALLSSPVTECHEMEVGRDRAPVTLVQDVGLATMVRRANPLGFLQTMLARYADTDTRYVDTKMWHFLQTRPLPICGDLLKSYALGTAPSY >ORUFI07G21550.1 pep chromosome:OR_W1943:7:20926881:20927515:-1 gene:ORUFI07G21550 transcript:ORUFI07G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWERLKERELGCSGRRADGSGGIDGLSLAHADRGGAPHARRRPMRNTRGGTDLKLELTCAEIPKEGLGNVPLNLDLACAKLPESEA >ORUFI07G21560.1 pep chromosome:OR_W1943:7:20927998:20930349:1 gene:ORUFI07G21560 transcript:ORUFI07G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLAFPPLRAPAPPPSSPISQASAHSSPPPRIFSLLSSSHPAPSSSTSRKPRLGRPGGGGQQPWHLPPSLSLPARRALLALLDDPGRATSPRDLLSGLPAPELAAVVGALGSRGQPGAALAALHAARELHGEGVLHHPRVLATAIRVMARAGRLAEASALLDAAPGPDAGAYTALVSAFSRAGRFRDAVAVFRRMVDSGVQPAIVTYNVVLHVYSKMAVPWKEVVELVASMKEHGVAPDRYTYNTLISCCRRRALYKEAAQVFDEMKASGFEPDKVTFNSLLDVYGKARRHDEAIEVIQEMERVGCPPSVVTYNSLISSYVKDGLLEQAVALKQEMEVKGMKPDVVTYTTLISGLDRAGKIDAAIVEYDEMVRNGCKPNLCTYNALIKMHGVRGKFPEMMAVFDELRSAGFVPDIVTWNTLLAVFGQNGLDSEVSGVFKEMKKAGYIPERDTYVSLISSYSRCGLFDLAMQIYKRMMEAGIYPDVSTYNAVLSALARGGRWEQAEKLFAEMEERDCKPDEYSYSSLLHAYANAKRLDKMKALSDDIYSERIEPHNWLVKTLVLVNSKVNNLAEAEKAFLELRQKRCSLDINVLNAMVSIYGKNRMVRKVEKILSLMKESAINLSAATYNSLMHMYSRLGDCEKCENILTEIKSSGVRPDRYSYNTVIYAYGRKGQMKEASRLFSEMKCSGLKPDVVTYNIFVKSYVSNSMFEEAIELVRYMVTQGCKPNERTYNSIVEGYCRNGKLTDAKIFVSNLPQLHPGYSKQEQQNLFEVIAKYTQR >ORUFI07G21570.1 pep chromosome:OR_W1943:7:20935373:20936716:-1 gene:ORUFI07G21570 transcript:ORUFI07G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCRFGPSPLLLPPRFSRYLSSPSPPPASSPPPPPPPXAAAPTTPATPAPAEAPTTPAPTKAPPTKSTKAPAPAPKAAKATPPPASSPPVEAPVASPPSPAAEAPATIPTKPDAPAPAPAKKKKPSPPSKKKKKSSKAPAPAPVAVAESPKHSKKAKAPAASSTADAPGPAGDGVAADSTGAAGRTSVQAIASACAVALGLVALLA >ORUFI07G21580.1 pep chromosome:OR_W1943:7:20944318:20946058:-1 gene:ORUFI07G21580 transcript:ORUFI07G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERKQGFFAALKEEVVRGLSPARSRGKSPARSASPGRMLIPRRRKEKQQQPPPEKLLQQYFAEPLISRSGSLRPGGEALAPLIEGPDPERLAAGGDFAGGEESARREGFGRWVRGQLARTPSVASSAAAAASPGGSGDSFRRSDLRLLLGVMGAPLAPIPSKLADPLPLLSIKGTPIESSSAQYILQQYMAASGGYRLLQSVRNAYAMGKVRMVASEFETATRVVKNRGPSGRGAASVEQGGFVLWQMAPDMWYVELAVGGSKVHAGSNGRLVWRHTPWLGAHAAKGPVRPLRRVLQGLDPLTTAGLFAEARCVGEKKVNGEDCFILKLSADPQTLKQRSEGPAEIIRHVLFGYFSQRTGLIVHIEDSHLTRIQPHSGGDAVYWETTISSALEDYRPVEGIMIAHAGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVAFNVPGLSVDCFIPPADIRSGPVGESCELPPPAAAPHGERARHPARVAAVDRAPPHHNAGGANVGRRGDKIMWRVEV >ORUFI07G21590.1 pep chromosome:OR_W1943:7:20954133:20954972:-1 gene:ORUFI07G21590 transcript:ORUFI07G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAPPSAPAAAAAPARGGRKRKRHLVTTSPAAQVGGWASLPTDLTRLVAGRVLAGDVVDYIAFRAVCSGWRACAPSPRDPTLRKHLLRPRAWVALCDGDAARPDDACETPSSTPARPGASVSASRSSVATGSWASPTASSSSSTSAPPPSACSTPSPASPSTSRPSPPCSTRWSRTATPCSTCCTSATSPMTPIAVIVWFPYTAGVLGCEAGHPSWEVIHKDMELLNTLPFQGRLYGFRRLTRQIVQVYPPNPLGPVVAHVPAKFGDPDIQSPAPTT >ORUFI07G21600.1 pep chromosome:OR_W1943:7:20957605:20958475:1 gene:ORUFI07G21600 transcript:ORUFI07G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGINEGRLLAFLIVLYASTIAASIGRRILGARLAAWRSDGLGATCMSFAALTLAMQAMLACVLEEKPAAAAAAVARRPASGGRLPWLVAAVSWMCVTNYFVAYIALGGNVAPTSLEWTAAGVASAANLAIATRTVRRHLGVSNPAKNES >ORUFI07G21610.1 pep chromosome:OR_W1943:7:20960174:20960734:1 gene:ORUFI07G21610 transcript:ORUFI07G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVVVYVATSAGSLFCRLMSSIASPEAAAARRRSGGLNDAVTCAVFAVLTAASQAFIACFVEEAVGPAPPAMTTREERCAAWAVGVLTGVVTSLCISSYFFTYIAAGGVAPTSLQWTIAAVFSVANFVFVTPTIMRFIGRFNSARDD >ORUFI07G21620.1 pep chromosome:OR_W1943:7:20970389:20971537:1 gene:ORUFI07G21620 transcript:ORUFI07G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIMVSGDEHKAKFLSYLITVFMVAMAVFFSSRMAGWAVCPGAGGITGGCVSGGEDVAKTCLAFALLTVAAQAHLASVLLMDEKKKRNPPAASWVAWLLAVSTCASVSGMFLAYISYGGFVAATSLEWPVAGVASAVNLAVAACTVLRYVRVNGDMDG >ORUFI07G21630.1 pep chromosome:OR_W1943:7:20973836:20980841:1 gene:ORUFI07G21630 transcript:ORUFI07G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPESISSLPAVAAIHFIVDPVQPLSMDLQPWCEGIVSPHGVAIPLRILQVLQLMYMSTKRPECVKITTALRLKMSIQIIPDLNELSGIRSTVLEHQYLRSFTGDQLWI >ORUFI07G21630.2 pep chromosome:OR_W1943:7:20973836:20980565:1 gene:ORUFI07G21630 transcript:ORUFI07G21630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPESISSLPAVAAIHFIVDPVQPLSMDLQPWCEGIVSPHGVAIPLRILQVLQLMYMSTKRPECVKITTALRLKMSIQIIPDLNELSGIRSTVLEHQYLRSFTGVDSPVNMPFGWYHHPVTALWSCLPTALCRASSGAAVVRQIQVDAGTGFGESLMGERPVEFLVQQSNDGVASTRNSHDSIRWLLSTQ >ORUFI07G21630.3 pep chromosome:OR_W1943:7:20973836:20980841:1 gene:ORUFI07G21630 transcript:ORUFI07G21630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPESISSLPAVAAIHFIVDPVQPLSMDLQPWCEGIVSPHGVAIPLRILQVLQLMYMSTKRPECVKITTALRLKMSIQIIPDLNELSGIRSTVLEHQYLRSFTGDQLWI >ORUFI07G21630.4 pep chromosome:OR_W1943:7:20973836:20980565:1 gene:ORUFI07G21630 transcript:ORUFI07G21630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPESISSLPAVAAIHFIVDPVQPLSMDLQPWCEGIVSPHGVAIPLRILQVLQLMYMSTKRPECVKITTALRLKMSIQIIPDLNELSGIRSTVLEHQYLRSFTGGLLFHIQVDAGTGFGESLMGERPVEFLVQQSNDGVASTRNSHDSIRWLLSTQ >ORUFI07G21630.5 pep chromosome:OR_W1943:7:20973836:20980565:1 gene:ORUFI07G21630 transcript:ORUFI07G21630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPESISSLPAVAAIHFIVDPVQPLSMDLQPWCEGIVSPHGVAIPLRILQVLQLMYMSTKRPECVKITTALRLKMSIQIIPDLNELSGIRSTVLEHQQIQVDAGTGFGESLMGERPVEFLVQQSNDGVASTRNSHDSIRWLLSTQ >ORUFI07G21630.6 pep chromosome:OR_W1943:7:20978509:20980565:1 gene:ORUFI07G21630 transcript:ORUFI07G21630.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLISIICRQIQVDAGTGFGESLMGERPVEFLVQQSNDGVASTRNSHDSIRWLLSTQ >ORUFI07G21640.1 pep chromosome:OR_W1943:7:20977353:20977778:-1 gene:ORUFI07G21640 transcript:ORUFI07G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVSGGEARSTLESVEHAGASCSHRAGDGAEVGSGRGGGPQRRCRRMGLRSEPAAAAPAAGKKQRLQRRGCGGSPRGEEAPAPVAGKNQRLWRRQSMRGGYPARMRRRSGRTSGEEEELARRRRSEVFACGAMSSRVEEE >ORUFI07G21650.1 pep chromosome:OR_W1943:7:20981146:20984115:1 gene:ORUFI07G21650 transcript:ORUFI07G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMIHGRPSPCEIPPLTCSHTKEATGAAHSLHQCSSSAAVALNTHLLTVFVRAQKDQEKMRVLPLRATTALLATLLVAASFQDLTVAADGGGSVVPVPDSVCDAKCQKRCSLKVAGRCMGLCKMCCHDCGGCVPSGPYASKDECPCYRDMVSPKSRRPKCP >ORUFI07G21660.1 pep chromosome:OR_W1943:7:20983393:20992575:-1 gene:ORUFI07G21660 transcript:ORUFI07G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSRRLGSLVPFAAAVVLLLLIASAAAAAAGSPDRAGPARPPLVLPLTLAYPNATRLPASSARRGLGDGHNPNARMRLHDDLLTNGYYTTRLYIGTPSQEFALIVDSGSTVTYVPCATCEQCGNHQDPRFQPDLSSTYSPVKCNVDCTCDNERSQCTYERQYAEMSSSSGVLGEDIMSFGKESELKPQRAVFGCENTETGDLFSQHADGIMGLGRGQLSIMDQLVEKGVISDSFSLCYGGMDVGGGTMVLGGMPAPPDMVFSHSNPVRSPYYNIELKEIHVAGKALRLDPKIFNSKHGTVLDSGTTYAYLPEQAFVAFKDAVTNKVNSLKKIRGPDPNYKDICFAGAGRNVSQLSEVFPDVDMVFGNGQKLSLSPENYLFRHSKVEGAYCLGVFQNGKDPTTLLGGIVVRNTLVTYDRHNEKIGFWKTNCSELWERLHISEVPSSAPSDSEGDMAPAPAPSGLPEFDVGLITVDMSINVTYPNLKPHLHELAELIAKELDIDSRQVRVMNVTSQGNSTLIRWGIFPAGPSNSMTNTTAMGIIYRLTQHHVQLPENLGSYQLLEWNVQPLSKRNLEVKRHIDLWIQQFLSKSSNRYNLEWFNGASEERAPYKKQSPWKLNKLSSELMRHVYPTFYPMRWWHATYGASSSALLEV >ORUFI07G21660.2 pep chromosome:OR_W1943:7:20985382:20992575:-1 gene:ORUFI07G21660 transcript:ORUFI07G21660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSRRLGSLVPFAAAVVLLLLIASAAAAAAGSPDRAGPARPPLVLPLTLAYPNATRLPASSARRGLGDGHNPNARMRLHDDLLTNGYYTTRLYIGTPSQEFALIVDSGSTVTYVPCATCEQCGNHQDPRFQPDLSSTYSPVKCNVDCTCDNERSQCTYERQYAEMSSSSGVLGEDIMSFGKESELKPQRAVFGCENTETGDLFSQHADGIMGLGRGQLSIMDQLVEKGVISDSFSLCYGGMDVGGGTMVLGGMPAPPDMVFSHSNPVRSPYYNIELKEIHVAGKALRLDPKIFNSKHGTVLDSGTTYAYLPEQAFVAFKDAVTNKVNSLKKIRGPDPNYKDICFAGAGRNVSQLSEVFPDVDMVFGNGQKLSLSPENYLFRHSKVEGAYCLGVFQNGKDPTTLLGGIVVRNTLVTYDRHNEKIGFWKTNCSELWERLHISEVPSSAPSDSEGDMAPAPAPSGLPEFDVGLITVDMSINVTYPNLKPHLHELAELIAKELDIDSRQVRVMNVTSQGNSTLIRWGIFPAGPSNSMTNTTAMGIIYRLTQHHVQLPENLGSYQLLEWNVQPLSKRSWFRDHVVSILLGILLVVLLTLSALLVLIVWRKKFRGQAAYRPVDSAVPEQELQPL >ORUFI07G21670.1 pep chromosome:OR_W1943:7:20993040:20995952:-1 gene:ORUFI07G21670 transcript:ORUFI07G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDTSHISGSSESTIPLLEQLAEVFGKLKSHTETSLQLQNGINWEDIKAHFLNLEKSYKSKCDELAEKQKALEEKKAESCRLIAEKEANVSAKERAFLNQFQELRDTAVSALSEVRQKYKVELAGILDANGSKDKKVRTSTNDMNALCASEENTTASGLGEPSEASPVDVKPRPVLKQLCEQMDTAGLLKFLSENWKKLSSLRDELSAALRCATDPARFVLGSLEGFFPSDHTSSPGNKQIILQGQRRSCIILMEAITPALATKEPGDNHPWSSEIRELAKAIAEEWKSKLAEVDLDASDGYSLEAQAFLQLLTTFNVDSVLDEDELCKLVVAVSRRKQTAELCRSLCLNERIPDIIKELVNRHRQIDAVQFIHAFGLSESFPPAPLLKTYVEELKDSLGNNGDGNAASLKDDPKTRELLALRAVIKCIEEYKLQKDYPLGPLQKRVAELKSKGEKRPLEAGRHNAKKPRTFGNSAARMPPNPVGSAGRRPSGPAGTWQRPPPPMPSYPDRYGHADRYHYTAPSATYDPPAYASYSEPYSASKPYQYTPGSVAPASYNSNQFKVAYGGPGAPPTAGGYGSYNDAAGQSTSSSYSGYSGYHPSLPRVQTPDVTFRYTLVDFVAVTGVDLDLPRLVVAYNLARFKDAKI >ORUFI07G21680.1 pep chromosome:OR_W1943:7:21004765:21006676:-1 gene:ORUFI07G21680 transcript:ORUFI07G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMTDVSTTGTALRTPAAGAVKEGDVEKLRFIDEMTTNVDAVQERVLGEILGRNAGTEYLTKCGLDGATDRAAFRAKVPVVSYDDLQPYIQRIANGDRSPILSTHPVSEFLTSSGTSAGERKLMPTIMDELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKSETKTPGGLTARPVLTSYYKSDHFKNRPYDPYHNYTSPTAAILCADAFQSMYAQMVCGLCQRNDVLRLGAVFASGLLRAIRFLQLNWEQLADDIESGELTPRVTDPSVREAVAAILLPDPELAKLIRAECSKGDWAGIITRVWPNTKYLDVIVTGAMAQYIPTLEFYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTIMPNMGYFEFLPVDETGAASGDATQLVDLARVEVGREYELVITTYAGLNRYRVGDVLRVTGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQRAVERASALLRPHGASVVEYTSQACTKRIPGHYVIYWELLTKGAGATVVDADTLGRCCLEMEEALNTVYRQSRVADGSIGPLEIRVVRPGTFEELMDYAISRGASINQYKVPRCVTFPPIVELLDSRVVSSHFSPALPHWTPARRSE >ORUFI07G21690.1 pep chromosome:OR_W1943:7:21018470:21019036:1 gene:ORUFI07G21690 transcript:ORUFI07G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPEVVQHKAANRAAEDDHTTSIPWLKLGVVDALTAEAGKLPESNPKPAVAAPHRTFSCNYCMRKFFSSQALGGHQNAHKRERCAARKSHGFQQQHLMVGLSPTAPSSFLHHMRVNPHATILKVNRGDSSADGVVVAKFHGGQMSSSWVPFAVELGRGSVWPGSFKASSQEQKKRTEEDLDLSLRL >ORUFI07G21700.1 pep chromosome:OR_W1943:7:21025493:21028759:-1 gene:ORUFI07G21700 transcript:ORUFI07G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRLLLLLAVLLLTLLAATTAVVGGHGGDDVAGAEEAKPPDDDGAAAKLDVRARRWPRFPATDGQLVMGESRRKEEYICFPEHLCTCYSFFYDIVGRGEQLCCKHQLAARLAEAVSKHQDIELDELSSNIRRSHVADSFTLLLLIRHNNQKTKYWYP >ORUFI07G21710.1 pep chromosome:OR_W1943:7:21031372:21031872:1 gene:ORUFI07G21710 transcript:ORUFI07G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAPSAAAAEGSQHASAAEGGSAAAAASAAPAAKAAEALLPSLSIWPPSQRTRDAVVRRLVQTLVAPSILSQRYGAVPESEAGRAAAAVEAEAYAAVTESSSAVAAPASVEDGIEMLQAYSKEVSRRLLELAKSRAAPSPAAAAPAEGAASESEAAAAPAPAEE >ORUFI07G21720.1 pep chromosome:OR_W1943:7:21042763:21043131:-1 gene:ORUFI07G21720 transcript:ORUFI07G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVDASDGDGATAVAGVDNGHSCGGCRRRDGCGRGGREPRPRMQAMATGMAGVDESHNCADVGGDDGCDCGGREPRLRMQATARRRGPQGDEGDGGRRQPQGDEDDDATMPVEPDEGSWST >ORUFI07G21730.1 pep chromosome:OR_W1943:7:21044453:21044689:1 gene:ORUFI07G21730 transcript:ORUFI07G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMGEYCSNAPCKWLPDLGKGGAHGTNAHNMFDGMPSQPEMSKEDQRISDPIPINSTMNKEEKWLDDALDWILEKFE >ORUFI07G21740.1 pep chromosome:OR_W1943:7:21044690:21046416:1 gene:ORUFI07G21740 transcript:ORUFI07G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKCMQEEKINQIFQKLEEIEVRRSKAFEEMIVAIRATTAIIKGASSPTPMAPPPPAPTNSTWELGDRKDMDQAPYIVTKDLPKVTPTKCSTLCSSSDIKPDLIVDVPVENFSSRTVTDIKLDTPMLNTKYRNE >ORUFI07G21750.1 pep chromosome:OR_W1943:7:21054236:21055225:-1 gene:ORUFI07G21750 transcript:ORUFI07G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVDARGCFGCVFDKVCNRIASRAAYVRIGLRTTRSANWADCIVEMSVQPRGFAQSDSVVAVVYSVVAVGVFTDKESSVWVGFGCNPVLFTVVLFMARHCS >ORUFI07G21760.1 pep chromosome:OR_W1943:7:21058021:21060661:1 gene:ORUFI07G21760 transcript:ORUFI07G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPQQQQHPEINLDENRGARVMLMEKTLLNPIFLVALMMYSLLIGNSLSGRTATPHGYGAPPPSPAGLATFMGVSFMCLSFVTVCACSSSYDGEGSRRYGIWRSEWITFCIAGVIFVVINLSMMLRPLRQVGLTAFE >ORUFI07G21770.1 pep chromosome:OR_W1943:7:21060821:21065099:-1 gene:ORUFI07G21770 transcript:ORUFI07G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNEFWDEIRRGLVDKDSLVRKQALYILRISLDIFPSSENDAAQQCSRRRSAALPAQDKPNTAMTKREKEARSLGIRETSQSDENCSSRKDRSKVFLLLYEMLQDVAREPILV >ORUFI07G21770.2 pep chromosome:OR_W1943:7:21063461:21065099:-1 gene:ORUFI07G21770 transcript:ORUFI07G21770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNEFWDEIRRGLVDKDSLVRKQALYILRISLDIFPSSENDAAQQCSRRRSAALPAQDKPNTAMTKREKEARSLGIRETSQSDENCSSRKDRSKVFLLLYEMLQEYGTHLVEAA >ORUFI07G21770.3 pep chromosome:OR_W1943:7:21060821:21065099:-1 gene:ORUFI07G21770 transcript:ORUFI07G21770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNEFWDEIRRGLVDKDSLVRKQALYILRISLDIFPSSENDAAQQCSRRRSAALPAQDKPNTAMTKREKEARSLGIRETSQSDENCSSRKDRSKVFLLLYEMLQDVAREPILV >ORUFI07G21780.1 pep chromosome:OR_W1943:7:21068536:21074427:-1 gene:ORUFI07G21780 transcript:ORUFI07G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVGLSTRRKVPLVASSAARSLRVIAYRGHRHHSSPSTSAPATYLPRITFQLVNYILSAQHGKRIAVVLNEFREEIGLERAMINKGQGGALVEEWVELANGYVCCTVKHSLVQALEQLVQTKESSSGNTKNLIQNFDALTCVIDAKAFRLQIDEHKKSSSFPEAFHQIAFADVVILNKVDLVEDNLEDLERQILEVNALVTVVQSVRCQVDLNKVFDQQAYGAKGQIHRSCKNFWSTVNQYHLISVVITVFLPCAFVSKDPVSLAKTKFVFASTFVTSTLGYSVAIAYSLSGRAAKMSLIRPRPDHVAGTPTNFHGPDRWETGDDPDYASTWLQFTPVIFFHIAIIGHLCLKRPRDVFQLTKGSPKQKDLLHRKKKQREGNEGGDKENKDAEANKEEDDEEEGEEEEEKAKVKKSKTKLVHTPNPPSPLRPPPHLSSPFQRTPSARPTFSLPCTAMTPLPGPLNRIP >ORUFI07G21780.2 pep chromosome:OR_W1943:7:21074430:21075164:-1 gene:ORUFI07G21780 transcript:ORUFI07G21780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGTRLLNLTVLQRLDPAVKDILTSTSTNEDLIASGVVLSSVTDELDRAPLLVPHHARENTVVAGYDVPAGTRVLVNLWAIARDPASWLDRPDAFLPERFLPGAGSCDDVVDVHGQHFELLPFESGRQIYPATNLAKKMVALGVASLLQGFAWRRRT >ORUFI07G21790.1 pep chromosome:OR_W1943:7:21077159:21077698:-1 gene:ORUFI07G21790 transcript:ORUFI07G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSISVGMVEAELLVDTTLAGILVRQNVQAEHVNSFFFLSISYYAKDENGLGRGGFTGVIVFYSSPLRRTASPVV >ORUFI07G21800.1 pep chromosome:OR_W1943:7:21082213:21087206:-1 gene:ORUFI07G21800 transcript:ORUFI07G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWEKRSRDRRAWPGGLQADALLGAIADISDMMTHEFLAMEEGLRALESLYKNAYESSSTTAAGTSPRKNHHYNDSDDESTQFSMGDVPVTTARLPSHLLLLRGNGIAKSMSFSMADQGAAGCGVVRKSMSFKENQERRLKSPGDSKPAPLRRELNTAAGVLHQVESFIDNIPPFRIFALDGFGETKPPISQLSLNLCTNFNLRRAINFEDDDHKRSLIGGTKFVFASTFAASTLGYSVAIAYSLSGRAAKMSLIRPRADHFATVIFFHIAIIGHLCLKRPRDVFQLTKVASCFVPTGFALCTVSYFLQFLCLSRAHRSKRTLCTAR >ORUFI07G21810.1 pep chromosome:OR_W1943:7:21089013:21091078:-1 gene:ORUFI07G21810 transcript:ORUFI07G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERPALPPPPSAPQSPPFSAPASPYSALHPLLLPSPNPHLLLKPKTLTLSLSSSSLGSMPSSSPPGPAVTDAWELVTPTATVVAVGAAAVDDGGLDDCDGEAEEAAAKEGEEDDGEEDDDDDDDEFGDGEWLWGWGRCRAAARRAWAAGVGAVREGLMVHGTCGCPAVRPAVWSAAGAAVVVGALLYARRRDRRERDLLVLLSQEKDKRIAQLLHQIALMSDMRSGGEAIKIIRTP >ORUFI07G21810.2 pep chromosome:OR_W1943:7:21089013:21091078:-1 gene:ORUFI07G21810 transcript:ORUFI07G21810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDERPALPPPPSAPQSPPFSAPASPYSALHPLLLPSPNPHLLLKPKTLTLSLSSSSLGSMPSSSPPGPAVTDAWELVTPTATVVAVGAAAVDDGGLDDCDVFPPRLHEGLGVEGEAEEAAAKEGEEDDGEEDDDDDDDEFGDGEWLWGWGRCRAAARRAWAAGVGAVREGLMVHGTCGCPAVRPAVWSAAGAAVVVGALLYARRRDRRERDLLVLLSQEKDKRIAQLLHQIALMSDMRSGGEAIKIIRTP >ORUFI07G21820.1 pep chromosome:OR_W1943:7:21091673:21095739:1 gene:ORUFI07G21820 transcript:ORUFI07G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAEARVRQEKVKKFEDFVDRRLKPDLVNTIAQRDKVFQQQKTFLDLKRNIENLEKNGVTSMRSMVNLGSEVYAQAEVPDTRHIFVDIGLGFHVEFTWQEALQFISVRESRLARQIDEYTHLIASIKAQIKLVCEGIRELLELPAE >ORUFI07G21830.1 pep chromosome:OR_W1943:7:21098775:21099773:1 gene:ORUFI07G21830 transcript:ORUFI07G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGGGVVRLPPMNALEILRETVRVLRADPHAFTSVLFFLLCPASGCLLLSAAALEGAAVLPLARRLLAAAAASGLPLTHFVRQLAHHLAATLVASVVSFPATFTLLLAARAAAAYAVAAVYAGKPLLAGAELSLLARRAWPRLAATYALACAAVIACLSSFLALLVTACSTLKFMLYPPDIVVCAGLLTVLAFSVAYAHTIIVCNLGGVIAVLEDIAGVSALRRSVQLMRGQTHVGLLIFLGSTIGLAFVEGLFEHRVKTLSYGDGSSRLWEGPLLVLMYSFVMLIDSMMSVVFYFTCRSSSMEILDDEGGSIEELEMMVGSNSVIR >ORUFI07G21840.1 pep chromosome:OR_W1943:7:21101942:21106376:-1 gene:ORUFI07G21840 transcript:ORUFI07G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGWQLPYHPLQVVAVSVFLALAFAFYVFFAPFVGKKVFQDVAVGLYTPLVSFVFFMYIWCAATDPADPGVLKSKKYLRLYGSGKHKHPKEFRHGISDSGLQVEGTGEKQEHEFAAASEKSTTRYKDNNPSCCSSTSSVFLIIFYPLYLVFSCCQPREWSEQQASEEGMFFCSLCEVEVLKYSKHCRVCDKCVDGFDHHCRLILQSAVGVLVLVFCFVERKEFSIQIVSKLGSSFSVVPYVIVVASCTILAIVALLPIAQLLFFHILLIKKGISTYDYIIAIREQEQEEVGGQQSPQMSRVSSYTGLSSTSSFGGRRRGSWCTPPRLFLEDQFDVIPSEAGSSHNSTSKRKEDEVRRKKGSGGIKISPWALARLNAEEVSRVAAEARKKSKVLLPIRKDEYAVGHETDSSYGGTSSSRIDLGPDNKRRTSRRARPHNDFSLKPVAKISADALDSHGSELVPETLSSLAPLQLEARSAFHPSRAASSANGGGSSPDSSLDSPDLHLYRVSAVSSSAAEDLQLTTLTAPGSTPQQGIELSRSTSDGYEASGGEDSDRIPSRIVHRSSNWASIILSSDQSVASSGILVPKNRLS >ORUFI07G21850.1 pep chromosome:OR_W1943:7:21106634:21110477:-1 gene:ORUFI07G21850 transcript:ORUFI07G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRIGDDPRHPPPARISGSGRKASSGDETNGRCQNGSMAASALLLISPSTETSRKRTMDLGEPVMQTCRGRVASSSKEDTNSKGQRSTPAQDESMDDKEVKTGSRHHMSPHRDRLQVQQQHCTVTVTVLSSTPLLFSPRSLAVWRRSRASREAKSPLALLASFPRWLATGYWLLSSKSEQSCI >ORUFI07G21850.2 pep chromosome:OR_W1943:7:21108566:21110477:-1 gene:ORUFI07G21850 transcript:ORUFI07G21850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRIGDDPRHPPPARISGSGRKASSGDETNGRCQNGSMAASALLLISPSTETSRKRTMDLGEPVMQTCRGRVASSSKEDTNSKGQRSTPAQY >ORUFI07G21860.1 pep chromosome:OR_W1943:7:21109358:21110148:1 gene:ORUFI07G21860 transcript:ORUFI07G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKNRKCNASILILAKPNRLETYTASRAYLIVKGKDFQWRVEHMWLDFDLAIGNNVITDTTLLIVAGDTRQWSVLTCDLPASASLHDGFT >ORUFI07G21870.1 pep chromosome:OR_W1943:7:21111471:21111671:1 gene:ORUFI07G21870 transcript:ORUFI07G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVVGRACWRWEVVRMSSSPWDHADECLHLHFILPRVLTWRRCRSCLPRLDAAAAVFLFRSWKR >ORUFI07G21880.1 pep chromosome:OR_W1943:7:21115707:21121894:-1 gene:ORUFI07G21880 transcript:ORUFI07G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHHLHFQLHALMLRHRPHHLQQHILMPLLHRHHRLSHVQEHHLPHLHPLALHLHHHLQVHVQVLHLLHHLQVLAQVLHHLHPLQVVVQVLHLFLLQVAVQVLHLLHPLQAHVLELHLPRHHLELVGEHLHHRLPLGEGSVVLPHLHLLVDVHHLLLEDQEHHHLQEAILVEGVGWYVLQDQVLGLQLHVTRALQGSLWEELQRNDDSQSVSEFDLSELESLFPAAVPKPNDSSKSDSRRKSLGSKPEKVHLIELRRANNTEIMLTKVKMPLPDLVSAALALDQSTLDVDQVENLIKFCPTKEEMELLKNYTGDKENLGKCEQFFLELMKVPRMESKLRVFSFKIQFGSQVADLRKSLNTIDSSCDEIRSSLKLKEIMKKILLLGNTLNQGTARGAAVGFRLDSLLKLTDTRATNNKMTLMHYLCKVLAAKSSQLLDFYMDLVSLEATSKIQLKMLAEEMQAVSKGLEKVQLEYNASESDGPVSEIFREKLKEFTDNAGADVQSLSSLFSEVGKKADALIKYFGEDPVRCPFEQVISTLLTFVTMFRKAHEENRKQAELDKKRAEKEAEAEKSKAQLASKNDSKPSNPSRQVKQTPDTKTRAASRRGKDVVRTSDGRFFSLRPAGPPSGNF >ORUFI07G21880.2 pep chromosome:OR_W1943:7:21121894:21125861:-1 gene:ORUFI07G21880 transcript:ORUFI07G21880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPRSEPIGGRVRRQSSSPGEGGAGEAEAAAAAEEKGEEEEGKDGALPQILPEEDAGSAARDLRALFDCCFSTDSMGEDEYRDYLSGIVAQLQDYFPDASFMVSNFWSGDKRSRISDILSEYDMTVMDYPQQYEGCPLLQLEMIHHFLKSCENWLSVEGQHNMLLMHCERGGWPVLAFMLAGLLLYRKTYTGEQKTLEMVYKQARRDFIQQFFPLNPQSSHMRYLHYITRQGSGPEKPPISRPLILDSIVLHVVPRFDAEGGCRPYLRVHGQDSSSSNKSAKVLYEMPKTKKHLQRYGQAEVPVKVGAFCRVQGDVVLECIHIGDNLDHEEIMFRVMFNTAFIQSNILGLNRDDIDVSWNSNNQFPRDFRAEVVFSDPGSFKPAAATVEEVDDDGDETDVASVDTGEEFYEAEEDWHDARRDPETQSTDGRTSIGDAELDGGVSREDSGSLEKHRADEDVKIVISQNLGCMSDRPACENEEMPKLSNRSDQDDNAVQDIQVVAASVDSEGHKFGSICQKEDMKGVIAQTLVTAIDPSCSDEVQCQPDESAKILKYPNLDYTGFSSPRTLSSVDEDTRLGTIPNVALQNADEVKNVVNEESTTPKLDRSVIESVDSQDNKNHKMEVAKAADTTDSKMEQAKLKSGLEDAISLKKTTVQGSIVVLPATEIATKIKTKREESGGRRDVGISLPQSKIEARAKSPRISSDRRQIPDKVVPSKKMPVDHAPEAVLLEEKLGNSDQSQEQPKAVKPKTVRRWISPNKESETTSVHRPSHPPSRYDSSPAALAIHSMHTNNKFNVGKDAPLVSSGAQAVPKIQAAPPPPPPPPPPYASSSSLSMHMGSATKQQPPPPPPPPPLPPPPPPPASSGLSSIPPPPPPPPLMSFGAQTRTFVPPPPPPPPPPRCWWEYSTCTSSPASKVNCSCYFSTSATTSSTTETKFWCSMPTPTSTATATSPSLSPILSSIFKCSSTATTTALVTIRSTSSSTTANLTL >ORUFI07G21880.3 pep chromosome:OR_W1943:7:21121894:21125861:-1 gene:ORUFI07G21880 transcript:ORUFI07G21880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPRSEPIGGRVRRQSSSPGEGGAGEAEAAAAAEEKGEEEEGKDGALPQILPEEDAGSAARDLRALFDCCFSTDSMGEDEYRDYLSGIVAQLQDYFPDASFMVSNFWSGDKRSRISDILSEYDMTVMDYPQQYEGCPLLQLEMIHHFLKSCENWLSVEGQHNMLLMHCERGGWPVLAFMLAGLLLYRKTYTGEQKTLEMVYKQARRDFIQQFFPLNPQSSHMRYLHYITRQGSGPEKPPISRPLILDSIVLHVVPRFDAEGGCRPYLRVHGQDSSSSNKSAKVLYEMPKTKKHLQRYGQAEVPVKVGAFCRVQGDVVLECIHIGDNLDHEEIMFRVMFNTAFIQSNILGLNRDDIDVSWNSNNQFPRDFRAEVVFSDPGSFKPAAATVEEVDDDGDETDVASVDTGEEFYEAEEDWHDARRDPETQSTDGRTSIGDAELDGGVSREDSGSLEKHRADEDVKIVISQNLGCMSDRPVSAPAEILGNPGGLQQACENEEMPKLSNRSDQDDNAVQDIQVVAASVDSEGHKFGSICQKEDMKGVIAQTLVTAIDPSCSDEVQCQPDESAKILKYPNLDYTGFSSPRTLSSVDEDTRLGTIPNVALQNADEVKNVVNEESTTPKLDRSVIESVDSQDNKNHKMEVAKAADTTDSKMEQAKLKSGLEDAISLKKTTVQGSIVVLPATEIATKIKTKREESGGRRDVGISLPQSKIEARAKSPRISSDRRQIPDKVVPSKKMPVDHAPEAVLLEEKLGNSDQSQEQPKAVKPKTVRRWISPNKESETTSVHRPSHPPSRYDSSPAALAIHSMHTNNKFNVGKDAPLVSSGAQAVPKIQAAPPPPPPPPPPYASSSSLSMHMGSATKQQPPPPPPPPPLPPPPPPPASSGLSSIPPPPPPPPLMSFGAQTRTFVPPPPPPPPPPRCWWEYSTCTSSPASKVNCSCYFSTSATTSSTTETKFWCSMPTPTSTATATSPSLSPILSSIFKCSSTATTTALVTIRSTSSSTTANLTL >ORUFI07G21880.4 pep chromosome:OR_W1943:7:21121894:21125861:-1 gene:ORUFI07G21880 transcript:ORUFI07G21880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPRSEPIGGRVRRQSSSPGEGGAGEAEAAAAAEEKGEEEEGKDGALPQILPEEDAGSAARDLRALFDCCFSTDSMGEDEYRDYLSGIVAQLQDYFPDASFMVSNFWSGDKRSRISDILSEYDMTVMDYPQQYEGCPLLQLEMIHHFLKSCENWLSVEGQHNMLLMHCERGGWPVLAFMLAGLLLYRKTYTGEQKTLEMVYKQARRDFIQQFFPLNPQSSHMRYLHYITRQGSGPEKPPISRPLILDSIVLHVVPRFDAEGGCRPYLRVHGQDSSSSNKSAKVLYEMPKTKKHLQRYGQAEVPVKVGAFCRVQGDVVLECIHIGDNLDHEEIMFRVMFNTAFIQSNILGLNRDDIDVSWNSNNQFPRDFRAEVVFSDPGSFKPAAATVEEVDDDGDETDVASVDTGEEFYEAEEDWHDARRDPETQSTDGRTSIGDAELDGGVSREDSGSLEKHRADEDVKIVISQNLGCMSDRPVSAPAEILGNPGGLQQACENEEMPKLSNRSDQDDNAVQDIQVVAASVDSEGHKFGSICQKEDMKGVIAQTLVTAIDPSCSDEVQCQPDESAKILKYPNLDYTGFSSPRTLSSVDEDTRLGTIPNVALQNADVKIITESTVIVDNELVIYEEKTIVDNGNLTQEVKNVVNEESTTPKLDRSVIESVDSQDNKNHKMEVAKAADTTDSKMEQAKLKSGLEDAISLKKTTVQGSIVVLPATEIATKIKTKREESGGRRDVGISLPQSKIEARAKSPRISSDRRQIPDKVVPSKKMPVDHAPEAVLLEEKLGNSDQSQEQPKAVKPKTVRRWISPNKESETTSVHRPSHPPSRYDSSPAALAIHSMHTNNKFNVGKDAPLVSSGAQAVPKIQAAPPPPPPPPPPYASSSSLSMHMGSATKQQPPPPPPPPPLPPPPPPPASSGLSSIPPPPPPPPLMSFGAQTRTFVPPPPPPPPPPRCWWEYSTCTSSPASKVNCSCYFSTSATTSSTTETKFWCSMPTPTSTATATSPSLSPILSSIFKCSSTATTTALVTIRSTSSSTTANLTL >ORUFI07G21890.1 pep chromosome:OR_W1943:7:21125860:21126795:1 gene:ORUFI07G21890 transcript:ORUFI07G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPTRPPPAGELSGGGPAPTSPPGDLGIDALPPPRVPPASGARAPRRQQQQRARARWWWWWAWRERAPDSGEAAATTDTLVPLPCGAT >ORUFI07G21900.1 pep chromosome:OR_W1943:7:21136144:21141342:-1 gene:ORUFI07G21900 transcript:ORUFI07G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKNAVSVTPAADSSGALRERSLPRAPEAAATVVSVTASSLRCSSAAAAGRRSEKKRDEAAVAAAGEEPSEKAVIAAATASRSFRLRSLRRSLEGEQVAAGWPPWLSAVAGEAIQGWIPLKADSFEKLEKVGQGTYSSVFRARELDTGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLSSSPDIKFSEAQVKCYMNQLLSGLEHCHSRRIVHRDIKGANLLVNNEGVLKIADFGLANYFDPNKNHPLTSRVVTLWYRPPELLLGSTHYDAAVDLWSAGCVFAEMFRGKPILQGRTEVEQLHKIFKLCGSPADEYWKKSKLPHATIFKPHCPYQSTLQDVFKEMPANALRLLETLLSVEPYKRGTASVALTSEFFKTKPYACDPSSLPKYAPNKEMDAKLREDSHRRKASRGHGPEASRKSRLSRAARETTTVNKQTDGKEESKTKANGTKDNSILDRTKVNGDARLFSDIQPVSVAQVKERSRHVKNDSREEIPFSGPLIVSSSSGFAWAKKPPEDRSFARSRTKSSSRGQFTAELDQDNKMPAKENQNLGLKEQPNRDMHIARANSKVREPHDAAKRAVLKKWSQLGRPDSFDSYDTYHSQNFSNAMYLGDTLSSKNSIKGDHDQGERVEYSGPLLSQSHKVDELLEKHERHIRQEKNNTSEEKTGHFCVGEAASRYIAVKGICQFLVSSVNRKLAFGGNIVDKIKTQLKTLYDSHIIVKSKLCLAASTR >ORUFI07G21910.1 pep chromosome:OR_W1943:7:21152238:21152882:1 gene:ORUFI07G21910 transcript:ORUFI07G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGREEGIWGGGREGMGGPQQLSAKGGRRKRDSTQRKRERRKAPRKKKWVVAGATSAGAGDGGGGQRPDSPTPSESPRRPPKADWRRYGATRTPAIRRPRTGARIAAPAALHGRASHLVAMTPPPHKPPDAAAVASSHDSSALCCPKTPAPAHRPDPATAVPHLPPLGRDPSPSPLPTAGENSTSPTPEREHHRGESPADAFLARRPALPAAR >ORUFI07G21920.1 pep chromosome:OR_W1943:7:21156450:21158823:-1 gene:ORUFI07G21920 transcript:ORUFI07G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFMDSANIAPHFADNGTRLFSVQGNFETFPQQDLVPLTAQEVHSKCITFGRAENLPFIPLATSALVSQHTGSSSVNVTPLQEILTSPSQISNVNTESIGVLQGLPDSSIVLDRPTDDGYNWRKYGQKAVKGGEYPKSYYKCTHLNCLVRKNVEHSADGRIVQIIYRGQHTHERPSKRRFKDCGGISDDLDDFSGTTGTSVRSQPDYDDYCRKPIIPSGTMVAPLVKKIEDGDDQLSGSSDNQDEHDDEVRTSDGASGDASANERNVPAPGQKIIVSTTSEIDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTYLGCDVKKQVERSVEEPNAVITTYEGKHIHDVPAARNKSHVVANASLLQNTKSNTYCTEQSYTTITC >ORUFI07G21930.1 pep chromosome:OR_W1943:7:21162010:21164604:-1 gene:ORUFI07G21930 transcript:ORUFI07G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVPHVNRTEYQLIDISEDGFVSLLTESGNTKDDLRLPTDDSLLGQIKTGFGEGKDLVVTVMSAMGEEQICALKDIGPK >ORUFI07G21940.1 pep chromosome:OR_W1943:7:21171036:21173373:1 gene:ORUFI07G21940 transcript:ORUFI07G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKLVLLLVALMATTTNLAAAAAASMEGRHEEWMAENGRTYEDAAEKARRFEVQQHAAGGNRTYSLGVNAFTDLTDEEFVARYTAAGYYYSNATSFEFGVAAHKLPGFMYENVSLSSEGAYTQGIDWRERGAVTNVKSQGNCGCCWAFSAVAAMEGINQITTNALQGCRLQWRLLHGSLFAYIGDGGGITTESAYPYQQAQGPCRFSAGEEGVVATIRGYQEVPLNEAALAQAVAHQPVSVAITAGGFRFKQYQKGVFMADHCDDDLRLNHEVTVVGYGVDGGAYWLIKNSWGTGWGEQGYMRLQTDQRACGIVGTSTRSIAPAYPVMAA >ORUFI07G21950.1 pep chromosome:OR_W1943:7:21177266:21178825:1 gene:ORUFI07G21950 transcript:ORUFI07G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEARNDNAGSPATQGSCNCKGNSHVVVVIDGNKTTAAAPTNRRDPEVCPLISKVHGRVRSIDPGAYDPMVVSLGPYHAGRDDLLQLQREKPMCLREICSLTGRTELYFLQQVISAHLRQQALAHYLHGIHDLKQAAAAGGRNERDNIILLNFRFNRMLLHDAAFLLVTMKALDDVAAAAAHGERRTHGRWTDVAIVHDLLLLENQIPFAVVEKLYYGVAAVGEDVDGHCKPFSDVMRDFKSMQTEGARXGGALEIPVLHVYDNTCSMLRNLMAMEQATAGVGNYVTAYCVFLSRLMCTAEDVALLTKKGILVHHLGSDEVVAGLFADLCKNVVFDDDDVGCNYLREACVAADERYQSRVQNWITWLKHKHFGNPWLATAAIAAVVVTICTVVQAVCAVLPKKQ >ORUFI07G21960.1 pep chromosome:OR_W1943:7:21186853:21189544:-1 gene:ORUFI07G21960 transcript:ORUFI07G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGRNNAGNPQPQANCPIGKVHGRVRDVDPFAYMPMVVSLGPYHAGHDDLQHKEREKPLCMQDICTLSIKNMAVLDFLQEVIFPLREQALMYYLHGINDLRRGRNDVDDDIKLNFRFNLMLLHDAAFLLVAMKALPRRNPNQVGDDEQRRTSSHGLWTDVAIVHDLLLFENQVPLVVVERLYQVATDRTDDFSQIVESFVWKTLLKHPNSPIPDQNVRTTAHHLLHQCHMLLRPTGYEIVVEIGGASSAADENAGVKRRRWHRAMQYHVAGVGLTSKGNIDGGVTHHRLLDVEYSGGALEIPVLHVDDNTCSMLRNLIAMEQASTGVGHYVTAYCVFFSRLMCTAQDVTLLTKKGIVVHQLANDETVAAEFANLCKNVVFNEDGRCNYLREACVAADERYQSRVRNWMTWLKHKHFRNPCLAMGAVAAVLVTICTVVQAVFAVFPRN >ORUFI07G21970.1 pep chromosome:OR_W1943:7:21206585:21233226:1 gene:ORUFI07G21970 transcript:ORUFI07G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPPPAPTPEVFDVVIFGASGFTGRYVIREALKFLPPSSSPLRSLALAGRSRDRVAAALRWAAGPGGAAPDLPILVADASDPASLAALAARARVVLSCAGPFRLHGRQVAAACAAAGADCLDISGEPEFMERVEAEFHEAAAKNGSLIISACGFDSIPAELGFLFHSRQWAPPSVPVTVQAYLSLESDKRIVGNFGTYESAVLGVANAGELQALRRSRPRRPRPNIPGPPPPKGSLVEHDKALGLWTIKLPSADTVVVKRTLSTVTQHPEGLPGVEESTDFAEHRKSFWSSVKPAHFGVKLTSKSLLGIAQFIFTGLCIGLLGGFSFGRSLLLKFPSFFSAGWFRKSGPTEEQVSSASFKMWFVGRGYSDAARASDRGSKPDKEIITRVSGPEIGYITTPIVLVQCALVLLSQRGNLPKGGVYTPGAVFGPTDIQQRLQENGLSFDLVSTRTL >ORUFI07G21980.1 pep chromosome:OR_W1943:7:21224006:21227265:-1 gene:ORUFI07G21980 transcript:ORUFI07G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYHLLRLERHCRHEDLTKGMMELGP >ORUFI07G21990.1 pep chromosome:OR_W1943:7:21235406:21238551:-1 gene:ORUFI07G21990 transcript:ORUFI07G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLGFLCLLLFAGQVAVVASDDDREVLVELKRFLQANNRFNRGEYDRWPESDASPCRWAGVTCDGRGRVTALDLSGSAISGAAFGNFSRLTALTWLDLSDNGIGGELPAGDLAQCRGLVHLNLSHNLIAGGLDVSGLTKLRTLDVSGNRFVGGAAASFVPAACGDLAVLNVSGNGFTGDITGLFDGCPKLEYIDLSTNNFTGELWPGIARFTQFNVAENNLTGGVPAATFPGGCKLRSLDLSANHFAGEFPDSIASCSNLTYLSLWGNGFAGKISAGIGELAGLETLILGKNRFDRRIPPELTNCTSLQFLDMSTNAFGGDMQGILGEFVTLKYLVLHHNNYTGGIVSSGVLRLPLLARLDLSFNQFSGELPLEVADMKSLKYLMLPANSFSGGIPPEYGRLAELQALDLSYNGLTGRIPASIGNLTSLLWLMLAGNQLSGEIPPEIGNCSSLLWLNLADNRLTGRIPPEMAEIGRNPAPTFEKNRKDVSVLAGSGECQAMRRWIPATYPPFNFVYTVMTRENCRSIWDRLLKGYGIIPICTNSSSPVRSNTISGYVQLSGNKLSGEIPSQIGAMRNLSLLHLDNNQLTGRLPPAISHLPLVVLNVSNNSISGGIPPEIGHILCLEILDLTYNNFSGELPASLGNLTGLNKFNVSYNPLLSGDVPTTGQLGTFDELSFLGDPLITLQDRGPRRQRAPQAAIRGRGMSPRTIALWFVFSLIIAFIAGTVVFIMANLRARFPVDQDPDPESLSCENPKQVRRVPYVGHVVAAVRVFRAEMEVLADRMGFTWPHPNLVTLYGWCLAGSAKILVYEYLDGGNLESLIGDHAAFGRRRRLDAAIGVARALVFLHHECRPAVVHRDVKASNVLLGRDGGVKVTDFGLARVVRPGDTHVSTMVAGTVGYVAPEYGQTWRATTKGDVYSYGVLLMELATGRRAVDGGEEECLVEWSRRMAQEGWPAREAAASSGAVLWDMLMLGMRCTADSPQERPDMPDVLAALLDIAGSGGGGE >ORUFI07G22000.1 pep chromosome:OR_W1943:7:21260486:21267221:1 gene:ORUFI07G22000 transcript:ORUFI07G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCRVCVTGASGYIATCLVKKLLERGCIVHGTLRNLGDEKKAAPLRELPGAAERLVLFEADMYDADTFEPAIAGCEFVFLLATPFQHEPSSKYKNTAEAAVDAMRIILKQCERSKTVKRVIHTASVTAASPLREDGGEGYKDFINESCWTPLGQSHPYSSDMSAINQALLRYNESESRAFEVVTLACALVGGDADTTRLYHLLSVPAIVAPLIGQESYHGGLKVVGEGVRVGADTNKLTDLGFRYKYGVEETLEGSVECAKRMGLL >ORUFI07G22010.1 pep chromosome:OR_W1943:7:21269577:21274105:1 gene:ORUFI07G22010 transcript:ORUFI07G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTLSVSSLASAFASIPRPRPPPPPPSRIRASPLSTAAAAASDGDAADGVDTVEQLLVTKPPASSSSSSAGRGRIDRLMKLQRRADGDFPPGGAGRRWFPYLDAFRAAGGVELTSREVLEVLEPHIMEARRERIRRAVENRSYSVCLVVEGLSDFGNVSAAFRSADALGVQSVHVISCDNNKRYRDNRHVSMGAEKWLDIELWSSTAECFDALKKRGYRIATTYLGNDSVCVYDMDWSQPTAIVVGNELMGISDDALKLSDLHCSVPMKGMVDSFNVSVAAGILMHHAVCDRISRIGHHGDLLPEESRILLAEFYLRHRESTAGIVHEYAKRKAGNFMAKL >ORUFI07G22010.2 pep chromosome:OR_W1943:7:21269577:21273105:1 gene:ORUFI07G22010 transcript:ORUFI07G22010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTLSVSSLASAFASIPRPRPPPPPPSRIRASPLSTAAAAASDGDAADGVDTVEQLLVTKPPASSSSSSAGRGRIDRLMKLQRRADGDFPPGGAGRRWFPYLDAFRAAGGVELTSREVLEVLEPHIMEARRERIRRAVENRSYSVCLVVEGLSDFGNVSAAFRSADALGVQSVHVISCDNNKRYRDNRHVSMGAEKWLDIELWSSTAECFDALKKRGYRIATTYLGNDSVCVYDMDWSQPTAIVVGNELMGISDDALKLSDLHCSVPMKGMVDSFNVSVAAGILMHHAVCDRISRIGHHGDLLPEESRILLAEFYLRHRESTAGIVHEYAKRKAGNFMAKL >ORUFI07G22020.1 pep chromosome:OR_W1943:7:21276590:21281289:1 gene:ORUFI07G22020 transcript:ORUFI07G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQHRCMHSASCVCIKGHLSEDALFLVFRHMNWNPRLIAVLSCVCKWFDEVAKQVLWKEFCHARAPKMMLDLHSGGSHIVDGNWKALGKLLIYCNGCTKGGLFNNIHVPGHFVFRTRFSRTAGKSFLPQQCRNDVLYVSDPCEHLDQGDEGDLGFFRGIFKSFATSKVKKMLIEKRARFHPSESCPYCKAKLWSMLEANMSPGSSSARLGAYEDSVEYFVCLNGHVIGIGTLLPLSDSEEVPEE >ORUFI07G22020.2 pep chromosome:OR_W1943:7:21277314:21280334:1 gene:ORUFI07G22020 transcript:ORUFI07G22020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQHRCMHSASCVCIKGHLSEDALFLVFRHMNWNPRLIAVLSCVCKWFDEVAKQVLWKEFCHARAPKMMLDLHSGGSHIVDGNWKALGKLLIYCNGCTKGGLFNNIHVPGHFVFRTRFSRTAGKSFLPQQCRNDVLYVSDPCEHLDQGDEGDLGFFRGIFKSFATSKVKKMLIEKRARFHPSESCPYCKAKLWSMLEANMSPGSSSARLGAYEDSVEYFVCLNGHVIGIGTLLPLSDSEEVPEDTWTITTNIPANVVAPANALVSLSPRKFTFAKVALVTALLGIAFQPWRLFGSSESFIYTWPNSLLVAVVVVVSGELEGGLRLALAVGRDFHSSTLSCRGGARGASPGEEAAGGVGLLAEEEERMVGRRMGGRRSSRRMRRPTRKPPRAPAPPRPPPLPPPLPRGRRRTSSSLTSSALERSLWPPSSQEERRGK >ORUFI07G22020.3 pep chromosome:OR_W1943:7:21276590:21278266:1 gene:ORUFI07G22020 transcript:ORUFI07G22020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQHRCMHSASCVCIKGHLSEDALFLVFRHMNWNPRLIAVLSCVCKWFDEVAKQVLWKEFCHARAPKMMLDLHSGGSHIVDGNWKALGKLLIYCNGCTKGGLFNNIHVPGHFVFRTRFSRTAGKSFLPQQCRNDVLYVSDPCEHLDQGDEGDLGFFRGIFKSFATSKVKKMLIEKRARFHPSESCPYCKAKLWSMLEANMSPGSSSARLGAYEDSVEYFVCLNGHVIGIGTLLPLSDSEEVPEE >ORUFI07G22030.1 pep chromosome:OR_W1943:7:21279053:21279637:-1 gene:ORUFI07G22030 transcript:ORUFI07G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLEPNSRHGWKAMPSNAVTSATLANVNFRGLKLTSAFAGATTPRPGIGASSGWYDFEPGRQEEEHGAATDPGFDAEPPATDEHANDRRQVRAAHPERRTREHRERQPVRASSSTATIAPTSSQPPSRQPPAAMDRWTMEQRRKGMRTG >ORUFI07G22040.1 pep chromosome:OR_W1943:7:21279660:21280409:-1 gene:ORUFI07G22040 transcript:ORUFI07G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPFLFPSPISGRLVSPVRCGVISRYFPLLSSCELGGHSERSRAEEVREEDVRRRPRGSGGGSGGGRGGAGARGGFLVGLRIRRELRRPPIRRPTIRSSSSARRPTPPAASSPGLAPRAPPRQESVEEWKSRPTANASRKPPSSSPETTTTTATRREFLPLEIRDDDEDGDFLVADIHPPPSRHSCYVPGRRNVASPPSHMATPPCSTMSSVSGAAAAAVLDDAGARPRPHGRRSYRSPGSRAAAGGG >ORUFI07G22050.1 pep chromosome:OR_W1943:7:21284026:21297355:1 gene:ORUFI07G22050 transcript:ORUFI07G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITEEQRRRIEANRLAALERRKRFAEAAAADASVGWRLAKCPRFAPPPPQPTLPPPPPRTLPPPPPPPPPQPPVGFKVVLEVCGPEVFWGGGGPAEGFAYPGEAECLRAVQDCISSAAPFSTTQSQSGHIFSVFKLMDYEPVLKCLKKLPGVAVQDIPYKTRNVIKNLPKFFAESCASDKEVDGLLMKLPQHLRDALLPFQLEGVKFGLRRHGRCLIADEMGLGKTLQAIAIACCYKDEGPVLIVCPAVLRYTWAEELERWDPSFLPKDIHLVFGHQDSLERLGACPKAVVISYQMLSRLRKSMMNRRWALMIIDESHNIRCTKKKHEKNEDFSKGARLTELNVLLSQTLMIRRLKEHLLNELPPKRRQIIRLKLNASDIKTAISCIKGVNTSDEIPTIASPDNSNDSEEVNMEEADGCKKSPRNLTTQEIGIVKIPGFSEWFLNHFIMKEPADNGSLDSQSNCQKTIIFAHHLKVLDGVQVFVTEQRIKYVRIDGSTSPRERKDAVDSFRLNPEVMVAIIGITAGGVGLDFSSAQNVVFVELPKSASELLQAEDRAHRRGQTNAVNIYIFCARNTLDESHWLHLNQSLFRVSSLMNGKKDAIREIEVDQVYHLEESSKSEGKSQLGFLPLENHNADCGHGPGENVESDDMSIENFPGLNDMNFESDFFSIRTIPLHFEDDSLHTVMQNCPSPTAHEEIAFDSSSISQASVICPSMSSAKSVKARRRLSEIFQTLGLPATTDCNIEEKFLRFEVSQHTGRIHLYICVPGHDSRPRPLFENFLPEEVESPLCSDNTMKTSNRLLKRDPAFCNTFKAFVKEWLALRPIEQKRLLGKPLQLPLSLELCYLKDTNNHSTKGLLKGGSKRRVTPWCDISNPLPENAEWRKVVLHNGTSREREYTQGWTVHDEPLCKLCQGPCNGRLAKSPEYFEDLFCGLPCFEVFRSRTSGRFARQALFEIEHGICSKCKLDCHELVKNIRPLCKKKREEYIRNVAPNIASRLKLLDKLVHEPNEGNAWHADHIVPVYKGGGECTLENLRTLCVACHSEVTCAQQKERKELTKKAKELLKNTVNNQQKDEASEAAEEDDDKSLLVVVPGSAYS >ORUFI07G22060.1 pep chromosome:OR_W1943:7:21298197:21301049:-1 gene:ORUFI07G22060 transcript:ORUFI07G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLPLLAAALLVLFSGLHPAASTAAAGGEAATVVVKAAAAVSRTDDNFVCATLDWWPRDKCNYGMCPWYNASIINLDLNNTILNNAVKAFNSLRIRLGGSLQDQVTYKVGSNYGDCRSFQRDDGGLFGFTDGCLEMNRWDELNVFFKRTKKAAGGKDTLYSGDWDARNALDLMRYTAGKGYRVESWELGNELSGSGVAARVAAAQYGRDVAVLRKAVERVYGGGGEVPKVLAPGGFYDGAWFSEMLRVSGRGAVDGVTHHIYNLGSGKDRDLVRKMQDPGYLDQVEKTFRDMAATVRGSGPWSSPWVGESGGAYNSGGKGVSDRYVNGFWYLDQLGMSAAHGTRVYCRQALVGGNYCLLNTTTFVPNPDYYGALLWHRLMGPVVLKAATTAGGGGSPYLRSYAHCSREKPGVTVLLINLSNATAFDVSVAGGALGAVPCGGRREEYHLSPRGGDIRSQVVLLNGEALTLGPGGEIPELRPAVVGDGCAAPLRVAARGIAFVRFTEFKAPACAA >ORUFI07G22070.1 pep chromosome:OR_W1943:7:21301657:21303948:-1 gene:ORUFI07G22070 transcript:ORUFI07G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSFLAAGRRLIRLGCGRLLPAGHARSHGSTPALIRAAAAASSPASPRGHSGGRKPARPPSLQSTLWPLGHPGTLLVPEIERWAAKPGNRLRHVELERIVKELRKRRRHRQALEVSEWMNAKGHVKFLPKDHAVHLDLIGEIHGSSAAETYFNNLPDKDKTEKPYGALLNCYTRELLVEKSLAHFQKMKELGFVFSTLPYNNIMGLYTNLGQHEKVPSVIAEMKSNGIVPDNFSYRICINSYGTRADFFGMENTLEEMECEPKIVVDWNTYAVVASNYIKGNIREKAFSALKKAEAKINIKDSDSYNHLISLYGHLGDKSEVNRLWALQMSNCNRHINKDYTTMLAVLVKLNEIEEAEVLLKEWESSGNAFDFQVPNVLLTGYRQKDLLDKAEALLDDFLKKGKMPPSTSWAIVAAGYAEKGDAAKAYELTKNALCVYAPNTGWIPRPGMIEMILKYLGDEGDVEEVEIFVDLLKVAVPLNSDMTDALSRARMREEKKVKDAVRMWVGDGNQNEILSDIQ >ORUFI07G22080.1 pep chromosome:OR_W1943:7:21304378:21305400:1 gene:ORUFI07G22080 transcript:ORUFI07G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPATMKVLSLVLGLILVMLAAVLTDASIELLVRFSRAVGATSYGEAMGDAFDPLKYTPAVSVALAIVFVVINVGIATIKLMRGQIPMPKLFPDVHDWSSTWRLHTAAPVLLLRLPPLRRGDAGRHARLPHRVPRAAIQHGRPPLPLSEAPLLRGCDNRRFGAITAALLTVIFLAANFDPNIWDAFQFTGSSCMGDDVDCGGGGDSCLAAVILVAAAVSPMRPRSTP >ORUFI07G22090.1 pep chromosome:OR_W1943:7:21308194:21310946:-1 gene:ORUFI07G22090 transcript:ORUFI07G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNGNGHYPVNGAKVLQKRENNQEKMLLDKNAAFRACQKDRHYIEKLETELRNCYQEIDYLQDQLNIRNVEANIMGEHIHSLELKLTELEKFPERVRVIDDELMRSDSQCWLLMEEVRCQEEKLKKAALQIEKLENVNLDSQCEIESLKLDLTTLEQRLFDADSFGQHVSADKAIADNKLREYELQLQEAHKTIDHLLLENKELKRLFPGGVATALTSDEQVDKTIEKIDGQYYERGGAILENMAKRSEESELLIEQLKEELREQKLKAKEDAEDLTQEMAELRYQITGMLEEEYKRRSCIEQAAIQQIQELEAQVSKEQRKLSGALRKLQESHELAQKQSMEIKKLKDSLGRFNSALNHGTVCRSCSCGFCAMLLELSNCSIEGLVDVRSSNEKPQNQALLEWRPDEDADGEAG >ORUFI07G22100.1 pep chromosome:OR_W1943:7:21316996:21318261:1 gene:ORUFI07G22100 transcript:ORUFI07G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGVELSGVMHRCRVCGKGFSCGRSLGGHMRSHISFGEAAAELGANGGVVGYGLRENPKKTRRLSEFDGDGDGEEVEVEEGGDGGELRACRECGKLFSSWRSLFGHMRRHASGGGGRNHDDDDDDDVDVEDEFGGGEEEEIVAPAPAAVTVIAAPPRRRRRSMRVAAPAPAPPRPVLLGGFEKEQEDVALGLLMLSRDTGVWRSPVKAETFEKPEQKKKKATAKQPPPLPLPRNGYGYGYNSDEDSALLQYGGDVAKSRKRRASYHSPNSISSKKKQQPRAAAPAKRTRYECPGCGKVFASYQALGGHRASHKRINTSCSAPKVSPATAAAAAPAPEPSSETYASLSTLSPSASPGSAAAGIGDRKANNKSSAEEEKFGGACSSDELYAELELEQRSPAAAAGFLDLNFPPASSEVGVN >ORUFI07G22110.1 pep chromosome:OR_W1943:7:21324219:21329137:1 gene:ORUFI07G22110 transcript:ORUFI07G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDECPPLAVELSPEKPYSPPPLGPSAASPVGVTVITGYLGAGKSTLVNYILSAQHGKRIAVILNEFGEEIGVERAMINEGQGGALVEEWVELANGCVCCTVKHSLVQALEQLVQRKERMDHILLETTGLADPAPLVSILWLDDQLESSIKLDSIITVIDAKNFRLQIDEHNKSSSFPEAFHQIAFADVVILNKIDLVEGSLEDLERQIHEVNALVTVVQSVRCQVDLNKIFDQQAYGAKNSSQLQELLEYSKSVPPNLRHDNSISTLCICEQDPISLSKVESWLEDLLWERKLDMDIYRCKGILHVHNSDQVHTLQAVREVYEVLPAREWSKTESRTNKIVVIGRNLDINILQDSFSRCKH >ORUFI07G22120.1 pep chromosome:OR_W1943:7:21329426:21333485:1 gene:ORUFI07G22120 transcript:ORUFI07G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATSRLLRRHPPPLPLLLRSSISSSRPLLQQPELSPAAAADAAPATDAAPLPPKPSTGSPFYGENWRNPAAAANPSSSSLLPAVVGGGAHARAAAYSASPGAAELKETFAEWMAEQRWEEMKQLFEFWVRSLDPASGKPNRPDVDLFNHYLRAQLMSGALPIEMLDLAEQMREFEITPNTASHNLILKSMVQAQEADGAEKLIERMLQTGTQPDDESYNLVVNLLIKLNRVDSTLKYLDLMLKSGYTISSSVFVEYVRACVRSGRLDTLASVIEKCKATDQNKVLCPPWSWCVEIAEAAFEANNSKLGLFALEYLARWIARSERVIPPLHLSVDEGLVLSALSAAGRTCSTDLLNAAWSILRKSLHQKRAPTPEAYLAKIYAHSSIGHLQRAFGTLREFENTYGNFEDIDSELFSPFTSLRPLVVACCKDGYTTLDSVYVQLENLSSADSPYKSVAALNCVILGCANIWDLERAYETFEAIKEKFGLTPDIHSYNALLHAFGKRKKTEEACNVFQHLVSLGVKPNATTYGLLVDTHLVNRDAKAALAVIAEMVDAGFTPSKETLKKVQRRCSRESDFDSDEKVQSLAKQFNYRMGGENRREMLFNIEYSAEFASTPSPS >ORUFI07G22130.1 pep chromosome:OR_W1943:7:21333932:21337728:-1 gene:ORUFI07G22130 transcript:ORUFI07G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAVVSAAEGAIHTLLGKLGTIVLQEAQLLGGIRGELQHLKDELESMTAFLQDLSGRDECGKQVKIWKKHVREIAYDIEDCIDEFKHQLGDSSSAGGSGPVVFFRKATHILQTTRVRHQIAKQIQELKRRTMNISARNSRYSAKHLISGTAGNSMAAYDSQANLLNVDTRITALFPERRQLVGIEPRQGNLVHWLLEAHVQQLRVVSIFGFGGLGKTTLAMTTYQSLSGRNGPFQCQAFVTVSQSFDVKVLMRDILLQITQPVNQPSSPSTGAGKGPMEGLLKGMETWNVVQLASILRQQLDNKRYLIVLDDIWSMNAWEGIRFSLPDSNNGSRIVVTTRIRAVAHTCCFHEYDRAYEIKPLTDCESRDLFFKRIFGSSICPEHLEDISAKILGKCGGTPLSIVSIAGLLASKPVHSKDLWEKIYSSLGSEIETNPSLDRLKKILELSYNDLPYHLKTCFLYLSIYPEDHNIRRKTILRRWIAERFVTGKRGLSVFEVAESYFDEFINRSIIQPVTTSFTGKVKTFRVHDVMLEIIVSKSIEDNFITLVGEQNTLLPQEKIRRLTVHSRGVKYIATREILCHVRSLSIFAGGETLQFGWMKLMRILDLEGYEFLRNRDLKDLCRLFQLEYLNLRRTHITELPTQIGNLKKLDTLDIRETAIKHLPPGITNLPHLANLLGGRRSYNHTGRWPISEFWGLHIPNELRKMDSLTTLAQVEITTSTSHFISELSKLSRLRKLGVLMFVDDDSTWASLISALEKLSGSLRSLLLWRPDGAMNFNIVNSLSSPPIFMKSMNLRGQLTQLPCWFPLLSNITELTLRATELSAEEDLKVLGSLPSLLYLRLHHNAYIGTELSASAGEFPSLRLLVIHLDMSEDWEARFEEGALPKLARLELSLFEEASIQEITGIEFLPSLKEVSIRACHSNIVNVEEIVTSLRADAEKNINKPIVTFEEKQWVPMRSRTDPPLDHMGNLLSSSFDED >ORUFI07G22140.1 pep chromosome:OR_W1943:7:21338718:21340259:-1 gene:ORUFI07G22140 transcript:ORUFI07G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPLPRFLLLLPLSRRRRRLLSTAAEAAAAPAPAPAPGRPTDPSLLLRLCTVLYQHQHAPEDALRRRLSALPLPSAAPDDLRELFLQASARFPLSWRPVRRLLDHLTAAHGFAHSPATAARFLDVLAKSRNVDLLHSTLLSFPPALRSPAALRAAIRGLVPAREVGKVSSLLALFTDADRPRTLTFITDVVCSVCKLPDVAEKVIKQAEHRYGVSRTGRCCELLVVGYCRAGMLSDACRVWNGMERRGLDPGAAAYEEIVVTLFKNNRVADAMKVFDGMRRRGVSDSCRGGCYRAVVSWLCKEGRMWGAYMVFAEMFKRGVEVDGEVMGDLVYGLLVRRRVREGYRVFHGVKEKDIALYHGLMKGLIRIKRAREATEVFREMVATGCEPNMHTYIMLLQGHLGKRGRKGRDPLVNFESIFVGGLVKAGRTLEATKFVERTMWGGVDVPRFDYNKFLYYFSNEEGVSMFEEVGRRLKDVGHVDLGDIFLTYGERMATRDRRRRAMNGLLTEV >ORUFI07G22150.1 pep chromosome:OR_W1943:7:21348014:21356540:-1 gene:ORUFI07G22150 transcript:ORUFI07G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSFLSLFMVSNKNAMSSSVLFLAALLLLSCSSMSSAARWLEEEYPPHPAVPELPKHEEPPHPVVPEXPKHEEPPHPVVPELPKHEEPPHPVVPELPKHEEPPHPVVPELPKHEEPHPAVPEFPKPEVPEHELPPKPESHYPENTMSSSVLLLVALLLSCSSMSSEARRLEEAYPAHPAVPELPKPELPPHPVAPELPKHEEPPPHPHPAVPELPKPELPPPHPAVPELPKPELPPPHPAVPELPKHEEPPHPHPAVPELPKPELPPHPAVPELPKHEEPPHPVVPELLPKPELPKPVVPELPRPEVPHPAAVPELPKPEVPHPTVPEHEQPPKPNAMSSSSSSSSAVLLMAALLLSCSAARHLEEKAPHYPAVPEMPKPELPELPKPELPPPLPELPHPVVPELPPHPAVPEVPKLPELPPLPKPELPPHPVVPEMLPHPVVPELPHYPAVPGFPKHGLPPKPELPPLPTAELPPEAEVHDPEPEAKQP >ORUFI07G22160.1 pep chromosome:OR_W1943:7:21356703:21357053:-1 gene:ORUFI07G22160 transcript:ORUFI07G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHTKPSILLLAAALLLLSCSSIGGAARYLEEAAPAAAAEEHPAHPAVPELPKPELPELPKVPELPHPVVPELPKPELPKPELPEIPKSELPPLPKFELPPKPEFHFPEPEAKP >ORUFI07G22170.1 pep chromosome:OR_W1943:7:21359218:21377750:-1 gene:ORUFI07G22170 transcript:ORUFI07G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAMAARKIAMSTSSLLLLLLAAALLLTGDAARILQEAAWPPYDYPKPDDQPPPLLPTPDVVPNPNQPAPLQPTPGSSMSSSFLFLMALLLSCSSMSTAARYLEEEYPQLPKPELSPHPAMPELPKPELPAHPEVPELPHGAAVPELPKPEMPKVPELPHPEVPELPKLPELPHPEVPELPKPELPEHPVVPELPKPELPPSMGSSARYLEEATPADERPAHLAVPELPEIPKPELPPLPKMTQSTQFDLLLKTIVENEKEREAAEERTRTEYWKLKKTVEAKIPVVEKVEELSEPFQSLSDKIEQLEGTIDKLVNRRVVEHFDDMVSKNFMSSSLLFLMALLLSWSSISSAARYLEEEAAPKEEYPELPKPELPHGAAVPEFPKVPELPHPEVPELPKVPELPHPKVPELPKVPELPKPELPEHPAVPELPKPELPSLPKVELPPLPKPEFHFPEPEAKP >ORUFI07G22180.1 pep chromosome:OR_W1943:7:21377864:21378205:-1 gene:ORUFI07G22180 transcript:ORUFI07G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIAVSLLLAALLACAAASGVAARRLEEEETSSTTAPAEEEDQEEPNHPAAVFPELPVPEHELPPLPKVVGLPPLFPEAHLPPFPEVDLPPKPEFPGVPEFHFPAEQEAKP >ORUFI07G22190.1 pep chromosome:OR_W1943:7:21382642:21383892:1 gene:ORUFI07G22190 transcript:ORUFI07G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSGLFPRRALAEGAGALGRSLPLVGGGSWTRLVHGGGGGSEAEGEEFPYADVPRPGRKWERKPYVTPMKVLIRRAKEERRARRENPCRVLERPPENGLLVPGLVGVAHQVHGAWESLLRGLTRLVEGGAAGAVKRCRFCPEVHVGVAGHDIRTCEGPGSAARNALHVWRPGTARDVVGFPYCYHLFDRVGKPRVSHKEKYDVPRLPAILELCIQAGVDVERYPAKRRTRPVYSIEGRIVDFEPDDDEDDSAGDTGPSPPSLAADEAGEEMTMSELGARTLQSWLDMRAGAARLMGKYGVVTCGYCPEVQVGPRGHKVRMCKASKHQQRDGQHAWQEATVDDLVRPNYVWHVPATGHGGDGGAPSLANELKRYYGKAPAVVELCVRAGAPVPAQYRSMMRLDVVPPARDEHDLVA >ORUFI07G22200.1 pep chromosome:OR_W1943:7:21385211:21389076:-1 gene:ORUFI07G22200 transcript:ORUFI07G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGASDPSRQVRVRFVTKLPAPLRAPPTFIAVPADLTRMGLSEIVNSLLLAASPDHQAQPFDFLVDGELVRLPLQEFLLAKGISVERVLELEYVKAVAPRKQEDPCPHDDWVSAVDGSNPRIWRDASECTHILEGHSDGITSARFINKGETEDRLHVVTASKDRSLCLFKFDTSVSIPKQIGAYKILRGHTSSVQSVAVDPSTNMICSGSWDNSIKLWSVEGSEEDGDTVSVKKRRTNSDSSGPEESQFQGSATSTFLGHTQCVSAVTWPERQTIYSASWDHSVRQWDVQTGKETWNMVSGKALNCLDCGGESSSLIAAGGSDPVLRVWDPRKPGTLAPIFQFSSHKSWISACKWHPSSWFHLVSSSFDGKVMLWDLRTAWPLASVESHKDKVLCADWWKGDSVISGGADSKLCIASGIEIVLSY >ORUFI07G22200.2 pep chromosome:OR_W1943:7:21385024:21389076:-1 gene:ORUFI07G22200 transcript:ORUFI07G22200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGASDPSRQVRVRFVTKLPAPLRAPPTFIAVPADLTRMGLSEIVNSLLLAASPDHQAQPFDFLVDGELVRLPLQEFLLAKGISVERVLELEYVKAVAPRKQEDPCPHDDWVSAVDGSNPRIWRDASECTHILEGHSDGITSARFINKGETEDRLHVVTASKDRSLCLFKFDTSVSIPKQIGAYKILRGHTSSVQSVAVDPSTNMICSGSWDNSIKLWSVEGSEEDGDTVSVKKRRTNSDSSGPEESQFQGSATSTFLGHTQCVSAVTWPERQTIYSASWDHSVRQWDVQTGKETWNMVSGKALNCLDCGGESSSLIAAGGSDPVLRVWDPRKPGTLAPIFQFSSHKSWISACKWHPSSWFHLVSSSFDGKVMLWDLRTAWPLASVESHKDKVLCADWWKGDSVISGGADSKLCIASGIEIVISQATRN >ORUFI07G22200.3 pep chromosome:OR_W1943:7:21385580:21389076:-1 gene:ORUFI07G22200 transcript:ORUFI07G22200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGASDPSRQVRVRFVTKLPAPLRAPPTFIAVPADLTRMGLSEIVNSLLLAASPDHQAQPFDFLVDGELVRLPLQEFLLAKGISVERVLELEYVKAVAPRKQEDPCPHDDWVSAVDGSNPRIWRDASECTHILEGHSDGITSARFINKGETEDRLHVVTASKDRSLCLFKFDTSVSIPKQIGAYKILRGHTSSVQSVAVDPSTNMICSGSWDNSIKLWSVEGSEEDGDTVSVKKRRTNSDSSGPEESQFQGSATSTFLGHTQCVSAVTWPERQTIYSASWDHSVRQWDVQTGKETWNMVSGKALNCLDCGGESSSLIAAGGSDPVLRVWDPRKPGTLAPIFQFSSHKSWISACKWHPSSWFHLVSSSFDGKVMLWDLRTAWPLASVESHKDKVLCADWWKGDSVISGGADSKLCIASGIEIV >ORUFI07G22210.1 pep chromosome:OR_W1943:7:21394691:21398590:1 gene:ORUFI07G22210 transcript:ORUFI07G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAMVVVALVLLMSSSLVASDWCVCRSDQPQAALQKTIDYACGAGADCNSIHEQGQCFNPNTVVAHCSWAANSYFQRNRAMGATCDFTGTATLTTSDPSVSGCSFPASASGAGTSTTPTMGGTTGTMTPGTFTPGTGMGTTTGTGMGTGTTTGTGLGGLGPTGTSSMDTAAAGLHLRAGLATFCTVLLSFVAIA >ORUFI07G22220.1 pep chromosome:OR_W1943:7:21396327:21458750:-1 gene:ORUFI07G22220 transcript:ORUFI07G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLASSSSSSPALAPPRPSCRGRVRVAAASAGVNGRAGGGGGGGGFPGFLPAAVERIRDEPAIRLAKRIERVPTGFSQSPILSSCVRPLKQQQDRDPVLLLHGFDRCKLQHLNVSGYCLIEKRPPGDVASKREHLYQFWRSYIRRPMVLVGPSLGAAVAIDFTVNYPEAVSKLIFISASVYAEGTRDMTRMPRFVPYAGVFLLKSLPLRYFATLLAFYKIPGGPAGLFDWVQIGRLHCLLPWWEDALVDFMMNGGYNVLNQINQVKHKCLILWGEEDGIISNKQAYRLHQELPDAILRQVRECGHIPHVEKPSEVLKHVLDFLGTERRPEKAEQGSSLPSTVGGDNNPVGGGSEAVPRRWKNWHRNILSRTSLLISCYSLLGSYAQRAQGPSANTHTTHACSIYLSPYSQIIVFFLCIMPARLGLKPPIVFGQKQERARPPKLHCTEGQEEKGIEDRRMSTVCVTGGAGHIATWLINKLLCRGCVVHATLRNLGDEKKTNLLMRMPGAEERLVLFEADMYDAATFEPAIAGCDFVFLIAIPIHHDPRSTKGYYRSLSVSNSPSPCANPGHYIALVTLRVAEEMRPPPPPPPARAEQHGTGTVRVTRVKLLKPRDALLLGQAYRLITVDEYKNTTEAAVDATRIILQQCERSKTVKRIIHTASVTAASPLREDGGGGGYKDFINDCCWTPLNFSHRYSNALLDAYLSSKTLSEELLRYNESERPAFEVVTLACALVGGDSIQPYHTLSIPVIVSPLTGRELSHGVLKFKKASLGSVPLVHVDDVCEAHIFCMEQPSIAGRFLFAAGYPNMQDYVDHFAAKYPEIEMKLKEESQTPPYLTGLEEEEIEQQQSIEERSCDTMSSSRPVCVTGGSGYIATCLIKKLLQRGCGVHATLRNLGDEKKTALLRRMPGAAERLVLFEADMYDAATFEPAIAGCEFVFLLATPLIHDPLSTKYKNTTEAAVDAMHIILQQCERSKTVRRVIHTASVTAASPLREDGEGYKDFINESCWTPLDLSNRYSNVMMDAYVSSKTLTEKLLLRYNESESRAFEVVTLTCALIGGDTDTTQLYHSLSIPLIVSPLTGQELYHGGLKSLQALLGSVPLAHIDDICEAHIFCMEQQPSIAGRFLCAVGYPNMQDYVDRFAVKYPEIAIKLQGVIGKDVRVKADTNKLGDLGFKYKFTVEETLDSSVESQQRHQGPSNTSVSDDTPSHTDPFLTTQMTSSSSSRVCVTGASGYIATCLIKKLLQRGCVVHATLRNLGDEKKTAPLKELPGAVERLVLFEADMYDADTFEPAIAGCEFVFLLATPLHHDPRSTKYKNTTEAAVDAMRIILQQCERSKTVRRVIHTASVTAASPFREDGGEGYKDFINESCWTPLDHSHSYNNTMVDVHNDYSSSKTLTEKLLLRYNESESRAFEVVTLACALVGGDADTTQLYHSLSVPVIVSPLTGDESCHNTLKFLQALIGSVPLAHIEDICEAHIFCAEQPSIAGRFLCAVGYPNMQDYVDHFVTKYPEITIKLKEVVGKDVRVQADTNKLVDLGFKYKYGVEETLICSVECAKRKREMSRVCVTGAAGYIATWLVKKLLGRGCVVHATLRDLGDEKKTALLRRMPGAAERLVLFEADMYDAATFEPAIAGCEFVFLIATPLQHDPSSTKYKNNTEAAVDAMRVILQQCERSRTVRRVIHTASVTAASPLREDGSGGGYKDFINESFWSPLNLTYDFTNAHLDGYVSSKSLSEKELLSYNSSPSPAFEVVTLACAVVGGDTLQPYLWSTIPVIMSPLTGDELCHNVLKFLQALLGSVPLVHIDDVCDAHVFCMDQPSIAGRFLCAAGYPNMKDYIDRFAAKYPEIEIKLKEVIGEGVRVQADTKKLVDLGFKYKYGVEETLDCSVECAKSSRHADPLEEVEMNSASATEDDDGCRYVFQSRRDSDNDNDDEEGVLPATACKRRRRLDDILALLPSPPTPSTSSGSEGTISDRDHGGDVIGAGDDDAAPVAARREASFPCHLCNKEFGSRKAVHGHMRVHHAENEKEPMSLPPQVAAPVHPQARLPTRGASRSGGPYKCKYEGCIMEYESHQGLGGHVAGHINRDKMATASGSGGAGKPKGKHPCNVCGKEYPTGVALGGHKRKHYRKDLDLTLSLAPPGQLAAPPTPTPAPAPAAIAAVEAEAEVAEDGDGGELVPTTPPTGARRNVVVRIWGVDLEKPVDDVEEQDNHIAPATTEIGFININMNMGNDRMTYIVKRRK >ORUFI07G22220.2 pep chromosome:OR_W1943:7:21407940:21458750:-1 gene:ORUFI07G22220 transcript:ORUFI07G22220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLASSSSSSPALAPPRPSCRGRVRVAAASAGVNGRAGGGGGGGGFPGFLPAAVERIRDEPAIRLAKRIERVPTGFSQSPILSSCVRPLKQQQDRDPVLLLHGFDRYTYPLLEEAGLEAWAVDILGWGFSDLEKRPPGDVASKREHLYQFWRSYIRRPMVLVGPSLGAAVAIDFTVNYPEAVSKLIFISASVYAEGTRDMTRMPRFVPYAGVFLLKSLPLRYFATLLAFYKIPGGPAGLFDWVQIGRLHCLLPWWEDALVDFMMNGGYNVLNQINQVKHKCLILWGEEDGIISNKQAYRLHQELPDAILRQVRECGHIPHVEKPSEVLKHVLDFLGTERRPEKAEQGSSLPSTVGGDNNPVGGGSEAVPRRWKNWHRNILSRTSLLISCYSLLGSYAQRAQGPSANTHTTHACSIYLSPYSQIIVFFLCIMPARLGLKPPIVFGQKQERARPPKLHCTEGQEEKGIEDRRMSTVCVTGGAGHIATWLINKLLCRGCVVHATLRNLGDEKKTNLLMRMPGAEERLVLFEADMYDAATFEPAIAGCDFVFLIAIPIHHDPRSTKGYYRSLSVSNSPSPCANPGHYIALVTLRVAEEMRPPPPPPPARAEQHGTGTVRVTRVKLLKPRDALLLGQAYRLITVDEYKNTTEAAVDATRIILQQCERSKTVKRIIHTASVTAASPLREDGGGGGYKDFINDCCWTPLNFSHRYSNALLDAYLSSKTLSEELLRYNESERPAFEVVTLACALVGGDSIQPYHTLSIPVIVSPLTGRELSHGVLKFKKASLGSVPLVHVDDVCEAHIFCMEQPSIAGRFLFAAGYPNMQDYVDHFAAKYPEIEMKLKEESQTPPYLTGLEEEEIEQQQSIEERSCDTMSSSRPVCVTGGSGYIATCLIKKLLQRGCGVHATLRNLGDEKKTALLRRMPGAAERLVLFEADMYDAATFEPAIAGCEFVFLLATPLIHDPLSTKYKNTTEAAVDAMHIILQQCERSKTVRRVIHTASVTAASPLREDGEGYKDFINESCWTPLDLSNRYSNVMMDAYVSSKTLTEKLLLRYNESESRAFEVVTLTCALIGGDTDTTQLYHSLSIPLIVSPLTGQELYHGGLKSLQALLGSVPLAHIDDICEAHIFCMEQQPSIAGRFLCAVGYPNMQDYVDRFAVKYPEIAIKLQGVIGKDVRVKADTNKLGDLGFKYKFTVEETLDSSVESQQRHQGPSNTSVSDDTPSHTDPFLTTQMTSSSSSRVCVTGASGYIATCLIKKLLQRGCVVHATLRNLGDEKKTAPLKELPGAVERLVLFEADMYDADTFEPAIAGCEFVFLLATPLHHDPRSTKYKNTTEAAVDAMRIILQQCERSKTVRRVIHTASVTAASPFREDGGEGYKDFINESCWTPLDHSHSYNNTMVDVHNDYSSSKTLTEKLLLRYNESESRAFEVVTLACALVGGDADTTQLYHSLSVPVIVSPLTGDESCHNTLKFLQALIGSVPLAHIEDICEAHIFCAEQPSIAGRFLCAVGYPNMQDYVDHFVTKYPEITIKLKEVVGKDVRVQADTNKLVDLGFKYKYGVEETLICSVECAKRKREMSRVCVTGAAGYIATWLVKKLLGRGCVVHATLRDLGDEKKTALLRRMPGAAERLVLFEADMYDAATFEPAIAGCEFVFLIATPLQHDPSSTKYKNNTEAAVDAMRVILQQCERSRTVRRVIHTASVTAASPLREDGSGGGYKDFINESFWSPLNLTYDFTNAHLDGYVSSKSLSEKELLSYNSSPSPAFEVVTLACAVVGGDTLQPYLWSTIPVIMSPLTGDELCHNVLKFLQALLGSVPLVHIDDVCDAHVFCMDQPSIAGRFLCAAGYPNMKDYIDRFAAKYPEIEIKLKEVIGEGVRVQADTKKLVDLGFKYKYGVEETLDCSVECAKRLGEL >ORUFI07G22220.3 pep chromosome:OR_W1943:7:21407940:21458750:-1 gene:ORUFI07G22220 transcript:ORUFI07G22220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLASSSSSSPALAPPRPSCRGRVRVAAASAGVNGRAGGGGGGGGFPGFLPAAVERIRDEPAIRLAKRIERVPTGFSQSPILSSCVRPLKQQQDRDPVLLLHGFDRCKLQHLNVSGYCLIEKRPPGDVASKREHLYQFWRSYIRRPMVLVGPSLGAAVAIDFTVNYPEAVSKLIFISASVYAEGTRDMTRMPRFVPYAGVFLLKSLPLRYFATLLAFYKIPGGPAGLFDWVQIGRLHCLLPWWEDALVDFMMNGGYNVLNQINQVKHKCLILWGEEDGIISNKQAYRLHQELPDAILRQVRECGHIPHVEKPSEVLKHVLDFLGTERRPEKAEQGSSLPSTVGGDNNPVGGGSEAVPRRWKNWHRNILSRTSLLISCYSLLGSYAQRAQGPSANTHTTHACSIYLSPYSQIIVFFLCIMPARLGLKPPIVFGQKQERARPPKLHCTEGQEEKGIEDRRMSTVCVTGGAGHIATWLINKLLCRGCVVHATLRNLGDEKKTNLLMRMPGAEERLVLFEADMYDAATFEPAIAGCDFVFLIAIPIHHDPRSTKGYYRSLSVSNSPSPCANPGHYIALVTLRVAEEMRPPPPPPPARAEQHGTGTVRVTRVKLLKPRDALLLGQAYRLITVDEYKNTTEAAVDATRIILQQCERSKTVKRIIHTASVTAASPLREDGGGGGYKDFINDCCWTPLNFSHRYSNALLDAYLSSKTLSEELLRYNESERPAFEVVTLACALVGGDSIQPYHTLSIPVIVSPLTGRELSHGVLKFKKASLGSVPLVHVDDVCEAHIFCMEQPSIAGRFLFAAGYPNMQDYVDHFAAKYPEIEMKLKEESQTPPYLTGLEEEEIEQQQSIEERSCDTMSSSRPVCVTGGSGYIATCLIKKLLQRGCGVHATLRNLGDEKKTALLRRMPGAAERLVLFEADMYDAATFEPAIAGCEFVFLLATPLIHDPLSTKYKNTTEAAVDAMHIILQQCERSKTVRRVIHTASVTAASPLREDGEGYKDFINESCWTPLDLSNRYSNVMMDAYVSSKTLTEKLLLRYNESESRAFEVVTLTCALIGGDTDTTQLYHSLSIPLIVSPLTGQELYHGGLKSLQALLGSVPLAHIDDICEAHIFCMEQQPSIAGRFLCAVGYPNMQDYVDRFAVKYPEIAIKLQGVIGKDVRVKADTNKLGDLGFKYKFTVEETLDSSVESQQRHQGPSNTSVSDDTPSHTDPFLTTQMTSSSSSRVCVTGASGYIATCLIKKLLQRGCVVHATLRNLGDEKKTAPLKELPGAVERLVLFEADMYDADTFEPAIAGCEFVFLLATPLHHDPRSTKYKNTTEAAVDAMRIILQQCERSKTVRRVIHTASVTAASPFREDGGEGYKDFINESCWTPLDHSHSYNNTMVDVHNDYSSSKTLTEKLLLRYNESESRAFEVVTLACALVGGDADTTQLYHSLSVPVIVSPLTGDESCHNTLKFLQALIGSVPLAHIEDICEAHIFCAEQPSIAGRFLCAVGYPNMQDYVDHFVTKYPEITIKLKEVVGKDVRVQADTNKLVDLGFKYKYGVEETLICSVECAKRKREMSRVCVTGAAGYIATWLVKKLLGRGCVVHATLRDLGDEKKTALLRRMPGAAERLVLFEADMYDAATFEPAIAGCEFVFLIATPLQHDPSSTKYKNNTEAAVDAMRVILQQCERSRTVRRVIHTASVTAASPLREDGSGGGYKDFINESFWSPLNLTYDFTNAHLDGYVSSKSLSEKELLSYNSSPSPAFEVVTLACAVVGGDTLQPYLWSTIPVIMSPLTGDELCHNVLKFLQALLGSVPLVHIDDVCDAHVFCMDQPSIAGRFLCAAGYPNMKDYIDRFAAKYPEIEIKLKEVIGEGVRVQADTKKLVDLGFKYKYGVEETLDCSVECAKRLGEL >ORUFI07G22230.1 pep chromosome:OR_W1943:7:21429479:21430711:1 gene:ORUFI07G22230 transcript:ORUFI07G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMSMSWWWAGAIGAARKRHDGGGGEQQPPFRSVALVVGSTGIVGTSLVDILPLPDTPGGPWKVYALSRRPPPPWSPPPPAAVTHLCVDLADAAAVAEALAPLTDITHVFYVALAAPHLAEARSREANAGMLRNVLAAVVPTCPALAHVALQTGSKHYIGPPESIGKLPVETPFSEDMPRHDYPNFYYDQEDVLFDAVTSSSSSSSSRRAAAVTWSVHRPSLIFGFSPRSAMNVVCSLCVYAAICRKERRELRWPGSLGAWEGFSNASDADLVAEQQIWAAVADAAAKNEAFNCSNGDIYKWKQLWPVLAGKFGVEWAGYEGEERRVGLTAAMAGKEAVWAEIVAEEKLVATELGEVANWWFVDALFMDKWEFVDTMNKSKEHGFLGFRNTLRSFEAWIDKMKLYRIVP >ORUFI07G22240.1 pep chromosome:OR_W1943:7:21466580:21472966:1 gene:ORUFI07G22240 transcript:ORUFI07G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTAAAAARVGLLYDERMCAHATPDGEEHPENPERLRAIWRKLSADGVASRCMIMKAKEAEDKYIASVHSQNHIKLMRSISSKEYDSRRNKIARKFNSIYFNKGSSESAFLAAGSVIEVAEKVAAGELSSAIALVRPPGHHAEHNEAMGFCLFNNVAIAADYLLNERTDLGIKKILIVDWDVHHGNGTQKMFYSDPRVLFFSVHRFDYGSFYPAEGDASYCFIGEGDGKGYNINVPWEHGKCGDADYIAAWDHVLLPVAEAFNPDIVLVSAGFDAALGDPLGGCCITPNGYALLLTKLLGFAQGRIVMALEGGYNLRSIANSVSACAKVLLGDKFRFDTPDMQPFESSWRVIQAVRDELKTFWPVLSNRLPENISLRSRPSQIELYSSGSDSEVEDLPDAIASVNIIQITYGIISESLSKLNLDEDKIATKTTSSNVMVEGPTDSVEPQNDGSAAVSTEGISSLSSTWRSELSKVYVWYASFGSNMWTPRFLCYIQGGKAEGMNIPCFGSHDTSPPRGSMWKTVPHRLFFGRSSTPCWGTGGVAFLNPEINHTENSYVCMYKITLEQFNDVLFQENRLVKENGESGKTESPDSPLIGLSEIEFVSRNKGVHLAPIKDSWYSNVLYLGEEDNLPILTMTCPSSDVERCRSGELPLCPPSKTYSATLIRGLMEGKHLDADAAASYINTAATRGL >ORUFI07G22240.2 pep chromosome:OR_W1943:7:21466580:21472698:1 gene:ORUFI07G22240 transcript:ORUFI07G22240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTAAAAARVGLLYDERMCAHATPDGEEHPENPERLRAIWRKLSADGVASRCMIMKAKEAEDKYIASVHSQNHIKLMRSISSKEYDSRRNKIARKFNSIYFNKGSSESAFLAAGSVIEVAEKVAAGELSSAIALVRPPGHHAEHNEAMGFCLFNNVAIAADYLLNERTDLGIKKILIVDWDVHHGNGTQKMFYSDPRVLFFSVHRFDYGSFYPAEGDASYCFIGEGDGKGYNINVPWEHGKCGDADYIAAWDHVLLPVAEAFNPDIVLVSAGFDAALGDPLGGCCITPNGYALLLTKLLGFAQGRIVMALEGGYNLRSIANSVSACAKVLLGDKFRFDTPDMQPFESSWRVIQAVRDELKTFWPVLSNRLPENISLRSRPSQIELYSSGSDSEVEDLPDAIASVNIIQITYGIISESLSKLNLDEDKIATKTTSSNVMVEGPTDSVEPQNDGSAAVSTEGISSLSSTWRSELSKVYVWYASFGSNMWTPRFLCYIQGGKAEGMNIPCFGSHDTSPPRGSMWKTVPHRLFFGRSSTPCWGTGGVAFLNPEINHTENSYVCMYKITLEQFNDVLFQENRLVKENGESGKTESPDSPLIGLSEIEFVSRNKGVHLAPIKDSWYSNVLYLGEEDNLPILTMTCPSSDVERCRSGELPLCPPSKTYSATLIRGLMEGKHLDADAAASYINTAATRGLTTGAYVEAS >ORUFI07G22240.3 pep chromosome:OR_W1943:7:21466580:21472966:1 gene:ORUFI07G22240 transcript:ORUFI07G22240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTAAAAARVGLLYDERMCAHATPDGEEHPENPERLRAIWRKLSADGVASRCMIMKAKEAEDKYIASVHSQNHIKLMRSISSKEYDSRRNKIARKFNSIYFNKGSSESAFLAAGSVIEVAEKVAAGELSSAIALVRPPGHHAEHNEAMGFCLFNNVAIAADYLLNERTDLGIKKILIVDWDVHHGNGTQKMFYSDPRVLFFSVHRFDYGSFYPAEGDASYCFIGEGDGKGYNINVPWEHGKCGDADYIAAWDHVLLPVAEAFNPDIVLVSAGFDAALGDPLGGCCITPNGYALLLTKLLGFAQGRIVMALEGGYNLRSIANSVSACAKVLLGDKFRFDTPDMQPFESSWRVIQAVRDELKTFWPVLSNRLPENISLRSRPSQIELYSSGSDSEVEDLPDAIASVNIIQITYGIISESLSKLNLDEDKIATKTTSSNVMVEGPTDSVEPQNDGSAAVSTEGISSLSSTWRSELSKVYVWYASFGSNMWTPRFLCYIQGGKAEGMNIPCFGSHDTSPPRGSMWKTVPHRLFFGRSSTPCWGTGGVAFLNPEINHTENSYVCMYKITLEQFNDVLFQENRLVKENGESGKTESPDSPLIGLSEIEFVSRNKGVHLAPIKDSWYSNVLYLGEEDNLPILTMTCPSSDVERCRSGELPLCPPSKTYSATLIRGLMEGKHLDADAAASYINTAATRGL >ORUFI07G22250.1 pep chromosome:OR_W1943:7:21480092:21482476:-1 gene:ORUFI07G22250 transcript:ORUFI07G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGRVVNALRRTSVSSNPSLLQAVRCMSSKLFVGGLSYATDDTTLKDVFSHYGDVLEARIIIDRDTGKSKGYGFITYTSSEEAAAAVTAMDGKDLQGRIVRVSTANDRAGGIRGGGGFGAGGYGSGGGYSSGGGYGTGEYGRGGGYAGNGGFGGRASEYGGYGAGGYSSSGGYNATSVLHGNAGGYGSSGVSHGNAGDYRSSDVYNTTNTYGAGNFSHSGGGFGENSGGYNSGQFGAAGHSNGGYSASNFSNASFGANSSVFSSGQSGATGRNNGGNSASNFSLGGSFGANNGGISSGQFGAVGHSNGGYSTSNFSRGSGFGANSGGSSNGQYGAAHGNNGSNFSHGGGFGAQMVGIAPDNFGVAGVGNMVIYGHKIGIF >ORUFI07G22260.1 pep chromosome:OR_W1943:7:21489795:21493007:1 gene:ORUFI07G22260 transcript:ORUFI07G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNTQTLLTLFLLLLLAAAAAAADAGGGGEREALLRFKAGVASDPGGLLRGWTTAASPDHCAWPGVSCGGNGEVVALNVSSSPGRRLAGALSPAVAALRGLRVLALPSHALSGHLPAAIWSLRRLLVLDLSGNRLQGEIPPALACAGLQTLDLSYNQLNGSVPASLGALPGLRRLSLASNRLGGAIPDELGGAGCRSLQYLDLSGNLLVGGIPRSLGNCSKLQALLLSSNLLDDVIPPEIGRLRNLRALDVSRNSLSGSVPAELGGCVELSVLVLSNPYTPIGGSNSSDYGDVDDFNYFQGGIPDAVVALPKLRVLWAPRATLEGELPRNWSACQSLEMINLGENLFSGGIPNGLVECSHLKFLNLSSNKLTGAIDPSLTVPCMDVFDVSGNRFSGAMPVFEQKGCPSSQLPFDDLVSEYSSFFSYQALAGFRSSSFVLGTDLTSYHSFAQNNFTGPVKSLPLAADKLGMQGSYAFLADGNNIAGQLQPDLFSKCNSSRGFIVDVSNNLITGGIPVEIGSLCSSLVVLGVAGNQLSGLIPTSIGQLNYLISLDLSRNHLGGEIPTSVKNLPNLERLSLGHNFLNGTIPTEINQLHSLKVLDLSSNLLTGEIPGALADLRNLTALLLDNNKLTGKIPSAFAKSMSLTMFNLSFNNLSGPVPANSNTVRCDSVIGNPLLQSCHMYTLAVPSAAQQGRGLNSNDYNDTSSADSQNQGGSNSFNAIEIASITSATAIVSVLLALIVLFIYTRKCAPRMSGRSSRRREVITFQDIGVPITYETVVRATGSFNASNCIGSGGFGATYKAEISPGVLVAIKRLSVGRFQGVQQFHAEIKTLGRLRHPNLVTLVGYHLGESEMFLIYNYLPGGNLERFIQERSKRPVDWKMLHKIALDIAKALAYLHDTCVPRILHRDVKPSNILLDTEYNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLMELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRAREFFIDGLWDVGPHDDLVETLHLAVMCTVDSLSVRPTMKQVVQRLKQLQPPIREHR >ORUFI07G22270.1 pep chromosome:OR_W1943:7:21496729:21498219:-1 gene:ORUFI07G22270 transcript:ORUFI07G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPWVAMVVLVWCAASGGGVAAARPLVGGGGAGGTPKAPLQTSRPFNIAHRGSNGELPEETAAAYMRAIDEGADFIEADVTATKDGHLVCFHDTTLDATTDVADHPEFASRRRTLEVQWTNVTGFFITDFTLAELKTLRSKQRYAFRDRSYNGGESSRIITFDEFIDIAAGAASRVVGIYPEIKNPVFVNRQVRWRDGKKFEDKFVAALKRRGYGGRYMSPAWAARPVFIQSFAPTSLVYAAGLTDSPMVLLVDDTTVRTEDTSQSYDEVTSDEHLDYMREYVVGVGPWKDTDPYAEYDYWINDVGVDGLFTDFPASLRRYQEWTTARRKG >ORUFI07G22280.1 pep chromosome:OR_W1943:7:21501586:21503568:-1 gene:ORUFI07G22280 transcript:ORUFI07G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRDFLGGFGGEVGGAAVAGEKGSGESDEIELSLGLSLGGCFGADLAREFKKPRLVRSSSIASICSLPGGGGGGAGGDDVATAAPAPAPLMRTSSLPTETEEERWRRREMQSLKRLEAKRKRLERRNSMNSGRSAGAGGGGRDDGQDAMYPTGFQLRRSVVSQGSTSSCMPEQGVGVGAEAMDTSSSDNASCQNKPLPPTASSGGGGGGRPPANGSMKEQPPLRTLRSLTMRTTSTGDLRKSMMEDMPMVSSRVDGPNGRKIDGFLYKYRKREEVRIVCVCHGNFLTPAEFVKHAGGGDVTNPLRHIVVNPSRSVFL >ORUFI07G22290.1 pep chromosome:OR_W1943:7:21509666:21511147:1 gene:ORUFI07G22290 transcript:ORUFI07G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPTSLPPRAKSPSLLLKSSPPAPIRHITLPNPLPCHQIRPQIASSQTLDPKFVLKSHQDEAAVQKDVCTGITGGPAAGTNTTVHGDLLLTMLLDGLQQPGMGSGFSPTRWVS >ORUFI07G22300.1 pep chromosome:OR_W1943:7:21522906:21526562:-1 gene:ORUFI07G22300 transcript:ORUFI07G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQVGESVAPLSPQTIGNAFVQQYYNVLHSSPGQVCKFYHDSSTLGRPDSNGTMTSVTTLTAINDEFLSTDFSSCLIKLENVDAQLSLNGGVHILVTGSIGHNGTMRHRFSQSFFLAPQESGGYFVLNDMLRYDSLQETLLTETNDSPQERLLTEINDSLPNHVDDNTHSVTFTSEPETSGNVNETADLELPSAENVNDNVENLPANDSSPEENVLVEACTEVVSSCAENIPAAATAPAPRASTQKDVTKQSYASVVKVTKEGTPTPPVAKPKPKPKPKPTAKVTDNVEKAVSSTVKPTNAADTTSPNDKNVLVEQGYSVYVKHLPYECTAKDVEEKFRKFGAIRPGGIQVRHRQPDGFCFGFVEFESRQSMLAAIEASPVSIGSKASIVEEKRTTTRVVNGVTHIENNGNARGGRFQQDNRGGGYRGDNFRGREAGFVNNGNYRDGDNMNRFRNQNEYSGRGRGPQGNGYHQNGNGGGYHQNGNGYHQNGDGYHQNGNRYNQNGNRYHQNGDEYYQNGNGNGHRQNGSGYYHQNGNGYRQDRIFHNGNGNGRPARFNGPRQTPVQA >ORUFI07G22310.1 pep chromosome:OR_W1943:7:21527683:21530596:1 gene:ORUFI07G22310 transcript:ORUFI07G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQAHEKLVGFVVGCVGPLTSTRPFPSGKMSLISQNPIQKRRLETIEADDDSDEGLGSPVAAGAEDGNETKSEKRKKEKKRKKAKALEALEEKENKEREEMKLLESSLFGALYAPPQFGTEVEAVDPDKGVPSFFMDRSGGDGEDDLPVYDEGLSSEDEGDDMVGRERKPAWVDEEEVTEVDISKVARLRKLRKEGDERVISGKEYEARLRGQHAKLNRFAGWADLDRKAPLPGSSDNESDEEGRVDDILRNNDELVVKDNAKLLPDMLGFSRLVDANSQEPSSGPINSVQFHRNGQLMLVAGLDKHLRFFQIDGKRNPKIQSIFIEDCPVHKAAFLPDGSEVILSGRRKFFYSFDLVNAAVSKIGPLTGREEKSLEHFEISPDSKTIAFIGNEGYILLISSKTKQLIGTLKMNGNVRSLAFADGGNQLLSSGGDGHVYHWDLRTRKCIHKGTDEGSLAGISLCTSPDSSLFATGSTSGIVNVYKRDDFLGGKRKPLKTIENLTTEIGEMKFNHDAQVLAISSRKERNGMRLVHVPSLTVFQNWPGPRFSLHYPRCLDFSPGSGFLSVGHAGGKVLLYKLHHYQNA >ORUFI07G22320.1 pep chromosome:OR_W1943:7:21532145:21536944:-1 gene:ORUFI07G22320 transcript:ORUFI07G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARVLGGGGGGGGLGDEAPELERQMGCMAGIFQIFDRRQRLLTARRRRPPPKMLPPGPGHTLPRSSSDVAAQSSSTSKIVLEKTFSKSMTENSSLSIESSRASCSSSSCSSFSSLDGNKSIQQELPYINEQLFVQRPLKSSPSLKDPVMDTRSGQSNIGFRDIVKDSINRDTGGLTVKTSVKDARRNGQYKDSPRPLLLSKSMDGTYVIGIDRSTKVPANAVESSRRFPEQSRFSCDDRRLLRPVEAQENKKPSTRLKELPRLSLDSRKETLSSSSRQKTFSYRRTDDSLMDALRPQDSPGHRRASSVIAKLMGLEEAPNATGVLTVDSYEPERSPRPAEDTQKEHPVPSPRRFCQDPRESLPKDESPAMKTKPSPRILTESAPWRQQEKIATSSKASQCRDAEVRPRTASLYAYIERRGGGLEFLECNKDFRALRILEALHAKDAKRQNDGNGALTVAAQQAGDALNTSSRHFQPPIVVMKPARSTEKQPGVSLASVDPLAGFRNLRKLQARDAPCIGEHETSTNEKVHSRISRAQSKSDEPASRASSPRPTGSSSPRTVQRKAESERRSRPPVSPKSPSKKSSEAASPGGRTRTKPSQGKNHRDNEVSKSPRSRISMVKEIDISIMDFQKPLASTPSHKGTPSVLASDQKINSLENAPSPISVLDTSYYHTRLSYSFKDGETHSSEECWNPNSLPDTPQSKTSSEVSQIKPENFEALIQKLEQLQSMNDEVANKKDHQYIYEILLASGLLHKELSFVAMPGQAWPSSCLINPELFLILEQTKPDFASADQTVTKSSKANTEKLHRRIVFDLVNEITAQKMNIHCSASQSAKSLQLRKYNGWRLFKDLCTEVDRLQSESSAIKCSEEDGDERMLLVEDPLNGIEDWSFDSESPSTVLEIERLIYKDLIDEVMWDEATGKMQGGQWNLKRQLSFSSTS >ORUFI07G22330.1 pep chromosome:OR_W1943:7:21550165:21550611:-1 gene:ORUFI07G22330 transcript:ORUFI07G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHPKFTQIELRSGLVLLPPGYTPTRVARDHGKKKRGTTAGGGTEHPPSRPAPVRAKSIAAELASGAELAVAWAAAGSVLAVGAEGGGGAAVGGCVQKGGNGGGGYGNAGRGGTDAGWLRDCDIAAADAGSWRKRANTSAIRGSICN >ORUFI07G22340.1 pep chromosome:OR_W1943:7:21566412:21570761:1 gene:ORUFI07G22340 transcript:ORUFI07G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMSCISGQAPSASPPPVAKRRSSVSSRRGGGGGGAKAVAIDEEALAAAAALVLGQRSSFGGGGGGGGGAFERSASVRYAARRQQQQQGPPLPRSSSTRPRSLADPELHPQQLLAKDLNTKDLETNIIVLVHGGGFGAWCWYKTIALLEDSGFRVNAIDLTGSGIHSYDTNKISSLTQYAEPLTSYLKSLGDNEKVILVGHDFGGACISYAMEMFPSKVAKAVFLCAAMLKNGHSTLDMFQQQMDTNGTLQRAQEFVYSNGKEQPPTAINIEKSLLKHLLFNQSPSKDVSLASVSMRPIPFAPVLEKLVLTEEKYGSVRRFYVETTEDNAIPLHLQQGMCDMNPPEKVLRLKGSDHAPFFSKPQALHKTLVEIATMPPVKAS >ORUFI07G22350.1 pep chromosome:OR_W1943:7:21580688:21582723:1 gene:ORUFI07G22350 transcript:ORUFI07G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSMAAAQESSLLLFLLPTSAASVFPPLISVVVLAALLLWLSPGGPAWALSRCRGTPPPPGVAGGAASALSGPAAHRVLAGISRAVEGGAAVMSLSVGLTRLVVASRPETAREILVSPAFGDRPVKDAARQLLFHRAMGFAPSGDAHWRGLRRASAAHLFGPRRVAGSAPEREAIGARIVGDVASLMSRRGEVPLRRVLHAASLGHVMATVFGKRHGDISIQDGELLEEMVTEGYDLLGKFNWADHLPLLRWLDLQGIRRRCNRLVQKVEVFVGKIIQEHKAKRAAGGVAVADGVLGDFVDVLLDLQGEEKMSDSDMIAVLWEMIFRGTDTVAILMEWVMARMVMHPEIQAKAQAEVDAAVGGRRGRVADGDVASLPYIQSIVKETLRMHPPGPLLSWARLAVHDARVGGHAVPAGTTAMVNMWAIAHDAAVWPEPDAFRPERFSEGEDVGVLGGDLRLAPFGAGRRVCPGRMLALATAHLWLAQLLHAFDWSPTAAGVDLSERLGMSLEMAAPLVCKAVARA >ORUFI07G22360.1 pep chromosome:OR_W1943:7:21586858:21590745:1 gene:ORUFI07G22360 transcript:ORUFI07G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRFGDIPRDKSVPQQDKRTASPTHLLPLRCFAPSASAAGRCLLHQQEAATSSNGVSDQISRHRGGETMDEAAAGQRASPLLAKNDGSSYGEESQSLLEEQEPQVKTKQSGWRAPSIILGLECLESMAFNGIATNLVVYIRSVLHGGIASSASTSSLWYGTSFFVPILGATIADTYWGNYKTVLISFIMYLLGTVFITVGAFLPSAPALCNTESCSSMNGTQHLVYFSGLYLTAIGCGGVRSALLPLGADQFNNDSSLDIQKRRNFFSLFYICVIFGVITSGTIVVWIQENVSWAIGYGVATACIALALIGFLVGTPIFRRHEPHGSPVRSVFQVIVASFRNLALELPADSSLLYEVRRKNTQKSEPKLAHTDDFRFLDKAAIMSDLSLDQDSCTSPWRICTVTQVEELKILIRLLPIWATGIFFCVGISQMHTTFIQQGTVMNTKIGSLSIPAASLYSFEVICVTFWVFLVNKVIIPVTRACFANGAEMTQLQRIGIGRFLMIFAMAIAAFLEMKRLESVQGGDQPLSIAWQLPQYFVIAGAECFTIITQLEFFHGQAPDSMKSMLTAFALLTTALGNYFSSAIITLIARVTGTWHSPGWIPDDLNKGHLDYYYWCLAAISAVNFVVYIYFASKYKLKKAVIQV >ORUFI07G22360.2 pep chromosome:OR_W1943:7:21586858:21590745:1 gene:ORUFI07G22360 transcript:ORUFI07G22360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRFGDIPRDKSVPQQDKRTASPTHLLPLRCFAPSASAAGRCLLHQQEAASSQVGGIPIVAQPYLRIFVLYCFILFLLLLLLVCVASSNGVSDQISRHRGGETMDEAAAGQRASPLLAKNDGSSYGEESQSLLEEQEPQVKTKQSGWRAPSIILGLECLESMAFNGIATNLVVYIRSVLHGGIASSASTSSLWYGTSFFVPILGATIADTYWGNYKTVLISFIMYLLGTVFITVGAFLPSAPALCNTESCSSMNGTQHLVYFSGLYLTAIGCGGVRSALLPLGADQFNNDSSLDIQKRRNFFSLFYICVIFGVITSGTIVVWIQENVSWAIGYGVATACIALALIGFLVGTPIFRRHEPHGSPVRSVFQVIVASFRNLALELPADSSLLYEVRRKNTQKSEPKLAHTDDFRFLDKAAIMSDLSLDQDSCTSPWRICTVTQVEELKILIRLLPIWATGIFFCVGISQMHTTFIQQGTVMNTKIGSLSIPAASLYSFEVICVTFWVFLVNKVIIPVTRACFANGAEMTQLQRIGIGRFLMIFAMAIAAFLEMKRLESVQGGDQPLSIAWQLPQYFVIAGAECFTIITQLEFFHGQAPDSMKSMLTAFALLTTALGNYFSSAIITLIARVTGTWHSPGWIPDDLNKGHLDYYYWCLAAISAVNFVVYIYFASKYKLKKAVIQV >ORUFI07G22370.1 pep chromosome:OR_W1943:7:21591461:21595078:1 gene:ORUFI07G22370 transcript:ORUFI07G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRHLLRRLLPSPATAAAAAASPSPAIRLLSTASPDPAPPATLASSLAGALSALSSTPPPATSPDAYFSLHFSDVRPTNALLAEALALAPPATSRAAAELFRFLVRRRSLHPSDSALAPVVRHLARRRDFPAVRSLVQEFPSALGHDTLDAYLLSLARAGRATDAVKVFDELPPQLRTRQALTSLVSSLSAEGWPSHAEGAVKKVANEIFPDDNICTLLVSGYANAGKLDHALRLIGETRRGGFQPGLDAYNAVLDCICRLCRKKDPLRMPAEAEKFLVDMEANGIPRDAGTFRVLITNLCKIRKTEDAMNLFRRMGEWGCSPDADTYLVLIKSLYQAARISEGDEMMTWMRSAGFGAKLDRKAYYGFIKILCGIERVEHAVKVFRMMKGYGHAPGTKSYSLLIEKLTRHNLGDRANALFREAVARGVTVTPGVYKIDKKYVKAKKEKKVKKRLTLPEKMRLKSKRLYKLRMSFVKKPKRRMVRLERMIMGRENQKEAIYNFEEQ >ORUFI07G22380.1 pep chromosome:OR_W1943:7:21602510:21608074:1 gene:ORUFI07G22380 transcript:ORUFI07G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREISALYEPKRNNEIRIFESSDEMSTDLAEYISQVSEISVKERGYFAIALSGGPLVSFLGKLCEAPYNKTLDWSKWYIFWSDERAVAKNHAESNYRITKEGFLSKVPILNGHVYSINDNATVEDAATDYEFVIRQLVKVRTIGVSESNDCPKFDLILLSMGSDGHVASLFPNHPSLELKDDWITYITDSPQPPPERITFTLPVINSASNIAIVTTGDDKSEAVHLAISDNADGPEAPSSLPARMVQPTDGKLVWFLDKSAASSLDAENDDAFEQHREY >ORUFI07G22380.2 pep chromosome:OR_W1943:7:21602520:21608074:1 gene:ORUFI07G22380 transcript:ORUFI07G22380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREISALYEPKRNNEIRIFESSDEMSTDLAEYISQVSEISVKERGYFAIALSGGPLVSFLGKLCEAPYNKTLDWSKWYIFWSDERAVAKNHAESNYRITKEGFLSKVPILNGHVYSINDNATVEDAATDYEFVIRQLVKVRTIGVSESNDCPKFDLILLSMGSDGHVASLFPNHPSLELKDDWITYITDSPQPPPERITFTLPVINSASNIAIVTTGDDKSEAVHLAISDNADGPEAPSSLPARMVQPTDGKLVWFLDKSAASSLDAENDDAFEQHREY >ORUFI07G22390.1 pep chromosome:OR_W1943:7:21610154:21610595:1 gene:ORUFI07G22390 transcript:ORUFI07G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKCNGSRASSLVTLLLIAALLFPAVCYAPLYPTGFTDVEAKTVCQETEYGCTQEKCHQMCLGDGRTVASQYCRHYDTQCCCTYELQANDNDKMDDGRLHA >ORUFI07G22400.1 pep chromosome:OR_W1943:7:21612702:21614108:-1 gene:ORUFI07G22400 transcript:ORUFI07G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRSRLARRQRSGTVGHWGGEKAVNWGLLGPMLRASGIQWDLRKVDLYESYNQFDWKIQWQKEGDSLARYLAVEKIPGSPYENLEVRRFKKAKNSKWNDFEYRFLENWIHATPSHFPSTPVDAVSPRLRTEPEDTTTDFGFGNDTDAGASSGGDSGFSWWRRRASGGRNPVRSGPHATTAVEDAAGERCSCSSSPRRSFAAARTSSRVPSARDADATGRRRRRSRGPLCRLLLGCLGFLVACPDNTMVAESTPVRWLPLSVSSTARLTWVAAKELDRGSSAVAARELGTGDRTRETPLGPAVTAPTCPPLVPAVVRCGGDEDETM >ORUFI07G22410.1 pep chromosome:OR_W1943:7:21617205:21621161:1 gene:ORUFI07G22410 transcript:ORUFI07G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCTLHPSIHPSIPGQTRIQTRVWGESDQVAAGCFFFFFFSSSSSLAMALLLLRMGVSVALLVAFFSSLIPSSEAYDPLDPNGNITIKWDVLQWTPDGYVAVVSLYNYQQYRHIQSPGWKLGWVWAKKEIIWAMNGGQATEQGDCSKFKSNIPHCCKKDPEIVDLLPGTPYNMQIANCCKGGVLNSWAQDPANAIASFQVSVGQAGTTNKTVRVPRNFTLKSPGPGYTCGSAKVVRPTKFFSQDGRRTTQAHMTWNVTCTYSQIVAQRSPTCCVSLSSFYNDTIVNCPTCSCGCQNNKPGSCVEGNSPYLASVVNTHNKDSLTPLVQCTSHMCPIRVHWHVKVNYKEYWRVKITVTNFNYRMNYSQWNLVTQHPSFDNLTTIFSFNYKSLNPYGVINDTAMLWGIKYYNDLLMTAGPDGNVQSELLFKKDPKSFTFEKGWAFPRRVYFNGDNCVMPPPDAYPWLPNASTRVMSSILLPFITIWTALTFLMVYA >ORUFI07G22420.1 pep chromosome:OR_W1943:7:21619955:21623790:-1 gene:ORUFI07G22420 transcript:ORUFI07G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGSLLLLILAATLSVAVAYDPLDPNGNITIKWDVMSWTPDGYVAMVTINNYQTYRQIMAPGWTVGWTWARQEVIWSMVGAQATDQGDCSRFKANLPHCCRRTPAVVDLLPGVPYNQQIANCCRGGVLPAYGQAPSAAAAAFQVSVGQAGTTNRTVRLPRNFTLLGPGPGYTCGRARVVPSTVFLTADRRRKTQALMTWNVTCTYSQHLASKYPSCCVSFSSFYNDTIVPCAKCACGCDAHKPCVRSERDGKRLAVTGKKHDANANAHGRGNGVAAAAMAAPLLQCTTHMCPVRVHWHVKLNYREYWRAKITIVNFNYRMNYTGWTLVAQHPNLDNITEVFSFDYKPVYFNDQLMEAGPHGNVQSEVLMRKDARTFTFRQGWAFPRKVYFNGDECQMPPPDSYPYLPNAAPPAAASLVGSAVAMAALVFFLMAYHMSMLGS >ORUFI07G22420.2 pep chromosome:OR_W1943:7:21619955:21623790:-1 gene:ORUFI07G22420 transcript:ORUFI07G22420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGSLLLLILAATLSVAVAYDPLDPNGNITIKWDVMSWTPDGYVAMVTINNYQTYRQIMAPGWTVGWTWARQEVIWSMVGAQATDQGDCSRFKANLPHCCRRTPAVVDLLPGVPYNQQIANCCRGGVLPAYGQAPSAAAAAFQVSVGQAGTTNRTVRLPRNFTLLGPGPGYTCGRARVVPSTVFLTADRRRKTQALSKLTTPSSSSPAPPLMMSCLCAVTWNVTCTYSQHLASKYPSCCVSFSSFYNDTIVPCAKCACGCDAHKPCVRSERDGKRLAVTGKKHDANANAHGRGNGVAAAAMAAPLLQCTTHMCPVRVHWHVKLNYREYWRAKITIVNFNYRMNYTGWTLVAQHPNLDNITEVFSFDYKPVYFNDQLMEAGPHGNVQSEVLMRKDARTFTFRQGWAFPRKVYFNGDECQMPPPDSYPYLPNAAPPAAASLVGSAVAMAALVFFLMAYHMSMLGS >ORUFI07G22420.3 pep chromosome:OR_W1943:7:21621353:21623790:-1 gene:ORUFI07G22420 transcript:ORUFI07G22420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGSLLLLILAATLSVAVAYDPLDPNGNITIKWDVMSWTPDGYVAMVTINNYQTYRQIMAPGWTVGWTWARQEVIWSMVGAQATDQGDCSRFKANLPHCCRRTPAVVDLLPGVPYNQQIANCCRGGVLPAYGQAPSAAAAAFQVSVGQAGTTNRTVRLPRNFTLLGPGPGYTCGRARVVPSTVFLTADRRRKTQALSKLTTPSSSSPAPPLMMSCLCAVTWNVTCTYSQHLASKYPSCCVSFSSFYNDTIVPCAKCACGCDAHKPCVRSERDGKRLAVTGKKHDANANAHGRGNGVAAAAMAAPLLQCTTHMCPVRVHWHVKLNYREYWRAKITIVNFNYRMNYTGWTLVAQHPNLDNITEVFSFDYKPVYFNDQLMEAGPHGNVQSEVLMRKDARTFTFRQGWAFPRKVYFNGDECQMPPPDSYPYLPNAAPPAAASLVGSAVAMAALVFFLMA >ORUFI07G22430.1 pep chromosome:OR_W1943:7:21627499:21628023:-1 gene:ORUFI07G22430 transcript:ORUFI07G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQARPSMERDPCPDRILDDIGASFGMGAVGGSFFHFVKGLRNSPSGARFAGGMEGVRMNAPRVAGGFAVWCGLFSACDCALVSVRQKEDPYNSIIAGAATGGILAARQGLRAVARASLQGAVLLALVSSFGIMMNRLPDAGSMPVNKTETCKEPQMPETFDVPSTPPPSFEYK >ORUFI07G22440.1 pep chromosome:OR_W1943:7:21628413:21629084:-1 gene:ORUFI07G22440 transcript:ORUFI07G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDVGGAFGMGAVGGSLFHFLKGTYNSPNGERLVGGAQAVRMNAPRVGGSFAVWGGLFSAFDCTMVFMRQKEDPWNSIIAGAATGGFLSMRQGPGAAGRSALMGGMLLALIEGAGLMLNRVLATPPPLPVDDPNLPAVMGGGGGIPGIPQVPVAPPEVASSSGGGSGWFGSLFGKKEEEKKASPSGGKSEMLESFDTPSTPIPTFEYK >ORUFI07G22450.1 pep chromosome:OR_W1943:7:21631171:21632842:1 gene:ORUFI07G22450 transcript:ORUFI07G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSEQEQGQEQASRSRSVSVSGLASTATAASIDMGRWLKPDVYPLIAAMTFVTGLCTFQLTRNVFMNPDVRVNKNNRKSAVLENAEEGEKYHQHAFRRFLATQRPEVFPALNRFFAGPATVARSDRHD >ORUFI07G22460.1 pep chromosome:OR_W1943:7:21634184:21634851:1 gene:ORUFI07G22460 transcript:ORUFI07G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNQRVYPLIVAMSLVGGMCVFQLTRNVFMNPDVRVNKSHRQSAVLENADEGEKYHHHAFRRFLGTQRPEVFPAINRFFAGPATVPKSDRQN >ORUFI07G22470.1 pep chromosome:OR_W1943:7:21638916:21642244:1 gene:ORUFI07G22470 transcript:ORUFI07G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDAAAAQAATPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPKKTIRVPEGFDYELYNRDDINRILGPRASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRDGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQLVKPLERNSRYVDAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVITDHLGLGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSASLPKEADTVQKCYLELAKQVRAKLGKVDGYFNKLADSMVTWIEAWDQLNPPKGAVATANGTAKSK >ORUFI07G22480.1 pep chromosome:OR_W1943:7:21653742:21659162:1 gene:ORUFI07G22480 transcript:ORUFI07G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPVQLRRIENKINRQVTFSKRRNGLLKKAHEISVLCDADVALIVFSTKGKLYEFSSHSSMEGILERYQRYSFDERAVLEPNTEDQENWGDEYGILKSKLDALQKSQRQLLGEQLDTLTIKELQQLEHQLEYSLKHIRSKKNQLLFESISELQKKEKSLKNQNNVLQKITTKAVLEVKPFCLHSSEQLMETEKEKNNAIINTNREEQNGATPSTSSPTPVTAPDPIPTTNNRKLNPVKFVQSNAAVKANQEDQGSQKLNRLRHKQATASFRHGCSGQVTHEGIC >ORUFI07G22480.2 pep chromosome:OR_W1943:7:21653742:21659162:1 gene:ORUFI07G22480 transcript:ORUFI07G22480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPVQLRRIENKINRQVTFSKRRNGLLKKAHEISVLCDADVALIVFSTKGKLYEFSSHSSMEGILERYQRYSFDERAVLEPNTEDQENWGDEYGILKSKLDALQKSQRQLLGEQLDTLTIKELQQLEHQLEYSLKHIRSKKNQLLFESISELQKKEKSLKNQNNVLQKITTKAVLEVKPFCLHSSEQLMETEKEKNNAIINTNREEQNGATPSTSSPTPVTAPDPIPTTNNSQSQPRGSGESEAQPSPAQAGNSKLPPWMLRTSHT >ORUFI07G22480.3 pep chromosome:OR_W1943:7:21653742:21659159:1 gene:ORUFI07G22480 transcript:ORUFI07G22480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPVQLRRIENKINRQVTFSKRRNGLLKKAHEISVLCDADVALIVFSTKGKLYEFSSHSSMEGILERYQRYSFDERAVLEPNTEDQENWGDEYGILKSKLDALQKSQRQLLGEQLDTLTIKELQQLEHQLEYSLKHIRSKKNQLLFESISELQKKEKSLKNQNNVLQKLMETEKEKNNAIINTNREEQNGATPSTSSPTPVTAPDPIPTTNNSQSQPRGSGESEAQPSPAQAGNSKLPPWMLRTSHT >ORUFI07G22490.1 pep chromosome:OR_W1943:7:21670969:21671190:1 gene:ORUFI07G22490 transcript:ORUFI07G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGYIVGAIASVAVGAAVSLLWPAVAPVVMMKAPGGAGLLISRMAFEANPQLYYHLLHTAGRVAAAAAFAV >ORUFI07G22500.1 pep chromosome:OR_W1943:7:21672013:21675355:1 gene:ORUFI07G22500 transcript:ORUFI07G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTRSSSAMVNMVGVSEFVGGLLNSAKSAVAAVASTVAAAAKPGLAAGVGFVKEQGVGKSALAVGGAAVAAYFLWPTAAVGGAIMNAPGAAGYVISRAAFLANPKLYFHLLRTVGAKAAAAAFL >ORUFI07G22510.1 pep chromosome:OR_W1943:7:21680712:21680903:1 gene:ORUFI07G22510 transcript:ORUFI07G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGGFSCTCDGGCVEGGRSGASGGGAAAADGRGRRAEPADNDATTRELGGICVEHSPAGC >ORUFI07G22520.1 pep chromosome:OR_W1943:7:21694819:21695850:1 gene:ORUFI07G22520 transcript:ORUFI07G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATGPVPFKDADDDGTLAAAEARSPLPKEEFGDLVAALPRKEQYLDGRLYEGFWLPEHYAPGIIAFRRRFTPRADDVVLASYPKCGTTWLKALAFAAMTRAAYPADEHPLLRLNPHDVIPFVEDVFTDGHEAKLDMLPSPRLINTHTPYQLLPESVVAGDGGGGCKVVYICRDPKDMVVSLYHFMRRLQPDLSLAGVVESVADGTVPFGPMWDHILGYWRASVSRPDRVLLLRYEDLLRDGAAGEHVRAMARFMGRPFSAAEEAAGAVASVVELCSFERMKALEVNRRGTAGSYKSMPRDAFFRKGVAGDWANHMSPETAARLDGIFREKFRGTGLTIVP >ORUFI07G22530.1 pep chromosome:OR_W1943:7:21699377:21699577:-1 gene:ORUFI07G22530 transcript:ORUFI07G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGFVVGAAAAAVVGAAVSLLLWPVAAPVVMMKGPGAAGHLISRVAFEANPKLYYYLLRTAAAA >ORUFI07G22540.1 pep chromosome:OR_W1943:7:21714757:21719677:-1 gene:ORUFI07G22540 transcript:ORUFI07G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTSAAAGPVPFKDIAAVAVARRPVAEEYGDVVAALPSRLYPPQQRWREYQGAWFREAYRRFEPRAGDVLLASLPKCGTTWLKALAFATAARGVYPPAAAGGDGRHPLLRLNPHECVPFLEGIYLDEEEAKLDAAPTPRLMSTHASYPNLPASITEDDRSPPAAPSTSLSTAGCKHPLRCLNAPRAGGCGRPFAAPSTALRRPLLPPPRAPRAGGCGRPFNARHVYLLYYALPLCIGQPGEMSKRAMSDENGELHIAHLQISPQKAGQITSRAARRALRAPPRAAWRCQRPRRSRAARRSSPPRLAVSGFNSCSWSHGTNIPSTAALYLGGEWGRLSTDQSRFRPPANVPVRANSDHDWRSTTAMWPSPRRWLLAHTTAKLPATHSASVSAALRRRQRRLLPPSRGRRRADMAISLWHFMNCSKAKTSSLSDDQWESITMSLSDVWESIREGAYLGGPIWEHILGYWNTSKAKPDKVLFLKYEEVLRDPTKNIEKITEFIGQPFSDAEKEAGIVESIIELCSFEKMKASGANSTGSLHMMANEYPHESFFRKGVIGDWVNHVTPEMADSLDKFLSAKFYGSGFTFAE >ORUFI07G22550.1 pep chromosome:OR_W1943:7:21721388:21729796:1 gene:ORUFI07G22550 transcript:ORUFI07G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGERELHVRALDGRSTVVALAAAASVRDLKAALRSSFPPAQVSPSFHLFLKGTKLRVEAEIGTLAVGEGEFIVLVPFARKSQQCSPVAMAEQGQITESPRSSQVSTGANSARRGITDNLSSIPSSPHAETASRYFSSGANSAWQEIMDDLSSISSSPQDDSASKNFCAQSTIPCSGNSVEDVSTDQRLPTGNSNKRRKPCKENGNAFNEMPTSGANSAAGQPSIDKKSSIARSAATSCHGTCHLGPAEMVDHLKQGLGKEGQIVHIEEIPCRAASFAELPNHLSEATREALKSIGVSRLYSHQSRAIHSSIAGRHVAIATSTSSGKSLCYNIPVLESLCQNLMACALYIFPTKALAQDQLRSLLEMKNALHTDIDVNIYDGDTPREDRTWIRDNARLLITNPDMLHMSILPCHGQFQRILSNLRYIVIDEAHSYKGAFGCHTALILRRLKRICSNIYGSHPTFIFCTATSANPREHVMELAKLDNVELIENDGSPCGFKYFLLWNPPLHMTKEGSSKDSLLTRRSSPIVEVSYLLSEMVQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDTICVYRAGYIAEDRRKIEANLFQGKLLGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFGKPIEHCQVDSHNQKLLEQHLACAAYEHPLCVQYDADYFCSSLNSVMMALKDKGCLINNPSGPFSSSMWSYVGPEKRPSQAVSIRAIEHDKYRVIDKLNNRLLEEIEESKAFFQVYDGAVYMHQGVNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDINVLGGEFAHLPPSMCKTNGVKTTAQANDCKVTTKWFGFYRIWKSNNKISDSIELNLPPYSFNSQAVWVRIPHSVKTNVEERKLQFRGGSHAASHALLNIVPLHMTCNASDLGTECANPHETRGIPDRILLYDKHPGGIGIALQIKSLFGELLLAALELVSECNCTSSAGCPNCIQTLTCGEYNEVLDKEAAILILKGVIEYERSYFEKEDGSDQGVR >ORUFI07G22550.2 pep chromosome:OR_W1943:7:21721388:21729322:1 gene:ORUFI07G22550 transcript:ORUFI07G22550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGERELHVRALDGRSTVVALAAAASVRDLKAALRSSFPPAQVSPSFHLFLKGTKLRVEAEIGTLAVGEGEFIVLVPFARKSQQCSPVAMAEQGQITESPRSSQVSTGANSARRGITDNLSSIPSSPHAETASRYFSSGANSAWQEIMDDLSSISSSPQDDSASKNFCAQSTIPCSGNSVEDVSTDQRLPTGNSNKRRKPCKENGNAFNEMPTSGANSAAGQPSIDKKSSIARSAATSCHGTCHLGPAEMVDHLKQGLGKEGQIVHIEEIPCRAASFAELPNHLSEATREALKSIGVSRLYSHQSRAIHSSIAGRHVAIATSTSSGKSLCYNIPVLESLCQNLMACALYIFPTKALAQDQLRSLLEMKNALHTDIDVNIYDGDTPREDRTWIRDNARLLITNPDMLHMSILPCHGQFQRILSNLRYIVIDEAHSYKGAFGCHTALILRRLKRICSNIYGSHPTFIFCTATSANPREHVMELAKLDNVELIENDGSPCGFKYFLLWNPPLHMTKEGSSKDSLLTRRSSPIVEVSYLLSEMVQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDTICVYRAGYIAEDRRKIEANLFQGKLLGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFGKPIEHCQVDSHNQKLLEQHLACAAYEHPLCVQYDADYFCSSLNSVMMALKDKGCLINNPSGPFSSSMWSYVGPEKRPSQAVSIRAIEHDKYRVIDKLNNRLLEEIEESKAFFQVYDGAVYMHQGVNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDINVLGGEFAHLPPSMCKTNGVKTTAQANDCKVTTKWFGFYRIWKSNNKISDSIELNLPPYSFNSQAVWVRIPHSVKTNVEERKLQFRGGSHAASHALLNIVPLHMTCNASDLGTECANPHETRGIPDRILLYDKHPGGIGIALQIKSLFGELLLAALELVSECNCTSSAGCPNCIQTLTCGEYNEVLDKEAAILILKGVIEYERSYFEKEDGSDQGEINQPQH >ORUFI07G22550.3 pep chromosome:OR_W1943:7:21721388:21729796:1 gene:ORUFI07G22550 transcript:ORUFI07G22550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGERELHVRALDGRSTVVALAAAASVRDLKAALRSSFPPAQVSPSFHLFLKGTKLRVEAEIGTLAVGEGEFIVLVPFARKSQQCSPVAMAEQGQITESPRSSQVSTGANSARRGITDNLSSIPSSPHAETASRYFSSGANSAWQEIMDDLSSISSSPQDDSASKNFCAQSTIPCSGNSVEDVSTDQRLPTGNSNKRRKPCKENGNAFNEMPTSGANSAAGQPSIDKKSSIARSAATSCHGTCHLGPAEMVDHLKQGLGKEGQIVHIEEIPCRAASFAELPNHLSEATREALKSIGVSRLYSHQSRAIHSSIAGRHVAIATSTSSGKSLCYNIPVLESLCQNLMACALYIFPTKALAQDQLRSLLEMKNALHTDIDVNIYDGDTPREDRTWIRDNARLSFHFCNTILRYIVIDEAHSYKGAFGCHTALILRRLKRICSNIYGSHPTFIFCTATSANPREHVMELAKLDNVELIENDGSPCGFKYFLLWNPPLHMTKEGSSKDSLLTRRSSPIVEVSYLLSEMVQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDTICVYRAGYIAEDRRKIEANLFQGKLLGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFGKPIEHCQVDSHNQKLLEQHLACAAYEHPLCVQYDADYFCSSLNSVMMALKDKGCLINNPSGPFSSSMWSYVGPEKRPSQAVSIRAIEHDKYRVIDKLNNRLLEEIEESKAFFQVYDGAVYMHQGVNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDINVLGGEFAHLPPSMCKTNGVKTTAQANDCKVTTKWFGFYRIWKSNNKISDSIELNLPPYSFNSQAVWVRIPHSVKTNVEERKLQFRGGSHAASHALLNIVPLHMTCNASDLGTECANPHETRGIPDRILLYDKHPGGIGIALQIKSLFGELLLAALELVSECNCTSSAGCPNCIQTLTCGEYNEVLDKEAAILILKGVIEYERSYFEKEDGSDQGVR >ORUFI07G22550.4 pep chromosome:OR_W1943:7:21721388:21729796:1 gene:ORUFI07G22550 transcript:ORUFI07G22550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGERELHVRALDGRSTVVALAAAASVRDLKAALRSSFPPAQVSPSFHLFLKGTKLRVEAEIGTLAVGEGEFIVLVPFARKSQQCSPVAMAEQGQITESPRSSQVSTGANSARRGITDNLSSIPSSPHAETASRYFSSGANSAWQEIMDDLSSISSSPQDDSASKNFCAQSTIPCSGNSVEDVSTDQRLPTGNSNKRRKPCKENGNAFNEMPTSGANSAAGQPSIDKKSSIARSAATSCHGTCHLGPAEMVDHLKQGLGKEGQIVHIEEIPCRAASFAELPNHLSEATREALKSIGVSRLYSHQALAQDQLRSLLEMKNALHTDIDVNIYDGDTPREDRTWIRDNARLLITNPDMLHMSILPCHGQFQRILSNLRYIVIDEAHSYKGAFGCHTALILRRLKRICSNIYGSHPTFIFCTATSANPREHVMELAKLDNVELIENDGSPCGFKYFLLWNPPLHMTKEGSSKDSLLTRRSSPIVEVSYLLSEMVQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDTICVYRAGYIAEDRRKIEANLFQGKLLGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFGKPIEHCQVDSHNQKLLEQHLACAAYEHPLCVQYDADYFCSSLNSVMMALKDKGCLINNPSGPFSSSMWSYVGPEKRPSQAVSIRAIEHDKYRVIDKLNNRLLEEIEESKAFFQVYDGAVYMHQGVNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDINVLGGEFAHLPPSMCKTNGVKTTAQANDCKVTTKWFGFYRIWKSNNKISDSIELNLPPYSFNSQAVWVRIPHSVKTNVEERKLQFRGGSHAASHALLNIVPLHMTCNASDLGTECANPHETRGIPDRILLYDKHPGGIGIALQIKSLFGELLLAALELVSECNCTSSAGCPNCIQTLTCGEYNEVLDKEAAILILKGVIEYERSYFEKEDGSDQGVR >ORUFI07G22550.5 pep chromosome:OR_W1943:7:21721388:21729322:1 gene:ORUFI07G22550 transcript:ORUFI07G22550.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGERELHVRALDGRSTVVALAAAASVRDLKAALRSSFPPAQVSPSFHLFLKGTKLRVEAEIGTLAVGEGEFIVLVPFARKSQQCSPVAMAEQGQITESPRSSQVSTGANSARRGITDNLSSIPSSPHAETASRYFSSGANSAWQEIMDDLSSISSSPQDDSASKNFCAQSTIPCSGNSVEDVSTDQRLPTGNSNKRRKPCKENGNAFNEMPTSGANSAAGQPSIDKKSSIARSAATSCHGTCHLGPAEMVDHLKQGLGKEGQIVHIEEIPCRAASFAELPNHLSEATREALKSIGVSRLYSHQALAQDQLRSLLEMKNALHTDIDVNIYDGDTPREDRTWIRDNARLLITNPDMLHMSILPCHGQFQRILSNLRYIVIDEAHSYKGAFGCHTALILRRLKRICSNIYGSHPTFIFCTATSANPREHVMELAKLDNVELIENDGSPCGFKYFLLWNPPLHMTKEGSSKDSLLTRRSSPIVEVSYLLSEMVQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDTICVYRAGYIAEDRRKIEANLFQGKLLGVAATNALELGIDVGHIDATLHLGFPGSIARSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFGKPIEHCQVDSHNQKLLEQHLACAAYEHPLCVQYDADYFCSSLNSVMMALKDKGCLINNPSGPFSSSMWSYVGPEKRPSQAVSIRAIEHDKYRVIDKLNNRLLEEIEESKAFFQVYDGAVYMHQGVNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDINVLGGEFAHLPPSMCKTNGVKTTAQANDCKVTTKWFGFYRIWKSNNKISDSIELNLPPYSFNSQAVWVRIPHSVKTNVEERKLQFRGGSHAASHALLNIVPLHMTCNASDLGTECANPHETRGIPDRILLYDKHPGGIGIALQIKSLFGELLLAALELVSECNCTSSAGCPNCIQTLTCGEYNEVLDKEAAILILKGVIEYERSYFEKEDGSDQGEINQPQH >ORUFI07G22550.6 pep chromosome:OR_W1943:7:21721388:21729796:1 gene:ORUFI07G22550 transcript:ORUFI07G22550.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGERELHVRALDGRSTVVALAAAASVRDLKAALRSSFPPAQVSPSFHLFLKGTKLRVEAEIGTLAVGEGEFIVLVPFARKSQQCSPVAMAEQGQITESPRSSQVSTGANSARRGITDNLSSIPSSPHAETASRYFSSGANSAWQEIMDDLSSISSSPQDDSASKNFCAQSTIPCSGNSVEDVSTDQRLPTGNSNKRRKPCKENGNAFNEMPTSGANSAAGQPSIDKKSSIARSAATSCHGTCHLGPAEMVDHLKQGLGKEGQIVHIEEIPCRAASFAELPNHLSEATREALKSIGVSRLYSHQALAQDQLRSLLEMKNALHTDIDVNIYDGDTPREDRTWIRDNARLSFHFCNTILRYIVIDEAHSYKGAFGCHTALILRRLKRICSNIYGSHPTFIFCTATSANPREHVMELAKLDNVELIENDGSPCGFKYFLLWNPPLHMTKEGSSKDSLLTRRSSPIVEVSYLLSEMVQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDTICVYRAGYIAEDRRKIEANLFQGKLLGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFGKPIEHCQVDSHNQKLLEQHLACAAYEHPLCVQYDADYFCSSLNSVMMALKDKGCLINNPSGPFSSSMWSYVGPEKRPSQAVSIRAIEHDKYRVIDKLNNRLLEEIEESKAFFQVYDGAVYMHQGVNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDINVLGGEFAHLPPSMCKTNGVKTTAQANDCKVTTKWFGFYRIWKSNNKISDSIELNLPPYSFNSQAVWVRIPHSVKTNVEERKLQFRGGSHAASHALLNIVPLHMTCNASDLGTECANPHETRGIPDRILLYDKHPGGIGIALQIKSLFGELLLAALELVSECNCTSSAGCPNCIQTLTCGEYNEVLDKEAAILILKGVIEYERSYFEKEDGSDQGVR >ORUFI07G22550.7 pep chromosome:OR_W1943:7:21721388:21729322:1 gene:ORUFI07G22550 transcript:ORUFI07G22550.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGERELHVRALDGRSTVVALAAAASVRDLKAALRSSFPPAQVSPSFHLFLKGTKLRVEAEIGTLAVGEGEFIVLVPFARKSQQCSPVAMAEQGQITESPRSSQVSTGANSARRGITDNLSSIPSSPHAETASRYFSSGANSAWQEIMDDLSSISSSPQDDSASKNFCAQSTIPCSGNSVEDVSTDQRLPTGNSNKRRKPCKENGNAFNEMPTSGANSAAGQPSIDKKSSIARSAATSCHGTCHLGPAEMVDHLKQGLGKEGQIVHIEEIPCRAASFAELPNHLSEATREALKSIGVSRLYSHQALAQDQLRSLLEMKNALHTDIDVNIYDGDTPREDRTWIRDNARLSFHFCNTILRYIVIDEAHSYKGAFGCHTALILRRLKRICSNIYGSHPTFIFCTATSANPREHVMELAKLDNVELIENDGSPCGFKYFLLWNPPLHMTKEGSSKDSLLTRRSSPIVEVSYLLSEMVQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDTICVYRAGYIAEDRRKIEANLFQGKLLGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFGKPIEHCQVDSHNQKLLEQHLACAAYEHPLCVQYDADYFCSSLNSVMMALKDKGCLINNPSGPFSSSMWSYVGPEKRPSQAVSIRAIEHDKYRVIDKLNNRLLEEIEESKAFFQVYDGAVYMHQGVNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDINVLGGEFAHLPPSMCKTNGVKTTAQANDCKVTTKWFGFYRIWKSNNKISDSIELNLPPYSFNSQAVWVRIPHSVKTNVEERKLQFRGGSHAASHALLNIVPLHMTCNASDLGTECANPHETRGIPDRILLYDKHPGGIGIALQIKSLFGELLLAALELVSECNCTSSAGCPNCIQTLTCGEYNEVLDKEAAILILKGVIEYERSYFEKEDGSDQGEINQPQH >ORUFI07G22550.8 pep chromosome:OR_W1943:7:21721388:21729001:1 gene:ORUFI07G22550 transcript:ORUFI07G22550.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGERELHVRALDGRSTVVALAAAASVRDLKAALRSSFPPAQVSPSFHLFLKGTKLRVEAEIGTLAVGEGEFIVLVPFARKSQQCSPVAMAEQGQITESPRSSQVSTGANSARRGITDNLSSIPSSPHAETASRYFSSGANSAWQEIMDDLSSISSSPQDDSASKNFCAQSTIPCSGNSVEDVSTDQRLPTGNSNKRRKPCKENGNAFNEMPTSGANSAAGQPSIDKKSSIARSAATSCHGTCHLGPAEMVDHLKQGLGKEGQIVHIEEIPCRAASFAELPNHLSEATREALKSIGVSRLYSHQALAQDQLRSLLEMKNALHTDIDVNIYDGDTPREDRTWIRDNARLSFHFCNTILRYIVIDEAHSYKGAFGCHTALILRRLKRICSNIYGSHPTFIFCTATSANPREHVMELAKLDNVELIENDGSPCGFKYFLLWNPPLHMTKEGSSKDSLLTRRSSPIVEVSYLLSEMVQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDTICVYRAGYIAEDRRKIEANLFQGKLLGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFGKPIEHCQVDSHNQKLLEQHLACAAYEHPLCVQYDADYFCSSLNSVMMALKDKGCLINNPSGPFSSSMWSYVGPEKRPSQAVSIRAIEHDKYRVIDKLNNRLLEEIEESKAFFQVYDGAVYMHQGVNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDINVLGGEFAHLPPSMCKTNGVKTTAQANDCKVTTKWFGFYRIWKSNNKISDSIELNLPPYSFNSQAVWVRIPHSVKTNVEERKLQFRGGSHAASHALLNIVPLHMTCNASDLGTECANPHETRGIPDRILLYDKHPGGIGIALQIKSLFGELLLAALELVSECNCTSSAGCPNCIQTLTCGEYNEVLDKEAAILILKGVIEYERSYFEKEDGSDQGVR >ORUFI07G22560.1 pep chromosome:OR_W1943:7:21734093:21734767:-1 gene:ORUFI07G22560 transcript:ORUFI07G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDNESGGPSNAGEYASAREQDRFLPIANVSRIMKRALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYIDPLKLYLHKFRELEGEKAIGAAGSGGGGAASSGGSGSGSGSHHHQDASRNNGGYGMYGGGGGMIMMMGQPMYGSPPASSAGYAQPPPPHHHHHQMVMGGKGAYGHGGGGGGGPSPSSGYGRQDRL >ORUFI07G22570.1 pep chromosome:OR_W1943:7:21744685:21745701:1 gene:ORUFI07G22570 transcript:ORUFI07G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAAAVPSDRPPLPWRARLLVGAVSVLHSASLRRDGTVNRFLLSLFDRVVPPNPAPDAAGVASSDHAVSDDLRVRMFFPGAAARDGGGDHLPVVVYFHGGGFVFHSVASAQFDALCRRFASAIPAVVASVDFRLAPEHGFPAPYDDGKAALRWVLAGAGGALPSPPATVFVAGDSAGGNVAHHVVARTPSSVSGLIALQPFFAGETPTASEQRLRDAPFGSPERISWLWRAFLPPGATRDHEAANVPAALRRDAERRRAFPPTMVCVGGWDAHQDRQRDYADALRAAGGAEEVVVAEFPDAIHAFYIFDDLADSKRLLTEVTAFVNRRRAAAAAS >ORUFI07G22580.1 pep chromosome:OR_W1943:7:21747467:21749687:-1 gene:ORUFI07G22580 transcript:ORUFI07G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKISTVVLNVDLECDRCYKKIRRVLCRIQDKANIKTISYDEKNNAVMVSGPFDADKVCKKLCCKAGRIIKDMQVKGKENKGGKDAAGDKAKPAEKDGGGGKAEKKDAAGGDKAEKKDGGGKPEKEAAKADKAAAAAAKPEKKVKFDVDDAPPPAAATAKPGKQAGPEPPRGEPIAPPMMMPAAQGVAVPSIWPAPAGSLSCYSYNPAAYDQSSYYGGGGYGYGGGAFQAPAGYYGVAPPPAAPYDHQGWYYGNRQPYYHQQQCYEDPNAGGCSVM >ORUFI07G22580.2 pep chromosome:OR_W1943:7:21747467:21749687:-1 gene:ORUFI07G22580 transcript:ORUFI07G22580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKISTVVLNVDLECDRCYKKIRRVLCRIQDKANIKTISYDEKNNAVMVSGPFDADKVCKKLCCKAGRIIKDMQVKGKENKGGKDAAGDKAKPAEKDGGGGKAEKKDAAGGDKAEKKDGGGKPEKEAAKADKAAAAAAKPEKKVKFDVDDAPPPAAATAKPGKVQPFPAGMTQADLAPLLEKLKIAKQQQQQQQQAGPEPPRGEPIAPPMMMPAAQGVAVPSIWPAPAGSLSCYSYNPAAYDQSSYYGGGGYGYGGGAFQAPAGYYGVAPPPAAPYDHQGWYYGNRQPYYHQQQCYEDPNAGGCSVM >ORUFI07G22590.1 pep chromosome:OR_W1943:7:21755655:21756162:-1 gene:ORUFI07G22590 transcript:ORUFI07G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHERGEVIGTARGIGQWLTGVDGFDEDGGRPAPEKKRGARGDNDGEAAWPVMLHGGRNAEVAMAAVLGACSRALVARSRSNAVTARNGEMMERAKDRDDRDSMAMTAFVFTTAGREDEGEEDEMEHLASVSWQWGEE >ORUFI07G22600.1 pep chromosome:OR_W1943:7:21763925:21766496:-1 gene:ORUFI07G22600 transcript:ORUFI07G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRPTWSPPHLAVTAAPTSALAFLPLISQRRRLPSPAPARRIQLRSHCTKSPTESEPEHEDDGADDEDAAARSEHPAIIFQERLDKFLDDYRAALGLRTPPDMFRKEKYKIAVIMQKMYSSSSKILNADEKEMVSTVCRKARLALDLASEVMDVAAFGLGTTEISQRTADQMVRTYTTIFCEVANELYHNRVTMENILSFLDALGGLGAITHILVQDTVDKLHNGLLKKKITHDLDALSHKFDKEMNILKDNFKRETRIDGYKVL >ORUFI07G22610.1 pep chromosome:OR_W1943:7:21766816:21767406:-1 gene:ORUFI07G22610 transcript:ORUFI07G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHLSLLHLPRLSPVLHHHPRHLRLHGRTTVFQARLPSPQRTNQHRLLAVTTAPPEPEKTEEQQLLHPLQEPAEGEVSGGGGADRTCGLPTWALIGAIAAAVALSSAAAAGPAAALGPEGPLVEEFWDNMRRYALYVVTVSTGFAYTLAQPIVELLKNPVTALLIVAVLAGGGFLVSQVLNAMVGNSDFIYTYDQ >ORUFI07G22620.1 pep chromosome:OR_W1943:7:21768766:21776321:1 gene:ORUFI07G22620 transcript:ORUFI07G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEDGGGGPEFVRWREDFVSQERGSRVVHYYLEDAAGASHLAVVGTERSLRHMLYVVSEDFREAQGADGGGPGVFARKWRSRREVVDWLASFLPAKSLASKLSKFGSHMGNDIGLDGYSEPDSFMGHNLGKACSSDIMWSGSSWTCGKQLYHYKAFCRNGTTISTHSFVLVMSEEESRYLAYLEDMYEDKKGQKKVKVRWFHQNQEFACAIPPPPPHPCEVFITPYSQVISVECVDDIATVLTPEHYEKCVNTLPNSSMVGIRFCFRQYSKNKFKRFDLRSLRGYFSQAVVLSLKLPPEQEKDDGSDIIKTFEQWTPGKTKFPKQFERLYSKCLGTKICRGPQEDSIASYQKPSSKQSPRKHLSVKFIGPQNQRMPTYNVGDRIEVLSQDSGIVGCWFRCTVLKSCTNHNKLKVQYDDLQNADDSGRLEEWVPVSTLARPDKLGLRCPERRRVRPRPQQNSLADGTNLLPGAAVDVWQFSGWWEGVLVSADNISADSLQIYFPGENFFSVCQLKNLRISKDWVKSHWVDIEMKPDVLSKIPSVGVQTRQPDNLTSVERPDSRNSAMSDQELAAIQTNSSEDKQTGADQPAEVSLTDMASAFAEDQKQTVLGKRPRDDDAEQHCNGEVGIDVGKL >ORUFI07G22630.1 pep chromosome:OR_W1943:7:21769112:21769294:-1 gene:ORUFI07G22630 transcript:ORUFI07G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPPTAAASAHPGPIHAKPLSPNTRAAAAAEEETTRTTGGRGENLRPSPPRAATGERRY >ORUFI07G22640.1 pep chromosome:OR_W1943:7:21774060:21776810:-1 gene:ORUFI07G22640 transcript:ORUFI07G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGVLVVVVALVFAVTVAGGGGAGVGGDGGFITWEDLSMPAGAARSSTWDDTAGGGGGKRSGGGGGGEQRTTIVVSPDGTGHSRTVQGAVDMVPAGNTRRVKIVVRPGVYSCNGADLAGDGVPCREKVTVPITKPFVSLIGMGTGHTVITWHSRASDVGASGHQVGTFYSASVAVEADYFCASHITFEVYTHRTSSSSSSPAGSPAAAKRNSAAAAAPGAVGQQAVALRLSGDKTVLYKCRILGTQDTLFDNIGRHYLYNCDIQGSIDFIFGNARSLYQGCTLHAVATSYGAIAASQRSSPSEESGFSFVGCRLTGSGMLYLGRAWGKYSRVVYSYCDLSGIIVPQGWSDWGDQSRTKTVLFGEYNCKGPGASTKQRVPWSRTLTYDEARPFIGRSFINGEQWLRL >ORUFI07G22650.1 pep chromosome:OR_W1943:7:21779775:21780949:-1 gene:ORUFI07G22650 transcript:ORUFI07G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPADHHHHHHSSTPPPATKISIPISAAAGGAEAALLGKGRYKAWALAAIALLALWSMFAASVTIRWSSGDLAAEFGDLPDPLIDDLDPLEMEDREKLVRRMWDVYTRTGVDRVRLPRFWQEAFEAAYEELAGDDTQASETAVSEIARMSVHRPELEQSSNKY >ORUFI07G22660.1 pep chromosome:OR_W1943:7:21784501:21786042:1 gene:ORUFI07G22660 transcript:ORUFI07G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANACSFFLIRASKSENFMSFPVCRPDTACWSLPIKMLGSLISYSPSVNPKTENPDELIATGVLASLQNFIRKCIVAVLSYGPMPKHIAFIMDGNRRYAKFRSIQEGSGHRMGFSALIASLLYCYEMGVKYITVYAFSIDNFKRDLTEVKSLMELMEEKINELLENRNVINKVNCKINFWGKLDMLSEPVRVAAEKLMVSTAENKGLVFSVCMPYNSTSEIVIAVNKVCAERRDILQREDVDSVANNGVHSDISVADLDHHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPDPLWPEFSFKHLVWAILQYQRVHPYIEQSRNLAKKQL >ORUFI07G22670.1 pep chromosome:OR_W1943:7:21794003:21803267:1 gene:ORUFI07G22670 transcript:ORUFI07G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAWERAVEAALQAAGEGSSSPARSLTLDGAVKCLHGRLPAAEILERYQSLEHLSIAGVGVASLAGFPRLRNLTRLTLSDNRIAGGLDHLVAAGLASLRDLDLSNNRIQDVGDLSPLANLRLVSLDLYECPVTRVKDYRSKVFGMIRTLKYLDKMDADENERPESDDDDDDGDGDGDGEEEEDDDDDEDEDPGSGEVANGGVSHPRGGVASHPVEVNGVIDVDEDESDADEVVPNGGDEHHANGFRVAAVGDEDEYVEEEDDDDEEDYEEEDDLGEEIDEDGDDEDAVVEVHDVPSSSDEEEDGIEEEDEEEDEDEEEVEDDGEEAEPESSGRVALAVGDVGEEIDGHEHGEGEDEDENGEIGEEDEERLEDDRVYEEGNDDDEEDVDDEDEDTEYLVQPIAQPQAMAVGNDFDAAEADDADEDRDEVDDDDDGGTDLPSSSQGAKRKRDDDPSGSGDDDEDDDGVEDLRPFKHH >ORUFI07G22670.2 pep chromosome:OR_W1943:7:21800896:21803267:1 gene:ORUFI07G22670 transcript:ORUFI07G22670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPLRLVRPSPLAGRHAAACKCSAAIPLVFGRQRLPLLVAFPRGSGSGSGSGASCSAVQESSSAAAATTVSEKKDAADAKKEATAEAKPAAKPAAKPKKPPVKPLPEMMQEEIIPPLKAALEAEDDVSQVELSFEDNRLEGSFIKDEVPYYFWAFFPNGDLTGPKGFALSSYSTEVSTIEPFLIDEKRANAKYVVFWVYKRLAGQGILPVWKEEEGEGEGEGESSA >ORUFI07G22670.3 pep chromosome:OR_W1943:7:21794003:21799062:1 gene:ORUFI07G22670 transcript:ORUFI07G22670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAWERAVEAALQAAGEGSSSPARSLTLDGAVKCLHGRLPAAEILERYQSLEHLSIAGVGVASLAGFPRLRNLTRLTLSDNRIAGGLDHLVAAGLASLRDLDLSNNRIQDVGDLSPLANLRLVSLDLYECPVTRVKDYRSKVFGMIRTLKYLDKMDADENERPESDDDDDDGDGDGDGEEEEDDDDDEDEDPGSGEVANGGVSHPRGGVASHPVEVNGVIDVDEDESDADEVVPNGGDEHHANGFRVAAVGDEDEYVEEEDDDDEEDYEEEDDLGEEIDEDGDDEDAVVEVHDVPSSSDEEEDGIEEEDEEEDEDEEEVEDDGEEAEPESSGRVALAVGDVGEEIDGHEHGEGEDEDENGEIGEEDEERLEDDRVYEEGNDDDEEDVDDEDEDTEYLVQPIAQPQAMAVGNDFDAAEADDADEDRDEVDDDDDGGTDLPSSSQGAKRKRDDDPSGSGDDDEDDDGVEDLRPFKHH >ORUFI07G22680.1 pep chromosome:OR_W1943:7:21804151:21806871:1 gene:ORUFI07G22680 transcript:ORUFI07G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYLLHAPAAAAAVVPSPLSLRSPPKTPFLPTSPIRVPTPRRRPAAFSSAAAAVVPIAASLLEGPVLVWAGRLCLYYALLHIGLAGSPRNPFLAHEIGDDGAGDSDLGFSKWADKLRGGAPGENEAQDKRKLVSKWKPTTKGTLKRTYRVRSVEEGRRILKEIALVLSEDDHFVDASSHKGCQIRRESAHGESVCCYNVRALFDELPTPHLVLEITAFPAGPLTDNDYRKAERLEMVLRMSASI >ORUFI07G22690.1 pep chromosome:OR_W1943:7:21807450:21809956:-1 gene:ORUFI07G22690 transcript:ORUFI07G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLGHKMYDQDSPSSDSGQSHQEESAMNDSSPNERHTSTQSDNDDGHQMPDQDKTKSVSSLGNPGALPPKLNYSQSFACIPYTADAYYGGVLTGYSSHAIVHPQQNGTANSRVPLPVEPAAEEPIFVNAKQYHAILRRRQIRAKLEAQNKLVKGRKPYLHESRHRHAMKRARGSGGRFLNTKQLEEQKQQQEEEAASGGASSGNRTCLQNGTGSAPSASSPSEIASVSTSREFLGNHEQSHFPSAGFLPTMSFRAQNGGDGKLVANAIHQRVSMMR >ORUFI07G22700.1 pep chromosome:OR_W1943:7:21817775:21823020:1 gene:ORUFI07G22700 transcript:ORUFI07G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWLKALCYGAGGMAVVGLAALVALQERLVYVPVLPGIARAYPITPDRLRLIYEDVWLRAADGVRLHSWYGESDGYPSQKGIINDAQAALDHLVQRKDIDTSRIVVFGRSLGGAVGAVLAKNNPGKVSALILENTFTSILDMAGIMLPFLRWFIGGSSSKGPKLLNCVVRSPWSTLDIIAEVKQPIIFLSGLQDELVPPSHMRLLYEKAFEHNKNCRFVDFPNGMHMDTWNSGGDRYWRTIQLFLDQYAPEVQSCNTSCKSEIANDDEAEE >ORUFI07G22710.1 pep chromosome:OR_W1943:7:21823906:21828178:1 gene:ORUFI07G22710 transcript:ORUFI07G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQYNPRTVEEVFRDFKGRRAGLVRALTADVEDFFRQCDPEKENLCLYGFPNEHWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSMVAVHSDAWLLSVAFYFGARFGFDKNDRKRLFGMINDLPTIFEVVSGKSKAKPPSANNHSNSKSKSSNKTKSSEPRAKQPKPQPQPPVKNEGREEEGGPDDEEGGGGGGGGGREEEHGETLCGACGESYGADEFWICCDICEKWFHGKCVKITPAKAEHIKQYKCPSCSGGNGGGGGVSGNGKRARPS >ORUFI07G22720.1 pep chromosome:OR_W1943:7:21830151:21832034:-1 gene:ORUFI07G22720 transcript:ORUFI07G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTQISKKRKFVADGVFFAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGYPVNLYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPMTPLPDLVTIHAPKDEDEFSKPLTAEIPVAA >ORUFI07G22730.1 pep chromosome:OR_W1943:7:21832694:21833107:1 gene:ORUFI07G22730 transcript:ORUFI07G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLIYKIPLDLPEDTGSENHHRQMRKSQCDALSSMTSELEPRCAPPPQGKRDLRAKTDCNKEMRNLVHHLVHLARRCPAYHKPPPTPHCCRRCLTLEHARRMWVGGEDDQSANAHGPLDLTEVLTERSDIAIISK >ORUFI07G22740.1 pep chromosome:OR_W1943:7:21836861:21838941:-1 gene:ORUFI07G22740 transcript:ORUFI07G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNEKNDIGMVVIRGNSVVMIEALEPVPKPQ >ORUFI07G22750.1 pep chromosome:OR_W1943:7:21839201:21854133:1 gene:ORUFI07G22750 transcript:ORUFI07G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGDAGTSSRGGGSGGAPARPRRFPEAAQPEIMRAAEKDDGYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRILFILYQTTVPYLAERISSRIVARGIALEDSQLDDHSESDSSSIGTAAQPSPIRNSPSRSLSFSHLSRLRGRVHTLWEWVLRKWPSMLPFAQDFIQLTIRTNLMFFYFEGLYYHLPKRAAGIRYVFIGKPLNQRPRYQILGIFLLIQLCILGAERLRRSNLSTIASSINQISSGGYPSSRGGRGVPVLNEDGNIISDIRHGKTADLATSSEASSGKSKCTLCLSTRQNPTATTCGHVFCWSCIMEWCNEKPECPLCRTPITHSIKTTTKSIPTCLISPTSITKPAQVAVSLRNIISFFNHLFLLFANHHDLPPSRLPLDLLLLLLPSPPPRPQALLLPRRRRRRHGHVLPNALDTTAIDWVNAALAPSPVTPGSAVRLTLAKVKEGVEVPQLVEKVAAATAAAGEAKGARVSFGENFSPARAKGYQFGMVAVFDSVEELDAVEGDGKVQEAKAAVRPLLDEVLVLDFVVGPAADCIIIYQSEQLAFVIESSMVSRFLHPFPILNAIHLKFLSPVTPSMTPALLCPYTNSHTILPASYYGVLANHYSIHSNLLHLHLSHLHHLTNSTSAGRCLRNIISFLIIFSSPSLITMICLRAAFPLTSSPLRRLALKPSSSRAAAAAMSSAIAAPVEHIVLIKVRPEAASSGAAAAMVSSLQALSTAVPGLSYIHVGPVLRLRSPAAEALGPTXEAKGAKVSFGENFSPARAKGYQFGMVAVFDSVEGLDAVDGDGKVEAAKAIVRPLLDDVLELEFVTGHILLRVRDSLLNIEDFRGKDIGTEAILPFSKMYYNYRTHCSHPQHVLVRCQYSSSSGHVCDLCAAGFRGLVGLRCKACDFDIHEACADYFQPAISSFAAHPWHGLALGRVADNDRVCDLCAAACPRGGFVYRCVPCGFDVHPLCTMFPAKVRSPLHPEHELAMVPAAAAAAATLGRQCRTCSGCGEVCGGWFYRCDACGVCLHAECLNGARAKQSAGVGSQGTGVGGAGSSQSGGGGGQSTGVKRSRSSLVGKLLLKAAVRVAVDAATNGLASAVLGPTHILHSCYATKPDLAAYAAHPAHVLPNALDTTAVDWVNTAPVPSPVTPGSAVRLSLAKLKKGVEAHQLAEKLAAATAAAGEAKGAKVSFGENFSPARAKGYQFGM >ORUFI07G22750.2 pep chromosome:OR_W1943:7:21839201:21854133:1 gene:ORUFI07G22750 transcript:ORUFI07G22750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGDAGTSSRGGGSGGAPARPRRFPEAAQPEIMRAAEKDDGYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRILFILYQTTVPYLAERISSRIVARGIALEDSQLDDHSESDSSSIGTAAQPSPIRNSPSRSLSFSHLSRLRGRVHTLWEWVLRKWPSMLPFAQDFIQLTIRTNLMFFYFEGLYYHLPKRAAGIRYVFIGKPLNQRPRYSLFLCSSTLCILGAERLRRSNLSTIASSINQISSGGYPSSRGGRGVPVLNEDGNIISDIRHGKTADLATSSEASSGKSKCTLCLSTRQNPTATTCGHVFCWSCIMEWCNEKPECPLCRTPITHSIKTTTKSIPTCLISPTSITKPAQVAVSLRNIISFFNHLFLLFANHHDLPPSRLPLDLLLLLLPSPPPRPQALLLPRRRRRRHGHVLPNALDTTAIDWVNAALAPSPVTPGSAVRLTLAKVKEGVEVPQLVEKVAAATAAAGEAKGARVSFGENFSPARAKGYQFGMVAVFDSVEELDAVEGDGKVQEAKAAVRPLLDEVLVLDFVVGPAADCIIIYQSEQLAFVIESSMVSRFLHPFPILNAIHLKFLSPVTPSMTPALLCPYTNSHTILPASYYGVLANHYSIHSNLLHLHLSHLHHLTNSTSAGRCLRNIISFLIIFSSPSLITMICLRAAFPLTSSPLRRLALKPSSSRAAAAAMSSAIAAPVEHIVLIKVRPEAASSGAAAAMVSSLQALSTAVPGLSYIHVGPVLRLRSPAAEALGPTXEAKGAKVSFGENFSPARAKGYQFGMVAVFDSVEGLDAVDGDGKVEAAKAIVRPLLDDVLELEFVTGHILLRVRDSLLNIEDFRGKDIGTEAILPFSKMYYNYRTHCSHPQHVLVRCQYSSSSGHVCDLCAAGFRGLVGLRCKACDFDIHEACADYFQPAISSFAAHPWHGLALGRVADNDRVCDLCAAACPRGGFVYRCVPCGFDVHPLCTMFPAKVRSPLHPEHELAMVPAAAAAAATLGRQCRTCSGCGEVCGGWFYRCDACGVCLHAECLNGARAKQSAGVGSQGTGVGGAGSSQSGGGGGQSTGVKRSRSSLVGKLLLKAAVRVAVDAATNGLASAVLGPTHILHSCYATKPDLAAYAAHPAHVLPNALDTTAVDWVNTAPVPSPVTPGSAVRLSLAKLKKGVEAHQLAEKLAAATAAAGEAKGAKVSFGENFSPARAKGYQFGM >ORUFI07G22750.3 pep chromosome:OR_W1943:7:21839201:21854133:1 gene:ORUFI07G22750 transcript:ORUFI07G22750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGDAGTSSRGGGSGGAPARPRRFPEAAQPEIMRAAEKDDGYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRILFILYQTTVPYLAERISSRIVARGIALEDSQLDDHSESDSSSIGTAAQPSPIRNSPSRSLKSIPCGNGFFGNGLRIVLSFTKASSWHSLCIHWEAIESEAQLCILGAERLRRSNLSTIASSINQISSGGYPSSRGGRGVPVLNEDGNIISDIRHGKTADLATSSEASSGKSKCTLCLSTRQNPTATTCGHVFCWSCIMEWCNEKPECPLCRTPITHSIKTTTKSIPTCLISPTSITKPAQVAVSLRNIISFFNHLFLLFANHHDLPPSRLPLDLLLLLLPSPPPRPQALLLPRRRRRRHGHVLPNALDTTAIDWVNAALAPSPVTPGSAVRLTLAKVKEGVEVPQLVEKVAAATAAAGEAKGARVSFGENFSPARAKGYQFGMVAVFDSVEELDAVEGDGKVQEAKAAVRPLLDEVLVLDFVVGPAADCIIIYQSEQLAFVIESSMVSRFLHPFPILNAIHLKFLSPVTPSMTPALLCPYTNSHTILPASYYGVLANHYSIHSNLLHLHLSHLHHLTNSTSAGRCLRNIISFLIIFSSPSLITMICLRAAFPLTSSPLRRLALKPSSSRAAAAAMSSAIAAPVEHIVLIKVRPEAASSGAAAAMVSSLQALSTAVPGLSYIHVGPVLRLRSPAAEALGPTXEAKGAKVSFGENFSPARAKGYQFGMVAVFDSVEGLDAVDGDGKVEAAKAIVRPLLDDVLELEFVTGHILLRVRDSLLNIEDFRGKDIGTEAILPFSKMYYNYRTHCSHPQHVLVRCQYSSSSGHVCDLCAAGFRGLVGLRCKACDFDIHEACADYFQPAISSFAAHPWHGLALGRVADNDRVCDLCAAACPRGGFVYRCVPCGFDVHPLCTMFPAKVRSPLHPEHELAMVPAAAAAAATLGRQCRTCSGCGEVCGGWFYRCDACGVCLHAECLNGARAKQSAGVGSQGTGVGGAGSSQSGGGGGQSTGVKRSRSSLVGKLLLKAAVRVAVDAATNGLASAVLGPTHILHSCYATKPDLAAYAAHPAHVLPNALDTTAVDWVNTAPVPSPVTPGSAVRLSLAKLKKGVEAHQLAEKLAAATAAAGEAKGAKVSFGENFSPARAKGYQFGM >ORUFI07G22750.4 pep chromosome:OR_W1943:7:21839201:21854133:1 gene:ORUFI07G22750 transcript:ORUFI07G22750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGDAGTSSRGGGSGGAPARPRRFPEAAQPEIMRAAEKDDGYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRILFILYQTTVPYLAERISSRIVARGIALEDSQLDDHSESDSSSIGTAAQPSPIRNSPSRSLSFSHLSRLRGRVHTLWEWVLRKWPSMLPFAQDFIQLTIRTNLMFFYFEGLYYHLPKRAAGIRYLCILGAERLRRSNLSTIASSINQISSGSYPSSRASYYGVLANHYSIHSNLLHLHLSHLHHLTNSTSAGRCLRNIISFLIIFSSPSLITMICLRAAFPLTSSPLRRLALKPSSSRAAAAAMSSAIAAPVEHIVLIKVRPEAASSGAAAAMVSSLQALSTAVPGLSYIHVGPVLRLRSPAAEALGPTXEAKGAKVSFGENFSPARAKGYQFGMVAVFDSVEGLDAVDGDGKSGRCSTTCSSWNSSSDLPPRRLRRRPTSERGKDIGTEAILPFSKMYYNYRTHCSHPQHVLVRCQYSSSSGHVCDLCAAGFRGLVGLRCKACDFDIHEACADYFQPAISSFAAHPWHGLALGRVADNDRVCDLCAAACPRGGFVYRCVPCGFDVHPLCTMFPAKVRSPLHPEHELAMVPAAAAAAATLGRQCRTCSGCGEVCGGWFYRCDACGVCLHAECLNGARAKQSAGVGSQGTGVGGAGSSQSGGGGGQSTGVKRSRSSLVGKLLLKAAVRVAVDAATNGLASAVLGPTHILHSCYATKPDLAAYAAHPAHVLPNALDTTAVDWVNTAPVPSPVTPGSAVRLSLAKLKKGVEAHQLAEKLAAATAAAGEAKGAKVSFGENFSPARAKGYQFGM >ORUFI07G22750.5 pep chromosome:OR_W1943:7:21839201:21854133:1 gene:ORUFI07G22750 transcript:ORUFI07G22750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGDAGTSSRGGGSGGAPARPRRFPEAAQPEIMRAAEKDDGYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRILFILYQTTVPYLAERISSRIVARGIALEDSQLDDHSESDSSSIGTAAQPSPIRNSPSRSLKSIPCGNGFFGNGLRIVLSFTKASSWHSLCIHWEAIESEAQLCILGAERLRRSNLSTIASSINQISSGSYPSSRASYYGVLANHYSIHSNLLHLHLSHLHHLTNSTSAGRCLRNIISFLIIFSSPSLITMICLRAAFPLTSSPLRRLALKPSSSRAAAAAMSSAIAAPVEHIVLIKVRPEAASSGAAAAMVSSLQALSTAVPGLSYIHVGPVLRLRSPAAEALGPTXEAKGAKVSFGENFSPARAKGYQFGMVAVFDSVEGLDAVDGDGKSGRCSTTCSSWNSSSDLPPRRLRRRPTSERGKDIGTEAILPFSKMYYNYRTHCSHPQHVLVRCQYSSSSGHVCDLCAAGFRGLVGLRCKACDFDIHEACADYFQPAISSFAAHPWHGLALGRVADNDRVCDLCAAACPRGGFVYRCVPCGFDVHPLCTMFPAKVRSPLHPEHELAMVPAAAAAAATLGRQCRTCSGCGEVCGGWFYRCDACGVCLHAECLNGARAKQSAGVGSQGTGVGGAGSSQSGGGGGQSTGVKRSRSSLVGKLLLKAAVRVAVDAATNGLASAVLGPTHILHSCYATKPDLAAYAAHPAHVLPNALDTTAVDWVNTAPVPSPVTPGSAVRLSLAKLKKGVEAHQLAEKLAAATAAAGEAKGAKVSFGENFSPARAKGYQFGM >ORUFI07G22750.6 pep chromosome:OR_W1943:7:21839201:21849838:1 gene:ORUFI07G22750 transcript:ORUFI07G22750.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGDAGTSSRGGGSGGAPARPRRFPEAAQPEIMRAAEKDDGYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRILFILYQTTVPYLAERISSRIVARGIALEDSQLDDHSESDSSSIGTAAQPSPIRNSPSRSLSFSHLSRLRGRVHTLWEWVLRKWPSMLPFAQDFIQLTIRTNLMFFYFEGLYYHLPKRAAGIRYVFIGKPLNQRPSYVFSVLKGFEEQVTMEYLQTTTPSIPTCFIFIFPTSITSPTAPAQVAVSEISFRF >ORUFI07G22750.7 pep chromosome:OR_W1943:7:21839201:21849838:1 gene:ORUFI07G22750 transcript:ORUFI07G22750.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGDAGTSSRGGGSGGAPARPRRFPEAAQPEIMRAAEKDDGYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRILFILYQTTVPYLAERISSRIVARGIALEDSQLDDHSESDSSSIGTAAQPSPIRNSPSRSLSFSHLSRLRGRVHTLWEWVLRKWPSDCIIIYQSEQLAFVIYVFSVLKGFEEQVTMEYLQTTTPSIPTCFIFIFPTSITSPTAPAQVAVSEISFRF >ORUFI07G22750.8 pep chromosome:OR_W1943:7:21849868:21854133:1 gene:ORUFI07G22750 transcript:ORUFI07G22750.8 gene_biotype:protein_coding transcript_biotype:protein_coding MICLRAAFPLTSSPLRRLALKPSSSRAAAAAMSSAIAAPVEHIVLIKVRPEAASSGAAAAMVSSLQALSTAVPGLSYIHVGPVLRLRSPAAEALGPTXEAKGAKVSFGENFSPARAKGYQFGMVAVFDSVEGLDAVDGDGKVEAAKAIVRPLLDDVLELEFVTGHILLRVRDSLLNIEDFRGKDIGTEAILPFSKMYYNYRTHCSHPQHVLVRCQYSSSSGHVCDLCAAGFRGLVGLRCKACDFDIHEACADYFQPAISSFAAHPWHGLALGRVADNDRVCDLCAAACPRGGFVYRCVPCGFDVHPLCTMFPAKVRSPLHPEHELAMVPAAAAAAATLGRQCRTCSGCGEVCGGWFYRCDACGVCLHAECLNGARAKQSAGVGSQGTGVGGAGSSQSGGGGGQSTGVKRSRSSLVGKLLLKAAVRVAVDAATNGLASAVLGPTHILHSCYATKPDLAAYAAHPAHVLPNALDTTAVDWVNTAPVPSPVTPGSAVRLSLAKLKKGVEAHQLAEKLAAATAAAGEAKGAKVSFGENFSPARAKGYQFGM >ORUFI07G22760.1 pep chromosome:OR_W1943:7:21861040:21861643:-1 gene:ORUFI07G22760 transcript:ORUFI07G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADGAGGRQLMGASTAATACLRDPQQLWAKWIHKWFVSLISV >ORUFI07G22770.1 pep chromosome:OR_W1943:7:21863407:21864639:-1 gene:ORUFI07G22770 transcript:ORUFI07G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIIHSSHPEHQLTRTKLKNPFNCKVCTKPGDTTCYRCKSCKFSIHVNCAGVSVQRDPKLQEGAPVEMPGCGQLGDNGKGSKCGKEVGVLGPLKKNSSRIAKKRLAVQDPPTKNRGVPDGLTKGGSQIKGSTIANVGMTRDSHIKGSVAANVEMNENSRVKGSVAANIKMTKVSQSKGSTTVKMTERSQVKRSTTTNVGMTRGSRTTRPIVTTNVGKNVLSQLKGTTVILDAGMLGVKMLGGVGNSHILETGATLNKESTTEERSTKIGESYIYETKVENTNEEMVDDDEGEEEPEEGGEEEEEEEEEEEEEEEEEEPEEGGEEEEEPEEGGEEEEEEEEEEEEEEPEEGAEEEEDDEEEDEEEEEEEEDIEEDENEEEEEEVEDTMPVVSFFAKMSGIMMNVVVRED >ORUFI07G22780.1 pep chromosome:OR_W1943:7:21877214:21877771:1 gene:ORUFI07G22780 transcript:ORUFI07G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSCFYHPQHLVREHRYGAKSAGVACTACERRITGDGYRCRKCDFNIHHACLALPVSASVDEHREHALTLSSLAASGTCNTCKVTSQAGCYLYRCAPCGFNVHPRCMPPPSQQQQQEEAGTAWEQTVQVGKGILQFGFFVLRATDDMTTGGLASPVIDVMEGLFGLYDSTRTVRRRNGQSAA >ORUFI07G22790.1 pep chromosome:OR_W1943:7:21887270:21894169:1 gene:ORUFI07G22790 transcript:ORUFI07G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRSAPAGKSGGGGGSTPAKRGRPFGSTTGSGAAAAAAAAAIGDAAAPAALVGPSLQVLTALSDQNNKRIVLALQSGLKSEILWALNALTVLSFKEKDDLRRDTTPLAKVPGLLDALLQVIDDWRDIAMPKDHTKPPRVRTLGVNTTLSGFGHENVEKVYSDTTTPSDDQTKTADSTVTKKRSAGFLFDEEGLFNVDDEGRTEKQQCAVAASNIIRNFSFMPENETVMVQHRHCLETVFQCLEDQNTEDDELITNMLETLVNLAPVLDLRIFSSSKPSFIKITEKRAVQAIMGMLASSIRVWHCAAAELIGRLIINPDNEPFLLPAIPQIYKRLVDLLSVPAVDAQAAAISALYNVAEVNMDFRLKLASERWAVDRLLKVVKTPHPVPEVCRKASMIVESLVSEPQNRMHLLVHENTFAEILTSEGKYSDTFARILYELTARPSNKVTAGQAIWGNIN >ORUFI07G22790.2 pep chromosome:OR_W1943:7:21889940:21894169:1 gene:ORUFI07G22790 transcript:ORUFI07G22790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRSAPAGKSGGGGGSTPAKRGRPFGSTTGSGAAAAAAAAAIGDAAAPAALVGPSLQVLTALSDQNNKRIVLALQSGLKSEILWALNALTVLSFKEKDDLRRDTTPLAKVPGLLDALLQVIDDWRDIAMPKDHTKPPRVRTLGVNTTLSGFGHENVEKVYSDTTTPSDDQTKTADSTVTKKRSAGFLFDEEGLFNVDDEGRTEKQQCAVAASNIIRNFSFMPENETVMVQHRHCLETVFQCLEDQNTEDDELITNMLETLVNLAPVLDLRIFSSSKPSFIKITEKRAVQAIMGMLASSIRVWHCAAAELIGRLIINPDNEPFLLPAIPQIYKRLVDLLSVPAVDAQAAAISALYNVAEVNMDFRLKLASERWAVDRLLKVVKTPHPVPEVCRKASMIVESLVSEPQNRMHLLVHENTFAEILTSEGKYSDTFARILYELTARPSNKVTAGQAIWGNIN >ORUFI07G22800.1 pep chromosome:OR_W1943:7:21895561:21896064:1 gene:ORUFI07G22800 transcript:ORUFI07G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVTCKLHPGHSLKRHHYGGEEGHACVCALCERIIAGAGYRCGECGGFDAHEACLSLPMRVAFVGHPAHELTLSLLTASRWCDACRVASHAGRCVYRCVACDFDVHARCTSLLDGEQQHGRKRGVARRVGMAALRMGLFGLRVADAVTGGFGSPVIEVIETALNL >ORUFI07G22810.1 pep chromosome:OR_W1943:7:21900833:21901411:1 gene:ORUFI07G22810 transcript:ORUFI07G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGNQLTESRTHFAHPQHPLLKTQYGGGERQPSSRHVCRICGTRVVAGAGYRCDHCDFDIHEACADFFPEKMITPPPNFFGHPWSHNLALRQVTAADGSWPCTLCRGPFQHGHLAYRCGARRCGFAAHPLCTMLPGEIRSPLHRKHALTHTELMLIPSGPCTPAEMARVCSVCRRDCSTVRTRHYRCAA >ORUFI07G22820.1 pep chromosome:OR_W1943:7:21901416:21901670:1 gene:ORUFI07G22820 transcript:ORUFI07G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLHIGGCVSGVPPPPAPAGEDQGSSGPDGARGSCGGAAAAPAPAVARFLVVVAEQQLAADFPAANGMVAVINALQASLSSND >ORUFI07G22830.1 pep chromosome:OR_W1943:7:21902172:21902573:1 gene:ORUFI07G22830 transcript:ORUFI07G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPKKNTGSARIKLTQARIKPSTSRTQIKNFKNMSRTPSHRPPRQPSPPLPTSSDVNEEESGLQGGRPPGEKWDPAVAARTRKWRRRRRASTGREARRGGERGEKCER >ORUFI07G22840.1 pep chromosome:OR_W1943:7:21906659:21907372:1 gene:ORUFI07G22840 transcript:ORUFI07G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRQRRHFAHPQHLLLRTHYSTTSSHFCDICRTNVAGMVGYRCNTCDIDIHDACADYFEETVSFFAHPWHTLTLSTIPDDDTNRWSCDLCTESCPRGSFVYRCAQCGFDAHPLCTLLPQTIRSPLHPQHDLNMAPSWGTCSACHQGLNMWHYRCGFCLYKLHVVCPSGAANAGQGDTSRSHNAGAGAAAATPGSSRATRIAKFLLRTSLNIAINAATGALATPVLDVLATALDDQE >ORUFI07G22850.1 pep chromosome:OR_W1943:7:21908461:21908683:-1 gene:ORUFI07G22850 transcript:ORUFI07G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGQPLQLFGMEFEDGTILATLTGVTVVALLFTNSRPPPPFAAVAQNPTALPGAFSNL >ORUFI07G22860.1 pep chromosome:OR_W1943:7:21908708:21908923:-1 gene:ORUFI07G22860 transcript:ORUFI07G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQELIAARRPWGEAFRAPAFSKSPSVGEAIAKARWNTAYFRANYALVVAASSLLWHPGTLFALHALCTA >ORUFI07G22870.1 pep chromosome:OR_W1943:7:21909961:21910779:-1 gene:ORUFI07G22870 transcript:ORUFI07G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGNHLTESRTHFAHPQHPLIKTQYGGGGERQPIIVTGAGYRCDHCDFDIHEAKACANFFPEKMITPPPNFFGHPWSHINLTLRQITADHGERPCAGVPSSTATSPTAARRSVAGEFAAHPVAHDAAGRDPQPAPPGARTHPLRAHLVVVPERPVHTRRDGPGLLRLPPRLLHMRTRHYRCGGGCMFVLHIGGCVSGVPPTTTPEQSSSGREDDVGAAAAPAPAIVARFLDRRGRGLAEQQLAADFQLPMAWWTSLTPCKLRWARVINY >ORUFI07G22880.1 pep chromosome:OR_W1943:7:21914255:21915118:-1 gene:ORUFI07G22880 transcript:ORUFI07G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPAAAAGAAGLHQQRRAPRVHVDVALHAAVHAAAGVGGLPAGDAPPAGREDGERELVVAMAPAELDSLRQVLPYLVLNL >ORUFI07G22890.1 pep chromosome:OR_W1943:7:21931244:21931453:-1 gene:ORUFI07G22890 transcript:ORUFI07G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPAISSEGGWPGENQGCKGSMVVAAARPRAAWSCGAPYSRRRPNRAAMFRRWIGWGKRGEKEYSA >ORUFI07G22900.1 pep chromosome:OR_W1943:7:21940018:21940576:-1 gene:ORUFI07G22900 transcript:ORUFI07G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASARPRVAWSGGAPYSRRRPNRAATPGGDAGDVPAMDWMGKERGKGVLGMENPDRGGFEEVREVKIALRDEGDTEAASWMCRGVTRSSVRPRESSCGRVACEGRHERDARRHRVGATQLEHGCIGLAWPGSA >ORUFI07G22910.1 pep chromosome:OR_W1943:7:22000431:22002866:-1 gene:ORUFI07G22910 transcript:ORUFI07G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIITHSSHPEHQLRKMKLKYPFTCNLCNQPGAISCYRCTPCKFSIHESCPGVTAGGAPSGPAQQAGVGTQGTTVAAGAMGGASSGVGVQKTKAESTRAKLFGAGAFGGAGIMGTVATIVVTGAGKSLVGKAMDSVLGRKKETTEEGSTEAGGSALDETPDEMNGGEDDYEGNGYDEQGDEDEGIDEDEPEEGYGEEQQQDEEEEEEQQQEEGYEGEQQDEEGNEEEQQQEEGYEGEEQQQEEGYEGEEQDVPITSTIEDTETTDGGFFSKAVSRASREVEVEPWRYRVVVRHRGPWHRAAAISA >ORUFI07G22920.1 pep chromosome:OR_W1943:7:22008258:22008775:1 gene:ORUFI07G22920 transcript:ORUFI07G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRRSAMTRLAALEVWYTSQKTNALRSLQGREFGRHRPPTVVPTVAAGIINYLPFLRVVLKNLSLNLYVASACDSDCPPALRPRPRPTPSTGSSLFPRDALKLRVSTNVLGLVKAKIGAVAPYEPCCSLLDGLVDLDAVVCPPAQLIR >ORUFI07G22930.1 pep chromosome:OR_W1943:7:22011483:22014401:1 gene:ORUFI07G22930 transcript:ORUFI07G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKLTVKSRDLKRTYSDQVSPASERPHLCSSISRIASACGPSKAQPPRTLRQPQPGGPLAAVPPPPPIPADATLSLAPLFPPVRSRLLPLRGGLPSRLPPQMASRGRGRGRGRRGGGYGFDHPAKHTPHEDFPDITLPEMTCARATMEEKALIQSTLKFEDFWKTSCYHLEEDVPKKKNDDKEIERYSDRKRKTHSKREALASYLILTPANFPVELVLSEDSQAVRSCVGIEAQDGDKKTEKDGDDEDEHEEEEVEEEENSDDDYNQNIEFDDDDDDWNQEEEAHEDYYD >ORUFI07G22940.1 pep chromosome:OR_W1943:7:22015181:22024759:1 gene:ORUFI07G22940 transcript:ORUFI07G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRALASLLARRLSARGAQAPRSAAAAGTRPAKPRALRTLIENSFTRAQRLTLPSISAQRDLGVGHGALALTSSLAGLLLGFLYFKQDRDDSAWEYTRKEEETVKWRDVIEPSVRAKFTRKDGTFAYPEYYGYLRVQMNGGKPNYDQKCSDKEEAIVDDDAADTDEDDNNAVDEPAMKAKFEDWMKEHGRIYITKKEKAHRYENFKKAMKGINELNIKRGMRSPLAAPTELADYTDEEVERLGITMADDSDWDEYLDHIHTAIARGYVFRVDDEDVCEAVKKAMSMFLRALAPLLTRRFSARSAQAQRSAAAAAATAGTSPAPRSPVSPRSQIKIFFTRAQRLTLPSISAQRDLGVGRGAVKGVLALTSSLAGLLLGFLYFKQDRDDSAGEETRKEEEEVTVNWRDVIEPSVMARFTRKDGTFAYLDYIDYLNSQMNHGGKPLYDKKCSDKEEAVVDDAAEEDNVVDEVAMKAKFEDWMSEHGRRYRTEEEKAHRYENFKKVVKALDKFNAERGTRSSLLAPLAPNELADYSQEELDGLGTLADESHWEGYLDHVHTMIARGNDIRHNENACEAVKKFQKEKYKVTPEIKSIASLDAAAPEQSVFDHHPRQERLSIRCRTTSSIIPAEDACFAELIACKRAIETGQGSQSVT >ORUFI07G22940.2 pep chromosome:OR_W1943:7:22015181:22024759:1 gene:ORUFI07G22940 transcript:ORUFI07G22940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRALASLLARRLSARGAQAPRSAAAAGTRPAKPRALRTLIENSFTRAQRLTLPSISAQRDLGVGHGALALTSSLAGLLLGFLYFKQDRDDSAWEYTRKEEETVKWRDVIEPSVRAKFTRKDGTFAYPEYYGYLRVQMNGGKPNYDQKCSDKEEAIVDDDAADTDEDDNNAVDEPAMKAKFEDWMKEHGRIYITKKEKAHRYENFKKAMKGINELNIKRGMRSPLAAPTELADYTDEEVERLGITMADDSDWDEYLDHIHTAIARGYVFRVDDEDVCEAVKKRDLGVGRGAVKGVLALTSSLAGLLLGFLYFKQDRDDSAGEETRKEEEEVTVNWRDVIEPSVMARFTRKDGTFAYLDYIDYLNSQMNHGGKPLYDKKCSDKEEAVVDDAAEEDNVVDEVAMKAKFEDWMSEHGRRYRTEEEKAHRYENFKKVVKALDKFNAERGTRSSLLAPLAPNELADYSQEELDGLGTLADESHWEGYLDHVHTMIARGNDIRHNENACEAVKKFQKEKYKVTPEIKSIASLDAAAPEQSVFDHHPRQERLSIRCRTTSSIIPAEDACFAELIACKRAIETGQGSQSVT >ORUFI07G22940.3 pep chromosome:OR_W1943:7:22015181:22024759:1 gene:ORUFI07G22940 transcript:ORUFI07G22940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRALASLLARRLSARGAQAPRSAAAAGTRPAKPRALRTLIENSFTRAQRLTLPSISAQRDLGVGHGALALTSSLAGLLLGFLYFKQDRDDSAWEYTRKEEETVKWRDVIEPSVRAKFTRKDGTFAYPEYYGYLRVQMNGGKPNYDQKCSDKEEAIVDDDAADTDEDDNNAAHRYENFKKVVKALDKFNAERGTRSSLLAPLAPNELADYSQEELDGLGTLADESHWEGYLDHVHTMIARGNDIRHNENACEAVKKFQKEKYKVTPEIKSIASLDAAAPEQSVFDHHPRQERLSIRCRTTSSIIPAEDACFAELIACKRAIETGQGSQSVT >ORUFI07G22940.4 pep chromosome:OR_W1943:7:22015181:22024759:1 gene:ORUFI07G22940 transcript:ORUFI07G22940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRALASLLARRLSARGAQAPRSAAAAGTRPAKPRALRTLIENSFTRAQRLTLPSISAQRDLGVGHGALALTSSLAGLLLGFLYFKQDRDDSAGEETRKEEEEVTVNWRDVIEPSVMARFTRKDGTFAYLDYIDYLNSQMNHGGKPLYDKKCSDKEEAVVDDAAEEDNVVDEVAMKAKFEDWMSEHGRRYRTEEEKAHRYENFKKVVKALDKFNAERGTRSSLLAPLAPNELADYSQEELDGLGTLADESHWEGYLDHVHTMIARGNDIRHNENACEAVKKFQKEKYKVTPEIKSIASLDAAAPEQSVFDHHPRQERLSIRCRTTSSIIPAEDACFAELIACKRAIETGQGSQSVT >ORUFI07G22950.1 pep chromosome:OR_W1943:7:22024761:22026699:-1 gene:ORUFI07G22950 transcript:ORUFI07G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGIAPLLDAYFRRRFAAAGLVQASVPLDGGATTVQCWRFPPGASEELPVLVLLHGFGPPATWQWRRQVGPLSRRFRLVVPDLLFFGGSGTAAADARSEAHQAEAVAKLVAAVVGAAAARVSVAGTSYGGFVAYHVARLLGPAAVARVVIASSDLLKADADDRALLRRGGAERVEDVMLPRTPERMRRLLGLAYHRPRRFSFTPAFVLRDLAQYLYTDKIEEKKELIKGITLGDKEKFQLTPLPQEVLVLWGEHDQIFPIEKAFEVARQLGANARLEIIKNTGHMPQEEDPKRFNEALLNFLLPAPNSSL >ORUFI07G22960.1 pep chromosome:OR_W1943:7:22029614:22033160:-1 gene:ORUFI07G22960 transcript:ORUFI07G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGADQAPPPPPPPPVAAASAAADEPRDLRVVREILHSLGLREGDYEEAAVHKLLLFAHRYAGDVLGEAKAYAGHAGRESLQADDVRLAIQARGMSSAAPPSREEMLDIAHKCNEIPIPKPCVPSGSISLPHYEDMLLNKKHIFVPRVEPTPHQIEETEDDYNDDGSNANVASPDSNYDQDLFGSISLPHYQDMLLNQNHLSVHRVEPAHDQLEKIKDDGSNDNADSSHSNYVQDSSGSVSLQHHQDMSLNQNHLFVHQVELTLDQIEEIKDDGSNDNVDSANFNCVQDPSRSVSFPHYQVMPLNQNHLSFHQVEPMLDQVEEIKDDGSNDNVASPDSNCIQDPHYQDMLLNQDHLSVRGVEPTLDQVEEIEDDCSSDNVASPDSNYDKEKNDSNKQKPSKKVSQLNTLVAAGKDKVDCSTELS >ORUFI07G22970.1 pep chromosome:OR_W1943:7:22036312:22039461:-1 gene:ORUFI07G22970 transcript:ORUFI07G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATAISCAVVKCGGGGGPRQPRVSAPPRQQGHAAAAASLEQLLLLPRWSPPSSPLSFARPAAERVAARRARAAAVAMEVVEDATPPAAGAVLLAGAQSRHAIFRDELVRRAFYAAEAAHRGQMRASGDPYLQHCVETAALLAELGAGPAVVAAGLLHDTVDDAGLGYGSLSVQFGAGVADLVKGVSNLSHLSKLARRNDTASRVDEADKLRTVFLAMEDARAVLIKLADRLHNMRTLDSLPKVKQQCFAKETLEIFAPLANQLGILNWKEQLENLCFKYLYPELYEELSSNLLEFYNQDMIAAAIRRLEQALQVRGLCYHTILGRNKSMYSIYSKMARKKLDMDEIYDIHGVRVILDNKADCFTTLEIVHHLWPRIPGKFKDYINSPKPNGYQSLHTVVLSEETLPLEIQIRTRDMHLQAEFGIAAHWRYKEAVRNCCSSVPEMVEWVRWVVTWQCETLHIDHPSSLTHGAPPRAICSCSSQSDDCPFSYSKRCDHSGPILVILLENEKMSVQEFPQNSTVLDLLKRTSSYDMQLRLRLNCHVVHNLNQELKMGDVVELIPSAQCNPGAGGYAREFHQMYDHRLTVSQS >ORUFI07G22980.1 pep chromosome:OR_W1943:7:22046832:22047272:-1 gene:ORUFI07G22980 transcript:ORUFI07G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLRKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFYSPAVNVERLWSMVPAEQAAEAAGAGKAPLLDVTQFGYFKVLGKGLLPEKPIVVKAKLISKVAEKKIKAAGGAVVLTA >ORUFI07G22990.1 pep chromosome:OR_W1943:7:22049649:22058404:1 gene:ORUFI07G22990 transcript:ORUFI07G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEQAILLASDSPAAAAASPAVRAEALAYCARARDETPPSSLLHLCLYGLASSPHAHVHFWCLQTIHDALLLRRRLALPDDLALLRSSLLSLAVSSNAASPPFLRNKLAQLLALLVRFEYPHVYPSYFLDLIPPSPPLPGPTDMFARVLVSLDDDLLSQDYPRNAEEASDAGRVKDAMRAQCVPQIARHWHEAAVSLRAADPAVAAVALDAARRCISWIDVSLVANDVFVPLLFDIALSPGSVAPLAAAAVGCLSAVAAKRMDARAKVALLRSLMSAQKGFGSPDSGLKMAHLVTAYAVEALECYRKLGSSDADGAAALEMLEEVLPAVFAAAESGDDDEVDSGSVLEFLSGYVSTMKAPTEKQLGHLGQILEVVRMQMSYDPVYRGHLDVLDKIGKEEEDLMAEQRKDLIALFRSICRVAPGATQLFIRGLLVTALSSAEVSVEDVEVALTLFYRLGEIVGEEEIRTGAGLIRELVPMLLSARFSCHTHRLVALVYLDTISRYIKFMQENDQYVPHLLTVFLDERGIHHQNAHVSCHAGYLLMRAIRLLKAKLVPYLDTILQSLQDALVQFTATDWANKDIKFSSSEDGSQIFEAVGLLIGIEEVSPDKQVQCLTALLNPLCQQIESLVMDAKAQGLEESSPRAIGLQQIIVALTMISKGFNERLVMGSRPTLGVMFKKTLDVVLQVLISFPNVKPVRSKIISFLHRMVEILGISVLPCIPIALRQLLVDNEAKDMSEFLYLINQIICKFKSSANALLEDVFPAIASHLSVILSHDAFSNGFASNTEEMRELQELEKRFYAFLLHIATHDLSTVLLTPSCRHYLENIMQLLLITSCSHKEISHRKTCVQTFVNLIKDWCSSSEIEDKLPGFRVFMIEKFATGCCLQSVLDKSFNFRDGISIALFGEIMMAQKVMYERFGENFVVNFVTKLREAHCPPDLAEQYYQKLQGNDIKAFKSFYESLVMKIRQQQNGSLVFR >ORUFI07G23000.1 pep chromosome:OR_W1943:7:22064273:22066714:-1 gene:ORUFI07G23000 transcript:ORUFI07G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRGVCKSPNREKRCQYSRRFGGIPTIAQQSELCFNGLFAKDYLLPRNIILETIKPSAYVLCKWMLISVSQNDSEKQPGYVPRDQLIETLIFR >ORUFI07G23010.1 pep chromosome:OR_W1943:7:22068143:22074798:1 gene:ORUFI07G23010 transcript:ORUFI07G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSDCKVVAAAARKKEKEAAAWPWSLWGFLLTGCLGGGGGGGKKKSGGKKVRPRGGGGGLRRLSFTDLTGAADQDLSVSLVGSNLHVFTVAELRDATRGFVSGNFLGEGGFGPVYKGLVGDGVKPGLRPQAIAVKLWDPEGAQGHKEWLAEVIFLGQLRHPNLVKLVGYCCEDENRLLVYEYMEHGSLENHLFKQIPAVLPWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKASNILLDSDYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLEILTGRRAVDKTRPNREQSLVEYARPCLRDPLRLIRIMDPALEGRYSPAAAREAAAVAYRCLSGSPKNRPDMSAVVDALEPLLVATDDVPLGPVVLFVAPDQEADAAAAADDDEDDKARRRQRRTRKDEQHRRRSRLRTSPKGSPRKPAVAAACRNEEFWVWHVPADHKA >ORUFI07G23010.2 pep chromosome:OR_W1943:7:22068143:22073202:1 gene:ORUFI07G23010 transcript:ORUFI07G23010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSDCKVVAAAARKKEKEAAAWPWSLWGFLLTGCLGGGGGGGKKKSGGKKVRPRGGGGGLRRLSFTDLTGAADQDLSVSLVGSNLHVFTVAELRDATRGFVSGNFLGEGGFGPVYKGLVGDGVKPGLRPQAIAVKLWDPEGAQGHKEWLAEVIFLGQLRHPNLVKLVGYCCEDENRLLVYEYMEHGSLENHLFKQIPAVLPWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKASNILLDSDYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLEILTGRRAVDKTRPNREQSLVEYARPCLRDPLRLIRIMDPALEGRYSPAAAREAAAVAYRCLSGSPKNRPDMSAVVDALEPLLVATDDVPLGPVVLFVAPDQEADAAAAADDDEDDKARRRQRRTRKDEQHRRRSRLRTSPKGSPRKPAVAAACRNEEFWVWHVPADHKA >ORUFI07G23010.3 pep chromosome:OR_W1943:7:22073595:22074692:1 gene:ORUFI07G23010 transcript:ORUFI07G23010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLRCFHGAKVAAVAAAGDGGVAGRAAVPAKGGGGGVARGVKVVVRGLVGKAGKVFGRSIPAARFGHLAYISSARLVCTCCFHLLKTTREKLSLACNTN >ORUFI07G23020.1 pep chromosome:OR_W1943:7:22076749:22078964:-1 gene:ORUFI07G23020 transcript:ORUFI07G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEVGEETEEVGVEVACECCGLTEECTAPYIAGVRARYEGRWICGLCGDAVGEELGRASPPISPAEALDRXPPPPQRMYVRAQLALHAPLEYYTLKRNMTSPCPYCFFTWSHGDQMDISYSTDVMYERKISLNFLRKRKRKGLNC >ORUFI07G23030.1 pep chromosome:OR_W1943:7:22080749:22090707:1 gene:ORUFI07G23030 transcript:ORUFI07G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMQALMKRMQLSEAEKKGIRICEAESGSMGSGDPKAIGKVLAEKVVNAEESMSDLRLLNGPNGTRGALQSIMGKSKTDVAWANDNRCVPTRHVYAMPDTSSLSTGRVESTPIA >ORUFI07G23040.1 pep chromosome:OR_W1943:7:22090759:22092814:1 gene:ORUFI07G23040 transcript:ORUFI07G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLGLLAAVFVSLAVHVALHCPIQPLAPPPARPPAAARFPPNNLLQVRFRCLRVWLKGEKAGEAEIFVDNLPGNPDNIRLGSDGHFWIALLQVRSPWLDLISRWSLTRRVIASFPALVERTKATLKGAVVAQVSLNGEIVRVLGDSEGKVINMVTSVTEFNGDLFLGSLATNFIGKLSLAKVAQDQEDAVSS >ORUFI07G23050.1 pep chromosome:OR_W1943:7:22091463:22103453:-1 gene:ORUFI07G23050 transcript:ORUFI07G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAEAAAVVTGGGSRAAGPADYERFITLFSPEGRLCQLDYAFNAVKLAGITSVGVRGDDSVYVLTHRKEDKLHDPTTITNLFAITDRIGLLATGMPGDGRAIAQEARNAAAEFRFKWGYKMSPCMLAQWIADRAQIRTQHAQIRPYGVVSMIFGIDEEKGTPELFTCDPAGQFFAHKAASAGPKEKEVMNFLEERMKSKPSLSSGTTKGLAKSALKHVLEGDFYAREFELGFIKKGDPTVTLHPVKFKRTRRITKNPHDLAVQRHLSHHCSLERRLGPLDERRERRDDPPRQERLESRDLEKGNPERRVFSTQILHPIRYMQPFKLGT >ORUFI07G23050.2 pep chromosome:OR_W1943:7:22099815:22103453:-1 gene:ORUFI07G23050 transcript:ORUFI07G23050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAEAAAVVTGGGSRAAGPADYERFITLFSPEGRLCQLDYAFNAVKLAGITSVGVRGDDSVYVLTHRKEDKLHDPTTITNLFAITDRIGLLATGMPGDGRAIAQEARNAAAEFRFKWGYKMSPCMLAQWIADRAQIRTQHAQIRPYGVVSMIFGIDEEKGTPELFTCDPAGQFFAHKAASAGPKEKEVMNFLEERMKSKPSLSSGTTKGLAKSALKHVLEGDFYAREFELGFIKKGDPTVTLHPVKFKRTRR >ORUFI07G23050.3 pep chromosome:OR_W1943:7:22091463:22099346:-1 gene:ORUFI07G23050 transcript:ORUFI07G23050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLVKRYSKKSRNQLSKLNLVLASLKYTVPSEASIAASANLNTTNGGSGFAVAVTDLHGAKVTKNPHDLAVQRHLSHHCSLERRLGPLDERRERRDDPPRQAPARDQRRVFSTQILHPIRYMQPFKLGT >ORUFI07G23060.1 pep chromosome:OR_W1943:7:22096910:22101008:1 gene:ORUFI07G23060 transcript:ORUFI07G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGLLDAAGAAALAVAVSLPVHVALHCPVQPVGPPPARPAAAGAGDRVPPNNLLQNLEKLGEGMLRAPEDVYVDNAGGEVFTATRDGWVRRMRANGSWERWGLVGGTGLLGVAPSADGAMLVCDADKVNQNKKHFYDDTLHCFRLRELNETGQKLFTVGVKSAGIVESRGEWTCDASCFDCPRLHDQVIFLQTLHFAPCKSVTATANPEPPFVVFRFADAAIEASDGTVYFSDASTRFSFDNWFLDFFEYRFTGRLLKYDPRTGEASVVLDGLGFANGVALPPDEACVVVCEXLRRARRPRLRQRRRPAARRGLRRRLRVHACRFRCSRVWLKGEKAGEAEIFVDNLPGNPDNIRLGSDGHFWIALPQVRSPWLDLISRWTLTRRVIASFPALVERTKATLKGAVVAQVSLNGEIVRVLGDSEGKVINMVTSVTEFNGDLFLGSLATNFIGKLSLAKVTREQEDAVPS >ORUFI07G23070.1 pep chromosome:OR_W1943:7:22105258:22105720:1 gene:ORUFI07G23070 transcript:ORUFI07G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREPVVTSAMREEATTSLARSSWRRRRLPTAAVTVATAVAASTSAVGWRGNGRGGRGVVRPRGWRGLLCRRRGGRRRVVVVEASVVRQREGVMEAGGGVESRPATSGCDGGRLVALAVATVDVAAVEEVRMATARWLGIRQQRLRWW >ORUFI07G23080.1 pep chromosome:OR_W1943:7:22105870:22106535:1 gene:ORUFI07G23080 transcript:ORUFI07G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAELEWWWSIGASAVDSQMGAILYVFICWSNGGRSRLAAAGSVLAFFRIVCWRYRCVGGGIQGYR >ORUFI07G23090.1 pep chromosome:OR_W1943:7:22108040:22115803:1 gene:ORUFI07G23090 transcript:ORUFI07G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFARAVEDGLKLSKRLVLPGGLPPPRPLAGMDRGVGVGGGGGGDASVAALLLPSAPMAYAVVTDPGAVDTPDVPSYQPYVYGRLDPPALIPLQMKEVDLSVDCALGEAAVTVRARWWLHCITRSRDCDVRIVVPMGDQGSILGAEVTVGRRSYNTQVIEVEDHTTENAAKADSGGLLKPQLFYLTISQIEGGADISATIRWSQKLHYDNGRFSVDIPFRFPYYVNPLPKVFMKREKIQMTVNSGFSKEVLLQGTSHPLKEKGRQGEKLSFRHEATVENWSSKDFNFSYSVYSGDLSGGVLVQPSTLRDYDDRDRFCIFLLPGGGNRKVFRKAVVFVIDTSGSMQGHPLENVKNAMSTALSELTEGDYFNIITFNDELHSFSSCLEKVNEKSIASALDWINLNFVAGGGTDIMHPLNEAMASLSSAHDVLPQIFLMTDGSVDDEHNICQTVKTELISRGSKSPRISTFGLGLYCNHYFLRMLASIGRGHYDAAFETGSIESQVLRWFRKASSTIVANISIDATAHLDEFEIDSEYIPDISAKSPLCISGKYQGKFPDMVTAKGYLADMREISIELKVQHIKDIPLDKVLAAQQIGLLTAKAWLSSDKQLERKVVKLSIQNSIPSEYTSMVLLQTLEKVDAAQKVKQKLKGHKGPDEPRRIPLQCLKLGFGDRAATRENLVTGFGDVKPLETFEILNKAAGCCSRLADCLCCMCCIKACNKMNDQCAIVMTQVCAAFACLGCYECCAELCSGSDS >ORUFI07G23100.1 pep chromosome:OR_W1943:7:22118849:22121379:1 gene:ORUFI07G23100 transcript:ORUFI07G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIINWMQNRLSTAKQDKRRTEAAAVASSARRRGGGGGESCRQEEARDEIKIAGDHLLSIGTLGNESPPRPPAAAAATAAEEVADFTIEEVKKLQEALNKLLRRAKSTKSGSRRGSTAAEHDADERSSSSSSSGGQLLLPLDRFLNCPSSLEVDRRVAAADGEFSPDTQIILSKARDLLVNTNGGGAIKQKSFRFLLKKMFVCRGGFSPSPAPPPTLKDPVESRIEKLFRTMLHKRMNARPSNAAASSSRKYYLEDKPREKMQREHLHDDEDDDENAEDIFKWDKTDSDCNHCSGDVDRDARFNAIIIVCTMISDTVGVRFTI >ORUFI07G23110.1 pep chromosome:OR_W1943:7:22123999:22126340:-1 gene:ORUFI07G23110 transcript:ORUFI07G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYDGPSDPVPEKESPSPLDPIRRLRPATQTLNIYSLAPRCVSPLLHRAVASSRRRCLSSSSPRRRSNPGEMAVSFTNVSSEAGLKKLDEYLLTRSYISGYQASNDDLAVYSAFSTAPSSSYTNVARWFTHIDALLRLSGVTADGQGVKVESTAVPSASTPDVADAKAPAADDDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMTKLEEAVRNVKMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDSLIEDYFYTEPANEYIQSCDIVAFNKICKLFHKYQSPSFYLLALFLLLY >ORUFI07G23110.2 pep chromosome:OR_W1943:7:22123999:22125336:-1 gene:ORUFI07G23110 transcript:ORUFI07G23110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFTNVSSEAGLKKLDEYLLTRSYISGYQASNDDLAVYSAFSTAPSSSYTNVARWFTHIDALLRLSGVTADGQGVKVESTAVPSASTPDVADAKAPAADDDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMTKLEEAVRNVKMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDSLIEDYFYTEPANEYIQSCDIVAFNKICKLFHKYQSPSFYLLALFLLLY >ORUFI07G23120.1 pep chromosome:OR_W1943:7:22129684:22133080:1 gene:ORUFI07G23120 transcript:ORUFI07G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQRQSRLFRSPSTSRDPSTSRISTPRRRRCCRGGGGGSSSLPSPAMSVMPSIRRLLLAGAAVAVVVVSCAVVCAEASVHEYAGERFAGVGNGFVLHGGSEGVYASATAESFVRFEKVAFRRTPEAASVAEEDGNRTVTVAAVIFEAGDRDAVGAVSDVVGGERALCCTPGMAKRGGCTEGAVVYRAPASSNATGRWPKVLAASFLPGSLVAAFPDETVAVARTGMYSLHFVHCDASLAAGQVVAAEGKTIWKNSRGYLPGRMAPLKPFYGAMSLAFAALAALWFARYARFWREVSPLQNFATAAIALGMVEVTTWYLDLAEFDASGVRPAGTTFWAATSGAVRAAACRVLALLVAMGYGVTRPALGCGNARVAALGAAFLAAVEVLDVGDNVGIVSDHSPARRLFFILPVAALNTVFIYWIFTSLSRTISKLKARRMTAKLEMYRKFANSLTIAVALSLGWITFEVHFKTTDEHNERWRVAWVIPAVWELISFFLLCTICILWTPSQNSMRFAYSREECEDDTEHNDEDDDVEDTRPLIRAGPLSYVDNWACYVTQDAKIILRTDSGVYAKAGEEYKRV >ORUFI07G23130.1 pep chromosome:OR_W1943:7:22136473:22137263:-1 gene:ORUFI07G23130 transcript:ORUFI07G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKLLKRQIEQSLPEWRDKFVSYKELKRIVASISGSPADEAAFVAALAADIDKIDSFFLEQEEEFELQEAIKKAAEAAAEVAGIRREIVDFHGEMVLLLSYSSINYIGVGKILKKHDKRTGGALAAPVAEAVRERRHFFKTETVSRMVRECEAMMAEAAVLPAEAAPEALAAAAEHGIFRNTVAALLTMEDVRRGSSTHGRHSLPPLTLPDSDWLRSFQPPSPIPIQ >ORUFI07G23140.1 pep chromosome:OR_W1943:7:22141437:22147401:1 gene:ORUFI07G23140 transcript:ORUFI07G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAVPGFLRRALITRNHPQASRFTSLACCLNNLDHQEPTQSTISGDYRRQCLLPLITLAVRTSNWDGARKISFRECERLYGLSQSIGLFALLIQSFLPRRVIEVRCLIQSIVNYCGNAGPELFELALMLVNNLGGSITLLQVYAALIRVFIELSMFEDALVTYIEAKKIGVELQLCNFLLKSLVKRNQFMYARSLFDDMKSTGPSPNVYSYSVLMSMYTHGDKPCLEEAFDLLCEMKIRGVKPTAATYGTYLYGLCRAKQVESAWDFLQVLRQRGYPCNSYCFNAVIHGFCNDNQVHKAMEVFDEMKKGGVVPDVHSYSILVDALCKQGALSLGSNLLDEMERNRVSPTLVIYSSLLHGLCKAGKVEEALELFERLKYQGFKHDQITYSIVLHGCCRHMDIEVAYGLWIDMVNHNFVPDVYNYTSLIYAFCRHRYLKEALGLFELMLDNKINPNIITCTILVDGFMKEGLISEAFLFLDEVRQFDIVPNLYTYKVIINGLFKGNESDDLWGFFGDMIKRGYIPDVVLYSIIIDGFVKALDLQEAFRLYHKMLDEGTMPNIFTYTSLINGLCHDDRLPEMTPLLKNMILEGLTPDRIMYTSLIACYCKRSNMKKAMEIFREMKNRGISPDTFVYTCLIGGYTKVRAMDFAELLMEEMETKGLTPTVVTYTDLIIGYLKTGDEKSAYRTYHNMIQRGITPDAKLSCILDLGNDADVDNSPKEKDVS >ORUFI07G23140.2 pep chromosome:OR_W1943:7:22141698:22147401:1 gene:ORUFI07G23140 transcript:ORUFI07G23140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAVPGFLRRALITRNHPQASRFTSLACCLNNLDHQEPTQSTISGDYRRQCLLPLITLAVRTSNWDGARKISFRECERLYGLSQSIGLFALLIQSFLPRRVIEVRCLIQSIVNYCGNAGPELFELALMLVNNLGGSITLLQVYAALIRVFIELSMFEDALVTYIEAKKIGVELQLCNFLLKSLVKRNQFMYARSLFDDMKSTGPSPNVYSYSVLMSMYTHGDKPCLEEAFDLLCEMKIRGVKPTAATYGTYLYGLCRAKQVESAWDFLQVLRQRGYPCNSYCFNAVIHGFCNDNQVHKAMEVFDEMKKGGVVPDVHSYSILVDALCKQGALSLGSNLLDEMERNRVSPTLVIYSSLLHGLCKAGKVEEALELFERLKYQGFKHDQITYSIVLHGCCRHMDIEVAYGLWIDMVNHNFVPDVYNYTSLIYAFCRHRYLKEALGLFELMLDNKINPNIITCTILVDGFMKEGLISEAFLFLDEVRQFDIVPNLYTYKVIINGLFKGNESDDLWGFFGDMIKRGYIPDVVLYSIIIDGFVKALDLQEAFRLYHKMLDEGTMPNIFTYTSLINGLCHDDRLPEMTPLLKNMILEGLTPDRIMYTSLIACYCKRSNMKKAMEIFREMKNRGISPDTFVYTCLIGGYTKVRAMDFAELLMEEMETKGLTPTVVTYTDLIIGYLKTGDEKSAYRTYHNMIQRGITPDAKLSCILDLGNDADVDNSPKEKDVS >ORUFI07G23140.3 pep chromosome:OR_W1943:7:22141698:22147401:1 gene:ORUFI07G23140 transcript:ORUFI07G23140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAVPGFLRRALITRNHPQASRFTSLACCLNNLDHQEPTQSTISGDYRRQCLLPLITLAVRTSNWDGARKISFRECERLYGLSQSIGLFALLIQSFLPRRVIEVRCLIQSIVNYCGNAGPELFELALMLVNNLGGSITLLQVYAALIRVFIELSMFEDALVTYIEAKKIGVELQLCNFLLKSLVKRNQFMYARSLFDDMKSTGPSPNVYSYSVLMSMYTHGDKPCLEEAFDLLCEMKIRGVKPTAATYGTYLYGLCRAKQVESAWDFLQVLRQRGYPCNSYCFNAVIHGFCNDNQVHKAMEVFDEMKKGGVVPDVHSYSILVDALCKQGALSLGSNLLDEMERNRVSPTLVIYSSLLHGLCKAGKVEEALELFERLKYQGFKHDQITYSIVLHGCCRHMDIEVAYGLWIDMVNHNFVPDVYNYTSLIYAFCRHRYLKEALGLFELMLDNKINPNIITCTILVDGFMKEGLISEAFLFLDEVRQFDIVPNLYTYKVIINGLFKGNESDDLWGFFGDMIKRGYIPDVVLYSIIIDGFVKALDLQEAFRLYHKMLDEGTMPNIFTYTSLINGLCHDDRLPEMTPLLKNMILEGLTPDRIMYTSLIACYCKRSNMKKAMEIFREMKNRGISPDTFVYTCLIGGYTKVRAMDFAELLMEEMETKGLTPTVVTYTDLIIGYLKTGDEKSAYRTYHNMIQRGITPDAKLSCILDLGNDADVDNSPKEKDVS >ORUFI07G23150.1 pep chromosome:OR_W1943:7:22147602:22152405:1 gene:ORUFI07G23150 transcript:ORUFI07G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRPRIRALPSGRRRTTAPPLPGKKMPIVLLFLSAIQHREDNKTNGAMPPSCLNRETFASGRQGRRWPICVAAPF >ORUFI07G23160.1 pep chromosome:OR_W1943:7:22156215:22159016:1 gene:ORUFI07G23160 transcript:ORUFI07G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARPVGVGGERATSFAMACSLLSRYVRQNGAAAAELGLGIRGEGEAPRAAPATMSLLPGEAERKKETMELFPQSAGFGQQDAITADSAADAREQEPEKRQLTIFYGGKVLVFNDFPADKAKGLMQLASKGSPVAPQNAAAPAPAAVTDNTKAPMAVPAPVSSLPTAQADAQKPARANASDMPIARKASLHRFLEKRKDRLNAKTPYQASPSDATPVKKEPESQPWLGLGPNAVVKPIERGQ >ORUFI07G23170.1 pep chromosome:OR_W1943:7:22166047:22171018:1 gene:ORUFI07G23170 transcript:ORUFI07G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQQQQQQKQQAAALMPPSMYAMPPPPPLHHHHHPLLAAAPPQQQIEPILTGNLPPGFDTSTCRSVYVGNVHVQVTEALLREVFQSTGLVEGCKLIRKEKSSYGFVDYYDRRSAALSILTLNGKQIFGQLIRVNWAYASGQREDTTDHFNIFVGDLSPEVTDSALFAFFSGYSSCSDARVMWDQKTGRSRGYGFVSFRNQQDAQSAINDLNGQWLGSRQIRCNWATKGASNGEQQTSDSKNVADLTNNLTEDGKEKANEDAPENNPQYRTVYVGNLAHEVTQDVLHRLFHALGAGAIEEVRIQLGKGFGFVRYSNHAEAALAIQMGNGRILGGKPIKCSWGNKPTPPGTTSAPLPPPAAPSVTAADLLEYQRSLALSKMVSSQALMQAQAQQHLKQAMGMGAGVSQAMYDASFPNVGPSQQQLMYY >ORUFI07G23180.1 pep chromosome:OR_W1943:7:22171982:22172732:-1 gene:ORUFI07G23180 transcript:ORUFI07G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVAKPPAAAPASRRRCFVFVERAAAAAVGVNAAIAAVDDHRPVPAAAAAAMDDVVGRVARPARPSARAIMEGTHKQISSGGASGGYCTVPWCSICTGNNPFAIAEFLLCCNLCGVPLAGRPSFIYIGEKAFCKEECRSRYVVEEALREAREEKRRAAAAAAASPEKKKEAAAARKGGEECREGSIFFICADDL >ORUFI07G23190.1 pep chromosome:OR_W1943:7:22177819:22180164:-1 gene:ORUFI07G23190 transcript:ORUFI07G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSALKFCGECSNMLYPREDKETHTLLYACNSCEHQEPATDTCVYKRVLRKPAGEPKDILKDAATDPTLPRTRSIKCYNCGHPEAAFFQAPTKGEKGLTLYFICCNPSCGHRWRD >ORUFI07G23200.1 pep chromosome:OR_W1943:7:22180415:22185720:1 gene:ORUFI07G23200 transcript:ORUFI07G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVWLGRVGGGDAMMHMLVAPDGGGGGEMPPPYGGAAAAPPPPMEQELELHRDNADDGLDGHVRCLRCGISGNATPHMRRGPDGPRTLCNACGIAYRKEKEP >ORUFI07G23210.1 pep chromosome:OR_W1943:7:22189599:22198003:1 gene:ORUFI07G23210 transcript:ORUFI07G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAPLDFALFQLSPRRSRCELVVSGNGRTERIASGSVKPFVAHLRAAEEQAAAQPPPPAIRLQLDRRAAWFSKGTLERFVRFVSTPEVLEMANTFDAEMSQLEGARKIYAQGVAGGADGAESAAAADITKKELLRAIDVRLSALKQDLVTACARASSAGFNPDSVSELVLFADHFGANRLSEACNKFMSLCQRRPDICPHYSVSSTSSQWKSFDDGNVRGSSSSDMSLDETQADQGASSNKSIIGGSVSHIHRSNSQNSVDVPPEPSAVQHPKPTIQQSVEKQEKETDALPAPAPAGGGSRRLSVQDRINMFESKQKEQTSSSGNSAACTSKVVPTKGEHRRVPSGASMDKLVRRWSNVSDMSIDLSNNDSSSLNEKREIGTPVGTPTSANLEVNSKARADGDANGLKHAVTSCQKDTSDALPLDSTTADAFSSSTLNTTSPSPLSAIASSSPQKQTAPRVEDDMVITSSIESESSFRKEVGASQGKGDVRMSGQAVSSVSTRARVKTSPRPTWPENNVTLSSPPLSQEHVQMTDEETIPIVHEVAVKKEQIVQKDNRGSRLRSKEIHAEADVVGRKDRPSRTTGKISDTRTRATSNPRANFRGSSVRDEAASTEAEVHDVNLQRKSLARKVEDSGRKVAAGSEILPQSDCSIHQGTNLSRQSSSAEQELSLHGGKVKLISDGNAVPLEQTKRPTKGSQDRHDELQKKANELEKLFAAQKLTSSRRGKSTDVQVENTPRVNEVKPPLVLPERIYTKQIVKESITNEFDANELLKMVDTEGYNNNVPQSIISLEESRGKFYDQYMQKRDAKLKEDWKLQGEQKEATIKAMRDSLERSNAEMRAKFSRSSSVPDSTYISRCAHKFPPLQSVIKDKDQGIDSFLVEEEMNSDYLSGDGSSRSADSRKHFSNKVACNQKKSIAPVHRHSSRTVSSGYANRRNLPDNPLAQSVPNFADLRKENTKPSAGLSRAAPRTQPKSFIRSKSIIEESKNISKDQSRKSQSMRKNLSPGELRDATSMNDVIYNWAPSKISNDQVEGVFAYITHTAGSTKSFLRKGNEAHPAVGIAGFAPPMFANTYQNGDDDDFLDQEEDSPDETKDEEYESIEENLRESDFPADSDSENPGISHEFGNSDDPGSENGDVSFPSDAPTLGGSKFNAFAGNMHDTPGEVPASWSTRPHLFAYANDNSDGDAFADSPNGSPSPWNSHTLDQITDADVSRMRKKWGSAQMPFVGPNASQQPRKDVTKGFKKLLKFGRKTRGADGLNDWVSASTASECDDDMEDGRDLAMGSSDDFRKSRMGYPSAYDGFVDTDVFAEQDQSLRSSIPNPPANFRLREDQLTGSSLKAPRSFFSLSTFRSKGGDARLR >ORUFI07G23220.1 pep chromosome:OR_W1943:7:22200510:22205287:-1 gene:ORUFI07G23220 transcript:ORUFI07G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAVPPLSAWLVAARSSTQAECGGADEHHHHHHQQQHQCCVGGGSSTPERRTVEKKPDVKQRRVVITGMGVVTPLGHDPDVFYNNLLDGVSGISEIERFDCSTFPTRIAGEIKSFSTDGWVAPKLARRMDKFMLYLITAGKKALEHGGLTEELRNELDKTRCGVLIGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGEADVMLCGGSDAPLIPIGLGGFVACRALSQRNSDPTKASRPWDVDRDGFVMGEGAGVLVLEELEHAKQRGAKIYAEFLGGSFTCDAYHMTEPHPEEKALADSGVAREEINYVNAHATSTQSGDLKEYEAIVRCFGQNPQLRVNSTKSMTGHLIGAAGGIEAVAAIQAIRTGWVHPNLNLENPEKIVDVGVLVGSQKERCKVNVALSNSFGFGGHNSSILFAPFK >ORUFI07G23220.2 pep chromosome:OR_W1943:7:22200510:22205287:-1 gene:ORUFI07G23220 transcript:ORUFI07G23220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAVPPLSAWLVAARSSTQAECGGADEHHHHHHQQQHQCCVGGGSSTTMRQGSKGNSLPFAGMAMAVALQPERRTVEKKPDVKQRRVVITGMGVVTPLGHDPDVFYNNLLDGVSGISEIERFDCSTFPTRIAGEIKSFSTDGWVAPKLARRMDKFMLYLITAGKKALEHGGLTEELRNELDKTRCGVLIGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGEADVMLCGGSDAPLIPIGLGGFVACRALSQRNSDPTKASRPWDVDRDGFVMGEGAGVLVLEELEHAKQRGAKIYAEFLGGSFTCDAYHMTEPHPEEKALADSGVAREEINYVNAHATSTQSGDLKEYEAIVRCFGQNPQLRVNSTKSMTGHLIGAAGGIEAVAAIQAIRTGWVHPNLNLENPEKIVDVGVLVGSQKERCKVNVALSNSFGFGGHNSSILFAPFK >ORUFI07G23230.1 pep chromosome:OR_W1943:7:22212199:22212882:1 gene:ORUFI07G23230 transcript:ORUFI07G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNLPLNIYEHHEIAKKALPKVIYDYINSGAEDEHTLRTCSINPTKKGIEGYWRRIPSFMGMKRTRPKGEYCIIWKNKFMTSRSCGCQQGRHVNNLTGIQHAFTHNCRSNVEPQISTSRMGEGYS >ORUFI07G23240.1 pep chromosome:OR_W1943:7:22215147:22220084:1 gene:ORUFI07G23240 transcript:ORUFI07G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSWKMEDNLPVNVREYQELAKKALPKMAYDYINGGAEDEHTLRENIAAYTRIILRPRVLVDVSKIDMSTTLLGYTMRSPIIVAPTGGHKLAHPEGEKATARAAASCNAIMVLSFSSSCKIEDVASSCNAIRFYQLYVYKNRNVSATLVRRAESCGFKALLLTVDTPMLGRREADIRNKMVFPRSGNLEGLMTTDDHDTTNGSQLERFARATLDPSLSWKDIEWLKSITSMPIFLKGIVTAEDVEAGVAGVIVSNHGARQLDYAPATIAALEEVVRAVAGAVPVLVDGGIRRGTDVFKALALGARAVMVGRPVFFGLAARGEAGARHVIEMLNGELEVAMALCGCRSVGEITRSHVMTEGDRIRSLL >ORUFI07G23240.2 pep chromosome:OR_W1943:7:22215620:22220084:1 gene:ORUFI07G23240 transcript:ORUFI07G23240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDNLPVNVREYQELAKKALPKMAYDYINGGAEDEHTLRENIAAYTRIILRPRVLVDVSKIDMSTTLLGYTMRSPIIVAPTGGHKLAHPEGEKATARAAASCNAIMVLSFSSSCKIEDVASSCNAIRFYQLYVYKNRNVSATLVRRAESCGFKALLLTVDTPMLGRREADIRNKMVFPRSGNLEGLMTTDDHDTTNGSQLERFARATLDPSLSWKDIEWLKSITSMPIFLKGIVTAEDVEAGVAGVIVSNHGARQLDYAPATIAALEEVVRAVAGAVPVLVDGGIRRGTDVFKALALGARAVMVGRPVFFGLAARGEAGARHVIEMLNGELEVAMALCGCRSVGEITRSHVMTEGDRIRSLL >ORUFI07G23240.3 pep chromosome:OR_W1943:7:22215147:22220084:1 gene:ORUFI07G23240 transcript:ORUFI07G23240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSWKMEDNLPVNVREYQELAKKALPKMAYDYINGGAEDEHTLRENIAAYTRIILRPRVLVDVSKIDMSTTLLGYTMRSPIIVAPTGGHKLAHPEGEKATARAAASCNAIMVLSFSSSCKIEDVASSCNAIRFYQLYVYKNRNVSATLVRRAESCGFKALLLTVDTPMLGRREADIRNKMVFPRSGNLEGLMTTDDHDTDIEWLKSITSMPIFLKGIVTAEDVEAGVAGVIVSNHGARQLDYAPATIAALEEVVRAVAGAVPVLVDGGIRRGTDVFKALALGARAVMVGRPVFFGLAARGEAGARHVIEMLNGELEVAMALCGCRSVGEITRSHVMTEGDRIRSLL >ORUFI07G23250.1 pep chromosome:OR_W1943:7:22220611:22222439:-1 gene:ORUFI07G23250 transcript:ORUFI07G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGAAARALSQREQDIQMMLAADVHLGTKNCDFQMERYVYKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQEEEEAALVAPDYGAVAEYAAPAADTWGGEWGTDAAAQPAAIPAQAGADWTAAPAPAAGGWDTAAAPAPGWEQGSAPVPAAAPTPNWGE >ORUFI07G23260.1 pep chromosome:OR_W1943:7:22224536:22227082:1 gene:ORUFI07G23260 transcript:ORUFI07G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVGTGSPGGLEAKSHGKPRGKGIGISTEPSPEWVAIGSPCGHRVAVCSACIIPLRRRRTSDNGVDAAGAALCTLCGAPCPTAVFVRATAAAGGDLLTPDALAELAAAAAAAPEADDDWADCRSRVVLYHVARARTFFAGVLRRRPAAAADRRRSAGDVLAELTAAAATTSDGRVGRFWYHACTGTFFALKKHYKAMRVECNQEGPCYPIWISWITFFFLMMLFGALIASAGADQTSNKRWIVKRCVEGSGIGLSIATFFLCLFMCLGD >ORUFI07G23270.1 pep chromosome:OR_W1943:7:22231639:22237170:1 gene:ORUFI07G23270 transcript:ORUFI07G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETTGERALTRLHSMRERIGDSLSAHTNELVAVFSRLVNQGKGMLQPHQIIAEYNAAIPEGEREKLKDSALEDVLRGAQEAIVIPPWIALAIRPRPGVWEYLRINVSQLGVEELSVPEYLQFKEQLVDGSTQNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLDALQGALRKAEKHLAGITADTPYSEFHHRFQELGLEKGWGDCAQRVRETIHLLLDLLEAPEPSALEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPRILIVTRLLPDAHGTTCGQRLEKVLGTEHTHILRVPFRTENGTVRKWISRFEVWPYLETYTDDVAHEISGELQATPDLIIGNYSDGNLVACLLAHKLGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTADLIAMNHADFIITSTFQEIAGNKETVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPFTESQKRLTSLHLEIEELLFSDVENTEHKFVLKDKKKPIIFSMARLDHVKNLTGLVELYGRNPRLQELVNLVVVCGDHGKESKDKEEQAEFKKMFNLIEQYNLNGHIRWISAQMNRVRNGELYRYICDMRGAFVQPALYEAFGLTVIEAMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQNDKASALLVEFFEKCQEDPNHWIKISQGGLQRIEEKYTWKLYSERLMTLSGVYGFWKYVTNLDRRETRRYLEMLYALKYRKMATTVPLAIEGEASTK >ORUFI07G23270.2 pep chromosome:OR_W1943:7:22232523:22237170:1 gene:ORUFI07G23270 transcript:ORUFI07G23270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETTGERALTRLHSMRERIGDSLSAHTNELVAVFSRLVNQGKGMLQPHQIIAEYNAAIPEGEREKLKDSALEDVLRGAQEAIVIPPWIALAIRPRPGVWEYLRINVSQLGVEELSVPEYLQFKEQLVDGSTQNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLDALQGALRKAEKHLAGITADTPYSEFHHRFQELGLEKGWGDCAQRVRETIHLLLDLLEAPEPSALEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPRILIVTRLLPDAHGTTCGQRLEKVLGTEHTHILRVPFRTENGTVRKWISRFEVWPYLETYTDDVAHEISGELQATPDLIIGNYSDGNLVACLLAHKLGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTADLIAMNHADFIITSTFQEIAGNKETVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPFTESQKRLTSLHLEIEELLFSDVENTEHKFVLKDKKKPIIFSMARLDHVKNLTGLVELYGRNPRLQELVNLVVVCGDHGKESKDKEEQAEFKKMFNLIEQYNLNGHIRWISAQMNRVRNGELYRYICDMRGAFVQPALYEAFGLTVIEAMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQNDKASALLVEFFEKCQEDPNHWIKISQGGLQRIEEKYTWKLYSERLMTLSGVYGFWKYVTNLDRRETRRYLEMLYALKYRKMATTVPLAIEGEASTK >ORUFI07G23280.1 pep chromosome:OR_W1943:7:22237707:22241804:1 gene:ORUFI07G23280 transcript:ORUFI07G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPNEVTSYSSLSKIDSNNQGGHLSSLVPANIYPAQDYLYEPSLEPDFPSEYDSREDPFVPTRASSNINLKTVLTGLAAIVSGTNKNQDNTLQQQSFSTDVSFLGFDKDGDVNVHPSVCVPSAPPLLETNALQYSAYREVLQADPPEWLPDSSTSVCLQCSLPFTALTRGRHHCRFCGGIFCKDCSKGRCLMPMKFRQRDPQRVCDACYDRLDPLQGILINYNSNAMQPAKHDVMDWTSTRSWLNLPVGLSMEYEIYKATNTLNRYCQVARLNPEKSIPSSILKGAKGLAVITVAKAGAVLTYKVGTGLVVARRSDGSWSAPSAIASVGLGWGVQFGGELTDFIIVLHDRKAVKAFSSRMHLSLGAGLSAAAGPIGRAFEADVRASEKGSGLCYTYSCSKGAFVGVSLEGNVVTTRSETNLRFYGDAYLTTTDILFGKVEKPRAAQPLYSALDDLFSKMVY >ORUFI07G23290.1 pep chromosome:OR_W1943:7:22243097:22244443:-1 gene:ORUFI07G23290 transcript:ORUFI07G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGSILGDLHLPVRRTVNAGDLWGDAGKGRDGGDGLKKRKGSSWDFDVDCDDDDDDDDFEADFEEFEDDYGDDDDVGFGHDDQESDMNGLKLAGFSTTKLGLGGSRKRKTRYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFGTAEEAAMAYDVEARRIRGKKAKVNFPDAAAAAPKRPRRSSAKHSPQQQKARSSSSSPASLNASDAVSKSNNNRVSSAGSSTDATAAAIAIDDGVKLELLSETDPSPPMAAAAWLDAFELNDLDGSRCKDNAFDHQIHKVEAAVADEFAFYDDPSYMQLGYQLDQGNSYENIDALFGGEAVNIGGLWSFDDMPMEFRAY >ORUFI07G23300.1 pep chromosome:OR_W1943:7:22249147:22249665:1 gene:ORUFI07G23300 transcript:ORUFI07G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLLVFLVASSAAGVAAGGGGGELKHIHLYMHETFSGPNATEGGVVASPFNTTFGQVAVFDNELRTGEDRAASPLVGRYQGFIVGTGRSSPGYLTSATVAFTAGELNGSTLSLEGPFFGFAGTAERSIVGGTGKLRLARGYYLLKLIGKTSPETAVSEIDFFVLMYSSTH >ORUFI07G23310.1 pep chromosome:OR_W1943:7:22253430:22254756:-1 gene:ORUFI07G23310 transcript:ORUFI07G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGCPRRREQRHDVGGEKNDATVARIAGRRRDDVGDKGSEARKGMPAARMSLAGLTPRWADGVVRPWRDGHRDGSGKAPRRRGRGRRGEREDGAVRWRRSDVLGSGGDGQRQRRRRRWIGRCELNAGRGRVGAGEQAWSRWGRRGAAAASAALPLEQEAKEWRQGARYPGGEKAKGGGGGKGALLLPFWEERDENEPRLMVLDARGMGRQAREDDAGDDCKKDGDEYGETKEGIQRVNSIPSKMGRNFDEA >ORUFI07G23320.1 pep chromosome:OR_W1943:7:22260025:22261246:-1 gene:ORUFI07G23320 transcript:ORUFI07G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQTSSEPLDPLSHEEFLHMSNFDGMEQERYYTNLINDKSNHFESTCASSHDVQEERRKEVRNDSEICL >ORUFI07G23330.1 pep chromosome:OR_W1943:7:22263276:22263845:1 gene:ORUFI07G23330 transcript:ORUFI07G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSPLPALLLLVLLAASSAAGEDVAAAGGRDKLTRIRVYMHERFAGANATALAVVPSPLGANEAFGRVAVLDDELRDGPDRASSALIGRFQGVVAGTSLPGTAPPASFQSAISLVFTAGEHAGSTLSMVGPVLGFTGAIERPLVGGTGAFRMARGYCVMTAAAAASTAVSVVFETDLFVLLHKP >ORUFI07G23340.1 pep chromosome:OR_W1943:7:22273774:22274420:1 gene:ORUFI07G23340 transcript:ORUFI07G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPSRRRRPGREAAEAATTRGGAAMSPRRVRWRWTTPKAGATAEDPETGGDNPEGGSAADAPDEGSAAEEARRGRGTAAWIADMAKNILFLVLRLNTILTHCERPKETIARKGTTDNRWMLGNADGRNVISGA >ORUFI07G23350.1 pep chromosome:OR_W1943:7:22282135:22283327:1 gene:ORUFI07G23350 transcript:ORUFI07G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVARCGGAKKRKGEGLGEMHDDVLERVLARLPPASYFRLRGVCRRWSDAASSPTFLAACGRVPARDPWFLMLSEGEGQERRLPAVAFDAGEGEWARCGGAPGHVMPVVAASGGRVLYRAPDTGELTVANPLTGASRVLPAPPPGAALHAVAMYGSSPYRVVLITGDLPDLSMTVFDSSKNAWDDARSASRQYSSAVTCGDGGEAVAYFLSNSGAVVACELSRRAFAELPRILPVYFEYSIDVVACGGRAYVVVLSELLGTASLRLWEFAGGAWRQVAAMPPAMSHAFHGKKADVNCVGHGDRVMVCVSSGEANGCFMCDVPTNRWEELPPCAGAGGEPMDFVAAFSFEPRMEVTV >ORUFI07G23360.1 pep chromosome:OR_W1943:7:22286808:22291033:-1 gene:ORUFI07G23360 transcript:ORUFI07G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVADRARRAVTSSAASMRAAATRSAAPSAGAAPSAPSPPPAAAAALAMASAMVRAMSTAAAGAAPVSLDTINPKVLKCEYAVRGEIVTHAQNLQQELQKNPDSLPFDEILYCNIGNPQSLGQQPVTFFREVLSLCDHPALLDKSETHALYSSDAIERAWQILDKIPGRATGAYSHSQGIKGLRDEIAAGIAARDGFHASGDNIFLTDGASPAVHMMMQLLIRSENDGILCPIPQYPLYSASIALHGGSLVPYFLDEETGWGLEVDELKKQLEEAQSKGITVRALVVINPGNPTGQVLAEENQKKIVEFCKNEGLVLLADEVYQENIYVEDKKFHSFKKIARSMGYTDDDLPLVSFQSVSKGYYGECGKRGGYMEVTGFSADVREQIYKVASVNLCSNVSGQILASLIMNPPKAGDESYESFMVEKDGILSSLARRAKALEEAFNSLEGITCNKAEGAMYLFPRIYLPQKAIGAAQAAGTAPDAYYARRLLEATGIVVVPGSGFGQVPGTWHFRCTILPQEDKIPAIISKFKEFHEKFMDEFRD >ORUFI07G23370.1 pep chromosome:OR_W1943:7:22295152:22298147:-1 gene:ORUFI07G23370 transcript:ORUFI07G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPETRQKKLLRIEKKLVDLGGKIVELAASMVLQSSWPNKQQTAKPATSKNWRNGDSPANHLQITSSSDLLCDATGKYIFFVRRKFRYSAFTKSKDSFLIHMRLSCCMEMFQFQSHPDTERMILAIWGLKLTSATDIKYLLRWVWTIIDTNRDEQLVAEM >ORUFI07G23380.1 pep chromosome:OR_W1943:7:22298370:22299176:-1 gene:ORUFI07G23380 transcript:ORUFI07G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTATTVAAATTMMAAVAAVFLAFVLCFYIFVCAKRYRGGAPPAEGGVAARLWFLLGGGGGGGGAAGSGDAAWCYDGGLDEASMAKLPCRVVGKGEEAVDCAVCITELAAGETARVLPRCGHGFHVACVDMWLKSHSTCPLCRCPAVDEPPPAAPPPVVAPPEADPESPNFPTNVLFFGSQDEVSTGGAQSQQQHRSPPTTATPPSPPAPAVDAARVRGGLRRLLGCGGASPPPPPHQHEHADRDIEMGLAAGGETSSPAKSPHPSS >ORUFI07G23390.1 pep chromosome:OR_W1943:7:22302349:22306641:1 gene:ORUFI07G23390 transcript:ORUFI07G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSPRFSNYQSTSLSPVSTVPFHGLARYVFAAKGVGLYKDQIIRVHRIRLLSLSFLVHVVTRHQGPQQKQSARIVIFTQRRLCTATTTSMARSAADSNHPIKEHALADENGS >ORUFI07G23400.1 pep chromosome:OR_W1943:7:22309921:22316721:1 gene:ORUFI07G23400 transcript:ORUFI07G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRMRGGGGAAMAVATGAVMLFLAATLSGVSANTDSDDVNALNVLYTSMNSPSQLTNWVSQNGDPCGQSWLGITCSGSRVTAIKLSGMGINGTLGYNMNLLTSLVELDTSKNNLGGSDIPYNLPPNLERLNLAENNFTGSIPYSISQMIALRILNLGHNHLATTNDMFNQLTNLTTFNLQNNGFNGTIDVLADLPLTDLNVANNQFTGWIPDKLKKIKNLQTNGNSFGSGPSPPPPPYQSPPYKSPPYKSPQSRQPAPPTTTVNNNPSDDGRKHSKLSGGAIAGIVVCLVVVGAIVAFFVIKKKYWSLPRGGDPEQKEPLSPIVSGFKDSLKQMKSIKIISTIGKEELQKTVSMNLKPPTRIDLHKSIDENDVTSKSFTRKISLSSIRTPAYTVADLQVATGSFCADNLIGEGLFGRVYKAKFNDHKVLAVKKINFSAFPGHPSDLFIELVANISRLNHPSLSELVGYCSEHGQCLLAYEFYRNGSLKDLLHLVDDQSQPLSWNSRVKIALGSARALEYLHETCSPSVIHKNFKSSNIFLDNELNPHLSDSGFADLIPNRESQVSDEDSGYRAPEVTMSGQYSVKSDVYSFGVVMLELLTGRKPFDRSRPRSEQSLVGWATPQLHDIDALDQMVDPALQGLYPSKSLSRFADAIALCVQSEPEFRPPMSEVVQLLVRLVQRANMTRMCGADGHSWRRDGESRDQEPW >ORUFI07G23410.1 pep chromosome:OR_W1943:7:22317816:22323884:1 gene:ORUFI07G23410 transcript:ORUFI07G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNSGVNITRHACLYETSPAYVTDQPRFLNSAVRGTTKLEPHELLKKLKEIEKDIGRTDGIRYGPRPIDLDILLYGKSHISTENLTVPHERIHERPFVLAPLVDLLGSSTDDNVEKSWHSLAKCTGGFFESWDKLGGESIIGTEGIKRVLPVGNRLLDWSERTLVMGVLNLTPDSFSDGGKFQEVEAAIAQTKLLISEGADIIDIGAQSTRPLARRLSADEELERLVPVLDAITGIPEMEGKLLSVDTFYAEVAAEAVKRGVHIVNDVSGGQIDPRILEVVAELGVPYVTMHMRGDPSTMQSEQNLLYGDVCKEVASELYKRVRQAELSGIPLWRIVLDPGIGFSKNSKHNLEVIMGLESIRTEIGKMSLGASHVPILLGPSRKRFLGEICNRVNPTERDAATMVVATAGILNGANIVRVHNVKYGVDTAKVSDALSKGRR >ORUFI07G23420.1 pep chromosome:OR_W1943:7:22324265:22327732:1 gene:ORUFI07G23420 transcript:ORUFI07G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETPPPFQESAHCDVCRCTFTTFRRRHHCRNCGRTLCHEHSSYHMALPQYGIYTDVRVCYDCFNKSSSRGGVGNAGSPGSVSSAADSFSGLNLGEDDASSPMKNSAFHSAPAVIECKCGMPLCICEAPKPEPVPVKQSISTTSSSAQSNPRPKKSSTNQQSAESSVKKASATSSSNSSSFLNLGLMSNDTNDKGPSEYDVTGEGLREAIKSGDIKAVKKLLSQAALFNQTEIALILMDNGANIQSKNGQGETPLDCAPAMLQYKMRQRMEELAASQRP >ORUFI07G23430.1 pep chromosome:OR_W1943:7:22329264:22333583:1 gene:ORUFI07G23430 transcript:ORUFI07G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLSLLEESFVSQLHDSKYSFKELFNHSPGACIHELSSKGHVKNVEAEQEHMDVDEVDRAESWIKIEHVRSPSENQDDVKVCFSDDNASSTRLIQECYARATSSGQSSTCHLGKNRHSPSRSAEGSDQNFIDEEIKGSGEPNGRCSKKRLKSTANTMDDQVVPFVKAELQEAREKDADIHDNSMEADAKAHEPQG >ORUFI07G23430.2 pep chromosome:OR_W1943:7:22329264:22332893:1 gene:ORUFI07G23430 transcript:ORUFI07G23430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEGLAVADLRELVHLPYQSDVLVVCTSVGWTNEKHMLYLSLLEESFVSQLHDSKYSFKELFNHSPGACIHELSSKGHVKNVEAEQEHMDVDEVDRAESWIKIEHVRSPSENQDDVKVCFSDDNASSTRLIQECYARATSSGQSSTCHLGKNRHSPSRSAEGSDQNFIDEEIKGSGEPNGRCSKKRLKSTANTMDDQSYRKQEKRMQISMTTQWKRMLKLTSHKDNAHPRSVLQA >ORUFI07G23430.3 pep chromosome:OR_W1943:7:22329264:22333583:1 gene:ORUFI07G23430 transcript:ORUFI07G23430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLSLLEESFVSQLHDSKYSFKELFNHSPGACIHELSSKGHVKNVEAEQEHMDVDEVDRAESWIKIEHVRSPSENQDDVKVCFSDDNASSTRLIQECYARATSSGQSSTCHLGKNRHSPSRSAGR >ORUFI07G23430.4 pep chromosome:OR_W1943:7:22329264:22333583:1 gene:ORUFI07G23430 transcript:ORUFI07G23430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEGLAVADLRELVHLPDVLVVCTSVGWTNEKHMLYLSLLEESFVSQLHDSKYSFKELFNHSPGACIHELSSKGHVKNVEAEQEHMDVDEVDRAESWIKIEHVRSPSENQDDVKVCFSDDNASSTRLIQECYARATSSGQSSTCHLGKNRHSPSRSADFYKRTTHAFP >ORUFI07G23430.5 pep chromosome:OR_W1943:7:22329264:22333583:1 gene:ORUFI07G23430 transcript:ORUFI07G23430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEGLAVADLRELVHLPDVLVVCTSVGWTNEKHMLYLSLLEESFVSQLHDSKYSFKELFNHSPGACIHELSSKGHVKNVEAEQEHMDVDEVDRAESWIKIEHVRSPSENQDDVKVCFSDDNASSTRLIQECYARATSSGQSSTCHLGKNRHSPSRSAEGSDQNFIDEEIKGSGEPNGRCSKKRLKSTANTMDDQVVPFVKAELQEAREKDADIHDNSMEADAKAHEPQG >ORUFI07G23430.6 pep chromosome:OR_W1943:7:22329264:22332893:1 gene:ORUFI07G23430 transcript:ORUFI07G23430.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEGLAVADLRELVHLPDVLVVCTSVGWTNEKHMLYLSLLEESFVSQLHDSKYSFKELFNHSPGACIHELSSKGHVKNVEAEQEHMDVDEVDRAESWIKIEHVRSPSENQDDVKVCFSDDNASSTRLIQECYARATSSGQSSTCHLGKNRHSPSRSAEGSDQNFIDEEIKGSGEPNGRCSKKRLKSTANTMDDQVMKGTFEGLQSYRKQEKRMQISMTTQWKRMLKLTSHKDNAHPRSVLQA >ORUFI07G23430.7 pep chromosome:OR_W1943:7:22329264:22333583:1 gene:ORUFI07G23430 transcript:ORUFI07G23430.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEGLAVADLRELVHLPDVLVVCTSVGWTNEKHMLYLSLLEESFVSQLHDSKYSFKELFNHSPGACIHELSSKGHVKNVEAEQEHMDVDEVDRAESWIKIEHVRSPSENQDDVKVCFSDDNASSTRLIQECYARATSSGQSSTCHLGKNRHSPSRSAGR >ORUFI07G23440.1 pep chromosome:OR_W1943:7:22334365:22336874:1 gene:ORUFI07G23440 transcript:ORUFI07G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALGFEMTEEQINQMIADVDKDGSGSIDYEEFEHMMTAKIGERDSKEELTKAFSIIDQDKNGKISDVDIQRIAKELGENFTYQEIQEMVQEADRNGDGEIDFDEFIRMMRRTGYGY >ORUFI07G23450.1 pep chromosome:OR_W1943:7:22356232:22360881:1 gene:ORUFI07G23450 transcript:ORUFI07G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTCSSEESNSKENSVNSSSSPIESSGPFVYYPTPPATKDDAGLSEAFNHSVKDEADGSSEDALEVNHLSDNVSAGAETMLTDEMNSKEDRIDQKNVAVKPKMVEEQGAAPESPYKGLIDTAAPFESVREAVTKFGGIVDWKAHKAQMMERRKFIQLELEKVQKEIPLYKEELEAAEMVKSQVVNELEDTRRIIEELKHNLEKAQVEEVQAKQDSELALLRAQEIEQGVADEASVIAKTQIEVAKERHEKAIAELNSVKEELKTVHEQYVTLINERDTAIKRSEEVISVGKDIEKRVEELTLELIASKGSLELAHAAHHEAEERRIGAALEKEEDCVAWDRELQQAQEELQQLNNKLLSKSDVKQNLDTNLRRLRSLKSELATYVQNVISEEAEGLAKEHGPDDAQQISGPVKEALASAQKELEEVRANIEKAKNEAKLFKLAATTLRSEMDNEKSSLVELQQREGMASIAICALEAELNRTKQEIEYVKSKEEDAQERMVELPRILQEAAQEAEDAKMVAFSVQEQVRKAREETEKTKTAAATVNTRLSAVLKEIDASKASKKLAFAAVQALQESEEAGDDENSPRGVTLPLSEYYALSKKVHEAEQLAHESVTEALAQVESAKASESNSLERLCEASKRMNEKKEALERALERAERANQGKLTAEQELRKWRADHEQRRKAQEAAKRAVNPLSSSPKRIVEQKDSFYKEFSGNSYEDLVPNRKLQRKKSFFPLMGSLLSRKTRA >ORUFI07G23460.1 pep chromosome:OR_W1943:7:22362034:22366083:1 gene:ORUFI07G23460 transcript:ORUFI07G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHRRDAAASFLLLSSEPPPTPAMAIRGIPSSREMTVDDFKNWLKQFDTDNDGRISRGELREAIRRRGGWFSGLKAGRAVRHADRDNSGFVDESEIENLVAFAQKTLGMKVTAW >ORUFI07G23470.1 pep chromosome:OR_W1943:7:22368623:22369472:1 gene:ORUFI07G23470 transcript:ORUFI07G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDADADGRISRRELRDAMRRRGDRFKLGVVQPPPSRQERRRLASSTTTRSSTSWPRPLR >ORUFI07G23480.1 pep chromosome:OR_W1943:7:22372400:22372864:1 gene:ORUFI07G23480 transcript:ORUFI07G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTEHKKKQQQHGGDEAAAAAKERLDRFKAWLMHFDGDGDGHISRRELRDAIRSGGARFATVRAWVNLYLADKNRNGVIDDGEIKHLMDLTEKDLDLSKLQPTPAAARPTATGAPPAMVVVSACQFQTTPLVSRTIDLRKLTAKPVLSSTANN >ORUFI07G23490.1 pep chromosome:OR_W1943:7:22378790:22379779:1 gene:ORUFI07G23490 transcript:ORUFI07G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVPVMAPSAPCTPRTAGEPPPLPSYCYFFSSAPTSPTRASYSGEAAAAAVGVGEGDGAFDFAFGFSGQLRESTPILAAADELFEGGRIRPLNTPHPSILQLVDDSAYASPQSPGRRRRIAAAEAAEVSSSSSSQRGRSGRAAPASSSSSASSRSRRATRSLSPFRGGGGGGADDEYPSSPPSPRTSMMRGCGSGSRKWRLKDLFLFRSASEGRATGAGSKDPLLKYTMLSSSASSAAAALHHNPQKLRGGGDGSASMRKGRGSTASASDMPYTVGRAAAEDMRRRTTTPLPFHRNSLFGYLRSNPAIHSISRKLSGSGSNRGKTAA >ORUFI07G23500.1 pep chromosome:OR_W1943:7:22388435:22399727:1 gene:ORUFI07G23500 transcript:ORUFI07G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRKMAANGLAAKRENTGTKKSPLQIQMLERFYSEVQYPQSEDIAEYATSVGLTYNQVRIWFKERRRKERRETESLGAHMEKQLSARSNGFRCSSSRSSSFSRSTMYRTVNLQPEDDRYVDKGMSFTGEKHTLRSQVLFPKDYILRKVFRKDGPPLGSEFDPLPHSAPGHLRDTTDDHFYQNQRVIKKRKIVEPTTQRSSLPCGDNGPVRKHGAGKGLMTVWHAMYSHSSKIQDGSNFIDETGCLRSLRPLDDCGRIEDCDDGKLIQVPSSRVTDPKKHPPMECHLSVDESQSPVLQANQVTLVDDEELELRELQAGPNPLRCSAHLSSSGRHGCPLCKDLLSRFPPSSVKMKQPFSTRPWGSSPEMVKKLFQVVRFIYNRFGYMDVHPFTLDELAQAFHDKVREQEFDMNFWIKSLNSLTWVEILRQVLVASGFGLKHHMLNRDFFNKEKNQMVKYGLRPRTLKGELFALLSKKGSGGLKVSELAKSPEIVDLSISSTEIEQLIYSTLSSDITLFEKIAPSAYRLRVDPRIKGKEDSGSDTEDSGSVDDHSDASSGADESDGSHEMSFSEHEHRILRRKWKNGHENVNRCSEIDESYSGERWLLGLMEGEYSDLSIDEKLDCLVALMDVVSGADSAPRLEEPSRVVPSIPRAQPHVSGGKIKKSTRNICQSSDECFNASGSMYGLDSSMHEQSRSLRSRDYVAYSGRNDTSTGVAHQPQVVLLGSDRRYNNYWLFLGPCRADDPGHRRVYFESSEDGHWEVIDSPQELLSLLASLDSRGTREAYLLASMKKRQTCLFEAMKKHYENRDAVQPAMPSDTSHSETSSGDGASPKLSSGDGASPTSDIDNASVPTNPAENMINASSAIAIEVGRRGDEKILKWERSQTFDKWIWTSFYSCLTAVKCGKKSFKESLVRCESCHDLYWRDEKHCRICHSTFEVSFDLEERYAIHVATCRDPEDVYDVPNHKVLPSQLQALKAAIHAIEAHMPEAAFAGLWMKSSHKLWVKRLRRTSSLAELLQVLVDFVGAMDEDWLYKSSSSVSFCSYLDDIVIYFQTMPQTTSAVALWVVKLDALITPYLERADSDRALGEESVQTRTRACGEIARNR >ORUFI07G23510.1 pep chromosome:OR_W1943:7:22399016:22403058:-1 gene:ORUFI07G23510 transcript:ORUFI07G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTRRRAAAAVTAVLVEEERAAAIDISSDSDAGSESGSEEDDEESTSDEDYYIDISDSDGEEGGGAGSEEESESESEAEREREPEQSGVDRGEASCRKIADLLRAGRNLDGIKLVDCKAYLKKNGLSQTGDLATCIERIVLHWRFKDRDPEKIYPRSSFCINCKGATSVGNKPLSVCIRNYPGDVCRGDTVLFKQKVYEKSGKRHSKCIGKRIVAGSVIKESYGKEKQQHTFTIQVFWSKGVGKLPPLYLLLVKGRNLYRMMTFRQPWLNEADRSKALDEKHSRGDAARRVRALSRPDAAGNSKKTTQKGKHQSQAGRPDSGSSIKKGKKRVMQSSNPDLPTKRSRNEESQSSSAKQFAGGQNTKTSRARLDRSDRSTNRARMRERKADSQQNLAGGSHAQFGERNAGSGYDMQASHGYLVGVQQSPFEIVRPQRPPPFREVGNASQPHADGRSTACPHPRMGFQHPNAALAGSHPPAYYLGNTPNQFPSFASLNVRQTVHHHPLDQLGASFAPFNVPQTVYRPRPEGGYVMPQFRYSGGSNGFPR >ORUFI07G23520.1 pep chromosome:OR_W1943:7:22404430:22409022:-1 gene:ORUFI07G23520 transcript:ORUFI07G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCHAKPLTHEEADGACSPPRERPQPPATPPRGSGAATPAWKTKPWASPFFGFSTPSPSPAHHLFSASSPRRSPAPSAPTTPARRLLRLPFPPPSPAKHIRQALARRHGPPRPSIPEEGGDVEGEGGRGLDKGFGFNKGFAAKYDMGDEVGRGHFGYTCAAKIKKGARKGDAVAVKVIPKAKMTTSIAIEDVRREVKILKALAGHKNLVQFYDAYEDNDNVYIVMELCEGGELLDRILSRGGKYSEDDAKAVLVQILNVVSFCHIQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRCYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSYNEAPWPSLTLEAMDFVKRLLCKDPRRRMTAAQALSHPWIRNYNDIKLPLDILIFRLIKAYIRSSSLRKAALRALSKTLTVDELFYLKGQFSLLEPDRNGCITLDNIRMALTREATYAMKESRVQEILVSLSALQYRRMDFQEFCAAAVSVHQLEALDRWEQHARSAYDFFEKDGNRAIELGLSPSVPLHVVLQDWIRHTDGKLSFLGFVKLLHGMSSRSLSKMR >ORUFI07G23520.2 pep chromosome:OR_W1943:7:22404430:22409022:-1 gene:ORUFI07G23520 transcript:ORUFI07G23520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCHAKPLTHEEADGACSPPRERPQPPATPPRGSGAATPAWKTKPWASPFFGFSTPSPSPAHHLFSASSPRRSPAPSAPTTPARRLLRLPFPPPSPAKHIRQALARRHGPPRPSIPEEGGDVEGEGGRGLDKGFGFNKGFAAKYDMGDEVGRGHFGYTCAAKIKKGARKGDAVAVKVIPKAKEESFWIEYFPGGKYSEDDAKAVLVQILNVVSFCHIQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRCYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSYNEAPWPSLTLEAMDFVKRLLCKDPRRRMTAAQALSHPWIRNYNDIKLPLDILIFRLIKAYIRSSSLRKAALRALSKTLTVDELFYLKGQFSLLEPDRNGCITLDNIRMALTREATYAMKESRVQEILVSLSALQYRRMDFQEFCAAAVSVHQLEALDRWEQHARSAYDFFEKDGNRAIELGLSPSVPLHVVLQDWIRHTDGKLSFLGFVKLLHGMSSRSLSKMR >ORUFI07G23530.1 pep chromosome:OR_W1943:7:22408997:22414064:1 gene:ORUFI07G23530 transcript:ORUFI07G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRLAFASAPSPASELDPPTSSCVPAGSGSCGCGLWLPRGASGGHGGSGGGRELWFGEMEWERGEWRGSGGWRRIEAGKVERGVNAKVATSALSMMPTSAFIGASVSMTLPMSPFIFVT >ORUFI07G23540.1 pep chromosome:OR_W1943:7:22414393:22415280:1 gene:ORUFI07G23540 transcript:ORUFI07G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQEKKRRPNNCRSMGVWLCLPAMEAGQQNCQRKCSPSLAPNIDRTGPRMTMLSLKPYKEICTMPIHGGNGWKEATLVQISSFYRD >ORUFI07G23550.1 pep chromosome:OR_W1943:7:22420455:22421351:1 gene:ORUFI07G23550 transcript:ORUFI07G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEADDHTSAAATVLRELSGTRVEVARAREAAVKAWLSAMPLGEELERLRAELAAAKNRLAATAAEMPPLKSAIASTNDAAVARKEAAGEKRAAAEELRRRVDRARDELRRLRSEAAAAREEIGAVERRVLVRRQAARGLQLAERAVAAEAHTLAWSAAAAAEQAARAPGAGADAAHHDVVALPARMLEELRRGVEAEERKAEARVEEAEALRRAAKARRAAAAARLAEARARKREAAEQGRRRDAENERGDNTARRSRSGGTRLAARKLPSWLCAIGRSGGRSQATAMAAHGTNR >ORUFI07G23560.1 pep chromosome:OR_W1943:7:22423560:22424750:1 gene:ORUFI07G23560 transcript:ORUFI07G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGGGGRGDPARWLEIAGKLLAARDLVGCKRLAERAVDAEPLLLGADELLAVADVLLASQRLLPSGRPDPIAVLHLQPNPDPAEVKRSYRRLSNLLSSNPRPGADAALRCVQEAFAHLSDSSANPAPAPAPPPAPASGGDASAAAADAFWTACPYCCHVYQYQRALMGRALRCPGAGCRRAFVATEIPAAPPIVPGTDMYYCAWGFYPMGFPKAADLSTNWKPFCPMYPWNSSSPQQAPADAGNVSKQNVESNGGNVNINVNTPPSNAQPANKSGASSGVGVGPSRGRIKKTTARKKVGGGFKKNASGGVESGIEPSLLGPDSWNGVAESGSMVGARGININEVAKGTDGSSMMHFGGDEEIGFDLDVDATDAILGNLQHLPFLRDDDNARRLF >ORUFI07G23570.1 pep chromosome:OR_W1943:7:22427568:22432638:1 gene:ORUFI07G23570 transcript:ORUFI07G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGVLNEDSLRKNFILVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIMVDAGRLPPLGPAAMFMQGTKRMPGTAVTKSVVATEPGGKKREEIFVDIIERISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRTGSSSYDYRSSSGGGAVILDDCNFHESVHLDSFDIDRTLHLIPPDGEFAVMNYRITQEFKPPFRVTALIEEAGPSRAEVLLKIRADFSANVTANTIVVQMPVPSYTMRASFELEAGAIVGGSEHTLRAKLTFSQESHGNLTKEAGPVNMNFTIPMYNTSKLQFSVIQD >ORUFI07G23570.2 pep chromosome:OR_W1943:7:22427660:22432638:1 gene:ORUFI07G23570 transcript:ORUFI07G23570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGVLNEDSLRKNFILVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIMVDAGRLPPLGPAAMFMQGTKRMPGTAVTKSVVATEPGGKKREEIFVDIIERISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRTGSSSYDYRSSSGGGAVILDDCNFHESVHLDSFDIDRTLHLIPPDGEFAVMNYRITQEFKPPFRVTALIEEAGPSRAEVLLKIRADFSANVTANTIVVQMPVPSYTMRASFELEAGAIVGGSEHTLRAKLTFSQESHGNLTKEAGPVNMNFTIPMYNTSKLQFSVIQD >ORUFI07G23570.3 pep chromosome:OR_W1943:7:22427624:22432638:1 gene:ORUFI07G23570 transcript:ORUFI07G23570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGVLNEDSLRKNFILVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIMVDAGRLPPLGPAAMFMQGTKRMPGTAVTKSVVATEPGGKKREEIFVDIIERISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRTGSSSYDYRSSSGGGAVILDDCNFHESVHLDSFDIDRTLHLIPPDGEFAVMNYRITQEFKPPFRVTALIEEAGPSRAEVLLKIRADFSANVTANTIVVQMPVPSYTMRASFELEAGAIVGGSEHTLRAKLTFSQESHGNLTKEAGPVNMNFTIPMYNTSKLQFSVIQD >ORUFI07G23580.1 pep chromosome:OR_W1943:7:22433150:22435161:-1 gene:ORUFI07G23580 transcript:ORUFI07G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWQHVFSKAEFHVVILGVHKAGKTTLLEKVKSIYLKEEGLPHDRIVPTVGLNIGRIEDANVKLVFWDLGGQPGLRTIWEKYYEEAHAVIYVIDSAAASSFEDAKSALEKVLHHEDLQGVPLLIFANKQELPAAVTEEELARHLHLKELDERPYMFQAGSAYDGTGIKAGIDWLVEEMERSKRTEVLMARTETAEKI >ORUFI07G23590.1 pep chromosome:OR_W1943:7:22436663:22439102:1 gene:ORUFI07G23590 transcript:ORUFI07G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSSSTRLYYTLRRLEIERRERDSPPRRRPRCLTIKTWVHDVVGVGAGEPAKMDRRRAAELMLLSLSSQRTRMALVGGNHTAARFCTR >ORUFI07G23600.1 pep chromosome:OR_W1943:7:22439230:22443303:1 gene:ORUFI07G23600 transcript:ORUFI07G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKKLAARDGRAASNGRLLRLVARAALLAVVVLSLVSLRLVLSPATAVGDDGELYLPVLLAEVSDRGYLRHGSRSVFVGDAGSWAPFLERHHVAVFRAGKLRELADESVDAVLFDGDAVQLGAVNRVLKLGGVAAGFATSGSSTLQLPDNYKAVFAHRSEAAIAFAVEKTTPPTTSAAVTASPVGPHRKLLALPGSKKDDALAGLEAVLLEPPQRQHRRIIRRLRPRYLPELTGDSLEGYRRRTFIDVAPSRGGGAASWFKKHYPRGKRVFDMVRLDAADATEPAASSSAAGIAEWLEGNVREEDYVVVKAGVEAVEEILRRRAAVRRVDELFLDCDAGAGADAARRPYWECLALYGRLRDHGVAVHQWWELMNARPLVARVGQHND >ORUFI07G23610.1 pep chromosome:OR_W1943:7:22448417:22449168:-1 gene:ORUFI07G23610 transcript:ORUFI07G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSVTLSHLECYRIPIPQLHMNNVVVTTHRERATPPTENLSVKTTPEMGEEEAAAMPTAILLMQCKELKTSVLTPAMVSVLWTHDKYACMLVMRGS >ORUFI07G23620.1 pep chromosome:OR_W1943:7:22450094:22451921:-1 gene:ORUFI07G23620 transcript:ORUFI07G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLCFGASNILLCAEDSSSVLGLGGFGGGGGEVAAELGCGGGGGFDFFGFGGGAVFPIDSDEFVALLVEKEMDHQPQRGYLEKLELGGLECSWRKDAIDWICKVHSYYNFGPLSLYLAVNYLDRFLSSFNLPHDESWMQQLLSVSCLSLATKMEETVVPLPMDLQVFDAEYVFEARHIKRMELIVMKTLKWRLQAVTPFSFIGYFLDKFNEGKPPSYTLASWCSDLTVGTLKDSRFLSFRPSEIAAAVVLAVLAENQFLVFNSALGESEIPVNKEMVMRCYELMVEKALVKKIRNSNASSSVPHSPITVLDAACFSFRSDDTTLGSSQSNSNNKDYNSQDSAPASKRRRLNTTPI >ORUFI07G23630.1 pep chromosome:OR_W1943:7:22458804:22460678:1 gene:ORUFI07G23630 transcript:ORUFI07G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRARLRLRLVRALATASASGSPAAPRPARQAAPYLAVLHRRGRAEAAACLNRHLRLLPLGEATSLLDALPSVRDAVSYNTVLTALCRRGHHDRAGALLRAMSLEPHPACRPNAVSYTVLMRALCADRLADQAVGLLRSMRSAGVRADVVTYGTLIRGLCDAAEVDKAVELMGEMCESGIEPNVVVYSSLLQGYCKSGRWEDVGKVFVEMSEKGIEPDVVMYTGLIDSLCKVGKAKKAHGVMDMMVRRGLEPNVVTYNVLINCMCKEGSVKEAIGVLKKMSEKGVAPDVVTYNTLIKGLSDVLEMDEAMWLLEEMVRGKNIVKPNVVTFNSVIQGLCDIGRMRQAFQVRAMMEETGCMVNLVTYNLLIGGLLRVHKVRKAMELMDEMTSLGLEPDSFTYSILIKGFCKMWQVDRAEDLLSTMRDRGIEPELFHYIPLLVAMCEQGMMERARNLFNEMDNNFPLDVVAYSTMIHGACKAGDLKTAKELLKSIVDEGLTPDAVTYSIVINMFAKSGDMEAANGVLKQMTASGFLPDVAVFDSLIQGYSTKGEINKVLELIREMITKNIALDSKIISTLSTSLVASNEGKALLQSLPDFSAEISKGNINSPQELMKVLHNVCPQTN >ORUFI07G23640.1 pep chromosome:OR_W1943:7:22464596:22466700:1 gene:ORUFI07G23640 transcript:ORUFI07G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIAQISKVIAEGGYDKVFHQTFECLPDEKLKKAYACYLSTSHGPIMGVLYISTAKIAFCSDSPVAYVTEDNKNQSSIYKVVVPVAQLRSVTPTASQQNPAERYIQVVSVDNHDFWFMGFVNYDGAVKSLQEAVRGGKASLHHHMYFL >ORUFI07G23650.1 pep chromosome:OR_W1943:7:22466398:22468275:-1 gene:ORUFI07G23650 transcript:ORUFI07G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRSSDRRGVPEDTPPAATMRAPEDTQPHRHLRPAGFLSPVSTEPGPRGFNGLWKLMDVQMKDLVIDDSMNLDDMD >ORUFI07G23650.2 pep chromosome:OR_W1943:7:22467365:22468275:-1 gene:ORUFI07G23650 transcript:ORUFI07G23650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRSSDRRGVPEDTPPAATMRAPEDTQPHRHLRPAGFLSPVSTEPGPRGFNGLWKLMDVQMKDLVIDDSMNLDESMKRMDL >ORUFI07G23650.3 pep chromosome:OR_W1943:7:22464662:22468275:-1 gene:ORUFI07G23650 transcript:ORUFI07G23650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRSSDRRGVPEDTPPAATMRAPEDTQPHRHLRPAGFLSPVSTEPGPRGFNGLWKLMDVQMKDLVIDDSMMSPTMPSVLRAASPNLLPTTSSFSRRFSLAPAAIEPRRQTQPETVSAAAWMVSRIGAAAAAERRRRGWY >ORUFI07G23650.4 pep chromosome:OR_W1943:7:22464662:22465202:-1 gene:ORUFI07G23650 transcript:ORUFI07G23650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPMSPTMPSVLRAASPNLLPTTSSFSRRFSLAPAAIEPRRQTQPETVSAAAWMVSRIGAAAAAERRRRGWY >ORUFI07G23660.1 pep chromosome:OR_W1943:7:22470047:22476405:-1 gene:ORUFI07G23660 transcript:ORUFI07G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSNDGRPFRPPDWGPPPHRYHHHHQNQYQYQQRYRPAQPGPRQFAVILLRGGSNLSAPPATEVEALVAGLPSPPPDSLSVSSSGRQAARLAFRSPQAAAAAARELWSLRLEGLHLLTPDVSDPALAAHAAPLIASLFAAHAARLVDSDLVSLTAARSSELAASIQAVKRRLAGHNRIRDFEQLQAQKRTLKAEKELVDAKIDEYKAAMRSIRRALLRGTEDDEEAEEEGLEVFGIGGGGEMNFARLHMIMLRECRRLKEGLPIYAYRRNILDHIFANQVMILIGETGSGKSTQLVQYLADSGLAANGSIVCTQPRKIAAISLAHRVVEESNGCYGDNFVLNSTFLDHQDFSSKIIYTTDNCLLHHCMNDMGLDGISYIIVDEAHERSLNTDLLLALIKKKLLDRLDLRLIIMSATADANRLAEYFYGCQTFYVKGRSFPVEIKYVPDISEEASFNTVPNHLRGSCATASYVYDVVKMVSIIHKNEEEGAILAFLTSQLEVEWACENFSDADAVVLPMHGKLSHVEQSLVFKSYPGKRKIIFCTNIAETSLTIKEVKYVVDSGLAKESRFVPSSGLNVLKVNWISQSSANQRAGRAGRTGAGRCYRLYSESDFSMMEVHQEPEIRKVHLGTAVLRILALGIRDAQNFEFVDAPNPEAINMAVKNLEQLGAVKYKCDGFELTDTGRYLVKLGIEPRLGKIMLDCFGFGLRKEGVVLAAVMANSSSIFCRVGTDEEKYKADRLKVPFCHQDGDLFTLLAVYKEWEDEHENRNTWCWQNSINAKTMRRCQETISDLENCLRHELNIIVPSYWCWNPEESTVHDKLLKRIILTSLSGNIAMFSGHERFGYQVISTDQAVKLHPSCSLLIYDSKPEWVVFTEILSVPNQYLVCVTAIDPDALCSIHPMPLIQQLEKLKLQVKVISGLGYNLLRKFCGKSGQNQQKIISLLQEEFRDDRVTVEVDFRNKEVVLFAKEQDIEKVFGIVNDALECEARMLRNECLEKSLFSGKPGDCSLALFGSGAEIKHLELEKRYLTVEVLHQNAHELNDKELICLVDTLISGVANFYKLYGNLQVASDETKWGKFTFLNPEYAEDAVSKLNGMEFHGSPLKVVPVCSSSNRGLPFPAVRAKVSWPLKQSRGLALVTCASGEAEFVVKDCFALGVGGRYINCEVSTRHENCIFVRGIPMHVTEPELYDAFRSTTTRKIVDVHLLRGTPIAAPSASLCAEALNREISSFMPKKIFPAQNFRVEVLTPEENDSVMRATITFDGSLHREAARALEHLQGSVLPCCLPWQTIQCQHVFHSTVSCQVRVYNVISQAVASLLESFRSQKGVSYNLEKNEYGIFRVKLTANATKTIADLRRPLEILMKGKTINHPDLTLSTVQLLMSRDGVADLKSVEQETGTYILYDRQSLNIKVFGLQDQVAAAEEKLIHALLQLRDKKPLDIRLRGRNLPPNLMKEMLKKFGADLEGLKREVPAVELRLNLRQHTLYVRGSKEDKQRVEEMISELVNSTKYNGLLQLPLENACPICLCEVEDPFKLESCGHVFCLTCLVDQCESALKSHDGFPLCCLKNGCKKQLLVVDLRSLLSSEKLEELFRASLRAFVASNAGKYRFCPTPDCPSIYQVAAADAESKPFVCGACFVEICNKCHLEYHPFISCEAYKEYKEDPDATLLEWRKGKENVKVCPSCHFTIEKADGCNHVECKCGSHICWACLENFRSSDDCYSHLRSVHLSY >ORUFI07G23670.1 pep chromosome:OR_W1943:7:22478641:22481266:-1 gene:ORUFI07G23670 transcript:ORUFI07G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEGKAPTLAAEEHTLPPHEVPVENSSSEKSSDNSVAEVVPEKDAETPAAQDTTSVVEDKSETPEMTASSEKPEEEGSNAATEESNEAEEETIDEKPEIKIETAPADFRFPTTNQTRHCFTRYIEYHRCVAAKGEGAPECEKFAKYYRSLCPSEWIERWNEQRENGTFPGPL >ORUFI07G23680.1 pep chromosome:OR_W1943:7:22483583:22489522:-1 gene:ORUFI07G23680 transcript:ORUFI07G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMNTAAAKSIRCRAAVSRVAGQPLEMEEVEVAPPRAHEVRIKILCTSICHTDITFWRMAIIRLSLAMKQSGVGAAWKVAAVEPGSSVAVFGLGAVGLAVAQGARMRGAKRIIGVDLNPDKFDVGKRLGMTDFINPNDTGGKTVSEVIKEMTGGGGADYCFECIGSTSTILLGVSGNKAPISIPSHEILRGRSVIGSLFGGIKPKNDIPMLAQKYLDKELELEEFITHEMGFEEINRAFELLTQGKSIRCIIWMDGAKVIDNGE >ORUFI07G23690.1 pep chromosome:OR_W1943:7:22504778:22509293:1 gene:ORUFI07G23690 transcript:ORUFI07G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVIKDIGSGNFGVAKLVRDVRTKELFAVKFIERGQKIDENVQREIMNHRSLRHPNIVRFKEVVLTPTHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSIAPRLKICDFGYSKSSLLHSQPKSTVGTPAYIAPEVLARKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPRNFRKTITRILSVQYMVPDYVRVSMECRHLLSRIFVANPEQRITIPEIKNHPWFLKNLPIEMTDEYQMSVQMNDINTPSQGLEEIMAIIQEARKPGDGSKFSGQIPGLGSMELDDVDTDDIDVEDSGDFVCAL >ORUFI07G23690.2 pep chromosome:OR_W1943:7:22504778:22508899:1 gene:ORUFI07G23690 transcript:ORUFI07G23690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVIKDIGSGNFGVAKLVRDVRTKELFAVKFIERGQKIDENVQREIMNHRSLRHPNIVRFKEVVLTPTHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSIAPRLKICDFGYSKSSLLHSQPKSTVGTPAYIAPEVLARKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPRNFRKTITTSSVPDFRGKPRASNSSLKPIVPLEQNITFCSVLTSHFLQRITIPEIKNHPWFLKNLPIEMTDEYQMSVQMNDINTPSQGLEEIMAIIQEARKPGDGSKFSGQIPGLGSMELDDVDTDDIDVEDSGDFVCAL >ORUFI07G23700.1 pep chromosome:OR_W1943:7:22509956:22512738:1 gene:ORUFI07G23700 transcript:ORUFI07G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCDKQGFPMKQGVLTAGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKKKSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSSAAKAAATTA >ORUFI07G23710.1 pep chromosome:OR_W1943:7:22513780:22517018:-1 gene:ORUFI07G23710 transcript:ORUFI07G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATNSAAVSGGAAAAASSAPQPRLAATFLPMRRRTVSAVHAADPAKSNGPVQAAAKASSPSTVAAPEKKPVGLGKWTVDSWKAKKALQLPEYPSQEELDSVLKTIETFPPVVFAGEARHLEERLADAAMGRAFVLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVVKVGRMAGQFAKPRSDSFEERDGVKLPSYRGDNINGDTFDEKSRVPDPQRMIRAYAQSVATLNLLRAFATGGYAAMQRVTQWNLDFMDHSEQGDRYRELAHRVDEALGFMTAAGLTVDHPIMTTTDFWTSHECLLLPYEQSLTREDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPSDLVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRNSGQIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHDQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSLELAFIIAERLRRRRMRSGVNSNLPLPPLAF >ORUFI07G23720.1 pep chromosome:OR_W1943:7:22526899:22529722:1 gene:ORUFI07G23720 transcript:ORUFI07G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAASKPHVVLIPYPAQGHVTFVHTEFNRARLLRSRGAAAVAGADGLPPPGQPAELDATQDIWAICEATRRTGPGHVRALVERLGREAAAGGVPPVSFVVADGAMGFAVHVTKEMGIPTYLFFTHSACGLLAYLNFDQLVKRGYVPLKYESCLTNGYLDTRLDWVAGMIAGVRLRDLPTFIRTTDPDDVMLNITMKQCELDAPAADGILLNTFDGLERAALDAIRARLPNTIAVGPSARREDGRCAAWLDAHADAAVVYANFGSITVMGRAQVGEFARGLAAAGAPFLWVIRPDMVRDAGDGDGEPLLPEGFEEEVVASGSGRGLMVGWCDQEAVLGHRATGAFLSHCGWNSTVESLAAGVPMLCWPFFSEQVTNCRYACEEWGVGVEMARDAGRREVEAAVREVMGGGEKAAAMRRKAAAAVAPGGSSRRNLESLFAEIAGGVQPVINPV >ORUFI07G23730.1 pep chromosome:OR_W1943:7:22533520:22538765:-1 gene:ORUFI07G23730 transcript:ORUFI07G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPAAAIGRRSSILSFAAARDRCFSRRFLRAGLRPLAIPLPTGVDDDAGTTVHVWVPANPPRNPLLLLHGFGASATWQWAPYLRPLIAAGYDPIVPDLLFFGASYTRLADRSEAFQARSIKAAMDAIGVARFGLVGVSYGGFVGYRMAAMYPDAVERVVLVCAGVCLEEKDLAGGLFPVAGVGEAADLLVPRRPEEVRRLVRLTFVRPPCIMPSCFLWDYIKVMGSDYIQEKTELLYALISERQLSDLPIISQPALIVWGERDKVFPMELAHRLKRHLGESSRLVVIRNAGHAVNLEKPKDVCRNIIEFFQEGVTEPLNDEKVFRPVCDPLVHASMHDATEDHPVRQAEMWKPLN >ORUFI07G23740.1 pep chromosome:OR_W1943:7:22540479:22543694:-1 gene:ORUFI07G23740 transcript:ORUFI07G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDEMLRRSLVALAAAVVVTGVVTASVRKAAATYGFGILAIAGVLLPDWEFFDRDYSQWLTPMPASRRTAAEAAADREHDVWKFKPYPLRMAMLTTIYGFGLYKWWMYVSS >ORUFI07G23750.1 pep chromosome:OR_W1943:7:22555403:22555804:-1 gene:ORUFI07G23750 transcript:ORUFI07G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNMLASNARGAVTGYPLKLQVVKWSTKEAEPNPEFLRGMLPKIDWPALVAATQALGLPELLPEAPPTDAELSAEGAAADEGSALRRLHRALLEIHIEEGALVCPDTDRCFPISRGVPNMLLHEDEVRN >ORUFI07G23760.1 pep chromosome:OR_W1943:7:22557004:22564041:-1 gene:ORUFI07G23760 transcript:ORUFI07G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRKYGLPNQPPDIPQILLEAQNRWLRPTEICHILSNYKKFSIAPEPPNRPASGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEVKGGKQSFSRSKEAEESAGLSNADSPACSNSFASQSQVASQSMDAESPISGQISEYEDAETDNCRASSRYHPFVEMQQPVDGVMMNNMLGVSAPRYHGEMQTTTANSDNHFATHYDIAGVFNEAGAGLRGVSKTLHDSVRFAEPYPECSAEFMEPALYSSNATMESNNLDDNSRLETFMSEALYTNNLTQKEADALSAAGIMSSQAENNSYTDGIRYPLLKQSSLDLFKIEPDGLKKFDSFSRWMSSELPEVADLDIKSSSDAFWSSTETVNVADGTSIPINEQLDAFAVSPSLSQDQLFSIIDVSPSYACTGSRNKVLITGTFLANKEHVENCKWSCMFGDVEVPAEVLAHGSLRCYTPVHLSGRVPFYVTCSNRVACSEVREFEFRDSDARQMDTSDPQTTGINEMHLHIRLEKLLSLGPDDYEKYVMSDGKEKSEIINTISSLMLDDKCLNQAVPLDEKEVSTARDQNIEKLVKEKLYCWLVHKVHDEDKGPNVLGKEGQGVIHLVAALGYDWAVRPIITAGVKVNFRDARGWTALHWAASCGRERTVGALIANGAESGLLTDPTPQFPAGRTAADLASENGHKGIAGFLAESALTSHLSALTLKESKDGNVKEICGLGGAEDFAESSSAQLAYRDSQAESLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDERTLSLVSIKNAKPGQNDGSHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSYRRIVWSVGIVEKIILRWRRKRRGLRGFQPVKQLEGPSPIQQLEGPSQIQPAKEEEEDEYDYLKDGRKQAEGRLQRALARVKSMTQYPEAREQYSRIANRVTELQEPQAMMIQDDMQSDGAIADGGDFMAELEELCGDGDAPMPTIL >ORUFI07G23760.2 pep chromosome:OR_W1943:7:22557004:22564041:-1 gene:ORUFI07G23760 transcript:ORUFI07G23760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRKYGLPNQPPDIPQILLEAQNRWLRPTEICHILSNYKKFSIAPEPPNRPASGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEVKGGKQSFSRSKEAEESAGLSNADSPACSNSFASQSQVASQSMDAESPISGQISEYEDAETEKFGATDNCRASSRYHPFVEMQQPVDGVMMNNMLGVSAPRYHGEMQTTTANSDNHFATHYDIAGVFNEAGAGLRGVSKTLHDSVRFAEPYPECSAEFMEPALYSSNATMESNNLDDNSRLETFMSEALYTNNLTQKEADALSAAGIMSSQAENNSYTDGIRYPLLKQSSLDLFKIEPDGLKKFDSFSRWMSSELPEVADLDIKSSSDAFWSSTETVNVADGTSIPINEQLDAFAVSPSLSQDQLFSIIDVSPSYACTGSRNKVLITGTFLANKEHVENCKWSCMFGDVEVPAEVLAHGSLRCYTPVHLSGRVPFYVTCSNRVACSEVREFEFRDSDARQMDTSDPQTTGINEMHLHIRLEKLLSLGPDDYEKYVMSDGKEKSEIINTISSLMLDDKCLNQAVPLDEKEVSTARDQNIEKLVKEKLYCWLVHKVHDEDKGPNVLGKEGQGVIHLVAALGYDWAVRPIITAGVKVNFRDARGWTALHWAASCGRERTVGALIANGAESGLLTDPTPQFPAGRTAADLASENGHKGIAGFLAESALTSHLSALTLKESKDGNVKEICGLGGAEDFAESSSAQLAYRDSQAESLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDERTLSLVSIKNAKPGQNDGSHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSYRRIVWSVGIVEKIILRWRRKRRGLRGFQPVKQLEGPSPIQQLEGPSQIQPAKEEEEDEYDYLKDGRKQAEGRLQRALARVKSMTQYPEAREQYSRIANRVTELQEPQAMMIQDDMQSDGAIADGGDFMAELEELCGDGDAPMPTIL >ORUFI07G23760.3 pep chromosome:OR_W1943:7:22557004:22564041:-1 gene:ORUFI07G23760 transcript:ORUFI07G23760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRKYGLPNQPPDIPQILLEAQNRWLRPTEICHILSNYKKFSIAPEPPNRPASGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEVKGKNNFSRVASKVSAVLKKLKRVQDYLMLIVLHAQTLSLVRASVSKEKFGATDNCRASSRYHPFVEMQQPVDGVMMNNMLGVSAPRYHGEMQTTTANSDNHFATHYDIAGVFNEAGAGLRGVSKTLHDSVRFAEPYPECSAEFMEPALYSSNATMESNNLDDNSRLETFMSEALYTNNLTQKEADALSAAGIMSSQAENNSYTDGIRYPLLKQSSLDLFKIEPDGLKKFDSFSRWMSSELPEVADLDIKSSSDAFWSSTETVNVADGTSIPINEQLDAFAVSPSLSQDQLFSIIDVSPSYACTGSRNKVLITGTFLANKEHVENCKWSCMFGDVEVPAEVLAHGSLRCYTPVHLSGRVPFYVTCSNRVACSEVREFEFRDSDARQMDTSDPQTTGINEMHLHIRLEKLLSLGPDDYEKYVMSDGKEKSEIINTISSLMLDDKCLNQAVPLDEKEVSTARDQNIEKLVKEKLYCWLVHKVHDEDKGPNVLGKEGQGVIHLVAALGYDWAVRPIITAGVKVNFRDARGWTALHWAASCGRERTVGALIANGAESGLLTDPTPQFPAGRTAADLASENGHKGIAGFLAESALTSHLSALTLKESKDGNVKEICGLGGAEDFAESSSAQLAYRDSQAESLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDERTLSLVSIKNAKPGQNDGSHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSYRRIVWSVGIVEKIILRWRRKRRGLRGFQPVKQLEGPSPIQQLEGPSQIQPAKEEEEDEYDYLKDGRKQAEGRLQRALARVKSMTQYPEAREQYSRIANRVTELQEPQAMMIQDDMQSDGAIADGGDFMAELEELCGDGDAPMPTIL >ORUFI07G23770.1 pep chromosome:OR_W1943:7:22571049:22572989:1 gene:ORUFI07G23770 transcript:ORUFI07G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLITITRSPILPCPFSRRPASPARCFAGARRSRCAAASASFFSPAGGRGGGDAGAGSSLSSAAAAAALGEAAAAGGGGSDSEAILLSVQGMMCDGCAASVKRILESQPEVTSATVDFKEAKAVVLTTAEVKAAEDWQKQCGEKLANHLGTCGFESRLQAGDLKFCPRATNECKAK >ORUFI07G23780.1 pep chromosome:OR_W1943:7:22574011:22578812:1 gene:ORUFI07G23780 transcript:ORUFI07G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLSPLFEKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGRVVDGREIMVQFAKYGPNAERIHKGKIMETVPRSRGRSRSRSPRRGYRDDYRERDYRKRSRSRDRYGRDRYRERDYRRRSRSRSYTPDDYRRRGRDSVSPARRSLSRSRSRSYSPDDYRKRGKHSQSPVCKSPSAKRSPSMSPARRSPSASPARRSPSASPVRRSPSRSPRRTPSSQEGSPVKRYDEPRRSRSPSTMNGVELLMSRGCSVPDDGRLRVRFAWLARTPGTFLKRLDHWGFAAKGTCRGPSPGRTSFMDRDAPKGI >ORUFI07G23780.2 pep chromosome:OR_W1943:7:22574011:22578812:1 gene:ORUFI07G23780 transcript:ORUFI07G23780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLSPLFEKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGRVVDGREIMVQFAKYGPNAERIHKGKIMETVPRSRGRSRSRSPRRGYRDDYRERDYRKRSRSRDRYGRDRYRERDYRRRSRSRSYTPDDYRRRGRDSVSPARRSLSRSRSRSYSPDDYRKRGKHSPSAKRSPSMSPARRSPSASPARRSPSASPVRRSPSRSPRRTPSSQEGSPVKRYDEPRRSRSPSTMNGVELLMSRGCSVPDDGRLRVRFAWLARTPGTFLKRLDHWGFAAKGTCRGPSPGRTSFMDRDAPKGI >ORUFI07G23790.1 pep chromosome:OR_W1943:7:22578859:22581376:-1 gene:ORUFI07G23790 transcript:ORUFI07G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGGGGDGMMDDGPKYAQIPTSFGHELRACLRCRLVKTYDQFMEQGCENCPFLDMERDHDNVVNCTTPNFTGIISVMDPGRSWAARWLRIGKFIPGCYTLAVAEELPEEYQSVCQDNNVQYFPPKRV >ORUFI07G23800.1 pep chromosome:OR_W1943:7:22584723:22587590:-1 gene:ORUFI07G23800 transcript:ORUFI07G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAPVRKSHTSTADLLTWPEGAAQELAAGATPPPNRRPHQPSEAISKVVFGGQVTEEEFESLNKRKPCSAPKWKEMTGSGIFAAEGEVEEDESANASATPVRTVSKNYQAISTISHISFAEEESVSPKKPTSIAEVAKQRELSGTLQSEDESKMKRQISNAKSKELSGHDIFAPPEDPRPRNSANGSTSQTPGKNAQVSTITFGEADTDSVVKTAKKIPEKKLTDLTGNDIFKGDAAPGTAEKHLSTAKLKEMTGSDIFADGKAPSRDYLGGIRKPPGGESSIALV >ORUFI07G23810.1 pep chromosome:OR_W1943:7:22610681:22613850:-1 gene:ORUFI07G23810 transcript:ORUFI07G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLYSIVPKPGPGGFHGWTGWWKLPVNHSLDTVAQTLSASGPRLRWVGHEPNGPAPLPPETGRAQAPR >ORUFI07G23820.1 pep chromosome:OR_W1943:7:22615051:22615752:-1 gene:ORUFI07G23820 transcript:ORUFI07G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGSYCADATAATVCHLHCEISSVEVTGLSCPGGGALIFLRCHVPAGGGRTIQIDSRGADVDGGGGARSIDASWRDVASLRCDGSPACVRELVDRRSVVFEVRRRRRRRRIRDMVLGPAMASELVGRAEVAWRDVVAAAADDDDDDDAAVVVERRVELAAGATAPAVMTMSVRMSVRVSETTAVPAAGLSVRPASSAAARRESINAGCEWSAGGEDDVFAMAAACVAGDAFE >ORUFI07G23830.1 pep chromosome:OR_W1943:7:22617795:22618553:-1 gene:ORUFI07G23830 transcript:ORUFI07G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATATTTAGDSHSLPSSPATSPTAFLDDHPSFLRSPSSSPTFLLDLPGVIPAAAAGAQEQYHLAPAVALHQAADQRKPTRKRPRAASRRPPTTVLTTDASNFRAMVQEFTGFPATPPFPCGGAMPPSSHLLSGEGVLFPSGSGSGSAAAPAFQAMMRASSSPATNTTTSLVLDALAMLAKSRAIATAAAAAAAAPPSSGSDLYGGYGNMLAGAVPFDDDFDAADGESAGAAAGHGLFSSASQFAGESRY >ORUFI07G23840.1 pep chromosome:OR_W1943:7:22637537:22641551:1 gene:ORUFI07G23840 transcript:ORUFI07G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHAGFAADDAVTAAVPVQAAQGGRHFPPFLAPSSRLTDCKKAAAHVDLAGAGGVATVPGSWPRHAKPVSGAELDDWMEKHPSALAWFESVAAAAKGKEIVVFLDYDGTLSPIVADPDRAFMSDEMREAVRGVAKHFPTAIVSGRCIDKVFDFVKLEELYYAGSHGMDIRGPTAAASEYNHNMKAKQGDAVTFQPAADFLPVIEEVYHVLKERMASIRGSLVENNKFCLSVHYRCVDEAEWGVLDGKVRAVIEGYPDLRLSKGRKVLEIRPVIDWDKGSALQFLLKSLGYEGRNNVFPIYIGDDRTDEDAFKVLRNMGQGIGILVTKVPKETAASYTLREPSEVKEFLRKLVKIKINGDKGLIGK >ORUFI07G23850.1 pep chromosome:OR_W1943:7:22649049:22651710:-1 gene:ORUFI07G23850 transcript:ORUFI07G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVDAPVVVEGVAENMLGDKKVTVVFVLGGPGSGKGTQCANIVEHFGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQDAMIKNENDKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCSEEEMERRLLGRNQGRVDDNIETIRKRFKVFVESSLPVIEHYNAKNKVKKIDAAKPISEVFEDVKAIFAPYAKLGIQLLAPDKISWIRVMEWLNRDKKRTTEGTNGGVLSEKALLECDYISVIKNH >ORUFI07G23860.1 pep chromosome:OR_W1943:7:22651727:22652996:-1 gene:ORUFI07G23860 transcript:ORUFI07G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHPLGVKRLRIQSQTGWWSAPDSSDLGSASLRSQGRSLRHRVSGVAAPTRAPSHDQSTRFPRRDPAWAPPARGGFIPAPRARINGHLSSPLSLSRVNCDLSTTITTTTTLQAERSTRPLD >ORUFI07G23870.1 pep chromosome:OR_W1943:7:22654736:22655338:1 gene:ORUFI07G23870 transcript:ORUFI07G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKGKGKEGECDMAAAAAEAEKKGEGSTVSRGAAGERVVEDSGGGRRTIHLKSKDGKQHDVTEASARLSKTIAGMILAGGGGGGADQCIPTPDIDHDTLRVVMQYCDKHAADDADEEDLKEWDEDFVDELDQDALFDVIAAANYLDIDGLLDLTCKRVADTIKGKTPEEIRKEFNIVNDLSKEEEEEIRRENPWAFEQ >ORUFI07G23880.1 pep chromosome:OR_W1943:7:22657176:22657583:1 gene:ORUFI07G23880 transcript:ORUFI07G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNGGEAAVVGEGEGATASEVVEKMVSQAVVEKALWEAFEKVVSEAVEKKSEGAMASEVVETMVSQAVVEKALWEAFEKVVSEAVEKKGGGAVVSEGAVEKVESEVVEEKVLDSAEKASASAGEEEEENGRMS >ORUFI07G23890.1 pep chromosome:OR_W1943:7:22657594:22664952:1 gene:ORUFI07G23890 transcript:ORUFI07G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDGEPVEVTEASARISKVIGDKIDAGRGGEAIPLPHVDKKTLKKVIEYCDEHANENSDTDEQKEELKNWDKAFIDELDEDDGSFLFLVLLASSYLKIDGLLDLTYQRVADNSKAKTTEEIRKTFSTIEIELSDKEEEEQQQEEEIRPENMKKKTATAMMIVTPRPTVTTVPVAGEEVAGGTTTTTPGLIKTGDAGIETTTIGMNGDETLGVAAANNVRLIKTTAVNAHAPRASATGEGTVTMAAGVITLVPPTWTTSPILSTPSHTWQRSLCLPASRSFTPRKSRRDQLLRLALVADKAMAAPPPTAMEDLAIRSSTGTEAWFSGTAWKPVPVAPSPPRRLEDLASDAVADKILPAPLPGALLPQEMTHMPATPPLSALEPGSLPERASSPCAIAGLFTSPPPAIIASPLRSTLPCLRPVVLTRKVKLRPRQHSQAIRRSEHLAKQPARPMMERCQRILFRRLGILHDEEDASVERVLSQYMAIWRAAGGLRAASLAFLWNLSSWSTCRSELQGG >ORUFI07G23900.1 pep chromosome:OR_W1943:7:22665425:22665919:1 gene:ORUFI07G23900 transcript:ORUFI07G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGREAAAAKELAGGGGGGAVGAARRRGPRAVSHLVVLLCHQRRPRRLLPWPDGDLDEHDDGDGVAVTVVLEHLGGLAQRVADADGLPWPWAAAQSTRRSTIWQAGGALALARHPTQRQEAPEKATMARRSDCILL >ORUFI07G23910.1 pep chromosome:OR_W1943:7:22668549:22669214:1 gene:ORUFI07G23910 transcript:ORUFI07G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKGKAVMPMEVDQVEDEREAETVVEAVQKAVYDALEKVEMMEEEGEAAVAEAADKLLEEAVEKAVTEALEEAGWDAAEKALSDDLEKVSLEAESARMITLESSDGEVVKVKEASARLSKTIGNIIDDGRGDEAIPLPDVSYKTLKKVVEYCDKHADEKSDTDEQKEELKNWDKAFIDELAEDDDSLVKVIMASNYLKIDGLHNLASQCKTTREQIGKA >ORUFI07G23920.1 pep chromosome:OR_W1943:7:22672537:22673370:1 gene:ORUFI07G23920 transcript:ORUFI07G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKGKGKEEGEGSAMASKAAGILVVPGAAGVGNALLEAFAKVAVEKKDEVATVSELVRKLVSEGVAEEVAVTEALEHPVFDSPEKVVPEVAEEEESGGRMITLKSLDGKTVKVKEASARLSETIGNLIDDGRRRGDETIPRLFVSYKALMKVIEYCDEHANNKADTDERKEELKNWDKAFIDKLDEDNILFVEVLAASNYLKITGLSKLTDQRFVDPFNTSNKTPDAEETRVNLIPANTSATASTSRPSTSTSSPSTSTSASHSATRRGRGRRRH >ORUFI07G23930.1 pep chromosome:OR_W1943:7:22676875:22689320:1 gene:ORUFI07G23930 transcript:ORUFI07G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRLQEEKQRKDRRRCPSGLKGAKTGLSPGNHPLVNPRLVPRCSTTIQALPTYRAMATGSGAAAAAAADAEEKESGSRMITLTSNEGKAFVVTEASARQSATIRSMVDDGGCVDKGFPLPNVDSKTLARVIQYCDEHGNKEPHTVDERAALAKFDRDFIAELDADKAFLYDVTMAANYLHIQGLLALTTQCVADTIKGKTPEEIRTAFGIEGEEPVAAAVVEMEGEDAVVPEAVAADAEKAEEKESGSRMITLKSNEGKAFVVTEASARQSTTIGHMIDDDCTREAVPLPNVDSKTLEKVIEYFDEHANNKADTDDEKAALDKFDKDFIGELDGDKAFLFHVTMAANYLHAQGLLDLTTQCIADTIKGKTPEEIRTAFNIASDQLTFEFVHPVQSVREESQVDQ >ORUFI07G23930.2 pep chromosome:OR_W1943:7:22675429:22676979:1 gene:ORUFI07G23930 transcript:ORUFI07G23930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNGEAAVVEKEGEGTMVPEALEKKVVLDAAEKEEEEKDSEAEAEAEARISKLVGDMIDNVCADHGIPLPKVDIKTVRKMAEYMNKHFAITNKEELKIWDEGFINELDGDEDKYSLFKIIRASERVGFYGLLDLASDMVARKIKAGKAIDEIRKFLGVEKDFTKEEEEKIRRENAWAFEDANTQAQEKASTGPAAAKRDRPPLDQQKNPDEIAP >ORUFI07G23940.1 pep chromosome:OR_W1943:7:22679741:22682340:-1 gene:ORUFI07G23940 transcript:ORUFI07G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPDRRLGWGYNVQRTYFHKGYASTGESGCRFGIESCLGDWISLCQPPSFSPQDTLILAAQRLLDMPILELLWTSPVPFLGSRRNIGAFVGVGSVCNTEGSVTVEN >ORUFI07G23950.1 pep chromosome:OR_W1943:7:22689336:22690339:1 gene:ORUFI07G23950 transcript:ORUFI07G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAEEEEEEESGSSRMITLKSNDEKLVEVTEASARQSRAIANLIDDGCADVIPLPNVDSKTLAKVIPYCDEHGRANSGTDEERAALGRFDADFVGELDKDKASLIDVIMAANYLNIQGLLDITCQRVADTIGSATAEKIREAFDIEDDLTEAEKKEIREENAWAFDGIPCLLVGIWFDGGIPIQEIRLQICNISQWSVLDRSGNAFPAREFALGASLVLLAWIVVRIELRMGKKHRCDFI >ORUFI07G23960.1 pep chromosome:OR_W1943:7:22691458:22693611:-1 gene:ORUFI07G23960 transcript:ORUFI07G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAVVVVVVVAVLALACGAASQSPAPAPAAGPASDCGSSITALAGCLTYITPGSPEARPAKDCCAGVKSALGSPAAVACLCGALGQDFGIKINYTRAAALPAACGGDSSALSKCNSQEISRCLSYWSTRTIFFRFWVRINTGHRHAIFTEVGGGPISGLGDAHCSHGLGILPGTQVT >ORUFI07G23970.1 pep chromosome:OR_W1943:7:22699551:22699875:1 gene:ORUFI07G23970 transcript:ORUFI07G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVLIGTLVIILLPVTQKGMMEEVPLPPSMKRSEDMIRESLHLLFPFVQMKRSEDDTRTPASSI >ORUFI07G23980.1 pep chromosome:OR_W1943:7:22701940:22702446:-1 gene:ORUFI07G23980 transcript:ORUFI07G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFASKFAPLIPRLRRLSTAAAAATATAAGEDPKLSRIADQLLELSPAELDDYSALLRLKLRLSLTSSAASGAAATGAAGDAASGSAGAEEAAAVKTSFDVKIEKYEAAAKIKIIKEVRAVTDLGLKEAKELVEKAPVVVRSGLPKEEAEALAAKLKAAGAAVALE >ORUFI07G23990.1 pep chromosome:OR_W1943:7:22703951:22714317:1 gene:ORUFI07G23990 transcript:ORUFI07G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCPVLNDRPIDEWKVTELKDELRRRDLSIKGLKDDLVKRLAEALQGEVIDGGEETVNGTPPVENQTQDGALVLDDASGFQASAEQNVDEGPAEVAAKNEGLVSVIEASTEGGTLVLDDGSGFQASTEQNVDEGPAEVAAKNEGLASVTEASTEDGTLVLADASGIQASTEQNVDEGPSEVAAKNEGLVSVTEASKDSAIATTEVSQEAVVAAAEVSPEALVAVTEVSEAPLVDVAGTNENSLGDAEATKEGDPESVPSDSNVVKEVCSHAEIHCEVIAEKTPDNGSSKKMTVDDISSDDTSTNTKLEESSAKGEPCVSIGCEILEQETKSSHLDVILSHADAVASAEEMITESLILKKDSNENDLMYEKDQKDSDHISTDCKPEQSGPKDQVSEVNQDLESQIKCVSISPDDILVNKNDNVEGNMNADNFDLGLESKQDIVKPSSSNPSSVGDDLQTPDDDKEISLIDMSLQDTDMSLKKKEGSPDSIYPEKLNLDRSSGDESMEEDAMETKHMDSKTIPDYLEGKSEVTLEHVSSGDESMEEDVMETKHVDSNTKVEHVSSGDESMEEDVMETKHVDSNTKPDYLEGKNDVTLEHVVKEVILLDTVTEGSSVDQKEAISQEKPVMPTEKRKAEDQEVVANNEPIKRQRLWNVDDVKPEQATSKLSGSDASKVVHPPARRRSFGRLAEYHAKLRMMKNLRDSLNARIATRLDAKTIILKDCIFDLFIEERGRAEKLEEKKKAEQASRDLRERTALLNLAFVTLKKKRNDSSVMHTNAMKAAQMGLMATTSEHLKMQSKAMKQLCRLFPLRRVIKDGEQNDGYNGPYDVICNARLPRGLDPHSVPSEELSASLGYMLQLLSIVVPILAAPVLHISGFGASCSRVWQRSSYWSTRQSQSKVYPLFIPRKNNCSVGEDNSWTESGSGNFGVQSVDSDNKSVLDSKRSNSFNFSIASSHSMERHQDLQRGISLLKTSVTAITTYYYNSLGMDVPSNLSTFEAFAKLLHMLSSLKVLRTTLQSNVASRSEKQGQQLNRSIWKASSAISSNSSLMDSVNTAIMPSSLDNLLLNSNTSFLYSGKPTKHGGVPDNILEGWDMVERDVLPPPPSRVEDVAQWERAHTFNRTGSKKK >ORUFI07G23990.2 pep chromosome:OR_W1943:7:22708036:22714317:1 gene:ORUFI07G23990 transcript:ORUFI07G23990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASRRGSGGNTSSCCALCEGSNLPSCCSACVNSKLAEYHAKLRMMKNLRDSLNARIATRLDAKRKGDEQRNWRVSKAHDIKELRDHLAELKRRNAIEKKKAEQASRDLRERTALLNLAFVTLKKKRNDSSVMHTNAMKAAQMGLMATTSEHLKMQSKAMKQLCRLFPLRRVIKDGEQNDGYNGPYDVICNARLPRGLDPHSVPSEELSASLGYMLQLLSIVVPILAAPVLHISGFGASCSRVWQRSSYWSTRQSQSKVYPLFIPRKNNCSVGEDNSWTESGSGNFGVQSVDSDNKSVLDSKRSNSFNFSIASSHSMERHQDLQRGISLLKTSVTAITTYYYNSLGMDVPSNLSTFEAFAKLLHMLSSLKVLRTTLQSNVASRSEKQGQQLNRSIWKASSAISSNSSLMDSVNTAIMPSSLDNLLLNSNTSFLYSGKPTKHGGVPDNILEGWDMVERDVLPPPPSRVEDVAQWERAHTFNRTGSKKK >ORUFI07G24000.1 pep chromosome:OR_W1943:7:22715422:22715807:1 gene:ORUFI07G24000 transcript:ORUFI07G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWGSFHQGNDAIGLTPGELNRFNSSFLSSDTISISQSYAIRLAIYLQRIQQRGRMNAEEKSSFRTCSWMRRMASITDADDDGCLAIHFVSLRRTRDTFRTACLAIKS >ORUFI07G24010.1 pep chromosome:OR_W1943:7:22717261:22721451:-1 gene:ORUFI07G24010 transcript:ORUFI07G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLVLVVLVVLGGGGAAGDDVAALLEFKKGISDRGRDPVLGSWSPPATPDAGGGGGGCPSGWRGVVCDGGAVVGVALDGLGLAGELKLVTLSGMRALQNLSLAGNAFSGRLPPGIGYLSSLRHLDLSGNRFYGPIPGRLADLSGLVHLNLSHNNFSSGFPTDGIRQLQNLRRIDLRSNSFWGNAGDLLAELRNAEYIDLSDNLFTGAVDLELESLSSIGNTVKYLNLSHNKLQGGFFRNETVGAFKNLEVLDLSNSGIAGMVPQIDAWFSLAVFRVAGNALSGVMPEAMLQNSMRLVEVDLSRNGFSGSVPVVNSTTLKLLNLSSNTFSGSLPSTVGKCSSVDLSGNQLSGELAILRAWDGTVETIDLSSNKLEGSYPNDASQFQNLVSLKLRNNLLSGSIPSVLGTYQKLQFLDLSLNALGGPVLPFFFLSPTLTVLNLSGNNFTGTIPFQSTHSTESIALIQPVLRIVDLSSNSLSGPLPPDISNLQRVEFLTLAMNELSGEIPSEISKLQGLEYLDLSHNHFTGRIPDMPQASLKIFNVSYNDLQGTVPKSVEKFPITCFRPGNDLLVFPDGLPAGNYDDTGVAQSQSSHGHKAGVRVALIVGCIGAALLVIFIALAIYVVRSQELCGRNGFRGQITIRDLKLGRLSRPNLFKPPKDNVIPTKTSFSNDHLLTAAARSMSAQKELLAEAAVEYGYADPKEVTEPSSSGMAETSAAVPAMEPSPRVVLPTSPHFIDSRFHEEPVAFEVYSPDRLVGELIFLDSTLVFTAEDLSRAPAEVLGRSSHGTTYKAALQSGHVLTVKWLRVGLVKHKKDFTKEIKRIGTIRHPNIISWRAFYWGPKEQERLIISDYVNGDSLALYLYESTPRRYSRLSVSQRLKIAIDLARCLQFLHHEKGLPHGNLKPTNIFLTGPDLSPKLVDYGLHRFMTPSGTAEQILNLGALGYRAPELANTAKPMPSFKADVYAFGVIVMEMLTRKSAGDIISGQSGAVDLTDWVQMCNREGRGTDCFDRDITGLEESPRVMDELLAISLRCILPVNERPNMKTVCDDLCSITV >ORUFI07G24020.1 pep chromosome:OR_W1943:7:22730174:22734764:-1 gene:ORUFI07G24020 transcript:ORUFI07G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEASTAPENGTAAAAAAAASTACNGAGGGGGAAAASNGGGVERRLRSSAASASWASHLPLEVGTRVMCRWRDQKLHPVKVIERRKSSTSSSPADYEYYVHYTEFNRRLDEWVKLEQLDLETVETDVDEKVEDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGRYEIDTWYFSPFPPEYNDSPKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLEILKKHKSNISIKELSDMTAIKADDILSTLQSLDLIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQG >ORUFI07G24030.1 pep chromosome:OR_W1943:7:22737341:22738762:-1 gene:ORUFI07G24030 transcript:ORUFI07G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVHAARPVLPATPPKLRRHHAAALRLTVTRAAAPGSDATGGGGGGNKAVIVGGGLAGLAAANHLASMSVPFTLLEASDRLGGRVATDEVDGYRLDRGFQIFLTAYPECRRLLDFPALRLRPFYPGALVFLGAGEPFYLLSDPFRLPLRSLSAVFSPVGTLADKLLVGLARLRAASTPDDVILSSPETTTAAHLEKLGFSPSIVERFLRPFLAGIFFDPALDTSSRLFELVFKRLALGDNALPEAGIGAIASQLADRLPAGSVRLNSRAAAIGQSSVTLDTGETVSGELGVIVAVEQPEAEKLLPQLPTIPAKPKKNSERSTVCLYFAADKAAVQDPILLLNGSGKGIVNNMFFATNVAPSYAPPGRSLVSVSLVGSFAGRSDADLAGEVVTELAGWFGAGEVASWTHLRTYRIGFAQPDQTPPTSPAGRDPRVGDGLYMCGDHWCYATFDGALVSGRRAAEALAKDRGLSLA >ORUFI07G24040.1 pep chromosome:OR_W1943:7:22743686:22751128:1 gene:ORUFI07G24040 transcript:ORUFI07G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGRSGFSPGLAQLLSSRDEAKVSSQKSHLVSYHDEIGHQDIERTLEHIFDLPHKSVVRPPGPIDVGFVRSVLRNQARKFDLGGKDSRKYDDGVLIVDKGAGQTKVVLDDSSICGKFKSIWGPLLVESSASFSSARANTCVWNGKWMYEVTLETSGVQQLGWATLSCPFTDQKGVGDSDDSYAFDGRRVTKWNNDPEQYGQMWAVGDVIGCCINLDAGEISFYRNGNFLGVAFDGIRKVGPRKGYYPAISLSEGERCHLNFGSHPFRYPVDGFNPIEAPPHFCMFAAYLLRCLFRLLEVQNLEKSESAYFEKLRRVKKFAPLRELFRPISEGICAEFFGAAEGSQGCSEYITWGSFITLLTDVFRVREPHDFLCLDQVLELFLQFPGCSSLLQELIVALSCMCKAAPLVLTECPFSGPYPFLALACHILRHKDVMHLWWKSEDFAFSFEGFLTMKIPNKQDLQYLVPSVWWPGSSEDEVSMTLSMTTLSDAIKKIEDKHRELCSLVICFVPPTSPPLLPGSVFRSFVQSSILKVRGGDHRMASSGTFNDTVLVSLYTVILHLLSEGFSMDSSGPASSSRINYGTGVGFLHKGGKRRFPTQLLLRNDAYYNVIPRLGGSLNHLLMFHQIDANEDEVQWDEGCMNDEETRITHTTAQKPCCCSITDVTVGLRFKENAKYIPSTSKGPCKSMHEKSAHIASECSAFYFVSQQSQSISLLEETDRQIREKSCMEQVRRLKEARNSYHEELVDCVRHCVWYRATVFSSWKQRGMYATCMWVVELLLVLSDSSSIFHYIPEFYVESLVTLVVKHFDDPRIYKEFMFVFENNREAINRMPRSLLSAFDNRSWIPVTNILFQLCKGFGFASSKNVEPSSSAIFQVLLRETCIHEEELFLSFLNRLFNTLSWTMTESSMSIREMQEKRQVADLQQRKCSVIFDISCSLARILEFFTREIPHAFLMGPDMNLRRLAELVVFILNHIILAADAEFFDMTLRRPGQHQEKTNCTMILAPLVGIILNLMESSSTSGHRELNDVITVFTSMDCPATIHFGLQYLLSYNWSNVLRGDASLAKLAQLEEFSHYFMHITMSADGNEEQGFSTASNEEDNCCICYNSDSDTTFEPCHHRSCYGCITRHLLNSQRCFFCNAVVTSVTRIADSRIESRSPLAP >ORUFI07G24050.1 pep chromosome:OR_W1943:7:22751548:22756102:1 gene:ORUFI07G24050 transcript:ORUFI07G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLPLPHLTQAIPARARPRPRPLRGIPARLLSCRAAMAVAPDKEEAAAVALDKAVKVAVAAPDRAAVAAVGVGEELPEGYDQMMPAVEEARRRRAGVLLHPTSLRGPHGIGDLGDEAVAFLAWLRDAGCTLWQVLPLVPPGRKSGEDGSPYSGQDANCGNTLLISLEELVKDGLLMENELPDPLDMEYVEFDTVANLKEPLIAKAAERLLLSRWLEDAALFAAIDRSIDALSWYEWPEPLKNRHLRALEDIYQKQKDFIEIFMAQQFLFQRQWQRIRKYAKKLGISIMGDMPIYVGYHSADVWANRKSFLLDKNGFPTFVSGVPPDAFSETGQLWNSPLYDWKAMEAGGFEWWIKRINRALDLYDEFRIDHFRGLAESKVALVGSWRAGPRNAFFDALFKAVGRINIIAEDLGVITEDVVDLRKSIEAPGMAVLQFAFGGGSDNPHLPHNHEFDQVVYTGTHDNDTVIGWWQTLPEEEKQTVFKYLPEANRTEISWALITAALSSVARTSMVTMQDILGLDSSARMNTPATQKGNWRWRMPSSVSFDSLSPEAAKLKELLGLYNRL >ORUFI07G24050.2 pep chromosome:OR_W1943:7:22751548:22756102:1 gene:ORUFI07G24050 transcript:ORUFI07G24050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLPLPHLTQAIPARARPRPRPLRGIPARLLSCRAAMAVAPDKEEAAAVALDKAVKVAVAAPDRAAVAAVGVGEELPEGYDQMMPAVEEARRRRAGVLLHPTSLRGPHGIGDLGDEAVAFLAWLRDAGCTLWQVLPLVPPGRKSGEDGSPYSGQVKLYPANLAYCVAIIEWNLYGYTLQDANCGNTLLISLEELVKDGLLMENELPDPLDMEYVEFDTVANLKEPLIAKAAERLLLSRWLEDAALFAAIDRSIDALSWYEWPEPLKNRHLRALEDIYQKQKDFIEIFMAQQFLFQRQWQRIRKYAKKLGISIMGDMPIYVGYHSADVWANRKSFLLDKNGFPTFVSGVPPDAFSETGQLWNSPLYDWKAMEAGGFEWWIKRINRALDLYDEFRIDHFRGLAESKVALVGSWRAGPRNAFFDALFKAVGRINIIAEDLGVITEDVVDLRKSIEAPGMAVLQFAFGGGSDNPHLPHNHEFDQVVYTGTHDNDTVIGWWQTLPEEEKQTVFKYLPEANRTEISWALITAALSSVARTSMVTMQDILGLDSSARMNTPATQKGNWRWRMPSSVSFDSLSPEAAKLKELLGLYNRL >ORUFI07G24070.1 pep chromosome:OR_W1943:7:22760620:22762941:1 gene:ORUFI07G24070 transcript:ORUFI07G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAILRHLIEALLERQPILGEDSTFLRRALVFGGVASIISPVHLESFFTRYGVVIATVLLRDATRCERVGMLVFSAESDCLYAAEMEAARPGAYLMISRILRRDFMSKFSVFLVLISELASADECSCFSRFVHVLILSVPVDDEILHNSVNLVKDAADQQSQRCSTAEAFRRMVPWRYLKADAQEDINLRCLLLRMGARSTATPGDLYGVASHSCLPTQQACVTNDFTTPVFSVLPPHSSCDGAVFKRTSPLLDPTAMASWRLPTRKGVLPVTGDRYLGFYPIRGQPLGRAILISYQKMAFESAPLKKMANSSKSPSREAMVVFDESRDMERCGSRSAELVAFHLGLYDSGLFPLVADGAGGHGVVTRDLLPLFCLSPDFLGRVALLRGPGIAELDAGEVCSRVEELTLFKLLNGVFFAKGLYTKVA >ORUFI07G24080.1 pep chromosome:OR_W1943:7:22769912:22774674:1 gene:ORUFI07G24080 transcript:ORUFI07G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPDLTPPAAAASAEAPSASAAKKDRHIVSWSTEEDDVLRTQIALHGTDNWTIIAAQFKDKTARQCRRRWYNYLNSECKKGGWSREEDLLLCEAQKVLGNKWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDDELFKENGSLCSSTSSKRALVQTGCLTSGASGSAPPIKQMRPCNSDFKENMTPNMRLVGQDKSTQDSRQPLAIVYQNNQDNMNTMDTQNLVAKTAAKQLFAGEQNCVKHEGNFLNKDDPKIATLLQRADLLCSLATKINTENTSQSMDEAWQQLQHHLDKKDDNDMSESSMSGMASLLEDLDDLIVDPYENEEEEDQDLREQTEQIDVENKQNSSQTSMEVTSQMVPDNKMEDCPNDKSTEDNNMEPCPGEDIPTSENLTEAAIEDSLLQCVEYSSPVHTVIQAKTDAEIAASENLSEVLEHNRLQCIQLASPAQTTTPVEANAETPASEKLREVVKCNNPSCIEFTSPAHTVPTFLPYADDMPTPKFTASERNFLLSVLELTSPGSRPDTSQQPSCKRALLNSL >ORUFI07G24090.1 pep chromosome:OR_W1943:7:22775177:22778714:1 gene:ORUFI07G24090 transcript:ORUFI07G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFVAASPAGSRWMAESAARRRQQRRFSSPSLRAYLTPAFDAVAAGVDGVSPYSGSASSSGGMDLGLDDSLLRYRRACFSSAATTDLDHRLLVYSPQSAPVYPPPPAGDVVVAGGCRYDSKRQAGGQTGAPGYQDFNDISSLFSPWQPSADHPTATARGIPNKPPADIRSREDTVIQAARAELSTPKPDEATTPSAQPASAQAEPIEEDEELIIQTLYGQSNGRRLPIFRSICPE >ORUFI07G24100.1 pep chromosome:OR_W1943:7:22779719:22780846:-1 gene:ORUFI07G24100 transcript:ORUFI07G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRNNNGGKTAEAAAAASGTANTVVALVLLLVAASAVVFLLSPPTPAATRIGRHGDGGPRREPVELAIGLAGHESWLDAVRAWAKLACLKLRPPEPREKVAWCCSRNGAAVEVRSAQPGGGEGGGEGGPGDGQGGGGARRRVGGDGGGGGSGEDHGEAQEEVVAADIAGGGAPRRRPVTLCSVL >ORUFI07G24110.1 pep chromosome:OR_W1943:7:22783360:22783851:1 gene:ORUFI07G24110 transcript:ORUFI07G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHHHRRHGHAAAAAEAEEEAPSPRPSSAGCYTFLRSASRRGGAGGGGGYRRLDSSSAAGDVIRVEVGTTKGERSVFHVDQAVLEAGPVRRLLAAAGRRTRGGAVAVAVDVLLFEHLLWLQAAGDKGMLGYDDDESAAADLSEIVDFYSQDDDVDDSGHRGF >ORUFI07G24120.1 pep chromosome:OR_W1943:7:22785736:22787800:-1 gene:ORUFI07G24120 transcript:ORUFI07G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWEGYVSDETMGTFAPIALYWVYAGGYQLVLHRRPLERYRLHTRAEEEEKNLVALPAVVRGVLLQQLVQAIVAMILFMVTSDSSAVVVQPPIIIQAFQFLVAMLVMDSWQYFVHRYMHQNKFLYRHIHSQHHRLIVPYAIGALYNHPLEGLLLDTVGGAISFLVSGMTPRTSVFFFCFAVLKTVDDHCGLWLPYNIFQSLFQNNTAYHDVHHQLQGSKYNYSQPFFSIWDRILGTHMPYNLVRRKEGGFEARPLRD >ORUFI07G24130.1 pep chromosome:OR_W1943:7:22790286:22794980:-1 gene:ORUFI07G24130 transcript:ORUFI07G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLTPTSKGGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVAAYEFTTLTCIPGVIMYKGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNVILIVLDAIKPITHKRLIEKELEGFGIRLNKTPPNLTFRRKDKGGINFTSTVTNTNLDLETVKAICSEYRIHNADVSLRYDATADDLIDVIEGSRIYMPCIYVVNKIDQITLEELEILDKLPHYCPISAHLEWNLDGLLEMIWEYLDLVRIYTKPKGLNPDYEDPVIVSSKKKTVEDFCNRIHKDMEHELEDEDVVQIIKKV >ORUFI07G24140.1 pep chromosome:OR_W1943:7:22796544:22809575:-1 gene:ORUFI07G24140 transcript:ORUFI07G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEARLCSRYGAAAAKATLARNILAPVAGQLSGHPSELHRRCILLRRLSSCRNTGTTADLCERFSAYAPVEAIAVSGALRTAVVVFETVAGWRAAAAATLSASCDTVPPLYFGPPLVLVDGRDIKVALHPKKPAAAVPGGKDVVVFFLPTPSKNSNSLALPSASLSKKSRTSSLSTKITKNLLHPDRAILSPSLSFPASASMDSAILHHLIEALLERQPILGGDSTFLRRALVFGGVTSIISPVHLESIFTRYGAVMATVLLRDAVRCERVGMLVFSAEIDCLCAAVMEAARPGAYRMISMVDDEILHNSVKLVKDAADEQSRRSSTAEAFRRMVPWRYLEADAQEDINLRCLLLRMGARSTATPGYLYGVARSALAASGRACAVVAYYSSRMAMVVFDESRDIERCGSRSAELVAFHLGLYDSGLFPLVADGAGGNGAVTRDLLPLFCLSPDFLGRVVLLRGPGIAELDAGEACRRVEELHPVEALLVHRGDRLAVVVVRSRGDARALMAESSEFWRRACGPHPITAQLIDDPSPAFMPRPLLYPEVVNLAVARTHRRMDRCTLDDLHGLGRGLVELESLRPSSSVRQGNLARRGFILLGLHQYMTEDYLMQYFGDVESCVVYMAKRAALVIFSTPEAAARALRTPMADGRSRDTPRDDQVVDYLLLLQYTMLRVDDPLAHEDAQPLIPANYVLPP >ORUFI07G24150.1 pep chromosome:OR_W1943:7:22810482:22812477:-1 gene:ORUFI07G24150 transcript:ORUFI07G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCGLLGEGQNKLDYVLALTVENSLQRCLQTTVFKNGMAKSIHHARVLIRQCHIRVGRQLVNIPSFMVRLESEKHIDFSLTSPLGGGPAGRVKRKNQKKASGGGGDGEDEEEKELGHRNLSNMN >ORUFI07G24160.1 pep chromosome:OR_W1943:7:22813869:22816154:-1 gene:ORUFI07G24160 transcript:ORUFI07G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRDRVNAAAGGGVEGRLVQSGIVNKKCDKKAPKRIHKSEREKLKRDKQNDLFNELGNLLEPDRQNNGKACVLSETTRILKDLLSQVESLRKENSSLKNESHYVALERNELHDDNSMLRTEILELQNELRTRMEGNPVWSHVNTRPALRVPYPTTGVFPVQHLPHLPVTTTGAFPQQQPVIIEQHYAATPRELQLFPESATSEDSEPSQEHGISDHVTRPQPRYPTPTATLPVNLFPVLPGRQDQQCSSGTSDEDRIGRS >ORUFI07G24170.1 pep chromosome:OR_W1943:7:22819478:22822074:-1 gene:ORUFI07G24170 transcript:ORUFI07G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSIASRLGLSGSRPVVRKAAELRRLCDVTFDTSVLGIGEVCKAIICLEIAASKFQVIFDRAEAVRMSGMSEKAYIRSFNALQNGLGVKTTLDVRELGIQFGCIRLIPFVQKGLSLYKERFLAALPPSRRASTDFGRPVFTAAAFYLCAKRHKLKVDKLKLIDLCGTSSSEFTTVSTSMADLCFDVFGIAKEKKDAKSIKGNRELLDVLPSKRKPDDDSDSSVESSGDDQDELDLPTYKRHKKMEKEAYNDWKSSVLSSNKQTKPDPAKPRKQAQLNFKKKPSDISLEVSSAAN >ORUFI07G24180.1 pep chromosome:OR_W1943:7:22825341:22830609:1 gene:ORUFI07G24180 transcript:ORUFI07G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVRALAFALALAAVAPPPPSAAFDEVCGGRLYKANSSFDASFQQVARALPANATSSPSLFATAAVAGEVYALALCRGDLAAESCSVCVDSAFHDAQQVCPSAMDVAMYYGTCVVRFSAQDFLAARSNTQEKVILSTAQTLASSAAGRFHGLVADLLNATVDYAVAVASSASRLFFATGEVDVDGGYFGEQFSKIYSSAQCTPDLTPAQCSGCLATAMAEMPRQVFPANSPGGRVVGERCDLRYDVFAFYNMDAMVRLQVAQGGKKKSSPILAVALPIVLVGLLVITLVCFYIWRKKRLPPKAPLIEITEDLEDFESFFIDLATLRSATANFDESNRLGEGGFGVVFKGVLPDGQEVAVKRLSNCSIQGLGQLKNELSLVAKLQHKNLVRLIGVCLEVGEKVLVYEYLPNKSLDTILFGTCLHLNFLDIVNMFIFKLRICHLTPDPEKSRQLDWGKRYKILNGIARGLQYLHEHSQLKIVHRDLKASNVLLDADMKPKIADFGLAKIFGDDQTRNATSRIVGTLGYMSPEYAMRGQYSTKLDVFSFGVLVLEIVTGRRNSYAAISEHSEDLFSLVWRHWNDGTVTEIVDPSLGRHYPRGEILKCINIGLLCVQQNPADRPSMSAIIILLSSETVTLQAPYRPAYMFGRSRSYSYTETVDVRVSSEPHSSITELEPR >ORUFI07G24200.1 pep chromosome:OR_W1943:7:22841369:22841749:-1 gene:ORUFI07G24200 transcript:ORUFI07G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTSEMHKLTRQSAKVAAGVPLHAKSLPAAGSLSMQGRCQGPSLREVAAGRRVPLRAEVAAPIPLRAEVAAGGRPTERATLLTGWERRAEGEGEGGGSEEEARGEGAGGEEGAVEERRLEKCASG >ORUFI07G24210.1 pep chromosome:OR_W1943:7:22844036:22862079:-1 gene:ORUFI07G24210 transcript:ORUFI07G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVHVVAIAGLLTAALAPLAASYPWGACDVSSNYTASSAFQENLRALAATLPGNVSSSPDLFAAATAGAAPDTVYALALCPPFDNQNVSGCHACVKSGFADAQKLCPFNRGVTIVYNPCIFSFYGRDILNSSTNPKDQEVMLYNAQNVTVPNVGDFNRATYELLNGTADYAARAARRFATGEISFDATYPRIYGMAWCTPDMAPRRCRACLAAAIAEMPGSFIPNTQGARIAGARCTVRFEVYPFYNGSGMVQMTASAPAAVPVTPGKKSSKIGKVLAIVLPIVAALLASTTIGFCCWRRRAKITKRSLSYASHTEDIQSIESLIIDLSTLRIATNNFAENNKLGEGGFGAVYKGFLPGGGQEIAVKRLSQNSGQGIGELKNELALIAKLQHKNLVRLVGVCLEEDEKLLVYEYMPNKSLDTFLFDSEKRKQIDWGKRFMIIKGIAGGLQYLHEDSQLKIVHRDLKASNVLLDTNMSPKISDFGLARLFGEDQSLEITNRVVGTYGYMAPEYALRGHYSVKSDIYSFGVLILEIITGRKNSDSFNSEESVDLLSLGKGVLKVDIQDIESLIMDLTTLRIATNNFAENNKLGEGGFGVVYKGSLPNGQDIAVKRLSPNSTQGIGELKNELALIAKLQHKNLVRLVGVCLEEDEKLLAYEYMPNKNPEKRKQLDWGKRLMIIKGIARGLQYLHEDSQLKIVHRDLKASNVLLDTNMNPKISDFGLARLFGEDQSLEITNRVVGTYGYMAPEYALRGHYSIKSDIYSFGVLILEAITGRKNSDSYKSEQYVDLLSLIWEHWAMKITTEMVDPFLRSDSSLDGILRCIHIGLVCVQEAPMDRPTISEINMMLDSNTIPVQTPSRPAFFSQMSGSSGSVVDSITAKSKAMSLNEVSITEPKPR >ORUFI07G24210.2 pep chromosome:OR_W1943:7:22844036:22862079:-1 gene:ORUFI07G24210 transcript:ORUFI07G24210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVHVVAIAGLLTAALAPLAASYPWGACDVSSNYTASSAFQENLRALAATLPGNVSSSPDLFAAATAGAAPDTVYALALCPPFDNQNVSGCHACVKSGFADAQKLCPFNRGVTIVYNPCIFSFYGRDILNSSTNPKDQEVMLYNAQNVTVPNVGDFNRATYELLNGTADYAARAARRFATGEISFDATYPRIYGMAWCTPDMAPRRCRACLAAAIAEMPGSFIPNTQGARIAGARCTVRFEVYPFYNGSGMVQMTASAPAAVPVTPGKKSSKIGKVLAIVLPIVAALLASTTIGFCCWRRRAKITKRSLSYASHTEDIQSIESLIIDLSTLRIATNNFAENNKLGEGGFGAVYKGFLPGGGQEIAVKRLSQNSGQGIGELKNELALIAKLQHKNLVRLVGVCLEEDEKLLVYEYMPNKSLDTFLFDPEKRKQLDWGKRLMIIKGIARGLQYLHEDSQLKIVHRDLKASNVLLDTNMNPKISDFGLARLFGEDQSLEITNRVVGTYGYMAPEYALRGHYSIKSDIYSFGVLILEAITGRKNSDSYKSEQYVDLLSLIWEHWAMKITTEMVDPFLRSDSSLDGILRCIHIGLVCVQEAPMDRPTISEINMMLDSNTIPVQTPSRPAFFSQMSGSSGSVVDSITAKSKAMSLNEVSITEPKPR >ORUFI07G24220.1 pep chromosome:OR_W1943:7:22852559:22870862:1 gene:ORUFI07G24220 transcript:ORUFI07G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEKETIFEEVNEIRPIFKWGWTISISECSVIVTSFGDITVDLAVKSAVGDRLVIFALLLQQQKPIVGRLKGKHRLCIALPTGVGFQQDFNEIREAPLVGLVMNSLLTLAYATILAWFGGGVD >ORUFI07G24230.1 pep chromosome:OR_W1943:7:22870859:22871977:-1 gene:ORUFI07G24230 transcript:ORUFI07G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPCCDKDGVKKGPWTPEEDLVLVSYVQEHGPGNWRAVPTRTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKRKLQGGGAGGDGDGGAAGDAVSPKPAAQRPASSSKGQWERRLQTDIDMARRALREALTSLDDVKPPHQPDAANAATGGGGATTGAAASAGADSPAASSTSGASQCSPSSAGYVLTTENISRMLDGWARKKGGGGGRRAAGSGPATPGATESASGSSEASEVSYGGTALSAAAAPASAFEYETKPTVTAAAAADAGDETQLSAIESWLFADADGIESGSLLDAAMDYTF >ORUFI07G24240.1 pep chromosome:OR_W1943:7:22893084:22894859:1 gene:ORUFI07G24240 transcript:ORUFI07G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKTMKVVFSLVLLLLPLASTSAVEVKFDFMYFVQQWAPSYCSTAPHECEYEPRLPPNNFTIRGLWPSYEEWRPEYCNISDRLDPGQIQDLVKPLNQSWPSLLRNETNLELWSHEWSKHGTCSNLSQHGYFAAALALDKLKLTNLTKILADGGVVPSDEKTYTLGEISDALAKGTGFSTYLRCSQNELKYGETLLYEVLQCVDRSGEKLVNCTTPYWVTRCLDPDKIKIPAWFYGQ >ORUFI07G24250.1 pep chromosome:OR_W1943:7:22916741:22919195:1 gene:ORUFI07G24250 transcript:ORUFI07G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRTMKVVFSLALLLLPLASVAEEFDFMYLAQQWPDSYCSTHKCLVKPPPPSHFTIHGLWPSYNKLIDGKMWLEDCNKEDPLDPTQIQDLEKQLDQKWPSLKQTNLEFWSLEWKKHGTCSNLGQHAYFEAALALERLTNLTKILADGGVGPSDVKTYTFREISDALARGTGFRTYFKCSKNKAGDTLLSEVRQCVDRYGEKLINCTALSFDYCDNADKIKMPQSGYMISSSTSYNLAATRSIYIIMHLYLLGVMHVYY >ORUFI07G24260.1 pep chromosome:OR_W1943:7:22932382:22933788:1 gene:ORUFI07G24260 transcript:ORUFI07G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVVAVAALLLAAGWPGSFCDTQAGCCFPDTGKPAAEFGIHGLWPNYAKCRPAAGAVADDDDGVVEMVVDGGAAVERHHRRRQKCWPEYCDDGNKLRPWEIKDLVAELDANWPTLSCKGGKSFEFWSYEWKKHGTCSGMGQHGYFAAALELKKRHDLAAVLAGAGIVPSDDESYSLGSIRDAIAAATGAVPNLECNRDAAGETQLFQVYQCVDRSGKKLVDCQLPMQGKCRDKVKLPTF >ORUFI07G24270.1 pep chromosome:OR_W1943:7:22938665:22941867:1 gene:ORUFI07G24270 transcript:ORUFI07G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRGSACFVVFAAAAAASPGAGDGSPAPRRRPLPEEGTADDHPAQQRRPPSHQLSRKFQDIIEELQHCADELRARRSIKKDDMDDTPFNYEINKIKGYWTEIRSAKICYLYGQGRMLALTLLKRAKDAIGLASTIMTVRMYVSTFVKTAEDTYHRKVDKATVLSFLCALQGLAAVSRILFEDALASVRSIQPDYSPKRDVEAINRNYQQEIQCLINKFGEASTTEALEILHCTVNDLAQKVSSYVTIMTTLRTSTLAHVPGRTIASCDAAPPDDRQN >ORUFI07G24280.1 pep chromosome:OR_W1943:7:22943779:22944993:-1 gene:ORUFI07G24280 transcript:ORUFI07G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAMSTLNLSSSPLTKQQLRFGASTLSAEHVSGFSSARPAPSLCCSSSHWRAVKLRPRRRPWRRAGNRVVAQAGGYKVAILGAAGGIGQPLSLLVKMSPLVSALHLYDIANVDGVTADLGHCNTPAKVAGFTGKEELAGCLAGVDVVVIPAGVPRKPGMTRDDLFGINAGIVRELVEAVADHAPAALVHVISNPVNSTVPIAAEVLKRKGVYDPRKLFGVTTLDVVRANTFVAEMKGLPLADVDVPVVGGHAAATILPLLSKARPKTAFTDEEVEALTRRIQNAGTEVVEAKAKAGGGSATLSMAYAAARFLEASLRGLDGDADVYECSYVQCQAVPELPFFACRVKLGRDGVEEVAAAGAELRGLTEFEARALEALKPQLKKSIDKGVAYAQQQPATVAMG >ORUFI07G24290.1 pep chromosome:OR_W1943:7:22947988:22954930:1 gene:ORUFI07G24290 transcript:ORUFI07G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGEIGGAAVFALAAAAALSAASSLGAVDFRRPLAAVGGGGAFEWDGVVPWLIGVLGGGDEAAAGGVSVGVAAWYEVWVRVRGGVIAPTLQVAVWVCMVMSVMLVVEATFNSAVSLGVKAIGWRPEWRFKWEPLAGADEEKGRGEYPMVMVQIPMYNELEVYKLSIGAACELKWPKDKLIVQVLDDSTDPFIKNLVELECESWASKGVNIKYVTRSSRKGFKAGALKKGMECDYTKQCEYIAIFDADFQPEPNFLLRTVPFLMHNPNVALVQARWAFGKDFIPNFAVNDTTSLLTRVQKMFFDYHFKVEQEAGSATFAFFSFNGTAGVWRTTAINEAGGWKDRTTVEDMDLAVRASLNGWKFIYVGDIRVKSELPSTYGAYCRQQFRWACGGANLFRKIAMDVLVAKDISLLKKFYMLYSFFLVRRVVAPMVACVLYNIIVPLSVMIPELFIPIWGVAYIPMALLIITTIRNPRNLHIMPFWILFESVMTVLRMRAALTGLMELSGFNKWTVTKKIGSSVEDTQVPLLPKTRKRLRDRINLPEIGFSVFLIFCASYNLIFHGKTSYYFNLYLQGLAFLLLGFNFTGNFACCQ >ORUFI07G24300.1 pep chromosome:OR_W1943:7:22953604:22957499:-1 gene:ORUFI07G24300 transcript:ORUFI07G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPTGCLGFEAAAAVCPAAFQAYQKYYDIVSAFSNVNTREGLAELSQVIDGMEGLRDAIFSDIPKLMSALDLDDAHRFSIFYDFVFFISRENGQKNISVQRAVGAWRMVLNGRFRLLDRWCNFVEKYQRYNITEDVWQQLLAFSRCVNEDLEGYDPKGAWPVLVDDFVEHMHRIYNSCDCSSAMESQLNISNTFGGINPLPGSKRKCPTRLKPNEDVDLSDNFTRSVHLAPLKRLKESPVITKYGVWEYNAGTPLVHSPSDYCDDANLHNPRGCLQNSPSIVEDCLSKGFEGCISMKCSF >ORUFI07G24310.1 pep chromosome:OR_W1943:7:22959528:22962099:1 gene:ORUFI07G24310 transcript:ORUFI07G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEASCRICQENFSTTVNDIANGLMSASVSTMSKTTTEHEQRKMPDVAVPALVLLTATFSHVCNSVMADWFVSRQFIPAPK >ORUFI07G24320.1 pep chromosome:OR_W1943:7:22963242:22963799:1 gene:ORUFI07G24320 transcript:ORUFI07G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANGVDLATAGLLRLLAMGMGPSVQIPGEGGVPVMMVQHIIIGDDGGDLFSGGLGGGVPPASKAAIASLKEVKAGEDGEGGDSLGDCAICLDAFAAGKEMPCGHRFHSECLERWLGVHGSCPVCRRELPAAEQQPPEEQQSGGADAGERRRPRAVVVSYVVLGDGGRGGERGEPWNIRIEDVD >ORUFI07G24330.1 pep chromosome:OR_W1943:7:22965966:22967414:-1 gene:ORUFI07G24330 transcript:ORUFI07G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSASGRSLLVILLAVSLLLVASSDAARFTRSNRMAMMVVEAPARPGVGVSGSAEDDVSTSDAIVEMFGRMALQTTDYPPSGPNDRHTPKAPGT >ORUFI07G24340.1 pep chromosome:OR_W1943:7:22983670:22984311:1 gene:ORUFI07G24340 transcript:ORUFI07G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSPSRPLLRRVLSFREPLLLIPYIVGFLAAASSGFFYSYSSFLHSFARSLVPAAAAGAVVKCAYLSAAADSDEPCSSCGGEDEDVVAAVEEEEAHLSKVEVEEIMERIGLGVGGHGEGLKARMGRDEVSRLFDADEPSFAEVRRAFAVFDGNADGFIDADDLRAALARLGFREDAAACRAMIAASCGSVDARMNLFQFVKFLETGLC >ORUFI07G24350.1 pep chromosome:OR_W1943:7:22986853:22989373:1 gene:ORUFI07G24350 transcript:ORUFI07G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSTSLTPTFLPAVPCPKPAPPASACFPCALPPRAALAAPPAPLRRRLSPVAVAVSSEVEEEEGGAESEGEFAEDLKVFVGNLPFSVDSAQLAGLFEQAGSVEMVETGRSRGFGFVTMSTAEEAGAAVEQFNGYTFQGRPLRVNSGPPPPRDDFAPRSPRGGGSNFDSSNKLYVGNLAWGVDNSTLENLFSEQGTVLDAKVIYDRESGRSRGFGFVTYGSAEEVNNAISNLDGVDLDGRQIRVTVAESKPRRQF >ORUFI07G24360.1 pep chromosome:OR_W1943:7:22996390:22997805:1 gene:ORUFI07G24360 transcript:ORUFI07G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWDTIHGIALRKSRQSAFRKSSQSQFNQRQSFPTYLDQKLFFLAGLAPAAAAAGPTGQVTVFWGRNKDEGSLREACDTGLYTMVVMSFLNVYGARGGEYRLDLAGHPVGCIGGDVKHCQRKGVLVSLAIGGGAYSLPTNQSALDLFEHLWNTYLGGGGGKGAVAAARPFGDAVLDGVDFFLDRATPAERYDVLATELAKRGKPPRRALHLTATTRCAFPDRGAARALATGAFERVHVRFYGGGGGGDDNCTVYWEDAWDRWTAAYPRSRIYFGLPAAPAVAEEEQDGRSGYVYPKTLCYRYVPELQKAANYGGFMIWDRYSDKQSGYSGYVKNWA >ORUFI07G24370.1 pep chromosome:OR_W1943:7:22996825:22997769:-1 gene:ORUFI07G24370 transcript:ORUFI07G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMVYKPVSHASRRDPSSLFRPQNTVTCPVGPAAAAAGAKPARKKSWRRSAPTMTTKLWRVKVSAIDDLLCRKE >ORUFI07G24380.1 pep chromosome:OR_W1943:7:22999285:23002827:-1 gene:ORUFI07G24380 transcript:ORUFI07G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLDGNGGAGAAGDHSHDVVIDVGGATTSSCVVCMEPLEWAAIGPCGHGDVCAGCALHIRVFQNNRRCCICRAPCRVVVVTSHGAIVAAAAAGGGWPAVSSRLPMSRTRRGGYSRREGRVGEYWYHTGMGAFFDDERQYAAAKAAARLGPPPCGDANENPPPPPPPPPPTTTTRTRTGVSNSDGQGSLLTQREKMEALVFILVVVVILVVMAFLDGNSAAAGDHSHVVIDIGAAGDGDSRRRSSSSCAVCTEPLEWAAIGPCGHGDVCAGCSLRIRVFQNNRRCCICRAPCRVVVVTKHVDAIAAAAGGGGGGWRAVSSRLPRSQTGGGGYSQVEGRVGEHWWYHAGMRAFFDDERQYAAATAAARPPSCGKESDDDHRPPQARSTSVGNEQHRISRRDPPDEHEQHVPQFVEAVLIGLLVVAAVGLVVLIICVRL >ORUFI07G24390.1 pep chromosome:OR_W1943:7:23002914:23007098:-1 gene:ORUFI07G24390 transcript:ORUFI07G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFDANDDDDDHVHVENAAATAAACSSSPARPTTAQPHLTNDDDHHSHVIDIDAAAAAAAGGRRSCAVCMETLEWAAIGPCGHGEVCAGCALHIRVFQNNRLCCICRSPCRVVVVTRRRLARRLLAATEVADRWRVEGRVGEYWYHAGMEAFFDDERQYEAAKAAAQLGPPPPPPPQPSGDANENPPPPPPPLRTGVGNSDGRRARRDPPDQQCDGTAIGLFGLFIIGVFFVAGISNDDVAYMET >ORUFI07G24400.1 pep chromosome:OR_W1943:7:23007584:23008215:1 gene:ORUFI07G24400 transcript:ORUFI07G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVDGECPARGGTAAASARAAPPSSSRRRRRYCNGVYLGFLVFIVLFGFIMGALVAESMGNRRGKVVVMVLCPLTFVFFGACMFHMEWTTAVINHELEMMV >ORUFI07G24410.1 pep chromosome:OR_W1943:7:23013035:23021857:1 gene:ORUFI07G24410 transcript:ORUFI07G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAEVRRYWLPILLAAVGFLFQLLVLPISFPPTHYYALGIERFAPVERVVEAYEQLSKEWLAETNQQTTVDIIKIRYAYELLTNPILKRDYDLFGLDHHKDVLEKVKEQYQKEHFLKIDLPLLKDSSVQSTDYAFNVLGYESFMHTIADEHPLLIMVYSKGSPRCAQFIEKWKQIGSRLDGVANTAMVELGDVQLTGHFAEQRFSKQPFFRNGLPTLVAYPADCRSPSCYMRYPGELFVDSVVDWVATSVVGLPRILYYSKETLGPEFIGKSGHHKVKVIFFSSTGERAAPFLRQAAQEYSTYASFAFVLWKEDESQIWWNSLGVESAPSLVFLKGPGTFTKSEFTKIMEEHKHQELPQLRSDTSLELGCDARGYSRAGNDIAIWYCVIVAGRPGIELSKRRQILRKAQDQLFSDVDASTSENVDSSVVVSSAAAALKDDRLTFVWLDGEVQKKLCAFYLATDYSGACGPGGFGDDNDKSELFIVRFQRNATFEALKAEKTNNLMEALQRQHTADASQLVARYKGPDEIEETSKVPDLVPEETSKEWIKSTKSIRSAGNSVKQRIQSSGFHFGDYLTDPRIGPALLMSACISMGIIWYKSNQRTQTTEDGAPPKDKTKKRRPRPKLSTSLFGQPEYPADADPEPKDARQWEMTDSDSD >ORUFI07G24420.1 pep chromosome:OR_W1943:7:23023406:23028311:1 gene:ORUFI07G24420 transcript:ORUFI07G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRPGSPAPRWAPWPLLAAAAVLLLLSAAPRTARALRFDLESGHTKCISDEIKVNSMVVGKYHVVGPDPNFSDNPLPDSHRISLRVTSPYGNSVHYAENVPSGNFAFTATEAGDYLACFWAPDHKPPVSIGFEFDWRSGVAAKDWSNVAKKGQVDVMEMELKKLEETIKSIHEEMFYLREREEEMQNLNKQTNSRMAWLGFLSLGICLSVAGLQLWHLKTFFERKKLL >ORUFI07G24430.1 pep chromosome:OR_W1943:7:23026862:23031555:-1 gene:ORUFI07G24430 transcript:ORUFI07G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEEAAAARGGGSGSESGGSGGGGRGGGGGGAGSSGSSGARRRFDDKGLVARTSLILWHTHQNDAGAVRKLLEEDAALVNARDYDSRTPLHVAALHGWHDVAECLIANGADVNAQDRWQNTPLADAEGAKRHAMIELLKEHGGLTYGKTGSHFEPKTIPPPLTNKADWEINPLELDFSKAVIIGKGSFGEILKANWRGTPIAVKRILPSLSDDRLVIQDFKHEVNLLIKLRHPNIVQFLGAVTETKPLMLGDLHQYLKEKGALAPATAVNFALDIARGMAYLHNEPNVVIHRDLKPRNILLVNSAANHLKVGDFGLSKIIKAQHANDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGDPPFSNYEPYEAAKYVGEGHRPPFRSKGFTNELKELIELCWSGDIHLRPSFLEILKRLEKIKEYLAAHDHHWHLFSQ >ORUFI07G24440.1 pep chromosome:OR_W1943:7:23037623:23040603:1 gene:ORUFI07G24440 transcript:ORUFI07G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGAHAGGGGGAVVLVLAVVRARGPTEWWPAAARRRRAPRRHAVAAKAGAADARPSSSSDAVSYSSSISTDMPLYEPTGVSFDEYLLDRARVFRAMFPDESRSQRLSDEEWRVQMLPLQFLLLTVHPVVVMQLRHRDGVLDLRITEWELRGLERDYAPASFDLGVRGSLYADRSRGRRACRLRGHLEISIGCRLAEKMKQDVDVGIVADFQRFRREKAAAAAAATGKRTRERKIGDASSSAQSARRAPDMTLSAASKGVSAPPGLPVPGNVGDDPLPNPGVNGPTADGAFAAGVVPPAMELPMCYYVSETEQMVDRFLQTFRECCPRERGKMLTFLRRRIALNLKPRKN >ORUFI07G24440.2 pep chromosome:OR_W1943:7:23037623:23040603:1 gene:ORUFI07G24440 transcript:ORUFI07G24440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGAHAGGGGGAVVLVLAVVRARGPTEWWPAAARRRRAPRRHAVAAKAGAADARPSSSSDAVSYSSSISTDMPLYEPTGVSFDEYLLDRARVFRAMFPDESRSQRLSDEEWRVQMLPLQFLLLTVHPVVVMQLRHRDGVLDLRIQPLNRVRAMCLFVCLFGPEGAAQTEWELRGLERDYAPASFDLGVRGSLYADRSRGRRACRLRGHLEISIGCRLAEKMKQDVDVGIVADFQRFRREKAAAAAAATGKRTRERKIGDASSSAQSARRAPDMTLSAASKGVSAPPGLPVPGNVGDDPLPNPGVNGPTADGAFAAGVVPPAMELPMCYYVSETEQMVDRFLQTFRECCPRERGKMLTFLRRRIALNLKPRKN >ORUFI07G24450.1 pep chromosome:OR_W1943:7:23040231:23046168:-1 gene:ORUFI07G24450 transcript:ORUFI07G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLDGASGDVVVWAAKVEVAGRESVAEIKAGALGGFLEQYNVGQKIEKMQHSSVRLSPRRRIRLLESSGGQAEAIGPQISPATEGEGKRRSLATGMFCVALPNADPTALQEGLNWACGQGHANCAAIQPGGPCYKANNLPASMAGGTTPAANAPSAVGPFTPGFGNGSSPTFPGTGSPGGALTPFDAADSVMSGARRALCALLLASPIFLSLVL >ORUFI07G24450.2 pep chromosome:OR_W1943:7:23040231:23046168:-1 gene:ORUFI07G24450 transcript:ORUFI07G24450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLDGASGDVVVWAAKVEVAGRESVAEIKAGSLLESSGGQAEAIGPQISPATEGEGKRRSLATGMFCVALPNADPTALQEGLNWACGQGHANCAAIQPGGPCYKANNLPASMAGGTTPAANAPSAVGPFTPGFGNGSSPTFPGTGSPGGALTPFDAADSVMSGARRALCALLLASPIFLSLVL >ORUFI07G24460.1 pep chromosome:OR_W1943:7:23046249:23049450:1 gene:ORUFI07G24460 transcript:ORUFI07G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGYSYSPSPPPRSYRRRASSPIPRDRYGGRGRDLPTSLLVRNLRRDCRPEDLRRPFGQFGRLKDIYIPRDYYSGEPRGFGFVQYYDPDDAADAKYYMDGQVILGREVAVVFAEENRKKPSEMRSRDRISGSRGRSYDQRYSRSPRYSPPPRGRSPYRSPSYSRSPSPRYARRRMRERSYSPVESRSRSRSPVEEGYGGGSTRRERSLSVSE >ORUFI07G24470.1 pep chromosome:OR_W1943:7:23051958:23053277:1 gene:ORUFI07G24470 transcript:ORUFI07G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGSCSGHEEEDVLLDGHAYIGNKPNHTTAVDFTRNFERLVASFWRAPPPLPSTLYVYSPDISDPAAFSEAPRIIRMVRGFILFRVVIRSRHRFCIPMEDFDYFVYNVHGRALYRITNPAPLSFHDDVVGLLPRPFRTSARCSVAALVPTPNPSVFALHVFHSNIGRWASTQVVLKDPQQDFPIKIPRNARRLLSHYPSTVITIGGEGGTMGWVDLWRGILLCDILSPDPVLRGVPLPLPRVLFKPDGEISSDHFGCPKPFRRITFINRPDGRPCLRFVDLDVSCTRLPDEDESGRPSFWFDSWSVITWSNFKMSNSFDDWKNDCMPVHADIIILKEQMRMQLLEYKLLRKKPSQDSKSVSTNADWKLENLWVSQPTPSMLPPNIVYLIARAEFMHPKAYVLAVDMIKREVHGVTEFGTMRELAPDIICIPGSVSPA >ORUFI07G24480.1 pep chromosome:OR_W1943:7:23068990:23071721:1 gene:ORUFI07G24480 transcript:ORUFI07G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFSCPVDDETAAVEDAAPVAEQAVLKASLGSGGRLRIEGSLSFKTREQQSLQVETKIPAVTSPRAAPAPMPRELLRTRFADAAAAAAPESPKHEAAAVTLQKMSPTEEDEEFWSRLRSIPSDRVMIQIVHRKNKGWG >ORUFI07G24490.1 pep chromosome:OR_W1943:7:23073236:23076926:-1 gene:ORUFI07G24490 transcript:ORUFI07G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGEARPFLLFSKPKSSKKKPKQEAEPQVHTQPEEPPNPSPSPAIEPDLRDSDEAPAAAVTEHAGDDAAAAAVPSTFAELGLSQWLVDVCDSLGMRVPTAVQRRCIPRALEGRDVLGIAETGSGKTAAFALPILHRLGEDPYGVAALALAPTRELAAQLAEQFRALGAPLGLRCLAAIGGFDSLGQAKGLARRPHVVVATPGRIATLINDDPDLAKVFARTKFLVLDEADRVLDINFEEDLRVIFGSLPKKRQTFLFSATISDNLRSLLELSGNNSYFFEAYEGFKTVDTLKQLYIHVPPDAKELYLFYLLSKMNEDNIRSVIVFVSTCRTCQYLDFLLEELGHPAVSLHSHKPQSRRLAALHNFKSSKVPVLLATDVASRGLDIQTVDLVINYDVPRYPRDYIHRVGRTARATRGGLSISFITTQRDIRLLHEIEDVVGKQLGAYDGEMRDVNKDATKVFKARRLANMKMADEGHEDKVQARKEQKKRAQERKRKHDE >ORUFI07G24500.1 pep chromosome:OR_W1943:7:23079456:23081213:-1 gene:ORUFI07G24500 transcript:ORUFI07G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQDDHDEDAPLFRASSGDDDDHPHPRRRSSPVGEVPVAQSIIKAASNVCFSLFVLAVLVVTVVAVTYQPPDPWLQSSAAITTSLSRVLPNSTFLLPDDSLLPTGEDFNSSSSTPSAPRRDDPDQATATATAAAVNGTCDPDAPLNCSDPRVLAAVKAFNAKAFFRKSIVFLSYEAPVPGPKPGECDVAWRFRNRREKSWRRYRDYRRFVLVPGDGCALDINRVGKFRSGTNAARPPRQKGSKNRNPRVAPPPVDAEINDTIPIVGSEAEFRRGKYLYYMRGGDHCKSMNQFIWSFLCGLGEAKFLNRTFVMDLNMCLSGAHTNNGKDEDGKDFRYYFDFEHLKESVSVVEEGDFLKDWKRWDKKKGPGRISVRKVPSYKVTPMQLKRDKSNIIWRLFDGQEPENYWYRVCEGRAAKVIQRPWYAIWKSKRLMNIVTEIAGRMDWDYDGLHVIRGWKAQNKQMYPNLDADTSPEALVDKVPKLIKQPMRNLYIATNEPFYNYFDKLRSYFHVHLLDDYKELWSNTSEWYNETTTLSGGRPVPFDAYMRVIVDTEVFYRAKTQVETFNNLTRDCKDGINTCNL >ORUFI07G24510.1 pep chromosome:OR_W1943:7:23083167:23087218:1 gene:ORUFI07G24510 transcript:ORUFI07G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEQEQLLSLGVLIDIVDEQWMRDTLPADDVPVPPAMAVKTEEAEDPAPATYTDPVLSMHILKTLILYSPVTCCTAASFMPCAVTCTNGSFLNSLYREALTIEAAGLWFHMLLNWFSLSKDGTICVILKCIFRFMI >ORUFI07G24510.2 pep chromosome:OR_W1943:7:23083120:23087218:1 gene:ORUFI07G24510 transcript:ORUFI07G24510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTELLAKFLGPGFFGLGIGLFGSILFYPPLLERTEELGGDTDSLLFHHWPRARDRREERREEEEMGGVEQEQLLSLGVLIDIVDEQWMRDTLPADDVPVPPAMAVKTEEAEDPAPATYTDPVLSMHILKTLILYSPVTCCTAASFMPCAVTCTNGSFLNSLYREALTIEAAGLWFHMLLNWFSLSKDGTICVILKCIFRFMI >ORUFI07G24520.1 pep chromosome:OR_W1943:7:23088789:23089220:1 gene:ORUFI07G24520 transcript:ORUFI07G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVLMARDQLLQRPRWRYERLRGDGDGDGEEAAAGVCRVRRQLRLRRRVVAAGAAGRMARGGGGGGGGRKKVRLMRLVFLLPARRRRRRRLRRPERQAARLLPREEPLLRRRQRRRLAMLINTSGRHPRRHIHGDGDGELQ >ORUFI07G24530.1 pep chromosome:OR_W1943:7:23089238:23092324:-1 gene:ORUFI07G24530 transcript:ORUFI07G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGPTQVRSNGDGIGPWASLPQAHSCLFQWGPAPHPAGLAGPATAASTARHRHDQASTPRARVLSLVRHRGGGGAGSFRRGTDGAAERGRRRRRRTRGNGRARGSGGGPAAPYRWSRAVARSPAAWMRLGVGGLLVGSIIFAFYEWGLPLLSEKVLLPIMRWEARSFGRHLLAIVLIASLAIFPVVLLPSSPSMWLTGIIFGYGFGFLIIMVGTAIGMSIPYFIGSLFRERLHEWLEKKWPREIALVKLASKGSWFKQFRVIVLLRISPFPYSMLNYTVTVTQIKYGPYICGSVVGMVPDALVNIYSGRLILTLAGLKYHNHRLTTVEIVYNVISITVAFLVAIGFTVYAKRALDEMERSEGTCPEPAGIAHGSTELRAHHQECSNSSSVPIDVVYTVYSDDSLARHVLDLLPESLHGFGYNGEK >ORUFI07G24540.1 pep chromosome:OR_W1943:7:23093782:23095831:-1 gene:ORUFI07G24540 transcript:ORUFI07G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQQHRKATETGANIKLGCSKMQSLKPGKYINKPSGNANTKCRVAVTPPQLYTENQMALKGGSTMEAQFCDSKNFSNRQPNHSGKIKLELFPIDKAIQKVLQQENHNPYLELTLAPRKKISSVVQHLNIKWGNSQCARGELMLFPYDAQLDNIAGSGKWTHSDSCTAADVHAAVGSPLRFRLRYGWFEPDFEQQSHGPNLQDVHSVDKIIDNKPLDPVLMEQKQTVHLSEFPNDFAAQSVGDNAVQTVGNQSKVTPLSWIDCISNISFEELLSEAAPSADNKQLLSQNNTSFSQIPINFDSFDAAIASVIGQQQASNQMKVSNPSLWDAEEACHAFPLQSQTSIRTFGSGPNHSGAITSSILGTIPECVTDVDQQCFTEVWEEEPSPHIPLSCKDNVAPYISLPEFGEPELGAYCSRLLSGTDSLGLSGLLSNSLDAFQKFSVF >ORUFI07G24550.1 pep chromosome:OR_W1943:7:23101837:23106633:1 gene:ORUFI07G24550 transcript:ORUFI07G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDGDEEQSQDYLFKIVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGKALAESQGLFFMETSALDSSNVAEAFQTVVKEIYGILSRKVFQSQEQKRSEQSLSNGKPVMLSDSNEPTSGGRWCCSS >ORUFI07G24550.2 pep chromosome:OR_W1943:7:23101837:23105394:1 gene:ORUFI07G24550 transcript:ORUFI07G24550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDGDEEQSQDYLFKIVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGKALAESQGLFFMETSALDSSNVAEAFQTVVKEIYGILSRKVFQSQEQKRSEQSLSNGKPVMLSDSNEPTSGTVQSTSNDEDIIRDIVMFYY >ORUFI07G24560.1 pep chromosome:OR_W1943:7:23106291:23107150:-1 gene:ORUFI07G24560 transcript:ORUFI07G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRSRKPRNFATFRLCPRPGAADASDRVFFRVDNNPYYVPGFADDDVLGGAAAAAVGEGDDDAPSSSASGETGPLPDHVRREILELGLPDDGYDYLAHLREIRPSISSTGGGGASAAFLPVRRHARAHFGPPVDVKAYDASRVRIGSSGKETTTATAAAVEVEVTRIENAIDPDVARLLEESGEPALAGSESESESEDDDLEEDFVLVANQDDDDFVLVEIENQFEEEEENIAAADDSEEDGLKNGECKVGNSASA >ORUFI07G24570.1 pep chromosome:OR_W1943:7:23108230:23109583:-1 gene:ORUFI07G24570 transcript:ORUFI07G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYTAGAKFDCLLFDMDDTLYPLSLGINLACRKNIQDYMLNKLQIEESLVPKMCLDLYREFGTTMAGLKVLGYDFDYDDFHACVHGTLPYEKLKPDPVLRHLLLSLPQRKIIFTNSDKAHAATVLKKLGLEDCFEGIICFETLNPSTEPEEDDSDSTDGGSSSDSSASHRKRKILCKPSLESMEAVIEIAKLDAMKTVFFDDSPRNIAAGKAAGFHTVIVGSSAAVAGADVALESIHNIKEAVPELWEAAGEHVQAQLAQAAVDLRSAAVETTVLA >ORUFI07G24580.1 pep chromosome:OR_W1943:7:23120717:23125036:1 gene:ORUFI07G24580 transcript:ORUFI07G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSWALAALVLGFLVVAVHGSEPWLNQTQVYSTNANSGSNGVFVGITLIQSAAAKGAVCLDGSLPGYHLHRGFGSGANSWLVNLEGGGWCNDVKSCVFRKSSRRGSSNHMESQLQFTGIMSNRPEENPDFYNWNRVKVRYCDGGSFTGDGADASAGLYFRGQRIWQAAMDDLMAQGMRYANQALLSGCSAGGVSTILHCDEFRGLFSGSTNVKCLADAGMFLDFVDVSGQREMRDFFNGIVSATVHHVMILLFVMTVTLLLHGSGRSLPRSCTSRMDKTSCFFPQNVVPNIQTPTFILNTAYDVWQLQQSVAPKRADPQGLWRGCRMNHASCNSNQLQFLQGFRNQMLDAVRGFSGARQNGLFINSCFAHCQSERQDTWYAGDSPRLGNKRIAEAVGDWFFDRADAKYTDCAYPCDGTCHHLTFRGDY >ORUFI07G24590.1 pep chromosome:OR_W1943:7:23127973:23128980:1 gene:ORUFI07G24590 transcript:ORUFI07G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLCNVGLVLADRCCMHACVALLYTLVENYIRFETPNSSKDQPETRLMLKAMKNNLLEGPGHWPSFCLSHRPRKNYTLDDIGNLTSSQLSSIF >ORUFI07G24600.1 pep chromosome:OR_W1943:7:23135272:23137283:1 gene:ORUFI07G24600 transcript:ORUFI07G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRAGGARKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIHLHSMLGNKWSQIAAQLPGRTDNEVKNFWNSYIKKKLRQRGIDPATHKPLAEVVAAAACARTPAVFSDAELILSSIGAQPPPESYMYYDGSRYSTECGAASVGGDGSLSSLSGYSQATAEFAVDGASASALLHCGGGGPTSSGGGAPPAPTAAVIPSVSSSSTLNSMVGLSPAATTTTTTTDEQYGNTNHLPWLELGPSTTTPSSSSAAATFDHYGAALDELKWSDYVFDGYNHQLPPYNHGGIYGGGDSKDTAVHFDAHALGNWC >ORUFI07G24610.1 pep chromosome:OR_W1943:7:23142379:23142789:1 gene:ORUFI07G24610 transcript:ORUFI07G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPMPAPPLPSSSPPPGHDAATAAAATGTTPLHPSFRGAPPPSPSTYIIQIPKDQVLRVPPPDRAARKPPPAPPRVLCRLQRVPPPGPPRRRPVARVPPGAEERDGVLDGVDRRRRELHGGVEEAARSARCP >ORUFI07G24620.1 pep chromosome:OR_W1943:7:23147215:23155926:1 gene:ORUFI07G24620 transcript:ORUFI07G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFVWMVAAAAAAVASWAFIAVVVKLVWRPRAISRRLRAQAVGGPGYREDVLVLVLSDRTGMYPKDLTNPYFAHLLGKGLVLIDGDEWKRHYKVVHPAFDMDKLKMMTVTISDCTGSMMSEWESELGMKGGSAEIELSQRFQELTADVISRTAFGSSYSEGKQVFLAQRKLQFLAFSMFLTIQIPGFRYLPTKKNLKIWSLDKKVRSMLRNIIKIRLANKDTMGYGNDLLGLMLETCAPEHDESQQLSMDEIIAECKTFFFGGHDTTSHLLTWTMFLLSTHPEWMRKIRKEVTTMCGDEVPTGDMLNKMNLLNMFLLETLRLYSPVSLISRRTGTNAKFGGIKVPEGTILRIPIATIHRDKEVWGEDADEFKPARFENGVSKAAKHPNALLSFSNGPRSCIGQNFAMIEAKAVITMILQRFSFTLSPKYVHTPISVITLRPKYGLPMILRSLKSSNMILCLLPNGVSKEAKNPNALLSFSSGPRSCIGQNFAMLEAKAVITMILQRFSFTLSPKYVTHQPPPVTTDDQCLNHTNTS >ORUFI07G24620.2 pep chromosome:OR_W1943:7:23155789:23162882:1 gene:ORUFI07G24620 transcript:ORUFI07G24620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEWTVATAAAAVAASWAFIAVVVKLVWWPRAITRRLGAQGVAGPGYRFFSGNLSEIRRLRAEGANLVLDVSSHDFVPIVQPHIRKWIPLYGKTFLYWFGTRPNICLADMNMVRQVLSDRTGMFPKYIDNMQFARLLGKGLVLTDDDEWKRHYKVVHPAFDMDKLKMMTETISDCARSMMFEWESELGMKGGSTEIELSRWFEELTADVISRTAFGSSYREGKQVFLAQRKLQFLAFSAFLTIQIPGFSYLLTKKNLKTWSLDKKVRSMLMNIIKSRLTNKETMGYGNDLLGLMLEACVPEHGGSQPQLSMDDIIAECKTFFFAGHDTTSQLLTWTMFLLSTHQHWMEKLRKEVRMVCNDEVPTGDMLNKLKLVNMFLLETLRLYGPVSLVTRRAGTDVKLGSIKVPKGTILTIPIATIHHDKEVWGEDADEFKPERFENGRSTPAHYSFSIGLRSCIGQNFAMIEAKTIIAMILQRFSFTLSPKYVHTPISVITLRPKYGLPMILRSLKVKRDSHPEVKPAMAHGRAASNV >ORUFI07G24620.3 pep chromosome:OR_W1943:7:23162794:23165684:1 gene:ORUFI07G24620 transcript:ORUFI07G24620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLGWMVAAAVAAVVASWAFDAVVKLVWRPRAITRRLRAQGVGGPGYRFFSGNLGEIRRLRDEGAGVVLDVSSHDFVPIVQPHFRKWIPLYGKTFMYWFGARPTICLADVSMVRQVLSDRTGMYPKNVSNPYFARLLGKGLVLTDGDEWKRHRKVVHPAFNMDKLKMMTVTMSDCAQSMISEWESELGTKGDIVEIELSRQFEELTADVISHTAFGSSYKEGKQVFLAQRELQFLAFSTFLSIQIPGSSYLPTKKNLKTWSVDKKVRSMLTDIIKSRLNNKDVAGYGNDLLGLMLEACAPEHGESQPQLSMDEIIAECKTFFFAGHDTTSHLLTWTMFLLSTHPEWQEKLREEVATECDGKVPTGDMLNKLKLVNMFLLETLRLYGPVAFIQRRVNAELELGGITVPEGTVLSIPIATIHRDKEVWGEDADIFKPERFENGVSKAGKYPNALLSFSSGPRACIGQNFAMIEAKAVIAMILQRFSFTLSPKYVHAPTDVITLRPKYGLPMILKSLKV >ORUFI07G24630.1 pep chromosome:OR_W1943:7:23168410:23173124:1 gene:ORUFI07G24630 transcript:ORUFI07G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLDTHGQNPTCTSSLHQHQLRSSDLAASPASRQDIKPTLHQNSVIEKLTWHTVQDGRFGGLRFFARGPLAALCLGGKEPPCKIRKGSKGPRCKKN >ORUFI07G24640.1 pep chromosome:OR_W1943:7:23175546:23178241:1 gene:ORUFI07G24640 transcript:ORUFI07G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRRAGDVVPARRAVAPRVAAARRGRGAAAAGRARPRVQAARREPRRHQQAPRGRRRRRRRRGARRRLPRLHPLRPASVPPMDPSLRASVLVLVWMDAGHVRGRRRGGEAGALGQDRAVPQERDDTDAAQAVRQGARPGQRRRVAATQEGRPPRLQHGQAQDDDGDNGRRRTVDGVEVGGEGGEPWREGGDRAEQPVRGADCGRDLSHGVREQLRGGEAGFHGDQGAPVHRLLKPSQRPNPRLQVFSNQEESESMEAGQEGEEYADADNQQQTRRRREGEGRRRWRWLRRGPARADAGGQRATGAGHEASSAAGAEHGRDHRRVQDLLLCRAGDHLAPPLLDHVSSQHAPGLAGQAPGRSRERVRRRRRRGRRRPAPHLRHARQAEAAKSVLTGDAAAVQPGAGDPEADGGGGGDGRRDGAGRHDADVPDRDDAPRRGGVGRRRRGVRPDEVRRRRRRHGQAAVLLHGAEGVRRAELRHGRGEGRRRRDTQAVQAGALAGVRPRAHRRHHAPPQARPPHGRHTRPRVTWIQAIRHPSGGRYLHVHVNSY >ORUFI07G24650.1 pep chromosome:OR_W1943:7:23178870:23180987:1 gene:ORUFI07G24650 transcript:ORUFI07G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAAAAAAAVKGRIKSRPRLPPLASPATTSTCAPAPAATARRGSHAAASQVVSHASLLLRLQSCPDFQEARRLHAAVLVGGHGHGTVLVAQLVRAYAKLGDVAHALRVFDGMPRRNSFAWNAVIKGLVDAGRFSEALEMFWGMVNDGSVAVDGFTYPPVIKACAALGAVAQGRKVWEMVETDIASGNARPNVFVQCALVDMFAKCGCLDEARNVFESMQVRDLAAWTAMIGGTVHSGNWLEVVDLFNHMRSEGFGVDSLIAATVISACGRAGELQVGTALHGCAVKSGASGDIYVSNALVDMYCKCGCVEMADCLFWSTNSKDVVSWSSLIVGYSQNGMHNVSVSLFCEMISLGINPNSSTLASILPCLSVLKLIRSGKEIHCFSIRHGLERSEFVVSALIDLYSKQGLIRVAETIFWLTLDKDLAIWNSMVAGYAVNGYSDSAFCALRLLQKVGLKPDHVTVVSVLPLCNQHHMLIQGKELHAYVIKYCINSVCSVNNALLDMYCKCGFLEVAKEVFQLMTERNTVTYNILISSFGKHNHEDQALSFFDLMKRDGIAPDKVTFVALLSCCSHAGLIDKGLHLYHSMLHDYNISPEKEHYSCIVDLYSRCGKLDEAWCFMSNMAEEPEIDVLGGLLAACRVHNRMDIAELVGKRIFEQNPNDPGYHILLSNIYADAGMWSDVTRIRTMIQERNLKKETGNSLT >ORUFI07G24660.1 pep chromosome:OR_W1943:7:23185048:23186286:1 gene:ORUFI07G24660 transcript:ORUFI07G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTATCIDIILAIILPPLGVFFKFGCGIEFWICLLLTFFGYLPGIIYAVWVITK >ORUFI07G24670.1 pep chromosome:OR_W1943:7:23188333:23190132:-1 gene:ORUFI07G24670 transcript:ORUFI07G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPAAAASPSSELTKSKKKKIKSKDAATAAAVDPPSLAEAEAKTDGYLIKPQSLVPSLDTSTWPLLLKNYDRLNVRTGHYTPLPSGHSPLKRPIAEYLRYGVINLDKPSNPSSHEVVAWIKRLLRVDKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCVARFHAAVPDTARVARALEALTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDADRHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDARWAMDNYNDETYLRRIVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIDVGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHDAVAKIKRVVMDRDTYPRKWGLGPVALKKKKMVAEGLLDKHGKPNEKTPAEWIRNVALPTGGDAMIASIAAAPEEAKVKAEQDVVATEEVKEKKKKKKHKDEEDAADEGRKRKIEDDDASASVPVKKIKVEESAEEVEGEKSEKKKKKKDKGEQGSGDAEVKEEKADISDGEKVGSEKKKKKKKSKEGGDVVDPESAANGDGVEAEKSEKKKEKKKKKSRDTEEA >ORUFI07G24680.1 pep chromosome:OR_W1943:7:23195023:23195997:1 gene:ORUFI07G24680 transcript:ORUFI07G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAAGGGSVGGVGSSSGGGERRPLFQRLWTEEDEIVILRGFAEFTAARGTAFASHQYDTDPFYEDMRGRLQLGFSKSQLVEKLRRLKRKYRNCVSRLRGSGSAFSFRSPHEQAIFEIARNIWRPTNKHGRDGDSDDEDATQVTPAPVPVNTSPNGEVKSPTSGRQRRRRRGGDFAATAPAAAPATTNMVQPIQPVQVPVSVPVKMDDTLPALSQTTMPITVTMEGSEPLRLPVMPPQPAVLDADKSCLTPLFKEMVRAVINIESNPFGAQLSEPPHGLPMEGEKWRKQRILELEVYLKRIELLQDQVKAMLEELKSSAPGT >ORUFI07G24690.1 pep chromosome:OR_W1943:7:23198553:23211190:1 gene:ORUFI07G24690 transcript:ORUFI07G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRWVQGRRGYYSPSSENPQIPSPARARALNFLGEEKISPWREPRPRMAGLGGGWGGGYDDDDDWGLSAEQLDQLERDAYRKLAERKASSSAASTATSPLPSAAYSPVKNSHHHPASRVSQESCFGKVESLSPSRLSQPNASGNAVNNSQGNLSKVSVHLFLHSTGVIAAKFQYHQKLVDAVHKIPKASWNGKERVWMFPHSSLSVAEEVLSTVPGIAVEVQKLDPLVKRALTASLYAGDLRDLYGKIPTDVESKLMPFQREGVRFALQHGARTLIADEMGLGKTLQAIAVASCLHDAWPVLVISPSSLRLHWASVVLPQTGGSNKAGYRLVYSNTKGDFNLDGVFNVISYDVVPKIKDMLLDLDFKIVIADESHFLKNAQAKRTMHSLPVLQKAKYVVLLSGTPALSRPIELFTQLQALYPTVYKNVNEYGNRYCKGGFFGLYQGASNHEELHNLMKATVMIRRLKKDVLSQLPVKRRQQLETVKIKIQSCDSQETMDSLKFAQKNLINKIYNDSAEAKIPAVLDYLGTIIEAECKFLIFAHHQSMLEAIHQHLLKKKVKCIRIDGQTPVPVRQTLVTDFQNKDDIKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQGKLENLGQMLDGQEKTLDVSQSDTRPSPSKQKTLDAYLKRCSNSTEADQPKLKNPRF >ORUFI07G24700.1 pep chromosome:OR_W1943:7:23214129:23216688:1 gene:ORUFI07G24700 transcript:ORUFI07G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKNKSQLPIKAPLKDAEQGITSDYIGGDALDDLLSKLIKSVEVAKASREGLPEKIWMKRQFAVGVNDVTRVLERMPAATAATHSGHSSTEAITDKALCRAPPVLLQVVLVAADCNPKWLTKHIPTLASTRQVPVLCLKDNKESSLRLGQVVNVRTALAIGVKARDSIINKAIDEVLKTANLVAKEP >ORUFI07G24710.1 pep chromosome:OR_W1943:7:23234274:23234861:-1 gene:ORUFI07G24710 transcript:ORUFI07G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVIVAAACIFLSCSASVAHGRRFVRSSSYDKPCKEMRLYLHDILYDYSNSTANSTSAAAAKPTALATAVPSTGGTFFGQVVVFNDPMTEGRALPPSLEETAVRAQGVYLYNSKEAFNAWFAFSIVFNSTGRRGTLNLMGADIIAEKTRDISVVGGTGDFFMSRGVATLRTDAFEGFTYFRLQMDIKLYECYVV >ORUFI07G24720.1 pep chromosome:OR_W1943:7:23234946:23237482:-1 gene:ORUFI07G24720 transcript:ORUFI07G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAVTPTAPLHHANPPPLLVVAPPSSLNRRPHLQHPHLSDVVDPSPYPCLHPARVLVPSSPSKSKPALTGT >ORUFI07G24730.1 pep chromosome:OR_W1943:7:23238166:23238696:-1 gene:ORUFI07G24730 transcript:ORUFI07G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDEDILYDFSNSTSNSTSAAATKPTPLSTAVSSPGXNSTSAAATKPTALSTAVSSPGYFFGRVVVFNDPMTEGRALPPSLEETAVRAQGLYLLDKKDDFSAWFAFSIVFNSTARRGTLNLMGADPNAATRDISVVGGTGDFFMSRGVATLRTDAIEGFKYFRVQMDIKLYECYF >ORUFI07G24740.1 pep chromosome:OR_W1943:7:23243682:23244501:-1 gene:ORUFI07G24740 transcript:ORUFI07G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYLHDILYDYSNSTSNSTSAAATKPTALSAAVSNPGFFFGRMVVFNDPVTEGRALPPSLEETVVRAQGLYLYDGKVVFDAWFAFTVVFNSTAHHGTLNLMGADPNTEMRDISVVGGTAV >ORUFI07G24750.1 pep chromosome:OR_W1943:7:23251829:23257258:1 gene:ORUFI07G24750 transcript:ORUFI07G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTSMNPVTDPVAAATGRVAIRQLPIKTQPNSQSLTPFLQLPPKPPPNLLFSSPLASVELRHRARARRRRRPSHPRRAPAMRMGKYEMGRALGEGHFGKVKLARHADTGAAFAIKILDRQRILAMKIDEQIKREIATLKLLKHPNVVRLHEVSASKTKIYMVLEYVNGGELFDKIALKGKLSEKEGRKLFQQLMDAVSYCHEKGVYHRDLKPENVLVDAKGNIKVSDFGLSALPQNQRKDGLLHTTCGSPNYIAPEVLLNRGYDGSLSDIWSCGVILYVMLTGSLPFDDQNTVVLYQKILKGDARIPKWLSPGAQDILRKILDPNPITRLDITGIRAHEWFRQDYTPAMPFNDDDDNNISDGNLHMTENQDIETSPAISQINAFQLIGMSSCLDLSGFFEKEDVSERKIRFVSNYSPTSLFEKIESTVTEKGFQVQKNSGKLKVIQVCKEPANPRGHGNLLISAEVFEINESLYVVELKRSSGDCSLYRQLCASLSEDLGICKRQQLLKKDSMRQDLCRYNSSF >ORUFI07G24760.1 pep chromosome:OR_W1943:7:23253144:23258274:-1 gene:ORUFI07G24760 transcript:ORUFI07G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKRGAAAAATAALPEQQAVHARLEKSARPDVLYATGVMRAYLRASLPLQSLRLLAGLLPRAPRLLATSFSLSVALQACGSAAAPVSVGAALHARALRSGFAAADLFVRTALVEMYAKAGRVDLARDAFDEAPLRDVFLCNVMLAAYVSRSEVAEARKVFDGMPMRDLVSWNTMIHGYAMRGEVGLAREIFDGTEDRDAFSWSSMISAYAKSRRSKEALELWREMHAASIIPDCITLVSVVSACSDLGALAVGAEVHRFVESNRIELDLKLGTALIGMYAKCGDIESAQRVFDRMPEKDVQTWSSMIIGLANHGLGHESLSLFSKMISEGMKPNGVTFVGVLIACTHVGLVSEGKKYFRSMNEVHGIEPTVEHYGCMVDLLGRSGHVEEARQLIRSMTFEPDTIIWRALLGACRIHKNVEIAEEAMAKLRVLDPLGDGHYVLLSNIYAQANSWEGVAEMRKTIRRDNIQRIPGRSSIEWDEKIHEFVSGDRLHPRSKEIYRVLEEMMDRLKQAGYKPMTGLVLQDIDEQSKERSLAEHSEKLAIAFALLTTPARSTIRITKNLRACEDCHSAMKLISLVYDRKLIVRDRNRFHHFSEGQCSCKDYWESGLKVA >ORUFI07G24770.1 pep chromosome:OR_W1943:7:23259030:23260826:1 gene:ORUFI07G24770 transcript:ORUFI07G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEAMELLGLPAHTRPSPSEVKAAYRRMVMESHPDRVPTHQKSQAESKFKQISEAYSCLKDGRRYGSRMEVHVMRSGVPTGFGRSNKTLVKAPFLLIIFAAVSLGGFSASRAYQRQKAMCSSQNPFLP >ORUFI07G24780.1 pep chromosome:OR_W1943:7:23262456:23265641:-1 gene:ORUFI07G24780 transcript:ORUFI07G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYATAKTSVWWDIENCQVPRACDPNLIAQNMSSALAAAGYTGPVSISAYGDIGRIGNAVTHALSSTGISLNHVPAGIKDASDKKILVDMLFWAIDNPPPANYLLISGDRDFSNALHKLTMRRYNILLAQPPNVSQALTAAAKSVWLWKSLVAGEPPLAESPYVSSTASGNMVELDKSKNINSDSSDTTTDTNPQNGLQSDHQKGGNGKADKQSKVKQPRRNQSDNVSKPASNEENSVEVADNSKEYTTDHPTQSSMPSSSSSSSSESQDGAKVNQSSKPKVQPFSLPKKPAKSAHCHQKTAPHDFNSKKSGASAESAAKNGTPDSGNGGGYNPKHHKPHTSQSPRPQNSITHPHSGSEIFHHTLSSQRTNSCSPSAGHNGAPTAPLQSWPSAPPYHSPPVNYPDLNRINISGYPRGIHDNQGVNMNYHPNHSGSPHNVQPAYNSYRPPTPPSMPSNMQNAGQWGVNPGYPQPSSDPQGLIRNILGALEVLKTEKIPPIEQHISDCIRYGEANLPNFDVKKALELAIQHQAIVLKMLGPMSFYLGKNQNLWKCVNIMDINAKYPKDTFDAVHRFISSTSGSSAIKNSRSKYQAAIVLKNQCLKHLALGEVLQILYIIINTKKWFVPHSSGWQPLSFNIIVVDATTGAGGKA >ORUFI07G24790.1 pep chromosome:OR_W1943:7:23269372:23272707:-1 gene:ORUFI07G24790 transcript:ORUFI07G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVGRWGSMKQTGVSLRYMMEFGSRPTERNLLLSAQFLQKELPIRIARRALELESLPFGLSRKPAILKVILGEWSVNKVRDWYLDSFRDIRYFPEVRNRNDELAFTQMIKMIKVRHNNVVPTMALGVQQLKNEQYRTRKIPTAFDEIHEFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTELSPIQVAQAASEDARSICLREYGSAPEIDIYGDPTFTFPYVSSHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGAEDVTIKVSDEGGGIPRSGLPRIFTYLYSTAKNPPDMDCPSEGVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >ORUFI07G24790.2 pep chromosome:OR_W1943:7:23269372:23272707:-1 gene:ORUFI07G24790 transcript:ORUFI07G24790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVGRWGSMKQTGVSLRYMMEFGSRPTERNLLLSAQFLQKELPIRIARRALELESLPFGLSRKPAILKVRDWYLDSFRDIRYFPEVRNRNDELAFTQMIKMIKVRHNNVVPTMALGVQQLKNEQYRTRKIPTAFDEIHEFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTELSPIQVAQAASEDARSICLREYGSAPEIDIYGDPTFTFPYVSSHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGAEDVTIKVSDEGGGIPRSGLPRIFTYLYSTAKNPPDMDCPSEGVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >ORUFI07G24800.1 pep chromosome:OR_W1943:7:23288888:23291782:1 gene:ORUFI07G24800 transcript:ORUFI07G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVPMSPELEQVDGEIQDIFRALQNGFQKMDKIKDSNRQSKQLEDLTGKMRECKRLIKEFDRILKEDEKKNSADVNKQLNDKKQLMIKELNSYVTLRKTYQSSLGNKRIELFDTGNDQVAEDNTVQMASEMSNQQLMDAGRKQMDQTDQVIERSKKVVAQTVEVGSQTAAALSQQTEQMKRIGNELDSVHFSLKKASQMIVNPHNKNIRDIPGLAPPAQNRKLLSIESFGSL >ORUFI07G24810.1 pep chromosome:OR_W1943:7:23292069:23298935:-1 gene:ORUFI07G24810 transcript:ORUFI07G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAAAPGRTAGKASAPSGHHHAGARGGGGDLWSEIMASGGGGGAARIGVVYGRRRAAQEASRPRGAVDVRGVAAGEKRASFEPSKRTSWNRSLSIRGRESILFAPGTKIQPQQNPCRAQKRPPKPGNRVKRTFGGPPDLKKEKAYFEEVDAFELMEESPSPKNFGTWARGMEQNYIVHDLSAILERWKISKLAKFAASRPLFDIMETPVVPSVRSDCSLHDSCRTPEKDRGSRTNPMRRTIPSGLSDKTSIFTSFSELKIKEEPDDSSIPSLSAEAMTAFAQLLLVCNQSAPITLAEAFSTYCLYSSFNEDVHGNSTPGSIVKLGEGTFGEAFRAGSTVCKVVPFDGTSLVNGETQKKAEEVLEEVLLCLTLNNLRADRGDNVKENSCHGFIETKDFWVCQGPYDPSLICAWEDWDAKCCSENDHPNDFSNEQCYIVFVQADGGRDLEKFALLDYNEACSLLVQVTAALAVAESACEFEHRDLHWGNILLDRDETQDKNHTMGFTLQGKNMCTRTFGLNVSIIDFTLSRINTGDAILFLDLSTDPALFEGPKRDKQAETYRKMKQITNDYWEGSFPKTNVVWLIYLVDIVLQKRYSTFTSKDDRELRAFKKRLAKYDSAKDCLTDSFFSDLLLSDEDAQPSTA >ORUFI07G24820.1 pep chromosome:OR_W1943:7:23303880:23307713:1 gene:ORUFI07G24820 transcript:ORUFI07G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSMASLMIVAAIFLLSLTSASVVHGRSSRRRSYDEPCKEMRLYFHDILYDFSNSTANSTSAVVASPAALLRAAKNTTIFGTTVVFNDPMTEGTSALPPSLEETAVRAQGLYLYDGKAASTLNAWLAFSVVFNSTARRGTLSLMGADPSTATRDISVVRGTSDFFMSRGVATLRADAVEGFTYFRLLMDIKLYECYI >ORUFI07G24830.1 pep chromosome:OR_W1943:7:23308644:23319787:-1 gene:ORUFI07G24830 transcript:ORUFI07G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGAGGRRASTSRAAARRVEAETNENDDLAAAAAPSSSSVVGGPAALPFMLPPRSPLAAIADPGRNPRSAPVTPKSLAGTPRAAACAAGSGVRDRTSSIGTSSRRVFDLRDLAAAEVPAEVPHFELDEDPAFWKDRNVQVLIRIRPINAAESTANGQRRCLVQDSSKTLSWTGHPDTMFTFDHVACETISQSTTATAIDYFARTEKLFGVVGLPMVENCMSGYNGCLFAYGQTGSGKTYTMMGELSKLDNELSKDSGLTPRIFEYLFARIKEEEERRREDKLKYICKCSFLEIYNEQITDLLEPSSTNLQIREDIKKGVYVENLMECYVSSVKDVMMLLLQGVANRKMAATNMNSESSRSHSVFTCVIESRWERDSMTHLRFGRLNLVDLAGSERQKSSGAEGERLKEAANINRSLSTLGLVIMTLVDVANGKNRHVPYRDSRLTFLLQDSLGGNSKTTIVANVSPSICSSSETLSTLKFAQRAKLIQNNAKVNEDASGDVMSLQRQIEDLKDQLTCLKKQQNMPGSPSFKLLKSVYGNEFNSLHGVDDQSACDLELLKQKVIHLEDVLVGSLRREKSAETEIRKLECEIKRLNRLVNLMESDTRHLRTTVKLRDEKIRRLELLADNQISSDGYLMDENAAMFQEIQLLQEQINDNSQLTQFALENKRLIEQVRMLEKFSKQGEREMLLTEISLLRNHFLHILEQKYARPPKNMEAQGDVTIKELETCRKELDACLENNVLLAREVNKLRCELKQYQKCGTGQVAPEVVESSVIPGINQKQHDQAGWCGSYLASIDVERQFVDVGITTDITESLELTPPSEIYSENQDSPSRLHFSDPEICDLKNSTKVPEYNSSHNLLDKGIILSGQLENECGLNSVQNDEISLVKENAEKMYGHDEISVYRQNEILHSSEQLLQDELTHIKSLNEGLKEKLIIMAEESTKLSEIIVAKDVEIATLSEEWESAIVDLTSFLTDGCSSLDDAYQNIDNMISSFPYNNHSVSEHVEKAMKVSIEKEKIISRLQIELQAAQRMGREVKEKLHILRGATLAITEAQLLDNDESQEALKLLDLMRQKDCTVQELNDNVKQKSCLFAEATEGYSRHECHLPDNVGTVAEISHNRDGSEVNQANTHYQAKLEDVLHLVEDKSNKVLALFSNFEEAQETMEEAETMLSSLLKANEELKLEKDSCRQAVELLFAERTSLINDLQELEASNSFTAQRYDKLHEQVNGCVAEMTNLATIIKESFHQVQRVTTVELFAFCSEVISFGQDLRKWIYESRSYLVNMGALLEEQGNSYAEQIRRTNSSTYAGVSQQVESCSRQLGGMNGDIFPGTYMVVDGKEKASVHVVPFGSNAELEDTNVERTFDMDYASLRREFDRKSDVAEGLSFDLKLLQESTSQAKDMKDKADEISDALVSVQRELEKKTSAMESILKQQKVLEEELAENGAALLILRSELEHSESLSSELFKENNNLKVMLEEEAMMISETKAMLEDKSKVIEGLEHEILLLNSSEEGRLMSQIKELNDNLKIISIDKGNLEEEILKLTDKLEMAVALAEENEAASIEARQAAEISKVYAEEKEEEVRILERSVEELESTITVLEEEVCNLKEEVRSYQIYKKSEAEQAQEMFIVDSTSKCDATEQLCPGRCQLEKRLKAEIIAHQDARRKIECLTMEASCKDEEVRQYKEHIAELVLHSEAQSLLFQEKYQEMEHMISKQKFGLHESNSDTGHTKFEKPSGRTRGSGSPFRCISSIVQQMNSEKDQEISVARQRIEELEGLICMLTSRLAAVDSMTHDIIRELLGVKLDMTNYANMLDQEELQKLLMASQQQIEQSKAKDVELDMLKEQFDHLIQERDSLFDDMDQRKADLLESQLLIEQLEQREQMLEAQNGILQMEKDNLQQRIMEMDEEIQLLVGSNQAIAETTFQMGSNHRSANSEFSRRLAQSDMLLSHARHEHSRLQAAKSSRTRRGSHQ >ORUFI07G24840.1 pep chromosome:OR_W1943:7:23323208:23325187:1 gene:ORUFI07G24840 transcript:ORUFI07G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTGTIVFATVGVTNFGFDVFSAAVPLPPMEEDAERRHTDGVSVNFNAQFVDDGGEEVAFVSERGGAAGLFRCRPGPEQRAEPLPTVEGSLFHDRPTVRGGRLYFVSAHEQPPAPFRSWAAVYATEIGSKETVRVSPPGVVDMSPAVSDSGELVAVASYGDRPWAFDFRVLETEVAVFRAADPARRVVVVGRGGWPTWHGEGTVFFHRVADDGWWSVFRVDVSPETLEPTGGERRVTPPGLHCFTPAAVGRGGGGRWIAVATRRKGRAQRHVELFDLETESFSPLTERLNPELHHYNPFFSPSGDRVGYHRFRGAGARGDSVVPYLQPVQSPVSSLRMLRVYGTFPSFSPDAAHLAMNGDFFKTPGVTILRSDGAKRWVLTREPNLFYTSWSPAESGVIFTSMGPIFETTKATVRIARLEFDAGELTTGRDEVAATLKVLTRPEAGNDAFPAVSPCGKWVVFRSGRSGHKNLYIVDAAHGEDVGAGEGTIRRLTDGEWIDTMPSWSPDGSLIAFSSNRHDPTNAAVFSIYLVRPDGSGLRRVHVAGPAGSAAADRERINHVCFSPDSRWLLFTANFGGVMAEPISAPNQFQPYGDLYVCRLDGSGLVRLTCNAYENGTPAWGPASSPAAGLESLSLGPGAGDESLGEFDEPLWLTCDV >ORUFI07G24850.1 pep chromosome:OR_W1943:7:23328831:23330317:1 gene:ORUFI07G24850 transcript:ORUFI07G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGDGGGQHDSQRYSRRRGCHETSLQCLRLDTFALQQLISFFFVSAPSAKAAQSFVAMPGLTIGDTVPNLELDSTHGKIRIHDFVGDTYVILFSHPGDFTPVCTTELAAMAGYAKEFDKRGVKLLGISCDDVQSHKDWIKDIEAYKPGNRLTYPIMADPSREAIKQLNMVDPDEKDSNGGHLPSRALHIVGPDKKVKLSFLYPACVGRNMDEVVRAVDALQTAAKHAVATPVNWKPGERVVIPPGVSDDEAKEKFPQGFDTADLPSGKGYLRFTKVG >ORUFI07G24860.1 pep chromosome:OR_W1943:7:23334203:23335726:1 gene:ORUFI07G24860 transcript:ORUFI07G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTLGDVVPDLELDTTHGKIRLHDFVGDAYVIIFSHPADFTPVCTTELSEMAGYAGEFDKRGVKLLGFSCDDVESHKDWIKDIEAYKPGRRVGFPIVADPDREAIRQLNMIDADEKDTAGGELPNRALHIVGPDKKVKLSFLFPACTGRNMAEVLRATDALLTAARHRVATPVNWKPGERVVIPPGVSDEEAKARFPAGFETAQLPSNKCYLRFTQVD >ORUFI07G24870.1 pep chromosome:OR_W1943:7:23337227:23337844:1 gene:ORUFI07G24870 transcript:ORUFI07G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWSNASSLIVAAVILLGLSSASVAHGRRGRRSFVSSYDEPCMEMRLYLHDIIYDYSNSTSNSTSAAATKPTPLSTAVSNPGYFFGEMVVFNDPMTEGRALPPSPAMEEETAVRAQGVYLYDKKEAPNAWFAFSVVFNSTGRRGTLNLMGADLMSEKTRDLSVVGGTGDFFMSRGVATLSTDEVSADLSYFRVKVDIKLYECYV >ORUFI07G24890.1 pep chromosome:OR_W1943:7:23350496:23355145:1 gene:ORUFI07G24890 transcript:ORUFI07G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVLLTLLALVGSVTCQGYTGNASPPTPITYPSPPSLSPSMPPTYPPPSSTPPSPAPVSPSPPTTYPPPSTTPPNPAPTSPSPPAPGLRVGYYSSSCPKAEQIVKDTVKNAVYANRGIGAGLVRLFFHDCFVELVIHVINVAVRDVLFTSDAALRSSETGFSVFLNVVIPGRWESKFAAAMVKMGGIGIKTSANGEIRKNCRLVN >ORUFI07G24900.1 pep chromosome:OR_W1943:7:23368831:23370214:1 gene:ORUFI07G24900 transcript:ORUFI07G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVAMWVACVLAMAAACQGRLRVGYYKRKCAPAEYVVRAVVGNAVRQNPSVGAGIVRMFFHDCFVQL >ORUFI07G24910.1 pep chromosome:OR_W1943:7:23370966:23376615:-1 gene:ORUFI07G24910 transcript:ORUFI07G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding METELHLAAGYCAATGVYRSGHPPQFAAAAALSFPEYILPHMLLPGRRARPAFVDASTGAALSFAGLRALSLRVARALAAAGLRRGRVALLLSPNSLHFPALSLAVLSLGAVLSAANPLLTPDELARQADDAKPFLALVTGELAPKLRSIAPDVKLVLVEQLLADVAAEVDDDETLDLPAANIGRDDAALLFYSSGTTGRSKGVHARQRDRHGRVAGARVGWRRRRRRXGNAIAMAASLERAWGGGGGGGEKPQQYDDHDEAYGCVLPMFHMFGFSSFVMGTAALGATAVVVPGRFSVEKTMAAVEEYGVTRLLVVPPMVVKMVAAAAGDGEPSRRRLRLRQVVSSGAPLQREHMARFRSCFPAVNLGQHNDNGIDKVEMPPSSTDMTFVAVAATTTEVKERSTGGGGGGGGGVSIGRLMPDVEAKIVDPDSGELLPPRRTGELWVRGPSTMRGYLNNEEATALALVAAAGSVSVSGGGERWLRTGDLCYVDSRGLVYVVDRVKELIKCNAYQVAPAELEDVLATHPDIHDAAVAPYPDKEAGEIPMAYVVKKQGSGHLQEDEVISFVQNKVAPYKKIRKVVFVDSIPRSPSGKILRRQLKNLLQGSILHRSRM >ORUFI07G24920.1 pep chromosome:OR_W1943:7:23377299:23380448:1 gene:ORUFI07G24920 transcript:ORUFI07G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEHAEDNLTARVEAYLEQAVLRHSCEATKALKSREELLPHAEELGIVSRCVEAISIFTSGRVMAAMAARADVRTEARESCLVSYARGTITALSRSMRRLASAPASSEVEQRDLLEAVVTSVPTDKCSGRVVTAKFLFAQLWTAHILLALDAALGQKAATQLEHATLEDVLIPSYSGGMKTLYDVDCVERVVRHVLAEEEHGEAGESTSAAAITEEKRGGGGGGWRCGVPAWRGGFAH >ORUFI07G24940.1 pep chromosome:OR_W1943:7:23382526:23383357:-1 gene:ORUFI07G24940 transcript:ORUFI07G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKLAATVAACALLLLATAAACHGLEVGATTGVRAPRRRPSSGPSSIYKNVLARRVLFASDAALLSSPATERMVRANARLPASWEKKFARAMVRMASIELKAAHRGEIRKNCRVVN >ORUFI07G24950.1 pep chromosome:OR_W1943:7:23384210:23387069:-1 gene:ORUFI07G24950 transcript:ORUFI07G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLGGEGPSVVTVHVTGFKKFHGVAENPTEKIVGNLKSFVEKKGLPKNLVLGSCTVLETAGQGALGTLYKVLESAIAERENGSSAQGQIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQRVPIVPSDGAISRTRETTLPVNELTKSLRKTGYDVMPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFMHFVASLLEALAVLN >ORUFI07G24950.2 pep chromosome:OR_W1943:7:23384210:23387069:-1 gene:ORUFI07G24950 transcript:ORUFI07G24950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLGGEGPSVVTVHVTGFKKFHGVAENPTEKIVGNLKSFVEKKGLPKNLVLGSCTVLETAGQGALGTLYKVLESAIAERENGSSAQGQIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQRVPIVPSDGAISRTRETTLPVNELTKSLRKTGYDVMPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEMHFVASLLEALAVLN >ORUFI07G24950.3 pep chromosome:OR_W1943:7:23384208:23385824:-1 gene:ORUFI07G24950 transcript:ORUFI07G24950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSVVTVHVTGFKKFHGVAENPTEKIVGNLKSFVEKKGLPKNLVLGSCTVLETAGQGALGTLYKVLESAIAERENGSSAQGQIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQRVPIVPSDGAISRTRETTLPVNELTKSLRKTGYDVMPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFMHFVASLLEALAVLN >ORUFI07G24950.4 pep chromosome:OR_W1943:7:23384210:23387069:-1 gene:ORUFI07G24950 transcript:ORUFI07G24950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLGGEGPSVVTVHVTGFKKFHGVAENPTEKIVGNLKSFVEKKGLPKNLVLGSCTVLETAGQGALGTLYKVLESAIAERENGSSAQGQIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQTTLPVNELTKSLRKTGYDVMPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEMHFVASLLEALAVLN >ORUFI07G24950.5 pep chromosome:OR_W1943:7:23384210:23385410:-1 gene:ORUFI07G24950 transcript:ORUFI07G24950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFMHFVASLLEALAVLN >ORUFI07G24960.1 pep chromosome:OR_W1943:7:23393891:23396751:1 gene:ORUFI07G24960 transcript:ORUFI07G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIQFENNCEVGVFSKLTNAYCLVAIGGSENFYSAFESELADVIPVVKTSIGGTRIIGRLCVGNKNGLLLPHTTTDQELQHLRNCLPDQVVVQRIDERLSALGNCVACNDHVALTHPDLDKATEELIADVLGVEVFRQTIAGNILVGSYCAITNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPAAIVDDMRKSLIDSYV >ORUFI07G24970.1 pep chromosome:OR_W1943:7:23397000:23399298:-1 gene:ORUFI07G24970 transcript:ORUFI07G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHSNSSSSSPSEAKSWTGTGRAGPNSDEAGRWAGPIRGGESSRRPPLQSSKPSTPRRSPDHLAAGDLSASASASASLSGKGIRFGGEETCLAGKAISWSSGVKAAAGCGLGLLPQWRGVFRSVRMESGSSQGVRGALIVLEGLDRSGKSSQCARLLSFLQGKGCQAEGWRFPDRGTSVGQMISAYLANESELDDRTIHLLFSANRWEKRALMERKLLGGTTLIVDRYSYSGVAFSAAKGLDIEWCKAPENGLIAPDLVVYLDVQPEVVDGSLPMEVVEEQLKELAMSCILECQSKQLASLAW >ORUFI07G24970.2 pep chromosome:OR_W1943:7:23397000:23398853:-1 gene:ORUFI07G24970 transcript:ORUFI07G24970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTALACLAGKAISWSSGVKAAAGCGLGLLPQWRGVFRSVRMESGSSQGVRGALIVLEGLDRSGKSSQCARLLSFLQGKGCQAEGWRFPDRGTSVGQMISAYLANESELDDRTIHLLFSANRWEKRALMERKLLGGTTLIVDRYSYSGVAFSAAKGLDIEWCKAPENGLIAPDLVVYLDVQPEVVDGSLPMEVVEEQLKELAMSCILECQSKQLASLAW >ORUFI07G24980.1 pep chromosome:OR_W1943:7:23399297:23402795:1 gene:ORUFI07G24980 transcript:ORUFI07G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATREHELRPEQERLGEDREEYEDGEEEEEEGEEGWDDWESDGDDAGGGGGLLCLFCSARFDSESSLFSHCASEHRFDFYRVVKETGMDFYGCIKLINFVRSKVAENKCWSCGQVFSSNSELCGHLHALEIPQLEGKVPWGDDVYLKPFLEDDSLLHSLSVFDDDDEDDCGMPMEKGGCSAGNGSLAETCESNLKSIINDGSDVIDRFERTCTIESTDGECSGSLAQEPSDKQLKIARASAAARGIKSVDESYFGSYSSFGIHREMLGDKVRTEAYRDALLGNPSLMNGATVLDVGCGTGILSLFAAKAGASRVIAVDGSAKMVSVATEVAKSNGFLYDENMEMQQKRDTQVITVVHTKAEELNHKIQVPSNKFDVLVSEWMGYCLLYESMLSSVLYARDHFLKPGGAILPDTATIFGAGFGKGGTSLPFWENVYGFDMSCIGKEVTGNSARFPVVDILASEDIVTETAVLNSFDLATMKENEMDFTSSFELRLSESGVSQSGVTWCYGIILWFDTGFTNRFCKEKPVNLSTSPFSTPTHWSQTIFTFEEPIAMAKEESAVVSSASVGTDECPAVMIRSRISIVRASEHRSIDISIETTGISSDGRKRSWPVQIFNL >ORUFI07G24990.1 pep chromosome:OR_W1943:7:23402850:23405458:1 gene:ORUFI07G24990 transcript:ORUFI07G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTMRAVKVPPNSASLEEARHRVFDFFRQACRAIPSIMEIYNLDDVVTPSQLRSTIAKEIRKNQGVTNPKVIDMLLFKGMEELGNITEHAKQRHHVIGQYVVGQKGLVQDMEKDQGSSDFLKKFYTSNYS >ORUFI07G25000.1 pep chromosome:OR_W1943:7:23406066:23410856:1 gene:ORUFI07G25000 transcript:ORUFI07G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSSLPEGSLFLGFDSSTQSLKATVLNNELIIVASDIVNFDSDLLHYKTEGGVYRDPADDGHIFSPTIMWVEAFELLLEKLKPKINFSKVVAISGSGQQHGSVYWKKGSHAVLSSLDPTKSLLSQLKDAFSTMDSPIWMDSSTTKQCREIESAVGGALELSKLTGSRAYERFTGPQIRKIYQTVPQVYDDTERISLVSSFMASILVGNYASIDETDGAGMNLMDINQRTWSKTVLEATAPGLEEKLGKLAPAYAVAGRIAPYFVERLQFDKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITAEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNSCAEKSWDVFNSYLEKTPPLNGGKLGFYYKDHEILPPLPVGFHRYIVENLNDVTSNNLVEREVEEFDPPSEVRAIIEGQLLSMRGHAERFGMPNPPKRIIATGGASSNERILHSIAQIFGCPVFTVQRPDSASLGAALRAAHGWLCNEEGSFVPISCMYQGNLEKISLGAKLAVSTGEGVEDKELLEKYTVLMRKRMEIERRLVEKIGRA >ORUFI07G25010.1 pep chromosome:OR_W1943:7:23411913:23415913:-1 gene:ORUFI07G25010 transcript:ORUFI07G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATKLKQINLGQQNLKVFGLLIRLWDAKNMASASTPTIFSIDGVILDEEGTMMQFTIPKKLENEFRPSLTLGCVYMFVDVNTADIKNKKYIYHHQKYMLQFKSSTKVHHLESRGSSIPNYGFEFCPFDQIPSKSRISKPLIDLIGVISHVGPYDYAGKTSSKKNRKLKIRNKDEQEQGIVLWGEYGESFDEAFVLQKSTDHKIVVAILAGLTAGTYLGKTEATSSLATQIYFDSDITEIVEYQSRYKLPLTIKDESGNESGTLDAVAFYNVAEDLVEESATKQLATDEGLTTMEHYSKEDQHPTTPPSLQPPETTLDNNTVNQIIPSAKRALQFEKELHIDQPSPAIANTIQVATNQLYHPQQVDLSKEKQPSTEFSPGQNSKRHKKVMEASTNGEENQLQQPKIADQQPSGHKEQVQLIHTNYLQAVSKIIASKSTAISITLQGKSSTDDLSKLQPTQAHVVNYMKNKLPHMHIILDGENVKNNEIYNLQFPTVSSSQYYYLPTVCCNRINKTLWDHLYIC >ORUFI07G25010.2 pep chromosome:OR_W1943:7:23411913:23415913:-1 gene:ORUFI07G25010 transcript:ORUFI07G25010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATKLKQINLGQQNLKVFGLLIRLWDAKNMASASTPTIFSIDGVILDEEGTMMQFTIPKKLENEFRPSLTLGCVYMFVDVNTADIKNKKYIYHHQKYMLQFKSSTKVHHLESRGSSIPNYGFEFCPFDQIPSKSRISKPLIDLIGVISHVGPYDYAGKTSSKKNRKLKIRNKDEQEQGIVLWGEYGESFDEAFVLQKSTDHKIVVAILAGLTAGTYLGKTEATSSLATQIYFDSDITEIVEYQSRYKLPLTIKDESGNESGTLDAVAFYNVAEDLVEVNATQATQNLKIDATEHAIALDTAIGKTRLFHIAMNTKYSSHFTINYVLKKSYPVENENTSLMLPTLENTKVAKESATKQLATDEGLTTMEHYSKEDQHPTTPPSLQPPETTLDNNTVNQIIPSAKRALQFEKELHIDQPSPAIANTIQVATNQLYHPQQVDLSKEKQPSTEFSPGQNSKRHKKVMEASTNGEENQLQQPKIADQQPSGHKEQVQLIHTNYLQAVSKIIASKSTAISITLQGKSSTDDLSKLQPTQAHVVNYMKNKLPHMHIILDGENVKNNEIYNLQFPTVSSSQYYYLPTVCCNRINKTLWDHLYIC >ORUFI07G25020.1 pep chromosome:OR_W1943:7:23425030:23427106:1 gene:ORUFI07G25020 transcript:ORUFI07G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKSTASPLASPHALSPTAKPSAREALLHRRSPPAPRLRSNWMVRFLAILSALIWMSRNDDKSRAAVDRIKTAALSAAKGLNRSQAKHAATSAARNVPMGRRKRDQAAGRRGRRLKKAAILDVKPKVSATSSLAAHTQCQKCFQPGHWTY >ORUFI07G25030.1 pep chromosome:OR_W1943:7:23427146:23434722:1 gene:ORUFI07G25030 transcript:ORUFI07G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHKSTKLKKQAMPKGRKEADERNFEGKILKKEARERVRENIVLRAPLQFEAGRAQANFAVHRQLFVATARSSVGGIDDGMHGQMSCAAHMTGCRRPVHRPRRGEDVRARGIYQFCLDLMSLASSISLDRRLTALCQSKIDLDLATNAVGHMWRWRKVM >ORUFI07G25040.1 pep chromosome:OR_W1943:7:23439170:23441974:1 gene:ORUFI07G25040 transcript:ORUFI07G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVERCGEMVVSMEMGPHGGVGGGGGAAAGKPVPAPFLTKTYQLVDDPCTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKILTGGSSPKETPPIATIYIPPPLLPSPQPYHHHHHLNPFSLPPPPPAYHHHHLIQEEPATTAHCTVAGDGGEGGDFLAALSEDNRQLRRRNSLLLSELAHMKKLYNDIIYFLQNHVAPVTTTTTTPSSTAMAAAQHHLPAAASCRLMELDSPDHSPPPPPPPPKTPATDGGDTVKLFGVSLHGRKKRAHRDDDDGVHDQGSEV >ORUFI07G25050.1 pep chromosome:OR_W1943:7:23442953:23446343:-1 gene:ORUFI07G25050 transcript:ORUFI07G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPYRITAGGGGGRQRMALPLPPKGALLAAVTTASVLSILCVLSFTDSLSYLGFQVRGVDKRESSRSYLYWGAAVDCPGKHCASCAGLGHQESSLRCALEEALFLDRIFVMPSRLCLNSVHNTRGIINQSDATSNKRWETSSCAMESLYDIDLISRTVPVVLDNPQSWYAIVSRSTKLGEEGDVAHAQGVSRQELKENTLYSKAFLINRTASPLAWFMECKDRTKRSSVMLPYNFLPSMPARKLRDAANKIKVILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTHPENIKRRIAKWIPRGRTLFIASNERTPGFFSPLSDRYKLAYSSNFSSILEPVIDNNYQLFMVERLIMQGARKFVKTMKEFDNDLSLCDDPKKNTKVWEEALHSS >ORUFI07G25050.2 pep chromosome:OR_W1943:7:23443107:23446343:-1 gene:ORUFI07G25050 transcript:ORUFI07G25050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPYRITAGGGGGRQRMALPLPPKGALLAAVTTASVLSILCVLSFTDSLSYLGFQVRGVDKRESSRSYLYWGAAVDCPGKHCASCAGLGHQESSLRCALEEALFLDRIFVMPSRLCLNSVHNTRGIINQSDATSNKRWETSSCAMESLYDIDLISRTVPVVLDNPQSWYAIVSRSTKLGEEGDVAHAQGVSRQELKENTLYSKAFLINRTASPLAWFMECKDRTKRSSVMLPYNFLPSMPARKLRDAANKIKVILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTHPENIKRRIAKWIPRGRTLFIASNERTPGFFSPLSDRYKLAYSSNFSSILEPVIDNNYQLFMVERLIMQGARKFVKTMKEFDNDLSLCDDPKKNTKVWEEAVYTDG >ORUFI07G25060.1 pep chromosome:OR_W1943:7:23449388:23454143:-1 gene:ORUFI07G25060 transcript:ORUFI07G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPSQIPEPEAEEAAAAAGVAVAGAASPGPAAAAAAAAAAKPGTPKQPKFPFYLPSPLPASSYKSSPANSSVASTPARGGLKRPFPPPSPAKHIRALLARRHGSVKPNEAPIPEGGEAEVGLDKGFGFSKHFFAKYELGDEVGRGHFGYTCSAKAKKGDHKGHDVAVKVIPKAKMTTAIAIEDVRREVRILSSLTGHSNLVQFYDAFEDEDNVYIVMELCKGGELLDRILARGGKYSEEDAKVVMVQILSVVSFCHLQGVVHRDLKPENFLFTSKDENSALKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPTLTAEAKDFVKRLLNKDYRKRMTAAQALSHPWIRNSQQVKIPLDMIIYKLMRAYISSSSLRKSALRALAKTLTANQLFYLREQFELLGPNKNGYISLQNLKTALVKNSTDAMKDSRVIDFVNTVCTLQYRKLDFEEFAASAVSVYQMEALETWEQHARRAYELFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHADGKLSFLGFIKLLHGVSSRSIPKA >ORUFI07G25070.1 pep chromosome:OR_W1943:7:23463454:23467095:-1 gene:ORUFI07G25070 transcript:ORUFI07G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGSASERREEGKKGREEEEEDDVVCLDPSFFVDRSYETTTFTFGSQVLHLLCLRAASTDYDLTGQLVWPGAVLMNTYLSEHPETVKDHSIIELGSGIIKKNIEMQSCSGNANAVLTAEKLEWGNYVHISNIIEKHPSGFDLILGADIYILLSYFARGFFVFRLVNVDSYWLMYHELNMDVLVLKEAEKHGMHVKEVDGTRTTISNLEGVIYDITLK >ORUFI07G25070.2 pep chromosome:OR_W1943:7:23463454:23467095:-1 gene:ORUFI07G25070 transcript:ORUFI07G25070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGSASERREEGKKGREEEEEDDVVCLDPSFFVDRSYETTTFTFGSQVLHLLCLRAASTDYDLTGQLVWPGAVLMNTYLSEHPETVKDHSIIELGSGIIKKNIEMQSCSGNANAVLTAEKLEWGNYVHISNIIEKHPSGFDLILGADIYILLSYFATLLLSLITSMDVLVLKEAEKHGMHVKEVDGTRTTISNLEGVIYDITLK >ORUFI07G25080.1 pep chromosome:OR_W1943:7:23467766:23469958:1 gene:ORUFI07G25080 transcript:ORUFI07G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLIPSLNRVLVEKLVQPKKSAGGILLPETSKQLNSGKVVAVGPGERDKDGKLIPVALKEGDTVLLPEYGGLEVKLAAEKEYLLFREHDILGTLVD >ORUFI07G25090.1 pep chromosome:OR_W1943:7:23468464:23469531:-1 gene:ORUFI07G25090 transcript:ORUFI07G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSLKRSSKLDFESTIFRQENSVSFLESNRDQLAILVTFARANSHHFTRVELDSAEHSELNNQH >ORUFI07G25100.1 pep chromosome:OR_W1943:7:23470577:23473626:-1 gene:ORUFI07G25100 transcript:ORUFI07G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEREFRRMLELFPVVRSRDYCAESGASSKGSTQQSRAQEATRGNKNESSDQFMKKLKMVAEKKVGATKAELFCKTFEEAHKKLVYKELNLDAAQRFLNAYEKRS >ORUFI07G25110.1 pep chromosome:OR_W1943:7:23474971:23475625:-1 gene:ORUFI07G25110 transcript:ORUFI07G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRPAYNNTTKARLQKRCPHGGHDIKDTAIAHLRKMWFLPKENSPRKGERYPRQRPQEGYDTRRCSRCRPGDHRVRLSPGTPRTSQNPAASSLHPTAPPLRAGPGHLRPATRRPPRQVRPKENPDWTVSLRPPELPLLTSSRLNPTETPHKEGEEFKKGEGADWQQGRRRTAASSHEH >ORUFI07G25120.1 pep chromosome:OR_W1943:7:23477626:23479316:-1 gene:ORUFI07G25120 transcript:ORUFI07G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVFPYRWSRTEHLTLRPPCHGGDPLDAHQPLPWILLDVRAYIADRRNSTTAAADLGNGHHLYRPTAAGILHLCLVHLTNKVITLDEGQGEVAFIDLKRGINPHLQRARPWLHLPLPPELINSPMSFNTSSLCRDIAIVNGLLTIVRLRTCFDSDTGCWSWDLSTWSKPVAHLGDNKGWQKGFMVDSSDITVDATCCNVQLLPKLEGRPAMAKLQTDANVAYVMGKVDASDEKAVVLAVDMANKRLQTVSVYDAERIVDDFDYSYTQSTISQYFTTAAGVKGNLKRPLKFHAQYPHKRLGETISRSDNPMDLHEPLQLDIGFGLGTKDETEDSDNPMDLE >ORUFI07G25130.1 pep chromosome:OR_W1943:7:23489759:23491993:-1 gene:ORUFI07G25130 transcript:ORUFI07G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPARLSAPAVAAILVAALALCAATASAANVTTTTATAPSGGCYSHLFTFGNSLIDTGNFIHYSTSPGPVARSPYGETFFRRPTGRWSDGRLIVDFIVERLGFPYWTPYLAGKSREDFRYGANFAVASGTALNQLLFKKKHLSVAGITPYSLAVQVGWFKKRKEAMARSVFMVGEFGGNDYLHPLFQNKTLEWVRPLVPRVVRYIAGAVEELVGLGATTVYVPGLFPLGCVPRLLFLFRDGGAGDRDPATGCLRGLNDGLAALHNALLRRRLAELRAAHPGVTIAYADYYGEVMELVSNPAASGFDDALTACCAGGGPYNGNFTVHCSDPGATQCADPSRRISWDGLHMTEAVYRIMARGVLDGPFADPPIMSRCHGY >ORUFI07G25140.1 pep chromosome:OR_W1943:7:23493031:23495524:1 gene:ORUFI07G25140 transcript:ORUFI07G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTGPKSGDALFGSVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADVIAKLGFKMFLGVTATVTNWDAEGTSCSFVLEDNPLVDFVELPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLTKQVPEEYPYKDDD >ORUFI07G25150.1 pep chromosome:OR_W1943:7:23497187:23501167:1 gene:ORUFI07G25150 transcript:ORUFI07G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRRGGGEDDDDEPYLLGFIVANAVGLQYYQGGRAITRRESVGLVREPHNPHDANAIRVDNARGEKIGHIGRRAAAALAPLLDAGHVAAAHGIVPKPASKRLYSLPCQVHLFARPPHAALVAAALAASGIDLIHVDHPEFALSESAIVQEQQTKRSRGDVDRLFSHVGKGGRARIAPMEAPRDVVVSELFEHQKAALGWLVHREESCDLPPFWEEDNDGGFKNVLTNQKTNERPPPLKGGIFADDMGLGKTLTLLSLIGRSKARNVGGKKARGAKRRKVEEAVEEESRTTLVVCPPSVFSSWVTQLEEHTKTGSLKVYLYHGERTKEKKELLKYDIVITTYSTLGQELEQEGSPVKEIEWFRVILDEAHVIKNSAARQTKAVIALNAERRWVVTGTPIQNSSFDLYPLMAFLRFQPFSIKSYWQSLIQLPLERKNNGIGLARLQSLLGAISLRRTKETESGSKSLVSIPPKTVLACYIELSAEEREYYDQMELEGKNKLREFGDRDSILRNYSTVLYFILRLRQLCNDIALCPLDLKSWLPGSGSSLEDVSKNPELLKKLASLVDDGDDFECPICLAPPAKTVITSCTHIYCQTCIMKILKSSSSRCPICRRSLCKEDLFIAPEIKHPDEDSSVNLDRPLSSKVQALLKLLRRSQSEDPLSKSVIFSQFRKMLILLEGPLKAAGFNILRLDGSMTAKKRSEVIRQFGCVGPDSPTVLLASLKAAGAGVNLTAASTVYLFDPWWNPGVEEQAMDRVHRIGQTKEVKVVRLIVKDSIEERMLELQERKKKLISGAFGRKKGGKEHKEIRVEELQMMMGMK >ORUFI07G25160.1 pep chromosome:OR_W1943:7:23514072:23516261:-1 gene:ORUFI07G25160 transcript:ORUFI07G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSMDYWLGFFRGAGDSIFDAIDAAIAVAASDHPAALRSRRDAIAERLYTAHLVPGAPPAGPPAGGGAAAADAPTAQLLLHPEGAASVPSLCSSDRAEVITDDGAVPRRDDDPVAAETERIKAILLNDQEKSEATLLELLRRLQQLELTVDTLTVTEIGKAVSSYRKHNSKQIRHLVRLLIEGWKRIVDEWMSSRDAIVDHTPQSMHPSGLEQDERGLSSPSMDEGALFATPSTSIRLSELPLSFPQENQGSKFFDGMDDDGNTRSNGGRDNGRLYTRNQEPARRPLPPMAQQYDPDQSWKQEQSAMRQSRPQELCNGQTREQFIAAMLARPSNPESGPGRPQPRTKQHQDASPAQGRSQPMPSDKSASHHDENSVRAKLELAKNAKLELTNSAKLEVTKRKLQEGYQEFDNAKKQRTIQMVDPQNLPKQANRNWQPNGRPRNNSNFNNNRNWSR >ORUFI07G25170.1 pep chromosome:OR_W1943:7:23531583:23537393:1 gene:ORUFI07G25170 transcript:ORUFI07G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASTIDFGRKTHNDVLWSGPLRPANFIRNKFPTYKKSLNGIVIKLTDDQEMPSLKEAVAKETADLLHRSQRLSVRELAMKFEKGLNTATLLSNEVKWRQAALLERDILLKNLKSVLESLRSRVAGKHKDEIEESLSMVDVLTVQLSKREDELLQQKAEVAKIATSLKLASEDARRIVEEERSNARIEIDNARAAVQKVEQLVKEQEIDPQINGKQDEDELKEKAQEARRVKMLHCPSKAMDIENEIEVLREQLAEKSSNCVHLLKELHLHQSYEENDVSSYELEGLESLGSMLRIVSQSDGYVDLSRSTIQWFRVQPEGNKKEIISGAIKQAYAPEPHDVGRYLQAEINHCGEISVVKTAGPVDPAAGLVDYVETLLRNPETEYNVVVLQVNGIKQPTDSIHVLSIGKLRMRLAKGKTVIAKEFYSSTMQLCGMRGGGDAAPQAMYWQPRRDLSLVLGFETARERNSAIMLARRFAIDCNIILAGPGDKTHW >ORUFI07G25180.1 pep chromosome:OR_W1943:7:23538904:23541838:-1 gene:ORUFI07G25180 transcript:ORUFI07G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYTAGKWIRGTGQAMDRLGSTIQGGLRVDEQLPRHRTIMNIFEKEPRIHKDVFVAPSAAVIGDIEIGHGSSIWYGSILRGDVNSIHIGVGTNIQDNSLVHVSKANISGKVLPTIIGNSVTIGHSAVLHACIVEDEAFVGMGATLLDGVVVEKHSMVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTEEEMAFIAQSATNYINLAQVHAAENAKTFDEIELEKMLRKKFAHKDEEYDSMLGVVREIPPELILPDSILPNKAQKAVAH >ORUFI07G25190.1 pep chromosome:OR_W1943:7:23543379:23544422:1 gene:ORUFI07G25190 transcript:ORUFI07G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADADMTRRRRSPSLPCAVRVQAAGFALGHRRDGSVRRLVFSLLDIHVRAKRRAGVRSVDVTIDASRGLWARVFSPPPTKGEAAQALPVVVFFHGGGFVLFSAASCYYDRLCRRICRELRAVVVSVNYRLAGPARRFPAAYDDGLAALRYLDANGLAEAAGVAAVDLSSCFLAGDSAGGNMVHHVAQRWAAASAASPSSSTTLRLAGAVLIQPFFGGEERTEEELELDKAALTLSLARTDYYWREFLPEGATRDHPAAHVCGGGEHDVEVAEAFPAAMVAIGGFDLLKGWQARYVEALRGKGKAVRVVEYPGAIHGFCLFPELADSGEFVEEMKLFVQEHRTKRVQ >ORUFI07G25200.1 pep chromosome:OR_W1943:7:23548285:23556184:-1 gene:ORUFI07G25200 transcript:ORUFI07G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMSQTGEMGHEKEQETAGDELRRPEPPALPWTVRLQLFALVTAVDIVQRGDGTVNRFLFSLADRQSAAAARPDAHGVRSGDVTVDASRGLWARVFSPASSSAVESPPLPVVVYFHGGGFALLTAASSQYDALCRRLCRELRAVVVSVNYRLAPEHRYPAAYDDGVDVLRHLATVGLPADVVAAVPVDLTRCFLVGDSAGGNIAHHVAHRWAAATTSSSRRVRLAGVVLLQPFFGGEERTEAELRLDGVGPVVSMARADWCWRAFLPEGADRDHPAAHVTGENAELAEEFPPAMVVVGGYDTLQDWQRRYAGMLRRNGKAVQVVEYPAAIHSFYVFPELADSGELVKEMKAKIPTNPDSAGDELELVVASRRDEEDNEEAEEVEDAAGADRPHILSRCPPRDPPRDRVTERRSGGERGGGGGAPAAWTPTVRRPYIRHLNEYWKEQQEWVKAELAAHGYVECEIDDNGDNPCTCGCAHAGRGEDEEEGYGQRLWMR >ORUFI07G25200.2 pep chromosome:OR_W1943:7:23546739:23548279:-1 gene:ORUFI07G25200 transcript:ORUFI07G25200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKMNGKQPKRRRKFENLRDMDREFELIGHDFGQLGLHHITITNQEMAGVDRSRRSSSSPPPLPWTVRVQLAALSAAHRSDGSVRRLLFYLGDLHAAASPRPDAAGVRSVDVTIDASRGLWARVFCPPTNTAAVKLPVVVYFHGGGFVLFSAASRPYDALCRRISRGVGAVVVSVNYRLAPEHRFPAAYDDGLAALRYLDANGLAEAAAELGAAVDLSRCFLAGDSAGGNIVHHVAQRWAASTTSPSSSLRLAGAVLISPFFGGEERTEEEVGLDKASLSLSLARTDYFWREFLPEGATRDHAAARVCGGERVELAEAFPPAMVVIGGFDLLKGWQARYVAALREKGKAVRVVEYPDAIHGFHAFPELADSGKLVEEMKQFVQEHSSNRMA >ORUFI07G25210.1 pep chromosome:OR_W1943:7:23556345:23557400:-1 gene:ORUFI07G25210 transcript:ORUFI07G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAHGRRRRVALPWPVRLRLCVFEAAIDATQRRDGSVNRFLFSLFDRRAPADPRPDAAGVSSTDITVDASRGLWARVFYSPSPSPRPVVVYFHGGGFTLFSAASRAYDALCRTLCVAAAGAVVVSVDYRLAPEHRAPAAYDDGEAVLRYLGATGLPDHVGPVDVSTCFVVGDSAGGNIAHHVAQRWTATATTTTTTTDNPVVHLAGVILIQPCFSGEERTESERALDGVAPVLNTRRSDLSWKAFLPEGADRNHPAAHVVTGDDDDDAELHEAFPPAMVVVGGLDPLQDWDRRYAAMLRRKGKAARVVEFPEAIHAFYFFPEFLADDHRKLVGEIRAFVEECITSKQPTA >ORUFI07G25220.1 pep chromosome:OR_W1943:7:23557408:23560347:-1 gene:ORUFI07G25220 transcript:ORUFI07G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDVAAGGESNRRPPPPPPMPLGLRLQLIGLSAAIDAVERRDGTVNRALYSVLVEHLMSVRADPSPDAATGAVRSFDFTIDAARGLWARVFAPAAAAQAAAPMPVMVYYHGGGFALFSPAVAPFDGVCRRLCGDVGVVVVSVNYRLAPEHRYPAAYDDGVDALRFLDGNGIPGLDGDVVPVDLASCFLAGESAGGNIVHQVANRWAATWQPTAKNLRLAGMIPVQPYFGGEERTPSELALDGVAPVVNLRRSDFSAFLPEGADRDHPAAHVTDENAELAEAFPPAMVVIGGFDPLQDWQRRYVDVLRRKGKAVEVAEFPDAFHGFYGFPELADAGKVLQDIKVLPNEKVEPSE >ORUFI07G25230.1 pep chromosome:OR_W1943:7:23565716:23566315:1 gene:ORUFI07G25230 transcript:ORUFI07G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTIVVATIFLLSLTSASVAVHGRSSRRRFVRSYDEPCMEMRLYLHDILYDYSNSTSNSTAAAATKPTALANAVPSTGGTFFGEVVVFNDPVTEGRALPPSLEETAVRAQGLYLYNSKEAFNAWFAFSIVFNSTGRRGTLNLMGADIIAEKTRDISVVGGTGDFFMSRGVATLRTDAFEGFTYFRLQMDIKLYECYV >ORUFI07G25240.1 pep chromosome:OR_W1943:7:23568449:23569072:1 gene:ORUFI07G25240 transcript:ORUFI07G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWSNPSLIVAAIFLVISLTSATVANGGRSGGGRRLVRSYDEPCKKMTLYFHDILYDYSNSTANSTSAAAAKPTALATAVSPNGTFFGEVVVFDDPMTEGTRALPPPSSLRETAAARAQGVYLYDSKEVYDAWFAFSVVFNSTGRRGTLNLMGTDLMSEKTRDISVVGGTGDFFMSRGVATLRTDAVEGLVYFRLQMDIKLYECYI >ORUFI07G25250.1 pep chromosome:OR_W1943:7:23569836:23572238:-1 gene:ORUFI07G25250 transcript:ORUFI07G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLAGRRLLLQRSAAAAGGRWRLLGTAAEASSSPGGREEAGGGGGDEIYLKKPAAAAAVTTRDETSVAMPMSFMTGSVVGKRFYREATVRRADDGNGWSVMLDYRTLKSPAKRPLKLQSRTLAMAIAAEWEYQVMIPIFCLIGPRLVMLLNCSVRLLKFGWKMEADGIRPFTMPLMKLACTALERVPLTRKKIIDNLMKKFHQDLVFCRSPDDNELTVGVYQRQKEKIDPILDWVNTEFGFKPVVYTSFFGGKQDEGLANAVETVLKNTTDFELASIDAMAAAAHSLVIPLAIFRGKLGIEQAIELIRLEEDHQVDRWGLVEGGHDVDIADLKVQMSSAVVFLLLSWQL >ORUFI07G25260.1 pep chromosome:OR_W1943:7:23572979:23576960:1 gene:ORUFI07G25260 transcript:ORUFI07G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDLLAPFADLPFPPGDDFPDFPTLGDDAFALEDFDLDDLDFDFDVDLFPPDAPPPVTTSSSSSAAGSPEAGTSSAGDGGSKNEESADSSSPSRSGSDGGGGKDGKDDEAKRRARLVRNRESAHQSRQRKKQYVEELEGKVKVMQATIADLTARISCVTAENAALKQQLGGAAGAGAAAPPPPMPMYPAVYPLPMPWIHPAYAMRGSQVPLVPIPRLKTQQPASTPEPPAKKARKTKKVAGVSLLGLLFLMMVCGCLVPAVNRMYGAAYTGEGAAIVPSHHGRILAVEGPQNSVSNGVDPKVTQNGSETLPALLYLPRNGKHVKINGNLVIKSIVASEKASSRLSNYGEKGSGNQGKEETSLAIPGYVAPLEAGEVMDSAKGMNELMALAPGDGSIYREDDGMLPQWFSEAMSGPMLNSGMCTEVFQFDLSPTTADANGIVPVYSGSVTNTSQNYTENLPSGPVQKVKNRRISYSEAIPLRGSTSNDTDHFKAPPKNHSQSHAGRKPVSSVVVSVLADPREASDRDGEGRISSNSLSRIFVVVLIDSVKYVTYSCVLPFKSHSPHL >ORUFI07G25270.1 pep chromosome:OR_W1943:7:23579157:23582367:1 gene:ORUFI07G25270 transcript:ORUFI07G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPYSLKSSKGAPFPPRPILVFLIAIFGFYVCYISFNQITLENRSEENSGEVQAEIHCRKPHLPHEELRYVHFPKPESYSRGECSCNLVRSFVLVSMQRSGSGWFETLLNSHPNISSNGEIFNRVDRRENISSILQTLDKLYNLDWFTSAAKNECTAAFGLKWMLNQGFMDHHDDIASYLNKKGVSVIFLFRRNTLRRLISVLANDYDRDAKQLNGTHKSHVHSKEEAEILAKFKPVLDVSNLIPNIRNAEKYIRDCLDHFNTTRHMILYYEDIVRNRNALFQVQEFLGVPVRKLVSRQVKIHTSPLPGLVRNWDDVSNKLNGTQYAHFLDGADYVR >ORUFI07G25280.1 pep chromosome:OR_W1943:7:23582847:23587399:-1 gene:ORUFI07G25280 transcript:ORUFI07G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAGGPVAVAAASAAAAAALGISPEMYPTEDDLAYEEEILREPFKLKGWWRYLVARAAAPFAKRAVIYERALKALPGSYKLWHAYLRERLDHARPHPISHHAYASLNNTFERALATMHKMPRVWVLYLTSLLDQRLLTRARRAFDRALRALPVTQHDRIWPLYLRLASLPACPVETSLRVFRRYLQYDPSHAEDFIDFLISANRWQEAADRLASVLNDDGFRSVKGKTQHQLWLELCEILTKHADEVAGLKVDAILRGGIRKFTDEVGKLWTSLADYYVRRTLYEKARDVFEEGVASVMTVQEFSVVFEAYTQFEQSMLAAKLEAAEEEGAGSEGEEEAGRKNGMDKLSKKFLADCWLNDEDDTDLRLARFERLLDRRPELLSSVLLRQNPHNVEEWHRRVKLFEKDPTRQVATYVEAVKTVDPMKAAGKPHTLWVAFAKMYEKHNRLDSAEEIFKKATQVNYKAVDHLASIWCEWAEMELRHSNFDKAIELMRQATAEPSVEVKRRAAAEGDEPVQLKVHKSLKLWSFYVDLEESLGTLESTRAVYERILDLRIATPQIVLNYAYLLEENKYFEDAFKVYERGVKIFKYPHVKDIWVTYLTKFVRRYQRSKLERARELFDEAVKQAPPQEKKVLYLQYAKLEEDYGLAKRAMNVYDEAVRAVPNSEKMSMYEIYIARAAELFGVPRTRQIYEQAIESGLPDRDVMTMCMKFAELERNLGEIDRARAIYVHASNYADPNSHPEFWNKWNEFEIQHGNEDTFREMLRIKRTVAASRSQTHFILPEYLMQRDQRLNLDEAVDTLKRAGVPEDEMANLERQLAPGPSTAPPAPSTATAPANRMMNFVSAGVQAQAESSSRQQQAAATNNEDIELPDESDEEEDDVQIAEKSVPAAVFGELGKRAAENREEESSGAQENEQLGALERIKRRRQ >ORUFI07G25290.1 pep chromosome:OR_W1943:7:23598933:23604641:1 gene:ORUFI07G25290 transcript:ORUFI07G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCEHHVPYTLLGALLSGGGPHAAACGGAAFLRDYAERGTNALLWAALLAVTWLLVLRLAALLRLWALGARLPGPPAFPADPGLAAGDITGYLSKLHGSYGPVVRLWLGPSQLLVSVKDSRVIKELLTKAEDKLPLTGKTYNLACGKLGLFISSFEKVKSRRESLKSFLDEKLSVGTGGSSFKIIQIVLDRVDSIMARDFLDCRYFSQHMAFNIVGSALFGDAFFDWSDASAYEELMMTVAKDACFWASYAVPPFWKPDYRRYRTLCARLKLLTQGIVAKSRNQNGVLSLIDLSSCQRSERMIKDPCRGFSLLDGVISSRCLNEAAEGPLSSEEEICGNIMGLMLHGISTCANLIGNILTRLALYPNLQCQLHSEIVSGHSESSELKIDDVLRMKFLLATVCESARLLPAGPLLQRCSLQQDVNLNSSITIPAGAILVIPLHLVQMEASTWGNDACQFNPNRFLKKEINFEEILAAAHKGSNGINLFTDECDKTESFLPFGSGSRACVGQKFAVLGIAMLIASLLRSYEVQPHPALSQEMESLVDSNSLHHIPNPKIILKKRSI >ORUFI07G25300.1 pep chromosome:OR_W1943:7:23607153:23654080:1 gene:ORUFI07G25300 transcript:ORUFI07G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAMSALTKAATSLLSVPQRDTSIRNLCLKLYMMGSHSSNIGSVLSQAIEAGIVKELDLAVLHEKRHIDCNDDDMLHQARAVKVFAGAFPRVICCITRLSLYNVHLDGDIHRILFDCCTQLDYLNLEHCDDGSRDVWKINAPNSKLRHLELAVCFFGRLDLVCLPKLEYIYWEIWFTPYAPLSFGSVPSLRELRLACPAQSDFQGLKLSKISKEXDFQGLKLSKVLQGVPNLHTLTIDFQGEKLWMQPEQKQLCPAFNNLKKLSILCIHVEFDLLWTINLLEAAPSVELLCIDTWEHVCLVNKEDEDGRKLVHGETTHPSWEISEFTGTRNWQLKELQFTGFRPLKQQLRLAGAGGHHCLLEEDLSVVFPGVFSWEPRNMTTISRVKGDESGKPSRRLPSLEKKHTAITQGMKTIDTRGTILEARAGDEKSNKDAASSEVSYIKYDALGQSAKQDGCDEDDRRSETEEHVEEDEVLDPEEYTVNNILPKISPSFTLPKPTTTATSSCCQSYTLACAVPAISFTPFANHRLPRMRIVREHHPLPAQSYLSHHSIGALPLLLSVPWLTERKKTKQGEKNRRKKRHMTSTKNPPIELYAFHGDIRVVSNPDVKPVALRTLRLNAYSGQSLTTPSTSTACHTLAFGFCAIQAALLPLTAVGSVGGNEGSLINIEGPKRGIDMRDYALIEYDMRIKTGKQEKDDLQLIDGASMIGPAGLWNRPETICIPGDYAEATVEILISEVQSSFNLLLGCLTSNLDKEIRLFDGVISESRGLKRSVVAVRRDSFIDLKFEVGAFPSSFDQHYLDIVRLRNCDAGSNAVWKIDAPNSKLRHSGAFVVQLLEIRLEEVHWEIWFCSYAPLSFGFVPSLRELFLACGAQLGFEGFMLSEVLQGTKDLHTLTIDFQGEKLWVQPEQKQFCPAFNNLKTLSILCVYVEFNLPGNMYAWWTKWMKREGRISMEIEHILHGRYPSFLAPENGD >ORUFI07G25300.2 pep chromosome:OR_W1943:7:23607153:23654080:1 gene:ORUFI07G25300 transcript:ORUFI07G25300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAMSALTKAATSLLSVPQRDTSIRNLCLKLYMMGSHSSNIGSVLSQAIEAGIVKELDLAVLHEKRHIDCNDDDMLHQARAVKVFAGAFPRVICCITRLSLYNVHLDGDIHRILFDCCTQLDYLNLEHCDDGSRDVWKINAPNSKLRHLELAVCFFGRLDLVCLPKLEYIYWEIWFTPYAPLSFGSVPSLRELRLACPAQSDFQGLKLSKISKEXDFQGLKLSKVLQGVPNLHTLTIDFQGEKLWMQPEQKQLCPAFNNLKKLSILCIHVEFDLLWTINLLEAAPSVELLCIDTWEHVCLVNKEDEDGRKLVHGETTHPSWEISEFTGTRNWQLKELQFTGFRPLKQQLRLAGAGGHHCLLEEDLSVVFPGVFSWEPRNMTTISRVKGDESGKPSRRLPSLEKKHTAITQGMKTIDTRGTILEARAGDEKSNKDAASSEVSYIKYDALGQSAKQDGCDEDDRRSETEEHVEEDEVLDPEEYTVNNILPKISPSFTLPKPTTTATSSCCQSYTLACAVPAISFTPFANHRLPRMRIVREHHPLPAQSYLSHHSIGALPLLLSVPWLTERKKTKQGEKNRRKKRHMTCGPHFYKGSLINIEGPKRGIDMRDYALIEYDMRIKTGKQEKDDLQLIDGASMIGPAGLWNRPETICIPGDYAEATVEILISEVQSSFNLLLGCLTSNLDKEIRLFDGVISESRGLKRSVVAVRRDSFIDLKFEVGAFPSSFDQHYLDIVRLRNCDAGSNAVWKIDAPNSKLRHSGAFVVQLLEIRLEEVHWEIWFCSYAPLSFGFVPSLRELFLACGAQLGFEGFMLSEVLQGTKDLHTLTIDFQGEKLWVQPEQKQFCPAFNNLKTLSILCVYVEFNLPGNMYAWWTKWMKREGRISMEIEHILHGRYPSFLAPENGD >ORUFI07G25300.3 pep chromosome:OR_W1943:7:23607153:23654080:1 gene:ORUFI07G25300 transcript:ORUFI07G25300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAMSALTKAATSLLSVPQRDTSIRNLCLKLYMMGSHSSNIGSVLSQAIEAGIVKELDLAVLHEKRHIDCNDDDMLHQARAVKVFAGAFPRVICCITRLSLYNVHLDGDIHRILFDCCTQLDYLNLEHCDDGSRDVWKINAPNSKLRHLELAVCFFGRLDLVCLPKLEYIYWEIWFTPYAPLSFGSVPSLRELRLACPAQSDFQGLKLSKISKEXDFQGLKLSKVLQGVPNLHTLTIDFQGEKLWMQPEQKQLCPAFNNLKKLSILCIHVEFDLLWTINLLEAAPSVELLCIDTWEHVCLVNKEDEDGRKLVHGETTHPSWEISEFTGTRNWQLKELQFTGFRPLKQQLRLAGAGGHHCLLEEDLSVVFPGVFSWEPRNMTTISRVKGDESGKPSRRLPSLEKKHTAITQGMKTIDTRGTILEARAGDEKSNKDAASSEVSYIKYDALGQSAKQDGCDEDDRRSETEEHVEEDEVLDPEEYTGSLINIEGPKRGIDMRDYALIEYDMRIKTGKQEKDDLQLIDGASMIGPAGLWNRPETICIPGDYAEATVEILISEVQSSFNLLLGCLTSNLDKEIRLFDGVISESRGLKRSVVAVRRDSFIDLKFEVGAFPSSFDQHYLDIVRLRNCDAGSNAVWKIDAPNSKLRHSGAFVVQLLEIRLEEVHWEIWFCSYAPLSFGFVPSLRELFLACGAQLGFEGFMLSEVLQGTKDLHTLTIDFQGEKLWVQPEQKQFCPAFNNLKTLSILCVYVEFNLPGNMYAWWTKWMKREGRISMEIEHILHGRYPSFLAPENGD >ORUFI07G25300.4 pep chromosome:OR_W1943:7:23607153:23654080:1 gene:ORUFI07G25300 transcript:ORUFI07G25300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAMSALTKAATSLLSVPQRDTSIRNLCLKLYMMGSHSSNIGSVLSQAIEAGIVKELDLAVLHEKRHIDCNDDDMLHQARAVKVFAGAFPRVICCITRLSLYNVHLDGDIHRILFDCCTQLDYLNLEHCDDGSRDVWKINAPNSKLRHLELAVCFFGRLDLVCLPKLEYIYWEIWFTPYAPLSFGSVPSLRELRLACPAQSDFQGLKLSKISKEXDFQGLKLSKVLQGVPNLHTLTIDFQGEKLWMQPEQKQLCPAFNNLKKLSILCIHVEFDLLWTINLLEAAPSVELLCIDTWEHVCLVNKEDEDGRKLVHGETTHPSWEISEFTGTRNWQLKELQFTGFRPLKQQLRLAGAGGHHCLLEEDLSVVFPGVFSWEPRNMTTISRVKGDESGKPSRRLPSLEKKHTAITQGMKTIDTRGTILEARAGDEKSNKDAASSEVSYIKYDALGQSAKQDGCDEDDRRSETEEHVEEDEVLDPEEYTLDIVRLRNCDAGSNAVWKIDAPNSKLRHSGAFVVQLLEIRLEEVHWEIWFCSYAPLSFGFVPSLRELFLACGAQLGFEGFMLSEVLQGTKDLHTLTIDFQGEKLWVQPEQKQFCPAFNNLKTLSILCVYVEFNLPGNMYAWWTKWMKREGRISMEIEHILHGRYPSFLAPENGD >ORUFI07G25310.1 pep chromosome:OR_W1943:7:23654840:23656344:-1 gene:ORUFI07G25310 transcript:ORUFI07G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRISLVCFLVLASSLLHCARSDGSDSQLLKGINSYRASLKVPALSENKNAACLAEQLAQQFKDQPCTNTTGANTVPGTEQQFPDYPKYLDHCHLNASVTGDGQVMPACVPGLVPDVVLTNYTKSQYNQYLNDTKFSGVGIANEGDWVVMVLSTSSGSGDYSPAPPGSNWAPSVHPFNQLILLLVGIVCLLMI >ORUFI07G25320.1 pep chromosome:OR_W1943:7:23659494:23680600:-1 gene:ORUFI07G25320 transcript:ORUFI07G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINSSNMEQHSQKLLEPDLPVQVRLQLAMEVRDSLEMTHTPDYLNFLRCYFRAFSAILSNFTAPQGTENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTQDNEDNALLAIRIVFDLLRNFRPTVEAEVQPFLDFVVTIYRNFPNTVTHFFDNPNVSANIAAAVPNQHLDPTADAPVTVAVPGGGQLNPSARSFKIVTESPLVVMFLFQLYAKLVQTNIPYLLPLMVSAISIKGPDKVPPHLKTPFVELKGAQVKTLSFLTYLLKSNADHIKSYEESICKSIVNLLVTCPPDSELLVGLKQVLNTEYRRGLFPLIDTLLDERVLIGTGRVCIETLRPLAYTLLAELVHYVRGDLSLPQGRILDAFVGKFRTLKRTIPQLLEEGEEGKEHQNLRMKLEVPLQTVLNLQPPLEYTKEINDYKSLIRTLVLGMKTIIWSITHAHWPRPQQQSQQSSNLSVQPFRGLREDEVRKTSGVLKSGVHCLALFKEKDEERDILQCFSQMLAIMEARDIMDMFSFCMPDLFDCMITNNQLLHIFSSLLQAPKVLRPFTDVLINFLVSSKLDALKQPDSPAAKLVLQLFRFLFVAAAKAPESCERTLQPHVPVIMEVCMKSATEVEKPLGYMHLLRSMFRALNIAKFDSLMRDLIPSLQPCLNMLLSMLDGPTSEDMRDLILELCLILPARLSSLLPHIPRLMKPLVLALKGSDDLVSLALRTLEFWIDSLNPDFLEPSMANLMSDVILALWSHLRPPPYTWGTKSLELLGKLGGRNRRFLKEPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHFAVSAVMQGNSMEAFYRKQALQFIRVCLDSLLNLRENVPGEGVSPGVLGTLLISSLDPSRRRNDASDMKGDLGVKTKTQLLAEKSVFKVLLVAIIAANSDTSLTDEKDDFVVDLCRHFAMLFHIDSSSSSQSGYVQPVGSSLSSSIGSRSRNNTSSNLRELDPLIFMDSLVEVLSSENRQHAKAALSALNTFAETLLFLARMKHTGMLRGGPSTPMLVSSPSLNPVYSPPPSVRVAVFEELLPRLLHCCYGSTWQAQMGGVMGLGALVGKVSVDTLCIFQVRVVRGLIHVLKRLPMHANKEQEETNHVLTQVLRVVNNADEANSEHRRQSFQGVVEFLAVELFNPNTSIVVRKNVQACLSLLASRTGSEVSELLEPLYLPLLQPLISRSLRSKNIEQQVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADETVWVTKMMNAKIIMTWNKLRTACIELLCTAMAWGDLKAPNHSDLRAKIISMFFKSLTCRTTEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLAHTRSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQSQKSWKAGDESKIAAAMIELFHLLPPAASKFLDDLVTLVIDLERALPEDQFYSEINSPYRAPLAKFLNRYAVEAVDYFLARLSHPKYFRRFMYIICSDTGELRDQLAKSPQKILASAFSQFYSQTEAAGNQSSSVKDEGLTGAITEGFTGQSSSNMATGSDSYFNGLELISTLVKLMPEWLCNNRVVFDTLLLAWKSPSRIDRLQNEQELSLPQVMESKRLIKCFLNYLRHDRTEVGALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYAPNLKKIILNHFLNIFQSKHYGQDHLVVAMQILILPMLAHSFQNGQSWEVVDPSIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGDSRMPIWIRYTKKILVEEGHSIPNMIHIFQLIVRHADLFYSCRAHFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVAWERQRQSEMKVVQESENPSQIGDMLSPVIGGDPKRSSDVPTFGDDLSKRVKVEPGLQPLCVMSPGGASIPNIETPGSSGQPDEEYKPNAAMEEMIITFLIRVSLVIEPKDKESSSMYKQALDLLTQALEVWPNANVKFNYLEKLLGNLTPSQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILEPCFNNKMLDAGKSLCSLLKMVFSAFPLEAATTPQDIKLLYQRVQDLIQKHLAAVTTPQISLEPSNANSIISFALFVLNALAEVQKNFIDPFIGLLLRVLQRLARDMGSSAGNHVRQGQRPEQDSSVNSRPTVDPMVISNMKTVLKLISERVMASSEFRRSMGQILQALLSEKGTDPSVLLCILDMIKAWIEDDYRLASSTGSVSSLNPKEIIAYLQKLSVVDRKSFPPSVQEEWDAKYLQLLYSLCGDTAKYQMALRQEYFHKVERQYMLGLRAKDPEMRKRFFKLYHDYVGKTLFARLQFIIQTQDWEAVSDVFWLKQGLDLILAILVENEPITLAANSARVPALMTSGPVSDRMIMPQQAPDAQESLDGTSLSFDSLTTRHAQFLNEASKLVVADVMAPLRELAFADPNVAYHLWVLVFPIVWVTLHKEEQVALAKPIIALLSKDYHKRQQGCRPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNAWHTSIALLESHMMHMNEAKCSESLAELYRLLNEDDMRYGLWKRRSITAETRAGLSLVQHGYWQQAQNLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLSCATQLGQWEVLADFGKGVENYEILLDCLWKAPDWTYMKENVIPKAQVEETPKLRLIQSFFTLHDKGTNGVGEAENLVSKGVELALEQWWQLPEMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPASGNSGANSNHHNSFADLKDILETWRLRTPNEWDNMTVWYDLLQWRNEMYNSVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHIARKQGLPDVCVTILDKMYGHATMEVQEAFVKICEQAKAYLEMKGELVSGLNLINNTNLEFFPVKNKAEIFRLRGDFLLKMNDCENANVAYSNAITLFKHLPKAWISWGNYCDMVFKETKDEIWLEYAVSCFFQGIKYGVSNSRSHLARILYLLSFDTQNEPAGRALDKYLEQLPHWVWLSWIPQLLLSLQRSEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKTEMGRIAQQRMQQAMLANNAANNLSEGNARTSNLGGGNMTSDNQVHQATQSGGAAVSHDGGNLQGQESDRSNVEGGTSAGHDQGQPSSTGADGSQMPARRNNGLGWVTSAASAFDAAKDIMEALRSKHTNLANELEVLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKQDFERDLDPESATTFPATLAELTERLKHWKNVLQSNVEDRFPAILKLEEESKILRDFHVVDIELPGQYFTDQEVAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRQRHLAIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAISGQVSPEAVVELRLQAYNEITKNIVNDNIFSQYMHKILPTGNHLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFAKNTGKIFQNDFHPAYDPNGMIEFNELVPFRLTRNMQAFFSNFGVEGLIVSAMCSAAQSVVSPKQSQHIWHHLAMFFRDELLSWSWRRPLGIPSVPVAAGMINPLDFQQKVINNVEHVITRIKLISPHYLADEVNYFFKLDFCFSGYIIFSDFSHAYFPSWPLTFKMIMLSLLILSLLSYCYLERPLMHKVKYSASRGVSLACS >ORUFI07G25320.2 pep chromosome:OR_W1943:7:23659494:23680600:-1 gene:ORUFI07G25320 transcript:ORUFI07G25320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINSSNMEQHSQKLLEPDLPVQVRLQLAMEVRDSLEMTHTPDYLNFLRCYFRAFSAILSNFTAPQGTENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTQDNEDNALLAIRIVFDLLRNFRPTVEAEVQPFLDFVVTIYRNFPNTVTHFFDNPNVSANIAAAVPNQHLDPTADAPVTVAVPGGGQLNPSARSFKIVTESPLVVMFLFQLYAKLVQTNIPYLLPLMVSAISIKGPDKVPPHLKTPFVELKGAQVKELLVGLKQVLNTEYRRGLFPLIDTLLDERVLIGTGRVCIETLRPLAYTLLAELVHYVRGDLSLPQGRILDAFVGKFRTLKRTIPQLLEEGEEGKEHQNLRMKLEVPLQTVLNLQPPLEYTKEINDYKSLIRTLVLGMKTIIWSITHAHWPRPQQQSQQSSNLSVQPFRGLREDEVRKTSGVLKSGVHCLALFKEKDEERDILQCFSQMLAIMEARDIMDMFSFCMPDLFDCMITNNQLLHIFSSLLQAPKVLRPFTDVLINFLVSSKLDALKQPDSPAAKLVLQLFRFLFVAAAKAPESCERTLQPHVPVIMEVCMKSATEVEKPLGYMHLLRSMFRALNIAKFDSLMRDLIPSLQPCLNMLLSMLDGPTSEDMRDLILELCLILPARLSSLLPHIPRLMKPLVLALKGSDDLVSLALRTLEFWIDSLNPDFLEPSMANLMSDVILALWSHLRPPPYTWGTKSLELLGKLGGRNRRFLKEPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHFAVSAVMQGNSMEAFYRKQALQFIRVCLDSLLNLRENVPGEGVSPGVLGTLLISSLDPSRRRNDASDMKGDLGVKTKTQLLAEKSVFKVLLVAIIAANSDTSLTDEKDDFVVDLCRHFAMLFHIDSSSSSQSGYVQPVGSSLSSSIGSRSRNNTSSNLRELDPLIFMDSLVEVLSSENRQHAKAALSALNTFAETLLFLARMKHTGMLRGGPSTPMLVSSPSLNPVYSPPPSVRVAVFEELLPRLLHCCYGSTWQAQMGGVMGLGALVGKVSVDTLCIFQVRVVRGLIHVLKRLPMHANKEQEETNHVLTQVLRVVNNADEANSEHRRQSFQGVVEFLAVELFNPNTSIVVRKNVQACLSLLASRTGSEVSELLEPLYLPLLQPLISRSLRSKNIEQQVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADETVWVTKMMNAKIIMTWNKLRTACIELLCTAMAWGDLKAPNHSDLRAKIISMFFKSLTCRTTEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLAHTRSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQSQKSWKAGDESKIAAAMIELFHLLPPAASKFLDDLVTLVIDLERALPEDQFYSEINSPYRAPLAKFLNRYAVEAVDYFLARLSHPKYFRRFMYIICSDTGELRDQLAKSPQKILASAFSQFYSQTEAAGNQSSSVKDEGLTGAITEGFTGQSSSNMATGSDSYFNGLELISTLVKLMPEWLCNNRVVFDTLLLAWKSPSRIDRLQNEQELSLPQVMESKRLIKCFLNYLRHDRTEVGALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYAPNLKKIILNHFLNIFQSKHYGQDHLVVAMQILILPMLAHSFQNGQSWEVVDPSIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGDSRMPIWIRYTKKILVEEGHSIPNMIHIFQLIVRHADLFYSCRAHFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVAWERQRQSEMKVVQESENPSQIGDMLSPVIGGDPKRSSDVPTFGDDLSKRVKVEPGLQPLCVMSPGGASIPNIETPGSSGQPDEEYKPNAAMEEMIITFLIRVSLVIEPKDKESSSMYKQALDLLTQALEVWPNANVKFNYLEKLLGNLTPSQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILEPCFNNKMLDAGKSLCSLLKMVFSAFPLEAATTPQDIKLLYQRVQDLIQKHLAAVTTPQISLEPSNANSIISFALFVLNALAEVQKNFIDPFIGLLLRVLQRLARDMGSSAGNHVRQGQRPEQDSSVNSRPTVDPMVISNMKTVLKLISERVMASSEFRRSMGQILQALLSEKGTDPSVLLCILDMIKAWIEDDYRLASSTGSVSSLNPKEIIAYLQKLSVVDRKSFPPSVQEEWDAKYLQLLYSLCGDTAKYQMALRQEYFHKVERQYMLGLRAKDPEMRKRFFKLYHDYVGKTLFARLQFIIQTQDWEAVSDVFWLKQGLDLILAILVENEPITLAANSARVPALMTSGPVSDRMIMPQQAPDAQESLDGTSLSFDSLTTRHAQFLNEASKLVVADVMAPLRELAFADPNVAYHLWVLVFPIVWVTLHKEEQVALAKPIIALLSKDYHKRQQGCRPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNAWHTSIALLESHMMHMNEAKCSESLAELYRLLNEDDMRYGLWKRRSITAETRAGLSLVQHGYWQQAQNLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLSCATQLGQWEVLADFGKGVENYEILLDCLWKAPDWTYMKENVIPKAQVEETPKLRLIQSFFTLHDKGTNGVGEAENLVSKGVELALEQWWQLPEMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPASGNSGANSNHHNSFADLKDILETWRLRTPNEWDNMTVWYDLLQWRNEMYNSVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHIARKQGLPDVCVTILDKMYGHATMEVQEAFVKICEQAKAYLEMKGELVSGLNLINNTNLEFFPVKNKAEIFRLRGDFLLKMNDCENANVAYSNAITLFKHLPKAWISWGNYCDMVFKETKDEIWLEYAVSCFFQGIKYGVSNSRSHLARILYLLSFDTQNEPAGRALDKYLEQLPHWVWLSWIPQLLLSLQRSEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKTEMGRIAQQRMQQAMLANNAANNLSEGNARTSNLGGGNMTSDNQVHQATQSGGAAVSHDGGNLQGQESDRSNVEGGTSAGHDQGQPSSTGADGSQMPARRNNGLGWVTSAASAFDAAKDIMEALRSKHTNLANELEVLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKQDFERDLDPESATTFPATLAELTERLKHWKNVLQSNVEDRFPAILKLEEESKILRDFHVVDIELPGQYFTDQEVAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRQRHLAIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAISGQVSPEAVVELRLQAYNEITKNIVNDNIFSQYMHKILPTGNHLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFAKNTGKIFQNDFHPAYDPNGMIEFNELVPFRLTRNMQAFFSNFGVEGLIVSAMCSAAQSVVSPKQSQHIWHHLAMFFRDELLSWSWRRPLGIPSVPVAAGMINPLDFQQKVINNVEHVITRIKLISPHYLADEVNYFFKLDFCFSGYIIFSDFSHAYFPSWPLTFKMIMLSLLILSLLSYCYLERPLMHKVKYSASRGVSLACS >ORUFI07G25330.1 pep chromosome:OR_W1943:7:23684114:23684746:1 gene:ORUFI07G25330 transcript:ORUFI07G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGIKEETKAAAAASSGQTTAAAATSKSNSTTVGDMADTTFKSIGDVLKLLPTATVIVYEVLTPIVTNTGDCHVANKVVTPVILVLCAFFCAFSQFTDSYVGADGKVRYGLVTARGLLPFSGGGGADGGDAAGRDFSKYRLRFGDFVHAFFSVAVFAAVALLADANTVSCFYPSLKDQQKKVVMALPVVVGALASVVFVVFPSTRHGIG >ORUFI07G25340.1 pep chromosome:OR_W1943:7:23686068:23690180:1 gene:ORUFI07G25340 transcript:ORUFI07G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALLRSAEISPDRKAALEYLHYLSRAQPTRSLTGAGLYSAGKSFSTQAATTSSTPQPPPPPPPPEKTHFGDLKDEDRIFTNLYGQHDPFLKGAMKRGDWHRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLLKAREEAYAAGLLGHVNKPCTVEEEMSIPLKELIEKHCGGVRGGWDNLLAIIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLAASA >ORUFI07G25340.2 pep chromosome:OR_W1943:7:23686068:23690180:1 gene:ORUFI07G25340 transcript:ORUFI07G25340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALLRSAEISPDRKAALEYLHYLSRAQPTRSLTGAGLYSAGKSFSTQAATTSSTPQPPPPPPPPEKTHFGDLKDEDRIFTNLYGQHDPFLKGAMKRGDWHRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLLKAREEAYAAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELIEKHCGGVRGGWDNLLAIIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLAASA >ORUFI07G25350.1 pep chromosome:OR_W1943:7:23690943:23696444:-1 gene:ORUFI07G25350 transcript:ORUFI07G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVLAGFRALHPRPRRRRHGGAEGKARVTVRRLGSNGRKEAAAAAAGARRDNRGDGATGEAVTIRVATFNAAMFSMAPAVAAASSAETATETARRVTTPAAGGGRRPKGILKAQASLARTASKARVSINLQDNEISRERSKLGSTAARSTTTATTTPAAPQQLNGGAEGRRRSVEEVLREVGADIIGLQNVRAEEERGMSPLSELAEGLGMRYVFAESWAPEYGDAVLSRWPIKRWKSQRVADQSDFRNVLRATIEVPRAGEVNFHCTHLDHLDESWRMKQMNAILRSSDGPHILTGGLNALDGTDYSDERWADIVKYYEEIGKPTPKAEVMKYLKGKQYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPNSPYKFVPGSYTVISSKGTSDHHIVKVDVTIQDKKETDEESGNQRQRVVKINKKCSKKGLWAAK >ORUFI07G25360.1 pep chromosome:OR_W1943:7:23702384:23703235:1 gene:ORUFI07G25360 transcript:ORUFI07G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELSWEGFHPSIAHQLLDGMPSQPGMSKEDQRISEPVLINTTMNKEEKWLDEALDRILEKFEQMEAKRRQEDKLNQNFQKLEEIEARRSKASEETIAAIRATTAILKAASSSTPMAPPPPAPTNCLMECHNNNFTWVAVNSSHIREVPAPMVAFELGDVEDKDPVPYIAAKDLPKVTPTKCSTICSSSDTKPDHIVATVVTCATLVVSSMELVAIDGTTGNTNIDTPDSTKAMPANCSTVGLDVKGGADHARVTCQIMMGVPEGVVLPDASSEVLSPWLIG >ORUFI07G25370.1 pep chromosome:OR_W1943:7:23704100:23705701:-1 gene:ORUFI07G25370 transcript:ORUFI07G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRQFLNLLVDNGIPRAKSLHCVKLTRHRLFNTTKPTMLPLIGDGNEPKNNNQSMEKIVLPRPSFNLQLLGQEEDRRSEMQEQRDANDDDKEVTDDEDYSMDILLPKSRHRDGSIYRGIMDTWWKKELRIADRNEKLYGYIAVRDDLDPLLNYIINFSRDDPIIVEQGSLINMEGPNRGIDMMDYALIEYDMRIKTGEQEKDDLQLIDGASMIGSGGLWNRPETICIPGDYGAVDITLS >ORUFI07G25380.1 pep chromosome:OR_W1943:7:23707779:23718052:1 gene:ORUFI07G25380 transcript:ORUFI07G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGFGRDAGPLNRGPGTAPFAFGAGAAAASTPPAPAPFPSARPVAPIGPPAATAAASRFPSPRPQLAATPRPPATSPVPVPSSSAPRGPAFAHGAANPVRFPSSRPAIDPGVPAATARHVGRHLQPQPRPAASSIRSPVRPVISSRSRSTSPLSNQRIDSPADYDNGMGKRRVVNYADPLFENGSAPIEDMRTQPSEFGKTARSPTSNITSEFRPPSGFQNYHPVQAANPLEYKPNVTPAMFGNQNLHDVRAAPSPALNNNRLVPGSGRLRPALGGGASPTVLGDASQYDNSTQSVMARQEMSEHMRPVSQRFLASFQSRSLDHNISKRSRSPTLSHQDADGAEAHQDAGVNARRLIDYTDSLFDDGMVETSKRMKSPSLEFTSMVKSPSSDIRGDTRPSPAGLRSNSAAQNLRSSVDIQKASSSVPKVGNQVQFRIGDVRSPPYQIDPYSNEQNTAAVSPPKPSILGASKRIGTSLLDFTDDDNMIPSTESEREKQAKAKRLTRFSVELSRPVDNINDFVKAQKGSADKQKQASSMGKVPTGSKDDIDERSMADADSPGLAAIIGLCPDMCPEPERAERERKGDLDKYERLDGDRNQTTELLAYNRTAERDADLIRPLPVLQKTMDYLLSLLDHTYDDNFLGLYNFLWDRMRAIRMDLRMQHFFNQDAISMLEQMIRLHIIAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRRKGVLFPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSREIRGSPDILFAREVARACRMGNFIAFFRLARKATYLQACLMHAHFAKLRRQALASLHSGLQNTQGIPISQAVEWLAMEDEDIESLLEYHGFGLRQYEELYLVKEGPFLNSETDFPSGCSQLVHLKKSQRIINDVSSGPVCAPTSQKEALASNSGGFALTRGHVHPQPSLLVKREFGLSFPGPVSPTSGRQTTSLYSGSFSPKAGNKQSSLPSSSPMSPTSGKKESVHVPFSTPPHTTKQAILPRTGWIDEQKVASPKAESNTKAADDFIPEDQDGGLIGFPRGQPDVPWTQANIQQDSALEETKFSPPLADGVSLDYSNMHGEENEFRPDGSSIDADMDEESPSHREVNFIQPESFVGSHLSDSDHKEYDDHNIGDRAADNMLPVVVSPKKIISNERLKIILRKWRHRAMDKRFIREQKNALAIAALSSLSLGPPVHQTAVVPVHAVHDLDISHAFKERHSRQQLSLSPLNVSELAGPILTERNPDARCICWKLLVLVPPGTMEFTSNYASKWLLKKLMGSGNEDAGLLFSSADLSIWTKWNSSPDTCCLSIARAIDQQVIGNDIANGTNCIIFLVSETIPWEMQKARFSSLLASIPAKSNLPLLILSGDTYNEEYDYASQSVIDRLGLGCLSEEKIASCLVIFLVADDMEGYANGFFDDEKLRGGLKWLTRNLPPQPDVTLVKTRELLLNYLNPSLDLLNSRAAPEVCPEHCISVFNKSVNQLTEEISAAAYTASNQWPAPEINLLERSSSERIFAEMFLPSIGWSLPSRIQPLVAAIKSCKLPEFSYDMSWLNKGSYMGSQIQDQKLYLEECLTKYLTKSAHLLNEAQAATEVKVMVQKGVGLELRGSQYHLVPRWVTIFRRIFNWRLAKLSTGEFSEAYVLSQHLYQTPAADSLPNGGTQHDLSASSNTTDEAAPILEDRGMAPSVSSRLSLDEIIEISCDLDAVSAPPPAKPLPPQPPTQVHYEPQPPADTTGGVNGVLGAGDGVRMPRRPELRELVSIERDDKLARLLEQCGKLQDRIDGTLSIYF >ORUFI07G25390.1 pep chromosome:OR_W1943:7:23718465:23722441:-1 gene:ORUFI07G25390 transcript:ORUFI07G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQGKCCVPRRQRGRGGGGGGAVGGRGGATLGRVAVPGAGLVLEYATLAVAGLYPDSPGRESQDAHLVATRFAGHPDLHLFAVFDGHGACGAACAGFARDALPRLLAGVGMGEGEEGGGRMVVVEDPAAAFREALPAANAEMHAADEVDDSMSGTTAVAALVAGGALHVANVGDSRAVAGVWREGRVAAEELSWDQTPFRADERARVKACGARVMSVEQVEGVRDPEAEGWVADEGDPPRVWARDGLYPGTAFTRSLGDQAAEAVGVIAEPEVKSVEITPAHLFFVVASDGVFEFLSSQDVVDMPGLYIQTRWEALKCSSGTQHLLLQLNAFVKITDTNKDKVCCMLWCAKPLYTVMFIPCGLRNIINVSRITVKGRLSVESSSYVAAYEDPREACSAIAAESYKLWLEHENRTDDITIIIVHIRDSENPGPAGSQKMNGSSLGAPIALRTGQPELPVYVPSEASHLNRDAATEMPSSSSGSPTEQHLSCVAPSPTHPLLGVGRTSETAKLIESERAGAVAVRWLWCWLCRVSVMHRWQILSVLLLVFS >ORUFI07G25390.2 pep chromosome:OR_W1943:7:23718465:23722441:-1 gene:ORUFI07G25390 transcript:ORUFI07G25390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQGKCCVPRRQRGRGGGGGGAVGGRGGATLGRVAVPGAGLVLEYATLAVAGLYPDSPGRESQDAHLVATRFAGHPDLHLFAVFDGHGACGAACAGFARDALPRLLAGVGMGEGEEGGGRMVVVEDPAAAFREALPAANAEMHAADEVDDSMSGTTAVAALVAGGALHVANVGDSRAVAGVWREGRVAAEELSWDQTPFRADERARVKACGARVMSVEQVEGVRDPEAEGWVADEGDPPRVWARDGLYPGTAFTRSLGDQAAEAVGVIAEPEVKSVEITPAHLFFVVASDGVFEFLSSQDVVDMVAAYEDPREACSAIAAESYKLWLEHENRTDDITIIIVHIRDSENPGPAGSQKMNGSSLGAPIALRTGQPELPVYVPSEASHLNRDAATEMPSSSSGSPTEQHLSCVAPSPTHPLLGVGRTSETAKLIESERAGAVAVRWLWCWLCRVSVMHRWQILSVLLLVFS >ORUFI07G25390.3 pep chromosome:OR_W1943:7:23718427:23722441:-1 gene:ORUFI07G25390 transcript:ORUFI07G25390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQGKCCVPRRQRGRGGGGGGAVGGRGGATLGRVAVPGAGLVLEYATLAVAGLYPDSPGRESQDAHLVATRFAGHPDLHLFAVFDGHGACGAACAGFARDALPRLLAGVGMGEGEEGGGRMVVVEDPAAAFREALPAANAEMHAADEVDDSMSGTTAVAALVAGGALHVANVGDSRAVAGVWREGRVAAEELSWDQTPFRADERARVKACGARVMSVEQVEGVRDPEAEGWVADEGDPPRVWARDGLYPGTAFTRSLGDQAAEAVGVIAEPEVKSVEITPAHLFFVVASDGVFEFLSSQDVVDMVAAYEDPREACSAIAAESYKLWLEHENRTDDITIIIVHIRDSENPGPAGSQKMNGSSLGAPIALRTGQPELPVYVPSEASHLNRDAATEMPSSSSGSPTEQHLSCVAPSPTHPLLGVGRTSETAKLIESERAINYYTKRIPSAGSCCCAMAVVLALQSVRHAPVANPLGAVARVFLEHLLTDDAKKGS >ORUFI07G25410.1 pep chromosome:OR_W1943:7:23734137:23736241:-1 gene:ORUFI07G25410 transcript:ORUFI07G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIPHAATARLFPLTPAAAQMRILRRFPRLLRRSNAAARSPAPMHRLISSPSLMASAAASWCWWSPLLARGGPVVRRVSREQQQAITETRLEAMRFSNPTNCVIRSNGTCMSHVHCNMLQILSLELAKITLDGGSVELYGYIAGSLINMEGPNRGIDMMDYALIEYDMRIKTGEQEKDDLQLIDGASMIGSGGLWNRPETICIPGDYGAVDITLSRFYCSAEATVEILISEVQSSFNLLLGCLTSDLDKEIRLFDGVISESRDLKRSVVAVTRDSFIDLKFKVGAFPSSFDQHYVSFKEKIHGYDTQEIKTDFALISVNVTWSTLPAGLK >ORUFI07G25420.1 pep chromosome:OR_W1943:7:23736858:23743114:1 gene:ORUFI07G25420 transcript:ORUFI07G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFPGGISIPDIQTGIDSPAADTSPPPPSSSSSSSSSSSSRELGEGHQQAKSTARSNCHPRRNPFPSKPVRARGVAALASAPLRRLRLPSPLLPSTARCRTVVRFLDDNERGAYAPMSTGFAAQNREYANIAKPSWISWYAFSAPDEAIQALISEAKSYYMRLPPNTTPPNTSSSYPYCSHLQRALFLRDIKLVLLLRQLAMDNNADVSTPTLLSISALVGDVTNLSAEELRRRQQREINNSLKVEGWNEYTFLGCANTAGSACKKRVKASSLDNVKAQCHMILEDKRIELKEYLNDLCHDKHKVIDNICKKYELENIEITNAKKQKAEKLSREMKNKCNKKMPKSHGAKVLNTMRFFHTTPSKEKSKTKKFRDPKDGYPGNSKDGSYPGNTITMDMDKDNLPAFRRSFKRAIKKGRAWCQANSNSVDISGLFGPGAEVYTTPATGTLHVKLRNKGRELTLFFRGRDLYLKGWRSDRFGLFAAHPDRFDKKDCFIQDKACKHLNIEDNYHQLVPGGRIGKVRVGPLAMLDYFEVLHKCNGIVTTDVLGAVAGFAVNFAEPIRQEDVLEDILESFVHFDVAMLDSRRALSLYVRKYKHYSREFLTGVDNFLHGRPMPEILNREEVTVKSLHELWCRIKVPLRYSYNDGAFYHDDKVGIPVWSPPYPDGNNWEEEEDEEEEEEEGDKEEEAEEEEEEEEEEEAEEDANHRDLKFTSQQDFFSVGMKANEINTSCLCRPFSAVASGGMRPLVVPPSQGGEVQSRIQDEDSMDEGDQNCTTQFNC >ORUFI07G25440.1 pep chromosome:OR_W1943:7:23748194:23748460:-1 gene:ORUFI07G25440 transcript:ORUFI07G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSTGLCCFSFPSASAPVPPPPPLLLQQTPTEGGNGAGQHDGEGREALTQVLTKAREAVMSLIGKNRVSNTMKAVDRAWRGLIANM >ORUFI07G25460.1 pep chromosome:OR_W1943:7:23756078:23757211:1 gene:ORUFI07G25460 transcript:ORUFI07G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTAVSASTAGLIWSLSPSLSLVVTTSVALLLVLGGVSGIRVNVIRLPSASPFPAFREAPAFRNGDGCPPARGSAAAEGGRVDVAMTLDANYLRGTMAAVFSILQHTACPESVAFHFLAARSDPDAGDLAAAIRATFPYLGAAVSVYRFDPSRVRGRISRSVRRALDQPLNYARVYLADTLPAGVRRVLYLDSDVVVVDDVRKLWSVDLAGHVVAAPEYCHANFTKYFTDAFWSDGELSGAAFRRGRRRPPCYFNTGVMVMDMGRWRDGGYTRRVEEWMAVQKRRRIYHLGSLPPFLLVLAGDIKAVDHRWNQHGLGGDNAEGKCRSLHPGPVSLLHWSGKGKPWLRLDSRKPCAVDYLWAPYDLYKAAVPALEE >ORUFI07G25470.1 pep chromosome:OR_W1943:7:23763440:23768359:1 gene:ORUFI07G25470 transcript:ORUFI07G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRAASRPARPSPQELVRSIKESLLALDTRTGAKALEDVEKNVSTLRQTLSGDGEVEPNQEQVLQIALEICKEDVLSLFVQNMPSLGWEGRKDLAHCWSILLRQKVDEAYCCVQYIENHFDLLDFLVVCYKNLEVALNCGNMLRECIKYPTLAKYILESSSFELFFQYVELSNFDIASDALNTFKDLLTKHEAAVSEFLCSHYEQFFELYTRLLTSTNYVTRRQSVKFLSEFLLEAPNAQIMKRYIVEVSYLNIMIGLLKFS >ORUFI07G25480.1 pep chromosome:OR_W1943:7:23768544:23772820:-1 gene:ORUFI07G25480 transcript:ORUFI07G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSWAWTWSWSGAAAVAVAAAAAWVAVYAAVARAAEALWWRPRRVERHFAAQGVRGPGYRFFVGSSIELVRLMVDAASRPMEPPTSHDILPRVLPFYHHWRKLYGPMHLIWFGRTPRLVVSEPELIREVLLTRADHFDRYEAHPMICQFEGYGLSNLHGERWARRRRVLTPAFHTENLRMIAPFVAGTVTRMLDELAERARAGGAGEAEVDVAEWFQRVPQEAITFAAFGRRNYDDGAAVFRLQDELAGYATEAHSKVYIPGYRFLPTRKNRRVWQLDREIRSHLAKFVTGLQSCSSSHGDDADDGGDGGGGMREFMSFMAPAMTAGEIIEESKNFFFAGKETLSNLLTWTTVALAMHPEWQERARREVVALGMILNETLRLYPPAVAMIRTAKEDVELGGCVVPAGTEVMIPIMAVHHDAAAWGDDAAEFNPARFAADDDGGRRRHPMAFMPFGGGARVCIGQNMALMEAKVALAVVLRRFEFRLSPAYVHAPRVLMILSPQFGAPVIFRPLTSAAA >ORUFI07G25490.1 pep chromosome:OR_W1943:7:23779246:23784435:-1 gene:ORUFI07G25490 transcript:ORUFI07G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARLGHAVTGRLRRPLHLLHPPPPPLTDHHASVAHSFALIHANTRARGFASSPYNAGGVIGYRGRSPVYTVKVLELLFQINHTRSMSTAAQAEPPSLSKAPTPSQTSSKVPLGARKVGMKVVMMSPGFVYEPYSIREPIPFWKSSLPFPNTDPSASFQDRIVRVSSFKLNTKRWFTPSGWRRTKEDVILEMKNAYAVSRLRKKTGYTKKEFYDQAFKIYKEVNTLMAHGDTSSLRKILTERMHSTIKNELKKRQSMWSSVHWELVEPAVCIRTLRARMKFEAYNTKGEVVSGDKSKEVLVKDIWVFERSLFHPGAYWRVCGRITL >ORUFI07G25490.2 pep chromosome:OR_W1943:7:23779246:23784435:-1 gene:ORUFI07G25490 transcript:ORUFI07G25490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALARLGHAVTGRLRRPLHLLHPPPPPLTDHHASVAHSFALIHANTRARGFASSPYNAGGVIGYRGRSPVYTVKVLELLFQINHTRSMSTAAQAEPPSLSKAPTPSQTSSKVPLGARKVGMKVVMMSPGFVYEPYSIREPIPFWKRWFTPSGWRRTKEDVILEMKNAYAVSRLRKKTGYTKKEFYDQAFKIYKEVNTLMAHGDTSSLRKILTERMHSTIKNELKKRQSMWSSVHWELVEPAVCIRTLRARMKFEAYNTKGEVVSGDKSKEVLVKDIWVFERSLFHPGAYWRVCGRITL >ORUFI07G25500.1 pep chromosome:OR_W1943:7:23786336:23787718:1 gene:ORUFI07G25500 transcript:ORUFI07G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKSILAEPEPGPSSPPPPPETETEQEHAGSTSTPPTDDDVGGGGGGGGGGGWGFGGLLKTLTSQSETVLEAYRRDLAEFGTGLRRETEALRDAAARAARDLPAHAHALDGLADIVAQGKDAIAQVAAAAAAAPATGHSDGGGGESEPSSASGQVRYSRFEAQLRALQSDPATFTSDPEDSDDFAAWRVGFSVDERSGEIEALCYESDALEGMVEKLVPGTVESEVFWARYFYRVHRLKQQEDARAKLVKRVFAAEEDEEDLSWEVDDEDEEEQQRAEANEEGSKQEPIEEDVERVAGDKESERIVEEKEVEAVEESRGLEKEQQNADAPQPEVFGSSMVVVDKREKEEASVSNIEESSDKKAVTEEPRSSTGDDAVKDGAKHETSDSSKDSDYSMVSRQRTTTEEEDLEWDEIEDLGEHEEKRGSNNDSSSALKEELRKRLSVAEDDEDLSWDIEDD >ORUFI07G25510.1 pep chromosome:OR_W1943:7:23789180:23791448:1 gene:ORUFI07G25510 transcript:ORUFI07G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQQAAAHFILGRTRQEQYPGPKFTQYNGPFTTFPAQSGPPESVHKSRCRVASFPSSSVRSTISSQIHLLRRRRRRRRRPPPTRASQPSRMTEAPFVPREKLFKQQQYFQNLTKHTYLKGRYDVITSVAIPLALAGTSLFMIGRGVYNMSHGIGKKE >ORUFI07G25530.1 pep chromosome:OR_W1943:7:23798137:23799441:1 gene:ORUFI07G25530 transcript:ORUFI07G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRFLNLIVDNRFPGAVSLLSIDLRRHKLFNTTTPADLPLINRSVSESERPPCVANANNHQKKRKKKTVKMRMLHLPAPTIDLEASSRGYSWNIKCSPLTDRKLLCTDNYGRHVLFDSEARQVEDLPFLNEPKNSTFSIFIPGAATDTNGCDDNGGGGSSIYIMERCPSHEQEQRLLSVKHTWIRVGDWTLPFTGKVEHVPELKLWFGICTNDWKLGAIDLSTIISTATMEDFQPQLVGTWKELEAPQHWSEMRYPQLANLGSGRFCIARFFHTWTTPMEPNSFGYDSIKVHSFSDFDSDSDSIEFEEHSFTVLTGTDVVPCVHDGNGTGNGNYANGSNGKVELRMIKHNSKSHISYGIDGTIRLVF >ORUFI07G25540.1 pep chromosome:OR_W1943:7:23800668:23803949:-1 gene:ORUFI07G25540 transcript:ORUFI07G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPWGGVGCCLSAAALYLLGRSSGRDAEVLRSVARAGSTKDLAAILDTASKVLPLVVAVSGRVGSDTPLICQQSGMRGVIVEETAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVFVVGARGAAGLVLTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYVSPKSIDQLIMNLGKWAKLYQLASMGFAAFGVFLLAKRALQHFLERKRRHELQKRVHAAAAQRQAREAEGGNGTSDVDSNNKKDQLVLDICVICLEQEYNAVWPHVLLYELLFSFDELSTLPEKN >ORUFI07G25550.1 pep chromosome:OR_W1943:7:23804697:23808424:1 gene:ORUFI07G25550 transcript:ORUFI07G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKLSSALFAGTHFDRKRFAGDFARFRQGPPAPDVASAAAPSPEKKRKRQSKAKAKKSKKRRAEGADSASDAVEGFSVFKGLAAKKDEDDSEKKVETGKSEDSEVVRRRKEVEREIERAAILRKKFDIHISGQNVPAPLENFEELVSRYGCDSYLVGNLSKLGFQEPTPIQRQAIPILLSGRECFACAPTGSGKTLAFLFPILMKIKPGSKEGVKAVILCPTRELAAQTTRECKKLAKGRKFYIKLMTKDLSKSGNFKDMHCDILISTPLRLDHAVQKRDLDLSRVEYLVLDESDKLFELGFVEVIDSVVKACSNPSIIRSLFSATLPDSIETLARTIMHDAVRVIVGRKNSASSLIKQKLIFAGTEKGKLLALRQSFAESLNPPVLIFVQSKERAKELYKELAFDDVRADVIHADLDEEQRQDAVDNLRAGKTWVLIATEVIARGMDFKGVNCVINYDFPESASAYIHRIGRSGRAGRSGEAITFFTEEDKPFLRNIANVLISSGCEVPSWIKALPKLKRKKHRVNRDPISTLPDED >ORUFI07G25550.2 pep chromosome:OR_W1943:7:23804697:23808424:1 gene:ORUFI07G25550 transcript:ORUFI07G25550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKLSSALFAGTHFDRKRFAGDFARFRQGPPAPDVASAAAPSPEKKRKRQSKAKAKKSKKRRAEGADSASDAVEGFSVFKGLAAKKDEDDSEKKVETGKSEDSEVVRRRKEVEREIERAAILRKKFDIHISGQNVPAPLENFEELVSRYGCDSYLVGNLSKLGFQEPTPIQRQAIPILLSGRECFACAPTGSGKTLAFLFPILMKIKPGSKEGVKAVILCPTRELAAQTTRECKKLAKGRKFYIKLMTKDLSKSGNFKDMHCDILISTPLRLDHAVQKRDLDLSRVEYLVLDESDKLFELGFVEVIDSVVKACSNPSIIRSLFSATLPDSIETLARTIMHDAVRVIVGRKNSASSLIKQKLIFAGTEKGKLLALRQSFAESLNPPVLIFVQSKERAKELYKELAFDDVRADVIHADLDEEQRQDAVDNLRAGKTWVLIATEVIARGMDFKGVNCVINYDFPESASAYIHRIGRSGRAGRSGEAITFFTEEDKPFLRNIANVLISSGCEVPSWIKALPKLKRKKHRVNRDPISTLPDED >ORUFI07G25560.1 pep chromosome:OR_W1943:7:23808476:23811594:-1 gene:ORUFI07G25560 transcript:ORUFI07G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDTTADHGGGAGAGGVDIPMQILVQPPPLPPPTATATARHRRTHTGDSTASSYEPQRRPVEHQVSSMHAATASDDGGGVDDVVPERKVTAFALHVAVLEKAASHVGAVCFVWATVVILGGFAADLDARDFWLVTAILLVEGTRVFSRSNELDLQEQPMHLPDAAAAAAGDDDDDPPPPHKAAAAASPIHLLPLGGWLVEARNVSYVLYWLQLLSASACVALSLLRLATLRFAGDNGGGGNKNAYYALMLFYVLALSEAVIFLVERAYWEWVLSYRRLVEAVSGECDLGDAGVVPIKRFFYRAFSRSVEGGILDATRMDLVSFAVELLSSDSGDEQLIGAHILRGSIANRDSARRAVRKIGTSAATVERLVEMVSWKSPSKRRVRSLAAEVVLRLAGKRRNLIRVATIPGAIESISTLLETPTTDAAAGDLAMNEMGLHIMKKLAREHGNAAKISSTRGVLSRIIHFTRTSRAALQICAGGEGSLPAKTVLRSLQVVKNLSSTPGHTGEAIRREISDNVFVLGNIRKVLQHGGERHGKMQLTAIGVLADLAIDGDAKEKIGCTGDMIAHLLDMFAGSPESAPAVAYAAQGAAHIRLQAGEVVALLALESAANCDRILREAAVVERLVMTLHHPGLQITSSRILLNLCRYSRSDHFLQLSSLTAAVPIVFKAIMVEKSSLLEVSIGLAIQITRLATPEFHKEIFGKAGVPDTDIAGRLVEILKEHRTPRVKVPRMRRFVIELAIAMMRGDAELVPFFRSMELEKELRSVVRSTSELESFNMFSGSIGLSRHSSTLASLVDDAMEIMQALQDS >ORUFI07G25570.1 pep chromosome:OR_W1943:7:23812451:23818445:-1 gene:ORUFI07G25570 transcript:ORUFI07G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLRGTKCTLPFLKLRVRFLLKEYTEFCMYKA >ORUFI07G25580.1 pep chromosome:OR_W1943:7:23813262:23816756:1 gene:ORUFI07G25580 transcript:ORUFI07G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEQLNCFVQVVASVERVGNALGTLAFTWATVVLLGGYPTSITCVDFAYATALFFLEAARMFCPNRSEYQLFFRTRGALRPFSWNRVIVVICLNNVGLFLPSTKSKELSVSILMLVAATLPFPGVHKLKGGPLRNAISLLSPLVVMTVTTLIQMLGWTNQEDNQIRLLAAKITAELARGLQIVTIPGAMNSISSLLDNQNKQQIQELIIQKDSGGEENCWILKLWHQMTKKWSILEEEQWTETDVFLVLGLVTLERLATYDIVNCMEISRSMDLIPKITEFTSNNSERICVNETSQKILIDLSLKVLRRLASIGGETGITLRHKISEDPFLLGNLAEILEDSKSSQELRKLTIDILIKLAMDETTKREIGSIQVIVQMLMFAFTAQDDLPGAYSDCSMTMKAGQALSMLTLESADNCSAIMKEPGHRFFKDVARMLVHDNRYIHVAANVLQNLCKHSRVELGDSVLVELSSVLLEVLGQVMDAEGKELEVLVGLSSQICRVSPKSFSKALEQGQKEARFVEKLINGLNANMKPNPQFPGIRSVIVEQCIYMMELSSRYATYFRNHELMEALIRVEKTPSRAEKYRLFLGNTGLIEHRVNLSSLVERAKQLMAVHSTQQP >ORUFI07G25590.1 pep chromosome:OR_W1943:7:23819336:23833827:1 gene:ORUFI07G25590 transcript:ORUFI07G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGRKSGSNGYAIWASIDGTCAILGVSLSSRHPATTAPIRSTALIRIEIEAEGEAVKHAADLLGEMQRYAGAGNNSGFSGGGGAGGGGRDSSRLDGSPYSANNYPLSSRRQQQLAPYKLKCDKEPLNNKLGPPDYYPQTPNCPEETLTKEYVQSGYKETVEGIEEAREIVLSQIPYFCKTDIVVKCKEALKKRLRAINDSRAQKRKALSQPNKRLRSLSEHVPHGYRRKSLLDVLTRYNVPLLRATWFVKVTYLNQPQVRSTSVSTSAGGSDNHRSNQWTNDVVEYLQQLLDEFCLKEVPPSFREQSSPGLIAGVTQVKMKSEAPPAVGDIDEPLVHFKWWYMVRLIQWHLAEELLVPSVLIEWLFNQFQERVAVEALELLMPVALGMIDIITLSQTYVRMFVEILVRRLSDGPVVDNPKRAHISSVIAEVLRYMVLAVPDTFVSLDCFPLPSFVVPDVYSRGALLKITAGGGIAGSKRQDGYRYLSCGYAVCSIQKRSYDLATVANPNFQARGAAKVVQALDKALVTGNLTMAYLSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVESSLICSVFFLCEWATCDYRDCRTSLLRNVKFTGRRDLSQVHLAVSILKNKMDEINNLSRSKSSSRIAVNNTAKGSSLNNTSLAATVSDSSGLRNNAKSVDEKDKKDIFESPGPLHDIIVCWLDQHEVNSAVGFKRVDVLIIELIRSGIFYPQAYVRQLIISGITDKNDTLLDVERKRRHRRILKQLPGSSLFEILEEDRITEEQHLYEMMSTYSSERRLVLSELSTGQAFDANSRGEYASSSCVPKASDLFLASGGDKHGRVPEQVEDVKALVSSMLRFINPHSVEEEHCQIKTNPQGSSASTVTQIDTVDVKHDCEDCARTKRQKLDERASPLQGFPLIQSDEEDIWWVRKGTKLHESLKAEPAQKPIKQNSRGRAKVVRKTQSLAQLASSRIEGSQGASTSHLCESKVGCSHHKPSIDVDNVKDVDHMKIVDLSEVGKSLKRLRLLERRSVSVWLLKSSDDKTLLKWRLGDEELLSILYILDICCDLVSGARFLVWLLTKVRGGMATSGQTGRSATHIKNRENQVCQIGEALVFSSLLRYENILLATDLLPEVLSASMSRNFVLATARQPASGAFAYTRYFLKKYRDVPSVARWEKSFRTTCDQRLLAELDNGRSVDGDLVSSSGVSAGEEIDEQIRQKMNVRNSRIVPNMKDIIQRQTEEKKGITAPKSPSVDREDSYQIAHDIVLGLLECIRQNGGASLDGDPSIVASAVSAIVLNAGHTIAKHLDLSADALGDRFSRVFEIALAVEASSAITATFAPPKMQRNQFQPSPETHDVYGNHTNDLSNTGKGFVGRTTKVAAAISALVVGSVIHGVVNIERMVAVLKIKDGLDILHLLRGLRSSTNGVSRSTGTFKMENSVEVLVHWFRILLGNCRTVYDGLIADILGESYVLALSRLQRMLPLSMVLPPAYSIFAMVLWKRYTFSREDVQLYQSLSNAINDITMHQPFRDICFRNTHQLYDLLAADVGDSEFAAMLEMHNPDKGSKAMAFIPLRARLFLNSLVDCKTAGAILGDGSCASESGEAKESELKLSDRLIQLLDTLQPAKFHWQWVELRFLLDEQALLEKVAAGNTSVAEAIQSLSPNAESFALSDSEKGFTEIILSRLLARPDAAPLYSELVHLLGKLQESLVVDVKWLLQGQDALLGRKSTRQQLVHIAQRKGLSTKTQVWKPWGWASLLSDVIASKSTKRKLEVTSIEEGEVVDDSADAKRPSKANPHNVDRSFEGIRSINKYLTEKALSELMLPCIDRSSAEFRSIFAGDLVKQMGTISEHIKAIARNGTKQSGLVPSGTEAASNKSSSRKGIRGGSPNIGRRAPVGNDPTPPSASALRATVWLRLQFIIRLLPLIMADRSMRHTLASAILGLLSARIIYEDADLPLPPTNTTVLRRDVDSLLEPPLDVLLDRPGESLFERLICVFHALLGNGKPSWLKSKSVSKSTTRTPRDFPAFDSEAAEGLQSALDHLEMPGIIRRRIQAAMPVLPPSRHPCISCQPPQLSLAALSPLQSGTSTSGPQQKGNSTSWVPTNVSIRNKAAFATQDPEMEVDPWTLLEDGTSCPSMSSGSNSSSGMAGDHGNLKACSWLKGAVRVRRTELTYIGSLDDDS >ORUFI07G25600.1 pep chromosome:OR_W1943:7:23834042:23843986:-1 gene:ORUFI07G25600 transcript:ORUFI07G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSKKNNVVANTKSSKLTLVGYPPRRTTVAQVKASVPRALPALSTEATTRTKRFSCFSGAVLGSWDAQLASGWATVVSATSITTLSVCFGILTRRQSRFFFLSLHAGICGCCDD >ORUFI07G25610.1 pep chromosome:OR_W1943:7:23834117:23941629:1 gene:ORUFI07G25610 transcript:ORUFI07G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQTESVVIDVAETTVAQPEASCASQLPNTAPEKQLNLFVRVVASVERAGNALGTLAFTWATVVLLGGYPTSVNFEDFVFATTLFFLEAARMFSPNRSEYQLFFRTWGAFRPFSWNRAIVVICLDDVSEYLRSNQRREFHSLVVSMLMLVAATMPIPGVHKLKSGPLHNAILLWSPLVVMLLLVPSIVCKQTAVAHKGNCLIARTFYMISLVTVLLLIISKLQFPSITRIVHRPVIHKLQSCHQVILVFCMCLAAVPLVFFSPNLILMIVLTLLTTVCGSLQIPAAVIRVEIALMRLLPQDYCSEGDPANDSGKINLKPTLNVFYGMVLGQGILYLAARILEFFSFFPRRSLARRGGFRGQKGVQSVDMYYEYAFEKCMNTSILAPKKMNLTTFAMDSLKSGSRKEQFCGVRILYSLVCREPYDKPVLSKVTNSRKTVTTLIQMLGWENPEDNQIRLLAAKITAELANGLRIVAISGAMNFISSLLDNHNKQQIHELTIQTDSGDEENCWVLKRWRQMIKQWSILEEEQWAESDILPALGLVILERLATYDLVNCVEISRSMDIIPKITGFTSNNGEKMCVNETGQKVLIEFSLRVLRILASIGGETGITLRHKISEDPFLLDNLAEILEDSRSNQDQELREMTIDILTKLAMDESTRKEIGSIQDLARMLQDNRYIYVAANVLQNLCKHSRVELRDSDVLELFSVLPEVLGRVMDADGKELEVLVGLSSQICSVSPESFTKAFKQGQNEEIFVEKLINALNANSKPNAQFPGIRRVIIEQLTYMMELNSRYATYFRNHGLMEALIRVEKTPSKTEKYRLFLGKAGLMEHKVHLSSLVARAKLHMENTINGEHIVQIRGVQAEGKMAAPEKRLNRFVHLVAMTERLGNALGAMAFTWATVVLLGGYPDNLDSTDFWLATAIVFLEAIRMFTNDNRLDYQLFFGTRGDFRLLGWNGLLVVMVYLSVVPVLLSKKLLPWRQLVLNMCMLAAIVMLVFIFSDELTYQLMIIVYEVSALLFLSSGNFQIPAAVVRVVLALTQLLNGNDGENDNEQNLKTSLDIFYGMVLGQGILYIVACLVEAFSFIPRRYLIHRGGFGGQMGVEYANSYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRKKLYGAQMLHKFLKKEQLRTKTITKLTNDTRTVVSLFDMLDWKSDGDEQIRLFAAKITAELAGSLRVVQIPGATQLVASLVLDTDHQQTTRDHFLFIDSQVGREDSPIQQVGMGQQNSPVLKYLKQVARYCLIPVDEPSNRRQQNSSMLRWWKRITKRWSIPEEEPSRDQDFLPVQGLLILERLANFDPGNCMEISRTIGLISKMIDFTSYRNHMTSTNEAHQIMLASLSLRVLRRLASTEGKLGVTLRQQILEHPFVLSNLSEILNDSGSNHEQKQLAAEILKNLAMDRNTSKDIEHIRVIISSLMCRFLSRDPSSSTNCNHLLRKTAGQALAMLAMESKDNCLVMLMEPGYAFIRELTATIHNDRYKCITASLLWSMCEHAQCKLSNSDLKGLSDILRLVLEGIMHTKGAELEVLIGLSSQICKCIPEDFARELEKGQIKERFVKRLVDELNAHMRPSPYCPSIRRVIVQHAIYLMEFNPRYANDFHKCWMVEALSKVESTPSRVENYRLLSGDMGLMEHSTAVSTLVARAKKLMGRDSLPAEGRPCSPDSGSHDLLAGGSGHPEARAGLAHEAHRQCRVFQHRARFGEMSNTVLHGSLKPLRPAATASSKSSILAMANATGGEHSVQIRAGEQVEAAGKMATPEKWLNRFVRVVALMERTGNALGTLAFTWATVILLGGYPTVLDSKKDYRFITVIVFLEATRMFTRDNRLDYQLFFRSRGAFRLLGWNGLLIVIVYFSAMLMLSSKHGDDFFSVSLPVVIVAIVMLVFTFSEELPGRLVIIVYDVSALLLLSFGNFQIPAAAVRVVLASLGLHKKNGENSEKNLKASLIIFYGMVLGQGILYIVACLLEVFSFIPRKYLIRHGGLGGQMGVEYVNLYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRKKLYGVQMLHKFLKKEQLRTKTITKLTNDTRTVVSLFDMLDWTSNGDEEIRLFAAKVTAELAGSLRVVQIPGATQLVASLLDTDHQQTTRDHFLCWKQITKCWSVPEEEPSTDQDFLPVQGLIILERLANFDLGNCMEISRTGLISKMIDFTSYRNHMISTNEAHQIMLASLSLRVLRRLASTEGKLGVTLRQQILDHTFILSNLAEIMDDNGSSHELKQLAAEILKNLAMDRNTSEDIGHIRTRDRKTANLSVNCNTGLLEGEPTCSFHIVFQILTSIHICALNIDSIPWRTPPVGSTASRYKVSRSIEAGKMATPEKWLNRFVRLLALMERMGNALGTLAFTWATVVLLGGYPTVLGSHDDFWYATAIVFLEAFRMFTRDNRLDYQLLFRSTGALRLLGWNGMLIVIVYFSAMLMLSTNYGSDENGDKFFTVSLPGVIVGMALLVATGKMLSGAALKLLCNPLRRAISLWSPLFAILLLGVCIGVQRDHGSKNTKTSRTLLCLYLVLFSFVLLPTISRLRFPCIVKLVGNVLCRKLLPWRQVILNMCMLAAIVMLVFIFSEELSAQLLIIVYEVSALLLLSFGNFQIPAAAVRVVLALVGILHLHQKDKANKEGIDKPDNEKNLKASLIIFYGMVLGQGIVYIVACLLEVFSFIPRKYLIRHGGLGGQMGVEYVNLYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRKKLYGVQMLHKFLKKEQLRTKTITKLTNDTKTVASLFDMLDWTSDGDEEIRLFAAKVTAELAGSLRVVQIPGATQLVASLLDTHHQLTTRDHFLFIDSQVGREDSPNQPVGLGEQNSPVLKYLKQMAIYCLIPVDEPSNVDERNSSMLKCWKRITKCWSVPEEEEPSTDQDFLPVQGLLILERLANFDPGIFMEVSRTTGLISKMIDFTSCRNHMTSTNEAHQIMLASLSLRVLRRLASTEGKLGVTLRQQILEHPFLLSNLAEIMDDNGSSHELQQLAAEILKNLAMDRNTREDIGHIRVIISSLMRAFVSRDPSSSTDSNHLLWKTAGQALAVLAIESTDNCLVMLMEPGYVLIRELTTMIHDDRYKCIAASLLWNMCEHAQPELSKSDLKELSYILREGELTSSLHIVFQRLTSIHICVLNIDSTVLERIMDAEGAELEVLIGLSSQICKVIPEDFAQEIEHSQIKEKFVKRPVDVLNAHMKASAHCPGIRRVIVQHAIYLMEFNSRYANDFHKCWMVEALSMIERTPSRSENYRLVSGDTGLMEHNTPLSTLVARAKELMGRYVFIRELTTMIHDDRYKCIAASLLWNMCEHAQPELSNSDLKELSYILREVLEGIMDAEGAELEVLIGLSSQICQVIPEDFTREVEHGQIKETLVKRLVDVLNAHMKPSAHCPGIRRVIVQHAIYLMEFNSRYANDFHKCWMVEALSMVERTPSRSENYRLFSGDTGLMEHNAPLSALVARAKELMGREWIPAAIVRVILALLRIVPQNYFADGSNGKQDSEKNLTPSLNIFYGMVLGQGILYIVACLLEEELSTDQDSLPVQGLLILERLANFDLGNCMEISSAPGLISKMIDFTSYTNCSVNEEHQIMLAGLSLRVLRTLASTKGKSGVSLRQEILKHPFLLSNLAEILDDRESSHGLKQLAAEILKNLAMDRNTSEDIGHIRLIIRSLMREFLSRDASSGTNSNHSLQKIAGQALAMLAMESANNCLIMLMEPGYVFIKELTTLIHDERNKYTAASMLWNMCEQARTELNNSDLKELSYTLREVLEGIMNAEGAELEVLIGLSSQICIVILENFVRELEHGQIKEKFVKRLVNALNANKRPSAHCPSIRRVIVQHGIYLMEFNSCYANDFRKCGMVEALSMVEVTPSRAENYRLFSGDAGLMEHNTPLSTLVARAKELMGRWATCLGVGTLAFTWATVVLLGGYPTVLRPGDDFWFATTIVFLEAARMFSRDNRLDYQLFFSTRGAFRLLGWNGLLTVIVYYSAVLVILYNYYYFLPYGGIMVPLLVDMVMLVALGQMLSPGALKLTSQNHSEELYDKMDIVPGTVSDRLLNDNQQASAPMYRATGRQCSEQKTVSLASAHS >ORUFI07G25610.2 pep chromosome:OR_W1943:7:23834117:23906757:1 gene:ORUFI07G25610 transcript:ORUFI07G25610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQTESVVIDVAETTVAQPEASCASQLPNTAPEKQLNLFVRVVASVERAGNALGTLAFTWATVVLLGGYPTSVNFEDFVFATTLFFLEAARMFSPNRSEYQLFFRTWGAFRPFSWNRAIVVICLDDVSEYLRSNQRREFHSLVVSMLMLVAATMPIPGVHKLKSGPLHNAILLWSPLVVMLLLVPSIVCKQTAVAHKGNCLIARTFYMISLVTVLLLIISKLQFPSITRIVHRPVIHKLQSCHQVILVFCMCLAAVPLVFFSPNLILMIVLTLLTTVCGSLQIPAAVIRVEIALMRLLPQDYCSEGDPANDSGKINLKPTLNVFYGMVLGQGILYLAARILEFFSFFPRRSLARRGGFRGQKGVQSVDMYYEYAFEKCMNTSILAPKKMNLTTFAMDSLKSGSRKEQFCGVRILYSLVCREPYDKPVLSKVTNSRKTVTTLIQMLGWENPEDNQIRLLAAKITAELANGLRIVAISGAMNFISSLLDNHNKQQIHELTIQTDSGDEENCWVLKRWRQMIKQWSILEEEQWAESDILPALGLVILERLATYDLVNCVEISRSMDIIPKITGFTSNNGEKMCVNETGQKVLIEFSLRVLRILASIGGETGITLRHKISEDPFLLDNLAEILEDSRSNQDQELREMTIDILTKLAMDESTRKEIGSIQDLARMLQDNRYIYVAANVLQNLCKHSRVELRDSDVLELFSVLPEVLGRVMDADGKELEVLVGLSSQICSVSPESFTKAFKQGQNEEIFVEKLINALNANSKPNAQFPGIRRVIIEQLTYMMELNSRYATYFRNHGLMEALIRVEKTPSKTEKYRLFLGKAGLMEHKVHLSSLVARAKLHMENTINGEHIVQIRGVQAEGKMAAPEKRLNRFVHLVAMTERLGNALGAMAFTWATVVLLGGYPDNLDSTDFWLATAIVFLEAIRMFTNDNRLDYQLFFGTRGDFRLLGWNGLLVVMVYLSVVPVLLSKKLLPWRQLVLNMCMLAAIVMLVFIFSDELTYQLMIIVYEVSALLFLSSGNFQIPAAVVRVVLALTQLLNGNDGENDNEQNLKTSLDIFYGMVLGQGILYIVACLVEAFSFIPRRYLIHRGGFGGQMGVEYANSYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRKKLYGAQMLHKFLKKEQLRTKTITKLTNDTRTVVSLFDMLDWKSDGDEQIRLFAAKITAELAGSLRVVQIPGATQLVASLVLDTDHQQTTRDHFLFIDSQVGREDSPIQQVGMGQQNSPVLKYLKQVARYCLIPVDEPSNRRQQNSSMLRWWKRITKRWSIPEEEPSRDQDFLPVQGLLILERLANFDPGNCMEISRTIGLISKMIDFTSYRNHMTSTNEAHQIMLASLSLRVLRRLASTEGKLGVTLRQQILEHPFVLSNLSEILNDSGSNHEQKQLAAEILKNLAMDRNTSKDIEHIRVIISSLMCRFLSRDPSSSTNCNHLLRKTAGQALAMLAMESKDNCLVMLMEPGYAFIRELTATIHNDRYKCITASLLWSMCEHAQCKLSNSDLKGLSDILRLVLEGIMHTKGAELEVLIGLSSQICKCIPEDFARELEKGQIKERFVKRLVDELNAHMRPSPYCPSIRRVIVQHAIYLMEFNPRYANDFHKCWMVEALSKVESTPSRVENYRLLSGDMGLMEHSTAVSTLVARAKKLMGRDSLPAEGRPCSPDSGSHDLLAGGSGHPEARAGLAHEAHRQCRVFQHRARFGEMSNTVLHGSLKPLRPAATASSKSSILAMANATGGEHSVQIRAGEQVEAAGKMATPEKWLNRFVRVVALMERTGNALGTLAFTWATVILLGGYPTVLDSKKDYRFITVIVFLEATRMFTRDNRLDYQLFFRSRGAFRLLGWNGLLIVIVYFSAMLMLSSKHGDDFFSVSLPVVIVAIVMLVFTFSEELPGRLVIIVYDVSALLLLSFGNFQIPAAAVRVVLASLGLHKKNGENSEKNLKASLIIFYGMVLGQGILYIVACLLEVFSFIPRKYLIRHGGLGGQMGVEYVNLYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRKKLYGVQMLHKFLKKEQLRTKTITKLTNDTRTVVSLFDMLDWTSNGDEEIRLFAAKVTAELAGSLRVVQIPGATQLVASLLDTDHQQTTRDHFLCWKQITKCWSVPEEEPSTDQDFLPVQGLIILERLANFDLGNCMEISRTGLISKMIDFTSYRNHMISTNEAHQIMLASLSLRVLRRLASTEGKLGVTLRQQILDHTFILSNLAEIMDDNGSSHELKQLAAEILKNLAMDRNTSEDIGHIRTRDRKTANLSVNCNTGLLEGEPTCSFHIVFQILTSIHICALNIDSIPWRTPPVGSTASRYKVSRSIEAGKMATPEKWLNRFVRLLALMERMGNALGTLAFTWATVVLLGGYPTVLGSHDDFWYATAIVFLEAFRMFTRDNRLDYQLLFRSTGALRLLGWNGMLIVIVYFSAMLMLSTNYGSDENGDKFFTVSLPGVIVGMALLVATGKMLSGAALKLLCNPLRRAISLWSPLFAILLLGVCIGVQRDHGSKNTKTSRTLLCLYLVLFSFVLLPTISRLRFPCIVKLVGNVLCRKLLPWRQVILNMCMLAAIVMLVFIFSEELSAQLLIIVYEVSALLLLSFGNFQIPAAAVRVVLALVGILHLHQKDKANKEGIDKPDNEKNLKASLIIFYGMVLGQGIVYIVACLLEVFSFIPRKYLIRHGGLGGQMGVEYVNLYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRKKLYGVQMLHKFLKKEQLRTKTITKLTNDTKTVASLFDMLDWTSDGDEEIRLFAAKVTAELAGSLRVVQIPGATQLVASLLDTHHQLTTRDHFLFIDSQVGREDSPNQPVGLGEQNSPVLKYLKQMAIYCLIPVDEPSNVDERNSSMLKCWKRITKCWSVPEEEEPSTDQDFLPVQGLLILERLANFDPGIFMEVSRTTGLISKMIDFTSCRNHMTSTNEAHQIMLASLSLRVLRRLASTEGKLGVTLRQQILEHPFLLSNLAEIMDDNGSSHELQQLAAEILKNLAMDRNTREDIGHIRVIISSLMRAFVSRDPSSSTDSNHLLWKTAGQALAVLAIESTDNCLVMLMEPGYVLIRELTTMIHDDRYKCIAASLLWNMCEHAQPELSKSDLKELSYILREGELTSSLHIVFQRLTSIHICVLNIDSTVLERIMDAEGAELEVLIGLSSQICKVIPEDFAQEIEHSQIKEKFVKRPVDVLNAHMKASAHCPGIRRVIVQHAIYLMEFNSRYANDFHKCWMVEALSMIERTPSRSENYRLVSGDTGLMEHNTPLSTLVARAKELMGRYVFIRELTTMIHDDRYKCIAASLLWNMCEHAQPELSNSDLKELSYILREVLEGIMDVEGAELEVLIGLSSQICQVIPEDFTREVEHGQIKEKLVKRLVDVFNAHMKPSAHCPSIRRVIVQHAIYLMEFNSRYANDFHKCWMVEALSMVERTPSRSENYRLFSGDTGLMEHNAPLSALVARAKELMGREWKQIRHVTIAVDMASSRAPGFEW >ORUFI07G25610.3 pep chromosome:OR_W1943:7:23834117:23906757:1 gene:ORUFI07G25610 transcript:ORUFI07G25610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQTESVVIDVAETTVAQPEASCASQLPNTAPEKQLNLFVRVVASVERAGNALGTLAFTWATVVLLGGYPTSVNFEDFVFATTLFFLEAARMFSPNRSEYQLFFRTWGAFRPFSWNRAIVVICLDDVSEYLRSNQRREFHSLVVSMLMLVAATMPIPGVHKLKSGPLHNAILLWSPLVVMLLLVPSIVCKQTAVAHKGNCLIARTFYMISLVTVLLLIISKLQFPSITRIVHRPVIHKLQSCHQVILVFCMCLAAVPLVFFSPNLILMIVLTLLTTVCGSLQIPAAVIRVEIALMRLLPQDYCSEGDPANDSGKINLKPTLNVFYGMVLGQGILYLAARILEFFSFFPRRSLARRGGFRGQKGVQSVDMYYEYAFEKCMNTSILAPKKMNLTTFAMDSLKSGSRKEQFCGVRILYSLVCREPYDKPVLSKVTNSRKTVTTLIQMLGWENPEDNQIRLLAAKITAELANGLRIVAISGAMNFISSLLDNHNKQQIHELTIQTDSGDEENCWVLKRWRQMIKQWSILEEEQWAESDILPALGLVILERLATYDLVNCVEISRSMDIIPKITGFTSNNGEKMCVNETGQKVLIEFSLRVLRILASIGGETGITLRHKISEDPFLLDNLAEILEDSRSNQDQELREMTIDILTKLAMDESTRKEIGSIQDLARMLQDNRYIYVAANVLQNLCKHSRVELRDSDVLELFSVLPEVLGRVMDADGKELEVLVGLSSQICSVSPESFTKAFKQGQNEEIFVEKLINALNANSKPNAQFPGIRRVIIEQLTYMMELNSRYATYFRNHGLMEALIRVEKTPSKTEKYRLFLGKAGLMEHKTARRRRSHMENTINGEHIVQIRGVQAEGKMAAPEKRLNRFVHLVAMTERLGNALGAMAFTWATVVLLGGYPDNLDSTDFWLATAIVFLEAIRMFTNDNRLDYQLFFGTRGDFRLLGWNGLLVVMVYLSVVPVLLSKKLLPWRQLVLNMCMLAAIVMLVFIFSDELTYQLMIIVYEVSALLFLSSGNFQIPAAVVRVVLALTQLLNGNDGENDNEQNLKTSLDIFYGMVLGQGILYIVACLVEAFSFIPRRYLIHRGGFGGQMGVEYANSYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRKKLYGAQMLHKFLKKEQLRTKTITKLTNDTRTVVSLFDMLDWKSDGDEQIRLFAAKITAELAGSLRVVQIPGATQLVASLVLDTDHQQTTRDHFLFIDSQVGREDSPIQQVGMGQQNSPVLKYLKQVARYCLIPVDEPSNRRQQNSSMLRWWKRITKRWSIPEEEPSRDQDFLPVQGLLILERLANFDPGNCMEISRTIGLISKMIDFTSYRNHMTSTNEAHQIMLASLSLRVLRRLASTEGKLGVTLRQQILEHPFVLSNLSEILNDSGSNHEQKQLAAEILKNLAMDRNTSKDIEHIRVIISSLMCRFLSRDPSSSTNCNHLLRKTAGQALAMLAMESKDNCLVMLMEPGYAFIRELTATIHNDRYKCITASLLWSMCEHAQCKLSNSDLKGLSDILRLVLEGIMHTKGAELEVLIGLSSQICKCIPEDFARELEKGQIKERFVKRLVDELNAHMRPSPYCPSIRRVIVQHAIYLMEFNPRYANDFHKCWMVEALSKVESTPSRVENYRLLSGDMGLMEHSTAVSTLVARAKKLMGRDSLPAEGRPCSPDSGSHDLLAGGSGHPEARAGLAHEAHRQCRVFQHRARFGEMSNTVLHGSLKPLRPAATASSKSSILAMANATGGEHSVQIRAGEQVEAAGKMATPEKWLNRFVRVVALMERTGNALGTLAFTWATVILLGGYPTVLDSKKDYRFITVIVFLEATRMFTRDNRLDYQLFFRSRGAFRLLGWNGLLIVIVYFSAMLMLSSKHGDDFFSVSLPVVIVAIVMLVFTFSEELPGRLVIIVYDVSALLLLSFGNFQIPAAAVRVVLASLGLHKKNGENSEKNLKASLIIFYGMVLGQGILYIVACLLEVFSFIPRKYLIRHGGLGGQMGVEYVNLYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRKKLYGVQMLHKFLKKEQLRTKTITKLTNDTRTVVSLFDMLDWTSNGDEEIRLFAAKVTAELAGSLRVVQIPGATQLVASLLDTDHQQTTRDHFLCWKQITKCWSVPEEEPSTDQDFLPVQGLIILERLANFDLGNCMEISRTGLISKMIDFTSYRNHMISTNEAHQIMLASLSLRVLRRLASTEGKLGVTLRQQILDHTFILSNLAEIMDDNGSSHELKQLAAEILKNLAMDRNTSEDIGHIRTRDRKTANLSVNCNTGLLEGEPTCSFHIVFQILTSIHICALNIDSIPWRTPPVGSTASRYKVSRSIEAGKMATPEKWLNRFVRLLALMERMGNALGTLAFTWATVVLLGGYPTVLGSHDDFWYATAIVFLEAFRMFTRDNRLDYQLLFRSTGALRLLGWNGMLIVIVYFSAMLMLSTNYGSDENGDKFFTVSLPGVIVGMALLVATGKMLSGAALKLLCNPLRRAISLWSPLFAILLLGVCIGVQRDHGSKNTKTSRTLLCLYLVLFSFVLLPTISRLRFPCIVKLVGNVLCRKLLPWRQVILNMCMLAAIVMLVFIFSEELSAQLLIIVYEVSALLLLSFGNFQIPAAAVRVVLALVGILHLHQKDKANKEGIDKPDNEKNLKASLIIFYGMVLGQGIVYIVACLLEVFSFIPRKYLIRHGGLGGQMGVEYVNLYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRKKLYGVQMLHKFLKKEQLRTKTITKLTNDTKTVASLFDMLDWTSDGDEEIRLFAAKVTAELAGSLRVVQIPGATQLVASLLDTHHQLTTRDHFLFIDSQVGREDSPNQPVGLGEQNSPVLKYLKQMAIYCLIPVDEPSNVDERNSSMLKCWKRITKCWSVPEEEEPSTDQDFLPVQGLLILERLANFDPGIFMEVSRTTGLISKMIDFTSCRNHMTSTNEAHQIMLASLSLRVLRRLASTEGKLGVTLRQQILEHPFLLSNLAEIMDDNGSSHELQQLAAEILKNLAMDRNTREDIGHIRVIISSLMRAFVSRDPSSSTDSNHLLWKTAGQALAVLAIESTDNCLVMLMEPGYVLIRELTTMIHDDRYKCIAASLLWNMCEHAQPELSKSDLKELSYILREGELTSSLHIVFQRLTSIHICVLNIDSTVLERIMDAEGAELEVLIGLSSQICKVIPEDFAQEIEHSQIKEKFVKRPVDVLNAHMKASAHCPGIRRVIVQHAIYLMEFNSRYANDFHKCWMVEALSMIERTPSRSENYRLVSGDTGLMEHNTPLSTLVARAKELMGRYVFIRELTTMIHDDRYKCIAASLLWNMCEHAQPELSNSDLKELSYILREVLEGIMDVEGAELEVLIGLSSQICQVIPEDFTREVEHGQIKEKLVKRLVDVFNAHMKPSAHCPSIRRVIVQHAIYLMEFNSRYANDFHKCWMVEALSMVERTPSRSENYRLFSGDTGLMEHNAPLSALVARAKELMGREWKQIRHVTIAVDMASSRAPGFEW >ORUFI07G25620.1 pep chromosome:OR_W1943:7:23869970:23901339:-1 gene:ORUFI07G25620 transcript:ORUFI07G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRHQLLQFMAAPIVIHDLCKVAQYEVSRIKVSKPLKNKQSLYGEEILRERAREREREEAATARTGDEHRRRRIRSHPWQPDGERISAASCFSSWLLPLSSMISARLLNMKA >ORUFI07G25620.2 pep chromosome:OR_W1943:7:23870448:23901339:-1 gene:ORUFI07G25620 transcript:ORUFI07G25620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRHQLLQFMAAPIVIHDLCKVAQYEVSRIKVSKPLKNKQSLYGEEILRERAREREREEAATARTGDEHRRRRIRSHPWQPDGERISAASCFSSWLLPLSSMISARLLNMKCPEVRLQAALPLGFEQLGGYQQARQ >ORUFI07G25620.3 pep chromosome:OR_W1943:7:23877447:23901339:-1 gene:ORUFI07G25620 transcript:ORUFI07G25620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRHQLLQFMAAPIVIHDLCKVAQYEVSRIKVSKPLKNKQSLYGEEILRERAREREREEAATARTGDEHRRRRIRSHPWQPDGERKMQC >ORUFI07G25630.1 pep chromosome:OR_W1943:7:23946239:23951666:-1 gene:ORUFI07G25630 transcript:ORUFI07G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNPPVFRFPWGPRYMTTISRVKGNEFGKPYRRSHISSANVIIPSQDKKDSVITQGMKSIGTKGTISEVIAGDEKIDKDVTVSEVICVNYDILGQIMKNDRCGDEGQRSKTEEHMGGIVISDDTEAIDDEKFVVDNTLPESRHHDGSIYKDMDTWWKRDYHIADRNETRLEAMRYSNATDCIICDRDGTCRTHYSCNMLQILSIKLAKLRVDGGLVELYGYIAVRDDLDPLLNYIVNVSRDDPIIVEQGSLINMVGPKRGIDMMDYALIEYDMRIKTGGQEKDDLQLIDGASLIGPAGLWDKSYALRIPGDYGAVDITLSRLHWAAEATVEVVISEVQSSFDLSLGCLTSGLDKEIRLFNGTITEPRGLKRSVVAVSMNYSIELNFKVGALSSSLDHCCSFKPKIHGHDTQEIKTAFALILVRVSGLEGLIVSWPV >ORUFI07G25640.1 pep chromosome:OR_W1943:7:23953213:23965107:1 gene:ORUFI07G25640 transcript:ORUFI07G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADITGAGGEHRLQMPPAHGGGRQVRQVSAPEKWLNCFVRVVALTERVGNALGTLAFTWATVVLLGGYPTVLRPDDDFYYATSIIFIEATRMFSRNNRIDYQLFFRIRDSSSRVALALLRLIPQDYEQGDTESHENKTNLAASLNIFYGMVLGQGILYIAAFILEFFSFIPRRYLIRHGGFGGQWGVASVNLYYAYAFEKYMEGGVLAPKKISLITFAMESLNSDSPKMQQYGVQMLHIFLQKDPTRVRLIEKLTTSRKTMSRLISMLGWTSPNHKTVRVYAAKATVELAKCLPVITVPGTNTFILRSWRQISEYWSIPKEQTLTDHDLLPALGMSIVHNLVDCDENNCVEIDKATDLIPKIIGFTSFSGVTTNSKAQQMVLVKSSLKVLQRLTSIGGEIGIALRHKISKHPFLLRNLAEILGDNNSNQELIKLMAGILRNLAIDGDTRQKIGHMQVLITRLIKAFLNLDRTSNTKVDCLLPKVVGQALAMLATDNVHNCLIMLKEAMFINKLKNMILIHDENYIYVAASLLSSLCQHARDKLTESDLKDLSHILREVLERIMNVEGAELEILIRLSSQICKVIPEEFAEELEHRQIKQRFIKRLVDILNANINPGAYCPGIRRVVLEQSIYMMECNSCYASCFNEFQMMEALSMELTLTNSLNILIGLSSQICKVIPEEFVQELEGGQIKQRFMKKRVILEQSINMMEYNSHYANCFKELRMMEALSMVEEMPSRAENYRLFSGDTGLMEHSTPISIVVARAKELIARE >ORUFI07G25650.1 pep chromosome:OR_W1943:7:23970986:23972619:-1 gene:ORUFI07G25650 transcript:ORUFI07G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMHTIRINLIRRGRLKAPLVRKKNWRASNVAQLNSRVVSIVEKPPRMTTVAHVNTRVPRPLPALSMNAMMRTKRFRCFSGGSGGALHRRRVAASPIGCTKILNCSCSFLSSIGWSGRRKDATPETRKTVVVAAAATAAGEADAATAALLGQSAHHIWS >ORUFI07G25660.1 pep chromosome:OR_W1943:7:23971873:23984375:1 gene:ORUFI07G25660 transcript:ORUFI07G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTGDAATRRRCKAPPEPPEKHLNRFVRIIAFIERAGNGLGTLVFTWATVVILGGFSTMLTTREFNCATLLALLEATRMFSQNSRLEYQFFFRTRGAFRRPRLIRLILIVCMAEAMVCVMAKFRFANFRLAKFGWSPAPVYHLGPVKVTPFIILGMIMSLFVVAKLVCPVILKLFGDPQLRAVSLWSPLAAILLLVPCLFLETSIPHPDRLPALRKYFALLLTAVIIVTITKLQFRCITSIVNSPCCCKMSFLRPVILFLCMCAVIAILGSLYSDSAYIVAPMAFFLIFALIPAAVVRVVIAMIQPTTVICVQSILTAVTQRTSGIYIGIPKNCTREHTYPDGTLGDTKKNVKFSLDVFYVIVLTQGALYIVACVLEIFSFIPKIHLVRQNRFRRKWGRKCVDMYYSYIFEQCVSGGALAPRIMELTSFAMDFTNSNSPSNQLYGIQMLHSFLKRKRTKALLLLRLTTSTETLNTLITMLGWTSPEDAQVRLLATKVIVELSRSLQVIGIPGSMQNISSLLDTENQLRRRNPLLYTYYSQEGKQGTIVDTGDGQEHIDQDHPHHNNNRLNSWMLGCWQLISKRKKIPKEETFIEHDLLPVLGMSILETLAECDPDNCAEISKARDLIQKIIGYTNETQPKILKGSSLKLLTRLSNTGGEIGITLWQKMSDHPSLLRNLAEILGDIEGSQEHKKMAAEILRNLAIDGNTREEIGSIRMILSRLIQAFLAQHPPSNTYSDRSLQITAGQALAMLAMESVNNCSAMLKEPGYSFIRELTAMIRDDRYKYVAASILQNLCLHAQSKLSSSDLTELSHSLQKVLERITDTTVATELEVLIGLSSQICHVVPEDFARELEHDQMKERFVKKLVEALNANVKPTVHCPRIRRVIVEQVIYMMENNSSYVNCFNECQMMEALMVVEETPSKVEKYRLFMGDAGLMEYSIPLSNLVTRAKEELMRHKHQHQYSQLAVYVDVRVRNQTHLHALEVWNKRRKSQKKRELMAGGEHRLQIAAKSDGQGKAAAAAAAPEKWLNRFVRSVALVERTGNALGTLAFTWATVVLLGGYPTALRSQDDFWYATAIFFLEAARMFSGSNNRHDYQLFFRTRGAFRPLGWNGLIAIVCILDVWVLLALQKKIVMAAVVVYAMIILLALGQNISPEFQPLCNPFRRAISLWSPLAAILLLTPTVQHHSRELVWNTTGSRSIPKITAEINFIPSRFTVAKWTAFFILLIVVLMVTISRLRFPIVIKLVDSALCRKLLVWGRTIQNMCMLAALVMLVLTSDGSFRFVTILSLVSITLMVSSGNFQILAAAMRAEIASFALHRLIMPHNGYREHGEDPDSKTNLVPSLIIFYGMVMAQGILYIVACFLDIFSFIPRRSLIRRAGFRGQLGVEYVNLYYAYAFEKCMGGAVFVPKKISLSNFAINSLNSDSPKNHFYGIQLMHSLLENEMTRVRILDKLITSTNTMDRIISMLGWTSPNNTTVRLYAAKVTAELAKDLQVDDEQEERQDPILNTANSQEERPDAIRNPDDDPKQRQEPLEGNDNLPETQTCSTHIHEQNCVLRRRWQQISEYWTVPKEHSLTYYDHLPALGMLIIDKLASCGQNNCVEIDRVADLIPKIIGFTSFRSDMTNSEAQQMVMVKSSLKVLQRLTSIGGEISITLRYKILKHPSMLRNLAEILGDNNNNQELSKLVAGILRNLAIDGDTRQKIGHMQVLITRLMKAFLNSDRTSSTNVDCLLTKVAGQALAMLATDNVHNCLVMLKEPEFINKLKHMILIHDEKYIYVAATLLCRMCQHAQAKLTESDLKELSQTLREVLERIMNAEGAELEILIGLSSQICKVIPEEFSQELDNEQIKQRFIKRLVDVLNANMNPGAHCPGIRRVILEQSIYMMECNSHYTSYFNEFRMIEALWMVEEMPSGVENYRIFLGDAGFMEYSTPLFALVDRAKELMGRQCLQGDSRVN >ORUFI07G25670.1 pep chromosome:OR_W1943:7:24030646:24038459:1 gene:ORUFI07G25670 transcript:ORUFI07G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWTDGNHHTTVGKKQEKPMARPSPVEASGGPVMGDITGGGGELRVQIAANSGGQGGKVVGAAAPEKCLNRFVRMVALMERTGNALGTLAFNWAAIILLGGYPSVLRPDKDFLYATTIIFVEAARMFSRNNRLDYQLFFRTRGAFNPSAGWNELIVVACISNALLCTAYWGDITILLTDPFWYVMVILLLAIIQFLRSAASKLLTWNPMRRAISLLSPMVAILLLGPFLLGFYINSDQSKKKMAKWMVAYVVLLVLMLLLTISRLQFPSIIKLLNGTLGSKQEFWCQFTLKLCVIASIIMAVLIVPSTGGRRSVVIILEALALVLIPSATVRVALALLRLVPQNYYGDDKHIDKKNLGDKTNLAASLNIFYVMVLGQGILYIAACIFEVFSFIPRRSLIHHGGFGGQWGVASINLYYAYAFEKYMEGGVLAPKKISLITFAMDSLNSDSPKMQLYAVQMLHIFLQREPTKERLIVKLITSTKTMARLISMLGWTGRNDHATIRLYAAKVSAELAKSLRVVTIPGTLQLASTLLDTDGKPKRGHPLLDADDDRDPFVDTAERQENRQDATGDQGQRQGSIGDTDSLLETPTRSTQINDQRYIPSIWQKIIAYWSIPKEQPLTDDDLLPALGMSIIYSLAGCDQNNCVEIDKVTDLIPKIIGFTSFKSATLNSEAQQKVLLKSSLQVLQRLTSIEGEIGITLRYKISKHPFLLRNLAEILEDDNSNQELRKLVAGILRNLAIDTETRQEIGQMQVLITRLIKAFLDSNGPSSSNVDCLLPKVAGQALVMLSLENSHNCFAILKEPEFINKLKNMILIHDDKCIYVAASLMRNMCLHAQPELTELDLKELSHSLREVLERIMDAEGAELEILIGLSSQICKLIPEEFAQELEHGQIKRRFIKRLVNALNANMKPSAHCPGIRRVILEQSIYMMECNSRYANCFNEYRMMDALLMVEKTPSRAEKYMVFVGEAGFMECSTPLFALVDRAKELMGRQWLQCWK >ORUFI07G25670.2 pep chromosome:OR_W1943:7:24029362:24030640:1 gene:ORUFI07G25670 transcript:ORUFI07G25670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVILLTVGPLVSRGARRPRTCSLLLRVISLWSPVVAILLMGFSILQMDGDSFVMWSMRIVYIALLVVVLLVTVSRLRFPIVINRVRRALGSKHVFWRRFILNSCMLAAIVMMLFMVDPVLQHVMILLDLCGFAILSFGNLQIPAAIVREELARMRLTQHDYFGVGEKTNLGQSLTIVYSMVLGKALRYSFIPQKSLVRRAGFIGQWGVKSVNLYYAYAFDKYMEGGVFAPKRINLSNFVIDSINSDLSKNQLYGIRMMHTFLQRDPTRAQLLEKLTTQRRRRPG >ORUFI07G25680.1 pep chromosome:OR_W1943:7:24041942:24042312:1 gene:ORUFI07G25680 transcript:ORUFI07G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRQHALLRCISPLKHPAGWPARGIRCYYATEPEGRKPKTAPLQDIDSENNGLNTLFTTAAQQHNKNFS >ORUFI07G25690.1 pep chromosome:OR_W1943:7:24049199:24060818:1 gene:ORUFI07G25690 transcript:ORUFI07G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAEHRIQMPDAATSKAAAAPEKWLNYFVRLLAVIESVGNAFGTLAFTWATVVLLSGYPTVLRSKDDFWFATAIVFLEAASKHVFWRRFILNSCMFAALVMLVVMFDPDDRCGMIFIDICAILILSFGNLHIPAALVRVVLASIRLIPHNYFGDGDKTNLGPSLSIFYGMVLGQGILYIVAGILEVFSFIPRRSLIRNGGFAGQWGVESVNLYYVYAFDKYMEGGLFASKRISLSNFAIDSLNSDLSKNQLYGVRMMHTFLQSDLTRARLLEKLTTSTQTMARLISMLDWSSRHHCTTIGLYAAKVTAELAKNLRVVTVPGTLQLVSTLLDADGKPKRGHPLLDADDDHFVDILDRQDKKHDIAGDQEPIEATDNLLETPTRSMHINDQRCIPTIWQRILAYWSIPKKQPLTDDDFLPALGMSIIYSLAGCDQNNCVEIDKVTYLIPKIIGFTSYRSAMVNSEAQQKVLLKSSLKVLQRLTSIEGEIGITLRYKISKHPFLLRNLAEILGDSSSSNQELRRIVAGILRNLAIDRDTRQEIGRMQILITTLMKAFLDFKGLYSSDVDCLLPKVAGQALVMLSSENSHNCFVMLKEPDFIHKLKTMILIHDDKYTYVAASLLRNMCLHAQHELTESDQKELSHTLREVLERTMDAEGAELEILIGLSSQICKFIPEEFSQELEHGQIKRRFIKRLVDTLNANMNPSSQCPGIRRVVLEQSIYMMEYNSRCANCFNEYQMMDALSFVELTPSRAENYMVFLGDAGFMECNISLSALVDRAKELMGRQWLQGSQITWLVSMMAADGSVVAEHRIQMPAVASESGQADHKAVAAAPENWLNYFVRLLAGIESAGNALGTLAFTWGTVVLLGGFPSNLKDDFGYATAIFFLEATRPLSWNGLMVIVFFSVSTVSTIVWDVPQPRIVFAIMVVLFAAGQFLCAEVLGLRLRINNRLRRQISLWSPVVALSILASCIYRDHRSSLAMRIVYGLLLVVVLLVTISRLQFPIIINRVQGALGRKYVFWRPFILYSCMLTEIVMSMFMVDKLHPYALVYVDIEALAIVSFGNLQIPAAIARVVLAGLCLHPKGYDGQGGTAHIAPSLKIFYGMVLGQGILYIVAGILEVFSFIPRRSLIRNGGFTGQWGVESINLYYAYAYDKYMEGGLFASKRISLSNFAMDSLNSDLSKNQLYAVRMMNTLLQSDLTRARLLEKLTGSTQTMARLISKLDWSSRHHRATIRLYAAKVTAELAKNLRVVTVPGTLQLVSTLLDADGKPKRGHPLLDTGDDQDHFVDIADRQDKKHDIAGDQEQTGDTDNLLETPTRSMHINDQRYIPRIWQRILEYWSIPKEQPLTDHDLLPALGMSIIHSLAGCDQNNCVEIDRVSDLIPKIIGFTSFRSAMVNSEAQRKVLLKSSLKVLQRLTSIEGEIGITLRYKISKHPFLLRNLAEILGDSSSSSNNNQELRRLVAGILRNLAIDRDTRQEIGQMKMLITRLLKAFLDSNGSFSSDVDCLLPKVAGQALVMLSSENSHNCFVMLKEPDFVNKLKNMILIHDDKYIYVAASLLRNMCLHAQHELTESDLKELSHTLRELEHGQIKRRFIKRLVDALNTECKLNANMKPSAHCPGIRRVILEQSIYMMECNSRYAKCFNEFRMMDAVSMVEETPSRAEKYMFFLGDMDFMECKTALSALVDRAKELMSRQWLHDINSANRRKL >ORUFI07G25690.2 pep chromosome:OR_W1943:7:24049199:24060818:1 gene:ORUFI07G25690 transcript:ORUFI07G25690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAEHRIQMPDAATSKAAAAPEKWLNYFVRLLAVIESVGNAFGTLAFTWATVVLLSGYPTVLRSKDDFWFATAIVFLEAARFLGGKGLIVLERTMDAEGAELEILIGLSSQICKFIPEEFSQELEHGQIKRRFIKRLVDTLNANMNPSSQCPGIRRVVLEQSIYMMEYNSRCANCFNEYQMMDALSFVELTPSRAENYMVFLGDAGFMECNISLSALVDRAKELMGRQWLQGSQITWLVSMMAADGSVVAEHRIQMPAVASESGQADHKAVAAAPENWLNYFVRLLAGIESAGNALGTLAFTWGTVVLLGGFPSNLKDDFGYATAIFFLEATRPLSWNGLMVIVFFSVSTVSTIVWDVPQPRIVFAIMVVLFAAGQFLCAEVLGLRLRINNRLRRQISLWSPVVALSILASCIYRDHRSSLAMRIVYGLLLVVVLLVTISRLQFPIIINRVQGALGRKYVFWRPFILYSCMLTEIVMSMFMVDKLHPYALVYVDIEALAIVSFGNLQIPAAIARVVLAGLCLHPKGYDGQGGTAHIAPSLKIFYGMVLGQGILYIVAGILEVFSFIPRRSLIRNGGFTGQWGVESINLYYAYAYDKYMEGGLFASKRISLSNFAMDSLNSDLSKNQLYAVRMMNTLLQSDLTRARLLEKLTGSTQTMARLISKLDWSSRHHRATIRLYAAKVTAELAKNLRVVTVPGTLQLVSTLLDADGKPKRGHPLLDTGDDQDHFVDIADRQDKKHDIAGDQEQTGDTDNLLETPTRSMHINDQRYIPRIWQRILEYWSIPKEQPLTDHDLLPALGMSIIHSLAGCDQNNCVEIDRVSDLIPKIIGFTSFRSAMVNSEAQRKVLLKSSLKVLQRLTSIEGEIGITLRYKISKHPFLLRNLAEILGDSSSSSNNNQELRRLVAGILRNLAIDRDTRQEIGQMKMLITRLLKAFLDSNGSFSSDVDCLLPKVAGQALLEHGQIKRRFIKRLVDALNTECKLNANMKPSAHCPGIRRVILEQSIYMMECNSRYAKCFNEFRMMDAVSMVEETPSRAEKYMFFLGDMDFMECKTALSALVDRAKELMSRQWLHDINSANRRKL >ORUFI07G25690.3 pep chromosome:OR_W1943:7:24049199:24060818:1 gene:ORUFI07G25690 transcript:ORUFI07G25690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAEHRIQMPDAATSKAAAAPEKWLNYFVRLLAVIESVGNAFGTLAFTWATVVLLSGYPTVLRSKDDFWFATAIVFLEAASKHVFWRRFILNSCMFAALVMLVVMFDPDDRCGMIFIDICAILILSFGNLHIPAALVRVVLASIRLIPHNYFGDGDKTNLGPSLSIFYGMVLGQGILYIVAGILEVFSFIPRRSLIRNGGFAGQWGVESVNLYYVYAFDKYMEGGLFASKRISLSNFAIDSLNSDLSKNQLYGVRMMHTFLQSDLTRARLLEKLTTSTQTMARLISMLDWSSRHHCTTIGLYAAKVTAELAKNLRVVTVPGTLQLVSTLLDADGKPKRGHPLLDADDDHFVDILDRQDKKHDIAGDQEPIEATDNLLETPTRSMHINDQRCIPTIWQRILAYWSIPKKQPLTDDDFLPALGMSIIYSLAGCDQNNCVEIDKVTYLIPKIIGFTSYRSAMVNSEAQQKVLLKSSLKVLQRLTSIEGEIGITLRYKISKHPFLLRNLAEILGDSSSSNQELRRIVAGILRNLAIDRDTRQEIGRMQILITTLMKAFLDFKGLYSSDVDCLLPKVAGQALVMLSSENSHNCFVMLKEPDFIHKLKTMILIHDDKYTYVAASLLRNMCLHAQHELTESDQKELSHTLREVLERTMDAEGAELEILIGLSSQICKFIPEEFSQELEHGQIKRRFIKRLVDTLNANMNPSSQCPGIRRVVLEQSIYMMEYNSRCANCFNEYQMMDALSFVELTPSRAENYMVFLGDAGFMECNISLSALVDRAKELMGRQWLQGSQITWLVSMMAADGSVVAEHRIQMPAVASESGQADHKAVAAAPENWLNYFVRLLAGIESAGNALGTLAFTWGTVVLLGGFPSNLKDDFGYATAIFFLEATRPLSWNGLMVIVFFSVSTVSTIVWDVPQPRIVFAIMVVLFAAGQFLCAEVLGLRLRINNRLRRQISLWSPVVALSILASCIYRDHRSSLAMRIVYGLLLVVVLLVTISRLQFPIIINRVQGALGRKYVFWRPFILYSCMLTEIVMSMFMVDKLHPYALVYVDIEALAIVSFGNLQIPAAIARVVLAGLCLHPKGYDGQGGTAHIAPSLKIFYGMVLGQGILYIVAGILEVFSFIPRRSLIRNGGFTGQWGVESINLYYAYAYDKYMEGGLFASKRISLSNFAMDSLNSDLSKNQLYAVRMMNTLLQSDLTRARLLEKLTGSTQTMARLISKLDWSSRHHRATIRLYAAKVTAELAKNLRVVTVPGTLQLVSTLLDADGKPKRGHPLLDTGDDQDHFVDIADRQDKKHDIAGDQEQTGDTDNLLETPTRSMHINDQRYIPRIWQRILEYWSIPKEQPLTDHDLLPALGMSIIHSLAGCDQNNCVEIDRVSDLIPKIIGFTSFRSAMVNSEAQRKVLLKSSLKVLQRLTSIEGEIGITLRYKISKHPFLLRNLAEILGDSSSSSNNNQELRRLVAGILRNLAIDRDTRQEIGQMKMLITRLLKAFLDSNGSFSSDVDCLLPKVAGQALLEHGQIKRRFIKRLVDALNTECKLNANMKPSAHCPGIRRVILEQSIYMMECNSRYAKCFNEFRMMDAVSMVEETPSRAEKYMFFLGDMDFMECKTALSALVDRAKELMSRQWLHDINSANRRKL >ORUFI07G25690.4 pep chromosome:OR_W1943:7:24049199:24085407:1 gene:ORUFI07G25690 transcript:ORUFI07G25690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAEHRIQMPDAATSKAAAAPEKWLNYFVRLLAVIESVGNAFGTLAFTWATVVLLSGYPTVLRSKDDFWFATAIVFLEAASKHVFWRRFILNSCMFAALVMLVVMFDPDDRCGMIFIDICAILILSFGNLHIPAALVRVVLASIRLIPHNYFGDGDKTNLGPSLSIFYGMVLGQGILYIVAGILEVFSFIPRRSLIRNGGFAGQWGVESVNLYYVYAFDKYMEGGLFASKRISLSNFAIDSLNSDLSKNQLYGVRMMHTFLQSDLTRARLLEKLTTSTQTMARLISMLDWSSRHHCTTIGLYAAKVTAELAKNLRVVTVPGTLQLVSTLLDADGKPKRGHPLLDADDDHFVDILDRQDKKHDIAGDQEPIEATDNLLETPTRSMHINDQRCIPTIWQRILAYWSIPKKQPLTDDDFLPALGMSIIYSLAGCDQNNCVEIDKVTYLIPKIIGFTSYRSAMVNSEAQQKVLLKSSLKVLQRLTSIEGEIGITLRYKISKHPFLLRNLAEILGDSSSSNQELRRIVAGILRNLAIDRDTRQEIGRMQILITTLMKAFLDFKGLYSSDVDCLLPKVAGQALVMLSSENSHNCFVMLKEPDFIHKLKTMILIHDDKYTYVAASLLRNMCLHAQHELTESDQKELSHTLREVLERTMDAEGAELEILIGLSSQICKFIPEEFSQELEHGQIKRRFIKRLVDTLNANMNPSSQCPGIRRVMMDTLSIVELTSSRAENYMVFLGDAGFMECRKPLLALVDRTKELMGRQWLQGINSAY >ORUFI07G25690.5 pep chromosome:OR_W1943:7:24060980:24084437:1 gene:ORUFI07G25690 transcript:ORUFI07G25690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALIFYHLQDRRYSRIGVIESAVVAIPAAIVREELARMRLTQHDSFGVVLCRLVLYGFGFTLFFNYTILVLFRMFSSDKSDYQLFFRARGAFRFLGSSGLIAIVFYWDARAMLVRVASNLPAWAQTLLLILLILVLLAIGQLLRAARRPTNKFNWLWPAISVLSPMVAILLLAFPIHRYYNWRYPLTLWIPYTFLSVIVLLVTISRLQFPIITNLVHGALGSKHAFRRQFILNSCMLAAIVMLVLMVDPGFRLIMIVIDICAVIILSFGNLHIPAALVRVSLARKRLAEYDYFSVDAEKVNLGESLTIFYSMVLGQGILHIVAGMLEVFSFILRRTLVRCGGFIGEWGVESVNLYYTYAFKKNMEGGVFAAKRISLSNFAMDSINSDLSKKQLYGIRMMHIFLQRDPTRAQLLEKLTTSTQTMARLISMLGWTSRNDHTTIRLYAAKVTAELAKSLRVVTVPGTMYLVSTLLDTDRKPKRRHPLLDEDDDRDPLFVDTVESQEKSQDAAGDQGQKQGSAGDTDIMLKTPTRSTHMNNPRSTYKYWERISECESIPKEQPLTFNDLLPALGMSIVYSLAGCDQNNSVEIDRVTDLIPKIIGFTSFTSATVNSEEQQKVLLKSSLKVLQRLTSIEGEIGITLRHKISKHPFLLRNLAEILGDNSITPELRKLVAEILRNLAIDRDARQEIGQIQVLITRLMKAFLNCNGPSSTNADCLLPKVAGQALAMLA >ORUFI07G25690.6 pep chromosome:OR_W1943:7:24083309:24085638:1 gene:ORUFI07G25690 transcript:ORUFI07G25690.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVFAAKRISLSNFAMDSINSDLSKKQLYGIRMMHIFLQRDPTRAQLLEKLTTSTQTMARLISMLGWTSRNDHTTIRLYAAKVTAELAKSLRVVTVPGTMYLVSTLLDTDRKPKRRHPLLDEDDDRDPLFVDTVESQEKSQDAAGDQGQKQGSAGDTDIMLKTPTRSTHMNNPRSTYKYWERISECESIPKEQPLTFNDLLPALGMSIVYSLAGCDQNNSVEIDRVTDLIPKIIGFTSFTSATVNSEEQQKVLLKSSLKVLQRLTSIEGEIGITLRHKISKHPFLLRNLAEILGDNSITPELRKLVAEILRNLAIDRDARQEIGQIQVLITRLMKAFLNCNGPSSTNADCLLPKVAGQALAMLA >ORUFI07G25700.1 pep chromosome:OR_W1943:7:24051887:24058318:-1 gene:ORUFI07G25700 transcript:ORUFI07G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYVRCHLGRRSPLDEGTALRARHARLLLESANASACGLPHRLTTRATGGGGAARRGEASSSSRRCRLGGGAGEADAAREREPSMPFPNACESNDFGDQEWVSSFRLSISIQKRRYKLQCTRDSYNSQVLCELGSDLGSI >ORUFI07G25700.2 pep chromosome:OR_W1943:7:24051887:24061442:-1 gene:ORUFI07G25700 transcript:ORUFI07G25700.2 gene_biotype:protein_coding transcript_biotype:protein_coding LRLGLAEAAPQPSTDRFLRWWSQTTKNRPKEVRKGINSLVTLVAWEIWKHRNDCAFNNANPRVATVLQVIENEGHLWGVAGASRLQKLLTCESNDFGDQEWVSSFRLSISIQKRRYKLQCTRDSYNSQVLCELGSDLGSI >ORUFI07G25710.1 pep chromosome:OR_W1943:7:24083574:24087352:-1 gene:ORUFI07G25710 transcript:ORUFI07G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHLPPSTLLRIWSGQLPAPTDSEGDPRRRRGEASNSSRRCRLGGGAGEAGAAREKEPSRPFLNACESNDFGDQECRNKVHRPRDSYNSEALCKLGSYLGGI >ORUFI07G25710.2 pep chromosome:OR_W1943:7:24083574:24087352:-1 gene:ORUFI07G25710 transcript:ORUFI07G25710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHLPPSTLLRIWSGQLPAPTDSEGDPRRRRGEASNSSRRCRLGGGAGEAGAAREKEPSRPFLNATDDPSVLLSCPLEPREECRNKVHRPRDSYNSEALCKLGSYLGGI >ORUFI07G25720.1 pep chromosome:OR_W1943:7:24091382:24101310:1 gene:ORUFI07G25720 transcript:ORUFI07G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRACRGGPSSSSPAAAAAAAAVGRPFPPIAASCPFSSSSAAAAAPAAPSETASTSVTKTVNGSHHFKIAGYPLAKGIGVGKYIASECFTVGGYDWAIYFYPDGKSPEDGAAYVSLFIALASEGTDVRALFELTLVDQSGKGQDKVHTHFGRSLEGGPYTLKYRGSMGYKRFFKRSALETSDYLKDDCLLVNCTVGVVQSHTEGPKIYTIPVPPSNMSQHIGQLLTDGKRTDITFEVDGEVFPAHKVVLAARSPVFRAQLFGPMKDKNMKRITIEDMEASVFKALLHFMYWDELPDIEELTGLNTTWVSTLMAQHLLAAADRYALERLKLLCELKLCEDVAINTVANTLALAEQHHCYQLKTVCLRFVALPENLKAVMQTDGFDYLQQSCPSLLTELLEYVAKVGEHSVSPCLYSNEVLDGGDANGRRVKPRI >ORUFI07G25720.2 pep chromosome:OR_W1943:7:24093332:24101310:1 gene:ORUFI07G25720 transcript:ORUFI07G25720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSSSPPPPSIPTGGGGFFRGYTKLCKGLAVILLLVHLLIQLFPSAVTYLALVPGRTIPFAWNLITGGYVELTIPGVIISIVGLLLFGKLLEPLWGSEELSKFIFVVNFSTSVCVFITAIALYYTTQEESYLYAPLSGFYGVLTGLLVGIKQLMSEQELNLFVLKIKAKWIPSLVALISVIVSFFVKELVSYLPVILFGIYMSWIYLRYFQRRLETGLKGDPSEEFSFSSFFPEFLRPVLDPIASIFHRLLCGRSERADARGQTLDTTPLPGSDSIEANRRRERGQRALEQRLAEKLAAVKSSEGTSQDASDKV >ORUFI07G25730.1 pep chromosome:OR_W1943:7:24102202:24112499:1 gene:ORUFI07G25730 transcript:ORUFI07G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARKKRGAAAAAAAAAAAAQWKVGDLVLAKMKGFPAWPAMISEPEKWGLSSAKKKLLVYFYGTKQIAFCNYTDLEAFTEEKRKSLLAKRHGKGADFVRAVKEIVEIYDSLKNENNNKSDTSGTDVKPDVEDAAHNSNSDRGGRGEGSDLVNDNKQDSRPTSSMDHNVTNSPGSNIPAVESGRCVVNSAPDEPSSSFSKKSQNDVQQNISCALGNLTSPRKLRSSLGADLRPTEDSCGPMNSINQPCVNVISDNKQVHCFQHTCIGDNKSNSDSLPAREDSSQGTCSKPEDSTVVVDDGSLNSIDDVQDIHHIEASKTEVKQNGTIDSMSTTVTFKRKRKPHTNHISNPIISVAPKTDEELQPKSSGNLADSPNSGNEVNKSDGDEHLPLVKRARVRMGRALLEDTIVDECVISDNKTELATHENRCDKHDLYAGLGKDHSAHMPPSMDPSSKIDLIMPSGDAQTACKNKEYHPKVHPKVLSLECEAALPPSKRLHRALEAMSANATETICSSLEVQKSESILKLKGCAASGVISPSNNSLDAIAKVSRSVMTKSPTISSSGHSLDTPDGEKHILLKDIPSTIPLDLKNACCQNSLKEKVVEELHMDDKNITLTVCSRADNNVCGKAPTYSMESKASGNESMEPNGDPAHDFVKNVNGSAEPVSQANVVPSSNGNYNSVPHDDIRLAKPTVIVSDRTSASSLVTKISCIQSDASSQTFEPHGSSAIALKEHNHRMYPKGKSLSPDMMPMKELIAAAHTRRFSHSSSFIDSFLCSNGVPEPSVNVPSLKEGSSGQCSPSNHTIRFAADRIHTQQNSGAIPFDNMQQKGLNKLSGHDEASSARRAFEAFLGSLTRTKESIGRATRLALECDKQGIAGEVLKLWLDRKTLSEYIIRHHIKELEALNEASFGTSRRPSGTERALNDPLRDNEGMLVDEYGSNTGFHLPNLIGTKLLEDEEGSSSEERSFEAVTPEHEATGANEQEASQMHVAKHRLVLEEVDGDHEMEDLAPSSEAEGEAISSCQPDLTVDRCATTKQNVDSVPPLPDDKPPSPPPLPSSPPPLPRPPCPVFQDSQVQGALAADRVQPDPPRTTYNIQEQHPHSVANNRSNIDPCVVSSHPPAPYNCGYAGHANQMPLPPPPPPPLPPPPPVAPFHPPGPHFSGPSVPPHHGNNYHQPPSVPPPNNAYHLQPPPHPPFPNQYPYPPEPQQNTQPWNCNPSYPERHQYGEHDRGPHAYDSRHHFHHRGHHFDDRGHYFDDGAHHFDDRGHPFDDRGNYFDDRGHHFDERAIRGQMHHEVDRGRFPPHFPPGFLPKYNISHMFFHQLDFYGEQTFVVNGIQAPHFQTILMVHPPHCIVGNHRILHQGQALGGQCLLGDLSTLLALDTRWTIQFPMKEVGGGMEDTIMINTLDDWEQRSFPPHLPQLLPSLSCGTSR >ORUFI07G25740.1 pep chromosome:OR_W1943:7:24115362:24118169:1 gene:ORUFI07G25740 transcript:ORUFI07G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERFFSSRFVSKMQPSRHDHLLLLPLLLLLLLGVFIATSSAAAPVSRTITVDHQGGGDFTLVQSAVNSVPDGNRDWIKIHVNAGSYKEKVTIPSQKQFIELEGDGSWNTEITFAGHAHASIDELLNHGYSDVGGSATFDSSTFIVLADNFLARSISFRNTYNKYDKSKPVQAVAALIGGDRSAFYDCAFYGFQDTLCDLKGRHYFHHCYVRGGVDFIFGYGQSIYDNCTLESNMPPPPSPQQPGWVTAHARVTDADPGGLVFKGGSLLGSGQQYLGRAWNQFATVVFYQVSMTNIVVPQGWQPWNSPNVSTITFAEAGCQGPGANKTGRVAWEKQLDDDQVHKFVDISFIDDGWLSQQPQV >ORUFI07G25750.1 pep chromosome:OR_W1943:7:24121010:24125694:1 gene:ORUFI07G25750 transcript:ORUFI07G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSWPSAIRLAVAAVLLAAVGVALFTLPVDKILKDFLVWIKDNLGPWGPLVLALAYVPLTVLAVPASILTLGGGYLFGLPVGFVADSIGATIGATAAFLLGRTIGRPYVLSKCKDYPKFQAVAIAIERSGFKIVLLLRLVPLLPFNMLNYLLSVTPVGIGEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEISTTRWILIISGFILSVVLIVCVTRIAKSSLEKALAENGDAGIPQLPVVASPSDLQQPLVIRIDTSNEDHEK >ORUFI07G25760.1 pep chromosome:OR_W1943:7:24126481:24128795:1 gene:ORUFI07G25760 transcript:ORUFI07G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASARSRPAARARQASDQIVAWAACVLVSALSLLLLVAVSSGFGAARLTGEISVLVRTTTAATTTSSSDAVDDVAVVAAAEHCDVVDGEWVRDDDDERRPLYEPRRCPFIDEGFRCRENGRPDDAFAKWRWQPRHCTLPRFDAKNLLETLRNRRLVFVGDSIGRNQWESMLCMLATAVAFAGDGDGDKAASIYEVNGSPITKHEGALSFRFRDYNCTVEHYRSPYLVRRGRPPPRRAHRGAACSTLQLDAMDARAHRWKDGDVVVFNTGHWWSRKKLILNMSIEAAYQRAMNTLTSWVHREVNPHKSLVIFRTYSPAHTRASSNGGCAKETTPELNSSRISLHRWPGMVNPAFEPSRSGTAAAKLRVLNVTLMTAQRRDGHPSVFNVAAAARSPARQRADCSHWCLPGVPDAWNELLYAMILKRFS >ORUFI07G25770.1 pep chromosome:OR_W1943:7:24132171:24135185:-1 gene:ORUFI07G25770 transcript:ORUFI07G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADNAAAPPRGDVPERGRGGGEPEAKEREVKVVVVDEPPGAPVARLQAQRPLAPLQVTTQAPPPPMSVASGGVEPPPQVATFQPVMQTPPQVAFASLNSRVYTNGITLCVFLVHLAAATFAVGFFVFRAVKDIVQHPRSRNAQRERSLLREWLPPVEGAVALSIVLAFAWQKAVRAWPRAMVGVILWSSFGITLAVGAMLMCFSMPATVGLGVAMVMFSIGTGLYACWVTRRVGFTARVFERAVQPVDKFRGLNGPAYLMVAAGFVWISVWCVAVIGAANYRFPGLTILGLVLSLMWTAEVMRNVANLTASRVIALYYLRGMQSSVQFSFQRALSYNLGSACLGSLFVPTIEALRIVARGLNLLEGEDEFMFSCAHCCLHVMNAIFEFGNSWAFVHVSFDGHPFFVLVAPWFKFVVTMADGWWCLQIAAYGRGFVQASRSTWEQFERLQGMPALVDSDITSSVCFLTGVTSGALCVALAGSWTFATHKHYTATVSLLAFFVGYLMTRIGMALPQACVGCYYVCFAENPTSRLFDPTIPERLHKMQEGADPLVPTPRFPQQHPGAA >ORUFI07G25780.1 pep chromosome:OR_W1943:7:24139724:24143457:1 gene:ORUFI07G25780 transcript:ORUFI07G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFIAALRLALAAAAHLLLTLPPAQCYWLNPEIYDAGGLSRRAFPEGFVFGTAASAYQVEGMAKQGGRGPSIWDAFIEKPGTIPNNATADVTVDEYHRYKEDVNIMKNMGFDAYRFSISWSRIFPNGTGMVNQEGVDYYNRLIDYMVKKGIKPYANLYHYDLPLALHEQYLGWLSPNIVEAFADYADFCFQTFGDRVKDWFTFNEPRCVAALGYDNGFHAPGRCSGCDAGGNSTTEPYLAAHHLILSHAAAVKRYREKYQLYQKGRIGILLDFVWYEPFSDSNADRAAAQRARDFHLGWFLDPIIHGRYPYSMLEIVKDRMPTFSDEESRMVKDSIDYVGINHYTSFYMKDPGPWNLTPTSYQDDWHVGFAYERNGVPIGAQANSYWLYIVPWGINKAVTYVKETYGNPTMILSENGMDQPGNVSITQGVHDTVRIRYYRNYITELKKAIDDGAKVIGYFAWSLLDNFEWRLGYTSRFGIVYVDYKTLKRYPKDSAFWFKNMLSSKKRN >ORUFI07G25790.1 pep chromosome:OR_W1943:7:24143461:24145017:-1 gene:ORUFI07G25790 transcript:ORUFI07G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALAAAAATAAVAAFPNFADVAGAVALLVLADSPPAPSPPPPPPTVSDELSCYSGSSASYSGTSARSCVSDSAQRGRPVDPLRVLAVVASLRRIDPKVLAKATNTLFQGESSKKRKGVWIHIDDDEDESERNSAVASEGSTVTGTASAGSTATSGRSHRPPRASGGGDQLPRRADKIMKWLSRPGAVPATETTIRAAVGDNAGTSKALRLLLKRPGCLRRSGSGGRNDPYVYMVTG >ORUFI07G25800.1 pep chromosome:OR_W1943:7:24145236:24152415:1 gene:ORUFI07G25800 transcript:ORUFI07G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRCRVAYVVLAALAAAPFLLLLLYGGGSPSALCLAAARSGGASRRLQYPSVAWSRVPPLPVLPSSPLPSLRASRWIIFAAAAHHPRHRPLPAVPGWQLLAVADETTPPDWSHPGAALLTLADQARLGFRSVAFLPARGHARKAAAYLFAVQRGARVIYDADARNAVLGSNLTKHFDVDLDHRQGGGVLLQYSHADPNRTVVNPYVHFGQPSVWPRGLPLHKAGEVGVEEFYTQVFGGGQFIQQGLCNGLPDVDAVFYFTRKSSEMEAFDLRFDADAPKVALPQGMMAPINSVNTLFHSPAFWGLALPVSVSPMAADVIRGYWSQRILWEIGGYLVVYPPTVHRMDNVHAHPFDDEKDIHVSVGRLIDFLMEWRSHKQTLFERILDLSYAMTEEGFWGEKDLQFMSAWLQDLVSVGYRQPRLMSLEIDRPRATIGHGDKQVFVPKKLPTVHLGVEEIGEVSTEIGNLIKWRKHFGDVVLIVHCTVPVDRVALEWRLLYGRIFRAVVILSEKSNSDLAVEVSNLAQAYKFLPKVFDRFAGAGGFMFLQDHMILNYWNLYDFDKAKLWITNKVKESWSDVPLHGNKIEWFINQGDMVKKAIASFPFQYQANYKRSVGEDKIIHCNSEIFYIPRSHIGDFSYLVQAIGSLDIHHSIAIPMLFLAMDLPSNFESKALTKLIYRTNVQSNATFATIYTAQAHAVYPMKVQNEIDFVELIRVMASGDPFLMELI >ORUFI07G25800.2 pep chromosome:OR_W1943:7:24145239:24148137:1 gene:ORUFI07G25800 transcript:ORUFI07G25800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRCRVAYVVLAALAAAPFLLLLLYGGGSPSALCLAAARSGGASRRLQYPSVAWSRVPPLPVLPSSPLPSLRASRWIIFAAAAHHPRHRPLPAVPGWQLLAVADETTPPDWSHPGAALLTLADQARLGFRSVAFLPARGHARKAAAYLFAVQRGARVIYDADARNAVLGSNLTKHFDVDLDHRQGGGVLLQYSHADPNRTVVNPYVHFGQPSVWPRGLPLHKAGEVGVEEFYTQVFGGGQFIQQGLCNGLPDVDAVFYFTRKSSEMEAFDLRFDADAPKVALPQGMMAPINSVNTLFHSPAFWGLALPVSVSPMAADVIRGYWSQRILWEIGGYLVVYPPTVHRMDNVHAHPFDDEKDIHVSVGRLIDFLMEWRSHKQTLFERILDLSYAMTEEGFWGEKDLQFMSAWLQDLVSVGYRQPRLMSLEIDRPRATIGHGDKQVFVPKKLPTVHLGVEEIGEVSTEIGNLIKWRKHFGDVVLIVHCTVPVDRVALEWRLLYGRIFRAVVILSEKSNSDLAVEVSNLAQAYKFLPKVFDRFAGAGGFMFLQDHMILNYWNLYDFDKAKLWITNKVKESWSDVPLHGNKIEWFINQGDMVKKAIASFPFQYQANYKRSVGEDKIIHCNSEIFYIPRSHIGDFSYLVQAIGSLDIHHSIAIPMLFLAMDLPSNFESKALTKLIYRTNVQSNATFATIYTAQAHAVYPMKVQNEIDFVELIRVMASGDPFLMELI >ORUFI07G25810.1 pep chromosome:OR_W1943:7:24147476:24151395:-1 gene:ORUFI07G25810 transcript:ORUFI07G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYHTFVEKIFQADAVTLFMPGKQVGMSDACYPDSLIGNIPNIYCYAANNPSEATVGKRRSYANTISYLTPPAENVGLYKGLKQLSELISSYQSLKDTGRGPQIVSSIISTAKQLILTRMFPLPEERMELPPNERDLIVGKVYAKIMEIESRLLPCGLHVIGEPPSAIEAVATLVNIASLDCPEDEIYSLPNILAQTVGRNIEDVYRGSDKGILADVELLRQITEASRGAITAFVERTTNSKGQVVDVTNKLSTMLGFGLSEPWVQHLSKTKFIRADREKLRTLFTFLGECLKLIVADNELGSLKLALEGSYVEPGPGGDPIHNLKVLPTGKSIHALDPQTMPTIAAMKSAKIVVDRLLEWQKVDNGGKYPETIALVLWGTDNIKTNGESLAQVLWMIGVRSVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQTNLLDRAVKMVAELDEPEEMNYVRKHAQEQAQELGVSLREATTRVFSNASGSYSSNVNLAVENASWTDEKQLQDMYLSRKSFAFDSDAPGAGMREQRKTFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDERAPSSYIADTTTANAQVRTLSETVRLDARTKLLNPKWYKGMMKSGYEGVREIEKRLTKTVVWSAKSGQVVNWVYEEANATFIEDEAMRKRLMDTNPNSFRKLVQTCLEASEENLEKLRELYSEVEDKIEGIDRWLDIEMES >ORUFI07G25820.1 pep chromosome:OR_W1943:7:24152773:24154404:1 gene:ORUFI07G25820 transcript:ORUFI07G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVVHGWLAPALSRRAETGGGRAALPCRRPRLVAVACAASSVNTATTTGESPLGSDGSAAAAMSLERAFAGGDGGGGGGIGPAVESTVEKLIFDFRFLALLAVAGSLLCFLSGCVYIKEAYHVYWTSCVRGVHTGQMVLRLVEAIDVYLAGTVMLIFGMGLYGLFISNDFPDVPASDRALKGSSLFGMFALKERPRWMRISSLDELKTKLGHIIVMILLVKMFERSKMVHITTGMDLLSYAVCIFLSSASLYILHNLHRPEHE >ORUFI07G25830.1 pep chromosome:OR_W1943:7:24155189:24158925:1 gene:ORUFI07G25830 transcript:ORUFI07G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTALFLRCGCLAAAPALRRGGAALRPRRTPSRLVAVGATSSSGDGVTTAADAVLRGVGGADGSPAGKPRGYGLVGGANGAMAPPPPPTTKSTAVETTVERVIFDFRFLALLAVAGSLAGSLLCFLNGCVYIKEAYSVYWSGCLKGVHTGQMVLKVVEAIDVYLAGTVMLIFGMGLYGLFISNTSTDVPSESDRALQGSSLFGMFALKERPKWMKITSLDELKTKVGHVIVMILLVKMFERSKMVKITTGLDLLSYSVCIFLSSASLYILHNLHRPEQDDSVMPNL >ORUFI07G25840.1 pep chromosome:OR_W1943:7:24160007:24164032:1 gene:ORUFI07G25840 transcript:ORUFI07G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSSSSSLLSAIRRLLASLARSPPPAAAAAMPPSSPEPEEEGMGRSDAGVGGGGGGEGGGGGGGRALSYGEAEYWDARYVEEGGAPYDWYQRYAALRPFVRRFAPPESRVLMIGCGSALMSEDMVDDGYTEIMNIDISSVVIEIMRKKHFNIPQLQYMQMDARDMSIFSDESFDCAIDKGTLDSLMCGVGAPLSAAQMVLEVERPGFKGKTKRSVLDPVPMTESGVLPDGFVPEDPDSHYIYVCKKLQGSTGTSSPTIHYRFAFKEILDKHKRSLWLVAGDFMACSSHGYKAEITGEGKEEF >ORUFI07G25840.2 pep chromosome:OR_W1943:7:24160007:24166066:1 gene:ORUFI07G25840 transcript:ORUFI07G25840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSSSSSLLSAIRRLLASLARSPPPAAAAAMPPSSPEPEEEGMGRSDAGVGGGGGGEGGGGGGGRALSYGEAEYWDARYVEEGGAPYDWYQRYAALRPFVRRFAPPESRVLMIGCGSALMSEDMVDDGYTEIMNIDISSVVIEIMRKKHFNIPQLQCILNCFSILFLSPPPLKFTIHWSPYDT >ORUFI07G25840.3 pep chromosome:OR_W1943:7:24160007:24164032:1 gene:ORUFI07G25840 transcript:ORUFI07G25840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSSSSSLLSAIRRLLASLARSPPPAAAAAMPPSSPEPEEEGMGRSDAGVGGGGGGEGGGGGGGRALSYGEAEYWDARYVEEGGAPYDWYQRYAALRPFVRRFAPPESRVLMIGCGSALMSEDMVDDGYTEIMNIDISSVVIEIMRKKHFNIPQLQCTLDSLMCGVGAPLSAAQMVLEVERPGFKGKTKRSVLDPVPMTESGVLPDGFVPEDPDSHYIYVCKKLQGSTGTSSPTIHYRFAFKEILDKHKRSLWLVAGDFMACSSHGYKAEITGEGKEEF >ORUFI07G25840.4 pep chromosome:OR_W1943:7:24160007:24166066:1 gene:ORUFI07G25840 transcript:ORUFI07G25840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSSSSSLLSAIRRLLASLARSPPPAAAAAMPPSSPEPEEEGMGRSDAGVGGGGGGEGGGGGGGRALSYGEAEYWDARYVEEGGAPYDWYQRYAALRPFVRRFAPPESRVLMIGCGSALMSEDMVDDGYTEIMNIDISSVVIEIMRKKHFNIPQLQCILNCFSILFLSPPPLKFTIHWSPYDT >ORUFI07G25840.5 pep chromosome:OR_W1943:7:24160007:24166066:1 gene:ORUFI07G25840 transcript:ORUFI07G25840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSSSSSLLSAIRRLLASLARSPPPAAAAAMPPSSPEPEEEGMGRSDAGVGGGGGGEGGGGGGGRALSYGEAEYWDARYVEEGGAPYDWYQRYAALRPFVRRFAPPESRVLMIGCGSALMSEDMVDDGYTEIMNIDISSVVIEIMRKKHFNIPQLQCILNCFSILFLSPPPLKFTIHWSPYDT >ORUFI07G25850.1 pep chromosome:OR_W1943:7:24163645:24168219:-1 gene:ORUFI07G25850 transcript:ORUFI07G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSTSNAALLLLVAAAQCLALLSVAAAAKPRTRQGDYLDRLRGSPSSWASLAEDRGAPAPSPSPSGGRHAAPAAAAVGSKEADRVAGLPGQPAGVGFAQYAGYVTVDAAAGRALFYYLAEADGGAAASSKAPLLLWLNGGPGCSSLGYGAMEELGPFRVKSDGVSLYRNPYSWNNVANVMFLESPIGVGFSYSNTTADYSRMGDNSTAEDAYKFLVNWMERFPEYKGRDFYLAGESYAGHYVPQLAHAILRHSSAAAGGKPSSSPINLKGIMIGNAVINDWTDTKGMYDFFWTHALISDEANDGITKHCNFTDGADANSLCDDATSLADDCLQDIDIYNIYAPNCQSPGLVVSPPVTPSIESFDPCTDYYVEAYLNNPDVQKALHANITRLDHPWSACSGVLRRWVDSASTVLPIIKELLKNNIRVWVYSGDTDGRVPVTSSRYSVNQLNLPVAAKWRPWFSNTQGAGDVGGYIVQYKGNLSLVTVRGAGHEVPSYQPQRALVLVQYFLEGKTLPDCEKCGEA >ORUFI07G25860.1 pep chromosome:OR_W1943:7:24177473:24178569:-1 gene:ORUFI07G25860 transcript:ORUFI07G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCRRPTTEMGEVCKRVAPSVREEEEEEENGDGGGVDPAAESSSAKLYEDVPEMPLMALNHISRLCKSIDASVRFYVKALGFVLIHRPPALDFNGAWLFNYGVGIHLVQRDDARRAPDVNPGDLDPMDNHISFQCEDMEMMEKRLNEMGIEYMKRTINEEEGSPIDQLFFKDPDGFMIEICNCENLELVPAGALGRLRLPETTTTRRSGWPPPATTRREKNRLVVSED >ORUFI07G25870.1 pep chromosome:OR_W1943:7:24180492:24190343:1 gene:ORUFI07G25870 transcript:ORUFI07G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAQRAALTALAPEAPYLAAGTMSGAVDMLFSASANIEIFRLDFQSDSPDLPLLASAPSPDRFNRLSWSRPGAADGDSFSLGLLAGGLSDGSVAVWNPLSMINSEGKAEDALVARLEKHTGPVCGLEFSELTPNRLASGAEQGELCIWDLKNPSEPVVFPPLKSVGSSAQAEISYLTWNPKFQHILATASSNGMTDSNRTKCSVLQWNPDMSTQLIVASDDDNSPSLRVWDVRKTISPVREFVGHSKGVIAMSWCPYDSSYLLTCSKDNRTICWDTVSGEIMSELPASSNGNFDIHWYRKIPGVVAASSFDVKIGIYNLEFSGLYAAGDSAIGAPARPRAPAPKWLKCPTGASFGFGGKLVSFHQAAPTQGAQVHVHNLVIEQSLVSRSTEFEAAIQNGDKSSLRALCDKKAQESLSDEERETWGFLRVMFEDGDAARTKLLAHLGFNPPQEQTSSSTDELNRTLADTLNLDHGAPTDTSDSQFMVDNGEDFFNNPQPSEASLAEESISTNGQQIEQEMPVNVEPSDLSVDKSIQHALVVGDYKGAVNLCLAANRMADALVIAHAGGSALWESTRNQYLKNTVSPYLKVVSAMVGNDLMSFVSTWPLNAWKETLALLCTFARKEEWNVLCDTLASRLLGVGDTLAATLCYICAGNIDKAVEIWSRDLKSEDGGKTYVDLLQDLMEKTITLALATGHKSFSASLSKLVENYAELLASQGLLKTAMEYLKLLGSDEHSHELAILRDRIAFSTEENHAASTSVHAGSINSSAYPTIQPSYSTPDPSQNLYQGTSQYAVPSNQYQDYQQQSNTAYGTYNSTYSQQPTQMFVPSNTTPVISQPNATPAPVPQQPVKAFTPTNLPGLKNPEQYQQPTLGSQLYVGANPPYTSGQSTPYQGVPPTTYHQPRPPTQFQTVPTAPPAVSSATVPGTTPSQMFPGPVANNPTSRFMPSNNPGFVQRPGLSPVQPSSPTQAQGQPQPVVAPPAPPATVQTADTSKVSAELKPVIATLTRLFDETSKAMGGSQVKKREIEDNSRKIGTLFAKLNSGDISPNVSSKLIQLCSALDSGDFATAMHLQVLLTTSDWDECNFWLAALKRMIKTRQNFRM >ORUFI07G25880.1 pep chromosome:OR_W1943:7:24201994:24203661:1 gene:ORUFI07G25880 transcript:ORUFI07G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQQQQQQHRIYILQFRKGEQDQEVACKVSTPPKAGAGRRVMYYYHDYGGGGAGKNGKAAQPRAFSMRRFFGLLLLSFVSVGTLFVAPVSFFSFVHSDEGGGGAAAAARRAVEVAAAPCSGMGNDSLCCDRTSTRADICFARGDVRMHSASASFQLVSSSSGNATAAAVEEERIRPYTRKWEANVMATIDEVRLRRVPAGGAARCDVVHDVPAVVFSTGGYTGNVYHEFNDGILPLFVTSNHLRRRVVFVILEYHDWWMTKYGDVVSRLSAFPPIDFTADRRVHCFPEVIAGLRIHGELTVDPEKTPEGKSIRHFRTLLDDAYRGRIQYLERLERRAARSRKRRAAAAKPTTTSIALPIMAPPAKQASPSPPDRPRLVIVSRTGSRVIENEADVAALAADVGFDVRVVRPERTTELCKIYRELNASDAMVGVHGAAMTHFLFMRPGKVFVQVVPLGTDWAAGAYYGEPAARLGLRYVGYKILPEESSLSREYPTGDPVLTDPAGVGKRGWDVTKKVYLDRQNVRLDLPRFREVLVGAHRYLVAGKRRRRQRESQ >ORUFI07G25890.1 pep chromosome:OR_W1943:7:24210244:24212864:1 gene:ORUFI07G25890 transcript:ORUFI07G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADSADPVTTATCAHWLWFTATATMGEGSVRVLGWLPSCHREVPSPNIALHSAHCARNLQKCEHCGYMVPKKLMDEHYDENHAPMICSLCQKTVQRELWDLHKGLQCPQRMLACQYCDFELPAADIYEHQNIVSLVGSMSDCGNRLDMTSSSILNPLLLQNLQVTEARWKKKRIIQQKNNQYDPSTLMAYNASNFLSQL >ORUFI07G25900.1 pep chromosome:OR_W1943:7:24212845:24215087:-1 gene:ORUFI07G25900 transcript:ORUFI07G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPEGGDAAAARPQRRVAVELELELPLTPGAAPFDLEAAVCSHGLFMMAPNRWDPASRALVRPLRLASDRAASVAVRVSRHPARPSDALLVSEQVRRMLRLDEEDGRAAAEFQAMHAVAREAGFGRIFRSPTLFEDMVKCILLCNCQWTRTLSMSTALCELQLELRSSSSTENFQSRTPPIREHKRKRSNKQNVRVKLETKFNEDKLVCLEDPNLATDTANLQTYESSFSLPSAANETGNTSEVSLDHSELKLGNELCLEDCGGDFPTPEELANLDEDFLAKRCNLGYRARRIVMLARSIVEGKICLQKLEEIRKMSVPLSTTPSTYDRLNEELSTISGFGPFTRANVLMCMGFFHMIPADTETIRHLKQFHKRASTISSVQKELDNIYGKYAPFQFLAYWCELWGFYNKQFGKISDMEPINYRLFTASKLKKATVNS >ORUFI07G25910.1 pep chromosome:OR_W1943:7:24215634:24220481:1 gene:ORUFI07G25910 transcript:ORUFI07G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVDESSTPEGTTVRWGHNERVGNGRTNHLSPSRDTAPRSHARTRRKEEPTLPTPYPPTHPLPLLLYSTPSASPLLPPSLAMAVTRLAVAAALSAAPPSSRRRRAFFRHSCRPLPSSSSSAAAAKALRASAAPAVDEEAPASPPPSDLGKGVENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGVTGPDLMDKMRKQAERWGAELHQEDVEFVNVKSRPFVIRSSDREVKCHSVIIATGAAAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRKDQLRASKAMQDRVLNNPNITVHFNTEAVDVVSNPKGQMSGIQLKRTDTGEESVLEVKGLFYGIGHTPNSQLLQGQIDLDDAGYILVEEGTAKTSVDGVFAAGDVQDHEWRQAVTAAGSGCVAALSVERYLVANDLLVEFHQPVREEKEKEITDRDVEMGFDISHTKHRGQYALRKVYHESPRLVCVLYTSPTCGPCRTLKPILSKVIDEYNEHVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMLRTVSGVKMKKEYREFIESNK >ORUFI07G25920.1 pep chromosome:OR_W1943:7:24221199:24224328:1 gene:ORUFI07G25920 transcript:ORUFI07G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDESN >ORUFI07G25930.1 pep chromosome:OR_W1943:7:24223116:24226533:-1 gene:ORUFI07G25930 transcript:ORUFI07G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFPSNLRLRVYFRNFTASLAESRSDSHVAHRQQPNPNYPKIPPRRTSIAATRREAQAKVNRASPGGVMSSSAAAEDGEAAYWLRWQVFVCGALIVLPTAAAAALLPRLRRAAAPLRGTDLWVPCWARLHPGWLLGYRAFALAAAVALLVRLLVGHGIDVFFFYTQWTFLLVTIYFAFATAISAHGCWVYSKKNLKKADESHEFLSDDVENREFSTSSGEMKRDEEKITNYHEQIANEKRAGLWGRCMQIIYQTSAGATMLTDITFWGLLVPFFYRDKFGLSLVTDGMHSLNAVLLLIDTFLNNMPFPWYRLAFFVFWSCSYVTFQWVLHACGAISWWPYPFLDLSSSGAPLWYLAMAIVHIPCFFLYWSIVKAKQTYFPRLFPHAYVRN >ORUFI07G25940.1 pep chromosome:OR_W1943:7:24228768:24231247:-1 gene:ORUFI07G25940 transcript:ORUFI07G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLLHSSRRLGHRLQTLTPASAATAAAASSSPDFRAEGSEKGDVFEEIFKEEAATAAVASGEKAPGAGADELWTLSGEDEKDPFAEAVLGGGLEGIGGDGAAIDEADAGEDEEEEERKRLERRAREQELMETLKGPNRAFGDLIEASGITEDMIASLILLKDVRGVPGLPPLREIEDETIEKMNATSSRADVERQKQEEIAKARVRQVDEKGRAYGTGKRKCSIARVWIQPGDGKFIVNDKQFDSYFPILDHRADLLRPFTVTKTLGRWDVTCTVKGGGVSGQVGAIRLGISRALQNWEPGLRPNLKAAGYLTRDSRVVERKKPGKAKARKSFQWVKR >ORUFI07G25940.2 pep chromosome:OR_W1943:7:24228768:24231247:-1 gene:ORUFI07G25940 transcript:ORUFI07G25940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLLHSSRRLGHRLQTLTPASAATAAAASSSPVSAPLPFRRPLPASSLLWPRLLSTSGRDDDPNKPWAFTPESGDPDPFAAGEGVEAPAGEDPLGLSAAGEDPWAKDFRAEGSEKGDVFEEIFKEEAATAAVASGEKAPGAGADELWTLSGEDEKDPFAEAVLGGGLEGIGGDGAAIDEADAGEDEEEEERKRLERRAREQELMETLKGPNRAFGDLIEASGITEDMIASLILLKDVRGVPGLPPLREIEDETIEKMNATSSRADVERQKQEEIAKARVRQVDEKGRAYGTGKRKCSIARVWIQPGDGKFIVNDKQFDSYFPILDHRADLLRPFTVTKTLGRWDVTCTVKGGGVSGQVGAIRLGISRALQNWEPGLRPNLKAAGYLTRDSRVVERKKPGKAKARKSFQWVKR >ORUFI07G25950.1 pep chromosome:OR_W1943:7:24234804:24244371:1 gene:ORUFI07G25950 transcript:ORUFI07G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPVAGAAERQQQQQQQQRGGAASASGNAVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPNTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTTDPYEGAVPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLERHGIKVEGILRQAADVEEVDKRMQEYEQGRTEFAQDEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAFRLESKESRINSMRAAISETFPEPNRRLLQRILRMMHTVASHTAENRMTASAVAACMAPLLLRPLLAGECEMEDDLDMNGDSSAQLIAAANAANSAQGIVTTLLEEYEGIFYDEHLRCSLSPDSQIEDSGSEESTDDETVDIKDSGFHDAENDADQELDDSERILSGKLSETSACTAGDLYDYKVADDDSDGEPSTEDKALETKVDVNDAQHSHLAENVSVNVQRSPNEKDPPNMVSSQDSPLSMGDILSSLDAGISLPGPGPEYSVDRQSIKSNGTQMHVKRSNFWGRNNGRKSQQSELADSSGEEELAIQRLEITKNDLQIRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSAHISSSRSMDSKTKAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGSLADANDRYQHLPNHLPQNFVQSGFDMNLAFCNQEKKQRNEGPAGASHWRSIKQHVLPHGSSRPFSRKHSMDASSSDSREASTSMPADGNSMSVNIPKATEGVEYGRQAPVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGNGSANHGFPYKSSSPWNSPR >ORUFI07G25950.2 pep chromosome:OR_W1943:7:24234804:24244371:1 gene:ORUFI07G25950 transcript:ORUFI07G25950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPVAGAAERQQQQQQQQRGGAASASGNAVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPKCLLFPIQAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTTDPYEGAVPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLERHGIKVEGILRQAADVEEVDKRMQEYEQGRTEFAQDEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAFRLESKESRINSMRAAISETFPEPNRRLLQRILRMMHTVASHTAENRMTASAVAACMAPLLLRPLLAGECEMEDDLDMNGDSSAQLIAAANAANSAQGIVTTLLEEYEGIFYDEHLRCSLSPDSQIEDSGSEESTDDETVDIKDSGFHDAENDADQELDDSERILSGKLSETSACTAGDLYDYKVADDDSDGEPSTEDKALETKVDVNDAQHSHLAENVSVNVQRSPNEKDPPNMVSSQDSPLSMGDILSSLDAGISLPGPGPEYSVDRQSIKSNGTQMHVKRSNFWGRNNGRKSQQSELADSSGEEELAIQRLEITKNDLQIRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSAHISSSRSMDSKTKAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGSLADANDRYQHLPNHLPQNFVQSGFDMNLAFCNQEKKQRNEGPAGASHWRSIKQHVLPHGSSRPFSRKHSMDASSSDSREASTSMPADGNSMSVNIPKATEGVEYGRQAPVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGNGSANHGFPYKSSSPWNSPR >ORUFI07G25960.1 pep chromosome:OR_W1943:7:24245183:24259751:-1 gene:ORUFI07G25960 transcript:ORUFI07G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRAAAAAAPSPAAALLPLPRAAPLLAGRAAARSAARRLRARGTRAPPLAAARRGWGGVSPRAVLDLPRRREAAEKPAQKAADLNEILSERGACGVGFVANLKNEPSFNIVRDALVALGCMEHRGGCGADNDSGDGSGLMSGIPWDLFNDWAKKQGLAPLDRTNTGVGMVFLPQDENSMEEAKAVVAKVFTDEGLEVLGWRTVPFNVSVVGRYAKETMPNIQQIFVKVAKEDNADDIERELYICRKLIERATKSASWADELYFCSLSSRTIVYKGMLRSEILGQFYLDLQNELYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSREATLQSPVWRGREHEIRPFGDPKASDSANLDSTAELLLRSGRSPAEAMMILVPEAYKNHPTLSIKYPEVIDFYDYYKGQMEAWDGPALLLFSDGRTVGACLDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTEVKKSVASANPYGSWLQQSTRSIKPVNFQSSVAMDNETVLRHQQAFGYSSEDVQMVIETMASQGKEPTFCMGDDIPLAVLSQKPHMLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILEVGPENADQVTLSSPVLNEGELESLLNDSKLKPKVLSTYFDIRKGLDGSLDKAIKVLCDEADAAVRNGSQLLVLSDRSEALEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAICPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMSKLLHKAVREKSDNAYTVYQQHLASRPVNVLRDLLELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWSPLADVEDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNAEQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQINPKAKVSVKLVAEAGIGTVASGVSKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLSETHQTLIQNGLRERVVLRVDGGFRSGLDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLFVAEEVRATLAQLGFEKLDDIIGRTDILKAKHVSLAKTQHIDLKYLLSSAGLPKWSSSQIRSQDVHSNGPVLDETILADPDISDAIENEKEVSKTFQIYNVDRAVCGRVAGVIAKKYGDTGFAGQLNITFTGSAGQSFGCFLTPGMNIRLVGEANDYVGKGMAGGELVVVPVEKTGFVPEDAAIVFVRGKTGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLVPKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSEKGATILREWEAYLPLFWQLVPPSEEDSPEACAEFERVLAKQATTVQSAK >ORUFI07G25960.2 pep chromosome:OR_W1943:7:24245183:24259751:-1 gene:ORUFI07G25960 transcript:ORUFI07G25960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRAAAAAAPSPAAALLPLPRAAPLLAGRAAARSAARRLRARGTRAPPLAAARRGWGGVSPRAVLDLPRRREAAEKPAQKAADLNEILSERGACGVGFVANLKNEPSFNIVRDALVALGCMEHRGGCGADNDSGDGSGLMSGIPWDLFNDWAKKQGLAPLDRTNTGVGMVFLPQDENSMEEAKAVVAKVFTDEGLEVLGWRTVPFNVSVVGRYAKETMPNIQQIFVKVAKEDNADDIERELYICRKLIERATKSASWADELYFCSLSSRTIVYKGMLRSEILGQFYLDLQNELYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSREATLQSPVWRGREHEIRPFGDPKASDSANLDSTAELLLRSGRSPAEAMMILVPEAYKNHPTLSIKYPEVIDFYDYYKGQMEAWDGPALLLFSDGRTVGACLDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTEVKKSVASANPYGSWLQQSTRSIKPVNFQSSVAMDNETVLRHQQAFGYSSEDVQMVIETMASQGKEPTFCMGDDIPLAVLSQKPHMLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILEVGPENADQVTLSSPVLNEGELESLLNDSKLKPKVLSTYFDIRKGLDGSLDKAIKVLCDEADAAVRNGSQLLVLSDRSEALEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAICPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMSKLLHKAVREKSDNAYTVYQQHLASRPVNVLRDLLELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWSPLADVEDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNAEQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQINPKAKVSVKLVAEAGIGTVASGVSKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLSETHQTLIQNGLRERVVLRVDGGFRSGLDVLMAAAMGADEYGFGSVAMIATGCIRSQDVHSNGPVLDETILADPDISDAIENEKEVSKTFQIYNVDRAVCGRVAGVIAKKYGDTGFAGQLNITFTGSAGQSFGCFLTPGMNIRLVGEANDYVGKGMAGGELVVVPVEKTGFVPEDAAIVFVRGKTGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLVPKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSEKGATILREWEAYLPLFWQLVPPSEEDSPEACAEFERVLAKQATTVQSAK >ORUFI07G25960.3 pep chromosome:OR_W1943:7:24245183:24259751:-1 gene:ORUFI07G25960 transcript:ORUFI07G25960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRAAAAAAPSPAAALLPLPRAAPLLAGRAAARSAARRLRARGTRAPPLAAARRGWGGVSPRAVLDLPRRREAAEKPAQKAADLNEILSERGACGVGFVANLKNEPSFNIVRDALVALGCMEHRGGCGADNDSGDGSGLMSGIPWDLFNDWAKKQGLAPLDRTNTGVGMVFLPQDENSMEEAKAVVAKVFTDEGLEVLGWRTVPFNVSVVGRYAKETMPNIQQIFVKVAKEDNADDIERELYICRKLIERATKSASWADELYFCSLSSRTIVYKGMLRSEILGQFYLDLQNELYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSREATLQSPVWRGREHEIRPFGDPKASDSANLDSTAELLLRSGRSPAEAMMILVPEAYKNHPTLSIKYPEVIDFYDYYKGQMEAWDGPALLLFSDGRTVGACLDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTEVKKSVASANPYGSWLQQSTRSIKPVNFQSSVAMDNETVLRHQQAFGYSSEDVQMVIETMASQGKEPTFCMGDDIPLAVLSQKPHMLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILEVGPENADQVTLSSPVLNEGELESLLNDSKLKPKVLSTYFDIRKGLDGSLDKAIKVLCDEADAAVRNGSQLLVLSDRSEALEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAICPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMSKLLHKAVREKSDNAYTVYQQHLASRPVNVLRDLLELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGDVEDGYSPTLPHLKGLQNGDTATSAIKQVMMVALELAQLVQSSMLGVLGNLVFQKRTRVDGGFRSGLDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLFVAEEVRATLAQLGFEKLDDIIGRTDILKAKHVSLAKTQHIDLKYLLSSAGLPKWSSSQIRSQDVHSNGPVLDETILADPDISDAIENEKEVSKTFQIYNVDRAVCGRVAGVIAKKYGDTGFAGQLNITFTGSAGQSFGCFLTPGMNIRLVGEANDYVGKGMAGGELVVVPVEKTGFVPEDAAIVFVRGKTGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLVPKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSEKGATILREWEAYLPLFWQLVPPSEEDSPEACAEFERVLAKQATTVQSAK >ORUFI07G25960.4 pep chromosome:OR_W1943:7:24245183:24259751:-1 gene:ORUFI07G25960 transcript:ORUFI07G25960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRAAAAAAPSPAAALLPLPRAAPLLAGRAAARSAARRLRARGTRAPPLAAARRGWGGVSPRAVLDLPRRREAAEKPAQKAADLNEILSERGACGVGFVANLKNEPSFNIVRDALVALGCMEHRGGCGADNDSGDGSGLMSGIPWDLFNDWAKKQGLAPLDRTNTGVGMVFLPQDENSMEEAKAVVAKVFTDEGLEVLGWRTVPFNVSVVGRYAKETMPNIQQIFVKVAKEDNADDIERELYICRKLIERATKSASWADELYFCSLSSRTIVYKGMLRSEILGQFYLDLQNELYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSREATLQSPVWRGREHEIRPFGDPKASDSANLDSTAELLLRSGRSPAEAMMILVPEAYKNHPTLSIKYPEVIDFYDYYKGQMEAWDGPALLLFSDGRTVGACLDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTEVKKSVASANPYGSWLQQSTRSIKPVNFQSSVAMDNETVLRHQQAFGYSSEDVQMVIETMASQGKEPTFCMGDDIPLAVLSQKPHMLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILEVGPENADQVTLSSPVLNEGELESLLNDSKLKPKVLSTYFDIRKGLDGSLDKAIKVLCDEADAAVRNGSQLLVLSDRSEALEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAICPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMSKLLHKAVREKSDNAYTVYQQHLASRPVNVLRDLLELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGDVEDGYSPTLPHLKGLQNGDTATSHDGGTGASPISSIKHAGGPWELGLSETHQTLIQNGLRERVVLRVDGGFRSGLDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLFVAEEVRATLAQLGFEKLDDIIGRTDILKAKHVSLAKTQHIDLKYLLSSAGLPKWSSSQIRSQDVHSNGPVLDETILADPDISDAIENEKEVSKTFQIYNVDRAVCGRVAGVIAKKYGDTGFAGQLNITFTGSAGQSFGCFLTPGMNIRLVGEANDYVGKGMAGGELVVVPVEKTGFVPEDAAIVFVRGKTGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLVPKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSEKGATILREWEAYLPLFWQLVPPSEEDSPEACAEFERVLAKQATTVQSAK >ORUFI07G25960.5 pep chromosome:OR_W1943:7:24245183:24259751:-1 gene:ORUFI07G25960 transcript:ORUFI07G25960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRAAAAAAPSPAAALLPLPRAAPLLAGRAAARSAARRLRARGTRAPPLAAARRGWGGVSPRAVLDLPRRREAAEKPAQKAADLNEILSERGACGVGFVANLKNEPSFNIVRDALVALGCMEHRGGCGADNDSGDGSGLMSGIPWDLFNDWAKKQGLAPLDRTNTGVGMVFLPQDENSMEEAKAVVAKVFTDEGLEVLGWRTVPFNVSVVGRYAKETMPNIQQIFVKVAKEDNADDIERELYICRKLIERATKSASWADELYFCSLSSRTIVYKGMLRSEILGQFYLDLQNELYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSREATLQSPVWRGREHEIRPFGDPKASDSANLDSTAELLLRSGRSPAEAMMILVPEAYKNHPTLSIKYPEVIDFYDYYKGQMEAWDGPALLLFSDGRTVGACLDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTEVKKSVASANPYGSWLQQSTRSIKPVNFQSSVAMDNETVLRHQQAFGYSSEDVQMVIETMASQGKEPTFCMGDDIPLAVLSQKPHMLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILEVGPENADQVTLSSPVLNEGELESLLNDSKLKPKVLSTYFDIRKGLDGSLDKAIKVLCDEADAAVRNGSQLLVLSDRSEALEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAICPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMSKLLHKAVREKSDNAYTVYQQHLASRPVNVLRDLLELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGDVEDGYSPTLPHLKGLQNGDTATSHDGGTGASPISSIKHAGGPWELGLSETHQTLIQNGLRERVVLRVDGGFRSGLDVLMAAAMGADEYGFGSIRSQDVHSNGPVLDETILADPDISDAIENEKEVSKTFQIYNVDRAVCGRVAGVIAKKFTGSAGQSFGCFLTPGMNIRLVGEANDYVGKGMAGGELVVVPVEKTGFVPEDAAIVFVRGKTGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLVPKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSEKGATILREWEAYLPLFWQLVPPSEEDSPEACAEFERVLAKQATTVQSAK >ORUFI07G25960.6 pep chromosome:OR_W1943:7:24245183:24259751:-1 gene:ORUFI07G25960 transcript:ORUFI07G25960.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRAAAAAAPSPAAALLPLPRAAPLLAGRAAARSAARRLRARGTRAPPLAAARRGWGGVSPRAVLDLPRRREAAEKPAQKAADLNEILSERGACGVGFVANLKNEPSFNIVRDALVALGCMEHRGGCGADNDSGDGSGLMSGIPWDLFNDWAKKQGLAPLDRTNTGVGMVFLPQDENSMEEAKAVVAKVFTDEGLEVLGWRTVPFNVSVVGRYAKETMPNIQQIFVKVAKEDNADDIERELYICRKLIERATKSASWADELYFCSLSSRTIVYKGMLRSEILGQFYLDLQNELYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSREATLQSPVWRGREHEIRPFGDPKASDSANLDSTAELLLRSGRSPAEAMMILVPEAYKNHPTLSIKYPEVIDFYDYYKGQMEAWDGPALLLFSDGRTVGACLDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTEVKKSVASANPYGSWLQQSTRSIKPVNFQSSVAMDNETVLRHQQAFGYSSEDVQMVIETMASQGKEPTFCMGDDIPLAVLSQKPHMLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILEVGPENADQVTLSSPVLNEGELESLLNDSKLKPKVLSTYFDIRKGLDGSLDKAIKVLCDEADAAVRNGSQLLVLSDRSEALEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAICPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMSKLLHKAVREKSDNAYTVYQQHLASRPVNVLRDLLELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGDVEDGYSPTLPHLKGLQNGDTATSHDGGTGASPISSIKHAGGPWELGLSETHQIRSQDVHSNGPVLDETILADPDISDAIENEKEVSKTFQIYNVDRAVCGRVAGVIAKKFTGSAGQSFGCFLTPGMNIRLVGEANDYVGKGMAGGELVVVPVEKTGFVPEDAAIVFVRGKTGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLVPKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSEKGATILREWEAYLPLFWQLVPPSEEDSPEACAEFERVLAKQATTVQSAK >ORUFI07G25970.1 pep chromosome:OR_W1943:7:24267650:24268359:1 gene:ORUFI07G25970 transcript:ORUFI07G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSRESTARPSSTLAWTEVVGAVAVRPGEQGVGSDDAGAGEQGGGDGRGLDLATVRNLNGVRVADEILRLVPDAAAFHTTLRCVKESTSCSEDSTAPRRRIIRRIDKLLRGFDGAAPSHHPSSSCSQQGAAAAAAAGAGGHLRRGHLDAEDLRAARPTGKERRRGYRPAGSPPGEREREREVRAGR >ORUFI07G25980.1 pep chromosome:OR_W1943:7:24268646:24269731:1 gene:ORUFI07G25980 transcript:ORUFI07G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGAHVGPTLSQPPQDHPNEAFVVLAEKPMPTPCCGLTVLHTFTDMHALMHHTYSNFRRDAEPRFNQTTSASPKSETEWNKVIQNKRTTDNLLGGASNPKKHLIGWQFLRI >ORUFI07G25990.1 pep chromosome:OR_W1943:7:24271187:24272536:1 gene:ORUFI07G25990 transcript:ORUFI07G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRMSIAAMSVLAVAAVLVVAGTAAAAAASCPATPPDAGATLQVSHAFGPCSPLGAESAAPSWAGFLADQAARDASRLLYLDSLAVKGRAYAPIASGRQLLQTPTYVVRARLGTPAQQLLLAVDTSNDAAWIPCSGCAGCPTSSPFNPAASASYRPVPCGSPQCVLAPNPSCSPNAKSCGFSLSYADSSLQAALSQDTLAVAGDVVKAYTFGCLQRATGTAAPPQGLLGLGRGPLSFLSQTKDMYGATFSYCLPSFKSLNFSGTLRLGRNGQPRRIKTTPLLANPHRSSLYYVNMTGIRVGKKVVSIPASALAFDPATGAGTVLDSGTMFTRLVAPVYLALRDEVRRRVGAGAAAVSSLGGFDTCYNTTVAWPPVTLLFDGMQVTLPEENVVIHTTYGTTSCLAMAAAPDGVNTVLNVIASMQQQNHRVLFDVPNGRVGFARESCTAA >ORUFI07G26000.1 pep chromosome:OR_W1943:7:24278087:24281890:-1 gene:ORUFI07G26000 transcript:ORUFI07G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHIRARAWEATVRKVVQQQPQPQPQPGGSRRRVSPMLAADDPETASSSSASTAGGDGEHRHDGYVERGLPNGDFYTGQWRGGAPHGAGKYLWTDGCMYEGEWRHGKATGRGRFSWPSGATYEGEFRDGFMDGAGTYTGAAGDTYRGSWSMNLKHGHGKKSYAIGDHYDGEWRSGLQDGAGRYIWRNGTEYTGQWRAGLIHGRGALVWSNGNRYDGGWEDGCPRGQGTFRWADGSVYVGYWTRDAATGIVQQKGVYYPSPAASSPTARDPRDVFARDLPGFMGGAAEPVASLPHRSLNHSGNRGGAAAAAAAGNARSSSASGLSNSSGGDRKYDKICIWESDGDITCDIVDGAALADEAVGPRRSIRAAGGGDGLVLAPPSPAPRIAKWVPPREAKRQGETIAKGHKNYELMLNLQLGIRHAVGKQGPVTLDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGSEALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRSFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHSIHRRFDLKGSSLGRTTDKPQTEIDQYTTLKDLDLNFIFRLKKQWFHEFQRQVDRDCDFLEQEKIMDYSLLVGVHFRGNREKLLSEGSTDYDINKISTPRLSRGNVDQCLTDPNRWPKIKLGANMPARAELTARKNDLEPQLIGEPTGEYYDVVLYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPRQYSRRFKDFIYKAFQEDRLDS >ORUFI07G26010.1 pep chromosome:OR_W1943:7:24301183:24302693:-1 gene:ORUFI07G26010 transcript:ORUFI07G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAESEQPTAAAATAEQAQDLIDAARYDDLEDVVALFTAGVSLDSADSQGRTALHMASANGHLAVVQYLIQNGANVNATNSEKNTPLHWACLNGHIEVIKALICAGASVSALNSHEKTPMDEAVTKGKMEVIDAIGAAVAQAELDGVTVS >ORUFI07G26020.1 pep chromosome:OR_W1943:7:24306083:24306784:1 gene:ORUFI07G26020 transcript:ORUFI07G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKNGLLGWLCVVVPGRRRRRRGARLVLWGGERRAAAAGRMAGEVMMEHAGRLVVCRADGFCLGRAAPVLAIEDRLDAGATYLVLPVDRLPQGRDVVTAASLAALTYDRAAGAPLLAGGAKSPFEYVKDDGGRTVIKVTEEFIVKAVTGRRPPAGGGGEGEDAHGAAALCSTPELRKHYEQLVGAARGRPWSPRLETIKERNGRRRVVDVVVSPTGRLSPVRLLGLDKGIK >ORUFI07G26030.1 pep chromosome:OR_W1943:7:24308862:24311706:-1 gene:ORUFI07G26030 transcript:ORUFI07G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARLRSAGARLVVGPAAMAVGRGGGGEGRWMGTATAEAAAGIARWEPMGAREYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTIGEKYGVNYSEDEILMRYRRAYAQPWGRSRLRYVDDGRPFWQHIVSSSTGCSDLQYFEELYQYYTTAKAWQLCDPDAKYVFEALRKAGVKTAVVSNFDTRLRPLLQALNCDHWFDAVAVSAEVAAEKPNPTIFLKACEFLGVKPEEAVHIGDDRRNDLWGARDAGCDAWLWGSDVYSFKEVAERIGVKV >ORUFI07G26030.2 pep chromosome:OR_W1943:7:24308834:24311706:-1 gene:ORUFI07G26030 transcript:ORUFI07G26030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARLRSAGARLVVGPAAMAVGRGGGGEGRWMGTATAEAAAGIARWEPMGAREYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTIGEKYGVNYSEDEILMRYRRAYAQPWGRSRLRYVDDGRPFWQHIVSSSTGCSDLQYFEELYQYYTTAKAWQLCDPDAKYVFEALRKAGVKTAVVSNFDTRLRPLLQALNCDHWFDAVAVSAEVAAEKPNPTIFLKACEFLGVKPEEAVHIGDDRRNDLWGARDAGCDAWLWGSDVYSFKENQAHIIKSGLLCRSQKGSELRCKESFVRWVT >ORUFI07G26040.1 pep chromosome:OR_W1943:7:24315651:24315965:1 gene:ORUFI07G26040 transcript:ORUFI07G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEEDRGWWNPSTSRLNFVIKFFEEKWMTCGCEQPRFEADSEKKNWIAQVAQHAFALSLDNANELLPVALLSEAMDDLAWAFQGPSSPISICNSYYSIWLKSI >ORUFI07G26050.1 pep chromosome:OR_W1943:7:24316605:24324402:-1 gene:ORUFI07G26050 transcript:ORUFI07G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFVFPSTLRDLERDGDGGGDDEPALRPQNPVAVGALRAADLEEFVKGTSFDLSDKELFCIEEQDVFDRVYSLVRDFTCLPPALKFNLVETLRSNLSVLLPNIDSLSRASMSSPSDGIPVTDRIASHRNALKIYSFFLLSIVVAEESGADSCNGPKVAVHGRKKNAVYAWNWEAQRGRIMNLIANSLEVDLSLLFGPGGADEQYLSFVSKCTFVLCENQNVLKDEEIRNGLCRIIGAIATKHQRVSQTSASVLHLIHKFDFTVVLLAESVAAAEKRFGDGSLAISLIREIGRTDPKDYVRDSAGADNAGRFLVELADRLPKLMSTNIGVLIPHFGGESYKIRNSLVGVLGKLAAKAFKDVEGDSDAHSLRLRSKHAMLEILLERCRDLSAYTRSRVWAELCEENAISIGLWNEVASVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRTATFEATLERYKEKLQGMEPPSPEKDELLNDSSLGEVIAGQDESVSDSCLPSSQDPKDQDPTIVDITNLEQIRALVASLEAGLRFSACITSLMPTLVQLLASSSATDVENTILLLMRCRQFQIEGSEEALRKMLPLVFSQDKSIYEAVESAFITIYTKKSPTETAKSLLNLTIECSIGDLAALESLVSSLVSKGEISSNTITALWDYFCFHINGVKPVQSRGALSILCMAAKSSPSILGTHLQDIIDIGFGRWAKEEPLLARTACIALQRLSNEDKVKLISTGSRVFAALQGLITSLSLPEKIWYGAADKAISAIYALHPAPEIFAAQIVKKSLNSVFGVLGTDCMSNENETQNGSMLSSTPATNLGRFLFIISHIALNHLVYIETSVKKIQKQKRKNDKSEPTAEDLQADASKNSEAQGINAELGIGASVDIAIESLAEKAEREIVSNSEKNLIGYCAPFLAKLCRNLALLQKFPGLQASAMLALCKLMIIDAEFCEANLQILFTAAESAPSEVVRSNCTIALGDLVVRFPNLLEPWTEHIYARLRDPSASVRKNTVLVISHLILNDMMKVKGFINEMAVRIEDEDERISSLAKLFFHDNPIYNLLPDILGRLCNQQLKDETFCSIMQFLISSIKKDKQMEALVDKLCNRFAGVNDVRQWEYISYCLSQLTFTEKGLKKLVDNFKIFEHALSEDSVMNHFRTVIAKCNKFAKPDLKVCIEEFDEKLSKVHQEKKEQEATTRNAEAHKQRIGSLDKLMVTKRTGKDKGKSAEVAEETSEVVDPSTESNSEDKENMPECSDNICSEKSHTSSTFTESDGDSTEVQSARTSCKGVSRSRINKMREPEDSEDSAPMRRVSRRRPVR >ORUFI07G26050.2 pep chromosome:OR_W1943:7:24316605:24324402:-1 gene:ORUFI07G26050 transcript:ORUFI07G26050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFVFPSTLRDLERDGDGGGDDEPALRPQNPVAVGALRAADLEEFVKGTSFDLSDKELFCIEEQDVFDRVYSLVRDFTCLPPALKFNLVETLRSNLSVLLPNIDSLSRASMSSPSDGIPVTDRIASHRNALKIYSFFLLSIVVAEESGADSCNGPKVAVHGRKKNAVYAWNWEAQRGRIMNLIANSLEVDLSLLFGPGGADEQYLSFVSKCTFVLCENQNVLKDEEIRNGLCRIIGAIATKHQRVSQTSASVLHLIHKFDFTVVLLAESVAAAEKRFGDGSLAISLIREIGRTDPKDYVRDSAGADNAGRFLVELADRLPKLMSTNIGVLIPHFGGESYKIRNSLVGVLGKLAAKAFKDVEGDSDAHSLRLRSKHAMLEILLERCRDLSAYTRSRVWAELCEENAISIGLWNEVASVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRTATFEATLERYKEKLQGMEPPSPEKDELLNDSSLGEVIAGQDESVSDSCLPSSQDPKDQDPTIVDITNLEQIRALVASLEAGLRFSACITSLMPTLVQLLASSSATDVENTILLLMRCRQFQIEGSEEALRKMLPLVFSQDKSIYEAVESAFITIYTKKSPTETAKSLLNLTIECSIGDLAALESLVSSLVSKGEISSNTITALWDYFCFHINGVKPVQSRGALSILCMAAKSSPSILGTHLQDIIDIGFGRWAKEEPLLARTACIALQRLSNEDKVKLISTGSRVFAALQGLITSLSLPEKIWYGAADKAISAIYALHPAPEIFAAQIVKKSLNSVFGVLGTDCMSNENETQNGSMLSSTPATNLGRFLFIISHIALNHLVYIETSVKKIQKQKRKNDKSEPTAEDLQADASKNSESLAEKAEREIVSNSEKNLIGYCAPFLAKLCRNLALLQKFPGLQASAMLALCKLMIIDAEFCEANLQILFTAAESAPSEVVRSNCTIALGDLVVRFPNLLEPWTEHIYARLRDPSASVRKNTVLVISHLILNDMMKVKGFINEMAVRIEDEDERISSLAKLFFHDNPIYNLLPDILGRLCNQQLKDETFCSIMQFLISSIKKDKQMEALVDKLCNRFAGVNDVRQWEYISYCLSQLTFTEKGLKKLVDNFKIFEHALSEDSVMNHFRTVIAKCNKFAKPDLKVCIEEFDEKLSKVHQEKKEQEATTRNAEAHKQRIGSLDKLMVTKRTGKDKGKSAEVAEETSEVVDPSTESNSEDKENMPECSDNICSEKSHTSSTFTESDGDSTEVQSARTSCKGVSRSRINKMREPEDSEDSAPMRRVSRRRPVR >ORUFI07G26060.1 pep chromosome:OR_W1943:7:24325554:24327363:-1 gene:ORUFI07G26060 transcript:ORUFI07G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIAVPSPVPSASDDAESLRKALQVRHGRMVTTRVASAGWRADKGALTRILCRRTAAQRAAIRRAYAFLYREPLLNCFRYKLSRHCLLSLDFWKAMILWTMDPAERDANLVHEALKKKQRDETYYMSVLIEMLVRLVSSYRYEGDECVVDMDVVKMEASQLAEAIKKKKQPSGEDEVVRIVTTRSKSQLRATFQRYREDHGSDIAEDIDSHCIGQFGRMLKTAVWCLTSPEKHFAEVIRHSILGLGTYEDMLTRVIVSRAEIDMRHIREEYKIRYKTTVTRDVVGDTSFGYKGFLLALVGRED >ORUFI07G26070.1 pep chromosome:OR_W1943:7:24328430:24331104:1 gene:ORUFI07G26070 transcript:ORUFI07G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKIVDPSRRRDIPRAARAAAVADLALAAGHRAAARRRRRTPYWGGEGFGEMPPKRQRSAAGPSGEAPVNSLDDGCLMHIFSFLSPIPDRYNTALVCHRWRFLACHPRLWLRVERPIRNTIEPGVYPNLESAVSAARPGDTILIAAGGTHVARNIQIKKPLCIIGGGELPDDTVLTCSRGSDNALEFLSTCKIANLTIRAELGCCLLHRSGRLTIEECLLQCEQNPLDYLSFPIISTAIEYDSFSSLKEQGHGVTVVRTRIEGGAKAVRTNGTLALQHVRAIYSRSSVFFWFEVGER >ORUFI07G26080.1 pep chromosome:OR_W1943:7:24332745:24336488:1 gene:ORUFI07G26080 transcript:ORUFI07G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTYLDDAHSEVIDPPKNEEMLDVTELVDDHTQHSPKPNAMVSGNVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCLGIYPYYCKLKHESQCQYRPYTCPYAGSECTVAGDIQYLVSHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGSGRKMTWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDKKELKLRVTGRIWKEQ >ORUFI07G26080.2 pep chromosome:OR_W1943:7:24334189:24336488:1 gene:ORUFI07G26080 transcript:ORUFI07G26080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTYLDDAHSEVIDPPKNEEMLDVTELVDDHTQHSPKPNAMVSGNVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCLGIYPYYCKLKHESQCQYRPYTCPYAGSECTVAGDIQYLVSHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGSGRKMTWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDKKELKLRVTGRIWKEQ >ORUFI07G26090.1 pep chromosome:OR_W1943:7:24337466:24340050:-1 gene:ORUFI07G26090 transcript:ORUFI07G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGCSKVVSGGGSGSCGGGAKKPFHFARSLTYHHHQGHRVLPPAAKWRRHQLADEPRARPKDVVLYTTSLRGVRRTFADCSSVRAILRGFRVAVDERDVSMDAAFRRELRSLLDARGRAFSLPQLLVGGRLVGGADEVKQLHESGQLRRLLDGAAGQDPAYVCDGCGGVRFVPCTACGGGRKVFVEEEDRVQRCGDCNENGLEEWQAGSC >ORUFI07G26100.1 pep chromosome:OR_W1943:7:24344696:24349604:1 gene:ORUFI07G26100 transcript:ORUFI07G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVEERREAMGEEVEVESEAPTTFAELGVCPVLACDAMGWKAPTRIQAEVIPFALQGRDVIGVGQTGSGKTAAFALPIIQALLEHEHRPRFFACVLAPTRELAIQIAKQFEALGSAISLQCSVLIGGIPRTSQTISLAKRPHVVVWWRSSRACLPEHLRKGFDSWQLWKERNSRVGTPGRLLDHLTNTKGFSFNKLKYLVLDEADDLLKVDFQKAVDDILNVIPKERRTFLFSATMTEKVKKLRRACLKNPVKVEVASKYSLVDTLRQDLYVVPAKYKDCYLIHVLNKMPGSMIMVFVRTCESTRLLALMLRNLRFKAISISGQMSQDKRLGALNRFRTRDCNILVCTDVASRGLDIQGVDVVINYDFPLNSKDYIHRVGRTARAGQSGYAVSLVNQFEGEFFKLTEQFLGGEEIPARKVDADEIMILHERIYDSKRIALKTMNESGYHKRMRRRMEDDEEEVEEAPVSSRSRSLKKSRRR >ORUFI07G26100.2 pep chromosome:OR_W1943:7:24344696:24349604:1 gene:ORUFI07G26100 transcript:ORUFI07G26100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVEERREAMGEEVEVESEAPTTFAELGVCPVLACDAMGWKAPTRIQAEVIPFALQGRDVIGVGQTGSGKTAAFALPIIQALLEHEHRPRFFACVLAPTRELAIQIAKQFEALGSAISLQCSVLIGGIPRTSQTISLAKRPHVVVGTPGRLLDHLTNTKGFSFNKLKYLVLDEADDLLKVDFQKAVDDILNVIPKERRTFLFSATMTEKVKKLRRACLKNPVKVEVASKYSLVDTLRQDLYVVPAKYKDCYLIHVLNKMPGSMIMVFVRTCESTRLLALMLRNLRFKAISISGQMSQDKRLGALNRFRTRDCNILVCTDVASRGLDIQGVDVVINYDFPLNSKDYIHRVGRTARAGQSGYAVSLVNQFEGEFFKLTEQFLGGEEIPARKVDADEIMILHERIYDSKRIALKTMNESGYHKRMRRRMEDDEEEVEEAPVSSRSRSLKKSRRR >ORUFI07G26110.1 pep chromosome:OR_W1943:7:24351352:24364058:-1 gene:ORUFI07G26110 transcript:ORUFI07G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSNSGSRADSGGYNLNEKAEDEAAYESVGDRDVDLNSRQWNLNEKAEDAYHSDEEQYDGGRSGPNSSENKSVQNARKINGPSGPWGTNFLKDCGPTQTAKEEQLTSNRGMEYGSAASSHDDMDASGEDDELNRGHGEVPAEEMLSDDYYEQDGEEQSDSLLRGGMRRPSCSTSGAAAESVSSRQKKKPTKYNAYEDEDDDEYNDENDDEDDDDADEDDPDDVDFEPESDTEKAADKDKFVDSENSDEEEDDELELSDDDEDDFVENKRQCKRLKVGGTKTSKGRKLPVQVQRKRGVSFTDEDSSGKDSDAPSDTDISHRAKKPDKLHQKTVGRKDVFSNVDSHEVRTSGRRRTARNISYAESEESDDSEEKLAKQQKVLKEDPEEEEGETIERILWHQPKGVAEEALRNGQSTQPTVISFTSDVDQSWDDVEFYIKWKGQSFLHCQWKTLSELQNVSGFKKVLNYMKRVTDELRYKRSLSREEVEVHDVGKEMELDLIKQYSQVERIFADRVSKVDGDDLVPEYLVKWQGLPYAESTWEKDTDIEFAQDAIDEYKAREAATSILGKTVDFQRKKSKASLRRLDDQPEWLKGGKLRDYQLEGLNFLVNGWRNDTNVILADEMGLGKTIQSVSMLGFLHNAQEINGPFLVVVPLSTLSNWAKEFRKWLPDMNVVVYVGNRASREICQQHEFFTNKKGGRHVKFHTLITTYEVILKDKAALSKIKWNYLMVDEAHRLKNCEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPSKFNSKDIFVERYKNLSSFNETELANLHKELRPHILRRVIKDVEKSLPPKIERILRVDMSPLQKQYYKWILERNFQNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSIGDRNKVERIVMSSGKLVLLDKLLVRLRETNHRVLIFSQMVRMLDILAEYLSLRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQETVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKESKKGGSMFDKNELSAILRFGAEELFKEDKTDEETKKKLESMDIDEILERAEKVANFSSGEDDATFWSRLIQPDASDMVEETLAPRAARNKKSYVEDHQLDKNSNRKRRGIDAQEKPRRRSSRTMDTAVSLPLIDGSAHQVREWSFGNLSKKDATRFVRAVKKFGNPSQIGLIVDDVGGAIAKSSVDQQLELFTLLIEGCQDAVKNNMDAKGTVLDFFGVAVKAHELIARVEELQFLARRIARYKDPVRQYRIQAPYKKPQWSASCGWTETDDARLMVGIHWYGYGNWEKIRLDPKLSLTAKIAPATLGERETFLPRAPNLDNRASALLQKEFANLRGKSSKAKGGPRQAIDNESNGGARSLRGRQKDTKIKEDNNSIKDDFKKRKVVEPEAREEGEISESEAETKYRQDKEEKWLEWCSEVLDDEQEILKRLDRLQNTSVNLPKEKVLSRIRKYLQIIGNKIGEIVDQHSESYKQSRMAMRLWNYVANFSSMSGEQLHDLYLKLSQDQMEAGVGPSHGGNFASVPPNRGPKSNQLHPSRNQRSTRSVQYVSESFNNGENTGNSEAWKRRRRSEPDNQFDNQPLYQAPPIMTNGNRLQESSSSAGILGWAPVEMRRYGNERPKRGVHPSRFPPGHGPLL >ORUFI07G26110.2 pep chromosome:OR_W1943:7:24351352:24364058:-1 gene:ORUFI07G26110 transcript:ORUFI07G26110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSNSGSRADSGGYNLNEKAEDEAAYESVGDRDVDLNSRQWNLNEKAEDAYHSDEEQYDGGRSGPNSSENKSVQNARKINGPSGPWGTNFLKDCGPTQTAKEEQLTSNRGMEYGSAASSHDDMDASGEDDELNRGHGEVPAEEMLSDDYYEQDGEEQSDSLLRGGMRRPSCSTSGAAAESVSSRQKKKPTKYNAYEDEDDDEYNDENDDEDDDDADEDDPDDVDFEPESDTEKAADKDKFVDSENSDEEEDDELELSDDDEDDFVENKRQCKRLKVGGTKTSKGRKLPVQVQRKRGVSFTDEDSSGKDSDAPSDTDISHRAKKPDKLHQKTVGRKDVFSNVDSHEVRTSGRRRTARNISYAESEESDDSEEKLAKQQKVLKEDPEEEEGETIERILWHQPKGVAEEALRNGQSTQPTVISFTSDVDQSWDDVEFYIKWKGQSFLHCQWKTLSELQNVSGFKKVLNYMKRVTDELRYKRSLSREEVEVHDVGKEMELDLIKQYSQVERIFADRVSKVDGDDLVPEYLVKWQGLPYAESTWEKDTDIEFAQDAIDEYKAREAATSILGKTVDFQRKKSKASLRRLDDQPEWLKGGKLRDYQLEGLNFLVNGWRNDTNVILADEMGLGKTIQSVSMLGFLHNAQEINGPFLVVVPLSTLSNWAKEFRKWLPDMNVVVYVGNRASREICQQHEFFTNKKGGRHVKFHTLITTYEVILKDKAALSKIKWNYLMVDEAHRLKNCEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPSKFNSKDIFVERYKNLSSFNETELANLHKELRPHILRRVIKDVEKSLPPKIERILRVDMSPLQKQYYKWILERNFQNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSIGDRNKVERIVMSSGKLVLLDKLLVRLRETNHRVLIFSQMVRMLDILAEYLSLRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQETVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKESKKGGSMFDKNELSAILRFGAEELFKEDKTDEETKKKLESMDIDEILERAEKVETKGGEGEEGNELLSAFKACSVANFSSGEDDATFWSRLIQPDASDMVEETLAPRAARNKKSYVEDHQLDKNSNRKRRGIDAQEKPRRRSSRTMDTAVSLPLIDGSAHQVREWSFGNLSKKDATRFVRAVKKFGNPSQIGLIVDDVGGAIAKSSVDQQLELFTLLIEGCQDAVKNNMDAKGTVLDFFGVAVKAHELIARVEELQFLARRIARYKDPVRQYRIQAPYKKPQWSASCGWTETDDARLMVGIHWYGYGNWEKIRLDPKLSLTAKIAPATLGERETFLPRAPNLDNRASALLQKEFANLRGKSSKAKGGPRQAIDNESNGGARSLRGRQKDTKIKEDNNSIKDDFKKRKVVEPEAREEGEISESEAETKYRQDKEEKWLEWCSEVLDDEQEILKRLDRLQNTSVNLPKEKVLSRIRKYLQIIGNKIGEIVDQHSESYKQSRMAMRLWNYVANFSSMSGEQLHDLYLKLSQDQMEAGVGPSHGGNFASVPPNRGPKSNQLHPSRNQRSTRSVQYVSESFNNGENTGNSEAWKRRRRSEPDNQFDNQPLYQAPPIMTNGNRLQESSSSAGILGWAPVEMRRYGNERPKRGVHPSRFPPGHGPLL >ORUFI07G26120.1 pep chromosome:OR_W1943:7:24364078:24364977:-1 gene:ORUFI07G26120 transcript:ORUFI07G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITTPLPAAAAAAGGIASPSTGESHLLPTPEPASTPRPRARRRRRSHRGIVIAPLDGQSPPAPSLRGPNLRETEVGGASERRHPSSSPAAARGSDRLLRP >ORUFI07G26130.1 pep chromosome:OR_W1943:7:24366847:24370280:-1 gene:ORUFI07G26130 transcript:ORUFI07G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAAASLASLLPQLWHRPVQPPPFLHRALSSSSPLLRRHRAALHSPAAPLSAAAVSTSAATVEAPATAAYPVYGRLLPCPLQDDPPRIEHLVAREDEVAVDFISRSLTLPPLYVADLIKFGAVYYALVAPQPPPHAAPEHVRIFREVTEPSVLCRRKSIKGKTVREAQKTFRVTDPNQRLEAGTYLRVHVHPKRFPRCYEIDWKSRVIAVTDNYVVLDKPAATSVGGATDNIEESCVVFTSRALGLETPLMTTHQIDNCSEGCVVLSKTKEFCSVFHGMIREKQVNKRYLALTTAPVSTGIITHYMRPINRAPRLVSEDHIKGWHVCQMEILDCKKVPWPSSLIRKVHKVDNCGWPQQEAAYECKINLLTGKTHQIRAQLAAIGTPIVGDSAYMTAAMAAIVNPSINPFGRWGQNYDSEDEKAAAVEAWISCHGKEPKSVIGLQASEISWDYEGEHHSYKAGVPWWRQDAVESDLI >ORUFI07G26140.1 pep chromosome:OR_W1943:7:24371272:24372708:-1 gene:ORUFI07G26140 transcript:ORUFI07G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAAAAAVAVVTVPFPTQGHLNQLLHLSMLLASRGLAVHYAAPEPHLREARARVHGWDAAGALRLAAVRFRALDIPGGYASPPPDPSSPFPGHMMPLLEAFCDGARTPLAALLRELSACHRRVVVLHDRMAAFAAVEAARIPNGEALGVHCLAASYNVGWVYPAHRLLVEHGLVFHPPDACTTKEFVALAKRMGQERRRAAVAGMVVNTCRALEGEFLDVLAQIPSSDGDKLFAVGPLSPVLPDTRARGSPEESARPRHECLSWLDKQPPSSVLYISFGTTSTLRGEQIRELAAAVRGSGQRFIWALRDADRADMDTREAEAAVHGARLAEAAGGLREEIARGVGVVVTGWAPQLEILAHGATAAFMSHCGWNSVVESMSHGKPVLAWPMHSDQPWDAELVCKYLGAGVLVRPWEERHDVTPAAAIREAIERAMASGDGAALRARAAAIGEAVRAAVAEGGSLRQDMDDLVAYLTR >ORUFI07G26150.1 pep chromosome:OR_W1943:7:24375592:24380064:1 gene:ORUFI07G26150 transcript:ORUFI07G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALHEEMPFDLAFHPSSPLVATSLITGELYLFRYAAESQPERLFAAKSHKESCRAVRFVESGNGSADCSILASDVETGKPIARLEDAHENGINRLVCLTETTVASGDDEGCIKVWDTRERSCCNTFHCHEDYISDMTYVSDSNQILATSGDGTLSVSNLRRNKVKSQSEFSEDELLSVVVMKNGKKVVCGTPSGALLLYSWGFFKDCSDRFLGHAQSVDTMLKLDEETLISGASDGVIRLVGILPNRIIQPLAEHSEYPIEALAFSNDRNYLGSISHDKMLKLWDLQDLLNRQQLVQDDKLGEQDSDDSDDDGMDVDMDPNSSKGSRSTKTSKGQSSDRPTSDFFADL >ORUFI07G26160.1 pep chromosome:OR_W1943:7:24384733:24389147:1 gene:ORUFI07G26160 transcript:ORUFI07G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKEMREWCVALPKVELHAHLNGSVRDSTLLELAKQLGDKGDIVFEDVKDVIMKNGRSLPECFRLFELYHILTTDHDTVTRITKEVVEDFAMENVVYLEIRTTPKNNEAKGMTKRSYMNAVIKGLKTVEAVEVVLFDSNSRADKTPMSELGGDTRKKKIYVRLLLSIDRRETTLAALDTVNLAMEMKDQGVIGIDLSGNPVVGEWETYLPALEHAKELGIPITIHCGEVANRNEIRAVLDFCPQRLGHVCCLNDEEWKKLKSLMIPVEICLTSNVMTGGAPSLELHHFADLYNAKHPLSLCTDDSGLFSTSLSNEYYLVASTFGLSKAELFQLAQDAVEFVFAGDELKRSLRELFERVGKELTT >ORUFI07G26170.1 pep chromosome:OR_W1943:7:24389040:24395134:-1 gene:ORUFI07G26170 transcript:ORUFI07G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRRPAATAAAAAAAPADAQPRARPAAAVAAAEAADAELVLRAPNLRVVAAAMVAFLAPFSYLAFVHYPLDAALRRSILICGAMSLGGFVVVLRLVPVAARYLLRRGMWGKDINKRGLPMGEIRVPEALGIVVGIVYLVIAILFQHFNFTADSMWLVEYNAALASVCFMVLLGFIDDVLDVPWRVKLLLPTIAALPLLMAYAGGTSVSIPKPLTSYVGVAVLELGSLYKLFMLLLAVFCTNSINIHAGLNGLEVGQTVIISAAVLIHNVMRIGSSTDIEAQQAHAFSIYLVLPFLTTSLALLAFNWYPSSVFVGDTYTYFAGMALAVVGILGHFSETLLLFFLPEVLNFLCSVPQLFKFVPCPRHRLPRFDPHTGLLTGTKDGNLVNIFLRIFGRCTERTLCIRLLIFQALSCLFCFWLRYMITGWYK >ORUFI07G26190.1 pep chromosome:OR_W1943:7:24402406:24410169:1 gene:ORUFI07G26190 transcript:ORUFI07G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKANHPAASSSKVSSVSSSKPKPKPTPIRNPTPPPPPPRRRTPPPPPPGSGPGPQRPSYLSVALRRRGPPAGSAAGTPAPPRAAAAFDVAPPVAERTDPVVFPASLAEMSGGGGSSSSDDQILLFGSFTEAETKLWQPNANKTRELSEIQFGSLNFSALNLSKESNSITQGAVYPAKLSDGQNKIIITKDIACSNKKETVAPTLPNGKPVLFNGSPTANANVSPNNGVIENNQKAVAAVLTSVPAKNVSSPAPLSVPEVDHDGIERNQNSSLVPEITENGGPAIDTPTTAAPVDELVTSLNKKDFRNKPLLPHGLKNTGNICFLNSSLQALLSCWPFVQLLQNLRNQNIPKAGYPTLNAFIEFISQFDVLDDSNVKKDEKFATIASKSVIPSMFDTVLRNFTPDVPAGTASRPRQEDAQEFLSFAMDRMHDELVKLNGNGSNSKEGMIVSSNDDDAWETVGKKNKSAIMRSQSFVPSELTAIFGGQLQSCVKATGNKASVTVQPFRLIHLDIFPDAVQKLDDALRLFAAPESLEGYRTAAGKAGLVTARKSVKIHSLSKIMILHLKRFSYGSHGCTKLFKPIHFPLELVLSRDLLSSPSSESRRYELVATITHLGANPSRGHYTADAKSASGQWLRFDDDNVTPVSLNKVLHDQAYVLFYKQL >ORUFI07G26200.1 pep chromosome:OR_W1943:7:24409482:24409931:-1 gene:ORUFI07G26200 transcript:ORUFI07G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNPNAAPFIPAAFRRVEDFSPEWWELVKTTAWFRDHWFRQHQMYEDAAAEEDHHRQVFDDDLAALLPDDSLDLLDMVDTDDLFYTPDPPVHHKPTTTPTLDADVLRALSLNSPRLGGAGMVRHAEKPAQFVAAKGGAARHVIHQPR >ORUFI07G26210.1 pep chromosome:OR_W1943:7:24422097:24431747:-1 gene:ORUFI07G26210 transcript:ORUFI07G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVGRAVRKEFPGFGVFDGVVESYDAEAGYFRVMYEDGDSEEVELGEVVGLLVGGSPVGVEMAHPLPLTPGRRPKKRRRGDEEGGVGVGAVVAMEVDSVVLAVPAGGAEPASPVVVVEGSSRDEVDGDVVSEMAEKRRRVVSPGPESSGKPLRRSARQAKAAARGAEMEAAAAVAAAAEAEAAAAAMAEAEAEAAVTPPQSGSKRKRASGAGRYRSVAKDLEKAAVERLPPKPELPPSSQSLDLEGLPALDVFQVYSCLRSFSRQLFLSPFLLETFVAALRCIYVNPLIDWVHFSLLRAMKSHLEDLANEGDPPAMHCIRNLNWELLDLATWPIYLAEYLLTRGSELRYGMKLTDLKLLNTEYYTQPAMVKLELLRALCDDVLEIEAIRSEVVSRMSELDGNDELCKSTRTRRKRRASAVKNLLNSSRAPEDSSDTEDGNSDECYLCGMDGNLLCCDGCPAAFHSKCVGVVEDLLPEGNWFCPECLIQKNDGFKNMVKPGRGAEVLGMDPHDRLYFGTCGYILVVESTAEDSLDSTCHYYGIFDHHSLFNVLRTCHPSYSSITNMISLFWGTAIDSFDSNGRCENNKEFSIFDAKIDCSHLLPSKQHTEHEQLKSDKNGSCEQLACGKAHASDPDRLDHDTSHHKFSLRSAVISENGNATSAKTQQDVCSYANGLPAENKIDQSPHKKISDCYIHSNPAMYVNYYSFGQIAASAAEELKDKLSENKEGKKVGQDAASFQLKTICKKYANIFALTDQKLSVELRKEKCGWCNSCQISGGVDCIFRVTDGKCMEGLQSEKNMNSHIILAIHIILSIEERLNGLLIGPWKNPQFSSYWRKAVLKASDVSSLKQPLLMLESSVRRVAFSVEWQKPADSVEVVGSAAHVLVRTSNKSSRHGSTRKPGRKPFIVELKVDSRDVGVYWRRGGRLSRQVFHWKRLPKSLTYKAVRQAGRIKIPTILYSDGSQFARRSKYIAWQAAVEMAENVAQFILQIKELEFNIRWTEILSTLPASLATKETQKIARLFKKVIVRRKRVDGTNVEYLLDFGKRENIPPVIAKHGKKLDEPSNERNRYWLSEGHLPLSLLKAYEAKALTRLLKKKDIDHLPKKMIDLKPPKPKKSGFDDLLEKAKKQVLGLCGHCDKEVKISDAVNCQYCEALFHKKHFKVPRGATDAYYVCNKCLSEKVLNVKSPQKKVVSKKNSLKKKTKKQSLKIVTRSKQIVAKSKKKMGKNKGKRGRPRKYPLNESKNKLPELRVKEPANVPKNEPAKRISKRLYSKYMKGNSNISERSAKRRRTASHYSYWLDGLRWTQNPNDDRAISFRTERVVFPCEDADLSEVFPVCRLCQKCYSGESIYIACEDCGDWFHGDIYSITLENVNNLIGFKCHRCRLKDVPVCPYVQTDNILMAQSDKDDVTSRSIEDKEDRSPTDLVAHDSLEGSHGHIIEKEVNDHSFEKEVGDHICLQAQEDHNEKELDSHSTEKELGDHNKTEEFDGNMKVLFNLNSTKELDSTSTEKELGDHNKIEEFDVNMEMFFNLNNTKELDSTGESICAGGEAHCLHELNNHEILKECHSLDNNLGELDNQDCQKECHNQNSLKELENHRSSQELDSHKSPEELDNIISPKELDCTENNEHSAAVTQSDGFLDDPFNIRISDKGLIIASENGKIKESIPLQTKNKPEENPVPADHDIDLQVVVNSGSQGYINDQVLVVLLWFAMSYAGVAIFVRIVECGWS >ORUFI07G26220.1 pep chromosome:OR_W1943:7:24435133:24440554:-1 gene:ORUFI07G26220 transcript:ORUFI07G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKVLEADIQHANSLAAEFRRKYDGACLQMRMSYCPAAHFFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSAHERKASIREFYAVIFPSLMQLHEGINEVEDKKQKAICIERYRRRDEDQKMVISEIDDNIEEECGICMEINGKVVLPTCSHAMCIKCYRDWDIVDMATVRKENLRRLFMYIDKLPTVIPETVFDVYDSHKLWSPWCSCCPACKSCKQLSSPRRLAIQSNLSHVCHLLQWVTGLSFRTLQRAPGMVQLQSENACRSA >ORUFI07G26220.2 pep chromosome:OR_W1943:7:24435133:24440554:-1 gene:ORUFI07G26220 transcript:ORUFI07G26220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKVLEADIQHANSLAAEFRRKYDGACLQMRMSYCPAAHFFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSAHERKASIREFYAVIFPSLMQLHEGINEVEDKKQKAICIERYRRRDEDQKMVISEIDDNIEEECGICMEINGKVVLPTCSHAMCIKCYRDWYTSSLFSLWHNRDIVDMATVRKENLRRLFMYIDKLPTVIPETVFDVYDSHKLWSPWCSCCPACKSCKQLSSPRRLAIQSNLSHVCHLLQWVTGLSFRTLQRAPGMVQLQSENACRSA >ORUFI07G26220.3 pep chromosome:OR_W1943:7:24435133:24440554:-1 gene:ORUFI07G26220 transcript:ORUFI07G26220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKVLEADIQHANSLAAEFRRKYDGACLQMRMSYCPAAHFFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSAHERKASIREFYAVIFPSLMQLHEGINEVEDKKQKAICIERYRRRDEDQKMVISEIDDNIEEECGICMEINGKVVLPTCSHAMCIKCYRDWDIVDMATVRKENLRRLFMYIDKLPTVIPETVFDVYDSHWVTGLSFRTLQRAPGMVQLQSENACRSA >ORUFI07G26220.4 pep chromosome:OR_W1943:7:24435133:24440554:-1 gene:ORUFI07G26220 transcript:ORUFI07G26220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKVLEADIQHANSLAAEFRRKYDGACLQMRMSYCPAAHFFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSAHERKASIREFYAVIFPSLMQLHEGINEVEDKKQKAICIERYRRRDEDQKMVISEIDDNIEEECGICMEINGKVVLPTCSHAMCIKCYRDWYTSSLFSLWHNRDIVDMATVRKENLRRLFMYIDKLPTVIPETVFDVYDSHWVTGLSFRTLQRAPGMVQLQSENACRSA >ORUFI07G26230.1 pep chromosome:OR_W1943:7:24442691:24444050:-1 gene:ORUFI07G26230 transcript:ORUFI07G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGLEEALRPFHDRASDAETRLAKLEALLLNKDGLTSGSETNSSAVKDLQSKLDAVNTECLAEKEKNKKLIIENEKLQYRITHLIRALKEADSR >ORUFI07G26240.1 pep chromosome:OR_W1943:7:24445672:24452100:1 gene:ORUFI07G26240 transcript:ORUFI07G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYALFHAHGVDEIGQGVDAARASVLELQRFSKAVKLAGFSPFSSAVDALNQCNAISEGIMTDELRSFLELNLPKVKEGKKAKYSVGVMEPKVGSHISEATGIPCQCNEFVQELLRGVRLHFDHFIDQLKVVDFTFALVSTPTDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELVKIVNDNYVYSKIAKFVVNKSDLAEKDIPALADIIGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEIVGARLISHAGSLSNLAKCAASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTRNKGRIARYLANKCSIASRIDCYSELNTSVFGQKLREQVEERLDFYDKGIAPRKNLDVMKAAINDMTNAVSEDGGEKEKNDTSAKKNKKKKSKAEADGEEMDLDKPAKVAAEEADPENENKKKKKHKLEEPQDQEMAVNGNLEQDETPKKKKKKKPEASEDVEPRTATEGKKRKKKKSKTENDDV >ORUFI07G26250.1 pep chromosome:OR_W1943:7:24449841:24452251:-1 gene:ORUFI07G26250 transcript:ORUFI07G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLAVPAIYSLTSQFPSATTHARAPSRCACACVPGRQVAEQPLASMKAEQAAAAAGETPRFRWDTFGSAPSEPQWEAIRGLSPKLPNRCRALMARLVCLPPPDQDGDEDEETLGALLVFWVKAMKPKRTDWLLVLKELTAMESPLLAEVLEHALLEDSFEANVRDYTKLIHIYGKQKLLQKAEDAFHAMKSRGLPCDQVMLTALMDMYSKAGDLTRAKEIFNEIGLLGLPMDKRVYGSMIMAYIRADMLDKAEDMISEMGDQQIVAGKEVYKALLRAYSYKGDSEGAQRVFDAIQFAGIVPDTKLCALLVNAYCLADRINEAMIVTRNMRSARITPCNKCIALILGAYEKVNRLEGALAFLTELEENGVIIGQEPSQLLAGWLRRLGVVQEVEQVLKDLAVDRKNTLEVDRKKNLASDRKIKRRRSKSKRSFASSLQRK >ORUFI07G26260.1 pep chromosome:OR_W1943:7:24453530:24454429:1 gene:ORUFI07G26260 transcript:ORUFI07G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSAHVMDLRTCGGWGFHLITLAKLLSRASASSRWPSSYPPAHSRPHRAAQKPMCLGVPELRLSSAEANAQLHRIVFKVNSIYSTLRSHRPRCMRSFPQRLPPPSLLFAASLLPTHRQVPSSGFYLPPPPNNHHPSPCWSPATTHLSRDSATGILFACMHGHSCSQWCSRVCSGVATYSISQLLEILSPIPTVSLTCDHDADVAQMRGELTCNDIVV >ORUFI07G26270.1 pep chromosome:OR_W1943:7:24455240:24458752:1 gene:ORUFI07G26270 transcript:ORUFI07G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYVGTRLGLVAAMADTFPELSVTASDCIEMMWIQSMLYFAFYGMGKPLEMLLDRGTSKPDKYLKAKPDSDGAGLLILDPYGGEMVSVAPAVTPFPHRQALYNIQCYGFWSKSGAAAAEKHMGWMRGLYDEMEPYVSKNPRGGTAAIAAVTGSLAVCRSWRGGIWLQGQSWHSPMGGEKGVGRRGKARRRRPPADVVPNDRERSRHGLAHVRGVARVPTTTAMSSSSARVRAGASASSRWPSSYPGLPPRHDGQALIPQAHSRPHRAAQKPMCSGVPELRLSSAEANARLRRIVYEVNSIYSTLRSQRPRCMRPFPKRLPPPSFLFAASLLPTHRRVSSSGFYLPPPPNNHHPSPCWSPATTHLSRD >ORUFI07G26280.1 pep chromosome:OR_W1943:7:24458360:24458650:-1 gene:ORUFI07G26280 transcript:ORUFI07G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKAGSEQEAWRWQAFGKRAHASRTLRPQRGVDGVDLVDDAAEPRVRLCGGEAKLGDTRTHRLLSRSMGATMSLGDKSLAIVTRRKPGIGAWPA >ORUFI07G26290.1 pep chromosome:OR_W1943:7:24459041:24459527:1 gene:ORUFI07G26290 transcript:ORUFI07G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMHGELTCNDVVDGAGLLILDPYGGEMVRVAPAVTPFPYRQALYNIQYYGFWSKSGAAAAAEKHMGWMRGLYGEME >ORUFI07G26300.1 pep chromosome:OR_W1943:7:24463901:24466819:1 gene:ORUFI07G26300 transcript:ORUFI07G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFTDLHTADGLKALEQHLSGKTYVSGNAISKDDIKVFAAVPSKPGAEFPNAARWYDTVTAALASRFPGKAVGVNLPGGGAASSAAAAAPAAKDADEDDDDLDLFGDETEEDKKAADERAASKASSKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVQMEGLTWGASKLVPVGYGIKKLQIMLTIVDDLVSVDSLIEEHLTEEPINEFVQSCDIVAFNKI >ORUFI07G26310.1 pep chromosome:OR_W1943:7:24467489:24470483:1 gene:ORUFI07G26310 transcript:ORUFI07G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSESDYYSEEEEELGWEEDGLGSEGEEEDAEAARERALKRLDGLGKRGVCYLSRVPPNMNPSHVRQMLSKYGEVQRIYLVPEGQGHRKHTNVRAKAYTEGWIEFSKKSVAKRVANLLNGEQIGGKKRSPFYYDIWNIKYLKKFKWDDLVGEIAEKTHIREQKLNLEIAAAKKQRDHYLSNVEKSRTLKHIQERRKKKQKTEGAEFNEVREEKIARPIPQKKPVEETDAKTKPKLPKDILAGVFGGP >ORUFI07G26320.1 pep chromosome:OR_W1943:7:24471291:24473184:1 gene:ORUFI07G26320 transcript:ORUFI07G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRLNQHSFLFLLREASASAAARRRLPPLHALLVKLGLQPYARVHNALIQAYAASGLVDDARRVFDGMSHRDTVSFNSMIHAHAMSGDVVSARRLFERVPSPTPVTWTSMVAGLCRAGDVAAARRLFEEMPVRDLVSWNAMMSGLAGNRRPVEALCLFRRMMAEGFAPNRGTVLSALAACAGAGALETGKWIHAFVERKRLFRWWDEFLGTALLDMYAKCGAVELALDVFTKLRSRNTCTWNAMINGLAMNGYSAKALDMFRKMELDRTVVPDEVTFVGVLLACSHGGFVDVGREHFHMIEKKYGIRLILEHYACMVDLLARSGHLQEAHKIIAGMPMKPDAVIWRALLGGCRLHKDVKMAETAISEMEATCSGDHVLLSNLYAAVGRWSGVEDVRRTMRSKGTEKIPGCSSIEINDSIHEFVSGDKSHPSYNDIHAKLAEISARMQQQGYVTETAEVFYDVEEEEKEQALGHHSEKLAIAFGLIGGPPNVAIRIVKNLRFCADCHKFAKSIIGRLWFGIEPDSIILQREPALAMIFGSREIWNSGIKLL >ORUFI07G26330.1 pep chromosome:OR_W1943:7:24473427:24477401:-1 gene:ORUFI07G26330 transcript:ORUFI07G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGLGGAGSAASPHLAAASDRAGLNGYAHSRDIWSKNSLMGECCPVFTGFWGAMLLWKVVASGNLQWSSLLEANFAAAPQSIPIIALSFVYQNVVPVLCTNLEGDLSKVRKAIVVGTAIPLALFLIWDAVILGTLPGLAGDGTIIDPIEQLRSSNGTVGPIVEAFSFLAIGTSYIGFVLGLSDFIADLLKLPSGQNKPLPYLVTLLPPLVLSLLDPEIFFKALDFAGTYGGKDPFKLSLIMNRCREETSAMSWSERYSDDLEAPVPPIVPGGKFTLSFVMGGALLVIFSEIFKDIMQLQGLH >ORUFI07G26330.2 pep chromosome:OR_W1943:7:24473427:24477401:-1 gene:ORUFI07G26330 transcript:ORUFI07G26330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGLGGAGSAASPHLAAASDRAGLNGYAHSRDIWSKNSLMGECCPVFTGFWGAMLLWKVVASGNLQWSSLLEANFAAAPQSIPIIALSFVYQNVVPVLCTNLEGDLSKVRKAIVVGTAIPLALFLIWDAVILGTLPGLAGDGTIIDPIEQLRSSNGTVGPIVEAFSFLAIGTSYIGFVLGLSDFIADSAMSWSERYSDDLEAPVPPIVPGGKFTLSFVMGGALLVIFSEIFKDIMQLQGLH >ORUFI07G26340.1 pep chromosome:OR_W1943:7:24478948:24486520:1 gene:ORUFI07G26340 transcript:ORUFI07G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSGKKSLNTVTLVFKLPYYTQWGQSLLIAGSEPALGSWNVKQGLSLSPVHQGNELIWSGRVSVATGFTCQYNYYVVDDNKNVLRSESGEKRKLVLPEGVQDGDVVEIRDWWQDASEALFLRSAFKNVIFNGSENAKRELKTTSLNKSLEPEDIVVQFIVSCPRLGAGSTVVVTGSNPQLGRWQTQDGLKLNYVGDSIWKANCLLRNEAGVSSLEFGPNREADVDLSSPKPSRYVLLSDGALRESPWRGAGVAVPIFSIRSNEDLGVGEFLDLKLLVDWAVNSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDAIPGDIKDEISQAKKQLDKKDVDYEASLASKLSIARKIFKLEKDKVLNSSSFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKEKLDKLVSEGTLHHDVICFHYYIQYHLYMQLSEAAAYARKKKVILKGDLPIGVDRNSVDTWVYPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMAKYFTAYRIDHILGFFRIWELPDHAATGLVGKFRPSIALSQEELLSEGLWDFDRMSRPYILQETLEEKFGSFWTVIAANFLNEYKKQHYEFKEDCNTEKKIIAKLKNSSEKSLWLEKEDSIRRGLFDLLQNIVLIRDPEDSTKFYPRFNQEDTSSFNDLDEHSKNILRRLYYDYYFARQENLWRQNALKTLPVLLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPNLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDGGRRSRFYQTVIGSDDEPPSRCTPEVANFIVKQHFDAPSMWAIFPLQDLLALKDKYTTRPAKEETINDPTNPKHYWRFRLHVTLDSLLDDKDIQATIKELVTSSGRSFPGKVDGAEESGEKLAKVQLNGKP >ORUFI07G26340.2 pep chromosome:OR_W1943:7:24478914:24486520:1 gene:ORUFI07G26340 transcript:ORUFI07G26340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSGKKSLNTVTLVFKLPYYTQWGQSLLIAGSEPALGSWNVKQGLSLSPVHQGNELIWSGRVSVATGFTCQYNYYVVDDNKNVLRSESGEKRKLVLPEGVQDGDVVEIRDWWQDASEALFLRSAFKNVIFNGSENAKRELKTTSLNKSLEPEDIVVQFIVSCPRLGAGSTVVVTGSNPQLGRWQTQDGLKLNYVGDSIWKANCLLRNEAGVSSLEFGPNREADVDLSSPKPSRYVLLSDGALRESPWRGAGVAVPIFSIRSNEDLGVGEFLDLKLLVDWAVNSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDAIPGDIKDEISQAKKQLDKKDVDYEASLASKLSIARKIFKLEKDKVLNSSSFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKEKLDKLVSEGTLHHDVICFHYYIQYHLYMQLSEAAAYARKKKVILKGDLPIGVDRNSVDTWVYPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMAKYFTAYRIDHILGFFRIWELPDHAATGLVGKFRPSIALSQEELLSEGLWDFDRMSRPYILQETLEEKFGSFWTVIAANFLNEYKKQHYEFKEDCNTEKKIIAKLKNSSEKSLWLEKEDSIRRGLFDLLQNIVLIRDPEDSTKFYPRFNQEDTSSFNDLDEHSKNILRRLYYDYYFARQENLWRQNALKTLPVLLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPNLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDGGRRSRFYQTVIGSDDEPPSRCTPEVANFIVKQHFDAPSMWAIFPLQDLLALKDKYTTRPAKEETINDPTNPKHYWRFRLHVTLDSLLDDKDIQATIKELVTSSGRSFPGKVDGAEESGEKLAKVQLNGKP >ORUFI07G26350.1 pep chromosome:OR_W1943:7:24488282:24488728:-1 gene:ORUFI07G26350 transcript:ORUFI07G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVHPPPPPPQFALPPPPRAGAVVVLGDDDSDSESVAESCPYTCRPAPTAGGDVEMDDDDDDDDGCSSCVEGDGCHDGDEVGGEEAIVAEGGVWWAQLAAAAAAARGGERELRRRREEEEEEDPKRAAARQEEDRKFWEDCLASGYP >ORUFI07G26360.1 pep chromosome:OR_W1943:7:24493975:24494205:1 gene:ORUFI07G26360 transcript:ORUFI07G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRLAPSPAVARAGQQGRRRRHPRRREREREREAERRAPASPTTLRGARMRDRGGSAIDPSSSLACCWPALAG >ORUFI07G26380.1 pep chromosome:OR_W1943:7:24497505:24501692:-1 gene:ORUFI07G26380 transcript:ORUFI07G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEDGGERVRLFVGQVPRSMAEEDILAVVRAAARADDATVIRDRATGASRGCCFVVCSSREEADKAIAAYHNKCTLPGASRAMQVKYADGELERLAAEQKLFIGMLPRDVKENEVSALFSQYGNIRQLKVLRSPQKTRKACAILEFGSKEHARAAIEALNGTRVVFNGSSATLVVKLADTEREKQARKAQKAQAQPSKPLRFYLFPQLLSISGAPQMSFLPPYNVLDYKTEGTTDPELKDLMKMTNDKLEMLVTELKSVVNLLENRVTYNDPIQPIQHSLLPVEHDEKQYKPNECDSKTLEVPGHYGHTKNPLALYSTMYPHVNQGNLLQGLNTNIFPGTDPKISNLIQSAGYIQPPYPDLSGLHYPVSYAGALVGDTPQYFSDGKVNIPNSHSNHASSAANTKIGSKIEGPPRANLFVYDIPQEYGDEDLANLFQEFGRILSTKVFIDRATGVSFVSYDTPASAQAAIRRMNGSQIGGKMLKVQLKRET >ORUFI07G26380.2 pep chromosome:OR_W1943:7:24497505:24501692:-1 gene:ORUFI07G26380 transcript:ORUFI07G26380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEDGGERVRLFVGQVPRSMAEEDILAVVRAAARADDATVIRDRATGASRGCCFVVCSSREEADKAIAAYHNKCTLPGASRAMQVKYADGELERLAAEQKLFIGMLPRDVKENEVSALFSQYGNIRQLKVLRSPQKTRKACAILEFGSKEHARAAIEALNGTRVVFNGSSATLVVKLADTEREKQARKAQKAQAQPSKPLRFYLFPQLLSISGAPQMSFLPPYNVLDYKVPGHYGHTKNPLALYSTMYPHVNQGNLLQGLNTNIFPGTDPKISNLIQSAGYIQPPYPDLSGLHYPVSYAGALVGDTPQYFSDGKVNIPNSHSNHASSAANTKIGSKIEGPPRANLFVYDIPQEYGDEDLANLFQEFGRILSTKVFIDRATGVSFVSYDTPASAQAAIRRMNGSQIGGKMLKVQLKRET >ORUFI07G26390.1 pep chromosome:OR_W1943:7:24506928:24520415:1 gene:ORUFI07G26390 transcript:ORUFI07G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDAAPTMHRILSRGRRTPAASSSSVTAFATASDSQRLAGKVAVITGGASGIGRATAEEFVRNGAKVILADVQDDLGHAVAAELGADAASYARCDVTDEAQVAAAVDLAVARHGRLDVVFNNAGIPGDLTPTPVGALDLADFDRVMAVNTRAVVAGVKHAARVMVPRRRGSIICTASTAGVIGGVAVPHYSVSKAAVLGLVRAVAGEMARSGVRVNAISPNYIWTPMAAVAFARWYPSRSADDHRRIVENDINEMDGVTLEAEDVARAAVFLASDEAKYVNGHNLVVDGGYTVGKVPNMPLINRMFRAAQLLLRETNRALGAATSPAGFVSGFSTASNSAQRLAGKVAVITGGASGIGKATAKEFIENGAKVIMADVQDDLGHSTAAELGPDASYTRCDVTDEAQVAAAVDLAVKRHGHLDILYNNAGVMGAMPQDDMASVDLANFDRMMAINARAALVGIKHAARVMSPRRSGVILCTASDTGVMPMPNIALYAVSKATTIAIVRAAAEPLSRHGLRVNAISPHGTRTPMAMHVLSQMYPGVSKDDLEKMADAAMDAGEVMEPKYVARAALYLASDEAKYVNGHNLVVDGGFTSHKGSDTRFSMDSNSIQRLAGKVAIITGGASGIGKVTAKEFIKNGAKVIIADVQDELGHSAAAKLGPDASYTHCDVTDEAQVEAAVDLAVRLHGHLDILYNNAGIIGAMPQDDMASVDLANFDRMMAINARAALVGIKHAARVMAPRRSGVILCTASDAGVMPIPNIAMYSVSKATTIAIVRAAAEPLSRHGLRVNAISPTGTRTPMMMHIISQMTPGVGEDDLERMADAAISAGVAIEPEYVARAAVYLASDEAKYVNGHNLVVDGGFTTHKGDDNRMN >ORUFI07G26390.2 pep chromosome:OR_W1943:7:24520391:24536414:1 gene:ORUFI07G26390 transcript:ORUFI07G26390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDVQINSATPPQTKRVVGPRMTTMTSTSPESLIAGGFSTAASSHQRLAGKVAVITGAASGIGKATAAEFIRNGAKVIITDVNDDLGHAAAAELGPDATYARCDVADEAQVAAAVDLAVARHGRLDVMHNNAAIPGRFPQDDMASVDLADFDAMMAVNARASLAGIKHAARVMAPRRAGVILCTASAVGVLPLPAVATHSITKATIIAIVRAAAEPLARHGLRVNAISPGAVRTPVLQGKVSVMSASSPTMSDELKQMIDVDANDMMMGPEEVAMAAVYLASDEARYVTGHNLVVDGGYTVHKGADTPAARKLAGELAPVVVTEVLTKAREAVLSLTGENRVSGGKGKGEREGGEEDEGEGRADGERVKPAAVDSNSGGLDRMFRSAALLRETKRVFGTTSTWTAGLVVNGFSTASSSHQRLAGKVAVITGGASGIGKATATEFIKNGAKVIIADIQDDLGHSVAAELGPDAAYTRCDVADEAQVAAAVGLAVKRHGRLDVFHNNAGIAGALPQDDMAAVDLGDFDRVMAVNARSTLAAVKHAARAMAPRCSGCVLCTSSGAGVIPVPAVPVYSVSKATVIAIVRAAAEPMARHGLRVNAISPGATRTPLLLRQIPLLSEMSPSLSDGLKTTVEKEVGEGGAVVLLAPEDIARAAVYLASDEARYVNGHNLVVDAGYTVHKGA >ORUFI07G26400.1 pep chromosome:OR_W1943:7:24532934:24533477:-1 gene:ORUFI07G26400 transcript:ORUFI07G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGTAAAATVGTRCATSSFRRFTVPEIVTPLSCYCPVVGSPKARVVEASVSCVVAYDCKLPPTPGIASRHRHWVVRHVHIRLPDADVLRVIGDANRIEGADDGHRINVLAFLWHAHWFMSLILCAATSRSSSSLLLLLLLPPVLSPPFSLAPRRQQGVAPRIWR >ORUFI07G26410.1 pep chromosome:OR_W1943:7:24552647:24573315:1 gene:ORUFI07G26410 transcript:ORUFI07G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSALHLVQRGKSRAGLTMLTGFVNRFSSVSRPERLAGKVAVITGGASGIGEATAKEFIRNGAKVIIADVQDDLGHAVAAELGPDAAYTRCDVTDEAQIAAAVDLAVACHGRLDVLHNNAGVTCSYVGPLASLDLADFDRVMAVNARAVLAGIKHAARVMAPRRAGSILCTASVAGVIGSDVPHAYSVSKAAAIGVVRSAAGELARHGVRLNAISPHGIATPLAMRGFGDVLAWADAERLKRVIEEDMNELEGAKLEAEDIARAAVYLASDEAKYITGHNLVVDGGLAGKVAVITGGASGIGEATAKEFIRNGAKVIIADVQDDLGHTVAAELGPGSAYTRCDVTDEAQIAATVDLAVARHGHLDILYNNAGITSSSVGHLASLDLADFDRVMAVNARAVLAGIKHAARVMAPRRTGSILCTASVAGMMGGEMPHAYNVSKAAVIGVVRSAAGELARHGVRLNAISPLGIATPLAMRGFGDMLAWADAERVRRLIEEDMNELEGATLEAEDIARAAVYLASDEAKYVTGHNLVVDGGFTVGKRLNSVYSEEPGSEPNFTMMSVAANKILRGRSRGVRPMFSSGLADRLFSSSASSSKRLEGKVAVITGAVGGIGEATAKEFVRNGAKVILADIQDDLGRAMAAELGADAASYTHCDVTVEADVAAAVDLAVARHGRLDVVYSNAGIAGAAAPPTLSALDLDDYDRVMAVNARSMVACLKHAARVMSPRRAGCILCTASSTALIGDLAAPAYCISKAAVVGMVRTVARQLARDGVRVNAISPHIIPTALVTRVISETFPAATAEEEVRRMVTREMQELEGASLEVEDVARAAVFLASDEAKFITGHNLVVDGGFTVGKTYMGKSIAAHVFFSSSSRSRKLDGKVAVITGAASGIGEATAKEFVRNGAKVIIADIKDDLGRAVAGELGADAASYTHCDVTVEKDVASAVDLAVARHGRLDVVYSNAAIAGGAPPATLAALDLDEYDRVMAVNARSMLACVKHAARVMAPRRAGCILCTASTAAVLGGMAAPAYSMSKAAVVGMVRTVARQLARDGVRVNAISPHAVPTPMAIGLFSETFPAATAEEVRRMVTREMQELEGASLEVEDVARAAVFLASDEAKFITGHNLVVDGGGKSIAAQVFSNGLADRLFSSSSSSRKLDGKVAVITGAASGIGEATAKEFVRNGAKVIIADIQDDLGRAVAAELGADAASYTHCDVTVEKDVAAAVDLAVARHGRLDVVYSNAGVIGAPAPASLAALDLDEYDRVMAVNARSMLACVKHAARVMAPRRAGCILCTASSAAVLGGVASPVYSMSKAAIVGMVRAVARQLARDGVRVNAISPHAIPTPMALGIIAETFPAATAEEVRRMVTREMQELEGASLEVEDVARAAVFLASDEAKFVTGHNLVVDGGFTVGKDLLRNPPSST >ORUFI07G26410.2 pep chromosome:OR_W1943:7:24546272:24560685:1 gene:ORUFI07G26410 transcript:ORUFI07G26410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVRGKSRAGLTMLTGFVNRFSSVSRPERLAGKVAVITGGASGIGEATAKEFIRNGAKVIIADVQDDLGHAVAAELGPDAAYTRCDVTDEAQIAAAVDLAVACHGRLDVLHNNAGVTCSYVGPLASLDLADFDRVMAVNARAVLAGIKHAARVMAPRRAGSILCTASVAGVIGSDVPHAYSVSKAAAIGVVRSAAGELARHGVRLNAISPHGIATPLAMRGFGDVLAWADAERLKRVIEEDMNELEGAKLEAEDIARAAVYLASDEAKYITGHNLVVDGGLAGKVAVITGGASGIGEATAKEFIRNGAKVIIADVQDDLGHTVAAELGPGSAYTRCDVTDEAQIAATVDLAVARHGHLDILYNNAGITSSSVGHLASLDLADFDRVMAVNARAVLAGIKHAARVMAPRRTGSILCTASVAGMMGGEMPHAYNVSKAAVIGVVRSAAGELARHGVRLNAISPLGIATPLAMRGFGDMLAWADAERVRRLIEEDMNELEGATLEAEDIARAAVYLASDEAKYVTGHNLVVDGGFTVGKRLNSVYSEEPGSEPNFTMMSVAANKILRGRSRGVRPMFSSGLADRLFSSSASSSKRLEGKVAVITGAVGGIGEATAKEFVRNGAKVILADIQDDLGRAMAAELGADAASYTHCDVTVEADVAAAVDLAVARHGRLDVVYSNAGIAGAAAPPTLSALDLDDYDRVMAVNARSMVACLKHAARVMSPRRAGCILCTASSTALIGDLAAPAYCISKAAVVGMVRTVARQLARDGVRVNAISPHIIPTALVTRVISETFPAATAEEVRRMVTRDMQELEGASLEVEDVARAAVFLASDEAKFVTGHNLVVDGGFTVGKDLLRNPPSFA >ORUFI07G26410.3 pep chromosome:OR_W1943:7:24552647:24560685:1 gene:ORUFI07G26410 transcript:ORUFI07G26410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSALHLVQRGKSRAGLTMLTGFVNRFSSVSRPERLAGKVAVITGGASGIGEATAKEFIRNGAKVIIADVQDDLGHAVAAELGPDAAYTRCDVTDEAQIAAAVDLAVACHGRLDVLHNNAGVTCSYVGPLASLDLADFDRVMAVNARAVLAGIKHAARVMAPRRAGSILCTASVAGVIGSDVPHAYSVSKAAAIGVVRSAAGELARHGVRLNAISPHGIATPLAMRGFGDVLAWADAERLKRVIEEDMNELEGAKLEAEDIARAAVYLASDEAKYITGHNLVVDGGLAGKVAVITGGASGIGEATAKEFIRNGAKVIIADVQDDLGHTVAAELGPGSAYTRCDVTDEAQIAATVDLAVARHGHLDILYNNAGITSSSVGHLASLDLADFDRVMAVNARAVLAGIKHAARVMAPRRTGSILCTASVAGMMGGEMPHAYNVSKAAVIGVVRSAAGELARHGVRLNAISPLGIATPLAMRGFGDMLAWADAERVRRLIEEDMNELEGATLEAEDIARAAVYLASDEAKYVTGHNLVVDGGFTVGKRLNSVYSEEPGSEPNFTMMSVAANKILRGRSRGVRPMFSSGLADRLFSSSASSSKRLEGKVAVITGAVGGIGEATAKEFVRNGAKVILADIQDDLGRAMAAELGADAASYTHCDVTVEADVAAAVDLAVARHGRLDVVYSNAGIAGAAAPPTLSALDLDDYDRVMAVNARSMVACLKHAARVMSPRRAGCILCTASSTALIGDLAAPAYCISKAAVVGMVRTVARQLARDGVRVNAISPHIIPTALVTRVISETFPAATAEEVRRMVTRDMQELEGASLEVEDVARAAVFLASDEAKFVTGHNLVVDGGFTVGKDLLRNPPSFA >ORUFI07G26410.4 pep chromosome:OR_W1943:7:24565125:24573315:1 gene:ORUFI07G26410 transcript:ORUFI07G26410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGNPPSVGKSIAAHVFFSSSSRSRKLDGKVAVITGAASGIGEATAKEFVRNGAKVIIADIKDDLGRAVAGELGADAASYTHCDVTVEKDVASAVDLAVARHGRLDVVYSNAAIAGGAPPATLAALDLDEYDRVMAVNARSMLACVKHAARVMAPRRAGCILCTASTAAVLGGMAAPAYSMSKAAVVGMVRTVARQLARDGVRVNAISPHAVPTPMAIGLFSETFPAATAEEVRRMVTREMQELEGASLEVEDVARAAVFLASDEAKFITGHNLVVDGGGKSIAAQVFSNGLADRLFSSSSSSRKLDGKVAVITGAASGIGEATAKEFVRNGAKVIIADIQDDLGRAVAAELGADAASYTHCDVTVEKDVAAAVDLAVARHGRLDVVYSNAGVIGAPAPASLAALDLDEYDRVMAVNARSMLACVKHAARVMAPRRAGCILCTASSAAVLGGVASPVYSMSKAAIVGMVRAVARQLARDGVRVNAISPHAIPTPMALGIIAETFPAATAEEVRRMVTREMQELEGASLEVEDVARAAVFLASDEAKFVTGHNLVVDGGFTVGKDLLRNPPSST >ORUFI07G26420.1 pep chromosome:OR_W1943:7:24574222:24579558:-1 gene:ORUFI07G26420 transcript:ORUFI07G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYNSEDYDPENDPFFLEETPFFPVADGDGFGKFLIKELTSQGYPLPAIIDGGMHLVNTFENEFVDDIWSKLTKKVASNVSRVVVSLASFKGEERIFACTGIFIGCSESNTRILTSASLVRISDDENRINDNLKIVVHLPNKQQTVGTLQHYHLHYNVAIVSIKGFRCLRTEEFHDPGQIKCKKVLSVGRVFKSGKLMATGGILTDKPSKLDCKELMVSTCRITKAGIGGPLIDFDGNFVGMETPYLPRDTILKLLSYFDGEGDVSAEIMDRNNRCPVPKIRWHYPHFCKPRKSEKKEKRVHVDWTLKFSSSLLESSSSEIRRGEGGQLADRLLVALAPQGSPETMVKAVAVLASSEGVKGTIFFSQEGDGPTSVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHFNPTGKEHGAPQDENRHAGDLGNITAGADGVANVNVSDSQIPLTGAHSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >ORUFI07G26430.1 pep chromosome:OR_W1943:7:24585909:24599094:1 gene:ORUFI07G26430 transcript:ORUFI07G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNTLRRRHRGRSTTGAPTPCLPLSLSVVIREEETEKEKEAGRRKKKKEREEGTDSGPYFYKPPWNFMPSMGTLVWSDDPDVELKVVKPDMTYSSGRSVALRTPRLNAYSGRSLTTPSTSTIRRTLRCPVIVLPAATSSAPIAARLCQAPPRDRPLQLNHCSSQIQEPPRLRDRRRSSATRLRPSSTRTDELLRLHARRSLRPSYWEPDGTNIRAKLSIPSHLSSKEIPITRTTYSAGPTLVQRSETMNVCPCTTQEFKIVDSNQCNRFKTK >ORUFI07G26440.1 pep chromosome:OR_W1943:7:24599109:24607220:-1 gene:ORUFI07G26440 transcript:ORUFI07G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAGDATDGQAKQAASHGRVGKMTDNLIGDCSTSSKKRKKACKSRGETRKLHEDNIFEEEFGSLKNSGQGAWSELSKVVASNLSESVVSLASFDGDIMHSACTGVVIKTDLFGTSYLTSASLIRSFDDESKIMPFVSIEVHLPKKQVAHGWLYKYDLQYNIAVIETKFFPGLRAINLEHQLQFESHSKVVAVGRCFKSGKLMATSGVLTDDPSGVYRKELMISTCEITMAGVGGPLIDLDGNFVGMNFYAKKRTPFLPRSTITECMANFKTYRGELKKLDSTTGRSTNEIQESPATSKSYLEGLEGCSDSFELRNSSRKPENEQESSSSSDSEENPIENDPFFMETASPFLPVADGDEFGKFLIKELTSQGYPLPAVLDGGMRLVNTFENEFADDIWSKLTKKVASNMSRVVVSLASFNGEERIFACTGIFIGCDESNTRILTSASLVRISDDENRINDNLKIVVHLPNKQQTVGTLQHYHLHYNVAIVSIKGRVFKSAKLMATSGILTDKPSKLDCKELKVSTCRISKAGIGGPLIDCHGNFVGMNFYGRKETPYLPRDTILKLLSYFDGEGDISPEIMDNQNRWPVPKLRWHYPSFSSHRKIEPRRYIKY >ORUFI07G26440.2 pep chromosome:OR_W1943:7:24599109:24607220:-1 gene:ORUFI07G26440 transcript:ORUFI07G26440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAGDATDGQAKQAASHGRVGKMTDNLIGDCSTSSKKRKKACKSRGETRKLHEDNIFEEEFGSLKNSGQGAWSELSKVVASNLSESVVSLASFDGDIMHSACTGVVIKTDLFGTSYLTSASLIRSFDDESKIMPFVSIEVHLPKKQVAHGWLYKYDLQYNIAVIETKFFPGLRAINLEHQLQFESHSKVVAVGRCFKSGKLMATSGVLTDDPSGVYRKELMISTCEITMAGVGGPLIDLDGNFVGMNFYAKKRTPFLPRSTITECMANFKTYRGELKKLDSTTGRSTNEIQESPATSNRKPENEQESSSSSDSEENPIENDPFFMETASPFLPVADGDEFGKFLIKELTSQGYPLPAVLDGGMRLVNTFENEFADDIWSKLTKKVASNMSRVVVSLASFNGEERIFACTGIFIGCDESNTRILTSASLVRISDDENRINDNLKIVVHLPNKQQTVGTLQHYHLHYNVAIVSIKGRVFKSAKLMATSGILTDKPSKLDCKELKVSTCRISKAGIGGPLIDCHGNFVGMNFYGRKETPYLPRDTILKLLSYFDGEGDISPEIMDNQNRWPVPKLRWHYPSFSSHRKIEPRRYIKY >ORUFI07G26440.3 pep chromosome:OR_W1943:7:24599109:24607220:-1 gene:ORUFI07G26440 transcript:ORUFI07G26440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAGDATDGQAKQAASHGRVGKMTDNLIGDCSTSSKKRKKACKSRGETRKLHEDNIFEEEFGSLKNSGQGAWSELSKVVASNLSESVVSLASFDGDIMHSACTGVVIKTDLFGTSYLTSASLIRSFDDESKIMPFVSIEVHLPKKQVAHGWLYKYDLQYNIAVIETKFFPGLRAINLEHQLQFESHSKVVAVGRCFKSGKLMATSGVLTDDPSGVYRKELMISTCEITMAGVGGPLIDLDGNFVGMNFYAKKRTPFLPRSTITECMANFKTYRGELKKLDSTTGRSTNEIQESPATSKSYLEGLEGCSDSFELRNSSRKPENEQESSSSSDSEENPIENDPFFMETASPFLPVADGDVCLPEFGKFLIKELTSQGYPLPAVLDGGMRLVNTFENEFADDIWSKLTKKVASNMSRVVVSLASFNGEERIFACTGIFIGCDESNTRILTSASLVRISDDENRINDNLKIVVHLPNKQQTVGTLQHYHLHYNVAIVSIKGRVFKSAKLMATSGILTDKPSKLDCKELKVSTCRISKAGIGGPLIDCHGNFVGMNFYGRKETPYLPRDTILKLLSYFDGEGDISPEIMDNQNRWPVPKLRWHYPSFSSHRKIEPRRYIKY >ORUFI07G26440.4 pep chromosome:OR_W1943:7:24599109:24607220:-1 gene:ORUFI07G26440 transcript:ORUFI07G26440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAGDATDGQAKQAASHGRVGKMTDNLIGDCSTSSKKRKKACKSRGETRKLHEDNIFEEEFGSLKNSGQGAWSELSKVVASNLSESVVSLASFDGDIMHSACTGVVIKTDLFGTSYLTSASLIRSFDDESKIMPFVSIEVHLPKKQVAHGWLYKYDLQYNIAVIETKFFPGLRAINLEHQLQFESHSKVVAVGRCFKSGKLMATSGVLTDDPSGVYRKELMISTCEITMAGVGGPLIDLDGNFVGMNFYAKKRTPFLPRSTITECMANFKTYRGELKKLDSTTGRSTNEIQESPATSKSYLEGLEGCSDSFELRNSSRKPENEQESSSSSDSEENPIENDPFFMETASPFLPVADGDEFGKFLIKELTSQGYPLPAVLDGGMRLVNTFENEFADDIWSKLTKKVASNMSRVVVSLASFNGEERIFACTGIFIGCDESNTRILTSASLVRISDDENRINDNLKIVVHLPNKQQTVGTLQHYHLHYNVAIVSIKGFRCLRTEEFHDPGLIERKEVLSVGRVFKSAKLMATSGILTDKPSKLDCKELKVSTCRISKAGIGGPLIDCHGNFVGMNFYGRKETPYLPRDTILKLLSYFDGEGDISPEIMDNQNRWPVPKLRWHYPSFSSHRKIEPRRYIKY >ORUFI07G26440.5 pep chromosome:OR_W1943:7:24599109:24607220:-1 gene:ORUFI07G26440 transcript:ORUFI07G26440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAGDATDGQAKQAASHGRVGKMTDNLIGDCSTSSKKRKKACKSRGETRKLHEDNIFEEEFGSLKNSGQGAWSELSKVVASNLSESVVSLASFDGDIMHSACTGVVIKTDLFGTSYLTSASLIRSFDDESKIMPFVSIEVHLPKKQVAHGWLYKYDLQYNIAVIETKFFPGLRAINLEHQLQFESHSKVVAVGRCFKSGKLMATSGVLTDDPSGVYRKELMISTCEITMAGVGGPLIDLDGNFVGMNFYAKKRTPFLPRSTITECMANFKTYRGELKKLDSTTGRSTNEIQESPATSNRKPENEQESSSSSDSEENPIENDPFFMETASPFLPVADGDEFGKFLIKELTSQGYPLPAVLDGGMRLVNTFENEFADDIWSKLTKKVASNMSRVVVSLASFNGEERIFACTGIFIGCDESNTRILTSASLVRISDDENRINDNLKIVVHLPNKQQTVGTLQHYHLHYNVAIVSIKGFRCLRTEEFHDPGLIERKEVLSVGRVFKSAKLMATSGILTDKPSKLDCKELKVSTCRISKAGIGGPLIDCHGNFVGMNFYGRKETPYLPRDTILKLLSYFDGEGDISPEIMDNQNRWPVPKLRWHYPSFSSHRKIEPRRYIKY >ORUFI07G26440.6 pep chromosome:OR_W1943:7:24599109:24607220:-1 gene:ORUFI07G26440 transcript:ORUFI07G26440.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAGDATDGQAKQAASHGRVGKMTDNLIGDCSTSSKKRKKACKSRGETRKLHEDNIFEEEFGSLKNSGQGAWSELSKVVASNLSESVVSLASFDGDIMHSACTGVVIKTDLFGTSYLTSASLIRSFDDESKIMPFVSIEVHLPKKQVAHGWLYKYDLQYNIAVIETKFFPGLRAINLEHQLQFESHSKVVAVGRCFKSGKLMATSGVLTDDPSGVYRKELMISTCEITMAGVGGPLIDLDGNFVGMNFYAKKRTPFLPRSTITECMANFKTYRGELKKLDSTTGRSTNEIQESPATSKSYLEGLEGCSDSFELRNSSRKPENEQESSSSSDSEENPIENDPFFMETASPFLPVADGDVCLPEFGKFLIKELTSQGYPLPAVLDGGMRLVNTFENEFADDIWSKLTKKVASNMSRVVVSLASFNGEERIFACTGIFIGCDESNTRILTSASLVRISDDENRINDNLKIVVHLPNKQQTVGTLQHYHLHYNVAIVSIKGFRCLRTEEFHDPGLIERKEVLSVGRVFKSAKLMATSGILTDKPSKLDCKELKVSTCRISKAGIGGPLIDCHGNFVGMNFYGRKETPYLPRDTILKLLSYFDGEGDISPEIMDNQNRWPVPKLRWHYPSFSSHRKIEPRRYIKY >ORUFI07G26450.1 pep chromosome:OR_W1943:7:24615726:24623183:1 gene:ORUFI07G26450 transcript:ORUFI07G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSITCDKVVLDNLYGEIAKSVVTIKRYNRTGLVNFATGFIIYATRSEVLVCTDHTVLKQGEDTYVYYSDGTARQAFEFIKRTPCGHAILLVSVQPGERRQYPVSFSTVQAKREEICMIARVNHDGDPGFMSGIVVAPSGKIMLRSGRVITSHEKKFALTCPHGRRGNVVAEGNLIGAAVFTLSGLVVGTIDSVVSGCFGLKFARHSSFFLDELNRMVHKELKKVSLSRGATPLSRGSKVVHVGSTSKRSHEGQTNVAAEKRAKYAAIRHRMEDWCGGSSW >ORUFI07G26450.2 pep chromosome:OR_W1943:7:24616580:24623183:1 gene:ORUFI07G26450 transcript:ORUFI07G26450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIARVNHDGDPGFMSGIVVAPSGKIMLRSGRVITSHEKKFALTCPHGRRGNVVAEGNLIGAAVFTLSGLVVGTIDSVVSGCFGLKFARHSSFFLDELNRMVHKELKKVSLSRGATPLSRGSKVVHVGSTSKRSHEGQTNVAAEKRAKYAAIRHRMEDWCGGSSW >ORUFI07G26450.3 pep chromosome:OR_W1943:7:24616580:24623183:1 gene:ORUFI07G26450 transcript:ORUFI07G26450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIARVNHDGDPGFMSGIVVAPSGKIMLRSGRVITSHEKKFALTCPHGRRGNVVAEGNLIGAAVFTLSGLVVGTIDSVVSGCFGLKFARHSSFFLDELNRMVHKELKKVSLSRGATPLSRGSKVVHVGSTSKRSHEGQTNVAAEKRAKYAAIRHRMEDWCGGSSW >ORUFI07G26450.4 pep chromosome:OR_W1943:7:24618346:24623183:1 gene:ORUFI07G26450 transcript:ORUFI07G26450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIARVNHDGDPGFMSGIVVAPSGKIMLRSGRVITSHEKKFALTCPHGRRGNVVAEGNLIGAAVFTLSGLVVGTIDSVVSGCFGLKFARHSSFFLDELNRMVHKELKKVSLSRGATPLSRGSKVVHVGSTSKRSHEGQTNVAAEKRAKYAAIRHRMEDWCGGSSW >ORUFI07G26460.1 pep chromosome:OR_W1943:7:24631803:24638316:1 gene:ORUFI07G26460 transcript:ORUFI07G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNVGAVHGDNHAFHNELRRSLVHLVSFQPGNEKPFSVAAIPIVSVNGFCYMISHSKVFRQQDAANWQHRVIFPDEIIVMLRIEDVIIQGSLAAFSVNTTAELPNPVKFSEQQVSHNQEVHTVNFEGVMEPSLLVRGRVTHVDQTAFFHDCTPGSFTALGSPVFNERSELVGICCRNDGVIGALNMNRIAELLSEINEDMVNMSLSQIVQHLEAANQGN >ORUFI07G26460.2 pep chromosome:OR_W1943:7:24633372:24638316:1 gene:ORUFI07G26460 transcript:ORUFI07G26460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNVGAVHGDNHAFHNELRRSLVHLVSFQPGNEKPFSVAAIPIVSVNGFCYMISHSKVFRQQDAANWQHRVIFPDEIIVMLRIEDVIIQGSLAAFSVNTTAELPNPVKFSEQQVSHNQEVHTVNFEGVMEPSLLVRGRVTHVDQTAFFHDCTPGSFTALGSPVFNERSELVGICCRNDGVIGALNMNRIAELLSEINEDMVNMSLSQIKTQGEKDCSGEPCWLLNKVAGEMFNTKFGWRFGSWDSQDWN >ORUFI07G26470.1 pep chromosome:OR_W1943:7:24640274:24641421:-1 gene:ORUFI07G26470 transcript:ORUFI07G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLILLVFMPCQTMLFTFDRVTSSPPLFSIISSWWMNPLPQHSSCSTRSTPQDSMVRLDCAQQFRGNGFVVFGSGNTGLILTCEHNLPKTESNGRDLALLRADTFSVPCTPLRFWEDGDVVSGIDVVLLAFFTMKYGQVLVEPGTFPGKILSEPVWDEETDSGEIRSDYTSESGTSGAPVFLQRVNKVVGVNSGALGGTVKTAISVRTIHAALRQWLQPGDENITIEEMLKRIAEQ >ORUFI07G26480.1 pep chromosome:OR_W1943:7:24644423:24647088:-1 gene:ORUFI07G26480 transcript:ORUFI07G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLHRGVSEDRGDKMVQKELSQAWWFDSHNLARTSPWLTNTLSDDKTKQMLKMIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRTHRSLAEQLDLLKNGNGTRHTVFGPSSCTQSWSHQAAAAAMGGKGTTGSRSSISLCSDNYDSESEVDDPEQENMEEELSESEDMMKHSSQSQADQAAQLELMHAEIEMLKEKNAELQKASAENEMLKQKNEELQRVSEENMALKAELAAKDEEKREVIRQLASSFDIVKKENFTLREYIIKNSKNSSSSSRGFDFKKLTKDLFTAKLFTAHCKATTGPMVAL >ORUFI07G26480.2 pep chromosome:OR_W1943:7:24644423:24646186:-1 gene:ORUFI07G26480 transcript:ORUFI07G26480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKELSQAWWFDSHNLARTSPWLTNTLSDDKTKQMLKMIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRTHRSLAEQLDLLKNGNGTRHTVFGPSSCTQSWSHQAAAAAMGGKGTTGSRSSISLCSDNYDSESEVDDPEQENMEEELSESEDMMKHSSQSQADQAAQLELMHAEIEMLKEKNAELQKASAENEMLKQKNEELQRVSEENMALKAELAAKDEEKREVIRQLASSFDIVKKENFTLREYIIKNSKNSSSSSRGFDFKKLTKDLFTAKLFTAHCKATTGPMVAL >ORUFI07G26490.1 pep chromosome:OR_W1943:7:24662088:24667023:1 gene:ORUFI07G26490 transcript:ORUFI07G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEVAAARLGALYTTSDYASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIGLCTGVVILLMTKGKSSHLLVFSEDLFFIYLLPPIIFNAGLWVKKKQFFRNFMTITLFGAVGTMISFFTISIAAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSIVLFNALQNFDLVHIDAAVVLKFLGNFFYLFLSSTFLGVFAGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDRPGKSIGISSILLGLVLIGRAAFVFPLSFLSNLTKKAPNEKITWRQQVVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRLLLPASGHPVTSEPSSPKSLHSPLLTSMQGSDLENTTNIVRPSSLRMLLTKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSHGGR >ORUFI07G26490.2 pep chromosome:OR_W1943:7:24662094:24667023:1 gene:ORUFI07G26490 transcript:ORUFI07G26490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEVAAARLGALYTTSDYASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIGLCTGVVILLMTKGKSSHLLVFSEDLFFIYLLPPIIFNAGLWVKKKQFFRNFMTITLFGAVGTMISFFTISIAAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSIVLFNALQNFDLVHIDAAVVLKFLGNFFYLFLSSTFLGVFAGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDRPGKSIGISSILLGLVLIGRAAFVFPLSFLSNLTKKAPNEKITWRQQVVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRLLLPASGHPVTSEPSSPKSLHSPLLTSMQGSDLENTTNIVRPSSLRMLLTKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSHGGR >ORUFI07G26490.3 pep chromosome:OR_W1943:7:24662088:24667023:1 gene:ORUFI07G26490 transcript:ORUFI07G26490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEVAAARLGALYTTSDYASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIGLCTGVVILLMTKGKSSHLLVKKKQFFRNFMTITLFGAVGTMISFFTISIAAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSIVLFNALQNFDLVHIDAAVVLKFLGNFFYLFLSSTFLGVFAGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDRPGKSIGISSILLGLVLIGRAAFVFPLSFLSNLTKKAPNEKITWRQQVVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRLLLPASGHPVTSEPSSPKSLHSPLLTSMQGSDLENTTNIVRPSSLRMLLTKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSHGGR >ORUFI07G26490.4 pep chromosome:OR_W1943:7:24662094:24667023:1 gene:ORUFI07G26490 transcript:ORUFI07G26490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEVAAARLGALYTTSDYASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIGLCTGVVILLMTKGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAVGTMISFFTISIAAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSIVLFNALQNFDLVHIDAAVVLKFLGNFFYLFLSSTFLGVFAGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDRPGKSIGISSILLGLVLIGRAAFVFPLSFLSNLTKKAPNEKITWRQQVVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRLLLPASGHPVTSEPSSPKSLHSPLLTSMQGSDLENTTNIVRPSSLRMLLTKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSHGGR >ORUFI07G26500.1 pep chromosome:OR_W1943:7:24671201:24674933:1 gene:ORUFI07G26500 transcript:ORUFI07G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSVIQEVKGEIGAISRRGFRSRPGRVRRVAAAAEEPPDESSAAALVMRESCWTQLPPELLREVLARVEESEGWWPRRRDVVACAGVCRSWRGIVREIVRTPEASGNLTFPISLKQPGPRDAPMKCFIVRNRTTQTYYLYIGLTDALTDDGKFLLAARKCRRTTCTEYLISLDMNDISKRTDSYVGKLRSNFLGTKFTVYDAHPPYAGDVISKGQSARVIGSNHLSPRIPAGNYPVSHISYELNVLGSRGPRRMHCAMDSIPVSAIEQGGTAPTQTEFPLSYHESFTSIPFFKSKSIRANNSTASLLTQNGSKLVLKNKSPRWHEHLQCWCLNFHGRVTVASVKNFQLVASDESNPTNQEHDDVILQFGKVGKDMFTMDYRYPISAFQAFAICLSSFDTKIACE >ORUFI07G26510.1 pep chromosome:OR_W1943:7:24677061:24678794:-1 gene:ORUFI07G26510 transcript:ORUFI07G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAMSSVLATHHHAARCGAVRRENAWIAPARVGFSQARRGGGRDELSAAGLGRFLGYATADHKNKNHEVDDLEPARLFVGLPIDTVTDGATVNSARGVTSGMRAVKLLGADGVELPVFWSVAQPESPDRFSWAGYRAVADMARDEGLSLRVTLHFHGSPGGAVPLLPVWVSTAAADDPDILFTDRSGGRHDDCLSFAVDELPVIHGRSPLDCYDAFFRSFADAFQDLFDYTITDVTVGLGPNGELRYPSYPPGSDGRGFTGVGEFQCYDRYMLEQLRRHAAEAGEPLWGLSGPHDAPRYGDSPDACGFFNDHGGSWQSAYGDFFLSWYAGQLVGHGDRVLAVANGALGDTPVEASAKVPFMHWWHGARSRPAEAVAGFYKSGGKNGYSPVAKMFARRGCTVIVPGMDVCMNKQHRITGSSPDQLLVQIKNACRRHGARIAGENASLVVTHTSSFSRIRSNVLTAERMRPGHFTYQRMGEAFFSPEHWPAFVEFVRGVVCGEWPDEDEDRDVADNPNAMEAQPV >ORUFI07G26520.1 pep chromosome:OR_W1943:7:24679494:24680408:1 gene:ORUFI07G26520 transcript:ORUFI07G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPHVILSLSLLPPLSHPFSISPSFSSCVGSGVRIGGGDGGRGPERQAGWRVGGRWSCGPGGSGGGLSQWTTIVVAPSIVLRSHAQEVNFVRYCRQIEQGLWAIADIFVNLQRDAYFGVPPPRSRRLPSGCLIANMANSYSEVTRVEHMEVEEKNPINVLYRDLVLSGDVFGAHCWLAALQRACDRYAYLVALGVPHHITGGMHTLCRAPSPDPELRIGHDGRLEDGPQGGAAPALVARGPPPGTRSPPPPLEPPRRRLMSRGRER >ORUFI07G26530.1 pep chromosome:OR_W1943:7:24682415:24684973:-1 gene:ORUFI07G26530 transcript:ORUFI07G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDDPAKKLAVDGGVAAAARCCDFCGGLPAVVYCRADSARLCLPCDRHVHAANTVSTRHARAPLCSACRAAPAAAFHRGDGFLCSSCDFDERLRRGSIGGGGDELPLDDRAAVEGYTGCPSIGELAAILGVVGGDSDKPADDSWWSASWEEEAPQVLSLDDIIVPTTSCHGLRPLLTPPSPENQSSPDNGELDGEVVRQLGELARSEAAAQATFVAGDQLASWASPEFTSGHGDFGIEAASTTVPSCENETWIMSTDCTDPTDASKTDIACEEAPASSSAEPCLSSLVEISEICPSMSYSGSGIDNGGHDPSTLAIMPTQALPKKGVYDIAYPDRGTVISRYKEKRKNRRFDKQIRYESRKARADGRLRIKGRFAKSN >ORUFI07G26540.1 pep chromosome:OR_W1943:7:24687413:24690138:-1 gene:ORUFI07G26540 transcript:ORUFI07G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSSASATARMLTVPALLLLLSSAALLVFLILPSLSPSPAAHLCACSPPTTTHTTTTVTTTTTTASPAPVTTSPADVAWLKSQLASNSLPLLASHDAWHRLRKGINPRTREQQLFDINRHHGISHYPDEEATNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLANASALTPRDQVLEIGCGTLRVGLHFIRFLEAAKFHCLERDELSLMAALRYELPAQGLLYKRPMIVRGEDMDFSRFGDTVMYDLIYASAVFLHIPDKLVWTGLERLAGKLRPQRGRIFVSHNIKFCSRLGGDECTRRLTELGLEYVGKHTHDSLLFNHYEIWWQHHTPELVQDKTAEEEEEEEAEAEGWPSPSEAEEIAAAGAGDPLERVLLLYLDGSV >ORUFI07G26550.1 pep chromosome:OR_W1943:7:24691171:24692195:-1 gene:ORUFI07G26550 transcript:ORUFI07G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQRQTVSNLDIDGDLTYEILLRLPAKTVLRCGAVCKAWRRITTNPTFLSDHARHHPPEALLYNSFGKATSKINMELDALSVAAHHQAAEGLSVGADEPQRLDVEATEIELFSTTQYTRSMMTPAALHGHLHWLQHPEGRLTNHMAVFNMVDETFKQMTSPPVTSKFFADLLAIDKFLMASEFTYLGVDLWVMEGYGAMDERWELRHRVVLPWMRGMTLERPLLIEGGNDSDVIMGTAYGLGVYNVKSKTFRTVITVKPPDALLSRNMLRESLVPHTFFDNQQQHPTRLPLFHFLS >ORUFI07G26560.1 pep chromosome:OR_W1943:7:24694279:24695513:-1 gene:ORUFI07G26560 transcript:ORUFI07G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQRQTVGNLAIDGDLTYEILLRLPAKTVLRCGAMSKAWCRITTNPTFLSDHAHHRPLEALLYNSFGKAAGKIDMELDTLSVAVHYHAAVPERKGIGHHVICNPTMRQWAELPRLTGGRNLIECHQEFGFYFHLQYDEYHLLCHCTMYLAGRLTNHMVAFDMVAETFKEMMPPLVTTKFFANLLAMDKFLMASKFTDLGMDLWVLEGYGVMDERWELWHHVVLPWQLSATLKRPLLIEGGDSGDVIMGTTYDLGVYNVKSKIFRVVVTVKPPDALLLSRNMLRESLVPHTFFNNQQPNPTRYVTKKTTCLPLFRFLS >ORUFI07G26570.1 pep chromosome:OR_W1943:7:24699206:24699727:1 gene:ORUFI07G26570 transcript:ORUFI07G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAVKLSPPGRIRNRTPSSPDLLIPGADSDAKNMCRYSALPGARPDTLADRLHCYRGVLLVILAPLALISLSAAATAGRRWGPVDANKCTVIFDAGSSGSRIHVFRFDSNLDLLALRRKGKVTTLFSPLFQSSPVAPTHVRARAGCGRRTTARAKATAAA >ORUFI07G26580.1 pep chromosome:OR_W1943:7:24703888:24709956:1 gene:ORUFI07G26580 transcript:ORUFI07G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPSTSSPAAVNASRRRDHPRPPAEAQKQGADASAEADAAAGEKGEQPAVAVAAAGPPVKRERRSRSSRSAHAHAHAEVRIGGSFANKARGEQVAAGWPAWLSAVAGDAIDGWTPRRADSFEKIDKIGQGTYSNVYKARDSVSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVIKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPEIKFTLPQVKCYVHQLLSGLEHCHDRGVLHRDIKGSNLLLDNNGVLKIGDFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIADTFKDFPQSALRLIETLLAIDPADRLTATSALESEFFKTEPHACDPSSLPQYPPSKEMDAKRRDEEARRLRAAGGRVNGEGARKTRTRERPRAVPAPEANAELQANIDKRRLITHANAKSKSEKFPPPHQDGALGYPLGCSNHMEPAFEPPDPSSFSTVFPYEKGSVPTWSGPLADPSSGNQKRKHKSGRSSKQPATARAR >ORUFI07G26580.2 pep chromosome:OR_W1943:7:24704335:24709956:1 gene:ORUFI07G26580 transcript:ORUFI07G26580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREILILRRLDHPNVIKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPEIKFTLPQVKCYVHQLLSGLEHCHDRGVLHRDIKGSNLLLDNNGVLKIGDFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIADTFKDFPQSALRLIETLLAIDPADRLTATSALESEFFKTEPHACDPSSLPQYPPSKEMDAKRRDEEARRLRAAGGRVNGEGARKTRTRERPRAVPAPEANAELQANIDKRRLITHANAKSKSEKFPPPHQDGALGYPLGCSNHMEPAFEPPDPSSFSTVFPYEKGSVPTWSGPLADPSSGNQKRKHKSGRSSKQPATARAR >ORUFI07G26590.1 pep chromosome:OR_W1943:7:24708179:24719431:-1 gene:ORUFI07G26590 transcript:ORUFI07G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAGRARRLLTSPAASGILSAPRPGCAALAGSGALLPRLDGVPSSPSPSPAPPLLARSFSATSSSRLPRNLLSPSISSQWRNEKSVCYHMAATHYSTEASDIDQPTGSAAARGRAAQKGGSNVMGFGPGVLGSLDRIRAVVELYQKMLKSVEAETMPPNAWLWSMISSCSNKEDIKLLIQILQKLRRLSNLRIDANFNDHLCMKVAEACARVGALDYGLKVLWKHNVYGITPTIGSAHYLLKHAKEKNDTKLMGSIMQVLQRNSMPLQPGTADIVFSICYNADRWDLLSKYARRFVKSGVKLHGASFDIWMDFAAKVGDSQSIWNINSLRGKSGFLLERKPESAAAMIKLLHKHSPDEKKQLVTDELQKLVAEWPAEVIKRQKKDDRKALEEALITDIPQMISSMSKLRLDISSRRRRRRPDQRRKDSPPVTGNFRMRRIRGFVFVVILMMISSLCSATNALSWSMFSSSSKKPSMAPPPPLDGGVPVAEFSIDGGGDARGDKLMENARRRIAAGDGRPGTTCWSEAYRSLFASCGDIMADKELQARLAWRLSGCFQEDSGRPPLPPCDAAAAHGHGHADMVHCRERLSDSESKVFLAFFLETNTLCHQLQAEAFKRSTERLVNDLTRSARSAREKLEAIEERSEKIMRESDHLRRSLSSIMSQTEHLATASEDVRARIGDVLDRSAAIFERSREIAAAQAELRGGQAAMREELAAGMAQVEASYRSIGEEMGRLRQEAMGIEREVRAVGDAMAARMVDLQSAADDIGAAAGRSLENQMLLLDGQAKAMEGLNHLYSFQAQALQESRETIQKLAQFGQQQQEELLSRQEQIRHAHDDLMKNSESILEAQEEFRAKQASIFAALDKLYVLHNAVLVESRFIKAFFFYCCITFLVYLLTSAKQTFAIRGHLYFGLCITLVLETVVIKLGADDFSKQFLIMSKVLLIRSVFLAAAAAQILHSIFTYKDYEVLNHQLLQTLMEKVRAIEGNGSGGDQMNPWSTGSDCSSIGDCSWFFDEQLQDEVDSEIDPDFALPREICGNGSILPEEFGENSVTTSISRRYNLRPRIRPRFSEDNHIR >ORUFI07G26590.2 pep chromosome:OR_W1943:7:24708179:24719431:-1 gene:ORUFI07G26590 transcript:ORUFI07G26590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAGRARRLLTSPAASGILSAPRPGCAALAGSGALLPRLDGVPSSPSPSPAPPLLARSFSATSSSRLPRNLLSPSISSQWRNEKSVCYHMAATHYSTEASDIDQPTGSAAARGRAAQKGGSNVMGFGPGVLGSLDRIRAVVELYQKMLKSVEAETMPPNAWLWSMISSCSNKEDIKLLIQILQKLRRLSNLRIDANFNDHLCMKVAEACARVGALDYGLKVLWKHNVYGITPTIGSAHYLLKHAKEKNDTKLMGSIMQVLQRNSMPLQPGTADIVFSICYNADRWDLLSKYARRFVKSGVKLHGASFDIWMDFAAKVGDSQSIWNINSLRGKSGFLLERKPESAAAMIKLLHKHSPDEKKQLVTDELQKLVAEWPAEVIKRQKKDDRKALEEALITDIPQMISSMSKLRLDISSGALLQSRRRRRRPDQRRKDSPPVTGNFRMRRIRGFVFVVILMMISSLCSATNALSWSMFSSSSKKPSMAPPPPLDGGVPVAEFSIDGGGDARGDKLMENARRRIAAGDGRPGTTCWSEAYRSLFASCGDIMADKELQARLAWRLSGCFQEDSGRPPLPPCDAAAAHGHGHADMVHCRERLSDSESKVFLAFFLETNTLCHQLQAEAFKRSTERLVNDLTRSARSAREKLEAIEERSEKIMRESDHLRRSLSSIMSQTEHLATASEDVRARIGDVLDRSAAIFERSREIAAAQAELRGGQAAMREELAAGMAQVEASYRSIGEEMGRLRQEAMGIEREVRAVGDAMAARMVDLQSAADDIGAAAGRSLENQMLLLDGQAKAMEGLNHLYSFQAQALQESRETIQKLAQFGQQQQEELLSRQEQIRHAHDDLMKNSESILEAQEEFRAKQASIFAALDKLYVLHNAVLVESRFIKAFFFYCCITFLVYLLTSAKQTFAIRGHLYFGLCITLVLETVVIKLGADDFSKQFLIMSKVLLIRSVFLAAAAAQILHSIFTYKDYEVLNHQLLQTLMEKVRAIEGNGSGGDQMNPWSTGSDCSSIGDCSWFFDEQLQDEVDSEIDPDFALPREICGNGSILPEEFGENSVTTSISRRYNLRPRIRPRFSEDNHIR >ORUFI07G26590.3 pep chromosome:OR_W1943:7:24708179:24719431:-1 gene:ORUFI07G26590 transcript:ORUFI07G26590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAGRARRLLTSPAASGILSAPRPGCAALAGSGALLPRLDGVPSSPSPSPAPPLLARSFSATSSSRLPRNLLSPSISSQWRNEKSVCYHMAATHYSTEASDIDQPTEAVVELYQKMLKSVEAETMPPNAWLWSMISSCSNKEDIKLLIQILQKLRRLSNLRIDANFNDHLCMKVAEACARVGALDYGLKVLWKHNVYGITPTIGSAHYLLKHAKEKNDTKLMGSIMQVLQRNSMPLQPGTADIVFSICYNADRWDLLSKYARRFVKSGVKLHGASFDIWMDFAAKVGDSQSIWNINSLRGKSGFLLERKPESAAAMIKLLHKHSPDEKKQLVTDELQKLVAEWPAEVIKRQKKDDRKALEEALITDIPQMISSMSKLRLDISSGALLQSRRRRRRPDQRRKDSPPVTGNFRMRRIRGFVFVVILMMISSLCSATNALSWSMFSSSSKKPSMAPPPPLDGGVPVAEFSIDGGGDARGDKLMENARRRIAAGDGRPGTTCWSEAYRSLFASCGDIMADKELQARLAWRLSGCFQEDSGRPPLPPCDAAAAHGHGHADMVHCRERLSDSESKVFLAFFLETNTLCHQLQAEAFKRSTERLVNDLTRSARSAREKLEAIEERSEKIMRESDHLRRSLSSIMSQTEHLATASEDVRARIGDVLDRSAAIFERSREIAAAQAELRGGQAAMREELAAGMAQVEASYRSIGEEMGRLRQEAMGIEREVRAVGDAMAARMVDLQSAADDIGAAAGRSLENQMLLLDGQAKAMEGLNHLYSFQAQALQESRETIQKLAQFGQQQQEELLSRQEQIRHAHDDLMKNSESILEAQEEFRAKQASIFAALDKLYVLHNAVLVESRFIKAFFFYCCITFLVYLLTSAKQTFAIRGHLYFGLCITLVLETVVIKLGADDFSKQFLIMSKVLLIRSVFLAAAAAQILHSIFTYKDYEVLNHQLLQTLMEKVRAIEGNGSGGDQMNPWSTGSDCSSIGDCSWFFDEQLQDEVDSEIDPDFALPREICGNGSILPEEFGENSVTTSISRRYNLRPRIRPRFSEDNHIR >ORUFI07G26600.1 pep chromosome:OR_W1943:7:24720304:24722288:-1 gene:ORUFI07G26600 transcript:ORUFI07G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAFLAVVCAAAMAAVSGAEEVYEFGSGASFIFGDSLVDAGNNNYIPSLSKANMTPNGIDFAASGGMPTGRFTNGRTIADIIGEMLGQTDYSPPFLAPNTTGGALLNGVNYASGGAGILNGTGRIFVNRIGMDLQVDYFNITRKQLDDLLGKAKAKEFLKKKAIFSITVGSNDFLNNYLMPVLSAGTRRLYTLDARKFVVANVGPLGCIPYQKTINRVGENECVKLPNQLASQYNGRLRELLIQLNGDLAGAKFCLANVYDLVMDVITNYDSYGFETASMACCGNGGTYDGMVPCGPASSMCGDRKSHVFWDPYHPSEAANLVMAKYIVDGDSKYISPMNLRKLFSL >ORUFI07G26610.1 pep chromosome:OR_W1943:7:24723550:24723960:1 gene:ORUFI07G26610 transcript:ORUFI07G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDVGDKDEQGGGGGERREVDRTTTGSAISVPEINQRNFAGGSAMKEDDDEDIGGLEDGVSDEDGRHCAQSLTSISPPQSNRVIASQPLVGSLPVTIVVVLPRTSITTMVESSSFAPPFISHILWLISAEKDNSL >ORUFI07G26620.1 pep chromosome:OR_W1943:7:24726307:24729875:1 gene:ORUFI07G26620 transcript:ORUFI07G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHLLLLLVVIIICYAPTSSTAAGGEVPFYEDCPSTADGTYAPNSTYQSNLAALAAELIENSTEYGSAAGSFGAAPDAVYGVALCRGDSKGPLCAGYLRDAFDAAMNRTTSSRPLCELRRNVTLFYDRFQLRFADADFVSGYGNEPEWPLNNTNVVDAPVAGRFREHVAALLNATARDAAAQPDRYGTGDSWFQEGGSMVYALVQCTRDMDPGRCGACLQRIISEMPRMLDASQIGGRVLGVRCLLRYEMASNSFFHIDNRTLHLQKQPTPKTWPIIVAVAGVAVCISCFFLFRELKRRRRRARVRSELRRLSMAVQNVITLWRLEEGNSGFKLYDFSDIKDATNNFSSESLLGKGGFGSVYKGQMPSGPEVAAKRLAACSGQGLLEFKNEIQLVARLQHRNLVRLLGCCIEGDQEKILVYEYMPNKSLDVFIFDNVKRELLDWPKRLHIIHGISQGLLYLHEHSTVCVVHRDLKASNVLLDAEMNAKISDFGIARIFGSNAAQSSTTRIVGTIGYIAPEYALDGVCSSKADVFSFGVLILEIISGKRTGGSYRYNDGKLYCLIAYAWLLWKDGRWHELIDECLGDRYHASIRTCMQVALLCVQEDAEDRKAMDEVVKMLGNEQAASQLPEPKQSAYFNVRPSGGGGDAPPSACNISISMITPR >ORUFI07G26630.1 pep chromosome:OR_W1943:7:24731061:24732252:-1 gene:ORUFI07G26630 transcript:ORUFI07G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHHMHDVFAQTTSIIGHEEEEVTIDPTKWGAWAHRGHRLWASMSEDFWIHVYKVQWCPRSSSHDWTSCPYAHKGERARRRDTRRFAYAAVSCPDYRPHEAAPGAVPSCAHGLRCRYAHGVFELWLHPSRFRTRMCSAGTRCPRRICFFAHSAAELRDDPNSIASAILTPMPIPMPMPIPMRTPDADHHPRVSAMRDQLDLIEEAMRNRLRLYSNANANANANVGSSSATAVATTTIPVSTLANGEGSTGKRCGCRRCVEEEDALLNGYPHYDLIMDLVDE >ORUFI07G26640.1 pep chromosome:OR_W1943:7:24739443:24744364:1 gene:ORUFI07G26640 transcript:ORUFI07G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFMERWRCVSVLALVLLLSNASHGRDISVQHSQQTLNYSHTLAMTLVEYASAVYMTDLTALYTWTCSRCNDLTQGFEMKSLIVDVENCLQAFVGVDYNLNSIIVAIRGTQENSMQNWIKDLIWKQLDLSYPNMPNAKVHSGFFSSYNNTILRLAITSAVHKARQSYGDINVIVTGHSMGGAMASFCALDLAINLGSNSVQLMTFGQPRVGNAAFASYFAKYVPNTIRVTHGHDIVPHLPPYFSFLPHLTYHHFPREVWVNDSEGDITEQICDDSGEDPNCCRCISTWSLSVQDHFTYLGVDMEADDWSTCRIITAENVRQLQKDLASNIIVSKHSVDVTIVEPSSQTY >ORUFI07G26640.2 pep chromosome:OR_W1943:7:24739375:24744364:1 gene:ORUFI07G26640 transcript:ORUFI07G26640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMERWRCVSVLALVLLLSNASHGRDISVQHSQQTLNYSHTLAMTLVEYASAVYMTDLTALYTWTCSRCNDLTQGFEMKSLIVDVENCLQAFVGVDYNLNSIIVAIRGTQENSMQNWIKDLIWKQLDLSYPNMPNAKVHSGFFSSYNNTILRLAITSAVHKARQSYGDINVIVTGHSMGGAMASFCALDLAINLGSNSVQLMTFGQPRVGNAAFASYFAKYVPNTIRVTHGHDIVPHLPPYFSFLPHLTYHHFPREVWVNDSEGDITEQICDDSGEDPNCCRCISTWSLSVQDHFTYLGVDMEADDWSTCRIITAENVRQLQKDLASNIIVSKHSVDVTIVEPSSQTY >ORUFI07G26640.3 pep chromosome:OR_W1943:7:24739320:24744364:1 gene:ORUFI07G26640 transcript:ORUFI07G26640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERWRCVSVLALVLLLSNASHGRDISVQHSQQTLNYSHTLAMTLVEYASAVYMTDLTALYTWTCSRCNDLTQGFEMKSLIVDVENCLQAFVGVDYNLNSIIVAIRGTQENSMQNWIKDLIWKQLDLSYPNMPNAKVHSGFFSSYNNTILRLAITSAVHKARQSYGDINVIVTGHSMGGAMASFCALDLAINLGSNSVQLMTFGQPRVGNAAFASYFAKYVPNTIRVTHGHDIVPHLPPYFSFLPHLTYHHFPREVWVNDSEGDITEQICDDSGEDPNCCRCISTWSLSVQDHFTYLGVDMEADDWSTCRIITAENVRQLQKDLASNIIVSKHSVDVTIVEPSSQTY >ORUFI07G26640.4 pep chromosome:OR_W1943:7:24739375:24744364:1 gene:ORUFI07G26640 transcript:ORUFI07G26640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGWFGCVCRFMERWRCVSVLALVLLLSNASHGRDISVQHSQQTLNYSHTLAMTLVEYASAVYMTDLTALYTWTCSRCNDLTQGFEMKSLIVDVENCLQAFVGVDYNLNSIIVAIRGTQENSMQNWIKDLIWKQLDLSYPNMPNAKVHSGFFSSYNNTILRLAITSAVHKARQSYGDINVIVTGHSMGGAMASFCALDLAINLGSNSVQLMTFGQPRVGNAAFASYFAKYVPNTIRVTHGHDIVPHLPPYFSFLPHLTYHHFPREVWVNDSEGDITEQICDDSGEDPNCCRCISTWSLSVQDHFTYLGVDMEADDWSTCRIITAENVRQLQKDLASNIIVSKHSVDVTIVEPSSQTY >ORUFI07G26650.1 pep chromosome:OR_W1943:7:24746386:24747705:1 gene:ORUFI07G26650 transcript:ORUFI07G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVRRAAGRPKIGDLATSDVVVRLRTPEGRDEWLYCHSGVLAAGSRYFADRLSDDWPTCQILGSRYCVEVHCQELDLSPHVTALRLLYAAEPCSRFGVRGALGVLQAAAHLACPRVAAACVDYLESAPWDEADEEEILRTIPCLGPQYECVLARLRPIDPAPVAGILLSAFRHATSTRSPPQELKSAAQEQLEYMLTEDDDAPLLAFDDDIVRSQVKDCVAALLSRFSGFTSSILMEQGEAPLGHGDAEVQQELHSLVSDISWVCQILSKLEMMKCVVVYWIGVSSDVVEAVDKACGGIGCLKTRLKVIEVSAKVLEAIAFGNIVLPTEKRCDAVNVWIGFARRTKPLVGHPEHDDDDGDAEAPKINLDSEVWQSLESAIVSIVLTLPSNSQADILSDWLQSKHAKYPDLTEAFEVWCYRSKAAKRRLSFLSHANRVS >ORUFI07G26660.1 pep chromosome:OR_W1943:7:24749506:24752363:-1 gene:ORUFI07G26660 transcript:ORUFI07G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCSGSSGKGGVDAKSVAALSPGPRPAASAAPDRSNSSRGSGIKKDDSVRRGGSSANDGPAKIFTFRELAVATKNFRKDCLLGEGGFGRVYKGQMENGQVIAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVRLIGYCADGDQRLLVYEYMLLGSLENHLHDRPPGKKPLDWNARMKIAVGAAKGLEYLHDKANPPVIYRDFKSSNILLGEDYYPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDHTQPAGEQNLVAWARPLFRDRRKFCQMADPSLQGCYPKRGLYQALAVASMCLQENATSRPLIADIVTALSYLASNHYDPNAPSAKSSRTCPSTPKSKAHRRTTSVPDAQHAADSLNWNFPDLGRKETTRGEFEQDHSEGYGSGSSSGRNDGLDVPELLALHNGQNNNEADIYHKSSVKLDAHEKQRSGSGKGSRQF >ORUFI07G26670.1 pep chromosome:OR_W1943:7:24758356:24771228:1 gene:ORUFI07G26670 transcript:ORUFI07G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSSPPGTASPVLSVRIVSLDYYMAPPLPGFDFSYSHFHGGEVEEVPVIRIYGSTPAGQKTCLHIHRVLPFLYVPCKEDLHNVEKGNSFISGLLSDLEKALQIRSSSKKKHDGAVLNRVFQPYESHIPYLLHFLIDYNLYGMGYVHVTDFKFRPPLPDDFHPKSSLHSKVDCSTESGHKVHPDNVAIRKPTIWISSTVPHSLILASSATSHCMEGTNWNVTNRHSSLMLEADSRIEGILNEKYKMYTSLSQATADSKIVRSLLSIWEELEHLRLLEEAKPVDMGRPLRDSVLRSFLHGIKYETALSMLCPKEEVSYHRVPTMEESEKLEECLKSLNDIIGTITFSQNDYCSIIDSDISAGTQQENQITNVLCLEPSEEGNTQCPVSSSAAQRTSSQLFEEHEKLVDAEALGLLSWLASSQAAEEPTTDDELVNEAILSPLFSKKSIAVALESAQLDFDGASQQECQDILDSIGPVIGEEQPNDQMSYRSSVRLGESSSLSNSIPQIDGSSDENKEVPQEDGKYKINRKRAGLPSYSSPQSSSKASKRGGNELLWGSLPLSIKKRSDLNADGHSGGAMPTEKVLSASFMSGTGKNSHANPDNTERGSSSPTGEHDPLCDSVRDLMRRRRRSFRSEQSEVGNSGDAAYIVRKENEIVNSERLELHDISSDLSNSEMYYSGSEYLQMTFARKPPMKNEVFCLEGSSAASKLGFADIPPFFNQTAEENKQNESFQRMGSSWDTLGVPTHFQNDGSALYLLTHAFSPPSTVAVGQWLTQQSCSVSVSGIGHSNYGEKVSVDQEGANNSTLSPYMGGPALMDDSPASKMALEHSITTFPDDTVMIEPDLSNQEIKNLADWHDFSQISGGDEKDKLTPLSQIGFCDPASIGGGQQLTIISIEVITESRGELRPDPRFDAINAVSLAVEDDADNTIEVHVLIRGNNDSSHRRRNLDGVSGCDVNVFPGERELLNHLINAICSIDPDIIVGWEIQLGSLGFLAERAAHLGIGLLKRISRTPPHQMKHPPMNPVDESSQELPGASSADDVIDDASENNWSHAHASGIHVDGRIILNLWRLMRAEVKLNNYSLEAVANEVLRRKVPLVPTKILNRWFATGSGRGRYRCIEYVNKRSSLNLEILNQLDLVNRTSELARVFGIDFFSVLSRGSQFRVESMLLRLAHTQNYLAISPGNQQVASQPAMECLPLSSVLGVSSYSADPQKIADLKNQLLLTPNGVLYVQPEVRKGVLPRLLEEILSTRIMVKKAMKKLSASQKVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAEIADSIVQCGRRTLETAISFVNQHPLWKARVVYGDTDREEAFRIGKEIASSITAMNPDPVTLKFEKVYHPCFLLTKKRYVGYSYESPEQKEPIFDAKGIETVRRDTCPAVAKILEQSIRIMFEEQDLAKVRSYLERQWTRILSGKISIQDFVFTKEVRLGTYSARASSLPPAAIVATKAILSDPRAEARYGERVPYVVIHGEPGARLVDMVIDPYGLLEVGSPYRLNALYYITKQIIPALQRVFGLVGADLNKWFNEMPRPIRETLAKRQSASGHGSFSRLGLNKKGVGKGSRIDTYYMSSHCIICGEIIQGSDTFCNNCLRNEAVVGTVVAGRTSKLEREIQHLAAICGHCGGADWIVESGIKCISLACPVFFERRKIQRELRGVSESAIEAGYYPFCCPELF >ORUFI07G26670.2 pep chromosome:OR_W1943:7:24758356:24771228:1 gene:ORUFI07G26670 transcript:ORUFI07G26670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSSPPGTASPVLSVRIVSLDYYMAPPLPGFDFSYSHFHGGEVEEVPVIRIYGSTPAGQKTCLHIHRVLPFLYVPCKEDLHNVEKGNSFISGLLSDLEKALQIRSSSKKKHDGAVLNRVFQPYESHIPYLLHFLIDYNLYGMGYVHVTDFKFRPPLPDDFHPKSSLHSKVDCSTESGHKVHPDNVAIRKPTIWISSTVPHSLILASSATSHCMEGTNWNVTNRHSSLMLEADSRIEGILNEKYKMYTSLSQATADSKIVRSLLSIWEELEHLRLLEEAKPVDMGRPLRDSVLRSFLHGIKYETALSMLCPKEEVSYHRVPTMEESEKLEECLKSLNDIIGTITFSQNDYCSIIDSDISAGTQQENQITNVLCLEPSEEGNTQCPVSSSAAQRTSSQLFEEHEKLVDAEALGLLSWLASSQAAEEPTTDDELVNEAILSPLFSKKSIAVALESAQLDFDGASQQECQDILDSIGPVIGEEQPNDQMSYRSSVRLGESSSLSNSIPQIDGSSDENKEVPQEDGKYKINRKRAGLPSYSSPQSSSKASKRGGNELLWGSLPLSIKKRSDLNADGHSGGAMPTEKVLSASFMSGTGKNSHANPDNTERGSSSPTGEHDPLCDSVRDLMRRRRRSFRSEQSEVGNSGDAAYIVRKENEIVNSERLELHDISSDLSNSEMYYSGSEYLQMTFARKPPMKNEVFCLEGSSAASKLGFADIPPFFNQTAEENKQNESFQRMGSSWDTLGVPTHFQNDGSALYLLTHAFSPPSTVAVGQWLTQQSCSVSVSGIGHSNYGEKVSVDQEGANNSTLSPYMGGPALMDDSPASKMALEHSITTFPDDTVMIEPDLSNQEIKNLADWHDFSQISGGDEKDKLTPLSQIGFCDPASIGGGQQLTIISIEVITESRGELRPDPRFDAINAVSLAVEDDADNTIEVHVLIRGNNDSSHRRRNLDGVSGCDVNVFPGERELLNHLINAICSIDPDIIVGWEIQLGSLGFLAERAAHLGIGLLKRISRTPPHQMKHPPMNPVDESSQELPGASSADDVIDDASENNWSHAHASGIHVDGRIILNLWRLMRAEVKLNNYSLEAVANEVLRRKVPLVPTKILNRWFATGSGRGRYRCIEYVNKRSSLNLEILNQLDLVNRTSELARVFGIDFFSVLSRGSQFRVESMLLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESAFYSDPVVVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSSVLGVSSYSADPQKIADLKNQLLLTPNGVLYVQPEVRKGVLPRLLEEILSTRIMVKKAMKKLSASQKVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAEIADSIVQCGRRTLETAISFVNQHPLWKARVVYGDTDREEAFRIGKEIASSITAMNPDPVTLKFEKVYHPCFLLTKKRYVGYSYESPEQKEPIFDAKGIETVRRDTCPAVAKILEQSIRIMFEEQDLAKVRSYLERQWTRILSGKISIQDFVFTKEVRLGTYSARASSLPPAAIVATKAILSDPRAEARYGERVPYVVIHGEPGARLVDMVIDPYGLLEVGSPYRLNALYYITKQIIPALQRVFGLVGADLNKWFNEMPRPIRETLAKRQSASGHGSFSRLGLNKKGVGKGSRIDTYYMSSHCIICGEIIQGSDTFCNNCLRNEAVVGTVVAGRTSKLEREIQHLAAICGHCGGADWIVESGIKCISLACPVFFERRKIQRELRGVSESAIEAGYYPFCCPELF >ORUFI07G26670.3 pep chromosome:OR_W1943:7:24758356:24771228:1 gene:ORUFI07G26670 transcript:ORUFI07G26670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSSPPGTASPVLSVRIVSLDYYMAPPLPGFDFSYSHFHGGEVEEVPVIRIYGSTPAGQKTCLHIHRVLPFLYVPCKEDLHNVEKGNSFISGLLSDLEKALQIRSSSKKKHDGAVLNRVFQPYESHIPYLLHFLIDYNLYGMGYVHVTDFKFRPPLPDDFHPKSSLHSKVDCSTESGHKVHPDNVAIRKPTIWISSTVPHSLILASSATSHCMEGTNWNVTNRHSSLMLEADSRIEGILNEKYKMYTSLSQATADSKIVRSLLSIWEELEHLRLLEEAKPVDMGRPLRDSVLRSFLHGIKYETALSMLCPKEEVSYHRVPTMEESEKLEECLKSLNDIIGTITFSQNDYCSIIDSDISAGTQQENQITNVLCLEPSEEGNTQCPVSSSAAQRTSSQLFEEHEKLVDAEALGLLSWLASSQAAEEPTTDDELVNEAILSPLFSKKSIAVALESAQLDFDGASQQECQDILDSIGPVIGEEQPNDQMSYRSSVRLGESSSLSNSIPQIDGSSDENKEVPQEDGKYKINRKRAGLPSYSSPQSSSKASKRGGNELLWGSLPLSIKKRSDLNADGHSGGAMPTEKVLSASFMSGTGKNSHANPDNTERGSSSPTGEHDPLCDSVRDLMRRRRRSFRSEQSEVGNSGDAAYIVRKENEIVNSERLELHDISSDLSNSEMYYSGSEYLQMTFARKPPMKNEVFCLEGSSAESRGELRPDPRFDAINAVSLAVEDDADNTIEVHVLIRGNNDSSHRRRNLDGVSGCDVNVFPGERELLNHLINAICSIDPDIIVGWEIQLGSLGFLAERAAHLGIGLLKRISRTPPHQMKHPPMNPVDESSQELPGASSADDVIDDASENNWSHAHASGIHVDGRIILNLWRLMRAEVKLNNYSLEAVANEVLRRKVPLVPTKILNRWFATGSGRGRYRCIEYVNKRSSLNLEILNQLDLVNRTSELARVFGIDFFSVLSRGSQFRVESMLLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESAFYSDPVVVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSSVLGVSSYSADPQKIADLKNQLLLTPNGVLYVQPEVRKGVLPRLLEEILSTRIMVKKAMKKLSASQKVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAEIADSIVQCGRRTLETAISFVNQHPLWKARVVYGDTDREEAFRIGKEIASSITAMNPDPVTLKFEKVYHPCFLLTKKRYVGYSYESPEQKEPIFDAKGIETVRRDTCPAVAKILEQSIRIMFEEQDLAKVRSYLERQWTRILSGKISIQDFVFTKEVRLGTYSARASSLPPAAIVATKAILSDPRAEARYGERVPYVVIHGEPGARLVDMVIDPYGLLEVGSPYRLNALYYITKQIIPALQRVFGLVGADLNKWFNEMPRPIRETLAKRQSASGHGSFSRLGLNKKGVGKGSRIDTYYMSSHCIICGEIIQGSDTFCNNCLRNEAVVGTVVAGRTSKLEREIQHLAAICGHCGGADWIVESGIKCISLACPVFFERRKIQRELRGVSESAIEAGYYPFCCPELF >ORUFI07G26680.1 pep chromosome:OR_W1943:7:24772346:24776068:-1 gene:ORUFI07G26680 transcript:ORUFI07G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAKLLLLLVASSLCLSAAIATQQTCPADLDSKCGDAASGDWEGEFFPGIPKIKYEGPSSKNPLAYKWYNAEEVILGKKMKDWMRFSVAFWHTFRGTGGDPFGAPTKSWPWEDGTNSLDMAKRRMRAHFEFMEKLGVDRWCFHDRDIAPDGKTLTETNKNLDEIVELAKKLQEETNIKPLWGTAQLFMHPRYMHGAATSPEVKVYAYGAAQVKKALEVTHYLGGENYVFWGGREGYQTLLNTDMKRELDHLASFLQAAVDYKKKIGFNGTLLIEPKPQEPTKHQYDWDVATTFSFLQKYGLTGEFKINVECNHATLSGHSCHHELETARINGLLGNIDANTGDPQIGWDTDQFLTDISEATLVMSSVVKNGGLAPGGFNFDAKLLVPADIVFFFLRRESTDVEDMFLAHISGMDTLARGLRNVVKLIEDGSLDELVRKRYQSFDSEIGALIEAGKGDFETLEKKVLEWGEPIVPSGKQELAEILFQAAL >ORUFI07G26690.1 pep chromosome:OR_W1943:7:24777132:24780909:1 gene:ORUFI07G26690 transcript:ORUFI07G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVAVVAAAAAFPFRLFSAEARRNTKGSRSKRGSARPLKPSPPPRPSASSSAAGGGGATTFTRLPLRNAPASVEVTLDRFPTANPEPRASTFTRRNGERLGDDEEDEEEEEDEVELGLRGATTFARLPLRDSPDGGDLTIGHFDAGVAPQEGLRSRAISRQLVEHLDDVEEEEEEQVVSRLDIFEGAKGREARAFLPDEDDEDDDVVVFDPEYDGYSDDEEFVATAVEQSPRGDAIAVAELEKLKYDNDDDDDDDDEVVVFHPDDDEEVDVFEDYDDDEEEETKEKGVPAVMRCFDTAKIYAKAGDGGNGVVAFRREKYVPLGGPSGGDGGRGGNVFVEVDGDMNSLLPFRKSVHFRAGRGAHGQGRQQAGAKGDDVVVKVPPGTVVRSAAGDVELLELMRPGQRALLLPGGRGGRGNAAFKSGTNKAPRIAEKGEKGPEMWIDLELKLVADVGIVGAPNAGKSTLLTAISAAKPTIANYPFTTLLPNLGVVSLDFDATMVVADLPGLLEGAHRGYGLGHEFLRHSERCSVLVHVVDGSGEQPEYEFEAVRLELELFSPSLVDKPYIVVYNKMDLPEASERWNKFQEKLQAEGIEPYCISAMNRQGTEDVVLAAYKVLQKDRQRMKDDEEWNGPENLNHVADAIKRERRAPMNEFEIFHDKGTNTWNVVGAGIERFVQMTNWQYSESLKRFQHALEACGVNKTLIKRGVKEGDTVVVGEMEMVWTDEPSKTRSSKTMNSKDDSVRWPEFG >ORUFI07G26700.1 pep chromosome:OR_W1943:7:24782619:24786167:1 gene:ORUFI07G26700 transcript:ORUFI07G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLQSCLFSCSPATALSIRRSPLTSPAISPAASQRNCCLPRLKTTTQSCRITTAARPPLTAVQCQKGDGGGAPPAPPRRPSDYLQEEKEKVLKHYREIISIDDGCLTVRMYVNIVMAAADDSYDRKVSKKTVESYLRALRGLAAVTHVLLDDALEAVSHRAPMDSLAEYAFNSDVKPLYDDFQAEMNTLVHKIDKALDPHICRIAVWVMARATQITGTIIGLMVSRRKRALENARSKMVADSATI >ORUFI07G26710.1 pep chromosome:OR_W1943:7:24789387:24796917:1 gene:ORUFI07G26710 transcript:ORUFI07G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSRHSRWRQRPRKYQKENKEQEYLEAMIMAAHVAAAAPRLLACRWNRVAPLPTSPSRRPLQAQAAARMDRPRHAVVVRCKKKKKGAADEPAGEPGLEEGGDPHQVTMVGRRRRRAGCGGAMAVPTVAEGGRKRRGEGTGRQGGVARGRRRQSSGGKAKIGAAVRLRSGTVDDTPFNYEINKIRGYWTEIHSAKISYLTVRMYVSTFVKTAEDTYHRKVDRATILSFLCALQGLAAVSHILFEDALAFVRSSQPDYSPNHDVEVINRYYQQEIKRLINNFREASTTEALEAAPLHPVMLPHQMTGKMSS >ORUFI07G26720.1 pep chromosome:OR_W1943:7:24799567:24800523:-1 gene:ORUFI07G26720 transcript:ORUFI07G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRGSGSSSSSSSSQASLMAFSEPPKPASQPSPPSSPMSERPPSGRSRRRAQEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGAQARTNFVYTHAAYNYPPFLAPFHAPQYAAAAAAPSSVQYGGGVGAAPHIGSYGHHHHHHHHHGHGAASGASSVGECSTMPVMVPVDPHRSSMSSSLLDMDRNGHDFLFSGADDNSGYLSSVVPESCLRPRGGGAAADHQDMRRYSDADAYGMMGLREDVDDLAQMVAGFWGGGDAADQLGACGFPASGGAADMVASSQGSDSYSPFSFLSH >ORUFI07G26730.1 pep chromosome:OR_W1943:7:24808548:24811219:-1 gene:ORUFI07G26730 transcript:ORUFI07G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDLTDEERRALRGSKFAPLPAPTAPSRPQPRMAHPGGPLTTNKAAALAKFLERKLQQPDGLESLNPDLVKLAVRNAKETIKASKGEPSTSGRIVRHVSSFGDSSEDSDDSKDGEEAKGKDKSFQNEGEQSKKKKKNKKKNLKAAKDSKSQKASKKKKLKSL >ORUFI07G26740.1 pep chromosome:OR_W1943:7:24812237:24817291:-1 gene:ORUFI07G26740 transcript:ORUFI07G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAETGPAAPQDQWKSYCRTISLLAFQSFGVVYGDLSTSPLYVYKSAFSGRLNNYRDETTIFGLFSLIFWTLTLLPLLKYVIIVLNADDNGEGGTFALYSLLCRHAKFSLLPNQQSADEELSTYYQPGVGVIISSPLKRFLEKHRKLRTCLLLFVLFGACMVIGDGVFTPAISVLSAISGLKDPGPGGIPDGWVVFIACIVLVGLFALQHRGTHRVAFMFAPIVVVWLLSIGVIGLYNIIHWNHRIFLALSPHYVIKFFKMTGKDGWLSLGGVLLAITGTEAMFADLGHFTAASIRLAFVGAIYPCLVLQYMGQAAFLSRNMSAVEDSFYQSVPSLACIVVMFVTTWLMALVIIFVWQKNILLTLLFVVAFGSIEVVYLSAAVTKVPQGGWAPIVFAFMFMLVMYVWHYGSRRKYLFDLQNKVSMKWILTLGPSLGIVRVPGIGLIYTELVTGVPSIFSHFVTNLPAFHQVLVFVCVKSVPVPFVPEDERYLIGRIGPREYRMYRCIVRYGYKDVQKDDENFENHLVMSIAKFIQMEAEEAASSGSYESSEGRMAVIHTEDTTGTGLVMRDSNNEASGTSLTRSSRSETLRSLQSIYEQESGSLSRRRRVRFEIAEEERIDPQVRDELADLLDAKEAGVTYIIGHSYVKARKNSNFLKTFAIDYAYSFLRKNCRGPAVALHIPHISLVEVGMIYYV >ORUFI07G26750.1 pep chromosome:OR_W1943:7:24824366:24834951:1 gene:ORUFI07G26750 transcript:ORUFI07G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRRRQEDARGVGLRGGAAAGGMEDDAELEEGEACGDETAFVDPDVALSYIDEKIQDVLGHFQKDFEGAVSAENLAPSVSKHNGSMVPLSDDSSKKEVHQSTKVERASSTQDSLNGPSKSSDHNRFKVRIKVGSDNGLARNNAAIYSGLGLDISSPSSVEDSPDGCGSLSPEFNNVPIESPRTILQIMTCFSVPGGFLLSPLRDDLVQLTQKVVPTSKKWETNANTENVQERYEGYAAKRVKSDAKKKKAVDTKRSKSRNDVSAVMKNEIDIETPAGQKIVLEALNIPLLSNPRTMEAKDGSQFEEDPMRDTLVENKDARLKERTINSDLMAIKYENVKAEAAECLENSGPGSTGMDFSAVKGEVKFKAEKAEIHVEDRNTTSEKDFQSDRKQERKIKTESKCNATGVNFEGNKVMNERTPVVGRSIGKVSSKETLLNDINEENVSKSESRRSQKEQNMNASSSSDFLEDDRGVLSSGAVKERKNDSQSKSSHPGRKPKAKSHRDVREHLPEGSYGGKDDTLENGSGLGELRPKKIWKNDSERDSDMPGTSKREISSSLKNDRHTPAEEQRMHVPPSVSAPTANAAPMLPAPVVIEEHWVCCDICQKWRLLPYEMNPSLLPKKWKCSMLQWLPGMNRCEVSEDETTNALNALYVIPAPGNGVASVGHSHVASSGLTTSNTLNVNGHVEQNRKRKNTLSDGNVSFDVSQQMQGSVYPLSNQHAPIRSKSAADSIQFPVERDSKSVDHFVEKKRSKSKNHGSSSDGGHLVERSKKHSKVKSKREMDHDEYRTSKKIKKEERRQRQSGIDSNPGYDLASGDVPDEAKALPSKSMALQGSSERSDVPPSKYKSVSKYNSSEKSKRSKDGDVFLPEDKNKEHSYPSDAQKPDLSSKKRIVKEWEESQHNSTPPVSKMSIVNQSSSSKETCKDQNLKETKSKLTKSEEPFAMTDSKSIKVAHSNQTSRNLNNELFEDSTPFAVKSGMSEPPENRSSEQALDLAEPASSDLAYFQTTAVTSSSSKASGSQRRKQNFHVAKTSPIESVSSSPPRISNNDKVSHDKILGKDGSTCANTNNMQSLVKNTEVIVDNVRQARKSHESMLASEPVMNGFSQGNSDKDNELPQLTQGHASNGIISGRSLDDDLQHASGRKDSSLKSSNAARSHNHLHYANKNNLLTDGSSIQHRMAVLDTKGDSMVHENKRSVTSLQDRNGSTHYPPDGNPQSEVSFGKEKSHPKSNKHDMQNSKAQMLPSPLKESKVESHSAPLRSNASKLTAQLKRGNVENGGQHGITKQAISNPADTSSPVRKDNNSTGYALKEARDLKHKANRLKEEGKEQESTRLYFESALKYLHVASTLEPPPSIDGFKQCDAAQNLYSDTAKLCNFVGHAYEKSKKMAAAALAYKCVEVAYLKAAYYKYPTASKDRQMLQAIVQNPPGESPSSSASDIDNLNNNGLSKGPSSKDANSPQVTGNNLLLAARNQPHLTRLLAYTNDVNCAFDATRKSQMAIASAASNQENGIDGLSSVKTVLDFNFQSVNDLLRLVRLSMESISC >ORUFI07G26750.2 pep chromosome:OR_W1943:7:24824366:24834951:1 gene:ORUFI07G26750 transcript:ORUFI07G26750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRRRQEDARGVGLRGGAAAGGMEDDAELEEGEACGDETAFVDPDVALSYIDEKIQDVLGHFQKDFEGAVSAENLAPSVSKHNGSMVPLSDDSSKKEVHQSTKVERASSTQDSLNGPSKSSDHNRFKVRIKVGSDNGLARNNAAIYSGLGLDISSPSSVEDSPDGCGSLSPEFNNVPIESPRTILQIMTCFSVPGGFLLSPLRDDLVQLTQKVVPTSKKWETNANTENVQERYEGYAAKRVKSDAKKKKAVDTKRSKSRNDVSAVMKNEIDIETPAGQKIVLEALNIPLLSNPRTMEAKDGSQFEEDPMRDTLVENKDARLKERTINSDLMAIKYENVKAEAAECLENSGPGSTGMDFSAVKGEVKFKAEKAEIHVEDRNTTSEKDFQSDRKQERKIKTESKCNATGVNFEGNKVMNERTPVVGRSIGKVSSKETLLNDINEENVSKSESRRSQKEQNMNASSSSDFLEDDRGVLSSGAVKERKNDSQSKSSHPGRKPKAKSHRDVREHLPEGSYGGKDDTLENGSGLGELRPKKIWKNDSERDSDMPGTSKREISSSLKNDRHTPAEEQRMHVPPSVSAPTANAAPMLPAPVVIEEHWVCCDICQKWRLLPYEMNPSLLPKKWKCSMLQWLPGMNRCEVSEDETTNALNALYVIPAPGNGVASVGHSHVASSGLTTSNTLNVNGHVEQNRKRKNTLSDGNVSFDVSQQMQGSVYPLSNQHAPIRSKSAADSIQFPVERDSKSVDHFVEKKRSKSKNHGSSSDGGHLVERSKKHSKVKSKREMDHDEYRTSKKIKKEERRQRQSGIDSNPGYDLASGDVPDEAKALPSKSMALQGSSERSDVPPSKYKSVSKYNSSEKSKRSKDGDVFLPEDKNKEHSYPSDAQKPDLSSKKRIVKEWEESQHNSTPPVSKMSIVNQSSSSKETCKDQNLKETKSKLTKSEEPFAMTDSKSIKVAHSNQTSRNLNNELFEDSTPFAVKSGMSEPPENRSSEQALDLAEPASSDLAYFQTTAVTSSSSKASGSQRRKQNFHVAKTSPIESVSSSPPRISNNDKVSHDKILGKDGSTCANTNNMQSLVKNTEVIVDNVRQARKSHESMLASEPVMNGFSQGNSDKDNELPQLTQGHASNGIISGRSLDDDLQHASGRKDSSLKSSNAARSHNHLHYANKNNLLTDGSSIQHRMAVLDTKGDSMVHENKRSVTSLQDRNGSTHYPPDGNPQSEVSFGKEKSHPKSNKHDMQNSKAQMLPSPLKESKVESHSAPLRSNASKLTAQLKRGNVENGGQHGITKQAISNPADTSSPVRKDNNSTGYALKEARDLKHKANRLKEEGKEQESTRLYFESALKYLHVASTLEPPPSIDGFKQCDAAQNLYSDTAKLCNFVGHAYEKSKKMAAAALAYKCVEVAYLKAAYYKYPTASKDRQMLQAIVQNPPGESPSSSASDIDNLNNNGLSKGPSSKDANSPQVTGNNLLLAARNQPHLTRLLAYTNDVNCAFDATRKSQMAIASAASNQENGIDGLSSVKTVLDFNFQSVNDLLRLVRLSMESISC >ORUFI07G26760.1 pep chromosome:OR_W1943:7:24835160:24837340:-1 gene:ORUFI07G26760 transcript:ORUFI07G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRLRIRTLSTAAAHHPFDGIPHPSLARHRRVNPIAFSAAVRASDPASLPALHAVAVTTGLHGFAAVTNSLAARYAKTGSFPSAVGVFAAARARDVSSYNTILSAFPDPAEALDFASWMLRSGAVRPDAVTCTVALSLAAGRGEGFLVRQLHALAWRSGLAADVFVGNALVTAYSRGGSLGEARSVFDDMPARDLVSWNALICGLAQDGDCPAEVIGVFLRMLRDGDVQPDRISVCSVIPACGGEGKLELGRQVHGFAVKLGVEGYVSIGNVLVAMYYKCGAPGSARRLFDAMSERDVVSWTTAISMDGEDALTLFNGMRRDGVPPNEVTFVALMSALPADCPARGAQMVHAACLKAAVSGEAAASNSLITMYAKARRMDDARMVFDLMPRREIIAWNAVISGYAQNGRCNDALELFSSMARCLTPNETTFASVLSAVTAVETVSMAYGQMYHSRALSMGFGDSEYVAGALIDMYAKRGNLEESRKAFHETEQRSLIAWTAIISANARHGSYGAVMSLFGDMARSGVAPDGVVLLAVLTACRYGGMVDAGRDIFDSMAADRGVELWPEHYSCVVDMLGRAGRLAEAEELMMRMPAGPSVSALQSLLGACRIHGDAEIGERIARILTEKEPTESGAYVLLSNIYADVGDWDGVAKVRRKMRDRGVKKEIGFSWVDAGAGEALHLHKFSSDDTTHPRTEEIYAVADVLGWEMKFLKNCSQMEMILI >ORUFI07G26770.1 pep chromosome:OR_W1943:7:24837469:24839200:1 gene:ORUFI07G26770 transcript:ORUFI07G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVLQPAAAAAAWPRFLPSPRRSAAQTYQVLSMHKFEWKRPFSGSHRSGILLVCRSSGSSVKAATSSRPEAVSSSHNDQSSKHLFGSVSDAYTIISGYWIGPDMDDGCGNVVAILQRILA >ORUFI07G26780.1 pep chromosome:OR_W1943:7:24839468:24845137:1 gene:ORUFI07G26780 transcript:ORUFI07G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRALEVTLISARNLKKVNLITPMEVYAVVSVSGNPLARQCTLPDRHGGRNPTWNATLHLAVPAAAPGAFLHVLLRTERALGDRDVGEVFVPVADLQLAAAAHYQYLVHKVQSTTEHCGVLSLSYRLGPVVVPAPPLAADTGAVPAYLVVPCYANAPPYVYLSPANPARGEAASASPSPPPRRKRSGDFGQWLGGAVRGMLSGEAMSPDTAAYDAGYKTLIGVADGRRVNIPSLHSITSCLSKQASNRCSQLFDQSELAMAYRVLEVTLHSARDLKNVNFISRMEVYAVATISGDPLTRQCTPPDPYGGRHPAWNATLRFTVPPTAASAAGCLHVLLRAERSLGDRDIGEVIIPLADVLSGPYDLGARPPQFASYQVRKLHRSETRGVLHLSYRLGPVVAPPCVFAYPAPPPPPPQLFETAPPSPPYVPPPPDAYLRKPSPPSPPPAKPSPPPPPQTQTQPLAKPPAPATPSRAGGHVAAVAKADRHVSRPSPAKADWQMVGTPTATKGASKHGSLEFERGLNAGLVGGAIGGGLVAPRWCPTRRSTTPATGPGSPTATDGPSTKPSSPWLQVQRHAWSVGEDA >ORUFI07G26790.1 pep chromosome:OR_W1943:7:24846357:24849626:1 gene:ORUFI07G26790 transcript:ORUFI07G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTPPAVAVAGESPPPLVHLAFNLYSTGFIAATATGLRVFSCFSSPLNKVFARDVEVCPEDDGCGGGGWKVAIAEMFNEAFAAVVFRREKGGGGGTVDKICFWSIPNGRMYCMHKTLPFDGAVRGVRLVGEFLLVAGDERAALYELPHAGAPPKKVKVVETAANPLGLGAVVQPDGNARFVAAAPQRMKGMVQVHRLAEDHVYVRAHYSSLAAIALSADGRLLATAGSKGTLVRIFSTSDGKLLQATFKLRGLYEESGATAAAGDMRDRNQSTCAVVRRAGAGFEVRRFRPGSLNYSSRYDSVRIGGGDDDDDVRSVHVHGDRTVVVHAGRVDVFGLDDGRRKAAVLQRRVETGDNRAGACAVSRGPPGSPFAFACPGVNDGNLRVERWVGGFTPLVIGAHRWRVASVAMSWDAKLVATASVKGTIVRVFRVADGELLQEMKRGFDRADIYSIVFSPDSEWLAVSSDKGTVHVFHINVCSPSSSKTGCQDTTQSYESYGAKAMKKYVSSIKDLLTLGYFDPERSVAQFHLCDNVKYLVAFGTRPNKNIVLIIGMDGSFYRCQFDPVNGGEMKQLEYTNFLNM >ORUFI07G26800.1 pep chromosome:OR_W1943:7:24852277:24854631:1 gene:ORUFI07G26800 transcript:ORUFI07G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALDEKETKMVFEKLFKFTGPNLKHLLERPAVEGPDPQAGRYCLRLHKNRVYYASEALVRRATAVARPRLAGVGTPIGKFTHGGAFHLTVHALDLLAAHARRRVWLKPDTERSFLFGNSVPKSSLARITENTKANDGVVVMSMADVPLGFGIAARSAQDCRKADTNAVVVLHQSDAGEYLRREEELM >ORUFI07G26810.1 pep chromosome:OR_W1943:7:24858427:24860105:1 gene:ORUFI07G26810 transcript:ORUFI07G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNKRGPYGEYPQVQAEKARKRTLSDQFEAKLAKSMASQERKISRRKDPISGCLSSIMLRSAAIICMAFRTQQQPMHLIVERTGNLHQLLQLQHMVPKKVEEPRVAKKL >ORUFI07G26810.2 pep chromosome:OR_W1943:7:24858427:24860105:1 gene:ORUFI07G26810 transcript:ORUFI07G26810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNKRGPYGEYPQVQAEKARKRTLSDQFEAKLAKSMASQERKISRRKDPISGCLSSIMLRSAAIIWTGNLHQLLQLQHMVPKKVEEPRVAKKL >ORUFI07G26810.3 pep chromosome:OR_W1943:7:24858427:24860105:1 gene:ORUFI07G26810 transcript:ORUFI07G26810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNKRGPYGEYPQVQAEKARKRTLSDQFEAKLAKSMASQERKISRRVPKKVEEPRVAKKL >ORUFI07G26820.1 pep chromosome:OR_W1943:7:24867714:24868031:1 gene:ORUFI07G26820 transcript:ORUFI07G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVWVFRNNGVMELEEQATSRKALVHVATSEVIRSTEALERRLGALGWERYYEDRATLQLHRRDGSADLISIPRDFSRFRSTHMYDVVVKNRDHFKVVDLHT >ORUFI07G26830.1 pep chromosome:OR_W1943:7:24870931:24874334:1 gene:ORUFI07G26830 transcript:ORUFI07G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPLTAPAPAPATPPRLAGFEQLDARIKELTSSQGELLDRIQKLKLNWIIGEFLCLDGKMMENEQQKCFCRRCRTGAPTSRHRSRQARMSYCSWFWHMILGNEGNQSNEDTNQAPQPREPAQEFDTDAHMEKQTAMEA >ORUFI07G26830.2 pep chromosome:OR_W1943:7:24871289:24874334:1 gene:ORUFI07G26830 transcript:ORUFI07G26830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPLTAPAPAPATPPRLAGFEQLDARIKELTSSQGELLDRIQKLKLNWIIGEFLCLDGKMMENEQQKCFCRRCRTGAPTSRHRSRQARMSYCSWFWHMILGNEGNQSNEDTNQAPQPREPAQEFDTDAHMEKQTAMEA >ORUFI07G26840.1 pep chromosome:OR_W1943:7:24873085:24874740:-1 gene:ORUFI07G26840 transcript:ORUFI07G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSESPAAAAAPAKLPATPLAGFVSLLAARRFAAAKSLLASLVTPRLLAVPFADLAAASLPRAAPRHAVTAFYDMLFRAYADSGAAARAAEAFELTVSRLGGLDPRSLTSSLLSLRRAGHLDTAADLLKQAATSCPDSVTPLSASVVVDGYCKSGRVAHARQLLDEMPRHGVKVNALCYNSLLDAYTREKDDDRVAEMLKVMENEGIEPTVGTYTILVDGLSAARDITKVEAVFEEMKSKNLSGDVYFYSSVINAYCRAGNVRRASEVFDECVGNGIEPNEHTYGALINGFCKIGQMEAAEMLVTDMQVRGVGINQIVFNTMIDGYCRKNMVDKALEIKMIMEKMGIELDVYTYNTLACGLRRANRMDEAKNLLRIMIEKGVRPNHVSYTTLISIHCNEGDMVEARRLFREMAGNGAEPSLVTYNVMMDGYIKKGSIREAERFKKEMEKKGLVPDIYSYAALVHGHCVNGKVDVALRLFEEMKQRGSKPNLVAYTALISGLAKEGRSEEAFQLYDNMLGDGLTPDDALYSALVGSLHTDKKQNVKPRTN >ORUFI07G26850.1 pep chromosome:OR_W1943:7:24883620:24885217:1 gene:ORUFI07G26850 transcript:ORUFI07G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEAEPLQYTTTVLRVSIHCEGCKKKVKKVLQNIEGVYKVTIDAAQHKVTVTSSVGADVLVRRLHKSGNPATVGPSPPVAAAAKQKPDEVAAAPPPAAAPAPAGERGKGAEKKAKEAAKEAGTESSEKKPEKEKKAEAKKPAKDDAAKKEGEVPEKEKGSPEPNKESAAAADDEEEGGEESGGKKGKKKKNKQKDGGGEADAAAPEKPAAKAPPAAPAPSMPAPAPTLSSPERPHGGFPYYSPQPVMSYNVAHPSASVSSYYAPTPVMSMQPTPMPPPPQMSYGYSPYPPMMMPPPPPPEYLYGPPGMRSSPPQESYSNMFNEENPSSCSVM >ORUFI07G26860.1 pep chromosome:OR_W1943:7:24893286:24900088:-1 gene:ORUFI07G26860 transcript:ORUFI07G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLKKHTKSRGHGTTTGSAPYAASIRILWSLLLESGDSDYKGSGALEELEMLTVNAKEAQELILTKILERNQATEYLSKFMNGSTNISAFKRHVPVVTYDKVHPYILRIATGEESSILCGEYILELLRSSGTSRGEPRLMPSILKDLDRRTYLYSLIMPIMNKYISGLGEGKAMYLLFVKAETLTDSGIPVRSVLTSYYKSPHFLHRKHDLYNNYTSPDEVILCPDSQQSMYCQLLCGLVERQHVLRIGAVFASAFLRSISFLEQHWRDLVNDIRIGQLNSSITSPACRLAMLNFLALPNPELADQVEAICSCGSWKGILGRLWPNVKYIEAVLTGTMAQYIPMLEFYGGGAIPFVCTMYASSESYFGVNLSPLCSPADVSYTILPNMAYFEFIPLEDGLRLTDHEEVIENDKLVSLVDVKVGCYYELVVTTFSGLYRYRVGDVLQVTGFYNRAPQFKFICRRNVILSIDSDKTNEEDLHNSVTTAKKILENQNYLLLEYTSYTDISTVPGHYVLFWEIKSTHDERPAPLDAQLLESCCAAVEESLDYVYRRCRAHDRSIGPLEIRLVEAGAFDALMDLLVSHGSSINQYKTPRCIESSLALKLLNSKVIACFFSPQDPECGM >ORUFI07G26860.2 pep chromosome:OR_W1943:7:24898217:24901264:-1 gene:ORUFI07G26860 transcript:ORUFI07G26860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEQAGDLAAVASSSRFNNAAMGGAAEASSSERDSMKGRTKKLLVDKMVSASGEWRLWGPS >ORUFI07G26860.3 pep chromosome:OR_W1943:7:24893286:24897827:-1 gene:ORUFI07G26860 transcript:ORUFI07G26860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHNLDYKGSGALEELEMLTVNAKEAQELILTKILERNQATEYLSKFMNGSTNISAFKRHVPVVTYDKVHPYILRIATGEESSILCGEYILELLRSSGTSRGEPRLMPSILKDLDRRTYLYSLIMPIMNKYISGLGEGKAMYLLFVKAETLTDSGIPVRSVLTSYYKSPHFLHRKHDLYNNYTSPDEVILCPDSQQSMYCQLLCGLVERQHVLRIGAVFASAFLRSISFLEQHWRDLVNDIRIGQLNSSITSPACRLAMLNFLALPNPELADQVEAICSCGSWKGILGRLWPNVKYIEAVLTGTMAQYIPMLEFYGGGAIPFVCTMYASSESYFGVNLSPLCSPADVSYTILPNMAYFEFIPLEDGLRLTDHEEVIENDKLVSLVDVKVGCYYELVVTTFSGLYRYRVGDVLQVTGFYNRAPQFKFICRRNVILSIDSDKTNEEDLHNSVTTAKKILENQNYLLLEYTSYTDISTVPGHYVLFWEIKSTHDERPAPLDAQLLESCCAAVEESLDYVYRRCRAHDRSIGPLEIRLVEAGAFDALMDLLVSHGSSINQYKTPRCIESSLALKLLNSKVIACFFSPQDPECGM >ORUFI07G26860.4 pep chromosome:OR_W1943:7:24898741:24901264:-1 gene:ORUFI07G26860 transcript:ORUFI07G26860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEQAGDLAAVASSSRFNNAAMGGAAEASSSERDSMKGRTKKLLVDKMVSASGEWRLWLASVT >ORUFI07G26870.1 pep chromosome:OR_W1943:7:24902992:24910799:1 gene:ORUFI07G26870 transcript:ORUFI07G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLFPNVSFSHVSVPAAAGASTEVTGGATAVFGGDASTGAPRLSLVWSGETQAKHTLEIDLSDAQIFKLGPTEWLCVSGESEAKDGVEEKSYSRAIKVVLRTEAESKAFYLAFQQWKHRVISGKAGEPLENGLIIGSKSKFDTKIEASSAKMYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEHAQRLISGNPSLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPGGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGSAFQGYFSQPVVDAFDPRLLVSPPTFHTLDFTTMKEEELYEIDIPLNFVASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCILSQPLYVMAGQEITGRLHLVAHSAQSYTIYLTMSAKMWGEGAEQGGILQTSTAKLELKEPYYRLSQPQPYVMQQDQQQQQLPSLQPQGSEQQMQDGLSPSITIEPDQDSAAFTR >ORUFI07G26880.1 pep chromosome:OR_W1943:7:24911330:24914585:1 gene:ORUFI07G26880 transcript:ORUFI07G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPNPQVEREVEVEEVRRPKLRYLEFVQVAAAQATICLAGLYALAKDHAGPLRPGVDAVESAVKGVVGPVYGRFHGVPLDVLAFVDRKVDDTVQELDRHLPPTLKAASAKACAVARGVPDVARELTAEVQQSGVTGAARVAYAKVEPVAKGVYGRIQPAAKDLYVRYEPAAEHLAVSTWRSLNNLPLFPQVAQIAVPTAAYWAEKYNKVIAAAADKGYTGAQYLPAIPTERIAKVFGELSPEAQPSKSESAKTQ >ORUFI07G26890.1 pep chromosome:OR_W1943:7:24919930:24920136:1 gene:ORUFI07G26890 transcript:ORUFI07G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTAKVISGGGYAHNDPVFGRHGALYMVPYVRRDMLITDNHLPLLLLQKLVAVETGKEAQRNGNGHH >ORUFI07G26900.1 pep chromosome:OR_W1943:7:24920262:24920949:1 gene:ORUFI07G26900 transcript:ORUFI07G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKEHRALHPLDLFRKSLLSGQHHRPRGDRGRGGGGAARRDDRRHDDDEEEANGGIIRSAAELYEAGIRFRPGDHED >ORUFI07G26910.1 pep chromosome:OR_W1943:7:24925669:24936458:1 gene:ORUFI07G26910 transcript:ORUFI07G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRRSAAAKRRASSEEAASPATDGAAAGAGAAGSSTPRRRSGKRAKAEATVGTPAAKAGGADATAAAAIDVIDSSVENLHGVARPTGAVPASSTVSNSGVKKKRTKYINVPSAEELSLWKARQAVANGRAEAWGRLISQSSESPSVPIYTTHFTVGHGGNYDLRLTESFPGSLICKLKHVKRGAALEIYVSKAVHVNGKVLDKTAKVTLVGGDEIFQQLPEERSSTSTFSATCAFQQGQYPVTKGTLDVSSKGAKLSVMPFNFGNGRPPLVPHDTEIVSSLCKTMEEQSQLASEENLQVAQHQLLKEDLKKVVVNASDISDSFDSFPYYLSENTKNALLSSAYVNLCCKESIKWTKHISSLCQRVLLSGPAGSEIYQESLVKALTKHFGAKLLIIDPSLLASGQSSKSKESESYKKGDRVRYIGSVQSTGIILEGQRAPDYGSQGEVRLPFEENESSKVGVRFDKKIPGGIDLGGNCEVDRGFFCPVDSLCLDGPGWEDRAKHPFDVIYEFASEESQHGPLILFLKDVEKMCGNSYSYHGLKNKIESFPAGVFIVGSQIHTDSRKDKSNSGSPFLSKFPYSQAILDLTFQDSFGRVNDKNKEALKIAKHLTKLFPNKVTIQTPQDELELSQWKQLLDRDVEILKAKANTSKIQSFLTRNGLECADIETSACVKDRILTNECVDKVVGYALSHQFKHSTIPTRENDGLLALSGESLKHGVELLDSMQSDPKKKSTKKSLKDVTTENEFEKRLLGDVIPPDEIGVTFEDIGALENVKETLKELVMLPLQRPELFSKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRKKILSVILAKEDLADDVDLEALANLTDGYSGSDMKNLCVTAAHCPIREILEREKKERASAEAENKPLPPPRSSSDVRSLRMNDFKHAHEQVCASITSDSRNMTELIQWNDLYGEGGSRKKTSLSYFM >ORUFI07G26920.1 pep chromosome:OR_W1943:7:24937177:24938609:-1 gene:ORUFI07G26920 transcript:ORUFI07G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHQTNHRLPPQIIIFQTYNSAEVIKFMARVYSSFPPPPPSPPPPSTTSEQRKVFTLWMKSLVLNGRGCTVYDSTGGIVYRVDNYGSSCSDNVYLMDLRGKIVLNILKKKLAFGKWEGYKWSSGERQRDAAAGAGAGGAWFTVTRPCSSILFQRRRRPSSTCEFRSGGGGGDDGGRAMRYKMDDCSGGGGGGGKQQAWCRIVDGATGAVVAEVKGKTTAGGVALGDDVLTLTVEPNVDHALIMGMVLVYGLMNHTM >ORUFI07G26930.1 pep chromosome:OR_W1943:7:24941109:24942533:-1 gene:ORUFI07G26930 transcript:ORUFI07G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAERERLRVLMFPWLAHGHINPYLELATRLTTTSSSQIDVVVHLVSTPVNLAAVAHRRTDRISLVELHLPELPGLPPALHTTKHLPPRLMPALKRACDLAAPAFGALLDELSPDVVLYDFIQPWAPLEAAARGVPAVHFSTCSAAATAFFLHFLDGGGGGGGRGAFPFEAISLGGAEEDARYTMLTCRDDGTALLPEGERLPLSFARSSEFVAVKTCVEIESKYMDYLSKLVGKEIIPCGPLLVDSGDVSAGSEADGVMRWLDGQEPGSVVLVSFGSEYFMTEKQLAEMARGLELSGAAFVWVVRFPQQSPDGDEDDHGATAARAMPPGFAPARGLVVEGWAPQRRVLSHRSCGAFLTHCGWSSVMESMSAGVPMVALPLHIDQPVGANLAAELGVAARVRQERFGEFEAEEVARAVRAVMRGGEALRRRATELREVVARRDAECDEQIGALLHRMARLCGKGTGRAAQLGH >ORUFI07G26940.1 pep chromosome:OR_W1943:7:24947088:24951790:1 gene:ORUFI07G26940 transcript:ORUFI07G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSFSVSPILSLKLAFAVAFAVSFSVSCCAAPPSPRAVAADLLAVLAGPGAAARVPPAAESARLRACLRFLSPVLPLPAAAAISEGRAARKVLVERGGADEADGMVMWPPAPVMELARLAVDSGGDPGAIHRALDPTMLPDINSYLAFLFELIAARGPSVGLNVSLSRYDFFHGHLFLASGTGRLGILFHAKEYPAFDKELFPYSLGFCQAGSNVVYDDSMNLRNILWLAPLPSNETKAWLSPGVLVVLDAHPDGIIYQDMIRDYVRDVRTIYEDDFGEVSVDVNYLNVANSAPANRVFHLLKI >ORUFI07G26950.1 pep chromosome:OR_W1943:7:24950057:24952259:-1 gene:ORUFI07G26950 transcript:ORUFI07G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDHIELHQKRHGRRLDYEERKRKRAAREVHKRSRDARQLLGAKGKRFAKKRYAEKAQMKKTLKMHDESTSRQKVDDVQEGALPPYLLDRDQTQRAKVLSNTIKQKRMEKAGKWEVPLPKVRPVAEEEMFKVLRTGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLDIISVKKNPNGQMYSTLGVLTRGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >ORUFI07G26960.1 pep chromosome:OR_W1943:7:24954121:24957700:-1 gene:ORUFI07G26960 transcript:ORUFI07G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQPFAKKYEKYMKGMDEELPGVGLKRLKKLLKKCRSDLQSHENDGSSAGRCPGHCSVCDGSFFPSLLNEMSAVIGCFNEKAKKLLELHLASGFKKYTMWFTSKGHKSHGALIQQGKDLIHYSKQGQEFKAQAQSLHIEILQSPWLCELMAFYMNLRRSKKNNGAMELFGDCSLVFDDDKPTISCNLFDSMRVDISLTCSICLDTVFDPVALSCGHIYCYLCSCSAASVTIVDGLKSAERKSKCPLCRQAGVFPNAVHLDELNMLLSYSCPEYWEKRIQMERVERVRLAKEHWESQCRAFLGM >ORUFI07G26960.2 pep chromosome:OR_W1943:7:24953421:24957208:-1 gene:ORUFI07G26960 transcript:ORUFI07G26960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAKKYEKYMKGMDEELPGVGLKRLKKLLKKCRSDLQSHENDGSSAGRCPGHCSVCDGSFFPSLLNEMSAVIGCFNEKAKKLLELHLASGFKKYTMWFTSKGHKSHGALIQQGKDLIHYSKQGQEFKAQAQSLHIEILQSPWLCELMAFYMNLRRSKKNNGAMELFGDCSLVFDDDKPTISCNLFDSMRVDISLTCSICLDTVFDPVALSCGHIYCYLCSCSAASVTIVDGLKSAERKSKCPLCRQAGVFPNAVHLDELNMLLSYSCPEYWEKRIQMERVERVRLAKEHWESQCRAFLGIPLVVCGA >ORUFI07G26970.1 pep chromosome:OR_W1943:7:24961549:24963641:-1 gene:ORUFI07G26970 transcript:ORUFI07G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVAAAIFLRLRQVRRIWPPSHLESLSRPAPSSSSRAGGPPPASHRPPLPPAETQQPVFSNVLAWIGLDRSWTGKK >ORUFI07G26970.2 pep chromosome:OR_W1943:7:24961558:24963413:-1 gene:ORUFI07G26970 transcript:ORUFI07G26970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVAAAIFLRLRQVRRIWPPSHLESLSRPAPSSSSRAGGPPPASHRPPLPPAETQQPVFSNVLAWIGLDRSWTGKK >ORUFI07G26980.1 pep chromosome:OR_W1943:7:24965554:24966736:1 gene:ORUFI07G26980 transcript:ORUFI07G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGEQGKTVVVVGVDDSEHSNYALEWTMQHLASGMAGSGGAELVIVHAKPSPSSVVGFGAGPGSGEVVRYVEADLRKTAEDVVEKARRLCIANAMHALIEVIEGEPRYVLCNAVEKHSAGLLVVGSHGYGAIKRAFLGSVSDYCAHHAHCSVMIVKQPKAKRSRAETA >ORUFI07G26990.1 pep chromosome:OR_W1943:7:24967138:24971280:-1 gene:ORUFI07G26990 transcript:ORUFI07G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNQLRPYEYTTRVYDVRHDQTPRLVSSPRLASSPAACAEQPPISATRAAAPASCYFRRVLAEGRMSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGFAFVEFEDPRDAEDAIRGRDGYNFDGNRLRVELAHGGRGNSSSFNNSGGGGRRGGVSRHTEYRVLVTGLPSSASWQDLKDHMRNAGDVCYSEVYREGGGTIGIVDYTNYDDMKYAIRKLDDSEFKNAFSKAYIRPFILTKPKPKSRSQLQQKQESKISIEISFFPFKIRVKGALSIKPANGDAASPKKRSPSRSPPKKRSPSRSPSRQVRMMLMCFWGRSVEESWS >ORUFI07G26990.2 pep chromosome:OR_W1943:7:24967138:24971280:-1 gene:ORUFI07G26990 transcript:ORUFI07G26990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNQLRPYEYTTRVYDVRHDQTPRLVSSPRLASSPAACAEQPPISATRAAAPASCYFRRVLAEGRMSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGFAFVEFEDPRDAEDAIRGRDGYNFDGNRLRVGAMRCWWNLHMVGEVTLPPLTILVEGDVAGVYLGIQSIADHMRNAGDVCYSEVYREGGGTIGIVDYTNYDDMKYAIRKLDDSEFKNAFSKAYIRPFILTKPKPKSRSQLQQKQESKISIEISFFPFKIRVKGALSIKITSKISVSKYLSSPKKRSPSRSPPKKRSPSRSPSRQVRMMLMCFWGRSVEESWS >ORUFI07G26990.3 pep chromosome:OR_W1943:7:24967138:24971280:-1 gene:ORUFI07G26990 transcript:ORUFI07G26990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNQLRPYEYTTRVYDVRHDQTPRLVSSPRLASSPAACAEQPPISATRAAAPASCYFRRVLAEGRMSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGFAFVEFEDPRDAEDAIRGRDGYNFDGNRLRVGAMRCWWNLHMVGEVTLPPLTILVEGDVAGVYLGIQSIADHMRNAGDVCYSEVYREGGGTIGIVDYTNYDDMKYAIRKLDDSEFKNAFSKAYIRPFILTKPKPKSRSQLQQKQESKISIEISFFPFKIRVKGALSIKPANGDAASPKKRSPSRSPPKKRSPSRSPSRQVRMMLMCFWGRSVEESWS >ORUFI07G27000.1 pep chromosome:OR_W1943:7:24971856:24972209:-1 gene:ORUFI07G27000 transcript:ORUFI07G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASLSAVAARPLSVAGLKKPLCASFQPVPRARPAAAARMAVRASASMKERATAGLTAAAMAAAMVLPDVAEAAQGSLTPSLKNFLLSIVSGGVVLVAIVGAVVAVSNFDPVKRT >ORUFI07G27010.1 pep chromosome:OR_W1943:7:24973520:24974656:1 gene:ORUFI07G27010 transcript:ORUFI07G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAPTSSPEPPEFIRGSLIPALPDDLAIHCVALLPRAAHPSLALVSRAFHTLLCRDPGPLLAARRRLRLSDPHVILSLRPPASASPLFFLLPHPGWPPLPLPSPPVPVSSSSSVAVDGNQVFLFGGSVSGVPSPSVQILDPRTRSWSVGPRLSSPREFAAAVAHSGVLFVAGGCVPSSPFWAESLNLSSPDAKWSPVPSPPHFREKWMHGSASLAGKVLAVADRGGLAYDPAAPPTEAWAPVSPILDMGWKGRAAVVGGILYSYDYLGQVKGYDPDTDCWSKVEGLEQELPKFLCGATLANVGELLYLVWEGKWKGKGKAKGKGEARSMVVIDWAAIAVTKAEEGRLTGKVLSRDTIVFKDMPKGSAITHCIALEL >ORUFI07G27020.1 pep chromosome:OR_W1943:7:24977125:24977526:-1 gene:ORUFI07G27020 transcript:ORUFI07G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGAGGGGGPPHALLLMAVVLALAVAGPRVLGEGAGEAITAALTDMLSPVGLLLLPVSLVFVIRILSDDRSAAVLANVLAFGAGAPDAVHRVGGSPVGVVVVLFLVLMMVYYRPSWLLRGGAGAGGEGDGE >ORUFI07G27030.1 pep chromosome:OR_W1943:7:24980976:24982449:1 gene:ORUFI07G27030 transcript:ORUFI07G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSTMQSMREWVVDHKLRAVGTLWLTGVASSIAYNWSRPGMKTSVKIIHARLHAQALTLAALAGSALVEYYDHRSGSGSRVHQYAKQFITPESNPQKE >ORUFI07G27030.2 pep chromosome:OR_W1943:7:24980976:24982449:1 gene:ORUFI07G27030 transcript:ORUFI07G27030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSTMQSMREWVVDHKLRAVGTLWLTGVASSIAYNWSRPGMKTSVKIIHARLHAQALTLAALAGSALVEYYDHRSGSGSRVHQYAKQFITPESNPQKE >ORUFI07G27040.1 pep chromosome:OR_W1943:7:24982912:24985902:1 gene:ORUFI07G27040 transcript:ORUFI07G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSHGLARVEAPAARINFPQRIGVILAAGKPTGKIPGFMDLEYATRKKEMANKFRVMLLIKCLNWMDCNMLLDNLHLTEAAFRNRSMIRKCTGEGKIMSKKPTQAQKTEV >ORUFI07G27050.1 pep chromosome:OR_W1943:7:24990872:24994351:1 gene:ORUFI07G27050 transcript:ORUFI07G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSELIYRRGHDAQPAAGDVDGCGVMAAAGYSSSAKPPHKPPLGPLRYLLAEQRLVFALVGMAIASLVFLLAAPSSGNGGRHEVMNGGAARLAAAGLAVRQYSGVAAAAAGARVPLGLKKKGLRVVVTGGAGFVGSHLVDRLLARGDSVMVVDNLFTGRKENVLHHAGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRPNTADDPHKRKPDISRAKELLGWEPKIPLHKGLPLMVQDFRDRIFGDHKPHSVAGDN >ORUFI07G27060.1 pep chromosome:OR_W1943:7:24997068:24998829:1 gene:ORUFI07G27060 transcript:ORUFI07G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVAVAAKGGAGGKKKGSVSFVIDCSKPVEDKIMEIASLEKFLQERIKVAGGKAGNLGDSVTVTRDKTKVTVTSDGAFSKRYLKYLTKKYLKKHNVRDWLRVIAANKDRNVYELRYFNIAENEGEEED >ORUFI07G27070.1 pep chromosome:OR_W1943:7:24999401:25001614:-1 gene:ORUFI07G27070 transcript:ORUFI07G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQGERPDLGSLVDELCATGRAAEAHHRVSLLFLSSSSAAVPSRHLDGRAADGLLRRLLRARKPLLTLRLLQAAPPAALVPSLPNYNRLLALLCGSSAPLLLVLLAHRLLLRMRAAPDAATYAALLDGYARIPDPRAVRKLLDEMPRRGVAPSSLARSYLVKALLRSRDVDGAMALVDNHLWSSMERSNGEDQELKNAAFANLVQCLCIEGFFHIVFRIAEEMPQQRCGVADVFAYSQMIDSLCRSGQHHGASRIVYIMGKRGMYPSTVSYNCIVHGLCTSQKPGGRLRAYQLVMEGVRFRYHPREVTYKVLVDELCLENELAKAKDVMELMLKVTPDDDTRTRIYNMFLAALRVVDNPSEQLDVLVSMLQEGCKPDVITMNTVIHGFCKVGRAQEARRIVDDMLNGKFCAPDVVTFTTLISGYLGVGEFAEALHVLSTLMPKHQCLPNVVTYNCVLKGLLSLGLLDRAMEALEEMKSSNVIPDSVTHTVMIKGFCDAGELEKAKSFWDNVIWPSGMHDDYVYSAIFRGLCKLGKLEQACDFLYELVDSGVAPGVLCYNILVDAACKQGLKKLVYQLVKEMRRNGISPDAVTWRIIDRLHLYSDEKQDGELQMSATDGYPSSADDTVDTLISNRDEKHTPSLPSKTSKFGTVVRDDSSKCEEEEVDYSPCASEDPPNIIEPAKEEACPMNNSGAGTTMGNRGMTREEGLMKPDKQPLKRELLSRVARKVFGLL >ORUFI07G27080.1 pep chromosome:OR_W1943:7:25018287:25019162:1 gene:ORUFI07G27080 transcript:ORUFI07G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVVVLLVVAAACVLGAADAKLGRLVVTGVVPCNTGSLIDIATSPAFPNADVELRCAGKLVAGATTNSNGSFAMEADLTSGLAMLIGGCKLVVDTPLIKCDANLPAAGSLVSYLQGPLTRLLGGIFRLFPAGFSFHAH >ORUFI07G27090.1 pep chromosome:OR_W1943:7:25020671:25021538:1 gene:ORUFI07G27090 transcript:ORUFI07G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKILLVVIGVAVVSVVASAAPPAQPPRIQADVVVMGYLQCAGDAVAAVASGSATTDGKGWFRMAMNTTAALSSVASGCSLVVTTPLATCDAALPATGTLQSGLRLLVSMVFFPRGFSYVV >ORUFI07G27100.1 pep chromosome:OR_W1943:7:25022178:25023071:1 gene:ORUFI07G27100 transcript:ORUFI07G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMKSQLVLATLFLAGLVARGAEASIAGVVYCSLQCLTLPNLLPKATVRLQISSYEIPTAGNQGFIRRNSKGQFVVLLNVTSSEMMGSLMSGSGRVAVITPPPAASGGASLPAAAAAGGTTLVAPVVPHGARILGATAADNTLRQILDQLQSSSDLLPGLAQGLDYLLSNATMEVLRELADDIVPTGVSYGGDTVDAYVAFDVGPFSYSPNN >ORUFI07G27110.1 pep chromosome:OR_W1943:7:25023962:25026615:1 gene:ORUFI07G27110 transcript:ORUFI07G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPRSEDVYLKLIVKLYRFLVRRTKSHFNAVILKRLFMSKTNRPPLSMRRLVRFMEGKVPDRHAISGDQIAVIVGTVTDDKRIYEVPAMKVAALRFTETARARIINAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSNTKPYVRSKGRKFEKARGRRNSKGFKV >ORUFI07G27110.2 pep chromosome:OR_W1943:7:25023969:25026615:1 gene:ORUFI07G27110 transcript:ORUFI07G27110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTNRPPLSMRRLVRFMEGKVPDRHAISGDQIAVIVGTVTDDKRIYEVPAMKVAALRFTETARARIINAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSNTKPYVRSKGRKFEKARGRRNSKGFKV >ORUFI07G27120.1 pep chromosome:OR_W1943:7:25027282:25028026:-1 gene:ORUFI07G27120 transcript:ORUFI07G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPQREAHRAATGSRRALCASDFWPSASQAAADFDHLTAPCTFTPDQASEEPTKKRERKTLYRGIRRRPWGKWAAEIRDPAKGARVWLGTFATAEAAARAYDRAARRIRGAKAKVNFPNEDPPLDDPAADGHSHGGAAIPCREFMDYDAVMAGFFHQPYVVADGVPAVPAEEAPTVAYVHHHLPPQPQQDAGLELWSFDNIHTAVPM >ORUFI07G27130.1 pep chromosome:OR_W1943:7:25032790:25034024:-1 gene:ORUFI07G27130 transcript:ORUFI07G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFYVVLSNDTAFSWRKTSNTCYSNRGWLNNIVEEWLRNYGASLRQAASVPELPTLGKRLSVQEPQVNRASRGRYNSGGYQTVGGPRSLRRSPDCRSPVEPPWRPPDRRSPMESLEATESPEPNKPPTTSGLWGPIDLRLLTDLRSWLGLRRTEKALS >ORUFI07G27140.1 pep chromosome:OR_W1943:7:25035461:25043264:1 gene:ORUFI07G27140 transcript:ORUFI07G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSGLLRPVDDAHAIDEAALLRYAAEHVAGFPSPARGLALTQFGHGQSNPTYCIEASAPGGVTARYVLRKKPPGAILQSAHAVEREFQVLKALGTYTDVPVPKVFCLCTDASVIGTPFYIMEHLEGLIYPDNKLTGVTPTKRKTIYLAAAETLAAIHKVDVTAIGLQKYGRRDNYCKRQVERWGRQYLSSTGEGKPARYQKMLDLAHWLKEHIPKEDSSAGFGTGLVHGDYRVDNLVFHPTEDRVIGVLDWELSTLGNQMCDVAYSSLPYIIDATTSTGYSYGGFEYTGIPDGIPPLEEYLAAYCSISARPWPAASWKFYVAFSLFRGASIYAGVYHRWTMGNASGGERARFSGKIANAMVDRAWGIINRENVLREQPARGMHVSNGPSQEFQRKHEGSISTKDQGKFVPSEKVMQLRNKLMKFMEDYIYPMESEFYKRAHSTSRWTIHPEEEKLKALAKREGLWNLFIPLDSAARARELLFEDMSHGSPGSSEELLLGAGLTNLEYGYLCEIMGRSIWAPQIFNCGPPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPQVASSDATNIECSISRQGDFYVINGTKWWTSGAMDPRCQILVLMGKTDFSAPKHKQQSMILVDVKTPGVQIRRPLLVFGFDDAPHGHAEITFENVRVPATNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNLMVERALSRTTFGKKIAQHGSFLADLAKCRVELEQARLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGGAGLSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRARM >ORUFI07G27140.2 pep chromosome:OR_W1943:7:25035461:25043264:1 gene:ORUFI07G27140 transcript:ORUFI07G27140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSGLLRPVDDAHAIDEAALLRYAAEHVAGFPSPARGLALTQFGHGQSNPTYCIEASAPGGVTARYVLRKKPPGAILQSAHAVEREFQVLKALGTYTDVPVPKVFCLCTDASVIGTPFYIMEHLEGLIYPDNKLTGVTPTKRKTIYLAAAETLAAIHKVDVTAIGLQKYGRRDNYCKRQVERWGRQYLSSTGEGKPARYQKMLDLAHWLKEHIPKEDSSAGFGTGLVHGDYRVDNLVFHPTEDRVIGVLDWELSTLGNQMCDVAYSSLPYIIDATTSTGYSYGGFEYTGIPDGIPPLEEYLAAYCSISTLACCKLEVLCCLFAFSRGIYICRSISQMDYGGERARFSGKIANAMVDRAWGIINRENVLREQPARGMHVSNGPSQEFQRKHEGSISTKDQGKFVPSEKVMQLRNKLMKFMEDYIYPMESEFYKRAHSTSRWTIHPEEEKLKALAKREGLWNLFIPLDSAARARELLFEDMSHGSPGSSEELLLGAGLTNLEYGYLCEIMGRSIWAPQIFNCGPPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPQVASSDATNIECSISRQGDFYVINGTKWWTSGAMDPRCQILVLMGKTDFSAPKHKQQSMILVDVKTPGVQIRRPLLVFGFDDAPHGHAEITFENVRVPATNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNLMVERALSRTTFGKKIAQHGSFLADLAKCRVELEQARLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGGAGLSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRARM >ORUFI07G27150.1 pep chromosome:OR_W1943:7:25042298:25045875:-1 gene:ORUFI07G27150 transcript:ORUFI07G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRTKHRPLLLATTFLSLLLLLLLLSLLSTAHKAFAVADDDGHRARVLLAADGGERNADAEHAAAVDRHCAGTLHRDVCVSTLSTIPNLARKPLRDVISEVVGRAASAVRASSSNCTSYLQRPRQLRTRDRLALSDCLELFGHTLDLLGTAAAELSAGNSTAEESAAGVQTVLSAAMTNQYTCLDGFAGPSASEDGRVRPFIQGRIYHVAHLVSNSLAMVRRLPTQRRRGAEEEPLEGYGRVRRGFPSWVSASDRRRLQQQVAADVVVAKDGSGKFTTVSEAVAAAPNNSETRYVIYIKAGGYFENVEVGSEKTNIMFVGDGTWKTVIKASRNVVDNSTTFRSATLAVVGTGFLARDITVENAAGPSKHQAVALRVNADLSAFYRCSFAGYQDTLYAHSLRQFYRDCDIYGTVDFIFGDAAVVLQNCNLYARRPDPNQKNVFTAQGREDPNQNTGIAIQGCKVAAAADLVPVQANFSSYLGRPWKTYSRTVFLQSKIDSLIHPRGWLEWNGSFALDTLYYAEYMNRGDGADTSARVSWPGYHVLTNATDAANFTVLNFVQGDLWLNSSSFPYILGLS >ORUFI07G27160.1 pep chromosome:OR_W1943:7:25049136:25049897:1 gene:ORUFI07G27160 transcript:ORUFI07G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARAVRLIASRPPSTNLRSLVVVPGRRRLLSTSTEAGGAGDPSVHSGDPPSDDYPDRPPKFSGAEEATGGGDHGKNPSTAAATPSESTKERVPPFAMSDKLGSQELADPAGGSSFTQKRRRSSSSRPADSREEATPGGEEAAGRKVREEDREYYQTHKPSPLAELEFADTRKPITRATDGGSAADRLSDVPGKVAEDTADDSLARAEAMFREAASRGNPEWPHSRALAEMLARRRGEGDAAGSRSSAPWGS >ORUFI07G27170.1 pep chromosome:OR_W1943:7:25058746:25070780:-1 gene:ORUFI07G27170 transcript:ORUFI07G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSLLYTLDIMFTSWFLEDLMKPVCKVKPDCKVIERARPWAPFFLAGLVSTAYGMVIVFFAGGQPASAFFLGDFGVCFILVGLIVIIARSQHERTQDDKGLWAASI >ORUFI07G27180.1 pep chromosome:OR_W1943:7:25090262:25090504:-1 gene:ORUFI07G27180 transcript:ORUFI07G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFFAGGSPSAVLLGDFGVYYIIIGLIVIITGLKRAGAQSDNEGRGVGVSVLVYILVLLVATAITGKIDPRLYYCDKVV >ORUFI07G27190.1 pep chromosome:OR_W1943:7:25091766:25092368:-1 gene:ORUFI07G27190 transcript:ORUFI07G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAPVLEALLITFTVATVGFVFIIGTFAVRRFISPLNLSVLAAFWAWGLIAVKRFYFWGQRNGRDQMFFLILQIHVYEISSFAMLLLLMLFNMRPNDLEALSRSVVSVKLFLAGLCITGYGMGLFFTGGPQEMYLFFRGDLGVYLIAFGMVVLYYGHRCRRPQPAAAAQGHNAAGLPGPLIVYILVILAATYVAGDLK >ORUFI07G27200.1 pep chromosome:OR_W1943:7:25117174:25125173:-1 gene:ORUFI07G27200 transcript:ORUFI07G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEASASPRRRSQMPQQQLCHLHGSTPSQRQKFPEEQLPGDTVEGSFDLRFAALKSIGEDRVNDRELKLLLKKKHAPICYVWCDPCPWMHISQGIMKTLNINKMVESGFKVKILMADWFSQMNSEISGNLNKMRTVGRYNIEMWKATGMALDKVDLVWLSDEIRRHGDEYWPIVMDIARTNSVISLESLLIEHRMLDQYMQCASILFQKADIWLLGMEQHDANLLARQYYKHFKKKNKAIAVLDSIFSLLYVLFQVVTCACAFGIWFLDGGTYMPRHLHKICFLIYYNTLKWRTGGIQLGLSSWKMISSGMGFGFVNQCDHRVVEICYLMQLIEDICFKMEKAFCPPKLAEGNPCLEYIKYIILPWFGMFEVVQKKGNGGKKTFLSMEELTADYESGALHPADVKLALEKSLNEILQPVRDHFGRNGEAKDLVEAIGECYGAD >ORUFI07G27200.2 pep chromosome:OR_W1943:7:25117174:25125173:-1 gene:ORUFI07G27200 transcript:ORUFI07G27200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEASASPRRRSQMPQQQLCHLHGSTPSQRQKFPEEQLPGDTVEGSFDLRFAALKSIGEDRVNDRELKLLLKKKHAPICYVWCDPCPWMHISQGIMKTLNINKMVESGFKVKILMADWFSQMNSEISGNLNKMRTVGRYNIEMWKATGMALDKVDLVWLSDEIRRHGDEYWPIVMDIARTNSVISLESLLIEHRMLDQYMQCASILFQKADIWLLGMEQHDANLLARQYYKHFKKKNKAIAVLDNMLPNLLQYPQMENRRHPAWAIFMEDDKEDICFKMEKAFCPPKLAEGNPCLEYIKYIILPWFGMFEVVQKKGNGGKKTFLSMEELTADYESGALHPADVKLALEKSLNEILQPVRDHFGRNGEAKDLVEAIGECYGAD >ORUFI07G27200.3 pep chromosome:OR_W1943:7:25117174:25125173:-1 gene:ORUFI07G27200 transcript:ORUFI07G27200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEASASPRRRSQMPQQQLCHLHGSTPSQRQKFPEEQLPGDTVEGSFDLRFAALKSIGEDRVNDRELKLLLKKKHAPICYVWCDPCPWMHISQGIMKTLNINKMVESGFKVKILMADWFSQMNSEISGNLNKMRTVGRYNIEMWKATGMALDKVDLVWLSDEIRRHGDEYWPIVMDIARTNSCASILFQKADIWLLGMEQHDANLLARQYYKHFKKKNKAIAVLDNMLPNLLQYPQMENRRHPAWAIFMEDDKEDICFKMEKAFCPPKLAEGNPCLEYIKYIILPWFGMFEVVQKKGNGGKKTFLSMEELTADYESGALHPADVKLALEKSLNEILQPVRDHFGRNGEAKDLVEAIGECYGAD >ORUFI07G27200.4 pep chromosome:OR_W1943:7:25117174:25125173:-1 gene:ORUFI07G27200 transcript:ORUFI07G27200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEASASPRRRSQMPQQQLCHLHGSTPSQRQKFPEEQLPGDTVEGSFDLRFAALKSIGEDRVNDRELKLLLKKKHAPICYVWCDPCPWMHISQGIMKTLNINKMVESGFKVKILMADWFSQMNSEISGNLNKMRTVGRYNIEMWKATGMALDKVDLVWLSDEIRRHGDEYWPIVMDIARTNSCASILFQKLLHVLVLLVFGSWMVVLTCQDTCTRYMHRNILGCTSLTQTTDMLPNLLQYPQMENRRHPAWAIFMEDDKEDICFKMEKAFCPPKLAEGNPCLEYIKYIILPWFGMFEVVQKKGNGGKKTFLSMEELTADYESGALHPADVKLALEKSLNEILQPVRDHFGRNGEAKDLVEAIGECYGAD >ORUFI07G27200.5 pep chromosome:OR_W1943:7:25121368:25125173:-1 gene:ORUFI07G27200 transcript:ORUFI07G27200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEASASPRRRSQMPQQQLCHLHGSTPSQRQKFPEEQLPGDTVEGSFDLRFAALKSIGEDRVNDRELKLLLKKKHAPICYVWCDPCPWMHISQGIMKTLNINKMVESGFKVKILMADWFSQMNSEISGNLNKMRTVGRYNIEMWKATGMALDKVDLVWLSDEIRRHGDEYWPIVMDIARTNSVISLESLLIEHRMLDQYMQCASILFQKADIWLLGMEQHDANLLARQYYKHFKKKNKAIAVLDSIFSLLYVLFQVVTCACAFGIWFLDGGTYMPRHLHKVHAPKHTRVHILNSNYRYAS >ORUFI07G27200.6 pep chromosome:OR_W1943:7:25117174:25121348:-1 gene:ORUFI07G27200 transcript:ORUFI07G27200.6 gene_biotype:protein_coding transcript_biotype:protein_coding MENRRHPAWAIFMEDDKEDICFKMEKAFCPPKLAEGNPCLEYIKYIILPWFGMFEVVQKKGNGGKKTFLSMEELTADYESGALHPADVKLALEKSLNEILQPVRDHFGRNGEAKDLVEAIGECYGAD >ORUFI07G27200.7 pep chromosome:OR_W1943:7:25121368:25125173:-1 gene:ORUFI07G27200 transcript:ORUFI07G27200.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEASASPRRRSQMPQQQLCHLHGSTPSQRQKFPEEQLPGDTVEGSFDLRFAALKSIGEDRVNDRELKLLLKKKHAPICYVWCDPCPWMHISQGIMKTLNINKMVESGFKVKILMADWFSQMNSEISGNLNKMRTVGRYNIEMWKATGMALDKVDLVWLSDEIRRHGDEYWPIVMDIARTNSCASILFQKADIWLLGMEQHDANLLARQYYKHFKKKNKAIAVLDSIFSLLYVLFQVVTCACAFGIWFLDGGTYMPRHLHKVHAPKHTRVHILNSNYRYAS >ORUFI07G27210.1 pep chromosome:OR_W1943:7:25152835:25154631:-1 gene:ORUFI07G27210 transcript:ORUFI07G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEMVVAPVEVRRNVAAGMTGGLAYVQLQSASDHQIR >ORUFI07G27210.2 pep chromosome:OR_W1943:7:25152945:25154631:-1 gene:ORUFI07G27210 transcript:ORUFI07G27210.2 gene_biotype:protein_coding transcript_biotype:protein_coding LYFCHTSDYDGGTGARPISLIKHSAGPWELGLSEAHQISDVIENEEGQSFGCFLTPGILRKLREANDYVGKPAEPGQLLRVAGPWLRRWS >ORUFI07G27220.1 pep chromosome:OR_W1943:7:25163219:25165172:1 gene:ORUFI07G27220 transcript:ORUFI07G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRERKKAAALHEKLQILRSITHSHALSNTSIITDASEYIKELKQKVVRLNKEIACAEAAALRQNSIPTVTVETLGHGFLINVFSDKSCPGLLVSILEAFDELGLNVLEATASCDDTFRLEAVGGDNQVDEHVIKQTVLQAISNSNCSESSGDQEG >ORUFI07G27230.1 pep chromosome:OR_W1943:7:25168030:25168584:-1 gene:ORUFI07G27230 transcript:ORUFI07G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTNCHCRSMPTPQSLPHPPATARAVSATAAAPSTGVASLTAAISVVAIAAVGVASHRLPPQSPPPLLPAAVAAATSRITTASTPRSGGPRCRHRQDERRRARTAIAVAAVASCRPPPQSPPPLSPAAVGIHHRRCHRPRCNHRHPQIWRTSPSTPSARASTRPTPDAAAVTFSPRRQPPLP >ORUFI07G27240.1 pep chromosome:OR_W1943:7:25168612:25168899:1 gene:ORUFI07G27240 transcript:ORUFI07G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGRVLAAWWRRVTAQRRSAKAVSVAATTAAVIVEAVVMSAAGMELGMSGCGGGDRVCGHQRRDGLGRLAEGVGDGDI >ORUFI07G27250.1 pep chromosome:OR_W1943:7:25168961:25169640:1 gene:ORUFI07G27250 transcript:ORUFI07G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAGSAREARAAEMEAGVRRSCRWMWRVFCTRRLADGRRRFRGLTCRQSLCGGGVSGAISSVSLCWSSGGRSRLAAVDPVLDFSWVCVLAMSVCGWCFFFLFPGYDPPGYNLVIFVLLYQ >ORUFI07G27260.1 pep chromosome:OR_W1943:7:25173756:25175444:1 gene:ORUFI07G27260 transcript:ORUFI07G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLSVLLLLCLALAGSVSGQQLSATFYSRSCPRALAIIRAGGCDASVLLNDTANFTGEQGANPNVGSIRGFNVVDNIKAQVEAACKQTVSCADILAVAARDSVVALGGPSWRVLLGRRDSTTASLALANSDLPPPSFDVANLTASFAAKGLSQADMVALSGAHTVGQAQCQNFRDRLYNETNIDAAFAAALKASCPRPTGSGDGNLAPLDTTTPTAFDNAYYTNLLSNKGLLHSDQVLFNGGAVDGQVRSYASGPSRFRRDFAAAMVKMGNIAPLTGTQGQIRLVCSKVN >ORUFI07G27270.1 pep chromosome:OR_W1943:7:25183117:25205020:1 gene:ORUFI07G27270 transcript:ORUFI07G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSVAVLLCLAAAAAAQLSPTFYDTSCPRALATIKSAVTAAVNNEPRMGASLLRLHFHDCFGCDASVLLADTATFTGEQNALPNKNSLRGFNVVDSIKTQLEGICSQTVSCADILAVAARDSVVALGGPSWTVGLGRRDSTTASMDRANNDLPPPFFDLENLIKAFGDKGFSVTDMVALSENIYLKSLQGCDASVLLSGQEQNAGPNVGSLRGFSVIDNAKARVEAICNQTVSCADILAVAARDSVVALGGPSWTVLLGRRDSTTASEALANTDLPAPSSSLAELIGNFSRKGLDATDMVALSGAHTIGQAQCQNFRDRIYNETNIDSAFATQRQANCPRPTGSGDSNLAPLDTTTPNAFDNAYYSNLLSNKGLLHSDQVLFNGGSADNTVRNFASNAAAFSSAFTTAMVKMGNISPLTGTQGQIRLSCSKGCDASVLLSGQEQNAGPNAGSLRGFNVVDNIKTQVEAICSQTVSCADILAVAARDSVVALGGPSWTVLLGRRDSTTANESQANTDLPAPSSSLAELIGNFSRKGLDVTDMVALSGAHTIGQAQCQNFRDRLYNETNIDSSFATALKANCPRPTGSGDSNLAPLDTTTPNAFDSAYYTNLLSNKGLLHSDQVLFNGGSTDNTVRNFSSNTAAFNSAFTAAMVKMGNISPLTGTQGQIRLNCSKCALFQRVVMASASSLGLLLMLAALVSTATAHLSPTFYDTSCPRAMSIIKSTVTAAVNNEPRMGASLLRLHFHDCFVQARFHLTNHPGCDASILLAGNERNAAPNFSVRGYDVIDSIKTQIEAVCKQTVSCADILTVAARDSVVAVTMHAYINDTRYRARDYEFCDAELLYLLGGPSWSVPLGRRDSTGAATAAQVISSLAPSTDSLAQLISAYASKGLSATDLVALSGAHTIGMARCRGFRTRLYNETNIDAAFAAALKANCPATPGSGDGNLAPLDTTTPTAFDNAYYRNLLSNKGLLHSDQELFSNGSTDNTVRSFASSAAAFGAAFATAMVKMGNISPLTGTQGQIRLICSAVNSLAMAKATCISLLVVVALATAASAQLSATFYDTSCPRAMSIIKSAVTAAVNSEPRMGASLLRLHFHDCFGCDASVLLSGNEQDAPPNKDSLRGYGVIDSIKAQIEAVCNQTVSCADILTVAARDSVVAVSPSVVELIYQLSRSSGFTSDDPDPYI >ORUFI07G27270.2 pep chromosome:OR_W1943:7:25183117:25205020:1 gene:ORUFI07G27270 transcript:ORUFI07G27270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSVAVLLCLAAAAAAQLSPTFYDTSCPRALATIKSAVTAAVNNEPRMGASLLRLHFHDCFGCDASVLLADTATFTGEQNALPNKNSLRGFNVVDSIKTQLEGICSQTVSCADILAVAARDSVVALGGPSWTVGLGRRDSTTASMDRANNDLPPPFFDLENLIKAFGDKGFSVTDMVALSGAHTIGQAQCQNFRDRIYNETNIDSAFATQRQANCPRPTGSGDSNLAPLDTTTPNAFDNAYYSNLLSNKGLLHSDQVLFNGGSADNTVRNFASNAAAFSSAFTTAMVKMGNISPLTGTQGQIRLSCSKGCDASVLLSGQEQNAGPNAGSLRGFNVVDNIKTQVEAICSQTVSCADILAVAARDSVVALGGPSWTVLLGRRDSTTANESQANTDLPAPSSSLAELIGNFSRKGLDVTDMVALSGAHTIGQAQCQNFRDRLYNETNIDSSFATALKANCPRPTGSGDSNLAPLDTTTPNAFDSAYYTNLLSNKGLLHSDQVLFNGGSTDNTVRNFSSNTAAFNSAFTAAMVKMGNISPLTGTQGQIRLNCSKCALFQRVVMASASSLGLLLMLAALVSTATAHLSPTFYDTSCPRAMSIIKSTVTAAVNNEPRMGASLLRLHFHDCFVQARFHLTNHPGCDASILLAGNERNAAPNFSVRGYDVIDSIKTQIEAVCKQTVSCADILTVAARDSVVAVTMHAYINDTRYRARDYEFCDAELLYLLGGPSWSVPLGRRDSTGAATAAQVISSLAPSTDSLAQLISAYASKGLSATDLVALSGAHTIGMARCRGFRTRLYNETNIDAAFAAALKANCPATPGSGDGNLAPLDTTTPTAFDNAYYRNLLSNKGLLHSDQELFSNGSTDNTVRSFASSAAAFGAAFATAMVKMGNISPLTGTQGQIRLICSAVNSLAMAKATCISLLVVVALATAASAQLSATFYDTSCPRAMSIIKSAVTAAVNSEPRMGASLLRLHFHDCFGCDASVLLSGNEQDAPPNKDSLRGYGVIDSIKAQIEAVCNQTVSCADILTVAARDSVVAVSPSVVELIYQLSRSSGFTSDDPDPYI >ORUFI07G27270.3 pep chromosome:OR_W1943:7:25183117:25205020:1 gene:ORUFI07G27270 transcript:ORUFI07G27270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSVAVLLCLAAAAAAQLSPTFYDTSCPRALATIKSAVTAAVNNEPRMGASLLRLHFHDCFGCDASVLLADTATFTGEQNALPNKNSLRGFNVVDSIKTQLEGICSQTVSCADILAVAARDSVVALGGPSWTVGLGRRDSTTASMDRANNDLPPPFFDLENLIKAFGDKGFSVTDMVALSENIYLKSLQGCDASVLLSGQEQNAGPNVGSLRGFSVIDNAKARVEAICNQTVSCADILAVAARDSVVALGGPSWTVLLGRRDSTTANESQANTDLPAPSSSLAELIGNFSRKGLDVTDMVALSGAHTIGQAQCQNFRDRLYNETNIDSSFATALKANCPRPTGSGDSNLAPLDTTTPNAFDSAYYTNLLSNKGLLHSDQVLFNGGSTDNTVRNFSSNTAAFNSAFTAAMVKMGNISPLTGTQGQIRLNCSKCALFQRVVMASASSLGLLLMLAALVSTATAHLSPTFYDTSCPRAMSIIKSTVTAAVNNEPRMGASLLRLHFHDCFVQARFHLTNHPGCDASILLAGNERNAAPNFSVRGYDVIDSIKTQIEAVCKQTVSCADILTVAARDSVVAVTMHAYINDTRYRARDYEFCDAELLYLLGGPSWSVPLGRRDSTGAATAAQVISSLAPSTDSLAQLISAYASKGLSATDLVALSGAHTIGMARCRGFRTRLYNETNIDAAFAAALKANCPATPGSGDGNLAPLDTTTPTAFDNAYYRNLLSNKGLLHSDQELFSNGSTDNTVRSFASSAAAFGAAFATAMVKMGNISPLTGTQGQIRLICSAVNSLAMAKATCISLLVVVALATAASAQLSATFYDTSCPRAMSIIKSAVTAAVNSEPRMGASLLRLHFHDCFGCDASVLLSGNEQDAPPNKDSLRGYGVIDSIKAQIEAVCNQTVSCADILTVAARDSVVAVSPSVVELIYQLSRSSGFTSDDPDPYI >ORUFI07G27270.4 pep chromosome:OR_W1943:7:25183117:25205020:1 gene:ORUFI07G27270 transcript:ORUFI07G27270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSVAVLLCLAAAAAAQLSPTFYDTSCPRALATIKSAVTAAVNNEPRMGASLLRLHFHDCFGCDASVLLADTATFTGEQNALPNKNSLRGFNVVDSIKTQLEGICSQTVSCADILAVAARDSVVALGGPSWTVGLGRRDSTTASMDRANNDLPPPFFDLENLIKAFGDKGFSVTDMVALSENIYLKSLQGCDASVLLSGQEQNAGPNVGSLRGFSVIDNAKARVEAICNQTVSCADILAVAARDSVVALGGPSWTVLLGRRDSTTASEALANTDLPAPSSSLAELIGNFSRKGLDATDMVALSGAHTIGQAQCQNFRDRLYNETNIDSSFATALKANCPRPTGSGDSNLAPLDTTTPNAFDSAYYTNLLSNKGLLHSDQVLFNGGSTDNTVRNFSSNTAAFNSAFTAAMVKMGNISPLTGTQGQIRLNCSKCALFQRVVMASASSLGLLLMLAALVSTATAHLSPTFYDTSCPRAMSIIKSTVTAAVNNEPRMGASLLRLHFHDCFVQARFHLTNHPGCDASILLAGNERNAAPNFSVRGYDVIDSIKTQIEAVCKQTVSCADILTVAARDSVVAVTMHAYINDTRYRARDYEFCDAELLYLLGGPSWSVPLGRRDSTGAATAAQVISSLAPSTDSLAQLISAYASKGLSATDLVALSGAHTIGMARCRGFRTRLYNETNIDAAFAAALKANCPATPGSGDGNLAPLDTTTPTAFDNAYYRNLLSNKGLLHSDQELFSNGSTDNTVRSFASSAAAFGAAFATAMVKMGNISPLTGTQGQIRLICSAVNSLAMAKATCISLLVVVALATAASAQLSATFYDTSCPRAMSIIKSAVTAAVNSEPRMGASLLRLHFHDCFGCDASVLLSGNEQDAPPNKDSLRGYGVIDSIKAQIEAVCNQTVSCADILTVAARDSVVAVSPSVVELIYQLSRSSGFTSDDPDPYI >ORUFI07G27280.1 pep chromosome:OR_W1943:7:25205079:25205710:1 gene:ORUFI07G27280 transcript:ORUFI07G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGGPTWTVPLGRRDSTGASAALAISDLPPFTASLQELVDAFAKKGLSVTDMVALSGAHTIGQAQCSTFRGRIYNETNIDSAFATQRQANCPRTSGDMNLAPLDTTTANAFDNAYYTNLLSNKGLLHSDQVLFNNGSTDNTVRNFASNAAAFSSAFATAMVNMGNIAPKTGTNGQIRLSCSKVNS >ORUFI07G27300.1 pep chromosome:OR_W1943:7:25214468:25216610:1 gene:ORUFI07G27300 transcript:ORUFI07G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEEAPAGSGGGGGGRAEIDTSAPFESVREAVDHFGGGAAAVWSSCLVNRMLTPPKEHDQMNGQTLQLEKELIIKESQTLDVLKELESSKRIISDLKLKVQNDSAITGHPGQTEAPGAGPEERRSSENVETDGELGGLDSQSLQPPSSVLMQLEQAKAYLTRTTADLAEIRASVESLCNEIAKEKILVERSREKVCSNTSLISSLEGELDRTTHKLQTLNDRQRRREDSSHILMEIKKVTSEIEQLKSASNASKSEATMLAAEIEQTKASIATAEVRCLAAKKMEDAARAAEALALAEIKALLSGEASAGDLQGTDGVNLSLEKYFELASKAQECDVSSRKKIEAAMLQVEEANRSKSNSLNKLEEAKLEFEKCKIALQDALKRAHAANRGKLAVEESVRRWLSESGYKRHSFHDSSKLKNAADITDVSKSFLKPTLSIGQILNLKLMGPDGYDKSVWDDTTEASNVSLGQILNRRNAVFCNSDITSQKILSGKRKKFAFTGLSVLLAKQAKRQEQEK >ORUFI07G27310.1 pep chromosome:OR_W1943:7:25226668:25227999:1 gene:ORUFI07G27310 transcript:ORUFI07G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTGSVPPAASTPAAGDEAAAAGRVLLGRYELGGLLGRGASAKVYLARDLLTGRDVAIKSFPNPRHGGGLRGGEEDVLLRPAPIEREAAILPRLRHRHVMRLREILATRKKVHFVLDLAAGGELFSLLDASGRMTEDLARHYFRQLISAVRYCHSRGVYHRDIKPENLLLDDAGDLKVADFGLGAVADGALHHTLCGTPAYVAPEILSRKGYNPAKVDIWSCGVVLFVLAAGYLPFNDASLVNMYRKIYAGKFRCPAWFSPELRCLVRRILDPNPATRIDTEEIITHPWFRQDASHFAMAQLMQHGHDEEAKFKTEFKEDDMARDMTAFDILACSPGSDLSGLFGAEPGKERVFVGEPAAAVLSRVEEAGKKEGYMVTREGKKGTGPVYVKGENGGIVAKVCVFKIADAVSVVEVVKGYGAEAARFWKARLEPAMKPPAAI >ORUFI07G27320.1 pep chromosome:OR_W1943:7:25243363:25244694:-1 gene:ORUFI07G27320 transcript:ORUFI07G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRGNMLMKKYEMGKLLGQGTFAKVYHARNTETSESVAIKMIDKEKVLKGGLMDQIKREISVMKLVRHPNIVQLYEVMATKTKIYFVLEHVKGGELFNKVQRGRLKEDAARKYFQQLICAVDFCHSRGVYHRDLKPENLLLDENSNLKVSDFGLSALADCKRQDGLLHTTCGTPAYVAPEVINRRGYDGAKADIWSCGVILFVLLAGYLPFHDKNLMDMYKKIGKAEFKCPSWFNTDVRRLLLRILDPNPSTRISMDKIMENPWFRKGLDAKLLRYNLQPKDAIPVDMSTDFDSFNSAPTLEKKPSNLNAFDIISLSTGLDLSGMFEESDKKESKFTSTSTASTIISKIEDIAKGLRLKLTKKDGGLLKMEGSKPGRKGVMGIDAEIFEVTPNFHLVELKKTNGDTLEYRKVLNQEMRPALKDIVWAWQGEQPKQQQQPTC >ORUFI07G27330.1 pep chromosome:OR_W1943:7:25244791:25245798:-1 gene:ORUFI07G27330 transcript:ORUFI07G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRTMGFDVATQPSS >ORUFI07G27340.1 pep chromosome:OR_W1943:7:25251552:25255415:-1 gene:ORUFI07G27340 transcript:ORUFI07G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRRRARLSSSSLDLRVREAKATREPVPFEKEREARGRQSADAGGPQVPLPSDAGRRTLRSMPRLPLARLRTVASASAFVSSKMASCVGALLASKVKAPRRDWFNLSGGSGYEQVKGQSQLATQEGYRCRAAVGKGPTVGPTNCAISVPFALALINHRTNGSTYSPGESRKHHAFPGTDYSDSDASKVRKAMIAAANRHQNFDSENAVSQQQCADGPRD >ORUFI07G27350.1 pep chromosome:OR_W1943:7:25258316:25261940:-1 gene:ORUFI07G27350 transcript:ORUFI07G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEFGRGMAPRKREPWRTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDITHSESNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYKLEYPPEVANRSRIKEWLEKHKTLQTALLIMVMIGTCMVIGDGVLTPAISVFSAVSGLELSLSRDQHEYAVIPITCVILVFLFALQHYGTHRVGFLFAPIVLAWLICMSMLGLYNIIHWNPQVYRALNPYYMLKFLRKTKKSGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTTLVYPALILGYMGQAAYLSKHHTLNSTYQIGYYISVPESVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSENIHGQIYIPEINWLLMVLCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWHRSPALALVFFLFFGSIEVLYFSASLIKFREGAWLPIMLALILMAVMFIWHHTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPHVLPAERYLVGRVGPAGHRSYRCIVRYGYRDVHQDVDSFEAELVESLATFIKLDALYHRCSDAGSGSEQLDDGRYERENALTVIGTNPLRRCLSYEASHDGVSSVDAARSPNGIVEVPAAAAAAPVTKKPGSSLLKKLAVGVGYNFLRRNCRGPDVVLRVPPASLLEVGMVYVL >ORUFI07G27350.2 pep chromosome:OR_W1943:7:25258316:25261940:-1 gene:ORUFI07G27350 transcript:ORUFI07G27350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEFGRGMAPRKREPWRTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDITHSESNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYKLEYPPEVANRSRIKEWLEKHKTLQTALLIMVMIGTCMVIGDGVLTPAISVFSAVSGLELSLSRDQHEYAVIPITCVILVFLFALQHYGTHRVGFLFAPIVLAWLICMSMLGLYNIIHWNPQVYRALNPYYMLKFLRKTKKSGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTTLVYPALILGYMGQAAYLSKHHTLNSTYQIGYYISVPESVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSENIHGQIYIPEINWLLMVLCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWHRSPALALVFFLFFGSIEVLYFSASLIKFREGAWLPIMLALILMAVMFIWHHTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPHVLPAERYLVGRVGPAGHRSYRCIVRYGYRDVHQDVDSFEAELVESLATFIKLDALYHRCSDAGSGSEQLDDGRYERENALTVIGTNPLRRCLSYEASHDGVSSVDAARSPNGIVEVPAAAAAAPVTKKVRFVVEAASPEVEKGVVEELQELCEAREAGTAFILGHSHVQTKPGSSLLKKLAVGVGYNFLRRNCRGPDVVLRVPPASLLEVGMVYVL >ORUFI07G27360.1 pep chromosome:OR_W1943:7:25269005:25269634:-1 gene:ORUFI07G27360 transcript:ORUFI07G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSCCLQCNPCGGMLALFKRRPRALLRRAVGKMNSSRRRRRRAAGSFSSVRAVFWPLMSMRSDADRNDVAAADRPPASSSTDDDSGGGGLRAPSPSLDTPASTTAARVLALQAQLGEAAAASTKPSSGGDDGVEEACRSFEKHLMEMLVEERKVRDLMDVEELLCCWEKLRSPVFVQLVGRFYGELCMDLFSGRDTDVSSDSEDLSL >ORUFI07G27370.1 pep chromosome:OR_W1943:7:25276116:25276931:1 gene:ORUFI07G27370 transcript:ORUFI07G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSSWLFHKLRRRRSAARGEPDVVEAASKKQQPPMAAAAAAAPCSPSPNRASYYFASRERCLPPARAATDNHKLRDTRFPRSPQPNDDIVFDVVAVSASPARGQFDGMKAMPELKLRPILTKRATAKNDGDEGDALDSGTSAAASPTSRVRRFVHHAKPSSGRRKGRVAALPADATSRRRRRRRRCRWLYESLVVVKESADPEEDFLESMAEMIAANDVRSPRDLEELLACYLALNAAEHHRAIVGAFRRAWLHAAAATAAPPSPSPIK >ORUFI07G27380.1 pep chromosome:OR_W1943:7:25280746:25285612:1 gene:ORUFI07G27380 transcript:ORUFI07G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRLRLRLPFLLLVALVVSPPAVAAAASRMRIEPLPTAALRRLYDTSNYGKLQLNNGLALTPQMGWNSWNFFACNINETVIRDTDDCWSNVKRGKKDQLLPDPKTFPSGIKDLADYVHGKGLKLGIYSDAGIFTCQVRPGSLHHEKDDAAIFASWGVDYLKYDNCYNLGIKPKDRYPPMRDALNSTGRQIFYSLCEWGQDDPALWAGKVGNSWRTTDDIQDTWKSMTDIADKNNKWASYAGPGGWNDPDMLEVGNGGMTFAEYRAHFSIWALMKASTHWVHSVITRMAPIFQYVAFGLHDSNLFVLLLEQAPLLIGCDVRNMTKETMEILSNKEVIQVNQDPLGVQGRRILGQGKNGCQEVWAGPLSGNRLAVVLWNRCEESANIIVKLPSVGLDGSSPYSVRDLWKHETLSENVVGTFGAQVDVHDCKMYIFTPAVTVASS >ORUFI07G27390.1 pep chromosome:OR_W1943:7:25286923:25292432:-1 gene:ORUFI07G27390 transcript:ORUFI07G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRGRRDYGGGWWSASAGSGRMTLAAVMATRAPRPAFIRREAIRAAEAAADEVVLRVQPTEEAERTRQGIIGYLKLLFGTALGCEVFAFGSVPLKTYLPDGDIDITILGNTAPDSTFISEVRGILELEEQEDGADVAITGLQFIDAEVKLIKCVIDNIVVDISFNQIGGVTTLCLLELVDHEVGNDHLFKRSIMLIKAWCYHESHILGAHRGLISTYALEVLVLYIFNIFHKSLHSPLEVLYKFLEYFSKFDWDKYCISLNGPVPLSSLPNLTGESYSVEPSGIHDELLFGPNGSCDRLIVLKKDSDGSNMNFRPKYLNIIDPIKSSNNLGRSVSKGSFYRIRGAFSFGAQNLSQILMLPTDLIPTEIFGFFVNTLKSHGRGKRSDVGNNGSFEPSLDPESEYALWEDSSDVKESDMSEDENRSPDLQRTSDSCFYNKVSGDSFSSHSPFSQEKGNNMKRHYDCAREEYLPLGRSSMEQHIYANNQSQILTPSTRINTLDVSNSCPAETNRSDLHEEKLPLSHFSPSNLLDLSGDLDLHLECLRKVQYHLESMFDWLIQEASFSGAVNNDSFNIPTQSSFSNTDGRALRPLLVSSAYTQRGNLSRVYCSHSTREISQKSVSRTEVQVNAVCQQNVALPSGTNNRLALPPSPVADSEKSPVSPLHNTVDIVGTHGAGMHTLNNVSLLSGTDVLSNAFAQLSFPAVNSVDYKYCWSYTTTNNRATSSQKTNRGKGGTGTYIPRMNYHTYKERIFYYNGRSQREMLPDRPFKIKTNPIGYIRRRSSPEMGCSSSSNGGITFENTSHTPSKKQDHSSKSTVTAEGSFAQERAPASQEWNICTNMNMVDSQKPGNDEDLVRPNNESRELRTLHPSEVQNREMTASSSSSVELPHCVGNGLQESNTSQPSSPATEASSPIKTSLVEGLEFGSFEPILGTSFLCEKFCEEFPPLPARKWPAVAAVSTPVTVSSSPAETGSKPEGLYQLRDEADFPPLKAGARNGFNHRVGR >ORUFI07G27400.1 pep chromosome:OR_W1943:7:25296422:25299960:-1 gene:ORUFI07G27400 transcript:ORUFI07G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPKPGDQPSPGRSPNPNLNLPCPLPPIPSCGGGGGGAGPTPPPPPPPPHHRRARSEVAFRFPDDLGLGGGADGGGFDEIGSEDDLFSTFMDMEKIAGADRDRAAETSSPPRPTKHRHSASFDGFAFGAGANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQEVERLKIATGEMAKSNDAYNTGMQQVPYSPSFFQLSDQHAVQHHAGVQQLPHQFQQPHPSVPSHQMLSHPNSLSDMMQQDSLGRLQGLDIGKGPVAVKNEAEVVVKSEGSSISAGESNSTF >ORUFI07G27410.1 pep chromosome:OR_W1943:7:25302822:25308803:-1 gene:ORUFI07G27410 transcript:ORUFI07G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAAAAAALVAAKRCMNAACGAPAPSPAGGEWRKGWPLRSGGFAVLCDKCGLAYEQLVFCDIFHQKESGWRDCSFCGKRLHCGCIASKNSFDLLDSGGVQCVTCIKNSAVQSVPSPVVPKLFSSQNNQRLFGKSDDLLSGRPLETSSLMVDARNDDLTIIAKNNLPFMVKNVEAGQSSNILRQKELENGARQIKWELPTLSIGDMGRIPFLTRSQSALESRRDENKDPTTESTTSESLSEACLNMSLGIASNGNKLEATSTVERPMLSPTTGFPEGRELTTALSPFQHAQRARHFLTRPPRVGEGAVFDPTKDMLPHLRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGRPLTIQDAKGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIEPGGKLVMGFRKATNTVSLPDSQISAIANGSILGDTLFSSTNENLAIVSGYSGFLQSIKGAADLHTSSIYDHHVNSADGDVSWLKTDKFGSRPDEGSLQFLKRGRNIGSKSRRLSMDAEEAWELKLYWDEVQELLRPAPTAKPTVVMIEDYEIEEYDEPPVFAKRSIFTIRSTGEQDQWIQCDDCSKWRRLPLNVIVASKWTCADNTIDSKSCSCSAPEELTPKELHIVLQQYEDMRRRRNSFGFKQNIPEMDAVSLDAFATAAVYGDVGNQGSPSVATTTKHPRHRPGCTCIVCIQPPSGKGPKHNPACTCNVCMTVRRRFKTLMMRKKQRQSEREEAEASKKIAWMNRDEPEGSSLSRSPQTVDTTRDGDVTMFDKVDINKGHIDLNFHPTAVRDEERHGGQPRVSMVSLLEVANRPLENYMKQNGLTSLAGEQGSSSTCTGAATVPQPALVESEERTSNNDGGRVATAEQPESMAVDEAGDNQPDKAAGDSAAALA >ORUFI07G27410.2 pep chromosome:OR_W1943:7:25302822:25308803:-1 gene:ORUFI07G27410 transcript:ORUFI07G27410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAAAAAALVAAKRCMNAACGAPAPSPAGGEWRKGWPLRSGGFAVLCDKCGLAYEQLVFCDIFHQKESGWRDCSFCGKVPSPVVPKLFSSQNNQRLFGKSDDLLSGRPLETSSLMVDARNDDLTIIAKNNLPFMVKNVEAGQSSNILRQKELENGARQIKWELPTLSIGDMGRIPFLTRSQSALESRRDENKDPTTESTTSESLSEACLNMSLGIASNGNKLEATSTVERPMLSPTTGFPEGRELTTALSPFQHAQRARHFLTRPPRVGEGAVFDPTKDMLPHLRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGRPLTIQDAKGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIEPGGKLVMGFRKATNTVSLPDSQISAIANGSILGDTLFSSTNENLAIVSGYSGFLQSIKGAADLHTSSIYDHHVNSADGDVSWLKTDKFGSRPDEGSLQFLKRGRNIGSKSRRLSMDAEEAWELKLYWDEVQELLRPAPTAKPTVVMIEDYEIEEYDEPPVFAKRSIFTIRSTGEQDQWIQCDDCSKWRRLPLNVIVASKWTCADNTIDSKSCSCSAPEELTPKELHIVLQQYEDMRRRRNSFGFKQNIPEMDAVSLDAFATAAVYGDVGNQGSPSVATTTKHPRHRPGCTCIVCIQPPSGKGPKHNPACTCNVCMTVRRRFKTLMMRKKQRQSEREEAEASKKIAWMNRDEPEGSSLSRSPQTVDTTRDGDVTMFDKVDINKGHIDLNFHPTAVRDEERHGGQPRVSMVSLLEVANRPLENYMKQNGLTSLAGEQGSSSTCTGAATVPQPALVESEERTSNNDGGRVATAEQPESMAVDEAGDNQPDKAAGDSAAALA >ORUFI07G27420.1 pep chromosome:OR_W1943:7:25318542:25327961:-1 gene:ORUFI07G27420 transcript:ORUFI07G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMGCAVLLVVVASMAGEAAGRFVVEKNSLRVTSPAGLRGVYECAIGNFGMPQYGGTMHGVVVYPKANKKACRSFDDFDLSFKPKPGGLPIFLLVDRGDCYFTTKGWNAQTAGAAAVLVADDRLEPLITMDSPESSGTDYIEKITVPSALVTKKFGDDLKKALENGDMVNVLLDWRESLPHPDERVEYEFWTNSNDECGAKCDMQMNFVRNFRGTAQVLEKRGYTQFTPHYITWYCPEAFVLSKQCRSQCINHGRYCAPDPEQDFNIGYDGKDVVLQNLIQICLFKVGNETHKPWVWWDYVHDFSIRCPMKEKKYTRECANGVIKSLGLDLERINKCVGDPEADEENPVLKAEQDAQIGQGSRGDVTILPTLVVNNKQYRGKLEKSAVLKAVCSGFEETTEPDVCLSQEIQTNECLESNGGCWQDKTNNFTACKDTFRGRVCECPIARGVKFVGDGYTHCEASGVGRCQINNGGCWKETKNGKTVSACSNEESKGCKCPPGFKGDGIKSCEDIDECKDKLFCQCKDCSCENTWGSYECSCGGSNMLYMREHDTCISKVASSSVGWGFLWVIFFGLALAGIGAYAVYKYRLRSYMDSEIRAIMAQYMPLENQETPNQHRPVEHADI >ORUFI07G27430.1 pep chromosome:OR_W1943:7:25330601:25332337:-1 gene:ORUFI07G27430 transcript:ORUFI07G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPASSSGLFRFISPRRRPQSTDIAAAATWGVFAGTAAIYLVQPFDWIKKTFFEKPEPEA >ORUFI07G27440.1 pep chromosome:OR_W1943:7:25338365:25340297:-1 gene:ORUFI07G27440 transcript:ORUFI07G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDGGVGDGGAEPHEVMDDLLEMREQAAMLHSMLHGTSPSSCAAAASTRQLNQLIDGVMSRLQSSSLSVMSPGGGGGGRRGSGGRKKKGAKAVAGPHRRSSSGRRRSKSPFVRMVTTKELEDGRQWRKYGQKHIQDSPNNPRSYYRCTHRPDQGCMATKQVQTSESNSSEFVISYYGEHTCRDPSTIPFVVEAEAPAADYANLISFGSSGGASTSRVDPLHQSRHRLMAEAVDPTPSCSFANCHSPVLSSECASEAAALSSSLPLSAVVGSAVTTPSTSIVGSAPADYDWPSGLAGGDMAGSFPSSPSSLGFMTGSFGNLPGDDDDMFGFDP >ORUFI07G27450.1 pep chromosome:OR_W1943:7:25342305:25353838:-1 gene:ORUFI07G27450 transcript:ORUFI07G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKSRPARAVGLGPGAAAAAEPDAPSPSGSGGASKSRRAAKGEARRREDVCFEVDDSTWCLSDGDRRDLAELVMRDVRISGEGEGALDVAALHEAEREKRCSLRLRVRDAPEEGFRLGQWPVVPSDCVILEYAIAGMVFSGCFDGPDEGVSGLAHLVRLRFVTLRVHAFAVSRSADSVSVASFRVRLGLMEQAFATCESLLEVTRHPWRKSFMNMMAWVRPEVMTSAAIYGMDGLARPINGGANGDFTPKKDSQFDLAAFYEAVKPSMEAGLLEEELPDLLPHLRTYQLRAANWMVQREKGNTMISSPNQHYVHSAPYCVPIDFMHKKSRMFYNPFNGNVSMHPEPSPPYVSGGILADEMGLGKTVELLACIFAHRRPYSADCSVSSNIKGADQIKRHKRERVECICGAASVTSAYQGIWIQCDICDAWQHASCVGYSPKEEMHVDDDDGDEASNNEKGTLKSKNRRKKKDRYCIAETEEKYICTLCLELIEAAQTNISSNATLIVCPSPILAQWHSEIIRHTRPGSLKVCIYEGAKNTDLTSTQKSDMAEISTADIVLTTYDVLKEDLSHDSDRHDGDRRFLRYQKRYPVTPTVLTRVHWWRLCLDEAQMVESSKTSVTEMAMRLNAQHRWCITGTPIQRRLDDLFGLLRFLKTHPFDTYRWWVDIIRDPYEKGDMVAMNYAHKFFKEIMWRSSKIHVSRELQLPPQEECFSWLIFSSIEEYFYQKQHATCMDHAHEIIRRIRDDANKSEPISDSNVVSNLYLSNDDIAKLLVPLLKLRQACCHPQVGSFGLCSLQRTPLSMGEILQVLIGKAKVEGEEELRKIVVALNGLAGLAVIEQNNQEAISLYKEALALARENFDDFRVDPLLNLHINHNLAELLRASSEDLQECPLKEQTSEVHGARKRKETSPADSGLCGIKRKKICENSRSSLMTDNPETSKEDKNINTQVCGSEEMDVENDSGCHTSSVCLADGCLKNTCNSIREKYLSVFTSKLLIAQKDFSASFTEVSTLSMELQNQNMNWWLYALDCIEQNKDSADELIRKIDISSNKSTTGLGSTGMSSRVQTIAGLKYTIQTGIDSLQSSRQQVMDRLLELDKTMDSPKDEDIECQRYCPNCYDGNGSLCIQCELDDLFQGYEARLFLVKKSNNDSVIASVEEARDLQRRKYELNQFFRNTKTNEGSEPGDDNKNPRSAREGFLVYRHPSRIETSLRVILTHSKTIMGEQSAKIAKKNLLLFEAMRKEFSQARNLSIAQTQLLRAHDEIKMSLSRLQLKEKDDEPSAVNIVTREELIPYNVQFTSEKFLSLSSLARIRGQLRYLKGLVLSNKKPLGKHGESMPESGNSVAIATSIPATGQTASDIINEPCPICQEKFFDQKMVFQCGHFVCCKCCLYMTEQAAAHFGRSKKWIMCPTCRQRTDLENVAFVVENQRGNADREIEDLAESAISVQGSYGTKIEAVTRRILRITSTDGAAKILVFSSWNDVLDVLEHSLAANNISYVRMKGGRKSQTALAQFKGLASSISGEKAKKSFSKMQPAQVLLMLIQHGANGLNLLEAQHVILVEPLLNPAAEAQAISRIHRVGQDKSTFIHRFIVKNTIEESIYKLNRGRAVCSTIHRKSKNFKDELVLTLKDIESLFPVATLDQPSEQENKNYGGAGGHMRSLPPSVAAGLAAERRILEQHDNQH >ORUFI07G27460.1 pep chromosome:OR_W1943:7:25358076:25361634:-1 gene:ORUFI07G27460 transcript:ORUFI07G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPENPRSTRAPVATVASRSPQRASEPVGHSSSRQRRAGAGYDDAVALATGVGDTVAPNDLALPALLFFSKRRRSPKPATRYRGSDIELDRLAPAGYTFCCMRVMFCGLVGSWQSQTPEWFAIVLQEPTGAPVYQCGGCGTTLRAKNRTGNSQEVISAPSSLGSGLPPHSKHLGSSDVASTSGSTPEAQISSGQQGADMTSRRETDDLVSARNNAPEPERVVPAEKEEEHVQSTSQQAVGNSEDLTRGDAATAADAQCSDRASEGKVQFSERREDSNTELQDVQRSDQTESDAEGKKSSEETSQSPRRDVVELPPSSVQTPDSQPAPAVLKREDDPATSPPHGHARRSPESLAPLQKRILKTVDNLKDDLSELFSKSPELNKPRTHARPPRLPRQEGYAPRDAAMAAAASIQAIRARHAAVHRPGYIARAGKPGQLAAPPPPRGLPSRRYRRCRADHPCCHDARHGPSCHHGCCPPHHGKQACTSCRGQHCCRPRTQESPAPRRPAAAAAKEVVKRRAPPRNHCRPVLKGAPFIICSSCFKLVQVPADFAVSTKTVRKLRCGSCSAVLSYSYRDPDRKKHGDQYSADGSPAAPRGHGRRGDKFAFLDDFGHVDVSYSTEDEQPLHVSRNSSFNTVDEMAAAATQQHGSSLHRLMGYGSASDLLFRQHSPDLYESFSERTTPEAAALYDRKGKGVCVDLDDDGGDDDSDEDCSGALKRSRLRGSGWPLPGILNSKGTTGMGAIRIKS >ORUFI07G27460.2 pep chromosome:OR_W1943:7:25358076:25360105:-1 gene:ORUFI07G27460 transcript:ORUFI07G27460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDARVVRHVRCPKCFSVLQEPTGAPVYQCGGCGTTLRAKNRTGNSQEVISAPSSLGSGLPPHSKHLGSSDVASTSGSTPEAQISSGQQGADMTSRRETDDLVSARNNAPEPERVVPAEKEEEHVQSTSQQAVGNSEDLTRGDAATAADAQCSDRASEGKVQFSERREDSNTELQDVQRSDQTESDAEGKKSSEETSQSPRRDVVELPPSSVQTPDSQPAPAVLKREDDPATSPPHGHARRSPESLAPLQKRILKTVDNLKDDLSELFSKSPELNKPRTHARPPRLPRQEGYAPRDAAMAAAASIQAIRARHAAVHRPGYIARAGKPGQLAAPPPPRGLPSRRYRRCRADHPCCHDARHGPSCHHGCCPPHHGKQACTSCRGQHCCRPRTQESPAPRRPAAAAAKEVVKRRAPPRNHCRPVLKGAPFIICSSCFKLVQVPADFAVSTKTVRKLRCGSCSAVLSYSYRDPDRKKHGDQYSADGSPAAPRGHGRRGDKFAFLDDFGHVDVSYSTEDEQPLHVSRNSSFNTVDEMAAAATQQHGSSLHRLMGYGSASDLLFRQHSPDLYESFSERTTPEAAALYDRKGKGVCVDLDDDGGDDDSDEDCSGALKRSRLRGSGWPLPGILNSKGTTGMGAIRIKS >ORUFI07G27470.1 pep chromosome:OR_W1943:7:25364835:25369067:1 gene:ORUFI07G27470 transcript:ORUFI07G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSSQTSSLTRTIAQDPELFTAKIILPMGPPDVISENDEFDFSDVFGTTPVQTPTGISVAGPDSPAPLAESNEGVYNDPIVIIKRSHSLVGPTSFVSQSLRLSNLTLNKTEGSSEPAEEKERNLGQLSDEEFDNATTENEGIGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFREELARIYTAEIVSAVAHLHDNGIMHRDLKPENILLDADGHAMLTDFGLAKEFNENTRSNSMCGTVEYMAPEIILGRGHDKAADWWSVGILLFEMLTGKPPFVGNRDKVQQKIVKEKLKLPSFLSSEAHSLLKGLLHKEGGKRLGSGPGGSDEIKRHKWLKPINWRKLEARQIQPSFRPNVSGLTCIANFDECWTSMPVLDSPVATPVAGGAGHSSFAGFTYVRPAPFLQDVKPPSSSRLKD >ORUFI07G27480.1 pep chromosome:OR_W1943:7:25368655:25371851:-1 gene:ORUFI07G27480 transcript:ORUFI07G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHAEKREEVSELTPFDPTKKKKKKKVVIQDPSDEVDKLAEKTENLTEPGELNFTGMKKKKKKPVDLDLSINDIGDGEDILDDQVVEEEEGEGIVLGGAPRYPWEGTDRDYNYDELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKA >ORUFI07G27490.1 pep chromosome:OR_W1943:7:25371881:25377575:-1 gene:ORUFI07G27490 transcript:ORUFI07G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSVSLSCAGRKRSPLSSHFTHALGLGLSLSTTLLCCDREGRGVCGLDQLPQLLPCRGKRERRPSDRNCRRAFHGIPWAVLCFTDRRRAAAAVELSLLLFNPLSVADLASEKQALLDFASAVYRGNRLNWSQSTSLCSWHGVKCSGDQSHIFELRVPGAGLIGAIPPNTLGKLDSLQVLSLRSNRLAGSLPSDVTTLPSLRSIYLQHNNFSGDLPSFLNPNLSVVDLSYNSFTGEIPISLQNLSQLSVLNLQENSLSGSIPDLKLPSLRLLNLSNNDLKGQIPQSLQTFPNGSFLENPGLCGPPLAKCLLPDSPTPSPASPSSAPTPMSAHHEKKFGAGFIIAVAVGGFAVLMFVVVVLVVCNSKRKGKKESGVDYKGKGTGVRSEKPKQEFSSGVQIAEKNKLVFLEGCSYTFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVAGKKEFEQQMELIGRLGKHANLVPLRAYYYSKDEKLIVYDYLTNGSFSTKLHGIRGVTEKTPLDWSTRVKIILGTAYGIAHVHAEGGAKLTHGNIKSTNILLDQDYSSYVSDYGLSALMSVPANASRVVVGYRAPETIENRKITQKSDVYSFGVLLMEMLTGKAPLQSQGNDDVVDLPRWVHSVVREEWTAEVFDVELIKQQNIEEELVQMLQIAMACTSRSPDRRPSMEDVIRMIEGLRHSASESRASSDEKMKDSNPPSDSSGKNPTTRIHAAAAVAAALSSGESSGGFDRDRRRR >ORUFI07G27500.1 pep chromosome:OR_W1943:7:25386141:25388443:1 gene:ORUFI07G27500 transcript:ORUFI07G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVLLLVVAAVEGGAAWSFWPPAAGDEPYCLSWRVMVEANNAKNWPTVPPPCVGYVWRYMAWGQYARDVAGVADQIAAYAAQLAAGDDGLDAWVFDVDDTCLSNLFYYQAKQFGSAEYRGMSAVVFKSAMRMQLMEEGYRIRGNVGDQWSDLQGDFVGDRVFKVPNPMYFVP >ORUFI07G27510.1 pep chromosome:OR_W1943:7:25390757:25395525:1 gene:ORUFI07G27510 transcript:ORUFI07G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVAAAMAAASLCCGVAAYLYYVLWLAPERLRAHLRRQGIGGPTPSFPYGNLADMRSHAAAAAGGKATGEGRQEGDIVHDYRQAVFPFYENWRKQYGPVFTYSVGNMVFLHVSRPDIVRELSLCVSLDLGKSSYMKATHQPLFGEGILKSNGNAWAHQRKLIAPEFFPDKVKGMVDLMVDSAQVLVSSWEDRIDRSGGNALDLMIDDDIRAYSADVISRTCFGSSYVKGKQIFDMIRELQKTVSTKKQNLLAEMTGLSFLFPTASRRAAWRLNGRVRALILDLVGENGEEDGGNLLSAMLRSARGGGGGGGEVAAAAEDFVVDNCKNIYFAGYESTAVTAAWCLMLLALHPEWQDRVRDEVQAACCGGGGRSPDFPALQKMKNLTMVIQETLRLYPAGAVVSRQALRELSLGGVRVPRGVNIYVPVSTLHLDAELWGGGAGAAEFDPARFADARPPLHAYLPFGAGARTCLGQTFAMAELKVLLSLVLCRFEVALSPEYVHSPAHKLIVEAEHGVRLVLKKVRSKCDWAGFD >ORUFI07G27520.1 pep chromosome:OR_W1943:7:25398171:25398764:1 gene:ORUFI07G27520 transcript:ORUFI07G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEQQQQQQQSVAAVRPSLGKAPSPSFRLRNGSLNAVRLRRVFDLFDRNGDGEITVDELAQALDALGLEADRAGLAATVGAHVPDGAAGLRFEDFESLHRALGDALFGSLDVPEDGGGGGGGDEEMKEAFKVFDVDGDGFISASELQEVLKKLGMPEAGSLANVREMICNVDRDSDGRVDFGEFKCMMQGITVFGA >ORUFI07G27530.1 pep chromosome:OR_W1943:7:25401931:25417578:1 gene:ORUFI07G27530 transcript:ORUFI07G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGGGGGELERRVMAALKASEARGDQPLVWAVEVARVVAGEGAGLPSADLAGILVSNLCFAHNSPSLWKLVGHAVASRLLCPLHVLALLTPRVLPQRRAQPEAYRLYLELLRSNVTSSFLSMEAGPNRDKITKSINDALQLSKIYGFSGIDNGHVIIFFMMFVITKLIDCTLEDCGFPSGLTEEQGSIYAIEGPQDMDLDVKGVSTEKQNEHRAQLRRKNTVMALDVLIMMVADRKIQSFLRLIFLNMVSNTAYQPNNKRLLGVLGNMKYGGSMLGQFTGAGRAACWVIFDIYVENAIDGKHLSAISAIEVLKEMTKTLQAINEASWQETFKALWISALRLVQRAREPLEGPIPHLDSRLCMLLALIPLSIGAILKEETDVHGAQGSKSLPKTSGLVSSLQDLIQYSGLLVPPSSVVNAANAAASKAAAFKANYKSGGGNPGMMGQNDSSTKTVGNMLHLIVEACISRNLIDTSSYLWPGYVVSSGHLKDATLPQESPWLNFMQGAPLSGPLIDALIATPASSTTELDRLYHIALNGSEEEKSAAAKILCGASFVCGWNIQEYVVRMVVKLLSPPLPSNSSTQGSMSHYLAQMSTLNALLLGISYGDAIHIISLYGMVPDVAAALMPICEVFGSIPPPSNHKPAIIGEISVYSVFSCAFLCLLRLWKFYKPPQEYCLAGRGGSVRLELTLDYLLLMRNNHIDFANSSASSRNSSNNIGPLNEVPAQPLYIDSFPKLRAWYFQNQACIASTLSGLCNKNPVHQVANKILSMICRKMNKPVVSSGNLSSTSSSSVSGSSVSTPDDYQRPTVPAWEFLEAVPFVLEAVLTACAHGRFSSRDLTTSLRDLVDFLPASIAAIVSYFLAEITRGIWKMVPMNGTEWPSPGASLHSIEAEIKEILASAGIQIPSCYPRGVPPMLPLPMAALVSLTITFKLDKSSEYIHAISGQALENCAGGSSWPSMPIIAALWTQKVRRWHDFIILSCLRSPFGRDKDAVAQLIQSCFSSFLRSSCSGSDFTANRGVGALMGDAITGQGLQLPMAPGFIYLRTCRTFHDTYFVSEVILKQVIEWADKLANGFSSSGPPQLKSGRTPLSSAACMAHQVAMLGGGLLCVAGGPLLVQVLYEETLPTLLLSAREESMKDPGPVSSTLQGYAMANMLFFCGSLLWGAERTSPVMKLSFLSRRPRVVGNHMDFMAGVLDGHILLGCDHGTWKAYVSRFVFLVVKFVPSWLRDIKLDTLKKIASGLRSWNEHDLALALLERGGPQAISTGSIVNPKSYRGLARQRIQLELSDLSMYISTYFGFSGFRSYQREIIQKVLEGRDCLVVMATGSGKSICYQIPPLVTKKTAVVVSPLLSLMQDQVMSLKQHGVKSEYLGSTQTDISVSGQAEKGAFDVLYMTPEKAVSLPSRFWSNLQAAGICLLAVDEAHCISEWGHDFRTEYKQLHMLRDLLVGVPFVALTATATQRVRGDIATSLTLRNPHIVVGSFDRPNLFYGVKSCNRSMAFINELVKDVSKNCTVHEALVAAGIKSSIYHGRMGNKAREESHRSFVRDEVLVMVATIAFGMGIDKPDVRCVIHYGCPKSLESYYQESGRCGRDGLPSVCWLYYQRSDFMKSDFYCAEAKSQTHRKAIMESFMAAQKYCLLATCHRKFLLQYFGEDRTTDCGNCENCTRTKNERDLSKESFLLLSCIKSCGGRWGLNLPIDVLRGSRVKKIVENNFDKLPLHGRGKDYPPNWWKALGGLLLAHGTIISEYRFVNAVLLINGYNYLKETVHDTFRSISVSPNGYKFLSTADKGDGASLFLQLTAEMIELEGHGGSQCKEEGLNPLGPSESEKISEDELKIYQVLLNVRMQLSQDIGTAPYAICGDQTLRNFAKFRPSTIARLANIDGVNQHFISHYGSIFIQNITQLAKELNLPVDDLSAVESIPAAPKPTQNNLPRSLGGAKFCSWELWQKMKFSFQKVAIHLHYRRAVPIKEKTVISYILDAARDGCEMDWSRFCEEVTYEMIQTFLAIEGLGLSDQVFGTVPTDGIQSKTSEAPKTTSNGSEVGADVCDASPLTKRGQTDGSLICGDEPASKLQKIDGQGVNSTAAIGATEDAILELVEGRNGVSLEDVVKHFNGSKRECVVEMLDNLEGNFLVYKKNGCYMIM >ORUFI07G27540.1 pep chromosome:OR_W1943:7:25417848:25422920:1 gene:ORUFI07G27540 transcript:ORUFI07G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLLPPPPPPAKRRRGPRVAVLALFLCSLLVPLAFLFDRSQSGYVTTDERRRQEVVLPEFHHVEKADGDGTVNGLNQDAPKKTPKVNSGGLQKHKQTDRHTSRISTKPKVLPSPKVDPSEAVKESTQGTREVSKVRKRLDKGTNTDEVENEKACQLEFGSYCLWSREHKVVMKDSIVKRLKDQLFVARSYYPSIAKLEGQEELTVLMKQNIQDHERVLSVSTVDADLPSFINKKMEQMEQTIARAKSCTVDCRNVDRKLRQILDMTEDEAHFHMKQSAFLYNLGAQTLPKSHHCLSMRLTLEYFTSSSLGSNDSSARKFSAAHGRHYVILSKNILAASVVINSTVNSSKDPKKIIFHILTDAQNFYAMKYWFDKKSYREAAVHVVNYEDIIKEKLTKFNVRHLYLSEEFRVLVRSTEQPAGKTRMEYLSLFSHSHFFIPEIFKDLNKVVVLDDDVVVQRDLSFLWSLDMGDKVNGAIEFCGLRLGQVRNLLGSTTVDTKSCAWMSGINVINLDKWRKHKVTENYLLLLKKFLTKDETSLRAAAFPLSLLSFQHLIYPLDERLILSGLGYDYAIDEDVARSSAALHYNGNMKPWLELGIPSYRRYWKRFLTRDDKFMDECNIIP >ORUFI07G27540.2 pep chromosome:OR_W1943:7:25417848:25422920:1 gene:ORUFI07G27540 transcript:ORUFI07G27540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLLPPPPPPAKRRRGPRVAVLALFLCSLLVPLAFLFDRSQSGYVTTDERRRQEVVLPEFHHVEKADGDGTVNGLNQDAPKKTPKVNSGGLQKHKQTDRHTSRISTKPKVLPSPKVDPSEAVKESTQGTREVSKVRKRLDKGTNTDEVENEKACQLEFGSYCLWSREHKVVMKDSIVKRLKDQLFVARSYYPSIAKLEGQEELTVLMKQNIQDHERVLSVSTVDADLPSFINKKMEQMEQTIARAKSCTVDCRNVDRKLRQILDMTEDEAHFHMKQSAFLYNLGAQTLPKSHHCLSMRLTLEYFTSSSLGSNDSSARKFSAAHGRHYVILSKNILAASVVINSTVNSSKDPKKIIFHILTDAQNFYAMKYWFDKKSYREAAVHVVNYEDIIKEKLTKFNVRHLYLSEEFRVLVRSTEQPAGKTRMEYLSLFSHSHFFIPEIFKDLNKVVVLDDDVVVQRDLSFLWSLDMGDKVNGAIEFCGLRLGQVRNLLGSTTVDTKSCAWMSGINVINLDKWRKHKVTENYLLLLKKFLTKDETSLRAAAFPLSLLSFQHLIYPLDERLILSGLGYDYAIDEDVARSSAALHYNGNMKPWLELGIPSYRRYWKRFLTRDDKFMDECNIIP >ORUFI07G27550.1 pep chromosome:OR_W1943:7:25428281:25429894:1 gene:ORUFI07G27550 transcript:ORUFI07G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQMASLIIKANLECEKCCKKIQKVLNKLKDKEKIINIVYENSNNRVIISGHFKPEELAHKLRCKACGVIKDIEFGKLAEAKKEEKKPDQAKKEEKKQPEEKKPEEKKKSEEEKKKGDEKKPEEGKKEEKKEEKPKVKEETKATPAPSSTTVNLQFTNMCGICYPWPCSDPTHWGAGVVHPQWPQCEAPAAALPAFVPGHHHHQLPPWGGVPAPKWPCGGPSYCGGCGTCRGGGWPAAAPMQAMCCPGPSSCRGCKGCRIVQEGKFVYEEYPAASACAVM >ORUFI07G27560.1 pep chromosome:OR_W1943:7:25437423:25441342:-1 gene:ORUFI07G27560 transcript:ORUFI07G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGFLLIQDHGEKEMIRLAFGPEALLHTVMAKARKELGLLPASGPGTPTSVAAAAAAAHSPFMLSRQNSGRCGTAPSPLSVSSPSSWAPPPVFSRNNSISNGAGEEMVGLGDELISPANGGGPPSPFFGGDPLMDELQLQDQLAFLNEGGVPAGHQMPMFDGGECRSPGGGDGGLFSYNLGWANGGPGHRRSASVSELCLGGADGLGWKPCLYYARGYCKNGSACRFVHGGLPDDAAGKMDPSAVEQQCQDFLIRSKSQRLAAAAFPYSPTGSLPGSPSAATKCLSLLLQQQQQQNESQRAAAAAALMLGGDEAHKFMGRPRLERADFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKKQHQPGERVDFSSCTTPTGLDARDPFDMHQLGARMLQHSNSANEMLLRRKLEEQQQAAELQQAIELHSRRLMGLQLLDFKSRAAAAPTPIGNPFSASQTAANATGESPPDSGELGKGSGFLLAHKKAVNGADKEESTGESSSPNTDSDQSVEHNLPDSPFASPTKSAGFARDPFAPTEAEISATASTGCSATYVGINNGGTNHLLPSALDMPSPKPYFFPMSSCVQGSEYSRYVMDPRTSDRFTFSGDGGRLHGAVLVPGRGSDTERNGGGGEA >ORUFI07G27570.1 pep chromosome:OR_W1943:7:25466284:25469885:-1 gene:ORUFI07G27570 transcript:ORUFI07G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPADDYYGDDDDEYDDYNPHPYGGGYDIFATYGSPIPPSPTTCYPVSSAAPTAPPPKPSPTPPPASPPPAPTPPQTRPPSPPPQQQQPRPVSPPPVAEPYYWPKPYDYGDAPREQPAYATPEVFRGWPFFAGARCHSRCGGRDYWRQFMRGLDYLFGHADGYGERRIGVDYHGVPVYANRKGGVEEAVVIQVEPPATGTVEWHHAADDPEYNYNNGNRLSWDDNAKDETYAYVQHNYSSYDRSYDQSYSLDAVSDETTWFPNQNYQHVYKEEESQYQEILSSSCAESKISAQPIYCYNQQFSEQPLHVLVEPPETVYSQKLEYYESFSTYNHHNSNDDSDMLGHSYDIQLPDEHVPDEPFEPIKPSWAMHSGYYQSCTDGASAEFENHTLSSSEFSGIASLFATSFYPQQTQIYECHGDENVSLQQNWQCNWNVVSENDFQSGYDSNHMNGSFWPFGDHSA >ORUFI07G27580.1 pep chromosome:OR_W1943:7:25472858:25477472:1 gene:ORUFI07G27580 transcript:ORUFI07G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSALPGGGARPDTLADRLHRYRGVLLVILAPLALVSLVLLLMPRSPASSSAAAGRRWGPLDANKYAVIFDAGSSGSRVHVFRFDANLDLLHIGDQIELFVQKKPGLSAYAKNPQEAAKSLVSLLEDAKRVVPAELRGQTPVRVGATAGLRALGAEKSEEILQAVRDLLREKSSFKTQPDWVTVLDGPQEGAYEWVTINYLLGKLGKTYADTVGVVDLGGGSVQMAYAIAEKDAVKAPKPSEGEDSYVKKLFLKGTTYYLYVHSYLHYGLLAARAEILKAGNGKGYSYCTLEGHQGQYKYGNGKFEASASPSGASYSKCRDDVVKALKVDQACTHMKCSFGGIWNGGGGAGQKNLFVASFFFDRAAEAGFVNPKAPVAKVKPSDFEKAAKRACKLNLKDAEAAYPGVQKDNIPYICMDLVYQYTLLVDGFGVGSHQEMTLVKKVPYSNAFVEAAWPLGSAIEVAS >ORUFI07G27590.1 pep chromosome:OR_W1943:7:25490710:25493180:1 gene:ORUFI07G27590 transcript:ORUFI07G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTMSSAATSLPPGFRFHPTDEELILHYLRSRATAGQCPVPIIADVDIYKFDPWDLPSKAVYGESEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHDSATGESVGVKKALVFYRGRPPKGTKTSWIMHEYRLAADPLAAAANTYKPSSSSRFRNVSMRLDDWVLCRIYKKSGQASPMMPPLAADYDHDEPSGVLDDAYSFYAPPMISTTLIPKLPKIPSISELFDEHALAQIFDAAADPPADHHQHALAVHPSLNQLLGVGDNFLAECYPSTASTATVAGGKRKASPAGDYAGGGHTPAKRLNGSCFDVAPQSVVGGLQATPSSVLAGLNHQMLPPQLF >ORUFI07G27600.1 pep chromosome:OR_W1943:7:25497655:25513202:1 gene:ORUFI07G27600 transcript:ORUFI07G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHHGHGHGQYQPPATGPQHEPTFKIFCRADEGYCLTVRHDAVHWYKDMRHSTRVKDEEGHPAFALVNRATGLAVKHSLGQSHPVKLVPYNPEYQDESVLWTESKDVGHGFRCIRMVNNIYLNLDAFHGDKSHGGVHDGTTVVLWEWCKGDNQCWKILPWGPEAYAPPPPPAYGHQAYPPPPPNREPGHGYHPAPAFYPPQPPPSHDEPGYGYRPPPVGPPGAGYGNRLPRALASEPTVRILCRADEAYSLTVRNGAVCLAPTNPRDDFQHWVKDMRHSTSIKDEEGYPAFALVNKATGEAIKHSLGQSHPVRLVPYNPEYLDESVLWTESKDVGHGFRCVRMVNNIYLNFDAFHGDKDHGGVHDGTTVVLWEWCKGDNQRWKILPWWSKMFGFGHHHNQAPAAPSDPNQIFKIFCRANENYCLTVRDSAVVLAPVNPKDEHQHWFKDMRFSTKVKDGEGMPAFALVNKATGLAVKHSLGQSHPLCACCHGRSKNVDFDVMDSWRVMGVQVKLVPFNPEYEDASVLWTESKDVGKGFRCIRMVNNTRLNLDAFHGDKDHGGVRDGTTVVLWEWCKGDNQSWKILPWGPEAHSSSPGAATACTIGGVPVHTVRVFSAAGEDYCLTVRNGTACLAPKNPRDDYQHWIKDMRHSNKIRDEEGYPAFALVNKVTGEAIKHSTGQGHPVKLVPYNPEYQDESVLWTESKDVGKGFRCIRMVNNIYLNFDAFHGDKDHGGIHDGTEIVLWKWCEGDNQRWKILPWSLFLCLITTQQGHENT >ORUFI07G27600.2 pep chromosome:OR_W1943:7:25497847:25514615:1 gene:ORUFI07G27600 transcript:ORUFI07G27600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHWYKDMRHSTRVKDEEGHPAFALVNRATGLAVKHSLGQSHPVKLVPYNPEYQDESVLWTESKDVGHGFRCIRMVNNIYLNLDAFHGDKSHGGVHDGTTVVLWEWCKGDNQCWKILPWGPEAYAPPPPPAYGHQAYPPPPPNREPGHGYHPAPAFYPPQPPPSHDEPGYGYRPPPVGPPGAGYGNRLPRALASEPTVRILCRADEAYSLTVRNGAVCLAPTNPRDDFQHWVKDMRHSTSIKDEEGYPAFALVNKATGEAIKHSLGQSHPVRLVPYNPEYLDESVLWTESKDVGHGFRCVRMVNNIYLNFDAFHGDKDHGGVHDGTTVVLWEWCKGDNQRWKILPWWSKMFGFGHHHNQAPAAPSDPNQIFKIFCRANENYCLTVRDSAVVLAPVNPKDEHQHWFKDMRFSTKVKDGEGMPAFALVNKATGLAVKHSLGQSHPLCACCHGRSKNVDFDVMDSWRVMGVQVKLVPFNPEYEDASVLWTESKDVGKGFRCIRMVNNTRLNLDAFHGDKDHGGVRDGTTVVLWEWCKGDNQSWKILPWGPEAHSSSPGAATACTIGGVPVHTVRVFSAAGEDYCLTVRNGTACLAPKNPRDDYQHWIKDMRHSNKIRDEEGYPAFALVNKVTGEAIKHSTGQGHPVKLVPYNPEYQDESVLWTESKDVGKGFRCIRMVNNIYLNFDAFHGDKDHGGIHDGTEIVLWKWCEGDNQRWKILPWGWGGPRPPQPTVKVYCRANPNYAMTARNGAVVLAPANPKDEYQHWIKDMRWSTSIKDEEGYPAFALVNKATGQAIKHSLGQSHPVRLVPYNPEVMDESVLWTESRDVGNGFRCIRMVNNIYLNFDAFHGDKYHGGVRDGTDIVLWKWCEGDNQRWKIQPYY >ORUFI07G27600.3 pep chromosome:OR_W1943:7:25497847:25510918:1 gene:ORUFI07G27600 transcript:ORUFI07G27600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQHWYKDMRHSTRVKDEEGHPAFALVNRATGLAVKHSLGQSHPVKLVPYNPEYQDESVLWTESKDVGHGFRCIRMVNNIYLNLDAFHGDKSHGGVHDGTTVVLWEWCKGDNQCWKILPWGPEAYAPPPPPAYGHQAYPPPPPNREPGHGYHPAPAFYPPQPPPSHDEPGYGYRPPPVGPPGAGYGNRLPRALASEPTVRILCRADEAYSLTVRNGAVCLAPTNPRDDFQHWVKDMRHSTSIKDEEGYPAFALVNKATGEAIKHSLGQSHPVRLVPYNPEYLDESVLWTESKDVGHGFRCVRMVNNIYLNFDAFHGDKDHGGVHDGTTVVLWEWCKGDNQRWKILPWWSKMFGFGHHHNQAPAAPSDPNQIFKIFCRANENYCLTVRDSAVVLAPVNPKDEHQHWFKDMRFSTKVKDGEGMPAFALVNKATGLAVKHSLGQSHPLCACCHGRSKNVDFDVMDSWRVMGVQVKLVPFNPEYEDASVLWTESKDVGKGFRCIRMVNNTRLNLDAFHGDKDHGGVRDGTTVVLWEWCKGDNQSWKILPWGPEAHSSSPGAATACTIGGVPVHTVRVFSAAGEDYCLTVRNGTACLAPKNPRDDYQHWIKDMRHSNKIRDEEGYPAFALVNKVTGEAIKHSTGQGHPVKLVPYNPEYQDESVLWTESKDVGKGFRCIRMVNNIYLNFDAFHGDKDHGGIHDGTEIVLWKWCEGDNQRWKILPW >ORUFI07G27600.4 pep chromosome:OR_W1943:7:25497847:25513202:1 gene:ORUFI07G27600 transcript:ORUFI07G27600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQHWYKDMRHSTRVKDEEGHPAFALVNRATGLAVKHSLGQSHPVKLVPYNPEYQDESVLWTESKDVGHGFRCIRMVNNIYLNLDAFHGDKSHGGVHDGTTVVLWEWCKGDNQCWKILPWGPEAYAPPPPPAYGHQAYPPPPPNREPGHGYHPAPAFYPPQPPPSHDEPGYGYRPPPVGPPGAGYGNRLPRALASEPTVRILCRADEAYSLTVRNGAVCLAPTNPRDDFQHWVKDMRHSTSIKDEEGYPAFALVNKATGEAIKHSLGQSHPVRLVPYNPEYLDESVLWTESKDVGHGFRCVRMVNNIYLNFDAFHGDKDHGGVHDGTTVVLWEWCKGDNQRWKILPWWSKMFGFGHHHNQAPAAPSDPNQIFKIFCRANENYCLTVRDSAVVLAPVNPKDEHQHWFKDMRFSTKVKDGEGMPAFALVNKATGLAVKHSLGQSHPLCACCHGRSKNVDFDVMDSWRVMGVQVKLVPFNPEYEDASVLWTESKDVGKGFRCIRMVNNTRLNLDAFHGDKDHGGVRDGTTVVLWEWCKGDNQSWKILPWGPEAHSSSPGAATACTIGGVPVHTVRVFSAAGEDYCLTVRNGTACLAPKNPRDDYQHWIKDMRHSNKIRDEEGYPAFALVNKVTGEAIKHSTGQGHPVKLVPYNPEYQDESVLWTESKDVGKGFRCIRMVNNIYLNFDAFHGDKDHGGIHDGTEIVLWKWCEGDNQRWKILPWSLFLCLITTQQGHENT >ORUFI07G27600.5 pep chromosome:OR_W1943:7:25497847:25513202:1 gene:ORUFI07G27600 transcript:ORUFI07G27600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQHWYKDMRHSTRVKDEEGHPAFALVNRATGLAVKHSLGQSHPVKLVPYNPEYQDESVLWTESKDVGHGFRCIRMVNNIYLNLDAFHGDKSHGGVHDGTTVVLWEWCKGDNQCWKILPWGPEAYAPPPPPAYGHQAYPPPPPNREPGHGYHPAPAFYPPQPPPSHDEPGYGYRPPPVGPPGAGYGNRLPRALASEPTVRILCRADEAYSLTVRNGAVCLAPTNPRDDFQHWVKDMRHSTSIKDEEGYPAFALVNKATGEAIKHSLGQSHPVRLVPYNPEYLDESVLWTESKDVGHGFRCVRMVNNIYLNFDAFHGDKDHGGVHDGTTVVLWEWCKGDNQRWKILPWWSKMFGFGHHHNQAPAAPSDPNQIFKIFCRANENYCLTVRDSAVVLAPVNPKDEHQHWFKDMRFSTKVKDGEGMPAFALVNKATGLAVKHSLGQSHPVKLVPFNPEYEDASVLWTESKDVGKGFRCIRMVNNTRLNLDAFHGDKDHGGVRDGTTVVLWEWCKGDNQSWKILPWGPEAHSSSPGAATACTIGGVPVHTVRVFSAAGEDYCLTVRNGTACLAPKNPRDDYQHWIKDMRHSNKIRDEEGYPAFALVNKVTGEAIKHSTGQGHPVKLVPYNPEYQDESVLWTESKDVGKGFRCIRMVNNIYLNFDAFHGDKDHGGIHDGTEIVLWKWCEGDNQRWKILPWSLFLCLITTQQGHENT >ORUFI07G27600.6 pep chromosome:OR_W1943:7:25497847:25514615:1 gene:ORUFI07G27600 transcript:ORUFI07G27600.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQHWYKDMRHSTRVKDEEGHPAFALVNRATGLAVKHSLGQSHPVKLVPYNPEYQDESVLWTESKDVGHGFRCIRMVNNIYLNLDAFHGDKSHGGVHDGTTVVLWEWCKGDNQCWKILPWGPEAYAPPPPPAYGHQAYPPPPPNREPGHGYHPAPAFYPPQPPPSHDEPGYGYRPPPVGPPGAGYGNRLPRALASEPTVRILCRADEAYSLTVRNGAVCLAPTNPRDDFQHWVKDMRHSTSIKDEEGYPAFALVNKATGEAIKHSLGQSHPVRLVPYNPEYLDESVLWTESKDVGHGFRCVRMVNNIYLNFDAFHGDKDHGGVHDGTTVVLWEWCKGDNQRWKILPWWSKMFGFGHHHNQAPAAPSDPNQIFKIFCRANENYCLTVRDSAVVLAPVNPKDEHQHWFKDMRFSTKVKDGEGMPAFALVNKATGLAVKHSLGQSHPLCACCHGRSKNVDFDVMDSWRVMGVQVKLVPFNPEYEDASVLWTESKDVGKGFRCIRMVNNTRLNLDAFHGDKDHGGVRDGTTVVLWEWCKGDNQSWKILPWGPEAHSSSPGAATACTIGGVPVHTVRVFSAAGEDYCLTVRNGTACLAPKNPRDDYQHWIKDMRHSNKIRDEEGYPAFALVNKVTGEAIKHSTGQGHPVRLVPYNPEVMDESVLWTESRDVGNGFRCIRMVNNIYLNFDAFHGDKYHGGVRDGTDIVLWKWCEGDNQRWKIQPYY >ORUFI07G27600.7 pep chromosome:OR_W1943:7:25513656:25514618:1 gene:ORUFI07G27600 transcript:ORUFI07G27600.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYGRREQYGGYGGYGGGGALATPGYAPAAPYGMSQPTVKVYCRANPNYAMTARNGAVVLAPANPKDEYQHWIKDMRWSTSIKDEEGYPAFALVNKATGQAIKHSLGQSHPVRLVPYNPEVMDESVLWTESRDVGNGFRCIRMVNNIYLNFDAFHGDKYHGGVRDGTDIVLWKWCEGDNQRWKIQPYY >ORUFI07G27610.1 pep chromosome:OR_W1943:7:25515754:25517792:-1 gene:ORUFI07G27610 transcript:ORUFI07G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALCSGSVASPCGEVGVGFAAGLVRGAAAAAALAESVPIGGYSSKSTFPSGRVALTERKARPLPRNLEAAHGQMNLTIGKAMRWWEKCLQPNMREIESAQDLADSLLNAGDKLVVVDFFSPGCGGCRALHPKIAQLAEKNPEVLFLQVNYEKHKSMCYSLHVHVLPFFRFYRGAQGRVSSFSCTNATNWTIRIGKEKPCLDREFGNSTTELDTSGWEKNSD >ORUFI07G27620.1 pep chromosome:OR_W1943:7:25529551:25535534:1 gene:ORUFI07G27620 transcript:ORUFI07G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSLESLRLLTPPRSAAPPKSPLPPPVRPCPSLIATICSAGAATLTRPFSCEYSHAPPRPSPIAAVPNPPMLPLPSSVSNSPDAADQLMLHRRCPTPSPHSTAGPAPSLPRLASPNLLPDAPLNPSYRTPSFAAVQTAGSPTPPAALHPTFYPPLASPTAVGRTPIRRVHSTSHPCLPT >ORUFI07G27630.1 pep chromosome:OR_W1943:7:25534390:25536506:-1 gene:ORUFI07G27630 transcript:ORUFI07G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSESFVLWSSRTDPEPQMREIAHRLAEDIAPPSSSTRCCEDIAPRLLLARSSSSPLSPLLRGHAGATAGSFFFPTAGSCFFPIPTDAAGLFARASSSPLPPLRRILDGALPELRRTLTRSRRWSRPRPLIKWPWYHDAAVTADTIPVMSCLMLNHEGFSKSWKLSNSTRQSSCTDGEKYGEAAVKLLAATLGDQWVLADKRMHINNNWWRRIRRLVKSASCNY >ORUFI07G27630.2 pep chromosome:OR_W1943:7:25534390:25536506:-1 gene:ORUFI07G27630 transcript:ORUFI07G27630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSESFVLWSSRTDPEPQMREIAHRLAEDIAPPSSSTRCCEDIAPRLLLARSSSSPLSPLLRGHAGATAGSFFFPTAGSCFFPIPTDAAGLFARASSSPLPPLRRILDGALPELRRTLTRSRRWSRPRPLIKWPWYHDAAVTADTIPVMSCLMLNHEGFSKSWKLSNSTRQSSCTDGEKYGEAAVKLLAATLGDQWVLADKRMHINNNWWRRIRRLVKSASCNY >ORUFI07G27630.3 pep chromosome:OR_W1943:7:25535243:25536506:-1 gene:ORUFI07G27630 transcript:ORUFI07G27630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSESFVLWSSRTDPEPQMREIAHRLAEDIAPPSSSTRCCEDIAPRLLLARSSSSPLSPLLRGHAGATAGSFFFPTAGSCFFPIPTDAAGLFARASSSPLPPLRRILDGALPELRRTLTRSRRWSRPRPLINFAAEANAQVARPPPSSTPYCGP >ORUFI07G27630.4 pep chromosome:OR_W1943:7:25534390:25535132:-1 gene:ORUFI07G27630 transcript:ORUFI07G27630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIIAVTHRWPWYHDAAVTADTIPVMSCLMLNHEGFSKSWKLSNSTRQSSCTDGEKYGEAAVKLLAATLGDQWVLADKRMHINNNWWRRIRRLVKSASCNY >ORUFI07G27640.1 pep chromosome:OR_W1943:7:25545939:25547043:-1 gene:ORUFI07G27640 transcript:ORUFI07G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEVSVINQLEEEETRLELPPGFRFHPTDEEVVTHYLTRKAQDRSFSCVVIADVNLNNCEPWDLPSKAKMGEKEWFFFCHKDRKYPTGMRTNRATASGYWKATGKDKEIFRGRGLLVGMKKTLVFYMGRAPRGEKTPWVMHEYRLDGKLPPNLPRSAKEEWAVCRVFNKDLAAKIAQMPPPPFPRNDSFDLDLDDFLHLDADLPPLIDDPFASTSTLKTEPPPPANLMHNHYGYFSLPASATNYNHSSGAMADQAIRRFCKAEASTACFSGADADVDPVVDELLSFPDSITDYSYIWKA >ORUFI07G27650.1 pep chromosome:OR_W1943:7:25578347:25581148:1 gene:ORUFI07G27650 transcript:ORUFI07G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGHSPDRHAAAAAGEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQLQAQAQAAAAAASSGSPPTASSGGLAPGHAGSPASSLGMFAHGAAGYSSSSSSSWPSSPPSVGMMMGDVDYGGGGDDLFAISRQMGYMDGGGGSSSSAAAGQHQQQQLYYSCQPATMTVFINGVATEVPRGPIDLRSMFGQDVMLVHSTGALLPANEYGILLHSLQMGESYFLVTRSS >ORUFI07G27660.1 pep chromosome:OR_W1943:7:25582985:25586538:-1 gene:ORUFI07G27660 transcript:ORUFI07G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLAAASPPHPPPPPPESHVPPPPQTPEKDSCEDTGDMRISEEKPCTDQELDADQMNSSSFNSSSECENQTPSNDEMTGSESKSEAAQTEGGGSSEEKVLKKPDKILPCPRCNSMDTKFCYYNNYNINQPRHFCKSCQRYWTAGGSMRNLPVGAGRRKSKSSTANYRSILITGSNLAAPAGDAPLYQLSIKGDQTATAVKFAPDSPLCNSMASVLKIGEQSKNAKPTSTALPRNGETQTCPASGTTSDSPRNEPVNGAVSGHQNGIVGHSGVPPMHPIPCFPGPPFVYPWSPAWNGIPAMAPPVCTAPAEPANSSDNGSTASVQWSMPPVMPVPGYFPVIPSSVWPFISPWPNGAWSSPWIQPNCSVSASSPTSTSTCSDNGSPVLGKHSRDSKPQGDDKAEKNLWIPKTLRIDDPDEAAKSSIWTTLGIEPGDRSMFRSFQSKPESREQISGAARVLQANPAALSRSQSFQETT >ORUFI07G27670.1 pep chromosome:OR_W1943:7:25594730:25596415:-1 gene:ORUFI07G27670 transcript:ORUFI07G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTEQMMYHQQQVQSDSQHLSSRPGLPPEKQFLLQGGADSSSGLVLSTDAKPRLKWTSELHERFVEAVNQLGGPDKATPKTIMRLMGIPGLTLYHLKSHLQKYRLSKNLQSQANASRAQGVLGCSTTEIDKPCEGNGSPASHLDLETQTNSSSMHINEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQEALGTIAVAETAATANASSSKRLQNEHTQLHHHQQQQQVGDGSVDSCLTACDCEGSHHSRSHGHRGEQDILSIGLPPFEPAAARSGKEHHYLLFPNEPSRRRSCSDERRREMSTLQASELDLSINGRSSSHSHRRENIDLNGAGWS >ORUFI07G27680.1 pep chromosome:OR_W1943:7:25599416:25611739:-1 gene:ORUFI07G27680 transcript:ORUFI07G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRELDLSDEVEGEEDGTTDFVFRLAGDPIPLLPTTSSPLPLFDLQSPPSRPLAVSNRRAAVFLAHPNGFMAATTKALIEASKEAREKGKSTTRCARDCCVADIPLPGVSLLELSRDESVLAACAGSVIHFFSASSLLTDKDVEPLSSCTLEGSSTVKDFKWLNHASKAFIVLSKDGLLSQGSLGEGLKDIMENVDAVDCCKEGTHIVLSKKNTLNILSSDFKEICCMPLLFQLWSDDSDSDDASIKVDSIGWVRDDSIVVGSVRLNEEGNEEGYLVQVIRSGGNTFFENSSKSVVFTYVDFFRGIMDDVLPSGVGPNLLLGYLHRWDLMVTSNKKSIDEHISLLKWSSATDDKKTVVYLEMLEDKYSPRIDLQENGDDNVILGFGVENVSLFQKITVTVGPEQKEVAPQHILLYLTGEGKLNIYYLARISDPSELPQTKLSAIEDSDVMKEISPVTVSGKEFTPSATSSLDKSIRPDGAELSSVQPDRDLHGSTDMKNSSPVSKAKEIVASSPAPASFLAPASNLKPGISFSFSTANSVSLSPAGSNTSSELGSSWQQSSSSNFVNNQLGKGSIPSTQPVGAFGGSQNSKKDGNLSFNKSSVFTSDGSTLVKPGERNEPGFGSHPLQSSYTTDKKVPSSVGLSSKSSPSISPIKPSSAGPSSTGFRTGNLEAFPTSRGSPLPQESIDKPHDRTHAVVDHSKNFKLGAMFDTEQDLSKKFYSINDMTKELDTLLSYIEKDGGFRDACITFQERPLSMFEGDLQNFLELLQIFKNKIAEQCSRVEDLRNKMQAYMKGIVDQSSDTQYWDIWNRQKLSPEFEAKRQNILKANQNLTNQLVELERHFNNLEMNKFGETGRVASSRRAIYSSKARSSQTQISSVYNALNSQLAAAEQLSDCLSKQISALNISSPSTKRGSVAKELFESIGLDHTMDAAKFSGGTPSKSVKRYPSMREHITSISGPSKTAEPETARRRRESLDRSLASLEPQKTTVKRIAQQQRLKISSDLPFRSNKKIFDSQMAAMSQEKSSSSPTSSIVESYANKLHYPSEVLHEKTKPSGPQHNTLFKWVKESAGPSQGPQHKYPELPGQLKSSDQPPKLGSSSPLSFSYSHKDVWDNISSSNVPSSGTTHTMPKSSTLTFKTTVIPKTNTSTLPDLSPLMTGSKFSLSPLTVKTLSGDSGGASSIITKNKQGGQAMPYLGTTKGLDVSPQNMGGAFRDLNKPSLSPEPPKSALLQGNTVQLGKITETVQSPVKAKPEVAFQPPAFPPTPVAQSSPCSIKPTVPSSATSSSSTMQESAAKTSDVLSPTGPSILPSKESMTKPSSPLPDGTISSSLLSIPMPVKESSTGLNKIVSKPEVVTSEVTGTTVSASTSSSVPITEGKPSSIPATSGSLPSIPVSAPKVVPVSAESVVVTSTGKDVGPSNPSSDEDDMEEEVPSASSDLNLGALGGFGLGSVPSSSPPKSNPFGNSFTTSDNKSSGSSFTLTTSPGQLFRPASLSIPSSQPAQSSQSTSSSTFSSAFSSGLSGFGQSAQIGSAQQSGFGQPSQIGAGQQAGFGQPAQIQSGFGQPAQVGVAQQSGFGQPAQIGTAQQSGFGQPAQFGAQQALGSVLGSFGQSRQLGSFGAGGFGGFASASASGGFSSNSGFAGGATGGGFSAAAAPAGGGFAAAATGGGFAALASKGGGFVAAASSGGGFGGAAQGSGFSSGGFGAFGGNQGSGFSAFAGSGSAGSGGPPANLLTQMRK >ORUFI07G27690.1 pep chromosome:OR_W1943:7:25611616:25614309:1 gene:ORUFI07G27690 transcript:ORUFI07G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFAVIVSPSAWPRAVSSAFAAAVFAFLDVVDVLLCFVYGFLDAVFEDSPVSCYCHGSHSAAALDDDDEVSDTLYHRRSALRDALMGLVRGRSGGSPETETERRKGRSPRWSDCGCDSCRAWQRHDDGRLHFVANQPPPPPNDGAVTTTQQSGEEDAIFIHGFTSSSSFWATVFRESSILNNCRMLAVDLLGFGKSPKPANCMYRLKDHVEMIERSLIDPLNLSSFHLVSHSMGCIIALALAAKHPERVRSITLIAPPYFGACEEKASQVALKRLAEKKLWPPLQFGSAVMSWYEHIGRTVCFLVCKNHLLWERLFRLITGKRDVDFLLGDLTKHTHHSAWHTMHNVICGGAMLQDRNLEAVEAAGVPVQVIHGGDDQVVPAECGRHLKAKLPGAELRLMEGCDHKTVVFGRERGFAEELRAFWSASHQNKQLAASASGWAG >ORUFI07G27700.1 pep chromosome:OR_W1943:7:25614844:25616164:1 gene:ORUFI07G27700 transcript:ORUFI07G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRALLVALAAVLLVTGDALLAPAGGQEQYTKAPAEAHKGYSIVPGLFSAQECNYFESTQIPSIRKFLHQYDPLGIRFVFLITVHMMAV >ORUFI07G27710.1 pep chromosome:OR_W1943:7:25619139:25620922:-1 gene:ORUFI07G27710 transcript:ORUFI07G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAAVTMVDRRMAFAAEADVDSKADFGFFGGECFVGEGDLVNPAPPPPQQQQVHEGGFAAEDESDGDDDDDDDDDVDDIEELERRMWRDRVRHKRLKELQQSRAGRESRAGDAGGGGRQQRQSQEQARRKKMSRAQDGILKYMLKMMEVCNAQGFVYGIIPEKGKPVSGASDNLRSWWKEKVRFDRNGPAAIAKYQADNAVPGCDGDAGGAAPAGPHSLHELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPEGSEAWWPEAGVPKELGPPPYKKPHDLKKAWKVAVLTAVIKHMSPDVDKVRRLVRQSKCLQDKMTAKEIVTWLAVLKQEEDLYLKLHPGALPPPLSAASFNASVSGEYDVEGVDGDEAGNNNLQKAQNDATAFMDLTTTMDAALSNNKFLIMPLMKEEAIDVDFIQKRSEPELMLSSDSHARVYTCGNVQCPHSNYALGFLDRNERNAHQYACKHNAAAAAAESKPPPPHIFEPLGSFDFDLPVDGQRCLAGLMTMYDNDVAAATQMHHHHHQQQQANFFIRDDAPFGGDVAATAAAAPEFRFSSNFNVSGGGAVDYGGAMQQPPAKYAGSNWFY >ORUFI07G27720.1 pep chromosome:OR_W1943:7:25624926:25628215:1 gene:ORUFI07G27720 transcript:ORUFI07G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPPNPATPSAAASLLFCRWAGGGTPAKYFKQNPAAGASSHAVIYPKLKPHPQGRVQRIAIFATRIRIATPSAAMQSSSTRHRLEAVNHDHETIPERLAVVTGGNRGIGLEVCRQLALQGVTVILTARDEKRGKDAVESLCHESNLSNIIFHQLDILDGNSRASLARYINSRFGKLDILLSGKAVNLIQSVIVQTYDEAVKCLNTNYYGLKWITEALLPLLKQSPSGARIVNTTSLRSELKRMPNEKLRDELRNIDIWDEARIEAMLNEFLLDLKNERLEEAGWPTMLPAYSMSKTVVNLYTRILAKRHPEMRINCVHPGFVNTEINWNTGIIPPEEGARGAVKAALLPQDGPTGCYFDQTELGEAW >ORUFI07G27720.2 pep chromosome:OR_W1943:7:25625071:25628215:1 gene:ORUFI07G27720 transcript:ORUFI07G27720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASYSSTSWRVRPGLTPFRSPLQPHPQGRVQRIAIFATRIRIATPSAAMQSSSTRHRLEAVNHDHETIPERLAVVTGGNRGIGLEVCRQLALQGVTVILTARDEKRGKDAVESLCHESNLSNIIFHQLDILDGNSRASLARYINSRFGKLDILLSGKAVNLIQSVIVQTYDEAVKCLNTNYYGLKWITEALLPLLKQSPSGARIVNTTSLRSELKRMPNEKLRDELRNIDIWDEARIEAMLNEFLLDLKNERLEEAGWPTMLPAYSMSKTVVNLYTRILAKRHPEMRINCVHPGFVNTEINWNTGIIPPEEGARGAVKAALLPQDGPTGCYFDQTELGEAW >ORUFI07G27730.1 pep chromosome:OR_W1943:7:25633611:25635947:1 gene:ORUFI07G27730 transcript:ORUFI07G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPILLLTAFLSSKPALCYINPGATPPQKIGTKSSGGRATYIVFVEPPPPLGHGDGEDDHRRWHESFLPLSELAGSDDEPRLVHSYTEAVSGFAARLTGGELDAVSKKPGFVRAIPDRTLQLMTTHTPEFLGLRKDAGLWRDSGYGKGVIVGVLDTGIDSSHPSFDDRGVPPPPARWKGSCRDTDARCNNKLIGVKSFIPGDNDTSDGVGHGTHTASTAAGNFVDGAAVNGLGVGTAAGIAPGAHIAMYRVCTVEGCTESALLGGIDEAIKDGVDVLSISLGSSFAADYDKDPLAIGAFSAVSKGIVVVCAAGNNGPAFATLSNEAPWMVTVAASSVDRRFSAPTRLGDGRVIDGEALDQASNSSGKAYPLSYSKEQAGLCEIADTGDIKGKIVLCKLEGSPPTVVDNIKRGGAAGVVLINTDLLGYTTILRDYGSDVVQVTVADGARMIEYAGSRNPVATITFKNRTVLGVRPAPTLAAFSSRGPSFLNVGILKPDIMAPGLNILAAWPSSVARTDAAAARPSFNVISGTSMATPHVSGVAALVKSVHPDWSPAAIKSAILTTSDEVDNTGGPILDEQHNKTMLFGPFNTGAGHVNPTRAADPGLVYDIGVAEYAGFLCTLVGEYVLPIIVRNSSLQSCRDLPRVGQSHLNYPSITVELEKTPFTVNRTVTNVGPAESTYTANVTLAAEASLKLSVSPETLVFSKAGEKKTFAVTVSGRFTKAAQAVAVLEGSLRWVSPEHVVRSPVVLYIPVASAPPSPPMPAVGTRRGDNWA >ORUFI07G27740.1 pep chromosome:OR_W1943:7:25648014:25650240:1 gene:ORUFI07G27740 transcript:ORUFI07G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHAMSCHGGGGGGGEGALSRQGSVYSLTLNEVESHLGEPLRSMNLDDLLRTVLPAAAAAAETAGRKTVDEVWRDIQGASTGRHHATPMGEMTLEDFLSRAGVAVDGAASAAGAHWLPPPPPPPTTTLQYVGGSGAVVDGVYNRVDGHGVAGFLSQVGVAGRKRGGGVDGVVEKTVERRQKRMIKNRESAARSRARKQAYTNELENKISRLEEENQRLREHKAVADFSTFPSCVDFLKAFLTQKLEPVMQIVPQPEPKQQLRRTTSASF >ORUFI07G27750.1 pep chromosome:OR_W1943:7:25655513:25656485:-1 gene:ORUFI07G27750 transcript:ORUFI07G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILVSALLLLLVPPLSALLAVAAPSLAWPSGSSSVRKLIAKKVAPEQAARDELLRVARYSSASAAAAASAVQQPGGGESSRPAAAADDGGRPDQCAVCLSDIEEGDEVRELRCRHLFHRGCLDRWWLSARPPATCPLCRCRLLQSPQRAREN >ORUFI07G27760.1 pep chromosome:OR_W1943:7:25660104:25664753:-1 gene:ORUFI07G27760 transcript:ORUFI07G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLVAEFPAKGYVELLETSFRYRFSCLVRYSCLIIIIGYSSLAQGCYKIYSRCYFFADQDSLLGLIQLWGSADVLNICKMRRFFFFGSSTASTGNGGKTPSDDDSRIKKKALDGGDSNGSSSSASNSPVTKVCRSKSRRRNLNNEEPSNPKQLRRSMSFSSPAGNSFLKDRSFSFSGDVPSSLFNESDAPDHVANCYAWSPKRRPAPREYTIKDPKAHSVLQSDSPGSRCYSCSTGHSPVSSPIAIRCRSTRLTNLLNKNEVLDRYIDGEQEATILGERQKQNSPTRSAVSNLGRPPRPQSTVPSLPRLTKEILETYPYEDVKDEHLHQLAQEDTRDTCKITTLCNASRNHAGLLDASERFSHLEDYKSESVTSVEDIYEDLQDFNHPSIDPTSEDVETDDKLLQRAKEVEAKFMVSSEKKYELNMSKNKRLSANDMFQMIQCLTEDRKQLAYELSSQIKARLTERFAAKEQCKNLKKELDIRTRRLEKEKMEVQTTLEKEMDRRSDDWSIRLSRFQCEEERLRDRVRELAEQNVSFQREVTFLEAEKDDASNKVACLEMGNKKLIDELEKVRNNCDNLQNSSVELHDCFTKAVEEKDHLRKFLEDKDGENKALHKVISRLQTICNEQERTISGLRQGYSTELDKKSGECSDKIKKRMQMELIRLTGVEQKLRGEVQSCHLESESLRQENIALLNRIQSTGNGPRLSSIRLDQELLARVDNLQIHGLSLLDKTSQLCIKLLELMKCKRHENEAGNGIAALTVTDYTLEFQSIKGRIQSLKQSLGIISSVLTEKENIKGSSGETVVGSSPSREHTDELPLDIPELKLKEEAILNRVLKEALLSKELDVEQLESDLASSLRIQDVMRNEIQRVQDELSCMNHKAKHLELQGLKKDEIISQVQLDFQESAKEISALRGTLKTVTDERDLLWQEAKQLRKTISIMQNETASLKKKIEALEEDILVKEGQISILQDNIKNPQLDFICSPRSVKEFGLE >ORUFI07G27760.2 pep chromosome:OR_W1943:7:25660104:25664753:-1 gene:ORUFI07G27760 transcript:ORUFI07G27760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLVAEFPAKGYVELLETSFRYRFSCLVRYSCLIIIIGYSSLAQGCYKIYSRCYFFADQDSLLGLIQLWGSADVLNICKMRRFFFFGSSTASTGNGGKTPSDDDSRIKKKALDGGDSNGSSSSASNSPVTKVCRSKSRRRNLNNEEPSNPKQLRRSMSFSSPAGNSFLKDRSFSFSGDVPSSLFNESDAPDHVAKSTRLTNLLNKNEVLDRYIDGEQEATILGERQKQNSPTRSAVSNLGRPPRPQSTVPSLPRLTKEILETYPYEDVKDEHLHQLAQEDTRDTCKITTLCNASRNHAGLLDASERFSHLEDYKSESVTSVEDIYEDLQDFNHPSIDPTSEDVETDDKLLQRAKEVEAKFMVSSEKKYELNMSKNKRLSANDMFQMIQCLTEDRKQLAYELSSQIKARLTERFAAKEQCKNLKKELDIRTRRLEKEKMEVQTTLEKEMDRRSDDWSIRLSRFQCEEERLRDRVRELAEQNVSFQREVTFLEAEKDDASNKVACLEMGNKKLIDELEKVRNNCDNLQNSSVELHDCFTKAVEEKDHLRKFLEDKDGENKALHKVISRLQTICNEQERTISGLRQGYSTELDKKSGECSDKIKKRMQMELIRLTGVEQKLRGEVQSCHLESESLRQENIALLNRIQSTGNGPRLSSIRLDQELLARVDNLQIHGLSLLDKTSQLCIKLLELMKCKRHENEAGNGIAALTVTDYTLEFQSIKGRIQSLKQSLGIISSVLTEKENIKGSSGETVVGSSPSREHTDELPLDIPELKLKEEAILNRVLKEALLSKELDVEQLESDLASSLRIQDVMRNEIQRVQDELSCMNHKAKHLELQGLKKDEIISQVQLDFQESAKEISALRGTLKTVTDERDLLWQEAKQLRKTISIMQNETASLKKKIEALEEDILVKEGQISILQDNIKNPQLDFICSPRSVKEFGLE >ORUFI07G27770.1 pep chromosome:OR_W1943:7:25671016:25672485:-1 gene:ORUFI07G27770 transcript:ORUFI07G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYCVCVSCGDWRRIRGGVEKTGRRWRLGEGGGGVEEGAIAVAYQPATATAAAQQWRRRRGVIKDKLMDAIEGHVLGEAKLMAVF >ORUFI07G27780.1 pep chromosome:OR_W1943:7:25672050:25676361:1 gene:ORUFI07G27780 transcript:ORUFI07G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPSKIEDDRALVLCQERKRFVREAIDGRCALAAAHCDYIRSLRDTGFLLRKCFEHEASEESIPNNKSPSSFQASHMKAAMNSIRTYLEKVATPVTVTMVSASSQDPTGTSPLDHFDQIHPGDNQFSPKEKDRSGQCLDKVDDPRPFLEEGIPELEEEGERTPSNEEDGFAESKDDFANEEENFSESNDAFLSPSIETFVPVSNSNDVSDKNSSTDKAPEHHGHGSVASKDIALPNTGCQNDNPQNERRMTDIHTNENYSNSAVSPVNVVPPSGAAFPMVSKEPYPYLSISVKDLYTGMVEIERLFSRACDSGKEVTRVLDEDKLQFRALLPQETARGSASSSFLSTLFACCREDVPLPETPSQAEVKYLTWHRSVSSQLSLSRNPPGAITVMHTSTLDKLYAWEEKLYDEVKVNSAICRRYDEKCKQLRDQESRGKNQIIVDFTRATVKDLHSRILVAIQKIDFISKNIEDIRDKELQPQLDELIRSLTRMWETMLECHHLQLAIMKLVSSKRSVKLSFQSESECQDALLLSAKLIKLCSDFQNWVASHKVYLSSLNLWLHKCMKPLKKRKGSRKQNVVDVSLTECAVAPIFTTCEIWIKLIDDLPTNELVKAIENLVADVGRSFPHQEQVLNGETGGEILRNNAPADVQSSLMAFLEKLEAFSAVSLQKYIDLQKNIDEAKDRFSRED >ORUFI07G27790.1 pep chromosome:OR_W1943:7:25680801:25681457:1 gene:ORUFI07G27790 transcript:ORUFI07G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSCLAPHAALLPCAAFTDADITRALHFSSSMPDTSSSPSSSSSAAFLADFCGGGAGGGFVVSAPPPTMPAITCESVLVADSARPSPAGPARRHQQQQLGLGPAGGRAGKRRSRASKRAPTTYISTDPANFRLMVQHVTGVQADPASLADGAAGILPTTTTTAPFDASSGLHMLDTFAAANPLLQAEQAAALQQQPCFPTLDSSWSAVMYDGSDLL >ORUFI07G27800.1 pep chromosome:OR_W1943:7:25683676:25688128:1 gene:ORUFI07G27800 transcript:ORUFI07G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRPPPASLRSFLDAHFASPEDLASAPALAELLRRECVGLDASLRRLEAQLASVSASWLARSAGARASLRRIRSRGGGFGVEEDDGEETLRSAELPALVQEIHRIDAIRLYAEAALQLEAFVGNLEDATFSIVRQASKLNLSSEMQWKQEKLLQAVDAMRDIELELLRISTNRPQWTNLIMAVDSRVDKTLAILRPKALTDYRALLAALGWPPSLSSPDAANNKYSEIPNPLILMNEANKEKYSQSFLALCALQHAQANREARQCQAKGASASMSDSKYFDKTAACFDNGLWAIDELVQPIASRLEYHFAKWSEQPEFIFALVYKIARDFMGGVDDILQPLIDRARLVGLSAKESWVTGMVKMLLGYLERQIFPVLVTSYQATDDKFEVHSSWMHLNDLMITFDKRMQLLADSGIQKIASISEGLSRSLSVFSIYSEHSDWLHMWAGVELNSAQHKLKSEMEDEINWSYSIKELGLQEITSNFLLSTREDYKAPTISEFVVKTASAMIERGHALPNRGLRIQYNRSSSVQFLSDFFLVLRERCEALQLTNTALEDDSLLKASFAINAARYCEYVLREWDDDIVFLEMGAHRKHVDEGQGQGHKHSAQHPCSFFGDEIAFLAKLGTDYLEQIMSSVLLEFEDLSWDYVQNIGLPNEQIHPVDEVLDEENLGVSPGFVASLEVVRDRTTKLMLHLNSKDFLDLWRSIAEGLDYFIYSSIRWGELTFSDQGVVQLRVDTKALLHIFRPFCLRPEAFFPFISDSLRLLAMRKTDARYLLEVLKNAKENDSCLRQQGLQHVNASQAMKILGSKRSDG >ORUFI07G27800.2 pep chromosome:OR_W1943:7:25683676:25688128:1 gene:ORUFI07G27800 transcript:ORUFI07G27800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRPPPASLRSFLDAHFASPEDLASAPALAELLRRECVGLDASLRRLEAQLASVSASWLARSAGARASLRRIRSRGGGFGVEEDDGEETLRSAELPALVQEIHRIDAIRLYAAFVGNLEDATFSIVRQASKLNLSSEMQWKQEKLLQAVDAMRDIELELLRISTNRPQWTNLIMAVDSRVDKTLAILRPKALTDYRALLAALGWPPSLSSPDAANNKYSEIPNPLILMNEANKEKYSQSFLALCALQHAQANREARQCQAKGASASMSDSKYFDKTAACFDNGLWAIDELVQPIASRLEYHFAKWSEQPEFIFALVYKIARDFMGGVDDILQPLIDRARLVGLSAKESWVTGMVKMLLGYLERQIFPVLVTSYQATDDKFEVHSSWMHLNDLMITFDKRMQLLADSGIQKIASISEGLSRSLSVFSIYSEHSDWLHMWAGVELNSAQHKLKSEMEDEINWSYSIKELGLQEITSNFLLSTREDYKAPTISEFVVKTASAMIERGHALPNRGLRIQYNRSSSVQFLSDFFLVLRERCEALQLTNTALEDDSLLKASFAINAARYCEYVLREWDDDIVFLEMGAHRKHVDEGQGQGHKHSAQHPCSFFGDEIAFLAKLGTDYLEQIMSSVLLEFEDLSWDYVQNIGLPNEQIHPVDEVLDEENLGVSPGFVASLEVVRDRTTKLMLHLNSKDFLDLWRSIAEGLDYFIYSSIRWGELTFSDQGVVQLRVDTKALLHIFRPFCLRPEAFFPFISDSLRLLAMRKTDARYLLEVLKNAKENDSCLRQQGLQHVNASQAMKILGSKRSDG >ORUFI07G27800.3 pep chromosome:OR_W1943:7:25683674:25688128:1 gene:ORUFI07G27800 transcript:ORUFI07G27800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSAASRRSSRPYPPPGSRAPPALAHLSAASAPEAGDSEWRRMMARRRCGARSCRRLCRRSIGSTPFDSMREMQWKQEKLLQAVDAMRDIELELLRISTNRPQWTNLIMAVDSRVDKTLAILRPKALTDYRALLAALGWPPSLSSPDAANNKYSEIPNPLILMNEANKEKYSQSFLALCALQHAQANREARQCQAKGASASMSDSKYFDKTAACFDNGLWAIDELVQPIASRLEYHFAKWSEQPEFIFALVYKIARDFMGGVDDILQPLIDRARLVGLSAKESWVTGMVKMLLGYLERQIFPVLVTSYQATDDKFEVHSSWMHLNDLMITFDKRMQLLADSGIQKIASISEGLSRSLSVFSIYSEHSDWLHMWAGVELNSAQHKLKSEMEDEINWSYSIKELGLQEITSNFLLSTREDYKAPTISEFVVKTASAMIERGHALPNRGLRIQYNRSSSVQFLSDFFLVLRERCEALQLTNTALEDDSLLKASFAINAARYCEYVLREWDDDIVFLEMGAHRKHVDEGQGQGHKHSAQHPCSFFGDEIAFLAKLGTDYLEQIMSSVLLEFEDLSWDYVQNIGLPNEQIHPVDEVLDEENLGVSPGFVASLEVVRDRTTKLMLHLNSKDFLDLWRSIAEGLDYFIYSSIRWGELTFSDQGVVQLRVDTKALLHIFRPFCLRPEAFFPFISDSLRLLAMRKTDARYLLEVLKNAKENDSCLRQQGLQHVNASQAMKILGSKRSDG >ORUFI07G27810.1 pep chromosome:OR_W1943:7:25689186:25691330:1 gene:ORUFI07G27810 transcript:ORUFI07G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMGSSKCASASAPLESKVTRSSNSTTTTDNTSKASQRSSSAFSSGQFSRASSDESSAAPSLSSLKSFNMGDLRAATKNFGSNSFLGEGGFGCVYKGWIDELTLAPTKPGVGKMVAIKKLKKESFQGHKEWLAEVTYLGQLHHENLVKLVGYCSDSDSNKLLVYEYMLRGSLENHLFRRGTQPLSWAMRVNIAVDVARGLSFLHGLENPIIFRDLKSSNVLLAGDYRAKLSDFGLARNGPTGDKSHVSTRVVGTRGYAAPEYVATGHLSVKSDVYSFGVVLLELLTGRRALDAARGATAEMLVDWARPHLGDRRKVNRIMDTRLGGQYPKKQAQDMAALALRCLHHDPKLRPAMPDDVLPQLRLLQQNTKPSSSSTSAPAHRSRPFQALAS >ORUFI07G27820.1 pep chromosome:OR_W1943:7:25692697:25698339:1 gene:ORUFI07G27820 transcript:ORUFI07G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRTDAASWLRELVLVYAMCWTVSLGFVAGQTGQLNVDASPQNARKIPDKMFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNAPSNIDPWLIIGNESSIIVGTDRTSCFEKNPVALRMEVLCDSKGTNNCPSGGVGVYNPGYWGMNIERRRVYKVGLHIRSSDAVSLTVSLTSSDGLQKLASHTITASKKQFAKWTKIEFHLKSSQTNTNSRLQLTTSKSGVIWLDQVSVMPSDTYMGHGFRKDLASMLANLKPQFLKFPGGNYAMGNYLRNAFRWSETVGPWEERPGHFNDAWGYWTDDGLGFFEFLQLAEDLGASPVWVVNDGSSNLHGLLGASQNEEVSTATIASLVKDVVDGIEFARGGPKTTWGSVRAAMGHPQPFNLDYVSIGNQECWMLYYRGNYQKFYSAIKAAYPDINVVSSCDKSTISPSNPADLYDVHVYTSSSDMFSRTSMFDNTTRSGPKAIVSEYAVTGKDAGKGTLVAALAEAAFLVGLERNSDVVEMASCAPLFVNDNDRRWSPDAIVFNSWQNYGCPNYWMLHFFKDSCGATFHPSNIQISSYNQLVASAITWQNSKDKSTYLKIKLVNFGNQAVNLSISVSGLDEGIKSSGSKKTVLTSSGPLDENSFQQPQKVAPVSSPVDNANEQMGVLVDPYSLTSFDLLLQPSKHSTI >ORUFI07G27830.1 pep chromosome:OR_W1943:7:25699972:25704238:1 gene:ORUFI07G27830 transcript:ORUFI07G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAKRTAAQKVRRCLGKYELGRAIGQGTFAKVRFAKNMETGDHVAIKILDKAKVQKHRLVEQIRREICTMKLIQHPNVVHLHEVMGSKTRIFIVLEYVMGGELHDIIATSGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDTAGNIKVSDFGLSAISEQVKADGLLHTTCGTPNYVAPEVIEDKGYDGALADLWSCGVILFVLLAGYLPFEDENIVSLYNKISGAQFTCPSWFSAEAKRLIARILDPNPATRITTSQVLQDQWFKKGYESPVFDDKYYPYFHDVYDAFGDSEEKHVKEAMEEQPTLMNAFELISLNKGLNLDNFFESDKKYKRETRFTSQCPPKEIINRIEEAANLLGFNIQKRNYRMRMENIKEGRKGHLNIATEVFQVAPSLHVVELKKAKGDTLEFQKFYQTLSTQLKDVVWELEDAAEDMS >ORUFI07G27840.1 pep chromosome:OR_W1943:7:25705091:25709885:-1 gene:ORUFI07G27840 transcript:ORUFI07G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMANNSCPWYVQIAVGPSHTRLRMELDKKFKVLCLHGFRTSGSFLKKQISKWNPSIFQQFDMVFPDGIFPAGGKSEIEGIFPPPYFEWFQFNKDFTEYTNLDECISYLCDYMVKNGPFDGLLGFSQGATLSALLIGYQAQGKVLNDHPPIKFMVSIAGSKFRDPSICNVAYKDPIKVKSVHFIGEKDWLKVPSEELAAAFEDPVIIRHPQGHTVPRLDEASVKQLSEWSSSILEDTKNADDVAKASNVEKPSEGNTVAESGENLVEQIAA >ORUFI07G27850.1 pep chromosome:OR_W1943:7:25711978:25714270:-1 gene:ORUFI07G27850 transcript:ORUFI07G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGRLDDIADAEMDDGGGGGNRAGAGDYSSSLRPMDRAGLPPYGGAGGSGGLVRPPSSAAGYSGGGGSSSPPGTPPRPHSPRMFVPQSPVTPLHRAVDGPPPVFNQILTSEQEEDHDGPPDKLIPTLLVWTLGGKNVYIEGSWDNWKSKQLVHKCGKDHCVMLGLASGVYRYRFIVDGERRFQPDRPREADIMGTISNLIDVHDYVPDSVDSVSELMAPPSPDSSYGFLAPDDKEFTKEPPALPPQLHLGVLNSRGGSGGKEGECAMPKHNVLGHVFIGKGTPPMVAALGTTFRFQSKFVTKVLYKAIQREDR >ORUFI07G27860.1 pep chromosome:OR_W1943:7:25716871:25717284:-1 gene:ORUFI07G27860 transcript:ORUFI07G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVQQHGRQRHGISKPPPAKKGKAAARKYKPPQCPGAVRVVYIASPMKLTASPEEFRAVVQELTGRHSNIADRHYVDSTIDLPPPPPPPPAYCASYAASATAAAPPVAAVPPPVLTPPLPPQTFQSYDHGGQGHRW >ORUFI07G27870.1 pep chromosome:OR_W1943:7:25719195:25722721:1 gene:ORUFI07G27870 transcript:ORUFI07G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRGARAAQLPYASAAVAPTPTPSFSGFARRLPLLASAALSPLPPSFSFSSASAVRRDRDPPMRPVSGALSRSRPTTRVFCSAAATAPREGKELLVQHLLVGEQDVRLLVDLEKNIITGGADLSDLAVEYSLCPSKENGGMLGWVRRGQMVPEFEEAAFGAPLNKVVRCKTKFGWHLLQVLAEREQCVVEDIPPEELHAKMQDPNFLEEAQLIDVREPDEVDKASLEGFKVLPLRQFGTWGPVMTDEFDPQKDTYVLCHHGMRSMQVAKWLQSQGFRKVYNVAGGIHAYAVKADSSIPTY >ORUFI07G27880.1 pep chromosome:OR_W1943:7:25723088:25727199:1 gene:ORUFI07G27880 transcript:ORUFI07G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSIVVDNDDKNHQLENGHSGAVMASNSSDRSDRSDKLMDQKTMRRLAQNREAARKSRLRKKAYVQQLESSKLKLAQLEQELQKARQQGIFISSSGDQTHAMSGNGALTFDLEYTRWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEVFKVKGVAAKADVFHILSGMWKTPAERCFLWLGGFRPSELLKLLANHLEPLTEQQLLGLNNLQESSQQAEDALSQGMEALQQSLADTLAGSLGSSGSSGNVANYMGQMAMAMGGQPATADIASNATNSDDPASLACSSCHTRLLFTLACFEFAVAC >ORUFI07G27890.1 pep chromosome:OR_W1943:7:25730392:25731473:-1 gene:ORUFI07G27890 transcript:ORUFI07G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNVSSEKKALAAAKRRAYVTFLAGDGDYWKGVVGLAKGLRRVRSAYPLVVAVLPDVPGEHRRKLVEQGCVVREIQPVYPPESQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFDNIDHLFDLDKGAFYAVKDCFCEKTWSHTPQYDIGYCQQRPDEVAWPERELGPPPPLYFNAGMFVHEPGLGTAKDLLDALVVTPPTPFAEQDFLNMFFREQYKPIPNVYNLVLAMLWRHPENVDLDQVKVVHYCAAGSKPWRFTGKEENMNREDIKMLVKRWWDIYNDESLDYKEEEDNADEASQPMRTALAEAGAVKYFPAPSAA >ORUFI07G27900.1 pep chromosome:OR_W1943:7:25734632:25738183:1 gene:ORUFI07G27900 transcript:ORUFI07G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLPYSLSADLEVALRWEGGGRRLPVDMRGLHGSHTDSTVKSPKLESDTAAGGSRATSPIHHPVSVLARRRRRRRSPSCEVAPASAASSLTVEHPNPSHPPSSPAASADPHPSHHHHARHAIRLHPSPPPCTTAVIRLFLFFLLHKKEVETGVGEHRRVDKALLPFGSITEMMAVTNGVIHASSREPLFSFGVIADVQYADIPDGRSFLGVPRYYRHSISVLQRAVSTWNKQHNIKFSINFGDIIDGYCPKDKSLWAVQKVLDEFEKFDGPTYHMFGNHCLYNLPRGKLVSLLKMPTDSDRAYYDFSPCPEYRFVVLDAYDFSALGWPRDHPVTAEAMKFLEEKNPNSDKNSPDGLVGVDRRFVMFNGGVGKEQLSWLNDVLQDASARRQNVILCSHLPMDPGSASFAALMWNYDEVMAIVRQYKCVKACFAGHDHKGGHSVDSHGVHHRTLEAALECPPGTSAFGHIEVYPDKLLLVGSDKMADTEMCFEP >ORUFI07G27900.2 pep chromosome:OR_W1943:7:25734632:25738185:1 gene:ORUFI07G27900 transcript:ORUFI07G27900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLPYSLSADLEVALRWEGGGRRLPVDMRGLHGSHTDSTVKSPKLESDTAAGGSRATSPIHHPVSVLARRRRRRRSPSCEVAPASAASSLTVEHPNPSHPPSSPAASADPHPSHHHHARHAIRLHPSPPPCTTAVIRLFLFFLLHKKEVETGVGEHRRVDKALLPFGSITEMMAVTNGVIHASSREPLFSFGVIADVQYADIPDGRSFLGVPRYYRHSISVLQRAVSTWNKQHNIKFSINFGDIIDGYCPKDKSLWAVQKVLDEFEKFDGPTYHMFGNHCLYNLPRGKLVSLLKMPTDSDRAYYDFSPCPEYRFVVLDAYDFSALGWPRDHPVTAEAMKFLEEKNPNSDKNSPDGLVGVDRRFVMFNGGVGKEQLSWLNDVLQDASARRQNVILCSHLPMDPGSASFAALMWNYDEVMAIVRQYKCVKACFAGHDHKGGHSVDSHGVHHRTLEAALECPPGTSAFGHIEVYPDKLLLVGSDKMADTEMCFEP >ORUFI07G27900.3 pep chromosome:OR_W1943:7:25734632:25738183:1 gene:ORUFI07G27900 transcript:ORUFI07G27900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLPYSLSADLEVALRWEGGGRRLPVDMRGLHGSHTDSTVKSPKLESDTAIGRPQAAAERLPQSIIPSPSSPAAAAAADLHPARWRRLPPHHRSPLSILIHPIRPPRPPLPPIPILAITITHATPSASIPRRRRAPPPSSASSSSSCSTSSITEMMAVTNGVIHASSREPLFSFGVIADVQYADIPDGRSFLGVPRYYRHSISVLQRAVSTWNKQHNIKFSINFGDIIDGYCPKDKSLWAVQKVLDEFEKFDGPTYHMFGNHCLYNLPRGKLVSLLKMPTDSDRAYYDFSPCPEYRFVVLDAYDFSALGWPRDHPVTAEAMKFLEEKNPNSDKNSPDGLVGVDRRFVMFNGGVGKEQLSWLNDVLQDASARRQNVILCSHLPMDPGSASFAALMWNYDEVMAIVRQYKCVKACFAGHDHKGGHSVDSHGVHHRTLEAALECPPGTSAFGHIEVYPDKLLLVGSDKMADTEMCFEP >ORUFI07G27910.1 pep chromosome:OR_W1943:7:25740211:25742779:-1 gene:ORUFI07G27910 transcript:ORUFI07G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWVRPPAPRRTLGFSAAALIYMGRQHVAHYDPSIWAVVSLMGFLLDHAQYSTAHLEGGAASSRRQPPPPPSRRRLTSRSIPIRLPHHPPRPIPPHALAARSRHPLSNLAPRFSSLCSDPRAPTTCASHPTSPAASIRYLLHLHPPRLQLRPAKQPAWSRPVSSSALARSQRLTAGKVFDTTPLRRLCVLHTVSWIVSSRQVARFSTGVDNANPGAHCRLSELFRPVRTETSCVIIGRALECGRWSESVELELEGLHVELDPFVVNKVLRGLLDSGMAVRFYWWAESRPGFYHNNFAIAYIISLLFVDDNFALLSEFLGRVRSQGVAFHRSLYRVLLAGYARAGKFDSVIETFDEMVTSGCREFGVDYNRFIGVMIKNCCFDLVEKYYNMALAKGFCLTPFTYSRWITALCQSNRIELVEELLTDMDKFGCFPDFWACNIYVHYLCGHNRLYDALQMVEKMTMKGTGPDVVTYTTIVSCLCDHRRFSEAVGLWEEMVRRGLKPDVVACGALIFGLCKNQKVDEAFELASRMLTLDIQLNVSIYNALISGFWRAGSIEKAYKTVSFMQRNGCEPDVVTYNILLNHYCSIGMTDKAENLIRKMEMSGVNPDRYSYNILLKGLCKAHQLDKAFAFVSDHMEVGGFCDIVSCNILIDAFCRAKKVNSALNLFKEMGYKGIQADAVTYGILINGLFGIGYSNLAEELFDQMLNTKIVPNVNVYNIMLHNLCKVGHFKHAQKIFWQMTQKEVSPDTVTFNTLIYWLGKSSRAVEALDLFKEMRTKGVEPDNLTFRYIISGLLDEGKATLAYEIWEYMMENGIILDRDVSERLISVLKLKNN >ORUFI07G27920.1 pep chromosome:OR_W1943:7:25745980:25748439:-1 gene:ORUFI07G27920 transcript:ORUFI07G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVGDEQCLIVGALAILACGSVFVGSKGFNSVSFKKRRTKKNEKDGCETGLVVKATTKFIFVWNFTIVLSARLVITGSTAKLEGDKRVWPPMMVLKLIGHQQPVACSSSFSSMLLYYLSLDLSGFHAILGHYKRGFSSPLNNKWHNP >ORUFI07G27930.1 pep chromosome:OR_W1943:7:25751529:25762643:1 gene:ORUFI07G27930 transcript:ORUFI07G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAREVSSEEEAGGGDELRRGPWTVEEDLLLVNYIAAHGEGRWNALARCAGLKRTGKSCRLRWLNYLRPDVRRGNMTAEEQLLILELHGRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKHLNCDVNSQQFKDLMRYLWMPRLLERIHRSSQSQSHDADDADLSVSAATSCITSDLVVDAHHPPLAMADSNDSAAMWQQHQAPQMSVAGAPPPTTTMAQHVVLPTAAASCHQMQDQFVCARAAETTTCCWSESESLPGLAGLYYDDAAAALPEFDVETMAMWGPEDDPWYTQMLGLSMPPPSHFPSIAVTPVWDPYQQHVVGEQQQQVAGSSIDQPLTPFTVVCTKHSCSAALCPTPKIKSHRRQEIQVQSLICFDDLQSRGCSLLIVRSLPLLFELAHDDDSHHGDDDHDDDEGGTAIKKQLLRRRRRGRRPAGGGHHAGKPVADGLHHGPALERHRGSGSRHKLRRGGDGDGLAVIAGLGIPRRRSWPLPPSSASCCRCRRRPPAAVVCRREMGKGEERGWRKRVELTCGSHTGVTAMDGKCDGGGMDPILQSSSGTQQIS >ORUFI07G27940.1 pep chromosome:OR_W1943:7:25758028:25761326:-1 gene:ORUFI07G27940 transcript:ORUFI07G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPPPRPSEDARLAAYKPGVDVARSRRRREDRLLAVRRSNRDAGLFKRRRDEPTPTPIPPARAATPSSQADDVPTTTTATSPPSSAPSSPPSSPPAESVPPTAADSELEGLSEMVEKVWSDDTTSQLEATIQFRRLLSDEKNPTVIKIIRADVLPRFSDFLSRHEHPQLQMEAAWVLTNIAASDYTLLVAECGAVPRLVELLESANANIRHQAIWALGNIAADVPTCREIVLDHGAVTPLLAQFREGMKVPVLRTATWALSNLCFGKLPAEVQVKPILDIISQLIHSVDEKILGDACWALCYICDGVSDGIQHVLDAGACPQLVNLLMHASANILLPVITVLARISSGDDAQVQVLVENDILNYLAPLLARNYPKSIKKQACLIVSNISTGSKDNIQAVIDADVISPLIFLLKTSEKDIKEEAAWAISNAASGGSNDQIQYLVSRGCLEPLCNVLTYQDADLVYACLEGLQNILQAGAVGKQGQGSTVNPYAQFILECGGLDKLEDLQEVDNDAIYKLVMKLLEGYWDEEVSDDDPNLPTSNDSAETVETASEDAAQPTEPSASPNESE >ORUFI07G27950.1 pep chromosome:OR_W1943:7:25763832:25769062:1 gene:ORUFI07G27950 transcript:ORUFI07G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARATDPLASRDPPTLPLYLPPPPPPPPLPSPSPHHRLLPAPMAPILLHLHPAFLAQMDSRRTTSLLQFLKDEGGIPSPEADKKREQVIRKLNKIVMDWAKVVAYEQRVPPRRATATVLTYGSYTLGAHGPESDIDALCVGPCIATLQYHFFIVLRQILEDRPEVSELQTVESAKVPLMRFRFSGISVDFTYAQLPAIITSNPHLLQKLDSRSWRSLSGVRVNEQIVQLVPNAQKFQILLRCIKLWAKRRGIHCHLLGFFAGIHLAILAAYVCQRYPYGTINGLFTIFFDIFAHWNWQIPVSLHGQPTNCRRPDGSFMPILLPCTPPEFCTSNMTKGTFKKIREELMRGYALTKEPWRHDFEWVWLFAPFPYATKYEEFLRIALCAPTSEELRDWAGWVKSRFRNLILKLESIGVECDPDSTEEVDHTVFEPSIVCHWGLIYKTSTHIDISSLGEDFMKDVINDVYGKVKGTHSKLTMSIVRSSQLPKSLYSHSVYTPYIPQYMLGYQTPTDYSGAAG >ORUFI07G27960.1 pep chromosome:OR_W1943:7:25770656:25771189:-1 gene:ORUFI07G27960 transcript:ORUFI07G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTSTAPHDRKLDPAASPAARWRTRREQESYSSKLLDALRLVRAASGRPSPASSREVRHAADRALAVAARGRSRWSRAILASRARACALRRVRLGAPPPPPAARPAPRSRPPLASKAKVLGRLVPGCRKLAFPALLAEASDYIAALEMQVRAMAALAQALQSVAPAPPPPPSSS >ORUFI07G27970.1 pep chromosome:OR_W1943:7:25778033:25778926:-1 gene:ORUFI07G27970 transcript:ORUFI07G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALEEGLVAEKVVVVVLVEEGEAVPEAVLAVEQEAAPVPVLVVEREREAVLVEAVVSEVEQEEEAKAEELAAVRAEDSVAAVALEQEAVSAEAREVVSVEASAAAMVQAEAAPVAAEDLAEALEVASEVDSSTSMR >ORUFI07G27980.1 pep chromosome:OR_W1943:7:25781273:25800224:-1 gene:ORUFI07G27980 transcript:ORUFI07G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKHLATLALHSTNKATARPPIRSIPLGFFFFHFDFDFDLLFLNLNSLPCCCCCSESEPRARGDLGLAPQSPAMALWWPLLVLAAAYALCRILLFLIPPTVPSIDVDASDVLEDANQNKEDSYIYIPPRKGKGAQTDKVQCYEPATMKYLGYFPALTPDEVKEHVAQARKAQKIWAKSSFKQRRQFLRILLKYILEHQDLICEISSRDTGKTMVDASLGEIMTTCEKITWLLDEGEKWLKPEYRSCGRSMLHKKAKVEFYPLGVIGAIVSWNYPFHNVFNPMLAAIFSGNAAVIKVSEHASWSGCFYFRIIQAALAAVGAPDNLVHIITGFAETGQALVSSVDKIIFVGSPGVGRMIMNTASDTLIPVTLELGGKDAFIVCEDVDLPSVVQVAVRAALQSSGQNCAGAERFYVHKDIYSTFVSQVVKIIKSISVGPPLSGRYDMGAICMIEHSEKLQNLVNDAVDKGAEIAGRGSFGHLGEDAVDQFFPPTVLVNVNHTMKIMQEEAFGPILPIMKFNSDEEVVKLANDSKYGLGCAVFSGNQKRAIKIASQLHCGVAAINDFASSYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKAVVEDRWWPYVKTMIPKPIQYPISENGFEFQELLVETLYGLSVWDRLRSLVNLLKMISEQNNSPANTRKKSR >ORUFI07G27980.2 pep chromosome:OR_W1943:7:25781273:25806143:-1 gene:ORUFI07G27980 transcript:ORUFI07G27980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMQEESLAMALWWPLLVLAAAYALCRILLFLIPPTVPSIDVDASDVHVPIGLKKNTSWYCLVYYGYLTIPPRKGKRAQTDKVKEHVAQARKAQKIWAKSSFKQRRQFLRILLKYILEHQDLICEISSRDTGKTMVDASLGEIMTTCEKITWLLDEGEKWLKPEYRSCGRSMLHKKAKVEFYPLGVIGAIVSWNYPFHNVFNPMLAAIFSGNAAVIKVSEHASWSGCFYFRIIQAALAAVGAPDNLVHIITGFAETGQALVSSVDKIIFVGSPGVGRMIMNTASDTLIPVTLELGGKDAFIVCEDVDLPSVVQVAVRAALQSSGQNCAGAERFYVHKDIYSTFVSQVVKIIKSISVGPPLSGRYDMGAICMIEHSEKLQNLVNDAVDKGAEIAGRGSFGHLGEDAVDQFFPPTVLVNVNHTMKIMQEEAFGPILPIMKFNSDEEVVKLANDSKYGLGCAVFSGNQKRAIKIASQLHCGVAAINDFASSYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKAVVEDRWWPYVKTMIPKPIQYPISENGFEFQELLVETLYGLSVWDRLRSLVNLLKMISEQNNSPANTRKKSR >ORUFI07G27980.3 pep chromosome:OR_W1943:7:25800231:25806143:-1 gene:ORUFI07G27980 transcript:ORUFI07G27980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMQEESLAMALWWPLLVLAAAYALCRILLFLIPPTVPSIDVDASDVHVPIGLKKNTSWYCLVYYGYLTIPPRKGKRAQTDKVQCYEPATMKYLGYFPALTPDEVKEHVAQARKAQKIWAKSSSKQRCQFLRILLKYILEHQDLICEISPRDTGKTMVDASLGEIMTTCENVRVMASKKREDGGYPLGTCKDCGGKIALNRDHECQKTKTEIAKGAKKAKDKHERTNTKLKKKHN >ORUFI07G27990.1 pep chromosome:OR_W1943:7:25787890:25788100:1 gene:ORUFI07G27990 transcript:ORUFI07G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERDGTRTGLTAVEGQAWRTALLPPRRRRHTEVAPTTKSTYTWRQQVELHPPQMAFL >ORUFI07G28000.1 pep chromosome:OR_W1943:7:25807021:25817023:-1 gene:ORUFI07G28000 transcript:ORUFI07G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAAAAAAVFPSRFAAAPAVAAVEEVRSPLLRVLGALRGGRVSTLGRRARFCSNSAGSDSEAAAAEAKAEDAVAAEGEADGKASSAIVPTVLRPEDCLSVIALPLPHRPLFPGFYMPIYVKDQKLLQALVENRKRSIPYAGAFLVKDEEGTDPNIVTSSDSDKSIDDLKGKELLQRLNEVGTLAQITSIQGDQVVLLGHRRLKITEMVQEDPLTVKVDHLKEKPYDKDDDVIKATSFEVISTLREVLKASSLWKDHVQTYTQHMGDFNYPRLADFGAAISGANKFLCQEVLEELDVYKRLKLTLELVKKEMEISKLQQSIAKAIEEKISGDQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERIEAKKEKCPAHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVHHAQQILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNVNFLDHYLDVPIDLSKVLFVCTANVIEMIPNPLLDRMEIIAIAGYITDEKMHIARDYLEKNTREACGIKPEQAEVTDAALLALIESYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPTQEAAIVTASEEPNGGDSANKLKDETMEDPATENAAMTNADTASKEASELDLLNRTVDHDVHPAETPKEAVLTDSALSTDKLCTPEGNKDMEGAKEESADKAVEKVVIDSSNLGDYVGKPVFQAERIYEQTPVGVVMGLAWTAMGGSTLYIETTKVEEGDGKGALVMTGQLGDVMKESAQIAHTVGRAILLDKEPENLFFANSKVHLHVPAGSTPKDGPSAGCTMITSMLSLAMGKPVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSAVKTIVFPAANKRDFDELAPNVKEGLEVHFVDTYNEIFDIAFQSETQTETS >ORUFI07G28010.1 pep chromosome:OR_W1943:7:25817919:25824394:1 gene:ORUFI07G28010 transcript:ORUFI07G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLQQHMGITHANSITTEPSPSPRPPLLRLLPQPRRRRHAHAKHIALSTNCKCASAASASVEEDDVAGGLGYRHPPKQIQEILDVPPNPSYLISPRRDRIMFLQRTAMPPLSELTKPEIVLSGIRIDPGSNMRSRMSFYTGIIIHDLMDSGTLGPAKEVHGYADGAKINFVTWSPDGQHMAFTVRYEDKVDNSVNLSLWVANAESGEARPLCISEDIRLNAIFELFVWVNDSTVLVCTIPSSRGCPPKKPLVPFGPRIRSNEHKNVVQMRATKEMLKDLHEEELFDYYATSQLILLSLDGTVKPIAPPAIYTALDPSPDEKYLMLTSVHRPYSSTVSYKRFPKKVELWTVDGTFIREVCDLPLAEDIPITSGSVRKGKRLISWRPDMPSTLVEAQDGGDANVEVSPRDIVYMELADPLNGEKPQVLLKLDLRYRRISWCNGSQALVYEHWYRTRRTRTWVISPDCKDVSPRVLFERSSEDAYSNPGSPMMCRTPAGTFVIAKIKTNYEGTYILLKGKGATPKGSIPFLDLLNINTGVKERIWESSKEKYYESVLALMSYNPKCEIQLNHLKLLVSKESRMEPTQYYIKAWPDKTQVQITNYSHPYPQLALLQKEVIRYQRVDGVKLTATLYLPPGYDPSKDDPSKDEPLPCLIWSYPGEFKSREAAGQVRRSPNKFARIRSIFPLLWLARGFAILADPTIPIIGERDQEANDRYIEQLVASAEAAVNEIVRRGVAHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQKEVRTLWEATDTYIKMSPFMSANKIKKPILLIHGEDDSKVTTAMQSSQFYDALKGNGVPCRLVILPFERHHYVARESVMHVIWETDRWLEMYCVSNSRNIQVMESTVDTTWSPACEALALYFTKIYSLSKLVHLAFAQIFHQEVKK >ORUFI07G28010.2 pep chromosome:OR_W1943:7:25817919:25824394:1 gene:ORUFI07G28010 transcript:ORUFI07G28010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLQQHMGITHANSITTEPSPSPRPPLLRLLPQPRRRRHAHAKHIALSTNCKCASAASASVEEDDVAGGLGYRHPPKQIQEILDVPPNPSYLISPRRDRIMFLQRTAMPPLSELTKPEIVLSGIRIDPGSNMRSRMSFYTGIIIHDLMDSGTLGPAKEVHGYADGAKINFVTWSPDGQHMAFTVRYEDKVDNSVNLSLWVANAESGEARPLCISEDIRLNAIFELFVWVNDSTVLVCTIPSSRGCPPKKPLVPFGPRIRSNEHKNVVQMRATKEMLKDLHEEELFDYYATSQLILLSLDGTVKPIAPPAIYTALDPSPDEKYLMLTSVHRPYSSTVSYKRFPKKVELWTVDGTFIREVCDLPLAEDIPITSGSVRKGKRLISWRPDMPSTLVEAQDGGDANVEVSPRDIVYMELADPLNGEKPQVLLKLDLRYRRISWCNGSQALVYEHWYRTRRTRTWVISPDCKDVSPRVLFERSSEDAYSNPGSPMMCRTPAGTFVIAKIKTNYEGTYILLKGKGATPKGSIPFLDLLNINTGVKERIWESSKEKYYESVLALMSYNPKCEIQLNHLKLLVSKESRMEPTQYYIKAWPDKTQVQITNYSHPYPQLALLQKEVIRYQRVDGVKLTATLYLPPGYDPSKDDPSKDEPLPCLIWSYPGEFKSREAAGQVRRSPNKFARIRSIFPLLWLARGFAILADPTIPIIGERDQEANDRYIEQLVASAEAAVNEIVRRGVAHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQKEVRTLWEATDTYIKMSPFMSANKIKKPILLIHGEDDSKVTTAMQSSQFYDALKGNGVPCRLVILPFERHHYVARESVMHVIWETDRWLEMYCVSNSRNIQVMESTVDTTWSPACEALALYFTKIYSLSKLVHLAFAQIFHQEVKK >ORUFI07G28020.1 pep chromosome:OR_W1943:7:25839007:25839207:-1 gene:ORUFI07G28020 transcript:ORUFI07G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVITSSVNSAFTSGDGLSDGSLPMAAASPVIFSTNFSITSSSSGCSSFAMVTASTSTVILSLSID >ORUFI07G28030.1 pep chromosome:OR_W1943:7:25839056:25840043:1 gene:ORUFI07G28030 transcript:ORUFI07G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEQPEEEEVIEKLVEKITGLAAAIGKLPSLSPSPEVNALFTELGHLEAHYSDVLAAHDNPLDHLALFPYFNNYIQLAQLEYALLARHLPAAPPPSRLAFLGSGPLPLSSLVLAARHLPAASFHNYDICADANRRASRLVRADRDLSARMAFHTSDVAHVTTDLAAYDVVFLAALVGMAAEEKARMVEHLGKHMAPGAALVVRSAHGARGFLYPVVDPEEIRRGGFDVLAVHHPEGEVINSVIIARKPPVAAAALEGGDAHAHGHGAVVSRPCQRCEMEARAHQKMEDMSAMEKLPSS >ORUFI07G28040.1 pep chromosome:OR_W1943:7:25854085:25856439:1 gene:ORUFI07G28040 transcript:ORUFI07G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPDRRRNWLRGHRKQIIGDYLAEARAALAAAAAAPLDGEGGEHSAATAALGLVEAVLEMSPRMEAALELRARSLLALRRYRAVADMLRDYIPSCTKPCSADDTSSSSSSSSSCSSASRTDLLSPARDRSDAASAASRFLCCFDISDLKHRVLAGFSKNTSADTQWRYLVLGQACFHLGLMEDAAALLQTGRRLASAAFRRESVCWSEDSFSPSNLTANAISAPASRRASKSGAAGSEAESVSQLLAHVKLLLRRRAAAVAALDADLPAEAVRHFSKVLDARRGVLPHPFATACLVGRAEALRSSGRAADAIADCNRALALDPAFIPALRSRADLLESVGALSDCLRDLEHLKLLYDAALRDGKLPGPTWRPQGGVRFSEIAGAHRALTPRIQQLRGRVASGEACSVDYYALLGVRRGCTRSELERAHLLLTLKLRPDRCASFAERLELVDEHRDLEAVRDQARMSALSLYRMLQKGYSFIMSVVQDEEAAERQRAKDAAAATAAAAAAAAAAALAREQEETAAVPEKARISSVSVPSTNVQVQVTQAAAMPTAAMAAAAAMGSPVFQGVFCRDMAVVGTLLSRGGFDRPIPVKCEAMSC >ORUFI07G28050.1 pep chromosome:OR_W1943:7:25858865:25865788:-1 gene:ORUFI07G28050 transcript:ORUFI07G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHGGQDADNRRLFAGVRFALLGFDPVSESQYRSEMVQRGGVDAGAYGAGCTHLIVCDLLYDNPICVAARKDGTKVVSEQWVDDSLDLGEMADADRVLYRPVRDFSGIPGSQSLRICLTGYQKNWRNDIMKMASLMGAEFSKSFDALKDTHLICYKFEGEKYKVAKRENTAKRANVNLVNHQWLEDCLMAWKILPADDYTKSGWEIEIMAAQAKDSEDDEEEAGRSSSHSKRATRSARTKEIRMATLVDPHSQAPTRDPTISSCSAEITAGGHMSTPERITKAGGSTSRSLNIKSDIRNTPISADSDAYESAHFPLNGKEEAPAAQVHRAEAKDDVKMAVDASPGAHCISNIAGTTVCSDHHVHQSTTAPAMLVDKTETIGGNCLDSSNQNNVNIALWSTPSKETFSEKTLRPSGISGNVGQKDGGSTPDLNTAVDQSNTERELTLCEANLRLTGNAASKKNSQVLSYNRRRCRKSVSPEANLKPTGSPQSFEGVTPRVDFTISPSMKSDHKISDITDAESLRDVEIVKKVNKSSGALAQKRASKLSSISMKPSVSSETGTANSPFSSRESASEAATFSDPSRNSAESVILTAKEKSGPSKSNLLSYRRALKLARPVEGEKLSENSTKSKKSLRENTLALHEVDKGGSSSENGLRSEKGCAANSSADSEVDKRSSSSSLQNRDTEMSDVPQVDKTEVVAPNTDFAIVVSHQNMEVVPKSIQVTATISECETFPQEEPTSKVKNASVKRFGNASNKAATRSVKNKDEIVSFKSDGDKVEVQPEKNHARPNGAECTVFIPEEIPNSKANNAATNNSRDALQMNTALALSKTELAEKNPGSASADEYRKSSCEKVSQTADVEMPDAPIVDSIGAMFSKSGFKEVFPPENAGSCPKRLSTNTNMGGPETCTPSIVPNNRVRKAAAKRKVSAVQQNSFGDVPCKNSSAVVSEFKFVYKRASEGPRNGSKKTMDQNLQSSNEDGARDAGVSFSEDDMRDRSKILQNSQARSSKRQKAADLMDGSTDHDKENLPGNHNISKSKYGNSCTSLNSFIQAAGSGKDRLADRGVVEENDCGMLTVLEPRLFILSGHRLLRKEYKLILRRLKGRVCRDSHQWSFQATHFISPELRRTEKFFAAAAAGRWILKSDYLTACNEAGKFLEEEPFEWHGNGLNNRDTISLDAPRKWRQLRQHTGHGAFYGMQIIIYGECISPSLDTLKRAVRAGDGTILATSPPYTRFLKKPDHVDFAVVSAGTPSADAWVEEFKRQGIPCISADYLVEYICKPGQSLNKHVLFNMHDLADKSHAKLLKGQLDDVLGEATEAMQLNQLVRLVDPTTGKED >ORUFI07G28060.1 pep chromosome:OR_W1943:7:25866601:25866867:-1 gene:ORUFI07G28060 transcript:ORUFI07G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYRPYSGEYQYGNGNAVVPYGGGGERRMKAVCRWVPGAWWLSDPEMKRRRRVAGYKSYAVEGKVKASIRRGLRWIKAKCSHIVRR >ORUFI07G28070.1 pep chromosome:OR_W1943:7:25868167:25871810:-1 gene:ORUFI07G28070 transcript:ORUFI07G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGGRVALDGGGRRRRKLDEEDDEEYVADDDEEEDEDEDEEEYQQAAAPSDDGEEGGDDEAEPEDESDADFVGDEEEEEEDLEDEDDLEEVKAPRPKRPPKGKPPPRSRRRRQEDDDDDYEEEEEEDADFDPDVDEDDEEEVDEDEEEFEQDDDDSDDFAPIRVRKTSTKNHVAKRKPPPGRKKKKRKPSRVSKAKPKKPTSGRRRRKRWATDDDEEEEDDADFIVEDDQEEEEDDHRPKKKAKAARKTRDVTPEPDVEASAWPAVESDTSEFEFVTSDEEAADKEAPAAEPAKTKGKKGRKRWGSGSESSSDSDYVISEQELKDLEVSMPPDAALQSPATLPRQTFLSRRVGEKGKEPEEAWKQTCGICLSEEQRATIQGVLNCCAHYFCFACIMEWSKVESRCPLCKRRFTTITKSSMADLGLGSRKAVIRVEKRDQVYQPTEEEMRRWLDPYENVVCIECNRGGDDNLMLLCDICDSSAHTYCVGLGRQVPEGNWYCGGCRSGGEGPSAQDTVVHCRESNTNPANSSSGSFGSATPSGVFQRPPPINTQPSLQGFDLNLSPRETPDEDKREESHVSADAVSTPTGRHATLDRRRAFNRRIRILLFRPRVTPNGWQNPIQSDRTIPENEQNPQSTSTPTEVNPSCSRDSSMQNQQSSSSFVQPARGLIERTYGGGSNFQQTEGAKEQLIPIVKRNLKLMCAQSPLGQSDFKNVARRATHTILALSGIAHNEDFVVSTPHPLPSHCNHACDGQEPAFLMRTCCSSCFNSFVGGVVSYIAEMFT >ORUFI07G28080.1 pep chromosome:OR_W1943:7:25878883:25885328:1 gene:ORUFI07G28080 transcript:ORUFI07G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAGGAGGSERPSLRYGHAGFAKRGEDYFLVKPDCLRVPGDTSTAFSVFAVFDGHNGVSAAVYSKEHLLEHVMSALPPDIGRDDWLQALPRALVAGFVKADIDFQRKGEVSGTTATLVVVDGFTVTVASVGDSRCILDTQGGEVQLLTVDHRLEENAEERERVTASGGEVGRLNLFGGQEVGPLRCWPGGLCLSRSIGDMDVGEFIVPIPHVKQVKLSNIGGRLIIASDGIWDALPSEAAAKACRGLPAELAAKLVVKQALKKSGLKDDTTCVVVDIIPSDYRLTSPQLSPKRNQSKFKSLLFGRRSHSSIGKLGGKSASFGSVEELFEEGSAMLEERLGRNLSLKATSAPLRCAICQVDQEPFESMMTEKGGSYCSSPCAPWGGPYLCLECRKKKDAMEGKRSSHSTACR >ORUFI07G28090.1 pep chromosome:OR_W1943:7:25885409:25886560:-1 gene:ORUFI07G28090 transcript:ORUFI07G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRKRQDRDEYDRCGGVKTAPAPEKRRKHLYLMLDDRDNAYRMHKIDVDALADSEEDDMLLLPEPALLQFGTDRHSGMCFFALGSSIFATRPPHTPALVYDTDTGGLTVGPPLPNKLCGGPNITMAMADNKTMYALYDYDTNYLNPHPMEAMSWEAVPCTERHLPRVKEWTWKSVPSQPPYGRLDEIVSYAVHPDQRTFFVSVKEAFCSRSDGGNKGTFSFDTKHCEWRWHGDWMLPFERQGYYDAELDAWVGLRLTDGRVCACRVASRSSSAPPEWKLLQEKLFCKDPQERQLMALSGIRPSLAYMQGSGRFCLLECVLREGVDWKHAFGDDAHGCLLRLTIFGLKYDHQGELHTSIHRTNASYIVSKHNSSFSPVAFWM >ORUFI07G28100.1 pep chromosome:OR_W1943:7:25890843:25895468:1 gene:ORUFI07G28100 transcript:ORUFI07G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDRYGGGGGGGGGGGGGSGSGHRRDRYAEGGRRGSCSPHPQDRYGSGRRGGGSGRGGLVDGDRSHPRDRTGGGDHYGAGEGSGPRMDRHAEGGRRGSCSPHPQDRYGSGRRGGGSGRGGLVDGDRSGGGDRYGAGGGGGNGNGKGSRSRSAHPRDRYSGGGHLYGGGGGYTSDRGPPTCSHRYDGQSSKEHGDSFYSGGNSSKFADTPRNNQHQPLTSMIHFSRIRYKQLINGMGGQVLTSLEYEIATHIVPMGGREGAAIVWELDGKRVYSKEWLDSLLYVRPKALEASSTGRTRNGSQALYPGERRVRRRINFDSYHDDGASLCSKHHDIFKCPFDKSTQTTLLQFAEKNRKNLIYAGPTPGSFDTHWSIVGSTEIKLKLRRAFRLIQALHKENISLCGKFGAENFFYDDDNNARIGNLMEDNLKYGADLSDKNLDYESFVKMVKKEVFVRTSIPNSLSEWLCLMSSGVKGFEYLLCHHDGLMEPRQSASSFMSLHDIFLEIETSDPAAYGSVLSDLRQYNYWKSKMPNNSFLKSTKEYMDKDGKQIEYKDDVKDLLRFLRNCRRHAAQFKEDEFPSIVDHFYPKLMCDFQKAMFKEVEHNSKEEVSMAYFSRLPAGCPCRGQLLTMDHRLEENASSGEVAPELALLGAGQVACAYQDPLAVGGRFHMSTKLRWYMGCASLWAALAMKQRQRHAGCRDLPAELAAKLMVLGIIDSITIFGI >ORUFI07G28110.1 pep chromosome:OR_W1943:7:25897489:25899516:-1 gene:ORUFI07G28110 transcript:ORUFI07G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFIIANNPLARYAVLFAAAVFLVAAAQAQPTTPPPPPPPEMDCNGILLTYNLDRRDKIRPFVAAPEADAQPYSFRATATVLNSGTRALRSWTLRLTFHHREILVQLDGAVLTSGADLPYNTTADNATSFSGYPQTDLLTPIATAGDLTKIQATLNLVGTLFAGPPPYVPLPSALSLADPSYTCPPAINVSTSTLSTCCILTPEAAANASAGDLDAGRATSYLPRGAGDLVITYDVLQAHETTYLAQVTLENDALLGRLDGWELSWEWLRGEFISSMRGAYPRQVGATDCVYGAQGAFYKGLDFSKVLNCDRKPAVLDLPPSRRGDEDIGGIDHCCRNGTMLPKSVDAAQSKSAFQMEVYKMPPDLNRTKLYAPANFRVSGASPLNPEYACGQPVRVTPTELPDPSGLASTTLALATWQVVCNMTAAPPSKPPSCCVTFSAFYNESVIPCRTCACGCPASPAAACSTTAPSMLLPPYALLMPFERRGREAVWWAGEKRLGVPRPMPCGDSCGVSINWHVATDYAGGWSARATLFNWEGADVAEWFLAVAMEKQAYDGFEQAFTFNATAMGNGTTMILMKGREGFQYLKRESNMSGVDYPVAGKQQSVLLFTKKRSGGGIDVVGGDGFPTRVLFNGHECAMPQRIPSSAHRRCLVLLWTTLLVFMSSILLVLSLL >ORUFI07G28120.1 pep chromosome:OR_W1943:7:25902707:25904487:1 gene:ORUFI07G28120 transcript:ORUFI07G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGAIIGASTVLVVAVVAAVCVVSFKNGGGGKEDGELSTSVKSVKAFCQPTDYQQTCEEELGKAAGNGASSPTDLAKAMFAVTSEKISKAISESSTLEELKNDKRTSGALQNCKELLEYAVDDLKTSFEKLGGFEMTNFHKAVDDLRTWLSAALTYQGTCLDGFLNTTTDAADKMKSALNSSQELTEDILAVVDQFSATLGSLNIGRRRLLADDGMPVWMSEGGRRQLLEAAGPEAGPVEFKPDVTVAADGSGDVKTIGEAVAKVPPKNKERYTIYVKAGTYKEYVSVGRPATNVNMIGDGIGKTIITGNKNFKMNLTTKDTATMEAIGNGFFMRGITVENTAGPENHQAVALRAQSDMAVFYQCEFDGYQDTLYPHAQRQFFRDCTVSGTIDFIFGNSQASKIVVLQNCLLQPRKPMDNQVNIITAQGRREKRSAGGTVIHNCTVAPHPDLEKFTDKVKTYLARPWKEYSRTIFVQNEIGAVVDPVGWLEWNGNFALDTLYYAEVDNHGPGADMSKRAKWKGVQSLTYQDVQKEFTVEAFIQGQEFIPKFGVPYIPGLLPQTQQGRMH >ORUFI07G28130.1 pep chromosome:OR_W1943:7:25905997:25907122:1 gene:ORUFI07G28130 transcript:ORUFI07G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGDGVRRESVGGGEGATPPVRGGEGERQCLVGGGEGERQRGSGDADGESRWAAATQVGREEGAAHGAACESWRAGAGGRRGVGGGLVSDAAPEETTRQRWSRRKRTKLPYPAPAPAISTAADARIRPIAFSTVP >ORUFI07G28140.1 pep chromosome:OR_W1943:7:25907197:25916614:1 gene:ORUFI07G28140 transcript:ORUFI07G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPLAHLPRPPPLTASAIFRPRAPRLLASLSLATPPPPLLAASAFASLPLRALLSESQREVEMVGESEGRPLRVGLVCGGPSPERGISLNSARSGEDLLVTCYYIDCGMNAYAISPAQLYSNTPSDFDFKLESLAQGFQSLFDFAQHLAANVDIVFPELLEKANVPFVGTPSKNCLLAFDKYNASLELNAQGFLTVPNFLVEKDKLAKSKLEEWFQSINLDKENGKVVVKPTSAGSSIGVVVAYGANDAAEKAEGIISEGIDDKVIIEVFLEGGTEFTAIVVDVGTANNSEPVVLLPTEVELQSSSNIDIQEDTIFNYRRKYLPTRQVAYHTPPRFPSEVIDCIRQGVSILFRCLGLRDFARIDGWFLPTPVTSLPSAENTGKFGNTKYGAVLFTDINLMTGMEQTSFLFQQSSKVGFSHSRILRTVVQHACSRYPSLVPCSNAWTALSRKIQAAKQAEAIQKGTSKKKVFVIFGGDTSERQVSLMSGTNVWLNLQGFDDLDVTPCLLTSGNGYSSFHNQNMNGISRDVWTLPYSLVLRHTIEEVNAACIEAIDPERMELTSRLRDQVMNELGQSLSKYDWFAGFDIADKQPIKYSLQQWINHVKEAQAVCMVVLEKMVLFNRCWDLQEFLTQLASYGVHTIPKDLRATEEILKSSPDDIWNDLKAKLQTETDLEVYANALERKLQRMPANCLSRAHGVIEMPVSPPESLIFEPFIETDEIIISTKSVDDSTRHLVWKGENKWLEVTVGVVGKRGEMLSLNPSITVKESGDILSLEEKFQGGTGINLTPPPSTIMSEEALQKCKSCIETLANTLGLEGFSRIDAFVNVQNGEILLIEVNTVPGMTPSTVLIHQALTEKPPIYPHKFFRTLLDLAFARAN >ORUFI07G28150.1 pep chromosome:OR_W1943:7:25916709:25917152:1 gene:ORUFI07G28150 transcript:ORUFI07G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPSVAAPYQRAASTSLAETTEEQPSTAIDALKLLRSDALKEGTTRDAAAARPKIGTRFSPGDLWGYERTQDNASKEGNDAHGRRRCRTGQKHGKAFASIFTSPTQAPPHRWPQNSP >ORUFI07G28160.1 pep chromosome:OR_W1943:7:25917504:25919470:1 gene:ORUFI07G28160 transcript:ORUFI07G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGVAFDLHDQQPQQLLLHQQQRQEEEEDLQRNQWLVIRLYEAINARDHTAAHALLAPDLEWWYHGPPASHHMMRLLTGCASPSSSSFRFRPLSVHALPASDVVIAEGITGRYHDSYWVHAWTVGPGPNGDRVITHLREYLNTDLTVTRLPPTRCLWQSRRPDLPALLLAL >ORUFI07G28170.1 pep chromosome:OR_W1943:7:25928109:25929152:-1 gene:ORUFI07G28170 transcript:ORUFI07G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVDLMPAADDDNNKQSSTGLLHHHQLPAAADNAILHNTRHPFISTTLANSFFNRSISARVIHSSICRRLEGKVAIVTGGSRGIGEAIVRAFVHHGALVVVADIDDAGGHALAAALGPHACTYVHCDVAEEADVERAVATTLEQHGRLDVLCNNAGVLGRQTRGTKSIASLDAAEFARVLRVNALGAALGMKHAARAMVPRRSGSIVSVASVAGVLGGLGPHAYTASKHALVGLTKNAACELGEHGIRVNCISPFGVATPMLVNAWRQGQGGDHADEDQAAASEEEEVEKMEEMVRRLATLKGPTLRAGDIAEAAVFLASDESRYVSGHNLVVDGGVTTSRNVIGL >ORUFI07G28180.1 pep chromosome:OR_W1943:7:25930102:25930522:-1 gene:ORUFI07G28180 transcript:ORUFI07G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFAKSTLQLIAKFNDGQISTSELKSGLTAHHWRESRHVATTPSTIIAKINEEAQNWIMAGATKLTEIIPSSE >ORUFI07G28190.1 pep chromosome:OR_W1943:7:25937638:25944178:1 gene:ORUFI07G28190 transcript:ORUFI07G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGRGNDDDGGVVVVDGFVAILRQLGDLAQLAAEVFQGMHDQVMALSTRRRQLALRLNHLDHAAPPAVAAPQDSSSSFFCHKDYYLFVASNIDRVHWRANLILKQGLVAGGNNSLPTIIFDRIHRCRGPPNLSLLDKYDADGEGACLKRYTNPSFFTSHSACSTKLIHQRIHMAKQPPKLLLETKPTFQCSDSDNSRPQKASQCSDSMPEMDASHGFLSMFRQLKYRQTNGSPMPQMHNFQNETSSSELNISSNCSPESSIKVTQDIGASTTGTDSVSEERNLELERTSSFEAWLSPNAHNIQHDQIAEEMPHYSCNNNNGFVNHVTPNDAIGATNNGNCKDDSNTYKKAVRSKYRGGMEFIASRVSSFPRKLFRKKQDPHPLSVADSFRNMTSKILELKCNNIRDNDSNGMGSINREELLASENGEHPSPDAPFRHVSTERRYMHATRASSEDVPALAEVASDEKSKQEHSDDASEASYDKLLDEELHQSVVRQERNGSPVPQVCSTTRFSQLEREGPGKDMVPPLPPMQWLSSIKVHSGSRVASSPRLKTLRPQSPAVPNHAAGRSYSHPVRKQLETDNVQARGHFGILASHAEIAQTSASDIKSAADISIRNGICRYGFPGKDSEEINHQEKDIIQPSEGEILKTTEEVCEPTVQSDESPPEQHSEIQPQREEIHQTGNGDSDCNNKNNLRATTEEPIYSNGPQIDVHNSLDHPTDRESNTNVHVESVFFSAVEQLTKMNPPPVPRPKYSILQVGVQDRSTVRTAPGLIYPSRRLSGEIRKLPEQINAKVSCDLKPALERGSNVTVDHRNTKVATILQRVDHIRQAHAENYDIDSEVSWSDSD >ORUFI07G28200.1 pep chromosome:OR_W1943:7:25942571:25946524:-1 gene:ORUFI07G28200 transcript:ORUFI07G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGAPGDRKPGGDGDKKDRKFEPPAAPSRVGRKQRKQKGPEAAARLPNVAPLSKCRLRLLKLERVKDYLLMEEEFVAAQERLRPTEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >ORUFI07G28210.1 pep chromosome:OR_W1943:7:25961133:25961838:-1 gene:ORUFI07G28210 transcript:ORUFI07G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVASPDDIVKSPLPPPPPPPPPPLPPAHKDKAAYNPYSGCPAHGGDDGLDGIVLVLRAAAALLALVAMALVASCRHGDWMEFTRYQEYRYLLGVAVVASLYSALQAARTFRRMRAGTAYAATFLDFAGDQAVGYLLITASSAALPITIRMRSAVVNTFTDVVAASISFAFLAFAALAFSALIAGFRLSSSSSSAYNY >ORUFI07G28220.1 pep chromosome:OR_W1943:7:25967979:25977488:1 gene:ORUFI07G28220 transcript:ORUFI07G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLMDPPARSRRCTLLTRALLLAVAALALRLIYAAFLAGMALYPPLPAAAVLGSKTYLHSAVATPDAWRTRDWRKAVDYHATLLAPHLADGILSPTSRAVCLGAVQEALAMRELGVSTAVAVARKRSPPLAVAGNDRRLPFQDSSVDFVFAARALDSSKRPADLAAESARILKPDGHLVVLTTSAADAFSLRALQALLPSLRLLRSRQIKGPDDSTLRELVFQKIQDSTDDPVNKCTIGDHKLQLLTHAEPLIQEEPRKPWITLKRNIKNIKYLPTLADISFKRNYVYVDVGARSYGSSIGSWFRKHYPKQNHTFQVFAIEADPAFHSEYAAKKAVTLLPYAAWVKNETLNFEINADPGKEDEAKANGRGMGRIRPMAGKKMSGEVRSVPAFDFAEWLKRTVSEQDYVVMKMDVEGTEFDLIPRLFDTGAICLIDELFLECHYNRWQKCCPGERSPKYQNTYDECLELFSSLRESGVLIELKHLRWQKGSHASTGVFSFQISKTTSVSETAAMLVVQGTSVAAFEVFYDGSWHGVNCIRIRNGNLFVKFIYSGSTVEHNVDGDCLRLRSRRATCSDCSNVLKPGVDVCVQSSHTPEASSQGGTNASLLLRHDARLITIKKNHQEDKCLCLFVVILYKNQCPGNAEKVITDRRAEVVTINDIFLLQKLQPEVHEGSMKWSFSKDRLSLNKGRLISARFSSEITHLIVLSILRGMEFNIKLVEGQIVYQIIKGDQAQWNLDSMAIPPGFGNTMEIISFQLRDEALRPTITNIPITHVKKNNITEDMRFTVKSEMDSELDRALDVEILYEHVDLRRSKRLKTQPDRFTSYDTPRFLSGYKKKEASSSPTKHVRGAVHCDSPVDDSKKEVESCCVEIPGNVTQKQTGVHSPMVDEKSNSPEGQHKNTTKRTTCSLVKEKASSPEGQHEKTTKRTTCALPVKEKASSPEGQHKNTIKRTTCSLPVKEEPSSVEIEEKSSKEQSAPEFHIPRTPAQNKEKHNRPPFSCKPKLFTSSGTLGVNCEPAFCQKVGGKRKRHMCEREYKQMIDQCIGNIESEMERDSMFNFDANMMNYVQHSYREEDFTWPPSADNQEVEEDELEELWKEMDYSLTTLALLEQKQVMAQSRINMLVDNFDGLRLDCLTLTDDYRCYYQKKEKFAESGSVNESTDYFGKVGGIPCHHECILDEELGLACRLCNVVCTEAKDIFPEMFNGNDYKDRPGCSNICLDDDILDPSLLANLAPELSELKNSGSVWSAISDLDPKLLPHQRKALDFLWKNLAGSIQVEGMDNSNVSTGGCVIAHTPGSGKTLLLISFLVSYMKAHPRSRPLVLTPKAAIHTWKREFEKWGISLPLHVFHHANRSGKPLGAMDSKLRSLLNNFHRPTWTNMRLMDSLDKLFKWHAHPSVLLMTYSSFLGMTKQDSKVRNRYREFIAEVLMNNPGLLILDEGHNPRSNKSKLRKLLMKVKTEFRILLSGTAFQNNFEEYFNTLCLARPRFIGDIMSELVPERKRETVGRRAKHQEAVARRAFVEKVGQKIESDNKHIRSDGISLLNKLTRGFIDSFEGAKLINLPGIHVYTVFMKPTDIQEEMLAKVTMPKLGSSRFPLEVELLITIGSIHPWLIKTTKAVSTFFSPAEVKKVERYKRDFAAGCKAKFVIDLLHKSSFRGERVLIFCHNVSPITFLVKLIEMVFGWRLGEEVLVLQGDQELPVRSDVMDKFNGDSAGKRKVLIASTTACAEGISLTGASRLVMLDSEWNHSKTRQAIARAFRRGQERTVYVYLLVASGTWEEEKYNSNRRKAWMSKMVFLGRYVDDSSQNRVTDIDDEVLKELADEDHTGTFHMIVKQD >ORUFI07G28230.1 pep chromosome:OR_W1943:7:25978415:25980709:-1 gene:ORUFI07G28230 transcript:ORUFI07G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEAPFPEVETAVMIQVKHLAELGAYVSLLEYNNIEGMILYSELSRRRIRSIPSLIKVGRQEPAVVLRVDHDKGYIDLSKRRVSHHDRRTCEDRYSKSKFVHSIMRHLAETFHLDLEPLYHRIAWPLYRTYGHAFDAFKLIVADPDAAILDSLTYDLTETGPDDQEVTKTLPAVTPEIKDALIKNIRRRMTPQPHKIRADIDMKCFQYDGVLHIQEAMRKAEAAGNKDCPVKIKLVAAPLYVLTTETLDKHQGISVLNNAIKACGETIEKHKGKLVVKEAPRAVSEREDRLFMDDIEKLKIANEEVDGDEDSEEDTGMGDVDLTKTGVGSQ >ORUFI07G28240.1 pep chromosome:OR_W1943:7:25980643:25986235:1 gene:ORUFI07G28240 transcript:ORUFI07G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTNKRAAGTDDDRPTDPKRPKVAQNGSTNGVVVPEIDEDLHSRQLAVYGRETMRRLFASHVLVSGLNGLGAEIAKNLALAGVKSVTLHDVKNVEMWDLSANFFLSENDIGKNRAAACVSKLQELNNAVLISALTEELTTDHLSKFQAVVFTDIGLDKAYEFDDYCHSHCPPISFIKAEVCGLFGTVFCDFGPEFTVLDVDGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDFVVFSEVHGMAELNDGKPRKVKNARPFSFCIEEDTTKYDMYIKGGIVTQIKEPKILRFKSLRDAMRDPGDFLLSDFSKFERSPVLHLAFQALDKFKKEYGRYPAPGCEQDAQSFLKCAADINEALTDHKLDTIDEKLFRHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTYPLDSEDIKPSNSRYDAQISVFGSKLQKKLEEANTFVVGSGALGCEFLKNLALMGVSCSPKGKLTITDDDVIEKSNLSRQFLFRDWNIRQAKSTVAAAAASAINPNLCIDALQNRACPDTENVFHDTFWEGLDVVINALDNVNARMYMDMRCLYFQKALLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPGEVNSFLSNPAQYAAAMRKAGDAQARELLERVSECLGKERCSLFEDCIRWARLKFEDYFSNRVKQLTFTFPEDAATSTGAPFWSAPKRFPRPLQFSVSDPSHIHFIMSASILRAESFGIAIPDWAKNTSKLADAVSEVAVPQFEPKKGVSIVTDEKATSLSSASVDDASVIDDLLAKLEECAKRLPPGFQMKPIQFEKDDDTNFHMDLISGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGEHPIEDYRNTFANLALPLFSMAEPVPPKVMKHQDMSWTVWDRWSIKGNLTVAELLQWFSDKGLTAYSISCGTSLLYNNMFARHKERLNKKVVDVAREVAKVDVPEYRKHLDLVAACEDDDGNDIDIPLVSVYFR >ORUFI07G28250.1 pep chromosome:OR_W1943:7:25986693:25989552:1 gene:ORUFI07G28250 transcript:ORUFI07G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLLRLLLLLVLSSVPLSCQLAHSTAADTQNWGQTSVVHLRNAHTRKLLGLLDDISGRTGSLHALLLEESPKQAPPHHHNRHGGHHRAAHTPAPSPAPSPSPFTAPPKSASPAAITIPISPSTPQPKAESNPAVEDAPAQPRHSWRNYGLVTAGSAVFLVMTIASVIYCRAKKVGTVRPWATGLSGQLQRAFVTGVPSLKRSELEAACEDFSNIIGSTSSCMLYKGTLSSGVEIAVLTSSTESGKEWSKECESQYRKKITNLSKVSHKNFMNLLGYCEEENLFTRAMVFEYAPNGTLFEYLHVREAENLDWMARVRISMGIAYCLEHMHQLNPPVVPRNFNSTTIYLTDDFAAKVSDLDFWNDSKGSFNSATSDETVMVEIDSMVHQYGIILLEILTGRVPYSESDGPLEHWASGYFEGKMTLAELIDPSLGSFPEDAARALCDVARWCIEPEPSKRPLMSQVAGRMKEITSLGPEGATPKVSPLWWAELEIMSGQAT >ORUFI07G28260.1 pep chromosome:OR_W1943:7:25992270:25994033:1 gene:ORUFI07G28260 transcript:ORUFI07G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGGGGGSPKEAAVVVPSSGDATLGGHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRVVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIGGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQPLTCYQAVVNNLDDAHDQIDRAISTAIRESKPVYISVSCNLPAVPHPTFSRDPVPYFLSPRLSNQASLHAALDATLAFLDKAVKPVLVAGPKLRVAKAGGAFVDLADASGYAVAAMPSAKGLVPETLPRFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSCLLKKEKAVVVQPDRVTVGNGPAFGCVMMRDFLSELAKRVRKNTTAFDNYKRIFVPEGQLPECEAGEALRVNVLFKHIQRMIGGAEIGAVMAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGALLGYAQAVQKRVVACIGDGSFQVTAQDVSTMLRCGQRSIIFLINNGGYTIEVEIHDGPYNVIKNWDYVGLVNAIHNGEGRCWATRVRCEEELEAAIATATGDKADSLCFIEVVAHKDDTSKELLEWGSRVSAANSRPPNPQ >ORUFI07G28270.1 pep chromosome:OR_W1943:7:25998736:26007714:1 gene:ORUFI07G28270 transcript:ORUFI07G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGDVPTMYTVLVNSLSADEAVRRPAETALAQCEARPGFCSCLLEIISARGLACREDVRLLATVYFKNSISRYWRHRRDSYGISNEEKDHLRKNLLVNIREENSQIALQLAVLISKIARLDYPKEWPDLFSLLAQQLQSADVLASHRVFMVLFRTLKELSTKRLAVDQRNYAEITSHLFEYTWNLWKSDVQTILQNLSMLSQRSDIDSILEQSNDLMLICDRWLLCLKIIRQLIFSGYANSSYKDKQAKLWDFAKRACTKLMKVLVTLQGRHPYSFVHQAVLPAIVDFCLNMITNPEQGGASFEEFLIQSMVFVKSVLECKEYKPSPTGRVINESSQPLSLEQRKKNFGAVASDMLKIVLPGDRVMLLCNILIRRYFIYTAKDLEEWSENPESFHHEQNLVQWTEKQRPCAEALFIVIFENYREQLAPVVVSILREAMALSPPLETDVTAGMLLKDAAYTAAGHVYYELSNYLSFNEWFHGSLSIEISNGHPNMRIIRRKIALLLGQWISEIKGDTRKLVYHALVGLLQDNDIAVRLAACSSLCYLFQESSFSELDLFECLPTCWTMCFKLTEDVQEFDSKVQVLNFISVLLEHAGDKVIPFASQLSHFFQKIWDESAGESLLQIQLLAALRTFISSVGYQSPLSYHMLIPILQSGINVDSPDALNLLEDSVLLWETTLLNAPSIVSQLLDLFSYLVGIVTRSFDHLEVTINIIEDYIIFGGSEFLKRHGASLANILDTIVGNVNDKGLLTALPIIDLLIQLFPQEAPPLISSALQKLIFICLNQDDEHNPSRTTVRASAGAILARLLVMNTNFTGKLLSEPALLTSIQQTGISVNNNLLLSLVDMWIDKVDDANVIQQKEYAMALSVILTLHVPQVIDKLDDILSVCTTVIMGGREVKTEDDTSGDITSSSWLGNDNSGYSNTSKELRKRQVKDSDPIKQASLEDMLRDNLKACAALHGDATFNAAIGRIHPAAFAQLQQALNIA >ORUFI07G28280.1 pep chromosome:OR_W1943:7:26008296:26016902:1 gene:ORUFI07G28280 transcript:ORUFI07G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTYALHLAVATLLGASFAAASAYYMHRKTLDQLLRFARSLDRDHRRRNRHLLDADDDDDDDPPRDHDRRTTLPIPPGLPPLHTGREGKPIISPASTKRVGPLVRPTTPRSPVPTVSAFETIEDSDDDDENIAPDAKNNAVSLLTNGTIGSDPLPGKASQNGDTKPVPSTNMIRSQSATGSLHGAQHNPVAADILRKEPEHETFSRINITAVETPSPDEIEAYKVLQKCLELREKYMFREEVAPWEKEIITDPSTPKPNPNPFYYEQQTKTEHHFEMVDGVIHVYPNKDAKERIYPVADATTFFTDMHYILRVLAAGDIRTVCYKRLNLLEQKFNLHLMVNADRELLAQKAAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSENVVWLIQIPRIYNVYREMGTINSFQNLLDNIFLPLFEVTVDPASHPQLHVFLQQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDIDHLAAAFLTSHNIAHGVNLKKSPVLQYLYYLAQIGLAMSPLSNNSLFIDYHRNPFPTFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHRLKSHWIGRNYYKRGHDGNDIHQTNVPHIRIEFRHTCPSKLKAAHANRKRKGKDSSVHQSVSKAQIDPVMRLLVHLDCSTCVSARETS >ORUFI07G28280.2 pep chromosome:OR_W1943:7:26008296:26015496:1 gene:ORUFI07G28280 transcript:ORUFI07G28280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTYALHLAVATLLGASFAAASAYYMHRKTLDQLLRFARSLDRDHRRRNRHLLDADDDDDDDPPRDHDRRTTLPIPPGLPPLHTGREGKPIISPASTKRVGPLVRPTTPRSPVPTVSAFETIEDSDDDDENIAPDAKNNAVSLLTNGTIGSDPLPGKASQNGDTKPVPSTNMIRSQSATGSLHGAQHNPVAADILRKEPEHETFSRINITAVETPSPDEIEAYKVLQKCLELREKYMFREEVAPWEKEIITDPSTPKPNPNPFYYEQQTKTEHHFEMVDGVIHVYPNKDAKERIYPVADATTFFTDMHYILRVLAAGDIRTVCYKRLNLLEQKFNLHLMVNADRELLAQKAAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSENVVWLIQIPRIYNVYREMGTINSFQNLLDNIFLPLFEVTVDPASHPQLHVFLQQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDIDHLAAAFLTSHNIAHGVNLKKSPVLQYLYYLAQIGLAMSPLSNNSLFIDYHRNPFPTFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHRLKSHWIGRNYYKRGHDGNDIHQTNVPHIRIEFRHTIWKEEMELIHLRNVDIPEEIDR >ORUFI07G28290.1 pep chromosome:OR_W1943:7:26015379:26019234:-1 gene:ORUFI07G28290 transcript:ORUFI07G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKNGMGAAADRGRLLALAHHDKLNPTKPSEAQRRFKPSIQQLPFFFLEAAFLGLFLLSPLPSFLQTEFHQRWLVGDLNPPPPPCHLLPIQGQGQMQMQQRRKPPPAAAPVAAKQPSPRRTPGPLSFAGALLSLLVVATFLYINDHGNMMPPHASPDPDLRLLQEAAHQKVNSILLSRHAPAPPPRTNTNTSSSDQHLRLINIPMSSDLDLELGGNSTSSSGVEIQFEQQEEKNLRGCELYKGRWVYDAAGREAPLYRESECGFLTEQVTCMRNGRRDDSYQRWRWQPEGCDLPSFDARALLERLRNKRMMFVGDSLNRNQWESMVCLVQSAIPYGQKTLTKFVNNGSLNVFRAHEYNATVEFYWAPFLVQSNSDDPQVHSVRDRVIAWRSIAKHAANWKGVHYLVFNTYIWWLNNFQIKVLKSRGAPFAGSGGWSSRYALVDRAIAYREVLKTWAKWVDRRIDPNKTHVFFMAMSPNHFMPEAWGGSAGAVKCAMETQPIVNRTSGGLDIGTDWRLHGVARGVLRSMRRVGVRFVDITALSELRKDAHTSVHTLRQGKLLTPEQQADPRTYADCIHWCLPGLPDTWNHFLYAHIVAHAA >ORUFI07G28300.1 pep chromosome:OR_W1943:7:26022344:26025050:-1 gene:ORUFI07G28300 transcript:ORUFI07G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHMDWQPVTTLGPNFSPELHSLLLSDHRASLLSLLRRQDDELRTKIKNHLLALGWTIASKPNPPGLAPRLRYVSPAGTKSYYSLRRLIQTIHLHHHPTQSQSQSQSDSCGCGDTPLLLEESDDDQYQEQQEDDAIAGYVAFMEEQNARRDRGQGNDEEQRSMAKELRIKAKDQLRSSGWTFSMKVKYNGREELRYTEPRGRSHISLITACKAYLLHHTPSTTMASCSNNNNKRPAPPAACKTATSSKKNKKKKASLQQARVLRPQPRNEEGNALTPARARTLLSLLIDKKILAPRDQLIYTTKRGLITGDGMVKCMCGGCINNNNKRRVAEYTVAEFAVHGDGDVASSSSRQPWARMFVGDGRSLSQCLVQLMMADDEAGSGRKKKKKKYLPYVWRGARVKRKWEDDDDYVCSVCHDCGELLMCDRCPSMFHHACVGLESTPQGDWFCPACTCAICGSSDLDDPPATTTTQGFSSDRMVISCEQCRREYHVGCMRERDNGLWYPEADGEGPWLCSEACSKIYLRLEELAVVQAPCRSVASGLSLVVLRRGAARDGEEEEHAKLCMALDVLRECFVTLIEPRTQTDLTADIVFNTESELRRLDFRGFYVVGLEKAGELIAVATLRVYGEEVAEVPLVGTRFARRRQGMCRLLMDEIQKLLGEMGVERLVLPAVPEMVATWTGPSFGFREMGQADRQDVAHHAILRFQGTIMCHKQLPPQPQPQPQLGHTTTTPAGRIPSPIPTPIPL >ORUFI07G28310.1 pep chromosome:OR_W1943:7:26025299:26035300:1 gene:ORUFI07G28310 transcript:ORUFI07G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLHKALHQGEGGTHVDVAQMDAQIALHYGIPYTASLLAFDPVQRLLALATLDGRIKIFGGDNIEGLLISPNSLPYKFLQFIQNQGFLIAISNENEIQVWNLEFRQLFYSSKWDINITAFAVVEGTFLMYLGDENGLLSVLKYDVDDGKLQKMPYNVPIHSLAEAACVSLEDPQSIVGILPQPDTFGTRVLIAYEKGLLVLWDVSEDRAISVRGYGDLHMKNQITGAQRDAGEDEDNNISAEEEREICSLCWASQGGSTVAVGYITGDILLWDMTARSSKQDIRSDEPSNVVKLQLASGSRRLPVIVLHWSSRSADSNKGGHLFVYGGDDMGSEEVLTVLSLESTTGLESTRYSGFPYKSRTSAVFILTNPGQLNFYDGGALFSVPKSEEGKAQIEAQKFPVTVPTTDPNITVTNLYSLNGRESQSIPLKKFVVKQNAAPFMQRNMKWPLTGGVPSEMSMNENYTVERIYIAGYQDSSVRIWDATFPVLTPMFVLDGKVVGVNMDGENSAVSSLAFCSLNMTLAVGTTSGLVRIYKLREHTGGSSFHFVSESKQEVHVVQHGRGFHCHVAFLASNSPVRSLRFTASGEVLAVGYQNGQGSNKPLHRIKLAKHCCWSAVLTNIDGKACGFILVYQMGAIELRSLPELAILAESSLMSLSRWSYKAGMEKSMSSANGQIALVNGSELAIISLIASENAFRLPESMPCLHDKVLAAAAEAAINASMDQKRNQTPAGGILGGIIKGLKGKEENAKQKGSLSAQTMSEQLESIFLKESLVEPSIPDPDDPIEELSIDDIDIDDEIPLAPPPASSTSHVNKKTTAEEERAKLFEGSSNVEMPRMRTHQEILTKYKFGGDAAAAAAHAKDKLMQRQEKLERISQRTAELESGAENFASLAQELAKSMENKKWWKL >ORUFI07G28310.2 pep chromosome:OR_W1943:7:26025299:26033730:1 gene:ORUFI07G28310 transcript:ORUFI07G28310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLHKALHQGEGGTHVDVAQMDAQIALHYGIPYTASLLAFDPVQRLLALATLDGRIKIFGGDNIEGLLISPNSLPYKFLQFIQNQGFLIAISNENEIQVWNLEFRQLFYSSKWDINITAFAVVEGTFLMYLGDENGLLSVLKYDVDDGKLQKMPYNVPIHSLAEAACVSLEDPQSIVGILPQPDTFGTRVLIAYEKGLLVLWDVSEDRAISVRGYGDLHMKNQITGAQRDAGEDEDNNISAEEEREICSLCWASQGGSTVAVGYITGDILLWDMTARSSKQDIRSDEPSNVVKLQLASGSRRLPVIVLHWSSRSADSNKGGHLFVYGGDDMGSEEVLTVLSLESTTGLESTRYSGFPYKSRTSAVFILTNPGQLNFYDGGALFSVPKSEEGKAQIEAQKFPVTVPTTDPNITVTNLYSLNGRESQSIPLKKFVVKQNAAPFMQRNMKWPLTGGVPSEMSMNENYTVERIYIAGYQDSSVRIWDATFPVLTPMFVLDGKVVGVNMDGENSAVSSLAFCSLNMTLAVGTTSGLVRIYKLREHTGGSSFHFVSESKQEVHVVQHGRGFHCHVAFLASNSPVRSLRFTASGEVLAVGYQNGQGSNKPLHRIKLAKHCCWSAVLTNIDGKACGFILVYQMGAIELRSLPELAILAESSLMSLSRWSYKAGMEKSMSSANGQIALVNGSELAIISLIASENAFRLPESMPCLHDKVLAAAAEAAINASMDQKRNQTPAGGILGGIIKGLKGKEENAKQKGSLSAQTMSEQLESIFLKESLVEPSIPDPDDPIEELSIDDIDIDDEIPLAPPPASSTSHVNKKTTAEEERAKLFEGSSNVEMPRMRTHQEILTKYKFGGDAAAAAAHAKDKLMQRQEKLERISQRTAELESGAENFASLAQELAKSMENKKWWKL >ORUFI07G28320.1 pep chromosome:OR_W1943:7:26035556:26053445:1 gene:ORUFI07G28320 transcript:ORUFI07G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAQDLPPTFHPNPSPLPSSMEPRLRQLRRVPLLDFVARIADLHADQASPVRKLVAEMIGEVGSKHMAYLPNVMPCLLHLLNDDTPAVARQAIKTGTTLFAKVLRQLVIQGLFSSGGIDDSLKLSWEALLKLKSAVSHMAFQPMSNEGARLLAIKFVEKTVLLYTPDPDTPPDPPNEVTEDMGFNVAWLRGGHPLLNVGDLAMEASQNLGLLLEQLKPPKVKSLSTSMIIVFVTSLSAIAQRRPSFYGRILPVLLSLDPASSIIKVQVPGAFHALKSAFAACLKCTHSSAEPWRARLLEAQNIINQADSIEQSSNRVESLPLETTSTDNSNKRNLIDDIDNAPEDGDRSNKRIRQSHHDQEHTENVKNNVELTSADTPSSPSNSASTGNSEAVYQLVSMFAALAAQGDRAAGSLQILSSSIAADLLAEVVMVNMQHLPVSHPEVDQQQSPSAGQPSGAPSSSLLSACFPLLESLLKRINQNDREVDEAPQTIDSAVVPSAAGETAAIPAIPEPTSRNLPMEENSNSSSIPSDMETIEAKEPTADAARLSIEIQESSEASHASTELQGTQEHGGSFISSLPADNSSAGLSLAQSSETRSPSSSMVEASQTQFSYSSTLTSQHVLPKLVVTNIDLSDEAKDLLQKESFLRILDCDKQDASGGSIARLPLLAHLGVEFPLELDPWELLQKHVLSDYVNNEGHELTLCILNRLYREAEQDQDFLSSRTATSVYESFLLTVAENLRDMFPASDKSLGKLLCEIPYLPEGVLKLLEGLCSPGSNEKQDKDLQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQSSIHHLDEVRMKAIRLVANKLFPMASISKRIEDFANEKLNSVLEVVPADESAASEMSTPEAPKDGGSENLSSSVADSQTLMSLYFALCTKKHSLLRHVFAIYGSLPQAAKQAVHRQVPILIRTIGSSPSLLGIISDPPADSRDLLMQVLQTLTDGAMPSQDLISSVKNLYSKTKDIEVLFAVLAHLPKDEVLPVFPSIVNLPLDKFQVALSRILQGSPQNGPSLDPSEILIAIHVIDPEKEGIPLKKVIDACAACFEQRTIFTQQVLAKALNQLVEQIPLPLLFMRTVMQAIGAFPALVDFVMDIMSRLVSKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALNKNPVLKAPLVEHANQPNVRSTLPRSTLVVLGLAEDQQQPAPQAQSSQNQAAETSSSAADTTTEVTQESSAVS >ORUFI07G28320.2 pep chromosome:OR_W1943:7:26035556:26053445:1 gene:ORUFI07G28320 transcript:ORUFI07G28320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAQDLPPTFHPNPSPLPSSMEPRLRQLRRVPLLDFVARIADLHADQASPVRKLVAEMIGEVGSKHMAYLPNVMPCLLHLLNDDTPAVARQAIKTGTTLFAKVLRQLVIQGLFSSGGIDDSLKLSWEALLKLKSAVSHMAFQPMSNEGARLLAIKFVEKTVLLYTPDPDTPPDPPNEVTEDMGFNVAWLRGGHPLLNVGDLAMEASQNLGLLLEQLKPPKWRARLLEAQNIINQADSIEQSSNRVESLPLETTSTDNSNKRNLIDDIDNAPEDGDRSNKRIRQSHHDQEHTENVKNNVELTSADTPSSPSNSASTGNSEAVYQLVSMFAALAAQGDRAAGSLQILSSSIAADLLAEVVMVNMQHLPVSHPEVDQQQSPSAGQPSGAPSSSLLSACFPLLESLLKRINQNDREVDEAPQTIDSAVVPSAAGETAAIPAIPEPTSRNLPMEENSNSSSIPSDMETIEAKEPTADAARLSIEIQESSEASHASTELQGTQEHGGSFISSLPADNSSAGLSLAQSSETRSPSSSMVEASQTQFSYSSTLTSQHVLPKLVVTNIDLSDEAKDLLQKESFLRILDCDKQDASGGSIARLPLLAHLGVEFPLELDPWELLQKHVLSDYVNNENATYLADWQRKIILDNYHDNYKNISPTSIAKAENLRDMFPASDKSLGKLLCEIPYLPEGVLKLLEGLCSPGSNEKQDKDLQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQVANKLFPMASISKRIEDFANEKLNSVLEVVPADESAASEMSTPEAPKDGGSENLSSSVADSQTLMSLYFALCTKKHSLLRHVFAIYGSLPQAAKQAVHRQVPILIRTIGSSPSLLGIISDPPADSRDLLMQVLQTLTDGAMPSQDLISSVKNLYSKTKDIEVLFAVLAHLPKDEVLPVFPSIVNLPLDKFQVALSRILQGSPQNGPSLDPSEILIAIHVIDPEKEGIPLKKVIDACAACFEQRTIFTQQVLAKALNQLLLGHCSSSTFPQVEQIPLPLLFMRTVMQAIGAFPALIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALNKNPVLKAPLVEHANQPNVRSTLPRSTLVVLGLAEDQQQPAPQAQSSQNQAAETSSSAADTTTEVTQESSAVS >ORUFI07G28320.3 pep chromosome:OR_W1943:7:26035556:26053445:1 gene:ORUFI07G28320 transcript:ORUFI07G28320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAQDLPPTFHPNPSPLPSSMEPRLRQLRRVPLLDFVARIADLHADQASPVRKLVAEMIGEVGSKHMAYLPNVMPCLLHLLNDDTPAVARQAIKTGTTLFAKVLRQLVIQGLFSSGGIDDSLKLSWEALLKLKSAVSHMAFQPMSNEGARLLAIKFVEKTVLLYTPDPDTPPDPPNEVTEDMGFNVAWLRGGHPLLNVGDLAMEASQNLGLLLEQLKPPKWRARLLEAQNIINQADSIEQSSNRVESLPLETTSTDNSNKRNLIDDIDNAPEDGDRSNKRIRQSHHDQEHTENVKNNVELTSADTPSSPSNSASTGNSEAVYQLVSMFAALAAQGDRAAGSLQILSSSIAADLLAEVVMVNMQHLPVSHPEVDQQQSPSAGQPSGAPSSSLLSACFPLLESLLKRINQNDREVDEAPQTIDSAVVPSAAGETAAIPAIPEPTSRNLPMEENSNSSSIPSDMETIEAKEPTADAARLSIEIQESSEASHASTELQGTQEHGGSFISSLPADNSSAGLSLAQSSETRSPSSSMVEASQTQFSYSSTLTSQHVLPKLVVTNIDLSDEAKDLLQKESFLRILDCDKQDASGGSIARLPLLAHLGVEFPLELDPWELLQKHVLSDYVNNENATYLADWQRKIILDNYHDNYKNISPTSIAKAENLRDMFPASDKSLGKLLCEIPYLPEGVLKLLEGLCSPGSNEKQDKDLQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQVANKLFPMASISKRIEDFANEKLNSVLEVVPADESAASEMSTPEAPKDGGSENLSSSVADSQTLMSLYFALCTKKHSLLRHVFAIYGSLPQAAKQAVHRQVPILIRTIGSSPSLLGIISDPPADSRDLLMQVLQTLTDGAMPSQDLISSVKNLYSKTKDIEVLFAVLAHLPKDEVLPVFPSIVNLPLDKFQVALSRILQGSPQNGPSLDPSEILIAIHVIDPEKEGIPLKKVIDACAACFEQRTIFTQQVLAKALNQLLLGHCSSSTFPQVEQIPLPLLFMRTVMQAIGAFPALIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALNKNPVLKAPLVEHANQPNVRSTLPRSTLVVLGLAEDQQQPAPQAQSSQNQAAETSSSAADTTTEVTQESSAVS >ORUFI07G28330.1 pep chromosome:OR_W1943:7:26050452:26053029:-1 gene:ORUFI07G28330 transcript:ORUFI07G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYKCCLIFKRRFRWNDAPPPDDVRALFANHSAGGGPHMAADGLRAYLQATGQDGDVDMERLVEQIRQLQGRGGRIPRVGRALPLLTVDDFHRFLFSHELNPPIRHGQGQVHHDMAAPLSHYFIYTGHNSYLTGNQLSSDCSDLPIIRALQRGVRVIELDMWPNSSKDDISILHGRTLTTPVSLLKCLLSIKQHAFEASPYPVIITLEDHLTPDLQDKAAKMVLEVFGDILYYPDKDHLKEFPSPQDLKGRVLLSTKPPKEYLQAKDGNAATIKEDAKAAATDDAAWGKEVPDIHSQIHSATKHDQREDDDDTDEDEDDEEEEQKMQQHLAPQYKHLITIKAGKPKGTLLDALQSDPEKVRRLSLSEQQLAKLADHHGTEIVRFTQRNLLRIYPKGTRVTSSNYNPFLGWVHGAQMVAFNMQGYGRALWLMHGFYKANGGCGYVKKPDFLMQTDPEVFDPKKSLSPKKTLKVKVYMGDGWRMDFTQTHFDQYSPPDFYARVGIAGVPADSVMKRTRAIEDNWVPVWEEDFTFKLTVPEIALLRVEVHEYDMSEKDDFGGQTVLPVSELIPGIRAVALHDRKGIKLNNVKLLMRFEFE >ORUFI07G28340.1 pep chromosome:OR_W1943:7:26063466:26064287:-1 gene:ORUFI07G28340 transcript:ORUFI07G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSGRVRGTWWLLGKEPRPVAEGVCFDDLVFVPDSDDEGAGNEFNSQGCDDEFVPETQQDVPIEEIGIGHPVTSKSGGPRQLGVHPRLGTMLHDWLRAISEYEKPSIDMATIEKAWADEKKAIADADYEGNPSKVNTSSEKKACVDEGKSISDAETDDEGVSVPEKKACIAEGKSISDAETDDEGVGVPRNLQMKDLHDIMFPTC >ORUFI07G28360.1 pep chromosome:OR_W1943:7:26072127:26077487:-1 gene:ORUFI07G28360 transcript:ORUFI07G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGCKKKTGNSRSRSPRSPVVLRRAMLHSSLCFLVGLLAGLAAPSDWPAAAGAAVFLRTLRASNVIFSRSSNRPQQPQLVVVVTTTEQSDDSERRAAGLTRTAHALRLGSPPLLWLVVEEAPAEKHAAPPTARLLRRTGVVHRHLLMKQGDDDFSMQISMRREQQRNVALRHIEDHRIAGVVLFGGLADIYDLRLLHHLRDIRTFGAWPVATVSAYERKVMVQGPLCINTSSSSVITRGWFDMDMDMAAGGERRAAADRPPPETLMEVGGFAFSSWMLWDPHRWDRFPLSDPDASQESVKFVQRVAVEEYNQSTTRGMPDSDCSQIMLWRIQTTL >ORUFI07G28370.1 pep chromosome:OR_W1943:7:26082770:26084464:1 gene:ORUFI07G28370 transcript:ORUFI07G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPFDLNSAADPQTLAPPKRGRGRPRKNPPPPPPPATDPNPHPPSGAGAGAGAGAGACPFAPGDLVWGKKLSHPAWPGEVISAAPTGAQLLVSFFGDKALAWCDAAQLRPYEPYFPVAELYDGEADDFDAALDASLLEFERRVELALTAPGRIARPFLPRDFIALLHDLAAHRMGFSNRVHAAVAKAHLRAFDKFRGLPDPPEYTLHLGLPNVSAAAATPNNCNSYPPSRRRGRKRKEVEEEILDDSDEDWDPRKKGATDSDSEVDFDRKRVSKGGRGSGAPRGRPRGRPRKNNAGRPAHLKDEDEVIQETVEYQYPPAADMFLQLTSVAADPFNFKGYDSVPVILSFFSKYKDSEVPATYDDKELLQTLGGKKGRKNTARSLYPAAKEEGDLEVADGHRGRRKSAGSIYSARKAEDSYWCDIIISDFDDGDTSSDYEGRKMKRLSQNRSFNKKMKQEVAPQDEASADSPAVKQADGPAALILHFSNAEAIPSVDDINSIFRMHGPIMEGATEINKKSKIARVVFSKSADAEQAYSSSGKYNAFGPALLRYDLKYLPMAPQVP >ORUFI07G28380.1 pep chromosome:OR_W1943:7:26086699:26092218:-1 gene:ORUFI07G28380 transcript:ORUFI07G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSYPTVSDEYLAAVGKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVSSRTGGPFGTMKNPGEQSHAANAGLDIAVRLLDPIKDQLPILSYADFYQLAGVVAVEVTGGPEVPFHPGRQDKPEPPPEGRLPDATQGSDHLRQVFSAQMGLSDKDIVALSGGHTLVASHSLFPCMLKNENQNLLSINSELVSGEKEGLLQLPSDKALMADPAFRPLVEKYAADEDAFFADYAEAHLKLSELGHVEPTYQPSIDVLELHISNELNGCPGAYSEAAAKKAYPSCHTVPCEYFETAFQAVENWVADRAVLPLENSLGGSIHRNYDLLLRHRLHIVGEVRLAVRHCLLANRGVKIQNLRSAMSHPQALAQCEQTLTKLGIEHREAVDDTAGAAKLIAEQKLQDTGAVASSLAAQLYGLDILAENIQDDTDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALRKINLTKMESRPHKKKPLRIADDNCSAPLKHFDYLFYVDLEASMADPNAQNALANLKEFATFLRVLGSYPTDVSEA >ORUFI07G28390.1 pep chromosome:OR_W1943:7:26095800:26099687:-1 gene:ORUFI07G28390 transcript:ORUFI07G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCRIRTFQKSLLRPLLLLQHAPCRTLQTLGRSPSPSVFRPPRRRPPLLLLLLRSSFASVSPGPAPGSGTGECPPPPPAPLPPDELASDDDAYYHEHILEATQEDQSRLVPVKAYFPCTSINLKSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKAGIIDESHCHYMVVFQYGSIVLFNVSDHEADGYLKIVEKHASGLLPEMRKDDYAVVEKPTLETWMQGGLDFIILRDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKNANYAQIWEYLRDEYELTQRFGNLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILISVEILISVYNIVQEQII >ORUFI07G28390.2 pep chromosome:OR_W1943:7:26096012:26099687:-1 gene:ORUFI07G28390 transcript:ORUFI07G28390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELCRIRTFQKSLLRPLLLLQHAPCRTLQTLGRSPSPSVFRPPRRRPPLLLLLLRSSFASVSPGPAPGSGTGECPPPPPAPLPPDELASDDDAYYHEHILEATQEDQSRLVPVKAYFPCTSINLKSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKAGIIDESHCHYMVVFQYGSIVLFNVSDHEADGYLKIVEKHASGLLPEMRKDDYAVVEKPTLETWMQGGLDFIILRDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKNANYAQIWEYLRDEYELTQRFGNLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILISVEILISVYNIVQEQM >ORUFI07G28400.1 pep chromosome:OR_W1943:7:26121752:26134414:1 gene:ORUFI07G28400 transcript:ORUFI07G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAHHNQTAGSALAVGVGDANDAVPGAGGGGYSDPDGGPISGVQPPPQVCWERFIQKKTIKVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNSIDLVLTEVVMPGVSGISLLSRIMNHNICKNIPVIMMSSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKSKSGDESNNNNGSNDDDDDDGVIMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQAMSPDQLADPPDSTCAQVIHLKSDICSNRWLPCTSNKNSKKQKETNDDFKGKDLEIGSPRNLNTAYQSSPNERSIKPTDRRNEYPLQNNSKEAAMENLEESSVRAADLIGSMAKNMDAQQAARAANAPNCSSKVPEGKDKNRDNIMPSLELSLKRSRSTGDGANAIQEEQRNVLRRSDLSAFTRYHTPVASNQGGTGFMGSCSLHDNSSEAMKTDSAYNMKSNSDAAPIKQGSNGSSNNNDMGSTTKNVVTKPSTNKERVMSPSAVKANGHTSAFHPAQHWTSPANTTGKEKTDEVANNAAKRAQPGEVQSNLVQHPRPILHYVHFDVSRENGGSGAPQCGSSNVFDPPVEGHAANYGVNGSNSGSNNGSNGQNGSTTAVNAERPNMEIANGTINKSEPGGGNGSGSGSGNDMYLKRFTQREHRVAAVIKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQAVQDQQQQGGD >ORUFI07G28400.2 pep chromosome:OR_W1943:7:26121752:26134562:1 gene:ORUFI07G28400 transcript:ORUFI07G28400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAHHNQTAGSALAVGVGDANDAVPGAGGGGYSDPDGGPISGVQPPPQVCWERFIQKKTIKVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNSIDLVLTEVVMPGVSGISLLSRIMNHNICKNIPVIMMSSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKSKSGDESNNNNGSNDDDDDDGVIMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQAMSPDQLADPPDSTCAQVIHLKSDICSNRWLPCTSNKNSKKQKETNDDFKGKDLEIGSPRNLNTAYQSSPNERSIKPTDRRNEYPLQNNSKEAAMENLEESSVRAADLIGSMAKNMDAQQAARAANAPNCSSKVPEGKDKNRDNIMPSLELSLKRSRSTGDGANAIQEEQRNVLRRSDLSAFTRYHTPVASNQGGTGFMGSCSLHDNSSEAMKTDSAYNMKSNSDAAPIKQGSNGSSNNNDMGSTTKNVVTKPSTNKERVMSPSAVKANGHTSAFHPAQHWTSPANTTGKEKTDEVANNAAKRAQPGEVQSNLVQHPRPILHYVHFDVSRENGGSGAPQCGSSNVFDPPVEGHAANYGVNGSNSGSNNGSNGQNGSTTAVNAERPNMEIANGTINKSEPGGGNGSGSGSGNDMYLKRFTQREHRVAAVIKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQAVQDQQQQGGGREAAADR >ORUFI07G28400.3 pep chromosome:OR_W1943:7:26121584:26134562:1 gene:ORUFI07G28400 transcript:ORUFI07G28400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAHHNQTAGSALAVGVGDANDAVPGAGGGGYSDPDGGPISGVQPPPQVCWERFIQKKTIKVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNSIDLVLTEVVMPGVSGISLLSRIMNHNICKNIPVIMMSSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKSKSGDESNNNNGSNDDDDDDGVIMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQAMSPDQLADPPDSTCAQVIHLKSDICSNRWLPCTSNKNSKKQKETNDDFKGKDLEIGSPRNLNTAYQSSPNERSIKPTDRRNEYPLQNNSKEAAMENLEESSVRAADLIGSMAKNMDAQQAARAANAPNCSSKVPEGKDKNRDNIMPSLELSLKRSRSTGDGANAIQEEQRNVLRRSDLSAFTRYHTPVASNQGGTGFMGSCSLHDNSSEAMKTDSAYNMKSNSDAAPIKQGSNGSSNNNDMGSTTKNVVTKPSTNKERVMSPSAVKANGHTSAFHPAQHWTSPANTTGKEKTDEVANNAAKRAQPGEVQSNLVQHPRPILHYVHFDVSRENGGSGAPQCGSSNVFDPPVEGHAANYGVNGSNSGSNNGSNGQNGSTTAVNAERPNMEIANGTINKSEPGGGNGSGSGSGNDMYLKRFTQREHRVAAVIKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQAVQDQQQQGGGREAAADR >ORUFI07G28400.4 pep chromosome:OR_W1943:7:26121853:26134414:1 gene:ORUFI07G28400 transcript:ORUFI07G28400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAHHNQTAGSALAVGVGDANDAVPGAGGGGYSDPDGGPISGVQPPPQVCWERFIQKKTIKVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNSIDLVLTEVVMPGVSGISLLSRIMNHNICKNIPVIMMSSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKSKSGDESNNNNGSNDDDDDDGVIMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQAMSPDQLADPPDSTCAQVIHLKSDICSNRWLPCTSNKNSKKQKETNDDFKGKDLEIGSPRNLNTAYQSSPNERSIKPTDRRNEYPLQNNSKEAAMENLEESSVRAADLIGSMAKNMDAQQAARAANAPNCSSKVPEGKDKNRDNIMPSLELSLKRSRSTGDGANAIQEEQRNVLRRSDLSAFTRYHTPVASNQGGTGFMGSCSLHDNSSEAMKTDSAYNMKSNSDAAPIKQGSNGSSNNNDMGSTTKNVVTKPSTNKERVMSPSAVKANGHTSAFHPAQHWTSPANTTGKEKTDEVANNAAKRAQPGEVQSNLVQHPRPILHYVHFDVSRENGGSGAPQCGSSNVFDPPVEGHAANYGVNGSNSGSNNGSNGQNGSTTAVNAERPNMEIANGTINKSEPGGGNGSGSGSGNDMYLKRFTQREHRVAAVIKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQAVQDQQQQGGD >ORUFI07G28400.5 pep chromosome:OR_W1943:7:26121584:26134562:1 gene:ORUFI07G28400 transcript:ORUFI07G28400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAHHNQTAGSALAVGVGDANDAVPGAGGGGYSDPDGGPISGVQPPPQVCWERFIQKKTIKVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNSIDLVLTEVVMPGVSGISLLSRIMNHNICKNIPVIMMSSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKSKSGDESNNNNGSNDDDDDDGVIMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQAMSPDQLADPPDSTCAQVIHLKSDICSNRWLPCTSNKNSKKQKETNDDFKGKDLEIGSPRNLNTAYQSSPNERSIKPTDRRNEYPLQNNSKEAAMENLEESSVRAADLIGSMAKNMDAQQAARAANAPNCSSKVPEGKDKNRDNIMPSLELSLKRSRSTGDGANAIQEEQRNVLRRSDLSAFTRCKT >ORUFI07G28410.1 pep chromosome:OR_W1943:7:26135775:26143515:1 gene:ORUFI07G28410 transcript:ORUFI07G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCFACLGAGGGKMKKKKKSPPQIPPASERDNPPNLASSTVMKQDQDSFQLAANEDILVSNGSSENRRIAARTFTFRELAAATSNFRVDCLLGEGGFGRVYKGYLETVDQASNLYSASCYHTANFCLIKLESLQLTVVAIKQLDRNGLQGNREFLVEVLMLSMLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDPPPGKSRLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPIGDKSHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRRAIDNTRAAGEQNLVAWARPLFKDRRKFPQMADPALHGQYPSRGLYQALAVAAMCVQEQPTMRPLIGDVVTALAYLASQTYDPEAHGVHHTSRLMSPGTQGV >ORUFI07G28420.1 pep chromosome:OR_W1943:7:26139383:26142969:-1 gene:ORUFI07G28420 transcript:ORUFI07G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRVKIMLKLLGEEADTFGKRAEMYYRRRPEVINHVEEVYRAYRALVERYDHLSKELHKANHTIATACPQHDVSLLQEQDDAEFTPIRIQESTTTVQEVLNPKGHARPCAPHFTKQNAQQEIDTLQKAILVLQTEKEFVKSSYETGITKYREIEKQIADMQDQVCHIQNEFDAHASIEDDEARALMTITALRSCQGTVANLVKIFEELVRVAAGESEKVNYLRQKLYAMNYIIDPSKGEVGTTNIAVKNRVYPNTQEILELQPIYEKIERFFELNSESVVQEMAERVDELVDKVMNLELKFPKQSAQIKQLKEDNDSLKDRLDDLQDEIALRDDPSDLSEQLKLAEDELNRVKALERSVIEEEVLVSTVFSEVVSCITNISKAFGSIDPEDMTSLSAAVENDGEITSDDISTSLPEEEFRGTEEATTDDNLGRDRCRKEDASGVEGHDSLDGTDGIDDCKNGNEENFQSENRLIQEDLMDKRSVQASNNIDRIVIPGKENGFNNACEGKIDCSPSGNTKKYRDIGNDVIDNSAQGESLKGEHPPTVISQTHLPHSECLDTLTNKSDSDEKGSSVVVTVNSFGGSKRIQGLRIGGDENSMSGNSLIQEELRDDKSLKTPGYVNLVGSTNQHSLSDGSTTEEISLPKVSNSCFSDADMRPELCHTEEAISVEEWPKQDGQLIAPETMKSLNGGSKVDSSEKGGRTSLEHMNSIQDLKTSELVDAHSSRVYQQVPKVTTKSNNIASCIPHGELETRSSDGREHTRQASTLSKPGSMSLRVNSSLVAERDAPSWQEFLLDGIEGREALLLDDYTLILRNYKETKRRLAELEKKNEQHPEETKTVIRELRNANSMKYVEIQSLRDLLDPSEDISSTHSKMGFNRSNHPLDTEISVLEGIDVRHTGVRKNTSPFEVKFRSEIDALVEENLQFLVRFSMACHRMQDFDSKYQELQKGMGDFEVKKTGEPDAAAESDPAEKKLRELRTELDVWFEQNALLDQDLQLKTMSLSRLQEEIAEALRASAETDGGRFTPYEAAKFQGEVLNMQQSSGKIERELQSALKRMRELEGKVNDGLQKLRESFDLSCRRSSLVEEESSSTSYHSQFKHFPTRTRVPLRNFLFGTKPKKKSIFACINPTLQKQFSDL >ORUFI07G28430.1 pep chromosome:OR_W1943:7:26161046:26170597:1 gene:ORUFI07G28430 transcript:ORUFI07G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRDLDSAALWAAVDSAAAAQASRRDHDRTHLRNLEDDEHRDRGGEVVQPARPFKVPRLLTTPPPPSPRPLQLQMAPRPHSSPNLTLTPDATRLVVVDTPPPTPTACFAAHDLFPAISVANFRKYQEAALSILDKSDYTSISGNPYIKKSGWRKISCFFNISFEIKDRSIEFDENRNVNRAEFLVRASMQGGRFSDGWGSCDRREKKFNKPNHDVPSTAETRAKNKACQGGSRSRSRSMAWLRAASGLARHALRRRVPAASRFFHSARPAWRSSAPVPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPSSVDESWDNFFRNFLGQAAPSSAGLSGQTIQESMQLLLLVRAYQVNGHMKAKLDPLRLDDRAVPDDLDLSLYGFTEADLDREFFLGVWRMAGFLSDNRPVLTLREILSKLEQAYCGPIGYEYMHIPDRDKCNWLRDKIETAKLKEYNKDRRLVMLDRLIWSTQFENFLATKWATAKRFGLEGGETLIPGMKEMFDRAADLGVENIVIGMPHRGRLNVLGNVVRKPLSQIFSEFTGGTRPVEGEDGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQFYSNDLDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDLEAVVRVCELAAEWRQTFHSDVVVDLICYRRFGHNEIDEPSFTQPKMYQVIKNHPSSLKLYEQKLLGTGEVSKEDVQKIHEKVNRILNEEFAKSKDYVPNKRDWLSAYWTGFKSPEQISRVRNTGVNPGVLKRVGQAITTLPEDFKPHRAVKKIFEQRAAMIESGEGIDWAVAEALAFATLIVEGNHVRLSGQDVERGTFSHRHAVLHDQENGRKHCPLDHVVMNQNEELFTVSNSSLSEFAVLGFEMGYSMENPNSLVLWEAQFGDFSNGAQVMFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMEPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMAPKNLLRHKDCKSNLSEFDDVEGHPGFDKQGTRFKRLIKDRNDHKQVEEGIKRLVLCSGKVYYELDEERKKTERSDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYISPRLYASMKTLGRGSFDDIKYVGRAPSAATATGFLSVHAQEQTELVKKALQAEPIKFP >ORUFI07G28440.1 pep chromosome:OR_W1943:7:26171367:26174354:1 gene:ORUFI07G28440 transcript:ORUFI07G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPADAFLILDFLAGNRLIPHSVFTTLLASLPSVSPHTSPRLRAGLALRALDSALSISESSEMDAPTLLRKARAVLADPDLAPFFPQHLAAPASADDAPAAAVAHLNRLLGVEWASLPPSSLEIAAERIVGSQALHSWANADHAQRSKLRLLAEKYSTSLYQFYSPLAVSAVGESTALEILDTLQRPDASTNHPGTLPQVDNAPETNGASHCAQQNDGAKSGLVKQNAEADRPQQDSTRHQQDSVQGASNSQLKESSVTMESIRGTGPDITGFMEEATPRVAGQFAPDNIKNHQVTGSKRSLMERNPTASTYECSGMAAIPKGKGLQQSAGYPFLKGQQNLLLQLHIRREKSGARNKKKPCWKGWRSMEKATGKISKWHTLMYLKIDRQ >ORUFI07G28440.2 pep chromosome:OR_W1943:7:26171367:26174354:1 gene:ORUFI07G28440 transcript:ORUFI07G28440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPADAFLILDFLAGNRLIPHSVFTTLLASLPSVSPHTSPRLRAGLALRALDSALSISESSEMDAPTLLRKARAVLADPDLAPFFPQHLAAPASADDAPAAAVAHLNRLLGVEWASLPPSSLEIAAERIVGSQALHSWANADHAQRSKLRLLAEKYSTSLYQFYSPLAVSAVGESTALEILDTLQRPDASTNHPGTLPQVDNAPETNGASHCAQQNDGAKSGLVKQNAEADRPQQDSTRHQQDSVQGASNSQLKESSVTMESIRGTGPDITGFMEEATPRVAGQFAPDNIKNHQVTGSKRSLMERNPTASTYECSGMAAIPKGKGLQQSAGYPFLKGQQNLLLQLHIRREKSGARNKKKPCWKGWRSMEKATGKISKWHTLMYLKIDRQ >ORUFI07G28450.1 pep chromosome:OR_W1943:7:26195839:26196682:-1 gene:ORUFI07G28450 transcript:ORUFI07G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIHDLHTLRQSSRAIQTSITEVTELVLALRAGFAEQNAAVETSTTTPPPLPTVSKAEVLQPLAPPSPPRAAGSPPPTLPTAEVLQPRATAPPAPPSPLFVSAVVLPHLPMVLPGLAEMPLHQSSPWTNIVLRRPCSPLRGCDHDLQGRHGFLVLRGVGASCVLQVRGSVLR >ORUFI08G00010.1 pep chromosome:OR_W1943:8:16490:24818:1 gene:ORUFI08G00010 transcript:ORUFI08G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMSKFPRNMHLGRTLMRFLVQISTVYYCLKVKTFSRRRTRGQVRRQAKKTGDMMPKQEDRGQGCSR >ORUFI08G00010.2 pep chromosome:OR_W1943:8:16481:24816:1 gene:ORUFI08G00010 transcript:ORUFI08G00010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRRHRRDGEGSRSRLATSHSRPSNASYEGDMMPKQEDRGQGCSR >ORUFI08G00020.1 pep chromosome:OR_W1943:8:20003:23276:-1 gene:ORUFI08G00020 transcript:ORUFI08G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKVAVALALSLIGGLSTSLGALLAILNCAPNNKTLGMLQGFATGLMLSMSFFDLAYDAVNAIGFLKGNLWFFAGALLFSTIAEVFPEPDCNLADENDKHLENKTGNNNIAGKELMMRHRRRVIFSVVVTAIVAGVSLQNFPVGTAAFLGTTKGFRVGLNLSLAIALHYIPEGISVALPAYFATCSKWQAFKLATLSGFAEPLGVIIVAYLFPSNLNPEILEGLLGLVGGVMAFLTLYEMLPIAFEYAGRKDAVKAVFVGMAFMSMRCVTVLLLR >ORUFI08G00030.1 pep chromosome:OR_W1943:8:25768:32657:-1 gene:ORUFI08G00030 transcript:ORUFI08G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPLGSETAISPAYGEEEEEEEEEQCRICRVPAEAGRPLRHPCACRGSIRFVHDDCLLRWLATRRTSHCEVCKRLISTCPLYAANAPARLPLSEFMLGLANKLMGWFFLLLSLLAAMYIWEFVMPFTTLWIWRLALARSFARVRHLLSLRIFAHAHGHGAPLYGLMPSPDAVFACVSIRRAFLRDLPHFRDLNPLARFAAHALAPFALWIARLETRLDRRFGGLDSLQVIALHTVEASLMVVLLDVMLACVFGFIPFSLGRIILFCTSCFSFGNMDRVHSYTSTSSILLVGYGFIFSLGVLFTGFHTFDQYSRGEHLTIAVFFKVLTNGMYRLFSPLRRLPGIHVMVQMALSFLRLFFRGIINLVTVANISVNLINVIAIWPLFFGWSVDICASQLFGETIYQKLELLFASSFASTALHWLIGCIYLMLLSIFSSPLCLVLGPGVTIPFVHFSGEESLIQLFREPFYKFSLKLLPGLFVSAVDVAMVILVPVQIAGQLAPKVFPLDITYFDPPTKGSAFWQAPRNYAELLSGALLLRFLICNTLKYLQPGPLLQKLLLYWSATTRRVLGLLDLLIAWSAGDGECEDGNGSTRKFHHGSTSEDEYKRRFAAVRLILLVVLSSSTLVIFNSAVLIVPVSIGRALLFVIPKLPIAGGLKYNDLFAFAIGFCIISTIIAASRDLFVYMASGRTHLLASVIYKWGITALKGSPLLFIWIVIIPLLIGLLVNFLLISPFLVTANGMFVIDLFCTWFLGLLLLKFWVKLVHWTTVTPFLVYFIDERWDWKLTRAREDGFSGLRALWVLQDVLMPITLKLLTALCVPYALAKGVFPNFGYPDAVNLTVYRFAWLGGFALCVLYDLAKVFCKVLVKLHDSIRDERYLIGQRLQNYVDNS >ORUFI08G00040.1 pep chromosome:OR_W1943:8:35085:53084:1 gene:ORUFI08G00040 transcript:ORUFI08G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRRGAKAHKWTTQPQLGDLVLAKVKGYPPWPAKVSKPEDWDQMPVPRKVFVVFFGTREIAHIALPDLQPFTENAKSEVMDRSRNKQCPKKYIDSFAEAVVEICKAYDDLPKSSETTTCMLPDDQSEQPTEHLVKSPNNDEAPRSGQMEGDSPSDNLNTSGLGSGTEVDIKDGSCDIRDSSLAAVKRKKPKDLDQPKKKKPVTSKSAINMHLEQDCSATTVHAERELEEPKAEKEINPSEFLTLDPTVQIVCALEVPKKSKAAKQSKNAERKDNKRVNVADISGRTTPGAVLDTELKRSAEKDSKGFKKSKLMMKQSVSNESEKIDHKRIMVDKSDKLLARKSSSVFSSNKKPLPGSEQRKLDNSTDMRPAKRPKLMDRANETVKTEAKSETSLHADNEKDSALKIEKSIPAEAVSNSVPKIGVGDDWTRRSGSLLSPLARLHSQGSEPASGSTQLSAVDTAKKVSSLKENFSRVGKPLAKPRRRACRFGDDDEEEQRTPPHKSSVKSIPMRGVPTEKFQSQTGVRGISSSQIGNASAMKFGVAREEKPKSIGRSPVEHEPDYTSPNQDKMHGRLQIMGKRSTTSSVDTSASLGNKTNLADRRSSGQLRMAASSEVKKTQGSSSKLLHQTPGNLHSQNPDDSEKNALLSKSENSKAKTKSGTQITATVEGRISTAMQAERIGKMDHSKEQRSDFVDKAAFAEPNSDPVKSMKHLIAAAQARRNLIAAAQGKSDGLSADNTVLSSTPYGLPGLSPGPVFHIPSASRIIPESDGMQFPDSFCAITEPGQQVAMKNLLEIEHEHGKSPKTRQSSDSLSGGTDAAIARDALEGMIETLSRTKDSIGRATRHAIECSKYGIAAEIVELLVLKLENEPNLHRRVDLLFLIDSITQCSHSQKGVAGVSYVPTVQAALPRLLGAAAPPGPGARENRRQCLKVLRLWLERKIMPEGILRRYMDDIEVPNDDANTGFLLRRPSRAERSVDDPIREMEGMLVDEYGSNANFELSGILSSNVFENDDDFPGLSPAISLPVQSGRMQENEQAIAPNFVEENIRLPKDVTSDVPMEDASLLPRDKQQTDGAIPVVHDLQHEIDREQALADQNELPPLPDGPPPLPLDAPPPPPLPEGPPPLPSDSPPCQPPLPPSPPPATPPPPPPLSPSLPPPPPPPPLPSGPPPQPAPPPLPIQPPPIPPPPVPSSPSSLGYQPPAPEYFRASNSNQLNQMAGNASIQGIGNMTNFIPGGSVNTQAAVNFTPSVPPDYGNNNLYIKPQGSNGNFQFRPTGVPFQQGTFSAFPSAQTPPVRPHTHLTQMNPVGQQAVPPCNPYAVQSFPNNQSQYTSDEQWRMTSGNFSPDDQRNTWLPGARALSCAEGSFMQDGYPRSNIDRSSMNPMTHQRPVLNRMPSGAPDMFLRCCRPDLIFTHLIAGGLRNEISQIELLARGFSHGKLGLPEAVSIQLATRVPLRQRKLQFNWQAPQADAASRNQRRKCYDFDQRKNLSLSGGVGSAEWRGSEPEEEGVRRRAALCRLLALDSLPRPAPISASPPPPRPAAASAALRCRIFTVIPYNICCDFKPFQHTDGSSHSSSELASPEDSLDLSSSSSSSSPPIILLRLNVPHESNKSWADTSPGLLGEKSTASNSISNSDFLGNSFTKTSMNAGHTVRRKSKKKSKKHKQRCRKPTAGSEIKCKGNNCPTPAISMVDCEDSTLSPKCVGDILFEDTFSPSSSVKEASEEAHDSENDDDYPACSVASVSSVSYCDETELYRPTTACLELFGQHNKSNIRCLDNNCNTTLVHSSQETCAGSSGDCWDDNKVLLSFENERGSDPCETTECCSRDGVGDNCSSRICSPNGVGMRNGIQAVHLCSDTSSDSDFHLVISRKRARKEKKMALWKSSNGERLSAATRGRNDNYVGRSSRQIFQELNTKDLSCRQNRVGSIHLQHGVVLKNSKNTIHKPSNICMQVEPHSRVASKVSKHARILLHSSNPKEDSSRKSNSDFNEWNIDSDKKLPNAMHGIKSNCCEMRLDSPSETTAPKFTMGNCPSESGRSTNCTVGALPLQKRGLGTSHQTNDAIGTTPGPLLPGSGSAQADLVAVEWNHSFQKLCSAEMLLTEMFRVVGDAYQVQVSADAHLAAGHPITDLDTFIYLATPVIGHVPCMKGSNCSKDQLVNDSICQQNLSNISLRSIWEWYEEPGCYGLEVRACNDLSPETSFCNSSEFCAYFVPYLSAVQLFGWSRKSMNHSFGIGGGETSNTGSSLCSHPVSARLLRPFEQSMRLSESFSSIQDHGEVIFEYFETEQPSFRPPLFEKIKELVSSVNVSGHQIFGDPEKLQNVKLCDLHPASWFSVAWYPVYRVPHGKLRAAFLTYHSLGKLVPQKGSPDLTGLGSRIVSPVFGLQSYNDKGEQWFQLRRPDSKQLQIDGESSKGSRAEVLKERLRTLQRGALAAARAVVPKGGGESVNCHPDYEFFLSRCT >ORUFI08G00050.1 pep chromosome:OR_W1943:8:53303:57747:1 gene:ORUFI08G00050 transcript:ORUFI08G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSKPSKISIFGAKAGFLIPKNKLPGSLIARSSSNAKNESPTASSSAAASSNSKSKEDNNNNSTQRNTKWGPDLTLDPAVRKSRASAYQSRLEQITKELISGSLEISENEGSISTARGSNSDGANNEKENVQGMVELLELERREIIGEILHLNPGYKAPEDYKPLLKETKIPLPTKTYPGHNIIGVLMGPESNAQKRLQEETGAKIRVYGIKRTNGEKSEIHQADIGEVQGAYEDLYINVSADTHDKVDAATALIELLLTPVSINSRAIEANATVSSAVSSGGADLADLRQVQNNSSQPGFLQYQSHDAHWLSTSQANAPPIPSSEPPPSALPNNSFPLQPPGGSLSTLSYTGPPPLMNIMPRNPLPIPGPQPLVSNAQQPPLQFQANPSIGSPFGRPPGIVSPQLTPSSTLPRSVRPLQTPHASGGWLNFSSVPVQSQRPSPAFMPVRPPISVSPLVSSPQLEGAAVPSFPRQSNISTSYGTQHHPSGASFASSATMPSIPPGAPQLSPQGPSSMPVLSSPAGLTTQPPYPLPMQMRPPMATPAQMRGPPSPFPQTGPTPGMPPAGGFGVPGSGNMTAMRPPRPSSGDFTFRPLVSASPTPELGASGSQVPLFHPGNQRPPMARVEGFQRPIDMGHQGRAYATGPPHPHQHPHPHAHFGGGGFLPRNPAASVLQSAGTRGFVGAFPLPPAAVEAQRPFHVLAQAQQKPIYDPFVPSGAVMSGGPGGGGGRKRKTEDDKAEYEDLMASVGVK >ORUFI08G00060.1 pep chromosome:OR_W1943:8:57863:62868:1 gene:ORUFI08G00060 transcript:ORUFI08G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSTAMTLYQGVPFHQQQNQIEIESMLSFQSSSIPPPPTPATMLLAPSSSSSDFRNYKFVTCSPADWPHHDLAILNDGLLRYAHEPNIIKYTKIAAMLPTRTIRDVALRCRWTKDNESRRGKLDQVYTGKMIRGLKDNMVSSTLAANIQIQNPNNMVPFSISMHYQGQNSLLHKEAVPVLDSATQHLLEENNQLLSQIAENIETFKTVENMDLFLRTNNNIRTVLKRMSETPGIMGQMPPLPVPVNEGSLNTLLQMDRMVGAYAAVCLSLIIGEEHAISQAGMRKGTTDNQDGECCGYRVLLTMNRHSWH >ORUFI08G00060.2 pep chromosome:OR_W1943:8:57863:62868:1 gene:ORUFI08G00060 transcript:ORUFI08G00060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSTAMTLYQGVPFHQQQNQIEIESMLSFQSSSIPPPPTPATMLLAPSSSSSDFRNYKFVTCSPADWPHHDLAILNDGLLRYAHEPNIIKYTKIAAMLPTRTIRDVALRCRWTKDNESRRGKLDQVYTGKMIRGLKDNMVSSTLAANIQIQNPNNMVPFSISMHYQGQNSLLHKEDSATQHLLEENNQLLSQIAENIETFKTVENMDLFLRTNNNIRTVLKRMSETPGIMGQMPPLPVPVNEGSLNTLLQMDRMVGAYAAVCLSLIIGEEHAISQAGMRKGTTDNQDGECCGYRVLLTMNRHSWH >ORUFI08G00070.1 pep chromosome:OR_W1943:8:63289:66622:-1 gene:ORUFI08G00070 transcript:ORUFI08G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMDGGDGGGGGPPYHYQALLAAVHQQTVPFPNPFPAPSSGFADWSASTSAFTSLAAHSSTAPSNAVHYSFSPCYAFWTHYMLNKNAYPTSFPAPHDDHLRLANNNHPRDAPGPASSYGVESFTSPSMAPNICTHMPPIEGPISAKEDKKPEILPRVVKSSDELETRNSNVEFHSETVGTLPESKQGHDSRATKLLNSGEYQVILRKELTKSDVGNVGRIVLPKKDAEASLPPLLQRDPLILHMDDMVLPVTWKFKYRYWPNNKSRMYILDSAGEFLKTHGLQAGDVIIIYKNLAPGKFIIRGEKAIHQQTTNP >ORUFI08G00070.2 pep chromosome:OR_W1943:8:63289:66622:-1 gene:ORUFI08G00070 transcript:ORUFI08G00070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMDGGDGGGGGPPYHYQALLAAVHQQTVPFPNPFPAPSSGAEPPHPHNHNHNHNHNHNIHNSHNHNHNHNAAPHPCHTPTPTPTPRGFADWSASTSAFTSLAAHSSTAPSNAVHYSFSPCYAFWTHYMLNKNAYPTSFPAPHDDHLRLANNNHPRDAPGPASSYGVESFTSPSMAPNICTHMPPIEGPISAKEDKKPEILPRVVKSSDELETRNSNVEFHSETVGTLPESKQGHDSRATKLLNSGEYQVILRKELTKSDVGNVGRIVLPKKDAEASLPPLLQRDPLILHMDDMVLPVTWKFKYRYWPNNKSRMYILDSAGEFLKTHGLQAGDVIIIYKNLAPGKFIIRGEKAIHQQTTNP >ORUFI08G00080.1 pep chromosome:OR_W1943:8:70979:71942:-1 gene:ORUFI08G00080 transcript:ORUFI08G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSGARTRKRVEATDSAVLKRARDGSAFTRCEACGKSVSVVLIDMHNCSLDDKIRISLEAQVVEQAVEVAASKKKSGKNNNNNGEGAKKGKRPPTAFFLFMSDFRKEYKAEHPDNKSVSAVAKEGGERWKSMSDEDKKPYLDKAAELKAEYHNGERSDENNVGGNAGEQEVDQPPKKGTDEDDQEDEDGAEEEKNELDDDI >ORUFI08G00090.1 pep chromosome:OR_W1943:8:77840:84372:1 gene:ORUFI08G00090 transcript:ORUFI08G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTVVGDEAQVKASEETLSASTSPAQVGLVVGKLSASSDRALAYSLIPTPPTDSGAPACSLLRAKPAAKAASSGASSSLDFDVDWLAEHARQVSRMLLGGMTVIGIYIWASEASFKATSPAVLSQVLRAVSQVAPLYGTGVDERLLIHISYSPRRWACRICDMSSGRLRPCDFKYSKLLASLQTFRCTYNFEIRLPVVQAEPFKKVISKAISHLTKQVQNAKALIDGVLFLDGMDNTLEGPHNVEFLVPFKNNLPAEGVAGLLLFAGSVSALAYLGPKESIAEVISDLKLDIITSLRSRLDIILDEADDDSTTNNLENSLSQKATQVVFHELRAPYSFPFPRRILIPWLAGSYICDYLQQSETMEDAMERCKEVMSLEADMGNYSIVEPESASAATLGSFWDVVPGALSKAPSEPGLKEMNSGQNGSRKTHGSSFSILMAFVVLLIAVLVGCIFTLSATLKT >ORUFI08G00090.2 pep chromosome:OR_W1943:8:77840:84372:1 gene:ORUFI08G00090 transcript:ORUFI08G00090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTVVGDEAQVKASEETLSASTSPAQLSASSDRALAYSLIPTPPTDSGAPACSLLRAKPAAKAASSGASSSLDFDVDWLAEHARQVSRMLLGGMTVIGIYIWASEASFKATSPAVLSQVLRAVSQVAPLYGTGVDERLLIHISYSPRRWACRICDMSSGRLRPCDFKYSKLLASLQTFRCTYNFEIRLPVVQAEPFKKVISKAISHLTKQVQNAKALIDGVLFLDGMDNTLEGPHNVEFLVPFKNNLPAEGVAGLLLFAGSVSALAYLGPKESIAEVISDLKLDIITSLRSRLDIILDEADDDSTTNNLENSLSQKATQVVFHELRAPYSFPFPRRILIPWLAGSYICDYLQQSETMEDAMERCKEVMSLEADMGNYSIVEPESASAATLGSFWDVVPGALSKAPSEPGLKEMNSGQNGSRKTHGSSFSILMAFVVLLIAVLVGCIFTLSATLKT >ORUFI08G00100.1 pep chromosome:OR_W1943:8:86084:88671:1 gene:ORUFI08G00100 transcript:ORUFI08G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGVVVDPEAVGGGGDGGGDGRMKEGLARRAVENLRFRSVWGEVNGAMGDLGTYIPIVLSLALSRQLDLGTTLVFTGIYNAITGLLYGVPMPVQPMKSIAAAALADPSFAIPEIMAAGILTAAFVLFLGLTRLMDLVYRFVPLSVVRGIQLAQGLNFAMAAVKYIRYEQDLGKGKSLGRRPWVGLDGLVLAIAAVCFIVLVNGAGEEQEQRQQQQQQQQWWRRRLGSVPSAVVVFVVGVAFAVARHPAAVRELRAGPSRMRVVHISREAWKQGFIKGALPQIPLSVLNSVVAVCKLTRDLFPERKESPTSVSVTMGAMNLVGCWFGAMPCCHGAGGLAGQYKFGGRSGGCVAALGVLKLALGLLLGGSMLRVLVQFPVGLLGALLLFAGVELAAAARDMSTRAEAFVMLLCTAVSLVGSSAALGFLCGMLAHALLYLRACALRERIVSSQTDFHIDILGHHLLLGLGELPKRRRRRRQINYIFHSHSVGCCQSLTHTGCSTQYATTISFNTKQQLLELFINIFHCSLP >ORUFI08G00100.2 pep chromosome:OR_W1943:8:87656:88671:1 gene:ORUFI08G00100 transcript:ORUFI08G00100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRCHTTTTSSSSVCLSAVHIDILGHHLLLGLGELPKRRRRRRQINYIFHSHSVGCCQSLTHTGCSTQYATTISFNTKQQLLELFINIFHCSLP >ORUFI08G00110.1 pep chromosome:OR_W1943:8:87512:91480:-1 gene:ORUFI08G00110 transcript:ORUFI08G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNLWDILESCKKKLPLHHLQNKKVCVDLSCWLVQMYSANRSPAFAKDKVYLKNLFHRIRALLALNCTLLFVTDGAIPSLKLATYRRRLGSISHAAKESDQPNSHPSISLRRNKGSEFSCMIKEAKRLGMALGIPCLDGLEEAEAQCASLDLESLCDGCFTSDSDAFLFGARTVYRDVFIGEGGYVICYEMEDIEKTLGFGRNSLISLAVLLGSDYSNGVNGFGPETACRLVKSVGDNLILDQILSNGVKATRKCKGKNSGNKVDDMCPKASSCEVGMTQDSDGQFRDVINAYLEPKCHSPDSEAVQRVCGQHPFLRPQLQKICEEYFDWSPEKTDQYILPKIAERELRRFSDLRSASSALGIKPLLSEIPVPCPVLAIVKQRKVHGNECYEVSWRNIEGLQVSVVPGDLVKSACPEKITEFLEKKGEEKKQKRRARPKKSGQAAVKDVDEQLQELLLGIEADSGGILGATASVCQTLTAAYTVAVEDVVDLSSPSPPLRKLSKSQKKMMAEDVNVAGMNMNKMESESSFSTQSSTSDVDNQLIDLSSPLAGGDNGMKGGRRALADISNVGDRSRVHHDDDDVIHERKARDLRMFLDSIRNELY >ORUFI08G00110.2 pep chromosome:OR_W1943:8:87512:91480:-1 gene:ORUFI08G00110 transcript:ORUFI08G00110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNLWDILESCKKKLPLHHLQNKKVCVDLSCWLVQMYSANRSPAFAKDKVYLKNLFHRIRALLALNCTLLFVTDGAIPSLKLATYRRRLGSISHAAKESDQPNSHPSISLRRNKGSEFSCMIKEAKRLGMALGIPCLDGLEEAEAQCASLDLESLCDGCFTSDSDAFLFGARTVYRDVFIGEGGYVICYEMEDIEKTLGFGRNSLISLAVLLGSDYSNGVNGFGPETACRLVKSVGDNLILDQILSNGVKATRKCKGKNSGNKVDDMCPKASSCEVGMTQDSDGQFRDVINAYLEPKCHSPDSEAVQRVCGQHPFLRPQLQKICEEYFDWSPEKTDQYILPKIAERELRRFSDLRSASSALGIKPLLSEIPVPCPVLAIVKQRKVHGNECYEVSWRNIEGLQVSVVPGDLVKSACPEKITEFLEKKGEEKKQKRRARPKKSGQAAVKDVDEQLQELLLGIEADSGGILGATASVCQTLTAAYTVAVEDVVDLSSPSPPLRKLSKSQKKMMAEDVNVAGMNMNKMESESSFSTQSSTSDVDNQLIDLSSPLAGGDNGMKGGRRALADISNVGSHSTETDGGGGGGGGVASVGHGTTIDLSSPSPAIGDRSRVHHDDDDVIHERKARDLRMFLDSIRNELY >ORUFI08G00120.1 pep chromosome:OR_W1943:8:92204:96315:-1 gene:ORUFI08G00120 transcript:ORUFI08G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRRRASAERPRGEAGGRETTGGREKETQTPFLGSDVAGTGRRRRISTAATGGEQKGENTPGENGT >ORUFI08G00130.1 pep chromosome:OR_W1943:8:98912:99628:-1 gene:ORUFI08G00130 transcript:ORUFI08G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKAVIKGGDGGGGCMVVVAHVLAVAATAMVLVWCVHFRGGLALRSHNKQLIFNTHPVLMVLGLVVLAAEAILSYRSLSIIVSSRDARKKVHLGLHAVGLALGGVGIYAVFKYHAEADIPNLYSLHSWIGIATISLYALHWLLAFLTFFFPGASHDTRRSAVPWHALLGLLVFALAVGNAQLGFLEKLTFLQAPPLRLSRYGAEALLVNFTALVVLLLGVAVVLATANVDSTKYTAM >ORUFI08G00140.1 pep chromosome:OR_W1943:8:99666:111946:-1 gene:ORUFI08G00140 transcript:ORUFI08G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAAMTMRTMRTKTARKEAMTAPLVRWQKPWNCKHMGTQLARRLPSCATYTIAAAADAAAVSKPTMMVSQMSSRSLTPVALELMMVAAEKGSDSTIIILSWGATH >ORUFI08G00150.1 pep chromosome:OR_W1943:8:104846:110110:1 gene:ORUFI08G00150 transcript:ORUFI08G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSNNHKSKSEEEGVALVQVAEEGETTTKQQQQPDSNKAAAEEEEEEAGYGYSNWWSTWVSSAVKKRVRAPGRVGIVVVVGGFVLLALLAAVAATTTTTWPQLVDFTGAVSSFLQFGNGDGARRHRPHRTSLVSIPIPFTCGSGNDTGTCPRYAASAPAPAPASMSPPPPQTSTVDYCPSYFRHIELDLAAWLASGISREAVERGRRQAHFRLLVVGGRAYVETYRRAFQTRDVFTQWGILQLLRRYPGRVPDLDLMFNCDDMPEVRAAAYPDRAAAPPLFRYCKDPSTLDVLFPDWSFWGWPEVNIRPWAPLLAEMAEEKARLPWSRREPYAYWKGNPDVSPLRQELLRCNHSLPPDDTVRLYRQDWGFANRNAFRDSNLARQCRHRYKLYVQGRSWSVSRKYILACDSPVLAVATPYQDFFSRGLAAGKHYWPIDPSRSKLCRDIRFAVRWGNAHPAQAQRMGLAGSAFATDDMAMDYVYDYMLHVLTRYASLLRYKPTVPDRAVELCPESMACPRRGRDRDFMMQSREQYVADYQPCTIPPPPLTADDATNMAHRDAEVLSNIDKMIITEDKHN >ORUFI08G00160.1 pep chromosome:OR_W1943:8:111177:111977:1 gene:ORUFI08G00160 transcript:ORUFI08G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDTSGSVHVDEHGHGHGKASSSYDGAGAPAPAPAPFQGHRKAGSGSSDVPFLLRSGGSGGDGLRRCLGLIDFVLRVAAFGPTLAAAISIGTSDERLSVFTNYFQFRARFDDFPAFEFFIVANAIAAGYMVLSLPFSAATIMSSKATGVKLLLLICDTIMVGLLTAAASAAAAMVYVAHEGNLRANWVPICLQFHGFCQRTSGAVIASFLAVFVLMVLIVMAAFTMPRRTHHTAS >ORUFI08G00170.1 pep chromosome:OR_W1943:8:112476:113078:-1 gene:ORUFI08G00170 transcript:ORUFI08G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQAARPRVGVRPRGGIRPFPLPTLSFNNNSNRSACACACAVSVSDSELAARGFAVRRSSTGLDVGALNEVFARVGFPRRQEERLRRALEHSEVVWLEDSASSSAGRPVAFARAAGDGVFNAVVWDVVVEPSCQGLGLGRAVMERLVADLRGKGVSNIALYAEPRVVGFYRLLGFAMDPDAIRGMAFYRSRQQIQNTSS >ORUFI08G00180.1 pep chromosome:OR_W1943:8:118204:123633:-1 gene:ORUFI08G00180 transcript:ORUFI08G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPSGHLLASVSSALAVLLLLLACVELGDAAAAVGVYRLIQYDLAGAPLGSRAAALNHHAAALPLPAAADLSRSALVAPLLDLPLAFLRDYLADKKYLGGLLILLPKKLNHEPNNEDKGQVKASLAELEQLLLHQQVPYPVYFAFQDDHFDNLLADIRKIASSGQPASATTGGYKLVVSTPEPRKVASPTISNIQGWLPGLKGEGETEQLPTIAIVSNYDTFGAAPALSVGSDSNGSGAVALLEIARLFSRLYSNPKTRGKYNLLFGLTSGGPYNYNGTNKWLRSFDQRVRESIDYAICLNSVGSWDNELWMHVSKPPENPYIKQIFEDFSDVSKEMGVSVGIKHKKINVSNPRVAWEHEQFSRFRVTALTLSELSSPPDFLESTGGLYDTRESADAESVIRTVRLVSESLARHIYGLKGKNIDVFAENSSLAINPHYIQSWLDLLSRTPRVAPFLQKNDPFIAALKKELSEHTADVHVQSDVLDGMFTFYDATKATLNVYQVASVTFDLLFLLVIGSYLIILFSFLVITTRGLDDLINIFRRPPSRKVKGA >ORUFI08G00190.1 pep chromosome:OR_W1943:8:128142:128348:1 gene:ORUFI08G00190 transcript:ORUFI08G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPARSCVSSEAEDQQAAAAAMVVAGCPRCLMYVMLAVSNTKQPRCPRCNTPVLLHFHNISSTPNKS >ORUFI08G00200.1 pep chromosome:OR_W1943:8:140879:141694:1 gene:ORUFI08G00200 transcript:ORUFI08G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRKKKKTKLGITSLLFSSSSSSGRDTSTTTSGLPYSYSSCSNMSSSSSAAAAAWQWPSCKQPRTLSFRQQQQTMMKTMNSAYLSAGFSFASRDSHSSTCSCCRSRTASDASASADAVTRALRSDRLFFDPDASPAAAADLKLNKAKANKTKKKVEAFGGATAMTIESSNPYRDFRESMEAMVTSGGGGGGADDWRWLEEMLGWYLRANVKSTHGLIVGAFLDLLVSAAASPAASSSSSSPAAKGKYSSCCSACSSSSIKLEEEHQLRHY >ORUFI08G00210.1 pep chromosome:OR_W1943:8:144420:145597:-1 gene:ORUFI08G00210 transcript:ORUFI08G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARAREGRSSGEEGSEGNHIFSELGQLFGKEFDGQGSSAGVHGWQRRFRGQCENGDGVDALDGEGGGSSGGNQTAGARAGAVKESELARSVKRRRGSDTGSGREREKKGKKGAWSLAIMRRGRGLGMYQGKLKEKSWKRYKRNTWVFVLGNIRWSQGNDLDEKRFSRNREKDLATSSRKGESDFRNWDLDLNFDTCTQGFKETSKETKWEFDTFGNGNNYLGRIQGKGLTFGI >ORUFI08G00220.1 pep chromosome:OR_W1943:8:146107:147200:-1 gene:ORUFI08G00220 transcript:ORUFI08G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGEVCYPCRRSAVCGVASCVVAGMGCVLVVAMVVYLMFRPNLLHATAAGAELSTFSLALKEWTLSYNLSVGVDLTRHNARLALRYHSIAADAYYHDQRFAHALLPDFSQPASTNTTRITPSFQGRHQLLGGLAAAAFRREDTEGIYSIHVTMAAKTEIKLTPCHTPI >ORUFI08G00230.1 pep chromosome:OR_W1943:8:151047:151730:-1 gene:ORUFI08G00230 transcript:ORUFI08G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKHCEQHKDCERQRLYRRCCAAIFGILLLLLLIVLIVWLILRPTKPRFYLNDLTVVCLNVTTGGSYAGATASSGYFSFLTVTMQTTLAARNGNERVGIYYDRADVYAEYKGLRITVPTSLPPVYQGHPDLTVWSPFLSGNNVQLPPYLAVSITQDETAGYLLVTIRVDGWIRYKAGAFITGHYHLRVRCPALLIVNDGRGSYGSNSGGGNGYFRFQRAAACVVDV >ORUFI08G00240.1 pep chromosome:OR_W1943:8:153506:155195:-1 gene:ORUFI08G00240 transcript:ORUFI08G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMAPVGRYGGDEGLQIQRQRATERRNHRRPAELSLASADLEGGGRGSKALGRWPGSAEWGGIGESLLFGLGGGKGEGVALLEQNNIQIEIETDKKPGDLSASACLS >ORUFI08G00250.1 pep chromosome:OR_W1943:8:157871:158372:1 gene:ORUFI08G00250 transcript:ORUFI08G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLPSWWLLFLLLLGVGATAAARGSKKLTPPVSTAYEWPERFHAVVVSINLTNHDRGGGRLQLIEIYYDWPHGRDLNIVRDQLSGDPPLYNVEWVNGTSYLFDTAASSCRTFQFPVGILPRSGVTPWTPTSPLDIQRQYAACAAVLLPQPQ >ORUFI08G00260.1 pep chromosome:OR_W1943:8:166104:166727:1 gene:ORUFI08G00260 transcript:ORUFI08G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLSSPSASQRGGGRELQGPRPAPLKVRKESHKIRKQEPVQQLRQPVIIYTMSPKVVHANAADFMSVVQRLTGAPPTAPPQPQPHHPTLLAQMPPQPSFPFHLQQQDAWPQQQHSPAAIEQAAARSSGADLPPLPSILSPVPGTVLPAIPASFFSPPSLISPVPFLGATTTSSAAPSTSPSPMGGSAYYWDLFNMQQQQHYHHQN >ORUFI08G00270.1 pep chromosome:OR_W1943:8:170517:183425:-1 gene:ORUFI08G00270 transcript:ORUFI08G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAERIFERLAESGGLLQQHDHQLQRQLRLHFRRMPARYLVDMCGGKAEEVLIHLQLLADCADPANRPVVHARFLLTIPSSSSSSSIVRVRVHEIVFVCLDKPKLLSQLSALVSEVGLNIREAHVYSTLDAFSLSVFLVDGWNKEEAGGLLKAIKEKVGRAAHCIIFVELGLDRQIEILYFKPSGRRRLLQTPIGGRRPEPAPPPRTAAINTRPPRARARRGAEGEMEMEAEDWGESSSPPRPHPEADMVNKEKDPEEMKRKLKERILELEKEEVPLPDDASAFNRPVFHARFQKSIPLPDSDFDPCQRLMEDLSLERRRRNDTGDNTGSMSISSRYPKTKLIHEIIFSSLDKPKLLSRLTLLLSEVGLNIREAHVYSTTDGFCLDVFVVDGWDTEETDDLIIKIKEALSHKNASPSNSTNSSASTNQQKIAELQQQVGDSEIDWNLLTTGEKIATGSSADLYRGTYNGLDVAVKILRDSHFNNPSVNHENVLQFYGACTRPQKYCIVTEYMPGGNLYDFLHKQNNVLDLLTILRIAISISKGMNYLHQNNIIHRDLKTANLLMGYHQVVKIADFGVARQGNQEGQMTAETGTYRWMAPEIINHKPYDNKADVFSFAIVLWELVPYDNMTPLQAALGVRQGFRLEIPSSVNPRLSKLIQRCWDEDPDVRPRLSSNLKTSYSMPRQTAGEPVGVLELRYRRNHLDRVSYQRLSCSFVFILFGKTG >ORUFI08G00270.2 pep chromosome:OR_W1943:8:170517:183425:-1 gene:ORUFI08G00270 transcript:ORUFI08G00270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAERIFERLAESGGLLQQHDHQLQRQLRLHFRRMPARYLVDMCGGKAEEVLIHLQLLADCADPANRPVVHARFLLTIPSSSSSSSIVRVRVHEIVFVCLDKPKLLSQLSALVSEVGLNIREAHVYSTLDAFSLSVFLVDGWNKEEAGGLLKAIKEKVGRAAHCIIFVELGLDRQIEILWSSSSSPNSNRVDDVLLHWRILTHCAHPQNRPVFHARFQKSIPLPDSDFDPCQRLMEDLSLERRRRNDTGDNTGSMSISSRYPKTKLIHEIIFSSLDKPKLLSRLTLLLSEVGLNIREAHVYSTTDGFCLDVFVVDGWDTEETDDLIIKIKEALSHKNASPSNSTNSSASTNQQKIAELQQQVGDSEIDWNLLTTGEKIATGSSADLYRGTYNGLDVAVKILRDSHFNNPSVNHENVLQFYGACTRPQKYCIVTEYMPGGNLYDFLHKQNNVLDLLTILRIAISISKGMNYLHQNNIIHRDLKTANLLMGYHQVVKIADFGVARQGNQEGQMTAETGTYRWMAPEVPYDNMTPLQAALGVRQGFRLEIPSSVNPRLSKLIQRCWDEDPDVRPRLSSNLKTSYSMPRQTAGEPVGVLELRYRRNHLDRVSYQRLSCSFVFILFGKTG >ORUFI08G00270.3 pep chromosome:OR_W1943:8:170517:183425:-1 gene:ORUFI08G00270 transcript:ORUFI08G00270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAERIFERLAESGGLLQQHDHQLQRQLRLHFRRMPARYLVDMCGGKAEEVLIHLQLLADCADPANRPVVHARFLLTIPSSSSSSSIVRVRVHEIVFVCLDKPKLLSQLSALVSEVGLNIREAHVYSTLDAFSLSVFLVDGWNKEEAGGLLKAIKEKVGRAAHCIIFVELGLDRQIEILYFKPSGRRRLLQTPIGGRRPEPAPPPRTAAINTRPPRARARRGAEGEMEMEAEDWGESSSPPRPHPEADMVNKEKDPEEMKRKLKERILELEKEEVPLPDDASAFVHHLSRLPKRYLFDLGVDRVDDVLLHWRILTHCAHPQNRPVFHARFQKSIPLPDSDFDPCQRLMEDLSLERRRRNDTGDNTGSMSISSRYPKTKLIHEIIFSSLDKPKLLSRLTLLLSEVGLNIREAHVYSTTDGFCLDVFVVDGWDTEETDDLIIKIKEALSHKNASPSNSTNSSASTNQQKIAELQQQVGDSEIDWNLLTTGEKIATGSSADLYRGTYNGLDVAVKILRDSHFNNPSEVEFLQEILILRQIFSSRSVNHENVLQFYGACTRPQKYCIVTEYMPGGNLYDFLHKQNNVLDLLTILRIAISISKGMNYLHQNNIIHRDLKTANLLMGYHQVVKIADFGVARQGNQEGQMTAETGTYRWMAPEIINHKPYDNKADVFSFAIVLWELVPYDNMTPLQAALGVRQGFRLEIPSSVNPRLSKLIQRCWDEDPDVRPRLSSNLKTSYSMPRQTAGEPVGVLELRYRRNHLDRVSYQRLSCSFVFILFGKTG >ORUFI08G00270.4 pep chromosome:OR_W1943:8:170517:183425:-1 gene:ORUFI08G00270 transcript:ORUFI08G00270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAERIFERLAESGGLLQQHDHQLQRQLRLHFRRMPARYLVDMCGGKAEEVLIHLQLLADCADPANRPVVHARFLLTIPSSSSSSSIVRVRVHEIVFVCLDKPKLLSQLSALVSEVGLNIREAHVYSTLDAFSLSVFLVDGWNKEEAGGLLKAIKEKVGRAAHCIIFVELGLDRQIEILYFKPSGRRRLLQTPIGGRRPEPAPPPRTAAINTRPPRARARRGAEGEMEMEAEDWGESSSPPRPHPEADMVNKEKDPEEMKRKLKERILELEKEEVPLPDDASAFVHHLSRLPKRYLFDLGVDRVDDVLLHWRILTHCAHPQNRPVFHARFQKSIPLPDSDFDPCQRLMEDLSLERRRRNDTGDNTGSMSISSRYPKTKLIHEIIFSSLDKPKLLSRLTLLLSEVGLNIREAHVYSTTDGFCLDVFVVDGWDTEETDDLIIKIKEALSHKNASPSNSTNSSASTNQQKIAELQQQVGDSEIDWNLLTTGEKIATGSSADLYRGTYNGLDVAVKILRDSHFNNPSEVEFLQEILILRQIFSSRSVNHENVLQFYGACTRPQKYCIVTEYMPGGNLYDFLHKQNNVLDLLTILRIAISISKGMNYLHQNNIIHRDLKTANLLMGYHQVVKIADFGVARQGNQEGQMTAETGTYRWMAPEIINHKPYDNKADVFSFAIVLWELVPYDNMTPLQAALGVRQRLSSNLKTSYSMPRQTAGEPVGVLELRYRRNHLDRVSYQRLSCSFVFILFGKTG >ORUFI08G00270.5 pep chromosome:OR_W1943:8:170517:183425:-1 gene:ORUFI08G00270 transcript:ORUFI08G00270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAERIFERLAESGGLLQQHDHQLQRQLRLHFRRMPARYLVDMCGGKAEEVLIHLQLLADCADPANRPVVHARFLLTIPSSSSSSSIVRVRVHEIVFVCLDKPKLLSQLSALVSEVGLNIREAHVYSTLDAFSLSVFLVDGWNKEEAGGLLKAIKEKVGRAAHCIIFVELGLDRQIEILYFKPSGRRRLLQTPIGGRRPEPAPPPRTAAINTRPPRARARRGAEGEMEMEAEDWGESSSPPRPHPEADMVNKEKDPEEMKRKLKERILELEKEEVPLPDDASAFVHHLSRLPKRYLFDLGVDRVDDVLLHWRILTHCAHPQNRPVFHARFQKSIPLPDSDFDPCQRLMEDLSLERRRRNDTGDNTGSMSISSRYPKTKLIHEIIFSSLDKPKLLSRLTLLLSEVGLNIREAHVYSTTDGFCLDVFVVDGWDTEETDDLIIKIKEALSHKNGMNYLHQNNIIHRDLKTANLLMGYHQVVKIADFGVARQGNQEGQMTAETGTYRWMAPEIINHKPYDNKADVFSFAIVLWELVPYDNMTPLQAALGVRQGFRLEIPSSVNPRLSKLIQRCWDEDPDVRPRLSSNLKTSYSMPRQTAGEPVGVLELRYRRNHLDRVSYQRLSCSFVFILFGKTG >ORUFI08G00280.1 pep chromosome:OR_W1943:8:175297:180682:1 gene:ORUFI08G00280 transcript:ORUFI08G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLCKTTFEQDQCHLCTSDRSSDGSRRGQRVWRRSSRRGQRIRRRLGAQAVDPATTRGTGGGSGLGQRRRGKREGPHCRRRLLPPRADAGGAPHHAAAAPSLTPPQHPLRAPPRALGAPPPPHPQITAATRVARERMCVARERESCTVDG >ORUFI08G00290.1 pep chromosome:OR_W1943:8:185241:190431:1 gene:ORUFI08G00290 transcript:ORUFI08G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPLAVSLSTASHCCSLPSLHLQPPPQPYTSTIEEVAFSSGYFFGGDVSICKSEQDYLRSSAVADVLSCAYAVLLSSIGSGRSWSRGISIVRDVRDSFGSLSRRSFEVRISGLPGLSGHHRGKSLGSLSELRDRPVVVDQSRWVGLPPELLRDVMKRLEEGESNWPSRKDVVACAAVCRTWREICKDIVQSPEICGKLTFPVSLKQPGPRDGLIQCFIKRDKSKLTYYLYLCLSPAVLSENGKFLLAAKRNRRATSTEYIISVDSKNISRSSNGYVGKMRSNFLGTKFVVYDTQPPYNAGSLMSCQHGSRRISSRRVSPKLPTGSYPIAHVKYELNVLGTRGPRRMQCTMHSIPASAVDPEGVVPGQPEQLLPGPFEESFRSTNTSSRFSFMDRSLDFSSSRFSEISGSANQQGEDDIPEAKERPLVLRNKVPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAASSESSQLEQQQQQQQQNHASSSSSASDHGKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >ORUFI08G00300.1 pep chromosome:OR_W1943:8:189101:192650:-1 gene:ORUFI08G00300 transcript:ORUFI08G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKQQRVYQVWKGNNIILCGGRFIFGPDAKATLLSFSLIAIPVAVFCYFVARHLIHMFPAYNAGYAILAVTIVLSIYVLLLLFLTSSQDPGIVPRNSHPPVEEFSHDASAPHTLQFPRVKEILVNGVPVKVKYCDTCMIYRPPRCSHCSKCDNCVERFDHHCPWVGQCIGQRNYRYFFCFVSSAAILCIYIFSMCALHIKLLMNRDHHSVIKAIKESPASVAIMAYCFICFWFVGGLTGFHSYLIATNKTTYENLKYKYNNQPNVFDRGCMNNCSEFFCTKRAPSRINLRAIVQEDHGVAPPRISRSNVAEEETPHRPRAKVEDDLEMGLDILKTSQRRSDELGDEELGVESNGVKYRRADCSPGLDNEIPITRTKIESSSEVRDLEILPTGNAALPSSPEKKQHPDEWCFITVKC >ORUFI08G00300.2 pep chromosome:OR_W1943:8:189101:192650:-1 gene:ORUFI08G00300 transcript:ORUFI08G00300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKQQRVYQVWKGNNIILCGGRFIFGPDAKATLLSFSLIAIPVAVFCYFVARHLIHMFPAYNAGYAILAVTIVLSIYRNYRYFFCFVSSAAILCIYIFSMCALHIKLLMNRDHHSVIKAIKESPASVAIMAYCFICFWFVGGLTGFHSYLIATNKTTYENLKYKYNNQPNVFDRGCMNNCSEFFCTKRAPSRINLRAIVQEDHGVAPPRISRSNVAEEETPHRPRAKVEDDLEMGLDILKTSQRRSDELGDEELGVESNGVKYRRADCSPGLDNEIPITRTKIESSSEVRDLEILPTGNAALPSSPEKKQHPDEWCFITVKC >ORUFI08G00310.1 pep chromosome:OR_W1943:8:194370:198404:-1 gene:ORUFI08G00310 transcript:ORUFI08G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLLALALVLLASGASAASVGDTCSSEGDCGAGLHCSDCGGGGGGDKTCTRAKPIDPLTHGTDLPFNNYSWLTTHNSYALAGSSSATGSALITQTNQEDTITAQLKNGVRGLMLDTYDFNNDVWLCHSFQGKCFNFTAFQPAINVLKEIRTFLDGNPSEVITIFLEDYTASGSLPKVFNASGLMKYWFPVAKMPKSGGDWPLLKDMISQNERLLVFTSKKSKEASEGIAYEWSYVVENQYGNEGMVEGKCPNRAESPAMDSKSQSLVLMNFFTTDPSQTGVCANNSAPLVSMLKTCHDLSGNRWPNYIAVDFYMRSDGGGAPLATDIANGHLVCGCDNIAYCKANSTFGTCVIPPPSPPPSPRKAPSGGKGTSAGGSSSSSGAMARVVLPKLSFFFGVALLILVILSY >ORUFI08G00320.1 pep chromosome:OR_W1943:8:199766:201895:-1 gene:ORUFI08G00320 transcript:ORUFI08G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERENRVETISRLAQWRIDTFGPSSYRRSDSFKIGIWNWYLSVEKARYVYVRLFPEPGRVAKERPPLARFLLRACWSGPPRRSCVSPVHEHLLRSSEDFFWQLDTMYAGRFTIDVEFLDLKIASNNAKSSTSIWPNESIAVQNIASKSSLGCLSRMLTESIHADVTINTTDGVLKAHKAILASCSPVFESMFLHDLKEKESSTININDMCLESCSALIGFIYGTIKLDQFWKHRLSLLAAANKYSISDIKDCCEESLLEDINSSNVLERLHVAWLYQLQKLKKGCLTYLFVFGKIYDVREEINSFFQHADRELMLEMFQEVITAWKPI >ORUFI08G00330.1 pep chromosome:OR_W1943:8:208959:210157:-1 gene:ORUFI08G00330 transcript:ORUFI08G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHEEEAGESPCVPPGFRFHPTEEELVGYYLARKVASQKIDLDIIQELDLYRIEPWDLQERCKYGGHGGDEQTEWYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSPSTRVIGMRKTLVFYKGRAPNGRKTDWIIHERKKPMPNQQQHRLSYGCIPGSYGAGAYAAVPDNYSLLLHHDNPSFAGRPLMSAAASALFANNNNNSVVDHSNILSSESKLHFSDMMPPLESPTIVDGEGYVSQASSCVDVDQQAGIVDWNLLTSLLPPPAHQLFHHLPSASSSKNSNNISSSGFIDDRD >ORUFI08G00340.1 pep chromosome:OR_W1943:8:220938:223254:-1 gene:ORUFI08G00340 transcript:ORUFI08G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAASSASAAEMVGRAVGEVREALNEHADVVAELFGRVSSDLRSGFRPALDSFLGFFHAVDWKEPWLISMLIFHAILLLVTIISRRNVNFQLILSALTFSGVFLAERINTFLGQHWKSFSSQNYFDPQGLFISVVWSGPLLLITILILVNTLVTLCLLMVRWKRAELRHRAREVRNKQD >ORUFI08G00350.1 pep chromosome:OR_W1943:8:225475:229856:1 gene:ORUFI08G00350 transcript:ORUFI08G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNQLKTQEGGQFELPFRISLSLTVVASLNLSCSCSWQPTSPSPRRLSALCSPSTPTDSSSPSLLRFPCLANPPKGACNVVLIANNTTLSFDDVEATFTLEVKDSGVNGAIYAVEPLDACSPLRKKAANGPVSPFALVIRGGCQFDDKVRNAQNAGFKAVIVYDDEDSGVLVSMAGSSSGIYIYAVFLSKASGEVLKKYSGQSDVEIRRDRGRIPVTREFHGMSSQLVKAMPSLIFTKVQEDNSTSSSCAICLEDYSFGEKLRVLPCRHKFHATCVDMWLTSWKTFCPVCKRDASAGTSKPPASESTPLLSSVIHLSAESTALSSFRSTVAVSPPRPIRRHPSSQSTSRAYSISSAPRNYNLQRYYTNSPYISTSRSNVDLANMSSQWSHTPHQASMHSLRSGHLSLPINIRYTIPHVSRSDYGSASLGLSHDSRSHHGSPSYYHSSLGQQRSYLMHRTESGPSLSTMVLQSPQ >ORUFI08G00350.2 pep chromosome:OR_W1943:8:225475:229856:1 gene:ORUFI08G00350 transcript:ORUFI08G00350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNQLKTQEGGQFELPFRISLSLTVVASLNLSCSCSWQPTSPSPRRLSALCSPSTPTDSSSPSLLRFPCLANPPKGACNVVLIANNTTLSFDDVEATFSECYNLPTLEVKDSGVNGAIYAVEPLDACSPLRKKAANGPVSPFALVIRGGCQFDDKVRNAQNAGFKAVIVYDDEDSGVLVSMAGSSSGIYIYAVFLSKASGEVLKKYSGQSDVEVWILPVYENSAWSIMAISFTSLLAMAAVLATCFFVRRHQIRRDRGRIPVTREFHGMSSQLVKAMPSLIFTKVQEDNSTSSSCAICLEDYSFGEKLRVLPCRHKFHATCVDMWLTSWKTFCPVCKRDASAGTSKPPASESTPLLSSVIHLSAESTALSSFRSTVAVSPPRPIRRHPSSQSTSRAYSISSAPRNYNLQRYYTNSPYISTSRSNVDLANMSSQWSHTPHQASMHSLRSGHLSLPINIRYTIPHVSRSDYGSASLGLSHDSRSHHGSPSYYHSSLGQQRSYLMHRTESGPSLSTMVLQSPQ >ORUFI08G00350.3 pep chromosome:OR_W1943:8:225475:229856:1 gene:ORUFI08G00350 transcript:ORUFI08G00350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNQLKTQEGGQFELPFRISLSLTVVASLNLSCSCSWQPTSPSPRRLSALCSPSTPTDSSSPSLLRFPCLANPPKGACNVVLIANNTTLSFDDVEATFTLEVKDSGVNGAIYAVEPLDACSPLRKKAANGPVSPFALVIRGGCQFDDKVRNAQNAGFKAVIVYDDEDSGVLVSMAGSSSGIYIYAVFLSKASGEVLKKYSGQSDVEVWILPVYENSAWSIMAISFTSLLAMAAVLATCFFVRRHQIRRDRGRIPVTREFHGMSSQLVKAMPSLIFTKVQEDNSTSSSCAICLEDYSFGEKLRVLPCRHKFHATCVDMWLTSWKTFCPVCKRDASAGTSKPPASESTPLLSSVIHLSAESTALSSFRSTVAVSPPRPIRRHPSSQSTSRAYSISSAPRNYNLQRYYTNSPYISTSRSNVDLANMSSQWSHTPHQASMHSLRSGHLSLPINIRYTIPHVSRSDYGSASLGLSHDSRSHHGSPSYYHSSLGQQRSYLMHRTESGPSLSTMVLQSPQ >ORUFI08G00360.1 pep chromosome:OR_W1943:8:231460:231750:-1 gene:ORUFI08G00360 transcript:ORUFI08G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKKEVRHGTAQAKVNGDDEMLRTGFINGTPLEAGKVADSQPVDLFDQARRVCPEEEEDEAGCRKIAESEPVDLFSDAGRVAHQQQHKVVGRQA >ORUFI08G00370.1 pep chromosome:OR_W1943:8:231860:237255:-1 gene:ORUFI08G00370 transcript:ORUFI08G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLCYASKVLRSHDFMATSSSVGMLVVVRSLVGGKVLLPRKKEGLEEDVKSRSSRGAIISTAVVGVGILLSLPSPAN >ORUFI08G00380.1 pep chromosome:OR_W1943:8:237333:237752:-1 gene:ORUFI08G00380 transcript:ORUFI08G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALSSQVRLPMSLRVATAPAPARVSVLPASNKLGDRLRMQATYNVKLITPDGEVELQVPDDVYILDQAEEEGIDLPYSCRAGSCSSCAGKVVSGEIDQSDQSFLDDDQVAAGWVLTCHAYPKSDVVIETHKEDDLI >ORUFI08G00390.1 pep chromosome:OR_W1943:8:245807:256838:1 gene:ORUFI08G00390 transcript:ORUFI08G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAQQDMDPPTRDALSEALDGNRSDHSVYDAEHSGQNGIGDTKKRTSSSDLSTDDNFSSSALQSKHEHMNRDALSIDDRSVKSGDESDGAESTSGKSGSIDSTCTENDSIWIPPEAADKEYEADSVSGKIAYADDDDDYSDGIKWGRSSFPATNEEQEVSHNTRDERESAMLDAMNGQLKILVSRFLASAGISFSKGESGESWLDILTSLSWEAALLIKPDASKGKEMDPGSYIKVKCIASGTRRQSEVIKGLVFKKNAAHKHMPTSCHNPRLLLLKGVLGHSDVGLSSFNSMDQEKDHLERAISKMMEICSPNVILVEKTVSRDIQELLLKEGVTLIFDMKLNRLERIARCTGSPIISFSEVLDKPKLKRCDSFHIEKFIEEHNSASDGGKRLSKTLMFLEGFPKPLGCTILLRGANSEELKKVKQVMHYTVFAAYHLILETSFFEDQRIFLNDQNASRENSVTAMAGPSANGYDPSVLCASDFPSRDDSPALRLYHATSNGYTDVKKSLSSSTKVDAPSSITNSSSSVGEDASIRYDSKPPLHSQRLPSPVPGTLRKYVGMLSHQNIYLPVTSLQETSATQIEGEVESGKEIVSNGFHVGSKVEEPAVSTANVDRSQDHQRQERIQDIMPTNSTHDKREESPVMVEDGEQHSTIDIISKEKTTNEDQADDALDTHSILILMSSQCITKQGDICKTFCRIRFCRIRLGSKVAMFRYSSVEIYTTCKPQTTLQFDNPCRQDWFKEERRYVLARGIKLFSEVASMLQPLKDQLLDVTTTNCTGSLPVKDFSELEELLIKEKAFFEDSLEKTINQNENLSASVHELVDINWSYQDLLLELYLWDRRLDQLTKCVSAGQERVVSCKDPFDTVVKNIRVNQEIENKADELTCDRTTSVLSAVGLTECPSNRNYIDHQSVDIEAPVLTENQGAGCAQFSSTGGRNDEDSYTAPCQLEVDSMAQTKEVPSFEISEVQGDGIVVHPISLDQEPSNAPNHFRKIPDWDTGEGWIWNSFHECQLAYRKDIQNEILDKFEIVNRYSPSHMSPLFEQHEEVSSPQFTVGPGGNILSVLEDEISSIIARALAVSGEHRHLVENETEGARLEHAKTMEKSYSFMSGSSLDSSPWSSIGSLDSEASFLSLGSSVSSDDLSGYDSLPLFSSIHPEVAVNGKVALRGKYSVTSIYAKQFQDLRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTVDDRFIIKQIKKTEFESFIKFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQTRHGKEIKIDLLVMENLLFGHNISRIYDLKGAIFSRHVAHSNDRNTVYLDQNYVEDMRVSPIYIGGRTKHLLQRAIWNDTSFLTSVNVMDYSLLVGVDKEKHELVFGIIDYLRQYTWDKQLETWVKSSLVVPKNVSPTVVSPKEYKKRFRKFMAKHFLTVPDTWSSENSSGPSKSFGHSNNMLVEVHSDDNLLQHPIEAETVV >ORUFI08G00390.2 pep chromosome:OR_W1943:8:245684:256838:1 gene:ORUFI08G00390 transcript:ORUFI08G00390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAQQDMDPPTRDALSEALDGNRSDHSVYDAEHSGQNGIGDTKKRTSSSDLSTDDNFSSSALQSKHEHMNRDALSIDDRSVKSGDESDGAESTSGKSGSIDSTCTENDSIWIPPEAADKEYEADSVSGKIAYADDDDDYSDGIKWGRSSFPATNEEQEVSHNTRDERESAMLDAMNGQLKILVSRFLASAGISFSKGESGESWLDILTSLSWEAALLIKPDASKGKEMDPGSYIKVKCIASGTRRQSEVIKGLVFKKNAAHKHMPTSCHNPRLLLLKGVLGHSDVGLSSFNSMDQEKDHLERAISKMMEICSPNVILVEKTVSRDIQELLLKEGVTLIFDMKLNRLERIARCTGSPIISFSEVLDKPKLKRCDSFHIEKFIEEHNSASDGGKRLSKTLMFLEGFPKPLGCTILLRGANSEELKKVKQVMHYTVFAAYHLILETSFFEDQRIFLNDQNASRENSVTAMAGPSANGYDPSVLCASDFPSRDDSPALRLYHATSNGYTDVKKSLSSSTKVDAPSSITNSSSSVGEDASIRYDSKPPLHSQRLPSPVPGTLRKYVGMLSHQNIYLPVTSLQETSATQIEGEVESGKEIVSNGFHVGSKVEEPAVSTANVDRSQDHQRQERIQDIMPTNSTHDKREESPVMVEDGEQHSTIDIISKEKTTNEDQADDALDTHSILILMSSQCITKQGDICKTFCRIRFCRIRLGSKVAMFRYSSVEIYTTCKPQTTLQFDNPCRQDWFKEERRYVLARGIKLFSEVASMLQPLKDQLLDVTTTNCTGSLPVKDFSELEELLIKEKAFFEDSLEKTINQNENLSASVHELVDINWSYQDLLLELYLWDRRLDQLTKCVSAGQERVVSCKDPFDTVVKNIRVNQEIENKADELTCDRTTSVLSAVGLTECPSNRNYIDHQSVDIEAPVLTENQGAGCAQFSSTGGRNDEDSYTAPCQLEVDSMAQTKEVPSFEISEVQGDGIVVHPISLDQEPSNAPNHFRKIPDWDTGEGWIWNSFHECQLAYRKDIQNEILDKFEIVNRYSPSHMSPLFEQHEEVSSPQFTVGPGGNILSVLEDEISSIIARALAVSGEHRHLVENETEGARLEHAKTMEKSYSFMSGSSLDSSPWSSIGSLDSEASFLSLGSSVSSDDLSGYDSLPLFSSIHPEVAVNGKVALRGKYSVTSIYAKQFQDLRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTVDDRFIIKQIKKTEFESFIKFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQTRHGKEIKIDLLVMENLLFGHNISRIYDLKGAIFSRHVAHSNDRNTVYLDQNYVEDMRVSPIYIGGRTKHLLQRAIWNDTSFLTSVNVMDYSLLVGVDKEKHELVFGIIDYLRQYTWDKQLETWVKSSLVVPKNVSPTVVSPKEYKKRFRKFMAKHFLTVPDTWSSENSSGPSKSFGHSNNMLVEVHSDDNLLQHPIEAETVV >ORUFI08G00390.3 pep chromosome:OR_W1943:8:245807:256838:1 gene:ORUFI08G00390 transcript:ORUFI08G00390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAQQDMDPPTRDALSEALDGNRSDHSVYDAEHSGQNGIGDTKKRTSSSDLSTDDNFSSSALQSKHEHMNRDALSIDDRSVKSGDESDGAESTSGKSGSIDSTCTENDSIWIPPEAADKEYEADSVSGKIAYADDDDDYSDGIKWGRSSFPATNEEQEVSHNTRDERESAMLDAMNGQLKILVSRFLASAGISFSKGESGESWLDILTSLSWEAALLIKPDASKGKEMDPGSYIKVKCIASGTRRQSEVIKGLVFKKNAAHKHMPTSCHNPRLLLLKGVLGHSDVGLSSFNSMDQEKDHLERAISKMMEICSPNVILVEKTVSRDIQELLLKEGVTLIFDMKLNRLERIARCTGSPIISFSEVLDKPKLKRCDSFHIEKFIEEHNSASDGGKRLSKTLMFLEGFPKPLGCTILLRGANSEELKKVKQVMHYTVFAAYHLILETSFFEDQRIFLNDQNASRENSVTAMAGPSANGYDPSVLCASDFPSRDDSPALRLYHATSNGYTDVKKSLSSSTKVDAPSSITNSSSSVGEDASIRYDSKPPLHSQRLPSPVPGTLRKYVGMLSHQNIYLPVTSLQETSATQIEGEVESGKEIVSNGFHVGSKVEEPAVSTANVDRSQDHQRQERIQDIMPTNSTHDKREESPVMVEDGEQHSTIDIISKEKTTNEDQADDALDTHSILILMSSQCITKQGDICKTFCRIRFCRIRLGSKVAMFRYSSVEIYTTCKPQTTLQFDNPCRQDWFKEERRYVLARGIKLFSEVASMLQPLKDQLLDVTTTNCTGSLPVKDFSELEELLIKEKAFFEDSLEKTINQNENLSASVHELVDINWSYQDLLLELYLWDRRLDQLTKCVSAGQERVVSCKDPFDTVVKNIRVNQEIENKADELTCDRTTSVLSAVGLTECPSNRNYIDHQSVDIEAPVLTENQGAGCAQFSSTGGRNDEDSYTAPCQLEVDSMAQTKEVPSFEISEVQGDGIVVHPISLDQEPSNAPNHFRKIPDWDTGEGWIWNSFHECQLAYRKDIQNEILDKFEIVNRYSPSHMSPLFEQHEEVSSPQFTVGPGGNILSVLEDEISSIIARALAVSGEHRHLVENETEGARLEHAKTMEKSYSFMSGSSLDSSPWSSIGSLDSEASFLSLGSSVSSDDLSGYDSLPLFSSIHPEVAVNGKVALRGKYSVTSIYAKQFQDLRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTVDDRFIIKQIKKTEFESFIKFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQTRHGKEIKIDLLVMENLLFGHNISRIYDLKGAIFSRHVAHSNDRNTVYLDQNYVEDMRVSPIYIGGRTKHLLQRAIWNDTSFLTSVNVMDYSLLVGVDKEKHELVFGIIDYLRQYTWDKQLETWVKSSLVVPKNVSPTVVSPKEYKKRFRKFMAKHFLTVPDTWSSENSSGPSKSFGHSNNMLVEVHSDDNLLQHPIEAETVV >ORUFI08G00390.4 pep chromosome:OR_W1943:8:245684:256838:1 gene:ORUFI08G00390 transcript:ORUFI08G00390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAQQDMDPPTRDALSEALDGNRSDHSVYDAEHSGQNGIGDTKKRTSSSDLSTDDNFSSSALQSKHEHMNRDALSIDDRSVKSGDESDGAESTSGKSGSIDSTCTENDSIWIPPEAADKEYEADSVSGKIAYADDDDDYSDGIKWGRSSFPATNEEQEVSHNTRDERESAMLDAMNGQLKILVSRFLASAGISFSKGESGESWLDILTSLSWEAALLIKPDASKGKEMDPGSYIKVKCIASGTRRQSEVIKGLVFKKNAAHKHMPTSCHNPRLLLLKGVLGHSDVGLSSFNSMDQEKDHLERAISKMMEICSPNVILVEKTVSRDIQELLLKEGVTLIFDMKLNRLERIARCTGSPIISFSEVLDKPKLKRCDSFHIEKFIEEHNSASDGGKRLSKTLMFLEGFPKPLGCTILLRGANSEELKKVKQVMHYTVFAAYHLILETSFFEDQRIFLNDQNASRENSVTAMAGPSANGYDPSVLCASDFPSRDDSPALRLYHATSNGYTDVKKSLSSSTKVDAPSSITNSSSSVGEDASIRYDSKPPLHSQRLPSPVPGTLRKYVGMLSHQNIYLPVTSLQETSATQIEGEVESGKEIVSNGFHVGSKVEEPAVSTANVDRSQDHQRQERIQDIMPTNSTHDKREESPVMVEDGEQHSTIDIISKEKTTNEDQADDALDTHSILILMSSQCITKQGDICKTFCRIRFCRIRLGSKVAMFRYSSVEIYTTCKPQTTLQFDNPCRQDWFKEERRYVLARGIKLFSEVASMLQPLKDQLLDVTTTNCTGSLPVKDFSELEELLIKEKAFFEDSLEKTINQNENLSASVHELVDINWSYQDLLLELYLWDRRLDQLTKCVSAGQERVVSCKDPFDTVVKNIRVNQEIENKADELTCDRTTSVLSAVGLTECPSNRNYIDHQSVDIEAPVLTENQGAGCAQFSSTGGRNDEDSYTAPCQLEVDSMAQTKEVPSFEISEVQGDGIVVHPISLDQEPSNAPNHFRKIPDWDTGEGWIWNSFHECQLAYRKDIQNEILDKFEIVNRYSPSHMSPLFEQHEEVSSPQFTVGPGGNILSVLEDEISSIIARALAVSGEHRHLVENETEGARLEHAKTMEKSYSFMSGSSLDSSPWSSIGSLDSEASFLSLGSSVSSDDLSGYDSLPLFSSIHPEVAVNGKVALRGKYSVTSIYAKQFQDLRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTVDDRFIIKQIKKTEFESFIKFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQTRHGKEIKIDLLVMENLLFGHNISRIYDLKGAIFSRHVAHSNDRNTVYLDQNYVEDMRVSPIYIGGRTKHLLQRAIWNDTSFLTSVNVMDYSLLVGVDKEKHELVFGIIDYLRQYTWDKQLETWVKSSLVVPKNVSPTVVSPKEYKKRFRKFMAKHFLTVPDTWSSENSSGPSKSFGHSNNMLVEVHSDDNLLQHPIEAETVV >ORUFI08G00390.5 pep chromosome:OR_W1943:8:246560:256838:1 gene:ORUFI08G00390 transcript:ORUFI08G00390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAQQDMDPPTRDALSEALDGNRSDHSVYDAEHSGQNGIGDTKKRTSSSDLSTDDNFSSSALQSKHEHMNRDALSIDDRSVKSGDESDGAESTSGKSGSIDSTCTENDSIWIPPEAADKEYEADSVSGKIAYADDDDDYSDGIKWGRSSFPATNEEQEVSHNTRDERESAMLDAMNGQLKILVSRFLASAGISFSKGESGESWLDILTSLSWEAALLIKPDASKGKEMDPGSYIKVKCIASGTRRQSEVIKGLVFKKNAAHKHMPTSCHNPRLLLLKGVLGHSDVGLSSFNSMDQEKDHLERAISKMMEICSPNVILVEKTVSRDIQELLLKEGVTLIFDMKLNRLERIARCTGSPIISFSEVLDKPKLKRCDSFHIEKFIEEHNSASDGGKRLSKTLMFLEGFPKPLGCTILLRGANSEELKKVKQVMHYTVFAAYHLILETSFFEDQRIFLNDQNASRENSVTAMAGPSANGYDPSVLCASDFPSRDDSPALRLYHATSNGYTDVKKSLSSSTKVDAPSSITNSSSSVGEDASIRYDSKPPLHSQRLPSPVPGTLRKYVGMLSHQNIYLPVTSLQETSATQIEGEVESGKEIVSNGFHVGSKVEEPAVSTANVDRSQDHQRQERIQDIMPTNSTHDKREESPVMVEDGEQHSTIDIISKEKTTNEDQADDALDTHSILILMSSQCITKQGDICKTFCRIRFCRIRLGSKVAMFRYSSVEIYTTCKPQTTLQFDNPCRQDWFKEERRYVLARGIKLFSEVASMLQPLKDQLLDVTTTNCTGSLPVKDFSELEELLIKEKAFFEDSLEKTINQNENLSASVHELVDINWSYQDLLLELYLWDRRLDQLTKCVSAGQERVVSCKDPFDTVVKNIRVNQEIENKADELTCDRTTSVLSAVGLTECPSNRNYIDHQSVDIEAPVLTENQGAGCAQFSSTGGRNDEDSYTAPCQLEVDSMAQTKEVPSFEISEVQGDGIVVHPISLDQEPSNAPNHFRKIPDWDTGEGWIWNSFHECQLAYRKDIQNEILDKFEIVNRYSPSHMSPLFEQHEEVSSPQFTVGPGGNILSVLEDEISSIIARALAVSGEHRHLVENETEGARLEHAKTMEKSYSFMSGSSLDSSPWSSIGSLDSEASFLSLGSSVSSDDLSGYDSLPLFSSIHPEVAVNGKVALRGKYSVTSIYAKQFQDLRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTVDDRFIIKQIKKTEFESFIKFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQTRHGKEIKIDLLVMENLLFGHNISRIYDLKGAIFSRHVAHSNDRNTVYLDQNYVEDMRVSPIYIGGRTKHLLQRAIWNDTSFLTSVNVMDYSLLVGVDKEKHELVFGIIDYLRQYTWDKQLETWVKSSLVVPKNVSPTVVSPKEYKKRFRKFMAKHFLTVPDTWSSENSSGPSKSFGHSNNMLVEVHSDDNLLQHPIEAETVV >ORUFI08G00400.1 pep chromosome:OR_W1943:8:255516:256460:-1 gene:ORUFI08G00400 transcript:ORUFI08G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFYSSIGQGVEALHRSLAVGEVGFMSAAFVQQAAALVRSVHAQLLEVVGRLHLPAGERWLDEYMDETSRLWDACLLVRAGASALHAYSSAAAHAIHHLYDHDDDYIHAARAINAPRRHAAGLLQDNRALLHDNILDPASLLLLDHRSPRDLNLNAFNGFRALLYALRNATSFLLAILLSATVSSCLPDHLISTCTPLPLPTAPGYASSMARLRHRVAQEMRALAAPAADGILMYEFRQARAAIDSLKADLDRVVATGTGYAHREDMAERAHLVKGCLAMLSSGAEAVIAELDDLFDDIVEGRKMLSDLCSHR >ORUFI08G00410.1 pep chromosome:OR_W1943:8:264284:266827:-1 gene:ORUFI08G00410 transcript:ORUFI08G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKMGDVATIRAVLAILQWWGFNVTKLEFKFPLTVSCVHFICSSIGAYIAIKILKMKPLIEVAPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFEWRIWASLVPIVGGIMLTSITELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILSVPAIVLEGSGVINWLYTYDSIVPALIIITTSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWMIFRNPISAMNAVGCAITLVGCTFYGYVRHLISQQSVNSSPRTPRSRMEMLPLVGDKQEKI >ORUFI08G00420.1 pep chromosome:OR_W1943:8:268680:271788:-1 gene:ORUFI08G00420 transcript:ORUFI08G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQNRPPPPRKRQPPPPEDHLVTYKRRRSKETQPLPLMANGANSKKDAKAQHWISWRDTLHGFLQSPAISQGGGIQTCIRHALQHNPCLLTNGVVVHTEFKGNPAHSQGEEAKVQHPNGAAGGKVVSADAAIQDAAAAASSEANKAMCNNALFDILVSQKFALLCHLLLGTFHVNKPGDVIDLEKIDAKMRNGDYAHNPALFDDDIQQMWEKFEQVGQEMTGLASNLSTISRVSYQKQASGFSEAEVAEHRIEEISLPGAVHVVTKESTTTVQLAPCDSSHSTIPKRTVPPGRDLCPCDGCGTKVDVEEGLICDECDTMYHFACVKLLNPDIKQVPAIWHCSTCSFKKKELAADTTNNVAHDCLHGGNCVLCDQLELVKTEEEDPKLPIKIELAEEREGSSVSSMGEDNEPDLSTTALSNLCKHCGTCEDDDKRFMVCGHPYCVYKFYHIRCLKTSQLAIEQQKKLGCWYCPSCLCRGCFQDKDDDQIVMCDGCDEGYHIYCMRPARNTIPKGKWYCTFCKIRRAAEGMHKYEDSVLKIHGNSKHACNVNQSKDSEGDGTEK >ORUFI08G00430.1 pep chromosome:OR_W1943:8:278122:293238:-1 gene:ORUFI08G00430 transcript:ORUFI08G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYYTLLLALLCPLLLLLIKLCRAKTRDDELFDKLPSPPGRLPVIGHLHLIGSLPYVSFRELAIKHGPDLMLLRLGTVPTLVVSSARAAQAILRTNDHVFASRTYSAVTDILFYGSSDVAFSPYGEYWRQVKKIATTHLLTNKKVRSYSRARQQEVRLVMARINEAAVARTTVDLSELLNWFTNDIVCHAVSGKFFREEGQNQMFWELIQANSLLLGGFNLEDYFPNLARVTTVRRLLCAKAHNVNKRWDQLLDKLIDDHATKRSSSVLDLDNEESDFIDVLLSIQHEYGLTRDNVKAILVIMFEGGTDTAYIELEYAMAELIRKPQLMAKLQAEVRSVVPRGQEIVTEEQLGRMPYLKAVIKEMLRLHLAGPLLVPYLSIAECDIEGYTIPSGTRVFVNAWALSRDPSFWENAEEFIPERFLNSIAPDYNGNNFHFLPFGSGRRICPGINFAIATIEIMLANLVYRFDWEIPADQAAKGGIDMTEAFGLTVHRRRSSSLFLGSHKIKYTMTRMSYSLLLPILCPLILLLLFRCYAYATRSGGMLDKLPSPPGRLPLIGHMHLIGFFPHMSLRDLATDLMLLHLGTVPTLVVSSSRMAQGATDVAFSPYGDYWRQIKKIVTTNLLTIKKIRSYSQTRQQEVRLVMAKIVEEAATHMAIDLTELLSCYSNNMKPQMIAKLQAEVRGVVSKGQDIVTEEHLGRMPYLKAVIKETLRLHPAAPLLAPHVSVVDCNVEGYTIPSGTRVIVNAWAIARDPSYWENAEEFMPERFLSNTMADYNGNNFNFLPFRTGRRICPGINFAITTIEIMLASLVYRFDWKLFTSRIDMTETFGATIHLKEKLFLEASTMPTMSCSDLLLAMMCPLILLLIIFRCYAYATRSGGMLSRVPSPPGRLPVIGHMHLISSLPHKSLRDLATKHGPDLMLLHLGAVPTLVVSSARTAQAILRTHDRVFASRPYNTIADILLYGATDVAFSPYGDYWRQIKKIVTMNLLTIKKVHSYGQTRQQEVRLVMAKIVEEAATHMAIDLTELLSCYSNNMVCHAVSGKFFREEGRNQLFKELIEINSSLLGGFNLEDYFPSLARLPVVRRLLCAKAYHVKRRWDQLLDQLIDDHASKRRSSMLDNNDEESDFIDVLLSIQQEYGLTKDNIKANLVVMFEAGTDTSYIELEYAMAELIQKPQLMAKLQAEVRGVVPKGQEIVTEEQLGRMPYLKAVIKETLRLHPAAPLLVPHVSMVDCNVEGYTIPSGTRVIVNAWAIARDPSYWENAEEFMPERFLSNTMAGYNGNNFNFLPFGTGRRICPGMNFAIAAIEVMLASLVYRFNWKLPIDQAANGGIDMTETFGITIHLKEKLLLNSRVAGISRAVDTSLCSGPGRPDRRPTIGFWQIVADGRISIPKLSPHRIDPPTLPISVPDLAGNRLAAPHPAAYRLERRRRRVSAVVSRLAPDALLILNLLRCHALPLAAGASTAPVLPLAAMRRRPPCAGMGRREEGIHWKEKSVRCRIFPAGPSLPPAAPPSSPRRLLLRLLALSRWRSGEMG >ORUFI08G00440.1 pep chromosome:OR_W1943:8:278214:279569:1 gene:ORUFI08G00440 transcript:ORUFI08G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRTDFSFQCIPSSLLPIPAHGGRRRMAAKGSTGAVEAPAARGSAWQRRRLRMSKASGARRLTTADTEVVRAEFMQRRTGKEVLCFLIVV >ORUFI08G00450.1 pep chromosome:OR_W1943:8:297012:298796:-1 gene:ORUFI08G00450 transcript:ORUFI08G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPLPFVLIMIIILLLLVLLHYYLSASTRRSSAASKSNDDVLPPSPPRLPVIGHMHLVGSNPHVSLRDLAEKHAADGFMLLQLGQVRNLVVSSPRAAEAVLRAHDHVFASRPRSAIADILAYGSSNISFSPYGDYWRKARKLVAAHLLSPKKVQSLRRGREEEVGIAVAKLHEAAAAGAAVDMRELLGSFTNDVLCRAVCGKSSFRREGRNKLFMELAAGNADQYAGFNLEDYFPSLAKVDLLRRVVSADTKKLKEKWDSVLGDIVSEHEKKSSLRRDDQVQMDDDRDDDQEEQESDFVDILLDRQQEYNLTRHNIHAILMDMFAAGTDTSYIALEFAMSELIRKPHLMTKLQDEVRKNTTTQMVSEDDLNNMPYLKAVVKETLRLHPPVPLLLPRLSMAQCNANGYTIPANTRVIINVWALGRDAKCWENSEEFMPERFMDSGDTIDNVDFKGTDFQFLPFGAGRRICPGMNFGMASVELMLSNLMYCFDWELPVGMDKDDVDMTDQFALTMARKEKLYLIPRSHVIKIT >ORUFI08G00460.1 pep chromosome:OR_W1943:8:303244:304960:1 gene:ORUFI08G00460 transcript:ORUFI08G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNNTEPLTASRAQAAAVFLLLPVALLLLLLRFARATTMAGDRNSELLLSKLPSPPLRLPVIGHMHLVGSLPHVSLRDLAAKHGRDGLMLVHLGSVPTLVVSSPRAAEAVLRTHDLAFASRPRAMVPDIITYGATDSCYGPYGDHFRKVRKAVTVHLLNSHKVQAYRPAREEEVRLVIAKLRGAAAMAGAPVDMTELLHSFANDLICRAVSGKFFREEGRNKLFRELIDTNASLLGGFNLEDYFPSLARTKLLSKVICVRAMRVRRRWDQLLDKLIDDHATRLVRRHDHDQQQDSDFIDILLYHQEEYGFTRDNIKAILVDMFEAGTDTSYLVLESAMVELMRKPHLLAKLKDEVRRVIPKGQEVVNEDNIVDMVYLKAVIKETLRLHPPAPLYIPHLSREDCSISGYMIPTGIRVFVNAWALGRDAKFWDMPDEFLPERFMDSNIDFKGHDFHYLPFGSGRRMCPGIHSATVTLEIMLANLMYCFNWKLPAGVKEEDIDMTEVFGLTVHRKEKLFLVPQAA >ORUFI08G00470.1 pep chromosome:OR_W1943:8:314078:316403:1 gene:ORUFI08G00470 transcript:ORUFI08G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELILQLEAKTAAQAVVTVFFFFLLPLALLFYFARAAISSRDSKTRELILSKLPSPPFKLPVIGHMHLIGPLPYVSLRDLAAKHGRDGLMLVRLGSVPTLVVSSPRAAEAVLRTHDLAFASRPRSMVTDIIMYGALDSCFAPYSDHFRSVKKVVTVHLLNSKRVQAYRHVREEEVRLVMARLRGAAAAAAAVDLSQTLQFFANDLICRAVSGKFLCEQGRNKVFRDLMEANSNLLGGFNLEAYFPGLARMPLISKLICARAIRIRRRWDQLLDMLIDDHVASARDRAKNDDDDFIHDMFEAGTDTSHLVLEYAMVELTRKPHILTKLQDEVRRITPKGQHMVTEDDIVGMVYLKAVIKETLRLHAPGGFTIPHLAREDCNVDGYMIPAGTRVLINLWALSRDANYWDKPDEFLPERFMDGSNKNTDFKGQDFQFLPFGSGRRMCPGIHSGKVTLEIMLANLVYCFNWKLPSGMKKEDIDMTDVFGLAIHRKEKLFLVPQIANY >ORUFI08G00480.1 pep chromosome:OR_W1943:8:317330:318004:-1 gene:ORUFI08G00480 transcript:ORUFI08G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAVVRQRPTVGKQAGEGESAAAAGDGGGGRPPAARRPSLLSQALASTASLANLLPTGTVMAFQLLAPTFTNNGACDATTSLLTAALLALLALSCVLASFTDSVRGPDGRVYYGLATPRGLWLLDYPPAGAGAPPQPDTSRYRMRAIDGVHALLSVGVFGVVAARDKNVVGCFWPSPAKGTEEVLGIVPLGVGVMCSLLFVVFPTTRHGIGYPVTNNTTSSS >ORUFI08G00490.1 pep chromosome:OR_W1943:8:324123:324791:1 gene:ORUFI08G00490 transcript:ORUFI08G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAPEQKQRQEADDDDFTFPTPPQPHLFATTRHLPCSPSPSSSPPVWLLSSPIRRSFSAADCSGSPWRNARVVLRRRHATGGGGGGACSPALSDYAAGFCDGAEEEEEEEEEEEERMDSLWEDLNDDDAAGKKGGDMFLASLDVSRRRSVGGAGLAEKREGGGGAAVLGLGASRSSRRRAPGLVAMMRSLKRMLVAHKGKSKVHKSDDHTTASSCSNSGKK >ORUFI08G00500.1 pep chromosome:OR_W1943:8:327149:327928:1 gene:ORUFI08G00500 transcript:ORUFI08G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIVVTASKGAMNPLLAKLATLMGDEYKKLKGVRKEIVFLNSELSTMNALLEELADRDEIGGTISGRWSPILRTASMTSCTAQYQIIKEPSQVHADNCVPTSVVPIDPRLSALYTETSSLVGIHDPKANLIKWLMGDEQERNVVSVVGLGGLGKTTLVKEVYRDIGGKFDCKAFVSVSQRPDMTALLISIILQIGRQKSSHSCSMKDLIDSLRESLQHKRYLALI >ORUFI08G00510.1 pep chromosome:OR_W1943:8:338354:342884:1 gene:ORUFI08G00510 transcript:ORUFI08G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSASMGVMNPLMAKLTTLMGDEYKKLKGLRKQVSFLKDELTTMSAFLEKLALMDDDDDGELDPLAKDWRNHVREMAYDMEDCIDDYFTSHLDHRYSSSDAGLIRKIARRLRALRVRHRIASQINELKARVVEANERRVRYRLDDCNNKHGVSANPAIDPRITSLYQNAGSLVGIDGPSQELIQLLSLDRDTDQRQLKVVSVVGFGGLGKTTLAKYVYDKIGHQFDCTAFVSVSHKPDITRILSSIQSKLDIGGTSQACDDVQQLIDDIRAYLEHERYIIIVDDLWKQEAWDIISCAFPNNGKGSRVIVTTRVKDVARLACGKDGQIYKIQPLNNKDSRKLFFDRVFRPEDSCVLQYEEISTEILKKCSGLPLAIVTVGSLLACRPRTMEEWKSIRDSLGAPFDKNKSLEGMRNILNLSYKNLPLHLKTCLLYIGKYPEDYEIGRDELVTEWIAEGIMGNPHGENLEATGNGYFSELINRGLIQPESTGYGGEVLSCKVHDMMLDLILIKCAEDNFVSVAHSCKDYMRMAMHHERSCNKVRRLSLQCKAARSDCAIEGSVISTSMARARSVSVFGECSRGLPFLMLSKYIRVVHIELEGHGGQVDLTAISHVLQLRYLRVETPGCEIDLPSKICGLVHLETLSIFSHKAVSRLPSDISSLPRLSVLSLVVPWATRLPNKLNKLKGSLRSLTILFNPPDALGMEAIGELKNLRDLNISVNRWRDDEILSLYALGSSIGKLDELRSLQIHVPPATLGDVDLLGSLPIFPQSIERLILHGWCFSKVPRWINGTLRNLQHVLLEVSETSSSEVDLLGELPSLADLELRVGLKTRDVIAFGGTRASLFPALLKLKLRVGEHVASRLQFQAGVMPKLQSLHLWFRNCESGIHVTPEGMQHLLSLQSICVEIYLRDEELKATYPWDAMERAFREITGANPNRPSFKFVKQV >ORUFI08G00520.1 pep chromosome:OR_W1943:8:345702:346460:1 gene:ORUFI08G00520 transcript:ORUFI08G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLRPAASGGANRIRFPSIISSFRRGLSSHIDAHDRANKEAIRDGLSRRRLGINKFTDGKISELQANKAWAGVR >ORUFI08G00530.1 pep chromosome:OR_W1943:8:348688:349701:-1 gene:ORUFI08G00530 transcript:ORUFI08G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECEPEELQFLGMVGIYREAASILRAHRPLFARIAAAFVLPLSLLFLLHIAISHALFSHIDSDDSALDSAAPGTPAQRRLLHRLADDWLALLLFKAAYLLALLLFSLLSTAAAVFSVASVYSAKHDALSFPRVLSVVPRVWRRLAATFLAAFLLLFAYHLLFVAVFVALLVAADSGSGLAALLAFLLALAYIAGLVYLSVVWHLASVVSVLEDYKGFEAMRKSKALIQGKLWTASAIFFVLNVVFIVVEVAFRAWVVRGATHGLGAGSRLLLGLAMLAALCAVVMLALVVQTVVYLVCKSYHHESIDKSNLSDHLEVYLGEYVPLKASDVQMEQFNL >ORUFI08G00540.1 pep chromosome:OR_W1943:8:353154:356946:1 gene:ORUFI08G00540 transcript:ORUFI08G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHATAHQPTPSPRPRLLPVAAAALLLASSYLALTRLPAAAPLAALVAPATARVDGDRDRDSCAGFYRGGSSGRRAASASVEEFGAVGDGVTSNTAAFRRAVAALEARAGGGGARLEVPPGRWVTGSFNLTSRFTLFLHHGAIILGSQDPEEWPLIAPLPSYGRGRERLGPRHISLIHGEGLDDVVITGNNGTIDGQGRIWWDLWWNRTLNHTRGHLIELVDSTNIMISNITLRNSPFWTVHPVYCRNVVIRNLTVLAPLNAPNTDGIDPDSSSEVCIEDCYIESGDDLVAVKSGWDQYGISVGKPSSNIIIQRVSGTTPTCSGVGFGSEMSGGISNVIIRDLHVWNSAQAVRIKTDVGRGGYITNITIENVRMEKVKVPIRFSRGADDHSDDKYDRSALPKISDVRIRDVVGVDLQRAPMLEAVHGAVYEGICFRNVSLTVIKRQDRWHCESVYGEAHDVLPAPCEEFRRNGSSSWCGHS >ORUFI08G00550.1 pep chromosome:OR_W1943:8:357863:363028:-1 gene:ORUFI08G00550 transcript:ORUFI08G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKPILHGQVTQSLLLLSLGSSQRHDIRTARPTPNATRSRRDQGNAQPYPPPPPRGPGTTWVPQVSGTKYQAARGVEEATAKQLRPRPDRWGPPVREREAAGYFKANPPLLRYSRVVAASQNFRRLLSSPPPPRHSSPPPPGSGGAMGSTRTPSIAIPVDSDASPRKNTPETVTSPLVRGYSALGSPLRREVGNRHNQALLSGLAYCISSCSMILVNKYILSGYGFSAGIFLMLYQNIVSVTIVSTLSLSGVIPTEPLTWKLIKVWLPVNIIFVGMLITSMFSLKYINVAMLTILKNVANVLTASGETYFFKKQHDRQVWISLTLMLTLRHVMDSAKQATKSGNLNELSMVLLNNILSVPLGIILVLGFNEVEYLFETPLLRMPMFWIVITASGVLGLAISFTSMWFLHQSSATTYSLVGSLNKIPLSIAGILLFKVRTSMENSFSILFGLLAGVFFARAKLLNNSQS >ORUFI08G00550.2 pep chromosome:OR_W1943:8:357863:362269:-1 gene:ORUFI08G00550 transcript:ORUFI08G00550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTPSIAIPVDSDASPRKNTPETVTSPLVRGYSALGSPLRREVGNRHAEKDRDRPSHFLSLPKIQNQALLSGLAYCISSCSMILVNKYILSGYGFSAGIFLMLYQNIVSVTIVSTLSLSGVIPTEPLTWKLIKVWLPVNIIFVGMLITSMFSLKYINVAMLTILKNVANVLTASGETYFFKKQHDRQVWISLTLMLTLRHVMDSAKQATKSGNLNELSMVLLNNILSVPLGIILVLGFNEVEYLFETPLLRMPMFWIVITASGVLGLAISFTSMWFLHQSSATTYSLVGSLNKIPLSIAGILLFKVRTSMENSFSILFGLLAGVFFARAKLLNNSQS >ORUFI08G00550.3 pep chromosome:OR_W1943:8:357863:363028:-1 gene:ORUFI08G00550 transcript:ORUFI08G00550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKPILHGQVTQSLLLLSLGSSQRHDIRTARPTPNATRSRRDQGNAQPYPPPPPRGPGTTWVPQVSGTKYQAARGVEEATAKQLRPRPDRWGPPVREREAAGYFKANPPLLRYSRVVAASQNFRRLLSSPPPPRHSSPPPPGSGGAMGSTRLPAPVVAALTSAAAAAPFEDEEPFLWIQMPLLGKIRQRLSITRSFCIDNTDLEDGKAEKDRDRPSHFLSLPKIQNQALLSGLAYCISSCSMILVNKYILSGYGFSAGIFLMLYQNIVSVTIVSTLSLSGVIPTEPLTWKLIKVWLPVNIIFVGMLITSMFSLKYINVAMLTILKNVANVLTASGETYFFKKQHDRQVWISLTLMLTLRHVMDSAKQATKSGNLNELSMVLLNNILSVPLGIILVLGFNEVEYLFETPLLRMPMFWIVITASGVLGLAISFTSMWFLHQSSATTYSLVGSLNKIPLSIAGILLFKVRTSMENSFSILFGLLAGVFFARAKLLNNSQS >ORUFI08G00560.1 pep chromosome:OR_W1943:8:363676:367125:1 gene:ORUFI08G00560 transcript:ORUFI08G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFTVLSAWEKKRKKEKEKEKLSWRISSQREADVGGPFTRASHPAHEPAQLGGRPDTIQLLVSSSPLVSCRVVFSNPSKPKPRRREEAARARVWGGGGEMSRTLVQPVGQKRLTNVAVVRLRKHGQRFEIACFPNKVLSWRTRVEKDIDEVLQSHTVYSNVSKGVLAKSKDLLKAFSTDDHTAICLEILDKGELQVSGKEREAQLSSQFHEIATIVMDKTINPETRRPYTITMIERLMHDVHFAVDPNLTSKEQALKVIKKLTEHFPIKRAPLRVRFTAPKSKFASLTEKLEEWNANVISKDESGSQPSVVCEIEPSILRSCEERLKDVQGRVEVLSVSAHAEGGSSVDQYENTEESQSVPAVEIDPVARIGEAMQKQSISSEPENPGQGQGKQQRRCKECDVLVEDKLYREHCKSGWHKHNYTRHKNGLPPLSQEECLVEMELADSKRDLKDYDF >ORUFI08G00570.1 pep chromosome:OR_W1943:8:367584:369981:1 gene:ORUFI08G00570 transcript:ORUFI08G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIELPRGLPFAVDTWTAASAVKRHRFLTHAHRDHIAGITATNVAAVYASRLTILIACHIFPQLDRADAFVEVELGAPVLVPDPDGDFTVTAFDANHCPGAVMFLFEGASFGRVLHTGDCRLTPDFRFLAADYVFLDCTFAACSLHFPSKDDSIRQVINCIWKHPNAPVVYLVSDMLGQEEILMEVSKVFGSKIYVDRDKNSECYHTLSLVAPEILTDDSSSRFQVIGFPRLSERATEMLALARAKHQPEPLIIRASSQWYAYYEPPEGSTKRKPALTEPMRDEFGVWHVCFSMHSSREELEQALRFIQPKWVISTTPPCMAIELSYVKKHCFASRLRNDDPLWKLLRLSDGNSAVSGSPLAVPTVEMIKKCEEEEVTCSVKDSFSSDGIRMRDQEPTLEDFEINVEPPVTLFGIARFGLTEEPELWKDEHESVEIDELKVQMENSATECEQWKDGISVLGSEVIDSVEIVAKEQDSAIESEQLKDCEPKDGTKATDVTEVEVNEHTSCTESVLWKMDRSDECVTTKRGEFEAEEQKFTGRYQFWKICKPKEMEGAKLTVQGKNTVEALDQVLAIDRLAYLHDNNQEVTKEGIAPSETDQENSKVPDKGSSDSSNAIGSSKGLNANLRKLYRSMNVPVPRPLPSLVELMAASKRPRVSPAVQL >ORUFI08G00580.1 pep chromosome:OR_W1943:8:370244:372640:-1 gene:ORUFI08G00580 transcript:ORUFI08G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVLSAPDGTKVSRFNLMKLQGRQEAAAAGTDSHHAHHAFDELLHRPTTSSIVDLNRALSDAARHSPAVAISLFRRMVMVARPKVPPNLITYSVVIDCCSRVGHLDLAFAALGRVIRSGWTAEAITFSPLLKALCDKKRTSEAMDIALRRMPVLGCTPNVFSYTILLKGLCDENRSQQALHLLHTMMVADDTRGGYPPDVVSYNTVINGLLREGRQLDTAYHLFDQMLDQGLSPDVVTYNSIISALSKARAMDKAAVVLVRMVKNGAMPNRITHNSLLHGYCSSGKPNDAIGVFKRMCRDGVEPDVFTYNTLMGYLCKNGRSMEARKIFDSMVKRGHKPNSATYGTLLHGYATEGSLVKMHHLLDMMVRNGIQPDHYIFNILIGTYTKHGKVDDAMLLFSKMRRQGLNPDTVTYGIVMDALCMVGKVDDAMAQFGRLISEGLTPDAVVFRNLIHGLCARDKWDKAEELAVEMIGRGICPNNIFFNTLLNHLCKEGMVARAKNIFDLMVRVDVQRDVITYNTLIDGYCLHGKVDEAAKLLEGMVLDGVKPNEVTYNTMINGYCKNGRIEDAFSLFRQMASKGVNPGIVTYSTILQGLFQARRTAAAKELYLWMIKSGIKFDIGTYNIILLGLCQNNCTDDALRIFQNLYLIDFHLENRTFNIMIDALLKGGRHDEAKDLFASLLARGLVPNVVTYWLMMKSLIEQGLLEELDDLFLSLEKNGCTANSRMLNALVGKLLQKGEVRKAGVYLSKIDENNFSLEASTAESLVLLVSSGKYDQHINAIPEKYRPVVKTRAV >ORUFI08G00590.1 pep chromosome:OR_W1943:8:376746:378620:-1 gene:ORUFI08G00590 transcript:ORUFI08G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGGGSALGRAGASSSKRTKQGGRGGAKRTKQGGRQRATEPGDAHQVFDRLLKRGDRASIFDLNRALSDVARASPAVAISLFNRMPRAGATSAAPNIATYGIVIGCCRRLGRLDLAFATVGRVITTGLRMSPILFSPLLKGLCDRRRTSDAMDIVLRRMPELGCKPDLFSYTILLKGLCDDKTSQQALDLLHIMADHKGRCPLDVVAYTTVINGLLREGQLDKAYSLFDAMLDRGPSPDVVTYSSIISALSKTQAMDKATQVFTRMVKNGVMPDCIMYTSLVHGYCSSGKPKEAIGIFKKMCRHGVEPDVVTYTALMDYLCKNGKSTEARKIFDSLVKRGHKPDSTTYGTLLHGYATEGALVEMHDLLDLMMKKGMQLGHHIFNMIMGAYAKHNKVDEALLVFSNMRQQGLNPDIVNYGTVLDILCTAGRVDDALSQFNSLKSEGLAPNIVVFTTLIHGLCTCDKWDKVEELAFEMIDRGICLDTIFFNAIMGNLCKKGRVIEAKNLFDLMVRIGIEPNTNTYNTLIDGYCLDGKMDEAMKLLGVMVFNGVKPSDVTYNTIINGYSQNGRIEDGLTLLREMDGKGVNPGIVTYEMLLQGLFQAGRTVAAKELYLRMIKWDTV >ORUFI08G00600.1 pep chromosome:OR_W1943:8:378794:382909:1 gene:ORUFI08G00600 transcript:ORUFI08G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGDDGGGGGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKKDTMIRKEVFVSPSVLREATRIIHESEIMKEDDSNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQTSKDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQS >ORUFI08G00600.2 pep chromosome:OR_W1943:8:378794:382674:1 gene:ORUFI08G00600 transcript:ORUFI08G00600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGDDGGGGGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKKDTMIRKEVFVSPSVLREATRIIHESEIMKEDDSNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQTSKDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQS >ORUFI08G00610.1 pep chromosome:OR_W1943:8:383828:384481:-1 gene:ORUFI08G00610 transcript:ORUFI08G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLLALLMPATLCSRSGPPSSKHGHGGHAKRAPPPASPVVPVAPQAAALVRATCNSTAYYDVCVSALAADPSSTTADVRGLSAIAVSVAAANASGAAQAAAALANGTAPLAAAAAGDGTVQALLRACAGKYGDARDALAAAKESMGQQDYDLATVHVSAGAEYPQVCKALFRRQRPGAYPAELAAREEALNKLCSVALDIIALLTSSPASNNNNS >ORUFI08G00620.1 pep chromosome:OR_W1943:8:389096:394639:1 gene:ORUFI08G00620 transcript:ORUFI08G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGTVQINWHEQQPVLTLDFHPVSRRLATGGSDHDIKIWVIASDDSDKKLPTATYHSSLSSHSSAVNVLRFSPSGENLASGADGGGIIIWKLHSTDDGEAWKVQKTLLFHHKDVLDLQWSQDGAFLVSASVDNSCIVWDAIKGSVQQKLEGHLHYVQGVAWDPLGQYIASLSSDRTCRIYANKPQGKSKNTDRMNFVCQHTLVKAEHQNHDESKPPVRAHLFHDETLPSFFRRLAWSPDGSFLVLPAGLCKYSSEVINTAYVMSRRDLSRPAIQLPGASKAIVAVRFCPVLFKLRGSQSDCFFKLPYRVIFAVATLNSLYVYDTESVAPILIHAGLHYAAITDIAWSSDAKYLAVSSRDCFCTIIEFENEELGLPYNLSGTKELDEGNTNCENMKPLKVDSMEIDAGSSKAKIKASSAAVEVTPSPPVLAQNNILMTKDVAEGNATSENDRPSAVDNMEVDVGENKAKMEVTPVAVQVTAPPVSTKNSASSKPTKKRITPIAIN >ORUFI08G00630.1 pep chromosome:OR_W1943:8:394231:394938:-1 gene:ORUFI08G00630 transcript:ORUFI08G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPRRAPPPPATPPPPPRRAPPPPSPPIRPPPPPTPRPYAPPPPSHPLAPPPPHISPPAPVPPPPSPPPHIVIIVVFVSFGGLLLLACLAALFCWHKKRRETERKAEVHNLSGHVHVHKATESGPGGAKATVLSIDEDLKFQEVAGESSSAAGAGSHHTPWSWHRRQQEGKAENKAELINVTEHIHVDEKIVSGPQGQKIEILSEDEDIRFEEEGRKEKGDQRSKTRITKT >ORUFI08G00640.1 pep chromosome:OR_W1943:8:394941:395240:1 gene:ORUFI08G00640 transcript:ORUFI08G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGHVVGVAVAGRVEGEHGVGAVGLEKAVAVHDRVVVERGLEVTGVGWAGQVVAGNAAGVAGLEGAVASEHWGLGEHKGWDWEGRRERGSRSQESQG >ORUFI08G00650.1 pep chromosome:OR_W1943:8:413298:414218:-1 gene:ORUFI08G00650 transcript:ORUFI08G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMTHNSSSSSSWDLDMSLGSHHHPLLFDQPPPPPPPPPPPPLPFHLHHHPLDPSPSSSLFPPPPHHHHHAHHLHHPLDLDQRRGHHDYGGGDQGGDEELRLQQEAAAGGGGGGQDGGGGGDQDADEELGAMKEMMYRIAAMQPVDIDPATIKKPRRRNVRISDDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIRYIKFLKRQVQELQHQPGPPPPPYPAGAAPAAGPSTSAVGPPGRPFLPLGGGGPMIDWVGLTRPVDIHGPTSSSSSSSMGGALGFGFGCGGGGQSSHGMH >ORUFI08G00660.1 pep chromosome:OR_W1943:8:428958:430760:-1 gene:ORUFI08G00660 transcript:ORUFI08G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRREEMMEKGKSELRIAMEELSLPCPGDDEDEQQQRQKRSSTMDLLCVSKHIIHVLDEIGPTLLVLRQDIQQNVQRLQDVLARDPSKYSSLTAIVTEEVEEGTSKKANSCTRAILWLASAVLRILPIRSINFSKHLLEGLLNTCDQSSLREIVEKAYITTLKPWHGWISSAAYRVAQKLIPEKEIFIALLMGNCQEFEVFAKDVKVLLSIVQPLIEEANAVLVKHNLDKLKST >ORUFI08G00670.1 pep chromosome:OR_W1943:8:438268:443465:1 gene:ORUFI08G00670 transcript:ORUFI08G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMVKAATSEKLKEMDWAKNIEICELVAQDPGKAKDVIKPIKKYLGSRSKNTQLYAVMLLEMLMNNCGEPIHKQVIDNGLLPILVKIVKKKTELPVREKIFLLLDATQTSLGGVKGKFPQYYGAYYELVSAGVQFSNRPNVVVTQAQAPVPVPEPTIEPNNNSLSRRLDEGQKEVHAQPVSESSIIRKASSVMEVLKDVLDSMDPRHPEGATDEFVLDLVEQCTFQKQRIMHLVMTSRDEVVVSQAIELNEELHKVLVRHDALLSVQPTTTVASTLKEEEEEDAESLYRRLRKGKALSEDYTDDSIPSFRSIPEDKMRRPLTIEPSNTDKKLGALNIRSPYPEARPDVLIPPPPAKHAERERFFREKSMDANLLGHLRGLSLHSRDGSSSCSGSTDYGD >ORUFI08G00680.1 pep chromosome:OR_W1943:8:443947:451625:1 gene:ORUFI08G00680 transcript:ORUFI08G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEADDPTAAETLEKFRLYETRARFYLIGTSREKRWFQVLKIDRSERSELNISEDPVWYSQQEVKNLLQRISEGNRSTGGLTFVTKAYGIAGCIKFLESYYLILVTKRRQIGCICGHPIYCIDESQMITIPHSSVQTDVATSKNELRYKKLLASVDLTKDFFYSYTYPIMQSLQQNVTSAGMKEMPYENLFVWNTFLTQPIRSRCRNTLWTVALVHGHFKQVKLSIFGRELNVVLISRRSRHFAGTRYLKRGVNDHGKVANDVETEQIVFEEEAGSWKGRMSAVVQMRGSIPLFWSQEAGRLSPKPDIIVQRYDPTYEATKLHFDDVAQRYGHPIIILNLTKTFEKRPREMMLRREYFNAVGYLNQNVPEEKKLRFIHWDFHKFAKSKSANVLGVLGGVASEALDLTGFYYSGKPKVQKKRSTQLSRTTTARDGSIDIRASSGDLSRLSNIRKDDSKQELLGDGPCYQTGVLRTNCMDCLDRTNVAQYAYGLAALGRQLHAMGATNVFPERQGKWKATTQSREFLKSIRRYYSNAYTDGEKQDAINLFLGYFQPQDGKPALWELDSDYYLHVTTYGDDLISDSYHMTSSTGNSAPGESGAALSPGATLSPVPACKEDFSRMKLTSFDKLIERTCSSIRNVRLHCDADLKQSGTVGTSGMAPDAVEIQLRTPNWLFGQRKHAETVPTVKVIPVENANEGNGEDTNVSLCGELNWLSYLAFTTADVENGWYGGTLIYDQDENSGAYKHYSEFCQGSVMDPLEHDPEKEMHYAEALSVDIDITDDATVEAEMQAALDDYQIIGSDLSIIPSCGSLAEDPSQLTRWIIGDDKLQVAQ >ORUFI08G00680.2 pep chromosome:OR_W1943:8:443947:451625:1 gene:ORUFI08G00680 transcript:ORUFI08G00680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEADDPTAAETLEKFRLYETRARFYLIGTSREKRWFQVLKIDRSERSELNISEDPVWYSQQEVKNLLQRISEGNRSTGGLTFVTKAYGIAGCIKFLESYYLILVTKRRQIGCICGHPIYCIDESQMITIPHSSVQTDVATSKNELRYKKLLASVDLTKDFFYSYTYPIMQSLQQNVTSAGMKEMPYENLFVWNTFLTQPIRSRCRNTLWTVALVHGHFKQVKLSIFGRELNVVLISRRSRHFAGTRYLKRGVNDHGKVANDVETEQIVFEEEAGSWKGRMSAVVQMRGSIPLFWSQEAGRLSPKPDIIVQRYDPTYEATKLHFDDVAQRYGHPIIILNLTKTFEKRPREMMLRREYFNAVGYLNQNVPEEKKLRFIHWDFHKFAKSKSANVLGVLGGVASEALDLTGFYYSGKPKVQKKRSTQLSRTTTARKDDSKQELLGDGPCYQTGVLRTNCMDCLDRTNVAQYAYGLAALGRQLHAMGATNVFPERQGKWKATTQSREFLKSIRRYYSNAYTDGEKQDAINLFLGYFQPQDGKPALWELDSDYYLHVTTYGDDLISDSYHMTSSTGNSAPGESGAALSPGATLSPVPACKEDFSRMKLTSFDKLIERTCSSIRNVRLHCDADLKQSGTVGTSGMAPDAVEIQLRTPNWLFGQRKHAETVPTVKVIPVENANEGNGEDTNVSLCGELNWLSYLAFTTADVENGWYGGTLIYDQDENSGAYKHYSEFCQGSVMDPLEHDPEKEMHYAEALSVDIDITDDATVEAEMQAALDDYQIIGSDLSIIPSCGSLAEDPSQLTRWIIGDDKLQVAQ >ORUFI08G00680.3 pep chromosome:OR_W1943:8:443947:451625:1 gene:ORUFI08G00680 transcript:ORUFI08G00680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEADDPTAAETLEKFRLYETRARFYLIGTSREKRWFQVLKIDRSERSELNISEDPVWYSQQEVKNLLQRISEGNRSTGGLTFVTKAYGIAGCIKFLESYYLILVTKRRQIGCICGHPIYCIDESQMITIPHSSVQTDVATSKNELRYKKLLASVDLTKDFFYSYTYPIMQSLQQNVTSAGMKEMPYENLFVWNTFLTQPIRSRCRNTLWTVALVHGHFKQVKLSIFGRELNVVLISRRSRHFAGTRYLKRGVNDHGKVANDVETEQIVFEEEAGSWKGRMSAVVQMRGSIPLFWSQEAGRLSPKPDIIVQRYDPTYEATKLHFDDVAQRYGHPIIILNLTKTFEKRPREMMLRREYFNAVGYLNQNVPEEKKLRFIHWDFHKFAKSKSANVLGVLGGVASEALDLTGFYYSGKPKVQKKRSTQLSRTTTARKDDSKQELLGDGPCYQTGVLRTNCMDCLDRTNVAQYAYGLAALGRQLHAMGATNVFPERQGKWKATTQSREFLKSIRRYYSNAYTDGEKQDAINLFLGYFQPQDGKPALWELDSDYYLHVTTYGDDLISDSYHMTAPGESGAALSPGATLSPVPACKEDFSRMKLTSFDKLIERTCSSIRNVRLHCDADLKQSGTVGTSGMAPDAVEIQLRTPNWLFGQRKHAETVPTVKVIPVENANEGNGEDTNVSLCGELNWLSYLAFTTADVENGWYGGTLIYDQDENSGAYKHYSEFCQGSVMDPLEHDPEKEMHYAEALSVDIDITDDATVEAEMQAALDDYQIIGSDLSIIPSCGSLAEDPSQLTRWIIGDDKLQVAQ >ORUFI08G00690.1 pep chromosome:OR_W1943:8:451830:454873:1 gene:ORUFI08G00690 transcript:ORUFI08G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITSASRRAGAAALPILRRIGGAFRRPFSSEPDPASSPGYHVAGGPSFMRGAVFWEPGRPLTLEDFRMPRPKAGELLIKTKACGVCHSDLHVLKGELPFSSPCVVGHEITGEVVDHGTHTPAEIINRFPVGSHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGQTRLFLRSNGKPVYMYSMGGLAEYCVVPANALAVLPNSLPYTESAILGCAVFTAYGALRHAAEMRAGDSVAVIGVGGVGSSCLQIAKAFGASEVIAVDVLDEKLQNARTLGATHTVNAAKEDAVEKIKEITDGRGVDVAVEALGKALTFSQCAKSVRDGGKAVMIGLAATNVMGEVDITRLVRRQVKIIGSYGARARQDLPQIVKLAESGAFNLKNTISRKCKFEEANSAYEDLDRGKIVGRAVVEIMS >ORUFI08G00700.1 pep chromosome:OR_W1943:8:455275:458089:-1 gene:ORUFI08G00700 transcript:ORUFI08G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMAATATLSPPVLSAERPTVRGGLFLPPSPATSRSLRLQSARRCGISPATRKPRSLPRAAKVVVAVKADPLKVMIAGAPASGKGTQCELIKSKYGLVHISAGDLLRAEIAAGSENGKRAKEFMEKGQLVPDEIVVNMVKERLLQPDAQEKGWLLDGYPRSYSQAMALETLNIRPDIFILLDVPDELLVERVVGRRLDPVTGKIYHLKYSPPENEEIASRLTQRFDDTEEKVKLRLQTHYQNVESLLSIYEDVIVEVKGDALVDDVFAEIDKQLTSSLDKKTEMVASA >ORUFI08G00710.1 pep chromosome:OR_W1943:8:461517:466957:1 gene:ORUFI08G00710 transcript:ORUFI08G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSVAPRLKQERQDDCKFQEGDVNSLELRLGISSDNDQISGGGAASPWLGVGVHPWSLAARQGKAALEQAHQRPNECAVQRENRAASSAQLVGWPPVRAFRKNLSTPKPADADDLLNKVKLCSDEGHGSRCAAQERRSSSTMFVKVNLEGYAVGRKIDLKAHRSYDSLSQALQSMFHGFLSDGIATRDNELQRMEEGSKKRYVLVYEDNEGDRMLVGDVPWELFIASVKRLYIAQDPRVHAKLR >ORUFI08G00710.2 pep chromosome:OR_W1943:8:463420:466957:1 gene:ORUFI08G00710 transcript:ORUFI08G00710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSVAPRLKQERQDDCKFQEGDVNSLELRLGISSDNDQISGGGAASPWLGVGVHPWSLAARQGKAALEQAHQRPNECAVQRENRAASSAQLVGWPPVRAFRKNLSTPKPADADDLLNKVKLCSDEGHGSRCAAQERRSSSTMFVKVNLEGYAVGRKIDLKAHRSYDSLSQALQSMFHGFLSDGIATRDNELQRMEEGSKKRYVLVYEDNEGDRMLVGDVPWELFIASVKRLYIAQDPRVHAKLR >ORUFI08G00710.3 pep chromosome:OR_W1943:8:464524:466957:1 gene:ORUFI08G00710 transcript:ORUFI08G00710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSVAPRLKQERQDDCKFQEGDVNSLELRLGISSDNDQISGGGAASPWLGVGVHPWSLAARQGKAALEQAHQRPNECAVQRENRAASSAQLVGWPPVRAFRKNLSTPKPADADDLLNKVKLCSDEGHGSRCAAQERRSSSTMFVKVNLEGYAVGRKIDLKAHRSYDSLSQALQSMFHGFLSDGIATRDNELQRMEEGSKKRYVLVYEDNEGDRMLVGDVPWELFIASVKRLYIAQDPRVHAKLR >ORUFI08G00720.1 pep chromosome:OR_W1943:8:469570:478763:1 gene:ORUFI08G00720 transcript:ORUFI08G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRKMGKKGKLKASITADASPMSPSSPSTVDASSKSPSSPSTEENGTSPAHHQADNAPVIAPPPVPEPAPPEPEKPAVSTDVQNAKPKVYSRVRLKFKSAKVLETHQGPSEAKAPVDGGGGKPASAAPEASKEVAEKAIVSPDGQKDAQAAELSGSDKDKMARKVASINIKSVGLSSVEDKNQDRKADSVSEPLPSKQETVLENVESETALEPRSSQELEVKQATPERQRDDRELTAALEAIKKVMKMDAAEPFNTPDYFDIIDTPMDFGTICQNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDAQENGDEDVKGSKGKSKQKRRRLGNDRHKNDCACAVCQVTRRKKEKDEILAVIENDTAAVNSNISDQQIMEVDSGINNAGSHDTTSSQEQPPQTDMYRRTVVADDSGTLIENHVKFFNNQPLPHDYEDEGSRPYFDEKEEVDYTDLISQEEHTSSQPNDGSEIAQHQHKGSVMDPLEHDPEKEMHYAEALSVDIDITDDATKVMKMDAAEPFNTPVDPVALGIPDYFDIIDTPMDFGTICQNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKREPTETSQEIEMEDYPIQKENQSFLQLCARLFPSNQSSVFRGRHSLFX >ORUFI08G00720.2 pep chromosome:OR_W1943:8:469570:478763:1 gene:ORUFI08G00720 transcript:ORUFI08G00720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRKMGKKGKLKASITADASPMSPSSPSTVDASSKSPSSPSTEENGTSPAHHQADNAPVIAPPPVPEPAPPEPEKPAVSTDVQNAKPKVYSRVRLKFKSAKVLETHQGPSEAKAPVDGGGGKPASAAPEASKEVAEKAIVSPDGQKDAQAAELSGSDKDKMARKVASINIKSVGLSSVEDKNQDRKADSVSEPLPSKQETVLENVESETALEPRSSQELEVKQATPERQRDDRELTAALEAIKKVMKMDAAEPFNTPDYFDIIDTPMDFGTICQNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDAQENGDEDVKGSKGKSKQKRRRLGNDRHKNDCACAVCQVTRRKKEKDEILAVIENDTAAVNSNISDQQIMEVDSGINNAGSHDTTSSQEQPPQTDMYRRTVVADDSGTLIENHVKFFNNQPLPHDYEDEGSRPYFDEKEEVDYTDLISQEEHTSSQPNDGSEIAQHQHKEPTETSQEIEMEDYPIQKENQSFLQLCARLFPSNQSSVFRGRHSLFX >ORUFI08G00720.3 pep chromosome:OR_W1943:8:468732:478761:1 gene:ORUFI08G00720 transcript:ORUFI08G00720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRKMGKKGKLKASITADASPMSPSSPSTVDASSKSPSSPSTEENGTSPAHHQADNAPVIAPPPVPEPAPPEPEKPAVSTDVQNAKPKVYSRVRLKFKSAKVLETHQGPSEAKAPVDGGGGKPASAAPEASKEVAEKAIVSPDGQKDAQAAELSGSDKDKMARKVASINIKSVGLSSVEDKNQDRKADSVSEPLPSKQETVLENVESETALEPRSSQELEVKQATPERQRDDRELTAALEAIKKVMKMDAAEPFNTPVXPFTVSKICRIILILLILLWILAQYVKI >ORUFI08G00720.4 pep chromosome:OR_W1943:8:468804:478760:1 gene:ORUFI08G00720 transcript:ORUFI08G00720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRKMGKKGKLKASITADASPMSPSSPSTVDASSKSPSSPSTEENGTSPAHHQADNAPVIAPPPVPEPAPPEPEKPAVSTDVQNAKPKVYSRVRLKFKSAKVLETHQGPSEAKAPVDGGGGKPASAAPEASKEVAEKAIVSPDGQKDAQAAELSGSDKDKMARKVASINIKSVGLSSVEDKNQDRKADSVSEPLPSKQETVLENVESETALEPRSSQELEVKQATPERQRDDRELTAALEAIKKVMKMDAAEPFNTPDYFDIIDTPMDFGTICQNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDAQENGDEDVKGSKGKSKQKRRRLGNDRHKNDCACAVCQVTRRKKEKDEILAVIENDTAAVNSNISDQQIMEVDSGINNAGSHDTTSSQEQPPQTDMYRRTVVADDSGTLIENHVKFFNNQPLPHDYEDEGSRPYFDEKEEVDYTDLISQEEHTSSQPNDGSEIAQHQHKEPTETSQEIEMEDYPIQKENQSFLQLCARLFPSNQSSVFRGRHSLF >ORUFI08G00720.5 pep chromosome:OR_W1943:8:470921:478763:1 gene:ORUFI08G00720 transcript:ORUFI08G00720.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRKMGKKGKLKASITADASPMSPSSPSTVDASSKSPSSPSTEENGTSPAHHQADNAPVIAPPPVPEPAPPEPEKPAVSTDVQNAKPKVYSRVRLKFKSAKVLETHQGPSEAKAPVDGGGGKPASAAPEASKEVAEKAIVSPDGQKDAQAAELSGSDKDKMARKVASINIKSVGLSSVEDKNQDRKADSVSEPLPSKQETVLENVESETALEPRSSQELEVKQATPERQRDDRELTAALEKVMKMDAAEPFNTPVDPVALGIPDYFDIIDTPMDFGTICQNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKREPTETSQEIEMEDYPIQKENQSFLQLCARLFPSNQSSVFRGRHSLFX >ORUFI08G00720.6 pep chromosome:OR_W1943:8:468733:471244:1 gene:ORUFI08G00720 transcript:ORUFI08G00720.6 gene_biotype:protein_coding transcript_biotype:protein_coding LSQPPSHGPTQILHLPASISLITPTPQPLPNPNPNLLPRRPRSAQRRAAPREEKKEERLAARPPTVDSTPLFSAFSATPAREKTPPSAISNNVVLIFIRLDWRWGLRGPSPPSARAPGEEGEEH >ORUFI08G00730.1 pep chromosome:OR_W1943:8:485164:487447:-1 gene:ORUFI08G00730 transcript:ORUFI08G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPIAKGKVAVEEEEYEDRDDDDGAQLGNGGVILVLFETPTGFALFSYDGIKLLRPHALMHPVWLKDFQAFEDKASALSLDTGVSEQLAGMIRRFICPGQTLAVGKQEYVTIIQSNLGIKCLWNAEVMELMWGLNNLKEHLVPDGKSELSKEDCLPMCEGMKFTLNKYGFGDLKPEMVTRSIIEATGLLYETDYHVRKHGESMRYVGKHLKKISGINAEDWDLLKLAAAIMMLCYPNGEYKLVGNLPELFGDDYSKLVDDAPKYKGIFRKLSCLRAYAEMVRSRRIRSKAARRLDSLVTAAERIYDELGSTSAARSDQTRVTLHCSYYARLHLHALVFDADIKSVW >ORUFI08G00740.1 pep chromosome:OR_W1943:8:488608:489680:1 gene:ORUFI08G00740 transcript:ORUFI08G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRTVLADDSEIQIEKSVGYTDLISQELHNANIRTTGGNKKTKPKRLKWKIIPSKQENHSFLQSRVLFSGNTILNLFRQQQQQVSMKESSFFCMIMKQSVFLSVQWLLMLRNSGFV >ORUFI08G00750.1 pep chromosome:OR_W1943:8:491874:494384:1 gene:ORUFI08G00750 transcript:ORUFI08G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSRHGALALTTSFLLAAVAVFLPGHAAARQFSTVAISNSPDATVVCALVRPKDVPDDVPGAKLRCTSMPTGEVMSYPSADVPYNAIAAGTDFLCGLMAPNGGHAAMRWWSFSDEAAANRSRPVGRRLYWGPSLRALSAGGPHVCGLSDAHDPTCWEWPGLSLLKGLDFSRIALGRDFLCGVVKGNASAMTCFGGGMAPPAFAGAASIVAVAAGHRHACAVDDDGGMSCWGEGYPDMRPGDLPADMKSMALGRNTTCILDGDDMVRCWGVEVPEEYRRASFVSIEADGDTVCGVLTTNFSVVCWGNERFHGRHLIFNDTMPGACATVGNCSSSCGFVPGSAALCGNGGGEGGEQLVVCQPCKLPLNASRLVVGNGTSKNAAAPTDSGKNRKAVKVAVTAACVGVAALVVAGLVLYLAVVRKRGGKKNATVQLGESSTLTLCRDLEGAVIMPAPEASPPPPPLGCEEFTVKELSRLTNGFAEERKIGSGSFGSVYRAKLPDGREVAIKRAERPRAASGGGRRRRRRYDAERAFRAELRLLSRVNHRNLVQLLGFCEERGERILVFEFMPHGALHDHLHGRVDGHSPLFASWEARLRVALDAARGVEYLHCYAVPPIIHRDIKPSNILLDGEWTAKVSDFGLSLASSSSSSATATPPAACSTSSTAGTVGYIDPEYYRLQELTQRSDVYSFGVVLLELVTGRKAIHRQEGGSGSPRNVIEFAVPAVEAGGVGRIMDGRVPAPRGNEAEAVSRVARIASECVRPRGRARPVMSEVVAELEWAVTLCEESVDRSSAAAQQQNSSRHGGSDVSRSESDDPSPFHTREFAGVGHGRANSAM >ORUFI08G00760.1 pep chromosome:OR_W1943:8:508939:513171:-1 gene:ORUFI08G00760 transcript:ORUFI08G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSVEELASNLSTYKEQLREVRKIIKEKNDDPGISEYLDMEKELQEVITLTEELLATANQSGNTQNDVGLSPPNYSSGLQSEALDDPSQSHEKFAVGTKVQAVWSEDGEWYNATIEELTENGYYVSFEGWGNKEEVDPANVRSLEEEAADALRQAEKEAEATKMAIKRKIEQAATSDFQMRSLPTKLRIDPNDPEDVKATKRKKIHAFKSKARFEQLEFAQNKRQNAWQQFQTTKGKAKKVGFFSGRKKESIFKSPDDHRGKVGVTGSGKGLTEFQRREKHLHLKDGSSDALDEE >ORUFI08G00770.1 pep chromosome:OR_W1943:8:514907:517982:-1 gene:ORUFI08G00770 transcript:ORUFI08G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAFRCMSRKEHRGGGGAAVSRSKRMGSARSGRGGGGGKLTPAEEELLHRQALAMAIHQHLDAGGSMSRRIDAGGSMSRRIGPGSTSSRRRGDLPDSVTGAKPVQIVLENLETKKIVLVHGEGFGAWCWYKTISLLEEAGLDPIALDLTGSGIDNADTNSIATLADYSKPLIDYLNKLPENEKVILVGHSCGGASVSYALEQCPKKISKAIFLTATMVKDGQRPFDVFSEELASADVFLQESQLLIYGNGKDKPPTGLMFDKQQIKGLYFNTSPSKDTVLAAVSMRPIPLAPIMEKLSLTPENYGTVPRYFIQTLDDRMLSPDVQEKLVRENPPDGIFKIKGGDHCPFFSKPQSLNKILLEIAQIQAPTALLPGKAKTEAIEATEAKTEEEKTEKLS >ORUFI08G00780.1 pep chromosome:OR_W1943:8:518809:531028:-1 gene:ORUFI08G00780 transcript:ORUFI08G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLLERGLPPSLPPVRAWWGWEEGDRSLERLCTPPPLPPPLPLPAPAPAEESGWAVTRAQIGEAIPGHDLEEREELEREGKEAAILSLSEERRRTGWWSTGQTKPDKQEKEKL >ORUFI08G00790.1 pep chromosome:OR_W1943:8:524455:524811:1 gene:ORUFI08G00790 transcript:ORUFI08G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAFNAFKSRVAVAWSPKLYITLVRGLPGTRRLHRRTLEAMRLRRCHRTVEHRTTPSLLGMLTQVKRLVVVETQEMYAARRQAEDDRRAPRPPLVVSHRPPAAATPTPTPTPTAGH >ORUFI08G00800.1 pep chromosome:OR_W1943:8:531027:533423:1 gene:ORUFI08G00800 transcript:ORUFI08G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRVRVTGLGRPDAGVSRTKKQQQNQGLPRQHQQQQEAGGGGRAGREHAHHLFDELLRRDTTSIFDLNSALSAVARESPAVALSLFNRMPRADLCTYSIVIGCCSRAGHLDLAFAALGRVIRTGWTAQAITFSPLLKGLCHDKRTSDAMDIALRRMPALGCTPNAFSYNILLKGLCDENRSQQALHLLHTMMADDTRGGCPPDVVSYTTVINGLLREGQLDKAYCLFDEMLDQGMSPNCITYNCLLHGYCSSGKPKEAIGIFRKMCRDGVEPDVVTYNTLMVYLCKNGRSMEARKVFDSMVKKGHKPDSSIYGTLLHGYATEGYLVQMHQLLDVMVRNGTQPDHYIFNILIGAYAKHGMVDEAMLAFSKMRQQGLHPNIVTYGTVMDALCRVGKVDDAMSQFDRLISEGLTPNGVVFRTLIHGLCACNKWDKAEELAVEMIGRGICPNTIFFNTLLNHLCKEGMVTRAKNIFDLMVRVDVQCDVITYTTLIDGYCLDGKVDEATKLLEGMVLDGVKPNEVTYNTIINGYCKNGRIEDACSLFRQMASKGVNPGIVTYSTILHGLFQTRRIAAAKELYLWMIKCGIKLPIGTYNIILQGLCQNNCTDDALRMFHNLCLIDFHLQNRTFNIMIDALLKGGRHDEAKDLFASLLARGLVPNVVTYWLMMKSLIEQGLLEELDDLFLSLEKNGCTADSRMLNALVGKLLQKGEVRKAGVYLSKIDENNFSLEASTAESLVFLVSSGKYDQHINSIPEKYRPTAKSRAVFSQKKNPGLFDAAGSYGVVTRLYSSSYIELVV >ORUFI08G00810.1 pep chromosome:OR_W1943:8:534659:535492:-1 gene:ORUFI08G00810 transcript:ORUFI08G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRSRSVKLVSTRAKPLEVEIAKEDERMSSSADNTVYCCIAKGRKIIYCYNSKDGDPHMETTAALCLENAPSYHRHYIHTAGSRSYGYLMADGHTFFAIIDPSVGNVGALQFLERVREVFRTVNRSGFHDSLVPAVQRLVASLEKMPHATFDLEESVEKGEPSDSSSCTSSKVPLLGRSGSRKDKKKAKEKAASAAVCEDEQHGTRGVRIDVPPEEVGGMSLERSASQSRLRRQHSSRSLWVRHVKIIIVVDAIICILLFAAWLAVCKGFQCVSS >ORUFI08G00820.1 pep chromosome:OR_W1943:8:541680:543548:-1 gene:ORUFI08G00820 transcript:ORUFI08G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDGKAMLKSRGGGAAGGAGGASGDEEADYCPPTPRKEWWTTGLLVRLVTVAVIFMAGVVIGLSASANVSRYYYNSHTELFFPSNTFGACERADCAPTFKSFVHPPHLAHSMSDPELFWRASLVPVADDFPFQRVPKVAFLFMTRGPLPFAPLWDRFFRGHHGLYSVYVHTLPDYKLNVSKNSAFFARQIPSEEVSWGSITLVDAEKRLLANALLDFSNERFVLLSESCIPVFNFPTVYEYLINSAHSFVESYNIDTPQCAGRYNRRMAPHILPSQWRKGSEWFELNRELALRIIADNKYYSIFRKHCRPSCYPDEHYIPTYLHLFHGSLNANRTITWVDWSRGGPHPARYGASNISEEFIQAIRNNGTRCTYNSKPTSVCYLFARKFAPSALGPLMNLTSTILDF >ORUFI08G00830.1 pep chromosome:OR_W1943:8:545922:552258:1 gene:ORUFI08G00830 transcript:ORUFI08G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPSDPNHPPPPPRETEREEREIPSRLASSLLPPRSRSLLLRRLAAAAVDASRCSCFKWQAIIVCTQSAILLREWLMENFSPRTLLNSILRITVLTSDGSTARPKPIQKYCQNVCDISSIVSPLIEDLCESPEEQLNEVLRELGTAINRASGLIGNWQQTTSKIYFIWQIESVISDIQGCSLQLCQLVNSLLPSLTGRACTCIEKLQDINYENMFDLVKESSLELVETDTTSPENLSRLSSSLSLSTNLEFYMEAVSLENLRARAMRSENREEMDLADKMIPLVNYMHDHLLRETQLLSINGVPIPADFCCPLSLELMSDPVIVASGQTYERVYIKLWLDEGFTICPKTRQRLGHSNLIPNYTVKALIANWCESHNIRLPDPMKSLKLNFPLAASALQDSSTTGSSPLHPTVAAKGNIPGSPEADLYMRSLNRASPPHSVVHQNSHAHVNRAGHEASIKQSSENANGSASDVSRLSLAGSETRESSLEERNAGSIGQTSEQSIEEAFQASNLDRNSHDHVGSSSVNGSLPNSGQLDAECDNGPSERTNYSSDASGEVTDGPSASSAPQREHLIPSRLADVRSRGQFVRRPSERGFPRIISSSSMDTRSDLSAIENQVRKLVDDLRSDSVDVQRSATSDIRLLAKHNMENRIIIANCGAINLLVGLLHSPDSKTQEHAVTALLNLSINDNNKIAIANADAVDPLIHVLETGNPEAKENSAATLFSLSVIEENKVRIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSILHENKARIVQADAVKYLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQARGIPALVEVVELGSARGKENAAAALLQLCTNSSRFCSIVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRSQRHGNSARR >ORUFI08G00830.2 pep chromosome:OR_W1943:8:545922:552258:1 gene:ORUFI08G00830 transcript:ORUFI08G00830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPVRPTRTTPPPPREKQKEKRERSPLASPPPSCLLDPDLSSSAASPPPPWTPQGLMENFSPRTLLNSILRITVLTSDGSTARPKPIQKYCQNVCDISSIVSPLIEDLCESPEEQLNEVLRELGTAINRASGLIGNWQQTTSKIYFIWQIESVISDIQGCSLQLCQLVNSLLPSLTGRACTCIEKLQDINYENMFDLVKESSLELVETDTTSPENLSRLSSSLSLSTNLEFYMEAVSLENLRARAMRSENREEMDLADKMIPLVNYMHDHLLRETQLLSINGVPIPADFCCPLSLELMSDPVIVASGQTYERVYIKLWLDEGFTICPKTRQRLGHSNLIPNYTVKALIANWCESHNIRLPDPMKSLKLNFPLAASALQDSSTTGSSPLHPTVAAKGNIPGSPEADLYMRSLNRASPPHSVVHQNSHAHVNRAGHEASIKQSSENANGSASDVSRLSLAGSETRESSLEERNAGSIGQTSEQSIEEAFQASNLDRNSHDHVGSSSVNGSLPNSGQLDAECDNGPSERTNYSSDASGEVTDGPSASSAPQREHLIPSRLADVRSRGQFVRRPSERGFPRIISSSSMDTRSDLSAIENQVRKLVDDLRSDSVDVQRSATSDIRLLAKHNMENRIIIANCGAINLLVGLLHSPDSKTQEHAVTALLNLSINDNNKIAIANADAVDPLIHVLETGNPEAKENSAATLFSLSVIEENKVRIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSILHENKARIVQADAVKYLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQARGIPALVEVVELGSARGKENAAAALLQLCTNSSRFCSIVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRSQRHGNSARR >ORUFI08G00840.1 pep chromosome:OR_W1943:8:551923:552819:-1 gene:ORUFI08G00840 transcript:ORUFI08G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYLHTLQLMEPRSMEYIAALAAGNQARHLLDVASSAGGGGASSSSSSSPATAVALAIAAARTGGRLVCVRDDQQGLDGVRRHLRRLGLATSAVDFQLAPSPSAAVRRLRRVDFAVVDAGVERCGEVLGAVDVDPMGAIVVVTNVFQEERTSWSSRSGHGDGSRVCSYGQVVGKGGSMVLPIGHGGMEVTKLGLGRRVGGGGLIGAHLQWQRQQKKKLVSTPKRTFLVCDGSSYFSR >ORUFI08G00850.1 pep chromosome:OR_W1943:8:555708:565502:-1 gene:ORUFI08G00850 transcript:ORUFI08G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAARGALGDDASGASTSQAAPGAVDGGASPDSLRNTPSNIARLEDAIEHCAARRKYLARTKSPSDGEDVRWYFCKLPLADKALSASVPRTEIVGKGDYFRFSMRDSLALEASFLEREEALLAYWWREYAECSEGPKGSLVAADASDSKSLYKVEEERVGVPVKGGLYEVDLMRRHCFPVYWNGENRRVLRGHWFARKGGLDWIPLREDVSEQLELAYNCQVWHRRKFQPSGLFAARVDLQGSTPDLHALFTGEDDTWEAWLVFDTGPKLGGNTIKLRRGFSSSGSAKPTQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLAERYLTPYQRSTQRVLFIPCQWRKSLKLSGEQSVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSDLSLQQVSNQLNQLYTKFIKRNPGYSGKVSIYGHSLGSVLSYDILCHQESSSAPFPVDYMNMEVSSDEGHIAKSPDTVTAHESVMKEQDTSSISGHSCADNVNDVVDEGSTRTGPSCTEDTTLPTCALENSPKLTTDALPTAVDGEQIEVEKQVDNHKIACSEEGDNSSVRAKDIDSCIISRSAEGVHADVPDKDTLISSLKEEVERLKARLAQLEQHNDLVTESSAESHQGKSATHAVNLSSGKNRIGQGSTSESYTPHIRYTKLNFKVDTFYAVGSPLGVFLSLRNVRIGIGRGQDYWQNENIVEEMPCCRQMFNIFHPFDPVAYRIEPLVCEDYISKRPVIVPYHRGGKRIHVGVQEFTEDIAARSQAVARQFKSLKVKAVAALLSLSRNDTDEDVDSNNEKEKSYGYMMMERLTGSPDGRIDHVLQEKTFQHPYLSALGSHTNYWRDHDTALFILKHLYRDIPEEPPTDDPERMPIRLFYVRDPIAEETPLTFSDNSLVKEFSRKVRTYSRKSENDSSCESS >ORUFI08G00860.1 pep chromosome:OR_W1943:8:567017:574921:1 gene:ORUFI08G00860 transcript:ORUFI08G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDAAAPPPEVVAPVEEQTAPAVGASTLEEAEPAAAAAPAAEGEGEHKRKLEEVDAAPDANGGGEDAKRPRVEGDGEGVVQSNESSAKADEPVATENSDAAPAEASVDAVNGKVPPTEDSQMGSGEKPAAEAAVTEAPPQEGGGAPGQETSRIINVPNNKVGVLIGKSGETIRNLQMNSGAKIQITKDAEADANAPTRSVELVGTLESIDKAERLIKNVIAEADAGGSPALIARGFGSGQSGSEQFEMLVPDNKVGLIIGKGGETIKTLQTRSGARIQLIPQHPPEGVTLTERTVRITGNKKQIEAAKDMIKQAMSQAYFVIVGMLQTFSRHGAQSGGYGQQNYRPQGHGAASQWGPRSQPQPGYGYPPRGPPPQNMPYSQPYGGYPQQPPPRGGMGWDQRQGPPPHASHHGGGYDYYKQGSQPYEGQPPNYPPGPGNYNSYGPSQGPNYGQPQYPQSAPPQNYGPGYGDPRYNAPAPNQQYYGQPPAGPQQGYPPQQDPYARPYGGPGQWAPRGAPAGDGTYQAPPPTSYGPPSQQPPAYGQTYGPTTGPDGYSQQSYPQQGAQAPATYGQSAPAGPGYAQQGAQQGGYAQYPQSQPAYGDQAAQNNANYGYQGAPADPNYGNAYPQAGYGSTPASGQAGYAAAPAAGQPGYGQPGYTQPPTNPPAYDQSAQPPAQSGYAAPPANPQPAVAKGVSPQPAGYGGQWTA >ORUFI08G00860.2 pep chromosome:OR_W1943:8:567017:574921:1 gene:ORUFI08G00860 transcript:ORUFI08G00860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDAAAPPPEVVAPVEEQTAPAVGASTLEEAEPAAAAAPAAEGEGEHKRKLEEVDAAPDANGGGEDAKRPRVEGDGEGVVQSNESSAKADEPVATENSDAAPAEASVDAVNGKVPPTEDSQMGSGEKPAAEAAVTEAPPQEGGGAPGQETSRIINVPNNKVGVLIGKSGETIRNLQMNSGAKIQITKDAEADANAPTRSVELVGTLESIDKAERLIKNVIAEADAGGSPALIARGFGSGQSGSEQFEMLVPDNKVGLIIGKGGETIKTLQTRSGARIQLIPQHPPEGVTLTERTVRITGNKKQIEAAKDMIKQAMSQTFSRHGAQSGGYGQQNYRPQGHGAASQWGPRSQPQPGYGYPPRGPPPQNMPYSQPYGGYPQQPPPRGGMGWDQRQGPPPHASHHGGGYDYYKQGSQPYEGQPPNYPPGPGNYNSYGPSQGPNYGQPQYPQSAPPQNYGPGYGDPRYNAPAPNQQYYGQPPAGPQQGYPPQQDPYARPYGGPGQWAPRGAPAGDGTYQAPPPTSYGPPSQQPPAYGQTYGPTTGPDGYSQQSYPQQGAQAPATYGQSAPAGPGYAQQGAQQGGYAQYPQSQPAYGDQAAQNNANYGYQGAPADPNYGNAYPQAGYGSTPASGQAGYAAAPAAGQPGYGQPGYTQPPTNPPAYDQSAQPPAQSGYAAPPANPQPAVAKGVSPQPAGYGGQWTA >ORUFI08G00860.3 pep chromosome:OR_W1943:8:567017:572892:1 gene:ORUFI08G00860 transcript:ORUFI08G00860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDAAAPPPEVVAPVEEQTAPAVGASTLEEAEPAAAAAPAAEGEGEHKRKLEEVDAAPDANGGGEDAKRPRVEGDGEGVVQSNESSAKADEPVATENSDAAPAEASVDAVNGKVPPTEDSQMGSGEKPAAEAAVTEAPPQEGGGAPGQETSRIINVPNNKVGVLIGKSGETIRNLQMNSGAKIQITKDAEADANAPTRSVELVGTLESIDKAERLIKNVIAEADAGGSPALIARGFGSGQSGSEQFEMLVPDNKVGLIIGKGGETIKTLQTRSGARIQLIPQHPPEGVTLTERTVRITGNKKQIEAAKDMIKQAMSQTFSRHGAQSGGYGQQNYRPQGHGAASQWGPRSQPQPGYGYPPRGPPPQNMPYSQPYGGYPQQPPPRGGMGWDQRQGPPPHASHHGGGYDYYKQGSQPYEGQPPNYPPGPGNYNSYGPSQGPNYGQPQYPQSAPPQNYGPGYGDPRYNAPAPNQQYYGQPPAGPQQGYPPQQDPYARPYGGPGQWAPRGAPAGDGTYQAPPPTSYGPPSQQPPAYGQTYGPTTGPDGYSQQSYPQQGAQAPATYGQSAPAGPGYAQQGAQQGGYAQYPQSQPAYGDQAAQNNANYGYQGAPADPNYGNAYPQAGYGSTPASGQAGYAAAPAAGQPGYGQPGYTQPPTNPPAYDQSAQPPAQSGYAAPPANPQPAVAKGVSPQPAGYGGQWTA >ORUFI08G00860.4 pep chromosome:OR_W1943:8:572616:576761:1 gene:ORUFI08G00860 transcript:ORUFI08G00860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDVWMLSPIPLLQVVCAMLAAGCMNLARHGGAVKGAAEAGVVEEDAADEVALEVELELGEEGEEGEGGVEVDVVGVPHVVLHPLQVQHLPHVLLAVAQQRRAHRRRRRVRPRRRRRHRAQNAVDRAAAVGDCTERVEVERLSR >ORUFI08G00870.1 pep chromosome:OR_W1943:8:573287:574559:-1 gene:ORUFI08G00870 transcript:ORUFI08G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQDYHWDTAFLPVPFVLGANVFVCLSPRRRGRGRRGIAPGRTLTRRARPLRPRAAPVRRRGGKTQHPSAVSATDAYTARWRYMVACSRASGRIVNKSSGKLTSWSTMASTEKERRSYRAAADALHDPNVDMLDVGLSGPQLYDSDSPAATGVSPAPAAATTTIVVSHAKGSNSSAACKCVKRNDTIWGAWFFFTHYFKPVMLADKNGKAKAPIAVGTCSTTWSTCICGCSRSGQRMPWGRCTCGEDVVAAAPPHLVPAGPLRNPDRREEGSLPGRRRSSSIFLVRNEEEPAGWDDPKAPAPEDEEEESAFMVSSLREWRLMAARREL >ORUFI08G00880.1 pep chromosome:OR_W1943:8:574728:580318:-1 gene:ORUFI08G00880 transcript:ORUFI08G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSELEETKAVLHMDCEKPPAISWERTFDDEGKKVAMFSMTLNDMMAIVPLMIKMLGLNLKDNAKGLASVYDPLKKWMDNCYRGVPLGGIGAGSIGRSYRGYFQQFQIFPSIYEEKPILANQFSAFISRPDGKRYSTVLSAPNADVLKGIDKAGIGSWDWKLKEKNCTYHGLFPRSWTVYNGEPDPEIKITCRQISPFIPHNYKESSFPVAVFTFTLHNSGSTPADVTLLFTWANSVGGKSELTGNHKNSRMTARDGVHGVLLHHRTADGRPPVTFAIASRETDGVRVTGCPRFTMGPSNSGDVTAKDMWDQINKNGSFVGDGNAAAAATGASRPGSSIGAAVAATTTVAAGGARAVSFALSWSCPEVKFPAGRTYHRRYTKFHGTDRDAAAERLAHDALLEHMKWESQIEEWQRPILQDKSLPEWYPITLFNELYYLNAGGTIWTDGQPPKNTSLSSATEPFNLDTFSTVANGGSAVDGILSTVAAAAARSNTAAAAMGTALLRDGEENVGQVLYLEGMEYNMWNTYDVHFYASFALLSLFPELELNLQRDFVRGVLLHDPCLRRTLDGATVARKVLGAVPHDMGLNDPWFEVNAYMLHDPVRWKDLNPKFVLQVYRDVVATGNAGFAEAAWPAVYLAMAYMDQFDRDGDGMVENEGRPDQTYDLWSVSGVSAYTGGLWVAALQAAAAMAGIVGDGAAEAYFRGRYHRARRVYTDELWNGGYFNYDNSGGATSSSIQADQLAGQWYARACGLEPIVDGDKARRALATVLDYNVMRVKGGAIGAVNGMRPDGAVDASSTQSKEVWPGVTYAVAAAMIHEGMPEAAFKTAKGIHDAGWGKHGFGYAFQTPESWTADGGYRALHYMRPLGVWAMQWALSPPVLHKEHRVAAVAASPEDAALGQEKFDKVASMLRLPEEQQHKGILRALYDTLRQLLLPS >ORUFI08G00890.1 pep chromosome:OR_W1943:8:594731:601372:1 gene:ORUFI08G00890 transcript:ORUFI08G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGPRTLATGVKNMRTPTNRDDQGNHLGRGTLANVTNLTAAEGLEVKVIDESIQLRYFHEELISFIKKLQNYNLQSLGARYKLGLNATHPACHQLR >ORUFI08G00900.1 pep chromosome:OR_W1943:8:601278:601727:-1 gene:ORUFI08G00900 transcript:ORUFI08G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEALACRDGMYNLPAETNSCLSEADDRLGEWHSVPACIEHLVTEGCNSAVS >ORUFI08G00910.1 pep chromosome:OR_W1943:8:605687:610455:-1 gene:ORUFI08G00910 transcript:ORUFI08G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANTGGGGGGGGGGGVLVVSRRMIRPEFKELPPEHDTTVHLTPWDLRLLTVENIQKGILLPKPPTGGETLVEHLASSFARALGRFYPFAGRLVVEEVDGGASPASSVSVSLRCNDEGAEFVHAAAPDVAVADIAASLHIPRVVWSFFPLNGVVAAHAATESLPVLSTQVTELSDGVFIAMSVNHVVGDGTNFWEFMNTWSEISRSSGGELGISPSASTSTSPPLVVKRWFLDNCTVPIPLSFAKLEQIIPRSEHQAPVQECFFAFSAASIRKLKAKANDEIAGAAVAISSLQALLALVWRAVSRARGLAPRQETAYVVVVGCRGRVGGISSGYMGNAVVPGAVRLTAGEIMERGLGWTAWQLNKYVASFDEAAMRGALASWPRRPDFFSVLSLLGGAAIITGSSPRFDVFGNDFGWGRPATVRSGGANKFDGKVTVYEGPDGAGSMSLEVCLTPAALAKLVADEEFMGALPPEHETTVHLTPWDLPLLTAEYIQKGVLLPKPPTGGEHLVEHLASSFARALGRFYPFAGRLAVAEVEDGASPPSSISVFLRCNDEGAEFVHATAPDVAVADIAASLYIPRVVWSFFPLTGLLPAVAAADSLPVLAAQVTELSDGVFIAMSLNHVVGDGTNFWEFMNTWSEISRSRSKLDISPSPPPPSPSPPLVVKRWFLDTCPVLIPLPFAKLEHIIPRRDHPPPPLQECFFAFSAESIRKLKAKANGEIAGAAATISSLQALLAHVWRSVSRAHGLAPRQETAYVLVIGCRGRVSGISPGYVGNAVVPGAVRLTAGEVMERGLGWTAWQLNRFVASLDEAAMRGAIEPWPRAPEFANFAKAAGGTAVHTGSSPRFDVFGNDFGWGRPASVRSGGANKFDGKVTVYEGPGGAGSMSLEVCLAPAALGKLVADEEFMGAVTTPSINRNFGQAFYAITTNWTVNEACKDMKG >ORUFI08G00920.1 pep chromosome:OR_W1943:8:612523:614528:-1 gene:ORUFI08G00920 transcript:ORUFI08G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPTSPPKQHLLRIRRCLPSVWRNAAPAQESPPPSPPHPASSLSAILYSCTARRARRPGELAHARAATLGLAAHPSVLPRLASFYLALADLPAARAAVEQAAGKARAFPWNLLIWGYAGRGLWEDVILSYEKMVAWGVAADRFTYPSVLRACGELREVTIGRNIEQRIRRCRYGLDMYVWNALVGMYAKCGELEDARRVFDGMAVRDVVSWNTMVSVYASTGKWNKAFDLLRQVPGANVVTWNAVAAGNLKAGNYDEVIRLVSQIEEASLIFREMIGFGVCPNGVTVLTMLSLGARVGHLCHGRELHCYILKHELDSSKLMENSLVDMYSKCRQMVVAQRVFELMQFRDKHAYTSLVLGYGLQREGHVSLKLFDEMIVNSIEPDHVTFVAVLSACSHSGLVTQGQLLFAKMVSIFGIAPRVEHFSCMVDLYCREGLLKMAEVIIDNMPFQPTAAMLATLIEACRIHGNTEIGDRAAKKLLAMRTNNPGHYRLIANMYIAAKCWPELAKVRSLMSTLELNMIPSHSLLESEYDVCPVEQDNFLNHVTYGLSSDHLTDTDSSSSEEVKCSEAFGG >ORUFI08G00930.1 pep chromosome:OR_W1943:8:615631:620138:-1 gene:ORUFI08G00930 transcript:ORUFI08G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFHGEEQRGGEGKPRRRPSSNSLRRLVSYNSSKRHEHFEEEDEEGVVVSATSSSAGHRVGNDVSTARLIRKPPAPVVEAVAVPVAALPDEAASVAVSVVDVERPVAAPANWRRAPDGAAEQEPRSGGTRSEAKPRITDVVPNGVQGGHAAAGWPRWLTEVAAEAVRGWQPRKAESFEKLDKIGQGTYSSVYKARDLESGKIVALKKVRFANMDPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSSSLYLVFEYMEHDLAGLAATPGIKFTEPQVKCYMQQLLSGLEHCHNRGVLHRDIKGANLLIDNNGVLKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEDFWANLKLSRATIFKPQHPYRRCVSDVYKDFPPPALALLDCLLAVEPQNRGTAASALGSEFFTTKPYACDPSSLPKYPPSKEYDAKLRDEEARRQRAAAVKGHESEAGRRKQLPAPNGNNELQQRRVQLNPKSSSNKFIPKEDAVTGFPIDPPGRAGDNVYPQRAPLMHAGRSSSTLGRSSGTDQNAQRFYTSQIAAAEMSTATGGQRGNATKLSSNLGESARRQYLREHRSSSRYSQLAAAEPSDRPEWTHQFQERPSSSHRKDDGAANKEPTVVNGAKKSRIHYSGPLMPPGVNMEEILREHERQIQQAVRRARLDMGKGKNHVERDQLESLLYTTQNGRP >ORUFI08G00940.1 pep chromosome:OR_W1943:8:623910:630201:1 gene:ORUFI08G00940 transcript:ORUFI08G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDPRKPNTSLFARTAQPSPARPMRARRNQPHLLLSSPSSLSRQGNFLEVGEEERSRSEARMGRKRKELLSSAPWRTGEAAEDDDEAARLSREGKVSVTSNPGETPTMHVPRNKRQDLDLAVDDFDEDEIDPELRYSFQRNSRFLRRVFSVDTLVKPLPPVMAYSVSRNVNFFFKIFTQFWAFTLQVTTFTKYYCHN >ORUFI08G00950.1 pep chromosome:OR_W1943:8:631419:637200:1 gene:ORUFI08G00950 transcript:ORUFI08G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQLRRIENPVHRQVTFCKRRAGLLKKARELSILCEADIGIIIFSAHGKLYDLATTGTMEELIERYKSASGEQANACGDQRMDPKQEAMVLKQEINLLQKGLRYIYGNRANEHMTVEELNALERYLEIWMYNIRSAKEGMLKAANEILQEKIVEQNGLIDVGMMVADQQNGHFSTVPLLEEITNPLTILSGYSTCRGSEMGYSF >ORUFI08G00960.1 pep chromosome:OR_W1943:8:636081:637174:-1 gene:ORUFI08G00960 transcript:ORUFI08G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHEHVEGIKAHWAKNFAFLDYFKKVYGRDKPLPKWTDADVDEFIASDPVYGPQLKAMRESRKFALGGALVGGAHLGGIALKYSKAPHGVVLATGFGAICGAVVGSEVAEHWYQLYKTDKQGANLRFIYWWEDKVAGNQKS >ORUFI08G00970.1 pep chromosome:OR_W1943:8:639438:647042:1 gene:ORUFI08G00970 transcript:ORUFI08G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRPAPASMVVLCAVVVVGAVFVVAAEGGGSEEAAASTGKAAMVPALFVFGDSLIDNGNNNNLASYLLLLADQICRTELLGLPLVPPYSQASGHVQQLLQGVNFASAAAGILDESGGNFVGRIPFNQQIDNFEATVEQIAGAVGGKEAAASMVARSILFVGLGSNDYLNNYLMPNYNTRRRYTPRQFADLLADRYAAQLTRLYKAGARKFVVAGVGSMGCIPNVLAQSVESRCSPEVDALVVPFNANVRAMLGRLDGGGLPGASLVFLDNYGVFKAILGDPAAHGFAVVDRGCCGIGRNAGQVTCLPFMPPCDGRDRYVFWDAFHPTAAVNVLIAREAFYGGADVVSPINVRRLAAL >ORUFI08G00980.1 pep chromosome:OR_W1943:8:642218:652043:-1 gene:ORUFI08G00980 transcript:ORUFI08G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGPASLAAGPAWQLTVSEHSFFPSSIHKDAATKDVEYTYVGVASAAGIHGQMPCITLAVADAGGGGGEVDALKKLLHMPGRLGKLGTRMHTLGLNPMKAELQDIISEVDTDGSGIIDFYKFLDLIAH >ORUFI08G01000.1 pep chromosome:OR_W1943:8:654274:657248:1 gene:ORUFI08G01000 transcript:ORUFI08G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGDGAAAAAAAEPNLVVSFGEMLIDFVPDVAGVSLAESGGFVKAPGGAPANVACAISKLGGSSAFVGKFGDDEFGHMLVDILKKNGVNAEGCLFDEHARTALAFVTLKSNGEREFMFYRNPSADMLLTEAELNLDLIRRAKIFHYGSISLITEPCRSAHVAAMRAAKSAGILCSYDPNVRLPLWPSEDAARAGILSIWKEADFIKVSDDEVAFLTQGDANDEKNVLSLWFDGLKLLIVTDGEKGCRYFTKDFKGSVPGFSVNTVDTTGAGDAFVGSLLVNVAKDDSIFHNEEKLREALKFSNACGAICTTKKGAIPALPTVAVAQELISKAAN >ORUFI08G01010.1 pep chromosome:OR_W1943:8:658332:666978:-1 gene:ORUFI08G01010 transcript:ORUFI08G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMEEDLPGAEAEAAGPAPPPAAATGGDGDGENPAPAPASPFSDSDSDSDDGGEGGDAADELRIQALEQALQEQPLDYESHVQCLRKSGKIEKLRAAREEMNKYFPLTPKMWQEWTKDEASLRPESFEDIEKLYECGVQEYLSVRLWRDYLDFVEENDKSVSQCSPSGLTKMRNLFERAITAGGLHVTDGSKLWEAYREYEMAILTIIDDDDEEKAKQVQRIRVLFHRQLSVPLVDMESILAEYKSWEAEQGNANDPTSNFDGVPSNVVAAYKKATEMYNVRKQYEDQLSNADASDGDKLEEFLKYIKFEESSGDPARVQVLYERAVAELPVSTDLWMGYTSYLDKTLKVPAVLKSVYQRATRNCTWISELWVRYLLSLERIRASEEELRHVFEQALQCSFPSIKEYLEIYLTRVDSLRRRMADGLDFQLIRQTFMDATEFLSPQMGTEDLLLLHAYWAKLERTLGNDLAAARGVWENTLKKSGSVLEVWQHYIAMEIETEHIHEARSLYKRCYTKRFSGSGSEEICHAWIRFERECGTLEDYDLAVKKVNPRLKELMMFKAQQEVKVDTHVVPKETTGSDFSQKRKSSKIPTKQQPPAKKKKDNPPKSAVLSDDHGSKEQISTGHVKTGEVSGEKTQASMEVNLVDGSRRENTASKESKSNFYSDKCTAYMSNIDLTANEEHIRRFFSDIGGVTAIRLLRDKFTKKSRGIAYVDFSDNEHLEAAIRKNKHKLLAKKVSVARSDPSKGKKNREAGSFSKDQATSGDRGETAEFANRPDKEIPKDKPTITGKNTFAAPRSVVKPLGWTQKDEKSDVGAEELKSNEEFRNLLLKKCVDLHVAIKL >ORUFI08G01020.1 pep chromosome:OR_W1943:8:668637:675828:1 gene:ORUFI08G01020 transcript:ORUFI08G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAADSAASKRQAELLKQEGNAFFKKDRISAAIDAYTGAIALCPKVAVYWTNRALCYKRRNEWVRVEEDCRTAIQLDSHSVKAHYMLGLALLNKDELAEGIKELEKSLELGRGAHPASYMVEEIWQELSKAKYIEWENLSSERVRQLHKLKEACKEALRNYNSLDNPPAFVPEEQLNELEEVFRKARKSDIPTEVPDHLCCKITLDIFRDPVITPSGITYERAVILDHLHRVGKFDPVTRETLEPHQLVPNLAIKEAWVA >ORUFI08G01020.2 pep chromosome:OR_W1943:8:668637:673841:1 gene:ORUFI08G01020 transcript:ORUFI08G01020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAADSAASKRQAELLKQEGNAFFKKDRISAAIDAYTGAIALCPKVAVYWTNRALCYKRRNEWVRVEEDCRTAIQLDSHSVKAHYMLGLALLNKDELAEGIKELEKSLELGRGAHPASYMVEEIWQELSKAKYIEWENLSSERVRQLHKLKEACKEALRNYNSLDNPPAFVPEEQLNELEEVFRKARKSDIPTEVPDHLCCKITLDIFRDPVITPSGITYERAVILDHLHRVGKFDPVTRETLEPHQLVPNLAIKEAVHAFLSEHGWAYRMR >ORUFI08G01030.1 pep chromosome:OR_W1943:8:674179:675396:-1 gene:ORUFI08G01030 transcript:ORUFI08G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRYCRCGTTMLTMLLFVVTNSASVLLSSGAGAFLLRRYKPATARLWAWDDSAALLDDLNATQSALADTHAQLADLHARLGTANSLLETLLAAMAAERRDGGTPWARELSGELELAVAPHRNVTGKATVFPALGHACARFQDDLEAYMRYTPGGECPSDEQLARRLMLNGCDPLPRRRCRPRSPAGYVQPAPLTKSLWAIPPDTTVVWDAYRCKNYSCLVRGGGGGEFDLLGREKRRWMRDDGALAYSIDSVLAARPNGTVRIGLDIGGVSGTFAARMRERGVAVATTAMNSGGPSGSLIASRGLVPVHVGPAHRLPFFDGTLDIVHWTSLEHVAGVMLEFALFDIYRVLRPGGLLWLDHFVFPGEQLNATFAPMVDRVGFRRLRWNTGKKLVSALLEKPMT >ORUFI08G01040.1 pep chromosome:OR_W1943:8:684060:687986:1 gene:ORUFI08G01040 transcript:ORUFI08G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDHHQDVVAAPRGGGGGGDDGQAHDMVMPGFRFHPTEEELIEFYLRRKVEGKRFNIELIAFVDLYRYDPWDLPALASIGDKEWFFYVPRDRKYRNGDRPNRVTPSGYWKATGADRMVKVEGDRPIGLKKTLVFYVGKAPKGLRSSWIMNEYRLPHGDADRYQKEISLCRVYKRPGIEDNFHLTGTTTKSSGSKAAAAMGKKHAAANRTSSTAAAAAPRLAPMFDGGGGGGHHSSALMGKATYNASHTAAEGTNAIAMSLSAAAALHPPAPAMFRSTASVASLSSTTSTEEDGTSLFHLKAGATTTNTTTMHHHHHHQQLPASTHALLNTNSSAMATIPIDELSRAIGSYSNIHHQPNSTTTHQSTALPPSQQSPLLPFASMEKIWDWNPLLESPKVCTNFK >ORUFI08G01050.1 pep chromosome:OR_W1943:8:690994:691338:-1 gene:ORUFI08G01050 transcript:ORUFI08G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHRSTAAAAGGRLYGQVGVKRRVVEETAAAVEVGGGGGGYLGVEASVLLGVVTATLLVLPLLLPPLPPPPPMLLLVPVAIFAVLLLLVLLPSDAKSIAAAGRPSSSSSSSYL >ORUFI08G01060.1 pep chromosome:OR_W1943:8:697462:701523:-1 gene:ORUFI08G01060 transcript:ORUFI08G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIEREEWALTPLAYPLLSAASLAAVLLLPYFSPPLHATAAASPSSHSPFDVGTTPFLRFRRGFLFVFSLASVAEGIHSVFGEDEFARCGLGREQMAARLAAAAAAVLFLGGLSGVVSDKLGPRQACIFYWMLQLAVGALKSFSGLRCAWISNLISALASSMFYFCFETWFVVEHEKQGQKQDLLFDSFWLMTFFESMSLLGSQGITNLLVNDDDKGFLLPYAFAALLSIVGLLYIRKNAPSTTHHASVIGSYQKSFFAHVFRDKRVLILVLAQASIHFSMSAFWFLWAPTIVADGRDAQLSLIFPCFLASRMFGSASFPWFYGTTAPFQNEDSLTIAYVTVGIALSIVAYDYQDIGTLVILFCIFHACVGFILPSLARLRTMYLPNELRGGMMSFSLGLANAAIFIFLMQGSYHQNIANSTILGFAACGLLVAGGCIHMLRRRRKHTRQDTRSL >ORUFI08G01070.1 pep chromosome:OR_W1943:8:701571:714823:-1 gene:ORUFI08G01070 transcript:ORUFI08G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDATVVSLGLANMSDDHVSSPISQSNSKGNKRRLGLTSDGDSTRGRTASRHRRLTPSPPPPPATTIAGLHLENRNNCAPVLLIHAPQLSKAHFSSFPVSAQTSPLGDATTTTLFFFPIAVVDLAGDTAATAPPPPSLPRIVAG >ORUFI08G01080.1 pep chromosome:OR_W1943:8:702738:703409:1 gene:ORUFI08G01080 transcript:ORUFI08G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEKPPAAAAGAIGGEVAAGAVAVDACLVAAAMAGASLLAWWAVAFHPSNSRLWMVPVGLVLACTPAIVYLALSLQPPSTVSDHKGSHAAGHPPPPPPLSMVLSTADQT >ORUFI08G01090.1 pep chromosome:OR_W1943:8:706471:708485:1 gene:ORUFI08G01090 transcript:ORUFI08G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLLAPVAAPWSRVPSAGRRVRCAATAPAPMGEKTEYRDGPVERAFMGLFARKMEKYAVVSSSGGKGKEKKKEKSSRSVWEWDYESFVDVSRRVMVGRTRAQQQEAVREVLLSMLPPGAPEQFKKLFPPTRWACEFNAALTVPFFHWLVGPSEVVEVEVNGVKQKSGVLIKKCRYLENSGCVGMCVNMCKIPTQNFFTNEFGLPLTMNPNFEDMSCEMIYGQVPPPLEEDPASKQPCYANLCSISTPSAPICPKLQT >ORUFI08G01100.1 pep chromosome:OR_W1943:8:718105:720381:1 gene:ORUFI08G01100 transcript:ORUFI08G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSWSLSSHTLTSPVRQAAMEPKSSSCGGAGIRLRLLVVLHLLLLVPSSAMAFNYADALAKSIIFFEGQRSGKLPPGNRMPWRADSGLTDGAQYNVDLVGGYYDAGDNVKFGLPMAFSTTMLAWSVLDFGKFMGAELPNARAAVRWGADYLLKAATATPGALYVQVADPNQDHRCWERPEDMDTPRSVYRVTADKPGSDVAGETAAALAASSMVFRRADPAYSARLLHAATQVFDFADRHRGSYSDSLASSVCPFYCSYSGYHDELLWGASWLHRASRNASFMSYVEANGMQLGAGDDDYSFSWDDKRVGTKVLLAKGFLRNRLHGLELYKAHSDSYICSLVPGTASFQSRYTPGGLLYREGSSNMQYVTTATFLMLAYAKYLRSSGATASCGDGGGGARGEVSAAELVAVAKRQVDYILGKNPAGMSYMVGFGCRYPRRAHHRGASMPSVRAHPGRISCDAGFGYLHSGEPNPNVLVGAVVGGPDSRDAFADDRGNFAQSEPATYINAPLVGALAYFAGTTK >ORUFI08G01110.1 pep chromosome:OR_W1943:8:720106:728946:-1 gene:ORUFI08G01110 transcript:ORUFI08G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFVTLTLTHGGVPNTIVTDRSRKKTTTMRTMLPLLVILVVGLRLAGASPPPQPVACTKGTTDCTVTNVYGSFPDRTICRAADASFPRTEAELVAAVAAAAAAGRKAKAATRHSHSFPKLACPGGRDGTIISTRFLNRTVAVDAAARRITVESGVVLRDLIRAAAAAGLALPHSPYWYGLTVGGLLATGAHGSSLWGKGSAVHEYVVGLRIVTPAPASQGFAVVRELVAGDPDLDAAKVSLGVLGVISQVTFELQPQFKRSVRFVTRDDSDFAEKVAVWGGAHEFGDMAWLPRQGKVIYREDDRVDVATPGNGLNDYLGFRAQPTLGLITARAAEERLERNGTDIARCLAARLPPSLFELQAYGFTNDGVFFTGWPVVGFQHRIQASGTCISSPEDGLLSSCTWDPRIRGPFLYNSGFSIALPRAAAFVADMMRLRDLNPRAFCDIDAKLGILMRYVKASSAYLGKPEDCVDFDVTYYRSYDDGEPRPHSDVFDELEQMALRKYGAVPHWGKNRNFAFDGAAAKYPNSGEFIKVKERYDPDGIFSSEWSDQVLGISGSPNIVDKRCAIEGLCVCSDDSHCAPELGYFCRPGKLFKEARVCSKDKSAAAGDDDLLDELAPTVEKPHQKPFLD >ORUFI08G01120.1 pep chromosome:OR_W1943:8:736348:749515:-1 gene:ORUFI08G01120 transcript:ORUFI08G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSSSNRDERGNACEGGWGGANSGNEGRLQWGHTGCAPAKEGRWQVKSTLQDMHGGLEKC >ORUFI08G01130.1 pep chromosome:OR_W1943:8:749549:751425:-1 gene:ORUFI08G01130 transcript:ORUFI08G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAGTTSSWLTAVKRAFRSPSKDDSPNKAARLRDDTDDDKGKRERRRWLFRKSSSPSPAPPTPPPPQQQQQQSRAAAVTEEQRHAIALAVATAATAEAAVATAQAAAEVVRLTRPSSSFVREHYAAIVVQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQANMTLRCMQALVRVQARVRDQRMRLSQDSISLSAAAASAAPCGSSKSSYSVDTSTFWDSKYTHDFAAADRRSIERSRDGSSFAAGDDWDDRPRTIEEIQAMLQTRKDAALKRERALSYAFSHQIWRNPAPSVEEMDVDGQPRWAERWMASRASFDTSRSTVRASAAAAPGRASTDHRDQVKTLEIDTARPFSYSTPRRHGNASYHASSSPMHRAHHHSPVTPSPSKARPPIQVRSASPRVERGGGGGGSYTPSLHSHRHHASSGGAAAVPNYMAATESAKARVRSQSAPRQRPATPERDRMSFGGGGGGGGAKKRLSFPVPIDPYGAYAQSLRSPSFKSAAGRFSSEQRSNVSSSCAESLGGDVVSPSSTTDLRRWLR >ORUFI08G01140.1 pep chromosome:OR_W1943:8:753778:759518:-1 gene:ORUFI08G01140 transcript:ORUFI08G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCGHAGEKKGQEKEKEEEEEGNGDGRMTCGSLCDFGDRCAAAQQWRLCRLAEGTLWPRARLQPASGGNAGHARETSAIRLGRISCGGASQM >ORUFI08G01150.1 pep chromosome:OR_W1943:8:773179:773763:1 gene:ORUFI08G01150 transcript:ORUFI08G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAELLPELACLGSFFRVLAAMEAPRISHGPCWWHDVAKDDGTTVAGCAEEGQPLAFEPDVLF >ORUFI08G01160.1 pep chromosome:OR_W1943:8:774977:775531:1 gene:ORUFI08G01160 transcript:ORUFI08G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIVKSIKPFKEDYEQLLKDARDVHQRHGCIVNVLAVHPITGERHEVTVDSTSDGDVSAPNEDHFTARVVRRYLRLKGRLGEVTLQMLSEEFGGAMRLADVKKLMFRVRAVRLAVLRWSKAVRMATSAELLPELARVGSLFRAPVAMVAPLEQGIKFASHLIALGSLIMNRREEKSVTLNMFG >ORUFI08G01170.1 pep chromosome:OR_W1943:8:809287:811312:1 gene:ORUFI08G01170 transcript:ORUFI08G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSCVPPGFRFHPTEEELLNYYLRKKVASEQIDLDVIRDVDLNKLEPWDIQERCKIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYNAVHRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDPATDTAAATPTVTSAAAAADGGQEDGWVVCRVFKKKHHHKEAGGGGGKHGGDGSAGAKAAHAYSSSDDALDQILQYMGRSCKQEHELPSPQASGGGGAGAGSRPASRYLRPIDTVLGGHGFMKLPPLESPSAATALSSTPSTGGDAASSAAAAAADHLLLHHHHRTDWAMMDRLVASHLNGANSDAPDDQLCFDAADDDGLAYYSAAATRLLGGANAGTDDDLWSFARSAAPPPPPPPPSSATPERLSHVAL >ORUFI08G01180.1 pep chromosome:OR_W1943:8:844856:850313:-1 gene:ORUFI08G01180 transcript:ORUFI08G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVETSADHAAPPPPEGDAGESEMRDLEDLLSKLNPMAEEFVPPSLAAASPTAYSYYPTPTPSHVFPAVDGLAGPRPRKKGGGGGGGGGFGGQGHAGKRRMNSRTSMAQRDEVIRRTVYVSDIDHQVTEEQLAALFINCGQVVDCRMCGDPNSVLRFAFIEFTDEESARAALNLSGTVLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCARTIYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFVMAESATAALNCSGVILGSLPIRVSPSKTPVRPRAPRQLMH >ORUFI08G01180.2 pep chromosome:OR_W1943:8:844856:849969:-1 gene:ORUFI08G01180 transcript:ORUFI08G01180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRTSMAQRDEVIRRTVYVSDIDHQVTEEQLAALFINCGQVVDCRMCGDPNSVLRFAFIEFTDEESARAALNLSGTVLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCARTIYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFVMAESATAALNCSGVILGSLPIRVSPSKTPVRPRAPRQLMH >ORUFI08G01190.1 pep chromosome:OR_W1943:8:855694:857336:1 gene:ORUFI08G01190 transcript:ORUFI08G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVACTLAALILHDDGIPITSEKIATLVKAANIKVEAYWPGLFAKLLEHRSVDDLILSVGSGGGAAPVAAAAAPAAGGGAAAAPAAEEKKEEAKEESDDDMGFSLFD >ORUFI08G01190.2 pep chromosome:OR_W1943:8:855702:857336:1 gene:ORUFI08G01190 transcript:ORUFI08G01190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVACTLAALILHDDGIPITSEKIATLVKAANIKVEAYWPGLFAKLLEHRSVDDLILSVGSGGGAAPVAAAAAPAAGGGAAAAPAAEEKKEEAKEESDDDMGFSLFD >ORUFI08G01200.1 pep chromosome:OR_W1943:8:858412:885960:-1 gene:ORUFI08G01200 transcript:ORUFI08G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEDLVSKVLPALLGRYVSFQKDQLTINIWNQEIILVDVELILEAFDYLQLPFALKKGRIGKLSVRIPWKTLGWGAIIIAIEDWSSDSLDKRELDGKLAKLKAIELAKISRRITDNQTGQSLLSYILAKILDNIQVSIRNVHITYADNYKDQMKKKSYEVFKVSDRWDISSATANTNLWMLSLETIVFNLYLLDLSWWNASYIILKPYERSLMAMSRQDEVNKTVEISNVGIYCHHLDEQQGSCDTGGLTETNFSFSHELAHPRDAYLLNPFNVTIFVLANKAGKLDGAPRYNITVELTALILSIDEIQIQQILNLCDYFSICALRTKYGRYRPSQSSLSKRHKGWQRMWWHYAQRSVLADVRRELRKTSWNYLGQRLDCRRKYVNFYRMKLELLQKGQLVSEDILQELENMDREGDIDDILNYRTIAEQKLQEALVKSTKDNFSSPGSPRIDDQSAGAGQGWLKWLSRGMLGAGGTADTSSFADVSDDIIKDIYEGTEFHPISSAENHLTKENHYSLFVRKIGMKLVDAMFTGLGVECKIWDDSTTILAWLDSLEIINPLNENKVLLAEKCSTGDGLGTPVISVQVDCPKSNHSPEALTRVVVQEFSAIYEPVFIYNLMHIYDLFSSFQFQHDRVLSSLNRFDSFGARLLSKLKYTSANRKKLLWDLRIHHFVVRLPSRNCGTEELIMVFEAGDVSMQSKDTVRDASRTQERNSFLDYISKTLPSNFSDDLLIGFKLDDLYNHFEVKVLMPDKHDISSTFVKLDASIVFGLCIFLDEPVLKQLEVSFIVPFANMYFCQTLYSAFVNLCFYYAKETDLIRNNTSDDTKSEPKKLALNMFASLKLAKLSLRVDLEDHHEESSAITVCIGDVDIRYAIQELSDIWVIVKMVQITSNNLKEESYSCVLCLSGNCKTCVNLTGFPESSTSDACLKLHYRTLKYEDQMHHVYQLNLNDVDLHLIPSVFGQIRRFLKSLDAAYPDGTNVVLSELDLGSMKLGSANTKFPKFALSSFCGVDGTLFAGIPVDHFPFVRMDFISGHQASGGSSSKGKCNETSDLNCYCAQGPASNSLCKTKHSNCSSNSSQNSMNASLTVLDLSLVSVRVHFHESCGILATLSVPESIAALSLSDASSWDLLLSAKDIMLSSSWTSPSVHELLWSRSSHGNANILNIRIKKDFPALSTEVCIGIQNVCCVLPSKLLAMFIGFFLLDDWTSLPEEHHSVENHNLESSGESLDSMTYTFELCDCVVLFPVESQHFFGLRLGVPYFFGEFISTGSTAEFANRIPKEFFSSECMVSSRVDIISLCAVKASISLLFPDDQANFILKLDENMPRRIQSLVEKLDAGIWIQIPCIELSCSEQSSLPTFIMSKISKCNLIAEDLYFVDGMEAVFAVTDELISIGKASKLYKGNALQFLEQRILNEESPGPNDSINITVSVSDLAIFFCHSKDKGLALEKIANANMKFDVSAVLVSEKPERIDMDIVSLALQSSDSHTLVSIMSDGSLSPVFIKFAKHDGRDEILMSVPSFEVWLYLVDWDIIINHFHSYARKEESSSPVVHSAALPCSSDSATPSFPETDCGSLDDSKLLVTCENIDCVVHVPIWQKTENCASNVMPGTYGSCTMQATSHHFADDIRSPESKDCKFITLMFNSKHFVVSLGDSRMNFRCDLDRMKIILEMIQGDKGTSVPFMHISKAKAAGYIHQPEGKPLHLSVDLQAEYMDVGFSHQIFSFCRSMELKFPVSSSSASSFYSVTFKVGLRKGSILLNDGRWGSHGPVIETLVKNLLVQFSQMSDRIEVSAFVDLLVNYNNIDKVMWEPFIEPSKFQLNVIRKCANHALDISPSTEVWLNSSNQLNLNISEPLIEAILRLGQMITNSLNPVSEGGLREDIGILRLSRDDVHTRRYAPYILANDTSLPFKFRVYRGAVNSDDIDSFSVVDENSVPAGYAVPIYVEEALDEFFFQHREARSSEHLIEKRMSAVSHYMISIEFDATSGSSKPMSMDLVGIYFFEVNFSSSKKPLSEESWEAFASNRKGSHESGLIVPVVLDVSLHNYSKLIRVYSTVKLYNATSMPLELRFDIPFGVSSKVLGPILPDKEFPLPVHLSEAGQIRWHPVGRTYLWSETHSLSSLLSHESRVGFMKSSVCYPSHPSNDPFRCCVSVEEYSIPTSSSTQKSQPNYGNPIPKASKQILARKHFIRKVRLSTPLLIKNYLPVCISLTIDNGGVANEVSLKEVSFASIFFVDPSNDLGITFHIQDYRSLAIKFPRVESFSTAAKSNGPKFSLTETITFYSNELNCPLNVTLEKAMDANSGARELYLSVPFLLYNCTDLLLTITESSCERNGSTLVIPSSFELDGQTRHLLGKNGLFLVSEDPPIQSVSFWRLKSFANKIPQLDFADGGSSYSNRTAANNTKDAPKECNKEAKAYMFAPSGHTPATELLVKLNASVPNSGTETTRRDWSSPFLLVPASGSMNATIPQSSSSGALLVAATSIPVSTELFGRTRAIAFQPRELLVSIRFDGPGWQWSGSFFPDRLGDVQLKMRNSASGVSNMIRVEVQNADIDVHSNKFAGRNNSNTGTILILLSDDKTGFVPYRVDNFSMEKLRIYQQKCESIETIVYPYTSCQYAWDEPCYPHRLTVEVPGERSLGTYNLDILNDDIHVSLPLTSEFCVEKDFILLGPSQKRLKVLSVIDSNCHNMDKRETNLLGSREPKDADQKQELELNFSDVFRIHLPFIGISLISSLSQELLFASARETRIVAMQSLDQQQITIEMQSMQIDNQFSDSPYPVMLSFEGSHKGKNMNFFKSRDTKVRSPNENSSPEPILRLAAAKWRSNDAPFVSYQCINMSIAPFHLELEERLVFSMIDFIRSVSTRIHLGQLDRSFDLGILDDATDIFGRYEKISKRISGKPQSSYMVEAQQDQLLPSVVPIGAPWQQIHLLARKQKKVYIELFELTPIKLTFSFTSTPWLNRNESSSDPSTSFNNSTAIQRGLMALIDVEGVPVHLGEIVVENLMASWQSIQDILVRHYSRQLLHEVYKVFGSAGVIGNPMGFARNVGFGLKDFVSASRRGILQSPVELLNGIAQGSKTLIGSTVYAVSSATSHFSKTAYKGLVAFTYDEQAASKMDERERQLSLHGEGVLNGFLEGLTGLLQSPIKGAEKHGLPGVISGIAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFPRPVARDRPLFPYSWEEAIGISLLFQADGGRLREETFVMCKTLREPGKFLVLTEKLLLLVSSPYLVDLGSPQFVGVPPDPQWAIETEMSLNSIVHLDRAQEVMNIVGSNGETSPRDKRGSIRNRGASSAFIPLFHFSVEMPNIEDAEGTLQILLALIEKGKARRWDKNIIHRSNIY >ORUFI08G01210.1 pep chromosome:OR_W1943:8:887789:891902:-1 gene:ORUFI08G01210 transcript:ORUFI08G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAATAGTYSPAAAAGEKRRERKEELRRHLAEDADWPRADGRSFHDCRPAFMQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMLYSDTGRLNCNVSYTTFATPIRGQGMDNKEYSAMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMIYDLVTSVSVSCFGKNIIIDPTSDEEAWQDGSIMVAYMPARKEITQLTLTGEWSDGKITNAVELCMDACSKLCDILRERLKDAASLAE >ORUFI08G01220.1 pep chromosome:OR_W1943:8:892662:895870:-1 gene:ORUFI08G01220 transcript:ORUFI08G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPAAAARPHKQAPPARVPTRLVAALCTACFFLGVCVVNRYWAVPELPDCRTKVNSDNPGAVMNQVSQTREVIIALDRTISEIEMRLAAARTMQARSQGLSPSDSGSDQGSTRARLFFVMGIVTTFANRKRRDSIRQTWLPQGEHLQRLEKEKGVVIRFVIGRSANPSPDSEVERAIAAEDKEYNDILRLDHVERNGSLPLKIQMFLSTALSIWDADFYVKVDDDVHVNIGITRSILARHRSKPRVYIGCMKSGPVVDKNESKYYEPDHWKFGTEGNNYFRHATRQLYAVTRDLATYISANRHILHKYSNEDVSFGSWLIGLDVEHVDERSLCCGTPPDCEWKAQAGNPCAASFDWNCTGICNPVERMEEVHRRCWEGHVADLQAQF >ORUFI08G01230.1 pep chromosome:OR_W1943:8:899577:904204:1 gene:ORUFI08G01230 transcript:ORUFI08G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGKPNHVPYRDSKLTRILQDALVGEKIFSGVSESSGWQLKSGITVLLFPQCFKCTRKTKLIKTTPKSISPEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD >ORUFI08G01230.2 pep chromosome:OR_W1943:8:899682:904204:1 gene:ORUFI08G01230 transcript:ORUFI08G01230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGKPNHVPYRDSKLTRILQDALVGEKIFSGVSESSGWQLKSGITVLLFPQCFKCTRKTKLIKTTPKSISPEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD >ORUFI08G01230.3 pep chromosome:OR_W1943:8:899577:904204:1 gene:ORUFI08G01230 transcript:ORUFI08G01230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGKPNHVPYRDSKLTRILQDALVGEKIFSGVSESSGWQLKSGITVLLFPQCFKCTRKFVYCSFRNKAYLLNNACWSITFLPLHIVLILWQHLSRTKLIKTTPKSISPEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD >ORUFI08G01230.4 pep chromosome:OR_W1943:8:899682:904204:1 gene:ORUFI08G01230 transcript:ORUFI08G01230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGKPNHVPYRDSKLTRILQDALVGEKIFSGVSESSGWQLKSGITVLLFPQCFKCTRKFVYCSFRNKAYLLNNACWSITFLPLHIVLILWQHLSRTKLIKTTPKSISPEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD >ORUFI08G01230.5 pep chromosome:OR_W1943:8:899577:904204:1 gene:ORUFI08G01230 transcript:ORUFI08G01230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGWQLKSGITVLLFPQCFKCTRKTKLIKTTPKSISPEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD >ORUFI08G01230.6 pep chromosome:OR_W1943:8:899577:904204:1 gene:ORUFI08G01230 transcript:ORUFI08G01230.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGWQLKSGITVLLFPQCFKCTRKFVYCSFRNKAYLLNNACWSITFLPLHIVLILWQHLSRTKLIKTTPKSISPEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD >ORUFI08G01240.1 pep chromosome:OR_W1943:8:905161:909537:1 gene:ORUFI08G01240 transcript:ORUFI08G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSHYALLHHHLPNPLHPRHLSSSSSPSPPPPLHLHLHLHRHRLALSTARFFRLAERRASAGPLVFETEEERSGWSGAEAAESNYDDEEDEEEEQGWAGGNAAGWRGESHEDDQEEGSGSGEGRRPRRSRPRELFVCNLPRRCDVDDLYELFKPYGTVLSVEISRDPETGLSRGCGFVTMRSLPEARTAMNALDGFDLDGREMLVKLSSDVVSKRRNINMTHTPPVKDHIFESPHKIYVGNIAWSVEPQELREYFSQCGTVVSTRLLTDRKGGRGRVYGFLSFASAEELEAALKLDNTHFHGRNILVRQAHEERQAH >ORUFI08G01250.1 pep chromosome:OR_W1943:8:908085:915954:-1 gene:ORUFI08G01250 transcript:ORUFI08G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWLKTAASDVEEMIMKAAKKGQMPSQIGVVLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYLTPSLSRRHPAHYSLFPPPPPPPPRSRQPPSPVAVAKFPTATMGRMHSRGKGISSSAIPYKRTPPSWVKTAAADVEEMIMKAAKKGQMPSQIGVVLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYESTTASTLVA >ORUFI08G01260.1 pep chromosome:OR_W1943:8:921149:921595:-1 gene:ORUFI08G01260 transcript:ORUFI08G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKELSEEQVASMREAFSLFDTDGDGRIAPSELGVLMRSLGGNPTQAQLRDIAAQEKLTAPFDFPRFLDLMRAHLRPEPFDRPLRDAFRVLDKDASGTVSVADLRHVLTSIGEKLEPHEFDEWIREVDVAPDGTIRYDDFIRRIVAK >ORUFI08G01270.1 pep chromosome:OR_W1943:8:921638:921892:1 gene:ORUFI08G01270 transcript:ORUFI08G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEMEVRRSRGGCVRFSSSAARLPLCALRLLWWGTKWGIDLARARRNVGLVMRPLVGRQSHIRPSMPSSRWASSIQAHQRV >ORUFI08G01280.1 pep chromosome:OR_W1943:8:922428:922892:1 gene:ORUFI08G01280 transcript:ORUFI08G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMAAASLSDELEPATARTRIRSIPSLPHGRRCHGGRYGHDLPLRRPEVRHHPHLHLASTSSWAGGSRPGESRRRALSPSSSSTTAPASPTCRSSATPLSIRLGHFELLRQSSSLISSSGDTPFPIPLAPSLAPATRQFTITVSSAGGTPFP >ORUFI08G01290.1 pep chromosome:OR_W1943:8:925679:930309:1 gene:ORUFI08G01290 transcript:ORUFI08G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTKNALLLLLLLLLLFPLLHSSLADVAAAAPSSASAAEVDALMELKAALDPSGRLLPSWARGGDPCGRGDYFEGVSCDARGRVAAVSLQGKGLAGAISPAVAMLPGLTGLYLHYNELAGAIPRQLGDLPMLAELYLGVNNLSGTIPVELGRLPALQVLQLGYNQLSGSIPTQLGQLKKLTVLALQSNQLTGAIPASLGDLPELARLDLSSNRLFGSIPSKLAAIPKLATLDLRNNTLSGSVPSGLKKLNEGFHFDNNSELCGAHFDSLKPCANGDEDDNEEGSKMARKPESTNVKPLQAPQTMNVNRDCDNGGCSRSSSSSTTLSSGAILAGTIIIIGGAAACGISVISWRRRQKQKVGGGGTVESLEGRASSRNASSSLINVEYSSGWDTSSEGSQQGLRLSPEWSPSVRYNMEEVECATQYFAGANLLGRSGFAATYRGAMRDGAAVAVKSIGKSSCKAEEADFLRGLRAITSLRHDNLVALRGFCRSRARGECFLVYEFMANGSLSRYLDVKDGDVVLDWATRVSIIKGIAKGIEYLHSSKANKAALVHQNICADKILMDHLFVPHLSGAGEHKLLADDVVFSTLKDSAAMGYLAPEYTTTGRFTDRSDVYAFGVVVFQVLTGRKAVSSELRLLGGGGGAEYSGKLDDLVDPRLGGRFSRPEAAKLAGIALLCTSESPAQRPAMAAVLQQLGATQ >ORUFI08G01300.1 pep chromosome:OR_W1943:8:932353:935484:1 gene:ORUFI08G01300 transcript:ORUFI08G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPPAARVHLQEVAVNVNKSLFCFDHDSGATSSGVFAGDDPLKFYFPLFLYHVCTVFALSRAINALLRRANVPLVISQILAGTLLGPSFLGHIAPRVGELFATPEGWVLINTIGGYAFTLHIFVIGVKTDLGMIRKSGNKAIAIAVLGTASPHLAMYITGLALKARVPAAWAASFLLTNLNSWWSLSAFIVVCCTLHDLNLLSSKLGRLAMSAALIGDFANTFAIAGVTSYLLAASPSEKLQRIGIASVIAFTTFIAFMALVARPAILRLIRDVPEGALLTEARLIAVLLICLTCSFTGELLGLHATYGPFMLGLMLPGGAPLGVTMAERLDRLVAGVLMPLLFAQGGMRLNVKKITDASTCALLETFLVVGVVSKFVASIMPCLYFRMPVRDAVVVGLMMNFKGITEVVYASAFEDAQVLDEQVYAAFMINVLLIGAASASAVKYMYHPEEKYVAYRRRTVEHKKLGEELRVVACIHSQDDVGPMLALLDASSPTPMSPLSVYLLHLMPLAGLTSSVLRHFKHGKRNCVPSGTTDSERVVNAFQFFVQQRPPGAASLVPYVCIAPYATMHDDVCAVALEKRAMLIVVPFHKRLAIDGSVEPTSHNAGAIQAANTNILNYSPCSVAILVDRGSLSTVAAAATAADGFPHRVALYFLGGPDDREALALAATMAEDATIGLTVFRFMLPADRQSRGGEGDGEEDRRDEAELQEFVRRWVDDHRVAYSENMVGGSDEMVDVIRKTSPAFNLLVVGRRSESPESPLTAGISDWSEHLELGVLGDLLTSTDFGCRVSTLVVQQQTMAAAGESCRLPELPAKHKSDEPV >ORUFI08G01310.1 pep chromosome:OR_W1943:8:935689:939423:-1 gene:ORUFI08G01310 transcript:ORUFI08G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSFGSSMFGPHGNVNGLSNTGGFLQQAPEPSRPRGPIIQELSSDDEDGADVNKEDEKRNDNPRKHPRMAQMPYVEDPDEDTEDNKRSRHEQFERGYARASTSRPQPHTFMFQSSTVTYGGPNGACYTSSATRRTGGDGVTFEERKEADTTTGKATHRISRGIGNKGHSLTRKLSSDGNVDTMQTLHNLNEDELARFEESWQRNAVQNLSGWDPRVNMLGTGTARSGIRDGNQMLTLPAPGPGQSRGASSSRTKRPTQNTSSRRT >ORUFI08G01310.2 pep chromosome:OR_W1943:8:935689:939423:-1 gene:ORUFI08G01310 transcript:ORUFI08G01310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFGGANPFDDPFFTNPFGAMMGPSLLQPSMFGSFGSSMFGPHGNVNGLSNTGGFLQQAPEPSRPRGPIIQELSSDDEDGADVNKEDEKRNDNPRKHPRMAQMPYVEDPDEDTEDNKRSRHEQFERGYARASTSRPQPHTFMFQSSTVTYGGPNGACYTSSATRRTGGDGVTFEERKEADTTTGKATHRISRGIGNKGHSLTRKLSSDGNVDTMQTLHNLNEDELARFEESWQRNAVQNLSGWDPRVNMLGTGTARSGIRDGNQMLTLPAPGPGQSRGASSSRTKRPTQNTSSRRT >ORUFI08G01310.3 pep chromosome:OR_W1943:8:935689:939423:-1 gene:ORUFI08G01310 transcript:ORUFI08G01310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFGGANPFDDPFFTNPFGAMMGPSLLQPSMFGSFGSSMFGPHGNVNGLSNTGGFLQQAPEPSRPRGPIIQELSSDDEDGADVNKEDEKRNDNPRKHPRMAQMPYVEDPDEDTEGWFITLFYAAGRFLVWACCQPCTVVKNLLADNKRSRHEQFERGYARASTSRPQPHTFMFQSSTVTYGGPNGACYTSSATRRTGGDGVTFEERKEADTTTGKATHRISRGIGNKGHSLTRKLSSDGNVDTMQTLHNLNEDELARFEESWQRNAVQNLSGWDPRVNMLGTGTARSGIRDGNQMLTLPAPGPGQSRGASSSRTKRPTQNTSSRRT >ORUFI08G01320.1 pep chromosome:OR_W1943:8:948672:952529:1 gene:ORUFI08G01320 transcript:ORUFI08G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSGEASVAPVAVATEATAATVSFQPQAAVAEQGSSSGGVLVPPPPMAAGGGGVVVAAAPVAGVVKVGKKRGRPRKYGPDGSLIRPLNATPISASVPMAASAVGPYTPASAVGAAMKRGRGRPLDFASTAKLHHHHQHQHHHQQQQFGFHFDSIGEMVACSAGANFTPHIITVAPGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTENSGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQIVVGSFLPSYQMEQKNKKPRVEAAPALAQTPPAVPISSTDTHSSEQGQHSSVAPRTTNIVTSAYNPDQSWASPAQSIPDSARTPSGDVKVTASGA >ORUFI08G01330.1 pep chromosome:OR_W1943:8:956811:958443:-1 gene:ORUFI08G01330 transcript:ORUFI08G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLDEYEKLVIRMNTPRVVIDNAVCSTATLVQVDSARKTGVLLEAVQVLADLDLSINKAYISSDGRWFMDVFHVTDRLGCKLTDDSVITYIEQSLGTWNGPARPAALEGLTALELTGADRTGLISEVFAVLADMDCAVVEARSWTHRGRLGCLVFLRDEEADTERMARIEARLGHLLRGDSTGAGGAVAAVPAAAVAHAERRLHQLMSADRDQEERAAAAAAASPTPAVSVQSWAERGYSVVTVQCRDRPKLLFDVVCTLTDMDYVVFHGTIDTTGDQAHQEFYIRHADGSPISSEAERHRVSQCLQDAIERRSLEGVRLELCTPDRPALLSDVTRTFRENGLLVAQAEVSTKGDMASNVFYVTDAAGHAVEQSAIDAVRQRVGMDCLVAREEHRPQLYQKAGPRDAVDGNGGGGGIGLFYLGNFVKRNLVSLGLIKSCS >ORUFI08G01340.1 pep chromosome:OR_W1943:8:980535:980864:1 gene:ORUFI08G01340 transcript:ORUFI08G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLYQLMSRMHLARSRSSSSSAATAAAAAADVPRGHFAVYVGERRKRFVIPTAYLKHPSFVLLLKRVEEEFGFDCHRCGGLTIPCATEGDFASFVAEAIASDDHHHH >ORUFI08G01350.1 pep chromosome:OR_W1943:8:986570:986878:1 gene:ORUFI08G01350 transcript:ORUFI08G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLQHLMERLHLAGKGGGGGAARDVPRGHFAVYVGEARARFVVPTAYLRQPAFVALLKSVEEEYGFDHCGGGGPPPPCSERDFSALLGRLASSPPPPSWR >ORUFI08G01360.1 pep chromosome:OR_W1943:8:987798:991013:1 gene:ORUFI08G01360 transcript:ORUFI08G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLRLAGAARSPLARALAPAARRMGASAAAAMEDEAYWTEWEEEEEKARARESAPVAEMCPTGGGGGGPQWVVMGRPGPQKHAHAARLAEVLAVPYISMGTLVRQELSPASSLYKKIANSVNEGKLVPEDIIFGLLTKRLEEGYNKGETGFILDGIPRTHMQAEILDEIVDIDLVLNFKCADNCFMKRRFGGDICPHCGQLFDFSKTASSDRNPSLGSCTWPSQVQHAAVLGLEDSRMEKMRAYAEQTKLLEDYYRKQRKLMELKTSARPGETWQGLVAALHLQHLDASPTPHKLTM >ORUFI08G01370.1 pep chromosome:OR_W1943:8:991431:996108:1 gene:ORUFI08G01370 transcript:ORUFI08G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVETLVAHIQGLSGSGEELAHLHNLLRQADGEPLRAHSAALLPFLAQLHPSAHSLGFLYLLEAFASSASNLRAQGGGDFLVTTADFLVSCSAEQIRLAPDKVFKNEVMQLNAPIRGIAPLRAAIRKIQTSSEELTPIHADYLLLCLLAKQYKAGLSVLEDDILEVDQPKDLFLYCYYGGMIYIGLKKFTIALDFLHNAVTVPMSSLNAIAVEAYKKYILVSLIQNGQVPSFPKYTSSTAQRNLKNHAQVYVDLSTCYSKGNYSELEEYIQLNAEKFQSDNNLGLVKQVLSSMYKRNIQRLTQTYLTLSLEDIASSVQLKTPKEAEMHVLRMIEDGEIHATINQKDGMVSFHEDPEQYKSCEMVEHIDSSIQRLMALSKKLSSIDENISCDPAYLMKTGRERGGRFDYDDFDSVPHKYF >ORUFI08G01380.1 pep chromosome:OR_W1943:8:997233:1001001:1 gene:ORUFI08G01380 transcript:ORUFI08G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREGASSSSGSSSSHHEAGGRRSPLELSLLLTAAAGAAVAAAATPRDAHAASGGVMGGRRSSSPSSYCRRTSSSSSSSSSSSSSSSSPSSREDESVISVIVAGAVVVAYGVACVGAYVACGVVYIAAHLLRPRSATTVVKLQVAFRGLTKIIQRDLNGIARKVDTSNRSWDKFMLTETICSLNRHKDICISSSLSERSKFDEETLYNVQGIKMKKKYLKPGLGKENEYLVLTILLAAEGKLEFPIVRSASDLTTVLGILNSIHANKIEGIQVLWTPQKIGDILPEERLLKDYPYLKPLLKESDSLGVASVTEIEQSNQRSITEGQDSFAVSSVTETMQSNQGSVMQDQYFIEVANVTDVKQSNQESTKEDQDSKQSN >ORUFI08G01390.1 pep chromosome:OR_W1943:8:1003188:1006648:1 gene:ORUFI08G01390 transcript:ORUFI08G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAAGLAVAVTVDCIPPPSLLAQSCGHGAATAAAYPRVRGERGRLLPKQGPTDLSLLLLGAAAAVVAAATPRTAHAASGGVMGGRDTSPSPSSYSSSSSSSSSSSPSVRHYHYYPPSPTRSSSPPTPAAHTTSCPICPYVLLAAAVGITIIFLCTLASNQKTTVVKLQVALQALAKTMQKDLNTIAAKVDTTKTASLQIHVNGDDMFLEPSERLLVLFSLIVDEIDSWEEHFDKISIEERSKTDEETLFNVEGIKISKKYSKNPESCRKEYIVLTIILAAEGKLKFPQIRSADDLRLVLGMLNGIHASEIKGVQILWTPQEEDDALSEERLLKDYPYLRPLKQVLIGESKQLLLGESKFKQILFGESEEKQLLLGEPKEPSVQEDPNNTKG >ORUFI08G01400.1 pep chromosome:OR_W1943:8:1009683:1012445:1 gene:ORUFI08G01400 transcript:ORUFI08G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAARLLSTAPCRSPSSWPLPPSKPYLRFPSAPLLLLLRSPAAASVIHLSPPPVTPLAVACDDRHALPDSDEPRNRNPPDHPAPLLAAAAAAAAAVASPHAALALSGGSMGGCSDTSSSYSSSFSSSSSSDSFSSWSSSSDSWRSSSSSSSSPPKKKKVVVVESADLETHESVGTAASPPPPPPVALTPWEKFWISVAVVLGVGGLVFGLIFLIKRSIPPPRTISVVKLQIALGGVAAAKSFQKDLNRIAERVQGSSRRWYKFILSDLFKKYYYQVIAFFAEINLFVHRTQFSIKVMVDIKDSDSWNGHFKKISLEERGKFDEETLSNLEGVKRNKEYSTKMDGSKNEYIVLTILVATDGTMDFPKLITNAADLKVALTKLYSTPETGLEGIHVLWAPQDKDDILSKERMQKDYPYLKPLSV >ORUFI08G01410.1 pep chromosome:OR_W1943:8:1016275:1017057:1 gene:ORUFI08G01410 transcript:ORUFI08G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAADAKPRLHLHLPRPLLLLLIPFLSLLLLYSYSSSPPPPPVAFAVPLSPTPPSPHIRMRRAGFRSYEDYLRHQLNKTLDPRLRRVWATRDWHRKVDAFARAFAALLRDDGGGKLLSNASRALCVGARLGQEVAALRLVGVRDAVGIDLAPAPPLVIRGDFHAQPFANDTFDFEFSNVFDHALYPGRFVAEIERTLRPGGVAVLHVAVHRRGDKYSANDLLDVHGLVGLFRRSDVVRISKVDAFGLDTEVILRKKRSSP >ORUFI08G01420.1 pep chromosome:OR_W1943:8:1022298:1024406:-1 gene:ORUFI08G01420 transcript:ORUFI08G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQVFVEVSASPEPAAAAAAWSVAEPAARAEKVRRYKEKRQGRLFAKRIRYEVRRLNAVKRPRLKLEQMLPVAVEPLHAPFCPRCKSSVSSRE >ORUFI08G01430.1 pep chromosome:OR_W1943:8:1024423:1025124:-1 gene:ORUFI08G01430 transcript:ORUFI08G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGARRRKGRRGGRGNVKRRTKYLSLSRFLIKKEEEEEVVVVAVRSPELVPKDEAPSSPEDGGDGGEDEEEKPQMEPFALHPEPSTLFAAAPSLTDILGASAGGGGGGGGESSSAASPGVSGGKELSGEEDDLARRALRGRERWVYCSSSSPSATTTTTTTTSSSCSSAASTGASSGGAAARSRRRGGPRLALQRRRRRRHRRWHGQRRGGAGARLRKRPTRPTLLGHAPW >ORUFI08G01440.1 pep chromosome:OR_W1943:8:1028433:1029050:-1 gene:ORUFI08G01440 transcript:ORUFI08G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIAAMAMLKPSKIVARSSPAPSGSGRVPSISLKSLAKKGMSVSSSSSAAAPVAAAAMAGAFFSALASTDAALAAQQIADVAAAAAGADDNRGLALLLPIAPAIAWVLYNILQPALNQLNRMRSEQVLVAGLGLGAAAGAGLAFPPEASAAQEVARLAAEAAAAEGGDNRGLLLLFVVAPAIAWVLYNILQPALNQLNRMRSD >ORUFI08G01450.1 pep chromosome:OR_W1943:8:1029984:1033087:-1 gene:ORUFI08G01450 transcript:ORUFI08G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLRRSPAARALLSPALSSRLVASKPHSSSPAPPPPPSKAGANTKTFSIYRWDPDSPSTKPHLKDYKVDLSDCGPMVLDVLLKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKISSASSASTISPLPHMFVIKDLVVDMTNFYNQYKSVEPWLKRKDAPPQPGKEIPQTKADRAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHANRLPLLGTLIKPKPNMFMHIQARGYHGVSEKRNLLDHKRRLLAAKYELKGKLYKAVCRDPDLPADMRDQFRYKLSKLPRNSSMTRLRNRCIFTGRSRAVYKKFRMSRIVFRSLANKGELLGVKKASWWIQDSRDQFTKERLDSINDEFKLYRCHTIKNCTHACPKGLNPAKHIDTIKKLQLEA >ORUFI08G01460.1 pep chromosome:OR_W1943:8:1033338:1044836:-1 gene:ORUFI08G01460 transcript:ORUFI08G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGASDNLTVRAMRRRGCAVISNPLNEVQVQPGKRGDKPPPFTMVVMVGIHHPQRVHNKVRRRTGDNNVSDPMPGKAAHK >ORUFI08G01470.1 pep chromosome:OR_W1943:8:1047730:1053107:1 gene:ORUFI08G01470 transcript:ORUFI08G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGRRHSVDIPISRALLAVMRSRSLRDPETNSLAKFSAKKTIWEGCSLEEDDLEGSNYGRHSFSYNMYDHLQRRREEFGDSARSGRLVNSPINIIKANARVKAALHNQTCSSVISGMSRATKDRASSLMIEGEQLGRREGATFQESARSLLQKYRPKAFSELAGQNVVAQSLSSAVLKGKLAPIYLFHGPHGIGKTSAARIFAASLNCHSSGGNQPCGHCEECMAIFSGNSSSVIEIDASKLDCKSRVAALLRNACEVPASSHFKVLIVDDCQHMDKEGWYSVYSSLEGIPDSSIFVMITSDIDKLPSNSVGWCQSYRFCKVDEAEIALRLSRICINEGMEFEAEALELIARKANGSIRDAVQMLDQLTLLGKRISKSVTYDLIGDVSDEELLDLLNLAFSSDAATIVRRARELLSSKVDPLQLLAQLANLIMDILAGRHQSDSQEVRKVTDDGAAILKDESLDTSSHLCYQNKIGCLDMNLGDPDVLETIWIKALENCTSKPLHSLLRKDGKLSSLYTTQGVAVAELQFCHPEDVPTTESFWNPFVVSLQNLLKCNVDIRINLSPISTSNRVVSKNSSVSLVMQSREDQETEDPVAAGCRTVASSRKECPSPLAVQPKEKPSHILGCLHATDGDAVDTESRILSYQKISVIPEASTPGNGSFKAGGHTPKVDEARARRGCFSKLLQRRAGAPYQNGALPRRGLFKCCFCKIRPDCKTKVEETDGFQGHSKN >ORUFI08G01470.2 pep chromosome:OR_W1943:8:1049052:1053107:1 gene:ORUFI08G01470 transcript:ORUFI08G01470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGRRHSVDIPISRALLAVMRSRSLRDPETNSLAKFSAKKTIWEGCSLEEDDLEGSNYGRHSFSYNMYDHLQRRREEFGDSARSGRLVNSPINIIKANARVKAALHNQTCSSVISGMSRATKDRASSLMIEGEQLGRREGATFQESARSLLQKYRPKAFSELAGQNVVAQSLSSAVLKGKLAPIYLFHGPHGIGKTSAARIFAASLNCHSSGGNQPCGHCEECMAIFSGNSSSVIEIDASKLDCKSRVAALLRNACEVPASSHFKVLIVDDCQHMDKEGWYSVYSSLEGIPDSSIFVMITSDIDKLPSNSVGWCQSYRFCKVDEAEIALRLSRICINEGMEFEAEALELIARKANGSIRDAVQMLDQLTLLGKRISKSVTYDLIGDVSDEELLDLLNLAFSSDAATIVRRARELLSSKVDPLQLLAQLANLIMDILAGRHQSDSQEVRKVTDDGAAILKDESLDTSSHLCYQNKIGCLDMNLGDPDVLETIWIKALENCTSKPLHSLLRKDGKLSSLYTTQGVAVAELQFCHPEDVPTTESFWNPFVVSLQNLLKCNVDIRINLSPISTSNRVVSKNSSVSLVMQSREDQETEDPVAAGCRTVASSRKECPSPLAVQPKEKPSHILGCLHATDGDAVDTESRILSYQKISVIPEASTPGNGSFKAGGHTPKVDEARARRGCFSKLLQRRAGAPYQNGALPRRGLFKCCFCKIRPDCKTKVEETDGFQGHSKN >ORUFI08G01470.3 pep chromosome:OR_W1943:8:1049375:1053107:1 gene:ORUFI08G01470 transcript:ORUFI08G01470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGRRHSVDIPISRALLAVMRSRSLRDPETNSLAKFSAKKTIWEGCSLEEDDLEGSNYGRHSFSYNMYDHLQRRREEFGDSARSGRLVNSPINIIKANARVKAALHNQTCSSVISGMSRATKDRASSLMIEGEQLGRREGATFQESARSLLQKYRPKAFSELAGQNVVAQSLSSAVLKGKLAPIYLFHGPHGIGKTSAARIFAASLNCHSSGGNQPCGHCEECMAIFSGNSSSVIEIDASKLDCKSRVAALLRNACEVPASSHFKVLIVDDCQHMDKEGWYSVYSSLEGIPDSSIFVMITSDIDKLPSNSVGWCQSYRFCKVDEAEIALRLSRICINEGMEFEAEALELIARKANGSIRDAVQMLDQLTLLGKRISKSVTYDLIGDVSDEELLDLLNLAFSSDAATIVRRARELLSSKVDPLQLLAQLANLIMDILAGRHQSDSQEVRKVTDDGAAILKDESLDTSSHLCYQNKIGCLDMNLGDPDVLETIWIKALENCTSKPLHSLLRKDGKLSSLYTTQGVAVAELQFCHPEDVPTTESFWNPFVVSLQNLLKCNVDIRINLSPISTSNRVVSKNSSVSLVMQSREDQETEDPVAAGCRTVASSRKECPSPLAVQPKEKPSHILGCLHATDGDAVDTESRILSYQKISVIPEASTPGNGSFKAGGHTPKVDEARARRGCFSKLLQRRAGAPYQNGALPRRGLFKCCFCKIRPDCKTKVEETDGFQGHSKN >ORUFI08G01480.1 pep chromosome:OR_W1943:8:1058645:1062682:-1 gene:ORUFI08G01480 transcript:ORUFI08G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRNEGFLTQDQREKLRIAVQNAETLSLASPRSPTGGSTSALLQQYEQQRAAAAAAAARGGGGGGGGGGVRHVRRSHSGKTIKVKKDGAGGKGTWGKLIDTDTDACLDRNDPNYDSDEEPYELVEAPVSTPVEDYKKSVAPIIEEYFSTGDVKLAASDLKELGYDDFHRYFVKKLVSMAMDRHDKEKEMASVLLSSLYGDVISSTQIRLGFVMLLEAVDDLAVDILDAVDVLALFIARAVVDDILPPAFLSREKASLSESSKGMQVVQIAEKSYLSAPHHAELLERRWGGSTRTTVDAVKLRITDLLKEYIKNGDTAEACRCIRELAVPFFHHEVVKRALTLGMESPTAEALIVKLLKEASEELLISSSQMMKGFSRVVDSLDDLSLDIPSAKSQFQTLVSKAVSEGWLDSSFVHVGANGDVQDDEHEKLRRYKKEAVSMIHEYFLSDDVPEIIRSLKELGSPEYNPVFIKKLITIAMDRKNREKEMASILLSSLSMELFSTEDIVKGFIMLLESAEDTALDILDASDELGLFLARAVIDDVLAPLNLDEISGKLPPNCSGAETLNMARSLATARHAGERLLRCWGGGTGWVVEDTKDKIAKLLEEYESGGDVGEACNCIRELHMPFFNHEVVKKALVMAMEKKNDRILGLLQECFGEGIITINQMTKGFSRVRDGLDDLALDIPDAREKFLSYVEHAKKSGWLLPSFGVATSA >ORUFI08G01490.1 pep chromosome:OR_W1943:8:1064623:1065976:-1 gene:ORUFI08G01490 transcript:ORUFI08G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYKDELIRNAAYIGTPGKGILAADESTGTIGKRLASIGVENVEENRRALRELLFTAPGALDCLSGVILFEETLYQSTRDGTPFVDVLAAAGVLAGIKVDKGTVELAGTDRETTTQGHDGLGERCRRYYAAGARFAKWRAVLSIGRASSRPSQLAVDANAQGLARYAIICQENGLVPIVEPEILVDGEHGIEACAEVTERVLAACYKALSDHHVLLEGTLLKPNMVTPGSDAARAPPEVVAEHTVRALLRTVPPAVPAIVFLSGGQSEEEATRNLNAMNQVASRGKKPWSLTFSFGRALQQSTLKAWAGKAENVGKAQAALLARCRANSQATLGAYAGDAAAGEGVSENLHVKDYKY >ORUFI08G01500.1 pep chromosome:OR_W1943:8:1068073:1072228:-1 gene:ORUFI08G01500 transcript:ORUFI08G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPSAAEVAVTVIPVTLSVAACSGRHVESTETALGAEVHLCINKIMIHRYPAILQNLKMDYYRYFVPSVVAIGPYHHGARHLQEAEKIKWSAVCDFCKNTGHSADEVYWKILPIASGARSCYEGDAVVGVRKAEFAAMMIRDGCFLLQFMAHMCDVAVDPLLQTWFGSKQPSILRDMFMLENQIPWVVLEALMTFMPVPVPVDNFISNAGASFNVRLDDNINPFDLNEISCKPHLLGLLRYYQSGLSKLGESSWVLKRPEGVTTALRQSSSAIELAEIGIDVVATEASWFADMKISKGLLFGKLSMPPLVMDDLNACWLLNMVALESYLAFTGKNDVQTVSSYISLLAMLMNRKEEVHELRLKGILHGKFSDKCTLSFLKNLAGLISLPPQHVCLLAHLEAYQRKRWMWIPIHKFLYNNYRIIVTVFSVIGVLVGIFKTLVSIEQQMQL >ORUFI08G01510.1 pep chromosome:OR_W1943:8:1074639:1077174:1 gene:ORUFI08G01510 transcript:ORUFI08G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPTAEALRPGDQGWRRDARLAVEALLLRWRAAAEPGGQPVEALCSVPLWTLEFGVP >ORUFI08G01520.1 pep chromosome:OR_W1943:8:1085626:1086993:1 gene:ORUFI08G01520 transcript:ORUFI08G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVVAIHVDVGHGVPDEAQASTKIQKLDGSHITPIAQGKIHRFPRGLIGIGGSNEQRYIVPTFVAIGPYHHGKPHLHKMEEVKLAAMNRFIATANGASAGDVSGKLLSVVGDVRGCYADDEKLKCFSDDDFAAMMLVDGCFLLQFMMEKRKPLFEGRALSSEYSILKDMMLLENQVPWLVLDTLMEFLPMEMEVEQNVRCFVADVGDMFLRNNKEHEVSLTTSCFSVLFEVSPHKSSFLKDYKPANLLDLLRSSQIFRMPTEELSVRLVGSSLLSSSAVELAQIGVNLTASTAEWFGDMSVKEGPVYGELSLSPIFLNDVSAGWLVNMAALEASGGATTADQSSSSSSVMCSFLSVVAMLMDREEDVHQLRAKQVLYSTLSNAQTLDFFKRISQHLGFGHRYFYILQQINKFKQGRPVRSAVHKFLYKHIRAISIILSIASVLVGIFKALREL >ORUFI08G01530.1 pep chromosome:OR_W1943:8:1091490:1092860:1 gene:ORUFI08G01530 transcript:ORUFI08G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEAVAIYIDVPASHGAAIAQGKIHRFPRGLRGIGGSDERYIAPTVVAIGPYRHGEPPHLQDMEKAKLAAANHFFAASVEDVYGKLLSVVGKARDCYDDDDKRRRVRRHDGCFLLHYLSRGSAVASDHTILKDVMLLENQIPWLVLDTLMEMEFLNVDVRNFVAGLGDKFFPKNGDKAGRWWSVVWATGQRGNITKLKRDINGGQSYESYRPAHLLVLLRFSQIWRMPEREINYVAANTSLLSSSAVELAQIGVNLTASTAATSSGAAASYVYGDFVVSSYLSALAMLMDREEDVQQLRGHRVVLSTFSNTQTLDLFKRIGQHLSLGSRYFVVLEQIEAYRRNRPARTMVYKFLYKHIKAISVILSIVSVFVGIFKALRGR >ORUFI08G01540.1 pep chromosome:OR_W1943:8:1105395:1105760:1 gene:ORUFI08G01540 transcript:ORUFI08G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAAAAATAGIISPPTPRLLRANTRATFVSFPRRSPPTTSLLSASSALAPAPPSANPKYHNAKVDEGDEEVDGEELLQRFRREVARAGVMEEIRWRRRHEDARDKRKRKARSAGRRFRRR >ORUFI08G01550.1 pep chromosome:OR_W1943:8:1107509:1107788:1 gene:ORUFI08G01550 transcript:ORUFI08G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGAIEVELAIEVAGEAVGRRGFSGDGYGRWYCHLERSSLGWSDTVNNSVVGGLITNKCTSKFIWGSSRFLELLPSC >ORUFI08G01560.1 pep chromosome:OR_W1943:8:1108806:1113177:-1 gene:ORUFI08G01560 transcript:ORUFI08G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGRSVEEVYGKVLAVAGEAHGCYDNDDDAVAQFSDDEFAEMMFLDGCFLLKYMVLDNDCSLLENRMVLSTGPCIQRDIVLLENQIPWLVLDALMSIISPIDVHMFLTAVISDPIYVARERRLLITDRDVIVKSSVPHLLGLARSHLIDGMPTDHLELGFSDDDDDDHDTRLRKVLPLFGELSLTPLFLNDSIACWLVNMVALEACCSKEYPRDGFVVSSYLSLLAMLMDKEEDVHELRARHIVDSFFSDQEMLAFFKGLARHLRLGSRYFAAIQKIEAYKHDKRVFIAVHKFFYHNLKIIVQPLETAEQPTAMATAAAEAVAIPVEEELKRAAEKMENNFSKIKTKIHRYPSIFRSLISTDDRYFVPRAVAIGPYHHGAPHLKEAEEVKRAAAYYFCGESGHSVEEVYQRILLVVAEARSCYVDDDTVASIGEGDFAAIMFHDGCFFLQYIICSTDDIAPSLESWFNSNDASMERDIFLLENQLPWVVLDALMTFSYTPSHLLGFLRYYQSGLSMPNGSMALEPPEGITSISQTSSAIELAEMGINLVANKKTWFNDMSISKGALFGKLFMAPLVMDDQNACWLINMMALEIFSASTGMASTGMDGEDTVCSYVSLLAMLMSREEDVHELRVKRILHAYRRKRWIWIPIHKFIYNNLKTIVTVFSIIGVLVGIFKTLMSIKQHQQ >ORUFI08G01570.1 pep chromosome:OR_W1943:8:1119093:1120848:1 gene:ORUFI08G01570 transcript:ORUFI08G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAAAAAATAGIISPPTPSLLWANTRATFVSFPRRSPPTTSLLSASSAPAPAPPSANPKYHNAKVNAGDEEVDGEELLQRFRREVARAGVMEEIRWRRRHEDARDKRKRKARSAARRFRRRHFKGPYPFGDEQEPKEGIMEDDKHDNWELPGGELPSYR >ORUFI08G01570.2 pep chromosome:OR_W1943:8:1119093:1121993:1 gene:ORUFI08G01570 transcript:ORUFI08G01570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAAAAAATAGIISPPTPSLLWANTRATFVSFPRRSPPTTSLLSASSAPAPAPPSANPKYHNAKVNAGDEEVDGEELLQRFRREVARAGVMEEIRWRRRHEDARDKRKRKARSAARRFRRRHFKGPYPFGDEQEPKEGIMEDDKHDNWELPGGELPSYR >ORUFI08G01580.1 pep chromosome:OR_W1943:8:1123615:1126294:-1 gene:ORUFI08G01580 transcript:ORUFI08G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGQVWTGVRAGINGNHRRFGFGASASSPFTAATAAERDDRGERERERERESSSGRRRRGGAVNGAARGVSRRSWIDLSERGAAGDSGACVRGMALAAKERKLSRLGSKGSGGGGGGGSFGARGQRAPAGTQRRLFAAFFAFLFAGAVLFGAAHVIGASFRPVLKTAWPSATLNAVSSERGAQQAGMVSVDAVLPSVHIQHAVALPDHVLLMLRDGSLLPASGQFECLYSPVNSSQLRRQPLSVATLPDGPSLVHCPAGPSRVAVSLSLAQSVPVAPLQWDRLVYTALIDSKDNSTVVFAKGMNLRPGRLGVPSRYECVFGRDFSKPKLVVTSPVVSAAQEIFRCVTPVRIRRYLRMTTGGKNSVNNDDKPMLVSIRTKGRGSSTLPSIAQPEPLPRYNKHWRRKAHSMCVCTMLRNQARFLREWIIYHSRIGVQRWFIYDNNSDDGIEEVLNTMDSSRYNVTRYLWPWMKSQEAGFAHCALRARESCEWVGFIDIDEFLHFPGNQTLQDVLRNYSVKPRIGELRTACHSFGPSGRTKIPKKGVTTGYTCRLAAPERHKSIVRPDALNPSLINVVHHFHLKEGMKYVNIGQGMMLINHYKYQVWEVFKDKFSGRVATYVADWQDEENVGSRDRAPGLGTKPVEPEDWPRRFCEVYDNGLKDFVQKVFTDPHTGNLPW >ORUFI08G01590.1 pep chromosome:OR_W1943:8:1147797:1149563:1 gene:ORUFI08G01590 transcript:ORUFI08G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTCSTMLPSSATTRPVAESTAVASERMFPTTTASYVPSITSAAMLTPVPFNETEEAKADMGKVEDKSIKTFHDMCVEIKDMINQMLETCHNSKVEPTLGNDLIGVADVSCTTNDLIPIALEASQEADGDGDDLAMEDDCVEYTTVETKLCPVLSINDQWMDHKEKASFDMELLESWFTLVASWLSLICTPYDEKMQVKAPWPPPAREVQRHPNIKQVIDPRPLLQLAGAKETPWVSFQRHLFKAQLRESWGLPQVEQTIDTTDNDCAINKLINSKQMVNEVTETNCFEMELHVGKISLSTSWKIWDLCSALKMMLVEDIASACKNRNITKGIKRAIDRNILQEGCLYWFLFI >ORUFI08G01600.1 pep chromosome:OR_W1943:8:1158985:1160988:1 gene:ORUFI08G01600 transcript:ORUFI08G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPLHLRRLKLLGSNSAALFLSRQLHLYSFVIYLFLYKVKHKPDGPFMPYVVPAPAVRDKGVNCRDEGPSLACTHAIKGATIDCTLQAVHEYAGDEHMEE >ORUFI08G01610.1 pep chromosome:OR_W1943:8:1166726:1169284:-1 gene:ORUFI08G01610 transcript:ORUFI08G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSNLACVKDPNIQYLFRFYPPVTVSSSRLVSSRPSNPFPLLLFAAAVLQRLGFSSPPASGFFTAGECSSSQPCASSSPDAAGDVWAKLVPSDSVFPEVELAEDDAVVCSRVTPDGGGEVVAWCKIRRGGDVSAATIWNLSLDAIIVDGRVIQQEAVDIKPGSEIVPGPQKDGIENAKCSICLNLWDDVVTVASCLHNFWTTVQLVGGNHFLHNIEERSDEEIALLESYAPVKTNILIKAIYTIRCTTRQRSVPSSASTLP >ORUFI08G01610.2 pep chromosome:OR_W1943:8:1166726:1169284:-1 gene:ORUFI08G01610 transcript:ORUFI08G01610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSNLACVKDPNIQYLFRFYPPVTVSSSRLVSSRPSNPFPLLLFAAAVLQRLGFSSPPASVLGFLHRRRVLQLPALRVLLAGRCRSVVPSDSVFPEVELAEDDAVVCSRVTPDGGGEVVAWCKIRRGGDVSAATIWNLSLDAIIVDGRVIQQEAVDIKPGSEIVPGPQKDGIENAKCSICLNLWDDVVTVASCLHNFWTTVQLVGGNHFLHNIEERSDEEIALLESYAPVKTNILIKAIYTIRCTTRQRSVPSSASTLP >ORUFI08G01610.3 pep chromosome:OR_W1943:8:1166726:1169284:-1 gene:ORUFI08G01610 transcript:ORUFI08G01610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSNLACVKDPNIQYLFRFYPPVTVSSSRLVSSRPSNPFPLLLFAAAVLQRLGFSSPPASGFFTAGECSSSQPCASSSPDAAGDVWAKLVPSDSVFPEVELAEDDAVVCSRVTPDGGGEVVAWCKIRRGGDVSAATIWNLSLDAIIVDGRVIQQEAVDIKPGSEIVPGPQKDGYTRSDEEIALLESYAPVKTNILIKAIYTIRCTTRQRSVPSSASTLP >ORUFI08G01610.4 pep chromosome:OR_W1943:8:1166726:1169284:-1 gene:ORUFI08G01610 transcript:ORUFI08G01610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSNLACVKDPNIQYLFRFYPPVTVSSSRLVSSRPSNPFPLLLFAAAVLQRLGFSSPPASVLGFLHRRRVLQLPALRVLLAGRCRSVVPSDSVFPEVELAEDDAVVCSRVTPDGGGEVVAWCKIRRGGDVSAATIWNLSLDAIIVDGRVIQQEAVDIKPGSEIVPGPQKDGYTRSDEEIALLESYAPVKTNILIKAIYTIRCTTRQRSVPSSASTLP >ORUFI08G01620.1 pep chromosome:OR_W1943:8:1171120:1173391:-1 gene:ORUFI08G01620 transcript:ORUFI08G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFVMSGNRSCQIYTNNFLDRSADKAFTSTKVAVATGTLIEPLLWARRHINWINSRSIIRRMLTVRPREDQRSDRSSFGQTDPSRSDRPPNSKAGNRIL >ORUFI08G01630.1 pep chromosome:OR_W1943:8:1173559:1175237:-1 gene:ORUFI08G01630 transcript:ORUFI08G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNNDIFLGQIKRCRRSYSNLQEESKSLSLSFLSFQVGDEPVRDAAGVIVAAMTISEPSPLPSRLIRPPSSTFINISISSQMDSSIHLQIIQEATPKKVLMATTGDAFTLVAVSSVTINVYSIIFDGATTFLTLIMKPLEEIAIRSAAARCLHAVLPERTTDRRSTRGSTLPSCPDPGGLDGAVGDARRAALALKLVTIIMQPRHCHVVFVLADQTLSHCLPEHVGLRQNAIARGPYAVIKTGRDSFSSSSRGRCAVCPRRFHFIMLESFVMSRDHHVGAPLLGAYKPSSSRSRRAVRP >ORUFI08G01640.1 pep chromosome:OR_W1943:8:1181375:1194808:-1 gene:ORUFI08G01640 transcript:ORUFI08G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLITSAITCAVGIIFFSVCYLHPAPVAALYFNYSTFSQDDGNTIRLEGDASFGDGWIDISANRYGHRGHSKGRASYSARPMLLWSRDTGEVASFTTRFSFNITPPKEDGGIDNKGTGMAFFLAAYPSMLPSGVDELGYNIGLTDQGPDAVATGDSRFVAVEFDTFNNTMVHDPDATYDHLGIDVNSVVSNKTLTLPSFTLVGNMTAVVEYDNVSSILAMRLHLGYGLSGPRHRPDYNLSYKVDLKSVLPELVSVGFSASTTTSFELHQLHSWYFSSSLEPKAAVRGRVVAGATVGTVMFVILLFAMVAVLVRQRQSKKRETEEAKNGGMDGSDDDDDDDGETIVEIEMGTGPRRFPYYELVEATKSFAAEEKLGQGGFGTVYRGYLREQGLAVAIKRFTKDSSKQGRKEYKSEIKVISRLRHRNLVQLIGWCHGHDELLLVYELVPNRSLDIHLHGNGTFLTWPMSVRIDSINQRGGNTTRRPSMAGVLQITSSTTTTRYNIVGLIFFFSVLCDDLYSPAPVALALTFNHTNFGPDEQTNIRLEGDAAFSADFSFSGDGGGWVDISANRHGSIEDSRGRVSYALPVPLWDAATGEVASFTTGFSFVINPPKQDGGIDNKGAGMAFFLAGFPSRLPGSYPYNLGLTNQTADQVAAGDDRVKIILGLGSALFYLHEEWEQCVVHRDIKPSNVMLDESFNAKLGDFGLARFIDHIVGMQTMTAVSGTPGYVDPECVITGRASAESDVYSFGIVLLEVACGRRPMSLLDSQKNGIFRLVEWAWDLYGKGDILMAADERLNGDYDAAEMERVIVIGLWCAHPDPNARPSIRNAMAMLQSGGQLPVLPAKMPVPMYIPPVVSVDELFTSPAGMSSSSATQSSTTTVSGYATHISTSSDTSTSAVRLDPNIFFLSVFCDDLYSPALVVVALTFNHTNFGPDEQTNIRLEGDAAFSADVSFSGDGGGWVDISANRLDGNIDHSRGRVSYALPVPLWDAATGEVASFTTGFSFVINPPKEDGGINNKGAGMAFFLAGFPSRLPYKVDLKSVLPEQVAVGFSAATSTSVELHQLRSWYFSSSLEPKATPPPVAPPSPSPPPTSGSGSGGVVAGAIVGAALFVVLLFAMVAVVVLVRRRHQRKKMREAEEANDDDDDTEGDPIMEIENGMGPRRFAYHVLVNATKSFAAEEKLGQGGFGAVYRGYLREQGLAVAIKRFIKDSSNQGRREYKSEIKLIGWFHGRNELLLVYELVPNRSLDVHLYGNGTFLTWPMRINIVIGLGSALLYLHEEWEQCVVHRDIKPSNVMLDESFNTKLGDFGLARLIDHADGVQTMTHPSGTPGYIDPECVITGKASAESDVYSFGVVLLEVVCARRPMSLLDDQNNGLFRLVEWVWDLYGQGAIHNAADKRLNNDYDVVEMERVIAVGLWCAHPDRCQRPSIRAAMMVLQSSGPMPMLPAKMPVATYAPPVASSEGQLSSSTGMSSSSLTQTAITPR >ORUFI08G01650.1 pep chromosome:OR_W1943:8:1202295:1202525:-1 gene:ORUFI08G01650 transcript:ORUFI08G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLRRLFPFLVGTAVGVYAAQNYKVPNLRGLADRGVDAAKHYEEAYRKKPSAGGGGGGSRKKMNKTVEIDDEEE >ORUFI08G01660.1 pep chromosome:OR_W1943:8:1204484:1204795:-1 gene:ORUFI08G01660 transcript:ORUFI08G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYKYGFAFLAGTGFGAALTSLRRDGDSCCPMRRRRRRCRRHHHDDDDQLVDGDGEAAGEERDMKESKRATTTTNPKAKKGSNKEKKAAASVAREEEDDDDE >ORUFI08G01670.1 pep chromosome:OR_W1943:8:1205994:1206365:-1 gene:ORUFI08G01670 transcript:ORUFI08G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVMLPAAAAAGGSCANCLATAQVSTTAQPTRREGEKRGEMGGADDGEEERVGRRRPGAEMLTAMARLGDDCAAASDGEMAAVAARGEGICATLDASTRGRCDGGDAATMGRGGWEVVVAVR >ORUFI08G01680.1 pep chromosome:OR_W1943:8:1234311:1236182:-1 gene:ORUFI08G01680 transcript:ORUFI08G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVLMTKPFMAAAAAALVSLLVMLRCLPSVVATTVSFNYSSFSNASKNITLQGSAALAGAEWIELTKGKGNNLSSGGTMGRMVYTPPVQLWDAATGEVASFTTRFSFNITPKNKSNKGDGMTFFLVSYPSRMPYMGYGGALGLTSQTFDNATAGDREEEEDDSEGDPIVEIEMGTGPRRFPYHILVNATKSFAAEEKLGQGGFGAVYRGNLRELGLDVAIKRFAKDSSKQGRKEYKSEIKVISRLRHRNLVQLIGWCHGRDELLLVYELVPNRSLDVHLHGNGTFLTWPMRINIVLGLGNALLYLHEEWEQCVVHRDIKPSNIMLDESFNAKLGDFGLARLIDHNVGVQTMTHPSGTPGYLDPECVITGKASAESDVYSFGVVLLEVACGRRPMSLLDNQNNSLFRLVEWVWDLYGQGVVLKAADERLNNDYDATSMECVMAVGLWCAHPDRYARPSIRAAMTVLQSNGPLPVLPSKMPVPIYAPPMASSEGQLSSSTGMSSSSLTITSITPR >ORUFI08G01690.1 pep chromosome:OR_W1943:8:1246822:1263112:-1 gene:ORUFI08G01690 transcript:ORUFI08G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLQSSGQLPVLPAKMPVPTYAPPVASVEGLFTSSTGMSSSSAAQSSSTTSGYITHTSSSSNTSTSAGQNNIGGRERRRTRQRATSRFAKDSSKQGKKEYMSEIKVISRLCYHNLVQLIGWCHGRDELLLVYELVPNRSLDIQLHGNGTFLTWPMRVKIVLGLGSALFNLHEEWEHCVVHRDIKPSNVILDESFNAKLGDFGLARFIDHAMGMQTMTAVFGTPGYADPECVITGRATSESDVYSFGFVLLEVACGRRPMSLQDNQKNGIFWLVEWAWDLYGQGDVVSAADERLNGGYDVSELEHVIIVGLWCVHPDPSARPSIRTAMAMFQSSGQLLVLPAKMLALPVTLVEGLFTSSTRMSSSSTCNTIIINDQWLHHSHLDLSLASYIVVTLARRSTPAVMAGFSLISCAVGIIFFSVCYLPAAPAAALSFNYSTFSSDNQEDFTFEGNASIHDGCIDFVANGTWGRGYRPYSTGRVSYKLPVRLWDAATGEVASFTTTFSFNITPMNISDKGDGMAFFLVSYPSRMPLTADGDTLGLVSNKPENAPAGGDQFVAVEFDTYPNPGLDPNATGDHIGIDINSVRSLTTEPLTNSSLIGNMTAIVHYDSSSSILSVKLWINDTTKPPYNLSYEIVDLKKKLPENVTIGFSAATGASDELHQLTSWYFNSSSSFEHKHGRAGVVAGATVGATLFVVLLFTAAAILIRRRRIKNRKEAEDEQDISSDSEDNDGEPIVEIEMGTAPRRLPYYELVEATKNFAVEEKLGQGGFGSVYRGYLREQGLAVAIKRFAKDSSKQGRKEYKSEIKVISRLRHRNLVQLVGWCHGRNELLLVYELVPNRSLDVHLHGNGTFLTWPMRIKIVLGLGSALLYLHQEWEQCVVHRDIKPSNVMLDESFSAKLGDFGLARLIDHTIGIKTMTAMSGTPGYLDPECVITGRASAESYVYSFGIVLLEVACGRRPMSLLDSQNNGVFRLVEWAWDLYGKGDVLMAADKRLDGDYDSAEMERVIALGLWCVHPDPSVRPSIRDAMAILQSSGGQLPVLSAKMPVPMYAPPMASFDGLAVCVIYRDVVVLRCDAVVVHIDDERPCYSHLVFLGFLRFNRFEGLVFIAQASVLVFSVCFLICLFLV >ORUFI08G01700.1 pep chromosome:OR_W1943:8:1258960:1259166:1 gene:ORUFI08G01700 transcript:ORUFI08G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMNLALRSSLAVLASGAVGTSGSDADNGVGSGAWDLGSDDKDMDLALGSSSMAMSASGVPRLRWR >ORUFI08G01710.1 pep chromosome:OR_W1943:8:1263131:1265148:-1 gene:ORUFI08G01710 transcript:ORUFI08G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVLMTKPFMAAASALACLLVMLRCLPSVVATTVSFNYSTFSNAKNITLQGSAAFAGGGCIEITTGSNLPSSGTMGRVAYTPPVQLWDAATGEVASFTTRFSFNITPTNLDNKGDGMAFFLVGYPSRMPDKGDGGALGLTSRYFDTVQPGENRFVAVEFDTYLNRDFDPNATYDHIGIDVNSIRSVQTESLPSFSLTGNMTAIVDYNSSSSILSAQLVKTWTNGSTTLYNLSTTVDLKSALPEKVSVGILAATGLSLELHQLHSWYFNSSFQQNPPPAVQHSPTTSGSGLAGVIAGATVGAALFVVLLFAMVVVLVRRRRSKKRREVEEAEEARHVGLARDDDDDDDGEPIVEIEMGMGPRQIPYQDLIEATNSFAAEEKLGQGGFGAVYRGYLREQGLAVAIKRFAKDSSKQGKKEYRSEIKVISRLRHRNLVQLIGWCHGRDELLLIYELVPNRSLDIHLHGNGTFLTWPMRVKIVLGLGSALFYLHEEWEQCVVHRDIKPSNLGDFGLARFIDHAVGMQTMTAVSGTPGYVDPECVITGRASLESDVYSFGIVLLEVACGRRPMSLQDNQKNGIFRLVEWAWDLYGQGDVVSAADERLNGDYDVSEMERVIVVGLWCAHPDPSA >ORUFI08G01720.1 pep chromosome:OR_W1943:8:1283384:1285396:-1 gene:ORUFI08G01720 transcript:ORUFI08G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLTTCATGLVIFFSVCYLQPPAPVAALSFNYSNFSSNNQNIEIEGKASIRVGYIDISANDARDIFTSAGRVSYKTPVQLWDAATGELHHNLLLQHLVDSASPTSPTPTSPPARTGPVRRLVAVEFDTYLNRDFDPNATYDHIGIDVNSIVSVTNESLPDFSLNGSMTATVDYNSSSSILSVKLWINDTTKPPYNLSDKVDLKSALPEKVTIGFSAATGASVELHQLTSWYFNSSPSFEHKHGRAGVEAGATVGATLFVVLLFTVAAILIRRRRIKNRKEAEDEQDISSDSLDDDGEPIVEIEMGTGPRRFPYYELVEATKSFAAEEKLGQGGFGAVYRGYLREQGLAVAIKRFAKDSSKQGRKEYKSEIKVISRLRHRNLVQLIGWCHGRDELLLIYELVPNRSLDIHLHGNGTFLTWPMRVKIVLGIGSALFYLHEEWGQCVVHRDIKPSNVMLDEFFNAKLGDFGLARFIDHTVGMRTMTAVSGTPGYVDPECLITGRASVKSDVYSFGIVLLEVACGRKPMSLLDSQTDGVFRLVEWAWDLYGKGDVLKVVDVRLNDDYDVTEMERVIVLGLWCAHPDPSARPSIRDAMAILQSSGG >ORUFI08G01730.1 pep chromosome:OR_W1943:8:1294639:1297376:-1 gene:ORUFI08G01730 transcript:ORUFI08G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSLITCAADLVIFFSVCYIQPPAHFAALSFNYPTFASSHNQYIEIEGNASVASVQLWDAATGEVASFTTRFSFNIIPGNRSKKGDGMTFFLTSYPSRLPEGDAGGQNLGLTNQTVGVSTGENRFVAVEFDTFVNPFDPNATNDHIGIDVNSVVSVTNESLPNFSLIGNMTATVDYNNNSRILSVKLWINGSTTPYTLSSMVDLKRALPENVTIGFSASIGSAYEQHQLTSWYFKSTSSFEQKLAAEVASPPPPSSPSPPPTSRRGGVVAGATVGVVMFVILLFTMVQVLVRRRQSKKRREAQDGSWHGSDDDDGGELIMEIEMGTGPRRFPYHKLVDATKSFAPEEKLGQGGFGAVYRGYLRELGLAVAIKRFAKNSSKQGRKEYKSEIKLIGWCHGRTELLLVYELFPNRSLDVHLHGNGTFLTWPMRINIVHGLGSALLYLHEEWDQCVVHRDIKPSNVMLDESFNAKLGDFGLARLIDHAVGIQTMTHPSGTPGYLDPECVITGKASAESDVYSFGIVLLEVACGRRPISLQDTQNNCLFRLVEWVWDLYGQGAVLKAADERLNNEYDTTSMECVMAVGLCRYPSPYRGPGIVGVISYDIQLMLSNDCIDYY >ORUFI08G01740.1 pep chromosome:OR_W1943:8:1297440:1307254:-1 gene:ORUFI08G01740 transcript:ORUFI08G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLKRALPENITVGFSASIGSAYEQHQLTSWYFKSSSSFEQKLAAKDCDDVGFEEEVRDGRKIAKREQNGLVNAATGAASARQDDLPTSNGRRCAVDSNVSKDKVIKVKRNMIPVQHISTETPNKMRQRAAKDYVEVVSLLLQQEKPISTQY >ORUFI08G01750.1 pep chromosome:OR_W1943:8:1307876:1308055:-1 gene:ORUFI08G01750 transcript:ORUFI08G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPAGRIREWRWSRRLLLADPVTVAVAVFPAGGSGGRFSTTSSSPSTPKLVLRQRR >ORUFI08G01760.1 pep chromosome:OR_W1943:8:1308432:1309233:-1 gene:ORUFI08G01760 transcript:ORUFI08G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRPICKQQKTMKKEKNVLTWTFLSILHILISNFSYFLLSSPLTAITVLLNDLPVVPLLNHRLLFLFSLLSFAIILTSYSILHVCSCNNHFYFKGKGYNWREKKRIKLERKGKRTVEDNQQNIRLGKELDKMEKTKRSMESNS >ORUFI08G01770.1 pep chromosome:OR_W1943:8:1310129:1318727:-1 gene:ORUFI08G01770 transcript:ORUFI08G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFDLLLFRRHAPGRERVQWRIPQIQTQTGSIGSCVVAPPAGEADQYTVLVSQYLSPCTRSIDAGSPAAMAIFSLITCAADLVIFFSVCYMQPPAPVAALSFNYPTFASSHNQRGGVVAGATVGAVMFVILLFAMVAVLVRRRQSKKRREAEDGGWHGSDDDDDGEPIVEIEMGMGPRRFPRFPYHELVDATKSFATEEKLGQGGFGAVYRGYLRELGLAVAIKRFAKDSSKQGRKEYKSEIKVISRLRHRNLVQLIGWCHGRTELLLVYELVPNRSLDVHLHGNGTFLTWPMRINIVHGLGSALLYLHEEWDQCVVHRDIKPSNVMLDESFNAKLGDFGLARLIDHAVGVQTMTHPSGTPGYLDPECVITGKASAESDVYSFGVVLLEVACGRRPMSLLDNQNNSLFRLVEWVWDLYGQGVVLKAADERLNNDYDATSMECVMAVGLWCVHPDRYARPSIRAAMTVLQSNGPLPVLPSKMPVPTYAPPMASSEGQLSSSTGMSSSKNFSFNFLSNNPTKPILLIHYVSFMLLLSLALISSSNQLSNWSLSPNLHYRGRTKSKPPAPVAALSFNYPTFASSDNQNIDIQGQASVSVGYVDISANSVSGMGNSAGRVVYAPPVQLWDAATGEVASFTTRFSFNIIAPSDRSKKGDGMAFFLTSYPSRLPVGHEGGENLGLTNQTVGNVSTGQNRFVAVEFDTFVNPFDPNTTNDHIGIDVNSVVSVTNESLPNFSLIGNMTATVDYNNNSRILSIKLWINETTTPYTLSSMVDLKRALPENVTVGFSASTGSAFEQHQLTSWYFKSSSSFEQKLAAKHLXKLGQGGFGAVYRGYLRELGLAVAIKRFAKNSSKQGRKEYKSEIKVISRLRHRNLVQLIGWCHGRTELLLVYELFPNRSLDVHLHGNGTFLTWPMRINIVHGLGSALLYLHEEWDQCVVHRDIKPSNVMLDESFNAKLGDFGLARLIDHAVGIQTMTHPSGTPGYLDPECVITGKASAESDVYSFGIVLLEVACGRRPISLQDTQNNCLFRLVEWVWDLYGQGAVLNAADERLNNEYDTTSMECVMAVGLCRYPSPYRGPGNVGVNNRSQFAEYFGYTAAMLSFRQATSVTKIGELELLVQFRTGHLLQSSLPRGRTKS >ORUFI08G01770.2 pep chromosome:OR_W1943:8:1318732:1322712:-1 gene:ORUFI08G01770 transcript:ORUFI08G01770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPSVTAPHQRAAPTSSVETTEESGERWVKTQHQCSSVILPPEGVVVPSHPSRVVAGQKPSIGSFETLTDGGVAPLSLNLFTSFSRSLFISSRCKGLHCNKKETIWKANGSKQGNFHSYMFNSALIKDKEVTKVKRNMIPVQHISTETPNKMRQRAAKDYVRVSVYGTGSTAGHGRWGAQRLQSIFILVLEETQTVIYASINYLKSSVTLYHKYETNTPL >ORUFI08G01780.1 pep chromosome:OR_W1943:8:1323099:1345471:-1 gene:ORUFI08G01780 transcript:ORUFI08G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSLISCAVGIIFFSAVCYLPTAPVAALSFNYTNFNSNNPSIEYEGNASFSVGYIDISLNEANGMGNSAGRVSYKQPVQLWEWDAATGEVASFTTTFSFNITPSDRNNRGDGMALFLGSYPSKLPDRAAGATVGATLLVVLLFTTATIIVRRRRIKKRREAEDEENASTDSDNGEPITEIEVGTGPRRLPYYELVEATKNFAAEEKLGQGGFGSVYRGYLREQGLVVAIKRFAKDSSKQGKEYKSEIKVISRLRHRNLVQLVGWCHGRNELLLVYELVPNRSLDVHLHGNGTFLTWPMRIKIVLGLGSALLYLHQEWEQCVVHRDIKPSNVMLDESFNAKLDDFGLARLIDHTIGIKTMTAMSGTLGYLDPECVITGRASAESDVYSFGIVLLEVLYGKGDVLMAADERLNGDYDSAEMERVITLGLWCAHPDPSVRPSIRDAMTILQSSGGQLPVLPAKMPVPTYAPPMASFHGLFASSTGMSSSSGVTQSSSISTTSGHATHTSWSSVPSDSTSSKDSSSLLKHHQTFDNATAGDRFVAVEFDTYNNSFLDPDATYDHIGIDVNALRSVKTESLPSFILIGNMTAIVDYNSNSSIMSHQLRSWYFNLTLEQKQPTGQHSRGGVVAGATVGAILFIVLLFTMVAILVRRRQRKKMREEEEDDSEGDPIVEIEMGTGPRRRRELT >ORUFI08G01790.1 pep chromosome:OR_W1943:8:1360673:1363739:1 gene:ORUFI08G01790 transcript:ORUFI08G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTNLALALGAAGTSSGDDDGRGRSGAQDLSGDDKDSGSGAQELVNGSVRLRSCGALVATAMAPVAKITYGTILIPKWRDLVRHFAPAARADSLMVLHSAAVDARLRQCIAADLSYWSSSNFDNSQFQAL >ORUFI08G01800.1 pep chromosome:OR_W1943:8:1363989:1366261:-1 gene:ORUFI08G01800 transcript:ORUFI08G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGELVTRSSMAAASASAIACLLFLGFLPSLATAVSFSYSTFSNGTKNITLQGSAAIAGDGWIEITTGSNLPSGGTMGRVAYSPPVQLWEAATGEVASFTTRFSFNITPTNLDNKGDGMAFFLVGYPSRMPDTADGGALGLTSRTFDAVMSGDNRFVAVEFDTFNNSFDPSATYDHIGVDVNSIVSVQTESLPSFSLTGNMAAIVDYNSSSSILSVQLVKTWTNGSTTLYNLSTTVDLKTALPEKVSVGFSAATGSSLELHQLHSWYFNSSFQQNPPPAAQPSPTTSGPGLAGVIAGATAGGALFVVLLFAMIVVLVRRRRSKKRREAEEAEEARHVGLAGDDDDDDDGEPIVEIEMGMGPRQIPYHELVEATKSFAAEEKLGQGGFGAVYRGYLREQGLAVAIKRFAKDSSKQGKKEYRSEIKVISRLRHRNLVQLIGWCHGRDELLLVYELVPNRSLDIHLHGNGTFLTWPMRVKIVLGLGSALFYLHEEWEQCVVHRDIKPSNVMLDESFNAKLGDFGLARFIDHAVGMQTMTAVSGTPGYVDPECVITGRASSESDVYSFGIVLLEVACGRRPMSLQDNQKNGIFRLVEWVWDLHGQGDVISAADERLNGDYDVSEMERVITVGLWCAHPDPSARPSIRAAMAMLQSSGQLPVLPAKMPVPTYAPPVASVEGLFTSSTGMLSSSATQSSSTTSGYITHTSSSSNTSTSAGSKDSSSLLKHQYL >ORUFI08G01810.1 pep chromosome:OR_W1943:8:1370759:1372198:1 gene:ORUFI08G01810 transcript:ORUFI08G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPAARGVVGVEHLVLRDVLIREPAARGVEPLALRDVLIREPAARGVEPLALRDVLIREPAARGVEPLALRDVLIREPAARGVEPLALRDVLIREPAARGVEPLALRDVLIREPAARGVEPLALRDVLIREPAARGVEPLALRDVLIREPAARGVEPLALRDVLIREPAARGVEPLALRDVLIREPAARGVVGVEHLVLRDVLIREPAARGVEPLALRDVLIREPAARGVVGVEHLALRDVLIRERAATARPWNDHERLVWTIWATAAVELVGDELAKEATDFVLAAGAITSTSPDAAGGLSSTAIGFCDALQPREEAGGGGGGGGGGEPGGGEAAANDGELVVIKTHCEFPAIARSTPRDNFAVLLHVKAPSIAAEAAPARASVDLVTVLDVSGSMEGYKLALLKRAMGLLGPGDRLAVVSFSYSARRVIRLTRMSEGGKASAKSAVESLHADGCTNILEGLVEAAKVFDGXKTSQN >ORUFI08G01820.1 pep chromosome:OR_W1943:8:1372243:1372731:1 gene:ORUFI08G01820 transcript:ORUFI08G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGEDAVVQRPAEVSTSTEPSMEVERERFCVEATEDIAAAREAAERGAYAAAKAILDRRQEALARSAPGLAGDARCAALVSELRELSARVANRREYEQTGRACMLAGMSSHAQQRATSVQLFSPASALSSGAVPFGYSTPAMQSMVESSRKARESGSGGN >ORUFI08G01830.1 pep chromosome:OR_W1943:8:1374084:1377380:-1 gene:ORUFI08G01830 transcript:ORUFI08G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGRCDGCHGDFGLWEPPLTAECSHRFHLHCVVSGADVCPACNARWTNAPSNPPPQPAGGSTTPFGQTTGFPMRVRPWSSCDKCRGVIDHSQPTVTSECSHTFHLRCFSGSVICPACNARWRDTVAVPNPSPAPPSTFFPAGVPAPPPTFSPFWVSQEYDDDEPVEPPVAAHGGGVAPASNGALVVRTHCEHTAVARSVIRDNFVVLVHAKAPSIAVAEATAAARAPVDLVTVLDVSGSMEGYKLTLLKRAMGFVIDKLGPGDRLAVVSFSYNAQRVIRLTRMSDDGKASAKSAMESLAAGGGTNILKGLVEAAKVFDGRRYRNAVASVILLSDGQDTYNVNGGWGASNSKNYSVLVPPSFKRSGDRRLSVHTFGFGTDHDAVAMNAIAEETGGTFSFIENQAVVQDAFAQCIGGLLSVTVQEARIAITCPHPGVRVRSVKSGRYESLVNGDGRAASVDVGELYADEERRFLVFVDVPAAGAGEDVTELIKVSCTYRDTASRQQMVVAGEXRQLIKVSCTYRDTASRQQMVVAGEHAVVQRPVEVATNTEPSIEVERERFRVEATEDIAAAQEAAERGAHAAAKAILDRRQEALARSAPGLAGDARCAALLSELRELSARVASRREYEQTGRACMLAGMSSHAQQRATSVQLFGAAAPTWSMPMCGSAAPPSLSFGGFGGFATPAMRSMVESSRKRRKGGDGSLSFLDLLQ >ORUFI08G01840.1 pep chromosome:OR_W1943:8:1380742:1381209:1 gene:ORUFI08G01840 transcript:ORUFI08G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGSCAGWASSDGLASRLPLPPKLLRPAATDRDDDEEDDDEDTVLLGIVRHIPCAFDSANRLLSSSPAAAVALSDLLLSHRSRRTGRLLSFSPPPSLRQPALSPFYHRPRTTVGHLSPLCCRVHSRESGEKRREEKKKEMRNMGPTPFSLIYM >ORUFI08G01850.1 pep chromosome:OR_W1943:8:1385137:1389570:1 gene:ORUFI08G01850 transcript:ORUFI08G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHSDIKIKDSKTLLLGEKPVTVFGISLDESNLFMVASVELMFDPVQFERNPDEIPWAEAGAEYVVESTGVFTDKEKAAAHLKGGAKKVVISAPSKDAPMFVCGVNEDKYTSDIDIVSNASCTTNCLAPLAKVIHDNFGIIEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPDLNGKLTGMSFRVPTVDVSVVDLTVRIEKAASYDAIKSAIKSASEGKLKGIIGYVEEDLVSTDFVGDSRSSIFDAKAGIALNDNFVKLVAWYDNEWGYSNRVIDLIRHMAKTQ >ORUFI08G01860.1 pep chromosome:OR_W1943:8:1390502:1393256:-1 gene:ORUFI08G01860 transcript:ORUFI08G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRGWGDLPPDLLALIADGLTIKAYTRVRAVCTAWRAAIPAASPSLLVRLDWNRHDAWFLSPRISTALHERLATLLPDRSVCLGSGHGWVAVHDPIFYELQFGLVDPLTGVDIPFSSFPHFAEHKLRVSKVVFAPHPTPTDFTAAAITGHDEGRVITYTAQGSSGWADAGCPRLGDRDSIADVVYHEERGGGGERAVYCLATSGDVHVLRLHDAGGAFEPLFDRGNAAFDAAAAFAPPYDTIRHCTNAKNLVVCDDGDMYQIWRNNTCTRMGPLPGGGEYRVEYNQMFVLRYHPRRRPCWVPVEDLGGRSVFVGKNNSVALRVDGGGGGGGVPGLRANCVYWTDICPARAKETEGEQNFMHRVHIIITLSSPASFEPLFDKASRRVFHPAVAFAPPHDMIRASSTNSKNLVVCGDGHLYQTWRCDGVHILVLRYHPRRRPCWLPAKDLGGYSVFVGKNNNAVALRVDDGGGAPGLRGNCVYWIDHCSDEAKVFDMETGKSAPCFPGVGAICWCHMQSSSRNTTSVAASAYQLQKGLVMRESA >ORUFI08G01870.1 pep chromosome:OR_W1943:8:1396690:1400232:1 gene:ORUFI08G01870 transcript:ORUFI08G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPELVKLAFARVQRVEPEHVGKIFGVMLLREPDEDELVQLAYGPEATLLAKIEDTKAALTVIYARCSAAAAHGPPGGGGVGVGGGGGYHQQPQQLFSRPPVPACGGVRHHYSPAAAAAAAFGYQVQSPQYWPDSPPAPPTKAAQQEFAPPGLVVDASAEGPYPLRGGQHVLDDNNFGGGYYYPAGEDAFPNGGGGGGGSPARARRSNGLSTRRPCHYFSKGICKNGQNCHYSHHQVYQDALAGAAINGDVYNHQPGGVTPGSLETLEMEITELLNSRRGQPVSIASLPTLYGEKYGKGLQADGYLTESQRHGKAGYSLTRLLSRLNKIRVIERPHGQHSVVLAEDAAKYMDFRGGGGGGGGDTGSVPASSHQIYLTFPAESTFAEDDVANYFGQYGPVRDVRIPCQERRMFGFVSFQSPETVSTILMRRNPHFICGSRVLVKPYREKSKCVDRTCVDNIKSMVPYCPPRFFEFDQELYTAEYDASRLMRKQLAEKREMLLEMERRRATVRRLESMPPQFAYFDCSIEDASPLHSLQDDSKQLDLMNPSLASPDPLEIVSNSQAPPTQAGNIYDDHESNQIELLPESPFAASAPAGNSISTII >ORUFI08G01880.1 pep chromosome:OR_W1943:8:1399998:1405341:-1 gene:ORUFI08G01880 transcript:ORUFI08G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSRGWWNDLPSDLLALVAGCLTFQAYTRARAACAARRAALPAISSPSLLVLHDDHRERSSFSAWFLSPRISIASLATNLISTGRAQRCVGSGHGWVAFGDFNASLVNAFTGDEIPFHSFPEKHGVVMSKVVFAPNPTPAEFTAAAITGHLRVTYTTRGNSGWTDVELPRLGAIGGGDYIADVVYHEKEFGGGKKKKVVYCLTGGGDVLVLRLPAGRRRRQRRPASFEPLFDKASAVFYPAAAFAPPHSTGDKYLVVCDDGHLYQIWMDEKISYGSGAIASVLRYYPRRRPCWLPAKDLGGRSFFVGVNNAVALRVDGGGGGASGLRGNCVYWSARCSSRAKVFDVESGKSATCFPVVDLETGKSAPGFPGGAEAHRALCWFFLADMRSSSSNTRVGTLAAMARGWEDLPPDLLVHIAGGFSIQAYTRLRGVCAAWRDALRPPSPSLLVLRDRHAGQRFAAWCVSPRMVSTALHETLAARLSPASRCVGSGDGWVAAHVPGGAVLVNPHTGDEIPLHSFPGGGGNNVVVFKVVFAPNPTPSEFTAAAITGGGRVVYTTNGNSGWTDFKCPRLGAHGDGGSIADVVYHDHGGGKKVVYCLTAGGDVHVLRLPAGGQRRTTASLVPLFDKPAATFYPAAAFAPPYDTVRTFADSKNLAVCGDGQLYQIWRDDDANATMFVLRYHPRRRPCWLPAKDLGGHAVFIGKNNAVALRGDDGGGATPAPRANCVYWTDVWTDRAKVFDVVTGESTLCFPGAEVSFNTGYILQCFKIVFDHPINVDPMFIGGKGQ >ORUFI08G01890.1 pep chromosome:OR_W1943:8:1420214:1427323:1 gene:ORUFI08G01890 transcript:ORUFI08G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQVADNYPPAKDGRSAQEKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMGPGIAVLILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGVNIVYMVTGGKSLKKFHDVLCEGHGCKNIKLTYFIMIFASVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGKVADVDYHLRATTSTGKVFGFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWKGVVVAYIIVALCYFPVALVGYWAFGNHVDDNILITLSRPKWLIALANMMVVIHVIGSYQIYAMPVFDMIETVLVKKLRFPPGLTLRLIARTLYVAFTMFIAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRRFSLSWFTNWICIILGVMLMILSPIGGLRQIIIDAKTYKFYS >ORUFI08G01900.1 pep chromosome:OR_W1943:8:1420244:1423511:-1 gene:ORUFI08G01900 transcript:ORUFI08G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLWKAEYHHLAFLDDVMGSQSSIAFSCALRPSCHHSMEDQRKKKKKKKKKKKKKKKGCCKEAGRSESPSLYL >ORUFI08G01910.1 pep chromosome:OR_W1943:8:1426502:1432438:-1 gene:ORUFI08G01910 transcript:ORUFI08G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTQKFSKGHPLGFVPDYRHGVETVGESKGCIGSPERIVSGSSCAVPKRKCGILKTEDGGELPGFNVPRDVFMLPRMSPSDKKDLEMRLRKELEQVKALQSRLFSRPAAVSMNGGAASASGDVVAKRNDAKLKRSNSVQSGRGVPPSAATPVVRSANYAEAFKQCGNLLKNLFKHQWAGPFLAPVDVVQLNIPDYFDIIKKPMDLGTIEKKLNAGMYSTPWDFAADVRLTFDNAVTYNPVGNDVNLMGKTLKCIFETRWKFIEKKLPSLDDKFSVRREPSQKGAVKKDTIEKDYPSEKKHSTKGVHKKDMFKKEDASTKPALQPKKRKASPLVQGSLEIPVVEADKVIDDAQVVQPSKVIDDAQVVQAFKVIDDAQVVQASKEIMTDRQKYELSVRLQSYGGLIPNHVVDFIRSHLPDDNEGDEDELELDMNVLSDSTLFELQKLLDDYDRVNQSGNPTKDEHREVEFESEYGLSNSSMHHEEGNELVEEDVDIGGNDLPPLTYPPAVFESETAERSSKHSTSSSSSSDSESSSSDSDSSSSSGSDLDVNVPPSTSGAKDNTQSAVRLDQENDPLSSTNLPQQSSDPVPISAEDEGENVSEKQVPPAKQYRAAVLLNRFADTIFKAREKTLDQVAKKDPEKLQHDMEELERLRREERARIQAEAKAAEDARKRAEAAAAAEAAAEAKRQREREREAARKALQQMEKTVDINEGNLFLKDLEMLGTVTSGEQFPSSVGETSPTHTPEGLGFQLGSNPLEQLGLYMKNDDEEDEEGESADEPTIDVEEGEID >ORUFI08G01920.1 pep chromosome:OR_W1943:8:1436590:1438829:1 gene:ORUFI08G01920 transcript:ORUFI08G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATKCQQLAGPTCQLGPDQFLFPSALASTFRAATPTNPHLHLHTQQTTPTSGRRSPPLPAPRRAPDLPEASRTRPLHPMGDNASASASVLAPPVGAGEGDAPSFSYLAALGNCPLVAAVLAGAIAQFIKVLTTWYKENRWDAKQLVGSGGMPSSHSATVVALAVAVGLQEGFGSSLFATAAIFASVVMYDAFGVRLHAGKQAEVLNQIVYELPSEHPLAETRPLRELLGHTPAQVFAGGVLGFAVATFTGMIAGLGNTGSLP >ORUFI08G01930.1 pep chromosome:OR_W1943:8:1439812:1443481:-1 gene:ORUFI08G01930 transcript:ORUFI08G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRVGPNPTTFRETSQRDRPCALLSHGVASEALNPSAPMATATAAAAAPAAVPALVSPLSRRAFFPLPRRAGPKSLRVFASAARRRGLVVVAADAAAAAGGAEFSDEENPYEILGITPLDSFDHMKLAYKRKHKEADENGDQYYLSKLEKAYDTVMMQQLQYRKKGVTYGSVQVSKDIKYADDQPIVPWGPRSSKSTVKDMRINLGISAAIVVWIAIMGNADWKPLQFLCFAFFYRILQKLRATEPPITPIYNEYGEVEGRGIRMAKRVVRALGLIFGCVFAASLGYTAAVNVIEFSWQYTPRIVYYYQELIVTAATAALLYITASYYR >ORUFI08G01940.1 pep chromosome:OR_W1943:8:1443602:1448869:1 gene:ORUFI08G01940 transcript:ORUFI08G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVSFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNADKPSLKHQRNWKSDPNYTKSWYDRGAKLFQANKYRKGACENCGAMTHDKKSCMERPRSVGAKWTNINIAPDEKVESFELDYDGKRDRWNGYDPSTYTRVIADYEAREEARKKYLKEQQLKKLEEKDGEEGDENVASEEEDEEDGLKIDEAKVDESAQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNDKFYVGDNQNRLSGQALEFKQLNIHAWEAFDKGQDIHMQAAPSQAELLFKSFKIKKEKLKSENKDKIMEKYGNAASEEPIPRELLLGQSEKEIEYDRTGRIIKGQDVALPKSKYEEDVFINNHTTVWGSWWKDHQWGYKCCKQTIRNSYCTGLAGIEAAEASADLMKANMARKEAAEDEPVRHEEKRLATWGTDVPNDIVLDKKLLDEALKKEGARRKEEMDERKRKYNVKWNDEVTAEDMEAYRMKRIHHDDPMRDFLH >ORUFI08G01950.1 pep chromosome:OR_W1943:8:1447854:1448612:-1 gene:ORUFI08G01950 transcript:ORUFI08G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSAGWPLSPSPSSTRGRRRVSVKPWRLWWRRCAGVAAVIQSKIHRRAVRWPGGHGGGRRRREAATASTREREGWCHHRSFAPVYVDELYSHPKTHHVAVHEAQAQQPNTTAAKTNAGAASGKARAVAAAAANNNNNAVAATNASAMFAAKNAAADAATNAAAGARGKGRVGGGKKAAAAGAATNGGGAKAARGGVRSLLMSPLRGGGACGMGEVDVRAEVFIRKFREEMRLQNQKSAEEFQAMLARGL >ORUFI08G01960.1 pep chromosome:OR_W1943:8:1456041:1458315:1 gene:ORUFI08G01960 transcript:ORUFI08G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTASMAASLLIPLCLCILLLRGASAVSDQQEAGRRDSCDRIDRRIRALEPTRRVDSEAGHTELYDDRDGQLPCAGVAAARITIQRNGLLLPSYSNSPRLAYIVHGRGIVGVVIPGCPETYQETSSSSSQEQEDDERRRRGRRGDEERRRSSEGEEEEEEETSRRSFEQSIRDEHQRITTVRQGDVVAIPAGAPFWVHNDGDSPLVAISVHDVSNNANQLDQTSRRFRLAGGQARSEGRQRYGEGESSESETERGGEESYNILSGFDTELLAESMRVSPDIARKLQGRSDKRGNIVRVRRGGLRMLRPATERVTDEEMMRGANAAAGAGNGIDEAVCLMKLRENVADPMKADLYTPNGGRITVLNSQKLPVLKLIKMSVNRGVMRRNAILAPHWNINAHAAVYATSGSARLQVVSSEGRRVFDGELRRGQMVVVPQSFAVAGRAGDEGFAWVSFQTSDGAMNAPVVGKSSALRGMPADVLANAFGVSREEARMVKFGRGQELAIFSPKSGAAARRRRSPGHRDDGVLAAPA >ORUFI08G01970.1 pep chromosome:OR_W1943:8:1459407:1463480:1 gene:ORUFI08G01970 transcript:ORUFI08G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPLSPRLAVPLAIALLLALGLVADFLWSSSSSSGTSGRGQLASASRTATAKKSGRARGKKPAAEGYLNATYADIPAPRWDWEEMPAAPVPRLDGYSVQIGDLLYVFAGYENLDHVHSHVDVYNFTSNTWTGRFDMPKEMANSHLGIATDGRYIYALTGQFGPQCRSPINRNFVVDTVTKEWHELPPLPVPRYAPATQLWRGRLHVMGGGKEDRHEPGLEHWSLAVKDGKALENEWRTEIAIPRGGPHRACIVANDKLFVIGGQEGDFMAKPGSPIFKCVRRHEVVYGDVYMLDDGNKWKQLSPLPKPNSHIECAWVIVNNSVIIVGGTTEKHPITKKMILVGEVFRFDLDTLTWSVIGRMPFRIKTALAGYWDGWLYFTSGQRDRGPDNPAPKKVVGCTWRTKLHL >ORUFI08G01970.2 pep chromosome:OR_W1943:8:1459407:1462057:1 gene:ORUFI08G01970 transcript:ORUFI08G01970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPLSPRLAVPLAIALLLALGLVADFLWSSSSSSGTSGRGQLASASRTATAKKSGRARGKKPAAEGYLNATYADIPAPRWDWEEMPAAPVPRLDGYSVQIGDLLYVFAGYENLDHVHSHVDVYNFTSNTWTGRFDMPKEMANSHLGIATDGRYIYALTGQFGPQCRSPINRNFVVDTVTKEWHELPPLPVPRYAPATQLWRGRLHVMGGGKEDRHEPGLEHWSLAVKDGKALENEWRTEIAIPRGGPHRACIVANDKLFVIGGQEGDFMAKPGSPIFKCVRRHEVVYGDVYMLDDGNKWKQLSPLPKPNSHIECAWVIVNNSVIIVGGTTEKHPITKKMILVGEVFRFDLDTLTWSVIGRMPFRIKTALAGYWDGWLYFTSGQRDRGPDNPAPKKVVGCTWRTKLHL >ORUFI08G01980.1 pep chromosome:OR_W1943:8:1464898:1472579:1 gene:ORUFI08G01980 transcript:ORUFI08G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNRLAGAAAAAAAAPPPPPPPPPPPLPPRGSPAPSENHTAISAPLLQPAGAGAGDAAPLARWLRRLEAFLSAAGLAASSPLGKAGAASALAVLGVALPALAVALSPCRGRGRGCDEFEVEVFEVCVLLSQAAAAAVALACVSRKMAMYGLRKFLFVDPELGMRIRFQKEYVAKIKDFFSTILWWILPCFVVKVTREMFRFSHIFQESTWRSCAVLFASIMSWMYLTTIILTSCMLFNLVCNLQVIHFDDYGKLLEQDSDPLVYLKEHLQLRHNLSKISHRFRMFLLLLFFSVTASQFAILFKTTAYTGPINFTNGGDIAVSSVVQVVGLVLCLHAAAKISHRAQNIASLASRWHALVTCSSDSTYVSTPNSSGNLVPFPAHMFLRDFSESDLESLESGSVQGNSHGTAQLASYMSSYHKRESLVLYLLGNPGGITIFGWIVDRTFLNTILMLELTLVLFVLSKTVVVPAKTLVLDYIRYCPILESGCFK >ORUFI08G01980.2 pep chromosome:OR_W1943:8:1464898:1473079:1 gene:ORUFI08G01980 transcript:ORUFI08G01980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNRLAGAAAAAAAAPPPPPPPPPPPLPPRGSPAPSENHTAISAPLLQPAGAGAGDAAPLARWLRRLEAFLSAAGLAASSPLGKAGAASALAVLGVALPALAVALSPCRGRGRGCDEFEVEVFEVCVLLSQAAAAAVALACVSRKMAMYGLRKFLFVDPELGMRIRFQKEYVAKIKDFFSTILWWILPCFVVKVTREMFRFSHIFQESTWRSCAVLFASIMSWMYLTTIILTSCMLFNLVCNLQVIHFDDYGKLLEQDSDPLVYLKEHLQLRHNLSKISHRFRMFLLLLFFSVTASQFAILFKTTAYTGPINFTNGGDIAVSSVVQVVGLVLCLHAAAKISHRAQNIASLASRWHALVTCSSDSTYVSTPNSSGNLVPFPAHMFLRDFSESDLESLESGSVQGNSHGTAQLASYMSSYHKRESLVLYLLGNPGGITIFGWIVDRTFLNTILMLELTLVLFVLSKTVVVPAKTLVLDYIRFP >ORUFI08G01990.1 pep chromosome:OR_W1943:8:1468646:1473137:-1 gene:ORUFI08G01990 transcript:ORUFI08G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATRPAVVIDNGTGYSKLGFSGNSEPCFTIPTVVAVNESFLDQSEMCSSANWIAQYNAGVMADLDFFIGDEALSLFKSSGLYTRKHPINHGQVDDWDTMERFWQQCIFNYLRCNPEEHYFLLTDSPVSTPESRECAGEIMFETFNVPGLYISVQSVLSLSAGYAFLKSISDEDSVSVSDMTGVVVDIGDGAPHVVPVVNGYVIGSSIKSFPFSGSDVTQFVLQLLQERGELIAPEDSLDIARRVKEMYCYTSSDIVKEFKKHDKKPDKYIKHWSAIKPKTGVPYTIDIGYERFLGPEIFFHPEIYSADFSTPLPELIDSCVQSAPIDTRRDLYKNIVLSGGSTMFKDFHKRLQNDIKKIVDERVAATNARHHVEVKPVEVNVVAHPIQSYAAWFGGSVAASNPEFYESCHTKEEYEEHGASICRTSTVFKGMY >ORUFI08G02000.1 pep chromosome:OR_W1943:8:1477564:1480465:1 gene:ORUFI08G02000 transcript:ORUFI08G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPRRFKSNFREGTEATPRKRAAVAN >ORUFI08G02010.1 pep chromosome:OR_W1943:8:1478386:1482974:-1 gene:ORUFI08G02010 transcript:ORUFI08G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWSNIQRSFESGKAHAEGEYQARRAVEAVTDAAGAIHIPFKIFTTGEQVAQAAQNAATATKGSAVQAGIHPRDGTIQAKLRSTQPSR >ORUFI08G02020.1 pep chromosome:OR_W1943:8:1484103:1486083:-1 gene:ORUFI08G02020 transcript:ORUFI08G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRRPSPHSGGGGGCGQGQAGVQHDAGLRVSDYNPPCPISRGQPGAGGRQPAAAAPAPRGLLATAVARSSGKREAVSRGVGADAWPLDLGIARDFGAVRRRRSEDVGGRRRQSRHGACAGARSGGASVRLRAYGLPYWARPEPEDPALLHVNDLFMYVDYSKKCKNFELVTNQPTNHFL >ORUFI08G02030.1 pep chromosome:OR_W1943:8:1488384:1491731:1 gene:ORUFI08G02030 transcript:ORUFI08G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSISSCTTETAKGIHRFEIFSYSMMNTEAEDDSIRSGVFNVGGFDWALLYYPDGIDDDSKGYIGVYLELISKNGEPWALVDVNLINQLQPGQPRQLFTKTDVPTPFRSSSFQESTLGSLKCMKRSDIESTPGFIVNDCIVIECNVTVIYEPKVSKTRALCDAETSSALREIEVPPMEISSDFAKMLKDGVGADVTFRVGEDTFRAHRAVLAARSPVFHAQLCGPMKEKKETQMQEITIQDMQPSVFEAFLYFIYTDCVPRMDDLGNGEKMHLMMHLLKAGDRYGLERLRIMCERFLATNLDTEHVSAILGLADLLDLKKLKEACMEFMVPSERMDAVAASQGYQQLKRAFPSLAFEVWERRSRIELVTMETAPSSSMSPASGTYVLDVHGFSGLRRQHCGGGGCIVSPTFTVAGLEWAIRYHPEGDADEVTDDVAVFVVLVTKDATAWAHVEFRLLDQAAGEMVTFFGEKDPILFDSGSEDLSTWGTGELAARSFLDGSPYVAGDCLKIECAVDVCRDRLTFHHDTPPSGEPFRQYPADDEPADVTFKIAGETFPAHVSVLAARAPGLLNNTTSQAATITIDDDDDDTPAAAAAFGALLHFAYTDTLPVASGLDGAGHTALLGRLLVAAGRYGMARLGAICERAMCRSLDAGTAADTLAMADGTASTR >ORUFI08G02040.1 pep chromosome:OR_W1943:8:1491815:1496878:-1 gene:ORUFI08G02040 transcript:ORUFI08G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLPDRRHRCSIHLVGNDGGGGLEWAAFQRIEQEESQHHESQGFSYHYGTQNVGPLLVSAATRVIVLVPNLSPE >ORUFI08G02050.1 pep chromosome:OR_W1943:8:1504140:1504586:-1 gene:ORUFI08G02050 transcript:ORUFI08G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERVKGTVKWFDATKGFGFITPDDGGEDLFVHQSSLKSDGYRSLNDGDVVEFSVGSGNDGRTKAVDVTAPGGGALTGGSRPSGGGDRGYGGGGGGGRYXAAAAAGATTAARPATSLASAPARPTRRCSRRQIQLPPMMRFAPPSLH >ORUFI08G02060.1 pep chromosome:OR_W1943:8:1505284:1506096:1 gene:ORUFI08G02060 transcript:ORUFI08G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTSRVFSQAFPAWGHLRFMRRRELEEMGFVRDDRLTIECVVNVVLDPVVTAGDAPELDHPPSNILGHLAGLLGDKGTADVTLVVRGEEFAAHRAVLAMRSPVFKAALYGPMKESTDANAGRVAIDGVEPAVFRALLHFIYTDTTAAMDDLDDDDDTAQMIMHLLEAADRYDVERLKLICELMLCKSIAVDTVAATLAMADQHHCQKLKEACIEFLATSKKMEGVMESQGYKKMKLSCPSFMVDLWEIIGRKMTSYSVIPNIYARDKD >ORUFI08G02070.1 pep chromosome:OR_W1943:8:1507125:1507637:1 gene:ORUFI08G02070 transcript:ORUFI08G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTASTCTTPIAQGAHAFTVYQHGLVKRTTAAGEFVRSGTFAVGGYDWAVRYYPNGDSAAEAACRQPSVVLELMTADAAASVVYELKAVDQVTGERLVLREDKTAAFDTRNGQFSCSGVQFVETPAFLAGDFLSIECIVTIFGEPRVSKTNKMPQPPPPPPPAETSDVS >ORUFI08G02080.1 pep chromosome:OR_W1943:8:1507858:1511078:-1 gene:ORUFI08G02080 transcript:ORUFI08G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPASSGKAASDSSAPAVAVANGNGTTPQKLPPASAFDMPKPNLRGLNKPKCIQCGNVARSRCPFQCCKSCCYKAQNPCHIHVLKQNNTLPDKPPPSTAPLSEQPSANIPSTGSSRLASLQRLPHHFLNSLRTKKSLAKKDVMGINKWRFEKLKEHIQGDIDAENEAYERYTQNVGLLEETFGLTEDAADEPEPEATSSEERMETLVSEAKVRLKSDSANADGFRDRIATILDQKIKELLESKSTYEDDNPPDQNPDDHPKPVKLSIKQQMERGAKMTELLAKMIRAQSEVDLKICSGIAAQLFGKKNELSNQESVASVTIPYSFPKLWTRVEIDDAMMCKINGEFSSSTEVMQL >ORUFI08G02090.1 pep chromosome:OR_W1943:8:1512119:1517214:-1 gene:ORUFI08G02090 transcript:ORUFI08G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDIMFDNQTKPCRSRVDSKSNINVLKPKFGSSWGSQIVKGFTADKKTKKTAAAASKKPPLASVENVNTSNQQIPYHSRVKRSLMGDFPCSPAGAQVHPHVFDCHGIRSPASHDLFLELDHLREQLRESKERELALQSELRQCRENPRVSELEKDLDSRKNEIDRLVRLKTSLEVEKTSLSEQLSALSCMVEQHEENARLDGHGNRVSSMDGGNASSSENLEIEVVELRRLNKELQFQKRNLAIKLSSAESKLAVIEKNAESEIVAKVQAEASLLRHTNANLSKQVEGLQMSRLTEVEELAYLRWINSCLRHELSNSDQAARAMTDADYNDEMACHVDDCDGDARLDQNSSDHKKFSIAERIKQWSQNDKNCEASKKEALLDRAWIEAAECRSPTRRHSLGGPKGCAQEFSIVKRRQSDTFICLPEATDEAISCNKDETIREKRELLVDKYDFGRSESSRFLLGKSEVCKSQSMDVEKRALRIPNPPPRPSVSVPHSGPSNGSAANPPKPPPPPPPPKFSTRNAGVMKRAPQVAELYHSLMRRDSKKDTSGSGICETANSANVRSSMIGEIENRSSHLQAIKADVETQGEFVKSLIKEVTNAAYKDIEDVVAFVKWLDDELGFLVDERAVLKHFDWPERKADTLREAAFGYQDLKKLESEVSNYKDDPRLPCDIALKKMVTISEKTERSVYNLLRTRDATMRQCKEFNIPTDWMLDNNLIGKIKFSSVKLAKMYMKRVAMELQYMGPLNKDPALEYMLLQAVRFAFRMHQFAGGFDPETMDAFEELRNLVHVRNSTQ >ORUFI08G02100.1 pep chromosome:OR_W1943:8:1525362:1530604:1 gene:ORUFI08G02100 transcript:ORUFI08G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLNRRASQTRGGMEYFDARRKPHNVGKVIAALVLTTLCIFILKQSPGFGGSSVFSRHEPGVTHVLVTGGAGYIGSHASLRLLKDNYRVTIVVNKIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLLILEAMASHGVKTLIYSSTCATYGEPEKMPIVETTRQLPINPYGKAKKMAEDIILDFTKGRKDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGTDYPTTDGTCIRDYIDVTDLVDAHVKALNKAEPSKVGIYNVGTGRGRSVKEFVDACKKATGVNIKIEYLSRRPGDYAEVYSDPTKINTELNWTAQYTDLKESLSVAWRWQKSHPRGYGSN >ORUFI08G02110.1 pep chromosome:OR_W1943:8:1534013:1535373:1 gene:ORUFI08G02110 transcript:ORUFI08G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAKLVDMSSCLAWCHHSTVEWLIYLCCYHLISLVLPRVLHQDMSVQLCELVMEPYLLLMFTYR >ORUFI08G02120.1 pep chromosome:OR_W1943:8:1536313:1538976:1 gene:ORUFI08G02120 transcript:ORUFI08G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDPPAAASPSAAAAAGDDDDERAAAPAAQPERCEALAGAIAGVLGGALQEHEACAAATARSQGELAAAVDRLNGELDKLLENAPSPVIMQQATRICSIRKRVLALNMLLRSIQRRIDNIDRIVSTGVTSDHSSHVQLHRQN >ORUFI08G02120.2 pep chromosome:OR_W1943:8:1536313:1538976:1 gene:ORUFI08G02120 transcript:ORUFI08G02120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDPPAAASPSAAAAAGDDDDERAAAPAAQPERCEALAGAIAGVLGGALQEHEACAAATARSQGELAAAVDRLNGELDKLLENAPSPVIMQQATRICSIRKRVLALNMLLRSIQRRIDNIDRIVSTGVTSDHSSHVQLHRQN >ORUFI08G02130.1 pep chromosome:OR_W1943:8:1540013:1542623:-1 gene:ORUFI08G02130 transcript:ORUFI08G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAGEPSPYAEAAGSDLANARAPSPVVGKHLPSGAVPRHAYVFDGEGGFADAAWDVAAAAPGAFTWHHIELPRQQPGGAAAKPLHHAQALIELLCPPLTLQEILAFVATGPHCGVVDGGGGGGAGALLLRVSSPGPVGSAFALRLAARVTDSSVVTVSVGGVPRLAFGTTQASLLSEVPLGVTASLSDEGHGGGRAVEGGVVIEERLLESLLAMNHADGAHTDNPVPRTVSNLLVHVLGTHVDHVHDIVTRLEMELDSIELHLDKGGHFMRKLLLDGRRFPKMHLDLQRLLQVVSHGDQVFPRVKEKCASKSWFASEDIVALEDLIGRLRRLKENLGFITNRVTTLQASLDSWQSEQINKSLYYLSFLSIIFLPLSIVTGVFGMNVGGVPWTEQKNPANLDGFFNVMLICVVILLILLLCFLFPSLYSHVSAWRTRRALARSSSQNKRHLKLFKGHKDGYMRL >ORUFI08G02140.1 pep chromosome:OR_W1943:8:1545023:1546927:-1 gene:ORUFI08G02140 transcript:ORUFI08G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQLICSGCRRVVQYRRGVAGVCCPGCNTLTAVNPSAVADMSELICSGCPTLLFYNRGASNIRCPSCNRLNSTRSANQIAHLTCGQCRTTLMHPPGASTVQCATCRYVNHVRDARPQTVLVENPKTLDDKGKLVSNVVVGVTSWKR >ORUFI08G02150.1 pep chromosome:OR_W1943:8:1567763:1572753:-1 gene:ORUFI08G02150 transcript:ORUFI08G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGRRLPPWTSPRGAAPRWSPCTPAGADGSGRAAHATPPASGGCSSHVTPPASGGGGCYGYRVTPPTSGGCSRPPRAPLSSVDSPYVRAKQAQVIEKDPNKAVPLFWAAINSGDRIESALKDMATVLKQANRAEEAIEAIRSFRDRCPNEAQESLDNILLDLYKKCGRTKEQIEMLTLKLRIVDEELASGRWKTKLSKSHGRVVYLSLRDEKARLLGNLAWAHMQSENYDEAEMLYRQALAIEADYNKECNLAICLIKTGKVAKAKYLLQSIPDNCSDESHVRSLARAREMLMELESPTLHSPITQMKSKESLIWLAIDAENLGHLQPQVSSTALTQLKSEEPHISVAADAEKQEDCNSQVFPSPITQMKREEPESLIATSGEKNEKCLNEYQDLSRLFNDAATPQSLLEKLRKRLVKEDTLNISIQHQVQIPSFVECLPNSGGSTDAGENTRPEGKALVNGVRKTWADMVEEDERQLGDVSSTIGMDTTKRNVSCKHANEEMYRTPSFSQESSALKRSSVDDHPQSSSADSWRHSDSKISTDENVNMKFVRTAPQWRQQKVQDYSNRVSQRLDTSHLSDRAEGTEQPPWRSSTAQRSLFPDWKSKCERYGHGYVPFGDNEHFQGSSHFEATNRWPKNARPWRPQNRLWVFQEITNEINQKDLSVAPPSGQFAEMAMQMHPATGLSTHWSQVDSPPLKLREGANMVVLLQDQLLPMAFSIANVCEDCIMAYMSASASSVLFYTSCLDMQQLPYTGHVITINKSEAATI >ORUFI08G02160.1 pep chromosome:OR_W1943:8:1574401:1577591:-1 gene:ORUFI08G02160 transcript:ORUFI08G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQHGRGHIAHCLGGALAGRDTVAVSGNRRLTGAGLADGARRLAAALSNLGVRRGHVVAVVAFNSIEYIELFLAVTYIGGIIAPLNYRWSFEEASQALELVQPTVFIFDGSYSSWALRLKESNSLTSVNLYLFLGNLCSISQAANFVSVVSVEQIKRSSGGTTRAVEPVSAPNDVALICFTSGTTGRPKGVAISHTSLIIQSLAKIAIVGYGEDDIYLHTAPLCHIGGISSCLAILMAGGCHVLIPKFDVKSAFDAILEHRVTSFITVPAIMADLLSYARKQKILNHGMTVTKILNGGGGLSSELITGASHLFPNATIFSAYGMTEACSSLTFMVLTRPKIQEPKDQLGSSSEGVCVGKPAPHIEIQINRNGSNSSSSSPIGNILTRGLHTMSGYWVNNSIDTSDSVRNGWLDTGDIGWVDKTGNLWLMGRQKGRIKTGGENVYPEEVESVLSQHPGLAKAVVIGMPDSRLGEKIVACVSIRDGWNWVDARAVHKGEGREVSAQMLQDHCRTNKLSRFKVPRIYYQWSKPFPVTTTGKIRREQLKAEILASMKLHSNL >ORUFI08G02170.1 pep chromosome:OR_W1943:8:1578308:1580751:-1 gene:ORUFI08G02170 transcript:ORUFI08G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHADNTGNKDFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEDDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAPVAADSGAAAPSAAKEEEKKEEPEEESDGDLGMSLFD >ORUFI08G02180.1 pep chromosome:OR_W1943:8:1581782:1586831:-1 gene:ORUFI08G02180 transcript:ORUFI08G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKLGSRADVFRKQGQDWYCTTGLPSDITVTVGEQSFHLHKFPLLSKSGLLERCIREKIENGDNSCVIDLSDIPGGAKAFELTAKFCYGVKFEMTASNVVHLRCAAEYLEMTEEIAEGNLIAQTENFLTQTVLRSWKDSIKALHTCDDIIDLAEKLQIVKRCIDSVATRSCTDPDLFGWPVVQYGGPMQSPGGSVLWNGISTGARPRHSSPDWWYDDVSCLSLPLYKKVISAMEYRGINQDIIVGSLNHYAKRRLPGLNRRKSISDVSSCLSISSLTSIPSEEEQKYLLEEIDRLLPFQRGVTSCKLLFGLLRTAIILKASPSCVSNLERRIGMQLDKATLEDLLIPNISESVETLYDVDCVHKILDHFLAMDQETGGASPGLGEDAQMLASPSLMPITMVAKLIDGYLAEVAPDVNLKLPKFRSLAAAIPDYARPIDDGLYRAIDIYLKAHPHLSESEKEELCRVMDCQKLSLEACTHAAQNERLPLRVIVQVLFFEQLQLRSSIAECLMVSENLEGGSRQLIPTISGEQYRPGWPLASRENQALREGMDNMKQRVADLEKECSTMRDEIERLGRSRSTGKGRFSLNMKPQICSTKEAIPTTATTTATASEEKMAVVKGDTTPRLKLSRHKKKLSIEA >ORUFI08G02190.1 pep chromosome:OR_W1943:8:1592807:1597714:1 gene:ORUFI08G02190 transcript:ORUFI08G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWRPSHLVLVAGAAYLLLISLKFRRVLDLATADLAATDAAFSSPSSSDHLPPLPVSTTTTTSTSPGNGNGNATLFQVQPFWHRYDRVSLPDIVARNRSALDRMADDAWALGLTAWEDAAAFAGDPWELAAVDTATTDKCPSAVSVRARGRVVFLPCGLAAGSSVTVVGTPRAAHKEYVPQLARMRQGDGTVLVSQFMVELQGLRAVDGEDPPRILHLNPRLRGDWSQRPIIEHNTCYRMQWGSAQRCDGSPPEDNDDKVDGFTKCEKWIRDDVVDTKESKTTSWLKRFIGRAKKPAMTWPFPFVEDRLFVLTMQAGVEGFHIYVGGRHVTSFPYRPGFTLEDATGLFVKGDVDVHSVYATALPMSHPSFSLQQVLEMSEKWRTRPLPKDPVFLFIGILSASNHFAERMAVRKTWMQSSEVRSSKVVARFFVALILYWSSKFHSAWLYGFSLCVEWDDGSMNRKNNSRKEVNVMLKREAEYFGDIVILPFIDRYELVVLKTIAICEYGVQNLAAVHIMKCDDDTFVRVDVVVRHIKLNNGGRPLYMGNLNLLHRPLRMGKWTVTTEEWPEDIYPPYANGPGYVISGDIAKFVVSQHANQSLRLFKMEDVSMGLWVEKFNSTSPVKYSHSWKFCQYGCLENYYTAHYQSPRQMLCLWDKLVQGRASCCNYR >ORUFI08G02200.1 pep chromosome:OR_W1943:8:1598395:1604163:1 gene:ORUFI08G02200 transcript:ORUFI08G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLPRAPTTAALAAGVPHRFPAPEGEPPPPRGPNRAHLNALLTSYGRRGRLRDAQLLFDQMPSRDVISWTALLTAYADGGDLASARLVFDDMPRRNAPSWNALLSVYLRAARPRAAHALFYKMPAKNAVSYGAIISGLAKAEMLHEAELVYEEMPWQWRDPVGSNALMAGYLRVGELAMALRVFEGMTVRDVISWSAMVDGLCKHGSVSEARRVFDAMPERNVVSWTSMIRGYVKRGMCRDGLLLFLNMRREGVQVNTTTLSVALDACAAASLAREGIQIHNLIISMGFELDIFLGDSIIIMYSRFGWMVDAKRAFDCMQQKDIVSWNSLITGYVQHDMVEEAHVLFKLMHQKDAVSWTSMVVGFANRGWMRESVELFEQMPVKDEVAWTAIISSFITNGDYLSAVRWFCRMSQEGCKPNTIAFSCLLSALASLAMLNQGRQAHAYSINMGWVFDSAVHTSLVSMYAKCGRLAEAYHVFSSISNPSLIAINSMITAFVQHGFVEDALKLFTKMQNAGYKPNHVTFLGILTGCARAGFVQQGYNYFESMRPVYGVEPNPEHYTCMVDLLGRAGLLAEALEMINSMPQNDHSDAWAALLSASSLHSNLAFAKIAAQKLLEKDPYDATAYTVLSRMFSSAGMEDEEMLKVVQLSNLAMSWKKLNCMRRRLPPGPPRWPIFGNLLQLSPLPHKDFARFCTKYGPLVYLRLGTIDAITTDDPEVIREILIRQDEVFASRPRTLAAVHLAYGCGDVALAPLGPNWKRMRRVCMEHLLTTKRLESFAAHRALEAEHLCQFVWAKAQSGKPVNLREVLGAFSMNNVTRMLLGKQYFGLQSAGPGEAMEFMHITHELFWLLGLIYLGDYLPAWRWLDPYGCEKKMREVEKKVDDFHQKIIDEHRKAREAKKSASLDDDNKEDMDFVDVLLSLPGENGKEHMDDVEIKALMQDMIAAATDTSSVTNEWVMAEVIKNPRVLRKIQEELDGVVGRGRMVAESDLGQLTYLRCVVRESFRMHPAGPFLIPHESLKPTTIMGYDIPARTRIFINTHALGRNTRIWDDVDAFRPERHLPAAADGGRVEISHLPDFKILPFSAGKRKCPGAPLGVILVLMALARLFHCFDWSPPDGLRPDDIDTQEVYGMTMPKAKPLVAVATPRLPPQMYGRHGKQV >ORUFI08G02210.1 pep chromosome:OR_W1943:8:1604425:1604838:1 gene:ORUFI08G02210 transcript:ORUFI08G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHTTHTRKKKKKKTTAKMKGAAAVAMVVVAGCLLAAAAVSVVDGAVTCGDVDASLLPCVAYLTGKAAAPSGDCCAGVRHLRTLPVGTAERRFACDCVKKAAARFKGLNGDAIRDLPAKCAAPLPFPLSLDFDCNT >ORUFI08G02220.1 pep chromosome:OR_W1943:8:1605131:1607692:-1 gene:ORUFI08G02220 transcript:ORUFI08G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHQRRHPSVAAELEGTLLISGDLFPYFLLVALEAGGPLRAAVLLAAYPVAALLGVALPDDDLAVRVMTFVSTAGLSVADVAAVARATLPRFFLADLSDAAFRAFARRDAAERYVVTRLPTAMVEPFVREYVAEGARVVGAELRVVGGRFTGAAVNGDRSLGALQAVLGRGRRVIDVGLCSGDGAAKRQPAFMKICQERHVVSTPEKAPAAPLPRSEYLRPLIFHDGRLVGRPDPLACLAVALWLPLGAALAVTRILIAFLPYSVGLLLAAATGFQIRAHLGGAPPRWRGGTLYACNHRTLLDPAVLSTVVHRKVTAVTYSLSGLSEMIAPIPTVRLTRDRGRDRVIMQSVLAGGDLAVCPEGTTCREPYLLRFSPLFAEIAGEVTPVAVRAGGAMFHGTTVRGYKGMDSFFFLMNPAPWYHLQLLDPVPSSSAAADGDGGGDGGGESSRDVANRVQRAIGDALGFECTALTRRDNNEQPLALIQLALSAWQHGNLNPVDYLGHDPVRMYLDLNLYGL >ORUFI08G02230.1 pep chromosome:OR_W1943:8:1605176:1606904:1 gene:ORUFI08G02230 transcript:ORUFI08G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHSMSILNFWRWRVETKTARIMKMVHFNAVHSNPRASPIARCTRFATSRLDSPPPSPPPSPSAAAEEDGTGSSSCRWYHGAGFMRKKKESIPLYPLTVVPWNMAPPARTATGVTSPAISANRGLNRSRYGSRHVVPSGQTARSPPASTDCMITLSLPRSRVSRTVGIGAIISDKPERLYVTAVTFRCTTVERTAGSSSVRWLHAYNVPPRHRGGAPPRCALIWKPVAAARRRPTL >ORUFI08G02240.1 pep chromosome:OR_W1943:8:1627483:1628015:-1 gene:ORUFI08G02240 transcript:ORUFI08G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDYLAFDMIFLPINIKDTHWYLAVLNAKRREVQILDSLAKPISKDRPDLRRVLLAIERGLHGIENQHPQLKHDWPDFNITEWEYNKVQKLPKHGDGWVTQTLPHDIHIIQLRKRVILTTKFL >ORUFI08G02250.1 pep chromosome:OR_W1943:8:1628028:1629065:-1 gene:ORUFI08G02250 transcript:ORUFI08G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSETKDKSNQSNQSRKRLTGPTGRTYKPTNRTDFIYETRVYIEKEDLTQQIIDKSPPKNALRGQKKTKTNGQTPLKNSEELTKKEYPFITYINNTEDNKVMVHIEQVEVKWIRMKVLTQPEFLNDDVMDAYIQCLRYKEKGIRGDGKAFLEMAITTGLLNVEGAHVEASEPRDK >ORUFI08G02260.1 pep chromosome:OR_W1943:8:1631365:1632650:-1 gene:ORUFI08G02260 transcript:ORUFI08G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRKEESTSTTISPPLPPGHSSIFYLELSNLATSYGQLMSAISSEAEVIITLESPSNSKGVKQFCKISDKLYTPSGGTFLVQITPDNSSPLEDRLTLLFRWKDLYFEAFHSKGKWYRMGDAEETLPPRSQLNYPMKEHQGIVKIPFETTYASVLGKKSFQCAPMGPGSFHRCYKTLMTSGEVHKDSLKQLLGHGFPLALPVMGISEPLRFPLYQEWLQNVLILAGASDGDQLDRDPLDNMFDKVVPAEHSDKFYAWGTISDCMYEKRVTLRCNILEVFYSE >ORUFI08G02270.1 pep chromosome:OR_W1943:8:1637373:1638864:-1 gene:ORUFI08G02270 transcript:ORUFI08G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELTKKVTMEKEEEHGGGMAAGKEEKQQPTLKKQQQVGKVKKKFLDFGQELTWEEKVVSVLDIVRRYQLTEYDPKLKEFTPTRVSFCFCNMAFFDHDKESKISPGSPIRTIPSSKFVMLEGSVNVIAIKVTESDSGYPISIFGTVLARDKQDYRCEDTLTLTGPKRGLATKGSMYFEFNLKIKGDGATDKDFSKGFIEHDAVAYEKPLKTLELESFMSRVAFIYTPVPYAVQATLAVNFLEGLSNFTGTVSAWTTGNVENEIILYDSRVEGTETTVRNDGRVTLTRNIVAVVCKHKLVLKVCVFEGGSEVACFKFVLGHRNEECTRKKGPYVLQVKVRWIGIIEHYNRKMWERIGRFGNILW >ORUFI08G02280.1 pep chromosome:OR_W1943:8:1640303:1677622:1 gene:ORUFI08G02280 transcript:ORUFI08G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKEEEENWELDSEPELTWDEKVVQVLNMVRHREITEYNPKQFCSIPTRFSKLARGPPIKSLAFPDYWWEMDSVNVIAIKVAESDVGYPIRVFGTVLARDEYDFRRDRNNPQIITSPEDTLTLTGPNRALGATDKMYFEFNLKIRDDGDVDKDFCKGVREHNAICYTKQPMTLSLXLHLRHRWIDGKEPRTAVLALASRSAPPHRSPLCHRSRFTVVAHKLSLSRNRNPNLPTPRRRPSPLHRAGLLCLPAMFLSGQMLVLSPLLALMLDQLRKLPAFVQDGLFASSQGNDTLDTIGAFLVWAIKTIDSFLPCPHRPSALQRDRYFPCIMTFMNNRVPKYQTLAAGDVAVDAPPGPRAGWPFSGTLGHLPPSSSSLSRSRPFIQRQYMADESERSGMVIDDVGGGLNLPIIVAGKRKRELTWEEKALTVLDIVGSQQHPACQPAERDCSLIDSEKDYSSMAGCQAGEHASIFGIDKNGDDSDEPCAKDDAKQSDVAPLKEEENWELDSEPELTWDEKVVEVLNIVRRREITEYNPKQFCSIPTRFCAYNIAFFDLDKESKLARGPPIKSLAFPDYWWEMDSVNVIAIKVAESDVGYPIRVFGTVLARDEYDFRCVYLFRRDRNNPQIITSPEDTLTLTGPNRALGAIDKMYFEFNLKIRDGDVDKDFCKGVREHNAICYTKQPMTLSLESCLSRIDFVYSPVQLAVEASVAVKIKGVVSKFFTGKVTAWTTGDDQNKIILYDSEVEGRNRVLGADGSVDLTRCFVAVNLDDELVLNVCVSEGAGSIFELVLGHNDEECVLEQGPYELQVNVVWTAALKHRQRRKLFERIGDFRVLR >ORUFI08G02280.2 pep chromosome:OR_W1943:8:1640303:1677622:1 gene:ORUFI08G02280 transcript:ORUFI08G02280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKEEEENWELDSEPELTWDEKVVQVLNMVRHREITEYNPKQFCSIPTRFSKLARGPPIKSLAFPDYWWEMDSVNVIAIKVAESDVGYPIRVFGTVLARDEYDFRRDRNNPQIITSPEDTLTLTGPNRALGATDKMYFEFNLKIRDDGDVDKDFCKGVREHNAICYTKQPMTLSLXLHLRHRWIDGKEPRTAVLALASRSAPPHRSPLCHRSRFTVVAHKLSLSRNRNPNLPTPRRRPSPLHRAGLLCLPAMFLSGQMLVLSPLLALMLDQLRKLPAFVQDGLFASSQHHRCLFGVGYQDHRQLPPLSPPAIRFATVARMCQKRVPKYQTLAAGDVAVDAPPGPRAGWPFSGTLGHLPPSSSSLSRSRPFIQRQYMADESERSGMVIDDVGGGLNLPIIVAGKRKRELTWEEKALTVLDIVGSQQHPACQPAERDCSLIDSEKDYSSMAGCQAGEHASIFGIDKNGDDSDEPCAKDDAKQSDVAPLKEEENWELDSEPELTWDEKVVEVLNIVRRREITEYNPKQFCSIPTRFCAYNIAFFDLDKESKLARGPPIKSLAFPDYWWEMDSVNVIAIKVAESDVGYPIRVFGTVLARDEYDFRCVYLFRRDRNNPQIITSPEDTLTLTGPNRALGAIDKMYFEFNLKIRDGDVDKDFCKGVREHNAICYTKQPMTLSLESCLSRIDFVYSPVQLAVEASVAVKIKGVVSKFFTGKVTAWTTGDDQNKIILYDSEVEGRNRVLGADGSVDLTRCFVAVNLDDELVLNVCVSEGAGSIFELVLGHNDEECVLEQGPYELQVNVVWTAALKHRQRRKLFERIGDFRVLR >ORUFI08G02280.3 pep chromosome:OR_W1943:8:1639543:1677622:1 gene:ORUFI08G02280 transcript:ORUFI08G02280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADESERSGMVIDDVGGGLNLPIIVAGKRKRELTWEEKALTVLDIVGSQQHPACQPAERDCSLIDSEKDYSSMAGCQAGEHASIFGIDKNGDDSDEPCAKDDAKQSDVAPLKEEENWELDSEPELTWDEKVVEVLNIVRRREITEYNPKQFCSIPTRFCAYNIAFFDLDKESKLARGPPIKSLAFPDYWWEMDSVNVIAIKVAESDVGYPIRVFGTVLARDEYDFRCVYLFRRDRNNPQIITSPEDTLTLTGPNRALGAIDKMYFEFNLKIRDGDVDKDFCKGVREHNAICYTKQPMTLSLESCLSRIDFVYSPVQLAVEASVAVKIKGVVSKFFTGKVTAWTTGDDQNKIILYDSEVEGRNRVLGADGSVDLTRCFVAVNLDDELVLNVCVSEGAGSIFELVLGHNDEECVLEQGPYELQVNVVWTAALKHRQRRKLFERIGDFRVLR >ORUFI08G02280.4 pep chromosome:OR_W1943:8:1639878:1677622:1 gene:ORUFI08G02280 transcript:ORUFI08G02280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCQAGEHASIFGIDKNGDDSDEPCAKDDAKQSDVAPLKEEENWELDSEPELTWDEKVVEVLNIVRRREITEYNPKQFCSIPTRFCAYNIAFFDLDKESKLARGPPIKSLAFPDYWWEMDSVNVIAIKVAESDVGYPIRVFGTVLARDEYDFRCVYLFRRDRNNPQIITSPEDTLTLTGPNRALGAIDKMYFEFNLKIRDGDVDKDFCKGVREHNAICYTKQPMTLSLESCLSRIDFVYSPVQLAVEASVAVKIKGVVSKFFTGKVTAWTTGDDQNKIILYDSEVEGRNRVLGADGSVDLTRCFVAVNLDDELVLNVCVSEGAGSIFELVLGHNDEECVLEQGPYELQVNVVWTAALKHRQRRKLFERIGDFRVLR >ORUFI08G02290.1 pep chromosome:OR_W1943:8:1666540:1669334:-1 gene:ORUFI08G02290 transcript:ORUFI08G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEYYTTKKKTKSGTRGRNNRKILKKYKTCRPDHLTTQKCLSSPKSPLLKSSAPAARLRLLLLSSDTYVRHDCEEEEGDVQVGEALATGKIHCPSAPILCFKNPSTPTCSLQNPLPRTRKEQPVKEPPASDEFDLVLNDLPESYKKLMEDAIPTRLEQIIIQEAPSNSKGKKLFSKVAERLYTPKGGTFLVKLKPTKSSPDEEIVTLLFRWKDLYFEAFHAKGKWYRMSDAEESLPPRSQLHYSKKEKEGVFNMNNISTSYNDVGGHNIEVGRRAFKNCHQSLLMAEELVRQKRLKEELGSGPLSLPVVTISESIRFPLLQRWVLGTFSAPPTAKSEKKVPKKFSCEFNEWGKYSRALFTQELPVGCELTFAQIAEKLRVLKYRAAWVPQPAQKHVKDV >ORUFI08G02300.1 pep chromosome:OR_W1943:8:1679481:1680007:1 gene:ORUFI08G02300 transcript:ORUFI08G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNTRVYCSKAQRWRRIDVNLMKGRRRPDSRRSIVTWICTQAPDQTCYFERGAWAFNDLMATVMLKAEEVAVLRCRSPWLVGVPDQRSRGWSPGGEAEQISEAC >ORUFI08G02310.1 pep chromosome:OR_W1943:8:1681287:1681982:-1 gene:ORUFI08G02310 transcript:ORUFI08G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHLADWID >ORUFI08G02320.1 pep chromosome:OR_W1943:8:1683393:1684547:1 gene:ORUFI08G02320 transcript:ORUFI08G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDVIFLLSITSPMKTDRLEVVFAVDVRKGMLQGLAKLDVRPKNLAIMEEPLTLTGPNNRVLGALDRIELHLKIRDDGGVDQDFCEKPRTQCISYNFLGS >ORUFI08G02330.1 pep chromosome:OR_W1943:8:1684582:1687268:1 gene:ORUFI08G02330 transcript:ORUFI08G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGIPTRPPATSTPSRVQALYELCKRTFPSPSSVAASSSPSSPPPDHAIGAISSLMDTITPVDVGLRDDNLEDGRGFGFFESNFLKNSARVARWAQPITYLHVYECDAFSIGIFCLPTSAVIPLHDHPGMTVLSKLLYGSMHVKSYDWVEPAVLANGKPVKLGKLHTDDVLNAPCPTAVLYPQSGGNMHCFTSVKSCAVLDVIAPPYSESSGRVCTYFHDYPFSSFSAGQAKVVHGPDNYAWLEALNVPVNINMRPGTYTGPTIQEHLP >ORUFI08G02340.1 pep chromosome:OR_W1943:8:1687944:1694531:-1 gene:ORUFI08G02340 transcript:ORUFI08G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYNAMTLLSDSPYGGFGARPIDVLFPISTGGAMTDLQETIDTMIKASDFPSSILVAGVGGADGNGDNGTGKTSALTARVTGIVRDWTVVLLSALIVADTQLTFINIIGYLIGVVAYNNHKLKVKTHGNEQQGADSKVNRGSPQDVETSSIGLQVLAV >ORUFI08G02350.1 pep chromosome:OR_W1943:8:1695242:1696832:1 gene:ORUFI08G02350 transcript:ORUFI08G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIPRRLEEIIKAEAPSTSKGKKLFKKISGKLYTAQSGTFLVHLTPDKDTADSGRASLLFRWKDLYFEGFYSKGIWFRLQDFQAELPPQSQLPYAAKGGKGVVILSIESNYAGIGGNTIYMGSDAFDKCLKAMLRAPDLEGHRLYSVLKSNRVLAVPVVGISEPLRFPQFQEWVLSTLSPPPTDADHSSSSDDPSPGTDAAESYSAGMGTGRDKKVPEKFSFYFTKWGTLSEGLLNNSVPEEFSTMSIDEMAALLGILRWMEGMSLPAPRSGTHDSEASSSRASRATKGKHNTSK >ORUFI08G02360.1 pep chromosome:OR_W1943:8:1723275:1726559:1 gene:ORUFI08G02360 transcript:ORUFI08G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTDERWRAAVIGGGGDAATATEPSLPAAITRRLRELAWEDKDKEKARTVVDLVDSETPIECDHEEGHLCPSPCSDIPSTSGGGGGNEEMGKVNCNEKHTYDEEKEKEKEKVVETKSELKPKPRRKNWEEEELTWEEKVLKVLHMVRIWQVTEFDPKMEWFEPTRLCLFNTAFFDLDKESKAGLGPPIHSLTSSDYRHLETSMNIISIKVVESDVGYPISIFGTVLARDQYDYRCVYLFRRSRDDPQIITSPEDMLTLTGPKRGLGAKDYMFFEFNLKMKGDDGVDKDFSKGLLPYNVVCRTGRLETLHLRSWLSVVEFAFVTVQYAVEATLAVKMLGGASVFTGRVTAWTTGNDEDEIVLYDSEVADTRTEITADGSVQLNRGLVVVPLDKELVLNICVFEGEDEAQSFEFILGHYDEEFTCKQGCYEFQVNIIWTAVKTRRRPNMWKRIGCIVLLL >ORUFI08G02370.1 pep chromosome:OR_W1943:8:1727416:1729190:1 gene:ORUFI08G02370 transcript:ORUFI08G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGNDGSVAGDRLMVATIKRKRELAEEDGDSSSPCSANRSLDMEVVEEKLYCSYGGTINFDRT >ORUFI08G02380.1 pep chromosome:OR_W1943:8:1729897:1733365:1 gene:ORUFI08G02380 transcript:ORUFI08G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERERGTSRSSTASSMGWGMPTRPPATSTPSRVQALYELCKRTFPSPSSALYELCKRTFPSPSSVAASSSPSSPPPDHAIRAISSLMDTITPVDVGLRDDNLEDGRGFGFFESNFLKNSARVARWAQPITYLHVYECDAFSAVIPLHDHPGMTVLSKLLYGSMHVKSYDWVEPAVLASGKPVRLGKLHTDDVLNAPCPTAVFSGGDSVGAPILIPEGGDSWRRRHTSALARDGFQFEAPFSPHYNVILALPLAVLYPQSGGNMHCFTSVKSCAVLDVIAPPYSESSGPAGQAKVVHGPDNYAWLEALNVPVNINMRPGMYTGPTIQEHLP >ORUFI08G02390.1 pep chromosome:OR_W1943:8:1734866:1744375:-1 gene:ORUFI08G02390 transcript:ORUFI08G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAYATPRHASHPHPPNPRRRRHAGRPESRPRRCSTTHHTHLQPARTQPHALGYTSSVVAPFRRLAEARLLQLLTRAGRPPWLLAPRRSMAEGGPTAPLLGRSSARVPVPSRPGRASIAIGRHSTGQFEEHIHQIKGGAVTDLQETIDAIIKASDFPSPILVAGVGEVDRNGDNGTGKFLAIAVFLLGAALGLEEMSCKMLAIMPVELTSALTARVTGIVRDWTVVLLSAAIFADTQLTFINIIGYLIGVVAYNNHKLKVKPQWNEQQEVLKMY >ORUFI08G02390.2 pep chromosome:OR_W1943:8:1734866:1744375:-1 gene:ORUFI08G02390 transcript:ORUFI08G02390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAYATPRHASHPHPPNPRRRRHAGRPESRPRRCSTTHHTHLQPARTQPHALGYTSSVVAPFRRLAEARLLQLLTRAGRPPWLLAPRRSMAEGGPTAPLLGRSSARVPVPSRPGRASIAIGRHSTGQFEEHIHQIKGGAVTDLQETIDAIIKASDFPSPILVAGVVAVFLLGAALGLEEMSCKMLAIMPVELTSALTARVTGIVRDWTVVLLSAAIFADTQLTFINIIGYLIGVVAYNNHKLKVKPQWNEQQEVLKMY >ORUFI08G02390.3 pep chromosome:OR_W1943:8:1736524:1744375:-1 gene:ORUFI08G02390 transcript:ORUFI08G02390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAYATPRHASHPHPPNPRRRRHAGRPESRPRRCSTTHHTHLQPARTQPHALGYTSSVVAPFRRLAEARLLQLLTRAGRPPWLLAPRRSMAEGGPTAPLLGRSSARVPVPSRPGRASIAIGRHSTGQFEEHIHQIKGGAVTDLQETIDAIIKASDFPSPILVAGVGEVDRNGDNGTGKFLAIAVFLLGAALGLEEMSCKMLAIMPVELTSALTARVTGIVRDWTVVLLSAAIFADTQLTFINIIGYLIGVVAYNNHKLKVKPQWNEQQEVLKMLRHHQSRLKKLHSTECWAVLNRFLKKVIPIPKLANA >ORUFI08G02400.1 pep chromosome:OR_W1943:8:1744792:1746210:1 gene:ORUFI08G02400 transcript:ORUFI08G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPDKKKGKGKEKVVEDQEPPPSPEFELNLNKLTSTYRKLMEEIPAKLETILNQQAEKENTKFEKIAGKLCTPPKGGGTFLVRLVPRRESNFDEPVYLLFRWKDLYFEAFYSRGKWYRLKDHEEKLPPRSQLPYSEKPDEGIYVLMNTTSYGSIGGSSVVLGPRAWDHCHVSFLKADDLVRQSNKKPLTSGESPALAVPVVGTSEPLRFPQLQKWIVENCTATASSDAMVPYEFTKHFTNWGDLSTALFSGKLTEKLKAYTLEQIAEMLGILMSGKREAVRSPSKKKNDHEAGSSRNRGKRKDN >ORUFI08G02410.1 pep chromosome:OR_W1943:8:1780285:1780983:-1 gene:ORUFI08G02410 transcript:ORUFI08G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQNRKTRYNASLIFKTGGAHMSSPLLYPSSLPFRYDGSTLFAKVLGEMMTSSTRRRIDVRHPSPRHSLGTTIASYMYAFSIMEQFVTSAFFSASEDFSLLSGKVSDTTFFPGDGRGGCAGPVLVEAMCWLFREGSSSAMAIVSDVVLH >ORUFI08G02420.1 pep chromosome:OR_W1943:8:1780940:1783769:1 gene:ORUFI08G02420 transcript:ORUFI08G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREALYLRAASSSSQMADGANEDGGGSAAGERPMVAIKRKREKVPGLAEDGDSSPSSANRSVDMEVEEKLDYSCAGAEEDVSSGCSIEMEDVGEQRQVEPTWEDKVLRVLHIVRRNQFAEYDPKEGGIVYTRFCIHNIALFDLDKESTIGPGPPINSLDPSEYWWLDDSLNIIAIKVAESDVGYPVRIYGTVLARDQQDYRCVYLFRRSRDNPQLITSPEDSLTLTGPYRALASKDIIIFEFNLKILGDGDVDRDFGKGVIEHSCIRHTKKLMTLDLTSWLSKIDLVYTPVDYAVEASLAVNILDGPSDFTGKVIAWTSGNKDNEIVLHDTQVTGSPAKLGDDGSIELSRHIVVVPLDEELVLNVILFHGDDHEDECFEFVLTNYDEESSFKEGRFELQVKVIWTGVVRTGEHKMWESTGRNRIDDQ >ORUFI08G02420.2 pep chromosome:OR_W1943:8:1781083:1783769:1 gene:ORUFI08G02420 transcript:ORUFI08G02420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMHEQQRAASSSSQMADGANEDGGGSAAGERPMVAIKRKREKVPGLAEDGDSSPSSANRSVDMEVEEKLDYSCAGAEEDVSSGCSIEMEDVGEQRQVEPTWEDKVLRVLHIVRRNQFAEYDPKEGGIVYTRFCIHNIALFDLDKESTIGPGPPINSLDPSEYWWLDDSLNIIAIKVAESDVGYPVRIYGTVLARDQQDYRCVYLFRRSRDNPQLITSPEDSLTLTGPYRALASKDIIIFEFNLKILGDGDVDRDFGKGVIEHSCIRHTKKLMTLDLTSWLSKIDLVYTPVDYAVEASLAVNILDGPSDFTGKVIAWTSGNKDNEIVLHDTQVTGSPAKLGDDGSIELSRHIVVVPLDEELVLNVILFHGDDHEDECFEFVLTNYDEESSFKEGRFELQVKVIWTGVVRTGEHKMWESTGRNRIDDQ >ORUFI08G02420.3 pep chromosome:OR_W1943:8:1781160:1783769:1 gene:ORUFI08G02420 transcript:ORUFI08G02420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMHEQQRAASSSSQMADGANEDGGGSAAGERPMVAIKRKREKVPGLAEDGDSSPSSANRSVDMEVEEKLDYSCAGAEEDVSSGCSIEMEDVGEQRQVEPTWEDKVLRVLHIVRRNQFAEYDPKEGGIVYTRFCIHNIALFDLDKESTIGPGPPINSLDPSEYWWLDDSLNIIAIKVAESDVGYPVRIYGTVLARDQQDYRCVYLFRRSRDNPQLITSPEDSLTLTGPYRALASKDIIIFEFNLKILGDGDVDRDFGKGVIEHSCIRHTKKLMTLDLTSWLSKIDLVYTPVDYAVEASLAVNILDGPSDFTGKVIAWTSGNKDNEIVLHDTQVTGSPAKLGDDGSIELSRHIVVVPLDEELVLNVILFHGDDHEDECFEFVLTNYDEESSFKEGRFELQVKVIWTGVVRTGEHKMWESTGRNRIDDQ >ORUFI08G02430.1 pep chromosome:OR_W1943:8:1785036:1786832:1 gene:ORUFI08G02430 transcript:ORUFI08G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVNCNEKHTYGEEKEKEKVVETRTSVNIISIKVIKSDVGYPISVFGTVLARDQYDYRCVYLFRRGRDDPQIINSPLDYVL >ORUFI08G02430.2 pep chromosome:OR_W1943:8:1785036:1787350:1 gene:ORUFI08G02430 transcript:ORUFI08G02430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVNCNEKHTYGEEKEKEKVVETRTSVNIISIKVIKSDVGYPISVFGTVLARDQYDYRCVYLFRRGRDDPQIINSPAVLHWQDLLGKENIV >ORUFI08G02440.1 pep chromosome:OR_W1943:8:1787747:1791542:-1 gene:ORUFI08G02440 transcript:ORUFI08G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTAAEAAAGGKGAWRDGAVTYLHLLFYIAISGGQIFFNKASHQSNPSISRHHPLPSSLPPRFSGGFCLRVRVIRRRRARLYGAAGGGFSVAARFCFWCCTWVLSSKEINFPYPVALTLLHMVFSSVVCFAITKIFKIVKIEEGMTTDIYISSVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFLLGAAFGLEEMSCKMLAIMSVISVGVIVASVGEITISWVGVVYQMGGVVAEALRLIFIEIFLKKKGVRLNLISMMYYVSPCSALCLFIPWLFLEKPKMDESASWNFPPFTLFLNCLCTFILNMSVFLVISRTSALTARVTGVVRDWSVVLLSAAIFADTQLTFINIIGYAIAIAGVVAYNNHKLKPKPQGNEQQSADSKANPGSPQDVETSISTKEAS >ORUFI08G02450.1 pep chromosome:OR_W1943:8:1792893:1798363:-1 gene:ORUFI08G02450 transcript:ORUFI08G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQASAAALRRLGRRRGGDPAAALLRCGVVPSSSSYSAPGTLLPLKQPVGDCGACRRSFSSSAWLGPRLWRSLHRRQSAAAAATATAWEAHHSTRKSSMATVSSEPDTSASQSEILHFIKSTFGTLEGQNHCWLNCMNDTWKTLNQGVYLILLYESCGTSDSHGKLSSAFQRLKYLQQRYPRLTVFAMEYGSDISSLQSQTVHTVMKEYFTFPILMSDKDFTHITNGACCLLFEGSKDHALFSNLDEELEVLIKGMDNAVLKAEPSDTALESRVSWQKEEVPKEPYVGSVRNLLLYHPACISVDEDGDRIFISDSNHHRVIISNSNGTILDYIGCSPGFEDGEFESAKFLRPAASFYNTAEDCLYIVDSENHAVRKADLGRRMLETVYPVSSKSSSGIWSWIVDKLGLRREDAPSENFIADSIAFPWHLLNITEDDFLVADRNFEASWILSVSTGQKQEIGRGIAEEMESYQQIIHERCALLKDMNMNWSSSAKEHSDLLGKIPFKELVSSVARFQKYIIFCDADGQRVLKHDLDTNGTSNIQFSNFGVLGLPYWFVCHLERVSTRGHSIGKFQEHTRKVNVLPGRCNIKVSVDIPADTQLAAPLVESCIWRQVRGSGAEVSGFDEPSTSTEKVGIAQQWYDEIDNLAFSEVPEEPTVHEGDENLSDGSYQEQRRAHFNCVVNVSPGTCELVASAALYLKIDSAKDDHGEQKSLVKRIIQCQRREDHAGVELLMESCKDSRDLTIMKPVHLRLMLECADHPAGTTNKETISSESSFEINISLD >ORUFI08G02450.2 pep chromosome:OR_W1943:8:1792893:1798363:-1 gene:ORUFI08G02450 transcript:ORUFI08G02450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQASAAALRRLGRRRGGDPAAALLRCGVVPSSSSYSAPGTLLPLKQPVGDCGACRRSFSSSAWLGPRLWRSLHRRQSAAAAATATAWEAHHSTRKSSMATVSSEPDTSASQSEILHFIKSTFGTLEGQNHCWLNCMNDTWKTLNQGVYLILLYESCGTSDSHGKLSSAFQRLKYLQQRYPRLTVFAMEYGSDISSLQSQTVHTVMKEYFTFPILMSDKDFTHNQEFLGKKKRFLKNPCISVDEDGDRIFISDSNHHRVIISNSNGTILDYIGCSPGFEDGEFESAKFLRPAASFYNTAEDCLYIVDSENHAVRKADLGRRMLETVYPVSSKSSSGIWSWIVDKLGLRREDAPSENFIADSIAFPWHLLNITEDDFLVADRNFEASWILSVSTGQKQEIGRGIAEEMESYQQIIHERCALLKDMNMNWSSSAKEHSDLLGKIPFKELVSSVARFQKYIIFCDADGQRVLKHDLDTNGTSNIQFSNFGVLGLPYWFVCHLERVSTRGHSIGKFQEHTRKVNVLPGRCNIKVSVDIPADTQLAAPLVESCIWRQVRGSGAEVSGFDEPSTSTEKVGIAQQWYDEIDNLAFSEVPEEPTVHEGDENLSDGSYQEQRRAHFNCVVNVSPGTCELVASAALYLKIDSAKDDHGEQKSLVKRIIQCQRREDHAGVELLMESCKDSRDLTIMKPVHLRLMLECADHPAGTTNKETISSESSFEINISLD >ORUFI08G02450.3 pep chromosome:OR_W1943:8:1792893:1798363:-1 gene:ORUFI08G02450 transcript:ORUFI08G02450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQASAAALRRLGRRRGGDPAAALLRCGVVPSSSSYSAPGTLLPLKQPVGDCGACRRSFSSSAWLGPRLWRSLHRRQSAAAAATATAWEAHHSTRKSSMATVSSEPDTSASQSEILHFIKSTFGTLEGQNHCWLNCMNDTWKTLNQGVYLILLYESCGTSDSHGKLSSAFQRLKYLQQRYPRLTVFAMEYGSDISSLQSQTVHTVMKEYFTFPILMSDKDFTHITNGACCLLFEGSKDHALFSNLDEELEVLIKGMDNAVLKAEPSDTALESRVSWQKEEVPKEPYVGSVRNLLLYHPACISVDEDGDRIFISDSNHHRVIISNSNGTILDYIGCSPGFEDGEFESAKFLRPAASFYNTAEDCLYIVDSENHAVRKADLGRRMLETVYPVSSKSSSGIWSWIVDKLGLRREDAPSENFIADSIAFPWHLLNITEDDFLVADRNFEASWILSVSTGQKQEIGRDGQRVLKHDLDTNGTSNIQFSNFGVLGLPYWFVCHLERVSTRGHSIGKFQEHTRKVNVLPGRCNIKVSVDIPADTQLAAPLVESCIWRQVRGSGAEVSGFDEPSTSTEKVGIAQQWYDEIDNLAFSEVPEEPTVHEGDENLSDGSYQEQRRAHFNCVVNVSPGTCELVASAALYLKIDSAKDDHGEQKSLVKRIIQCQRREDHAGVELLMESCKDSRDLTIMKPVHLRLMLECADHPAGTTNKETISSESSFEINISLD >ORUFI08G02450.4 pep chromosome:OR_W1943:8:1792893:1798363:-1 gene:ORUFI08G02450 transcript:ORUFI08G02450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVQASAAALRRLGRRRGGDPAAALLRCGVVPSSSSYSAPGTLLPLKQPVGDCGACRRSFSSSAWLGPRLWRSLHRRQSAAAAATATAWEAHHSTRKSSMATVSSEPDTSASQSEILHFIKSTFGTLEGQNHCWLNCMNDTWKTLNQGVYLILLYESCGTSDSHGKLSSAFQRLKYLQQRYPRLTVFAMEYGSDISSLQSQTVHTVMKEYFTFPILMSDKDFTHITNGACCLLFEGSKDHALFSNLDEELEVLIKGMDNAVLKAEPSDTALESRVSWQKEEVPKEPYVGSIGCSPGFEDGEFESAKFLRPAASFYNTAEDCLYIVDSENHAVRKADLGRRMLETVYPVSSKSSSGIWSWIVDKLGLRREDAPSENFIADSIAFPWHLLNITEDDFLVADRNFEASWILSVSTGQKQEIGRGIAEEMESYQQIIHERCALLKDMNMNWSSSAKEHSDLLGKIPFKELVSSVARFQKYIIFCDADGQRVLKHDLDTNGTSNIQFSNFGVLGLPYWFVCHLERVSTRGHSIGKFQEHTRKVNVLPGRCNIKVSVDIPADTQLAAPLVESCIWRQVRGSGAEVSGFDEPSTSTEKVGIAQQWYDEIDNLAFSEVPEEPTVHEGDENLSDGSYQEQRRAHFNCVVNVSPGTCELVASAALYLKIDSAKDDHGEQKSLVKRIIQCQRREDHAGVELLMESCKDSRDLTIMKPVHLRLMLECADHPAGTTNKETISSESSFEINISLD >ORUFI08G02450.5 pep chromosome:OR_W1943:8:1792893:1798363:-1 gene:ORUFI08G02450 transcript:ORUFI08G02450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVQASAAALRRLGRRRGGDPAAALLRCGVVPSSSSYSAPGTLLPLKQPVGDCGACRRSFSSSAWLGPRLWRSLHRRQSAAAAATATAWEAHHSTRKSSMATVSSEPDTSASQSEILHFIKSTFGTLEGQNHCWLNCMNDTWKTLNQGVYLILLYESCGTSDSHGKLSSAFQRLKYLQQRYPRLTVFAMEYGSDISSLQSQTVHTVMKEYFTFPILMSDKDFTHITNGACCLLFEGSKDHALFSNLDEELEVLIKGMDNAVLKAEPSDTALESRVSWQKEEVPKEPYVGSIGCSPGFEDGEFESAKFLRPAASFYNTAEDCLYIVDSENHAVRKADLGRRMLETVYPVSSKSSSGIWSWIVDKLGLRREDAPSENFIADSIAFPWHLLNITEDDFLVADRNFEASWILSVSTGQKQEIGRDGQRVLKHDLDTNGTSNIQFSNFGVLGLPYWFVCHLERVSTRGHSIGKFQEHTRKVNVLPGRCNIKVSVDIPADTQLAAPLVESCIWRQVRGSGAEVSGFDEPSTSTEKVGIAQQWYDEIDNLAFSEVPEEPTVHEGDENLSDGSYQEQRRAHFNCVVNVSPGTCELVASAALYLKIDSAKDDHGEQKSLVKRIIQCQRREDHAGVELLMESCKDSRDLTIMKPVHLRLMLECADHPAGTTNKETISSESSFEINISLD >ORUFI08G02460.1 pep chromosome:OR_W1943:8:1800193:1804510:1 gene:ORUFI08G02460 transcript:ORUFI08G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVIGALFRGGGHRREMHRAPMNQPGYGGGGENHRRAMLTKKYSYIPDNYQSLDQVTAALRDQGLESSNLILGIDFTKSNEWTGKRSFSGQSLHKIGSTPNPYEQAISIIGKTLAPFDDDNLIPCFGFGDATTHDYNVFSFHPDNSPCHGFEEVLSCYKKIVPHLRLSGPTSFAPIVEAAVDIVDRSGGQYHVLVIVADGQVTRSVDTSDNDLSPQERRTVDSVVMASSYPLSIVLVGVGDGPWEDMQKFDDKIPARQFDNFQFVNFTSIMSRSTTQQQKESAFALAALMEVPIQYKATMELGILGRSTGKAKRIMPAPPPLPSAAGRQPSLRREGSAAATAAAAPPSPREDQVCPICLTNAKDLAFGCGHMCCRECGESLTKCPICRQTIRSKLRLYSG >ORUFI08G02470.1 pep chromosome:OR_W1943:8:1804613:1805970:1 gene:ORUFI08G02470 transcript:ORUFI08G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATASLLTCFFALTLFMLHSNDKIFSTQNNQLHVFQSSFSHQNAPQSVFHAAATAPSLYHSQSSKTWCVANPAASEDALRANLEFACSESDCAAIQGTGGCSFPDDDGSLPTRASVAMNAYYQARGRNSWNCFFNGTGLITITDPSSGSCKYA >ORUFI08G02480.1 pep chromosome:OR_W1943:8:1806689:1808017:-1 gene:ORUFI08G02480 transcript:ORUFI08G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISQLQEQLNEMAMVAVNTFGTLQRDAPPVRLSNNYPDPLNPAAAAANPNPDDPAQPQPGAAAAAPGAPAAQAQAPPAQAQPPALDLAEHPKAMSHALVLAAKKFDALVSALPLSSEEDQLKRIKELQAENEVVGSELQKQLEAAELELKQVEALFNEATDHCINLKKPE >ORUFI08G02490.1 pep chromosome:OR_W1943:8:1808613:1817142:1 gene:ORUFI08G02490 transcript:ORUFI08G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGETRKERSKWDTKGPPDIVEISEDESLPMNMDDHKKGNDDHRKGNDLLPSQDFGHGNDKQIGESVNLKSTVSMHHGSAGHEHDRADGLNKDIKERSSKASSERLPLRMGDEDHNKNDWHNRGFEKAAGNQGMGRYADDRRRGDGWGTTLSRGYSSRISSSGPDAWKRSRSPLSPRGGWNRSRRNRSRSRSRSRSRSIGRGRGRSRSRSRSPYFSDRGSEWRVERGRSSGGPALPCRDFVAGRCRRGSNCRFPHEDGVRRQLDEHYPVDSRERYGHQNRDFMDPREQDDYLRSRPLRGGHYDEGTWERSEPRREYRSTMPCHDFVKGRCSRGANCRYVHDDSTSHGGWRDEVRDNAIGRSGPDSSYGNRTEHRRTNKNPCKFFANGGCRRGQNCPYLHEEASQSQMGLGAPDEPGYTGGPTTRGDYLSWSEQNNSVQASSHVLSRDDRENPVPQGTGRNDSRYENKNRHSKDAGSSQYQIFPQDDFGSVGQNKPEIAASQLPQFIPSVQTGTESINIDKVSDMGGQSGPGTVGNLSMQIGMHSANLLGGHNLGQKAESQDAISQISAAPSLLGATQLQNTTSSVPLNSQVQQSDFSLHPNRQDQFAVPHATTNNSAPSMQSQPVAPYMGHSQHGYIMGAQSLPDLSVHNGQIFNVGQVPQNLPTIVHAGQNQATSDTANLGRDSGDQSLHNTHNFQPVAPNEQTQSQTLQGLSVVASSSSVDMAGAPLSHNAVSSQEEVRRVTASLAQYFVPSLTADTSGLQSPQPDPNSSLMNNSSAAPQAVQPNHWPWLQQAGMVQPSHIVPSEQPAPQTFQAPMAAGSSNGNPLILPHSVAPTGPPAALATNETTPAENKKEEPKDTDAEANEDGENKKSKDSKALKMFKLALADFVKDALKPTWKEGQMSREVHKTIVKKVVDKVTTTVENTPQTKEKIDIYMSYSREKLNKLVQAYVGKYAKKD >ORUFI08G02490.2 pep chromosome:OR_W1943:8:1809753:1817142:1 gene:ORUFI08G02490 transcript:ORUFI08G02490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGETRKERSKWDTKGPPDIVEISEDESLPMNMDDHKKGNDDHRKGNDLLPSQDFGHGNDKQIGESVNLKSTVSMHHGSAGHEHDRADGLNKDIKERSSKASSERLPLRMGDEDHNKNDWHNRGFEKAAGNQGMGRYADDRRRGDGWGTTLSRGYSSRISSSGPDAWKRSRSPLSPRGGWNRSRRNRSRSRSRSRSRSIGRGRGRSRSRSRSPYFSDRGSEWRVERGRSSGGPALPCRDFVAGRCRRGSNCRFPHEDGVRRQLDEHYPVDSRERYGHQNRDFMDPREQDDYLRSRPLRGGHYDEGTWERSEPRREYRSTMPCHDFVKGRCSRGANCRYVHDDSTSHGGWRDEVRDNAIGRSGPDSSYGNRTEHRRTNKNPCKFFANGGCRRGQNCPYLHEEASQSQMGLGAPDEPGYTGGPTTRGDYLSWSEQNNSVQASSHVLSRDDRENPVPQGTGRNDSRYENKNRHSKDAGSSQYQIFPQDDFGSVGQNKPEIAASQLPQFIPSVQTGTESINIDKVSDMGGQSGPGTVGNLSMQIGMHSANLLGGHNLGQKAESQDAISQISAAPSLLGATQLQNTTSSVPLNSQVQQSDFSLHPNRQDQFAVPHATTNNSAPSMQSQPVAPYMGHSQHGYIMGAQSLPDLSVHNGQIFNVGQVPQNLPTIVHAGQNQATSDTANLGRDSGDQSLHNTHNFQPVAPNEQTQSQTLQGLSVVASSSSVDMAGAPLSHNAVSSQEEVRRVTASLAQYFVPSLTADTSGLQSPQPDPNSSLMNNSSAAPQAVQPNHWPWLQQAGMVQPSHIVPSEQPAPQTFQAPMAAGSSNGNPLILPHSVAPTGPPAALATNETTPAENKKEEPKDTDAEANEDGENKKSKDSKALKMFKLALADFVKDALKPTWKEGQMSREVHKTIVKKVVDKVTTTVENTPQTKEKIDIYMSYSREKLNKLVQAYVGKYAKKD >ORUFI08G02490.3 pep chromosome:OR_W1943:8:1813272:1817142:1 gene:ORUFI08G02490 transcript:ORUFI08G02490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGETRKERSKWDTKGPPDIVEISEDESLPMNMDDHKKGNDDHRKGNDLLPSQDFGHGNDKQIGESVNLKSTVSMHHGSAGHEHDRADGLNKDIKERSSKASSERLPLRMGDEDHNKNDWHNRGFEKAAGNQGMGRYADDRRRGDGWGTTLSRGYSSRISSSGPDAWKRSRSPLSPRGGWNRSRRNRSRSRSRSRSRSIGRGRGRSRSRSRSPYFSDRGSEWRVERGRSSGGPALPCRDFVAGRCRRGSNCRFPHEDGVRRQLDEHYPVDSRERYGHQNRDFMDPREQDDYLRSRPLRGGHYDEGTWERSEPRREYRSTMPCHDFVKGRCSRGANCRYVHDDSTSHGGWRDEVRDNAIGRSGPDSSYGNRTEHRRTNKNPCKFFANGGCRRGQNCPYLHEEASQSQMGLGAPDEPGYTGGPTTRGDYLSWSEQNNSVQASSHVLSRDDRENPVPQGTGRNDSRYENKNRHSKDAGSSQYQIFPQDDFGSVGQNKPEIAASQLPQFIPSVQTGTESINIDKVSDMGGQSGPGTVGNLSMQIGMHSANLLGGHNLGQKAESQDAISQISAAPSLLGATQLQNTTSSVPLNSQVQQSDFSLHPNRQDQFAVPHATTNNSAPSMQSQPVAPYMGHSQHGYIMGAQSLPDLSVHNGQIFNVGQVPQNLPTIVHAGQNQATSDTANLGRDSGDQSLHNTHNFQPVAPNEQTQSQTLQGLSVVASSSSVDMAGAPLSHNAVSSQEEVRRVTASLAQYFVPSLTADTSGLQSPQPDPNSSLMNNSSAAPQAVQPNHWPWLQQAGMVQPSHIVPSEQPAPQTFQAPMAAGSSNGNPLILPHSVAPTGPPAALATNETTPAENKKEEPKDTDAEANEDGENKKSKDSKALKMFKLALADFVKDALKPTWKEGQMSREVHKTIVKKVVDKVTTTVENTPQTKEKIDIYMSYSREKLNKLVQAYVGKYAKKD >ORUFI08G02500.1 pep chromosome:OR_W1943:8:1819585:1823181:1 gene:ORUFI08G02500 transcript:ORUFI08G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSVDPPTPRVWRNENSYRDRFIYPPGVPASPPPNVASSPLSINYSLRGRNPWPPPQPLSGTLTRLAPPRPAAASVSASRVGAGALRAGGLRVAAGGSVARRGGAVVAAAMRPAKAVASPAKEAAGEVNGAAPGGFARPDAFGRFGKFGGKYVPETLMHALTELEAAFHALAGDEDFQKELDGILKDYVGRETPLYFAERLTEHYKRADGTGPKIYLKREDLNHTGAHKINNAVAQVLLAKRLGKERIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMKLLGAEVRAVHSGTATLKDATSEAIRDWVTNVENTHYILGSVAGPHPYPMMVREFHKVIGKETRRQAMEKWGGKPDVLVACVGGGSNAMGLFHEFVDDQDIRMIGVEAAGYGVDTDKHAATLTKGEVGVLHGSLSYVLQDDDGQVIEPHSISAGLDYPGVGPEHSFLKDIGRAEYDSVTDQEALDAFKRVSRLEGIIPALETSHALAYLEKLCPTLPDGVRVVVNCSGRGDKDVHTASKYLDV >ORUFI08G02510.1 pep chromosome:OR_W1943:8:1824737:1828983:-1 gene:ORUFI08G02510 transcript:ORUFI08G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPGRNMSPAMIGRPNGGGGGGGVAYASSSSALSLGQSLLDGHHHQLPSLLQQHHNGHHLLDQHQQHQHQLPPQATTTSESDGRAPRDELEMSKSGGSDNLESGGGGGGGGSGDDQDPNQRPRKKRYHRHTQHQIQELEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENNALRAENEKLRAENMRYKEALANASCPNCGGPAAIGEMSFDEHHLRLENARLRDEIDRISAIAAKYVGKPAAAVSAAYPPLPPSNRSPLDHMGIPGAGADVFGADFDKPLVIELAVAAMEELVRMAQLGEPLWAPALGGEALGEEEYARTFPRGLGPKSPELRSEASRETAVVIMNHVSLVEMLMDVGQWTALFSSIVSRAATLEVLSTGVAGNHNGALQLMSAEFQMPSPLVPTRETQFLRYCKQHPDGTWAVVDVSLDGLRAGAGGGCQPAAARGHRRRPSGCLIQEMPNGYSKVTWVEHVEADDQMVHNLYKPVVNSGMAFGARRWVATLERQCERLASAMASNVASSGDAGVITTSEGRRSMLKLAERMVASFCGGVTASTTHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIILNAATSFWLPVPPSRVFDFLRDDSTRSEWDILSNGGVVQEMAHIANGRDHGNAVSLLRVNNANSNQSNMLILQECCTDATGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPDGGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAITGDNGVAPPCPRGSREGSRANSEV >ORUFI08G02520.1 pep chromosome:OR_W1943:8:1832222:1832452:-1 gene:ORUFI08G02520 transcript:ORUFI08G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCEGGSDDGGRGSGTSVVVDLVLETSVTTDLVLGTSVAAELVLPASGVAGPLATMANDAQELCDNDDGGNNGLR >ORUFI08G02530.1 pep chromosome:OR_W1943:8:1842705:1844035:-1 gene:ORUFI08G02530 transcript:ORUFI08G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSQLLLTVFLAAALLPLAMAATTTTYPIGSFCWEPGMNYGDLNGSDAVVRRRSINFVVSDLVAKARTGGGFATSKAGRGYDAFYGLAQCRGDVSGGDCDACLAKPPMPRPSRQADGLLLQLYIRLQAMYCFMRYDNYNFLGEVDTREDASVTMRQWPDMDNPKAFQKAAGKAMGKATAQAVAVGSSGLGRAKEQYTPFVSVYALAQCTRDLSPPSCAQCLSAAVSKFDKACGSGPGCQIDYSSCWARYEIYPFYFPLAAAGRATIDMTKYTKVTVH >ORUFI08G02540.1 pep chromosome:OR_W1943:8:1844054:1847062:-1 gene:ORUFI08G02540 transcript:ORUFI08G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLPPVRQQVMGNLAAPIADQHDKSPITIIVLQTPLPTSHQKSSPQSKGVDHSRGVNILQLCKHIDQSINPSFPIQSSLYINSNHSIPIKHKLKPSSSTEISSYYYCYSSSNKRLN >ORUFI08G02550.1 pep chromosome:OR_W1943:8:1848208:1849441:-1 gene:ORUFI08G02550 transcript:ORUFI08G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRRSHHCCLVLVALSLAAMLLPMAMATTISMSPIGTFCWNPSYNEMSSGEAIARRRSINSVVSDLAAKARAGGGFATSSAGRGIDAFYGLAQCRGDVSGGDCDACLAQAAKQMVTNCNYTLDSRIWYEYCFMRYVDFNFFGEMDTRTDASVTLRQWPDMDNPMAFQKAVGKATGKAVAHAVTMGSGGLGRAKEQCTSFVNVYALAQCTRDLAPPLCAQCLSTTVSKFAEACGSGQGCQIDYSSCWVRYEIYPFYFPLEANRQAPTDLTKYTKVTMH >ORUFI08G02560.1 pep chromosome:OR_W1943:8:1851726:1852922:-1 gene:ORUFI08G02560 transcript:ORUFI08G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSQLVLVTAFLAAALLPLGMAATTYPIGSFCSESGMNYGDLNSSEAVVRRRSVNFVVSDLVAKARTGGGFATSRAGRGYDAFYGLAQCRGDVSGGDCDACLAQAAKQIVSNCNYTSDSRIWYEYCFMRYYNYDFIGEVDTREDASVTMINWQNMDNPKAFQKAAGKAMGKATAQAVAVGRSGLGRAKEQYTPFVSVYALAQCTRDLAPPACARCLSEIVSKFDKTCNSAQGCQIDYSSCWARYEIYPFYFPLEAGSRATIDMSKYTKVTMH >ORUFI08G02570.1 pep chromosome:OR_W1943:8:1854436:1855963:1 gene:ORUFI08G02570 transcript:ORUFI08G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSQLVLVAVVSLVALLPLGMAATTYDPIGSFCSETWMNYGDLNSSEAVTRRRAVNFVVSDLVAKARTGGGFATSKAGRGSEVFYGLAQCRGDVSGGDCDACLAQAAKQMVSNCNYTSDSRIWYEYCFMRYYSSYNFIGDVDTREDASVTLRRWPDMDNPKAFQKVVGKAMAKATTQAVSVGGNGLGRAKEQYTPFVSVYALAQCTRDLAPPACAQCLSSTVSKFDKACGAAQGCQIDYSSCWARYEIYPFYFPLEANGRATIDMNKYTKVTMH >ORUFI08G02580.1 pep chromosome:OR_W1943:8:1860673:1861177:-1 gene:ORUFI08G02580 transcript:ORUFI08G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDMDTSKPDKYLKAKSDSNMPSQVWETTWSWLLKDGAGLLILDPYGGEMVRVVPAVTPFPHRQALYNIQYYGFWSKSGRQRRRSTWAGSEGSTMEPYVSKTPGAGQQPSPPSQDLSPSAAPGAGEYGSRGNLVILKIFSPLLTRK >ORUFI08G02590.1 pep chromosome:OR_W1943:8:1865183:1872746:-1 gene:ORUFI08G02590 transcript:ORUFI08G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGERGGNLPPPYMAGEIRVAAGEGDSAAVVRRRRGGERSAAAGSGGGDDGQRREDKGPTWTLQANQQSYSTSLPSTPISTQTSRAFVDGWGEGCRSAGKSSTAEAAS >ORUFI08G02600.1 pep chromosome:OR_W1943:8:1865508:1871483:1 gene:ORUFI08G02600 transcript:ORUFI08G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGPGQGLGCEAAVGLLAPSRKREYKACNKLTEGKRPLYAIGFNFLDVHYYEVFATVGGNRVTTYSCLKDGNFAILQAYIDEDKDESFYTLSWACDLDGTPLLVAAGSNGIIRVINCATEKLLKFLANFNENSFLRIYALLLSDIPMIEAMLQIIVASLTFVGHGDSINEIRTQALKPSLIISASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWPYVEQSFTWTDLPSKFPTKYVQFPSVDNEIVLWEPKTKEQSPGEGSIDILQKYPVPECDIWFIKFSCDFHFNQLAIGNREGKVFVWEVQSSPPVLTARLTNPQCKSAIRQTAVSFDGSTILACSEDGSIWRWDEVDHPKA >ORUFI08G02600.2 pep chromosome:OR_W1943:8:1866399:1871483:1 gene:ORUFI08G02600 transcript:ORUFI08G02600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKICSRYELPNLVPNSELLLALLTPLTNRPKSERRRRRNPPPPPTEARAGQAVVGRGGEERRMAKLGPGQGLGCEAAVGLLAPSRKREYKACNKLTEGKRPLYAIGFNFLDVHYYEVFATVGGNRVTTYSCLKDGNFAILQAYIDEDKDESFYTLSWACDLDGTPLLVAAGSNGIIRVINCATEKLLKFLANFNENSFLRIYALLLSDIPMIEAMLQIIVASLTFVGHGDSINEIRTQALKPSLIISASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWPYVEQSFTWTDLPSKFPTKYVQFPSVDNEIVLWEPKTKEQSPGEGSIDILQKYPVPECDIWFIKFSCDFHFNQLAIGNREGKVFVWEVQSSPPVLTARLTNPQCKSAIRQTAVSFDGSTILACSEDGSIWRWDEVDHPKA >ORUFI08G02610.1 pep chromosome:OR_W1943:8:1872550:1881695:1 gene:ORUFI08G02610 transcript:ORUFI08G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVIDVGSYSCKAGYAGDDTPKAVFPSVVGSIEQTGETDEAKADKEAEAASDSKNGAKPMDVDKAKTKRKLYVGQELEFRRDHMEVISPMKDGTVTDWDIVDNIWNHAFRQRLLINPEEHPMLIAEPSTNTGQQREKAAELMFEKYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSTVVAAVHDGYVLQKSVATSPIGGEFLTDCMMKSLESKGVVIRPRYSFKKKEVGPGEYKVVDLDLPNTTESYKLYCMRAIASDIKESVCRVPDTAFDEVAYANVPTTSYELPDGQTIEVGADRFKIPDILFNPSLSQTIPGVDGFADSMSVRGLPRMVIDSVNRCDVDIRKELLSSILLKERLEKEVLEESSGNTRVKVLASGNSVERRFSVWIGGSILASLGSFQQMWFSKAEYEEHGVSYIQRKCP >ORUFI08G02610.2 pep chromosome:OR_W1943:8:1872550:1881694:1 gene:ORUFI08G02610 transcript:ORUFI08G02610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVIDVGSYSCKAGYAGDDTPKAVFPSVVGSIEQTGETDEAKADKEAEAASDSKNGAKPMDVDKAKTKRKLYVGQELEFRRDHMEVISPMKDGTVTDWDIVDNIWNHAFRAAELMFEKYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSTVVAAVHDGYVLQKSVATSPIGGEFLTDCMMKSLESKGVVIRPRYSFKKKEVGPGEYKVVDLDLPNTTESYKLYCMRAIASDIKESVCRVPDTAFDEVAYANVPTTSYELPDGQTIEVGADRFKIPDILFNPSLSQTIPGVDGFADSMSVRGLPRMVIDSVNRCDVDIRKELLSSILLKERLEKEVLEESSGNTRVKVLASGNSVERRFSVWIGGSILASLGSFQQMWFSKAEYEEHGVSYIQRKCP >ORUFI08G02620.1 pep chromosome:OR_W1943:8:1883634:1888594:1 gene:ORUFI08G02620 transcript:ORUFI08G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSRNHKSSQKDVAPNEAKPPRYPQRNRSITASASASAFASPAVANSRVAKERPSSSTAGEGEPQETVLKLPSIPTLPARMAKLVPLEGLGCEAAVGSLTPSREREYKVTNKHTEGRRPVYAIVFNFLDVRYYDIFATACGPRLSTYRCLMNGKFALLQSYLDDDMNESFFTVSWACDIDGNPLLVAAGSTGIIRVINCATEKIYKSLVGHGGSVNEIKSQPSNPSLIISASKDESIKLWNVQTGILILVFGGVGGHRHEVLGVDFHTSDIYRFLSCGMDNTVRIWSMKEFWEYVEKSYSWTDATSKFPTKFVQFPVLCAEIHSNYVDCTKWLGDFVLSKSVENEILLWESITKEENPGEGHIDVLQKYPVPECNIWFMKFSCDFHHNQLAIGNRDGKVYVWKVQTSPPVLIARLNNPQVKSAIRQTAVSFDGSTILACTEDGNIWRWDEVDHPTAPVPSKKQK >ORUFI08G02630.1 pep chromosome:OR_W1943:8:1893035:1897117:1 gene:ORUFI08G02630 transcript:ORUFI08G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPPSRPRLPLRPLLLSLPLLSLLLLLLIHRPHPSPPPPLLATATATRRDDEPAPRRAASSLAPKATTTTTLAHVVFGIASSRRTLPLRLPLLRLWLRPPARAFLFLDGPAPAAAAASEPLPPNLRFCVSSTDASRFPYTHPRGLPSAVRVARIAKELLQLDDHHHATPPPPRWLVLADDDTAFVLPNLLHTLSRYDWREPWYLGARSESAAQNAWHGFAMAYGGGGIAVSWPLAARLARVLDSCLLRYPHLYGSDARIHACLAELGVELTHEPGFHQIDLHGDISGLLRAHPLTPLVSLHHLDHVYPLYPGMDRATAVKHFFRAANADPARILQQTVCYDHSKAITVSIAWGYSVQVYKGNVLLPDLLAVQKTFVPWKRGRNATDVFMFDTKHYPRDECKRAALFFLKSISSGEGKIKSDYTRQLPRKCSPNLIPLRNLHQIKVASEPLHLVPGKALRRHCCDVVSSSSETNMDVNIRKCKEDELIAMHS >ORUFI08G02630.2 pep chromosome:OR_W1943:8:1893035:1896744:1 gene:ORUFI08G02630 transcript:ORUFI08G02630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPPSRPRLPLRPLLLSLPLLSLLLLLLIHRPHPSPPPPLLATATATRRDDEPAPRRAASSLAPKATTTTTLAHVVFGIASSRRTLPLRLPLLRLWLRPPARAFLFLDGPAPAAAAASEPLPPNLRFCVSSTDASRFPYTHPRGLPSAVRVARIAKELLQLDDHHHATPPPPRWLVLADDDTAFVLPNLLHTLSRYDWREPWYLGARSESAAQNAWHGFAMAYGGGGIAVSWPLAARLARVLDSCLLRYPHLYGSDARIHACLAELGVELTHEPGFHQIDLHGDISGLLRAHPLTPLVSLHHLDHVYPLYPGMDRATAVKHFFRAANADPARILQQTVCYDHSKAITVSIAWGYSVQVYKGNVLLPDLLAVQKTFVPWKRGRNATDVFMFDTKHYPRDECKRAALFFLKSISSGEGKIKSDYTRQLPRKCSPNLIPLRNLHQIKVASEPLHLVPGKALRRHCCDVVSSSSETNMDVNIRKCKEDELIAMHS >ORUFI08G02640.1 pep chromosome:OR_W1943:8:1900453:1926836:1 gene:ORUFI08G02640 transcript:ORUFI08G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWARGPAMAAAAAVVMVSAVLAGQAMAAGATTYTVGAPDGLWDMETDYKEWVARRTFHPGDKLTFTYSRELHDVVEVTKAGYDACSNANNISAFRSGNDLVALTAVGTRYFLCGLTGHCGSGMKIRIDVVAAASSGPAAAAGPGAAAAPLPSTSSVTAAVAGSRLVLLIGPYSMAIMAARALLVVAMAAAVLGTALGATYTVGAPSGSWDLRTNYDQWVSNINFRAGDQIVFKYSPAAHDVVEVNKADYDSCSSSSPIATFNSGDDTIPLTAAGTRYFICGFNGHCTGGMKVAVKVEAATSSNPAPSPMTPRPRTPTAMAPNAMPPTAGSRPVPPSNSASQPASVASLVGLSLARALLVVAMAAAVLRTAMGVTTYTVGAPAGSWDTRTNYAQWVSAITFRVGDQLVFKYSPAAHDVVEVNKADYDSCSSSSPISTFNSGDDTIPLAAIGTRYFICGFPGHCTAGMKVAVKVEAATGSNPTPSPLAPLPRTPKAMAPNAMPPTNGGRSAPPSSSASKPVGVASLVGLSLSVIVAGLMAIMAAANRSALLVVAMAAAVLATTATGATTYTVGAPAGSWDTRTNYAQWVSAVTFRVGDQLVFKYSPAAHDVVEVTKAGYDSCSSSGPVATFNSGDDTVPLTATGTRYFMCGFPGHCAAGMKIAVKVEAATATGGSGTALSPMAPRPRTPTAMAPNAMPPMAGGRPVSPSSSASKSTGVASLLHRSPRSSIVAAMAASARALLVVAVAAAAAVLATTAMGATTYTVGAPAGSWDTRTNYAQWASAATFRAGDRLVFRYSPAAHDVVEVTKAGYDACSAASPIATFNSGDDTVPLAAVGTRYFICGFPGHCAAGMKLAVKVEAAAAAPGGSSTTPSPSPSPAALPPVNGGRPVTPSSSASKSGGVVESLVGLGVGAMAAGLMLQIVSLERMALLAVVVVAAAAFSTASGASYGVGKPNGGPTTPLGLPPSPSASTTSLVTKDGYLSCSASSPIAVHRTGEDAVELGRLGRRYFICGVPGHCDAGMKLEVRTLCSIPSPPPPGSDGDGNGTPGGICIDGSPPPPPPPPHLHPRRRLLRFSSGIFRQCHHCTCHHGSCYSDAAISHHSLIDKINHGFFSTKCCTCPHFF >ORUFI08G02640.2 pep chromosome:OR_W1943:8:1900453:1926836:1 gene:ORUFI08G02640 transcript:ORUFI08G02640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWARGPAMAAAAAVVMVSAVLAGQAMAAGATTYTVGAPDGLWDMETDYKEWVARRTFHPGDKLTFTYSRELHDVVEVTKAGYDACSNANNISAFRSGNDLVALTAVGTRYFLCGLTGHCGSGMKIRIDVVAAASSGPAAAAGPGAAAAPLPSTSSVTAAVAGSRLVLLIGPYSMAIMAARALLVVAMAAAVLGTALGATYTVGAPSGSWDLRTNYDQWVSNINFRAGDQIVFKYSPAAHDVVEVNKADYDSCSSSSPISTFNSGDDTIPLAAIGTRYFICGFPGHCTAGMKVAVKVEAATGSNPTPSPLAPLPRTPKAMAPNAMPPTNGGRSAPPSSSASKPVGVASLVGLSLSVIVAGLMAIMAAANRSALLVVAMAAAVLATTATGATTYTVGAPAGSWDTRTNYAQWVSAVTFRVGDQLVFKYSPAAHDVVEVTKAGYDSCSSSGPVATFNSGDDTVPLTATGTRYFMCGFPGHCAAGMKIAVKVEAATATGGSGTALSPMAPRPRTPTAMAPNAMPPMAGGRPVSPSSSASKSTGVASLLHRSPRSSIVAAMAASARALLVVAVAAAAAVLATTAMGATTYTVGAPAGSWDTRTNYAQWASAATFRAGDRLVFRYSPAAHDVVEVTKAGYDACSAASPIATFNSGDDTVPLAAVGTRYFICGFPGHCAAGMKLAVKVEAAAAAPGGSSTTPSPSPSPAALPPVNGGRPVTPSSSASKSGGVVESLVGLGVGAMAAGLMLQIVSLERMALLAVVVVAAAAFSTASGASYGVGKPNGGPTTPLGLPPSPSASTTSLVTKDGYLSCSASSPIAVHRTGEDAVELGRLGRRYFICGVPGHCDAGMKLEVRTLCSIPSPPPPGSDGDGNGTPGGICIDGSPPPPPPPPHLHPRRRLLRFSSGIFRQCHHCTCHHGSCYSDAAISHHSLIDKINHGFFSTKCCTCPHFF >ORUFI08G02650.1 pep chromosome:OR_W1943:8:1927182:1929415:-1 gene:ORUFI08G02650 transcript:ORUFI08G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPADGGGGGGGGSGDDWFLDCGILEDLPAAACGAFPWDASPSCSNPSVEVSSYVNTTSYVLKEPGSNKRVRSGSCGRPTSKASREKIRRDKMNDRFLELGTTLEPGKPVKSDKAAILSDATRMVIQLRAEAKQLKDTNESLEDKIKELKAEKDELRDEKQKLKVEKETLEQQVKILTATPAYMPHPTLMPAPYPQAPLAPFHHAQGQAAGQKLMMPFVGYPGYPMWQFMPPSEVDTSKDSEACPPVA >ORUFI08G02660.1 pep chromosome:OR_W1943:8:1932885:1937647:1 gene:ORUFI08G02660 transcript:ORUFI08G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLAALCNPRLLHSSHAFLPKATSTASTSSSSSAAAGEALKPRPRLPPPQQQQQQQQPGVSHQHQTRRRELGAAALASLCAGIEALAAEGRHDEARDAFRGARSGAPFTPLPASTYDALVASAAALREPGFAAAVLWHVESSGFEPGQRAWNRVLRMQLACGMLAEARQVFDGMPSRSEATWGTMMGGLIDAGRPRGALALFRELWEEVGGDAAPRVVVVAVRAVTALGSACAGQQLHCCVAKTGMYEDQYLSCALIDMYNKCGQLDEARRVFDGMPQKSVVAWNSMLASYSLHGCSEEALDLYHSMCEGGVDIDQFTFSTMLRVFSRLGLLEHAKQAHAGLIQRGLPLDIVGNTALVDLYCKWGQMEDARNVFERMPIRNLISWNALIAGYGYHGMGQKAIEMFEELIAEGIAPNHVTFLAVLNACRFSGFVEEGKRIFQLMTQNQRTKPRAMHYACIIELFGQQGRLDEAYSMIRKAPFIPTANMWGALLTASRIHKNLQLARLAAEQLLAMEPQKTNNYVVLLNLYINSGRQTEASKVVDTLKRRGLCIHAACSWITVRKKDHRFFFKDSLHPQSSEIYRKLDSLLKEIKQLGYVAEENELLPDILPDEQKTSKVYHSERLAVAFGLISTSLSTTLRVTQCHRLCHDCHKVMKFVTQVTKREIVIRDGSRFHHFKLGTCSCGDYW >ORUFI08G02660.2 pep chromosome:OR_W1943:8:1933372:1937647:1 gene:ORUFI08G02660 transcript:ORUFI08G02660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLACGMLAEARQVFDGMPSRSEATWGTMMGGLIDAGRPRGALALFRELWEEVGGDAAPRVVVVAVRAVTALGSACAGQQLHCCVAKTGMYEDQYLSCALIDMYNKCGQLDEARRVFDGMPQKSVVAWNSMLASYSLHGCSEEALDLYHSMCEGGVDIDQFTFSTMLRVFSRLGLLEHAKQAHAGLIQRGLPLDIVGNTALVDLYCKWGQMEDARNVFERMPIRNLISWNALIAGYGYHGMGQKAIEMFEELIAEGIAPNHVTFLAVLNACRFSGFVEEGKRIFQLMTQNQRTKPRAMHYACIIELFGQQGRLDEAYSMIRKAPFIPTANMWGALLTASRIHKNLQLARLAAEQLLAMEPQKTNNYVVLLNLYINSGRQTEASKVVDTLKRRGLCIHAACSWITVRKKDHRFFFKDSLHPQSSEIYRKLDSLLKEIKQLGYVAEENELLPDILPDEQKTSKVYHSERLAVAFGLISTSLSTTLRVTQCHRLCHDCHKVMKFVTQVTKREIVIRDGSRFHHFKLGTCSCGDYW >ORUFI08G02670.1 pep chromosome:OR_W1943:8:1938347:1941354:-1 gene:ORUFI08G02670 transcript:ORUFI08G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMKGVSEGLIIGITVGVVIGVLLAVGILLCLRYRRSQAQIRSSSSRRASTIPIRANGVNACAILSNSTTGQESPREVEDRGASLWLEGPGRKSVISASGIPKYAYKELQKATSNFTTLLGQGAFGPVYKADLSSGETLAVKVLANNSKQGEKEFQTEVLLLGRLHHRNLVNLVGYCAEKGQHMLLYAFMPNGSLASHLYGENIAPLRWDLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDQSMHARVADFGLSREEMVTRNGANIRGTYGYLDPEYVSSRSFTKKSDVYSYGVLLFEMIAGRNPQQGLMEYVELAAINADGKTGWEEIADSRLEGAFDVEELNDMAAMAYRCVSRVSRKRPAMRDVVQALIRVAKHSQSKKHHNRRPPPGRADDESVDLEASEVQSSLSGHQRQESVGSVSDLADV >ORUFI08G02680.1 pep chromosome:OR_W1943:8:1942007:1942198:1 gene:ORUFI08G02680 transcript:ORUFI08G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIIIVEELQTTGLTLVVSTNTKIVNANAKMPYLSLANRRYATLESSHELKKLEKKELLQTD >ORUFI08G02690.1 pep chromosome:OR_W1943:8:1948927:1949413:-1 gene:ORUFI08G02690 transcript:ORUFI08G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSFVPCGCRAGPIDDAPPADAAPPAALPAAPAGDGGAAARRRRRRARSLGGSPQWRPSLGDIYEEYSAADAAAAKLRLPASAAAAARAARDAARVLPRAHSDEYRHIETAVSMPAFAPAAFLF >ORUFI08G02700.1 pep chromosome:OR_W1943:8:1952221:1955250:-1 gene:ORUFI08G02700 transcript:ORUFI08G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATARSGFRRMFSISAFSPPKPPTPPPKADPSPNLFISGLSKRTTTDGLKEAFAKFGEVIHARVVTDRVTGFSKGFGFVRYATVEDAAKGIEGMDGKFLDGWVIFAEYARPRTPPQQPEMNSQPQQSWGPPSSSWGAQ >ORUFI08G02710.1 pep chromosome:OR_W1943:8:1957256:1958684:-1 gene:ORUFI08G02710 transcript:ORUFI08G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPTTAAAAAAQAFAFALAPKPSSSAAAPSALFPRTAAAAAFPTLAVRGSGKARQPVVAAAAGAGTGSEQRETILLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKERRTSKYESRRYERRRDGPPASRRPRPQTAQPESASSS >ORUFI08G02720.1 pep chromosome:OR_W1943:8:1961578:1962833:-1 gene:ORUFI08G02720 transcript:ORUFI08G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYSMYGHVAKLAEEIEKGASSVEGVEVPETLSDDVLTKMGAPSKKDVPIITPAELAEADGVIFGFPTRFGMMAAQFKAFMDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPVGYTFGAGMFEMEQVKGGSPYGSGTFAGDGSRVATELELQQAFHQGKYFAGIAKKLKGSA >ORUFI08G02730.1 pep chromosome:OR_W1943:8:1975939:1976991:-1 gene:ORUFI08G02730 transcript:ORUFI08G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSPEQGSTWPDDFRCPISLEVMTDPVILPSGHTFERRSIQRWLDGGHLTCPVTNLPPPRTIIAAVSPVAAAAMPTAAAGGGGGERREPAAAAISSVYGLLRLARSGPEGRRQVMESGDVGVLLRHAAGGDEVAARALLHLSLDGDDARVGLVADGAVDALSAAVSSGGAAAAGAATALTSLATVDVNKCTIGAHPSAVPALVGLLRRGGGARERREAATALYELCKLPENRRRAVRAGAAPALVDLAAAGSARAAEVLGLFAKSREGRHELSRIPRVVAVLVGVAGSGNARAIEQALVVLNLICGESNQLAREAIKLGAFHLCEALVNDDNCKIAKNAVELARTLEKL >ORUFI08G02740.1 pep chromosome:OR_W1943:8:1985183:1989731:1 gene:ORUFI08G02740 transcript:ORUFI08G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWRQCHEADAARRVVVVDFVVVAEYLCLGAAFGRHAGNGCAAAAGSLEGRDELPQQAARMEPEEEVPRDGMEACSYSYVSADSLAIFVLGHLALHINGLVLFWAPFMLLHLGGQETITAFSMEDNMLWKRHLLTLATQVGLAAYVVGKQWQGDKQLLAPMVLIFISGTIKYACRTSALMFTAEQTTPGSNLGMQAKGWSANWKHYSTNNWMMNEVHTYNELLWEANAGWTLYMAFLMDMTPLISRPETYSLQGLLSKEHRVYVSYKLAELQLSIVYDYFYTKLGVYFEPEERLNGRFAQLATLGSTFAALFLFARGNFSYDRADIVVSYILLSGAFILEILSVFIVVSSFWAYFMATVSDFLCTRCHDVIFSIVKLVHPESKPQWSQKLAQYNLIIGCIKQKRAAAGSCLLKCMKRVIGIQPSTMTHVDISHELKKLVLDKLLQVGSRLHPDDVWDISKFTGQWAKLELMRSKLHTESSSRARLQHGILSGNDGHMRLRNAQEFIVECLEDRQEALDQDAVVRSVAAKIDNLTEDFEHPRILTAVEPVLIQSGQLAKELLKMKEANDRWDIIMNVWMEMLCYMAFHCGPGFHNKQVLYQYVWDG >ORUFI08G02750.1 pep chromosome:OR_W1943:8:1990215:1993873:-1 gene:ORUFI08G02750 transcript:ORUFI08G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVPSVLLLPVPTCTDMLVSPVEGGDLLHCKPHFDHHPNVWGDYFLTFSPCTPSMLLNMKRKAHVSEEQVRRMILECSSGPNLHVKLELVDTLERLCIDYHYEKEIENVLRRVHEEEDDTDNHYDLHTTALRFYLLRKHGYYASPDVFQRFRDEEGNFTRDDNNNGTRSMLSLYNAAHLRIHGEEILDDAIVFTRNYLQSVVKHLQSPMADEVCSALRTPLFRRPRRVEARHYISVYDKLPTRNETILEFAKLDFGILQSLYCEELNILTMWWKELQLQDHLSFARDRMVEMHFWMLGVLFEPQYSYGRTMLTKLFIFVSIFDDIYDNYSTLEESKLFTEAIERWDEEAAEELPGYMKFFYKKVLTTMKSIETDLKLQGNKHVDYVKNLLIDATRCFYNEVKWRSEGADQVAATVEEHLKISVPSSCCMHVPVYAFVAMGNDVTTDDAINWGMAYPKIITSSCIVGRLVNDIASHEREQGSSSSSSTVEACMREHGGITKEEAYAKLRELVEESWMDIAGECLRPAAAQPPPLLEAVVNATRVLDFVYKDDQDAYTHPSSLKDTIHSIYILSV >ORUFI08G02760.1 pep chromosome:OR_W1943:8:2006024:2006698:-1 gene:ORUFI08G02760 transcript:ORUFI08G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNPTFAGARVDPHRAQVVHTTQEDCIIDIGWVVFCTKRFCKFSCWGEGLIKKGKVRDYWCSDFHGCNCLICRGI >ORUFI08G02770.1 pep chromosome:OR_W1943:8:2029258:2030706:1 gene:ORUFI08G02770 transcript:ORUFI08G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTNPTAFSAFPAGEGETFQPLNADDVRSYLHKAVDFISDYYKSVESMPVLPNVKPGYLQDELRASPPTYSAPFDVTMKELRSSVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQASPAATEMEVLALDWLAQMLNLPTSFMNRTGEGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSDGFRHHLDGVERVDSISMSPHKWLMTCLDCTCLYVRDTHRLTGSLETNPEYLKNHASDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGAGKLQEHIRSDVAMAKTFEDLVRGDDRFEVVVPRNFALVCFRIRPRKSGAAIAAGEAEAEKANRELMERLNKTGKAYVAHTVVGGRFVLRFAVGSSLQEERHVRSAWELIKKTTTEIVADAGEDK >ORUFI08G02780.1 pep chromosome:OR_W1943:8:2032798:2033616:-1 gene:ORUFI08G02780 transcript:ORUFI08G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEAACGGPLLARRPCRWHYEVCWRTKAQRRVTAKRTASRLATSVVAGTSNTMRNAISGVRSTASMKVGVLVHPRERHRRVAAVREAPPQRRGGRDVRGPGEHRCEHNIVSGGEERGGGDESAHENRVGRLMEATGRTEEDERVDGEGEHEEERIVAEREGHAAGDPSLWRALNLLHNHLAHLMSWDALTEALARLHDDVRRRTCTTFVPSARPPCHCTSPCHPHGRREPQPDAPRTASPRATVAATTPRHAARTAFTPVPVVRTLPLLSE >ORUFI08G02790.1 pep chromosome:OR_W1943:8:2041927:2043474:-1 gene:ORUFI08G02790 transcript:ORUFI08G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDANPAAAYAAFAADVEPFRPLDADDVRSYLHKAVDFVYDYYKSVESLPVLPGVEPGYLLRLLQSAPPSSSAPFDIAMKELREAVVPGMTHWASPNFFAFFPATNSAAAIAGELIASAMNTVGFTWQAAPAATELEVLALDWLAQLLGLPASFMNRTVAGGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSNGVAGITRLTVYAADQTHSTFFKACRLAGFDPANIRSIPTGAETDYGLDPARLLEAMQADADAGLVPTYVCATVGTTSSNAVDPVGAVADVAARFAAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLMTCLDCTCLYVRDTHRLTGSLETNPEYLKNHASDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGVAKLQEHIRSDVAMAKVFEDLVRGDDRFEVVVPRNFALVCFRIRAGAGAAAATEEDADEANRELMERLNKTGKAYVAHTVVGGRFVLRFAVGSSLQEEHHVRSAWELIKKTTTEMMN >ORUFI08G02800.1 pep chromosome:OR_W1943:8:2053575:2059213:-1 gene:ORUFI08G02800 transcript:ORUFI08G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAEVDRKSDGKMAATGKVSSSGATARRRSRGRVLGKRRLQADDDEDEEEEAELEEEEKQEPKPASVQRVWTSADFEKSEGFLRFIGKTAEEYAASKAAFASRPRRIETAEEWEARVRKNIEEQDKAYEEMMRSQDDDESNWDYIAYRNSWNDTWSGSRGSFEEDPPMHFTHKPALGYYSASALDTLQIFSVKVAATSGGLQWPLDVFGIVSIRDSVDRNRNVVFHRTRDNCQTLTEQERNLVLVGPTRAVVLSMPDPLIIDVEMKVKGTTESEDKRLSLLAVPLLCAGKYYSHVLKSGSYTSKLSTLEFRLGYIVSSVEATISVRVIRGSWPDGFHGQFAAYTTGVRFRHLASEDILAGIELEKIVLLDSRGDQNVVTVSGDGTIELSRRVVSVEKVGKLKVLVRAWELVDHNNVVEQVKVFTPLEAGLSNGELDIGFCLLEVSVAWSLISENPVLAKSSSGGRRNRARVSEASPSRDGDGHGGGIAYDDEQAPTRRGRRSRPRGGGEARAQAAAGGGEGLDHGRHREERGIPESIGKTAEEYAASKASRPRKRMETAEEWEARVRKSIEEQDKAYLETMRSQDEDESNWEAIQYRKFWNDVHSAHHGSFQDARTKFTTSFTRAIVLSMPEPVIIDVELKVKGTTESEDKHLSYLAVPLLCHGKRYSRMLLNSGSYTSKLSTLEFRLGYIVSSVEATIFVRVICGSWPDGFHGQFAAFTTGVRWKDLAREKNIASVDDERILLLDSRGDQKVVVTGDDGKIVLSRCVVSVEDKGELKVHVRAWKVDDSVVEAEMVFTALKAGLSNGELDMGFCKLGVSVAWSLISRKPVYADSVM >ORUFI08G02810.1 pep chromosome:OR_W1943:8:2062395:2066574:1 gene:ORUFI08G02810 transcript:ORUFI08G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERRSDREGDYGGWMAAGSSSGAMVRRETRRSEVGLEKSSSLPPPLPKEAVKIDKKKTKKRSDYSIEDILKILDMSEEELAVVKEEWAEMEAKEKEEAPKVKETMVEWEARVKKRIEEENKAYREMMRSQDDDESSWDAIQYRKSWNARWSGTRGSFEDTTRIPPMRFTHKPALDYSAAATPTLQVFFVKVAVAKGALQWPLDVFGIVAMRDVLDRNRNIVFHRTRDNCQTLTEEDRNLVLVGPTRAVALWMPEPVIIDVELKVKGTTESEDKGLSNLAVPLLCDDTSYSYTSKLSTLEFRLGYITSSVEATIFIRVIQGSWPDGLSAQFAAFTTGFYGKGMACRDSNMSIDDERIVLLDSRGEKVVVTSDGNIKLSRRVVSVESNTELKVSVKAWKADNNVVENENVFTALEAGVSYATLDIAFCKLEISVAWSLISQYPVSANSVL >ORUFI08G02820.1 pep chromosome:OR_W1943:8:2069952:2070558:-1 gene:ORUFI08G02820 transcript:ORUFI08G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGSGRMRGTAAAARVAALTCVVSDDSRVAGPHLLQLHQRGRGHLRLSFRPLAPFSADEEGSDDDAMVLRILNSITRTKAEELFARERMGDGTAAATGAQEPERRQWRWQSGGQEPRWLGANVLLGDAGAAADGWAIAEHHRHPGSGCARRPCHGPTTWTVASAVAARLCAVLEAPRGPPPRAGHAACAAPSS >ORUFI08G02830.1 pep chromosome:OR_W1943:8:2078766:2081810:1 gene:ORUFI08G02830 transcript:ORUFI08G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWGTGSSAASAAAEGSAAGGDGAARSSSGGAAVIRSLLPTRRRLRLDPPSKLFFPYEPGKQVRSAVKIKNISKSHVAFKFQTTAPKSCFMRPPGGILAPGESIIATVFKFVEHPENNEKPLDQKCKVKFKIVSLKVKGPMEYVPELFDEQKNQVAVEQILRVVFLDAERQTPQMDKLKRQLAEAEAALEARKKPPEDTGPRIVGEGLVIDEWKERRERYLARQQIEGVDSA >ORUFI08G02840.1 pep chromosome:OR_W1943:8:2083336:2088061:-1 gene:ORUFI08G02840 transcript:ORUFI08G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTAFMWEGASRAFNHRPTFTNLVLVLGAASSGGLVAYADSNSDDVVGKPQGPPKKKIVVLGTGWGGTTFLRNLDSRLYDVQVISPRNYFAFTPLLPSVTCGTVEPRSVVEPIRRILEKKGGDIKFWEAECFKIDSSNKKIHCRSNIGTNLDGNGEFLVDYDYLVIAVGARSNTFNTPGVEENCFFLKEVEDAQKIRRNVMDCFERASLPYLDEEERKKNLHFVVVGGGPTGVEFAAELHDFVTEDLSKLYPSIQHLVKISLIEAADHILTMFDKRITEFAEDKFGRDGIDVKTGYKVVKVAKDAITMQNPATGDIAVPYGMAVWSTGIGTRPFISEFMKQIGQGKRRVLATDEWLRVRECDGVYAVGDCATINQRRVMDDISEIFRVADKDNSGTLTVKEIQDVLDDIYVRYPQVELYLKSRQMNGIADLVRTAKGDAEKESVELNIEEFKKALSLVDSQVKNLPATAQVASQQGQYLARCFNKMKDAEENPEGPIRIRGEGRHRFRPFRYRHLGQFAPLGGEQTAAQLPGDWISIGHSTQWLWYSVYATKQISWRTRALVISDWSRRFIFGRDSSCI >ORUFI08G02850.1 pep chromosome:OR_W1943:8:2092155:2092337:1 gene:ORUFI08G02850 transcript:ORUFI08G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWWSHALLDLGGSRLRGRRPSPVMPVITVPVDGRRLYALELASQQVEALPPGDVVDAP >ORUFI08G02860.1 pep chromosome:OR_W1943:8:2093405:2096567:-1 gene:ORUFI08G02860 transcript:ORUFI08G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSATVFVALTLLVAGSVVVVVVVDACDGVPRMSAVEACKQASVGPAMSRTCAETLGTSADEQGATDFMVAAANAATESYKAGKEAVGKVLSNPLAPDGERLPCLVCANKYDDASMLVASTADDAKRCKLSADSLPDLVTAVSAVDECATKMFEESGNTTSVYATAITNRDWTVLVLRLATKDARVLQRAEKEKKKKMTRASATGFLAAVAMALAVAAVVVDGCDNVPSMSMDDACLKASTSQPLLALCHGELLNAPESGEVTVYAVISARWAQWAYEATSRAAAGLLGNNNASLAAGERAAYEACVARYASAKARVMAVQTQLLECSYASPKQELIDARVDVEACGGELSRFAASPLHAMNADDQLKATLAYELTGLIIGK >ORUFI08G02870.1 pep chromosome:OR_W1943:8:2099937:2100503:-1 gene:ORUFI08G02870 transcript:ORUFI08G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARVISVLTLSLTAALLATGGVDACDGAPRMSAASTADVMRQLCAAMLGTSPEPKEVTGYVFAAMDSNIAQFLISYEAADKVRQDAASSEQLSAACETCMGKYDLAKMKMVYSGNRLRSCDMSPVTREDLLTAVVGIDDCATLLLNAAGDKTPLRRMVLLDRDRAVLLLQLAILLLPNKS >ORUFI08G02880.1 pep chromosome:OR_W1943:8:2101960:2102535:-1 gene:ORUFI08G02880 transcript:ORUFI08G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTTFAVLSLSLLLLAGGGGADACEDYDVPMMSAAVAFERASTGRAMSEMCADEVGTATAPDQEVTDFVFAAVSAAARSCGATARAVRDMARDPSTPPGAREAGRACDGRYGEAMARLADAVGHLNGCQLVELSADAPAAIAAVDDRTTALLPVVGFSPLYNRVIGDRDRCVLALRLISILLHHPGSTLA >ORUFI08G02890.1 pep chromosome:OR_W1943:8:2103139:2105866:-1 gene:ORUFI08G02890 transcript:ORUFI08G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRWPEPRERAAAVARAGGGSSDSGRSQGREGQRWWWPEPEEGAAAVVGAREEGALGRQWSSTVDIGIAMEGIGKAKSAKN >ORUFI08G02900.1 pep chromosome:OR_W1943:8:2105874:2111862:-1 gene:ORUFI08G02900 transcript:ORUFI08G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAAVFAVLSMSATATLLLLLAGGGGVADACSDWDVPSMSAAAVCQRASTGRTISQICADEGGTATAPEQEVTVFVLAAVNAAARSYEATVGSYLWPLATDPSAPGAARAAAQACMGAAAGHLNGCELAELVRDVPAAVVAVDDCAIALLQVFGVNSAWYRTVVGDRDRSMLALRLTDRSKRSTPHNQIIMERAGVMSVALTLSLLLTAASLLAGVADGCDGVPRMSAVDACKQASTAGVMWQLCVRELGASPEPEEVTGFVAAAMRANREAYGVSYDAAEKVRVDPSSPAGLATVSGYCEGKYDTAQELMTGWIDRLPGCDITADIRVDLASAAAAVDECATLLLQNGGEHTTLYQMVLLDRDRAVLAVRLAILLVPNKPGPPTVGYPDHIGSAPPQCKHQQILHSKRNRKKEKKRKKKRLIREEEIKKMNKRADAIVFLLAMAVVVIVVDACDGVPSMSLEDTCQKAFGTAAAPTDACGAPPCITPMHVYCVSERAPDDGEATVFAVAATKYAKESYESTMEAAFRALQNASLPGDERAACAACRDTYYAQARSSTVAAMNLLAECSLGQLGGEYAAAADAIKACRDAQSKLQSPAIYGLAVSDLMVAALASGLGELLPFHLHQLQEHEAQWPSRQRGESGGGGAAPEPEEGVAVVAGAELRERVMAVVGAEALVAGAR >ORUFI08G02910.1 pep chromosome:OR_W1943:8:2113738:2114304:-1 gene:ORUFI08G02910 transcript:ORUFI08G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIPLPLRLLVAVSLAVAGVAGDDEKTCPGAPTMTVESACRNVSGTQAMYDTCRDALAGVADPLSDHDVTVYALAAAHGAAASAEATMGAAIDEVRNNRSLPGDERDAYMECAVDYSTALHAMGSVVDKLDGCSFDGLAGDYLNGLIDVENCRDRVLKLPASPLYAMVLVDRNKAGMALFLGKLLGI >ORUFI08G02920.1 pep chromosome:OR_W1943:8:2122751:2131339:-1 gene:ORUFI08G02920 transcript:ORUFI08G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPIGSLAIAAVVPLLAALCLVSCTGGDARWCAPVPSMTVEQACDAVCGTAHMLKLCLRTLQPRARRGGGEHRRRRDDAVTRYVGAAARGALDAYAATAAAKRGMQYSAALPAEERTAHERCMAGYDLAVRFMGRVAGDLASCETAAARLRDDCDGSLAGMDACRRKLFGYPASPLYGRNLADRNKTMLVGLLSNLCSVVDTLDTLNRARKIQVVSEIAMNTIPFLSPLLVAVSLIVAGAGAGDETTTCPGAPSMTVESACRNVSHTQAMYDACKGALAGVPNPMSDHDATVYALAAARGAMASADATVVAANERVTYNGSLSGEEKGAYEGCVEAYAAAEHAMGAVLNKLGACSFGGGLADDYMSGLLAVESCRDRVIKLPASPLYAMVLVDRNKVGLALFLGKLLGI >ORUFI08G02930.1 pep chromosome:OR_W1943:8:2138989:2142441:1 gene:ORUFI08G02930 transcript:ORUFI08G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDAIAAARNAGCSSGRISQPPPPPFYSAATGIYSSIHPPVALPTDPSLTLVAHLFARLPLADPGAPTLVDAATASAVSRADLRRLVASLAAGLRRRHGVRKGSVVLLLLPNSVAFPVSFLAVLAAGAVATTMNPSSSPAEIAAQARATGACLVLASRDGAARLPPLAAPVVLVPEILDHSAAADDGDDDQRVFAAFRAMLDGGGGDGTETAVPVVGQDDAVAILYSSGTSGRSKGVVLTHRNLIAMTELFVRFEASQYHARGARENVYMAALPMSHVYGLSLFAVGLLSIGATVVVMRRFDAGDAVAAIGRYKVTHMPLVPPIMAAMVRAAAAGGVPPSQVASLVQVSCGAAPITAALIHEFLQAFPHVDFIQGYGMTESTAVGTRGFNTSKHKKYTSVGLLAPNMHAKIVHLESSSCLPPGFSGELWLHGPGIMKGYLSDDDDACTRKDGWLRTGDIAYFDLDGYLYIVGRLKDTIKYKGFQIAPGDLEEVLIHHPEILDVAVTSAEDEEAGEIPVAFVVRRSGSNLSCKQVMEYVAKQVAPYKRVRKVVFVEAIPKSPAGKVLRRLLRNSHDTAAAATSSCSISSKL >ORUFI08G02940.1 pep chromosome:OR_W1943:8:2142360:2146060:-1 gene:ORUFI08G02940 transcript:ORUFI08G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRRPARRAALTARSSYDESLVDAELESYLGNARSRRISRLRRLSADERQRETETEALIALSLGFPIDELLPAERPLLPAPVAAAPNDYIVVRNHILASWRADPRVPLPRSRVQETVAASYDNLVAVAHGFLAREGHINFGVSAAFPASPPPDAPQRLAASVLVVGAGLAGLAAARQLLRFGLRVLVLEGRARPGGRVYTTHLGGDQAAVELGGSVITGIHANPLGVLARQLGIPLHKVRDSCPLYHHDGRTVDMKLDRSMDLVFNTLLEHATRLREYLKKAAEGISLGEGIERLRRFYKVAKSVEEREVLDWHLANLEFSNAGCLSELSLAHWDQDDQYEMGGDHCFLAGGNARLVHALCDGVPVLYEKTVKRIEHGEDGVSITVEGGQVFKADMALCTAPLGVLKSRSIIFEPELPERKLEAIQRLGFGLLNKVAMVFPHVFWDEEIDTFGCLNKERSKRGEFFLFYSYHTVSGGAVLIALVAGEAALEFEKVDPAVALHRVLGILKGIYGPKGVTVPDPIQSCCTRWGSDPLCSGSYSHIRVGSSGTDYDILAESVNDRLFFAGEATNRAYPATMHGALLSGLREASKILHASESRLNSDYKKYALQKSIRLINNVLDDLFMEPDLECGRFSFVFSYITPEEEQAPGLARITLEKPLLLPSKKRKVKGNQKDQDPVAEKIDQEVFYLYATVSQEQATELLECDNDKSRIAVLCKDLGVKLMGYDSTYDVLLDSFREATIVFIFPLFFLDVVPILVSGSLCVAEMVDKLTSGPVCLASLN >ORUFI08G02950.1 pep chromosome:OR_W1943:8:2146479:2150190:1 gene:ORUFI08G02950 transcript:ORUFI08G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSWGLGRPSGDRRWLLPFAASLLVSATLFLAAACGLFSPPSLADGDDDSILIDVATWDTASAAESEIKNRLLDSNSDSDDGDNPDDAAVNSDASSADPPRIAYLLEGTKGDGARMRRALQAIYHPRNQYILHLDLEAPPRERIDLAMYVKGDAMFSEVGNVRVIAKGNLVTYKGPTMVACTLHAVSILLKEGLEWDWFINLSASDYPLVTQDDILHVFSSLPRNLNFIEHMQLSGWKVISRAKPIVVDPGLYLSKKFDLTMTTERRELPTSFKLYTGSAWIMLTKTFLEYCIWGWDNLPRTLLMYYVNFISSPEGYFHTVICNSDEFRGTAVGHDLHYIAWDYPPKQHPNMLSMKDFNKMVKSGAPFARKFPKDDKVLDKIDRELLHRSEGQFTPGAWCDGSSEGGADPCSSRGEDSVFEPSPGAERLRGLMKKVLSWDYRNGSCSSLGYDQTKRDWYVPKGRG >ORUFI08G02960.1 pep chromosome:OR_W1943:8:2165705:2167150:1 gene:ORUFI08G02960 transcript:ORUFI08G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAPPATSLLRPAAASLTTASNSSNRVHLKNLEHLFRNRGAAVAVESATPAQQQQPVLKAPLLRLPSFLARGRGEVAMKEEAHGVSPRRLERVLLPAAPDGPSPRGNIAATWRRLHGEHDWRGLLDPLHPDLRREIVRYGEFVGAAYGAFLSRPDAAPGDRARAAPPLQDGGAYRVTAPLFATSSVGLPAWLASAAPCAAQRTSLVGYVAVCDSPAEVRRMGRRDIVIALRGTCTVLEWAENVRAGLVPATDAASAADSPDAPTPKVECGFWNLYKTAAAGGSPSLSEMVVSEVRRLLTKYEGEEVSITVTGHSLGAALAVLIADELAGLGAPAPVAVFSFGGPRVGDRAFASRVEARGARVLRVVNAHDVVPRFPPPSRYADVGRELRLDSRASPYLRPDADAACCHDLEAYIHLVDGFLGSHCPFRDNAKRSILRLLENQGGNVKQLYISKAMDMRVRLDAAVADMPAEVLECVH >ORUFI08G02970.1 pep chromosome:OR_W1943:8:2167960:2168499:1 gene:ORUFI08G02970 transcript:ORUFI08G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLLRLAKCSQPPPLAKGGSHHHSHPHPSSLSLPATNRGHAGHECPANVVVWATVRRGSHRRRRRATVTHEPSPPRGAVTICIATAARGATAAAASRTRGAVAAASPPPLSPPPRASRTWGVAASAGSMGRCGHAGEKKGEEKEKEEEEEGNGDGRMTCGSLCDFGVVNRETVGVHT >ORUFI08G02980.1 pep chromosome:OR_W1943:8:2172228:2178140:-1 gene:ORUFI08G02980 transcript:ORUFI08G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGDEAAVLRAEESASGGVDVWSDAVSSHDPDHLLVMVHGILGSNADWQYAANEFVKQLPDDVIVHCSEKNINTLTLEGVDVMGERLADEVIDVIIRKPELTKISFLAHSVGGLAARYAIAKLYRHPSDTSKSETKGTIGGLEAMNFITVATPHLGSRGNNQVPLLFGSIAMENFASRVVHWIFRRTGKHLFLTDDDEGEPPLLQRMAEDYGDLYFIRRVAYANADCDHIVGWRTSSIRRKTELPKWEESISEKYPHIVHEEYSEELGDETCQNSATVCDSDILEEKMVTGLRRVSWEKVDVSFHTSMQRFAAHSIIQVKYQFMNEGADVIQHIIDHFQV >ORUFI08G02990.1 pep chromosome:OR_W1943:8:2186802:2190310:-1 gene:ORUFI08G02990 transcript:ORUFI08G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLLDGGGGGGGDDGFNPSAAEHKRHEQIGNLAVELKHQRLASKPSAMLSTSDARADRRPHRRARAPRSALGDTTSISSAGHPIDCLASEPADESSGSTRFVNDVVVADVLSKNMGLAPASCSCDASDGGIMLLFGIAPRSGVDAGA >ORUFI08G02990.2 pep chromosome:OR_W1943:8:2186802:2190310:-1 gene:ORUFI08G02990 transcript:ORUFI08G02990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLLDGGGGGGGDDGFNPSAAEHKRHEQIGNLAVELKHQRLASKPSAMECELKYVCMHPEQRYTLTRVKNCGYWEPLDDPSTRKTDESSGSTRFVNDVVVADVLSKNMGLAPASCSCDASDGGIMLLFGIAPRSGVDAGA >ORUFI08G02990.3 pep chromosome:OR_W1943:8:2186802:2190310:-1 gene:ORUFI08G02990 transcript:ORUFI08G02990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLLDGGGGGGGDDGFNPSAAEHKRHEQIGNLAVELKHQRLASKPSAMVRRPADESSGSTRFVNDVVVADVLSKNMGLAPASCSCDASDGGIMLLFGIAPRSGVDAGA >ORUFI08G03000.1 pep chromosome:OR_W1943:8:2192884:2196315:1 gene:ORUFI08G03000 transcript:ORUFI08G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDGALPASGGGGAAEGPRRCSQCGHHGHNARTCTARGPVKLFGVRIGDKPPTAAAGGGGGMRKSASMGSLAQLAEGGGGGGGREEGYGSDGNDDKRRKRGEAWSEEEHKKFLLGLSKLGKGDWRGISRNYVGSRTPTQVASHAQKYFIRQTNVHRRKRRSSLFDMVIDDSDDQPLSRTSSQEVEVEENLEDGHPVTAPVIPPAPVPMLSSSLVPPPVPAMAPVAPGPVLTSASATLPVSAVAPQTDEKEQVASGSNTTETGAAIPEVMPPYGYPMMLPPYYPPAFVPMPYYGYVPVFYAPPGAVQAQHEVVKPVAVHSKPPVHIDELYSMSELSLKGEAGVKNGTPNSLLPPRPIGRPDRQSAFHGKGPSDGSSNGLIPAK >ORUFI08G03010.1 pep chromosome:OR_W1943:8:2234009:2234599:1 gene:ORUFI08G03010 transcript:ORUFI08G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKQSVAAAKPSLAKKTASASFRLRNGSLNAVRLRRVFDLFDRNGDGEITVDELAQALDALGLVADRDGLAATVSAYVPEGAAGLRFEDFDALHRALGDALFGSLDGAAAAGEPGGGGGDEEEEMREAFKVFDVDGDGFISASELQEVLKKLGLPEAGSLATVREMICNVDRNSDGRVDFGEFKSMMQGITVWGP >ORUFI08G03020.1 pep chromosome:OR_W1943:8:2238587:2239153:1 gene:ORUFI08G03020 transcript:ORUFI08G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMMRHSSQSSPCHHAISRRSAAVELHRCSRRRRPSPLTTTVALTRRRSPAAVRCFSLHSDSGGGGSAGAGLVDEGMPVLRQRISEIKAAAAATEEEEEYSSREAPPEWEEEEEEYSVWETAAGGGGGGDGQLFHVLGDFLMRSRPGVAVGIAVFLMVSLPTSVFFAGCTRLVVECQRLLFNLTKC >ORUFI08G03030.1 pep chromosome:OR_W1943:8:2246362:2253283:-1 gene:ORUFI08G03030 transcript:ORUFI08G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLRSSKGVAPSPSTGEEESAASSAAAAGPVPKKMTTAGSTTLASRPLPLRYPPYPTLPPGTRPTSRQYLDPVLDRKYLDSVLEWAKERRRIAKLSKKDIPTLRHSPMDPITADAVVTSQDKAMVLRVARSVVSVSSTMPDGGGLISRCTGVVIGWDGANKRAKILTAASVVCDFHGELHNPALKLSVSMPNKTTTEGRLLFYNVHYGIALLEVMGDYKLEVPSFGSGTNYGQVIFALGRGENMSLMVSHGTISWTDYPVLLRNHNMFLSCDIPEGGSGGPVVDHGGNMIGIAFVENPGPVFISIKTIMTCMEMWDQFSRVARPLLGMQLKSVELLDVSIQEELCRDYNITSGFIVSQVLVDSTAEKLGIRRGDVIDFQDIDCSTLSQLEDHLLSLGWGYLKGMHLTVDLKVEVHNLFDSYRESITFPVQFTDASKQETIKTVIPTATPGRDQVDW >ORUFI08G03030.2 pep chromosome:OR_W1943:8:2246362:2253283:-1 gene:ORUFI08G03030 transcript:ORUFI08G03030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLRSSKGVAPSPSTGEGGESHTSKKNPAPATAPTAGSVTRSRARDTTERARASGGGTALDPKKRKRTEESAASSAAAAGPVPKKMTTAGSTTLASRPLPLRYPPYPTLPPGTRPTSRQYLDPVLDRKYLDSVLEWAKERRRIAKLSKKDIPTLRHSPMDPITADAVVTSQDKAMVLRVARSVVSVSSTMPDGGGLISRCTGVVIGWDGANKRAKILTAASVVCDFHGELHNPALKLSVSMPNKTTTEGRLLFYNVHYGIALLEVMGDYKLEVPSFGSGTNYGQVIFALGRGENMSLMVSHGTISWTDYPVLLRNHNMFLSCDIPEGGSGGPVVDHGGNMIGIAFVENPGPVFISIKTIMTCMEMWDQFSRVARPLLGMQLKSVELLDVSIQEELCRDYNITSGFIVSQVLVDSTAEKLGIRRGDVIDFQDIDCSTLSQLEDHLLSLGWGYLKGMHLTVDLKVEVHNLFDSYRESITFPVQFTDASKQETIKTVIPTATPGRDQVDW >ORUFI08G03030.3 pep chromosome:OR_W1943:8:2246362:2252525:-1 gene:ORUFI08G03030 transcript:ORUFI08G03030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDDFADGGGLISRCTGVVIGWDGANKRAKILTAASVVCDFHGELHNPALKLSVSMPNKTTTEGRLLFYNVHYGIALLEVMGDYKLEVPSFGSGTNYGQVIFALGRGENMSLMVSHGTISWTDYPVLLRNHNMFLSCDIPEGGSGGPVVDHGGNMIGIAFVENPGPVFISIKTIMTCMEMWDQFSRVARPLLGMQLKSVELLDVSIQEELCRDYNITSGFIVSQVLVDSTAEKLGIRRGDVIDFQDIDCSTLSQLEDHLLSLGWGYLKGMHLTVDLKVEVHNLFDSYRESITFPVQFTDASKQETIKTVIPTATPGRDQVDW >ORUFI08G03030.4 pep chromosome:OR_W1943:8:2252578:2253283:-1 gene:ORUFI08G03030 transcript:ORUFI08G03030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLRSSKGVAPSPSTGEGGESHTSKKNPAPATAPTAGSVTRSRARDTTERARASGGGTALDPKKRKRTEESAASSAAAAGPVPKKMTTAGSTTLASRPLPLRYPPYPTLPPGTRPTSRQYLDPVLDRKYLDSVLEWAKERRRIAKLSKKDIPTLRHSPMDPITADAVVTSQDKAMVLRVARSVVSVSSTMPGSNTII >ORUFI08G03050.1 pep chromosome:OR_W1943:8:2300572:2301831:-1 gene:ORUFI08G03050 transcript:ORUFI08G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVDRGTGHGVANRGQGRDGREDVVLQSNHYAGHHAVINSAPSQPGVDAPPLPSPSRLKFIILLTHLGKTLLSLYNSLMLLSGLIEGDLKRCCIVT >ORUFI08G03060.1 pep chromosome:OR_W1943:8:2302074:2308510:-1 gene:ORUFI08G03060 transcript:ORUFI08G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKAILALNNRKRVRLLAEGFDTTDHPRVSDSAGGGGRTDRKAPAGAAPKKRKGVSASTSAGPVPRKTTAAGRKRRNPPCPSLPPGQCTKLDQRGLIPHLLAQPFFFPSSFSFSVRTPKMLIHAYRPSFSLPHRKDIPTLRDEPRDPLTADAVVSSQDKAMVLRVARSVVSVSSITPDGGLIFQCTGIVFGWDGANKCAKILTSSSVVCDFKGELHDPTLKLSVHLPDNTITEGRLLSFNVHYDVALLEVMGDFQLQVPSFGSSTTYGQDVFALARDENMCLMVRHGKISWLECPMLLNNHYMFLSCDIPEGGSGGPVIDHDGNIIGIVFDNNPGLVVTSITTIRTCVEMWHQFSRVARPILGMQLKAVELLDVSKLEELCLDNNITSGFIVNLVKVDSIAERLGIRRGDVIVFQDSCCSTLPQLEDYLLSLGWGYLQGKSLTVDLKS >ORUFI08G03070.1 pep chromosome:OR_W1943:8:2330062:2333277:1 gene:ORUFI08G03070 transcript:ORUFI08G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADERLGGRTEGEEQRRSPAYIAFGCVGTTVALNANGLGAPAEDERSGGQRRPAATGRVAAMDWARWVPGDCRRSSACGESRCTGVHGAKRACAARMDSAFVICVGARLGWMNAPVLAVGMEQRQGDGHRSRGGGDGVGVGVGNGERGRGRRTVKGGWGARPGGGSARCRKGSGGGDGGACSREGGGGSGVGIGAHSGEGGGGRRTVKVGTGAGPGGGGRRRWRVEEGGGGRRRREERGEEKKEERTDKWVPHVIGSNE >ORUFI08G03080.1 pep chromosome:OR_W1943:8:2334974:2336247:-1 gene:ORUFI08G03080 transcript:ORUFI08G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNNNNGPRRPNLLTSSVNVNQSWISTATAPSIFSVEVVVRYEDEDNYESLVKYVEMTKALLPEDKRDKIKVPKKTKVATNKRTDVPEFGGRQQTGFLVHRHDNFIYILTTAHAVDSVYQKGVHQISILDLNVAFSFSIICTHHEEYLKSTQPDMKVSELVRSYSAASVIAIDTQKDLLLLEAEVDQLRLHDVDDDQFVACPFDHPVITMSLSPPAKDELVLMHGWPPHRVNSSVWGNASHNNRTYDVLTRSNVKGYDMRLMEVPQFDCSDGFSGSPILNGDSNCVVVYHAIIKQTKFGYCISLDDVRRFVTTALNNYISGSFVCDTRPEGICQGSLPAP >ORUFI08G03090.1 pep chromosome:OR_W1943:8:2362002:2362279:-1 gene:ORUFI08G03090 transcript:ORUFI08G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRWRRDSEDAEKRRWPGRRGGSARIADMGEATARARRRGGVADSQLGDLAEGCGRIILQKNLLKDFEVISLIKGSDGY >ORUFI08G03100.1 pep chromosome:OR_W1943:8:2363528:2363953:1 gene:ORUFI08G03100 transcript:ORUFI08G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMKGVGIKLDPSSSNHFFPFPFPSLPDPRLSPQHFPFQIHELSGRQEETETTIAELAGGASASLPPWASGDARQLFSNGKYDDGNSIHGLIREGGIPFPERDGSTTTEAASSTRISDAAAKGACSGGSRLGTASCGRRLR >ORUFI08G03110.1 pep chromosome:OR_W1943:8:2403450:2403839:-1 gene:ORUFI08G03110 transcript:ORUFI08G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAVAAGSPSPFLLDLVKGIGGPRHVAASPPVGSSEGEGTIATFSSAGSGGGEDIAVLPPDHRLPSHRIWWRGGPCHAAAMVDGGGGGGGGEEKEEVERKREGIRREEEVDCGWETEFEGKSGRKR >ORUFI08G03120.1 pep chromosome:OR_W1943:8:2434018:2434434:-1 gene:ORUFI08G03120 transcript:ORUFI08G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKVALGGRGGRSRPGRRGRQPAGEKRGGGSRQTQTRARRPPDSLAQGRGQGRRRTTGPLGGGVDDGTAVTRYRQWQRRSRVRAPSFASRRRGGRPAVSAHGSGWCSQWRSTCSPSVVYCLSPNVVNLKNNLYVSVL >ORUFI08G03130.1 pep chromosome:OR_W1943:8:2436771:2441107:-1 gene:ORUFI08G03130 transcript:ORUFI08G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTATAAAAPEVRYGIVGVGMMGREHLHNLAHLAGEVGRAEPPVRLRVTCLADPHPESLQLGLQLAADLALPAPQVYTRTPWPSYYLNSPFALMMNCRAIVPVVVLNPKRLEGFKIFSGHGELLDSGLCDAVVVSSPNMTHYQILMDIISHAKPHHILVEKPLCTTVQDCQKVVEAAKQRSDILVQVGLEYRYMPPVAKLIDTVKSGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVRVMASGAIDVNHKDEIYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEISVVGDIGKGEAFVPESIVRVGKRTGGRDGVVTIMAEDERIKYQGLHHGSSYLEHLNFLSAIRLQGASGPSVDLTDGLLSVAIGVAGQLSIEKGRFVTIEEVLAG >ORUFI08G03140.1 pep chromosome:OR_W1943:8:2447040:2450286:1 gene:ORUFI08G03140 transcript:ORUFI08G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVHVLLLLLPLATITSASSAPLPLLALLSLRSSLGDPAGALRSWTYAAAASAGATRSLAPPWCAWPGVACDGATGEVVGVDLSRRNLSGTVSPTAARLLSPTLTSLNLSGNAFAGELPPAVLLLRRLVALDVSHNFFNSTFPDGIAKLGSLAFLDAFSNCFVGELPRGIGELRRLEHLNLGGSFFNGSIPGEVGQLRRLRFLHLAGNALSGRLPRELGELTSVEHLEIGYNAYDGGIPPEFGKMAQLRYLDIAAANVSGPLPPELGELTRLESLFLFKNRIAGAIPPRWSRLRALQVLDVSDNHLAGAIPAGLGELTNLTTLNLMSNSLSGTIPAAIGALPSLEVLQLWNNSLAGRLPESLGASRRLVRLDVSTNSLSGPIPPGVCAGNRLARLILFDNRFDSAIPASLADCSSLWRVRLEANRLSGEIPAGFGAIRNLTYMDLSSNSLTGGGIPADLVASPSLEYFNVSGNLVGGALPDMAWRGPKLQVFAASRCGLVGELPAFGATGCANLYRLELAGNALGGGIPGDIGSCKRLVSLRLQHNELTGEIPAAIAALPSITEVDLSWNALTGTVPPGFTNCTTLETFDVSFNHLAPAEPSSDAGERGSPARHTAAMWVPAVAVAFAGMVVLAGTARWLQWRGGDDTAAADALGPGGARHPDLVVGPWRMTAFQRLSFTADDVARCVEGSDGIVGAGSSGTVYRAKMPNGEVIAVKKLWQAPAAQKEAAAPTEQNQKLRQDSDGGGGGKRTVAEVEVLGHLRHRNIVRLLGWCTNGESTMLLYEYMPNGSLDELLHGAAAKARPGWDARYKIAVGVAQGVSYLHHDCLPAIAHRDIKPSNILLDDDMEARVADFGVAKALQSAAPIFGVVLLEILTGRRSVEAEYGEGNNIVDWVRRKVAGGGVGDVIDAAAWADNDVGGTRDEMALALRVALLCTSRCPQERPSMREVLSMLQEARPKRKNSAKKQVK >ORUFI08G03150.1 pep chromosome:OR_W1943:8:2451542:2452145:1 gene:ORUFI08G03150 transcript:ORUFI08G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVAPALLVDALLRRQHGHPLPPQLPFAIFDANVFASDPSTLYNEYWSYAADDGSIYLFSPGPSTEGQWRTATAARSITTADGTYIGRRTTWVIFDRVNGGWAMEEFCTYHNDGGGAPGRQPLPPLVQRRRQHQVGLEGQFSQMCSLR >ORUFI08G03160.1 pep chromosome:OR_W1943:8:2455876:2458825:1 gene:ORUFI08G03160 transcript:ORUFI08G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSSALQWWEEWQLRILVLGSLGVQCLLVIFGGRRKSRIPAWYRLFIWLSYLGSDALAVYALATLFNRQKKVHYSNGSHDLEVVWAPILLIHLGGQIFITAYNMEDNELWRRHVLTAVSQITVALYVFCKSWSSSTDRRLLVAEILLFIIGLAQKASAISKERDLESFAKDARASLIKSCSHYPPPPLLKEERINSLMKRLRLPNNLFVDSTYPFSDRLDNLRCFWSLNAELAYYVILDGLSSIFNLLYTRNKSDIKHANAATYCCMLTWPLTLALAIPAIGLLHSGHKQAYSHEDVIVTFVMVYGTLLLHIVSAFIILKSAIDLHDTVPQQSLIGSFARKRRHKGLIAITNWLQCKGLLDQYWCMKPYDKPMDVTRLVYMYVRDGWTKYIQDAESYRRFNDNMGQWALERAQCGELLGWSLERPFDEIVLLWHVATDFCFNMPDKSYLPTEFHSPLPSPREMGRAISNYMMHLLFANPEMLMAGTRRNLFETAYKELLVILKDEKDLPLNDEEKLMPMIIDKVKINYFIHDAWLLAQELMALGNEKMWDVIRDVWVEMLCFSAGRCRGYLHAKSLGSGVEYLSYVWLLLSHAGMETFSDKLQRRQQPRLSRREPQDKQDGAPSPSEYSQSLKPPNHKEEENHDAPLSPQGEGGIVPKLEIVVSP >ORUFI08G03170.1 pep chromosome:OR_W1943:8:2458224:2461075:-1 gene:ORUFI08G03170 transcript:ORUFI08G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFMKFVDNLLLCRSPLPLDDLSISDTCNRSDDSIDYSDIHPWVRHALRSNARAFGIMEHSGTNLLSIDGYPLPFSFRGSGHAINVTMFSSTTLKRLVISSTETFEHFHRKFEHLVIDIGDLIPKRNIQLVDVSSVKKATVYLFGLSFQNFAVDCNSLSALSNVTWLELRCPSVYDDMLSKVVIRSLLRCETFSNLKLLKLGERFLRDGCYPLLFLLHRSPNIENFACNLIRQDIIPHPFPHSYFCPRCGADDYEEYPNAAAAIDPPCKETEEIFLPKLKSSPFRFSMAVSKRFLLVPAINISGFANSRCIM >ORUFI08G03170.2 pep chromosome:OR_W1943:8:2458224:2461075:-1 gene:ORUFI08G03170 transcript:ORUFI08G03170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFMKFVDNLLLCRSPLPLDDLSISDTCNRSDDSIDYSDIHPWVRHALRSNARAFGIMEHSGTNLLSIDGYPLPFSFRGSGHAINVTMFSSTTLKRLVISSTETFEHFHRKFEHLVIDIGDLIPKRNIQLVDVSSVKKATVYLFGLSFQNFAVDCNSLSALSNVTWLELRCPSVYDDCGADDYEEYPNAAAAIDPPCKETEEIFLPKLKSSPFRFSMAVSKRFLLVPAINISGFANSRCIM >ORUFI08G03180.1 pep chromosome:OR_W1943:8:2461088:2462066:-1 gene:ORUFI08G03180 transcript:ORUFI08G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKKKKGKEKNGWGGDVAFLSGRRRHPETLVVARGTPCASGGEQPRSRLAPQAAFCTRPARLNAPEGLDRASSSIDRDENAPNRFSDLPDELLHHVMSYLTAQQAVQTSVLSRRWQNVWASIKWLKADA >ORUFI08G03190.1 pep chromosome:OR_W1943:8:2471015:2481912:-1 gene:ORUFI08G03190 transcript:ORUFI08G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKPRSAPRRKICSSTDAATGESPVASGTWTRSRTRRMIMRLDSTVSDCAGGDGVQGGGGGGGVGGGQGGGRGGGWGGGRGTAEPEVPACSTPRKSKRIVVVSPSSGSVLKKSRKTTPQKASRTKERGTTAAAGTSLASRPVPLRYPDYPSLQPGQHTLSNKYMCAVGNWMTECSRISKLEKQAHRKDIPTLRGEPKDPRTADAVVSSEDKAMVGRVACSVVGVKSKKPDGELVSQCTGIVVGLDGVNKCAKILTAASLVCDFEGELHDPTLMLSVHLPNKVVTEGRLLHFNVHYGVALLEILGDFQLHVLSFGSSTNYGMDVFVLARDESMSLMVRHGKISWLYYPMLWNNHCMFLSCDIPQGASGGPVIDHDGNFVAIALVNNPSPVVIPVSTIRTCIDMWLQFSRVARPILGMQLEAVELLDVSRQEELRRDYNVTGGFVVNQKEIKSKRQACSPLPAMATTDKRALLAAENGHGGGGPVAVSFNTNAIVLLALLVCGLGAVALHVILQCALCLFAGRAYARNTQRHVNVDSTAETLGIRRGDVIVFQDTDSCTSPQLENYLLSLGWGYLQGIRLTADLKVEVHNLMDSYRESITFPLQFSDASRRRIEVT >ORUFI08G03190.2 pep chromosome:OR_W1943:8:2466252:2481912:-1 gene:ORUFI08G03190 transcript:ORUFI08G03190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKPRSAPRRKICSSTDAATGESPVASGTWTRSRTRRMIMRLDSTVSDCAGGDGVQGGGGGGGVGGGQGGGRGGGWGGGRGTAEPEVPACSTPRKSKRIVVVSPSSGSVLKKSRKTTPQKASRTKERGTTAAAGTSLASRPVPLRYPDYPSLQPGQHTLSNKYMCAVGNWMTECSRISKLEKQAHRKDIPTLRGEPKDPRTADAVVSSEDKAMVGRVACSVVGVKSKKPDGELVSQCTGIVVGLDGVNKCAKILTAASLVCDFEGELHDPTLMLSVHLPNKVVTEGRLLHFNVHYGVALLEILGDFQLHVLSFGSSTNYGMDVFVLARDESMSLMVRHGKISWLYYPMLWNNHCMFLSCDIPQGASGGPVIDHDGNFVAIALVNNPSPVVIPVSTIRTCIDMWLQFSRVARPILGMQLEAVELLDVSRQEELRRDYNVTGGFVVNQVNVDSTAETLGIRRGDVEVHNLMDSYRESITFPLQFSDASRRTNGGSLYGCVYERLEPLLSGMQNNVCINV >ORUFI08G03200.1 pep chromosome:OR_W1943:8:2482569:2490300:-1 gene:ORUFI08G03200 transcript:ORUFI08G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPHPPGSGGAKGGGGGHRRWVGWRRRTSAAEGRPQRPAGLPSLPDLAGGGELANNTPLDTNQIRERGSHKRTAERRDGVLLRGGDEHGRGGDGRFQDPEPACSAGAAADTPTPYSPPRAPRRRPDAAAAPASPPGSPRRTTRPDRHGRRGERHDACAGARVACCTRRRARTRWTSSLASSAFVSFVEANADVSLEEFGDSLCPLIPCAGELLTLPESNSAAVTDRPLLYVQVWASEPLDARHPPRPAYDHPEYEPVSNEASDKLRPGDELVHRRFLFGPDDVPALRDQLPTRLGPRCSCFLLLASPSPDCRFPPPPPTPPLRLLRPRAAAC >ORUFI08G03210.1 pep chromosome:OR_W1943:8:2483993:2484954:1 gene:ORUFI08G03210 transcript:ORUFI08G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFMAEWTTMAQFLAEPCLDGRRQAGKHMDARRHGSPRREIPHGQEQEACTAAGVSGARRSGGGVQRRGAAAALALTADAAPDSVSRKEEKQREG >ORUFI08G03220.1 pep chromosome:OR_W1943:8:2495844:2496890:1 gene:ORUFI08G03220 transcript:ORUFI08G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNNNRRRPNLLTGGCSAGKQYLASKTAPSIFSVEVAVRHEKQNNLKAMRKYVALTDKATDVMDTGVPTDELTIKAKRRQQTGFVVHSSDTQLFILTTAHAVDCVFKKGVHQVTAEELNLVFLFGVACTHHEAQIQADYPDGNVSELLRSYCDAHVVALDIEKDLLLLAVTKDELCLQDVDVGGVFVPCSSDHPTIHLADLPPEQSDLSLLQVMVDAKCGYAICLEDVREFLTNALENLQLLEDNEDGDGGHA >ORUFI08G03230.1 pep chromosome:OR_W1943:8:2497453:2503239:-1 gene:ORUFI08G03230 transcript:ORUFI08G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADVNMNPESSSQEHEEAGKQKRQKRECQAFTHRRYQLDVYEVAMRRNTIAMLDTGAGKIMIAVMFIKEFGKINRTKNAGKVIIFLAPTVQLVAQVMVMTPQVFLQALRNAFLILDKVSLLIWIVKIYRVSDREEIELCVPSAKEMYRFYDPKTICFEDLSEELGVLCPKQVRYLLYSLALATYVTAARERQDGAFASHIAAKSTPKLLHCLALRLTAEQIVWPDKEISTVCFLDLLQPPSPSTPSSFHSLFYSKVTTYSMQM >ORUFI08G03230.2 pep chromosome:OR_W1943:8:2497453:2503239:-1 gene:ORUFI08G03230 transcript:ORUFI08G03230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADVNMNPESSSQEHEEAGKQKRQKRECQAFTHRRYQLDVYEVAMRRNTIAMLDTGAGKIMIAVMFIKEFGKINRTKNAGKVIIFLAPTVQLVAQVMVMTPQVFLQALRNAFLILDKVSLLIWIVKIYRVSDREEIELCVPSAKEMYRFYDPKTICFEDLSEELGVLCPKQVRYLLYSLALATYVTAARERQDGAFASHIAAKSTPKLLHCLALRLTAEQIVWPDKEISTVCFLDLLQPPSPSTPSSFHSKVTTYSMQM >ORUFI08G03230.3 pep chromosome:OR_W1943:8:2497453:2503239:-1 gene:ORUFI08G03230 transcript:ORUFI08G03230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADVNMNPESSSQEHEEAGKQKRQKRECQAFTHRRYQLDVYEVAMRRNTIAMLDTGAGKIMIAVMFIKEFGKINRTKNAGKVIIFLAPTVQLVAQVMVMTPQVFLQALRNAFLILDKVSLLIWIVKIYRVSDREEIELCQVRYLLYSLALATYVTAARERQDGAFASHIAAKSTPKLLHCLALRLTAEQIVWPDKEISTVCFLDLLQPPSPSTPSSFHSLFYSKVTTYSMQM >ORUFI08G03230.4 pep chromosome:OR_W1943:8:2497453:2503239:-1 gene:ORUFI08G03230 transcript:ORUFI08G03230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADGVSSHLDCEGQFCELENLLDAKIYRVSDREEIELCVPSAKEMYRFYDPKTICFEDLSEELGVLCPKQVRYLLYSLALATYVTAARERQDGAFASHIAAKSTPKLLHCLALRLTAEQIVWPDKEISTVCFLDLLQPPSPSTPSSFHSLFYSKVTTYSMQM >ORUFI08G03240.1 pep chromosome:OR_W1943:8:2503473:2507803:-1 gene:ORUFI08G03240 transcript:ORUFI08G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTRPRQRHERYELAAIELQPPLPLSSYRHHLLRPDPMRRGHGVAVGGGSVELHRHRLKARYGWRRKGRSVHANSPLDFRGTTSSPPLPLRPPPLPSGLDAGGGSGGGSEHEQEHAQAQMDVRSRSHGGGAGVIEEIGQRQAGHIRYPNLLVPASP >ORUFI08G03250.1 pep chromosome:OR_W1943:8:2519504:2524256:1 gene:ORUFI08G03250 transcript:ORUFI08G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLRRRSTGAALSHAASGEEAPKSSETSPAPAAAAAGSGRWTRSRSARSHLGLDSDSTDHPRVVSGSSDQPPKKRKKTTTAVAAAAGSTRLASRPVPGRYPDYPSLRPGQHALSKKHMSAVQEWMEECSRISKLEKQARPEDIPTLRDNPRDPLTPDAVVSSQDKAMVLRVARSVVSVSSSKPDGELISQCTGIVIGWDGANKCAKILTSCSTLSVRMPNRTITEGRLLFFNVHYGIALLEVKGDFQLQAPSFGLGINYGQDVFALARDENMSLMVRHGTISWLDYPGLLTNPYMFLSCDIPEGGSGGPVVDHDGNIIGIAFDRNPGPVVISITTIRTCIEMWHQFSRVARPMLGMQLKAVELLDVSMREELCLEYNITGGFIVNLVKVDSTAERIGIRRGDVIVFKDNRCSTLPQLEDYLLSLGWGYLQGLSFTVDLKVEVHNLADSYKESITFPVPFSDASKRVD >ORUFI08G03260.1 pep chromosome:OR_W1943:8:2524850:2529461:-1 gene:ORUFI08G03260 transcript:ORUFI08G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISHCEDNDSDRISNLPDKLLHHVMSYLTAQEAVRTCVLSRRWQNVWSSMMFLHANAAKSSSITSFKKFLDNVLLYRNPVPLKGLWVSAACDNSDDSLDYSDIHRWVHHVLRSNAREVGIFVRCGSKLLSIDGYPFAFNSVHLSKLVLFKFTVNDCFAKKFSSGCPVLKDLVLISCGIDVTMFSSTTLKSFVIHNAEDIEHLPKQIEYLVIEMPNLVTLHIEEIPRRNIHLVDLSSVKEATIYFFEHSFRNSAVDCNILSALSNATSLKLICDSVYDELGAEEYEKFPTAAAAIDPPCKEAARTFHCEKLTEIEIVYPQGDKRVHIIRQHLARKLLDSMSLIEQVLPATGMTTSINEENAPDRISRLPDGLLRFVMLYLTAQEAVQTCVLSRRWQNVWSSTKWLKADAAKFSSMKSFKKFVDNLLPYRRPVPLDALFILATCNHSDDSLDYSDIHPWIRHALRSNAWALGIMEHCGTNLLSIDGYPFPFTSVYLSILHLCHFIIDDSFVKKLSSCCPVVPNVLIRSFPRCKTFSNLKYLKLGEWFLRDGCYPLLFLLRRSPNIEKLHLQLNKYGSDDYEDYPDAAAAIDPTCREIEEMFNCVKLRKITIFYPQGDERVHIIVRILIANISPLPAIKIKPTPV >ORUFI08G03270.1 pep chromosome:OR_W1943:8:2530155:2532159:-1 gene:ORUFI08G03270 transcript:ORUFI08G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPESEIDLIGAPHKIGRLAGTHVGWCRISGLSSPAGGDTRRPQNAGERRQAAAIKT >ORUFI08G03280.1 pep chromosome:OR_W1943:8:2532427:2537666:-1 gene:ORUFI08G03280 transcript:ORUFI08G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSGVKWWEEWQLRILVLGSLGVQYFLVIFGGMRKFSRIPHCYRFFIWLSYLASDALAIYALATLFNRRNKLQPDNNDNSSRDLEVVWAPILLMHLGGQVFITAYNIEDNELWRRHILTALSQE >ORUFI08G03290.1 pep chromosome:OR_W1943:8:2539303:2553776:-1 gene:ORUFI08G03290 transcript:ORUFI08G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGSGGRGGGALPLPLLVEGRHEQLPYRGLPPLEHLWERLHAGMCQQEPHMGENGVKFAFLLAIEESGKCVYRQRITSKLGCDSTYGWAEKRQAEQKIHICIHTNHKILHSHMHIHILDIHIIHKNTLWNKNDTIIFTSSTKITEYYIHILHIHIIHISKKTHFKIPQQFISVEMRIGWQKRHVAAVATAQRMQQVALRSKTLSTKIITVKRRGEPLGVDPRRRRWRRAEGEPRERRRWRRTEGAAAVEESRGSGGADPRRWAEGAXWAVAAVGGRRRQVATTTMDDDGDDHDCEERAGG >ORUFI08G03300.1 pep chromosome:OR_W1943:8:2540239:2544659:1 gene:ORUFI08G03300 transcript:ORUFI08G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFESNDQPSALHRIPVPSADSKRQAGTGEEATRERKFHWKQRRHAMATRGQEGTCGTASGIARRGRPRGAGVSTLAGMRCDGGRRRTNYRC >ORUFI08G03310.1 pep chromosome:OR_W1943:8:2553678:2554729:1 gene:ORUFI08G03310 transcript:ORUFI08G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAIRQLLVAALDQKRQGQGTPPPPAAAVHHPRRQHNLKSNAAEDGSVYVFSPGRKIPRPRNITGGYWKVMINQPESIIATADGAKIGRSRRWAFVNDWSVGCDVEGWAMEELRIAGGTTATTRPDDDLRLYRLYRFPRG >ORUFI08G03320.1 pep chromosome:OR_W1943:8:2563846:2575674:1 gene:ORUFI08G03320 transcript:ORUFI08G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVTGAMSSLIPKLGELLKEKYNLQKNIRGKIESLSAELRRAQAVLRMVSEVPQDQQNELVKLWASDLREASYDMEDIIDAFLVHVDDDGPKPADPHVLRRLGKQVKKLYPVDNIVIPARPTPIDPHILNIEKMANNLVGIDEPRDELIKMLSQHERDNNLNTSNRKTKIVYVVGMGGLGKTTLATAVYEKIKVGFPLNAFVPIGQNPNMKAILWNILHRFGLEKYLNCPNMEMLTVSTLVYVGLTRTKFFYYSRFFIVIDDIWDKPSWQILESGLQDNDYGSKILVTTRKSEVATIISDVYNMKPLSHDNSKELLYTRTGSEGKSLDSSSTEACDKILKKCAGVPLAIITIASLLASRSGLDWSEVYRAIDFGEDNYEMANTKRILSFSYYDLPSHLKNCLLYLSMFPEDYKIDKNHLIWIWIAEGFVPEKQNTNLGLYELGESYFNELINRSMMIQPIENEFFGCIEGCRVHDMVLDLARSLTSEQNFVTVLDNDEQRKPESTNARRLVLHRTSITSYRFVNMDMKKVRSFVATECNNGNNSVAPPRFQVLRVLSLDKCNGMEDYYIESILQYAGRLGHLRCLQLSSHTEFHRLPKELGDLKFLKILDLGDCGGTIEELPEELGLLTHLLCLRIPRRLEMLPAGLIGKLTSLQELCISLIEEVAVRHFVQELGNLRELRVLYAKIYIGLKDESMQRDFLQSLGCLHKVHTMNIYSIEVRECTRPDAAGSVSCPRLWQLSLPCIKFFSLPVWINSSTLGCLSHLDVKVQVVKEQDMETLGRLPMLCYLKLDSQYTRLISIKKPADDGYFQKLRFFKTPRSFVRFDLHGCESSSGASSFMPRLEHIEFSVDVRFLKDAADLHAGLNNLLAGFNDFGRTSLKSVDARIHCKDALAAEVQEAEVALANAAHGHPNRPVLRTDRAYERMMRSPDDDKPTSWSDQKVFEIHVYPSSNDHHRYLSYLRLLKKPRLEKLIVNIYVSKDGMVGDVDEAVAAARNVVDHHINHPTLEINRMEERYSDQFPHMSSTLLLIIDSAPDHPPHGLIDEDIKCNLAAFERALRIVQSTSECPKENLLREPKISVCNLLYMQADKVYTLQVQESEGDRISIYRKELAITIIEYAESFNVAPKGMADPWMDVRIIKGIIRAVIGGMDTEHIQQGTAALQEQIVNKLLKMFHCNEVARVNIEVSHRCPDVLPPPR >ORUFI08G03320.2 pep chromosome:OR_W1943:8:2563846:2575674:1 gene:ORUFI08G03320 transcript:ORUFI08G03320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVTGAMSSLIPKLGELLKEKYNLQKNIRGKIESLSAELRRAQAVLRMVSEVPQDQQNELVKLWASDLREASYDMEDIIDAFLVHVDDDGPKPADPHVLRRLGKQVKKLYPVDNIVIPARPTPIDPHILNIEKMANNLVGIDEPRDELIKMLSQHERDNNLNTSNRKTKIVYVVGMGGLGKTTLATAVYEKIKVGFPLNAFVPIGQNPNMKAILWNILHRFGLEKYLNCPNMEMLTVQELIDDIWDKPSWQILESGLQDNDYGSKILVTTRKSEVATIISDVYNMKPLSHDNSKELLYTRTGSEGKSLDSSSTEACDKILKKCAGVPLAIITIASLLASRSGLDWSEVYRAIDFGEDNYEMANTKRILSFSYYDLPSHLKNCLLYLSMFPEDYKIDKNHLIWIWIAEGFVPEKQNTNLGLYELGESYFNELINRSMMIQPIENEFFGCIEGCRVHDMVLDLARSLTSEQNFVTVLDNDEQRKPESTNARRLVLHRTSITSYRFVNMDMKKVRSFVATECNNGNNSVAPPRFQVLRVLSLDKCNGMEDYYIESILQYAGRLGHLRCLQLSSHTEFHRLPKELGDLKFLKILDLGDCGGTIEELPEELGLLTHLLCLRIPRRLEMLPAGLIGKLTSLQELCISLIEEVAVRHFVQELGNLRELRVLYAKIYIGLKDESMQRDFLQSLGCLHKVHTMNIYSIEVRECTRPDAAGSVSCPRLWQLSLPCIKFFSLPVWINSSTLGCLSHLDVKVQVVKEQDMETLGRLPMLCYLKLDSQYTRLISIKKPADDGYFQKLRFFKTPRSFVRFDLHGCESSSGASSFMPRLEHIEFSVDVRFLKDAADLHAGLNNLLAGFNDFGRTSLKSVDARIHCKDALAAEVQEAEVALANAAHGHPNRPVLRTDRAYERMMRSPDDDKPTSWSDQKVFEIHVYPSSNDHHRYLSYLRLLKKPRLEKLIVNIYVSKDGMVGDVDEAVAAARNVVDHHINHPTLEINRMEERYSDQFPHMSSTLLLIIDSAPDHPPHGLIDEDIKCNLAAFERALRIVQSTSECPKENLLREPKISVCNLLYMQADKVYTLQVQESEGDRISIYRKELAITIIEYAESFNVAPKGMADPWMDVRIIKGIIRAVIGGMDTEHIQQGTAALQEQIVNKLLKMFHCNEVARVNIEVSHRCPDVLPPPR >ORUFI08G03330.1 pep chromosome:OR_W1943:8:2574389:2575791:-1 gene:ORUFI08G03330 transcript:ORUFI08G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSRRTSVCDRISALPDELLHHVMTFLTAKEAVQTCVLSRRWQNVWASVGYLNVDSCNFITVKHFKKFVDNLLLQRSCALLDMFCIHTSYDSSDDSLDYSDIHPWVCHALRCNVKTLGILNYCDGKLLSVDGYPVPFTFLHLKSVYLCKFSIDNRFVEKLFSGCPELLHLELRHCAIKATMFCSATLKILTITAADRTQDDPEGFQHLVINMPNLICLHVEEIANRNLRLLDISSFTLNRFSFGHSDVDCTILSALSNAARLHLMSSSIYEDVVQKVLLRDLPRCGIFSNLTSLALGELFFSDGCYPLLYLLRHSPNIEKLSLHLVKHGAYAYDHDTNSANATADLDPTCEGTGTAVNCEKLRKIKIICPQGDRRVHIIVKILFSIINPLPQIKIHPQNG >ORUFI08G03330.2 pep chromosome:OR_W1943:8:2574391:2575791:-1 gene:ORUFI08G03330 transcript:ORUFI08G03330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSRRTSVCDRISALPDELLHHVMTFLTAKEAVQTCVLSRRWQNVWASVGYLNVDSCNFITVKHFKKFVDNLLLQRSCALLDMFCIHTSYDSSDDSLDYSDIHPWVCHALRCNVKTLGILNYCDGKLLSVDGYPVPFTFLHLKSVYLCKFSIDNRFVEKLFSGCPELLHLELRHCAIKATMFCSATLKILTITAADRTQDDPEGFQHLVINMPNLICLHVEEIANRNLRLLDISSFTLNRFSFGHSDVDCTILSALSNAARLHLMSSSIYEDVVQKVLLRDLPRCGIFSNLTSLALGELFFSDGCYPLLYLLRHSPNIEKLSLHLVKHGAYAYDHDTNSANATADLDPTCEGTGTAVNCEKLRKIKIICPQGDRRVHIIVKILFSIINPLPQIKIHPQNG >ORUFI08G03340.1 pep chromosome:OR_W1943:8:2582858:2589698:-1 gene:ORUFI08G03340 transcript:ORUFI08G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKRMRPTVLEASTYDFISSLPDESLQHILCFMTTREAIQTCVLSTRWRHIWKSVQCLEIKASEFTSKMGFVNFMDNLVLRRGCVPLDSLRMYTLYQHGSVSLNHHTANMWVGYALRRNVRELHICEHYSEYFDLDHSSFILSHLKILRLRNVTITLQDLVMIDCCVYATRFSSTSLKNLTFISHSPDNGDSVHDDYKDLVIDTPSLVSLHLECLPFLAPCLVNVSSVAKAYIRLDDMSFPCFDTKYNILSGLSNVTKLKLLNEEYDDEDEDEDDSFRSRQNEVLKRDLRRCQSFNNLKKLSVDDWYVDVDLSALIYLLRCSPIIEKLTLHLGMIEGLAWEQWMSYPQEETPDLSFSCERLKKVKIICVQDDKRVPAIVNAILANANSLPEIVIKPPVNWDPGGPASFSVRLFRLSLSSGSPQAPSRDHLRVDHGVTSASARGSVASVATRDSSAVDVATSYGSPPPPPRATPPVARSYVASPPRAIVHHVFECMSLRLMRMSNKCMRPTALEASTYDFISSLPDELLQHILSFMTAREAVQTCVLSSRWRHIWKSLQCLNIKGSEFTSEAAYVNFMDNLVLRRGCVPLDSLLLCNSYGRVSLNHDRANLWFGYALRSNVREINIQEKHFEYSNLDHSSFISSHLKILCLNYVSISDLFIENLFSGCPALQDLVMVDCCVYATRFSSSSLKNLTFTSHSPDNGDLVHDDFKDLVIDTPSLVSLHLEYLPFLAPCLLNASSVEKAYFRLDDMSFPCFHMKYNILSALSNVKNLKLLIGQFNDDAFQLMQNEVLKRDLWRCRTFNSLKKLSVSDWCVDGELHTLIHLLRCSPILEKLTLHLGVIGGLAWEQWMSYPQSEMPDMSFICEHLKKVKITCANDDKRVPAIVNAILVNANSLPEIVIKPYTRLD >ORUFI08G03340.2 pep chromosome:OR_W1943:8:2582858:2589698:-1 gene:ORUFI08G03340 transcript:ORUFI08G03340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKRMRPTVLEASTYDFISSLPDESLQHILCFMTTREAIQTCVLSTRWRHIWKSVQCLEIKASEFTSKMGFVNFMDNLVLRRGCVPLDSLRMYTLYQHGSVSLNHHTANMWVGYALRRNVRELHICEHYSEYFDLDHSSFILSHLKILRLRNVTITLQDLVMIDCCVYATRFSSTSLKNLTFISHSPDNGDSVHDDYKDLVIDTPSLVSLHLECLPFLAPCLVNVSSVAKAYIRLDDMSFPCFDTKYNILSGLSNVTKLKLLNEEYDDEDEDEDDSFRSRQNEVLKRDLRRCQSFNNLKKLSVDDWYVDVDLSALIYLLRCSPIIEKLTLHLGMIEGLAWEQWMSYPQEETPDLSFSCERLKKVKIICVQDDKRVPAIVNAILANANSLPEIVIKPAIVHHVFECMSLRLMRMSNKCMRPTALEASTYDFISSLPDELLQHILSFMTAREAVQTCVLSSRWRHIWKSLQCLNIKGSEFTSEAAYVNFMDNLVLRRGCVPLDSLLLCNSYGRVSLNHDRANLWFGYALRSNVREINIQEKHFEYSNLDHSSFISSHLKILCLNYVSISDLFIENLFSGCPALQDLVMVDCCVYATRFSSSSLKNLTFTSHSPDNGDLVHDDFKDLVIDTPSLVSLHLEYLPFLAPCLLNASSVEKAYFRLDDMSFPCFHMKYNILSALSNVKNLKLLIGQFNDDAFQLMQNEVLKRDLWRCRTFNSLKKLSVSDWCVDGELHTLIHLLRCSPILEKLTLHLGVIGGLAWEQWMSYPQSEMPDMSFICEHLKKVKITCANDDKRVPAIVNAILVNANSLPEIVIKPYTRLD >ORUFI08G03340.3 pep chromosome:OR_W1943:8:2582858:2589698:-1 gene:ORUFI08G03340 transcript:ORUFI08G03340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKRMRPTVLEASTYDFISSLPDESLQHILCFMTTREAIQTCVLSTRWRHIWKSVQCLEIKASEFTSKMGFVNFMDNLVLRRGCVPLDSLRMYTLYQHGSVSLNHHTANMWVGYALRRNDEDEDEDDSFRSRQNEVLKRDLRRCQSFNNLKKLSVDDWYVDVDLSALIYLLRCSPIIEKLTLHLGMIEGLAWEQWMSYPQEETPDLSFSCERLKKVKIICVQDDKRVPAIVNAILANANSLPEIVIKPPVNWDPGGPASFSVRLFRLSLSSGSPQAPSRDHLRVDHGVTSASARGSVASVATRDSSAVDVATSYGSPPPPPRATPPVARSYVASPPRAIVHHVFECMSLRLMRMSNKCMRPTALEASTYDFISSLPDELLQHILSFMTAREAVQTCVLSSRWRHIWKSLQCLNIKGSEFTSEAAYVNFMDNLVLRRGCVPLDSLLLCNSYGRVSLNHDRANLWFGYALRSNVREINIQEKHFEYSNLDHSSFISSHLKILCLNYVSISDLFIENLFSGCPALQDLVMVDCCVYATRFSSSSLKNLTFTSHSPDNGDLVHDDFKDLVIDTPSLVSLHLEYLPFLAPCLLNASSVEKAYFRLDDMSFPCFHMKYNILSALSNVKNLKLLIGQFNDDAFQLMQNEVLKRDLWRCRTFNSLKKLSVSDWCVDGELHTLIHLLRCSPILEKLTLHLGVIGGLAWEQWMSYPQSEMPDMSFICEHLKKVKITCANDDKRVPAIVNAILVNANSLPEIVIKPYTRLD >ORUFI08G03340.4 pep chromosome:OR_W1943:8:2582858:2589698:-1 gene:ORUFI08G03340 transcript:ORUFI08G03340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKRMRPTVLEASTYDFISSLPDESLQHILCFMTTREAIQTCVLSTRWRHIWKSVQCLEIKASEFTSKMGFVNFMDNLVLRRGCVPLDSLRMYTLYQHGSVSLNHHTANMWVGYALRRNVRELHICEHYSEYFDLDHSSFILSHLKILRLRNVTITLQDLVMIDCCVYATRFSSTSLKNLTFISHSPDNGDSVHDDYKDLVIDTPSLVSLHLECLPFLAPCLVNVSSVAKAYIRLDDMSFPCFDTKYNILSGLSNVTKLKLLNEEYDIEGLAWEQWMSYPQEETPDLSFSCERLKKVKIICVQDDKRVPAIVNAILANANSLPEIVIKPPVNWDPGGPASFSVRLFRLSLSSGSPQAPSRDHLRVDHGVTSASARGSVASVATRDSSAVDVATSYGSPPPPPRATPPVARSYVASPPRAIVHHVFECMSLRLMRMSNKCMRPTALEASTYDFISSLPDELLQHILSFMTAREAVQTCVLSSRWRHIWKSLQCLNIKGSEFTSEAAYVNFMDNLVLRRGCVPLDSLLLCNSYGRVSLNHDRANLWFGYALRSNVREINIQEKHFEYSNLDHSSFISSHLKILCLNYVSISDLFIENLFSGCPALQDLVMVDCCVYATRFSSSSLKNLTFTSHSPDNGDLVHDDFKDLVIDTPSLVSLHLEYLPFLAPCLLNASSVEKAYFRLDDMSFPCFHMKYNILSALSNVKNLKLLIGQFNDDAFQLMQNEVLKRDLWRCRTFNSLKKLSVSDWCVDGELHTLIHLLRCSPILEKLTLHLGVIGGLAWEQWMSYPQSEMPDMSFICEHLKKVKITCANDDKRVPAIVNAILVNANSLPEIVIKPYTRLD >ORUFI08G03340.5 pep chromosome:OR_W1943:8:2582858:2589698:-1 gene:ORUFI08G03340 transcript:ORUFI08G03340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKRMRPTVLEASTYDFISSLPDESLQHILCFMTTREAIQTCVLSTRWRHIWKSVQCLEIKASEFTSKMGFVNFMDNLVLRRGCVPLDSLRMYTLYQHGSVSLNHHTANMWVGYALRRNVRELHICEHYSEYFDLDHSSFILSHLKILRLRNVTITLQDLVMIDCCVYATRFSSTSLKNLTFISHSPDNGDSVHDDYKDLVIDTPSLVSLHLECLPFLAPCLVNVSSVAKAYIRLDDMSFPCFDTKYNILSGLSNVTKLKLLNEEYDDEDEDEDDSFRSRQNEVLKRDLRRCQSFNNLKKLSVDDWYVDVDLSALIYLLRCSPIIEKLTLHLGMIEGLAWEQWMSYPQEETPDLSFSCERLKKVKIICVQDDKRVPAIVNAILANANSLPEIVIKPCAAPPRGGRVPGWSSPERRRGRRKRPPERTPPDDAFQLMQNEVLKRDLWRCRTFNSLKKLSVSDWCVDGELHTLIHLLRCSPILEKLTLHLGVIGGLAWEQWMSYPQSEMPDMSFICEHLKKVKITCANDDKRVPAIVNAILVNANSLPEIVIKPYTRLD >ORUFI08G03350.1 pep chromosome:OR_W1943:8:2596632:2599520:1 gene:ORUFI08G03350 transcript:ORUFI08G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGGGEAVEEGTTTRVGDLPEACLADVIALTSPRDACRLAAVSPSFRAAAESDAVWDRFLPPDYRAIAPLPPPPATAAASGGKRMKKGVYLGLCDKPVPVDDGSMMVWLEKESGAKCFALPARKLSLPWEDGEFSWRWTPHPLSRFEEVAQLVDCTCLDIYGRLPAAALTPATPYAAYLVFGTAAAAEGHRGLSFPDQETTVSAAGRVVARHAVCLRPDDAEARKFRGVGLAGAGVPVRRPARRGDGWSEMELGRVAADEVAGAGGEDVVASFEVLGWYPKRGLVVECMEFRPVV >ORUFI08G03360.1 pep chromosome:OR_W1943:8:2602485:2606752:1 gene:ORUFI08G03360 transcript:ORUFI08G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQPSGSSADASASSSSSSSSSAVENLAAGMAAMSLQDRFELLRGIGEECIQEDELMNLLQKKPVPICYDGFEPSGRMHIAQGIVKTINVNKMVRAGCKVKIWIADWFAQLNNKMGGDIKKIQTVGRYMIEIWRAAGMNLDGVEFLWSSEEINKRANEYWPLVMDIARKNNVKRIMRCCQIMGRNDSDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNMLAREYCDDIKRRNKPIILSHHMLPGFKEGQEKMSKSDPSSAIFMEDDEVNLKIKQAFCPPNIVDGNPCLEYIKYIVFPWFETFEVVRKEENGGNKTFANMNELIADYESGALHPADVKPALAKAINQILQPIRDHFKNNSEAKVLLNTVKNYKVKTEDTSSSPQAS >ORUFI08G03370.1 pep chromosome:OR_W1943:8:2613099:2618653:1 gene:ORUFI08G03370 transcript:ORUFI08G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDSRPAAMRLFGVTISPPPPPPEPEPEPEPDPSDPRDPSPRPAREDAMRKCKSMGNLAAAAAASSAAAGGGGAGDAGGSGDGYLSDGGLLLSSGKRRRAQERKKAVPWTEEEHRTFLAGLEKLGKGDWRGISKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMATDMSPAPNCPVLPPSMGKLHDMVAMTKQLQNSSLEGVSSSSTVNLAPQVARDLPPPIPSFKATNVDSSLSKMNHMDGFLRAPMLFRPIPRIAEGASSSTPATASIADLEFQANLTACSNALFASPRRKPKKADPPAEKDLDLTVAPPSQQTRASISSQNAVGVIQVV >ORUFI08G03380.1 pep chromosome:OR_W1943:8:2627305:2629476:-1 gene:ORUFI08G03380 transcript:ORUFI08G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTAEEEKLIISLHAIVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPAAAAAAATTTSPNNPPPCSTVTSDHHHLPPPAFGGADHHLQLDAIINQNLISSLPPKLATGDDSPPAVPGLPHHCPLFMFDTTTTGAGGAISPPPPSSLIPTHLHHHHHPFIASFTAAMAADTPSYLPPLVDGMAAMGAAMDCSLEDGQTAAAMAATNGYYQHHQKHQQLEIELEEEEQRQLGHHHHQHHHEHEHENHQWDEEEAQHLLMWDQEVLTSSNLEAMQSGAHSLLFMGPNDHD >ORUFI08G03390.1 pep chromosome:OR_W1943:8:2643055:2654592:1 gene:ORUFI08G03390 transcript:ORUFI08G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHPPPPSPPRDSGGSLEVRLFYVRLSPRGPTPPPRLALALRSSRGGDEEEAPTPLSLPLRLDRRDPASGEATYVSTASARLPPPSAAFEVADHRGAALLRGSLRRCPDAKPDSSPAWAIDCIPAAGAEAETSAFEVYVAGCCAGEPAVLTCALRLATPEEQKAAGGLVRRRSPTSNVAMWREKAAEAEVMKESVRREKECVQHLLNAKTEELSRKSSECGRLQEKGLSLAKELAALKLSTDMNLQEEEILKLASLGNHGNAANAVDVLTRSLALRNKSYKELMIQCNVLGRSESRSQQRFEKAKELIKKLKARVQDLEKEQEEKENGVIRDLRSAKKFKADQTNSGNTTVNNGFSGLAAGCGDYPMKLDEVVQDPCDKPGPSPEAKNDLNIKDKMDDKHADVIDLDADDSVFQHEHKKGLSAKPFGNDGNDLDFKSRSSLQEHYRKESITCKTYVAEENSFLKPSMVTERSALQESFTTNKLQSFQETPVLRSMKATTSTWEKETLTIDGISKQATRMAPGTGPQQVHNFNSLSDDFQIPIRNLGGEGTGKSVGKWCKGVATPGSLNTNANKRNLIAVGPDGRGGKVKILRDLGKFQDSKTQALWPKAQKVGSRGGQSQIDHFFGKR >ORUFI08G03390.2 pep chromosome:OR_W1943:8:2649986:2654592:1 gene:ORUFI08G03390 transcript:ORUFI08G03390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAAWPVCTICYEDLRPLSDQHLHCLPACGHVFHALCLEQWLEYCPGGKKKLTCPICKQPCGAAHPPTRLFFQSTGACPTQTASSSPSRHEPTDGGADRDELAAEVARLEQKAASLGRVAMWREKAAEAEVMKESVRREKECVQHLLNAKTEELSRKSSECGRLQEKGLSLAKELAALKLSTDMNLQEEEILKLASLGNHGNAANAVDVLTRSLALRNKSYKELMIQCNVLGRSESRSQQRFEKAKELIKKLKARVQDLEKEQEEKENGVIRDLRSAKKFKADQTNSGNTTVNNGFSGLAAGCGDYPMKLDEVVQDPCDKPGPSPEAKNDLNIKDKMDDKHADVIDLDADDSVFQHEHKKGLSAKPFGNDGNDLDFKSRSSLQEHYRKESITCKTYVAEENSFLKPSMVTERSALQESFTTNKLQSFQETPVLRSMKATTSTWEKETLTIDGISKQATRMAPGTGPQQVHNFNSLSDDFQIPIRNLGGEGTGKSVGKWCKGVATPGSLNTNANKRNLIAVGPDGRGGKVKILRDLGKFQDSKTQALWPKAQKVGSRGGQSQIDHFFGKR >ORUFI08G03390.3 pep chromosome:OR_W1943:8:2643055:2649865:1 gene:ORUFI08G03390 transcript:ORUFI08G03390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHPPPPSPPRDSGGSLEVRLFYVRLSPRGPTPPPRLALALRSSRGGDEEEAPTPLSLPLRLDRRDPASGEATYVSTASARLPPPSAAFEVADHRGAALLRGSLRRCPDAKPDSSPAWAIDCIPAAGAEAETSAFEVYVAGCCAGEPAVLTCALRLATPEEQKAAGGLVRRRSPTSNAAGDEDVNGSIQHPEGWYSDDDDGQLTWFNAGVRVGVGIGLGVCVGVGIGVGLLMSSYQATARSLKRRFF >ORUFI08G03400.1 pep chromosome:OR_W1943:8:2646228:2646905:-1 gene:ORUFI08G03400 transcript:ORUFI08G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGRKAAVTGGEEAEVEALLRAAQDAVMLKLQANSHLVSSSSSATAPNLPPSLDHPAAAAAADPLDADLARRFDALRSHRPPDPKPKQPDAPSAAAAGGMDELEARFAALKGAAGPEKETRVRLEDLGGESDEDEDDEVDKVMRWAMDAARLDVATAGAGKAKSTKKDDDEEEEEKDQTSSSVSSEDEEEEEEEKLEKERERKRKEMMSKNKSKTKWFSLF >ORUFI08G03410.1 pep chromosome:OR_W1943:8:2655205:2660513:1 gene:ORUFI08G03410 transcript:ORUFI08G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIQRGEKKKTIQFSSQLDGHLLLSLSTPSPPLGLPQRPPRPSSAAKSHPTPSPLNYSTYKAAPPPPTRETAMTSAIVPSLGEVAVALRRKAAEMASTAAAASSQGCISWALRQRGLGGGGARAVPVLPRRRFCVSAAAGAGFDNENREYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEAYPPYERPALTKGYLFPPDKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTHTLKTSSGKILKYGSLIISTGCEASRLPAKIGGNLPGVHYIRDVADADSLVSSLGKAKKIVVIGGGYIGMEVAAAACGWNLDTTIIFPEDHIMPRLFTPSLAKKYEELYQQNGVKFIKGALIDKLEAGSDGRVSSAVLEDGSVVEADTVIVGIGARPVIGPFEAVGVNTKVGGIEMYDRMTRVEHVDHARKSAHHCVEALLTSHTKPTKEVQGKFGGNFTLAKQLKWEALNQRLLPSGLTLEFSLLPQLAKSQPVVDKAKLKSATSVEDALEIARSSLHSGSSV >ORUFI08G03410.2 pep chromosome:OR_W1943:8:2655205:2660513:1 gene:ORUFI08G03410 transcript:ORUFI08G03410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIQRGEKKKTIQFSSQLDGHLLLSLSTPSPPLGLPQRPPRPSSAAKSHPTPSPLNYSTYKAAPPPPTRETAMTSEVAVALRRKAAEMASTAAAASSQGCISWALRQRGLGGGGARAVPVLPRRRFCVSAAAGAGFDNENREYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEAYPPYERPALTKGYLFPPDKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTHTLKTSSGKILKYGSLIISTGCEASRLPAKIGGNLPGVHYIRDVADADSLVSSLGKAKKIVVIGGGYIGMEVAAAACGWNLDTTIIFPEDHIMPRLFTPSLAKKYEELYQQNGVKFIKGALIDKLEAGSDGRVSSAVLEDGSVVEADTVIVGIGARPVIGPFEAVGVNTKVGGIEMYDRMTRVEHVDHARKSAHHCVEALLTSHTKPTKEVQGKFGGNFTLAKQLKWEALNQRLLPSGLTLEFSLLPQLAKSQPVVDKAKLKSATSVEDALEIARSSLHSGSSV >ORUFI08G03410.3 pep chromosome:OR_W1943:8:2655205:2660513:1 gene:ORUFI08G03410 transcript:ORUFI08G03410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIQRGEKKKTIQFSSQLDGHLLLSLSTPSPPLGLPQRPPRPSSAAKSHPTPSPLNYSTYKAAPPPPTRETAMTSALRRKAAEMASTAAAASSQGCISWALRQRGLGGGGARAVPVLPRRRFCVSAAAGAGFDNENREYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEAYPPYERPALTKGYLFPPDKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTHTLKTSSGKILKYGSLIISTGCEASRLPAKIGGNLPGVHYIRDVADADSLVSSLGKAKKIVVIGGGYIGMEVAAAACGWNLDTTIIFPEDHIMPRLFTPSLAKKYEELYQQNGVKFIKGALIDKLEAGSDGRVSSAVLEDGSVVEADTVIVGIGARPVIGPFEAVGVNTKVGGIEMYDRMTRVEHVDHARKSAHHCVEALLTSHTKPTKEVQGKFGGNFTLAKQLKWEALNQRLLPSGLTLEFSLLPQLAKSQPVVDKAKLKSATSVEDALEIARSSLHSGSSV >ORUFI08G03420.1 pep chromosome:OR_W1943:8:2661591:2676606:1 gene:ORUFI08G03420 transcript:ORUFI08G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENMVMVSSSDENHNQVAIDLCSASPVDRSLSAAAGGSTTPRSPGFSMVVVPVESPEKTTGKPQTDDHDQQQGPLTTWAAAEFIGTFILVFTVLSTVVMDARHGGAETLVGVAASAGLAVVAVVLSVVHISGSHLNPAVSLAMAALGHLPPAHLLPYAAVQTAASLAAAFLAKGVYRPARPAVMATVPAAGVGAGEAFVVESKELVAIAIAAAIMMNALVGGPSTGPSMNPARTIGAAVATGEYRQIMGMDAASASVTVPPMQMQAGDQSNRIAIIISPRAGSSKILPFELVNGAANAGSQRHADPAESTPEAHHHLWHPVDLPKIKPPVPLVKKVGAEFFGTFTLIFTVLSTIIMDEQHKGVESLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSIAMTVFGHLPPAHLLPYIAAQILGSITASFAVKGMYHPVNPGIVTVPKVGTVEAFFLEFVTTFVLLFIITALATDPNAVKELIAVAVGATIMMNALVAGPSTGASMNPARTLGPAIATGRYTQIWKMEGHKSGMEAVAVTIPPLHTGESNHRIDSNVSSQCHADPAELSDETQQQSLWHLGLRKIIPSSVPLLKKVSAEFFGTFILIFTVLSTIIMDEQHKSIETLLGIATSAGLAVTVLVLSLIHISGCHLNPAISIAMAVFGHLPPAHLLPYISSQILGAVAASFAVKGLYHPVNPGIVTVPNVGTVEAFFVEFIITFFLLFIITALATDPNAVKELIAVAVGATVMMNILVAGPSTGASMNPARTIGAAIATGRYTQIWVYLVATPLGAIAGTGAYVTCDSLSMLI >ORUFI08G03430.1 pep chromosome:OR_W1943:8:2677504:2677893:-1 gene:ORUFI08G03430 transcript:ORUFI08G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSSKSNPPKLPRVRACDQEMINRFVALIAVGATIRVRQTSASKAVRRPASVESDQPEEVTASRRGERLPDWLVLELSSPQEENPISRAGGVLPRVLPTPRKLVRLVRQLHRSEELPELEVFKDRLHP >ORUFI08G03440.1 pep chromosome:OR_W1943:8:2678269:2679672:-1 gene:ORUFI08G03440 transcript:ORUFI08G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWLFYSLTSLLCLACSLLLRARASAAAAPLPPGPRTVPVLGPLLFLARRDIDVEPTLRRIAAEHGPVFTFAPLGPTIFVAARGAAYRALVQRGAAFASRPRAVSPASVWPHLDGPPAGPPPRVLRRATLCARCPRLPRPRRGRCSGDRDGALPVRDALPPVAHVLRRPPRRRAGEGDRGDAARPLANFPGFEVFAFLPPITKLVFRKRWNEMVSLRRRQEELFVPLMRARREAGAGGDCYVDSLVKLTIPEDGGRGLNDGEIVSLCSEFMSAGTDTTATVLQWILANLVKNPAMQDRLMEEIAAAVAGDGEVREEDLQAMPYLKAVVLEGLRRHSPGLYALPRAVEDETTLDGYRVPANTPVNFAVREIGLDSEVWTSPEVFRPERFLAGGEGEDVDLTGSKEIKMMPFGAGRRVCPGMALALLHLEYFVANLVWEFDWREVAGDEVDLTEKMLEFTVARRAFLY >ORUFI08G03450.1 pep chromosome:OR_W1943:8:2686469:2688019:1 gene:ORUFI08G03450 transcript:ORUFI08G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWLFYSLTSLLCLACSLLLRARASAASPKAAAAEAAPLPPGPRTVPVLGPLLFLARRDFDVEPTLRRIAAEHGPVFTFAPLGPSRPTIFVAARGAAHRALVQRGAAFASRPRGGGGPASALLSSGGRNVSSAPYGPTWRALRRCISSGVLNPARLRAFSDARRWVLDVLISHVRGEGGAPVTVMEPFQYAMFCLLVYMCFGDRPGDARVREIEALQRDLLGNFLSFQVFAFLPPITKLVFRERWNKLVSLRRRQEELFVPLIRARREAGAGGDCYVDSLVNLTIPEDGGRGLTDGEIVSLCSEFMSAGTDTTATALQWILANLVKNPAMQDRLREEIAAAVGSDGEVREEDLQAMPYLKAVVLEGLRRHPPGHYVLPHAVEDETTLDGYRVPANTPMNFAVGEIGLDGEVWASPEVFRPERFLPGGEGEDVDLTGSKEIKMMPFGAGRRVCPGMALALLHLEYFVANLVWEFDWREVAGDEVDLTEKLEFTVVMKRPLKATAVPLRGDRSAAVE >ORUFI08G03460.1 pep chromosome:OR_W1943:8:2689195:2694746:-1 gene:ORUFI08G03460 transcript:ORUFI08G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKSGGAVPFLDPDNCPNGWATPPGDTFMVRGPDYLATKVKIPGGEYLLKPLGFDWMKSPAKICEILNNKSHRVRKAIDGEVLRGNQPFVWAFNLQLPSKDNYSAIFYFVSLEPVPEGSLMDQFLKGDEAFRKSRLKLIANIVRGPWIVRTAVGEQAICILGRALTCKYTQGSNFIEIDVDVGSSIVANAIVHLAFGYVQTLTVDLAFLIEGQTESELPERLLGAVRFSELNPGSAGVYEVPSEEQQESAPFLPARLWQGFSNMLHNPGNSREPSSTSQSTNGSLHKEDADENTRESLHKEDVDDNTAGSLLKEDGYESATGSFDKEDTEEDSNGSLHNGDADENTKCCTVVETPKRISSLVGEFRRTPRLQLRSDLIPVFIILGGFAVKDGVDEMIKYVANEPSVGLYFVQQHAQASMPLLLDVKGKVAEKIHEVTLHTEDIEDSICAVRSMAEFGLPIADDMIKDINKSLKIMSKTQPKRGLIQNPTWGFQSGKSSGTWEEDLGTTDGGSSRNYFSSMFNTAKQKASTLRWPQPDFGTKDDTTEESESSAAPESSQAGGHGASTPSDTEKDDLPVSSQLLDNNTATMKESSSTDISKSVENYNKFKEEQELKLQEWLRQSEEADDNKE >ORUFI08G03470.1 pep chromosome:OR_W1943:8:2696442:2701505:1 gene:ORUFI08G03470 transcript:ORUFI08G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKSLGKPAKYSKLQANIAVHFPFSIGHRICEEKKIKKKNPLALFPTHHQLAAPRRRRRGASFSPVRRRRVGPRNPPPPTTVLRERPPVNSTAAPAQSEMKQVSCSVVNKCLAYNPCLSRNYYQRSHTVKLQRSQAGQIILPRKLRKSTLWQTNFTQRQIATHCSSDLSTSCREELPSYLTVNVLKDQSCARQGIFRKVIVILNPNSGFRSSREVFYQKVQPTLELSGFMMQVVETAYAGHAHALASTVDLSTCPDGIICVGGDGIVNEVLNGLLGRDDLEEAIQLPIGIIPAGSENSLVWTVLGIRDPVSAATTLAKGGITPIDVFSVKRTQAGITHFGLTASYYGFVADVLQLSEKFRLHFGPFRYVIAGVLKFLSLPQYRFEVNYLPLSPRRNHKLLLVTEKCNDHLAADSSAEDNWVTRKGEFLGIFVCNHFCKPAQGLLSPVIAPKAQHNDGSLDLILVHGSGRLRLFCFFIAYQFCWHLLLPYVEYVKVKHVKVRPIGKTHNGCGVDGELILGEGQTEWQCSLLPAQGRLLGRHRSASE >ORUFI08G03480.1 pep chromosome:OR_W1943:8:2702277:2705980:-1 gene:ORUFI08G03480 transcript:ORUFI08G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEAAAAAARVAEQARELQDAAAGLLSRSSAEEEALRRRAAALGAELARLRKAAAHADSDKVEEDLDRATCLISDGDIAALLPSKTHGTFLKMFLGPVNLRAPRKEVQLKVKEEYNSYRDRTALLFLGFPMILLVLRSWLWNGCFPVLPVQLYQAWLLFLYTTLALRENILRVNGSDIRPWWMCHHYCAMLMSLISLTWEIKGQPDCSRKQNRYQRQRLYTRIALGKARRMDVVWGETAGVEGQLLLLCPVLFLLQGFEGYVGFLLLRTAHTGIVPEWQVVVCGVLLIAMAIGNFANTVDTLMAKSRFKAKKRSRGKRDPDTCNSPTGLSPTNSTARA >ORUFI08G03490.1 pep chromosome:OR_W1943:8:2711136:2725475:-1 gene:ORUFI08G03490 transcript:ORUFI08G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAATSAAPSRGGAREWRTALLTLRDESVVSPSPPALLALLRRVLLLSAAAAAPPHSLAASAAAVSAHEVGSDVAFLAETAAAVSPCDGADDVLRGVCHLIHDIMYKTNMEIDSSCLLAMLKFLDVLMQCSLEGSCGKGLSVRKTALDTVSECLQILRFLSKDFGGSTSLPENAHLLRVLISIVSCLQSELNLTDKPNGAGFSSHTFGPINNKNPNIWDMEISAFSMIEDALSKIASSLSEDLWQSIVEVLRKVMDFLTARNFIIESSTMSRFYTSFLRCLHSVLSDPKGPLSAHVPGFVANLQIFFMYGLRSSSPPVITPMEYKMDTKSNAGRYKPPHLRKRGGKGNDSFDGRNSDSESSRYDLSSSDSDMSDSDGYAKTGDRFRSSKARLAAILCIQDICRADPKLLTSLWPLLLPESDVLQQRKYQATLMTCLIFDPIIKVRIEAASTIASMLEGQALVLTQVAEYKESSRRGSFTTLSSSLGQILMQLHTGMLYLIQRETQTTLLSALFKVLILLISVTPYARMPKQLLPTVITDMRRRLLDRHSNKNEHYALLHFQKNHLLQMFLKFSLKMDVQEIHVSVRFGALQVLRSAVHNYPSCANIIWAKVQYIVLDLLQMQSLEDQRDANFGLPKEESSIKGRCLVAAIKVIDECLRVSSGFKGTDDLKEYRLQDIQQISDCTINKTIKSAPHFETDVPGPSQNFTLDITLGTNRWIEVIERLLPQGLSHGSATVRTASLTCFAGMTYDVFFSLPENKRDYVTSSSIHAALSDTATAVRSAACRAIGIVACFPSILSSPSLPGKFIDAIEFNTRNSSTPVRITASWALANLCSSIRFRALDTNPSAGVLDKSAISLLVEIALRLAKDGEKVKSNAVRALGYLLRFIRFNNHSDTVDDPSNSVLCGDPVWLERMVHALMSCVTTGNVKVQWNVCHALSNLFMNDTLRLPDMPWASSVYSILLLLLRDSNNYKIRMHAAVALAVPVSRLDYGSSFPDVVRGIEHVLESLSSNSLSSPSNFKHRGNLEKQVTFTALHLFSFVSPKDDQSLRDFLIKKASFLEDWLKSLFSLFNNVEDQPLANEAINDEDGFSPNVAQKAMLSSAVKSLLDIYTSENQHTVAQRFEQLARSLEL >ORUFI08G03490.2 pep chromosome:OR_W1943:8:2711136:2725475:-1 gene:ORUFI08G03490 transcript:ORUFI08G03490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAATSAAPSRGGAREWRTALLTLRDESVVSPSPPALLALLRRVLLLSAAAAAPPHSLAASAAAVSAHEVGSDVAFLAETAAAVSPCDGADDVLRGVCHLIHDIMYKTNMEIDSSCLLAMLKFLDVLMQCSLEGSCGKGLSVRKTALDTVSECLQILRFLSKDFGGSTSLPENAHLLRVLISIVSCLQSELNLTDKPNGAGFSSHTFGPINNKNPNIWDMEISAFSMIEDALSKIASSLSEDLWQSIVEVLRKVMDFLTARNFIIESSTMSRSSSPPVITPMEYKMDTKSNAGRYKPPHLRKRGGKGNDSFDGRNSDSESSRYDLSSSDSDMSDSDGYAKTGDRFRSSKARLAAILCIQDICRADPKLLTSLWPLLLPESDVLQQRKYQATLMTCLIFDPIIKVRIEAASTIASMLEGQALVLTQVAEYKESSRRGSFTTLSSSLGQILMQLHTGMLYLIQRETQTTLLSALFKVLILLISVTPYARMPKQLLPTVITDMRRRLLDRHSNKNEHYALLHFQKNHLLQMFLKFSLKMDVQEIHVSVRFGALQVLRSAVHNYPSCANIIWAKVQYIVLDLLQMQSLEDQRDANFGLPKEESSIKGRCLVAAIKVIDECLRVSSGFKGTDDLKEYRLQDIQQISDCTINKTIKSAPHFETDVPGPSQNFTLDITLGTNRWIEVIERLLPQGLSHGSATVRTASLTCFAGMTYDVFFSLPENKRDYVTSSSIHAALSDTATAVRSAACRAIGIVACFPSILSSPSLPGKFIDAIEFNTRNSSTPVRITASWALANLCSSIRFRALDTNPSAGVLDKSAISLLVEIALRLAKDGEKVKSNAVRALGYLLRFIRFNNHSDTVDDPSNSVLCGDPVWLERMVHALMSCVTTGNVKVQWNVCHALSNLFMNDTLRLPDMPWASSVYSILLLLLRDSNNYKIRMHAAVALAVPVSRLDYGSSFPDVVRGIEHVLESLSSNSLSSPSNFKHRGNLEKQVTFTALHLFSFVSPKDDQSLRDFLIKKASFLEDWLKSLFSLFNNVEDQPLANEAINDEDGFSPNVAQKAMLSSAVKSLLDIYTSENQHTVAQRFEQLARSLEL >ORUFI08G03490.3 pep chromosome:OR_W1943:8:2711136:2725475:-1 gene:ORUFI08G03490 transcript:ORUFI08G03490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAATSAAPSRGGAREWRTALLTLRDESVVSPSPPALLALLRRVLLLSAAAAAPPHSLAASAAAVSAHEVGSDVAFLAETAAAVSPCDGADDVLRGVCHLIHDIMYKTNMEIDSSCLLAMLKFLDVLMQCSLEGSCGKGLSVRKTALDTVSECLQILRFLSKDFGGSTSLPENAHLLRVLISIVSCLQSELNLTDKPNGAGFSSHTFGPINNKNPNIWDMEISAFSMIEDALSKIASSLSEDLWQSIVEVLRKVMDFLTARNFIIESSTMSRFYTSFLRCLHSVLSDPKGPLSAHVPGFVANLQIFFMYGLRSSSPPVITPMEYKMDTKSNAGRYKPPHLRKRGGKGNDSFDGRNSDSESSRYDLSSSDSDMSDSDGYAKTGDRFRSSKARLAAILCIQDICRADPKLLTSLWPLLLPESDVLQQRKYQATLMTCLIFDPIIKVRIEAASTIASMLEGQALVLTQVAEYKESSRRGSFTTLSSSLGQILMQLHTGMLYLIQRETQTTLLSALFKVLILLISVTPYARMPKQLLPTVITDMRRRLLDRHSNKNEHYALLHFQKNHLLQMFLKFSLKMDVQEIHVSVRFGALQVLRSAVHNYPSCANIIWAKVQYIVLDLLQMQSLEDQRDANFGLPKEESSIKGRCLVAAIKVIDECLRVSSGFKGTDDLKEYRLQDIQQISDCTINKTIKSAPHFETDVPGPSQNFTLDITLGTNRWIEVIERLLPQGLSHGSATVRTASLTCFAGMTYDVFFSLPENKRDYVTSSSIHAALSDTATAVRSAACRAIGIVACFPSILSSPSLPGKFIDAIEFNTRNSSTPVRITASWALANLCSSIRFRALDTNPSAGVLDKSAISLLVEIALRLAKDGEKVKSNAVRALGYLLRFIRFNNHSDTVDDPSSFPDVVRGIEHVLESLSSNSLSSPSNFKHRGNLEKQVTFTALHLFSFVSPKDDQSLRDFLIKKASFLEDWLKSLFSLFNNVEDQPLANEAINDEDGFSPNVAQKAMLSSAVKSLLDIYTSENQHTVAQRFEQLARSLEL >ORUFI08G03500.1 pep chromosome:OR_W1943:8:2731386:2749063:1 gene:ORUFI08G03500 transcript:ORUFI08G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVHDEDHSISSSQFDEMDESNSTIPVPSESSTDEKPFPFLGLLCYADAVDWLLMALGTVGSIIHGMAFPVGYLLLGKALDAYGTNINDQEGMVHALYKVVPFVWYMAAATLPAGMVEISCWIYSSERQLARMRLAFLRSVLNQEVGAFDTDLTTAKIITGVTNHMSVIQDAIGEKLGHFVASFSTFFAGIIIAFASCWEVALLSFLVIPLILVIGATYTKQMNGISLSRNAIVSEATSIVEQTLSHIKTVFSFVGEKRAMRSFVRCMDNQYKLSKKEAVIKGIGLGLFQAVTFCSWALMVWIGAVAVTSRKATGGGTIAAIMSILFGAISITYAAPDLQTFNQAKAAGKEVFKVIKRKPSISYEKHGSVLGKVHGEIKFRRVHFAYPSRQDKPILQGFSLSIPAGKVVALVGSSGCGKSTVISLLQRFYDPTSGSILIDGHSIKKLDLESLRRNIASVSQEPSLFSGTIKDNLRIGKMDANDDEITKAARTANVHSFISKLPNEYLTEVGERGVQLSGGQKQRIAIARAMLKDPPILLLDEATSALDSESEKLVQDALEKAMSGRTVILIAHRMSTIVNADTIVVVENGKVAQTGTHQELIEKSTFYSNVCSMQNIEKEAGTRVASSSDNVIEDEIDEVYDRQLSPKQGQQNKLEQLNSKQPKQEKDDIAKILLGSSSAAISGISKPLFGYFIMTIGVAYYDLDAKRKVSKYSLIFFTAGVITLATVLRNELGWFEKPKNGVGFLTSRIVSDTSTVKTIISDRMAVIVQCISSILIATVVSMYVNWRMGLVSWAVMPCHFIGGLIQAKAAKGFYGDSAIAHQELVSLASEAASNIRTVASFVYEDEIIKKAELSLQEPMRVTKIESMKYGVVQGISLCLWNIAHAVALWYTTVLVQRKQASFENSIRSYQIFSLTVPSITELWTLIPMVMSAIAVLNPAFEMLDRDTQIVPDRPENPSDGWLMGRTEFQDVSFNYPSRPEVTILDGFSLVIEPSQRVALVGPSGAGKSSVLALLLRFYDPQRGRVLIDNKNIKDYNLRWLRKQIGLVQQEPILFNSSIRDNISYGSEETSETEIIQAAMEANIHEFISSLPKGYDTVVGEKGSQLSGGQKQRIAIARTLLKRPAILLLDEATSALDGESERVVMSSLGAKDWKDRNEGSSKITSITVAHRLSTVINSDTIVVMERGKVVELGNHHTLITADDGVYSRLVDDEEITSPPVEEKAAAAADKKFPFFGLLCYADGLDWLLMVAGTMGSFLHGMGPSMSYYLVGKGIDVVGNNIGNREATVHELSKLIPYMWALAIITLPGGMIEITCWMYTSQRQMSRMRMAYLRSVLSQDIGAFDTDLTTANVMAGATNHMSAIQDAIGEKVGMLSMLVVPMLLMVGATYAKMMIDASMKRIALVSAATTVVEQTLSHIKTVFSFVGENSAIKSFTKCMDKQYKLSKIEAMTKGLVWVGAAAVVDRSAKGGETIAAVINILSAAIYISNAAPDLQSFSQAKAAGKEVFEVINRNPAISYESNGTILEKVTGNIEIREVDFMYPSRVDKPILRSFSLSIPAGKVVALVGSSGCGKSTVISLVQRFYDPISGNILIDGQNIKELDLKSLRRSIGSVSQEPSLFSGTIMDNLRIGKMDGTDEEIIEIAKSANVHSFVSKLPNQYSTEVGERGVQLSGGQKQRIAIARAMLKDPPILLLDEATSALDSESEKLVQEALDGAMKGRTVILIAHRMSTIINSDKIVVVENGKVAQSGTHEELLEKSPFYSSVCSMQNLEKESGKSEERFTDQVREEQDNGSGTSNEPSSTAHEQEKSLELNPNQPKQDIRNRASAFYRIFLGTFMLEPGKILLGSTAAAISGVSKPIFAFYIMTVAIAYFDPDAKRIVANNIFQHYIYGLVGERAMNNLREALFSVILQNEIGWFEQPKNSVGFLTSRVVGDTSMIKTIISDRMSVIVQCISSILIATGLSIGVNWRMGLVAWALMPCQFIAGLVQVRSAKGFATDTSTSHRKLISLTSEAVSNIRTVASFGQEEEILKKADLSLQEPMQTSRIESIKYGVVQGVSLCLWHMTHAIALSYTIVLLDKSLATFENCVRAYQAIALTITSITELWSLIPMVISAIAILDPALDILDRETQIVPDEPKVHCEDRITGNIEFQDVSFSYPSRQDVIILDGFSLAIEPGQRVALVGPSGAGKSTIVSLLLRFYDPCRGQVLVDGKDVREYNLRFLRKQIGLVQQEPILFNLSIRENISYGNEGASETEIVEAAMEANIHEFISGLSNGYDTVVGDKGSQLSGGQKQRIAIARTILKRPVILLLDEATSALDGETEKVVMSSLAAKEWKSKEGELSNKITSITIAHRLSTVTSADVIVVMDKGEVVEMGSHETLVTTSNGVYSRLYCMQSKGMKD >ORUFI08G03510.1 pep chromosome:OR_W1943:8:2731471:2749859:-1 gene:ORUFI08G03510 transcript:ORUFI08G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELQKIGVSIKCFPKKEISDRECHAMNYRSNSSQGHEEPVYRIGVAKQPEEWKWLLVGAGF >ORUFI08G03520.1 pep chromosome:OR_W1943:8:2750987:2751736:-1 gene:ORUFI08G03520 transcript:ORUFI08G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQMNSVVHVSTSPSPSPATSPPPEGKQEHGEVAAVHVVGVGDDEAVMVVKDEEAFGGGGVDYSGRAQWLRAAVLGANDGLVSVASLMIGVGAVSESGRAMLVSGVAGLVAGACSMAIGEFVSVYAQYDIEVAAARRRRRQRRRRGDGDGEEEGSGRLPSPFKAAAASALAFTVGALLPLLAGGFVRPWAPRVAAVCAATSAALAGFGALGAALGGASPARSAARVLLGGWAAMAACYGVLRLFANLY >ORUFI08G03530.1 pep chromosome:OR_W1943:8:2763916:2765757:-1 gene:ORUFI08G03530 transcript:ORUFI08G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCCCPFLASARSRTCSLSTVAVAAAVRRGDLTGAEEAFASTPRKTTATYNCLLAGYARALGRLADARHLFDRIPTPDAVSYNTLLSCHFASGDADGARRLFASMPVRDVASWNTMVSGLSKSGAVEEAKAVFLAMPVRNSVSWNAMVSGFACSGDMSAAEEWFRNAPEKGDAVLWTAMVSGYMDIGNVVKAIEYFEAMPVRNLVSWNAVVAGYVKNSHADDALRLFRTMVREANVQPNASTLSSVLLGCSNLSALGFGKQIHQWCMKLPLSRNLTVGTSLVSMYCKCGDLSSACKLFGEMHTRDVVAWNAMISGYAQHGDGKEAINLFERMKDEGVEPNWITFVAVLTACIHTGLCDFGIRCFEGMQELYGIEPRVDHYSCMVDLLCRAGKLERAVDLIRSMPFEPHPSAYGTLLAACRVYKNLEFAELAAGKLIEKDPQSAGAYVQLANIYAGANQWDDVSRVRRWMKDNAVVKTPGYSWIEIKGVMHEFRSNDRLHPQLYLIHEKLGQLAERMKAMGYVPDLDFVLHDVDETLKVQMLMRHSEKLAISFGLISTAPGMTLRIFKNLRVCGDCHNAAKVISKIEDREIILRDTTRFHHFRGGHCSCGDYW >ORUFI08G03540.1 pep chromosome:OR_W1943:8:2765970:2770123:-1 gene:ORUFI08G03540 transcript:ORUFI08G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIIIESNGSRSSRTLSPPHELLTRVPQIPNHAANENPVTVLLFILAAAHRLRRFLLLRRRRRRRAVSPPLRAGRPAPRPCARRRRDQEAEPPARRSPRPTAPSPVDAATSPDPCSLPVPNPDESSIAPPPASTTPPDHPPSPQSRQLLPSCESPIAQCGDRTVAPPPASTAPPSHLSSSPIPAVSVTPPSSRHRLLALRYPSRLLAPTPLARIIPVQPATPTAPLATTTTLR >ORUFI08G03550.1 pep chromosome:OR_W1943:8:2770198:2775998:-1 gene:ORUFI08G03550 transcript:ORUFI08G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMVAYHAWLLLRIRRRPATTVIGINAINRRIWVRHVMEEASGKHAVLAVQTMRNSIMASTVLASVAITLSSLVAALMASGVAHGIFSPGAGDGQGEIVVGAGGETALSIKFFAILVCFLVAFLLNVQSIRYYSHTGILVNVPLHAHRHRRRRPGLAVDYVTATLNRGSYFCYFWSLGVRAFYFSCPVFLWLFGPIPMFASCLAMVCALYFLDVYTEWDEKPEEEEELNGNGDGDDGGAAACHEQPKMAAGNRVTPQQDVHLDYVLVPMGMAVMVAYHACATTPPTRRIWVRHVMEEPSGKHAVLAVQTMRNSIMASTVLASVAITLSSLVAALMASGVAHGIFVSSGHAVVGGGVAGEAELSVKFFAILVCFLLAFLLNVQSIRYYSHTGLLVNVPLHAHRHRRRRPGLAVDYVTATLNRGSYFWSLGVRAFYFSCPVFLWLFGPIPMFAACLAMVCALYFLDVYTEWDKADDEEDDLDDDDDGCVPAKC >ORUFI08G03560.1 pep chromosome:OR_W1943:8:2783350:2787318:1 gene:ORUFI08G03560 transcript:ORUFI08G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLVDAKLIRVSTAMHRFASASSLPPPAPATAAAVAAQAAALRFGSAATTRVPRALALTASTCPWHRRHLCSSSSSSSSAAAAAATAAAVEEARQGRKQLGATTQLYEYLLANVREHPVRVSLPPLSAWFVSSKFCRHFGVRNSRHALLPMCASVLSRAGKMHQVLKELREETAAMRGSQMQVSPAQAQLLAMLAQILGAQRCIEVGVYTGYSSLAVALALPESGRLVACERDERCLEVAKKYYQRSGVAHKVDVKHALAADSLKLLIDGGEVNSYDFAFVDADKRMYEEYYELLLKLVRVGGLIVIDNVLWYGRVADPLVPIGDGMTICRKLVDT >ORUFI08G03570.1 pep chromosome:OR_W1943:8:2790265:2793439:1 gene:ORUFI08G03570 transcript:ORUFI08G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVFAALCKIGSVLASSASITLGGRLDANLTIINEIESRIKQIEVELKLMQAFLRQAQKQEGYSEPTEVYLQEVRKAAFEIEDIIDEFLYLSVKHKNSFFNGEFMSYFRKLGKTSWHKIARELKDLQCHLQNLRNLRVQYEIQLPNGNRVSTDVEDHRLPHHLSYPADEMVGVEQERTMMMNWLKTCSTSVITVWGMGGSGKTTLANSIYEDERIKNQFDCHIWITVSQKFNASDIMRKMVRHMLQRCSPNIDSIDGRDLVEILKRTLEHRKILLVLDDVWSTDVWMDLASTVERSNNNGNKVVITTRIKDVASLASEDQVLQLQKLNDADSWCLFCRWAFKNRIDRSCPQELESLGREIMAKCDGLPLAIVVVGNMLSFKKQDMEEWSKCNDQLTWELRDRLRDQELSSVMKILKLSYKNLPSHLKNAFVFCSIFPEDYMITKKRLVRLWVAEGLIKPEKRRTVEEVAEEYLNELIDRCLLQVVERKHFRKVKEFQMHDIVRELAISISEDETFCMTHSKSHPGEPEYRCRRLSIHEHNDRIKSVSDPSRLRSLYHFDVTCSSFPSVGTPRSARYLNVLELQDVPITVLPEELSGLFNLRYLGLRRTKIKQLPQSVDKLFNLQTLDVYLTNVDKLPTGIAKLKRLRHLLAGKLSAPLYCGIVEKSRGVQAPKVVWESMELQTLKGVLANLNLVENLGHMTQLRTLAIEDVGEEHYPKLFASISKMRSLRTLKVLSAEGNQGLNFEALSLPPQNLRKLHLTGRFHHTVMESNFFQTVGAKLEKLYLTGSKTNIDPLISISCLSNLKVLQIGDTYDGASFVFQSGWFPKLHTLIMCNLLHINSMIMEQQTLQNLQWLALVNFPELKEVPHGIELLLSLQNLMLVNMHDEFMERIQGEDKERVQHISTVRFLDRSRGMEKRLPRRSIGHNEQANG >ORUFI08G03580.1 pep chromosome:OR_W1943:8:2793757:2794041:-1 gene:ORUFI08G03580 transcript:ORUFI08G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPNLHLALTEPIVEGWLIDRACYQDVINAFLLLIATNTAIRVWQPSTAQTVSGPASVHCDQPQEEAASRRSE >ORUFI08G03590.1 pep chromosome:OR_W1943:8:2795018:2798339:-1 gene:ORUFI08G03590 transcript:ORUFI08G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEVVVVVDEEESERRRQKMIEEEKKRLDEEMELRRRRVKEWQEQKRLEEEEAKRREQEAAAGAGTPAAAAGADGDSNAGKKWTLDGEESDEEGYKEDSQNAEDDGGITADLPSEVNDANVAAPMEEDEIDPLDAFMSSMVLPEVAKLETAVASMESMPASNMGDKNGKSAKDAVSNGDKKGQKKAMGRIMQGDDSDSDYDDDDDDEGGSKDEDDEEFMKRVKKTKVEKLAIVDHSKIEYQPFRKNLYIEVKDITMMTGEEVATYRKNLELKVHGKDVPKPIKTWVQSGLTSKLLDTIKKLGFEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVVQIHSDIKKFAKSLGINCVAIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDWQTVLFSAIFPRQVEILARKVLTKPVEIQVGGRSVVNKDITQLVEVRPENERFLRLLELLGEWFDRGKILVFVHSQDKWDSLLKDLFQRGYPCLSLHGGKDQTDRESTLADFKSNVCNLLIAGCELDVKELELVVNYDVPNHYEDYVHRVGRTGHAGRKGFAVTFISDEEERYAPDLAKALELSEQAVPQDLKGLADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARRSAKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDLAAQAIAAAQAAATLAAAKAASNANQQVQSTNAGSLLSIPVVANAPNNEATARALQAALNIQQNLARIQAHVVPEHYEVELDINDFPQNARWKITHKETLGPIQDWTEAAITTRGTFIPQGKIVGANERKLYLFIEGPTELSVKKAKSELKRVLEDCANHALNLPANSEMQGFLVKVFLGRWTAILVFLDDGVICNIRAEKLDKWQVRVFYVKVADPLGYSITE >ORUFI08G03600.1 pep chromosome:OR_W1943:8:2801524:2806522:-1 gene:ORUFI08G03600 transcript:ORUFI08G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAAVVVAAVVAAAASAAAPASAGEPTRDVRWEVGYMTVAPLGVSQKVIAINNQFPGPLLNVTTNWNVRVNVQNNLDEPLLLTWDGIQMRMNSWQDGVAGTNCPIPPGWNWTYQFQLKDQIGSFFYFPSLGLQRAAGGFGPVTVNNRAVVPVPFAQPDGDITLFIGDWYTKSHVELRKMLDDGKDLGIPDGILINGKGPYSYDNTLIPEGLQHETVGVEPGKTYRFRVHNVGTSTSLNFRIQNHNMRLVEAEGTYTYQQNYTNLDIHVGQSYSFLVTMDQNASTDYYIVASPRMNTTAGAARPNPQGSFRYDSINITQTFVLKNELPLLINGKRRRTINGVSYSPPETPLRLADLHNLTGVYKTDFPTMPGNAPPKMASSTLNASYKGFLEIVFQNNDTGVQTYHLDGYSFFVVGMDNGDWTPDCRSRYNKWDAISRSTTQVFPGGWTAVLVSLDNVGIWNLRSEKLDNWYNGQEVYVKVADPLGYNITEMIMPDNALYCGLLKDLQKPQIHQVNSKSSAQAADRWGARVLAMVLLIIAAVVSI >ORUFI08G03610.1 pep chromosome:OR_W1943:8:2809289:2813486:-1 gene:ORUFI08G03610 transcript:ORUFI08G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISTPPTSSSLLPASLQVGRWSSSAAMSARPVAFSLRRPVLAARVAAGGNAPSSSVDEVVTELDAVASFSEIVPDTVVFDDFEKFAPTAATVSSSLLLGIAGLPDTKFKSAIDTALADGECNTMEKPEDRMSCFLTKALANVGAEMAHLVPGRVSTEIDARLAYDTQGIIQRVHELLKLYSDHDVLSERLLFKIPATWQGIEASRLLESEGIQTHLTFVYSFAQAAAAAQAGASVVQIFVGRVRDWARTHSGDPEIDEALKKGEDAGLALAKKVYAYIHKNGYKTKLMAAAIRNKQDVFSLLGIDYIIAPLKILQSLEESVTDTDVKYGYVPRLTPALGKTYNFTEEELVKWDQLSLAAAMGPAAEELLASGLEGYVNQARRVEELFGKIWPPPNADIGNVSVVRARSVGQAGGSRPSSDDEVII >ORUFI08G03610.2 pep chromosome:OR_W1943:8:2810033:2813486:-1 gene:ORUFI08G03610 transcript:ORUFI08G03610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISTPPTSSSLLPASLQVGRWSSSAAMSARPVAFSLRRPVLAARVAAGGNAPSSSVDEVVTELDAVASFSEIVPDTVVFDDFEKFAPTAATVSSSLLLGIAGLPDTKFKSAIDTALADGECNTMEKPEDRMSCFLTKALANVGAEMAHLVPGRVSTEIDARLAYDTQGIIQRVHELLKLYSDHDVLSERLLFKIPATWQGIEASRLLESEGIQTHLTFVYSFAQAAAAAQAGASVVQIFVGRVRDWARTHSGDPEIDEALKKGEDAGLALAKKVYAYIHKNGYKTKLMAAAIRNKQDVFSLLGIDYIIAPLKILQSLEESVTDTDVKYGYVPRLTPALGKTYNFTEEELVKWDQLSLAAAMGPAAEELLASGLEGYVNQARRVEELFGKIWPPPNV >ORUFI08G03620.1 pep chromosome:OR_W1943:8:2818061:2824310:1 gene:ORUFI08G03620 transcript:ORUFI08G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDPVMFDNDDEEGPISFKRSSTSVKNRPTPTKQEGSSGNAGPIRSPKAVASNQQKNGLTGVSRPLQQRPQSSSPNPRPLGSGQPNSSKEHSSHNSNSVDKSKLKRPFVEDKSDDSEDDHKPIGLRRKVEDRNLKKPAVGSGTANDSDDDKPLSLKINSTKMPSSSANKAIVLKTTPKIEQPGDDSEDDKPLASRLPTNAALKRGGNVSDDSEDEKPLAARFSKVTGNASASISSSKDKVLSASIKASSSSKMSNNDASTSRNSIKRPIDNNNQTSSALKKARPSDVSASASVKREPKADDNDNVPLSQRLKMGESSKSKPPAKNIVKKSPSSLKKDNKKMKMKMKTKKTMKNSQFSKTMKVPPGSGGGQKWTTLVHSGVIFPPPYKPHGVKMLYNGQPVDLTPEQEEVATMFAVMKDTDYASKQTFIDNFFTDWRKILGKNHVIKKFELCDFTPIYEWHLREKEKKKQMTSEEKKALKEEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIKPSDITINIGKGAPIPECPIPGESWKEVKHDNTVTWLAFWNDPISQKDFKYVFLAASSALKGQSDKEKYEKSRKLKHHVHKIRDTYTKDFRSKDKTKKQIAVATYLIDKLALRAGNEKDEDEAETVGCCTLKVDNVTCVPPNKLQFDFLGKDSIRYFNTVEVELLVYKAIEEFRAGKKPGQDLFDKLDTTRLNAHLKDLMPGLTAKVFRTYNASITLDDILHKETEDGTLLEKIAVYQRANKEVAIICNHQRSVSKSHDSQMTRLNEKIDELKAQRDELKADLSKVRKGKNLGNDKDGKPKRNLAPEAFEKKISQIETKIEKMEMDKKIKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLIAKFSWAMDVDPDFRF >ORUFI08G03630.1 pep chromosome:OR_W1943:8:2827573:2831534:1 gene:ORUFI08G03630 transcript:ORUFI08G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKDSVKTATFFGAKAGRVLRPLFFSYFKELVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVLLPQDGVDIDILHDATRREARGG >ORUFI08G03640.1 pep chromosome:OR_W1943:8:2832775:2833206:-1 gene:ORUFI08G03640 transcript:ORUFI08G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPQTRRRGASSMGVAMASIHPQQQPDGGRLKPSSHLQYVVIVLWISPLSSSVSDGDQGWVNGACWAAAGNLSPTSICTEEARRLDGEGGRVEEARRLILPSLTNVDVLVVNLHSRVS >ORUFI08G03650.1 pep chromosome:OR_W1943:8:2840339:2847185:1 gene:ORUFI08G03650 transcript:ORUFI08G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSGGGGGGVHHHLNHHGLCCLSAAALPAPDAPPTPEPEAGGAAVAVAGVLHKWTNYGRGWRERWFSLHDGVLSYSKIRRDASAAADEDGGGGGGEVRLIGGASARIGGARRPDKPVGVVCLKLSAFRESKSDDRRFYIFSPTKTLHLKTDSKEDRVAWIEALILARSFYSLRSLSGRITFVQSDVSVSTARLRNRMQQEGLNESLIQECEQIVLTEFSSFRKQLKLCYEDQLSLFGSCRHHFEEGKDASIIQGALTRNDFSSSRHGNFSEYSTTESDEFEKQDGGDLTCEDESTFFDAADYFIEPNDRSSAILSSTSCGEGLLDIQMEDSSHLLPQIKRRSKLPEPTEKERGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKGFEDLEYSHLLDQAYQYGKMGNSLMRILKVAAFAVSGYASSVARPCKPFNPLLGETYEADFPERGVRFFAEKVSHHPMLIACHCEGKGWKFWGDSNLKSKFWGQSIQVDPIGVLTVEFDDGEIFQWSKVTTTIHNLILGKLYCSHHGTMHIKGNRQYSCKLKFKEPSLLDRNPHLVQGFVEDDDGNKPSFLIGKWDENMYYSNLDTSKVKSVDQLKGAVVLWEKNKPSPNPTRYNLSSFAITLNELSPDLQDKLPPTDSRLRPDQRHLENGEYEKANAEKLRLERRQRMSSKLQDNGWKPRWFQKDGEDGTYRFKGGYWEAREHGQWDDCLDIFGEFSET >ORUFI08G03660.1 pep chromosome:OR_W1943:8:2848999:2852399:-1 gene:ORUFI08G03660 transcript:ORUFI08G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNTRLRREYLYRKSLEGKERQHYEKKRRLREALDEGKPIPTELRNEELALRREIDLEDKDSAVPRSLIDDEYAGAALREPKILLTTSRNPSAPLTQFVKELKVVFPNSQRMNRGGQVISEIVESCRSHDITDLVLVHEHRGQPDGLIVCHLPFGPTAYFGLLNVVTRHDIKDRKAMGKMSEAYPHLILDNFTTKTGERTANIVKHLFPVPKPDSKRIITFANRDDYISFRHHVYEKHGGPKSLDLKEVGPRFELRLYQIKRGTVDQAEAQNEFVLRPYMNTAKKQKSLGA >ORUFI08G03670.1 pep chromosome:OR_W1943:8:2853421:2857635:1 gene:ORUFI08G03670 transcript:ORUFI08G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASFLEIQPSELSFPFELLKQSSCSMQLTNKTDHYVAFKVKTTNPKQYCVRPNIGVVLPGSTCDVTVTMQAQREAPPDMQCKDKFLVQSVAAENGATTQDISAEMFNKVAGKVVEEFKLRVVYVPTTTSSAMPEDSEQGSSARPFAQENGIHNSTMPQPVFRSSAEPTKERPTEPSSMISKLNEENRVAIQQNQKLRHELELLRKESSKSSGGFSLTFLAIVGLLGIIVGYILKKT >ORUFI08G03680.1 pep chromosome:OR_W1943:8:2857589:2857939:-1 gene:ORUFI08G03680 transcript:ORUFI08G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNGDHVTAGGGNASAAAAAEEEKMTGVGSGGKESGSSPPSPSSTSTSTVTATASEGERPAAAAAATTSPAVWHWHVLSLLLGFVVGVAVAAAAMLFLKRGGGGGSPELCFVPT >ORUFI08G03690.1 pep chromosome:OR_W1943:8:2863662:2871519:-1 gene:ORUFI08G03690 transcript:ORUFI08G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPPTSPPPPLASDELLLPLTLTCVCRRRRGRRRRREREKMVGMLPETNAQAAAEEVLGDAWDYRGRPAARSRTGRWGAAAMILVAELNERLTTLGIAVNLVTYLTATMHAGNAEAANVVTNFMGTSFMLCLLGGFVADSFLGRYLTIAIFTAVQASGVTILTISTAAPGLRPAACAAGSAACERATGAQMGVLYLALYLTALGTGGLKSSVSGFGSDQFDESDSGEKSQMMRFFNWFFFFISLGSLLAVTVLVYVQDNLGRPWGYGACAAAIAAGLVVFLAGTRRYRFKKLVGSPLTQIAAVVVAAWRKRRLELPSDPAMLYDIDVGKLAAAEVELAASSKKSKLKQRLPHTKQFRFLDHAAINDAPDGEQSKWTLATLTDVEEVKTVARMLPIWATTIMFWTVYAQMTTFSVSQATTMDRHIGASFQIPAGSLTVFFVGSILLTVPIYDRLVVPVARRATGNPHGLTPLQRIGVGLVLSIVAMVCAALTEVRRLRVARDARVGGGEAVPMTVFWLIPQFLFVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSALVAAVHKLTGDRHPWLADDLNKGQLHKFYWLLAGVCLANLLVYLVAARWYKYKAGRAAAAGDGGVEMADAEPCLH >ORUFI08G03700.1 pep chromosome:OR_W1943:8:2873433:2874492:1 gene:ORUFI08G03700 transcript:ORUFI08G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLRDEVGHALCAGAGRLEFVSDAISAEAKACLAALLAILAQGVSVVDIESDSELLVSAIKSSSHDLATGATIFTEIKTVLQFQFSSFDFYFAPRSYNNVAHELARLGVSWDPGQSYVWVDPLPGFVRAKDRKGPFPPQKLAIEVRGSPTYILGPLPFHNKCGTIQQSPPSHIGVPQSFTAPSPYPGPHRPTVHQVYGPRRHPRSIRYTGPAGTHGPSGLHTCHCKIKGVFDYYSVMNDWALEIIGFVIWNLFTKWFWR >ORUFI08G03710.1 pep chromosome:OR_W1943:8:2903076:2932464:-1 gene:ORUFI08G03710 transcript:ORUFI08G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFPAAADVGGKDDDDSGGGGEQHDGAADDAGVDYAPPKTHPPWWAAAAGEMDARFPYSPAKVAKVQLVQFGILSPDEIRQMSVVQIEHAETMERGKPKPGGLSDPRLGTIDQKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTSKKVCAGGDDLDVQEQQDTDEPVKKRGGCGAQQPNITVDGMKMVAEFKAPKKKNDDQEQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPREGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWKTVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFDGKVPAPAILKPRPIWTGKQVFNLIIPKPINLIRFSAWHSEAETGFITPGDTMVRIEKGELLSDDVKELIKQAYDRQLEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFAFIDRTLPHFTKDDYGPESRGLREIINVAKKIKTPSLSVYLKPEVNKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDVEFWGEMDARFPYSPAEVAKVQLVQFGILSPDEIRQMSVVQIEHAETMERGKPKPGGLSDPRLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILVDELAPIGTGGCALYLNDQMLQQAIELQLPSYVEGLDFGMTPARSPISGTPYHEGMMSPSYLLSPNIRASPITDAQFSPYVGGMAFSPVPSPGYTPSSGGGYSPSSPVYSPGPGYSPTSPSYSPASPSYSPTSPSYTPGSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPPHITILQPHITIIQSNVSVLQPHFPLIQSDITLIQSDISCIQPYITWLQPDISKLQPNFTKLQSNFTKLQSFFGQVQSFPRVLSKQPKAEPLQSDFTKLQPNIADILTYLTIIFTTNPYTTSGGPSPDYSPTSPNYSPSGSYSPTAPGYSPSSTGQQFSPQAADKDKEKAK >ORUFI08G03710.2 pep chromosome:OR_W1943:8:2902830:2932464:-1 gene:ORUFI08G03710 transcript:ORUFI08G03710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFPAAADVGGKDDDDSGGGGEQHDGAADDAGVDYAPPKTHPPWWAAAAGEMDARFPYSPAKVAKVQLVQFGILSPDEIRQMSVVQIEHAETMERGKPKPGGLSDPRLGTIDQKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTSKKVCAGGDDLDVQEQQDTDEPVKKRGGCGAQQPNITVDGMKMVAEFKAPKKKNDDQEQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFDGKVPAPAILKPRPIWTGKQVFNLIIPKPINLIRFSAWHSEAETGFITPGDTMVRIEKGELLSDDVKELIKQAYDRQLEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFAFIDRTLPHFTKDDYGPESRGLREIINVAKKIKTPSLSVYLKPEVNKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDVEFWGEMDARFPYSPAEVAKVQLVQFGILSPDEIRQMSVVQIEHAETMERGKPKPGGLSDPRLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILVDELAPIGTGGCALYLNDQMLQQAIELQLPSYVEGLDFGMTPARSPISGTPYHEGMMSPSYLLSPNIRASPITDAQFSPYVGGMAFSPVPSPGYTPSSGGGYSPSSPVYSPGPGYSPTSPSYSPASPSYSPTSPSYTPGSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPPHITILQPHITIIQSNVSVLQPHFPLIQSDITLIQSDISCIQPYITWLQPDISKLQPNFTKLQSNFTKLQSFFGQVQSFPRVLSKQPKAEPLQSDFTKLQPNIADILTYLTIIFTTKSVIQPNKRKLLPHCTGLLPILHRPAVLSPSCRQGQGEGKMKPLKKDGCEGLMYSCMTCPPKKGP >ORUFI08G03710.3 pep chromosome:OR_W1943:8:2903076:2932464:-1 gene:ORUFI08G03710 transcript:ORUFI08G03710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFPAAADVGGKDDDDSGGGGEQHDGAADDAGVDYAPPKTHPPWWAAAAGEMDARFPYSPAKVAKVQLVQFGILSPDEIRQMSVVQIEHAETMERGKPKPGGLSDPRLGTIDQKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTSKKVCAGGDDLDVQEQQDTDEPVKKRGGCGAQQPNITVDGMKMVAEFKAPKKKNDDQEQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFDGKVPAPAILKPRPIWTGKQVFNLIIPKPINLIRFSAWHSEAETGFITPGDTMVRIEKGELLSDDVKELIKQAYDRQLEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFAFIDRTLPHFTKDDYGPESRGLREIINVAKKIKTPSLSVYLKPEVNKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDVEFWGEMDARFPYSPAEVAKVQLVQFGILSPDEIRQMSVVQIEHAETMERGKPKPGGLSDPRLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILVDELAPIGTGGCALYLNDQMLQQAIELQLPSYVEGLDFGMTPARSPISGTPYHEGMMSPSYLLSPNIRASPITDAQFSPYVGGMAFSPVPSPGYTPSSGGGYSPSSPVYSPGPGYSPTSPSYSPASPSYSPTSPSYTPGSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPPHITILQPHITIIQSNVSVLQPHFPLIQSDITLIQSDISCIQPYITWLQPDISKLQPNFTKLQSNFTKLQSFFGQVQSFPRVLSKQPKAEPLQSDFTKLQPNIADILTYLTIIFTTNPYTTSGGPSPDYSPTSPNYSPSGSYSPTAPGYSPSSTGQQFSPQAADKDKEKAK >ORUFI08G03710.4 pep chromosome:OR_W1943:8:2903076:2932464:-1 gene:ORUFI08G03710 transcript:ORUFI08G03710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFPAAADVGGKDDDDSGGGGEQHDGAADDAGVDYAPPKTHPPWWAAAAGEMDARFPYSPAKVAKVQLVQFGILSPDEIRQMSVVQIEHAETMERGKPKPGGLSDPRLGTIDQKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTSKKVCAGGDDLDVQEQQDTDEPVKKRGGCGAQQPNITVDGMKMVAEFKAPKKKNDDQEQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFDGKVPAPAILKPRPIWTGKQVFNLIIPKPINLIRFSAWHSEAETGFITPGDTMVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFAFIDRTLPHFTKDDYGPESRGLREIINVAKKIKTPSLSVYLKPEVNKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDVEFWGEMDARFPYSPAEVAKVQLVQFGILSPDEIRQMSVVQIEHAETMERGKPKPGGLSDPRLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILVDELAPIGTGGCALYLNDQMLQQAIELQLPSYVEGLDFGMTPARSPISGTPYHEGMMSPSYLLSPNIRASPITDAQFSPYVGGMAFSPVPSPGYTPSSGGGYSPSSPVYSPGPGYSPTSPSYSPASPSYSPTSPSYTPGSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPPHITILQPHITIIQSNVSVLQPHFPLIQSDITLIQSDISCIQPYITWLQPDISKLQPNFTKLQSNFTKLQSFFGQVQSFPRVLSKQPKAEPLQSDFTKLQPNIADILTYLTIIFTTNPYTTSGGPSPDYSPTSPNYSPSGSYSPTAPGYSPSSTGQQFSPQAADKDKEKAK >ORUFI08G03710.5 pep chromosome:OR_W1943:8:2903076:2932464:-1 gene:ORUFI08G03710 transcript:ORUFI08G03710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFPAAADVGGKDDDDSGGGGEQHDGAADDAGVDYAPPKTHPPWWAAAAGEMDARFPYSPAKVAKVQLVQFGILSPDEIRQMSVVQIEHAETMERGKPKPGGLSDPRLGTIDQKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTSKKVCAGGDDLDVQEQQDTDEPVKKRGGCGAQQPNITVDGMKMVAEFKAPKKKNDDQEQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITELKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFDGKVPAPAILKPRPIWTGKQVFNLIIPKPINLIRFSAWHSEAETGFITPGDTMVRIEKGELLSDDVKELIKQAYDRQLEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFAFIDRTLPHFTKDDYGPESRGLREIINVAKKIKTPSLSVYLKPEVNKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDVEFWGEMDARFPYSPAEVAKVQLVQFGILSPDEIRQMSVVQIEHAETMERGKPKPGGLSDPRLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILVDELAPIGTGGCALYLNDQMLQQAIELQLPSYVEGLDFGMTPARSPISGTPYHEGMMSPSYLLSPNIRASPITDAQFSPYVGGMAFSPVPSPGYTPSSGGGYSPSSPVYSPGPGYSPTSPSYSPASPSYSPTSPSYTPGSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPPHITILQPHITIIQSNVSVLQPHFPLIQSDITLIQSDISCIQPYITWLQPDISKLQPNFTKLQSNFTKLQSFFGQVQSFPRVLSKQPKAEPLQSDFTKLQPNIADILTYLTIIFTTNPYTTSGGPSPDYSPTSPNYSPSGSYSPTAPGYSPSSTGQQFSPQAADKDKEKAK >ORUFI08G03710.6 pep chromosome:OR_W1943:8:2903076:2905428:-1 gene:ORUFI08G03710 transcript:ORUFI08G03710.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQAIELQLPSYVEGLDFGMTPARSPISGTPYHEGMMSPSYLLSPNIRASPITDAQFSPYVGGMAFSPVPSPGYTPSSGGGYSPSSPVYSPGPGYSPTSPSYSPASPSYSPTSPSYTPGSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPPHITILQPHITIIQSNVSVLQPHFPLIQSDITLIQSDISCIQPYITWLQPDISKLQPNFTKLQSNFTKLQSFFGQVQSFPRVLSKQPKAEPLQSDFTKLQPNIADILTYLTIIFTTNPYTTSGGPSPDYSPTSPNYSPSGSYSPTAPGYSPSSTGQQFSPQAADKDKEKAK >ORUFI08G03720.1 pep chromosome:OR_W1943:8:2936416:2936715:1 gene:ORUFI08G03720 transcript:ORUFI08G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYKYGFAFLAGTGFGAALTSLRRDGDSCCPMRRRHRRCRRRHDDDDQLVDGDGEAAGEERYKESKRATTTTNPKAKKGSTKEKAAASVAREEDDDDE >ORUFI08G03730.1 pep chromosome:OR_W1943:8:2937823:2938207:-1 gene:ORUFI08G03730 transcript:ORUFI08G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSCMVCLLLFFLLHLSVCHATSRNHRRLFAAALAASPSTAALYGDDDITAAMVCVIN >ORUFI08G03740.1 pep chromosome:OR_W1943:8:2939147:2945945:-1 gene:ORUFI08G03740 transcript:ORUFI08G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRAPGHRSPLAAAGKTGERRRGGEGVKREEENEWRGRRIRPREKGQTDARRDKDRPRYVRGLGNSTNKTRAIN >ORUFI08G03750.1 pep chromosome:OR_W1943:8:2940534:2944471:1 gene:ORUFI08G03750 transcript:ORUFI08G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVLEKKAFVVELFDKYVKTLGSGIDVLAPLVDHIAYVHSLKEEAIPIPDQSAITKDNVSIQIDGVPNLRGLADRGVDAAKHYEEAYRKKPSAGGGGGGSRKKMNKTVEIDDDEE >ORUFI08G03760.1 pep chromosome:OR_W1943:8:2972788:2976388:1 gene:ORUFI08G03760 transcript:ORUFI08G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSHEMTSRKPPGIRLFGGITVLRTYQTLVLVLTFVAYTCFHMTRKIPSIVKSVLDPQTKLGSSPWGRLHTKNTLNIGWLPFNTIDGSALLGEIDVAFLAVYSVGMFFAGHLGDRMDLRIFLTIGMFGTAVFTALFGAGYWLNIHNFYYFLVIQMIAGLFQAIGWPSVVAIVGNWFGKSKRGLIMGIWNAHTSVGNISGSLLAAFLLKFGWGWSFAIPSLIMVAVGLLVFVFLPVSPEVMEIDIDDGEISSVKDTTKEPLLEPGQEVKHNAVGFLEAWKIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTPIGGEYLSDALAGSLSTIFDVGGVLGGVLAGHISDRLNARAVTAASFMYCAIPALFLYRTYGSMSIMWNICLMFITGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLLTGYISSSSWSAVFTMLMAAALLAGLLLTQLVCSELKGKATSNASKDVADAQGTYSDEV >ORUFI08G03770.1 pep chromosome:OR_W1943:8:2977167:2981544:-1 gene:ORUFI08G03770 transcript:ORUFI08G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGPATAGELLRIDPVELRFPFELKKQISCSMQLSNLSDDYIAFKVKTTSPKKYSVRPNTGVVLPRSTCDVVVTMQAQREAPPDMQCKDKFLVQSVIAPSGVTVKDITGEMFTKESGNKVEEVKLRVTYIAPPQPPSPVPEESEEGSPSRVSESENGDSLGGGFTRALRERIEPQENSLEAGALINKLNEEKNSAIQQNHKIRQELDMMRREISKKRGGFSFIIVIIVALIGIFLGYMMKS >ORUFI08G03780.1 pep chromosome:OR_W1943:8:2984848:2988051:1 gene:ORUFI08G03780 transcript:ORUFI08G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKSAK >ORUFI08G03790.1 pep chromosome:OR_W1943:8:2993243:2995954:-1 gene:ORUFI08G03790 transcript:ORUFI08G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGVAAASLFGADRRLCSADILPPAEVRARIEVAVLNFLAALTDPAAPAISALPLISRGAANRGLRRALLRDDVSSVMEMCYKILGEGKLVTLRELFYTLLSESPTYFTCQRHVNQTVQDVVSLLRCTRQSLGIMASSRGALIGRLVVQGPEEEHVDCSILGPSGHAITGDLNVLSKLIFSSDARYIIVVEKDAIFQRLAEDRIYSHLPCILITAKGYPDLATRFILHRLSQTYPNMPIFALVDWNPAGLAILCTYKYGSISMGLESYRYACNVKWLGLRGDDLQLIPQSAYQELKPRDLQIAKSLLSSKFLQDKHRAELTLMLETGKRAEIEALYSHGFDFLGKYVARKIVQGDYI >ORUFI08G03800.1 pep chromosome:OR_W1943:8:2999243:3002354:1 gene:ORUFI08G03800 transcript:ORUFI08G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVSSKYVPPIRPVGRGACGIICAVVNAQTRQEVAIKKIGNAFDNQIDAKRTLREIKLLRHMDHDNALVVISIKDIIRPPRRENFNDVYIVYELMDTDLHHLLRSNQPLTDDHCQYFLYQVLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTNETDFMMEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIVTREPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRSLPQYPKQQFRARFPTMSSGAMDLLERMLVFDPSKRITVDEALCHPYLASLHEIYDEPVCPAPFSFDFEQPSLTEEDIKEIIWREALKFNPEPIH >ORUFI08G03810.1 pep chromosome:OR_W1943:8:3001447:3009828:-1 gene:ORUFI08G03810 transcript:ORUFI08G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYQPPPPPPPYGVNSSQPPPPPPPPPSPPPSAPPPPPPPPTQPPPREAQLAPPPPREQQSKSALPRAETEEERRARKKREYEKQRAEDRKNQQMMRQSQATVLQKTQQVRAAQQPQSRHHQQPSGGSRPAVTATRPAAAPNAERFENRLKKPTTFLCKHKFRNELPDPSSQLKWLPLNKDKDRYTKYRITSLEKNYIPKMIVPEDLGIPLDLLDMSPPMAPEDEELLRDDEVLTPVKKDGIRKKERPTDKGMSWLVKTQYISPLSTDAAKMSITEKQAKERRESREGRNTFLENINDREKQIKAIEDSFRAAKSRPVHQTKRGMEAEWVLPLLPDFDRYDDQFVMVNFDGDPTADSEQYNKLERSERDECESRAVMKSFLVNGSDPAKQEKFLAYMVPSPHELSKDLDDETEDIQYSWLREYHWEVRGDDKDDPTTYLVTFDDDGAKYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVSRTAHGGMMEHGESSSMHENLKRQRSSVDDDLYDHPKHSRRNSRRVMFRRINNQTVHPMDFKMMQMAFGNHKDDESNEVELKCLPPYDLLDIFFGERRLLEIEAERRWADWFIIYLMKGCQVWMAQSFINCNATEVKVSISCYCQDLRLISVYMNVI >ORUFI08G03810.2 pep chromosome:OR_W1943:8:3001447:3009828:-1 gene:ORUFI08G03810 transcript:ORUFI08G03810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYQPPPPPPPYGVNSSQPPPPPPPPPSPPPSAPPPPPPPPTQPPPREAQLAPPPPREQQSKSALPRAETEEERRARKKREYEKQRAEDRKNQQMMRQSQATVLQKTQQVRAAQQPQSRHHQQPSGGSRPAVTATRPAAAPNAERFENRLKKPTTFLCKHKFRNELPDPSSQLKWLPLNKDKDRYTKYRITSLEKNYIPKMIVPEDLGIPLDLLDMSVYKYELSQIYVFLAAILIRRFFLAYLTYAQTIFSTPPVQPPMAPEDEELLRDDEVLTPVKKDGIRKKERPTDKGMSWLVKTQYISPLSTDAAKMSITEKQAKERRESREGRNTFLENINDREKQIKAIEDSFRAAKSRPVHQTKRGMEAEWVLPLLPDFDRYDDQFVMVNFDGDPTADSEQYNKLERSERDECESRAVMKSFLVNGSDPAKQEKFLAYMVPSPHELSKDLDDETEDIQYSWLREYHWEVRGDDKDDPTTYLVTFDDDGAKYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVSRTAHGGMMEHGESSSMHENLKRQRSSVDDDLYDHPKHSRRNSRRVMFRRINNQTVHPMDFKMMQMAFGNHKDDESNEVELKCLPPYDLLDIFFGERRLLEIEAERRWADWFIIYLMKGCQVWMAQSFINCNATEVKVSISCYCQDLRLISVYMNVI >ORUFI08G03820.1 pep chromosome:OR_W1943:8:3018303:3018833:-1 gene:ORUFI08G03820 transcript:ORUFI08G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSVCYCVILPQPLVLVLQLLDLLRHAFLLCLSALGLAAPPLPDEHPAYAPPPPPPASMAALPADLVWAFQPAPEPAAIKARLPAVRYADFVRCRRAAAAAAASCVVCLGALEARHRVRELGNCAHAFHKACIDKWVDKGQATCPLCRAALLPSADDDDAAAIAATTRHHLPSF >ORUFI08G03830.1 pep chromosome:OR_W1943:8:3028272:3031122:-1 gene:ORUFI08G03830 transcript:ORUFI08G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAADMAAAADEEACMYALQLASSSILPMTLKNAIELGLLETLQSAAVAGGGGKAALLTPAEVADKLPSKANPAAADMVDRMLRLLASYNVVRCEMEEGADGKLSRRYAAAPVCKWLTPNEDGVSMAALALMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMTAFEYHGTDARFNRVFNEGMKNHSVIITKKLLDLYTGFDAASTVVDVGGGVGATVAAVVSRHPHIRGINYDLPHVISEAPPFPGVEHVGGDMFASVPRGGDAILMKWILHDWSDEHCARLLKNCYDALPEHGKVVVVECVLPESSDATAREQGVFHVDMIMLAHNPGGKERYEREFRELARAAGFTGFKATYIYANAWAIEFTK >ORUFI08G03840.1 pep chromosome:OR_W1943:8:3051704:3064018:1 gene:ORUFI08G03840 transcript:ORUFI08G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSSGEEAVVKVRKPYTITKQRERWTEAEHNRFLEALKLYGRAWQRIEGLIARSMNGEEHKLEKEAINNGTSPGQAHDIDIPPPRPKRKPNSPYPRKSCLSSETSTREVQNDKATISNMTNNSTAQMAGDAALEKLTYIQKLQRKEISEKGSCSEVLNLFREVPSASFSSVNKSSSNHGASRGLEPTKTEVKDVVILERDSISNGAGKDAKDINDQEMERLNGIHISSKPDHSHENCLDTSSQQFKPKSNSVETTYVDWSAAKASHYQMDRNGVTGFQATGTEGSHPDQTSDQMGGASGTMNQCIHPTLPVDPKFDGNAAAQPFPHNYAAFAPMMQCHCNQDAYRSFANMSSTFSSMLVSTLLSNPAIHAAARLAASYWPTVDGNTPDPNQENLSESAQGSHAGSPPNMASIVTATVAAASAWWATQGLLPLFPPPIAFPFVPAPSAPFSTADVQRAQEKDIDCPMDNAQKELQETRKQDNSEAMKVIVSSETDESGKGEVSLHTELKISPADKADTKPAAGAETSDVFGNKKKQDRSSCGSNTPSSSDIEADNAPENQEKANDKAKQASCSNSSAGDNNHRRFRSSASTSDSWKEVSEEVVVYQHSAYSHLSYSLNIHHCFSNSHFCCQGRLAFDALFSRERLPQSFSPPQVEGSKEISKEEEDEVTTVTVDLNKNAAIIDQELDTADEPRASFPNELSNLKLKSRRTGFKPYKRCSVEAKENRVPASDEVGTKRIRLESEAST >ORUFI08G03840.2 pep chromosome:OR_W1943:8:3051704:3064018:1 gene:ORUFI08G03840 transcript:ORUFI08G03840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSSGEEAVVKVRKPYTITKQRERWTEAEHNRFLEALKLYGRAWQRIEGLIARSMNGEEHKLEKEAINNGTSPGQAHDIDIPPPRPKRKPNSPYPRKSCLSSETSTREVQNDKATISNMTNNSTAQMAGDAALEKLTYIQKLQRKEISEKGSCSEVLNLFREVPSASFSSVNKSSSNHGASRGLEPTKTEVKDVVILERDSISNGAGKDAKDINDQEMERLNGIHISSKPDHSHENCLDTSSQQFKPKSNSVETTYVDWSAAKASHYQMDRNGVTGFQATGTEGSHPDQTSDQMGGASGTMNQCIHPTLPVDPKFDGNAAAQPFPHNYAAFAPMMQCHCNQDAYRSFANMSSTFSSMLVSTLLSNPAIHAAARLAASYWPTVDGNTPDPNQENLSESAQGSHAGSPPNMASIVTATVAAASAWWATQGLLPLFPPPIAFPFVPAPSAPFSTADVQRAQEKDIDCPMDNAQKELQETRKQDNSEAMKVIVSSETDESGKGEVSLHTELKISPADKADTKPAAGAETSDVFGNKKKQDRSSCGSNTPSSSDIEADNAPENQEKANDKAKQASCSNSSAGDNNHRRFRSSASTSDSWKEVSEEVVVYQHSAYSHLSYSLNIHHCFSNSHFCCQGRLAFDALFSRERLPQSFSPPQVEGSKEISKEEEDEVTTVTVDLNKNAAIIDQELDTADEPRASFPNELSNLKLKSRRTGFKPYKRCSVEAKENRVPASDEVGTKRIRLESEAST >ORUFI08G03840.3 pep chromosome:OR_W1943:8:3051704:3064018:1 gene:ORUFI08G03840 transcript:ORUFI08G03840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSSGEEAVVKVRKPYTITKQRERWTEAEHNRFLEALKLYGRAWQRIEGLIARSMNGEEHKLEKEAINNGTSPGQAHDIDIPPPRPKRKPNSPYPRKSCLSSETSTREVQNDKATISNMTNNSTAQMAGDAALEKLTYIQKLQRKEISEKGSCSEVLNLFREVPSASFSSVNKSSSNHGASRGLEPTKTEVKDVVILERDSISNGAGKDAKDINDQEMERLNGIHISSKPDHSHENCLDTSSQQFKPKSNSVETTYVDWSAAKASHYQMDRNGVTGFQATGTEGSHPDQTSDQMGGASGTMNQCIHPTLPVDPKFDGNAAAQPFPHNYAAFAPMMQCHCNQDAYRSFANMSSTFSSMLVSTLLSNPAIHAAARLAASYWPTVDGNTPDPNQENLSESAQGSHAGSPPNMASIVTATVAAASAWWATQGLLPLFPPPIAFPFVPAPSAPFSTADVQRAQEKDIDCPMDNAQKELQETRKQDNSEAMKVIVSSETDESGKGEVSLHTELKISPADKADTKPAAGAETSDVFGNKKKQDRSSCGSNTPSSSDIEADNAPENQEKANDKAKQASCSNSSAGDNNHRRFRSSASTSDSWKEVSEEVVVYQHSAYSHLSYSLNIHHCFSNSHFCCQGRLAFDALFSRERLPQSFSPPQVEGSKEISKEEEDEVTTVTVDLNKNAAIIDQELDTADEPRASFPNELSNLKLKSRRTGFKPYKRCSVEAKENRVPASDEVGTKRIRLESEAST >ORUFI08G03840.4 pep chromosome:OR_W1943:8:3051704:3064018:1 gene:ORUFI08G03840 transcript:ORUFI08G03840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSSGEEAVVKVRKPYTITKQRERWTEAEHNRFLEALKLYGRAWQRIEGLIARSMNGEEHKLEKEAINNGTSPGQAHDIDIPPPRPKRKPNSPYPRKSCLSSETSTREVQNDKATISNMTNNSTAQMAGDAALEKLTYIQKLQRKEISEKGSCSEVLNLFREVPSASFSSVNKSSSNHGASRGLEPTKTEVKDVVILERDSISNGAGKDAKDINDQEMERLNGIHISSKPDHSHENCLDTSSQQFKPKSNSVETTYVDWSAAKASHYQMDRNGVTGFQATGTEGSHPDQTSDQMGGASGTMNQCIHPTLPVDPKFDGNAAAQPFPHNYAAFAPMMQCHCNQDAYRSFANMSSTFSSMLVSTLLSNPAIHAAARLAASYWPTVDGNTPDPNQENLSESAQGSHAGSPPNMASIVTATVAAASAWWATQGLLPLFPPPIAFPFVPAPSAPFSTADVQRAQEKDIDCPMDNAQKELQETRKQDNSEAMKVIVSSETDESGKGEVSLHTELKISPADKADTKPAAGAETSDVFGNKKKQDRSSCGSNTPSSSDIEADNAPENQEKANDKAKQASCSNSSAGDNNHRRFRSSASTSDSWKEVSEEVVVYQHSAYSHLSYSLNIHHCFSNSHFCCQGRLAFDALFSRERLPQSFSPPQVEGSKEISKEEEDEVTTVTVDLNKNAAIIDQELDTADEPRASFPNELSNLKLKSRRTGFKPYKRCSVEAKENRVPASDEVGTKRIRLESEAST >ORUFI08G03840.5 pep chromosome:OR_W1943:8:3051704:3064018:1 gene:ORUFI08G03840 transcript:ORUFI08G03840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSSGEEAVVKVRKPYTITKQRERWTEAEHNRFLEALKLYGRAWQRIEGLIARSMNGEEHKLEKEAINNGTSPGQAHDIDIPPPRPKRKPNSPYPRKSCLSSETSTREVQNDKATISNMTNNSTAQMAGDAALEKLTYIQKLQRKEISEKGSCSEVLNLFREVPSASFSSVNKSSSNHGASRGLEPTKTEVKDVVILERDSISNGAGKDAKDINDQEMERLNGIHISSKPDHSHENCLDTSSQQFKPKSNSVETTYVDWSAAKASHYQMDRNGVTGFQATGTEGSHPDQTSDQMGGASGTMNQCIHPTLPVDPKFDGNAAAQPFPHNYAAFAPMMQCHCNQDAYRSFANMSSTFSSMLVSTLLSNPAIHAAARLAASYWPTVDGNTPDPNQENLSESAQGSHAGSPPNMASIVTATVAAASAWWATQGLLPLFPPPIAFPFVPAPSAPFSTADVQRAQEKDIDCPMDNAQKELQETRKQDNSEAMKVIVSSETDESGKGEVSLHTELKISPADKADTKPAAGAETSDVFGNKKKQDRSSCGSNTPSSSDIEADNAPENQEKANDKAKQASCSNSSAGDNNHRRFRSSASTSDSWKEVSEEVVVYQHSAYSHLSYSLNIHHCFSNSHFCCQGRLAFDALFSRERLPQSFSPPQVEGSKEISKEEEDEVTTVTVDLNKNAAIIDQELDTADEPRASFPNELSNLKLKSRRTGFKPYKRCSVEAKENRVPASDEVGTKRIRLESEAST >ORUFI08G03850.1 pep chromosome:OR_W1943:8:3068374:3069603:1 gene:ORUFI08G03850 transcript:ORUFI08G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMDLTLGGALLQVEEATEEEEEEEEEEQALGQEPAPAAAAAALREHMFDKVVTPSDVGKLNRLVVPKQHAERFFPAAAAGTQLCFEDRAGTPWRFRYSYWGSSQSYVMTKGWSRFVRAARLSAGDTVSFSRAADGRYFIDYRHCHRHGGRDISFASAATAMPAAAWPLFGRVQTAAPVSYGGGHGSAAAATMFLDTVAPVAAAGGHRGEVGPSGQRSFRLFGVNVECGGDVDAAAEEEDADDDVDDGDHRRGEEMELVMWTNHR >ORUFI08G03860.1 pep chromosome:OR_W1943:8:3073720:3077825:-1 gene:ORUFI08G03860 transcript:ORUFI08G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTAAAAIQSASSSTLSPHAAPFALPVRPARAPLQDGDAHPSSSSATSCFRTQSFDTVLPASTCGANASQPREWPEIGSDAAYPSSICSTSVVFSYPSVMMASNHNQKNPLYPGMGSNGSRCSTVKIESPPNKISEANKISCGLTSKSSMTKDVSKSNEDAVKNAPFPQILEVGMEICSKEASPVSHTRPLHISTAGSDPCDSMADGVKTEPSECYVDSPCWRGRGTSLSHQTSVTQLINQESEAFDAGQKKSTSTVQHCEVLTASQNLDTIENKQNQSQSHVELSVSMKSGDNGKKEEEVSHNKELESAKQCAAKCTAEQKHSLELRDNSVKRSGLNFAAPDFIPSSVGKSKIVKGSCSTTGRNTSGILKAMGNLSEMLRDSCLLDENELDEHEHTLLQSVIENLQTCIDRKKKGPINDDGSNKAGLRAPHSQSAVLKSYAGDYRGSCTTNGGNGITVNKSVGPTRVLSDFGKNSLTWSQPSFNNIPRMISCEEDHSQILIYKNLWIDAERTNCELKYLLKQNRIKIGQESSMAHIGGPRNPSFQACDLGAGPSNSYGAAISYPPTLSFPKGDSTEETSRARNTDLLYTGDCIRLGDNSVPSCSASTISHPTRPNNFQGDLLTGLEETGLHHHAQPVPQLAPSRVHREPRISTMDEASGHSCFTGADGILSGNSEYGLSSDWEHVLKEEIGWS >ORUFI08G03870.1 pep chromosome:OR_W1943:8:3080448:3084129:-1 gene:ORUFI08G03870 transcript:ORUFI08G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMELKKLPLGFRFHPTDEELVRHYLKGKITGQIRSEADVIPEIDVCKCEPWDLPDKSLIRSDDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRVIRSKGDKKKQQVIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFESGEQGGYVLYRLFRKQEEKIERPSPDEVDRSGYSPTPSRSTPDNMEPIEDGNTPLNRESPESALHESPIDLPALTEAQAAPITRWLADRTDNATTNEVNISHMPHHGLDGGAKASPSAGAFPQLIGSQQNIHDNNELATVSAPMLPHEDFNNFPLGAIGNFDGNMNPRDPVEEFLNQTIADPDEHSSTTSKAQYDSDTGIIPTEFENHGVMQGEFMDDLSGLENLDFWPDDRNPQLSALYEDTPLLPYDSTDQDVLSMDSGAESLQDLFNSMDDSNARNNVWGNEPFLQGTGFPMSWPLQPNSAFPNQGTANRRLMLQLSESLSPDFDVSMTRDECEDEEPGIVVTSKYVNEAPEESTAEKDMPSDGDDAEPTGITILRRRHAPTASSFSDGDDAESTGITILRQHQAPNASLLSDGDDAESTGITILRRRQAPTASSASSFTQQGAAVQRVRLQSNLDAAPCSSVDGSSSCIINEGESERTMEKPEIEENAGSTLAEGGTCHEDDQKEHDASAANAKSVLRLRKTAEGSDKENKQEEEEGVLASHVRAPGNKRGFPSYIIWLVLSVALVLLISLGIYGWV >ORUFI08G03880.1 pep chromosome:OR_W1943:8:3092182:3099216:-1 gene:ORUFI08G03880 transcript:ORUFI08G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVPFGENCDLDWNFSLPLSPSYTTLLDSIQNLRFALPDVSKPVALVIPTSKLDLHGHSYEGLSYTVENHVPFVVIDLMNLNRVHVDSVSGTAWVESGATLGELYYAIGQLNRSLAFSAGSCSTVGMGGFVSGGGFGLISRKFALAADNVLDAILMDPNGNALNRGSMGDDVFWAIRGGGGGSWGVVYAWKLQLVHVPHSITVFSLNRTGPLEQTAKLMHKWQFVGPHLPDEFYLSIHISTGTSNGNVSMSFTGQVIGPKQYAMLVLHHTFPELGIVESDLSEMSWIESTAKFARLNSTADLTNRRLGIKYYSKSKSDYVHSPISMQDTIKIIEYLSNGPQGFIQLNPYGGAMARIGSSELPFPYRAGYLYSIEYNVSWKASDNDRADEFIRWLRSFYAYMAPHVSKNPLAAYVNYLDLDLGTNTWRNATDGTSNNSVIHAKSWGIRYFSKNFDRLVRAKTMIDPENVFNNAQSIPPLHPTISPVLVFLLLSLHQSICSSAHDAASASSFSSCLATHGVSNFSLPASPSYNTTLNFSIRNLRFTLPDVTRPAAIVLPWSKEDLRRAILCARNSSLAIRVRSGGHSYEGLSYTTENHVPFVVIDLMNLNRVQVDSVSATAWAEAGATLGELYYAVGRSSQSLAFSGGSCSTIGLGGVISGGGFGLLSRKFGLAADNVLDAVLVDPNGRVLDRNSMGEDIFWAICGGGGGSWGVVYAWKLRLVPVPHNVTVFIVDRTGPVEYVAGLVHWWQHVGPNLPDEFYLSVYFPTGSSDGNVSVSFEGQVLGTKQQTLSVLSQSFPMLGVTESDLSEMSWVESTAKFANVGTVSDLSNRSPGTNSYTKSKSDYVKAPISRHDMVEIARYLSAGPPGSIILDPYGGAMARIGSDATPFPHRAGILYSIQYTVYWGQSDQARANEYIIWLRSLYTYMTPHVSKDPRGAYVNYLDLDLGANNWTHPIGGSSMEAVARARSSWGAAYFGNNFNRLVSTKTTIDPSNVFNNAQSIPPLN >ORUFI08G03890.1 pep chromosome:OR_W1943:8:3099304:3100989:-1 gene:ORUFI08G03890 transcript:ORUFI08G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIATAVLLSLLAVLRAGGDVDDGGGAIMEPCLAAAGVRNVTARRERDAYDAALRASAQNLRFAGDGVAKPAAVVVPATRGELRDAVRCAREAGLGAVRLRSGGHSYEGVSYTGEDDGGFVVVDLLALDGVRVDAASRTAWVESGATLGQVYQAVAAASPALAFSAGSCPTVGSGGHIAGGGFGFLSRKYGLAGDNVIDAVLIAADGRVLDRAGMGEDVFWAIRGGGGGTWGAVYAWRIQLVPVPERVTAFVVNRPGTAESIAELVAAWQHVAPWLPDEFYLSAFVGAGLPEMNRTGISVTFKGLYLGPAHEAVEILTARLPEIGLSDLNPIEMSWIESVVFFSGLPQGSSVSDLTDRVLHKKYFKAKSDYVRRPMRIGELIRAIDLLSTESKAYVILDPYGGAMDRIGSASLPFPHRRGNIHGIQYLIEWTANDDDHREEYMDWIRQFYEFMGSYVPNSPRTAYINYMDLDLGMNNWSNLRMYGGDGIPNPEVEAARVWGERYFLGNYDRLVRAKTAIDPDNVFRNAQSIPPLGSRRMSRIPRGISPKIASKDKTYDS >ORUFI08G03900.1 pep chromosome:OR_W1943:8:3104477:3108723:1 gene:ORUFI08G03900 transcript:ORUFI08G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRRSVGPARQLLLRPRPLPLPHAASSTRSFSRYYSRDDVSRYEALSTPVNWGVSIVPEKKAFVVERFGKYVKTLGSGIHVLVPLVDRIAYVHSLKEEAIPIPDQSAITKDNVSIQIDGVLYVKIVDPYLASYGVENPIFAVIQLAQTTMRSELGKITLDKTFEERDTLNEQIVRSINEAATDWGLKCLRYEIRDISPPRGVKVAMEMQAEAERKKRAQILESEGAMLDQANRAKGEAEAILAKSEATARGIRLVSEAMRTKGSTEAANLRVAEQYMKAFANLAKKSNTILLPSDAGNPSSLIAQSLQIYKHICQTNSLKSGKYLTDALEETEPEEEELDSTDLPSLSSGMPSPDMPDDHDKTFSLQRRNKDKH >ORUFI08G03910.1 pep chromosome:OR_W1943:8:3109563:3113726:1 gene:ORUFI08G03910 transcript:ORUFI08G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPGPQYKLPKESKPCCSSLPIFNFKNQPRLLSPSPIRTCFPSLLLCSLSPYMAATQLWALAPLALLLVLQLAGACHAVPQSLEAEQASDSHFQPPMMNWINGMVGLCIASAAVAVAAELSREQACLGLLLCLAFHAGIALVIRAAAAPDAGRSLARTQSRRNRNLLYSYY >ORUFI08G03920.1 pep chromosome:OR_W1943:8:3116742:3117527:1 gene:ORUFI08G03920 transcript:ORUFI08G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASARVVSTPPSPSSSSSSSSPAAAASASPAASAVVWASNHLLGNGHIVVVLLRSWSEFARTGTFAGGLNLDRSVLSRPHSPPRYSAAVDGMFVPWDHEHEVNPLTGEASFVERLYYVEAADIARLREEARATSVQAVSAYLWKKLAAVVSSSASIAKSDTAARRCSMGYWVDLRWRVRSPDFCRALRSYVGNATTYVEREEPADAVLKKPLGEVAAMVREVAAKLPAARSASVSAARCPPPPAALLAPALAGPPPCW >ORUFI08G03930.1 pep chromosome:OR_W1943:8:3118242:3124034:-1 gene:ORUFI08G03930 transcript:ORUFI08G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAGGRGFLLHPRPPWRAPATPPCPPPPATATALARRLHHRRLPEGILSTLERGVSTLHLRCWVHCATCGVTLHDISQAEPVNGAKSDLVQKHEKVGAFQRIPMVMPATDILMSAQRKSRNVPPTKGIANIAKRERNKGAKQLDALMKEISVPLRTYTENFPKRRDLHPYERSLIELTFGEGYYEKVIARVDALRKKINSVGKQHASVCAKSLTKREAEERLSEGRKKLEEAFEHGKWVIDDLVNIAKTLRSMPVVDPHIPTLCLVGSPNVGKSSLVRILSSGKPEVCSYPFTTRGILMGHIVSNHERFQVTDTPGLLTRDDDERNNIEKLTLAVLSHLPIAVLYVHDLSEDCGTSVADQYITYKHIKERFGDRLWLDVISKSDLLDKKTSSEFDDADDEVGRYRRFGPECAIRVSVQGQVGVKELKEKVHQLLTSQMSRIKAGTIYQETQRTDDNAPGQT >ORUFI08G03940.1 pep chromosome:OR_W1943:8:3124360:3125337:1 gene:ORUFI08G03940 transcript:ORUFI08G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIDDDWDYQPRARVIHSRSNANSNGATTASSSQPTRSLPHTAACAAAAVALLAAAYYLLPDYQVLASVVVWVASSLLLAPFAPSSATGGDVSVGRGDPLPEQEPVEEPVSDPAPTSRRGRRQSSSSNPTPPPPKPSDLIAPPPPRHAAAAAAAAATAVSDGGEAVEDAGEWTDQEMDILRRQMVKHPAGEPQRWEKIAAAFGGRRTPESVIRAAKSGGGAAAAGASFDQFLRKRKPLDPRSEATDAGGGNAGGGGGESGDGSWSAGDDRALLNALKEFPKDTAMRWEKVAVAVPGKTKAACMKRVTELKRDFRSSKAASEAAP >ORUFI08G03950.1 pep chromosome:OR_W1943:8:3125470:3145754:-1 gene:ORUFI08G03950 transcript:ORUFI08G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTTTATRMRNKKKAKSFWQQICASKTNSRIDRGKNPDAIADPGYKLRSRGPATLGADALDNQCDPPFKGSICQCD >ORUFI08G03960.1 pep chromosome:OR_W1943:8:3132311:3136109:1 gene:ORUFI08G03960 transcript:ORUFI08G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFEWVLKLLNLVVMVVGLAMMGYGAYLLVAWLQLLPSPPPLPPAPAVAPGGGGGGEMVRLGRPLLLLLDVSSLPDGTAERLSAAWFIYAFIGVGVILFITSIFGCAGASRGGCCLSFVSKFNMHVIPVDKTGNFDMMYSFLKENWRIAKWVALGAVLFEAVLFTVALIVQSGNQADYDSDDEYIAPRSSTRQPLVNKQPVADPRVPNLDYRPIRNDAWSQRMREKYGVDTFDPNRFQQATISPAEQRNRCAIL >ORUFI08G03970.1 pep chromosome:OR_W1943:8:3136781:3138682:1 gene:ORUFI08G03970 transcript:ORUFI08G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLEQPSSDRRGGGRTPAAAAAGDRQKEPWERRRSKRPICADVCACFFTLIGIAAVVLVFVLAYKCFRTTCYKRLI >ORUFI08G03980.1 pep chromosome:OR_W1943:8:3139326:3139556:1 gene:ORUFI08G03980 transcript:ORUFI08G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLEQPSSDRRVGGRTPAAEAADDRQKEPPERRRSNSPMDADLCCCSCALIGIAATVAASLLAFKCLLTTCYKL >ORUFI08G03990.1 pep chromosome:OR_W1943:8:3150157:3153613:-1 gene:ORUFI08G03990 transcript:ORUFI08G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERKGDFSCLTAARICEASTSDAVVQAAGTAMPVPLAPYPTPPVPFTPPNGAQSQLVCSGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGTDQKPSS >ORUFI08G03990.2 pep chromosome:OR_W1943:8:3150157:3153613:-1 gene:ORUFI08G03990 transcript:ORUFI08G03990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVLLMHLSAGFLSCRERKGDFSCLTAARICEASTSDAVVQAAGTAMPVPLAPYPTPPVPFTPPNGAQSQLVCSGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGTDQKPSS >ORUFI08G03990.3 pep chromosome:OR_W1943:8:3150155:3152089:-1 gene:ORUFI08G03990 transcript:ORUFI08G03990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSAGFLSCRERKGDFSCLTAARICEASTSDAVVQAAGTAMPVPLAPYPTPPVPFTPPNGAQSQLVCSGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGTDQKPSS >ORUFI08G04000.1 pep chromosome:OR_W1943:8:3153793:3154602:1 gene:ORUFI08G04000 transcript:ORUFI08G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENENEHEHEQRRPQPSESTRCRRLTAAGGGRARERRNGDGGRVVAILLKILNCIYFHLPHQRIKNGPKKTYGEGRY >ORUFI08G04010.1 pep chromosome:OR_W1943:8:3169051:3169920:-1 gene:ORUFI08G04010 transcript:ORUFI08G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTSYLHHHQSLHLRHDDGGAGSDDGGHDDLSPGSGGGGGPSSTAGGAGIGGGEVVARRPRGRPPGSKNKPKPPVIITRESANALRAHILEVAAGCDVFEALTAYARRRQRGVCVLSAAGTVANVTLRQPQSAQPGPASPAVATLHGRFEILSLAGSFLPPPAPPGATSLAAFLAGGQGQVVGGSVAGALIAAGPVVVVAASFSNVAYERLPLEDGDEVVPPAPAGSDQGGGGSGGMPPLGVDPSGGAATGGLPFFNMPFGMPPMPVDGHAGWPGAGVGRPPFS >ORUFI08G04020.1 pep chromosome:OR_W1943:8:3183597:3186822:-1 gene:ORUFI08G04020 transcript:ORUFI08G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRGPYTSQWNADGGGGGSSRAGSEQPPPGKKSRGGGGGEGGGNTSKSRAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHGMEELRKPPPNWQEIVAAHEEATEAREEHQIPIMTSSGPTAGGDAGCGGGGGGGSGRAYKGRHCKKFYTDEGCPYGDACTFLHDEQSKARESVAISLSPSVGGGGGGGSYNSAAAAAASASAAAGNGPMQKPSNWKTRICNKWEMTGYCPFGSKCHFAHGAAELHKYGGGLVDIDSRDAAATPDSKQAVVSAKAPAETAAASTTVLPHADVYHLGVQAQRSTIAGQRSGQVQRPIQKWKGPDKISRIYGDWIDETE >ORUFI08G04030.1 pep chromosome:OR_W1943:8:3190033:3193182:-1 gene:ORUFI08G04030 transcript:ORUFI08G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDEAGSSKHHRRDKEKDRERSSSRHHRDRDRERSSSRHHHREDRDDDRDRDRDRERRHREKERDREERKAREREEREKEKERERARRREERDREERSRRREAAAEEEEEDVDRDRKRRRRSSHHHHHHRDAEPEGPASGAREEEVVDVEEAERRRQKKKEEEQKQLDEEMETRRRRIKEWQEMKRREEETKRREQEEAGVGTSAAAAAAPAEAEDGGNAGKKWTLDGEESDEEGNQEDGKKSDDNGGSGAGAMDVDVPNGGDNANGANAMDEDEIDPLDAFMNSMVLPEVAKLESMPAANVDDKNDKSAKDAVTNGDKKGPKKVMGRIIQGEDSDSDYADDEDDEGGSEDEDDEEFMKRVKKTKAEKLAIVDHSKIDYQPFRKNFYIEVKDITKMAAEEVAAYRKQLELKVHGKDVPKPIKTWVQSGLTSKLLDTIKKLGFEKPMSIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPAVVPGDGPIGLIMAPTRELVVQIHSDIKKFSKALGINCVAIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEIQVGGRSVVNKDITQLVEVRPENERFFRLLELLGEWFDKGKILVFVHSQDKCDSLLKDLFQHGYPCLSLHGGKDQTDRESTLADFKSNVCSLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGFAVTFISEEEERYAPDLVKALELSEQAVPEDLKGLADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARKSAKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDLAAQAIAAAQAAAAMVAAKAASNANQQTQGTSVGPLLPLAIASNTQNNEATARALQAAFNIQQNLARIQAHAVPEHYEAELEINDFPQNARWKITHKETLGPIQEWTGAAITTRGTFFPQGKIVGANERKLYLFIEGPTELSVKKAKAELKRVLEDCANHALNLPGSAQTGKYSVI >ORUFI08G04040.1 pep chromosome:OR_W1943:8:3196286:3198769:-1 gene:ORUFI08G04040 transcript:ORUFI08G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEQAASISDRAAYLQPMCRKADGPARAFVRSPCLIQWANQAHHCADFRRKVAGRGGDMSAHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEVEKDADNISDCERLYKAFLQEINTFELPLLKSKAVVDANLREKESFNELQDEIQRQILQAQTDIEDLKKQLEQSKIERQHKEECEAIRKMISLQPPRSETEKLIADLEKEIANLEAENTACIRTLELRKKQFALLLHVVEELQISIDDEQRSIADELRAATEEQKMSIEEVSGGASDAMAVD >ORUFI08G04040.2 pep chromosome:OR_W1943:8:3196286:3197405:-1 gene:ORUFI08G04040 transcript:ORUFI08G04040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGRKVAGRGGDMSAHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEVEKDADNISDCERLYKAFLQEINTFELPLLKSKAVVDANLREKESFNELQDEIQRQILQAQTDIEDLKKQLEQSKIERQHKEECEAIRKMISLQPPRSETEKLIADLEKEIANLEAENTACIRTLELRKKQFALLLHVVEELQISIDDEQRSIADELRAATEEQKMSIEEVSGGASDAMAVD >ORUFI08G04050.1 pep chromosome:OR_W1943:8:3205572:3210119:1 gene:ORUFI08G04050 transcript:ORUFI08G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPSQPDLSLQIGLPTGAAAAAHGHGLNARFFAAAAGAGGHNPAMASSPPSSLQLPLPMPLPMAPAGAGGLQFYPDAAAAMLRPIRGVPLYHHHQQQHAAAAPFVGAAPLPHHPSSGGACYCEPCHVAGAWRRGGCGGGGARGVLPAKRAPRAPRMRWTSTLHARFVHAVELLGGHDRATPKSVLELMDMYRTVKNTERPAASSDQADGFENGSAGEICDENSLDLHGGCRPEAMSAAARHGRLAACNDHGSSTGAHGALWNSSSREDWSGFHESNTGTMQTLKDMQSKSLEIISDMNSCVSETTSSTSELNLEFTLGRPQNRPN >ORUFI08G04050.2 pep chromosome:OR_W1943:8:3205572:3210119:1 gene:ORUFI08G04050 transcript:ORUFI08G04050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPSQPDLSLQIGLPTGAAAAAHGHGLNARFFAAAAGAGGHNPAMASSPPSSLQLPLPMPLPMAPAGAGGLQFYPDAAAAMLRPIRGVPLYHHHQQQHAAAAPFVGAAPLPHHPSSGGACYCEPCHVAGAWRRGGCGGGGARGVLPAKRAPRAPRMRWTSTLHARFVHAVELLGGHDRATPKSVLELMDMYRTVKNTERPAASSDQADGFENGSAGEICDENSLDLHGGCRPEAMSAAARHGREDWSGFHESNTGTMQTLKDMQSKSLEIISDMNSCVSETTSSTSELNLEFTLGRPQNRPN >ORUFI08G04060.1 pep chromosome:OR_W1943:8:3219428:3224863:-1 gene:ORUFI08G04060 transcript:ORUFI08G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVAGGGGRRNNEGVNGNAAAPACVCGFPVCACAGAAAVASAASSADMDIVAAGQIGAVNDESWVAVDLSDSDDAPAAGVVQGALDDRPVFRTEKIKGVLLHPYRVLIFVRLIAFTLFVIWRIEHKNPDAMWLWVTSIAGEFWFGFSWLLDQLPKLNPINRVPDLAVLRRRFDHADGTSSLPGLDIFVTTADPIKEPILSTANSILSILAADYPVDRNTCYLSDDSGMLLTYEAMAEAAKFATLWVPFCRKHAIEPRGPESYFELKSHPYMGRAQEEFVNDRRRVRKEYDDFKARINGLEHDIKQRSDSYNAAAGVKDGEPRATWMADGSQWEGTWIEQSENHRKGDHAGIVLVLLNHPSHARQLGPPASADNPLDFSGVDVRLPMLVYVAREKRPGCNHQKKAGAMNALTRASAVLSNSPFILNLDCDHYINNSQALRAGICFMLGRDSDTVAFVQFPQRFEGVDPTDLYANHNRIFFDGTLRALDGLQGPIYVGTGCLFRRITLYGFEPPRINVGGPCFPRLGGMFAKNRYQKPGFEMTKPGAKPVAPPPAATVAKGKHGFLPMPKKAYGKSDAFADTIPRASHPSPYAAEAAVAADEAAIAEAVMVTAAAYEKKTGWGSDIGWVYGTVTEDVVTGYRMHIKGWRSRYCSIYPHAFIGTAPINLTERLFQVLRWSTGSLEIFFSRNNPLFGSTFLHPLQRVAYINITTYPFTALFLIFYTTVPALSFVTGHFIVQRPTTMFYVYLAIVLGTLLILAVLEVKWAGVTVFEWFRNGQFWMTASCSAYLAAVLQVVTKVVFRRDISFKLTSKLPAGDEKKDPYADLYVVRWTWLMITPIIIILVNIIGSAVAFAKVLDGEWTHWLKVAGGVFFNFWVLFHLYPFAKGILGKHGKTPVVVLVWWAFTFVITAVLYINIPHIHGPGRHGAASPSHGHHSAHGTKKYDFTYAWP >ORUFI08G04070.1 pep chromosome:OR_W1943:8:3250774:3251232:-1 gene:ORUFI08G04070 transcript:ORUFI08G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEVDLEEENAVDLDDDIVLGDGELVGDGDGLDLERVDVGDAVDDGDEHVHPAAERLVVLADQRSTTIAFFSGTVVVTPKFTGGVLGASHMRVEAAAVAELREWEKTRSWIAGPAEDRRRRKGIAMVATTAREDEGVSGRRNCRLVAFWKAW >ORUFI08G04080.1 pep chromosome:OR_W1943:8:3250807:3253747:1 gene:ORUFI08G04080 transcript:ORUFI08G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLVPVVDRIAYVHSLKIQAIPIPDQLAITKDNVVVQIDGVLFFKIVDPYLASCVVDDPNFEATQLALTTVKSEVEKTLYKIVKERDTLKEQIMFDYVQRRGGATPTGWIRRRLCNKVRDPVTPRHARGGSSGAETRTRWIWLRWIGEDRVKLVVSPQNRVKNVIAPFEKAEYVRETEAGAIT >ORUFI08G04090.1 pep chromosome:OR_W1943:8:3264041:3265611:-1 gene:ORUFI08G04090 transcript:ORUFI08G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLMRRLRLVAKAIRDSEMNTEDIRLKMRKRKEEISRRVSIEVARISTYKEKINKIPDKKSFTLQMDYINFGSILYASVIVTAYILERKDELARQKKL >ORUFI08G04100.1 pep chromosome:OR_W1943:8:3266015:3268735:1 gene:ORUFI08G04100 transcript:ORUFI08G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAISRAAARLPLLRLSSQALLPTQIARFRSEFVQPNKPTADGIKLAQAVCDTEMNLASILLVQKSLDEKLAQHKLLSNVSLFEDKEVSQRSEEHSKQLTVQDIQMKKDELLSDIRRVEILEGTLRSLQKTRFQSQDNNICAQRLKGSEDGFTLCYSPLDFVSSAGFFYVYYYYYM >ORUFI08G04110.1 pep chromosome:OR_W1943:8:3269613:3270442:-1 gene:ORUFI08G04110 transcript:ORUFI08G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGSGGPSSLSPAADPPAVAAASAAAAGGSGGGRRQAAPSAPSRLPPTADPATVAALAAAAGRSGGGGQVQRRRLPPFPHTAPSPSAAAAPLLLPVPPPPSHGSGRVRRRPLCRIQREGRLPLPHQRRRRSPSPSPSPSSSHGGGQGWHPPLRRIQQEGRQRRPSVPATTTTTVAPFLSGVGVFVLVMFLCYPGGEATAARRPCDNDDGTLPFRIL >ORUFI08G04120.1 pep chromosome:OR_W1943:8:3272021:3277511:-1 gene:ORUFI08G04120 transcript:ORUFI08G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGCTVSSLAARFAFFPPEPATYAVRKDEACGGGGRLVASGVPRDAAVDVLLVDTRKGSKVVAFYLRNPAARLTVLYSHGNAADLGQLYDLFVQLKVNLKVNLMGYDYSGYGASTGKPSEENTYADIEAVYQCLETEYGISQEDLILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTFCFDIYKNVKKIKKVKSPVLVIHGTDDDVVNWSHGNELWKLAREPYDPLWIKGGGHCNLELYPDFIRHLSKFIREMENITTKTRLKKIRQSLQPAPKKVHHRASTGTTTTFTTNCCCRIRLQGMLQVPNLLLLLLQLLQELPQVPNLQMLLLRFMQR >ORUFI08G04130.1 pep chromosome:OR_W1943:8:3290339:3293360:1 gene:ORUFI08G04130 transcript:ORUFI08G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNPNPLDEENVNPTASGAAMAPGPEKKKSWMPAGLGGSGKLGATIDIPLEDPRKKEKELLAWEEDLRRRELDIKQRENAMDRAGVTVEVKNWPPFYPIIHHDIASEIPIHAQKLQYMAFGSWLGLIACLVWNVVSVLIESIHSDDVVLFLFAIIYAIFGCPLSYILWYRPLYSAMRTDSMVTFVQFFVFYSIHVGFCVIAAVTPPIIFKGKTLTGILVAIEVLTGDMFVGVLYLIGFTFFTLESIISIWVLERVYMHFRGHR >ORUFI08G04130.2 pep chromosome:OR_W1943:8:3287718:3290358:1 gene:ORUFI08G04130 transcript:ORUFI08G04130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNAVPKYDQPNQLCKWALLGRAYFERSPKSITKRKKKLRLFPPFSRNPSTLVQTAPPPPSAMATAARRLLLPALRKSLPAANGAARGVSTERAVGAAAVVGSHTAKWMQDTSKKSPMELINAVPPIKVEGRIAACDGRQDKGRETGSLGHPIEYICLDLDQPAVCKYCGLRFVQDHHH >ORUFI08G04140.1 pep chromosome:OR_W1943:8:3293971:3295696:-1 gene:ORUFI08G04140 transcript:ORUFI08G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLKDADAQEKAAPPPTEWYDPLSSPGRPVRVYADGIYDLFHFGHARALEQAKKSFPNTYLLVGCCSDEITNLYKGKTVIPDAPWVINQEFLDKHRIDYVAHDALPYADTSGAANDVYEFVKAVGKFKETKRTEGVSTSDIIMRILKDYNQYIMRNLTRGYSRKDLGVSYVKEKQLRVNMGISKLREKVKEHQEKFHSAAKIAGSNPVEWMENADRWIVGFLEKFEEGCHMMETAIKDRIQEGLKRQSRSDPNLSGEDSDS >ORUFI08G04150.1 pep chromosome:OR_W1943:8:3297208:3298840:-1 gene:ORUFI08G04150 transcript:ORUFI08G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNVLKVNRNATEEDLKKSYRRMAMKWHPDKNPGDKKKEAEAKFKKISEAYEVLSDPQKRAIYDKYGEEGLKASVDAGASSSMNGNRRFNPRNAEDVFAEFFGSSKPFEGMGRAKSMRFQTEGAGTFGGFGGGNENKFRSYNDSAGTSSSQPRKPPAVETKLPCTLEELYAGSTRKMKISRNVVRPTGQIGTESEILTIDIKPGWKKGTKITFPDKGNEQPNQLPADLVFVIDEKPHDLYTREGNDLLVHQKIELVDALAGTTVNLKTLDGRDLVIKLTDVVTPGYELAITKEGMPIVKENGRRGNLRIKFDIVFPKRLSSDQRQNIRKVLGGQTQQQ >ORUFI08G04160.1 pep chromosome:OR_W1943:8:3305091:3309828:1 gene:ORUFI08G04160 transcript:ORUFI08G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVRMGAAVLGVAVVVLACVLGARADGSDHRYKDGDYVPLYANKVGPFHNPSETYRYYDLPFCAPDHPKDKREALGEVLNGDRLVDAPYELNFKEDRNSKVLCQKSLSKVEVAKLRDAVAKDYYFQMYYDDLPLWGFLGKLDKDKEQGNAKYLLFKHIHFDIMYNGDRVIEINVQTDPNVAVDITEDKEVQVEFSYSVTWKKTDIPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDESLEDQEETGWKYIHGDVFRFPQQKSLFAAIVGSGTQLLALAIFIFLLAIVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLILTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIIVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRSTKYPREIPQLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHEWWWRSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLLFVRHIYRSIKCE >ORUFI08G04170.1 pep chromosome:OR_W1943:8:3310088:3312805:1 gene:ORUFI08G04170 transcript:ORUFI08G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPAFTGNLKERRLKDQMEKDPTEVIRKAVMRMLPRNRLRDDRDRKLRIFSGSEHPFHDRPLEPFAMPPRQVREMRPQARRALIRAQKKEQDRAAASTKDDKDEEEDKGKKMLEVKGKARKKATLGDRKERLIFNKHGIA >ORUFI08G04180.1 pep chromosome:OR_W1943:8:3340893:3349692:1 gene:ORUFI08G04180 transcript:ORUFI08G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESAFYFNMKHFEDLVQGGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFRDKLNFPPFKVSRLRTLINQRDVICMNNNVNIQIGNAPLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPANGPLVGPIPKSAAFPPMGAHAPFQPVVSPSPNAIAGWMTNANPSLPHAAVAQGPPGLVQPPNTAAFLKHPRTPTSAPAIDYQSADSEHLMKRMRVGQPDEVSFSGASHPANIYTQDDLPKQVVRNLNQGSNVMSLDFHPVQQTILLVGTNVGDIGIWEVGSRERIAHKTFKVWDISSCTLPLQAALMKDAAISVNRCLWSPDGSILGVAFSKHIVQTYAFVLNGELRQQAEIDAHIGGVNDIAFSHPNKTLSIITCGDDKLIKVWDAQTGQKQYTFEGHEAPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYNGFRKRSLGVVQFDTTRNRFLAAGDEFVVKFWDMDNTNILTTTDCDGGLPASPRLRFNREGSLLAVTANENGIKILANTDGQRLLRMLESRAYEGSRGPPQQINTKPPIVNTLGSVSNVSSPMAVNSERPDRALPTVSMSGLAPIDVSRTPDVKPRITDESEKVKTWKLADIGDSGHLRALRMPDTSATSSKVVRLLYTNNGVALLALGSNAVHKLWKWQRTDRNPNGKSTASFTPQMWQPANGILMANDTSDGNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKSKLKGHSKKITGLAFSQSMNMLVSSGADAQLCAWSIDGWEKKKSRYIQSPANRSGALVGDTRVQFHNDQTHILVVHESQLAIYDAKLECLRSWSPREALPAPISSAIYSCDGLLIYAGFCDGAIGVFEAESLRLRCRIAPSAYIPPSMSSGGSVYPMVVAAHPLEPNQIAVGMSDGAVHVVEPLDSDPKWGVAPPQDNGTHPTISAAPAAANKPEV >ORUFI08G04190.1 pep chromosome:OR_W1943:8:3350919:3354068:1 gene:ORUFI08G04190 transcript:ORUFI08G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAGDVNSTWTLAIRAAADQGRPRRAVALYLSSLRSGRRPCPFALAAVLKSVSRLLLPAAHPLLAAAAASIHAHLLRLGLLAHPYPRAALAHLYARLPDPSRAHSLLDETPPRPPRGRAGAHSFLVSRNSLLASLLRSGDLAAARALFDRMPVRDVVSWNSMVAGLAKAGHLDAAIELFDKMPERNAASWNAVMCGYIAQGDLAQARELFEQMPVRSNVSWITMISGYANSGDVHAAGELFERMENKKDLYAWNAMIACYAKNGCAREALAVFNRMLKPHVWVMPNEKTFSSVISACSQLGDLRFGLWAESFMGSVGIELDDHLRTALVDLHTKSGRIDRAFDLFRGLGMRDVE >ORUFI08G04200.1 pep chromosome:OR_W1943:8:3355607:3357717:1 gene:ORUFI08G04200 transcript:ORUFI08G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALLRRRRPPIPSAAVAVAVATFFASSGGARIALPPPPPRPAADEAEREGSLAQRVERSASVCAAIRGWMGDGRAVHRGHVFHAVNRLRRRRLHRAALQVMEWIMRERPYKLSELDYSYLLEFTAKVHGISEAESLFLRIPQEYQNELLYNNLVMACLDLGLIKLAYGYKRKMRELSLPISPYVYNRLIILHSSPGRQKTISKILAQMKGDRVTPHTSTYNILLKIKANEHNIDGVARVFNDMKRAKVEPNEITYGILAIAHAVARLYTVSHTYVEAIENSMTGTNWSTLEILLILYGYHGKAKELKMTWDLMQGLPHIRPKSFILAIEAFGKVGSIDQAEEIWGKFESTRKPKLTEQFNSILSVYCRHGLVDKASAVFKEMRANGCQPNAITYRHLTLGCLKAGIVKEALKTMDIAKKEVVTKKVKSSTPWLETTHMILESFAENGDLVNAKRVFDELNESKYCRNSFVYNTLLKAHVKAKVYEPDLLRAMILRGAMPDAETYSLLGLIEQFKT >ORUFI08G04210.1 pep chromosome:OR_W1943:8:3355957:3361094:-1 gene:ORUFI08G04210 transcript:ORUFI08G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSAAAAAGGGERRGPMDLNLYLGLPPLPPPPGRLDVAADYPSLLLNSAATVANEQRGSVVVAAPPPAAAAYSPSNALSAPEQVLVDPVAAWLVDPGEQQPVPPLETPSYMARASSTLPQIFACAALEMLVQTGGAIPPTGLIRGAEIAAASRPMTPENRLRRLIQVSDQHGVGNGRAGTGPVSRSRRANSPEADNLARAIQRSHNSLEASRRQMLDGDGSDATKKDDGCGCNGSFECNICFESAKDPVVTPCGHLFCWPCIYQWLHGHSEHSDCPVCKGEVLEVNVTPIYGRGGGEENSSRNDIQIPPRPSAQRTESLRQQLQRPDTRGGIANMVRRLMQNQDIVAGQAASSAPRPRGRGRRQARQDAPSAPATQQQVVNADAGSGNQAPLPPPDANGAAPAAAVAPQQSSSVEQASTSSTVGVIAGGPAQGRRSRVSESTPARRTRRRHQ >ORUFI08G04220.1 pep chromosome:OR_W1943:8:3361480:3363846:-1 gene:ORUFI08G04220 transcript:ORUFI08G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAIGGGPCLEVVVAAGIYFQRGFDRFDDDKHNKGNGLCRGLIKGEGHLSFLSKSLDMSSLLPK >ORUFI08G04230.1 pep chromosome:OR_W1943:8:3364198:3366920:1 gene:ORUFI08G04230 transcript:ORUFI08G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPAAAAGRYGNLERSFKLAARSVLTACSREDVKRAFPSFTDAERERLYQMFIYVIKSLHSNIEVAAALEKIDQFVEEQKLDVLSSDKTNIEDTKQMISKAKKDEVEYLKSLIEEVEEKNNAMKARIELLKKDDDLAAGKQVLEKLMQCNSALYNGL >ORUFI08G04240.1 pep chromosome:OR_W1943:8:3367719:3371085:1 gene:ORUFI08G04240 transcript:ORUFI08G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLSSAPAPSKHCSIVRAQHSPPPSILSSSTKTAFHGLSLVDRRWAASVGGGSGRRRRVLQVNARTAGAAKNIEVEVDKPLGLTLGQKTGGGVVITAVDSGGNAARAGLKSGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGAQVDVKRLPKRPAPPRFGRKLTESQKARATHICLDCGYIYFLPKPFEEQPDEYGCPQCNAPKKRFAKYDAETGRAIGGALPPITVIVSLIIGIAGVGALLVYGLQ >ORUFI08G04240.2 pep chromosome:OR_W1943:8:3367719:3371085:1 gene:ORUFI08G04240 transcript:ORUFI08G04240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLSSAPAPSKHCSIVRAQHSPPPSILSSSTKTAFHGLSLVDRRWAASVGGGSGRRRRVLQVNARTAGAAKNIEAVDSGGNAARAGLKSGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGAQVDVKRLPKRPAPPRFGRKLTESQKARATHICLDCGYIYFLPKPFEEQPDEYGCPQCNAPKKRFAKYDAETGRAIGGALPPITVIVSLIIGIAGVGALLVYGLQ >ORUFI08G04240.3 pep chromosome:OR_W1943:8:3370950:3372134:1 gene:ORUFI08G04240 transcript:ORUFI08G04240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYRGTSPAWGLGRRGGGGGPSPVVPLLIVVALVWVNYNETLTEWYDKAANLPGTVADNAVTLVAAGGLLLLAAVLLSRRSEVVVVPVAIVLSVMLLQNIMAFLVLLLVVAYFAGIYYYPADAAKYGVTAGGEWCGGGGFWSGLGFYMLLLLCLVLCAMFADEGVRWWIPGTLLAASLLCLNLFSAAASSRSCFTLVM >ORUFI08G04250.1 pep chromosome:OR_W1943:8:3372080:3373927:-1 gene:ORUFI08G04250 transcript:ORUFI08G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEDFEQYAEKAKTLPESTSNENKLILYGLYKQATVGDVNTARPGIFAQRDRAKWDAWKAVEGKSKEEAMSDYITKVKQLLEEAAAAAS >ORUFI08G04260.1 pep chromosome:OR_W1943:8:3376135:3377917:-1 gene:ORUFI08G04260 transcript:ORUFI08G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVMLAHTNASCEFSIILDARLPPLPHYRRNPTRTTRDHWNFRGPPIVQCQTLKYNMKSRAGRGFILEVLKVMLVIPLPRSLPLPPRSRVINYMPIARGEKRSVEAVKVTDEMKAFKA >ORUFI08G04270.1 pep chromosome:OR_W1943:8:3384474:3387871:-1 gene:ORUFI08G04270 transcript:ORUFI08G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFSFPPLTPEQIAEALHTYGLAPTANLRAEDIANPQPDLLPAVISNFLATVVDPTGADDLDGQLGFDALASLDNPEHYMEGIRVLRLHKRASAFLESIQFPGFTLRDLLRPDPRRLVQVLSALINFLYYRDDKLALLQPIIHEFPNLDERCMELKAKLAEHQKAIADHELAAQMEEPMVQQIEAEVNSLKQKLVEYNKKQLALRANATAINDKKEETHRKVIAKNDFELVKLAQENSKLLSKIVESPEKLQRALEEKKTARAELKNAEKIATQSVQEKTATLEIYSKGYEKLSKHSTKIQALQEQVTATKALEKEVKARKTKISDESVEIMALDTKIIEWDGKVHEMEEHVKAKEKKKDQIVADENQKLAALSSEVDLKLNKLNGNSSVLNLATKLCAEVDSTRTDAAEELQLIYAKFQQIGHAFTCYKDNFKSFLEQVDEVSKETLESLDRQAVEPLDTSATL >ORUFI08G04280.1 pep chromosome:OR_W1943:8:3388511:3389374:1 gene:ORUFI08G04280 transcript:ORUFI08G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIEGQLDDESIIPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYHSSFQKIVSLKEEAHLNTCFKHFILFTTEFGLIDKKELAPLQELIESIIPY >ORUFI08G04290.1 pep chromosome:OR_W1943:8:3389999:3390454:-1 gene:ORUFI08G04290 transcript:ORUFI08G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGDVRSFFRQQKAHAAGGVKPTGGVSKKAALPRHHHKPASQGAFLLPVSPAPETVRSIASPLIRLFGFFAATPDRAVDDARRHVEEAEEEEGRERMAREFDMDMRYGPCLGLTRAQRWRRAAALGLAPPPAVIAVCSDDQPCLWEGRV >ORUFI08G04300.1 pep chromosome:OR_W1943:8:3393129:3393688:-1 gene:ORUFI08G04300 transcript:ORUFI08G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTHAPRAPRKQVVGHTDTHSLARAGFTAASDGGGVTAGEVVVVVGVGGGGEGGRPLVGARYCGATLFVATRTGKPPPRDPLNPGARATSDRGR >ORUFI08G04310.1 pep chromosome:OR_W1943:8:3393610:3397266:1 gene:ORUFI08G04310 transcript:ORUFI08G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPAVIGLSAGNRLLSASFGPTDLMPDKVSLGVGGGGGGGGGGGGGDAMSFAPPAPATPKLTAVAAHRLKLSPHGRAQVMRALRHHSSAAAALAPPPPPPPPPTPSPASRAAHAHDLESSLEAIVLLQRSMLEKQWELPFDDDNHAMAIGLAEDDDDTSKATVVVARSSVSARQRRMSGRRRGRTKNGAAHFAVSPELIQSRNRIYLRGTVSKELLTHKQVVHLSHKIKDGIWLQQQRSKLKEKLGNEPSYKQLAHSLKISPPELRSRMHESFLAREMLTMSNLRLVISIAQKYDNLGVELADLIQGGLIGLLRGIEKFDASRGFRISTYVYWWIRQGVSRALAENSKTFRLPTYLHERLIAIRGAKYELEDQGIAPTIENIAGSLNISEKKVLNATEAVNKVLSLDQQAFPSLNGLPGETLHSYIEDQNVANDPWHGFEEWYLKEEVNKLLNSTLNERERDIIRLYHGIGKQCHTWEDISRQFGLSRERVRQVGLIAMEKLKHAARRKNLEALLEDY >ORUFI08G04320.1 pep chromosome:OR_W1943:8:3401012:3406824:1 gene:ORUFI08G04320 transcript:ORUFI08G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQIFVRLSVGQLGLKLPGANARKAARSFHCEIRLRGFPVQIAPVPLINYSEFNLDPHTNAAVFSLDESELKALSAPGCFGAHGSYLEVAVYVGRRGGHCGIVTGMKRLVGVVRMDIGPEWRDGKPVMLHHGWVGIGNGEAKPELHLRVKMEADPRYIFEFDDEVALNPQVVQLHGRNRQPIFSCKFIRDRRGSHSDQLYWSSSGGEEKEAEMMRRRERKGWKVVIHDLSGSAVAAAFMATPFVAASGCDTVARSNPGAWLIARAGATAPGSTSSSAAVESWQPWGRLEAWRDQGGAARQDTVCLRLRLLPDGQDACMLVAETPLRSDRGGEFAIDMDRQAPALAAGAEHCAASLGEACAGGGFVMSCRVEGESRSSRPLVQLAMRHVTCMEDAAMFVALAAAVDLSVKACRPFRRKTTATKKTASASSSPDPLELDT >ORUFI08G04320.2 pep chromosome:OR_W1943:8:3401012:3406824:1 gene:ORUFI08G04320 transcript:ORUFI08G04320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQIFVRLSVGQLGLKLPGANARKAARSFHCEIRLRGFPVQIAPVPLINYSEFNLDPHTNAAVFSLDESELKALSAPGCFGAHGSYLEVAVYVGRRGGHCGIVTGMKRLVGVVRMDIGPEWRDGKPVMLHHGWVGIGNGEAKPELHLRVKMEADPRYIFEFDDEVALNPQVVQLHGRNRQPIFSCKFIRDRRGSHSDQLYWSSSGGEEKEAEMMRRRERKGWKVVIHDLSGSAVAAAFMATPFVAASGCDTVARSNPGAWLIARAGATAPGSTSSSAAVESWQPWGRLEAWRDQGGAARQDTVCLRLRLLPDGQDACMLVAETPLRSDRGGEFAIDMDRQAPALAAGAEHCAASLGEACAGGGFVMSCRVEGESRSSRPLVQLAMRHVTCMEDAAMFVALAAAVDLSVKACRPFRRKTTATKKTASASSSPDPLELDT >ORUFI08G04320.3 pep chromosome:OR_W1943:8:3401208:3406824:1 gene:ORUFI08G04320 transcript:ORUFI08G04320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQIFVRLSVGQLGLKLPGANARKAARSFHCEIRLRGFPVQIAPVPLINYSEFNLDPHTNAAVFSLDESELKALSAPGCFGAHGSYLEVAVYVGRRGGHCGIVTGMKRLVGVVRMDIGPEWRDGKPVMLHHGWVGIGNGEAKPELHLRVKMEADPRYIFEFDDEVALNPQVVQLHGRNRQPIFSCKFIRDRRGSHSDQLYWSSSGGEEKEAEMMRRRERKGWKVVIHDLSGSAVAAAFMATPFVAASGCDTVARSNPGAWLIARAGATAPGSTSSSAAVESWQPWGRLEAWRDQGGAARQDTVCLRLRLLPDGQDACMLVAETPLRSDRGGEFAIDMDRQAPALAAGAEHCAASLGEACAGGGFVMSCRVEGESRSSRPLVQLAMRHVTCMEDAAMFVALAAAVDLSVKACRPFRRKTTATKKTASASSSPDPLELDT >ORUFI08G04330.1 pep chromosome:OR_W1943:8:3407705:3410662:1 gene:ORUFI08G04330 transcript:ORUFI08G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQDVESVRLRSRVDGSVGRYLLASEDDQRRGRGRRRRPPPGPRAPPRRLRPLSRCPRRHQPLLGAPFCFWPAAGQRDFDREEVRSTPSCGVPTTARYLRVNERWLPCLAGVAAFNDGDLNIPMQWEVLPVPTTLFRRDIPAMGHRLEYLPRSWETLHVVLVKSNTAVETADRADHTSLASPRHATPPKFHRVLDPLADEELINGGAAAVADDDDDPTGVRRVRTPRGKQLPAELRQPKRFWYARLMFGMERILGFSEAEKQGIRPNGSTVISMAAVVYLADARVEDPILGAYGRILKLQQQLDRLKAERARQHHPATSSPAPADDVALQPEPFHQPPAQPSTPPALAIPDEEEEEDNDDDEDEDEEEGQEDGEGHAPADDDVPCAKRRKHN >ORUFI08G04330.2 pep chromosome:OR_W1943:8:3407705:3409341:1 gene:ORUFI08G04330 transcript:ORUFI08G04330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQDVESVRLRSRVDGSVGRYLLASEDDQRRGRGRRRRPPPGPRAPPRRLRPLSRCPRRHQPLLGAPFCFWPAAGQRDFDREEVRSTPSCGVPTTARYLRVNERWLPCLAGVAAFNDGDLNIPMQWEVLPVPTTLFRRDIPAMGHRLEYLPRSWETLHVVLVKSNTAGPSTEGSSAY >ORUFI08G04340.1 pep chromosome:OR_W1943:8:3411652:3411954:-1 gene:ORUFI08G04340 transcript:ORUFI08G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNAMKFLRVGIKFKFFNLNLPKFIFFAITHLSKFKAANNHVSSKPQFGGGDLVAFCVLSTQLISIAYPPKMVELERKIGEDQYDSFI >ORUFI08G04350.1 pep chromosome:OR_W1943:8:3414081:3425592:-1 gene:ORUFI08G04350 transcript:ORUFI08G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSWEWYRSTAAAGTGAGALLEQRRASARSATVPFLPMRSREPAEPMEWTSCAKQRRDSENYAWSTPARAACHAPAAISDSIKEFLIRSLSFSSLRGMEPSVISRQCINSTRIRKIAAKTAEATSAVKKNCETIKTESINKLTEEALRIWKSGSYLHADEDGRSVYIGSLPRAGGDSRHGTMWAVEPPIDAAAPLPQYARLRAAYGRYLGTPDSYGSPLPFLPVDAAQRDRDRVEMDAIMWQPVACSGSDVVGGRDARGVVLLRDRYGRYLRGSNNLLAPRRSVPVKPYVVNEHMFRWEVVRVPLSEARPELPIAAQSGFVAACFPPLLRVIEFVGEDDLDNIGEGEIWTTVETRGRSVRLLKEKIAKLVGYEDFTMCVSAGRHGQFTPLLMDANFEGEDTLLWEVVRVPPSGDMPGLPIATQPGFFVRACFPQPLREIQFVDEADLDNTSEGEIWTTVEIRGRSVRLLREKIAELVGYDDFTMCVSAGRHGQFTPLLIDLPRSRETLNIVLLRTNSESYDQLIFPNPNALPSAEATDEDDPTIE >ORUFI08G04360.1 pep chromosome:OR_W1943:8:3428364:3429659:-1 gene:ORUFI08G04360 transcript:ORUFI08G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETAVADWSALPEDIIITVMGCLSVLGDLVRSGAVCSTWRDAYATFRRLHLPSTTAQPPWLLYACDAHGPAAAALYCPATGKSLRVPLPAALLDGRPVIGASQGWLVTVDEAPNLHLVLVNPITGATAALPPITTLHNVERFTSKKGKTRYRVYDDMGYSEASLEYSPAQAREWVYHQVVLSRSPAEGSACVALLLHRPDGYVSFARLGDERWTPVAYPGQDCSTGCRHAIYDDADGLFYTLRYDGSVYAIDVPRAAAASSPPATREVMRSVTNADNGSKYLVRVPCSGDLLQVWRFVDYDDGDEVEEDEDAEDLPLGTKHLQIFKVDGGEQKLVEASAASLEDHVLFLGHGFSACFPAEHFPALKPGCAYLADDHELVSVSKHCRRDICRWDMKRGQMERLSGEDDVAAPSQPWLNWPSPVWITPTFY >ORUFI08G04370.1 pep chromosome:OR_W1943:8:3432107:3434381:1 gene:ORUFI08G04370 transcript:ORUFI08G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQGVQFARLRNWWEETYVTADEDGRSVYHYAPDRHRPAHEAIWAVQMVLAGAPPTQYVLLRGAYGRYLGAPDAVVRRWPLSCCWPAPVVGQRDFDQPEVDAIMWRAVRRADHVLCLHDKSGRYLRGKLGTLVLGDRPRLTVGDGRLNDDEKALRWEVLPVLPNPGRPELPISIVPEADLVGRLVKACFLPLQREIQFVEADDDGNIGEGQEVWDSFQYEGRSVQLLRNELEDRVGYAITVCVRAGRHGQHSPLLINLPHSRETLHIVVLRRNSEADNQLTFPDPKASSRRRYRHRRRAIIQ >ORUFI08G04380.1 pep chromosome:OR_W1943:8:3435355:3444861:1 gene:ORUFI08G04380 transcript:ORUFI08G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRLASLAVSPSSPRHATPRRATPRHAEKPRSSKPLAAARASPAHHPRRAAMQVFHGAQFVRLRNLWEETYITADEDGRSVYHYDPGRRPSHEAIWAVQLAVAGEPPTQYVLLRGAYGRYLGAPDAVERRWPLSCCCPAPVVGQRDFDQPVVDAIMWRAVRRTGHVVCLHDKSGRYLRGKLMSTLVCGGRPSLTVGDGRLSDDEKELRWEVRPVLPSPGRPELPIATEADLAELFVKICFPPRRREIQFVAPDGDGNIVWDSFQYQGRSVQLLRNELENRVGYAITMCVRAGRHGRLTPLLINLPHSRETLHIVALRRNSEVRIDDKNVAPKFYVATVPTGPPVIDETATCASVLLLATLSNPPPPNSSTASCLSRLPPHATPRHASPPRARRHLLCGGHRRRDRSILFLPARPRDATRRTVSPPRVRLSDQIMESSYHSIHARRPSMEVFQGVEFVALRVWCCNSYLHADENGRSVYHGNLRGGSGGGSLHNAVWAVEEVVAGVPPTRYVLLRGAYGRYLGSPDAPDREREGCCSLEAAQRDRDVLDVGAIMWRAVGCSGPDLARGCVVLLHDKSGRYLRGNQTFLARRPGVSVHSDVDNETSLRWEVVRVTPSQVRPELPIATECNLTKNLVAACFPPLRRQIQFVTAGAGAAGNIDVFTGKSVQLLREKLAGILGYDEFTLCVRAGIHGRLTPLLIDLPRSRETLNSLLFPNLNALLLLASVTSHISGSSSSDRGYAVSGSNGLRLPLITLRPNETAPQSSTPHNAYTLPLTDNGTAATAKADDEIWNKRQIGYVYILSTSLAVLFLARPFLPAGYDGWMLAAFASVWGLGNVCLPCGMFGERICKSLSRHVGHILYMTFSALVIYGIYLLAVHADPTHSASVPALALPSLGLTWEGVFGLIGVLVSFGHLFFWVKCCYTGVDRDREA >ORUFI08G04390.1 pep chromosome:OR_W1943:8:3446565:3448920:1 gene:ORUFI08G04390 transcript:ORUFI08G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKEEEEEKAAAAEGPDLLCSLLEQGRLASPARPPAAQPSDHPMIERDIWNPTGAPAGIRALVWFANTVALVLLAFFVVPLVPRCNSIEGVFAIVFCLVILLGIPVMGHCTLKEIHEEMKAREGGR >ORUFI08G04400.1 pep chromosome:OR_W1943:8:3451298:3452547:1 gene:ORUFI08G04400 transcript:ORUFI08G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETAVADWSALPDDVVITVMGYLADPDDLVRSGAVCSTWRAAYATFRRLRLPSTTARPPWLLYSCDAYGPAAAALYCPATGKSLRVPLPAALLDGRPVFGASQGWLVTVDEAPNLHLVLVNPLTGAMATLPPITSLHNVERFTSRKGKTRYRVYDDMAYNEASLVYSPAQAREWAYHQVVLSCSPAEGSACVALLLHRPDGDERWTPVAYPGQACSTACRHAIYDDADGLFYTLRFDGSIYAIDVPRAASASSSPPPPPATREVWRFDYADGDDVEDDEAGLFTRQLQILKVDGGEQKLVEASAASLEDHVLFLGYEFSACFPAEHFPALKPGCAYLADDHELVSMRKHCRRDIGRWDMKRGQMERLSGEDDVAAPSQPWLNWPTPV >ORUFI08G04410.1 pep chromosome:OR_W1943:8:3454373:3459580:1 gene:ORUFI08G04410 transcript:ORUFI08G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSNVLARQPMMEVFQEVEFAALRIWKSGSYLHADEDGRSVYVGSLPRDGGGDSRHCAVWAVEPPIDAAAPLPQYVRLRGAYGRYLGAPDSYGSPLPFLPVDAAQRDRDRVEMDAIIWQPVACSGSDVVGGRDARGVVLLRDRSILSGLVAACFPPLLRVIEFVGEDDLDNIGEGEIWTLVETRGRSVRLLKEKIAKLVGYDDFTMCVSAGRHGQFTPLLIDLRRSRETLNIVLLRTNSEVPKLKNNRAKD >ORUFI08G04420.1 pep chromosome:OR_W1943:8:3461949:3478640:1 gene:ORUFI08G04420 transcript:ORUFI08G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRFVYLVLGEFGRRRSNYTLRNIDMERFFLPRPTPVPSVASAADAVEYASLPCPAMTFYPPFSKLFANQKMEFLLLGGNHSNAVVAVDQTCRTVLYDPGEHAVRTMPALPYQVRLPTTSVTVGDDLYILDMPRHEDDDDDGDGCFYGLIYEDGLNEDWRCRALPPPPPPLSDLHVHSYAVVGDTEIWMSTHGGGIYCFDTVSHAWSTVATGWTLPFTGLAEYCHEHGLWFGFSRSNRDMSRNFVLSALDLDGGSKLPVLHRLPLEFTPPDALNLESSYLVNLGHGKFCIARFFHTDEDHRDGEELFAVLTAVEVERCDDDDDAGGANGGGLRMLKHRSEMYKLTSEMMYWVL >ORUFI08G04430.1 pep chromosome:OR_W1943:8:3480489:3480812:-1 gene:ORUFI08G04430 transcript:ORUFI08G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRHRLVEDEVELKLYIRRRLVELEFLIPKQLEFHRRARTWRRWSYGAPGAGRRGRPLSSCSPAVGTEGKAGDVLELRRRNESPAPRPPISCSAPPLLSVWEEEG >ORUFI08G04440.1 pep chromosome:OR_W1943:8:3483807:3485082:1 gene:ORUFI08G04440 transcript:ORUFI08G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEGGRRGVEDAAIVFLADLGLSAADIATTLDAVGKPATCGGGVAGEPQRYPFHHYCSSTLELECIAARGCCCCSSPTCSDLVAASSGNQPRMGASSPASPNAILSRTAAVASAMMHSSSSVELQQFLADLLVTAPPPARGRSALPSTLTGEALSAPSSMSLSAARFLPELEKKGICNNRANGGIGSCCDGVA >ORUFI08G04450.1 pep chromosome:OR_W1943:8:3493036:3493877:-1 gene:ORUFI08G04450 transcript:ORUFI08G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGIRILSKKFTPLLCRSSVARTGMALAKTNHTSTPFVNSLNGAKIPFSSSSIIKDSHSFRQSWHPRTPEPNTDEEFTIQWRMRFLANFLFLVYASGFIAHKRRN >ORUFI08G04460.1 pep chromosome:OR_W1943:8:3494203:3497519:1 gene:ORUFI08G04460 transcript:ORUFI08G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVKLIDIAVNFTDGMFRGIYHGKQCHAADIPAVIARAWAAGVDRIIVTGGSLKESREVLEIAETDGELSCLDAGCFDYVMLGAFKVFVVMCPRSCDVLGRCREAVLHGGRAPDEMWGRGGLCIIPVSLLASEIGTCLSRLVYVISALLNARSLRRVEIRKGISRRCWLWRRKESRKARQVLEVVAGCKGISDIEGLSKTLYHNTCRFFFPQDLDASADAQLESGAAIQNS >ORUFI08G04460.2 pep chromosome:OR_W1943:8:3494357:3497519:1 gene:ORUFI08G04460 transcript:ORUFI08G04460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGIYHGKQCHAADIPAVIARAWAAGVDRIIVTGGSLKESREVLEIAETDGELSCLDAGCFDYVMLGAFKVFVVMCPRSCDVLGRCREAVLHGGRAPDEMWGRGGLCIIPVSLLASEIGTCLSRLVYVISALLNARSLRRVEIRKGISRRCWLWRRKESRKARQVLEVVAGCKGISDIEGLSKTLYHNTCRFFFPQDLDASADAQLESGAAIQNS >ORUFI08G04470.1 pep chromosome:OR_W1943:8:3496351:3499007:-1 gene:ORUFI08G04470 transcript:ORUFI08G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPLSSSSPRHATPRHRAHAATPCAAAIAVARDRSISSSPRDATRRLATARSSLRPDHGVLVLLHPRAAAVDGCNSYLHADENGRSVYHGNLRGGGGASLHNAVWAVEEVVAGGHPTRYVLLRGAYGRYLGSPDSPKAPTTSATAAARSRQHSATATATATCWIRSSGRYLCGNQTFLARRPGVSVDGDVDNETTLRWEVVRVTPSQGRPELPIATEVHHVQICNIKGREGDDL >ORUFI08G04480.1 pep chromosome:OR_W1943:8:3503761:3513330:1 gene:ORUFI08G04480 transcript:ORUFI08G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRGTSRGHRVGMEVFDDVAFARLRSHMYGSYLHADEDGRSVYHGSLRGGASQHNAVWAVEEFLVEGEDEEVEEEAPVRYLLLRSAYGRYLGATDAAPFEAARNAAARDAAANSDVRQPHAREGCCGGCCCCRLPFGLVEATQRDRDEEEPAVDAIMWLATRCGSEDVQEDRDARGVVLLRDRSGRYLRCNKSILACRRSISVDANFEDEDTLLWEVVRVPPSEDMPELPIATQPGFFVRVCFPQPLREIQFVDEADLDNISEGENWATVQIRGRSVRLLREKIAELVGYDDFTMCVSAGRHGQFTPLLIDLPRRRETLQIVLPGFFVRACFPPPLREIQFVDEADLDNISEGENWATVEIRGRSVRLLREKIAKHVGYDDFTMCVSAGRHGQFTPLLIDLPRHRETLQIVLVRPNTESYDQLIFPNPNALPSAEATDEDDPTIENFDGAACMVVMQQ >ORUFI08G04500.1 pep chromosome:OR_W1943:8:3522605:3526301:-1 gene:ORUFI08G04500 transcript:ORUFI08G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPRATTSTADAQRFPPFTSRRSATGLRRRPITAITPHRHRCPTRDRQPRWLDAQRFPNNLDATLAAQRSSAAIWTGTMNSV >ORUFI08G04510.1 pep chromosome:OR_W1943:8:3557668:3562831:1 gene:ORUFI08G04510 transcript:ORUFI08G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQELHGDDHGRHHHHQTPAGGGGGGAGDGHHRDFSSPPSTTSSSSSSSSSSSTNSPTATAASSSSTNTSGAAIVHPTTSSHPSVASGHHSAANSYPLVLKFEEVVYKVKIGKPAAGWCARMSSAIGGGGEGRRKKGAAAVAKEKTIISGMSGVVRPGEMLAMLGPSGSGKTTLLTALGGRHGGGGGGGRGMLSGKITYNGQPFSGAVKRRTGFVTQHDVLYPHLTVAETLWYTALLRLPRALGAGEKRAQAEEVMLELGLGKVAHSMIGGVRGVRGLSGGERKRVSIGLEMLVDPSLLLLDEPTSGLDSTTAARIVGTLRRMAAGGGRTVVVTIHQPSSRLYHMFDKVLLLSSDGCPIYYGLAADALSYFASVGFASPLSLNPADLMLDLANGIAPQIGGGGGDGDVGGGAAAAAANGGGSEAELKEVRGKLAAAYERHIAPAVKLDICAREGGGGGGQGAAAAAAVAGRRRRGGGKAAAEQWTNGWWAQFTVLLRRGVKERRYESFNKLRIFQVLSVASLAGLLWWRTPAAHLQDRTALIFFFSVFWGFFPLYNAVFTFPLERPMLVKERSSGMYRLSSYFAARTAADLPMELALPTAFVVILYWMGGLDPRPGPFLLSLLVVLYSVLVAQSLGLAIGAVLMDVKQGTTLASVITMVFLIAGGYYVQHIPPFVGWLRWLNYSFYCYRLLIGIQFGDGAAHYDCGGGGARCLVADFPAIKAVGLNNHWVDVCVMALLLVGYRVIAYLALDRLKPR >ORUFI08G04520.1 pep chromosome:OR_W1943:8:3565928:3566455:1 gene:ORUFI08G04520 transcript:ORUFI08G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTTPTPASPSVCRRVRLSSGAGARSPPPPTRQPNGGGLLPSAFRVALPHATAAVVSSSRGGGGLGARSRRRECACSPTTHPGSFRCALHRGAASPSRPSVAAACGGLREDARRSAMANSLVRIAAVEGGDHVRRAVAALIRPSSHHQRRRAAFRPRPSRLSAMSAAAATSSP >ORUFI08G04530.1 pep chromosome:OR_W1943:8:3569642:3576972:-1 gene:ORUFI08G04530 transcript:ORUFI08G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGEQMARLQEQARFVGVAAGNAGVGFDEGRWLSRVRESMAERAAEELGAAAVKVFDVPRVLRSTRPEAYAPHHFALGPYHCRRPELRDMERYKLAAAKRAEKLFAAGKRFDDLVRRFSDIHDKILAPYHRLLELNEQTLAWMMAIDTCFLLDFLESYHRDEATDMVSSAANWINAVVRDAIMLENQIPLFLFAAALELRHGTDQAQAASAAADALRGVLGRFITEVSPIKTTASAALAVAGDDLARHAHLLELLYHFLVPTDAVAEAVGNEPPPLVPEDFSAVDVFDQMQKEIPDYDKVKQACVQVSSLDMAPIRFIKKNLISRPMGLAASLPGKLMRKVPLLSAVAPLVGKLWSSSSSAADMEARLKGVNLGTIINSPLAQELMIPSVAQLAACGVRFAPAPEGIAGIEFDAPAATLKLPVITVDGNTETVLRNLVAYEAVAVRGPLVLARYTELMNGIIDTAKDVKILRQSGVVVNRMKSDGEAAEMWNGMCRATRLSRVPRLDGVIRAVNEHRSRRAAVRLRKMMKRYVFRSWRVLTLLAAVVLLLMTALQTFCSVYQCNRWFGNMLQMPQPGVQPSGTMVATGLGDEATGSVASSGRKSSVRVRTDQLGVWATFGIKEVAVVEVLQRGELSMLGFTAIREARTSSDEWAWQEVVG >ORUFI08G04540.1 pep chromosome:OR_W1943:8:3579526:3581637:1 gene:ORUFI08G04540 transcript:ORUFI08G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALQLPVASSQRLTLRRFPGSGSLGRYSGLRWPVAAQARRAAEEGFRLRVAFNPSGNFDLSLSTDQDDAPQVEPPPPPTEGRFEIVINTDIIRTLDLSPVHEVLGDLNSLTPAQTRNLLDRTVGFTINYEREDPYDVRELSEFPDIRLWFVRLDACYPWFPVVLDWRAGELARYAAMLAPHQMSMRLGVVFNPEALELFVMKKVFIVYSWLKQQEHPKPGLKTADMARMLGFGIGDELFDLIEKYPAGPS >ORUFI08G04550.1 pep chromosome:OR_W1943:8:3582180:3582742:-1 gene:ORUFI08G04550 transcript:ORUFI08G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSMVLASVILLIMAATAHGLRLDMGLHAALNNEGMLNSKWQSTANRPIDTRRASNDRRGPGRSRTRPPKMSNPHDMDPRFSEDYSGPGGHSPNHHRTTPCGPC >ORUFI08G04560.1 pep chromosome:OR_W1943:8:3592853:3620293:1 gene:ORUFI08G04560 transcript:ORUFI08G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERVQVVKEEVRKVVKGSSEVPEILDLVITLQRLGLDSYYETEINDLLCIVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDMFQHFKDKEGSFVADDVRSLLSLYNAAFLRTHGEKVLDEAIVFTTNRLRSELEHLKSPAADEVSLALNTPLFRRVRILEIRNYIPIYESATTRNESILEFAKLNFNLLQLIYCEELKSITGWWKELNVESNLSFIRDRIVEMHFWMIGACSEPHYSLSRIILTKMIAFITILDDIFDTYATTEESMMLAKAIYMCNETATVLLPKYMKDFYLYYLKTFDSFEEALCPNKSYRVCYLKELFKRLVQEFSQEIKWRDDHYIPKTIEEHLELSRKTVGAFELACASFVGMGDLVAKETLDCLLTYPELLKSFTTCVRLSNDIASTKREQAGDHHHASTIQSYMLQHGATAHEACVGIKELIEDSWKDMMKEYLTPTDLQPKIVARTKMSSTPAANFSNEDDERKAPTGFHPSLWGDFFISYQPPTAPKHAYMKERAEVLKEEVRKVVKGSNEVPEILDLVITLQRLGLDSYYKAEIDELLCTVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDIFQHFKDKEGSFVADDTRSLLSLYNAAYMRTHGEKVLDEAVVFTTNRLRSELKHLKSPVADEVSLALDTPLFRRVRIIETQNYIPIYESATTRNEAILEFAKLNVNLLQLIYCEELKTITRWWKELNVESNLSFIRDRIVEMHFWMTGACSEPHYSLLRIILTKMTAFITILDDIFDTYATTEESMMLAKAIYMCNESATVLLPKYMKDFYLYYLKTFDSFEEALGPNKSYRVLYFKELFKILIKGYSEEIKWRDDHYIPKTIEEHLELSRMTSYTTCVRLSNDIASTKREQAGDHYASTIQCYMLQHGTTIHEACIGIKELIEDSWKDMMKEYLAPTNLQPKIVARTVIDFARTGDYIYKQADSFTFSHTIKDMIASLYNVGSNGAAVECLFEILRRLPGGCERGASTCVSHSWFVMEDDELSATVCSEKEDNERMQKAASTFHPTLWGDFFVDYQPPNKSQHACMKERAEVLKEEVRCMVKGSKEVSEILDLVLTLQRLGLDSYYKTELDDLLYSIYNSDFDDKDLNLVSLRFYLLRKNGYDVSSDIFLCFKDKEGSFAADEVRSLLGLYNAAHVRTRGDKVLDGAIAFTKSHLEAKLEHLKSPLKEEVSSALETPLFRRVRILETRNYIPIYEKISGRNETILEFAKLNFNLLQFLYCEELKKITLWWKELNIQSNLSFIRDRIVEMHFWMTGVCPEFNYSLSRIILIKMMAYITIIDDIFDTHGTTEESMMLAEAIYKCNESAIVRLPEYMKCFYLYLLKTFDLIEEELGTSNSYRLKRLVQGYSQEIKWRDEHYIPKTVDEHLEVSRATVGAFEIACASFVVMGDIITKETLDWLLTYPELLKCFTTLARLSNDIVSTKVREQKGEHHVSTVQCYMFQHGTTMHDACVKIKELIEDSWKDIVKEYLTLPTEQPKIVAETIVDLARTADYMYKKTDSYTFANTIKDMVASLYVKPI >ORUFI08G04560.2 pep chromosome:OR_W1943:8:3592853:3620293:1 gene:ORUFI08G04560 transcript:ORUFI08G04560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERVQVVKEEVRKVVKGSSEVPEILDLVITLQRLGLDSYYETEINDLLCIVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDMFQHFKDKEGSFVADDVRSLLSLYNAAFLRTHGEKVLDEAIVFTTNRLRSELEHLKSPAADEVSLALNTPLFRRVRILEIRNYIPIYESATTRNESILEFAKLNFNLLQLIYCEELKSITGWWKELNVESNLSFIRDRIVEMHFWMIGACSEPHYSLSRIILTKMIAFITILDDIFDTYATTEESMMLAKAIYMCNETATVLLPKYMKDFYLYYLKTFDSFEEALCPNKSYRVCYLKELFKRLVQEFSQEIKWRDDHYIPKTIEEHLELSRKTVGAFELACASFVGMGDLVAKETLDCLLTYPELLKSFTTCVRLSNDIASTKREQAGDHHHASTIQSYMLQHGATAHEACVGIKELIEDSWKDMMKEYLTPTDLQPKIVARTKMSSTPAANFSNEDDERKAPTGFHPSLWGDFFISYQPPTAPKHAYMKERAEVLKEEVRKVVKGSNEVPEILDLVITLQRLGLDSYYKAEIDELLCTVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDIFQHFKDKEGSFVADDTRSLLSLYNAAYMRTHGEKVLDEAVVFTTNRLRSELKHLKSPVADEVSLALDTPLFRRVRIIETQNYIPIYESATTRNEAILEFAKLNVNLLQLIYCEELKTITRWWKELNVESNLSFIRDRIVEMHFWMTGACSEPHYSLLRIILTKMTAFITILDDIFDTYATTEESMMLAKAIYMCNESATVLLPKYMKDFYLYYLKTFDSFEEALGPNKSYRVLYFKELFKILIKGYSEEIKWRDDHYIPKTIEEHLELSRMTSYTTCVRLSNDIASTKREQAGDHYASTIQCYMLQHGTTIHEACIGIKELIEDSWKDMMKEYLAPTNLQPKIVARTVIDFARTGDYIYKQADSFTFSHTIKDMIASLYNVGSNGAAVECLFEILRRLPGGCERGASTCVSHSWFVMEDDELSATVCSEKEDNERMQKAASTFHPTLWGDFFVDYQPPNKSQHACMKERAEVLKEEVRCMVKGSKEVSEILDLVLTLQRLGLDSYYKTELDDLLYSIYNSDFDDKDLNLVSLRFYLLRKNGYDVSSDIFLCFKDKEGSFAADEVRSLLGLYNAAHVRTRGDKVLDGAIAFTKSHLEAKLEHLKSPLKEEVSSALETPLFRRVRILETRNYIPIYEKISGRNETILEFAKLNFNLLQFLYCEELKKITLWWKELNIQSNLSFIRDRIVEMHFWMTGVCPEFNYSLSRIILIKMMAYITIIDDIFDTHGTTEESMMLAEAIYKCNESAIVRLPEYMKCFYLYLLKTFDLIEEELGTSNSYRVFYLKELASIYAIKLLKRLVQGYSQEIKWRDEHYIPKTVDEHLEVSRATVGAFEIACASFVREQKGEHHVSTVQCYMFQHGTTMHDACVKIKELIEDSWKDIVKEYLTLPTEQPKIVAETIVDLARTADYMYKKTDSYTFANTIKDMVASLYVKPI >ORUFI08G04560.3 pep chromosome:OR_W1943:8:3592853:3620293:1 gene:ORUFI08G04560 transcript:ORUFI08G04560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKERVQVVKEEVRKVVKGSSEVPEILDLVITLQRLGLDSYYETEINDLLCIVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDMFQHFKDKEGSFVADDVRSLLSLYNAAFLRTHGEKVLDEAIVFTTNRLRSELEHLKSPAADEVSLALNTPLFRRVRILEIRNYIPIYESATTRNESILEFAKLNFNLLQLIYCEELKSITGWWKELNVESNLSFIRDRIVEMHFWMIGACSEPHYSLSRIILTKMIAFITILDDIFDTYATTEESMMLAKAIYMCNETATVLLPKYMKDFYLYYLKTFDSFEEALCPNKSYRVCYLKELREQAGDHHHASTIQSYMLQHGATAHEACVGIKELIEDSWKDMMKEYLTPTDLQPKIVARTKMSSTPAANFSNEDDERKAPTGFHPSLWGDFFISYQPPTAPKHAYMKERAEVLKEEVRKVVKGSNEVPEILDLVITLQRLGLDSYYKAEIDELLCTVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDIFQHFKDKEGSFVADDTRSLLSLYNAAYMRTHGEKVLDEAVVFTTNRLRSELKHLKSPVADEVSLALDTPLFRRVRIIETQNYIPIYESATTRNEAILEFAKLNVNLLQLIYCEELKTITRWWKELNVESNLSFIRDRIVEMHFWMTGACSEPHYSLLRIILTKMTAFITILDDIFDTYATTEESMMLAKAIYMCNESATVLLPKYMKDFYLYYLKTFDSFEEALGPNKSYRVLYFKELFKILIKGYSEEIKWRDDHYIPKTIEEHLELSRMTSYTTCVRLSNDIASTKREQAGDHYASTIQCYMLQHGTTIHEACIGIKELIEDSWKDMMKEYLAPTNLQPKIVARTVIDFARTGDYIYKQADSFTFSHTIKDMIASLYNVGSNGAAVECLFEILRRLPGGCERGASTCVSHSWFVMEDDELSATVCSEKEDNERMQKAASTFHPTLWGDFFVDYQPPNKSQHACMKERAEVLKEEVRCMVKGSKEVSEILDLVLTLQRLGLDSYYKTELDDLLYSIYNSDFDDKDLNLVSLRFYLLRKNGYDVSSDIFLCFKDKEGSFAADEVRSLLGLYNAAHVRTRGDKVLDGAIAFTKSHLEAKLEHLKSPLKEEVSSALETPLFRRVRILETRNYIPIYEKISGRNETILEFAKLNFNLLQFLYCEELKKITLWWKELNIQSNLSFIRDRIVEMHFWMTGVCPEFNYSLSRIILIKMMAYITIIDDIFDTHGTTEESMMLAEAIYKCNESAIVRLPEYMKCFYLYLLKTFDLIEEELGTSNSYRVFYLKELASIYAIKLLKRLVQGYSQEIKWRDEHYIPKTVDEHLEVSRATVGAFEIACASFVREQKGEHHVSTVQCYMFQHGTTMHDACVKIKELIEDSWKDIVKEYLTLPTEQPKIVAETIVDLARTADYMYKKTDSYTFANTIKDMVASLYVKPI >ORUFI08G04560.4 pep chromosome:OR_W1943:8:3592853:3620293:1 gene:ORUFI08G04560 transcript:ORUFI08G04560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKERVQVVKEEVRKVVKGSSEVPEILDLVITLQRLGLDSYYETEINDLLCIVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDMFQHFKDKEGSFVADDVRSLLSLYNAAFLRTHGEKVLDEAIVFTTNRLRSELEHLKSPAADEVSLALNTPLFRRVRILEIRNYIPIYESATTRNESILEFAKLNFNLLQLIYCEELKSITGWWKELNVESNLSFIRDRIVEMHFWMIGACSEPHYSLSRIILTKMIAFITILDDIFDTYATTEESMMLAKAIYMCNETATVLLPKYMKDFYLYYLKTFDSFEEALCPNKSYRVCYLKELFKRLVQEFSQEIKWRDDHYIPKTIEEHLELSRKTVGAFELACASFVGMGDLVAKETLDCLLTYPELLKSFTTCVRLSNDIASTKREQAGDHHHASTIQSYMLQHGATAHEACVGIKELIEDSWKDMMKEYLTPTDLQPKIVARTKMSSTPAANFSNEDDERKAPTGFHPSLWGDFFISYQPPTAPKHAYMKERAEVLKEEVRKVVKGSNEVPEILDLVITLQRLGLDSYYKAEIDELLCTVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDIFQHFKDKEGSFVADDTRSLLSLYNAAYMRTHGEKVLDEAVVFTTNRLRSELKHLKSPVADEVSLALDTPLFRRVRIIETQNYIPIYESATTRNEAILEFAKLNVNLLQLIYCEELKTITRWWKELNVESNLSFIRDRIVEMHFWMTGACSEPHYSLLRIILTKMTAFITILDDIFDTYATTEESMMLAKAIYMCNESATVLLPKYMKDFYLYYLKTFDSFEEALGPNKSYRVLYFKELREQAGDHYASTIQCYMLQHGTTIHEACIGIKELIEDSWKDMMKEYLAPTNLQPKIVARTVIDFARTGDYIYKQADSFTFSHTIKDMIASLYNVGSNGAAVECLFEILRRLPGGCERGASTCVSHSWFVMEDDELSATVCSEKEDNERMQKAASTFHPTLWGDFFVDYQPPNKSQHACMKERAEVLKEEVRCMVKGSKEVSEILDLVLTLQRLGLDSYYKTELDDLLYSIYNSDFDDKDLNLVSLRFYLLRKNGYDVSSDIFLCFKDKEGSFAADEVRSLLGLYNAAHVRTRGDKVLDGAIAFTKSHLEAKLEHLKSPLKEEVSSALETPLFRRVRILETRNYIPIYEKISGRNETILEFAKLNFNLLQFLYCEELKKITLWWKELNIQSNLSFIRDRIVEMHFWMTGVCPEFNYSLSRIILIKMMAYITIIDDIFDTHGTTEESMMLAEAIYKCNESAIVRLPEYMKCFYLYLLKTFDLIEEELGTSNSYRVFYLKELASIYAIKLLKRLVQGYSQEIKWRDEHYIPKTVDEHLEVSRATVGAFEIACASFVREQKGEHHVSTVQCYMFQHGTTMHDACVKIKELIEDSWKDIVKEYLTLPTEQPKIVAETIVDLARTADYMYKKTDSYTFANTIKDMVASLYVKPI >ORUFI08G04560.5 pep chromosome:OR_W1943:8:3592853:3612139:1 gene:ORUFI08G04560 transcript:ORUFI08G04560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKERVQVVKEEVRKVVKGSSEVPEILDLVITLQRLGLDSYYETEINDLLCIVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDMFQHFKDKEGSFVADDVRSLLSLYNAAFLRTHGEKVLDEAIVFTTNRLRSELEHLKSPAADEVSLALNTPLFRRVRILEIRNYIPIYESATTRNESILEFAKLNFNLLQLIYCEELKSITGWWKELNVESNLSFIRDRIVEMHFWMIGACSEPHYSLSRIILTKMIAFITILDDIFDTYATTEESMMLAKAIYMCNETATVLLPKYMKDFYLYYLKTFDSFEEALCPNKSYRVCYLKELFKRLVQEFSQEIKWRDDHYIPKTIEEHLELSRKTVGAFELACASFVGMGDLREQAGDHYASTIQCYMLQHGTTIHEACIGIKELIEDSWKDMMKEYLAPTNLQPKIVARTVIDFARTGDYIYKQADSFTFSHTIKDMIASLYVFGLRIGAAAVECLFEILRRLPGGCERGASTCVSHSWFVMEDDELSASVPLL >ORUFI08G04560.6 pep chromosome:OR_W1943:8:3612218:3620293:1 gene:ORUFI08G04560 transcript:ORUFI08G04560.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNELLVRLKFCDVMDRMVIKPAAVCSEKEDNERMQKAASTFHPTLWGDFFVDYQPPNKSQHACMKERAEVLKEEVRCMVKGSKEVSEILDLVLTLQRLGLDSYYKTELDDLLYSIYNSDFDDKDLNLVSLRFYLLRKNGYDVSSDIFLCFKDKEGSFAADEVRSLLGLYNAAHVRTRGDKVLDGAIAFTKSHLEAKLEHLKSPLKEEVSSALETPLFRRVRILETRNYIPIYEKISGRNETILEFAKLNFNLLQFLYCEELKKITLWWKELNIQSNLSFIRDRIVEMHFWMTGVCPEFNYSLSRIILIKMMAYITIIDDIFDTHGTTEESMMLAEAIYKCNESAIVRLPEYMKCFYLYLLKTFDLIEEELGTSNSYRVFYLKELASIYAIKLLKRLVQGYSQEIKWRDEHYIPKTVDEHLEVSRATVGAFEIACASFVREQKGEHHVSTVQCYMFQHGTTMHDACVKIKELIEDSWKDIVKEYLTLPTEQPKIVAETIVDLARTADYMYKKTDSYTFANTIKDMVASLYVKPI >ORUFI08G04570.1 pep chromosome:OR_W1943:8:3601909:3611852:-1 gene:ORUFI08G04570 transcript:ORUFI08G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVSVHPRPLGGRIRRLARLVALGGIGGVGGREVGGGTGGGGGIGGGRLARAEAEAEARLEASPAEAAEVPYVRLKWAKTLTLQELEKQRVAWIMSHLT >ORUFI08G04580.1 pep chromosome:OR_W1943:8:3625171:3625443:-1 gene:ORUFI08G04580 transcript:ORUFI08G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLNSWGLRAVALLALPQDPACLAPTLEQLLDITKSIQPNVGGNWIWCPPTSGRSDLVPGSSAPRSRWPWKAEEAIGAELGRWRRGLAR >ORUFI08G04590.1 pep chromosome:OR_W1943:8:3631123:3639832:1 gene:ORUFI08G04590 transcript:ORUFI08G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHAVVVPYPGSGNINPALQLAKLLHGHGIYITFVNTEHNHRRALAAEGAAAVRGRDGFQFETIPDGLLDADRDAADYDLGLSVATSHRCAAPLRDLVARLNGAAAGSADGGGGAPPVTCMVLTALMSFALDVARGLGLPTMVLWGGSAASLMAHMRIRELRERGYIPLKASGSDQFFRLLLKPEETMSVEKSVQPHAVVVPYPGSGNINPALQLAKLLHGHGIYITFVNTEHNHRRIVAAEGAGAVRGRDGFRFEAIPDGMADADHDIGNYDLALSAATSNRCAAPLRELLARLDDGGAGAPPVTCVVVTALMSFALYVARELGLPTMVLWGSSAAALVTQMRTRELRERGYIPLKDESLLTNGHLDTTIIDWIPGMPPISLGDISSFVRTTDADDFGLRFNEDEANNCTMAGALVLNTFDGLEADVLAALRAEYPRIFTVGPLGNLLLNAAADDVAGLSLWKQDTECLAWLDAQEMGAVVYVNFGSLTVLTPQQLAEFAWGLAATGRPFLWVIRENLVVPGDGGGDALLPTGFAAATEGRRCVATWCPQDRVLRHRAVGCFVTHSGWNSTCEGVAAGVPMVCWPVFADQYTNCKYACEAWGVGVRLDAEVRREQVAGHVELAMESEEMRRAAARWKAQAEAAARRGGSSYENLQSMVEVINSFSSKA >ORUFI08G04600.1 pep chromosome:OR_W1943:8:3640733:3641592:-1 gene:ORUFI08G04600 transcript:ORUFI08G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGNTVTCTLFRDEGYNDLTASLQQTPLFREVYIKADLVQKFGAEKEGLLESEAKRTDDFQCSTMV >ORUFI08G04610.1 pep chromosome:OR_W1943:8:3659271:3662793:1 gene:ORUFI08G04610 transcript:ORUFI08G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATGRSPPLLLPCLFPFTIGFASLPTSTSHHRHHHSRPELSSCCCRSGHPLFSLCLPPTFPDAQRAGTRLAAAVEAVQPPKHRRPAPLPLCCCSGEETEGRREEREEEEETYDKWALCTTIQQVLSASKELTWTQMAMLKPREISRRKARHN >ORUFI08G04610.2 pep chromosome:OR_W1943:8:3658783:3659263:1 gene:ORUFI08G04610 transcript:ORUFI08G04610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSQSDAATLPCRPRRRLAVTAKKPPSTSSATSRRRRQPPNRTDITSQSADRAGASWRRAPLLHAAVAEFSLFSSSATVLRHRLSSPINRRRCPLFFPHIRALLLSLLP >ORUFI08G04620.1 pep chromosome:OR_W1943:8:3663232:3663911:1 gene:ORUFI08G04620 transcript:ORUFI08G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNGGTRESSDQVALLRPSKCPTARTDQYPTRAVTSSKWDLRTALVSSALQIVDIVWVKLDSLCRVV >ORUFI08G04630.1 pep chromosome:OR_W1943:8:3665747:3667415:1 gene:ORUFI08G04630 transcript:ORUFI08G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVFPTSSGEAPRELTLYLASHRPGPSSPPPPAACLSQTNMSTVLPMSSGEAPRKLCRLLQCHHGVAAEADVHGSNGLLPFKPREAFGVLLLSHRPLHLSVASSPMTLLRKHRRAVSMYLRMRVSLAKALACCYPLKGRAPPRKRLPADDRWSEKITTFC >ORUFI08G04640.1 pep chromosome:OR_W1943:8:3672699:3675324:1 gene:ORUFI08G04640 transcript:ORUFI08G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNQPIPFPAPLSTTGTDADDAELLQAQAELCCHAWRHMESMALKCAIDLGIPSAIHRNGGSASLPDLLATLPIAENKSPFLHRLMRFLTVSGIFTSADDGVYQLTRVASMAADSKFLAEIAIRRHAEAFMTNVSSLVDVGGGDGSMARAIVKAFPHIKCLVLDLPHVVRGIPADGFVEYVAGDMMDFVPPANVVLLKLVLHDWSDEDCVRILSRCREAISNREGGKVIIIDTVIGSQSQQIYEAQLFLDLCMMTVTTGKEREEKEWHMIFLKAGFTQYKILPILGIKSLIEVNPSQY >ORUFI08G04650.1 pep chromosome:OR_W1943:8:3677274:3678785:-1 gene:ORUFI08G04650 transcript:ORUFI08G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIKLGDMSSFVRTTDPDDFGLRFNEEEANNCTKANALILNTFDELEADVLAALRAEYARIYTIGPLGTLLNHAADAIGGGLSLWKQDTECLAWLDTQQPRSAVENLVPGGPNALPPEFVVETDGRRCLATWCSQEQVLRHPAVGCFLTHSGWNSKCESVASGVPMVCWPVFADQYINRKYACESWDVGLRLDEEVRREQVTAQVKQVMESEEMRQDAARWKAKAEQAARLGGSSYKNLQSMVEVIRSFASDSKKAEA >ORUFI08G04660.1 pep chromosome:OR_W1943:8:3685087:3689116:1 gene:ORUFI08G04660 transcript:ORUFI08G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGRRKPISLLELCIRTTMDNLRYVDNVDGVEMDLLQRILPHCKMEDLTRIENNTEMDLTPVTDKLWKLFYTRQFGEENANQVVKRMSMSGARYKWKDLFDAKTKKQKEYEEKMGQRLAKKYEAAKAGKKHFLAGCLQISGKNESCYSKEYYSKDISAHKDELKSCTANEDNDYS >ORUFI08G04660.2 pep chromosome:OR_W1943:8:3685087:3689116:1 gene:ORUFI08G04660 transcript:ORUFI08G04660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGRRKPISLLELCIRTTMDNLRYVDNVDGVEMDLLQRILPHCKMEDLTRIENNTEMDLTPVTDKLWKLFYTRQFGEENANQVVKRMSMSGARYKWKDLFDAKTKKQKEYEEKMGQRLAKKYEAAKAGKKHFLAGCLQISGKNESCYSKEYYSKDISAHKDELKSCTANEDNDYS >ORUFI08G04670.1 pep chromosome:OR_W1943:8:3689787:3698168:-1 gene:ORUFI08G04670 transcript:ORUFI08G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRSLQHRHRYTYTSLVFPKQYLEELARVPTEVSSSSFFSQLNNLISLTSTYAQVIAVKDLASAFVQFLSSPAIPDDAVLVATKLYLEILFLENSLPLHRTIISVLAKCKKHCSLISGCFATLCEEYGGSGIKAKKRFLVSRAALSLIGYPKLGFLDESVKKCAEVMALDVVAGLDGVISDIVDGSRPSPVVMEQCQEAMSCMYYLLQRYPSKFTELDKASTVFKHVVRTILTVLKSSAFSRDCLVASGVSFCAAIQVFMSSEDICWFLSEGLFSICAEQKDIKESAGHEVLSDFNLCEEIRDISILSRLCLLRGILTAIPRTVLNMRQLHSNGSLWTMLYNGILPELCKHCENPIDSHFNFHALTVTQICLQQIKTSVLADFTDFSGDYEPFSRDVINRILRIIWSNLEDPLSQTVKQVHLIFDLLLDIESCIPSGDPEENSKLFFFNIASDLLRLGPRCKGRYIPLASLTKRLGAKSLLKLKSNLLLETAYAYMDDDVCCAATSFLKCFLETLRDECWKDDGIEQGYDAFRFLCLPPLLRGLVSGNSKLRSNLNTYALPAAIEVDADSIFAMLGFINVGPSAKAIELDVALKNDQCIAALVSLLKVSRNLALVEGDIDLDPDVANKCDAVISIRGINVTVPVKWFVLALTHNEESLRIDAAESLFLNPKTSSLPSALELSLLKQAVPLNMRCSSTAFQMKWTSLFRKFFARVRTALDRQVKEGLWLPSSLSGDKDSNSVDTVKATTIKRAEDLFQFMKWLSSFLFNSCYPSGPYERRTIAMELILTLLDVWPICRSEGKNDLYPYSDSITLPDSTISFVGSIIDSWDRLRENSFRILLQFPTPLPGISSSTSIDSVIRWAKKLVLSPRVRESDAELTSQNPVAQYISSLIQWLCAVVEEGEKDLSEACKKSFVHGVLLTLRYTFDELDWNTEVVQSCIAEMRCLVEKLLQLIMRVTSLALWVVSSDAWYMPYDVDDMIDDDSFLSDIIDEDQPGTASEIAETNVKSGHNGKPAEHVVMVGCWLAMKEVSLLFGTIIRKIPLPGCSHSNSSHGDLAENTEETGMTGDILDVLLKMKHNGAIDKTRAGLTALCNRLLCSNDSRLCKMTESWMELLMDRTVAKGQTVDDLLRRSAGIPAAFIALFLAEPEGTPKKLLPRALEWLIEFAKTSLANFQNDSNQKLDTEKDFLGEPCESQSETTAGVHSNGNLSKSRNEGVVPTVHVFNVLRAAFNDANLATDTSGFCAEATIVAIHAFSSPYWEVRNAACLAYTALVRRMVGFLNVHKRESARRYPALHPFLLSELNVATGLLADGISSNLGSQIAKAIHPSLCPILILLSRLKPSPISRGTDDSLDPFLLLPFIQRCATQSNYRVRVLASRALIGLVSNERLQHVVGDILHNLPCGSHEVTAHRALCLSADMGNGNLTLPAKSFSFNSIHGLLLQLSSLLDNNFRALTDSTKKDQILSQLIEALSKCSWLGSVKLCACPVVSTSYLRVLDLMLDVARTGKSGHTDAIQILLWELSYQCLNNGTSTHYAFHDPTQIELRQQAAASYFSCVGLPKRHDETNDENVQLQILDMTSSIPEMPREVSLYELHKEIASCLVDPAYDVRITALKRILQLAKSARSGDSKKFLHQWAKSNLQHVILKRIFEEEHPKCLYYNLKIIFSWNMECQFNSEEDSSTFLSFWDRLVHLNSTVSHAKTRETILCCMGMCMRQFAKMLRGLLDSKTHEHSTSFVRIDEGKNLSTAILSASSFVNLVKNLSAPSETVNARRAAAEAIIASGLLEEANLFAPSLSNAYLPSEHDENHIEEKCSNATVSELINLYSCKILDLWFICIQLLEDEDTYLRQKLANNVQKIIAKGSANNLCDDSTPLQVDRVIELSFEYLTSLLGHWLKYTEYLLRLVLDTANTLNSRGDLIRQIFDKEIDNHHEEKLLICQICCSSIQKLLQSKYLVETGAEVELFLQNWRESFLHQLISLTSSFLEKEGKTDWIGGIGNHKDVFISVYANLLGLYALAQSQSLEQLKDRHTEYLKNFSDLDGVITPFLKNPLISNLYSLVKESHETFNSPKKQWDQVGSSATESFDPYFLIR >ORUFI08G04670.2 pep chromosome:OR_W1943:8:3689787:3698168:-1 gene:ORUFI08G04670 transcript:ORUFI08G04670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRSLQHRHRYTYTSLVFPKQYLEELARVPTEVSSSSFFSQLNNLISLTSTYAQVIAVKDLASAFVQFLSSPAIPDDAVLVATKLYLEILFLENSLPLHRTIISVLAKCKKHCSLISGCFATLCEEYGGSGIKAKKRFLVSRAALSLIGYPKLGFLDESVKKCAEVMALDVVAGLDGVISDIVDGSRPSPVVMEQCQEAMSCMYYLLQRYPSKFTELDKASTVFKHVVRTILTVLKSSAFSRDCLVASGVSFCAAIQVFMSSEDICWFLSEGLFSICAEQKDIKESAGHEVLSDFNLCEEIRDISILSRLCLLRGILTAIPRTVLNMRQLHSNGSLWTMLYNGILPELCKHCENPIDSHFNFHALTVTQICLQQIKTSVLADFTDFSGDYEPFSRDVINRILRIIWSNLEDPLSQTVKQVHLIFDLLLDIESCIPSGDPEENSKLFFFNIASDLLRLGPRCKGRYIPLASLTKRLGAKSLLKLKSNLLLETAYAYMDDDVCCAATSFLKCFLETLRDECWKDDGIEQGYDAFRFLCLPPLLRGLVSGNSKLRSNLNTYALPAAIEVDADSIFAMLGFINVGPSAKAIELDVALKNDQCIAALVSLLKVSRNLALVEGDIDLDPDVANKCDAVISIRGINVTVPVKWFVLALTHNEESLRIDAAESLFLNPKTSSLPSALELSLLKQAVPLNMRCSSTAFQMKWTSLFRKFFARVRTALDRQVKEGLWLPSSLSGDKDSNSVDTVKATTIKRAEDLFQFMKWLSSFLFNSCYPSGPYERRTIAMELILTLLDVWPICRSEGKNDLYPYSDSITLPDSTISFVGSIIDSWDRLRENSFRILLQFPTPLPGISSSTSIDSVIRWAKKLVLSPRVRESDAGALTFRLIFRKYVLEFGCVLVFSKENDCLQCYTKSTNDDTELTSQNPVAQYISSLIQWLCAVVEEGEKDLSEACKKSFVHGVLLTLRYTFDELDWNTEVVQSCIAEMRCLVEKLLQLIMRVTSLALWVVSSDAWYMPYDVDDMIDDDSFLSDIIDEDQPGTASEIAETNVKSGHNGKPAEHVVMVGCWLAMKEVSLLFGTIIRKIPLPGCSHSNSSHGDLAENTEETGMTGDILDVLLKMKHNGAIDKTRAGLTALCNRLLCSNDSRLCKMTESWMELLMDRTVAKGQTVDDLLRRSAGIPAAFIALFLAEPEGTPKKLLPRALEWLIEFAKTSLANFQNDSNQKLDTEKDFLGEPCESQSETTAGVHSNGNLSKSRNEGVVPTVHVFNVLRAAFNDANLATDTSGFCAEATIVAIHAFSSPYWEVRNAACLAYTALVRRMVGFLNVHKRESARRYPALHPFLLSELNVATGLLADGISSNLGSQIAKAIHPSLCPILILLSRLKPSPISRGTDDSLDPFLLLPFIQRCATQSNYRVRVLASRALIGLVSNERLQHVVGDILHNLPCGSHEVTAHRALCLSADMGNGNLTLPAKSFSFNSIHGLLLQLSSLLDNNFRALTDSTKKDQILSQLIEALSKCSWLGSVKLCACPVVSTSYLRVLDLMLDVARTGKSGHTDAIQILLWELSYQCLNNGTSTHYAFHDPTQIELRQQAAASYFSCVGLPKRHDETNDENVQLQILDMTSSIPEMPREVSLYELHKEIASCLVDPAYDVRITALKRILQLAKSARSGDSKKFLHQWAKSNLQHVILKRIFEEEHPKCLYYNLKIIFSWNMECQFNSEEDSSTFLSFWDRLVHLNSTVSHAKTRETILCCMGMCMRQFAKMLRGLLDSKTHEHSTSFVRIDEGKNLSTAILSASSFVNLVKNLSAPSETVNARRAAAEAIIASGLLEEANLFAPSLSNAYLPSEHDENHIEEKCSNATVSELINLYSCKILDLWFICIQLLEDEDTYLRQKLANNVQKIIAKGSANNLCDDSTPLQVDRVIELSFEYLTSLLGHWLKYTEYLLRLVLDTANTLNSRGDLIRQIFDKEIDNHHEEKLLICQICCSSIQKLLQSKYLVETGAEVELFLQNWRESFLHQLISLTSSFLEKEGKTDWIGGIGNHKDVFISVYANLLGLYALAQSQSLEQLKDRHTEYLKNFSDLDGVITPFLKNPLISNLYSLVKESHETFNSPKKQWDQVGSSATESFDPYFLIR >ORUFI08G04680.1 pep chromosome:OR_W1943:8:3698500:3708708:1 gene:ORUFI08G04680 transcript:ORUFI08G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAAARGPLLHLRRTPPPPPPRRSFSSSGMRCVSAAAGAGGGGRERRSSPAFGGLLLDAGGTLLQLARPVAQTYASLGRRYGMSKSEESIKEGFKRAFSAPWPKTLRYQGDGRPFWRIVVAEATECTNNDYFEEVYEYYAHGDAWRLPAGAYETLRDLKDAGVKLAVVSNFDTRLRKLLKDLHVSDMFDAIVVSSEVGHEKPAPEIFKRALDQIGVEASKAVHVGDDETADKAGANAIGLECWLWGQDVRTFSEIQDRILTRFPQ >ORUFI08G04680.2 pep chromosome:OR_W1943:8:3698500:3700787:1 gene:ORUFI08G04680 transcript:ORUFI08G04680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAAARGPLLHLRRTPPPPPPRRSFSSSGMRCVSAAAGAGGGGRERRSSPAFGGLLLDAGGTLLQLARPVAQTYASLGRRYGMSKSEESIKEGFKRAFSAPWPKTLRYQGDGRPFWRIVVAEATECTNNDYFEEVYEYYAHGDAWRLPAGAYETLRDLKDAGVKLAVVSNFDTRLRKLLKDLHVSDMFDAIVVSSEVGHEKPAPEIFKRALDQIGVEASKAVHVGDDETADKAGANAIGLECWLWGQDVRTFSEIQDRILTRFPQ >ORUFI08G04690.1 pep chromosome:OR_W1943:8:3701210:3707762:-1 gene:ORUFI08G04690 transcript:ORUFI08G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGAMGSLLPKLGQLLNEYGLQAGLQKKIESLSWELESVHAVLRMVGQVPPEQLDQLVKLWAWDLREASYDMEDIIDAFMVHIDGREDPADPHILRRLRKKISILFKKTKARREIAGAIQDINEKLEEVAARRGRYTVNNIVTKPVVPEDIDPRLLNLYKRATELVGIEGQMDNLIKMLSLGNDIDLFDKTVRVVSVVGIGGLGKTTLVKAVYEKLKPGFDCGAFVPVGQNPDMKKALRDIIIDLDKKTYTDFNITLFDERQLINKLQEILQEKSLVSSSWGSFTVMRIYRCFIVIDDMWDKKSWGLIRCALQDSNHRSRVVGTTRVFEVATYVGDVYKTQPLSRNDSEKLLYTRIVQGEGKCLDTSLVEVCDKFLKKCGGVPLAIITIASLLANKPQEDWSEVYSTIVLGHGGNDDVENTRRILSLSYYDLPLHLKPCLLYLSIFPEDYYIEKNLLIWKWIAEGFVHEKQAPGVGLFELGEGYFNELINRSMIQPVEAEDKGYVDGCRVHDMVLDMICLLSFEENFVTVLDGSEKQESPRIKTRRLALQHRNFEENRHQLGNVGVDQLRSFVVSECDGITVESPSFHVLRVLALENHFSRNYYCKHILQHLGNLHHLRYLGLQNVRTSELPDEVGDLKFLQVLDLSGTGIQELPESVGLLTKLLSLRANEGTRVSAGSIVKLTSLQEMWICPADISQFVKVLGKLRELRVLHTSLFTHGQDERTDRDLLESLQNLHKIHTIDIGGSSRMKSVMWEAGFTSPRRLRHLRLRSLVFYRMPVGINPLLLPNLCYLDLQVQIVKEQDMETLGRLPELRHLKLCSCKTHVVSVEKAAGDGYFRKLRYFSTPCSFLRFDLHGVICSTKTIMPRLESLEFFVCVLFLRDANLLGFDKLLGFGNHGRTSLRRVEATMACSGARVTEVEEAEAALAQVAAVHPNRPTLKTTRLGDSKIHSPYKEPTSVNPKVVFQNVNVREMKDDDGYFDFHWLLRNPWVEKFSVSIFCEDLRFRSFAYLKLVSHSQPEVVHRDVNVRKIKDKGRNIKFSWLLRNQYVEKFRISINCENASLREVKEAEETAREVTWIHPNSPRVEIVRYGEDKMVSD >ORUFI08G04700.1 pep chromosome:OR_W1943:8:3718394:3721302:-1 gene:ORUFI08G04700 transcript:ORUFI08G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDGVYIRRDTDLPQIYLSTIVLLAAQLSSLCEEEVGIGRMQQRLCVWKETVRPVTVTGIVFGTVVAVGIAAVFSPQSNTSSSLVSRALAISTYTSVIISMAATMTSCGSLWSVTTLYLVSLNWRQVVAVPVALVIFFAAGRWPFVSSVVVVHHPDHDENAIKAVIFRRCDLFIGTGLVGVFFGTSFNDYMTKASRVPGAVVYIWISIYLVFTIGLFLMASIGTGLEALTDDYARKLYYVAAALLTVAFLLVVVVNCLRVSELPTS >ORUFI08G04710.1 pep chromosome:OR_W1943:8:3725946:3729838:1 gene:ORUFI08G04710 transcript:ORUFI08G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGVLGSLLPKLGELLKDEYDLQRGTREKIKSLSRELESVHAVLRIVGEVPSEQLDELVKLWARDVRELSYDMEDIVDTFLVRFNDGHEPDDPRVLRRLRKKMSKLFKKAKDRREIAGAIRRINEKLQEVATRRARYRVDSIVTKPAGPVSIDPRIQALYKRSTELIGVDGPMDKIINMLSPRNDIHLSDKKKIISIVGFGGLGKTTLAKAVYDKLKPDFDCGAFVPVGRNPDMKKVLRDILIDLDKQNYMHSNTTTTLDERQLINGIKDLVEKKRSIVIIDDIWDKKSWELIRCALQDSNCGSRVVVTTRISEVATHAGCVYNMEPLSRDDSEKLLYTRIASAEGKCLTRPSAVACEKILNKCDGVPLAIITIASLLANKPEEDWSEVYNSIGFGHGGNNDVENTRKILSFSYYDLPSYLKACLLYLSIFQEDVEIDKNLLIWKWIAEGFVQEKQAVRIGLFELAEGCFNELINRNMIQPAVSQYEWYGPKFQSDQVYEEGYVYGCRVHDMILDMIRSLSKEENFVTVLDSHEQSELPLSHARRLALHGMSIEQQNRCQMANMAAEQARSFFANKCDDISLVFPYFRAVRVLGLEYSADYGIWRHFRSLFHLRYLGLVGVNIKDLPKEVGDLKFLQTLDVKRTSISKLPDTVGMLTQLLCLHAYAAAPVGLIGKLTSLQELWIIKPSAAAYMHHDENADDMCFSEKDAGDMYSDEKNAARQFVKDLGRLRELRVLRTKIDVMDDSIARDLLESLDNLHKIRYLEILGILWGMNVTWTRTGFISPWHLRRLCLVCIEFSRLPAWINSSALPNLSFINVTVQVIQEQDMETLGMLPELYCLKLYSRYTNVVSIKHTGNGGYFQKLKSFKIVGSSVRFDLSCCDCSGGEIKSSFMPSLETFETDVHVRFLEDANMLGFDKLGLENLPSSLKRIIVVICCRDACDAEVEEAQAALEHAADIHPNNPTLKIDRYGEEIKRPL >ORUFI08G04720.1 pep chromosome:OR_W1943:8:3731748:3735297:1 gene:ORUFI08G04720 transcript:ORUFI08G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGVMGSLLPKLGELLKDEYDLQRGLREKIKSLSRELESVHAVLRKVGAVPSDQLDELVKLWARDVRELSYDMEDIVDMFFVRFNDGHESDDPRPVSIDPRLQALYKRSTELIGVDGPMDKIINMLSPWNDIHLSDKKKIISIVGFGGLGKTTLAKAVYDKLKPDFDCGAFVSVGRNPDMEKVLRDILIDLDKQKYKHSIIMTLNERQLINEIKDLVEKKRCFIVVDDIWDKKSWELIKCALQDSNCGSIVVATTRISEVAMHVGHVYKMEPLSLDDSKKLLYARLAGAQGKCLNIPPAVACEKILNKCHSVPLAITTIASLLVNKPEEDWSEVYNSIGFGHEGNNDVENTRRILSFSYYDLPSHLKACLLYLSIFSEDVEIDKNILIWKWIAEGFVQDEQAAGVELFELGEGCFNELINRNMIMPVEVQYQGYQSKARYNEGYVYGCRVHDMMLDLICSLSKEKNFVTLVDSYEQVELPLSHARRLAMQSMSIKEINRLQLPNMGMEQVRSFLANRCYGISLAFSDFRVLRILALEYCQGKINLSHFRSLYHLRYLGLVNAEITELPKEVGDLMFLQTLDLRETSILELPESVGLLTQLLCLYVDHRTWAPVDLIGKLTSLQELCIRPAYAYDRFYDDKANGMRQFVKALGRLGELRVLQTQIDILDDSMEKDLLESLDNLQKIRSLEILGASRGLNVEWTRTGFISPRHLQRLYLECLEFSGLPAWINSSLLPNLSYLNMTMEVVQEQDMETLGMFPILCYLKLYSRCTKLVSFKHTSNAGYFQKLKSFKIVGSSVRFDLSGCDIESSFMPSLETFETDVHVRFLKDANMLGFDKLGLENLPSSLKRIIVVICCRDASGAEVEEAQAALEHATDSHANSPKLTIMRYGVQIKRPL >ORUFI08G04730.1 pep chromosome:OR_W1943:8:3747591:3770783:-1 gene:ORUFI08G04730 transcript:ORUFI08G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGAMGSLLPKLGELLKDEYDLQTGMKEKVKSLSRELESVHAVLRKVGEVTPEQLDELVKLWARDVRELSYDMEDIVDTFLVRIDSSETDDRSVLRHLRKKMSRLFKRTKDRRKIAGAIKEIDKKLQEVEARRARYTVDSIITKPAGPASIDPRLQALYKRSTELVGIDGPVDKVIKMLSLGDDRNMKIVSVVGFGGLGKTTLAKAVYDKLKPDFDCGVFVPVGRVPDIQKVLRDILIDFGFKVSDVMILDERQLIDKLQNFVQKMRCFIVIDDIWDKKSWELIRCALQDCKCGSRVVATTRISEVATHVGDVYKMQPLSRDDCEKLLYARIVDSEGKCLDSPSVEACDKILKKCRGVPLAIITIASLLASKPMEDWPVVYNSIGFGHEGNDDVANTRRILSFSYYDLPSHLKPCILYISIFPEDYEINKNLLIWKWIAEGFVHVEHVGIGLFEVGEGYFNELINRNMIQLVKAENEGYISSCRVHDMVLDMVRSLSSEENFVTLWDSSEKQKLPRRNARRLALQSRSIKEQNGNQLASTSMEQVRSFIANDCDDISMLFPRFRVLRVLILEDCDDVEDVEGCGGNSVDHLGSLLHLRYLGLPDTDISKLPKEVGGLKFLQTLDLWNTGIKELPQAVGLLTQLLCLHTDRSTTVPAGLIGKLTSLQELWTWPGSAYYRDMDPVAGAASTRRFAKELGNLRELRVLRASIYAVDESTERDLMESLLGNLQKIQSVDIFGSPLERGVTWDAGFASRWRLRHLNLECFELSRLPASVNSSLLPNLSHLDMKVQVMQEQDMETLGRLPELRCLVLDSRYTKVVRIKNTGSDCYFKKLRFFTMGSSSILFDVQGSECAIMPSLESLAFSVHVRFLKDADLLCFKKLGLVNLPSSLQRVTVEINSWDAHDTEVEEAEAMLEHAAIVHPNHPIFQTTRPFGKYIMLLPDQEPSSTNPKVDRCDVNLRKNDGDHLDFRWLLMNPRVEKFCVSINCENASLEEVEEAEAAARYAVDVHPNCPTLELVRYGEDKMVLPNPQQQFLMEMAASMNELNMSTFQTFFVIIDDIWDRKSWELIRCAVQDSSGDVNYPHWLHLSPPLSAWDGVRGVAASATGGTTVPVINPAVSAADPASVVGEKLKAAVLAANPVLAASAMGGGSIGHVGGRRGGRSCVRSGVDSGWGGLFNAIDTILIIGRGAFSIAIMELVMGAMENLIPKLGELLKEEYVMQSGVREKIQSVSRELESIHAALRKIGKVPWEHLDDELRLWAHDLREASYDMEDIIDSFLVRVDGHEASEVHWFKWFLEKMTNQFNKIKASHEIGVAMKEIDEKLQEVATRHARYTIDNIAINPAGPATVDPRLLSMYKTLAELVGIEGPMDELMKMLDIDLPTKKRKIEIDVSVRKPKMVSIFGFGGLGKTTLAKAVYDKLKPSFDSGAFIPVGQNPNIRKVFRDILMDLDKQSYNDLNLKLLDERQLINKLQEFLQKKRCFVVIDDIWDKDSWRLIRCALQDSNHESRVVTTTRIYEVATQVGEVYKMHPLSHDESKKLLYTRIISGEGESLRSTSVEACDKILKKCGGVPLAIIIIASLLANKPREYWSEVYNSIGLEHGYNDDVDNTRRILSLSYYDLPLHLKPCLLYLSIFPEDYYIEKNLLIWKWIAEGFVHEKQAAKLGLFETGEGYFNELINRSMIQPVEHEYSGYMCGCRVHDMVLDLILLLSGEENFVTVVDGSKEHELSWKNARRLALQHWSFEENRNQLANMGVKQTRSLIMTECFDKNMQLPSFQVLRVLEIQKQGRWWNIDGKINLQHVRNLLHLRFLHLDCIDSIPLIEQFRNLRFLQVLHLKESNIQELPESVGLLTKLLSLRVDIDVRVSPGVIEKLTSLQELYLWPYSDDTFQFVKVLGKLRELRVLHAKNLKLDGQGETSALLESLCNLHKIQTLDIDLNLNPNEGVTWDAGFTSPQCLRYLCLVSLRFHRMPEWINWSLLPNLSYLELRVNFLEELDLETLGRLPKLRYLHLFIHCDRIVSIGKIAGAGDACFQELRFLNTPYLYVRFDQHGIMCSKDEKAVMPNVKTLSFCVYVRILKDTDILGFDKLFSFAHLGRSSLQQVQVNIQCRGARAMEVEEAEAALAHAAAIHPNRPTLQIHKFSEGEMLPPHEEPSSFFPKVVVENLNAHERKDDDLRFVLGQMLQRNPCVKKFSVSINCENACLEEVEKAEAAARYAVDFHANRPTLELVKYGEDKMVLSGQHQQRTKTGFHPAATLEGVRRHGNALRRLERHHCRPGQGRAWFSPVVHRLLIKSCSPLLQLPTLHRQYVNIAAPTPLVGQPPLPPCRPPTRRHRIAGKPLPPPVVPPCAVLASAVTDHTSSCRANFHDHCGTAGSLRCHLHALPCRPPPLPACAGCALPSSAAPARVALLAIAIMDLMKAMGRLLPKLGQMLKDEYDLHTGIRKKIQSLSRELEDVHAVLRMVGEVPPEQLDGTVELWAHDLREASYDMDLPCARRRHGTSG >ORUFI08G04730.2 pep chromosome:OR_W1943:8:3747591:3770783:-1 gene:ORUFI08G04730 transcript:ORUFI08G04730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGAMGSLLPKLGELLKDEYDLQTGMKEKVKSLSRELESVHAVLRKVGEVTPEQLDELVKLWARDVRELSYDMEDIVDTFLVRIDSSETDDRSVLRHLRKKMSRLFKRTKDRRKIAGAIKEIDKKLQEVEARRARYTVDSIITKPAGPASIDPRLQALYKRSTELVGIDGPVDKVIKMLSLGDDRNMKIVSVVGFGGLGKTTLAKAVYDKLKPDFDCGVFVPVGRVPDIQKVLRDILIDFGFKVSDVMILDERQLIDKLQNFVQKMRCFIVIDDIWDKKSWELIRCALQDCKCGSRVVATTRISEVATHVGDVYKMQPLSRDDCEKLLYARIVDSEGKCLDSPSVEACDKILKKCRGVPLAIITIASLLASKPMEDWPVVYNSIGFGHEGNDDVANTRRILSFSYYDLPSHLKPCILYISIFPEDYEINKNLLIWKWIAEGFVHVEHVGIGLFEVGEGYFNELINRNMIQLVKAENEGYISSCRVHDMVLDMVRSLSSEENFVTLWDSSEKQKLPRRNARRLALQSRSIKEQNGNQLASTSMEQVRSFIANDCDDISMLFPRFRVLRVLILEDCDDVEDVEGCGGNSVDHLGSLLHLRYLGLPDTDISKLPKEVGGLKFLQTLDLWNTGIKELPQAVGLLTQLLCLHTDRSTTVPAGLIGKLTSLQELWTWPGSAYYRDMDPVAGAASTRRFAKELGNLRELRVLRASIYAVDESTERDLMESLLGNLQKIQSVDIFGSPLERGVTWDAGFASRWRLRHLNLECFELSRLPASVNSSLLPNLSHLDMKVQVMQEQDMETLGRLPELRCLVLDSRYTKVVRIKNTGSDCYFKKLRFFTMGSSSILFDVQGSECAIMPSLESLAFSVHVRFLKDADLLCFKKLGLVNLPSSLQRVTVEINSWDAHDTEVEEAEAMLEHAAIVHPNHPIFQTTRPFGKYIMLLPDQEPSSTNPKVDRCDVNLRKNDGDHLDFRWLLMNPRVEKFCVSINCENASLEEVEEAEAAARYAVDVHPNCPTLELVRYGEDKMVLPNPQQQVVVMVNLSSFLLLPPNNYLNYPHWLHLSPPLSAWDGVRGVAASATGGTTVPVINPAVSAADPASVVGEKLKAAVLAANPVLAASAMGGGSIGHVGGRRGGRSCVRSGVDSGWGGLFNAIDTILIIGRGAFSIAIMELVMGAMENLIPKLGELLKEEYVMQSGVREKIQSVSRELESIHAALRKIGKVPWEHLDDELRLWAHDLREASYDMEDIIDSFLVRVDGHEASEVHWFKWFLEKMTNQFNKIKASHEIGVAMKEIDEKLQEVATRHARYTIDNIAINPAGPATVDPRLLSMYKTLAELVGIEGPMDELMKMLDIDLPTKKRKIEIDVSVRKPKMVSIFGFGGLGKTTLAKAVYDKLKPSFDSGAFIPVGQNPNIRKVFRDILMDLDKQSYNDLNLKLLDERQLINKLQEFLQKKRCFVVIDDIWDKDSWRLIRCALQDSNHESRVVTTTRIYEVATQVGEVYKMHPLSHDESKKLLYTRIISGEGESLRSTSVEACDKILKKCGGVPLAIIIIASLLANKPREYWSEVYNSIGLEHGYNDDVDNTRRILSLSYYDLPLHLKPCLLYLSIFPEDYYIEKNLLIWKWIAEGFVHEKQAAKLGLFETGEGYFNELINRSMIQPVEHEYSGYMCGCRVHDMVLDLILLLSGEENFVTVVDGSKEHELSWKNARRLALQHWSFEENRNQLANMGVKQTRSLIMTECFDKNMQLPSFQVLRVLEIQKQGRWWNIDGKINLQHVRNLLHLRFLHLDCIDSIPLIEQFRNLRFLQVLHLKESNIQELPESVGLLTKLLSLRVDIDVRVSPGVIEKLTSLQELYLWPYSDDTFQFVKVLGKLRELRVLHAKNLKLDGQGETSALLESLCNLHKIQTLDIDLNLNPNEGVTWDAGFTSPQCLRYLCLVSLRFHRMPEWINWSLLPNLSYLELRVNFLEELDLETLGRLPKLRYLHLFIHCDRIVSIGKIAGAGDACFQELRFLNTPYLYVRFDQHGIMCSKDEKAVMPNVKTLSFCVYVRILKDTDILGFDKLFSFAHLGRSSLQQVQVNIQCRGARAMEVEEAEAALAHAAAIHPNRPTLQIHKFSEGEMLPPHEEPSSFFPKVVVENLNAHERKDDDLRFVLGQMLQRNPCVKKFSVSINCENACLEEVEKAEAAARYAVDFHANRPTLELVKYGEDKMVLSGQHQQRTKTGFHPAATLEGVRRHGNALRRLERHHCRPGQGRAWFSPVVHRLLIKSCSPLLQLPTLHRQYVNIAAPTPLVGQPPLPPCRPPTRRHRIAGKPLPPPVVPPCAVLASAVTDHTSSCRANFHDHCGTAGSLRCHLHALPCRPPPLPACAGCALPSSAAPARVALLAIAIMDLMKAMGRLLPKLGQMLKDEYDLHTGIRKKIQSLSRELEDVHAVLRMVGEVPPEQLDGTVELWAHDLREASYDMDLPCARRRHGTSG >ORUFI08G04730.3 pep chromosome:OR_W1943:8:3745364:3747583:-1 gene:ORUFI08G04730 transcript:ORUFI08G04730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLRKKVRKLFEQSNARRKIAGDIQKMNRRLLVEVAARRSRYTVNDIPRPIVPVKYLSLLPNLSYLELKINVLDGQDIEALWGGGGGLPELLSSTKTPNGGYFQKLRVFNTPFCYVRFDLHGIMFSEEKAVMSNLRYLEFLVYVWILKDAGLSGFNELLNSAHLGTNSSEVSKLISTVVVPMP >ORUFI08G04740.1 pep chromosome:OR_W1943:8:3772323:3775841:-1 gene:ORUFI08G04740 transcript:ORUFI08G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKKPSRIEPFRHKVETDPRFFEKAWRKLDDAIREIYNHNASGLSFEELYRTAYNLVLHKHGPKLYDKLTENMEDHLQEMRVSIEAAQGGLFLVELQRKWDDHNKALQMIRDILMYMDRVFIPTNKKTPVFDLGLDLWRDTIVRSPKIHGRLLDTLLDLIHRERTGEVINRSLMRSTTKMLMDLGSSVYQDDFERPFLEVSASFYSGESQKFIECCSCGEYLKKAQQRLDEEAERVSQYMDAKTDEKITAVVVKEMLANHMQRLILMENSGLVNMLVEDKYEDLTMMYSLFQRVPDGHSTIKSVMNSHVKETGKDMVMDPERLKDPVDFVQRLLNEKDKYDSIVTTSFSNDKSFQNALNSSFEHFINLNNRCPEFISLYVDDKLRKGMKEANEEDVETVLDKVMMLFRYLQEKDLFEKYYKQHLAKRLLSGKAASDDSERSMLVKLKTECGYQFTSKLEGMFNDLKTSHDTTQRFYAGTPDLGDAPTISVQILTTGSWPTQPCNTCNLPPEILGVSEMFRGFYLGTHNGRRLTWQTNMGTADIKAVFGNGSKHELNVSTYQMCVLMLFNSADCLSYRDIEQTTAIPSADLKRCLQSLALVKGKNVLRKEPMSRDISDDDNFYVNDKFTSKLFKVKIGTVATQKESEPEKMETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVTEVTKQLQPRFMPNPVVIKKRVESLIEREFLERDKTDRKLYRYLA >ORUFI08G04750.1 pep chromosome:OR_W1943:8:3777893:3781351:1 gene:ORUFI08G04750 transcript:ORUFI08G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGIKKSRTDFYFLEGPSKQDRWRLRWTLKKKEDVPSSSHAYGAPAPSMAATKPPSCSTRLRSPATTAACFLPASLLLFLFFLLLRRPPMGSFPPTIPGGSVSSRRAELYGRMARDLDERGAAFLDGGETSQSLTLSELFDTTRDGAVVPRLKAANPPVRANVLYLDPEFAAVISKAVKEVFLPYFNQAIWFQNMSIYHFSMFHASHHLEPIIATEDEIEAEVDAVKRVTDDVCPLKIILDQVVLTSTGVLLGLWQVESGTDPADIRSRLREALPRAPQKQLYDPVMLHTSLARILGHPKLPQEGNAQSLDHVKFFHDLVAQVNSKIRGFQATVKELWFVEEYDVLALALNGKMKVRKLQFGCKEGQGNGKI >ORUFI08G04760.1 pep chromosome:OR_W1943:8:3781884:3784094:-1 gene:ORUFI08G04760 transcript:ORUFI08G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQPAASDPAPPPPPETEEQPAAAGGEEIAALDEQLAVADGGGEDGKAAAAAEGGGGGGKLVAETMRKYAAPRSSRYHGVTRLKWSGKFEAHLWDNTSQVEGRKRKGKHGSYVTEENAARAHDLAALKYWGAGPNTKLNFNVSDYEKEIERMKTMSQDEFVVYIRRQSSCFSRGTSSYRGVTRRKDGRWQARIGRIGESRDTKDIYLGTFETEIEAAEAYDLAAIELRGVHAVTNFDISNYCEDGLKKLEASSEVPKLLEGPSKAAKLAGR >ORUFI08G04770.1 pep chromosome:OR_W1943:8:3784291:3794048:1 gene:ORUFI08G04770 transcript:ORUFI08G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVLGLVWEKRPRVWGLLVCAVVGAFVAGESPSSRISSPQRRSASSESEIILAGEHAVVHGSAAVAAAIDLYTRCSLRLLPLADDEAGAAVLELDLRDPGLTFSWPCAQLREALLTEEVAGAQEARPCSPDRLASIARLLEEHEIPEAKVWLSAGLSAFLFLYTSILGCRPGKVTVSSDLPMGSGLGSSAAFCVSMSGALLTAAGMVAAVDGISSKGTGWELVGKDDLELVNQWAFQGEKIIHGKPSGIDNTVSTFGSMIKFKKGELTNLKSRNPIKMLITDTRVGRNKKALVAVNSISEEVSSLVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAEGGGCVLTLIPTLLEKVIAELESQSFRCFIVEVGGQGFQVCQGGCSCFNGDVV >ORUFI08G04770.2 pep chromosome:OR_W1943:8:3784291:3794048:1 gene:ORUFI08G04770 transcript:ORUFI08G04770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVLGLVWEKRPRVWGLLVCAVVGAFVAGESPSSRISSPQRRSASSESEHAVVHGSAAVAAAIDLYTRCSLRLLPLADDEAGAAVLELDLRDPGLTFSWPCAQLREALLTEEVAGAQEARPCSPDRLASIARLLEEHEIPEAKVWLSAGLSAFLFLYTSILGCRPGKVTVSSDLPMGSGLGSSAAFCVSMSGALLTAAGMVAAVDGISSKGTGWELVGKDDLELVNQWAFQGEKIIHGKPSGIDNTVSTFGSMIKFKKGELTNLKSRNPIKMLITDTRVGRNKKALVAVNSISEEVSSLVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAEGGGCVLTLIPTLLEKVIAELESQSFRCFIVEVGGQGFQVCQGGCSCFNGDVV >ORUFI08G04780.1 pep chromosome:OR_W1943:8:3797411:3798577:-1 gene:ORUFI08G04780 transcript:ORUFI08G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSRDVRGGAAKPPAVAAPAAESRRHSLRPSRTALVLTSLAFCRGRRDDAVHAYSSLPLEEPPAKGAHVSVDFDFRALPGEEDAKRGLKAALEDAAPARRCASFNASSPPPAAKEAEVRALPGFDQGIMSGLRGIVAAEPSRSPERENPTTPKASEEEAAAAAFDDMGTPAARDIPEVTGFVRARVDEFHEKIEKKKKAAKAADEEALDVAPPPPRRPERVTKASAVVVVYFTSLRGVRRTFEDGRAVRAILRGHRVRVDERDVSMHAAFRAELRGLLGDGFAGPPPLPRVFVGNGRHDLGGADEVRALHEAGELARALAAAGCEQHAADAAAGACAACGDMRFLPCEMCYGSCKVFAGDAVAGMFWRCPDCNENGLIRCPVCCY >ORUFI08G04790.1 pep chromosome:OR_W1943:8:3802488:3804155:-1 gene:ORUFI08G04790 transcript:ORUFI08G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGGSGPMVGVGQGLAAVRAIGATGRETTADIGEMGKGGSVQGGRDKEGARRCNAHVEG >ORUFI08G04800.1 pep chromosome:OR_W1943:8:3804838:3812919:-1 gene:ORUFI08G04800 transcript:ORUFI08G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPEITGQQSPNATSIVTDLMDLDDIIVEGNEVQFAMDVDLRAIPSLKDGGHTDPLVQIPGDMSHMDVDLRVIPSLKDGGHADPPVQVPVDKRIASLEKLCKEASRSFFRETRLVSHQINSYNDFVSHGLQKMFDSLDEVTVEPDYDPSKKVGPWRHATIKFGRVELEEPVFWVDNCDLDVETLKLKPKHARLQKMTYSSKMKVEMTVQVYSLHKSDKAKTGEDPYIQRKDIMKETKWVTIGKLPVMESECEYDFGGYFLIKGMEKVFVAEEQRCLSRIWINDSPTWEACYQRSQIRREKISIKPVQSNDGFRKVINLYFLGATIPIWIMFFALGVSSDKEAFDIIDIQECDASMANIISATITESHEQCEGFQREGRASEYIDKLIRNTKFPPKGSFDEYIGRHMFPDVSGNRSKALFLGYMVRCLLLASSGNRKSDNRDDFRNKRLDLACELLQRELWVHIMHAQKRMVKVMQRHLSGDGDLQPLECYVHASIVTNGLNRAFSTGSWCHPFNKRERCSGIVATLRRTNPLQMMSDMRKTRQWVAYAGKAGDARYPNPSYWGKLCFLSTPDGEKCGFVKNLAITAIVSCLAREPSVDALVSCGMKKLDELLLQEISGKDRIFLNGNLVGVCADSVEFVLHLRSMRRRKQIDAQVEIKRDKQNKEVRIFSDPGRILRPLLIVENLRNIMNRKNGSYSFQELMDQNIIELIGVEEEEDIRCAYGIRHLFAGDEEKNFSFYTHCELDPSFLLGLSCSIIPFANHDTAKRILMQAEKISQQAIGYSTTNPLFRVDTHSHQLYYPQRPLFKTVAADCLGKRDYTSGSKHDFARPEYFNGQNAIVSISVHQGFNQEDSLVLNRASLERGMFRTQHFKSYKALIENKEITKRLKHKENINFGKTPSKKGLVDSLDIDGLPYIGASLQSNDIIIGKVSDSGEDHSIKLLHTEKGIVEKVVLSATDDGTNSAFVTLRQTRSPRIGDKFASMHGQKGVIGFLDSQENFPFTHQGIVPDIVINPHGFPTRQTPGQLLEAALGKGIALGGATRYATPFTSPSVEVITEQLHKAGFSRWGGESVINGRTGERAASPVFTGPTFYQRLHHMAEDKVKFRNTGPVHPLTRQPVEDRRRYGGVKFGEMERDCLLAHGAAANLHERLFLLSDVSRLHVCRRCQRAAVVVSPAVAADGGGGRKVRGPYCRFCRSAEEVVRVSVPYGAKILYQELFSMGICLKFDTELI >ORUFI08G04810.1 pep chromosome:OR_W1943:8:3820130:3821031:-1 gene:ORUFI08G04810 transcript:ORUFI08G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAQLKDKFCGLIGRIASCGRAAHKDAAAGVKETHSASSQHTEIRSRGLPPSVSGGSKPHTN >ORUFI08G04820.1 pep chromosome:OR_W1943:8:3825073:3825934:-1 gene:ORUFI08G04820 transcript:ORUFI08G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTRWPPRRRRPWPASAGGVDAGAAVAEALPVGSASEVAAAAASGGGGGGAADSHLMSHVVSSFSGAARPMRRVTGTLFGHRKGRVALALQETPRCLPTLVIELAIQTNALLRELANPAGARIVLETERRAPSTDAAAGKHRRAPLLLDVAAWTMFCNGRKTGLAVRREATDDDLAVLETLRPVSMGAGVLPASNRSSSSSSQSPEKGAAAADDEVAYMRGCFDHFVGSRDSESLYMIAPQGGGTGPELAIFFVRL >ORUFI08G04830.1 pep chromosome:OR_W1943:8:3830191:3830625:-1 gene:ORUFI08G04830 transcript:ORUFI08G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGGGGGGESQQQLFERLHGELVREAEDVAAASFGADITVLAVSPRSGEPRVSRFHGGSGGAGGEELERAVGVSTEEIARMGRDEVAALLERLRLLRMVVLRRMVVQRQRRQRLRRQRPAAPPRSIMVVQKRRRRRQIC >ORUFI08G04840.1 pep chromosome:OR_W1943:8:3833157:3833519:-1 gene:ORUFI08G04840 transcript:ORUFI08G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGDAEEEKQQQSPSSSTFERLHGKLVREAGELAASCGADVTVLAVPPARSSSFAGGGVTRFVGGGGGAAAVPRPEEVASMGPDEVVALDERLRSLRLLVMRRIKAEQEKAATKP >ORUFI08G04850.1 pep chromosome:OR_W1943:8:3839310:3840738:1 gene:ORUFI08G04850 transcript:ORUFI08G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPALARLDVSTVAAGAGKQQLVHARRRRRPAHVHRLPVPGAGSAIRLACSSPFLGGSNGSRSLKHNAAAGEKSAADHAAGALEDELIQKENSGGDAAAGASPPSSCDNHGAPQQIEVTADTNDGDKEKTNGPARDVHMKAKLLGYNLEPGSGPHYNHLGPV >ORUFI08G04860.1 pep chromosome:OR_W1943:8:3849428:3849985:-1 gene:ORUFI08G04860 transcript:ORUFI08G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKGVGRRGKARRPGALTSWTCAGEWCSVGPNYNCNVVFTCTRTCWGFYLVTLAKLLSLKLIVALGAVQKPMCSGVTELRLSSAEEHARFRRIVFEVNSICTTLWSHRPRRMCPSPCRSPLPSFLLVASLLPTHRQAPSSGFYLLPPPNDHHLSPYWSPATTHLSRDSASGVLFTCMHGHSWS >ORUFI08G04870.1 pep chromosome:OR_W1943:8:3850755:3856306:1 gene:ORUFI08G04870 transcript:ORUFI08G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIFACGSISSAHGSCFRPACLAVDDLRLFYKINSVTCGAYSWRWCVKKLHMRTNRRQMGTTVRTNARWLFGGDGRSSSNARMERSESANEDILIFYFQLDLQTRIQIETVIIRQREAKRGSSKTEAQDKAINLLRVRADLQKAVDSENYALAAALRDEIAKLETESLAVSAKALAYQNVKYAFRLGQKVRHKVLVDVYADPELLVAYVAEENLAEAEISEKGRFDHPYIEFLFFGEDTAGDFIPIKQLREKYDQPRYEASGDEDDDDGSTDS >ORUFI08G04870.2 pep chromosome:OR_W1943:8:3850755:3856401:1 gene:ORUFI08G04870 transcript:ORUFI08G04870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIFACGSISSAHGSCFRPACLAVDDLRLFYKINSVTCGAYSWRWCVKKLHMRTNRRQMGTTVRTNARWLFGGDGRSSSNARMERSESANEDILIFYFQLDLQTRIQIETVIIRQREAKRGSSKTEAQDKAINLLRVRADLQKAVDSENYALAAALRDEIAKLETESLAVSAKALAYQNVKYAFRLGQKVRHKVLVDVYADPELLVAYVAEENLAEAEISEKGRFDHPYIEFLFFGEDTAGDFIPIKQLREKYDQPRYEASGDEDDDDGSTDS >ORUFI08G04880.1 pep chromosome:OR_W1943:8:3873661:3875011:1 gene:ORUFI08G04880 transcript:ORUFI08G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLARLAGKQQQLLLQYALRRSPAPPGRRLPGVVPALPLLWSAPFLGGSRRLKSYNAAAGVAVGGDKAAADHHHRHAVGADLDVGELASEEHYSAAGTSSSSSREYHSVAQTVAAADGDFDGGEKMATRPAARGGGAKASVLLGWGEPEPGGGPHYN >ORUFI08G04890.1 pep chromosome:OR_W1943:8:3878164:3878445:1 gene:ORUFI08G04890 transcript:ORUFI08G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSPASPPPSFLIGGAQAQLLRHREEMLLVLPSPPSGRQLPSEEEEAAPCAVNGRSTILAAADDSKPTRPGAPAEGSGGNGGAIHTAASS >ORUFI08G04900.1 pep chromosome:OR_W1943:8:3887213:3887542:1 gene:ORUFI08G04900 transcript:ORUFI08G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLPSPTSPSFYVSSSPRHQPGLLLPLPSPSSSDRRRPMQLAVAAAMDTTPRQGGVLLEMRPRGGGGGAPRRPAPPAGPREGRGGVVVVHAVARDAPPETGSGPKIH >ORUFI08G04910.1 pep chromosome:OR_W1943:8:3892092:3892598:1 gene:ORUFI08G04910 transcript:ORUFI08G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTSPSSFLPAHLLRPHAASLAGANVLVRDAPPETGGGPHHNAVLRQPPVMLAAAAGTPEQGSGPHYNAVTQWKPRGGDQLRLPASPPVILAAASTPEQGNGPKTNAVLRRPTPPGGAGPREGSGGRGGVIHAVADSAPQRPGAPAEGAGGNGGAVHVAPAAAASS >ORUFI08G04920.1 pep chromosome:OR_W1943:8:3894673:3895086:1 gene:ORUFI08G04920 transcript:ORUFI08G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAPFLIPAHLLQPHAASASSGLQLAGANVLLRDDAPPEGGRGPHHNAVLLPQPPVMLAAAAGTPEQGNGPKINAVLRRPTPPGGAGPREGSGGRGGVIHAIADSAPQRPGAPAEGAGGNGGDVHVAPAAATSS >ORUFI08G04930.1 pep chromosome:OR_W1943:8:3897511:3897881:1 gene:ORUFI08G04930 transcript:ORUFI08G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLPLPGRFSGVFLSSPHATSCSWSYTLTAAAHAGISSVPITTKNSSMLVFVSSSGGTHGQGKEAASAQEGRAGEVAGSGESETEACRADQGPLGGCK >ORUFI08G04940.1 pep chromosome:OR_W1943:8:3898802:3899350:1 gene:ORUFI08G04940 transcript:ORUFI08G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALASPTSPSFLPAHLLRPHAASSSSGLQLAGAKVLVRDAPPETGGGPHHNAILLRQPPVMLAAAAGIPEQGSGPHHNAVPQWKPRGGGELRLPASPPVILAAAGTTPERGNGSKTNAVLRRPTPPGGAGPREGRGGRGGVIHAVADSAPQRPGSPAEGAGGNGGAVHAAPAAAAAAASS >ORUFI08G04950.1 pep chromosome:OR_W1943:8:3902262:3902780:1 gene:ORUFI08G04950 transcript:ORUFI08G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMALAGACRRRPQLLRPSAARRLSFVFLSRPFPPAPAPLLQLIQRQRHRSSSSSSISENGAAAPVTENLQAAAARRRRQSAGGRPAAPRGGRGGGGVRPTPPGNPREAQKGGGVIHAVAPPPAAPTSSKPKPEPPGYPREGGGGNGGVVDDVSPSSTDTSTSSSSSSSS >ORUFI08G04960.1 pep chromosome:OR_W1943:8:3905425:3906004:1 gene:ORUFI08G04960 transcript:ORUFI08G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDEKVVKKSSASGGLHGEEQVDGGHVVTAETEMAAAAAPSRQPQSILIRAFAGCLLALCGGGGGDPSDPPPLRPRPHGATAAVTDTTSAAAAAAAAHHLQHEADGGSKHVVTGFNLEIRFYAGDDRNFRNFWSKLFENLTEFE >ORUFI08G04970.1 pep chromosome:OR_W1943:8:3908522:3909737:1 gene:ORUFI08G04970 transcript:ORUFI08G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENTFQEGTAMASSSSSSSFLAHPSSLLRQVVHGFAGYLAGLCRSLQNLKPSAAPKQDADDEFAVNNTAASSSEEVENVQMRTRAMPRSERPVLREGNGGKGGAHHNAGL >ORUFI08G04980.1 pep chromosome:OR_W1943:8:3912433:3912960:-1 gene:ORUFI08G04980 transcript:ORUFI08G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAEQHHRQEETAAATTTPQQMMMRRRRARASSEYLGVRRRPWGRYAAEIRNPYTKERHWLGTFDTAEEAAVAYDLSAISISGAAAARTNFLYPDMHHHHPSPPQHALSPAVPPPPPPPPPSPLYDDDYLSPAAAEEEVEAGDDESLTIATILQSFQYQQSVPPASSGSMFYY >ORUFI08G04990.1 pep chromosome:OR_W1943:8:3920137:3920424:1 gene:ORUFI08G04990 transcript:ORUFI08G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRLDPGGEPTQGNQGDVGATASTKQHHDDDDGKFGVLWRKAEQLGPSLLLGWRWRATPRRASSGPSPTSTLLDGDGGRARVDGIVEEIHVSL >ORUFI08G05000.1 pep chromosome:OR_W1943:8:3924520:3926905:-1 gene:ORUFI08G05000 transcript:ORUFI08G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAVSGDAGARARVRVLAVSRVAPSPAPVERERVGLSFFDTPWVVLPPIQRVFLYEMSAAAAADGGGGDGFAAAVERLKGSLAATLVLYLPLAGKLVYVEETEDVVVDCAAADDADAGVAFVEAEAEDAAAEDMDVLRLAGDEAHDVAAFLALVPELDTRALPAPVLSVQATRLGGGAGLALGLSVHHAVADGRAVWQFMEAWSSAARVGSPVTKSLGAPHYRREAAIPQPDGGELARHMLKLVAPKLPAVASGDQQDFSQRFRLARRTFHLGADAIRALKRRIDELASAEEAADGGGAAKPVSTFVALAAMGWAAFVRSKALADGDDTYLIFLADLRARLDPAVGEGYLGNCVRMCLARCANAAELRGERGLLRAARAVQAAVAEMEAAPLAGTADGSAIARVMQIPFSRMANVAASPRFGAYGAADFGFGRPARVELVSMNHDGEMVLVSGRRDGEVQLSVSIDPAHVDAFKAQVLG >ORUFI08G05010.1 pep chromosome:OR_W1943:8:3939515:3956704:1 gene:ORUFI08G05010 transcript:ORUFI08G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTEVGITDDTSEDERQLIDKIRDHLKDKRYFVVIDDVWDVEAWEAVKLALFNNRCGSRIVMTTRNAAVASHCSRGGVCVYQMEPLSFADSKMLFCQRAFRSQELYYPHLEEVCDEILAKCGGLPLAIITVSSLLAGKHAKDEWDRMLTAIGHALAKNPDAANMTKILSLSYFDLPHHLRTCFLYLSVFPEDYKISKQHLINRWIAEGFVHEEQGWRTYEVGGNYFNDLINRSLIEPVDINDGQAKACQVHDIILDFITCKAAEENFVTSVNSVEHGNISECRVHRLCVKNHNNEKVSKPTSLNVTHVRSLTMFGHVDGISLFAFPILRVLDLSYSLLKDKHLKNIEKLHFLKYLSLRSTLITKLPRKIGQLNCLETLDISYTEILELPLSIAKLECLANLYVGRGTRFPDRLIGKMHSLVELEEFGVSCELGKSLQGFSQLSKLRTLKVHLFWWSDAEECQNYVSALLSSNLHHLYLTGGPLIMEKWYPPSPCIIRKLHIIGCYIRKVPNWMSSLGSLTELQLWIHRMGPNDIEILGAIPSLCFLKLKTMCGINGRIIICSNKGFRSLTYFSLRIKRCGTLLEFEPESMPKLDNFQVEFRLHGMDCLNGASDFGIQHLSALTKVKIGIWGNICSDGIYDPEQDMNNSITRSVVSLIKAAIEKLPNHPTSRFHLEYDHGSCLLGETSQEFEGQGPDEAYPRQHKIFSLRELEDATNCFSDSNVLQRGRFDGSMYKGRLGDGSLVVVKKDYISMGYPNIDWRTRHFQTQVEMPVHRNLMRLHGFCITPTKRTAAI >ORUFI08G05010.2 pep chromosome:OR_W1943:8:3948266:3956704:1 gene:ORUFI08G05010 transcript:ORUFI08G05010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLVGVSTGVMNTLLSKLSKILEDKYTKLKGVRRQIAFFRNELSSMKAALEMLETVEELDPLQKEWRDTVRELAYDIEDCIDPFLVLVDQKQDEQSTFFKGFSYKLKKMKARHEISNEIEELKTRVIEASKRHKRYNFVGLQSSHGTSGIDPRLRALYVEVDELVGIKGPKEHVMEWFAKGRGDVEVKVLSVVGSGGLGITDDTSEDERQLIDKIRDHLKDKRYFVVIDDVWDVEAWEAVKLALFNNRCGSRIVMTTRNAAVASHCSRGGVCVYQMEPLSFADSKMLFCQRAFRSQELYYPHLEEVCDEILAKCGGLPLAIITVSSLLAGKHAKDEWDRMLTAIGHALAKNPDAANMTKILSLKDYKISKQHLINRWIAEGFVHEEQGWRTYEVGGNYFNDLINRSLIEPVDINDGQAKACQVHDIILDFITCKAAEENFVTSVNSVEHGNISECRVHRLCVKNHNNEKVSKPTSLNVTHVRSLTMFGHVDGISLFAFPILRVLDLSYSLLKDKHLKNIEKLHFLKYLSLRSTLITKLPRKIGQLNCLETLDISYTEILELPLSIAKLECLANLYVGRGTRFPDRLIGKMHSLVELEEFGVSCELGKSLQGFSQLSKLRTLKVHLFWWSDAEECQNYVSALLSSNLHHLYLTGGPLIMEKWYPPSPCIIRKLHIIGCYIRKVPNWMSSLGSLTELQLWIHRMGPNDIEILGAIPSLCFLKLKTMCGINGRIIICSNKGFRSLTYFSLRIKRCGTLLEFEPESMPKLDNFQVEFRLHGMDCLNGASDFGIQHLSALTKVKIGIWGNICSDGIYDPEQDMNNSITRSVVSLIKAAIEKLPNHPTSRFHLEYDHGSCLLGETSQEFEGQGPDEAYPRQHKIFSLRELEDATNCFSDSNVLQRGRFDGSMYKGRLGDGSLVVVKKDYISMGYPNIDWRTRHFQTQVEMPVHRNLMRLHGFCITPTKRFLVYPYMSNGTVASQRPPYEPPLDWRTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANISLDEDFEALVGGFGLAKLMDHMDTDEPNAVRGTIGHIAPEYLSTGIISEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKRLEMLVDPDLQSNYIDVEVESLIQVALLCTQGSPTERPKMAEVVRMLEGDGLAERWEEWQKIEVVRQEVELVGNSHTLFI >ORUFI08G05010.3 pep chromosome:OR_W1943:8:3948266:3956704:1 gene:ORUFI08G05010 transcript:ORUFI08G05010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLVGVSTGVMNTLLSKLSKILEDKYTKLKGVRRQIAFFRNELSSMKAALEMLETVEELDPLQKEWRDTVRELAYDIEDCIDPFLVLVDQKQDEQSTFFKGFSYKLKKMKARHEISNEIEELKTRVIEASKRHKRYNFVGLQSSHGTSGIDPRLRALYVEVDELVGIKGPKEHVMEWFAKGRGDVEVKVLSVVGSGGLGITDDTSEDERQLIDKIRDHLKDKRYFVVIDDVWDVEAWEAVKLALFNNRCGSRIVMTTRNAAVASHCSRGGVCVYQMEPLSFADSKMLFCQRAFRSQELYYPHLEEVCDEILAKCGGLPLAIITVSSLLAGKHAKDEWDRMLTAIGHALAKNPDAANMTKILSLKDYKISKQHLINRWIAEGFVHEEQGWRTYEVGGNYFNDLINRSLIEPVDINDGQAKACQVHDIILDFITCKAAEENFVTSVNSVEHGNISECRVHRLCVKNHNNEKVSKPTSLNVTHVRSLTMFGHVDGISLFAFPILRVLDLSYSLLKDKHLKNIEKLHFLKYLSLRSTLITKLPRKIGQLNCLETLDISYTEILELPLSIAKLECLANLYVGRGTRFPDRLIGKMHSLVELEEFGVSCELGKSLQGFSQLSKLRTLKVHLFWWSDAEECQNYVSALLSSNLHHLYLTGGPLIMEKWYPPSPCIIRKLHIIGCYIRKVPNWMSSLGSLTELQLWIHRMGPNDIEILGAIPSLCFLKLKTMCGINGRIIICSNKGFRSLTYFSLRIKRCGTLLEFEPESMPKLDNFQVEFRLHGMDCLNGASDFGIQHLSALTKVKIGIWGNICSDGIYDPEQDMNNSITRSVVSLIKAAIEKLPNHPTSRFHLEYDHGSCLLGETSQEFEGQGPDEAYPRQHKIFSLRELEDATNCFSDSNVLQRGRFDGSMYKGRLGDGSLVVVKKDYISMGYPNIDWRTRHFQTQVEMPVHRNLMQRPPYEPPLDWRTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANISLDEDFEALVGGFGLAKLMDHMDTDEPNAVRGTIGHIAPEYLSTGIISEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKRLEMLVDPDLQSNYIDVEVESLIQVALLCTQGSPTERPKMAEVVRMLEGDGLAERWEEWQKIEVVRQEVELVGNSHTLFI >ORUFI08G05020.1 pep chromosome:OR_W1943:8:3958670:3960879:1 gene:ORUFI08G05020 transcript:ORUFI08G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAGLLRRARPPRRPSVRRLSGLLDRYGFVPPASLTPHSASDDGGAKKRRPKKPPYRPPSSLDRGGRPAARSDLPFDFRFSYTESSPGDKPIGLREPKYSPFGPGRLDRPWTGLCAPAVDTTLRDAHADDPAPAAERELEEARRRERERVLGEPLTPAERAFLVSKCQKSRTKKQINLGRDGLTHNMLNDIHNHWKNDEAVRVKCLGVPTVDMQNVCHQLEDKTGGLIIHRHGGQLILYRGRHYNPKKRPVIPLMLWKPAEPVYPRLIKTTIEGLTVEETKEMRKKGLYVPVLTKLAKNGYYASLVPMVRDAFLTDELVRIDSKGLPKSDYRKIGVKLRDLVPCIIVSFDKEQIIVWRGKDYNGTIQDNTQKTSVSVLEEESAGAESENGDQEQASSDWASDECSQLSSSDEMPDDKSAISEADSD >ORUFI08G05030.1 pep chromosome:OR_W1943:8:3966341:3968249:1 gene:ORUFI08G05030 transcript:ORUFI08G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRGFPATLVTLLRLVGCLLLAFFLAAPPCAAAQQVKTSHAQFAFHLPLPDGVTGAESLAFDSSNHGPYTGVSDGRVLRWGGAAAGWTTFAHHENYRKIPMCTTPVAPAEETESMCGRPLGLAFHDRTGDLYIADAYKGLMRVGPRGGEAEVLAAGADGVPFNFVNGIDVDQATGDVYFTDSSTTYPRRFNSEIMMNADATARLLKYDAATKRVTVLRAGLPYANGVAVSRDGSHAVVAHTVPCQAFRYWIKGPNAGEYELLADLPGYPDNVRRDANGGYWVALNQEKARLDATAAAAVAPPAKHLVGVRLDGDGVEVEELTAAKGVTLSEVVERGGKLWLGSVELDFIGLMQ >ORUFI08G05040.1 pep chromosome:OR_W1943:8:3973504:3974594:1 gene:ORUFI08G05040 transcript:ORUFI08G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMWLTVLTKNERIGAGETEEATTGEASSRHGARCRWSGALRGYGPMRRPHIPCVTAAVAGEAITPSRCVVPKVVPLARSGKPKVGSIELNGVAAAA >ORUFI08G05050.1 pep chromosome:OR_W1943:8:3977620:3977970:1 gene:ORUFI08G05050 transcript:ORUFI08G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGLKGEKQVACVAFPTQDARGEARRWSGALRGCSPRASVSPLKWCRSPDLANPRSDLSSSTRCWRRPREEKEDGGDGGLGRRRKMVVATA >ORUFI08G05060.1 pep chromosome:OR_W1943:8:3981659:3984213:-1 gene:ORUFI08G05060 transcript:ORUFI08G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVSSKTLLKLSIPRIKLLRNRRELQLRQMRRDIAKLLEAGQEATARIRVEHIIREENMMAAQEILELFCELIAVRLPIIETQKECPIDLKEAISSICFAAPRCADLPELMQVQMMFATKYGKEFVAAATELMPDCGVNRQIIELLSIRAPPVDVKMKLLKEIAEEHEIDWDPSATETEYLKPHEDLLNGPTYFNGSTLPLPKEKHEETLAASAAEHPDEDYESDTGLESLDLPEVPKAAIRPPSDTPATPDIDTHVQSSQPTSHEFSNMPSSQPTSHEFLNMPSSQPSSHEFSNIKSSQTTSHEFSNVQTSQLASHEFSNPSDLEENPTANAAFMTQPKGSEHIPTPFAQPSMPVSPNEKKHFVPFASPPPFAVPSLVEKNESIPSPSPSPPVKPTDPGMFRTIDEVTPPPTATDYLFSKQPEQLHSTSAENIANIDLDDVLSAAQTAAETAERAASAARAAANLAQLRIADLKKNSKAYENYSDSVRKESHPQSEVTQKPVFDHQDSFSSDTQGYVPSHLPQRSPLMEDDPYFSYPNLFSSKP >ORUFI08G05070.1 pep chromosome:OR_W1943:8:3985619:3987000:-1 gene:ORUFI08G05070 transcript:ORUFI08G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLPIRPDEIQRTEPAQIEMQAAQEVEVPPPSPTGTQDHTSIFRWQRQSIQEPNRLKTLSLAVAEFFT >ORUFI08G05080.1 pep chromosome:OR_W1943:8:3987100:4002566:-1 gene:ORUFI08G05080 transcript:ORUFI08G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRSSTRSATPITHRNTRSHVQPPLKPWSPLSPKPSLLPLASASGRRRRRREATACCCSGLPLRRCKTAPCGFGCGVGGGVAGGGGRRAGSKLRRLVRSMAVAPPLPPAPARQLRRWKGSSPRPPPWLSSPFRRTRYLSRPAFAAGGRQDYSPSSGMGVSKTGAFRLGLYGNLNVQSSVQEWVDETKRLFFLRTTNSVRNNITNGTTPLRVGNLRHDPSEDIRSSNYPSLYNQRERGPSNSIVNRHVDTDLAKHRVMYQSAHAVPAPFSVVNNDIKPLNMLDGSKEEIPWHDSVTMESSLPKVSKSEKTLVVDKAIPDKKEHKRITRKVTPNFPDKASLSTESKNARKLLATIYDKVLVVDNVESARSVVKLLTTKYKGFIHACDTEVANIDVKEETPVGHGEVICFSICSGNSDGEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPFIKKVWHNYSFDIHVIENCGIKVAGFHADTMHLARLWDSSRRTDGGYSLEGLTNDYRVMDAVLKDIPKTGKVSMKTIFGRKKVRKDGSEGKTISIEPVEKLQREDRELWICYSSLDSMSTLKLYESLKNKLEAKEWIFDDCPRGTMYDFYEEYWRPFGALLVKMETEGVLVDRAYLSEIEKAAVTERELAADKFRKWASKHCPDAKYMNVNSDNQIRQLFFGGIENRNKRGETWPQSKTFKVPNDEGIATEGKKTPKSRTIKLFTIVEDLKIDMFTPTGWPSVSGDVLRSLAGKIPTDHIYKIDDGQEFDEDGSSVELPEQDIEDTSPYGTAYEAFGGGKKGREACHAIAALCEVFSIDKLISGFIVPLQGDRISCKEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRHAFVAAPGNTLIVADYGQLELRILAHLTNCKSMLEAFKAGGDFHSRTAMNMYQHVRDAVEEKKVLLEWHPQPGQDKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAVGLSWDWKVSVREARDTLKLWYRDRKEVSAWQKKQKAFALEKCEVYTLLGRSRQFPNMTHAGPGQKGHVERAAINAPVQGSAADVAMCAMLEIERNARLKELGWRLLLQVHDEVILEGPTESAEEAKTIVVECMSKPFYGTNILKVDLAVDAKYAKSWYAAK >ORUFI08G05090.1 pep chromosome:OR_W1943:8:4002722:4017788:-1 gene:ORUFI08G05090 transcript:ORUFI08G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLCGLEICVMIPQKISGVQTILPCIIKEKEGHLIQFTLKLYESLKNKLEAKEWIFDGCPRGTMYDFYEEYWRPFGALLAGGDFHSRTAMNMYQHVRDAVEEKKVLLEWHPQPGQDKPPVPLLKAAQAGCGIGSGGCSRRRASDDDDDDDGGQDYSPSSGMGVSKTGAFRLGLHGNLNVQSSVQEWVDETKRLFFLRTTNNVRNNITNGTTPLRVGNLRHDPSEDIRSSNYPSLYNQRERGPSNSIVNRHVDTDLAKHRVMYQSAHAVPAPFSVANNDIKPLNLLDGSKEEIPWHDSVTVESSLPKVSKSETTLVVDKAIPNKKEHKRITRKVTLNIPDKASLSTESKNARKLLATIYDKVLVVDNVESARSVVKLLTTKYKGFIHACDTEVANIDVKEETPVGHGEVICFSIYSGNSDGEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSIKKVWHNYSFDSHVIENCGIKVAGFHADTMHLARLWDSSRRADGGYSLEGLTNDHRIMNAVLKDIHKTGKVSMKTIFGRKNVRKNGSEGKTISIEPVKKLQREDRELWICYSSLDSMSTLKLYESLKNKLEAKEWIFDGCPRGTMYDFYEEYWRPFGALLVKMETEGMLVDRAYLSEIEKTAVVERKLAADKFRKWASKHCPDAKYMNVNSDNQIRQLFFGGIKNRNKPGETWPQSKAFKVPNDESIATEGKKIPKSRTIKLFTIVEDLKLFTTEGKKTTKTGWLKVRGDVLWSLAGKIPTDHIYKIDDDGQEFDEDGSSVELPEQDIEDTSPYGTAYEAFGGGKKGREACHAIAALCEVFSIDKLISGFIVPLQGDHISCKEGRIHCSLNINTETGRLSARTPSLQNQPALEKDRYKIRQAFVAAPGNTLIVADYGQLELRILAHLTNCKSMLEAFKAGGDFHSRTAMNMYQHVRDAVEEKKVLLEWHPQPGQDKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAVGLSQDWNVEVREARDTLKLWHRDRKEISAWQKKQKALAFEKCEVYTLLGRSRQFPNMTHAGPGQKSHVERAAINAPVQGSSADVAMCAMLEIERNARLKELGWRLLLQVHDEVILEGPTESAEEAKAIVVECMSKPFYGTNILKVDLAVDAKYAKSWYAAK >ORUFI08G05090.2 pep chromosome:OR_W1943:8:4002722:4012523:-1 gene:ORUFI08G05090 transcript:ORUFI08G05090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPPLPPAPARLLRRWQGSSPWLSSSFGRTRYFSRPAFAAGGRQDYSPSSGMGVSKTGAFRLGLHGNLNVQSSVQEWVDETKRLFFLRTTNNVRNNITNGTTPLRVGNLRHDPSEDIRSSNYPSLYNQRERGPSNSIVNRHVDTDLAKHRVMYQSAHAVPAPFSVANNDIKPLNLLDGSKEEIPWHDSVTVESSLPKVSKSETTLVVDKAIPNKKEHKRITRKVTLNIPDKASLSTESKNARKLLATIYDKVLVVDNVESARSVVKLLTTKYKGFIHACDTEVANIDVKEETPVGHGEVICFSIYSGNSDGEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSIKKVWHNYSFDSHVIENCGIKVAGFHADTMHLARLWDSSRRADGGYSLEGLTNDHRIMNAVLKDIHKTGKVSMKTIFGRKNVRKNGSEGKTISIEPVKKLQREDRELWICYSSLDSMSTLKLYESLKNKLEAKEWIFDGCPRGTMYDFYEEYWRPFGALLVKMETEGMLVDRAYLSEIEKTAVVERKLAADKFRKWASKHCPDAKYMNVNSDNQIRQLFFGGIKNRNKPGETWPQSKAFKVPNDESIATEGKKIPKSRTIKLFTIVEDLKLFTTEGKKTTKTGWLKVRGDVLWSLAGKIPTDHIYKIDDDGQEFDEDGSSVELPEQDIEDTSPYGTAYEAFGGGKKGREACHAIAALCEVFSIDKLISGFIVPLQGDHISCKEGRIHCSLNINTETGRLSARTPSLQNQPALEKDRYKIRQAFVAAPGNTLIVADYGQLELRILAHLTNCKSMLEAFKAGGDFHSRTAMNMYQHVRDAVEEKKVLLEWHPQPGQDKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAVGLSQDWNVEVREARDTLKLWHRDRKEISAWQKKQKALAFEKCEVYTLLGRSRQFPNMTHAGPGQKSHVERAAINAPVQGSSADVAMCAMLEIERNARLKELGWRLLLQVHDEVILEGPTESAEEAKAIVVECMSKPFYGTNILKVDLAVDAKYAKSWYAAK >ORUFI08G05100.1 pep chromosome:OR_W1943:8:4026925:4030390:1 gene:ORUFI08G05100 transcript:ORUFI08G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPTTGGGEAESFFRAAPPLRDQDRVAGDLADFVARHSGKSLPPSPPPSLSSDLISFDASRGSGGGGRLAGVVCVTSGGTTVPLEQRCVRYIDNFSSGQRGAASTEYFLKAGYAVIFIYRRGSKQPYCRFLPEDSFLDLFELGEESDIQVPESHAAVVKTAIRNYRKAIDEGLLLKLPFTTIFEYLQLLQMVGTAMNCLGRQGMFYLAAAVSDFYVPWESMAKHKIESASGPLNMQLNQVPKMLFILRKQWAPSAFCVSFKLETDPDILLQKAEAALRKYGMNVVVANELANYKDVVVMVTSNGRTTVRRPSKEDDVEEQLIDLLVEMHSEHIMQLNQDVHKLT >ORUFI08G05100.2 pep chromosome:OR_W1943:8:4026925:4030390:1 gene:ORUFI08G05100 transcript:ORUFI08G05100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTAMNCLGRQGMFYLAAAVSDFYVPWESMAKHKIESASGPLNMQLNQVPKMLFILRKQWAPSAFCVSFKLETDPDILLQKAEAALRKYGMNVVVANELANYKDVVVMVTSNGRTTVRRPSKEDDVEEQLIDLLVEMHSEHIMQLNQDVHKLT >ORUFI08G05110.1 pep chromosome:OR_W1943:8:4033745:4043799:1 gene:ORUFI08G05110 transcript:ORUFI08G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGELANDGGDASPVTPMPAGGGGGNAASLPRPLPPARPPPILPRCGVVLRQLASACAAEFEAWRMCALPPPDPATCHRRRAVSPAGGCGSKGIRQAAALGIGLEEGREEPGETTAMLENFVPNLSKMLEDKYTKLKGVHSQIAFFRDELSSMKAALEMLESVEELDPLHKDWRDTVRELAYDIEDFIDSFLVLVDHKQDEQSTFFKGFSYKLKKMKACHEISNEIEELKTRVIEASKRHKRYNFIGLVASCTTSDIDPRLPALYVEVDELVGINGPKEHIMEWFAKGIRDVKVKVLSIVGSGGLGKTTLANQVFHQFKCQFECTGITDYTSEDERQLIDRIRDRLKDKRYFVVIDDVWDVEAWKVVSLALFNNRCGSRIVMTTRNAAVASHCSSDGGCVYQMEPLNFADSKMLFCQKAFRSRELYNPHLEKVCDEILAKCGGLPLAIITVSSLLAGKHAKDEWDRMLNDIGCALANNPDAGNMTKILSLSYFELPHHLRTCFLYLCIFPEDYKVNKQRLINRWIAEGFVHEKQGWSTYEVGQNYFNDLINRSLIQPVDLKYGQAKACRVHDIILDFITCKATKENFVTTLDFAEHGHISKFRVRRLCAKNHNEEKVNKSASLNLSHVRSLTIFQHVNGTSLFVFPTLRVLDLSKSGLTENHLESIDKLHHLKYLSLRSTSITKLPTKIEQLHYLQTLDICDTKIQELPLSIAKLECLAHLYVERWTRFPDGLIGKMQSLEELEEFGIYCELGKSLEGFSQLTKLRTLKVHLFWWSDAEECQNYVSALLSSNLHHLYLTGGPLTLESWYPPTPCIIRKLHIKGCYNRKVPNWMSYLGSLTELQLWIRRMGPNDVKILGAIPSLHFLEVRTLCGTNGRIIICGNQGFRSLRYFSLRIKRCGTMLEFEAGSMPKLEHLQLEFRLHGMDCLNGASDFGIQNLSTLTKVEIGIWGNIYSDGIYDPAQDMDDSITRSVVSLIKATIETLPNCPTSRFQLEYDCGNCLLGETSQEFEGQGPDEAYPHEHKIFSLQELQDATDFFSNNNVLVDLFDSKLYKGRLQDGSLVVVHMDCPTADWSRRTRQFQTQVEMPVHRNLVRLHGFCITPTKRFLVYPYMSNGSVASCLRERPPSQAPLDWQTRLRIALGSARGLSYLHDHCDPKIIHRDIRAVNIFLNEDFEALVGNFCLAKLEDDMDTDDRTAVRGVVGHIAPEYLSAGILSEKTDVYGYGIMLLELITGKRALYHDGRARDEDIFLLDWVKRLLKEKKLKMLVDPDLRNNYIHVEVKSLIKVALICTQVSPVKRPKMVEVVRMLEGGDGLAQRWEVWWKIEVVRQEVPLTSSFSLSAPNSFANNTSLCGLGTPSAPPLHPPPPYNPGRSSRTGAISGGVAAGAALLFNIPAIGFAWWRRRKPQEYFPVVPGVHLGQLKRFSLRELQVATKTFNNKNILGTGGFSKVYKGRLADGSLVAVKRLKEQRTPGGELQFQTEVEMISMALHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERPPSEPPLDWQTRRRIAAGSARGLSYLHDHCNPKIIHRDVKAANILLDEDFEAVFGDFGLAKPMDYKDTHVTTAVHGTIGHIAPEYLSTGILSEKTDVFGYGIMLLELITGKRAFDLALLARGEGVMPLDWVKRLIKEEKLEKLIDPDLQNKYIDAEVESLIQVALLCTQGSPLERPKMAAVVRMLDEGDGLAERWKEWQKIEIVQQDVELGLYQNGWTVDSTENLHAVELSGPRWIGQFGPVMAVFFLGRLYVYLRLTLLGTLSVSLLFKENY >ORUFI08G05110.2 pep chromosome:OR_W1943:8:4036209:4043799:1 gene:ORUFI08G05110 transcript:ORUFI08G05110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMLVRVSTRVMNTVLSKLSKMLEDKYTKLKGVHSQIAFFRDELSSMKAALEMLESVEELDPLHKDWRDTVRELAYDIEDFIDSFLVLVDHKQDEQSTFFKGFSYKLKKMKACHEISNEIEELKTRVIEASKRHKRYNFIGLVASCTTSDIDPRLPALYVEVDELVGINGPKEHIMEWFAKGIRDVKVKVLSIVGSGGLGKTTLANQVFHQFKCQFECTGITDYTSEDERQLIDRIRDRLKDKRYFVVIDDVWDVEAWKVVSLALFNNRCGSRIVMTTRNAAVASHCSSDGGCVYQMEPLNFADSKMLFCQKAFRSRELYNPHLEKVCDEILAKCGGLPLAIITVSSLLAGKHAKDEWDRMLNDIGCALANNPDAGNMTKILSLSYFELPHHLRTCFLYLCIFPEDYKVNKQRLINRWIAEGFVHEKQGWSTYEVGQNYFNDLINRSLIQPVDLKYGQAKACRVHDIILDFITCKATKENFVTTLDFAEHGHISKFRVRRLCAKNHNEEKVNKSASLNLSHVRSLTIFQHVNGTSLFVFPTLRVLDLSKSGLTENHLESIDKLHHLKYLSLRSTSITKLPTKIEQLHYLQTLDICDTKIQELPLSIAKLECLAHLYVERWTRFPDGLIGKMQSLEELEEFGIYCELGKSLEGFSQLTKLRTLKVHLFWWSDAEECQNYVSALLSSNLHHLYLTGGPLTLESWYPPTPCIIRKLHIKGCYNRKVPNWMSYLGSLTELQLWIRRMGPNDVKILGAIPSLHFLEVRTLCGTNGRIIICGNQGFRSLRYFSLRIKRCGTMLEFEAGSMPKLEHLQLEFRLHGMDCLNGASDFGIQNLSTLTKVEIGIWGNIYSDGIYDPAQDMDDSITRSVVSLIKATIETLPNCPTSRFQLEYDCGNCLLGETSQEFEGQGPDEAYPHEHKIFSLQELQDATDFFSNNNVLVDLFDSKLYKGRLQDGSLVVVHMDCPTADWSRRTRQFQTQVEMPVHRNLVRLHGFCITPTKRFLVYPYMSNGSVASCLRERPPSQAPLDWQTRLRIALGSARGLSYLHDHCDPKIIHRDIRAVNIFLNEDFEALVGNFCLAKLEDDMDTDDRTAVRGVVGHIAPEYLSAGILSEKTDVYGYGIMLLELITGKRALYHDGRARDEDIFLLDWVKRLLKEKKLKMLVDPDLRNNYIHVEVKSLIKVALICTQVSPVKRPKMVEVVRMLEGGDGLAQRWEVWWKIEVVRQEVPLTSSFSLSAPNSFANNTSLCGLGTPSAPPLHPPPPYNPGRSSRTGAISGGVAAGAALLFNIPAIGFAWWRRRKPQEYFPVVPGVHLGQLKRFSLRELQVATKTFNNKNILGTGGFSKVYKGRLADGSLVAVKRLKEQRTPGGELQFQTEVEMISMALHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERPPSEPPLDWQTRRRIAAGSARGLSYLHDHCNPKIIHRDVKAANILLDEDFEAVFGDFGLAKPMDYKDTHVTTAVHGTIGHIAPEYLSTGILSEKTDVFGYGIMLLELITGKRAFDLALLARGEGVMPLDWVKRLIKEEKLEKLIDPDLQNKYIDAEVESLIQVALLCTQGSPLERPKMAAVVRMLDEGDGLAERWKEWQKIEIVQQDVELGLYQNGWTVDSTENLHAVELSGPRWIGQFGPVMAVFFLGRLYVYLRLTLLGTLSVSLLFKENY >ORUFI08G05110.3 pep chromosome:OR_W1943:8:4036209:4043799:1 gene:ORUFI08G05110 transcript:ORUFI08G05110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMLVRVSTRVMNTVLSKLSKMLEDKYTKLKGVHSQIAFFRDELSSMKAALEMLESVEELDPLHKDWRDTVRELAYDIEDFIDSFLVLVDHKQDEQSTFFKGFSYKLKKMKACHEISNEIEELKTRVIEASKRHKRYNFIGLVASCTTSDIDPRLPALYVEVDELVGINGPKEHIMEWFAKGIRDVKVKVLSIVGSGGLGITDYTSEDERQLIDRIRDRLKDKRYFVVIDDVWDVEAWKVVSLALFNNRCGSRIVMTTRNAAVASHCSSDGGCVYQMEPLNFADSKMLFCQKAFRSRELYNPHLEKVCDEILAKCGGLPLAIITVSSLLAGKHAKDEWDRMLNDIGCALANNPDAGNMTKILSLSYFELPHHLRTCFLYLCIFPEDYKVNKQRLINRWIAEGFVHEKQGWSTYEVGQNYFNDLINRSLIQPVDLKYGQAKACRVHDIILDFITCKATKENFVTTLDFAEHGHISKFRVRRLCAKNHNEEKVNKSASLNLSHVRSLTIFQHVNGTSLFVFPTLRVLDLSKSGLTENHLESIDKLHHLKYLSLRSTSITKLPTKIEQLHYLQTLDICDTKIQELPLSIAKLECLAHLYVERWTRFPDGLIGKMQSLEELEEFGIYCELGKSLEGFSQLTKLRTLKVHLFWWSDAEECQNYVSALLSSNLHHLYLTGGPLTLESWYPPTPCIIRKLHIKGCYNRKVPNWMSYLGSLTELQLWIRRMGPNDVKILGAIPSLHFLEVRTLCGTNGRIIICGNQGFRSLRYFSLRIKRCGTMLEFEAGSMPKLEHLQLEFRLHGMDCLNGASDFGIQNLSTLTKVEIGIWGNIYSDGIYDPAQDMDDSITRSVVSLIKATIETLPNCPTSRFQLEYDCGNCLLGETSQEFEGQGPDEAYPHEHKIFSLQELQDATDFFSNNNVLVDLFDSKLYKGRLQDGSLVVVHMDCPTADWSRRTRQFQTQVEMPVHRNLYEDIEHLLSGCYSTERPPSQAPLDWQTRLRIALGSARGLSYLHDHCDPKIIHRDIRAVNIFLNEDFEALVGNFCLAKLEDDMDTDDRTAVRGVVGHIAPEYLSAGILSEKTDVYGYGIMLLELITGKRALYHDGRARDEDIFLLDWVKRLLKEKKLKMLVDPDLRNNYIHVEVKSLIKVALICTQVSPVKRPKMVEVVRMLEGGDGLAQRWEVWWKIEVVRQEVPLTSSFSLSAPNSFANNTSLCGLGTPSAPPLHPPPPYNPGDSFRFTAMQQPLFPPVRCGTYAGRSSRTGAISGGVAAGAALLFNIPAIGFAWWRRRKPQEYFPVVPGVHLGQLKRFSLRELQVATKTFNNKNILGTGGFSKVYKGRLADGSLVAVKRLKEQRTPGGELQFQTEVEMISMALHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERPPSEPPLDWQTRRRIAAGSARGLSYLHDHCNPKIIHRDVKAANILLDEDFEAVFGDFGLAKPMDYKDTHVTTAVHGTIGHIAPEYLSTGILSEKTDVFGYGIMLLELITGKRAFDLALLARGEGVMPLDWVKRLIKEEKLEKLIDPDLQNKYIDAEVESLIQVALLCTQGSPLERPKMAAVVRMLDEGDGLAERWKEWQKIEIVQQDVELGLYQNGWTVDSTENLHAVELSGPRWIGQFGPVMAVFFLGRLYVYLRLTLLGTLSVSLLFKENY >ORUFI08G05110.4 pep chromosome:OR_W1943:8:4036209:4043799:1 gene:ORUFI08G05110 transcript:ORUFI08G05110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMLVRVSTRVMNTVLSKLSKMLEDKYTKLKGVHSQIAFFRDELSSMKAALEMLESVEELDPLHKDWRDTVRELAYDIEDFIDSFLVLVDHKQDEQSTFFKGFSYKLKKMKACHEISNEIEELKTRVIEASKRHKRYNFIGLVASCTTSDIDPRLPALYVEVDELVGINGPKEHIMEWFAKGIRDVKVKVLSIVGSGGLGITDYTSEDERQLIDRIRDRLKDKRYFVVIDDVWDVEAWKVVSLALFNNRCGSRIVMTTRNAAVASHCSSDGGCVYQMEPLNFADSKMLFCQKAFRSRELYNPHLEKVCDEILAKCGGLPLAIITVSSLLAGKHAKDEWDRMLNDIGCALANNPDAGNMTKILSLSYFELPHHLRTCFLYLCIFPEDYKVNKQRLINRWIAEGFVHEKQGWSTYEVGQNYFNDLINRSLIQPVDLKYGQAKACRVHDIILDFITCKATKENFVTTLDFAEHGHISKFRVRRLCAKNHNEEKVNKSASLNLSHVRSLTIFQHVNGTSLFVFPTLRVLDLSKSGLTENHLESIDKLHHLKYLSLRSTSITKLPTKIEQLHYLQTLDICDTKIQELPLSIAKLECLAHLYVERWTRFPDGLIGKMQSLEELEEFGIYCELGKSLEGFSQLTKLRTLKVHLFWWSDAEECQNYVSALLSSNLHHLYLTGGPLTLESWYPPTPCIIRKLHIKGCYNRKVPNWMSYLGSLTELQLWIRRMGPNDVKILGAIPSLHFLEVRTLCGTNGRIIICGNQGFRSLRYFSLRIKRCGTMLEFEAGSMPKLEHLQLEFRLHGMDCLNGASDFGIQNLSTLTKVEIGIWGNIYSDGIYDPAQDMDDSITRSVVSLIKATIETLPNCPTSRFQLEYDCGNCLLGETSQEFEGQGPDEAYPHEHKIFSLQELQDATDFFSNNNVLVDLFDSKLYKGRLQDGSLVVVHMDCPTADWSRRTRQFQTQVEMPVHRNLYEDIEHLLSGCYSTERPPSQAPLDWQTRLRIALGSARGLSYLHDHCDPKIIHRDIRAVNIFLNEDFEALVGNFCLAKLEDDMDTDDRTAVRGVVGHIAPEYLSAGILSEKTDVYGYGIMLLELITGKRALYHDGRARDEDIFLLDWVKRLLKEKKLKMLVDPDLRNNYIHVEVKSLIKVALICTQVSPVKRPKMVEVVRMLEGGDGLAQRWEVWWKIEVVRQEVPLTSSFSLSAPNSFANNTSLCGLGTPSAPPLHPPPPYNPGRSSRTGAISGGVAAGAALLFNIPAIGFAWWRRRKPQEYFPVVPGVHLGQLKRFSLRELQVATKTFNNKNILGTGGFSKVYKGRLADGSLVAVKRLKEQRTPGGELQFQTEVEMISMALHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERPPSEPPLDWQTRRRIAAGSARGLSYLHDHCNPKIIHRDVKAANILLDEDFEAVFGDFGLAKPMDYKDTHVTTAVHGTIGHIAPEYLSTGILSEKTDVFGYGIMLLELITGKRAFDLALLARGEGVMPLDWVKRLIKEEKLEKLIDPDLQNKYIDAEVESLIQVALLCTQGSPLERPKMAAVVRMLDEGDGLAERWKEWQKIEIVQQDVELGLYQNGWTVDSTENLHAVELSGPRWIGQFGPVMAVFFLGRLYVYLRLTLLGTLSVSLLFKENY >ORUFI08G05110.5 pep chromosome:OR_W1943:8:4036209:4043799:1 gene:ORUFI08G05110 transcript:ORUFI08G05110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMLVRVSTRVMNTVLSKLSKMLEDKYTKLKGVHSQIAFFRDELSSMKAALEMLESVEELDPLHKDWRDTVRELAYDIEDFIDSFLVLVDHKQDEQSTFFKGFSYKLKKMKACHEISNEIEELKTRVIEASKRHKRYNFIGLVASCTTSDIDPRLPALYVEVDELVGINGPKEHIMEWFAKGIRDVKVKVLSIVGSGGLGITDYTSEDERQLIDRIRDRLKDKRYFVVIDDVWDVEAWKVVSLALFNNRCGSRIVMTTRNAAVASHCSSDGGCVYQMEPLNFADSKMLFCQKAFRSRELYNPHLEKVCDEILAKCGGLPLAIITVSSLLAGKHAKDEWDRMLNDIGCALANNPDAGNMTKILSLSYFELPHHLRTCFLYLCIFPEDYKVNKQRLINRWIAEGFVHEKQGWSTYEVGQNYFNDLINRSLIQPVDLKYGQAKACRVHDIILDFITCKATKENFVTTLDFAEHGHISKFRVRRLCAKNHNEEKVNKSASLNLSHVRSLTIFQHVNGTSLFVFPTLRVLDLSKSGLTENHLESIDKLHHLKYLSLRSTSITKLPTKIEQLHYLQTLDICDTKIQELPLSIAKLECLAHLYVERWTRFPDGLIGKMQSLEELEEFGIYCELGKSLEGFSQLTKLRTLKVHLFWWSDAEECQNYVSALLSSNLHHLYLTGGPLTLESWYPPTPCIIRKLHIKGCYNRKVPNWMSYLGSLTELQLWIRRMGPNDVKILGAIPSLHFLEVRTLCGTNGRIIICGNQGFRSLRYFSLRIKRCGTMLEFEAGSMPKLEHLQLEFRLHGMDCLNGASDFGIQNLSTLTKVEIGIWGNIYSDGIYDPAQDMDDSITRSVVSLIKATIETLPNCPTSRFQLEYDCGNCLLGETSQEFEGQGPDEAYPHEHKIFSLQELQDATDFFSNNNVLVDLFDSKLYKGRLQDGSLVVVHMDCPTADWSRRTRQFQTQVEMPVHRNLVRLHGFCITPTKRFLVYPYMSNGSVASCLRERPPSQAPLDWQTRLRIALGSARGLSYLHDHCDPKIIHRDIRAVNIFLNEDFEALVGNFCLAKLEDDMDTDDRTAVRGVVGHIAPEYLSAGILSEKTDVYGYGIMLLELITGKRALYHDGRARDEDIFLLDWVKRLLKEKKLKMLVDPDLRNNYIHVEVKSLIKVALICTQVSPVKRPKMVEVVRMLEGGDGLAQRWEVWWKIEVVRQEVPLTSSFSLSAPNSFANNTSLCGLGTPSAPPLHPPPPYNPGDSFRFTAMQQPLFPPVRCGTYAGRSSRTGAISGGVAAGAALLFNIPAIGFAWWRRRKPQEYFPVVPGVHLGQLKRFSLRELQVATKTFNNKNILGTGGFSKVYKGRLADGSLVAVKRLKEQRTPGGELQFQTEVEMISMALHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERPPSEPPLDWQTRRRIAAGSARGLSYLHDHCNPKIIHRDVKAANILLDEDFEAVFGDFGLAKPMDYKDTHVTTAVHGTIGHIAPEYLSTGILSEKTDVFGYGIMLLELITGKRAFDLALLARGEGVMPLDWVKRLIKEEKLEKLIDPDLQNKYIDAEVESLIQVALLCTQGSPLERPKMAAVVRMLDEGDGLAERWKEWQKIEIVQQDVELGLYQNGWTVDSTENLHAVELSGPRWIGQFGPVMAVFFLGRLYVYLRLTLLGTLSVSLLFKENY >ORUFI08G05110.6 pep chromosome:OR_W1943:8:4033745:4043809:1 gene:ORUFI08G05110 transcript:ORUFI08G05110.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEVGITDYTSEDERQLIDRIRDRLKDKRYFVVIDDVWDVEAWKVVSLALFNNRCGSRIVMTTRNAAVASHCSSDGGCVYQMEPLNFADSKMLFCQKAFRSRELYNPHLEKVCDEILAKCGGLPLAIITVSSLLAGKHAKDEWDRMLNDIGCALANNPDAGNMTKILSLSYFELPHHLRTCFLYLCIFPEDYKVNKQRLINRWIAEGFVHEKQGWSTYEVGQNYFNDLINRSLIQPVDLKYGQAKACRVHDIILDFITCKATKENFVTTLDFAEHGHISKFRVRRLCAKNHNEEKVNKSASLNLSHVRSLTIFQHVNGTSLFVFPTLRVLDLSKSGLTENHLESIDKLHHLKYLSLRSTSITKLPTKIEQLHYLQTLDICDTKIQELPLSIAKLECLAHLYVERWTRFPDGLIGKMQSLEELEEFGIYCELGKSLEGFSQLTKLRTLKVHLFWWSDAEECQNYVSALLSSNLHHLYLTGGPLTLESWYPPTPCIIRKLHIKGCYNRKVPNWMSYLGSLTELQLWIRRMGPNDVKILGAIPSLHFLEVRTLCGTNGRIIICGNQGFRSLRYFSLRIKRCGTMLEFEAGSMPKLEHLQLEFRLHGMDCLNGASDFGIQNLSTLTKVEIGIWGNIYSDGIYDPAQDMDDSITRSVVSLIKATIETLPNCPTSRFQLEYDCGNCLLGETSQEFEGQGPDEAYPHEHKIFSLQELQDATDFFSNNNVLVDLFDSKLYKGRLQDGSLVVVHMDCPTADWSRRTRQFQTQVEMPVHRNLVRLHGFCITPTKRFLVYPYMSNGSVASCLRERPPSQAPLDWQTRLRIALGSARGLSYLHDHCDPKIIHRDIRAVNIFLNEDFEALVGNFCLAKLEDDMDTDDRTAVRGVVGHIAPEYLSAGILSEKTDVYGYGIMLLELITGKRALYHDGRARDEDIFLLDWVKRLLKEKKLKMLVDPDLRNNYIHVEVKSLIKVALICTQVSPVKRPKMVEVVRMLEGGDGLAQRWEVWWKIEVVRQEVPLTSSFSLSAPNSFANNTSLCGLGTPSAPPLHPPPPYNPGRSSRTGAISGGVAAGAALLFNIPAIGFAWWRRRKPQEYFPVVPGVHLGQLKRFSLRELQVATKTFNNKNILGTGGFSKVYKGRLADGSLVAVKRLKEQRTPGGELQFQTEVEMISMALHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRGTSPSFFPVMHPYIFQTIRGLIWVLFCRTTTI >ORUFI08G05120.1 pep chromosome:OR_W1943:8:4056640:4062024:-1 gene:ORUFI08G05120 transcript:ORUFI08G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAVELTPLSTSSPCCPAAAASLLPPADTRAPLLSRRPLAAHARRSSPPVGRRPSTPAPLLPRYQATSKFHDEFPTPTPPALTGRHCDPSTHPTAGLHSGTGVPQSPLQVPPLRSHRRFRVSLGSLSGEGSEEDISIPPPDAPGGSARASVEGSRWRHLRALIRLRDLGWILAPSGAPSSSRGYSSYSASCLLCAGKGSYSQKPPALMVQATTSASRIPNEIPAYPSQQAWQIVKPKH >ORUFI08G05130.1 pep chromosome:OR_W1943:8:4058170:4084056:1 gene:ORUFI08G05130 transcript:ORUFI08G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGALASASTGVMNSVIAKLSKLLEDEYAKLKGVQQQIAFLRDELRAMNATLRVLADVEEDLDPPVKRWRDKVRELTFDIDDCIDSFEVRVISHQQERGEGLIKGIIRKLKKLRARHEIANQIEALKAHVVEESKRHKRYDLLKPWSSSSATFTIDPRLPALYEEVDKLVGIKGPREHIIEWLTNKRSDRSREDLKVVSIVGCGGLGKTTLANQVFKEIRHQFDCSAFVSVSRNPDIKKILRDMLKEVNSLDNTQPWSPNDDERQLVNKLRDTLQDKRYLVVIDDVWATEAWETIKLALLSNNCDSRIITTTRNTAVASKCSYHGGYVYHMEPLSFVDSKRLFFKRAFGSENLYYPHLEEVSNGIIKKCGGLPLAVITISSLLADQYAKDEWVRVLAAIGSALAKDPNAGNMRRILSFSYYDLPYHVRTCLLYLSLFPEDHKINKQRLINRWIAEGLIHEEEGSAYKTGEHYFHELINRSLIQPVDVQYGKPVACRVHDIILDFITCKAAEENFTTLLDTTEFKPIPIDEPRRVYFQNNRKENVIMATNLSLKNVRSLTIFGYFVKTPSLLDFKVLRVLDLKDCRKLQNHHLTGIEMLLHLKYLSLGSRYITELPKKLGELRYLETLDIRETMIKSLPSAITTFQRLVRLLINHDTTFPDGIGRMQSLEELQTFDIFTYSSRNSLQEFGQLTKLRKLRVTWNLDNSLEDHRTTIEGSMKHLLSLCDLHYLLIWNDCPGLSLDSWCPVTLSSLREFQIEYGSIANVPKWMNMLACLTELDLTLCSTKQEDIDILGEIPALLVLRLTTSHGTNGRIFISSYNAFRCLKYFFLHINMCGTLLEFEEGSMPKLQHLMIKFNAHRWKCLNGASDLGIRHLSNLTMAEVIIGTDYSHGCYNPEEELMKSSTNFAASLIQSAVETLPNRPVLRFQLQREACIQFEERSVNYEIEVNLGEVRDVGKVDQGETRVGSTKEPLYTIFEVIRRFNFSQSQTAFNMTEKEMIQPFCVLDPILCGGRDGRGRALRARVVKTALSNYPKEINIGLGGPTGRQLSILGLAAAAPAGAEEKRLARHHLVSSSVQADGPTMGMVAAPRSRRRAAAAPTGAEEERRRLELKRSGRREWRIGGTTSRQWRSGGACGKRAHITHTHQRTMEAAIVSVSTGVMKPLLSKLSKQLEEEYTKLKGVRVHKKIMFIRDELSTMRAALQMLADSEELNPRMKDWRDKVRELAYDMEDCIDAFTSRVDHNNDGSTGFKEFFHKFKKLKARHKIANEIEELKTRVMEVSERHKRYDFVNQELTKSSSFAIDPRLHALYVEVDRLVGIEGPTKHIIDKLITNEDEDSYRQLKVVSIVGFGGLGKTTLANQVYHALRSQFLCSAFISVSRKPNLEKVLRKIAQGVTLPTGKIPDGDIHQLVDKLRTYIQDKSHPLIRRYGYDDEEDEGPSQTVLRTFIDLEMLMIEEQRLDLSLNSTDLKRKKKNEQINLCFAVGCCYWESNVLDRNDHAGADLLNLAGGVEDEVEGAKGEHGGGGEGGGIHEGLVHDPNTQSVASPKSQRCLYFIVIDDLWGTEEWKSIRLALFNNKCGSRIITTTRNAAVASFSCCDGGYVYLMEPLNFADSKRLFLKRAFGSEELLYPHLEEVFHGILEKCGGLPLAINTISSLLVDQHAKEEWDRMLTAIGSALAKNPDVENMTKILSLSYLDLPHHLRTCLLYLSVFPEDYVIDKQQLINRWIAEEFIHEEQGRSTYEVGERYFLDLIDRSLIQPVDVKYGQVEACRVHDIILDFIACKAAEENFVTSLDTADFGQVSDRRVRRLSVMNISEDHATISASQIDLSHIRSLTLFARFMQTPLVDLPAIRVLDLEECENMGDNHPILANVETLLHLKYLRIGMLCPITELPRNIGELRHLETLDMRFACQVKELPSTITRLQRLARLYVHHNTRLPDGVIGKIQNLEELEEFGVVSCEKGKSLQEFGQLPKLRTLKVRCSSTTDDLEGRKRAEDLWNYIGTLISSCNLHHLCILHRQDDPDHLPMSLESWCPPSDNCSLRKLHITHYYISKLPSWMGSLANLKELLLYFYRMRPEDVDILEAIPSLVLLRVRTLYSSNGRITFRGNKGFRCLKYFSLDIDLCGTELEFEAGAMPKIEHLKINFPVHSWTASVNGASDFGIQHLSTLTEVEVGLGCFFIPDTRRMYHPTTEDTDDSPMEDDGEANVVKCFESRIKSAVEALPNRPTCKFNLNTAIVPCPSKKNNGECFEYKRKHPSFPVGSVKAVRDNRWLALTFVDRLLSSLASYPACGIASESQRAMAAMHVDASTGVMNTLLPKLSKLLEEYTNIKGAARNQA >ORUFI08G05130.2 pep chromosome:OR_W1943:8:4058170:4084056:1 gene:ORUFI08G05130 transcript:ORUFI08G05130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGALASASTGVMNSVIAKLSKLLEDEYAKLKGVQQQIAFLRDELRAMNATLRVLADVEEDLDPPVKRWRDKVRELTFDIDDCIDSFEVRVISHQQERGEGLIKGIIRKLKKLRARHEIANQIEALKAHVVEESKRHKRYDLLKPWSSSSATFTIDPRLPALYEEVDKLVGIKGPREHIIEWLTNKRSDRSREDLKVVSIVGCGGLGKTTLANQVFKEIRHQFDCSAFVSVSRNPDIKKILRDMLKEVNSLDNTQPWSPNDDERQLVNKLRDTLQDKRYLVVIDDVWATEAWETIKLALLSNNCDSRIITTTRNTAVASKCSYHGGYVYHMEPLSFVDSKRLFFKRAFGSENLYYPHLEEVSNGIIKKCGGLPLAVITISSLLADQYAKDEWVRVLAAIGSALAKDPNAGNMRRILSFSYYDLPYHVRTCLLYLSLFPEDHKINKQRLINRWIAEGLIHEEEGSAYKTGEHYFHELINRSLIQPVDVQYGKPVACRVHDIILDFITCKAAEENFTTLLDTTEFKPIPIDEPRRVYFQNNRKENVIMATNLSLKNVRSLTIFGYFVKTPSLLDFKVLRVLDLKDCRKLQNHHLTGIEMLLHLKYLSLGSRYITELPKKLGELRYLETLDIRETMIKSLPSAITTFQRLVRLLINHDTTFPDGIGRMQSLEELQTFDIFTYSSRNSLQEFGQLTKLRKLRVTWNLDNSLEDHRTTIEGSMKHLLSLCDLHYLLIWNDCPGLSLDSWCPVTLSSLREFQIEYGSIANVPKWMNMLACLTELDLTLCSTKQEDIDILGEIPALLVLRLTTSHGTNGRIFISSYNAFRCLKYFFLHINMCGTLLEFEEGSMPKLQHLMIKFNAHRWKCLNGASDLGIRHLSNLTMAEVIIGTDYSHGCYNPEEELMKSSTNFAASLIQSAVETLPNRPVLRFQLQREACIQFEERSVNYEIEVNLGEVRDVGKVDQGETRVGSTKEPLYTIFEVIRRFNFSQSQTAFNMTEKEMIQPFCVLDPILCGGRDGRGRALRARVVKTALSNYPKEINIGLGGPTGRQLSILGLAAAAPAGAEEKRLARHHLVSSSVQADGPTMGMVAAPRSRRRAAAAPTGAEEERRRLELKRSGRREWRIGGTTSRQWRSGGACGKRAHITHTHQRTMEAAIVSVSTGVMKPLLSKLSKQLEEEYTKLKGVRVHKKIMFIRDELSTMRAALQMLADSEELNPRMKDWRDKVRELAYDMEDCIDAFTSRVDHNNDGSTGFKEFFHKFKKLKARHKIANEIEELKTRVMEVSERHKRYDFVNQELTKSSSFAIDPRLHALYVEVDRLVGIEGPTKHIIDKLITNEDEDSYRQLKVVSIVGFGGLGKTTLANQVYHALRSQFLCSAFISVSRKPNLEKVLRKIAQGVTLPTGKIPDGDIHQLVDKLRTYIQDKSHPLIRRYGYDDEEDEGPSQTVLRTFIDLEMLMIEEQRLDLSLNSTDLKRKKKNEQINLCFAVGCCYWESNVLDRNDHAGADLLNLAGGVEDEVEGAKGEHGGGGEGGGIHEGLVHDPNTQSVASPKSQRCLYFIVIDDLWGTEEWKSIRLALFNNKCGSRIITTTRNAAVASFSCCDGGYVYLMEPLNFADSKRLFLKRAFGSEELLYPHLEEVFHGILEKCGGLPLAINTISSLLVDQHAKEEWDRMLTAIGSALAKNPDVENMTKILSLSYLDLPHHLRTCLLYLSVFPEDYVIDKQQLINRWIAEEFIHEEQGRSTYEVGERYFLDLIDRSLIQPVDVKYGQVEACRVHDIILDFIACKAAEENFVTSLDTADFGQVSDRRVRRLSVMNISEDHATISASQIDLSHIRSLTLFARFMQTPLVDLPAIRVLDLEECENMGDNHPILANVETLLHLKYLRIGMLCPITELPRNIGELRHLETLDMRFACQVKELPSTITRLQRLARLYVHHNTRLPDGVIGKIQNLEELEEFGVVSCEKGKSLQEFGQLPKLRTLKVRCSSTTDDLEGRKRAEDLWNYIGTLISSCNLHHLCILHRQDDPDHLPMSLESWCPPSDNCSLRKLHITHYYISKLPSWMGSLANLKELLLYFYRMRPEDVDILEAIPSLVLLRVRTLYSSNGRITFRGNKGFRCLKYFSLDIDLCGTELEFEAGAMPKIEHLKINFPVHSWTASVNGASDFGIQHLSTLTEVEVGLGCFFIPDTRRMYHPTTEDTDDSPMEDDGEANVVKCFESRIKSAVEALPNRPTCKFNLNTAIVPCPSCFEYKRKHPSFPVGSVKAVRDNRWLALTFVDRLLSSLASYPACGIASESQRAMAAMHVDASTGVMNTLLPKLSKLLEEYTNIKGAARNQA >ORUFI08G05130.3 pep chromosome:OR_W1943:8:4058170:4084056:1 gene:ORUFI08G05130 transcript:ORUFI08G05130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGALASASTGVMNSVIAKLSKLLEDEYAKLKGVQQQIAFLRDELRAMNATLRVLADVEEDLDPPVKRWRDKVRELTFDIDDCIDSFEVRVISHQQERGEGLIKGIIRKLKKLRARHEIANQIEALKAHVVEESKRHKRYDLLKPWSSSSATFTIDPRLPALYEEVDKLVGIKGPREHIIEWLTNKRSDRSREDLKVVSIVGCGGLGKTTLANQVFKEIRHQFDCSAFVSVSRNPDIKKILRDMLKEVNSLDNTQPWSPNDDERQLVNKLRDTLQDKRYLVVIDDVWATEAWETIKLALLSNNCDSRIITTTRNTAVASKCSYHGGYVYHMEPLSFVDSKRLFFKRAFGSENLYYPHLEEVSNGIIKKCGGLPLAVITISSLLADQYAKDEWVRVLAAIGSALAKDPNAGNMRRILSFSYYDLPYHVRTCLLYLSLFPEDHKINKQRLINRWIAEGLIHEEEGSAYKTGEHYFHELINRSLIQPVDVQYGKPVACRVHDIILDFITCKAAEENFTTLLDTTEFKPIPIDEPRRVYFQNNRKENVIMATNLSLKNVRSLTIFGYFVKTPSLLDFKVLRVLDLKDCRKLQNHHLTGIEMLLHLKYLSLGSRYITELPKKLGELRYLETLDIRETMIKSLPSAITTFQRLVRLLINHDTTFPDGIGRMQSLEELQTFDIFTYSSRNSLQEFGQLTKLRKLRVTWNLDNSLEDHRTTIEGSMKHLLSLCDLHYLLIWNDCPGLSLDSWCPVTLSSLREFQIEYGSIANVPKWMNMLACLTELDLTLCSTKQEDIDILGEIPALLVLRLTTSHGTNGRIFISSYNAFRCLKYFFLHINMCGTLLEFEEGSMPKLQHLMIKFNAHRWKCLNGASDLGIRHLSNLTMAEVIIGTDYSHGCYNPEEELMKSSTNFAASLIQSAVETLPNRPVLRFQLQREACIQFEERSVNYEIEVNLGEVRDVGKVDQGETRVGSTKEPLYTIFEVIRRFNFSQSQTAFNMTEKEMIQPFCVLDPILCGGRDGRGRALRARVVKTALSNYPKEINIGLGGPTGRQLSILGLAAAAPAGAEEKRLARHHLVSSSVQADGPTMGMVAAPRSRRRAAAAPTGAEEERRRLELKRSGRREWRIGGTTSRQWRSGGACGKRAHITHTHQRTMEAAIVSVSTGVMKPLLSKLSKQLEEEYTKLKGVRVHKKIMFIRDELSTMRAALQMLADSEELNPRMKDWRDKVRELAYDMEDCIDAFTSRVDHNNDGSTGFKEFFHKFKKLKARHKIANEIEELKTRVMEVSERHKRYDFVNQELTKSSSFAIDPRLHALYVEVDRLVGIEGPTKHIIDKLITNEDEDSYRQLKVVSIVGFGGLGKTTLANQVYHALRSQFLCSAFISVSRKPNLEKVLRKIAQGVTLPTGKIPDGDIHQLVDKLRTYIQDKSHPLIRRYGYDDEEDEGPSQTVLRTFIDLEMLMIEEQRLDLSLNSTDLKRKKKNEQINLCFAVGCCYWESNVLDRNDHAGADLLNLAGGVEDEVEGAKGEHGGGGEGGGIHEGLVHDPNTQSVASPKSQRCLYFIVIDDLWGTEEWKSIRLALFNNKCGSRIITTTRNAAVASFSCCDGGYVYLMEPLNFADSKRLFLKRAFGSEELLYPHLEEVFHGILEKCGGLPLAINTISSLLVDQHAKEEWDRMLTAIGSALAKNPDVENMTKILSLSYLDLPHHLRTCLLYLSVFPEDYVIDKQQLINRWIAEEFIHEEQGRSTYEVGERYFLDLIDRSLIQPVDVKYGQVEACRVHDIILDFIACKAAEENFVTSLDTADFGQVSDRRVRRLSVMNISEDHATISASQIDLSHIRSLTLFARFMQTPLVDLPAIRVLDLEECENMGDNHPILANVETLLHLKYLRIGMLCPITELPRNIGELRHLETLDMRFACQVKELPSTITRLQRLARLYVHHNTRLPDGVIGKIQNLEELEEFGVVSCEKGKSLQEFGQLPKLRTLKVRCSSTTDDLEGRKRAEDLWNYIGTLISSCNLHHLCILHRQDDPDHLPMSLESWCPPSDNCSLRKLHITHYYISKLPSWMGSLANLKELLLYFYRMRPEDVDILEAIPSLVLLRVRTLYSSNGRITFRGNKGFRCLKYFSLDIDLCGTELEFEAGAMPKIEHLKINFPVHSWTASVNGASDFGIQHLSTLTEVEVGLGCFFIPDTRRMYHPTTEDTDDSPMEDDGEANVVKCFESRIKSAVEALPNRPTCKFNLNTAIVPCPSNRWLALTFVDRLLSSLASYPACGIASESQRAMAAMHVDASTGVMNTLLPKLSKLLEEYTNIKGAARNQA >ORUFI08G05130.4 pep chromosome:OR_W1943:8:4069523:4084056:1 gene:ORUFI08G05130 transcript:ORUFI08G05130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVAAPRSRRRAAAAPTGAEEERRRLELKRSGRREWRIGGTTSRQWRSGGACGKRAHITHTHQRTMEAAIVSVSTGVMKPLLSKLSKQLEEEYTKLKGVRVHKKIMFIRDELSTMRAALQMLADSEELNPRMKDWRDKVRELAYDMEDCIDAFTSRVDHNNDGSTGFKEFFHKFKKLKARHKIANEIEELKTRVMEVSERHKRYDFVNQELTKSSSFAIDPRLHALYVEVDRLVGIEGPTKHIIDKLITNEDEDSYRQLKVVSIVGFGGLGKTTLANQVYHALRSQFLCSAFISVSRKPNLEKVLRKIAQGVTLPTGKIPDGDIHQLVDKLRTYIQDKSHPLIRRYGYDDEEDEGPSQTVLRTFIDLEMLMIEEQRLDLSLNSTDLKRKKKNEQINLCFAVGCCYWESNVLDRNDHAGADLLNLAGGVEDEVEGAKGEHGGGGEGGGIHEGLVHDPNTQSVASPKSQRCLYFIVIDDLWGTEEWKSIRLALFNNKCGSRIITTTRNAAVASFSCCDGGYVYLMEPLNFADSKRLFLKRAFGSEELLYPHLEEVFHGILEKCGGLPLAINTISSLLVDQHAKEEWDRMLTAIGSALAKNPDVENMTKILSLSYLDLPHHLRTCLLYLSVFPEDYVIDKQQLINRWIAEEFIHEEQGRSTYEVGERYFLDLIDRSLIQPVDVKYGQVEACRVHDIILDFIACKAAEENFVTSLDTADFGQVSDRRVRRLSVMNISEDHATISASQIDLSHIRSLTLFARFMQTPLVDLPAIRVLDLEECENMGDNHPILANVETLLHLKYLRIGMLCPITELPRNIGELRHLETLDMRFACQVKELPSTITRLQRLARLYVHHNTRLPDGVIGKIQNLEELEEFGVVSCEKGKSLQEFGQLPKLRTLKVRCSSTTDDLEGRKRAEDLWNYIGTLISSCNLHHLCILHRQDDPDHLPMSLESWCPPSDNCSLRKLHITHYYISKLPSWMGSLANLKELLLYFYRMRPEDVDILEAIPSLVLLRVRTLYSSNGRITFRGNKGFRCLKYFSLDIDLCGTELEFEAGAMPKIEHLKINFPVHSWTASVNGASDFGIQHLSTLTEVEVGLGCFFIPDTRRMYHPTTEDTDDSPMEDDGEANVVKCFESRIKSAVEALPNRPTCKFNLNTAIVPCPSKKNNGECFEYKRKHPSFPVGSVKAVRDNRWLALTFVDRLLSSLASYPACGIASESQRAMAAMHVDASTGVMNTLLPKLSKLLEEYTNIKGAARNQA >ORUFI08G05130.5 pep chromosome:OR_W1943:8:4058170:4069084:1 gene:ORUFI08G05130 transcript:ORUFI08G05130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGALASASTGVMNSVIAKLSKLLEDEYAKLKGVQQQIAFLRDELRAMNATLRVLADVEEDLDPPVKRWRDKVRELTFDIDDCIDSFEVRVISHQQERGEGLIKGIIRKLKKLRARHEIANQIEALKAHVVEESKRHKRYDLLKPWSSSSATFTIDPRLPALYEEVDKLVGIKGPREHIIEWLTNKRSDRSREDLKVVSIVGCGGLGKTTLANQVFKEIRHQFDCSAFVSVSRNPDIKKILRDMLKEVNSLDNTQPWSPNDDERQLVNKLRDTLQDKRYLVVIDDVWATEAWETIKLALLSNNCDSRIITTTRNTAVASKCSYHGGYVYHMEPLSFVDSKRLFFKRAFGSENLYYPHLEEVSNGIIKKCGGLPLAVITISSLLADQYAKDEWVRVLAAIGSALAKDPNAGNMRRILSFSYYDLPYHVRTCLLYLSLFPEDHKINKQRLINRWIAEGLIHEEEGSAYKTGEHYFHELINRSLIQPVDVQYGKPVACRVHDIILDFITCKAAEENFTTLLDTTEFKPIPIDEPRRVYFQNNRKENVIMATNLSLKNVRSLTIFGYFVKTPSLLDFKVLRVLDLKDCRKLQNHHLTGIEMLLHLKYLSLGSRYITELPKKLGELRYLETLDIRETMIKSLPSAITTFQRLVRLLINHDTTFPDGIGRMQSLEELQTFDIFTYSSRNSLQEFGQLTKLRKLRVTWNLDNSLEDHRTTIEGSMKHLLSLCDLHYLLIWNDCPGLSLDSWCPVTLSSLREFQIEYGSIANVPKWMNMLACLTELDLTLCSTKQEDIDILGEIPALLVLRLTTSHGTNGRIFISSYNAFRCLKYFFLHINMCGTLLEFEEGSMPKLQHLMIKFNAHRWKCLNGASDLGIRHLSNLTMAEVIIGTDYSHGCYNPEEELMKSSTNFAASLIQSAVETLPNRPVLRFQLQREACIQFEERSVNYEIEVNLGEVRDVGKVDQGETRVGSTKEPLYTIFEGREGKGSPCQGGQDSVKQLSEVQ >ORUFI08G05140.1 pep chromosome:OR_W1943:8:4084126:4091997:1 gene:ORUFI08G05140 transcript:ORUFI08G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSWPSTWFFRKLKKLKACPEIADAIDELIKKCAMEGSKRHKRYNSVNVASNSSTFGVDPRMLMLYDEVDKLVGVNRAKKHIIDWFTKEKGEKNLKVLTFADFGSLRKSNLAIKIYSQLEDQFQCTFFLSLSRNLCREKILRMIHTNLGITYNTSDDEGKLIDRIRDYLKDKRYFIVIDDVRDAEAWKAIELALFNNTCGSRIVITTRNSAVASCCSHDGGYVYRMEPLSLKNSKMLFFKRAFGSEDLPNPQLEKVSDGILQKCGGLPLAIMIMSSLLADQNEEDEWKRVLTAIGSVLAKDPDDDIMKSILSLSYYDLPHHLRACLLYLSIFPEDYEINKQRLINRWIAEGFILEEQGLTSYEVGERYFNYLINRCLIQPVGSKHGQAKACQVHGIILDFLACKASEENFVTPFNDDAEQGLVSENKVRVRRLHVNNHNKKEVARLTGPVLSHVRSLTLFGDFGRIPMSAFTALRVMDQEDNWDLGGNWGLGSNHHMAHIEMMLHLRYLRLNSPLLDFVLTARTGGLENLETLDLLGVSVVELPSAITRLRRLARLYISHLARFPKGTIAKLQSLEELSEFGFVSFHQQWECLQEFSQLTKLRMLKVKWDFDWSFVQDEEGLQSYMHALISSCNVHNLYIGNIHIWPGPYPLSLESCCPTTTCSLQKLHITYCFICKVPNWMSSLGNLKELKLYIYCLRAEDVKILGAIPTLIFLKLKTFYGTDGRIFIPGYKGFRCLKYFGLVMISCGTTPEFEEGSMPNLEHLKLRFCVHEMECINGATNFGIRHLSTLNKVEVHIYGCSVSHKDYDPEADREDSNAKNAAFLIKAAVKALPNRVTCSFELAKTYGNIGTFHGLIKIDETNQDEEVKNTLGMNCRCVQFGAALCWFESNGSGSKVVASVGPVVEQALTWVAHGWWAGLRTLTRPKVVTSVRPVTEQALCDLGGLWLVGQVAHSNSCYLGKILYQLAPALELPWFLSISQSINQISHCFTIVSLIIVHEHVISDYIRFNTISAHFSENPNGLFGLSR >ORUFI08G05150.1 pep chromosome:OR_W1943:8:4093596:4098697:-1 gene:ORUFI08G05150 transcript:ORUFI08G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGLAPNMNPNKSFEVLPNPGDSVSSLSFSPKSNLLVATSWDNQVRCWEIVGGSSQPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQAQTVAMHDAPVKEIAWIPQMNLLVSGSWDKTLRYWDTRQSNPVHVQQLPERCYALTVNYPLMIVGTADRNLVVFNLQNPQTEFKRIQSPLKYQTRCLAAFPDQQGFLVGSIEGRVGVHHIDDSQQSKNFTFKCHREGNDIFSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAFSRCPLPIPCSTFNSDGSIFAYAVCYDWSRGAENHNPATAKTSIYLHSPQESEVKGKPRIATSRK >ORUFI08G05160.1 pep chromosome:OR_W1943:8:4102538:4104251:-1 gene:ORUFI08G05160 transcript:ORUFI08G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAPPPLTEPKFESQLALPLQHGDDQDNAAALQESPRHASDSFEQEASKPRDKIQRRLAQNREAARKSRLRKKAYIQNLETSRMKLAHLEQEITRARQQSAYINRSSNPATLPAPIDSGVVTFEVEYAQWVEEQGRQTAELRASLQAAAEGPELRAVVEAALAHYDRLFAAKREAARRDVFFVMSGVWRTGAERFFLWIAGFRPSEVIRVLAPQLEPMTERQAADVQGLQQKARHLEDALSQGMDKLKQTLADSLLAEAVVVSTSCDASPPPPPPEEEEPSSSAAGDGGCYMAQMGSAMGRLSNLVAFVDHADHLRQETLQNMYKILTLPQAARGLLALGDYCQRLRALSSLWAARPREPA >ORUFI08G05160.2 pep chromosome:OR_W1943:8:4102540:4104226:-1 gene:ORUFI08G05160 transcript:ORUFI08G05160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAPPPLTEPKFESQLALPLQHGDDQDNAAALQESPRHASDSFEQEASKPRDKIQRRLAQNREAARKSRLRKKAYIQNLETSRMKLAHLEQEITRARQQSAYINRSSNPATLPAPIDSGVVTFEVEYAQWVEEQGRQTAELRASLQAAAEGPELRAVVEAALAHYDRLFAAKREAARRDVFFVMSGVWRTGAERFFLWIAGFRPSEVIRVLAPQLEPMTERQAADVQGLQQKARHLEDALSQGMDKLKQTLADSLLAEAVVVSTSCDASPPPPPPEEEEPSSSAAGDGGCYMAQMGSAMGRLSNLVAFVDHADHLRQETLQNMYKILTLPQAARGLLALGDYCQRLRALSSLWAARPREPA >ORUFI08G05170.1 pep chromosome:OR_W1943:8:4119991:4134662:-1 gene:ORUFI08G05170 transcript:ORUFI08G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDGDTSNTGGEKLVKCGTKRTNVSQPDVSLRCDGQNNVKEAEDSSLFRPGAKNQAYARRRSKSSRENATASVGSLPVSPLYSQGKDAKGIIQETKSEDHGASSIGNSKPASPDRNNTSKVASLGDHDAMEMDNTNEGNQAATHETTNIKDGVETPEISPNSVNGNSQLIGDGLVVTATTSAESPDTSPKEAALMATSSFPSSCNEVLEEACAAEEAGNGCSDKNLVVHADDMVSKSSVPPSEVEIASLNENEADIPCADVSKTVDEHPGKSENLSGKVSDEDLGDAIPSDKDGNKDGQPEGGDMPTVVDGVSNSVQPEVSNTIYAKDDVDVHNKMVDAQKDTGNLATSGLDKVDKEACSNLKKNNKCSPDSNIADKLDSVTVASGLLMKDVPSSVSLMNPDNDVNKTGENIPMMEKKECEDSTVAKKEHEDTILRRARLIEVNIKKAGERSLCNISLEKKPKSHWEFVLEEMAWMANEFMQERLWKSAAASQMCHWIALSGRATFEEANIQRKQKSIARILAKSIMKFWCSAETLRATSGEMRKEKQAEESIGIGETKLAGINAEKEQCNEPLEQEKLQSPIQSYALKFLKDNCNISECLSLAEAPATPDRLNDFGILKVPDQLSEKTNNSRQKNDYEPSTTGSVADAHRGHAYEDDDVEASTYVLHGTYDDGLPSKSSHKKKHLMQQRMNGTRHYSTGVDMPYDPYVESKPGNQPFLSNGKRPSDFFNIPTKRIRTAARQRVVSPYPANASGATAFTSKTDASSGDTNSCQDDQSSLHGGSFPRKNVDIESTVDFDRQLYDGSEVSTKSKKKKKSKHPGYKTPQSVAESCSLIAGKGIACDPRPQVDLIAQYEQKDYLKKRSEVHQFDSNGNIVINGQHAAKKPKLMNQAPDVSLEALTPVGPMVSPAASQMSNMANPTKIIKIITNRDRGRKNKVLKMAASHSGPGSPWSSFEDQALVVLVHDMGQNWELVSDALNSIVQLKCIYRRPNECKDRHKLLTEKGSGDGADSADDSGSSQHYQSTLPGIPKGSARHLFQRLQGPFEEETLKAHFEKIIFLGQKLHPNRRKGESQELKQINPLHSSHLHALSQACAPGVILMPLDLCDAMTPNPDALSIGYSGSHASGLMLPNHPSSIGPTLPTGNMNTRLPGSPGMVLGNTLPSPSTPNTPRDSQRYGMPRPTSLQGDEQQRIQYNQMLNSRSLQQPGVPVPGAPAGVDRGVRMMPGAHGMGVMTGLNRGTPVTRPPFPRLGSSGMLNMVSPGNMLPNNGQGMQNTVNVHPGTIPGHGNTMLRPRDPMQMLRPGQNMEEHRQMMQEFQMQVSQGNNQSIHFSGTPFSNVGASSPGQPFPVQSSQPHQMPQQSHVLGNTHHPHIQGTTQSSPQQQAYAMRLAKDRHMQQCMMTQQQHPLSGASAVSTVQNGSQMQQQSQGPASSAIPSSQSQHKQQHPAQNSLDSSVPPNQPVNTSHKQKKQQGQQQSRQNQQQRNQGSQQAKLMKSLGRGNMMHQSSPVDATQASGISTTSKNQVSDKSMVQQGPGYFAGNKGLVPSVVQPGNQPKIYGSQMPHSPIQTSDVGSQSSMQGSPNQTMLTSQQAPLHSSSPLAQQQQQRYMNPSHNNIQRLVMQQNRHMNTDGRIESPVDQVQHNQAIPSTSIAKSTDSGSPGGVSSINQRRQESSHDPTTVPSTSQLASSPQDTFVGNEMLLSVSSQGMLQRQMSGGVPIHGHGIGGQRQQLQSRQQQQQKPAVQGSVFVLWKRRG >ORUFI08G05170.2 pep chromosome:OR_W1943:8:4119991:4134662:-1 gene:ORUFI08G05170 transcript:ORUFI08G05170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDGDTSNTGGEKLVKCGTKRTNVSQPDVSLRCDGQNNVKEAEDSSLFRPGAKNQAYARRRSKSSRENATASVGSLPVSPLYSQGKDAKGIIQETKSEDHGASSIGNSKPASPDRNNTSKVASLGDHDAMEMDNTNEGNQAATHETTNIKDGVETPEISPNSVNGNSQLIGDGLVVTATTSAESPDTSPKEAALMATSSFPSSCNEVLEEACAAEEAGNGCSDKNLVVHADDMVSKSSVPPSEVEIASLNENEADIPCADVSKTVDEHPGKSENLSGKVSDEDLGDAIPSDKDGNKDGQPEGGDMPTVVDGVSNSVQPEVSNTIYAKDDVDVHNKMVDAQKDTGNLATSGLDKVDKEACSNLKKNNKCSPDSNIADKLDSVTVASGLLMKDVPSSVSLMNPDNDVNKTGENIPMMEKKECEDSTVAKKEHEDTILRRARLIEKAGERSLCNISLEKKPKSHWEFVLEEMAWMANEFMQERLWKSAAASQMCHWIALSGRATFEEANIQRKQKSIARILAKSIMKFWCSAETLRATSGEMRKEKQAEESIGIGETKLAGINAEKEQCNEPLEQEKLQSPIQSYALKFLKDNCNISECLSLAEAPATPDRLNDFGILKVPDQLSEKTNNSRQKNDYEPSTTGSVADAHRGHAYEDDDVEASTYVLHGTYDDGLPSKSSHKKKHLMQQRMNGTRHYSTGVDMPYDPYVESKPGNQPFLSNGKRPSDFFNIPTKRIRTAARQRVVSPYPANASGATAFTSKTDASSGDTNSCQDDQSSLHGGSFPRKNVDIESTVDFDRQLYDGSEVSTKSKKKKKSKHPGYKTPQSVAESCSLIAGKKDYLKKRSEVHQFDSNGNIVINGQHAAKKPKLMNQAPDVSLEALTPVGPMVSPAASQMSNMANPTKIIKIITNRDRGRKNKVLKMAASHSGPGSPWSSFEDQALVVLVHDMGQNWELVSDALNSIVQLKCIYRRPNECKDRHKLLTEKGSGDGADSADDSGSSQHYQSTLPGIPKGSARHLFQRLQGPFEEETLKAHFEKIIFLGQKLHPNRRKGESQELKQINPLHSSHLHALSQACAPGVILMPLDLCDAMTPNPDALSIGYSGSHASGLMLPNHPSSIGPTLPTGNMNTRLPGSPGMVLGNTLPSPSTPNTPRDSQRYGMPRPTSLQGDEQQRIQYNQMLNSRSLQQPGVPVPGAPAGVDRGVRMMPGAHGMGVMTGLNRGTPVTRPPFPRLGSSGMLNMVSPGNMLPNNGQGMQNTVNVHPGTIPGHGNTMLRPRDPMQMLRPGQNMEEHRQMMQEFQMQVSQGNNQSIHFSGTPFSNVGASSPGQPFPVQSSQPHQMPQQSHVLGNTHHPHIQGTTQSSPQQQAYAMRLAKDRHMQQCMMTQQQHPLSGASAVSTVQNGSQMQQQSQGPASSAIPSSQSQHKQQHPAQNSLDSSVPPNQPVNTSHKQKKQQGQQQSRQNQQQRNQGSQQAKLMKSLGRGNMMHQSSPVDATQASGISTTSKNQVSDKSMVQQGPGYFAGNKGLVPSVVQPGNQPKIYGSQMPHSPIQTSDVGSQSSMQGSPNQTMLTSQQAPLHSSSPLAQQQQQRYMNPSHNNIQRLVMQQNRHMNTDGRIESPVDQVQHNQAIPSTSIAKSTDSGSPGGVSSINQRRQESSHDPTTVPSTSQLASSPQDTFVGNEMLLSVSSQGMLQRQMSGGVPIHGHGIGGQRQQLQSRQQQQQKPAVQGSVFVLWKRRG >ORUFI08G05170.3 pep chromosome:OR_W1943:8:4119991:4134662:-1 gene:ORUFI08G05170 transcript:ORUFI08G05170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDGDTSNTGGEKLVKCGTKRTNVSQPDVSLRCDGQNNVKEAEDSSLFRPGAKNQAYARRRSKSSRENATASVGSLPVSPLYSQGKDAKGIIQETKSEDHGASSIGNSKPASPDRNNTSKVASLGDHDAMEMDNTNEGNQAATHETTNIKDGVETPEISPNSVNGNSQLIGDGLVVTATTSAESPDTSPKEAALMATSSFPSSCNEVLEEACAAEEAGNGCSDKNLVVHADDMVSKSSVPPSEVEIASLNENEADIPCADVSKTVDEHPGKSENLSGKVSDEDLGDAIPSDKDGNKDGQPEGGDMPTVVDGVSNSVQPEVSNTIYAKDDVDVHNKMVDAQKDTGNLATSGLDKVDKEACSNLKKNNKCSPDSNIADKLDSVTVASGLLMKDVPSSVSLMNPDNDVNKTGENIPMMEKKECEDSTVAKKEHEDTILRRARLIEVNIKKAGERSLCNISLEKKPKSHWEFVLEEMAWMANEFMQERLWKSAAASQMCHWIALSGRATFEEANIQRKQKSIARILAKSIMKFWCSAETLRATSGEMRKEKQAEESIGIGETKLAGINAEKEQCNEPLEQEKLQSPIQSYALKFLKDNCNISECLSLAEAPATPDRLNDFGILKVPDQLSEKTNNSRQKNDYEPSTTGSVADAHRGHAYEDDDVEASTYVLHGTYDDGLPSKSSHKKKHLMQQRMNGTRHYSTGVDMPYDPYVESKPGNQPFLSNGKRPSDFFNIPTKRIRTAARQRVVSPYPANASGATAFTSKTDASSGDTNSCQDDQSSLHGGSFPRKNVDIESTVDFDRQLYDGSEVSTKSKKKKKSKHPGYKTPQSVAESCSLIAGKKDYLKKRSEVHQFDSNGNIVINGQHAAKKPKLMNQAPDVSLEALTPVGPMVSPAASQMSNMANPTKIIKIITNRDRGRKNKVLKMAASHSGPGSPWSSFEDQALVVLVHDMGQNWELVSDALNSIVQLKCIYRRPNECKDRHKLLTEKGSGDGADSADDSGSSQHYQSTLPGIPKGSARHLFQRLQGPFEEETLKAHFEKIIFLGQKLHPNRRKGESQELKQINPLHSSHLHALSQACAPGVILMPLDLCDAMTPNPDALSIGYSGSHASGLMLPNHPSSIGPTLPTGNMNTRLPGSPGMVLGNTLPSPSTPNTPRDSQRYGMPRPTSLQGDEQQRIQYNQMLNSRSLQQPGVPVPGAPAGVDRGVRMMPGAHGMGVMTGLNRGTPVTRPPFPRLGSSGMLNMVSPGNMLPNNGQGMQNTVNVHPGTIPGHGNTMLRPRDPMQMLRPGQNMEEHRQMMQEFQMQVSQGNNQSIHFSGTPFSNVGASSPGQPFPVQSSQPHQMPQQSHVLGNTHHPHIQGTTQSSPQQQAYAMRLAKDRHMQQCMMTQQQHPLSGASAVSTVQNGSQMQQQSQGPASSAIPSSQSQHKQQHPAQNSLDSSVPPNQPVNTSHKQKKQQGQQQSRQNQQQRNQGSQQAKLMKSLGRGNMMHQSSPVDATQASGISTTSKNQVSDKSMVQQGPGYFAGNKGLVPSVVQPGNQPKIYGSQMPHSPIQTSDVGSQSSMQGSPNQTMLTSQQAPLHSSSPLAQQQQQRYMNPSHNNIQRLVMQQNRHMNTDGRIESPVDQVQHNQAIPSTSIAKSTDSGSPGGVSSINQRRQESSHDPTTVPSTSQLASSPQDTFVGNEMLLSVSSQGMLQRQMSGGVPIHGHGIGGQRQQLQSRQQQQQKPAVQGSVFVLWKRRG >ORUFI08G05180.1 pep chromosome:OR_W1943:8:4141905:4146580:-1 gene:ORUFI08G05180 transcript:ORUFI08G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGQPDGRDAAVREATGVPEVDAGEFSLVGKIRSWRDCSIALQVHGNSSKVHHDPSPYLEEDPRGDELPVALPEKNSKRAALPDLVQDDDAERGGGQRQQQQKDIGNLLAGTDHGRALRPVLAPIHHLHLHLLLCTPHQLLPSPGKMNKSIHGAAGSNVSAPP >ORUFI08G05190.1 pep chromosome:OR_W1943:8:4142838:4145285:1 gene:ORUFI08G05190 transcript:ORUFI08G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKYRAKGSAMVGASKKVAYVLLLLLALAAAALSVVVLHKVRERRAFAVLLRERDRQLISTRILLQKEKAFNKEMKRKLEELKATTSSLRTQKTDLKTKIKGLEATATTLKNREKELEAALAEKNSRVSQMEATLTDKNSHIRQMEERAAGTNPDQMAALMELLQQKEAELEEIKVRFQDYKTTERKSVGSKSTHVQSNNANARPDNAVVEKVTSSSDATPTRAEEKSSKNTTTAESRHPKDTSLEEKQVKSATSKEEDGLQDKTDDAIEDIDDIYGESHSKKIEFPRRNKKFLTNSGVDSQDEELHRIEHPGNSLDQDSDRVRYNKLLEKEIDKVSGETKNKKSIDGSLEKISKHSLGDANKNGLKQTVEDMAGGTAAVKPNMSVNDDGTQQQNKRPKKKKTRSKKKMIDSATTNSSSEVTKEK >ORUFI08G05200.1 pep chromosome:OR_W1943:8:4147337:4152054:-1 gene:ORUFI08G05200 transcript:ORUFI08G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRILVATDCHLGYLEKDEIRRFDSFDTFEEICSLAVINKVDFILLGGNLFHENKPSISTLVKSMEIIRSYCLNDHQVQFQVVSDQAACLQNRFGRVNFEDPNFNIGLPVFTVHGTHDGPAGVDGLSATDILSACNFVNYFGKVDPGSSDVDQISVCPVFIKKGATSVALYGLGNIRDEKLSRMLQTHYKIQWMKADSEDDWFNLFVFHQKRRKGSSTNGINEQLLPSFLDLVIWGHEHECLIDHQEVSGKDFHIIHPGSSVATSLSNAEAKPKNVVLLEIKGMQYKQTNIPLKSVRPFQYAEVQLKDQLGVELNNEAALYEHLDNIVSNLIDKTAISGSEPKLPLVRVKVDYSGFSTITPQRFGQKYVGKVANPNDIILFSRSAQQNQTREHTGSSEECEPNELDQQSIEELIAESNLILDKNDLDSALHDFVNKDENMAFHSCLDKNIDAAKKKLTFATKDLKAEEDIVLQLDQCMQERMNEDPLLSKGGSEIMPCSQSLPTNALSAFQELKCSSNEEQDTHESDELIEISDKEHSQQASQKRPAPVDGGSQSSRRKTDLSSFYRTAPSKNNDDGGKKGKAPADMEP >ORUFI08G05210.1 pep chromosome:OR_W1943:8:4158142:4161383:1 gene:ORUFI08G05210 transcript:ORUFI08G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFEREQDDPLKLSGKTRQLGLIVCRGTAVMLVSPTDGTDEIANPFQSDGA >ORUFI08G05210.2 pep chromosome:OR_W1943:8:4158472:4161383:1 gene:ORUFI08G05210 transcript:ORUFI08G05210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFEREQDDPLKLSGKTRQLGLIVCRGTAVMLVSPTDGTDEIANPFQSDGA >ORUFI08G05220.1 pep chromosome:OR_W1943:8:4162642:4166019:-1 gene:ORUFI08G05220 transcript:ORUFI08G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEPFYVVRKGDVIGIYKSLSDCQAQVSNSVCDPSVTVYKGYSLRKETEEYLAARGLRNPLYSINAADARDELFDDLVPCPFQQPDGTGTSTLKRPLEMLSCLLEFDGASKGNPGKAGAGAVIRRLDGTVIAQLREGLGIATNNAAEYRALILGLTYAAKKGFKYIRAQGDSKLVCNQVSDVWRARHDTMADLCKRVKEIKGRFHTFQINHVLREFNTDADAQANLAVELPVGEVQEQANFL >ORUFI08G05220.2 pep chromosome:OR_W1943:8:4162644:4165826:-1 gene:ORUFI08G05220 transcript:ORUFI08G05220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCASSLCGISRVAWRRHAVAGGALSQHHHHQQRHLVLRPPAPPPIGLALERFFSASSRRSAKRSAAAAKQSSQPPPPPSMDGGEPFYVVRKGDVIGIYKSLSDCQAQVSNSVCDPSVTVYKGYSLRKETEEYLAARGLRNPLYSINAADARDELFDDLVPCPFQQPDGTGTSTLKRPLEMLSCLLEFDGASKGNPGKAGAGAVIRRLDGTVIAQLREGLGIATNNAAEYRALILGLTYAAKKGFKYIRAQGDSKLVCNQVSDVWRARHDTMADLCKRVKEIKGRFHTFQINHVLREFNTDADAQANLAVELPVGEVQEQANFL >ORUFI08G05220.3 pep chromosome:OR_W1943:8:4162644:4165826:-1 gene:ORUFI08G05220 transcript:ORUFI08G05220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCASSLCGISRVAWRRHAVAGGALSQHHHHQQRHLVLRPPAPPPIGLALERFFSASSRRSAKRSAAAAKQSSQPPPPPSMDGGEPFYVVRKGDVIGIYKSLSDCQAQVSNSVCDPSVTVYKGYSLRKETEEYLAARGLRNPLYSINAADARDELFDDLVPCPFQQPDGTGTSTLKRPLEMIAQLREGLGIATNNAAEYRALILGLTYAAKKGFKYIRAQGDSKLVCNQVSDVWRARHDTMADLCKRVKEIKGRFHTFQINHVLREFNTDADAQANLAVELPVGEVQEQANFL >ORUFI08G05230.1 pep chromosome:OR_W1943:8:4169769:4179724:1 gene:ORUFI08G05230 transcript:ORUFI08G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWLVKPLLRLAHGLSRLLSAIASPPTSSRRPAGEATATPTTMDAAAAAFAPGGQLFSVDPLERHAARGHGVVTSMAAGSDVIVLGTSRGWLHSVHRVFLDPGGKHCVATVVHPGGAETYYHHARWPRPKLLPRLRNVLVNAVAWNRQTITEASTKEVILGTEDGQIFEIAVDEADKKEKYVKSLFTLSELQEGIKGLQMETAVVGNATRFYVMAVTPTRLYSFTGIGSLEQRRAKHFGWLSGAGIYHGELNFGAQHSSTSGDENFVENKGFFDYSKLGESGIKPRSFALSEFHFLLLIRDKIKVVNRISQQIVEELIVDSSPEVTKGIIGLCSDASTGLFYAYDENSIFQISSSDEGRDMWQVYLDMKEYATALSHCRNSFQRDQVYLVQADTAFNTKEYYIAASFYAKMNYILSFEEISLKFISVGEQDALRTFLLRRLDNLTKDDRMQITMISTWATELYLDKINRLLLEDGTGATSNAVADSKDSEYRSIVNEFRAFLSDSKDVLDEATTMRLLESYGRVDELVYFAGLKEQHEIVVHHYIQQGEARKALEVLQRHNVLVDLVYKFAPDLIMLDAYETVESWMMARNKLNPGKLIPAMMRYVSEPHAKNETHEVIKYLEFCVKDLNNEDPGVHNLLLSLYAKKDDESQLLQFLDTKFGKGQTNGPEFFYDPKYALRLCLQEKRMRACVRIYSMMSMHEEAVALALTVDLELAKAEADKVEDDEELRKKLWLKVAKHVIEQEKGVKRENIKKAIEFLSETNNLLKIEDILPFFPDFVLIDDFKEEICKSLKDYDSQIDQLKQEMDDATRGADNIRSDIGALAQRYTVIDREEECGVCKRKILTAGGLHQAEKILDLQKRLSLMDRKAAKENGGNMNGESIISATPIDKLRSQLDDAVASECPFCGDLMIKEISLPFILPEESDEKASWEIKPQPTGQKILPMTMSI >ORUFI08G05230.2 pep chromosome:OR_W1943:8:4169769:4179724:1 gene:ORUFI08G05230 transcript:ORUFI08G05230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWLVKPLLRLAHGLSRLLSAIASPPTSSRRPAGEATATPTTMDAAAAAFAPGGQLFSVDPLERHAARGHGVVTSMAAGSDVIVLGTSRGWLHSVHRVFLDPGGKHCVATVVHPGGAETYYHHARWPRPKLLPRLRNVLVNAVAWNRQTITEASTKEVILGTEDGQIFEIAVDEADKKEKYVKSLFTLSELQEGIKGLQMETAVVGNATRFYVMAVTPTRLYSFTGIGSLEQRRAKHFGWLSGAGIYHGELNFGAQHSSTSGDENFVENKGFFDYSKLGESGIKPRSFALSEFHFLLLIRDKIKVVNRISQQIVEELIVDSSPEVTKGIIGLCSDASTGLFYAYDENSIFQISSSDEGRDMWQVYLDMKEYATALSHCRNSFQRDQVYLVQADTAFNTKEYYIAASFYAKMNYILSFEEISLKFISVGEQDALRTFLLRRLDNLTKDDRMQITMISTWATELYLDKINRLLLEDGTGATSNAVADSKDSEYRSIVNEFRAFLSDSKDVLDEATTMRLLESYGRVDELVYFAGLKEQHEIVVHHYIQYKFAPDLIMLDAYETVESWMMARNKLNPGKLIPAMMRYVSEPHAKNETHEVIKYLEFCVKDLNNEDPGVHNLLLSLYAKKDDESQLLQFLDTKFGKGQTNGPEFFYDPKYALRLCLQEKRMRACVRIYSMMSMHEEAVALALTVDLELAKAEADKVEDDEELRKKLWLKVAKHVIEQEKGVKRENIKKAIEFLSETNNLLKIEDILPFFPDFVLIDDFKEEICKSLKDYDSQIDQLKQEMDDATRGADNIRSDIGALAQRYTVIDREEECGVCKRKILTAGGLHQAEKILDLQKRLSLMDRKAAKENGGNMNGESIISATPIDKLRSQLDDAVASECPFCGDLMIKEISLPFILPEESDEKASWEIKPQPTGQKILPMTMSI >ORUFI08G05240.1 pep chromosome:OR_W1943:8:4180951:4182510:1 gene:ORUFI08G05240 transcript:ORUFI08G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAMVQTVGGKTYPGKMTAFVFFTCLVASSGGLIFGYDIGISGGVTSMDSFLSEFFPSVYAQAKASKDTNQYCKFDSQLLTLFTSSLYLAALATSFVAAWVTRVFGRKWSMFCGGVTFLAGSALNGAATDVMMLILGRILLGIGVGFANQSVPLYLSEMAPANLRGMLNIGFQLMTTIGILSANLINYATSSIEGGWGWRIGLGLAGVPALIITLGALVLPDTPNSLIARGYAGDAKRVLVKIRGTDDVHDEYDDMVAASEEAASIEHPWRNILHRKYRPQLTIAILIPCFQQLTGINVIMFYAPVLFLTIGFAGDASLMSAVITGLVNMFATVVSIISVDRLGRRVLFLQGGTQMFISQVVVGTLIALQFGVAGVGEMSRSYAILLVLFICMYVAGFAWSWGPLGWLVPSEVFALEIRSAGQSIAVCVNMMLTFVIGQAFLTMLCHLKFGLFYFFAGWMLVMTTFVALFLPETKGVPIEEMNHVWSRHWFWGSYVTAHDVAGAGAGGGGNRRSHNV >ORUFI08G05250.1 pep chromosome:OR_W1943:8:4185614:4190222:1 gene:ORUFI08G05250 transcript:ORUFI08G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQQDASTSGAAMSMKEYLKRYQSDDAQGKAKKKKKKKPKPAAAVGGGVLIVDEDPTWHKPVQIEEEPASSGDERPLVDEDIEVKRMRRLEAIRAARPYNAIAEDGSGWVTVAAPEDSDGGLTGRRRRNDTPSPERGGAGRKDLSPPRRRQRQDTPSPDPRDAAGKDLSPPRQRRRRQDTPSPKGSEVAGGHDDLSPPRKSRQQRDPSPPRRLSRHDSKESQDISPPRRRTRHDSEEPQDLSPPRRKGRHDSEEPKDLLPPWRRVRHDSEEPKDLSPPRRRTRHDSEEPEDLSPPRRRTRHDSHEPKDKLPPLKRQALGDGDISPPRKGRKEVAPKEVRKAGLMTAEEVKEDIRKIKEDERLKFAAQDPSVIGKGAKAVFRDKQGKRISEEDMRKAKEDTKPKEIHIEWGKGLVQKREAEARLQELESEKSKPFARTRDDPELDTMLKNRIRWGDPMAHLVKRNDTDLLLEDLGDDEKMKESGFIVPQNIPSHSWLKRGVDPPPNRYGIKPGRHWDGVDRSNGFEKDMFKLKNEKQATEQEAYLWSVADM >ORUFI08G05260.1 pep chromosome:OR_W1943:8:4191052:4191851:-1 gene:ORUFI08G05260 transcript:ORUFI08G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAWVPSCPAFILHNGHRFRLEVVVKPSMNLFLRTSLGDVGGGLRYYCYSPTRPKATCSFSGDPPALATVFFLDYDDVARDAKSRT >ORUFI08G05270.1 pep chromosome:OR_W1943:8:4192703:4192975:1 gene:ORUFI08G05270 transcript:ORUFI08G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAVAAKAWWTAAMSVGAVEGLKDQSGLCRWNYALRSLHGAAMDTLMLQVHGGAGASSPAAAMAAERPEEEGMRRVMYLSCCWGPS >ORUFI08G05280.1 pep chromosome:OR_W1943:8:4194692:4196445:1 gene:ORUFI08G05280 transcript:ORUFI08G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAYVSRLCARAVQAAVRAEQPATTRRRPPHAGRPPPPSSGGGSPAEVAPAAKSVAEEKARRLRRRAEKDEKFLKKDRGRKEEINQENKNHKQSPRKWTWKWMWPQEFGPQKEMNMNARSDSSSLFLLLLRSSSSSSAAFAALIACLDDSEPPAAAAALSFAFHVVAAPPSRVFPAGAGAGDGATRAPPTRPANLTLIAAAAAAAKLFAFLGEVKGEEAAAVAVLVGGAVRWAAPLVTPAKRRAKAQTCPRFSPHAAS >ORUFI08G05290.1 pep chromosome:OR_W1943:8:4197509:4197834:1 gene:ORUFI08G05290 transcript:ORUFI08G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRSQTYTGDPIHYVYLNGLSIQNAVVEIAAKLLHPFHKRHLEGEPKDVWIHFVDRHGQPDV >ORUFI08G05300.1 pep chromosome:OR_W1943:8:4198937:4203635:-1 gene:ORUFI08G05300 transcript:ORUFI08G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEMDTVVGSSSKPCGPLTDYHIPDYILRPDAQRVLLDHAPPCPVLVFINSGSGGQLGSSLIKTYRELLGEAQVFDVSEEAPDKVLHRLNVNLEKLKMEGDILAVQIWRTLRIIVAGGDGTASWLLGVVSDLKLSHPPPIATVPLGTGNNLPFSFGWGKKNPCTDQESVKSFLGLVRHAKEMKIDSWHIMLRMRATKEGPCDPIAPLELPHSLHAFHRVSSSDSLNMEGYHTFRGGFWNYFSMGMDAEISYGFHSERKKNPEKFKNQLTNQGTYAKVGLKQGWFCASLSHPSSRNIAQLASVKIMKRAGSHWQELNIHHSIRSIVCLNLPSFSGGLNPWGTPGTRKVEERELTAPFVDDRLIEVVGFRDAWHGLVLLAPNGHGTRLAQAQRIRFEFHKGAAEHTFMRIDGEPWKQPLPKDDDTVVVEISHLGQVTMLANEPCKSKSVHDDQSSHAQHSGHGNNDDDKDSMEDEDEWEDGRRKFGAADTFKIPDEVDIAHLS >ORUFI08G05310.1 pep chromosome:OR_W1943:8:4210625:4211700:-1 gene:ORUFI08G05310 transcript:ORUFI08G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAEGKEKGVGGGGGGGGGAGAGACELCGAAARVYCGADEATLCWGCDAQVHGANFLVARHARALLCRGCARPTPWRAAGPRLGPTASLCERCVRRGGGGRGGGGGGGAAGGGGRGGGGDEEMGGEGDEEEEDEDEEVVVEEEEDEDDEDEEGEGEGENQVVPWAEEAEATPPPVASSTSSSSREAAANGANAADRVKEDQPCSTSQPSLCRYASSAHHGGGGRSDEATSSRNGGGVGGRFLASRHRKRSPSDFRRSGLAQSVSGVQGRNCSNAVVGRNE >ORUFI08G05320.1 pep chromosome:OR_W1943:8:4225941:4234449:1 gene:ORUFI08G05320 transcript:ORUFI08G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRISISSPRNTKNISTEAMLDNTGNLILRSLADNAIIWQSFDHPTDTLLPGMNLRLSHNTHPLQRLISWKDIRDPSPGPFSYGADPNNLLQRFIWHGSVPHRRSPVWNNYLLIGKYMNNLNSTIYMAINHDSDEVYMSFGMPTGPFSVLIRMKITYLGKVNMLGWQSNISAWTTLYSEPVHDCNIYGYCGPNSYCDNTDAVPACKCLDGFEPREEERRTNNRSFLLGCRRRKALRCHHGNSFLTYPSMKVPDNFIYIHKRSFDECMVECRSNCSCVAYAYSNISSGIIDDTRCLLWTGELIDMEKVTQGGENLYIRVNRLNGNRKTTDILEFVLPAVASLLILICMLIWICGVRGKQRGDEIYGGLMLGDISTSRFGTVYKGTMDGDKEIAVKRLGKGSAQGVVEFKNEVLLIAKLQHRNLVKLLGCCIHGDEKLLVYEYLPNKSLDAFLFNASRNSALDWTTRFKIIKGVARGILYLHQDSRLTIIHRDLKASNVLLDADMHPKISDFGTARIFGGNEQQSNTNRVVGTYGYMAPEYALEGIISVKSDVYSFGVLLLEIVSGLKISGIIDPTTGHSNLIAYAWSLWKNGNMSTFVDASISESSSLNEALRCIHIALLSIQNNPNARPLMSWVVSSLDNKDIELPEPKEPMYFAHRSYGADGAGESFVNDMSIASVEAR >ORUFI08G05320.2 pep chromosome:OR_W1943:8:4227123:4234449:1 gene:ORUFI08G05320 transcript:ORUFI08G05320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIPVGVDQAQRGMDLYSKSFLLYLAPIRDKNYSYLMISTSYSSTSVRFVLDSSGKVQFLSWDSGHSLWAVQYILSVQGCGRYGSCGPYGHCDLTGVHTCKCLDGFEPVSDKFVYISGISFEECTVLCSRNCSCTAYAYTNSTSLLPPQCLLWMGELIDTAKLGENGENLYLRLASPVVGRIKCSWCVKFVLDVLLYLDVGHFGHITKWPAGREKKRHDQVVYSETTKDLGETKVEFPVISFREITVATQYFADSNILGRGGFGNFYKGTLEGGTEIAVKRLSKSSGQGLEEFRMKNLVKLLGCCTRGEEKILIYEYLPNKSLDAFRFNDARKFSNADARKSMLDWPTRFKTIKGVAKGLLYLHQDSRLTVVHRDLKASNKLLDADMSPKVSDFGMAMIFGSAQQQANTNRLVGTYGYMSPEYALEGTCSVKSDISFGVLLLKIVSGLKISHPRRITDFLNLIAFAWSSWKDQNTKDLVDSSISGSCSLDEISRCIHLGLLCVQDNPNSRPIENLHQQSSNLTLSDGNGHVLWTTMAKSRISISSPRNTKNISTEAMLDNTGNLILRSLADNAIIWQSFDHPTDTLLPGMNLRLSHNTHPLQRLISWKDIRDPSPGPFSYGADPNNLLQRFIWHGSVPHRRSPVWNNYLLIGKYMNNLNSTIYMAINHDSDEVYMSFGMPTGPFSVLIRMKITYLGKVNMLGWQSNISAWTTLYSEPVHDCNIYGYCGPNSYCDNTDAVPACKCLDGFEPREEERRTNNRSFLLGCRRRKALRCHHGNSFLTYPSMKVPDNFIYIHKRSFDECMVECRSNCSCVAYAYSNISSGIIDDTRCLLWTGELIDMEKVTQGGENLYIRVNRLNGNRKTTDILEFVLPAVASLLILICMLIWICGVRGKQRGDEIYGGLMLGDISTSRELSDRKVDFPIFSFREIASATNNFSDSNILGHGGFGTVYKGTMDGDKEIAVKRLGKGSAQDASRNSALDWTTRFKIIKGVARGILYLHQDSRLTIIHRDLKASNVLLDADMHPKISDFGTARIFGGNEQQSNTNRVVGTYGYMAPEYALEGIISVKSDVYSFGVLLLEIVSGLKISGIIDPTTGHSNLIAYAWSLWKNGNMSTFVDASISESSSLNEALRCIHIALLSIQNNPNARPLMSWVVSSLDNKDIELPEPKEPMYFAHRSYGADGAGESFVNDMSIASVEAR >ORUFI08G05320.3 pep chromosome:OR_W1943:8:4225941:4234449:1 gene:ORUFI08G05320 transcript:ORUFI08G05320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPSLAPKMDLNLDGFRMGNRKTTDILEFVLPAVASLLILICMLIWICGVRGKQRGDEIYGGLMLGDISTSRELSDRKVDFPIFSFREIASATNNFSDSNILGHGGFGTVYKGTMDGDKEIAVKRLGKGSAQGVVEFKNEVLLIAKLQHRNLVKLLGCCIHGDEKLLVYEYLPNKSLDAFLFNASRNSALDWTTRFKIIKGVARGILYLHQDSRLTIIHRDLKASNVLLDADMHPKISDFGTARIFGGNEQQSNTNRVVGTYGYMAPEYALEGIISVKSDVYSFGVLLLEIVSGLKISGIIDPTTGHSNLIAYAWSLWKNGNMSTFVDASISESSSLNEALRCIHIALLSIQNNPNARPLMSWVVSSLDNKDIELPEPKEPMYFAHRSYGADGAGESFVNDMSIASVEAR >ORUFI08G05320.4 pep chromosome:OR_W1943:8:4227123:4234449:1 gene:ORUFI08G05320 transcript:ORUFI08G05320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIPVGVDQAQRGMDLYSKSFLLYLAPIRDKNYSYLMISTSYSSTSVRFVLDSSGKVQFLSWDSGHSLWAVQYILSVQGCGRYGSCGPYGHCDLTGVHTCKCLDGFEPVSDKFVYISGISFEECTVLCSRNCSCTAYAYTNSTSLLPPQCLLWMGELIDTAKLGENDDARKFSNADARKSMLDWPTRFKTIKGVAKGLLYLHQDSRLTVVHRDLKASNKLLDADMSPKVSDFGMAMIFGSAQQQANTNRLVGTYGYMSPEYALEGTCSVKSDISFGVLLLKIVSGLKISHPRRITDFLNLIAFLSTEDGSEPGWLSHGSIHLCASDNRLVPGKPLSPGSTIISDDGTFALGFFSPSNPKKHYYVGIWYNNIPKFTVVWVANRAAPITVPSSAVFTLTRSSNLTLSDGNGHVLWTTMAKSRISISSPRNTKNISTEAMLDNTGNLILRSLADNAIIWQSFDHPTDTLLPGMNLRLSHNTHPLQRLISWKDIRDPSPGPFSYGADPNNLLQRFIWHGSVPHRRSPVWNNYLLIGKYMNNLNSTIYMAINHDSDEVYMSFGMPTGPFSVLIRMKITYLGKVNMLGWQSNISAWTTLYSEPVHDCNIYGYCGPNSYCDNTDAVPACKCLDGFEPREEERRTNNRSFLLGCRRRKALRCHHGNSFLTYPSMKVPDNFIYIHKRSFDECMVECRSNCSCVAYAYSNISSGIIDDTRCLLWTGELIDMEKVTQGGENLYIRVNRLNGNRKTTDILEFVLPAVASLLILICMLIWICGVRGKQRGDEIYGGLMLGDISTSRFGTVYKGTMDGDKEIAVKRLGKGSAQGVVEFKNEVLLIAKLQHRNLVKLLGCCIHGDEKLLVYEYLPNKSLDAFLFNASRNSALDWTTRFKIIKGVARGILYLHQDSRLTIIHRDLKASNVLLDADMHPKISDFGTARIFGGNEQQSNTNRVVGTYGYMAPEYALEGIISVKSDVYSFGVLLLEIVSGLKISGIIDPTTGHSNLIAYAWSLWKNGNMSTFVDASISESSSLNEALRCIHIALLSIQNNPNARPLMSWVVSSLDNKDIELPEPKEPMYFAHRSYGADGAGESFVNDMSIASVEAR >ORUFI08G05320.5 pep chromosome:OR_W1943:8:4227123:4234449:1 gene:ORUFI08G05320 transcript:ORUFI08G05320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIPVGVDQAQRGMDLYSKSFLLYLAPIRDKNYSYLMISTSYSSTSVRFVLDSSGKVQFLSWDSGHSLWAVQYILSVQGCGRYGSCGPYGHCDLTGVHTCKCLDGFEPVSDKFVYISGISFEECTVLCSRNCSCTAYAYTNSTSLLPPQCLLWMGELIDTAKLGENDDARKFSNADARKSMLDWPTRFKTIKGVAKGLLYLHQDSRLTVVHRDLKASNKLLDADMSPKVSDFGMAMIFGSAQQQANTNRLVGTYGYMSPEYALEGTCSVKSDISFGVLLLKIVSGLKISHPRRITDFLNLIAFLSTEDGSEPGWLSHGSIHLCASDNRLVPGKPLSPGSTIISDDGTFALGFFSPSNPKKHYYVGIWYNNIPKFTVVWVANRAAPITVPSSAVFTLTRSSNLTLSDGNGHVLWTTMAKSRISISSPRNTKNISTEAMLDNTGNLILRSLADNAIIWQSFDHPTDTLLPGMNLRLSHNTHPLQRLISWKDIRDPSPGPFSYGADPNNLLQRFIWHGSVPHRRSPVWNNYLLIGKYMNNLNSTIYMAINHDSDEVYMSFGMPTGPFSVLIRMKITYLGKVNMLGWQSNISAWTTLYSEPVHDCNIYGYCGPNSYCDNTDAVPACKCLDGFEPREEERRTNNRSFLLGCRRRKALRCHHGNSFLTYPSMKVPDNFIYIHKRSFDECMVECRSNCSCVAYAYSNISSGIIDDTRCLLWTGELIDMEKVTQGGENLYIRVNRLNGNRKTTDILEFVLPAVASLLILICMLIWICGVRGKQRGDEIYGGLMLGDISTSRELSDRKVDFPIFSFREIASATNNFSDSNILGHGGFGTVYKGTMDGDKEIAVKRLGKGSAQDASRNSALDWTTRFKIIKGVARGILYLHQDSRLTIIHRDLKASNVLLDADMHPKISDFGTARIFGGNEQQSNTNRVVGTYGYMAPEYALEGIISVKSDVYSFGVLLLEIVSGLKISGIIDPTTGHSNLIAYAWSLWKNGNMSTFVDASISESSSLNEALRCIHIALLSIQNNPNARPLMSWVVSSLDNKDIELPEPKEPMYFAHRSYGADGAGESFVNDMSIASVEAR >ORUFI08G05330.1 pep chromosome:OR_W1943:8:4237220:4240760:1 gene:ORUFI08G05330 transcript:ORUFI08G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEQRVHSIDIKGYGSARRQCSLPRHHFPMRCLRSPPLCHPPASLPNPDKESQEVGHTALVAQAIPCRQATTSILQWSSPQRRGGCPQREGVAWPTSKETSHRPPQVCCHPPWPDDDEGLPLLLAISGCPQLLRRLPRRRRHLARCQDHRSPPLPAAPPASALAGRPRLLPPPPPPPPLPPTGHVDAGHPLSPPLPPRASPVVADAVAATALRLPRPIWPLGGQIHLRRGRIRLRRGQIRPAVAIPQPDAGLVAFTAVALGGSSGRPRRREAKSPAAAVLAAAQLCRWPLKRRRGGGGRWVRGGGRSSLPPEQPRGRATQESMLASLISDRSSYRKAAPNGVQKSTGVSLATAKVLDIGTRHSPLPVRSLLLAAVASPPPPPSLSTPVEF >ORUFI08G05340.1 pep chromosome:OR_W1943:8:4240586:4244052:-1 gene:ORUFI08G05340 transcript:ORUFI08G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQEMIHAAAAPAMYGGGGGAAAGGATTTTGSHGGGGGDWWSAAVSSCSAPAPETMQGFGGWSAAVVAVDGGGNTSRSAAGNTASSESPGSLATGSSITFQEPAGGVADPAAIAVHAQTVAGGGGGGWNQQPFLDGSGFHGYMSSSRNDHHTNHHHHQINTPSLMSNSSSNNGVMLQEHQHDQNYQFLSNLGFELLSSPTSPYGGGGGFRSSLLRSLTEPAAAAKPNDSPGFQQYHHHQPAMNLQPPAAAAGREPLQFTNSTAAPFWNPSSGFTVAAEGTALGGAGASPAQPTPASLAAKRALEGVGDSSSIITKKAKADSTPLKKSRTGTPSPLPTTFKACVYIYIIFNLSQMVIDFLPIKFHVRKEKLGDRVTALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGALSAPYLKNRQQVPHLKNSTGVDNDGGGGGEATAASKRDLTGRGLCLVPISSTFAVASETPVDFWTPFGAAFR >ORUFI08G05340.2 pep chromosome:OR_W1943:8:4240586:4244052:-1 gene:ORUFI08G05340 transcript:ORUFI08G05340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQEMIHAAAAPAMYGGGGGAAAGGATTTTGSHGGGGGDWWSAAVSSCSAPAPETMQGFGGWSAAVVAVDGGGNTSRSAAGNTASSESPGSLATGSSITFQEPAGGVADPAAIAVHAQTVAGGGGGGWNQQPFLDGSGFHGYMSSSRNDHHTNHHHHQINTPSLMSNSSSNNGVMLQEHQHDQNYQFLSNLGFELLSSPTSPYGGGGGFRSSLLRSLTEPAAAAKPNDSPGFQQYHHHQPAMNLQPPAAAAGREPLQFTNSTAAPFWNPSSGFTVAAEGTALGGAGASPAQPTPASLAAKVRKEKLGDRVTALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGALSAPYLKNRQQVPHLKNSTGVDNDGGGGGEATAASKRDLTGRGLCLVPISSTFAVASETPVDFWTPFGAAFR >ORUFI08G05350.1 pep chromosome:OR_W1943:8:4257839:4261403:1 gene:ORUFI08G05350 transcript:ORUFI08G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRVLACWGLLHPDIRLPSIHRLHNVLASMCYRDCIKQPKYHVLVMEGPSWKAVESEKNNLWTTLHWSEPGRNDPLQPSDEPASESSWPVNHALAQRSCRINQT >ORUFI08G05360.1 pep chromosome:OR_W1943:8:4264241:4266957:1 gene:ORUFI08G05360 transcript:ORUFI08G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVMRTAAAKVGIAGGAAAAAAAAGGGRFRHVAPAFATAASAEAAAPTLVSAAGGGGGGEVPAVAASGAQWASSWEVDDWEFADWRDVAAAEPEVTAAGKPRLVFAPPSREEAEEATTELRDAIDRVYFSEAPIEVVKEQDKDLSKLGADAIIPAMPGHVMQAFTLLKSSPEAQGVVASLASDKNVWDAVLKNEKVMEFYKTHQQSLVYTFPEGTASVVSPEKLADASSENSSSGEKPESSPFADFMDNARKTVIDIVNNITNFFQDMFRKPNEAEILGGSFMALAIAVILLIMFKKA >ORUFI08G05370.1 pep chromosome:OR_W1943:8:4267962:4271754:-1 gene:ORUFI08G05370 transcript:ORUFI08G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRPRDEPSSSFASAPKRQYGAGGGGYGGHGYSEERSSARRVADHYSARSNQTLEERENSPIIHLKKLNNWGGDLIKWDKAKVGYYVGVDIAEGSIKDCMTRYNGDTDQQRRKKFSFPARLICADCYEARLDEHLYEDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGVFIGTMPDANVIIKRLRETDGMEFGNGVYWISFGEEYAEKKFPASRPFGIKYKFHLEDAVDCPEWVVPFHLFKLLAEEYDLELVLTKNFHEFVHEYLQKPEFAELMRRLGALGDGRQDQSTLSQDEWEVAYLYLAFVLRKRGQPPSQRRANNANRGKMFLTENDIDFLGV >ORUFI08G05380.1 pep chromosome:OR_W1943:8:4275248:4287022:1 gene:ORUFI08G05380 transcript:ORUFI08G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGVACAVPPQRAVEGFRAGGLVRRPGGEAMPDKGERGHHTTSNNHHSHSHSHRKHQAELEEGELLNGEADNSSSRDLERSMPPKKWRKVLAASSAAEVEPGEIVMPSKKARKNGELEKGEIAPERQRKDKSDKSGRKSNKDEVEPGEVAPPDKKQDRDHNKKLGSSAQVRDDGSKKGSSRDSDEEPGEIRPESSSTGSARKSRATEPENSNHKHQADTCDQTGSKSRRKGEAKSSGRHLSGRNRDISPMTRDRHERSPGILGRFPHDRLRHDRSPSRLEPSPRDRGRHYDNRDRSPYISPRHRMRPSHYRDNTPSRGEMHHHRDNTPSRVDSSPRRSQHEDFRDRSPSRRDKSPSERGRTTESHEAGKKSRGAKLENNSLEKAQHKSKSTKQSTKSKSSSNGSNEKISKEKATETIQYTELPPPPPLPPPPPPPPPPPPPLPPNMPPPLPPPPEPELNGAPAEDVSMEEDMDICDTPPHTTSSAPGPTEPPASDVGKWFYLDHYGIEQGPSKLADLKKLVEDGYLLSDHLIKHADSNRWVTVENAASPLVPSEFPSVYSDVSTQLVSPPEAPGNLLDEAREEASGTDHEQMKEASAEEQEDFYIDDRVDALMDGSIMVDGQELEILGELLNAHFEPVNWESEDLSRFQVKLERDDGTKRSTEFPDSRTAHIYGVVPAERDTYQPHIESSEWYSGRWSCKGGDWKRNDDFSQDKPYRKKLVLNEGYPLCQMPKGNHEDPRWVCKDDLYYPLRAKKLDLPLWAFSSTEENDDTVDDASKSGVMPGRSGQTKQPPKGVKGTTLPVVKINARVVKDQSSSELRIKPKVADRPPSRSSRSHSIGTDRSSTHEGSSHSKKHHEHDSQSLHKSKSVPNIPKDHVCTVEELSVKVGDWYYLDGTGHERGPFSYSELQELAKKGTILEGSSVFRKIDNTWLPVLKDLKSGCSARNGEAGSSTSALTHSNQSNFHEMHPQFVGYTRGKLHELVMKYFKSRELTLAINEVLEPWIATKQPRKELETFFSHSSASKNFVQEDGGSTKRARLLPDQSDEYTDMSEDILASQKDDCCFEDLFEGAAHVKESPLNSRTESESWGLLNEHVLARIFHFLRADVKSLISSAATCSWWNTAAKYYRSVCRFIDLSSLGPQCTDNVFHDIMAGYDMQNIRTLVLTGCSNLSSLALAEVLKRFPHISYVHIQGCSQLGDLKNKFQHVKWIKSSLNPDASYQKIRSLKQIDDGSNSTSKAGRILTSQMGGSDELDGYFADISNRESSTLSFGQGFYKRSKWLDIRKSSAVLSRDAQMRRLMQRKAENSYRKMEEFVINKLKEIMKSSRFDFFVPKVAKIEVRLKNGYYARHGFSYIKNDIRSMCRDALRYKGRSDLGDMKQIVVAFIQLAKKLENPRLISDRDGTAVQKDSSDMSQYSSDLKLKKKQSKTMSERRGANWTTAGADPSSRAFDREIKRSLSKLKKRDIDSGSETSDDDDGYSEGDETESETTVSDTESDLDVNSGAWDLKGNGMKLFESSESLTDDRGWGARMTKASLVPPVTRKYEVIEKYLIVADEEEVLRKMRVALPDDYSEKLLSQKNGTENLELPEVKDYQPRKVPGDEVLEQEVYGIDPYTHNLLLEMMPTELDWPSSDKHTFVEELLLNTLNKQVRQFTGSGNTPMVYPLKPVIEEIQKSAEESGDRRTSKMCLGMLKAMRNHPEYNYGLGVVCNKTGGFGVDDFVIEFFGEVYPSWRWYEKQDGIKHIQNNSDDQAPEFYNIMLERPKGDRDGYDLVFVDAMHKANYASRICHSCNPNCEAKVTAVDGHYQIGIYTVRPIAEGEEITFDYNSVTESKEEHEASVCLCGSQICRGSYLNFSGEGAFEKVLMEFHGVLDRHSLLLQACEANSVSQQDLIDLGRAGLGTCLLAGLPGWLVAYTAHLKLPHEIFKHNVDEKRQFFTDINMDSEKNDAEVQAEGVLNSRLQNLTHTLDKVRYVMRCIFGDPKNAPPPLVRLTGRSLVSAIWKGEGSLVDELLESMEPHVEEDVLTDLKAKIRAHDPSGSEDIEGEIRSSLLWLRDELRTLSCTYKCRHDAAADLIHMYAYTKCFFRVRDYKTVKSPPVLISPLDLGPKYADKLGPGFQEYCKTYPENYCLGQLIYWYSQNAEPESRLTRARKGCMSLPDVSSFYVKSVKPTQERVYGSRTVRFMLARMENQAQRPWPKDRIWVFKSDPRFFGTPMMDAVLNNSPLDKEMVHWLKTRSNVFLG >ORUFI08G05390.1 pep chromosome:OR_W1943:8:4290600:4298603:1 gene:ORUFI08G05390 transcript:ORUFI08G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFFCHCDTDKVTHLLYSHSSRFSRKTNRRRIAFTTSDTAPLRATPRSASSSSCALSLSLTRSLLGAPPPPVSPPPPPPPPRKLRGGDLPHRRRRRRRSRSLRRRLPIREDPSGPADSLAAARVLPPPGSISADSTASSSSIFTHLHHYLIHLADAVRMLAGNMNNDNYIDLSSDSDIDFDFDSDDSVGGLDQELASSSSRPTENINGQYRTLPPSFTNGRHVDNARHALGSGDRAYPHSSSYRGSPNDSARATPASNRTDIVVKKHNGFASEENDNGKRILPSSFSNGRTTNAMHPVVASETRKFPPSFTNGNSQRLAENRMGKNVANGIGEPSSSRFPSRSSFGTDNKKVITDSDNEDVYVYGSSSSHRVLPPSFGRNSSANHSEFANGIDMQGRLNLENRIIDSDERANIIQDKREDDLPEGVLSVPLLRHQKMALAWMVSKENSSHCAGGILADDQGLGKTVSTIALIQKQRIQQSKFMSVDSDRLKAEALNLDDDDEAAPVADNKGEQTKNDEPRKDLGAGSSSTAAGTGDVETCASLMNTAPDKTVERNVERKKKSKASTSSTMQSMTRPAAGTLVVCPASVLKQWANELTDKVGESAKLSVLVYHGGSRTKDPNELAKYDVVITTYTIVANEVPKQNADDDTDQKNGEESSAGNKRKQPPKAQSKSKKKKKKLKDSDIYLASGPVARVRWFRVVLDEAQTIKNFRTQVAKACCGLRAKRRWCLSGTPIQNAIDELYSYFHFLKYDPYSTYNSFCTMIKHPIARNAVHGYKKLQTVLRIVLLRRTKETLIDGEPIIKLPPKTINLDKVDFTKEERAFYLTLEERSRQQFKAYAAAGTLKQNYANILLMLLRLRQACDHPLLVKGHQSEYKGDGSIEMAKQLPKEMIINLLAKLEVGEFCSVCSDVPEDAVVTMCGHVFCYQCIYERITTDENMCPFPNCGNTLSTDSVFSSGALRICMSGVSSSHASGSSSLDDESSSISQTSYISSKIQAAIDILNSIINTYALTDSDTVESNPSRVAPVKAIVFSQWTGMLDLLELSLNSNLIQYRRLDGTMSLNSRDKAVKDFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAIDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEEKRAMVSSAFGEDKSGGHATRLTVDDLKYLFRF >ORUFI08G05390.2 pep chromosome:OR_W1943:8:4290600:4298603:1 gene:ORUFI08G05390 transcript:ORUFI08G05390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFFCHCDTDKVTHLLYSHSSRFSRKTNRRRIAFTTSDTAPLRATPRSASSSSCALSLSLTRSLLGAPPPPVSPPPPPPPPRKLRGGDLPHRRRRRRRSRSLRRRLPIREDPSGPADSLAAARVLPPPGSISADSTASSSDIDFDFDSDDSVGGLDQELASSSSRPTENINGQYRTLPPSFTNGRHVDNARHALGSGDRAYPHSSSYRGSPNDSARATPASNRTDIVVKKHNGFASEENDNGKRILPSSFSNGRTTNAMHPVVASETRKFPPSFTNGNSQRLAENRMGKNVANGIGEPSSSRFPSRSSFGTDNKKVITDSDNEDVYVYGSSSSHRVLPPSFGRNSSANHSEFANGIDMQGRLNLENRIIDSDERANIIQDKREDDLPEGVLSVPLLRHQKMALAWMVSKENSSHCAGGILADDQGLGKTVSTIALIQKQRIQQSKFMSVDSDRLKAEALNLDDDDEAAPVADNKGEQTKNDEPRKDLGAGSSSTAAGTGDVETCASLMNTAPDKTVERNVERKKKSKASTSSTMQSMTRPAAGTLVVCPASVLKQWANELTDKVGESAKLSVLVYHGGSRTKDPNELAKYDVVITTYTIVANEVPKQNADDDTDQKNGEESSAGNKRKQPPKAQSKSKKKKKKLKDSDIYLASGPVARVRWFRVVLDEAQTIKNFRTQVAKACCGLRAKRRWCLSGTPIQNAIDELYSYFHFLKYDPYSTYNSFCTMIKHPIARNAVHGYKKLQTVLRIVLLRRTKETLIDGEPIIKLPPKTINLDKVDFTKEERAFYLTLEERSRQQFKAYAAAGTLKQNYANILLMLLRLRQACDHPLLVKGHQSEYKGDGSIEMAKQLPKEMIINLLAKLEVGEFCSVCSDVPEDAVVTMCGHVFCYQCIYERITTDENMCPFPNCGNTLSTDSVFSSGALRICMSGVSSSHASGSSSLDDESSSISQTSYISSKIQAAIDILNSIINTYALTDSDTVESNPSRVAPVKAIVFSQWTGMLDLLELSLNSNLIQYRRLDGTMSLNSRDKAVKDFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAIDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEEKRAMVSSAFGEDKSGGHATRLTVDDLKYLFRF >ORUFI08G05400.1 pep chromosome:OR_W1943:8:4299379:4307996:1 gene:ORUFI08G05400 transcript:ORUFI08G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKARATAAASKPKPRATARAKPKTKPSPASLLSGGSSPASGDAADDLSFLSPSSPVVKPKPRSPLAAPASSPISPYASPASASVSTVADLRILAASHLDFLKRRLDALHGDSARDLEASHSRISKRFKTQSCLQLADEAEKEHRKMADKISEHAEAVKASYKKFVAEVQASTSRVCKVTIPEMAKSADRAIDGLRSRYNIPATAAYRYSGLAVMDPMDDHDSDELPSGVASDEAHVAFRARTKKRSKVWDEYKPIYVNGVVQSAECRYCHILMSCKGSDGHSNGTSHLWRHQKICRAKEDLDLAQLHDTGFPYDSLDDIKLASHSDNSRFRSKVWEEFTPVYVEGRIQGAECLHCHKRLSADKGRSHLNRHTQTCPARGETGINHKSPFSPSSAPSFKSGAFPSEELLPAEDYKVVESICKILRAFYRAIEVISGPVCPTANVYFNELWKVRTTLQEEASTDHTEVASMVREMQEAFHEYWENSYLWLSIPIVLDPRFKITFIEFRLKRAFGAEAAKYVAAVREIIRELFHEYCGPVDKGVHTSNNEARDVEMDGFDREQNRRIWYKRTYGSDQTMDKAE >ORUFI08G05400.2 pep chromosome:OR_W1943:8:4299379:4307996:1 gene:ORUFI08G05400 transcript:ORUFI08G05400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKARATAAASKPKPRATARAKPKTKPSPASLLSGGSSPASGDAADDLSFLSPSSPVVKPKPRSPLAAPASSPISPYASPASASVSTVADLRILAASHLDFLKRRLDALHGDSARDLEASHSRISKRFKTQSCLQLADEAEKEHRKMADKISEHAEAVKASYKKFVAEVQASTSRVCKVTIPEMAKSADRAIDGLRSRYNIPATAAYRYSGLAVMDPMDDHDSDELPSGVASDEAHVAFRARTKKRSKVWDEYKPIYVNGVVQSAECRYCHILMSCKGSDGHSNGTSHLWRHQKICRAKEDLDLAQLHDTGFPYVMNDINPVDQIHPDSLDDIKLASHSDNSRFRSKVWEEFTPVYVEGRIQGAECLHCHKRLSADKGRSHLNRHTQTCPARGETGINHKSPFSPSSAPSFKSGAFPSEELLPAEDYKVVESICKILRAFYRAIEVISGPVCPTANVYFNELWKVRTTLQEEASTDHTEVASMVREMQEAFHEYWENSYLWLSIPIVLDPRFKITFIEFRLKRAFGAEAAKYVAAVREIIRELFHEYCGPVDKGVHTSNNEARDVEMDGFDREQNRRIWYKRTYGSDQTMDKAE >ORUFI08G05410.1 pep chromosome:OR_W1943:8:4308480:4308785:1 gene:ORUFI08G05410 transcript:ORUFI08G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRATPPWNAAHKAMIAHAWHDDGSCGSSGAAAIAAETTQTAPTTEARISGEVTRREKEQRGRGVVGSRRAIEFALKLDGESPALI >ORUFI08G05420.1 pep chromosome:OR_W1943:8:4309427:4321215:-1 gene:ORUFI08G05420 transcript:ORUFI08G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARWPHAPRDGPENYEGGEDEQEKGVPQLPSRPPPSSTPPAPHHRRWGSWCFSVPRSTQAWRSLSTAFDADDDDDGDRAERMRITAVT >ORUFI08G05430.1 pep chromosome:OR_W1943:8:4343998:4344366:-1 gene:ORUFI08G05430 transcript:ORUFI08G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGKDAHDEDRGWERPRLRFSARRRRRRRRQRKGTTSWASVDCGGGGASGRPCLRRRRLGATSAPGGGWGRRRLRLRPAAVDGEAPAVGKTSPLVQCAPAAEGDDDARSESRVERVMTDEA >ORUFI08G05440.1 pep chromosome:OR_W1943:8:4348714:4349047:1 gene:ORUFI08G05440 transcript:ORUFI08G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEAPLPDLVESHGNQSREAEAASPPILHLARRMLSAVAILLWLNGESWRRIWNLERVLPLLCAQTPATYTTTAEDDVQAVACAIILIAAKFPEAIRQLV >ORUFI08G05450.1 pep chromosome:OR_W1943:8:4356303:4356632:-1 gene:ORUFI08G05450 transcript:ORUFI08G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGKIIATAGCAIAFFAAGASGHGLVLSLLGVLAGVIIAISSATLHGIPLLVAVALRRRNLAVLGLVMASSAIAAAAGEASPALSFILFATLLVGVSLIAAGVLGS >ORUFI08G05460.1 pep chromosome:OR_W1943:8:4367205:4368623:-1 gene:ORUFI08G05460 transcript:ORUFI08G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVAAGADTHRGRGGNLASAVVGITAASSAVTMVAAGDVSPPVAFGLFVLLLAGLTLAASPVRRGYYWKNYCHLLCSNEINKFIRIQDEKISAYIIQ >ORUFI08G05470.1 pep chromosome:OR_W1943:8:4381975:4382557:1 gene:ORUFI08G05470 transcript:ORUFI08G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQDGLTRMKKKRRGASLQFLLYAFLSLFLALVFLASFDACASPMCRRAEAGFATVRGALCFNPFANKKKKRCRKQLTWGIPWIMLDLISSTGDKYNEEEPNAFDLFKEFHYSKKKKLLHHCCIGGYCKISFKLFALCNAYF >ORUFI08G05480.1 pep chromosome:OR_W1943:8:4389889:4392787:1 gene:ORUFI08G05480 transcript:ORUFI08G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRFAARKVSGRALQQATAFVPPSPAVREEQRRLLPRLIPGAGGRMPLLRSFSSAGAAPNNKKHDHLPQSKHIVDVELELSRIPASIRPQVKTWYDRHFPHTEPTVNGESGISNKEPASLRAQVEEKKIELFHLLSQLEYSGENSNLEDERILRQLPPYRELKHLVNGYGIKLVVKRIAIASVLSAIFITAMVKM >ORUFI08G05490.1 pep chromosome:OR_W1943:8:4404029:4404436:-1 gene:ORUFI08G05490 transcript:ORUFI08G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSRRAARCMCGGAAQLGRQRCEVRRRGGDPAQAQRPCGQHGEGAAAVLAARLAGTDVALVRGTIGGGWFFGAIQVKACETLRGMASLSCRVAWWPVDAVADSPCVGDAVARDRGDVVREAMRRPFSGISQAS >ORUFI08G05500.1 pep chromosome:OR_W1943:8:4415033:4415995:-1 gene:ORUFI08G05500 transcript:ORUFI08G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAANVKLEDLGAAVDELDQIRQVLLLLSPSIGSSLASYVTIISSPFPCSDFRRGVSPVQQDFDVNGGGVCSSGSRAHHLVEHTSSSSVPSSVMDVQSLLPQAMTMSISTPELLLPLGEGTLDDVDDLLTRELRVPFLALGAAAAPYSGNGSDGGFAREHGMDAEQVKIDIPSGAANAAADADARGGNLASAVIGIAAASFAVTMLAAGAISPPLTFGLFVLLLGGLSLAVSGDRRS >ORUFI08G05510.1 pep chromosome:OR_W1943:8:4419341:4420276:-1 gene:ORUFI08G05510 transcript:ORUFI08G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVLADGRATGYTRELAAPSADWFLRMSHAGGGLGGGNDFTGNAVALYSSVGDYAGDQRTVLVDMDRVGELDRAGGLPLGGVADFLERDTAEHFAGLGALYSSNGGGVARAHGHGAPAPLLDKDRAGELPLGGVADFVERDMAAPHTVAGGVPFDKAQSVGTAAGAAPSSPNAAQVTIDVDFVAEAIEAKRAVGGRGSNLASAVVGIVTASSAVTMVAAGDVSPPVAFGLFVLMIAGLSLAVSGVRRV >ORUFI08G05520.1 pep chromosome:OR_W1943:8:4424225:4425296:-1 gene:ORUFI08G05520 transcript:ORUFI08G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSGEFAVVPVAAHPQPQVSHCASEPEPVAEGLILGKDPAAAGAGVLARDHRAPCADRDAARTRLTVADTDGADFFARERGVSSREALLGKNGPAGLAVSDLFFKRETGAPLVDMARAGGLTVGGTNADDVFARERGVFESDLLPRETGEPLLLLLLDKHRDVFARERGMSFGDALLGNNHPAGLREIDAPLLDEKFFSDDYSFARKTAAPPFAGLVAADEHGARTDKALRPAPSAPHSEQVAIDVLVGAKEVPVAAGADSGGGHGGNLSTVVGIFAASTAVTMVAAGAVSPPVAFGAFLLLLGGLLVSVSRVLENQLVDDI >ORUFI08G05530.1 pep chromosome:OR_W1943:8:4440832:4441125:-1 gene:ORUFI08G05530 transcript:ORUFI08G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESCEFVVVPLPAHPQDSASEPEPFADHRDARARLTTVAVADTNGADVLARERGVFSGEAIRVQDRSPPGWAYVSESNLLAHETSEPLLLLKRTV >ORUFI08G05540.1 pep chromosome:OR_W1943:8:4443116:4445430:-1 gene:ORUFI08G05540 transcript:ORUFI08G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPATSSTVCVTGAGGFLASWLVKLLLSKDHYVINGTVRDLGEGKNAHLKALENAGERLRLFKADVLAYGSVAAAIAGCDGVFHVASPVTSGRPTNPEVDIIATAVTGTLNVLRASHEAKVKRVVVVSSVVAVFNNPNWPTGEPFNEDSWSDEETCRKNEEWYPYYLSKTLAEREAFEYAAKTGMDIVTICPALIMGPLAYSTYKIYLEFWSQVLDSRPSCPKPGSAPVSNAGDNETVGNRLETLLDVRDVADALLLVYANSGGSERYICSSTPRKLMDEEQNTRFSSEKLEKLGWTFRPMEETLRDSFESYIGLGILT >ORUFI08G05540.2 pep chromosome:OR_W1943:8:4443116:4445430:-1 gene:ORUFI08G05540 transcript:ORUFI08G05540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPATSSTVCVTGAGGFLASWLVKLLLSKDHYVINGTVRDLGEGKNAHLKALENAGERLRLFKADVLAYGSVAAAIAGCDGVFHVASPVTSGRPTNPEVDIIATAVTGTLNVLRASHEAKVKRVVVVSSVVAVFNNPNWPTGEPFNEDSWSDEETCRKNEEWYPYYLSKTLAEREAFEYAAKTGMDIVTICPALIMGPLAYSTYKPSCPKPGSAPVSNAGDNETVGNRLETLLDVRDVADALLLVLEVDEEQNTRFSSEKLEKLGWTFRPMEETLRDSFESYIGLGILT >ORUFI08G05540.3 pep chromosome:OR_W1943:8:4443116:4445430:-1 gene:ORUFI08G05540 transcript:ORUFI08G05540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPATSSTVCVTGAGGFLASWLVKLLLSKDHYVINGTVRDLGEGKNAHLKALENAGERLRLFKADVLAYGSVAAAIAGCDGVFHVASPVTSGRPTNPEVDIIATAVTGTLNVLRASHEAKVKRVVVVSSVVAVFNNPNWPTGEPFNEDSWSDEETCRKNEEWYPYYLSKTLAEREAFEYAAKTGMDIVTICPALIMGPLAYSTYKIYLEFWSQVLDSRPSCPKPGSAPVSNAGDNETVGNRLETLLDVRDVADALLLVLEVDEEQNTRFSSEKLEKLGWTFRPMEETLRDSFESYIGLGILT >ORUFI08G05560.1 pep chromosome:OR_W1943:8:4455331:4455618:1 gene:ORUFI08G05560 transcript:ORUFI08G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVRRRWRTCAAMSTTAAPSQPAGGALKGRRQAVCHGVSGPVRRRASNGERRCGRSSSGGGGGAEPEGNCQADVSRPRRGTVERQSKTARARW >ORUFI08G05570.1 pep chromosome:OR_W1943:8:4463505:4463912:1 gene:ORUFI08G05570 transcript:ORUFI08G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNHQLGFVVTLLSVSATVLPVGVGGAHAQVSYVPLVGLVGVLAGASLIFAGVKAKATAAGNPAAFSLPAAGAIASSYLRRRRDLSVVGLLTAASAVTAHVAGVGGGGPAVAFVLFVMLLLGVAMVMLGIHGGLH >ORUFI08G05580.1 pep chromosome:OR_W1943:8:4465764:4467671:-1 gene:ORUFI08G05580 transcript:ORUFI08G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTITYEVEPSHVAAVGGGGGAAPAKQRRLGFGFVGAGKGKRLGFGAGDVVVRGNTLAQLFTDHVVPHLRRRGAGTMQIFVRAVTAGPLAVEVNPWDTVGKVKAKIQAKGGIPAAQQRLMFAGRHLEDGRTLAEYGIKKEANLHLALRLRGGGAAGCGGDARAADSGGWGHWATTVGLFVTMVSLAVAVNAGDAGDLQLFFLWALAVAGVNLITAGVYLTSRDDGWFTLYAHQRRSRQDVKNILRTGDGDGGDRRRPLGFVVDQWPATATAATWLN >ORUFI08G05590.1 pep chromosome:OR_W1943:8:4477129:4480310:1 gene:ORUFI08G05590 transcript:ORUFI08G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVSTAAWMLRPAATCASGPAQLVVVFLALFLTAVGTGGLKSTVSGFGDDLFDVTGRGEESSQTTAFFNWLLFFISLGSLLGITVLVYVQDIANRPGGRAAAIAAALVVFLADTHSMNRFKKMARTPLTHLAAAAAAAARNRRIGLPADLSIMICHIDISMDALMATGFIVTLFAVSIGIGFGGYGLVLTLLGILTGVAFITVGVKMSDDPTAICTTTFHGVFLLAERLRRNLAVVGLVVASTANTAITGESSPGICFDLFAALLVGIALIIAGVLGA >ORUFI08G05600.1 pep chromosome:OR_W1943:8:4490493:4494340:-1 gene:ORUFI08G05600 transcript:ORUFI08G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAGRKKKGGTPEASDAAAAAPARLEKAFGDDGSPLQSWRPRCRPHEDDDFLEADDFEVFTVEELLAEDEIIEELLAEEFRAAATTQIHASQRYFVEIPLSKLGQHIGS >ORUFI08G05610.1 pep chromosome:OR_W1943:8:4526610:4529668:-1 gene:ORUFI08G05610 transcript:ORUFI08G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNHPVKPTVLMLLALLLFSYGVGSIRCATATDNSTDMISLLDFKKAINDPTGALNSWNRSTPYCQWLGIRCNPKSHGRVTALSLAAQSLSGSIPASVGNLTFLHTLDLSSNNFSGQMPHLNKLQKMQILNLSYNSLDGIIPDTLTNCSSLKKLLLYNNFLSGIIPPHIGLLTNLTFMAISSNTISGIIPPTLGNITSLGELYLTTNQLGGSIPDELGKLSNITVLSLGDNRLSGSIPENLFNLSSLEQVEVSRNQLGGTLPTNMGDRLSNLQILYMSHNMLEGNIPTSLGNASMLQEVVFQFNNFTGQIPTSFGKLSNMYKLDLQSNKLEAKNSESWAFLQALGNCSALARLSLARNQLQGVIPDSIGNLPTNLTILTLGGNKLSGVVPPSIGNLSSLLQLTLDLNSLTGTVDQWIGNLKNIQYLTLNRNNFTGPIPPSIGDLSGLTSLVLKNNDFESSIPPNIGNLGKLLELDLSYNNLQGNIPPEIGNLEQLINISLSANKLTGEIPGTLVQCEGLQLIKMDQNLLTGSIPVSFGNLTSLITLNLSNNYLSGTIPRALGNLQQLSELDLSHNHLKGEIPTNGIFKNATTVSLGDNWGLCGGVLDPRTPACPSPSRKTTIQYYLIRTLIPIFGFMSLALLIYLFLVKKVPTSKFSQSSFGEYFLKVSYNDLAEATKHFSQSSLIGRGSHGSVYKGTLKEPKLQVAVKVFNLEMRGAERSFLAECEALRSIQHRNLLPIITACSTVDHTGNVFKALVYEYMPNGNLDTWLHHKGDGKDQKHLGLTQRISIAVNIADALDYLHHDCGRPTVHCDLKPSNILLNRDMDALLGDFGIARFYVDSHLTSAASISSIGVKGTIGYIAPEYAQGGHASISGDVYSFGIVLLEMMIGKRPTDSMFTDGLDLVKFVEDNFPHQIHHVIDGHLREECKELEQANMITETVIHQCLVSLLQVALSCAHQLPSERMNMKVAASKMHAINTSCLGWKSKK >ORUFI08G05620.1 pep chromosome:OR_W1943:8:4535688:4536092:-1 gene:ORUFI08G05620 transcript:ORUFI08G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCQLINLYMELWDDDMPAGMLRRVIRRLGMASAAVAVAALDGQGRRRRAGSSAGGVVLLPALASFVLLLHGVAMVLLSVCAVQAARGPGDGARRRCRLARADARASLTPFLLLVLAGLLQGDGEDADRCYST >ORUFI08G05630.1 pep chromosome:OR_W1943:8:4544164:4544725:-1 gene:ORUFI08G05630 transcript:ORUFI08G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSATSAALRFANCGSQASTRPPPPPSKSPSYINPSVPDIFIELCIVQHPDYPQHCLLLAGKGPPCLVVIATEFPLSIAPDGTADSGPPCLVVIATEFPLSIAPDGTANSSTNLIRAPVATDPMSSLCLVPRCFY >ORUFI08G05640.1 pep chromosome:OR_W1943:8:4545292:4546786:-1 gene:ORUFI08G05640 transcript:ORUFI08G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVWGQIVDKRAKSGAADVDSDTAVDLRLRRHIGTEPNLSTQIARNPNPFFFSPSRSIATTPTSGIHARSNQLLARIRLLSTCMFVICNNIIVKTLTGITITLEVRPSDTIDDVKAKIQDKVGIRSDQQHLVDVSAGSKQLDDGGSTLADYDIHDESTLHLVQVLLGDHGEVRIVVKAIDGEIVTLGAADAIDDDHDDVEAIGGGGGGSTSLAASGLRESVWLMVRVCRMAGVFSVSNLADFLFR >ORUFI08G05650.1 pep chromosome:OR_W1943:8:4548121:4550810:1 gene:ORUFI08G05650 transcript:ORUFI08G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGHREDGARPCQHIEEEEALGRATQEGVKALVCNAWGGGEFTSSPAPRGGGTPRCGEEQELNFVGTWRRKVLEETGMLREAKGLPRRQMEEALGEKEFNLAGWKYGRLAGIHGDIYASPRDHICASLRNWALPIADPLFKTRGCICFGFAMAMHAQMDMQVRDWSWSFSFQVALMSLMTQGRFTSVHPFLFMRLTFCCWPWING >ORUFI08G05660.1 pep chromosome:OR_W1943:8:4558445:4558909:-1 gene:ORUFI08G05660 transcript:ORUFI08G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPVQGRWQSARRTLRRRAAPRRGLEDEGGGGGRSMGWQGGGGRWRRPLEASWTTCRLMSSEPKHRVGGGLACGGAGAVLRVRGGLLVAPRLVAGGVLLLPTLHGVKELAVEALLVLHEPLYQVLEEGGRHAELLLPPPLAIASRRVHPRRL >ORUFI08G05670.1 pep chromosome:OR_W1943:8:4560102:4567843:1 gene:ORUFI08G05670 transcript:ORUFI08G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARRMPPVIGRNGVAYESPSAQLPLTQADMLDSHHLQQALQQQYFDQIPVTTTAAADSGDNMLHGRADAGGLVDEFESKSCSENVDGAGDGLSGDDQDPNQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQNQHERHENAQLRAENDKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRVENARLRDEIDRISGIAAKHVGKPPIVSFPVLSSPLAVAAARSPLDLAGAYGVVTPGLDMFGGAGDLLRGVHPLDADKPMIVELAVAAMDELVQMAQLDEPLWSSSSEPAAALLDEEEYARMFPRGLGPKQYGLKSEASRHGAVVIMTHSNLVEILMDVNQFATVFSSIVSRASTHEVLSTGVAGNYNGALQVMSMEFQVPSPLVPTRESYFVRYCKNNSDGTWAVVDVSLDSLRPSPVQKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDSSVHNIYKPLVNSGLAFGAKRWVGTLDRQCERLASAMASNIPNGDLGVITSVEGRKSMLKLAERMVASFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPAAVFDFLRDETSRSESANSNQSNMLILQESCTDASGSYVVYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGPSGNAQAAVGENGSGSGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVCRDSNPQ >ORUFI08G05680.1 pep chromosome:OR_W1943:8:4569712:4578711:-1 gene:ORUFI08G05680 transcript:ORUFI08G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAGAGGVGHVVERFRARLREEEEEEEGGGGEVSAAAVVRVYVEVLSELTFNCKPIITELTIIAGQHAALAARGIADAICARIAEVSADQKLPSLYLLDSIVKNIGREYVGHFAARLQKVFCDAYRKVHRNQHAAMRHLFGTWSQVFPSSVLRGIEDELQFSPLENKRSATATDIRQSESISPRLSHAIHVNPKYLEAQQQFKQSTSVHQPITRGNRQMNDVEEDQINGLTSKSSRGWPATNSKLQKSTMLYADDLDQQEAFRSHTGLIRPSSPHLLSKHPSILNTEGPLANSRRTMSRSPPLDVLPRNASPKRALERPPLSHSVLGPDPRRLPDRNGWFERKWAFEDGAQRPSMSILDEEYRKQSARELIDAYGNSQGKDVDERLPKMQRLDSNGMAGRPSAQKWLTSEEEEYTWEDMSPTLTDRNRTSVPSLPPLGTLRAGFLGPNSGLLESDIVRHSWPGQAPRPAIDGPPLNLEDRIPTNGPVDRTNNRRYPGNFGVQNGAFLDYQSSEHTLDPGRTTMPVPPWQQTIGQPLRVQAPQPASILNRMPLPTDSEVPVKRLATGGTYDALNVDIPLLEKQRSSPPHAPMEWPLNTQSLTIQPIPPDTKHPRGASDGLDSRPFISQGSSSSVFVPQHHALDRRTMNADDLAQPSYQHPDLLSLSQNQGTVLGNQGQPHHPPQFHPHPHSHLQETIRSFAPSMSVAPPQNIFHGQGGSAAALLPSSFPVPPAVPPYGLQSMPGFPLPSLPSGPPPPSQIGPSSSQVGGPPLVSGLLSNLMQHGIISLQPPSQPQDSVGVDFNVDLKVRNESVINALYQDLPRQCKTCGLRFKCQEEHRAHMDWHVTKNRNSKNRKQTSRKYFVTVGEWLRAAETVGNDGVPSFEPAEPVADAKEEKELAVPADEDQTTCALCQEPFEDFYSDETEEWMYKGAVYMNAPDGNIGGLERSQLGPIVLLPHLFRGWGCRQDMTISVGYLAGNFQNRKDM >ORUFI08G05690.1 pep chromosome:OR_W1943:8:4590140:4594426:1 gene:ORUFI08G05690 transcript:ORUFI08G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVKLSPGPVAFAGTNLRSRSASVSSVSSLKPSKFVVSSLRPLYLAPLDGPRAAGQKAQRQPLEFRCAASAADDKESKTEVVPVRSEAAQKLKISIYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLACGSAMMLVSWATRLVEAPKTDLDFWKVLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSAEPAFSVLVSRFLLGETFPVPVYLSLLPIIGGCALAAVTELNFNMVGFMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSIMSLVILTPFAIAMEGPQMWAAGWQKALAEVGPNVVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPVRPVNALGAAIAILGTFLYSQTAEYFSSFFCYRRQSSEFKKMVMFRAMDEEGIQMKNVSAKPVASTEANFACATYLVDIGGLGFDMRS >ORUFI08G05700.1 pep chromosome:OR_W1943:8:4596354:4597229:1 gene:ORUFI08G05700 transcript:ORUFI08G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAMTPRCHLPPPCRAASTTPATSTALSTPPPSRAGPDELRSTWAHRAWTLAGSAAVLSSLSTSATLAAADADANGAAAAFAAPLAAALAAYSLADLATGVYHWLVDNYGDADTPVLGPQIAAFQGHHRHPSTITRREPCNNLHALARAVALALPPSGAALAAAGAPASAHAFAAVFAACVVLSQQFHAWAHGNPRRLPPGVGAMQRAGVLVSRAQHGAHHRAPYDNNYCIVSGMWNATLDRHRVFEAMEMVVFLRTGVRPRSWDEPDAAWTEDYDDTAAVAGGDTSLDTQ >ORUFI08G05710.1 pep chromosome:OR_W1943:8:4598643:4601040:-1 gene:ORUFI08G05710 transcript:ORUFI08G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRDLLLLPWRRATAAGEAIARRLNHHRAPPFSDPDDDPPFTRLAERPPRAPSKKKKKEEEDQGGRIRPPEPASSDLPFDFRYSYSETDPAWRPIGFREPTRFSPFGPGRLDRPWDGVAAAAARGEGAGAAATSREEVLGEPLAEEEVAQLVERYRHSDCSRQINLGKGGVTHNMIDDIHNHWKRAEAVRIKCLGVPTLDMDNICFHLERPQIPLMLWKPLAPIYPRLVQNVADGLTFEKTKELRNTGLNSSPLMKLTRNGVYVNVVDRVREAFKTVEVVRLDCSHVGSSDCKKIGVKLRDLVPCVPLLFKDEQIILWRGKVKQENSVSLQFSPEPS >ORUFI08G05710.2 pep chromosome:OR_W1943:8:4598643:4601040:-1 gene:ORUFI08G05710 transcript:ORUFI08G05710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRDLLLLPWRRATAAGEAIARRLNHHRAPPFSDPDDDPPFTRLAERPPRAPSKKKKKEEEDQGGRIRPPEPASSDLPFDFRYSYSETDPAWRPIGFREPTRFSPFGPGRLDRPWDGVAAAAARGEGAGAAATSREEVLGEPLAEEEVAQLVERYRHSDCSRQINLGKGGVTHNMIDDIHNHWKRAEAVRIKCLGVPTLDMDNICFHLEDKTGGKVIYRNINILILYRGRNYDPKQRPQIPLMLWKPLAPIYPRLVQNVADGLTFEKTKELRNTGLNSSPLMKLTRNGVYVNVVDRVREAFKTVEVVRLDCSHVGSSDCKKIGVKLRDLVPCVPLLFKDEQIILWRGKVKQENSVSLQFSPEPS >ORUFI08G05720.1 pep chromosome:OR_W1943:8:4611946:4614276:1 gene:ORUFI08G05720 transcript:ORUFI08G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEKDARIIVLALAAPVTKFKPPQTRKRNKASSSNHAKSKRASTDGPSGIIKLKESTTFAHGKDQIMEEATDFVHQIGTVNNIQQNQEEPAGLNQQQPAYLGLAHGGPQIPLEAAGITMLQESTTANGIAQIMEEETDTDDNVQQNKEEPAGLNQQQPDLGLADVPQIPEEAAPGPADPNEDVNDVFEFALNNNVLDL >ORUFI08G05730.1 pep chromosome:OR_W1943:8:4616750:4618350:-1 gene:ORUFI08G05730 transcript:ORUFI08G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHYKYMRKNNVLPTVDTSPHEDAIGDKVVKRAAAAVGKGELGRIKPPFKALARRLYHRAPSFSDPDDDPPFTRLTECPPRPASKPAHATKKKNQGGSIKQPELVRSDLLFNFRYSYSKTDPAWRPIGFQRDLVDRNLLATPAYLAWQNNTDTLHTIGVTVLSCYASEGGVVK >ORUFI08G05740.1 pep chromosome:OR_W1943:8:4631462:4641457:1 gene:ORUFI08G05740 transcript:ORUFI08G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFISFLLLAALIGMASWQAIAAEPSPLQDFCVADLNSAVRVNGFACKNPTNVSADDFFKAAMLDKPRDTAVNKVGSNITLINVMEIPGLNTLGISIVRVDYAPLGLNPPHTHPRATEIFTVLEGTLYVGFVTSNPDNKLFSKVLNKGDVFVFPKGLIHFQFNLDPHEPAIATSAISSQNPGIITIANAVFRSNPPISDDILAKAFQVDKKIIDLLQAYHVAWSGYSLRSGRILVYSNLPKPTLRLPGGRGEGIGGAVPGRHRRGNRRCRRGRRRQIHRQIHRGGSIVAAARSDPELAAKRRRPHAAIEERRRPRPAAAERRRPCVAGGRPGKKERKRGADMRRTADAVGTRPPNQIKQTDSLENH >ORUFI08G05740.2 pep chromosome:OR_W1943:8:4641406:4642751:1 gene:ORUFI08G05740 transcript:ORUFI08G05740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFSFLLVAALLGLASWKAIASDPSPLQDFCVADLNSPVRVNGFVCKNPMNASADDFFKAAMLDKPRDTNNKVGSNVTLVNVLQLPGLNTLGISIARLDFAPLGLNPPHTHPRATEIFTVLEGTLYVGFVTSNPDNRLLSKVLNKGDVFVFPEGLIHFQFNPNPHKPAVAIAALSSQNPGVITIANAVFGSNPPISDDILMKAFQVDKKIIDLLQAQF >ORUFI08G05750.1 pep chromosome:OR_W1943:8:4638925:4662744:-1 gene:ORUFI08G05750 transcript:ORUFI08G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVMGLDAKLLGFDAKKHGAEFLIHLRVLYLLLALWERVKGSGNERLWTKIPGGAPSLSGADPLLTWQGDAERHTRTNHRKNELKWTRWVVGEGEVGGASMAGALFPRAAPTILAPFSCHVGSRVPRWQEDGAREAGAVRSKRRRSNSADNERSTHWLRFWAHLQKCEENKNKRDGIDLIGSRGGFWQASRPFRPQASSAPPSARPYTITVRENITRGEVLRTASENGSSLASSSAWPSSRQCSGCGGAIQHTRPRRLGDRAPGSGDGDLALYSLSQIRPEGRGRRSGGGRLEGHG >ORUFI08G05760.1 pep chromosome:OR_W1943:8:4655267:4673192:1 gene:ORUFI08G05760 transcript:ORUFI08G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLFLLASLLVLASWQQAIAFDPSPLQDFCVADMASPVRVNGFPCKNPMNVTSDDFFNAAKFDMPRNTMNKVGSNVTNLNVINFPGLNTLGISLARIDYAPMGVNPPHVHPRATELLTVLEGTLYVGFVTSNPNRLFSKVVHKGDVFVFPKAMIHFQMNLDHNKPAVAQSALSSQNPGVITIASAIFGSTPPISDDVLVKAFQVEKKLINTMASSSSLYLLAALLALASWQAIAFDPSPLQDFCVADMKSPVRVNGFPCKNPMEVNSDDFFNAAKFDMPRSTMNKVGSNVTNLNVLNFPGLNTLGISLARIDYAPLGVNSPHIHPRATELLTVLEGTLYVGFVTSNPNRLFSKVVHKGDTFVFPKAMIHFQMNLDHNKPAVAQSSLNSQNPGVITIASAVFGSKPPISDDVLTKAFQVEKKLEKQQIIQQEEEQKLIQSRMASPSSLCLLAALALISWQAMASDPSPLQDFCVADMHSPVRVNGFACLNPMEVNADHFFKAAKLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPQGLIHFQFNPNPHQPAIAIAALSSQNPGAITIANAMFGSKPPISDEVLAKAFQVEKEIQSQMASPSSLCLLTALLALVSWQTIASDPSPLQDFCVADEHSPVLVNGFACLDPKHVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLKKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDEVLAKAFQVEKGTIDWLQAQFWENNHY >ORUFI08G05770.1 pep chromosome:OR_W1943:8:4675583:4676745:1 gene:ORUFI08G05770 transcript:ORUFI08G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSFCLLAVLLALVSWQAIASDPSPLQDFCVADKHSPVLVNGFACLDPKYVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPEGLIHFQFNPNPHQPAVALAALSSQNPGAITIANAVFGSKPPISDDILAKAFQVEKGTIDWLQAQFWENNHY >ORUFI08G05780.1 pep chromosome:OR_W1943:8:4681757:4682923:1 gene:ORUFI08G05780 transcript:ORUFI08G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSFCLLAALLALVSWQAIASDPSPLQDFCVADKHSPVLVNGFACLDPKYVTADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPEGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDKVLAKAFQVEKGTIDWLQAQFWENNHY >ORUFI08G05790.1 pep chromosome:OR_W1943:8:4683372:4683662:1 gene:ORUFI08G05790 transcript:ORUFI08G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMSRSSHNDDYRTMESTFEHGAIMRDWRFISTIFPSATMAADGGPLLGEWMSATASAPPRGPRYLTVFPSLFPVIAADPVDNEKKYKKFRMLDL >ORUFI08G05800.1 pep chromosome:OR_W1943:8:4687351:4688414:1 gene:ORUFI08G05800 transcript:ORUFI08G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSFCLFAALLALVSWQAIASDPSPLQDFCVADKHSPVLVNGFACLDPKYVTADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLHVGFVTSNPNNTLFSKVLNKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSSQNPGVITIANAVFGSKPPISDEVLAKAFQVGKGTIDWLQAQFWENNHY >ORUFI08G05810.1 pep chromosome:OR_W1943:8:4694461:4698600:1 gene:ORUFI08G05810 transcript:ORUFI08G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVFLIRSSKVFVLYIYVLIMASSSFLLLATLLAMASWQGMASDPSPLQDFCVADMHSPVLVNGFACLNPKDVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPDNKFFSKVLNKGDVFVFPVGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY >ORUFI08G05810.2 pep chromosome:OR_W1943:8:4693419:4694564:1 gene:ORUFI08G05810 transcript:ORUFI08G05810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSICLLAALLALVSWQAIASDPSPLQDFCVADMHSPVLVNGFACLDPKYVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPEGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDIVLAKAFQVEKGTIDWLQAQFWENNHY >ORUFI08G05820.1 pep chromosome:OR_W1943:8:4702115:4706153:-1 gene:ORUFI08G05820 transcript:ORUFI08G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGQAPPPPQPAYGQPPPAQAGYYGAPPQAAPAVPAGPNEVRTLWIGDLQYWMDENYISACFAPTGELQSVKLIRDKQTGQLQGYGFIEFTSRAGAERVLQTYNGAMMPNVEQTYRVHYPSVKGAKVVTDKMTMRSKGYGFVKFGDPSEQARAMTEMNGMVCSSRPMRIGPAANKKATGVQEKVPSAQGVQSDSDPSNTTIFVGGLDPSVTDDMLKQVFTPYGDVVHVKIPVGKRCGFVQFANRASADEALVLLQGTLIGGQNVRLSWGRSPSNRQAQPQQDSNQWGGANAGYYGYGQGYEGYGYAQPQDPNMYGYGAYSGYPNYQQPLAQQPQQQQVRAVLFN >ORUFI08G05820.2 pep chromosome:OR_W1943:8:4702115:4706153:-1 gene:ORUFI08G05820 transcript:ORUFI08G05820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGQAPPPPQPAYGQPPPAQAGTLWIGDLQYWMDENYISACFAPTGELQSVKLIRDKQTGQLQGYGFIEFTSRAGAERVLQTYNGAMMPNVEQTYRLNWASAGEKRDDTPDYTIFVGDLAADVTDYILQETFRVHYPSVKGAKVVTDKMTMRSKGYGFVKFGDPSEQARAMTEMNGMVCSSRPMRIGPAANKKATGVQEKVPSAQGVQSDSDPSNTTIFVGGLDPSVTDDMLKQVFTPYGDVVHVKIPVGKRCGFVQFANRASADEALVLLQGTLIGGQNVRLSWGRSPSNRQAQPQQDSNQWGGANAGYYGYGQGYEGYGYAQPQDPNMYGYGAYSGYPNYQQPLAQQPQQQQVRAVLFN >ORUFI08G05820.3 pep chromosome:OR_W1943:8:4702115:4706153:-1 gene:ORUFI08G05820 transcript:ORUFI08G05820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWGQAPPPPQPAYGQPPPAQAGYYGAPPQAAPAVPAGPNEVRTLWIGDLQYWMDENYISACFAPTGELQSVKLIRDKQTGQLQGYGFIEFTSRAGAERVLQTYNGAMMPNVEQTYRLNWASAGEKRDDTPDYTIFVGDLAADVTDYILQETFRVHYPSVKGAKVVTDKMTMRSKGYGFVKFGDPSEQARAMTEMNGMVCSSRPMRIGPAANKKATGVQEKVPSAQGVQSDSDPSNTTIFVGGLDPSVTDDMLKQVFTPYGDVVHVKIPVGKRCGFVQFANRASADEALVLLQGTLIGGQNVRLSWGRSPSNRQAQPQQDSNQWGGANAGYYGYGQGYEGYGYAQPQDPNMYGYGAYSGYPNYQQPLAQQPQQQQVRAVLFN >ORUFI08G05830.1 pep chromosome:OR_W1943:8:4708032:4712464:-1 gene:ORUFI08G05830 transcript:ORUFI08G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGVVKFAVDKLESMAAQELKLQTEVGKKVLELRHELEWLRTFLRDADRKRRGSSSSSATGGAAAAAYDELIEVWVRQTRELAHDAEDLLEEFVHRGELHCHGCFDLPSFLRWLRHSAAGVFARHAIFDGIEDINKRIEQMKQQRKECNLEKLPCASKPHRKKYTDWSSLTELEIEDNLVKIGDYDEIKRLVLDQSRQRTVINLTGKSGIGKTTLASYLYRRSSIRKHFACTAWVHVPRKFRFADLLHDVIRQANASSGHDDDELAAAADDDDVRRRGGMEAERLVKARLAAALQGRRYLVVLDDVRSREEWAFFLAALPAGLAGSCVLVTTQVKISEESAAEQSGGVVRTRELGKLRPEQAAELFRRRVYGHGEPDPKKMEQLKKVVDSMTKGSNLPLNIVMLAGLLRSKKEDEWDAVIHSLDDTPPPPTSPEQMPPEKDSLDDIAPAAASETAPTSTEQTTKKKMKGKQMATSMDKILTVCMDDLPTHLKPCFLYFAGFTAQTPICAGKLVRLWVAEGFMQAKNGQTVEEHGEECLKELISRCLVQLVETDAAGGRVAAVSVHQAVLDFAQAEARDTNFLHVHSGAAGLSNGAARRLALRNTYDTDLAVMLEAPKLHTLLCDIPERAADAAGAAAWQRALELINGRAPTFSVHGSRFLRVMDLKGVRLPHRESLPEEIGWLIHLRYLGLSHTAMRHLPSSVKRLRNLQTLDVSRTDVEALPWRLWRNPSLRHVMARRLAAWSAPDERAVLPDLQTLHGVPWGRWARSGGGGAIGKMTSLRSLMAWNVSAAAAAGGGGGERLSSALAGLECLRSLDLEAADDAATTLPLWDLLTMLGLRQLEYLTLRGRVAPWNPPPPETTTTPPPLQGHHHHHHHYLLPNLAKLELHRSECDQPLIDAIAKLPNLAELVLDEASYVKPYMRFPAAGFPKLRKLQLTSLDKLTECTAAAAAAGDGGGGALPQLRHVSVFHCGKLNTFPVKMAPKLELLTIHDSEELKNFMDCNQDNEHIHVVHGKMSKRRVMTAPK >ORUFI08G05840.1 pep chromosome:OR_W1943:8:4724057:4727605:1 gene:ORUFI08G05840 transcript:ORUFI08G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSTTRPAAPVRLRRRPLRTPLAVAPAAAAGLPRGGGPGTPHLRWGAGGREEEEENGGEKGNAVGAPGGRRSVRRLAAAVWRLRPAVEAPPPASRHAADRACLEHIPRHLQVQLLRKDHVSTRYGLKNETSSPISVLERHSGELHKGQLHLASDMLPITSLENATKWEPDGIKGIESGGAYVIASQLNLIEEQKGESYVSNLQVELQQTRDRVGKLEAERISAKKQLDHLFKKLTEEKAAWRKREHKKVQAILEDMKADLEHEKKNRRQLEKINLKLVDELKEVKMAANNLLQEHDNERKTRELTEEVCTKLVRELEEHKAEIEGLKQDSLKLRAEVDEDRKLLQMAEVWREERVQMKLVDAKLTLEAKYEELSKLQLDVEAIIASFSDTKGDDTIVQTAKDIVQSIESTREQEIKFTYEPPPASDDILAIIEELRPSEEIETRETEPCHKHNSPVHESENQQDSPMTDIFLENPTKLYSNRSHYNESDMGDSSSWETISNEEMQGSSSSRNGSEPSVNKICDKISWTSGDDSEAGQSDSLSGELSKAYFADRKSSKKKESAISKLWKSSPLKNCEIFKIDVVEMMNGRSSNEKLSNGMHSSNEGANQDAGLSSPSIGQWSSPDSMNSQLNRGFRGCMELVQKQSLKAKLLEARMESQKIQLRHVLNQKT >ORUFI08G05850.1 pep chromosome:OR_W1943:8:4728792:4730672:-1 gene:ORUFI08G05850 transcript:ORUFI08G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAKCEKKLGKVIVPDKWKEGASNTNESGGRKINENKLLSKKNRWTPYGNTKCVICKQQVHQDAKCMCNVREASAGHEAV >ORUFI08G05860.1 pep chromosome:OR_W1943:8:4732680:4735217:1 gene:ORUFI08G05860 transcript:ORUFI08G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLSANPQVNPQVTVHGDWVSAVMPLMKLLCLTVIGLVLSNPRTQIIPKATFKLLSKLVFALFLPCLIFVHLGQSVTIQNILDWWFIPVNVLISTAIGCILGYIVALICRPPPQFFRFTVIMTGFGNTGNLPIAIIGSICHTTDHPFGPGCHRKGVAYVSFAQWVAVILVYTLVYHMMEPPMQFYEIVGEGNEIVEEPAQISNYSRSLLHEAEWPGMADKETEHSKTPFIARVFMSISGSSQNTFPDIDFAEEGTSGAGPSSPKSLRCLAEPKVVRRIRVVAEKTPIQHVLQPPTIASLLAIIIGMVPLFKDFVFVPDAPLSFFTDSLEILAQAVVPSVMLILGGMLAEGPKDNALGIRTITGIIVARLLILPCIGIGVVLLADRLHLLVEEDHMYRFVLSLQYSTPSAILLGAIASLRGYAVKEASALLFWQHICAVLSLSIYLIVYFRLLTI >ORUFI08G05870.1 pep chromosome:OR_W1943:8:4737554:4744351:-1 gene:ORUFI08G05870 transcript:ORUFI08G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAAYSSASARLSSSSLLRFRSLTSPAPSSSPSRLLSLRARAFSRPSRGGWAAAGGWSGRASSSPVVGCGACRAQIGAVAPAVERVHRRMAATAAAEHPFKNILTTLPKPGGGEYGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVNQNDVEKIIDWENTSPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDAMAKLGSDANKINPLVPVDLVIDHSVQVDVARSPNAVQSNMELEFKRNNERFGFLKWGSTAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTDGIMYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLQNGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSDETVAMIEAYLRANKMFVDYNEPQTERVYSSYLELDLNEVEPCISGPKRPHDRVLLKEMKSDWHSCLDNRVGFKGFAVPKEQQDKVVKFDFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNKQGFHVVGYGCTTCIGNSGDLDESVSAAISENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGVGKDGKEVFFRDIWPSTEEIAEVVQSSVLPDMFKSTYEAITKGNPMWNQLTVPEASLYSWDPNSTYIHEPPYFKDMTMSPPGPHGVKNAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRKDFNSYGSRRGNDEVMARGTFANIRIVNKFLNGEVGPKTVHVPTGEKLYVFDAALKYKSEGHDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYTIDLPTNVSEIRPGQDITVTTDNGKSFTCTLRFDTEVELAYFNHGGILPYVIRNLAQN >ORUFI08G05880.1 pep chromosome:OR_W1943:8:4751383:4755202:1 gene:ORUFI08G05880 transcript:ORUFI08G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAYGVGAPLKLAATRHGALALAGSHRCSGWKSSVSCPVPQAWMGSCSSVAMRRVASGSRLIVQASNSGGSSLKASLADASLLTEERITVLVIGGGGREHALCYALNRSPSCDAVLCAPGNAGIAQSGDATCISDLDVSDSDAVIVFCRKRGVGMVVVGPEAPLVAGLVNDLGKAEIPAFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYRTFTDPAEAKQYVKDQGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDSMLVQGSFGSAGSRVIIEEYLEGEEASFFALVDGENALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEELKHTIMESIIIPTVQGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLMSACRGELGNVSLTWSPEMAMVVVMASEGYPGSYKKGTVIRNLEKAEQVSPAVKIFHAGTALDGDGNLVAVGGRVLGITAKGKDIEEARARAYDAVDVVDWPEGFFRRDIGWRALKHKQVANY >ORUFI08G05890.1 pep chromosome:OR_W1943:8:4756819:4758130:-1 gene:ORUFI08G05890 transcript:ORUFI08G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPAPSQEETTTRRDWSELPVDALSVVFAKLGAVEVLMGAGLVCRPWLDAAKLPHLWRCVDMAAHHHHHHHHHRRIPSKKKRAVLCAMAEEAVRRADGQLEAFMARAFVTNKLLKHVGDSSPTLKSLWLESCSLVTSNGLAQMIAMAPLLEELVLSYCRKVHRGGGVYAAVAEACPRLRRLEVRRDPTWRDDDSGDRRRRLPFGIAAMRELRHLTLVGVAGVGDDELAAIVDGGCPHLEVLHVSECPGLAAVDVAALRAKCGGVKELTLHPCVTAADDDQPAEVRRPSRDCRPNRKYYGPDWTT >ORUFI08G05900.1 pep chromosome:OR_W1943:8:4762126:4775482:1 gene:ORUFI08G05900 transcript:ORUFI08G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALRPQSLLCPRSRLKVVIRPASSASGGGLAQEIYWKQNCSMHGFKFRKAKRTISLHTEVASSRGYAPRIAAESSIQEREHINSDEETFDTYNRLLHNESTEWKKLDTTEVDLSQDVSSSSMRKVDATDDAKLDILEDDLPRNLLNGVTMGEVDMLDEAGAEDDVFEVDLSALHNSTVGKMDAVNEVGTENDLFEVDLSALHSAAVGKVDVVDGAKAKEDLFEMDSLALHSVTMGKVDAINAAGAEGDKFEVDLSALASNNSMIEAVNVMDEAKAIEDTLEVDLSGNATSSSTYGEVKFEVDSLGNTSSTVMYGPADGAYEPRSDEVTFKVDSSENALNNVMYGRADVVDESWADEGIFEVDFFTNASSGAEYGKVDVVDEAKTDDFTFEIDSLEKDSNNKMHGKAHMVDEAWDDEAIFEVDLFGNASSIPIYGEVNVLDEARADDGKFEVDLLGNTSSNSTHEEVDVVDEAQIGEATFEVDLLGNALSSAIYKEVPVMGGAQDDEVDVDFSINASITETEKEADAVDEARVEDETFDMDLVGKQISIDSMNDDVVEEGTKHHKYPMLSSAFIEVKTIHETPVSLKPELMSVVMDQEQDKPISSVYQQEGSIFNLHAENQSTVDFHEREQMAITFDKQKESVAKLSKEDQQTAGLPEQNMSFDGVHRKNQSIIGLPFQHQSIVSSPEKYQSIVGFHGQNQSIISSHKQDKSIVGVPKKIQSIVGSTKHDDSIVGFHKQDRSIVSVPEQKQSIVGFHKQDLSIVAVSEQNLSIVAKPRESQSKQISIVRRHDPLHLKEVETKDRDDISKKSGGDDDLPHMLFEEELSQVEDEARAIAYKKQHEVDVISLTPDIQESPQDNIDPQELRRMLQELADQNCSMGNKLFVFPEAVKANSTIDVYLNRNLSALANEPDVHIKGAFNSWRWRPFTERLHKSELSGDWWSCKLHIPKEAYRLDFVFFNGRLVYDNNDSNDFVLQVESTMDEDSFEEFLVEEKKRELERVATEEAERRRHAEEQQRMGEQRAAEQAAREQAKKEIELKKNKLQNLLSSARTHVDNLWHIEPSTYRQGDTVRLYYNRNSRPLMHSTEIWMHGGCNSWTDGLSIVEKLVECDDENGDWWYANVHIPEKAFVLDWVFADGPPGNARNYDNNGRQDFHAILPNAMTNEEYWVEEENCIYTRLLHEIREREEAIKIKVEKRAKMKSEMKEKTMRMFLLSQKHIVYTEPLEIRAGTTVDVLYNPSNTVLNGKPEVWFRWSFNRWMHPSGVLPPKKMVKTEDGCHLKATVSVPSDAYMMDFVFSESEEGGIYDNRNGTDYHIPVSGSNAKEPPIHIVHIAVEMAPIAKVGGLADVVTSLSRAIQELGHHVEVILPKYNFMNQSNVKNLHVRQSFSLGGTEIKVWFGLVEDLSVYFLEPQNGMFGGGWVYGGNDAGRFGLFCQSALEFLLQSGSSPHIIHCHDWSSAPVAWLYKEHYAESRLATARIIFTIHNLEFGAHFIGKAMTYCDKATTVSHTYSKEVAGHGAIAPHRGKFYGILNGIDPDIWDPYTDNFIPMHYTSENVVEGKNAAKRALQQRFGLQQTDVPIVGIITRLTAQKGIHLIKHALHRTLERNGQVVLLGSAPDPRIQSDFCRLADSLHGENHGRIYAGSDFILVPSIFEPCGLTQLVAMRYGSIPIVRKTGGLYDTVFDVDHDKDRARVLGLEPNGFSFDGADCNEQSLLGLKPAVGSTPSAKGSWSKTGPGTGLPWTTLNCTIQLTNFEAPIQRWQEKASIGRYYKLNETWLKVKIFYLSCRYKLTQTWFKVKIFYLSYTYICRIKTLYSMHKQLWEYVSAMFPILSFNYEYLI >ORUFI08G05900.2 pep chromosome:OR_W1943:8:4762276:4775480:1 gene:ORUFI08G05900 transcript:ORUFI08G05900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALRPQSLLCPRSRLKVVIRPASSASGGGLAQEIYWKQNCSMHGFKFRKAKRTISLHTEVASSRGYAPRIAAESSIQEREHINSDEETFDTYNRLLHNESTEWKKLDTTEVDLSQDVSSSSMRKVDATDDAKLDILEDDLPRNLLNGVTMGEVDMLDEAGAEDDVFEVDLSALHNSTVGKMDAVNEVGTENDLFEVDLSALHSAAVGKVDVVDGAKAKEDLFEMDSLALHSVTMGKVDAINAAGAEGDKFEVDLSALASNNSMIEAVNVMDEAKAIEDTLEVDLSGNATSSSTYGEVKFEVDSLGNTSSTVMYGPADGAYEPRSDEVTFKVDSSENALNNVMYGRADVVDESWADEGIFEVDFFTNASSGAEYGKVDVVDEAKTDDFTFEIDSLEKDSNNKMHGKAHMVDEAWDDEAIFEVDLFGNASSIPIYGEVNVLDEARADDGKFEVDLLGNTSSNSTHEEVDVVDEAQIGEATFEVDLLGNALSSAIYKEVPVMGGAQDDEVDVDFSINASITETEKEADAVDEARVEDETFDMDLVGKQISIDSMNDDVVEEGTKHHKYPMLSSAFIEVKTIHETPVSLKPELMSVVMDQEQDKPISSVYQQEGSIFNLHAENQSTVDFHEREQMAITFDKQKESVAKLSKEDQQTAGLPEQNMSFDGVHRKNQSIIGLPFQHQSIVSSPEKYQSIVGFHGQNQSIISSHKQDKSIVGVPKKIQSIVGSTKHDDSIVGFHKQDRSIVSVPEQKQSIVGFHKQDLSIVAVSEQNLSIVAKPRESQSKQISIVRRHDPLHLKEVETKDRDDISKKSGGDDDLPHMLFEEELSQVEDEARAIAYKKQHEVDVISLTPDIQESPQDNIDPQELRRMLQELADQNCSMGNKLFVFPEAVKANSTIDVYLNRNLSALANEPDVHIKGAFNSWRWRPFTERLHKSELSGDWWSCKLHIPKEAYRLDFVFFNGRLVYDNNDSNDFVLQVESTMDEDSFEEFLVEEKKRELERVATEEAERRRHAEEQQRMGEQRAAEQAAREQAKKEIELKKNKLQNLLSSARTHVDNLWHIEPSTYRQGDTVRLYYNRNSRPLMHSTEIWMHGGCNSWTDGLSIVEKLVECDDENGDWWYANVHIPEKAFVLDWVFADGPPGNARNYDNNGRQDFHAILPNAMTNEEYWVEEENCIYTRLLHEIREREEAIKIKVEKRAKMKSEMKEKTMRMFLLSQKHIVYTEPLEIRAGTTVDVLYNPSNTVLNGKPEVWFRWSFNRWMHPSGVLPPKKMVKTEDGCHLKATVSVPSDAYMMDFVFSESEEGGIYDNRNGTDYHIPVSGSNAKEPPIHIVHIAVEMAPIAKVGGLADVVTSLSRAIQELGHHVEVILPKYNFMNQSNVKNLHVRQSFSLGGTEIKVWFGLVEDLSVYFLEPQNGMFGGGWVYGGNDAGRFGLFCQSALEFLLQSGSSPHIIHCHDWSSAPVAWLYKEHYAESRLATARIIFTIHNLEFGAHFIGKAMTYCDKATTVSHTYSKEVAGHGAIAPHRGKFYGILNGIDPDIWDPYTDNFIPMHYTSENVVEGKNAAKRALQQRFGLQQTDVPIVGIITRLTAQKGIHLIKHALHRTLERNGQVVLLGSAPDPRIQSDFCRLADSLHGENHGRIYAGSDFILVPSIFEPCGLTQLVAMRYGSIPIVRKTGGLYDTVFDVDHDKDRARVLGLEPNGFSFDGADCNEQSLLGLKPAVGSTPSAKGSWSKTGPGTGLPWTTLNCTIQLTNFEAPIQRWQEKASIGRYYKLNETWLKVKIFYLSCRYKLTQTWFKVKIFYLSYTYICRIKTLYSMHKQLWEYVSAMFPILSFNYEYLI >ORUFI08G05900.3 pep chromosome:OR_W1943:8:4763480:4775480:1 gene:ORUFI08G05900 transcript:ORUFI08G05900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALRPQSLLCPRSRLKVVIRPASSASGGGLAQEIYWKQNCSMHGFKFRKAKRTISLHTEVASSRGYAPRIAAESSIQEREHINSDEETFDTYNRLLHNESTEWKKLDTTEVDLSQDVSSSSMRKVDATDDAKLDILEDDLPRNLLNGVTMGEVDMLDEAGAEDDVFEVDLSALHNSTVGKMDAVNEVGTENDLFEVDLSALHSAAVGKVDVVDGAKAKEDLFEMDSLALHSVTMGKVDAINAAGAEGDKFEVDLSALASNNSMIEAVNVMDEAKAIEDTLEVDLSGNATSSSTYGEVKFEVDSLGNTSSTVMYGPADGAYEPRSDEVTFKVDSSENALNNVMYGRADVVDESWADEGIFEVDFFTNASSGAEYGKVDVVDEAKTDDFTFEIDSLEKDSNNKMHGKAHMVDEAWDDEAIFEVDLFGNASSIPIYGEVNVLDEARADDGKFEVDLLGNTSSNSTHEEVDVVDEAQIGEATFEVDLLGNALSSAIYKEVPVMGGAQDDEVDVDFSINASITETEKEADAVDEARVEDETFDMDLVGKQISIDSMNDDVVEEGTKHHKYPMLSSAFIEVKTIHETPVSLKPELMSVVMDQEQDKPISSVYQQEGSIFNLHAENQSTVDFHEREQMAITFDKQKESVAKLSKEDQQTAGLPEQNMSFDGVHRKNQSIIGLPFQHQSIVSSPEKYQSIVGFHGQNQSIISSHKQDKSIVGVPKKIQSIVGSTKHDDSIVGFHKQDRSIVSVPEQKQSIVGFHKQDLSIVAVSEQNLSIVAKPRESQSKQISIVRRHDPLHLKEVETKDRDDISKKSGGDDDLPHMLFEEELSQVEDEARAIAYKKQHEVDVISLTPDIQESPQDNIDPQELRRMLQELADQNCSMGNKLFVFPEAVKANSTIDVYLNRNLSALANEPDVHIKGAFNSWRWRPFTERLHKSELSGDWWSCKLHIPKEAYRLDFVFFNGRLVYDNNDSNDFVLQVESTMDEDSFEEFLVEEKKRELERVATEEAERRRHAEEQQRMGEQRAAEQAAREQAKKEIELKKNKLQNLLSSARTHVDNLWHIEPSTYRQGDTVRLYYNRNSRPLMHSTEIWMHGGCNSWTDGLSIVEKLVECDDENGDWWYANVHIPEKAFVLDWVFADGPPGNARNYDNNGRQDFHAILPNAMTNEEYWVEEENCIYTRLLHEIREREEAIKIKVEKRAKMKSEMKEKTMRMFLLSQKHIVYTEPLEIRAGTTVDVLYNPSNTVLNGKPEVWFRWSFNRWMHPSGVLPPKKMVKTEDGCHLKATVSVPSDAYMMDFVFSESEEGGIYDNRNGTDYHIPVSGSNAKEPPIHIVHIAVEMAPIAKVGGLADVVTSLSRAIQELGHHVEVILPKYNFMNQSNVKNLHVRQSFSLGGTEIKVWFGLVEDLSVYFLEPQNGMFGGGWVYGGNDAGRFGLFCQSALEFLLQSGSSPHIIHCHDWSSAPVAWLYKEHYAESRLATARIIFTIHNLEFGAHFIGKAMTYCDKATTVSHTYSKEVAGHGAIAPHRGKFYGILNGIDPDIWDPYTDNFIPMHYTSENVVEGKNAAKRALQQRFGLQQTDVPIVGIITRLTAQKGIHLIKHALHRTLERNGQVVLLGSAPDPRIQSDFCRLADSLHGENHGRIYAGSDFILVPSIFEPCGLTQLVAMRYGSIPIVRKTGGLYDTVFDVDHDKDRARVLGLEPNGFSFDGADCNEQSLLGLKPAVGSTPSAKGSWSKTGPGTGLPWTTLNCTIQLTNFEAPIQRWQEKASIGRYYKLNETWLKVKIFYLSCRYKLTQTWFKVKIFYLSYTYICRIKTLYSMHKQLWEYVSAMFPILSFNYEYLI >ORUFI08G05910.1 pep chromosome:OR_W1943:8:4775592:4778609:1 gene:ORUFI08G05910 transcript:ORUFI08G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGGKVVVKKARQGARDGEELVQAGAPTRSHSVYAMCCVAERRQAEANRIREKYPDRIPVIVEKAERSDIPDIDKKKSVLNHFTFKNKSSATLSLFVLASYVDTSFFMLLKIVLANYTRYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLFV >ORUFI08G05920.1 pep chromosome:OR_W1943:8:4785772:4788294:-1 gene:ORUFI08G05920 transcript:ORUFI08G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSEAEKSPEVVLEWPKKDKKRLLHAVYRVGDLDRTIKCYTECFGMKLLRQRDVPEEKYTNAFLGFGPEDTNFALELTYNYGVDKYDIGAGFGHFAIATEDVYKLAEKIKSSCCCKITREPGPVKGGSTVIAFAQDPDGYMFELIQRGPTPEPLCQVMLRVGDLDRSIKFYEKALGMKLLRKKDVPDYKYTIAMLGYADEDKTTVIELTYNYGVTEYTKGNAYAQVAIGTEDVYKSAEAVELVTKELGGKILRQPGPLPGLNTKIASFLDPDGWKVHIIRISSRRTVPKFIVLNSTVDKVLVDNADFLKELQ >ORUFI08G05930.1 pep chromosome:OR_W1943:8:4791386:4796099:-1 gene:ORUFI08G05930 transcript:ORUFI08G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDADSGGLPGGEAAEEMGMGGEEERVATLLGIAEECDTEEELRLLLRGNPHPVCYDSFLPCDRMTIAQGVLKAIHVRKMVEAGCRVKIWIDDWSAFLNNKLGGDMEKIQTVGRYMIEVWKSIGMNHDGVEFLCSSAEINSRADEYWPRVMGISTHRKIGVVRELRECKKPTAQFFNPCMQCAGIFFLEADICQMGMDQHEVNKLATTYSDNRQEKKPIILSHYLLPGLKGQNKMSASDPASAIFMDDEKAEIDLKIKQAFCPPKIVKGNPCIEYIKYIIFPWFGKFEVVRKAKNGGNKTFMSVEELVMDYESGSLHPADVKPALKEAINQILKPVREHFENNKEAKFLRDTVKGYSEVNSE >ORUFI08G05940.1 pep chromosome:OR_W1943:8:4796523:4801071:1 gene:ORUFI08G05940 transcript:ORUFI08G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAIGGARSPLSFSSSLCNAKVSCGLALHNVKIKSSRRLEVVCHGMLTTRKFMQKKKKEEVYKDAADEAEQKNWRMMMREIEESGSAVSILKTQRSKKEPLPRDAVLGTLMRFKQLKKWNLVSEILEWLRTQHWWNFSEMDFLMLVTAYGKLGDFSRAERVLKYMNKKGYKPSVISQTALMEAYGRAKQYRKAEAVFRRMQTSGPEPSAVTYQIILKSFVEGDKYKEAEAIFEDLLNEKRASFKPDQKMFHMMIYMYKKAGDYAQARKLFAQMSERGIPLSTVTFNSLMSFETNYKEVSSIYDQMQRTALKPDVVSYSLLIKAYGKARREEEALAVFEEMLDAGVRPTRKSYNILIDAFAISGLVEEAHTVFKAMRRHRVEPDLCSYTTMVLAYVNASDMDGAEKFFRRIKEDGLKPNVVVYGTLMKGYSKLNNVERVMRVYERMRMQGVEPNQTIYTTIMDVHGRNSDFGNAVIWFKEMEARGYPADKKAKNILLSLAKTPEEQEEANELTGNCAIQLEAKPDGTTYGLEINGTGNEYKHGQNDAGHDSLLDGACTTSNRNGKVEAGKGHFEEVNDDDDDDDDDDYDEELNFVSFKDKRELNFAT >ORUFI08G05950.1 pep chromosome:OR_W1943:8:4796537:4811355:-1 gene:ORUFI08G05950 transcript:ORUFI08G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQYSDVIRGMNGTIQENQKLCCIEQYPAPGEDSAADDVKMRDEISHELHEGIENMRSNMELSAGENPHRLKCSTHDRQQPVRILTGSGFNEMSAKRHQALSMLGVCNRMGSEQQKPKLTGFLKSNDILEQWSILNPKTYNLAITTLNGHHITTHTLHDAHRKIPRDLIHQTLFRNCRKPQHKNLITHKFELPNPPKPHLQPPNSTRVAAPPRRRASLVGATARGGGGRARAYQRLEEKESGDRAPPIAAAASIAGEGDAAEAAAAAAMAAALSVTE >ORUFI08G05960.1 pep chromosome:OR_W1943:8:4802338:4803312:1 gene:ORUFI08G05960 transcript:ORUFI08G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGHLLLCAAAACLAVLLSSLMEIWNTSTDPQQIQFMAIEKDDLLVTNLEGTEWSLAQLDTSIYMAASGTNRSKLTRDKLTPHLSDLSLSAHSLQKGEENNLLCRNAAALAMRVPDHSIVYLPYKQFYS >ORUFI08G05970.1 pep chromosome:OR_W1943:8:4806431:4807778:1 gene:ORUFI08G05970 transcript:ORUFI08G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETENHLASLLLEEARRLQLEADREGVHAYLRKPNVRHRLNSRFLTATVRGVQQANRVVEVNKMWRAREKELELESKTKRRSINRGDSRGKKRRSDVRNQSSSPRMEQDSTSYNSSYLDLEGALGDDEIEMFLHSRVKRGRGAVGSRMDETGPYLNASSRSQDNVPSLDIRVEEKWELQVQGPERPLSLRFQSTDDYWHRETMDGEPSISGKHMKKRKKKESNSEKKDKEERRKKHEKKKI >ORUFI08G05980.1 pep chromosome:OR_W1943:8:4810227:4810481:1 gene:ORUFI08G05980 transcript:ORUFI08G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFISQITTEVAPSKLSSIIRRARLLTMLDTITEDDREAMESPRAPPRRTSYDKEFGGTSVHCTNKEVLLAPMVKVGYLKIKA >ORUFI08G05990.1 pep chromosome:OR_W1943:8:4811403:4814561:-1 gene:ORUFI08G05990 transcript:ORUFI08G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLADEDPPVLLDRASRATRGKRITKLLEDEVEQDEVFWNQDALKEDEEDDNYEEEQDAGDEFDSDFGEDESEPDDEPEKEVRERLPIKKRLIFPGKTMKKINAKKKKKVVPKLEDDSKTDKYSDQQSPSKQTDIPDELETGEKTIRKSTRTSVIVRQAEREAIRAEKEATMKVPVIKKKKEGEEKRMTQEEMLLEAAETEIINLRNLERVLAREEEVKKKAVVHKAVYEGPTIRFCSRDGESRLEFINGASFGSELCTTSAPYPEKSICAVTGLPAKYRDPKTGLPYATKEAFKIIRESFLKEEADRKRPNLANMGELFESITGEYSTPKKRRIEARSPSISSDQRHGGRFRRIPALDLLDED >ORUFI08G06000.1 pep chromosome:OR_W1943:8:4814592:4825173:-1 gene:ORUFI08G06000 transcript:ORUFI08G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDMWARAMAQHWTVELYILCKRAPKKNGAVAKFTPAAARPHKLTAPARGGHMAGGRALNRMLCLLGVCVINSTTPYGRIFQFGLAQLSLNKRAQPIGGPSPAQTILASPVPHSLPKSLHRNPPPPPPPPPPTSAAANPPPTRAFLAGAAASADVRCGPPLLLR >ORUFI08G06010.1 pep chromosome:OR_W1943:8:4828486:4831015:1 gene:ORUFI08G06010 transcript:ORUFI08G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLAGRNEVHHHHHHHWADARVPLMPKHATNPNPRRHHRPSPSIPPPPPPQRPPAATVAPSVPDPSPSGHVTIRPSELSRREAQVLRARLTGELSRVRALLSDIDGWEARRRRPAEPPRQRGHVSPPPALQAAMRKRCTQILTRLRKQKISVWFNSPVDVERLKLHDYHAIIRNPMDLGTVKENLAFGRYPSHEAFATDVRLTFSNALRYNPADHHVHRYASNLLATFEGLYKEALSWFEQECQRLEPPMPLALPPPPQPPVPMPMQAPPRIGGGGRRPKPKAREPNKREMSDEEKHKLRVEIGNLPEEKMGNVLQIVQKRNTDPALMGEVVELDFDEMDVETLWELDRFVVNCKKALSKSRRTVAMNGDAVDATAIVPTEDDTVQVNVHHQPSVVEIGDSENDTPEKRVSEVDMVDEYVDIGDEMPTANYQSVEIERDAQVASSSSGSDSGSSASSDSDSRSSGDSDSDGDDAQSPD >ORUFI08G06020.1 pep chromosome:OR_W1943:8:4832738:4836768:-1 gene:ORUFI08G06020 transcript:ORUFI08G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKKSVAVAVAPAAVPAKGKGGKKREAEDEIEKAVSAKKQKAAAAPPAKAVPAPKADAKKAKKQPPPKKAASSSSGSSSEEDSSESEEEVKVQVKKTTKPVKQESSSDESSDESSDDEDAKPADPVANNGLKKGKPASSDSESDSDDEMDEDEKPAAPVKKTSVTAQKKKDDSDSSESESDESDSDEDVPTKSKAPAVAAKNDDSTDGSESESDSEDEDAAPKGAAKKESSSDEEDDSSEESSDDEPKQPQQKKAQEESSEESSEEDSDEEDEKLAKTPKKKTPAATKSQNDEPKTPASNQSQGTESATLFMGNLSFNLNQDQVKEFFQEVGEVISVRLATHEDGSSRGFGHVQFASSEEAKKALELHGCDLDGRPVRLDLAHERGAYTPHSRNDTGSFQKQNRGSSQSIFVKGFDSSLEESKIRESLEGHFADCGEITRVSVPMDRETGASKGIAYIDFKDQASFSKALELSGSDLGGYNLYVDEAKPKGDSRDGGGRRGGRSGDRFGGRSGDRFGGRSGGRFGGRDGGRRGGRGGRDGGRRGGRGGFQSRQSAGTASTGKKTTFGDE >ORUFI08G06030.1 pep chromosome:OR_W1943:8:4842752:4844329:-1 gene:ORUFI08G06030 transcript:ORUFI08G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFSGRLNRFVCGMAAPPPEEEDDDAAAGAAGEAEDALNTPFLRYRRARHRPSPPLAAPYWPRGFADEEKAEKEAVVFEAVFRRLLAEKEEKAEEEEGSSWLRGVALAMERPPEPRGKDEEVRLPCLALPSLDGYRVYSLAEGRMCDDGDVRLPMACRRRYVSSPYGGKVFVTDLNWRYLSHLVDPFTGERTPLPDLPIPLSETEPTPCADDEPRANRTVAVGTDDCFAWDWSPRGVMVARGDTVFFCEAGGGEGGGEWKPVHRSRTNSPMTVNHRGGFFFVLERRSLLTTVFDAETLAPTAEIAPPPSRHDIDDAYLVASTDDVLLLVRRRAADSDIREVFTHAYRARHRGARPSPPPAWAPVTDIGDRAAFVTRAHGFTVGVVGPDPDADGGEEAAATVRRNRVYVIRGSTTRDQLDRRVVSHKIGEVHLKNPMPPTLLPLLQGELDGGGCLHERKLGQPHWIIRRDQPTSASVNDSEESDLSTSASVNESDESDQSSSISVNESEESDLSSSGSVNESEE >ORUFI08G06040.1 pep chromosome:OR_W1943:8:4845731:4850313:1 gene:ORUFI08G06040 transcript:ORUFI08G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRRLPLLAGLLRSASSDASSIPPNRKNVSGREGFLWSSCYFHSTRHQTSREGEQMAKELLDPLALIKDEVSEISNRLRSMVVAEVPELTLAAGYFFRAGAEGKRTCPTVLLLMASSISMDMADPIVGSKNEIRERYMRLAEITELIHVTSLIHDDVLDDANTRRGMDSLNCVMGKKLAVLAGDFLLSKAFSTAAVSLDNAEVILLLATAVNNLVTDENNSNTTLQKSYYKTAALISNSCKAVAVLAGQTAEVATLAYQYGKHLGIAYQLIDDILDFTGTSASLGKGSLSDIHQGIVTAPILFAMEEYPQLRVIVEQGFDDPSNVDAALAYLARSKGIERTRLLAAEHAKLAADAIDALPESKDGSVLISRQALKDLTEKLIKRTK >ORUFI08G06040.2 pep chromosome:OR_W1943:8:4845731:4850601:1 gene:ORUFI08G06040 transcript:ORUFI08G06040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRRLPLLAGLLRSASSDASSIPPNRKNVSGREGFLWSSCYFHSTRHQTSREGEQMAKELLDPLALIKDEVSEISNRLRSMVVAEVPELTLAAGYFFRAGAEGKRTCPTVLLLMASSISMDMADPIVGSKNEIRERYMRLAEITELIHVTSLIHDDVLDDANTRRGMDSLNCVMGKKLAVLAGDFLLSKAFSTAAVSLDNAEVILLLATAVNNLVTDENNSNTTLQKSYYKTAALISNSCKAVAVLAGQTAEVATLAYQYGKHLGIAYQLIDDILDFTGTSASLGKGSLSDIHQGIVTAPILFAMEEYPQLRVIVEQGFDDPSNVDAALAYLARSKGIERTRLLAAEHAKLAADAIDALPESKDGSVLISRQALKDLTEKLIKRTK >ORUFI08G06040.3 pep chromosome:OR_W1943:8:4845731:4850601:1 gene:ORUFI08G06040 transcript:ORUFI08G06040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRRLPLLAGLLRSASSDASSIPPNRKNVSGREGFLWSSCYFHSTRHQTSREGEQMAKELLDPLALIKDEVSEISNRLRSMVVAEVPELTLAAGYFFRAGAEGKRTCPTVLLLMASSISMDMADPIVGSKNEIRERYMRLAEITELIHVTSLIHDDVLDDANTRRGMDSLNCVMGKKLAVLAGDFLLSKAFSTAAVSLDNAEVILLLATAVNNLVTDENNSNTTLQKSYYKTAALISNSCKAVAGIAYQLIDDILDFTGTSASLGKGSLSDIHQGIVTAPILFAMEEYPQLRVIVEQGFDDPSNVDAALAYLARSKGIERTRLLAAEHAKLAADAIDALPESKDGSVLISRQALKDLTEKLIKRTK >ORUFI08G06050.1 pep chromosome:OR_W1943:8:4851617:4853110:-1 gene:ORUFI08G06050 transcript:ORUFI08G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPGDPLPDTNRDWSKLPVDALSAVFMKLGTAEILMGASFVCHSWLAASKSPELWRFVDMTRHKVIFSKGTDIRCAMAKAAIDRSDGRMESFWAQKFVTCELLDYIVSRASSTLKSIRLIACTFVWGQSLATLAARCPLLEEIEFSHHKMSADFFKYVGIVRPQLKRLRVHMPYFDYDAMEDEMKEHHDEDEDDDEYEEPFEQWEARRNVDAFAIAENMHELRLLQISGNNLTNKGVSELAAQRSNMSGCLDNGLMLTVQISVIGENEGEDDGITLHDLWEAEVETLRAEAKMEDGSYGDYYEDYSALSSPESCVDSKDVYCDDPKVYTMYHDYYSL >ORUFI08G06060.1 pep chromosome:OR_W1943:8:4856973:4864227:-1 gene:ORUFI08G06060 transcript:ORUFI08G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKPSPLPMLPVRDWSDLPTDALSTIFMKLGSIEVLMGAGLVCHSWLAAAKSPELWRSVDMTRHKVVFSKGIDTMCAMAKVAIDRSQGKMESFLAQKFVTSELLDYIACRASSLKSIRLIACRNFWEPSLAELATQCPLLEEIECSGNKMSSEFLVYVGRARPQLKRLKIHMRWFDEDAMESEMEHEMEHEMMHDDDDDEEEEEEEEEEEDEFLYEDENVDDDEDEGEEDEEIEEDEDENNDDDDEEEEMEEDEDLDEDKNDVVEEEEDEDMDEGEDDENETNEEWEVRKNKDAFAIAENMPELRLLQISGNNLTNKGVHAILDGCPHLECFDLSECYNVRVDDQLRARCAKIKHAWLPRQSPRVHCPDLRVIEEDEGEDYGITMQDLWEAEVETLEAEAKMEEGSYGDYEDYSALSSPESCVDSKDVYCDDPNCLASIGVPLLQTLATPPCCPEQKRPPPRSSPPAKALVCTMEAVPSPLPDTDSDRDWSELPVDALSAIFTKLGTVEILMGASFVCHSWLAASKSPELWRFVDMTRHKVIFSKRTGILCAMAKAAIDRSDGQIESFWAQKFVTGELLDYIVRRASTLKSIRLVACTFIQWQSLAMLAAKCPLLEEIECSYHKMPADFFKCVGRVRPNLKRLRVHMHYFDQDELENELIKHVLEEGGEIAGHNLTEIGVRAILDGCPHLECLDLSSCHDIYVDGQLQARFAMIRHVRLPGLMMVTAQISVPSVRGSLWLIFSEVSLALCLRRWRWGMVPMAMTKSLRPLTPVST >ORUFI08G06070.1 pep chromosome:OR_W1943:8:4867793:4871120:1 gene:ORUFI08G06070 transcript:ORUFI08G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLDPQPEVEGNQAEMPYDPLPDILRKLRDAQSAAALSNPNPPEPQPQPQLATPSFPTTTDTASRRRRRRRRRGRGNRHDPQPEAEVEEDTTDRAELELPYDPLPDILREFRLAPRAAALPSPNPNPNPAIPSSTTSRRRRRRGRGRGRGRRDWAAGLPREAILAVLRKLDHMEILMGAGQVCRAWRRAARDDPQLWRRIDMLNHAELSFELNLFGMAQAAVRRSAGQCEAFWGEYAPTKICSISSEIATIIYSQMTGLWGDAAMIIRFLTIVYEKRAPCLKSLRLISCFDILDEGFSAAVKKFPLLEELELTLCDNLGENDVFKAVGKACPQLKRFRLSKRCFYNYKHSGYNKDEQALGIATMHELRSLQLFANNLSNEGLTAILDNCPFLESLDIRHCFNVSMDDTLQAKCARIKTLRLPYDSTDDYDFQVHKPIWSGADFFSDSDDDCIYGGPDYILDSDEYDDYCDPYIYLDGVYEDELDEEDRMMLKAMHMFLK >ORUFI08G06080.1 pep chromosome:OR_W1943:8:4875441:4875788:1 gene:ORUFI08G06080 transcript:ORUFI08G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSASRRRRDHGKRTRRGGCRSSSSSANLSLPRAPPLSGNPNPSPSSTTRGPAPPPVAVSAGTGGRRRRRWRDWAELPMDAILAVFHKHDHIGILTGAGAAPRRVEAELWRRI >ORUFI08G06090.1 pep chromosome:OR_W1943:8:4876819:4879749:-1 gene:ORUFI08G06090 transcript:ORUFI08G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLVSLSAKVAMALARSTAMGVVTSLGLGGVHSSIAAAEHELSLLRGHLRSGGASCRGADDDDQDPIDSWANQVRDVAFQLDDITDECCFLSGSGSGHGFARYCANVPTWIALSRRLRKVREKLGQLLEAANYQRQRVDVMNNFVSCGELRREDDAVAAGRRMAENARSMDKEEIIGFSDHREVLVRWLLAEDAAEPRRRTLLAVCGMGGVGKTTLVASVYKEVTAPAAAPASHHFDCDAWVTVSQRFTMEDLLMKILRKLNLNTVGRRAGTGRRRRRSATDVGDGGGDTDYGSLVAALRERLANKRYLIVLDDVWDETLWDGLERAMPDGDGVAGSRVVITTRKSGVAMAAAPERTMALEPLPTHQGWALLCSVVFKDVPGHRCPSHLREVAGDMLERCRGLPLAIVAVGKLLRHKDRTEFAWRNVRDSLAWVKNSEDLGIGEASRILNLSIDDLPYKLKKCFLSCSIYPEDFLIKRKILIRSWVAQGFIDEAKEVHGERRTMEDVADHYLDKLVQRSLFQVAVRNEFGRAKRFLIHDLIKDLINHRSKHEEGFVQFAECDLTMDSNIRVRHLALDRCTSSRRSASAAKIAALRSFQAFGSKLDASLMSCFRLLTVLNLWFIEINKLPSTVTNLRNLRYLGIRSTFIEELPKDLGKLQKLQTLDTKWSMVQKLPSSLSKLKSLRHLILLKRHAADYYRPYPGTPVGQLPAGLQNLTSLQTLNYVRADEMISKSLAKLEQMKSLELFDVDASFAAVLSSSILKMSHLQRLGLTNSNTESVIDLESITVAPRKLQKLALSGRLARGKLPGWTCFLTSLKQVHLIASGIAQDSLLLLSSLPGLLHLSLNAAYREKEMTFAAGGFPALQTLTLHELSNLSQIEFQRGCLAELHELVLDKCTKLADSPKGMENLTRLQNLKLVGMAPELMEKLQDGKGDDGCYYKPGTGSSMICQVPSPSRYHMKSIVFLKPPAVLV >ORUFI08G06100.1 pep chromosome:OR_W1943:8:4885817:4897521:-1 gene:ORUFI08G06100 transcript:ORUFI08G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVKYYERRKYIEDSGVMRAMAKVAVDRSDGRLEVFEGYGFVNDELLQYIGDRSPSLKGLSLISLFSYLDISKKPFGIATMKQLRHLILGSICIGNEELMAIIDACPHLELLDVSKCYKLDVDDALRTKCAGIKTVKLPLSLSHDGDQYAYCDYQIDEYGFSMSADLSNHFQVFFVPLAMEVDSIRLPAPDERDWSELPVDALSVFFAKIGAIEILMGAGLVCHSWLEAAKVPDLWRSLDMTRHKVIFKKTIGVMCAMARVAVDRSAGKLESFWAQNFVTSDLLKYIGERTTSLKSIRLIAYTRVPWKELVNLAAKSPLLEDLEHSYRDSLEEFFEHLGCKCPQLRCLRVNNDGFVYDDAKYDLMDQVIGDHDDDDDEEDELEYGPWDWPSNNNGVAFAIAESMHELRILQITNNTLTNAGVLAILDSCNNVSPNDQLRARWASLRHFNLSEGCRWSNFKELRVIGEDEGQEFRPEDELSDGLSKEFCYPSKDAGMGDGWDDVYWDDISLPSDDGRDPDLSNPDYPPSELPDILRVRELRLAPRASSLPNPNPHKPQPHPTIPSSTTTTTSGRRRNRRGRGRGRGRKEGDARDWAGGLPLDAILAIFHKLDHIEILMGAGQVCRSWRRAARDEPQLWRRIDMRGHADLSFELNLFGMAQAAVRRSAGQCEAFWGEYAADEKLLHFLGERAPSVKSLRLISCYDILNEGFSAAIKKFPLLEELELSLCSNIGESNVFEIVGKACPQLKRFRFSKDCFYSFEDNEYEMDEEALGIATMHELRSLQLFANNLRNEGLAAILDNCPYLESLDIRHCFNVNMDDTLRAKCARIKTLRLPYDSTDDYDFQVQKPISLADFYSDSDDDCVYGGPDYILDSDEYDDYCDPYRYLDGVYEGVASGRAR >ORUFI08G06110.1 pep chromosome:OR_W1943:8:4897590:4902211:-1 gene:ORUFI08G06110 transcript:ORUFI08G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPVPELTPPETTTTRDWSELPVDVLSVVFAKLGAAEILMGAGIVCSSWLHAAKLPHLWRCVDIPQVVRNDAVCCAMAKVAVDRSDERLEVFKAMYFVDDELLKYIGHRSPGLKSLCLDSCSMVSNTGLTQLMAMTPLLEDLVLRGGLDLSDEFDDPLVIPTMHQLRQIALGSLYISRKTLTKFVDSCPHLELLDASECVAVDVVDDALRAKCARIKTLKLPSYSSATDAMATLDQLYYLADDDDEDWSELPLDALSVVFANLHAVDLLMGAGRLCSSWLNAAKLPHLWRCIDMQWRITMS >ORUFI08G06120.1 pep chromosome:OR_W1943:8:4921259:4922745:-1 gene:ORUFI08G06120 transcript:ORUFI08G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWSELLLDALSVVFTKLGAVEVLIGAGLVCHSWLDAAKVPELWRTVDMAVLYRDMGSKNLGILTAMGKRAVKRSNWQLEVFKGRDFITNQLLKYVRRRSPCCLKSLHLESFTKLITKSPLLEDLVLNYCQSIRGDVYATVVEACPRLKRLEVRRRLGWWDDDDMLLTIAAMHGLRRLTLEGVRVRSRELTAIVDGCPRLELLDVSECFLRRDIVVDGALPAKCASIKTLKLPLFSDVDAAAAATAADDDGIF >ORUFI08G06130.1 pep chromosome:OR_W1943:8:4943702:4944079:-1 gene:ORUFI08G06130 transcript:ORUFI08G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLAIPSVARDGVLFDVPLVALDLDSWLMAQLPLSVADNDALHAFLASCSRSLPPALLSLPPPPVPATVGVVPKRSKRIAAKLTLAGLSDTTSPAQHNFKRKIGLVPKKGPESTETAYNALFS >ORUFI08G06140.1 pep chromosome:OR_W1943:8:4947287:4949413:1 gene:ORUFI08G06140 transcript:ORUFI08G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEVIMRAWNEWGIQALVLLSLTLQVSLLVLAEFRRCVNSGVLRFFIWSAYMMADGTAIYVLGHMSVTSSSPQHQLMAFWAPFLLLHLGGQDSITAYAIEDNRLWLRHLQTLAVQVAAAGYILYESSIVGSHSLLRWATMLMFVAGVVKYGERVWALRCADSSQMAKNYRTLQVSSRGFECSYYLDKIISGPPWDTETYLLMAHRMLEVPRIWLKGPPQNSLSQYPFASNLSGKDLYKVAEMQLSLMHDIFYTKVEVIHSNLYGLCIHMLPAMATTAAFLLFQLVILGREGHGYDRLDVAVTYVLLVGAVILETASLLRAMFSSWTCPLLVRWSRHKRGMEDNTVCNNLGHTITSLRRLVRAAQWRRRYWSCSMGQHNLLRLGVGSTTSRRSKMARWMGVEDWWNTKAYSWPIPVSECIQELLVNQVLEREGTASSSISMVLDEQDQFPIPSKGEEEEGSASHGSRPEADADDQLFDSKGRAELKRWGLYEGGQTLNGEERLTWSVEERILVWHLATNIYLTWWNKKQQKQDKQQPMAKAAEALSNYMMFLLAARPYMLSPTASRDSYVEMAYALTPAGGLRYDSAEQLASFLRTYGDTPEYDARGRLRHRYGSNLDFTTQHHLQLVLDTGCELGAKLISQDELQEAGADGKLGLIAQVWVEVLCHAGQQCSAYSHARQLSNGGELITVAALLVEYVTKRILTFPR >ORUFI08G06150.1 pep chromosome:OR_W1943:8:4952622:4953472:-1 gene:ORUFI08G06150 transcript:ORUFI08G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASALCAVAKVAVDRADGQLEAFMGRKFVSNQLLKYIADRYQTIPTMYYMILARYQVILARYHEPGTKMSSLVVPPSTSHAGARRRRPHPPLSTPQWSLSAAAAPLSSTPHAELIR >ORUFI08G06160.1 pep chromosome:OR_W1943:8:4954042:4965813:1 gene:ORUFI08G06160 transcript:ORUFI08G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRWRNQMESMLLPPESRDWSELPMDAFSVIFAKLGAIELLMGAGLVCHSWLHAAKLPHLWQCVEMVHHEALSLKEPIVMSEMARAAVDRSDGRLEAFEGQWSPKLKRLCLVSCYSVYKEAFIEFIAKCPLIEDIALINCGSVVFHALNVITGKSFPQLKRFELRTAFERPCYGCDVPLGIPTVRHLQHLILGGIIDIDNEEPPALTLILLASGSAMSSRSSMLRTLRAKCAKIKIRTGRSCRWTRSPSSSASCRAIEVLMGAGLVCHSWLQAAKLPHLWRCVDMSHSHPRDVSRRNCAMAKVAVDRSGGKLEVFKGKRFVTNNLLTYVADRSPCLKCLYLESCTSVSNKGLTELITKCPMLEDLTLYSCRNIDGDVFVVAGKACRRMKRLHVRWCGALPAYFDGDEPVGIATMRELRHLTLEGIGVSQEKLMAIVDGCPQLDLLHVSGCPGLAAVDDALQAKCAGIKSLTLRPYQELEDWNKQLLTETFDRKQTSKNEKIYHHPYTDSANPQALEKASTGPTAVKRDRPPSVVVQLDPAVVESDLAHRCSTGHHRRRRHQQTPPPVASPPDPGVDLAALPPPPLPLPCANATPSALPVMPPPPNAASCRLGLRPLLKTASPTSALESARVGTGGAGAD >ORUFI08G06170.1 pep chromosome:OR_W1943:8:4967055:4967547:-1 gene:ORUFI08G06170 transcript:ORUFI08G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCLVLPPPWSLLLRMEVLLVLYEKVYCLWSLSLCAGCGLGWWWCFVPLASVRDDGVVAGVGDVVVPATELAEALLRPPPSSGSQLVLLLHLLLVRSFPTLVAGSGVLLPLRFWAVVSTLGGPFGLSSCSSDSKANSVVKFG >ORUFI08G06180.1 pep chromosome:OR_W1943:8:4968509:4969017:1 gene:ORUFI08G06180 transcript:ORUFI08G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLEEFDGKIFVDDKLLEYIGVRSPSLKRLSLACFLLLYLQGSINPVNEKVSTARGSLPRWLWKCRLSHAL >ORUFI08G06190.1 pep chromosome:OR_W1943:8:4971515:4973380:-1 gene:ORUFI08G06190 transcript:ORUFI08G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEARDWVELALDAIAAPDLWRRIDMRGRGHGHAGAGLRGMAQAAVRRSKGQCEAFRSQHAVDDGFLLFLGET >ORUFI08G06200.1 pep chromosome:OR_W1943:8:4974152:4974882:1 gene:ORUFI08G06200 transcript:ORUFI08G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGLLAQVPAETEKEKCESSRMRRRRLRRSGTGYGASCARAGGGQGGAEVATSILTHDSVGVRGGGDDVAKAALVMKLGETCYGITTGEDATERVALVQVRCSHVDKQSPSPATADGQLLSRQLPW >ORUFI08G06210.1 pep chromosome:OR_W1943:8:4975304:4996288:-1 gene:ORUFI08G06210 transcript:ORUFI08G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLRAKCARIKVLRPPDDYDFHRVCTPRRLSFSTPIIQFGRLSSSRPIIKIFSTLFMYAARNWAELPPAAISAVLGGLDHVDILTGAGQVCRSWRRAARDDPGLWRRVDMRGHANADAKRGVNLHGMAQAAVKRSAGRCEAFWGESAGSDRFLLFLGRAAPGLKSLRLISCYDVSNKGFGKAIKKFPLLEELELSLSPNVFGTDVFRTVGKSCPQLKRFRLSQHGFHSFEDSHDDDEALGIATMTQLRSLQIFGNTITNEGLEAILDNCPHLESLDIRHCFNVFSPVFADSGNSSDDMDDGYMVPGLHCVVFSEENECFDDDINEDELDDEARMMLNGLRALLISLVDTFIDRRRTRTVPLGVFLYHTTLPAARDWAELPVDAILQVFRWLDHVDILMGAGLACRSWRAAARDEPALWRRIDMRGFACLPYWQRHRRDTVRAMAREAVRRSDGRCEEFWSKVGGDDEVLQFLADHAPYLRSIRLVKCDHVSKEGISAIIQSCPLLEALETNRALSIQIRSHGEEEEADRAQNGKDEEADTDYGDDEFTGDLSPWSSICFEQDRDDGLDEFLKSEYFLEPHRYLHDVHVNEFDEEQDCRMLDKGDRRYLKAEGWTYVQLQPSEPLHLARAFLHQTLTLATPPRERHAMPSSSSRRRRKKKEEEARDWADGLPLDAILAIFHKLGHADILMAADQVCATWRRAARDEPALWRRITVRGTEALSARINRGGLACAAVRRSAGQCEAFCGEYAGDDGFLVYLTEQASCLKSLRLISCLGVSNEGIEEATKEFPLLEELELSFCYNVTHEAYAAIGAACPQLKRFRLSKRSFYDSGGIRWKNNDDAGGISKMHGLRSLQLFANNLTNEGLSTILDNCPNLESLDIRHCFNIDMGADSLRAKCSRIKMLRPPDDSTDDYDFDVYTPRRLPISPGFVRYYSVYSDPEYSMYSDEWTSEEFDDDDDDYSGPSRYEEDLDKYDNALPRCMRTFLK >ORUFI08G06220.1 pep chromosome:OR_W1943:8:4996338:5013858:-1 gene:ORUFI08G06220 transcript:ORUFI08G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDHLDIRRCYNVDMDAALRAKCARIKALKLPRDCTDGDESFRIGDTQLSGGRLRLAAAGPAEAEARDWADMPRDALMAVLYRLGSVESLGGAARAWARGLPRDAMQAVLRRLGQAEILGAAGQVCRSWRPAVADEAELWRRGGEEEGRPPARLSVARVAAGLGSGRRREPFVADRIGCDGFLLYLGKRLPYIRSLCLTSCYIVCSEGFVEAIKGFPHLEKLELSLCTNIFGEAIVAAAEACPHLKRFRLSKARFYCFDDDHSNDQEALAISTMRELRSLQLFGNNLSNRGISAILDNCPDLESLDIRHCFNIKMEASLQAKCARIRTLRLPDDLLDDYEFQVKSPIRYKSIFQSYLWSDGDEYLDRDYMDEDMDNYERFIPEDEDLIEATRKEEPLDFLSQLHTHHPAAAIDASDYGFSQASSGHAGSGVSFYFLGSGSRGLDIFFTPLPEQARDHWQILSTHIQSALPNGDPDQWNYVWNPNLYKSKKILNTRDLLQRKHFNIQGESFVAFAICQPQKITFICSSHVLLLNNVGTVLELDVGISGIEEMIIYSIMCPSTYARMLHILGKLSAVQLLLGSAAARRAARDEPSLWRSVVVNGYSRSRLPPRCRLSFEEVARAAVLGSQGRCEAFRGRFVGGDDFILFLADSAPFLKSLRLILCHKITSVAFAAAIMKFPLLEELEVSRCRRIEHICMPELVANLCPQIKHFRHTRSRDRYCVYNINRPGNDSQALAIASMRQLRSLQLFRDDMTNEGLMTILDKCPYLESIDIRSCRNLTMDGTLRARCAMIKTKTLYPCKPADEDEDFQPGSPISYCSTCAGNTDMDIGSESDFDDISGRGRGRRRCRRNVEARNWADLALDAILTIFHKLDHIDILMAADQVCASWRRAARDEPTLWPRITMRGTEALSARINRGGLACAAVCRSAGRCEAFCGEFAGDDGFLMYLAEQASCLKSLRLISCLGVSNEGIEEAIKQFPLLEELELSFCDNVTYKAYAVIGVTCGPQLKCLRLIKSFFDGWDGNQDVMWIKNMHELRSLQLFANTLTNKRSISYLG >ORUFI08G06230.1 pep chromosome:OR_W1943:8:5013883:5015859:-1 gene:ORUFI08G06230 transcript:ORUFI08G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKRRDEALTNPTIPPPSSSRRRHQRRRHRRRKRSPPPPAASPEWRDWSSPPGDVLLAILSRTPHADVLRGAGQVCAAWRRVAVSEPALWRRIQLFADDDDEGAAGGGRGVDGRGPPGWRGMARAAVDRSAGRCESFRGRADMGLLAYLAARSPSLRAIRVTSRIYVREEEELVAGVIRKLPLLERLELSGGGVFPATTRVMRALLGHCPALEVLDAGGCATDSVMSRRVRERCEERIRHLRLPPVNGCCGCCMRGQSLLGPEALPSDLSPLQPHVADGDEYNKDEEALGIATMCELRFLSSLAASSPMLD >ORUFI08G06240.1 pep chromosome:OR_W1943:8:5017701:5017886:1 gene:ORUFI08G06240 transcript:ORUFI08G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGEDGPRRALALSSSSSSSDKRNGRGGGGRWQGERRALALTLGRHRHETLHQRFVPCVC >ORUFI08G06250.1 pep chromosome:OR_W1943:8:5024073:5027756:1 gene:ORUFI08G06250 transcript:ORUFI08G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDGETQLRPTAAGHPDPGLGTSSAEYVASLGPATAPVSYPYISTYYGGIYGAYSGQPLVNAALMAMPPHSVPLVTDAVVEPIYVNARQYHGILRRRQSRAKAESENKANKIRKPYLHESRHLHALKRARGSGGRFLNSKAVEGKQDTKSVDKKDGAVPSEEKRDKKLANSIIKLENSSPTTQPGADASDVV >ORUFI08G06260.1 pep chromosome:OR_W1943:8:5029163:5053121:1 gene:ORUFI08G06260 transcript:ORUFI08G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIIEQGVDWRTRLGQDIRDCMINDILFSLQTKLQSTTTALIDLQKVAVRIEERIHTIASDYGDYLRRICLTRGDLDSYDVFLNDCQRQQASIRSSILLHQENKQGDQIVQAKRNIKGTSSSSVLSNQVALNDHKEHSHPYEKDMISKLPNDLVQHIMSFLSMREAVRTSVLSHWWVNQWTFLKSIQLNIYWFHMDREKFSSFLDKLLLSRVQADAPMDTFELKSFAIDRANYWINHAIKHNAKVLKFAEYGKWEPFYLDPNLVELSSRYLETLELTNVALDATIFNQLANACPALQNMLLTDCLLEVEEISSSSLKNLDIIDCYILKDLSICTPSLVSLCIKNERTDNSSFRNSYLIFATAIIIDASNVSSMELLAMDRQFTFVEKDGGEPMFKNLRNLSLGLWCINNMFSPLRRFVRHSPMLRMVTLRISPLDWKSHLTKEHQEMLISIRNLFDGMQQGAAGQAGPSGGGGGGGGGGGGGADRLSALPDAVLFRIVSHLGARQAVRTSVLSKRWRHVWASAPRVDVRHPCACDESADQERFHGFVTTMLLRRRPFAPIKALRLCWSHDGDANNWIAHAVRRGAEEIDFSARHHQDDPKPELEYTSFISHKIKILKLTRVRMGIKFITQICYRCTFLEELELKNVNSLEGQIQSTSLKRLSIINCLISDGFLVDAPNLISLCFFRPLSGKSTEGANHSSDNRSWPFSASVWEFDDDGSDHDDDFFAIASGGEHFDDKRDNESDQDNGSSDEDSDDKRDQESDHDEDVPSSPYSDSKDSCDGSDSECESYESSDKEGDDLEDCDSNDMLENLIKVARGLTAYHGEVLLRRQLENFPMFNNLKTLSLGEWCMVPDFSALSTILKKSPKVERLYLHLDMIHRGRGDIDPSGGSFACNNLRKVKITCCKDDEMVHMLKQFLQRNGISLEKIVHHTSSTHNGEEDGGGDSSAKRKAQGEVARLAVKQRRARNSNLFDGMHQGAARQAGQSGGGGGGGGGADRLSALPDAALFRIVSHLTARQAVRTSVLSKRWRHVWASVPRVDIRHPCACDERADQERFGDFVTTMLLNRRPFAPIKALRLWWSHDGDAETWIAHAVRRGAEEIDFSARHHQDDPKPELEYTSFISPKIKILKLTTFGMDIKAITHICSRCTSLEELELKDFRRLDGQIRSASLKQLSIINCFISVAFLVDAPNLISLCFIRPLSFERTKESICSSDNRRWPSPVWKDDNDGFDHGDIFAIASGEHFDDKRENESDQDYGFDDGSDDNIASESDHDDDGPPSPYSVSYDGDNECESYEPGNKEESDRTVAYGEIADEYSSNGDPGDEYRGNYVNHDSANYGRANKFGNLNFPVKSIVDASAHEGELLLRRLLENFPMFNNLDTLSLGEWCMVPDFSALSTILTKSPNVKRLYLHLDVIHRRRRCIDPSGGSFSCNNLEKVKITCCKDDVMVHMLAPFLQDNGVSPEKIFVRRTSSPHNGKEGRGSNSSAKRKAQGEVARLAVKQRRARNSELFDGMHQGAAGPGPSGGGGDRLSALPDAVLFRIVSHLKAREAVRTSGLSRRWRHVWASAPRVDVRYPCACDGRAVDQKSFRDFVTILLLRRRPLAPFKALRLSWSHDEDDVSAWIAHAVRRGAEEIDLSARRHHGYPVPDYKHFISPKIKILKLTHLGTTRFTADNTLDLLCSGCTSLEELELKDIKSLWGGIQSDSLKRLSIINCHVTSDGFLVEAPNLISLCCIRPARAVPWFSHMVSLVEATVVLDDSRLSDDYQQPVLEDDNDGSDYDDNFFAPKAEGPDDKRDNEADNDSGDKRNRDGSKSDLDDHDGEYDHEDGSESGDKEVDDLEGGDDRTVTYGEIADESSSYGIPIPSDEYGGNYGNHDYTIFGGDHMLDHLSDVRTLGLLGHQGEMLLRRQLENCPIFNNLNTLTLGEWCMAPDFSALSTILENSPHVERLYLNLDMDIHRSRGGINPTGGSFACNNLKKVKITCRKDDVMVHMLAKFLQRNGISLQKIFVRRTSSTHNGEEGTGKDSSAKRKAQDEAARRAVKQLRRARNSNPFDGMQQGAAGQAPRRDDGEAAGPSGGGGGGGEDRLSALPDAVLGRIVSHLKAWQAVRTSVLSKRWRDVWASAPRVDIRHPCACNERADQERFHGFVDTLLLRRRPFAPIKALRLCWSHDGDANNWIAHAVRRGAEEIELSTRHHQGSLEPEPEFTSFISPKIKILKLMRVGMDIRSITQICSRCTSLEELELEDVRLLEGQIQSASLKRLSIIKCYIDDGFLVDAPNLVSLCFIRPLGIERKGGSNSSSDRLWWPVWLNDDDGYDHDDDFFANASAVQSDDKRGSKSDQDDLEGCNDDDCTVAYDEIADEYSSNGGPGDEHGGYSESDDSTICGPYGLFNVLVKTSLIMIAREGELLLRRELENFPMFINLNTLSLGEWCMVPDFSALSTILEKSPNVERLYIHLDMVHRGRGDIDPSGGSFACNNLKKVKITCCEDDVMVHKLAEFLEANGLQRQRIFVRRTSRTRRDSRAKQKEQEDHLRLAKKW >ORUFI08G06260.2 pep chromosome:OR_W1943:8:5029163:5053121:1 gene:ORUFI08G06260 transcript:ORUFI08G06260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIIEQGVDWRTRLGQDIRDCMINDILFSLQTKLQSTTTALIDLQKVAVRIEERIHTIASDYGDYLRRICLTRGDLDSYDVFLNDCQRQQASIRSSILLHQENKQGVLSNQVALNDHKEHSHPYEKDMISKLPNDLVQHIMSFLSMREAVRTSVLSHWWVNQWTFLKSIQLNIYWFHMDREKFSSFLDKLLLSRVQADAPMDTFELKSFAIDRANYWINHAIKHNAKVLKFAEYGKWEPFYLDPNLVELSSRYLETLELTNVALDATIFNQLANACPALQNMLLTDCLLEVEEISSSSLKNLDIIDCYILKDLSICTPSLVSLCIKNERTDNSSFRNSYLIFATAIIIDASNVSSMELLAMDRQFTFVEKDGGEPMFKNLRNLSLGLWCINNMFSPLRRFVRHSPMLRMVTLRISPLDWKSHLTKEHQEMLISIRNLFDGMQQGAAGQAGPSGGGGGGGGGGGGGADRLSALPDAVLFRIVSHLGARQAVRTSVLSKRWRHVWASAPRVDVRHPCACDESADQERFHGFVTTMLLRRRPFAPIKALRLCWSHDGDANNWIAHAVRRGAEEIDFSARHHQDDPKPELEYTSFISHKIKILKLTRVRMGIKFITQICYRCTFLEELELKNVNSLEGQIQSTSLKRLSIINCLISDGFLVDAPNLISLCFFRPLSGKSTEGANHSSDNRSWPFSASVWEFDDDGSDHDDDFFAIASGGEHFDDKRDNESDQDNGSSDEDSDDKRDQESDHDEDVPSSPYSDSKDSCDGSDSECESYESSDKEGDDLEDCDSNDMLENLIKVARGLTAYHGEVLLRRQLENFPMFNNLKTLSLGEWCMVPDFSALSTILKKSPKVERLYLHLDMIHRGRGDIDPSGGSFACNNLRKVKITCCKDDEMVHMLKQFLQRNGISLEKIVHHTSSTHNGEEDGGGDSSAKRKAQGEVARLAVKQRRARNSNLFDGMHQGAARQAGQSGGGGGGGGGADRLSALPDAALFRIVSHLTARQAVRTSVLSKRWRHVWASVPRVDIRHPCACDERADQERFGDFVTTMLLNRRPFAPIKALRLWWSHDGDAETWIAHAVRRGAEEIDFSARHHQDDPKPELEYTSFISPKIKILKLTTFGMDIKAITHICSRCTSLEELELKDFRRLDGQIRSASLKQLSIINCFISVAFLVDAPNLISLCFIRPLSFERTKESICSSDNRRWPSPVWKDDNDGFDHGDIFAIASGEHFDDKRENESDQDYGFDDGSDDNIASESDHDDDGPPSPYSVSYDGDNECESYEPGNKEESDRTVAYGEIADEYSSNGDPGDEYRGNYVNHDSANYGRANKFGNLNFPVKSIVDASAHEGELLLRRLLENFPMFNNLDTLSLGEWCMVPDFSALSTILTKSPNVKRLYLHLDVIHRRRRCIDPSGGSFSCNNLEKVKITCCKDDVMVHMLAPFLQDNGVSPEKIFVRRTSSPHNGKEGRGSNSSAKRKAQGEVARLAVKQRRARNSELFDGMHQGAAGPGPSGGGGDRLSALPDAVLFRIVSHLKAREAVRTSGLSRRWRHVWASAPRVDVRYPCACDGRAVDQKSFRDFVTILLLRRRPLAPFKALRLSWSHDEDDVSAWIAHAVRRGAEEIDLSARRHHGYPVPDYKHFISPKIKILKLTHLGTTRFTADNTLDLLCSGCTSLEELELKDIKSLWGGIQSDSLKRLSIINCHVTSDGFLVEAPNLISLCCIRPARAVPWFSHMVSLVEATVVLDDSRLSDDYQQPVLEDDNDGSDYDDNFFAPKAEGPDDKRDNEADNDSGDKRNRDGSKSDLDDHDGEYDHEDGSESGDKEVDDLEGGDDRTVTYGEIADESSSYGIPIPSDEYGGNYGNHDYTIFGGDHMLDHLSDVRTLGLLGHQGEMLLRRQLENCPIFNNLNTLTLGEWCMAPDFSALSTILENSPHVERLYLNLDMDIHRSRGGINPTGGSFACNNLKKVKITCRKDDVMVHMLAKFLQRNGISLQKIFVRRTSSTHNGEEGTGKDSSAKRKAQDEAARRAVKQLRRARNSNPFDGMQQGAAGQAPRRDDGEAAGPSGGGGGGGEDRLSALPDAVLGRIVSHLKAWQAVRTSVLSKRWRDVWASAPRVDIRHPCACNERADQERFHGFVDTLLLRRRPFAPIKALRLCWSHDGDANNWIAHAVRRGAEEIELSTRHHQGSLEPEPEFTSFISPKIKILKLMRVGMDIRSITQICSRCTSLEELELEDVRLLEGQIQSASLKRLSIIKCYIDDGFLVDAPNLVSLCFIRPLGIERKGGSNSSSDRLWWPVWLNDDDGYDHDDDFFANASAVQSDDKRGSKSDQDDLEGCNDDDCTVAYDEIADEYSSNGGPGDEHGGYSESDDSTICGPYGLFNVLVKTSLIMIAREGELLLRRELENFPMFINLNTLSLGEWCMVPDFSALSTILEKSPNVERLYIHLDMVHRGRGDIDPSGGSFACNNLKKVKITCCEDDVMVHKLAEFLEANGLQRQRIFVRRTSRTRRDSRAKQKEQEDHLRLAKKW >ORUFI08G06270.1 pep chromosome:OR_W1943:8:5055355:5058652:1 gene:ORUFI08G06270 transcript:ORUFI08G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSRAGQVFDEMSLEETNPAVVEEEEGKPGSAEEDAVAYGEVQVFDEMPLNETDPPAAAEEEGEPGIAEEDAVASGEDRLSEMPDMVLHHVMSFLKAWEAARTCVLSRRWRHLWASAPCVDILLTSDRQPPPMNRRMRHHRASAPCPCADVLWTRDRNAPSDTRRFVNRLLLARDELAPVDTLRLRSAHVDGFGDKFKNVDVEKWISEAIKRKARVIQLEDHYGMFVVFAHQVFASNHLKILKLSYAELDDDVFRGFSSRCPSLEELELKKCVVSAREISSVTLKSLIMVECKFTMNLSVGAPNLVFLQCITPMKWVPVLKDSGSLVTGSIMIDDSLLIGDSKKGHEVDGFSSDYSYGGSSEDYFDDLSSDISDDYDYNYENDINSDADTYEYNEIVNEYKFEQYKDHDDGGDCSMGGKYHGSSSNNGFNDDKTLGGQNVLHSLSNARSLELLAHSGEVVLSRESRSCPTFSNLKTLSLGEWCISMVADFDILILFLQNSPNLEKLFLQLEMSYNIQKELEKGIKPKGGSFACKRLSTVKIRCTKDDLRVHMLAQLFNSNGLSLEKIFVRRSGSFPG >ORUFI08G06280.1 pep chromosome:OR_W1943:8:5059571:5062567:1 gene:ORUFI08G06280 transcript:ORUFI08G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRNAMLGPPRRGEGETSRRGEGSEGDGNGEGDAVDRLSALSDGVLHHIMSFLKAWEVVRTCVLSRRWRHTWASAPCIDLRVRYNDVDSEPPEELRDFVNRLFRRREASAPVDTLRLQSSDPDELFDNDDANAWIRTAINRNARFIHLTGHRTEIGVLKHRALVSTHLKILKLSYVLIDDKILKQLSSGCKSLEELDLKDCAMTGHEISSASLKILKMDKCKINVDLSITAPNLVLLNLITPYIQVPSFKNLESLVSCSVILDDFFLGDAYEHSSDEDDIDETTDEDDIDDQKKTYKTGYGFGFPQKGYGLAGNKDDYGYGSDIESDDNTYEYSEIAKEYGDQQYAQNSSTIVQGVGTSQQTKTISGGHNFLHGLSNARSLELLAGAGEVVLSRELKSCPIFSNLKTLSLGEWCMAAEFDTLIFLLQRSPNLQRLFLKLKLNFNTRKPLESGAKPMGRSFTCKDLQMVKIRCSKDDVRVHTLACLFRANGIPIEKIYVRRTGSSYLRGEKFMRDLGKHELEFWGSDSEFCGPNSEFCGSDSEFEDSDMEF >ORUFI08G06290.1 pep chromosome:OR_W1943:8:5064492:5088363:1 gene:ORUFI08G06290 transcript:ORUFI08G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKAALWRPRHGEGETSRRGGDGDGEAADRLSALPDGVLHHVMSFLKAWEVVRTCVLSRRWRNLWASAPCVDLRIRYFRLDSEPPEEPRDFVNRLFRRREASAPVDTLRLQLSDPDNLFDNDDANAWIRTAIKRNARFIHLTGHRKEIGVLKHRALVSTHLKILKLSYVLIDDKILKQLSSGCKSLEELDLKDCVMTGHQISSASLKTLKMDRCKINVDLSITAPNLVFLNIVTPYIRVPSFKNLESLVTCSIILDDLFLGDDYQHISDEDDIDETTDDDDFGYQKNDKAGYRINYAKKGFVFGGNEDGYGYGSDIESDDNTYEYSEIANECGELQYGNNGDGHNSSKDGEYDNAETFGGQNVIHSLSNVRSLELLAGAGEVVLSRELKSCPIFINLKTLSLGEWCMDAEFDALVFLLQRSPNLERLFLEPQLNFNTRKALESGVKPTGRSFTCKDLQMVKIRCSKDDARVHMLAHLFRANGIPFDKIYVHRSGSSRLRSEKVMRDLAKQELEFWGSDEFWGSDYEYCGPDPDPGEAARGGGGGEDDLLSALPDALLHHVMSFLRAWEVARTCVLAAAGATSGPPRPVSTSASRAAESTFAKFAYRFLLEREVSAPVDTLRVLSSPVCYDNGEREDYSTRDVEAWIRAAIKRRARRLIVIFSNRRRPPSPVPATAAGSETARTHRRSDEIFRGRPRAGHVFDEMPPKKAKLSAGAGAGDRLSALPDALLHHEVARTCVLARRWRHLWASAPCVDLRVWRGGGHLPHPEEFAKFAYRFLLERDVSAPVDTLRVLSSPVCDPEVEDYSTCDVDAWIRAAIERRAQVIHISHHPKDEAFSNFDHVPIISCHLKHLKLSGYLFRQRTLMQLSSQCPSLEVLELKGCYLDGHQISSASLKILTIVECRIMEGFTIAAPNLVSLCCVTPYHRAPLFENVGSLTLDAATIVLDDSFLYAGYEYEYEDIDEDAIEGNGSEDGEGSLNDSDYDSDAVSDASTCEYSEIANNYDDEKQLVEHDEVHNRSKGNYHGYDHRYKARPYRGYRKKKFNGGKVLGGDNVLHSLSNARSLELLADAGEVILNRELKTCPTFSNLKTLSLGEWCMGADFGPLISFLQHSPNLEKLFLELKLDYDNTQAMKEGTKPKGCSFACTHLKMVKIKCSIDDVRVHWLAQLFRTNGVPIENIFAFPPDHGNLEVGFFLKRFDEFFLLNAITESPSRPAGAALRKTGSAPSPTRSCTTSCRSCGRGRWRAPACSPAAGATSGPPRPASTSGVWGGGGHLPPPERLARFAYRLLFEREVSAPVDILRVMSSPDGEGEEDYTTSDVQTWIRAAIKRRARVIQLTDHPMDEAFFNLGFVPIISCHLKHLKLSGSLLWNTTLMQLSSQCPALEILELKKCSLHGHEISSTSLKSLTMYKDFDEDAIDGINSDDGEGCTSDSDYDDSDANTCEYSEIADDYDDEKQRQEHCEGHNPIDDYDDENQHEEHGEEHNQIGLDEVLGGHNVLHILSNAEILELLADGGEVILNRALKTCPTFRNLKTLSLGEWCMGADFDPLVTFLQHSPNLERLFLELKLDYYSIQAMKGVTKAVGRSFVCTHLKMVKIKCSMNDARGFPPDHSNVKLEGGCREEAAPSSEVQSICADHAGSTACDASFEYIGTKYIWLPECENDLI >ORUFI08G06290.2 pep chromosome:OR_W1943:8:5064492:5088363:1 gene:ORUFI08G06290 transcript:ORUFI08G06290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKAALWRPRHGEGETSRRGGDGDGEAADRLSALPDGVLHHVMSFLKAWEVVRTCVLSRRWRNLWASAPCVDLRIRYFRLDSEPPEEPRDFVNRLFRRREASAPVDTLRLQLSDPDNLFDNDDANAWIRTAIKRNARFIHLTGHRKEIGVLKHRALVSTHLKILKLSYVLIDDKILKQLSSGCKSLEELDLKDCVMTGHQISSASLKTLKMDRCKINVDLSITAPNLVFLNIVTPYIRVPSFKNLESLVTCSIILDDLFLGDDYQHISDEDDIDETTDDDDFGYQKNDKAGYRINYAKKGFVFGGNEDGYGYGSDIESDDNTYEYSEIANECGELQYGNNGDGHNSSKDGEYDNAETFGGQNVIHSLSNVRSLELLAGAGEVVLSRELKSCPIFINLKTLSLGEWCMDAEFDALVFLLQRSPNLERLFLEPQLNFNTRKALESGVKPTGRSFTCKDLQMVKIRCSKDDARVHMLAHLFRANGIPFDKIYVHRSGSSRLRSEKVMRDLAKQELEFWGSDEFWGSDYEYCGPDPDPGEAARGGGGGEDDLLSALPDALLHHVMSFLRAWEVARTCVLAAAGATSGPPRPVSTSASRAAESTFAKFAYRFLLEREVSAPVDTLRVLSSPVCYDNGEREDYSTRDVEAWIRAAIKRRARRLIVIFSNRRRPPSPVPATAAGSETARTHRRSDEIFRGRPRAGHVFDEMPPKKAKLSAGAGAGDRLSALPDALLHHEVARTCVLARRWRHLWASAPCVDLRVWRGGGHLPHPEEFAKFAYRFLLERDVSAPVDTLRVLSSPVCDPEVEDYSTCDVDAWIRAAIERRAQVIHISHHPKDEAFSNFDHVPIISCHLKHLKLSGYLFRQRTLMQLSSQCPSLEVLELKGCYLDGHQISSASLKILTIVECRIMEGFTIAAPNLVSLCCVTPYHRAPLFENVGSLTLDAATIVLDDSFLYAGYEYEYEDIDEDAIEGNGSEDGEGSLNDSDYDSDAVSDASTCEYSEIANNYDDEKQLVEHDEVHNRSKGNYHGYDHRYKARPYRGYRKKKFNGGKVLGGDNVLHSLSNARSLELLADAGEVILNRELKTCPTFSNLKTLSLGEWCMGADFGPLISFLQHSPNLEKLFLELKLDYDNTQAMKEGTKPKGCSFACTHLKMVKIKCSIDDVRVHWLAQLFRTNGVPIENIFAFPPDHGNLEVGFFLKRFDEFFLLNAITESPSRPAGAALRKTGSAPSPTRSCTTSCRSCGRGRWRAPACSPAAGATSGPPRPASTSGVWGGGGHLPPPERLARFAYRLLFEREVSAPVDILRVMSSPDGEGEEDYTTSDVQTWIRAAIKRRARVIQLTDHPMDEAFFNLGFVPIISCHLKHLKLSGSLLWNTTLMQLSSQCPALEILELKKCSLHGHEISSTSLKSLTMYKDFDEDAIDGINSDDGEGCTSDSDYDDSDANTCEYSEIADDYDDEKQRQEHCEGHNPIDDYDDENQHEEHGEEHNQIGLDEVLGGHNVLHILSNAEILELLADGGEVILNRALKTCPTFRNLKTLSLGEWCMGADFDPLVTFLQHSPNLERLFLELKLDYYSIQAMKGVTKAVGRSFVCTHLKMVKIKCSMNDARGFPPDHSNVKLEGGCPCDASFEYIGTKYIWLPECENDLI >ORUFI08G06290.3 pep chromosome:OR_W1943:8:5064492:5088363:1 gene:ORUFI08G06290 transcript:ORUFI08G06290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKAALWRPRHGEGETSRRGGDGDGEAADRLSALPDGVLHHVMSFLKAWEVVRTCVLSRRWRNLWASAPCVDLRIRYFRLDSEPPEEPRDFVNRLFRRREASAPVDTLRLQLSDPDNLFDNDDANAWIRTAIKRNARFIHLTGHRKEIGVLKHRALVSTHLKILKLSYVLIDDKILKQLSSGCKSLEELDLKDCVMTGHQISSASLKTLKMDRCKINVDLSITAPNLVFLNIVTPYIRVPSFKNLESLVTCSIILDDLFLGDDYQHISDEDDIDETTDDDDFGYQKNDKAGYRINYAKKGFVFGGNEDGYGYGSDIESDDNTYEYSEIANECGELQYGNNGDGHNSSKDGEYDNAETFGGQNVIHSLSNVRSLELLAGAGEVVLSRELKSCPIFINLKTLSLGEWCMDAEFDALVFLLQRSPNLERLFLEPQLNFNTRKALESGVKPTGRSFTCKDLQMVKIRCSKDDARVHMLAHLFRANGIPFDKIYVHRSGSSRLRSEKVMRDLAKQELEFWGSDEFWGSDYEYCGPDPDPGEAARGGGGGEDDLLSALPDALLHHVMSFLRAWEVARTCVLAAAGATSGPPRPVSTSASRAAESTFAKFAYRFLLEREVSAPVDTLRVLSSPVCYDNGEREDYSTRDVEAWIRAAIKRRARRLIVIFSNRRRPPSPVPATAAGSETARTHRRSDEIFRGRPRAGHVFDEMPPKKAKLSAGAGAGDRLSALPDALLHHEVARTCVLARRWRHLWASAPCVDLRVWRGGGHLPHPEEFAKFAYRFLLERDVSAPVDTLRVLSSPVCDPEVEDYSTCDVDAWIRAAIERRAQVIHISHHPKDEAFSNFDHVPIISCHLKHLKLSGYLFRQRTLMQLSSQCPSLEVLELKGCYLDGHQISSASLKILTIVECRIMEGFTIAAPNLVSLCCVTPYHRAPLFENVGSLTLDAATIVLDDSFLYAGYEYEYEDIDEDAIEGNGSEDGEGSLNDSDYDSDAVSDASTCEYSEIANNYDDEKQLVEHDEVHNRSKGNYHGYDHRYKARPYRGYRKKKFNGGKVLGGDNVLHSLSNARSLELLADAGEVILNRELKTCPTFSNLKTLSLGEWCMGADFGPLISFLQHSPNLEKLFLELKLDYDNTQAMKEGTKPKGCSFACTHLKMVKIKCSIDDVRVHWLAQLFRTNGVPIENIFAFPPDHGNLEVGFFLKRFDEFFLLNAITESPSRPAGAALRKTGSAPSPTRSCTTSCRSCGRGRWRAPACSPAAGATSGPPRPASTSGVWGGGGHLPPPERLARFAYRLLFEREVSAPVDILRVMSSPDGEGEEDYTTSDVQTWIRAAIKRRARVIQLTDHPMDEAFFNLGFVPIISCHLKHLKLSGSLLWNTTLMQLSSQCPALEILELKKCSLHGHEISSTSLKSLTMYKDFDEDAIDGINSDDGEGCTSDSDYDDSDANTCEYSEIADDYDDEKQRQEHCEGHNPIDDYDDENQHEEHGEEHNQIGLDEVLGGHNVLHILSNAEILELLADGGEVILNRALKTCPTFRNLKTLSLGEWCMGADFDPLVTFLQHSPNLERLFLELKLGFPPDHSNVKLEGGCREEAAPSSEVQSICADHAGSTACDASFEYIGTKYIWLPECENDLI >ORUFI08G06300.1 pep chromosome:OR_W1943:8:5071987:5073501:-1 gene:ORUFI08G06300 transcript:ORUFI08G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRASTMQLGLFLAALLLLTPSPAGSVAAAKGGGAKSGGGGTVIGIDLGTTYSCVGVYRNGHVEIIANDQGNRITPSNQHQVRVEVESLFDGVDLSEPLSRARFEELNSDLFKKTMVPVRKAMADARLSKGDIDEIVLVGGSTRIPKVQQLLKDYFGGKEPNRGVNPDEAVAYGAAVQASIISGHVDENTESMILLDVAPLTLGLETAGGVMAKLIPRNTVVPTKKTQVFTTYKDKQTTVTIQVFEGERSMTRDNRLLGKFDLTGIAPAPRGAPQIAVTFEVDANGILSVLAADKATGRSEKITISGDDRKISQEEIDRMVREAEEFAEEDRRHREQVDARNSLEAYVYNIKNTLGGKMADAMEGEEKDKVEEAVREAYEWLDGNPDAGKEEYEEKLRELEDVCNPVMSAVYQRSGGGGGGAPEDGNVDDEDDHDEL >ORUFI08G06310.1 pep chromosome:OR_W1943:8:5082728:5084275:-1 gene:ORUFI08G06310 transcript:ORUFI08G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRFNPGGRRLAGAGEEKIKCEKIKKRRRGREAIESSSKINGKTSSTWWLPNSEKTPAITRNKKGERTEHEQTANKLADVRATRRGCPPCAAAGNAAVVPVPEPLRPHAALLQHNPALGDNPSGFLLGRPASFFCARTAGELLPCARPAGELLPRALPVDEILLA >ORUFI08G06330.1 pep chromosome:OR_W1943:8:5107454:5108505:1 gene:ORUFI08G06330 transcript:ORUFI08G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASFSSNLTDAARAVHMFKINGYSATRAMARTDSLPSRRLPVGGYHWELRYTASLIADSNHWVALKLVLLAAPTATTSAAVDGHVSHAFKRAGESSAWVLILRRSALEASGAIVDDAFTVECTITVITEIPDNVAAAAPAAPANVLPPFSGRGLSLSHHLGELLRRGTGADVTLVVSGKCFPAHRAILASRSPVFMASLFGDMKEKSSRSVEIRDIEPQVFGAMLGFIYTDSVPELDQQDGVVVAQHLLAAADMCGLDGLKIMCEEKLIAGATVETAATTLALAEQHGCPRLKARCVEVVAANLDAVMATEGYKHLMASSPLVMNDLLRAVRGRKS >ORUFI08G06350.1 pep chromosome:OR_W1943:8:5114347:5114922:-1 gene:ORUFI08G06350 transcript:ORUFI08G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGARRRWVKGEVRSGRRPSERREKSGGQQGWRLATEARGRPHAAVGPSRSSREFEVEEDDDATTASRSSASSTRSGSKAEAEQSGCYGSEEAGSAAPLPIRSIFLHIAAAIRCRVLVRRLQKRRRGVARQLVLRGQESGLRWRISARLVGFAGRRMRLGGGRGGTGVAWRVCMAS >ORUFI08G06360.1 pep chromosome:OR_W1943:8:5116540:5144722:-1 gene:ORUFI08G06360 transcript:ORUFI08G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPHVSIFQAPVPTWLAGSISDSIQTGRKTRVKDMENQSGQPQYAMADQGFHPFSPFMLAPSTTMQQHVGSSSSTPVIQVAALPSHAYYGNIDVADDGFHWRMCGQSTIQGGLCPTVFSYQCALPNCGVRKSITRSADGQTIETVCKGCHNHPRQSLRWLGDGSERLEPISQEIVLLEASDASGAAGGPSVPGTGNGHGQSSGSSDSCRDDDGDLGIDGNASVGDANAVKSGQVPAPAKEITVHSACEVDILNNSVRHENPQPRKKVRSKSTVWEEFEVVLIDGKVQTAECKHCKKGLSAKTSGGTSHLIRHLKICPAQHGTSRVQKKCSSLADLPIVKSWKDDQESSLDEIIRSIVSNLCPFSAMYSASFAQFLAGRNPVLNMVQQATVEEKFLSVFHNEKMKLKEKITATPGGVFLSLGEWQRLFYIQVRVACLTVHFIDEDWKINRKTIRCSLSVFGKSDILSLYPHWQSDIVLAEKVLKEVVQDWGLLDKLLGVTLQRSVDKKAPLHLEDDITGRNYLLSKCRLLSIPCMVDALHELMDSTVLDMESTWSHYMTSSPERKQKYQEILSQLHLDRPSLGSKGWYFTFYFSEAALQFIKSFPLPDAKPNCQSGPWEPSFDDLEATENYCKIARSAYRVIKVVSGPHNMTFNSYFHVIWSLRAAIQELPSIKNIGRVFDVAYMQKKFDRNWKKWYLWLSIAVVLDPRYKLGFIELCFRQAFSHVAGMYFSEVRAKLHELYIQYSYVNEQSKEILDHKNNCSDIQISAPLHNKGQNSTTAQAAVEEFKELYEYLGGGLCTQDDSFDILKWWRGNSSAYPTLAMMARDILAIPGCAVSTESAFDQCDQRAELFDGKLRPETTEALICAQSWIKSSGTADADDGNKNTSPMVNHVLWWIALALMGLVFFIYLLKVVFYFEFEAVRREFYHPIRADFFAPWIACLFLVQGVPRPVTKVHHGVWYVLMTPWRRRRHRGRGSVGAATGGGGNDEVGVGRGVPPASTGFSRRLHRSPCLLPRRGRAPRPGWLARSIQARRIAREMDNQSGQPQYGMADHGFRPFSASISAPSTAQQHTGSSSNTAVIQVATPPSHTDYGNIYLADDGYHWRMCGQNTIQGEPCQTIFYYQCAQANCMVQKSVARSADGQTTQTFSMGVHNHPQRSVRWLRDGSERLEPMSQVGVLVGASDAAGATELTGMLLLLLLLLLLLMPMHYKAPIQGNIARTASEVGILDHRARHENSHPRKKRRFKSKVWEEFKAVLIDGKVQSAICNHCKNCLVGETTKGTSHLRRHLKSCPAKAGTSRVQKKQRISTLQPDSSVSKDLKYGQVNPLEEFMRAIVSKLCPFSAMYGASFAPFLAGRNPVLNMVPQATLEEKFLSVYEKEKQKLKEKIIATPGGVFLSLDSWYWDADVELYIICITVHFIDGDWKINRKTIRCSPKLVGSYMLGLLPRLKSNIVNVKMVLKEVVQDWGLQEKLLGVTLQPSEDSIKEASLHLEDDLTGRKYLVAKCKLLNIPCMVTALHELIEDSIMCDMTPAWSCYMTSSPERKQKYEQVLSQLHLDGASYGSKNWYSQFCLYEAALQFIKSFPLPEDRRHQTNPLRPSFDDLEAIESFCKIARPIYIAVKAISGPHNVMYNSYFHVIWRLVAVIRELPSMNNTERNFHVPVVQKEFDNNWRKWYLWLSIAVVLDPRYKLGFIELCFRQDFSHDAGMYISEVRAKLYELYIQYSYDNGQSNEILNNRNSDSGTQISAPLDNQIQNYTIAQAAVEEFKELNEYLGGGLCPQNDSFDILKWWRDNSSTYPTLAKMARDILAIPGCAVSAESAFDSCDQRVEVFKGKFRPETAEALVCAQSWIKSSVTYIGYFQSSKRNSPFDTVQNSLPKEALLDATT >ORUFI08G06360.2 pep chromosome:OR_W1943:8:5116540:5144722:-1 gene:ORUFI08G06360 transcript:ORUFI08G06360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPHVSIFQAPVPTWLAGSISDSIQTGRKTRVKDMENQSGQPQYAMADQGFHPFSPFMLAPSTTMQQHVGSSSSTPVIQVAALPSHAYYGNIDVADDGFHWRMCGQSTIQGGLCPTVFSYQCALPNCGVRKSITRSADGQTIETVCKGCHNHPRQSLRWLGDGSERLEPISQEIVLLEASDASGAAGGPSVPGTGNGHGQSSGSSDSCRDDDGDLGIDGNASVGDANAVKSGQVPAPAKEITVHSACEVDILNNSVRHENPQPRKKVRSKSTVWEEFEVVLIDGKVQTAECKHCKKGLSAKTSGGTSHLIRHLKICPAQHGTSRVQKKCSSLADLPIVKSWKDDQESSLDEIIRSIVSNLCPFSAMYSASFAQFLAGRNPVLNMVQQATVEEKFLSVFHNEKMKLKEKITATPGGVFLSLGEWQRLFYIQVRVACLTVHFIDEDWKINRKTIRCSLSVFGKSDILSLYPHWQSDIVLAEKVLKEVVQDWGLLDKLLGVTLQRSVDKKAPLHLEDDITGRNYLLSKCRLLSIPCMVDALHELMDSTVLDMESTWSHYMTSSPERKQKYQEILSQLHLDRPSLGSKGWYFTFYFSEAALQFIKSFPLPDAKPNCQSGPWEPSFDDLEATENYCKIARSAYRVIKVVSGPHNMTFNSYFHVIWSLRAAIQELPSIKNIGRVFDVAYMQKKFDRNWKKWYLWLSIAVVLDPRYKLGFIELCFRQAFSHVAGMYFSEVRAKLHELYIQYSYVNEQSKEILDHKNNCSDIQISAPLHNKGQNSTTAQAAVEEFKELYEYLGGGLCTQDDSFDILKWWRGNSSAYPTLAMMARDILAIPGCAVSTESAFDQCDQRAELFDGKLRPETTEALICAQSWIKSSGTADADDGNKNTSPMVNHVLWWIALALMGLVFFIYLLKVVFYFEFEAVRREFYHPIRADFFAPWIACLFLVQGVPRPVTKVHHGVWYVLMTPWRRRRHRGRGSVGAATGGGGNDEVGVGRGVPPASTGFSRRLHRSPCLLPRRGRAPRPGWLARSIQARRIAREMDNQSGQPQYGMADHGFRPFSASISAPSTAQQHTGSSSNTAVIQVATPPSHTDYGNIYLADDGYHWRMCGQNTIQGEPCQTIFYYQCAQANCMVQKSVARSADGQTTQTFSMGVHNHPQRSVRWLRDGSERLEPMSQVGIDGDAAAAAAAAAAADANALQRHVAAPIQGNIARTASEVGILDHRARHENSHPRKKRRFKSKVWEEFKAVLIDGKVQSAICNHCKNCLVGETTKGTSHLRRHLKSCPAKAGTSRVQKKQRISTLQPDSSVSKDLKYGQVNPLEEFMRAIVSKLCPFSAMYGASFAPFLAGRNPVLNMVPQATLEEKFLSVYEKEKQKLKEKIIATPGGVFLSLDSWYWDADVELYIICITVHFIDGDWKINRKTIRCSPKLVGSYMLGLLPRLKSNIVNVKMVLKEVVQDWGLQEKLLGVTLQPSEDSIKEASLHLEDDLTGRKYLVAKCKLLNIPCMVTALHELIEDSIMCDMTPAWSCYMTSSPERKQKYEQVLSQLHLDGASYGSKNWYSQFCLYEAALQFIKSFPLPEDRRHQTNPLRPSFDDLEAIESFCKIARPIYIAVKAISGPHNVMYNSYFHVIWRLVAVIRELPSMNNTERNFHVPVVQKEFDNNWRKWYLWLSIAVVLDPRYKLGFIELCFRQDFSHDAGMYISEVRAKLYELYIQYSYDNGQSNEILNNRNSDSGTQISAPLDNQIQNYTIAQAAVEEFKELNEYLGGGLCPQNDSFDILKWWRDNSSTYPTLAKMARDILAIPGCAVSAESAFDSCDQRVEVFKGKFRPETAEALVCAQSWIKSSVTYIGYFQSSKRNSPFDTVQNSLPKEALLDATT >ORUFI08G06370.1 pep chromosome:OR_W1943:8:5116698:5123001:1 gene:ORUFI08G06370 transcript:ORUFI08G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVLGFEISMPVMIAPSAMQKMAHPDGEYATAMAASAGGTIMMQPYRYRNVVEQFVRRAERAGFKAIALTVDTPWLGRREADIKNRQKEESCVNSVVPVMRLG >ORUFI08G06380.1 pep chromosome:OR_W1943:8:5146091:5157982:1 gene:ORUFI08G06380 transcript:ORUFI08G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICRRGGAAAASRHLLDGMSRRTTTARWVPRDRPEPAGRSGTGAPGRASHALGQMPRRRTTTTTARWVPRQGQKGEPGGSGHAAAPVDRLSALPDTLLHHVMSFLKAWEVVRTCVLSRRWRDLWASAPCVDIRLRGSGRDGAPPEDFGRFVYRLLLAREVSAPVDTLRLRSSNGEEYAETYDNDDVNIWICSAIKRNVRVIHLNGHRKDELVLEHTAFVSHHLKILKLSHIKLDGKILKQLSSQCTSLEDLELNNCPVNGGEILSVSLKKLTMVKCSITMDLSICAPNLELLCCITPYYHVPLFENLSSLVAATIMLDDSFLRRDEFLYEVEEETSDDEDDNKTTSDHCDSKMDADSDAYDDDDNDDILYDEYLNSRHGNLVDDYNYGSDIDSDDDLHEYSQIAHECRGGRYGYCHDSKRRGSYYETCKLADSFSGKYLLRSLSSARSLELLAHSGESIKYFACVYTAKVVMVRELRRCSTFGNLKTLSLGEWCMAAEFDGLIFLLQESPNLEMLFLKLELSYSNKEAINIGFELKERSFACKNLEVVNIRCSKDDERVHMLAEIFVANGLPIEKIYVRRTGSTYSKGLMQTKFDYKHTAYSSKAGNAHPPEEASLGLRSQLKASDHSAAAPAISSGRSSPPLRSSQRATGHPLDGMSRRNTTGWPVPRGGAEPTGKPGPSAPVRAAHAFGQMPRRRTTTTARWVRRHQVHKGKPRGAATVDRLSALPDALLHHVMSFLKAWEVVRTCVLSRRWRHTWASAPCVDIRAPSSRRERGPGRDSDPPEDFGRFVYRLLLAREVSAPVDTLRLRSSNGEEYAEMYDNDDVNMWISSAIKRNARVIHLNGHREDDDLVLEHTAFVSQRLKILKLSNVNLDWKIPRQLSSRCTSLTELELNNCPVNGGEISSVSLKKLRMVKCLITVDLSICAPNLELLCCITPYHRVPLFKNLSSLVAATIVLDDSFLCRDDFLHEDERGSSDDEDDNKTISDHYDKMVTNSDACGDGNLVDEYNYGSDIDSDDDIYEYSQIANECRDGKYSHCHDSECCSSYHDTCKLANSFSGQDLLCSLSNARSLELLAHSGEVVMVRELRRCSTFGNLKTLSLGEWCMAAEFDGLIFLLQETPNLERLFLKLELNYDNKEAVNIGVKLKERSFACKNLEVVNIRCSKDDGRVHMLAELFGANGLPLEKIFVRRTGSTYLRNMKKMRKIARDELRKARWFQLLNL >ORUFI08G06380.2 pep chromosome:OR_W1943:8:5146091:5157982:1 gene:ORUFI08G06380 transcript:ORUFI08G06380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPICRRGGAAAASRHLLDGMSRRTTTARWVPRDRPEPAGRSGTGAPGRASHALGQMPRRRTTTTTARWVPRQGQKGEPGGSGHAAAPVDRLSALPDTLLHHVMSFLKAWEVVRTCVLSRRWRDLWASAPCVDIRLRGSGRDGAPPEDFGRFVYRLLLAREVSAPVDTLRLRSSNGEEYAETYDNDDVNIWICSAIKRNVRVIHLNGHRKDELVLEHTAFVSHHLKILKLSHIKLDGKILKQLSSQCTSLEDLELNNCPVNGGEILSVSLKKLTMVKCSITMDLSICAPNLELLCCITPYYHVPLFENLSSLVAATIMLDDSFLRRDEFLYEVEEETSDDEDDNKTTSDHCDSKMDADSDAYDDDDNDDILYDEYLNSRHGNLVDDYNYGSDIDSDDDLHEYSQIAHECRGGRYGYCHDSKRRGSYYETCKLADSFSGKYLLRSLSSARSLELLAHSGEVVMVRELRRCSTFGNLKTLSLGEWCMAAEFDGLIFLLQESPNLEMLFLKLELSYSNKEAINIGFELKERSFACKNLEVVNIRCSKDDERVHMLAEIFVANGLPIEKIYVRRTGSTYSKGLMQTKFDYKHTAYSSKAGNAHPPEEASLGLRSQLKASDHSAAAPAISSGRSSPPLRSSQRATGHPLDGMSRRNTTGWPVPRGGAEPTGKPGPSAPVRAAHAFGQMPRRRTTTTARWVRRHQVHKGKPRGAATVDRLSALPDALLHHVMSFLKAWEVVRTCVLSRRWRHTWASAPCVDIRAPSSRRERGPGRDSDPPEDFGRFVYRLLLAREVSAPVDTLRLRSSNGEEYAEMYDNDDVNMWISSAIKRNARVIHLNGHREDDDLVLEHTAFVSQRLKILKLSNVNLDWKIPRQLSSRCTSLTELELNNCPVNGGEISSVSLKKLRMVKCLITVDLSICAPNLELLCCITPYHRVPLFKNLSSLVAATIVLDDSFLCRDDFLHEDERGSSDDEDDNKTISDHYDKMVTNSDACGDGNLVDEYNYGSDIDSDDDIYEYSQIANECRDGKYSHCHDSECCSSYHDTCKLANSFSGQDLLCSLSNARSLELLAHSGEVVMVRELRRCSTFGNLKTLSLGEWCMAAEFDGLIFLLQETPNLERLFLKLELNYDNKEAVNIGVKLKERSFACKNLEVVNIRCSKDDGRVHMLAELFGANGLPLEKIFVRRTGSTYLRNMKKMRKIARDELRKARWFQLLNL >ORUFI08G06380.3 pep chromosome:OR_W1943:8:5146091:5157982:1 gene:ORUFI08G06380 transcript:ORUFI08G06380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPICRRGGAAAASRHLLDGMSRRTTTARWVPRDRPEPAGRSGTGAPGRASHALGQMPRRRTTTTTARWVPRQGQKGEPGGSGHAAAPVDRLSALPDTLLHHVMSFLKAWEVVRTCVLSRRWRDLWASAPCVDIRLRGSGRDGAPPEDFGRFVYRLLLAREVSAPVDTLRLRSSNGEEYAETYDNDDVNIWICSAIKRNVRVIHLNGHRKDELVLEHTAFVSHHLKILKLSHIKLDGKILKQLSSQCTSLEDLELNNCPVNGGEILSVSLKKLTMVKCSITMDLSICAPNLELLCCITPYYHVPLFENLSSLVAATIMLDDSFLRRDEFLYEVEEETSDDEDDNKTTSDHCDSKMDADSDAYDDDDNDDILYDEYLNSRHGNLVDDYNYGSDIDSDDDLHEYSQIAHECRGGRYGYCHDSKRRGSYYETCKLADSFSGKYLLRSLSSARSLELLAHSGESIKYFACVYTAKVVMVRELRRCSTFGNLKTLSLGEWCMAAEFDGLIFLLQESPNLEMLFLKLELSYSNKEAINIGFELKERSFACKNLEVVNIRCSKDDERVHMLAEIFVANGLPIEKIYVRRTGSTYSKGLMQTKFDYKHTAYSSKAGNAHPPEEASLGLRSQLKASDHSAAAPAISSGHPLDGMSRRNTTGWPVPRGGAEPTGKPGPSAPVRAAHAFGQMPRRRTTTTARWVRRHQVHKGKPRGAATVDRLSALPDALLHHVMSFLKAWEVVRTCVLSRRWRHTWASAPCVDIRAPSSRRERGPGRDSDPPEDFGRFVYRLLLAREVSAPVDTLRLRSSNGEEYAEMYDNDDVNMWISSAIKRNARVIHLNGHREDDDLVLEHTAFVSQRLKILKLSNVNLDWKIPRQLSSRCTSLTELELNNCPVNGGEISSVSLKKLRMVKCLITVDLSICAPNLELLCCITPYHRVPLFKNLSSLVAATIVLDDSFLCRDDFLHEDERGSSDDEDDNKTISDHYDKMVTNSDACGDGNLVDEYNYGSDIDSDDDIYEYSQIANECRDGKYSHCHDSECCSSYHDTCKLANSFSGQDLLCSLSNARSLELLAHSGEVVMVRELRRCSTFGNLKTLSLGEWCMAAEFDGLIFLLQETPNLERLFLKLELNYDNKEAVNIGVKLKERSFACKNLEVVNIRCSKDDGRVHMLAELFGANGLPLEKIFVRRTGSTYLRNMKKMRKIARDELRKARWFQLLNL >ORUFI08G06380.4 pep chromosome:OR_W1943:8:5146091:5157982:1 gene:ORUFI08G06380 transcript:ORUFI08G06380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPICRRGGAAAASRHLLDGMSRRTTTARWVPRDRPEPAGRSGTGAPGRASHALGQMPRRRTTTTTARWVPRQGQKGEPGGSGHAAAPVDRLSALPDTLLHHVMSFLKAWEVVRTCVLSRRWRDLWASAPCVDIRLRGSGRDGAPPEDFGRFVYRLLLAREVSAPVDTLRLRSSNGEEYAETYDNDDVNIWICSAIKRNVRVIHLNGHRKDELVLEHTAFVSHHLKILKLSHIKLDGKILKQLSSQCTSLEDLELNNCPVNGGEILSVSLKKLTMVKCSITMDLSICAPNLELLCCITPYYHVPLFENLSSLVAATIMLDDSFLRRDEFLYEVEEETSDDEDDNKTTSDHCDSKMDADSDAYDDDDNDDILYDEYLNSRHGNLVDDYNYGSDIDSDDDLHEYSQIAHECRGGRYGYCHDSKRRGSYYETCKLADSFSGKYLLRSLSSARSLELLAHSGEVVMVRELRRCSTFGNLKTLSLGEWCMAAEFDGLIFLLQESPNLEMLFLKLELERSFACKNLEVVNIRCSKDDGRVHMLAELFGANGLPLEKIFVRRTGSTYLRNMKKMRKIARDELRKARWFQLLNL >ORUFI08G06390.1 pep chromosome:OR_W1943:8:5172265:5179299:1 gene:ORUFI08G06390 transcript:ORUFI08G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKASKKDAAPAERPILGRFSSHLKIGIVGLPNVGKSTFFNIVTKLSIPAENFPFCTIDPNEARVYVPDERFDWLCQLYKPKSEVSAYLEINDIAGLVRGAHAGEGLGNAFLSHIRAVDGIFHVLRAFEDKEVTHIDDSVDPVRDLETIGEELRLKDIEFVQNKIDDLEKSMKRSNDKQLKLEHELCEKVKAHLEDGKDVRFGDWKSADIEILNTFQLLTAKPVVYLVNMSEKDYQRKKNKFLPKIHAWVQEHGGETIIPFSCAFERLLADMPPDEAAKYCAENQIARQAIVIPKIIKTGFAAIHLIYFFTAGPDEVKCWQIRRQTKAPQAAGTIHTDFERGFICAEVMKFDDLKELGSESAVKAAGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK >ORUFI08G06400.1 pep chromosome:OR_W1943:8:5177612:5179186:-1 gene:ORUFI08G06400 transcript:ORUFI08G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATMAMPLANRLRCKPNTNSSSPSRTLFGRRVTMISSSRWGSAVSGSAIMSAAADVAAAVRREEDEEMRSYLSPEKLEVLTQMEPWVEEHVLPLLKPVEAAWQPSDLLPDPAVLGGEGFHAACAELRERAAGVPDLLLVCLVANMVTEEALPTYQSSLNRVRAVGDLTGADATAWARWIRGWSAEENRHGDVLNRYMYLSGRFDMAEVERAVHRLIRSGMAVDPPCSPYHAFVYTAFQERATAVAHGNTARLVGARGHGDAALARVCGTVAADEKRHEAAYTRIVSRLLEADPDAGVRAVARMLRRGVAMPTSPISDGRRDDLYACVVSLAEQAGTYTVSDYCSIVEHLVREWRVEELAAGLSGEGRRARDYVCELPQKIRRMKEKAHERAVKAQKKPISIPINWIFDRHVSVMLP >ORUFI08G06410.1 pep chromosome:OR_W1943:8:5180691:5181119:1 gene:ORUFI08G06410 transcript:ORUFI08G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLLSAHRLLSLSPGLPPTVRGLLLSVAISNASTSLGMARSTPSVAPSWSSPCYGPLATVSSELDPAIPTMAEAPTTRSTCHDDEGDHQQRRGSFPCVARGFLWLSLLISYLLILVVKTILVIPFMLKWVSSAWVVDDPL >ORUFI08G06420.1 pep chromosome:OR_W1943:8:5187194:5187700:1 gene:ORUFI08G06420 transcript:ORUFI08G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTERQTSAAVCRQRRDGGEARYSGRRGCGGGRVGQQVGGIARATSSSVMRPRCPAQLGPISAVTSRTMRHHYRQPRPKTLPPPAHPCRLGASEAFYFFYEIYM >ORUFI08G06430.1 pep chromosome:OR_W1943:8:5200070:5202822:-1 gene:ORUFI08G06430 transcript:ORUFI08G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRMVSLFDVLRLYQARPRRHHQQHRHRRGQPVHQLVDGEALLGKYIDPQIHRFAVVSTGERCSGRPLIELSEFPGLRSSATTRVPWSMSSSLGVSVFRPLPSLPSTGQSAPASGYRSAFSSATALYLMISMAIVSSTGKFMDGLQCKFNVGWCLILTKLHKSQALKDMAAINFLEHLKMAIAGIIGQHKYTHITLKPSYAMYYVDLVMKFFSQFRKHFYPTIGHPVQLSQFHP >ORUFI08G06430.2 pep chromosome:OR_W1943:8:5200070:5202822:-1 gene:ORUFI08G06430 transcript:ORUFI08G06430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRMVSLFDVLRLYQARPRRHHQQHRHRRGQPVHQLVDGEALLGKYIDPQIHRFAVVSTGERCSGRPLIELSEFPGLRSSATTRVPWSMSSSLGVSVFRPLPSLPSTGQSAPASGYRSAFSSATALYLMISMAIVSSTGKFMDGLQCKFNVGWCLILTKNSSVASFDFLYSLIVLEHLKMAIAGIIGQHKYTHITLKPSYAMYYVDLVMKFFSQFRKHFYPTIGHPVQLSQFHP >ORUFI08G06430.3 pep chromosome:OR_W1943:8:5200070:5202822:-1 gene:ORUFI08G06430 transcript:ORUFI08G06430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRMVSLFDVLRLYQARPRRHHQQHRHRRGQPVHQLVDGEALLGKYIDPQIHRFAVVSTGERCSGRPLIELSEFPGLRSSATTRVPWSMSSSLGVSVFRPLPSLPSTGQSAPASGYRSAFSSATALYLMISMAIVSSTGKFMDGLQCKFNVGWCLILTKSYRGHPVQLSQFHP >ORUFI08G06430.4 pep chromosome:OR_W1943:8:5200070:5202822:-1 gene:ORUFI08G06430 transcript:ORUFI08G06430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRMVSLFDVLRLYQARPRRHHQQHRHRRGQPVHQLVDGEALLGKYIDPQIHRFAVVSTGERCSGRPLIELSEFPGLRSSATTRVPWSMSSSLGVSVFRPLPSLPSTGQSAPASGYRSAFSSATALYLLHKSQALKDMAAINCIIFCPKWFSSRALKDGYCRGHPVQLSQFHP >ORUFI08G06440.1 pep chromosome:OR_W1943:8:5207198:5207593:-1 gene:ORUFI08G06440 transcript:ORUFI08G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAPLVVGGRTTAAVLARGGGPGDSGGIISRPELATLNAEGLRIHCGCPTEEHKLANKD >ORUFI08G06450.1 pep chromosome:OR_W1943:8:5214129:5215411:-1 gene:ORUFI08G06450 transcript:ORUFI08G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATTSTLAVTMFGYPNRNCHLKPPATATLRFWRSAAAAAVATSRREAEAEEADEVRRCLAPARLEVLEQMEPWVEAHVLPLLKPAEEAWQPADLVPDAAALGADGFHAACVELRGRAAGVPDAHLVCLVGNMVTEEALPTYQSMANRFESARDVTGADATAWARWIRGWSAEENRHGDVLNRYMYLSGRLDMRQVERTVHRLIGSGMAMHAPASPYHGFIYVAFQERATAISHGNTARNVRAHGDDALARICGAIASDEKRHEAAYTRVVERLLEADPDTTVRALAYMMRRRITMPAALMDDGRDADLFAHYAAAAQQAGTYTASDYRGILEHLIRRWRVAELEAGLSGEGRRARDYVCALPQKIRRMEEKAHDRAAQMRKRPTAIPFSWIFDKPVDLMLP >ORUFI08G06460.1 pep chromosome:OR_W1943:8:5232674:5234574:-1 gene:ORUFI08G06460 transcript:ORUFI08G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMITSPLVAPARAKGLPSISRRGSSFAIVCSGGKKIKTDKPYGIGGGMSVDIDASGRKSTGKGVYQFVDKYGANVDGYSPIYSPEEWSPTGDTYVGGTTGLLIWAVTLAGLLGGGALLVYNTSALAG >ORUFI08G06470.1 pep chromosome:OR_W1943:8:5237886:5242267:-1 gene:ORUFI08G06470 transcript:ORUFI08G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGFVNEMRHTGKRPQQHRDHDREERRDQKRRPVPRAQENSGNDELVVYRILCPDRVIGNVIGKNGKVINSIRQQTSAKVKVVDPHPGADKRVILVYCFVKHRNLDVNDDDDREPVCTAQDALLKVHDAIVDALSITRDSDDEEANILVPASQSASVIGKSGAVIKRLRSTSKAFIKVSPKDPNEVTHSCAMSFDNFVQITGDARAVRKALFAVSTIIYKCPSKETIPLETSVSDLPPSIIVPSELPVYPSSNFYSPSDAAISSGHPSLSILGSTPHVPELTVSADAHSRLPIYQSVLPVIPAYNTPKCSGELVLRVLCPAGKIGLVIGKGGVTIKSIRKESGARIDVDDSKNDREESIITITSNEATDDAKSAAVEAVLLLQSKINDDNEGKVIGCLIGKGGSIVNDMRSKTKAAIYISKGEKPRKASSSDELVEVFGEVENLRDALVQIVLRLRDDVLRDSVDRQNSEKDGKLTVATTDPLYASSYPLPALLPYRQQITPLGYDHRGDIERGLEVYPHSSLYGYSSLQAIDDGYSAVSSYASKGYGGGLSKVMGKHGTNLDNIIKISGAHIEIIESKSSRHGHVARISGTTEQKQSAENLIKAFIMST >ORUFI08G06470.2 pep chromosome:OR_W1943:8:5237886:5242267:-1 gene:ORUFI08G06470 transcript:ORUFI08G06470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGFVNEMRHTGKRPQQHRDHDREERRDQKRRPVPRAQENSGNDELVVYRILCPDRVIGNVIGKNGKVINSIRQQTSAKVKVVDPHPGADKRVILVYCFVKHRNLDVNDDDDREPVCTAQDALLKVHDAIVDALSITRDSDDEEANILVPASQSASVIGKSGAVIKRLRSTSKAFIKVSPKDPNEVTHSCAMSFDNFVQITGDARAVRKALFAVSTIIYKCPSKETIPLETSVSDLPPSIIVPSELPVYPSSNFYSPSDAAISSGHPSLSILGSTPHVPELTVSADAHSRLPIYQSVLPVIPAYNTPKCSGELVLRVLCPAGKIGLVIGKGGVTIKSIRKESGARIDVDDSKNDREESIITITSNEATDDAKSAAVEAVLLLQSKINDDNEGKMNLRLLVPEVENLRDALVQIVLRLRDDVLRDSVDRQNSEKDGKLTVATTDPLYASSYPLPALLPYRQQITPLGYDHRGDIERGLEVYPHSSLYGYSSLQAIDDGYSAVSSYASKGYGGGLSKVMGKHGTNLDNIIKISGAHIEIIESKSSRHGHVARISGTTEQKQSAENLIKAFIMST >ORUFI08G06480.1 pep chromosome:OR_W1943:8:5249937:5250790:-1 gene:ORUFI08G06480 transcript:ORUFI08G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPFAVVDRNGKPHVRVEVKDGDVRVFSPEEVSAMVLTRMKETAEAYLGEKIIIASGW >ORUFI08G06490.1 pep chromosome:OR_W1943:8:5272744:5276380:1 gene:ORUFI08G06490 transcript:ORUFI08G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHENLITLVGCCAEGSHRILVYNYLENNSLQHTLLGSGRSNIQFNWRARVKITVGVARGLAFLHEEVRPHIIHRDIKASNILLDKDMTPKISDFGLARLLPPNATHVSTRVAGTIGYLAPEYALRGQVTKKSDIYSFGVLILEIVSGRCNYNSRLPYEEQFLLERTWTCYEQGHLEEIIDADIEDDVDVEEACRFLKVGLLCTQDAMKLRPNMINIVQMLTGEKDVNTERITKPSVVGDLGDLRGSSQQRPIDPQSLLMRSFATTDPSTSSDTTTRSSL >ORUFI08G06500.1 pep chromosome:OR_W1943:8:5279656:5282992:-1 gene:ORUFI08G06500 transcript:ORUFI08G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEARMPPGFRFHPRDDELVLDYLLHKLAAGGRGGGVYGGGGGVAIVDVDLNKCEPWDLPDAACVGGKEWYFFSLRDRKYATGHRTNRATRSGYWKATGKDRSITRRSSISSGEPSSSAAAAAVGMRKTLVFYRGRAPKGRKTEWVMHEFRLEPQPLHLKEDWVLCRVFYKTRQTIPSPSSEEAVTLPNELDLPATPSLPPLIDAYIAFDSAPTTTPSMVGSYEQVSCFSGLPALPMKGSISFGDLLAMDTSAEKKAIRVLHNSNTAKLELSPDWGQESGLSQMWNPQ >ORUFI08G06510.1 pep chromosome:OR_W1943:8:5290422:5290760:1 gene:ORUFI08G06510 transcript:ORUFI08G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMMRREAGTAAGWRACAKRVKGGDQGEKGKGWRPALLAVACGGRSRTCKRWAGHLEYSELAESRSPQVKGSGKGEKGSGGGWPAEWGGGRLLGFWRVQLAVARARWCRRG >ORUFI08G06520.1 pep chromosome:OR_W1943:8:5297282:5297833:-1 gene:ORUFI08G06520 transcript:ORUFI08G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATHAQPSGVDGRDEPNVAAANRRNGAHAVLAAASALVGLPPEYAKARAVLLLLLLLLGCATVHLSMGGNRHRHWLRAVAPSPAARVVDRSRARLRWTGFHALLFLAGSRLLLGPRTAAPPSPSQLLGANALLVTGAILVLVSTAYDGVPRSVRPAAAAAARGLDGLLFGGRFAPASDADD >ORUFI08G06530.1 pep chromosome:OR_W1943:8:5309563:5325789:1 gene:ORUFI08G06530 transcript:ORUFI08G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPVKLETEKGETTNARRMNGAVLLQMLLLLLLAARAQQQQQVTQARTDPKEVAALDAILGRWGKTTSPLWKMADEPCYGVAVDDSTDLDGNPKNNPGIKCDCSYINGTVCHITQLNLDQNYLTGYLPTFIGNMTYLRELYIDSCGLSGDLPLTLSKLKNLRALRASDNDFTGKIPDYIGNLSNLEVLKLQGNKIEGPIPASLSKLVKLNSSLNLVWNNFMIDSSNSSILPSGLECLQQDTPCFLGQPEYSSFAVDCGGSRSVKSDDKFIYESDGANLQGASYYVTRPVRWGVSNTGKFYMGEPNRSYIIYTSNQFNKTLDSELFQTARTSPSSLRYYGIGLKNEIFPDGQIWQSMGRRIFDIYIQGERKEQDFDIKKYANEKSNTPVERQYFTDVTNNFMEIHLFWAGKGTCCIPTLGFYGPSISALSVSFSGDPGLNINNTTNGENTSSGRRGLVVGVVVSAVIVGLLAVTGTFVWTQKRKSYGEIKSATDNFSTQNILGRGGYGLVYKGKLLDGRMVAVKQLSATSHQGKREFMTEIATISAVQHRNLVKLHGCCIESDAPLLVYEYMENGSLDRAILGKASLKLDWRTRFEICVGIARGLAYLHEESSTRIVHRDIKTSNVLLDANLNPKISDFGLARHYNDSMTHVSTGVAGTLGYLAPEYAMMGHLTEKADVFAFGIVAMEIIAGRPNFDDSVEDDKKYLLGWAWCLHENKQPLEILDPKLTEFNQEEVMRVINVILLCTMGLPHQRPPMSKVVSILTEDIETVEVEANARPSYIPQSQIRSENDGFIAGYFSGSSIQQSSGTQGSMPSSSSSKPKFHRDTSPLALSPCSSCEID >ORUFI08G06540.1 pep chromosome:OR_W1943:8:5323669:5346028:-1 gene:ORUFI08G06540 transcript:ORUFI08G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLIVLRRERLERRRRRRLGDDSDDSPGLPAPSPVSSLLSLLPGLLTSFLSARPTRAPLGPPPPRPHAVVLKMLPAGATTARLSTMDAGAHLSPIPSTSPRAAVRAERWPAPARRCPSHPLAGARLSAMACRRARCCLLLADEEREREMEEERKSFEKVLTYIPIQVDLNSGSKRSEVGGGMRQRA >ORUFI08G06550.1 pep chromosome:OR_W1943:8:5349000:5350329:-1 gene:ORUFI08G06550 transcript:ORUFI08G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQMHVLLPDAAAERGRRAPLLLRRSHVNKACAIAMTEYVLASVFYAAAADGWIDEESTLAFLAPVARAQEAASVTTLCLPRISCAAILNDAAI >ORUFI08G06560.1 pep chromosome:OR_W1943:8:5358967:5362764:-1 gene:ORUFI08G06560 transcript:ORUFI08G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSGASSLPTGGGEVVMTRGWRRHGFVELDYGVSEPAGYGELAGPEDDEIEVLSSFSEGLVMKMLMKKSV >ORUFI08G06570.1 pep chromosome:OR_W1943:8:5364514:5379044:1 gene:ORUFI08G06570 transcript:ORUFI08G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSSNRSILSSGLNCLQRNTPCLPASPDDSSFAVDSGGTRPIIGSDKSYYEPDDTNLGEASYYVSNSTRWGISNTGKFMEAANFSFIVYTSRQFTNTLDSVLFQTARTSSSSLRYYGIGLKNGFYNVELQFAEIFFPDNTTWTSLGTRIFDIFIQGELREKDFDIKKQTNGKSYTVVLRQYVVRVTENFMEIHLFWAGKGTCCIPRQGSYGPLISALSVSPYGGNNKVDPGPIKNSAGKSKIALVAGVVVCTIVLGLLSTGTFLWRQKRKKMEAEMGELLSVVGRPDVFSYGEIKSATNNFSQDNILGRGGYGLVYKVDIAKMCHGLKTP >ORUFI08G06580.1 pep chromosome:OR_W1943:8:5386294:5390111:-1 gene:ORUFI08G06580 transcript:ORUFI08G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNPQLGSTSCVLSTEDLFSQVRDCFKGSFCFENAGLLCCWLLGFNLIWCFLVEFKESRGKYLGGAMAETAVSASKGVIGALFEKLTKLVEDKCTNLVGMSKNIVFLKDELPTMSALLEKLEDADELDPVVKAWRNQVREMAYDIEDCIDDFVHHVGGGDVEAGFIDKVSHFLRTLRARLETAEHIKDLKIQLIEINERHKRYKFDLDDTPSSSFVAIDPRLPALYSEAANLVGIEGPREQVIKWLTDADQQIMVLPIVGFGGLGKTTLAKEVYQKIGQQFNTTEFVSVSQRPDVTRFLKGIQSKLPIRLSSEYCEVKDIIDNIRAYLQHRRYLFVVDDLWDAPTWNIIRSVFPENGMGCRIIVTTRSEDVARWVCCNHRRFIYRMEPLSDENSRWLFFNRIFGSEDGCPSQFREISAQILKKCSGLPLAIITIASLLANQPAPHKKEYWESIRNSIGTWGSGTNPTLEGMRQILHLSYKDLPRHLRTCFLYLGIYPEDFTIKKDDLIRQWLAEGFVHHFHGGSSEEVAKSYFNELINRSLIQPEETKYGEVVSCRVHDMMLDLILSRCAEDNFICVAYNLEELSGKHEFKVRRLLVDSRVGDSGDTKISGTPAPRLLQLRSLQLFGVSVSLSLLPLSKYIRVLILHLGKTGTGGNERVDVTAIGQLFQLRYLKIVSLHHALVLELPTEIRGLQYLSTLEIDCTNENSLPSDIVHLSRLSHLIVPSGIGLPDGKIGSMKSLCTLQKIEILDIKSAIGLGELTNLKDLELYSKQALSEREIDALVTSLGKLHKLISLRMSKFAECIWYDEDNRLGSLSNPPLNIERLHLGGWRLRRVPRWINGHLQNLCFLVLDVTEMSTDEVRLLGELPSLSELCLSVKRLAPCSASLVFGAGFPALECLEFFCGGDISHLCFEAGVMPNLRKIILFYIDIEWSGTAPVGIEHLLNKQLRYIQLHPVTDTAVDAERISLAFTEAIRAHTSRGGAEPYLRFARIRSGSLIGANSLIRHSFTCHRVSALFLLNHILAILFSKFILCISVLSLLFWLYAYLAEYWKLFHFQND >ORUFI08G06590.1 pep chromosome:OR_W1943:8:5394426:5398170:-1 gene:ORUFI08G06590 transcript:ORUFI08G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPFTSVLKPGEIDGWEREWWVPQIARQGDSPNYKISTSVSISEHDSIAYQLGGPATALSRLTRPRRRAATEGGTARQASDSIESAGRGRGRGGERRRREGRGSGEAEGRGRRPHEAGGICIKKQISRYECGRLSAKERGRATMAGAAGCSPAPPPQPGGSTAGGSCSGGDKLGLRWSRGGSARLHIFIITKGKRKENATNCPNKSIT >ORUFI08G06600.1 pep chromosome:OR_W1943:8:5398377:5398895:-1 gene:ORUFI08G06600 transcript:ORUFI08G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRRRRRLRGGGAVDGVGVVGAEARWTATARLRGAVDSDGGCRMRLRRRQRPRGGGAVDGVGVVRAEAWWMATARWTEEAQWIATAPFFFPSPPRVRAVGWPGAKAATNVAVDEGGDAGDGRGSGGGRDDDGGDRDDDGGDRDGDEEGGDDGDGNDDGGAAERSTVAVRRS >ORUFI08G06610.1 pep chromosome:OR_W1943:8:5399537:5399710:-1 gene:ORUFI08G06610 transcript:ORUFI08G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGTRDVSNSNATDPVQDQRASAVDPVVAIDPISVEAAAMDHAVIIVDEGQTSCEL >ORUFI08G06620.1 pep chromosome:OR_W1943:8:5400930:5403699:-1 gene:ORUFI08G06620 transcript:ORUFI08G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFEAAAEQFRVFIQETKAKAEEAYQLAVLIQKAAAGGGSDVAAALEVCKKAAEATAAGGASSDAAATSEICKAADVMVKEVAARADLIQEGSAEEEAYRPPVLIPVATARDFGGSMRGLTQRQ >ORUFI08G06630.1 pep chromosome:OR_W1943:8:5405438:5431658:1 gene:ORUFI08G06630 transcript:ORUFI08G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRCSGSHGRQLLLLYWLVIACSWVAAAQAQQAPRTDPVEVAALNAILGRWGTNPPKTWNITGGDPCTGTAVDDTNIDDSPVVNPGIKCDCSFNNRTVCHITKLRVYALNVVGTIPAELESLRYLANLNLQQNYLTGPVPSFIGKLTFMQYLGIGSNNFTGELPEELGNLTKLEQLYIDSSGFSGPFPSTLSKLKNLEKLWASDNDFTGKIPDYLGTLTKLVELRFQGNSFQGPIPASLSNLSNLTSLRIGDIVNGSSSLAFISNLTSLNILILRNCKISDNLRTVNFSKLGRLTLLFLGNNSLTGSLPDAKSSSLTNLDFSYNQLTGSFPSWYSTFRTKLFTTRHSMFARFSRINKSMKGSDNTIYEVDAANLGVASYYVTRNTRWGVSNVGIFNDASSRNYVINSSQQFQNTLDSELFQTARMSPSSLRYYGLGLENGNYSVKLQFAEFAYPDSKTWESTGRRIFDIYVQGVLKEKNFDIRKAVGGKSFTAVNKIYNTIVSKNFLEIHLFWAGKGTCCIPTQGYYGPMISALSVTPNFTPTVRNGEPKKKSKAELYNLAGRPNVFSNAELKLATENFSSQNMVGEGGYGQVYKGKLPDGRVIAVKQLSQSSHQGKSEFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRALFGSKSFNLDWPTRFEIVLGVARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTKIAGTLGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRPNTDNSREEDKIYLFEWAWTLYESGQALGIVDPKLKEFNEKEALRVICAALLCTQGSPHQRPSMSRVMAILAGDIEVTEVVTKPSYITEWQLRGGGDTSYATSSYYSGSTTGEFREKRETAPLNSYPGIAGRIDEGRQQRDRQTDRQTE >ORUFI08G06640.1 pep chromosome:OR_W1943:8:5442505:5474992:1 gene:ORUFI08G06640 transcript:ORUFI08G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSGKLVWVLLVMCSSWLIAAVHAQQAATTDPIEVAALEAILGRWGKTTSPLWRMSGEPCRGVPVDGSTDLDGNPKNNPGIKCDCSYNSGTVCHITQLRVYALNVVGQIPAELQNLTYLTYLNLDQNYLSGPIPSFIGQLTALTELHVGFNPLSGSLPKELGNLTNLNLLGISLTNFTGELPEELGNLSKLKYLGISLTNFSGQLPEELGNLTKLRQLYTDSAGLSGPFPSTLSRLKNLKLLRASDNNFTGTIPDFIGSLSNLEDLVLRNCKISGDLGAVDFLKFANLTFLFLGNNSLTGELPDGISPSLTNLDFSYNQLTGSFPSWVTQNNLQLNLVANNFILGSTNIGQLSPQHATSRAKLPPGRYSMFSWFSKIVSDNTMYELDSTNLGDSSYYVTSQTRWGVSNVGKLFQAPNDSKIIHSGEKIQNAVDSELFQTARMSPSSLRYYGLGLENGNYTVLLKFAELGFPDTPTWQSLGRRFFDIYIQGELKEKDFNIRKMAGGKSFTAVYKSYTTTVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFSPTVRNGVPKKKSKAGAIVGIVIAASVLGSAILFGIFMVIKKRRRMAKQQEELYNLVGQPDVFSNAELKLATDNFSSQNILGEGGYGPVYKGVLPDGRVIAVKQLSQSSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLKNGSLDKALFGNGSIKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVFAFGVVALEIVAGRSNTDNSLEESKIYLFEWAWSLYEKEQALGIVDPRLEEFSRDEVYRVIHVALICTQGSPYQRPPMSKVVAMLTGDVEVAEVVTKPNYITEWQFRGGNTSYVTSHSGSTTPELSRQKEIDPLTQSPTITGVSHEHEGRNQEMGGHVIVWILLSVCSWRISAAQAQQPPRTDPVEVAALEAILGRWNKTNSPVWSMSGEPCRGVPVDGVTGLDGNPKNNPGIKCDCSYINGTVCHITQLKVYALNVVGQIPAELQNLTYLNYLDLDQNYLSGPIPSFIGQLTALTELHVGFNALSGPIPKELGNLTNLNLLGISLTNFTGQLPEELGNLTKLQRLYTDSAGLSGPFPSTFSKLKNLKLLVLRNCRISGDLGAVDFSKFTKLAFLFLGNNSLTGGLPDGISPSLKNLCRFFIQPAHWKLSLLGYPEQLAIHSTSRAKLPPERHSMFTRFSRINRSIRGSDNTMYELDFTDLGSSSYYVTSETRWGVSNVGKYFQSPNDSKIIYSNEKIQNAVVSELLQTARMSPSSLRYFGLGLENGNYTVLLQFAELGYPDSPTWKSLGRRVFDIYIQGDLKEKDFDIRKMAGGKSFTAVYKSYTATVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFTPTVRNGVPKRKSKVGAIAGISIGASVVGLAALFGIFMFIKKRRRLAQQQGELYNLVGRPDVFSNAELKLATNNYSSQNILGEGGYGPVYKGMLPDGRVIAVKQLSQSSHQGKNQFVTEVATISSVQHRNLVKLHGKNSLKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLARLYDEKKTHVSTGIAGTFGYLALEYAMRRHLTEKVDVYAFGVVALETVAGRSNTNNSIEESKIYLLEWAWDLYEKEQAQRIVDPRLEDFNKDEVLRVIHVALLCTQGSPNQRPPMSRVMAVLTGDAEVVEMVTKPSYITEWQYRDGNSTNSESTTSEFSRQKEIDPLTMSPTITGSSHDGSSSSVHGFLWLMLVYASCAAVQAQQAARTDPAEVAALNTILGRWGLRASPAWNISGEPCSGVAIDETGVDNNPNINPAIKCDCSFNAGTVCHIIRLRVFSLNVVGQIPEELQNLSYLNNLDLRRNYLTGPLPSFIGNFSAMQYLGISSNNFTGELPAELGNLEKLEQMYIISSGFSGPFPSTFSKLKNLKILWASDNDLTGKIPDYFGSFPNLQDLRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGDFSYNHLSGSFPPWVTGNNLQLNLVANDFILDSTNNSILPSGLNCLQQDTPCFRDYSFAVDCGSNKSTRGSDNTLYETDAQNIGAASYYVRDNARWGVSSVGKFNEASNGSYAIYSPQQFQSALNSELFQTARMSPSSLRYYGIGLENGNYTVSLEFAEFVYPNSLTSNSIGRRVFDIYVQGELKEKNFNIRKMAGGKSLIAVNKRYTATVSKNFLEIHLFWAGKGTCCIPTQGHYGPTISALSVTPNFIPTVRNGVPKKKSKAGTISGVVIGASFFGLAVLVGLFMLLKKRRRTSQRKEELYNMVGRRNVFSNAELKLATENFGSQNILGEGGYGPVYKGILTDGRVVAVKQLSQSSQQGKSQFVTEVATISSVQHRNLVKLYGCCIDSNTPLLVYEYLENGSLDQALFGDGRFNLGWSTRFEIILGIARGLSYLHEEANMRIVHRDIKASNILLDPDLKPKISDFGLAKLYDEKKTHVNTKVAGTFGYLAPEYAMRGHLTEKVDVFSFGVVALETVAGRSNTDYSLVEDKKYLFEWAWGLYEREQALGIVDPRLEEINEEEVLRVIRMSFLCTQGSPHQRPSMSRVVAMLTGDIPVSDVVAKPNYIIELQLRGRNSSHVTTGYSGSTADELSGQRETSPLTPSLEINREIIDDGR >ORUFI08G06640.2 pep chromosome:OR_W1943:8:5442505:5474992:1 gene:ORUFI08G06640 transcript:ORUFI08G06640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSGKLVWVLLVMCSSWLIAAVHAQQAATTDPIEVAALEAILGRWGKTTSPLWRMSGEPCRGVPVDGSTDLDGNPKNNPGIKCDCSYNSGTVCHITQLRVYALNVVGQIPAELQNLTYLTYLNLDQNYLSGPIPSFIGQLTALTELHVGFNPLSGSLPKELGNLTNLNLLGISLTNFTGELPEELGNLSKLKYLGISLTNFSGQLPEELGNLTKLRQLYTDSAGLSGPFPSTLSRLKNLKLLRASDNNFTGTIPDFIGSLSNLEDLVLRNCKISGDLGAVDFLKFANLTFLFLGNNSLTGELPDGISPSLTNLDFSYNQLTGSFPSWVTQNNLQLNLVANNFILGSTNIGQLSPQHATSRAKLPPGRYSMFSWFSKIVSDNTMYELDSTNLGDSSYYVTSQTRWGVSNVGKLFQAPNDSKIIHSGEKIQNAVDSELFQTARMSPSSLRYYGLGLENGNYTVLLKFAELGFPDTPTWQSLGRRFFDIYIQGELKEKDFNIRKMAGGKSFTAVYKSYTTTVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFSPTVRNGVPKKKSKAGAIVGIVIAASVLGSAILFGIFMVIKKRRRMAKQQEELYNLVGQPDVFSNAELKLATDNFSSQNILGEGGYGPVYKGVLPDGRVIAVKQLSQSSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLKNGSLDKALFGNGSIKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVFAFGVVALEIVAGRSNTDNSLEESKIYLFEWAWSLYEKEQALGIVDPRLEEFSRDEVYRVIHVALICTQGSPYQRPPMSKVVAMLTGDVEVAEVVTKPNYITEWQFRGGNTSYVTSHSGSTTPELSRQKEIDPLTQSPTITGVSHEHEGRNQEMGGHVIVWILLSVCSWRISAAQAQQPPRTDPVEVAALEAILGRWNKTNSPVWSMSGEPCRGVPVDGVTGLDGNPKNNPGIKCDCSYINGTVCHITQLKVYALNVVGQIPAELQNLTYLNYLDLDQNYLSGPIPSFIGQLTALTELHVGFNALSGPIPKELGNLTNLNLLGISLTNFTGQLPEELGNLTKLQRLYTDSAGLSGPFPSTFSKLKNLKLLVLRNCRISGDLGAVDFSKFTKLAFLYCLFKYAVLWHRDLSFNNISGKRFFIQPAHWKLSLLGYPEQLAIHSTSRAKLPPERHSMFTRFSRINRSIRGSDNTMYELDFTDLGSSSYYVTSETRWGVSNVGKYFQSPNDSKIIYSNEKIQNAVVSELLQTARMSPSSLRYFGLGLENGNYTVLLQFAELGYPDSPTWKSLGRRVFDIYIQGDLKEKDFDIRKMAGGKSFTAVYKSYTATVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFTPTVRNGVPKRKSKVGAIAGISIGASVVGLAALFGIFMFIKKRRRLAQQQGELYNLVGRPDVFSNAELKLATNNYSSQNILGEGGYGPVYKGMLPDGRVIAVKQLSQSSHQGKNQFVTEVATISSVQHRNLVKLHGKNSLKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLARLYDEKKTHVSTGIAGTFGYLALEYAMRRHLTEKVDVYAFGVVALETVAGRSNTNNSIEESKIYLLEWAWDLYEKEQAQRIVDPRLEDFNKDEVLRVIHVALLCTQGSPNQRPPMSRVMAVLTGDAEVVEMVTKPSYITEWQYRDGNSTNSESTTSEFSRQKEIDPLTMSPTITGSSHDGSSSSVHGFLWLMLVYASCAAVQAQQAARTDPAEVAALNTILGRWGLRASPAWNISGEPCSGVAIDETGVDNNPNINPAIKCDCSFNAGTVCHIIRLRVFSLNVVGQIPEELQNLSYLNNLDLRRNYLTGPLPSFIGNFSAMQYLGISSNNFTGELPAELGNLEKLEQMYIISSGFSGPFPSTFSKLKNLKILWASDNDLTGKIPDYFGSFPNLQDLRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGDFSYNHLSGSFPPWVTGNNLQLNLVANDFILDSTNNSILPSGLNCLQQDTPCFRDYSFAVDCGSNKSTRGSDNTLYETDAQNIGAASYYVRDNARWGVSSVGKFNEASNGSYAIYSPQQFQSALNSELFQTARMSPSSLRYYGIGLENGNYTVSLEFAEFVYPNSLTSNSIGRRVFDIYVQGELKEKNFNIRKMAGGKSLIAVNKRYTATVSKNFLEIHLFWAGKGTCCIPTQGHYGPTISALSVTPNFIPTVRNGVPKKKSKAGTISGVVIGASFFGLAVLVGLFMLLKKRRRTSQRKEELYNMVGRRNVFSNAELKLATENFGSQNILGEGGYGPVYKGILTDGRVVAVKQLSQSSQQGKSQFVTEVATISSVQHRNLVKLYGCCIDSNTPLLVYEYLENGSLDQALFGDGRFNLGWSTRFEIILGIARGLSYLHEEANMRIVHRDIKASNILLDPDLKPKISDFGLAKLYDEKKTHVNTKVAGTFGYLAPEYAMRGHLTEKVDVFSFGVVALETVAGRSNTDYSLVEDKKYLFEWAWGLYEREQALGIVDPRLEEINEEEVLRVIRMSFLCTQGSPHQRPSMSRVVAMLTGDIPVSDVVAKPNYIIELQLRGRNSSHVTTGYSGSTADELSGQRETSPLTPSLEINREIIDDGR >ORUFI08G06640.3 pep chromosome:OR_W1943:8:5442505:5474992:1 gene:ORUFI08G06640 transcript:ORUFI08G06640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSGKLVWVLLVMCSSWLIAAVHAQQAATTDPIEVAALEAILGRWGKTTSPLWRMSGEPCRGVPVDGSTDLDGNPKNNPGIKCDCSYNSGTVCHITQLRVYALNVVGQIPAELQNLTYLTYLNLDQNYLSGPIPSFIGQLTALTELHVGFNPLSGSLPKELGNLTNLNLLGISLTNFTGELPEELGNLSKLKYLGISLTNFSGQLPEELGNLTKLRQLYTDSAGLSGPFPSTLSRLKNLKLLRASDNNFTGTIPDFIGSLSNLEDLVLRNCKISGDLGAVDFLKFANLTFLFLGNNSLTGELPDGISPSLTNLDFSYNQLTGSFPSWVTQNNLQLNLVANNFILGSTNIGQLSPQHATSRAKLPPGRYSMFSWFSKIVSDNTMYELDSTNLGDSSYYVTSQTRWGVSNVGKLFQAPNDSKIIHSGEKIQNAVDSELFQTARMSPSSLRYYGLGLENGNYTVLLKFAELGFPDTPTWQSLGRRFFDIYIQGELKEKDFNIRKMAGGKSFTAVYKSYTTTVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFSPTVRNGVPKKKSKAGAIVGIVIAASVLGSAILFGIFMVIKKRRRMAKQQEELYNLVGQPDVFSNAELKLATDNFSSQNILGEGGYGPVYKGVLPDGRVIAVKQLSQSSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLKNGSLDKALFGNGSIKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVFAFGVVALEIVAGRSNTDNSLEESKIYLFEWAWSLYEKEQALGIVDPRLEEFSRDEVYRVIHVALICTQGSPYQRPPMSKVVAMLTGDVEVAEVVTKPNYITEWQFRGGNTSYVTSHSGSTTPELSRQKEIDPLTQSPTITGVSHEHEGRNQEMGGHVIVWILLSVCSWRISAAQAQQPPRTDPVEVAALEAILGRWNKTNSPVWSMSGEPCRGVPVDGVTGLDGNPKNNPGIKCDCSYINGTVCHITQLKVYALNVVGQIPAELQNLTYLNYLDLDQNYLSGPIPSFIGQLTALTELHVGFNALSGPIPKELGNLTNLNLLGISLTNFTGQLPEELGNLTKLQRLYTDSAGLSGPFPSTFSKLKNLKLLVLRNCRISGDLGAVDFSKFTKLAFLDFSYNQLTGSFPSWATQNNLQLNLVANNFDLGSTNNGILPPGLNCLQKDTPCLRDYSFAVDCGSNRSIRGSDNTMYELDFTDLGSSSYYVTSETRWGVSNVGKYFQSPNDSKIIYSNEKIQNAVVSELLQTARMSPSSLRYFGLGLENGNYTVLLQFAELGYPDSPTWKSLGRRVFDIYIQGDLKEKDFDIRKMAGGKSFTAVYKSYTATVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFTPTVRNGVPKRKSKVGAIAGISIGASVVGLAALFGIFMFIKKRRRLAQQQGELYNLVGRPDVFSNAELKLATNNYSSQNILGEGGYGPVYKGMLPDGRVIAVKQLSQSSHQGKNQFVTEVATISSVQHRNLVKLHGKNSLKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLARLYDEKKTHVSTGIAGTFGYLALEYAMRRHLTEKVDVYAFGVVALETVAGRSNTNNSIEESKIYLLEWAWDLYEKEQAQRIVDPRLEDFNKDEVLRVIHVALLCTQGSPNQRPPMSRVMAVLTGDAEVVEMVTKPSYITEWQYRDGNSTNSESTTSEFSRQKEIDPLTMSPTITGSSHDGSSSSVHGFLWLMLVYASCAAVQAQQAARTDPAEVAALNTILGRWGLRASPAWNISGEPCSGVAIDETGVDNNPNINPAIKCDCSFNAGTVCHIIRLRVFSLNVVGQIPEELQNLSYLNNLDLRRNYLTGPLPSFIGNFSAMQYLGISSNNFTGELPAELGNLEKLEQMYIISSGFSGPFPSTFSKLKNLKILWASDNDLTGKIPDYFGSFPNLQDLRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGDFSYNHLSGSFPPWVTGNNLQLNLVANDFILDSTNNSILPSGLNCLQQDTPCFRDYSFAVDCGSNKSTRGSDNTLYETDAQNIGAASYYVRDNARWGVSSVGKFNEASNGSYAIYSPQQFQSALNSELFQTARMSPSSLRYYGIGLENGNYTVSLEFAEFVYPNSLTSNSIGRRVFDIYVQGELKEKNFNIRKMAGGKSLIAVNKRYTATVSKNFLEIHLFWAGKGTCCIPTQGHYGPTISALSVTPNFIPTVRNGVPKKKSKAGTISGVVIGASFFGLAVLVGLFMLLKKRRRTSQRKEELYNMVGRRNVFSNAELKLATENFGSQNILGEGGYGPVYKGILTDGRVVAVKQLSQSSQQGKSQFVTEVATISSVQHRNLVKLYGCCIDSNTPLLVYEYLENGSLDQALFGDGRFNLGWSTRFEIILGIARGLSYLHEEANMRIVHRDIKASNILLDPDLKPKISDFGLAKLYDEKKTHVNTKVAGTFGYLAPEYAMRGHLTEKVDVFSFGVVALETVAGRSNTDYSLVEDKKYLFEWAWGLYEREQALGIVDPRLEEINEEEVLRVIRMSFLCTQGSPHQRPSMSRVVAMLTGDIPVSDVVAKPNYIIELQLRGRNSSHVTTGYSGSTADELSGQRETSPLTPSLEINREIIDDGR >ORUFI08G06650.1 pep chromosome:OR_W1943:8:5502774:5506203:1 gene:ORUFI08G06650 transcript:ORUFI08G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLPLRITSGRRRRRFVAGLAHSEMAEMGAAAAAAVPVIPEDVVIEILARVPDPTSLFRCAAACKRWLRLIADQAFLRRRWPPGTRVPSLLGFFAQRHQIQANARRKLTKLFPTRAPPLVAAPSRGTGDPPVSTGRRRRLFLTDFVRNAAGAGLFDQAKPLAARGGLLLVRVLPSPSPAPQNALHLCVCNLLTGSHDVLPPLPMDCFEKDGARGYAILTAADHRVSRNPSGGYNTFFQVLLLSIHHGNHQVYLHRFSSAAASAAAAAAIFEISWSTPRNCSEQIRGYVWGPSGNRVAAVSHGAAHWLFSWGEESLYTLDVSIDTDNIGATNIPIDPPPTVFHQSWLYWKILLKLEEVPTLEQTTKAIQEAIDALRPS >ORUFI08G06660.1 pep chromosome:OR_W1943:8:5510292:5512396:-1 gene:ORUFI08G06660 transcript:ORUFI08G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASKATAKEEELEGLAAVDFEAASHRSADRSSPKSQSRSLARRPSPRLPLPRTTAETLDPRRIRPRRLDRRTHSSPARLTLLPWLDSRPSWADLDQQRPGPLLSPIPTNPHSPPASSAASGGALPPPDTLSSFLVMAKQAYATSSLVIGYALCSSLLAIINKYAITKFSYPGLLTALQYLTSVAGVWTLGKFGLLYHDPFNLQTAKKFAPAALVFYLAIFTNTHLLKHANVDTFIVFRSLTPLLVAIADTAFRKQPCPSKLTFVSLVTILGGAVGYVMTDSGFSLTAYSWAVAYLVTITTEMVYIKHMVTKLGLNTWGFVLYNNLLSLIIAPVFWFLTGEHLSVFRAIESRGQSWFELDAFVAVSLSCVFGLLISFFGFAARKAISATAFYSNRTAFTVTGVVNKFLTVAINVMIWDKHASSFGLVCLLFTLAGGVLYQQSVTSKGNSSVQREAVAKQGKADNDTAELDEEKQSLVSSPKDSNV >ORUFI08G06670.1 pep chromosome:OR_W1943:8:5531126:5532100:-1 gene:ORUFI08G06670 transcript:ORUFI08G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKFGSTQARCIPWPRDNLMHPSGQALHSKVFKHNSIDNALQSVVGHKRKVHDLTPKENIGREDTHSRAKKIGKGRPDSPYANFTNSQVYMHAHVLV >ORUFI08G06680.1 pep chromosome:OR_W1943:8:5543872:5546123:-1 gene:ORUFI08G06680 transcript:ORUFI08G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKTQSQGSASVPKNPAMSSCRKKKSDDVTFLEDLKDHIDEFIHASMDEHKHCFKNTIQKMFGMSKVVAERSAEAKEAEVESALPLQTSVSQ >ORUFI08G06690.1 pep chromosome:OR_W1943:8:5548382:5549105:1 gene:ORUFI08G06690 transcript:ORUFI08G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARRTTAWQRMAARRRRRAARRRMGKERLEDELFHPHLPLREHPHRWRSRPRPSATSSPAFSLSTTIAAVVVDHLRGGRPRDPPPSPSSTSSVRESSGATTAVTVLVVVIGKPHRLHPDLASSRPSLLAAATPASLRNLLADFLSLHHPVVVDHLRGERPRDPPPSPSLNSSARESSRAATVAVLVVVVGEPHRLHIDLASSRPSSPAAAAPAPASTAVLGSSCPTQRPPHEREKGG >ORUFI08G06700.1 pep chromosome:OR_W1943:8:5553437:5555947:-1 gene:ORUFI08G06700 transcript:ORUFI08G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQKPAKRLGGMAEALSIAADLGFPAPPAQEDQGSSDKSDDLVRVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVSEMEKKCESLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTASVGDFQWSQNFRESPAVWGEMLRPIPAALASCTRFFEAMSAMRESFSTLQKLRVGHSSLSMTPGGSSDDSKFLTPPQWREGSMLDSWKQVDETIPESDGLDAINQRRLSWPSSIKREQ >ORUFI08G06710.1 pep chromosome:OR_W1943:8:5562234:5564057:-1 gene:ORUFI08G06710 transcript:ORUFI08G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKHDDDEVQKIESCFVQPSKMLPRQALWLSPLDIIKASRGHTPLVHFYQHGDDAAADFFDVGGLKKAMAKALVAFYPFAGRLTTDADGRPSIDCNNEGVLFVVARSEQLTVDAFSNLKSLPELRRLFIPCIEPPSTVLAIQVGSAVHHSAVDGHSMFHFLQAWSYLCREGDDAAEAVMDPPCHNRALLQPRSPPVVHPDALAMFSPKTNLHERPPGRPVSTKIFAISDDEVAALKAMCGGASTFTAVSALVWRCACAARRLPPDARSKLSFPVNIRRRVRPTLPDRYFGNAIVTAFVATAVRNIVASGSSSATETTTASLAKVAARISGVMRRLAADNKELLRSAVDYHEMAATATSRWRRPDRGSLPETELRVISWLHLPLYDMDFGWGFPRMMSRAESVRGGFVHVMSGRPADGGGVRVLACLEAENMDEFERLLSAKFTYARI >ORUFI08G06720.1 pep chromosome:OR_W1943:8:5568264:5581698:1 gene:ORUFI08G06720 transcript:ORUFI08G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAGIVVSASMGVMKPLLAKLTTLMGDEYKKLKGVRKQVSFLKDELTTMIDDLWKREAWDIISCAFPENANGSRVIVTTRVEDVACWACSNHQYIHRMKPLSSEDSKRLFFKRVIRSKDGCPSQYEEVSAEILKKCGGLPLAIITIASLLACEQARIMQEWESIRNSLGTPFGTNPSLEGMRQILNLSYKNLPLHLRTCLLYLAKYPEDSSIDRDDVVRQWIAEGFVRSSPGQDLEDVGKSYFNELINRGLIQPEQNNYGVVMGCRVHDMMLDLILSRCKEDNFISVAYSCEEYMLIAGQHGYNYNKVHRLSVQSMDSESDCTILMEGGVIPARLAHVRSVSLFGKHPRELPLLLPLFKYLRVLHITFYLLDQADLTAIRHLVELPSRICGLVHLETLEIVAYHAVSFPSDIVSLACLSHLRLPRGGLPNGIPKIKSLRTLEMFHPPDMDIKALAELTNLRKLSLFFDLEATMGTASNLNALGSSIGKLQNLRYLEFTVPTVKFDDDGLLGSLSAFPCSIEILKLETWRFSRIPTWINADLCHLHLLELLVSETCTDEVGVVGELPSLIHLHLQVELKMKGTVVFGASGGSFPALESLILTCGGDVASQLGFQAGVMPKLQMLDVRFERCDSGIDTPPVGMEHLLSLQHIRVEINVELEDRKIYPRDTAEHVLREAAQAHPNKPAFNFYFFSANLREGMASGMVVSASMGVMKPLLTKLTVLMGDEYKKLKGVRKQYKKLKGVRKQVSFLKDELTTMSAFLEKLAFMDDDGGELDPLVKDWRNHVREMTYDIEDCIDDFMHQLGGGADASGFLQKTARRLKTLRVRHQIANQIDEIKARQQKLMVVSIVGFGGLGKTTLAKQVYDKIGQQFDCKAFVSVSQRPDIARLLRTIQSKFNIQESSQAREVQDIIDDIRYYLGNKRYLIVVDDLWKQEAWNIIHCAFPENSNGSRVIVTTRVEDVACWACSNHRYIYKMKALNSDDSKKLFFNRVFGFEDGCPSQYEKVSAEILKKCGDLPLAIITIASLLACRPARIMQEWERIRNSLGTPFGTNPSLEGMRQILNLSYKNLPLHLRTCLLYLGNYPEDYEIRRDDVVRQWIAEGFVRSSPGQDLEDVGRSYFNELINRGLIQPEQNRYWEVTGCRVHDMMLDLILSKCKEDNFISVVYSGEDYVSIAGQHGYSSNKVRRLSLQSKAAELDCTVLIEGKATPAHLAQVRSISLFEKSTSGLPLLLRFKYLRVLHIMLGDGCERADLTAVSKLLQLRCLIFLGYGCKVELPSRICGLVHLETLEIACNVITSIPLDIVSLPCLSDLRLPWGVQLNCLPNSKSLRTLGICPPLDMDFFKALGEQTNLRDLRLYFDGKESSTASNLDSLGSSVGKLQNLRYLQIFFQFDISGGSLMGSLSRFPRSIEILHLEYCCLSRVPRWINVALVNLRRLHLSVSEASTDEVSILGELPSLVFLNLNLKLKSKGTIMFGGGEGSFPALEDLLLRCVGDSRLCFLAGVMPKLQRLVLRFWYRELGIDTAPVGMEHLSSLQLIDVDIDVLQEKKNVFPRDAVEHVFRQAAQAHPNQPAFIFHFW >ORUFI08G06720.2 pep chromosome:OR_W1943:8:5568264:5581698:1 gene:ORUFI08G06720 transcript:ORUFI08G06720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAGIVVSASMGVMKPLLAKLTTLMGDEYKKLKGVRKQVSFLKDELTTMIDDLWKREAWDIISCAFPENANGSRVIVTTRVEDVACWACSNHQYIHRMKPLSSEDSKRLFFKRVIRSKDGCPSQYEEVSAEILKKCGGLPLAIITIASLLACEQARIMQEWESIRNSLGTPFGTNPSLEGMRQILNLSYKNLPLHLRTCLLYLAKYPEDSSIDRDDVVRQWIAEGFVRSSPGQDLEDVGKSYFNELINRGLIQPEQNNYGVVMGCRVHDMMLDLILSRCKEDNFISVAYSCEEYMLIAGQHGYNYNKVHRLSVQSMDSESDCTILMEGGVIPARLAHVRSVSLFGKHPRELPLLLPLFKYLRVLHITFYLLDQADLTAIRHLVELPSRICGLVHLETLEIVAYHAVSFPSDIVSLACLSHLRLPRGGLPNGIPKIKSLRTLEMFHPPDMDIKALAELTNLRKLSLFFDLEATMGTASNLNALGSSIGKLQNLRYLEFTVPTVKFDDDGLLGSLSAFPCSIEILKLETWRFSRIPTWINADLCHLHLLELLVSETCTDEVGVVGELPSLIHLHLQVELKMKGTVVFGASGGSFPALESLILTCGGDVASQLGFQAGVMPKLQMLDVRFERCDSGIDTPPVGMEHLLSLQHIRVEINVELEDRKIYPRDTAEHVLREAAQAHPNKPAFNFYFFSANLREGMASGMVVSASMGVMKPLLTKLTVLMGDEYKKLKGVRKQYKKLKGVRKQVSFLKDELTTMSAFLEKLAFMDDDGGELDPLVKDWRNHVREMTYDIEDCIDDFMHQLGGGADASGFLQKTARRLKTLRVRHQIANQIDEIKARVIEANQRRRRYELDGCSNSRASESVAIDPRLTALYQKADNLVGIDGPTEELIQLLTDAGQQKLMVVSIVGFGGLGKTTLAKQVYDKIGQQFDCKAFVSVSQRPDIARLLRTIQSKFNIQESSQAREVQDIIDDIRYYLGNKRYLIVVDDLWKQEAWNIIHCAFPENSNGSRVIVTTRVEDVACWACSNHRYIYKMKALNSDDSKKLFFNRVFGFEDGCPSQYEKVSAEILKKCGDLPLAIITIASLLACRPARIMQEWERIRNSLGTPFGTNPSLEGMRQILNLSYKNLPLHLRTCLLYLGNYPEDYEIRRDDVVRQWIAEGFVRSSPGQDLEDVGRSYFNELINRGLIQPEQNRYWEVTGCRVHDMMLDLILSKCKEDNFISVVYSGEDYVSIAGQHGYSSNKVRRLSLQSKAAELDCTVLIEGKATPAHLAQVRSISLFEKSTSGLPLLLRFKYLRVLHIMLGDGCERADLTAVSKLLQLRCLIFLGYGCKVELPSRICGLVHLETLEIACNVITSIPLDIVSLPCLSDLRLPWGVQLNCLPNSKSLRTLGICPPLDMDFFKALGEQTNLRDLRLYFDGKESSTASNLDSLGSSVGKLQNLRYLQIFFQFDISGGSLMGSLSRFPRSIEILHLEYCCLSRVPRWINVALVNLRRLHLSVSEASTDEVSILGELPSLVFLNLNLKLKSKGTIMFGGGEGSFPALEDLLLRCVGDSRLCFLAGVMPKLQRLVLRFWYRELGIDTAPVGMEHLSSLQLIDVDIDVLQEKKNVFPRDAVEHVFRQAAQAHPNQPAFIFHFW >ORUFI08G06730.1 pep chromosome:OR_W1943:8:5582476:5584436:-1 gene:ORUFI08G06730 transcript:ORUFI08G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEYTPADAEADLLLPPPAGSEPEPAPEARPPPADTLGVAYAIFFTLGTGFLLPWNAYITAVDYFSYLYPGAPVDRVFSVSYMLSCFLPLILIVLCFPKSSAPARINTGMSLFTVALLVVPVMDAVYVKGVPGLYGAFDVTVAATVLCGVADALVQGGVIGFAGELPARYMQAVVAGTATSGVLVSVLRVITKGVYPQDANGLRKSAILYFVVSIVVMIICIVCYNVADKLPVVIYYKNIKKRAQKAEEDGGMSGSAWRSTLWSIVGRVKWHGIGIALIYAITLSIFPGYITEDVHSEALKDWYPIMLISAYNVFDLVGKSLPAFYFLENANIAVAGSFARLLFYPLFYGCLHGPSFFRTEIPVTILTCLLGLTNGYLTCILMTLAPKAVPIQHSETAGIVIVLFLVAGLVVGSFVAWFWVI >ORUFI08G06740.1 pep chromosome:OR_W1943:8:5589092:5590261:1 gene:ORUFI08G06740 transcript:ORUFI08G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDALRAADLPGRGRGLLAARNIREGEVILTEQPLLLYPASLASLPSFCSACFRSLSAAASPCPSCRAAGFCSPSCAAASHPRLLCAALSGGGGNLASAAEPHQEPLLFLLSAYSLPEPSLRVLLSLSSAATPPPTDQDPASLHAMVAALVPPQMLPPGFSPDLTAALLSKDRTNSFSIMEPYRPEVPQPLRKARAYAVYPRASLLNHDCLPNACHFDYADRPGPGNTDIVVRALHDITEGREVCLSYFAANWQYKDRQQRLLEDYGFRCECERCQVESKWKQDDDSDGGGDGDDTMEEEEEDGGEGGDDGMEQEEGDGGSDSDDDFPHSYFFVRYLCNHGECYGMLAPLPPLPNGEPSHVFECNVCGNLKNEDEIDAPDGGDSSMAD >ORUFI08G06750.1 pep chromosome:OR_W1943:8:5592866:5595432:1 gene:ORUFI08G06750 transcript:ORUFI08G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVLRVGMSCEGCVGAVKRVLGKMQGVESFDVDIKEQKVTVKGNVTPDAVLQTVSKTGKKTSFWDAEPAPVEATAASS >ORUFI08G06760.1 pep chromosome:OR_W1943:8:5595928:5597166:-1 gene:ORUFI08G06760 transcript:ORUFI08G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQYQLIKRVELKVSVNCCDGTEARCSKPSTSKLCVFDALIVGDGMHAGVLRTEVHPTAGRVAVVGDVDAGRLVKRLAKVGKIAEVIVVAQPSPEVEKRRRHDGGGGKKEASPDNGKMGGGTAPKHGDGGADDKRGENGGGGSGASSARIHGGGDDDVKAAMCCYHRAEPPAMAVPVLQPPYYGFGGCYHGTPPPAMAPCRRGRIPVVRPQPTRFADECCMYGDDDTAGCHVM >ORUFI08G06770.1 pep chromosome:OR_W1943:8:5601460:5603629:1 gene:ORUFI08G06770 transcript:ORUFI08G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKLDMHYIYLKSSLCLISRRTKLTIPKIDINFPLYRASLISFASYELPDSSSCCHRVPLPGARSRAAAAAGVPCLRGAAAGRLPDQGRPAGAVPRRRRRRRDHQPRPPPPPPPRRRILERMLRCTLLLLPPRHVLLMSKHGGSSIMHAW >ORUFI08G06780.1 pep chromosome:OR_W1943:8:5603553:5608961:-1 gene:ORUFI08G06780 transcript:ORUFI08G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRSLLLLRSNAASKASSIVKHVAATGCLPEYSSEAPARYFSSESSLQVDSTEENGFKGHGMLAPFTAGWQSTDLHPLGSYVYDINGKKYIDALAGLWSTALGGNEPRLIKAATDQLNKLPFYHSFWNRTTKPSLDLANEILSMFTAREMGKIFFTNSGSEANDSQVKLVWYYNNALGRPNKKKFIARSKSYHGSTLVSASLSGLPALHQKFDLPAPFVLHTDCPHYWRFHLPDETEEEFATRLATNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKIQAVLKKYDILLIADEVITAFGRLGTMFGCDMYDIKPDLVSIAKALSSAYMPIGAILVSPEITDVIYSQSNKLGSFAHGFTYSGHPVSCAVAIEALKIYKERNIIEHVQKIAPRFQEGIKAFSGSPIVGEIRGLGLILGTEFVDNKSPNDPFPAEWGVGSLFGAECEKRGMLIRVAGDNIMLSPPLIMTPDEVEEIISKYGDALKATEERIAELKAKRG >ORUFI08G06790.1 pep chromosome:OR_W1943:8:5635978:5641878:1 gene:ORUFI08G06790 transcript:ORUFI08G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISDSETTNHGSIWDLDQNLDQPMDEEASRLKNMYTEKKFSSILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGVDDDEDVIGALSLIIYTLTLIPLMKYVFVVLRANDNGQGGTFALYSLLCRHAKVSTIPNQHKTDEELTTYSRQTYEENSLAAKIKRWLEGHVYKKNCLLILVLIGTCTAIGDGILTPAISVLSASGGIRVQNQKMSTDVVVVVAVIILIGLFSMQHYGTDKVGWLFAPIVLLWFILIGTIGALNIHKYNSSVLKAYNPVYIYRYFRRGKSESWTSLGGIMLSITGTEALYADLCHFPVLAIQIAFTLVVFPCLLLAYTGQAAYIISNKDHVVDAFYRSIPDTIYWPVFIIATLAAIVASQATISATYSIIKQALALGCFPRVSVVHTSKKFLGQIYIPDINWVLMILCIAVTAGFKNQSQIGNAYGTAVVIVMLVTTFLMVPIMLLVWKSHWILVVIFIVLSLMVELPYFTACINKVDQGGWVPLVVATTCFIIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPTEERFIVKRIGPKNFHMFRCVARYGYKDIHKRDDDFEKMLLDRLLLFVRLESMMDDYSDSEDFTMMEEKTQGSSNALLLTGKAGSNTMCSTGDLSYSSQDSIVPAKSPIRGNSLTRYSSQTFGDELEFLNRCKDAGVVHILGNTVVHARPDSGIIKKVAVNYVFAFLRKICRENSVIFNVPHESLLNVGQIYYI >ORUFI08G06800.1 pep chromosome:OR_W1943:8:5642293:5647762:-1 gene:ORUFI08G06800 transcript:ORUFI08G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGLNDKLRHSGIIDIHFRREGSNPVYFAVLVKYEDLDGDVVQVDLMESKSAYGGAIGVWTPMRESWGSASAATPARPFVTNNVIPANWSRIAPSLPPSPLPRRPRSLRARPLPRLSRLGLFLCPRLPDATLAALTAAPSISAVDISFVWGFGGVLAMEMILQQLHRSTSLEMDPHSHKKAHEGLIGDNPDAYAVTTYQPVLMVEPSAAAAFPPAPQVAPAYPVNPMQLPEHQQHAIQQVQQLQQQQKEQLQAFWADQMAEVEQMTEFKLPNLPLARIKKIMKADEDVKMIAGEAPALFAKACEMFILDMTLRSWQHTEEGRRRTLQRSDVEAVIKKTDIFDFLVDIITDDKMKDDGMGSQAASMVSPYTSGGMGFSFDLYPNQHHLAYMWPPQEQQEQWPPQEQQEQKQKQDSDGGGQDE >ORUFI08G06810.1 pep chromosome:OR_W1943:8:5648898:5654307:1 gene:ORUFI08G06810 transcript:ORUFI08G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNLASSPAAAAKVCAGVRASPRHLLPRALDHQSRRVLSLSSSSSAGRAAGVRAMAAAEAGASTATQAKSSGVKQALISLSDKTDLAYLGNGLQALGFSIISTGGTASSLEAAGVNVTKVEQITNFPEMLDGRVKTLHPSVHGGILARRDQEHHLKALNEHGIGTFDVVVVNLYPFYNKVTSGVISFEDGIENIDIGGPTMIRAAAKNHKDVLVVVDHEDYPALLEYLQGKQDDQQFRKMLAWKAFQHVASYDSAVSEWLWKQSNKGDVFPPNFTVPLSLKSTLRYGENPHQKAAFYGDKSLSVVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFESPTCVVVKHTNPCGVASRQDILEAYRLAVKGDPVSAFGGIVAFNTTIDEDLAKEIREFRSPTDGQTRMFYEIVVAPGYTEKGLEILKGKSKTLRILEAKRSGKGMLSLRQVSGGWLAQESDDLTPEDITFTTVSERAPQDSELSDAKFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRLESLRIAFRKAGEEAKGAALASDAFFPFAWNDAVEEACQNGIGVIAEPSGSMRDGDAVDCCNKYGVSLLFTGVRHFRH >ORUFI08G06820.1 pep chromosome:OR_W1943:8:5656486:5664384:1 gene:ORUFI08G06820 transcript:ORUFI08G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNSSCSRTRGGLVRLNNTIESNEVLFSKTRSGLVRINSTVESNEVSLSKTRSGLIRGNTNVRSNEGSYSTTRSELAGANGVVDSNVGDLCSKTRSGLVRGNTTVAASNGSYSATPSRFVRGNIIVGSKEGSCSRTRSGLVRESIQMDYSDSSCSRTRSGLVRRKPFMVQVKDEAAMNGLSDDCLKEDSPGKNEPNHKSNLVENSDKPVMKGPDGWWKEGMLTKNGSKYRSDPVQTKGEACINGLPGGQWKENSAEKNVSNHKNELVQRKDDLIVDGLPDGWWKEDRPRKNGSNLKTDPYYIDPVSGYEFRSLKDVHRFLKSGDIYKCIVRPRKRTIQDPYTIENQSHTATLLQHTRPGTADKAIQCELLTSEGLMLPWEEQLSPYRELNNPKKMPELEGMIASQKHAYKVDAPREKKSFPRKRKQPSAGGKPKKHKIVPAKMVAMPVRASPRLASLKITHDLNTEPEDEPIGVNLVNEVQSTKENPTDKSRLNQAGISTEMTSVQERADNQLLSSQADTGNHIRVMEGDTTDSSQLRQADTVNQILTDQENAVSQLQSSHTDYFVQIRPRQEYVTNYSQSQLSRAATVNQIETNQRNMSGQLQSSQADSLDQIQTEQESSASRLQSSQADSFNEIQTIQEYITEQSESQVSHANQIQIDLGNTVDLLPSSQADAIFQMETTQEYITNQSQSSQADIVDHMQVNQDNTANQFHLRQADTVNRIQTMQESTTDQPQLIQALTVNQIQVNGENTANHVQSRQADTVNHIQVIQDNTANQFQFRRADTVNKIQTMQDSTTDQPRFIQALTVNQIQANGENTANYLQPNYAENNIMQVGFSLTPEPEEAPATSFWRNVANQELPVSMQTDGKPVVSSALNVEYQNVPATAPAQPTRAPHPEAASYPSGLAVPSLFGNSWSDPCIEFAFKTLRGDIPVLDDTSAVEQYFPQHDLNKPPSPDYSASPSCFSSSFDNTTNFTQVDHASLPAPNPSDKLYNGGWFPPK >ORUFI08G06820.2 pep chromosome:OR_W1943:8:5656486:5664384:1 gene:ORUFI08G06820 transcript:ORUFI08G06820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNSSCSRTRGGLVRLNNTIESNEVLFSKTRSGLVRGGDIMNFSEGSSSKTRSGLVRGNTTVAASNGSYSATPSRFVRGNIIVGSKEGSCSRTRSGLVRESIQMDYSDSSCSRTRSGLVRRKPFMVQVKDEAAMNGLSDDCLKEDSPGKNEPNHKSNLVENSDKPVMKGPDGWWKEGMLTKNGSKYRSDPVQTKGEACINGLPGGQWKENSAEKNVSNHKNELVQRKDDLIVDGLPDGWWKEDRPRKNGSNLKTDPYYIDPVSGYEFRSLKDVHRFLKSGDIYKCIVRPRKRTIQDPYTIENQSHTATLLQHTRPGTADKAIQCELLTSEGLMLPWEEQLSPYRELNNPKKMPELEGMIASQKHAYKVDAPREKKSFPRKRKQPSAGGKPKKHKIVPAKMVAMPVRASPRLASLKITHDLNTEPEDEPIGVNLVNEVQSTKENPTDKSRLNQAGISTEMTSVQERADNQLLSSQADTGNHIRVMEGDTTDSSQLRQADTVNQILTDQENAVSQLQSSHTDYFVQIRPRQEYVTNYSQSQLSRAATVNQIETNQRNMSGQLQSSQADSLDQIQTEQESSASRLQSSQADSFNEIQTIQEYITEQSESQVSHANQIQIDLGNTVDLLPSSQADAIFQMETTQEYITNQSQSSQADIVDHMQVNQDNTANQFHLRQADTVNRIQTMQESTTDQPQLIQALTVNQIQVNGENTANHVQSRQADTVNHIQVIQDNTANQFQFRRADTVNKIQTMQDSTTDQPRFIQALTVNQIQANGENTANYLQPNYAENNIMQVGFSLTPEPEEAPATSFWRNVANQELPVSMQTDGKPVVSSALNVEYQNVPATAPAQPTRAPHPEAASYPSGLAVPSLFGNSWSDPCIEFAFKTLRGDIPVLDDTSAVEQYFPQHDLNKPPSPDYSASPSCFSSSFDNTTNFTQVDHASLPAPNPSDKLYNGGWFPPK >ORUFI08G06820.3 pep chromosome:OR_W1943:8:5656486:5663878:1 gene:ORUFI08G06820 transcript:ORUFI08G06820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSEGSSSKTRSGLVRGNTTVAASNGSYSATPSRFVRGNIIVGSKEGSCSRTRSGLVRESIQMDYSDSSCSRTRSGLVRRKPFMVQVKDEAAMNGLSDDCLKEDSPGKNEPNHKSNLVENSDKPVMKGPDGWWKEGMLTKNGSKYRSDPVQTKGEACINGLPGGQWKENSAEKNVSNHKNELVQRKDDLIVDGLPDGWWKEDRPRKNGSNLKTDPYYIDPVSGYEFRSLKDVHRFLKSGDIYKCIVRPRKRTIQDPYTIENQSHTATLLQHTRPGTADKAIQCELLTSEGLMLPWEEQLSPYRELNNPKKMPELEGMIASQKHAYKVDAPREKKSFPRKRKQPSAGGKPKKHKIVPAKMVAMPVRASPRLASLKITHDLNTEPEDEPIGVNLVNEVQSTKENPTDKSRLNQAGISTEMTSVQERADNQLLSSQADTGNHIRVMEGDTTDSSQLRQADTVNQILTDQENAVSQLQSSHTDYFVQIRPRQEYVTNYSQSQLSRAATVNQIETNQRNMSGQLQSSQADSLDQIQTEQESSASRLQSSQADSFNEIQTIQEYITEQSESQVSHANQIQIDLGNTVDLLPSSQADAIFQMETTQEYITNQSQSSQADIVDHMQVNQDNTANQFHLRQADTVNRIQTMQESTTDQPQLIQALTVNQIQDNTANQFQFRRADTVNKIQTMQDSTTDQPRFIQALTVNQIQANGENTANYLQPNYAENNIMQVGFSLTPEPEEAPATSFWRNVANQELPVSMQTDGKPVVSSALNVEYQNVPATAPAQPTRAPHPEAASYPSGLAVPSLFGNSWSDPCIEFAFKTLRGDIPVLDDTSAVEQYFPQHDLNKPPSPDYSASPSCFSSSFDNTTNFTQVDHASLPAPNPSDKLYNGGWFPPK >ORUFI08G06820.4 pep chromosome:OR_W1943:8:5656486:5664531:1 gene:ORUFI08G06820 transcript:ORUFI08G06820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNSSCSRTRGGLVRLNNTIESNEVLFSKTRSGLVRINSTVESNEVSLSKTRSGLIRGNTNVRSNEGSYSTTRSELAGANGVVDSNVGDLCSKTRRNIIVGSKEGSCSRTRSGLVRESIQMDYSDSSCSRTRSGLVRRKPFMVQVKDEAAMNGLSDDCLKEDSPGKNEPNHKSNLVENSDKPVMKGPDGWWKEGMLTKNGSKYRSDPVQTKGEACINGLPGGQWKENSAEKNVSNHKNELVQRKDDLIVDGLPDGWWKEDRPRKNGSNLKTDPYYIDPVSGYEFRSLKDVHRFLKSGDIYKCIVRPRKRTIQDPYTIENQSHTATLLQHTRPGTADKAIQCELLTSEGLMLPWEEQLSPYRELNNPKKMPELEGMIASQKHAYKVDAPREKKSFPRKRKQPSAGGKPKKHKIVPAKMVAMPVRASPRLASLKITHDLNTEPEDEPIGVNLVNEVQSTKENPTDKSRLNQAGISTEMTSVQERADNQLLSSQADTGNHIRVMEGDTTDSSQLRQADTVNQILTDQENAVSQLQSSHTDYFVQIRPRQEYVTNYSQSQLSRAATVNQIETNQRNMSGQLQSSQADSLDQIQTEQESSASRLQSSQADSFNEIQTIQEYITEQSESQVSHANQIQIDLGNTVDLLPSSQADAIFQMETTQEYITNQSQSSQADIVDHMQVNQDNTANQFHLRQADTVNRIQTMQESTTDQPQLIQALTVNQIQVNGENTANHVQSRQADTVNHIQLNQDNTVNQFQLRRADTVNRIQTMQDSTADQPHLIQALTVDQIHANRENVVNHFQSRQADTVNHIQVIQDNTANQFQFRRADTVNKIQTMQDSTTDQPRFIQALTVNQIQANGENTANYLQPNYAENNIMQVGFSLTPEPEEAPATSFWRNVANQELPVSMQTDGKPVVSSALNVEYQNVPATAPAQPTRAPHPEAASYPSGLAVPSLFGNSWSDPCIEFAFKTLRGDIPVLDDTSAVEQYFPQHDLNKPPSPDYSASPSCFSSSFDNTTNFTQVDHASLPAPNPSDKLYNGGWFPPK >ORUFI08G06820.5 pep chromosome:OR_W1943:8:5656486:5664531:1 gene:ORUFI08G06820 transcript:ORUFI08G06820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNSSCSRTRGGLVRLNNTIESNEVLFSKTRSGLVRINSTVESNEVSLSKTRSGLIRGNTNVRSNEGSYSTTRSELAGANGVVDSNVGDLCSKTRSGLVRRNATLDSNEGSCSKTRSGLARGDGIVGLNQGSSSKTRSGLVRGGDIMNFSEGSSSKTRSGLVRGNTTVAASNGSYSATPSRFVRGNIIVGSKEGSCSRTRSGLVRESIQMDYSDSSCSRTRSGLVRRKPFMVQVKDEAAMNGLSDDCLKEDSPGKNEPNHKSNLVENSDKPVMKGPDGWWKEGMLTKNGSKYRSDPVQTKGEACINGLPGGQWKENSAEKNVSNHKNELVQRKDDLIVDGLPDGWWKEDRPRKNGSNLKTDPYYIDPVSGYEFRSLKDVHRFLKSGDIYKCIVRPRKRTIQDPYTIENQSHTATLLQHTRPGTADKAIQCELLTSEGLMLPWEEQLSPYRELNNPKKMPELEGMIASQKHAYKVDAPREKKSFPRKRKQPSAGGKPKKHKIVPAKMVAMPVRASPRLASLKITHDLNTEPEDEPIGVNLVNEVQSTKENPTDKSRLNQAGISTEMTSVQERADNQLLSSQADTGNHIRVMEGDTTDSSQLRQADTVNQILTDQENAVSQLQSSHTDYFVQIRPRQEYVTNYSQSQLSRAATVNQIETNQRNMSGQLQSSQADSLDQIQTEQESSASRLQSSQADSFNEIQTIQEYITEQSESQVSHANQIQIDLGNTVDLLPSSQADAIFQMETTQEYITNQSQSSQADIVDHMQVNQDNTANQFHLRQADTVNRIQTMQESTTDQPQLIQALTVNQIQVNGENTANHVQSRQADTVNHIQLNQDNTVNQFQLRRADTVNRIQTMQDSTADQPHLIQALTVDQIHANRENVVNHFQSRQADTVNHIQVIQDNTANQFQFRRADTVNKIQTMQDSTTDQPRFIQALTVNQIQANGENTANYLQPNYAENNIMQVGFSLTPEPEEAPATSFWRNVANQELPVSMQTDGKPVVSSALNVEYQNVPATAPAQPTRAPHPEAASYPSGLAVPSLFGNSWSDPCIEFAFKTLRGDIPVLDDTSAVEQYFPQHDLNKPPSPDYSASPSCFSSSFDNTTNFTQVDHASLPAPNPSDKLYNGGWFPPK >ORUFI08G06830.1 pep chromosome:OR_W1943:8:5668131:5674883:1 gene:ORUFI08G06830 transcript:ORUFI08G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHSPLLLPLYIELNCDLMHKSLDLARIDRIVRCSLRSQGVPLPAVEPVPESSVSTVEDRTPEDKQRWWKRGLKAISEGKLAVVLLAGGQEMKSHISSFSPISDREQGLAVLILRDASSIFGVISYVLVCFQSSLILRFMVFFSSDFCSGIGLPSGKSLFQLQAERILCIQKLAAQSTDGTPQIHWYIMTSPFTDEATRKFFESHRYFGLEPDQVTFFQQGTIPCVSADGRFIMETPYKVARAPDGNGGVYAALKSQRLLDDMAGRGVKYVDCYGVDNVLVRVADPTFLGYFIDKGVSAAAKVVRKAYPQEKVGVFVQRGRGGPLSVVEYSEMDAAMTTEINQGTGRLRYCWSNVCLHMFTLDFLNQVTNSLEKDSIYHLAEKKIPSIHGYTAGLKLEQFIFDVFTYSPSTALFEILREEEFAPVKNANGATYDTPDSARLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >ORUFI08G06840.1 pep chromosome:OR_W1943:8:5672365:5677255:-1 gene:ORUFI08G06840 transcript:ORUFI08G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQVLDFSPKKSGKGKKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVIKVIPAGSTAPSLPFYLLTSGTTNSTGNHRYRDEYNPLCDDFPPEFPPPDTAAISIFCVDPNGCCNFTTVQAAVNAVPSFSKKRNVVWINKGIYYEKVTVPATKPNITFQGQGFDLTAISWNDTANSSHGTFYSGSVSVFATGFVAKNISFINVAPIPRPGDVGAQAVALRISGDQAAFWGCGFFGAQDTLHDDRGRHYFKECFIQGSIDFIFGDARSLYENCRVISIADPVPAGVRTITGSVTAHAQESVDDNTGYSFVNCSIGGTGRIWLGRAWRPYSTVVFAYTSMSDIIASEGWNDWNDPSRDQYASSLYSVSIVTCMTKRTVFYGEYRCTGDGANLSDRVPYAQKLSDVQVLPYLNTSFIDGDRWLKPYCDSLISA >ORUFI08G06850.1 pep chromosome:OR_W1943:8:5685933:5688401:1 gene:ORUFI08G06850 transcript:ORUFI08G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATVAAVADERGDCGGIGRGRGRLRRTRKREAAAVEGGSGRGRGGCTRRWLRRTRMRAVAAVEGGGSDDNGGHGQGQQRLCFCCSCCYHRFLSLGSSPTLLLQLFKDGVLQTKIRDKDDPRLSKMRKEVVAGGKDAMEVDNDFFLVPVKISDHQLQTSSQNKKKDKAAVFRSCPFHFYNAKNTAEIFRAHDEHQCKKFNSYGK >ORUFI08G06860.1 pep chromosome:OR_W1943:8:5699730:5702832:1 gene:ORUFI08G06860 transcript:ORUFI08G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRQSTPRAMLLLCAVLMLAVAPPGAATAAAVAGCECGNAAAAAVAGEDARGALRLKLVAIASILAAGAAGVLVPVLGRSFAALRPDGDVFFAVKAFAAGVILATGMVHILPAAFDALASPCGGGRGGGGGFPFAGLVAMAAAMATMMIDSVAAGYYRRSHFKKPRPVDDPADAARAAGVEEGGAEHVGHIHVHTHATHGHAHGHVHSHGHSHGSAPAAATSPEDASVAETIRHRVVSQVLELGILVHSVIIGVSLGASLRPSTIRPLVGALSFHQFFEGIGLGGCIVQANFKAKATVIMATFFSLTAPVGIALGIAISSSYSKHSSTALVVEGVFNSAAAGILIYMSLVDLLAADFNNPKLQTNTKLQLAVYLALFLGAGMMSLLAIWA >ORUFI08G06870.1 pep chromosome:OR_W1943:8:5703944:5734945:1 gene:ORUFI08G06870 transcript:ORUFI08G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVGALRPLRTLTLSPIPTALRVLSTASASSSSASAAASSSDSDSAAAPDADFDSSEFALPPSGPTPPPPPQQARNPVSALRKLRFDPSLRARADEALFGKERGGVDDAVEEERSREVALALLEAALEPPDDDLGPGEVREEDQMSLSVGIVGAPNAGKSSLTNTMVGTKVAAVSRKTNTTTHEILGVLTKGITQICFFDTPGLMLGHHGLPHRDVTVRVESAWSSINLYDLLIVLFDVNRHLKTPDTRVVKLIKRLGAEVNPNQKRILCMNKVDLVEDKKDLLKVAKEFEDLPAYERYFMVSGLKGKGVKDLVQFLMEQAVRRPWDEEPTTMTEEVMKTISLEVVREKMLDHIHQEIPYVIDHRLMDWKELKDGSLRVEQHFIAPKQSQRQILVGKNGSKIGRIGIEANEELRSIFKRDVHLILQRSSASTSSDLTAQQDSTISRHSAHAACSFMLQY >ORUFI08G06870.2 pep chromosome:OR_W1943:8:5703944:5734975:1 gene:ORUFI08G06870 transcript:ORUFI08G06870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVGALRPLRTLTLSPIPTALRVLSTASASSSSASAAASSSDSDSAAAPDADFDSSEFALPPSGPTPPPPPQQARNPVSALRKLRFDPSLRARADEALFGKERGGVDDAVEEERSREVALALLEAALEPPDDDLGPGEVREEDQMSLSVGIVGAPNAGKSSLTNTMVGTKVAAVSRKTNTTTHEILGVLTKGITQICFFDTPGLMLGHHGLPHRDVTVRVESAWSSINLYDLLIVLFDVNRHLKTPDTRVVKLIKRLGAEVNPNQKRILCMNKVDLVEDKKDLLKVAKEFEDLPAYERYFMVSGLKGKGVKDLVQFLMEQAVRRPWDEEPTTMTEEVMKTISLEVVREKMLDHIHQEIPYVIDHRLMDWKELKDGSLRVEQHFIAPKQSQRQILVGKNGSKIGRIGIEANEELRSIFKRDVHLILQRV >ORUFI08G06880.1 pep chromosome:OR_W1943:8:5705030:5711098:-1 gene:ORUFI08G06880 transcript:ORUFI08G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKDLSSRKRKPRKNNKKNLPPEPSTPQRTTNEATIMQESPGMVTRRYNQAMGHNVLALTDLNISLNVIISTNDAKPDAYNSQLRSVRECEFKKDV >ORUFI08G06890.1 pep chromosome:OR_W1943:8:5713401:5714750:-1 gene:ORUFI08G06890 transcript:ORUFI08G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQHDMVSKFGAAAIVVMLMLVVPNSGSGEDAGHDKDQLAPMSSEAEFGFSLPIVHGRPPAPGMDDEKFVTPFRIYEDVVYLAEMEIGERQQKQYLLIDTGSSLVWTQCDECPHCHIGDVPPYGRSQSRTFQEVSCGDDDDNDKEEAIASYCPAKPPGYITLCVNGRCMFKALYNLTGQGETVQGYMSMDTFHFIDDRRFDYQAKFRMVFGCAHQENIVLTAVKECTGILGLGMGDASFLRQTGITKFSYCAPPRMPGYSYRRDSWLRFGSHAQISGKKVPLVMRWGKYYLPLTAITYTYNELMSPVPIIAYKSQEDYLHMMVDTGTSLLSLPTSLHDDLIKEMEAIIKSKNMMEGATRWPRHCYKRTMDEVKDITVTLSFDGGLDIELFTSALFVKTETINGPAVCLAVNRVDDSSKAILGMFAQTNINVGYDLLSREISMDPIRCA >ORUFI08G06900.1 pep chromosome:OR_W1943:8:5716732:5728040:-1 gene:ORUFI08G06900 transcript:ORUFI08G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGDRCLGFRCCGNGGGGRRRTAPTLVAACISQLHLTAAAKGGDKESRRRKIDDAGRRLAARGRPETTRRQPINPGEFVVAMAAAVRICH >ORUFI08G06910.1 pep chromosome:OR_W1943:8:5732678:5733726:-1 gene:ORUFI08G06910 transcript:ORUFI08G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGRGGGSGDSGADDEHGVRRWRRRRARGEEVAPATGAGRGSAGAALATGAGRGRDGRWRRRRLKESRPARLLGGEFAPVEGDAMRDELVVMMGLARELTAMQRARAMT >ORUFI08G06920.1 pep chromosome:OR_W1943:8:5747232:5749726:-1 gene:ORUFI08G06920 transcript:ORUFI08G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSSFTAVVFALLLTFLQFSKAANSHYLFNKTSALEAADVNGNNSAEILAAPLYPVSHSYLLEIAVGSLGKTRLVSFDTAVNMVWLQCSDYCRDCNPSQVGTSTTYYNASMSISYNPLSCDHPLCGAGDNHDQQVLAECMDGTCTFKVDSLDNNGGWVQGILGSDRISISDHFFFLFDTNIIFGCATVDHSKYTLDQYGSSGVVGLGLGKYSLPQQISVTRFSYRLPSWVKNELFSPPYVLFGSNAVLQGDMTPFLPGFPKYYLRLKGISYGIVRLDIFGSNAAAADQYHQQAQFRRGPYLPDAQFYAMSVESATFPLMLPSRAYELLEKEFEQDNPLLIKSRLQPMNTCYKGSVDDIADNATITLHFHGGVFATFIC >ORUFI08G06930.1 pep chromosome:OR_W1943:8:5752557:5752934:-1 gene:ORUFI08G06930 transcript:ORUFI08G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGVLELQQAGIELLGMGWGLGSSRRCRAPEAALGEGRRRRAPTAGRYVGDRVGLQPAALGSSGSNGKGRRRRVQQARWGKGSGGGVGSSSRDGERAAALVFARCALGFLCLPAIVYKMKRIKD >ORUFI08G06940.1 pep chromosome:OR_W1943:8:5758561:5760091:1 gene:ORUFI08G06940 transcript:ORUFI08G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWGWGRAARLGNDQNRGQCTCCCRCVDETVGSVASSVHREDELLDDGRRGSEVVVEKEAPGVDVEAGCGKGGCEGDDMEAILRRPVHEGEQEMTCTDIVAQVLTKSSTFLRNVGLQQPVAAPKSISPQMQELQAQLEAEKEESAGLRQKVQRLEAQAEESEAKAQKQAEEIENLKKAITDTQKSAADTQNLIRQMIAFGQTQAVGFILVICEWSLV >ORUFI08G06950.1 pep chromosome:OR_W1943:8:5769274:5769681:1 gene:ORUFI08G06950 transcript:ORUFI08G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLAEVPQGGHIKEVIAEGSSGGLSSSTQLLATKRKLAASNPPTAKRRRSPIALPIVRSPGVRQIISQNEVIMERSQVAGQMSPSAESPRARSMSPVARFVSSPSAIPIELDVETQPRESTQSTPHVVTHRSG >ORUFI08G06960.1 pep chromosome:OR_W1943:8:5787636:5788792:1 gene:ORUFI08G06960 transcript:ORUFI08G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFGHARERDKCDTSLFTLPSPLSFWPLSPLLPLPSREINASESPGTHISFWSPGARPVSSRHPSFQCSRRSRPRYRVVLLILIHLPPRCNTVKNQPKPSSRRGERSPSFLSPDLDTLALFLPVRSSRSRVLIVFIVLPGISNAVDASWTSPTPFSCTSCSSTRMHIPRTTAAVACFSRATDHRCSCSLFISADTYVFAWSSSTVSLLNSTPSEPPFAVGKL >ORUFI08G06970.1 pep chromosome:OR_W1943:8:6032980:6034001:-1 gene:ORUFI08G06970 transcript:ORUFI08G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVVVFVLLAAMQRRCLSLYVAVLMLVWLFFAPLSVVAGSLIAIPSALDGSRTSHRRPIENPRFNVTLWEKNEINTRPKASHGFDDGDEVSYTVAMPFIEKLRRRCAVATGGSHRRCDATAIRQFEEDVAPCLLEGLKVTREFSHTLSNLDYSRWLGPYYLDLAVQQRSQMMNLFCMNKPNVLSCLCYL >ORUFI08G06980.1 pep chromosome:OR_W1943:8:6071324:6071677:1 gene:ORUFI08G06980 transcript:ORUFI08G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRCGGGFEEVRRGGGSESEERPRCAAVELESPPLPPATADHARICRALGRRRPAVLGDGDLPSRTATSPPLGDLDLKDGDLPSPWRRGPPVWRRPAAAPAVGSSRGQRWRSTDDR >ORUFI08G06990.1 pep chromosome:OR_W1943:8:6079269:6090868:1 gene:ORUFI08G06990 transcript:ORUFI08G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLLRRARPRCLLQIRADSTRRRPPLLLHRLLLRPAGFRCRLLPLPQCLPIAAVESTLFPTSSAASPTSRFSCESGKIMARERGNENTTYLNEYERGRAERIKKNNIALQAIIQKRRELAKSSEGNLGSASTHQETRKRKRVDCAGSEPARHESGLPNLSRSTRRGTGSSTSTHDEDEELVANTNHLFMHDCERNDELAENGGKRMANGGGNENTTYVNEYERLRAERIKKNNIALQAVIEKKKELANLSEENLGSASTHQETRKRKGPTPRDLEMPGKEKYRSTKVQMAIEGQKQAQLEKEALIEHFERRQTQSDRKIESLSEKVERLTELLLADSRDGRQVHVNPQVLSNSATNEDEQESANGEAQPSDEENNSYGMIPPRPAAPSPQEHMAGKEVILYSFIRPP >ORUFI08G07000.1 pep chromosome:OR_W1943:8:6091018:6091839:-1 gene:ORUFI08G07000 transcript:ORUFI08G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGPTWEKHGPSDLMDSLATAAVVVTIPTGDDGSSLPHRAAVTTSFSPASGQWRPALLQLAAVVAPLPLPTTGSFSPRQAVATASLDLVGRWLSNGATLGIWLLPLPLGIQRSDGLAASRWRDGTADPAQRRSSEKPFPALANCPTKSCRECPLPADTKSSAVNCRRCNFGFPGICSNYRLLNALDASNILGTSFRHHWQL >ORUFI08G07010.1 pep chromosome:OR_W1943:8:6097031:6099027:-1 gene:ORUFI08G07010 transcript:ORUFI08G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLELLDAWEIQLLIVLLSFILQVFLFFTGSLRQRSTNGFLRGTIWIAYLGADLVAIYALGYLSRHKGYSTLGETHPLAFLWAPFLLIHLGGQDTITAFSMEDNNLWLRHLLNLVVQVTQAMYVFWKSTSWHKNVQLLVPGVFLFTAGIIKYGERTVALMYGKLNNGMTSNIKISKERISELTEHDVYQELVCSVLNMQKVTNTLFGRMLLASPRTWWWLKDGGYDRLSRISWYFVGRLKRRPLWSNKMGQYNLLSSYLGMYDELAVTAPQRLMRMMRKMARGVGVGGKVMNKKLFWVSTLLETRYEVVDNDLMECVMCEIKKLGSSQRIINRRWTHLEPFVKEIEGMLLLTFSSTIIVLHTITMAYLMKSNANAVSTLQSGIANSVGLSLSYLNKEILDHNVDISLSTLIERLKNAPVEFPWRTQHEQHEAVLEELRDIWMRLLIYTAGKSRPEGHAAHLAKGGELLTFVWLYMASMGVGDGVAQPIETSTSSRDASGLSIISIFDL >ORUFI08G07020.1 pep chromosome:OR_W1943:8:6102813:6103524:-1 gene:ORUFI08G07020 transcript:ORUFI08G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSIHHAGPLISQWLRSRCLGTESAPWNEAGTTASGDTAGVEAEWHLAANHTSCGRNVRGSGTARLWAWRRRTTTGRRRGGGASGRRSTPHMRESGVNLARVTAGAAVMGNYGQEARRRWIRPPLCPHAHGTTSAVAISDFDGYGGAAAAASTTRHRQCSEQAPKKI >ORUFI08G07030.1 pep chromosome:OR_W1943:8:6106040:6106607:1 gene:ORUFI08G07030 transcript:ORUFI08G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLNSPDGSRTSRRRPIDDPQFTVTLWEEEEIKTRPIASRNLEDGSGVSYVVPMAFIEKLRRRCAGDDGGQVPTGGSHRRCDATAIRQLEEEMAPCLLEQFKENMEIMRTMNDVSFTTFIHFNSN >ORUFI08G07040.1 pep chromosome:OR_W1943:8:6134885:6135211:1 gene:ORUFI08G07040 transcript:ORUFI08G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVRRMRRGLRYMKQIQPSNQHAVSAAEPGRGDQQPAQRRRERTWLPPNPWGRGRQPWRATRGGGQASRSARRRARRRREQAISVVEPPGALDATTSAISYPHLHSR >ORUFI08G07050.1 pep chromosome:OR_W1943:8:6137593:6139358:1 gene:ORUFI08G07050 transcript:ORUFI08G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLCGTAAENFFPYKWLGLGWVARGGAVEAWRVGWPGPDTSSPILSFQILIFRIGNVTDERSGTGEVDTDGDVVPPVRFACQGDGVEMEIRVVARSHGRRVIWHLRDEMPTMVPCIQRQPRRRRARPSSRSSIHEEADSQRREQTVIHVDADNEMVGDEVPPYKEVRREGGLHPLGSTL >ORUFI08G07050.2 pep chromosome:OR_W1943:8:6137593:6139358:1 gene:ORUFI08G07050 transcript:ORUFI08G07050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLCGTAAENFFPYKWLGLGWVARGGAVEAWRVGWPGILIFRIGNVTDERSGTGEVDTDGDVVPPVRFACQGDGVEMEIRVVARSHGRRVIWHLRDEMPTMVPCIQRQPRRRRARPSSRSSIHEEADSQRREQTVIHVDADNEMVGDEVPPYKEVRREGGLHPLGSTL >ORUFI08G07060.1 pep chromosome:OR_W1943:8:6146702:6146893:1 gene:ORUFI08G07060 transcript:ORUFI08G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSMATARRRPLGGDLGHGGLARTTTALVMVDGMAAVAAAAAECGGVVWSSGGMARMMTAW >ORUFI08G07070.1 pep chromosome:OR_W1943:8:6162683:6163365:-1 gene:ORUFI08G07070 transcript:ORUFI08G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAVHKASVSFKFKLIGGVETRSSCSTSTCGRRFRIQEDNKGEAASDYALSHLHSVHFEICMVIFKFNN >ORUFI08G07080.1 pep chromosome:OR_W1943:8:6170643:6175088:-1 gene:ORUFI08G07080 transcript:ORUFI08G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKLRGTEECFGGGVNGRLTTMKLGHYPGATARLDFYWIDGNGEATSGGAPDAENNDGRNTMVVSFWDEN >ORUFI08G07090.1 pep chromosome:OR_W1943:8:6176801:6177890:-1 gene:ORUFI08G07090 transcript:ORUFI08G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRRDSSSGETPPPGLLRRNATTTPGISRRIYGKEAQCKKGEMGTQYIILDMGGHKWDIYFFVSWS >ORUFI08G07100.1 pep chromosome:OR_W1943:8:6264799:6265388:1 gene:ORUFI08G07100 transcript:ORUFI08G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPAAGGSGAAPGRPTGQRGTVAAAARHRAGCSAAADPTGVWTTAWAKPAMERPGWISRQSWRPGGQRLRLYLTDTKFLNFYLTNVS >ORUFI08G07110.1 pep chromosome:OR_W1943:8:6304017:6308007:1 gene:ORUFI08G07110 transcript:ORUFI08G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASCTIANAWPVTAATVGIGSATRSATSPEGHRLWRQSHWRSTSPCWQSLVHWHPQWHPQWRRHEGQAKAVLAGTSEGDDVDIRGCTSPQCTSSPCPFALLVVIHIR >ORUFI08G07120.1 pep chromosome:OR_W1943:8:6309005:6309298:-1 gene:ORUFI08G07120 transcript:ORUFI08G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRELLLQSDKKTVAEAFWRGIVDGGVDDMVPAETMRTPWRSGGLLPHTQGYTHEEQQHDCAALAVEPSRRSGVALRTGQRGTRAWPMTLRTAGGV >ORUFI08G07130.1 pep chromosome:OR_W1943:8:6331704:6333078:-1 gene:ORUFI08G07130 transcript:ORUFI08G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSSSMATVLVVLMVVSAGGLSPPCAAAAKEEKPVVVLPPAAAPGEAPSADAAAFADRDGSSFCYYHLLPYAAFFEGNQVKVAEVAATILSTNLWVYVDQLRKADRDGSSFCYDHLLPYAAFFEGNQVKVAEVAATILSTNLWVYVDQLRKGGAGKGDPNLNACVDDFSVAAGENITREALQSLGRLAAAGNGKRSKEDLENAQKWIKGVEKPYNGGIGKASGCEIGYLFTYSDDLPAQKTLGYTFDTASSLINHIKL >ORUFI08G07140.1 pep chromosome:OR_W1943:8:6354330:6355542:-1 gene:ORUFI08G07140 transcript:ORUFI08G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRELLLQSDKKAVAEAFWRGIMDGRVDDMVPTETMRTPWRSGSLLPHTQGYTHEEQQHDCAALAVEPSRRSGVAVRTGQRGTHLMINQGKMQGFDKNIEANARRLSEWI >ORUFI08G07150.1 pep chromosome:OR_W1943:8:6356449:6357463:-1 gene:ORUFI08G07150 transcript:ORUFI08G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGSARQNENRSRSAARVPQGLKRTEITAAVAAYRYYQISRMVVVSGRAGRLQNMSTQRHNPTAPAERIYTQGEGSLFYKLTNTEFCDRVKASNKNSIYTATILKNSIYCYC >ORUFI08G07160.1 pep chromosome:OR_W1943:8:6440704:6441763:1 gene:ORUFI08G07160 transcript:ORUFI08G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSLRRRLDGVGSAAGHDGGAAVPGESEGFGLRLLEWRLRVVWRVSWREGGARGGCGGCRDAFGVSRAQAVSAGNTTGKASAASALQDLQREVKGVGRGRQGGRSRLVASGVGFGRGRRLRVSEARGEAGFAGGRLGGRGIGFADGRRSEIRGQRKNGRLGGDDLRLASYVFDGMPARKERGRNRRERWGAGPLVGGVQGAWAH >ORUFI08G07170.1 pep chromosome:OR_W1943:8:6443508:6445740:-1 gene:ORUFI08G07170 transcript:ORUFI08G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYYKAALLRYWVVVPFLLWLVATEEQLAAGAVAGAAAESSSTWGGQGQLQLPLWVRPGDRRLLGMSVAGMAVDAVVAADGTGQYTTIKQAVKAAEADTSGRRYTIHVKAGKYVEDVEIWRPNITMIGDGIGRTIISGMKSKNKNRGTACTGTLNVQKDGFIARELTVENTAGPQAMQAAAVVVKSDRAVFFRCEITGYQDTLLADVYRQFYRECVISGTIDFVWGEATAVFQMCHLLVRRPLEGSHNTITAQGRRHTNDSEPVVARSGFVFQECNVSTKEDLRGVDTYLGRPWHPYSRVIFMSSYLDGNVVNPKGWVAWRINNATDERSTASTVYYAEYNNTGAGANVTQRVNWHGFHLLAPHEVRNFTVDSFIDGGSWLPETNVPYHLDLDLGL >ORUFI08G07180.1 pep chromosome:OR_W1943:8:6448833:6450090:1 gene:ORUFI08G07180 transcript:ORUFI08G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVRWRSTNGIMYLSTGQPSPPSRHYPICSTRNRRRRLDCSSVAPLSDPFSSTPVNSDGCPQRPIQSRPSSHPSLHEDGGDGDKPFKAEDWHQGGSNAAWRGGKGGTGRRGGVSRMSRGRAETHNLGDCALEEEGQHGDDAMLPN >ORUFI08G07190.1 pep chromosome:OR_W1943:8:6452144:6452435:1 gene:ORUFI08G07190 transcript:ORUFI08G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVRWRSTNGIMCLSTGQPSPPSRHYPDLLDSLTLANSDGRPQRLIQSRPSSHPSLHEDGGDRDKPFKSEDWHQAS >ORUFI08G07200.1 pep chromosome:OR_W1943:8:6457613:6466411:-1 gene:ORUFI08G07200 transcript:ORUFI08G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGPLRSATRSWFASCDSSSSCAGADLASPRLRRVDLASGGASRPASAASSASSLAGFFMDPGKHNGMSLKDRRELVYEVSQWPQGASEILQCWTRRDLLELICAELGKERKYTNVPKSKMIAYLLKLVLRKNGQPKDDNANASILGQNNKDDTEKKENEEQPHHFSRSAKSDSSMCREAQAGSTAVCRNVACQATLNSGDAYCKRCSCCICHKYDENKDPSLWLVCSSDTPYSGYSCGTSCHLKCALKNKKAGIFKNGCNKKSDGSFYCVWCGKMNWLMRNLRKQLAIARESRRVDVLCERLSLTHKMVKGSERYRELANIINSAVKILEKEVGCALDQVSAITGRGIVNRLCCGADVQKLCSCALEMVDSTLSSTLDFETNNNLEAPGPQPQVFFVEITPFSVLVVLKYQDNIAEEIDGCKVWHRSANMANYPAEPTCHVLRPNTRSLFSGLSPSTEYFFKGYGEWEVKCSTRSLNHGSSQCSTQNSESMSIKEDLEQHQKNELNLKNKQWWGIQYDSPSANSNENDVCPDLHPKRAKLAKLDGASDNDESQLLPTSEVLPFMSSNSSLSEVPSKPDWVSSTPDSACKNHVERQYEYSVKVIRWLEHEGHMDKDFRVKFLTWFSLKASAQERRIVNAFVDALVSDPASLVAQLIDSFMEVVCSKEKPAQPNGGCCNLWH >ORUFI08G07200.2 pep chromosome:OR_W1943:8:6457615:6466411:-1 gene:ORUFI08G07200 transcript:ORUFI08G07200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGPLRSATRSWFASCDSSSSCAGADLASPRLRRVDLASGGASRPASAASSASSLAGFFMDPGKHNGMSLKDRRELVYEVSQWPQGASEILQCWTRRDLLELICAELGKERKYTNVPKSKMIAYLLKLVLRKNGQPKDDNANASILGQNNKDDTEKKENEEQPHHFSRSAKSDSSMCREAQAGSTAVCRNVACQATLNSGDAYCKRCSCCICHKYDENKDPSLWLVCSSDTPYSGYSCGTSCHLKCALKNKKAGIFKNGCNKKSDGSFYCVWCGKMNWLMRNLRKQLAIARESRRVDVLCERLSLTHKMVKGSERYRELANIINSAVKILEKEVGCALDQVSAITGRGIVNRLCCGADVQKLCSCALEMVDSTLSSTLDFETNNNLEAPGPQPQVFFVEITPFSVLVVLKYQDNIAEEIDGCKVWHRSANMANYPAEPTCHVLRPNTRSLFSGLSPSTEYFFKVLPFGCSQGYGEWEVKCSTRSLNHGSSQCSTQNSESMSIKEDLEQHQKNELNLKNKQWWGIQYDSPSANSNENDVCPDLHPKRAKLAKLDGASDNDESQLLPTSEVLPFMSSNSSLSEVPSKPDWVSSTPDSACKNHVERQYEYSVKVIRWLEHEGHMDKDFRVKFLTWFSLKASAQERRIVNAFVDALVSDPASLVAQLIDSFMEVVCSKEKPAQPNGGCCNLWH >ORUFI08G07210.1 pep chromosome:OR_W1943:8:6511312:6512759:-1 gene:ORUFI08G07210 transcript:ORUFI08G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEEIAEAEARNAELTKDNNQQIHRFYLLLPHPFYHISLKACVLLHELRHSMGCGWRRRVASDELRCDHWRRAPGVTWLWMMEDAAFGDELRCDVARGHRRTWPPAMSLAWGVRRRNRPPATSLVWGVAVKDEATGDELRRGAWTTQNAAAGDDLRHEARGVEGVATNDDASVLSSLYLVGIT >ORUFI08G07220.1 pep chromosome:OR_W1943:8:6514096:6515024:1 gene:ORUFI08G07220 transcript:ORUFI08G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFLVLLIAINVVCLSPQGATAAPEGELHKLNLELPPPLPPGKEPSTEAYRFTQQVCDFGTSTFTEQMIGARCYDVLIPYGEDENINNCGSFFAIGETFSDTTNSTLYALERLTAAGEGKRSKEDLETVHKWTKNLETQYDETASKCKLGDLFKYGDKKVPTVWVIDLLTTTAIRLLNAIKP >ORUFI08G07230.1 pep chromosome:OR_W1943:8:6527765:6528142:1 gene:ORUFI08G07230 transcript:ORUFI08G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMNHYVFQFQLPKYIIRSLHPETKQVDSVATVVSQGIVSVIVLFQEQQRQFVVIHNCPSTIEDQQAEQRSR >ORUFI08G07240.1 pep chromosome:OR_W1943:8:6531511:6532481:1 gene:ORUFI08G07240 transcript:ORUFI08G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVSKVIMSPEKDEKQCPGSNQAPSQSTDKKDGTLQRNSGANRIVIWKMDNGRTMIITGSEFTPEDAARIPKRRRGRNTFRGARTDHVPKDCQLNAVLAMTKKEQGATVQPIRQPMVVDNNSLNPSTLSPTTAPVEANLGRSNVARDAHKSLLVPVSAAKVHNQKPAPRDQASRFCRNFVSQDIVSVIVLFQEQQRQFVVIPKLPALPMTPIDT >ORUFI08G07250.1 pep chromosome:OR_W1943:8:6543670:6544323:1 gene:ORUFI08G07250 transcript:ORUFI08G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLVVLMVVSTGGLSPPCAAAAKEEKPVVALPPAAAPGEAPFAEAAAFVRSCCETALPAERDASSFCYDELLPYADSFGGNQVKVTEVAATILSNNLSAYLDELRKVQDGAGKGDQNLMACVDGFTDATNVNITKEALDSLGRLAAAGDGKRSKEDLENVQKWIKGVDKHYVGGNAAGSDCETGYLYTYNDDLPAQETLGNCLYTASSLINHIKL >ORUFI08G07260.1 pep chromosome:OR_W1943:8:6559336:6560373:-1 gene:ORUFI08G07260 transcript:ORUFI08G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCERVAGDGGNGGHRECDEIGNQSRGAPPMEACSSAGACAPRQAKAVGEALLLGGNHWCTGTHSGADMRARPRQCSPAPVRVMTWTYGDALLHGAQARRVHLHYLVHIMLCGNTTGWNQKMKRSSKTARRNHKKKDRDLTPTNEGIHLTRSADIMGTTYLHGTYINIFWLSYFCVVCFDQKKVAQNKKKDPCKLK >ORUFI08G07270.1 pep chromosome:OR_W1943:8:6576400:6576786:-1 gene:ORUFI08G07270 transcript:ORUFI08G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQAAEMSVTGLFACPGSSPAQGHDTQGLACGVAEVLPTNKLAHQGGHRYAKWPSLCRTTRGTPVTGKQSPMVGTKCVRERRLGHRWIGFTDEIPM >ORUFI08G07280.1 pep chromosome:OR_W1943:8:6577291:6599769:1 gene:ORUFI08G07280 transcript:ORUFI08G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFICPKCRMAQRLPPELMPPSPPKASPTPPPQPQPHPQLQPPPPPAPLPPPSRRSAPRAQGVDPTKIQLPCARCKAILNVPHGLARFRCPQCDVDLAVDLSKLRNFLATAGPGFAPPLPLPPPPPPPMPPIPLPHMPFLPMMPHVPVPMPPMASPAELPEEINEVAVDVEREEDEGGTVGETFMDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYDLTIMDELDETKVLSCLQIETIVYASQRHLYHLPTGARAGFFIGDGAGVGKGRTIAGLIWENWKQGRHKALWISIGSDLKYDARRDLDDVGAKYVEVHALNKLPYSKIDSKAVGITTGVIFVTYSSLIASSEKGRSRLQQLIEWCGSEFDGLLVFDECHKAKNLIPEAGSQPTRTGKAVLEIQEMLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFQKFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGAAFATVEAPLEERMMNMYRKAAEFWAELRVELLSAIEYYAEDKGNSSQIWRLYWASHQRFFRHMCMSAKVPAVVRLVKEALAEEKCVVIGLQSTGEARTEEAISKYGVEMEDFVSGPRELLLKLVDDNYPLPPKPDCFQQVGDEKVAEVQRKRHYGPDVCFKGQARKLAKMEDESDDGTDEYSLPESDHESTESEEEFNMCQICNTEEEKTMLLHCTGCAAHVHPGCLIPPWTGMLADDWSCYSCKEKVESYFKERDAYITELSKRYDTAVERKSNILQIIRSLDLPNNPLDDIIDQLGGPYNVAEITGRRGMLVRASDGKGVVYQTRNKKEVALDMINIHEKQQFMDGEKLVAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQNSAPEYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSTYGKKALMMMYRGILEQDGLPVLPSGCSEDQASLQGFITKAKAALVSVGIIRDALMCNGKNGGKLTGRIFDSDLHDIGRFLNRILGLAPDIQNRLFDLFTSILDIVIQNARSEGQLDSGIVDIKAKSVKMKESPKTVHVDSLSGASTVLFTFTIDRGFTWESANAILEERQKDGAGYSDVGFYESRREWMGRRHYMLAFEGSTEGMYRVIRPAVGEALREMPLVELKSKYRKVSSIDKIGNGWQEEYDASSKQVRQIHKRIRVARLETNDNERIVGLMIPNSAVESVLEGLQWVQDIDD >ORUFI08G07290.1 pep chromosome:OR_W1943:8:6626028:6630650:1 gene:ORUFI08G07290 transcript:ORUFI08G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISQATKEPLPSYGQHQLLGRDCNLSSLPSIAAPHRRAAPTSSAEATKERIAYHKNEADPRKRRPRQTPRGRSKLSTNKVTHGFHLLEGRSGHDMEDYHVAEYKYTNNHEFVLFAIYDGHLGDKVPSYLKANLFNNILKEPLFWTNPQEAIKNAYSSTNKYILETCKQLGPGGSTAVTAIVVEPKKTIDSRRCVGTSPKRRPQEGHDVRPADRLPWLSGVEATDPTTDYPARAVQAGDQPGGGHKPGWHKPVVHATAATHRRRYHRATTTDANADAANGTKFRLRFQKKKAIVVDGTDMWIANIGDSRAVVCERGAANQLTVDHEPHTTNERKRIEKQGGFVSTFPGDVPRVNGQLAVARAFGDQSLKAYLSSEPDVKHVPINSSVEFVILASDGLWKVIKNQEAVDLVNSIKDPQATAKRLTSEALAKR >ORUFI08G07300.1 pep chromosome:OR_W1943:8:6628322:6629270:-1 gene:ORUFI08G07300 transcript:ORUFI08G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPKRKAVSTSNNGHQPNHRGATSFTWPAPETPWKRLQHVIVAERRSTPSASSFDFISRNNRRERRPSQEGSGPKKAKASPNVLSHTETRPVTLASPTQGSSGATNAAATSPPSPPPPPRSRPSGRRQSRAAARTAAAGGTSRGGGGGSFPVQIQAGSGRIWRGARRWWLAAGERSAPVLDGAETGGRQGRHRGGWATAAAACSRCGCGVGVGVRPRRRVGPTADAAAVRMLPEGQRHGLAGNGGSLALRGVGVSVRRGGAVVAATMGGCGGMDHWLVPPWLVAAARLVAGLHCPGWVVRGRIRRLHAG >ORUFI08G07310.1 pep chromosome:OR_W1943:8:6645175:6655145:1 gene:ORUFI08G07310 transcript:ORUFI08G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGPWLKSGNSHVGRETWEFDPNFGTSEEREAVEAARIEFQKNRFRTRHTSDVLARMQLAKANNFSIDLQKEKDGNPINIDTATVSDILKKALSYFSAIQAYDGHWPGDFPGPLFTTATMIIVLYVTESLTITLSSKHHKEICRYLYNRQVLGVFDWSGVNPIPPELFLLPSFVPIQPGRLWSHFRMAFIPMSYLYGKKFVGPITRLVISLREELHIHPYKKIDWKEARKLCAKEDAYNPHMWLQECLSDCLYSFGEPFLTRWPISYMRKRALYQIAEFLKYEDENSQYICIGAAQKALSMLCCWIENPNSDAFKRHLARVADFLWVGEDGMKVRVCAGQLWDVAFAVQAILACSIAEEFGSTLKKAHGFIKTSQIMDNPSGDFSRKYRHISKGGWAFQVADQGWQVSDCTAEALKNPNGGYGAWELARTYPWMEIFNMTEIYADIIVEHQYVECTSSVIQALALFREKYPGHRKDEIDQCIRKATEFIEKLQNDDGSWFGSWGICFTYGTWFAIEGLSAVGQCYDDSTCIRKACKFLLSKQLTNGGWGESHLSSRTKAYTNLDGEKSHIVNTAWAMLALMKAGQVERDPAPLHKAARLIMSMQLSDGDFPQEEMIGSFLKNGPLCYMAYRNIFPIWALGEYQKLVFQNYQTSSIKQTNIAPSAGNAALKNSASTTAPT >ORUFI08G07320.1 pep chromosome:OR_W1943:8:6655193:6657703:-1 gene:ORUFI08G07320 transcript:ORUFI08G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVHLSTLAISVLGKAAFCAASEIKSAWNFKKEVRKLERSLKSICGVLKDAERKQSTSCALKVWLEDLKDVVYDIDDVLDDVATKDLDRQLVYPFELSHKITVVRQKLDEIAANRREFALTEEIIDTQFFSSNTRETHSFINELDIVGRDEAKNKIVEIILSAADAYAFSVLPIVGLGGIGKTALAKLVYNDMRIKKMFEKNLWACVSNVFDLKKILDDIIQSDTGESNKQLSLQTLQNKLRGFLQENKYLLVLDDIWSDNVNDWEQLKNLLSSGGRGSVVVVTTHNMNVASVVKTLEPYYVPELSFDECMQVFIRYAFRDEEKKDTLLLEIGKCIVEKCHGVPLAAKTLGSVLFGKQDVKEWLRIKDANLWNIEQNKCDILPALKLSYDALPPHLKACFSCLSVFPKDYVILRELLIMFWMALGLLHKTREGDEIETIGGQYFNELDQRSLFQDHYVIYNGSIQSCKMHDLVHNLAMFVCHKEHAIVNCESKDLSEKVRHLVWDRKDFSTEIEFPKHLRKANKARTFASIDNNGTMTKAFLDNFLSTFTLLRVLIFSDVDFDEIPSSIGNLKHLRYLDLQWNGKIKFLPNSLCKLVNLQTLQLSRCDQLEKMPKDVHRLISLRFLCLTLKNKFLSEHDGFCSLTSLTFLFLNSCAELSSMTNGFGSLTSLRKLYIFNCPKLATLPSTMNQLSTLQTLSINNCHELDLLEPSEAMGGLACLDVLQLVGLPKLVCFPGSFISAATSLQYFGIGNCNGLMKLPDFIQSFTSLKKIVINGCPELSRRCAVKSGEDFHLISHVPQITIDKKTYRKITPSHPECSSVS >ORUFI08G07330.1 pep chromosome:OR_W1943:8:6660123:6665284:1 gene:ORUFI08G07330 transcript:ORUFI08G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAKFHGIVGAVGAHDVGGNFCDMGYYQKLGEGSNMSIDSLNSMQTSIYGGSVAMSVDNSSVASSDSRTGMLKHPGLRGPVIVSSYSVGNSIFRPGRVSHALSDDALAQALMDSRFPTETLKDYEEWTIDLGKLHIGMPFAQGAFGKLYRGTYNGGDVAIKLLERPEADPEKAQLLEQQFVQEVMMLATLRHSNIVKFVGACRKPMVWCIVTEYAKGGSVRNFLNRRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEVIQHRPYDQKVDVYSFGIVLWELVTGNLPFANMTAVQAAFAVVNKGVRPAIPHDCLPALAEIMTRCWDANPDARPPFTEVVRMLEQVEMEVVNTVRRARFRCCISQPMTLD >ORUFI08G07340.1 pep chromosome:OR_W1943:8:6665984:6669551:-1 gene:ORUFI08G07340 transcript:ORUFI08G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPQSEIVGENNASKSAAKEQILSSTNEKMTTSVPQGASSSKSPKGAQEKASFLGKGGEQPFYQPNVYAPQPQTIYSGGYLNHLGQWEEYPHYVNMEGLHSVSPGIYNDNQSIMLSPGYANNPQMMYGAYSPGVGDGQPYLPLHFPFSSPYYQPPASPSMGYSNSATGMSQGDPMLQQEYFLPDGLLYSPTPGYHQPFGSFDRASTQPSSTPGLFGQGNTPLAFGMHHGSMYAPGSYKPRQQGGKFGGTTPSWSSGRRFGTFDLSANQQKGSMPFGIQNGALEFLNEQNRGPRATKPKKQDTENSSIDDKNEKNVPLVDSELYNRPDFVTEYKDAKFFVIKSYTEDHVHRSIKYNVWASTASGNRKLDSAYRLAKEKEDYCPIFLFFSVNGSGQFCGVAEMIGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHIILENNDNKPVTNSRDTQEVKLEHGLQMLTIFKNHESETNILEDFDFYEQREKALQENRRQQQPASPELQKPAENKALGELMAHISDTFGQTVQLKETENGESKPAVEGVSSADEASTATTKAEDGTASTNASPVE >ORUFI08G07350.1 pep chromosome:OR_W1943:8:6675368:6679922:-1 gene:ORUFI08G07350 transcript:ORUFI08G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVERNGRPLPVSYPLPTFHSVDASATATAQRPAASSSAKGLPIVSIPIRAVLFFFFFFFSIHSRSAVSSEGGIALRLFVDWRIRRRRVCACKMFDTGSQRGRVKQLVAFAKKRRRPKKQPSRRPWWKAWFSDWNDEEESLSGWREDEELLEEVGGEEGLSDDEKFETWKRKAEAIVELREARQDAMNAEGRSWEDWIGGGSSTAGDGGGDWGGDLDVSDLITDDPTEIVRDKGLIETFRDSVDEDYNDMLFEDRVFLYASTNSAKFLALLIVIPWVLDFLVHDYVLMPFLERYVQKVPLAAELLDVRRSQKLLMVKDINTEKARYRFEVEIGKSPPLSDDELWIELRDEWRLENRKAFANIWSDMVYGISLFLLMYFNQSKVAMLKFTGYKLLNNISDSGKAFLIILVSDILLGYHSESGWHSLVEVILEHYGLEADQAAITFFVCLVPVALDVFIKFWVYKYLPRLSPSVGNILDEIKRH >ORUFI08G07360.1 pep chromosome:OR_W1943:8:6686966:6692041:1 gene:ORUFI08G07360 transcript:ORUFI08G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPLAGGRLVLVVVVAAACMARWSEGIGVNWGTQLSHPLPASTVVQLLKDNGFDRVKLFDAEDGILAALKGSGIQVMVGIPNDMLADLAAGAKAADDWVATNVSNHVNNGVDIRYVAVGNEPFLETFNGTYLNTTFPAMQSVQAALKKAGLADKVKVTVPLNADVYQSPTGKPSDGDFRADIHGLMLTIVQFLADTGAPFVANNTFDANHDTLVAALRRNGYPNVSIIVGEVGWPTDGDANANPQYARQFNQGFLTHIASGQGTPLRPGPVDAYLFSLIDEDQKSIEPGNFERHWGVFYYDGQPKYPLSLRGGGNGNGNGSTLMPAKGVTYLQRRWCVMKPSASLADQKVGDGVSYACGLADCTSLGYKTSCGGLDAKGNVSYAFNSYYQVNDQDDRACDFKGIATTTTVDPSAGSCRFIIEIAPTANGVAMAATVRVAGVMAAILAAFIHLVVPVF >ORUFI08G07370.1 pep chromosome:OR_W1943:8:6695351:6701110:1 gene:ORUFI08G07370 transcript:ORUFI08G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVMVSSASGLLAMLQEPAAELKLHALANLNSLVHVFWPEISTSVPAIESLYEDEEFDQRQLAALVVSKVFYYLGELDDSLSYALGAGPLFDPSEGSDYAQTLLAKALDEYASIRSKSLKAAGEGDEKMDPRLEAIVERMLDKCILDGKYQQAMGMSLECKRLDKLEEAISRSDNLNGSLSYSIILSHQYVSHREYRCEILRCLVKIYQTLPNPDYLSICQCLMFLGEPETVGNILGKLLSGSKDDALLAFQIAFDLVENENQAFLLNVKNHLDTLSLQTSAQTLPSDQTAATEPSGDAQMTDDAITPNGNAHTVDPNEVAHADRLTKIKGILSGETSIQLTLQFLYSHNRSDLLILKTIKQALEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLTQGRALMAPYLPQSGAASGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSSEVVQHGACLGLGLAALGTADEEIFEDVKNILYTDSAVAGEAAGIGMGLLMAGTASEKAGEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVLALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESYDSRVGTFRRQLEKIILDKHEDTMSKMGAIMATGILDAGGRNVTIRLQSRSKHDKLTAVVGLAVFTQFWYWYPLTYFISLAFSPTAFIGLNSDLKVPKFEFLSNTKPSLFDYPKPTTQQTTTASVKLPTAILSTYAKAKSRAKKEAESKAQEKAEAPPSEDASTSMQVDGVAEKKAPEPEPTFQILTNPARVVPAQEKFIKFLEGGRYEPVKLAPSGFVLLRDLKPTETEELVLTDAPATQATNAAAATAGQQGSGAAAMAVDEEPLPPQPFEYTS >ORUFI08G07370.2 pep chromosome:OR_W1943:8:6695012:6701110:1 gene:ORUFI08G07370 transcript:ORUFI08G07370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVMVSSASGLLAMLQEPAAELKLHALANLNSLVHVFWPEISTSVPAIESLYEDEEFDQRQLAALVVSKVFYYLGELDDSLSYALGAGPLFDPSEGSDYAQTLLAKALDEYASIRSKSLKAAGEGDEKMDPRLEAIVERMLDKCILDGKYQQAMGMSLECKRLDKLEEAISRSDNLNGSLSYSIILSHQYVSHREYRCEILRCLVKIYQTLPNPDYLSICQCLMFLGEPETVGNILGKLLSGSKDDALLAFQIAFDLVENENQAFLLNVKNHLDTLSLQTSAQTLPSDQTAATEPSGDAQMTDDAITPNGNAHTVDPNEVAHADRLTKIKGILSGETSIQLTLQFLYSHNRSDLLILKTIKQALEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLTQGRALMAPYLPQSGAASGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSSEVVQHGACLGLGLAALGTADEEIFEDVKNILYTDSAVAGEAAGIGMGLLMAGTASEKAGEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVLALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESYDSRVGTFRRQLEKIILDKHEDTMSKMGAIMATGILDAGGRNVTIRLQSRSKHDKLTAVVGLAVFTQFWYWYPLTYFISLAFSPTAFIGLNSDLKVPKFEFLSNTKPSLFDYPKPTTQQTTTASVKLPTAILSTYAKAKSRAKKEAESKAQEKAEAPPSEDASTSMQVDGVAEKKAPEPEPTFQILTNPARVVPAQEKFIKFLEGGRYEPVKLAPSGFVLLRDLKPTETEELVLTDAPATQATNAAAATAGQQGSGAAAMAVDEEPLPPQPFEYTS >ORUFI08G07380.1 pep chromosome:OR_W1943:8:6706218:6711475:1 gene:ORUFI08G07380 transcript:ORUFI08G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGLLAFESNQGLWNSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFAPYFWPYLAQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEAGSMEATTDANVAVNQNTGADTYDKVKSQLSNFLPTSRRIMQFSNGQMVLLTFFMPAMLRSLRSARQLGDFLLVGVHDDQAIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVTEGSSVVGIDPYAVPKSMGIFQTITSPKPITTVSVATRIIDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >ORUFI08G07380.2 pep chromosome:OR_W1943:8:6707511:6711475:1 gene:ORUFI08G07380 transcript:ORUFI08G07380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGLLAFESNQGLWNSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFAPYFWPYLAQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEAGSMEATTDANVAVNQNTGADTYDKVKSQLSNFLPTSRRIMQFSNGQMVLLTFFMPAMLRSLRSARQLGDFLLVGVHDDQAIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVTEGSSVVGIDPYAVPKSMGIFQTITSPKPITTVSVATRIIDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >ORUFI08G07380.3 pep chromosome:OR_W1943:8:6707644:6711475:1 gene:ORUFI08G07380 transcript:ORUFI08G07380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGLLAFESNQGLWNSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFAPYFWPYLAQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEAGSMEATTDANVAVNQNTGADTYDKVKSQLSNFLPTSRRIMQFSNGQMVLLTFFMPAMLRSLRSARQLGDFLLVGVHDDQAIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVTEGSSVVGIDPYAVPKSMGIFQTITSPKPITTVSVATRIIDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >ORUFI08G07390.1 pep chromosome:OR_W1943:8:6711345:6715465:-1 gene:ORUFI08G07390 transcript:ORUFI08G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAAAAAPARSALPFRSRVAAAARPGRAPALSAAPGRRLVARRAAGDGQAVEAQEALPIEKRFPPFPSVMDINQIREILPHRFPFLLVDRVIEYKGGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEIGGSRDNFFFAGIDKVRFRKPVIAGDTLIMRMTLTKYQKRFGLAKMEGKAYVGGDLVCEGEFLLRKEHDEVANNGLSIFLDPKRLKLQKRRHSEQTKPPPRRRWTSRFSSGSGSLRRRRVSRLISGQVSSEL >ORUFI08G07400.1 pep chromosome:OR_W1943:8:6717652:6721838:-1 gene:ORUFI08G07400 transcript:ORUFI08G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSIVASLANTIGAAESVLRVAATGHDKKLFFKVVFTLYFLAALGRVVSGAAVAYAALCIFCLYMFAQSTDLFDQLPSWVPVGRDSLGGAQDTT >ORUFI08G07410.1 pep chromosome:OR_W1943:8:6726776:6727505:1 gene:ORUFI08G07410 transcript:ORUFI08G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANECDSFVCMMSEETHVEAYSLPMQNEDLHTSTNEHYHANCDFESDRNANSLEHFGLRNSPKFQTLESHKRPRSRKSSTPIESAGEEDEEGDDARKSTTPDLLQPSAKKRPM >ORUFI08G07420.1 pep chromosome:OR_W1943:8:6756975:6767853:1 gene:ORUFI08G07420 transcript:ORUFI08G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYTYPVRDWYPVRDWFPAEPARELTDEETAARVVFRQILSTPPFPSRNPKIAFMFLTPGKLPFEKLWELFFKGHEGRYTIYVHASREKPEHVSPVFVGRDIHSDKVGWGMISMVDAERRLLAKALEDTDNQLFVLLSDSCVPLHNFDYVYDFLMGSRHSFLDCFDDPGPHGVFRYSKHMLPEVREIDFRKGSQWFAIKRQHAMVVVADSLYYTKFRRFCKCFFLLSQPGMEEGRNCYADEHYLPTLFLMMDPAGIANWSVTYVDWSEGKWHPRSFRAKDVTYELLKNMTKELLQRPCLWNGLKRPCYLFARKFYPETLNNLMYLFSNYTIF >ORUFI08G07430.1 pep chromosome:OR_W1943:8:6789012:6789332:1 gene:ORUFI08G07430 transcript:ORUFI08G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSTKTASRHVTEVEEGTHAFEIAGYSARPQEGHGRRRQVRPIGHLCRRRLRLEHPLLPSSGASDKSPASSFFLVLDSENATAWASYELRLLKLNQDSGRRRTP >ORUFI08G07440.1 pep chromosome:OR_W1943:8:6789369:6789698:1 gene:ORUFI08G07440 transcript:ORUFI08G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSAGLQYSSCQIRWIFHCPGYIKDDTITVESTVKVIKESRLSETKGTSEIIQVPPSDIAEHLGKLASCWRQSREHMLPSLLEGGALRRIRSCSRCGLLSSRRSSAWV >ORUFI08G07450.1 pep chromosome:OR_W1943:8:6794208:6795461:-1 gene:ORUFI08G07450 transcript:ORUFI08G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKRGFMNLLNQGSPSQQSSQNSPPTQFPSTFSQSQFPQSPHFTQASPPNFQTFNPFGPPANYHLYGSSPPNFQAASKLVTICTNKLSRFSSPRKLDALTKSSCRVRLISWIRISLSDQPKWQRILEEDSKNKRTKISESGAYTSSSNQETEEETSRKEKRPEGQKKAKAKLKGKGKKPAPSPLGDQPSQDFVLFNEAVKLRAEAVLKSAEATTKSAEAKKEQTRMEKYQTYLKLLDKDTANFSDAKLKRHEAVLEKLATELAEE >ORUFI08G07460.1 pep chromosome:OR_W1943:8:6795984:6801493:-1 gene:ORUFI08G07460 transcript:ORUFI08G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLTPITKAKTTASRCTTGSEVGGHIFEINGYRFQKGIGVGKFVRSNIFTVGGFDWAASILMEFGGDKIEMIRHLLVAADRYAMDRLKLICQHILGKSLHVDNVATTLALANCWATEDEGGEESATVGRREEQGSGGGGGARWLARIQCRATDIEGGEGRAVVGRQEEQGSSGGHPPTRIHANPAARGMVSKKKKTVSRHTTESEEGRHSFEIVGYSLKKGIGVDEFVESATFAVGGYDWCIRFYPDGKGDGAKDYISVYLELLTKDCAVRAAYDLRLVNLATGLPKSVYSETTHRMFNSEDSSKFAPHYATFMHRSQLEMEASGYIKDDRLTIECFVTVVVQESMASNTVKAHELIKVPPSDILENFGELLEKGEGADVTFVVGGEKIAAHKIVLAARSSVFKAELYGQMKEKRARRVTVERHACSLMGLLHFIYTDSLPDMDDLSDDDYYEMIRLLLVAADRYAMDRMKLQCESILGEHLDVQTVATTLALADQHNCNGLKDVCIEFIATQNKMDDVVATEGYAEDLPFCLS >ORUFI08G07470.1 pep chromosome:OR_W1943:8:6803332:6805597:-1 gene:ORUFI08G07470 transcript:ORUFI08G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTSYYLPDRHEVETEKGDHGCQQNKAKDEHRHGSDASIVPSSWPPCHYRQLCLQDLEEKVQRMEGSGGLRRLNPLVPGVGVWMVQRPV >ORUFI08G07480.1 pep chromosome:OR_W1943:8:6812263:6818150:-1 gene:ORUFI08G07480 transcript:ORUFI08G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFPSLLLPWLPWLLGSLLSVYLLDLLAHSRRRLPPGPRPLPFIGSLHLLGDQPHRSLAALAMAYGPLMSLRLGAVTTVVASSPAVAREILHRHDAAFASRSSPDSTGDHARSSVAWLPSSAPRWRALRRIMATELFAPHRLDAAAPRRLRREKVRELVAHVARLAAGEGGKPAVVDVGRVAFATSLNLLSRTIFSRDLTSLDDHGGSKGFQEAVARIMEAGGRPNVSDFFPVLAAADLQGWRRRLARLFARLHRVFDAEVDARLREHDAGEARKGDFLDVLLGIAARRDDAAELDRDTLRSLFTDLFCAGSDTSSSTVEWAMAELMQNPKSMSRVCDELSQVIGLGRNIKESEIGQLPYLQAVVKETFRLHPPAPLLLPRQAEMTMKIAGYTIPKGTRIFVNVWAMGRDKDIWPEPEKFIPERFLGSKIDFKGVHFELIPFGAGRRICPGMPLANRMVHLILGSLLNQFKWNLPVKVERNGIDMSEKFGLTLAKATPLCALVTPISVKPADHQE >ORUFI08G07490.1 pep chromosome:OR_W1943:8:6819641:6820738:1 gene:ORUFI08G07490 transcript:ORUFI08G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSKKKKTVSWCTTEVSEGTHAFKIVGYSLNKGIGVGTFIRSGTFAVGGHDWAIRLYPDGVTEDSMDYVSVYLELMTENAKAMAFYTLGLVDPVTGGIRCNWSRSSPRLFDSSDSSRFGPRSPLFIPRSDLEMEESGYIVNDRLTVECEVTVTKGPQVSRTIGCSEIGVPPSELSEHFGKLLEEEEDVGRDVVFSVEGESFAAHKLVLAARSPVFKAEFYGEMIERGTFSIDIKDMQPSVFRALLHFIYTDVLPADIGDLEGDDYVEFIRHLLVAADRYAMDRLKLMCQSILGKYVDVKNVATTLALADQHNCDKLKDVCIQYICSLDEVDAMVRTKGYANLKRSCPSVLADLFEKTSKFRAS >ORUFI08G07500.1 pep chromosome:OR_W1943:8:6827449:6828049:-1 gene:ORUFI08G07500 transcript:ORUFI08G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDDDDNGPSQTVLRSLTDDETLILMKALHKLFFGPSRIDDDASFDGKLMMMVNSDELLMTKTSSDVKLVRI >ORUFI08G07510.1 pep chromosome:OR_W1943:8:6831795:6834963:1 gene:ORUFI08G07510 transcript:ORUFI08G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWRISSLHAYLCPLSTNLWWLPLPSPTATTTLLLISPTSSASLLSPIQSDDPTPRPRLLYGYNVKTRKIPMRCYGRKRPWKRASFYGRVRNVNEGVIVAELVEQDGVRSSCRHGKEKLAGGSKMTTHGLGGTERHGMKQFTSLVACFESVAN >ORUFI08G07520.1 pep chromosome:OR_W1943:8:6837101:6843097:1 gene:ORUFI08G07520 transcript:ORUFI08G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKRMSRYAKKHEEATLKFDVVGYSLNEGMAAGEFIRSPAFAVGATTGQSASTPTAPRACSPVFKAELYGGMKEREARSVTVDDTQPDVFRALLHFMYTDSLPDMDGVEDADYVEMIRLLLVAADRYAMDRMKLLCESVLDDLLDAETVGTTLALADQHSCNNLKDVCVKFMATSKGMDAVMATEGYDNLKRNCPYVLIDVLEKEIEEASPPPRRRRSPSSPATAAAPPPIASALNAPKPGMVSKKKNTTASRHTTESEEGTHSFEIVGYSLQKGIGVDEFIESATFAVGGYDWCIRFYPHGKGDGAKDYISVYLELLTKNCAVRAAYDLRLVKHATGLPMSVYSETTHRMFNSDDSSKFAPPYATFMNRSNLEMEASGYIKDDRLTIECFLTVIVKESMASNTVKAHELINVPPSDLSENFGELLEKGEGSDVTFVVGGEKIAAHKIILIILAARSSVFKAELYGQMKEKRARRVTVEDMQPDVFRGLLHFIYTDSLPDMDDLSDDDYYEMIRLLLVAADRYAMDRMKLQCESILGEHLDVQTVATTLALADQHNCNGLKDVCIEFITNQNKMDDVVATEGYADLKRTCPSVLVDVFEKASKFRRI >ORUFI08G07530.1 pep chromosome:OR_W1943:8:6846555:6848591:-1 gene:ORUFI08G07530 transcript:ORUFI08G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYHRHQSAAAPTCSATHSVHGIFSNYLDHDMTHFFSRPSYSAGCGIDGCFKFLPIDAIGFNKSLHYEVFLIPDLPEIPTKKKCHKGPLVGKDATAEWPPSVHTLWVFSSRTGRWEDKAFLHEGHATNMAGTSLEVLLDSPDMMSWGPRFIRAEYWNGALYVHFQGSFVMRLSILGAKYRVIKTPRFDGPGGYT >ORUFI08G07530.2 pep chromosome:OR_W1943:8:6846555:6848591:-1 gene:ORUFI08G07530 transcript:ORUFI08G07530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYHRHQSAAAPTCSATHSVHGIFSNYLDHDMTHFFSRPSYSAGCGIDGCFKFLPIDAIGFNKVLDHCNGLILYHGEISEQYKLFVCNPATHRWVQLPPFTEYDSLCISAEYLVFDPAESLHYEVFLIPDLPEIPTKKKCHKGPLVGKDATAEWPPSVHTLWVFSSRTGRWEDKAFLHEGHATNMAGTSLEVLLDSPDMMSWGPRFIRAEYWNGALYVHFQGSFVMRLSILGAKYRVIKTPRFDGPGGYT >ORUFI08G07540.1 pep chromosome:OR_W1943:8:6853297:6857273:-1 gene:ORUFI08G07540 transcript:ORUFI08G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFKKMSRMTKSNAPEDEPNNMPSSSAAAAAACGPPEFTPGWKTVSTCAPDVVGEGVHVFDIFGYSDHKGMGAHEPIRSGAFSVAGLDWVACLYADGYGVAGIDDVSAYLRLLGDAPTARVWVSCEVKLVDQRTGVASTPQPFLRYALAFGDKCKALHCMMIPRGQIEVEPYLVDDRLTMEFHVVVRRDPRVSRTARFPRILVPPPDIKRQFANLLQSKEGADVTFDVAGEPFSVHKLVLAMRSPVFKAELCGLLREPGTQPITIVDMQPAVFRALLQFIYTDQFPVTRGFERRDNCEMIWHLLVAADRYAVDRLKLLCQGILCKNLNVHNVATTLALADQHQCDKLKDACIEFMSCSKKMKGVVASKGYEDLQRMAPSVLADAVAQMSKLNKMSRGSIPQDESKSC >ORUFI08G07550.1 pep chromosome:OR_W1943:8:6857286:6858353:-1 gene:ORUFI08G07550 transcript:ORUFI08G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHQMESVPRTVSTVVPNTAEATHVFDIVGYSQMKGRGREEHVTSGTFVVGGLHWAILLFPDTHVILLDDEEDNVTAFLELQSQGGSKVRACCDVRLVDQTTGLASSAAPAQPDAKTFKVFNADESNRVSCLKMKRTEFEAPPYLVDDRITLECVVTVKKEPRVSRASPVPRIKVPPSNMMQQLGDLLESKEGADVVFDVTGETFPAHKLVPAMRSPVFKPELCGPMRESGTEPISIVDMQPVVFKALLQFIYTDWLPSIRDLEGDDNSEMIRHLLVAADRYAVDRLKLLCQSILCKNLRVGNVATTLALADQHHCGMLKDACIEFMSCPNMLDDVVASQGFVDLENTAPSLIA >ORUFI08G07560.1 pep chromosome:OR_W1943:8:6872061:6875114:-1 gene:ORUFI08G07560 transcript:ORUFI08G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLDSLPTSNPPSPPPPHQMARAKTVSKCITETAEGSHVFSITGYSQKRGMGVSKCVRSGAFSVGGHDWAIRFYSDGHGSSDYIHIYLDLLNRGVEVHASSDLRLLDHTTGLSTSVTKTLPKASAYLRDDRLDIECVVTVMKEPRVSQTKSSPKVAVPPSDIAAHLGKLLESKEAADVTFYVGEDTFAAHKVVLAMRSPVFKAELFGPMREAGAQVLPIKDIQPDVFKALLHFIYTDSLSIIDDLVGDDRGEMIRHLLVAADRYAMERLKLICESDLCENLNVQTVAATLALADQHHCASLRDACIEFMSSSSMDDIVATQGFVDLKTNCPSVLVDAFVNMSMLNKRDN >ORUFI08G07570.1 pep chromosome:OR_W1943:8:6877131:6878582:-1 gene:ORUFI08G07570 transcript:ORUFI08G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVARDCSESTRNGGFWGVMVVDLEKISGREVTEFQQHSIGPLVHSGLVGVAFVGSKQIGRLAFLTVFSSSSSAATAGGHHRNVHLVRHFPLLPSSSSPISETPCSPSCSGKHGGAEEDQVDVRLEDEARRARVGGPGVQPAQGHGGRQLHQIRYTTSDRIFGDYPLLSRKVRMPSPRTLLTVCRHKTPITLDWSRNPIFGDGKPSQVI >ORUFI08G07580.1 pep chromosome:OR_W1943:8:6879498:6879775:-1 gene:ORUFI08G07580 transcript:ORUFI08G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRIDGRIRELEDECYRLVSEGHESTLVIRFRIEKMEALRAKLISRYLQQQQNDDGGDSDGGDSPPPPPPPAAGGAAAVN >ORUFI08G07590.1 pep chromosome:OR_W1943:8:6879865:6880044:-1 gene:ORUFI08G07590 transcript:ORUFI08G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQGNNNQAAAPAAPPPLPPQPPRPPLRRQPLLDAAATEAATATATAAGPAPETPGA >ORUFI08G07600.1 pep chromosome:OR_W1943:8:6889349:6893807:-1 gene:ORUFI08G07600 transcript:ORUFI08G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEPLPMSRKLSSGGGWFDGEDPVEEGVLGDDAAKADAGGVGGGEELYSAASACVNITRNLSLPIKTNPEHDISSCEQGLLSESHGKIPFLFSEIFSHHFKLFNSSPLSPFLPPPLLSFALSFSPLFASSPSATRDDYGTNNGGQAGGRPAGGGSGSGRPASGSATPVLESDGGARICFTQPQECRRREWETSAWIRRLPANGDEQICSPRPQSDRGVRICRPQPREQAIYLAPPPSGAITATATK >ORUFI08G07610.1 pep chromosome:OR_W1943:8:6923784:6924938:1 gene:ORUFI08G07610 transcript:ORUFI08G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSASRLRPSSTTRTASSCKPETARGTHVFTIHGYSLQAVDGAGSNKASFIRSAAFDVGGFDWCLRYYHNGNIESDDDYISVFLELMTKDAEVRTIFDIRMLDQYTDDSSCVLVSTTNNTRRVFGTTNFKSKCLVWGSKNFIRRSELEGSVYLRDDRLMIECNLTVIKTPLVKTEERAAMPGDIIHFQVPPTNLSRDLGKLLEDNVGADLSFEVGGEVFPAHSVVLAARSPVFMAELYGPMRAKRGERIAIQDMQPVVFKALLHFMYTDSFSPAINDDLSRDERQELAKHLLVAADRYAVEGLKTICEKALCMSLSVDNVATIVALADQHNCGRLKEACVKFIASSNRLDDVVETEGYGRLKTSCPSILLDVIEMATKSRKIN >ORUFI08G07620.1 pep chromosome:OR_W1943:8:6932171:6939527:1 gene:ORUFI08G07620 transcript:ORUFI08G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNPFHPHRHQLLPPAAAVNSARTPPPTPHPCLLRRLPLLFSSSLSSAPIKTTKDTPLFSLVHLFLYRLFFFFSTALGIEIQEAPRKSPCSVPMGMKRPKLSWKDKWCILKEATRLYGASWVRDIGPDLRPNDYNYKKAKESEPTTVEDLVGALKGGAEKAKNALQRMYMARASNYTDALKNYVESYKEGLKEHLEEEAMGKGHRQGNDEIKPP >ORUFI08G07630.1 pep chromosome:OR_W1943:8:6941755:6942246:-1 gene:ORUFI08G07630 transcript:ORUFI08G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKEGATTTLMPAAKAFAGAGGGGDNVEKKPPVVSKKVPMPQVLLDYILSWTRMGPWPSSDDDSEFLLSPEHRNQDDDDGFAAFEAKVRAQREELSAFLNKKDDEFAVFQAKVRDEVDENGCYMVDGTYFADLEAAQALSNELFAKLNMSGILFEEDCQQAS >ORUFI08G07650.1 pep chromosome:OR_W1943:8:6953540:6955537:-1 gene:ORUFI08G07650 transcript:ORUFI08G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFTGTGTIVVSDDSLYAAFGYGDPFGFAPRARPPIRSLPFAAGGYQWCLWFHPTTFAGFFGFGVELLTAGAKARASFEFGPVDAASHNVIVRMPPFLFDHPHHPMVIMVWPKAMLAEEATLFVRDHAVVFRVDVTVVPDEPLPPDAGVGDDDVLPPSDMLAQLGNVYDTKEGADVTFSVDGELFAAHRVILAMRSPVFRAAVYGEMRESGRGGGPIAIDDMRPDVFDALLRYIYTDALPAAADDDDMEATWSDLLVAADRYGVERLKLICERALRGRLDAGNVADMLALADRQHCETLKDACIKFMATSGKMEEVKASQGYVQLRTSCPLLLVEMVADRETGAKDQIGVYLELITKGTKARASYKLRLLNHVTACPCLWYTCPLKIYDSIDDNKSFTWGTKKFADRSKLEVASEYLQDVRLVIECDVMPLVRIEAIAEIIRSPSSPWSQLPDGADVSFKVNGEAIDAHSMVLVARSPVFKAELNRLIRGERGHKITI >ORUFI08G07660.1 pep chromosome:OR_W1943:8:6968906:6969115:1 gene:ORUFI08G07660 transcript:ORUFI08G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPHGVANLVGRIAAAAGGDAEVVAGGLAYEPEVYAPMMEEEALSFSSAWGVSVLLRVCVIFFKTVTN >ORUFI08G07670.1 pep chromosome:OR_W1943:8:6971883:6973865:1 gene:ORUFI08G07670 transcript:ORUFI08G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALASFMDLEGRPHQHRWSMRRGGGLFFPQISSAAGELGWSSDSSTASSPAPSTLSSSCMSSPWGGGSGRWSASPAPAASSGLHQMVRDNYTQGLIRAFGRRRHDEALLHKWFSQLDVEWVLLLHAGQRDLDSSSVEDLMALMERWMRALLIMVQVLSMTLLELRDRRSSSSLAGTDDDDAFLLRTKGSAGNRSPAPELPDFVCVQEVVQFAEASILRMLAFVDAITLAALNDDHRRRHREPEMLPGMLYLYDCFSDASPTRHPMSSGSGSGKNEARPGPAFDDAINGIFSRKRSKLSDAIWGMMEKSHRTQLMMNYIALVWCNGDVLKFILQDHHFRLFISDTEGFNAVVNLITDMISCLRSKLEEASLLISDPGLRCIFLLNNWQLVLRRVESMDLPSSALIETSMTQRYLSLDRFESEFQRTYTNHKFWKVPNPDLRQRLRQAIVQKVVTHYSMYLEERAARGMHNQPPKSTPEQLKELLDELFEG >ORUFI08G07680.1 pep chromosome:OR_W1943:8:6974897:6976024:-1 gene:ORUFI08G07680 transcript:ORUFI08G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTTGGIPAAGEDGCGEDRSAPAAARMCTATTATGDGDDGDLDRSGDDGDHEGSGGTAPSHPLLSPLPDQSEGRRPSPGRTGVGRTDPPGRRRGCARRPRRKRRRHALPSPPLPSPRSVRGEEAVAGEDGRGEDGSARPAVRMCTATTKKAAAPRPPIPSSPLSQISPRGGGRRRGGRAWGGRIRPAGGADVHGDHEESGGATPSHPLLSPLPDQSEGRRPSPGRTGVGRTDPPGRRCGCARRPRRKRRRHALPSPPLPSPRSVRGEEAVAGEDGRGEDGSARPAARMCTATTKKAAAPRPPIPSSPLSHICPMGGGRRWGGRARGGRIRPGGGRRLEEHGSAPAVARGARPRGLDLVTTDAVGPRAVVLGFL >ORUFI08G07690.1 pep chromosome:OR_W1943:8:6978698:6979469:-1 gene:ORUFI08G07690 transcript:ORUFI08G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFDLNQPINWDEIDDLEGVVPYLNYDFVWDPGNEDGEGSGEERSDGDDDAGGGADAGDGAEAVDAAETTGINIRKRRHYPPDMKRSIYALCLERSTNGIIKERVTKSVANDMRVGKLWRVVQRVWRPQKNFPLTLMHWWRNPL >ORUFI08G07700.1 pep chromosome:OR_W1943:8:6981826:6982234:1 gene:ORUFI08G07700 transcript:ORUFI08G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVPSGLKARPIHRALYFASLVVVPVVLRKRPRHSPLVRPGRQRPNNNRAMPCLDQAKSPCRGPGRRAVGLLANSNVPTLLPHRHQGPTYARTSHVS >ORUFI08G07710.1 pep chromosome:OR_W1943:8:6982254:6987442:1 gene:ORUFI08G07710 transcript:ORUFI08G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAQTLTLARPAAAAAAPLLPLRGLATKVEVIEIDLAEDDDSSASTSGPASSPASVEVVGVRRLEEAIHGVMVRRAAPDWLPFVPGGSFWVPPMRRPHGVADLVGRIAAAASGADAEVVAGGLAYEPEVYAPMTEEEALSFSTARGWPSASYFVEAYGKVPENLCPSMHLLLRYADASTRKGCSGLHVSAMTNVHMDDGKDPSAN >ORUFI08G07710.2 pep chromosome:OR_W1943:8:6982254:6986387:1 gene:ORUFI08G07710 transcript:ORUFI08G07710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAQTLTLARPAAAAAAPLLPLRGLATKVEVIEIDLAEDDDSSASTSGPASSPASVEVVGVRRLEEAIHGVMVRRAAPDWLPFVPGGSFWVPPMRRPHGVADLVGRIAAAASGADAEVVAGGLAYEPEVYAPMTEEEALSFSTARGWPSASYFVEGLHVSAMTNVHMDDGKDPSAN >ORUFI08G07720.1 pep chromosome:OR_W1943:8:6990279:6991418:-1 gene:ORUFI08G07720 transcript:ORUFI08G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFISRYPDSDLRTAKDGQCMKVTGVVTYGNFPLESSFQRVPRCLYIGLACMSTAYRGWINLTWNSGQWRMQTAIILPNINPAISHFPCYDCGDMLSISTFLISNLDGEHWSEKDMGHGYIEEGSRVCIMGVVQRNDNVLMIVHPSEPISTGTKIHQTC >ORUFI08G07730.1 pep chromosome:OR_W1943:8:6991431:6991690:-1 gene:ORUFI08G07730 transcript:ORUFI08G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGATSFAHSRAVTNLNVEDGYSIKGSIPKSILWIYGCSSLCDGGFILGAVHNPILLVVCGGDTLFLSLQLRFGILIY >ORUFI08G07740.1 pep chromosome:OR_W1943:8:7004020:7008819:1 gene:ORUFI08G07740 transcript:ORUFI08G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKAPVICSTAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLGNQPSRNTSFGGAGSNSGPVSNALGRSNYSGSISSSVPGAGGSARAKSNSGPLNKHGEPGKKSSGPQSGGVTPMARQNSGPLPPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLDPSVSMKMRATSFAHNPAVTNLNADDGYSIKGSIPKTILWMVILLFLMGFIAGGFILGAVHNPILLVVVVVIFCFVAALVIWNICWGTRGVTGFVSRYPDADLRTAKDGQYVKVTGVVTCGNFPLESSFQRVPRCVYTSTCLYEYRGWDSKAANTEHRQFTWGLRSMERHAVDFYISDFQSGLRALVKTGYGARVTPYVDESVVIDINPDNKDMSPEFLRWLRERNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPSEPISTGCQWAKCILPTSLDGLVLRCEDTSNIDVIPV >ORUFI08G07750.1 pep chromosome:OR_W1943:8:7010311:7015955:-1 gene:ORUFI08G07750 transcript:ORUFI08G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAAVARLGCDQVLSILHLLPAESVLSFAAACRAFHAWASSDALWEALCRRDWGARAAAALAERRRRGGGGGVPWRRIYAEVALLGALSARRVPVKGASPRPRASHSLNLVAGWLVLFGGGCEGGRHLDDTWVAYVGNGAGNRSSAVFSWQQLDSGTPSGRFGHSCSIVGDALVLFGGINDQGQRLNDTWIGQIICEESRRMKISWRLLEVMA >ORUFI08G07750.2 pep chromosome:OR_W1943:8:7010311:7015955:-1 gene:ORUFI08G07750 transcript:ORUFI08G07750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAAVARLGCDQVLSILHLLPAESVLSFAAACRAFHAWASSDALWEALCRRDWGARAAAALAERRRRGGGGGVPWRRIYAEVALLGALSARRVPVKGASPRPRASHSLNLVAGWLVLFGGGCEGGRHLDDTWVAYVGNGAGNRSSAVFSWQQLDSGTPSGRFGHSCSIVGDALVLFGGINDQGQRLNDTWIGQIICEESRRMKISWRLLEVGPHAPYPRGAHAACCVDNKFIVIHGGIGQSGSRLGDTWLLDLSNGLRSGIWHQIEDTEPLPLSRSGHTLTWIGGSRMVLFGGRGSEFDVLNDVWLLDINERYPKWKELKYDLSSVLGEMPFPRVGHSATLVLGGKILVYGGEDSQRRRKDDFWTLDLPALLQFESGSKKMTKRMWKKLRIDGQCPNYRSFHGACVDTSGCHVYIFGGMVDGLVHPAEASGLRFDGQLYQVDLVMA >ORUFI08G07750.3 pep chromosome:OR_W1943:8:7011635:7015955:-1 gene:ORUFI08G07750 transcript:ORUFI08G07750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAAVARLGCDQVLSILHLLPAESVLSFAAACRAFHAWASSDALWEALCRRDWGARAAAALAERRRRGGGGGVPWRRIYAEVALLGALSARRVPVKGASPRPRASHSLNLVAGWLVLFGGGCEGGRHLDDTWVAYVGNGAGNRSSAVFSWQQLDSGTPSGRFGHSCSIVGDALVLFGGINDQGQRLNDTWIGQIICEESRRMKISWRLLEVGPHAPYPRGAHAACCVDNKFIVIHGGIGQSGSRLGDTWLLDLSNGLRSGIWHQIEDTEPLPLSRSGHTLTWIGGSRMVLFGGRGSEFDVLNDVWLLDINERYPKWKELKYDLSSVLGEMPFPRVGHSATLVLGGKILVYGGEDSQRRRKDDFWTLDLPALLQFESGSKKMTKRMWKKLRIDGQCPNYRSFHGACVDTSGCHVYIFGGMVDGLVHPAEASVFTSNCLIIDLKISLKIFRQTFTMLTFHLADIGHYNDGPNWCSSANCGGMHTCLPLRMSLSAYGFKLAFLCQVHMFPKNVCQYHPEQIVVSLPLMGSLMTVKRGISLPSMTRLKRHG >ORUFI08G07760.1 pep chromosome:OR_W1943:8:7021042:7021782:1 gene:ORUFI08G07760 transcript:ORUFI08G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSHFPSISFLLHLIPSLFSSSCVASDNDGGGRARDDDTVLSHQRPCRAGRMPAACSVTPSMCPRPPQHRPRCAGRMLLRVRRRCPRRDGRMPNIAATTPTPLRRKECSRSVKMGCVLKDVDDDGKGCHSAAPHMIMARGSRYAAACRRTMKMRCLCAQPRMRMTRGCGCFTSAALLLAHGAIHFSGVVAFAAAAPPSLPLSRLARISRACFPSMRLRTATTRSVVASMVAELCAALVLDLPSL >ORUFI08G07770.1 pep chromosome:OR_W1943:8:7029283:7033503:-1 gene:ORUFI08G07770 transcript:ORUFI08G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEDKAMASRVASPSPKSMASESDPSMMLAITSNMEHSQANNQSVSVLGPVAIFWDIENCPVPSDVRPEDVAGNVRMALRLHPVVKGAVTMLSAYGDFNAFPRRLREGCQRTGVKLVDVPNGRKDAADKAILVDMFLFALDNRPPSSIMLISGDVDFAPALHILGQRGYTIVLAIPSSVTVSSALSSAGSFVWDWPSLARGEGIVAPRSIGRRFADPPGYQHGGNFGSFPDTQNEEEAIVYMGTSRNECSGRTTSNQIYCYNSSQTTREPSKAFYTVTDGNCGTSSRSHNLACSLNEGPDVDQGLPDERSWWVRPGDLQGLKGQLLRLFELSGGSVPLVRVPSEYLKLFGRHLYVSEYGAVKLIHLFEKLADSFVVIGKGHRKVICLRNSGDSNLKKYSTTPIILKKENRGGSILDESTIGTGQQLGSSSDDFSEDERNINPDVDGAYAFDSHLDNFRQEIQELLVCYSCPVPLGNFKSLYEQRYKKTLIYESFGVDGLEELVEKVKDVVELCEDQTSKRKYLIANYRS >ORUFI08G07770.2 pep chromosome:OR_W1943:8:7029285:7032721:-1 gene:ORUFI08G07770 transcript:ORUFI08G07770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTRAPNNMSFEDKAMASRVASPSPKSMASESDPSMMLAITSNMEHSQANNQSVSVLGPVAIFWDIENCPVPSDVRPEDVAGNVRMALRLHPVVKGAVTMLSAYGDFNAFPRRLREGCQRTGVKLVDVPNGRKDAADKAILVDMFLFALDNRPPSSIMLISGDVDFAPALHILGQRGYTIVLAIPSSVTVSSALSSAGSFVWDWPSLARGEGIVAPRSIGRRFADPPGYQHGGNFGSFPDTQNEEEAIVYMGTSRNECSGRTTSNQIYCYNSSQTTREPSKAFYTVTDGNCGTSSRSHNLACSLNEGPDVDQGLPDERSWWVRPGDLQGLKGQLLRLFELSGGSVPLVRVPSEYLKLFGRHLYVSEYGAVKLIHLFEKLADSFVVIGKGHRKVICLRNSGDSNLKKYSTTPIILKKENRGGSILDESTIGTGQQLGSSSDDFSEDERNINPDVDGAYAFDSHLDNFRQEIQELLVCYSCPVPLGNFKSLYEQRYKKTLIYESFGVDGLEELVEKVKDVVELCEDQTSKRKYLIANYRS >ORUFI08G07780.1 pep chromosome:OR_W1943:8:7037951:7038196:1 gene:ORUFI08G07780 transcript:ORUFI08G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVEAARRAMGWCGAEEERETASRKAPGACPRCGGAVVATDVESSRRILCLPLCLRNKKKFSCSRCRRALVAIVSSSAAA >ORUFI08G07790.1 pep chromosome:OR_W1943:8:7046753:7053244:-1 gene:ORUFI08G07790 transcript:ORUFI08G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYAKFKMKSVIFQGDVGEVTQSVAQDETKGGK >ORUFI08G07790.2 pep chromosome:OR_W1943:8:7046753:7053244:-1 gene:ORUFI08G07790 transcript:ORUFI08G07790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYAKFKMKSVIFQGDVGEVTQSVAQDETKGGK >ORUFI08G07790.3 pep chromosome:OR_W1943:8:7046755:7051108:-1 gene:ORUFI08G07790 transcript:ORUFI08G07790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSMESSLCYRLVLSAPNTDLHHPFKLNLIDIFSGSHFFQSAGDHILKPYGSTMQYAKFKMKSVIFQGDVGEVTQSVAQDETKGGK >ORUFI08G07800.1 pep chromosome:OR_W1943:8:7047582:7049981:1 gene:ORUFI08G07800 transcript:ORUFI08G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYIIPLSLILFIIQANPSTGAQINETTIPQGSQINTVGTQSWVAPSGRFAFGFYPEGEGFSIGVWLVTGATRTIVWTAFRDDPPVSGGSILLTAGGSLQWIPANQGSQGKLISAAPNSATSAAILDNGNFVLYDVKKQVLWSTFGSPTDTILPGQNLLPGNQLFSSISNTNHATGKYRLSNQEDGNLVMYPIGTVDPGSAYWASGTFGQGLLLTLSLDLNGTLWLFDRNSSYTKMLFLTNQSLSTSPDSESYYRLTLDADGLLRLYAHVFFKKGREPLTKIEWLEPSSNDRCGVKGVCGPNSFCQVTASGETSCSCLPGFEFSSANQTTQGCWRVRTGGCTGNSSNGDIGPTATMVMVKNTSWSDLSYNVPPQTTTMEECKAICLSDCACEIAMFDTYCSKQMLPMRYGKIDHSSNTTLFVKVYSYEPKGPMRRTRSAISTAMLISGSALAIFSLVVLSVSVLLSKRHQFSRYTRAPQHQDAEFDKESVGIRSYSFHDLELSTDGFAEELGRGAYGTVFRGVIANSGNKVIAVKRLERMAEDGEREFQREVRAIALTHHRNLVRLFGFCNKGAYRLLVYEYMPNGSLANLLFKPDPPLPSWSKRVAIALDVARGLQYLHEDIEVPIIHCDIKPENILIDGTGMAKIADFGLAKLLIGNQTKTFTGVWGTRGYLAPEWSKNTAITVKVDVYSFGVMLLEIISCRKSMELKMAGEECNISEWAYEYVVSGGLKEVAAGEDVDEVELERMVKIGIWCTQNEPVTQPAMKSVVLMMEGSVQVRRPPPPASFSQSLLRTGSR >ORUFI08G07810.1 pep chromosome:OR_W1943:8:7060705:7060970:-1 gene:ORUFI08G07810 transcript:ORUFI08G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGWEEAMDLIGSHTTVAGQGATRQGRQRGIEEEVLDLAGGHTTAGCRQRKIGEEALDLAGGYTAAAATWQRC >ORUFI08G07820.1 pep chromosome:OR_W1943:8:7062171:7063164:-1 gene:ORUFI08G07820 transcript:ORUFI08G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLFLLGALLVLASWQAIVAYDPSPLQDFCVADMNSPVRVNGFACKNPMDVSSEDFFNAAKFDMPRNTFNKLGSNVTNLNVMEFPGLNTLGISLARIDYAPMGVNPPHIHPRATELLTVLEGTLYVGFVTSNPNKLFSKVVCKGDVFVFPKAMIHFQMNLDHDKPAVAQSALSSQNPGVITIASAVFGSQPPISDDVLTKAFQVEKKLIDWLQSQFWENNY >ORUFI08G07830.1 pep chromosome:OR_W1943:8:7074777:7078191:-1 gene:ORUFI08G07830 transcript:ORUFI08G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYFIDPTTTWHRFPSPSRLLLRRRRFPTSGGEQPGCGCALAGPGRSGTHGRSLRGIGDGNGGRPAGSFSSGQIDGALAAGFRGEQVATQSRYFADLGNPRYFSLADKHARTELLLVVTG >ORUFI08G07840.1 pep chromosome:OR_W1943:8:7081929:7085718:1 gene:ORUFI08G07840 transcript:ORUFI08G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLASTPTSSASPPRPWARCHRKLPALVHLRLTISTFQSSMVSKTGEVSAFLFQPQNSEMKDIQIDRDSFSSIQY >ORUFI08G07850.1 pep chromosome:OR_W1943:8:7124678:7126258:1 gene:ORUFI08G07850 transcript:ORUFI08G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGITPAGGFRWRSYRDLIRDVFIAGDMYCGPAKLLFPQITGSAGEDQARCGWSSASSVASSPVVSSSYMSSSGSPAWSSASSSPVHKLRVIAQQMVGDGYIQELIRAFGRRRPDELIFQRWFSQLDVDFVLVLHTDGMVRADSFSVEDLMALIKRWIRALLTMVQVLNITLLELPLPVAGSTERMAAAADHAQFTGFAEESILRMLAFVDAVTLSALNVNDDHRHRTPELLPGMLQLYACVSEACDLLVSAGMGKDEITKMQALDAMNNGILMQSRRKLSDAIWVMMEKVRALFLMDACWQVSQEAAASGTHETTELTMNYITLLWRNHTMLDYFSVFVSDADSFSSVARLIAEMITCLECKLEETSLSIPDLGLRFIFLLNNWHRVLQRVESLRDLPAAVRQERILLLHASDSKIKRYIDDYLNASWSPLLRCLLIDKPFVALGRSHESKIETQLQTTYATQKFWKVPNPQLRQRLRRAIMSKVIPDYSKYIEQMDRQNKINRHLVVTSPEQLEQQIEELFEG >ORUFI08G07860.1 pep chromosome:OR_W1943:8:7133875:7141159:-1 gene:ORUFI08G07860 transcript:ORUFI08G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKSKEVNNTTCLQYYKLIDLFHYELKPTEPTKGGMPLAEADSIPKLIVTGRRNDGIGWAFWPTASRCPQLQGLGGSHALACRVRLLHALSLVFSRLSFQKSNAQPFFASLFPTSPPFSLSLTLLFSVSPPFAKPYSLALPRPIRHGGAAISPRRSDVLLRRGRFDAARAPRGGGQRRPPPPQEYAALTLSLTHPQPPRFWGFGWRLVRALDKVVEAARTDGGIWALQLAAGNEQLEVCHYLVEGLRVDVNAADDEGRTPLVFAVIGENAAIVKYLLDHGADPDKADDDGLTPLHSAAGIGDCEMIEMLLAKGADIDPAVNVSSVECVKLLVEAGADVNSDCISTAALDSAMGNDGSTECLNFLMEAGANYGGPNDFRVYSVCGCSLPHFWQPKFGNILVEDMIHQPWHQVLRCLQNSIIKLCLLSNSLVGFLLNVNLC >ORUFI08G07860.2 pep chromosome:OR_W1943:8:7133875:7141159:-1 gene:ORUFI08G07860 transcript:ORUFI08G07860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKSKEVNNTTCLQYYKLIDLFHYELKPTEPTKGGMPLAEADSIPKLIVTTYTARAQVTGRRNDGIGWAFWPTASRCPQLQGLGGSHALACRVRLLHALSLVFSRLSFQKSNAQPFFASLFPTSPPFSLSLTLLFSVSPPFAKPYSLALPRPIRHGGAAISPRRSDVLLRRGRFDAARAPRGGGQRRPPPPQEYAALTLSLTHPQPPRFWGFGWRLVRALDKVVEAARTDGGIWALQLAAGNEQLEVCHYLVEGLRVDVNAADDEGRTPLVFAVIGENAAIVKYLLDHGADPDKADDDGLTPLHSAAGIGDCEMIEMLLAKGADIDPAVNVSSVECVKLLVEAGADVNSDCISTAALDSAMGNDGSTECLNFLMEAGANYGGPNDFRVYSVCGCSLPHFWQPKFGNILVEDMIHQPWHQVLRCLQNSIIKLCLLSNSLVGFLLNVNLC >ORUFI08G07870.1 pep chromosome:OR_W1943:8:7162785:7163250:-1 gene:ORUFI08G07870 transcript:ORUFI08G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRRPHKRSVADPSVAAHPPVAGSPPIIGCHPASIAVAVGCRSLSGVDCPRYWIKAVAHRRASSTATAARWLAARSSRPAPISSAHQVNQVQRMYWRRGG >ORUFI08G07880.1 pep chromosome:OR_W1943:8:7178905:7179858:-1 gene:ORUFI08G07880 transcript:ORUFI08G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKSRRLGTGLEGEIRVLGGSAWARRPSGMDQVGREEGEARERREREGERKDFGCEPRKREEDFGPNLAQRRKEDYF >ORUFI08G07890.1 pep chromosome:OR_W1943:8:7180605:7181441:-1 gene:ORUFI08G07890 transcript:ORUFI08G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGTNRPLRGTKFSKSKPLSQLLADIIYHNAVVVTVLGAGTPTKTKVVAMVSTISKLEPGGIALLPFKHRLYLALFMDKDEQEQAAERLIEQKTYTFQTTRLAFDPFKPNMHSVKNPLRQRVIIALEGIPPETWNDEATPELLDNSCMVEEIYEQYHIQDVSIFRLAAWTTDVNLIPKIMDWNIETKGPQHTSKVDWDREAATATVLVHIEKLFDYSDDGSGSDTDGEMLRHYEETNSRPGSYHHEFSVDTKSSGLKSKAFRSSIHICTTTPSTSRA >ORUFI08G07900.1 pep chromosome:OR_W1943:8:7196727:7199311:1 gene:ORUFI08G07900 transcript:ORUFI08G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVAKAAVVPESVLKKRKREEQWAADRKEKALAEKKKAVESRKLIFARAKQYAQEYDAQEKELVQLKREARMKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLQNNKVIEEGLGKHDIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >ORUFI08G07910.1 pep chromosome:OR_W1943:8:7200472:7201735:-1 gene:ORUFI08G07910 transcript:ORUFI08G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPHVRRQAASCRCAISAPSAILSAASATAAAGRRQASARARSTAPSPPGLHGEQSAINHEDIMHANDYQKLRLEEAEASQAS >ORUFI08G07920.1 pep chromosome:OR_W1943:8:7210950:7211854:-1 gene:ORUFI08G07920 transcript:ORUFI08G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLAQQVVRFCRRCAVDLGLPSSNLQGHFYLPAKDTVQMCSSIPLLPQVDMYHVFLYGLEKACFSHELTWHALQGLFVLALEFQ >ORUFI08G07930.1 pep chromosome:OR_W1943:8:7220510:7220764:-1 gene:ORUFI08G07930 transcript:ORUFI08G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLPFSLALLRRRRFPTSSGEQPAADAPALLQEDLDPAGVVSVASAAAAGRWEASAPARSTARSPPASAKTTRYAPPLLPR >ORUFI08G07940.1 pep chromosome:OR_W1943:8:7231627:7232600:-1 gene:ORUFI08G07940 transcript:ORUFI08G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGWLEDEEGTEPSGGRVLASVPPPLFDVGGPSHRRTWRHARRIDQARALAGDDDSLAAATTEDARPVSIDDEDASQNANAVATRATSPRRRRRGGMRTSMPSPPARRRTQLAAATAEDTTGSIPVSVDDENAAPDAATLHANAATLPRRARGGEEEAGGGL >ORUFI08G07950.1 pep chromosome:OR_W1943:8:7233938:7234765:-1 gene:ORUFI08G07950 transcript:ORUFI08G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGDVAADALKVAGDDGGEKQWPSQSLSPEAQRRMRFYLSCAIIDLKFDLWDIVQTHFVLEPSRRDLEENLDPAAAGDGDGDSSGASAGERGRSSSHRSDVKLGDAEEEEDDDEDEEEKCRRFAEKCIDPYFIVLGSASRYDPETNLHPAGGGGGGDSSSDHRDSSTTCGCHHRPEYADDSDDDDDDEEDAIKKAEEEESLEHNELWREFTDKYIIASGYDDRFKEMDAIGEVYFDTTLDEETRTDMIDKLWRHIEKELSDRARAVSTGKFKF >ORUFI08G07960.1 pep chromosome:OR_W1943:8:7240695:7241020:1 gene:ORUFI08G07960 transcript:ORUFI08G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGGQRMMMGTSVSWQRLAIYGFRRLSGVHGNSSEMVWDTARADDALQAPSSGAPAGVLFKQQDNRIAALMRASGSQRCCGEESATGKATTSDPASRRHHIAR >ORUFI08G07970.1 pep chromosome:OR_W1943:8:7245462:7248375:1 gene:ORUFI08G07970 transcript:ORUFI08G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASGPAQANVHGQHEPQEDSISSAAPTSIAAPPEAAADVSPPPPPPPSSVGSRLPQQPGDGGGRGADGWSPAAAAVGQKGKKKEKAERDEERKSDSLGRRSVRVAYHGHAKEEVMSKKLPWDDPRLRKEQVTPVSTINKSHRTSALGCINKEEIDGLLVVERPRFGPPYVACNEFTLMWSLKNDEIWYPLFAEILGDADKSIESFVHCDRKAKGKLEGFEIPQNLVCPLCGNVMVDPVMIATGKTMDRHCVRAWFDKHGHICPVTCQPVSSTVLRNERIRGYVEEWHEAELEVEEDARVSFTRP >ORUFI08G07980.1 pep chromosome:OR_W1943:8:7256598:7263548:-1 gene:ORUFI08G07980 transcript:ORUFI08G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAACGLPCAGALRCLWLPALRPHAKLCAYASSGNGMALSMAARGALNGGRLELSCNHLYMPAHACRKREYCESDWNKVRNFLCCLNGFVI >ORUFI08G07980.2 pep chromosome:OR_W1943:8:7256598:7263548:-1 gene:ORUFI08G07980 transcript:ORUFI08G07980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAACGLPCAGALRCLWLPALRPHAKLCAYASSGNGMALSMAARGALNGGRLELSCNHLYMPAHACRKREYCESDWNKVRNFLCCLNGFVI >ORUFI08G07980.3 pep chromosome:OR_W1943:8:7262103:7263542:-1 gene:ORUFI08G07980 transcript:ORUFI08G07980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWCGGGGGGRGGGGAGGGGVNSGPMGGAGSSSSVSCMHACSMWPSVRWCVEVPVAAGAAATCEAMRLRFQWQWHGTLYGSTRRA >ORUFI08G07990.1 pep chromosome:OR_W1943:8:7267141:7267635:1 gene:ORUFI08G07990 transcript:ORUFI08G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHITGDDGEGCNSSESGWTMYLASPMHGDDDGGGKRSGSEGSNVDDGYGYISGRGSRKEYEDDGDGDDDDSLASDASTGPAKVKVPSAPDGDDAGGRRKHDGDEDGGGKGEEEEEEDHGLHTKFSMSSGKKAGKTEKGGEGKSSKKGQNKRGSSSRTRFFW >ORUFI08G08000.1 pep chromosome:OR_W1943:8:7269774:7271256:1 gene:ORUFI08G08000 transcript:ORUFI08G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEQGEIAAERAARAHGRRTGGSSGGGSGGGDRSHGGEETGDANLPSDGHVADGGKGGRVILK >ORUFI08G08010.1 pep chromosome:OR_W1943:8:7271803:7275164:1 gene:ORUFI08G08010 transcript:ORUFI08G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPWIPTAGAPPPRAAPAVVYSWLSERHPVKVSCLPLSDQREVETREHEEKVNKYQAVLAARLKAKYFSGKAFGKENVFEEMTIQSETILLSRCPFSSLFADPAKFCREKSCTKEDIYPSLTNASFAKHNHLSLVREDSSK >ORUFI08G08020.1 pep chromosome:OR_W1943:8:7276000:7276692:-1 gene:ORUFI08G08020 transcript:ORUFI08G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHHHGGHGEVASLHLLSPPNPPFFGAAAHHHHHHHGLINMALPPQAYFVPPPPPPPFQEATAALAAGDDATAALAVFELERILEEAAAAGGGGGNGSPSSGSDGCCYGLQGVAPAAEEERRRRRMVSNRESARRSRMRKQRQLSELWAQVEHLRGANRRLLDELNRALRGCADVRRENSRLRDEKAELAGKLQLLLPRQPAPEKNAADDQSSCSSEPCKNSTTTTAAE >ORUFI08G08030.1 pep chromosome:OR_W1943:8:7284504:7286334:-1 gene:ORUFI08G08030 transcript:ORUFI08G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIIDLSMEKVYLLHVVICLLHGEWYREDAEFGLIRFQDDNKPLVLSESKNSEQENLKNTKRDGQHDEMAVLDLSSAIARSGGARQWQGCGIFYAKEEVVPGGGHAWCAMCGVWIGDLATTRARWRDFTLRQD >ORUFI08G08040.1 pep chromosome:OR_W1943:8:7302066:7302272:1 gene:ORUFI08G08040 transcript:ORUFI08G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTTSAASSKPRTSSPSQANAETHATTPPSTDETQLPKLAGHLLQLMHAAGRRPEIELIAIVIARRR >ORUFI08G08050.1 pep chromosome:OR_W1943:8:7302913:7303545:-1 gene:ORUFI08G08050 transcript:ORUFI08G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSMMKNFDSLLMGCHICDDPPKPGGLCSNASLPLPSAPDLALPLLELTGCAAARTAVAGRWEAEVRWWRPEAAGPVPSRVSWGRLEVVGRAEGSGKTSAREALGGGGAPVVAVALGAAAAQDGGGSRRRRPPRTVGAPGSARGPGWRRLLSVPTALEAAVAQDGGGSRRRQRPTATPVGASGPRGCDGGRRRLPLALGADLVGSCMVG >ORUFI08G08060.1 pep chromosome:OR_W1943:8:7312867:7319502:1 gene:ORUFI08G08060 transcript:ORUFI08G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAVSLVGSLIAGISTLVEKEATLLQSVPENTRFVKDELQTIGAFLGVVENMKEDSPKYQMARVWAEQVKDLAYDMEDCLEEQITALTTRNSSWSQYVTNYRALRPFAAKLSDLKSRIVEVSERNMRYHLVIADQVIDNHMNFMVALNKVLSRSVEGTTDNWTQNVVTWAEAPEESASNDKTCAPKVTAVVGMFGSGSTRVSEIYKRHKADKKYESYVWIELSQDVNVTKVFKDMMKQLFDDSSSQPEYTGEDELAHGIQHELKQKRFLVVFDELWTTHAWHSIKKALPHVSRSGSQVIVTTEIVHVAKGCTESDDHVYWVQLLSKQESFERLKNLILVTENSKMTHEDREDFEDLDLKELDRLKVLEPPFNTIEQILRKCRGLELAIGTVAKLLASKSPHKWGKLCDDLPSLLYSNHPMLKDIWKVMIQRYKDLPPYLKPCFLYLSIFPENSDINVEIIIDRWLAEGLVRDRTGMSPRTVAKWYLLWLIDRSMIMVSNLRKNRSFKTCWIHPMMRDILVMISQEEKFSITVGPRKSSSLLVKRLPHVTLDGGSGRKLARCVDLSGIRSLTVFNEPSESIAALICSSKLRAVRILDLSNANEFKITRRDIERVGELCHLRYLNLYKTNIYELPSSIGMLPFLQLLNVRKTRITKLPNEVTQLGKLQLLRASRRTEDSCHNRRNRCCIDSALTVPKGIENLQDIERLDIMDIKDNSGSEIEALGKLARLEHLGLAGITIGNSKQVSKTLKRISSSLTYLYLGACQSDGTLACLPISEKKRKKPLEFPRLQTIKLDGHIGKMPYWISNSWTLAVIKLYRTNLQQNHIMSLEKLPCLVTLALLDNSYISETLVFYDKAFRELKTLEIIRLTKLKTVIFTEEAVPQLRSLAIRCCELRLKGKNNLKLRKVDLDDGVEEV >ORUFI08G08070.1 pep chromosome:OR_W1943:8:7341221:7342144:-1 gene:ORUFI08G08070 transcript:ORUFI08G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMGFSPRGGCRPSVETEQLAFQEAAAAGLRSLELLVSSLSAGGEHHHRRRPQEKQSSPPLGEIADQAVSRFRKVISILDRTGHARFRRGPVVGAAAAEAAAAAASASPSSSPVSPPLPPVTTQPAAAVKSLTLDFTNPAKVAAASVTSTSFFSSVTAGGDGSVSKGRSLVSSGKPPLAGGVKRKHPHPPCAAAGDGHGHGAGHAHAHGGCHCSKKRKQRVRRTVRVAAASARVADIPADEYSWRKYGQKPIKGSPYPRGYYRCSTVKGCPARKHVERAADDPATLVVTYEGDHRHSPPPPPLV >ORUFI08G08080.1 pep chromosome:OR_W1943:8:7353515:7353856:1 gene:ORUFI08G08080 transcript:ORUFI08G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPAWLRVRFGGGGVAREEAGLKILAFEVAAAMSRLVSLYCSLSDVEIRRLRVDTLRAEGVARITSTDQSLLLWLACGEVVADLDRAAGSAARFGTRCCTARRSCTIFDRV >ORUFI08G08090.1 pep chromosome:OR_W1943:8:7366608:7368980:-1 gene:ORUFI08G08090 transcript:ORUFI08G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYIIPLCLILFIIKASHSMGAQINETTIPQGSEINTAGPQSWVSPSGRFAFGFYPEGEGFSIGVWLVTDPSRFILWTAFRNDPPVSGGSILLTAGGSLQWIPPNQGFQGKVISAAPTSATSAAILDTGNFVLYDAKNQVIWSTFGTPTDTLLPGQNLPPGNQLFSSVSNTNHATGKYRLSNQPDGNLVMYPIGAIDPDSAYWNTGTYAQNFLLTLTLDPNGTLWLFDRNSPYRMVLFLTNQSLSASPDSESYYHLTLDADGILRLYSHVFFKQGGAPKTKVEWLVPPSNDRCSVKGVCGPNSFCQVTSSGETSCSCLPGFEFLSANQSTQGCWRAQTGGCTGNSPNGDIGLVATMVTVKNTSWSDRSYNVPPQSPTIEECKAICMSDCACEIAMFDSYCSKQMLPIRYGKRVPGSNTTLFVKVYSYEPKRTASATSIAMLTSGAALGMLSLVLLSVSVMLCKRRPFLRYTRAPQHHETEFDEESIGIRPYSFHDLELSTDGFAEELGRGAYGTVFKGVLTNSGNKGIAVKRLERMAEDGEREFQREVRAIARTHHRNLVRLFGFCNEGAHRLLVYEYMPNGSLANLLFKRDATLPNWSNRIAIALDVARGLQYLHEEIEVPIIHCDIKPENILIDSSGMAKIADFGLAKLLIGNQTKTFTGVRGTRGYLAPEWSKNTAITVKVDIYSFGVMLLEIISCRKSMALKLAGEECNISEWAYEYMFSGEMKEVAAGKGVDEVELERMVKIGIWCTQNEPVTRPVMKSVVQMMEGSVKVQRPPPPASFSQSLR >ORUFI08G08100.1 pep chromosome:OR_W1943:8:7374146:7376687:1 gene:ORUFI08G08100 transcript:ORUFI08G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLIWKARSRFPSCRPSPPRWRRFPTSGGKQPAADAPSLLLEDPHPSAVVSAASATAAALRREASAPARSTAPLPPGLRGEQTTGKIRDVAIEEKAYHQQSAINHADIMHANDYQKLRLEEAEASQAA >ORUFI08G08110.1 pep chromosome:OR_W1943:8:7376830:7377772:-1 gene:ORUFI08G08110 transcript:ORUFI08G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCNELTMSLVGSAPNTLALRPCHVASRPPRWRDVNASPIGADTNNLSAKEVGAEPTAYF >ORUFI08G08120.1 pep chromosome:OR_W1943:8:7381075:7383267:1 gene:ORUFI08G08120 transcript:ORUFI08G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRRAPPRTAGLRAPPAAAAASSRRTPPLRVLGAPPARPLQTAPSFSMMFNHCAVSYLFRTLLPIPTAFRSTVRVRLTSPAFLPSRRNFEGYIPQSCSGSSLQIYSRSSLLSLSPSSALVVSSQLNSSDVAQRSEEWFALRKDKLTTSTFSTALGFWAGNRRSELWSEKVFGSTEIKLEDAARSAMNWGTVNESVAIEQYTSITGRSVGSLGFAVHTEANFGWLGASPDGVLGCDPDGGILEVKCPFNKGKPELALPWRAMPYYYMPQVQGLMEIMGRDWVELYCWTPNGSSVFRVPRDRGYWELIHEVLRDFWWGNVMPARELVLLGKEAEARSFEPQPKHRLTNLVIFRSRKLASEAKLLCKDIGGHVEFFP >ORUFI08G08130.1 pep chromosome:OR_W1943:8:7384798:7385984:-1 gene:ORUFI08G08130 transcript:ORUFI08G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLPLLLVLGLFVPFVESGRRWRRGLGLEGDDGRSRRMTITTVACFFIVGASLKKPSAISFVNTFDIYIYMFLSILGCPRLTLDGLISNLKSFNTNAVFGIKHLRVGTLFSLRKEQYEELLSLLNTDKTQKVHNRGPKIPSC >ORUFI08G08140.1 pep chromosome:OR_W1943:8:7387679:7391183:1 gene:ORUFI08G08140 transcript:ORUFI08G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCFEKKKKQPRCELDLILHRSPPDLRRGRRFPTSNGEQPALDPAGVVSATAAGNFSSGQIDGALAAGFRWGQVGSTLLHRLPKGTHPDRIQRKDPKCGHRLGVYPLPRHDEFFTLQVYDSKDLAGTSNIFSRLSEDVITKVAKQQDQIMSPNKFGAKFVMGTWYRVYT >ORUFI08G08150.1 pep chromosome:OR_W1943:8:7392417:7395356:1 gene:ORUFI08G08150 transcript:ORUFI08G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLNFPLQFATKKKIKRIWNISSTWDWLPITHQVHHRKAMHQALLLGTLLFSLSPNSPMCTFSLEPALQAITEHLKNCILCSDMVRFQNLLKEIAVL >ORUFI08G08150.2 pep chromosome:OR_W1943:8:7393885:7395356:1 gene:ORUFI08G08150 transcript:ORUFI08G08150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTVKTLTDSNFLCHLTFTCVATSLPLVFLYTKEMLVIYTNVVVLLTSYVAVNVFICTLDGRGEPLYLDLKSHCKESLLDSLIW >ORUFI08G08160.1 pep chromosome:OR_W1943:8:7411963:7414215:1 gene:ORUFI08G08160 transcript:ORUFI08G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHLALSVAAAVAVSWLAASSAAAAGFYEKFDVVGAGDHVRVVSDDGKTQQVALTLDRSSGSGFTSKDTYLFGEFSVQMKLVGGNSAGTVTSFYLSSGEGDGHDEIDIEFMGNLSGNPYVMNTNVWANGDGKKEHQFYLWFDPTADFHTYKIIWNPQNIIFQVDDVPVRTFKKYDDLAYPQSKPMRLHATLWDGSYWATRHGDVKIDWSGAPFVVSYRGYSANACVNNNPAGGWSSSSCPEGTSAWIHRELDGAELGTVAWAERNYMSYNYCADGWRFPQGFPAECYRK >ORUFI08G08170.1 pep chromosome:OR_W1943:8:7426171:7428215:-1 gene:ORUFI08G08170 transcript:ORUFI08G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKEVGVTEVVLAINYRPEVMLNFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLVDGSGEPFFVLNSDVISEYPFAELIKFHKNHGGEATIMVTKVDEPSKYGVVVMEEATGMVEKFVEKPKIFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRISADAKLFAMVLPGFWMDVGQPRDYITGLRLYLDSLRKRSANRLATGAHIVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVRIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVGDEVYTNGGVILPHKEIKSSILKPEIFSTLRQEDQKKLFFL >ORUFI08G08170.2 pep chromosome:OR_W1943:8:7426484:7428215:-1 gene:ORUFI08G08170 transcript:ORUFI08G08170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKEVGVTEVVLAINYRPEVMLNFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLVDGSGEPFFVLNSDVISEYPFAELIKFHKNHGGEATIMVTKVDEPSKYGVVVMEEATGMVEKFVEKPKIFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRISADAKLFAMVLPGFWMDVGQPRDYITGLRLYLDSLRKRSANRLATGAHIVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVRIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVGDEVYTNGGVILPHKEIKSSILKPEIVM >ORUFI08G08180.1 pep chromosome:OR_W1943:8:7428270:7430692:-1 gene:ORUFI08G08180 transcript:ORUFI08G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPIDLIISFLPHLLSSLRGALIPLPQSLSPAPSSPTGNAASPTLQPARPPSPPTDDYCAGLVGCFSSALWARWWAAAAIWAGLLLLWVGCLVTNPIRAALDGLLHKQGVRDRGHAFGSWISDELSFPFPSLA >ORUFI08G08180.2 pep chromosome:OR_W1943:8:7428250:7430692:-1 gene:ORUFI08G08180 transcript:ORUFI08G08180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPIDLIISFLPHLLSSLRGALIPLPQSLSPAPSSPTGNAASPTLQPARPPSPPTDDYCAGLVGCFSSALWARWWAAAAIWAGLLLLWVGCLVPLPKPCLRIPPPE >ORUFI08G08180.3 pep chromosome:OR_W1943:8:7428428:7430692:-1 gene:ORUFI08G08180 transcript:ORUFI08G08180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPIDLIISFLPHLLSSLRGALIPLPQSLSPAPSSPTGNAASPTLQPARPPSPPTDDYCAGLVGCFSSALWARWWAAAAIWAGLLLLWVGCLVTNPIRAALDGLLHKQAQHKGSSFDRSCATYLQWGWSWRRKRDLPG >ORUFI08G08190.1 pep chromosome:OR_W1943:8:7432529:7433032:-1 gene:ORUFI08G08190 transcript:ORUFI08G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLLALQFKKQIGIDNAGKYPRGEALLPLSLGVARAGPLLLLPSASPPPERPLAKSGGRMDGGGGTPCLLSQARRLGALPRRREDPKWWSSATSFDDGGDRSGPLMVGSGVPAGVHTEVVGVRMEEAGGALGGGRRRVGKAATTVTLGPMMGTASSGAPWPDPPSG >ORUFI08G08200.1 pep chromosome:OR_W1943:8:7462004:7463233:-1 gene:ORUFI08G08200 transcript:ORUFI08G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSGERCRRVWWSAAAAVVAFFFVVFVAAAAAAATASMYDDVEVVWGGDHSFFFMDGDGDALALCLDETHGSGFRSRDAYLYARFDVDMMLVANNSAGTVTTLYLMPDDVPWEYHDEVDLEFLGNVTGEPYTLHTNIFANGVGGREQQFRLWFDPTADFHTYSIVWNPKHIIILVDGVPIRDYRNTAARGGPAFPTWQKMRAHGSLWNADDWATQGGRVKTDWSEAPFFAYYRGLRVTPCAPSPGVAWCGDEPPESPWFDQQEMDAAALSKARQEHLLYDYCEDTKRFKDTGLPVECTIN >ORUFI08G08210.1 pep chromosome:OR_W1943:8:7467001:7470608:1 gene:ORUFI08G08210 transcript:ORUFI08G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQSTSKDQHGSNINSALKVLNLVPRKADYDKVGGPCHHQLIHDCMNDILGVQSNHTIHKGNGVTFNSCSNPAQAKFDSFAKFDSFVSNNGSALRSRTRFIKEDMFMLIMELHRKGETSTDQSILAAAMSSCADRQMFTQGTQLHGLLVKVGCDSTVFIGSSLITLYSRCSQLESSYLVFQTMPTKNTVSWTAMISGFALHNRVEPCLHLFASMRLSSCKPNDITFATLFSVCTNHALLALGKSVHALQMRMGFHSYVHVSNALLSMYAKCGCIDEAQSIFGFIACKDLVSWNAMIFGCSQYGLAKHCLDLLKEMERQHIVPDALSFLGVLSSCRHARLPVVADGDGRPAFQLEGKN >ORUFI08G08220.1 pep chromosome:OR_W1943:8:7471344:7474645:1 gene:ORUFI08G08220 transcript:ORUFI08G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASMLHRSLLCLAVLAAAAAAAQPRSFLVNYLLSFCSDFCEKDNRKIADKVGEAGYYVVVPDFFQGRPYNGDPSINITQWIMAHSPVKAAEDSKPIFAALKREGKSVVGVGGYCWGGKLAVEVAKTNEVGAIVISHPSSVTADDMKGELICFGAIVAQYIDEYIVN >ORUFI08G08230.1 pep chromosome:OR_W1943:8:7483650:7501647:1 gene:ORUFI08G08230 transcript:ORUFI08G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLLLCWSILCLAVAGGGGGGAATSADSLRLPCLDNPPELTADGDGEAGVVIDDLAGFPAYVTGDVHSGRAIILASDIYGFEAPLLRDNADKVGEAGYYVVVPDFFHGQPYNGDPSINVTKWITLHSPVKAAEDAKSIFAALKREGKSVIGIGVSAQVQLAAKFAVEVAKTNEVEAIVISHPSEVIADDMKGVKCPIEILGGQNDPITPPSLVDQFVNVLRQTTERWEFNPTHMHVFSSSNMQINHMATASLSLLILCLAALAAAAGAAAAAAPPRLQCFEHPPDMKAAGGGEAGVVVHDLAGYEAYVTGAAHSDRAVVLASDLVMPDINYVVVPDLFHGDPATTSVNFTEWLESHSPVKEAEKAKSIFAFLRNEGKSVVGVGGYCWGGKFAVTVAKTNEVEAVVISHPYAVTADDMKEVKWPIEILGGQNDTVTPPRLVYQYVHALRQRNDIDFYAKIFPGVSHGFAGRYNTSDPFAVETGKQALALMLDWFQKHLNILQDWRRQPRRDLPKSRSCRSPPTSPFPTPVRISPVVATHNHLRRSLRYRNTLRLPSLSISHPPKIFCQQSAAVRRYLSPTLRGVQPLQTIAKKALPPSLRLSAQSASTRWSTERLWCGHEFQLGSGSGASLLFSFPCRH >ORUFI08G08230.2 pep chromosome:OR_W1943:8:7483650:7501647:1 gene:ORUFI08G08230 transcript:ORUFI08G08230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLLLCWSILCLAVAGGGGGGAATSADSLRLPCLDNPPELTADGDGEAGVVIDDLAGFPAYVTGDVHSGRAIILASDIYGFEAPLLRDNADKVGEAGYYVVVPDFFHGQPYNGDPSINVTKWITLHSPVKAAEDAKSIFAALKREGKSVIGIGVSAQVQLAAKFAVEVAKTNEVEAIVISHPSEVIADDMKGVKCPIEILGGQNDPITPPSLVDQFVNVLRQTTERWEFNPTHMHVFSSSNMQINHMATASLSLLILCLAALAAAAGAAAAAAPPRLQCFEHPPDMKAAGGGEAGVLIKLVMPDINYVVVPDLFHGDPATTSVNFTEWLESHSPVKEAEKAKSIFAFLRNEGKSVVGVGGYCWGGKFAVTVAKTNEVEAVVISHPYAVTADDMKEVKWPIEILGGQNDTVTPPRLVYQYVHALRQRNDIDFYAKIFPGVSHGFAGRYNTSDPFAVETGKQALALMLDWFQKHLNILQDWRRQPRRDLPKSRSCRSPPTSPFPTPVRISPVVATHNHLRRSLRYRNTLRLPSLSISHPPKIFCQQSAAVRRYLSPTLRGVQPLQTIAKKALPPSLRLSAQSASTRWSTERLWCGHEFQLGSGSGASLLFSFPCRH >ORUFI08G08230.3 pep chromosome:OR_W1943:8:7483650:7491423:1 gene:ORUFI08G08230 transcript:ORUFI08G08230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLLLCWSILCLAVAGGGGGGAATSADSLRLPCLDNPPELTADGDGEAGVVIDDLAGFPAYVTGDVHSGRAIILASDIYGFEAPLLRDNADKVGEAGYYVVVPDFFHGQPYNGDPSINVTKWITLHSPVKAAEDAKSIFAALKREGKSVIGIGVSAQVQLAAKFAVEVAKTNEVEAIVISHPSEVIADDMKGVKCPIEILGGQNDPITPPSLVDQFVNVLRQTTERWEFNPTHMHVFSSSNMQINHMATASLSLLILCLAALAAAAGAAAAAAPPRLQCFEHPPDMKAAGGGEAGVVVHDLAGYEAYVTGAAHSDRAVVLASDVYGFQAPLLSFLHVAALWGDT >ORUFI08G08240.1 pep chromosome:OR_W1943:8:7493225:7495489:-1 gene:ORUFI08G08240 transcript:ORUFI08G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGPAHRQGSASLDRFQKNMEKKEKRPVGIFEAYAEARKNGKDGEDYCTDRVKDKLD >ORUFI08G08250.1 pep chromosome:OR_W1943:8:7501733:7502005:1 gene:ORUFI08G08250 transcript:ORUFI08G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPTRAEALSLFRSLLRTARQFSDYNIREYTRRRAADAFRENRALGDTAAAAAAFADGKKQLEVAKRQAVVYSLYAPKAKSIMEMKLQ >ORUFI08G08260.1 pep chromosome:OR_W1943:8:7505095:7511027:1 gene:ORUFI08G08260 transcript:ORUFI08G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWALLLSLAVLAAAAAAAPTHSQCLDNPPDLTAGGGEAGVVVHDLAGFEAYVTGAVHSTKAVLLASDDLKRLC >ORUFI08G08270.1 pep chromosome:OR_W1943:8:7514432:7522890:1 gene:ORUFI08G08270 transcript:ORUFI08G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALLYPTILFLAAAAVGAAAPPHSQCLDNPPDLTTAGGGGEAGVVVHDLGGFEAYVTGAVHSRRTILLATDIFADKVGLAGYYVVVPDLFHGQPYTFDQNRTEWLSAHSPVKAAEDAKPIFAALSKEGKSIVGVGGKFAVEVAKTNEVEAIVISHPAAVTADDMKEVKWPIEILGAQNDTVTPPRLVYQFVHALRQRTDQIDYFAKVFQGVNHGFACRYNASNPFEVKKAEQALALMLDWFHKHLK >ORUFI08G08280.1 pep chromosome:OR_W1943:8:7525574:7526611:1 gene:ORUFI08G08280 transcript:ORUFI08G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPEGIHAEAIIHSWDPLPTIMGRLVRPRDLVALRLDVEAPIWSSLLGDDARVLATMMRSMELMELQWRSSTPSPRRDMNDLTRRIGPSLGHNVVVAELAAYTEGKTKGVPKV >ORUFI08G08290.1 pep chromosome:OR_W1943:8:7536493:7537113:1 gene:ORUFI08G08290 transcript:ORUFI08G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGEVMLASGGGAAPAPATSRDGRGAAAGLGPVAFKDAADADAIPVRPPTEHDAAVSAMPARVFHNLKLRQHQGYWVLDAWARGAVAMQRGGGGLVPRADGDVLLASLPKSGTTWLKALAFAVMARAAHPPASPDHPLRRLNPHDCVPLVDRLFAPGRDAVLDELPSPRLMCTHMPLSLLPATVADGSSGCKIIYICRYPGLCA >ORUFI08G08300.1 pep chromosome:OR_W1943:8:7540622:7541080:1 gene:ORUFI08G08300 transcript:ORUFI08G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHFLKRNGLQNLYESFCEGTCFGGPVWNHILEYWRASKANPSRVLFLRYERLLQDPTDSIRELAEFVGQPFTSSEEEAGVVTEIVELCSMENLMSQKANKEGAQGVFIKFSHDSYFRKGVAGGWTSHMTPEMGRRLDAILRDKFDGSGLTI >ORUFI08G08310.1 pep chromosome:OR_W1943:8:7544278:7547159:-1 gene:ORUFI08G08310 transcript:ORUFI08G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGGEPAARAAVRHGGGPVAFKDAVDVDATPVRPPTELAAAVSALPAGVSYGQPMRCYGGTWVFESWAQGVVAMHRGGLVPRAGDVLLASLPKSGTTWLKALAFATMARRACPPPASPDHPLRRLNPHDCVPLLDRLFAAGRDALLDELPSPRLMCTHMPLSLLPPAVADGNSNTKIIYICRDQKDRLVSIWHFRKRNVPDLLLQEVYESICDGTGFAGPVWDHLLGYWRASKIDLGRVLFLKYEEVLRDPVNTVRELAQFVGQPFSDTEEEAGIVVEIVKLCSLESLRSQKANKEGIQGVYIKFSHDSYFRKGVEGDWRNHMTPKMGEHLDSIMREKFDGSGLTI >ORUFI08G08320.1 pep chromosome:OR_W1943:8:7559869:7566837:1 gene:ORUFI08G08320 transcript:ORUFI08G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARAHLLASLWAFYLILAISMVTGDLTNDLDILWGNSKVFYDNSGKQTISLTLDRWTTSAFRSKSTYLFSRIDMDIKLVAGDSAGTVTTLYMITEGLWQFHDEIDLEFLGNSTGEPYTLHTNLYARGKGGREKRYKLWFDPTADFHTYTIIWNQRNILILVDDKLIRQIKNNLMYSVPYPTYQPMRVYGSIWNADDWATMGGRVKTDWSQAPFTAYFRNYRAIACPPQQSSPLCGQSSGNWFNQELDVTRKQQLQEVDANYKIYDYCTDTKRFKDNLPKESTMGRLANWLDDRTSKSTPDYRIQAGSFLLKLWFRPIFIIFFMPTSSCQPMMSSSYTFQESKHTPQSAYSLRHFALAKAMGQPRAQLLPSMSMAALYLILATSPVISDMTDSLDMLWGNTQVLYDSTGHQIVSLSLDRWTTSAFRSKTKYLFARIDMDIKLVAKDSAGTVTTLYMITEGLWDIHDEIDLEFLGNTTGEPYTLHTNIYARGTGGREKQYRLWFDPTEDFHTYTIIWNPQMILILVDGTPIRQMKNQLRNDIPFPLYQPMRLYASIWDADDWATQGGRIKTDWSQAPFTAFFRNYQANACIPYKTAWICSQGSNDSSWFTQDLDEEGKQKLKDVDDNYKIYDYCTDSRRYPNGYPPECGSQ >ORUFI08G08330.1 pep chromosome:OR_W1943:8:7569188:7571593:-1 gene:ORUFI08G08330 transcript:ORUFI08G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAADHLDPAAPPSGPASSSAAVAEVNAWLASLAAEAGGAGGGGVGAPGGRGGVVGVGGGGAAPELSLGPEPTPRGVAYLRALAAASQARSRAAGIAAAGLRAQAAEYRAEAARLREALERAGLARDALSPPAAVSARAVAAVANLLAIRDTEMSSFVVASADLSLRRAEVEEKRDKVHKESKALLDYTRKAITKLTELKKMLEKFKNDVEKQQAEQMTDWQTKLVMMDSKERQYILQVSNYKAMLNRVGYTPEINHGVLMEMAEHKKDLERKTKPIADTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALTTPGL >ORUFI08G08340.1 pep chromosome:OR_W1943:8:7579980:7590077:1 gene:ORUFI08G08340 transcript:ORUFI08G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSYIILKTTALKNGSLRHDIHYWIGKDTSQDESGTAAILTVELDAALGGRAVQYREIQGNETDKFLSYFRPCIMPQPGGVASGFKHVEVNEQEHETRLYVCTGNRVVHVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTFHEGKCEVAAVEDGRLMADAEAGEFWGFFGGFAPLPRRAPVEDNEKYEETVFKLLCFNQGKLEPINYESLLHELLKTNKTTSLQERKSASEAAEKLLSDDNRTKTHVIKVIEGFETVMFKSKFKEWPQTPDLKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQAYIDCTGSLQVWRINDKDKILLPSADQSKFYTGDCYIFQYMYPGDDKEECLIGSWFGKKSIEEDRVTAISLASKMVESAKFQAVQTRLYEGKEPIQFFVIFQSFQVFKGGLSSGYKKFIAENGIDDDTYLEDGLALFRIQGSGPENMQAIQVDAAASSLNSSYSYILHDGNTVFTWTGNLTTSLDQEVVERQLDIIKPNSQSRSQKEGSETDQFWSLLGGKSEYPSQKIGRANESDPHLFSCILPKVHIALRIKEIYHFTQDDLMTEDVFILDCHSDIFVWVGQQVDVKVRLQALDIGEKFVKLDFLMENLSSDTPIFVIMEGSEPTFFTRFFTWDSAKSLMHGNSYQRKLSIVKGGGSPALDKPKRRTPTYSGRSTVQDKSQRSRSMSFSPERVRVRGRSPAFTALAANFESANSRNLSTPPPVVKKLYPKSATPDSSSAPSKSSATASLTGSFDRPKSVKDGSELEKPKQEEDAKEGINTMTSRVESLTINEDVKENEPEDDEGLPVYPYDRLITTAADPVTEIDVTRRETYLSSAEFKDKFGMTKEAFSKLPKWKQNRMKIALQLF >ORUFI08G08340.2 pep chromosome:OR_W1943:8:7579980:7590077:1 gene:ORUFI08G08340 transcript:ORUFI08G08340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSYIILKTTALKNGSLRHDIHYWIGKDTSQDESGTAAILTVELDAALGGRAVQYREIQGNETDKFLSYFRPCIMPQPGGVASGFKHVEVNEQEHETRLYVCTGNRVVHVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTFHEGKCEVAAVEDGRLMADAEAGEFWGFFGGFAPLPRRAPVEDNEKYEETVFKLLCFNQGKLEPINYESLLHELLKTNKTTSLQERKSASEAAEKLLSDDNRTKTHVIKVIEGFETVMFKSKFKEWPQTPDLKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQAYIDCTGSLQVWRINDKDKILLPSADQSKFYTGDCYIFQYMYPGDDKEECLIGSWFGKKSIEEDRVTAISLASKMVESAKFQAVQTRLYEGKEPIQFFVIFQSFQVFKGGLSSGYKKFIAENGIDDDTYLEDGLALFRIQGSGPENMQAIQVDAAASSLNSSYSYILHDGNTVFTWTGNLTTSLDQEVVERQLDIIKPNSQSRSQKEGSETDQFWSLLGGKSEYPSQKIGRANESDPHLFSCILPKGNLKIKEIYHFTQDDLMTEDVFILDCHSDIFVWVGQQVDVKVRLQALDIGEKFVKLDFLMENLSSDTPIFVIMEGSEPTFFTRFFTWDSAKSLMHGNSYQRKLSIVKGGGSPALDKPKRRTPTYSGRSTVQDKSQRSRSMSFSPERVRVRGRSPAFTALAANFESANSRNLSTPPPVVKKLYPKSATPDSSSAPSKSSATASLTGSFDRPKSVKDGSELEKPKQEEDAKEGINTMTSRVESLTINEDVKENEPEDDEGLPVYPYDRLITTAADPVTEIDVTRRETYLSSAEFKDKFGMTKEAFSKLPKWKQNRMKIALQLF >ORUFI08G08340.3 pep chromosome:OR_W1943:8:7579980:7590077:1 gene:ORUFI08G08340 transcript:ORUFI08G08340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSYIILKTTALKNGSLRHDIHYWIGKDTSQDESGTAAILTVELDAALGGRAVQYREIQGNETDKFLSYFRPCIMPQPGGVASGFKHVEVNEQEHETRLYVCTGNRVVHVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTFHEGKCEVAAVEDGRLMADAEAGEFWGFFGGFAPLPRRAPVEDNEKYEETVFKLLCFNQGKLEPINYESLLHELLKTNKTTSLQERKSASEAAEKLLSDDNRTKTHVIKVIEGFETVMFKSKFKEWPQTPDLKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQAYIDCTGSLQVWRINDKDKILLPSADQSKFYTGDCYIFQYMYPGDDKEECLIGSWFGKKSIEEDRVTAISLASKMVESAKFQAVQTRLYEGKEPIQFFVIFQSFQVFKGGLSSGYKKFIAENGIDDDTYLEDGLALFRIQGSGPENMQAIQVDAAASSLNSSYSYILHDGNTVFTWTGNLTTSLDQEVVERQLDIIKPNSQSRSQKEGSETDQFWSLLGGKSEYPSQKIGRANESDPHLFSCILPKDIFVWVGQQVDVKVRLQALDIGEKFVKLDFLMENLSSDTPIFVIMEGSEPTFFTRFFTWDSAKSLMHGNSYQRKLSIVKGGGSPALDKPKRRTPTYSGRSTVQDKSQRSRSMSFSPERVRVRGRSPAFTALAANFESANSRNLSTPPPVVKKLYPKSATPDSSSAPSKSSATASLTGSFDRPKSVKDGSELEKPKQEEDAKEGINTMTSRVESLTINEDVKENEPEDDEGLPVYPYDRLITTAADPVTEIDVTRRETYLSSAEFKDKFGMTKEAFSKLPKWKQNRMKIALQLF >ORUFI08G08340.4 pep chromosome:OR_W1943:8:7579980:7590015:1 gene:ORUFI08G08340 transcript:ORUFI08G08340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSYIILKTTALKNGSLRHDIHYWIGKDTSQDESGTAAILTVELDAALGGRAVQYREIQGNETDKFLSYFRPCIMPQPGGVASGFKHVEVNEQEHETRLYVCTGNRVVHVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTFHEGKCEVAAVEDGRLMADAEAGEFWGFFGGFAPLPRRAPVEDNEKYEETVFKLLCFNQGKLEPINYESLLHELLKTNKTTSLQERKSASEAAEKLLSDDNRTKTHVIKVIEGFETVMFKSKFKEWPQTPDLKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQAYIDCTGSLQVWRINDKDKILLPSADQSKFYTGDCYIFQYMYPGDDKEECLIGSWFGKKSIEEDRVTAISLASKMVESAKFQAVQTRLYEGKEPIQFFVIFQSFQVFKGGLSSGYKKFIAENGIDDDTYLEDGLALFRIQGSGPENMQAIQVDAAASSLNSSYSYILHDGNTVFTWTGNLTTSLDQEVVERQLDIIKPNSQSRSQKEGSETDQFWSLLGGKSEYPSQKIGRANESDPHLFSCILPKDIFVWVGQQVDVKVRLQALDIGEKFVKLDFLMENLSSDTPIFVIMEGSEPTFFTRFFTWDSAKSLMHGNSYQRKLSIVKGGGSPALDKPKRRTPTYSGRSTVQDKSQRSRSMSFSPERVRVRGRSPAFTALAANFESANSRNLSTPPPVVKKLYPKSATPDSSSAPSKSSATASLTGSFDRPKSVKDGSELEKPKQEEDAKEGINTMTSRVESLTINEDVKENEPEDDEGLPVYPYDRLITTAADPVTEIDVTRRETYLSSAEFKDKFGMTKEAFSKLPKWKQNRMKIALQLF >ORUFI08G08350.1 pep chromosome:OR_W1943:8:7623310:7627871:1 gene:ORUFI08G08350 transcript:ORUFI08G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWRKKVAFRARRAWAALVSGRLRARRQGSRGLLKLHEDVQTCDYKDVQVMFEMLTSELEAQKQQQQLLPPSPRKPAWPGSSPSPAPAKQ >ORUFI08G08360.1 pep chromosome:OR_W1943:8:7632720:7636676:1 gene:ORUFI08G08360 transcript:ORUFI08G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETMGRRTVGGLLLTKGGSILVYREDSPRHKATACCTRTGCSSKLFRDKEKKMRRPTKEAVIPQRSQLVSGSNRLLPQGRMAYGSRRNAAGTCSETGNRPRRETAGQDLLDHLKERVNSSRKRSLSGGSSPSSSNTSSAGSLSSSSRSISRQLHRSVPKTRKDGGTNGSNARMRSDSGGNSGANVHRRADLQGPTGRFVSQSLLRHRSRNQEEPVSHLENSLNDSTEYWRFGVDESDEDASSDRHRGMRMDIDDMSYEELLALGETIGTVSTGLPEDELSNCLKRIHYVPSASTSHEDGDIKCIICQEEYLPAEEVAEMACKHYYHLACIQQWLRQKNWCPICKSVGSATKH >ORUFI08G08360.2 pep chromosome:OR_W1943:8:7632812:7636676:1 gene:ORUFI08G08360 transcript:ORUFI08G08360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDETMGRRTVGGLLLTKGGSILVYREDSPRHKATACCTRTGCSSKLFRDKEKKMRRPTKEAVIPQRSQLVSGSNRLLPQGRMAYGSRRNAAGTCSETGNRPRRETAGQDLLDHLKERVNSSRKRSLSGGSSPSSSNTSSAGSLSSSSRSISRQLHRSVPKTRKDGGTNGSNARMRSDSGGNSGANVHRRADLQGPTGRFVSQSLLRHRSRNQEEPVSHLENSLNDSTEYWRFGVDESDEDASSDRHRGMRMDIDDMSYEELLALGETIGTVSTGLPEDELSNCLKRIHYVPSASTSHEDGDIKCIICQEEYLPAEEVAEMACKHYYHLACIQQWLRQKNWCPICKSVGSATKH >ORUFI08G08370.1 pep chromosome:OR_W1943:8:7642188:7646844:-1 gene:ORUFI08G08370 transcript:ORUFI08G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPSSPSPPPAAAAAATQQTQPQPQTPFYELVKGNSGLEKVLLRGTRGFSAEVYLYGAQVTSWKNDHAEELLFVSSKAIFKPPKAIRGGIPICFPQFGTHGNLEQHGFARNRLWTIDDNPPPLPVNPAIKSFVDLILRPSDEDLKIWPHSFEFRLRVALGPNGDLSLTSRIRNTNTDGRSFSYTFAYHTYFSVSDISEVRVEGLETMDYLDNLKGKERFTEQGDAIVFESEIDKVYLAAPSKIAIIDHEKKRTFVLTKEGLPDAVVWNPWDKKAKAMQDFGDGEYKHMLCVEPAAVEKPITLKPGEEWKGRLALSAVPSSYCSGQLDPLKEHLIALNI >ORUFI08G08380.1 pep chromosome:OR_W1943:8:7659120:7664182:-1 gene:ORUFI08G08380 transcript:ORUFI08G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSTADALEQIKNEAVDLEHIPLEEVFQHLKCTREGLTNAEGDARTQVFGPNKLEEKKESKILKFLGFMWNPLSWVMEVAAIMAIALANGGGRPPDWQDFVGIIALLLINSTISYWEESNAGSAAAALMKNLAPKTKVLRDGRWSETDAFVLVPGDVISVKLGDIVPADARLLDGDPLKIDQSALTGESLPVTKHPGDCVYSGSTCKQGEIDAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLRAIGNFCIGAIAIGMAVEVIVMYPIQHRLYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSDQGAITKRMTAIEEMAAMDVLCSDKTGTLTLNKLSVDRGLIEVFVQGVAKDEVILLTARASRVENQDAIDTAMVGMLDDPKEARAGIREEHFLPFNPVDKRTALTYVDLADGSWHRILDLCKCRQDVRSKVHAIIDRYADRGLRSLAVARQEVPERRKDGPGGPWEFVGLLPLLDPPRHDSAETIRRALHLGVNVKMITGDQLAIAKETGRRLGMGVNMYPSSALLGQSKDESIASVPVDELIKKADGFAGVFPEHKYEIVKKLQELKHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIVLTQPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILVIAILNDGTIMTISKDRVKPSPHPDSWKLPEIFITGIVYGTYLAVMTVLFFWAMRSTDFFTSTFHVKPLMEKDEMMSALYLQVSIISQALIFVTRSRSWCFVERPGMLLCGAFVAAQIIATLVTVYATLGFAHIKGIGWGWAGVIWLYSIVTFLPLDIFKFAVRYALSGRAWDTLIEHKIAFTSKKDYGRGEREAQWATAQRTLHGLQTPEMGDHLGGVGGGERSSYRELSEIAEQAKRRAEVARLRELSTLKGQMESTVRLKGLDMDNVQHHYTSTAGELARRRRRRLRRARSPRLFSGAACMQLSLSSSSSSSVIPFFLY >ORUFI08G08380.2 pep chromosome:OR_W1943:8:7659120:7664182:-1 gene:ORUFI08G08380 transcript:ORUFI08G08380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSTADALEQIKNEAVDLEHIPLEEVFQHLKCTREGLTNAEGDARTQVFGPNKLEEKKESKILKFLGFMWNPLSWVMEVAAIMAIALANGGGRPPDWQDFVGIIALLLINSTISYWEESNAGSAAAALMKNLAPKTKVLRDGRWSETDAFVLVPGDVISVKLGDIVPADARLLDGDPLKIDQSALTGESLPVTKHPGDCVYSGSTCKQGEIDAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLRAIGNFCIGAIAIGMAVEVIVMYPIQHRLYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSDQGAITKRMTAIEEMAAMDVLCSDKTGTLTLNKLSVDRGLIEVFVQGVAKDEVILLTARASRVENQDAIDTAMVGMLDDPKEARAGIREEHFLPFNPVDKRTALTYVDLADGSWHRVSKGAPEQATHPHPWIPIRFDLSSPPLLTCLLILDLCKCRQDVRSKVHAIIDRYADRGLRSLAVARQEVPERRKDGPGGPWEFVGLLPLLDPPRHDSAETIRRALHLGVNVKMITGDQLAIAKETGRRLGMGVNMYPSSALLGQSKDESIASVPVDELIKKADGFAGVFPEHKYEIVKKLQELKHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIVLTQPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILVIAILNDGTIMTISKDRVKPSPHPDSWKLPEIFITGIVYGTYLAVMTVLFFWAMRSTDFFTSTFHVKPLMEKDEMMSALYLQVSIISQALIFVTRSRSWCFVERPGMLLCGAFVAAQIIATLVTVYATLGFAHIKGIGWGWAGVIWLYSIVTFLPLDIFKFAVRYALSGRAWDTLIEHKIAFTSKKDYGRGEREAQWATAQRTLHGLQTPEMGDHLGGVGGGERSSYRELSEIAEQAKRRAEVARLRELSTLKGQMESTVRLKGLDMDNVQHHYTSTAGELARRRRRRLRRARSPRLFSGAACMQLSLSSSSSSSVIPFFLY >ORUFI08G08390.1 pep chromosome:OR_W1943:8:7674147:7679578:1 gene:ORUFI08G08390 transcript:ORUFI08G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEASRAADQAPRRGSLRIDKVCGEGSDGTGVGSGGPLAAMTVAEVKDLDHHHRYPCIQIKNPRYNPQIPKSKSVHPNQNPQIAKSPRTPVTHQLPPPYELRAEEAAPSATGLRQLPHLLQPWLQPPLCPTVEPAGKEAEKEALPAVTGPGDGGEVTTEEWPRWGTSSPLPVTVAAVVRELLERRRRCVWTERRRGGRPDLDREGGGICETEKERWGQDKEQRCRRQVGVVPEIRTSASDLAQFLRTHRISHVCLRAIIGVPNYKLLALGSSLATASAWVARHVLPYAGANSSTPAHHRHRRRRRGPHRSPLRAPHAPPGLLAMQSYSMMQSNGVIPLDNALFKTLPPSLEMVDLHTLALLHYTNMFDVVHVAVKNLYVSGDRIPMPVLVMEVR >ORUFI08G08400.1 pep chromosome:OR_W1943:8:7682584:7683759:-1 gene:ORUFI08G08400 transcript:ORUFI08G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTATASWEVMSRRGEQQQQLMMQAPASHNGGSGGGEPARSRWAPKPEQILILESIFNSGMVNPAKDETARIRRLLERFGAVRDANVFYWFQNRRSRSRRRARQLQQACGAALHQLPSAAAAAGAGGGGDYYHHHHQPSSSPFLMHGGGGGGVVTSTTAAPAVAASGHFLADEVDGGGDDDLFAISRQMGLMARHGGGDHHYSSYADSDATQLSYQPTGTIQVFINGVAYDVPSGGALDMAGTFGRDAMLVHSSGEVLPVDEHGVLINSLQMGECYYLVSKSI >ORUFI08G08410.1 pep chromosome:OR_W1943:8:7689317:7689694:1 gene:ORUFI08G08410 transcript:ORUFI08G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQILGLLSSRSPLLSPLLLLPPSHCHMAFVCDGHIYEHCRHLSYNILTSSLGPGRSTDMEDEVGTRSGVPSLLVVRCNGGGILARVGEGRGGESRARSLVEERGRARESRDQVPPISLGAFGT >ORUFI08G08420.1 pep chromosome:OR_W1943:8:7698802:7699744:1 gene:ORUFI08G08420 transcript:ORUFI08G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVRACKGERVVPTLMAPAEPSGYLSGPSPMWTERVKGWSKSLGQYKRRRVGPEENEVEWSKWRSLASPLVEKSERNGSPSTPTNTYAFMAEDSIIAAT >ORUFI08G08430.1 pep chromosome:OR_W1943:8:7711957:7715251:-1 gene:ORUFI08G08430 transcript:ORUFI08G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMAVTAALRPCSGVSPAVSGTSHRRRRPAAWRALAPPPPHAGLRLSSPAVRVPRAASSAAVEDGSSSNTDTVPTPKVIIDQDSDPDATIVEITLGDRLGDLLDTMNALKNLGLNVVKASVCLDSTGKHIKLAITKLSTGRKIGEPELLEAVRLTIINNMIQYHPEASSQLALGATFGPEPPTELVDVDIATHIDIYDDGPDRSLLVVETADRPGLLVDLVKIIDDINITVQSGEFDTEVLANSLRYFLRRPTTEEGSY >ORUFI08G08430.2 pep chromosome:OR_W1943:8:7711957:7715251:-1 gene:ORUFI08G08430 transcript:ORUFI08G08430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMAVTAALRPCSGVSPAVSGTSHRRRRPAAWRALAPPPPHAGLRLSSPAVRVPRAASSAAVEDGSSSNTDTVPTPKVIIDQDSDPDATIVEITLGDRLGDLLDTMNALKNLGLNVVKASVCLDSTGKHIKLAITKLVTGILYRSTGRKIGEPELLEAVRLTIINNMIQYHPEASSQLALGATFGPEPPTELVDVDIATHIDIYDDGPDRSLLVVETADRPGLLVDLVKIIDDINITVQSGEFDTEVLANSLRYFLRRPTTEEGSY >ORUFI08G08440.1 pep chromosome:OR_W1943:8:7721419:7724570:1 gene:ORUFI08G08440 transcript:ORUFI08G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGGRSLLSSPLFASSSPAFRGGAAAASCSSSSSPSSSSRATVVPMVHDTGGGRASSTACHYSPSLVAAEPEEHVHGRSKDDDDDAAVSLMGEKALLELLLDMALEQHAPGKKLPAEEREESEFDIYLRDDKSHVLYHPEFSSTSVSSSPLSVKSSERSDLGTASAVLTKDVALLAEETDILATQLKASQLYSVDSSKSNEELQSKGQVFVRSTRLLERRSKRRYAPRASIADVSCSADNSKKKEKSKKYGRVLEPDEPFKLFLRDRETTEFLTAKEERHLFSKIQAKPYFYPVIFIFRTAQILMKIEEAHRKLEVQCGREPTLAEWAEAVGMSSKELQSSIRTGRRCREKMARSNFRLVIHVARKYEGYGLDIQDLVQDGCSGLMKTFEKFNPSKGCRFPTYAYWWIRQSIKKSIFKNSRLIRLPESVYALLRKVGKARMECIMEGEQPTNANVARRAGITIEKLAKLRAKTRKPRSMQDHVWSDEGVTFQVRDFLGILSPREKEIIEHRFGIHDGEPKTLHVIGDMFGLSKERIRQLQNRALEKLKRSASLQGFDVYFDLLT >ORUFI08G08440.2 pep chromosome:OR_W1943:8:7721180:7724570:1 gene:ORUFI08G08440 transcript:ORUFI08G08440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDTGGGRASSTACHYSPSLVAAEPEEHVHGRSKDDDDDAAVSLMGEKALLELLLDMALEQHAPGKKLPAEEREESEFDIYLRDDKSHVLYHPEFSSTSVSSSPLSVKSSERSDLGTASAVLTKDVALLAEETDILATQLKASQLYSVDSSKSNEELQSKGQVFVRSTRLLERRSKRRYAPRASIADVSCSADNSKKKEKSKKYGRVLEPDEPFKLFLRDRETTEFLTAKEERHLFSKIQAKPYFYPVIFIFRTAQILMKIEEAHRKLEVQCGREPTLAEWAEAVGMSSKELQSSIRTGRRCREKMARSNFRLVIHVARKYEGYGLDIQDLVQDGCSGLMKTFEKFNPSKGCRFPTYAYWWIRQSIKKSIFKNSRLIRLPESVYALLRKVGKARMECIMEGEQPTNANVARRAGITIEKLAKLRAKTRKPRSMQDHVWSDEGVTFQVRDFLGILSPREKEIIEHRFGIHDGEPKTLHVIGDMFGLSKERIRQLQNRALEKLKRSASLQGFDVYFDLLT >ORUFI08G08450.1 pep chromosome:OR_W1943:8:7725393:7729843:-1 gene:ORUFI08G08450 transcript:ORUFI08G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSRFDLRVNPNPSLLADPRAAPANDRPVTSSPLAMQASSHPHQHAIGGGGGGGGMSDGGGGAAAAFADAGNLEHCARYLNQTLVTFGFPASLDLFATDPVSIARTCNCIYALLQQRQRDIEFRESTNDLRQRMQSDISRLEAKIERMDAQLAAKDRELATLTRTEAKNTATLKAQIDKLQQERDEFQKMVIGNQQVRTQQIHEMKKKEKEYIKLQEKLNQVLMEKKKESSRSGMEIMNLLQVDAYEVKKQELMQENADLRALLRSMQMDMREFLNAPNGVPQPAVVGNGRQEAGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMASIKARMTQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASLMSKHFTKSDKPRRLSGLDAEREAVAAQEV >ORUFI08G08460.1 pep chromosome:OR_W1943:8:7734404:7739623:1 gene:ORUFI08G08460 transcript:ORUFI08G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPPLPPRTPPPGVARPFASLPPPPPLQSRREVHVWYVCPDELNDHSHLDMYMELLSPSERKNALSMNGPRLQKDAMLSRALLRTTLSRYTNSKIDPRSFEFKKNKFGKPEILWRSDDSNMEWPWHFNISHTSSLIACGIAMDAPIGIDVEEKKRKTTKSILSLARRYFTPSEVDSLAKIADSDAQQKEFIKLWTLKEAYVKALGRGFSGAPFNRFSIQLKTNSRIQITKAPKVCNDSDSGDYLSENWRFALTELNSSYYMAVCIEDNSRGSENGSVPLGLKVWKTVPFIEDTLVSGTDAVKLIT >ORUFI08G08470.1 pep chromosome:OR_W1943:8:7749218:7749424:1 gene:ORUFI08G08470 transcript:ORUFI08G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVVLKRSKRIAAKLALIGSSDTTSRMQHNLKRKLVFVREKGLVSAEAVAAYNALFSQPLSQDHAIGP >ORUFI08G08480.1 pep chromosome:OR_W1943:8:7770561:7775717:1 gene:ORUFI08G08480 transcript:ORUFI08G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAGPMELVAALLRGLTPRAEQLLQLSSGGGEAAAGGAAEARAAVATVAAALLGCAFLVLWRRVSAGRKRKREEAERSAAAVAGVGKGGKNASAAAGEEAGGADGRKRVTVFFGTQTGTAEGFAKALAEEAKSRYDKAIFKVVDLDEYAMEDEEYEERLKKEKISLFFVATYGDGEPTDNAARFYKWFTEGNERGVWLNDFQYAIFGLGNRQYEHFNKVAKVVDELLVEQGGKRLVPVGLGDDDQCIEDDFNAWKETLWPELDQLLRDENDVSTGTTYTAAIPEYRVEFVKPDEAAHLERNFSLANGYAVHDAQHPCRANVAVRRELHTPASDRSCTHLEFDIAGTGLTYETGDHVGVYTENCLEVVEEAERLLGYSPETFFTIHADKEDGTPLGGGSLAPPFPSPITVRNALARYADLLNSPKKSALVAIATYASDSTEADRLRFLASPAGKDEYAQWVVASQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPSMAPTRIHVTCALVHEKTPAGRVHKGVCSTWIKNAIPSEETKDCSWAPVFVRQSNFKLPADPSVPVIMIGPGTGLAPFRGFLQERLSQKQSGAELGRSVFFFGCRNSKMDFIYEDELNTFLEEGALSELVLAFSREGPTKEYVQHKMSQKASEIWDMISQGGYIYVCGDAKGMARDVHRVLHTIVQEQGSLDSSKAESFVKSLQTEGRYLRDVW >ORUFI08G08480.2 pep chromosome:OR_W1943:8:7770561:7775717:1 gene:ORUFI08G08480 transcript:ORUFI08G08480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAGPMELVAALLRGLTPRAEQLLQLSSGGGEAAAGGAAEARAAVATVAAALLGCAFLVLWRRVSAGRKRKREEAERSAAAVAGVGKGGKNASAAAGEEAGGADGRKRVTVFFGTQTGTAEGFAKALAEEAKSRYDKAIFKVVDLDEYAMEDEEYEERLKKEKISLFFVATYGDGEPTDNAARFYKWFTEGNERGVWLNDFQYAIFGLGNRQYEHFNKVAKVVDELLVEQGGKRLVPVGLGDDDQCIEDDFNAWKETLWPELDQLLRDENDVSTGTTYTAAIPEYRVEFVKPDEAAHLERNFSLANGYAVHDAQHPCRANVAVRRELHTPASDRSCTHLEFDIAGTGLTYETGDHVGVYTENCLEVVEEAERLLGYSPETFFTIHADKEDGTPLGGGSLAPPFPSPITVRNALARYADLLNSPKKSALVAIATYASDSTEADRLRFLASPAGKDEYAQWVVASQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPSMAPTRIHVTCALVHEKTPAGRVHKGVCSTWIKNAIPSEETKDCSWAPVFVRQSNFKLPADPSVPVIMIGPGTGLAPFRGFLQERLSQKQSGAELGRSVFFFGCRNSKMDFIYEDELNTFLEEGALSELVLAFSREGPTKEYVQHKMSQKGGYIYVCGDAKGMARDVHRVLHTIVQEQGSLDSSKAESFVKSLQTEGRYLRDVW >ORUFI08G08490.1 pep chromosome:OR_W1943:8:7778610:7781993:-1 gene:ORUFI08G08490 transcript:ORUFI08G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADEGNAAAPRAAVSHVIFDMDGLLLGLSLSSDDSVSYAHSMVSFFAFLVAPIWMELDTEGFYTEVQEKILARYGKVFDWSLKAKMMGKKATESARIFVDECGLDGLLTPEQFLEERESMLQELFPSCAVLPGVLRLIHHLHANGVPMAVATGSHKRHFALKTQNHKEMFTLMHHVVMGDDPDVKTGKPSPDIFLAAMRRFEGNIEPSNCLVFEDAPSGVAAAKNAGMYAVMVPDSRLDVSYHKGADQVLSSLLDFKPGEWGLPPFTD >ORUFI08G08500.1 pep chromosome:OR_W1943:8:7784807:7785272:1 gene:ORUFI08G08500 transcript:ORUFI08G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSFSPSSLPLIAGGTGEVRHMASLTVADRGRRRQGKEMRRSAMDEREAASIEEGSGCTRERRVGEPGMLVRQHRRHADGSRRSPPSPTRSAEAEGPPSSGVHGKKPAGGAVVGKAVGGEADVDEVRRESAGSKRR >ORUFI08G08510.1 pep chromosome:OR_W1943:8:7791913:7792509:1 gene:ORUFI08G08510 transcript:ORUFI08G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSPPYVAEADLPIVAAGERRHACSANYDHRGGVGGWEDDDFEFAPLLPQRRRLRGECRRPRTSAAAVAACRGTAVVHAVLTQPPTPVKQRTPRRRQAAAAKSSAAPASAAAAAARRVRWHEMAFGSVRVPAAMDMGEIRRRLHARRRRLAYGDGEAGDAAAAAAGWAPWRLIRSLSCKGIEAVAVAAAAAPVRLV >ORUFI08G08520.1 pep chromosome:OR_W1943:8:7794493:7804967:-1 gene:ORUFI08G08520 transcript:ORUFI08G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSFILTGCNPSPSIKSCPGPLPLPSLCSAVLFALLYYFGKGRKEVHRLKNWLQQDTVALIVGGGSDSSGIVGRKRRRCDLIRERWCCLCPVWCKEAQEVVVPGRGRNGARQRDGGGCALGTTEVLGRICNSSVEKAEERETVIPAISNTEKMGEKQQKSIPRDRKRKGELDPAADYVKDLWDAFYVTAESTHLDTSEVNNKKQLDNCNHDIHVYEDLGHVCHECGLVVRKADSLFHYQWKKASRKRTNVNEVCLKKVGSDAISLSEDFIFSDIAIHPRHAKNIRPHQLEGFKFLVNNLVTDEPGGCILVHAPGSGEIFILISFIQGFMARHFTARPLVVLPEGILGTWKREFQQWQVEDIPLYDFDSIKADNRVEQLEVLKSWSSKRSILFVGSKHFTQIVCDDRDENAVAECRDTLLMVPSLLILDEGHTPSIDETDMLQSARKVQTPCKVVMSGTLFHNHVKEVFNTLDLVRPGFLKTETFWPIVTRMMGQLEISSARSITEISESMEDTLLNDDNFTRKVNVIRSLGELTKDVLHYCKGEDLNEFPVLLDFSVFLELSPKQKDILCKLEEDHGMLKTSAVGAALYVHPCLSEISEANDVDRDDRVDSLVNSINLGDGVKARFFLNILALANSAGEKLVAFSQYTLPMKFLERLLVKEMGWHVGKEIFVINGDTSMEDGQLAMDQFNGSADAKVLFGSIKAFGEGISLVGASRIVILDVHLNPSVTRQAIGSTFRPGQKKKVFVYRLVAADSPEEKAHETAFNKEVIPKLWFQWSGRCTTEDFKLNQVCIDGSRDELLETDVIRQDIKALYQSIDMGLVSEATVCFNNVSSSGLSVHDTGGNVIGQGDQDSEKNRYLSIASETMLVHFVHVFSFVCVPVNTTCSLSICREILWFLIGTREVPFSSVKFGISSWMGKITLIRIFRILYDEKGQIALLASKGSIKDKQEACKSWRGIQLSYRLQPLPIYWQSQDHYLFLLSALLCLLLCYTTLGREERWCIRLRIGCNKTSSARGATPRTVEGCSRIARAQGTRRDCEEVKICGWGYNTTEVLGRICNCSVEKAEERETIILASGNMEKMEEKHQKSDQDFHFSDSTMAIPRERKQKGEVDPAADCLKDRWGAFYVAVESTQLDTSEVNNKKQLNNYNHDIHVYEDLGHFHSRLHDKTFHCKALRDMEEGIPAVASGAL >ORUFI08G08530.1 pep chromosome:OR_W1943:8:7805884:7807809:-1 gene:ORUFI08G08530 transcript:ORUFI08G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAISLQPVNGPDILLQTRSWFPVSRALAAVSSFRLARLHLARGKQQQLSSSSSAAAAAATLEAIGDDPLAAGSGQLVVGVESQYRVVYRLVNSIYVLGVTTSSDHASPAVHAFAVADAVNQAVSVVVAACRGVDVTPEKVHRKYPEVYLALDLVLHGVGSVRLSQILATIHGDNLARMVNSSPDAEAKARGADPWPAVEHLAHDRHAARDGFTGASFELPQETLAAGDEFSASNIAPAAAAATGDEPPPEEAAPVEKDPFAASELVNNSEEALVGGFKKNKETAIVVADPAAALAGLEVTTLPPAEATKPTFIGVEGFEGDYGGIEFGNEEASLAEAFEGFNAPFGGGLDASEFVTTTKKDHKDKSITGLELLATSAGQGPNAPGGTPLENLLVTKSTEMTAPELYIVEEVNAEFKESILARVGLKGTIFLRTLPPKKAAGKETEFSFRLEGTSGMKKAALQSTVLSNLENGMFHVRTPSKEEPIPIMKYSFLPKHSPLPLRMRLVKRHSGTLLSVMIQYASNPMLPQPLSNVTFIVKLPVDPTLLNVSPKAVLNRAERELRWHIPDIPLKGPAGRLRARMPVDQDSKDGELEVVGMVKFAYQGPFTLSGIKLCPATDGTAQFNEAGHTFSSGSYLCI >ORUFI08G08540.1 pep chromosome:OR_W1943:8:7811003:7811628:1 gene:ORUFI08G08540 transcript:ORUFI08G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVADPGFEQRPSRDQGDAPTRGCVAATGYRRAPQCRRRPCEPQLLSVTRPRGGGGGVAGRAASAAAGPGTRVQGDEAGEYP >ORUFI08G08550.1 pep chromosome:OR_W1943:8:7813234:7816067:-1 gene:ORUFI08G08550 transcript:ORUFI08G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGLEQWQKDGFFQAAEEVQESADLMESIYRTWMRECDSGANLEELSDLQRELKTALGTAKWQLEQFERAVNMSNDKYSLEEGTLARRRQFVVAMEDQISQVEKQINDYSIDNDRRGLNWVKLDDEERDDLVAFLSAPAQLSQDTKKRDKTYHSPSKQKNALIGANDPRDMAAISKDRHKTEALCREISNGQAEACCLAEQLNGHSTSPSSGGEHWKIDISDDKDDDRKLSPNKVEASSQATAFSGIMKTTESFTRVRWLRNSLWKAKSDEHLPLRYDMPNHLDWRVITLLAQSFNGLTERSRSCFSGWKENSRVSGRMGGLHIQGPQHNTQFGRSIRITLLLCHFSCTQLDNAHTGGCW >ORUFI08G08560.1 pep chromosome:OR_W1943:8:7821342:7825493:-1 gene:ORUFI08G08560 transcript:ORUFI08G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEVAAAGGGGEMVVVRLPPLSQDDPLFQDKKRILDSRNLSCLFQVPNSCSAADAFKVLDRMIQAARIAHMDELELYFTGDDDFGPLSTRNELESLNLLLKILNTLLLTANVGAMGVLQVLRDEILIRLRSLELEDNDQMVVQIRNQNMEDSLLKWGEQHGVKTKLQIAFFEGAGRGMVASENIDVGDIALEIPESSIISEELLCQSGMFLALKDLDSITTETMLLLWSIRERYNPSSKFKIYFEALPANFNTGLSFGIDALAALEGTLLFDDGKLTTCLIPIAGLLNHSVSPHILNYGRVDKVTKSLKFLLSRPCKAGEQCFLSYGKHPGSHLITFYGFLPRDNPYDVIPLDLDTSVDEEDSSSPSVTTSQTSHMVRGTWLSRLRGPPTYGLPHRLVSHLHAILGCNQNESAPEADNKENDRMVLETLLSIFTPMLEGLGEPDDFDRENACWDVNLALDYKDLQRRIVLSIVTSCTSGLAMLDS >ORUFI08G08570.1 pep chromosome:OR_W1943:8:7848889:7851352:1 gene:ORUFI08G08570 transcript:ORUFI08G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHLLCSLLLATALLLLSISRTDAGEIGVCYGRDAKDLIDPPAAVSLLKANGISAVRIFDADPTVLAAMANTGIKVMVAIPNADLAAAGQDLRSATDWVASNVAPYRSRGTLISGVAVGNEVFRQRPELTGALVSAMRNVHRALENLNLANDVKVSTPIAFDALKQSSPPSAGEFKDEIAQSVMKPMIDFLKQTGSFFMVNLYPYFAYVAQPDKISLEFATFRPNAGVLDGNTDIRYFSLFDAQLDAVYAAINRVSGGSLTVSMARRDGILSVQASESGHPSRGIFPLSSMLAAADTDSVATIANAQAYNNGLIRRVVSGASGMRDVSAYIFSLFNENEKPGPTIERNFGLFYPNGQKVYEVDFRGGGGGGACPTKMSWCVARADVGSAALQSALDFACGNGADCSAIQQGSVCFEPNTLVAHASYAFNDYYQRKGQASGTCDFSGAASIVFKPSPSICDPNPSWCVAKSEVGDARLQNALDYACGSCADCSAIQPGARCFDPDTNVAHATYAFNDFYQTTGRASGSCDFAGAASIVNQQPKIGNCVLPPNNAWTTEQTAIEDQSTANLPATAWQ >ORUFI08G08580.1 pep chromosome:OR_W1943:8:7856828:7857421:-1 gene:ORUFI08G08580 transcript:ORUFI08G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEERGTLLRRVLIRAARAARAAVRIAATTTASSPSSPAPAAALSRTPSLLDCMDGDDDSIFYTPASSPVVVHYPRRVQVQQQQQPSLSPSPVAAAAAAADDIDRRAAEFIERFRRNESLELRYCAVYSPLTPAKPPISPDTYFKLSGAHHHGAVAAGGSPEPAPYVRKMSSLRPRRPSGMSIKWPTAGRPTVRV >ORUFI08G08590.1 pep chromosome:OR_W1943:8:7884642:7889698:1 gene:ORUFI08G08590 transcript:ORUFI08G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEQQQPESAAPVTEASPEIIFRSKLPDIAITNTLPLHRYCFERLPEVAARPCLIDGATGGVLTYADVDRLSRRLAAALRRAPLGLRRGGVVMSLLRNSPEFVLSFFAASRVGAAVTTANPMSTPHEIESQLAAAGATVVITESMAADKLPSHSHGALTVVLIDERRDGCLHFWDDLMSEDEASPLAGDEDDEKVFDPDDVVALPYSSGTTGLPKGVMLTHRSLSTSVAQQVDGENPNIGLHAGDVILCALPMFHIYSLNTIMMCGLRVGAAIVVMRRFDLAAMMDLVERHRVTIAPLVPPIVVAVAKSEAAAARDLSSVRMVLSGAAPMGKDIEDAFMAKLPGAVLGQGYGMTEAGPVLSMCLAFAKEPFKVKSGACGTVVRNAELKIIDPDTGKSLGRNLPGEICIRGQQIMKGYLNNPEATKNTIDAEGWLHTGDIGYVDDDDEIFIVDRLKEIIKYRGFQVAPAELEALLITHPSIADAAVVGKQIEPEIGEIPVAFVAKTEGSELSEDDVKQFVAKEVIYYKKIREVFFVDKIPKAPSGKILRKELRKQLQHLQQEA >ORUFI08G08600.1 pep chromosome:OR_W1943:8:7890989:7898794:-1 gene:ORUFI08G08600 transcript:ORUFI08G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLRHARRHSTSSSSSAAAAAVPLTSPAFAVFGANTGVGKTLVSAGLVASLLASPSPSPSTVAYLKPLQTGFPDDSDARFVFDRAPALLRRLRLAGGGASTRLVASNHTLFPSPAVDPLPERQDTVVNYGGEEGVEEKALVCRTVYAWREPVSPHLAAEREGMPVEDEEVRWLVDRWLAEEDGGGEVWKVLETAGGVASPGPSGTLQCDLYRSSRLPAVLVGDGRLGGISSTLSAYETLLLRGYDVGSVILEDRGLSNDRFLLSYLRKRVPVHVLPPIPEDPKDDLTDWFSESSSAFSSLKDSLQSFHSRRVQRLNSMQRKSKYLLWWPFTQHDLVPVDSVTVIDSRFGENFSAYKVKDKTIVPQFDACASWWTQGPDSNLQIELARDMGYAAARYGHVMFPENVHEPALRCAELLLGGVGKDNGSTAIEIALKMAFRKYACDHGIIVDSEKDIRSEGSVHFKVLALNGSYHGDTLGAMEAQAPSAYTSFLQQPWYSGRGLFLDPPTVYIKNKSANLSLPPSIMHDQLSFSSLTEVFCKTRDTSSAANVYVSYISQQLSQYAMSNNSEHIAALIIEPVIQGAGGMHLIDPLFQRLLVKECKNRKIPVIFDEVFTGFWRLGVESASELLGCFPDISCYAKLMTGGIVPLAATLATEPIFEAFRSDSKLTALLHGHSYTAHPMGCTAAVKAIQWYKDPSTNSNIDLDRMKLKELWDSALVNHLSSLPNVKRVVSLGTLCAIELKAEGSDAGYASLYASSLIRQLREEDNIYARPLGNVIYLMCGPCTTQDSCTRQLAKVHRRLQKLN >ORUFI08G08600.2 pep chromosome:OR_W1943:8:7890989:7898794:-1 gene:ORUFI08G08600 transcript:ORUFI08G08600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLRHARRHSTSSSSSAAAAAVPLTSPAFAVFGANTGVGKTLVSAGLVASLLASPSPSPSTVAYLKPLQTGFPDDSDARFVFDRAPALLRRLRLAGGGASTRLVASNHTLFPSPAVDPLPERQDTVVNYGGEEGVEEKALVCRTVYAWREPVSPHLAAEREGMPVEDEEVRWLVDRWLAEEDGGGEVWKVLETAGGVASPGPSGTLQCDLYRSSRLPAVLVGDGRLGGISSTLSAYETLLLRGYDVGSVILEDRGLSNDRFLLSYLRKRVPVHVLPPIPEDPKDDLTDWFSESSSAFSSLKDSLQSFHSRRVQRLNSMQRKSKYLLWWPFTQHDLVPVDSVTVKDKTIVPQFDACASWWTQGPDSNLQIELARDMGYAAARYGHVMFPENVHEPALRCAELLLGGVGKDNGSTAIEIALKMAFRKYACDHGIIVDSEKDIRSEGSVHFKVLALNGSYHGDTLGAMEAQAPSAYTSFLQQPWYSGRGLFLDPPTVYIKNKSANLSLPPSIMHDQLSFSSLTEVFCKTRDTSSAANVYVSYISQQLSQYAMSNNSEHIAALIIEPVIQGAGGMHLIDPLFQRLLVKECKNRKIPVIFDEVFTGFWRLGVESASELLGCFPDISCYAKLMTGGIVPLAATLATEPIFEAFRSDSKLTALLHGHSYTAHPMGCTAAVKAIQWYKDPSTNSNIDLDRMKLKELWDSALVNHLSSLPNVKRVVSLGTLCAIELKAEGSDAGYASLYASSLIRQLREEDNIYARPLGNVIYLMCGPCTTQDSCTRQLAKVHRRLQKLN >ORUFI08G08610.1 pep chromosome:OR_W1943:8:7900054:7900878:1 gene:ORUFI08G08610 transcript:ORUFI08G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEADQATVVASWGPDHGGRVGAVAHVERERARPPEMAPVFSAHGERWAAPRDIEAVVAARDDEAVTANSGRIWSPGTEDGRRRRRGPTAGEGIGEAERNSGGGSEWEEPTAALGVRGGDGGRTMAPTGFPSRPNLPPASRGLRHPPEVRKAAVSAWDHCGRHSHLTDSGSAGSSGGGGGGGSGRGQSGGAGRRGEGGRGWTAHSRCSPLSFPRPSPPSTVRRPPLCACRAHLRPHACPRA >ORUFI08G08620.1 pep chromosome:OR_W1943:8:7909832:7916062:-1 gene:ORUFI08G08620 transcript:ORUFI08G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSLIRKLATVSSEDIDVRPLERKIIRINSDRLLKVIHHVGDAQAMEWMRQILEVAYDVEDWIDLCIHLHGRVRADQLERIEEFIVRIDNVEERLSSQSPIGDNPHMLYAMEEDLIHHCERKVRLRRDLVLNLMDKLVVDSDQQLKVLFILGDRGIACQINHTELYIKSGGDEHQVIDNFRNFLRNKSSNNEILPKIWVCGVGPWVVLDCWWSAGVGGGGVRGGYMYFIVIDDIWTTTAWKAIKCAFADNKNGSRIITTAQIDKVSRLSYRHHTDLVVMLGGLRCTPLAIRIAAGLSLSAYPPPYSYTSAFKQYPLLQGIKRMLHISYAYLSLPLKSCLLYLSLFPENCTISKGRLIRRWAAEGFIDERDEGSIWETGESYFNELTIRQLIMREFIEDKTSNNIDLEDGSGFAVGCKVHGLVHDFIVSLSSRENMVTSDAELGSMQRGVIRRLTIKNDFSSIPKDSTQPSGSGTMDVQSINRALASAQKGKIRSLAFLGNSRLLSDVVGFKLLRVLDLEDCKSLGNEHVQKIRSLFLLRYLGLRGTGVTELQEDIGELHELQTIDVRRTRVKQLPVSVNELKKLVFLLGDGLQAQAGTLKYLFLFDCPIALLEILLLRWGGYKTPELRIVLRGNLIRVPGEFVGMRELTHLHISVFEVNESDFEVLGRMPSLIVLNLTSASSAQRICHIDIYDDGFRSLEVFWFRCLDDGWLRLRFWGAAMPRLRRLRLYLRANGLRLHGNYFGMRDFRSLTRVHVTVDCRDATVVDVEEAEAAITSLVKDNPNEPVLELTRKLDFSHFGPFEKLISQIDP >ORUFI08G08630.1 pep chromosome:OR_W1943:8:7930785:7934264:-1 gene:ORUFI08G08630 transcript:ORUFI08G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFRVVESILTKMCSVIDQDWELGGKLKNDLICIKEEMGMMNASIEQWEGNIQGPVQQQWVHQLQDLAYDIEDFIDGHRIGPQEPNHFMPRAARMIFGTDRRPQQVLDVKILKERVAILQKWQQTFGSTQAVGGAGNGSPPSGGFGGCEADEQLVGIDNAKRELLSLLSAAESQPDQQNKLKVISILGSNGIGKTTLARRVYDEGDIVEQFHRRAWVASWACEDSASLLKEIVRQLTSEEDGAQGSTSSTEQAGGAGAPNVRNLRQDVAAHLQSHRYLIVIDDLKKVKVWSDIASAFPENNKGSRVIVTTSILSIATTCAYGSWVYKMQCLQKDDSENLFWNEVFKGANRIRTPDLDRGSVTIIDKCDGLPLALVSAAKYLNCKRHALSGSECKKVGLNLGNHLASEGSFFKEIKRVLAECYDSLPDHGHRMCLLSISMFPRGHRIRRKSLLRRWLAEGLVVSQIQLNEEDAEDRFKEFIDRNIIEAVDIGNELEAKHWRVHGVMLEFISHKSISDNFITFIGNDRSTMSSNGQLLKTQQLQRKWKFLVRSLTIRNSSVPNLKEYKSLRVLDLEECNGIDQRVVKNHICELLFLKYLSLRGTGVRLIPSKIKRLRYLETLDLRETEVEMLPLEVLKLPRLAHLFGSKLEMPQELSNDADLDLQRFFQHESELQVLAGFVMEDNKAFVHVIRHMSKLKKVKIWRKANAAPAKDLTEHLVVCIQRRLQGSRPLESLSIDFGDLSIDFLGDLAPPCALESLKLRGAMSSLPAFFTSPENQLRELHLWSTGLSGEALSALQNLPYLVYLTLKEDRRGFWSDTFAVQSGGFPSLRRLRFQAAMLPKVVFEQGSMPDLADLHLLCPEICSSIFFYRSFDMPRNLRLGVWHIENLVSLNDVVLHHSASEQQLTAWKDAASAHKNRPNVRRQPQ >ORUFI08G08640.1 pep chromosome:OR_W1943:8:7942076:7942690:-1 gene:ORUFI08G08640 transcript:ORUFI08G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGRWGSVAGSAAWPVRGRRRHGEDGVTWWSHVARVGSETGAARTDGEVGGDKRGVTSVVVCARRYGDSGQGVAGISGRSCGVVGNGDRAGRRMMPAALRVLAMARRSDQRIDDGGGVRYLWRYSVEQLAGVQLGEAKSGWASWAAARVEKEEGKARGRGREKKGGTTSFPSRLSPTKGDGKGDGWAGWDWRKKRGNRLSARI >ORUFI08G08650.1 pep chromosome:OR_W1943:8:7952217:7965740:1 gene:ORUFI08G08650 transcript:ORUFI08G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVLGLAKTTVEGTVTMARSAMEEEEKLHKTVQRDLLVISDEFEMMHSFLNDAKGRVTDNVTRTLVRQVRNTALDVEDCIETIVYLDSKPHWWRRMILPWCMPSAAPGKDLDAAVANIEQLKARVEAMGHRNLRYNRIGDSGHKPEEQAHQQAVVNAMAPTDAAKQSCQVDLVMLINRKGGQARHMLGGGGGDEDDDDDDDNDNNEDDDDNGDCYHDCCEEQLKVEKEVLQVISVWGTGSDLEMMSIKKAYDDTETMKSFNCRAWVKMVHPFHPIEFIRSLLAQFHKNVCPEQDNTVKILDLMVATDDVLIEEFKQQLSQKFLVVLEDVTTMVDWEAVRGYLPDKKNGSCIVVHTRHHGIACSCVGHPYRVSELEKFSADYSVRVVFKEDAAGKVEGTVNEETAHEREKSGPSCFGRDTDLSWLHSLTRLGGVVSVWGMSGVGKSFLIRQFFNNYIRQPSKNTLTRHPYYHKKKYGWVDVPRPRPFDLRDLSKSLHSELNPAFKDEVMSTIKDPIQQCREYLQREENWPYLIVIDGLQSKEEWDSIRRNMDFKFNTSSGKSNRSGEKVGNVVIIITNEESVANYCATENNNVWNVKGLEVTGAIKLFDQVAANQRQRSWPWYWESKENKMLEIKHRNDETRHILLQKCGGLPKVICAVAESLSMVGDIKEEDNLVSKLAATRSLDDVFSWLLSYFRSCPDSLKPCILYLSIFPVNHTIRRRRLVRRWIAEGYFRDNKESTAEENGEGSFSKLIDLSMIQAPRTRVDGRRRMPLCQVNGFVREYIVSQLVEENLVFELEGHCRKNIQRTGRHLAIDNSWDRDRNVFESIDLSLLRSLTVFGKWETFIISDNMKLLRVLDLEDVSSGVTNDDVEKMVKLLPRLKFLSLRRCKQITRLPDSLGDLKQLQTLDVRHTSVIKLPKSIIKLEKLQYVRAGTAKHQQASEAAENPSMAAAPAMMSRPCIATPGSRFSKLGLGLHCRHGSHSGVKVPRGIGKLSSLHTLGVVNIQACGEDGILEDLKNLTQLHKLGVSGINRKNSEKLFSVILRLAHLESLSLKMQANQDNEAAGCMADISSPLEKLQSLKLYGLVDRLPSWIMQMCLQLPRLEKLDLQMKTLPQQELDFILTLKYLRSLRLRLAEFQDGELRFGWTIAQRYTKWIIDILEIVCNSSLQAVKFGSKIHVKILKIRCYSVSSSLQFSGLQSMETLKEVWLSGSYELGFKQQLETELKGNENEPILELEKPSSST >ORUFI08G08650.2 pep chromosome:OR_W1943:8:7952217:7965740:1 gene:ORUFI08G08650 transcript:ORUFI08G08650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVLGLAKTTVEGTVTMARSAMEEEEKLHKTVQRDLLVISDEFEMMHSFLNDAKGRVTDNVTRTLVRQVRNTALDVEDCIETIVYLDSKPHWWRRMILPWCMPSAAPGKDLDAAVANIEQLKARVEAMGHRNLRYNRIGDSGHKPEEQAHQQAVVNAMAPTDAAKQSCQVDLVMLINRKGGQARHMLGGGGGDEDDDDDDDNDNNEDDDDNGDCYHDCCEEQLKVEKEVLQVISVWGTGSDLEMMSIKKAYDDTETMKSFNCRAWVKMVHPFHPIEFIRSLLAQFHKNVCPEQDNTVKILDLMVATDDVLIEEFKQQLSQKFLVVLEDVTTMVDWEAVRGYLPDKKNGSCIVVHTRHHGIACSCVGHPYRVSELEKFSADYSVRVVFKEDAAGKVEGTVNEETAHEREKSGPSCFGRDTDLSWLHSLTRLGGVVSVWGMSGVGKSFLIRQFFNNYIRQPSKNTLTRHPYYHKKKYGWVDVPRPRPFDLRDLSKSLHSELNPAFKDEVMSTIKDPIQQCREYLQREENWPYLIVIDGLQSKEEWDSIRRNMDFKFNTSSGKSNRSGEKVGNVVIIITNEESVANYCATENNNVWNVKGLEVAANQRQRSWPWYWESKENKMLEIKHRNDETRHILLQKCGGLPKVICAVAESLSMVGDIKEEDNLVSKLAATRSLDDVFSWLLSYFRSCPDSLKPCILYLSIFPVNHTIRRRRLVRRWIAEGYFRDNKESTAEENGEGSFSKLIDLSMIQAPRTRVDGRRRMPLCQVNGFVREYIVSQLVEENLVFELEGHCRKNIQRTGRHLAIDNSWDRDRNVFESIDLSLLRSLTVFGKWETFIISDNMKLLRVLDLEDVSSGVTNDDVEKMVKLLPRLKFLSLRRCKQITRLPDSLGDLKQLQTLDVRHTSVIKLPKSIIKLEKLQYVRAGTAKHQQASEAAENPSMAAAPAMMSRPCIATPGSRFSKLGLGLHCRHGSHSGVKVPRGIGKLSSLHTLGVVNIQACGEDGILEDLKNLTQLHKLGVSGINRKNSEKLFSVILRLAHLESLSLKMQANQDNEAAGCMADISSPLEKLQSLKLYGLVDRLPSWIMQMCLQLPRLEKLDLQMKTLPQQELDFILTLKYLRSLRLRLAEFQDGELRFGWTIAQRYTKWIIDILEIVCNSSLQAVKFGSKIHVKILKIRCYSVSSSLQFSGLQSMETLKEVWLSGSYELGFKQQLETELKGNENEPILELEKPSSST >ORUFI08G08660.1 pep chromosome:OR_W1943:8:7968048:7972428:1 gene:ORUFI08G08660 transcript:ORUFI08G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAPAGRIRRWQWRQRLPLANPAAAVFLAGGSSGSLPPRPHPPCPSFSRGCCGGIGAPAGRSGGGGGDGSGRFSKMLSCLSAWLVNPRRNPLARLHMNVVASRLHKYGLRYDDLFDAYQYHGLDIKEALARLPREVVDAHNQRLKRTMDLSTKHRYLPADEQMPTYPATVFGENDGDGISLLLYFKLSGSFDKEISPQLKQSIKMPTYPATLFGENDGDGEAYCFISAI >ORUFI08G08660.2 pep chromosome:OR_W1943:8:7968048:7972428:1 gene:ORUFI08G08660 transcript:ORUFI08G08660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAPAGRIRRWQWRQRLPLANPAAAVFLAGGSSGSLPPRPHPPCPSFSRGCCGGIGAPAGRSGGGGGDGSGRFSKMLSCLSAWLVNPRRNPLARLHMNVVASRLHKYGLRYDDLFDAYQYHGLDIKEALARLPREVVDAHNQRLKRTMDLSTKHRYLPADEQMPTYPATVFGENDGDGISLLLYFKLSGSFDKEISPQLKQSIKMPTYPATLFGENDGDGEAYCFISAI >ORUFI08G08670.1 pep chromosome:OR_W1943:8:7986002:7989789:1 gene:ORUFI08G08670 transcript:ORUFI08G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRASSASCAPNPLSCPPHIQLSFKLRGDETRRKEENPHGTGSEMKQAQLHRCNTPVQGCYSHPMPINVIRYLKTKSNIRQQAIKSETIEGAAAGTHREEAKRKGNTDFVMDDDGCGLPLWPWWRCKDGGGNRNNATVLAWE >ORUFI08G08670.2 pep chromosome:OR_W1943:8:7976814:7986432:1 gene:ORUFI08G08670 transcript:ORUFI08G08670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAERGGERGAAGVGGDVNRGGGGVMVEIGGGLAARERERREIRAGEAWAGMGEGVRLRGSILDDTSAPTFRNYDESKDPTDGLGRNQLEDDQGKNETSDATKSMEDKVPKVGMKFNSEQEAYDFYNAYAGEKVAKGNQIMASKPKGIELKRKEICGSARPIGGLEKSSQNGKKKKNDDSPAEVVELQPVTEMQPQPYATVLGNLEVPNDQAFLHVSQSAF >ORUFI08G08670.3 pep chromosome:OR_W1943:8:7976814:7989048:1 gene:ORUFI08G08670 transcript:ORUFI08G08670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAERGGERGAAGVGGDVNRGGGGVMVEIGGGLAARERERREIRAGEAWAGMGEGVRLRGSILDDTSAPTFRNYDESKDPTDGLGRNQLEDDQGKNETSDATKSMEDKVPKVGMKFNSEQEAYDFYNAYAGEKVAKGNQIMASKPKGIELKRKEICGSARPIGGLEKSSQNGKKKKNDDSPAEVVELQPVTEMQPQPYATVLGNLEVPNDQAFLHVSQSAF >ORUFI08G08670.4 pep chromosome:OR_W1943:8:7985604:7989789:1 gene:ORUFI08G08670 transcript:ORUFI08G08670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRRKEENPHGTGSEMKQAQLHRCNTPVQGCYSHPMPINVIRYLKTKSNIRQQAIKSETIEGAAAGTHREEAKRKGNTDFVMDDDGCGLPLWPWWRCKDGGGNRNNATVLAWE >ORUFI08G08670.5 pep chromosome:OR_W1943:8:7976814:7986432:1 gene:ORUFI08G08670 transcript:ORUFI08G08670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAERGGERGAAGVGGDVNRGGGGVMVEIGGGLAARERERREIRAGEAWAGMGEGVRLRGSILDDTSAPTFRNYDESKDPTDGLGRNQLEDDQGKNETSDATKSMEDKVPKVGMKFNSEQEAYDFYNAYAGEKVAKGNQIMASKPKGIELKRKEICGSARPIGGLEKSSQNGKKKKNDDSPAEVVELQPVTEMQPQPYATVLGNLEVPNDQAFLHVSQSAF >ORUFI08G08670.6 pep chromosome:OR_W1943:8:7986606:7989789:1 gene:ORUFI08G08670 transcript:ORUFI08G08670.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHENDMIEECIDSSGASSASCAPNPLSCPPHIQLSFKLRGDETRRKEENPHGTGSEMKQAQLHRCNTPVQGCYSHPMPINVIRYLKTKSNIRQQAIKSETIEGAAAGTHREEAKRKGNTDFVMDDDGCGLPLWPWWRCKDGGGNRNNATVLAWE >ORUFI08G08670.7 pep chromosome:OR_W1943:8:7982929:7989789:1 gene:ORUFI08G08670 transcript:ORUFI08G08670.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTLENQGLHQQGRSQQPQQQAIKSETIEGAAAGTHREEAKRKGNTDFVMDDDGCGLPLWPWWRCKDGGGNRNNATVLAWE >ORUFI08G08680.1 pep chromosome:OR_W1943:8:7977973:7978434:-1 gene:ORUFI08G08680 transcript:ORUFI08G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRWSQRRRRRIKPAPADLVVAGMAKADLVTIVRRAAQRCPAPEAPPATPAATRAPDEVVGGRGAGACGSGRCGASEGRSGRRLPPRYSPPPRAQGASRRSWRPPRCLLLPPPCGESLKPWHRPLSVIGDELRERGERINKVEIMRRVSERG >ORUFI08G08690.1 pep chromosome:OR_W1943:8:7982335:7988684:-1 gene:ORUFI08G08690 transcript:ORUFI08G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCCGCCDLRLADQEGQKWCCSIGEEGAAAASQGIESHRCCSEKNEQQQPPALLGSSDRNQCHKGAEAAAAAAGTAGEHQPR >ORUFI08G08700.1 pep chromosome:OR_W1943:8:7995056:7996026:-1 gene:ORUFI08G08700 transcript:ORUFI08G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRAQVLETSGGSGIRQYAFRLPRRFTRRSFLPTAPRTPAGFWVVWSVDLVFG >ORUFI08G08710.1 pep chromosome:OR_W1943:8:8002601:8002930:-1 gene:ORUFI08G08710 transcript:ORUFI08G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVVCNLIPFPTFRLASVRREEKRRRLLHAEEQRRSEGARPQCEKGRAAAATAAVAQAEGEGAAAVVVCEGVLTLCGTAAATACGGVATLGGSAAPMREGRDSSGCYA >ORUFI08G08720.1 pep chromosome:OR_W1943:8:8005308:8005603:1 gene:ORUFI08G08720 transcript:ORUFI08G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFLIILVAWEIWKHRNEYAFNVATSNIEEVLPAIAREGGLWCTAGATSLQGVGS >ORUFI08G08740.1 pep chromosome:OR_W1943:8:8043651:8044064:-1 gene:ORUFI08G08740 transcript:ORUFI08G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTVAGGVERGGSGGGRARGRRSHPSLPVPCPCLLRQAWXSADVGACVAIAVTMGCAPLSPAARRRGSYRALARCLLPRARSPPLPLRRPRARPPPPPPHSTPLAAVLTISAVTDATPCERALREKREIDEERDRR >ORUFI08G08750.1 pep chromosome:OR_W1943:8:8048487:8049209:1 gene:ORUFI08G08750 transcript:ORUFI08G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADVSNVMWGIGYNQTWEEKRAVIRLGRFTMVDGQGYKEENIAGYGSSFLLLMKIMMLVIQSDFSVDEVQTHEANNFVDNSWPKMKVMPIANVPVVMFMIINC >ORUFI08G08760.1 pep chromosome:OR_W1943:8:8052463:8056033:1 gene:ORUFI08G08760 transcript:ORUFI08G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMLSLLTHALLLQTVTSQTINGDDLSALLSFKSLIRDDPREVMSSWDTAGNGTNIPAPVICQWTGVSCNNRRHPGRVTTLRLSGAGLVGTISPQLGNLTHLRVLDLSANSLDGDIPASLGGCRKLRTLNLSTNHLSGSIPDDLGQSSKLAIFDVGHNNLTGNVPKSFSNLTTLVKFIIETNFIDGKDLSWMGNLTSLTHFVLEGNRFTGNIPESFGKMANLIYFNVKDNQLEGHVPLPIFNISSIRFLDLGFNRLSGSLPLDIGFKLPRIKNFSTIANHFEGIIPPTFSNASALESLQLRGNKYHGMIPREIGIHGNLKFFALGDNVLQATRPSDLEFFTSLTNCSSLQMLDVGQNNLVGAMPINIANLSGELSWIDLSGNQLIGTIPADLWKLKLTSLNLSYNLFTGTLPHDIGWLTRINSIYVSHNRITGQIPQSLGNASQLSSLTLSNNFLDGSIPSSLGNLTKLQYLDLSGNALMGQIPQEILTIPSLTKLLSLSNNALSGSIPRQIGLLNSLVKMDLSMNKLSGEIPKAIGSCVQLSFLNFKGNLLQGQIPENLNNLRSLEILDLSNNNLAGPIPEFLANFTLLTNLNLSFNALSGNTMLCGGPPDLQFPSCPSKDSDQASVHRLHTLYETNERISYAELQAATESFSPANLIGSGSFGNVYIGNLIIDQNLVPIAVKVLNLSQRGASRSFLTECDALRRIRHRKLVKVITICSGSDQNGDEFKALVLEFICNGSLDEWLHASTAAISTSYRRLNLMKRLHIALDVAEALEYLHHHIVPPIVHCDIKPSNILLDDDMVAHVTDFGLAKIINIAEPCKESSSFVIKGTIGYVAPEYGSGSPVSMDGDIYSYGVLLLEMFTGRRPTDNFINGMASLIDYVKTAYPNNLLEILDTNATYNGNTQDMTQLVVYPIFRLGLACCKESPRERMKMDNVVKELNAIKKAFSAHTYA >ORUFI08G08770.1 pep chromosome:OR_W1943:8:8063038:8067620:1 gene:ORUFI08G08770 transcript:ORUFI08G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVPARARSVGGGPRSEGARPGPKTGAAGASGGGAEDALHVFDELLRQGRGGGGGASIIRSLNRALAAVARDSPAAAVSGFNRMARSSDGAVTPTLHTYGILIGCCCRAGRLDLGFAGLGNVIKKGYRVEPIIFTPLLKSLCAEKRTSNAMNIVLRRMTELGCAPHVFSYNILLKGLCHESRSQEALELLHMMADDGGDCPPDVVSYSTIIDGLFKEGDSDKAYSTYHEMLERGILPDVVTYNTIVAALCKAQAMDKAMDVLNRMVKNGVMPDCITYNSIVHGYCSSGQSKEAIGILEKMCSDGVEPDAVTYTSLMDYLCKNGRCIEARKILDSMIKRGLKPNVITYSTLLHGYATKGALVEMRDLLDLMVRNGIQPDRHVFSILICACTKHQNVDVAMFVFSKMRQQRLTPDVVTYGTLIDGLCKSGRVDDAMLNLEQMISEGVTPNIVIFNTLIHGLCTSDKWEKAEELISEMINRGICPDTISFNSIIDNLCKEGRFIESEKLFGLMGRIDVKVDVITYSTLIDGYCLAGKMDEAMKLLSDMVSVGLKPDTVTYSTLINGYCKISRMENALSLFRQMVCNGVSPNIITYNIVLQGLFQTGQTRAAKEIYVRIIKRGIQLEISTCNIVLQGLCKNNLTGEALQMFQNLCLMDVKLETRTFNIMIGSLLRSGRKDESKDLFAALSANGLAPDVVTYTLMIENLIEDGLLEESDNLFLSMEKNGCVPNSRMLNCIVRKLLQKGEIGRAGVYLSKIDKNDFSLEASTAELLILLVSSGKYNQHMKGLPEKWVARVLVNSAKPAGTGHKTETDVLTNKPLVKSNGFLSLLGLRSTFSKQGNDREIKITRHLCKCPTYRPAIWKDS >ORUFI08G08780.1 pep chromosome:OR_W1943:8:8073086:8073508:-1 gene:ORUFI08G08780 transcript:ORUFI08G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPKGGLLRHAYDHLGPGSRTVVEYAVVPFLPNGPRAKGHDSVESTTMLPPNFEPAGRVK >ORUFI08G08790.1 pep chromosome:OR_W1943:8:8076120:8077711:1 gene:ORUFI08G08790 transcript:ORUFI08G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWSPEEDAMLKNYIEEHGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGDFTPEEDSIICSLYISIGSRWSIIAAQLPGRTDNDVKNYWNTKLKKRLLGRRKDRGGGHHHRSQSTADDLPAGGDGGMNDGGGGGGERSLSASAMERIQLCMQLQELQNPLSIHHNPLLSHQWPSKATIDDQNHNNVTVAEHGMSSSVSDHHRLDGQQLESGAGAAAMQQASPSSGGENSNVVVAIEAELQELLYAGGGAIVDGGAPPQGDVDWWSYDQGKQSPVTCWDFTPETSSIFQDYATVYDI >ORUFI08G08800.1 pep chromosome:OR_W1943:8:8078956:8082857:-1 gene:ORUFI08G08800 transcript:ORUFI08G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARATLPLPRVPAPSPRPQLRPFPSLPARRGAVACGAGSAAAGVAASLRLGDVIEAQQFDRDALTEIFEVAREMEALERGSSSRGAGRSRVLEGYLMATLFYEPSTRTRLSFEAAMRRLGGGGVPPPKALLLRRQGRDPGRTVEGYSDIIVLRHFESGAARRAAATADIPVINAGDGPGQHPTQALLDVYTIEREIGTLDGIKLGLVGDLANGRTVRSLAYLIAKYQNIKIYFVSPDVVKMKDDIKEYLTSQGVEWEESSDLLEVASKCDVIYQTRIQKERFGERIDLYEAARGKYIVDKKVLDVLPKHAVIMHPLPRLDEITIDVDSDPRAAYFRQAKNGLYIRMALLKLLLVGR >ORUFI08G08810.1 pep chromosome:OR_W1943:8:8088463:8093628:1 gene:ORUFI08G08810 transcript:ORUFI08G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVFTRLFSSVFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPSGFPAPDSLIFFLPFAIGFNVETVQYNNIKFQVWDLGAFAYWLLAFNWQEDELKGAVVLVYANKQDLPGALDDAAITESLELHKIKSRQWAIFKTSAIKGEGLFEGLDWLSNALKSKSS >ORUFI08G08820.1 pep chromosome:OR_W1943:8:8105375:8106286:1 gene:ORUFI08G08820 transcript:ORUFI08G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASDGSASPASCGGAACGVCGGAATVYCAADAAALCVPCDAAVHAANPLASRHDRVPLAVAMAAASSGVYDHLFAPDDDAASSWAAAAAAGAAVQGQGQGSPNDSSSSFTNDSAGGGGGGGAERSLFDLLSDVDIMSCGGGGLASSFDGAAAPPLWLHPGQLAALTPWSPADSVVVPTSAAGAVAAAAAAREERVRRYREKRKNRKFQKTIRYASRKAYAEARPRIKGRFVKRATTAAASSSSDDDSTAAAGVSGAGGAGAAATKEAKFWLSFSDDGRADGVGFYMDSTTAATAAYGVVPTF >ORUFI08G08830.1 pep chromosome:OR_W1943:8:8108271:8112045:-1 gene:ORUFI08G08830 transcript:ORUFI08G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIEECSVDDGRRLMLLGSRILVGVPNNSRGCSELLSWAIRVVARPNDSVVAVHVLGGRGRKNRLQKANAFVIYMLGEFVNLEAKVVCSPSIWRALTQEATLTDANFLIVGRSGNAYRRNHFEVANYCYMNAPRNCSVIAVGRDGLPQSAARFKSRSFDDSSIFSSSTWSRRFPPLQKLLRSNSARKPAQSTGEATEDKSSPRAVLDGPEAGEQHVTEECYSTTSSNEVSRRGQNGIWRRLSDMKLWLPFLRSIDDENVKGGDNSSNYTEDQKPAWRCFSYQEISVATNDFHPDNMAGRGGYAEVYKGILSDGQCVAVKRLAQGKPTEQKEKEFLTELGIQGHVCHPNTAYLLGCCVENGLYLVFEFCENGTLASALHGKSAKILEWPLRYKIAVGVARGLQYLHMFCRHRIIHRDIKASNVLLGDDFEPQISDFGLAKWLPKQWTHHSVIPIEGTFGYLAPEYFMHGIVDEKTDIFAFGVLLLEIVTGRRPIDCSKLSLLQWAKPLLEAGQVTELADPNLGGDYDKDQLKRMVAVASRCIMRPAMWRPSMAEVLHFLSTDECLKEPEKWNIPEDEVDDMDDCTMFSESLSP >ORUFI08G08840.1 pep chromosome:OR_W1943:8:8118487:8118975:-1 gene:ORUFI08G08840 transcript:ORUFI08G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMVAAPPPSPAMAISPWHSPVPYLFGGLAAMLGLITLALLILACSYWKLNNYLGTSHSSSAAAGDGGDGDGGSKSPATAAAAFPVVYGDLVAVVMAGERMPTFLAAPIVRRPPSTDTSSSAAAAAAVAEVASPENGCDAAASRPPPQPVAARQAVQLAQL >ORUFI08G08850.1 pep chromosome:OR_W1943:8:8129708:8132557:1 gene:ORUFI08G08850 transcript:ORUFI08G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTIHPFHQQWPPAAGAAAPAAAVPPPPPVPGVPGAPDAAAAAAAAAAAAAAAAARPDEVRTIFITGLPTDVKERELHNLLRWLPGFEASQINFKGDQPMGFALFSSAHHAIAAKSALQDLVFDAETKTALHTEMAKKNLFVKRGVGNDANAMDQSKRLRTGGDYTHSPYAAPPYHPPPPAVSMWGTPGYIAAPPPYNHYAAYSVPPVAMTSPSPVPGPTAYAPVQNTKDNPPCNTLFIGNLGETVIEEELRSLFSVQPGYKQMKVLRQDRNTVCFIEFEDVNAASAVHHNLQGAVIPSSGRGGMRIQYPLFAQ >ORUFI08G08860.1 pep chromosome:OR_W1943:8:8134716:8143149:-1 gene:ORUFI08G08860 transcript:ORUFI08G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASEQPRGDQVWSSSSPPRSSTPPPQPLVGGLIESLSFRSCGFGRAAVSAFEKEDLRARAALPQRLRAAVHAALRAKDPSAGAFAYVAGNVAARGGRGGGGGGGGEVSAANPWFEVAHDDAPESPLVAFVNPRSGGRLGPVLKTRLQELIGEDQAALVTSGWLRRSADLHNALIRALAGSARPHLALPLYAHLLRAGHHPTPHTLPSLLKSLALSPAVPGARGLALAVHAHAVKLGLAGFLLVSNALIRVHAGLLGRLSDALVLLRTAASVDASTFNTLITAYARAGRVTDARALFDEMPARNAVSWSAMVNGYVQAGDGREALGLFARMQADGVIPDDTVLVGVLVACAQLGVLEQGKWVHGYLKANNIRITVFLGTALVDMYAKCGEMQLAMEVFKVMKEKNVLAWTTMIKGLAMHGRGSEALELFSQMERLGVKPDDIAFIGALCACTHTGLVDKGRELFDSMVRKYGIKPKIEHYGCMVDLLARNGLLNEAREMVEKMPMKPDALIWGALMAGCRFHKNVELAEYVVKHWIELEPDKSGAYVLLGNIYAASGRHASAREIRHLMREKGVDKTPGCSTVEIKGVIHQFIVGDLSHPFIEEILSKWDEIDSRIRLEEGYVPDKKEVLLDIEEEEKENALSRHSEKMAIAFALINTSDDMPIRIVKNLRVCHDCHHVTKLISKVFDLTVVKPSDFVQYVLGCLEQLADAGDHSAKSIRHNLRVMVAGGDGTVGWVLGCLGDLYVQNREPIPPVAVIPLGTGNDLSRSFGWGASFPFGWKAAAKRSLYKAIFGSVSCLDSWHIVVSMPERRDEEEEELDFPHSLRNLGECTFYDDGTAEGELPETVSCFDGVFYNYFSIGMDAQVAYGFHQLRDEKPFLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLTNIIRLSIKRLDSSEWENIPRGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRLEIKGGQWRDAYMQMDGEPWKQPLDHEYSTFVDIKKVPYPSLIINGGDR >ORUFI08G08860.2 pep chromosome:OR_W1943:8:8134716:8143149:-1 gene:ORUFI08G08860 transcript:ORUFI08G08860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASEQPRGDQVWSSSSPPRSSTPPPQPLVGGLIESLSFRSCGFGRAAVSAFEKEDLRARAALPQRLRAAVHAALRAKDPSAGAFAYVAGNVAARGGRGGGGGGGGEVSAANPWFEVAHDDAPESPLVAFVNPRSGGRLGPVLKTRLQELIGEDQVFDLTVVKPSDFVQYVLGCLEQLADAGDHSAKSIRHNLRVMVAGGDGTVGWVLGCLGDLYVQNREPIPPVAVIPLGTGNDLSRSFGWGASFPFGWKAAAKRSLYKAIFGSVSCLDSWHIVVSMPERRDEEEEELDFPHSLRNLGECTFYDDGTAEGELPETVSCFDGVFYNYFSIGMDAQVAYGFHQLRDEKPFLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLTNIIRLSIKRLDSSEWENIPRGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRLEIKGGQWRDAYMQMDGEPWKQPLDHEYSTFVDIKKVPYPSLIINGGDR >ORUFI08G08860.3 pep chromosome:OR_W1943:8:8135712:8143149:-1 gene:ORUFI08G08860 transcript:ORUFI08G08860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASEQPRGDQVWSSSSPPRSSTPPPQPLVGGLIESLSFRSCGFGRAAVSAFEKEDLRARAALPQRLRAAVHAALRAKDPSAGAFAYVAGNVAARGGRGGGGGGGGEVSAANPWFEVAHDDAPESPLVAFVNPRSGGRLGPVLKTRLQELIGEDQAALVTSGWLRRSADLHNALIRALAGSARPHLALPLYAHLLRAGHHPTPHTLPSLLKSLALSPAVPGARGLALAVHAHAVKLGLAGFLLVSNALIRVHAGLLGRLSDALVLLRTAASVDASTFNTLITAYARAGRVTDARALFDEMPARNAVSWSAMVNGYVQAGDGREALGLFARMQADGVIPDDTVLVGVLVACAQLGVLEQGKWVHGYLKANNIRITVFLGTALVDMYAKCGEMQLAMEVFKVMKEKNVLAWTTMIKGLAMHGRGSEALELFSQMERLGVKPDDIAFIGALCACTHTGLVDKGRELFDSMVRKYGIKPKIEHYGCMVDLLARNGLLNEAREMVEKMPMKPDALIWGALMAGCRFHKNVELAEYVVKHWIELEPDKSGAYVLLGNIYAASGRHASAREIRHLMREKGVDKTPGCSTVEIKGVIHQFIVGDLSHPFIEEILSKWDEIDSRIRLEEGYVPDKKEVLLDIEEEEKENALSRHSEKMAIAFALINTSDDMPIRIVKNLRVCHDCHHVTKLISKVFDLTVVKPSDFVQYVLGCLEQLADAGDHSAKSIRHNLRVMVAGGDGTVGWVLGCLGDLYVQNREPIPPVAVIPLGTGNDLSRSFGWGASFPFGWKAAAKRSLYKAIFGSVSCLDSWHIVVSMPERRDEEEEELDFPHSLRNLGECTFYDDGTAEGELPETVSCFDGVFYNYFSIGMDAQVAYGFHQLRDEKPFLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLTNIIRLSIKRLDSSEWENIPVPSRQVEFQI >ORUFI08G08860.4 pep chromosome:OR_W1943:8:8134716:8135528:-1 gene:ORUFI08G08860 transcript:ORUFI08G08860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFSVRAIVALNLHNYASGRNPWGNLKPEYLEKRGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRLEIKGGQWRDAYMQMDGEPWKQPLDHEYSTFVDIKKVPYPSLIINGGDR >ORUFI08G08870.1 pep chromosome:OR_W1943:8:8150306:8154992:-1 gene:ORUFI08G08870 transcript:ORUFI08G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKCGNCDCADKSHHLEEVAAGEVMGSLPMDQAFVQAPEHRAKPSVAEADGIPVIDLSPLFAADGVDVDVLAAEVGRASRDWGFFVVVRHGVPEEVVARAAEAQRAFFALPPARRAAVARSEAVQMGYYASDHTQNVRDWKEAFDLVPTRHSPLPLPSAMVLDNKWPDDLPGFREAMEEYGEAVEELAFKLLELIARSLGLRPDRLHGFFEDHQTTFIRLNHYPPCPSPDHALGLGHHKDAGALTVLYQDDVGGLDVRRRCDGEWVRVRPIPQSFIINIGDIIQVWSNDRYESVEHRVVVNVEKERFSIPFFFYPASYTMVEPLEEVVSEESPARYNPYSWGEFFSARKNGNFKKLDVDYVQITHFRKNAPVHVQ >ORUFI08G08880.1 pep chromosome:OR_W1943:8:8158174:8162303:-1 gene:ORUFI08G08880 transcript:ORUFI08G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTAAVPFWRAAGMTYIGYSNVCAALVRRCLKEPHKSEAASREKVHFAISKWADGKQEKPTVRTDDE >ORUFI08G08890.1 pep chromosome:OR_W1943:8:8165622:8165969:-1 gene:ORUFI08G08890 transcript:ORUFI08G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVSAYLMAYIGGNESPSKDDVRAILGSVGADVDEAKLDLLFEEIAGKDVPELIAAGRERLALAAPCGGIAAAAAGGQVVAAGGAAAAAAEEEAEEEKKEEEEDDDDGLFNLFD >ORUFI08G08900.1 pep chromosome:OR_W1943:8:8172601:8181275:1 gene:ORUFI08G08900 transcript:ORUFI08G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSIVGQILEKQVLSVAKAVEDKLDEQIAALDRLDPDDIEALRERRILQMRRAAERRAKWRALGHGEYGEVPEKEFFAAAKASDRLVCHFYRDNWPCKVMDKHLSILAKQHVETRFVKVHAEKAPFLTEKLRIVVLPTLALVKNTKVEDYVVGFDELGGKDDFSTEDLEERLAKAQVIFLDGEGPAHASKQATKRSVRQSDTGNSSDSE >ORUFI08G08910.1 pep chromosome:OR_W1943:8:8200539:8204035:-1 gene:ORUFI08G08910 transcript:ORUFI08G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADLSTIQQTLTPEAAAALARAMDEAGRRRHGQTTPLHVAAALLAAPAGLLRQACARAASAAGVGGGGGAAAGAGAGAHPLHCRALELCFSVALDRLPAAAAAAAAAHGAGASPPVSNALVAALKRAQAQQRRGCPEAAQQPLLAVKVELEQLVLSILDDPSVSRVMREASFSSAAVKSIIEQSLSAPSPCPSAAASTTTAGPGPLSPSPSPLPRAGAANAYLNPRLAAAAAVASGGGGGGGDDARKVIDVMLKPTRRNPVLVGDAGPDAVLKEAIRRIPTAGFPALAGAKVLPLEAELAKLAGDKAAMAARIGDLGAVVERLLGEHGGVVLDLGDLKWLVDGPAAAASEGGKAAVAEMGRLLRRFGRAGVWAVCTAACTTYLRCKVYHPGMEAEWDLHAVPIARGGAPIAAAAAGSALRPGGSGILNSSMGMLSPALRPMPVTPTALRWPPPGSDQSPAAKPAMCLLCKGSYERELAKLEAEQTDKPASRPEAAKPGLPHWLQLSNDQNKAKEQELKLKRSKDELERKWRETCARIHSACPMAPALSVPLATFTPRPPVEPKLGVARGAAVPTLKMNPSWEKPSVAPTLELRKSPPASPVKTDLVLCRLDPGTNPAVENEQKESCEGLTALQKAKIAGISDIESFKRLLKGLTEKVSWQSDAASAIAAVVIQCRSGSGKRRNVGTRGDMWLLFVGPDQAGKRKMVNALSELMANTRPVVVNFGGDSRLGRVGNDGPNMGFWGKTALDRVTEAVRQNPFSVIVLEGIDQVDVVVHGKIKRAMETGRLPDSRGREVSLGNVIFVLTTNWVPEELKGSNVETLLRGEERMLESTSSSWQLELSIGDKQVKHRADWLCDDVRPAKLAKELSSSHGLSLDLNLAVGALDDTEGSHNSSDVSVEQEQEKGQLAVKRSTPAPGSDILELVDDAIVFRPVDFTPFRKTVTDCISAKFESVMGSSSSFRIDEDAVDWMVGSVWLTDEKIEDWAEKVLKPSIERLWHNVKHDSGRSIIRLTAVAAKALPRWGGGREGLPVAVTIAIDGM >ORUFI08G08920.1 pep chromosome:OR_W1943:8:8268597:8268917:1 gene:ORUFI08G08920 transcript:ORUFI08G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIWWASVMEGVSSGVPMVCRPFFGNQKMNALLVSHVWGFGMAFDRVMTCDGVATVVVSLVGGKDGCRMRARAQELQAKVATMFIEPNGNCRKNFARLVEIICAS >ORUFI08G08930.1 pep chromosome:OR_W1943:8:8278244:8278714:-1 gene:ORUFI08G08930 transcript:ORUFI08G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIVGATATATAAMRSGGADPPPTGSKRADPPLAVSGVADPSPSSSTTADPIPAISGAADSPPGPAAVKGRVDGALAAVALNKGLIVEVSLADEPNLPPGQSSSECTPPPTVVEGDGEDSSSSGANPPLSNPRSSPTLVPMFARVSVMATTVDR >ORUFI08G08940.1 pep chromosome:OR_W1943:8:8310396:8310813:-1 gene:ORUFI08G08940 transcript:ORUFI08G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKSNLFVTTKALIGALLLLIERVGFYCLTFPWTTSLLCNIFAWWFLLLVSLTFGGSKILFKDVSLDLDSVPSKIVLQDPTAGVGESWTISVFILDGEFADMFPLKGCFWLVFCCCRLGYAWFVCDSPLS >ORUFI08G08950.1 pep chromosome:OR_W1943:8:8310968:8312085:-1 gene:ORUFI08G08950 transcript:ORUFI08G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMPSPPGGWDFFPGNSIRDEKELDDEWPIVQRRQKSSKPHKDIPITTIFQRLEFPSSSSSPAITGKNFGQRKAHDKSSNKPIVKSSAHKSVGTGKAKSLARNNAKKQVVVVNNVSQSRNKFVWVKKKKRSSSSPKQDVPSSSTVLPQPNLIISPEIVPMANTNPNPLRFLRAGQVVHQGGDLRIPRVDLTTATSPPPRHHEEYALALVEPILPEEL >ORUFI08G08960.1 pep chromosome:OR_W1943:8:8318517:8322935:1 gene:ORUFI08G08960 transcript:ORUFI08G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPNTYSESWWGGKEERGTPVVVKMDNPYSLVEIDGPGMAAPSEKARGKNAKQLTWVLLLRAHRAVGCVAWLAAGFWAVLGAVNRRVRRSRDADAEPDAEASGRGRAMLRFLRGFLLLSLAMLAFETVAHLKGWHFPRSAAGLPEKYLRRLPEHLQHLPEHLRRHLPEHLRMPEKEEIEGWLHRAYVAWLAFRIDYIAWAIQKLSGFCIALFMVQSVDRLVLCLGCFWIKLRGIKPVADTSISNDDIEATAGDGGGYFPMVYETSISHVCQIDWPRERMLVQVLDDSDDETCQMLIKAEVTKWSQRGVNIIYRHRLNRTGYKAGNLKSAMSCDYVRDYEFVAIFDADFQPNPDFLKLTVPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVYLSFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYQAYRKQQHRWHSGPMQLFRLCLPAVFKSKISTWKKANLVMLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPIWVICYVPVIMSVLNILPAPKSFPFVIPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKAGRTSSESDILALAEAADADARPPPAKLHRGVSEGGLKEWAKLHKEQEDATAAAAAPGTPVKKSKAAKAPNRIFKKELALAFLLLTAATRSLLSAQGLHFYFLLFQGVTFLAVGLDLIGEQVS >ORUFI08G08970.1 pep chromosome:OR_W1943:8:8335481:8338421:1 gene:ORUFI08G08970 transcript:ORUFI08G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGVRLKAGTRPPWVGLAAAVWVQMAAGNAYTFPLYSPAIKAALGYTQQQLAVLGVAKDVGENFGVVAGVLCNSFPPWVVLLVGAAFCFVGYGALWLAVSGAVVAMPYCLLWIVLAMATNSNAWFLTAVLVTNMRNFPLRRGVVAGLLKGYIGVSAALFTQVFSGVLHRSPTSLLLLLATGLPTICLATMYFVRPCTPATLDAATTDADTEEDGHFAFTQAVSVVLAVYLVTTTVLGNAIKLSDATSYTLFIVTVLLLLAPLAIPVKMTLFRSSPRRRSTETTEEPLLIPPHVVVDSGGDGDEEESDKVDLLLAEGKGAVVRRTKRRRPRRGEDFEFSEALVKADFWLLFVGYFIGVGTGVTVLNNLAQIGVAAGIGDTTVLLSLFALGNFFGRLGGGAISEKFVRSTLLVPRPIWMALTQTVLVVAYLCLAYTLGPAVAYACTATVGLCYGVQFSVMIPTTSELFGLKNFGLFYNLMSLANPLGAALFSGELTGRLYDEEAARQQHSGGVCLGPGCFKAAFVVLAGACSVGTAVSLVLAARIQPVYMALYSGGSFRLPNASQQH >ORUFI08G08980.1 pep chromosome:OR_W1943:8:8342451:8351677:1 gene:ORUFI08G08980 transcript:ORUFI08G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATPQQCWLPTRARAPPPPPPRAPSSASPVSGAPASLRFRGRRAASASASAARRRRGASLPCSPRCALETAGPGFDPLGLYNDGPSRNDTQSPLSNFFGVLSPVFGSSSGGRKEKSYGRGAAGCQVNPFLFFGPLPGKFLKLLGYLALSRLGIYIPLGGVNRDAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQLYPKLQDLQKKEGEAGRKKVLQYTRYASVGFAIVQAIGQVLFLRPYVNDFSTEWVLTSVTLLTLGSVFTTFIGERISDLKLGNGTSLLIFTSIISYLPASFGRTVAQAFQDGNYVGLLTIILSFLFLVLGIVYVQEAERKIPLNYASRYSSRSGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLEFLKKAAISLNPGGALYIPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGKSTAAFIKTVLSNISVLGSAFLAVLAAGPSVVEQITHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDVNRFDQ >ORUFI08G08990.1 pep chromosome:OR_W1943:8:8348462:8352822:-1 gene:ORUFI08G08990 transcript:ORUFI08G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNLIENEARHRQNNLATGSVKTMSSKIVLKLKDFTRIWISREESMLDPGGNVVLMWNRVFLVSCVASHFIDPLFFFLPIVERRDRQLCMTMDHHLAIILTCLRSFLDIFFIAHIAISFSTAHVDPSSKVLGRGELVTDPKKIANRYIRTNFFIDLVAALPVPQVLVWIVMPSISFKHINAPFFLIILVQSAIRLYIVILLSLSIMEMVGFIAKNGWEGAIYSLVLYLVASHVVGAIFYLTAVDRQKTCWETQCSIEDRMAHKGLCDLHFLDCKYATSSNSQSWANSTNVFTHCNANSNSVSINYGIFIQAIQNGVTTASFSEKYFYSLWWGLQQLTTYGNPLVTSSFIGENLFAIGLTLLSIGLFAQLIGNMQIHMRSLSKNTEDWRMWQTEMEDWMIDHQIPDELRYRISQFFKYKWFATQGVEEDSILRQLPADLHRDIKRYLCLDLVERVPFFSAMDHQLLDAICERMTYFLRTEGTYITREGDPVKVMLFIIRGKLESSTTDGGRTGFFNSIILKPGDFCGEELLTWALLPSSRDSYPSSTRTVKTIAELEAFSLQADDIKCVASTFRMMHSKHLQHTFRLHSYQWRTWAARFIQSAWRRRQNRQKMAEVGLSNRWKSFFSLVNDFNDTRCEDINGSSSTVSHRETVTVSKIASIFKKAQKERPEEPDFSEDHHPE >ORUFI08G08990.2 pep chromosome:OR_W1943:8:8348462:8352822:-1 gene:ORUFI08G08990 transcript:ORUFI08G08990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNLIENEARHRQNNLATGSVKTMSSKIVLKLKDFTRIWISREESMLDPGGNVVLMWNRVFLVSCVASHFIDPLFFFLPIVERRDRQLCMTMDHHLAIILTCLRSFLDIFFIAHIAISFSTAHVDPSSKVLGRGELVTDPKKIANRYIRTNFFIDLVAALPVPQVLVWIVMPSISFKHINAPFFLIILVQSAIRLYIVILLSLSIMEMVGFIAKNGWEGAIYSLVLYLVASHVVGAIFYLTAVDRQKTCWETQCSIEDRMAHKGLCDLHFLDCKYATSSNSQSWANSTNVFTHCNANSNSVSINYGIFIQAIQNGVTTASFSEKYFYSLWWGLQQLTTYGNPLVTSSFIGENLFAIGLTLLSIGLFAQLIGNMQVPFFSAMDHQLLDAICERMTYFLRTEGTYITREGDPVKVMLFIIRGKLESSTTDGGRTGFFNSIILKPGDFCGEELLTWALLPSSRDSYPSSTRTVKTIAELEAFSLQADDIKCVASTFRMMHSKHLQHTFRLHSYQWRTWAARFIQSAWRRRQNRQKMAEVGLSNRWKSFFSLVNDFNDTRCEDINGSSSTVSHRETVTVSKIASIFKKAQKERPEEPDFSEDHHPE >ORUFI08G09000.1 pep chromosome:OR_W1943:8:8361514:8365250:1 gene:ORUFI08G09000 transcript:ORUFI08G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPSSLLPSPLPSPPILLSTNPSSLRLPPLAAGRRGAERAVAAAAASAPAPATPVQAQQRASRPSAAEVARTVVELAPSGTLSVVGPDGWPLGVGARFVADGSGAPALCLAASGPAAAAPDAPSSFHVEFRQSGARTPQCTLLGALTKPNDEYELKKLSTRWQKKFGEEIDQDLLYLISVDRILHIEDFNEDGMWVIPSEYSDAEPDPLRNFAESIVEEMNSKHAEDVHRIYSIYVESDFQATEVKMIWVDRLGFDLHVHSGESTFAARIPFTREVSDEKGVKSSFNMMSHHAWEVEKSYAAPEFEKVELLKKVR >ORUFI08G09010.1 pep chromosome:OR_W1943:8:8370173:8370645:-1 gene:ORUFI08G09010 transcript:ORUFI08G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKASVVCCILLLVLALGGPASATDYCYKAIGKLIVCVGPTCKLDCWLEAKYNKGRVKRHKCMKHGIFAKCYCEICVTF >ORUFI08G09020.1 pep chromosome:OR_W1943:8:8371465:8374410:1 gene:ORUFI08G09020 transcript:ORUFI08G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSARFRRFTGELEHRLPEQSIVSSSPPLIKRLAAELELMHGHQRDMWTQQDLMMMMMGQKYHPRMRTYRMLLYSAIVMALV >ORUFI08G09030.1 pep chromosome:OR_W1943:8:8409430:8409925:-1 gene:ORUFI08G09030 transcript:ORUFI08G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKAAICFVLMLLLSLGNSIPTPIDTCTQSVSILPICVGFTCKYHCWVFSKFTKGKAIDHKCLGKGYKTKCYCLICRK >ORUFI08G09040.1 pep chromosome:OR_W1943:8:8413324:8414111:-1 gene:ORUFI08G09040 transcript:ORUFI08G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKAGICLVLVLLLSTLAQHAYAATANLMPRDPGPGRPPDVIEQWCWYEVSVSPICAGFTCKFDCWFFAKVNKAKVQSHKCLGKGYKIKCLCQLCKNK >ORUFI08G09040.2 pep chromosome:OR_W1943:8:8413324:8414111:-1 gene:ORUFI08G09040 transcript:ORUFI08G09040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKAGICLVLVLLLSTLAQHAYAEQWCWYEVSVSPICAGFTCKFDCWFFAKVNKAKVQSHKCLGKGYKIKCLCQLCKNK >ORUFI08G09050.1 pep chromosome:OR_W1943:8:8415522:8416346:-1 gene:ORUFI08G09050 transcript:ORUFI08G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMQERVEEVAAEALGNLDPVVSNLLDTRSGVPLFVGSGEAAADNLRAKGKRVIYDGSIGEHVKRAMCRVHILACHNWPLMCDGPHLEWAPIEPITNAFTCDETLWPVTDRSS >ORUFI08G09060.1 pep chromosome:OR_W1943:8:8422994:8424785:-1 gene:ORUFI08G09060 transcript:ORUFI08G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLRKQPSFLLILLILHLGAREASALSSDDEALLAFKKAVTTSDGIFLNWREQDVYPCNWKVVCFFHSHYKSGQSSTYQKNGLCLKTFPNRAIICVDVFLRSSIIIPYDEVISSINVKIIKNNPQLNRQDKRLVLPCGDRGLK >ORUFI08G09070.1 pep chromosome:OR_W1943:8:8426790:8427545:1 gene:ORUFI08G09070 transcript:ORUFI08G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADNLPAEVPHQAHPRSGSGCTLLVLHRHGDTATNFVCDGCREPGEGTRYTSGDLVLHTHCALAAPTLRHPPAVTPSSATPATAPCAGAGSTTTAPPAAWTCTRAAPRCRCPSRCRRAAPPPSSSKNTDQRMYLHVKCIKEIMAGLGHGGGGGGNNGEGCSKMHHHEIMAAGSCRGGADAGGEGADRVNRVIARLQERAGGGAGGGGSSKSKLVRRVCEVLVMLMRVVIGVLLGDPTVPLIAFNFIMP >ORUFI08G09080.1 pep chromosome:OR_W1943:8:8428262:8428720:-1 gene:ORUFI08G09080 transcript:ORUFI08G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVLVEEEAPPMCKKEAMAAIVEGLPGRDECDLETLVVVVVLVVVEPVVAAVAARAAAGYESGQGVVPKDRLGASRERTCCGGALVATGAAVRARCCHQLPRLLRRRAPLAAARARPLRRPASPPSHCLRPPPAPPCLAAAAASSPPPPRY >ORUFI08G09090.1 pep chromosome:OR_W1943:8:8436260:8437120:-1 gene:ORUFI08G09090 transcript:ORUFI08G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSPALARPTWSSSSGKPPLQEDNCLERSSSQASTPPSWRYRRRRLDHVARVPAMGHLVASPSPPSSHHDSLAAAAHHPCRACTTAPSAPTFHGPCQSSTATSLHRRPPEPPGTPLITTVPAYYHHPLLPVGSSPSPPPSPLASHRRRRGCAPTVDVVVIAPFYLQTSPPPPPRCRVSLPPARGLAPRATLGEAALTPRTSAASTPPRPDTVVSRGSGRKAAGFGPSHHLHVHTATEAHVATSTQPRHRHHRIWTGGCRIWPAAPPRRRGVSRTVGVVGVNYAT >ORUFI08G09100.1 pep chromosome:OR_W1943:8:8440341:8441631:-1 gene:ORUFI08G09100 transcript:ORUFI08G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISHRETETRRMFVEWKAKYDKAYASIAEEECRYAVFRETRRAVDQHNAGFHSYRVGLPPPRGVCWQCDTKMPSVRVLCSSTFSCWISSKFT >ORUFI08G09110.1 pep chromosome:OR_W1943:8:8447058:8448032:-1 gene:ORUFI08G09110 transcript:ORUFI08G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAPPGFFSFLKHGVLVPARGRGVFLPLLALTAALAGALLLANSLAVQPRAVAALLDADALSRADPASAAYPKLVRRFRDDLRGLLVDAAACVAAAVVAGSAIKIATVFGAVAAFSPAGGEDRRATVSGLIGAARGNVWGPVLTIAFGYVLEVVCAAAIVAMAMLVVPLLEYSLLLLFLDAMAVLLASLFLVYLTVVCAVALAVSAAEPGRRGAGAVSRAWRLMSGKNAQAVLYVVATFALAAAVSPVYTLALRWWPRSAPAGIAAGVAYVLLLGAVEVFSVAAVTAYYFECREMKQVEEDMAAGHHHYTKLSNGDEANI >ORUFI08G09120.1 pep chromosome:OR_W1943:8:8449313:8450161:-1 gene:ORUFI08G09120 transcript:ORUFI08G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSRSPRTERSTVTSDCSATMVRESLASTHDMRRMSPTLRAATVAPPLPSVALVVPGPSTPASAVPAAEGESGKARRPDRNLRAGAALRARGVAQTRGHAPGARALPVEGLRRALLHARRPPPPHPDQRPRDRAAVTASASLLRATSKLATSCAALLPLL >ORUFI08G09130.1 pep chromosome:OR_W1943:8:8449923:8450567:1 gene:ORUFI08G09130 transcript:ORUFI08G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTTTTKVGRNGDHADAGVEGPGTTRATDGRGGATVAARKVGDMRRMSCVEAKDSLTIVALQSLVTVERSVRGDLEEHIPKPSLAAPNIFDRAQLLRNRPPPAPASTSAPIATASPRHPTACFLPRPVGQPARGERGGRRARATLSQRAATREPPAAPPFDRARRCGRRP >ORUFI08G09140.1 pep chromosome:OR_W1943:8:8478362:8479141:1 gene:ORUFI08G09140 transcript:ORUFI08G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSFPAEIIHPARLGCMLRLHVVEHPTGDAAAVAFQCDGCMLPGEGTRYTSVVDNHPTHLALHTSCALATPTLQHALVKGTMELRHEAPAGGAGVCSACFETVRGFHYYGSRKTGKGEHPKLHPCCARLPVSIAVRGGLTFELRAEVSHRCTGCRAMEWYYRPWCYRSTNSPDHRVYLHVKCIREIMESPGGGGGGGAGDEDDRVVARLLERADQSSKLERRVCKILVILVRVVVRMLIGDPTALLTEGVSAIVSPW >ORUFI08G09150.1 pep chromosome:OR_W1943:8:8487281:8495354:1 gene:ORUFI08G09150 transcript:ORUFI08G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYRDYVGASTLTSESWTESGDFTCSRYGAISCCVLSIDDSKLEMSLLGKALSQAVKKGASTLTRESWTDTGGFTCSRHGAIVLHACCRSMTATLERINDVTVAADHGIEENMTYEATAPVTSV >ORUFI08G09160.1 pep chromosome:OR_W1943:8:8498755:8499453:-1 gene:ORUFI08G09160 transcript:ORUFI08G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEVRHPARPGCMLTLHGDADAMAFQCTGCMETGKGPRYTSGDHVLHTYCALATPTLQHPLVEGIMELRLVAPTGGDAVRCDACYDAVRGFHYHSSTSGVDLHPGCAKMPRSITLRGGTIFDLRTEVSHRCTSCKAMEGFYRPWFYRSENNPDQRMYLHVKCIKEIQDAGDDDEVRMMVRLQERAGRNVRLERRVCKTLVIMVRIVFRLLIGDPTPILTEGVNAIVSMAMQ >ORUFI08G09170.1 pep chromosome:OR_W1943:8:8556549:8560296:-1 gene:ORUFI08G09170 transcript:ORUFI08G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGMVGDSFGCSATGERLVSAARDGDIQEAMALLELNPRLARYSTFGIRNSPLHYSAAKGHHEIVSLLIESGVDINLRNCRGQTALMQACLYGHWKVVQILVLFKANIHKKDCFSGATAIHFAALKGHTRCLRLLVADYVPSLPEFWSVMHAKCTDETNKEAFDAVALRRLINNKSDGGVTPLHLAALHGHAECVQLLLDLGASVSEVTINDGSTIDLIGSGSTPLHYAACGGSAVCCQLLVAAGANMRAQNTNGLTPLMVARSWHKSSVEGILTKRSEVPVRILPSSYLSLPLMSIVKIARECGWRKTSVSSVCHDPCAICLDTECTVSAEGCGHEFCTKCALYLCATASSSTSIRGVPGSIPCPLCRHTIVSFVRLTSTTPIKELPWTNKSLALCAAGASTGSKYAGPAAITSSKYAGSLHRRSEMRSLRSSSVDLGCSSFRTASSGKLSSIKLNCTGADETMPCLVNCFRPDVQRSSSYRERIRRYSQFS >ORUFI08G09180.1 pep chromosome:OR_W1943:8:8561833:8562560:1 gene:ORUFI08G09180 transcript:ORUFI08G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHSSSSPCPCQGTAPRCGKNEGGVEESTRWQEQQQLEEELVSVAEAAGEGRRGGEEEQKGVEFGRLFVTFALRLYQKFRIQKLPTGSFFSFLFSTTAFDFESISVFKSFSRIKVKEI >ORUFI08G09190.1 pep chromosome:OR_W1943:8:8573940:8574395:-1 gene:ORUFI08G09190 transcript:ORUFI08G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEGIGEGIGTAADEEDVGAGGGGKSAPAMADGAEVGTGRGGSGGGEEAAAPASGMRRQSTWGRSTSSGGRAESAAPAAAIHAGRISSEDAAARRQNMRGGGGEAKSSRVERCLRGWRRRSEVFGADGRCRLIRMISPVGLEGDISFFLY >ORUFI08G09200.1 pep chromosome:OR_W1943:8:8573959:8579163:1 gene:ORUFI08G09200 transcript:ORUFI08G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSNPTGEIIRINLHRPSAPNTSLLLLQPRRHRSTREDFASPPPPRMFCRRAAASSLEILPAWIAAAGAADSALPPELVLLPHVDCRRIPDAGAAASSPPPEPPRPVPTSAPSAMAGADFPPPPAPTSSSSAAVPIPSPIPSIPIISCRSPPTLPHNPILYQKSKTTSIGMETSCFRLLNCLPIPLRFSV >ORUFI08G09200.2 pep chromosome:OR_W1943:8:8573959:8579129:1 gene:ORUFI08G09200 transcript:ORUFI08G09200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSNPTGEIIRINLHRPSAPNTSLLLLQPRRHRSTREDFASPPPPRMFCRRAAASSLEILPAWIAAAGAADSALPPELVLLPHVDCRRIPDAGAAASSPPPEPPRPVPTSAPSAMAGADFPPPPAPTSSSSAAVPIPSPIPSIPIISCRSPPTLPHNPILYQKSKTTSIGMETSCFRPGLMVPCNSLIPQAYD >ORUFI08G09200.3 pep chromosome:OR_W1943:8:8573959:8579163:1 gene:ORUFI08G09200 transcript:ORUFI08G09200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSNPTGEIIRINLHRPSAPNTSLLLLQPRRHRSTREDFASPPPPRMFCRRAAASSLEILPAWIAAAGAADSALPPELVLLPHVDCRRIPDAGAAASSPPPEPPRPVPTSAPSAMAGADFPPPPAPTSSSSAAVPIPSPIPSIPIISCRSPPTLPHNPKSKTTSIGMETSCFRLLNCLPIPLRFSV >ORUFI08G09210.1 pep chromosome:OR_W1943:8:8590525:8595472:-1 gene:ORUFI08G09210 transcript:ORUFI08G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILDYLIGSCIRKLQEIITEEAILILGVKEELRELGRTMSQLQYFLIDAEQRRSEESAVNIWLGELKDATYEAEDILDLASFEGNKLLSQNPLPSSSSRNSTGCTGFSFFCCLPNIHRRHEIAVRIRNFNFELEKIFKMGELYLKLQNMQPTVQVPAAKPIKTCQLLEPNLVGKEILHGCTRLVKLVLAHKDKRAYRFGIVGTGGIGKTTMAQKIYNDHRIKGIFSKRAWICVSQDYSDVNLLREVLRNFAVYQEQGETVTELKSKLAATVKGESFFLVLDDVWKHEVWTYLLGTPLLAASTGIVVITTRHDTVAREIGVEHMHQVEFMSAAVGWELLWKSMNIEREKEVQHLREIGIEIVRKCGGLPLAIKVIARVLSTKEKSENDWRKVINKSAWSRGMLPTDLRGALYLSYEELPRHLKRCFLYCALHPEDWFILRDDLIGYWIAEGFVEEQEEQLLEETAEEYYYELIYRNLLQPEHTYFNNIMCRVHDLLRQLAWHLSGDEIFYGEPESLGAKTLSKLRRASIYTKKDSVVLPDMDNEHTRVRTLNIQCRSVIDGNTIFNRFPRLRVLNLTGSPVQKIPGCIGDLIYLRLLDLDKTNIYCLPESIGSLKNLQILNLQRCVALHSLPMTITQLCNLRRLGLCHTPINEVPKGINRLKFLNDLGGFPISSGSNNNTEIQDGWNLDELGSLSQMRRLDIIKLERATPLYSTTSLLTYKKHLKVLYLCCSGWTSEAYSDEDVSNNERIFEQLTPPNNLEDLSIVRFIGRRYPTWLCSTILSSLKHLELLGCKSCMHLPPIGQLPCLKYMKILGTNITKIGPEFVGFGVHKLESVEVAAFPKLECLVFSDMPNWEEWTFNETASVSVEASTPLTLKFLPCLEKLYIYGCPKLRALPLEIGQGTTRLRELHIRGANCLRVVDDIPFLSDCLSIRQCEGLERVSNLPQLRKLYLGVCPNLRNVEDLNSLELLLQTTDMQEMSSQWVPQLRDQHRHLHHEDLDVYTWRWDREKPIFMTKLSQDGILVCW >ORUFI08G09220.1 pep chromosome:OR_W1943:8:8621084:8621599:1 gene:ORUFI08G09220 transcript:ORUFI08G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNHLDGPSRGQVEEWLKRVNKRERRRKRERRRRRRKKERRRRRERRRKRRSKWSSVPPSYRMLHSHHRGHLDHSARELLFPTGGTLPISLVLQGVGRSLSLWMDVVVMELDVYGFWMDEWLCLWIDGSICL >ORUFI08G09230.1 pep chromosome:OR_W1943:8:8646375:8647967:-1 gene:ORUFI08G09230 transcript:ORUFI08G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGNAEGQPRQARCGMTDRSAAGRGCAWCGQATGCRGAAVLLARGHGLAGVVRRLCGALRARCGAQPAPCIVQPAAGGGTPSIGVRRRLQVGDDGIHRKPQKWNEEDARNSILQFNLQDAKWILEFHLNRKGIDCMKFRRDLHGDKLRDWRKVVNNWEGLNLVEYCKDKLWWTLSKDGSSLNKACFERKLSNDPIELVYTACNWVDSWAILQKQEASRRNLQLGTRLLKQVANDVFNSRHGWMGGTRRIGVG >ORUFI08G09240.1 pep chromosome:OR_W1943:8:8651918:8654932:-1 gene:ORUFI08G09240 transcript:ORUFI08G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVVFFMGDGDLVVLVVGKFALVLSNMLCEDMKAGPDRVLVVYGQTS >ORUFI08G09240.2 pep chromosome:OR_W1943:8:8651918:8654932:-1 gene:ORUFI08G09240 transcript:ORUFI08G09240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVVFFMGDGDLVVLVVGKFALVLSNMLCEDMKAGPDRVLVVYGQTS >ORUFI08G09240.3 pep chromosome:OR_W1943:8:8651918:8654932:-1 gene:ORUFI08G09240 transcript:ORUFI08G09240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVVFFMGDGDLVVLVVGKFALVLSNMLCEDMKAGPDRVLVVYGQTS >ORUFI08G09250.1 pep chromosome:OR_W1943:8:8678111:8681581:1 gene:ORUFI08G09250 transcript:ORUFI08G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRLLPPTPPPHSAASPPARHRHPALAAPRSRRPINPPRLRCRAAAGAAATTTTTTGGGGGGGALVLEGSGAGAVAVREFVTLDELRAAVRLRIRTFYEYATDSYGAEDLRKSLADREYDALQDRISGKMINFQRVSCINGTVPLLPSLVSAEELCSTCKFVEDGEERVVVGSLDLNQCLWLPDELTGKRPGVNESSHTRAYLSNVCVAKELQRNGLGYALVDKSKKLAREWGITDLYVHVAINNEAAQKLYNKCGFVYESEEPAWKARFLGRPRRLLLWLDLKKDAL >ORUFI08G09260.1 pep chromosome:OR_W1943:8:8689139:8690429:1 gene:ORUFI08G09260 transcript:ORUFI08G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHSFRAEESGEIYLRSSELDTGKIPASKPVPFRHNTNSNSVPNPGSKTGKSDDYRCEMGTLLSLYLQQECDKHRKEQEEGDSPILLQMTVFIISLIDRP >ORUFI08G09270.1 pep chromosome:OR_W1943:8:8697166:8697744:1 gene:ORUFI08G09270 transcript:ORUFI08G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPRKFKKAFMERLLLSLQVAGLTSKSMGLRERRDAVRLSSDVAMASARGRAAPWARALVARHAAERRNEPLLRRIMGGDVYERAVSSAGAAVARSRRIVRRSQRVACSSRRKRRSLAMAAASGGGGALAARRMVKGRLRLLRRLVPGGEALRGFSLLSETLDYVVCLKTQVELMHSLCKGSQQKLHLQLG >ORUFI08G09280.1 pep chromosome:OR_W1943:8:8701626:8704010:-1 gene:ORUFI08G09280 transcript:ORUFI08G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRCSNAVFAAINVVTLLLGAAVLAAGIYYGAPHRGGGGVTECERFLRAPALALGGAIVAVSLAGLAGACCRATPLLWAYLLLTGLLILAAACFGVFALVVTNAGAGRAVSGRGFREYHLGDYSTWLRRSVEDGGHWARIRSCLVDTGVCRSLKSNQTLDEFVNSNLSPLQSGCCKPPTACNFTYQNETYWIKPPTPSNYSDPDCNSWSNDQSELCYGCQSCKAGVLGNLRSSWKKIAFVNAAFVALLLVVYSLGCCALRNNRRHKYSLVGK >ORUFI08G09290.1 pep chromosome:OR_W1943:8:8709659:8711050:-1 gene:ORUFI08G09290 transcript:ORUFI08G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLHVVALAACKILIFAELWAQSNGERNNVEKTSMPLKTLLGHRIVPHLRRSSRASTHLFEPWTVDNAKSLRLEMNNRSQFPIRQFFLHAWGRVDDGTMRLCSPQPAPTLQPAGHIRTATLLQWLAPTTYQEPRQSHIGRARRLPPLLVGLSSISRLVSSKARPKS >ORUFI08G09300.1 pep chromosome:OR_W1943:8:8711446:8711724:-1 gene:ORUFI08G09300 transcript:ORUFI08G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGEDGPATRATNPAAQPSGVFMVTTTVGSGSGGAALGNGCSDNDGGRQIWRHHPREGWICRPREWLRQQQRWLVRAVDSAGGEQIWRRP >ORUFI08G09310.1 pep chromosome:OR_W1943:8:8712865:8715650:-1 gene:ORUFI08G09310 transcript:ORUFI08G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVILFAVRKIGVALGNEATSQAVSYFRKFVTQLTELQGSMGRIRRELRLMHEFLCRMDVRNRNNQTYEIWVEEGRVLVHGIEDIVDEYLHLIGQKLDTGWSTYLKKGIKGPNVVVSLNRIASLVKEAEVNLVHLFQAKDRWVLLPSDSNDNSSYIVERSQHLAATSCSISDEDLVGIDDYRRDLEKWLEDDEPAHLVIALLGMGGLGKTALAANIYRRAKEKFECHAWVSISQTYSRQGVLRNLIGKLFKDIEDVPTDIATMDITSLEEKLHLFLVEKKYLIVLDDVWSTEAFTDLSNALVHNGTGSRLVITTRDSEVAGLASKNYVVELKTLPSDKAMELFCKKAFRSDTDDKCLAKLNDISVEIVSKCKGLPLAIVSVGSLLFVREKTTEEWKRINDQLSWEIINNPRLDHVRNVLLLSFIYLPSYLKSCFLYCSLFPEDYPLKRKELVRLWWQKDIRCLPRRIVKLKKLRHLFAEKLHDATWRNFRCCTGVRFHKGLGNLTSLRTLHGLEAQEESIRHLGELRQLRSLRVWNVKGAYSGRLCTSLVKLQFLSNLYIVASNENEALQLEEMNPPPPNLQRLFLRGRLADDILQEESPLFHHAARRNLYELRLYWSQLEQDPLPSLSLLLNLTDLRLTNTYIGERLVFLSEWFPNLRILFLRDMRNLKWLVVQKDAMKKLQRLTLVNLKNMRDVPLGIQFLMPLKYLGFLEITKEFLELLQSSSIKDFRWQHSLRDSETSVDI >ORUFI08G09320.1 pep chromosome:OR_W1943:8:8727403:8731248:1 gene:ORUFI08G09320 transcript:ORUFI08G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWQLASYKDPLFPSCWKKRSKSPNQPAKVCRISSLIKQRSDKRMAETAILLAIKKISIAVAGEMLSLSRPIIAKKSELVVALPTNMELVKDELEIINAFLKKVKTRDCRDNVLETWITQVRRLAYDIEDIVDQFIYVVGEHQGKGFWGNLKKFVKKPESLFSLDRVATEVEKVKLKLKELSSRRDRWVQSTICRPDAEIPNYDDEQGVYQFRHSQVPDYDDNELVGVDEYRETLTKLLYSEHCSLRIVAVCGMGGLGKSCLVYNVFKRERSHFDCSSWISVSQSCKMDDIFRNMLNQLLGDSSEVNYDTSRMGIEVLKEELKRFLEDKSYIIALDDIWRAPVLLEIRDTLFNSGKGSRLIITTRIDEVAAIAEDACKINLEPLSKYDAWILFCRKVFWKTENHACSPELQKWGEKIVNKCEGLPLAIVALGSLLSLRDKTEAVWKCFHSQIIWELQNNPDISHVEWILNLSYRHLPNHLQNCFLYCAMFPEDHLLRRKKLIRLWIAEGFVEQRGSISLEEVAESYLIELVHRSMLQVVERNSFGRIRRFRMHDLVRELAIKMSEKESFSSLHDDTSGVVQVVSDSRRVSLIRCKSEITSNLASSRLHTFLVFDTTMLQCSWSCFVPPKSKYLAVLDLSGLPIEAISNSIGELFNLKYLCLNDTNLKSLPKTITRLHNLGTLSLERTQVTSFPEGFAKLKKLRHVLVWKLLYNEHSSFSNSLGMGTIEGLWNLKELLTLDEIRANKKFVSRLGYLAQLRSLYISDVRSNYCSELCSSL >ORUFI08G09320.2 pep chromosome:OR_W1943:8:8730715:8731079:1 gene:ORUFI08G09320 transcript:ORUFI08G09320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLLRLHVKASNQDELLRLESLQLPPELQTLQLTGKLTGGVLKSPLLFSANTEFMLVRSYRRPNPISLQAIKFNKFKSSENTGAGVPHVGKACAVVEHRCASRAFVGLVAVGHA >ORUFI08G09330.1 pep chromosome:OR_W1943:8:8734120:8736720:-1 gene:ORUFI08G09330 transcript:ORUFI08G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFATSRPASLALAALLAFLNLFAFLLAVGAERRRSTVRAPRAVLSLSVSLPVWWWWSLNSGAAQGKVVPDEYDLRSYCLYDTDASTVYGVAAFFVLLLAQALVTAATRCLCLGPALSSRGCAVASFVLSWITFLTAEACLIGGSVRNAYHTKYLGYYMKHDLVTCATLRKGVFAAAAALMLINLLASLMYYWSYSRAATGGFIKHQNEVGMSMTDYGLDKGGSMP >ORUFI08G09340.1 pep chromosome:OR_W1943:8:8760834:8761937:-1 gene:ORUFI08G09340 transcript:ORUFI08G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTKQKAGNNWQLGEVASAYILCHKQHKDSVLFGYYTCDCLTIEQLASTIKALKTYSGTSVILSTASAAIKMDCSLTFEGSLAMIEEYKSLWKWSHVLV >ORUFI08G09350.1 pep chromosome:OR_W1943:8:8771157:8773528:-1 gene:ORUFI08G09350 transcript:ORUFI08G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDNLHLEASGVFNRHEGLALLTTIVEGADNQLIFPNVDGLLPEGPEEGEARLISDEILKRMCLILKYITWIVGNTDLAIVAPECTPSAAVGFSSSFRPLPSQAEPSRKHLLLEVLLKTLKNPRQWRRKCGDRHRLLHLRSLSPLSIPPPPFLEPIGPSPFTPEVLAAAEPLHEPPSST >ORUFI08G09360.1 pep chromosome:OR_W1943:8:8771314:8771582:1 gene:ORUFI08G09360 transcript:ORUFI08G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRRRNRQWRQRPEVKKTVPISALSAPLPGILEGFEEDFKEKIGRNDDENPTAALGVHSGATMARSVFPTIHYTK >ORUFI08G09370.1 pep chromosome:OR_W1943:8:8771660:8772431:1 gene:ORUFI08G09370 transcript:ORUFI08G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVVFTAGGEVEMEKGELQLFLSVWSALEWNVRGGDAAGREAEMEFGVLRTVTRRVHASAAPRTPLLPLSRLLDFITNQSGFAFLRSLW >ORUFI08G09380.1 pep chromosome:OR_W1943:8:8840499:8844033:-1 gene:ORUFI08G09380 transcript:ORUFI08G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLRRIWRRRRRGEGQRRARGGGPVGQAGSEAVAEAAEAGRRGWGRWRWRRPGEAGGVARTAAAPLLRRQGARRGGEGCQEHVHQVGFDLIVGRQNRLVLKWA >ORUFI08G09390.1 pep chromosome:OR_W1943:8:8854981:8856504:1 gene:ORUFI08G09390 transcript:ORUFI08G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLTPSLQDLVSSLMILLVLLYISYWRSKYQSLFPVDWPIVGLLPTLVANLHRLHDKITEYLAASGHSFTGNGPAASNMRLFVTCDPDNVRHIFTTNHDNYPKGHEFAEIFDIMAGAFFTMDGDLYRRQRAKTHSILSDPRMVASMASSCVDKVRDGLLPLLTSMASTRTPFELQDLATRLMFDVTAMTVFGVDPGRLSPDMPSMHVSAAMDTIMEVGLFRHTVPPSCWKAMRRLKIGPERKLAAAHAALHVFVSEMMEKARKQEAAPSSMSMDVLSSYINDPDYVGLLHSILITYMVAGRDTVGTTLPWFFYNLAMNPRVVSGIREELAHNIATSTCNGDGVPVMFSPEDTKSLVYLHAALFETLRLYPPGWIERKTVVADDVMPSGHEVRAGDAVLISIYSMGRMESLWGEDCRVYRPERWLYDSRGGRKMRHVPSHKFLSFNSGPRMCPGKNIAVMQMKIIAAAVVWNFDLEVVEGQAVVPKLSCLLQMKNGVMVKVKKRAV >ORUFI08G09400.1 pep chromosome:OR_W1943:8:8885160:8885695:-1 gene:ORUFI08G09400 transcript:ORUFI08G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEEEATAGSGDDEALAMVPSVTASSLWGPSLLLGTLPKREKWLGLERLARGATGTSSSGRGDGNGDLRAPSGGGQGLGSRNTCREARPLLWLTGVAFSLVASSLGNSHVFLRSDLRHYHYHCDY >ORUFI08G09400.2 pep chromosome:OR_W1943:8:8885698:8886918:-1 gene:ORUFI08G09400 transcript:ORUFI08G09400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAREERSSRQRVSCGRKTRARDGGGLRDNLGYGSGSFPREETGQRRATLSSAAGGNGVQDEGGDTGCDLVFHRRAGGLPDVVVVVVLDVAGEDSIVGRSGETKQDSLSMMEQRGLDGAGMWIWTEVGSRRDNEELCCR >ORUFI08G09410.1 pep chromosome:OR_W1943:8:8888767:8889038:-1 gene:ORUFI08G09410 transcript:ORUFI08G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGFGLLFNFRIAVMQMKTIAAAVVWNFDVEVVDGQTVEPKLSCLLQMKNGVMVKVSKRAV >ORUFI08G09420.1 pep chromosome:OR_W1943:8:8890626:8892143:-1 gene:ORUFI08G09420 transcript:ORUFI08G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPSLQDLLVSSLMILLVLLYIAYWRSKYQSLFPVDWPIVGLLPTLVANLHRLHDYLTDVLAASGHSFTAHGPAATNMRLFVTCEPDNVRHIFTTNHDNYPKGQHFAEIFDILAGIPFTMDGELCRRQRAKTHSILSDPRMVASMQSSCVDKVRDGLIPFLTSVASTQTPIELQDLATRLMFDVTAMPVFGVDPGCLSPDMPSKHVSAAMDTIMEVALFRHTVPPSCWKAMRRLKIGPERKLAAAHAALHVFVSEMVEKARTKQEEAAPTSMSMDVISSYINDPDYNDLLHSKLISYMVAGRDTVGTTLPWFFYNLAMNPRVVSGIRDELAPIATSTCNGDGAPVMFSPDDTKPLVYLQAALFETLRLYPPGAIERKTVAADDVMPSGHEVHAGDAVLILVYSMGRMESLWGEDCREYRPERWLYDSGKMRHVPSHKFLAFNSGPRMCPGKSIAVMQMKTIAAAVVWNFDVEVVDGQTVEPKLSCLLQMKNGVMVKVNKRAV >ORUFI08G09430.1 pep chromosome:OR_W1943:8:8908353:8910342:-1 gene:ORUFI08G09430 transcript:ORUFI08G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGPSSLPPRAGGDGAGTSSGGGACGDPVPAPPPAVAAAAFLSSCSDEPGKQVRSAVKIKNISKSHIAFKVLSYVGGFQGGEGWILGGAGQCDQLRHGCSWQWDFDVDRESSAPALVVVLRELGCTPFISICRNLLYIRSFRIDLI >ORUFI08G09440.1 pep chromosome:OR_W1943:8:8910363:8910994:-1 gene:ORUFI08G09440 transcript:ORUFI08G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVLRPDPLAAGVPRLAPHGSTGGERPSPGPARIHRRRGEESSVLNRFSSAAVAPAGFVVRMTVGSLPSFLPQRRLHIREAGDASRLRGSAVDQEHTAPAMAPPPTPVILICCFFHNRSTVPLRRRRGSTPGRFHGHRSWSGPAAADFTGAGVEESHLSFFQRWWSSSPTAFIFSP >ORUFI08G09450.1 pep chromosome:OR_W1943:8:8916147:8920416:1 gene:ORUFI08G09450 transcript:ORUFI08G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKGLIHQKRNQAFLSINGDRLREERTTTYSPPDLWRPPTATKTLRRCRGTGLQGWQRRKPKGQRAPWVTGCGVVFGPSPRPPCTSASSSLTCKGT >ORUFI08G09460.1 pep chromosome:OR_W1943:8:8954785:8955201:-1 gene:ORUFI08G09460 transcript:ORUFI08G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRYDCSLTEDGARDEDMPSLIFRTATIVSKIGQGEQGGALMFLCNGICTWRLPTSIMEMWSQRCLGNETHGEDGTSVRTPGDSGDTANGTLGWRRVAQPLDV >ORUFI08G09470.1 pep chromosome:OR_W1943:8:8980251:8981653:1 gene:ORUFI08G09470 transcript:ORUFI08G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLIISSLMMLLALVLYLCIGWPILSTLELPSIAANLHNLHDHLAGVLAASGHTVMARGTAATSSIRFFITCDPENVRHIFTSNHGNYPKGHEFAEIFDIMAGVSFTLDGEPYLRLRAKMLSILGDPRLVASMAASCLDKVRDGVLPFLARVATAGAPFDMQDLTGRLMFDVTSMAVFGVHPGYLSPGPDMPPAHAAAAMDTVMEVGLIRHAVPASWWKSMRRLNIGPERRLAAAHRVLHGFVVAAPRRRRRRLLQTTAIAYMVAGRDTIGTTLPWFFYNLAVNPRVVSGIREELKPIAASRRAASPSPSPSNGDRTTTVMFSPEDTKPLVYLQAALFETLRLYPPGHMERKTAVADDVMPSGHEVRAGDAVLISLYSMGRMESLWGKDCREYRPERWLTGGDGGGSGGAQLRHVPSHKFLAFNSGARMCPGKSIAVMLMKTIAAAVVWNFDV >ORUFI08G09480.1 pep chromosome:OR_W1943:8:8998470:8998799:1 gene:ORUFI08G09480 transcript:ORUFI08G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVGLLAMAVVREAGAKLGTAIGEQVMMMCGFKEDLEDMKDMLESMAAVLKDAERRSVTEESVLLWLKRLKNAAYDISDMLDGFQDKSKSATLLALVVSTYKGTYTS >ORUFI08G09490.1 pep chromosome:OR_W1943:8:9002374:9006347:1 gene:ORUFI08G09490 transcript:ORUFI08G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKMKKMRDQLRKIKEGHEAFRLTPDSTPYNEHHRPDPRETTSKVIQSSIIGRDKERKQVVSLLSASDEEDTVILPIYGFGGIGKTALAQLVFNDTQFRGYDHRVWVYVSQVFDLKKIGNTIISQVSNEGNKNEYTRENINGRLCDLLEDKNTLIVLDDLWETNDFHLNELKLMLNTKGKIKVLVTTRNEDVAKKICTHKPYRLNPLDSTMCWNIIKQRSNLEARGDKDQIEQVGWVIAKKCGGIALAAHALGFLLSGMDLVEWRALSNSDIWTEAFSDNSVLPSLKLTYKNMPPYLRLCFAYCAIFPKGHNIAKASLVHQWIALGFIEPSKTFSSVRLGEKYIRQLVGMSFLQRSILHTEQEVFTMHDMVHDVARSVMDEELVFFNDTKISSTTEQKFCHYALLENYSKSSNLSTILPATLRAVHTSNCSKLVLQGDEFSFTKFLRVLDLTDCSIRILPSSIGKLKQLRFLIAPNIGDNVFPKSITLLPKLKYLDLHGSFRISALQGSISKHACLIHLDLSGCSNIRVIQPEALCGLTKLQFLNLSWCSILQILPENIASLTELQYLNLSNCFLLSQLPSHIGSLTELQYLNLSGCQGLVKLPMSFRNLKNLVHLDLSGCSRVQDFKQVFGGLTKLQYLNLSKIFGRTRVGDNWDGYPETISTLNDLEYLNLSRNSRIDYLPRSLGNLKKLQTLDLSYCRSLRSLPHSIELIDSLEFLIVVGCSDQLKEYLRKSQFKNIPISLPHFIVHSISGDSRSNLHMLEDINPVRLEISCLENVKSIEEARGIELADRLLEDKDVLGELMPPRGLWNLSIQGYDSTTFPTWFVGISHHLPSLVKIELKDLHRCRHLPPLGQLPNLNEVHLQQMDSLTKIDGGFCGGDKGAFRKLKVFTLRDMKQLEEWSTTTHSKGGEDSIEFMFPMLVTLSIELCPMLRLKPCPPMFHSWLISESDKAVDTGLTEALMKIFAEDFMPTDSSE >ORUFI08G09500.1 pep chromosome:OR_W1943:8:9023680:9029998:1 gene:ORUFI08G09500 transcript:ORUFI08G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFPNLQELKKSHPNKPSASSSSSSGERDPACKRHQPPSTFIPPHHASSHSRFLGRKSSPGARISRSQTHLLLPSLFAIQPLIGIGGGGVAVEGDVGRSRGNLLALFLGPPSPPLDRWTLRRCGSGAGSPPVENRAAAGSSKAAAAAAGNLLFRVRDFRLSFKKILAELSLEIRSLRQLRRFGQLHAERHSSTNRLIKQQNALVLCSSASSSMSTLCCNREIGRYVSPSVEILRSSFSTVAADSIKDVARGGPMVEYERRIASGELVDGDNFQIDTIQHLQRLYEELVENEEACQLDRYQSSEKSGRRRWLWSRLITQPSTYSPVQGLYMYGGVGTGKTMLMDLFYEQLPANWRKKRIHFHDFMLNVHSRLQMHKGVSDPLEVVAAEISDEAIILCLDEFMVTDVADAMILNRLFRHLFSKGVILVSTSNRAPDQLYEGGLQRDLFLPFIDTLKERCIAHPIGSAVDYRQLGSAEQGFYFIGKQYSLLKQKLQTLIGDEEPRPQTVEVVMGRQLQVPLGANGIAYFPFEDLCDRPLGAADYFGLFKKFHTLALDGIPKFGSQNRTAAYRFVTLVDVMYENKARLLCTADAAPIELFENIVTVAEARKISPRSSRSQKTDDPDLCVDNELGFAKDRTISRLTEINSREYLEDFEAKLRQPLQGVDNDIDVVLA >ORUFI08G09500.2 pep chromosome:OR_W1943:8:9023680:9029998:1 gene:ORUFI08G09500 transcript:ORUFI08G09500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFPNLQELKKSHPNKPSASSSSSSGERDPACKRHQPPSTFIPPHHASSHSRFLGRKSSPGARISRSQTHLLLPSLFAIQPLIGIGGGGVAVEGDVGRSRGNLLALFLGPPSPPLDRWTLRRCGSGAGSPPVENRAAAGSSKAAAAAAGNLLFRVRDFRLSFKKILAELSLEIRSLRQLRRFGQLHAERHSSTNRLIKQQNALVLCSSASSSMSTLCCNREIGRYVSPSVEILRSSFSTVAADSIKDVARGGPMVEYERRIASGELVDGDNFQIDTIQHLQRLYEELVENEEACQLDRYQSSEKSGRRRWLWSRLITQPSTYSPVQGLYMYGGVGTGKTMLMDLFYEQFVQMHKGVSDPLEVVAAEISDEAIILCLDEFMVTDVADAMILNRLFRHLFSKGVILVSTSNRAPDQLYEGGLQRDLFLPFIDTLKERCIAHPIGSAVDYRQLGSAEQGFYFIGKQYSLLKQKLQTLIGDEEPRPQTVEVVMGRQLQVPLGANGIAYFPFEDLCDRPLGAADYFGLFKKFHTLALDGIPKFGSQNRTAAYRFVTLVDVMYENKARLLCTADAAPIELFENIVTVAEARKISPRSSRSQKTDDPDLCVDNELGFAKDRTISRLTEINSREYLEDFEAKLRQPLQGVDNDIDVVLA >ORUFI08G09500.3 pep chromosome:OR_W1943:8:9023680:9029998:1 gene:ORUFI08G09500 transcript:ORUFI08G09500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFPNLQELKKSHPNKPSASSSSSSGERDPACKRHQPPSTFIPPHHASSHSRFLGRKSSPGARISRSQTHLLLPSLFAIQPLIGIGGGGVAVEGDVGRSRGNLLALFLGPPSPPLDRWTLRRCGSGAGSPPVENRAAAGSSKAAAAAAGNLLFRVRDFRLSFKKILAELSLEIRSLRQLRRFGQLHAERHSSTNRLIKQQNALVLCSSASSSMSTLCCNREIGRYVSPSVEILRSSFSTVAADSIKDVARGGPMVEYERRIASGELVDGDNFQIDTIQHLQRLYEELVENEEACQLDRYQSSEKSGRRRWLWSRLITQPSTYSPVQGLYMYGGVGTGKTMLMDLFYEQLPANWRKKRIHFHDFMLNVHSRLQMHKGVSDPLEVVAAEISDEAIILCLDEFMVTDVADAMILNRLFRHLFSKGVILVSTSNRAPDQLYEGGLQRDLFLPFIDTLKAEQGFYFIGKQYSLLKQKLQTLIGDEEPRPQTVEVVMGRQLQVPLGANGIAYFPFEDLCDRPLGAADYFGLFKKFHTLALDGIPKFGSQNRTAAYRFVTLVDVMYENKARLLCTADAAPIELFENIVTVAEARKISPRSSRSQKTDDPDLCVDNELGFAKDRTISRLTEINSREYLEDFEAKLRQPLQGVDNDIDVVLA >ORUFI08G09510.1 pep chromosome:OR_W1943:8:9068113:9072984:1 gene:ORUFI08G09510 transcript:ORUFI08G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPSFRRHPHPFSIDLIRWLPCSSSSSSDRLLAAAVHDPAAPSSSSSSHLHLLPLHDPSSPLAALPLPSRAASLRCSPSVLAAATSSGSLHLLPSSLDAAGSAGVSVPAGAGFHVGPVRGLDCGGGGEEWVTAGEDGRVHVVGGGGDGRVVARRLWDGKGMAGYEAARWASAAEFATGGAGCGVQWWDRRKGDAVVAQCKGVWGRGIVTGMVHSIDIHPSRKHICVVGGSSGTIFAWDLRWPQQPIPLSGLGLNGTAQPVSESEVWEVLFDNYTQSTDIISSASTRILPVMMCSEDGILAVVEQDERPLELLAEPCAINSFDIDPENPSDVVCALEWESIGVLTRGRDTMAEE >ORUFI08G09520.1 pep chromosome:OR_W1943:8:9076097:9081664:1 gene:ORUFI08G09520 transcript:ORUFI08G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTILARKSPLGTVWIAAHLERKIKKPQIDGIDIPSYAEFIMFPEVPIALRLSGHLLLGLVRIYSWKVNYLFHDCNRMLSTIRTAFASVQVDLPLHADHAPFDTITLPDTFRLDDINLDDAIRLIDTPDNHRKSLDQITLAEGDYLMIDLDEDARVELSAPGQSSHMGPEPTDEERLPPFHIDFGPSDNMDEEISVDPSQGNNSEAVNTSNINQADKAPDLPEIMREAPFEGPELNLPDIDEVNNDPMDVTEESSPFVSKNITPPALERTISPGQGGLSGTSIPNARGSTSTTYDNIEDVIPMDIGMPDFRIEPSPPRVQDEMNAQPVQGEMNAQPVQDEMNAQPVQDEMNAHPAQDKRRIRYDNEIVFSNAYMKRQIDGGELHRLVSKRRKLPQAAVDVWKFNRIRQKDGFLLDPLVHGMCATLRQTYERTFPHVIDPEAESGSVEHTPGVANDSIQDTHDHQLSPKSPGNTDAQPEHQFNQQAPRNSDGQPEPELNPKSPVNAEAQPESQINPQSPRNADGQPEPELNPKSPGEAGTSHFDDMPEIPRFSPQNIPSPIRDDNSPFKTPGAGGTPKSRLGETPASGTPADMSYMSPGQDSDPQVSPFPFNDELDGDLPEIPSLMSTPGVISTAGLWHSTSKIKWLRLHQMTNLVLKSYDYIDVEQEAAYGDIAVSVKPSLSGAKF >ORUFI08G09530.1 pep chromosome:OR_W1943:8:9084300:9085337:1 gene:ORUFI08G09530 transcript:ORUFI08G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNDDSDVVVYIEWRKRIMMWMGCRCLPAIEEKRKQQCSGNEQEGKEGRNDLGFRKRRRCWKQDDHDMAMKLNLVVAEQQWEIASRPVQTPNGEQGGGNNEELECGACAYCDRGVVARWSRNGALMSQDDDGTGNGMAVGEAPMDGEMARALGHSRGGTLNKVAGENDDRPVPSQAATAVLAQSPLSRVAPRALQAMMSSGSNDMGDGVIVEQKGDEGGV >ORUFI08G09540.1 pep chromosome:OR_W1943:8:9090757:9093165:1 gene:ORUFI08G09540 transcript:ORUFI08G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSMSSYSPPSPPLPPPAAASDVAGTDILLSLLPEILDDILTRLPLKEVVRTCCLSCGWARRWESASGLDLAFGSSRADEPGVFPGVGRAIYARAELSNLYLRYCKLPRPPPPQPGQGSLFAGFPRLTRLALNRVKLPFAGAGALLERVIAGAPDLADLLLVDVITGVVAGGEKKVEEEEPEAWAIRAPKLHSLTLWTPAVDNGCRVAGELPLLNAANISVDAFLGTEDFLDTLWLVSRVKVLKFSVRDREFI >ORUFI08G09550.1 pep chromosome:OR_W1943:8:9097695:9099029:1 gene:ORUFI08G09550 transcript:ORUFI08G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTVDENPLERITWKFRNLRTSNLSVDFGKISSIMSIFSLLRCAPQIEQLNIEVDLKEAQGDDEIHEGILEAYMSEDLVRSLKRVTLSFIKCFPGEMSFIKLLLSKAASLESLKVMMFWHHIMPVSDACLLFTTYKKESSTQVKFIVEHGMDTFDIGS >ORUFI08G09560.1 pep chromosome:OR_W1943:8:9099750:9102815:-1 gene:ORUFI08G09560 transcript:ORUFI08G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRLLLLLHVLALLLLAVVVAAGGGAVVGRDSAVLQLRELQWGSSGQASHVMLGEHKKAAAAARTRTRSTTVLELKHHSLTAIPDHPAAQETYLRRLLAADEARANSLQLRNKAAFTQSGKKATAATAAAAGAEVPLTSGIRFQTLNYVTTIALGGGGSSRAGAGNLTVIVDTGSDLTWVQCKPCSVCYAQRDPLFDPSGSASYAAVPCNASACEASLKAATGVPGSCATVGGGGGGGKSERCYYSLAYGDGSFSRGVLATDTVALGGASVDGFVFGCGLSNRGLFGGTAGLMGLGRTELSLVSQTAPRFGGVFSYCLPAATSGDAAGSLSLGGDTSSYRNATPVSYTRMIADPAQPPFYFMNVTGASVGGAAVAAAGLGAANVLLDSGTVITRLAPSVYRAVRAEFARQFGAERYPAAPPFSLLDACYNLTGHDEVKVPLLTLRLEGGADMTVDAAGMLFMARKDGSQVCLAMASLSFEDQTPIIGNYQQKNKRVVYDTVGSRLGFADEDCSYA >ORUFI08G09570.1 pep chromosome:OR_W1943:8:9108332:9111284:-1 gene:ORUFI08G09570 transcript:ORUFI08G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTDVLDIILARIPFDLLVRTCCLSHAWRRRWESVRYLDIRLGWGCRGAPSARDLWRCAAPVVGFRACVHARHFHHLPTWFPALASKGVRELAIECDGVRRGHPDTPPYWVIDQGLFSCAALAVLHLEDCDMPLAPPGFRGFPSLVSLTLRGVTLPAEGGGARVEHLVAAAPLLAELRLDDVDVEELEDPTPPLYKWAVRAPRLRVLKMATRLDIGCRIPEEPPLLEEAYIDIGNSFMSFHEIFRGIITVRKLWFNIHEFNEYPLEGISCKFDNLREVHVTTNFGQQPSTMSLFSLLRCAPYIEDLSIEAEDISFSHRDDPYEIEEDDFISSGINENSFSSLKYVSLSGITYSSNQLRFMKFLLSKTESLQSFAVTFLYSKSNKEYVKACRVLRAFRRASASPQARFEVRLWDKPTPRPSFFSCLPP >ORUFI08G09580.1 pep chromosome:OR_W1943:8:9116483:9119162:-1 gene:ORUFI08G09580 transcript:ORUFI08G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGFPGFPNLERLYLVGVTLPYARAGTQLEHLILASENLAVLELSNLGTMDGAVVVDPWAIRAPNLRELSVTMPMGVDFGCRITEALPKLEDAYISFDCVFGTQEFLDAFQNISTVNKLCFMVAEFSINMLEGITCKFENLREASLNIDFGQLSSVLSIDSLLKFAPHIEHLEIQTLDTELDKEKIDEDSLNSEISSDLFASLKHVSLTGAKHRENQMCFMKFLLSKAGSLQTFAVTFMFDDDGKSEWFENKCKELIECQKASPQLLLTAKVTQDGSCQGAILDQLTGHLKVQILIYESKGFVQMICARLAPMYI >ORUFI08G09590.1 pep chromosome:OR_W1943:8:9119252:9125876:-1 gene:ORUFI08G09590 transcript:ORUFI08G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGSSSSPSSPSSPKRQRVAPPPPRRTDMLMALPPDILDDRILALLPFDKLVRTSCLSRAWRRRWESVRNLEIELPRAYSGGGRALWRCARPVRFFSARVARRDVFRAARWLRALARKGVQDLSLEFSLAGKQRPLPGPALFSCAALVQLDLEQCDMPAAPPGFLGFPNLERLDLVYVTLPFAGAGTQLEHLIVAAEKLAVLNLSPVITINGGGVDTWAIRAPKLRKLYITMEMGDDNGCRIPMPLPMLEEVTISFDRLFGTQDFLDAFQNISTVNKLFFKSDKFNINMLEGITCKFENLREGGLIIDFGQRSSVLSLVSLLKFAPHIEHLYIRTDHSILDPVSSEDEMDEDSLNSEDEFDEDSLNSQDEIDEDSLNSEISSDLLASLKYVTLINMKYNSNQMCFMKLLLSKARSLQTFDVTFVYSYESNGRYGNACRELTECQKASPQVVLTAKRVAAEAPPPPPPPATTGTRTDMLMALPSDILNDRILVLLPFDKLVRTSCLSRAWRRRWESVANLRIEFPASVSSSRALWRCAAPIRGFRARVATRNVYRAARPPWRGRASRISA >ORUFI08G09600.1 pep chromosome:OR_W1943:8:9127424:9128272:-1 gene:ORUFI08G09600 transcript:ORUFI08G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASSCASCSAAADSFSSSASSCCCCGSSRIQELGVGSGGEGCFCCASSPGYTPSSPMVMGSPRRAASPDYTPSTPPRRAASPDYTPSTPTTPRRAASPDYTPSTPTPPRRAASPDYTPSTPPPRAASPDYTPSTPTPPRRAASPNYTPSTPQRHAASPDYTPSTPTPPRRAASLDYTPSTPPPRAASPDYTPLSPPPRAASPEYSPSTPPPSPVPSDAESGTSPLWRRRRRHHPYQRRGSSIACSPRAVQVAGGHHHHPRCAILHGY >ORUFI08G09610.1 pep chromosome:OR_W1943:8:9133526:9136499:1 gene:ORUFI08G09610 transcript:ORUFI08G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGSSSSPSSPKRQRLPAQAPPPPPPPRTDMLMALPSDILDDRILVLLPFHKLVRTSCLSRAWRRRWESIKNLEIELPRAYSGGGRALWRCARPVRGFRARVARRDVFRAARWLRAMARKGVQDLSLEFSLGRKPCRLPGPALFSCAALVRLDLEQCDMPAAPPGFPGFPNLERLDLVYVTLPFAGAGTQLEHLIVAAEKLAVLKLSLVITTTGGGVDTWAIRAPKLRELFITMAMGDDNGCRIPMPLPMLEEATISFDRLFGTQDFLDAFQNISTFNINMLEGITCKFENLREARLTIDFGQRSNVLSLASLLKFAPHIEHLCISIAYSEWDEDEIDEDPLNSEDEIDEDPLNSEDEIDEDSLSSEDEIYEYFLNSEISSYLFASLKYVSLTEVKVKDNSNQMCFMKHLLSKARSLQTFDVTFVCDDESNEWYGNAWGELMECQKASLPSCVDIKTDNRRLISQTHPAVDAAFVLWKKYFGTCYTV >ORUFI08G09620.1 pep chromosome:OR_W1943:8:9161166:9172324:1 gene:ORUFI08G09620 transcript:ORUFI08G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDDKPPSLDADIDMADLATLDAPASSDAAAAARFRPRAKGKPKPKPKPEPKAEDSKLKADDSIPMSKPEEPKPEAAAPMEDSMEVDGVGPSASASAEGVGAEEVEDEEDYVLREIDVYFTPKPFDEDTMLYVMQYPLRPCWRPYELNEICKEVRVKPLSSKVEVDLDINTECENYDPEVPLPSRLTEQTLSSSKAADVADYAVGVLRGNLVHLNHIDAVMQLRPSMLHVNSGQSNARQAHGGASSDASGSTMPSVKRNEHSEDSKDYTEESEPWISLTYQQAGSNVARKYHAEMVSDDGGPIDFTMSTEMLKLPLEERLKKWFTEVSQVNRFDALMHLAPLYSEDDVLKVLPVYADLVRGLWVCKSSLLFDDGYAWKRDRILLEFRKKDSIPLKDIHRIIKVKLDDNLKKKFLYPLCKIRAKLEDCKFVLPVDSSFIRRYPHIVKEQDHAWSVRETTMRESQETSSNTEARKTKNTTKSNIPSKGPDPNMNKARDGPVQGNENLVHSVLDTIFTANKVRSIQAIRRDLRQLAAKYASDRKDGPKLQALSNAATNCASFPLVDLQKSLNQVAVPVHGVYVAKPAKPNSPRNILIKLFRDKDPDSKLTKQEILDCAANHLKKGLNEKDYHQVWRESVIVFKFCPVTA >ORUFI08G09630.1 pep chromosome:OR_W1943:8:9172480:9174356:-1 gene:ORUFI08G09630 transcript:ORUFI08G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEECGEVAALREALRQQAAAAEELRGELEEERQAAASGADEALAMIVRLQAEKAAERMEAEQFRRVAEERIQHDGDSLAFLKAVVFHQEMEISSLNRRLLAAGDGNAAAAVDLPWLRKLAKNGVVPSRRNASLPAARLEELCSVIDAGGGGDRRPARTVSDIGEVIGRENEWPTSNASHRQPPPPPRLHRSASHRLRRVPSYSDTATLRSTRRASPEIISEEEEDDDDHLISRHGEKARKPCNCSDRTAIAELGADMAQIKLNLQSLHTEFIKAKEATISRGDSQARLLAEICAKLDAISKTRQDSSSDDPVQVRVSREEGSSSKGRSYTNSELLMNHFIEVCTM >ORUFI08G09640.1 pep chromosome:OR_W1943:8:9190584:9191123:-1 gene:ORUFI08G09640 transcript:ORUFI08G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSFLALFLLLTFASHGTWCAAAADRSHRITTAHLRPHLHVEELHGKKLMEIQVPRKLGHEVKVPKRMAIAHKGGSAGAAAAAGAGGGGVSESRPRNGKNGAATLPAPATTSILALAITCAAVLSSFSF >ORUFI08G09650.1 pep chromosome:OR_W1943:8:9195519:9196142:-1 gene:ORUFI08G09650 transcript:ORUFI08G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGFLALSLFLLLTLASQATWCDAERSHAINGARLRPHLQFEELHVTDGKKLGQAADTDTDTDTDSHKHHDEVKVPMRMAIAHKGGGRGGGVGGGVGGPGGGTGVGGRNVNGAADTRPVHNGRSNAAAMPAPAKATASVLALAFACAIVLSAFSF >ORUFI08G09660.1 pep chromosome:OR_W1943:8:9197766:9198339:-1 gene:ORUFI08G09660 transcript:ORUFI08G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSLAVFLLLLTLASQGTWCAAERSHRINGAHLRPHVQVEESHITEGKKLLEIQVPRKLGHEVKFPKRMAIAHKGGSTGGGSTGGTTGGASNVNSGPADTRPRKNAAAMPAPATTTSILALAFSFAIAVSAFSF >ORUFI08G09670.1 pep chromosome:OR_W1943:8:9200485:9204016:-1 gene:ORUFI08G09670 transcript:ORUFI08G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDVAGGESAAVAEERDWSEMTPVCLAEAFSRLGLEDVWRGAMACCRAWRDAAASRPALFAALDLEPAFASVGADAAEWWTPAFQRRVDAMLRSFSSLAAGELREVRVRHCSDDALAFAAERSPKLSILSIRSSPSVSDRSMFIVASSCHMLTELDISYCHEVSYKSLEMIGQNCRNLNVLKRNIFNWLDSSEHVGIVPDDYLRDCPQDGDREAIAISKFMQNLKHLVIRFSKLSVVGLNAISGGCKELEVLDLYGCANLTLRGIQQATSNMKNLKELEKPNFYIPRSSFHMGRYGHWQLYDERFQTNVFQI >ORUFI08G09680.1 pep chromosome:OR_W1943:8:9213498:9224710:1 gene:ORUFI08G09680 transcript:ORUFI08G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSLGEMASTTHTYAMPANGDLPAHCLPCLRLLELVPAMLKSKDNATNEEDKTYMVATSKSIATLIGEGHMLDQGSSINTRNGSIDRISNLPNELLYAIMSTLPALELVYTGMLSTRWRHLWTSSAYLNIDVNQFGRRRGKKFCNFVNRMLRQRGSSLLDALRLHSADTRDAGSWITYAIKRSSKVVEFSEDIDCEPFKLDYGVVDFTSICLKFLVLNNVCIDANVFYPINSSCPALENLELRDCSLEVPEISSGSLLHLDIDNCCLFEDLLISSSSLMSLCIKNPQHRAPMIMTLPCLEVAIVILDEFFHSTDDLADMDEGEEQDGEEINHGIVSGLTKARSIELIAPLREDKFEMEIWTSPMFDNLISLTLGEWCMSNEFSPLLHFLWYSPLLEDLTLKLNMEVCEYCLQEPPTAPPLVKEFTTDYLKKITIYFWLGDERVSKLLTLLAPICKSLEDIKLIPSTPPGVRAFVSRVQRIIK >ORUFI08G09690.1 pep chromosome:OR_W1943:8:9229606:9230582:-1 gene:ORUFI08G09690 transcript:ORUFI08G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTQPNKSMGSVRSLLHDSRASTCSLARKIANAVTMDGRMSRWRRFTSDEWRWLDFFKGLPCMHVFRVREVHAIGLGLTREGEIKDGARRPVVEDDADLQDHCAIGWGTWGYMERGRHEADGVVVWLATVHCSQNDNDVKAVTRRARSKAGDDTWRSRRSATREDGIKRKIFGMIRATKRLRASSLGSRWGGGGGASPGFTSNSDDARRLGHGGARWRRNEKEMNREREEEEEQRKKD >ORUFI08G09700.1 pep chromosome:OR_W1943:8:9233335:9239286:1 gene:ORUFI08G09700 transcript:ORUFI08G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAADSTSAVPPAVVSAAEDTLAAAESVGDHLAEMVAAAGEDPDAIAELPPLRRARAFLAMAKAAASLFAGRQLSRCSGVDPDEHPIKKEFERLSLWEEKLNRFEDWDKAPLRPTTTVNTQAAARFIGHSLPHLTTDQKRSMQAISRGEGGSYSGNKRKPQPPRPNKKSVRAATEEFLAKAALELSGHNDSKVKGPIRLLSDEDED >ORUFI08G09710.1 pep chromosome:OR_W1943:8:9259251:9262224:-1 gene:ORUFI08G09710 transcript:ORUFI08G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVAAAGLAARDASGHLSPLTISRRSTGDDDVVIKILYCGICHSDLHSIKNEWKNATYPLVPGHEIAGVVTEAGKNVTKFKGGDKVGVGCMVNSCHSCDSCNQGLENHCPGVIFTYNSVDKDGTVTYGGYSSMVVVHERFVVRFPEAMPLDKGAPLLCAGITVYSPMKYHGLNVPGKHVGVLGLGGLGHVAVKFAKAFGMTVTVISSSPGKRQEALERLGADAFVVSKNADEMNAATGTMDGIINTVSANIPIAPLLGLLKPNGKMILVGLPEKPMEIPPFALVASNKTLAGSCIGGMADTQEMIDLAAKHGVTAEIEVIGADYVNTAMERLAKADVRYRFVIDIGNTLKDAIE >ORUFI08G09720.1 pep chromosome:OR_W1943:8:9269095:9269733:-1 gene:ORUFI08G09720 transcript:ORUFI08G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIDLWKKTHPTCDYLLLYPVHIKILIFGSLREINIVKGQSTSSPICHLLPLSHFPLSHFPFLGADIEVDSRWLGGGEVERHGRVGVKKIDTSSGELVGWSMGSVWKPRMSRLMARLQERALAGIGTEEDDGEGGIILDPEKRWQGGVLGRARVPCGDGGGRRRRRAAKTDLELAKPSLNGLHQKDGVPEGGPCKRGEEGPVRGMQCTDQS >ORUFI08G09730.1 pep chromosome:OR_W1943:8:9270248:9273446:1 gene:ORUFI08G09730 transcript:ORUFI08G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAKVVLAQPAARAPPSPLLPRAPGHSRGGGVGGGEEAYRARAKYKNLLQDYKELLEETEAKKKRLQMEKLKKQRLLAEVKFLRKRYKSMSENPSQTFVYRVKNPALPPTLRQPGWSHGEEYHTVRAIGSSSKGPSAHRRLNAAPRASPVIDLNEACEPSSEEMEELHGYQEPVRVGRVMRYPMEGDFAAGPSDAKMAAFWDVRNAASSRAGKRKISWQDQLALRV >ORUFI08G09740.1 pep chromosome:OR_W1943:8:9276187:9283939:-1 gene:ORUFI08G09740 transcript:ORUFI08G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRYRDGDDRSRSSPPPPPPPTASSGSARVLPANEDDGHRGADGGGTGDYNGGDSAVSLGQSSSPSAAGRDELRQAKKQKIMERILREEAEEWELESEVRREIMEHIFPLLRRSGNARPPTPAAAAALLQGAVTNANASSSAAALPAKRKKNPAAAASAVSAATSSKKPKAADLTCAVCGITSTGEKAMQDHLNGKSHKKKAAALALSAPPPPPPAEPEPEPEQDEEDAASMIPPASDGGGSGGGGFSPTKLSMLTSAGVVYEVMQMDGYLLCEGCNVRTADRVTMMCHLDGGKHVSKATKLKQQQAGKPPAPATATASPMNGVKAAGAPPTTAAAADGEHGTVVVEVDGEPHAMRRLDEVFIICDVCNVKAPSVTVMRSHLAGRKHKSMAAATAKAKGAEAAASTTMAAAGKVGGNLEAGAMAVAEGVATNNVADNTCPEKGTVDIVVGGEHHAVKQIGEFLGCASCNVMATSESGMRLHLAGKKHRNKSMAEKAAMDDMEIVKARSKETAAAAAASSPSSAPRAVVAAQTGDGSVAPMEVDQTAEARDGGAPVAADAAAAAAATGGQQQVKVQVEGRMLAVLREANGALLCEPCGVRCSGKTDMVLHLYTKEHSDKCGAHPPA >ORUFI08G09750.1 pep chromosome:OR_W1943:8:9309346:9316346:1 gene:ORUFI08G09750 transcript:ORUFI08G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAPRSAAPPHLSSSQRQFAVDPASAAPRFLSSPAPPLCLSAVVASPHPYPAQRRSPPNPNRRRSTLSLSRRRRAPPSICRFRHPAFARDAAGAPPRRPSPMPTTRTPCPCAPFSTATPQSDLRNPLHCTTEKVIFFQHISAV >ORUFI08G09750.2 pep chromosome:OR_W1943:8:9309346:9312464:1 gene:ORUFI08G09750 transcript:ORUFI08G09750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAPRSAAPPHLSSSQRQFAVDPASAAPRFLSSPAPPLCLSAVVASPHPYPAQRRSPPNPNRRRSTLSLSRRRRAPPSICRFRHPAFARDAAGAPPRRPSPMPTTRTPCPCAPFSTATPQSDLRNPLHCTTEKTARTTAIAIHRTTAITRTTAITTTIAAVSACSAVFFGGKDREGE >ORUFI08G09760.1 pep chromosome:OR_W1943:8:9341705:9342253:-1 gene:ORUFI08G09760 transcript:ORUFI08G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSFSRASSSRVRRDSQVSELPLVKCPFCKGDSTVVERTCKKEENFNRKFYRCLTGQYTSAQCKFFMWQGDYAVWLVKEGFLHGWTDCNAHRIEDDVPESVKASLKGLHDGIEKIRCEMKEAMSRICMFGIAFVTAFVMFVAMNVMK >ORUFI08G09770.1 pep chromosome:OR_W1943:8:9343407:9345599:-1 gene:ORUFI08G09770 transcript:ORUFI08G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGETTGVSGGGFRRRLEYYLYSGEKKHVVAGIAIFAAIFGVPWYFMSRGTKHQSHQDYMEKANKARSERLSSGQSSAPKA >ORUFI08G09780.1 pep chromosome:OR_W1943:8:9355848:9359895:1 gene:ORUFI08G09780 transcript:ORUFI08G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLAAAALRRHVLRALLPQSRHLCLLAAHSPSPSDDSDFEPPDHPLPRAPDGDGELAAFLHRLSDASTAASSPKHALSLLLSSPPSPGLPPASRRDLLVRALWELRRDPDAAALALRWGEEGCAAAGERAGPPPPPPPPAEAWHLAIWAAGKARRFDLAWAVVRRMLRRGVLTSRAMVIVMERYAAANEVNKAIKTFDAMEKFKTEADQTVFYSLLRALCKNKNIEDAEELLLVRKKFFPLTAEGFNIILDGWCNVITDIAEAKRIWREMSNYCITPDGTSYTFMVSCFAKVGNLFDTLRVYDEMKKRGWTPSIAVYNSLIYVLTKENCMKDVQNIFTRIIDEGLQPNVKTYNSMIVPLCESRKLDEARMVLEDMMLKGIVPTILTYHTFLRQENIDETLKLLKKMKDDGCGPKSDTFLMLIDRFFQLNEPGHALKLWNEMKRYDIRPSYSHYMSVVQGLIKHGCMQRALEYYDEMKENGFASDPKLEKEFRTFLLANRDHWRGAGKYNIIPQRGKHFARRSRIQ >ORUFI08G09780.2 pep chromosome:OR_W1943:8:9355848:9358449:1 gene:ORUFI08G09780 transcript:ORUFI08G09780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLAAAALRRHVLRALLPQSRHLCLLAAHSPSPSDDSDFEPPDHPLPRAPDGDGELAAFLHRLSDASTAASSPKHALSLLLSSPPSPGLPPASRRDLLVRALWELRRDPDAAALALRWGEEGCAAAGERAGPPPPPPPPAEAWHLAIWAAGKARRFDLAWAVVRRMLRRGVLTSRAMVIVMERYAAANEVNKAIKTFDAMEKFKTEADQTVFYSLLRALCKNKNIEDAEELLLVRKKFFPLTAEGFNIILDGWCNVITDIAEAKRIWREMSNYCITPDGTSYTFMVSCFAKVGNLFDTLRVYDEMKKRGWTPSIAVYNSLIYVLTKENCMKDVQNIFTRIIDEGLQPNVKTYNSMIVPLCESRKLDEARMVLEDMMLKGIVPTILTYHTFLRQENIDETLKLLKKMKDDGCGPKSDTFLMLIDRFFQLNEPGHALKLWNEMKRYDIRPSYSHYMSVVQGLIKHGCMQRALEYYDEMKENGFASDPKLEKEFRTFLLANRDHWRGAGKYNIIPQRGKHFARRSRIQ >ORUFI08G09790.1 pep chromosome:OR_W1943:8:9371375:9371701:1 gene:ORUFI08G09790 transcript:ORUFI08G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLLCLAFSPFAWPPSLPPPPQTPDAIAGRKNKAEAGAGSHGSSLNHDRLASVKGDENGSSADNRWLRQDTLELLTIRPEMDTVLQEATLNGAIWEEISR >ORUFI08G09800.1 pep chromosome:OR_W1943:8:9374125:9379916:-1 gene:ORUFI08G09800 transcript:ORUFI08G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLRRVGGEGNDLISELNDDVLDSLPTMADVVRAGAASRRWRHLSTRVPSLRFGFTEDGVDAKPKRREKFDRFVAFVNHVLDARAASAGIEQLAISIQLYDRGAAHAVAAWIRGYGSSAPEPEPIAAAYSAGSCCPRLRKLRLVSVVGLRSSAVARRRRSLQQFPRNRAFGVLELNTPNLRSLKMCCYAPGTLRISAPRLEELRSSNNVIDMRWQCVEQLDVGDLSCVRGLREIDLSSRGHPVRDAGINDGPIHLLRRCTAIESLGVRLVSPDKIREMFLPCGNAFHEEEEKEEVDDMMKDVPHLPGVTSLTIRDSTLNERALMTGVTCLLARCNSLRYFQLNMTSPIMDSTIAAGNQASIISLPHLTEVEISGFRGRKCEARLMERLHASATALNKITLRFDWLFTVESSREERINSLPLIPFGEVGK >ORUFI08G09810.1 pep chromosome:OR_W1943:8:9394497:9396567:-1 gene:ORUFI08G09810 transcript:ORUFI08G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRRPQTTSTHHRYYLRRRHGGDLISELNDDVLAHVLGLLPNATDVARACAVSRRWRCLRARVPSLRFSLSHLINPSGDVKRQEDVERFVAFVNRVHATRRAGVEQLTISIELHEGCCARAVPAVHGAHANAWIRYAMEQQGVRSFALKLDQPNLLPLPEQRRSYDFDEDADVHGPLTYLTLPLPATVAFDSLVDLSLNEIRLDDVHLLGRLLSSACCPRLQKLALEEIVGLKELRLDAGELLELSLIWDGVGLTLVELNTPKLRVLGIECYFIHHTTLTMSAPGLEELKSSFNWETLERLDVGDIMSCVRSLKNLYLSSDGLRIGFGDVSGRLLRRCPAVESLDVHLACKVSHADEEGEEEEEGVIDDVMMMNDIPHLPLVTSLTEQTKPTDPAGNQADYLSVSLPHLVEIGINGFQGTKCEARLMEWLHRSAPVVNKICFFET >ORUFI08G09820.1 pep chromosome:OR_W1943:8:9414154:9415393:1 gene:ORUFI08G09820 transcript:ORUFI08G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNAVATRLRGTRCYSTASPPERSNTPTATSTPPPYLYPPPMAATASSPPPRSPVSLLSCSRVLLLLVLPPYFDGEGVGYRALRRAGLRGGRGRGERQGPRGRRQQRRRVEGAGAARRAQQVGRGHPLPHRRQPRVQVRRRGGRGAGGDAGRLQPLRHGEPGRHPQAHRRRRHGAADELRVPLLRRRRAGELRQGRARHRPRHVGEAQPPRPGVLRARAGPRAVPAGRRPLPGPRPSAGDWERREDRGERRRARCRRPPRRGGGRVLRRWRSLGALGTWGEDLGIL >ORUFI08G09830.1 pep chromosome:OR_W1943:8:9430879:9431476:1 gene:ORUFI08G09830 transcript:ORUFI08G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTREHVPPPTSSPPGHRSTGTLSLPLSVLLALEKTRNGGGRRRRRRKGERGHLTATKKPSARTLRLPWGCSRSTGPDVEPLVVKPNRTKPKVEVLLLAPYASMRITVGASPLNF >ORUFI08G09840.1 pep chromosome:OR_W1943:8:9441182:9441762:1 gene:ORUFI08G09840 transcript:ORUFI08G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTHSVELVGVLGVQSAAVGRFAVPDDPVHLRPSRCAMSVAKGIALKSSQQGDPLFLPQVRPPANVVRSSLSGELRRQFLIVVEPFHFSSWQSGVWKGVDAVAMPFLAVVAIQALPAHVQPTVSFQQPRRGTCIHSFTPSSTCTCMPKLTAARAATIADTDHRSPRNHHH >ORUFI08G09850.1 pep chromosome:OR_W1943:8:9470956:9482151:1 gene:ORUFI08G09850 transcript:ORUFI08G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYYDIDDILMEEEPISVVFQVSANGVGLLDPGAERNSVEKGAKVDLPFWLAHGLLSLEQAVSINVPPCFTQKTRKEIQADAACVDLRIRCPYFYELGCKIVPLVNDRSIGLFLRYAFTSRYKEILSKSHSSSMMTVPKFVPRLTKEEAQVFESARDSMTAFKKWRAGGVRLQKASILGRKRKTKLPDGPSAP >ORUFI08G09850.2 pep chromosome:OR_W1943:8:9471485:9482151:1 gene:ORUFI08G09850 transcript:ORUFI08G09850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYYDIDDILMEEEPISVVFQVSANGVGLLDPGAERNSVEKGAKVDLPFWLAHGLLSLEQAVSINVPPCFTQKTRKEIQADAACVDLRIRCPYFYELGCKIVPLVNDRSIGLFLRYAFTSRYKEILSKSHSSSMMTVPKFVPRLTKEEAQVFESARDSMTAFKKWRAGGVRLQKASILGRKRKTKLPDGPSAP >ORUFI08G09850.3 pep chromosome:OR_W1943:8:9476077:9482151:1 gene:ORUFI08G09850 transcript:ORUFI08G09850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVPKFVPRLTKEEAQVFESARDSMTAFKKWRAGGVRLQKASILGRKRKTKLPDGPSAP >ORUFI08G09860.1 pep chromosome:OR_W1943:8:9482634:9483017:1 gene:ORUFI08G09860 transcript:ORUFI08G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVARGPRGAEGGSTSSGPETTDDGATKLWIKLAGRGDTAREEEAPLPLPPPIFLTTGDLSSFPNDNSGASPLPFCVATSPSPASAQPLCRHYRRRPLSDPLSPFPEGRGTKDCGPKAANLIMNL >ORUFI08G09870.1 pep chromosome:OR_W1943:8:9495018:9496925:1 gene:ORUFI08G09870 transcript:ORUFI08G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLLQQLPPPPPPPPLNRRHHHLLLAASAAAATATALLLLLLLLLVALYLRSRRARRRSPTLPFSPPPAPARPLRRYSRRALRRATGGFHPSRLLGRGAASPVYLATFPDASLAAVKTCSSPHELHLLASLPESPRLVSLLGYSGPGGGADDRPLLLVFEYLPHGSLQAALFGDARDGRFLDWPRRLAVIRDVARALAFLHAECQPPVVHGDLKPSNVLLDADFRAKLADFGLARFKTPDAIAASGAGADDFMSQELGEAGELFSTACAAAAGGGVKADAKDESGPAAAWGKEWWWKQDGSGELDSRDYVAEWIGSQICPARNPDWADDNDGDANDNNKNSPSGTDEHAVAASPEDKKDADCNGNAGGGGDKKPEATKMREWWKEEFFEEMSKKGGSFDKRRGGGGGGGGKPWLRSISMNTGNGNANGDGGNNIEATSGLDISSFRRNRKRSRRRGRSVGSGDMHSGDFLSRELSTTTSMRGTVCYVAPECGGGPCEHGAELLEKADIYSFGVLALVILSGRRPLHILSSPMKLEKANLVSWCRQLARAGNVLELMDERLDGGYDKDQATLCVQLALLCLQRQPEQRPDSTDIVKILAGEMDLPPPPVDYSPSPRVRPFPRSSRRAQPPDATE >ORUFI08G09880.1 pep chromosome:OR_W1943:8:9526698:9529541:-1 gene:ORUFI08G09880 transcript:ORUFI08G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAIALAALCLAGLAAGFYLPGVAPNNFDKKDPLQVKVNKLTSIKTQLPYSYVDSAQNLGEVLRGDRIENSPYTLFQLKEWTKKVHISISMSSMLEPKDNMQGRVISSGHLAGWDTYLLMTDDQIHWFSIVNSLMIILFLSGMVAMIMLRTLYRDISKYNQLGTQEEAQEETGWKLVHGVQFIGMLLVTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSSLYKLFKGAEWKNIALRTAFTFPGSVFAIFFFLNSLIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPAIEDPVKTNKIPRQIPEQAWYMNPIFSILIGGILPFGAVFIELFILTSIWLHQFYYIFGF >ORUFI08G09890.1 pep chromosome:OR_W1943:8:9534699:9539172:1 gene:ORUFI08G09890 transcript:ORUFI08G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPTMSTSMATPAKLPSPPSLPIARQCCCHLLQLGRRGGAGVARASAKKKNPWLDPFDDGPDDEFDYRGAFSGGKQEEDPRPPEDPANPYGFLRFPAGYNPELDSLASKVRRDVRRACCVVSGGVYENVLFFPVVQLLRDRYPGVVVDVVASARGKQVYEMCKNVRYADVYDPDDDWPEPAEYTHQLGVLKNRYYDLIISTKLAGIGHALFLFMSSARDKVGYVYPNVNSAGAGLFLTEMFRPPTTNLADGGYNMYQDMLEWIGRPAKGVPEHPVPPLRVSISKKLRAFVEDKYSRAGVEKGKFVVVHGIASDSFANMRSRGDDDCLLPLEHWAEIAKEIRFFTSPHSLTQARLLLHLHFQLGTDCEKVELKPKKWWCLGSSEDNGLKPLFVIPHQKHREEVEETVGKDTNILFITTPGQLTCLINDSVGVVATNTAAVQLANARDKPCVALFSSKEKARLFLPYLEEKKGCTVVASETGKLIDIDVEAVKKAVKEFKAAPSVALAQT >ORUFI08G09900.1 pep chromosome:OR_W1943:8:9537757:9544122:-1 gene:ORUFI08G09900 transcript:ORUFI08G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGYPAAPPGMERPAPEAAAAAAEPPGAKAEEEEEGPKDVGVKGTGKEKETEKVEAEKEMEGKGKGKESMEVEEEGKEKEAKGKESMEVEEGKEKEGKGKAKEKEKETKVKVKEEGGEEKEKGKVEVVEAKRRPAGVGAETPILAVPMVAVPCFLASPAFAGHFSMSHQAALASVTAQAQIQLQSPTTPYSEGLPSPFPITPKAVMPLQRSPSGTEGSVRRSVLEKSASFQSRPHNHVSVNMVGDGFNWRKYGQKQVKSSENSRSYYRCTNSNCLAKKKVEHCPDGRVVEIIYRGTHNHEPPQKTRFVKERVAHITASSGDDETLRLVNNEIIESPSPGCKLEPGAVSEASEQQLFCSSDCEGDAGNKSEDDHPSTEPQPKRRIIETSTPLTPVLRTVREQKIIVQAGKTSDGYRWRKYGQKIVKGNPNPRSYYRCTHDGCPVRKHVEKAPDDDNNIVVTYEGKHNHDQPFRNNSESKDGPVPMIIPAETTSEQPSTMTSTSEQKQPISLLKDGGDEPMKGKTSEIGGEKAVESAQTLISIKTNPDDMKNTLLKDTSAAASLVCSVSSRQANATLGAALNSFTAFLTASTSMSISFPVSDATTVQPFFSSKYGRNSLAFSLDEKRATLQRFRKTSMP >ORUFI08G09910.1 pep chromosome:OR_W1943:8:9552758:9553488:-1 gene:ORUFI08G09910 transcript:ORUFI08G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSERARGYGEHNALCLRLHRRPRELVASIAGRPSLLCVRWRTWLDGHDADDQDDGPFATSTASTREAEKGRGKLAGFPDDLCLTGSHGVLCDDDDDASHVVGISLGYVSDFSVNPSSRPPPPPPPRSSRPASSGCFVGDDDARPLPPLPWRLPPTLQDLVLVNNPALSGRLAISVACLPLLCKRGGGGRSWVASGGAHG >ORUFI08G09920.1 pep chromosome:OR_W1943:8:9560457:9562940:-1 gene:ORUFI08G09920 transcript:ORUFI08G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVVLFLAVAVVAAVAQEPNTDAYFVSRFFAKMGRAAPASGAAVCGWPGVACDGEGRVVEFSAAGMGLEGAVPEDTVGKLARLRSLDLSGNRLAALPNDLWEVGASLLELNLSRNAIRGDLPNNIVNFAALQVLDVSHNAFSGALPPALGSIAALRVLDASHNLFQGQLLGTVISGWTNLSSMDLSGNALDGDLPDLSPLLSLSYLNLSGNRLRGSVIGAFHEQMKVIDLSNNSFSGLNFSSGYAGSSLAYLDLSGNELTGEFSVGNRFQNLKHLNLAFNQLSVANLLVSMGEISGLEFVNLSSTGLHGQIPRELSSQLSRLKVLDLSRNNISGVVPDLSSIRLQVLDLSVNNLTGEIPVALVKKLVSMERFNFSYNNLTVCASELSPEAFAAAFARSRNDCPIAVNPDRIQRSGGKRKGMKLALAIVLSLFFSVLGLLCVAVACRRRRKRGDVLPAVKQVSFKEEPGISGPFAFQTDSTTWVADVKVATSVPVVIFEKPLLSFTFADLLAATSNFDRGTLLAEGRFGPVYRGFLPGGIQVAVKVLVHGSAMADQDAARELERLGRIKHPNLVPLTGYCLAGEQRIAIYEYMENGNLHNLLHDLPLGVQTTEDWSTDTWEDNNGGVATENITPEGTATWMFRHKIALGAARALAFLHHGCIPQIVHRDVKASSIYFDCGMEPRLSDFGLSMIAGTSTDNNLLHHSPGYAPPEFSDSENAMATAKSDVYSFGVVLFELITGKKPLGDDYPGQKEASLVNWARAMVKANLGPGIIDPKIRDTGLERQMEEALRIAYLCTAELPSKRPAMQQIVGLLKDIEPKVAEQD >ORUFI08G09930.1 pep chromosome:OR_W1943:8:9585471:9585953:1 gene:ORUFI08G09930 transcript:ORUFI08G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKAATAEEEQERRKREAATAEEEAVPATRSASATRGDGRRRIRTGDSHRTSPLPSDPHSCAPNPPCPVGRALHRRQEGGEGRGGVGEKRRTRPFPLVRSGRGSGSGGGGNCGGGSARGPVGAWATVQHHGGRGHQLCVDGSAATAVDGGAGARGRPP >ORUFI08G09940.1 pep chromosome:OR_W1943:8:9589381:9590109:-1 gene:ORUFI08G09940 transcript:ORUFI08G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAAMRSPQRRLGDGGSAAVPGGSPGTAMPAVHGGGAAGRGEEVHGSGSAAGRGEEVHDGVAVTGCGAGAVDAGEERLAGVGEEVEERPAAAEVVVEEDTAVLAGGATL >ORUFI08G09950.1 pep chromosome:OR_W1943:8:9629513:9631918:1 gene:ORUFI08G09950 transcript:ORUFI08G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKTTANGGSGAAAVSGGGRTVCVTGAGGFIASWLVKLLLEKGYAVRGTVRNPDDAAKNAHLMALAGAAERLTLVPAELLDKESLAAAFAGCEGVFHTASPITDDPEKMIEPAVSGARNVITAAADAGGVRRVVMTSSIGAVYMGGGGGEEVDETCWSDLDHCRDTGNWYCYAKTVAEQAAWELAKERRLDLVVVNPSLVLGPLLQRGVNASTWHVLKYLDGSARTYADAAQAYVHVRDVADAHARAYESPAARGRYLCAGRTLHRGEVCRILAALFPGYPVPTRCKGDAGETAEGCRFSSRKLAELGVAVMPASQCLYDTVVSLQDKGLLPFVPAAAMP >ORUFI08G09960.1 pep chromosome:OR_W1943:8:9634256:9637978:-1 gene:ORUFI08G09960 transcript:ORUFI08G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVQGALLPDRDGQAAGPVPFKEVAGVDAIPARPVTEHDAAISGRPRRLVYNNSLPILSYRGFWLLEDWARGTAAMADERRGGFVARPGDVVLATLPKAGTTWLKALAFATMARGLFPPASPDHPLRRLNSHDCVPTVESGLFACGREGVLDKLPSPRLLNTHLPLSLLPSSITDNDDCKIVYVCRDEKDRAVSTWHFIKRIKRIGSDVPFSEVYKSICEGTSACGPVWDHILGYWNASKKEPSRVLFLTYEQMLQDPMGTIRQLAEFLGQPISDAEEETGVVAEIVELCRLHSCFSDQNVKNPGLESMKKQKINREGSQGVGITFSNDAYFRKGVAGDWLNHMTLEMGQHLDSILNEKFDGSGFTI >ORUFI08G09970.1 pep chromosome:OR_W1943:8:9663676:9664798:-1 gene:ORUFI08G09970 transcript:ORUFI08G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGPRCPICIATSQAWDPFLSKTEDETKRSEGKAKRFDSRFRRLLALITPPASPRSRRWPIARRCRRYVARSLLPAACWGELSPLGFLRRKETRPSGQPRGGGAPSVLTGRSTARRHACRLQPAAVLLEDHYPFSPVGIVGWGIIGNSPTLLI >ORUFI08G09980.1 pep chromosome:OR_W1943:8:9669468:9673412:1 gene:ORUFI08G09980 transcript:ORUFI08G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWMKEYNEASRLADDINSMIADRGSLPQSGPEIIRHTSAIRRKITILCTRLDSLEALLSKIPPKSLSDKELHKRQDTLSNLKSKTKQMATSFNMSNFANREDLLGQNKKAADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLIKYM >ORUFI08G09980.2 pep chromosome:OR_W1943:8:9669454:9673412:1 gene:ORUFI08G09980 transcript:ORUFI08G09980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWMKEYNEASRLADDINSMIADRGSLPQSGPEIIRHTSAIRRKITILCTRLDSLEALLSKIPPKSLSDKELHKRQDTLSNLKSKTKQMATSFNMSNFANREDLLGQNKKAADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLIKYM >ORUFI08G09980.3 pep chromosome:OR_W1943:8:9669468:9673650:1 gene:ORUFI08G09980 transcript:ORUFI08G09980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWMKEYNEASRLADDINSMIADRGSLPQSGPEIIRHTSAIRRKITILCTRLDSLEALLSKIPPKSLSDKELHKRQDTLSNLKSKTKQMATSFNMSNFANREDLLGQNKKAADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLIKYM >ORUFI08G09980.4 pep chromosome:OR_W1943:8:9669454:9673650:1 gene:ORUFI08G09980 transcript:ORUFI08G09980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWMKEYNEASRLADDINSMIADRGSLPQSGPEIIRHTSAIRRKITILCTRLDSLEALLSKIPPKSLSDKELHKRQDTLSNLKSKTKQMATSFNMSNFANREDLLGQNKKAADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLIKYM >ORUFI08G09990.1 pep chromosome:OR_W1943:8:9677766:9681309:1 gene:ORUFI08G09990 transcript:ORUFI08G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAALRPLRRIPLARLRSRLLSSSASGEGGPPAVASTDEAVRAAKLREEAYKQVANLDWSSNADFWKAVDIVKTLPPKRKEFGLDFHLVQLFFVCLPSLAVYLVAQYARSEIKRMEAEAEEKKKKDEELEKEKQLEADSVKDETDSKLSAVLVRLDTLEGVVNEIVDDKRKGSAPGFSNKEEATKKGERQSNSTDGQVVPVKSKDIINGATNASPNSTQQSPTGNGDKASSDPKG >ORUFI08G10000.1 pep chromosome:OR_W1943:8:9687087:9687299:1 gene:ORUFI08G10000 transcript:ORUFI08G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAQRQSAMVETSLPPISGWRGEGGSGGGAALLSLWPDLSLHAVVEDAAGSTALRCDDDDVVFVSSFH >ORUFI08G10010.1 pep chromosome:OR_W1943:8:9691062:9691948:-1 gene:ORUFI08G10010 transcript:ORUFI08G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQTTVSMEVAMKVEGSGGGGGDGEEGEVVAKKEEEVAAAAAAMELDLLGALRAEEVMPAEKGKAAVVMVGEAVAPTTPFLGRGSASAAAAAAAPAAPFYGAVHHGWSAQPPSSLQGIAARHAAAERPVYPADAFGYGAGSSSSRATGAPASAGLRWAEGGGSGTIHSAAAAAAGEQHTAAEVKAQEEMSSSKIDLTLKL >ORUFI08G10020.1 pep chromosome:OR_W1943:8:9701331:9703092:-1 gene:ORUFI08G10020 transcript:ORUFI08G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSKLSGIQRQVLALYRGFLRTARLKSPEERHRIESVVSAEFRENARNIDRKNFVYIEYLLRRGKKQLEQLKDPDITGLSTLEINKVYKPLNLK >ORUFI08G10030.1 pep chromosome:OR_W1943:8:9712528:9715353:-1 gene:ORUFI08G10030 transcript:ORUFI08G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMSRPRGEPSRSFRAGALPSNEAAAVAPPATQPSQGLSRRSRAGPGERQQPGEAASLAVALARERIRRSGEAEGEEEGPVPFWQRTWFLALLLAMAAASFAIALLLYLGLDLPEASPAQSYAADPDNVVEITYGSAIKLMHERTKFRLHSHDVPYGSGSGQQSVTSFPNVDDSNSYWIVRPQPDTSAKQGDPITHGTVVRLQHMRTRKWLHSHMHASPITGNLEVSCFGGENESDTGDYWRLEIEGSGKSWRQNQKIRLRHVDTGGYLHSHDRKYTRIAGGQQEVCGVGDKRPDNVWLAAEGVYLPVNQQK >ORUFI08G10040.1 pep chromosome:OR_W1943:8:9719407:9724448:1 gene:ORUFI08G10040 transcript:ORUFI08G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQAKYVGTGHADLNRFEWALNIQRDSYASYIGHYPVLAYFAIAENESIGRERHNFMQKMLLPCGLPPEREED >ORUFI08G10050.1 pep chromosome:OR_W1943:8:9787341:9791552:-1 gene:ORUFI08G10050 transcript:ORUFI08G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMLSFFPSGLHVMLIDDDTKNTRTATKTLSTLHYPVVSTHTTACAGLRTLSGDNMLDVQTVLCDVSKVVSSGFDFRRVVETEHQIPVIYLLSTTEPEQMVAGEDAEFLNHLLLKATYIVRKPLDRATMAQLWRVVTWRRCCLEERVPGDSMDDIAAHAGAGGEDGNDDDVVVIEEPQVHFKLVRSRGSLKRQLTINVDSGSSDGADANPRKKIEHMNDAKGLVGQHVASHLQLPAQEYCTKQQNDLDERRLISSGSLFLKAIFPTLNVSPSNPLILTGGAGPSCIPTMTIAGSRTAAPFQVPVFQQQPAGTTVIFFSNTAVQAPIGNAFISFNNAASPAATGNTVISFNNIAAPAAMQVPAMRQRLSSGVQPDAPQQRLYMGPFSYQGPPPPPTMRNHINIVPAAFIPRVGMTVNIGKAPMIELPFGVPVDDFLVGETAYGGAGPSIGAPGDDATVAYAYTGALNNNTAVGSLMAPPIDEPTFTLTDPIVGTKGEGVVPIVITSDDQNALAAVEAAAPNNAKPFMMPDQVDLEEDIMFSLESLLGLDEDMIPMVDAGGEAAEGSLNIGEGGMEIGWDLDLDDILMNNTNEFAFLDDLAWIE >ORUFI08G10060.1 pep chromosome:OR_W1943:8:9804988:9807983:-1 gene:ORUFI08G10060 transcript:ORUFI08G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDETLAAKKTCLICGAAGAGDDVTAATADGHPAKPYAAVNPTNSDTANADQIEGLRTTIRDLEEKLAAANAMIEDLKRSSSADAMLREELVDLREIFQAEREEQLERNSGLLAGGKVWPERMVWPRLQSEVDAVKESTQGLLNNHSPWTMIITCLNFLLPMPQEIHAKHQQKDMANERPLILLDFMFLKAILSTLNVSPCNPLTLTGTTLSRRPLLVAALHLHRFKFRFSSRNRLAKANTVISFNNNSVAPVADALISFNNFAGSTARQALAAMRHHLSGAGCSPAEAVLWALLNQGPPPPSMRNHINLLPTALPPQVGMAMDKGKAPLIELPYGIPMDEFLGDGSERNTVATTRNNATPLMVHDQVVADAAMDAEEDIMFSLEFLLGLDYDMLLPMEDTSATDAAVSDDLAWTLDVTFDLDDILVENTNDFVFLDNLAGSE >ORUFI08G10070.1 pep chromosome:OR_W1943:8:9812238:9822086:1 gene:ORUFI08G10070 transcript:ORUFI08G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAAGDLRFLLVGLLVVVVGSRLVRPPDGGGIPTTLDGPFEPATRAFDRALRQGSDDVPLTDPRLAPRARPPAPEQIALAASSDATSVWVSWVTGEAQVGSHLTPLDPSTVRSEVWYSERPSPTAAAAGDVSGHYPHVARGKAEVYSQLYPYPGLLNYTSGAIHHVRLRGLRPATRYYYRCGDSSVRGGAGLSGELSFETLPSSAAAAYPRRVAVVGDLGLTGNSTSTVEHLARNDPSLVVVVGDMTYANQYRTTGGRGVPCFSCSFPDAPLRESYQPRWDGWGRFMEPLTSRIPMMVIEGNHEIEPQGQGGAVTFASYLARFAVPSEESGSNTKFYYSFNAGGIHFIMLGAYVDYNRTGAQYSWLEKDLRKIDRRVTPWVVAAWHPPWYNSYSSHYQEFECMRQAMEGLLYQHGVDIVFSGHVHAYERMNRVFNYTLDPCGPVYITIGDGGNIEKIDIDHADDPGKCPGPGDNHPEFGGVCHLNFTSGPAKGKFCWEKQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDAYGEDSVGDQIYIVRQPDKCLLQTTSASSENNCPSEGCPSLVSNSGYGAQKDIIRSGHLIWNASLVIWMILISTSSCNGAAHSALDLFVSSSKTVKVRPTCSLRMSSSAPVQPASFPHVGESVVVHPVWHRSGEIRNKRKRELELSAIASRTAVVQAPSTTLPISVQAANLESGEGSCDSYTSDAGTAKAGEDNVLHAANALTKRVSPATVGLSINWDVILDAESTNMSDGRFRWSDMELRLFLESCLEEIAAYNITTSNPKPVALDNFG >ORUFI08G10070.2 pep chromosome:OR_W1943:8:9812238:9819581:1 gene:ORUFI08G10070 transcript:ORUFI08G10070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAAGDLRFLLVGLLVVVVGSRLVRPPDGGGIPTTLDGPFEPATRAFDRALRQGSDDVPLTDPRLAPRARPPAPEQIALAASSDATSVWVSWVTGEAQVGSHLTPLDPSTVRSEVWYSERPSPTAAAAGDVSGHYPHVARGKAEVYSQLYPYPGLLNYTSGAIHHVRLRGLRPATRYYYRCGDSSVRGGAGLSGELSFETLPSSAAAAYPRRVAVVGDLGLTGNSTSTVEHLARNDPSLVVVVGDMTYANQYRTTGGRGVPCFSCSFPDAPLRESYQPRWDGWGRFMEPLTSRIPMMVIEGNHEIEPQGQGGAVTFASYLARFAVPSEESGSNTKFYYSFNAGGIHFIMLGAYVDYNRTGAQYSWLEKDLRKIDRRVTPWVVAAWHPPWYNSYSSHYQEFECMRQAMEGLLYQHGVDIVFSGHVHAYERMNRVFNYTLDPCGPVYITIGDGGNIEKIDIDHADDPGKCPGPGDNHPEFGGVCHLNFTSGPAKGKFCWEKQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDAYGEDSVGDQIYIVRQPDKCLLQTTSASSENNCPSEGCPSLVSNSGYGAQKDIIRSGHLIWNASLVIWMILISTSSCNGAAHSALDLFVSSSKTVKVRPTCSLRMSSSAPVQPASFPHVGESVVVHPVWHRSGEIRNKRKRELELSAIASRTAVVQAPSTTLPISVQAANLESGEGSCDSYTSDAGTAKAGEDNVLHAANALTKVVPILQKRLSTYNITTSNPKPVALDNFG >ORUFI08G10070.3 pep chromosome:OR_W1943:8:9812238:9822086:1 gene:ORUFI08G10070 transcript:ORUFI08G10070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAAGDLRFLLVGLLVVVVGSRLVRPPDGGGIPTTLDGPFEPATRAFDRALRQGSDDVPLTDPRLAPRARPPAPEQIALAASSDATSVWVSWVTGEAQVGSHLTPLDPSTVRSEVWYSERPSPTAAAAGDVSGHYPHVARGKAEVYSQLYPYPGLLNYTSGAIHHVRLRGLRPATRYYYRCGDSSVRGGAGLSGELSFETLPSSAAAAYPRRVAVVGDLGLTGNSTSTVEHLARNDPSLVVVVGDMTYANQYRTTGGRGVPCFSCSFPDAPLRESYQPRWDGWGRFMEPLTSRIPMMVIEGNHEIEPQGQGGAVTFASYLARFAVPSEESGSNTKFYYSFNAGGIHFIMLGAYVDYNRTGAQYSWLEKDLRKIDRRVTPWVVAAWHPPWYNSYSSHYQEFECMRQAMEGLLYQHGVDIVFSGHVHAYERMNRVFNYTLDPCGPVYITIGDGGNIEKIDIDHADDPGKCPGPGDNHPEFGGVCHLNFTSGPAKGKFCWEKQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDAYGEDSVGDQIYIVRQPDKCLLQTTSASSENNCPSEGCPSLVSNSGYGAQKDIIRSGHLIWNASLVIWMILISTVFMKGNLCSRF >ORUFI08G10080.1 pep chromosome:OR_W1943:8:9834685:9845249:1 gene:ORUFI08G10080 transcript:ORUFI08G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYRKPPDGLLEITERVYVFDSCFTTDVFNDDKYQDYIGDIVAQLQCHFADASFMVFNFREGESQSLLANILSSYEMVVMDYPRQYEGCPLVTIEMIHHFLRSGESWLSLSQQNVLIMHCERGGWAVLAFMLAGLLLYRKQYIGEQRTLEMIYRQAPRELIQLLSPLNPMPSQIRYLHYISRRNVSAVWPPGDRALTLDCVILRNIPGFNGEGGCRPIFRIYGKDPLLATSNTPKVLFSTPKRSKYVRLYKKVDCELIKIDIHCHIQGDVVLECISLDADQQREEMIFRVMFNTAFIRSNILMLNRDEIDILWDAKDRFPKEFRAEVLFSEMDSVNQLDSMEVGGIGEKEGLPVEAFAKVQEMFSNVDWLDPTADAAALLFQQLTSSENIQLRKGLLSPNKKDFHLSSISPTKKQSDNVEDKLSNAELSTIYVHKQENNDVQGLIPQKQATIPDEKSGSSVIHEKMISLVHEEITQVVDINTGCLSSLDMTVPSTMNSSRPVLIDQNSKLDDQLGSLQSSSPTMIMSQQFPVSRSSSVLSSDFSPRLLSACPRFHSAPSALGITALLEDHAAFGDTKNSVKVSSAVVKIPSKQSSQQHPITVTPVVTKCTPSPPPLLPPLAPVVPTFHHFHPYLLLNKNNPHQNYVKLFCPQIINCPQVTLRKSHYKFLLLPLHLHCLLHQLPVLVVVIVSLQIPCFPPQQLCSDLQHRRHHHFNLHPLLDALLLELLHHLHLLLPLLHRLLECQGLLPHLLHLHQTRVPVDLHHLHLHLHHLLQLHLLPDLPHHHRVNYILQLHLLHDQFHHLHQHYLQLDLQRQHHLYCLELLLHHPHHHHHPPVVHQINCLLRHRLLQASQRTMAVLRLRQHLLVVMLNCLV >ORUFI08G10080.2 pep chromosome:OR_W1943:8:9834685:9845697:1 gene:ORUFI08G10080 transcript:ORUFI08G10080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYRKPPDGLLEITERVYVFDSCFTTDVFNDDKYQDYIGDIVAQLQCHFADASFMVFNFREGESQSLLANILSSYEMVVMDYPRQYEGCPLVTIEMIHHFLRSGESWLSLSQQNVLIMHCERGGWAVLAFMLAGLLLYRKQYIGEQRTLEMIYRQAPRELIQLLSPLNPMPSQIRYLHYISRRNVSAVWPPGDRALTLDCVILRNIPGFNGEGGCRPIFRIYGKDPLLATSNTPKVLFSTPKRSKYVRLYKKVDCELIKIDIHCHIQGDVVLECISLDADQQREEMIFRVMFNTAFIRSNILMLNRDEIDILWDAKDRFPKEFRAEVLFSEMDSVNQLDSMEVGGIGEKEGLPVEAFAKVQEMFSNVDWLDPTADAAALLFQQLTSSENIQLRKGLLSPNKKDFHLSSISPTKKQSDNVEDKLSNAELSTIYVHKQENNDVQGLIPQKQATIPDEKSGSSVIHEKMISLVHEEITQVVDINTGCLSSLDMTVPSTMNSSRPVLIDQNSKLDDQLGSLQSSSPTMIMSQQFPVSRSSSVLSSDFSPRLLSACPRFHSAPSALGITALLEDHAAFGDTKNSVKVSSAVVKIPSKQSSQQHPITVTPVVTKCTPSPPPLLPPLAPVVPVPSDDQMISQEKDMSQQAQKHPDLSSFPSLSPTQQKQSTSKLCQTILPTNHQLSSSNITKEPLQISPAPTPPPLPTPSTSSSSSCHCLPPDSMLSTTTALFRPPAPPPPPLQSPSTPRCSPVRTLASPPPPPAPTSSPVRMSGPPPPPPPPAPNSCPSRPAPPPPPPPPLASTSSPPRPAAPSPCQLHTSTSSPARPVPPPPPTLSTIRSSAPTPPLLPGATSAPSPPPPPPPCSSSNQLSAPPPPPPSFSKNNGSIAPPPAPPGGNAKLPGMRGRGPAPPSGPMSRSLQSGQAASRRSNLKPLHWVKVTRAMQGSLWEESQKTDEASKPPVFDMSELEHLFSAVLPSSDGKRSDKSGSRASGSKPEKIHLIDLRRANNCGIMLTKVKMPLPDLMSAILTLDDTVLDADQVENLIKFTPTKEEAELLKGYKGDKQVLGECEQFFMELMKLPRVDSKLRVFLFKIQFPSQVSDLKRSLNIVNSSAEEIRGSAKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLSKVLSEKLPELLDFPKDLASLELAAKVQLKSLAEEMQAINKGLEKVEQELTTSENDGPVSEIFRKTLKDFLSGAEAEVRSLTSLYSNVGRNADALALYFGEDPARCPFEQVVITLQNFVRLFVRSHDENCKQLDLEKKKALKEAEAEKTKKEPENAQKTKEPGNDKAKHNNSIKELDISLQSPAQTARQFAAS >ORUFI08G10080.3 pep chromosome:OR_W1943:8:9834685:9845697:1 gene:ORUFI08G10080 transcript:ORUFI08G10080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTTTALFRPPAPPPPPLQSPSTPRCSPVRTLASPPPPPAPTSSPVRMSGPPPPPPPPAPNSCPSRPAPPPPPPPPLASTSSPPRPAAPSPCQLHTSTSSPARPVPPPPPTLSTIRSSAPTPPLLPGATSAPSPPPPPPPCSSSNQLSAPPPPPPSFSKNNGSIAPPPAPPGGNAKLPGMRGRGPAPPSGPMSRSLQSGQAASRRSNLKPLHWVKVTRAMQGSLWEESQKTDEASKPPVFDMSELEHLFSAVLPSSDGKRSDKSGSRASGSKPEKIHLIDLRRANNCGIMLTKVKMPLPDLMSAILTLDDTVLDADQVENLIKFTPTKEEAELLKGYKGDKQVLGECEQFFMELMKLPRVDSKLRVFLFKIQFPSQVSDLKRSLNIVNSSAEEIRGSAKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLSKVLSEKLPELLDFPKDLASLELAAKVQLKSLAEEMQAINKGLEKVEQELTTSENDGPVSEIFRKTLKDFLSGAEAEVRSLTSLYSNVGRNADALALYFGEDPARCPFEQVVITLQNFVRLFVRSHDENCKQLDLEKKKALKEAEAEKTKKEPENAQKTKEPGNDKAKHNNSIKELDISLQSPAQTARQFAAS >ORUFI08G10080.4 pep chromosome:OR_W1943:8:9834685:9838960:1 gene:ORUFI08G10080 transcript:ORUFI08G10080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYRKPPDGLLEITERVYVFDSCFTTDVFNDDKYQDYIGDIVAQLQCHFADASFMVFNFREGESQSLLANILSSYEMVVMDYPRQYEGCPLVTIEMIHHFLRSGESWLSLSQQNVLIMHCERGGWAVLAFMLAGLLLYRKQYIGEQRTLEMIYRQAPRELIQLLSPLNPMPSQIRYLHYISRRNVSAVWPPGDRALTLDCVILRNIPGFNGEGGCRPIFRIYGKDPLLATSNTPKVLFSTPKRSKYVRLYKKVDCELIKIDIHCHIQGDVVLECISLDADQQREEMIFRVMFNTAFIRSNILMLNRDEIDILWDAKDRFPKEFRAEVLFSEMDSVNQLDSMEVGGIGEKEGLPVEAFAKVQEMFSNVDWLDPTADAAALLFQQLTSSENIQLRKGLLSPNKKDFHLSSISPTKKQSDNVEDKLSNAELSTIYVHKQENNDVQGLIPQKQATIPDEKSGSSVIHEKMISLVHEEITQVVDINTGCLSSLDMTVPSTMNSSRPVLIDQNSKLDDQLGSLQSSSPTMIMSQQFPVSRSSSVLSSDFSPRLLSACPRFHSAPSALGITALLEDHAAFGDTKNSVKVSSAVVKIPSKQSSQQHPITVTPVVTKCTPSPPPLLPPLAPVVPVPSDDQMISQEKDMSQQAQKHPVLSLWQTFHHFHPYLLLNKNNPHQNYVKLFCPQIINCPQVTLRKSHYKFLLLPLHLHCLLHQLPVLVVVIVSLQIPCFPPQQLCSDLQHRRHHHFNLHPLLDALLLELLHHLHLLLPLLHRLLECQGLLPHLLHLHQTRVPVDLHHLHLHLHHLLQLHLLPDLPHHHRVNYILQLHLLHDQFHHLHQHYLQLDLQRQHHLYCLELLLHHPHHHHHPPVVHQINCLLRHRLLQASQRTMAVLRLRQHLLVVMLNCLV >ORUFI08G10080.5 pep chromosome:OR_W1943:8:9834685:9838960:1 gene:ORUFI08G10080 transcript:ORUFI08G10080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYRKPPDGLLEITERVYVFDSCFTTDVFNDDKYQDYIGDIVAQLQCHFADASFMVFNFREGESQSLLANILSSYEMVVMDYPRQYEGCPLVTIEMIHHFLRSGESWLSLSQQNVLIMHCERGGWAVLAFMLAGLLLYRKQYIGEQRTLEMIYRQAPRELIQLLSPLNPMPSQIRYLHYISRRNVSAVWPPGDRALTLDCVILRNIPGFNGEGGCRPIFRIYGKDPLLATSNTPKVLFSTPKRSKYVRLYKKIPCFPPQQLCSDLQHRRHHHFNLHPLLDALLLELLHHLHLLLPLLHRLLECQGLLPHLLHLHQTRVPVDLHHLHLHLHHLLQLHLLPDLPHHHRVNYILQLHLLHDQFHHLHQHYLQLDLQRQHHLYCLELLLHHPHHHHHPPVVHQINCLLRHRLLQASQRTMAVLRLRQHLLVVMLNCLV >ORUFI08G10090.1 pep chromosome:OR_W1943:8:9846025:9846824:1 gene:ORUFI08G10090 transcript:ORUFI08G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLLWCYRASERAAPLIGHATAVQPQRRATVRQACRLGAGRGWLCGLSLFIISSPSTPPSCLGPICISF >ORUFI08G10100.1 pep chromosome:OR_W1943:8:9850655:9858069:1 gene:ORUFI08G10100 transcript:ORUFI08G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNLTSGLLPSLGVPAGLLGFFLARVWIRALDVVGVSHLPFTRQENTLIQIAVVSCSTIAFSGGFGTYILGMSGKSANEGHIGSHGRNVEEPNIGRVIAFLFLVNFSGLFIIVPLRKMMIIRHRLTFPSGTATAHLINSFHTPHGAKQARLQVVTLFKSLGATVLWPIFQWFFAGGKNCGFQIFPTFGMAAYRRGFYFDFSTTNVGIGMICPPMITASMLAGSIVSWGILWPYIETKAGRWFPENLDANDLGGIMGYRVFVGVSMILADGLFTILSALVRTACAMRKRRRGASTVTAAVPPFQCLSATERTMQSFDDRRRAQVFLRDSFPTWVAVASYAALAALSVVAVPLLYPQLGHRHVAAAYVAAPVFAFCNAYGVGVTDMNLSATYGKIAMMVFSSWVGMDGGGVVAGLAACGIIVSAVSGSSDFMQDFKTGYLTLTSPRAMLVGQVAGTALGCVVNPAIFWVFYKVYNMGGGGGDGADVAPYARAYRGIAVLSVGRHGLPDHSVLLCKLFFAMALALSAAREVAERRRWRALRYIPSTIGVAVAFFVPPRIPVGMAVGCLALHVWRRHVDAGGARLLLPAVASGLICGDGLGSLASSMLTLLRARPPICIKKCDAHLD >ORUFI08G10110.1 pep chromosome:OR_W1943:8:9867728:9868696:-1 gene:ORUFI08G10110 transcript:ORUFI08G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRPKFTQIELRSGLVLLPPGYTPSTVARDGGKKNRGTAVGAGLGSWRDNMDSMEATDI >ORUFI08G10120.1 pep chromosome:OR_W1943:8:9871887:9879205:1 gene:ORUFI08G10120 transcript:ORUFI08G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQTTGGVATRRRLSVPRGAGAAAAAASAASVWETRMKMDEVKGGVKVFSAGGDDADEEGLRVYRRLRRNQSDGGGGASGSTNAAAAAKKRRNWKASEPVTAIGDLRKSRSDVAVAAAAPAVGIVTTAKRSVTRVTTPEKKVAASAAAAEVKEVVVVEVPETNNLDEETEEEEDEEELEEELEAEDEEEEEEKEVLDQDHMAIDDDEAAKSTAPHQGKYQLLQCCHHQDFLMNLVLILFFPHNFSVDDQDLEPPTKKFSGDPVKKLAATIDLRAVNPEPVTIPPVEKKAMPVIIHRMTNAEPAKPSPVDLVMWRDVSKSTLVFGLGTFLLISSSYAKDLNYNTITAASYLGLVYLGLRFLSKSILNRGENVDCDDAERSGERYLVGEEEAIWLLRLVLPYINEVLLNLRSLFSGEPATTMKLALLLFAMARCGNFVTLWTLAKLASSLSSFQQPHGALNHSQTNVHMNNFEEIVFFGVFIIPKVCSSYSTQLARYELRLLDLVTLVTAFTGPPRHLGMCTGRFWLERFRDGWESCSHKKAVVAAVFTLVWNVSSTVARVWAVFMLVVAMKCYQQRMMEYGWSSTVEDSQEATAAATGDDESPQEETPARSSPAKAHDHEPAQGFGAAAPARHRRTPVSGEFARERLRVRGGIQPR >ORUFI08G10130.1 pep chromosome:OR_W1943:8:9923817:9924095:1 gene:ORUFI08G10130 transcript:ORUFI08G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHEHVTTSAGSFRAVFDLGLVRQGLHPTRSSNSSSTTAARIESYQEQQQQFNNSSSNAS >ORUFI08G10140.1 pep chromosome:OR_W1943:8:9924402:9925033:-1 gene:ORUFI08G10140 transcript:ORUFI08G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYELAVFDPSNPVLDPMWRQGITNSWGGWSVSEGTATNPGVACFGFGAFHVTGLYGLGIRESDDNWNIRSYSGSRVYDNAEDGDCQVRRKEV >ORUFI08G10150.1 pep chromosome:OR_W1943:8:9931443:9932783:1 gene:ORUFI08G10150 transcript:ORUFI08G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDTLPPPDLRHGGGGASDQTNSAVPVSETPMEDGGGAPAAAGSSNTAAPPQPPRLDGPTLERELRRCNIKLGKQKVSGEASTATTEPPRKKLMHDGIRAAAAAAPPPRSPPTRRIISYMVTAAAPPERKKTAADDRFRNLGTCSAQLRRRLSELDATEPEFVCEKTLRMSDVHRNQNRLLFSCKRKEDLDQCPITHLFTDKETQIVHKKDEIAVEKKKKKKIKKDEKKKEIKKEEEKQIREKLGLKVTVFDQGGNEYGMTCRYLESNGGYRFIEGWGKFVETNGMAISDSQRWTRDVVVKLLAFRSRRLARGAEQSDHPDGPIGFIVLHHENGSRGRGDNDNDNEEEEEEEYQGKAPPANPKKEKSNGKEEHVVRASTSSSSSSAEAAVGVVAPMHEVSAAPRNMLEEDACLGMVKLWSDTGSSSSSSKYNGPESSEEKRKKD >ORUFI08G10160.1 pep chromosome:OR_W1943:8:9935269:9944114:-1 gene:ORUFI08G10160 transcript:ORUFI08G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNLLGGKAFLSQEKQNIIVLAMEGSGGGDWRSQLQPEARGRITAKILESLNKKLGADFAKGLVDLQRVSARIEGRFFSISCTQSDYLRRLSLRMLKLETEPIQLGHQQAVDQSSESKVGQYPTSMLQQQTSCDQQSLCIQVDTKLQEMPPNEHLLDQVPPRSQKKLCMDDRLSDLPDTILHHIMSLLSAQEVARTCILSKRWKELSASAPCLDICVDKFGMDRVRFSEFVAHLLLSRAPNSLHTFRLHSFAIDHASSWINRAIELKAQVLEFTEYIRWESFYLDPQLMAFASQYLKCLKLTNVTLDSNAFEPLNHACPALENLQLSQCFLEVPEICSASLKKLDIMECSLLMNLQIQTPRLVSLRFRYPVITAAVTLCDLPNAENIDLSCSGRQVTFGREIQKFPMYGKLTSISLGEWCLSDKFSRLFCLLRHSPELKELTLKLEVERQDHNGEDEIRLMVGRSFSAENLKKSWGMLSRFNKKDTAVSTITMPQEVKPEAMAYLVACTPIRSRSSLCKSHLEARLGGNTEIGLG >ORUFI08G10170.1 pep chromosome:OR_W1943:8:9944732:9947568:1 gene:ORUFI08G10170 transcript:ORUFI08G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMATVVVELRESNDDAAFGNGLGRAAPSGGSRWRQGGWHLGASAVDALVDRGSEFINARFSPVFLKLTVPLSAPQGRGQQGRGKTVGVADTGSSDESGALNDGPTMALVEEHLAAMAMDMGQELSRKIPIIEISNVRLFALHLCGSALASNPQMYGGRATKIM >ORUFI08G10180.1 pep chromosome:OR_W1943:8:9948620:9954967:-1 gene:ORUFI08G10180 transcript:ORUFI08G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFECLLKLLNFVLTVAGLAMVGYGIYLLVEWMRISGGGGGAPPSPAPPAELLMFGRPMLTAVALGDGGSFFDKLPKAWFIYLFIGVGAIIFVISLFGCIGAATRNTCCLCCYAFLVILLILVEAGAAAFIFFDESWKDVIPVDKTENFDVMYDFLKENWEIARWVALGSVIFEVLLFLLALVVRAMNKPAEYDSDDEIIATSRSTSIRQPLIHSQNVPATGVPVATLEQRASRNDAWSQRMREKYGLDTSQFTYNPSDPSRYQQNGAPPAEERNRCVIL >ORUFI08G10190.1 pep chromosome:OR_W1943:8:9957293:9958930:1 gene:ORUFI08G10190 transcript:ORUFI08G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPQDPPCDVAAAAVVVSPPDPKSHHGGGGDEDVGRPAQPPSAAVPANNPRRPVETETSYYVLMPVHELDGGAGGSGDRPPPKKRPATSGSCSGAVDDDSSPPSLPPKKRKVAVDYRVDSFGGSGSRNRETPPAVTRHGGGGGAPAGSTAMDPALANELRRREIKFGKRKVTAEAALTAPITTKLPPREKLMHDGIRAAAAAAAMPRLLPPPPPPPPPAMNGQLHNDIVDNLSAELRERLDALGATAPRYVCTKSLKRSDVDLNQNRLLISCKQGEVFNCPITHLFTGEETIIVNKNPDETKETKKKKKKKRRKNNDDDEEEEPGLKVKKKKNKNEEKERPGLKVTMLDHGGDTYATTCRYLTSNGGYRFIGEWGKFLRNNGLAVCVNKGEEWTRSVHVKLLEFRSRRLPQADKSGHPDGALGFVVLHGDDSDSDDGEDDPCKGKAPPPANAKKNKKKKSNSKEHVNATSSSAAASASVAVERVTRSVEQEADDEDERIQRAVNGMLKLHDEGSQGEQQALLASKEEAGSKPSSDEEEKAEAKC >ORUFI08G10200.1 pep chromosome:OR_W1943:8:9966605:9971316:1 gene:ORUFI08G10200 transcript:ORUFI08G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQISEVRQHTKTLAALPTQPTTPSPRVFSHERRNQRRKRRRFTRVASSAAILFPPSNSLILPSFFTATTVRRPSFTGCWIQRLTAEEICTTSKTGEVCAFLFQPQNSGMKDIQIDRLCKNKLDGFYCFGANLSAIVKCPHSPLPPAAAAAAGSSIGSSSNTPSPHERQQQPATTAERSSGGGGGSSDSRQQQHGLPAATVGSSSTGASSYGSQSVASRSGVGGGCDGEIGGMREKDGNSDGSGMVPILEIFSGI >ORUFI08G10200.2 pep chromosome:OR_W1943:8:9966605:9971316:1 gene:ORUFI08G10200 transcript:ORUFI08G10200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIDRVPVKCPHSPLPPAAAAAAGSSIGSSSNTPSPHERQQQPATTAERSSGGGGGSSDSRQQQHGLPAATVGSSSTGASSYGSQSVASRSGVGGGCDGEIGGMREKDGNSDGSGMVPILEIFSGI >ORUFI08G10200.3 pep chromosome:OR_W1943:8:9966704:9971316:1 gene:ORUFI08G10200 transcript:ORUFI08G10200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKTGEVCAFLFQPQNSGMKDIQIDRLCKNKLDGFYCFGANLSAIVKCPHSPLPPAAAAAAGSSIGSSSNTPSPHERQQQPATTAERSSGGGGGSSDSRQQQHGLPAATVGSSSTGASSYGSQSVASRSGVGGGCDGEIGGMREKDGNSDGSGMVPILEIFSGI >ORUFI08G10210.1 pep chromosome:OR_W1943:8:9984022:9989669:1 gene:ORUFI08G10210 transcript:ORUFI08G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHEARGDDFEKKAEKKLSGWGIFGSKYEDAADLFDKGANSFKLAKNWSRAAQVYIKIANCHLKGDSKHEAASAYVEAANCYKKFSPQEAAQALNQAVDLFLEIGRLNMAARYCKDIGEIYHQEQDLEKASDYLEKSADLFDSEGQSSQSNSIKQKVAEIAAQLEQYQKANEIFEAIARQQINNNLLKYSVRGILLNAGICQLCRGDVVAITNSMERYQDIDPTFSGTREYKLLSDLAAAMEEGDVAKFTDAIKEFDSMTRLDPWKTTLLLRAKNELKKKEDDEDDLT >ORUFI08G10220.1 pep chromosome:OR_W1943:8:10004258:10007752:-1 gene:ORUFI08G10220 transcript:ORUFI08G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPDAALTDYERLREENIRRNDAILASLRRKASELSAAIQSSSSSKRPKKQPPPPRATPIPVVLRRSLRTRGLPPSTSTSSSSAASPPAPESPPEAPCSTRLSSSLASAILAAASASPAAPPPVRDDGFDAGAELVLRPSHVRRVVPDRILSVRVLPLVDRTVVAAGNKLGNVGFWDVDGGAVAGADGVFEYLPHRGPVGAIVSHPATPQKIYSCCYEGEICLMDLEKENFNMIYLTDYPIFSLCQAPNSPSSLYLAEGNDLKLFDERMGKVSATWNLHDNRINSIDFHPENTYMLATSSTDGTACMWDLRNMKEKEPESLKVLEHGRSVQSAYFSPSGRMVATTSLDDTVRIFSVDDFGNSSIMKHNNKTGRWLSTFKAIWGWNDTDLFIGNMARAIDIILVDLNGSSLLAMNNARLESEHMTAIPGRFSAHPYKVGHLACASSGGKVFLWTRA >ORUFI08G10230.1 pep chromosome:OR_W1943:8:10018117:10018386:-1 gene:ORUFI08G10230 transcript:ORUFI08G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSGVWQVTACRLQAGEDQGRLPLVVDFVGRWRSGEANKKVDESCDDKANGNEPEEGNIVLPRLSIIVAARASLSHRYRLGIPGLLP >ORUFI08G10240.1 pep chromosome:OR_W1943:8:10057967:10058458:-1 gene:ORUFI08G10240 transcript:ORUFI08G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGISVEELLEYQQIVSKTFNSEDEGIHFYNKYAWDKGFSIRKSYAERRNAAKEAIVDEVCLTYLSSGYDIGVCEHAHHESDYLC >ORUFI08G10250.1 pep chromosome:OR_W1943:8:10061274:10062454:-1 gene:ORUFI08G10250 transcript:ORUFI08G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPVKAAEDAKLIFAALKRERKSIVEVGGYCWGDDDELDRRQGARRAGGRRPRAAEGDSGGADLEWSGNGVLVLMLHLDFQAATRARRVTTSGHGGWRWRRRQADRRETRSWRTAVALSTG >ORUFI08G10260.1 pep chromosome:OR_W1943:8:10065182:10066681:-1 gene:ORUFI08G10260 transcript:ORUFI08G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARARSLRRSLPQPQPQPQPPTGGASKSKSIAHESEEMIIRTRRRKAEAVLVLHDPQDWRDWANLLAEMVGEIAGRLLAVDVAEYIRFRAVCGPWRERTADPRVRRLDARFRPRNWAVLTITPPPPRRLPPRRRLLNLATAASIGVVLPALSTHCHLCAADGLLVLFNKATNLISLLDPLTNTITDFPAIFLMAATATAAAAVPSSLSAMCRDRRFNLRIFNGAGFDDTTSPPTLVLCLRDTVRSIIVAKPGDSHWTLVNPGEASYREYDSQGQLLFHSVLSWRGRCYVASPEGSMYVLELRPPLPRLVEIIDQRRMCPPDTHHLNRVLSFLVGSGTAMLMVRYWIDIKHFGGAEAYDPAELFTTVGGFTGRLEVLELDDIAKPKSERSLLPVRSLGRHAAFVGNTHCLLMSTETFPSLATDAIYLGYRLQRYRTSKFSVYTIDDRRIEPPHQFCLDEEWRLHPSARPCNLDQYLVCYVDRLHSWSGDCISSKLPHP >ORUFI08G10270.1 pep chromosome:OR_W1943:8:10069881:10073541:1 gene:ORUFI08G10270 transcript:ORUFI08G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPEPEPDAGGLTDYERRRAENIRRNGVILDSLRRKAAELSAIIQLSRPPTKKQQPRARPRAAPAVVRRSLRTQGLPPPPASGPAPAPPRRSTRITPSLASAILDATSPPASEAKVRDDGFDARTELVLRPSNVRRLVSADRIPSLRILPLVDRTVVAAGSNVGHVGFWVVDDDGDDAHRVFEYMPHLSSVGAIVAHAAKPHKIYSCSHGGEICLMDLERENFNITRLSEFPILSLCQAPNSPSCLYFGEETDVKLFDDRIGKVSTSWNAHASRINSIDFHPENTYMLATSSRDGTACMWDLRTMKKKGAESLVVLEHDRGLQSAYFSPSGHMVATTSLDGIVRVFSVDNFENFHTVERNNNIGTHLSTFKAIWGWNDMDLFIGNATRAIDVISVDLNDSSISTTNNACLKSEHMVSIPYRFSAHPCKVGHLACSSSSGKVFLWTRA >ORUFI08G10280.1 pep chromosome:OR_W1943:8:10074316:10074654:1 gene:ORUFI08G10280 transcript:ORUFI08G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPSRRKRHPQASISSPETLKMLHLQTLVALPGRLLMVIVAELARQTAPTLPQQSISTVNHIAVPPETTSHTGTQLQQTYGAADDQRGRTLETNSACHPYRTKELPSIDWK >ORUFI08G10290.1 pep chromosome:OR_W1943:8:10074615:10075233:-1 gene:ORUFI08G10290 transcript:ORUFI08G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPSSSAPSRPGAGVATMAAGRRGRGYGEVVATGTARPQCGGGALHGDDGAAVGLSAAPAMGDGVARSGCPRRAWSTPAPLRRRRVAGDGLLRHGLVGDDGIGSAAARVRSAAAPVRLYGADCRGPGRGQPTCCGFVGVGDDASISLPPVPPDPLFFFRCSPAVRRPLCSSLLRLGYFQSMLGSSLVR >ORUFI08G10300.1 pep chromosome:OR_W1943:8:10077852:10078467:-1 gene:ORUFI08G10300 transcript:ORUFI08G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEVSLGQRIGAREAPGFRRRAQGATWPKRSKEQMARWWLIPREDDEVGSGGVDGQTVGEPAVATSEPMRKRRLELGEEATKDGWVDSYPGRKRVRRKWRRAGVGCENQSDGKAASPHAALDAWPHGPVSNPQPAAPCSPPSVTPLGVPPMVTEEAKDTGEVGSQ >ORUFI08G10310.1 pep chromosome:OR_W1943:8:10081763:10085384:-1 gene:ORUFI08G10310 transcript:ORUFI08G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGKGGFLAVVAVVGRGAVLLADAARVVDFGEVGSPPAPSPVADAPYLPDVASPPAVQTEGGNHYQKEILVAVILALAAVIVTVVSAIYAWTFWKKTRQALDSKDKKLSSTTKGHMLLPMFGKLNSMKTSKKEVVAMMDFSVLDSATGKFSENNILGKGGFGCVYRACLDRGVVAAVKKLNCCRQEVEKEFENELEFLGKIRHPNVISVLGYCIHEDTRLLVYELMQNGSLETQLHGPSNGSALSWYIRLKIALDAARGLEHLHEHCNPLIIHRDIKSSNILLDSDFNAKISDFGLAIYGGNHNKADLKPSGTVGYVAPEYLLDGQLTEKSDVYAFGVVLLELLLGRKPVEKIGDSHCQSIVSWAIPQISDRTKLPNIIDPVIRNTMDLRHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRVVEQPRQTG >ORUFI08G10320.1 pep chromosome:OR_W1943:8:10108977:10116270:1 gene:ORUFI08G10320 transcript:ORUFI08G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRNVPMLLPLAVLFLLGSGGVATAANVIDRCWRGQRNWAADRQRLAVCSVGFAGKMRQNRGAGVVAYTVTDPSDDPVRPRPGTLRYGATVLPAKVWITFARDMRIRLAQPLYVKNFTTIDGRGADVHVAGGAGIVLYHARDVIVHGLHVHDCRAQPPGRVVVPGGAVQPSGSGDGDAIRLVASSKVWIDHNTLSRCEDGLLDVTVGSTDVTVSNNWFHDHDKVMLLGHDDGFTTDRRMRVTVAFNRFGPNVNQRMPRIRHGYAHVVNNLYDGWRDYAIGGSMGPSVKSQGNLFAASGGAGDNKKVTRRMPAVARGGGGGGKDQWHLHSVGDAFENGAFFRQVGNRVRPNYNRHQAFSAASAGDVLPAGINMSSFLKFSLSLISIALSSCSRWQQELGPAYLSTKALRGSGRGHIWAFYRGRQRRLGPPPPLPARRRLGPPPPVLVPHTPASAAAASHPRLRCRCEPRGCSSGRIEGAGAASDRRLRCRRLGPPPPLPLRAARLLVTAGMDRSWINSRLFSKPHLDGVNEFMKFVLERFDENAEILCPCRWCLNRIHRQKGHVEDHLYIYGIASTYTRWIYHGEQSDAGINENEDHLDEHTSFSEDVGINEDEEENPPDRIPDMVDELYIAEGQDGGKSMFAAILDEMKQ >ORUFI08G10330.1 pep chromosome:OR_W1943:8:10125832:10126289:1 gene:ORUFI08G10330 transcript:ORUFI08G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRLAQPLYVKNFTTIDGRGADVHVAGGAGIVGNMMPAVARGGGGGGGGGGGGKDQWHWHSVGDAFENGAFFRQS >ORUFI08G10340.1 pep chromosome:OR_W1943:8:10161671:10163601:1 gene:ORUFI08G10340 transcript:ORUFI08G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNWPHDDRQPLNAPGYIKMQVPMQSIQMVKLTTTAKVFTNEGGSATVDLHANCAILTGFFQCWLNSGKAVVNVSAQEPGRMKPKKATPGPLLLSPWEFAKL >ORUFI08G10350.1 pep chromosome:OR_W1943:8:10165370:10165613:-1 gene:ORUFI08G10350 transcript:ORUFI08G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQSTETVKFAERRVVSTYSQHSSSTPIPSRVGPLDYQLAVMCNCRVKAARWISWSADTPAVGT >ORUFI08G10360.1 pep chromosome:OR_W1943:8:10188038:10189511:1 gene:ORUFI08G10360 transcript:ORUFI08G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEVADKGGKQRSGAAAGASGSIASGGKAPTSMSDDGDWSGLPSSTTKVEADENVLRYYCCIKALPAAPLPLPNPRPWTSLACLDPHVGTWTTSVLHISAADDLASLRLDLPVAVVVVERLVTNLVHNLQQPDPVLPSRESSRFGAPARPDHRHRATALGREG >ORUFI08G10370.1 pep chromosome:OR_W1943:8:10194252:10195365:-1 gene:ORUFI08G10370 transcript:ORUFI08G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSQSHTPPTLNEALPPQPSIFVAASASKDPGAWRRGTRATTTFFPSSATVRSLPLASVIFFFYGNVCMENLITFTTAILVRSKLNYLMAIYLSVLHYIGCFDFISTRCRSFQTEKNKLLGSPYACVAVQMQPAMECHNELR >ORUFI08G10370.2 pep chromosome:OR_W1943:8:10194252:10195365:-1 gene:ORUFI08G10370 transcript:ORUFI08G10370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSQSHTPPTLNEALPPQPSIFVAASASKDPGAWRRGTRATTTFFPSSASEDGRILVRMAATRRRVSPPQRPPTFFLYSGALPPPRISNFLFLWQCMHGKSHHIYNSNTGSKQAQLFNGNIFVGTPLHRLLRFYFYKMQTEKNKLLGSPYACVAVQMQPAMECHNELR >ORUFI08G10370.3 pep chromosome:OR_W1943:8:10194252:10195365:-1 gene:ORUFI08G10370 transcript:ORUFI08G10370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSQSHTPPTLNEALPPQPSIFVAASASKDPGAWRRGTRATTTFFPSSASEDGRILVRMAATRRRVSPPQRPPTFFLYSAILVRSKLNYLMAIYLSVLHYIGCFDFISTRCRSFQTEKNKLLGSPYACVAVQMQPAMECHNELR >ORUFI08G10380.1 pep chromosome:OR_W1943:8:10197291:10202640:1 gene:ORUFI08G10380 transcript:ORUFI08G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIYPSVFPYRPCLPRRGIAWRRALHVARSSLPLPHPHAARSPRLPLSPTACFLPLSPAPLHQTLRPSPSLRLPTHHLPVVSSAAPSGAVASATERPRFLERCGLNADEFDDDAEAEPMVGLFGRSIRGLAEVNFTAVSGGLSVFLREEEGKAIVIAGSGLSLLSGSGNVLPFVLASPSSSQKIPHCWLHR >ORUFI08G10380.2 pep chromosome:OR_W1943:8:10197291:10197886:1 gene:ORUFI08G10380 transcript:ORUFI08G10380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIYPSVFPYRPCLPRRGIAWRRALHVARSSLPLPHPHAARSPRLPLSPTACFLPLSPAPLHQTLRPSPSLRLPTHHLPVVSSAAPSGAVASATERPRFLERCGLNADEFDDDAEAEPMEEGKAIVIAGSGLSLLSGSGNVLPFVLASPSSSQKIPHCWLHR >ORUFI08G10390.1 pep chromosome:OR_W1943:8:10230080:10233195:1 gene:ORUFI08G10390 transcript:ORUFI08G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAASVLGSALATQIRNDGDGGCNDDDSGDGSCDDDDGDDSGCDENDNAVMQVAAVLSSSILRHQQHQYTLGRLPTLIDKILLGAAKLTWKILVTRHRVKFCMVTFNWVVDSGL >ORUFI08G10390.2 pep chromosome:OR_W1943:8:10230080:10233195:1 gene:ORUFI08G10390 transcript:ORUFI08G10390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAAVLSSSILRHQQHQYTLGRLPTLIDKILLGAAKLTWKILVTRHRVKFCMVTFNWVVDSGL >ORUFI08G10390.3 pep chromosome:OR_W1943:8:10230080:10231144:1 gene:ORUFI08G10390 transcript:ORUFI08G10390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAASVLGSALATQIRNDGDGGCNDDDSGDGSCDDDDGDDSGCDENDNAVMQVAAVLSSSILRHQQHQYTLGRLPTVTTMLKSEEN >ORUFI08G10390.4 pep chromosome:OR_W1943:8:10230080:10231144:1 gene:ORUFI08G10390 transcript:ORUFI08G10390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAAVLSSSILRHQQHQYTLGRLPTVTTMLKSEEN >ORUFI08G10400.1 pep chromosome:OR_W1943:8:10233859:10234209:1 gene:ORUFI08G10400 transcript:ORUFI08G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTSGEQQRKAVDSQSTSQNNGEYLLLAVVTSIGMRTRRSHTGPMAIRASSRASLAKTLVSFYLLASRLGIDGAGHIQIDYTGEGSCRAAP >ORUFI08G10410.1 pep chromosome:OR_W1943:8:10235080:10246034:-1 gene:ORUFI08G10410 transcript:ORUFI08G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSSPAAASAPFAAPGPHRRPGLALRPSPPTPPSSSLSCCRASPAAAAVSSVSATAAPNRGPRGMGLRCRASEGAAAAARKEAPLKVMISGAPASGKGTQCRMIVEKYGLVHISTGDLLRAEVSSGTEIGKKAKEYMDNGMLVPDQVVTDMVVSRLSQPDVRERGWLLDGYPRSYAQAQSLESMKIRPDIFIVLEVPDDILIDRCVGRRLDPETGKIYHIKNFPPENDEVSARLVTRSDDTFEKVKSRLDTYKQNSEAVIPTYSDLLNQIDGNRQVEVVFNEIDSLLQKICENASFNMLAKTNGKPQDSKDTTASKNEFRGIPTRLNNIPHSREIRKYFYNDVLVATRHAVEDKKTRLQIDINIPELNPEMDVYRIGTLMELVRELSLSFADDGKRVKVCVQGSMGQGAFAGIPLQLAGTRKILEIMDWGEYGAKGTFINFGAVGASEVDKEDDMFILIAPQNAVGNCIIDDMKAMTDAAGDRPVILVNPRLKDMPGSSGVMQTMGRDMRLKYAASFETCYSFRLLFYAGSFYPIMGALRMAYPNKYEIYRRVDEPNGQERYVLLEEFVEKPTPDEITNAFRPRKNENEKSASGFCKG >ORUFI08G10410.2 pep chromosome:OR_W1943:8:10235207:10246034:-1 gene:ORUFI08G10410 transcript:ORUFI08G10410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSSPAAASAPFAAPGPHRRPGLALRPSPPTPPSSSLSCCRASPAAAAVSSVSATAAPNRGPRGMGLRCRASEGAAAAARKEAPLKVMISGAPASGKGTQCRMIVEKYGLVHISTGDLLRAEVSSGTEIGKKAKEYMDNGMLVPDQVVTDMVVSRLSQPDVRERGWLLDGYPRSYAQAQSLESMKIRPDIFIVLEVPDDILIDRCVGRRLDPETGKIYHIKNFPPENDEVSARLVTRSDDTFEKVKSRLDTYKQNSEAVIPTYSDLLNQIDGNRQVEVVFNEIDSLLQKICENASFNMLAKTNGKPQDSKDTTASKNEFRGIPTRLNNIPHSREIRKYFYNDVLVATRHAVEDKKTRLQIDINIPELNPEMDVYRIGTLMELVRELSLSFADDGKRVKVCVQGSMGQGAFAGIPLQLAGTRKILEIMDWGEYGAKGTFINFGAVGASEVDKEDDMFILIAPQNAVGNCIIDDMKAMTDAAGDRPVILVNPRLKDMPGSSGVMQTMGRDMRLKYAASFETCYSFRLLFYAGSFYPIMGALRMAYPNKYEIYRRVDEPNGQERYVLLEEFVEKPTPDEITNAFRPRKNENEKSASGFW >ORUFI08G10420.1 pep chromosome:OR_W1943:8:10250851:10251360:-1 gene:ORUFI08G10420 transcript:ORUFI08G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGPTRQLLLPLLPPLFLPLPLLTALFPCKCATTIMQRLTAAARNGDLGNDGLDDDPWNGGGGRAGPEAVRGRRPHGGGRGRAPTPNPVGAEPSESGGGGRRAWLEASRLGDLSDDGSEWRRSRRRQRRLATETSAAVVDGDGDLDDDSGDGAPLCGCGIGAATATRG >ORUFI08G10430.1 pep chromosome:OR_W1943:8:10252607:10254622:1 gene:ORUFI08G10430 transcript:ORUFI08G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATPMAPRSTFAIFLILLLRSGHSPAAAFYLPGSYPHRYRPGEALAAKVNSLTSPSSKLPFPYYSLPFCAPQGGVNRAAESLGELLLGDRIETSPYRFSMLKNATAFLCRTDPLPPATADLLMFRIDDAYHVNLLLDTLPVVRYVKNLAAPGVFVRSTGFPVGVRADDGEYYVYNHLKLTVLVNKPRNGTTRAEALMATADAVELISFAGGSKDGGGYTVVGFEVVPCSVEHDAAAIKGKKMYDELPARAAAGCDPSVVGMRVRANRPLVFSYEVAFVESGVEWPSRWDAYLEMGGAKVHWFSILNSIVVVAFLAAILLVILLRTVRRDLAQYDEHGGEAGLAPQADELAGWKLVAGDVFREPAHPVLLCVMVGDGVRILAMAVATILFAALGFMSPASRGALVTGMLGIYLLLGFAAGYAAVRLWKTVRHGDSAGWKRVAWRASCAFPGAGFAVFTALNCVLWYNGSTGAVPFLLFAVILLLWAFVSVPLTLAGGLVASRVGHLEYPVKTNKIARQVPAAQCSPWVFVAVAGTLPFGTLFIELLFIMSSLWLGRVYYVFGFLLVVMALLVAVCGEVSVVLTYMGLCVEDWRWWWRAFFASGSVAAYTLGYAVYYLVFDLHSLSGPVSAALYVGYSLLMALAVMLATGAVGLAASFAFVYYLFSAVKLD >ORUFI08G10440.1 pep chromosome:OR_W1943:8:10255595:10260902:-1 gene:ORUFI08G10440 transcript:ORUFI08G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKRRHARSNPTAGGPRHGAGAGRRRPVPELPSFVSPASVAAAFSSSSSGGRGRGGRGGRRGGGGGGSSNSASDSSSHAVPFSYAALRPSASFEGATQVLDVTIDTAPCADPASASVPVYSYGPVGGIGLGFHGEEEDEEEEAGEAGLHLGLGFRGCSNEEVELEEATLVTPRKPKEKPKGKRNEGFLSIGGIRIYTEDISSPESGVGDSDEESESDYEGRDGNDDGDSDEEGSDVNEGGSESDEELSGSDSEEDLSIGDSSVDDEVVADYMEGIGGSEELLSSKWVAGMNLVDSDDDDEMDTDEDEDGFLKKVKGQLEGYALMNASEQYGMKRPSSADRLKGKGTAVRACDRDLASMRVMGLDAVMMVKDVRMANRLRKGAKVASSSSHLSRSWPNEGRKSKKYQSVPGEKKKHRKELIAKKRRQRMLGRGVDLDQINTKLRKMVVDQVDMVCFQPMHTRDCSQVQRLASIYHLKSGCQGSGKKRFVTVTLTADSSLPSSEGQIRLEKLLGTEPEDFTVNWENSKRPAQVKGLSAPGKLARNQTSSGKKSSKKQVSFAERPVSFVSCGTMAESVTETIAVATTSGEVSCEKIVESDSVKLGTFEMHTKGFGSKMMAKMGFIEGTGLGKDGQGMMQPIQPIQRPKSLGLGVEFDSEAEAIKARSEPPTKARSEPWRNLRKVEIGGVGSFERHTKGFGSKMMARMGFVEGSGLGKDGQGIVNPLTAVRRPKSMGLGAKNKY >ORUFI08G10450.1 pep chromosome:OR_W1943:8:10261999:10262661:1 gene:ORUFI08G10450 transcript:ORUFI08G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVLVYVDGRIQYGAKGAEYNIPPKITFPAVEATTFEEVKKEIFQALGYIEDHCAMNIQARFDIGNPGPQYFQLIPIYEDRGWRMIFEKTCGQVVELYVECAYTEARLSQVNSTTLVASTRHSERNGSGVSLEPGTVHSQAKVVPTLADGMHSTVQLSSPINQDNVVSETQPTIDDDNTAEDTYVGEETYVGEDRFGLDDDNKQDCDGALDNSSDDEPP >ORUFI08G10470.1 pep chromosome:OR_W1943:8:10265022:10266059:-1 gene:ORUFI08G10470 transcript:ORUFI08G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNPTQREIDWHSRALVLEILGSIIFTDSSGDSVPAMYLQFMDNLATRTEYNWGGAVLAMLYRQLNNGAEKARSEIFGPLVLLQLWSWSRLPLGRPKNIIQKTDEVEEQEKKESDGYPIFGAKWWLQEKHQLHCILVKERQVIQKVLSKWLIGLVLRGLLCSKRTLQVRKTIYSVGYNFVELLELYVLHIC >ORUFI08G10480.1 pep chromosome:OR_W1943:8:10266102:10266655:-1 gene:ORUFI08G10480 transcript:ORUFI08G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDRFSDLLWMPHGQNKVSKISENPSSSMNIRHHRVGIPYHPLCRSALQNIGFYQIAKMRSIKIDKYLISALRPETNSFHLLIGEMTITLQDISCLWGLPISGKLIVGQSDGNWSDMIERYLGIPPEDQEMKKKKRKKEDDTFALSYS >ORUFI08G10490.1 pep chromosome:OR_W1943:8:10267967:10268428:-1 gene:ORUFI08G10490 transcript:ORUFI08G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAHANPQQAQLYPTACRPTRSAKADLVTLPVGGGCRVADLLHLILDVIIFVHVIELLLPLYAMCLVIHSPAIALDVAHPGRGGDCGFWGVTVATMHILLVLFQGESFYQTSHAPQAALSVSQPGGVGPCWSDERACHQCWSSRICGHSTDG >ORUFI08G10500.1 pep chromosome:OR_W1943:8:10278102:10282633:1 gene:ORUFI08G10500 transcript:ORUFI08G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAVETAAEILVSFQNRRLVRWPEWVPRPDEKGAAAEEKKLPGWKDRRKRTSKSKPPPAILVCGLELRDSGGEKAASIPAPLPPPARKKMLFKMKVKDEPTAARGPETPPEYGAGAGSGANFSRDGVARPLPPRAVVKAEPTPAARMPESPPYYVAAAGSAPSTAGGDRRPRPRPVERAHVKTVLAAAKEAMEASSPETPLDYAATTGSGASSSGDESSRKRKAAPGAGGSGGASSSGDEGCSSPEKRPCLVAGGGAQTAAAAKAEGEKFADSMNRNDDGVLLFDLNECVDNCEDWS >ORUFI08G10510.1 pep chromosome:OR_W1943:8:10293320:10293910:1 gene:ORUFI08G10510 transcript:ORUFI08G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRRPRHIEDTVTSAARVAVVEAADGEREVDEAADGERRADGRSFVGEGNEESRLLRRRHHRGYVGPAACRSLSLMGPPRRRPGCAALWEDAEEAIPVPVVAMKLLSPSSSSSAGGDSSSAKGWNRNTSWGTDVYRSPSPPNASLDCIAQPKSGERLVPQPGDALHRGEPARMEKQTSVGEGTTQRHALVMALYG >ORUFI08G10520.1 pep chromosome:OR_W1943:8:10313037:10321549:-1 gene:ORUFI08G10520 transcript:ORUFI08G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKWAFADGMYYRRQRKASSEANANVFMPGGPNGISFPASNRAHDWGYGGVGEEWETSYARKLQLMNFLSALHQRAANSLKDSSAIIVLDSDDEDEYTEGCEQLTSENNKEQAPSGPTSPYTTWIVSSTKDQVNGTLHVDGVQSTQIVPYGQNAPLINQFPLQTSWQPSIQYERVILQKRPEEQRVQDLVLDTNEDMSNEKDVDDENEMDDDCNHDIRIHEDLGHVCRICGMIVRKAETIIDYQWKKASRTRTNYYESRSKDADEIDTGAVKVSEDFIVSDIAIHPRHAKQMRPHQLEGFSFLVKNLVGDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPSARPLVVLPKGIGRCKLGTWKREFQWWQVEDIPLYDFYSVKADKRVEQLEVLKSWEARMSILFLGYKQFSRIICGDGDGNIAAACRDRLLMVPNLLILDEGHTPRNRETDVLASLKRHHAKWSCLNHVSEVFNILDLVHPKFLKMESSRPIARRIMSQSLKGVHDSAFTESVEDTLLNDDNFTRKAHVIRSLRELTKDVLHYYKGDILDELSGLVDFSVFLKLSNKLKEIVHCWN >ORUFI08G10530.1 pep chromosome:OR_W1943:8:10330988:10331554:1 gene:ORUFI08G10530 transcript:ORUFI08G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNILQVMERLLNARLPAASGGVVQPPLVDPEDELWMHIRLMMMPMTDSMVRPMVEVLEHEKEVLTGEDLAPVRTLAVVLSPMIITMRRNMRRHVHPRRGAVLGNGGHHRGRHDHDDLDNVARVKLSVPKFTGKDVLDAYLYWEEQCDQIFRVHNLSHQRRVNLGSVEFFGWN >ORUFI08G10540.1 pep chromosome:OR_W1943:8:10344334:10347271:-1 gene:ORUFI08G10540 transcript:ORUFI08G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITWTSSTSSRSEDDLLTPRVSALLLPSLIADRTAIRTARRLLSRLLHVHPLRTAAEAVADAASTPSSDFLIHTFITSPAQGSLCRAADAFRVLSSRGAPPSIKTCNAFLEALVRAGQLDAAREVFDEMRESRNVALNEYSYTAMIKALCKAGKVDAGFEMLAELWRAGLQPTVVTYNVLMDALCKSGRVEEAFRLKGRMEQGGMTPSVVTFGILINGLARGERFGEVGIVLQEMEQLGVSPNEVIYNELIGWHCRKGHCSQALRLFDEMVLKKMKPTAVTYNLIAKALCKEGEMERAERILEDMLSIGMTVHCGLFNTVVAWLLQRTRRLESVVSITNEMVTRGMRPNDPLMTACMRELCKGGKHQEAVGIWFKTLNKGLGVNLATSNALIHGLCEGKYMKEATKVIQTMLNKGIELDSITYNIMIRGCCKDSKMEEAIKLHGDMTRRGFKPDLFTFNTLLHAYCNLGKMEETFHLLDQMKTEGLQPDIVSYGTIIDGHCKAKDIRKAKEYLTELMDRGLKPNVFIYNALIGGYGRNGDISGAIDAVETMKSNGIQPTNVTYGSLMYWMCHAGLVEEAKTIFSQARENNVDLGVIGYTIMIQGYCKLGKMVEAVAYFEEMRSRGISPNKLTYTTLMYAYSKSGNSEEASKLFDEMVGSGVIPDNITYGTLIARCSEVNSLDKDIGHTAELSSGALTKDDRMYNILSNGINAPWCQKEAASSVE >ORUFI08G10550.1 pep chromosome:OR_W1943:8:10350368:10355523:-1 gene:ORUFI08G10550 transcript:ORUFI08G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSTEATPHHHARLRRRSMDAGDTSAAAAVAPTPARLREALAALSKAFESGDCSDGSAAAAVSDLLNAAADAADAEADAEDEAAAGVVEEMLREVHAFLSSPSSNQLAIDALSLELVKPVAKLGALMRNCWDIANAIIEFFVSNCNPRDMLSILCEAVDAPLASNGSVYFVLLFKELAKVLVLIQRRHTEQVKVTLPAVLRVMNAVIPECDEEHGKIIVDMYNAALRIGNAIQEMCKKMVNQTNEELCSVLSLYSLQNIALVSRCKQQHILSACGSVVLQHSKILTFCGFTYLGLLTGNDVTSATDKISKDEDADLLECFSFAMNGANLAVIWTYMDDEISKYAGAELESALKDVKGNHTRMWQAINILRYVLSSTHYPWVIKSHSLDLLLTIANESRIEEINDHVDVSSSGPQIFATLKVISMVPSSQRFNILQALIKNSIFPSLTAILLDLVKDEVSREIRRADQDIVESDQLQDGGEWPPPWFSHALELVELILKPPEGGPPCLPDHGEQVLSALNLLRFVLIIDSRGSRSRKMFGEETMRKVYSEWLMPLRPIVAGIQSESEEDGSDVANHIMCSLNPVQLVLYRCIELAEEKMKGF >ORUFI08G10550.2 pep chromosome:OR_W1943:8:10350368:10355523:-1 gene:ORUFI08G10550 transcript:ORUFI08G10550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSTEATPHHHARLRRRSMDAGDTSAAAAVAPTPARLREALAALSKAFESGDCSDGSAAAAVSDLLNAAADAADAEADAEDEAAAGVVEEMLREVHAFLSSPSSNQLAIDALSLELVKPVAKLGALMRNCWDIANAIIEFFVSNCNPRDMLSILCEAVDAPLASNGSVYFVLLFKELAKVLVLIQRRHTEQVKVTLPAVLRVMNAVIPECDEEHGKIIVDMYNAALRIGNAIQEMCKKMVNQTNEELCSVLSLYSLQNIALVSRCKQQHILSACGSVVLQHSKILTFCGFTYLGLLTGNDVTSATDKISKDEDADLLECFSFAMNGANLAVIWTYMDDEISKYAGAELESALKDVKGNHTRMWQAINILRYVLSSTHYPWVIKSHSLDLLLTIANESRIEEINDHVDVSSSGPQIFATLKVISMVPSSQRFNILQALIKNSIFPSLTAILLDLVKDEVSREIRRADQDIVESDQLQDGGEWPPPWFSHALELVELILKPPEGGPPCLPDHGSRSRKMFGEETMRKVYSEWLMPLRPIVAGIQSESEEDGSDVANHIMCSLNPVQLVLYRCIELAEEKMKGF >ORUFI08G10560.1 pep chromosome:OR_W1943:8:10355952:10356935:1 gene:ORUFI08G10560 transcript:ORUFI08G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGGNGNGNSHRVVGRKRLLVAGGAGEEDRLRGKKRAAAGALVPYVAAASASAPIDAVPLAAVAPASSSSLAAGEVPNEPSWIRKIVFYRLGLPYDLPLVFIEEKTVTRTDLDSHQNRFRLACGGVGRSLIPMLTRREAIAASFLRKEEDEAQAAGLTPPQPEENNTAVVVEQQQEEEEEEAAVTTPPQPESDTAVAVAEQQQQQEEEETAGPGGRKKKKKKGRSHGGLPVTLVHLRGGMKRLLLTRWDSSGAAIIKGEGYLDFIARCGIKEKDVVHVWAFKQQGFRLFGATYPPGPLYILIAGTARLAAPPPPQPPVAQSPPSC >ORUFI08G10570.1 pep chromosome:OR_W1943:8:10359169:10360823:-1 gene:ORUFI08G10570 transcript:ORUFI08G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLLCAPHGQLSDGSHGGRRRRRCPLRELGGGVAVRVQQLAVRVQQLRAAHHGGRKPHDHHTVSACIASPIASLTPCPDCKKRARLEHKTADGDERERSEEGRRQIAVRLAAVVSGSLMLAAAVLLEMHMLMGGLRELDAMSFSGFVMQHVVVVLAAAGLLLVALAGCPPWDDERRR >ORUFI08G10580.1 pep chromosome:OR_W1943:8:10368004:10370925:-1 gene:ORUFI08G10580 transcript:ORUFI08G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRKKGVAAKQKPPEPPAADAPLRDRLRWLNDQEYEHRSAAIKAIQAAEIESILSRLRLVESYISKEQQEGCALQYFQENLPNLSVVRNEEQNELELKREDWDKRLIGDHCDDKIFRASVSSLPNVGYVQFSGDSVRKSFIESMPFNFNDFAWGELPEDQLAGIADALQTPGAVSTRLSFGMTPKTLRLPKKGEMLLSVRGSPLGVYKEENLAAVHGIYHLFFLGSSQASLTMVLLTVG >ORUFI08G10590.1 pep chromosome:OR_W1943:8:10371848:10372293:1 gene:ORUFI08G10590 transcript:ORUFI08G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTMDARWAHIVYALLVPEAFFRDPDGRDGVASRSLRRPLAISAATLLSLPGEGRRYEAHDERAVGAHRRHRHVIFTVVATSLYSAGSPYFTSVAVSSGVTVAAAAACLM >ORUFI08G10600.1 pep chromosome:OR_W1943:8:10379166:10379782:-1 gene:ORUFI08G10600 transcript:ORUFI08G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGQGRHDATEVAPELEERLCRHGWAASVAVVARNVAAVREPTTARLAVKVLLDVGPILDSFEPRANLKTTTIVNFDGGMSINESFAVSVRLVGNPAPWARTGVRKNPVLFMQHLQISCL >ORUFI08G10610.1 pep chromosome:OR_W1943:8:10382620:10383057:1 gene:ORUFI08G10610 transcript:ORUFI08G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPKSLNAFDIIASSLSFDLSELFEERGERMHFMSGALVADIIAKLEEIAGMVSIEATRNGHKGALAISSKVFELTRELVMVQVCKKAGDTAEYRRFCDNELKAGMRGLVVDALPPPVDPDECIMWHCCCLIRLLIPCSHRCAH >ORUFI08G10620.1 pep chromosome:OR_W1943:8:10386780:10388201:1 gene:ORUFI08G10620 transcript:ORUFI08G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQVTTPMADSSFNPEFLQAHAELWNLTFSYLKAMALECAIKLGIPNAIHRCGGSASLSELVISIPVPETRKPHLPRLMRFLAAVGVFSLDNPTIDEEVTEKGMGIYRLTPLSRLLVDGSIGGHGSLSPFVLSQTTKYHVSAAMNLSDWFMTEDKEVAIEMPFRAAHGTDLWGVMSRDANMNEVFNAGMGSDSRLAINFIISKCGEVFEGISSLVDVGGGTGTTARDIAKAFPHIKCSVLDLPNVIDTITVDGIIEYIAGDMMEQIPPTDAVLLKYILHDWNDEDCVKILKQCRNAIHAQKPGGKVIIIDIVVGSPSKDMFEAQVSFDLLMMVITSGKERDQHEWHKIFMDAGFSHYKTRPVLGFLAITELYP >ORUFI08G10630.1 pep chromosome:OR_W1943:8:10405822:10408219:1 gene:ORUFI08G10630 transcript:ORUFI08G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTEDGDELYLDLDEEVMMTLNKASLIRFVCPFHVDLLIHDERAISFDWCDSDMRLVLLVNVTVTCLFC >ORUFI08G10640.1 pep chromosome:OR_W1943:8:10415404:10416080:-1 gene:ORUFI08G10640 transcript:ORUFI08G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESIVSGLLITASAVARPHSSHSLPHGSCATRVPQLQRDRLCVRPTVDEDEAMSIDNLRLFRRQRRQVEWSLLRALAFLFLCSLYIKQASSQISIVDEEDSEPEWAEYKIKETNMFTVDNYQQLH >ORUFI08G10650.1 pep chromosome:OR_W1943:8:10432498:10437038:1 gene:ORUFI08G10650 transcript:ORUFI08G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDGADSANSWGIPSLWRGQWTEQPRQGEREAAHAQAADSTTIAGQSSGRAPSGSGGVANSGSRARQAGNQQGRAGEGAPGNQTESLIIKNSGRLLFPQLLQFLITSRNRKHDSDGWNMRKRLKEECGR >ORUFI08G10660.1 pep chromosome:OR_W1943:8:10452943:10454091:1 gene:ORUFI08G10660 transcript:ORUFI08G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDARRGRGVATLTRATSWEAANDAHAGDVLGGGEVTIGHKASLEHVSSADGLDGDNVSPECRHRYKKEAYKDLVNWEFADEERRTSSSRECQGMASVHGGVRATHGEGVPATGAAHGRGLVARCRSAMRRGTARRDAAHAMRRSSAARRGGSGAWCASLARLGILPSTWRAACQPAGGGKEGGGVDLAARWRESWRGSKDRLVLGGELG >ORUFI08G10670.1 pep chromosome:OR_W1943:8:10460449:10468995:1 gene:ORUFI08G10670 transcript:ORUFI08G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGELKLMASYVSKLKFILQKDQQQEPHTANLLVLLGSSNQDKRNGLSQGENRMDTCTRKKPRRYQLLTMQQKETLNRAFQSCPNPDRNDLKKLAKELNMTETQIKYWFQNCRTKMKKFKNNEERKLLQKENEELKKENAELRNRMKNSTCRACDLPLFHIDCRHWENPMLNKGNHGVTSNLIPQAVSSLLPSSSGFVASGSNLSSNAVLMPVSAMPSSVLQPAPAVSGANFPILHNLSANANDGYTEKNVLLDLANRAMEEFFSLMKENESLLVKKKENGPLWLPHMDILGVESLNYQEYLAKSRTIGQKPVDFKVVVTRDTAIVNGSCVDLVKSLLDANRWRELFPGIVASANTTKIISTGPSNLHDGLLQLKNLQMRAELQVMSPEVPVCDVTFLRQSVQFGSGLWCVVDVSIDTILPGESKTAQSSVQTSSTAARRMEVRLLPSGCVIEEMENGYSKVTWMVHAAYDERAVPVLYHSLLHSAKALGACRWVASLQRHSQFLSGLHKYIFCPDSTMTEVVMRRKVLYLVKQMTSSFTGLFASMSKATLQDGDDTHFAHQIVGGATGEPAGLLLSATTTIWLPGVNPRHVYDHLRDEQCHGEWRCLLGEQLHQGNALPYGAPLNGETVPEFYRMVNGLHEGHAISLISPREMGGNISNTLLLQEARTDLSGSLIVYARTDVNTVHSIMNSGLNPATVFLVSSGCAILPDCLESFPLHPAATADQAGTSSAAIASRSETGGSFVTVTYQMFFSSQGGAAPASSSIHQGRDALKKATDIFKVVLDTLTVA >ORUFI08G10680.1 pep chromosome:OR_W1943:8:10485317:10485550:-1 gene:ORUFI08G10680 transcript:ORUFI08G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQPLQVSGNSRFGPTPLFLFLEVRTGGKTLCSSSANEQWTDVQLLIHTRAGAALEHRHYTFPGLPFKICKLVFPI >ORUFI08G10690.1 pep chromosome:OR_W1943:8:10485549:10487004:1 gene:ORUFI08G10690 transcript:ORUFI08G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWSRGGAQGGRGRGGERRRREAQLGGPVTASSRLGGHEDEAASGDGGRRSSAGQRRRRAGWAGTRSRPGTQGAARRRAAATRSDEGGFVKNEGGVIEYRVKEEISRLVLVLLRSLRRRQDERRAGRRSPSGKQSSGGEEGILKDKN >ORUFI08G10690.2 pep chromosome:OR_W1943:8:10485745:10491183:1 gene:ORUFI08G10690 transcript:ORUFI08G10690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSSNTRATSSMAYPVSLSSLHHHPNNHHAFFLPSKTNHDNTHKPIESSRISRRSLIFLPVLPSLLYASSSPALDDANIPSTSAIDTTITDRIFMDFSVCPSYFRSDRTLGAELATCPDSEPLGRVIFGLYGRLLPLTTANFKAACTSAAYRGTLVHKLLQGQFFVAGRQGPRRDRGEVQPPTGLVRNAETIDPKAFELKHARPGTLSLCLGQNDDDDDIKLNPNYHNVEFLVTTGPGPCPELDGQNIVFGTVLEGMNVITSIATIPTYKPAERIRFFNDFAQLIGDERAQTARALWDRPLKTVYISDCGELKVTKPSLSPPSLP >ORUFI08G10690.3 pep chromosome:OR_W1943:8:10486118:10491183:1 gene:ORUFI08G10690 transcript:ORUFI08G10690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSSNTRATSSMAYPVSLSSLHHHPNNHHAFFLPSKTNHDNTHKPIESSRISRRSLIFLPVLPSLLYASSSPALDDANIPSTSAIDTTITDRIFMDFSVCPSYFRSDRTLGAELATCPDSEPLGRVIFGLYGRLLPLTTANFKAACTSAAYRGTLVHKLLQGQFFVAGRQGPRRDRGEVQPPTGLVRNAETIDPKAFELKHARPGTLSLCLGQNDDDDDIKLNPNYHNVEFLVTTGPGPCPELDGQNIVFGTVLEGMNVITSIATIPTYKPAERIRFFNDFAQLIGDERAQTARALWDRPLKTVYISDCGELKVTKPSLSPPSLP >ORUFI08G10700.1 pep chromosome:OR_W1943:8:10504882:10505616:1 gene:ORUFI08G10700 transcript:ORUFI08G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVHLHFGGCVVEKRTGGSHFEMMIVRQLVLGAKPTYMRIYKSVGIQEIYDVGADPMSYKYRDGMKNLCGYSVGSQFNSFEVFSLKKECRESTNEFLDLNRSMCYCHEPKNDVFVADQKEEEFKEKPRYARKEEVIEEDVMVGEEVPVEEDVEMVDDNHVENEMGGELDDRQMASNSNGDRPVGKLLEEERKVFEEIVGCNSEISQFEDLGNAG >ORUFI08G10710.1 pep chromosome:OR_W1943:8:10512745:10513014:-1 gene:ORUFI08G10710 transcript:ORUFI08G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGVIPASGPDATRVARSAPGPHLRGPHGVENEAVGRGQQQPMGAGCVGQSRPAADGQVDAGGTNSPQGHSLISGTHSDPGPRVSD >ORUFI08G10720.1 pep chromosome:OR_W1943:8:10516152:10523258:1 gene:ORUFI08G10720 transcript:ORUFI08G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHGHLPHEMTMQALGADDAAVAAAAAAGGVGAGGAPAWMRYNDGSFLHLQTTSDSSASPSGAAAAAAAAAAAAAAGVQQWMGGGGGGEDAVAAAMGGGGEADAARCKAEILAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQGVVAKYSALAAAAAGDDGRELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQNLQSLTGASPGEGTGATMSDGEDDQADSEANMYDPSLDGADNMGFGLPTESERSLMERVRQELKHELKQGYKEKLIDIREEILRKRRAGKLPGDTTSTLKAWWQSHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTSMIAEG >ORUFI08G10730.1 pep chromosome:OR_W1943:8:10531031:10531429:-1 gene:ORUFI08G10730 transcript:ORUFI08G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERGERRGGGIGCLSCCFGGGDGDGEGEELGQRAARALRTSSRWVRDRAVELPEMVALAGGRRRKPHLQHHQQQQLAGEFRYDPVSYALNFEEDGDGEAQPFKYMAFSARLPASPPPPPPPTALPVDRGS >ORUFI08G10740.1 pep chromosome:OR_W1943:8:10537923:10538914:1 gene:ORUFI08G10740 transcript:ORUFI08G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSFSSFCAGGTIMGVRGRVPLPEREREMAAGDSVCCVVSFEGWLVGVKANKGRYFGDRRRFLMNSFSRDVIRLPLPSGASRSADAYTRSLPIINGSGVLHCTINAAKCVMLFWKVVLSSSPDSGSKCVVAATSMIKDAVKLALWRPGMKSWSVCYGNLHMLSFGKFTTNLLVFEICEDDNGLMVSRVESCVIKLPGVMGTANETWSIVEWRGKLLIVVTYFGEFGHNIIEIRVYEVDCSTNPATFTEIKSLDGDCNFISQLSSKSFRLSHYDVVKDDLMYFMHGRSFDKSVYNMKDGTMTPITADMSEDKI >ORUFI08G10750.1 pep chromosome:OR_W1943:8:10543184:10546196:-1 gene:ORUFI08G10750 transcript:ORUFI08G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGTSKPPIIGRDDQQQELEAMLLSSAGRLAVIYVVGDSGVGKTILVNSVCSKPSVREHFKERIGVKVGKDAGISNILSLMSKELKKENNESDNENPRSKIQRRLGEECYLIIIDGRQMSIADWNAVIHALPKNERGSRVVLITKIKPQFLDHPMNDVHEIKLTCLNQTDCRKLFHMRLHGKEEDEQNQTYLPIYYQRVYDITGGSPLAVILLAGLMHNKEYPHEWDRVLKYLESAKEKRLNRILSLSFDDLHHELKLCFLYFTAFPVSYKVYQNVLVNLWVSEGFVVPRHGKTVQQLGQLYLRQLTTRGLVTEASADGDYDIRHFFLHDSVYLFARSEAHEANFMELHDGDYFPSPDRARRLTLHNSMDRYAALDNKMPKLRSIFAIFEEIPASTAEESVSSPSCFPTCCSCEQHRSPKISRFDLTKLLKRSKFLRVIMIEGLNIGTELPEAIGGMVHLRYLSTRCRSLRRIHPSIGNLKNLQTIDVRQSLVHELPCSFWKITSLRHVFGSELIVPRWTRELKQLNTLKSVRALQDWDGSMLRRMVNLKLLDVTIQEKLKEEKAHKLSDNLNNLSNLTTLILKGVDLPISSIFTAPSLQFLKTIELTGTILLTTPSPEIDKMTTSPSDFQLPNLSKLSLSKTCLQQGFIGKLGKLPLLSNLTLKDVSCDGEELVFRPDGFHCLKKLEVNDTSKRVVIEEHALPVLVSLHIIGNSRNYQHSIHPTHKIINKIRHEDINLFQRICTCHQKEITKG >ORUFI08G10760.1 pep chromosome:OR_W1943:8:10554454:10555224:-1 gene:ORUFI08G10760 transcript:ORUFI08G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVLPLVSKLQEVALSEGRALVGVGGEINRLRDKLMWLMAFLQEADPQRRAADAGGELMRVLVHQTRDAAFSAEDALDDYAVRVDLSRYPGWSRAAVGFLAGITTQLRVRHRLSSDIAAIHARLEEIVGNKDKYRLEGSAPSSLLTWTASAASSKIRS >ORUFI08G10770.1 pep chromosome:OR_W1943:8:10575300:10576446:1 gene:ORUFI08G10770 transcript:ORUFI08G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGVRAHRRACGGGAAAGSAAALPLARSHPSQPCLLSPVKEKKKEEKTWPHG >ORUFI08G10780.1 pep chromosome:OR_W1943:8:10576495:10576761:-1 gene:ORUFI08G10780 transcript:ORUFI08G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTGTGVPSGAHLLVVLLFDHRRMDLCTERLEVAGPENPVEESWQRRPAQLRGSTKLHVVRSCSGGKGDATQSDGIGAGERQGSTR >ORUFI08G10790.1 pep chromosome:OR_W1943:8:10577380:10578448:1 gene:ORUFI08G10790 transcript:ORUFI08G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIPDPENTDNLVERKKNDPPLLCLSPAVTHHGHACCHPCKIHPGSAVVPPASAPDSGRMRHLLASRSQSPFYRVGSADVAPATARWWRWRRRASRPRSSITAAPAVTGAGSHVTRLVKN >ORUFI08G10800.1 pep chromosome:OR_W1943:8:10614047:10615580:-1 gene:ORUFI08G10800 transcript:ORUFI08G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPAVLAESSVSRAGAAGSSAPFFFLSVSLPGAKKQVAGSHKLARMNNLEILLVRFYYSGEFVNSRRIVQYVGGDEGMSYIEKDKISMPEIRGHLADHIEVKDAMQLHWITVTDRVIDNKACLDMSSCIAEGGVAEIFVEETMSYYNSEESDWETDNVKSDEMENVEGTQYDANKEGSVGEDSDTTDSDYQPESGNS >ORUFI08G10810.1 pep chromosome:OR_W1943:8:10619531:10620129:-1 gene:ORUFI08G10810 transcript:ORUFI08G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVLLQVVLIAINAGSLSPPCATAAKDWVKLSLELPPRTPPGQEPSPAAYQFSRTICRIPKDPIYKICCFGELLPYAESFQDNQMKATQVATIILLTKIQALDREVGAVRSRGIRDKNIDDCTSFFGIGSSNSESTNSVLAALDRLAAAGKGRRKKEDVETVLKWTKNLETQYNGATSKCKLGD >ORUFI08G10820.1 pep chromosome:OR_W1943:8:10630437:10631030:-1 gene:ORUFI08G10820 transcript:ORUFI08G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLTARPLLDSVVVSATGEIERRRERFSACSLVAWQVGALGDKVELNVFADDVRSAFHIRRADIQFTKFHPEDFFVTCSNQSDRDAILRQPRLATASGRVFLFHPWDESLHGVQVRYRYRARLCIEGVPMHGRVDETMTKVIGRKCAIHYVEEYSRRGNYNRTYDLWMWTDEPRAIPQGGSFSITAANEEGLGASH >ORUFI08G10830.1 pep chromosome:OR_W1943:8:10634204:10636278:-1 gene:ORUFI08G10830 transcript:ORUFI08G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRATCSTRPRISRCSPSRRWFVPVAAAIESHPTPAIHVVERERPAQVQISRFDPCSPPPPARMLPGGQQQQPHPAPGGLDLRSDEIHALKQRRRPPPHPQSSSPPEGLLGAANPDRPDDDELDAILSSFRDEAAHGDSGGGVARVQVLPVEQDANLVPFLPLRHGQLDCSRCHLEWASEFIARNIDTMRNNTNGQLLDSGYSNLVESVRTNVNVPHTAVEVNLLQTIMSAPSADHHQNAADQVAAPAAQPFSAAPPVALPPKAAPRKARKDWDYASMLVAVEEFYVAATSRPVPNSDVEILESSHVSQQQDGGRAIIYPSLQARRGKAKQEVPRRNAKDVLEYLSLARKETEKEINTLSSFDGIYRNDGTLSYLMTEVRRLNRKIWRLQKNAPSTLSSRLLASVKEIDDIKVEKGRLYAQFISALKKLCRKKMDDGGSAPSANN >ORUFI08G10840.1 pep chromosome:OR_W1943:8:10646206:10655465:-1 gene:ORUFI08G10840 transcript:ORUFI08G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTARGAPAANQARIRRPPEKISPSRLLRSLRHLAAEQGRGRGADLHPTSRAGEGRGILEIMESSSSDVQMSHCAEDLNSESTIEIKIKTLDSQTYNLRVNKRVPVPLLKEKIATVTGILSEQQRLICRGRVLKDDELLSAYHVEDGHTLHLVVRQPGQSATSGNAGNEGTTSNPARRRGPTMTRSIVLEAINVGQGSELSVAQLLQSLLRAPSSTQVSSGPAPSDARPSEGAQSSTQNGVRAVLDQMPVPPLFQSETSVGLSEPNVIPDSLTTISQYINFLRDSFRREGFLENGQTLNNADHGTAGSAHGGGTQNHESQPDSASAHGLPTAALLAETMHSTRQLLVEHAGALLSQLPNQLGDIVNVTDATTRRNLQNSVVRYGVLIQYLGSLLLELGRTTMMLRINPATSEAVVNSGPALFISPSGPNPLMVQPAPFVPGTGSVQVGPIFSSLTSHRSVLHPRDIDIHVRTSGSVSLTGTNPPERVEEHQTQDRTDRSANASPANSSEAFAGVTAGAPFSVESGVRLVPHRTVVAVPAGISHPPSMSSSGVGIIYPLFARIQQRAYTNAQVAHSANQIPNPQTSQYHEAGTLGSPVDINAENGTQTSPGEQNGQGPFSQLMDSIPWIASLFSGENSRVNGANQHAPASAEQVDGRNHGAPEVSGVSDEGLRFASLVRQIMPFISQVESHHQSASAVSSSTPSQAAHGNLNTARAGPSHSRSSHQHNRDPVDGPNSKRQRTSE >ORUFI08G10840.2 pep chromosome:OR_W1943:8:10646206:10655465:-1 gene:ORUFI08G10840 transcript:ORUFI08G10840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTARGAPAANQARIRRPPEKISPSRLLRSLRHLAAEQGRGRGADLHPTSRAGEGRGILEIMESSSSDVQMSHCAEDLNSESTIEIKIKTLDSQTYNLRVNKRVPVPLLKEKIATVTGILSEQQRLICRGRVLKDDELLSAYHVEDGHTLHLVVRQPGQSATSGNAGNEGTTSNPARRRGPTMTRSIVLEAINVGQGSELSVAQLLQSLLRAPSSTQVSSGPAPSDARPSEGAQSSTQNGVRAVLDQMPVPPLFQSETSVGLSEPNVIPDSLTTISQYINFLRDSFRREGFLENGQTLNNADHGTAGSAHGGGTQNHESQPDSASAHGLPTAALLAETMHSTRQLLVEHAGALLSQLPNQLGDIVNVTDATTRRNLQNSVVRYGVLIQYLGSLLLELGRTTMMLRINPATSEAVVNSGPALFISPSGPNPLMVQPAPFVPGTGSVQVGPIFSSLTSHRSVLHPRDIDIHVRTSTLGSPVDINAENGTQTSPGEQNGQGPFSQLMDSIPWIASLFSGENSRVNGANQHAPASAEQVDGRNHGAPEVSGVSDEGLRFASLVRQIMPFISQVESHHQSASAVSSSTPSQAAHGNLNTARAGPSHSRSSHQHNRDPVDGPNSKRQRTSE >ORUFI08G10850.1 pep chromosome:OR_W1943:8:10656242:10657001:1 gene:ORUFI08G10850 transcript:ORUFI08G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRFPSSFPSLVPSHRCYGSEESGSEPSELKPEASKLEMDSMNATRAKGGNMMKQEGHMACACAMAPDSATWGNTCRHFGVSTAQPVQPLTSPPRPSASSASSMKVK >ORUFI08G10860.1 pep chromosome:OR_W1943:8:10664005:10673353:-1 gene:ORUFI08G10860 transcript:ORUFI08G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCLRRRGLSLLRQRPPRPFPPLPRPRSSPPRVASLRPLSAAAMGENSAAAAGKGKEAKGKATTSASASAPNVEPDVAYLEAVTQKRIRQFEEIQAKQALERLNIGGEPIRITLPDGAVKDGKKWISTPMDIAKEISSGLANSCLIAQVNGTLWDMTRPLEGDCELKLFKFDSNEGRDTFWHSSAHILGESLERAYGCKLCIGPCTTRGEGFYYDAYYNDLTLNETHFGIIDAQAQKAVAVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSSYWRGKADRESLQRVYGISFPDSKRLKEYKHLLEEAKKRDHRLLGQTQDLFFFHQLSPGSCFFLPHGAIIYNKLMDFLRQQYRDRGYQEVLSPNIYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLMFEHRVRSYRELPLRMADFGVLHRNELSGALTGLTRVRRFQQIKDEVKAVLDFINYVYEIFGFKYELELSTRPEKYLGDIETWNKAEQQLTEALNEFGKPWQINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPLRFKLTYSAEDEAKLERPVMIHRAILGSVERMFAILLEHYNGKWPLWLSPRQAIVCSISSNSVEYAKQVRARIHEAGFHVAIDETDRTIQKKVREAQLAQFNYILVVGAQEAETGQVSVRVRDKAELATVSIDDIITRFKEEVAAYK >ORUFI08G10870.1 pep chromosome:OR_W1943:8:10679989:10680534:-1 gene:ORUFI08G10870 transcript:ORUFI08G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPLHCLAYAVCPRFYDQNYLQKPAPGGTLRRAPNQDVEVMTGVLKAFERIADNKEEEKVIREQLNDFIMKKGFFALESVQADAASMEPIEWWCSYGSETPELAEVVKRVLSQPISSSSAERIWGTYQFIHNAKRNKLNAANADKLVFIHSNLCLQSRFTESYKSGPNAMWDAHPEDSTI >ORUFI08G10890.1 pep chromosome:OR_W1943:8:10698542:10700021:1 gene:ORUFI08G10890 transcript:ORUFI08G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARVVGPSKRRASELGNSDRRRGSFRAAIRSSLFGFYFSPPSVCLVEVTCLFLPGEVMIFPEIRLFNGILGYVRVCFVRTSIM >ORUFI08G10900.1 pep chromosome:OR_W1943:8:10702592:10703050:-1 gene:ORUFI08G10900 transcript:ORUFI08G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIGPLDVVVTPLPPVPAPSSAATYNQIQRRCGRRQPSHCSLSSTNPPIASLCLAGCTLRQIHHRHGHCYRLLVLFVVVVAISLALMGIRRDAPRVADVMCLGIELDVPHAAERGAVERHHDGQEEKGRSRRRMRGGGKEKAKVWWRQRRR >ORUFI08G10910.1 pep chromosome:OR_W1943:8:10718352:10727238:-1 gene:ORUFI08G10910 transcript:ORUFI08G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIALASAAATWLINKLLDRLSDYAIKKLLGSEGLDAEASSLRDALRRATLVLGAVPAGAAAGVRIGNDQLLPQIDLVQRLATDLARHLDELEYYDVKKKVKKNQKSSNPLSKMNLPLTQAGQSKPKYNRTDIKQIRDTVGYLHSICDDVHKALLLDKLDAIKQAAQDASTDKRETVDNFTENPRNKVFPREEMKDIIELINSAASSDQELLVVPIVGAGGVGKTTLARLVYHDPEVKDKFDIMLWIYVSANFDEVKLTQGILEQIPECEFKSAKNLTVLQRGINKYLTKRFLLVLDDMWEESEGRWDKLLAPLRSAQAKGNVLLVTTRKLSVARITSNTEAHIDLDGMKKDDFWLFFKRCIFGDENYQGQRKLQNIAKKIATRLNGNPLAAKSVGTLLRRNINEDYWTRILDSNEWKLQESIDDIIPALKLSYNQLPYRLQLLFSYCAMFPKGYNFDKGQLIRTWIALGFVMNERKKLEDEGSDCFDDLVDRSFFQKYGVSQYYTVHDLMHDVAQEVSINKCLIIDGSDLRTVPSSICHLSIWTEPVYNEQSIERNDNFEEKLDAVQDNVLGSLESLILAGVYDENYSAKFVKTLERVRYVRMLQLTAMPFNSDILLSSIKKLIHLRYLELRCTSDKPKSLPEAICKLYHLQVLDVQHWSGLNDLPKDMSNLVNLRHLFVPGSGSLHSKISRVGELKFLQELKEFQVQEADGFEISQLGNINEIRGSLSILGLETVKKKGDATRARLKDKKHLRTLSLTWGSASGSTTTVQKEVMEGLKPHENLSHLLVYNYSGATPSWLLGDSFSLGNLESLHLQDCAAVKILPPFEEMPFLKKLSLVCMPCLKSIRIDFNSADEEDELELSEIEISKCLALTSIRLHSCKALTMLSINDCEALGSLEGLSFSEKLKQCVVQGCPKLPSDQRRGGNRDVDDLVSAEKGMF >ORUFI08G10920.1 pep chromosome:OR_W1943:8:10732890:10740155:1 gene:ORUFI08G10920 transcript:ORUFI08G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAAVVPLPITGGVNDDRTAALQQWASTVGFGGEVGRLVEAHRRLDSVLAETQGKEIRNKELQRRLREASHDAARARDLLGELEYYRIREEVERDDHDKLLHDNANGNLLLSMPQRDVEFFNNDAAKDDKDTTESSLSNTDSSASALQVTTYIASSSSPVPCLETLNKCISNEISKYTEKCYRIAKQVSEALELESLDYLYAHKYQRTRTDHRETSPCQSEPKVHGRDQQRDLIISKLTSEECARKKLSILAIIGDGGIGKTTLAKLVFNNSTVSKHFDVLLWVYVSVHFDQNKIMQEMLDSFCGDEHDEIKKSKELQLQDKLDYLLKSKRVLLVMDDMWEDSTKEKWDELLNPLLKNDVMGNSVLVTTRKPSVATMIEAADHINLDGLKKDDFWCLFKECVFGHENYKGEPRLEKIGQQIVDKLKGNPLAAKTVSKVLRRSFDVDYWRRILHTSEWKYKNDENDIMPALMISYKYLPAHLQSCFSYCAVFPKYHRYEKERLINMWIAQDLLCSADIHTRPEDIGNEYFDDLLDWGFFEKQFEHSTLLIMHDLIHDLAQKVSSDESFTIEGNEPRNAPPCVRHVSVITEWEYKTKLNGTVYPNDSFLQEFSNSFRELQQRSLSTLMLFGPHDLDFADTFRQELNEVRSIRVLKLEMVFFDLDSLIGNISAFVNLRYLELGCFYKGPRLELPEAICRLYHLKVLDIKKNWGPSTSLPREMSKLVNLRHFIAEKELHAKIAGIGKMVSLQELKAFDVKKDHEFSISQLRGLNQLRGSISISSLYNAGHEEASQARLCDKDNLTCLHLSWLTLSRNRVARRTLPILEDLKPHSVLRNLQVVGYRHSLPSWLCSTVHLTSLRSLHLDRCIRWQTIPHPQQLPLLQELHLIQLPRVYKIEIGPLKVLEIRWLQNLRQCILLDKEQSYATLQILEVEGCPKLDEFLLQIFMSSGVQSTYQFLGIHRLKIHNDFLRASIPLLLLNSLSDIDLCGEHSKFTRFRLKPFGTSDGLSLQIKGDRYIQKIEERLFTLEKLKDLRELEIRDYQSVIFQRQFWEGFEQLTSLKKFRVIKCPEIFSTNFELFLPPSVEELELSGCNITLIQLSQLLVNLHLLKSFKLTNCQGVTSLPVGLFTDEQNTMSEGSWHIPPRCFTSLESLQISFTTAPSDANSIMHFTSKKGLGRFVSLKKIVIENCPTLLSRALSGGASHISPSSLDKLCMTGIQDSTLQFSDVSSIADLDVSGCPKLACLDLSSCTALEKLCVIDCHLLQSIEGLPSCSALRDLKIRNCALLPSLSASLHTLKTLSIENNTNLASLELKSCTSLQKLCIKDCPALTSWEGLKSLVSLEILKVEASPGFITRWISAAAEVNIEEKNFSLPLEKLNVDNIDVLCVPICSQLTSLKILSIEEDRHDPDGHVEFLTDNHVKGLSFLTCLRFLDLENFEQLRSLPAELGSLASLQRLHVGNCGHITSLPVGGLPASLKDMELYNCSKELNVLCRDMLRLRRNLHLWVDGDEEDFFSQNCSDEEIS >ORUFI08G10930.1 pep chromosome:OR_W1943:8:10744932:10747615:-1 gene:ORUFI08G10930 transcript:ORUFI08G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPAAAKKPPASAAVDGDEEVFLGLSRELKEEGGRLFNRRDYEGAAFKYDKAVQLLPSGGHADAAAHLRTCVAQCYMRMAPAEHHRAIHECNLALEAAPRYSRALLRRAACFQALDRPDLAWEDVRTVLAWEPANRAAREISDKVRAALEEKGVLVLEKEPVPPPPEHKAVSAKGQGKLKKSHKQCDSAIEGQELIHVEDYEQSEKTELKINGQENGENRAGKEQFDCNVPVKQEIRTDQPEANGVRKHQYHMDDKENKGLDKEGKNGKPGKHSAGKKIRRADAKKQKHSAMEPVHHAEENRHERYTETSVHVKEAMKDLKLVFGEDIRCAQMPANCNLSQLRDIVQNKFPSLKALLIKYKDKEGDLVTITSSDELRWAYSLADLEGPIRLYIVAVDPAQELGVDVVRRRSSFASLEKAYYSMSENGSSRHDDDHNCSIDDWMIQFARLFKNHLGFDSDSYLDLHDLGMRLYYEAMEDTVASEEAQEIFQVAELKFQEMAALALFNWGNVHMASARKRPPLSDDASMECILEQVKVAYEWACAEYAKAGAKYGEAVKTKPDFFEGLIALGQQQFEQAKLCWYYALACKIDMGTEVLGLFNHAEDNMEKGMGMWEGMENTRLRGLSKPSKEKAIFEKMGIDGYMKDMSSDEAFEQASSIRSHVNILWGTILYERSVVEFILGLPSWEESLTVAIEKFKTGGASPADINVMVKNHSANETTQEELSFKVEEIVQAWNEMYDAKKWRNGVPSFRLEPIFRRRAPKLHHMLEHIHYA >ORUFI08G10940.1 pep chromosome:OR_W1943:8:10793417:10803622:-1 gene:ORUFI08G10940 transcript:ORUFI08G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAHAVKPRASGHVLHCWRGFASTAPAVYSVPRSPRPAALLPCPCISISLPSSCTFYCLAELSNHIPFQHYRFDMFCIQVLDEMHPYLLDPGLQTNRFEHNREGTYLDSCDAADKFQLASGDLKMTSYSVGLKMWLIGENSKPPSLLPGPLRPLSAAR >ORUFI08G10950.1 pep chromosome:OR_W1943:8:10864391:10864696:1 gene:ORUFI08G10950 transcript:ORUFI08G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLALPAAASSPSLPCYDGSRPEPRRAATARAPMPLAGSGKLVGEREKEPGETAAAAAAESRCGGMRLEIDGMPLIWSQRNTPFARSELEVDGEVVDPG >ORUFI08G10960.1 pep chromosome:OR_W1943:8:10887871:10890600:1 gene:ORUFI08G10960 transcript:ORUFI08G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHITTFGVNSLSDADACGDNKKTCAIIKIYTRLLHTTHYTLLQLSKSHAPAMSTTAKLAGLLRDEQGPVAFNDAVDEDAVPVEPLTEHDDAISTMSAAHCNNMQFRCYRGFWISEMWAPGVVAVHRSFAPRADDVLVASLQKSGTTWLKALTFATMARGAWPPSSHDHPLRRLNPHLCVPSLEVLYTLGRDALLDMLPSPRLLSTHMPLSLLPPSTCKIVYIYRVLFLTYEKVLQDQCDAVKKLAQFLGQPFSGAEEEAGVVTEIADLCSIDNLRNQKANKYGSIGGKISHESFFRKGMAGDWTNHMTLEMAERLDSILREKLDGSGLIV >ORUFI08G10970.1 pep chromosome:OR_W1943:8:10899867:10902095:1 gene:ORUFI08G10970 transcript:ORUFI08G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDEQERDVCGEACNREGFSITMAGDHRVVLEESSYSQKVTFVGTLLHGNQSTGMASTDHSVGRGRAREEAVQGEDVQEEEDVQCIGLVLIIQQMLVSTYTNQATSLVFGGIFKPSRAASYRDSC >ORUFI08G10980.1 pep chromosome:OR_W1943:8:10931542:10932492:1 gene:ORUFI08G10980 transcript:ORUFI08G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFENEEHKNVSHLERALQQPPSIAVEHPTKEADGGDATAAAACGGCGIGRMFRLPELGEDYSIMQALVSVEMVVLFVVSVFVIGGTLRAIDNMAQIGQLLGYPARSVNTFVSLISIWNYAGRVGAGARYRFPRPLVLTAVLHASCVGHHCLPAEPRA >ORUFI08G10990.1 pep chromosome:OR_W1943:8:10935802:10941619:1 gene:ORUFI08G10990 transcript:ORUFI08G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQMDSGNVVAFFRGRSILITGSTGFLGKVLVEKILRVQPDVKKLYLLVRANDVESATRRVQDEVGDKKFTPGLSIEQFCLGNYRAAGVTGKEIFQVLKEKHGDGFESFVEEKVCTLAGDIIYENLGLDSAKLTELSNEIDIIVNGAATTNFYERYDVAFDSNVLGAKNICEFAKKCTKLKMLLHVSTAYVAGEQEGLILEKPFLMGQALREGRHLDITSELNLIKETRREMKASNRCSEKTEKRTMKELGLKRAKHFGWPNTYVFTKAMGEMLLGHLRGDLPVVIIRPSIITSILKEPLPGWMEGIRTIDSVIIGYAKQTLSFFLVDLNLIMDVIPGDMVVNAMMVAMAAHSGEQAQTIYHVTSSLRNPAPYAVLSDAGHRYFFANPPPRAGKNGRLRRMRFFSTVASFRAHMAINYKLPLEILRLVNIALCGMFSRRYDELSRKYKFVMHLVELYAPYTFFDDINTEKLRITMRKQEDKNDGGYCFDFDPKSIDWDEYFYKVHIPGVVKYLCD >ORUFI08G10990.2 pep chromosome:OR_W1943:8:10935802:10941619:1 gene:ORUFI08G10990 transcript:ORUFI08G10990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISQMDSGNVVAFFRGRSILITGSTGFLGKVLVEKILRVQPDVKKLYLLVRANDVESATRRVQDEVTGKEIFQVLKEKHGDGFESFVEEKVCTLAGDIIYENLGLDSAKLTELSNEIDIIVNGAATTNFYERYDVAFDSNVLGAKNICEFAKKCTKLKMLLHVSTAYVAGEQEGLILEKPFLMGQALREGRHLDITSELNLIKETRREMKASNRCSEKTEKRTMKELGLKRAKHFGWPNTYVFTKAMGEMLLGHLRGDLPVVIIRPSIITSILKEPLPGWMEGIRTIDSVIIGYAKQTLSFFLVDLNLIMDVIPGDMVVNAMMVAMAAHSGEQAQTIYHVTSSLRNPAPYAVLSDAGHRYFFANPPPRAGKNGRLRRMRFFSTVASFRAHMAINYKLPLEILRLVNIALCGMFSRRYDELSRKYKFVMHLVELYAPYTFFDDINTEKLRITMRKQEDKNDGGYCFDFDPKSIDWDEYFYKVHIPGVVKYLCD >ORUFI08G11000.1 pep chromosome:OR_W1943:8:10944405:10950153:1 gene:ORUFI08G11000 transcript:ORUFI08G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWHSTTANASLTRRSSREHNQCQPNCHKSISHVVLHQGLERQYISIWDLINIWSKTTNWRQTEDITKFRSKLASILWCSKYNTRKVCMQPEPKNEDYESPSDVQIVETPNDVLKPSEVSHRIEPDTSLCIVAPGTTLTNRKELLGALCKYVMSIDCAESLQKEWIRSMKPYPISLSLRNLQDILDVNKSMDKGCFNMAVRMLTCNSLLLFLDDTIHYMDLQFWILFLHSFLGHFTLFVLDMKARIVFILNPLHIPNTIRGPHPTLHYVHKIANIAVNAKLAIEETNPTWNDDIYLWNRKIPRDVPKTKNRYFLTT >ORUFI08G11000.2 pep chromosome:OR_W1943:8:10946786:10950153:1 gene:ORUFI08G11000 transcript:ORUFI08G11000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWHSTTANASLTRRSSREHNQCQPNCHKSISHVVLHQGLERQYISIWDLINIWSKTTNWRQTEDITKFRSKLASILWCSKYNTRKVCMQPEPKNEDYESPSDVQIVETPNDVLKPSEVSHRIEPDTSLCIVAPGTTLTNRKELLGALCKYVMSIDCAESLQKEWIRSMKPYPISLSLRNLQDILDVNKSMDKGCFNMAVRMLTCNSLLLFLDDTIHYMDLQFWILFLHSFLGHFTLFVLDMKARIVFILNPLHIPNTIRGPHPTLHYVHKIANIAVNAKLAIEETNPTWNDDIYLWNRKIPRDVPKTKNRYFLTT >ORUFI08G11010.1 pep chromosome:OR_W1943:8:10969488:10976063:1 gene:ORUFI08G11010 transcript:ORUFI08G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRRASHAGSWYTNNARKLDEELDGWLRAAGLTKSPDVRAVIAPHAGYSYSGRCAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALTRATIYSTPIGDLPVDHEVIEELNATGKFDFMDLSVDEAEHSMEMHLPYLSKVFQGHNVKVVPILVGALNSQNEAMYGQLLSKYLDDPKNFFSISSDFCHWGTRFSYTYYDKSHGAIHKSIEALDRMGMEIIETGNPDAFKQYLQEYENTICGRHPISVFLSMLKHCLTKIKIGFVRYEQSSQCKSMRDSSVSYASAAAKVDTPAEEEKD >ORUFI08G11010.2 pep chromosome:OR_W1943:8:10969488:10976063:1 gene:ORUFI08G11010 transcript:ORUFI08G11010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRRASHAGSWYTNNARKLDEELDGWLRAAGLTNHAGYSYSGRCAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALTRATIYSTPIGDLPVDHEVIEELNATGKFDFMDLSVDEAEHSMEMHLPYLSKVFQGHNVKVVPILVGALNSQNEAMYGQLLSKYLDDPKNFFSISSDFCHWGTRFSYTYYDKSHGAIHKSIEALDRMGMEIIETGNPDAFKQYLQEYENTICGRHPISVFLSMLKHCLTKIKIGFVRYEQSSQCKSMRDSSVSYASAAAKVDTPAEEEKD >ORUFI08G11020.1 pep chromosome:OR_W1943:8:10994507:10995145:1 gene:ORUFI08G11020 transcript:ORUFI08G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIKLRLPDAGAHRRLSSFLAPRLRRTDAQRNLFFDAAARPLAAATAALRVRLYGLDDRAPSRAVLALKRRPRIDAGVSRVEEVEEPLDPAIALACVDDPASLGGVESPIIRLVSEEYGVGGDAAPFVCLGGFRNTRAVYQLEEGDTLGLVVELDETRFDFGTNYELECETAEPEQAKQVLERLLTVAGVPYEYSRSNKFACFMAGKLLP >ORUFI08G11030.1 pep chromosome:OR_W1943:8:11003220:11004813:-1 gene:ORUFI08G11030 transcript:ORUFI08G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYECTGRKAHGKFAMADGAIDSSEVQLSTNAHPSHTYTVRPSQIEVELRQELANFKHQRQEDCQSIQNALSEFNNQTKEYMINGSASTPPPQINLAALFPSHSSPTTQQNTTNNSSRNVFNQIDGNNSGNCSQQDAGLSNNEQGDMGNNSENVVLQRMDGSTFGYSSQQTAPATNQGNSKRGRDGDYVDSEDDYADDGNYDDADETPEPFVAAVIVDYPCTK >ORUFI08G11040.1 pep chromosome:OR_W1943:8:11011963:11015878:1 gene:ORUFI08G11040 transcript:ORUFI08G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVATPRRSIRDAVLGGVLGAGGRQLYQPLRCAFYDGAAGGGLTAALSEDGAEGGVPLPCGRKTAAAKNVLILMSDTGGGHRASAEALRDAFRLEFGDAYQVFVRDLGKEYGGWPLNDMERSYKFMIRHVRLWKVAFHGTSPRWVHGMYLAALAYFYAKYARTQPSQLDRPIQITFCFRLCSSDRFAKTDMVDFSEVVAGIMRYNPDIIISVHPLMQHIPLWVLKWQSLHPKVPFVTVITDLNTCHPTWFHHGVTRCYCPSAEVAKRALLRGLEPSQIRVYGLPIRPSFCRAVLDKDELRKELDMDPDLPAVLLMGGGEGMGPVEETARALSDELYDRRRRRPVGQIVVICGRNQVLRSTLQSSRWNVPVKIRGFEKQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKDPREAARQVARWFTTHTNELRRYSLNALKLAQPEAVFDIVKDIHKLQQQPATVTRIPYSLTSSFSYSI >ORUFI08G11050.1 pep chromosome:OR_W1943:8:11018695:11023821:1 gene:ORUFI08G11050 transcript:ORUFI08G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSETGAWSTPVVLDDGLDSWEQRWRDAQSRGEFYRVPYVMPRRVALVGDEIYFTLREDNAIIKYNWGMHCLSEIDSLSPDVYGIALMEMENGSLGFACIEDSSLYVWSRKVNSEGAAEWVQCRVIKLDKMIPVANLSDEAFVVGSGEGMGAIFVSTGVGLFTIELKSRRVKKVAEPEVYFSILPYMSFYTPALQEWANYRNKHQHADEAMKAQVIVKAMRRGKVKIKPIANRKARDVCFSKRRQVVIKKANELSILCGVNVAVAVLSPAVEKKKNQRGQDVRLASDVNALGLHELEAFDSNLNVIDDIVDSNDVVKNAKQTAEPQTQMSVASTLQFMLDGQSIAPSL >ORUFI08G11050.2 pep chromosome:OR_W1943:8:11018695:11024106:1 gene:ORUFI08G11050 transcript:ORUFI08G11050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSETGAWSTPVVLDDGLDSWEQRWRDAQSRGEFYRVPYVMPRRVALVGDEIYFTLREDNAIIKYNWGMHCLSEIDSLSPDVYGIALMEMENGSLGFACIEDSSLYVWSRKVNSEGAAEWVQCRVIKLDKMIPVANLSDEAFVVGSGEGMGAIFVSTGVGLFTIELKSRRVKKVAEPEVYFSILPYMSFYTPALQEWANYRNKHQHADEAMKAQRSI >ORUFI08G11050.3 pep chromosome:OR_W1943:8:11018695:11023821:1 gene:ORUFI08G11050 transcript:ORUFI08G11050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSETGAWSTPVVLDDGLDSWEQRWRDAQSRGEFYRVPYVMPRRVALVGDEIYFTLREDNAIIKYNWGMHCLSEIDSLSPDVYGIALMEMENGSLGFACIEDSSLYVWSRKVNSEGAAEWVQCRVIKLDKMIPVANLSDEAFVVGSGEGMGAIFVSTGVGLFTIELKSRRVKKVAEPEVYFSILPYMSFYTPALQEWANYRNKHQHADEAMKAQVIVKAMRRGKVKIKPIANRKARDVCFSKRRQVVIKKANELSILCGVNVAVAVLSPAGKPFFFGCPTVQAVTRRLLGVGPSNPTMGDGGNGDETDIVHELNLKYQKLQQENEVEKKKNQRGQDVRLASDVNALGLHELEAFDSNLNVIDDIVDSNDVVKNAKQTAEPQTQMSVASTLQFMLDGQSIAPSL >ORUFI08G11050.4 pep chromosome:OR_W1943:8:11023177:11023821:1 gene:ORUFI08G11050 transcript:ORUFI08G11050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKVKIKPIANRKARDVCFSKRRQVVIKKANELSILCGVNVAVAVLSPAVEKKKNQRGQDVRLASDVNALGLHELEAFDSNLNVIDDIVDSNDVVKNAKQTAEPQTQMSVASTLQFMLDGQSIAPSL >ORUFI08G11060.1 pep chromosome:OR_W1943:8:11031040:11039044:1 gene:ORUFI08G11060 transcript:ORUFI08G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDITASLAPELLSEILLRLPPDEPGHLFRAALVCKEWLRAICDPGFLRRYRAFHGSPPLLGLLHRRQVLQGDPVRHLARTTAVPLFPDPTFRRALDCHHGRALLHASDDGWYLIVWDPVTGEQHRVPEPGIPWLMYTAAVFCAVSGCPFRVVFVATDDEDELVKASVYSSETGAWSKPAILDYGYQTWQERLQAITRGESYRTPYVQPRRGALVGDEIYFTLRNENAIIKYNWGMNCFSKIDPPIREVYDIALMEMENGSLGYACIQGSSLYMDRIEVDALEDCNVDSESSSDSELDDLILRMHRKEVVHEIKRHLKKSYDFHTSKCTLIIKPKFPTCIYNFYIALMFELSCFLCPNSSLTPSTKYLTVFEDIENLRNYDWSKFIYDWTMTFLKKFFKSNNLGGCLFYWAALYLDHVDFGKKNPGNSTPRIAVWTKNMIQTYSDLDKVDDDNFGLRPLRDFKSVCYYQPHPTAERRISFKEKLDSALGSVLPLSMKEKLCSLMEHHCSEVHAAGSHTCEDVLIDALVLVAEDSVTPSDKVQNDVDDTNTEGCNAVPSRFDDNADVDASIPDDVVVCTPIENDPCVNSFVANGDDVIASGHAAALISSSNRDFDYELLTPKSAFVKKFKYCADHNLAGSASATIAASIHNVAKKFKTRFPELLNQNARDNIIDFSRPSFKLLDSEDDVSSSNDDANNQLNEEDNQAHGDITPPSSLLCKSFRSVPDSIDVIDHNNIRSNENSAGINQISSIFSNRVFQDVTNSPDVVFLGENKFPQTVKESCVKTEEIYNATNNLSRYTHGMSSSGGKLPAHGPRRIIVPSRHASDPFVPAMKRRFLVSDQENRYYIALCRLADSSKWQSYDAVDIDNVRAKFSSFGHSLKKTGVVLPFVMSVFCRFLFQNNHPSKSKKHYFFPSIGAQLILDPDFVDQEKVKKSFLGAASARPLHLCDMLFFPILHGQHWFVLVVDIKDRMLVFLDSLHQPDDEFFEPILPLLLKNLQIVWDKYERTPMNFSTFKIKFPPVPRQEYSFDSGIFSMKFMEIWSPRIILSNQFTGQNINNIRVQYANQMFFHPNNKMLQTEVENVGVNWFDSARFPSNHRAIDA >ORUFI08G11070.1 pep chromosome:OR_W1943:8:11042333:11045468:-1 gene:ORUFI08G11070 transcript:ORUFI08G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKGEAKKKKKICSKSESQLQRKFKEGKNVFGESTQLSNMLGTGAEQVIKEKELIGKNVFGESTQLSDMQDKKAKQVIKEKELIGKNVFGESTQLSNMQDKKAEQVIKEKELIGTKKFGESSQLSNMQDRAAEQIIKEKELIELNDLNNKQIFPKLGNKSCTAKH >ORUFI08G11080.1 pep chromosome:OR_W1943:8:11050238:11052558:-1 gene:ORUFI08G11080 transcript:ORUFI08G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPELIDNVTASLPPDLISEILLRLPPDEPEHLFRAALVCKSWLRAICEHGFLRRYRAFSAFFTGSKSCRETPPPASPAPQRCPSPPIPPFAGPSIAAMAAPSSTLRTMIGISLSGIPSRANGTACQSLASPVFCAASGCDHLDCHGGPFRVVFIATDDDDELVKASVYSSETCAWNKTVILADGYQTWQERLQAITRGESYRTPYVQPRRGALVGDEIYFTLRNENAIIKYNWAANCLSKIDPPIRDVYDISLMEMENGSLGYACIQGSSLYVWSRNASSEGAAEWVQCWVIELEQMVPVANRGDEAFVVGSAEGVGVIFMSTGVGLFTIELKSRRVKKVEEPGVYSSVLPYMSFYTPVEPQRSASHAKLNSWIMFTSITTLQGRGSEENVLDKDGKYGL >ORUFI08G11080.2 pep chromosome:OR_W1943:8:11050238:11052558:-1 gene:ORUFI08G11080 transcript:ORUFI08G11080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPELIDNVTASLPPDLISEILLRLPPDEPEHLFRAALVCKSWLRAICEHGFLRRYRAFSAFFTGSKSCRETPPPASPAPQRCPSPPIPPFAGPSIAAMAAPSSTLRTMIGISLSGIPSRANGTACQSLASPVFCAASGCDHLDCHGGPFRVVFIATDDDDELVKASVYSSETCAWNKTVILADGYQTWQERLQAITRGESYRTPYVQPRRGALVGDEIYFTLRNENAIIKYNWAANCLSKIDPPIRDVYDISLMEMENGSLGYACIQGSSLYVWSRNASSEGAAEWVQCWVIELEQMVPVANRGDEAFVVGSAEGVGVIFMSTGVGLFTIELKSRRVKKVEEPGVYSSRSASHAKLNSWIMFTSITTLQGRGSEENVLDKDGKYGL >ORUFI08G11090.1 pep chromosome:OR_W1943:8:11077992:11088063:-1 gene:ORUFI08G11090 transcript:ORUFI08G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLGAFLGLVLGVAVVMAFARLENTRAEQRRELAATVSSFSKLTVEDLRKLIPLELYPSWLKWLNQELVKIWPFVNEAASELIKTSVEPIFEQYKSFILSSLHFSKLTLGTVAPQFTGVSILDSDSSGITMELELQWDGNPNIVLDIQTTLGISLPVQVKNIGFTGVLRLVFKPLVAELPCFGAVCCSLREKSKVDFTLKVIGGEMTAIPGISDAIEGTIRDTIEDQLTWPNRIVVPIVPGDYSDLELKPVGLLEVKLVEARDLTNKDLVGKSDPFAVLYIRPLQDKMKKSKTINNDLNPIWNEHYEFVVEDTSTQRLTVKIYDDEGLQASELIGCARVDLSDLQPGKVKEVWLDLVKDLEIQRDKKRRGQVHLELLYYPFGKQEGVSNPFADQIQLTSLEKVLKTESNGFDVNQRKNVIMRGVLSVTVISAEDLPPMDVMGKADPFVVLYLKKGETKKKTRVVTETLNPIWNQTFDFVVEDALHDLLMVEVWDHDTFGKDYIGRCILTLTRVILEGEFQDEFVLQGAKSGKLNLHFKWTPQPIYRDRDRDQ >ORUFI08G11100.1 pep chromosome:OR_W1943:8:11096656:11103112:1 gene:ORUFI08G11100 transcript:ORUFI08G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTAPREEDLLMEEDEHRPPLNRALLHRSATNNTSQVAMVGSNPCPIESLDYEIIENDLFDQNWRSRGKADQVRYVVLKWTFCFAIGIITGIAGFVINLAVENVAGLKHTAVSALMESSSYWTAFWLFAGTNLALLLFASSITAFVSPAAGGSGIPEVKAYLNGVDAPNIFSLRTLAVKIIGNIAAVSSSLHVGKAGPMVHTGACIAAIFGQGGSRKYGLTCRWLRYFKNDRDRRDLVTIGAGAGVTAAFRAPVGGVLFALESLSSWWRSALIWRSFFTTAVVAVVLRMFIELCASGKCGLFGKGGLIMYDVSTKFDDLMTYHLKDIPIVVLIGVIGAILGALYNFLMMKVLRVYSVINERGNAHKLLLAAVVSILTSCCVFGLPWLAPCRPCPTAGAPSPPNGTCHSLNRFRRFHCPAGHYNDLASLFLNINDDAIRNLYSTGTNDVYHPGSMLAFFVASYALGVLSYGVVAPSGLFVPIILTGATYGRLVAMLLGGRSGLDHGLVAILGSASFLGGTLRMTVSVCVIILELTNNLLLLPLVMLVLLISKTVADSFNSSIYDLILNLKGLPHLDGHAEPYMRQLTVGDVVAGPLRSFNGVEKVGHIVHTLRTTGHHAFPVVDEPPFSPAPVLYGLVLRAHLLVLLKKREFLTAPVRCPKDYMAGRFEAQDFDKRGSGKQDTIADVELSPEEMEMYVDLHPFTNTSPYTVVETMSLAKALVLFREVGLRHLLVVPKSCDRSPVVGILTRHDFMPEHILGLHPVLVGSRWKRLRWQKGAVAKKFRSLLDWLANDSG >ORUFI08G11110.1 pep chromosome:OR_W1943:8:11104799:11105716:1 gene:ORUFI08G11110 transcript:ORUFI08G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALGGHHRVQAPPPLSAPVGAEFKCSVCGRSFSSYQALGGHKTSHRFKLPTPPASPVLAPASSEVQSPLAFSPPRGGHHRVQAPPPLSALVRPSAGAWRPQDEPPGQAADSARSSGNGHSAARAFDLNLPAGAIRDR >ORUFI08G11120.1 pep chromosome:OR_W1943:8:11115331:11119626:1 gene:ORUFI08G11120 transcript:ORUFI08G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQNFLPCGRFGQVLHAAIKTEYLDGQPPPPGELKLNLDGAFFESNKSGIRGFVVRDHEASGVLAGSGRIDFVHTMASRILLLRLIVLLWWVP >ORUFI08G11130.1 pep chromosome:OR_W1943:8:11119692:11120707:1 gene:ORUFI08G11130 transcript:ORUFI08G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPNVKVSFAGHSCNRCAHDIARLSVSWDLGQMSVWADPLPEYVNVLVARDRIGPMNCFDYHMKVSAYAWDLLI >ORUFI08G11140.1 pep chromosome:OR_W1943:8:11124543:11133173:1 gene:ORUFI08G11140 transcript:ORUFI08G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTPLARLLAAISAAASSPADLRRLSHRVLSPSAPLPPLRCLNTLLMALARHRMFPDMESLASRMPARNLRTYTTLINAYCLAGDIPAAKQHLTSLLHAGLAPDSYAYTSFVLGYCRAGMLTHACRVFVLMPLRGCLRTAFTYTALLHGLLGAGMVREAMAVFVGMRADSCAPDTHVYATMVHGLCEAGRTEEAEVLLEEAMSNGFEPNIVVYNALIDGYCNAGEMEHALKVFEGMDGNRCSPNVRTYTELIHGLCKSGKVERAMVLFSRMVEAGLEPNVVTYTALIQGQCNEGHLQCAFRLLHLMETNGLVPNDWTFSVLIDALCKREKVEEAQLFLGSLVKKGVKVNEVVYTSLIDGLCKTGKIDAADELMQKMISEGFVPDAHSYSSLIDGLCRQKKLSQATLMLEDMMEKGIQASPVTYTIIIDELVREVGSEGPKKIFDKMIATGINPDIVTYTVFVRSYCEEGRMEDAESMIVQMVDRGVFPNLVTYNTLIRGYANLGLVSQAFSTFEVMVGKGWKPNEDSYTVLLRLVVKKSSSDNSVDIWKIADMKDLQVLLEDITERQLPLAADIYSCFIRCLCRVDRLEEAKHFFMGMQNANLTPSEDVYTSIIDCCCRLKILTDALTLLDSMTKSGYLPHLESYRIIISSLCEGGNFRTAKEVFGDLLLKESNYDEIVWKILIYGLLQKGSVAEFSSLLSVMKEHGYQPSNTINAMITGVQLNIVAFLCTVKQQILMDYFHLWHQHRAQSSQAQYRHSSLRTRGHASGQAHGHADGHQARASRTIDEAWNILFDRNMD >ORUFI08G11150.1 pep chromosome:OR_W1943:8:11149933:11150517:-1 gene:ORUFI08G11150 transcript:ORUFI08G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQLTSAPNAGRPCCPISHGCGLTGSRCPLSTTVVEDATMGHSTAKAKRIWSLHHQGCQPRALPPCHRQQLAMVETTDTVLARLMHLALNKKEFTKEGKELASSNRHD >ORUFI08G11160.1 pep chromosome:OR_W1943:8:11153280:11166546:1 gene:ORUFI08G11160 transcript:ORUFI08G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDAGGAAGEISAAAGGGGDGAAATGEKRDKSSLMLRERGRFSPARYFVEEVISGFDETDLYKTWVRTAAMRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRLAKQRLEREKARRYAAADMSEDLSEGEKGENINESSSTHDESTRGRMPRIGSTDAIEAWASQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPRNSENFGHDMGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVGSGQLVWPVVIHGHYADAGDSAALLSGALNVPMIFTGHSLGRDKLEQLLKQGRQTRDEINTIYKIMRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRARIKRGVSCYGRYMPRMIAVPPGMEFSHIVPHDVDQDGEEANEDGSGSTDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNSAVLTSILKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAARTKGVFINCAFIEPFGLTLIEAAAYGLPMVATRNGGPVDIHRVLDNGILVDPHNQNEIAEALYKLVSDKQLWAQCRQNGLKNIHQFSWPEHCKNYLSRVGTLKPRHPRWQKSDDATEVSEADSPGDSLRDVHDISLNLKLSLDSEKSSTKENSVRRNLEDAVQKLSRGVSANRKTESVENMEATTGNKWPSLRRRKHIVVIAIDSVQDANLVEIIKNIFVASSNERLSGSVGFVLSTSRAISEVHSLLTSGGIEATDFDAFICNSGSDLCYPSSNSEDMLSPAELPFMIDLDYHTQIEYRWGGEGLRKTLICWAAEKSEGGQVVLVEDEECSSTYCISFRVKNAEAVPPVKELRKTMRIQALRCHVLYSHDGSKLNVIPVLASRSQALRWGVELSNMTVVVGESGDTDYEGLLGGVHKTIILKGSFNAVPNQVHAARSYSLQDVISFDKPGITSIEGYGPDNLKSALQQFGILKDNFQVAFILRDRD >ORUFI08G11160.2 pep chromosome:OR_W1943:8:11153280:11162840:1 gene:ORUFI08G11160 transcript:ORUFI08G11160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDAGGAAGEISAAAGGGGDGAAATGEKRDKSSLMLRERGRFSPARYFVEEVISGFDETDLYKTWVRTAAMRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRLAKQRLEREKARRYAAADMSEDLSEGEKGENINESSSTHDESTRGRMPRIGSTDAIEAWASQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPRNSENFGHDMGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVGSGQLVWPVVIHGHYADAGDSAALLSGALNVPMIFTGHSLGRDKLEQLLKQGRQTRDEINTIYKIMRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRARIKRGVSCYGRYMPRMIAVPPGMEFSHIVPHDVDQDGEEANEDGSGSTDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNSAVLTSILKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAARTKGVFINCAFIEPFGLTLIEAAAYGLPMVATRNGGPVDIHRVLDNGILVDPHNQNEIAEALYKLVSDKQLWAQCRQNGLKNIHQFSWPEHCKNYLSRVGTLKPRHPRWQKSDDATEVSEADSPGDSLRDVHDISLNLKLSLDSEKSSTKENSVRRNLEDAVQKLSRGVSANRKTESVENMEATTGNKWPSLRRRKHIVVIAIDSVQDANLVEIIKNIFVASSNERLSGSVGFVLSTSRAISEVHSLLTSGGIEATDFDAFICNSGSDLCYPSSNSEDMLSPAELPFMIDLDYHTQIEYRWGGEGLRKTLICWAAEKSEGGQVVLVEDEECSSTYCISFRVKNAEAVPPVKELRKTMRIQALRCHVLYSHDGSKLNVIPVLASRSQALRWGVELSNMTVVVGESGDTDYEGLLGGVHKTIILKGSFNAVPNQVHAARSYSLQDVISFDKPGITSIEGYGPDNLKSALQQFGILKDNV >ORUFI08G11180.1 pep chromosome:OR_W1943:8:11268949:11269179:1 gene:ORUFI08G11180 transcript:ORUFI08G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRGSRGCGSSMNIKSKSKVGIDQGKGENSPNSEMALTLTTLKVKQEDNILSGVLVPGCLGVG >ORUFI08G11190.1 pep chromosome:OR_W1943:8:11319869:11322066:-1 gene:ORUFI08G11190 transcript:ORUFI08G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDLITPSPLGGWNFDFGKSFASQVLDLFGCPVHFSPYFSSSPFILVVDFVRFNFRLTVKSVAIALQACLGGSPHGFSVLSLKANCFSFQVCNKNVGLYVNSFRDFTCKDFHVRFFLWGNGGPNWRREFDLWEKEENDLWAHLHDADFRPELFLLEGTNIEVPWEGRTPRADLTLQGVLPNSHQEFAAVVVDPQPPEHLFGQLIQEVANIIEHQHHIHVVRIQRYPLALCLVQLPSVLDRDILVASGPLANWRNSPYTREGWLMILGIPLNLKTGAIIERITNLCGEFIDWHYRDRVLGRVLVKARYKSANEVPNCIVLGDTMAYGGNGQTWTFHVYVLNGEPTDMLPGDEDLLPIWQMMPPPQQHHHHNKHQHHNEEDFNANHNQNEDIGDNQMILEQNQIEKPHDSVSVQDFTLDLTVSSSNEREFQNIGWQIIPFGLPIPALPIRSLLDLFCQAVANGVFAPLLLALQPTLFRPIVMSPRWSLTTTS >ORUFI08G11200.1 pep chromosome:OR_W1943:8:11340864:11341055:-1 gene:ORUFI08G11200 transcript:ORUFI08G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGLAVFPVALMRPRLSGTVDSVHDVATTCSGEVMQVLRPDMTTHRGMVACHGLTNNTSMT >ORUFI08G11210.1 pep chromosome:OR_W1943:8:11347884:11348117:-1 gene:ORUFI08G11210 transcript:ORUFI08G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSGFVYDRTWPWAIVLVVRVNLLLFGGREPAESSDSLVEMWTEDGDGVQVYPDGATSRRIAPPLSPRKPHQDVV >ORUFI08G11220.1 pep chromosome:OR_W1943:8:11358621:11358911:-1 gene:ORUFI08G11220 transcript:ORUFI08G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLSSSRTSHEPSDVADMKLRSGFVHDRTWPWAIVPVVRVNLLLFEGGEPAESSDLSVEMWTEDGDDVQVYPDGATSRRMAPPLSPRKPHQDVV >ORUFI08G11230.1 pep chromosome:OR_W1943:8:11359518:11369134:1 gene:ORUFI08G11230 transcript:ORUFI08G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEVARRALEEHCVGKRIVRCSAADDTKVIDGVAPPRLEAALVGRTISAARRKGKNLWLALDSPPFPSFQFGMAGAIYIKGVELSKYKRSAVSPTEEWPLKYSKLLVVMDDGLEFSFTDKRRFAKIRFLDNPEAVPPISELGPDALFEPLHLDDFVESLSRKKAPIKALLLDQSFISGIGNWIADEVLYQARIHPMQTASMISKEKCKALHQCIIEVIEKSLEVGCNSSQYPENWIFHSREKKPGKAFVEGKKIDFITVGGRTSAYVPELQKLDGIDATASRAKISKEQSKSNKAAREVDDDEEEAKPAKRGRKQLVKATHEIQEDEEDTKESKRGRKQPAKTSKGSWKKAHHSSEGSGDDDSDDEAVDKVVAEQGKRRDPKQPAEAKSSSDKGGSAAPAKRPQRKKRQL >ORUFI08G11230.2 pep chromosome:OR_W1943:8:11368783:11370835:1 gene:ORUFI08G11230 transcript:ORUFI08G11230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRTAVRQEFGNLLPTADDKLAIAATADPRAKPPAATSTHHLEPWGQPPPPTPFDGGIEELWVKLMGHLRDAANRLRVPQPSPPPPAAADDDKAREPTCRDGLRARVQGPASASTSRPATAASGRCSEAVEPATVDTAAPSRLHVVGGGGARAVLVPTLESAPFLVALTPEEIEEDIYAPPSPSLPSAPPSSSPSRRRPLPPVSATVLPPVACLPPARVGQKKMVSAGVLTNKE >ORUFI08G11240.1 pep chromosome:OR_W1943:8:11370957:11371280:1 gene:ORUFI08G11240 transcript:ORUFI08G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNDEVSLLEDGEVKPLVRVESMNGLETKLWRWWRSPALPRWPSSGVDSGAHGTRGAAVVASAWRGSNTGEAGGAGDPRGEGEAAAALRLGHETRDNTSVASAPYR >ORUFI08G11250.1 pep chromosome:OR_W1943:8:11374521:11380665:-1 gene:ORUFI08G11250 transcript:ORUFI08G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPMDKATASPSSRELAQRLLKKNAEHESRLRRSAQSKVPSDPNIWFQMRENYEKIILADHDFSEKREIEYLLWQLHYKRIEEFRAHIVSAGKNNANPDRIKRIRSSVRSFLSEATGFYHDLMLKIRSTYGLPLGYFSEGPDSSVVPDKDGKKVVGVKKGLLSCYRCLIYLGDLTRYKGLYGDVDYASREYAAASIYYKEAASLCPSNGNPHHQLAILASYAGDEVTAIYRYFRSLAVDNPFSAARENLILAFDKNHDIYAQLSGNSKVPNAKSLPSRSVGRARGRGETRFQPKGSSTEENSKEREHSIQEILKAFYIRFVRLNGILFTRTSLETFGELSATVISDLQILLSSGPYEELNFGVEAAENALSVVKLIAILIFTVHNANKDADNQSYAEIVQRRVLLQNAFAAAFEFVGYLLKRCAELHDVASSIYLPAILVFIEWLACHPDFVASSEMDEKQADARSFFWSQCVPFMNKLILTGLAHVDGDNDETCFFDMGTYEEGETGNRLALWEDVELRGFSPLVPAQGILDFSTKQGFGSDGGTKEKKARVERILAAGKALLNFVQIDQLRIYFDASSKKFLMASEPPPPASSVPLVVSSNAQTTNHIQQEPEVSSKIGSVAEDLGVLQSKAQLFLDGDDDEEIVFKPPVSEKLPRVTSEQTSNELLQPVVVSDVNWSNDGAPPPMTFQSNGPVLTPNVYVQSLPISSLGWAANAGQHVILDVGARSTSDIFESLKAPDHNWVSTGAPLVGSLDTVPMASFSNIISDQRTPPSSLGCFSNPDNTAILPGQDSFLLSALNNVNIGASGFLDQRVNGGLSGLQSVGNVPQVSAQATMNSTNPMIGQYKHTEVTIPSAFYSVLPSVVSSDGVSKKNPVSRPGRHVGPPPGFNNAPPKRQDDSILAGNGQHVQTNDGIWLDGYRSSLDYVNNQRFAHSNVTTASSTFTTPFPFPGKQAFSMHPRGSDEKQWQDFHLFGPTKQLPELNFQQGNQQNGPLAEQLPAQSAWSGNYLV >ORUFI08G11260.1 pep chromosome:OR_W1943:8:11468327:11475353:1 gene:ORUFI08G11260 transcript:ORUFI08G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPHESRCLLACHDDFCPRIPAASSLLLNPCASSVLLATGQRRRTIGVDVVPICRRRRSIPEVVPFRHRRRFLHPFLAPSRFLRRRDLAPPIAPSSLFRLPSTAPIATSIASPVAASREAKLIRHLLHLLPPPRTLLLFPLNPKFGMWERKRNCNVEVVEQAKGSAVAPEMSTGNMLADLFSINGYHEGLPMVLAHIATYAALALPPTVDARHHSCRGQEDLDHLVIFGAMNPATSANGAAAIIDPPSSTVQWASSHAYASCPSLLAGGEAIHMLNRSQDRSEQQVRHHGPGSIKLNRANGCCGGCDDGSSTMALGVHWEIWKTFIVC >ORUFI08G11260.2 pep chromosome:OR_W1943:8:11468327:11475575:1 gene:ORUFI08G11260 transcript:ORUFI08G11260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPHESRCLLACHDDFCPRIPAASSLLLNPCASSVLLATGQRRRTIGVDVVPICRRRRSIPEVVPFRHRRRFLHPFLAPSRFLRRRDLAPPIAPSSLFRLPSTAPIATSIASPVAASREAKLIRHLLHLLPPPRTLLLFPLNPKPSWPREYKVE >ORUFI08G11260.3 pep chromosome:OR_W1943:8:11468919:11475353:1 gene:ORUFI08G11260 transcript:ORUFI08G11260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDLNVLFPPCLIIYVLDQEKLFHELGSAVAPEMSTGNMLADLFSINGYHEGLPMVLAHIATYAALALPPTVDARHHSCRGQEDLDHLVIFGAMNPATSANGAAAIIDPPSSTVQWASSHAYASCPSLLAGGEAIHMLNRSQDRSEQQVRHHGPGSIKLNRANGCCGGCDDGSSTMALGVHWEIWKTFIVC >ORUFI08G11260.4 pep chromosome:OR_W1943:8:11468327:11475575:1 gene:ORUFI08G11260 transcript:ORUFI08G11260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPHESRCLLACHDDFCPRIPAASSLLLNPCASSVLLATGQRRRTIGVDVVPICRRRRSIPEVVPFRHRRRFLHPFLAPSRFLRRRDLAPPIAPSSLFRLPSTAPIATSIASPVAASREAKLIRHLLHLLPPPRTLLLFPLNPKPSWPREYKVE >ORUFI08G11270.1 pep chromosome:OR_W1943:8:11476656:11479376:-1 gene:ORUFI08G11270 transcript:ORUFI08G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSPFPSILFLSVFFLTPLGFAGTNGHGADPRARRRQCGYRCARRRWMGSRRRRSYLHVLVICAHLRRPRRGAQRNSWMDMDMDAHATELQLIGHGLTATTSSSSCFSSGGSGDNGMVIVTTTPKSAAASGSQKRARTPSSPSQGAELLEYSKKQRANNMETQSSTAKSQHERKEMRERISERKETLLIVAGFTLCGMLSVVGFSSVLGPTVVGSVGVDMAGLKNGMVDRSSMVVSFQGVDGGMAGKEALEGLKSLQAGQRPQDIREYCKEVLCSKVVE >ORUFI08G11280.1 pep chromosome:OR_W1943:8:11479783:11480351:1 gene:ORUFI08G11280 transcript:ORUFI08G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPRALLAVDTYAWVLEEAKHHDDDDFVVGWGLRGLAGCYCCCLLKANQRYCCFRISFVLAILGVTATSASARTPWETVPAGTSSALLGLDAAPVPPQLFVDGRYSGSRRSGHAARAGATAAVESTQREGNEGKEIGVFWCGTVRAISSLVPPLYLKETGVL >ORUFI08G11290.1 pep chromosome:OR_W1943:8:11480886:11488402:1 gene:ORUFI08G11290 transcript:ORUFI08G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIQHCRFSTRWLRRAVSQTQEMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGLLKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPKKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTELICGFCKISKMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFNFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSNLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRRYMPSFHIYTILAREASKKR >ORUFI08G11290.2 pep chromosome:OR_W1943:8:11480582:11488402:1 gene:ORUFI08G11290 transcript:ORUFI08G11290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLQSLPPSRLRPLWPPPRAARLRSLVLLVSAFSSSSRGLGSERPLPVDPGGVSGRRNQDPGDDALIRRAHRALARPDWHRSAALASLTPAQAASVAESHPIAARGLDLLLFLSRERSHSYRPGTFAALARRLVDARRYAAAGRARIHLIKSCHSKEAMARTMSFLDMLSQSGLRMGLFAYSALLIHLSRLGMTAAVMDRYHRMLSEGVQPNLLIYNAVINALCKDGNVADAETIMKKVFESEMSPDTFTYTSMILGHCRKHDLDSALQVFNQMAKEGCEPNTVTYSTLINGLCDSGRVNEAFDLIREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGLLKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPKKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTELICGFCKISKMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFNFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSNLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRRYMPSFHIYTILAREASKKR >ORUFI08G11290.3 pep chromosome:OR_W1943:8:11480886:11488402:1 gene:ORUFI08G11290 transcript:ORUFI08G11290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIQHCRFSTRWLRRAVSQTQEMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGLLKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPKKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTELICGFCKISKMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFNFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSNLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRRYMPSFHIYTILAREASKKR >ORUFI08G11290.4 pep chromosome:OR_W1943:8:11480582:11488402:1 gene:ORUFI08G11290 transcript:ORUFI08G11290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLQSLPPSRLRPLWPPPRAARLRSLVLLVSAFSSSSRGLGSERPLPVDPGGVSGRRNQDPGDDALIRRAHRALARPDWHRSAALASLTPAQAASVAESHPIAARGLDLLLFLSRERSHSYRPGTFAALARRLVDARRYAAAGRARIHLIKSCHSKEAMARTMSFLDMLSQSGLRMGLFAYSALLIHLSRLGMTAAVMDRYHRMLSEGVQPNLLIYNAVINALCKDGNVADAETIMKKVFESEMSPDTFTYTSMILGHCRKHDLDSALQVFNQMAKEGCEPNTVTYSTLINGLCDSGRVNEAFDLIREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGLLKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPKKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTELICGFCKISKMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFNFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSNLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRRYMPSFHIYTILAREASKKR >ORUFI08G11290.5 pep chromosome:OR_W1943:8:11480886:11488402:1 gene:ORUFI08G11290 transcript:ORUFI08G11290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIQHCRFSTRWLRRAVSQTQEMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGLLKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPKKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTELICGFCKISKMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFNFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSNLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRRYMPSFHIYTILAREASKKR >ORUFI08G11290.6 pep chromosome:OR_W1943:8:11480961:11488748:1 gene:ORUFI08G11290 transcript:ORUFI08G11290.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLQSLPPSRLRPLWPPPRAARLRSLVLLVSAFSSSSRGLGSERPLPVDPGGVSGRRNQDPGDDALIRRAHRALARPDWHRSAALASLTPAQAASVAESHPIAARGLDLLLFLSRERSHSYRPGTFAALARRLVDARRYAAAGRARIHLIKSCHSKEAMARTMSFLDMLSQSGLRMGLFAYSALLIHLSRLGMTAAVMDRYHRMLSEGVQPNLLIYNAVINALCKDGNVADAETIMKKVFESEMSPDTFTYTSMILGHCRKHDLDSALQVFNQMAKEGCEPNTVTYSTLINGLCDSGRVNEAFDLIREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGLLKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPKKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTELICGFCKISKMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFNFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSNLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRRYMPSFHIYTILAREASKKRMYHKGEEGYMLYIVYRTVLLFKSFQVTWSHGGIVALSDCLC >ORUFI08G11290.7 pep chromosome:OR_W1943:8:11480961:11488748:1 gene:ORUFI08G11290 transcript:ORUFI08G11290.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLQSLPPSRLRPLWPPPRAARLRSLVLLVSAFSSSSRGLGSERPLPVDPGGVSGRRNQDPGDDALIRRAHRALARPDWHRSAALASLTPAQAASVAESHPIAARGLDLLLFLSRERSHSYRPGTFAALARRLVDARRYAAAGRARIHLIKSCHSKEAMARTMSFLDMLSQSGLRMGLFAYSALLIHLSRLGMTAAVMDRYHRMLSEGVQPNLLIYNAVINALCKDGNVADAETIMKKVFESEMSPDTFTYTSMILGHCRKHDLDSALQVFNQMAKEGCEPNTVTYSTLINGLCDSGRVNEAFDLIREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGLLKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPKKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTELICGFCKISKMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFNFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSNLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRRYMPSFHIYTILAREASKKRMYHKGEEGYMLYIVYRTVLLFKSFQVTWSHGGIVALSDCLC >ORUFI08G11300.1 pep chromosome:OR_W1943:8:11492380:11509715:-1 gene:ORUFI08G11300 transcript:ORUFI08G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPDEAQAGVTTGTADHSSCSGGKEFRFILSQDISLPLSFRVNRFVPDRTLLIERSAPVLFVECTLYIDGVQFGLSTNTRLKSLGSPYCWNELVTLSAKYRDLTPFSHLAFTVWDMSSGEDNIYIVGGTTISLFNSKNQLKTGRLRLRVWPNKMADGSLSTSTPGKVPKTKREEIERLERVANKYIRGQIPHIGWLDNLIANAADKLEKESERTENLHSSLIVELCSFEHRVVFQEYGAKLHTSVPSSLVDISKNKLVIACDPEIGRINPSEHKHSVLAWSLARGVNDREMKPSSVDQKLIQNILKYPPTRTLNVDEKQLLWKFRFYLTSEKKALVKFLLSVEWSDIQEAKQAVALIPRWESIDVADALGLLSPVFQNEEVRAYAVGVFERASDEELQCYLLQLVQGLRFERSDRSRLAHFLLCQITNLLAFFAVHKEENGFQVWQSLIEQAELTAQLRSIMKELSNVKHDAQTKGRILEQLFSGIFSELKNFSEPIPSPLTPTVLLDGIVPEESLVFKSANYPLCIAFSTVNGGTSKMIFKKGDNLRKDQLVIQIISLMDRLLKSDNLDLHLTPYQVLATGLEEGLVEFIPSISVAKIIQKTGSIESYLQKCNPDEDGPFGITAQCLETFIKSCAGYSVITYILGIGDRHLDNLLLQDDGRLFHVDFSYMLGEQPHRFAPPSPPMKLCKEMVEAMGGTESEYYARFKSYCCEAYNILRKSSNLILNLFYLMTGSNIESITDKGTSKGGREGSSSLTPGEGGTLGCGGKPKLDLVGAWSGAGSGTCMWREAEGPARQCMEKEALGETEFNLTGREAELQQNFRLDLDDEDAIHFLQGLINESISAFFPQNISLVSLGYESSLDTHDPSRWHRWPARRCVDLLAAANFHPDHVCKRESME >ORUFI08G11300.2 pep chromosome:OR_W1943:8:11492380:11509715:-1 gene:ORUFI08G11300 transcript:ORUFI08G11300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPDEAQAGVTTGTADHSSCSGGKEFRFILSQDISLPLSFRVNRFVPDRTLLIERSAPVLFVECTLYIDGVQFGLSTNTRLKSLGSPYCWNELVTLSAKYRDLTPFSHLAFTVWDMSSGEDNIYIVGGTTISLFNSKNQLKTGRLRLRVWPNKMADGSLSTSTPGKVPKTKREEIERLERVANKYIRGQIPHIGWLDNLIANAADKLEKESERTENLHSSLIVELCSFEHRVVFQEYGAKLHTSVPSSLVDISKNKLVIACDPEIGRINPSEHKHSVLAWSLARGVNDREMKPSSVDQKLIQNILKYPPTRTLNVDEKQLLWKFRFYLTSEKKALVKFLLSVEWSDIQEAKQAVALIPRWESIDVADALGLLSPVFQNEEVRAYAVGVFERASDEELQCYLLQLVQGLRFERSDRSRLAHFLLCQITNLLAFFAVHKEENGFQVWQSLIEQAELTAQLRSIMKELSNVKHDAQTKGRILEQLFSGIFSELKNFSEPIPSPLTPTVLLDGIVPEESLVFKSANYPLCIAFSTVNGGTSKMIFKKGDNLRKDQLVIQIISLMDRLLKSDNLDLHLTPYQVLATGLEEGLVEFIPSISVAKIIQKTGSIESYLQKCNPDEDGPFGITAQCLETFIKSCAGYSVITYILGIGDRHLDNLLLQDDGRLFHVDFSYMLGEQPHRFAPPSPPMKLCKEMVEAMGGTESEYYARFKSYCCEAYNILRKSSNLILNLFYLMTGSNIESITDKGTSKLQQNFRLDLDDEDAIHFLQGLINESISAFFPQNISLVSLGYESSLDTHDPSRWHRWPARRCVDLLAAANFHPDHVCKRESME >ORUFI08G11310.1 pep chromosome:OR_W1943:8:11513704:11513904:1 gene:ORUFI08G11310 transcript:ORUFI08G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVVALFGNTTLLSTLFLPFSTPLTSPRCQIQLRGCWIWYEEDTCRPVSRRMGRARAAAWAPKP >ORUFI08G11320.1 pep chromosome:OR_W1943:8:11521644:11522265:-1 gene:ORUFI08G11320 transcript:ORUFI08G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWDRDTVMSARRAAYNNPERAMEGFLSKLRPLPQFKHFLSLLQFKHFPRVTN >ORUFI08G11330.1 pep chromosome:OR_W1943:8:11533491:11533801:-1 gene:ORUFI08G11330 transcript:ORUFI08G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKKLSKEACIHAAQNDRLPLRVVLQVLFFEQLRAAVGASPAVVSGGIARHLVEEEDEDNDDRRWGLEQVPPAVDANLLSPRALAMAVELAGRKKREMV >ORUFI08G11340.1 pep chromosome:OR_W1943:8:11545283:11551414:-1 gene:ORUFI08G11340 transcript:ORUFI08G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPNVWFADNGDRLGTYSGHNGAVWSCDTAKLWDVQTGRELFTFRFDAPARSVEFAIGDGLAVITTDNFMENVPTAQVKRIAEDPDDQSEESLLVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDTETGQCLKESDKEQGHQKTITSLSKSADWSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPLLDHILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKI >ORUFI08G11340.2 pep chromosome:OR_W1943:8:11546507:11551414:-1 gene:ORUFI08G11340 transcript:ORUFI08G11340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPNVWFADNGDRLGTYSGHNGAVWSCDTAKLWDVQTGRELFTFRFDAPARSVEFAIGDGLAVITTDNFMENVPTAQVKRIAEDPDDQSEESLLVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDTETGQCLKESDKEQGHQKTITSLSKSADWSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPLLDHILQEEIGGVKGHFGPINALAFNPDGRR >ORUFI08G11340.3 pep chromosome:OR_W1943:8:11546507:11551414:-1 gene:ORUFI08G11340 transcript:ORUFI08G11340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPNVWFADNGDRLGTYSGHNGAVWSCDVSRDSTRLITGSADQTAKLWDTAKLWDVQTGRELFTFRFDAPARSVEFAIGDGLAVITTDNFMENVPTAQVKRIAEDPDDQSEESLLVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDTETGQCLKESDKEQGHQKTITSLSKSADWSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPLLDHILQEEIGGVKGHFGPINALAFNPDGRR >ORUFI08G11350.1 pep chromosome:OR_W1943:8:11552129:11559685:1 gene:ORUFI08G11350 transcript:ORUFI08G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMRTVLIEHALANSEAERNVNTSVFAKVAMFEEKLRAALPREVEAARAAVENGTAAKANRITECKSYSLYRFVCKELRTEYLTGKKIDAKMRTVLIEHALANSEAERNVNISVFAKVAMRSSARRCPGKWRLPRAAVENGTATKANRITECRSYSLYRFVRKELGIEYLTGEKIDAVTWRGCEQEQ >ORUFI08G11360.1 pep chromosome:OR_W1943:8:11608434:11612906:-1 gene:ORUFI08G11360 transcript:ORUFI08G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAALARAATAAGPLFLRARPLAPCTAAPAPALSRRRSLLSGAHTADEPPPPTQPPPSKLPDAPGAVPPLPWRAAEAEIVRDIDPVVQLIKDILHSDRYGDGECLSPKDENIIVEKLLAYHPRVDDKIGCGLDAIMVDKHPQFRKSRCLFVVRTDGVWIDFSYQKCLRAYIREKYPSHAERFIREHFKRT >ORUFI08G11370.1 pep chromosome:OR_W1943:8:11617053:11617473:-1 gene:ORUFI08G11370 transcript:ORUFI08G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDDIEAVLLVNPKEPQFKGEPYSFPKSPDFHKAGQRGSVTGRLFVRDRYMIRQDMAAGLAYVGLASLGQPGSWATESKNYQFWTRATPCGSL >ORUFI08G11380.1 pep chromosome:OR_W1943:8:11628351:11633915:-1 gene:ORUFI08G11380 transcript:ORUFI08G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPSSSSAAVPAAAPAVGDGGGGGGGGPAVAVSSAVAMASRRFQHLLDRSTPHVGRRWLAFAGVAAAYALRVDPEVAEVLGEGGAALPTRASDEFRPFVRRLPEFKFWYSIVKAFCIAFVLTFFITMKRQILHMIKYRYVPFSFGKQRYNGKRVASADDLTLPKD >ORUFI08G11380.2 pep chromosome:OR_W1943:8:11628351:11633915:-1 gene:ORUFI08G11380 transcript:ORUFI08G11380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPSSSSAAVPAAAPAVGDGGGGGGGGPAVAVSSAVAMASRRFQHLLDRSTPHVGRRWLAFAGVAAAYALRVWFAGGYYIVTYALGIYILNLLIAFLSPQVDPEVAEVLGEGGAALPTRASDEFRPFVRRLPEFKFWYSIVKAFCIAFVLTFFITMKRQILHMIKYRYVPFSFGKQRYNGKRVASADDLTLPKD >ORUFI08G11390.1 pep chromosome:OR_W1943:8:11671671:11674702:1 gene:ORUFI08G11390 transcript:ORUFI08G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRASHLLRATATATATAVSRRVPHPHPAPATAISTVLPAPKLASSLSYATQAAAAAAVPAARAPRTVGSLLRLNDLRDNPGARKQKTRKGRGIGSGKGKTAGRGHKGQKARGTARFGFEGGQTPLRRRLPRRGFKNRFSLTFQPCGLGKIAKLINAGKIDSSELITMKTLKVSRTTARAKAAVEAAGGTVRLVYYNNLGFRALLKPEWFAKKGRLLPKAARPPPKQRDKVDSIGRLPAPTKPLPFTPEESEFAAKREAARVIA >ORUFI08G11400.1 pep chromosome:OR_W1943:8:11694659:11699884:-1 gene:ORUFI08G11400 transcript:ORUFI08G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCLNCGNENLSFFGTILSVPSGGARNSVKCAKYGFEIYYSVSDAFYLIF >ORUFI08G11410.1 pep chromosome:OR_W1943:8:11695876:11699015:1 gene:ORUFI08G11410 transcript:ORUFI08G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWATSIRPCLRVARPYRVVGTMGAEFPTSPFRSPPSSRSVSWRPISMAAGSVSHRRALSTFSSHLHLPPPSPTTRVASPSSPGHRRRACARVQGDGRGASPVCVHAGGSRIGSQPGTKGN >ORUFI08G11410.2 pep chromosome:OR_W1943:8:11695876:11699171:1 gene:ORUFI08G11410 transcript:ORUFI08G11410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWATSIRPCLRVARPYRVVGTMGAEFPTSPFRSPPSSRSVSWRPISMAAGSVSHRRALSTFSSHLHLPPPSPTTRVASPSSPGHRRRACARVQGDGRGASPVCVHAGGSRIGSQPGTKGN >ORUFI08G11420.1 pep chromosome:OR_W1943:8:11711695:11712726:-1 gene:ORUFI08G11420 transcript:ORUFI08G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPRPVLPAAAAASTSSSSRCRYRITTSSSARGWSNCQGWRLRHRVWAAHAADQQGGVQQQQQQESEDDVVDSNVLPYCSINRKEKKTIGEMAQEFLSRRCRPAFYYDKKAIISNEVFDNLKEELMWEGSSVVMLNDSEFVIAFAGLAPSYYVHPMQVTLEAQFLRQHISIIK >ORUFI08G11430.1 pep chromosome:OR_W1943:8:11741676:11745518:-1 gene:ORUFI08G11430 transcript:ORUFI08G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSGANRTVKWKMDNGRTMFITSSEFTPEDVARIPKRQRGRNTFRGARQLTRHLIKYGPPRPEDDESGERFSADDDDDDDDDDDDDDDATLNTKTKKEQGTTVQPIRQPMAVDNNSHNPSALPPTPAPVETNHGRSNVTKDVHKSLLVPVSAVNKPAPQDQASRFCLNCRKPGHCFSDCPFPRATKAVRHCSQVTSTILNTKRQLKVQPPPQRIVVKGTVKGRIVHRQSFQAYNTNASRIPPATAVKRIAIPSSKINAQSNVSDATFVSRN >ORUFI08G11440.1 pep chromosome:OR_W1943:8:11848343:11856976:1 gene:ORUFI08G11440 transcript:ORUFI08G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATLLLLCADFSAVAGGRRRPSLPSEPRLAAPAAGSRAPPSRASVRPSAAAAPLAARGLPHHASVAGQNSGIYTVGDFMTKREELHVVKSTTSVDEALEMLVEHRITGFPVIDDEWNLVGVVSDYDLLALDSISGNGLAEVDIFPEVDSTWKTFNEIQKLLSKTNGKVIGDVMTSAPLVVRETTNLEDAARLLLETKYRRLPVVDSSGKLVGIITRGNVVRAALKIKKKFEGEL >ORUFI08G11450.1 pep chromosome:OR_W1943:8:11877590:11878658:1 gene:ORUFI08G11450 transcript:ORUFI08G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAAKRVRWSSSVWLDPDDNNAVTLRFDNGTEAWRYWCASSYGGQWAPKLSWRELLDGVTASRHHRARTAFVPCYTARTAAGGGRCGNAGVGQLKQRVLELDAGGVLSVGEIRVGVDEDSEPVQAWKSRMGQSSKRRSSSADDVASAAAGSSNENMAPASAPCLASQTPLRPSVAPRPASPRR >ORUFI08G11460.1 pep chromosome:OR_W1943:8:11880348:11881427:-1 gene:ORUFI08G11460 transcript:ORUFI08G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPAVAALVLGSAAHPPPLLSSTREGDARPSTNAACLIRLWGFAGTATCPHIPFPGGLLRRTPPRVVLAVP >ORUFI08G11470.1 pep chromosome:OR_W1943:8:11882058:11888007:-1 gene:ORUFI08G11470 transcript:ORUFI08G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRASSSFFEDLTHPTGGSPPAAKRTRCGGAFFPPPPPPTWPRGVTKNDLVARLSTQFPAMSLEDFGFGVTMTLNDLTQMIEKALDKSGNNVDSAIRSLLNLHLESVQNNSGVAFEPIQETTEVQVSAEDGNEIGAPSESAPCPENFPSNGSEWVELLVNEMTTASNMDDAKSRATRVLEAFEKAVVSHVNAQGPHDFQKENAVLKGQMESLTRENTILKRAFAIQHERQKDYDAKNQELQDEKQRIAEFQEQVRNLELNNYRLSMLLRQAQQGSSIPGRFNPDVF >ORUFI08G11470.2 pep chromosome:OR_W1943:8:11882058:11888007:-1 gene:ORUFI08G11470 transcript:ORUFI08G11470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRASSSFFEDLTHPTGGSPPAAKRTRCGGAFFPPPPPPTWPRGVTKNDLVARLSTQFPAMSLEMIEKALDKSGNNVDSAIRSLLNLHLESVQNNSGVAFEPIQETTEVQVSAEDGNEIGAPSESAPCPENFPSNGSEWVELLVNEMTTASNMDDAKSRATRVLEAFEKAVVSHVNAQGPHDFQKENAVLKGQMESLTRENTILKRAFAIQHERQKDYDAKNQELQDEKQRIAEFQEQVRNLELNNYRLSMLLRQAQQGSSIPGRFNPDVF >ORUFI08G11480.1 pep chromosome:OR_W1943:8:11888794:11889214:-1 gene:ORUFI08G11480 transcript:ORUFI08G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPHNEVVASATQKPKRVKKAAKKKGPPTSRSTDNSMTSRSITGSNNPFALQIEHPPVVHDDIASYEVSPQTVDTPRKKQAVKKCTPRRAPIEVANPSSPASNTRSKKKLQLE >ORUFI08G11490.1 pep chromosome:OR_W1943:8:11944116:11951697:1 gene:ORUFI08G11490 transcript:ORUFI08G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVQAVPAAEGGGAPPQANGVVAAGSAAAAAATFQATSLYVGDLDVSVQDAQLFDVFSQVGSVVSVRVCRDVNTRLSLGYAYVNFSSPADAARALEMLNFTPINGKPIRIMYSNRDPSSRKSGAANIFIKNLDKSIDNKALYDTFSVFGNILSCKVATEMSGESKGYGFVQFELEEAAQNAISKLNGMLLNDKKVYVGPFVRKQERENVSGNPKFNNVYVKNLSESTTEDNLKEIFGKFGPITSVVVMREGDGKSRCFGFVNFENPDDAARAVEDLNGKKFDDKEWYVCRAQKKSEREMELKEKFEKNIKEAADKNQGTNLYLKNLDDSIDDDEKLKEIFADFGTITSCKVMRDLNGVSKGSGFVAFKSAEDASRALVAMNGKMIGSKPLYVALAQRKEERRARLQAQFSQMRPMVMPPSVAPRMPMYPPGVPGVGQQLFYGQPPPAFVNPQPGFGFQQHLIPGMRPSVGPIPNFVMPMVQQGQQPQRPAGRRAGTGGIQQPMPMGHQQMLPRGSRGGYRYASGRGMPDNAFRGVGGLVPSPYEMGRMPLSDAGAPPQVPIGALASALANSPPDQQRLMLGESLYPLVDQLEHDQAAKVTGMLLEMDQTEVLHLIESPDALKAKVAEAMEVLRNAQQQQANTPTDQLAALTLSDGVVS >ORUFI08G11500.1 pep chromosome:OR_W1943:8:11979674:11979913:-1 gene:ORUFI08G11500 transcript:ORUFI08G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAKPKPKVKVLLLASHGLMRITIGASLFPRPPKHIAQFALELIVILAALPPLTVVGVTPSPY >ORUFI08G11510.1 pep chromosome:OR_W1943:8:12063001:12063418:-1 gene:ORUFI08G11510 transcript:ORUFI08G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEARSAAGVVASPKLPVFPTFQAEILGGAAHSSSSSIFLPDNHPKPSSRRGERSPSFLSPDLDPLALFLPVRSSRSRVVIVFVVLPGISNAVAASWTSPTPFSCT >ORUFI08G11520.1 pep chromosome:OR_W1943:8:12178627:12181660:1 gene:ORUFI08G11520 transcript:ORUFI08G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATPAISGEGSGLGELQGSESAAVAVALAEVAGSGDGQTRWRWGGLTGKVPAADWMGKERGNVVELTSALILIATMPSAQASKIGRLSQANDAQGFSCQVRWEQDQGGDQTRSKFTQASLRPGAGGVVHAQLVNVARDESPVCQALEAAGGAGWDGMDGCPTDCECRSFTGNVTTSYLPFSVVLPASASTARERGEKGSTKLGLLGGMSVVLSRR >ORUFI08G11530.1 pep chromosome:OR_W1943:8:12183969:12209571:-1 gene:ORUFI08G11530 transcript:ORUFI08G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTGGRRRIFDGLPIPADKSYLKEGLSRIDEGWAAARFDSLPHVVHILTSKDREGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESAESITGLKGEMAEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQVEDVAKVPARIENLMAEKQLYAAVQLHVQSMLMLEREGLQAVGALQDVRSDLAKLRGVLFYKILEELHSHLYNNGEYSSVTLSMVDNEELPTSTATGRLVNSMQPLSRRTRSIKGDNHFGASADGIPKTSSVGGSSFDGPDDDSSIDMHESDGGRSRRDSKSISREVPIFLSCATPDEFLESVTKADASLSVKYLRTLVHQRVRPTIHDVITSKIRAYSEETSKSNVNKAANENSDVSHSNGRAARYQLLKQKTKNGASLMASQLVVSPISPAMAPTGDAQCAASQLLSAIFECLVDILENHITVGELLEQKSSTEVDNANTPHMANGDASWNPDSESSQATGGFTSECQQLLCEILRATPEAATADAAVQTARLANKDPGWIRRPFFCFSHYGCSNHCAKRRNDGLLAFVNNFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVHATSVYSPLVENGRPVLQGLLAVDIIAKEVLGWVQLMPNYATELVEYVRTFLERTHERCRASYMEAVLEKQSYILLSRNDVESLMRLDPANLSLQNSFGQLDHSIPDAEAVEVEIELSDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGESFINSSTMLENKNHIHQGRHTRSTSAIPKSLASLANEYRRLAIDCVRVLRLEMQLETIYHMQEMTKREYVEDQDAEDPDDFIISLTTQIARRDEEMAPYIAESKRNYVFGGISSVAANASIKALAQMKSINLLGVQQICRNSIALEQALAAIPSIDSEAVQQRIDRVRTFYELLNLPFESLLGFIAEHEYLFSAKEYLSVLKVNVPGREMPMDAERRISQILGH >ORUFI08G11530.2 pep chromosome:OR_W1943:8:12183969:12209571:-1 gene:ORUFI08G11530 transcript:ORUFI08G11530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTGGRRRIFDGLPIPADKSYLKEGLSRIDEGWAAARFDSLPHVVHILTSKDREGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESAESITGLKGEMAEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQVEDVAKVPARIENLMAEKQLYAAVQLHVQSMLMLEREGLQAVGALQDVRSDLAKLRGVLFYKILEELHSHLYNNGEYSSVTLSMVDNEELPTSTATGRLVNSMQPLSRRTRSIKGDNHFGASADGIPKTSSVGGSSFDGPDDDSSIDMHESDGGRSRRDSKSISREVPIFLSCATPDEFLESVTKADASLSVKYLRTLVHQRVRPTIHDVITSKIRAYSEETSKSNVNKAANENSDVSHSNGRAARYQLLKQKTKNGASLMASQLVVSPISPAMAPTGDAQCAASQLLSAIFECLVDILENHITVGELLEQKSSTEVDNANTPHMANGDASWNPDSESSQATGGFTSECQQLLCEILRATPEAATADAAVQTARLANKDPVKEKRQFMNKIGLMLPQKYWQLGNDGLLAFVNNFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVHATSVYSPLVENGRPVLQGLLAVDIIAKEVLGWVQLMPNYATELVEYVRTFLERTHERCRASYMEAVLEKQSYILLSRNDVESLMRLDPANLSLQNSFGQLDHSIPDAEAVEVEIELSDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGESFINSSTMLENKNHIHQGRHTRSTSAIPKSLASLANEYRRLAIDCVRVLRLEMQLETIYHMQEMTKREYVEDQDAEDPDDFIISLTTQIARRDEEMAPYIAESKRNYVFGGISSVAANASIKALAQMKSINLLGVQQICRNSIALEQALAAIPSIDSEAVQQRIDRVRTFYELLNLPFESLLGFIAEHEYLFSAKEYLSVLKVNVPGREMPMDAERRISQILGH >ORUFI08G11540.1 pep chromosome:OR_W1943:8:12310542:12316222:-1 gene:ORUFI08G11540 transcript:ORUFI08G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLAPLFLLLLLLLLPLHAAATPSAHPAYPNEPPSCAAAVPVPERREAHGGGRILDITHYYREDMPSWESDGGVGQFLWLPASMRNGSRANNSEMRLPTHTGTHVDAPGHVFQHYFDAGFDVDSLDLEVLNGLALLVDVPRDDNITAKMMESLHIPKGIQRVLFRTLNTDRQLMWKKEFDTSYVGFMEDGAQWLVDNTDIKLVGIDYLSVAAFDDLIPSHLVLLKNRDIILVEGLKLENIMPGIYSLHCLPLRLRGAEGSPIRCILIK >ORUFI08G11550.1 pep chromosome:OR_W1943:8:12316184:12322089:1 gene:ORUFI08G11550 transcript:ORUFI08G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAPSGSSADASASSSSSAVEDLAPGMAAMSLQDRFELLRGIGEECIQEDELMNLLQKKPVPICYDGFEPSGRMHIAQGIVKTINVNKMVRAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWRAAGMNLDGVEFLWSSEEINNRANEYWPLVMDIACKNNVKRIMRCCQIMGRNDSDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCTDIKRKNKPIILSHHMLPGFKEGQEKMSKSDPSSAIFMEDDEVNLKIKQAFCPPNVVDGNPCLEYIKYIVFPWFEMFEVVRKEANGGNKTFTNMDELIDDYKTGALHPADVKPALAKAINQILQPIRDHFNNNSEAKILLNTVKKYRVTN >ORUFI08G11560.1 pep chromosome:OR_W1943:8:12327041:12331598:1 gene:ORUFI08G11560 transcript:ORUFI08G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKMKEHDGASPAKIFIGGLPKDTTMGTFKEYFGKYGEIVDAVIMKDRFTQKPRGFGFITFADPAVVDRVIEDNHVINGKEVEIKRTIPKGAAPLKDFKTKKIFVGGLPSALKEDEFKEFFSKFGKVVEHEIIRDHSTNRSRGFGFVVFDAEKTVDELLAKKGNMIDLNGSQVEIKKAEPKKPSNPPHRSFDSEPRGRPHADGYDGLGNSYNYGGSFGPYRSPGSFGTRPGGYSSSYGPGDYGSGYGAYGGALGGYRGESSLYSSRFGSTYGGSFGGGYAGGSYAGGLGGAYGRDAGGFGGSSYGPSYDSSSGPGAGVGFGTGGLYGARGGYGSSGAGASGRYHPYAR >ORUFI08G11570.1 pep chromosome:OR_W1943:8:12334140:12338502:-1 gene:ORUFI08G11570 transcript:ORUFI08G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIPPESGDENVSASADEEGMEEEVNDHPIEEVRNTVPVTDDPSEPCLTFRVWVLGLSSCVFLAFVNEFFMYRTTQLSIGTVVVQIITLPIGRLMASTLPARRLRVGGWSFSLNPGPFSLKEHCLIIIFAGAGASGVYAMNIIAIVKVFYKRQISPYAAMLLAQTTQLLGYGWAGLFRKYLVDSAYMWWPSNLVQVTLFRAMHEEEKRNKGQLTRLQFFIMVMTCSFAYYIVPSYLFPAISTISVLCWLYRDSVTAQQIGSGASGLGVGSFGLDWNTVVGFLGNPLASPAFAIFNVMAGFALSTYVAVPILYWTDTYNAKRFPLVSSHVFNAAGGRYDTARILDPATFTLNLREYDAYGRINLSILFAINYGIGFAGLMDIWGLWRKATAEQANGGGKERQDVHTRIMKRNYKAVPQWWFHLMLAIVMALSLYTCEGFGRQLQLPYWGLLLACAIAFTFTLPIGVISATTNMQPGLNIITELIIGYLYPGKPLANVVFKTYGYISMTQALTFVSDFKLGHYMKIPPRSMFMVQLAGTVVASTVHFATAWWLLTTVRNICDVDSLPLGSPWTCPGEDVFYNASIIWGVVGPLRMFGRLGNYWQMNYFFLVGVLAPVPVWLLSRRYPRSALLRDINLPLVLAGASGLLPARSVNFVMWGLVGFVFNHVVYRRCRAWWMRHNYVLAAGLDAGVAFMGVLTFVSLGYFDIYGVQWWGGAADDHCPLASCPTAPGVFARGCPPVPS >ORUFI08G11570.2 pep chromosome:OR_W1943:8:12334140:12338502:-1 gene:ORUFI08G11570 transcript:ORUFI08G11570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIPPESGDENVSASADEEGMEEEVNDHPIEEVRNTVPVTDDPSEPCLTFRVWVLGLSSCVFLAFVNEFFMYRTTQLSIGTVVVQIITLPIGRLMASTLPARRLRVGGWSFSLNPGPFSLKEHCLIIIFAGAGASGVYAMNIIAIVKVFYKRQISPYAAMLLAQTTQLLGYGWAGLFRKYLVDSAYMWWPSNLVQVTLFRAMHEEEKRNKGQLTRLQFFIMVMTCSFAYYIVPSYLFPAISTISVLCWLYRDSVTAQQIGSGASGLGVGSFGLDWNTVVGFLGNPLASPAFAIFNVMAGFALSTYVAVPILYWTDTYNAKRFPLVSSHVFNAAGGRYDTARILDPATFTLNLREYDAYGRINLSILFAINYGIGFAGLMSTLSHVALYHGKQLAKLLTSICSSRDIWGLWRKATAEQANGGGKERQDVHTRIMKRNYKAVPQWWFHLMLAIVMALSLYTCEGFGRQLQLPYWGLLLACAIAFTFTLPIGVISATTNMQPGLNIITELIIGYLYPGKPLANVVFKTYGYISMTQALTFVSDFKLGHYMKIPPRSMFMVQLAGTVVASTVHFATAWWLLTTVRNICDVDSLPLGSPWTCPGEDVFYNASIIWGVVGPLRMFGRLGNYWQMNYFFLVGVLAPVPVWLLSRRYPRSALLRDINLPLVLAGASGLLPARSVNFVMWGLVGFVFNHVVYRRCRAWWMRHNYVLAAGLDAGVAFMGVLTFVSLGYFDIYGVQWWGGAADDHCPLASCPTAPGVFARGCPPVPS >ORUFI08G11580.1 pep chromosome:OR_W1943:8:12340291:12347202:1 gene:ORUFI08G11580 transcript:ORUFI08G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLANMKTLRSYMSDLEEEATKRSAEEQRQRTAIDAHGADLAQVRAQTKQASEESEQLSKARAELCVEISEKQGRIATLEIECATLKQTLELLHQEIASTSSKLIEKRLFYTKTIESLTVKLQEQQEWLGAFKLKVEESQSKQNLQGQSHGILNSCGSLDKGNDIGSKQGELRIQLESTKHKIDEIKEKQSALLTEISESKQVIEQEKNAISGFLAPLQQMDMKSLEEEHKALQADKAGEIEYFQSLEERINEMKGVSDAVKCRCGLEYKVELGGEAMDLS >ORUFI08G11590.1 pep chromosome:OR_W1943:8:12350326:12354509:1 gene:ORUFI08G11590 transcript:ORUFI08G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLASPSVYRASFPCASSASRSPSRARLAVTPAGAGARARARSLLRCGAKDSILEELAQDDMVNAVELAQWENGKSINDIAASQGIRIRRHCRPNVPLKEIEEELGAPRNILEKIIWDKEVEVAEGHAKKPLKEVIEAAGQAPPARDFYGALASAFKRNGMPALIAEVKKASPSRGVLRENFNPVEIAQAYEKNGAACLSILTDEKYFQVALELDVLMDLELEHGSFENLAKVRKSGVKCPLLCKEFVIDKWQIYYARSQGADAILLIAAVLPDLDIKYFLRICKELGMTALIEVHDEREMERVLNISGVQLIGINNRSLETFVVDTSNTKMLLDMHGDTIREKGILVVGESGLFNPDDVAYVQNAGVSAVLVGESLVKQDDPGRAIAGLFGKELLH >ORUFI08G11600.1 pep chromosome:OR_W1943:8:12362514:12367915:1 gene:ORUFI08G11600 transcript:ORUFI08G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDSSMKQKLVVLARKVKKIDDEIEKHMELFTQLRENPTDINGIVARRRKDFNGGFFQHLNFLVNAYNGLDERDAIARLGLGACLQSMHMTAHWSSWTLILLNQTWVELNTAFDDILNSSSLDDACDKIKSLAKTKELDSSLILLINRAWAAAKDSTTMKNEVKDIMYHIYTTTKESLKSISPPEMKLLKYLLNIEDPEERFGALATAFSPGDEHEAKDEDALYT >ORUFI08G11600.2 pep chromosome:OR_W1943:8:12362514:12367915:1 gene:ORUFI08G11600 transcript:ORUFI08G11600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENDSSMKQKLVVLARKVKKIDDEIEKHMELFTQLRENPTDINGIVARRRKDFNGGFFQHLNFLVNAYNGLDERDAIARLGLGACLQSMHMTAHWSSWTLILLNQNYTSSVNYGVSRVIHASSSSLLATAVITPDHTLCGFMFPLHLKFSTSEWVKDIMYHIYTTTKESLKSISPPEMKLLKYLLNIEDPEERFGALATAFSPGDEHEAKDEDALYT >ORUFI08G11610.1 pep chromosome:OR_W1943:8:12368994:12369458:1 gene:ORUFI08G11610 transcript:ORUFI08G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLRLLLLAGAATAAAVAADNATATGGSGDGATGNNNNNNNPTICSGEGCQPPPGQPLPIYGYPSPPPPSQPAGPSSHTPPCPPAAVVCCGGGGGGGQYTPQQPYYYAPPAGYVPYYNNSAASPPVLLAHAAVGYYYYVMAAYLLLWLVV >ORUFI08G11620.1 pep chromosome:OR_W1943:8:12378408:12380893:-1 gene:ORUFI08G11620 transcript:ORUFI08G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLRRLAVVVAVVALTAATAAEGYNITKILGDHPEYSQFNKLLTETRLAGDINRRRTITVLVVANGDMGALSGGHYTLPTLRHILEMHILVDYYGAKKLHQLARGDTASSSMFQESGSAPGTTGYVNITQHRGGRVSFTAEDAADSATPSSFVKSVKEIPYDLAVLQISKPLSSPEAEAPVAPPAPVNLTELLSKKYCKNFAGLLASNADVYSNINATKDNGLTLFCPVDAAVDAFLPKYKNLTAKGKAAILLYHAVPDYYSLQLLKSNSGKVSTLATASVAKKDYSYDVSNDRDSVLLDTKVNSASVTATVKDADPLAVYAISKFLQPKELFKVTEDLAPAPAPEGPKKKTKKKKPSTTSAAAAPSDDSSAADSPDGTPADDVADKAAAAPSVLARWVTAAATVAAALALAA >ORUFI08G11630.1 pep chromosome:OR_W1943:8:12393379:12393840:-1 gene:ORUFI08G11630 transcript:ORUFI08G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFFVESSLRQAAATSSVVFAVGKLRLHGWRRWAASSEPRPSTRGRAPRGCAHRRRPRQVAGSPSMPPPPALPRLRVVCTPPPPRGWASPPVDLVADPRTASARQGPPSICELQPAGLVPAASLAPPASLWLPPTQDQRPCRYLYMATDVAV >ORUFI08G11640.1 pep chromosome:OR_W1943:8:12433082:12436211:1 gene:ORUFI08G11640 transcript:ORUFI08G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVFNPYGAVAVQMLVVDAWRVEAIVWFRTTCDAEWAQAELHGRNIYDGGCVLDVQHVPTLLEDRADIAPTKCSMQVPVCATTKSDTQSTPTTLEHVFPATMSPSAASTKSAVTTTSASLTEAMEAEASMDKVVENAGKAIQDLCTRIDRILEAFRDTKVDLSENKDSTRDVAVLSANTSPTTIALEVSAEAGPTNHVDSAKLGMGTTIECSMKGKNQLVDDDGKDMANDERTELIEVDTKFTSVNLCFRDPWLALNAIPSRILIGCLSHDLGVNSLSLVPSTLEVPYHCFVLGSVCRVSSPPVPLWRVAVPLYSDQVYSGSRPSPWPDPWLHSGSGSVVVFQPLQPWPPPLQAKSKGSIVERQLELWHDPQIKQDNKGVVVNLLQPRLSPDKWNESWFSCDNAWELAQSHCKFLLTEHMALIAQYEKNRFEQDLSLCMVSKRASWNLWNLLTEGSISLMEAKAQLFRRMHWVGSKTMDQFVWNLCMPNMEKSPWPPPPHKIRTDLLWLNSHEVSSLQFNAEFWRLLARINLFDCHSGQEDMQLFQAGAKQYSPLVVRMALADHLQAPWDPGGSNLVTLLHVRKDRQQPPPRPLQIVFPIGLSGVKVWLLFALTLVQFLGSVTTYNAKFWRFSPDSVSIQGSKESNFRVAYLMCRSSDRQLASSNIALNIQTSSSCHVLHKCRQVLNSCQAPSSFQLKLTASCLLGKHSLRRKGIGSVPTDKRTHLQVLLHQLMYKKSYQMYETRAQVLKLTRPWNLGILLLNNSLMAIYSRSCCIDLGTSRFLRREECHVSGGLHGLLEKWAWPSSHTEVYHWVLSSTGRLDGVLAGTLRGYLGGEKAATIGLGPSLLSTMLAHRRELGRLRWRKMMRELG >ORUFI08G11650.1 pep chromosome:OR_W1943:8:12442380:12446105:-1 gene:ORUFI08G11650 transcript:ORUFI08G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWMVQKNVPPDNVVTSTLIYWLGKNGMVCKERNLVDELERGFKPRCSSVSDDENSLGLESELEPAVTFKDNSGSPRKDCSSKVESCPLLGTFPERSGLYDKLNLLSLVSWLRFDGSAPEKLLLDRSRLSSVTI >ORUFI08G11660.1 pep chromosome:OR_W1943:8:12451893:12453757:-1 gene:ORUFI08G11660 transcript:ORUFI08G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRAAAAADLLRRHRRAPLPLLLSALSPPPPTTPESCPDGPSPVAPYFAPPPPPLCRRRRSWPPPPPPPRVSSSSYVGRGILLPATFSIYSPLSTSSSASPDGSPDEDSSPPHPSPAAAPPSSWVDRWLPQAARPYAMLARLDKPIGTWLLAWPCFWSISMAAMPGELPDMRMLALFGCGAVLLRGAGCTVNDLLDRDIDNKVERTKSRPFASGILTPTQGVGFLGLQLLLGLGILLQLNNYSRILGASSLFLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWAAIKESLDPAIILPLYTAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDLTKHWISGFGVACIGSLALSGYSADLGW >ORUFI08G11670.1 pep chromosome:OR_W1943:8:12483409:12495054:-1 gene:ORUFI08G11670 transcript:ORUFI08G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPADQPPPSDMEVDAAAEEKPLVRFSINVLELMREAQMQHGLRHGDYTRYRRYCTARLRRLYKSLKFLHGRGKYTKRNITESTVTDVRFLHIVFYMAERAWSHAMEKKTAGPNAQQRIYMLGRFRKAVKWATLFSHLCSLKGDSRTSLEAEAYASYMKGTLLFEQEKNIEAAMTNFKNTRAVYEELGKYGSIENQLLCRQRIEEVEPMIGFCSRKLGGSALQAHELLDLEKEGPAYDLFKAKIEAVLSETRSQQAASMTEFSWLGRRFPITNAKTRVSILKAQQLEKDLNGANTESVPADKKLGIFDKIFSAYHDARSCIRNDLASAGNAENIRDELNGLDKAVSAVLGFRTIERNQLLVSIAKSKFTKHRDEKNEKITKPEELVRLYDLLIQNTTDLTDLISSGRDKNKEENTFIQEYELKSLAFRAERCFYLAKSYSSAGKRAESYALFCHARSITDSALQQLTNSPDKALVQDLKALSDSCRSNSFIEHATGIMEEENVPERLSKGVSTLSLGERKAFLLDMLESYESALGESNTKTPCRIARFPPPFQSVPCNPIVLDMAYNAIEFPNIENRMKKEKKGLLSRFWG >ORUFI08G11690.1 pep chromosome:OR_W1943:8:12525436:12526089:-1 gene:ORUFI08G11690 transcript:ORUFI08G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMAPRLVHHPCCMMLSKNPRTPPPPPAMHHHHAHKPLITALTSTSSFLLRSVDVSKDDKPLETATTTTPPTPAPAAAAPETEQAEAVASPELELELEEGPKVDPRRLEEKFAVLNTGVYECRSCGYRYDQAAGDPSYPVPPGLPFEQLPDDWRCPTCGAAQSFFESKSVEIAGFAQNQQFGLGGNSLTGDQKALLIYGSLLVGFLFFLSGYFLQ >ORUFI08G11700.1 pep chromosome:OR_W1943:8:12529566:12529880:-1 gene:ORUFI08G11700 transcript:ORUFI08G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEIDRAINRIPLLRFLPPQWQLRLRLSSTSGFPQSPFDPLTLASPRLGESDSEHSQPDRSEFHGLNHSSTSGFPQNPFDSLNLASANRIPSTHNRIGASSMA >ORUFI08G11710.1 pep chromosome:OR_W1943:8:12532017:12534399:1 gene:ORUFI08G11710 transcript:ORUFI08G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGANASLLLELESMVARRLDQATLGVVMIPCTALLDVPLVMCLVRGFLKDATRMARLVDAYLVESALEARLRPAEFEESSPAPSDGLKCYDLVEGKGPTAVKGSIVQVEKFVSYSVM >ORUFI08G11720.1 pep chromosome:OR_W1943:8:12535546:12546151:-1 gene:ORUFI08G11720 transcript:ORUFI08G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALGPPPPHALGATRQAPRCPHAAAADASAPPTHGYRRGAAALGSALSARRGRHTLCSVQLMDALRGGNLQVEPNILHSPKPLMSTRRDDSAITCKGFCTISWNLKSDVLDGYIIFVTGDPVTLGCWESDMAVQLSPSVESNNLWTAEIKVPYGVHFKYNYFVREENDASSDIIWRPGIPTPSWGSWLMEANFLEDQFAKSGEHQNIVKAHSVIDTVDRASSVGEHIILRLGNGTPLHVKNISENPSASVHDDFTVTDKANSIKSSISEHERNQPVEEPWILGSVMAAKKSVAAGKHEKNRWKFVNKKQNLSEVSENIPEQDQPVEEPWLFQSKVVAKKPVVQTKGKIEAKDIIRKLRKMDKPPAPLEEDKATSGEPSSRVIVINSSVCTMQRIAVLEDGKLVELLLEPIKNNVQCDSIYLGIVTKLVPHMGGAFVDIGLSRPSLMSIKQNRDPFVYPQIVKNAKRDSANFSDYNDDSLPTYEDEDDDMTDGELADEENDDESSAFPAEVVSENEEHMAFLPNSKINMIHSAEFESISSYDEEKDDEIDDHMEDEYNEDLLPGDQSEVSNDLKTLSSIQHALRESSDDTNGSRWSQVRKGTKIMVQVVKEGLGSKGPTLSPFPCLRSRFWILVSRGNKVGVSKKITGIERTRLKGITKLLRPPGFTLTARTVAAGHSWEELQKDLDRLLSTWKGIIEHAQSAALAAEEGVEGAVPVMLHRSKGQALSVVQDDFNEKVKRLVVDSPRTYHEVTNYLQEVAPELCNRVDLYEKRTPIFDEYKIEKEIDNILCKRVPLHNGGSLVIEQTEALVSIDVNGGHSMFGQGTSQEKAILEVNLEAAKQIARELRLRDIGGIIVVDFIDMTDDTNKKLVFEEMKKAVEKDRSTVGVSELSKLGLMEITRKRVRPSVTFMISEPCPCCHGIGRVEALDTSFSKIEREICRRLAASGHKSDPEKPKSWPRFVLRVDHEMCTYLTSGKKTKLGLLSSSLKVWILLKIARGFARGAFELLPYSDEKETDERKEETSESPPKEAGSPKLSVFPIKKWMSRAKRAK >ORUFI08G11720.2 pep chromosome:OR_W1943:8:12535546:12546151:-1 gene:ORUFI08G11720 transcript:ORUFI08G11720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALGPPPPHALGATRQAPRCPHAAAADASAPPTHGYRRGAAALGSALSARRGRHTLCSVQLMDALRGGNLQVEPNILHSPKPLMSTRRDDSAITCKGFCTISWNLKSDVLDGYIIFVTGDPVTLGCWESDMAVQLSPSVESNNLWTAEIKVPYGVHFKYNYFVREENDASSDIIWRPGPEYSLSIPPVGRKKHVIVVKDLWMKTSVAGIPTPSWGSWLMEANFLEDQFAKSGEHQNIVKAHSVIDTVDRASSVGEHIILRLGNGTPLHVKNISENPSASVHDDFTVTDKANSIKSSISEHERNQPVEEPWILGSVMAAKKSVAAGKHEKNRWKFVNKKQNLSEVSENIPEQDQPVEEPWLFQSKVVAKKPVVQTKGKIEAKDIIRKLRKMDKPPAPLEEDKATSGEPSSRVIVINSSVCTMQRIAVLEDGKLVELLLEPIKNNVQCDSIYLGIVTKLVPHMGGAFVDIGLSRPSLMSIKQNRDPFVYPQIVKNAKRDSANFSDYNDDSLPTYEDEDDDMTDGELADEENDDESSAFPAEVVSENEEHMAFLPNSKINMIHSAEFESISSYDEEKDDEIDDHMEDEYNEDLLPGDQSEVSNDLKTLSSIQHALRESSDDTNGSRWSQVRKGTKIMVQVVKEGLGSKGPTLSPFPCLRSRFWILVSRGNKVGVSKKITGIERTRLKGITKLLRPPGFTLTARTVAAGHSWEELQKDLDRLLSTWKGIIEHAQSAALAAEEGVEGAVPVMLHRSKGQALSVVQDDFNEKVKRLVVDSPRTYHEVTNYLQEVAPELCNRVDLYEKRTPIFDEYKIEKEIDNILCKRVPLHNGGSLVIEQTEALVSIDVNGGHSMFGQGTSQEKAILEVNLEAAKQIARELRLRDIGGIIVVDFIDMTDDTNKKLVFEEMKKAVEKDRSTVGVSELSKLGLMEITRKRVRPSVTFMISEPCPCCHGIGRVEALDTSFSKIEREICRRLAASGHKSDPEKPKSWPRFVLRVDHEMCTYLTSGKKTKLGLLSSSLKVWILLKIARGFARGAFELLPYSDEKETDERKEETSESPPKEAGSPKLSVFPIKKWMSRAKRAK >ORUFI08G11730.1 pep chromosome:OR_W1943:8:12554054:12562440:-1 gene:ORUFI08G11730 transcript:ORUFI08G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEIEGAADDGVPVPAPPNGRRYRPVGSSDRAVIQMTSMEPGSSSSTAVAAVSGITPQPPRNLTVDPSMQEDHTVSQGDSKLELFGFDSLVNILGLKSMTGEQIQAPSSPRDGEDVAITIGRPKETGPKFGTMMGVFVPCLQNILGIIYYIRFTWIVGMAGVWQSLVLVSFCGACTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLDAVPSAGFFKESVTVVNNTLVNGTATASTATISTPSLHDLQVYGVIVTILLCFIVFGGVKIINKVAPAFLIPVLFSLLCIYLGVFIAPRHNAPKGITGLSITTFKDNWGSEYQRTNNAGVPDPNGSIYWDFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLSATLTTTAMYLFSVLLFGALATREELLTDRLLTATVAWPAPAVIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVSEGAEPHSATLFTAFICICCVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALLCVVIMFLISWSFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACRQLDTYIEYKRCEGVAEIIVAPSMSEGFRSIVQTMGLGNLKPNIIVMRYPEIWRRENLIQIPSTFVSIINDCIIANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKETFESCKIQVFCIAEEDTDAEELKADVKKFLYDLRMHAEVIVVTMKSWEPHMESSSSGAPQDDSQEAYTSAQRRISTYLSEMKETAQREGHPLMEDGKQVVVNEQKIEKFLYTMFKLNSTILRYSRMAAVVLVSLPPPPLNHPAYFYMEYMDLLVENVPRMLIVRGYRRDVVTFFT >ORUFI08G11740.1 pep chromosome:OR_W1943:8:12562442:12562952:-1 gene:ORUFI08G11740 transcript:ORUFI08G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTLEAQLTSIYYHLTLINQSTSTSSRSRRRPLRHTGHQARAPPPPLARALYRPSRRHGRGAPEPAAVVAPPGASNRPPAGASALRRQHAVRRHRFPCRLPTTDHGFVLLPGKLRQRRRKLLE >ORUFI08G11750.1 pep chromosome:OR_W1943:8:12575305:12576033:1 gene:ORUFI08G11750 transcript:ORUFI08G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDGGSGPSKPPPPPRYVMLTEEYGSSSLATVPPGASRNMPRHRITDGGSLRGCLCWCCCFLLLLVVAVAATSAYLLYACRPKAPSYSVSDMSVARFDVSSSDLTVYAKLVASVRAENPNDMVGIGYGAGSRAAVSYRGTTLCSGRLPAFYQGHRNTTVVRVAMEGRHGFGPGLQGALEESEEAGNVPLDVYVSAPVTLRLGDVDLREVTVNVHCALVVDGLSPKKKPAIKSAEYRVNVEF >ORUFI08G11760.1 pep chromosome:OR_W1943:8:12576116:12581076:-1 gene:ORUFI08G11760 transcript:ORUFI08G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDFQHEMIIPKEFVQRLKGDIPGEIQLETRNRNSHTVRVDKTQEKVIFTEGWAQFVKTFDLQMGDSMMFRFNGNSQFDVIIVDQIGREKACSAVVDDSQNPNVQERRVDATETLNSSRAHSQPMPMQSTTETVNHSHARPCPMHTAVDCMPLSHAHPQPMPMQFPTETVNHCHAPTGPMEMPLENVALSHAHARPLQMQSQPTDRLTQVQRGYSLSSKDQDCRVGVIPDPIIGQKTILSRVQVNVVKRKIQNIGSQIPIFVSVIGKNNASGRISSLSIANRYVDNYLQDEKTIRLSRLGDKWNIRLSDSSGNRRMVGGCRKFAEDNDVGVGDICLFELLKNHKCTMKT >ORUFI08G11760.2 pep chromosome:OR_W1943:8:12577615:12581076:-1 gene:ORUFI08G11760 transcript:ORUFI08G11760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDFQHEMIIPKEFVQRLKGDIPGEIQLETRNRNSHTVRVDKTQEKVIFTEGWAQFVKTFDLQMGDSMMFRFNGNSQFDVIIVDQIGREKACSAVVDDSQNPNVQERRVDATETLNSSRAHSQPMPMQSTTETVNHSHARPCPMHTAVDCMPLSHAHPQPMPMQFPTETVNHCHAPTGPMEMPLENVALSHAHARPLQMQSQPTDRLTQVQRGYSLSSKDQDCRVGVIPDPIIGQKTILSRVQVNVVKRKIQNIGSQIPIFVSVIGKNNASGRISSLSIANRYVDNYLQDEKTIRLSRLGDKWNIRLSDSSGNRRMVGGCRKFAEDNDVGVGDICLFELLKNHKCTMKVHIIRAKDIC >ORUFI08G11760.3 pep chromosome:OR_W1943:8:12577617:12580156:-1 gene:ORUFI08G11760 transcript:ORUFI08G11760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSCERCRRRDEQDYRNLDDSQKHFLLTMMGDFQHEMIIPKEFVQRLKGDIPGEIQLETRNRNSHTVRVDKTQEKVIFTEGWAQFVKTFDLQMGDSMMFRFNGNSQFDVIIVDQIGREKACSAVVDDSQNPNVQERRVDATETLNSSRAHSQPMPMQSTTETVNHSHARPCPMHTAVDCMPLSHAHPQPMPMQFPTETVNHCHAPTGPMEMPLENVALSHAHARPLQMQSQPTDRLTQVQRGYSLSSKDQDCRVGVIPDPIIGQKTILSRVQVNVVKRKIQNIGSQIPIFVSVIGKNNASGRISSLSIANRYVDNYLQDEKTIRLSRLGDKWNIRLSDSSGNRRMVGGCRKFAEDNDVGVGDICLFELLKNHKCTMKVHIIRAKDIC >ORUFI08G11760.4 pep chromosome:OR_W1943:8:12577617:12580156:-1 gene:ORUFI08G11760 transcript:ORUFI08G11760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSCERCRRRDEQDYRNLDDSQKHFLLTMMGDFQHEMIIPKEFVQRLKGDIPGEIQLETRNRNSHTVRVDKTQEKVIFTEGWAQFVKTFDLQMGDSMMFRFNGNSQFDVIIVDQIGREKACSAVVDDSQNPNVQERRVDATETLNSSRAHSQPMPMQSTTETVNHSHARPCPMHTAVDCMPLSHAHPQPMPMQFPTETVNHCHAPTGPMEMPLENVALSHAHARPLQMQSQPTDRLTQVQRGNSSKGNMTTMSSSSMSSGYSLSSKDQDCRVGVIPDPIIGQKTILSRVQVNVVKRKIQNIGSQIPIFVSVIGKNNASGRISSLSIANRYVDNYLQDEKTIRLSRLGDKWNIRLSDSSGNRRMVGGCRKFAEDNDVGVGDICLFELLKNHKCTMKVHIIRAKDIC >ORUFI08G11760.5 pep chromosome:OR_W1943:8:12580161:12581078:-1 gene:ORUFI08G11760 transcript:ORUFI08G11760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVWVWKGLYEESAWRVWGKEEKIHAFFCRGDGVLGRGRMRPRERDLPRGGREQPRQGGRPAAATTKPSVC >ORUFI08G11770.1 pep chromosome:OR_W1943:8:12596414:12597828:1 gene:ORUFI08G11770 transcript:ORUFI08G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGVCRCFWCFGLGYKEEWSGGVRERGGANFERTGVLEMSHRGCMHEESGGNSPELGVALVVRNSGRSGVGGSERGTHRGCTATTAKSPEPGKTAELWAFCAWGVFSWTWNAMWGVCCCFWCFGLGYKEEWSGGVRERAWGTVDAVRGEWEAVMWVPEGVGPTGCLPRIFGGDSRWILCSKKSGLDILLVRGDVESKCAEVDWVRSLWFRFDGVMNMY >ORUFI08G11780.1 pep chromosome:OR_W1943:8:12605112:12612043:-1 gene:ORUFI08G11780 transcript:ORUFI08G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTLWEMMQHAGYTRQPQYTVFTRNYSASQRSYQVELVLPSRGNNRHHDIVYGTGWTAVGAMNDAAYSATGFLRDTSPKCAVDYRFVPSRPGASRFATYPARRRIAILDREVEPRAGIWAIPPVVVYGEDAMLPAEEELPPPSGYYKLITPSPTVKGHGGSHRVVADIPPPSGLLERIIEDLMHELHRARRRIAILDREVEPRAGIWAIPPVVVYGEDAMLPAEEELPPPSGYYKLITPSPTVKGHGGSHRVVADIPPPSGLLVEGPNHIRYYRGSCYRLVMQAPPPLDDDAEMTSSSSGSPGPSDST >ORUFI08G11790.1 pep chromosome:OR_W1943:8:12617847:12618776:1 gene:ORUFI08G11790 transcript:ORUFI08G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHELKRRCVSVCPCMCMQRLGLVHVLSYGTKVLTKQRNGGSEVQGAAEVVVVGQRGQNSGVDVAGSTQGANCNFESTGRNGGSEVQGAAEVVVVGQRRQNSGVDVAGSTQGANCNFESTGRVHARGIWRKLTGAWCCFGGEEQWPERRRRFGWPAARTVTPTMCSTDWS >ORUFI08G11800.1 pep chromosome:OR_W1943:8:12628519:12628800:1 gene:ORUFI08G11800 transcript:ORUFI08G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGDVLAGEDQGGALGKAAQLAVGCGAVEVCDHPVPVGSVKRHAPTPLDWANDAADVG >ORUFI08G11810.1 pep chromosome:OR_W1943:8:12628884:12631160:1 gene:ORUFI08G11810 transcript:ORUFI08G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNATTARHPRSADEGGRRWREEDEGGRGRILLPHHCGAADRSPPRATVPRALPSAASHALLLPRRHRRCPPPRSPAAPPGKLRLSLSCLPPAARGAPPLPQPPASRRPGSSASAATRLLGLRLSRPLPARSASASAAHCPGSSFSAAGRPLLLSHLPLGELRLRGRRRTPARRRPPSLCHCTQQREREGGKSDDX >ORUFI08G11820.1 pep chromosome:OR_W1943:8:12633134:12636142:-1 gene:ORUFI08G11820 transcript:ORUFI08G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWCERCRRRDEQDYRNLDDCQKHFLLLMMGDFQHEIRGEQIVEQLTIPKEFVQRLKGDIPEEIQLETHNRNSYTVRVDKSQEKVIFAAGWAQFVKTFDLHMGDSMMFRFKGNSQFDVIIFDQVGREKVCSVAVDDYLDPNVQEGRTDATETLNSSRAHSQDDYLDPNVQEGRTNATETLNSSRAHSQPMPMQTPATETLNSSRAHSQDMPMQSPATETLNSSRAHPQPMPMQLPTETVNHFHAPHYPMQMPIENMALSRTQAMPTQMQSPPTYRWTQVQRDNLRYSLPSEDQGCRVGVIPDPIIGRRTKLNPVQEKVVNFKIQHIHSEIPIFVAVIKRSNVSGVLSTLSVAKRYVDEYLGGERFISLSRLGGKWGIRLLLVGVGVAQGW >ORUFI08G11830.1 pep chromosome:OR_W1943:8:12643142:12651985:-1 gene:ORUFI08G11830 transcript:ORUFI08G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRHVSGLSPDLANMLDTGRGCLRETVGEARQAGVQGMEGAGVLHLAAINGSMNVVRYLVETMRFDVDDLDKEADMLRVLVLLGGRKVWEFGSGNGAWGGGDCKMVKLLLAKGAYVDPLSDCGTPLHLAATEGQDGTMKILLDHKADYNKMVLGMTPLFVAINHASEKCAKLLVKAGADINGDYVLTALTDTSFNSVFALLTGRGAPVSRSITELKSLGSMAFQSKNYLHAAGFYSKAMDLDPDDATLFSNRSLCWLRRGHGGKALLDAHECRKKQPDWSKACYRLGASLMSLKDYGSACDALFDGLKLDPADVQIENALRILRIQCAFR >ORUFI08G11840.1 pep chromosome:OR_W1943:8:12652488:12655847:1 gene:ORUFI08G11840 transcript:ORUFI08G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQLFGDIKEEITIWR >ORUFI08G11850.1 pep chromosome:OR_W1943:8:12656760:12657936:-1 gene:ORUFI08G11850 transcript:ORUFI08G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGAAALSLAPSASGCVAEELPPRASLPFPNTPRSRVPLAWLGWQTGRAAKSATWESAALSSLAMDGGRGRPLSGRCPLPCVVQMEMAMVYYGVANDVSAFANDDGTAVESELLDVGRQARQQ >ORUFI08G11860.1 pep chromosome:OR_W1943:8:12680609:12681182:-1 gene:ORUFI08G11860 transcript:ORUFI08G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTRRPRDFPPCLTRPCRASPPPTMSELHEQRQRPTHAARIGGEGQQPGAAFIDAVRAQSIHGSAAAAAEAAGERLFNGDLLQEANGSKYQQQKLGDVPSSQPHHLNVSDSTRTRTLN >ORUFI08G11870.1 pep chromosome:OR_W1943:8:12681703:12686378:-1 gene:ORUFI08G11870 transcript:ORUFI08G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKMLKSRSIKRRRVTQRTEAKIVTSDELSYGKRIRDGQHPAVTFCKGKVSSSTLSIHWAY >ORUFI08G11880.1 pep chromosome:OR_W1943:8:12686408:12688093:-1 gene:ORUFI08G11880 transcript:ORUFI08G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAQALEPFSVQSGSAAKNVPAAARTAKGPPAPLTLPQNSHVGTSQSHPSPQGASLRVAGQETGAPGHAGTQVGGGQSAWQPPDWAIEPRPGVYYLEVLKDGDVIDRINLEKKRHIFGRQVPACDFVLDHQSVSRQHAAVVPHRNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRTYILRKNSAAFFPTHSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSDLSRSKDSSGDASGANDDNEPAGRPLKRSKKLRVSFRDQVGGELIQVVGISDGADVETEPGPVGVKEGSLVGKYESLVQVTVIPKGKEQPSPKESASPSGVTDKLKQVLTKVKSTAKGGIYDDLYGDTVPQLLGPSWAYRSDDQAEKVKAADEKKSSGNMDTNSADDNDDLFGDL >ORUFI08G11890.1 pep chromosome:OR_W1943:8:12688216:12688897:-1 gene:ORUFI08G11890 transcript:ORUFI08G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKETDQAQPSPERNTALKSSSPIPGSFPARTPPVASHRPHRSLPSAPAAPPAPRVPSRAPLTPAPPSLPPSLPSPPLLRRPPWGEKSGAGRGEEVGDPRRGVLPPPLHPDQRPSSRSPQTPPGKPQSPSSPTPPPPPQPKHPGGLDLLGSFQPSLDESGLALLGSRPEILALAI >ORUFI08G11890.2 pep chromosome:OR_W1943:8:12688115:12688897:-1 gene:ORUFI08G11890 transcript:ORUFI08G11890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKETDQAQPSPERNTALKSSSPIPGSFPARTPPVASHRPHRSLPSAPAAPPAPRVPSRAPLTPAPPSLPPSLPSPPLLRRPPWGEKSGAGRGEEVGDPRRGVLPPPLHPDQRPSSRSPQTPPGKPQSPSSPTPPPPPQPKHPGGLDLLGSFQPSLDESGLALLGSRDQKWW >ORUFI08G11900.1 pep chromosome:OR_W1943:8:12691613:12698203:1 gene:ORUFI08G11900 transcript:ORUFI08G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPKEGTLQALAYFIVPSELPSGLVVQHLGIMRILL >ORUFI08G11910.1 pep chromosome:OR_W1943:8:12701111:12703162:-1 gene:ORUFI08G11910 transcript:ORUFI08G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKAREKLLAKEAAQRMT >ORUFI08G11920.1 pep chromosome:OR_W1943:8:12709276:12714646:1 gene:ORUFI08G11920 transcript:ORUFI08G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAGAMVRFVAAFLAAVLVMMVPCAPRAAAAAVGVNWGTVSAHRMPAPVVVELMRANRIGRVKLFDADQAALRALMGSGLQVMVGITNEMLQGIAASPAAADAWVARNVSRYVGPGGADIRYIAVGNEPFLTSYQGQFQSYVLPAMTNIQQSLVKANLARYIKLVVPCNADAYQSASVPSQGVFRPDLIQIITQLAAFLSSSGAPFVVNIYPFLSLYQSSDFPQDYAFFDGSSHPVVDGPNVYYNAFDGNFDTLVSALSKIGYGQLPIAIGEVGWPTEGAPSANLTAARAFTQGLISHVLSNKGTPLRPGVPPMDVYLFSLLDEEQKSTLPGNFERHWGVFSFDGQAKYPLNLGLGSPVLKNAKDVPYLPPRWCVANPGRDLNNVENHLKLACTMADCTTLYYGGSCNAIGEKGNISYAFNSYYQLRKQDAQSCDFDGLGMITYLDPSIGDCRFLVGIDDSRTSTIYSSGDCSRRRFGLWILAFLVIGFLQIGVYL >ORUFI08G11930.1 pep chromosome:OR_W1943:8:12717047:12721156:1 gene:ORUFI08G11930 transcript:ORUFI08G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASASSLPASAGFGENLVLILDFGSQYTHLITRRVRQLGVLSLCVSGTAPLASLAGLRPRAVVLSGGPHSVHASGAPTFPEGFLEFAAGAGAHVLGVCYGMQLLVQSLGGAVEAGEKQEYGKMEVEVTARSSALYGEGEVGKRQTVWMSHGDEVVRLPEGFEVVARSVQGAVAAVENREKRFYGLQYHPEVTHSPQGMETLRRFLFDVCGIKADWKMQDVLDEEIRTIQSMVGPDEHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRYNERERVMLTFESDLHLPVTCVDASEQFLSKLKGVKDPEMKRKIIGREFIAVFDDFAHKLEQKIGKRPGYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRKLGSILNVPESFLKRHPFPGPGLAVRVLGDVTEGNALEVLRQVDEIFVQAIKDAGLYDIIWQAFAVFLPVQTVGVQGDQRTHSNAVALRAITSEDGMTADWYYFEREFLVDVVNKICNNVRGVNRVVQDITQKPPATVEWE >ORUFI08G11940.1 pep chromosome:OR_W1943:8:12722626:12726606:1 gene:ORUFI08G11940 transcript:ORUFI08G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMLKLHTTRSWDFMDMERDGQILPDSIWKHGRFGQDVIIANLDSGVWPESNSFTDEEVVGEVPKRWKGSCSDTAKYGVSCNKKLIGARYFNKDMLLSNPGAVDGNWSRDTEGHGTHTLSTAGGRFVPRASLFGYANGTAKGGAPRARVAAYKVCWSGECAAADVLAGFEAAIHDGADVISVSFGQDAPVATVASFLQEPVTLGSLHAAMNGVSVVCSAGNSGPLEDTVVNAAPWVTTVAASTVDRDFPNVVTLGNNAHMTGMSLETTTLHSTQLYSMIKASDAALASSDPAVASTCPPGTLDPEKVKNKIVVCVRGGDIPRVTKGMTVLNAGGTGMILANGEMDGDDIVADPHVLPATMITYSEAMSLYKYMDSSKNPVANISPSKTEVGVKNSPSVAAFSSRGPSGTLPCVLKPDIAAPGVDILAAFTEYVSPTEVPNDERRSEYAILSGTSMACPHISGVIGLLKAARPEWSPAAMRSAIMTTARTQDNTGAPMRDHDGREATAFAFGAGNIHPNRAVDPGLVYDLSKEDYFVFLCSMGFNSSDLAKLSAGNFTCPEKVPPMEDLNYPSIVVPALRHTSTVARRLKCVGRPATYRATWRAPYGVNMTVEPAALEFGKDGEVKEFKVTFKSEKDKLGKGYVFGRLVWSDGTHHVRSPVVVNALD >ORUFI08G11950.1 pep chromosome:OR_W1943:8:12727275:12727818:-1 gene:ORUFI08G11950 transcript:ORUFI08G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYAARWIYMALMMWAHDQKGASNSGEDLARQKGRVGGGSRMGEARNRNRGKAEEIAVGGWGRRTAARCVGNIGGGRDASAALGAIGVGRGGCEDVVGGGRWRGHREERWTATTIQGAQRRGTDRDDSGGERCGAESEGTAAVTGKGNGRQDGRRPIWRNRW >ORUFI08G11960.1 pep chromosome:OR_W1943:8:12728372:12730679:1 gene:ORUFI08G11960 transcript:ORUFI08G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWRLCMLEGGFFVQGTFTLLLILSFVRQKDFFANADLIRPAKTDAHAALGGCRHHPLLDFLRAITTVLIYTH >ORUFI08G11970.1 pep chromosome:OR_W1943:8:12745741:12750982:1 gene:ORUFI08G11970 transcript:ORUFI08G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRRRSVLLLLLALTVLSPLVLYTRRLSAALNPNQRRDLPGEIVNQGRGVKASKLNALPLETVGSLKEPVGIVFSEESRESASKSTEPDSQEFLLRKAGEHKNRVLSEATAADSARSEDDDLIEQVTSKDGEDDGLATVSVDQQQITTASQQRSASEASSLENVPEQTSMENSLEGNKDGALLDTRIRNIRDLLIKAKVYLGLGAIRANPQYLKDLRQRIREVQKVLGDASKDSDLPKNANEKVKTLEQTLIKGKLMQDDCSVVVKKLRAMLHSAEEQLHAHKKQTVFLTQLAAKTLPKGLHCLPLRLANEYFLLDPSHQQFPNKEKLDDPKLYHYALFSDNILAAAVVVNSTVLNAKHPSHHVFHIVTDRLNYAPMRMWFLSNPPGKATIEVRNIEEFTWLNASYSPVLKQLESQSMIDYYFRTHRANSDSNLKYRNPKYLSILNHLRFYLPEIYPNLHKIVFLDDDVVIKKDLTSLWSIDMKGKVIGVVETCGESFHRFDRYLNFSNPVIVKNFDPHACGWAFGMNVFDLAEWRRQNITEIYHSWQKLNQDRLLWKLGTLPPGLITFWNKTLPLNRSWHVLGLGYNPHVSSRDIERAAVIHYNGNMKPWLEIGLPKFRNYWSAYLDYDQPFLRECNINP >ORUFI08G11980.1 pep chromosome:OR_W1943:8:12752439:12754121:-1 gene:ORUFI08G11980 transcript:ORUFI08G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLRLLVVVVVVAGHCAAVASAAGNSSVVGYHGDPTFNVRNYGAKGNGQTDDSKVAEEQSIDQASSLVELSPSFLANCEVFVRDMQALMTAWKAACAATGAVTLVLPPGTYYIGPVQFHGPCSKATTMTFLMQASILYTNQPAGSLGTLKAATDLKRFGNDWVEFGWVNHLIVSGQNGAAFDGQGAASWPFNKCPIRKDCKVLPTSVLFVNNKNMVVQNVASVNSKFFHMALLQCSGAKISGVKISAPESSPNTDGIHIERSNGVSIADTTIATGDDCISIGQGNDNIDVARVHCGPGHGMSVGSLGRYVGEGDVTRIHVRDMTFHGTMNGVRIKTWENSPTKSNAAHMLFENLVMNDVQNPIIIDQKYCPYYNCEHKFVSGVTIKDVQFKNIKGTATTQVAVLLKCGVPCQGVVLQDVDLRYKGKGVSSSKCENVRAKYAGFQNPKPCP >ORUFI08G11990.1 pep chromosome:OR_W1943:8:12757792:12758372:1 gene:ORUFI08G11990 transcript:ORUFI08G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIWQHGRSSSTVASPSPHDLNDYLGGGIIDRRRHGARLHLEAVGLGIIDLGGEGGGVAKALGGLGGGRGARRWSRVASSSTSFSRSRRAAEATRTSAWHCRFCTYFSPARIHSHSIRTTASIFALCGHNSDSSASPTAIAEATNHPLIDVIADSTNRLIGAATTTNCIIPGIGSSAADTTNPTAVVA >ORUFI08G12000.1 pep chromosome:OR_W1943:8:12762014:12766796:1 gene:ORUFI08G12000 transcript:ORUFI08G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTQGRMKSPPTQQKGPKKTLPSSNKQAATLPLVSQRLDSARPANSAESPTRTPLLSSSLPRSHRKPPLLLPLPLAFSASTATSSRAELPDPSRTMGASAATGMQMVAARPCISASQGMLTSRAAVSRIGRALSTTTGFATCPRICYSSPLGSSKRSGVAIRAMSSESGPQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEIVKVYPLDAVYDSPEDVPEDVKGNKRYAGSSNWTVKEVAESVKNDFGSIDILVHSLANGPEVTKPLLETSRRGYLAALSASSYSFVSLLQHFLPIMNPGGASISLTYIASERAIPGYGGGMSSAKAALESDTKVLAFEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLVSPLASAITGSTVYVDNGLNTMGLAVDSPTISS >ORUFI08G12010.1 pep chromosome:OR_W1943:8:12773126:12773572:1 gene:ORUFI08G12010 transcript:ORUFI08G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRMGGEIVVECAAAAAADGEDLGSMGGWGRPRLRFSAWRRKKATTSRVAVHCGGGGGGWGRRRLLLRPAAADGEDHGSGGGWEDLASVQCAAEAVECTAAAAEWDDDARRSESRVKRVMRRILCAETARGEGEIMRRRWVDGTDYT >ORUFI08G12020.1 pep chromosome:OR_W1943:8:12775243:12775832:1 gene:ORUFI08G12020 transcript:ORUFI08G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRAASSVYLPGRPPRGSVVGGAVGGGEECVAGGGDRRGGLQGEVQSPRVATTASAGEALGGAQRGGPAASGAGAGGGDHGGGVYDRSNPEKPLLMAIKGRIYDVFQSRSLPLTMLLLLSLVITMLFLLLWPCLVSKTKIFTLLHQMVWTHA >ORUFI08G12030.1 pep chromosome:OR_W1943:8:12782798:12783250:1 gene:ORUFI08G12030 transcript:ORUFI08G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGKNAMQSTKEAAANVGASARAGMDKSRAAVQGQVEKATARNAADKDAAEVRRQERLQAAEEEKQHAMAANAAAKERATGGAGAYHPSQGAPGVDPRAAQPTGGHVQDGVAESRPVGTATGTARPSAAHNPHVGSDFSQAHGTGGQYQ >ORUFI08G12040.1 pep chromosome:OR_W1943:8:12786748:12788091:1 gene:ORUFI08G12040 transcript:ORUFI08G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKMAGLTPGFKFEPSDEQLVQFFLLPYLRELPVPLGGLVIRDDPRSVPPWKLFARNGRGDEEDAYFLAPADGEGRQARTCDGGRGRWITQRLERTGNLRLAGGGGSGEAVVFEKHRLNYHAGEGRCGSTGWVMHEYAVVKPAALGARHRACHIAFTGHGQKRKRVPDGYVDVEDDGSKASTNAAAAVPPSSTAAMSACPSNVTYNQGCHISPEQSIEQHFPAEHNNIQIQQQAYYQSQDHEQCQYSDEEKYLLQQIKQEQYYYNQQNCFLPGQGNQELYYNDEQQQIFSLPEHQCSQEQYCHHDDQQDCVLPEQHSQELHGYNNEEQGYLLPPEPIDQEEQALFVGGEPQHEQQPLTSTPRQALLDYDDGKLLPPVGVNGAIAIPPQDAAVASNDDDGGQATEAPAAKMTAEEKKWFMEELLTEGCWSGPLLFDQPYYGSALKN >ORUFI08G12050.1 pep chromosome:OR_W1943:8:12793999:12800364:1 gene:ORUFI08G12050 transcript:ORUFI08G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNPVDSSGTDDDLPPTYQNRGVRGSGRVSGNGRDIVSAVPYNRTKPQTDMETQIHQLEQDAYCSVLRAFKAQSDAISWEKEGLITELRKELRVSDKEHRELLNRVNGDDIIQRIREWRETKGGLQADMVNNAQRSHDRVPSPTTSARKRQKTSQSIPSASVPVPSPGVHSQTLTAPMQPLSSATKKVAPPGTKGKKTKPGQKIPGGSAIKTMSSAGPSGRGPIMNKNLSGGLPTEPISVNPLIGRKVMTRWPDDNSFYEAVITDYDPQLDRYALVYDINTADETWEWVDFKEMAPEDIRWEGGDPGIIQQGRGATVHGGKKSSSRNGPMSGPGRGSRGPQKNTSRKEFPPSQNGVGKKSSDCIEILHTESLIKEVERVFSASNPDPLEMEKAKKVLKEHEQSLIDAIARLAEASDGESAEERAQPLQHNRGWRNHHGGNYANDMTIDGHMVGDADAL >ORUFI08G12060.1 pep chromosome:OR_W1943:8:12801541:12802065:-1 gene:ORUFI08G12060 transcript:ORUFI08G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVELDELAAWLVEDALDEAPVLVEHLDHKATAWKSQKMCAWRWDTFIPPPRMPRSTVAVDVVHCHGHGGGAADAGMVPQPEPAMASPSATEAVAWGAAPWLRRRWEEGEGEGPCSGWPAAPQCSTCSLTSFTSTARVPPTTCASSMPSRRSKLLVFVRVLGCYTADSNVYDLQ >ORUFI08G12070.1 pep chromosome:OR_W1943:8:12805787:12807569:-1 gene:ORUFI08G12070 transcript:ORUFI08G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAHRIKRRRLTPPGAEEPILSPVQPPAVPSLAAAAAAGDGYPPWVILQPDGEREGEDEDDEDHPCLTPDAETATASHTSTGHPITVAFSLAAPPAPSRMWFRFSYDADSETRCNCSVIAAHGDSVLINIFYFSNASVHNHEDRFVYRASAAASDRSWARPPSLSMLPPPPVEYTPFQDATGILRRGEDDLVVAELTVEGKLRHDTLLGVVAKLLVFRSGEWGIKRAPINHGSGSGSSSSRGHDLPAPWPWETDMVVPVGDRLLCYVDLHHTTASSSSPTSPAERFHDDEDDPRGYPKVSRTVGATGDSGGGGGGALKFVDISPRCCCGSLSKGQTSCDRSSQAFVIRTWTLRIGDDVNGDDMAWEMDAMVDASELWSLDAYAGLPLVRPEYPVVNMDDPHLIRLAVTGARQEEGRTYSDETDENYSSLIMVDTRRKTMPSVPPFLHLPFNGETLVSRFSSYFNSNHSSNNGGGGGGGALPSKIHVNIEPPPPPAAVATGEPRTSDTAEPKIVLVLERFSWRLKGYSIQDMAGGDDMLKAYTILSQDNGRCFRSLLGLPMSFNFELGLAVDDDQE >ORUFI08G12080.1 pep chromosome:OR_W1943:8:12810993:12812633:-1 gene:ORUFI08G12080 transcript:ORUFI08G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRLWELPDDLLIRILSFVPVKEAASTTLLSRRWRNPLWLETGTFNVDLTSEQFSNHAHNCLAMWRDEGDAREALRRDRRPRRLKKLSVTVTASRDDDNYYSDFSEYLNPFVFHGKCVRMFRNVEELRLECQIDAPAGAGPLSSSSPPRYTYAMPGPEYNLRLHHLPCEDFGVLHLTGCSLKEEIHLCLRNRIAYPCLTTLRLRRCTVPLGELQRLITAAPALADVCLESVTFPDQGSVGGMTTDKRVRLHCPAVTAFAMVNCHMFCRSFELDAPALICFRYAQISSYEQSISLKPPAPCLEKANLESISGTEIFRSLLHDMCHVKVLKLTVYSIVGDIKFERLPCFPNLKHLVIEELCGFAMGNGSAAAAATAIGELLQRCPVIRELWIRFSWSKYLHESPDLAGYLESMACRFDESDYCDRCAVSAADRGRQELNDSWKNSLRKVTVQFQKGKLTCSQVELVMFLVENAAVLEEFDIDGESQDVTDQINTKIATWRARSSSSREKEAHPAGVGAERPTRRPPPQHLHETRYLYNGWHPTFRR >ORUFI08G12090.1 pep chromosome:OR_W1943:8:12831851:12832252:-1 gene:ORUFI08G12090 transcript:ORUFI08G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPHGSLSLVLFPIVFNFQPSGGSPRARLGATARGRGGTAASRGCAEEHLRCMGVRFLQKDGRESTRDGLSTLSSSAAERRPGRHSHRH >ORUFI08G12100.1 pep chromosome:OR_W1943:8:12878716:12880494:-1 gene:ORUFI08G12100 transcript:ORUFI08G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTASRDRLSELPDDLLIRILSFAPVKQAASSTLLSRRWRLQPLWLETGTVNIDLTSDEFLDRTFHRGWGEAGPSTWEDEGDARAALRRRRHGLKKLTVTVTADRDHDDGYVFGCVRRDFSRYLNPDQFRGTCVGLLRHVEELRLECQVASGSLPSPPRYKYAADPGVEYDLYLGMLPCEDFRVLDIAGCCLKVTTTEWLWDWIAYPCLTTLRLRRCTVRLCDLQKVILAAPRLAELRLESVTFSDRPPLSGFIFDEHIHLHCPAVTSFTMVNCHIDGRTFELDAPSLICFRCAQVPSLYFSVSLKSAAPCLAQVDLGSISGTATLGPLLTTMCHISILKLTVYSIVGDIKFGYFPLFPNLKHLVIEELCGFAMDGGLSAAATAVGDMLCRCPEIRELRIRFSWLEYLNESADDHLGADLTAYLKSSACGLQESDYCKVSESDTPATGSTQNFCSSWQNSLRKVVIQFQKGKLTCSQVQLVKFLAEKAAVLEEFDIEGGNQDGTDHINRKIATWRTHSAGACAGEVVIASAAVLPPPAEDTRWDRAWYKYNCDFPVLGKGPPWIWDGTGYKLHFPILPRRQHRPTSDRGY >ORUFI08G12110.1 pep chromosome:OR_W1943:8:12881664:12881904:1 gene:ORUFI08G12110 transcript:ORUFI08G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYHRGAAVVTRVVETSMSSSSPSTCAFFSNKATILIILACVSNLAFCLHATARCLISCLACRRDFKEWAQP >ORUFI08G12120.1 pep chromosome:OR_W1943:8:12886007:12886615:1 gene:ORUFI08G12120 transcript:ORUFI08G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLAFFAAAATANGGAAPAASNFIRKLCRATQYPAVCVQSQASYGGAPLPRSPPELVHAVLSVSVDKAQSVSMYVGRICGPGGSRGVAGPVRDCLENMADSVGHLCDAAQELGGNMGRAGSPGFKWHLSNVQTWCSAALTDKNTCLDGLSRGVDAATRSATRGKIVVGVHRRRPPSSSTAWRGCGGRRLLVAGRARTRA >ORUFI08G12130.1 pep chromosome:OR_W1943:8:12887816:12890436:-1 gene:ORUFI08G12130 transcript:ORUFI08G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDIDSRLQFPALRQRRCQPPLEDDLGASFPTARLAAATTSVKPPLAQGGLLAADSETLDTAPNAGHTVLSAAASSSSPSPAANATATNAXPHPPPQSPHHASTADAPIAAVSSCLIT >ORUFI08G12140.1 pep chromosome:OR_W1943:8:12890461:12892119:-1 gene:ORUFI08G12140 transcript:ORUFI08G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTASPSRDRISELPDDLLIRILSFAPVKQAASTTLLSRRWHLQPLWLETGTVNIDLTSEEFRHWTCPWWCGWGREGDARAALRRRRHGLKKLTVTRPADRDDGYLRSDCRDFSWYLKPIVFDGTCVGLLRHVDELRLECQVAGGSSSSSAAASSPQYKYKYAADPGVEYYLSMDKLPCEDFRVLDITGCCLQEVTTAEWLWEWDWIGYPCLTTLRLRRCTVRLCDLQNSPSPIDHRSAGGFIFDFIFDEHIHLHCPAVTSFTMVNCHIDGRTFELDAPSLICFRCAQVPSLYFSISLKSAAPCLAQVDLESISGTATFGPLLNTMCHISILKLTVYSIVGDIKFGHLPLFPNLKRLVIEELCGFAMDGGLSAAATVVGDMLRRCPAIRELWIRFSWLEYLNESADDHLDADLTAYLKSSICRLQESDYCNHCKESDTPAAGSTQNFNGSWQSSLRKVVIQFQKGKLTCSQVQLVKFLAENASVLEEFEIEGGNHHGSDHIIKSKVGRWGAASSMENEAPPGVGAGDISG >ORUFI08G12150.1 pep chromosome:OR_W1943:8:12898231:12898780:1 gene:ORUFI08G12150 transcript:ORUFI08G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAVPLPLRRNGAAPLLPPPPVALLPLPAPVAPLHLPPPSRFVHTALHRRVLDGGKSATTTTLTSPLPIGLDDLPV >ORUFI08G12170.1 pep chromosome:OR_W1943:8:12901649:12903704:1 gene:ORUFI08G12170 transcript:ORUFI08G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSKKVKKEVHPSSQYIHEHNALNKGGLLSRVTLLNEIEDTILWNNVATYSAKSAYLFQFIGSQQDKVFLLNWEASMLPKQKFLGWLILHHKKRGILSLLLKNNQTYCSLKEWMSCIMQNESKTEARRKVGVLVKTWWHIWLQRNAKIFRGEEPDIWKARNDLKFQGLVKEPTQVCFAAEAMVRTYTIYSAYNILQDELQE >ORUFI08G12180.1 pep chromosome:OR_W1943:8:12906147:12906421:-1 gene:ORUFI08G12180 transcript:ORUFI08G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNLSTSVQLLLVLIVLLAFVGGILGGGGPSSCSNNPAVQHSCPPIPGRGH >ORUFI08G12190.1 pep chromosome:OR_W1943:8:12907020:12908738:1 gene:ORUFI08G12190 transcript:ORUFI08G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPLPIRDRATRFMPQDPPLVEFPRRSTRRRRWPRIHHLRRGDHIAGTRRQRDCRHHHNHHQGPHHRQRLHRMRPLVLRDYFGNLVLWLFPRCDVGELVTRSTHDTAEPIHRAVAGIDDAYFRSFVDFTSSRAVEADGLIPIADTAEVVVRPITVHMQGCRGEISGSYAGIPMYEVVVK >ORUFI08G12200.1 pep chromosome:OR_W1943:8:12960643:12960931:-1 gene:ORUFI08G12200 transcript:ORUFI08G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYSTSVQLLVVLLVILVSVGGILGRSGPSTCANNPAFQQSCPPIPGRGH >ORUFI08G12210.1 pep chromosome:OR_W1943:8:12969922:12973738:1 gene:ORUFI08G12210 transcript:ORUFI08G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDRQRRPVPPLGTLRSSWLLILVVHSCLSSFAVHPAAAAASSSSPSNTDFQTLLCLKLHLSNDPGGFLGSWKQNDSIGFCRWPGVTCSKTNTSRVVALDLGSSGLNGQIPPCITNLTLLARIHFPDNQLSGQIPPELGQLSRLGYLNLSSNSLSGSIPNTLSSTYLEVIDLESNKLTGGIPGELGMLRNLSVLNLAGNSLTGNIPISLGSSTSLVSVVLANNTLTGPIPSVLANCSSLQVLNLVSNNLGGGIPPALFNSTSLRRLNLGWNNFTGSIPDVSNVDSPLQYLTLSVNGLTGTIPSSLGNFSSLRLLYLAANHFQGSIPVSISKLPNLQELDISYNYLPGTVPPSIFNISSLTYLSLAVNDFTNTLPFGIGYTLPNIQTLILQQGNFQGKIPASLANATNLESINLGANAFNGIIPSFGSLYKLKQLILASNQLEAGDWSFMSSLANCTRLEVLSLATNKLQGSLPSSIGSLANTLGALWLHANEISGPIPPETGSLTNLVWLRMEQNYIVGNVPGTIGNLANLNSLDLSRNKLSGQIPHSIGKLGQLNELFLQDNNFSGPIPSALGDCKKLVNLNLSCNTLNGSIPKELFSLYSLTTGLDLSHNQLSAQIPQEVGSLINIGLLNFSNNHISGKIPTTLGACVRLESLHLEGNFLDGTIPDSFVNLKGISEIDLSRNNLSGEIPNFFQSFNSLKLLNLSFNNLEGQMPEGGIFQNSSEVFVQGNIMLCSSSPMLQLPLCLASSRHRHTSRNLKIIGISVALVLVSLSCVAFIILKRSKRSKQSDRHSFTEMKNFSYADLVKATNGFSSDNLLGSGTYGSVYKGILDSEANGIVAIKVFNLDELGAPKSFVAECEAFRNTRHRNLVRVISACSTWDNKGNDFKALIIEYMANGTLESWIYSEMREPLSLDSRVTIAVDIAAALDYLHNRCMPPIVHCDLKPSNVLLDNAMGARLSDFGLAKFLPTHNSTSITSSTSLGGPRGSIGYIAPEYGFGSKISTEGDVYSYGIIILEMVTGKRPTDELFNNGLSIHKFVRNAFPQKIGEILDPNVVQNFGDEGVDHEKHATVGMMSCILQLVKLGLSCSMETPNDRPTMLNVYAEVSAIKRAFSALCVEK >ORUFI08G12220.1 pep chromosome:OR_W1943:8:12970242:12983435:-1 gene:ORUFI08G12220 transcript:ORUFI08G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATTLTTSIGWLILLNQRLLLQLGYLFLMELEPLLHLLLGDFALFDDGGMQGPAAAGGLGQSGHGDARAWTRSGSGGGGRARAERPRRPTSNADRTAGEVQRWQLRVEERQRGWTTTGAARGGALAPQSGTSSWKICRANANAGGPNKGRAASERNGNVACQTVTGKIQNRKIAEHPELSRDTSSELVALEINHLKVSGRQRVWDRTTKAVGTEVKVAQPAQLPKFRWNLATELVVREVDPCEESEVGDAWWYLAIEAR >ORUFI08G12230.1 pep chromosome:OR_W1943:8:12994933:12996231:-1 gene:ORUFI08G12230 transcript:ORUFI08G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGYLNISTPDFNNINHGYSTHGFIDHSFLAPFALATSTMAQRDIIHIEYSCRFQKVRIESAFDRLAEDKAKQLRMVGRLPDDLGLL >ORUFI08G12240.1 pep chromosome:OR_W1943:8:12996043:12997058:1 gene:ORUFI08G12240 transcript:ORUFI08G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLGLEKKPARVLNMDDIPLCHSRCSQSEGCEEAVVDESVRRVAMVDVVEIGCADVEPGDAAARLEVNEEDPERLMMTSADNEVVNARTVMNTTKAAKLWSKGTTQLQLLCRCSSMNSTGGEAMLRRAPLSIPIANGGGPRAMALQPEGGMQRQPEA >ORUFI08G12250.1 pep chromosome:OR_W1943:8:13000366:13001870:-1 gene:ORUFI08G12250 transcript:ORUFI08G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSFVLHSSNPADENHPTYHIRLPSFLHLRIAPCAATPHPHGHTSLPYALIPFPLARRANLRRQSVVDLRNKHPRRHRQPLPAPPPDRRDRSLRCRLRRSLPAPPHLTHNSLPHALIPFPLAHRADLRRQSIVDPHNQRPWWQSAIDPCHHAVLAMILRGQTLVLSPALSMMVDQLRKLHAFLPDGLLASSQAYASEFCRKEHQALEISLIYVKENKGAATVASTEAWSDTKIEGERLISVVHF >ORUFI08G12260.1 pep chromosome:OR_W1943:8:13005361:13006911:-1 gene:ORUFI08G12260 transcript:ORUFI08G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDRISELSDDLLLHILSSLHTKDAAATTVLSRRWRPLWRRTCVLNLYSEPFLPTHNAFFRFADGALAAVLRRGDDPALKKLSLAVDSAAARPVLSNFDSRIGAILSHRAAAGLQDLRVDCLPVAGAGDAGDTIGMYKLRLASLPCAATLRVLHLACCCCYSSPPSVVAAFPSLTDLAMTRCMLSLSKGGHLLQTIVDAAPRLAMLRLDRVHLLISAAKTKEETAVLRLRCPTVTTLVLVAVTSRIEALQLDAPSLVSFSYGGHPMAISLAPPPANLALVDVDISRPSFFTWKYEPVCRVLRSLGGGDTTTMRAMTLRVYCVDDILDDGGGGALPVFPNLAFLHLEAQYLHSRYQTPISLSAMAKLLQSCPAVSELRLRLTTKDDSHSHPVSEEQRFNRRISGNSIGRRIESSSSSSSKDEGDLELKRQRVSEPAIECLEKTVRKVTMEFTAKEMDSFPVHLTKFLVENAMVLEELHVDDTAQFFLDQKVEKWRADSFQRRNLPIVGRFEVKPM >ORUFI08G12270.1 pep chromosome:OR_W1943:8:13011335:13013643:-1 gene:ORUFI08G12270 transcript:ORUFI08G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTILVCLSSKCLEKLVGILREEFVKTLFVRRDIRRLRESLKYFDSVREDADALALENRVTGTWWSDVKDVMYDVDDIVDFLRAHSYKQRCCDRVLFSRLAQLPLDYRIARRIKYVNERLVQITMNSKMFVPLAMRSPQTLQRNGVSRYVAALVDELDVVGREIKETTDDMVQMIIGCGHQSTISVYGILGMGGIGKTTLAQKIYNDRRIRERFHHVLIWLSISESISETDLLKEAIEKAGGQSYQGKSKDQLLQALLNCITGQSIFLVLDNMTSSHIWIDLLRSPIERCADAHVLVTTRSRDVLSQMNAIHVHEVHKLKEHDGLELLQIIPNRRRNKCVLSSKATKEEWARVLESRWCYEGLPEEIQGPLYLSYNDLSPQLKSCFLWCALMPQNFHIHRDVTYFWIAEGFVKKEGSRPIQEVAEDYYHELIMRNLLQARPEYIDKGISTMHDLLRLLGQYLTRDVAVFMDEDETPPNVRRLAVGNAVEEIPGIQDQKNLRCLLVYHHDACRSVKRDIYRKLEHLRILILVGAGLQSIPESVGHLVLLRLLDVSCNEEIKQLPGSIGNLTCLEHLSVSGCEKLASLPASLMTLSTISFLNIVFQDGTGGFRLDELDSLSKIRRLLLIKLEKASPPASPVLCNKRHLKELGLTCTMGEEADCRTSYEDSEVKNIEEIYNKLCPSRKLQYIFIDGFPGDAFPKWLSSEPQDTLPNLAHLHFNH >ORUFI08G12280.1 pep chromosome:OR_W1943:8:13014948:13017038:-1 gene:ORUFI08G12280 transcript:ORUFI08G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMNRIGIRERETRGGSLIGIVVVGAAAAAHTAHQPSSRGRADSPRMMRASLLAPLELSADLTPPLPSARHFASRICDYNQKRKEQSQVIDREMIASLFKAL >ORUFI08G12290.1 pep chromosome:OR_W1943:8:13021310:13028018:-1 gene:ORUFI08G12290 transcript:ORUFI08G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLSPSSASAHRLPRPISSPPLGRAASATSIGSSFFPLCSSPPSSGSCSLRRASSAGGASEPEASGATSLDADLLRRVAGAADAGEALDIVAESAGGTGGLDASDCNAIVAAALDRGNVELALSVFEAMRSGFARAGAWRWARPDVRTYALLVQRLAAALRVSDALRIIDYVSRAGISSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQTVSCSKCRYQYELFSGDIMSIESEEVSMDISALDKALRFINVIKDGLPAAVHSIVIRTPSGTARTHRFATQTVELPAQEGERVTISLAAPSNVYREMGPLKISARSQGFRPGEPMSLTNHINRQVSRLLRAPSKNEGPFVFNPYLLVGALALLASGDAASAFIDPSLPRFITATAFASAAVGTALNQVILPEIRKLPQKTVDIIAVRQQLLSQYDMLQTRLKDLKQLSEKEVWMLARMSQLENKILAVGEPSYRARRGRVKRVLESLESTLLAKIELMESYAKLCSMIEIEVEMDSDVIVAEAASSAQWRIQAEANDEAERLLNSDSSETFSAEHIFCGTKMIDVSINLLLSTVY >ORUFI08G12290.2 pep chromosome:OR_W1943:8:13021310:13028018:-1 gene:ORUFI08G12290 transcript:ORUFI08G12290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLSPSSASAHRLPRPISSPPLGRAASATSIGSSFFPLCSSPPSSGSCSLRRASSAGGASEPEASGATSLDADLLRRVAGAADAGEALDIVAESAGGTGGLDASDCNAIVAAALDRGNVELALSVFEAMRSGFARAGAWRWARPDVRTYALLVQRLAAALRVSDALRIIDYVSRAGISSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQTVSCSKCRYQYELFSGDIMSIESEEVSMDISALDKALRFINVIKDGLPAAVHSIVIRTPSGTARTHRFATQTVELPAQEGERVTISLAAPSNVYREMGPLKISARSQGFRPGEPMSLTNHINRQVSRLLRAPSKNEGPFVFNPYLLVGALALLASGDAASAFIDPSLPRFITATAFASAAVGTALNQVILPEIRKLPQKTVDIIAVRQQLLSQYDMLQTRLKDLKQLSEKEVWMLARMSQLENKILAVGEPSYRARRGRVKRVLESLESTLLAKIELMESYAKVIEQILYMLNSEMLDMPLQLCSMIEIEVEMDSDVIVAEAASSAQWRIQAEANDEAERLLNSDSSETFSAEHIFCGTKMIDVSINLLLSTVY >ORUFI08G12290.3 pep chromosome:OR_W1943:8:13021310:13028018:-1 gene:ORUFI08G12290 transcript:ORUFI08G12290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLSPSSASAHRLPRPISSPPLGRAASATSIGSSFFPLCSSPPSSGSCSLRRASSAGGASEPEASGATSLDADLLRRVAGAADAGEALDIVAESAGGTGGLDASDCNAIVAAALDRGNVELALSVFEAMRSGFARAGAWRWARPDVRTYALLVQRLAAALRVSDALRIIDYVSRAGISSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQTVSCSKCRYQYELFSGDIMSIESEEVSMDISALDKALRFINVIKDGLPAAVHSIVIRTPSGTARTHRFATQTVELPAQEGERVTISLAAPSNVYREMGPLKISARSQGFRPGEPMSLTNHINRQVSRLLRAPSKNEGPFVFNPYLLVGALALLASGDAASAFIDPSLPRFITATAFASAAVGTALNQVILPEIRKLPQKTVDIIAVRQQLLSQYDMLQTRLKDLKQLSEKELNSEMLDMPLQLCSMIEIEVEMDSDVIVAEAASSAQWRIQAEANDEAERLLNSDSSETFSAEHIFCGTKMIDVSINLLLSTVY >ORUFI08G12290.4 pep chromosome:OR_W1943:8:13021310:13028018:-1 gene:ORUFI08G12290 transcript:ORUFI08G12290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLSPSSASAHRLPRPISSPPLGRAASATSIGSSFFPLCSSPPSSGSCSLRRASSAGGASEPEASGATSLDADLLRRVAGAADAGEALDIVAESAGGTGGLDASDCNAIVAAALDRGNVELALSVFEAMRSGFARAGAWRWARPDVRTYALLVQRLAAALRVSDALRIIDYVSRAGISSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQIRTPSGTARTHRFATQTVELPAQEGERVTISLAAPSNVYREMGPLKISARSQGFRPGEPMSLTNHINRQVSRLLRAPSKNEGPFVFNPYLLVGALALLASGDAASAFIDPSLPRFITATAFASAAVGTALNQVILPEIRKLPQKTVDIIAVRQQLLSQYDMLQTRLKDLKQLSEKEVWMLARMSQLENKILAVGEPSYRARRGRVKRVLESLESTLLAKIELMESYAKVIEQILYMLNSEMLDMPLQLCSMIEIEVEMDSDVIVAEAASSAQWRIQAEANDEAERLLNSDSSETFSAEHIFCGTKMIDVSINLLLSTVY >ORUFI08G12300.1 pep chromosome:OR_W1943:8:13034586:13051074:1 gene:ORUFI08G12300 transcript:ORUFI08G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELGQQTVELGAVVRRAAEDSYLALRELVEKSRVEAEGKNGQQRSDTEKKIDLLKFIDRTRQRMLRLHVLAKWCQQVPLVHYCQQLASTLSSHETCFTQTADSLFFMHEGLQQARAPIFDVPSAIEVLHTGSYRRVPKCVEEIGTQNTLFQDEQKPTLKKLSTLVRAKLLEISLPKEISEVSVTDGIANVQVDGEFKVLITLGYRGHFSLWRILHMELLVGEKAGPIKLEETRRYALGDDIERRMAVADNPFMILYTILHELCISLVMDTVIRQTNVLRQGRWKDAIKSELISDIGTGQGGSNAVMQLGQDGELDSSGSRIPGLKINYWLEEKNNASAESDSSPYIKIEAGQDMQIKCQHSSFVLDPFTDKEADLSIDLSCIDVEALILKAIACNRHTRLLEIQRELMKNVQMSQSSTEVILKREVHGEGFQKRVHRRDSNDCCTNEMLQVRAYGQSYIDLGINIRNGRFLLQSLGNILPPSAVLDSEEALNKGSTTATDVFVSLRTRSILHLFAATGSSLGLKVYSQSQVTLKIAKSILCGSEFMVMGFPQCANAYYLLMQLDKSFKPVFCLLEIQSNEGDNNNADATTDAKEAIRFIRIDINKLKIDEDVQIGNFFDKDKLLALQNVEDRPQRQSGADEPLPARPSFFSIVDEVFRCDRGSPITESQRLPPNSLPSSHSSYQVGLHGFSGGAGSPDQDYGSLQSNINAAKVTSGTGLNNYLLSNSKNAQSTSAFSGSVPAGLGNVSSSRSEGAYKKRSLSEFLQNIPSSKQSIIGDGPGKRRKLSEFMLDGLPLKANSPNMQPRTSLTYGKLLEEVNNCITANIYSSVLLHVIKHCSICIKYAQLTAQMDSRNIPHVEEVGMPTSSSNLWLRLPFAHDASWKHICLHLGKAGSMSWDVRINDPHYGALWKLHGGSTTTEWGSGVRVANTSEVDSHISFDDDGVLLTYNTVEADSIQRLVSDLQRLSHARAFSCGMRRLIGVKLDGKLAEHQTAAETKLHSRRKASRDRLSEQMRKTFRIEAVGLMSLWFSYGAVPMVYFVVEWETGKSGCTMRVSPDQLWPHTKFLEDFVNGDEIASFLDCIRLTAGPLLALGGAIRPAKMPVTVPAGYSALPKQNNILTTAGSANSLSSSTVHNMSVPQGAAVAHSNSQLQTSSMLSVAGRTAPGLVPSSLLPFDVSVVLRGPYWIRIIYRNKFSVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNALEPNLLNARHTGPPLNASANTVSGNQQLASAPNRFGGAPGVARPTSTVANQVASSLSRAGNAMMPSGFASGIAGAPAHLSPGNVPAHMKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPDLLKEILGSVLKDNEGALLNLDHEQPALRFFVGGYVFAVSVHRVQLLLQVLNVKRFHHQQQQQQQTPQNNGQEELTVPEISEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFIKLIAWKKSLPQAHADNATTHRARIEICLEKHPRLVSDDYTASSSSSKSNIHHDRANNSVDFALTFVLDQALVPHMSISGGAAWLPYCVSVRVRYTFGEDSHIAFLAMDGSHSGRACWLQHEDWERCKQRVSRAVETMNGSAAVGDMSQGRLRMVAEMVQKQLQLSLLQLRDSPVSTGSAAS >ORUFI08G12310.1 pep chromosome:OR_W1943:8:13051835:13057659:-1 gene:ORUFI08G12310 transcript:ORUFI08G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQTPAKPKASPVRSRPQLPASAAAAAAAAVEPPLQLQQLHTTPPPPPPPLMPAGGEVTGGSKAAKKRGMQKLLKSAFKRGDHHAPAGASSGGGEQSGDDEAAAAAAQDLSRSSSSSTGGSSGRKGRKGDSSVEGDLSSRDSLELQESKNVKGAAAALRNAKLSHSYEAFPWERKMRDLLQVAGASGFLSLLLLPRATDETQTKFHSLEDTLARAESWLMSSQMSGVPIVPMNVQTEALLTKICGDVASSTVNMNSLGDLANMATVSLYGFEDYHGVDIGVVRAIRLWYAPFAGEMALEIKLQPGDTRLGFAISRTEEGFIYVSSVAEESTPGVASTRSGLLELYRRARRASKLLVVSRVGDDKVLPWATSTAGDIRCFDTVSLSQRLSLHRHALRPITLHFLMWERLPPAAVIRGGAAARPTVQMIVQGDEEGGGDAADESTDEVAFDGDGPEIVLSGKDDSDDRSFRFQNIGLPDSWL >ORUFI08G12320.1 pep chromosome:OR_W1943:8:13070827:13071928:1 gene:ORUFI08G12320 transcript:ORUFI08G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAHSPYPYEYLRKTELACREIDEVTTGASLSTNILPPYTSSPLEAISGGEDIWWLAASRMGWRGDVAAVQADSVDGNNSPFLCARSPTIGNGGADQPYLMVGKPHGWPCWGWDGAEMWLWCQSKNSGHCHIGWPVGVLVKGGRIYPGGGLPSKELAGMIGPYASGDWFELKRELPAKA >ORUFI08G12330.1 pep chromosome:OR_W1943:8:13090026:13090217:-1 gene:ORUFI08G12330 transcript:ORUFI08G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVVVDGGGRPRLRRRHCFGPRYRTLGSTLTSKEMFIWANSNNQRLLHIGDIDRTSR >ORUFI08G12340.1 pep chromosome:OR_W1943:8:13092228:13092443:1 gene:ORUFI08G12340 transcript:ORUFI08G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDAHRRWHVSFLPSTVLGYSGEPRLLDSYYRYVTHGIYAFSARLTFAEIEDLAKKPGVLGSWARGVALQ >ORUFI08G12350.1 pep chromosome:OR_W1943:8:13118558:13119199:1 gene:ORUFI08G12350 transcript:ORUFI08G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLAVKHIAMYLEARRILGRPAPTQAQPMLRRQHSSQNECRREGVDRLEHKPPECVKGKENARPQRLLRVRIRIRREGVLRMWG >ORUFI08G12360.1 pep chromosome:OR_W1943:8:13119551:13120609:-1 gene:ORUFI08G12360 transcript:ORUFI08G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPPVVDCSLASNERSTVPAPTVNIQGTSFSTVEAPGPWLPAHTTNGILLHGAVGGDGDHVAVVGRLDFLADREGDDVDVVMDGPRPWRGLLRRPSRHGSRQQVTWFLETYLPGTELTLPLRYKEFPGPTLPLLAPWDQGSGPPCSSLEAPRFLSSRAGVRDKVEARRISRAEEIHEPLVQGVGEEVPLSHSDLNRNAEWPWCITVWYILDAL >ORUFI08G12370.1 pep chromosome:OR_W1943:8:13130178:13131089:-1 gene:ORUFI08G12370 transcript:ORUFI08G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSFAHTLGKGGYGTVYKGSLSDGSEIAVKMLEDTKDDAEDFINEVVSIGRTSHINVVTLLGLCLHRSKRALVYEYMPNGSLDKYAVGVVDTVQGEKSLSWEKLYEILVGIAQGLDYLHRWCNHRVVHLDIKPQNILLDQDFRPKISDFGLAKLCKPKESKISIGGARGTIGYMAPEVFWRHHGAVTTKSDVYSYGMLILQMVGARENTNASMQTVSKYFPEWLYDNLNQFCGAATEGIDSRNTCISEVARKLVTIGFWCIQCTPEDRPSMSEVIDMFDRSMHELQLPPRMSCCGIDNPSIV >ORUFI08G12380.1 pep chromosome:OR_W1943:8:13143495:13144280:-1 gene:ORUFI08G12380 transcript:ORUFI08G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVLLHLFLCCTTLRAAAALSFDYDFAAVGRDVAAANLVFMGNASYAGDRINLTRLGTWSTGRVAHRQLVRLWDDGAGGSVTSFTTAFSFAIGRNSTNQADGMAFYVGPPADTLAPDMTGGFLGLIPNTGEASPRTVGVEFDTCRNPWDPQDGVIDHIGVDVNQIVSQNFTALPTLTLAGVMRAEIRYDAAARKMVVNLTANGSNYGVEAAVDLRAAGLPQDAAVGFSAATGELVESHQLLSWSFNSSTGMWLFISIFY >ORUFI08G12390.1 pep chromosome:OR_W1943:8:13192508:13192756:1 gene:ORUFI08G12390 transcript:ORUFI08G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFNNLPPSQVGVPQPSIAPSPFPHGPLGIRALQAPRFIRPSHTMSLELEILN >ORUFI08G12400.1 pep chromosome:OR_W1943:8:13200896:13202686:1 gene:ORUFI08G12400 transcript:ORUFI08G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSGAGAGGVPTKLEHDDAAAVAAEREPCGGGAPRREEKERWRRVLVVGCLVALLLFAFFVLGRESASEVLQIASSKLSAMNGGFTTKNPSHGGGAAKHADELLGGLLAPGMDRRSCRSRYQAAHYYKHFPYAPSPHLLDKLRAYEARHRRCAPGTPLYNRSVEQLRSGRSAGGVECNYVVWLPFDGLGNRMLSMVSGFLYALLTDRVLLVDLPHDSSDLFCEPFPGATWLLPPDFPVANLFGLGPRPEQSYTTLLNKKKITAVVNNDDDPASKNATAALPPPPAYVYLSLGYQMADKLFFCGDDQRALAKVNWLLLYSDLYFVPSLYSVAEFNGELRRLFPAKESACHLLARYLLHPTNAVWGMVTRYYNSYLAQASRRIGVQIRMFNFASIPVDDLYNQILTCSRQEHVLPETTTDNDNDDDLATAYDSNSSNGSGGGNYSAILIASLYPDYYERIRATYYEHATRGRVRVGVFQPTHEERQATQRLFHNQKALAEILLLGFSDELVTSGMSTFGYVGSSLAGVRPTILMPAHGHRVPAPPCRRAVSMEPCNLTPPRVGEAECREMAAVVDKEDVARHVKVCEDFDRGVKFFD >ORUFI08G12410.1 pep chromosome:OR_W1943:8:13206572:13216884:-1 gene:ORUFI08G12410 transcript:ORUFI08G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGQLRDWVSDKLMSLLGYSKSVVVQYVIRLAKECSSTGDLVGKLVEFGFTSSAETRSFAADIYAKVPRKASGISNYQKQEREAAKLVKKQSTYKLLADEEDNDAETITSTSRQSSASTSSKSRKHFRRKAEDQDDGNDDDETKIKQDSGRNVKRRTEEVDDEDDGNDTDEEQERIRDQQERAQLEKNMRERDAANTRKLMERQLSKEEQEEITRRSQAMDKNDTSDLRKFSRQAYLQKRRDKKIDEIRDEILDHEYIFQDVKLTEAEEKEFRYKKKIYDLVKEHVESADDVAEYKMPEAYDMGDSVNQEKRFSVAMQRYKDPEARDKMNPFAEQEAWEEHQIGKSKLQFGSKDRKRSSDDYQYVFEDGIDFVKSSVIEGTQHEEDSDQEDIDEKDMLKRELQDERKTLPIYKFRDELLKAVEEYQVIVIVGETGSGKTTQIPQYLHEAGYTAKGKVACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTLIKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEVHYTKAPEADYIDAAIVTVLQIHVTQPPGDILVFLTGQEEIETIDEILKHRTRGLGTKIAELLICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVVDPGFCKIKSYNPRTGMESLLINPISKASANQRAGRSGRTGPGKCFRLYTSYNYMHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDPPPSEALLKALEQLFALSALNSRGELTKTGRRMAEFPLDPMLSKMIVASEKYKCSDEVISIASMLSVGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLNVYNSWKETDYSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEISSNASDLDAIKKAITSGFFHHSSRLQKNGSYRTVKNPQTVTELKPDWLVEIAPHYYQLKDVDDTGTKKLPKGQGRAAL >ORUFI08G12410.2 pep chromosome:OR_W1943:8:13206572:13216884:-1 gene:ORUFI08G12410 transcript:ORUFI08G12410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGQLRDWVSDKLMSLLGYSKSVVVQYVIRLAKECSSTGDLVGKLVEFGFTSSAETRSFAADIYAKVPRKASGISNYQKQEREAAKLVKKQSTYKLLADEEDNDAETITSTSRQSSASTSSKSRKHFRRKAEDQDDGNDDDETKIKQDSGRNVKRRTEEVDDEDDGNDTDEEQERIRDQQERAQLEKNMRERDAANTRKLMERQLSKEEQEEITRRSQAMDKNDTSDLRKFSRQAYLQKRRDKKIDEIRDEILDHEYIFQDVKLTEAEEKEFRYKKKIYDLVKEHVESADDVAEYKMPEAYDMGDSVNQEKRFSVAMQRYKDPEARDKMNPFAEQEAWEEHQIGKSKLQFGSKDRKRSSDDYQYVFEDGIDFVKSSVIEGTQHEEDSDQEDIDEKDMLKRELQDERKTLPIYKFRDELLKAVEEYQVIVIVGETGSGKTTQIPQYLHEAGYTAKGKVACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTLIKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEVHYTKAPEADYIDAAIVTVLQIHVTQPPGDILVFLTGQEEIETIDEILKHRTRGLGTKIAELLICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVVDPGFCKIKSYNPRTGMESLLINPISKASANQRAGRSGRTGPGKCFRLYTSYNYMHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDPPPSEALLKALEQLFALSALNSRGELTKTGRRMAEFPLDPMLSKMIVASEKYKCSDEVISIASMLSVGNSIFYRPKDKQVYNSWKETDYSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEISSNASDLDAIKKAITSGKNGSYRTVKNPQTVTELKPDWLVEIAPHYYQLKDVDDTGTKKLPKGQGRAAL >ORUFI08G12410.3 pep chromosome:OR_W1943:8:13206572:13216884:-1 gene:ORUFI08G12410 transcript:ORUFI08G12410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGQLRDWVSDKLMSLLGYSKSVVVQYVIRLAKECSSTGDLVGKLVEFGFTSSAETRSFAADIYAKVPRKASGISNYQKQEREAAKLVKKQSTYKLLADEEDNDAETITSTSRQSSASTSSKSRKHFRRKAEDQDDGNDDDETKIKQDSGRNVKRRTEEVDDEDDGNDTDEEQERIRDQQERAQLEKNMRERDAANTRKLMERQLSKEEQEEITRRSQAMDKNDTSDLRKFSRQAYLQKRRDKKIDEIRDEILDHEYIFQDVKLTEAEEKEFRYKKKIYDLVKEHVESADDVAEYKMPEAYDMGDSVNQEKRFSVAMQRYKDPEARDKMNPFAEQEAWEEHQIGKSKLQFGSKDRKRSSDDYQYVFEDGIDFVKSSVIEGTQHEEDSDQEDIDEKDMLKRELQDERKTLPIYKFRDELLKAVEEYQVIVIVGETGSGKTTQIPQYLHEAGYTAKGKVACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTLIKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEVHYTKAPEADYIDAAIVTVLQIHVTQPPGDILVFLTGQEEIETIDEILKHRTRGLGTKIAELLICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVVDPGFCKIKSYNPRTGMESLLINPISKASANQRAGRSGRTGPGKCFRLYTSYNYMHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDPPPSEALLKALEQLFALSALNSRGELTKTGRRMAEFPLDPMLSKMIVASEKYKCSDEVISIASMLSVGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLNVYNSWKETDYSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEISSNASDLDAIKKAITSGKNGSYRTVKNPQTVTELKPDWLVEIAPHYYQLKDVDDTGTKKLPKGQGRAAL >ORUFI08G12420.1 pep chromosome:OR_W1943:8:13222146:13231255:1 gene:ORUFI08G12420 transcript:ORUFI08G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRDQDGTTKFYLSIVPLVNRYTTRTGWCNSICHKFFFPQDAGNSSHDNNSSKAAKQANATAARLRSAMAMAGNHRSSQLHLLLLFCCTTTLRAAALSFDYDFSADAAKNLVFMGDAAHAGDRINLTNLGVWRAGRVAHRQLVRLWDDDVGGGRTTTTSFTTAFSFAIGRNSTNQPADGMAFFVGLPRDNLPPHSDGAFFGLLSNNYFGPYGSPRTVGVEFDTFSNPMWDPEGTVDHVGIDVNTVTSKNTTAMPTLSLLAGVMRAEVSYDAAAARMAVTLRTLDGMSYSVEAAVDLRAAGLPQDAAVGFSAATGDLVESHQLLSWSFNSSTVFLVYKKHKCLLPWQRSTTAPRLHSLLRSQLKSYTYSEVRKMTKSFTHTLGKGGYGTVYKGSLSDGSTIAVKILEDSNNDGEDFINEVSSIGRISHINVVTLLGLCQHGSKRALIYEYMPNGSLDKFAVGGNDTMQQEKFLISWEKLYDILVGVAQGLDYLHHWCNHRVVHLDIKPQNILLDQDFCPKISDFGLAKLCKPKESKISIGCARGTIGYMAPEVFWGHRGAVTTKSDVYSYGMLILHMVGERENINASTESGSKYFPEWLYDNLNQFCGVPSGGIDGSNSTSEVAHKLVIIGFWCIQSAPTDRPSMSEVIDMFDRSLTELQLPPRISCCGNYNESFG >ORUFI08G12430.1 pep chromosome:OR_W1943:8:13233637:13234251:1 gene:ORUFI08G12430 transcript:ORUFI08G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRVAISLLLLVAAMASSFSFSPCTAQSSSSCASYTFSSNQQYGSCATLPRLGATLHYNYTAAASTVAVAFRAPQPAGGKGWVAWGINPSGSGMVGTQAVVAFRHSNGSLVAYPTVLGSYAPSMAPAAAKDLALPVSGVSAEENGKAKEVVVYATVALPAGKGTKFNHVWQQGSSVAGDVPAAHPTSGDNVLSVGSIDFSK >ORUFI08G12440.1 pep chromosome:OR_W1943:8:13235954:13237324:-1 gene:ORUFI08G12440 transcript:ORUFI08G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEVDMKLQSNEVYGYFHQIVLSDDSKSDGVSGVGDGGTGGSEVGSGGSGGGGEVGRGGDGGGGGVGDGDGGVDGSLFWCGRG >ORUFI08G12450.1 pep chromosome:OR_W1943:8:13239412:13239838:1 gene:ORUFI08G12450 transcript:ORUFI08G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLAPDGGGSADPTVAAPAATDPAVAGQTEANPMVAVDRLVVVCGCAPPSCLLVILVGGDAEDGAASSSLLAATALGADGDGSGGARAARGAALLEVAKPSAARQQRLQLGGEERKILGEGGERR >ORUFI08G12460.1 pep chromosome:OR_W1943:8:13241726:13242160:-1 gene:ORUFI08G12460 transcript:ORUFI08G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDYAEEFDQADEKLASFCLHLEAMAEAGDEIDQADEKLATFCLHLQAMAGTSGDDEIEQADESLAAFCLDLEAMASEAAAANAVASNANDDDMAAAAAAVDETKCKAAAALSTACCEAAGMAMIHHGHGAASSQVHLAAAC >ORUFI08G12470.1 pep chromosome:OR_W1943:8:13244694:13245950:-1 gene:ORUFI08G12470 transcript:ORUFI08G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHLAYHLPDLALEIVLSHLQSLADRASFRGVCRQWAAVWRDQWPRTPPMPWLAAPGHCVDLSDASVHRVPLPSGVDVDGIVCCGSLGNWIALTPKRRRWRPRHQVRPLLLNPFSGASVQLPILTPAAFRGGGDDINVEKIVMSSAPDSDGCVVAAIVMGSYSSTREIVIWRRGQESCSAPAAAPSNVADAVFHGGDLYVVDKCSQLYVFPNHVFSSDGGGGQELHPVRLEMDLTRTSRFVARVLLECDGRLLMADRHRHGGDAGYHEYRVYALERDASCGDWRWSPVTRLDGHVLFLGAGCCRALPVTGRDRVKDGNVVFLDDSAEITAVVTVDDRKPLERSALIRRSMDVPASNVLDTFRRRGGGGGGGADRPAPPAACMAGRRNQCFGFGGLQDLIVLLKSFVSLQEDGYTHRQ >ORUFI08G12480.1 pep chromosome:OR_W1943:8:13270489:13282566:1 gene:ORUFI08G12480 transcript:ORUFI08G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDDDDDEFPQLKDVDQYACKDSHEDLVCFSILPFWFDEEHRLLGSEKGVYIRGIDCEGRQPVYKRIEAWRVELDCEKPMISVLSSEGNWIRLLNPHPGYAEDIARSVLITIQMIHFVRKHPAKDERTLSKHLCEVFRKFFTKPPEVDDLRKSYSLIKYFMKMDQTLVKSKILRRPLEDTWIIIETELRKASPNESFIVSNESSTNCDDYTEDGSSGSSDDNGDNYTDGNASDDGTEAVCAICDQGGILLGCKGECKRSFHPKLEDGTKSFCKTLGYTSREVEVFQCCNASCGRFYHPKCVAGLLEPDGACGLEKRIADGMTFTCPKDVTARVWEVPKGDPKIFIYCLDHDIDATFRTPCRDHIKFPSAPQIERVKDLARKKVKVTDIRNTDEVSPESAELSTKPIQKLRNCKKINWALLFLWKKHQRALLALLVSIAGKGGSLGTYEDIVIQANTKSQESYEDQFNVSKKPTHISSQAGTCIASCQTRMNNKEHKSRRNKEDIPEMRSEKVATPKVSIKRKRKCEFEFLDDEVATTKYLMNEFLARSVEIYFELASQLEELKQEQDSLISTRVPSLEMGMAFQRAEKLPSLIVEKETKMAIESNVFKQMEQNRELSSPHKRPKGGVYLSDEQIKLVEYESTLELIQSKIREVKRLKTEVIDFVEIEELSSFHRKATAEVLITKMQSDMSLVWGKLSQHLDGLDEEAMLDREMHSLVQKCYFVADHANELVPCLPETST >ORUFI08G12480.2 pep chromosome:OR_W1943:8:13270489:13282566:1 gene:ORUFI08G12480 transcript:ORUFI08G12480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDDDDDEFPQLKDVDQYACKDSHEDLVCFSILPFWFDEEHRLLGSEKGVYIRGIDCEGRQPVYKRIEAWRVELDCEKPMISVLSSEGNWIRLLNPHPGYAEDIARSVLITIQMIHFVRKHPAKDERTLSKHLCEVFRKFFTKPPEVDDLRKSYSLIKYFMKMDQTLVKSKILRRPLEDTWIIIETELRKASPNESFIVSNESSTNCDDYTEDGSSGSSDDNGDNYTDGNASDDGTEAVCAICDQGGILLGCKGECKRSFHPKLEDGTKSFCKTLGYTSREVEVFQCCNASCGRFYHPKCVAGLLEPDGACGLEKRIADGMTFTCPKDVTARVWEVPKGDPKIFIYCLDHDIDATFRTPCRDHIKFPSAPQIERVKDLARKKVKVTDIRNTDEVSPESAELSTKPIQKLRNCKKINWALLFLWKKHQRALLALLVSIAGKGGSLGTYEDIVIQANTKSQESYEDQFNVSKKPTHISSQAGTCIASCQTRMNNKEHKSRRNKEDIPEMRSEKVATPKVSIKRKRKCEFEFLDDEVATTKYLMNEFLARSVEIYFELASQLEELKQEQDSLISTRVPSLEMGMAFQRAEKLPSLIVEKETKMAIESNVFKQMEQNRELSSPHKRPKGGVYLSGKLNSYPLNFSRTPFSP >ORUFI08G12480.3 pep chromosome:OR_W1943:8:13270489:13282566:1 gene:ORUFI08G12480 transcript:ORUFI08G12480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDDDDDEFPQLKDVDQYACKDSHEDLVCFSILPFWFDEEHRLLGSEKGVYIRGIDCEGRQPVYKRIEAWRVELDCEKPMISVLSSEGNWIRLLNPHPGYAEDIARSVLITIQMIHFVRKHPAKDERTLSKHLCEVFRKFFTKPPEVDDLRKSYSLIKYFMKMDQTLVKSKILRRPLEDTWIIIETELRKASPNESFIVSNESSTNCDDYTEDGSSGSSDDNGDNYTDGNASDDGTEAVCAICDQGGILLGCKGECKRSFHPKLEDGTKSFCKTLGYTSREVEVFQCCNASCGRFYHPKCVAGLLEPDGACGLEKRIADGMTFTCPKDVTARVWEVPKGDPKIFIYCLDHDIDATFRTPCRDHIKFPSAPQIERVKDLARKKVKVTDIRNTDEVSPESAELSTKPIQKLRNCKKINWALLFLWKKHQRALLALLVSIAGKGGSLGTYEDIVIQANTKSQESYEDQFNVSKKPTHISSQAGTCIASCQTRMNNKEHKSRRNKEDIPEMRSEKVATPKVSIKRKRKCEFEFLDDEVATTKYLMNEVCIGSFVLALRIRLTIQLTHCLLIVPCP >ORUFI08G12480.4 pep chromosome:OR_W1943:8:13270489:13282566:1 gene:ORUFI08G12480 transcript:ORUFI08G12480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDDDDDEFPQLKDVDQYACKDSHEDLVCFSILPFWFDEEHRLLGSEKGVYIRGIDCEGRQPVYKRIEAWRVELDCEKPMISVLSSEGNWIRLLNPHPGYAEDIARSVLITIQMIHFVRKHPAKDERTLSKHLCEVFRKFFTKPPEVDDLRKSYSLIKYFMKMDQTLVKSKILRRPLEDTWIIIETELRKASPNESFIVSNESSTNCDDYTEDGSSGSSDDNGDNYTDGNASDDGTEAVCAICDQGGILLGCKGECKRSFHPKLEDGTKSFCKTLGYTSREVEVFQCCNASCGRFYHPKCVAGLLEPDGACGLEKRIADGMTFTCPKDVTARVWEVPKGDPKIFIYCLDHDIDATFRTPCRDHIKFPSAPQIERVKDLARKKVKVTDIRNTDEVSPESAELSTKPIQKLRNCKKINWALLFLWKKHQRALLALLVSIAGKGGSLGTYEDIVIQGHGLPKGGKITKSYCGKGN >ORUFI08G12480.5 pep chromosome:OR_W1943:8:13270489:13282566:1 gene:ORUFI08G12480 transcript:ORUFI08G12480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDDDDDEFPQLKDVDQYACKDSHEDLVCFSILPFWFDEEHRLLGSEKGVYIRGIDCEGRQPVYKRIEAWRVELDCEKPMISVLSSEGNWIRLLNPHPGYAEDIARSVLITIQMIHFVRKHPAKDERTLSKHLCEVFRKFFTKPPEVDDLRKSYSLIKYFMKMDQTLVKSKILRRPLEDTWIIIETELRKASPNESFIVSNESSTNCDDYTEDGSSGSSDDNGDNYTDGNASDDGTEAVCAICDQGGILLGCKGECKRSFHPKLEDGTKSFCKTLGYTSREVEVFQCCNASCGRFYHPKCVAGLLEPDGACGLEKRIADGMTFTCPKDVTARVWEVPKGDPKIFIYCLDHDIDATFRTPCRDHIKFPSAPQIERVKDLARKKVKVTDIRNTDEVSPESAELSTKPIQKLRNCKKINWALLFLWKKHQRALLALLVSIAGKGGSLGTYEDIVIQGHGLPKGGKITKSYCGKGN >ORUFI08G12480.6 pep chromosome:OR_W1943:8:13270489:13281316:1 gene:ORUFI08G12480 transcript:ORUFI08G12480.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDDDDDEFPQLKDVDQYACKDSHEDLVCFSILPFWFDEEHRLLGSEKGVYIRGIDCEGRQPVYKRIEAWRVELDCEKPMISVLSSEGNWIRLLNPHPGYAEDIARSVLITIQMIHFVRKHPAKDERTLSKHLCEVFRKFFTKPPEVDDLRKSYSLIKYFMKMDQTLVKSKILRRPLEDTWIIIETELRKASPNESFIVSNESSTNCDDYTEDGSSGSSDDNGDNYTDGNASDDGTEAVCAICDQGGILLGCKGECKRSFHPKLEDGTKSFCKTLGYTSREVEVFQCCNASCGRFYHPKCVAGLLEPDGACGLEKRIADGMTFTCPKDVTARVWEVPKGDPKIFIYCLDHDIDATFRTPCRDHIKFPSAPQIERVKDLARKKVKVTDIRNTDEVSPESAELSTKPIQKLRNCKKINWALLFLWKKHQRALLALLVSIAGKGGSLGTYEDIVIQANTKSQESYEDQFNVSKKPTHISSQAGTCIASCQTRMNNKEHKSRRNKEDIPEMRSEKVATPKVSIKRKRKCEFEFLDDEVATTKYLMNEVCIGSFVLALRIRLTIQLTHCLLIVPCP >ORUFI08G12480.7 pep chromosome:OR_W1943:8:13270489:13277830:1 gene:ORUFI08G12480 transcript:ORUFI08G12480.7 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDDDDDEFPQLKDVDQYACKDSHEDLVCFSILPFWFDEEHRLLGSEKGVYIRGIDCEGRQPVYKRIEAWRVELDCEKPMISVLSSEGNWIRLLNPHPGYAEDIARSVLITIQMIHFVRKHPAKDERTLSKHLCEVFRKFFTKPPEVDDLRKSYSLIKYFMKMDQTLVKSKILRRPLEDTWIIIETELRKASPNESFIVSNESSTNCDDYTEDGSSGSSDDNGDNYTDGNASDDGTEAVCAICDQGGILLGCKGECKRSFHPKLEDGTKSFCKTLGYTSREVEVFQCCNASCGRFYHPKCVAGLLEPDGACGLEKRIADGMTFTCPKDVTARVWEVPKGDPKIFIYCLDHDIDATFRTPCRDHIKFPSAPQIERVKDLARKKVKVTDIRNTDEVSPESAELSTKPIQKLRNCKKINWALLFLWKKHQRALLALLVSIAGKGGSLGTYEDIVIQVHENKDSYYYFANNMTLVFL >ORUFI08G12480.8 pep chromosome:OR_W1943:8:13270489:13277481:1 gene:ORUFI08G12480 transcript:ORUFI08G12480.8 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDDDDDEFPQLKDVDQYACKDSHEDLVCFSILPFWFDEEHRLLGSEKGVYIRGIDCEGRQPVYKRIEAWRVELDCEKPMISVLSSEGNWIRLLNPHPGYAEDIARSVLITIQMIHFVRKHPAKDERTLSKHLCEVFRKFFTKPPEVDDLRKSYSLIKYFMKMDQTLVKSKILRRPLEDTWIIIETELRKASPNESFIVSNESSTNCDDYTEDGSSGSSDDNGDNYTDGNASDDGTEAVCAICDQGGILLGCKGECKRSFHPKLEDGTKSFCKTLGYTSREVEVFQCCNASCGRFYHPKCVAGLLEPDGACGLEKRIADGMTFTCPKDVTARVWEVPKGDPKIFIYCLDHDIDATFRTPCRDHIKFPSAPQIERVKDLARKKVKVTDIRNTDEVSPESAELSTKPSREEGDQSQEVPISREEGDQSQEVPFSNKQMDHNLLEHECATNNLRVDLQYESPIVRAAASVLISSEAAKLQENQLGSSILMEKTSKSSPCPVNISIAGKGGSLGTYEDIVIQVHENKDSYYYFANNMTLVFL >ORUFI08G12490.1 pep chromosome:OR_W1943:8:13292950:13293498:1 gene:ORUFI08G12490 transcript:ORUFI08G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDGALTAVLSPCWRRIWASTLLIFNDADLLPDNDNPQIFWRDIADIVSRVLAAYPSHRCIRLTNACSYVDSRSAAVLSRWLGVLAAKGVQELILVFLPIWPMRVEPPADVLCIASLHRLYLGLRRSFPDTEHIRLGADVFPYLIELGICRTNMKAKDLDRLLRSLTPNQSIAPFLTREQV >ORUFI08G12500.1 pep chromosome:OR_W1943:8:13301680:13322536:1 gene:ORUFI08G12500 transcript:ORUFI08G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFGGGGGAEKKLQHAPKVFDEMYSWKKAERRMAGRNLAASTMFDDDDDVEPPVNDVDIYYFEESEDKPVCFSVLPIKFDENEEVSCSDYKELNLRGFTDNNRHVFKKVIAWRVDLDCQRPKISVLSSDGKWIELLKPRMCYYEKKVRSILITVQMLHFVRKCPKKQQRSLFDHLGEVFNKFGPVPNGDDIKKHHHLIKLFMERDPILVKSKIIRCFIEDASRKAIEPIPRRACTEDRFIVSDESLESSDDCSYSNSDSSDDNNDDSTDEDTDTDGNATDDDTDMICAICDDGGKLLSCEGPCKRSFHARVKHGRKSKCRTLRFTSVELKLKESGTFLCENCEHNEHQCFKCGELEPSYGPNAKVFQCNKESCGHFYHPKCIAVLLEPEDTDGACKLEEMIADGMSFTCPVHWCFKCAKMEDRTRSEFQFAVCRRCPRSYHTECLPSYHVLMASNGIFHSEISFETKDKGAPKLAWKIKKRSYFYCLHHGIDATTGTPSGEHIKFPSIPKIKKTKNLSKKDAKVTGKRKKSGNKFSTKSTKLANVSPRGESKQTRRVARNSSSEHIALEHGCAVKRLKKDLQFEVPLVDVSARLSGAKTVEGKEGQPGTPSSFVMGEISKSASCAVDGETEKRVTSTAEKEISAGTSQDMATKDVLRQPRIEIDGLSECLVQIADKLHWYVQPGNTESCGKLPDGVLLERSIVVVREIVDLCFNMDNFSRLMKEKLEDVSKGCNFKNYDLFQHKNNLCFDESNWVTMQPKDLPHGLNLVMVLDLPLDIQAVSANKFVDKVLTFKPRLIIIVSPTDIERLDCKEEPYHLIWEYNQHIFGKPLYQPGDVDVNDDAKNGLHVIPLCVSLWSFPDKTEENMRIAGKHGHLNVGHKAHSVMLKDSSVDKGAKGDNEIFTVGKEDTSEREQTSEHCSGERLIIPNVVTFHANQEQNDELQSLYKEKHANGSGGGNNLTSGKESDVHRGENACGHNSAARQKTEISKRENRMTDSTHEENMRSGKEKIPRDGSNKGTVKPDLVDGLPPDKHVDVAFVHKTTTNRVDTQQECGYNVAVDVDDNYALQHEPKSPHGNDNLRGTEMDTSGDNSRKTREQKEVTDGKRLDLDRKREAVRMKNARDGHHEDDRTAHPQCVDVKSPSHNDDQRARGASVCKSRKRGTSNRILESRDTVSENSARRQVPVERRNGHAPYSRRPDYSATARRGSPSYPRRRRRSRHFSSERDWSTVPPRGNSPDTEYDGTRYNTEYDGYGSQSGCGYHGMDTLPVDRYYPQEHHNGAYRPQTNFGEEEQAAAYGRRRNDHGWGPDYRRRDSFDWEHRSSARGTVTDRYVPDLEQTNHQIPGQASLQDGYFMRRSRSFVNMHVPY >ORUFI08G12510.1 pep chromosome:OR_W1943:8:13324944:13325162:-1 gene:ORUFI08G12510 transcript:ORUFI08G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSEPGGSGFDSGSDGGGSDARKRAAASMMVGGSGKRHWEAGGGDNFDDGQRARRRLASGAGKQLPAMGNR >ORUFI08G12520.1 pep chromosome:OR_W1943:8:13328092:13343829:1 gene:ORUFI08G12520 transcript:ORUFI08G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSDDDLEPQLKAVENYYFVDDNDVPVSFDVLPFQFDAAEGVASFKKDVYLRGFTDGGLQKVYKQVVAWKLVLDGDSPEIAVLSTEGSWIALLKPRPSYEETIRSVLITVEMLHFVRRRPTDSEKDMWDHLYGVFERFVVRPLEDDFANHQNLIKLFAQRDPDLANSQVLQVFIKDKIMEKTNEVGSNNLDNKREPDIKQEPDIKQEPVAAGDEMEEIVEEGIPDAPSNDDDDDEEDEEDGDLFDSVCAICDNGGELLCCEGPCMRSFHAKIRDGEDSYCATLGYTKAEVKALKNFVCKNCDHKQHQCFVCGELEPSDGPNAKVFLCNNATCGHFYHPRCVAQLLHPNSRNEASEMEKKIMAGFSFTCPVHWCFHCKGLEDRTQEPLQFAVCRRCPRSYHRKCLPRDHEIDLDIGTPPRDHIKFPHVEKSAYSAKKKVKELAEKKRRICDDSYVSEPLQKRAKLNEKFNAKGDKSKKAGVKSEFEEVLESEKKKTRSLKKRTQPEEPLVECAAAAAANNANRPVKEREKELGTSSLDMGKIPLSSFPIVDSETEKRISALVEKEVSSLTVADISRRCVIPSTYACSGRQIDKIVVRGKLERSIQAVKAALQKLENGGAVDDAKAVCESEVLRQLTRWHNKLRVYLAPFIHGMRYTSFGRHFTKKEKLIEIAEKLHWYVQPGDMKSNNVDPETRPRRVNMLRGFGALSQFMKEKLDKVGKRCNFKNYDVIQPKNSFSFEKRDWMTVRQKELPHGSKLIMGLNPPFGPKAMLANKFIDKALTFKPKLIILIVPKEAERLDRKQQPYDLVWEDDQRLSGKSFYLPGSLDVSDKQIDQWNKSPPPLYLWSRPDWTQKHKRIAEQHGHTKANVFSHNEEDLVYLFEDRATQNHDVNNKNYTSGNGNFTAEKPVQADAFPPEKLVEVAYEEMKVASNRSSMYQSDQISVHDERDAHSDLPMSRHNSMKAKEVSNSSRDRRKSDKTGHEADSDMSILPSDSRNFLHKSGNLEPPISSRSGYTLERLRYHDNHFDHLVGEHSSSSLQMPIFEDSYFRSVNEYGVASVENNIALSTDNVGAGSRMYSPDPELNGYAVDPTVNAYGSVSGGTGGSFYRRQNLEDYTMDSSESAQMNPVPGRDVQEYARTYYGHNRDEVPQTAINTPSMDIRTHIRMYGRHIRDDHTQTTMNPPANDIRAQIRMYGQHATSDHQHASRYSSGSPDARFEQQPSFTSYGMPSLGSTGRSMMDRYSPSIDETSYRTGQRGPYNASDFRRDRHPDDMNFALHNQYPYPHPGSSGGWHD >ORUFI08G12530.1 pep chromosome:OR_W1943:8:13346671:13353802:-1 gene:ORUFI08G12530 transcript:ORUFI08G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMSAFRVYFSEGEIMENESGVDLSNFRQCTLYHPNPDTLTMPEVWQKRHCMQKRQADNRYRRSMHSRMTPWIEAWSQALNDVVQETRPYDHNTYEQYMAWYSSQTRIRLLAPEDPDEQGPPTIDQIYDMHLAPPAHLTTDIVGELVRGAKTLWEKLRDGIAGTNQEVMAAVDSLRRKEGTLMVRPMPHMPPARPQMIPQMAPDVPTSHWQGGFAPFAGSFRPELMSGFRPYTASYGDMSSFSGGLSSVPNELRTSQTDDAPHVTQPTQPEVGKGNDNDPRRSNRERHEPNRLSLSGPRHAAGQRKKKLQKSEVEHLGLRLTMIMMTSRSEHYVFQFTGNPI >ORUFI08G12540.1 pep chromosome:OR_W1943:8:13365314:13368214:-1 gene:ORUFI08G12540 transcript:ORUFI08G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTQVRGVVEDDFNPRRRRTLWNTPAASMPTTTHTIRYSTPRKGHANAHQLLAKFEDLYGFMVEGNVDDVNVLNDVRERMREQGRVWWALEASKGANWYLQPRISSNGGSEGVISVTSLKLSVLTNTITLRRLIRKGVPPVLRPKVWLSVSGAAKKRSTVPETYYDELIRATEGKTTPATLQIDHDLPRTFPCHSWLNSEEGQASLRRVLVGYSFRDSEVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTDNLSGCHVEQRVFKDLLAKKCPRIAAHLEAMGFDVSLVATEWFLCLFSKTLPSETTLRVWDVLFNEGAKVLFHVALAIFKMREDDLLRIQHIGDVIDILQTTAHHLYDPDELLTFAFDKIGSMTTNTITKERKKQETVVMAELDQRIRRLSSLKMDG >ORUFI08G12550.1 pep chromosome:OR_W1943:8:13400866:13402595:1 gene:ORUFI08G12550 transcript:ORUFI08G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEQQRVKTTSQWVSHVEHLLDAGGNPSEEEARRWRRQSVYRVPAYIKHRTSYGAPQLVSLGPFHHGVPELRPAEEHKERALLHLLRRGGGGGDGRRLRLGSLVASMEEVVVELQDAYQGLGATKWRDDRDGFLKMMVLDGCFLLEVMRAAAEAAQGDGDGEGVGGGYAANDPVFSRHGELYVFPYVRRDMLMIENQLPLLVLQRIVAFVHGGAAPEASDDAINNMVLSFVSMIPDPPAMRGGGGLALHPLDVCHRSLLHGSPPRPCHTGRREEFVPSATELDQAGVRFRPSRTRSLHDISFRHGALRIPRLAVDDTTEHKLFSLMAFEQLHGAGANEVTAYVFFMDNVIKSGDDARLLGASGVVSNGLGSDEAVAEMFNRLASEAELDRRSALHGVHGEVNAYREKRWNQWRASLVRNHAGNPWAIVSLVVAFVLLVLTVLQTVYTVLPYYQEQAAAGEAALREL >ORUFI08G12560.1 pep chromosome:OR_W1943:8:13403907:13404840:-1 gene:ORUFI08G12560 transcript:ORUFI08G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRTYWDKALTKIFLDLCIAEKTKRNHNKKGRTNIGWQNLYRNFREQSGKNYDSKQLQNKFSTFKRQYKLWKSLKNKSGGGWDNNSSTIRCDDDWWEDRIEENRDARQFRGKPLEHEDELTTHFGCMDTEEGTMLCVGGIGKRTPSSGSDDNLTPMSNDNVGLSSAGRKEQVVDRPPPKKSKNMEYYVGCVSESMLERSRNESSVLRGEQDEIKELLQLVEEDGVAQGSELYFIATDLFRSAARWAAFRCIYPAENRKAWLRWTWDNARKK >ORUFI08G12570.1 pep chromosome:OR_W1943:8:13407502:13415785:-1 gene:ORUFI08G12570 transcript:ORUFI08G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMTIGAKYKTTLKDPGTTGVLRMNEDKFTFTPHDPRSAMKLNVDFRSIKGHKFNKVDGSKPAPPLLNLSKDSDKGGGYMFEFDNVTNRDLSRDFVGKQQGTIPPKPNVPPENSVTSTGEEQLSAAEMQRRMKLLQEDSELQKLHMKFVLGNILQESEFWATRKNLLEDEANKGSKQRPGFKSAMLADVRPSADGRTNKVTFSLTTEIIHQIFAEKPAVHRAAEYLLRTKNTVAATAEAAEDEELAIFLKNDDILAKEARLKIKRVDPTLDMEADAGDDYIHLPDHGIIRDGSKETIDNDGELARRTLSQDLNRHAAVVLEGRSSDVELTDTKTVAEAIARSKKEPPSSSISDDTNHERLVKVARMTEIEDLQAPRSLPYAPLCIKDPREYFDSQQANALRSLGGNNDGRHARNCRLSTDDAFDHLLGQISSIKVNGLNYPVIQSDVALKHWAAIQELLQHFWSSYPITTSVLYNKVQRIKDAMTQIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKSGNKPNGF >ORUFI08G12570.2 pep chromosome:OR_W1943:8:13407502:13415785:-1 gene:ORUFI08G12570 transcript:ORUFI08G12570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMTIGAKYKTTLKDPGTTGVLRMNEDKFTFTPHDPRSAMKLNVDFRSIKGHKFNKVDGSKPAPPLLNLSKDSDKGGGYMFEFDNVTNRDLSRDFVGKQQGTIPPKPNVPPENSVTSTGEEQLSAAEMQRRMKLLQEDSELQKLHMKFVLGNILQESEFWATRKNLLEDEANKGSKQRPGFKSAMLADVRPSADGRTNKVTFSLTTEIIHQIFAEKPAVHRAAEYLLRTKNTVAATAEAAEDEELAIFLKNDDILAKEARLKIKRVDPTLDMEADAGDDYIHLPDHGIIRDGSKETIDNDGELARRTLSQDLNRHAAVVLEGRSSDVELTDTKTVAEAIARSKKEPPSSSISDDTNHERLVKVARMTEIEDLQAPRSLPYAPLCIKDPREYFDSQQANALRSLGGNNDGRHARNCRLSTDDAFDHLLGQISSIKVNGLNYPVIQSDVALKVQRIKDAMTQIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKSGNKPNGF >ORUFI08G12580.1 pep chromosome:OR_W1943:8:13419799:13420392:-1 gene:ORUFI08G12580 transcript:ORUFI08G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAMASSSSRGVTVPFLMILPLLFVVAALPAEVTVTGDGILLPSCKTVGGGSTYFDVQFCLDALGSVGAGAGARSYRDLAAVAVGLLTANATSTSVKIDALLRGGGGGGKVDAATARCLRSCRALYAGIARRQPGCAAAVRGGRLGEARSSLEESAAAARRCEDGFRGGNATSPVTAEDDAAFKLAKLGVALLGFA >ORUFI08G12590.1 pep chromosome:OR_W1943:8:13428816:13432412:1 gene:ORUFI08G12590 transcript:ORUFI08G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRTSARYGTTTTFTGPVRKWRKDWSDAAAAAAAAAAEEEKATKRRRYVPVSVVEDERQESGKSDDENKANDGEPSSAETEPSNGKTNINDTPMDESQASDEARYSGKNGGGTDLNLNLGLKDPDGDNDIDTEEQDAENNTHTENRLKRKSVAPDLEMRM >ORUFI08G12600.1 pep chromosome:OR_W1943:8:13434156:13437102:-1 gene:ORUFI08G12600 transcript:ORUFI08G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARLLARISRQGVASAAAARRQAEAAALLGASAGRHLAPPCSSIKALPLLNQPRLYSTSTFQRFGFSSSAPQQDDKAANKQTEDGVNKSTQSEASNETNSSPGTENASQAGSQDSVPQSNRRRRGTKRTAFSDSDTEDLDLSKEDLTKLVLEKEELLKSKDEEVKDMKDKVLRSYAEMENVIARTKRESDNAKKYAVQGFSKSLLDVADNLSRASSVVKESFSKIDTSNESAEAVKLLNTLLEGVEMTEKQLGEVFKKFGVEKFDPLNEKFDPNKHAALFQIPDPSKPSGTVAAVVKVGYMLHDRVLRPAEVGVTEGGPIEEEPEEKSDKSD >ORUFI08G12610.1 pep chromosome:OR_W1943:8:13444497:13454894:-1 gene:ORUFI08G12610 transcript:ORUFI08G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPIVKSARELEDDETTLALRAAPPVLRHSQPEPAADGEAHPTSSKKNVQEIPTPQYDDVDTYERDYTRTFAQPTSYIRARGEKLLLAARAEIGEFVEYDLDNEDEDWLEDYNNERKNLNPEKLEVLLFKLETLDHKARERAGIITPTFLGPIPVILQLDSAMEALQYLSVRYAVFQAVYNYWKSKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAYRLHTRRMQRRENSVQSFDKLRVVRRNLEQAKALMGALIKREERKRETMECEVHLRRIQMRYKHEAQLIDDGIALSGLQQAGSSEDDYADSDDTANEQPYVRSVAFHPRFPDNKLSAVPPLRLKRERELKRRPHQNGWLFKRVPEMRDPEEPVMLFTRPIDPDKLKMAGIRPPLDPPIDSGTTAPPFRWQARIGRGGRIIFDRWNPFLQVPVGQETNHRPSMPEG >ORUFI08G12620.1 pep chromosome:OR_W1943:8:13461342:13465667:1 gene:ORUFI08G12620 transcript:ORUFI08G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATTSDAASATPAAAFLAMPGWTPGPSIPDGVESFANGAGVRLLRHARGIVEEDDLDLRWEAATGERVSEVVLLSRHTAVSNRPALTVHPIGVPHLRDGVDVPPQGGRPGWAAPPNPRIGPWLRLLRRIAADRGLVPEFEITLEGTHHGPVTNTPTLFVEIGSTEEYWGRQDAADAVALLLWKGLGLGEEESVGNWHGNGEKVLLGIGGGHYAPRHMDIVTKDGVWVGHLLSGYSLPMEEPKQMNGKNVADVGGMWKHSIKVSYEATKAAFPGGEIIAHIDQKSFKGWQKNAITSYLQELNIRVGKPNDFF >ORUFI08G12630.1 pep chromosome:OR_W1943:8:13466548:13469985:1 gene:ORUFI08G12630 transcript:ORUFI08G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSERPASSGRHGGDNSSMVPPSLSPSTADASPILSPQRIWEATTTTTEGLAAHGDGRLGGSSARGDVDGGLGGARLRATAGTGRRATPLAPQRGSNAVPSLTRPLFRPRTGGLIWTQEPHFGGSGSKGGSIKGTKQQEAPLGDRGSVRTKRSHDDVH >ORUFI08G12640.1 pep chromosome:OR_W1943:8:13469459:13470291:-1 gene:ORUFI08G12640 transcript:ORUFI08G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAYNKKLANWEKSNRMCLIYVKGAISPEVIGGIIDYNDIKMYLANIEESFEFAPDTHANMLVNEMITSHYNGKSGIRKHILEMTHMANQLRSMDMKISDGFLVHIIMRSLGPNYDPFKTKYNTQKEEWTIQELILHSVEEEERQKAEKQKIKDRLNLTNAFDKGKKVYQGESSNKNSEPEGEQKQEGIKASTSAVPLSTSPYCHFCASDGHWQRNCTRFTAWLVKKGNSHRPNGSKEGSEHSE >ORUFI08G12650.1 pep chromosome:OR_W1943:8:13495377:13496173:-1 gene:ORUFI08G12650 transcript:ORUFI08G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAQTCHVPAQISAKPRSIKPQTSMRTSVKVHKLTTEDAMNYILTIKNKFLRHPEKFHAFIHTMIDFSRGRINTHTVIERVKILFDGYPDLLLAFNKFLPRGINAI >ORUFI08G12660.1 pep chromosome:OR_W1943:8:13500205:13503025:1 gene:ORUFI08G12660 transcript:ORUFI08G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELRLVAGARSSRSWDDIHRCPDVEGTQLCSLLNELYHKPVVPAGILLPPDTGDDRDRSDMMRWLDKQLARSVVYVALGTEAPITSGTVRELDSSRRYATPASGCRRGTRRGVVEMRHLTQIILSSLVICLPRTRIAESEQ >ORUFI08G12670.1 pep chromosome:OR_W1943:8:13509965:13511290:-1 gene:ORUFI08G12670 transcript:ORUFI08G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPTLPRPGLVAQRRGGGSGDGAATARDLTVSSCGRRRGAAKRRRKSSFMDSGRESSPSARHGRSRGRKRDLTASESAAARKSRQTTASSFTGRSRAAAVALLYDADAESRAVSQGALDMPAWPMAATSTLSVASGKSIGNQVYNVEWTNSTSYYFNSTSCPATHQHPATRAHDCLADGRLSRLDSNPTSLASLHHYVKSDILLKGN >ORUFI08G12680.1 pep chromosome:OR_W1943:8:13513985:13515244:-1 gene:ORUFI08G12680 transcript:ORUFI08G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPSSGDRAPTRETASTQRLTITPYSSFSGLSSGKPVISGLFSLGGHLWDILFFPGGYYSGSPYAAVFLRLVSSDHREQVRVLVDFTLVYRRGGMTGGGEDDGSYTRCGYHVFGPGPATVGRGCFGFPEFILQHDLAASGVLLRGDRLVVECAVLLAADADEVLRRGPRPLDDELRRGLRRMLEDGTGADVTFVVRGERFRAHRCVLAARSPVLLAELHGPAARAMGETQDTDDATTTITIDDMEPDAFAAMLRFAYDDTLPELPGNSERDATGVHMAQHLLAAADLYRMDALSQACQDRLARCVTPATAADTYALADRLGLRLLKAAVVRDVAATGARGIEAVKNSEGFRRLAAADAATAEEMVRKVMAAASAHVKREEVSTVSSMEMILGSLGVAVFAGIALAALNFGPFRPIK >ORUFI08G12690.1 pep chromosome:OR_W1943:8:13515706:13516804:-1 gene:ORUFI08G12690 transcript:ORUFI08G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLNTDNPFAGIRIPFAHATVDGDSGGVEKGASVHGEENVAVVARGGDAAKRTHRAPAEAGGGAATSRDSLDKRAGEEDSDGGGSQAGAETRAEAKAARATRKGFDGGKPPISGEADVDRGALAVEKAARKGECGEGAEKATALEGEETPGQATEAAREMDGGGSGDGGEWRREVVKWRRCERNRIGIGWVRD >ORUFI08G12700.1 pep chromosome:OR_W1943:8:13517038:13520341:-1 gene:ORUFI08G12700 transcript:ORUFI08G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVILHLSPRQKEAIEGSGLGNLLKIDNIHIDRNLCNEIARSYDKEKKAFNINEYLNLVDDVDNMRELNWSSLTLNQLLKGILKFREKTTNIEGNVCLLQVVDDITGTIHCNEIPDEKAQDNDSETRSNEDFQGTSEEVHSITSTEQSADITLQERLQESIQTLQDNFNDFTKDFWPRMRSLILDCMENDSKCPERKDSTHVFEDVEQEQIDPREHVSNHNEESYINQNVNMTCETKSNQSRKMLTGPTGRTYKPTNRTDFIYETRGKKKDIIKTQAQTKKTIELTKKEDPFITYINNTEDNKVMVHIEEVEVKRIRMKVLTQPEFLNDDVMDAYILCLRYKEKGIRGDGKAFLEMAMKTGLLNVEGAHKEVKTFRQDLAGILINSELNNIKDRPLLPTTT >ORUFI08G12700.2 pep chromosome:OR_W1943:8:13517038:13520341:-1 gene:ORUFI08G12700 transcript:ORUFI08G12700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVILHLSPRQKEAIEGSGLGNLLKIDNIHIDRNLCNEIARSYDKEKKAFNINEYLNLVDDVDNMRELNWSSLTLNQLLKGILKFREKTTNIEGNVCLLQVVDDITGTIHCNEIPDEKAQDNDSETRSNEDFQGTSEEVHSITSTEQSADITLQERLQESIQTLQDNFNDFTKDFWPRMRSLILDCMENDSKCPERKDSTHVFEDVEQEQIDPREHVSNHNEESYINQNVNMTCETKSNQSRKMLTGPTGRTYKPTNRTDFIYETRELTKKEDPFITYINNTEDNKVMVHIEEVEVKRIRMKVLTQPEFLNDDVMDAYILCLRYKEKGIRGDGKAFLEMAMKTGLLNVEGAHKEVKTFRQDLAGILINSELNNIKDRPLLPTTT >ORUFI08G12710.1 pep chromosome:OR_W1943:8:13532432:13534001:1 gene:ORUFI08G12710 transcript:ORUFI08G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPGGSAGLAERLAGAVGHPLTPPVFTPLLLWLLGRGALFLLARLGSWGLVDEAGESGKLSMSMRRRGSSRRHNNEGGERRSEAGREAREMVVEQPMIPRETPLQEPLIFAAAVDPCGGDGGTVDHLFLHVLSGDVPRERIPLHPARPSRSLPSPATSASSRDPSQFGRIDSGDLPRPAPVAPGEAVLHPPPRLRIPAPRVSSSAFSRLLDATADRGLSELAVCLHRNGFLPKNLLSIRSLTVVSLNSCGLPRRLWRNGRWATQAVRAASGNPSNHSNSHLIGGYAATSLGRWCLVSTFGGGGHGRRMDHTAMSLDWVRKEEDREIGEETWVPAVLLGHVRLSRAAGRPAARDGEGGGGADEGDGQAEELACSAQRRVAGERRNVKRGRGRRGRRKRV >ORUFI08G12720.1 pep chromosome:OR_W1943:8:13534585:13542288:1 gene:ORUFI08G12720 transcript:ORUFI08G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLNPLSRAPSTHIRSLARVLLSCLPTGGDRLRRLNPAIHARATVAGRLDDLFLTNLLLRGYSNLGRLRDARHLFDRMPHRNLVSWGSVISMYTQHGRDDCAISLFVAFQKASCEVPNEFLLASVLRACTQSKAVSLGEQVHGIAVKLDLDANVYVGTALINLYAKLGCMDEAMLVFHALPVRTPVTWNTVITGYAQIGCGGVALELFDRMGIEGVRPDRFVLASAVSACSALGFLEGGRQIHGYAYRSATETDTSVINVLIDLYCKCSRLSAARKLFDCMEYRNLVSWTTMISGYMQNSFNAEAITMFWNMTQAGWQPDGFACTSILNSCGSLAAIWQGRQIHAHVIKADLEADEYVKNALIDMYAKCEHLTEARAVFDALAEDDAISYNAMIEGYSKNRDLAEAVNIFQRMRFFSLRPSLLTFVSLLGVSSSQLAIELSKQIHGLIIKSGTSLDLYAASALIDVYSKCSLVNDAKTVFNMLHYKDMVIWNSMIFGHAQNEQGEEAIKLFNQLLLSGMAPNEFTFVALVTVASTLASMFHGQQFHAWIIKAGVDNDPHVSNALIDMYAKCGFIKEGRMLFESTCGEDVICWNSMITTYAQHGHAEEALQVFRLMGEAEVEPNYVTFVGVLSACAHAGFVGEGLNHFNSMKSNYDIEPGIEHYASVVNLFGRSGKLHAAKEFIERMPIKPAAAVWRSLLSACHLFGNAEIGRYAAEMALLADPTDSGPYVLLSNIYASKGLWADVHNLRQQMDSSGTVKETGCSWIEVTKEVHTFIVRGREHPEAELIYSVLDELRSLIKNLGYVPDTSDHTLLCETASTTLKHKCCAQGEMGIGTSTSGAWVVSSVLVSVLNDLSKEVGEGVQRPDEYTGSEATARAA >ORUFI08G12720.2 pep chromosome:OR_W1943:8:13534585:13542288:1 gene:ORUFI08G12720 transcript:ORUFI08G12720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLNPLSRAPSTHIRSLARVLLSCLPTGGDRLRRLNPAIHARATVAGRLDDLFLTNLLLRGYSNLGRLRDARHLFDRMPHRNLVSWGSVISMYTQHGRDDCAISLFVAFQKASCEVPNEFLLASVLRACTQSKAVSLGEQVHGIAVKLDLDANVYVGTALINLYAKLGCMDEAMLVFHALPVRTPVTWNTVITGYAQIGCGGVALELFDRMGIEGVRPDRFVLASAVSACSALGFLEGGRQIHGYAYRSATETDTSVINVLIDLYCKCSRLSAARKLFDCMEYRNLVSWTTMISGYMQNSFNAEAITMFWNMTQAGWQPDGFACTSILNSCGSLAAIWQGRQIHAHVIKADLEADEYVKNALIDMYAKCEHLTEARAVFDALAEDDAISYNAMIEGYSKNRDLAEAVNIFQRMRFFSLRPSLLTFVSLLGVSSSQLAIELSKQIHGLIIKSGTSLDLYAASALIDVYSKCSLVNDAKTVFNMLHYKDMVIWNSMIFGHAQNEQGEEAIKLFNQLLLSGMAPNEFTFVALVTVASTLASMFHGQQFHAWIIKAGVDNDPHVSNALIDMYAKCGFIKEGRMLFESTCGEDVICWNSMITTYAQHGHAEEALQVFRLMGEAEVEPNYVTFVGVLSACAHAGFVGEGLNHFNSMKSNYDIEPGIEHYASVVNLFGRSGKLHAAKEFIERMPIKPAAAVWRSLLSACHLFGNAEIGRYAAEMALLADPTDSGPYVLLSNIYASKGLWADVHNLRQQMDSSGTVKETGCSWIEVTKEVHTFIVRGREHPEAELIYSVLDELRSLIKNLGYVPDTSDHTLLHKCCAQGEMGIGTSTSGAWVVSSVLVSVLNDLSKEVGEGVQRPDEYTGSEATARAA >ORUFI08G12730.1 pep chromosome:OR_W1943:8:13562886:13563714:1 gene:ORUFI08G12730 transcript:ORUFI08G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNKGMGGSVDDNDCADCACSAKGPTRFSDGGAGTETMKAGSRRRRHSWPPRAWRGPLTDGVEDLDVPRLRPLDLVTARRGGVRALWWQSSSEGKSRHHDGNGVMATERAQQGWGRSQQRMKSGQWRPRRVVRPTIDMAKWLSKFGQCAVRFGQVGKAVGDIFSPKLLNFGLESH >ORUFI08G12740.1 pep chromosome:OR_W1943:8:13571193:13571432:1 gene:ORUFI08G12740 transcript:ORUFI08G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARELELMASWSDGMGRHSYPTRILVDSSEQKCDASEKGAWTSSSIRAPLQGCGSFRGDVNIRFQRCETTLQGGALGMP >ORUFI08G12750.1 pep chromosome:OR_W1943:8:13571981:13578437:1 gene:ORUFI08G12750 transcript:ORUFI08G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRRSESMEGLFSFDERKDRRSDVENSEDERRRLSIGSLKKKALNASNKLTHSLKKRGKRKVENRPSFTIEDVRDEEEERAVFSFQQELFSRNLLPDKHNDYHMLLRFLKARKFDTEKAIQMWAEMLQWRKEFGADTILEDFNFEELDEVLVYYPQGYHGVDRQGRPVYIERLGKVEPNKLMHITTVDRYMKYHVQEFERAFHEKFPACSIAAKRHIDSTTTILDVDGVGLKNFSKTARDMLGRMQKIDSDYYPEMFVVNAGNGFKLLWNTVKGFLDPKTASKIHVLGTKFHGKLLEVIDASQLPEFLGGACTCAAEGGCLKSNKGPWNDPNIMKLAHNKEAKFTRHTRRLSEIEQRRGSFARLHLLKGRSSDTSTVESGSDVDDLSSPMMRRPVECSRLAPVREEMQIRARDSAAYYSCDDHFVVVDKTVDYGRGGAMPDKTSAPEVRAQARPFGGSTTSYATGSSSNRGGISSSNRSRTVVPKENTDEGFFRRFFRLLLALIIKVFAFFHIAYGQQEMRVDNPLPPAEPEPTSDDHPAVETFSVDRISPVIERLQRLEGKVDELGNKPPEIPLEKERSLLESWDRIKCIESDLERTKKVLQATVMKQLEIAESIEEVIRRQLRVKLVAPSVSSSNMDWRIICIFHLTVFLH >ORUFI08G12760.1 pep chromosome:OR_W1943:8:13583572:13584525:1 gene:ORUFI08G12760 transcript:ORUFI08G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFRLDPSVDQIQEMKSPASSHREQPWLSSVYASPAAIGGSNRFEHKRENVDDVLAHPVLTKQRNGGSEVQGAAEVVVVGQRRQNSGVNVAGSTQGANCNFESTGVLEMSHRGCMHEESGGNSPELVAGAASAVRVAGGEDGDAHHVLDRLVLGQKGREERGTHRGCTATTAKSPEPGKTAELWAFCEWGVFSWTWNAMWGVCCCFWCFGLGYKEEWSGGVRERGGWCFHCVPWASIGHGGRWML >ORUFI08G12770.1 pep chromosome:OR_W1943:8:13600099:13603743:-1 gene:ORUFI08G12770 transcript:ORUFI08G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRVVAPAPSVLLLVAAAVVLLHLARAIAGAADEAAALLAFKDASVAADPGGALAGWANSTTPGSPCAWAGVSCAAGRVRALDLSGMSLSGRLRLDALLALSALRRLDLRGNAFHGDLSRHGSPRRAAPCALVEVDISSNTFNGTLPRAFLASCGGLQTLNLSRNSLTGGGYPFPPSLRRLDMSRNQLSDAGLLNYSLTGCHGIQYLNLSANQFTGSLPGLAPCTEVSVLDLSWNLMSGVLPPRFVAMAPANLTYLSIAGNNFSMDISDYEFGGCANLTLLDWSYNRLRSTGLPRSLVDCRRLEALDMSGNKLLSGPIPTFLVELQALRRLSLAGNRFTGEISDKLSILCKTLVELDLSSNQLIGSLPASFGQCRFLQVLDLGNNQLSGDFVETVITNISSLRVLRLPFNNITGANPLPALASRCPLLEVIDLGSNEFDGEIMPDLCSSLPSLRKLLLPNNYINGTVPSSLSNCVNLESIDLSFNLLVGQIPPEILFLLKLVDLVLWANNLSGEIPDKFCFNSTALETLVISYNSFTGNIPESITRCVNLIWLSLAGNNLTGSIPSGFGNLQNLAILQLNKNSLSGKVPAELGSCSNLIWLDLNSNELTGTIPPQLAAQAGLITGAIVSGKQFAFLRNEAGNICPGAGVLFEFLDIRPDRLANFPAVHLCSSTRIYTGTTVYTFRNNGSMIFLDLSYNSLTGTIPASFGNMTYLEVLNLGHNELTGAIPDAFTGLKGIGALDLSHNHLTGVIPPGFGCLHFLADFDVSNNNLTGEIPTSGQLITFPASRYENNSGLCGIPLNPCVHNSGAGGLPQTSYGHRNFARQSVFLAVTLSVLILFSLLIIHYKLWKFHKNKTKEIQAGCSESLPGSSKSSWKLSGIGEPLSINMAIFENPLRKLTFSDLHQATNGFCAETLIGSGGFGEVYKAKLKDGNIVAVKKLMHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKNGSLDFVLHDKGEANMDLNWATRKKIAIGSARGLAFLHHSCVPHIIHRDMKSSNVLLDGNFDAYVSDFGMARLMNALDSHLTVSMLSGTPGYVPPEYCQDFRCTTKGDVYSYGVVLLELLTGKKPIDPTEFGDSNLVGWVKQMVEDRCSEIYDPTLMATTSSELELYQYLKIACRCLDDQPNRRPTMIQVMTMFKEFQVDSGSNFLDDFSLNSTNMEESSEKSV >ORUFI08G12780.1 pep chromosome:OR_W1943:8:13608122:13619010:-1 gene:ORUFI08G12780 transcript:ORUFI08G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLAVASPHPAAAFAAARPRRRPRPAAAPSHRGVNPQRWRCEKTQAWSFWSSLRASDLPGGLYGDVSKNMLKPAAAVSVEQAEASAHLPKGDMWSVHKFGGTCMGTSQRIQNVADIILRDPSERKLVVVSAMSKVTDMMYNLVNKAQSRDDSYITALDEVFEKHMAAAKDLLGGEDLARFLSQLHADVSNLKAMLRAICIAGHATESFSDFVVGHGEIWSAQLLSFAIKKSGTPCSWMDTREVLVVNPTGSNQVDPDYLESEKRLEKWFARQPAETIIATGFIASTPENIPTTLKRDGSDFSAAIIGSLVKAGQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKYNIPIVIRNMFNISAPGTMICQQPANESGDLEACVKAFATIDKLSLVNVEGTGMAGVPGTASAIFGAVKDVGANVIMISQASSEHSVCFAVPEKEVAAVSAALHVRFREALSAGRLSKVEVIHNCSILAAVGLKMASTPGVSATLFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGRTLLNQLKDQAAVLKENMNIDLRVMGITGSRTMVLSDTGIDLAHWKEQLQTEAEPANLDKFVDHLSENQLFPNRVLVDCTADTSVASHYYDWLKKGIHVITPNKKANSGPLDKYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTRTFSDVVSEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVRSLVPEALRSCSTADEYMQKLPSFDQDWARESKDAEAAGEVLRYVGVVDLVNKEGQVELRRYKKDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPS >ORUFI08G12790.1 pep chromosome:OR_W1943:8:13624455:13628706:-1 gene:ORUFI08G12790 transcript:ORUFI08G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGQMVHEIHSKNSWNKEMRLSTCSTALDSTLKTCSRFISLWLIGNNLHNLELWRLEVASHDLHVVKYLLDLLGLNYEDVLPIYIGDDTTDENAFKVLDSGPIYIGDDTTDENAFKEKLQQAHDIFYFCKVHEIHLKDSRNKGNAVKYMLDRLGLNSEDVLPIYIGDDTTDENAFKTITKWDG >ORUFI08G12800.1 pep chromosome:OR_W1943:8:13645026:13645340:-1 gene:ORUFI08G12800 transcript:ORUFI08G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTRSGNEGTDRVTPPQPAGARVKTMMTDRNSQPHTVGCVCVCVGVGGKPELAVRQRLGHGRLQEGIIEGESARTGQLARRIRRNHCWEVETYRASAQIELAR >ORUFI08G12810.1 pep chromosome:OR_W1943:8:13647328:13653839:1 gene:ORUFI08G12810 transcript:ORUFI08G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVHEGAVAAREMAAAVLNALAPAATVAQLAGADAAGLVSAILQAVRAARRNRRECRLLARRAMMVGDLLRLLPPESETMRRPEVRWALDGLGGALRQALELVESCQESGAVRGLMTAGRQAEQFREVQGEINDYMLLFPVVSHIDITRRLDLIYGLLLPADSQPHQMPEDAPGSPGDHFEADVRNVEITAAEVIPFESNQTITEPFEFGEHQVAGHQEGLEELLSKVQRLKWLCWWSQHDSLERPSTYDLLKAATNNFSSKSKIASGGWATVYKAQMRNSLEIAIKVYPMGTGEKRVFSQYERELNLLTKLQHTNIIKLLGHCTGEWELILIYEYMPNGSLDKFIHGPNREVSFDWFSCFKIIQGIAEGLLYLHTYEAEICIVHRDLKPSNILLDSDMNAKIGDFGIAKTISPARQQDTYVSGTFGYIAPEYLRGGILSTKVDVYAYGVILLEIITGRRSCIPCLKDDEYVHLTEYAWDLWRTGRSAELLDAALRNEARIAEITSCIQIALLCVQKDPADRPSMLDVLAMLRDEKIVAAPKKPGDLLLGDETSVESGDLLLGEETSGETAHWFASSGATCSSTEFTVPR >ORUFI08G12820.1 pep chromosome:OR_W1943:8:13655131:13656911:-1 gene:ORUFI08G12820 transcript:ORUFI08G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRERLREDVKRRKSASSFKPRGAKELILLIIERLAGDDHNCREICDTQGLLSKITAPITSRAFLDAVCEDDDVWFDILSTSLRVLARLIGSPGEASTRLLQETSTSQDMEPALQILAQVALGPYTGLMTKEEFVSLLRDIFFGNKDNMGRLRRKAGELLVKSLSTPSNGDGIAGTIMEILCEGDSKDVLDIRRYGTVVDQLTEMLVKDKQCQISAAAILEHLCSRFLKSCQLSKQDAINLLTTVLGLILSSNTERNAVAGSDSSNYAGAATEARGSDYSAIARDEESQPPKDAVQDKSPTEQDDILSQEKKLLAALLSFTMVICEKLIDADDFSNVAHVDRELLKKLIEIIDVNNDATADCLRIMKLSCQVAILAIQHKPSCAKDFNEHDRNHVLTKASENLLELDKCMFFAGNDHEAIKPARSLSSLVKEAQERFKEAQARAR >ORUFI08G12830.1 pep chromosome:OR_W1943:8:13657014:13657648:-1 gene:ORUFI08G12830 transcript:ORUFI08G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPMVSIVISVMRLIQLDYYSSDDAGKGKLKAALFAFYSLALIHGVGFYSWFLLRRLVTRNAGSNGSGPTGYLQETTSKCANILLESGYHDDHLTGVRMLDTFVVKKTRSVRRHMLSSRHSIQNLLTMLSRPTSWTLDEREIRERAARIMAGIAGDLHIARFPGALQSVSCLLDCAGHHQKHDTCGSPMQAFQQYVIREESY >ORUFI08G12840.1 pep chromosome:OR_W1943:8:13664986:13672616:1 gene:ORUFI08G12840 transcript:ORUFI08G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEPFNRLVRLAARAFYDDISMKGDNQPKTSRGDNRGMAVVVLDALTRRQWVREEDLAKALKLHSKQLRRILRFFEEEKLVTRDHRKESAKGAKIYSAAAAAAGDGQSITKEGEEKVKMHTHSYCCLDYAQICDVVRYRIHRMKKKLKDELDSRNTIQHYICPNCKKRYSAFDALQLISYTDEYFHCENCNGELVAESDKLASEEMGDGDDNARKRRREKLKDMQQRIDEQLKPLQAQLNRVKDLPAPEFGSLQSWERANIGAFGTADPSAADSSRNPQGQYGTPMPYLGETKVEVALSGTGVKDEGAESGTNGNGLKVLPPWMIKQGMNLTKEQRGETSNSSNLDEKSEVKDEKKQDSKEDEKSIQDEYIKAYYEALRKRQDEEEAKRKIQQEGDTFASASHSERQVGMKSKREDDDEGVEWEEEQPAGNTAETYKLADLNVEAQESGDEEDEIDWEEG >ORUFI08G12850.1 pep chromosome:OR_W1943:8:13672940:13674280:1 gene:ORUFI08G12850 transcript:ORUFI08G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGSIDVLAVAFAVAAVIVVPVPVAAAAAAAPARLDTYLVRMVNLMERKMEFDCDEWPDDFELRANGGDMNVTYETADRPGYDYLTPRVSCIWSYEGNYMSSVIIWDEEKWPEKKACLVGGGRRCELVFENKEEVLVVTTSSPAAPGTGSSRRVLGDLAVKDCSTHWYGHLLPWGAGCTYPSHDHAYAGAVHSTWTAAAMASTIGH >ORUFI08G12860.1 pep chromosome:OR_W1943:8:13676580:13677414:1 gene:ORUFI08G12860 transcript:ORUFI08G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQVMESEVVARALLLLVEAHLGGRTAIPLDSLAVAAHLLCRQEPSALISYLRAEKMETKNGANEDTSKVNLWGNALSKCGYLLMVTAEDYWAEHAIHRPELAASADAPMFRVGASTAWQEASPADLPPLPPPGRHLDASSSAASMLGREEGEEEK >ORUFI08G12870.1 pep chromosome:OR_W1943:8:13681264:13682862:-1 gene:ORUFI08G12870 transcript:ORUFI08G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTTILLLALLLTLLSSSSTWPPPLTACAAGQHCDDRAAAGSLPSCLLATGVRNFSLAGSPAYDALLNFSIQNLRFALPAAGAVPRPAAVVLPRGRSELTSAVLCARHASLRIRVRSGGHSYEGLSYTVGDGGDDADRVRFVVIDLMRMNRVRVDAASATAWVESGATLGEIYYAVASSSSSLAFPAGSCSTVGAGGHISGGGFGLLSRKFKLAADNVLDAILVDADGRVLDRSSMGENVFWAIRGGGGGSWGVVYAWKLRLVQVPNTLTAFTPKRTGSVDAIAGLVHRWQYVGSALPDEFYLSVFLTIGGASSSSPSRDGNVTVSFTGLVLGSKELAMSVLSERFPELGLAEPEMSEMSWVESAARFAGLSSTEELTSRASRTKHYAKSKSDYVRSPIARGAVAAILRYLAGGPAGYVILDPYGGAMAREGSGDTPFPHRAGNLYSVQYGVTWEAGDNGGGGGGGGGGEARMAWLRALYAYMAPHVSKNPRAAYVNYVDLDLGTNALAGNVSSPSSSVSRTRSTWGSAYF >ORUFI08G12880.1 pep chromosome:OR_W1943:8:13699690:13704797:1 gene:ORUFI08G12880 transcript:ORUFI08G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGEGASLASAAGGEDGRRRRVSYFYEPSIGDYYYGQGHPMKPHRIRMAHSLVVHYGLHRLLELSRPYPASDADIRRFHSDDYVAFLASATGNPALLDARAVKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVKLNRGDADITVNWAGGLHHAKKGEASGFCYVNDIVLAILELLKFHKRVLYVDIDVHHGDGVEEAFFTTNRVMTCSFHKYGDFFPGTGHITDVGAGEGKHYALNVPLSDGIDDDTFRDLFQCIIKKVMEVYQPDVVVLQCGADSLAGDRLGCFNLSVKGHADCLRYLRSFNIPMMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNDYYEYFGPDYNLHIQPRSVENLNSTKDLENIKSMILDHLSKIEHVPSTQFHDRPSDPEAPEQEEEDMDKRPPQRSRLWSGGAYESDTEDPDNMKTETNDLSASSVMKDESNDDS >ORUFI08G12880.2 pep chromosome:OR_W1943:8:13699690:13704797:1 gene:ORUFI08G12880 transcript:ORUFI08G12880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGEGASLASAAGGEDGRRRRVSYFYEPSIGDYYYGQGHPMKPHRIRMAHSLVVHYGLHRLLELSRPYPASDADIRRFHSDDYVAFLASATGNPALLDARAVKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVKLNRGDADITVNWAGGLHHAKKGEASGFCYVNDIVLAILELLKFHKRVLYVDIDVHHGDGVEEAFFTTNRVMTCSFHKYGDFFPGTGHITDVGAGEGKHYALNVPLSDGIDDDTFRDLFQCIIKKTAVAVGVEPDNKLPYNDYYEYFGPDYNLHIQPRSVENLNSTKDLENIKSMILDHLSKIEHVPSTQFHDRPSDPEAPEQEEEDMDKRPPQRSRLWSGGAYESDTEDPDNMKTETNDLSASSVMKDESNDDS >ORUFI08G12890.1 pep chromosome:OR_W1943:8:13717775:13721300:1 gene:ORUFI08G12890 transcript:ORUFI08G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVNRGQSSLSIPNPNSLLPNPNLPFIGSCRRRRTTRRAAPVASYLPSISPDPFQMVHLTCYLLTFVVAVHFWAPTFKWGISIANVADFAKPPEKISYPQQVAVACTGVIWSRYSMVITPKNWNLFSVNVAMAGTGLYQLSRKIRKDYFSDQKDDVASLEG >ORUFI08G12890.2 pep chromosome:OR_W1943:8:13717775:13721300:1 gene:ORUFI08G12890 transcript:ORUFI08G12890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVNRGQSSLSIPNPNSLLPNPNLPFIGSCRRRRTTRRAAPVASYLPSISPDPFQTLLSHLKRYPIHSKLLLLALESFGHATKNWNLFSVNVAMAGTGLYQLSRKIRKDYFSDQKDDVASLEG >ORUFI08G12900.1 pep chromosome:OR_W1943:8:13723496:13724805:1 gene:ORUFI08G12900 transcript:ORUFI08G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVYHQITSRNVGWWHFATAKFDIRRLLVSLKAKIICLCCSECGHSPIQRRMTIGLVSTS >ORUFI08G12910.1 pep chromosome:OR_W1943:8:13738692:13745617:1 gene:ORUFI08G12910 transcript:ORUFI08G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAAAFGLVRPCPARPPLQLGPGSSSCRPILLHARPLAAGIASSSRGPAAVAARSLGRLLLLPPPPPISPDRAGRGRARHVACGAAAGDAKAEEEESGLAKTLQLGALFGLWYLFNIYFNIYNKQVLKVFPYPINITTVQFAVGTVVALFMWITGILRRPKISGAQLFAILPLAVVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSAIFLGELPTVWVILSLLPIVGGVALASLTEASFNWAGFWSAMASNVTFQSRNVLSKKLMVKKEESLDNINLFSIITVMSFFLLAPVAFLTEGIKITPTVLQSAGLNVKQVLTRSLLAALCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTAIALAGVFLYSQLKRLKPKPKAA >ORUFI08G12920.1 pep chromosome:OR_W1943:8:13753086:13755286:-1 gene:ORUFI08G12920 transcript:ORUFI08G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHYGCGTPNFQKGRRNVKLEDLPEEFIENVNAVLQEYDDKVVERFEIKIEFEDMLIDELNNWVTFAVQSRTKNLAFDLVPDILTGSHDRYMFPFHLLDEKSVSGLQSIQLSYISFKPPLGFKGFPSLRKLDLNLVHVNRESLEVMLHSCHNLQWLSLVRCYLDGKLHLVLSHLRYIKIFQCKTTMVEVHTAKLDTFIFDGHLICSYYPKYISCGKCTHLAAFQDAVATLLKGIPIVRNLTLHARWLLDNRHSFSNLRHLQLLMNLKTEDANKIHYAVSLIRAAPFIEKLEVHFGCPHHVWFSDKGYVAPHLEQHEYSYLKNMHITGYKGERGQLEFLKDVVENVPALESVTIETTQIYICESSKLSPMRMSHVT >ORUFI08G12930.1 pep chromosome:OR_W1943:8:13763434:13765963:-1 gene:ORUFI08G12930 transcript:ORUFI08G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACSGKRRRRTATTHAGRDSSSWASLAEDLVSLIGWRVLAGDVRDYICFRAACHHWRSCTASPCGRGVVVPRFHPRRWMMLPEGNGLYPGHGKLRGFVRFFNLSTGAFVRLRLPIARDDHRILDSVDGILLLQRNRDTAVRLLHPFTGDIVDFPPLDTLLPYMGRRSEEYLRDVAAASITPSADDDQAVLLMIWLSRTVRVAFAASGDRQWRVSSWSMYQAYTPLPFQGKLYMLDQATAYGGPEVLQIDPPLQLQLEGTTELSLPPPKSIAKCPARTPDSFFLYHLVECDSDILLVTFGVSVYAQISVYRLADLISGTTVPDQGTFNVSKGDQGMADETEVGIDGVSESTACKDGDVACEKSFSQAGHGPACENGP >ORUFI08G12940.1 pep chromosome:OR_W1943:8:13767723:13769459:1 gene:ORUFI08G12940 transcript:ORUFI08G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRKVTGCATPLPTLHPLVLALHPLITASARAQPGSRAKLNSGSMDVLNTLPVRFHFNGDFLVSGREKHYVGGSEAMSYLDQDNIPLPETVGHLCDHCKVAEGTMLHLLFLGKDLNSELRALLDDSVCQYMNDCIVGGGVAEVYADAPILVDLSYEDEGSDYELEMEEDMGDESDGNEIEQVDMEGENA >ORUFI08G12950.1 pep chromosome:OR_W1943:8:13771324:13771724:-1 gene:ORUFI08G12950 transcript:ORUFI08G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTHAIYKLENNDKTFTLEINQNGDGYLKRTARTRGLRFLNQVHIHHHPTKKLRRRPDEKRSTLKGRKKPKPSLKGKEKKPAPSPLGDQPSQYFVLFNKAEIESRSGAEICRSNYQISRSKEGTN >ORUFI08G12960.1 pep chromosome:OR_W1943:8:13773552:13774385:-1 gene:ORUFI08G12960 transcript:ORUFI08G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASRPSHSAALFCLALLLLHALPHASTAKVPAAANRHHRAGNATATSRRALSTGTEGWAAPSVIDLREPPPPSATTAPPPPPPRAPPGGEGCAARLRGRLTEDCFQLPHATCAVYPYDAEARAVDRASPVGGARAACLHPLCVSPGSSLERNLSSLYAARCQGRWGDPLHAYCVATTLVAQHGGTWRTLPVVPLAAADPASASASAGDVCYVELAHLNYREGYFVRCPAFNCSHHPHVSCTEFPPSAVAAAVWEHRRTTYRDTVGPLFGRYTYDA >ORUFI08G12970.1 pep chromosome:OR_W1943:8:13780367:13780585:-1 gene:ORUFI08G12970 transcript:ORUFI08G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISQAPEGQLPSYGQHQNSLEETATYYRCRASPHPFSEQLRLHQQKQPKSVSPTTGKKRTQEGEGLAEHKA >ORUFI08G12980.1 pep chromosome:OR_W1943:8:13781147:13785733:1 gene:ORUFI08G12980 transcript:ORUFI08G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSAAAAAVVLTTTSGRAPLLHLPRTPRPTTAARIAAAPDSSRARAGGAPLLLGSAVPRRRGWALVCRDSSLPGRGVLGRWRRGARPLGPGLPVAAAAAEEEEGVSATGRRRCLSSESGRGSCTTSSNSRPTRRRDTYFLQKLCNLKGDDGFRMNDVLVPLWYIMGLWPLVYSMLLLPTGRSSKSKIPVWPFLILSCIGGAYALIPYFVLWKPPPPPIDEEEIGQWPLKFLESKLTAGVTFAVGLGLIVYAAKAGGEDWQEFIRYFRESKLIHITCLDFCLLSAFSPFWVYNDLTARRWKNGSWLLPLALIPFVGPSLYLLLRPSLSSLLAATGPSDDKTQ >ORUFI08G12990.1 pep chromosome:OR_W1943:8:13785764:13789265:-1 gene:ORUFI08G12990 transcript:ORUFI08G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGPGKKAIRNAGGVGGGAGPSAGGPRGPAGQAVKFARRTSSGRYVSLSREDIDMEGELAADYTNYTVQIPPTPDNQPMLNGAEPASVAMKAEEQYVSNSLFTGGFNSATRAHLMDKVIESSVSHPQMAGAKGSRCAMPACDGSAMRNERGEDVDPCECHFKICRDCYLDAQKDGCICPGCKEHYKIGEYADDDPHDGKLHLPGPGGGGNKSLLARNQNGEFDHNRWLFESSGTYGYGNAFWPKGGILAATAAAAAAAGASAAPAPACRLHAYRIFIVIRMFVLLFYLTWRIRNPNMEALWLWGMSIVCELWFAFSWLLDMLPKVNPVNRSTDLAVLKEKFETPSPSNPHGRSDLPGLDVFVSTADPEKEPVLTTATTILSILAVDYPVEKLACYVSDDGGALLTFEAMAEAASFANVWVPFCKKHDIEPRNPDSYFSVKGDPTKGKRRNDFVKDRRRVKREFDEFKVRINGLPDSIRRRSDAFNAREDMKMLKHLRETGADPSEQPKVKKATWMADGSHWPGTWAASAPDHAKGNHAGILQVMLKPPSPDPLYGMHDDDQMIDFSDVDIRLPMLVYMSREKRPGYDHNKKAGAMNALVRCSAVMSNGPFMLNFDCDHYINNAQAVREAMCFFMDRGGERIAYIQFPQRFEGIDPSDRYANNNTVFFDGNMRALDGLQGPMYVGTGCMFRRFAVYGFDPPRTAEYTGWLFTKKKVTTFKDPESDTQTLKAEDFDAELTSHLVPRRFGNSSPFMASIPVAEFQARPLADHPAVLHGRPSGALTVPRPPLDPPTVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFLGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASRKLMLLQRISYLNVGIYPFTSIFLLVYCFIPALSLFSGFFIVQKLDIAFLCYLLTMTITLVALGILEGLLKVMAGIEISFTLTAKAAADDNEDIYADLYIVKWSSLLIPPITIGMVNIIAIAFAFARTIYSDNPRWGKFIGGGFFSFWVLAHLNPFAKGLMGRRGKTPTIVFVWSGLLSITVSLLWVAISPPEANSNGGARGGGFQFP >ORUFI08G13000.1 pep chromosome:OR_W1943:8:13803317:13808726:1 gene:ORUFI08G13000 transcript:ORUFI08G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHRTGGRPVRERGGVEWSTVAMLPACPPTVAPRRETDCERERGRISGGSCCIVKPQAPQPHLANSNPCIDSDQQRHKKAHCAAPPANPRSTGTREREMNADFGAPKDLAGGLQQRRSLYQPTLPPCLQGATVKVEYGDATTTIDPTCANVVAQAFPRTYGQPLVSFVAPPPDAVDKDRAPIRVGVVFSGRQSPGGHNVIWGLHDALKAYNPHSVLYGFVGGTEGLFANKTIEITDDVLASYKNQGGFDLLGRSIDQIRSTKQVNAAMTTCNNLNLDGLVIIGGVTSNSDAAQLAEALVQNNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLVSNVCLDAISAGKYYYFVRLMGRKASHVAFECALQSHPNMLILAEEVALSKLTLMEVINKICDGVQARAELGKFHGVLLIPEGLIESIPEMYALLQEINILHNNNVPVAEMPSQLSPWAAALFQFLPPFIRRELLLHQESDNSAQLSQIDTEQLLAHLVEAEMIKRTKEGRYKGRKFSSVCHFFGYQARGSVPSNFDCDYAYALGRISLHMIAAGLTGYMATVANLKDPVDKWRCAAAPLTAMMSVKRHLRGPGAIPIGKPAIHPSPIDLKGKAYELLREKASSFLLDDFYRTPGGIQYEGPGCNAKPITLTIENQDYMGDIEILKDCLSKVRTMVKPGCSREVLKAAISSMLSVTDVLTVMSHPLNAELPLYHFN >ORUFI08G13000.2 pep chromosome:OR_W1943:8:13803317:13808726:1 gene:ORUFI08G13000 transcript:ORUFI08G13000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHRTGGRPVRERGGVEWSTVAMLPACPPTVAPRRETDCERERGRISGGSCCIVKPQAPQPHLANSNPCIDSDQQRHKKAHCAAPPANPRSTGTREREMNADFGAPKDLAGGLQQRRSLYQPTLPPCLQGATVKVEYGDATTTIDPTCANVVAQAFPRTYGQPLSPGGHNVIWGLHDALKAYNPHSVLYGFVGGTEGLFANKTIEITDDVLASYKNQGGFDLLGRSIDQIRSTKQVNAAMTTCNNLNLDGLVIIGGVTSNSDAAQLAEALVQNNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKLVSNVCLDAISAGKYYYFVRLMGRKASHVAFECALQSHPNMLILAEEVALSKLTLMEVINKICDGVQARAELGKFHGVLLIPEGLIESIPEMYALLQEINILHNNNVPVAEMPSQLSPWAAALFQFLPPFIRRELLLHQESDNSAQLSQIDTEQLLAHLVEAEMIKRTKEGRYKGRKFSSVCHFFGYQARGSVPSNFDCDYAYALGRISLHMIAAGLTGYMATVANLKDPVDKWRCAAAPLTAMMSVKRHLRGPGAIPIGKPAIHPSPIDLKGKAYELLREKASSFLLDDFYRTPGGIQYEGPGCNAKPITLTIENQDYMGDIEILKDCLSKVRTMVKPGCSREVLKAAISSMLSVTDVLTVMSHPLNAELPLYHFN >ORUFI08G13010.1 pep chromosome:OR_W1943:8:13811666:13817275:-1 gene:ORUFI08G13010 transcript:ORUFI08G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLASKIFPSRSNVASEQQQSKREKATIDDAKNSSKNKNLDRSVDEGPRDPRPRPRLTAMAMAAAMGVASPYHAAHAAASTSCDSLRLLVAEGRPRRPRGVASSSSSSSSAGRRRRPLVFSPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGNNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYEKFIQAHRETDSDITVAALPMDEKRATAFGLMKIDEEGRIVEFAEKPKGEQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVISKNVMLQLLREQFPGANDFGSEVIPGATNIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDSLLMGADYYETEADKKLLGEKGGIPIGIGKNCHIRRAIIDKNARIGDNVKIINVDNVQEAARETDGYFIKSGIVTVIKDALLPSGTVI >ORUFI08G13010.2 pep chromosome:OR_W1943:8:13811400:13817275:-1 gene:ORUFI08G13010 transcript:ORUFI08G13010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLASKIFPSRSNVASEQQQSKREKATIDDAKNSSKNKNLDRSVDESVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGNNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYEKFIQAHRETDSDITVAALPMDEKRATAFGLMKIDEEGRIVEFAEKPKGEQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVISKNVMLQLLREQFPGANDFGSEVIPGATNIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDSLLMGADYYETEADKKLLGEKGGIPIGIGKNCHIRRAIIDKNARIGDNVKIINVDNVQEAARETDGYFIKSGIVTVIKDALLPSGTVAA >ORUFI08G13020.1 pep chromosome:OR_W1943:8:13840442:13849100:1 gene:ORUFI08G13020 transcript:ORUFI08G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGLIHHHRLLDADVGGGGGGSSAGLVLTADPKPRLRWTADLHDRFVDAVAQLGGPDKATPKTIMRTMGVKGLTLFHLKSHLQKYRLGKQSGKEMAEQSKDASYILGAQSGTNLSPTVPTPDLKESQELKEALRAQMEVQRKLHEQVEVQRHVQIRMEAYQNYIDTLLEKACNIVSEQLNGFSISDHDLTSAGVMLSSSDTLSPSIFHQLSVSSISLHSPGGKSSPFAADADLFFQKAPEKRKSY >ORUFI08G13030.1 pep chromosome:OR_W1943:8:13841838:13842241:-1 gene:ORUFI08G13030 transcript:ORUFI08G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSCPRVYMSRKALDFGQMASCRCSWAGRSSMRVAPRRCMPCVCFVASPSQPGLAAIDVPAEAISNATTTTMIPERISVSSLLEVVSDDLLKLNNNLKSVSFF >ORUFI08G13040.1 pep chromosome:OR_W1943:8:13855725:13861551:1 gene:ORUFI08G13040 transcript:ORUFI08G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPNPISSSGQTHGNPTAHEQMELGNNAIVPSNGGNNNPNMAARQRLRWTNELHDRFVEAVTQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDPSADDNKDEDKDPGNLLSALEGSSGMQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRVIGAGASRATSSEQLPDSEKTNPPTPVPISESPVQGAPHSKNSQSQVEPTKSPSHDDALPCGEPLTPDSSCRPGSPTLSPKHERAAKRQRGSDAGDVTAFADGEFVLPPGIFESSTGSEFQECSMPYSGH >ORUFI08G13040.2 pep chromosome:OR_W1943:8:13857097:13861551:1 gene:ORUFI08G13040 transcript:ORUFI08G13040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPNPISSSGQTHGNPTAHEQMELGNNAIVPSNGGNNNPNMAARQRLRWTNELHDRFVEAVTQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDPSADDNKDEDKDPGNLLSALEGSSGMQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRVIGAGASRATSSEQLPDSEKTNPPTPVPISESPVQGAPHSKNSQSQVEPTKSPSHDDALPCGEPLTPDSSCRPGSPTLSPKHERAAKRQRGSDAGDVTAFADGEFVLPPGIFESSTGSEFQECSMPYSGH >ORUFI08G13050.1 pep chromosome:OR_W1943:8:13863035:13863951:1 gene:ORUFI08G13050 transcript:ORUFI08G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASVAVSEALEAGKLSAAPRDGAADFAVAWLAVVVVSLAVAATCVVVSFDAHARQPGRLRRMLDLGPSVRGARLLLAIFAGLLAAAEVIRLPFFSRAVVSPPRHVVPCLAYPLVPSPPAHVAVLVLPAAVAPYPGQLAHAADVDARCAYPAYAAALLVALAALYAPLLLSACWTVAAVAINRRMRARAYALAALVVVPLPVQVVALALSSVWETPRRTSPVAAFLGFLAVGIAAAAALAILVLLPVYDALFLGDDEQLPVAVAAAGEEARELDR >ORUFI08G13060.1 pep chromosome:OR_W1943:8:13881348:13883534:-1 gene:ORUFI08G13060 transcript:ORUFI08G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQKKYMASGLSPEIKLKMGGNRPRKEYQPQKNGQQTTTDPAYGALVRPVEPPKSWDNTKKNKLPFNPSTNHDNGTSNGTTNSRR >ORUFI08G13070.1 pep chromosome:OR_W1943:8:13888774:13889830:-1 gene:ORUFI08G13070 transcript:ORUFI08G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQATGSSKRVPSVGGGKEREVLKIDSETKSNQPPTKKASSKPAPKKNDKVQGGGKGKK >ORUFI08G13080.1 pep chromosome:OR_W1943:8:13894620:13898971:-1 gene:ORUFI08G13080 transcript:ORUFI08G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGTSKPVATLGGGGSSSGVSTTGTNQGGDDRGTSHPVPAMSFTGGLAQSMSHYIYTTSIGQAGGCHKGKVKGSASKGSSTGRMPEQQNSDHQYYISSMSSNHGQQGGGGAENSTYTSKSIRTKKFPSLNG >ORUFI08G13090.1 pep chromosome:OR_W1943:8:13901577:13901937:-1 gene:ORUFI08G13090 transcript:ORUFI08G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVGTIRVAASIGVRLPVRWRSDWPLTIASSPYTGVGFSFVQAPSSAAPFIFSGFLWLSKQQQEESRSKGGNVREEETGIPK >ORUFI08G13100.1 pep chromosome:OR_W1943:8:13909768:13910550:-1 gene:ORUFI08G13100 transcript:ORUFI08G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLSFAQTAQASEGTNLNMVYEVGELFELGIQLSYLLILLGLLGAGTFFVIRQVLVRRELDLSAKDLQEQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIQKWDRDEQDLAQVRYPQLLGTIS >ORUFI08G13110.1 pep chromosome:OR_W1943:8:13911764:13916729:-1 gene:ORUFI08G13110 transcript:ORUFI08G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSTSTPAPASSAFPLAAAAAACFPRASSSTRALALALALAERHRLSEVGGGDRSVAAGRRSFQGLIPAHVLSAGDDAEGAMQSLRWELSSRSIKREAEERSRRRMLLAAGAAMFLSWPNPAAYAAEAKKGFLPVTDKKDGYSFLYPFGWQEVVVQGQDKVYKDVIEPLESVSVNTIPTSKQDIRELGPPDQVAEALIRKVLAAPTQKTKLIEAKENDVDGRTYYTFEFTAQAPNFTRHALGAIAIANGKFYTLTTGANERRWEKIKDRLHTVVDSFKIEAREVRFNAH >ORUFI08G13110.2 pep chromosome:OR_W1943:8:13911764:13916729:-1 gene:ORUFI08G13110 transcript:ORUFI08G13110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSTSTPAPASSAFPLAAAAAACFPRASSSTRALALALALAERHRLSEVGGGDRSVAAGRRSFQGLIPAHVLSAGDDAEGASIKREAEERSRRRMLLAAGAAMFLSWPNPAAYAAEAKKGFLPVTDKKDGYSFLYPFGWQEVVVQGQDKVYKDVIEPLESVSVNTIPTSKQDIRELGPPDQVAEALIRKVLAAPTQKTKLIEAKENDVDGRTYYTFEFTAQAPNFTRHALGAIAIANGKFYTLTTGANERRWEKIKDRLHTVVDSFKIEAREVRFNAH >ORUFI08G13120.1 pep chromosome:OR_W1943:8:13915718:13915903:1 gene:ORUFI08G13120 transcript:ORUFI08G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRVKCSARENAHVLDVAEAQGKRAAAARGKAEEAGAAGAEVEWVAIVASVHRKWEREGF >ORUFI08G13130.1 pep chromosome:OR_W1943:8:13917804:13918568:-1 gene:ORUFI08G13130 transcript:ORUFI08G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIDLVLKSSYPFRTTDRPNGVCFLVVLQVGNRFVGLADTLQFVYVLERHNSVWTRYETTSRCTDLTAKIIISGFVVLDRRSFMVSDAATFDCLLLNIVKACQWYRYGALFVRSLCIHGFVYTLFDGGILAFELVVSENDGSYYLDAPIFLRAWSKIVRERSMICFASVGQDDDDDHSCDHCLVFCLARGGYPHAGYSSTVRKKLYDDVQITMIQVMTRETGRGTREPVRPPRFVDMCTNSVEWMQACWVFVA >ORUFI08G13140.1 pep chromosome:OR_W1943:8:13947577:13949911:-1 gene:ORUFI08G13140 transcript:ORUFI08G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYGSGFRNSVPAQQGTTLYGTSYGATDSQSTASSYYNGSSKSTTGRVPAQQSSDYKSKTADQVPDHNSTL >ORUFI08G13150.1 pep chromosome:OR_W1943:8:13954632:13955692:-1 gene:ORUFI08G13150 transcript:ORUFI08G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYGSGFHNSVPAQQGTTFYGSSYGGNNSQSTASSYHNGRVPAQQYSDYKSKTADQYSYYYNSISSGQGQQLGGAGYYDKQPKQGHE >ORUFI08G13160.1 pep chromosome:OR_W1943:8:13962043:13962663:-1 gene:ORUFI08G13160 transcript:ORUFI08G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQRREMQVEAPHHQTKIKKQETTIRAHILKPNTSNQQQQQQQQQQDLSKKNNKKKKPHRGGRWVGMEEAVPGVQIYASPASWRSDPAASTVGGSGEGGVGGVGDRESGGGAGGGGGVGGGQLARAEAEARLEASPAEAAKVETAAAVGPPPRLPSLLPDLAARPPPRLPSRPCRFWRPGRRRQPRRPGAAAPLLPPLHREREER >ORUFI08G13170.1 pep chromosome:OR_W1943:8:13964043:13966714:-1 gene:ORUFI08G13170 transcript:ORUFI08G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELVVLCHPRVDPLAKSTQGGSSAKSKRQADINQEGLNLLGLEGFSTPPPRNNGQGAHSLVYQIKTLAPKASQMDFLFQAH >ORUFI08G13180.1 pep chromosome:OR_W1943:8:13976030:13976458:-1 gene:ORUFI08G13180 transcript:ORUFI08G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETESGREESGQRMRSTLPSDQEMESVAARKPTRPKRRQAVEKAGVERRQQNVARREVVAEEKTFGELGMASANSSAAAAWVGEACRIRAEGDEEEEWCWWTLGVNGAGQAGPAGVGERGPAANDGMGQAWGQREMDGRRR >ORUFI08G13190.1 pep chromosome:OR_W1943:8:13985285:13985623:1 gene:ORUFI08G13190 transcript:ORUFI08G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISSPNVNSARSPPPPCLRIHRSETKLARVSQDDALEPWNPTLNTITADKARPLLESINAARASASVDDDGGLNLQQQQQHVSYGVQYIGNSIIGDNEMQMTPVTDCIKCE >ORUFI08G13200.1 pep chromosome:OR_W1943:8:13989028:13989998:1 gene:ORUFI08G13200 transcript:ORUFI08G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSHSLIFLFLCLPAVLVKANILGDIYPCPCKCSQENETSLHMYLHQFPALPGVPNRNEYGVINSTEPIGFGQMYVHDWLLTTGTSANENVVGRLQGFHLQAGQTTTSWYMAHTIVFTDGSFAGSTIEVSGLLGVKPNGQWSITGGTGTFASAHGTIKFTNSQSSTATDDIRELDIHVFHTPEAVV >ORUFI08G13210.1 pep chromosome:OR_W1943:8:13992852:13993291:1 gene:ORUFI08G13210 transcript:ORUFI08G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPGRKRRPQASMSLILGDQTRLDSLKSTARPKSPDYRQTEPPTQTNTTPQPPKGSTAGSGDGQKKGGAPKTEGGLARLERN >ORUFI08G13220.1 pep chromosome:OR_W1943:8:14000806:14002159:-1 gene:ORUFI08G13220 transcript:ORUFI08G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKGLSSSVPPQGGSGASKRHADIHHEVTNLPGSKGFTPSRNNNQQPYNGQGAHSLTYGFKTFATKARACPNWSYKP >ORUFI08G13230.1 pep chromosome:OR_W1943:8:14018863:14019768:-1 gene:ORUFI08G13230 transcript:ORUFI08G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCNPWNMCYSGDVSRYAHVCACRGLVSACALIWYQGAHQAEKRRQRDPGSGGDGGCWPTVAKLQRRRRRVDSRRELQLREYGGVRDEPQRVHARGTRRKLTELGVASVVRNGGRSGVGGSGGRQRGRREERGTHRECTATTAKSPEPGKMAELWAFCAWGRVEWGSERERWLVHSLCSLGIHWAWGTVDAMRGEWKAVMWVPGGVGPTVSERNSKIALEAKSKRVACQGSLERIQGGFCAARNLG >ORUFI08G13240.1 pep chromosome:OR_W1943:8:14031259:14032229:1 gene:ORUFI08G13240 transcript:ORUFI08G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSHCLIFLFLCLPAVLVKANIFGDIYPCPCKCSQENETSLHMYLHQFPALPGVPNRNEYGVINSTEPIGFGQMYVHDWLLTTGTSANENVVGRLQGFHLQAGQTTTSWYMAHTMVFSDGSFAGSTIEVSGLLGVKPNGQWSITGGTGTFASAHGTIKFTNSQSSTATDDIRELDIHVFHTPKAVV >ORUFI08G13250.1 pep chromosome:OR_W1943:8:14043151:14044628:1 gene:ORUFI08G13250 transcript:ORUFI08G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACHVITISLFPFDLSLGWRLCLSIFNQIHLRHNKFCVKNFSITTNGLGLVNPDRVFSFYDELHAYLASTGIDGVKSIHPMAEYHAAARAVGGCAIYVSDKPGNHDFNLLKKLVLPDGSILRTKLPEMPCHDDPV >ORUFI08G13260.1 pep chromosome:OR_W1943:8:14047421:14048664:1 gene:ORUFI08G13260 transcript:ORUFI08G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAASLFFFLTRAGRCLVSSSPPMLDGEERMRVAGDGATWRCSLFYALADGGCLASYVVLLPNKAPHWLPAVGMVDQYAHAHTLRAWLGVRYLVGYRTSKP >ORUFI08G13270.1 pep chromosome:OR_W1943:8:14050802:14051017:1 gene:ORUFI08G13270 transcript:ORUFI08G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGEHHCLAAARIHPGKARSHYAK >ORUFI08G13280.1 pep chromosome:OR_W1943:8:14054768:14056657:-1 gene:ORUFI08G13280 transcript:ORUFI08G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFAAAPPCPSVFELAAAEVAAVCVGRGATARSAATSAVARSRPVTGSAPDPCTWGAERRPDAPPPRLAMPCWIRQRRAFPCRIIPGSVIAPDTPYHGQIRARGAQIGGRVRRLVASYTPSTVASTPAAVPYRIRRRRARRRSAVEVALDPCRAGSAQCRHRPPPRPLAALISEKIGSGYVVGYGVDRLLRTSMPARCGGGRRRGGRAVRSDPPPPCLLPVLAYCPYSPAPAPPAVTRARSTPDPHAWGAEWRPDAPPPHLLSVVARCRRARSRAMPDPPTSYLPPISDGGGAAAPPARILPSLPRPQPCRAGSADIAPAAARQ >ORUFI08G13290.1 pep chromosome:OR_W1943:8:14057811:14058920:-1 gene:ORUFI08G13290 transcript:ORUFI08G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIRHGTGCGHGGDGRLRVRRWRREARRLNLYRRAVAGATSADPARHGCGRGNDGRMRAAGAAAPPPLLIGGGHDVGGSVTARLRDVRRRRIRPELRAPRARIWRASCTGDGGNGCDGQLRAGGKAAADPATALPLRARRNLRGGGLHDGHLHNAPPSMSGASGNKILTYAIDCEDRHPQTLRATKQNDARHKR >ORUFI08G13300.1 pep chromosome:OR_W1943:8:14069348:14069673:1 gene:ORUFI08G13300 transcript:ORUFI08G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRQASGGRIAREKEVRRRLLLPFIAIGDCGRRFKIENRPTVRTVLGLGALKIGGPVQARWPHAPREGPA >ORUFI08G13310.1 pep chromosome:OR_W1943:8:14076937:14078301:1 gene:ORUFI08G13310 transcript:ORUFI08G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQENVEITCFIKNVDNRFRLAPPKADLFTPLYVSIGPYHMNSDLPTGKEEKERNLDKILQDQVTRGGAASNTWAVKNGWLTLLNNHMSDALRYYGWNPDLLTPTRKDEFLNMLLEDGCYILSKFVVPTIGIARGSWQRQHVPPHHLEHDIIYLVENQIPFFILEKINEITGLIPTAGGSQLVRHFCSYIAEYVLKLRGYAVGDRYVEMQIPTPSHLLHLLHILLNGPQAVEQTLATDGQDSTAIQITRFLRWRPAKQYDMVCVNLTGVDLISIEKGCHDGKCKARSILDVEPRRCGIGLEFPSLYLDSETFCMLRNLIVLEQQNANTLQQYRVTAYCTLMSQLASTAEDVQLLSANRVADHLMVHADCAKQLTDLCNGIIFDIDNPTLNYLRDECVMLERRCRSRPFKWMAWMRRKYFRNPCIAVGSVIAIIITAFAVLQAVYTVLKLKGKVK >ORUFI08G13320.1 pep chromosome:OR_W1943:8:14085476:14092431:-1 gene:ORUFI08G13320 transcript:ORUFI08G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYWSLGSPHAGTEENNQGPLGCVHQTMDIVGRLQCISPSAENVSTVHRSGFNTGRSQSSRRTRIWWAVFTASAHQQRTGSGFNPGRSQDSRRSHYTSQTVLKDSHGIGVQATRHGHAEQYGVIPGIKISVSNSRHHANRRFHS >ORUFI08G13320.2 pep chromosome:OR_W1943:8:14085476:14088831:-1 gene:ORUFI08G13320 transcript:ORUFI08G13320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIWWAVFTASAHQQRTGSGFNPGRSQDSRRSHYTSFTDQSITGVRYMRNAGRHGHAEQYGVIPGIKISVSNSRHHANRRFHS >ORUFI08G13320.3 pep chromosome:OR_W1943:8:14085476:14092431:-1 gene:ORUFI08G13320 transcript:ORUFI08G13320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYWSLGSPHAGTEENNQVGRLQCISPSAENRHGHAEQYGVIPGIKISVSNSRHHANRRFHS >ORUFI08G13330.1 pep chromosome:OR_W1943:8:14103599:14104724:1 gene:ORUFI08G13330 transcript:ORUFI08G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTRVEEDIEASGTVQQVWSTVLEA >ORUFI08G13340.1 pep chromosome:OR_W1943:8:14116426:14116791:-1 gene:ORUFI08G13340 transcript:ORUFI08G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATTAADADEGGDSGQGVVDADEGGDGRGRGRRRQRQRTRTMAAASVTANADEGGSGGGHGRGRQLLRAAAVVDGVVDADEGGGDDDGGGRGHGRRRQRRSRRTQTRAASRQQRSWHML >ORUFI08G13350.1 pep chromosome:OR_W1943:8:14136138:14145984:-1 gene:ORUFI08G13350 transcript:ORUFI08G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGTRKPVPTQGGGSSSGASTGTNQGGDDPIGTSHPVPAASFTGGLAQSMSHYIYTTSIGQADKGKVKGHSNSKPKQHGTTNHGNSYKENNGQSTASSYYNGKSIRMKKFPSLNG >ORUFI08G13360.1 pep chromosome:OR_W1943:8:14154062:14166348:-1 gene:ORUFI08G13360 transcript:ORUFI08G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKGTSSSVPPQGGSSAKSKRQADINQEGLNLLGLEGFSTPPPRNNGQGAHSLAYQIKTLAPKASQMDFLFQDYTGWNAKCPGCLLERNRDKGFHRPDKIKMSSYGSGFHNSVPPQQGTTFYGSSYGGNNSQSTASSYHNGSSKSTTGRVPAQQYSDYKSKTADQYSYYYNSISSSQGQQLGGAGYYDKQPKYSVDGRRNVQDVCLNITATRFRNSVPVQQGTTLYGTSYGATNSQSTTSSYYSGPSKSTTGRVPAQQSSDYKSNTADQYYYNSISSSKSQQLGGAGAKSSEQKSI >ORUFI08G13370.1 pep chromosome:OR_W1943:8:14156718:14157298:1 gene:ORUFI08G13370 transcript:ORUFI08G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPRVDACLKFSIPRCVDRISIADSSCTNASSRAFADVFPPFSNRTDDVLGYCS >ORUFI08G13380.1 pep chromosome:OR_W1943:8:14167786:14168196:1 gene:ORUFI08G13380 transcript:ORUFI08G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDSREMEAAAPSGGRRIRPPKRRGSRAGIRRSGGGHVRRLGRGSTSPHLVEAGSGGGGVGGVRQSVWESLAAGSGFPEARSGVRRSRGGRVRWPGXGRGGGEAWEVEAAGGREAARRGRSGGRRERWRRLVGRK >ORUFI08G13390.1 pep chromosome:OR_W1943:8:14172661:14175596:-1 gene:ORUFI08G13390 transcript:ORUFI08G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVDGVRRAGPAGGGRARAGGRRRRIMMTVCGPKEALGLLAAKGLGWSLAWLGHAGKWLSSPDGRVSGEVEGMV >ORUFI08G13400.1 pep chromosome:OR_W1943:8:14175597:14175830:-1 gene:ORUFI08G13400 transcript:ORUFI08G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTAARKPARPKRRQVAEKAGVERRPQNMARREVVAEEKTFSELGMASANSPATAAWVGEAHWIGAGGDKEGEWWW >ORUFI08G13410.1 pep chromosome:OR_W1943:8:14179075:14179499:1 gene:ORUFI08G13410 transcript:ORUFI08G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRITADEAQALLESINAAMASATAAATCPASNFDTLDVVPINHGVQYIGNSSIGDNQMQMTLVTDGINCE >ORUFI08G13420.1 pep chromosome:OR_W1943:8:14181671:14182466:1 gene:ORUFI08G13420 transcript:ORUFI08G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSHSLIFLFLCLPAVLVKANILGDIYPCPCKCSQENETSLHMYLHQFPALPGVPNRNEYGVINSTEPIGFGQMYVHDWLLTTGTSANENVVGRLQGFHLQAGQTTTSWYMAHTMVFSDGSFAGSTIEVSGLLGVKPNGQWSITGGTGIFASAHGTIKFTNSQSSTATDDIRELDIHVFHTPEAVVSTPH >ORUFI08G13430.1 pep chromosome:OR_W1943:8:14184033:14184652:-1 gene:ORUFI08G13430 transcript:ORUFI08G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRARQRVGEGVRLDNGLADRDWYLPSTIHAVRTISSGVVDVGLEPTIREPLHLSAQRTRCWSRAGSEKHRLLYPGPSCKQVQSYTVLSEKLGIDMVHYATWVIKTRP >ORUFI08G13440.1 pep chromosome:OR_W1943:8:14192398:14193804:-1 gene:ORUFI08G13440 transcript:ORUFI08G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKGLSSSVPPQGGSGASKRHADIHHEVTNLPGSKGFLTPSRNNNQQPYNGQGAGSLTYGFKTFATKVSQLGVLIHTNSMTISLFVFVKDLLWCKHQHVN >ORUFI08G13450.1 pep chromosome:OR_W1943:8:14195151:14195988:1 gene:ORUFI08G13450 transcript:ORUFI08G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTALALLLFSVLTGGGCLAGYIVLLSNETPHWLPAVGRMDVLQAFLSVLAATCTAQEFLLGHRVSIPDRFLS >ORUFI08G13460.1 pep chromosome:OR_W1943:8:14198577:14199536:1 gene:ORUFI08G13460 transcript:ORUFI08G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSHCLIFLFLCLPAVLANILGDIYPCPCKCSQENETSLHMHLHQFPALPGVPNRNEYGVINSTEPIGFGQMYVHDWLLTTGTSANENVVGRLQGFHLQAGQTTTSWYMAHTMVFSDGSFAGSTIELFGLLGVKPNGQWSITGGTGTFASAHGTIKFTNSQSSTATDDIRELDIHVFHTPEAVV >ORUFI08G13470.1 pep chromosome:OR_W1943:8:14233220:14233468:-1 gene:ORUFI08G13470 transcript:ORUFI08G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAGAAVAAASLGGGGVELWWRRRSAAGWLPSGATLGSGVVAVGIVAVRMAALGGGARRQGAGACPEGSIHPERNISLWI >ORUFI08G13480.1 pep chromosome:OR_W1943:8:14235044:14235752:-1 gene:ORUFI08G13480 transcript:ORUFI08G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHIGCCRMGTMVGDGIVDARGESWEAEQLYVCNDSVQPTTVGINPMITVQSVAYCVVNGIVDSLSELSSHHMNILNINGNTIGMGAKLAWDYMCDRVQISLGVQVYITDDRAKSFIYQLF >ORUFI08G13490.1 pep chromosome:OR_W1943:8:14239928:14267058:-1 gene:ORUFI08G13490 transcript:ORUFI08G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRLSCTGWSPAAGGASAHFFLPAAVIVVVLHQLAVWPPPSPHTAADGRRLRLGRRGQAHPDGPRGLEVCDRSGSGEIQGGDRLDATGSIALRATTPSMSSGGLVADPSPEGPIAGLFGDSSSAYRLTVRSAEADAFHLVHV >ORUFI08G13490.2 pep chromosome:OR_W1943:8:14239813:14267058:-1 gene:ORUFI08G13490 transcript:ORUFI08G13490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRLSCTGWSPAAGGASAHFFLPAAVIVVVLHQLAVWPPPSPHTAADGRRLRLGRRGQAHPDGPRGLGLTAGFIFKDTTQSKSCGKYFAFELFLLEI >ORUFI08G13500.1 pep chromosome:OR_W1943:8:14274582:14276105:1 gene:ORUFI08G13500 transcript:ORUFI08G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRGNVEKKECLNDILRKEAQRRSGDLSSSEIKSEWMNELSRYVDNSPECYYDFNSLNKNEKHMTTEDFLSMLLEDGCYILHKFVVRQDRTAAVGGGGGSGRYREDIDVNVQRDIIYLAENQIPFFILDKINGIIGWSVTGKPLVEVFCSYIEREVLNWYGYAIGQRWNVTPEPIHLLHLLHILLIGCQKPRALTHTHQVATGAAVPTEPHAARSRIEASSANITRQNETAIEMVPVITDASTTQHEQTAAGTRAAHSHGEASSGNHPQQDETAIDVITGASATEHRNEKPPEKKGVRRFLRWRRAKQYEKARVDLTGVDLISIAEGPGGEACGALSILDVKLIGRCGGIRLEFPSLYVDGETWCMLGNLIGLEQSNPDMIPQRVTAYCVLMSQLACTKEDVELLARRRVTDHLMRNDEDCATKFAALCDGVNFNLDDPSRNYLQKECVALDQRYRSRPSQWTAWMLREHCRNPCVAVASVLAIIAIAFGVLQAVYTVLKLVRKVK >ORUFI08G13510.1 pep chromosome:OR_W1943:8:14324014:14324910:1 gene:ORUFI08G13510 transcript:ORUFI08G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPPPWMPDFVLDDFIKPGYREEREDDRGGGAPVAGRLRRRRKNMFPSDALPGRVRGRLKRPERRQHELSFGRYRRRRWWLISEGKRKGMVREWICLVEAKVLARGIGRQCSDEGDRPMAGIWKREKREAQRALRSGNERSSSSFIGGERR >ORUFI08G13520.1 pep chromosome:OR_W1943:8:14370703:14371110:1 gene:ORUFI08G13520 transcript:ORUFI08G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDREVEAAVPSGASGCRSEGEAEPASDGWEAAAFGGPGRESTSPDLVEAGSGGGGGGGVRQSVWEALAAGSGFPEARSGVRRSGRFRRLGCGSTPPDLVEAGSGGVGGGGVQQSMGEALATGTRIHVP >ORUFI08G13530.1 pep chromosome:OR_W1943:8:14385598:14387215:-1 gene:ORUFI08G13530 transcript:ORUFI08G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDPTRCVAELEMKTDSCVIDIPSLAKELRVELSSFKSSTARVVGGAAPPPCIIVDKVGESTRIHDPHEYVPQYVSIGPYHRAADLAGEAIKVKYLGDVLSAAAASSTAPMTLEDYLSELAHLEHSVRRCYVHSFDIPSREFVRMLLLDACYILVRFGDVLTPRTAAPPQPAAAAAEEVAVANGVVHAGHRVVPTEERRAAADDQQMAVAVVRDVLYLAENQIPFFVVDKVHQLTFLDGETPVLDAIARYAHDLLSWTEYSVATPTIVAPPALRPEPANLLHLLHMHFTPTVLASGKVSRGGRSVGRWRTATEYHYAGVTFKRRPLSSGGGARSILDVKVSRRGGALQVPRLSIDGETWRLLRNLMALEQSNPSAAGSHVTAYCVFMSQLACTARDVELLSRRGVIVHGLGNDGEVAGLFANLCKGAVFDFDEADQNYLRPVCQVLDRRFRSRPRRWMASLRQKYFLNPWLTAGLVAATIGLVCTVIQAVYSVLSYVKPGN >ORUFI08G13540.1 pep chromosome:OR_W1943:8:14390519:14390980:1 gene:ORUFI08G13540 transcript:ORUFI08G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTHRGKAAGINAAAVETTTNLERIRRSQPRERPSIIDPTVAAAESGVDLPLQTLGVLLHCRFGGDSREQIHYCQPWEGLSLRDPAAAARGGTDQPLLTSRASLPRRFSDSDRVRCGSTIAGLKNATPLQIRGQGEAWIRQRSLGSALPSWI >ORUFI08G13550.1 pep chromosome:OR_W1943:8:14396790:14398549:-1 gene:ORUFI08G13550 transcript:ORUFI08G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLDQHVIEMASLLAQELKHELASLNSPTTGRAGGESAAPPSIMIDKVAELTRNVDKQEYEPHFVSIGPYNRSCDCRSKLARDSDKVGRLQEVLSAAAAHTTAPLQLEDFITELARVEARARRCYKLSFDHVPSKEFLRWLLLDGCYILVRFGDVVMRRRPEDEEVEEEEETTADGIVLRRVRRWFHVPSYTGLLRRRLLDACYVLVRLRDVVVGRRSKAPVAAEGNGGNRVVPSVEEREESAVDQQEAVAVVRDVFYLAENQIPFFVVDKIHQLTFLDGQTPAVHAIARYARELLRVNGYSVATPTKVEEPERPPEPANLLHLLHMHFTPTVLTSAATATGSRRRGGGRPVGRWRTAMEYYFAGVTFKKRPLDLTAKGQCRCVLDVKVSGCGGGTLEMPQLTVDAETWPLLRNLMALEQSNPAAAGSHVTAYCVFMSQLACTAADVELLSRRGVIVHGLGHHGEVAKHFADLCKGAVFDADDADMNYLRPVCQVLERRFQSRPRRWMAWLKKKYFANPWLIAGLVAATVGLVCTVIQAVYSVLGYTKPGS >ORUFI08G13560.1 pep chromosome:OR_W1943:8:14413418:14415094:-1 gene:ORUFI08G13560 transcript:ORUFI08G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQDEHVIDVPSILAQELTTELASLKPPSTELAVDGDPSPTPPIIIDEVGERTRNVDQHEYLPHHVSIGPYSRMRNAGLAGDDDDKLRTLQEVLAAAAAYSTAPPLQLKDFVAELELLEARARSSYRHSFDVVPSKEFLRWLLLDACYILVHFGDVDDVVGRRPRPAAAVANANGVVQGGGNRVAPSVERRASAADRQHILDVVRDVFYLAANQVPFFVVERVRQMTFLDHGTPALDAIASTPSRRRPWWGRRNGGRGPXRRREPANLLHLLHMHFTPTVLASSAAVGGGGAPVGRWRTAMEYYFVGVKFKRRPLDRRSKGGALSILDVKVSGGGGGGTVEVPQLNIDGETWRLLRNLMALEQSNPSGAGSHVTAYCVFISQLASTPMDVELLSRRGVIVHGLGNNGEVAKRFADLCKGAVFDVDDADQNYLRPVCQVLDRRFQSRPRRWMAWLKKKYFANPWLAAGLAAAAVIFVCTVIQAVYSVLSYKKG >ORUFI08G13570.1 pep chromosome:OR_W1943:8:14417812:14418954:1 gene:ORUFI08G13570 transcript:ORUFI08G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVGLAAALHRAETVWERRERKGLKSGEMGGRIWDLQKPTKPPQSAQLAPTWNPYGPKSPIQVSSRNLLDAYTAEGHDSQLAYILDAGRSDRRSIVLNSTNMASTQGGHGLEPHPELHGCTTVTRSSRGQSSNSH >ORUFI08G13580.1 pep chromosome:OR_W1943:8:14420001:14424564:-1 gene:ORUFI08G13580 transcript:ORUFI08G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNSLTKSGQLGTAFLGSVCKYRNITRLISPIYQPAQKNFATVCGSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGAEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRINKRIQEAYCAELFLTKVGDHTESITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRSVEPARMAIAAGMSDGLLFTELDRPDGQPCVEAQEFGLIRNMLIAAVEERYKDAATWRDKLMLLRDTQL >ORUFI08G13580.2 pep chromosome:OR_W1943:8:14420001:14424618:-1 gene:ORUFI08G13580 transcript:ORUFI08G13580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPILCRAVMQAKLPVTMISNSLTKSGQLGTAFLGSVCKYRNITRLISPIYQPAQKNFATVCGSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGAEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRINKRIQEAYCAELFLTKVGDHTESITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRSVEPARMAIAAGMSDGLLFTELDRPDGQPCVEAQEFGLIRNMLIAAVEERYKDAATWRDKLMLLRDTQL >ORUFI08G13580.3 pep chromosome:OR_W1943:8:14420001:14425293:-1 gene:ORUFI08G13580 transcript:ORUFI08G13580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRKCTKGQKRKVGHLSPTASPSLSGGSSPDKAKAFSSAPTDPHSRSPGEVEKIIYPHLTRAARAAVDGSLLLLFFPRAGVRVASSIAPRRAARTPGGRAGLERGRDDLTPPSIGIESKVRSGAEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRINKRIQEAYCAELFLTKVGDHTESITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRSVEPARMAIAAGMSDGLLFTELDRPDGQPCVEAQEFGLIRNMLIAAVEERYKDAATWRDKLMLLRDTQL >ORUFI08G13580.4 pep chromosome:OR_W1943:8:14424578:14425293:-1 gene:ORUFI08G13580 transcript:ORUFI08G13580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRKCTKGQKRKVGHLSPTASPSLSGGSSPDKAKAFSSAPTDPHSRSPGEVEKIIYPHLTRAARAAVDGSLLLLFFPRAGVRVASSIAPRRAARTPGGRAGLERGRDDLTPPSIGIESKIDGDGGTNPVSCSDAS >ORUFI08G13590.1 pep chromosome:OR_W1943:8:14452883:14455650:1 gene:ORUFI08G13590 transcript:ORUFI08G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGGTLSSGITSGSSHGTRSSGSEGDIQLQVQMDLKRKRRKESNRESAKRSRLRKQQHLDDLTSQVNQLKMENQQLTTTLNMVTQSCAFAESQNSVLRTQMMELDSRLSALREIIFYMNNGNQSPSNPTIAATTYPSTTTMTAATTGHYDYYDVFTANAWGSGMQMMQQPIDQFLYQC >ORUFI08G13600.1 pep chromosome:OR_W1943:8:14501518:14502136:-1 gene:ORUFI08G13600 transcript:ORUFI08G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLARHSLRALLNLLLLLLLTVVVLAASMYIPLQLPWQLIDLNEQDVYLYIPDRNGACVVAWQQPPLQTLFGSP >ORUFI08G13610.1 pep chromosome:OR_W1943:8:14508563:14509333:1 gene:ORUFI08G13610 transcript:ORUFI08G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGKVEMSFMVPVEVTRGSMRGVIVDVALGGGGEANSTSEGDLGRWRRGQLLQEFEASQQWWLGEAHKASLEECASEATQVTWAVLGIVIVVGAAALRLHSGGGATATGCNVKCEACELDPAIDAIIRVGLKSRARQRMLILDRLQREHVKGNGEYEMTYFIDRD >ORUFI08G13620.1 pep chromosome:OR_W1943:8:14551035:14552888:1 gene:ORUFI08G13620 transcript:ORUFI08G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIIDLSQYIGPELDKKKAKLEKAKSKLLELEKKADIEENTRVTAVESVMQALVFLDSEHDVNMIVSDYCMPEMTGYDLLMEVKKSPRLVHLPVIIASSDNIPERIRKCFDGGAKDYILKPVKIADVPRILNYI >ORUFI08G13630.1 pep chromosome:OR_W1943:8:14561401:14565662:1 gene:ORUFI08G13630 transcript:ORUFI08G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQCAVRPLAALPAAAGTSRSRRVGGASHVAVAAVPSSPRRPRRAASVAPVRAAESSPPAAAPAPPSSGAAAGKAVVPDEEFTLAKVSFGVIGLGVGGTLLSLFDHTGQLKTFLRKYAELKPVPCTTYADAFALREKCATPILNQVRSDVTRYRYGDEQHLDEALKRIFQYGLVTDDGKYSLVLVFEAKALEISDFEKRQAKFTSFFGPGIKAEIGKGGDDLYEVRLISETT >ORUFI08G13630.2 pep chromosome:OR_W1943:8:14561401:14565662:1 gene:ORUFI08G13630 transcript:ORUFI08G13630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQCAVRPLAALPAAAGTSRSRRVGGASHVAVAAVPSSPRRPRRAASVAPVRAAESSPPAAAPAPPSSGAAAGKAVVPDEEFTLAKVWIRGVFQPASWFGVYAELKPVPCTTYADAFALREKCATPILNQVRSDVTRYRYGDEQHLDEALKRIFQYGLVTDDGKYSLVLVFEAKALEISDFEKRQAKFTSFFGPGIKAEIGKGGDDLYEVRLISETT >ORUFI08G13640.1 pep chromosome:OR_W1943:8:14567919:14568227:1 gene:ORUFI08G13640 transcript:ORUFI08G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTTFAKPAVAILSALLLLFFLLCNSSTSSPHEQPSVLRSRRLLSQCDGAGSCSTRVDGLGRFEKTPKAVFESLKRVPSSKSNPSHN >ORUFI08G13650.1 pep chromosome:OR_W1943:8:14569291:14579254:-1 gene:ORUFI08G13650 transcript:ORUFI08G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSHRCVAHLQFSSCFLFVPLRGFTPCPRHSPASASTPLPSPHSPASPAPPPRKSTRRRRRSVGLVGKMPDIQLGCHTIRSHGTNVARLHMYDWIILLFLAVVDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTIPFWAVPLIAIVLPWVVFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGIPKFDNVTTNVICHGEKSVIKEGHKSFPRSFAGLGFLAWYLAGKLKAFDRKGHIAKLCIVFLPLLVASLVAVSRVDDYWHHWQDFFPYPFDADAVWPHAYFQQLADTQSNGIANSYNMGPTDIEIADEGHGAISLRDTSPILDTMESEKDFSPLIFCCGMLLPRCLLSPALLLLLSIVSIHPFAFAAARQAGECDAAGDSGAEIPDSPADHLGWGGDRNCNISRRCELEEEEPLVSRQRGSEPGIMADTQLGCHTIGSHGIKVAKFHLYDWMILIFLAVVYGLFNIIEPFHRFVGSDMLTDLRYPLKGNTVPFWAVPLIAIVLPWAIFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKNGVGRPRPDFFWRCFPDGKPNFNNVTGDVICHGERSVIKEGYKSFPSGHSSGAFAGLGFLAWYLAGKLKAFNREGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGIIGLTVASFCYLQFFPYPFDADAIWPHAHFQQLAESRSNGNENSHNMGWTETDAFHEGAGAVALRDTSLVLDSMESGRRF >ORUFI08G13650.2 pep chromosome:OR_W1943:8:14569291:14579254:-1 gene:ORUFI08G13650 transcript:ORUFI08G13650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSHRCVAHLQFSSCFLFVPLRGFTPCPRHSPASASTPLPSPHSPASPAPPPRKSTRRRRRSVGLVGKMPDIQLGCHTIRSHGTNVARLHMYDWIILLFLAVVDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTIPFWAVPLIAIVLPWVVFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGIPKFDNVTTNVICHGEKSVIKEGHKSFPRSFAGLGFLAWYLAGKLKAFDRKGHIAKLCIVFLPLLVASLVAVSRVDDYWHHWQDFFPYPFDADAVWPHAYFQQLADTQSNGIANSYNMGPTDIEIADEGHGAISLRDTSPILDTMESEKRRTFLPSSSAAGCCFRVASSLLHSCCYSASSPSIHSLSRPRGKPGNATLRATPAPRSQNCNISRRCELEEEEPLVSRQRGSEPGIMADTQLGCHTIGSHGIKVAKFHLYDWMILIFLAVVYGLFNIIEPFHRFVGSDMLTDLRYPLKGNTVPFWAVPLIAIVLPWAIFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKNGVGRPRPDFFWRCFPDGKPNFNNVTGDVICHGERSVIKEGYKSFPSGHSSGAFAGLGFLAWYLAGKLKAFNREGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGIIGLTVASFCYLQFFPYPFDADAIWPHAHFQQLAESRSNGNENSHNMGWTETDAFHEGAGAVALRDTSLVLDSMESGRRF >ORUFI08G13650.3 pep chromosome:OR_W1943:8:14569291:14579254:-1 gene:ORUFI08G13650 transcript:ORUFI08G13650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSHRCVAHLQFSSCFLFVPLRGFTPCPRHSPASASTPLPSPHSPASPAPPPRKSTRRRRRSVGLVGKMPDIQLGCHTIRSHGTNVARLHMYDWIILLFLAVVDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTIPFWAVPLIAIVLPWVVFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGIPKFDNVTTNVICHGEKSVIKEGHKSFPRSFAGLGFLAWYLAGKLKAFDRKGHIAKLCIVFLPLLVASLVAVSRVDDYWHHWQDFFPYPFDADAIWPHAHFQQLAESRSNGNENSHNMGWTETDAFHEGAGAVALRDTSLVLDSMESGRRF >ORUFI08G13650.4 pep chromosome:OR_W1943:8:14569291:14579254:-1 gene:ORUFI08G13650 transcript:ORUFI08G13650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSHRCVAHLQFSSCFLFVPLRGFTPCPRHSPASASTPLPSPHSPASPAPPPRKSTRRRRRSVGLVGKMPDIQLGCHTIRSHGTNVARLHMYDWIILLFLAVVDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTIPFWAVPLIAIVLPWVVFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGIPNFNNVTGDVICHGERSVIKEGYKSFPSGHSSGAFAGLGFLAWYLAGKLKAFNREGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGIIGLTVASFCYLQFFPYPFDADAIWPHAHFQQLAESRSNGNENSHNMGWTETDAFHEGAGAVALRDTSLVLDSMESGRRF >ORUFI08G13660.1 pep chromosome:OR_W1943:8:14581326:14586477:-1 gene:ORUFI08G13660 transcript:ORUFI08G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTATPMLLQPISGAGAGARRSSAEGLSPPPTARLRRRVLCAIRADAPPPIHLSAAAPSRPAKPPVCTADELHHAPVSGAGWRLALWRYRPPPHAPARNHPLMLLSGVGTNAIGFDLSPGASFARHMSNQGFDTWIVEVRGSGLSIRDHDNSASSPSGAFDDISNGTLALDKSSTLEVASVQSSGFSGIDYDDLGIVALDDPPLLMEMTSFFDRISKLLEEASLNKNFHEITDKISVLSEMVESSAVIGPMREESLRLLKNFQEQIDSWEHFVATQMNLNSEYNWDFDHYLEEDIPTAVEYIRQHSRVKDGKLLAIGHSMGGILLYAMLSKYGFEGVPSNLAAIVTLASSVDYTTSNSSLKMLLPLVHPAQALNVPAVPLGTLLAAAYPWASGPPYLFSWLNHQISAQDMMHPELLSKLVQCLQRLSFNLQQLFGKGGYAIELKLSHTKIICDITPVLALAGDKDLICPPEAVYETVKLIPQHMVKYGVFGKPEGPHYAHYDLVGGRLVHILSLFT >ORUFI08G13670.1 pep chromosome:OR_W1943:8:14588196:14589197:-1 gene:ORUFI08G13670 transcript:ORUFI08G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSHAWGNEATTAWGNARDNEAPAQHTLLDAQLGVRIKGERESVLLGLTAMVEYANHFRTEEVLLKQISSAALSVPKIMPTLKRLHETYLDFYQDYTENFQQYLYLLAPLRRNHTIAAMSVRRGAKVTAAPVPVRREGRLALAARSAATAGPIAGG >ORUFI08G13680.1 pep chromosome:OR_W1943:8:14591651:14595744:-1 gene:ORUFI08G13680 transcript:ORUFI08G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEVKWAQRIDKVYITVQLADAKDAKVNLEPEGVFSFSATAGTDGNLYESKLELNDKVNVEESKISVGVRSIFCIVEKAEAKWWKKLVRDDQKAPHFVKVDWDKWVDEDDDGADVNVDGMDFSNFGGMGGMGGMGGMEEVSKPQDAEKAAEAGKSQESDAKAETS >ORUFI08G13680.2 pep chromosome:OR_W1943:8:14591651:14595744:-1 gene:ORUFI08G13680 transcript:ORUFI08G13680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEVKWAQRIDKVYITVQLADAKDAKVNLEPEGVFSFSATAGTDGNLYESKLELNDKVNVEESKISVGVRSIFCIVEKAEAKWWKKLVRDDQKAPHFVKVDWDKWVDEDDDGADVNVDGMDFSNFGGMGGMGGMGGMGDMMGGMGGMGGMGGMAEMMGGMGGMGGMGGMGGMDEFEDESDDEEEVSKPQDAEKAAEAGKSQESDAKAETS >ORUFI08G13690.1 pep chromosome:OR_W1943:8:14599472:14603206:-1 gene:ORUFI08G13690 transcript:ORUFI08G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGIVVSNKMQKSVVVAVDRLFHHKMYNRYVKRTSKFMAHDETEDCNIGDRVKLDPSRPLSKRKHWVVAEILRRAKVYSPSAAAAAVSAQPGATVQQAEAASKS >ORUFI08G13700.1 pep chromosome:OR_W1943:8:14612196:14612735:1 gene:ORUFI08G13700 transcript:ORUFI08G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPQRFKLLATRCAAGAAAPSPSRSPAPSYAGASPGYRLRRRRRRRGGVDGRLRRFLSRRVGVGGCGGGGGGGGKEAAAAREQEEYRKPLVGRGGRTLRDLFVASPEAARRRGGDDDEGGGIGGFRSGHGGGGGGGGGGRRFGSGGLRSLLMRRSWRPVLVAIPEGEGRPELAVIEE >ORUFI08G13710.1 pep chromosome:OR_W1943:8:14612797:14613339:1 gene:ORUFI08G13710 transcript:ORUFI08G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTVPILFIFLLCFLRLLLPLFGFFFSLVLIHFLLRFAAVNMEYQITTITQSINLTPNCKFALVLLCMHHQFITNSPFLFSSPQTATRYAATGHDDLVIIIISTAAAAAKPSLLPLYCSLAKNPWPWPPESTEEVVVARSTPVGIGTGSRHEADA >ORUFI08G13720.1 pep chromosome:OR_W1943:8:14627514:14629705:1 gene:ORUFI08G13720 transcript:ORUFI08G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAARWAAKKGKPKMAPIELPAPPEQAQSITRTIFDVVREHGPLTISDVWDHVKDVGLRGLTSKRQMKIMMRWMREKQKLRLICDHDGPHKQFLYTTWFTNPKNAPQRPKRDHHRQQQQQQQFRGEPRKP >ORUFI08G13720.2 pep chromosome:OR_W1943:8:14627514:14630420:1 gene:ORUFI08G13720 transcript:ORUFI08G13720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAARWAAKKGKPKMAPIELPAPPEQAQSITRTIFDVVREHGPLTISDVWDHVKDVGLRGLTSKRQMKIMMRWMREKQKLRLICDHDGPHKQFLYTTWFTNPKNAPQRPKRDHHRQQQQQQQFRGEPRKP >ORUFI08G13730.1 pep chromosome:OR_W1943:8:14629111:14632607:-1 gene:ORUFI08G13730 transcript:ORUFI08G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLHLFSPSPRPPSPTPPPWLHGPHVPSTSVSPPDPATEAPPAPKQHRGPRPAPRNPGSAKPLTAGVPGGRTRRAVLGIIRKVRSLELSDPRTPSPNGGGGSSSSSTATARVPFHLPIHPPPPEREEEEEKKGIRRAVPWAAARDEETKVVLRREKKTRVPTRAETELEAGELERLRRAARGKERWARAKKAGITDEVVEEVRGQWAKGQELAGVRIVEPLRRCMDRAREILEIKTGGLVVWTRGGIHFVYRGSSYLENAKRHRDFVNYNEELSPVTSNNPTSQGKYWSKDETLTNDNDEADDKDDKPIKGTLYEREVNRLLDSLGPRFIDWWWNTPLPVDADLLPEVVPDFKTPFRQCPPGVRPALADEELTYLRKHARPLPTHFVLGRNTKLQGLAAAILKLWEKSLIAKVAVKVGIQNTNHEQMARNLKRLTGGTVILRNKDYIIIYRGKDFLPGGVAESVIERESQVHDQQAKEEEARLKMADSLQMIVGLSSERSYVGTFREYQDFHDSHARRTTENNFRIQLEAKKHRLEKELKDQEWRLSMLTKKIERSNQVLAKLHSSWSPSKKDGDRELLTEEERRIFRKIGLKMDEHVLLGRRGVFEGVIEEIHQHWKHKEVVKVITKQNQASQITYTSMMLEVETGGTLIAIERFTTSHAIILYRGKNYRRPTKSAPSNLLTKREALQRSIEVQRRGSMKYFAQERKKSIDELKRELRNVTWEIRKLNHDTEQSWTA >ORUFI08G13740.1 pep chromosome:OR_W1943:8:14633389:14633983:1 gene:ORUFI08G13740 transcript:ORUFI08G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLPVPTMLLRSLFFFLLRPTSLLLLFPQQQIADRGLRASLTSTGRIRARALNYDPDIYWRDTYVVSVPFFAMLSAEMTLGWAAKGAFATSNLYSFTTGDGYERVTGVDAVEERVKEEEPNAEERDGDGRSGAPQGRATVASDAPKSARGFGRTVG >ORUFI08G13750.1 pep chromosome:OR_W1943:8:14639191:14642528:1 gene:ORUFI08G13750 transcript:ORUFI08G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRPRVEEEVDDDDQDGSSGSAEEHQQRRHGARRLRPVLSFRSVVRRAVAAETIQQIVLNLEPVIRRVVREEIRNIFPQYGHDLPHRSLTLQIQDVGVSPPLKLVFTKQLKLPIFTNNKLVDIDNNPIEIQLVDTRTNLIVTPSNTHLGYSAIKLEVLVLDGDFRYDEDGARWTDDQFSTAIVKAREGRRPLLVGTVSVTMSNHGVAVIDDVSFTDNSSWIRSRKFRIGVRVVMLTDSCGLRIQEAVSESFTVKDHRGELYKKHFPPLLTDNVWRLRNIGKDGPIDKRLEAEGIKNVQDFLKLNTMNPNKLKSLVGMSDRQWSATLKQAKSCDMGGKCYVFKSEGCEIKFNPVGEILAVRFGGRMCSLHELLPHQMVQIKQLVSQAYQQWDQMEEVQNEMALVDKSLIPFHDEKPMISSGMPSYINQAEGLMENSGWEPSEMSQESMISSASQNAMYVDSLGTATTSTAEMVTNNISTLEPASTVPDSALYSWNSGMAADDHFSWQNNTNLVPWDHAN >ORUFI08G13750.2 pep chromosome:OR_W1943:8:14639168:14642528:1 gene:ORUFI08G13750 transcript:ORUFI08G13750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRPRVEEEVDDDDQDGSSGSAEEHQQRRHGARRLRPVLSFRSVVRRAVAAETIQQIVLNLEPVIRRVVREEIRNIFPQYGHDLPHRSLTLQIQDVGVSPPLKLVFTKQLKLPIFTNNKLVDIDNNPIEIQLVDTRTNLIVTPSNTHLGYSAIKLEVLVLDGDFRYDEDGARWTDDQFSTAIVKAREGRRPLLVGTVSVTMSNHGVAVIDDVSFTDNSSWIRSRKFRIGVRVVMLTDSCGLRIQEAVSESFTVKDHRGELYKKHFPPLLTDNVWRLRNIGKDGPIDKRLEAEGIKNVQDFLKLNTMNPNKLKSLVGMSDRQWSATLKQAKSCDMGGKCYVFKSEGCEIKFNPVGEILAVRFGGRMCSLHELLPHQMVQIKQLVSQAYQQWDQMEEVQNEMALVDKSLIPFHDEKPMISSGMPSYINQAEGLMENSGWEPSEMSQESMISSASQNAMYVDSLGTATTSTAEMVTNNISTLEPASTVPDSALYSWNSGMAADDHFSWQNNTNLVPWDHAN >ORUFI08G13760.1 pep chromosome:OR_W1943:8:14656219:14657731:1 gene:ORUFI08G13760 transcript:ORUFI08G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFLPPEEQSPDIGDHCNGLLLLFSLLVVNPASKVGALATIAPHLRQAGIWVFDKEFIVFDTTVSPHYEVFNIQFVGVGWYNVKTMDPVLKKSEWPPSPLVLRVFSSATGRWEERSFSREGDAAGTVASAQRLCRPEQCGTVYWRGALYGIMSDGTYQVIKHPKIYKSKQPPYIYIGKSEKGVYLASLHMLDCCLLIWILNKSCGQFKWVLKHQNNLKPMLLRLNNSKQDHGPQKFPGEWELYNGNYDPSHFHSPNDDAPAENNFEWYSDDDNIVENQGNCEEHDHDDGDYLELLGFDPYKEVIFLNSISRKGLAYHLNSSKLQHLGNLYPKHYNHFAQHEYISQAFPYTPCWVDELPEASTSLDSLHQD >ORUFI08G13770.1 pep chromosome:OR_W1943:8:14661617:14662368:1 gene:ORUFI08G13770 transcript:ORUFI08G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYMIAQGSNHGQLVVHKGDHHGLTITLLLAAAFFFLILLSSSLVKINEKPPKLDHRKLAAAAGGTNVVEGRIAVCLVGAAQRSELTGPSITWNVLAPQYPHTDLFLHNPLDRDSYMFSLLKDAP >ORUFI08G13780.1 pep chromosome:OR_W1943:8:14664525:14665064:1 gene:ORUFI08G13780 transcript:ORUFI08G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLPLLAVTASAQVLCRSQFNLANEACSMRTLPGVMRPPLVPPRPLKLNETSVTGGGSRRHGLQSRGGGGGGGDDDDDDGDYYYDADGEEQGGGGGDEEGRGRRRRHRHRRNVDDDDESREDPHDTACCRRLMSLDNSCVCQAAARLPAFMTAVRHVVRLTPVDGCHVTFECPGSF >ORUFI08G13790.1 pep chromosome:OR_W1943:8:14665362:14666300:-1 gene:ORUFI08G13790 transcript:ORUFI08G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFRRIRISYSDPDATDSDSDSDSADAGDHDISSRSSRTNAAARRKVIVISLSRKKGRSLSQSGRHYRRRRSEIPAAAAKAVEAEDWPSSSDGMRRGAVAAARSASAAAKKGSRGGVYQRQPGRWAVDFRDSQLKTTATVTTTTTTESLSQVTASSASPSSKEAPPPSSSASAATPPPLNPPPFVVDTFLGEDDHLAADEDDRFGFGLADLGHLPLPFLDDNDMDFKLSDSDDLSFLFDIGFM >ORUFI08G13800.1 pep chromosome:OR_W1943:8:14666712:14667349:-1 gene:ORUFI08G13800 transcript:ORUFI08G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAMGGRSDGGMGGGWSRWCNKECGGGDPPTPLSHIQPPGDLLKCLQAKFYYRKWI >ORUFI08G13810.1 pep chromosome:OR_W1943:8:14673507:14678377:1 gene:ORUFI08G13810 transcript:ORUFI08G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTLINPTVDEFLEAQRALQPRFMYLRGQQLDNEEEIGTLVWRDADVSDPQILSSLIRPPFPTIVYLEVPSGEKIAQSLQSKICNFHTLSLLGYFIYNVFEIFIIIVCSISFSPFLDVSCSEVAYATFQLYCVRNNEVQRLMLGPHLLGDAPRIYITPPGNEIAEEEDTSEYFPDIKIYDEDVNLKLLICGAHCTLDSSLLNLLEDGLNALLNIETMQHTHIEKLSSGGGDWWFRWCKLQDRVSAAPPLHVDSTLLDGMVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRQLVRVVSVSEDGPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVCSFDSQDVDWLLFFRTIQDEAIGTSLYPHPPRWSASLAKNRVKGSMVSKPAR >ORUFI08G13820.1 pep chromosome:OR_W1943:8:14685303:14687292:-1 gene:ORUFI08G13820 transcript:ORUFI08G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGATSSSMAGDEAAHRKPKRKRRAKSADEDASGDEPPESKGPNLTRCSATLASEACHVLSNTHHEKLGEIGLDAVACMTLESLKKTNPDTMCPSIDGERKIQITPRTVKLVMGTPLGGHDIVIPPNKKDDPSAVRFLVMVLMSKLLLPTTDFYIPKSDVWVATDLDRVAAIDWSKAVFQALSDTIRCWRQKPTSSITSCVVFLVVRFCILTTSSTSESGGLRSSMSDGGDGHGVDMYPKSSANIVSSASIEGDSRNGSGKAVVAPTHETLRGSSHTSSRCSDVVLTDGAGTCSSELVALAVDVWAISSMG >ORUFI08G13830.1 pep chromosome:OR_W1943:8:14691264:14691515:-1 gene:ORUFI08G13830 transcript:ORUFI08G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAKIAVVCLVVLSVGQSMMMTAAATTAATGATTCNCDTSGCYNCMLDVVTNWCKGRDFNWMVFTACLIKYSKENQCFSK >ORUFI08G13840.1 pep chromosome:OR_W1943:8:14732215:14739610:-1 gene:ORUFI08G13840 transcript:ORUFI08G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEERHGETCHLEDHELEKIYGPPAYETDYDSDESGVSFYINGRNIFMKYGDGFRYEDRNPYYAADKEETWEKQILRQMKFPIPDLSESWEGSLVVEGPFNLDPNLTFTDHLMPALPKWERRWLDRDGKEPCRRAIQVHGLNLSSPSNVLLEIYGIFAFRDTRNSQLRNYAFDYSRENPCKLKPFINTTTIRVAIPMVLSGTVHSLLFYASRIWKEFKNVKVTWTCNLYGIQMAVKSTWSIMYEPCL >ORUFI08G13850.1 pep chromosome:OR_W1943:8:14740172:14749303:1 gene:ORUFI08G13850 transcript:ORUFI08G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLELSLACNMLGNALPSNIGDTLPKLQVLFLGGNMFKGNIPASIGNATGLQQIGLSNNSFTGQVPSSFGRISGMVLLNLERNELEATDSEGWEFLNALANCSRLEVFSLAQNQLQGAIPNFFGNLSTSLGYLLMGGNKLSGNVPPSIGIYHDLAQLSLDGNNLTGKIEEWVEKLTKLQHLNLQSNNFIGTIPLSIGNLTQMASLILAENELEGSIPSSLGNFSQLLKLNLSYNNFHGHIPKEFLTLKHHNNDIMHGLIPLEFAELQQLTKLHLSSNRLTGTIPESLGQCHELEIIQMDQNLLTGNIPISFRNLKSLSMLNLSHNNLSGTIPTTLDELKLLNQLDLSYNNLNGEVQTNGVFENTMAVSFIGNWGLCGGPSNLQMPPCTTTSPRKGMLYYMVRILTPILGFTSVVMLLHLTQVQNKMSNGTYFLLLSFGKQFPKFSYNDLARATRDFSRSNIIGRGNYGSAYKGRASLWSSKEYRSALIYEFMPNGSLDKWDKWLHKKSSHEATNNLGVGQRISIAANIADALSYLHHDSRTSIVHCDLKPSNILLVADMNAYLGDFGISNLVHNSTSTSACHSGVDSSLNSSIGLRGTIGYIGPGLYAQSWQPSICGDVYSFGIVLLEIVLGKRPTDPVFDNGLSIVIDVNLQEECRGFIEATEVEENEVYQCLLFLLQVAISCTRRCPREWMNMREVANRLHAIKISYDAVSNRMQARLHHRDLRTMI >ORUFI08G13860.1 pep chromosome:OR_W1943:8:14777463:14778215:1 gene:ORUFI08G13860 transcript:ORUFI08G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQSDIFSDLFYEAPVAAAASAAAAGPGADGDGDGEGKPCKDDTAMAEECGGPSAASEAPRDLMPPPKSMHASCLRQQTSLANGGDNAGDLSELVRARTSGGAGRRGGSRRPVAPRRRRC >ORUFI08G13870.1 pep chromosome:OR_W1943:8:14797958:14801248:1 gene:ORUFI08G13870 transcript:ORUFI08G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLDRVSTNKEASVDGPILKRRRVYSDRPLQEICIAEEENDMVQEENNMMNTCSLSKQNIQSHVPNEDLQPKTKQCVEDKNKQNWEQHDYGKQRKVISAQNKEREKNAQSHVRLGQNQPTATPKKRQCSTTNFITDNSMEDTNIHVQDKVLQLPTKQSTIDKVILFLFVMSNSPHSII >ORUFI08G13880.1 pep chromosome:OR_W1943:8:14821198:14822505:1 gene:ORUFI08G13880 transcript:ORUFI08G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRNLMQSKPICNQQRKPINLELSANEKEVAMLDFSGNIGPFVLPAEFRAKEDDEHLDDGARNRDDKVKILESHQRTNLDITQVKKLECSSNISDQDLKERVYCKWHNSFDHCTSDCNTFRQKIQSAINEGRLNFATPVMSHAKDDRFGKTNRSRWPNKKSLAQTRGSSIRKQIWVPKSRGQEKGLVAGVHVSVQKASVKKKVIELWGHARVEQLENVCHVSVKQEGSSAKVVTNQNPPKSSGDNGSQSRHSHSLSNWQKKQLHKLKCRKAE >ORUFI08G13890.1 pep chromosome:OR_W1943:8:14825817:14828154:1 gene:ORUFI08G13890 transcript:ORUFI08G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAASAPSLLPSQIWPEGVAGGRRWPAALPSLALVRYGAGWPMAGRTAAAVVAEDLVGLGGGGGGIHGGGGRCPAGERIRVGGGDGVRVVLGVSAAVVEVATNSSSCSALRRPSPRFAVPVLAVPSVGTSRLASLWVPSPSVALVLVVALAGVGVCTVLDGAAVVASRAPVAISALAGAAPGADSSSVGGSGRLVINILLQADAFGILVTGCLICSDSCGSTLQVTLFLAISALIARQKSIGSLLKAPLLMQKPRLGCHSGLARTPAQFRLSGLLLEFLRFNDEPRGDASLSPATEGDQRFVKRCALQLRPKVAVVTVLRCRGSVVDTAALCQLAPFSAVWLHLEGFHSSVNPLSSGLSLMVSVTILCCVLGFIP >ORUFI08G13900.1 pep chromosome:OR_W1943:8:14843127:14843438:-1 gene:ORUFI08G13900 transcript:ORUFI08G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGRRGGSTSTVERWRARHRRIRHGTDTGAAAMGDGMWEAGYPAAAPCPTRGDPAAVRRIRCDGESGAEPARGGAAAPPPLASGGWRGVAGSGTARLRARR >ORUFI08G13910.1 pep chromosome:OR_W1943:8:14847873:14848130:1 gene:ORUFI08G13910 transcript:ORUFI08G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVVLAGDGGAVRQTTRRRQRQHMKAEKELGGGKGDRERAWHGRRLRVAALHCSGGLRRARRLRGVEAGSGRVAHWWRASCS >ORUFI08G13920.1 pep chromosome:OR_W1943:8:14848138:14848605:1 gene:ORUFI08G13920 transcript:ORUFI08G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMRWRVWLRRGMTACETSWTFPWSLMWDVGESLAVPLASSTTTTLMGAVNLLGGVVKTLFRFRTSGGDVTRRVLLGGVASEEFLCIDDRGWSFSVQKLSYFGVRRGLRLLGSASFLWWATRSSVASADEVGAAR >ORUFI08G13930.1 pep chromosome:OR_W1943:8:14893143:14894358:-1 gene:ORUFI08G13930 transcript:ORUFI08G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLNTFQVTFHFNRDFVVSGREKHYVGGSEAMSYLDQDKVSLPKIVGHLHDHYKVGEGTMLHLLFPGKDLNSELRALLDDDSMCQYMNDCIVDGGVAEVYADAPILVDLSYEDEGSDYELEMEEDMGDESDGNWVEQVDMESDNAEMEQVGMEGDNAEVQQVGMEGDNAEAEAEQVHDLEPLATQTPDLTEEAEPMPMSQALLEVVSRVGIGRHLHLLPLAPMEHLQIVKINAKAKVATQQGGSACVNLQAIVSHSQASTSASIQIKSGKASISLSVQEPAKKGNKSQHVRPAKKAKKKVQLDHSFCSHLGNLISCDSLFVGFVMQTMVYVMPDSKAPTFILCNLACQTLRLVSVI >ORUFI08G13940.1 pep chromosome:OR_W1943:8:14895887:14898572:1 gene:ORUFI08G13940 transcript:ORUFI08G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALVACSGKRRRRTATTHAAGDSSSWASLAEDLVSLIGWRVLAGDVRDYICFRAACHHWRSCTASPCGCGVVDPRFHPRRWMMLPEGNGLYPGHGKLRGFVRFFNLSTGAFVRLKLPIVRDHRILDSVDGILLLQRNRDTAVRLLHPFTGDIVDFPPLDTLLPYMGRRSEEYLRDVAAASITSSADDQAVLLMIWLFRTVRVAFAASGDKQWRVSSWSMYQAYTPLPFQGKLYILDQATAYGGPEVLQIDPPLQLQLEGTTELSLPPPKSIAKCPARTPDSFFLYHLVECDSDILLVTFGVSVYAQISVYRLADLISGTTVPVTCIGSNSLFLGNRNLCVSSKAFPTIVGDAIVFYHQKENYLAQYHLRSGTLSPTSDGSSFTISTPVAFDQGTFIVSKGEQGMADETEVGIDGGK >ORUFI08G13940.2 pep chromosome:OR_W1943:8:14895430:14898890:1 gene:ORUFI08G13940 transcript:ORUFI08G13940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALVACSGKRRRRTATTHAAGDSSSWASLAEDLVSLIGWRVLAGDVRDYICFRAACHHWRSCTASPCGCGVVDPRFHPRRWMMLPEGNGLYPGHGKLRGFVRFFNLSTGAFVRLKLPIVRDHRILDSVDGILLLQRNRDTAVRLLHPFTGDIVDFPPLDTLLPYMGRRSEEYLRDVAAASITSSADDQAVLLMIWLFRTVRVAFAASGDKQWRVSSWSMYQAYTPLPFQGKLYILDQATAYGGPEVLQIDPPLQLQLEGTTELSLPPPKSIAKCPARTPDSFFLYHLVECDSDILLVTFGVSVYAQISVYRLADLISGTTVPVTCIGSNSLFLGNRNLCVSSKAFPTIVGDAIVFYHQKENYLAQYHLRSGTLSPTSDGCIFATNMPSPSSIIHHIYTCCFRTQWTKGHLLFQRENRAWQMKRK >ORUFI08G13940.3 pep chromosome:OR_W1943:8:14895887:14898572:1 gene:ORUFI08G13940 transcript:ORUFI08G13940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALVACSGKRRRRTATTHAAGDSSSWASLAEDLVSLIGWRVLAGDVRDYICFRAACHHWRSCTASPCGCGVVDPRFHPRRWMMLPEGNGLYPGHGKLRGFVRFFNLSTGAFVRLKLPIVRDHRILDSVDGILLLQRNRDTAVRLLHPFTGDIVDFPPLDTLLPYMGRRSEEYLRDVAAASITSSADDQAVLLMIWLFRTVRVAFAASGDKQWRVSSWSMYQAYTPLPFQGKLYILDQATAYGGPEVLQIDPPLQLQLEGTTELSLPPPKSIAKCPARTPDSFFLYHLVECDSDILLVTFGVSVYAQISVYRLADLISGTTVPDQGTFIVSKGEQGMADETEVGIDGGK >ORUFI08G13940.4 pep chromosome:OR_W1943:8:14895474:14898574:1 gene:ORUFI08G13940 transcript:ORUFI08G13940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADETEVGIDGGK >ORUFI08G13950.1 pep chromosome:OR_W1943:8:14899570:14899924:1 gene:ORUFI08G13950 transcript:ORUFI08G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPRDSRAHPVVSSKRMVASSSFVLEVGVLQIHIGEVAPAVQEFSSKKIHKNGVLLLRHRCRIYKGRL >ORUFI08G13960.1 pep chromosome:OR_W1943:8:14910616:14914639:1 gene:ORUFI08G13960 transcript:ORUFI08G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGRGAVVPLQTGPFLVLSSLGPLRGQEDVATTPSIRDDATTSVRADPTLPMPPVLRPRTAAADPPFARRYHCLHRRPRRPHATHAGGLLPHIVLTPGSGFWPSSPRRYRSCEFPPFIFLGFSALSSNRPTDCLNPFEQGSAQIF >ORUFI08G13970.1 pep chromosome:OR_W1943:8:14937133:14939163:-1 gene:ORUFI08G13970 transcript:ORUFI08G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGCIRRLKELHAKEPPSEGKMLRLSVEAGGCSGLQYSFSLDVKKNSDDRIFEKDGVKLVVDDVLYDFVKGATVDYEEELIHSTFVVSLNLTCLILDLNTRTMETCLVKSSAGY >ORUFI08G13980.1 pep chromosome:OR_W1943:8:14945579:14953507:1 gene:ORUFI08G13980 transcript:ORUFI08G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGRPAISSHWQNPPLAKKLQVQQSSSILPQDFPKLEVLPVEKPTKLQERRSPQHVSPELKQGSPKMERPLLPRPATFIDVMLSSQKPSSDMWSETKDVTLTRKTNCSCKYSKCLKLYCECFQKGRYCIGCNCTNCCNNVNHENARHDAINVALERNPAAFMPKVSNSTAHNCESKAAEGDIVGKHTKGCKCKRTEYLKKYCECFKASVFCSENCRCTGCKNFKSNEDRISQKNTVHAHNVQNPASSGMVGQSVIIFHAAENDSSLSLAASVSDHSINNNTSHLIQVE >ORUFI08G13980.2 pep chromosome:OR_W1943:8:14945579:14953507:1 gene:ORUFI08G13980 transcript:ORUFI08G13980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSPPLAKKLQVQQSSSILPQDFPKLEVLPVEKPTKLQERRSPQHVSPELKQGSPKMERPLLPRPATFIDVMLSSQKPSSDMWSETKDVTLTRKTNCSCKYSKCLKLYCECFQKGRYCIGCNCTNCCNNVNHENARHDAINVALERNPAAFMPKVSNSTAHNCESKAAEGDIVGKHTKGCKCKRTEYLKKYCECFKASVFCSENCRCTGCKNFKSNEDRISQKNTVHAHNVQNPASSGMVGQSVIIFHAAENDSSLSLAASVSDHSINNNTSHLIQVE >ORUFI08G13980.3 pep chromosome:OR_W1943:8:14945579:14953507:1 gene:ORUFI08G13980 transcript:ORUFI08G13980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSPPLAKKLQVQQSSSILPQDFPKLEVLPVEKPTKLQERRSPQHVSPELKQGSPKMERPLLPRPATFIDVMLSSQKPSSDMWSETKDVTLTRKTNCSCKYSKCLKLAKQQRVILWVNIQRGVNAREQKNCRCTGCKNFKSNEDRISQKNTVHAHNVQNPASSGMVGQSVIIFHAAENDSSLSLAASVSDHSINNNTSHLIQVE >ORUFI08G13990.1 pep chromosome:OR_W1943:8:14949933:14952276:-1 gene:ORUFI08G13990 transcript:ORUFI08G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGGVIRVIVTRVLYPVTEEVLHQVLDPYGAGEMIFVVQFPSHVEAYATFLSRAAAEYARDILHGHAIYNDCCWLDIQLEPLIMTKEEDATKEGRTTRASSSAKASPQLSPPKAVILVEQEAVGMAAQHDSASLVPASEVHHQPEPVATTWAKQPWHTKRNPSGVNSQLRSRLFWLLCSVREECIIHPSLVDSKGITGGISPICSTGSNMNFPWLCIGKNSVIPDIQTWLDNLNL >ORUFI08G14000.1 pep chromosome:OR_W1943:8:14965963:14966151:1 gene:ORUFI08G14000 transcript:ORUFI08G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTGSSITAHAALVAVVAVAVLCLTGAKPSAACKLGKSNCLPPIVPRAAPPAVEKADGNGK >ORUFI08G14010.1 pep chromosome:OR_W1943:8:14969067:14969321:1 gene:ORUFI08G14010 transcript:ORUFI08G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCRGLRQRPALRAPVAAPPVPRHIVLLQPLLRLSGFTRHRSLLEGVWDTCLCGAHDGGWVTVAVDPCAVARIRGRPSTSSPA >ORUFI08G14020.1 pep chromosome:OR_W1943:8:14969559:14970783:1 gene:ORUFI08G14020 transcript:ORUFI08G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQARAPPLLRAPPRACPAAQLATRRRLVRLPVAASAGVGGGGGPELRTCKNCKKHYDPAANHPSACRHHTAHFGGETKRKFESVYAGGTLDTPDSGKVFQYWHCCGSEDPFDVGCTASPHCSYDD >ORUFI08G14030.1 pep chromosome:OR_W1943:8:14979467:14979943:-1 gene:ORUFI08G14030 transcript:ORUFI08G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAATTTMVMVAATTTTPTAAATKTTSDRPHRRRHQLLRPHTSDPPASSSNAHPTAAAGSGLHGVLFVKMQIITSVMYIVTQVV >ORUFI08G14040.1 pep chromosome:OR_W1943:8:14979942:14980382:1 gene:ORUFI08G14040 transcript:ORUFI08G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEGGDGQICCRRAAVASPPAGSSGGEGVTAAAVGPPPPPLPQSGLQIWRREGTPTIRRLLLPSCHLQPLHLHAVRRHHASFPAPPLSPLPGRRRRRCYTQRKEEREREVQRNGEKREKTALSPLSVGPAGRRHISSGSAWEGR >ORUFI08G14050.1 pep chromosome:OR_W1943:8:14988965:14993150:1 gene:ORUFI08G14050 transcript:ORUFI08G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAACISSFPPPPMAAAAAPAPETTIDVFVVANHTPVTAAADKSSCEGDVVAGGGRTVRRPRAVARTLECERRVVGEGFAVRRGIGRKELESLDPFISLDEFEFSPPAGFHDHPHRGFENVTYMLEGGFSYHDFSGHKGTINTGDVQWMTAGRGVVHAEMPGGHGVQRGINLWINLSSKDKMVEPRYQELASHDIPAAERDGVSVKVIAGEALGARSPLQTRTPALCLDVAMRPGARLRAPVPPGWSACAYVIDGEAVFGDGEADEAAAAGAHTCVVFGGGGDGVAARATERAAARFLLVAARPHGEAVVKDGPFVMNTREEVEQARDDYRNRRNGFEMAAGWSSDHAAAAAAH >ORUFI08G14060.1 pep chromosome:OR_W1943:8:14993187:14995648:-1 gene:ORUFI08G14060 transcript:ORUFI08G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMHATSSLVIGHALCSSLLAIINKYAMTKFSYPGLLTALQYLTSVAGLGLLYHDPFNFQTAKKFAPAALVFYLAIFTNTYTSPEASLTPLLVAIADDTAFRIQEAAMSFQAHNFVPCDYFRRSAIESRGQSWFELDAFVTFPCLTVSLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFFSQLQSNVMIWDKHAGSPLPREAVAKQGKADNDADELDKEKQSLVSSPKDSNISNVAAEVAILQDDSMA >ORUFI08G14070.1 pep chromosome:OR_W1943:8:14996679:15003857:-1 gene:ORUFI08G14070 transcript:ORUFI08G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFAMPCQVSVDLVHRHSPIGVAMLLADRSVMAIQQPRALNYEGSRNHTQREREGATRVMEVFLVWRALVWLALITPAAALAQQEEAPGCRRRCGNVTVPYPFGIGSERCYRGGVRGFRLDCDDARRPPRLTVAGYGHEVTSISLAAAEVTVLLNASRACYGGGDYGRGRRGREEQPMSLNGSAFLFSSMKSKFVAIGCPGLAYFVDDGGDYVTGCMSVCRPSARALPGSCRGDDGCCQSNIPLGLASYRPRLRSFGRRQGGAFLANATACAYAFMVDAWWFWYAGSNFNRTGDFAVPVVLDWAIRPDAGSGSGSGSCAAASRTPLPSYACRSAHSVCIDSSNGPGYICNCTAGYHGNPYVCEHKDEYPCYGVCTNTAGSYACSCPKGSSGNASVEGGCRRDDKFTLALKTVTGVSAGVLVVLVALLLAYVGMEKRRMLRAKQRFFEQNGGLLLQQQLGSLAASGVAFKIFSEEEVSKATDGFAEARVLGRGGHGVVYRGSLADGSTVAVKRSRVVEEKQLREFSREMLILSQINHRNVVKLLGCCLEVQVPMLVYEYVPNGSLHRYIHGGGAGAGEGLSPADRLRVAAESADALAYMHSSASPPILHGDVKSANILLDAGLTAKVSDFGASRLAPAVDEAEVATLVQGTCGYLDPEYLLTCQLTSKSDVYSFAVVLLELLTGRKAFCPPPDSAAGSQDDDDDDRSLAFFFLTAAHKGRHREIMDGWVREEVGGEVLDDAAQLVMQCLSMAGEERPTMKEVADRLAGMRSRASDS >ORUFI08G14080.1 pep chromosome:OR_W1943:8:15008902:15022186:-1 gene:ORUFI08G14080 transcript:ORUFI08G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSASASASPTAAAAADADRYTYSPRLRWQPEVEEYFAAAYGRDRFARISEALAHPSCYSCIRVNTLKSSTDAVMHKLMNLVDQNGLCGGINGLEIGQQNGGEQAHEGNSVVHKCPYSGLDNVLFVQGSGPHALHYNSQPDQSIKEVIVSRKCAESVLRGAQVYIPGVLACSSHVEKGDKVAVSVAIEQPAEDGGWTVDAHHEERKGLYIGQGITAMSRSGIFRVPHGVAVEMTERVYKLPSFNDVLEGEIFLQNLPSVVAARVLDPQPGERILDMCAAPGGKTTAIAILMKDQGEIIALDRSHNKVMDILKLAAEMDLNCIKAYKLDALKSVRKTNEAKYIGEAGSRTDAIVTLAEDSEPCINKVDAGTTNASEDSSTTSVVQTDNKKTDDKRYVSKADLRKNLRRMRNGPGRNNCSGGRVENSKGFLPNSFDRVLLDAPCSALGLRPRLFAGEETLESLRNHATYQRRMFDQAVKLVHPGGVIVYSTCTINPGENEALVRYALDKYKFLSLGSQHPKVGGPGIVGSFELSTKKYTEEWLTKHESQLVQRFDPSSTLDTIGFFIAKKTTDVRGSLEHSILDPTL >ORUFI08G14090.1 pep chromosome:OR_W1943:8:15027137:15032349:-1 gene:ORUFI08G14090 transcript:ORUFI08G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDAQLRMLAPAKLSEDDKLVEYDALLLDRFLDILQDLHGDDLRELVQECYEIAAEYEGKHDSQKLDELGNMLTSLDPGDSIVMAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSALTESDIEETFKRLVVDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRLDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMASNLYCSQIEDLMFELSMWRCNDELRARADELHLSSKKDAKHYIEFWKKVPPSEPYRVVLGDVRDKLYNTRERARQLLSSGYSDIPEETTLTSVEQFLEPLELCYRSLCDCGDRVIADGTLLDFLRQVSTFGLCLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEERRQDWLLSELNGKRPLFGPDLPKTDEIADVLDTFRVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLESAPAAVARLFSIDWYRERINGKQEVMIGYSDSGKDAGRLSAAWQLYKSQEELINVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPIAPKPEWRALLDEMAVVATKEYRSIVFQEPRFVEYFRLATPEMEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGSAFKHILEKDIRNLHMLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEELWPLGEKLRANCEETKQLLLQVAGHKDLLEGDLYLKQRLRLRNAYITTLNVCQAYTMKRIRDPDYHVTLRPHMSKEIMDWSKPAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >ORUFI08G14100.1 pep chromosome:OR_W1943:8:15044201:15047461:1 gene:ORUFI08G14100 transcript:ORUFI08G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGPIRQDWEPVVVRKKAPTAAAKKDEKAVNAARRSGAEIETMKKYNAGTNKAASSGTSLNTKRLDDDTESLAHERVSSDLKKNLMQARLDKKMTQAQLAQMINEKPQVIQEYESGKAIPNQQIIGKLERALGTKLRGKK >ORUFI08G14100.2 pep chromosome:OR_W1943:8:15044194:15047461:1 gene:ORUFI08G14100 transcript:ORUFI08G14100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGPIRQDWEPVVVRKKAPTAAAKKDEKAVNAARRSGAEIETMKKYNAGTNKAASSGTSLNTKRLDDDTESLAHERVSSDLKKNLMQARLDKKMTQAQLAQMINEKPQVIQEYESGKAIPNQQIIGKLERALGTKLRGKK >ORUFI08G14110.1 pep chromosome:OR_W1943:8:15052791:15053222:1 gene:ORUFI08G14110 transcript:ORUFI08G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSVISNGGREEVVVANGSGGGVGGTARSSGGGGGKVVQVLQRNFGEVQGILEQNRVLIQEISQNHEARDADGLTRNVALIRELNTNIARVVDLYANLSGSFSRSVTAAASANNTNATNSTSPSAAAAAKASKRSRATDTE >ORUFI08G14120.1 pep chromosome:OR_W1943:8:15056712:15057543:-1 gene:ORUFI08G14120 transcript:ORUFI08G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEDSFINNDVGEEVVVANGGDGEGVGGMARSDDGGDGGGGNVVQDPPLSLGEVRGILEQNHTLIQEISQNHKARDADRLTRNVALIRDLNTNIARIVDLYANLTGSSYSHTTSDVASATNTNATNSSSPSAAAATAEVSKQPHTTDTDAK >ORUFI08G14130.1 pep chromosome:OR_W1943:8:15063675:15064593:1 gene:ORUFI08G14130 transcript:ORUFI08G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKTHHQVPQPSRSQLKVQPEISQVKRRFHLQQGIEPSSLEWTILDLKPHHQVPQPSRSRLKVQPEISQVKRRFQLQQEIEPSSPEWRSCA >ORUFI08G14140.1 pep chromosome:OR_W1943:8:15087555:15088592:1 gene:ORUFI08G14140 transcript:ORUFI08G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHCLAGVEPALPEHETEHHEASYVWMYGGTGQDVGGKERVGVESILIFEVKLPEVRGAAVLEDGERQRRSEQEESLASFLARPRSRGRRRQEHGGGAAAAALVGDAGGTESGGGDGDLEVLTRDGHGGRRRQELGEERRQRPSSAVPAASDLEETGIPATLLAGWLLCGAGVAAAARCVRREDGEEQRRWTSSAVLAAPDLELSSGWLLSGAGVAAVAWYVRGEDREEQRRRPSPAGIRRGRRGAVALGTKKMWCGVDNGKGNSVREEDVKSDGSGMVPILEFFSGMEPI >ORUFI08G14150.1 pep chromosome:OR_W1943:8:15142185:15162210:-1 gene:ORUFI08G14150 transcript:ORUFI08G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVMCQLFCHVLNGLLHVGPDSGLQKKWAWPRSHARGASQLAALQIYALQPRNRALMKNQHNEGIRLVSSFRLLFVGPGFKTRETTCHSKQVLRYIKKLPVVCNLWTWAVNSSGTDKVVLAASIISKSGKALVSRQYVDMTRIRIEGLLAAFPKLVGNGKQHTYIETENVRYVYQPIEGLYLVVITNKQSNILEDLDTLRLLSKLVPEYCPSLDDDGVCKTAFELIFAFDEAISLGNKEKVTVQQVKEYCEMDSVEEMEYKQMMQEKIKETKDFMKKKVIEIEKTRMEKGKHNKGGYSSISGPQVIEKSFNDMSISCTGFGSGSGLGGLNTDTDTFTSRPKGRTSGGTTGAGKGIGMKLGNTKKTNQFLESLKAEGEVIMEDFQPCSLQSRSSPLPPSDPVTVAVEEKLNVAVKRDGGVNNFDVQGTLALLVLNDADGLILLQIESQDIPGLSFKTHPNINKDLFNSQQILGAKDPIRPFPSGQNETPLVKWRIQGMNESSLPLSVNCWPSILGNETYVNIEYEASEMFDLHSVIISIPLPALREAPRVRQIDGEWKYDSRNSVLEWSIILIDQSNRSGSMEFVVPPADPSMFYPISVGFSASNTFSNVKVTGIRPLKEGSNPPNRSLPLDRTPTVSKVPGIHPLKEGSNPPKYSQRVRLVADNYQVV >ORUFI08G14150.2 pep chromosome:OR_W1943:8:15142185:15162210:-1 gene:ORUFI08G14150 transcript:ORUFI08G14150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVMCQLFCHVLNGLLHVGPDSGLQKKWAWPRSHARGASQLAALQIYALQPRNRALMKNQHNEGIRLVSSFRLLFVGPGFKTRETTCHSKQVLRYIKKLPVVCNLWTWAVNSSGTDKVVLAASIISKSGKALVSRQYVDMTRIRIEGLLAAFPKLVGNGKQHTYIETENVRYICIHLLQLRVSYVESLIYPHGLLNLNNVKVPEYCPSLDDDGVCKTAFELIFAFDEAISLGNKEKVTVQQVKEYCEMDSVEEMEYKQMMQEKIKETKDFMKKKVIEIEKTRMEKGKHNKGGYSSISGPQVIEKSFNDMSISCTGFGSGSGLGGLNTDTDTFTSRPKGRTSGGTTGAGKGIGMKLGNTKKTNQFLESLKAEGEVIMEDFQPCSLQSRSSPLPPSDPVTVAVEEKLNVAVKRDGGVNNFDVQGTLALLVLNDADGLILLQIESQDIPGLSFKTHPNINKDLFNSQQILGAKDPIRPFPSGQNETPLVKWRIQGMNESSLPLSVNCWPSILGNETYVNIEYEASEMFDLHSVIISIPLPALREAPRVRQIDGEWKYDSRNSVLEWSIILIDQSNRSGSMEFVVPPADPSMFYPISVGFSASNTFSNVKVTGIRPLKEGSNPPKYSQRVPGIHPLKEGSNPPKYSQRVRLVADNYQVV >ORUFI08G14150.3 pep chromosome:OR_W1943:8:15142185:15162210:-1 gene:ORUFI08G14150 transcript:ORUFI08G14150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVMCQLFCHVLNGLLHVGPDSGLQKKWAWPRSHARGASQLAALQIYALQPRNRALMKNQHNEGIRLVSSFRLLFVGPGFKTRETTCHSKQVLRYIKKLPVVCNLWTWAVNSSGTDKVVLAASIISKSGKALVSRQYVDMTRIRIEGLLAAFPKLVGNGKQHTYIETENVRYVPEYCPSLDDDGVCKTAFELIFAFDEAISLGNKEKVTVQQVKEYCEMDSVEEMEYKQMMQEKIKETKDFMKKKVIEIEKTRMEKGKHNKGGYSSISGPQVIEKSFNDMSISCTGFGSGSGLGGLNTDTDTFTSRPKGRTSGGTTGAGKGIGMKLGNTKKTNQFLESLKAEGEVIMEDFQPCSLQSRSSPLPPSDPVTVAVEEKLNVAVKRDGGVNNFDVQGTLALLVLNDADGLILLQIESQDIPGLSFKTHPNINKDLFNSQQILGAKDPIRPFPSGQNETPLVKWRIQGMNESSLPLSVNCWPSILGNETYVNIEYEASEMFDLHSVIISIPLPALREAPRVRQIDGEWKYDSRNSVLEWSIILIDQSNRSGSMEFVVPPADPSMFYPISVGFSASNTFSNVKVTGIRPLKEGSNPPNRSLPLDRTPTVSKVPGIHPLKEGSNPPKYSQRVRLVADNYQVV >ORUFI08G14150.4 pep chromosome:OR_W1943:8:15142185:15162210:-1 gene:ORUFI08G14150 transcript:ORUFI08G14150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVMCQLFCHVLNGLLHVGPDSGLQKKWAWPRSHARGASQLAALQIYALQPRNRALMKNQHNEGIRLVSSFRLLFVGPGFKTRETTCHSKQVLRYIKKLPVVCNLWTWAVNSSGTDKVVLAASIISKSGKALVSRQYVDMTRIRIEGLLAAFPKLVGNGKQHTYIETENVRYVYQPIEGLYLVVITNKQSNILEDLDTLRLLSKLVPEYCPSLDDDGVCKTAFELIFAFDEAISLGNKEKVTVQQVKEYCEMDSVEEMEYKQMMQEKIKETKDFMKKKVIEIEKTRMEKGKHNKGGYSSISGPQVIEKSFNDMSISCTGFGSGSGLGGLNTDTDTFTSRPKGRTSGGTTGAGKGIGMKLGNTKKTNQFLESLKAEGEVIMEDFQPCSLQSRSSPLPPSDPVTVAVEEKLNVAVKRDGGVNNFDVQGTLALLVLNDADGLILLQIESQDIPGLSFKTHPNINKDLFNSQQILGAKDPIRPFPSGQNETPLVKWRIQGMNESSLPLSVNCWPSILGNETYVNIEYEASEMFDLHSVIISIPLPALREAPRVRQIDGEWKYDSRNSVLEWSIILIDQSNRSGSMEFVVPPADPSMFYPISVGFSASNTFSNVKVTGIRPLKEGSNPPKYSQRVPGIHPLKEGSNPPKYSQRVRLVADNYQVV >ORUFI08G14160.1 pep chromosome:OR_W1943:8:15165920:15168084:1 gene:ORUFI08G14160 transcript:ORUFI08G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTASSPSSSPPRTQERSERGGDSRTGGIDVVFGCDSAAVAGEEGAGGGRRRRWRTWDEMVASVSIHYFIRHMCRHVAARDSSAFSAASSASSSAAPVFPVVVRPASAEHAAEMERLISQLPLFTLASSLAVLLKSSRARCRHPLLLRAISGEEGDCRRRTEG >ORUFI08G14160.2 pep chromosome:OR_W1943:8:15165967:15168086:1 gene:ORUFI08G14160 transcript:ORUFI08G14160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLIIGHPRPETQQNLWTKFYNTRTSSPSSSPPRTQERSERGGDSRTGGIDVVFGCDSAAVAGEEGAGGGRRRRWRTWDEMVASVSIHYFIRHMCRHVAARDSSAFSAASSASSSAAPVFPVVVRPASAEHAAEMERLISQLPLFTLASSLAVLLKSSRARCRHPLLLRAISGEEGDCRRRTEG >ORUFI08G14170.1 pep chromosome:OR_W1943:8:15167793:15168041:-1 gene:ORUFI08G14170 transcript:ORUFI08G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSRGWRQRARDDFRSTASDDASVKSGSCEMSRSISAACSADAGRTTTGNTGAAEEDADDAAEKADESRAATWRHMWRMK >ORUFI08G14180.1 pep chromosome:OR_W1943:8:15173223:15175084:-1 gene:ORUFI08G14180 transcript:ORUFI08G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVRAGQGPLLTRVGETPSPPHHCLIGARSLVTACRDLGSSSPAATSSDDDNASPLKLGGSMVTGLLEWLRLKFGPPSSPPPGCWIGARSLMRAEGTHSAKGTTAEMAACLLIGGQHPELMIIGSGFSIRRQMLANIQRFIRSE >ORUFI08G14190.1 pep chromosome:OR_W1943:8:15209952:15214709:-1 gene:ORUFI08G14190 transcript:ORUFI08G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTTAVVSLSRRRFVTAAAVAVAVAVVALQLQLLAGAAAVANNCTRRCGDIELEYPFGVQAGCYHPGFNLTCNHSYQRHSDGTVQVLGISIPNATVRINSSVITLYDDDDDDRSKDVAWRWWGKGLSNTGPYFLSESKSLLALVGCNAQVDVMVPAAAADRRNQTVVGSCTAICPPSTSDNSTIGAADDDVCSGIGCCQTNIILGYPSYPIQMKVLEGKHLPILFVYMIDQGFNFSMDVFFGKHPRGLPASLDWIISNSTSTCPRNASAPECLSAHSSCRDSVANAHQGYRCECSHDYQGNPYIIDGCYDIDECSSPDIYPCYGNCKNKPGGYDCDCLEGFKGNATLLKGCEGSTIALIVCGGSIVLFLVIASPFVIRVIKKHQEKKLKEKFFKQNHGLLLQQLISKNTDFGDRMIITLEELQKATNNFDRTRQVGAGGHGIREISEFINEVAILSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYHHLHIEGPVSLSWDDRLRIALEVARALSYLHSASLMPIYHRDIKSANILIDDSLTAKVSDFGASKYTPVERSEITTAIQVTIGYLDPMYYYTGRLTDKSDVFSFWSSSCGIVNSKKTYCRYV >ORUFI08G14200.1 pep chromosome:OR_W1943:8:15299672:15301329:1 gene:ORUFI08G14200 transcript:ORUFI08G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVAAVGTFGGTVGTLMEITEAPPKRLASITVYVTQNDGGNAKRVCAISFTYFDADGKDHKVGPWGCEITDEVTPNQVNIKGNERVIEISGTADGNIKSLNISTNYGITYPFGDKNVGKEFKIPVHNSAIVGFFALTSGIGLNAVGAYVIPENKH >ORUFI08G14210.1 pep chromosome:OR_W1943:8:15321977:15322349:-1 gene:ORUFI08G14210 transcript:ORUFI08G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVECIGQTHMLELSQQRLDRLSKKIFPSNQVIFELGHFGVSRHCDAIFEWSAPTAIGKET >ORUFI08G14220.1 pep chromosome:OR_W1943:8:15354635:15355885:-1 gene:ORUFI08G14220 transcript:ORUFI08G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIEEMRSSANKKTSIAITGNSTK >ORUFI08G14230.1 pep chromosome:OR_W1943:8:15358380:15358895:1 gene:ORUFI08G14230 transcript:ORUFI08G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRTTDISSVTLPAVCRATFPLYLKVSWSTSCRSAKNCGRISQIYNMMRKISYIGRNGAQALLSPLLLQVAAISCLLRVMVKMLSFLRVTMNRQRLAVVLFAQFMLTDLDLSLRYVVFPV >ORUFI08G14240.1 pep chromosome:OR_W1943:8:15360956:15366258:1 gene:ORUFI08G14240 transcript:ORUFI08G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMKQEEISHPPMDQLQGLEYCIDSNPSWGEAIALGFQHYILCLGTAVMIPTLLVPLMGGNAHDKAKVVQTMLFVTGINTMLQTLFGTRLPTIIGGSYAFVIPVISIIKDPSLAQITDDHTRFIMTMRAIQGALIISSCIQIILGYSQLWGICSRFFSPLGMVPVVALVGLGLFERGFPVIGRCVEIGLPMLVLFVALSQYLKHVQVRHFPILERFSVLISIALVWVYAHILTASGTYKHTSLLTQINCRTDRANLITSADWIDIPYPLQWGPPTFSADHAFGMMAAVVVSLIESAGAFKAAARLASATPPPPYVLSRGIGWQEYNAFALYVNNQGIGLLFDGLFGTGTGSTVSVENIGLLGSTRIGSRRVIQISAGFMIFFSILGRFGALFASIPFTMFAAIYCVMFGYVGAVGLSFMQFTNMNSMRSLFIIGVSLFLGISIPEYFFRYTMSALHGPAHTRAGWFNDYINTVFSSPPTVGLIVAVILDNTLEVRDAARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >ORUFI08G14240.2 pep chromosome:OR_W1943:8:15360956:15366258:1 gene:ORUFI08G14240 transcript:ORUFI08G14240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADMKQEEISHPPMDQLQGLEYCIDSNPSWGEAIALGFQHYILCLGTAVMIPTLLVPLMGGNAHDKAKVVQTMLFVTGINTMLQTLFGTRLPTIIGGSYAFVIPVISIIKDPSLAQITDDHTRFIMTMRAIQGALIISSCIQIILGYSQLWGICSRFFSPLGMVPVVALVGLGLFERGFPVIGRCVEIGLPMLVLFVALSQYLKHVQVRHFPILERFSVLISIALVWVYAHILTASGTYKHTSLLTQINCRTDRANLITSADWIDIPYPLQWGPPTFSADHAFGMMAAVVVSLIESAGAFKAAARLASATPPPPYVLSRGIGWQEYNAFALYVNNQGIGLLFDGLFGTGTGSTVSVENIGLLGSTRIGSRRVIQISAGFMIFFSILGRFGALFASIPFTMFAAIYCVMFGYVGAVGLSFMQFTNMNSMRSLFIIGVSLFLGISIPEYFFRYTMSALHGPAHTRAGWFNDYINTVFSSPPTVGLIVAVILDNTLEVRDAARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >ORUFI08G14250.1 pep chromosome:OR_W1943:8:15369617:15373392:1 gene:ORUFI08G14250 transcript:ORUFI08G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLPAAAASRRLLCTTTHLLALPPVEPSPAADELARLLLAHHNPFHPAESPLQLLSGGGVSLTGDLLVQLLLRLRGASKLALSLLHAARLHPSSSSPPPRAADAYDAVVDALGRARQFDAAWRLVVEAAADGAATPRTFAVLARRYVAAGMTRQAVRAFDDMEAFVGREPDAAEFTTLLDTLCKYKYPKVAAEVFNKRKYKYEPNEKMYTVLIYGWCKVNRNDMAQKFIKDMIYHGIEPNIVTYNILLNGICRHASLHPDYRFDRTVRAAEDLLKEMHQRGIEPDVTSYSVILHVYSRAHKPELCLCMFRSMKERGICPTVATYTSVIKCLASCGRLDDAESLLDEMASEGVCPSPATYNCFFKEYRGRKDVNGALQLYNKMQAPGSLATPDIHTYNILLGMFIKLNQHDTVMQVWNDMCESTVGPDLDSYTLLVHGLCDNKKWREACQFFMEMIEKGFLPQKITFETLYRGLIQADMLRTWRRLKKRVDEEAAKFGEEFKPYHIKPYKR >ORUFI08G14260.1 pep chromosome:OR_W1943:8:15375435:15379794:-1 gene:ORUFI08G14260 transcript:ORUFI08G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPLLRYEESLQEQELTDIVVGAACADLRHQLDVSYPVTNGIVQSWDDMGHIWDHAFYSELKVDPSECKILLTDPPLNPVKNREKMIETMFEKYNFAGVFIQVQAVLSLYAQGLLTGLVIDSGDGVTHVVPVVDGFSYPHITKRMNVAGRHITSYLVDLLSRRGYAMNKSADFETVREIKEKLCYLSYDYKREYQLGLETTILVKSYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMAFRCIQEMDIDNRMTLYQHIVLSGGSTMYPGLPSRLEKEMLDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWITRQEYQEEGLACLRKCGQA >ORUFI08G14270.1 pep chromosome:OR_W1943:8:15382238:15388659:-1 gene:ORUFI08G14270 transcript:ORUFI08G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPLQLCPGSVKIDFASASYAGKADIAATAAALSHALGPPCYQLPLAKVNSSLPLWPPVICPSTFAQKRPMSLPSPPPAKKLQVQRSSSMLPQDFPKLEVLTVEKPTKLQVRRSPQHVSPAPKQGSPKMERPLLPRPATFIDVMLSSQKPSSEMWSETKDVTLTGKTNCSCKYSKCLKLYCECFENGRYCIGCNCTNCCNNVNHENARQDAINVALERNPAAFMPKVSNSTAHNCESKAAEGDIVGKHTKGCKCKRTECLKKYCECFKASVFCSENCRCTGCKNYKSNEDRISQQNTVHVHHVQNPASSGMVGQSVMIFHAAENDSSLSLAASVSDHSINNTSHVLSYSPTSSVTREDAKSLVKYVPHEVTYRPSLADIIQIEDVNELCNLLILVSRQAGATLLESGIKENTKRKKLNRAESCLSSTNHDREAIQKEPAKQMCSLEKSSNEVLIAEGRLELPRADPFDVQKGNKRPLSPGTQALMCDEQDAVFLDSKAPDATPSTTEENLVDIFKEQEKRVLTNFRDYLCKLATCGKLQELKLSLASTNCSEQAFADHGNNSSITRVAKDQTNNPSVLQQGGKHHSSGRLILARTKIGNAGIGKLMGQKSPLHNGVDKC >ORUFI08G14270.2 pep chromosome:OR_W1943:8:15382238:15388095:-1 gene:ORUFI08G14270 transcript:ORUFI08G14270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPLQLCPGSVKIDFASASYAGKADIAATAAALSHALGPPCYQLPLAKVNSSLPLWPPVICPSTFAQKRPMSLPSPPPAKKLQVQRSSSMLPQDFPKLEVLTVEKPTKLQVRRSPQHVSPAPKQGSPKMERPLLPRPATFIDVMLSSQKPSSEMWSETKDVTLTGKTNCSCKYSKCLKLYCECFENGRYCIGCNCTNCCNNVNHENARQDAINVALERNPAAFMPKVSNSTAHNCESKAAEGDIVGKHTKGCKCKRTECLKKYCECFKASVFCSENCRCTGCKNYKSNEDRISQQNTVHVHHVQNPASSGMVGQSVMIFHAAENDSSLSLAASVSDHSINNTSHVLSYSPTSSVTREDAKSLVKYVPHEVTYRPSLADIIQIEDVNELCNLLILVSRQAGATLLESGIKENTKRKKLNRAESCLSSTNHDREAIQKEPAKQMCSLEKSSNEVLIAEGRLELPRADPFDVQKGNKRPLSPGTQALMCDEQDAVFLDSKAPDATPSTTEENLVDIFKEQEKRVLTNFRDYLCKLATCGKLQELKLSLASTNCSEQAFADHGNNSSITRVAKDQTNNPSVLQQGGKHHSSGRLILARTKIGNAGIGKLMGQKSPLHNGVDKC >ORUFI08G14280.1 pep chromosome:OR_W1943:8:15391663:15395067:1 gene:ORUFI08G14280 transcript:ORUFI08G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSRVAALLGGRVRASHRLLSSSPSSSSAAAERASPPSPADPDTLHMTDGCIRRLKELHAKEPPSEGKMLRLSVEAGGCSGFQYSFCLDDKKNSDDRIFEKDGVKLVVDDVSYDFVKGATVDYEEELIRSAFVVSTNPSAVGGCSCKSSFMVN >ORUFI08G14290.1 pep chromosome:OR_W1943:8:15396070:15397791:-1 gene:ORUFI08G14290 transcript:ORUFI08G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWNSQLSMINFLAYAIARPKFLGFAKLYLEEKQNIATKIGREDLIKVEYHQLAKNQFCSGVTFVPKTAGAHEDDGWIVSFVHDEETNISKVHIIDTRNFESGPIAKITLQKRVPYG >ORUFI08G14300.1 pep chromosome:OR_W1943:8:15412759:15414810:-1 gene:ORUFI08G14300 transcript:ORUFI08G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGALALWNKWATQILVVLSFSLQVVLLLLAGIRRREANPVLRLLLWLAYQLADSTAIYTIGHLSLISSTAVEHQLVAFWAPFLLLHLGGPDNITAYALEDNQLWLRHLLTLVVQVLGAAYVLYKHIAFAGSGDMLLVAAVLVFVVGSIKYGERTWALWCANFSSIDSSLKKHPRDKLGPFYPEPDVSEGLELNDEFLLYRAHSQFHVCKRGIVDSVIELEPGESRDDRIIVDLEHRHMWTMIEMELSLMYDILYTKAVVISTWFGYCVRVFSPLAIAAAFLLFQFNGSKDGYNRIDVAITYTLLGGALLLEASSLLDAVGSSWALTFLSGTRWAWLRHEALCYGRWYQLHRAVVSLHRFVGFTSSSSYIKSRRWSGTMGQYNMLHFCCRDEESTSYYRPLLGRLAKLLGYQEWWRKKHYSGAVVISAVLKKVLFRSLHGLFVQQNEVNTMGILRKKWGQQALEKWGLHDILRSELGVELHEGIIVWHLATDILLARINEDSKREDKDLVEVIRVLSNYMMFLLVRRPYLLPGLAQNWLYQQTCNNLEKIWHDNKSSPISSHGFARINELFHLYDSPDSSALPQIEMLAMILFLDEPGYSRERPRLSYSYTIVEVLESKPGKIVNPLVVLLDVWANILVYAANRCSRESHAKKLNSGVELTTIVWLMAEHLHQLSLGQKQGV >ORUFI08G14310.1 pep chromosome:OR_W1943:8:15462715:15505395:-1 gene:ORUFI08G14310 transcript:ORUFI08G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAASPSMYGGTLQPWLCRRSSTTGTPRPSITRPNATDHFTKCITSNPHFKEFQQQLTTKLGEASAAVTMASSLLLDAFVDSTFTFSHQSLRPTESNFAPVDEIGGRTEIWRIEGTISDDFPEGVYIRNGSNPLFGALHKVNSIFGQSEDIWVEGEGMLHALYFTKCREGNTWSVSYNNRYVQSDTFNTERDRQRPCFLSAIKGDPLAIIAASILNMLRFGKVFRNMSNTGVFEHAERVFSVAENDIPYEIDLDNLGTLCSWVVDGQWNMPFTAHPKVAPGSGELVIYGFNIVKPFLTIGVVSEDGKKLERKVDLKLERCTYCHEIGVTKMLIDFETESYARIGVMPRHGDADSVIWFDVEPFCTLHLINCFEEDHEVVIRGFRVPGSIITGITLEHTANEEPANQGPSEKSFPRLYEWRLNMKSRAVTVINNKYAGLHHKYAYAQVIDVQGSLEGGCGTVSMHIYGFSLQPRLCSTGGRPAKITRTKPLATHDSSAHNPPRFKELQQVLKTKLREASAAGSSASKKLLDAFVDSIFTFSHQSLRPTESNFARVEEIGQITNILRIEGAIPEDFTEGVYIRNGPNPLFGALHTVNSIFGQTEDIWVEGEGMLHALYFNKKGEDNTWSISYNNRYVQSDTFRIERDRQKPCFLSLAKGDPIAIFAAGILNKQRFGKASRNYSNTNVFQHAGRVFSAAENDNPHEIDLENLGTICSWDVGGDWNMPFTAHPKVAPGSRDFVIHGFSFVKPFLTVGVISEDGKKLKHKVDLKQQRCAFSHEIGITEKPFCTIHLVNCFEEHNEVVMRGFRVPGSILMGPTVLEDSVDEEPANQGLNEEYFSRLYEWRLNIKSMSVIEKYLTGTDIDMEFPVIDDKYVGLNHKYAYAQVVDSQVSLAGGCGIVRPKFGGFAKLYLEDKIKPGQDLINVEYHHLGRNKFCSGATFVPKVNGANEDDGWIISFAHIIDAQRFENGPAAKIILKHRVPYGFHGAFISRSTYKKR >ORUFI08G14310.2 pep chromosome:OR_W1943:8:15462713:15505395:-1 gene:ORUFI08G14310 transcript:ORUFI08G14310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAASPSMYGGTLQPWLCRRSSTTGTPRPSITRPNATDHFTKCITSNPHFKEFQQQLTTKLGEASAAVTMASSLLLDAFVDSTFTFSHQSLRPTESNFAPVDEIGGRTEIWRIEGTISDDFPEGVYIRNGSNPLFGALHKVNSIFGQSEDIWVEGEGMLHALYFTKCREGNTWSVSYNNRYVQSDTFNTERDRQRPCFLSAIKGDPLAIIAASILNMLRFGKVFRNMSNTGVFEHAERVFSVAENDIPYEIDLDNLGTLCSWVVDGQWNMPFTAHPKVAPGSGELVIYGFNIVKPFLTIGVVSEDGKKLERKVDLKLERCTYCHEIGVTKMLIDFETESYARIGVMPRHGDADSVIWFDVEPFCTLHLINCFEEDHEVVIRGFRVPGSIITGITLEHTANEEPANQGPSEKSFPRLYEWRLNMKSRAVTVINNKYAGLHHKYAYAQVIDVQGSLEGGCGTGFAKLYLEDKIKPGQDLINVEYHHLGRNKFCSGATFVPKVNGANEDDGWIISFAHIIDAQRFENGPAAKIILKHRVPYGFHGAFISRSTYKKR >ORUFI08G14320.1 pep chromosome:OR_W1943:8:15520832:15529558:-1 gene:ORUFI08G14320 transcript:ORUFI08G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPRKTGDKERTRRQRGGALRQEKLSSRSPPSLVPLRSPPSAGRLPMDNGRPRYFIGNKGLVTRMFSRISSRPMSLHLIGQPVTFVDEDRQSYAYIKIRKRTDLPQGLLISPSSPPSFPRITADLSLRTVEEHMEGFLVTAATGALKSVLVKLAAMAGEFEGVRGQISFLADEFAAMHVFLLRMSDSEEGNADPQDKAWTREVRELSYDIEDSLDEFMLHVVDGSANPDGFIVKCRNLLTKTMARRRIAKMIAEFKAQIKEVGERNARYMNGDIQQLIRKITTSLKNKRYLIVIDDLWNIESWKIIRCAFSRSITGSRIITTTRINEVAESCCFPHVHRVYKLRPLHFVDSKRLFLKRIFGLEECPSHLTEVCCNILAKCDGLPLATIAISSLLATKAPTEDQWNQVEKSIGYALERNEDVNGMIRILSLSYFDLPHNLRTCLLYLCTFPEDYIIHKKRLVRRWIAEGFIHEEHGHTLYELGQRCFNELINRSLIEPRYIGKFGEVKSCRVHDTILDFIRSKSIEENFVTLLGIPNVPIDRQKKVRRLSLLVNTEEYYSSLEENNIKYVLDKKEDDSNEQEDNSNGFHILKKLNLCNARLLTIFPHSVKVPSLLQFRRLRVLDFEGCEQLENHHLANIENLLHLKYLSIKDTIVNVLPTQITRLRCLQTLNVDVRGAINIPTHIYRLRQLTYLLVDMRCQLPDKIGNMQALQELKVVNVLAQSLNTLQGLGKLTNLRKLSIFMPGHHADAAERYKGHMKAMISSICKLGRDNLHCLTIHISSVSADDFIQEPWCPPPLSLQELVINQAPMSRVPRWIGSLVNLQRLGLYLKELSQEDVSTLGGLPALLSLILYVEEVITEEGGRLRISSSYGFPSLERIRIGGESCRLELTFEIGCLPKLQQLNLECMVAEENHTSSSNVVFGIEHLSRLTTIYCCIHYKYETRLAKVAMLAALERSIISHPNQPTFTKEEYGDFVDESC >ORUFI08G14330.1 pep chromosome:OR_W1943:8:15530230:15534497:1 gene:ORUFI08G14330 transcript:ORUFI08G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNIVNGEELGEPERRPLNPSAKGFIISLGKHSAISQGTKDIEKSNTDTMNKFITHCSGNGAEDGRTSSGNVISGYEGVCGTTFGVIDNKADTTGEIVDVTGCELSSGDKRELGCRARWEAMMFSKPTDCNIDSNGICMDDPIVVEQACTFIHGSLIHMAGPKRGIQLIGTNLIEYDMKIKTGEYIYENEDLQLIDGVSIIDDIDNMEL >ORUFI08G14330.2 pep chromosome:OR_W1943:8:15530230:15534497:1 gene:ORUFI08G14330 transcript:ORUFI08G14330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNIVNGEELGEPERRPLNPSAKGFIISLGKHSAISQGTKDIEKSNTDTMNKFITHCSGNGAEDGRTSSGNVISGYEGVCGTTFGVIDNKADTTGEIVDVTGCELSSGDKRELGCRARWEAMMFSKPTDCNIDSNGICMSHGTCCMLQFFSLRLAKVPADHGLVELYGYIAVRDRLDTFLNYFVNFSRDDPIVVEQACTFIHGSLIHMAGPKRGIQLIGTNLIEYDMKIKTGEYIYENEDLQLIDGVSIIDDIDNMEL >ORUFI08G14330.3 pep chromosome:OR_W1943:8:15530230:15534497:1 gene:ORUFI08G14330 transcript:ORUFI08G14330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNIVNGEELGEPERRPLNPSAKGFIISLGKHSAISQGTKDIEKSNTDTMNKFITHCSGNGAEDGRTSSGNVISGYEGVCGTTFGVIDNKADTTGEIVDVTGCELSSGDKRELGCRGAQIAGKKSIILLTVMKGSLIHMAGPKRGIQLIGTNLIEYDMKIKTGEYIYENEDLQLIDGVSIIDDIDNMEL >ORUFI08G14340.1 pep chromosome:OR_W1943:8:15535293:15537278:-1 gene:ORUFI08G14340 transcript:ORUFI08G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTIKKRDFNNEPGHWSLRPLWSQIQRDIAENLFQVAWIPWKINKVADKVKVKELGKIGVDLYCLVGFCGSCWFCNRLV >ORUFI08G14350.1 pep chromosome:OR_W1943:8:15537510:15540547:-1 gene:ORUFI08G14350 transcript:ORUFI08G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGGVRRARARTAARHRVALPQAQTAAATGPCPDPAPAAVFHPDACGSNGGGGRRDGGGLGRRRRSLRRHDDSDRVRRRHDDGSRERRQAILGATANSYKESHKQRVKHSKNGICNTKSAYKEIIKSDQIHNFQQLGKARNDLKFQGILKEPSQEEENKTRMETISEGNRCYIDAAWENTFIGIGIFFHMPMAHNAIFIKRFAPV >ORUFI08G14360.1 pep chromosome:OR_W1943:8:15557136:15561244:1 gene:ORUFI08G14360 transcript:ORUFI08G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHAFLLNMSEEENPDAQDQAWMTEVRELSYDMEDIIDEFMVHVDDESATPDGFISKCKKSLANMKTKRRIAKAIEDFKSQINIVGERHARYRSEKTICRTSNTIIDHRALSIFEHASNLVGIEGPKNEVIKLLSDDDGCESKQQHPKVVSVVGFGGLGKTTLAYQVYQQLKGNFSCSVFVSVSRNPDMMRILRTILSEVTQRDYAVTEAGDEQQLIIKISNFLSKKRYFVVIDDIWNVEIWNIIKGAFSMNSRCSKIITTTRISDVARSCCSSFRGHLYNIRPLDTVHSRTLFHRRLFNPQEKCPSHLEEVSDQILKKCDGLPLAIIAISGLLANKLRTKDQWEHVKNSIGCALERNPTVEGMISILSLSYFDLPPHLKTCLLYLSIFPEDYVIRKNDLILRWIAEGFIHKEDSNTLYESGEMCFNELVNRNLLQLEKQNYNGCRVHDTVLDFIISMSKKNNFVTLVTSPCLTIEGQNKIRRLSLQVGSEEGNSIQRTMLSHARSLDVFWLHLQEIPSLVEFRHLRVLSFQGCRWLQSQHLANIGKLFQLRFLNLRKTGLNELPEEIGFLQNLQALNLEGSNMHRLPPHITRLGNLVHLFTDIRIQLPEGIAKMRSLETLKWVDLSNHSSNIVKELGQLENLRELKLFMPNDDICDEHVKVIASCLVELGRHNLRRLYIVGYTELPNSLLPDPWCPSPLKLEELDISDSPMVQVPSWMRSFVQLKRLGLILKDVSDEDLTTLGRLPSLLHLHLNIPRGEPGYEDRLLISGCHGFSCLRQFYLTGQQPIFTAGSMPRLELLRVEMDESKPEFLTNASLENLPCLTTVKCLLFNFQRGEVAQNGKTAMARAMSSHPNHPSLVYE >ORUFI08G14370.1 pep chromosome:OR_W1943:8:15568882:15572815:-1 gene:ORUFI08G14370 transcript:ORUFI08G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSSSKTATCPLCHADVLLPRRRSAGSSTHRSHDLDDGPAPPSPESSCRSNAAVCGCARCRRPDLISPGTGMRMRNRFGDGPNRISAQSGAWLCRERTAETCRSTSSRSGRYRRLQPAAVAGTRRAELIKRLQELCHPANNLPNCSASWQPQRQRQKTIDRIPDSLDCGVTMERGKNKRDGSDNGLIFSNLMNGVAAGIYGYPPHQGYSQAQSYLLLPEAYPPPPWTYPLSSAYPPQPVGYPSGGYPPAVYSDSYVHQGSRVAREQCPLSYSNNAVTCREDGQMNCENGTVNMEKSAMSSNKMATSLLKSCGNVMPCRNMERSGPAMYKVDMRGSTKQFSMGSKMMMCLIVFGCLIAALDMFRNVAQKQMFSVVSLLSFVVATYVC >ORUFI08G14380.1 pep chromosome:OR_W1943:8:15581138:15585228:1 gene:ORUFI08G14380 transcript:ORUFI08G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIVSIATGALKPVLMKLATLVCNEYMISKEVHKEIETLSSELTAIHSFLLKMSEEENPDAQDHAWMMDVRELSYDIEDIIDEFMVRVDDDSANPDGFISKCKNSLAKMKTRSRIAKAIRDFKSQITKVGDRHARYRTRETVLRTNNRIVDHRALSIFELASNLVGIDEPKNEQQPKVISVVGFGGLGKTTLAYQVYQELKGKFDCSAFLSVSRNPNMMRILRTILSEVAQRDYALTEDGYEQQLIIKISNFLSNKRYLIVIDDIWKVEIWNIIKGAFSMSSQCSKIITTTRINDVARSCCSSFSGHVYNIRPLNMVHSRHLFHRRLFNSEEKCPSHLEEVSDQILKKCDGLPLAIIAISGLLVNKPMTKDQWDHVKNSIGSALERNPSVDVMISILSLSYYDLPPHLKTCLLHLSIFPEDYLIEKDDLILRWVAEGFIHKKGSYTSFELGEMCFNELANRNLIQRCSNKDDWKVHDTILDFIISMSIKDNFVTLVASPDQTIGTNKVRRLSLQIGIEDGNSILQRRLSDLSHARSLDVFCYQPKLPSLLEFRHLRVLSFRYCKWLKSHCIANIGRLFQLRYLNLKKTGLTELPEEIGCLQNLETLNVMDNHMVQLPQCITRLGNLMHLFIGNQIQLPDGIAKMQALETLQAVDLSKHSSNIVEELGQLKNLRELNLLIYDYDACTEEHMKTIASCLLQLGTYNLRRLNIMTSIILGNIYLPDPWCPAPLKLEGLDISGSPMPRVPTWIGSLVNLKRLGLALEGVNCEDLSIIGCLPSLLQLSLRVPGYRDSLIISGCYGFSCLRDFCFIGQQPIFTAGSMPRLELLILNINASKPETVTNAALENLPCLMTVQYLLYQYNKNDHEIENAEAALKRAAYTYLNKRSKASRQDGQCSRLGPQASRPWPAMHN >ORUFI08G14380.2 pep chromosome:OR_W1943:8:15581133:15585226:1 gene:ORUFI08G14380 transcript:ORUFI08G14380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIVSIATGALKPVLMKLATLVCNEYMISKEVHKEIETLSSELTAIHSFLLKMSEEENPDAQDHAWMMDVRELSYDIEDIIDEFMVRVDDDSANPDGFISKCKNSLAKMKTRSRIAKAIRDFKSQITKVGDRHASNDGCESMQQQPKVISVVGFGGLGKTTLAYQVYQELKGKFDCSAFLSVSRNPNMMRILRTILSEVAQRDYALTEDGYEQQLIIKISNFLSNKRYLIVIDDIWKVEIWNIIKGAFSMSSQCSKIITTTRINDVARSCCSSFSGHVYNIRPLNMVHSRHLFHRRLFNSEEKCPSHLEEVSDQILKKCDGLPLAIIAISGLLVNKPMTKDQWDHVKNSIGSALERNPSVDVMISILSLSYYDLPPHLKTCLLHLSIFPEDYLIEKDDLILRWVAEGFIHKKGSYTSFELGEMCFNELANRNLIQRCSNKDDWKVHDTILDFIISMSIKDNFVTLVASPDQTIGTNKVRRLSLQIGIEDGNSILQRRLSDLSHARSLDVFCYQPKLPSLLEFRHLRVLSFRYCKWLKSHCIANIGRLFQLRYLNLKKTGLTELPEEIGCLQNLETLNVMDNHMVQLPQCITRLGNLMHLFIGNQIQLPDGIAKMQALETLQAVDLSKHSSNIVEELGQLKNLRELNLLIYDYDACTEEHMKTIASCLLQLGTYNLRRLNIMTSIILGNIYLPDPWCPAPLKLEGLDISGSPMPRVPTWIGSLVNLKRLGLALEGVNCEDLSIIGCLPSLLQLSLRVPGYRDSLIISGCYGFSCLRDFCFIGQQPIFTAGSMPRLELLILNINASKPETVTNAALENLPCLMTVQYLLYQYNKNDHEIENAEAALKRAAYTYLNKRSKASRQDGQCSRLGPQASRPWPAMHN >ORUFI08G14390.1 pep chromosome:OR_W1943:8:15597988:15606945:-1 gene:ORUFI08G14390 transcript:ORUFI08G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQGAMDSLLKKLTNLLVEECARLKGVRREIRFLRSELNNMHALLQKCAAMENPDIQVKTWTKELRELSHDIEDCVDEYVHGVDTNDHHGHGGIKEFFRRCARRLKTLCTRHRIANQIQELKARVVEVKDQRERYKLDDVAGSSYTSLTIDPRMGALFTEEAHLVGIDGPRDDLVSWLMEGEAEHLNHRKVLSIYGFGGLGKTTLANEVRRKIGPQFGCAALVSVSQKPDFKKILWGILSRITPKGTNLRSERETWDESETMLIEKIREILQDKRYFIIIDDIWSASAWDVLKCALPENKNGSRVITTTRIESVAKACCSLPSDRCYKIEPLSELHSRMLLFKRVFGHVDGCPVQITHVSDDILRKCTGLPLAIVSIASLLASRSNTKEQWEKVSTSTGSVLQENHDLEGMKTILSLSYNDLPHYLKPCLLYLSIFPEDYDIERGSLVRRWIAEGLVSEDYGQNVEDVAESYFNELINRSMILPVDIDYDGRVRVCRVHDMMLELMKSKAREENFLTIIGPSPISTKPKGVVRRLSIQYNDGDQKLAPQEVTSLNHVRSFSTFGDCLNQTLPFAYFRVLRVLSLDCELNEDVDLKIICKLNQLKYLRLNAFKLPAEIGELQCLETLEWCSFSWNSLLPDGISRLQHLRHLLVDNEGMLPKDIGSMQALRTLSQFNICDSPVNAVQELGNLRNLRELSISWDEDEPSDARYKEYLSSSLSKLSSCSLKSLSILSARPIPVDFLASLSPPPCLLQRFWMWNSYFQRCPKWIAPLDRLTELKLDVWELEDEDLDLLAHLPVLLQFHLWVVPLRKEKIVIKETGFRSLVLFLLWSGLPCLSFQEKSMPKLETLKLMYSACGAELYGSTHSGIQHLKSLKNVHVEMYTAGAIQSNIEAAHRNINHEIAKHPSNLKTNITISSYIYFGEVMNDGNVDEEDSAHLTDNNGGKNQDEDNKLYGH >ORUFI08G14400.1 pep chromosome:OR_W1943:8:15607467:15608135:-1 gene:ORUFI08G14400 transcript:ORUFI08G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAANLTRSRFMHVHHPMLPCGYPEMSRSIDNEDTSYKALRSRSLFCNEKILKAPSNLPPARCHACDKPTCGGVDIYASRANEKILSYGTIEYTNPPSCHVVHVDE >ORUFI08G14410.1 pep chromosome:OR_W1943:8:15634227:15635301:1 gene:ORUFI08G14410 transcript:ORUFI08G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGLEEEGGDLPIHALPVRFLRPSFSWNLVDIGGVRAQPEMHPVNGNGEATNGGALDTGDSRGHAPTFLNGSISKTKDFAVLDDLLRYQIK >ORUFI08G14420.1 pep chromosome:OR_W1943:8:15639071:15639671:-1 gene:ORUFI08G14420 transcript:ORUFI08G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLQGEVHLNIPASKAWEMFTNNETLGKISPEMLSGAEYLEGDGSPGSLRIFKLGPALHHFVKESVQKVEKVETGRSFGYEVVSGELKEVYDPYHVTFSFAPVPGKEGEQCVAGWKAEFEPITPTSPPPEKAKDAALGFLKLFETCEATN >ORUFI08G14430.1 pep chromosome:OR_W1943:8:15641219:15643285:-1 gene:ORUFI08G14430 transcript:ORUFI08G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLIKIKGQKKEDAANANGKPPAKKQSPGELRLHKDIAELNLPKSTRISFPNGKDDLMNFEVTIRPDEGYYVGGKFIFTFQVPPAYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNVNTIVYGLNLLFSQPNDEDPLNHEAAAVLRDNPEKFERNVQRAMAGGYVGETHFPRSRENAEQYVCAALTACYDLWLPGSKNKFTNIA >ORUFI08G14440.1 pep chromosome:OR_W1943:8:15646866:15651212:-1 gene:ORUFI08G14440 transcript:ORUFI08G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPLTAEAIAFTEKKMDMTLDDIIKMSKKKNPGGKKPARQPIKKRPFQNDNTNQGNAKVQRFMETRSSLRQGVLAQRRTNLGGNQFAATKQAAKKAATMPIRSRGVRWNKPSAPSNSIQRRPVGQAFQNGKGKEVQNKAPRTMDALFAQMKQQRMRTIPQQQTNTAPGRQIAQQRRNQQQRRGRGYGGRNGGNQ >ORUFI08G14450.1 pep chromosome:OR_W1943:8:15666565:15669041:-1 gene:ORUFI08G14450 transcript:ORUFI08G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYEILHVDRSATDDDIRRAYRRLAMRWHPDKNHTGKKDAEAKFKDITEAYNVLSDAGKRALYDQYGEEGLRAGGAPPQPGGGGGGADDIFAEFFGSTPFTYCNTGAGTTARAKQQAAWDAGGGGAYFGRGGFARDHGGGGAAASPQPPPVESKLACTLEELYVGVTKNMKISRNVVDASGRMKTESEILSIEVKPGWKKGTKITFPGKGNQQWSQLPADLVFVVDEKPHDVYRRDGNDLVAEARVTLADALGGTVVVLATLDGRELLVEVSGGGVAAGDEDDDDEDPVVYPGYELVVPSEGMPIAREPGRHGSLRIRFDVAFPERLTRRQRAQIKRILDAAG >ORUFI08G14460.1 pep chromosome:OR_W1943:8:15686708:15688260:-1 gene:ORUFI08G14460 transcript:ORUFI08G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGELGNAATVAQLVGADLGGLISKIIQAAATARRNKAECEQLAVRASMIYDLLPHLQHPEVVRRPEVLRPLALLEDTLREAHQLVTCCQHKGPTYRFVMAGRLADKIRSVQARIDSYLLFLPLISHIDIIHCLDQIHRMLISTGDGDCRTMASPSADSQSLLQRRLVLHGDGECEKFTMPQLAMATNNFAVDRQIGEGRSFGMMMYKGRLPDRREVAIRRASPRRKGDFLRELAILSPLRHDHIVRLLGCCVAAATTTSSAEDEDCLLVYEYIDNGTLYDHLHGSDGASSLVTTSWKTRIEILVGVSRAIEHLHSHAVPPVIHRDVKLSNILLDSSYAPRLSDFGLAVSCDEVECTAEMPILGTFEYMDPEYLSTGNLTPASDVYSFGVVMLELLTGKKAIHDEKHGAVVATSLVASVLPNMEAGDLMKELDRGPGLKPTPRQLKATEVVARTAVRCVHSQGKERPPMTEVVANLQEALDLLSLDE >ORUFI08G14470.1 pep chromosome:OR_W1943:8:15698795:15709628:-1 gene:ORUFI08G14470 transcript:ORUFI08G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGASEREREMAVEKTVPGGVRTVLVTGGAGYIGSHAVLQLLLAGFRAVVVDNLNNSSELAVRRVAALAGDHSRNLAFHKVDLRDKGALEKVFASTRFDAVVHFAGLKAVGESVQKPLLYYDNNVNGTVNLLEVMSAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPNNPYGKTKLVVEDICRDIYRTDPEWKIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPYVQQVAVGRRPALTILGNDYATRDGTGVRDYIHVVDLADGHIAALQKLFESSSIGCEAYNLGTGKGTSVLEIVKAFEKASGKKIPLIIGPRRPGDAEILFSLPAKAEKELNWKAKFGIDEMCRDQWNWASKNPYGYGSLDSTKQNGHHSYGSIGSPKQNGHCTNGFSESTRHNGHNGYGLVDSAKHNGNGHFH >ORUFI08G14470.2 pep chromosome:OR_W1943:8:15698793:15714443:-1 gene:ORUFI08G14470 transcript:ORUFI08G14470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPNNPYGKTKLVVEDICRDIYRTDPEWKIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPYVQQVAVGRRPALTILGNDYATRDGTGVRDYIHVVDLADGHIAALQKLFESSSIGCEAYNLGTGKGTSVLEIVKAFEKASGKKIPLIIGPRRPGDAEILFSLPAKAEKELNWKAKFGIDEMCRDQWNWASKNPYGYGSLDSTKQNGHHSYGSIGSPKQNGHCTNGFSESTRHNGHNGYGLVDSAKHNGNGHFH >ORUFI08G14470.3 pep chromosome:OR_W1943:8:15709630:15714443:-1 gene:ORUFI08G14470 transcript:ORUFI08G14470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQHPLPSPCRRHCCLLLLLLSTLLLSSASSLEEGRVLTVGDELMGETMLLRHGSRLYRLDGTRSSAWYEVKISYPASIPSSFSIRLVDDPHSVEDLGSMNRRLLNTEKIIFKAQNSWPVYVLVTVEPEGVVAKPNVPERELAVFNIVCDELMFGIPHFAWWVGIGSLFCIALASVAPYFLPLHKLLNYEATELRDDDAAKLS >ORUFI08G14480.1 pep chromosome:OR_W1943:8:15728595:15736802:1 gene:ORUFI08G14480 transcript:ORUFI08G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIADSGDNGEKMILLISSDGERFELSEAAASHSTKTSAPKASKEEELKSFDAKFVNVLAKFVNVDKTMLFGLILAANYLNVKDLLDLTCQHAVDLIKDIRWSRSGRCSTSSTTSCYRRRRRSVRRMLGPLTIRSS >ORUFI08G14490.1 pep chromosome:OR_W1943:8:15745225:15745812:1 gene:ORUFI08G14490 transcript:ORUFI08G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANKIPLLLAAAAALSLAASAVAAAPGPRRRPVRMRLYMHDVIDGPGQTAIRLIWGAGPPHASMPGRAFGDTVAVDDLVTEGPSIASAAVGRAQGTYMLSSQREAVLVVAITVALTSAGGPYNGSNLVIAGRDRVRDETRELAVVGGTGALRGAAGYVLWRTAKVWSEIHMALELDVHASVPATADDDAVATE >ORUFI08G14500.1 pep chromosome:OR_W1943:8:15750970:15751225:1 gene:ORUFI08G14500 transcript:ORUFI08G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDDCTDNGVPLPNVTAVVLAKVVEYFKKHAAVTPKPATEAVAADKAKREEELKSFDTEFVDVDRTMTC >ORUFI08G14510.1 pep chromosome:OR_W1943:8:15753235:15755482:1 gene:ORUFI08G14510 transcript:ORUFI08G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARHRNGPVSQKRGTVVDDTAQYRESGGSKNTVPTRSRSTVLGSVNSARTVPANALWGKEVPEEGKALKVAAQSRPHGVIFDQAKKNCLLASRHPTPIEGRKPQKREGRKAM >ORUFI08G14520.1 pep chromosome:OR_W1943:8:15755959:15756677:1 gene:ORUFI08G14520 transcript:ORUFI08G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDEGEEGVEGPARTAAAEGQRLRSTNRNQKHRGAARRTGADTVETTTTAQAPRAPAFVVALPAAVAVALPAASRHPASVRAQLGEPTPAATRHQLRQVATAPDPLPNPAAEHSRLPPPPTHLPTLPPSRSRHGGPDPASTAPDLAATGRFSSSPAPSLSSYLAGVPSGLTTSEGEGAPPPPSLQPPGFIGGGLGGDEAGVWRRRAEAARLVRRPRRPRDIATMLLCGSGGEPNAA >ORUFI08G14530.1 pep chromosome:OR_W1943:8:15760569:15760977:1 gene:ORUFI08G14530 transcript:ORUFI08G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDDCTDNGVPLPNVTAVVLAKVVEYFKKHAAVTPKPATEAIAADKAKREEELKSFDAEFVDVDRTMLFELILAANFLNAQDLLDLTCQHAADLIRLDQRHEVREVFNITNDFTPEEEAEVRKENAWAFDN >ORUFI08G14540.1 pep chromosome:OR_W1943:8:15764126:15766216:1 gene:ORUFI08G14540 transcript:ORUFI08G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHHLAVAAARSHASLLKSGVAGPTPWNQLLTAYSRSSPDGLAAARRVFDEVPRRDEVSWNALLAAHAASGAHPEAWRLLRAMHAQGLASNTFALGSALRSAAVARRPAIGAQLQSLALKSGLANNVFAASALLDVYAKCGRVRDARQVFDGMPERNTVSWNALIAGYTESGDMASALELFLEMEREGLAPDEATFASLLTAVEGPSCFLMHQLHGKIVKYGSALGLTVLNAAITAYSQCGSLKDSRRIFDGIGDIRDLISWNAMLGAYTHNGMDDEAMKFFVRMMQESGVYPDMYSFTSIISSCSEHGHDDHQGRVIHGLVIKSALEGVTPVCNALIAMYTRYNENCMMEDAYKCFNSLVLKDTVSWNSMLTGYSQHGLSADALKFFRCMCSENVRTDEYAFSAALRSSSELAVLQLGKQIHGLVIHSGFASNDFVSSSLIFMYSKSGIIDDARKSFEEADKSSSVPWNAMIFGYAQHGQAENVDILFNEMLQRKAPLDHITFVGLITSCSHAGLVDEGSEILNTMETKYGVPLRMEHYACGVDLYGRAGQLDKAKKLIDSMPFEPDAMVWMTLLGACRIHGNVELASDVASHLFVAEPRQHSTYVLLSSMYSGLGMWSDRATVQRVMKKRGLSKVPGWSWIEVKNEVHSFNAEDKSHPKMDEIYEMLRVLLQVEQMLSNCEDEDILMITSSGI >ORUFI08G14550.1 pep chromosome:OR_W1943:8:15770152:15775151:1 gene:ORUFI08G14550 transcript:ORUFI08G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMINLGNLGRIEYILGRGQYTSVALLYYKWRPSTLYTTAQTQVPKLSMSTDLTIPPSMEAPGADIQALIQRLRLHRPRPSPYAAAAAPSPDAAAPAPSPDAAAPPAELLFRPRRAAVLICLFRGAARELRVLLTKRSSKLSSHSGEVSLPGGKAEEGDADDAATALREAKEEIGLDPASVTVVASLEHFLSKHLLVVVPVVGILSDIQAFKPVLNVDEVDSIFDVPLEMFLKDEKRTSEEREWMGQEFTIHYFNYEKGSEKYVIWGLTAGILIHAASVVFQRPPDFPARTVQFNLPKYSKECSSMP >ORUFI08G14550.2 pep chromosome:OR_W1943:8:15770152:15775151:1 gene:ORUFI08G14550 transcript:ORUFI08G14550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMINLGNLGRIEYILGRGQYTSVALLYYKWRPSTLYTTAQTQVPKLSMSTDLTIPPSMEAPGADIQALIQRLRLHRPRPSPYAAAAAPSPDAAAPAPSPDAAAPPAELLFRPRRAAVLICLFRGAARELRVLLTKRSSKLSSHSGEVSLPGGKAEEGDADDAATALREAKEEIGLDPASVTVVASLEHFLSKHLLVVVPVVGILSDIQAFKPVLNVDEVDSIFDVPLEMFLKDEKRTSEEREWMGQEFTIHYFNYEKGSEKYVIWGLTAGILIHAASVVFQRPPDFPARTVQFNLPKYSKECSSMP >ORUFI08G14560.1 pep chromosome:OR_W1943:8:15775805:15776045:1 gene:ORUFI08G14560 transcript:ORUFI08G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEPGEVAAREDWPAGGAGAMCPHAGGSLVGGGAVVHVKTQLGLGRTNNDDARVSFSLLRALSCRLTP >ORUFI08G14570.1 pep chromosome:OR_W1943:8:15778958:15783941:1 gene:ORUFI08G14570 transcript:ORUFI08G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTTEVEGIEALVRRLRLYQPPPSPYDGASTTAAGGGGELFRPRRAAVLVCLFRRGGGDGELRVILTKRSSSLSTHSGEVALPGGKAEEGDADDAATALREAKEEIGLDPSLVTVVASLEHFLSKHLLVVVPIVGILSDIEAFKPVLNVDEVDDIFDVPLEMFLKDENRTSEEREKMGQTFTIHYFNYEKENHKYLIWGLTARILIHAASVVYQRPPDFPERRVHFNLPKF >ORUFI08G14580.1 pep chromosome:OR_W1943:8:15786369:15789370:-1 gene:ORUFI08G14580 transcript:ORUFI08G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLLLLLALAAGAGAASFPADFANLFAAKAALSDPSSALAAWDPGLSPSLSPCRWPHLLCSNPSSSSSAAIAAVLLSNLSLAGEFPAPLCELRSLARLDLSYNDLTGPLPGCLAAMPSLRHLDLAGNGFSGEVPRSYGAGFPSLLTLSLAGNELSGELPAFLANVSALEELLLAYNQFAPSPLPETFTGIRRLQVLWLAGCNLVGDIPPSIGSLKSLVNLDLSTNNLTGEIPSSIGGLESVVQLELYSNQLTGSLPEGMSALKKLRFFDAAMNQLSGEIPADLFLAPRLESLHLYQNELTGRVPATVADAAALNDLRLFTNRLVGELPPEFGKKSPLEFLDLSDNRISGEIPATLCSAGKLEQLLMLNNELVGPIPAELGQCRTLTRVRLPNNRLSGAVPPDMWGLPHLYLLELAGNALSGAVAPAIATARNLSQLLISDNRFAGALPPELGSLPNLFELSASNNVFSGPLPASLTVVTTLGRLDLRNNSLSGELPRGVRRWQKLTQLDLADNRLTGNIPAELGDLPVLNSLDLSNNELTGGVPVQLENLKLSLLNLSNNRLAGVLPPLFAGEMYKDSFLGNPGLCTGGSCSSGRRARAGRRGLVGSVTVAVAGVILLLGAAWFAHRYRSQRRWSTEDAAGEKSRWVVTSFHKAEFDEEDILSCLDDEDNVVGTGAAGKVYKAVLGNGARGGDDGAVVAVKKLWANGGAAKKAAAMEAGGGGGGGGKDTFEAEVATLGRIRHKNIVKLWCSLSSEDRRLLVYEYMPNGSLGDLLHGGKGGLLDWPARHRIMVDAAEGLSYLHHDCAPPIVHRDVKSNNILLDADLRAKVADFGVARAVSAAPPTAVSAIAGSCGYIAPEYSYTLRITEKSDVYSFGVVMLELLTGKAPAGPELGEKDLVRWVCGGVERDGVDRVLDARLAGAPRDETRRALNVALLCASSLPINRPSMRSVVKLLLELRPESKEKAMAEEKPLLV >ORUFI08G14590.1 pep chromosome:OR_W1943:8:15798405:15801444:1 gene:ORUFI08G14590 transcript:ORUFI08G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVQVPAELIGSSNGGGSAGGSLTVDPALGRQQATAAAAALPTPRSPPPAFGSIVAVLSIDGGGVRGIIPGTILAFLEEKLQELDGPAARVADYFDVIAGTSTGGLVTAMLAAPGADGRPLFAAKDIVDFYLRHSPKIFPPVIKGPLGLLKSMMGPKYDGRYLRSIVQELLGDTRISQAITNVVIPTFDIKLLQPTIFSRFDAQKDASKNALLSDVCISTSAAPTYLPGHRFETKDKAGQPRTLVAMTHVSKQILLGNQDFFPIKPADYGKFMVLSLGTGSAKVEGRSFDADESGRWGLLGWLRNDGGSAPPLIDSFAQSSSDLVDIHASVLFQALRCDRHYLRIQDDDLTGDAASVDVATPENLRALAGAGTALLRRQACRVDVETGRNVADAGRGTNEEELARFARMLSMERRARLGKQESTPRV >ORUFI08G14600.1 pep chromosome:OR_W1943:8:15807729:15808901:1 gene:ORUFI08G14600 transcript:ORUFI08G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESVEEAVHHAVVAVAAAAVVALAVALFLLWRNKRVARAGGGAGAGGGGGGGGDGDGGAVAVAVAPLPVVPLADVERATDGFHPSRVIGQGWHFTVYAAAPGLAAKRMRPHLVLGEPGGRRFPAALRSLAVPPHPNLAGVVGLSEGPGERVLIVERASAGSVGLDALLYHGGTDSPLLPWPERAAVAAGAARGLAHLHVHGVAHGRVRPRNVLVDAAAAARGPCGVRVSDYGLSTFLHSDDGDGDDHGRLDARAENDVYMFGAVLLQLLTGRQWDGGRLAHWALPLIRAGPPAMAELLDERAGKPADKAESRLVARTARVALACVANDGRSRPRMAEVSAILDDVEAAYRRRGKSPEQEVDGGEERFSGCLLAPSRSAHRSETLLRLPV >ORUFI08G14610.1 pep chromosome:OR_W1943:8:15811688:15814357:1 gene:ORUFI08G14610 transcript:ORUFI08G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKYFGHRTPVIVVTKSHMYERGQIHQSLYIVWYIKIIKYTVTGDTVHFPQQQFKVTLHQKFAMSSPHVLVVDDTHVDRHVVSMALMRHNIRVTAVESVMQALMFLDSEHDVDMIVSDYCMPDMTDYDLLMEVKWIHFDID >ORUFI08G14620.1 pep chromosome:OR_W1943:8:15833815:15838259:1 gene:ORUFI08G14620 transcript:ORUFI08G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIIEEGVDWRTRLGQDIRDRVKRDILFSLQMKLQTTTSTTLIDLQKVAARIEERIYKIAIDFGDYLRRISLIKGDLDDSYPLMLNNFLHIHQQASTSSFFLLHQKNKQGQIIQAEGNVQGTSSSSHKEPSHPHGKDRISELPNDLIHHIMSFLSMKEAVRTSVLSHWWVNKWTCLQSIKLDINWFRLDREKFRSSIDKLLLSRNHLDAPMDTFQLDSFAVDRASSWINHAIKHNAKVVKFSEYPSWEPFYLDPELVEFSSRYLKTLELTNAALNEMVFDRLNNACPVLENLGQQTGSSSFTNSYLTFTTITLVDASDVTSIELTATDRQFTFMEQQGSRPMFRNLRTLRLGEWCMADNFLPLRQYVNHSPVLRKVFLKLSLVDWWSELTTNQLMALVEISSGGSVSIDFY >ORUFI08G14630.1 pep chromosome:OR_W1943:8:15847147:15847386:1 gene:ORUFI08G14630 transcript:ORUFI08G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEANLAACCLPGPGLRRRCQPLPLAPTLDAAYAEQHPHQDTRPGTAHGVATEEPLHRETGQNHLDELVGEDGTGVAE >ORUFI08G14640.1 pep chromosome:OR_W1943:8:15848696:15859935:1 gene:ORUFI08G14640 transcript:ORUFI08G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHVLVVDDTLVDRHVVSMALMRHNVRVTAVESVMQALMFLDSEHDVNMIVSDYCMPDMTGYDLLMEVKKSPKLAHLPVVIASSDNIPERIRKCLDGGAKDYILKPVKIVDLPPYKIQFYLYHRPLIVLPLSGHFFCLTKPKKHTMDELGIIDEGVDWRTRLGQDIRDRVTHDILVSLQMKLKTTTSTTLIDLQNVASRIEERIYKIAIDFGDYLWRTGLIKGDLDDSYPVLLNNFLHVRKQASTPSVVLLHEKNKNGEIIHAQGNVQGTSSSACCIKHVPYTMIIFHNSRKLVKYIKVILSYRTENNPRLCITNMHIAKHLHTL >ORUFI08G14650.1 pep chromosome:OR_W1943:8:15864555:15866821:1 gene:ORUFI08G14650 transcript:ORUFI08G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMMMQKSSLDLVLVPCGLVIMFGYHLILLYRILRRPAATVIGYENHNKLAWVRRMVQASPDETGLALSVISSNISASTNLASLCIALGSLIGAWVSSTSKVFMTELVYGDRTQATATVKYISLLVCFLVSFTCFIHSARYYVQASFLITTLDSDVPASYIQHAVIRGGNFWSMGLRALYFATTLLMWIFGPIPMFACSVLMVFILHLLDSNSLPLHNHQFTIRKRHDQRALASTVVTRHPSPQNPILSNPVLSPVTFSIN >ORUFI08G14660.1 pep chromosome:OR_W1943:8:15864668:15869289:-1 gene:ORUFI08G14660 transcript:ORUFI08G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPATAAAAAANPKRRPKPKPKAAGASTLNPNWAQLQSKLPRPAAATTLGKRKHRPDHPSPVPAPTEPAAEDAAAAAEVKLVPTSDDASLTKAVAVDCEMVGVGAGGSKSALGRVTLVNSWGNVVYDEYTRPVERIVDYRTHISGIRPKHMNKAKDFWVVQKDVAELIKGRILVGHALHHDLKVLLLGHPKKDIRDTSEYEVFRREGKRRSLKDLTAQVLGAKIQQKEHCPIEDARAAMFIYNKHKKVWEKNMKEQFRFRKKLKKRGKKKSAEGSGNDPNVPTLFSCHRKFSCSMSPASRRWVVYLHHAADPCQLVVVLVADDGGGGAAENPVEEDEVVAEHDHQTTWHKHQVQGALLHHHHHHLLLPSSEWWSWVAYGLMDRISTWYQRYILAWLVAWDQRLGRRVRQAKFMDLASKKSRQLLLPGLLYLLTFQDPKPNLHKATCQNQ >ORUFI08G14660.2 pep chromosome:OR_W1943:8:15865257:15869289:-1 gene:ORUFI08G14660 transcript:ORUFI08G14660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPATAAAAAANPKRRPKPKPKAAGASTLNPNWAQLQSKLPRPAAATTLGKRKHRPDHPSPVPAPTEPAAEDAAAAAEVKLVPTSDDASLTKAVAVDCEMVGVGAGGSKSALGRVTLVNSWGNVVYDEYTRPVERIVDYRTHISGIRPKHMNKAKDFWVVQKDVAELIKGRILVGHALHHDLKVLLLGHPKKDIRDTSEYEVFRREGKRRSLKDLTAQVLGAKIQQKEHCPIEDARAAMFIYNKHKKVWEKNMKEQFRFRKKLKKRGKKKSAEGSGNDPNVPTLLHVAGQPAVGGLPAPCGGPMPACCGSRSR >ORUFI08G14660.3 pep chromosome:OR_W1943:8:15864690:15865200:-1 gene:ORUFI08G14660 transcript:ORUFI08G14660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MITRPHGTSTRSRELFCIIITTIFSCPPPDQYVVPTVHSGLACRLGSKTWEEGQASKIHGSCFQEIQAIVASRVTVSPNLPRSETQLA >ORUFI08G14670.1 pep chromosome:OR_W1943:8:15891121:15901250:1 gene:ORUFI08G14670 transcript:ORUFI08G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVATVLVSCIAAATAMLAVAAAAAGSGGGCTRSCGNISVPYPFGVEAGCYYPGFNLTCNHHSYRPPTLFLVDGAGTSHQVLEISIPNATVRINSSRIAFTKSDDNKQATVINRTWEVTGPYYQSHRPSNTVVLVGCQARVDVRGGSNNTLISSCTAVCPSPDAGTIYIGAGDGSCSGVGCCQANIVLRYSRYTVQIHDLQGQDAPSSSPVIFNGSAYIVDQPFNYTPFSFLEGNFPEALPAMLGWFIVDYNSTCPMPTNESAPAPASAAECRSVHSFCQGYSDSADHVVGYQCHCSPGYQGNPYVKDGCYDIDECNSPHIYQCYGDCKNTQGGYDCNCPRGFKGNASIPNGCTGLMIGLIVSGGSLLLLLGFAAPFILISRNTDFAERMIITLEELEKATNNFDKTREVGDGGHGVVYKGIIDLHVVAIKKSKIVVQREIDEFINEVAILSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYRHLHVDGPVSLSWDDRLRITVEVARALSYLHSAASMPIFHRDIKSSNILLDDSLTAKVSDFGASRYISINQTGITTAIQGTVGYLDPMYYYTGRLTSKSDVFSFGVLLMELLTRKKPVGDTFDNGHNLVSHFVLVFSEGNLYDIIDPQVKEEDDGEALEVATLAIACTKFKGEDRPTMREVEMALENIASKKGLFHNGNTTASRRPDENQNSTLYMSVEGVTKEAITESTTEEEILLSSRFTR >ORUFI08G14680.1 pep chromosome:OR_W1943:8:15914453:15915157:-1 gene:ORUFI08G14680 transcript:ORUFI08G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIDGNKPPSEVLIDENDDWVIVKKQRIIILIPPPSPAAASLQDDMQKISSEQACLAKKSMENCDAARKKHPKQMTTNKAQEPLLEGIKVSANIKKAQEIATSSHHPVAPVKANHASIQGQFHENIEKAGNSFGNIYKEELPVISSQVTNRIMRARLLERRVAGFGGLKNWLFTCGFGWFVDILDSEKLGMYQIVSLTMNQLKDMGLDAVGPRRKLIHAIESVSQPNEFEMFS >ORUFI08G14690.1 pep chromosome:OR_W1943:8:15919583:15921212:-1 gene:ORUFI08G14690 transcript:ORUFI08G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAASLLPACAAPTLPGRAFRPRRNSTPTASLSCDGGSRGRGVGLGVILGGGRAQGVRRNAAAETYVPGSGKYIAPDYLVKKVTAKELEELVRGERKVPLIVDFYATWCGPCVLMAQDIEMLAVEYENNALFVKVDTDDEYELARDMQVRGLPTLYFFSPDQSKDALRTEGLIPIDMIRNIIDNEL >ORUFI08G14700.1 pep chromosome:OR_W1943:8:15923664:15938409:-1 gene:ORUFI08G14700 transcript:ORUFI08G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEQPGAGAPAPEPLPSQLDILKNTIQSLRDSNRHDFDAWVSLIKAAEETSMNDIEVIDLVYHNFLLEFPLFYGYWIKYAAHKARLCTNKEVEEVYEQAVQAVPHSIDLWVSYCGFAMCTYEEPAHIRRLFERALSLVGKDYLCYHLWDKYIEFEKSQKQLIQLATIYIDTLKFPTKKLRRYYESFRKLVTLMEHEAAGAERSSENLRTLEVIKAEDSEVDASIKISALLDEHSGHLRADAVKQYLLSGESLYQRSSKIDKEISCFEASIKRPFFHVKPLDDDQLENWHRYLDFVEKKGDFDWAVKLYERCLIPCANYSEFWIRYAEFVDAKGGREIASYALGRASSYFVKDRKGPFPPQKLAIEVRGSPTYILGPLPFHNQCGIIQQSPPSHIGVPQPFTAPSPYSGPHRPTVHQGVPTFHMYYAMFKEQIGDAQGARSLFIEGSNNLTSNFCANINRLANMEKRMGNTKAASEIYETAIQDALQKNVKILPDLYTNFAQFKYAVNHNISEAKEVFVDGIKQAPCKALIKGFMQFMSTHGGPTEIPILDSVISNAVVPGSDISTVLSPEDREDISLLFLEFVDLYGDVRDLRKAWARHSKLFPHNTRHMLQQYCNSENSLQENNKRRRTESYIVSQDDSPKDAITLKQLSKSDTSLLVDKVVGLQVDKSTVDSGIGHTVEEQNILGNVDVHHEVGDTAQECIDMTDSQHNLDKSGMQNQVSAHGAHESCEQNDQTTESHPSVCENAPHAESFTCDSPSKSNSFSKISALDKANTIDVSASVDQGAICSRSDSPSVASLPKEETSPDPVRISPELEEKKHDKIQGQLETKDDMSLSNANIEKSSDSPDATQHDRGVSALSQEHVQSSQPQQLPDCARPSSSEMATTPATTSSQFSPSTAVTSQTQLQHQIVSSQMHQSDKLSLTEQNTQQQGLAYEIPQNVQASLQSQAQIFAQPNQGDQQHLQTMQGYASQMWQYYQQQMYYLQAQHNQQLQSLQQQQLPTEHLQQNFMQQVQQLNQQMVLWQQQVQQQQQQQQQQLQLQQHALPLQQQPDQKYSQLPSSGDTRHEQNKPQKHESQMDHQSELVQQQQVYFQQQQQMYLMQQQQQMYQQQQQLQQQLLQQQLLQQQQYLSQMPQQQQNMTQQQQQLFQQQQQQMVVLQQQQQQQFIQQQMQQYLQQQTNQQGANSQSCELNPQDARNMKMEHGQQSEASQVMFNIDVLCFKSV >ORUFI08G14700.2 pep chromosome:OR_W1943:8:15923664:15938409:-1 gene:ORUFI08G14700 transcript:ORUFI08G14700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEQPGAGAPAPEPLPSQLDILKNTIQSLRDSNRHDFDAWVSLIKAAEETSMNDIEVIDLVYHNFLLEFPLFYGYWIKYAAHKARLCTNKEVEEVYEQAVQAVPHSIDLWVSYCGFAMCTYEEPAHIRRLFERALSLVGKDYLCYHLWDKYIEFEKSQKQLIQLATIYIDTLKFPTKKLRRYYESFRKLVTLMEHEAAGAERSSENLRTLEVIKAEDSEVDASIKISALLDEHSGHLRADAVKQYLLSGESLYQRSSKIDKEISCFEASIKRPFFHVKPLDDDQLENWHRYLDFVEKKGDFDWAVKLYERCLIPCANYSEFWIRYAEFVDAKGGREIASYALGRASSYFVKGVPTFHMYYAMFKEQIGDAQGARSLFIEGSNNLTSNFCANINRLANMEKRMGNTKAASEIYETAIQDALQKNVKILPDLYTNFAQFKYAVNHNISEAKEVFVDGIKQAPCKALIKGFMQFMSTHGGPTEIPILDSVISNAVVPGSDISTVLSPEDREDISLLFLEFVDLYGDVRDLRKAWARHSKLFPHNTRHMLQQYCNSENSLQENNKRRRTESYIVSQDDSPKDAITLKQLSKSDTSLLVDKVVGLQVDKSTVDSGIGHTVEEQNILGNVDVHHEVGDTAQECIDMTDSQHNLDKSGMQNQVSAHGAHESCEQNDQTTESHPSVCENAPHAESFTCDSPSKSNSFSKISALDKANTIDVSASVDQGAICSRSDSPSVASLPKEETSPDPVRISPELEEKKHDKIQGQLETKDDMSLSNANIEKSSDSPDATQHDRGVSALSQEHVQSSQPQQLPDCARPSSSEMATTPATTSSQFSPSTAVTSQTQLQHQIVSSQMHQSDKLSLTEQNTQQQGLAYEIPQNVQASLQSQAQIFAQPNQGDQQHLQTMQGYASQMWQYYQQQMYYLQAQHNQQLQSLQQQQLPTEHLQQNFMQQVQQLNQQMVLWQQQVQQQQQQQQQQLQLQQHALPLQQQPDQKYSQLPSSGDTRHEQNKPQKHESQMDHQSELVQQQQVYFQQQQQMYLMQQQQQMYQQQQQLQQQLLQQQLLQQQQYLSQMPQQQQNMTQQQQQLFQQQQQQMVVLQQQQQQQFIQQQMQQYLQQQTNQQGANSQSCELNPQDARNMKMEHGQQSEASQVMFNIDVLCFKSV >ORUFI08G14710.1 pep chromosome:OR_W1943:8:15945129:15947417:1 gene:ORUFI08G14710 transcript:ORUFI08G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASNSACGTASLFGRLRAVAGSGRRRLSLPPAEAEETAHPGGAQGGARLGGEDHLAMRTDEREGRGVEGEYGGGETVTWDARHCNACLQSVPWPKRRRRRVAQARVACHRQGTTRTEKSGNGSRRGWRMRRRLEAWAAELEAWDGGGEARERGPAAAAAVAFYLYQRTRRGSASPRLRAQLPPLTAPSPTPPQSTAADGSRHGWRIQRRVGARAADLATTRGAGDGLGLGRRRRGKREGAPRHRRRRLLPPRADAGGAPHHAVDAPSECLRRPLSAPPPPPCRRPLRAPPPPPSQSIAAARIA >ORUFI08G14720.1 pep chromosome:OR_W1943:8:15948830:15955793:1 gene:ORUFI08G14720 transcript:ORUFI08G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAASAADERPLVELASAAATAPASTETSTFSSAPGFTRAVRCSGAGCSSSSSSSSSSSDEGGGGAYPGNAISTTKYTAASFVPKSLFEQFRRAANCFFLVVACVSFSPLAPYRAVSVLLPLVVVVGAAMAKEAVEDWRRKQQDIEVNSRKVEVYDGTQSFHQTEWKKLQVGDIVKVKKDEFFPADLVLLSSSYEDGICYVETMNLDGETNLKRKQSLDVTVGLNEDHSFHTFKAFIQCEDPNEKLYSFLGTLHYNGQQYPLSPQQILLRDSKLRNTNQIYGIVIFTGHDTKVMQNAMEPPSKRSSVERRMDKIIYLLFVILFAIASFGSVMFGIRTRAELSAGNYAWYLRPDNSTMYFDPNRATLAAICHFLTSLMLYVCLVPISLYISIEIVKVLQSTFINQDQNMYCEESDKPARARTSNLNEELGQVHTILSDKTGTLTCNSMEFLKCSIAGVAYGNRPIEVQMPYGGIEEECVDIGQKGAVKSVRPVKGFNFTDDRLMNGQWSKECHQDVIEMFFRVLAVCHTAIPVADRTSGGMSYEAESPDEGALVAAARELGFEFYHRSQTSISVHEYDPVFGRKVDRTYKLLNTLEFSSARKRMSVIVSTEEGRLFLFCKGADSVILERLSKDNSKACLTNTKCHIDEYSEAGLRTLALAYRELTEDEYVAWNMEYSAAKNSVHNDHDVAVEKASENIEKDLVLLGATAVEDRLQKGVPECIHKLAQAGIKIWILTGDKLETAVNIGLVPYVAYVPDNYACNLLRKGMEEVYITLDNPGTNVPEEHNGESSGMAPYEQIGRKLEDARRQILQKGTSAPFALIIDGNALTHALMGGLKTAFLDLAVDCASVLCCRISPKQKALITRLVKNRIRKTTLAIGDGANDVGMLQEADIGVGISGAEGMQICYFFFKNITFGFTLFWFEAHAMFSAQPGYNDWFISFYNVAFTSLPVIALGVFDKDVSSRVCLEVPSLHQDGVNNLFFSWSRILSWMLNGVCCSIIIYFGALHAVLIQAVRQDGHVAGFDILGVTMYTCVVWTVNCQLALYISYFTWIQHFVIWGSILIWYTFLVIYGSFPPTISTSAYHVFWEACASSPLYWLSTLVIVVTALIPYFLYKITQSLFCPQHCDQVQRPNSKELVAQ >ORUFI08G14730.1 pep chromosome:OR_W1943:8:15956881:15964011:1 gene:ORUFI08G14730 transcript:ORUFI08G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLTAAPTIAPSAAAACRSLAPPLPAVSCSTSRRGWRRRRRCVSVVAMAAAADGEMRHGHAAEAGGGGAGTGTGRMNLNEYMVAVDRPLGVRFALAVDGRVFVHSLKKGGNAEKSRIIMVGDTLKKAGSREGVGLVDIRDLGDTEMVLKETSGPCDLVLERPFAPFPIHQLHQNEDYHLLFNKGRVPLTSWNGALLSSKLNESSEGNGNPGFAIFSPRLLNSHGWAVLSSEQDGLNQRSTSLANRISEIVGLYSDEDDADTEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCLQTERDVKMLSETMGITAVLNFQSESERTNWGINSEAINNSCRENNILMVNYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVIAYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGTPTHSVCFVWNSGREGEDVELVGDFTSNWKDKVKCNHKGGSRYEAEIRLRHGKYYYKFIAGGQWRHSTSLPTETDEHGNVNNVIRVGDIARIWPAPSQLQIRDPTVVKVIERALTEDERFLLAFAARRMAFAICPIRLSPKQ >ORUFI08G14730.2 pep chromosome:OR_W1943:8:15956881:15962868:1 gene:ORUFI08G14730 transcript:ORUFI08G14730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLTAAPTIAPSAAAACRSLAPPLPAVSCSTSRRGWRRRRRCVSVVAMAAAADGEMRHGHAAEAGGGGAGTGTGRMNLNEYMVAVDRPLGVRFALAVDGRVFVHSLKKGGNAEKSRIIMVGDTLKKAGSREGVGLVDIRDLGDTEMVLKETSGPCDLVLERPFAPFPIHQLHQNEDYHLLFNKGRVPLTSWNGALLSSKLNESSEGNGNPGFAIFSPRLLNSHGWAVLSSEQDGLNQRSTSLANRISEIVGLYSDEDDADTEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCLQTERDVKMLSETMGITAVLNFQSESERTNWGINSEAINNSCRENNILMVNYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVIAYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGTPTHSVCFVWNSGREGEDVELVGDFTSNWKDKVKCNHKGGSRYEAEIRLRHGKYYYKFIAGGQWRHSTSLPTETDEHGNVNNVIRVGDIARIWPAPSQLQIRDPTVVKVIERALTEDERFLLAFAARRMAFAICPIRLSPKQ >ORUFI08G14740.1 pep chromosome:OR_W1943:8:15961123:15962593:-1 gene:ORUFI08G14740 transcript:ORUFI08G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLSSSVLANPCTTGSPLFPPTAAKLAAAASVPVAAAARSGAIAAVSRRSASGGRCVVAAASSSSPAVTTAEAGEVPATMKAWAYDDYGDGSVLKLNDAAAVPDIADDQVLVRVAAAALNPVDAKRRAGKFKATDSPLPTVPGYDVAGVVVKAGRKVKGLKEGDEVYGNISEKALEGPKQSGSLAEYTAVEEKLLALKPKSLGFAQAAGLPLAIETAHEGLERAGFSAGKSILILGGAGGVGSLAIQVNQPDTHHHCISIHQLNLHLTFTWPERTSRTLQLAKHVYGASKVAATASTPKLELLKSLGADVAIDYTKENFEDLPDKYDVVLDAVGQGEKAVKVVKEGGSVVVLTGAVVPPGFRFVVTSDGSVLEKLNPYLESGKVKPLVDPKGPFAFSQVVEAFSYLETGRATGKVVISPIP >ORUFI08G14750.1 pep chromosome:OR_W1943:8:15985894:15986079:1 gene:ORUFI08G14750 transcript:ORUFI08G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDDGADAWAPLAATTTTFVTRRWLPPLLLNGDLRAAGSLLATGSSPRRATTTPPTPGRR >ORUFI08G14760.1 pep chromosome:OR_W1943:8:15997613:15999496:1 gene:ORUFI08G14760 transcript:ORUFI08G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPHLPLLLLLLVVVCNAAGGDGARVNPFTAKAAFIRYWNRRVPNNRPHPAFFVAKLSPLQAADAASFAAALPRLLPPLCARAALLCPSASDTETAASLAVGGGGGGGPFKGYSNANFTNYGSGGVGGADGFSAYSPDLNVVGDSFRRYGRDSTRRVDTFASYEAEGNVVTANFTSYAGAATGGSGSFSAYAADTNVPDSTFTNYDAEANGRRREFTSYSQEANHGSNTFAGYGKNGNGLRETFTTYGNDSNVIASGFTNYGESGNGATDTFTAYGKEGNVPDNTFRSYGAGGNAGVDTFKGYRSESNVGDDSFASYAKGANGNAAEFQNYGGSFNPGTVTFKGYGEGSNPNHHIGFKEYAGSNNSFKGYAKSGVDFKEYHNTSSADAATTMSLEAVSSGHQHLKWSPEPGKFFRETELVSGNTMPMPDIKDKMPPRAFLPRDIAKKIPFKPNAVSEVFGVPLDTAMGKAVTSTVAECERAPSRGETKRCATSAEDIVDFAVEMLGNDIVVRSTASTAGSGGQIRLGNVTGVDGGKVTRSVSCHQSLFPYLVYYCHSVPKVRVYEADIMAADSDQKINHGVAICHLDTSDWSPTHGAFIALGGKPGEVEVCHWIFEGDMTWTVAD >ORUFI08G14770.1 pep chromosome:OR_W1943:8:16008849:16009304:-1 gene:ORUFI08G14770 transcript:ORUFI08G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPPPKWWGEEAELHAGGGVAGHARGEGLPTAAARAGGGVGELGMRGAGASSTAAVGRQGKLDSDSSHVGATIGVVGRRGRRRTSLGGNASFMRRQPRRGERSLTAAARAGGGIGEIGTRGVGACSTVAAGQLGELELGGTCSTALAGRP >ORUFI08G14780.1 pep chromosome:OR_W1943:8:16037557:16038150:-1 gene:ORUFI08G14780 transcript:ORUFI08G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSTVDVTEAEVIDLETMPPVGVPGGEVIDLESAECRSVATMAKFNSHSSGFHPKQQGHMKISLSPQSKSL >ORUFI08G14790.1 pep chromosome:OR_W1943:8:16040375:16040710:1 gene:ORUFI08G14790 transcript:ORUFI08G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAAEHAHMYPVVRMRLEQQEIIAIEESSSSSSLKSSRKRAVELEELDDYVAMETTGAYEVAVMPKRFKFPGALDGEDCRCTGVYVRGMLLVDAMKTEIEAKAAARARR >ORUFI08G14800.1 pep chromosome:OR_W1943:8:16052900:16053467:-1 gene:ORUFI08G14800 transcript:ORUFI08G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSERLSSTRGQIPSRGHVVGGGDGSEGDSQIWRVPVGVGQGWTPSGSGNDEVAWWSFSDLCGHSVASTVPSVYTWHLVGSVEDTMVEGDFEVKAFLGLSMLARATPSGTVYLLEDFAIGSFIQLHIKGENLRFVWIGRCRRFVGVSFLEASF >ORUFI08G14810.1 pep chromosome:OR_W1943:8:16056205:16056546:1 gene:ORUFI08G14810 transcript:ORUFI08G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGLTHDVIQKLNKYLRILCNKISANMPADELLHVLKGLCPDAYSYAKDDQTSTFTCPAGTNHRVDFCPPTSGVTAGDDDGVRGHRLPQLSCRICAAAAVVLLPPQATASRG >ORUFI08G14820.1 pep chromosome:OR_W1943:8:16063912:16065309:-1 gene:ORUFI08G14820 transcript:ORUFI08G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGAWDSPLFVGGGARGVGVDLGLQARDGGTPNDGGHGAARGSERCGPPSASDQDSVPFVGTGARGVGVDLELQARGGRRPPRADRHREVWVPVLTTANANLDVRFWMPFVHDGRTLHQFVYIAGDVVHGVGEALLNGGGDVWVDWAAAPGWFRELAARVGDDLPGASLAYIVTCAATRSTWEPGSAESTSSPCCPYILDTLFFHGGDEGEAVAAAADAPRPPARGGGGDQDAAVAGAADAPRPPAIGGGAVGREPGRRRIRRERNARRAALNDGGAEAPARAAAGVPAEADADLSPTNKKLQSLYSSLLKKKELIQELLQGLRGVEECARAQAESPNRETIRSIVSTVLSHLASYDRMDAEATELRAQLQHPVPRPPELDGHPRDSLPPSVTTDEASVP >ORUFI08G14830.1 pep chromosome:OR_W1943:8:16066773:16068406:1 gene:ORUFI08G14830 transcript:ORUFI08G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRLFLLARDSTTPAMTAVTQRSAQLHQNLTWWQFFCRRIPKSSASPCHAATLLMEMQSQALLFYLQSPDGEVTQGGIGLELTGEGIISGGGGIPAPGKKVAISMLIAPLIRFLEGKWRGYRGKVGGTMARTIVPHDSWRNNGNVAVMNSARSRTSGHP >ORUFI08G14840.1 pep chromosome:OR_W1943:8:16084929:16089551:1 gene:ORUFI08G14840 transcript:ORUFI08G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAFPTCCCCRRPSLRPSAGRRGRRPVARCALPSSEKNSFSWKEYAISVALSAGLITGAPTLGWPAHASPLEPVIPDVSVLISGPPIKDPGALLRYALPIDNKAVREVQKPLEDITDSLKIAGVRALDSVERNVRQASRALSNGRNLILGGLAESKRANGEELLDKLAVGLDELQRIVEDRNRDAVAPKQKELLQYVGTVEEDMVDGFPYEVPEEYSSMPLLKGRATVDMKVKIKDNPNLEDCVFRIVLDGYNAPVTAGNFLDLVERKFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTGKVRTIPLELMVDGDKAPVYGETLEELGRYKAQTKLPFNAFGTMAMARDEFDDNSASSQIFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADLKVGDVIESIQVVSGLDNLANPSYKISCEE >ORUFI08G14840.2 pep chromosome:OR_W1943:8:16084929:16089318:1 gene:ORUFI08G14840 transcript:ORUFI08G14840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAFPTCCCCRRPSLRPSAGRRGRRPVARCALPSSEKNSFSWKEYAISVALSAGLITGAPTLGWPAHASPLEPVIPDVSVLISGPPIKDPGALLRYALPIDNKAVREVQKPLEDITDSLKIAGVRALDSVERNVRQASRALSNGRNLILGGLAESKRANGEELLDKLAVGLDELQRIVEDRNRDAVAPKQKELLQYVGTVEEDMVDGFPYEVPEEYSSMPLLKGRATVDMKVKIKDNPNLEDCVFRIVLDGYNAPVTAGNFLDLVERKFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTGKVRTIPLELMVDGDKAPVYGETLEELGRYKAQTKLPFNAFGTMAMARDEFDDNSASSQIFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADLKVGDVIESIQVVSGLDNLANPSYKIVG >ORUFI08G14850.1 pep chromosome:OR_W1943:8:16091376:16091831:1 gene:ORUFI08G14850 transcript:ORUFI08G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLFPTMPLSLQMLKRKKQQQLVVWQTRWDERLLDSAGDSMGRTFLSMVLIVQELQSFALQQMREAMLGDNQQGVLARVHGEMHASFVWLFQDIFAGTLALMVSPMLLLANFIVHSMGQCRRRSSHPSRSAFHRGCRGGRHPTHRPIPVG >ORUFI08G14860.1 pep chromosome:OR_W1943:8:16093242:16095537:1 gene:ORUFI08G14860 transcript:ORUFI08G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPKCPTERKKADHLQLIPCGAPAGSCGGVLQDNNTYKKRQRGQQQMDFELKTYHRKRQRRRGHQQTVELPDEIVREVLIWLPVKSLARFKSVCKAWLSIISESCFIREHLQCSKLKRYWNPSSFLITPHIPLKPGDSIFAAFSTDIRFYQWSLQEDTRAAATLLYRRHFPAGEFEPVLPMAHCDGLVLLPTKTKTYVFNPATRDVLALPESNRNMRQRDNLGFDASTGKYKVARSFYRSREYNPMGIAAMGFEVFTINGEESCWRETLVDPPYPVLYSKIVTHCKGCLFYYIDKKNQQNPPQALLRFSLQDETFGVTPLLTDTYPQVEDDEVTITELGGQLCATFFCNTLQQVCIYMSGDVMDPDWNCWHIINFMNCYPIASLGSGRILLRRGSLVFCTNLKSYSIEDKLDIDDIRYLGPNEDNLGHAWEDLCWYDILPYTESLVPIIPRATLQAL >ORUFI08G14870.1 pep chromosome:OR_W1943:8:16156871:16161460:1 gene:ORUFI08G14870 transcript:ORUFI08G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMDIVGKSKEDVSLPKSTMFKIIKEMLPPDVRVARDAQDLLVECCVEFINLLSSESNEVCSREDKKTIAPEHVLRALQDLGFREYIEEVQAAYEHHKHDTLDSPKASKFTGVEMTEEQAVAEQQRMFAEARARMNNGAAKPKEPEPEAQQQTQQPPQPQLHPQPQQPLQPQLQLHPQPQQQPSQLHPQQLLHPQSQQTPQPQPQVHPQPQQPPQLQPQPQLLQQPQLPQQLQPQSQLPPQPQQPPQLQPQPQLHLQSQLHPQPQQPPQLQPQPQLHQQPQPQAELQSQSQPQTEHGLDSS >ORUFI08G14880.1 pep chromosome:OR_W1943:8:16162698:16165058:-1 gene:ORUFI08G14880 transcript:ORUFI08G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGEFFSVAECDGQKTIDGEQVPLVLTPASSGSGERGGEALAAALRARREWVEAKVVSNSAVLLRGFGVRDAAEFDAVVGALGWPDIRYVGPAPRTHVHGRVWTANEGPLDEFIYYHHEMVLIKEFPGKVILFCEVAPPEGGETPFVPSFRVTERVMEEFPEMVEELDEKGLRYTFTALSKNDTKSMRGRGWEDAFATTDKAEAEKRKLTRVFPGRQGRRMWFNTVVGMHGKALSSATMADGAEIPTAFVARCGEIIEEESIQFRWEVGDVLILDNLATLHGRRPSLPPRRVLVATCK >ORUFI08G14890.1 pep chromosome:OR_W1943:8:16168056:16175057:1 gene:ORUFI08G14890 transcript:ORUFI08G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAARFPVFGLVRLLGLAAAAAIVVWAVHFRGGMALSSETDKLLIFNVHPVLMLIGLVVLNGEAILAYKTVPGTKKLKKLVHLALQFLAMLLSLIGLWTVWKFHDERQIDHLYTLHSWLGLSCIIFFSLQWATGFYTFWYPGGSRSGRASLLPWHVFFGLFLYVLAIATSVSGLLEKSIFMQSAKMIGRFSTEAMFMNSLGMLLVLLGALVILAVVTPGVGKIDTYRGSSE >ORUFI08G14900.1 pep chromosome:OR_W1943:8:16172886:16177510:-1 gene:ORUFI08G14900 transcript:ORUFI08G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAEPLAVAVAHTATAGTDHSPAPLPPPPPHCNYKSKLQEYLQQANKQLPIYCTKCKGEHHQLKFKSTVMVDGEEFSSTFCHRRVKDAEQDAAKVAYDTLLERKETETDDTDVFELIDQDVVFSKSILHEYTTKTKTDQPEYSVTKTEGSVTPYVSSVSFAGHTYTGGAARNKKDAEQKAARAAVKSLLATNYTSMAKIVRSKEKLIRAISPSGYNKGIDSNPTNKKLPFAPIKFTPPSIFKLYDGEIDMLSVPQALFAPLVAAEEPKVRPAAEPASNPSEQAVHVSKKHKDNKVRGPEVKEERVAQSLDSIFLCWRLTGFGDNMVLCR >ORUFI08G14910.1 pep chromosome:OR_W1943:8:16193917:16194143:1 gene:ORUFI08G14910 transcript:ORUFI08G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHVTMPIACIEDVLRWCSKHVLNDLLRNGFDENYKRWVYHGEDDSDEDDVAEGNNNVAVPNMISNITSGY >ORUFI08G14920.1 pep chromosome:OR_W1943:8:16197819:16206149:-1 gene:ORUFI08G14920 transcript:ORUFI08G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGEAAFGVASLRLRGSMASASSRRAPSYRDYDVFSIASSSRAEAEDDEEALKWAALEKLPTHARVRKGIVAAADDGQGSGAAGEVVDVAGLGFQERKHLLERLVRVAEEDHESFLLKLKQRIDRVGLDFPTIEVRYEHLSIDALAHVGSRGLPTFLNTTLNSLEVKNLDPQNPLISDDFWANFSLNLLFFDPHLDVQSLANLLHVVPNKKRPLNILNDVHGVIKPRRMTLLLGPPGSGKTTLLLALAGKLGSDLKVSGKVTYNGYGMDEFVAQRSAAYISQHDLHIPEMTVRETLAFSARCQGVGTRYDMLTELARREKAANIKPDPDLDVYMKAISVGGQETNIITDYVLKILGLDICADTIVGNEMLRGISGGQRKRVTTGEMIVGPARAMFMDEISTGLDSSTTFQIVKSLGQITSILGGTTVISLLQPAPETYNLFDDIILLSDGHIVYQGPREHVLEFFESMGFKCPDRKGVADFLQEVTSRKDQQQYWARTHQPYCYIPVQEFARAFQSFHVGQTLSDELSHPFDKSTSHPASLTTSTYGASKLELLRTCIARELLLMKRNMFVYRFRAFQLLVITIIVMTLFLRTNMHHETRTDGIVYLGALFFAMVAHMFNGFSELAMATIKLPVFFKQRDYLFFPSWAYTIPTWILKIPISCFEVAITVFLSYYVIGFDPNVGRLFKQYLLLLLVNQMAAALFRFIAALGRTMVVANTLASFALLVLLVLSGFILSHHDVKKWWIWGYWISPLQYAMNAIAVNEFLGHKWNRLVQGTNTTLGIEVLKSRGMFTEAKWYWIGVGALFGYVIVFNILFTIALGYLKPSGKAQQILSEEALKEKHANITGETINDPRNSASSGQTTNTRRNAAPGEASENRRGMVLPFAPLAVAFNNIRYSVDMPPEMKAQGVDQDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARVSGYCEQNDIHSPNVTVYESLAYSAWLRLPSDVDSETRKMFIEQVMELVELNPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSCDLIEYFEGVEGVSKIKPGYNPATWMLEVTTLAQEDVLGISFTDVYKNSDLYQHEVLTKSLDSYGIVAHCRRNQSLIKGISRPPQGSKDLFFPTQFSQSFSTQCMACLWKQNLSYWRNPPYTVVRFFFSLIVALMFGTIFWRLGSKRSRQQELFNAMGSMYAAVLFMGISYSSSVQPVVAVERTVFYRERAAGMYSALPYAFGQVVVELPYVLVQSAVYGVIVYAMIGFEWEAKKFFWYLYFMYFTLLYFTFYGMLAVGLTPSYNIASIVSSFFYGIWNLFSGFVIPRPSMPVWWRWYSWACPVSWTLYGLVASQFGDLKEPLRDTGVPIDVFLREYFGFKHDFLGVVAVAVAGFATLFAVSFSLSIKMLNFQRR >ORUFI08G14930.1 pep chromosome:OR_W1943:8:16211325:16212052:-1 gene:ORUFI08G14930 transcript:ORUFI08G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRGCLDPVTVAVVEKAHAEGAVAADGREVVEEKWQSKSLNKPMPLSHLFFYFFHHNSHTAKSSSQKEER >ORUFI08G14940.1 pep chromosome:OR_W1943:8:16212181:16212363:-1 gene:ORUFI08G14940 transcript:ORUFI08G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLAVSRRIRTPGGTGSGHHAVAAPQEGPINAELMYNAWGDEVNEDGAGVRADAEDG >ORUFI08G14950.1 pep chromosome:OR_W1943:8:16225396:16229838:1 gene:ORUFI08G14950 transcript:ORUFI08G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDRNEEEMVTNDSDPLLKRENEEAESSSQLTPPKPATLSALEIEDEETDGSSAGCCRICLETDSELGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETWEDNSWRKMKFRIFVARDVILVFLAVQLTIAMIGAISYFLDRDGSFRNSFSDGWDRFLSKHPIPFYYCIGVVVFFVLLGFFGLILHCSSFNDNQDPCLAGCRNCCYGWGVLDCLPASLEACFALVVVFVVVFAILGIAYGFLAATMAEYVVEDLHGSYTPPKLDPEHEERLKMLKLL >ORUFI08G14960.1 pep chromosome:OR_W1943:8:16230038:16230316:-1 gene:ORUFI08G14960 transcript:ORUFI08G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAQPKGAFTATTYRSAAAAATGGEEESRHPPPPPARTELRSTEDERGLPVKRLEDKVDDAAGKGGPVFGAGEDDGKPDLGVTGTGGG >ORUFI08G14970.1 pep chromosome:OR_W1943:8:16238336:16240038:1 gene:ORUFI08G14970 transcript:ORUFI08G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRAELKISEMYLLVLYVQFAMPMPMPVPQFAMQGFKALYGHMQGFKVLYGCGYKYCATNVCFSIGQV >ORUFI08G14980.1 pep chromosome:OR_W1943:8:16247615:16247800:1 gene:ORUFI08G14980 transcript:ORUFI08G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVACGKTSSPAALDTVPYWHYGEGRGWVKPVRPQPLTPYLIGTMAKGVGGRNLFARSP >ORUFI08G14990.1 pep chromosome:OR_W1943:8:16251761:16252689:1 gene:ORUFI08G14990 transcript:ORUFI08G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGFSNSIYLHGLPLGLWEYMLFFSSATMPNISDCQSMVQGTILITMALNRSKATLTRIQA >ORUFI08G15000.1 pep chromosome:OR_W1943:8:16256514:16262948:1 gene:ORUFI08G15000 transcript:ORUFI08G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLSRNTHHAHGAPKSPNPPPHPSSSPRRRRRRRSSPSIPRPDPPPPASSTAPVCRRRRRRAPTPHMSQSKEVRYTARSITPPADRNGTSKSKSPTPVRRSTSRSPPPKKSDSRSPPPRRRSTSRSPRPRRHGRSRSRSRDDDLRNPGNNLYVTGLSTRVTEEDLEKFFSKEGKVQSCHVVLDPRTKESRGFAFVTMDSVDDARRCIKYLHRTVLEGRLVTVEKNSGENSYTWKILWPQRLSKKFQEPITLPVSQKGAISLKRSSEGPLTLQGSPQGPLSLEGSPQGPLALQGSSQGPFALKGSSGQLST >ORUFI08G15010.1 pep chromosome:OR_W1943:8:16286992:16289130:1 gene:ORUFI08G15010 transcript:ORUFI08G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVDGSGAQLVVAELVRVQGLLRQLEAHLSAPCSVELCRGLVAQIVALTDRSIGIATRSFSSASGGGAHFADTAPPMPALTSCTPSPLSDGSDHQPFRTTNAKKRKTTARWTSQVRVSAAGGAEGPADDGHSWRKYGQKDILGAKHPRGYYRCTHRNTQGCTATKQVQRTDDDASLFDVVYHGEHTCRPGAASAAAAKRPHAQTLLQSLSASLTVNTDTNTPLTPENRAPAPPLQQQQQQQSVSASPVASDSYGLGGAGYGDWRCCDGDLQEVVSALATVTSAPDHAAMDAADFMSYCFDFDPAVYGGIVGTPSFFL >ORUFI08G15020.1 pep chromosome:OR_W1943:8:16289652:16290591:-1 gene:ORUFI08G15020 transcript:ORUFI08G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIVILSLVVLLVLGALFVLPKSRNKGKAKEASSNAKSVVYDVTSYVEEHPGGDAILNNAGGDSTEGFFGLCQGFYGVE >ORUFI08G15030.1 pep chromosome:OR_W1943:8:16291519:16291776:-1 gene:ORUFI08G15030 transcript:ORUFI08G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAGKGLASPRLLRRLAADPVSGMGKRARHGGFARSHSPYFESQLRRLITDAGLSASSAEDVAIALRSRFPEFRRYKLDPFA >ORUFI08G15040.1 pep chromosome:OR_W1943:8:16306849:16313729:1 gene:ORUFI08G15040 transcript:ORUFI08G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIREGGPRRGAASAARSPMSAMMLAMFATMASFYVAGRLWQDAQSRVYLIKELDRRTGQGHSTISVDDTLKVVACRQQGKRLASLEMELAAAKHEGFVGKYTYETNGTNSRKRPLIVIGIMTSFGRKNYRDAVRKSWLPTGSMLKKLEEEKGIVVRFIVGRSVNRGDASDREIDEENRSTKDFMILDDHTESEEESPKKTKSFFANAAESFDAEFYAKVNDDIYINVDTLSAMLKEHWDKPRVYIGCMKSGEVFSESYFRHASGEMFVISKAVAQFISINRSVLRTYAHDDVSVGSWLIGLAVKHVNEAKLCCSSWPSGALCSAL >ORUFI08G15040.2 pep chromosome:OR_W1943:8:16306849:16310922:1 gene:ORUFI08G15040 transcript:ORUFI08G15040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIREGGPRRGAASAARSPMSAMMLAMFATMASFYVAGRLWQDAQSRVYLIKELDRRTGQGHSTISVDDTLKVVACRQQGKRLASLEMELAAAKHEGFVGKYTYETNGTNSRKRPLIVIGIMTSFGRKNYRDAVRKSWLPTGSMLKKLEEEKGIVVRFIVGRSVNRGDASDREIDEENRSTKDFMILDDHTESEEESPKKTKSFFANAAESFDAEFYAKVNDDIYINVDTLSAMLKEHWDKPRVYIGCMKSGEVFSESYFRHASGEMFVISKAVAQFISINRSVLRTYAHDDVSVGSWLIGLAVKHVNEAKLCCSSWPSGNYASL >ORUFI08G15050.1 pep chromosome:OR_W1943:8:16316157:16321671:1 gene:ORUFI08G15050 transcript:ORUFI08G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCSRGGGGLPAWMTAAAARVDLSSAGGGGGIPGSGASSSSSSSSQPGYSGPHQQAGVAGAAADQELGMAERALSAAGAAFVSAIIVNPLDVAKTRLQAQAAGVPYYQPSQMASLGPDAILSDFRCSPSCTRGVILGSEPICPPDCFQYKGTLDVFLKVVRQEGFGRLWRGTNAGLALAVPTVGIYLPCYDLFRNWIEDFTQSNAPGLTPYAPLVAGSVARSLACIACSPIELARTRMQAYKEFRPGVKPPGMWKTLLGVVSPLASSTQNAQNYRALWTGVGAQLARDVPFSAICWSTLEPIRRKLLGIVGEEGDAASVLGANFAAGFVAGSLAAGATCPLDVAKTRRQIEKDTQKAMRMTTRQTLADIWSSGGMKGLFTGVGPRVARAGPSVGIVISFYEVVKYALHQRHIS >ORUFI08G15050.2 pep chromosome:OR_W1943:8:16316157:16321860:1 gene:ORUFI08G15050 transcript:ORUFI08G15050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCSRGGGGLPAWMTAAAARVDLSSAGGGGGIPGSGASSSSSSSSQPGYSGPHQQAGVAGAAADQELGMAERALSAAGAAFVSAIIVNPLDVAKTRLQAQAAGVPYYQPSQMASLGPDAILSDFRCSPSCTRGVILGSEPICPPDCFQYKGTLDVFLKVVRQEGFGRLWRGTNAGLALAVPTVGIYLPCYDLFRNWIEDFTQSNAPGLTPYAPLVAGSVARSLACIACSPIELARTRMQAYKEFRPGVKPPGMWKTLLGVVSPLASSTQNAQNYRALWTGVGAQLARDVPFSAICWSTLEPIRRKLLGIVGEEGDAASVLGANFAAGFVAGSLAAGATCPLDVAKTRRQIEKDTQKAMRMTTRQTLADIWSSGGMKGLFTGVGPRVARAGPSVGIVISFYEVVKYALHQRHIS >ORUFI08G15060.1 pep chromosome:OR_W1943:8:16327922:16333633:1 gene:ORUFI08G15060 transcript:ORUFI08G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSIATYRESLSRLAGEVDDAAADEAEPQASAASSPPARGAADRSHTTPPSSGRRRRYSASASSAAAARPDPAEPNEVSKLKEDIQKLQVSEAEIKALSFNYVAMLKEKEEQLGKLREENGSLKRSLESSKAVSANSNGALERSPRGVQRNTVQDNPLNVSKQNGYGGGASQGIQPNGLHSMTGHRKADISEGDRSFFAAKQASLENEIKQLKKQLSDNSEKETETKRRLEDEHKRNELLQQQLNELNVSKERISTNMEELHNELSEKEAKLRRLQEDLSRREKEHVSDASLQSLRSMVMALQKENSDLKIEKSRLEADLVRKKSTSQINEVGTSDVNGISDVEKVKEEMASLKKSLHDASYERDKAVQDLARLKQHLLDKDLEDQEKMDEDSKLIEELRAICDQQRAHIVQLERALKFEMAKQEESKKIISEEHQRSNEQITDLKYKLANCMNALESKNLELLNLQTALGQYYAESEAKERLGGDLAMAREELAKLSESLKVANQAIEISRREKEEVAARLSQVEGMLADGKRSMQKLENDNSRLRRALEQSMTTLNRMSLDSDNSVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEDKQRIGFAQSNAGKGVVRGVLGLPGRLVGGIVGGNSAGKPTQASQDNQSFADLWVDFLLKETEEREKREASETARLSQEENQTASTSNASSAQPSGHISNQAPGPSTSHHMFGRQDTEFATVPLTSSTYTSTQTPFSRPPQR >ORUFI08G15070.1 pep chromosome:OR_W1943:8:16337143:16338312:-1 gene:ORUFI08G15070 transcript:ORUFI08G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAAADPAARASRPQQEAAAGEQGRRWGAGEQEVISVEELAELESIIGREKKRSGEQPNSERCFAHRTSSRGPPEGWGGRKVGERRGGGVSGRRKVWRAPDLTLPSPPPA >ORUFI08G15080.1 pep chromosome:OR_W1943:8:16343216:16343754:-1 gene:ORUFI08G15080 transcript:ORUFI08G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDPSCSVVTHNCRVTLFCSTQISQLTSRYRTTSFISIQGADVDPDGYAKAAGNLKAQGKT >ORUFI08G15090.1 pep chromosome:OR_W1943:8:16346123:16348210:1 gene:ORUFI08G15090 transcript:ORUFI08G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGTQVGWQTGRWGDDLDDGDTCGLGAIAIFSPGLDNMSVLLAVRPAGEEGFKLWTKVHRGERSQVVVPSGGTEDFRKGITVQWGSLVICLFYFFFFSASLIREKGEKRASGAPALRWSGGGNAEKVVVAAYPKVEGEGKGKNGITWARRSWWRKGLACEASVREIGRR >ORUFI08G15090.2 pep chromosome:OR_W1943:8:16346344:16346712:1 gene:ORUFI08G15090 transcript:ORUFI08G15090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSSGRGRWIGYVAMSTDEMTWRLGRCESVRLNPCDLHPNVKVDSWFLSLYTSAVGASRADPSSAATGGVDLATSSPPAWIPSSLRSPLVRIRHPRRREGESSDLCRLSTLRSC >ORUFI08G15100.1 pep chromosome:OR_W1943:8:16372022:16379385:1 gene:ORUFI08G15100 transcript:ORUFI08G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKWHHVAAALAVACAATAAATVAAAADRGLWSAAAAAVAEEGEEASHLRKVANFLWRSGGENSYHHVWPPMEFGWQIVLGSFVGFIGAAFGSIGGVGGGGFFVPMLTLIIGFDAKSSVAISKCMIMGAAVSTVYCNLKRKHPTLDMPVIDYDLALLIQPMLMLGISIGVIFNVIFPDWLVTVLLIILFLGTSTKAFLKGIETWKKETIIKREAEKRSEQTSEELEYRPVPASESKPPSDEAVSILHNVYWKEFGLLAFVWIAFLALQVTKNYMPTCSTWYWVLNLLQIPVSVGVTMYEGLGLMQGRRVISSNGNEQTNLKFHQLLMYCFFGITAGIVAGLLGVGGGSILGPMFLDLGVPPQRMVRKVINWLGRASIIIFTLSIMIFLSTIPLGGIGIVNWIGKIERHEYMGFEDICKFDA >ORUFI08G15110.1 pep chromosome:OR_W1943:8:16385490:16389676:1 gene:ORUFI08G15110 transcript:ORUFI08G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMVATMVLLTCLAAGGLVVGAEEDGGGGGLGRLGSPDYGDALAKAILFFEGQRSGRLPANQRATWRGDSALTDGREENVNLTGGYYDAGDNVKFGYPMAFTVTLLGWSAVEYGAAVAAAGELGNLRAAIRWGADFLLRAHASPTTLYTQVGDGNADHQCWERPEDMDTPRTLYKITADSPGSEAAAEASAALAAAYVALKDDGDTAFSSRLLAASRSLFDFANNYRGSFQSSCPFYCSYSGFQDELLWASAWLFKATRDAKYLNFLTNNQGSSNPVNEFSWDNKYAGAQMLAAQEYLGGRTQLARYKDNLDSFVCALMPNSGNVQIRTTPGGLLFTRDSVNLQYTTTATLILSIYSKVLKSSGSRGVRCSAATFSPNQISSFATSQVDYILGKNPLGMSYMVGFSTKFPRRIHHRGSSIPSIKVLSRKVTCKEGFSSWLPTSDPNPNIHVGAIVGGPDGNDQFSDNRGDSSHSEPATYINAAFVGACAAAMGQKQVVKLEEPADNLESMVSTY >ORUFI08G15120.1 pep chromosome:OR_W1943:8:16388077:16392128:-1 gene:ORUFI08G15120 transcript:ORUFI08G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAAPSSTSLVASFPSRARRRGLPGRRGVVVAAAGAGPGAGAAERFAASSSSITDYLRYRRPGSAGGGGGTGVCGGELQTAVVRYEKRLPWSLIHPFLHVDLVSTVHIADKEYFDRLQQALQDYDCVLYEMVTSRENLNNRKDPTFAKKLKSSRRGFSILGFIQKQMANILSLDYQLDCLDYGSEKWQHADLDFETFKQLQSERGESLFTFAVDMTLKSTKALVQPTLPDGLDFWRSKLLWASRVLPMPLVGLFLISGLCLPIDDQGGYPELEALSRLDFGAALKIFLAKQLTSDFTSMTSPIEEKSVIIGERNRVATEKIQEAINHGYKRIAVLYGGGHMPDLGRRLREELNMVPADVQWVTAWSIRSRELDRESLPFLKTMAEVSGWPLNRYETLALLIFSSVLAVDLWFWELLVGTAVNWASLAGSWIEQFNGSSSFTTCFCPIAAAQAPTKAALIVGAVEGAGGLE >ORUFI08G15120.2 pep chromosome:OR_W1943:8:16388153:16392128:-1 gene:ORUFI08G15120 transcript:ORUFI08G15120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAAPSSTSLVASFPSRARRRGLPGRRGVVVAAAGAGPGAGAAERFAASSSSITDYLRYRRPGSAGGGGGTGVCGGELQTAVVRYEKRLPWSLIHPFLHVDLVSTVHIADKEYFDRLQQALQDYDCVLYEMVTSRENLNNRKDPTFAKKLKSSRRGFSILGFIQKQMANILSLDYQLDCLDYGSEKWQHADLDFETFKQLQSERGESLFTFAVDMTLKSTKALVQPTLPDGLDFWRSKLLWASRVLPMPLVGLFLISGLCLPIDDQGGYPELEALSRLDFGAALKIFLAKQLTSDFTSMTSPIEEKSVIIGERNRVATEKIQEAINHGYKRIAVLYGGGHMPDLGRRLREELNMVPADVQWVTAWSIRSRELDRESLPFLKTMAEVSGWPLNRYETLALLIFSSVLAVDLWFWELLVGTAVNWASLAGSWIEQFNDRLVQEL >ORUFI08G15130.1 pep chromosome:OR_W1943:8:16407904:16411158:-1 gene:ORUFI08G15130 transcript:ORUFI08G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWENLMSVLPRNNKKSRVITTTRMSNVASSRSPRSNGHIYEMESLNDADSKELFSKIIFDHKGKCPKALDEIADEILKKCGGIPLAIITLASLLAKKPKTRKEWKRVKSSIGNACELEGMRQTLSLSFYDLSYDLRNCFLSFSSFPEDYEIDRERLVLRWIAEGFISGKEQQELMEEEGNNYFNELVNRSLIQPIDIQYNGSARACRVHDLMLELIVSLSKEENFITTLNGPECLPMPSKIRWLSLHSNENEVMQVVTNNRRHVRSVSFFPPVAQLPPFVEFQAMRVFDVKGCQFGEHKKMKNMESLIQLKYLNLAYTNVTELPKEIGEVHFLETLDVRNCQIQSLPPSLCQLQKLVRLFVSLGVTLPDKIGKMQALEELSHVAILCNSLNFVKALGELTKLRVFRVDCRYSWLNGKKQAPRQSVSTHEDVLLSSLQNLFKKKNLQSLEIDLTNGSSLVFSLMNPYCPLPQLEELVISNSISRVPRSMRSLKDVIHLEIKLDRMEEEDLHIIRDMSHSLLFLKIHLEITPEERLIIDSEGFECLKQFEFCCVGMGLKFVQGAMPDLEKLDLDIGVRKTMSKYGGFDFGIKNLEALKHVGVKLDCQYAENREIQSAEATIRSGVLPRRNSLDSILGPIVKV >ORUFI08G15140.1 pep chromosome:OR_W1943:8:16418301:16431458:1 gene:ORUFI08G15140 transcript:ORUFI08G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPQRGSHLQNGPLSDLDSQRLFFRRIFGSDDGCPTQLKRISMDILKRCRGLPFAILTLASILADVPMLAEKWKQVHNSIGLATPGENMNRILSLSFHDLPNHLKTCLLYLSIFPEDYVIEREQLVWRWIAEGFIPETRGIPLEGVGSACFNELINRSMIQPADVQYDGTVQSCRVHDMILELIVSISTKINFVAVLHKDQDETRFTDYKTKVRRLAHQSNCIEKLLERMSRDDLSHIRSIISFDYVKGIPHLGDFQALRVLDLGSCRSIENHHIENLEMLYQLKYLDLSRTSISELPMQIDNLRYLETLDLRGCAIEKLPASTVRLQNMQRLLVNRSVKFPDEIGHMQALQTLLFVSMSCNSIKFVEELSKLIKMRVLNITFSKPVDMVDEVRKYTDSLVSSLNELFNLESLKIDPEEGCSLDSLMGVYLTFGCLKKLVIGYISRIPKWINPSMYNLVHLELKVDIVREEDLHSLELLPCLLYLQLEMTDGSSKMLTVGSEGFRCLRESQFIWKNDGMGLVFLEVPCQSFKHCIFTSELTKQ >ORUFI08G15140.2 pep chromosome:OR_W1943:8:16418418:16431458:1 gene:ORUFI08G15140 transcript:ORUFI08G15140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPQRGSHLQNGPLSDLDSQRLFFRRIFGSDDGCPTQLKRISMDILKRCRGLPFAILTLASILADVPMLAEKWKQVHNSIGLATPGENMNRILSLSFHDLPNHLKTCLLYLSIFPEDYVIEREQLVWRWIAEGFIPETRGIPLEGVGSACFNELINRSMIQPADVQYDGTVQSCRVHDMILELIVSISTKINFVAVLHKDQDETRFTDYKTKVRRLAHQSNCIEKLLERMSRDDLSHIRSIISFDYVKGIPHLGDFQALRVLDLGSCRSIENHHIENLEMLYQLKYLDLSRTSISELPMQIDNLRYLETLDLRGCAIEKLPASTVRLQNMQRLLVNRSVKFPDEIGHMQALQTLLFVSMSCNSIKFVEELSKLIKMRVLNITFSKPVDMVDEVRKYTDSLVSSLNELFNLESLKIDPEEGCSLDSLMGVYLTFGCLKKLVIGYISRIPKWINPSMYNLVHLELKVDIVREEDLHSLELLPCLLYLQLEMTDGSSKMLTVGSEGFRCLRESQFIWKNDGMGLVFLEVPCQSFKHCIFTSELTKQ >ORUFI08G15140.3 pep chromosome:OR_W1943:8:16418274:16431458:1 gene:ORUFI08G15140 transcript:ORUFI08G15140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPQRGSHLQNGPLSDLDSQRLFFRRIFGSDDGCPTQLKRISMDILKRCRGLPFAILTLASILADVPMLAEKWKQVHNSIGLATPGENMNRILSLSFHDLPNHLKTCLLYLSIFPEDYVIEREQLVWRWIAEGFIPETRGIPLEGVGSACFNELINRSMIQPADVQYDGTVQSCRVHDMILELIVSISTKINFVAVLHKDQDETRFTDYKTKVRRLAHQSNCIEKLLERMSRDDLSHIRSIISFDYVKGIPHLGDFQALRVLDLGSCRSIENHHIENLEMLYQLKYLDLSRTSISELPMQIDNLRYLETLDLRGCAIEKLPASTVRLQNMQRLLVNRSVKFPDEIGHMQALQTLLFVSMSCNSIKFVEELSKLIKMRVLNITFSKPVDMVDEVRKYTDSLVSSLNELFNLESLKIDPEEGCSLDSLMGVYLTFGCLKKLVIGYISRIPKWINPSMYNLVHLELKVDIVREEDLHSLELLPCLLYLQLEMTDGSSKMLTVGSEGFRCLRESQFIWKNDGMGLVFLEVPCQSFKHCIFTSELTKQ >ORUFI08G15140.4 pep chromosome:OR_W1943:8:16427801:16429685:1 gene:ORUFI08G15140 transcript:ORUFI08G15140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDILKRCRGLPFAILTLASILADVPMLAEKWKQVHNSIGLATPGENMNRILSLSFHDLPNHLKTCLLYLSIFPEDYVIEREQLVWRWIAEGFIPETRGIPLEGVGSACFNELINRSMIQPADVQYDGTVQSCRVHDMILELIVSISTKINFVAVLHKDQDETRFTDYKTKVRRLAHQSNCIEKLLERMSRDDLSHIRSIISFDYVKGIPHLGDFQALRVLDLGSCRSIENHHIENLEMLYQLKYLDLSRTSISELPMQIDNLRYLETLDLRGCAIEKLPASTVRLQNMQRLLVNRSVKFPDEIGHMQALQTLLFVSMSCNSIKFVEELSKLIKMRVLNITFSKPVDMVDEVRKYTDSLVSSLNELFNLESLKIDPEEGCSLDSLMGVYLTFGCLKKLVIGYISRIPKWINPSMYNLVHLELKVDIVREEDLHSLELLPCLLYLQLEMTDGSSKMLTVGSEGFRCLRESQFIWKNDGMGLVGAMPELQTLHLYFRTHETIANYSGVDGNGIENLSRLKKLCVDVDCSGSTREEVEAAEDSIRKAADSYRTKLTLEIQRWCEACMIEEEAHDGNAEFPSRSVNRRANPVRVAFRCHFVDPRKHVSMNLFQFFNYIYVLLIGIMACI >ORUFI08G15150.1 pep chromosome:OR_W1943:8:16443557:16451708:1 gene:ORUFI08G15150 transcript:ORUFI08G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRAAAAADLVRHHRRVPHASLILHPALLPPPPPPSMESFPDGPSTPGPVARNDIFAATLCHRHRHRRWPRPLIPISSSSYDGSGARLPATVSLYLHLSSTSSGAGGDSTPPHQSPPAPPPPSPWVDRWVPLAARPYAMLARIDKPIGTWLLAWPSFWSIAMAAMPGELPDMRMLALFACGSVLIRGAGCTINDLLDRDIDRKVERTKSRPLASGILTPTQGVGFLGFQLLLGLPILLQLNNLSQILGVFSLLLVFSYPLMKRFTYWPQAYLGLVFNWGALIGWAAMKGTIDPAIILPLYTAGICWTLVYDTIYAHQDKEDDVKVGVKSTALRFGDSTKPWISGFGAACIANLALSGYNADLAWPYYPFLAAASAHLAWQVSTVDLSDRLDCNRKFVSNKWFGALIFGGILCGRLVS >ORUFI08G15160.1 pep chromosome:OR_W1943:8:16458957:16461716:1 gene:ORUFI08G15160 transcript:ORUFI08G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRAATADLLRRHLRVPLASLLHPALLPPPQSTESSCPDDPSTPGPVARRGDLFAAPLRRWPRPLIPVSSSSSVDSTGVRLYSHLSGAGSAGGDSTPPPQQSPPAKPPPPSWVDRWVPLAARPYVMLARIDKPYGTWLLAWPCFWSITMAAMPGELPDMRMLALFAYGSVLIRGVGCTINDLLDRDIDRKSV >ORUFI08G15170.1 pep chromosome:OR_W1943:8:16464148:16464653:-1 gene:ORUFI08G15170 transcript:ORUFI08G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLALDGAQATYLHQRQTGVAQTSDLCMVPLLPPRRRCKTSLRSQLQCGRHLALLWTR >ORUFI08G15180.1 pep chromosome:OR_W1943:8:16477244:16480025:1 gene:ORUFI08G15180 transcript:ORUFI08G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTLLLIDRTKSRPLASGILTPTQGVGFLGFQLLLGLPFLHQLNNLSQILVVFSLPLVFSYPLMKRFTYWAQAYLGLVANWGALIGWAAMKGTIDPAIILPMYTAGTCWILDKEDDLKIGVKSTAIRFGDSTKPWISGFGAACIANLALSGYNADLAASAHLAWQISTVDLSDPLDCNRRFVSNKWFGALIFGGILCGRLVS >ORUFI08G15190.1 pep chromosome:OR_W1943:8:16494560:16494889:1 gene:ORUFI08G15190 transcript:ORUFI08G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPVAVEAGTARGGTTGGDGGWLGAVRCSRRWRRPAQRDEARPAVTEAGIVRGGGAAGGCGVDYGARRLRRTKASRQRAPVQWSHMSAEVGRWWSIGAPAVDSQVVSGG >ORUFI08G15200.1 pep chromosome:OR_W1943:8:16501094:16501549:1 gene:ORUFI08G15200 transcript:ORUFI08G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGMMEKTAAVMLEAGVRRFQGLSMAALLAEMWAPLAVLILRLRSRGKEVISSHISTYYSSGDDSDSNITEEEEEGSEASSFGEEEKGRWRERRIGYYEGAADNGCFPWGGTVVRTWQDLPHRISGGARLLAP >ORUFI08G15210.1 pep chromosome:OR_W1943:8:16505199:16510452:1 gene:ORUFI08G15210 transcript:ORUFI08G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRWHALAAVGVACAAAAAAVAAGDRGFTFADAVAAPEEVGYMRKVVNFLWSGEASYHHVWPPMEFGWKIVLGILIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDAKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVLFNVIFPDWLITVLLIILFLGTSTKAFLKGVETWKKETIIKREAAKRLEQITEEPEYSPLPTGPNATAESKAPSDEAASLWQNVYWKEFGLLAFVWIAFLVLQVTKNYMPTCSTWYWVLNFLQIPVSVGVTMYEGLGLMQGRRVISSKGDEQTNLKFHQLLVYCFFGMMAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLDRFPVPYALFFTTVAFFAAIIGQHIVRKLINWLGRASLIIFILSFMIFISAISLGGVGISNMIGKIARHEYMGFDNICNYDV >ORUFI08G15210.2 pep chromosome:OR_W1943:8:16505200:16510452:1 gene:ORUFI08G15210 transcript:ORUFI08G15210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRWHALAAVGVACAAAAAAVAAGDRGFTFADAVAAPEEVGYMRKVVNFLWSGEASYHHVWPPMEFGWKIVLGILIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDAKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVLFNVIFPDWLITVLLIILFLGTSTKAFLKGVETWKKETIIKREAAKRLEQITEEPEYSPLPTGPNATAESKAPSDEAASLWQNVYWKEFGLLAFVWIAFLVLQVTKNYMPTCSTWYWVLNFLQIPVSVGVTMYEGLGLMQGRRVISSKGDEQTNLKFHQLLVYCFFGMMAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLDRFPVPYALFFTTVAFFAAIIGQHIVRKLINWLGRASLIIFILSFMIFISAISLGGVGISNMIGKIARHEYMGFDNICNYDV >ORUFI08G15210.3 pep chromosome:OR_W1943:8:16505209:16510452:1 gene:ORUFI08G15210 transcript:ORUFI08G15210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRWHALAAVGVACAAAAAAVAAGDRGFTFADAVAAPEEVGYMRKVVNFLWSGEASYHHVWPPMEFGWKIVLGILIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDAKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVLFNVIFPDWLITVLLIILFLGTSTKAFLKGVETWKKETIIKREAAKRLEQITEEPEYSPLPTGPNATAESKAPSDEAASLWQNVYWKEFGLLAFVWIAFLVLQVTKNYMPTCSTWYWVLNFLQIPVSVGVTMYEGLGLMQGRRVISSKGDEQTNLKFHQLLVYCFFGMMAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLDRFPVPYALFFTTVAFFAAIIGQHIVRKLINWLGRASLIIFILSFMIFISAISLGGVGISNMIGKIARHEYMGFDNICNYDV >ORUFI08G15220.1 pep chromosome:OR_W1943:8:16511571:16520003:1 gene:ORUFI08G15220 transcript:ORUFI08G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGAEATLSQVTAVDGEDNLFQDKESRATAKERGEAAVFGLENIVTANGATSAADLAPPKDVVDEWPEPKQTHTFFFVRIRSYEDPSLKAKLEQADKECQKKIQARSHIFEALRTKRSERSNIISELKPLAAENKQYNEVVSGKLKEIEPLQKSLGKFRSENNAMRAQGAGLCSSIEELDQLIKSLNDRISHESISLDEEKRLVKEIKQLNGTRSKVIENAAKRAKMQDTVVERGTIHDQVKQIGVGIDEVKRDRQAVRDKIKVLEDQIHAVDGEIAALQDDLTAATARKDKAFEALNELRKTRDLNNTSFHQYRTISNSVRDLSARGEVEAVQQLCQNEVEKFMAQWCSSKSFREDYEKRILVSLNSRQLSRDGRMRNPDEKPIVLETQVAPPAEQEPAPLKKPAKQAKEAPAPRADVTPKDEIRAKAPAKAAKAKQPLDIDDIPDVHDDEPPKEKTKPKVDEAKLKEMKRQEEIEKNKLALERKKKQAEKQAMKAAARAEKEAEKKLKEKEKKARKRSATAGGAESEEAAESDAKSDEAEAQEEEPAAPVTIKKNARHRSTVTKTKTPLPKAVLKRKKSQAFWSWGAPMAALAAALVALLGALVYYQYYYLPASTSN >ORUFI08G15220.2 pep chromosome:OR_W1943:8:16514839:16520003:1 gene:ORUFI08G15220 transcript:ORUFI08G15220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGAEATLSQVTAVDGEDNLFQDKESRATAKERGEAAVFGLENIVTANGATSAADLAPPKDVVDEWPEPKQTHTFFFVRIRSYEDPSLKAKLEQADKECQKKIQARSHIFEALRTKRSERSNIISELKPLAAENKQYNEVVSGKLKEIEPLQKSLGKFRSENNAMRAQGAGLCSSIEELDQLIKSLNDRISHESISLDEEKRLVKEIKQLNGTRSKVIENAAKRAKMQDTVVERGTIHDQVKQIGVGIDEVKRDRQAVRDKIKVLEDQIHAVDGEIAALQDDLTAATARKDKAFEALNELRKTRDLNNTSFHQYRTISNSVRDLSARGEVEAVQQLCQNEVEKFMAQWCSSKSFREDYEKRILVSLNSRQLSRDGRMRNPDEKPIVLETQVAPPAEQEPAPLKKPAKQAKEAPAPRADVTPKDEIRAKAPAKAAKAKQPLDIDDIPDVHDDEPPKEKTKPKVDEAKLKEMKRQEEIEKNKLALERKKKQAEKQAMKAAARAEKEAEKKLKEKEKKARKRSATAGGAESEEAAESDAKSDEAEAQEEEPAAPVTIKKNARHRSTVTKTKTPLPKAVLKRKKSQAFWSWGAPMAALAAALVALLGALVYYQYYYLPASTSN >ORUFI08G15230.1 pep chromosome:OR_W1943:8:16518835:16523984:-1 gene:ORUFI08G15230 transcript:ORUFI08G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFRDSTSSSRSSSDLAADADASASAATTAASSRTPPLPSPFPDLGVPLSAAELRATAYEVLVAASRATGAKPLTYITQSAASAASAAAPAPSLSSSIHRSLASTAAASSSKVKKALGLRRSSASSSSKRRGARRPATVAELVRVQLGVTEQADARIRRALLRIAAGQLGKHAESLVLPLEFLQQFKASDFLDPHEYEAWQLRYLKLLEAGLLFHPLVPLKKSDISALRLRQVIHGAYDKPVETEKNSKLLVELCSAARALAGRSLIETFDECHWADGFPLNLHIYQMLIEACFDSEDGAVVDEIDEVVEMLTKTWPILGINQMFHNLCFAWALFNHFVMSGQADIELLSGAGIQLTEVVKDAKTTKDPDYCDVLISTINSIMGWTEKRLLAYHETFSASNIDSMQGIVSIGVSTAKILAEDISHEYHRKRKQETDVVVHSKIETYIRSSLRTAFAQKMEEADSKRSSRHPVPVLSILAKAIGDLATKEKTVYSPVLKKWHPLATSVAVATLHSCFGNEIKQFIAGLTDLTPDAAQVLKAADKLEKDLVNIAVEDSVNIDDDGKLLIREMLPYEAENVMANLVKAWVKERVDRLKGWIDKNLQHETWNPKANGENFAPSSMKMMQIIDDTLQAFFQFPLTMHSTLHSDLATGLDRNIQYYVSKSKAGCGTQSTLIPQLPHLTRCDVGSKLFMKKEKPQVLMKRGSQVGSTTNGASVIPELCVRINTLYHVQTELESLEKKIKTYFRNVESIDRSTDELNIHFKLSQSACQEGIRQLCETFAYKVIYNDLSHVLLDSLYAGDTASNRVEPLLRELDPILRMVSGILHNGVRNRVITSLMKGSFDGFLLVLLAGGPTRAFTLQDSQMIENDFRALRSLYIANGRGLPEELVDKASSEVKNILPLLRTDTGTLIERFKQAISESCGSTAKSGFPMPPVPAHWSPSNPNTILRVLCYRNDEAATKFLKKAYNLPKKL >ORUFI08G15240.1 pep chromosome:OR_W1943:8:16529297:16530544:-1 gene:ORUFI08G15240 transcript:ORUFI08G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSGTDRLRDLHAFDDTKAGVKGLVDAGVTTVPYFFRHHPDPLPVAAPSEAAAAIPLIDLAKADVDRGHVVSQVRSAAESAGLFQVVNHGVAGELMDAMLAAARRFNEQPAEAKAPYYTRDLGSKVRFFCNFDLFQSPAAQWRDTLYVEMVPDAPSPEEIPPPLRGVAEEFAAAVWRGGGGGAETELLSEALGLPPGYLAEHAGCMDGMSMVAQYYPPCPEPEKTMGTTRHSDPSFLTVLLQDESGGLQAVLPRPPEERWVDVPPVAGALVVNVGDLLQLVSNDRLRPAPEHGAPGAAHRRQRGAGPRGGGVLLPARVLGVVDEAEVVRPDRGFRRRRRAAGGGGVQEHDAEGFPGVLQRQGARRAVGAGAFQDSGGGCGFFRRRRRLLLHHRRRIHSTVAIVSVRVEVVACW >ORUFI08G15250.1 pep chromosome:OR_W1943:8:16534075:16534903:-1 gene:ORUFI08G15250 transcript:ORUFI08G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFSAKCFGAERSHLSAWSTGAEWHATVDGRLTRRANMSKFSTTRLGVEVANLCAIRYGTELRDIKAYPPRPREGALSILSLSRSTKTMMATAAFLSDPNPPLTHGESRGFGGDFMWRLWSKPGNAGKYVVLVNMYVAREMWGSVAGTHEAMWTRPRAHDGICRGVAEG >ORUFI08G15260.1 pep chromosome:OR_W1943:8:16557222:16558109:-1 gene:ORUFI08G15260 transcript:ORUFI08G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSGTDRLRDLHAFDDTKAGVKGLVDAGVTTIPYFFRHPPDPLPVASPSEAAAAIPLIDLAKADVDRDHVVSQVTAAAETVGFFQVVNHGVAGELMEAMLAAVRRFNEEPLEAKVPYYTRDVASKVRFSSNFDLFRSPAANWRDTLVIEMWPEPPSPEEIPPPCRGVLEEYAAAARRLGERLLELLSEGLGLPAGYLGREAGCTDGLSVAANYYPACPEPEATMGATKHADPSFLTVLLQDTSGGLQALLDQXPGALQRQGARRAVGAGPLQDSGGGVVSSSSTVAGWIVSVM >ORUFI08G15270.1 pep chromosome:OR_W1943:8:16588764:16591418:-1 gene:ORUFI08G15270 transcript:ORUFI08G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKFLQIGSMPPRCIFRPSPVNFLTPCRLSTSPLKAPPNSTTSASRGMVSGLDFGLLNSSRPGPIRRGAAVKTGTRVFADSRTSTPPPSSRRLPRRTRPNRRRGCYLMQLDEGCLWLACRQLWDDGLGWKLDMTGCCCFKMNGPTTENDAGDSPAQKNGTFPDLKIDIDLVEPHHPLVAVPPGATVTTMTEAMMWR >ORUFI08G15280.1 pep chromosome:OR_W1943:8:16593693:16598744:-1 gene:ORUFI08G15280 transcript:ORUFI08G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSGTDRLRDLQAFDNTKAGVKGLVDAGVTAIPYFFRHHPDPLPIAAPSEAAAAILVIDLAKADVDRGHVVSQADVDLAKADVDRGRVVAEVRAAAETVGFFQVVNHGVAGELMEEMLAAVRRFHEEPLEAKVPYYTRDVASKVWFNSNFGLFRNGFRSPEANWRDTMFVEMFPEAPSPEEIPPPCRGVLEVYAAAVRRLGERLFELLSEALGLPVGYLGRDAGCMDGLSLSVAAHYYPACPEPEATMGATKHSDPSFLTVLLQDTSGGLQAVLPRPPEERWVDVPPVAGALVVNVGDLLQLVSNDRLRSVEHRVLPTGAAGPARVSVACFFRHAYASTRPCVPVVVGGGGARAAAVYRSTTAGEFLAHYNGKGLDGRSALDHFRLPAAASSPPPPL >ORUFI08G15290.1 pep chromosome:OR_W1943:8:16616285:16624371:-1 gene:ORUFI08G15290 transcript:ORUFI08G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTTTIGAGAVWRAGKRGGLATARERGDGVAAGERGGTGLVDAGVTTVPYFFRHHPDPLPVAAPSEAASAIPLIDLAKADVDRGRVVAEVRAAAETVGFFQVVNHGVAGELMEAMLAAELTTRVRIWPPSPAPTASVTSTPSTTPRPASRAXVRRFNEEPLEAKVPYYTRDVASKVRFNSNFDLFRSPAANWRDTMFVEMFPEVPSPEEIPPPCRGVLEEYAAAVRRLGERLFELLSEALGLPAGYLGRDAGGTDGLSVAAHYYPACPEPEATMGATKHSDPTFLTVLLQDESGGLQAVLPRPPEERWVDVPPVAGALVVNVGDLLQLVSNERLRSVEHRVLPTGAAGPARVSVACFFRLAYSSTRPCVPVVGGGSGARAAAVYRSTTAGEFLAHYNGKGLDGRSALDHFRIPAAAASPPPPPQ >ORUFI08G15300.1 pep chromosome:OR_W1943:8:16627137:16629474:1 gene:ORUFI08G15300 transcript:ORUFI08G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITGSSDRLRDLQAFDDTKAGVKSLVRVATETVGFFQVVNHDVAKELTDAMLAAVRYFHEEPLEAKAPYYTRDVGSKVRFSSNYDLFRPPAANWRDTLFMEMAPEGPSPEEIPPPTPRRLGEWLFELLSEALGLPAGYLGLDAGCKDGLGGATNYYRPCPELEATMGTTRQSDPSFLTVLLQDTSGGGFCFFRRLLLHCCICSTIARWTERN >ORUFI08G15320.1 pep chromosome:OR_W1943:8:16638008:16650455:-1 gene:ORUFI08G15320 transcript:ORUFI08G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAACTTAQLRHIELHHHLFGYLKSMALRCAADLGVPSAIHRRGGAATISDIAADTGVHLAKLPHLRRIMRVLTGAGIFAANDEPSSPADQDGDAAGETVYTLTPPSRLLVGDHATCNMAPMMRFLVRPEVAAMFFGLDAWLRDGDTGAATLYQAAHGGVSAWEMTKRDSSYSRALNEACAGDTSFVMDIAVREGGDET >ORUFI08G15330.1 pep chromosome:OR_W1943:8:16729170:16732496:1 gene:ORUFI08G15330 transcript:ORUFI08G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINQIPLATIPTSSSISHISAMAGNASVMRRLYLSFYNWIVFIGWVQVSWSMILALLENGYEAVYAAVEQHLLFAQTAAIMETHTHIFVTSLLISWCITEVTRYCFYGMKESFGFTPYWLLWLRYSTFIVCLPVGTVSEVGLIYIVLPFMKASGKYCLRMPNKWNFSFNYFYASIFFMVFISTLVCYLIAQRKKALAKAKTT >ORUFI08G15330.2 pep chromosome:OR_W1943:8:16729921:16732496:1 gene:ORUFI08G15330 transcript:ORUFI08G15330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHIDWWNRVSRSKPLATIPTSSSISHISAMAGNASVMRRLYLSFYNWIVFIGWVQVSWSMILALLENGYEAVYAAVEQHLLFAQTAAIMEILHSIVGLVRSPVSSTIPQITGRLFMIWGILWSFPETHTHIFVTSLLISWCITEVTRYCFYGMKESFGFTPYWLLWLRYSTFIVCLPVGTVSEVGLIYIVLPFMKASGKYCLRMPNKWNFSFNYFYASIFFMVFISTLVCYLIAQRKKALAKAKTT >ORUFI08G15340.1 pep chromosome:OR_W1943:8:16733446:16735562:-1 gene:ORUFI08G15340 transcript:ORUFI08G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVFFFLTSRRWLPAAPELVPLFLTVSTPKLQPYRCGGGSGGKTVGRWLKEGMRRRREETRAHNAQVHAAVSVAAVATTTASSSSGRDDRTARTNMTIPSAAKLFPAQCVEAAESLGAERDHLTAAVAFTVSVRLPATSSPSPPPLPMGQRGVATVKARAFRDVWNVAAVIPVEKNAIAAINHHQHYKHNAQKQQHHHRHRGNGSNTSNSFGDDDDDNNFLTICSQELLARGTDSSSAPAKIPTSVYENDVANEEHRVIEFECSIHREH >ORUFI08G15350.1 pep chromosome:OR_W1943:8:16739705:16739887:-1 gene:ORUFI08G15350 transcript:ORUFI08G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARRQKGIRQRRPGDSDSGAAAAAPSSPSDDGGSGGDVSGGSGGGGEGNMMSVLDSR >ORUFI08G15360.1 pep chromosome:OR_W1943:8:16747176:16761231:1 gene:ORUFI08G15360 transcript:ORUFI08G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNCELKITTWAIGVAVYTVRDTKVKCSRLETHTNRETIVLTLLCTLVSLLAGIWLSDHSNELGVIPYFRKKDFSNPNEIENYKWRRLQLMDTDDAGAAHAATPPARGCDLRLLRSDDYVASLPAVLPESRICSSAASKSARTARLLPRRHRQLVGQVASREGVRDVDRSLLRPRHRSRHPQAPRLRHRRHHQQPNSTRL >ORUFI08G15370.1 pep chromosome:OR_W1943:8:16768995:16769211:-1 gene:ORUFI08G15370 transcript:ORUFI08G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAARGGCIHTAGYGETSANMSWLQELTELLLQQHTVAVLGTECKLYRL >ORUFI08G15380.1 pep chromosome:OR_W1943:8:16781551:16782057:1 gene:ORUFI08G15380 transcript:ORUFI08G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLEWFWWRRPVVLAAATVAASSGGREAGWSCAGMQGDGTRPAHGRRMRWTGVSTTAIAREEARKEVTGNGEEGERRPHAGMVGCGRQRRREQFDGCGARPEADTARGGADDGDGGRHGARRCHRWQRRPAQHVEARSAGGGGWRGTQRLTGGESRCSEAHAPVEA >ORUFI08G15390.1 pep chromosome:OR_W1943:8:16803445:16804046:-1 gene:ORUFI08G15390 transcript:ORUFI08G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVVPYQLPVHHVFQFAMLASALIWAKENWVSQQVISVQPIQAIHPQHTVSVLNLLLDPFQQDLQQIGTDVCGMSREMVSAEALTAHAGNGSHCAAAP >ORUFI08G15400.1 pep chromosome:OR_W1943:8:16805614:16806112:-1 gene:ORUFI08G15400 transcript:ORUFI08G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPAPLGGWDFSLGITSGMKPYSGSALLFTSLFFSNRPFTLVTFLTPLFGFVALRRLSPSGLALVVLLVISMSLISLEGVTVLQFVLRKLAFGSIILDPSLARIMLLGFSSREMGALTGIRNMISGRQLKRLIGQLFVTRKSLRLFPRLSLAAANQFLVPTML >ORUFI08G15410.1 pep chromosome:OR_W1943:8:16809829:16811739:1 gene:ORUFI08G15410 transcript:ORUFI08G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVLFVNKQGQTRLAQYYEHLSIDERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLFVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPIQLMEKTS >ORUFI08G15410.2 pep chromosome:OR_W1943:8:16809676:16811739:1 gene:ORUFI08G15410 transcript:ORUFI08G15410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVLFVNKQGQTRLAQYYEHLSIDERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLFVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPIQLMEKTS >ORUFI08G15420.1 pep chromosome:OR_W1943:8:16816581:16819347:1 gene:ORUFI08G15420 transcript:ORUFI08G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNGGGSAPTSSKRSFDKQACRAVIPSEINVPKDRENCQQINKNSTYKIQRTSTIGFGYENNASVIRHVRLPCTDKAELSYGTPNMHEDVTNPSTAELKRKCARERYPLLTKELKEDRNKKRRDSRKRRKDESIGFYKLTHLLLSKFGLFEHNTLLLLN >ORUFI08G15420.2 pep chromosome:OR_W1943:8:16816581:16819347:1 gene:ORUFI08G15420 transcript:ORUFI08G15420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSAGRPCYMFYASPAMAAKQYSLLIYFAVDKQACRAVIPSEINVPKDRENCQQINKNSTYKIQRTSTIGFGYENNASVIRHVRLPCTDKAELSYGTPNMHEDVTNPSTAELKRKCARERYPLLTKELKEDRNKKRRDSRKRRKDESIGFYKLTHLLLSKFGLFEHNTLLLLN >ORUFI08G15430.1 pep chromosome:OR_W1943:8:16821803:16822616:-1 gene:ORUFI08G15430 transcript:ORUFI08G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSAKYLLFSLAILLVLSSQQQALLPSAFARQLVPMPTNTAAGHADVTEEKPSVPPSSVTKICRVLRICKRSSSAATSAKP >ORUFI08G15440.1 pep chromosome:OR_W1943:8:16827127:16829064:1 gene:ORUFI08G15440 transcript:ORUFI08G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPEILRLSVEMTGLSNSADSAPASPNNGTEPPMMRTNGGEQMGGSEAAHIRIDEIIRRLDAAEKEAQAQVVEARREIFRVPGRHRLADEDAYQPSLFSVGPYHRHGTEEMGRNELTKVRLMKLQLGADADQAASLQRECLLSMASLEQEARRCYDGDVAMDSGEFCMMLLVDGAFLIAMLTAFGIQEQDDAPANKEEEEDSGPGTGSRTQKRVLVDGFLDLVLLENQIPFFVVHSIFGLLVDHAGTTLAKTAWNAVRNFMQHIPTASNADDVKEDCKHLVDLCHTYLRPAGWQQAAAAGGGHIQRFRTATEYSESGVRFRVRSDSEPAPRFGLLDVDFSWGVVTMSRHVIDEKMSCVFRNVLAFEQDSGAGVERDAYVTAYVVFMSQLLGSAGDVAVLSRSGVMEHSLGNDGDACALFRGLARGLAFDTDGDHYLRGVGLELNRHHGRRLNRWLAWVARRHFDNPWLILAWLAAAVLLLCTLVQTVFAVMSYRPSAKLNS >ORUFI08G15450.1 pep chromosome:OR_W1943:8:16842456:16843006:1 gene:ORUFI08G15450 transcript:ORUFI08G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGDRRALRRRHCFGPRCRTLGNTLTSMEMFTWAYSNNQWLLHVGDFDRTSKPYICTSCSMGLAAEDRVESASDRDDGWLLLPNVELISIPHRYILP >ORUFI08G15460.1 pep chromosome:OR_W1943:8:16874544:16878213:-1 gene:ORUFI08G15460 transcript:ORUFI08G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKTGFKTTEGSKMNGFEKVFEGKGNGSEFDTSDFWMELCMQCMDELIFLLAPESKYYDNLNYKLKQACLTLRVFSLQATKKEKHARGGGAEEVATGVGEAAAVVETEEAVVGCLPGGREGSRCRGQREGGGCCGGRGGGGRWSSRRVGGRRRLMIDVREASLGGVGRQCGGGCQWKPAVKGGEVEPGVMDMERSRAGRCCSVEFDDGGRTTVDVEKAREVLGME >ORUFI08G15470.1 pep chromosome:OR_W1943:8:16884042:16885514:1 gene:ORUFI08G15470 transcript:ORUFI08G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRLAPAHDRTLGSQPQPPTAHTRRTKFCPKSKNWDRGCSNYSGWAMQNCKLARTNRKVIPHMSWCIACSR >ORUFI08G15480.1 pep chromosome:OR_W1943:8:16898902:16902035:-1 gene:ORUFI08G15480 transcript:ORUFI08G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTPDLGDPPVAEITHPFHPHKLRLADNVTDGHWPFRCGGCKELAAGRRRYRCEPCDLTLYTCCATAPLTLEHPLLPGRHFRLLERPPPPPPWLAADDRGGGGWRPACDACGDLLRGDGFAYHCADGHGLVGLNLHPRCARLRLPVAAARGAAAVKLCRRAAPRRRCGVCMSGEDGYRHGFWTCRFRRSGGDELVDVHLSCLKELMSHSHETLTNLYEILMEDGTPTNDCGEGASAQDNSSYSGESNGDAEEFENQNGTNNGMVPEMKTITHPSHPEHKLRMVTTTGEAPFKCDACKEPGDGPRYHCLTCEDLNMHKFCAHAPSTLYHHLFGRTFELLAKPPQGRPEKPHPAATGGGRGESGGRWCDTCGDHVFGLVYHCSGANLDLHPCCASLQELPVQNRETLDQPKESLATPQKLVKEGLAKITIDGVAFDIAAFSKCSLCSRQEEEGPDHCCRRLRRQEQWCYYNSDVVDGGGEAVSLHVSCIKQIARRRWQAARDMKCGGQIMLAISEEMIGEGGPLHGIPSERDRNIVGAVVRVIIAVIFGDRTAMEGDISSWVALGLPWLTNLFTVQR >ORUFI08G15490.1 pep chromosome:OR_W1943:8:16918029:16919100:-1 gene:ORUFI08G15490 transcript:ORUFI08G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGASGEGCEDWDGGDDARRSELEWMGGSNRPREEGMGAMGDLRRGCGESGWELPQLQERWRKWEERMMGGASGEGCEDWDGGDDARRSELEWMGGSNRPREEGMGAMGDGWELPQLQERWRKWEERMMGGASGEGCEDWDGGDDARRSELEWMGGSDRPREEGMGAMGECERR >ORUFI08G15500.1 pep chromosome:OR_W1943:8:16920075:16937499:-1 gene:ORUFI08G15500 transcript:ORUFI08G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSGLAVAPPPISLHAAASRTGRQRYAPVDRRASMGRSNPPRPTRAAWWRTRRREGVPPLPSPPTGTTPPQSSSPAAGAARFGGRERRKGEKRGKSLRRRRPRGRRRRGLPPSEVIRGAIRRPEKSGGEEAEPAAWGEWGAPTGVFPADISPPAAANHVALSLSLHNTRRQQRPVVRGCGLRLGGTDGSGWVASRAWTPRRRRRGEGGEIESCDVGVGLWLGKCDENEHARWRLLEEHVEVGPLAAARCLIVLDNVWTVSTWNVVRHALQEDCCSRIVVTTEINDVAQAWSFYKSQHNASHVIKKHILKMEPLNDDFSRDLLVSRVFGDKDKCPEELNEVSYEIARKCGGLPLATIAAASLLLSRPDKPDEWIYVQKSLIPDLRIQPTSEGMAQLLNNSFNNLPHHLKACMLYLSIYKEDHIINPDDLVKQWVAEDFVSATEGKSLDEAARNHFYELVHRGILETEHISCSDEVVSCTVHDMVLNFIKRKAMEENFVTAIDHSQSGIRLADKVRRLSLHFGYSKDAKAPACIRLSQLRSLVFSGFWKCGPSISEFHLLRVLFLHGKHGEFLDLTRIRELFLLTYLQIEGNVCIDLPSELQGLKYLRTLHIGAMVTFLRSEVVHLPPLLHFRLPPNLGYFVDAGSVQSIEELTNLQDLQLACPQIATSDHIKHNMKLLGSIIGILKSLKSLTVVPVCFSEDSNDNENSSIAIFLDFLDKVPPPCSLLQRLELFPRVCVFHRLPSWIITIEKLCILKVAVMELLRKDIETLSMLNALTVFSLYVRTTPAEPIIFDKSGFHVLKYFKFKCSTVSSLRFDEGAMPNLQRLKMEFNGSTVEQYNLENVGFKHLSGVKVSAKICIAGTSEANRMAIASVLNNAIRQNTRISSVIIRFINRVIKDLPIVPTPHTDHMHENLRLDKSVRSWPTQCDGCKELGAGHRFKCEQCNSKVYYDMCCATAPHTLKHPLFPGSVFRFLRKPLASECGRACDACGDLMHGFVYHCFERGLDLHPRCARLPVRTANVKGYVMELRRVSACSRCCICMCGKEGYRNKFWSYRSSQEGQDINVHMACLKDLASKSHETLTKLYEILMGESQWTPTEVLGEDTSDQLVDGDRTPLRQDEITEEFQHKDEVCNVLEHGREELRKKETDTRSQTVTEPLFSPGQAPEEEPVAEPEMITHHDHPQHKLRLVTTTCDMPFRCDGCLEPGYAPRYRCDACNFDMHTFCSNLCRPFYSTLCTKAAYSGFPLPPSGRRVCDACGDPVRGFIYHCFGANLDLHPCCASLHGPIILDGLAFDIGAPRKCCLCMQEKGPERDLWCYHSNINGEGVYLHVACIKHFAGRRWQAGREKKYGGQIMLASEELMMEGPLKSISSEKDRIIVGGVMRIIISVIFGDPTANKGDNARGPITLDGHDFDVRAPRKCSLCKKEKGPDRELWCYHTNINGEGVYLHVACVKHLAGKRWQAGREKKHGGQIMLASKELMMKEGPLKSISSEQDRIVVGGVVRIIISVIFGDPTANKGDNARVALSLQGLADLFSIQS >ORUFI08G15510.1 pep chromosome:OR_W1943:8:16943261:16954433:1 gene:ORUFI08G15510 transcript:ORUFI08G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVITAFVNTVMPPLFKVLSGSCKMVMNVIDDSDSMRRKLVLLAASMDDDLRRTKNPTAAAKVFGDQLRELTHDMEDCIERFLHRVSCAEGASRARRLGRFLLTICTRYRFGDKIKALNRRLEELTNERLCQFVYDKPPPPPPLAPAAAQQREHVQLNPVGVEGAKGDILAMLEESPEELRVIAIVGFGGSGKTTLAKAVFRSTDDDRIRVFRSCRAWVDRAKEKNAGEIFRSLLQQFGYRGQGLLVDDEQYLQAQLMDYLRGRSRNNGSRIIVTTTIKSEANTCCGNGKGFIYQMQNLEDQYCKTIALGEAPSPELQMGSEELLKKCDGHPLSLVCVANYLGGLNEPTGQRCRELCRYLGSKIHDNGNFERLKGVIMDNYTSLSNHVVRACLLYLSIFPNDVPLEKKVIIRRWIAEGFARSEDVDIDDQTIARWNFETFVDWDIFHPIIDTSNNGDVKMCKTRSIVHEYMLYKASRLERFIMSFPDWRRKVRHLCIDHRTPHKRRTTTDMDLSCVRSLTIFGTAGDTICEFHRIQYHGYSKSTLKKLSENSKLETLSGFIADFKSQGFLEILGHMSNLKKSSAAGDHDSILARKLSEAIQEYINPPLYIGDNRKLSICLQTFSGSLLNSLDTPCELTSLKLHGELRSLPRFVKWHTGLVELCLSSITVTRDVLSDLYNLKCLLYLKLISDCLDEFVIPQGAFRSLRRLCFKVQVSVFPRIEAGALRDLVSLQLLCRHLVGLAGIEIEDLRELKEVTLDSEVSRYTKESWETRARQHPNRPRILYTYKAA >ORUFI08G15520.1 pep chromosome:OR_W1943:8:16993021:16993206:1 gene:ORUFI08G15520 transcript:ORUFI08G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNTMSQSKNNMSQYSMMNNNMSNNSSPRGNGNAVSSASDLREGGQGKAGSSSPDLRGGE >ORUFI08G15530.1 pep chromosome:OR_W1943:8:16993262:16993429:-1 gene:ORUFI08G15530 transcript:ORUFI08G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWSRVGDWESFSAGHRAWIDDSVPLPPGSGVGGSGRWIRWGPQQQSNGVAGHR >ORUFI08G15540.1 pep chromosome:OR_W1943:8:16999326:17002239:1 gene:ORUFI08G15540 transcript:ORUFI08G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKRKAEAARAAEEEADRALYGAFRGAANSLSQLYALAGAHQRLSFHAGERHALEKLYQWMVRQHEIGLRLTVSDIASHIQHEIEYGGDNALTSPRSQHAFQNLQAPMHIPNTSTQQPPSCSITPSNPSKDSMIFSKALSSPVRQNLQLYHVQQCGDTGCFADGIFCPGNGDSDPAASNDSSVDMHSDSPGHDS >ORUFI08G15550.1 pep chromosome:OR_W1943:8:17002972:17008793:-1 gene:ORUFI08G15550 transcript:ORUFI08G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSGAALAWQQYRALLRKNATLTWRHRRSASLQLLSSLVFIFLIFCIDRAIRSRFSYTTAYRNVPDPAALVAPPIPPCEDKYFVREPCYDFLWSGGGGAGSARVAGIVEAIRRNNPGRPIPAEKVLGFNTPDEVDAWLFQNPMRCPGALHFQVINDTQIKYGIQTNSTPVARRGTYEDPTFKFQIPLQIAAEREMARLLIGDPNFSWTVGFKEFAHPATETFSTIAQAGPTFFLAIAMFGFVFQISALVTEKELKLRQAMSIMGLYESAYWLSWLTWEAFVTLLSALLTVLFGMMFQFDFFLHNNFGILFLLFFLFQLNMLSFAFMISTFVTKAASATTVGFAIFIIGFLTQLVTTFGFPYSTSYQKYYRTIWSLFPPDVFAQALNILGKATATPEDKGISWNQRGQCQSFETDCVITIDDIYKWLISTFFLWFVLAIYFDNIIPNVNGVRKSVFYFLMPSYWTGKGGGKLQEGGLFSFFGSNRPADDASPTDEDVIAEENLVKQQAANNEVDPGVAVQICGLRKTYPGSFSMGCCRCRTTKPFHSVKGLWVNLEKDQLFCLLGPNGAAMIYGHSVRSTAGMSNIRRMIGVCPQFDILWDALTAKEHMELFASIKGLPPSTIKSVAEQSLIQVKLSQAANVRAGSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIEEAKKGRAIVLTTHSMEEADILSDRIAIMAKGKLRCIGTSIRLKSKFGTGYIANVNFSGNGHTQSPNINGNTEVPVNPNIESVKWFFKERLDVDPKEESRTFLTFVIPHEKEPLLTANIFSIKQRFFGELQDREREFGISDIQLGLTTLEEVFLNIAKQAELESSTAEGTLVTLNLSSGSSIQKTLNISLISLPFSMAMQIPKGARFVGIPGTESEDHPRGVMVEVYWDQDDNGSLCISGHSDEIPVPANVQLGRPPSLSRRASVGRGNPVGYIIDPNEVTAAR >ORUFI08G15560.1 pep chromosome:OR_W1943:8:17032969:17047600:1 gene:ORUFI08G15560 transcript:ORUFI08G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSSSSSSRGAAGFATQANALLRKNLCFQRRNMKTNACITVFPVFLCVILVVLQGVINHEINKPKYQCGCACVDAAPDGTCRRTECGVEHSTLDQVGSCPIKSPTPWPALVQVPRPESRAVRIASQPFDGLPDPTCRDTGSCPASVLVTGMNRSLAQSLWGGLFPAVPPSLNFTDYLDAFSKIVAGSDTWTWTTQFIEPVFTPGHSLYVVQPQCSSNLSRTISNKAGPVPIQLNIDCVQGLSLWRESASQINNELFRGYRQQGGGGGGGKTNEFIAGYDFLNTNNNGLEINIWYNSTYNNNTAYDVISLLRVPRLVNTASNAYMKFLKGSGVEMLLEYVKDMPKVGTKPKFDLSSLLGALFFTWIIELLFPVILTYLVYEKQQKLKIMMKMHGLKDEPYWMISYSYFFALSAVYMIVFVVFGSLIGLNFFKTNNYGIQFVFYFIYINLQIALAFFVAAFFSSVKTATEGWIVVMEIIPGFSLYRGLYELGQYAFSGNAMGTNGMEWTNLRDSENGMRNVLIIMVVEWAILLPLAFYLDKISSLGSGARKTPMFFLKRFKNRAVSLRRSFGRQGSKVVVEMDNPDVSQEREVVEQLLLEPNASQAIICDNLKKVYHGKDGNPDKLAVRGLSLALPKGQCFGMLGPNGAGKTSFISMMIGLIPPTSGTALVHGMDINTDMDSIYTNMGVCPQHDLLWETLTGKEHLLFYGRLKNLKGAELEKAVDDSLKNVNLFHGGVGNKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGGFQCLGNPKELKARYGGTYVFTMTTSSEHEQEVKQLVQHLSPSANRIYHISGTQKFELPKQEVKIADVFHAVEKAKRQFSIHAWGLVDTTLEDVFIKVAKGAQGVNVIAFFRQVHALLLKNLSFQRRNAKANAAIAAFPALLCVLLVTIQAVIDGELDRPPFRCGCACVRRDGGRAGAGACAATECGVQHSTATQALSCAVPAPPRWPAVTQVPDEPYRALTPVHPARCRGDGGSGASEAPCPVAVLTTGQNRRLTEGLGRGFFPDVPPSYYLGVPNSNNSSYIDELSKIVPGTSTLPAHVLFIEPGFVPNSTLYVIQRKCIWDSHNTSRSSDAMPIQLDVKCVQGLSLWCRNSAVMNDHLYKGYKGGNKRRTSNEYLAGYDFLDTSKRRFHVYVSYNSTFSRDNGHHPMTVLRVARLVNMASTAYLKFLRGPNVEMRLEYLKEMPKAAMKIRLDLTTLLDALFFTWTVQLLLPVMLTYLVYEKQHNLRLMMKMHGLKDGPYWMISYAYFLSLSAAYMMFFVMFGSLIGLDIFRLNSYSIQFVFYFLYINLQIVLAFLLASFFSSVKSASVISYIYVFGSSLLGEALLQLFIEDITFPKQWLVTMELVPGFALYRGFYELAEYAFAGRQMGKPGMQWRDLNDPINGMKDVLLLMSIEWILLLPVAFLLDHRPTWHPLFLFGFMSTKHSSPTIIPDKVKQRSRKVFADMAKPDVFLERKVVKKLLKEMDMRNMIICHNLKKVYPGKNGNPDKLAVKGLSLALRKGQCFGMLGPNGAGKTSFINMMIGLVAPTYGTAYIHGMDLRRDMNEIYANIGVCPQHDLLWETLTGREHLMFYGRLKNLTGAALLKAVNESLKSVNLFHSGFGDKSVNKYSGGMKRRLSVAIALIGNPKVVYMDEPSTGLDTTSRSNLWNVIKRAKKNCTIILTTHSMEEAEELCDRVGIFVDGNFQCLGTPKELKARYGGVRALTITTAAGHEEAVERAVARRCPGAAKVYGVGGTQRFEVPRRGARLDGVLGAVEAARRAAPVVAWGVADATLEDVFVRVAMDARAAAHVLS >ORUFI08G15560.2 pep chromosome:OR_W1943:8:17032969:17047600:1 gene:ORUFI08G15560 transcript:ORUFI08G15560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSSSSSSRGAAGFATQANALLRKNLCFQRRNMKTNACITVFPVFLCVILVVLQGVINHEINKPKYQCGCACVDAAPDGTCRRTECGVEHSTLDQVGSCPIKSPTPWPALVQVPRPESRAVRIASQPFDGLPDPTCRDTGSCPASVLVTGMNRSLAQSLWGGLFPAVPPSLNFTDYLDAFSKIVAGSDTWTWTTQFIEPVFTPGHSLYVVQPQCSSNLSRTISNKAGPVPIQLNIDCVQGLSLWRESASQINNELFRGYRQQGGGGGGGKTNEFIAGYDFLNTNNNGLEINIWYNSTYNNNTAYDVISLLRVPRLVNTASNAYMKFLKGSGVEMLLEYVKDMPKVGTKPKFDLSSLLGALFFTWIIELLFPVILTYLVYEKQQKLKIMMKMHGLKDEPYWMISYSYFFALSAVYMIVFVVFGSLIGLNFFKTNNYGIQFVFYFIYINLQIALAFFVAAFFSSVKTATEGWIVVMEIIPGFSLYRGLYELGQYAFSGNAMGTNGMEWTNLRDSENGMRNVLIIMVVEWAILLPLAFYLDKISSLGSGARKTPMFFLKRFKNRAVSLRRSFGRQGSKVVVEMDNPDVSQEREVVEQLLLEPNASQAIICDNLKKVYHGKDGNPDKLAVRGLSLALPKGQCFGMLGPNGAGKTSFISMMIGLIPPTSGTALVHGMDINTDMDSIYTNMGVCPQHDLLWETLTGKEHLLFYGRLKNLKGAELEKAVDDSLKNVNLFHGGVGNKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGGFQCLGNPKELKARYGGTYVFTMTTSSEHEQEVKQLVQHLSPSANRIYHISGTQKFELPKQEVKIADVFHAVEKAKRQFSIHAWGLVDTTLEDVFIKVAKGAQGVNVIAFFRQVHALLLKNLSFQRRNAKANAAIAAFPALLCVLLVTIQAVIDGELDRPPFRCGCACVRRDGGRAGAGACAATECGVQHSTATQALSCAVPAPPRWPAVTQVPDEPYRALTPVHPARCRGDGGSGASEAPCPVAVLTTGQNRRLTEGLGRGFFPDVPPSYYLGVPNSNNSSYIDELSKIVPGTSTLPAHVLFIEPGFVPNSTLYVIQRKCIWDSHNTSRSSDAMPIQLDVKCVQGLSLWCRNSAVMNDHLYKGYKGGNKRRTSNEYLAGYDFLDTSKRRFHVYVSYNSTFSRDNGHHPMTVLRVARLVNMASTAYLKFLRGPNVEMRLEYLKEMPKAAMKIRLDLTTLLDALFFTWTVQLLLPVMLTYLVYEKQHNLRLMMKMHGLKDGPYWMISYAYFLSLSAAYMMFFVMFGSLIGLDIFRLNSYSIQFVFYFLYINLQIVLAFLLASFFSSVKSASVISYIYVFGSSLLGEALLQLFIEDITFPKQWLVTMELVPGFALYRGFYELAEYAFAGRQMGKPGMQWRDLNDPINGMKDVLLLMSIEWILLLPVAFLLDHRPTWHPLFLFGFMSTKHSSPTIIPDKVKQRSRKVFADMAKPDVFLERKVVKKLLKEMDMRNMIICHNLKKVYPGKNGNPDKLAVKGLSLALRKGQCFGMLGPNGAGKTSFINMMIGLVAPTYGTAYIHGMDLRRDMNEIYANIGVCPQHDLLWETLTGREHLMFYGRLKNLTVNESLKSVNLFHSGFGDKSVNKYSGGMKRRLSVAIALIGNPKVVYMDEPSTGLDTTSRSNLWNVIKRAKKNCTIILTTHSMEEAEELCDRVGIFVDGNFQCLGTPKELKARYGGVRALTITTAAGHEEAVERAVARRCPGAAKVYGVGGTQRFEVPRRGARLDGVLGAVEAARRAAPVVAWGVADATLEDVFVRVAMDARAAAHVLS >ORUFI08G15570.1 pep chromosome:OR_W1943:8:17050994:17055801:1 gene:ORUFI08G15570 transcript:ORUFI08G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESGVAKNILKQIYPIRLSALTMGQALGLVQVDQSTVAIKESFGKFDEVLEPGCHFLPWCIGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALAEKASDAFYRLSNTREQIQSYVFDVIRASVPKMNLDDAFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIAAQIRDGQLQAKLI >ORUFI08G15570.2 pep chromosome:OR_W1943:8:17050994:17055801:1 gene:ORUFI08G15570 transcript:ORUFI08G15570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDDAFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIAAQIRDGQLQAKLI >ORUFI08G15570.3 pep chromosome:OR_W1943:8:17051590:17055801:1 gene:ORUFI08G15570 transcript:ORUFI08G15570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDDAFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIAAQIRDGQLQAKLI >ORUFI08G15580.1 pep chromosome:OR_W1943:8:17057342:17058009:-1 gene:ORUFI08G15580 transcript:ORUFI08G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADVAKEHHKEEKKDKEHAKEAKPEKEKKEKKEKNGEEAAKPAKEKKEKKEKKEKGKEKKEKVEETTDVAKLRAKLEKLDAKIDDLKAKKQEIVARLVQLEEGATANAAAADAAPPASG >ORUFI08G15590.1 pep chromosome:OR_W1943:8:17061678:17071580:1 gene:ORUFI08G15590 transcript:ORUFI08G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPEQFRGQARLPRCASPLSYDLRLRPDLAACAFSGSAAVAVAVSAPTRFLVLNAAELAVDGSSDLVPSEVVQFEEDEIVVIGFGQDLPIGEGVLKMDFTGTLNDQMRGFYRSKYEYKGESRNMAVTQFEAADARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVIKETVHGPLKTVYYEESPLMSTYLVAIVVGLFDYIEGSTLEGTKVRVYTQVGKSNQGKFALDVAVKSLDLFKDYFATPYPLPKLDMVAIPDFAAGAMENYGLVTYRETALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVEALFPEWNNWTQFLDETTSGLRLDALAESHPIEVDINHASEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEESGEPVKDLMTTWTKQQGYPVIYAKLDGHDLHLEQAQFLSDGSSGPGLWIVPITSCCGSYDAQKKFLLKGKTDKVHIDLTASQNAGGEKGENCWIKLNVDQTGFYRVKYDDELAAGLEKAIKANKLSLMDKIGIVEDSYSLSVARKQTLTSLLRLLNAYRNESDYTVLSHVCLGIDKISVDATPELSRDIKQLLINLLLSAAKTLGWDPKEGESHLDVMLRSLLLIALVKLGHDETINEGVRRFHIFIKDRKTNILPPDTRKASYLAVMRTVTTSSRAGYDALLKIYRETAEAQEKSRILGSLSSCLDKDIVLEALNFMLTDEVRNQDAFYVLGGISLEGREVAWAWLKENWDHVLKTWPSSSLISDFVKSTVSRFTTEEKAAEVSEFFAGKTKPSFERALKQSLERVRISARWIESIRSEPNLAQTVNELLQHDM >ORUFI08G15600.1 pep chromosome:OR_W1943:8:17071573:17072856:-1 gene:ORUFI08G15600 transcript:ORUFI08G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEIMTDEAAAAPSPAAAAARVEIYPLCRYYFGARDVAAGGAGAGLETAADRALRLKANFAAHGLRTSVHGVLLVELFDHPHVLLLQVRNSSFLLPGGRLRPGEQDVQGLKRKLSTKLSVAGHQDDEDGDGDDEWQIGECIGMWWRSEFDAAPFPYLLPNARAPKECIKLFLIKLPVSRQFVVPRNMKLLAVPLSQIHDNAQVYGSIIAGIPNLLSKFSMNIISD >ORUFI08G15610.1 pep chromosome:OR_W1943:8:17073706:17074245:1 gene:ORUFI08G15610 transcript:ORUFI08G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPPASSQPPPPLPRALPSPPSTLPRSPYPSPAPPPPAAAAASPSRLQVQGRKSGGEQEGARAGAAGGVHDGGGRRRGGREGGGGEKSPETVAAELKEAETAAISSGWWVGVAQEMSKIEWPVPGKVVGTTGVVLGVIAGSTAALLSVNALLAELSDRTAARAPTCRRLMSRGEREK >ORUFI08G15620.1 pep chromosome:OR_W1943:8:17076697:17078196:-1 gene:ORUFI08G15620 transcript:ORUFI08G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVAEVGKLMSRYPSRRARMGRRRVVVDAQEGVMDQGDQTEVAHNGTMKSKPKLMPMSETPTHEITAETMASQQGQKEALQKVVTGRSANDCPARDADGGRRRSKTEAVRKESGGRVERSTGWCRQSAPGRGRSGLGDAGRAPRRGEEEATVHPAAAGMAR >ORUFI08G15630.1 pep chromosome:OR_W1943:8:17078093:17079303:1 gene:ORUFI08G15630 transcript:ORUFI08G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSCASTTTLRRPMRALRLGYLDISFPTSATSTMATLRTASSPTVQITVADTSPDSSREPPPPPLHPREKPPPPTPTPDAAPATVEARSVVGAATAATTPSLGEASAADADLDCGSTRRCHPGRRRGRLAHRRICRGREATTADTVCGEKEEGARASERESERERGKREIEKRANLLSPRPYLVVVGPAGSARFLWVSAFN >ORUFI08G15640.1 pep chromosome:OR_W1943:8:17083499:17094606:1 gene:ORUFI08G15640 transcript:ORUFI08G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACWMMCVTANLVRVFWAWWWWWWWWGDLQGGWRRGMDRWEALIAAAARRSEPPRSGWAEWGGGGDARAGAAALPSRCPRRPVAAAGGRGEGGDRMRGRGGEEGTWTAHGGGGRGAERAGAVVAPTRTADAAGTGTGRNAVAVGGGAAEDVVVIVSGRRSVGEPTLDVSEMLLQAAQAWRSRRTQREARPDALPPRHPPRPVAADGRGGSGEGTSRARGRGEEGTARGGDGEMMALVRTVDVAVAGGLATLTHGGERDAGAAVATEEKRNGGEVGTKRGMEERAARSPPPPKRSAVSSVRQLPPGCGRDAALPLGRRHGRGRDGDGGVPPLAGNRTDLPLEAVVDGGDPIANVHQIFSKSSHATDENQVACKVGSLEDVVQEGAANSGELLGWKQVLAQAANVLPKRRMVSATRRFPPGCGRDVKTGSGLEFMAVDASCGGVSKEVVASDGGDSLVSQELEEGEVAEEAYSDVDSQNVAVDDSMAAATEDVKVMNKCKGTLPRAAAEPCAEGPSKEHFKGTRECENDRMGKSSMNVATEVFGDGMMRSKILLTARKAVKSPLNTLHRWPFSKGKEECAVTNSAPFGPKKKFKVKGAYQTKDIPIKIVSTPGLGGKDNLVDKEALILEDDDILKALAVHDGKLKLYLNASSSVQRHGQHGSGNANDRRKTMMLCRRFQFIHRALVHAVKQGSLKVLRADLEADKIVRKLPGFIKPGPIVGNVRGVEVGDEFLYRVELALVGLHRPYQGGIDTTDHNGVLVAISIVASGGYPDRLSSSGELIYTGSGGQPAGKKKGEDQKLERGNLALKNCIKTKTPVRVIHGFKGQNGKDDSYSRAKQISAFTYDGLYRVVDYWREGLKEGQEVTWAWLKGTRECENDRMGKSSMNVATEVFGDCMMRSKIPLIARKAVKSPLNILHRRPFSKGKEECVVTNSAPCGPKKKLKVKGAYQTKDIPIKIVSTPGLGGKDNLVDKEALILEDDDILKALAVHDGTLKLYLNASSSVQHHGQHGSGNADDRRKTMMLCRRFQFIRQALVQAVKQGSLKVLRADLEADKIVRKLPSFIKPGPIVGNVRVVELALVGLHRPYQGGIDTADHNGVLVAISIVASGGYPDRLSSSGELIYTGSGGQPTGKKKSEDQKLERGNLALKNCIKTKTPVRVIHGFKGQNGKDDSYSRAKQISAFTYDGLYHVVDYWREGLKGSMNQDVFFVLGGIISLEGREVAWAWLKESVL >ORUFI08G15640.2 pep chromosome:OR_W1943:8:17083499:17094606:1 gene:ORUFI08G15640 transcript:ORUFI08G15640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACWMMCVTANLVRVFWAWWWWWWWWGDLQGGWRRGMDRWEALIAAAARRSEPPRSGWAEWGGGGDARAGAAALPSRCPRRPVAAAGGRGEGGDRMRGRGGEEGTWTAHGGGGRGAERAGAVVAPTRTADAAGTGTGRNAVAVGGGAAEDVVVIVSGRRSVGEPTLDVSEMLLQAAQAWRSRRTQREARPDALPPRHPPRPVAADGRGGSGEGTSRARGRGEEGTARGGDGEMMALVRTVDVAVAGGLATLTHGGERDAGAAVATEEKRNGGEVGTKRGMEERAARSPPPPKRSAVSSVRQLPPGCGRDAALPLGRRHGRGRDGDGGVPPLAGNRTDLPLEAVVDGGDPIANVHQIFSKSSHATDENQVACKVGSLEDVVQEGAANSGELLGWKQVLAQAANVLPKRRMVSATRRFPPGCGRDVKTGSGLEFMAVDASCGGVSKEVVASDGGDSLVSQELEEGEVAEEAYSDVDSQNVAVDDSMAAATEDVKVMNKCKGTLPRAAAEPCAEGPSKEHFKGTRECENDRMGKSSMNVATEVFGDGMMRSKILLTARKAVKSPLNTLHRWPFSKGKEECAVTNSAPFGPKKKFKVKGAYQTKDIPIKIVSTPGLGGKDNLVDKEALILEDDDILKALAVHDGKLKLYLNASSSVQRHGQHGSGNANDRRKTMMLCRRFQFIHRALVHAVKQGSLKVLRADLEADKIVRKLPGFIKPGPIVGNVRGVEVGDEFLYRVELALVGLHRPYQGGIDTTDHNGVLVAISIVASGGYPDRLSSSGELIYTGSGGQPAGKKKGEDQKLERGNLALKNCIKTKTPVRVIHGFKGQNGKDDSYSRAKQISAFTYDGLYRVVDYWREGLKGSMGTRECENDRMGKSSMNVATEVFGDCMMRSKIPLIARKAVKSPLNILHRRPFSKGKEECVVTNSAPCGPKKKLKVKGAYQTKDIPIKIVSTPGLGGKDNLVDKEALILEDDDILKALAVHDGTLKLYLNASSSVQHHGQHGSGNADDRRKTMMLCRRFQFIRQALVQAVKQGSLKVLRADLEADKIVRKLPSFIKPGPIVGNVRVVELALVGLHRPYQGGIDTADHNGVLVAISIVASGGYPDRLSSSGELIYTGSGGQPTGKKKSEDQKLERGNLALKNCIKTKTPVRVIHGFKGQNGKDDSYSRAKQISAFTYDGLYHVVDYWREGLKGSMNQDVFFVLGGIISLEGREVAWAWLKESVL >ORUFI08G15640.3 pep chromosome:OR_W1943:8:17083499:17094606:1 gene:ORUFI08G15640 transcript:ORUFI08G15640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACWMMCVTANLVRVFWAWWWWWWWWGDLQGGWRRGMDRWEALIAAAARRSEPPRSGWAEWGGGGDARAGAAALPSRCPRRPVAAAGGRGEGGDRMRGRGGEEGTWTAHGGGGRGAERAGAVVAPTRTADAAGTGTGRNAVAVGGGAAEDVVVIVSGRRSVGEPTLDVSEMLLQAAQAWRSRRTQREARPDALPPRHPPRPVAADGRGGSGEGTSRARGRGEEGTARGGDGEMMALVRTVDVAVAGGLATLTHGGERDAGAAVATEEKRNGGEVGTKRGMEERAARSPPPPKRSAVSSVRQLPPGCGRDAALPLGRRHGRGRDGDGGVPPLAGNRTDLPLEAVVDGGDPIANVHQIFSKSSHATDENQVACKVGSLEDVVQEGAANSGELLGWKQVLAQAANVLPKRRMVSATRRFPPGCGRDVKTGSGLEFMAVDASCGGVSKEVVASDGGDSLVSQELEEGEVAEEAYSDVDSQNVAVDDSMAAATEDVKVMNKCKGTLPRAAAEPCAEGPSKEHFKGTRECENDRMGKSSMNVATEVFGDGMMRSKILLTARKAVKSPLNTLHRWPFSKGKEECAVTNSAPFGPKKKFKVKGAYQTKDIPIKIVSTPGLGGKDNLVDKEALILEDDDILKALAVHDGKLKLYLNASSSVQRHGQHGSGNANDRRKTMMLCRRFQFIHRALVHAVKQGSLKVLRADLEADKIVRKLPGFIKPGPIVGNVRGVEVGDEFLYRVELALVGLHRPYQGGIDTTDHNGVLVAISIVASGGELIYTGSGGQPTGKKKSEDQKLERGNLALKNCIKTKTPVRVIHGFKGQNGKDDSYSRAKQISAFTYDGLYHVVDYWREGLKGSMNQDVFFVLGGIISLEGREVAWAWLKESVL >ORUFI08G15650.1 pep chromosome:OR_W1943:8:17098234:17105222:-1 gene:ORUFI08G15650 transcript:ORUFI08G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNPIGPRKLGPSTYKPALRDGKPKTLVSLTVPSLRLRSTRRRRRRRRRRRRPRLRLRPRRGIPSRLLRSLRHLSAEQGRGADLHLASRAGEGILNIVRMAWSQSARKPMIGLLFRAQQHSARGYSYSAFQAHLSSSNVDQSATLLRRFSSEVPASEQMNLIKQLRERTSAPIKDVKASLVSCNWDIDVAQKDLRKRGVVLAAKKSSRTAAEGLLAIAQDEKRAAVVELNCETDFVARNDVFQYLASSLAKLALSARDPGELVFPFGPDYLENLNVNLDHPKLSGETTVQSAVTEVAAMVGENVKFRRGFIMSTTAHGVVCSYMHTCPQPGLGRLAGLITLEAEDSNAPLDALQRVGKSIAMHIVATKPLFLSKELVSASAVENERDILRTQAESSGKSQMAMEKMVEGRLRKYFEEVVLLEQKYVVNDSTNIKSVLNDLSKEVGSKVTVGNFARMEVGEGVSKILIVTGKRKEWILSK >ORUFI08G15660.1 pep chromosome:OR_W1943:8:17110470:17115582:1 gene:ORUFI08G15660 transcript:ORUFI08G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGARWQPRRSGWAEWGGDARPARSPPPRRRRPDVAAGWRGEGTSRVRGRGEKGTTHGGAEGEGAVVAPVRTADAVPARNAVAVAGGLATRGVERDAGQVVAREEKRHGGELGTKRGLEERAARSPPPPPPPKRRAVSAIRQFPPGCGRDAAAPVARGRGCDGGVRLLDEATAAPLAGSKDDSAVPGVVEKVASVDGGDSMANAHHHHHAMMDTVLMKSSHVSDENQVARKVGSLENGAEGSARGKGGHGGELLGRKEVLAQAANLLPKRRIVSATRRFPPGCGRDAVAPLAHREESKVGSSLEAMPVDAGWGVSKEVVTTDGRNNSVNQCASNIVGTVKCQELEEGEVAAEACCDVESQKVAAADVVKVMNKCKGTKSKAAAEPWAEGPSKEHFKAKRECEKDGMKKSSMNVPTEVFRDGIMRTKLLLTARKAVKPPLNTLHIPFSMGKEESVVTNSASFGPKKKVKVKSPHESKGIPMKIVSTSGLAGKDNLINEKALSLEDDDILKALAVHNGKLELYLNVPSCVERHRQHGSENGNDRSKIRMLCRRFQFICNALLHAVEQGSLMVRRIDLEADKIIRKLPGFTKHGPTVGNVRGVEVGDEFLYRVELALVGLHRPYQGGIDTTDHNGVLVAISIVASGGYPDELSSSGELIYTGSGGKPAGKEKHEDQKLGRGNLALKNCIKTKTPVRVIHGFKGQNREDVSHSRAKQILTFTYDGLYLVLDCWREGLKGSRLNIRTLGWDPKDGENHLDVVLRSLLLIALVELGHEETINEGVRRFHIFLKDSKTNLLPPDTRKASYLSMMQTVTTSSRGGNGALLKIYRETAEALEKSVKSRILGLPDSGVQSKLDICDHESPSMHDAGKPVTTEEKATEFSEFFAGEGFKPSFERVLKQSLERVRISPRWIESIRPCSYSA >ORUFI08G15660.2 pep chromosome:OR_W1943:8:17110470:17115582:1 gene:ORUFI08G15660 transcript:ORUFI08G15660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGARWQPRRSGWAEWGGDARPARSPPPRRRRPDVAAGWRGEGTSRVRGRGEKGTTHGGAEGEGAVVAPVRTADAVPARNAVAVAGGLATRGVERDAGQVVAREEKRHGGELGTKRGLEERAARSPPPPPPPKRRAVSAIRQFPPGCGRDAAAPVARGRGCDGGVRLLDEATAAPLAGSKDDSAVPGVVEKVASVDGGDSMANAHHHHHAMMDTVLMKSSHVSDENQVARKVGSLENGAEGSARGKGGHGGELLGRKEVLAQAANLLPKRRIVSATRRFPPGCGRDAVAPLAHREESKVGSSLEAMPVDAGWGVSKEVVTTDGRNNSVNQCASNIVGTVKCQELEEGEVAAEACCDVESQKVAGHGEKLESAVPVTSAVTEVLTRCGSDEMEGCSYAAEATEKHLSMGGKCSIGGPFNEIVHGKRVLGSDGIKREVPSLAMEDHGSIAHDQELVEVELTTGDHIQEAQVATTVNPHESTISRHEAAVSANTAPEVSIRHFSSVKNGNTSQHEETIYASAAADVVKVMNKCKGTKSKAAAEPWAEGPSKEHFKAKRECEKDGMKKSSMNVPTEVFRDGIMRTKLLLTARKAVKPPLNTLHIPFSMGKEESVVTNSASFGPKKKVKVKSPHESKGIPMKIVSTSGLAGKDNLINEKALSLEDDDILKALAVHNGKLELYLNVPSCVERHRQHGSENGNDRSKIRMLCRRFQFICNALLHAVEQGSLMVRRIDLEADKIIRKLPGFTKHGPTVGNVRGVEVGDEFLYRVELALVGLHRPYQGGIDTTDHNGVLVAISIVASGGYPDELSSSGELIYTGSGGKPAGKEKHEDQKLGRGNLALKNCIKTKTPVRVIHGFKGQNREDVSHSRAKQILTFTYDGLYLVLDCWREGLKGWDPKDGENHLDVVLRSLLLIALVELGHEETINEGVRRFHIFLKDSKTNLLPPDTRKASYLSMMQTVTTSSRGGNGALLKIYRETAEALEKSVKSRILGLPDSGVQSKLDICDHESPSMHDAGKPVTTEEKATEFSEFFAGEGFKPSFERVLKQSLERVRISPRWIESIRPCSYSA >ORUFI08G15660.3 pep chromosome:OR_W1943:8:17109971:17115582:1 gene:ORUFI08G15660 transcript:ORUFI08G15660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQRGCKKPLAHATRAALRPSLRSSCVRVHRHRAEPAVACRRARTRVKRVKPSGAAAAGLAYGRHVASPYQSREGEKSGALPRNPSCLLAARSLLAASASPPWPPVPGRGGGLDRWEMLSSGARWQPRRSGWAEWGGDARPARSPPPRRRRPDVAAGWRGEGTSRVRGRGEKGTTHGGAEGEGAVVAPVRTADAVPARNAVAVAGGLATRGVERDAGQVVAREEKRHGGELGTKRGLEERAARSPPPPPPPKRRAVSAIRQFPPGCGRDAAAPVARGRGCDGGVRLLDEATAAPLAGSKDDSAVPGVVEKVASVDGGDSMANAHHHHHAMMDTVLMKSSHVSDENQVARKVGSLENGAEGSARGKGGHGGELLGRKEVLAQAANLLPKRRIVSATRRFPPGCGRDAVAPLAHREESKVGSSLEAMPVDAGWGVSKEVVTTDGRNNSVNQCASNIVGTVKCQELEEGEVAAEACCDVESQKVAGHGEKLESAVPVTSAVTEVLTRCGSDEMEGCSYAAEATEKHLSMGGKCSIGGPFNEIVHGKRVLGSDGIKREVPSLAMEDHGSIAHDQELVEVELTTGDHIQEAQVATTVNPHESTISRHEAAVSANTAPEVSIRHFSSVKNGNTSQHEETIYASAAADVVKVMNKCKGTKSKAAAEPWAEGPSKEHFKAKRECEKDGMKKSSMNVPTEVFRDGIMRTKLLLTARKAVKPPLNTLHIPFSMGKEESVVTNSASFGPKKKVKVKSPHESKGIPMKIVSTSGLAGKDNLINEKALSLEDDDILKALAVHNGKLELYLNVPSCVERHRQHGSENGNDRSKIRMLCRRFQFICNALLHAVEQGSLMVRRIDLEADKIIRKLPGFTKHGPTVGNVRGVEVGDEFLYRVELALVGLHRPYQGGIDTTDHNGVLVAISIVASGGYPDELSSSGELIYTGSGGKPAGKEKHEDQKLGRGNLALKNCIKTKTPVRVIHGFKGQNREDVSHSRAKQILTFTYDGLYLVLDCWREGLKGSRVLKYKLQKIPGQPKLPLHIAKYQNTRLGSQGW >ORUFI08G15660.4 pep chromosome:OR_W1943:8:17110827:17115582:1 gene:ORUFI08G15660 transcript:ORUFI08G15660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANAHHHHHAMMDTVLMKSSHVSDENQVARKVGSLENGAEGSARGKGGHGGELLGRKEVLAQAANLLPKRRIVSATRRFPPGCGRDAVAPLAHREESKVGSSLEAMPVDAGWGVSKEVVTTDGRNNSVNQCASNIVGTVKCQELEEGEVAAEACCDVESQKVAGHGEKLESAVPVTSAVTEVLTRCGSDEMEGCSYAAEATEKHLSMGGKCSIGGPFNEIVHGKRVLGSDGIKREVPSLAMEDHGSIAHDQELVEVELTTGDHIQEAQVATTVNPHESTISRHEAAVSANTAPEVSIRHFSSVKNGNTSQHEETIYASAAADVVKVMNKCKGTKSKAAAEPWAEGPSKEHFKAKRECEKDGMKKSSMNVPTEVFRDGIMRTKLLLTARKAVKPPLNTLHIPFSMGKEESVVTNSASFGPKKKVKVKSPHESKGIPMKIVSTSGLAGKDNLINEKALSLEDDDILKALAVHNGKLELYLNVPSCVERHRQHGSENGNDRSKIRMLCRRFQFICNALLHAVEQGSLMVRRIDLEADKIIRKLPGFTKHGPTVGNVRGVEVGDEFLYRVELALVGLHRPYQGGIDTTDHNGVLVAISIVASGGYPDELSSSGELIYTGSGGKPAGKEKHEDQKLGRGNLALKNCIKTKTPVRVIHGFKGQNREDVSHSRAKQILTFTYDGLYLVLDCWREGLKGSRVLKYKLQKIPGQPKLPLHIAKYQNTRLGSQGW >ORUFI08G15660.5 pep chromosome:OR_W1943:8:17113533:17115582:1 gene:ORUFI08G15660 transcript:ORUFI08G15660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLKSTEKLLKHWRSTLSSCPAKDIVLEAPNFMVTDEPDSGVQSKLDICDHESPSMHDAGKPVTTEEKATEFSEFFAGEGFKPSFERVLKQSLERVRISPRWIESIRPCSYSA >ORUFI08G15670.1 pep chromosome:OR_W1943:8:17123808:17124656:1 gene:ORUFI08G15670 transcript:ORUFI08G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVGRRRRRSLGRASGSLSSSPSSSSSRGCGWAWRRGERWSAGARGGGDESWWKRSEARGRGGDREECGGRRRRWLGHVRRGAGCCASGGR >ORUFI08G15680.1 pep chromosome:OR_W1943:8:17124778:17128299:1 gene:ORUFI08G15680 transcript:ORUFI08G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPTLELSEMMLHAAQPWRSRCTQRDVRPGAVPPRPVAADGRGEGTSTVRGRVLEGTTRGGGRGGGMEREREVVAPARNAVAVAGDLATHGGERVAGPLVAKEKRNGGGELGTKRGLEKRAPLPPPKRRVVSAKRQFPPDFGRDSAVPLGRGRGRGGGVRPSDGAPARAVLGEKVASAGNGDSMANVHHHAVMDTVLMKSSHASDENLVAFKVGSPENGAEGAARGKGAHNGELLGKREVLAQAVNLLPMRRTVSATHRFTAGCGRDAAAPLARREEGKVGSGLEVMPVDVGGGVSKEVMATDGSKHSVNQCTANIVGAVGVLDGTVQYQELEEGEVADEAYCDVESQKVVGCDSFDDSAGERHEGVVPVTFAVTEVLTSHAYDEMMQIKALQEGGSDAAQETEHDLPMGGKCETILPDASPKCSFGGPSNEIVHGKRVLGSHGMKGEVPSLAIEDHGGIAQIDQELEDVEMTTGEYRVQDAQIATHVIPHESTTGRHEGGLCASAAAEDVKVMNKYKGTLPKGAAKSSMNIATGVFGDGIMRSKILSTARKVVKPPVRASHKPPLNTLHRPFSTNSASFGHKKLKVKRPDQSKDIPMKIASTSGLAGKDNLIDEKALSLEDDDILKALVVHDGKLEVYLNVPSCVQLHRQHGSGNADDRSKIRMLCRRFQFICRALLHAVEQGSLKIRRVDLAADKIIRKLPGFTKPGPTVGNVNGVEVGDEFMYRVELALVGLHRPYQGGIDTTDYNGVLVAISIVCSGGYPDELSSSGELIYTGSGGKPAGKKKDEDQKLERGNLALKNCIETKTPVRVIHGFKGQNREDNSHSRAKQILTFTYDGLYLVVDCWTEGLKGSRIFKYKLQRIPGQPELPLHIAKGLRRSLSRPGLCIADISQGKEMDPICVINDVSNVHPTSFQYISRIKYPSWLTKRHPQHHGCDCSDGCIDSTKCFCAVKNGGKIPFNSNGAIVHDKPLIFECGPSCRCHSSCHNRVSQKGMKIHLEVFRTANKGWGVRSLRSISSGSFICEYVGILLTDKEADKRTNDEYLFDISHNCDDEDCSKGRPSTISSLNSSGGCSQTMEDVCFTIDASEYGNIGRFINHSCSPNLYAQNVLWDHDDQRVPHIMFFAAENIPPLQELTYDYNYKIGEVRDLNGRVKVKDCHCGSPQCCGRLY >ORUFI08G15690.1 pep chromosome:OR_W1943:8:17133416:17137970:1 gene:ORUFI08G15690 transcript:ORUFI08G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRAANRCIVQEDRKRAPKLACCPPSSEQQHVKSNGNCRNSEDRPVPNFMPLSWNPMNSSLPPDIRWWLQLQPNLGGQKNLAGEHLYFLGREISDKEVEDSAQKNIHDEPLFCEMFDTNPEKIEDVFEPSWMVSTASMKYSSETGLQDLKNIGGYSQVPSKCKENASDCLFNDKEFLDFKNFNPPPSKNPQKDDFDMNAPWKGGERSQPWWQITDENELALLVAERAMQHIENCDLPRPTQIVRVQGTESRSHENMGRYRGSSGPAGTMSYPDTGQCEHIECSYSTASTDEVDLTSDGVWQQQERNVARSDAQDFSRGINTEPRGKRTYQNPAEQAQLLEALCHSQTRAREAEMAGKKAQSEKDDVIKLFFRQASHLFACKQWLKMLQLENICLQLKHREHQIATMIPDIPWITLKKRTTPDHEKEDWTRKKGRRHKNAGSFCDALLFAVGLGLAGAGLLLGWTFGWLLAKF >ORUFI08G15690.2 pep chromosome:OR_W1943:8:17135089:17137970:1 gene:ORUFI08G15690 transcript:ORUFI08G15690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRAANRCIVQEDRKRAPKLACCPPSSEQQHVKSNGNCRNSEDRPVPNFMPLSWNPMNSSLPPDIRWWLQLQPNLGGQKNLAGEHLYFLGREISDKEVEDSAQKNIHDEPLFCEMFDTNPEKIEDVFEPSWMVSTASMKYSSETGLQDLKNIGGYSQVPSKCKENASDCLFNDKEFLDFKNFNPPPSKNPQKDDFDMNAPWKGGERSQPWWQITDENELALLVAERAMQHIENCDLPRPTQIVRVQGTESRSHENMGRYRGSSGPAGTMSYPDTGQCEHIECSYSTASTDEVDLTSDGVWQQQERNVARSDAQDFSRGINTEPRGKRTYQNPAEQAQLLEALCHSQTRAREAEMAGKKAQSEKDDVIKLFFRQASHLFACKQWLKMLQLENICLQLKHREHQIATMIPDIPWITLKKRTTPDHEKEDWTRKKGRRHKNAGSFCDALLFAVGLGLAGAGLLLGWTFGWLLAKF >ORUFI08G15700.1 pep chromosome:OR_W1943:8:17162803:17166334:1 gene:ORUFI08G15700 transcript:ORUFI08G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLGVGDEALEAIGSSCSALENLSLDNLNKCSDRLNNGFFFMSIIFQRNLVIDLPMVHMYGVGLGVQSPACDVCQDRLLQNTAYKYWTADIIGQSVASLNVTSGRSWTYNLWMARSGSARRRLPWTPKYCEHYMPVRSGIISIVMVLLVYRFRVFVPENHLPLYEQPIPGSSIN >ORUFI08G15710.1 pep chromosome:OR_W1943:8:17177505:17180995:1 gene:ORUFI08G15710 transcript:ORUFI08G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVIMDPQLLMAARSGACKALENLLVNHEEAAAESHLVIRMPEEGASNTSSTSSDLAQQGQPANRPAAASPLLEGLTLDSDQDSALHVVATSGDGEQYVQCAEMIHGRARHLLGATNNRGDTPLHCAARAGHHAMVCRLISLAAHEGGAANGRILSTRNKLGETALHGAIRGGNRMVVERLVSEDPELARIPEDRGIGASPLYLAVSLGRLEIARDLLDRSPTTLSYSGPEGQNVLHISVYRGEALSILLDKCKDVKVNIDQGGRYRSMPVLLHLTSQGDKNGSTPLHFAASLKTSTTGLSRWSEYFHPKPSPTTLLLDANESAMYQPDNGGSYPIHVAASNGTLKAVITLLGRSPGCIALRNMQGKTFLHVAVEKKRHSIVAFVCKRPELASVLNVQDNQGDTALHLAVKAGLVSIFNLLFRNREVSLNLPNKDGLTPRDLSWIMIPARFYYKKNSRGMIHQSLALARAPVGHSRQDHFYEKHSKRRDEEIDSEYLTNATSVLGISSVLIATVTFAAAFTLPGGYRADDHANGGTPTLAGSYSFNAFITANTLAFSCSLLATVSLLYSGMPSREISIRYVYQSLSLVMMRSSATSLVAAFALGMYVVLAPVALTMAKSVCAITFLSFLSACMEVRRPLIVANSVRIRVGIWAARYQAAPVLKFIGKRFWSYVIIFGLPAVLKIRGTQ >ORUFI08G15710.2 pep chromosome:OR_W1943:8:17177505:17180995:1 gene:ORUFI08G15710 transcript:ORUFI08G15710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVIMDPQLLMAARSGACKALENLLVNHEEAAAESHLVIRMPEEGASNTSSTSSDLAQQGQPANRPAAASPLLEGLTLDSDQDSALHVVATSGDGEQYVQCAEMIHGRARHLLGATNNRGDTPLHCAARAGHHAMVCRLISLAAHEGGAANGRILSTRNKLGETALHGAIRGGNRMVVERLVSEDPELARIPEDRGIGASPLYLAVSLGRLEIARDLLDRSPTTLSYSGPEGQNVLHISVYRGEALSILLDKCKDVKVNIDQGGRYRSMPVLLHLTSQGDKNGSTPLHFAASLKTSTTGLSRWSEYFHPKPSPTTLLLDANESAMYQPDNGGSYPIHVAASNGTLKAVITLLGRSPGCIALRNMQGKTFLHVAVEKKRHSIVAFVCKRPELASVLNVQDNQGDTALHLAVKAGLVSIFNLLFRNRENSRGMIHQSLALARAPVGHSRQDHFYEKHSKRRDEEIDSEYLTNATSVLGISSVLIATVTFAAAFTLPGGYRADDHANGGTPTLAGSYSFNAFITANTLAFSCSLLATVSLLYSGMPSREISIRYVYQSLSLVMMRSSATSLVAAFALGMYVVLAPVALTMAKSVCAITFLSFLSACMEVRRPLIVANSVRIRVGIWAARYQAAPVLKFIGKRFWSYVIIFGLPAVLKIRGTQ >ORUFI08G15720.1 pep chromosome:OR_W1943:8:17193787:17195678:1 gene:ORUFI08G15720 transcript:ORUFI08G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNANGGFLPHATLQPDPATDYFSFFFFRLMNKPAPVAPPPPISSARRAHLLRSSHSSSPPPSTPGYAKEAGSSLWLAISHSTGAPRPPGVCCNAPTTPHRLDRSSRRRGSSAAAPREGSVAKAYRRGASRNG >ORUFI08G15730.1 pep chromosome:OR_W1943:8:17194611:17199405:-1 gene:ORUFI08G15730 transcript:ORUFI08G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLINRGVFFKILAFLCFIRSSQGRNHFTTLDLPPLLKASSFSRIQHEAYDYIIVGGGTAGCPLAATLSQKYKVLLLERGGSPYGNRNISHLENFHICLADDSPNSPSQGFISTDGVINARAKVLGGGTSVNAGFYSRADPSFVQDAGWDAELVNQSYPWIEERIVYWPNITPWQAALRDGLLEAGVSPYNGYSYDHLFGTKVGGTIFDEAGYRHTAADLLAAGNHNNLRVLLHASVTRIIFNTEQEHRKPRTIGVEFKDENGGQQHAFLTRNRDSEIIISAGAIGSPQLLLLSGIGPRKELKKHNISVVLRNEHVGKGMSDNPMNSIFIPTKDAPKQSLIQTVGITDGGAFIEASSGFSQSPDSIQCHHGIMSAEIGQLSTIPPKQRNLDAVKKYVHKKYNLPKEVFSGGFILSKIDGPLSTGNLVLVDTDINSNPTVTFNYFQHPKDLSRCVYGIKTIERILKTNHFTNFTLNGGGYPMEVVLNMSVTANINLIPKHTNDSTSMEQFCRDTVVTIWHYHGGCHVGKVVDQQYRVIGVSGLRVIDGSTLFRSPGTNPQATVMMMGRYMGVKILRRRLGRAAGSEISNIRHS >ORUFI08G15740.1 pep chromosome:OR_W1943:8:17211260:17218609:-1 gene:ORUFI08G15740 transcript:ORUFI08G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRLLHGVMEAKVLEAKLSSVSSEASDYGHGQPKLAAYSKEVDSLNEMEDLRLTLEKRNRLVLVPESEAAEDKALVTNHEAAVHLHLPGSTSPLTKRTTATASASSTPPLAWTRRASPGSPRPRPPAQPQWTEEPLHVYCAHDASDIVFTIVTTGGHRDGDPEDGTAEEVVGQAYLPADDVGGGKEIDRWLPLCDEKRKPLEGLDKVHVQLRFTDVMSDVTSRWGKGVDGPVPPPPYTGLPRAFFGQHRGCKVTLYQDAHVAPPLAGSRCWEDVFDAVANARSLVYIAGWSVSTDVALVRDPRRPAQTLGHLLKSKAGERVAVLLLVWDDRAATGLGAARRDGRMGAARGEDTASYFRGTGVHCVVCPRDAVFTHHQKAVVADGPRGLVAFLGGIDLCGGRYDTQEHPLFRTLATAHRDDFHQPSFPGASVAKGGPREPWHDVHCRIEGPAAWDVLDNFEQRWRGQGGAGGEALLARLPRSSAAREAVEQDNQEWHVQVFRSIDSRAVDRFPDTAGEAARCGLVTGATGDTVERSIQDGYIHAIRRAKYFIYIESQCFLGSSYGWNRDVAGGAATAKNAAAAAVAPHTIPKELSLKLASKIRSGDSFRVYVVLPMWPEGVPESATVQAVLDWQRRTMEMMYKDVAAALAARGSTQNPREYLSFFCLGNREPYVPGEHAPPERPELDSDYMRAQQARRFKINVNANIMIGRREYIIWTRDIDKIWTFDKNTMIKTKPLTIDRHDFFAVDDEYIIVGSANVNQRSMDGGRDTEMAMGAYQPRHLDTPNSWPRGQVHQFRLALWREHLGQAAFQAAAAAGDDMIYPSRHGCMSRVNQAARQHWDMYASDKFQGSLPGHLMAYPVGVGDRGELWEAVPFFPDTNAKVFGCSSDELPPVLTT >ORUFI08G15750.1 pep chromosome:OR_W1943:8:17223346:17223999:-1 gene:ORUFI08G15750 transcript:ORUFI08G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVESSSSPSLWWWPLPPWLSPGAAWFVLFTVVVGAVAVSSRAHEQAPPPPPSSTRRRLTRSASSMVMERLRSFSAFSFVHAISGVQEDDITVGPPTSPAASGNAEAAEENPIGLDEAHAHPAVAAVRPPPPQAAAAAAATAGEVAAAATAEERPRKRREAAKGRRAFAEVEGKAEVNARAERFIRQFREDLRLQRLMSVLNRTHALAGAASSSAP >ORUFI08G15760.1 pep chromosome:OR_W1943:8:17228536:17229906:1 gene:ORUFI08G15760 transcript:ORUFI08G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTTTITTTNTTTTTTNNNNNPVSTTTTTTASHQRQSLPVAARPPHSSGSGSGSGGSHRGASGGGSGGGGGGGGGGGGGGGGTNQACAACKYQRRKCNPDCPMAPYFPADQQRRFLNAHRLFGVSNILKTLRHLKPELCDDAMRTLIYQAEMRAHDPVGGCCRVICDLERQLELDTAELNTVLHHLAMCRQAAAAGASGSVAAALPAGVLDDACADLDVTSSNQPLLLSAEHEVVDALYANQEADAAILHADGHHNQDESQREHHHGQPQQLYDYFYYDSTAGDDVSSKPHLDINVDGMQHFDFDTNYDAEHKVELTSDHQMPVGVDEHNQIDDKGFEIKSGPSLVDVFDLRQEEEQVQTVDVNTDIEVKEMVDMNADIDVKTMVDENSNIDIIKTMVDVNADIVDVVKTVVDVNGDIGVKEELPELDNGKIIAGDATQMAESSHCRLGLGVSSF >ORUFI08G15770.1 pep chromosome:OR_W1943:8:17244273:17245064:1 gene:ORUFI08G15770 transcript:ORUFI08G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVQMLSWLSSGAAWFVFLNVIVGAIAVVSWLGQGVGGTTSTPSSAARRRLARTASTVVMERLRSVANFPFQYLSGDYSATPLHVHGDVSSGSDYFYYPREAEEELVEAVAAAPFRPEPPAPVREVVAATTPSMAAPRSSPVAAATAAAIKNEEEEAEAEEEEESISLDEAYALAQQAQARSPRSAPDAAAAAKAADAKPRRARAEEVEEGKAEVNARAERFIKQFREDLKLQRINSIINYTNALRRRGAGGVAATAPAPAR >ORUFI08G15780.1 pep chromosome:OR_W1943:8:17252912:17254987:1 gene:ORUFI08G15780 transcript:ORUFI08G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLLLPRRSLCSTSAAAAATHLHELASLLAAGRFHASVDLAKSLLLTAQPPAASVVPDLYHALAAVAAAAASASASASPGDPHPASSFLCDAASALVVASARLRLPDGALRLLSDLADEARAPLPSLSSCNLLLEALLSLGRHADVRRAFGILASAGARPDTFAWNKAVQTCVAAGDLGEAVGMLRRMGRDGAPPPNAFSYNVVIAGMWRAGRGGDAVEVFDEMTERAVLPNHITYNTMIDGHIKGGDLEAGFRLRDQMVCHGLKPNAITYNVLLSGLCRAGRMGETSALLDEMASQKMVPDGFTYSILFDGLSRNGDSKAMLSLFGKYLKNGVTIGDYTCSILLNGLCKDGKVSIAEEVLQSLVNAGLVPTRVIYNTLINGYCQTGELEGAFSTFGQMKSRHIKPDHITYNALINGLCKAERITNAQDLLMEMQDNGVNPTVETFNTLIDAYGRTGQLEKCFIVLSEMQENGLKPNVVSYGSIVNAFCKNGKIPEAVAILDDMFHKDVLPNAQVYNAIIDAYVEHGPNDQAFILVEKMKSNGISPSIVTYNLLIKGLCNQSQISEAEEIINSLSNHRLIPDAVSYNTLISACCYRGNIDKALDLQQRMHKYGIKSTVRTYHQLISGLGGAGRLNEMEYLYQKMMQNNVVPSNAIHNIMVEAYSKYGNEIKAEDLRKEMLQKRNNHDDT >ORUFI08G15790.1 pep chromosome:OR_W1943:8:17257777:17262041:1 gene:ORUFI08G15790 transcript:ORUFI08G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSGQLLVRLQRRWCGLGRALRRGCGGGVAERLQQRVVVASGSIAIVICALHLIDLLPLIGFLLMFELDPWRRVCGEQDLQTAACGGGDGAVGLSFETHHGGSVAPSPEFAACAASSCSAELMVLLVLQRGELLVRHDRPSHHHRRRFPTPQPAEAAAAVEVGWGFQNPRDAMTCLCKGL >ORUFI08G15790.2 pep chromosome:OR_W1943:8:17257972:17262041:1 gene:ORUFI08G15790 transcript:ORUFI08G15790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAEKAAALRQGLTAGDGEARRTGALWRTDAWRQRAAASAAAAFELDPWRRVCGEQDLQTAACGGGDGAVGLSFETHHGGSVAPSPEFAACAASSCSAELMVLLVLQRGELLVRHDRPSHHHRRRFPTPQPAEAAAAVEVGWGFQNPRDAMTCLCKGL >ORUFI08G15790.3 pep chromosome:OR_W1943:8:17257891:17258584:1 gene:ORUFI08G15790 transcript:ORUFI08G15790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAEKAAALRQGLTAGDGEARRTAGSMATGLWRTGPADRGLRRRRRRRGAQLRDAPRRQRGAFAGVRGVRGELLQRGTHGVAGPAARRAPGAP >ORUFI08G15800.1 pep chromosome:OR_W1943:8:17257884:17258495:-1 gene:ORUFI08G15800 transcript:ORUFI08G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQELAALQDQQHHEFRAARARRARRELRRRRHAAAVVRLEAEPHGAVAAAAGRGLQVLFSTDPSPWIQLKPAHTSTRNQSTATNRSNAMHKSQWRWSRSPPPPAAAAALQRRHRSHGAELGQVRTTDAAAALAAARCRHASVRHNAPVRRASPSPAVRPWRSAAAFSAARRAIPAQAVAPFLRSAACVRKKRIGERCGLHL >ORUFI08G15810.1 pep chromosome:OR_W1943:8:17259761:17264863:-1 gene:ORUFI08G15810 transcript:ORUFI08G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITGAGAMGGGSTAATAAAAAGAGWKTPVSMVLVQLFITGQILLSKVSIGGGMLIFVLLAYNSFFAVVFLLPFALIFERGKWRDMDWGAFGWIFLNAFIGYSVPMSLYYYGLKDTTSSYSVIFLNITPLFTFILSLMFRLEAFKLRSIPGVLKIASILLSIGGTMLISLYKGKSLHLWDSIIQHQNEHKSATNQLRGTILLVGSSFTFACWFLIQGALATAGKYILNSWAITKRGPTYPTMFSPLSVVFTVVLDSVLLGNDITIGSLLGTALVIVGLYLFLWAKAREIPKKST >ORUFI08G15820.1 pep chromosome:OR_W1943:8:17281849:17282958:1 gene:ORUFI08G15820 transcript:ORUFI08G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLHYLSDLLLGGSSGKTSHKKKRQFNTVELKVRMDCDGCELKVRNTLANMKGVQSVEINRKQQKVTVQGMVDTQRVLRRAQSTGKRTELWPYVPYTNPYVAPPAAYDKKAPNGHIRRVDAVLPVTPSQEERLATLFSDDNPNACAVM >ORUFI08G15830.1 pep chromosome:OR_W1943:8:17303281:17304108:-1 gene:ORUFI08G15830 transcript:ORUFI08G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLFPDTPATISFHPAHRPGHKLKLVRTGGQKFKCDGCMEHGDGPRYRCERETCNFDLHTCCALAPATREHRLFPGCTFVLLPEPPPPTAAGERRICDACGEGVHARGLVYHCSGRGDGGLGLDLHPTCASLPARFAVGGGRVFELRKEASRRCAECGEMRCGGGRRFWFYRSYSYADGDGEALYLHVACLKRMQTQYGAAADVRSVQVMSSPVMEGVLRSLPPARRRATAAGGGGGLERFLTIVAGVIRAIIGVIFGDPTFLIELAVGAILNS >ORUFI08G15840.1 pep chromosome:OR_W1943:8:17312673:17313153:1 gene:ORUFI08G15840 transcript:ORUFI08G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSASSSVVRRRNGELPLGKCPRCVTQLEARTSRTPKNPNKNFVKCPYLEDVVVEFFMWESQYEQYLVDGRVGVGHQSGRESHVEAMASMGFGVSELKGCNAVGQILVYIRVLQALLLLLILVVVISK >ORUFI08G15850.1 pep chromosome:OR_W1943:8:17324883:17326217:1 gene:ORUFI08G15850 transcript:ORUFI08G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTESLHPVDRKTGAPLPKTGHLHHWRHGVVGQNADVGPVVEASEISAVGGDGLYDYIEPEELPYGSVKFWAAHRVTNNGKWMRRLAHTSDRITPSNIPSIV >ORUFI08G15860.1 pep chromosome:OR_W1943:8:17338038:17340043:1 gene:ORUFI08G15860 transcript:ORUFI08G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYPAQGHVTPMLKLAVLLHARGFHVTFVNNEFNHRRLLRARGAGALDGAPGFRFAAIDDGLPPSDADATQDVPALCHSVRTTCLPRFKALLAKLDEEADADAGAGAGDARRVTCVVADSTMAFAILAARELGLRCATLWTASACGFMGYYHYKHLLDRGLFPLKSEADLSNGHLDTKMDWIPGMPADLRLRDLPSFVRSTDRDDIMFNFFIDVTATMPLASAVILNTFDELDAPLMVAMSALLPPIYTVGPLHLTARNNLPADSPVAGVGSNLWKEQGEALRWLDGRPPRSVVYVNFGSITVMSAEHLLEFAWGLAGSGYAFLL >ORUFI08G15870.1 pep chromosome:OR_W1943:8:17338497:17339584:-1 gene:ORUFI08G15870 transcript:ORUFI08G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISGASSSSKVLRITADASGMVAVTSMKKLNMMSSRSVLRTKLGRSRRRRSAGMPGIQSIFVSKCPLLRERIRMMCTFEGEEAAVEEVFVVVVAHETARAGGPERGAAEAELARGEDGEGHGAVGDDAGDAPRVAGAGAGIGVGLLVKFGEERLEPGQAGGPDGVA >ORUFI08G15880.1 pep chromosome:OR_W1943:8:17342163:17342642:1 gene:ORUFI08G15880 transcript:ORUFI08G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGCAGAGRWRDGVAGGAGRRRRGGVVGWHMAGRRHCDGQLTGRGAAAVASRMWGAEMGTGLCGARVRRDCGAMVAPRRVRVGGEPASDSGGLGLCQHST >ORUFI08G15890.1 pep chromosome:OR_W1943:8:17351177:17351724:1 gene:ORUFI08G15890 transcript:ORUFI08G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVSAFAFLAEPPAAAAGGRICDACGDGVRGFVYHSDDPDLDLHPCCAFLRRRVVVQDGRHFELRKRAPLRRPVRREERPPPQLLGVPDLRRRRRTRVPAHRVRQGRTPRASVPRRRTPRSGGFERFCKIVNVVVSVIIAVIFGNPMAMIIKMILRK >ORUFI08G15900.1 pep chromosome:OR_W1943:8:17358395:17359204:1 gene:ORUFI08G15900 transcript:ORUFI08G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFEEPPAKLTHWAHPEHELTLAATAGAPFRCDGCQEPGGDGPRYRCAPCNFDLHTDCALPPATLQHPLLFKGGGCTFVFLREPPAPAAASRQCDACGDDVRGFVFHCADRDLDLHPCCASLEDRIVTGGGGDGDGRVFELTKAASSSSSRRRCGVCGDKSRRTFWFYRGRFDGEDVFIHVACVKELAVRRWEASYRRRSGAGQIALAGAPLMEGALQSLPRRTRRSGGFERFSKIVGVIVSAIIAVIFGNPMGLIAAVAGPDGLLRG >ORUFI08G15910.1 pep chromosome:OR_W1943:8:17362270:17362666:1 gene:ORUFI08G15910 transcript:ORUFI08G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSSINHTLVKVSVEVLDTPLLRTSSQVNFSQPSSSTQPSHALVPSNTYLENPYPMAKHVGVDEQGMYLDDGDEHVVMLRKLDFKGLSVKNPSMNLRMDVHEDKSQDGLEDESQDDCVDESMVSDGIP >ORUFI08G15920.1 pep chromosome:OR_W1943:8:17368231:17369049:-1 gene:ORUFI08G15920 transcript:ORUFI08G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGTPAKIKHKFHQHELKLVTAAADDKERFKCDGCNGSIGAACARYTCNSCDFDLHTSCALAPLVLPEHRLFDGCKFRLLRESPPPGPGNRRVCDACGGDVTGRGFVYHCSDRDLDIHPCCATLPESVALDGAEFVLCDGGGRNVPRQCAFCKRDDGGCSCSRTLRRKVWTYRSCYDGEAMYLHVACVKEMVQEILAAGHGGGGGDGGGRSIISVSILEKTMKKRKRSRTGKAVKCFLNFAISVIVSVLFGDPTGLAVPLVGAFISNVVYG >ORUFI08G15930.1 pep chromosome:OR_W1943:8:17377731:17379263:-1 gene:ORUFI08G15930 transcript:ORUFI08G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDYQRFARAVAAVAAAAGRHLPDDAVISDISRSLSSRAAHRLAAACPRWRAILSQPTFLCRHLSPRPLAGERPRALIVQPRKLRFTHLSLVAVDPADELAVHVPIRNKYKRPTRLYHESHRRSFIPNASAADEPLPEPEPDRFADHLAPGLEVVDADDDDDHVAFFERTVPALDISIVAAHGRLLLARGRSCYYVCDPAANRWVELPPSTLPPEHGINSGLHYDDLDDNASSWTGRLDFTVVLIGCRHRRVVVETFTSATGRWETKELPEQGTQGLARSVGGGPASPGIHVGGCFYWLTHRRNRGRILRYDVAGGRVTVVREPARAEGSIGRAERSLGSTGGRLRMCAFDVRDDSDESGSPYPHDGGVGVHGVWVMTTDDSVDAPAWRRVHEATVDDVGFYYFHMLFERERPVDFAGACGDFVVLDDSGYKLWRYDYLESGDNRRVELWNLNNPKDDNLRDLYERNQVSYVFEELYDRYHVFPFFGSKLLFGLIILIALLNKSAVSKKK >ORUFI08G15940.1 pep chromosome:OR_W1943:8:17380919:17381779:-1 gene:ORUFI08G15940 transcript:ORUFI08G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKSDKDAPAAAEIRGHPFHPAHKLKLITADDAGAGRFVCDGCKELGGAGCERYECEEAGCDFDLHAPCALAPDVLPAGRALFKGGAASFVLLHEPPPTAAPDDGDVRVCDACGDDVRGFVYHCFDRDLDLHPCCAHLPGRVALGGAAFELSSGGTAPRRCLLCTEEGSRPHLRRNYWTYSSDDLDGEAVHLHVACVKRMAYESSSAGSSSSHRTDGGGGGRNMPVIRAPVQAAVALRKKNGRPRSKLKKLLKIVVFVLRVIAGVLFGDPTAMAVAVVGLVFPNG >ORUFI08G15950.1 pep chromosome:OR_W1943:8:17388340:17388594:-1 gene:ORUFI08G15950 transcript:ORUFI08G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWSGEEEDGSGGGRGGFGTSVDVAPERKFRGVRKRPWGKYGAEIHVSQQSAHMWLGTFDTAEEATRVYDHTALRLRGPSGMTN >ORUFI08G15960.1 pep chromosome:OR_W1943:8:17398470:17398754:1 gene:ORUFI08G15960 transcript:ORUFI08G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSIEWMDEDVQGCARRPCEGMRMGTRGSMAGMAACDKAGGEGKVRRRLEESEAAAAAAEGETGEEKGERFRGRVLWCYLGQLSTGPVCSRW >ORUFI08G15970.1 pep chromosome:OR_W1943:8:17401431:17401900:-1 gene:ORUFI08G15970 transcript:ORUFI08G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGEEERTAIGALFFLPLLLLARSTFIGDNTSVEEEMLAAVEEDRCSGGELAKEEAATMRASLRRRKLAAARLFTESGEAPMRRGEMSEAAIFVGGDDCAKAIYNDRIREKHSYECLAL >ORUFI08G15980.1 pep chromosome:OR_W1943:8:17405357:17406303:-1 gene:ORUFI08G15980 transcript:ORUFI08G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDAMESGARDVLFLCARMNAHFSVGSGSPSCRLDSEPVVTVDSAYVEAAAMDHAIITVDAGVGSKEKKAGSGSKGTSKWKCQNISKQWIMMHAIAQTMLLADISSSCNLLSSIEQSTITMPIHKKFTDNNIHIHRAAHKTDNNTDNLLGQQQQIPVNIFNLQQQLPNARK >ORUFI08G15990.1 pep chromosome:OR_W1943:8:17424062:17428246:1 gene:ORUFI08G15990 transcript:ORUFI08G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGMLCRSQAATAVCVPGDARSMIVSRRADRTIAEDARLAHDVRYARLGAAASAGGARVPSRRFAAPRQALTPPPPPPPPPQPPKQHRRPRRGAGVAVTLPMVTKSPKETPAREMAAAAAAAKRAPLAAASPGDQVLQVVVMKVAIHCQGCAGKVRKHISKMEGVTSFSIDLESKKVTVMGHVSPAGVLESISKVKKAELLFL >ORUFI08G16000.1 pep chromosome:OR_W1943:8:17466811:17472083:1 gene:ORUFI08G16000 transcript:ORUFI08G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQHDESTANEVSTTTQLPSYGSSEEPRVYKVGCPPQKNFAREFRDTLRETFFHDNPLRQYKDQSGSAKFMMALQFLFPIFEWGRCYNLRKFKGDLIAGLTIASLCIPQDIGYSKLANLDAQYGLYSSFVPPLIYAAMGSSKDIAIGPVAVVSLLIGSLLQNEVDPVKNKEEYLRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKYVLGIKSFTKKTDIISVMRSVWTSAHHGWNWQTIVIGITFLAFLLLAKYIGKKNRKFFWVPAIAPITSVILATLFVFITRADKQGVQIVNHIKKGINPSSVHKIYFTGPFVAKGFKIGVISAMIGLTEAVAIGRTFAALKDYQLDGNKEMVALGTMNIAGSMTSCYIATGSFSRSAVNFMAGCQTPVSNIIMSAVVLLTLLVITPLFKYTPNAILGSIIISAVIGLVDYEAVILIWKVDKMDFISCMGAFFGVVFASVEIGLLIAVSISFAKILLQVTRPRTVLLGNLPGTTIYRNTDQYPEARHIPGVVIVRVDSAIYFSNSNYVRERTLRWLTEEEEKAKAEGQSKINFLIIEMSPVIDIDTSGIHALEDLYKNLKKRDIQLILANPGSIVMEKLLSSKLNEHIGSNNIFLTVADAVRFCTRKSMQEP >ORUFI08G16000.2 pep chromosome:OR_W1943:8:17466811:17472083:1 gene:ORUFI08G16000 transcript:ORUFI08G16000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQHDESTANEVSTTTQLPSYGSSEEPRVYKVGCPPQKNFAREFRDTLRETFFHDNPLRQYKDQSGSAKFMMALQFLFPIFEWGRCYNLRKFKGDLIAGLTIASLCIPQDIGYSKLANLDAQYGLYSSFVPPLIYAAMGSSKDIAIGPVAVVSLLIGSLLQNEVDPVKNKEEYLRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKYVLGIKSFTKKTDIISVMRSVWTSAHHGWNWQTIVIGITFLAFLLLAKYIGKKNRKFFWVPAIAPITSVILATLFVFITRADKQGVQIVNHIKKGINPSSVHKIYFTGPFVAKGFKIGVISAMIGLTEAVAIGRTFAALKDYQLDGNKEMVALGTMNIAGSMTSCYIATGSFSRSAVNFMAGCQTPVSNIIMSAVVLLTLLVITPLFKYTPNAILGSIIISAVIGLVDYEAVILIWKVDKMDFISCMGAFFGVVFASVEIGLLIAVSISFAKILLQVTRPRTVLLGNLPGTTIYRNTDQYPEARHIPGVVIVRVDSAIYFSNSNYVRERTLRWLTEEEEKAKAEGQSKINFLIIEMSPVIDIDTSGIHALEDLYKNLKKRDIQLILANPGSIVMEKLLSSKLNEHIGSNNIFLTVADAVRFCTRKSMQEP >ORUFI08G16000.3 pep chromosome:OR_W1943:8:17466728:17472083:1 gene:ORUFI08G16000 transcript:ORUFI08G16000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQHDESTANEVSTTTQLPSYGSSEEPRVYKVGCPPQKNFAREFRDTLRETFFHDNPLRQYKDQSGSAKFMMALQFLFPIFEWGRCYNLRKFKGDLIAGLTIASLCIPQDIGYSKLANLDAQYGLYSSFVPPLIYAAMGSSKDIAIGPVAVVSLLIGSLLQNEVDPVKNKEEYLRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKYVLGIKSFTKKTDIISVMRSVWTSAHHGWNWQTIVIGITFLAFLLLAKYIGKKNRKFFWVPAIAPITSVILATLFVFITRADKQGVQIVNHIKKGINPSSVHKIYFTGPFVAKGFKIGVISAMIGLTEAVAIGRTFAALKDYQLDGNKEMVALGTMNIAGSMTSCYIATGSFSRSAVNFMAGCQTPVSNIIMSAVVLLTLLVITPLFKYTPNAILGSIIISAVIGLVDYEAVILIWKVDKMDFISCMGAFFGVVFASVEIGLLIAVSISFAKILLQVTRPRTVLLGNLPGTTIYRNTDQYPEARHIPGVVIVRVDSAIYFSNSNYVRERTLRWLTEEEEKAKAEGQSKINFLIIEMSPVIDIDTSGIHALEDLYKNLKKRDIQLILANPGSIVMEKLLSSKLNEHIGSNNIFLTVADAVRFCTRKSMQEP >ORUFI08G16010.1 pep chromosome:OR_W1943:8:17475603:17476781:-1 gene:ORUFI08G16010 transcript:ORUFI08G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASNVPPSSSTSSSSPHNTTSTHSTELVKGTHRFTVAGFSLQKRNGAGHFAKSGSFDVGGYSWAVMFYAAGEKEEDQGHVSVFLELQSTGVEKVTVKYTFNISGSSLLSAGWGDFKPSSKCRLGFNKFMEIETVEDVYLMNDCVTIHCAVEVVREKKARATVSRRIAVPPPAICRHLEQLLESKKGSDLTVQVGESKYDVHRAVLAARSPVFRAQFFGPMAAANRRASGGGGRCVRVHDMRPAAFEAVLHFVYTDTLPPVKEEEGFLTNNSASRRHLVNLRDAAAGCSKGEVRVMVREWLAAADRFGLERMRLLCEDALCESIGVANAAATLRLADRHHCALLRALCMEYIASPGMLAAVMATKGFKELKVACPSLLIEILEKVGCCRSE >ORUFI08G16020.1 pep chromosome:OR_W1943:8:17480479:17481663:-1 gene:ORUFI08G16020 transcript:ORUFI08G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASTVPQSSSTSSTPQNTISTHSTELVRGSHEFTVAGYSLQKRKGAGHSIRSGSFEVGGYSWAIRFYPAGSTKEEERHVSVYLELRSTVVEKVTARFSFHVHGASASSLHMRGSFDDYTPTSKSWGYPKFMEIETVESEYLINDCLTLLCDVEVVKTVKTGATISCFITVPPPAICRDLELLVGSKEGSDVTLQLEQSEYDAHRAVLAARSPVFSAQFFGPMADEDAAAAGSRRNVRIHDIKPAVFEAVLHFVYTDTLPPATTSWSASHRDKRPKLSDVAAASCSEEEVRVMIGERLAAADRFDLERMRLLCEDALWETIDVANAAATLRLADRHHCPQLKELCMEYIASAGVLAAVMTTEGFRELKLDCPSLLIEILENFGKRSEADEE >ORUFI08G16030.1 pep chromosome:OR_W1943:8:17484869:17486374:1 gene:ORUFI08G16030 transcript:ORUFI08G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGPPEFNALPNRKDANLRTRVVRSLMIGITDIRYFTDSTMYSTEDFLTPSLNCYVTNAYIRIDKILQYIRTLLPPLPTLLSPPLPTHSDDVGDGDGDSVGAVEEPTAASLLSLPSISQIRMGGSQRWWRGRGSGEGVFPSPPSLRSGWEACSGGASEGAAAGWEESDGGGAAAASLPSPPLPLSDLDGRPVAVTRQWERPWDGRSPTAVSVPSPPLPLSDPDGKGSGGASEGAAAGWEETAACGFDENSSSTVASATSNVTSNDDRQRRCLHAENAVRS >ORUFI08G16040.1 pep chromosome:OR_W1943:8:17490097:17490276:-1 gene:ORUFI08G16040 transcript:ORUFI08G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVASPGMLATVVATDGFRELKASCPSLLAEVLEKLGSYPGCLELFDEDDDDVLSSEQ >ORUFI08G16050.1 pep chromosome:OR_W1943:8:17490288:17491118:-1 gene:ORUFI08G16050 transcript:ORUFI08G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASTASSTTSPPHTTTMSTHSTELVKGSHEFTVAGYSLQKRNGTGHFVRSGSFEVGGYSWAIRFYPAGSTKEEERHVSVFLELGSTVVEKVTARFRFRVNGATASSWGQFNDFTLSSKTWGYQKFMEIETVESEYLINDCLTMHCDVEVVKELKTGATMSRFITVPPPAICCHLEQLLESKEGCDVTFQVERSDYDAHRVVLSARSPVFRAQFFGPMADTGGGDRYVRILDMKPTVFEAVLRFIYTDRLPPVEDGEAAASSCWREDVREMARS >ORUFI08G16060.1 pep chromosome:OR_W1943:8:17495495:17499500:1 gene:ORUFI08G16060 transcript:ORUFI08G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALSRLLLPPLFLCRPLPLPPLLHRRRRVVLNPSPRTLTTRAEALFSRHSHLLPADDRSPSPSTRGSVQPPPDYGGGGGGAGPGTIAAIVTSLGGGPAAVGIVRLSGPDAAAVAGRVFRPARRAAPPWRPRSHFVEYGVALDRDGGVIDEVLVVPMLAPRSYTREDVVELQCHGNDLCLRRVLRACLEAGARLADPAENVSRLISAKSAAAADSALAGIQGGFSALVKSLRSRCIELLTEIEARLDFEDELPPLDLTMLVSKINGMRQEVQDALDTANYDKLLQSGLQVAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEANVSIHGIPITLLDTAGIRETDDIVEKIGVERSEAAALGADLIIMTISAVDGWTEDDTKLIEHVLISKKSSGAPVPMVLVINKVDCAPFISGEQFEQFHGVFKKHVQTCAVTGKGISELEKAIIEVRGLEPVPSEGRRWTVNQRQFEQLLRTQQAFTRLESSINEQLPMDFWTIDLREAALALATISGEDISEEAVTYIGA >ORUFI08G16060.2 pep chromosome:OR_W1943:8:17495495:17499683:1 gene:ORUFI08G16060 transcript:ORUFI08G16060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARALSRLLLPPLFLCRPLPLPPLLHRRRRVVLNPSPRTLTTRAEALFSRHSHLLPADDRSPSPSTRGSVQPPPDYGGGGGGAGPGTIAAIVTSLGGGPAAVGIVRLSGPDAAAVAGRVFRPARRAAPPWRPRSHFVEYGVALDRDGGVIDEVLVVPMLAPRSYTREDVVELQCHGNDLCLRRVLRACLEAGARLADPAENVSRLISAKSAAAADSALAGIQGGFSALVKSLRSRCIELLTEIEARLDFEDELPPLDLTMLVSKINGMRQEVQDALDTANYDKLLQSGLQVAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEANVSIHGIPITLLDTAGIRETDDIVEKIGVERSEAAALGADLIIMTISAVDGWTEDDTKLIEHVLISKKSSGAPVPMVLVINKVDCAPFISGEQFEQFHGVFKKHVQTCAVTGKGISELEKAIIEVRGLEPVPSEGRRWTVNQRQFEQLLRTQQAFTRLESSINEQLPMDFWTIDLREAALALATISGEDISEEVLSSIFSKFCIGK >ORUFI08G16070.1 pep chromosome:OR_W1943:8:17503005:17509224:1 gene:ORUFI08G16070 transcript:ORUFI08G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSDIWKAHAGSSQSEGSALDMERNGCNHNCCPSPLQPIASGGQHSESSAAYFSWPTSTLMHGSAEGRANYFGNLQKGVLPGHLGRLPTGQRATTLLDLMIIRAFHSKILRRFSLGTAIGFRIKKGTLTDTPAILVFVARKVHRKWLSPTQCLPAHLEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYDELVDGLRGSDPSIGSGSQVASLETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDYDITSVNTSVKGVGVIGDVKAIDLQSPISSLIGRQVVKVGRSSGLTTGTVVAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGKDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQEALEEQRIILAAAAAAANSTAGESSPVAGPQENEKVDKIYEPLGINIQQLPRDNSATSTGPDEFHVDTVEGVTNVEERQFLIGMSPAREGQEANGDLNNLAELENSPEDICFSLHLGEREPKRLRSDSSLDIDLQK >ORUFI08G16070.2 pep chromosome:OR_W1943:8:17505715:17509224:1 gene:ORUFI08G16070 transcript:ORUFI08G16070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSDIWKAHAGSSQSEGSALDMERNGCNHNCCPSPLQPIASGGQHSESSAAYFSWPTSTLMHGSAEGRANYFGNLQKGVLPGHLGRLPTGQRATTLLDLMIIRAFHSKILRRFSLGTAIGFRIKKGTLTDTPAILVFVARKVHRKWLSPTQCLPAHLEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYDELVDGLRGSDPSIGSGSQVASLETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDYDITSVNTSVKGVGVIGDVKAIDLQSPISSLIGRQVVKVGRSSGLTTGTVVAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGKDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQEALEEQRIILAAAAAAANSTAGESSPVAGPQENEKVDKIYEPLGINIQQLPRDNSATSTGPDEFHVDTVEGVTNVEERQFLIGMSPAREGQEANGDLNNLAELENSPEDICFSLHLGEREPKRLRSDSSLDIDLQK >ORUFI08G16070.3 pep chromosome:OR_W1943:8:17505798:17509224:1 gene:ORUFI08G16070 transcript:ORUFI08G16070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSDIWKAHAGSSQSEGSALDMERNGCNHNCCPSPLQPIASGGQHSESSAAYFSWPTSTLMHGSAEGRANYFGNLQKGVLPGHLGRLPTGQRATTLLDLMIIRAFHSKILRRFSLGTAIGFRIKKGTLTDTPAILVFVARKVHRKWLSPTQCLPAHLEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYDELVDGLRGSDPSIGSGSQVASLETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDYDITSVNTSVKGVGVIGDVKAIDLQSPISSLIGRQVVKVGRSSGLTTGTVVAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGKDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQEALEEQRIILAAAAAAANSTAGESSPVAGPQENEKVDKIYEPLGINIQQLPRDNSATSTGPDEFHVDTVEGVTNVEERQFLIGMSPAREGQEANGDLNNLAELENSPEDICFSLHLGEREPKRLRSDSSLDIDLQK >ORUFI08G16080.1 pep chromosome:OR_W1943:8:17511458:17513567:-1 gene:ORUFI08G16080 transcript:ORUFI08G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRAYGAETSTMAIRLSFSPLTPSKRRALEGGAPEHGRTQPLDTLNHGPELMVESPWAKTYFHHARMRWTTVQLADAQSEGPLALGT >ORUFI08G16090.1 pep chromosome:OR_W1943:8:17522279:17522694:1 gene:ORUFI08G16090 transcript:ORUFI08G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCGEGLAILGYMTDHAWEKGKRRLGAEGPNAVVKEQESLTIKGNVALISKDEFGAQILC >ORUFI08G16100.1 pep chromosome:OR_W1943:8:17529626:17530314:-1 gene:ORUFI08G16100 transcript:ORUFI08G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGKRGGRKNPMRRTTSMTEFAPPVDVLVGGRVADEAEAEAEADEATELEVSGGGEVEEEDAAVEEASYGWFGAGADGAGVRADWLAAYRARAAPALAGLRRNSADFSAVETAAFLRACGLCNRRLGPGRDTFMYKGDTAFCSLECRQQHITHEEWKEKRALAIATAAAAPPQPPPSMPDPTAAGSDNPAGGTLAAA >ORUFI08G16110.1 pep chromosome:OR_W1943:8:17531057:17531992:-1 gene:ORUFI08G16110 transcript:ORUFI08G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSRAPWLDLAVVRAGWAWGGTEVDAARGGAVGEGRRLSRCVDASSSFLGALSSRPLPLVGLPGENPVLVFPKTSTDGGSSVIVALLPGDVVKEVPSPNLLSNSWCRLTLDSSSCDSALAFVLSVCCGGGGIFSFLATTF >ORUFI08G16120.1 pep chromosome:OR_W1943:8:17549750:17550202:-1 gene:ORUFI08G16120 transcript:ORUFI08G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYTPHLTRWRVATSDGDELDCVDYKVRSLIFQCEDCHRLFPDYKEDTHECRQGVLTDGREDGTGVARQRLSWDGVVCGVRRGRQVGVAADSDKGGEERGGRGGRGGLRGVGARLRRPLPRPGEQHSGVGGGRGGLIVRLISVREEIG >ORUFI08G16130.1 pep chromosome:OR_W1943:8:17555193:17561875:1 gene:ORUFI08G16130 transcript:ORUFI08G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFELEDNLELLLQSIQELIEDQGENNPFGAANHDELIASLLHNNQENPLTDVSVEDVRDGKDMQGIPWEKIVFRRDQYREMKMKNYRNYQNLSYAREEALKDCKKVEKDSPYYDFQYNTRRARPSIVHFQLRNLVWATTKHDVYTVHNQSVTHWSSLDQTSTELINADDCIIPKQRGHGSQSVAMVQVTTMAVDDSLLVIGGFQGELICKRLEDDGVLFSTRVTDDENAITNSLEIYQDPTGSRRLVAANNDCSVRIFDIEYFDLLKHYVFPWSVNILVFNQSVSVSPKGGLFAVLGDHEDGLVVDPKCGKAIGALKGHLDYSFASAWHPDGNILATGSQDTTCRLWDIRNLSESVAVLGGRMGSIRCIKFSSDGRFLATAEPVDFVHIYDSYADYGRSHEIDLFGEIAGLSFSPDAEALYVGIADPTYGGLIEFNRRHQHHYLNCMW >ORUFI08G16130.2 pep chromosome:OR_W1943:8:17555482:17561875:1 gene:ORUFI08G16130 transcript:ORUFI08G16130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFELEDNLELLLQSIQELIEDQGENNPFGAANHDELIASLLHNNQENPLTDVSVEDVRDGKDMQGIPWEKIVFRRDQYREMKMKNYRNYQNLSYAREEALKDCKKVEKDSPYYDFQYNTRRARPSIVHFQLRNLVWATTKHDVYTVHNQSVTHWSSLDQTSTELINADDCIIPKQRGHGSQSVAMVQVTTMAVDDSLLVIGGFQGELICKRLEDDGVLFSTRVTDDENAITNSLEIYQDPTGSRRLVAANNDCSVRIFDIEYFDLLKHYVFPWSVNILVFNQSVSVSPKGGLFAVLGDHEDGLVVDPKCGKAIGALKGHLDYSFASAWHPDGNILATGSQDTTCRLWDIRNLSESVAVLGGRMGSIRCIKFSSDGRFLATAEPVDFVHIYDSYADYGRSHEIDLFGEIAGLSFSPDAEALYVGIADPTYGGLIEFNRRHQHHYLNCMW >ORUFI08G16140.1 pep chromosome:OR_W1943:8:17565458:17566177:-1 gene:ORUFI08G16140 transcript:ORUFI08G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGSPLLPCRRPPLLPPPARFNECTAEWPASSRRRGWRLGVADELPAAGLNAGADGDELGADLAASTPPHRHGLGRRLPPPSAGMSSAGVPLLPPPAPAGLFPTSATSSGPSSAGSPLLPSPAAARIWAHPPSANDEPLVVVVFLASSPLPAGDKVLEAAARSGARERRRGRRRGAGGREVTASRRHALHNAGSSRFSATRGLALARNRASLCGGEELWWGARPSATHAQIRAGDVR >ORUFI08G16150.1 pep chromosome:OR_W1943:8:17580614:17581456:1 gene:ORUFI08G16150 transcript:ORUFI08G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIEGNLMRALGEAPSPQMQKIAPPPFHPGLPPAPANFSSAGVHGFHYMGPAQLSPAQIQRVQAQLHMQRQAQSGLGPRAQPMKPASAAAPAAAAARAQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALTYDQAAYRLRGDAARLNFPDNAASRGPLDAAVDAKLQAICDTIAASKNASSRSRGGAGRAMPINAPLVAAASSSSGSDHSGGGDDGGSETSSSSAAASPLAEMEQLDFSEVPWDEAEGFALTKYPSYEIDWDSLLNNNN >ORUFI08G16160.1 pep chromosome:OR_W1943:8:17583797:17584087:1 gene:ORUFI08G16160 transcript:ORUFI08G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRVLLLLEVVTIIVVFGGRDDEEASGEAGAHSLPPKMRTLSRSKGGAEDNGDGGGGCDLLDPSLSSSAAGKQVGKRELACRGLWRGRCRGRRAA >ORUFI08G16170.1 pep chromosome:OR_W1943:8:17588951:17589809:1 gene:ORUFI08G16170 transcript:ORUFI08G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVRAPLRHAVAAASLLPDHNPTAAESSFRLSTTRRIPPRHCPYCAPMHLQHDDNRGAGPHGASGSDWRRRGGEPIGGVDLVDRGELLAKRVLVVRDGDRLADAVGADVSEGDAKREPAGEDAAGCRKIASRDEFQTRRQIEDLK >ORUFI08G16180.1 pep chromosome:OR_W1943:8:17591906:17593295:1 gene:ORUFI08G16180 transcript:ORUFI08G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRHTHRCVRVLAPRASRTGWYWVEVEPSSWMARLGVVEWSSSLDLMRQSLWRRQTVADEGEDASNG >ORUFI08G16190.1 pep chromosome:OR_W1943:8:17607234:17608439:1 gene:ORUFI08G16190 transcript:ORUFI08G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVASDSLDQQRKEAMYGRILAKLDELGARLDHAMGSLSPSSVPATPVDSLVSVSSPGATSSVDSQKVFDEMPSNKEPTTASVLHVTMSHVLYPVTAEVLLQVFSPYGAEEVRVYNQGTIQVEAFILFRLCQDATRAREALHGCCIYNGCCFLDVKYMQSCPNDIMSVAPVRCLSICNGHGTSLLMAVSTALPSSVPITTSLDASSISSPTYVNDVPSSTKPISASFFTERKGTSQRIIKWVSRIRVAHRPIRWAMKKKEVYVLTHIGGVSLFEMNENDLVHETSYESNESSALAFVEMPQNIKLPVEHLTPWVQNMVPNSMVNVVVCCETSDIPMSIWCLCRPLWRACSYSNQMLQEVFPWSRDGLCPWKYETKGDQLLLDKIFPWQRNGFSPGNKNQQ >ORUFI08G16200.1 pep chromosome:OR_W1943:8:17610788:17614484:1 gene:ORUFI08G16200 transcript:ORUFI08G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQSVVVPEVAVPMPPNSAPLLPYPPPRAAPGVAVRKKYLQAQLDLGAGLPLINGWVESMRASSPTHAKAAAALAAAGAVDDERAAWMVRHPSALSKFEQIVAASKGKKIVMFLDYDGTLSPIVDDPDSAFMSDTMRRAGRSVAKHFRRCRDKILAVSVLRQVFEFVKLAELYYAGSHGMDIKGPAKASRHNKAKAKGVLFQPASEFLPMIEQVHDSLIERTKCIPGAKVENNKFCVSVHFRCVDEKSWSTLADIVKAELKDYPKLKLTQGRMVFEIRPTIKWDKGKALEFLLESLGFADCTNVLPVYIGDDRTDEDAFKVLRKRGQGIGILVSKYPKDTNASYSLQEPAEVMEFLLRLVEWERLSRARPKW >ORUFI08G16200.2 pep chromosome:OR_W1943:8:17610788:17614484:1 gene:ORUFI08G16200 transcript:ORUFI08G16200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQSVVVPEVAVPMPPNSAPLLPYPPPRAAPGVAVRKKYLQAQLDLGAGLPLINGWVESMRASSPTHAKAAAALAAAGAVDDERAAWMVRHPSALSKFEQIVAASKGKKIVMFLDYDGTLSPIVDDPDSAFMSDTMRRAGRSVAKHFRRCRDKVFEFVKLAELYYAGSHGMDIKGPAKASRHNKAKAKGVLFQPASEFLPMIEQVHDSLIERTKCIPGAKVENNKFCVSVHFRCVDEKSWSTLADIVKAELKDYPKLKLTQGRMVFEIRPTIKWDKGKALEFLLESLGFADCTNVLPVYIGDDRTDEDAFKVLRKRGQGIGILVSKYPKDTNASYSLQEPAEVMEFLLRLVEWERLSRARPKW >ORUFI08G16210.1 pep chromosome:OR_W1943:8:17623601:17624249:1 gene:ORUFI08G16210 transcript:ORUFI08G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRPSPRWQWLLRDGCDDGDSHVWIRRWLLLRVDPAVAVSLLLSHPTTAEAQTLLLPSLPLRRRGVHALDGSDSCGFDESINGNLDVPETK >ORUFI08G16220.1 pep chromosome:OR_W1943:8:17642611:17643888:1 gene:ORUFI08G16220 transcript:ORUFI08G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSLQSSSGGDDEFDSRCGGGGVDSSPLSALLRQSASASGFGGGTGSFYGLQELASPPPQLPPLSQAAAHQWTAPLPGGGGAGGASSSPPSSSSPHGVQVSAEQVVAQQGQGVGAPPARGSRKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPSPPFAGPPARSRFDHLFPAPSSLRSAATAAGGGNPSSLPAYLLRPFAQKHHPSPFPPFPSPSTSSPSPSNIAIATSTAAATTAAVAAPGDRYHLASAPSSSLLGMQDHGGSYLSFQSHLGGAQLGASDDVKYTAHTMFDAPGSDLAPRPPPQRLQDPAAGFLGLTHGIMGADGSHMHQQQRSRGHGHGGDELSGVVGGASMTRSVGGGGKKTTFSSGAGAAAHAAPQLEHNAESTSVTVAAAPTPSSAAATAMRTQSVDSWICTSE >ORUFI08G16230.1 pep chromosome:OR_W1943:8:17664733:17667689:1 gene:ORUFI08G16230 transcript:ORUFI08G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAARRTLVLVNLAAIMERADEALLPAVYREVGEALRATPAALGALTLCRSSVQAACYPLAAYAAVRYDRARVVALGAFLWAAATFLVAVSDNFAQVAVARGMNGIGLALVTPAIQSLVADYSDDNSRGSAFGWLQLTGNLGSLIGGLFSIMLASTTFMGIAGWRIAFHVVALISVTVGILVRLFAVDPHYINFGNKKQHVRKSAWREMKDLVVEAKAVVKIPSFQIIVAQGITGSFPWSALSFAPMWLELMGFTHKGTGILMVTSAVASSLGGLFGGKMGDYLAKHYPNFGRIVISQISSASAIPLAALLLLGLPEDPSTGFLHGSVMFIVGFCISWNAPATNNPIFAEIVPERSRTSIYALDRSLESLFASFAPPVVGYLAEHAYGYNPITYGVGISSVERDKENAAALAKALYTAIAIPMLLCCFIYSLLYQTYPRDRERARMDSLITSELQRIEPDRSHRTSDYYNGEGVSVINIEYGEEGVDADDDEKPLMQFRIEQSAADK >ORUFI08G16240.1 pep chromosome:OR_W1943:8:17676246:17677508:-1 gene:ORUFI08G16240 transcript:ORUFI08G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEATAARARQQRQPPSWADIPRDLAVQVLRFLPAQVDRACFAAVCPQWRAAARNALLPAPLPLLALPDGAFYCLPYGKPFRFPRAGCAGYKTAACGRWLVFPHDDGCFLVDPFAGATVTLPALSRVRLRPPNAVASYVNVGIAGRNAHVSMFYPHATWMHIKTSNKMPINKLLLCSQNLVAPFIGSSLANAGRNSQILVCQPGASSWSVRAYDKCKLFEDMAFYRGKLYALAHDENLLVVNISQDPNTGDPQISQIGQVIKGDPTWSSVLITDDDDTSTTDKKKLYLVESCGVLLMVRRKVCCRVVGKTVVAGQNEFEVFKADLENSRWVNVTTLGVDQMVFLGRPCSKAVSASQYGMPNDQIFFLDDVMENNKEYSYEEETTSVSVYDMRSAEVSSPLPMAWKHEMISATWLFPWD >ORUFI08G16250.1 pep chromosome:OR_W1943:8:17679431:17681915:1 gene:ORUFI08G16250 transcript:ORUFI08G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAEARRRWTLVLVNLASVLEKADEVLLPAVYREVGAELGVSPTALGSLTLCRAIVQAASYPLAAYASARHDRARVIAVGAFLWAAATLLVAVSGSFLQMAISRGLNGVGLALVLPAISSLVADYTDDHTRGAAFGWLQMTCNLGSIMGGSFGVLLAPVTFLGVAGWRLAFHAVALVSAVLGILMWCFAADPRAKSKTAASAAEEARELLRDARGVIGVPTFQIIVAQGIAGSIPWSALNFSAMWLELVGFTHWETSVITGLYLLATALGALFGGLVGDPVSRRFPNTGRIALAQISSASALPLAAVLLLALPNDPSTGVAHAAVFFIMGFAISWNASSTNNPIFAEIVPEKARTTVYALDKCFEAVFASFAPPIVGVLAEQVFGYKPVSSDASVETDRENAAALAKAVYTEIAVPMAICCLTYTFLYCTYPRDRDRARRNILMASDDQLCQEAGESDSSEICTQEDEEFAVGSINQRFSTIGELCLSRIALIN >ORUFI08G16260.1 pep chromosome:OR_W1943:8:17687399:17688072:1 gene:ORUFI08G16260 transcript:ORUFI08G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIRGFHRAVPSDAADGTAGGAPISAGSIAGTVCAVVVVVGLLAPLVYWLYRRKLKAAASPPLRPPAAAATPLVRAQEAGAAVGGQGDYTRRRAQVAVPARDGGDLCCGMCGSAYDIVRGGGELPETLECGHHFHRRCVQRWLRVNLACPTCNATHIQLCGDDDDGQATTPRHRLTASDYSGWDELSTGVGSSSCRF >ORUFI08G16270.1 pep chromosome:OR_W1943:8:17688221:17688915:-1 gene:ORUFI08G16270 transcript:ORUFI08G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLVQALVYHILRSSSSVFSKDSKLGGAATRRRRHGRRGGRRQGEVASTGERGGGGRRGGDGGRRARLRMGSSGADDFAPLSLIVVGGSRRGRRTPLIGDGDRDHRAAGFSSLVRWAAAPHSRRPHASAGRTEVASIDDDEACELVSGADLVIGGDVDNEGEGVRAYLLRLPRRLQPRAAIADRTRGMRTVTNASDL >ORUFI08G16280.1 pep chromosome:OR_W1943:8:17689770:17693618:-1 gene:ORUFI08G16280 transcript:ORUFI08G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGEIGQFSLCKFVCRERWRDCAFVLSVELLCVLSASAKFVVVDLRLDLKRSRYIQRIEAAVLEEKERQLGGMHHVVYTYKPQLRSGSTLVRIC >ORUFI08G16280.2 pep chromosome:OR_W1943:8:17689987:17693618:-1 gene:ORUFI08G16280 transcript:ORUFI08G16280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGEIGQFSLCKFVCRERWRDCAFVLSVELLCVLSASAKFVVVDLRLDLKRSRYIQRIEAAVLEEKERQLGGMHHVVYTYKI >ORUFI08G16280.3 pep chromosome:OR_W1943:8:17689987:17694034:-1 gene:ORUFI08G16280 transcript:ORUFI08G16280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRASGARACPDRVLLIQTELLRSERERERGREAEAARISGEIVGGGGGDVAAAVVWEGEVAGGSGGCGLGGFLSTKSGAHAFVLSVELLCVLSASAKFVVVDLRLDLKI >ORUFI08G16290.1 pep chromosome:OR_W1943:8:17694536:17695044:1 gene:ORUFI08G16290 transcript:ORUFI08G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGCGHLRLDTAAIKSLPSAMAARGCGRRGRWRRDLLTHIISHPQALAQCELTLNAMGLNSAPGIGGARNLLQLPDIILNARNLLTGNKTALFAIPWLVRLTSPSIPSTFSPIC >ORUFI08G16300.1 pep chromosome:OR_W1943:8:17697498:17702175:-1 gene:ORUFI08G16300 transcript:ORUFI08G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSLSFPVAAASKSLSFPAAAQSNKGTPAARKRIKSGGGAREKKENSGGAREEQGKDDGVGEEQVQRRRDGRRRRRGTGLREMRERQILGFLSIYRLLSLSLARGITLLPFHLHSTRGTASGLELVPRSTNLCQPLDLPGSNGRESVPQARSLVLSNEDDLALERELMMLNKPYVKSFKDSYGVVFDCVDIYRQPAFDHPLLKNHKLQIPPRSYFKSLITHFGLQESCPDGTVLIRRTLKEDLLRARAFRGPLKPQKDQSFTPMSYTSTIPGQHFALLLINSEEGSKFQATGAVLEVYPLNVQQGQSSSAQILLVDDSSNAVSVIQSGWHVDPDREGDTQTRLADDYHKTGCMNMLCPGFVLLSRTTSPGMVLTTGSIPLNMTKDVQTGNWQVVVGDEVVGYFPKEIINGMSGGTEVQMGGIVYASPGQKSPPMGNGIQPVHGGNYRAARFTWVAAQGARIANWTVARDVADINIYDATVTSSSGTGPEGAVFEYGGPGGQP >ORUFI08G16310.1 pep chromosome:OR_W1943:8:17704856:17708457:-1 gene:ORUFI08G16310 transcript:ORUFI08G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRCSAGSAVALCGGRGGHRLLSCAAAPAQRRAPGASASAKGIPRSALRASVTPEFVTAAPDEAVEESSVEREPAAENKLRKLACPICYYPLISSSDQSAPVSAASSSSLECSTCKKFYPNRGDYWDMTVAVGSTEYSESTTVTTEVFRTPLVSFLYERGWRQNFIWSGFPGLEREFEMAQTYLKPTTGGIIVDASCGSGLFSRLFVKSELYSLVVALDFSENMLKQCNEYVKQENISDKTLALVRADISRLPFVSGSIDAVHAAAAIHCWPSPACAKLVESLDLGAFSLLPLSLQIFFHQLYRTSANLPAVISSYQKRSSKIFAERVGLLISRLGDSALAIL >ORUFI08G16320.1 pep chromosome:OR_W1943:8:17715937:17717385:1 gene:ORUFI08G16320 transcript:ORUFI08G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPRPALLLLLVAVLLLSHIALCSSAAASGKPKGKGVGGRKALLADDGGEEEEVVVVPPPVKKAKGAAAAVGKIKKKVVGVDGKNQTKVVKGKKSEPAGAVKATKKLSAAAAAKASADAAVVKAKVPKTDKAATAKSKGTDTAKPAKVAKAGSAKAVKPVKPVKTAKSESVVAAKAKKASNSTVDGGAKQAKSSKKAAQAVVDGEASGGKVNATASNEAAEVEEDVVFAEAAEGTDDLISEFKGLPARLQETLMPDLARLSHSSKLYLSAANAGIADGVRPILGGRWAAAAASAASIALLLLPLFMLTALVRRMAPYLPLLHRALLLAQAYLAIYFATLALAAAATGLEPLRFFHAASPAAYAWTQAAQSLGFMGYLMLQMVDLVAVFSGAASPEEDGNGDATKALGLAQMVVGLAVGLHYYAAVFHRAAAGEAPRANWRVYAVYAACFVVVCACARAERRKKAYLAGGTDGGAEEWKKS >ORUFI08G16330.1 pep chromosome:OR_W1943:8:17725978:17731762:1 gene:ORUFI08G16330 transcript:ORUFI08G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQCSPDKRPIFHSPRLRERATEADPPPSPAPAKRLGGGGRGSGERPFLFPEDGVWLLLQRALQRSAHCRMVGKKVDCISNCRLSSLHLPIPCTSAMNADTEMLEGEVITQHGNQGSKDKLAIGLAKPSGWPKSSFH >ORUFI08G16330.2 pep chromosome:OR_W1943:8:17725978:17731602:1 gene:ORUFI08G16330 transcript:ORUFI08G16330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQCSPDKRPIFHSPRLRERATEADPPPSPAPAKRLGGGGRGSGERPFLFPEDGVWLLLQRALQRSAHCRMVGKKVDCISNCRLSSLHLPIPCTSAMNADTEMLEGEKCRCSPQCHRIAPATDVSTTSPK >ORUFI08G16330.3 pep chromosome:OR_W1943:8:17725978:17729695:1 gene:ORUFI08G16330 transcript:ORUFI08G16330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQCSPDKRPIFHSPRLRERATEADPPPSPAPAKRLGGGGRGSGERPFLFPEDGVWLLLQRALQRSAHCRMVGKKVDCISNCRLSSLHLPIPCTSAMNADTEMLEGEVILLII >ORUFI08G16330.4 pep chromosome:OR_W1943:8:17725978:17731602:1 gene:ORUFI08G16330 transcript:ORUFI08G16330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQCSPDKRPIFHSPRLRERATEADPPPSPAPAKRLGGGGRGSGERPFLFPEDGVWLLLQRALQRSAHK >ORUFI08G16340.1 pep chromosome:OR_W1943:8:17726967:17730442:-1 gene:ORUFI08G16340 transcript:ORUFI08G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVTAIALMGVTNSLLGKLTVLLGREYSKLRGVQAGITSLRDELIIMKAALEDLSQLEDCNSQVKLWIHQLRELSYDIEDCIDIFLHSLDHGSVSDGLINKIISWLRTLKVYRHTGKQIAALKERAVEVNDRRKRLKLDVDILTSKAVAIDPRLPALFEEADRLVGIDGPRDELVEWLTKGNGFAQNRKVISIVGFGGLGKTTLACQVYQMIKSQFDCTAFVSVSRNPNINKILRDILSEVLYTSNPMSDYQKDHFWRIKENLNQPLEDHQLINMIKEYLKNNRYFIVIDDIWSKSAWQVIQCAFPYNSNASRIMTTTRIQDVAQSCCFTHEDYIYDIKPLGSDDSRKLFLKRIFGNEDNHPTELKEVTDEILRKCSGLPLAIINIASLLSTKPVTKHEWKKVWNSIGSMLKQNQDLEIVKRILFLSYYDLPHQLKLCLLHISVFPEDHVIKRERLIWRWIAEGLITEEQGLNLEEVGEKYFNELVNRNMVQPVDIDYTGRAKACRVHDIMLDLIICLSIEENFITIIDDQKSMLSTNKVRRLSLQTNHEKTNIWLGTNRFSQVRSLSVFGDLKQMPPFFDLQVLRVLDLEDCSSLKDGDIENIASLFQLRYLSLRNCHISRVPAQIGKLQLLQTLDLRGTRIKELPETITQLQQLVRLLLGRFGVKMPNGISNMRSLEELVVLDGSKNSVDVVVELGNLTNLKVFSIYWHPNGEIHDEGSYTKSIISSLCKIGEHNLRSLHITHGYSLLLDFLVDSWYPPPCHLEMFRMVSHFYFPRLPNWMSSLSELTFLDINVKQFGVEDMKILQNMPALLSLKLYLEESPQETLVISRCGFQSLKLFYFYPVNGELGLMFRKNKKDGLGLMFEEGATPKLQRLEFRYCAHDAMSAYGVDFDFGIKQLTSLKHLGVSIHCRGARNWEVEAAESAIRNAVYLLPNHPTLEMYRLSEHEIVKDEEEWDDDTGYDGDEHSGSTRWDQTNEYFQQQLS >ORUFI08G16350.1 pep chromosome:OR_W1943:8:17734358:17740401:-1 gene:ORUFI08G16350 transcript:ORUFI08G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGATASTLMGVMNPLLGKLSTLLEEEYGKLKGLHSGIASLRDELRSMEAALEDLSQLEEPSQQVKEWMHQLRELSYDIEDCIDVFVQHLGQDDAHDGLISKIIGWIRTMKVCHHTAGQIGKLKEHAVEISDRRKRLKLDIVPSSSAYVPIDPRLSAFFEEAGRIIGIDVPRDELIEWVTSDTNKRRVISIVGSGGIGKTTLANQVYQKVRSRFSWTVFVSVSRSPNIIRILSDILSNIIKTNNTTSDDQKQLMQRIKEYLNRKSLEYHELVNMTREFLENKSYFVLIDDVWSKQAWKDIQCAFPSNNNASRIMMTTRIQDVAKSCSFPHESHVYSMKHLGVDDSKRLFLKRIFGHENACPLELKEVTSDILKKCGGLPLAIVNIASLLATKPATKQEWERVKNSIFCVLERDHEMEVVKRILFLSYYDLPDYLKVCLLDLSRYPEDFLIKCEHMIWRWIAEGFISGKQGQNLEEVGERYFNELINRNMVQLVQMDYSGKAINCRIHDIMLDLLICLSTEENFVTIVNSQTITSSTDKIRRLSLQGNCEENSVWLNTSDFSHVRSLSAFGDCKQIPMLSSLQILRVLDLEGCNHLNEDNVRIEDIGSLHQLRYLCIHSFTKVPRQIGKLQLLQTLDLTNSKVTELPASIVQLRQLVSLELGFQARLPDGISNMRALQYLRCFNCSKNSINVVLELGNIIKLKRLVIHWDHDITGEDEERYKKPLVSSLCKLGQSNLQILNITRLYLYCTVDFLIESWYPPPQHLRHFEMNGMAHFHRIPRRISSFSTLIYLDIRLEQLEEEDMQPLKDLPVLVNLYLEVRESNQETLIISHGGFQCLKDFSLLYAEDKKGGPGMIFEGGVMPKLQRLNIRYHAHITVPERGCGSDFSIHQLTSLKLFLVDIYCAGATAREVEVAEVAIRNHANLHPNHPSLEVRKFLKEHMATNEDNDATEQLEGTSTS >ORUFI08G16360.1 pep chromosome:OR_W1943:8:17743740:17745498:-1 gene:ORUFI08G16360 transcript:ORUFI08G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVDRGTGHGVANRGQGRDGRADVVLQSNHYAGHHAVINSAPSQPGVDAPPLPSPSRSRSMTRCEPLSVLMPLPVPREKSKAKAKTKTKAKAKIAPRKNTNDSAATAPLAQVKQKGNSIPVSPDSPAMSTRSKRKSLNFN >ORUFI08G16370.1 pep chromosome:OR_W1943:8:17748363:17751773:-1 gene:ORUFI08G16370 transcript:ORUFI08G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGATASALIGVMNPLLTKLSGLLEREYGKLKGVGREVALLRDELSSMNTALEAVSDSEEEPSSQVKEWMRQLRELSYDVEDCIDVFVHRLGHHDPGDGLFRRTKRRLKALRSRHCIAGQIAELKDRAVLVNDRRKRYELDAAASSSAAIAIDSRLPALFEEMDRLVGIEGPRDELVEFLTGGINLAPQRRVVSIVGFGGLGKTTLANQVYQHIKSQFDRTAFVSVSRNPNVNKILANILIGILETRKLSSVHQKQHSDTIEDLKHKTFEDCKLISLIRENLQNSRYFIVIDDIWDKAAWRDHLRFAFPENNSASRIITTTRINDVAIACHFSHEDFVYAMKPLSSENSEALFFRRIFSSKEKCPPELEEVADDILKKCDGLPLAIVSIASLLSCKPVTKQEWVWVLNSFGSTVMKDQGSHELAVVKRILFLSYSDLPHHLKSCLLYLSIFPEDHTITRDFLISRWIAEGFITEQRGESLEEVGEKYFNELINRNMVQSFEIDPFSRREAYRMHDIMLDLMISLSTEENFATILDGQHCAPSSNKIHRFSLQCKSVERITWLRTTSFSHARSLSVFGDFNKIPPLVDLEVLRVLDLLNCSSLKDDHIENIGSLFQLRYVRLGNISRIPRQIGKLKLLQTLDLSGTAVKKLPQSIVQLLQLVRLFLPWRVELPNGIGNMEALQVLSVFDGTENTSAIIQELGNLTKLKDLDVYWNCNDTESGHEVYINHLVRSLCKLGGFNLQSLCIRNIYPCSLDILAESWSPPPRHLQTFQTDMGCYFSSLPTWMSSLSELTCLRIHMKKVGEEDLQVLKCLPALLRLDLYPGYPKHTLKVSCSGFSCLKEFTYGPSYVDLALILRHQSTIKNGLGMGVMFEAGAMPKLQQLEFGFNAHDMVSAYGAGLDFGIQHFASLRHVRVFIDCRDASDCEMEAALAATANSVSLRGSYHVEIRRILKNVENDEQS >ORUFI08G16380.1 pep chromosome:OR_W1943:8:17754232:17758390:-1 gene:ORUFI08G16380 transcript:ORUFI08G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREKKRNQRRVLARRSAAPRSGEGKDFLPLEERPGKKRAREEQPEEPENTSTVLYIGHIPHGFYEEQMQGFFQQFGTVKRLRIARNRKTGKSKHYGFIEFENPEVAKIVADEMNNYLLFEHTLQIAIVPPEKIHPKLWKGVRRGFIPIDRVAIERRRLNKDKTIEEHKKLVDKIVKRDEKRRKRIKAAGIDYECPPLIGSVQPSAKKIKFED >ORUFI08G16390.1 pep chromosome:OR_W1943:8:17762706:17765764:1 gene:ORUFI08G16390 transcript:ORUFI08G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTILGDDFVLQKAVTLVLQNREDFVAILFYASWCPFSKIFRTDFQKLSSFFPTIAHFSFEESRIKPRMLSRYGVRAFPTLFLVNSTMRVRYHGSRTMNSLAMFYKDVTGMNPVSLDAISLERMEEVVNIIENDKKTEQGDSLFMFARSPDRLLHQDTCLALASSFVLMRLLCFLLPKLNACVKQAWRMQFYELKRLFPSLS >ORUFI08G16390.2 pep chromosome:OR_W1943:8:17763930:17765764:1 gene:ORUFI08G16390 transcript:ORUFI08G16390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPLNVEFILHFMCQGDDFVLQKAVTLVLQNREDFVAILFYASWCPFSKIFRTDFQKLSSFFPTIAHFSFEESRIKPRMLSRYGVRAFPTLFLVNSTMRVRYHGSRTMNSLAMFYKDVTGMNPVSLDAISLERMEEVVNIIENDKKTEQGDSLFMFARSPDRLLHQDTCLALASSFVLMRLLCFLLPKLNACVKQAWRMQFYELKRLFPSLS >ORUFI08G16400.1 pep chromosome:OR_W1943:8:17768295:17776750:-1 gene:ORUFI08G16400 transcript:ORUFI08G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLGQRLLGLWTDLVSLSQNRVPLLMGFRRVNSYMNVSVKADIFLGNHRDGRRIKKWRKMIGVGGSDWKHYVRRNPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYETLVIYETSASELEIIRDISRTFPSHIFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLYQFEKLVLEQMPQLGQHFIEEMINPSMYASQWFITVFSYSFPFHLTLRVWDVFLYEGIKVVFQVGLALLRFCHDELVNILFALFLAAFVLSLIFGDVLKFVTCCQVKLPFEKLLHAFRNFPEEATDPDVLLPIAFTFKVSGRLEELEKEYQKKLEGSNASATRRRGRFPGMATAAKAFWNSPVGPRTTHFWGPVANWGFVLAVCVDGTTAELLASGLSRLQRKRSVISVLTLGESSGVPGE >ORUFI08G16400.2 pep chromosome:OR_W1943:8:17772328:17776750:-1 gene:ORUFI08G16400 transcript:ORUFI08G16400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLGQRLLGLWTDLVSLSQNRVPLLMGFRRVNSYMNVSVKADIFLGNHRDGRRIKKWRKMIGVGGSDWKHYVRRNPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYETLVIYETSASELEIIRDISRTFPSHIFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLYQFEKLVLEQMPQLGQHFIEEMINPSMYASQWFITVFSYSFPFHLTLRVWDVFLYEGIKVVFQVGLALLRFCHDELVKLPFEKLLHAFRNFPEEATDPDVLLPIAFTFKVSGRLEELEKEYQKKLEGSNASASTKRSHPLKLKTMSRIGSRALSNFTNSEK >ORUFI08G16400.3 pep chromosome:OR_W1943:8:17772328:17776750:-1 gene:ORUFI08G16400 transcript:ORUFI08G16400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLGQRLLGLWTDLVSLSQNRVPLLMGFRRVNSYMNVSVKGVGGSDWKHYVRRNPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYETLVIYETSASELEIIRDISRTFPSHIFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLYQFEKLVLEQMPQLGQHFIEEMINPSMYASQWFITVFSYSFPFHLTLRVWDVFLYEGIKVVFQVGLALLRFCHDELVKLPFEKLLHAFRNFPEEATDPDVLLPIAFTFKVSGRLEELEKEYQKKLEGSNASASTKRSHPLKLKTMSRIGSRALSNFTNSEK >ORUFI08G16400.4 pep chromosome:OR_W1943:8:17772328:17776750:-1 gene:ORUFI08G16400 transcript:ORUFI08G16400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLGQRLLGLWTDLVSLSQNRVPLLMGFRRVNSYMNVSVKADIFLGNHRDGRRIKKWRKMIGVGGSDWKHYVRRNPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYETLVIYETSASELEIIRDISRTFPSHIFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLYQFEKLVLEQMPQLGQHFIEEMINPSMYASQWFITVFSYSFPFHLTLRVWDVFLYEGIKVVFQVGLALLRFCHDELVNILFALFLAAFVLSLIFGDVLKFVTCCQVKLPFEKLLHAFRNFPEEATDPDVLLPIAFTFKVSGRLEELEKEYQKKLEGSNASASTKRSHPLKLKTMSRIGSRALSNFTNSEK >ORUFI08G16400.5 pep chromosome:OR_W1943:8:17772330:17776018:-1 gene:ORUFI08G16400 transcript:ORUFI08G16400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKAVDDTEPGPAPSRPVDRFGFIKPEQGTSPDGISKSKFIHERERERDGRRIKKWRKMIGVGGSDWKHYVRRNPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYETLVIYETSASELEIIRDISRTFPSHIFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLYQFEKLVLEQMPQLGQHFIEEMINPSMYASQWFITVFSYSFPFHLTLRVWDVFLYEGIKVVFQVGLALLRFCHDELVKLPFEKLLHAFRNFPEEATDPDVLLPIAFTFKVSGRLEELEKEYQKKLEGSNASASTKRSHPLKLKTMSRIGSRALSNFTNSEK >ORUFI08G16400.6 pep chromosome:OR_W1943:8:17772330:17776018:-1 gene:ORUFI08G16400 transcript:ORUFI08G16400.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKAVDDTEPGPAPSRPVDRFGFIKPEQGTSPDGISKSKFIHERERERDGRRIKKWRKMIGVGGSDWKHYVRRNPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYETLVIYETSASELEIIRDISRTFPSHIFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLYQFEKLVLEQMPQLGQHFIEEMINPSMYASQWFITVFSYSFPFHLTLRVWDVFLYEGIKVVFQVGLALLRFCHDELVNILFALFLAAFVLSLIFGDVLKFVTCCQVKLPFEKLLHAFRNFPEEATDPDVLLPIAFTFKVSGRLEELEKEYQKKLEGSNASASTKRSHPLKLKTMSRIGSRALSNFTNSEK >ORUFI08G16400.7 pep chromosome:OR_W1943:8:17768297:17771147:-1 gene:ORUFI08G16400 transcript:ORUFI08G16400.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAKAFWNSPVGPRTTHFWGPVANWGFVLAVCVDGTTAELLASGLSRLQRKRSVISVLTLGESSGVPGE >ORUFI08G16410.1 pep chromosome:OR_W1943:8:17778012:17779479:1 gene:ORUFI08G16410 transcript:ORUFI08G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATKPLSLFKSHPEAATQPPPDGRNSGYFVVKGPDDDGGGGDDETCCWGTCGGSRVRALPFPQNRVLTVRYTEQHGESSTTYADAVVFVPVPDLPLASNRYYAVVAAGKHKGLVRACSREEDMTTLCFCRCINDAKPRVFDPADVYQQVEIVQRRRGRFTARSVAADGFPYFLYRKKYWRVYASKPKHFDLGEAPGLNAALRSRQLALDAFPVTTTAAVGKWYAPFFLVKESGVSPPEQMDRATFYEVSLEQRWEAAAHGHGDGYAGKLDSKRVLIGGSVEGRQEGGGRHGDDGYVWFRAAAAAGGGGQRVGLCASLWERMRWEEYRGGWVDEHEGAGEVAGGGSVLVERFVVKRMDGSVAVAVDFVHFNKAREKLV >ORUFI08G16420.1 pep chromosome:OR_W1943:8:17780120:17781253:-1 gene:ORUFI08G16420 transcript:ORUFI08G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATKPLSAFAGHPDATSRPLPPAAAEGGCSGYLAVKSDEEGTDETRCWGLRSQPRVRGLPFPQNRVVTVSDPALGKYADAYADAVVFVPVPGAPPSSNRYYAVLAAGKHRGLVRACSREDDAATHCFCRCVRDAKPRPFDPADVYQQMEIVPNRGGFTARSVAADGLPYFLYRSKRWLAYASRPKHFDLAEALGLNDPLRSRSLTTPPPATATATAATAVAVGRWYTPFFYIKEDGVPLKAQMDRSTFYEIVLEQRWDEAMGGEASKRVLVGGSVEGKQEAVGAAASARTGGGSGDGYVWFSAATTTWPAGQRVGVHASLWEKMVWEEQRGGGGADEGGGGGGGRQEDDVRRRRRQVGAGGEVRGEEAGRQRGGGV >ORUFI08G16430.1 pep chromosome:OR_W1943:8:17789491:17793217:-1 gene:ORUFI08G16430 transcript:ORUFI08G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADVSSSKTTTARDYSTAILECAKKKSPNRLMADDAEGGVAVDNSTVTLSEATMEELGIFRGDLVTLRGRRRREAVCYAQKDESCPDGRLRLSRGVRSNLHVRLGDLVTVKPCPTIRNAKRVQLRPFDDSVEGISGDLFEPYLKPYFMDALRPVKKGDRFLVRGHMHAVEFKVMDTEPNNEPVIVAGDTEIFCDEGDPVKREDEERLDGPGYDDVGGVRKQLAQIRELVELPLRHPKLFQTLGVRPPKGILLYGPPGTGKTLLARAIAAESGAHFVVVNGPEIMSGMPGESEANLRAVFAEADAAAPSIVFMDEIDSIAPSREKAHGEVERRVVSQLLTLMDGLRPRAQVIVIGATNRPNSLDPALRRFGRFDRELDIGVPDELGRLEILRIHTKNMPLSDDVDLERVGKDTHGFVGSDLASLCSEAAMQCIREKLDIIDIENDTIDVEILNSLTVTMDHLKFAMEVTKPSALRETGIVEVPKVSWDDIGGLGEVKRELQETVQYPVEHPEMFDLFGMSPSRGVLFYGPPGCGKTMMAKAIAKECKANFISIKGPELLTMWFGESEGNVRNLFDKARQSAPCILFFDELDSIAVKRGNSVGDAGGTPDRVLNQLLTEMDGINAKKTVFVIGATNRPDIIDPAMLRPGRLDQLIYIPLPDASSRLEIFRANLRKAPMSRHVDLPAMAASTDGFSGADIKEICQRACKLAVREVVQKSTLVGKALAMAGAELTVDHFKSAMKHARKSVSELDVIKYEYFKHKFSGGIPDEEEAPATEPELPVGQLRLVAKPKTKTKAELEAEAEAAAKAKAEAEAKAKAKLKGKAVAVDDDDDDSTYSMDSMDEDLLY >ORUFI08G16440.1 pep chromosome:OR_W1943:8:17803430:17820658:-1 gene:ORUFI08G16440 transcript:ORUFI08G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILLFDHPSENREKPAFVARAFVAIVKLHKLCYSLSCLCVSDLGHFQHAREEDKTRILFLSFVDDAPPRPFHHGDVYQQVEVAPHYPMGFKAAAVAPDGVPPSLLRLKGWQVSKTSRTSYDGLADHAHWVDWPLRRRMPDLDGFGIGAGGGSPAAVVGKWYCPFMFIRDGERRLKDQVNRCMFYEMTLEQRWEEIYSCDNTHRGSISGKQPDDEVKVNVTVRRSTALLGGTGAVVQEGGPQEVDGVMWFRPAAPPPNSGAAGGVGVDMVVWEKMKWELERGGWVDGNGDVESIERVERREALGRYWDKPNGYEQTMYYCNYRILIVSVNRKYVREDVSGPGLGKAGPKLDEPSRPYPIPSRACALPRFAPRLLRRALPPTLPSCSLPLASSVTALLSSSPSGSPPLPASSPRALAGETAPIPSPFAGEVFNGTPPPTPFCAASSRHARPRTASDDQAWRARRCAWPPPLPAPLPSVSVRVESKGEADRWMHGRGGSVEVCFQGRRMRAASDRGLDALPIDLEVGVPWCPPIGAGWETGIYSRFVTGTYTDFCSSGGRAAAEGSGSWFLVMEDEAALERATVCCGLCHGSGTHRHTCSASPFRRTGNSWWMERYMRGSKKMYVTRPLSRYLDNPEAAAEPLPEGPGSGFLIVEDEAAVERATVCCGLCRDPKVHTLPFPQSRRLDVGEDDIVLFVPVVGEPLSAGRYYVVKAIGHHAGKVLACSREEDKTRILFFSFVDDAPPRPFHHGDIYQQVEVVAVAQASHWLRGFKAVAVAPDGIPPSLLRRKGWEVSKAMRTSYDGLNDDAHGIDWPLRRQMPDLDGFGIGAGGSPATVVGKWYCPFMFIRDGEQRLKDQVKRCRFYEMTLEQSWEEIYRCDNTHRGSISGKPPDEIKVNVTVRRSTALLGGTGAVVQEGGPQVVDGVMWFRPAAPPTNSGVAGGVGLDMVVWEKMKWELERGGWVAGNGDVESIERVERGEAAGQWDKFGCYLLLESFVLRRMDGSVALTCGFRHTSKITTKWQYASCFARSTTEQSMAALG >ORUFI08G16440.2 pep chromosome:OR_W1943:8:17803430:17820658:-1 gene:ORUFI08G16440 transcript:ORUFI08G16440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVILLFDHPSENREKPAFVARAFVAIVKLHKLCYSLSCLCVSDLGHFQHAREEDKTRILFLSFVDDAPPRPFHHGDVYQQVEVAPHYPMGFKAAAVAPDGVPPSLLRLKGWQVSKTSRTSYDGLADHAHWVDWPLRRRMPDLDGFGIGAGGGSPAAVVGKWYCPFMFIRDGERRLKDQVNRCMFYEMTLEQRWEEIYSCDNTHRGSISGKQPDDEVKVNVTVRRSTALLGGTGAVVQEGGPQEVDGVMWFRPAAPPPNSGAAGGVGVDMVVWEKMKWELERGGWVDGNGDVESIERVERREALGRYWDKPNGYEQTMYYCNYRILIVSVNRKYVREDVSGPGLGKAGPKLDEPSRPYPIPSRACALPRFAPRLLRRALPPTLPSCSLPLASSVTALLSSSPSGSPPLPASSPRALAGETAPIPSPFAGEVFNGTPPPTPFCAASSRHARPRTASDDQAWRARRCAWPPPLPAPLPSVSVRVESKGEADRWMHGRGGSVEVCFQGRRMRAASDRGLDALPIDLEVGRYMRGSKKMYVTRPLSRYLDNPEAAAEPLPEGPGSGFLIVEDEAAVERATVCCGLCRDPKVHTLPFPQSRRLDVGEDDIVLFVPVVGEPLSAGRYYVVKAIGHHAGKVLACSREEDKTRILFFSFVDDAPPRPFHHGDIYQQVEVVAVAQASHWLRGFKAVAVAPDGIPPSLLRRKGWEVSKAMRTSYDGLNDDAHGIDWPLRRQMPDLDGFGIGAGGSPATVVGKWYCPFMFIRDGEQRLKDQVKRCRFYEMTLEQSWEEIYRCDNTHRGSISGKPPDEIKVNVTVRRSTALLGGTGAVVQEGGPQVVDGVMWFRPAAPPTNSGVAGGVGLDMVVWEKMKWELERGGWVAGNGDVESIERVERGEAAGQWDKFGCYLLLESFVLRRMDGSVALTCGFRHTSKITTKWQYASCFARSTTEQSMAALG >ORUFI08G16440.3 pep chromosome:OR_W1943:8:17809034:17820658:-1 gene:ORUFI08G16440 transcript:ORUFI08G16440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVILLFDHPSENREKPAFVARAFVAIVKLHKLCYSLSCLCVSDLGHFQHAREEDKTRILFLSFVDDAPPRPFHHGDVYQQVEVAPHYPMGFKAAAVAPDGVPPSLLRLKGWQVSKTSRTSYDGLADHAHWVDWPLRRRMPDLDGFGIGAGGGSPAAVVGKWYCPFMFIRDGERRLKDQVNRCMFYEMTLEQRWEEIYSCDNTHRGSISGKQPDDEVKVNVTVRRSTALLGGTGAVVQEGGPQEVDGVMWFRPAAPPPNSGAAGGVGVDMVVWEKMKWELERGGWVDGNGDVESIERVERREALGRYWDKPNGYEQTMYYCNYRILIVSVNRKYVREDVSGPGLGKAGPKLDEPSRPYPIPSRACALPRFAPRLLRRALPPTLPSCSLPLASSVTALLSSSPSGSPPLPASSPRALAGETAPIPSPFAGEVFNGTPPPTPFCAASSRHARPRTASDDQAWRARRCAWPPPLPAPLPSVSVRVESKGEADRWMHGRGGSVEVCFQGRRMRAASDRGLDALPIDLEVGVPCFHPQHCTAGNLNDKLKQIRYTNRDAHHIHGN >ORUFI08G16440.4 pep chromosome:OR_W1943:8:17795411:17803422:-1 gene:ORUFI08G16440 transcript:ORUFI08G16440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDSVLSQLTLNLIAVRLISKVAIYTTLLNPLSKYALVVTPIAAAIEERIRGAVDVSVAVRTLLVLSTVAVPLAVPSCTSWRWCDRCSSSPPLAGRRATPAFPPPAAAPALSARRGREREEGAVRREKEQEGGGEKVSGREGLTEPVAPNASLRVVPQPKASEGRISQLRNALSPRGTTPTENDMYVTRPMSRYQDNPQAAAEPPPDGPGSGILVVEDEAAVERATRWWGLWLDRQVYGLPFPQSRKLKVEYATARMDGGGRAGTTHRTHTHTDRDDVVFVPVVGQPLSSGRYYAVRATGRHAGKVSACSREEDVVTCCGLWSFANDASPRPFVRGDVYQQVEVVQLSRRGGFRAVAVAPDGVPPGYLRRKGWKVHTSASTSCNLTDTAHGTDWPLRRRMPDLESFDVGVGGSTPVVVGRWYCPFMFIKDGGEQWLKDQMEWELEKGGWVAGNGDDVERIKRVERHDGLGGHWDKFGCYLLVERFVLTRMDGSVALTYEFRHTDKITTKWEERKMYVTRPMSRYQDDPDAAAEPPPEDPGSGFLVVEDEVAVARATRWWGLWADRQVYGLPFPQSRQLEVEYTASIGAGRNRLTYTHRDDVVFVPVVGQPLSSGRYYAARATGRHAGKVSACSREEDMVTCCGLWSLVNDVPPRPFDRGDVYQQVEVLRLPPRGRGFTAVAVAPDGIPPGYLRREAWKVHTSASTSYDLADAAHGTDWPLRRRMPDLDSFDVGVGGSPPVVVGRWYCPFMFINDGGEQRLKDQVKRCMFYEMTLEQRWEEIYTRDNAHRGRRSTSSKDNEVEVSATVRRSTALLGGTDAVRGGGPQMVDGVMWFRPAAPPTNSGTAGGVGLDMVVWEKMKWELERGGWVAGNGDVESIERGERREARPGQWDKFGCYLLLESFVLRRMDGSVALTCGFRHTGKIRTKWV >ORUFI08G16440.5 pep chromosome:OR_W1943:8:17803430:17809000:-1 gene:ORUFI08G16440 transcript:ORUFI08G16440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRPLSRYLDNPEAAAEPLPEGPGSGFLIVEDEAAVERATVCCGLCRDPKVHTLPFPQSRRLDVGEDDIVLFVPVVGEPLSAGRYYVVKAIGHHAGKVLACSREEDKTRILFFSFVDDAPPRPFHHGDIYQQVEVVAVAQASHWLRGFKAVAVAPDGIPPSLLRRKGWEVSKAMRTSYDGLNDDAHGIDWPLRRQMPDLDGFGIGAGGSPATVVGKWYCPFMFIRDGEQRLKDQVKRCRFYEMTLEQSWEEIYRCDNTHRGSISGKPPDEIKVNVTVRRSTALLGGTGAVVQEGGPQVVDGVMWFRPAAPPTNSGVAGGVGLDMVVWEKMKWELERGGWVAGNGDVESIERVERGEAAGQWDKFGCYLLLESFVLRRMDGSVALTCGFRHTSKITTKWQYASCFARSTTEQSMAALG >ORUFI08G16440.6 pep chromosome:OR_W1943:8:17820663:17821355:-1 gene:ORUFI08G16440 transcript:ORUFI08G16440.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTRPLSRYLDNPDAAAERPPEGPGSGFLVVEDEAAVEQAATICCGLCHDPRVRTLPFTQSWRLYMGEDNVVVFVPVVGEPLSAGCYYVDRSTGSHHAGKVLAYALLGNKPDRVCSDQFACVVCVFVVNASVARRAWRRARTLAAASCAEFVLMHGVSRDVRIVRAKAGEGVRAPFVRHPGPI >ORUFI08G16450.1 pep chromosome:OR_W1943:8:17827185:17831898:1 gene:ORUFI08G16450 transcript:ORUFI08G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVEGMRDVVATVSGYHGDERHRLVRLIAETGASYVGAMSRSITHLVCWRLEGKKYDIARRLRTRVVSHRWFEDCLKEGRRLPEKPYMLESGEEAGPVPELPTFPRSRSKRNASMEDRCLKELPDDFCNTSYATDVLVVADSGSDCNHQRWSDSSLLKENFVGDRDNSKIGATHVKERRKRLKHAQNSTNEDALDAEDNISRLMARQGRYESSYTSSRSASNQKGDLLKLLHNDDASMMRKRNSLMKKETRTKLAGYLIESCENGSLTDSFDEPQMSDTPPTEDRRKIRKTRLRQSTLDSIYDYGEASEHDPEKSEDQENFELGESSRSFQSSDSSRQEPAFCTEKTNQGSIDIAADDDKGDDEKATLEEPTSCQGQAELSCVICWTDFSSTRGILPCGHRFCYSCIQEWADSLSGQGACYQCHCREPEELLLSCHVCRSQWVHSYCLDPPLTPWTCIHCRDLRMLYQRYR >ORUFI08G16460.1 pep chromosome:OR_W1943:8:17834870:17839549:1 gene:ORUFI08G16460 transcript:ORUFI08G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRFSDWIWPGSRTTRRARDAPASSVALAVAGALFPDSPSGFREPTVGTLRGPASSGGGARRARKGTSRRRSREVVDREHDMVVVPLGGGGDGYSSDSGSDDSDWSIGWLEPQGPELHSDGDSDGSFAVLVPCYRHGRCRVEEPGRGRLADGNVSGGKNFVERWLSSLPN >ORUFI08G16470.1 pep chromosome:OR_W1943:8:17845276:17845530:-1 gene:ORUFI08G16470 transcript:ORUFI08G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRLAVSGGRRRRIRPAAARRSGVALRRKVRELRRLVPGGEGAPARSLLVRTADYIVRLKARVELLRALSALYDELPLPAGV >ORUFI08G16480.1 pep chromosome:OR_W1943:8:17856628:17859635:1 gene:ORUFI08G16480 transcript:ORUFI08G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLLAAVTSLASLSADDAQTLTEFLPIRVLRWEIMGEGRDNQAGRSLSHPGSRMMAGTLVSDRRKHPAPVARRAGARPPGLPRNGIRRGERRWHGIRGAEKGVKEDGGGEAVEGGGGPPPGGGGDREPWSGASIGGRRGAADSSRRQLLRWHAAVQAPAPGGSPLTRIARMLVVSARKWGVEVPVDRSRLHESGIEGSHKLEHTEQFACLDRAAVETPENSQLGGRACPFVAALPCPRRRRCRRRHAFARHLLHFGHADHLAALVLFLP >ORUFI08G16490.1 pep chromosome:OR_W1943:8:17859748:17863282:1 gene:ORUFI08G16490 transcript:ORUFI08G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPPLALLTLTLSLTLSLASAGLVLDDGYTVTTAADLNHPGAPAAPHPYALLPRPRAGDLVLLDSAASALYTLALPLSGGAAARTLAGGGGGPAGFADGEPRDAAFDRPRSLAVDHADNVYVADRMNGAVRKIAPSGFTTTIAGGRSKGPGRKDGPAQNATFSSDFELVYVPKMCALLVTDRGNRLIRQINLKQEDCARETQPGLGTTSVSIIAVLCALLGSVIGFSIRHFYPAHEVSINRFFRRMQMQYKTIQRTAALISFSDIRSVAANSTFHALLLKLVRVCVGYLSVVFPSVRLEKRAPVKPCPSLLDLDYPVVTSTGPDNKADESTELVGNFIGFDGDTSSEEDNVPASYGKVPAGELVALLDGPELSNKIDDMIEANLSGFSGQENNHCSAVKCSGISRRRRLHGEIT >ORUFI08G16500.1 pep chromosome:OR_W1943:8:17863976:17869302:-1 gene:ORUFI08G16500 transcript:ORUFI08G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLEMSCGDGVDFRQPFKSLPRVVTSPGIISDPDWDTRSDGDSVGSASSVERKIIVANFLPLNCTKDEAGQWSFSRDDDALLMQLKDGFSNETDVIYVGSLKVQVDPSEQDQVAQKLLRDYRCIPTFLPPDLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRSLFQAYVRANKLFADKVMEAINTDDDHVWVHDYHLMLLPTFLRKRLHRIKLGFFLHSPFPSSEIYRSLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLHYESKRGYIGIDYFGRTVSLKILSVGVHVGRLESILKLPATVKKVQEIEQRYKGKMLMLGVDDMDIFKGISLKLLGLELLLDRNPKLRGKVVLVQIVNPARSTGKDVEEAITESVSVAERINLKYGSVDYKPVVLIDHRIPFYEKIAFYAASDCCIVNALRDGMNLVPYEYTVCRQGNEEIDNARGSDTNCHHTSTLIVSEFVGCSPSLSGAFRVNPWSVDDVADALHHATDLTESEKRLRHEKHYRYVRSHSVAYWAHSFAQDLERACKDHYSRRCWAIGFGLNFRVIALSPGFRKLSLEHFASSYNKATRRAIFLDYDGTLVPQSSINKAPSDELITILNSLCDDPKNDVFIVSGRARSLLDEWFAPCQKLGIAAEHGYFVRWNKAAEWESSYPNHDFEWKHIAEPVMQVYTETTDGSSIEPKESALVWHYLDADHDFGSCQAKELLGHLERVLSNEPVVVKCGHYIVEVKPQGVSKGLVVDKVIHRLMNNGKTPDFVVCIGNDRSDEDMFKSIDSMTSSSAFPAVPEVFACSVGQKPSKAKYYVDDAGEVVRLLKNVAGISSHREAVSHGRVTFRDVMDYVE >ORUFI08G16500.2 pep chromosome:OR_W1943:8:17863978:17866781:-1 gene:ORUFI08G16500 transcript:ORUFI08G16500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLEMSCGDGVDFRQPFKSLPRVVTSPGIISDPDWDTRSDGDSVGSASSVERKIIVANFLPLNCTKDEAGQWSFSRDDDALLMQLKDGFSNETDVIYVGSLKVQVDPSEQDQVAQKLLRDYRCIPTFLPPDLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRSLFQAYVRANKLFADKVMEAINTDDDHVWVHDYHLMLLPTFLRKRLHRIKLGFFLHSPFPSSEIYRSLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLHYESKRGYIGIDYFGRTVSLKILSVGVHVGRLESILKLPATVKKVQEIEQRYKGKMLMLGVDDMDIFKGISLKLLGLELLLDRNPKLRGKVVLVQIVNPARSTGKDVEEAITESVSVAERINLKYGSVDYKPVVLIDHRIPFYEKIAFYAASDCCIVNALRDGMNLVPYEYTVCRQGNEEIDNARGSDTNCHHTSTLIVSEFVGCSPSLSGAFRVNPWSVDDVADALHHATDLTESEKRLRHEKHYRYVRSHSVAYWAHSFAQDLERACKDHYSRRCWAIGFGLNFRVIALSPGFRKLSLEHFASSYNKATRRAIFLDYDGTLVPQSSINKAPSDELITILNSLCDDPKNDVFIVSGRARSLLDEWFAPCQKLGIAAEHGYFVRWNKAAEWESSYPNHDFEWKHIAEPVMQVYTETTDGSSIEPKESALVWHYLDADHDFGSCQAKELLGHLERVLSNEPVVVKCGHYIVEVKPQGVSKGLVVDKVIHRLMNNGKTPDFVVCIGNDRSDEDMFKSIDSMTSSSAFPAVPEVFACSVGQKPSKAKYYVDDAGEVVRLLKNVAGISSHREAVSHGRVTFRDVMDYVE >ORUFI08G16510.1 pep chromosome:OR_W1943:8:17891117:17891731:-1 gene:ORUFI08G16510 transcript:ORUFI08G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYHAEAAAHAVQNDRLSVRSVLQVLFLEHGKLNRLAELSGGASFGAPSLAFDLPGAAALQARGTRPAPRAPPPPRGLRPPPGVRRRLRRDVQVQCSTLQAQVDRLSTERRWRGGGGGFFKWSTFWFGGMSSDVARVEDSESGIERRTPASGKKGRGGALD >ORUFI08G16520.1 pep chromosome:OR_W1943:8:17897665:17899765:1 gene:ORUFI08G16520 transcript:ORUFI08G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPPPPRRRMLAMPAVCPCEDISPGTLLASLATLSADVAAGCDVDRLPALRGGAGVAVRVAGLLREFLEEVRWAAAELPGGSVLGMSELHVALQKMRFLLEDCGRKGARMWALMNAEAVASELRVVLGSVATAMDVLPAGVVAASDDARELAALVSQQAWRAAVRPDEEDSREARSVRSMLARFRSGFTPDAEDARLVLGRVGVASWWDCSQEVSFLEAEMLERVEAGGENDNDLVLISGLMAFLLYCRVVLFDRIDYGKADEAAPAPAPRAASYLARINPEGLQCPITLELMTDPVTLATGQTYDRASIKRWVKSGCRTCPVTGEKLRSADFVPNVAVRGIVEQLLLSNGVSLHEPSSKHRCAVDKTASPFGAAAAGGARLAVAFLVSKLCRGTPEEQKKATYECRKLSKRNVFHRACLVDAGAVPWLLHLLSSPDASVQDNAVAGLLNLSKHPAGRRALVEAGGLGLIVDAVSVAAKVEAQQNAAAILFYLSSDAGYCDEISRIPEAIPTLVRLVREGAYRGRKNALVSLYGVLQRGAGGHGRAVSAGAVAALASLLPGDRDDLANDAVALLARLAEQPAGAAAVLSSSALVARLVDFLGASASRSAKDHCAALLASLCRHGGDAVVALLGKTPGLMPSLYALIADGGAQGRPRCSARRRPHCPRRWPRRRPATVSFEIV >ORUFI08G16530.1 pep chromosome:OR_W1943:8:17906659:17910388:-1 gene:ORUFI08G16530 transcript:ORUFI08G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLYPMKTSTRMLWSTSFFRHKPTPSRAAAASRRRSARLVGARACVNGRAASRSGFLGFLEKGGEEGEESMDPGRVVFDSGVARRACPGGAQMLLFGGGGSANSGGFFRGVPAAVLGMDESRSSSSAAGAGAKRPFFTTHEELLEEEYYDEQAPEKKRRLTAEQVQMLERSFEEENKLEPERKTELARRLGMAPRQVAVWFQNRRARWKTKQLEHDFDRLKAAYDALAADHHALLSDNDRLRAQVISLTEKLQDKETSPSSATITTAAQEVDQPDEHTEAASTTGFATVDGALAAPPPGHQQPPHKDDLVSSGGTNDDGDGGAAVVVFDVTEGANDRLSCESAYFADAAEAYERDCAGHYALSSEEEDGGAVSDEGCSFDLPDAAAAAAAMFGAAGVVHHDAADDEEAQLGSWTAWFWS >ORUFI08G16540.1 pep chromosome:OR_W1943:8:17920397:17929190:-1 gene:ORUFI08G16540 transcript:ORUFI08G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLNPSKPSSRGGKPRSSSADAMAEHKPLPGRPKREGEGASKRKAKSGGFESMGLCEEVYRGVRHKGYRVPTPIQRKAMPLILAGHDIAAMARTGSGKTAAFLVPMIQRLRRHDAGAGIRALILSPTRDLATQTLKFAQQLGKFTDLKISLIVGGDSMESQFEELAENPDIIIATPGRLVHHLAEVEDLNLRTVEYVVFDEADSLFSLGLIQQLHDILHKLSDTRQTLLFSATLPQALADFAKAGLRDPQIVRLDLDKKISPDLKLAFFTLRQEEKLAALLYLVRERISSEEQTIIFVSTKHHVEFLNILFREEGLEPSLSYGAMDQEARNIHISKFRARKTMILIVTDVAARGLDIPLLDNVVNWDFPAKPKLFVHRVGRVARQGRSGTAYTFVTSEDMAYLLDLHLFLSKPLRPAPTEEELLKDMEGMNLKIDRALANGETVYGRFPQTIIDLVSDGIKEVINGCTDLIALEKPCTNAFHLYLKTRPMPSTESIRRVKDLPREGLHPIFRDVLGSDELSALAFSERLKSFRPKQTILEAEGEAARGSNQWLDVMKKKREVHEGIINLVHQKNNVDHEPKEELVENISNWERKDVCGNKRKLQSFRDEEYYISSVPQNQHLEAGLSVRANEGFVENRLDAAVLDLVDDETSGMQAQKTRYHWKKNKFVKLNSGDRVTATGKIKTESGAKLKPTKTGIYKKWQQKTHRSIDTGRKFGGFAEEGASTTGSHQRGNRKHTAVGRGRRYIPNADVPSEIRNPEQIQKSRQQKAMDIARMKNRSTKESKFQKFQKNNRRHDGPLKDGKFQKNRRPDGNGKNRRPDGNGKGRGKGKGNANGFGKGKGKMKGKGTR >ORUFI08G16550.1 pep chromosome:OR_W1943:8:17929213:17929936:1 gene:ORUFI08G16550 transcript:ORUFI08G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVGGFRALRSRPRRRGEAGGGGGGGVGSRVNLRGCETPAGRWGEEQAAGDEAAAVVVAATREGRPRRRDRPAAGDAREEVRWPVTRGQQWQRGGGSAAGEERG >ORUFI08G16560.1 pep chromosome:OR_W1943:8:17933680:17939023:-1 gene:ORUFI08G16560 transcript:ORUFI08G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPPPDGLDPPPATPPTAAAGTPPLAVAATNTPPNPATTPTPLTPNPNPSPTPPPPPMSTPPVVAPPMHSFAPSFRPLGAPPPPPPPQQVQVQVPPQYGGVPNPGYPMAQQMQPPGVPHVMPPGAVRPPAMYAPQPGVYLQQPGAALPPGMPRYPGPYPMMRPGFPPRPMPPVGVMPLQRPPIIPGIRGAPPVVPPTARPPTPAVTPPDKPPTAVYVGKIAPTVDNEFLLSLLRLCGPVKSWKRTQNPSSGKPVSFGFCEFESAEGILRATRLLNKLSIDGQELVVNINDATKEYLKKHVEEKKKAQEKTKEMEDGGGSGTTAVTGNELSKPVSDETETGTGDAGDKGNEENPKKFGIVTEEDSKADKDVAEKINTMIEEWLKTRPPPPPPPVEQPADISSKHKNGESSVDVTKNDSEDKSGTGSDKRAVNETERIETGSPDKRKERERDKEKRDKDKELERYERERERERVRRDREKDIKYKEVERLYKERLKEWEFREREKEYQRQHEKEREKDRERERKREIMKQEDDSDEEDNRKRRRRSSGTLEERKRRRLREKEEDLADKLREEEEIAEARRRAVELQQQADEAAAAAAAVVAESATVMEVDGDDGKERDAQDKPTVVDDGNNDAFANGVDSGVNNGKDNNGDDKNMTPGEISDTKHNTSAPAKKLGFGLIGSGKRTSVPSVFAEEDDEDNDDKRARPLVPIDYSTEELQAVQANSSTGQPNIVAAAEFAKRILVTKDDKSDVEKDRSRRSSDRMSQRDRDRNDQDVGRTGDERREKTHDREKDKQDKPKSENKNILDAKQLIDMIPRTKEELFAYDINWAIYDKHELHERMRPWIAKKIIEFLGEEESTLVDYIVSCTKDHVQASKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVETGLSGRAKA >ORUFI08G16570.1 pep chromosome:OR_W1943:8:17941136:17941593:1 gene:ORUFI08G16570 transcript:ORUFI08G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGEEVVREVYLTKGKAVGARSCRRRVCRGGGGGGEAVEYRSHRREGRRGKILLDRIFIGVDLVVEEVIGAHIIGNKAIRGGSYERAYQSRPSGERSCQSLEERPPKSKGKRRGGLSLGKEEKGWRRGE >ORUFI08G16580.1 pep chromosome:OR_W1943:8:17947021:17947863:1 gene:ORUFI08G16580 transcript:ORUFI08G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMGQLGRFMDGIKSKLRGGGGGGRKNGRKKEAAAAAMMTYDKMDKTESMRVEIRSRQAQKLIAKNLVAADSIGRRNKRFFLAF >ORUFI08G16590.1 pep chromosome:OR_W1943:8:17963428:17966792:1 gene:ORUFI08G16590 transcript:ORUFI08G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAAVAFGQAAFLARPPRRPPHRMGWAEAGGVAAGRGRASLPRPRLSASLSIDAGGYGDEHAPLFPRQQAWDPYKILGVDHDASEEEIRSARNFLLKQYAGHEETEEAIEGAYEKIIMKSYSHRKKSKINLKSKIQKQVEESPSWFKAMLGFFEVPSAEIISRRLALFAFIAGWSIVTSAETGPTFQLALSLVSCIYFLNEKMKNLSRASMTGFGVFVGGWIVGSLLVPVIPTFAIPPTWSIELLSSLVAYVFLFLGCTFLK >ORUFI08G16600.1 pep chromosome:OR_W1943:8:17973684:17978253:-1 gene:ORUFI08G16600 transcript:ORUFI08G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGRTPATQRARKATRSGRSRRAGAAAPARPSPAMAIPKVDLRGLEPGTPGWEAARAAVTASMLSHGCVVVAHGALGPELREALFSRAARDLFALPAEAKRRNVSTVGPYRGYITNTPGMNWESLYVGAAADAGRVPEFAGLLWPDGNPEFCDTIVSFAKKMTELERAVERMTLEGLGVGEDHIASHLDAHDDAVRLSRYGPPPDAASAMSMGEHRDDTVITIIVQHEVEGLEVQVGDGSWHTIPPEPDTVAFMAGEMFTRLVALFTTRCKGGTVVSAMDELVDGDHPLAYRPCNEDEYVQFRHSEEGGKFSDPLKAFCGVDVYASCTMIH >ORUFI08G16610.1 pep chromosome:OR_W1943:8:17978751:17979987:-1 gene:ORUFI08G16610 transcript:ORUFI08G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIPKVDLRGLEPGTPGWEAARATVTASMVSHGCVVVAHGELGADLREALFGRAVREAFALPAEAKRRNVSTVGPYRGYIANIPGMDWESLRVHDADDAARVREFAGLLWPEGNPEFCDTIVSFATKMRDLERTVERMTLEGLGVGEDHIASHLAAQDYGVRLSHYGPPPDASTAISLQAHRDDSMTTIIVQHEVEGLEVQAGDGSWHAIPPEPDTIAIVAGELFRVVTNGRVPASVHRVRTPSGRERYCVLVGSRSKDGAVLSAMDELVDGEHPLAYRPCKAEEFIQFRYSEEGRKFSDPLKAFCGVNATE >ORUFI08G16620.1 pep chromosome:OR_W1943:8:17984809:17986835:1 gene:ORUFI08G16620 transcript:ORUFI08G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASPGRLRRLMGAATDAVLFTSLCAMRVTNAGSVFAILARRAGADDRLSPVAAARGATSFSVAVFSVLLPAFVPMFVLRVESLKKERYRGDHPFAVLLKRAFPLGYIVIVGVMLQVLAPCKTGQNVASAITDVGLLCTGIGTCLFGVPCLMHMLKLPVSALYAREENHFCFYPGQVVYVRFIGSVDLYEVV >ORUFI08G16630.1 pep chromosome:OR_W1943:8:17989080:17989896:1 gene:ORUFI08G16630 transcript:ORUFI08G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEAALLPPPPPPPQARWASVAATLRRLLALAADVLVYGLLAAMWLNNAAFAAAIFSRWASGEGSTTVAILSRSARGEGSAAVAVAAAAATKVFWASLLAVGVLFPFAGPLLMWRLGLGPPPPHETRESGNGGRSAAQRGRRGSTGPASRRQEGGGRPVALLFMFFSWWVAFAGFLLQELAPEKGSCQEKVGSVLTDIGGFANSVLVCFVFFPDLVIFLMRDSRW >ORUFI08G16640.1 pep chromosome:OR_W1943:8:17992516:17994872:1 gene:ORUFI08G16640 transcript:ORUFI08G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIETALPPPPPQQAQPPRWASAAAALRGVADVLVYSYVTAMWVNAAANGAAVFSRWACGEDSPAAAVTMEVSMATVLAMGALTPFASPVLLSRLLERPEAAREEGGGGGGGGGAKAQAHLHRRDSSGGARGRQDGGFVGRVVVLFMVFACCVAFVGVMLRDFAPEKGSCQERVGSILADIGVFAGSAMVCFVAAPNLLIFLTRSRGVFKAEHSNYL >ORUFI08G16650.1 pep chromosome:OR_W1943:8:17995718:17998818:1 gene:ORUFI08G16650 transcript:ORUFI08G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGAAQPPPPPLRAPAAAPETLRRLLALVTDVLVCCFLAAMWVTPAASAAAVLSRWACGEGSPAADVAAKVSVASFLATAALAPFASPVVTWRLLGRPRRGGRARETSPVNAAAVRKEALDASFSLRSSVCFAVVGLLLQELAPEEKGSFQEKVGSVLADIGLFANSVMICFVVAPNLLIFLARDRQEYKVF >ORUFI08G16660.1 pep chromosome:OR_W1943:8:18000607:18001360:1 gene:ORUFI08G16660 transcript:ORUFI08G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRARGRRPLAARATAVLHAALRRASCRVATAGRRLAALYALLGALWVASALYIANAVARCARLGMGGASLLSDDRCRVSLEYAPAIVLASLLARSVLRRREAKDELGGGGDGGGDVLSKKLSSSMEAPHHPQLDEETELQMLLVFVLTPSLGLTLFGSVLVLLPPPDPALVPLGSTMANVGLLGVSITLCILGIPYSMRRLRKALSVKAGGIGMV >ORUFI08G16670.1 pep chromosome:OR_W1943:8:18005307:18006360:1 gene:ORUFI08G16670 transcript:ORUFI08G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPRGRLLAFAPALHLLLRALWVAGVLNIAKDVVHCARLQSTTSVLDDHRCNLSLSFALAMLFISLLGRSILRRSDAMAEAELGGGEEDGDDVLPEKASSSPETHPRAHWMLQGWSPRDVEVGFVMGLAFLPYLWITMLVSLFLLVPRLHLPPPAERGVPVVELLGDLMVHVGCLCVSINLCSLGVPYAMLRLRKALDAKDGDAWIL >ORUFI08G16680.1 pep chromosome:OR_W1943:8:18011973:18014657:1 gene:ORUFI08G16680 transcript:ORUFI08G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEAAIRRGLLVSGAVSVAAALGALWLACALRIASAVNHCLAVKAAGVSVWADARCDATIELAAPAAVVLLILNAVAARREAKAEAEADAQIREAAGAAASNVVPDPGDLQQPLVTLALPAPTPSPRPERLRLRGSDAVLMFVVAFIYVCCAVLIVVGELLPVVGDLIPVDCQRQCQVQRRCLAWFFKNIGYLWLAVGHCCLIIPYAVLRLRRLARKKVASFFVSVPCMIGFANPADNYVAKYD >ORUFI08G16690.1 pep chromosome:OR_W1943:8:18018931:18024872:1 gene:ORUFI08G16690 transcript:ORUFI08G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAKEVAEAVAKEAARQAVEAIAAVNAATDAAAEAVAVGKAVAEAMEVAKAAFEAAMKKVAEALAVANEVMAKAALEAAATEAAAKEVAEAVAVLKAVLEAAAMEEAEAAAVAKAAAEAAEAVAVAKVAVEAAAKEAAEAVAVAKTAFEAAAAKGVAVAKALAKEAAEVVAMAKDLVEAAAKEAAEAVAVAKAVAEAAEAVAVPKAALEASMAVAKDLAEAAAKWAAEAKAAAEAAAEAKAAAEAAAVANAVAKAAAEAAAVADAAAKAAAAAAAAAAAEDAAAATRTQLGRLALVVVGFAFALGAVWLASAFRIASAVDHCLAVKVHWLADPMCRDTVVFAAPLAVASLILMAAAVHRQVKAEAEAGAQIREAAGVTHDVLPDPELQPPLPPPPQPAVALASGLERRLLLCGSDEVVMLTIISIYLCCVTFILVGMLLRMFGALFLVYYPGDELEIALLMGVGSGFKNVGYLWLAVGHFFLIIPYAVLRLRRFLNQFVSIFVMHVANRVLDQRQYGIADLNAAAFQFVRLLVILSSCRCCHRHCLLLADSPAYCACAKSNCTIAVAFLAMLGMAVCAEVKADAEEEAQIIRVAAGLCRPDVALPAGPDHLGRRGCDAAVVFFISAMYPYCVAFILMGKLLRTIGVFGRVPGGGGDEGITRLMNLGSDFENMGYLWLALGHFFLVAPYAALRLRRFIKEEELVTMHEVEQL >ORUFI08G16700.1 pep chromosome:OR_W1943:8:18027610:18031082:1 gene:ORUFI08G16700 transcript:ORUFI08G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVAAEEADAAAAALLTLQELAAAARRMERVRVVPMEVEAPAARPPHPRPRPPPSRVQRLVAALRGRYLRGVDAVLYGFIGAVWVALVAGCVPMAVSRWVGVHGHAVAVVGGAVSWVSVRAMGVLAPAFVPLFVLRGMDRGRAQVVEVRDELENSNVARENPPTDGINPENNGAWRQLAKQANSVAKVICIVFFLVILTGLLIKHLAPVKRSELWAGGSILVEIGFCVCSALSSMIILPKLVFEMRRMGVL >ORUFI08G16710.1 pep chromosome:OR_W1943:8:18033246:18036637:1 gene:ORUFI08G16710 transcript:ORUFI08G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVPTLQASAAAAAAAAARSWPMLRRLWRKAVVAPVFWLYHLGEDIIFYGFLAAMMAANLGGIVPEILGRWACGEGSAVSAAGEEVLACSKFIIVRFLPAFVVQLFVRILARARFEAREAKKEKEKRENNEKTSSTAIRVESSKEQRPESRGARRWGPKGFMPYAIYLAPPLIQLSCLGIKMKAHHEEGSLEWRVGYVLDDFARFTSAILISFVGVPSMLLTTMIPKVKDDDTSSQ >ORUFI08G16720.1 pep chromosome:OR_W1943:8:18038718:18041324:1 gene:ORUFI08G16720 transcript:ORUFI08G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGAARGFRRRVPEPGWWVLRAVERSLRRRLSRAVAAWLPATVVVGWVTAVAGIAPIVGRWVGGEVGRAMEVAGVHVVGAGQHVFMLLVVPIAVLLMVMQLRLLAGPLMGGRGMLVRTIAAMELSILENHRERRGKWNQLAQEIVFPLSISFVLLGVAMIGLMITGFSPEKEFSRKNIGWILADVGFLGWHALVGFFLLPKVILTLRAPTLDL >ORUFI08G16730.1 pep chromosome:OR_W1943:8:18045986:18049380:1 gene:ORUFI08G16730 transcript:ORUFI08G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTGSSAAAARGWRRQVMSGKVAWARRALAAAAAAGRALPAVTLGVGWVTAAAGVLPAIAGRWVGGEAGLAMERGGFAVLEAGQFAFALLVFPTVVPQLLAMAMERLRDAGPPTLMEDREMLVSTNAAMELPPEQHPECRVKWNQIIKEIEFPCKIGFVLLGFGMIGSLIIGFSPENEFSRQSIGWILADVGLFGWHALSVFFLLPKVIRAVWIHS >ORUFI08G16740.1 pep chromosome:OR_W1943:8:18051392:18055933:1 gene:ORUFI08G16740 transcript:ORUFI08G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPDAAVVVPVLLPPPVPQVQPTLKDIVVEFPVDGGHGRLVAVLPLPPDHELRRSLDSVAEALASGRLAAVLPPARRNTVAAVLARSRLAAAAADALMYLALAGMWIFQAGMAAQDVAARALGEGSRAYALAFEVSRVAVLLVFLPVFPFATLLHAVRLAVSDTDEPDEKPAPKSFAAAAREVLSDTICVGSIALMAFVLLVSLGALVKGDSAAKGSCREMIGSVIGDVGLVGFHVINLFVLTPNLALRVWRVKLSYLAMATVLFRCSQADKPSRQRLEEKYGCEDGQTGTYRMTLADDR >ORUFI08G16750.1 pep chromosome:OR_W1943:8:18056323:18058486:1 gene:ORUFI08G16750 transcript:ORUFI08G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVIVEVLAGGRLVAALPPPPPEPELLPTRDVIASFPPPPPLQSPVRKAVAAVLARSRLAAAAADALMYLWIAGLAAMAASHVAVRVQGEGSRANAVALQVSLAASLVVLPVVPVALSLHAIRIAVSGTGEDTEPDKPAPESFAASVRASFSHPILAASAAITPFAVLVPVGNLVKGISAASGSRGERIGSAIEVVGLVGFYPMFLFFFLPTAAWMVWRRVKLPAGHGQRVAPV >ORUFI08G16760.1 pep chromosome:OR_W1943:8:18067220:18067438:1 gene:ORUFI08G16760 transcript:ORUFI08G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKEAGDAAVIEEAEVVARRRQAQQRVTGATHSWRRNSSLGPLLSRPMASRQHIEDSEGDDLDNSGVDPE >ORUFI08G16770.1 pep chromosome:OR_W1943:8:18078566:18078760:1 gene:ORUFI08G16770 transcript:ORUFI08G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHGNLVSEPLTMAFDAERVLHVTMINLLYPVMEDLLHQVFYVYGSEEDLHAPDGNTCGGFN >ORUFI08G16780.1 pep chromosome:OR_W1943:8:18078819:18082737:1 gene:ORUFI08G16780 transcript:ORUFI08G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQFEQTPTTSNNSSYLSANRTGAEVGLGRNPQGPDQQGFWMACGLGPQRQPTRPRPASLLDGLRAGTSAPAIMALTDLKADIEELRIVLKELATIIQEKLANEEEWHSKQEVAEEMHAEMDVAVGMVMPSPITVPPTQPVGLEICVKRCLFYTLQQHPQVLKWCTHISASRGRSTHGRPPPKDGLLPNRPWVAIRSPASFKPAQSLAIRLQQQQGSIRQRTLPGDPCRWPWQRRHCQRRGAMLTNWATSCCGDDADVRGSAASFGDDSWCSEWAIRRHRIHGVCEKGIQRLHFFRIAGWMGLTC >ORUFI08G16790.1 pep chromosome:OR_W1943:8:18100040:18100357:-1 gene:ORUFI08G16790 transcript:ORUFI08G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLTGSVTMRAREREERGDGDGRRRLEAPVLAAEEHKDDVVVVVIALGDDGDDGHRAPCPAFFADTLLATAGAVPATAGAATVSSPIWPRGAQIRPLRRRIWRP >ORUFI08G16800.1 pep chromosome:OR_W1943:8:18101399:18105546:1 gene:ORUFI08G16800 transcript:ORUFI08G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPPPKQEELQPHQVKDQLPSVSYCITSPPPWPEAVILGFQHYIVMLGTSVIIPSALVPQMGGGNEEKARVIQTLLFVAGINTLCQSFFGTRLPAVMGGSYTIVAPTISIILAGRYSNEADPHEKFLRTMRGTQGALIIASTIQIILGFSGLWRNVVRLLSPLSAVPLISLAGFGLYELGFPGVAKCVEIGLPEIILLLVFSQYLPHVIHVAKPVFDRFAVIFTIAIVWLYAYILTASGAYKNARPKTQVHCRVDRSGIISGAPWIRVPFPFQWGAPTFDAGESFAMMMASFVALVESTGTFIAVSRYASATMIPPSVLGRGIGWQGIGTLIGAFFGTANGTAVSVENAGLLALTHVGSRRVVQISAGFMIFFSILGKFGAIFASIPLPIFAALYCIFFAYIVSKLSMPLFAGACGLSFLQFCNLNSFRTKFIVGFSFFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDMINVPFASKPFVAGLIAYFLDNTIQRRDNGVRRDRGYHWWDKFRSFKTDTRSEEFYSLPFNLNKFFPSV >ORUFI08G16810.1 pep chromosome:OR_W1943:8:18115679:18117526:1 gene:ORUFI08G16810 transcript:ORUFI08G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLCSPTSRPDINHPPPVFPDRRNHKLSCSSHAAGDDDLLVLFVSVDRSEPLVTPARWWAVGTGGGGGGDGELPGGGGGGGGDPAPGRQGGAALLAGHRGGRHAQQPRPLRRPRVAPRLLRLRVRWWRWRQGRTLRRRSGRRRRVRRGGGGGEDHQDQAAQAQGDAAPRPGLPPRHLPRGDQGAAGEAAGEDAAVQGGHRPPPPATADRRLRRRRRRTEETLRSPGAEAGGERPAPEQRRRRRRRRHQRAELAAVAAEHLGVGELTSYGQD >ORUFI08G16820.1 pep chromosome:OR_W1943:8:18123783:18125314:1 gene:ORUFI08G16820 transcript:ORUFI08G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPATAATTRHLHLILAVAGYPPCHRTALQQPAGFIHDHTSPAYEIKGTNGHSCHHPPCSPHGFSSGGRIDGGKEARQRWICGRLRIAHIEPRGCKDGGGRASSRPRCARRPGELSVAAAVVARSVLCPARSAREVAGWRGLTVVVAALVAVVAAAALVADMATTSASRGACCDTGRARQGPRRHDLWRDTLVDAPSTTPTTRAARCTSRSAPPRPDLAGWRRRLAMVAADGGGWRRWRWRWRLATVAPVAVMVGRPSQPKGLTVCRRRRQHVEVGKETGCRRNVDGGKICGSGLGRIAEGTMCRPTTVLLGIAGESLAEPFGRLTTATPFGVVPLLGGVVLAYPSPFLNILQVNTLLRLPNERWRRSTSRPPWGHRFGETSSCKDVVDGLCICFEAFQP >ORUFI08G16830.1 pep chromosome:OR_W1943:8:18128474:18133427:-1 gene:ORUFI08G16830 transcript:ORUFI08G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPATAASGGGDDDDRDVVFEYLLCTEEDAASAGSFFQQLQGPAPAVSSSPSTTTATAPAAAGSCDDGGEEEEEEVWTVDVVAELIGGEAERSHSPRADYPGRLRSGRPADLAARADSVAWILKVRELYGMLPVTAYLAVSYMDRFLSLHRLPGNGWAMQLLAVTCLSLAAKMEETLMEDARYIFEHRTIFRMELLVLDALDWRLRSITPFTFMYLFADKVDPNGKHIRELIHQATQVTLATIHDTEFLDHCPSSIAAAAVLCASSEIMQLVSIDHGTLVSWRIIGLDEEAIIRCYRLMQQLISSNNVGRESTEITMATTTTTATTAVSSEEVVSSSPPSKRRKM >ORUFI08G16830.2 pep chromosome:OR_W1943:8:18128474:18133427:-1 gene:ORUFI08G16830 transcript:ORUFI08G16830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPATAASGGGDDDDRDVVFEYLLCTEEDAASAGSFFQQLQGPAPAVSSSPSTTTATAPAAAGSCDDGGEEEEEEVWTVDVVAELIGGEAERSHSPRADYPGRLRSGRPADLAARADSVAWILKVRELYGMLPVTAYLAVSYMDRFLSLHRLPGNGWAMQLLAVTCLSLAAKMEETLMEDARYIFEHRTIFRMELLVLDALDWRLRSITPFTFMYLFADKVDPNGKHIRELIHQATQVTLATIHDTEFLDHCPSSIAAAAVLCASSEIMQLVSIDHGTLVSWRIIGLDEVVHAYPAEGFRVSVINHREILAWSSLDGNEAIIRCYRLMQQLISSNNVGRESTEITMATTTTTATTAVSSEEVVSSSPPSKRRKM >ORUFI08G16830.3 pep chromosome:OR_W1943:8:18128474:18133427:-1 gene:ORUFI08G16830 transcript:ORUFI08G16830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPATAASGGGDDDDRDVVFEYLLCTEEDAASAGSFFQQLQGPAPAVSSSPSTTTATAPAAAGSCDDGGEEEEEEVWTVDVVAELIGGEAERSHSPRADYPGRLRSGRPADLAARADSVAWILKVRELYGMLPVTAYLAVSYMDRFLSLHRLPMEDARYIFEHRTIFRMELLVLDALDWRLRSITPFTFMYLFADKVDPNGKHIRELIHQATQVTLATIHDTEFLDHCPSSIAAAAVLCASSEIMQLVSIDHGTLVSWRIIGLDEVVHAYPAEGFRVSVINHREILAWSSLDGNEAIIRCYRLMQQLISSNNVGRESTEITMATTTTTATTAVSSEEVVSSSPPSKRRKM >ORUFI08G16840.1 pep chromosome:OR_W1943:8:18135215:18138401:1 gene:ORUFI08G16840 transcript:ORUFI08G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTVKPLNGAEEYLRWKESMLLVLHTAGVAHVLSDEPPPPTPAAAAAGVKEEDGEAEAAAAAARRRWARDDAVCRGHILAALSDRIFPDYGRVGGAYDGAGALSAGVARRAFDDLEFYANAPLLEQIAHAEALNAATRLPLGDEDLAGALCEMLPESVGGPASARSGGGATMRDVWRVARLVETRRVCREDMERHGRCWRCGKPGHHTSNCMAPSPLGFSITCTNIDGDRAMAAAATNVNVKPLNGAEGYLRWKESMLLRLHTVGVAHVLSDDPPPPPPAGVEEEDGDAAARRRMWARDDAVCRGHILAALSDRIFPDYVRHRTARDAWDAVARTYDNADAASAVAQRMLYDDLALDGAPAPLLERIARAEALNAATRVTLSLSDAELAELLCQTVLPANAAAAIRSGAATMRDVWRVARIMEAQRVRREDEALHGKCRKCGRSRHHGCNCMR >ORUFI08G16850.1 pep chromosome:OR_W1943:8:18143389:18147030:1 gene:ORUFI08G16850 transcript:ORUFI08G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPAPAPRPHRLPLALALQSPLPLLPATSHPSATGASRSQQPPPRRVWRNGTVGGRTQQETENRGILALLSRPLPPPPALHRSAPSAHVADTSVPGRIKEDSAATASAKSASSGEDVAAKRGDKTAIAAAKSSRRKKEQQQQQQQQAMPWVKLLSRSSQLKKSGTQQLDRSARPTSSLSIQEQSSLDLCSASK >ORUFI08G16850.2 pep chromosome:OR_W1943:8:18143389:18146868:1 gene:ORUFI08G16850 transcript:ORUFI08G16850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPAPAPRPHRLPLALALQSPLPLLPATSHPSATGASRSQQPPPRRVWRNGTVGGRTQQETENRGILALLSRPLPPPPALHRSAPSAHVADTSVPGRIKEDSAATASAKSASSGEDVAAKRGDKTAIAAAKSSRRKKEQQQQQQQQAMPWVKLLSRSSQLKKSGTQQLDRSARPTSSLSIQEQSSLDLCSASKLPCICQAPLYFKVKHVNRPCLCI >ORUFI08G16850.3 pep chromosome:OR_W1943:8:18143389:18146358:1 gene:ORUFI08G16850 transcript:ORUFI08G16850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPAPAPRPHRLPLALALQSPLPLLPATSHPSATGASRSQQPPPRRVWRNGTVGGRTQQETENRGILALLSRPLPPPPALHRSAPSAHVADTSVPGRIKEDSAATASAKSASSGEDVAAKRGDKTAIAAAKSSRRKKEQQQQQQQQAMPWVKLLSRSSQLKKSGTQQLDRSARPTSSLSIQEQSSLDLCSASNIVLLAPIGLEASV >ORUFI08G16850.4 pep chromosome:OR_W1943:8:18143389:18146868:1 gene:ORUFI08G16850 transcript:ORUFI08G16850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPAPAPRPHRLPLALALQSPLPLLPATSHPSATGASRSQQPPPRRVWRNGTVGGRTQQETENRGILALLSRPLPPPPALHRSAPSAHVADTSVPGRIKEDSAATASAKSASSGEDVAAKRVEEKWYTTAGSICSANKQPLHTRTVVPGSLLRQQVTLHLSSTFVKHVNRPCLCI >ORUFI08G16850.5 pep chromosome:OR_W1943:8:18143389:18146868:1 gene:ORUFI08G16850 transcript:ORUFI08G16850.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPAPAPRPHRLPLALALQSPLPLLPATSHPSATGASRSQQPPPRRVWRNGTVGGRTQQETENRGILALLSRPLPPPPALHRSAPSAHVADTSVPGRIKEDSAATASAKSASSGEDVAAKRVEEKWYTTAGSICSANKQPLHTRTVVPGSLLRQQVKHVNRPCLCI >ORUFI08G16860.1 pep chromosome:OR_W1943:8:18153253:18153996:1 gene:ORUFI08G16860 transcript:ORUFI08G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSPTPAPLLPVAPLDGGGGYLRWKESVLLRLRTLDLAYVLSEHQPEDGRSDSAKKKRARDDELCRGHILATLSDRLLPDYAHHATAAAAWRALARTYDMDGKLPNLPLDRFFAYRFVDGEPVLEQLAHLEAMGVAGKLDDRTMYGLVHQKLPPALVKAIALASPPYPDPPSMDHIWDTARLEERMRLCGEIRRGEHTQDKEDDDDQGGGDYPDEDHRSPKRKRRYDRGPCYNCGEPGHIARDCRG >ORUFI08G16870.1 pep chromosome:OR_W1943:8:18155617:18160385:-1 gene:ORUFI08G16870 transcript:ORUFI08G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDAAGGGGGGFQELFDSVRRSISFRPGGSAAAAAAALDEPASASGGGGFRGRISTCLRKSRGMGLLGMVASKSPSPPRRRLLPPPRSPPPAPTKSLSPQPAVVSQGGGGAGGVGGGEEEEANPPIRWRKGDLLGSGAFGSVFLGMDLDSGELLAVKQVLIGSSNATREKAQGHIRELEDEVKLLKNLSHPNIVRYIGTVREENSLNILLEFVPGGSIQSLLGRLGSFPEAGANILVDNKGCIKLADFGASKQVEKLATTAKTMKGTPYWMAPEVIVGSGHDFSADIWSVGCTVIEMATGKTPWNQEIQEVSLLYYVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPELRSTASDLLLHPFVTGGLEDLHQETSELPAYDMPTGDLGLNHSGNPSNLNSYKSSDMRPIWDGHSSDDMCQFAENDVVMVGSSFNPMSEPFDDWESPEQRSSQSREFGGLAKHVENNMSENDFTFPCEGGCEEDDELTESKIKEFLDEKAIDLKKLQTPLYEFYNTVNAGLSQGVGETCQVDNITDLQLPLQGRSPPIQMEGVAAVGPTSDILKSASPKSCTRRFSRSGVENGRILREIASPQLNKFEDKVHDIQDNPSISFSERQKKWKEELDQELEIGRVMRLGSFGKAPSPKSRGMTRKRDRVY >ORUFI08G16880.1 pep chromosome:OR_W1943:8:18163886:18165643:-1 gene:ORUFI08G16880 transcript:ORUFI08G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPRARRWKLLLPPFHSAKRKPPLPPPPPAPVAVAPAPGKEEEEEVMPEEFLCPISGAPMADPVILPPGRTYERACVDACAGLSLCPPGASAATAAAIPNDALRAAIRTWCARHGRAPPAPPSAAEAREAALLRAVPAAAARTTTVAAMVPARSSSNLSCSSRASAASTSSSGSSSEMATVEVPRAKEVAELRVAEAEEEMEKEKEVAVLRVAEAEEEKEVAVLRVAEAKEEEEEVVMVVAKVVEKGDEDQVEAAMAVLLRETRESEERRRALCVPRLLAALRRVLHSKRHTPKAHADAAAALANLTNEPENRIPIVRAGAVTALVEVLSLGTASPEACEHAAGALFGLALDEENRAAIGVLGAVQPLLDLFTSRDHAPRARRDAGMALYHLSLSAVNQSKLARAPAAAKNLLSIASDSTTAEPMPIRRLALMVICNLAKCAEGRAALMDTGAVATVSAILSDDTHRSELEELCVVALFGMSRGNPRFRGLARAAGADRPLILISERAPAGAHKEMARKALRVVLGLGDDSERDLPDFMNSARNVNGIAGSSVPVHRRRAASWAAAPPAQTPPNAHQWRSVCID >ORUFI08G16890.1 pep chromosome:OR_W1943:8:18172789:18176440:1 gene:ORUFI08G16890 transcript:ORUFI08G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKRDVDSYTISGTNKVVRVGDCVLMRPVDSDNQPYVARVEKMELDGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDMQSANTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCDDCKDWFHPSCMSMTIEQAKKLDHFVCSDCVKENGAKRPSHAYAGSTKYEPKAESKRQRR >ORUFI08G16890.2 pep chromosome:OR_W1943:8:18172870:18176440:1 gene:ORUFI08G16890 transcript:ORUFI08G16890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVDSDNQPYVARVEKMELDGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDMQSANTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCDDCKDWFHPSCMSMTIEQAKKLDHFVCSDCVKENGAKRPSHAYAGSTKYEPKAESKRQRR >ORUFI08G16900.1 pep chromosome:OR_W1943:8:18176929:18189774:1 gene:ORUFI08G16900 transcript:ORUFI08G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPPLPVVRLPLPPSAAHAAPTAQWPRALRHRGGGGIEARGDGRGRGRRGVVCAMAAGEAGPGPGPAPSRTQISSGEEVGGAGGAYSYNALKRLDQIWSSICEAQSDSKVPEVVTRVQGPLVDYDLGDGSEIFDVLVCGGTLGIFVATALSYKGLRVGIIERNIIKGREQEWNISRKELMELVEVGILSEEEIEQIISSDFNPTRCAFESKGEIWVENILNLGISPAKLVEIMKERFVSSGGAIFEGKSLSSISVHDDFAVLNLSDGGSLPCRLVIDAMGNFSPIVRQIRSGRKPDGVCLVVGTCARGFDRNTTSDIIFSSSSIKRAGNSGVQLFWEGFPAGSGPTDRTTYMFTYVDPHSGGPKLEELLETFWDLMPAYEDVVLENLDIMRVIFGIFPTYRNSPLPAAFDRVGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAVSGDLLDARSLQLLNPYMPNLSASWLFQRAMSARPQIDVSPTFINELLFANFESMQDVIQFGPLVKTLGLVMITRPQILPSIFKQAGPGVILNWSGHFVMLGYYTFLSTFIDPILRPWVESLPLRNKYQWKRYLEAWKYGAGLDYRQGE >ORUFI08G16900.2 pep chromosome:OR_W1943:8:18176929:18189774:1 gene:ORUFI08G16900 transcript:ORUFI08G16900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPPLPVVRLPLPPSAAHAAPTAQWPRALRHRGGGGIEARGDGRGRGRRGVVCAMAAGEAGPGPGPAPSRTQISSGEEVGGAGGAYSYNALKRLDQIWSSICEAQSDSKVPEVVTRVQGPLVDYDLGDGSEIFDVLVCGGTLGIFVATALSYKGLRVGIIERNIIKGREQEWNISRKELMELVEVGILSEEEIEQIISSDFNPTRCAFESKGEIWVENILNLGISKPDGVCLVVGTCARGFDRNTTSDIIFSSSSIKRAGNSGVQLFWEGFPAGSGPTDRTTYMFTYVDPHSGGPKLEELLETFWDLMPAYEDVVLENLDIMRVIFGIFPTYRNSPLPAAFDRVGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAVSGDLLDARSLQLLNPYMPNLSASWLFQRAMSARPQIDVSPTFINELLFANFESMQDVIQFGPLVKTLGLVMITRPQILPSIFKQAGPGVILNWSGHFVMLGYYTFLSTFIDPILRPWVESLPLRNKYQWKRYLEAWKYGAGLDYRQGE >ORUFI08G16910.1 pep chromosome:OR_W1943:8:18187533:18189926:-1 gene:ORUFI08G16910 transcript:ORUFI08G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHAPHLRLAVPPRLSPHPSFRFPTTPLPTPSKTRLHHPSSSPYAAALLRLLALHSLFLLAPAARAPASSFPALPPLLALLSAAVSLLLPPFSAAAAAHHPFPAVTHLLRPAALLAVTLLLRFASLRLLASPGTLVLAESAGALLARALRRPSRRRVVSVAVASFALFFFASPSSSSSSTSHLLVLLPFASGLVSSADQTPSVRHVTRGRHARAAVFALAAAFLSVPAVLGLFFVGGSDAGDGDGDGGAVLPISQLWWLLLNAAVFGMVLGRRPDYDGSSSRPSVDFAMTFLCTLVLELLYYPKLSLPGFLICGLLLWIASRELAASGYVELGSVDVSESVYEAIMGPVRHILNERKSRKIAAFLLINTAYMFVEFTSGFMSDSLGLISDACHMLFDCAALAIGLYASYIARLPANGLYNYGRGRFEVLSGYVNAVFLVLVGALIVLESFERILEPREISTSSLLTVSIGGLVVNVIGLVFFHEEHHHAHGGSCSHSHSHSHSHSHSHSHSHSHVHGHEDHHNHDHALQGVNHNGACCEHHGDANKSHHHDHHHDSSNEESHHNSLTENSCKENHSHCHGHDHHHHDHSEHHQQSGDHAHQDISNISSDPAILEIPLNSIHTHCSEAHSCNGGLQSSENHNKSRNRHHIDHNMEGIFLHVLADTMGSVGVVISTLLIKYKGWLIADPICSVFISIMIVSSVLPLLRNSAEILLQRVPRSLEKDIKEALDDVMKIKGVIGVHNFHVWNLTNTDIVGTFHLHITTEADKSSIREKASDIFHEAGIQDLTIQIECVKR >ORUFI08G16920.1 pep chromosome:OR_W1943:8:18191722:18194826:1 gene:ORUFI08G16920 transcript:ORUFI08G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSVSGRRISWLLVLRLRKGGQRMQRRPSITIFSGLRETRACSFPTIRNHFFIMSIALSSLQDSRRYFLPSSLDEMSSREMASIEIIFTCQTGNIRTISI >ORUFI08G16920.2 pep chromosome:OR_W1943:8:18191722:18194589:1 gene:ORUFI08G16920 transcript:ORUFI08G16920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGALYINPKKFGGGAKPCMIEMVSFLNCLALNKQNDDKCVRQKDLLVACTQAQGGQRMQRRPSITIFSGLRETRACSFPTIRNHFFIMSIALSSLQDSRRYFLPSSLDEMSSREMASIEIIFTCQTGNIRTISI >ORUFI08G16920.3 pep chromosome:OR_W1943:8:18191722:18194826:1 gene:ORUFI08G16920 transcript:ORUFI08G16920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSVSGRRISWLLVLRLRKGGQRMQRRPSITIFSGLRETRACSFPTIRNHFFIMSIALSSLQDSRRYFLPSSLDEMSSREMASIEIIFTCQTGNIRTISI >ORUFI08G16930.1 pep chromosome:OR_W1943:8:18208222:18208820:1 gene:ORUFI08G16930 transcript:ORUFI08G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESRKTWKVQWKINAPGKMKINLWRDLYDCLQTGFQLHKRQILATDRCVFYNRGSLIEQRFHEGKFVPPKYSVSSHPLTHLGGEERFKERQVLFLSASSGIEDSGICQHDCAALLQDDDCLGNFPHKCLSRCNFRSVSEMSSVVCTDATYLINEVP >ORUFI08G16940.1 pep chromosome:OR_W1943:8:18210252:18210512:-1 gene:ORUFI08G16940 transcript:ORUFI08G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFRYASSAAAASGPVGPSLATSIYETHLGLAALSWTRTSLGISLCAVHAPPLVLDHPGVPLSDGVGIYFNKETLAFRIHLRLL >ORUFI08G16950.1 pep chromosome:OR_W1943:8:18217386:18218068:-1 gene:ORUFI08G16950 transcript:ORUFI08G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVCFLKSSLSAACELAVVAEGRLERWWRTRRWRARRCGCPTRAPRAPCTLHAVARHSATPVVHQEMLAVGVVARLLFLVQVGISGKQTRRGGHFDEFAPFEPATARSSPYVPPSPRRRCQQGDETIAWHADGRRGAVHEGAEEETWWGTWGTKGK >ORUFI08G16960.1 pep chromosome:OR_W1943:8:18221679:18226711:-1 gene:ORUFI08G16960 transcript:ORUFI08G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPRVSYDASYEPGPAFEENSEEAMLDISQTESTELWLIQWPLNQLDASDFHGQEVTLKLHRDGKLSSLDSSSGKSYDLVSFAAQQPDATVFLPSGPEAKAVRYPDPEEPEKPGLGSLTPSSKKSAGSSKKTRSRFSSGSKNRSSQGSALSLGQQSAEPAHKHNQKRKDESGLGHSNVSGKSAEGSQVRGGDSGTTSEVPQTPVEKSKKKNKKVRIAE >ORUFI08G16970.1 pep chromosome:OR_W1943:8:18227695:18229509:1 gene:ORUFI08G16970 transcript:ORUFI08G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRVTAESTPRTRATSHPHPRPRPLPRSPNPNPNLPSSLHDSPPHADSSSPRAAPALPSPLTGKRRALIPPQVVYTDESVRPIPEEVYPNKLFLVFSLFILGVSFTCMSVQIESGAMLTYGASFEI >ORUFI08G16980.1 pep chromosome:OR_W1943:8:18232971:18233292:-1 gene:ORUFI08G16980 transcript:ORUFI08G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAEVTDSGGKSDNAGLEKGGSATLGPGKATMTRVSALANLRAADPAALPSALGEVMAMAGGDGRLNVPYAKILFRT >ORUFI08G16990.1 pep chromosome:OR_W1943:8:18242785:18244242:1 gene:ORUFI08G16990 transcript:ORUFI08G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHGKEGGNVASATEQREKARVVGDAEAKILLLHLIRDVNFDVVAFNPCRWKNNFDVVAFDLWRWKKQ >ORUFI08G17000.1 pep chromosome:OR_W1943:8:18246445:18248540:1 gene:ORUFI08G17000 transcript:ORUFI08G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSARRLLLLAGAAAAIALLLGVSCATPFYYCRNHVSTPINLGLLDLTLAPGLGNLNYTYQNANASVVNRGHDIMVRFDGDAGSLKINGTAYQLRQMHWHTPSEHTIDGRRYDMELHMVHLNAQNQAAVIGILYTIGTRDEFLQKLEPYIIEISKQEGKERVIIGGADPNVAKGQDTVYYRYMGSFTTPPCTEGVIWTVVRKVRTVSLSQITLLKAAVLTGNENNARPLQGVNNREIDLFLPLPLINN >ORUFI08G17010.1 pep chromosome:OR_W1943:8:18261222:18267170:1 gene:ORUFI08G17010 transcript:ORUFI08G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKIGLQTLTLVLSHSFPSWLAGSAAAASSAAFASASASAPLHSLMLADVALAAAATDENGVHDPCSDTRIQRGDGFNFGIAFASVGVFYSGGSVQLSPCDRRLSLASSGQLVVFRPKVDIFGQVITTTTTSFNPVSHPHLLLPCTHLMGVGYFGWVGGFGGVCAVEKVARLLPITLGQFSSDRDDELDFLKS >ORUFI08G17020.1 pep chromosome:OR_W1943:8:18273294:18288613:1 gene:ORUFI08G17020 transcript:ORUFI08G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARRLLLFAGAAAAIALLLSATAPVAGAEDDGYSYIPGSPRGPQNWGSLKPEWATCSSGKMQSPIVEIMGTPYPHGMIIRLNANASVVNRGHDIMVRFDGDAGSLKINGTAYQLRQMHWHTPSEHTIDGRRYDMELHMVHLNAQNQAAVIGILYTIGTTPDEFLQKCYIIAISNQEGKEKMVVGGADPNVAKGKDTVYYRYMGSFTTPPCTERVIWTVVRKVPEEDDQFGYIPGTPRGPENWGSLKPEWATCSSGEMQSPINLGLLDLTLAPGLGDLNYTYRNANATVVNRGHDIMVRFDGGDDAAAAGGLVINGTAYRLRQVHWHAPSEHAVDGRRYDMELHMVHLNTQNQTAVVGVLYAIGAQDEFLHKIVNGGVDPNVAKEHDIVYYRYMGSLTTPPCTEGVIWTIVRKVHTVSLSQLALLKAAVVNGNEKNARPLQDVNNRNIGLFIPLPLINV >ORUFI08G17030.1 pep chromosome:OR_W1943:8:18294219:18300724:-1 gene:ORUFI08G17030 transcript:ORUFI08G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRFAARRLRPPATAGYPSSAAAAAAAYAHGGGASVLPDGLDRASDAHARNAAAVGGLLSDLRARVSQVLAGGGAEAVRRNKARGKLLPRERIDRLLDPGASFLELSQLAGSGVYEEALPSGGIITGIGPVHGRLCMFVANDPTTKGGTYYPITVKKHLRAQEIASECKLPCIYLVDSGGANLPRQAEVFPDRDNFGRIFYNQAKMSSDSIPQIALVLGSCTAGGAYIPAMADESVIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKISGVSDHFAQDELHGLTLGRNIVKNLHLAAKVPNVQNSACDYQEPLYDVQELRSIAPADMKQSFDIRQVIARIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCAQRNIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCSKVPKITIIVGGSFGAGNYGMCGRAYSPNFLFMWPTARISVMGGIQAAGVLAQIEKNNRKRQGVEVLSKMITFALTQWTKDEEEAFKAKVVEAYDKEGSPYYSTARLWDDGIIDPADTRRVLSLCLSAAAKPVPEDTKYGVFRM >ORUFI08G17040.1 pep chromosome:OR_W1943:8:18313899:18320430:1 gene:ORUFI08G17040 transcript:ORUFI08G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIPQRQLFVAGEWRAPALGRRLPVVNPATESPIGEIPAGTAEDVDAAVAAAREALKRNRGRDWARAPGAVRAKYLRAIAAKIIERKSELARLETLDCGKPLDEAAWDMDDVAGCFEYFADLAESLDKRQNAPVSLPMENFKCYLRKEPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASVTCLELADVCKEVGLPSGVLNIVTGLGSEAGAPLSSHPGVDKVAFTGSYETGKKIMASAAPMVKPVSLELGGKSPIVVFDDVDVEKAVEWTLFGCFWTNGQICSATSRLILHKKIAKEFQERMVAWAKNIKVSDPLEEGCRLGPVVSEGQYEKIKQFVSTAKSQGATILTGGVRPKHLEKGFYIEPTIITDVDTSMQIWREEVFGPVLCVKEFSTEEEAIELANDTHYGLAGAVLSGDRERCQRLTEEIDAGIIWVNCSQPCFCQAPWGGNKRSGFGRELGEGGIDNYLSVKQVTEYASDEPWGWYKSPSKL >ORUFI08G17040.2 pep chromosome:OR_W1943:8:18313901:18320719:1 gene:ORUFI08G17040 transcript:ORUFI08G17040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIPQRQLFVAGEWRAPALGRRLPVVNPATESPIGEIPAGTAEDVDAAVAAAREALKRNRGRDWARAPGAVRAKYLRAIAAKIIERKSELARLETLDCGKPLDEAAWDMDDVAGCFEYFADLAESLDKRQNAPVSLPMENFKCYLRKEPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASVTCLELADVCKEVGLPSGVLNIVTGLGSEAGAPLSSHPGVDKVAFTGSYETGKKIMASAAPMVKPVSLELGGKSPIVVFDDVDVEKAVEWTLFGCFWTNGQICSATSRLILHKKIAKEFQERMVAWAKNIKVSDPLEEGCRLGPVVSEGQYEKIKQFVSTAKSQGATILTGGVRPKHLEKGFYIEPTIITDVDTSMQIWREEVFGPVLCVKEFSTEEEAIELANDTHYGLAGAVLSGDRERCQRLTEEIDAGIIWVNCSQPCFCQAPWGGNKRSGFGRELGEGGIDNYLSVKQVTEYASDEPWGWLDLGTQLSADWVSNGRSK >ORUFI08G17050.1 pep chromosome:OR_W1943:8:18323634:18326357:1 gene:ORUFI08G17050 transcript:ORUFI08G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVPSPQKKLIEVLQREQDILWRILWENIDKVKELTDSTSATLRGPEIESMPKTAKIWLHQVREINRDIEDILEKSPSKTCSSKGSNILSCITQPINFVARQRIYKQVQSLSARIDTIKLRLSLLTNFDDKEAPANPTRYQLDDRQLDMLSLDEAKVIGIGYPKAKVTQLLLDEEKQLRVISIIGSAGVGKTTLARSVYNDKKVQGRFRCHAWITIGAPIPMVDRLKSIMVQIFVEKLEEIPARLDFMDEIQIAEVIGRYLADKSFLVVLDDIWNSDTWDYLKLALPNNGQGSRIIVSTRAQEIGRDCRLASDIQIFEKRPLNEDDAWLLFCNKAFPAIQARCPAELEETGRKIVRECHGVPLLVVTIGGLMSMKEQTVQVWKNVLDNLHKKYLPEFTLPSILWFAYSDLPHHLKCCLLYFIMFPRKYSIKRMTLIRLWMAEGFIKNDQESTLEDTAGRYLTELIDRGMVQVADFYDYGRVKSCSVHDMLREIIILKSTEDNFGIPVTRGVNKVRGNVRRLSIINTNDDFLEDNSCTNLRTLFVFGASSISTTSLHAFLVGFRLLRILDLEGAPVESLPDELPDLFYLRYLSLRNTRIDKLPKSLKKMMNLQTLDLKGTYVSQLPSGITKLESLRHLLAYRYYSGRHPPYYYTLGVTLPRGIGNLKELQKLTYVEANQGNGTIEELGSLTQLRRLGIVKLRERDCMHLCSSVAKMTELLSLSASSLDDEILDLGSLNPAPQCLRRLYLRGPLPGIPSWLHSLKNLVRIRLRWSRLNEDSLKELQSLPLVELALIQAYDGTKLEFTQGFARLEILELDHLTNLEHINLEKSMPGLQKISIRSCDKLLTIPHGIEGLENLKELYLFAMPKNFVESLMTGGVKHRRVEHIPVIRHFNEHRDISLTNL >ORUFI08G17060.1 pep chromosome:OR_W1943:8:18329557:18332574:1 gene:ORUFI08G17060 transcript:ORUFI08G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLTLGRGAAETVVRPAFDKVQYWIELLQGKHTSTEEMVNELDILEGLIKDTDSSSAIHTLKAARGQAEDLCASIRDVIDDAKRFARYNHQHVPLRCIRKYTHNLVGKPSICETAERIVKLRSQVRRLQEILTPFVGQGMVPTSAQAGRLQHRHVAHAEGHWEGMEEPKTSLFQYVLGRESHRQMVALVGMPGVGKTSLARYVYEDNKVKGHFNCHAWMTVEESCATKQLLLGMISRLYEEANVRLPDAINIMDEDELSGMIQRFLKQEERRYVIVFDDISRRGQLKLLSDLALPDKNHPNYGRVIVTSRNREVIESCDHTITITQLTSPDDWNLFCYKAFGSSSFSPGEEICQHRERISNLCAGLPLAIDVLSALLAKKDHSQWSSIISELESHGDLGAATEILETSINELPKNMGHKNCLLYFSMFPKSSTVSHNTLVRLWIAEGFIKRQPRQTRQAVAEKYLSDLVDLHVLMVEDSYKYGRPKNYKVHDLMHQVIQKKAENEDFCTSCSDGNQQAPERVRRMSIQIEEDDFRQNVSLSKLQTLFISNKIPHVPKLLSSTTALKVLSMQGSLIEEFPKEIGNLTHLRYLNLRDTKISNLPMSLGNLTNLETLNLKGTFVSELPKSILKIQSLRHLLAYRYDAPKKPERQPEAIFGVRVPKGIGQLKQMRTFSVVVADKESKIVKELINLKKLRRLGVLNLRREDGSDLCESIAKMDQLSSISITAMDDEYLDIHNLSVVPPQLQRLYLRGQLQVVPQWFTSLHRLVRLLLSGSSLNEDSINILQSLPQLAELSLIRALNVDRIECQIGGFRNLKILDLDQLNGLVNVTLHGSMRWSLTPETVAEMSKVTFLTGCKYLAQNGTMEFAL >ORUFI08G17070.1 pep chromosome:OR_W1943:8:18334202:18334582:-1 gene:ORUFI08G17070 transcript:ORUFI08G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERRGDTVALWHGRRGDTVALRHGRRGHAATVDSVGADVDLADLKPWPAIVSPPSSPRVLTNILFATVATPAASARASPAFPLMPRFIVASIGFDAVTLGPTSSLFGAHRRSSPFAIHRRAAPR >ORUFI08G17080.1 pep chromosome:OR_W1943:8:18336006:18340246:1 gene:ORUFI08G17080 transcript:ORUFI08G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRRPVVQRSLISLLLLPVVLLALPTAAAAGAGWAPFRARDLLPLLPRGLTWPAVGSVHSAVDLLPRFVAHVAPEASSAVAWRATCFADNEAVLTLTHSSSAAAAGRNATTAGAAALGGALLRLKTASAQSWTCMDLYVFATPYRIGWDYYITAQEHTFEIKAWEEPGEMEYVKQHGIAIFLMPSGMLGTLLSLIDVIPLFSNTIWGQDANLAFLQKHMGASFEKRTQPWSANIRKEDVHSGDFLALSKIRGRWGGFQTLEKWVTGAFAGHTAVCLKDENGTLWVAESGYENKKGEEVIAIVPWDEWWGMALKDDSNPQVAFLPLHPDVRARFNETAAWEFARSMYGKPYGYHNMIFSWIDTMSDNYPPPLDANLVMAVMSMWTRLQPLYASNMWNEALNKRLGTEKLDLHGIITETGKRGMSFNQLLTIPEQDDWEYSDGKSTTCVAFILSMYKKAGVFAPFTESIQIRDAYMLKIFEDNQTRLPSWCNSGADRLPFCQILGEYKMELPEYNTIEPYANMNENCPSSPPTYTRPLGC >ORUFI08G17090.1 pep chromosome:OR_W1943:8:18342398:18352923:1 gene:ORUFI08G17090 transcript:ORUFI08G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELSELADATRQASALLADDDPSEQPAQRRGGSSFLTVVALGNIGAGKSAVLNGLIGHPVLPTGENGATRAPICVDLQRDASLSSKSIMLQIDSKSQQVSASSLRHSLQDRLTKAGSFGKGRSEEINVKLCTSTAPPLKLIDLPGIDQRSMDESMIGNYAARNDAILLVIVPALQAPDVASSRALRIARELDSEGSRTIGVVSKVDQVAEDQRALLAVQALLVNQGPRTAADIQWIATIGNSVPIASVQSGVGSESSPETIWKVEVESLKSILLGSPQSKLGREALVDSLAKQIRTRIKVRLPNLLNGLQGKSQIIQEELAKLGEQMVQSSEGTRVIALGLCREFEDKFLQHITGVEGGGWKVVASFEGKFPTRIKQLPLDKHFDMKNVKRIVLEADGYQPYLISPEKGLRSLIKGLLELAKEPSILLVDEVHRVLLDIVSATANATPGLGRYPLFKREVIAIGSAALDGFKDEAKKMVIALVDMERAFVPPQHFIRLLQRRMERQRHEDELKSRSTKKVSDAEPSKATSPQTQTKEGSNLQVLGPAGEITAGFLFKKRAKANDWSNRWFVLNERSGKLGYTKKQEERHFRGVINLEECNLEEVLDEEDPTRSLKDPKKPNVPDIGTPGLIFKITHKVAYKNVLKAHKAIILKAESMAEKTEWVTKIRSIVDQKGASATSGLPMKQSHSDGSLMSTSKKDGSLDTMLRKPVDPEEELKWISQEVRGYVEAVLSSLAANAVVLCQVEKAKEGMLNQLYTSISVERIEELIQEDHSVKHRREKIKKQSSLLSKVTRLLRIHDNRSATSNWSNDSAGSDSNPGSSGQSGDEWKSAFDPSQDVDPSAAGTNTGSRRIPSRMPPPPPQGG >ORUFI08G17100.1 pep chromosome:OR_W1943:8:18357853:18362298:1 gene:ORUFI08G17100 transcript:ORUFI08G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRCAAVALLHPAGVAAGGGARRRVLLLDQERPLWGTEVRRRRRRRFSSLETPPRCSKMYVPGFGEGSPEKKAARNLQHFFNYIAVRVVLTQLESYNREAYGELMDFVNRNSLNDADTFCKKLIRESPRHKQLAMRILEVRSAYVKHDFEWDNLKRLSFKMVDEANTKLMRDYVLETSHIEDDN >ORUFI08G17110.1 pep chromosome:OR_W1943:8:18363624:18365201:-1 gene:ORUFI08G17110 transcript:ORUFI08G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMTMCAAVAVLLVLTSTMAAAAGDGGGFDYKKALHSGLLYFEAQRSGHLLYNQRVRWRGHSGLADGLQQGVDLVGGYYDAGDNVKFGLPMAFTMTMLSWAAAEFWDEIAAAGERRHVLEAIKWGTDYLVKAHTAADELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDNPGSDVAGETAAALAAASIVFRRSKPRYSRLLLRHAEQLFDFGDRYRGKYDSSIGEVRAYYASVSGYGDELLWAALWLHRATGRRGYLDYAVAMADELGGVGWAVTEFSWDVKYAGLQILAAKVLMDGGDHPAAHAATLEQYRSKAEHYLCACLGKNAAAGDNVNRTAGGMLFVRRWNNMQYVTNAAFLLTVYSRYLRDSGGDTIRCSGGAMATGDELAAMARAQADYVLGDNPAGVSYMVGYGRRFPRRVHHRGASMVSHRADGRFVGCVQGYDRWFRRGGANPNVVAGAIVGGPDDRDRFRDSRDNYMQTEACTYNTAPMVGVFAHLHAQKMAARTANNNADRSMIKRVD >ORUFI08G17120.1 pep chromosome:OR_W1943:8:18383703:18385508:-1 gene:ORUFI08G17120 transcript:ORUFI08G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKHPSSKLSEILRPGKLLHRRRRLVSEFADVGREDALHESDTVKYRVFAGTWNVAGVAPPDDLDLGDWLDAKADSYDIYVLGLQEIVPLNARNVLGPTRSSAAMKWNSLIGDALNRFTTGRRRRDDDDDEGAQRHGQQQQPFRCVVSEQMVGIFVSVWARSGLRRHVRHAAASCVGAGVLGRLGNKGAVSVRFLLHGTSFCFVCCHLASGGKDGDAQLRNADAADILSRTTFRRRTTAAASPAPEELPLPRKILDHDRVVLLGDLNYRIAMDDAEARLLVRAGKWSMLLENDELLLELAEGGSFDGWREGLVTFSPTYKYHLNSDMFYWSIDAAAGGGDKQQQRAPAWCDRILWRGKGMRQASYERCGGYRISDHRPVRALFDAVCELAGGGVGVEHSAAGIASFGHVPLL >ORUFI08G17130.1 pep chromosome:OR_W1943:8:18392044:18395348:1 gene:ORUFI08G17130 transcript:ORUFI08G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVPPVPTDPRRDAIDLHRAFKGFGCDATAVTAILAHRDASQRALIRRHYAAVYHQDLLHRLAAELSGHHKRAVLLWVLDPASRDAAVLHQALNGDVTDMRAATEVVCSRTPSQLLVVRQAYLARFGGGGGGGLEHDVAVRASGDHQRLLLAYLRSPRYEGPEVVDMAAAARDARELYRAGERRLGTDERTFIRVFSERSAAHMAAVAAAYHHMYDRSLEKAVKSETSGNFGFGLLTILRCAESPAKYFAKVLHEAMKGLGTNDTTLIRVVTTRAEVDMQYIKAEYHRSYKRSLADAVHSETSGNYRTFLLSLIGRDR >ORUFI08G17140.1 pep chromosome:OR_W1943:8:18394414:18397505:-1 gene:ORUFI08G17140 transcript:ORUFI08G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDAAAASAKAPNGEASGGGGRLVEVEDTLPGVLRSFVDGVCSQGGGGGGGEPLLRRIRAASCETAPRLREASRNSARDLLEWTRRGSGLRAILVISVGTITLIALTGLLIFMFFLLVATTNVVVVSVLMSLAAAGGFLAMFFACLVAVYIGVVSVAVFVISATVISAIVGVMIATGWLGFFWMIWFAARKSMDLTKHSIGVTNSAIQSYSASRHAKQKPID >ORUFI08G17150.1 pep chromosome:OR_W1943:8:18397213:18397653:1 gene:ORUFI08G17150 transcript:ORUFI08G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMARRPLPLLVHSSRSRAEFLDASRSRGAVSQDAALILRSSGSPPPPPPPCEQTPSTKLRSTPGSVSSTSTSRPPPPEASPLGALALAAAASDSSIPGLQPAAGTRRRRGKRMGDRGEEVLATTTTTPRFKRGSVTRVPSDRDH >ORUFI08G17160.1 pep chromosome:OR_W1943:8:18401664:18404678:1 gene:ORUFI08G17160 transcript:ORUFI08G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDYNDMDMGCCQVCQQSGFIQNVRNYADFWVTRYEDEPPEPEIEEELCGLFTFSELEGAEEEPENNNEDAVDDVVGAEDDREQEKTARPWKTSKYMTKYERARILGTRALQISMNAPVMVELEGGTYPLEVEALERVYTDFPKPTSARRQQLLCECPILANIEPKQIKFSLFSVTKTLVMAVLGTQVAAHHNGHGTSHENHTQESESSVEQHDPESQDDISIKATPADESPPEETSAAPTKEQVT >ORUFI08G17170.1 pep chromosome:OR_W1943:8:18412848:18414281:-1 gene:ORUFI08G17170 transcript:ORUFI08G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPPPPPPPAAGRDWSDLLADMVDTVLCKLELPDFIRTAAVCTCWRAPALDLRRRGVYSFPRTPCLLYIPAAAAANGGSSTRSAELYCLADERPYTVTLPDPPIAERSIVGSSHGWLVTADARSELHLLNPATREQIELPPIATLEQVRPILEAAGDGGDLRGYEVSFYDGDMREYRAPGIYRPDELCDLLNIKAILSCDPSSSSSRRRGGGGGEGGEDGCGGCIVLLIYHVYQQPSFARVGDDKQWHWITTSSYYWSPYSDIAYRDGAFYAMNLLGGIHRYDIHHSRATRTVVLTDTLGYTLHHAYMAWTPSSGDVWRLTHLPEDEEELRTVGFHVYKVDFDSQDVVPIDSLGDEALFIGHNGTLCLSTKDYPALLPNHVYFTDDDEY >ORUFI08G17180.1 pep chromosome:OR_W1943:8:18423501:18425605:-1 gene:ORUFI08G17180 transcript:ORUFI08G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSLASSHLIDLHRAGGASPSPLPRCIRSNSASGALAATRSASSPWPDPARLPSSFLLKPVIQASDAVLEAASELGQLLGHGDGGEAVNGVIVRADQPQLAAGGGVAGEEGYHLILYKYHMIRDRNRLIPYRYLIPRKYPNMHQSVILVRYQVLIPSWYRVIPTRYHVILPRIRYQTILITYQTILARYHVIPIRYRAISTSIGVILGRYHMILTKYRSWASRRRGIVADGRVLPRPRSTLNPNLIAGSRILPRPWSTSELVAGDRVLRRDLVTCGHVHRPRLSRWLHPPRRSSSPVPPPSPRRILRRARPTPKLVAGAVSVTMQDPPPSMRPCPMPELASGRVLHAGARRRQPRPPRQSSVAAVAVASAPPTSASSMLLPWWPSFSRLREVDRERSRWDSIPTGYRYIAAPKSKAQIVKACPIEHEYS >ORUFI08G17190.1 pep chromosome:OR_W1943:8:18423954:18425705:1 gene:ORUFI08G17190 transcript:ORUFI08G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTASDEVPAEDAVAGNELRCGPWAGEDAAAGDEVRVQCGAWTGEDAAVGDDTSTPRRPAPYYPDTCRNRAIPDRYHMIPREYRLIRDKNRLIPDTWYHSIPARYQDLIPHKYHALRGDTCKVSYDSYHIEGDTYEVSSDTYEDQLEYKEYIILLRPRPDAATVGMDDDGAQRASTYPSSPATPPPAASCGWSARTITPLTASPPSPCPRSWPSSDAASRTASLACITGLSRKEDGNLAGSGHGDDALRVAARAPEAELLRMHRGSGDGDAPPARWRSMRWEDARDDGAAILSPSQSLRLVLQRWMTRWTKGDPVPSRRDPVI >ORUFI08G17200.1 pep chromosome:OR_W1943:8:18464774:18470534:1 gene:ORUFI08G17200 transcript:ORUFI08G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSTTPDLSLHISLPSGGAAVGTTAPPGLGNGGAVGGAGGGKAAGGGDPWRRLDGSTASTELSLSTPQQQQEGSTSAADVLPWRLRQPTAAAASVPVTLPTIPMDGSAAAARAPIRGVPVYSGGGGGGHPFLGGGGGDHRHNRLYNPYHSTAWPSSSLCSTSPAPAPPPPPAALDPTTSSLLSPSAYHRMLSSTGRLHGVLADTLRGYAGAAAVAGSIGYGSPGRAAPPMGGAARGGAPGGGRPARPADAVDELPPRPLRATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAAASGPMDGSGSGSGSGDDELLAGDGRQATSSGADADRRMSFTEHRSSSEGAASHAGGGGDGDCSSSAVNSDTIRARSNSPRDLWLSSSVCNMDPQHLVTVEDMEPCRSSSLQVSSHELSSPSLEFTLGRPSWHSIDHD >ORUFI08G17210.1 pep chromosome:OR_W1943:8:18481811:18487100:1 gene:ORUFI08G17210 transcript:ORUFI08G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAAAGEGPQLCVFDLRRGQQEGQELDKILFFHPTDCPILLQLSVIGLCEGIITFARIFSPDDDCEVIESEKHSHVFYQAEADIWMVLVVEKNKDIESTWRCGALQGILKEVHSLFTMFHGPIRTLLDRQPSAELARGHLRTFFTDYLSDFNAGKKIQLPTFRDCLKERGTVQMLTISREVALEVQSLTTVLGSCLGNVMCQSLVLFEDLLVSTTLPPDDTLNLYTYAILRLTPRALLSNATSWSYLRKGTSVHAGPTSSSSNGTASVERPLQREKLYKGKDGFVAAGSTTSEVRGAVAWVPILWFQQAEDRMHLCVYQHKNITILLLIPASSLINGDDGIAHVKRHLLENASQNIVTLELKLSRGWGGENAYHVGGYRYLLVDPDRKVSRASPPGKVTTLSKDSLLSLNRLREEIDLEKSRAKRSDSCHDKDFEVCIRAKNNAWVIAKVTRGRELYMALEKAGTVKEHSLQTRTPK >ORUFI08G17210.2 pep chromosome:OR_W1943:8:18481811:18487100:1 gene:ORUFI08G17210 transcript:ORUFI08G17210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAAAGEGPQLCVFDLRRGQQEGQELDKILFFHPTDCPILLQLSVIGLCEGIITFARIFSPDDDCEVIESEKHSHVFYQAEADIWMVLVVEKNKDIESTWRCGALQGILKEVHSLFTMFHGPIRTLLDRQPSAELARGHLRTFFTDYLSDFNAGKKIQLPTFRDCLKERGTVQMLTISREVALEVQSLTTVLGSCLGNVMCQSLVLFEDLLVSTTLPPDDTLNLYTYAILRLTPRALLSNATSWSYLRKGTSVHAGPTSSSSNGTASVERYRSRSRDTSPGGQNQMHHYFRPLQREKLYKGKDGFVAAGSTTSEVRGAVAWVPILWFQQAEDRMHLCVYQHKNITILLLIPASSLINGDDGIAHVKRHLLENASQNIVTLELKLSRGWGGENAYHVGGYRYLLVDPDRKVSRASPPGKVTTLSKDSLLSLNRLREEIDLEKSRAKRSDSCHDKDFEVCIRAKNNAWVIAKVTRGRELYMALEKAGTVKEHSLQTRTPK >ORUFI08G17220.1 pep chromosome:OR_W1943:8:18487905:18495139:1 gene:ORUFI08G17220 transcript:ORUFI08G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKVCALSVALFRALNLTARFVANLDVAGLKPDTKSMGTSNQDEPRLCTKALPSSSFVAGHNEYNNLSPVLSQNNTEGSINTTPKQVKVQGCRKSLSKKLSKCKANQRDSSASLSKDSSSSSQYPSTSSNAEVPRRKGDLEFELQLEMALLASAAKSQDNKLATQLNQSTDSLLSSTPPLKKLRKSEEASSNSSVVWSRNRAPLFWAEVFCGGEASSGRWVHVDVANDIIDGEQKVEAASAVCRKPLRYVVAFAGNGAKDVTRRYCLQWHRIVQGRVNPEWWKSVLAPLERLELAATNNTEEMELQTRALTEPLPTNQQAYKDHHLYALEKWLHKNQVLHPKGPVLGFCKGNPVYPRSCVQTLQSRHGWLREGLQVRENELPAKVVTRPKRTFNSQSIQSNSNSNEDGLKPTMELYGKWQLEPLQLPHAVNGIVPKVDLLDYDY >ORUFI08G17220.2 pep chromosome:OR_W1943:8:18487905:18495139:1 gene:ORUFI08G17220 transcript:ORUFI08G17220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSQRDGHHAAAAAETPPSGASGGSGGDVARGGGTPRRRRRASPAAEKGKSPAKVEMESALEDKSKNVKVHAEGYDDAGMTRFGRDGSEKNSLEEEDPDAADMDWEEGIVFAAEHDECYSHELGETVTVEFTDLPSSTEKKTARRLTAEEKELAELVHRVHLLCLLARGRVIDKACNDPLIQASILSVLPQHVLRNSVDTPILKANELRSLVSWFHNTFSVIAQSDDKGSFKSNLAFALQSYVGTAEEVCALSVALFRALNLTARFVANLDVAGLKPDTKSMGTSNQDEPRLCTKALPSSSFVAGHNEYNNLSPVLSQNNTEGSINTTPKQVKVQGCRKSLSKKLSKCKANQRDSSASLSKDSSSSSQYPSTSSNAEVPRRKGDLEFELQLEMALLASAAKSQDNKLATQLNQSTDSLLSSTPPLKKLRKSEEASSNSSVVWSRNRAPLFWAEVFCGGEASSGRWVHVDVANDIIDGEQKVEAASAVCRKPLRYVVAFAGNGAKDVTRRPTKTIIYMPLRSGFTRTKFFTPKAQCLASVKGILFILDLVFRHCNQDMDGCGRVVTRPKRTFNSQSIQSNSNSNEDGLKPTMELYGKWQLEPLQLPHAVNGIVPKNERGQVDVWSEKCLPPGTVHLRLPRIFQVAKRLGIDFAPAMVGFDYRNTRCLPVFDGIVVCSEFKNTILEAYAEQEERRQAEERKQEEAQALIRWYQLLCSVVTTQRLKDSYKAPSSEHGPEGPSQDVSQQKGTRESRSSETKTRSSRLQADRPFDSPFPVHDHEHEYPEEDQSFDEETFVRTKRCPCGFSIQVEEL >ORUFI08G17220.3 pep chromosome:OR_W1943:8:18487905:18495139:1 gene:ORUFI08G17220 transcript:ORUFI08G17220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSQRDGHHAAAAAETPPSGASGGSGGDVARGGGTPRRRRRASPAAEKGKSPAKVEMESALEDKSKNVKVHAEGYDDAGMTRFGRDGSEKNSLEEEDPDAADMDWEEGIVFAAEHDECYSHELGETVTVEFTDLPSSTEKKTARRLTAEEKELAELVHRVHLLCLLARGRVIDKACNDPLIQASILSVLPQHVLRNSVDTPILKANELRSLVSWFHNTFSVIAQSDDKGSFKSNLAFALQSYVGTAEEVCALSVALFRALNLTARFVANLDVAGLKPDTKSMGTSNQDEPRLCTKALPSSSFVAGHNEYNNLSPVLSQNNTEGSINTTPKQVKVQGCRKSLSKKLSKCKANQRDSSASLSKDSSSSSQYPSTSSNAEVPRRKGDLEFELQLEMALLASAAKSQDNKLATQLNQSTDSLLSSTPPLKKLRKSEEASSNSSVVWSRNRAPLFWAEVFCGGEASSGRWVHVDVANDIIDGEQKVEAASAVCRKPLRYVVAFAGNGAKDVTRRPTKTIIYMPLRSGFTRTKFFTPKAQCLASVKGILFILDLVFRHCNQDMDGCGRVVTRPKRTFNSQSIQSNSNSNEDGLKPTMELYGKWQLEPLQLPHAVNGIVPKVDLLDYDY >ORUFI08G17230.1 pep chromosome:OR_W1943:8:18495374:18497618:-1 gene:ORUFI08G17230 transcript:ORUFI08G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAFLGTSLCGAPLAPCANPSPTPPSPPGDSKGGGKLSRGAIIGIVLGAVAALVVALTVGFLACFRRRATAPRSRSTAAAAAAHDVAEPITVTVARTDMDAAVKQSHSPPPPGEGSTKLVFVGGAPERPYDLDTLLRASAEVVGKGAAGTTYRATLDGGEPVLAVKRLREVSLSEREFRDRVAAIGAVRHDSLPRLLAYFYSREEKLLVYEFVVGAGSLAALLHGNGEKLDFAARARIALAVARGVAFIHRGGPISSHGDIKSSNVVVTATRDAAYVTDYGLAQLVGGAAAPPTTKRGAGYRAPEVVDARRVSQSADVYSFGVLLLELLSGRPPLDATPDGGAAVDLPRWMRSVVQEEWTSEVFDAAIGNEARTEGEMMRLLQLGMECTEHHPDRRPAMAEVEARIERIVEDACRNADSGSTDGSRSMSA >ORUFI08G17240.1 pep chromosome:OR_W1943:8:18501681:18502564:1 gene:ORUFI08G17240 transcript:ORUFI08G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGSSAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGAVTIANGGVMPNIHNLLLPKKAGSSAKAAAADDE >ORUFI08G17250.1 pep chromosome:OR_W1943:8:18506651:18507235:1 gene:ORUFI08G17250 transcript:ORUFI08G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMLEEQGESRRVVRLEQEVLIHIQVRRIKQEDEKARGLLQRLQLLEMRPTATAVIGFRELARPPSPSPLRRAGCIVLVFLFDPFLAGIASDKNAEVTSASKADEAKRED >ORUFI08G17260.1 pep chromosome:OR_W1943:8:18507778:18512302:-1 gene:ORUFI08G17260 transcript:ORUFI08G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWHVDMWGPRGVGIGLVVVAVFKVVEVVEVVVGEVDMCCVEDQGCLLEGPLASGTKDMTWRPDLFSDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHFDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGRPTRTVVMTPEIGQRGSGSSSRPTGPTVNRYNRGAFQAGRGRGRGRGRAPFQSQFQGRGTGSVRGRGQFQGRGRGRRQAGKTADELDKDLETYHAEAMKTD >ORUFI08G17260.2 pep chromosome:OR_W1943:8:18507778:18512302:-1 gene:ORUFI08G17260 transcript:ORUFI08G17260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWHVDMWGPRGVGIGLVVVAVFKVVEVVEVVVGEVDMCCVEDQGCLLEGPLASGTKDMTWRPDLFSDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHFDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGRPTRTVVMTNRYNRGAFQAGRGRGRGRGRAPFQSQFQGRGTGSVRGRGQFQGRGRGRRQAGKTADELDKDLETYHAEAMKTD >ORUFI08G17260.3 pep chromosome:OR_W1943:8:18507778:18510392:-1 gene:ORUFI08G17260 transcript:ORUFI08G17260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRPDLFSDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHFDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGRPTRTVVMTPEIGQRGSGSSSRPTGPTVNRYNRGAFQAGRGRGRGRGRAPFQSQFQGRGTGSVRGRGQFQGRGRGRRQAGKTADELDKDLETYHAEAMKTD >ORUFI08G17260.4 pep chromosome:OR_W1943:8:18507778:18510853:-1 gene:ORUFI08G17260 transcript:ORUFI08G17260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESFSRTKDMTWRPDLFSDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHFDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGRPTRTVVMTNRYNRGAFQAGRGRGRGRGRAPFQSQFQGRGTGSVRGRGQFQGRGRGRRQAGKTADELDKDLETYHAEAMKTD >ORUFI08G17260.5 pep chromosome:OR_W1943:8:18507778:18510392:-1 gene:ORUFI08G17260 transcript:ORUFI08G17260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRPDLFSDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHFDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGRPTRTVVMTNRYNRGAFQAGRGRGRGRGRAPFQSQFQGRGTGSVRGRGQFQGRGRGRRQAGKTADELDKDLETYHAEAMKTD >ORUFI08G17260.6 pep chromosome:OR_W1943:8:18511379:18512302:-1 gene:ORUFI08G17260 transcript:ORUFI08G17260.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTWHVDMWGPRGVGIGLVVVAVFKVVEVVEVVVGEVDMCCVEDQGCLLEGPLASGLTRGHQRALLLRQGHRN >ORUFI08G17270.1 pep chromosome:OR_W1943:8:18516136:18516474:1 gene:ORUFI08G17270 transcript:ORUFI08G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGEGEVQATEVWLSVQEATRVLERFKAMPQLDRYKKTMDLDGFIKERTDKLQEKLHKVRRDADESETKILIVEAFYSRCAGLEDLTIEQLTSLGWMADAQLKIVNNSVYP >ORUFI08G17280.1 pep chromosome:OR_W1943:8:18519947:18525172:1 gene:ORUFI08G17280 transcript:ORUFI08G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPATATASAAPPPPPPLPASPGGEPHAALLLSLAYLPLRELLSCAGACRRLRDAVAGDPLLWRRVAVAAPPLARRLTDEALLALTGRAGGTLRSLRLLGCTRVSDAGLLRVVERNPGITEILSMESSTLLKDTMISTRAKIYKLYMPRCTGLTAEGVVKIVQVLYECKGNLNRIRLHGICRMTKHHLDAISSAMCKGNQQEDDQSLFYSHRVHEVLNTNDERRIDVDVCPMCTNVRLVFDCTRDGCRRKDSWAQCRGCFFCVARCETCGGCIDLEELSETELACSDFLCMECWLPLPKCSTCNRPYCKRHENLKVDLSPSGQFTCHRCVEFSSNSRENLEESS >ORUFI08G17280.2 pep chromosome:OR_W1943:8:18519947:18525172:1 gene:ORUFI08G17280 transcript:ORUFI08G17280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPATATASAAPPPPPPLPASPGGEPHAALLLSLAYLPLRELLSCAGACRRLRDAVAGDPLLWRRVAVAAPPLARRLTDEALLALTGRAGGTLRSLRLLGCTRVSDAGLLRVVERNPGITELYMPRCTGLTAEGVVKIVQVLYECKGNLNRIRLHVLNTNDERRIDVDVCPMCTNVRLVFDCTRDGCRRKDSWAQCRGCFFCVARCETCGGCIDLEELSETELACSDFLCMECWLPLPKCSTCNRPYCKRHENLKVDLSPSGQFTCHRCVEFSSNSRENLEESS >ORUFI08G17280.3 pep chromosome:OR_W1943:8:18519947:18525172:1 gene:ORUFI08G17280 transcript:ORUFI08G17280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPATATASAAPPPPPPLPASPGGEPHAALLLSLAYLPLRELLSCAGACRRLRDAVAGDPLLWRRVAVAAPPLARRLTDEALLALTGRAGGTLRSLRLLGCTRVSDAGLLRVVERNPGITELYMPRCTGLTAEGVVKIVQVLYECKGNLNRIRLHGICRMTKHHLDAISSAMCKGNQQEDDQSLFYSHRVHEVLNTNDERRIDVDVCPMCTNVRLVFDCTRDGCRRKDSWAQCRGCFFCVARCETCGGCIDLEELSETELACSDFLCMECWLPLPKCSTCNRPYCKRHENLKVDLSPSGQFTCHRCVEFSSNSRENLEESS >ORUFI08G17300.1 pep chromosome:OR_W1943:8:18548365:18551378:-1 gene:ORUFI08G17300 transcript:ORUFI08G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQILISQPMIYQAEIPKENTQLNMSSPAQSGNKQKTYTSFGQSKKDISKRMAIELEAYAVKGMRKVHSKWSPVATTAWYRISLRLVKKCPLNIFDIGDQGNCNFCSH >ORUFI08G17300.2 pep chromosome:OR_W1943:8:18548065:18551378:-1 gene:ORUFI08G17300 transcript:ORUFI08G17300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQILISQPMIYQAEIPKENTQLNMSSPAQSGNKQKTYTSFGQSKKDISKRMAIELEAYAVKGMRKVHSKWSPVATTAWYRISLR >ORUFI08G17310.1 pep chromosome:OR_W1943:8:18551427:18551809:-1 gene:ORUFI08G17310 transcript:ORUFI08G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGERRNQSVLWGGERDWMRPRPTRREQTQQHSSTSTSTSTSTSTKRARWQGEDDEEDDQINGLPDRLELRRARVPSARLMPQLLYPFVVLLFLLLPHLFFFIIKMIYIFGLCE >ORUFI08G17320.1 pep chromosome:OR_W1943:8:18554628:18556869:-1 gene:ORUFI08G17320 transcript:ORUFI08G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGAIWRKEEAAGKPEEHSDYRGGGGGASAAMQWQFPATKVGAASSAFMSFRSSAAAAREEDPKEAAVFDRFSLSGFRPPPRPSPGDAFDGAAAMKQRQFGFNGRQQYAAAAQHGHREQGVDSYGVAAPHHFPSPSPSPRHPVPFGHANPMLRVHSLPNVAGGSPYRNQSFSVGNSVAGSTVGVYGGPRDLQNPKVTQMTIFYDGLVNVFDNIPVEKAQELMLLASRASIPSPPSAARKSDSPISAAAKLTVPEALPARQIVVQKPEASVPLVSGVSNPITIVSQAVTLPKSSSSSNDSAGPKSGGLPLAVTPLSQASPSQPIPVATTNASAIMPRAVPQARKASLARFLEKRKERVSSVAPYPSSKSPLESSDTIGSPSTPSKSSCTDITPSTNNCEDSLCLGQPRNISFSSQEPPSTKLQI >ORUFI08G17330.1 pep chromosome:OR_W1943:8:18566620:18567105:-1 gene:ORUFI08G17330 transcript:ORUFI08G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLAPQENVIITAATDIVVAPMPDPPSLRSSTPPDPSCHCGPSAGSTAAEVPHAGYATPPSSSHGEEEAARRRRRGRGEEADGVDPVRAVTTKRQRRGGRRCRPKRAATMKRQRRGGARSNSLEVAQAGADPPMSTGVKKGDRGSLSAARCLMGVGLAS >ORUFI08G17340.1 pep chromosome:OR_W1943:8:18583744:18586993:-1 gene:ORUFI08G17340 transcript:ORUFI08G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADEASKPPPLPPYPEMILAAIEGLNEKSGSNKSAISKFIEGKYGDLPPAHASLLTAHLARMKESGELIFLKNNYFRADAPDAPPKRGRGRPPKPRDPNAPPPPPKPSSPRPRGRPPKSKDPISDAIPKSRGRPPKKAKTAPAPPPAAGDGSAPVKRGRGRPPKLVWPLMVAAGVLVSGGGGSWYLCASWTANRRHGGQIIPEQEPQSKIEFPDLDGLGGGGGGASGSGCRHPARVRVCVGGGDGVCVAPGTSVVVVEVATVSALSSALRRLSSPFAVPELAVALFPSVAPMLAVAPAGVGMCAMIGASSSVGGSGWLVVIYILLQAEAFGSLVIGCLFCSKSYGSMLQVALSLAISGLKARQKSIGSLSKAPLLLVGWSTFWPSLLIPSSRSRTWFVIRVELGPPVQFRLSGLLEFLRFNDESYGDVLLSPVTLTPKIYGSTTNLDLVPFPWRQPKGINGLSSGVHFN >ORUFI08G17350.1 pep chromosome:OR_W1943:8:18600774:18607658:-1 gene:ORUFI08G17350 transcript:ORUFI08G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEFSVLGAVQKFRSLIAGPTPPAAAAEGEEVARQTSGPPSPATPTRSRSGAADTTPPLPARPGGGRRAIALRRQISSPQLLRCHAVRRGDGEDDDEPGVQFFTPGNDYLHDFSDTDSLSVSTPNGVARSLTPSPLDSPTWMVGHNDASPTSKRNERLSLDSLGCDTRLNGGIADRSGGDMTRYPADFDANVWLPPSPEDEGDDVEARLFGFDYEDDEAGDSGKLLALGNFNTNKIVGVDTITDIAHKEGLRNAVLGHFRALVAQLLKGECIDLENDNGSKSWLEIVSSLAWLAAGYVRPDTKKGGSMDPTDYVKVKCLASGDPSDSNLVRGVVCSKNVKHKRMASEHVNAKLLILGGALEYQKVTNKLASIDTILEQEKEHLRAIVAKIESRRPNVLLVEKSVSSYAQELLAKDISLVLNVKRPLLDRISRCSGAQIASSIDNIASARLGQCELFKVHKVSEFSSGKQTNRRSMKTLMFFEGCPRRLGCTVLLRGSCREELKKIKRVVQLAVFAAYHLSLETSFFADEGATLPKVPSRPMVVVNDIRSDPSNYFAGSAGVGIPHGLKPVQGKHSEATRVNGMFKENSISPGSLSLNEEGEGVISEHRESKIPVEHMNCHDHDSFHATESCKGHKIFPCSLDHDIRTSDMVMQYQYLNDSTQLPINDDRQGMVSGKKFQEVDHYGPKPHDDYLMGDADGPNELSGEYFPATDNHQSILVSLSSTCIPKSMLCERSQLFRIKFYGSFDKPLGRYLREDLFDQAYCCPSCKESSESHVRCYTHQHGSLTISVRRLLSQKLPGERDGRIWMWHRCLKCEPKDGVPPATRRVIMSDAAWGLSFGKFLELSFSNHTTANRVASCGHSLQRDCLRFYGYGNMVAFFRYSPVDILSVNLPPSVLDFNCRSRQDWMRRMAVEIYSKMETLHSEVYDFLHHNEKSVTSEDEPVKAGVQRQIIEMKDLLKMERNGYEILLLPVITDSNHSVQVSIDVLELNRLRRGLLLDAYIWDRRLCYIDSLLKKDSHVSNPDIFLDVRLKEWKADLLVGDTKIGKSTNLSQSSGSPRKSLLSREGCLNDTEYRMGETNSQIDLVTHPVDDAEDLDKVFRRFNGETEQPVTTATMGKEPVERLPSLASIFSDKIDLAWTGSSEIQDDLLQGFTKIDEYGSFNFPDNPSYGNSVTPVRIHSFDSKFAIHQRERNGLAPTSLHLSSFRSAEYFGDFTSILKDPMPNIRRACSQRSPGAVEKLNVVLTRTLTYISSASHMINDGARLLLPQIGYEDDAVIAVYDDEPTSIVSYAMTSQEYVQQVTRKLNSSLSFLHLPNAIDSSHGLDGTLLSQEDHLDSKGTHFKFSFDDESPLSEDKAKFSVTCYFAKHFAALRKKCCPKDIDFIRSLSRCKRWNAQGGKSNVYFAKTLDERFIIKQVTRTELESFVEFAPQYFRYLMESLTSGSPTCLAKIVGVYQVNIKGLKGGREVKMDLMVMENLFFERKISRVYDLKGSLRSRYTSSESKVLLDSNLLEALHTKPIFLGSKAKRRLERAVWNDTSFLATADVMDYSLLVGIDEEKKELVIGIIDYLRQYTWDKQLETWVKASGILGGPKNESPTVISPMQYKKRFRKAMSKYFLTVPDQWSS >ORUFI08G17360.1 pep chromosome:OR_W1943:8:18634623:18638701:-1 gene:ORUFI08G17360 transcript:ORUFI08G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAIAGGRRRTARVMTVDGTTYKYRPPASAAAALRDHPGYQVLESEEVRRLGMRARPLDADAPLKPGKLYFLVELPRLARAPRRTWSGALVHVGGAGERLESMMLSRRSASDVAASPVSSVEAGEGGAVRLRMRLPKAEVARLVKESRDAAEAAEKIMQLCVDRDHSSAPATPVLRRPPPLPLPLPLPAALVSSDKKKVADKKQKKARFMTDVPDEIIGF >ORUFI08G17370.1 pep chromosome:OR_W1943:8:18661311:18661646:-1 gene:ORUFI08G17370 transcript:ORUFI08G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFLDSLHEEGSEYQDEVKNRLTSNFALAWNSIMEEYQINFDAFKIVYPPVPRQNNL >ORUFI08G17380.1 pep chromosome:OR_W1943:8:18673266:18675299:1 gene:ORUFI08G17380 transcript:ORUFI08G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFYKEIHIAGSRQITHGQAGHKCPFMQKSLPQEVIQGSHVTIYFCMRPLKRSTCENRWRGDGGHRGGRAPACGSTAPNLRNGDGRSERPQRPAGRSAVHRSTRHPHPRERAATTAGGRIRCPCPWEQRRWADPPLLPSGATVEANGHGDQQENSPPPPSGATAVQGYAALVLGSSGLRQWDGSRGDDDGSDGGCDDDNDGNSCTMTMAVMAAPTTTAAVTSVDGRYP >ORUFI08G17390.1 pep chromosome:OR_W1943:8:18691289:18693609:-1 gene:ORUFI08G17390 transcript:ORUFI08G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLVAIALPVSLALLLVAKAVWVTVSCYYLTPARIRRVLASQGVRGPPPRPLVGNLRDVSALVAESTAADMASLSHDIVARLLPHYVLWSNTYGRRFVYWYGSEPRVCVTEAGMVRELLSSRHAHVTGKSWLQRQGAKHFIGRGLLMANGATWSHQRHVVAPAFMADRLKGRVGHMVECTRQTVRALRDAVARSGNEVEIGAHMARLAGDVIARTEFDTSYETGKRIFLLIEELQRLTARSSRYLWVPGSQYFPSKYRREIKRLNGELERLLKESIDRSREIADEGRTPSASPCGRGLLGMLLAEMEKKEAGGNGGGEVGYDAQMMIDECKTFFFAGHETSALLLTWAIMLLATHPAWQDKARAEVAAVCGGGAPSPDSLPKLAVLQMVINETLRLYPPATLLPRMAFEDIELGGGALRVPSGASVWIPVLAIHHDEGAWGRDAHEFRPDRFAPGRPRPPAGAFLPFAAGPRNCVGQAYAMVEAKVALAMLLSSFRFAISDEYRHAPVNVLTLRPRHGVPVRLLPLPPPRP >ORUFI08G17400.1 pep chromosome:OR_W1943:8:18700376:18709340:-1 gene:ORUFI08G17400 transcript:ORUFI08G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVFSPSQPPQAANGDAARGDRTDGRQGEAAPPTDRVPPRRGRESKGEGEGKRQQQEQHQPQPCWGGGGEKFLFWQEEKGKRKSGWGGAISTEKAEPRRRRARRWEERRERFCPWGLMRKAGGAKEDFKGSIGPEGAVDVKQSLVSLGATGRRPGFMIRLCTCTGRPSSLRNLNNSGVSMTCKGCGGESTTDRAGPSCSSKLNTMGLELPRPIDPEVRWKTVNRRQRAARRARTSFSGEDRIRDEIRSFYACGNATNQELAQDDAPVSESEKFGVSILGRRFSDPVGNVPIKKRRFLMDCSPSPPPTPLLVDPYEKLLSRSCGGISSHGKHHKVKTPRLDYMEETKEHFGVDDFSGISILAAAACTSELDDDTLNVECSKSAHCVERKPENITGSSELNFLNNIKEDMLNSLDASNCKSDPLLESSESVPDTKPVVATRLNCENLVESTHTQKDCSASFSALSSANKADDSSTASDTKSSGVTMSINASNPDKSVGGLQDAVVETKHSNGTRDSRLHWDLNVAMEAWDTDCGGDDVPDAADPDPVAFAISSCSDAENVLNKLQVCQAPFDSTIAGNIPDLSEDKTPVIDAPKDASTKSESDFAGDGSSQPLCSLSPQNVPVLESRPLECDGLSAGTKELPDNNDISKVKSQLGSDPDCSSLPPMTERFALTVIEEKLDVSHASALDCVGLSQMVSTDGCDGINSVQMSELGSRVKPFTSRLVSEESTNLATVTVFNKSSTDLGWSNDKLGQTSQQSISELKNQDLLDVDSGTSKIGQSGHDKVEHVTNELSISKKAADVDDDLDLSDSHMNDNPGSSDREQSYLGKVLSNNFMEHCNETEAPHIIKDLAGTGNIVAEEDDSQYEDGELRESGEYWGDDCYEEVKPANYQVLDCKSDAPGISPFPLGSMSKNTGDRVANFNGKHSRNGGGDVSPAALKRSWSTTCIDDGSGTMCAGSTGEKALSVHLRVNGETRMYEMNPGHVIAGSSATVNQSERVNDGLGDDLSSLRTKPTGWDMLPEDQRHSQHDSRDTVDSSNRCVLSTSDTAGGGESLRHMELSSGDMQPRADRPRSFDRAHINELCRSDDGYGSGSKAERTIDIRKSHERGGASRHIQGSSRVEQWVENSNNSRTTWRKSPDYYNYGLPGPRNAAEAAVAKMQSNGFVVAPDGTLVKAVDTANASKMARRVRNNTLSSSYRPLSGRGSPIDRDGGCGVSRGPAHAREASPERRFSTSGNRSVRYGPDMDKDHANVNMSSARCSLASRQRRFPQHRASLNLSRAHSRSPSGSRSRSPHAWTSPRNRREVMVNGSSSLWRHSRSRSPPNYMTEVRMGRMTSPSRQPGFGDRVMRYSPSSRDRAYSQHASTWADGRNCSTVDLPDHKKRYSRRSPPLRVTSRNDRFDVDSHGRPRSGELYRPTQGRLPYGFERGRGNRHDGNGDDQREYADRYETHSAKPYDRNGATKQFRNHTGDKLRPCISAPRSPEPQRRGSPRRFDRGFGRQLGDKVWNSALAHVCSEPQTKIAELIVPCKDSCSRYLECRDKVEPE >ORUFI08G17400.2 pep chromosome:OR_W1943:8:18700376:18709340:-1 gene:ORUFI08G17400 transcript:ORUFI08G17400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVFSPSQPPQAANGDAARGDRTDGRQGEAAPPTDRVPPRRGRESKGEGEGKRQQQEQHQPQPCWGGGGEKFLFWQEEKGKRKSGWGGAISTEKAEPRRRRARRWEERRERFCPWGLMRKAGGAKEDFKGSIGPEGAVDVKQSLVSLGATGRRPGFMIRLCTCTGRPSSLRNLNNSGVSMTCKGCGGESTTDRAGPSCSSKLNTMGLELPRPIDPEVRWKTVNRRQRAARRARTSFSGEDRIRDEIRSFYACGNATNQELAQDDAPVSESEKFGVSILGRRFSDPVGNVPIKKRRFLMDCSPSPPPTPLLVDPYEKLLSRSCGGISSHGKHHKVKTPRLDYMEETKEHFGVDDFSGISILAAAACTSELDDDTLNVECSKSAHCVERKPENITGSSELNFLNNIKEDMLNSLDASNCKSDPLLESSESVPDTKPVVATRLNCENLVESTHTQKDCSASFSALSSANKADDSSTASDTKSSGVTMSINASNPDKSVGGLQDAVVETKHSNGTRDSRLHWDLNVAMEAWDTDCGGDDVPDAADPDPVAFAISSCSDAENVLNKLQVCQAPFDSTIAGNIPDLSEDKTPVIDAPKDASTKSESDFAGDGSSQPLCSLSPQNVPVLESRPLECDGLSAGTKELPDNNDISKVKSQLGSDPDCSSLPPMTERFALTVIEEKLDVSHASALDCVGLSQMVSTDGCDGINSVQMSELGSRVKPFTSRLVSEESTNLATVTVFNKSSTDLGWSNDKLGQTSQQSISELKNQDLLDVDSGTSKIGQSGHDKVEHVTNELSISKKAADVDDDLDLSDSHMNDNPGSSDRGMSHAHEEEGADATISNNLTCTDSSNALICRIDGACVAPPINSECIKPSTTDMDSIADSQSAEQSYLGKVLSNNFMEHCNETEAPHIIKDLAGTGNIVAEEDDSQYEDGELRESGEYWGDDCYEEVKPANYQVLDCKSDAPGISPFPLGSMSKNTGDRVANFNGKHSRNGGGDVSPAALKRSWSTTCIDDGSGTMCAGSTGEKALSVHLRVNGETRMYEMNPGHVIAGSSATVNQSERVNDGLGDDLSSLRTKPTGWDMLPEDQRHSQHDSRDTVDSSNRCVLSTSDTAGGGESLRHMELSSGDMQPRADRPRSFDRAHINELCRSDDGYGSGSKAERTIDIRKSHERGGASRHIQGSSRVEQWVENSNNSRTTWRKSPDYYNYGLPGPRNAAEAAVAKMQSNGFVVAPDGTLVKAVDTANASKMARRVRNNTLSSSYRPLSGRGSPIDRDGGCGVSRGPAHAREASPERRFSTSGNRSVRYGPDMDKDHANVNMSSARCSLASRQRRFPQHRASLNLSRAHSRSPSGSRSRSPHAWTSPRNRREVMVNGSSSLWRHSRSRSPPNYMTEVRMGRMTSPSRQPGFGDRVMRYSPSSRDRAYSQHASTWADGRNCSTVDLPDHKKRYSRRSPPLRVTSRNDRFDVDSHGRPRSGELYRPTQGRLPYGFERGRGNRHDGNGDDQREYADRYETHSAKPYDRNGATKQFRNHTGDKLRPCISAPRSPEPQRRGSPRRFDRGFGRQLGDKVWNSALAHVCSEPQTKIAELIVPCKDSCSRYLECRDKVEPE >ORUFI08G17400.3 pep chromosome:OR_W1943:8:18703458:18709340:-1 gene:ORUFI08G17400 transcript:ORUFI08G17400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVFSPSQPPQAANGDAARGDRTDGRQGEAAPPTDRVPPRRGRESKGEGEGKRQQQEQHQPQPCWGGGGEKFLFWQEEKGKRKSGWGGAISTEKAEPRRRRARRWEERRERFCPWGLMRKAGGAKEDFKGSIGPEGAVDVKQSLVSLGATGRRPGFMIRLCTCTGRPSSLRNLNNSGVSMTCKGCGGESTTDRAGPSCSSKLNTMGLELPRPIDPEVRWKTVNRRQRAARRARTSFSGEDRIRDEIRSFYACGNATNQELAQDDAPVSESEKFGVSILGRRFSDPVGNVPIKKRRFLMDCSPSPPPTPLLVDPYEKLLSRSCGGISSHGKHHKVKTPRLDYMEETKEHFGVDDFSGISILAAAACTSELDDDTLNVECSKSAHCVERKPENITGSSELNFLNNIKEDMLNSLDASNCKSDPLLESSESVPDTKPVVATRLNCENLVESTHTQKDCSASFSALSSANKADDSSTASDTKSSGVTMSINASNPDKSVGGLQDAVVETKHSNGTRDSRLHWDLNVAMEAWDTDCGGDDVPDAADPDPVAFAISSCSDAENVLNKLQVCQAPFDSTIAGNIPDLSEDKTPVIDAPKDASTKSESDFAGDGSSQPLCSLSPQNVPVLESRPLECDGLSAGTKELPDNNDISKVKSQLGSDPDCSSLPPMTERFALTVIEEKLDVSHASALDCVGLSQMVSTDGCDGINSVQMSELGSRVKPFTSRLVSEESTNLATVTVFNKSSTDLGWSNDKLGQTSQQSISELKNQDLLDVDSGTSKIGQSGHDKVEHVTNELSISKKAADVDDDLDLSDSHMNDNPGSSDRGMSHAHEEEGADATISNNLTCTDSSNALICRIDGACVAPPINSECIKPSTTDMDSIADSQSAEQSYLGKVLSNNFMEHCNETEAPHIIKDLAGTGNIVAEEDDSQYEDGELRESGEYWGDDCYEEVKPANYQVLDCKSDAPGISPFPLGSMSKNTGDRVANFNGKHSRNGGGDVSPAALKRSWSTTCIDDGSGTMCAGSTGEKALSVHLRVNGETRMYEMNPGHVIAGSSATVNQSERVNDGLGDDLSSLRTKPTGWDMLPEDQRHSQHDSRDTVDSSNRCVLSTSDTAGGGESLRHMELSSGDMQPRADRPRSFDRAHINELCRSDDGYGSGSKAERTIDIRKSHERGGASRHIQGSSRVEQWVENSNNSRTTWRKSPDYYNYGLPGPRNAAEAAVAKMQSNGFVVAPDGTLVKAVDTANASKMARRVRNNTLSSSYRPLSGRGSPIDRDGGCGVSRGPAHAREASPERRFSTSGNRSVRYGPDMDKDHANVNMSSARCSLASRQRRFPQHRASLNLSRAHSRSPSGSRSRSPHAWTSPRNRREVMVNGSSSLWRHSRSRSPPNYMTEVRMGRMTSPSRQPGFGDRVMRYSPSSRDRAYSQHASTWADGRNCSTVDLPDHKKRYSRRSPPLRVTSRNDRFDVDSHGRPRSGELYRPTQGRLPYGFERGRGNRHDGNGDDQREYADRYETHSAKPYDRNGATKQFRNHTGDKLRPCISAPRSPEPQRRGSPRRFDRGFGRQLGVDLPRGTKEDNKNPFRYD >ORUFI08G17410.1 pep chromosome:OR_W1943:8:18717695:18722105:1 gene:ORUFI08G17410 transcript:ORUFI08G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHRIPREYYDERRGFRDGPPPPLARARPISPRRLEEELSSRRAEMRRIHDDNQRLADEIVGLRQAMPRLKEDLHAASQAIPKLRAEKELESRELTQRNLKLEAELRSLEPLRQDALQLRSEAGKLQSIRQEMTAKVQGLLKELEHQNSENQKIPVMIAERDALRQELVRMRGTLEYEKKARPDLTAQVQAMEKDLVSMAQESEKLRAEIKKRNAPSFSGHGAYGPPMATPGMGLQGVYDDGYPSIGSRYGTGSWAPHDPHGYPQL >ORUFI08G17420.1 pep chromosome:OR_W1943:8:18724254:18729065:1 gene:ORUFI08G17420 transcript:ORUFI08G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSIKTLKGSSFEIDVEPTSKVSDVKKLIEVTQGDNVYPADQQMLIHQGNVLKNDTTLEENKVVENNFIVIMLSKKGSSSAASSTAKEPTKQPSVDRAIPTAPATQPPAPPAPVSEPVTAPVPTATTASAPAAAVTAASTEADNYGQAASNLVAGSNLEGTVQSILEMGGGAWDRDTVMRALRAAYNNPERAVEYLYTGVPEQAEAPAAVQALPVPAAVQALPVPAAVQPVDPSQAPQSAQLSIPSSGPNANPLDLFPQVLPNASANAGGGNLDVLRNNSQFRGLLSLVQANPQILQPLLQELGKQNPQILQLIQENQAEFLRLINEPAEGAEGNLLDQFAAGMPQTVAVTPEENEAIQRLEQMGFDRDLVLEVFFACNKDELLAANYLLDHMNEFDDDAPEPPQ >ORUFI08G17430.1 pep chromosome:OR_W1943:8:18729952:18732454:-1 gene:ORUFI08G17430 transcript:ORUFI08G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEKTTRAGSESSGHHWAGYSCSAGEASSPRLPLRHRPPPRDFRRYIIFGCPYRHPKKPKAPAKDKVQEKVPQQTMIASWGMANYVEYNQVKNIFQEIGEIVGIYFSSTRHLAVVDFSTEQAAESALYHFMGYHLMGRPLKLAWFDPKDFAVLRDIPTRGERMPNYLMQTVCVTGFDSSLEIGTIRHALEEIFANDHMKKLVTPVNLDGTSTGKAYIRYDVASSYNGALHCDGVSEIGGRILRVTKWPDFSWCKKRRIGRAGCDKDDAGLAVPDQDDTPKWHTPSTGKRTLFDDGSGDEAGVTM >ORUFI08G17440.1 pep chromosome:OR_W1943:8:18740190:18741776:-1 gene:ORUFI08G17440 transcript:ORUFI08G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNEEHVTLIKEYRGKIEAELSKICDGILKLLDSHLVPSSTAAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTMVAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGGDEVKEASKGDAGEGQ >ORUFI08G17450.1 pep chromosome:OR_W1943:8:18744932:18752279:-1 gene:ORUFI08G17450 transcript:ORUFI08G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSRLVGHPNPKNPTADRGAQREKGRKPTPRRTRAPKDSPLLPLSLSVLGLGHEGSTHCFFRRARRTKTRTSSASARPCSAASSPLPPMAPPPASSLPTAVLAWGSGEDGQLGMGGYEEEDWARGVAALDALAVSAVVAGSRNSLAICADGRLFTWGWNQRGTLGHPPETKTESSPAPVDALAGVSIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEAERKEDGTRALRRDIPIPQGCAPKLKVRQVAAGGTHSVVLTQDGHVWTWGQPWPPGDIKQISTPVRVQGLENVKVIAVGAFHNLALTEDGILWAWGNNEYGQLGTGDTQPRSQPIRVEGLSDLSLVDIAAGGWHSTALTKEGEVYAWGRGEHGRLGFGDDKSSHMVPQKVELLAGEDIIQVSCGGTHSVALTRDGRMFSYGRGDHGRLGYGRKVTTGHPMEVPIDLPPPGTSGGDSGGQWQARYVACGGRHTLAIATWTEADDESHSRRSDLAGDAVAATPPPCVAVAEMAGMVAAAAPPASLCRPIAVPRAPMRRRRLTPLVKTTPSLRCEDSPKIALLQVQAMRSSEESSSDEDDEILSELKEKWDAIENKSSVLFYGGGAIIAVWLSSIVVKAVDSVPVLPNILELVGLGYSGWFVYRYLLFKENREELANGFDALKKRITGNEE >ORUFI08G17450.2 pep chromosome:OR_W1943:8:18748559:18752279:-1 gene:ORUFI08G17450 transcript:ORUFI08G17450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSRLVGHPNPKNPTADRGAQREKGRKPTPRRTRAPKDSPLLPLSLSVLGLGHEGSTHCFFRRARRTKTRTSSASARPCSAASSPLPPMAPPPASSLPTAVLAWGSGEDGQLGMGGYEEEDWARGVAALDALAVSAVVAGSRNSLAICADGRLFTWGWNQRGTLGHPPETKTESSPAPVDALAGVSIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEAERKEDGTRALRRDIPIPQGCAPKLKVRQVAAGGTHSVVLTQDGHVWTWGQPWPPGDIKQISTPVRVQGLENVKVIAVGAFHNLALTEDGILWAWGNNEYGQLGTGDTQPRSQPIRVEGLSDLSLVDIAAGGWHSTALTKEGEVYAWGRGEHGRLGFGDDKSSHMVPQKVELLAGEDIIQVSCGGTHSVALTRDGRMFSYGRGDHGRLGYGRKVTTGHPMEVPIDLPPPGTSGGDSGGQWQARYVACGGRHTLAIATWTEADE >ORUFI08G17450.3 pep chromosome:OR_W1943:8:18744932:18747447:-1 gene:ORUFI08G17450 transcript:ORUFI08G17450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMVAAAAPPASLCRPIAVPRAPMRRRRLTPLVKTTPSLRCEDSPKIALLQVQAMRSSEESSSDEDDEILSELKEKWDAIENKSSVLFYGGGAIIAVWLSSIVVKAVDSVPVLPNILELVGLGYSGWFVYRYLLFKENREELANGFDALKKRITGNEE >ORUFI08G17460.1 pep chromosome:OR_W1943:8:18755812:18758947:1 gene:ORUFI08G17460 transcript:ORUFI08G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCGDCHMFPSEMISQTVRHIQFRLVTLMKEQEEEKEVSVADRDSSNPGRQLHAHFTQKSTLQTAPCAAAASSRPAASLVRFFHLVQFHRVQFTELSTATSVQALFRRLIGPLTDVLAC >ORUFI08G17470.1 pep chromosome:OR_W1943:8:18775384:18782601:1 gene:ORUFI08G17470 transcript:ORUFI08G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELAAASAAARRRWVQWEEVVVSNDRGRRLVHYYLRGAAAGGGGGGEVRELAVVGRERSPRHMSYVVQGRFLRSLAAAGVGVGAVVAVPSPSRSPLPASAEGGAPRKWRSRREVVDWLSSLVSGCNYGSSSMSNRFNENPYDDIEFTDVAASKDVSHTSSVRNNSKEFTWLGPAWLCEKRWKHYRSFCRKGITISVHNFVYILSEEKKRLIAQVEDLYEDTNSTNVVMVRWFDKVDEVGVELPPDVGDREIFFSPGLQDLSVECIDGLAAVLSAQHFEKFQSSPKHSYWQPYICRRQIDEDGVKPFDVTQLQGYWSQEVLRTMFNAASSLKVRFKVTKGASSSDGAQKRKRDAFSETDPQQCVPSAAFGSDSLKNDLEHKTQKQLYPGSRAEVLSQDSGIRGCWFRCFVLKRRGDKIKVRYEDLQDADETGNLEEWVLLTRIAKPDQLGIRIPERPMVRPYHVHSKDPCSFDAGSIVDAWWNSGWWEGIVLQQGNDRRLQVYFPGEKQIADFCEDDLRHSREWAGGKWNSLGERKDITHLLPPTSVHEEGGLLSKPVSQEGSPSSKLESDKRCDDKSLGTKISHDQKHQKRVLADLTNALKFDNLKWRPRKRSRRSGSKRQSDTSSGSGSSSQGDMEESSPCGSFAVLNSAPDEEVCKSSGEPLFMRVSNLTTLLYGFARFFYRSLFSGEPEAGRRAPPPSGQTVFMSGKFYCMTVRMSIDCNGCYQRIRRALLQMQEDLDSHLIDRKQQRVSVCGAFVPQDVAIKLRKKTNRRVEILEIKEIDAGDGHRL >ORUFI08G17480.1 pep chromosome:OR_W1943:8:18782656:18786004:1 gene:ORUFI08G17480 transcript:ORUFI08G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAMRCVLVLFSVSPVLLLFNFEMLEVALHLASREKELDTAAVTPSASLSFLSRFRIMLGMNHHRSRGRRHKRYSEAPAPAPAPVPAHQARSEAPAPLVHVPRKGMPSTHRSHIAPARSPVHKVKDGGHTKIPRSAIVALGVVGLCLVVLGVVIAAFSVRRSRKFKKVCTKAFKPFRHGSRDQRSPAATRKVSSHPSPDPLTLSSIVQYQQNLPNLKQSSESKSLSIQSTIPMGTELIVSDHAVINNSQSDEVESFHSIPCSDLSAGSITELPQQICDRRAIMNRSEYFLQTHDSPSDSSYQSLSPDCTSRLSPKDQTFTASSHLSLRSKTCPEKSDGENAEINCHDGLEITCISGSMEHQEAPIEERARINFRNPPSQHIFPPSYRTDTSQSKINIAFTMTNSKVESSSKESSRIETSSSMGIPKPAPPPPPQKNPPPNLKGQCYGQPPPPPPLPLQIQVGKDGSPLPRLKPLHWDKVRAAPNRSMVWNDIRSSSFEFEFDEQMIKSLFAYNLQGSMKDEEAMNKTASTTKHVIEHHRLQNTTILLKTLNANTSQVCNSVIQGNGLSVQQLEALVKMKPTKEEEEKLLNYDGDINMLDPAENFVKVLLTIPMAFPRMEVMLYKENFDDEVAHIKMSFAMIEGACTELKSSKLFLRLLEAVLKTGNRMNVGTLRGGASAFKLDALLKLADIRGTDGKTTLLHFVVKEMARSKGLKALEKLNETPSSCHDTPTEREEYSSMGTEFVSELSNELGNVKKVASIDLDTLRNSISNLSCGLAQLRNLVEKDLASDDKNNNFLQCMKSFLNHAENTMQGLKADEAQVLLNVRELTEYYHGEVSKDESNLLQIFIIVKDFLGLLDKVCREMRGTKHNQTLNLVLPLK >ORUFI08G17490.1 pep chromosome:OR_W1943:8:18786565:18789670:-1 gene:ORUFI08G17490 transcript:ORUFI08G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAPVSLSAAASTVPARLLVGRGAAAAPVARRRARMVVVRAKIREIFMPALSSTMTEGKIVSWSAAEGDRVAKGDAVVVVESDKADMDVETFHDGIVAAVLVPAGESAPVGAPIALLAESEDDLQAALAKAQELSKAQPQQAPPPSDAAAPPPPPPPPAAAPAAPAPVAAGTKGIATPQAKKLAKQHRVDLAKVAGTGPFGRITPADVEAAAGIEPKPKVVPAASAAPVPLSAPAIGAVPQAAELPPVPGATVVPFTGMQAAVSKNMVESLAVPAFRVGYPIVTDKLDELYEKVKSKGVTMTVLLAKAAAMALAQHPVVNASCRDGKSFTYNTNINIAVAVAIDGGLITPVLEDADKLDIYLLSQKWKELVKKARAKQLQPNEYSSGTFTLSNLGMFGVDRFDAILPPGQGGIMAVGASKPTVVADKDGFFSVKSKMLVNVTADHRIVYGADLAAFLQTFAKIIEDPESLTL >ORUFI08G17500.1 pep chromosome:OR_W1943:8:18799560:18800700:1 gene:ORUFI08G17500 transcript:ORUFI08G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATPRTAAAAHPHDQISPSPPRRRRRRRHRQEGGKQPAAAARRDEHRHHRVGEAGVPGGGPEPALHQGRRQFLRARLPPPLHHLRRLRHRRLPLRDQAGDPRAIDGDGRAHRGHGRVHVRLPELRRPPHGLLPQRLRGRPLQVQAVVRNFIDSLRSLSLSLLLQAFTSFFSFS >ORUFI08G17510.1 pep chromosome:OR_W1943:8:18804894:18805250:1 gene:ORUFI08G17510 transcript:ORUFI08G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRRVEKELGGKIKQCGDEEWLRALSTIPKGDGARWKASVIEEDGKRAPHRLSSSPLLHAAAPLPCSPSSVEGVGGNRSTPLLLAPSAPWSHPRPSSALPLLVVGGRRQRRRRELG >ORUFI08G17520.1 pep chromosome:OR_W1943:8:18806919:18812541:1 gene:ORUFI08G17520 transcript:ORUFI08G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRPTPPARWGRPLDRGAAADQPPVAKLSPPPIRAPRHCSHLENRAVRPRQPRRRCPGLPGLSEGIDMRTMRVNPQF >ORUFI08G17530.1 pep chromosome:OR_W1943:8:18822563:18826412:-1 gene:ORUFI08G17530 transcript:ORUFI08G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPLCCHCSGMSGTQMTSGGEAVGVPPEPWRWADGGQGWQWKRGRLWVRMWQSVAANPTTQASRDEEESGWLGWGGGDGVTAGLGRKARSCKGEDPSLAGLASTIDGSLIHQENMELHKKVSLVHQENINLQKKVYKTKSNGHPTGSTIQHSFLITDNEIGPNLELSLPENFFVQVAGNPFNQNRVYVMYSAVLKTM >ORUFI08G17540.1 pep chromosome:OR_W1943:8:18830815:18836747:1 gene:ORUFI08G17540 transcript:ORUFI08G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPYPNNNLHHHHHHCSSNQEPHLPLHPPAFLMSAPSSSSSPSTLDEYDARFFFPGADAYTAGHRQDEETLEAVLRQPVTTTAAAAAAVEGGGGGGGGGAGGSPAAAAAATRRRPFRTDRHSKIRTAQGVRDRRMRLSVGVARDFFALQDKLGFDKASRTVEWLLTQSKHAINRLTLPDSADAAAAPAFAAAPPPADQHSSAMAAAAASAAKEKGEASSSSTTNASSARARNRDHDGSSPVAPMDERGRRGVELDWTAAAAASTEQPMDGLEYYFQYYNHLEEIMSCDPTTTTDESRA >ORUFI08G17550.1 pep chromosome:OR_W1943:8:18844966:18847467:-1 gene:ORUFI08G17550 transcript:ORUFI08G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVPSRVALSASRLSNHHHHAVGGERYAIYRGRCPNPAIPMALAAAAAPGKGGGVLDRPIEKVTPGRQSEFDVRKSRKMTPPYRVLLHNDNYNRREYVVQVLMKVIPGMTVDNAVNIMQEAHVNGLSVVIICSQSEAEEHCTSLRGNGLRSSIEPASGGC >ORUFI08G17560.1 pep chromosome:OR_W1943:8:18865606:18868157:-1 gene:ORUFI08G17560 transcript:ORUFI08G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEAVGGGDGTALLPWPGSAAAAPLYMPPAAAAAAPFAAGEQLPVEQPFYFDGGGGVAGHNHHPHHHQYGMEAPPPMTMMQMGGGGSSSSRMVVSGLLGTLQAELGRMTAKEIMDAKALAASRSHSEAERRRRQRINGHLARLRSLLPNTTKTDKASLLAEVIEHVKELKRQTSAMMEDGAAGGEAAAAPVVLLPTEDDELEVDAAADEGGRLVARASLCCEDRADLIPGIARALAALRLRARRAEIATLGGRVRSVLLIAAVEEEDPDEAGNDDDGEHGYGVAASHRRHELVASIHEALRSVMNRKAASSDTSSSGAGGGGGSIKRQRMISAHDQQGSFNSSGW >ORUFI08G17570.1 pep chromosome:OR_W1943:8:18886396:18887009:1 gene:ORUFI08G17570 transcript:ORUFI08G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKANATCRCCSATPAEAQAYSLQRQWICCREPDAQAFAIADLMKEPTILCLPKLREPS >ORUFI08G17580.1 pep chromosome:OR_W1943:8:18890641:18894015:-1 gene:ORUFI08G17580 transcript:ORUFI08G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLGGFTKSLAMTVLSEIGDKTFFAAAILAMRYPRKLVLAGCLTSLTVMTALSVSLGWVAPNLISRKWTHHVTTLLFFVFGILSLWEGFKEDGDSEELAEVEAELDGNFKSNKAESKSKSKANDDKKKQQRPFVLQFFSPIFIKAFSITFFGEWGDKSQIATIGLAADENPFGVVLGGVLAQALCTTAAVMGGKSLASQISEKMVGLSSGVLFLLFGIMSYLSGPEGEL >ORUFI08G17590.1 pep chromosome:OR_W1943:8:18901051:18903572:1 gene:ORUFI08G17590 transcript:ORUFI08G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVREDSRPLGGLDGLYGVQLAGRSMYSDDEAVKTSIIDPLAREPQEGVGTSRRLLIRRLWQQRPPCLRPIHCSLSCDKHPGETIANVVTSIPFIVLGLQTPRRSCRKNLNTALYANSLIGVGIASSLYHTSRGRIRKYMRWADYTMIATTTLCLSRALRNEHPKLLMAASTLLLPFQPLVVSAVHTGIMEAKMANQVVSFAKRASMEPELRMAHNLHKMSSLLGGALFIADDAFPETPYLHAAWHLAAALGVGTCNKLLE >ORUFI08G17590.2 pep chromosome:OR_W1943:8:18901051:18903572:1 gene:ORUFI08G17590 transcript:ORUFI08G17590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVREDSRPLGGLDGLYGVQLAGRSMYSDDEAVKTSIIDPLAREPQEGVGTSRRLLIRRLWQQRPPCLRPIHCSLSCDKHPGETIANVVTSIPFISCRKNLNTALYANSLIGVGIASSLYHTSRGRIRKYMRWADYTMIATTTLCLSRALRNEHPKLLMAASTLLLPFQPLVVSAVHTGIMEAKMANQVVSFAKRASMEPELRMAHNLHKMSSLLGGALFIADDAFPETPYLHAAWHLAAALGVGTCNKLLE >ORUFI08G17590.3 pep chromosome:OR_W1943:8:18900993:18902933:1 gene:ORUFI08G17590 transcript:ORUFI08G17590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVREDSRPLGGLDGLYGVQLAGRSMYSDDEAVKTSIIDPLAREPQEGVGTSRRLLIRRLWQQRPPCLRPIHCSLSCDKHPGETIANVVTSIPFIVLGLQTPSPAERT >ORUFI08G17590.4 pep chromosome:OR_W1943:8:18900993:18902043:1 gene:ORUFI08G17590 transcript:ORUFI08G17590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLREYSGSGGEERERETERDVVLFASALLLPHHEGGEDPVGIGIPPLLLRSSPSPSRRRRWWWWWRGRSNNREIQDLWEV >ORUFI08G17600.1 pep chromosome:OR_W1943:8:18904795:18905922:1 gene:ORUFI08G17600 transcript:ORUFI08G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAAPLLSPAPAPPRCHVAIPLRCHAPTQQVAAAAADRGLLLRGAGSPVVKRAPGGWLLWYQCGARVALAASTDGLRWGPPVEPDPLVASTDWWAFDTAAVRPSDVLLFSGPDASARSGFPSSAVYWLYYSGSTDERFGSPFPAAAAAAEDVPALPGLAISQDGRHWARIEGDHHTGALLGVGEEEEGGEPRRGWEARCVAAPKVVLHAEGDLRMYYHSFDEMSQRHAIGLARSKDGVRWRKAGKVLEGGKAGSFDEGGVRHGHVVRDRAAGRYVMVYEGVDANGRVSIGMAVSEDGLKGWRRSSELPILRPSDDDEGWDSTVVGSPCLVQMDGAYDWRMYYTGVGRDGEAAIGMAYSEGHGLQKFEKWDAVLM >ORUFI08G17610.1 pep chromosome:OR_W1943:8:18910083:18911609:-1 gene:ORUFI08G17610 transcript:ORUFI08G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKIGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPSKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKAINGTLNNTAGDKSAKVTASLSHMAQWESARLEAEARLARESKMRIAASTPSKLHAQSTNPPASTPSPCFNVLNAWQSAKIDLESPTSTLTFAGSNASMLPFSTTTALELSESNSNVWQQRSDELEGEESEWKFVSKQQLQGMHGKETEEHFIGCEESWFPGTANIGAGFTGMLLDGSNMHDTSECWDESSNGQDEQRSQVSEDAENKNYWNGIFSMVNSEQPPLQPPLL >ORUFI08G17620.1 pep chromosome:OR_W1943:8:18916266:18918254:-1 gene:ORUFI08G17620 transcript:ORUFI08G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQRLPAGFRFFPTDEELVTYYLARKAMDATFTSAAIRDVDLYTSDPWHLPCDSSAASTGGGGGGECYFFCRRSSKYPSGARVRRATAGGYWKSTGKDKGVYAAGGGGGLVGTKKTLVFYEGRAPRGEKTSWVMHEYSRAPSTNFIRGAQARTHNLLDIIYSEWVICRVFKKQPPIEHWLEMEQEVETTTTTTTTTVQEHTPNRRRLPPAEAAAAAPPPSGQPWQHTSRRSGDGRAAIDGGNREEEEDEHGLAREESSSPVVISSPSRCTSSPSSRLLNHEHLGASSSDDLPELMEFGDIYGGIAAGGPTDQQASSSNSNSICNFLDEPYYCWNF >ORUFI08G17630.1 pep chromosome:OR_W1943:8:18924992:18926332:-1 gene:ORUFI08G17630 transcript:ORUFI08G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKTTTRRRRRGVLDLEAQFAFFRSQHRHPVNAAAHALLAWPILFTGLLVLHFLPSPPALPLDPALALALAYAAAYVAADRRAGALAGLLLAAGWAASRALAARLGFALAWKAALATQLFCWTWQGPAVGDLPEVFLMEPFLILLQILNKQFGYEPYPGFSKNVDKKMEAILRENREELKQRKAT >ORUFI08G17640.1 pep chromosome:OR_W1943:8:18927040:18927429:1 gene:ORUFI08G17640 transcript:ORUFI08G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVRCLGWGSAARSCAGARPARLGRQSRRGGGADTVRRRYRAGKGGRPRRMTSTEKFSAGEVVAAQRTVEREMEAAARAIPIVVVAGVVAAVPEPATTRLAAKLLLVVLLVEGNREAAVEPPPSFYP >ORUFI08G17650.1 pep chromosome:OR_W1943:8:18933319:18937554:1 gene:ORUFI08G17650 transcript:ORUFI08G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLTRALLSSPPSSAAAAAAALLSSTSPLPAARFLQLHAHLLRTGLLLLPLAPTAASAFLSLAAASLPSHRALPVLLHHLALAPETLPSTFRLNAILRSLRGPDALRFLRRARALGRRGNAFSLSIVLGHCRALAHARQLHANVVAEGHSPDALLATSLVSSYAACGDGDSARKVFDEMPVRDTVAWNVLITCYTRNRRTKDALKLFDAMRGGENGAEPDDVTCILLLQACTSLGALDFGEKVWEYAVDHGYGGELKVRNSLITMYTKCGCVDKAYQVFCETPKKSVVTWSAMISGLASNGFGKDAISAFEEMGRSGVAPDEQTFTGVLSACSHSGLVDEGFKFFDIMCYEYQLKPNVHHYGCMVDLMGRAGLLDQAYELVVKDMRVAPDATIWRTLLGACRIHGHIDLGERVINHLIELKAQQAGDYVLLLNTYAAVEDWGKVAEVRKLMKEKGIQTTPGCTTVELNGEIHEFIAADASHPRKAEIYEKLDEINKHLRIAGYVPNVSSELHDLDSEGKECALAYHSEKLAIAFALLVTPQHRPIRLAKNLRVCVDCHNFTKVFSGVYHRLVIVRDRTRFHHFKEFQCSCNDYW >ORUFI08G17660.1 pep chromosome:OR_W1943:8:18940660:18943221:1 gene:ORUFI08G17660 transcript:ORUFI08G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARATARVGLALLLAVVGVGAQDYDFFFLVLQWPGSYCDTKQSCCYPRSGKPAADFGIHGLWPNRDDGSYPQNCDPDSEFDPSKVSDLLGSMRSEWPTLACPSNDGIRFWAHEWEKHGTCAAAALGDEHGYFEAALRLRSRLPVLAALRDGGVSPDGGYYTLSQIKGAIQRGVGAEPFVECNRDESGNSQLYQLYFCVDAAGERFVDCPASPGGRPCGNRIEFPAF >ORUFI08G17670.1 pep chromosome:OR_W1943:8:18945307:18947974:-1 gene:ORUFI08G17670 transcript:ORUFI08G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQSIHRIHAVHGRRRQKPVVSEALTPPPPPPPSPSPATTTIKSEPPTPLPAALFTTQKKKRPTPTHASPPLPFLRPRVHDQSGNRAVTISSVGAQAGMISKPRNHGFTSYSGLKAASSVSFESGSSFLGRNASLRASVAPRIVPKAKSGSQISPEASYKVAVLGAAGGIGQPLGLLIKMSPLVSELHLYDIANVKGVAADLSHCNTPSQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINASIVKSLVEAVADNCPEAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTMPSVTFTDEETEQLTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFVQSELTELPFFASRVKLGKNGVESIISADLEGVTEYEAKALEALKSELKASIEKGIEFVHKQQTAAASV >ORUFI08G17680.1 pep chromosome:OR_W1943:8:18966658:18975132:1 gene:ORUFI08G17680 transcript:ORUFI08G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGGGVAEEVARLWGELPVRVDWAAVAAQWAAAAAAARAAVVVPAVRALVAVSLAMTVMILAEKLFVAAVCLAVRAFRLRPDRRYKWLPIGAAAAAASSEDDEESGLVAAAAAFPMVLVQIPMFNEREVYKLSIGAACSLDWPSDRVVIQVLDDSTDLVVKVFIVIYFTDISSRIIRSTSSLVIKDLVEKECQKWQGKGVNIKYEVRGNRKGYKAGALKEGLKHDYVKECEYIAMFDADFQPESDFLLRTVPFLVHNSEIALVQTRWKFVNANECLLTRFQEMSLDYHFKYEQEAGSSVYSFFGFNGTAGVWRIAAIDDAGGWKDRTTVEDMDLAVRATLQGWKFVYVGDVKVKSELPSTFKAYRFQQHRWSCGPANLFKKMMVEILENKKVSFWNKIHLWYDFFFVGKIAAHTVTFIYYCFVIPVSVWLPEIEIPLWGVVYVPTVITLCKAVGTPSSFHLVILWVLFENVMSLHRIKAAVTGILEAGRVNEWVVTEKLGDANKTKPDTNGSDAVKVIDVELTTPLIPKLKKRRTRFWDKYHYSEIFVGICIILSGFYDVLYAKKGYYIFLFIQGLAFLIVGFDYIGVCPP >ORUFI08G17690.1 pep chromosome:OR_W1943:8:18978958:18980206:-1 gene:ORUFI08G17690 transcript:ORUFI08G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQQQHAGEAPASAAAAARARLRWTGQLHERFVLAVAELGGADRATPKSVLRAMAVPGLTLSTGRRGAAAAMGGGGNGGGGGSGSLNDRSSSSERQPADHDGDSAADEPRTIAYDGDSDGDAKEGLRDSSRSMVQMQREVQRKLQEQIEVKRHLQLRMEAQGRYLQSVLRRAQQVLADHSLASSPEAATAELSELASAVDIECMSSSSPPRHHRQSAATDSCVTTTSSSEAESKAAGSKRLHTSDCTVEQPVQGKRAFNFLQRHNQADQEEEQEEEYAGAEDGSSSEIDLNR >ORUFI08G17700.1 pep chromosome:OR_W1943:8:18981632:18983291:1 gene:ORUFI08G17700 transcript:ORUFI08G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQAKTEYQERKFSDMYRDRSLCKDLNQGQRIVIKRRRRGLIEQFTQISSARFALKAPVLFA >ORUFI08G17710.1 pep chromosome:OR_W1943:8:19036264:19038525:1 gene:ORUFI08G17710 transcript:ORUFI08G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTSAAWMLHVGGAAAEQASSSSSSKGGGRVVTAGTTTMDTGGYNNGGGGGGGGGNGRGGGDHQESSSSGGGGGQSSRLAARGHWRPAEDAKLRELVALYGPQNWNLIADKLDGRSGKSCRLRWFNQLDPRISKRPFSDEEEERLMAAHRFYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSTAYRRRKLNQAVQRKLDATTASDVVVAHHHPYAAAHDPYAFTFRHYCFPFPAASPAAADEPPFTCLFPGTAATAGRGGGGGMTWPDAMAAGEVIDDGAGGGRYVVAEPPPPFLVPAAPHGWLGGHEMMVMVNDGGDVAAGVASSYDGMIGRDQGGGGSHFEAAAAAAAAPAFIDFLGVGAT >ORUFI08G17720.1 pep chromosome:OR_W1943:8:19046224:19050302:-1 gene:ORUFI08G17720 transcript:ORUFI08G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVAGARGAGRPLMRLVTMSGVPILRQLHLEERLLRRTGDNWCIINDGTAPATIVMGVSGRVSELVEIEPVLRDKVPVIRRFSGGGTVIVDQGTVFATFICSKTAIPGLQPFPRDIMSWTGQLYDKVFDGFGEFHLRENDYAFSQRKFGGNAQSITRDRWVHHTSFLWDYDMKNMDYLKIPKRAPDYRLARNHTDFLCRMKEYMPSRSVFTEGIISALGDHFTVEPTEPEAVLSDDTGFKPSTKLLSPQDLEDVIASKESLKIELITVMDRPARAAGRVAALLDLDRLRGRRKTERE >ORUFI08G17730.1 pep chromosome:OR_W1943:8:19052494:19053411:-1 gene:ORUFI08G17730 transcript:ORUFI08G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTARAPVAALRPSASLKSTFLGQSSTRLARAPTTRRNVRAEAKGEWLPGLPSPTYLNGSLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLLPEVLTKIGLIDAPQWYDAGKATYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKSYSLPPHECGYPGSVFNPLNFEPTLEAKEKELANGRLAMLAFLGFLVQHNVTQKGPFDNLLQHLSDPWHNTIIQTLSG >ORUFI08G17740.1 pep chromosome:OR_W1943:8:19054553:19059797:-1 gene:ORUFI08G17740 transcript:ORUFI08G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSATQFRYTQTPSKVLHLRNMPWECTEEELVELCKPFGRVVNTMCNVGANRNQAFVEFADQNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKGTGDSSGNVLLVTFEGVQPNDISIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTALEAKNSLDGRSIPRYLLPEHVPTCHLRITFSAHKDLNIKFQSHRSRDYTNPYLPVNPTAIEGIAQPTLGPDGKIKEPESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAMFEKNGGMQALIQYPDITTAAVAKQALEGHCIYDGGYCKLHLSYSRHTDLNVKAHDERSRDYTVSSDPSAQMQAAAQAPGPSTPGVAWQNTAPSASFYGSTAAATPVGQVPAWNPNMQAGAFGSASSAYPTQPMMPGSVPHYPGIGSSSGALPVSFQASHQMPQYGVPPAAPHHAPPAGQPMYFPK >ORUFI08G17740.2 pep chromosome:OR_W1943:8:19054553:19059797:-1 gene:ORUFI08G17740 transcript:ORUFI08G17740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSATQFRYTQTPSKVLHLRNMPWECTEEELVELCKPFGRVVNTMCNVGANRNQAFVEFADQNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKGTGDSSGNVLLVTFEGVQPNDISIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTALEAKNSLDGRSIPRYLLPEHVPTCHLRITFSAHKDLNIKFQSHRSRDYTNPYLPVNPTAIEGIAQPTLGPDGKIKEPESNVLLASIENMQYAVTVDVLHTTSQRQQLLNKLWKGTAYMMEVTAHDERSRDYTVSSDPSAQMQAAAQAPGPSTPGVAWQNTAPSASFYGSTAAATPVGQVPAWNPNMQAGAFGSASSAYPTQPMMPGSVPHYPGIGSSSGALPVSFQASHQMPQYGVPPAAPHHAPPAGQPMYFPK >ORUFI08G17750.1 pep chromosome:OR_W1943:8:19071245:19074888:1 gene:ORUFI08G17750 transcript:ORUFI08G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPNARAHHPRGESQEAAATTLAPPPPPPRPTISSAMGSRRARGRRLLAALLAAAFAVALLLLVPRSPRRRPHEYGVVIDAGSTGSRVHVIAYRSSSSSPASALPRIDWARTASMKAAPGLSSFASDPGGAGRSLAPLLEFARRRVPPESWAETDVRLMATAGLRLLDAAVAEAVLDSCRVLLRGSGFQFQDDWATEGMYAWIAANYALGTLGDDSQDTTGIIELGGASVQVTFVTDKPLPPEFSHTLKFGDATYNLYSHSFLQLGQNVAYESLHDMLSKPGHKSMATHLISQAKYRDPCTPRGFSPMEGAVKLPASVLESKVEYRPYAHAVGNFSECRSAALTLLQKGREECRYHECRMGAAFVPDLDGKFLATENFYHTSKFFRLRSKSFLSDLMLAGEKFCRGDWSKIKKEYRSFNEGELLLFCFSSAYIVALLHDTLKVPLDDKRIDVANQIGGVPVDWALGAFIVQKASNQTEYSDSSLLLFWVGSTPLHFRCRSIYSMLHPEGEKISPEDGIRHGERPVHHNQGETMSLARRRWDLVEFLRWGASDRVCKFS >ORUFI08G17750.2 pep chromosome:OR_W1943:8:19071245:19074888:1 gene:ORUFI08G17750 transcript:ORUFI08G17750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPNARAHHPRGESQEAAATTLAPPPPPPRPTISSAMGSRRARGRRLLAALLAAAFAVALLLLVPRSPRRRPHEYGVVIDAGSTGSRVHVIAYRSSSSSPASALPRIDWARTASMKAAPGLSSFASDPGGAGRSLAPLLEFARRRVPPESWAETDVRLMATAGLRLLDAAVAEAVLDSCRVLLRGSGFQFQDDWATVISGMLHNESVEFLSFVYSGKVRSHRAEEGMYAWIAANYALGTLGDDSQDTTGIIELGGASVQVTFVTDKPLPPEFSHTLKFGDATYNLYSHSFLQLGQNVAYESLHDMLSKPGHKSMATHLISQAKYRDPCTPRGFSPMEGAVKLPASVLESKVEYRPYAHAVGNFSECRSAALTLLQKGREECRYHECRMGAAFVPDLDGKFLATENFYHTSKFFRLRSKSFLSDLMLAGEKFCRGDWSKIKKEYRSFNEGELLLFCFSSAYIVALLHDTLKVPLDDKRIDVANQIGGVPVDWALGAFIVQKASNQTEYSDSSLLLFWVGSTPLHFRCRSIYSMLHPEGEKISPEDGIRHGERPVHHNQGETMSLARRRWDLVEFLRWGASDRVCKFS >ORUFI08G17750.3 pep chromosome:OR_W1943:8:19071245:19075244:1 gene:ORUFI08G17750 transcript:ORUFI08G17750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPNARAHHPRGESQEAAATTLAPPPPPPRPTISSAMGSRRARGRRLLAALLAAAFAVALLLLVPRSPRRRPHEYGVVIDAGSTGSRVHVIAYRSSSSSPASALPRIDWARTASMKAAPGLSSFASDPGGAGRSLAPLLEFARRRVPPESWAETDVRLMATAGLRLLDAAVAEAVLDSCRVLLRGSGFQFQDDWATEGMYAWIAANYALGTLGDDSQDTTGIIELGGASVQVTFVTDKPLPPEFSHTLKFGDATYNLYSHSFLQLGQNVAYESLHDMLSKPGHKSMATHLISQAKYRDPCTPRGFSPMEGAVKLPASVLESKVEYRPYAHAVGNFSECRSAALTLLQKGREECRYHECRMGAAFVPDLDGKFLATENFYHTSKFFRLRSKSFLSDLMLAGEKFCRGDWSKIKKEYRSFNEGELLLFCFSSAYIVALLHDTLKVPLDDKRIDVANQIGGVPVDWALGAFIVQKASNQTDMLHPEGEKISPEDGIRHGERPVHHNQGETMSLARRRWDLVEFLRWGASDRVCKFS >ORUFI08G17760.1 pep chromosome:OR_W1943:8:19075632:19080697:1 gene:ORUFI08G17760 transcript:ORUFI08G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPSGGVSLPPLSTTHTLFSVRESMGAEEEPLIQTVECRICQEEDNISNLESPCACTGSLKYAHRACVQRWCDEKGDLTCEICHEPYKHGGGWTITGTAFDLRDPRIIAMAQNHIMEADYDDYSVSNASSAAFCRSAALILFLLRVAGFLLPFYIMAWAINILQGRRRRQVAAALAATEVAFILQSGQRRGMNFTIAPDSPATPQHEPIP >ORUFI08G17770.1 pep chromosome:OR_W1943:8:19085742:19086413:1 gene:ORUFI08G17770 transcript:ORUFI08G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQAAAAGGGGGGGGASMCANGCGFFGSEATKKLCSKCYRDQLKAAPSSPPAAPDLVANEEEEASTAAAAADEQLALCSSGCGFFGSKETNNMCSKCYRDHLKATSPLFSSSSSPATASTTDITVPIAPATTAPTPSLKGKEEEATAAASSSAAAAAKPNRCVACRKKVGLLGFECRCGGTFCSTHRHADKHACTFDFKKSDREKIAKENPLIVAPKITKF >ORUFI08G17780.1 pep chromosome:OR_W1943:8:19088245:19088634:-1 gene:ORUFI08G17780 transcript:ORUFI08G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQLELDAGGGGGGGGVPSCANCCGGVVATTTARSKNLCARCYRDHLNAVDGTAEAARTRALLASLACDLNVGTFGDAHGGASGFGFKNADRDSARGGHHQVVEVGDDGEGAAVTRLRRRRMVRTCE >ORUFI08G17790.1 pep chromosome:OR_W1943:8:19088937:19092401:1 gene:ORUFI08G17790 transcript:ORUFI08G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAPPSLLFRLRPTPRGGGGMWPLRVVFKRRRLRQLLSPRTGHRRVGEAAVEQLVLRLPETGRRRGDGGFACSCSFDDSNESPQLPPDNKEILDDWSVLRRWDVPWEWPTISLTMVACAVSFLLTGMVEQAVLEYIGFEAGEATIDEKAEVLFLGQFSVTAAVLGVIFGITNTYRPFSNDIFRYDLKEPFKLENGWLLWAGIGFFAAIISIALAGAAMSFLGGETPERETDSLVLLLPLIGSSNISTACLLGITGVLAPILEETVFRGFLMVSLTMWFSTPISVLITASVFAFAHLTPGEFPQLFVLGIVLGFSYAQTRNLLTPIIIHAMWNSGVILLLTVLQLQGYNIKELLLAS >ORUFI08G17800.1 pep chromosome:OR_W1943:8:19098416:19100440:1 gene:ORUFI08G17800 transcript:ORUFI08G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERNDVNMDKSDEILLPGFRFHPTDEELVSFYLKRKIQQKPISIELIRQLDIYKFDPWDLPKLASTGEKEWYFYCPRDRKYRNSVRPNRVTAAGFWKATGTDRPIYSTEGTKCIGLKKSLVFYKGRAARGIKTDWMMHEFRLPTLTDPSLPKKPIDKNIPLNDSWTICRIFKKTSSMAQRALCQTWGAQLPGTIDPDIFSTLQSVQASQFALESSSCSLQAAATAAHQITSKYALQGNNNNQQQQQQHKPSNPLDGSSCKVINFNCSQSAEVQNSQIILPFEAHTSQKTATPLLFDTQFGQPDQISRFVVDSSVNANGGGISNKSQDPSARKPGSGFSMNSDWDGVARINFPFDLGADSSEDWRSSIPWESFLSPTTVHAEMPN >ORUFI08G17810.1 pep chromosome:OR_W1943:8:19101730:19103191:-1 gene:ORUFI08G17810 transcript:ORUFI08G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTAK >ORUFI08G17830.1 pep chromosome:OR_W1943:8:19161510:19161884:1 gene:ORUFI08G17830 transcript:ORUFI08G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRMVILRRCEPPPPQPAAAVVAAMGGCCGRVRYGECRRNHAARMGGHAVDGCREFLAEGEEGTGGALRCAACGCHRSFHRRVVVVQQCCACDTAAAAAAAGGWEWRDCSPESSSSASSTTAS >ORUFI08G17840.1 pep chromosome:OR_W1943:8:19181663:19182569:1 gene:ORUFI08G17840 transcript:ORUFI08G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTCQSLFLSFSVHLLPPAPPGCGAATRRGSCTEAEAENDPFDVIHSESVAMFHCWARDVPNLVVSWHGISLEALHSRIYQDLTRGEDERMSPASNHSLAQSVYRVLSEVHFFRSYVHHVAISDTTGEMLRDVYQIPNRRVHVILNGVDEAQFEPDAALGRAFREDLRLPKGANLVLGVSGRLVKGADLPPSTVGRSPPPFLPFLFPFTIGFASPRRVHFSPFPFPSTSESGHQRHHHSRPELSSLCCRSGHLLLPLCLTPPSPDARRPGTLSATNVADPWPPEH >ORUFI08G17850.1 pep chromosome:OR_W1943:8:19187953:19188999:-1 gene:ORUFI08G17850 transcript:ORUFI08G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVGVKYRPVVFPNGGAAAAAAGKSKATPASATAAVYRECLKNHAASLGGHAVDGCGEFMPSPAADAADPASLKCAACGCHRNFHRRLPEAPPSPPLLALPPPPPPPPPPPPPPQPQQHLPRTAAVAVAPQLLLHGSHQRREQSPETDRVRGPGHHHDDDAAADDDDSEDSEMSDYDDDRSASPLQAPPPVLSPGYLPSATHMLLSLGSASAPAVAASRPHAAAAAMGPPPPPGAATSASRKRFRTKFSPEQKQRMQALSERLGWRLQKRDEAVVDECCREIGVGKGVFKVWMHNNKHNFLGGHSARRSAAAAAAAPLAPPPVLTARAPVAESGGWGGGGGSPQST >ORUFI08G17860.1 pep chromosome:OR_W1943:8:19193937:19198491:1 gene:ORUFI08G17860 transcript:ORUFI08G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRTKKKTERRQRHASQHRPVKKTMALTRRLLIDLSSRRRLFNAGKFSTTHKKKPVLHEAVSLAGFLRCSRALVSWMVAERKMQPSPAAPPAAEHRRRALLRYVVFLAVSLLAFSCWALVSSRIDGAVLAATAGGEHDDHDGIIVRSSTQAEMPASGGNATSRGAVEVGVGTPAAMITRQPSSGETTTTAASAATCDAESALLRVYLYDLPPEFHFGMLGWDGKAAGAAWPDVAGDPRAVPRYPGGLNLQHSVEYWLTLDILSSTTSGDHRRRRPCTAVRVTNASLADVFLVPFFASLSYNRQSKSPHGGHGSGGRSDRQLQGELVRYLARREEWRRWGGADHLVVPHHPNSMMDARRRLSAAMFVLSDFGRYPPDVANLRKDVIAPYKHVVPSLGDGDSPGFEQRPVLAYFQGAIHRKNGGRVRQRLYQLIKDEKDVHFTYGSVRQNGIRRATKGMASSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPFEDVLDYSAFCVFVRASDAVKRGFLLHLLRGISQEEWTAMWRRLKEVAHHFEYQYPSQPGDAVQMIWGAVARKMHLVKLQLHKRGRYQRTLSES >ORUFI08G17870.1 pep chromosome:OR_W1943:8:19197871:19199775:-1 gene:ORUFI08G17870 transcript:ORUFI08G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGQALGVGVAAECVSVGNGDRGGGRRMELLAVAVEAEECADDEEKEVVAAERNALAGSTPAAEANRRARAASLCGGNGGGGRRRRRLIAVVPLEIEQKVYGLKGISLAFGREEDEVPEKKPRVLAQSNAANLNNKGGYSASPSSADPNRMSERRVRRGSDPIHNRY >ORUFI08G17870.2 pep chromosome:OR_W1943:8:19198395:19199775:-1 gene:ORUFI08G17870 transcript:ORUFI08G17870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGQALGVGVAAECVSVGNGDRGGGRRMELLAVAVEAEECADDEEKEVVAAERNALAGSTPAAEANRRARAASLCGGNGGGGRRRRRLIAVVPLEIEDRD >ORUFI08G17880.1 pep chromosome:OR_W1943:8:19200640:19201477:-1 gene:ORUFI08G17880 transcript:ORUFI08G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRPGEAVAVHWLRHAMFDAAGDDGAAGGGHGRFMDRNKKFSFLSEIMLLQYELTCLARLNFDDERINSEEASTFMVRSHEDVQVQNLPSVRLHRPPTPRPSAGVGGRVRLWRGGGDR >ORUFI08G17890.1 pep chromosome:OR_W1943:8:19203516:19208315:-1 gene:ORUFI08G17890 transcript:ORUFI08G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATCRIRLAQSPASFDKRMGLCDSVHCRCGTVAVELAHQLADWVAPVQQRHLTGQIAGGELLLLRILLDDNHFELVHILIKMAVSLKSSGSFCSTPPQWLHSTRDRILYGYSHSNAKECTCKKTKRPAPLCVKATSTKVELDFNDPSWKQKFQEDWDKRFNLPRITDIYDLKPRPTTFSLKKNRSPAGDENGTPMDKWNGYVNSDDRALLKVIKYSSPKSAGAECIDPDCSWVEQRVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRHLVENINLAGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHEECRKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFTLDGEYGVLRHLEHLLKTKGFCVVCVAEAAGQELLQKSGATDASGNVILSDIGVHMQQKIKMHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYVYLPITEVITVPKRVNPNSRMWHRCLTSTGQPDFH >ORUFI08G17890.2 pep chromosome:OR_W1943:8:19203516:19208802:-1 gene:ORUFI08G17890 transcript:ORUFI08G17890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSPASLCPPGPTTTPPLRRPPRARCVEGRGAASEYHSAATTRSLCSTPFPHRSPVLRPRRRIPASPSLLDDNHFELVHILIKMAVSLKSSGSFCSTPPQWLHSTRDRILYGYSHSNAKECTCKKTKRPAPLCVKATSTKVELDFNDPSWKQKFQEDWDKRFNLPRITDIYDLKPRPTTFSLKKNRSPAGDENGTPMDKWNGYVNSDDRALLKVIKYSSPKSAGAECIDPDCSWVEQRVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRHLVENINLAGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHEECRKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFTLDGEYGVLRHLEHLLKTKGFCVVCVAEAAGQELLQKSGATDASGNVILSDIGVHMQQKIKMHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYVYLPITEVITVPKRVNPNSRMWHRCLTSTGQPDFH >ORUFI08G17900.1 pep chromosome:OR_W1943:8:19216005:19232925:1 gene:ORUFI08G17900 transcript:ORUFI08G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITLKPPATAAAAGGEVSEVELSEAGSPDAGSQSSGSGGSGRSTAGSSGWVYHLGVNSIGHEYRHLRFLVIRGKTVAMYKRDPSKNPGIQPIRKGVVSHTLMVEELGRRITSHGELYVLRFYNRLDQTKKGEIACGDPGEARKWVEAFEQAKQQADYDLMTRGVSWNRSQNENELNLDGHRPRVRRYAQGLGKLVRIGKGPEKLLRQSSNLQSHEIINTNFGGDSGDAFEAHEWRYVRTFNGIRIFEDIANTKGGKGVLLKSVGVVGANPDTVFAVVLSSDKHKRYEWDMLTADLELVEIIDGYYDVIPACHKNKPPRHGYEPSTWELRRLNPPGSSTPKCLVTHMLEMSPSFWDRWKRRHNENFDRSIAFALLSQVAGLREYFAANPALTSDLPSTVVKPKQSDSLIIQSELEDSELNDEFYDALARGESFEDEDSDDDDDMIPKAGKVKFKNISWAIAGLAMKPTKASVEKSELVTNSTPVTIDSNHFHGTLRRAKSENDPNSWSEPGGEKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKADERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTGLVVDLAILIEAKEEKELPEYILGTVRLNRANPDSAVPI >ORUFI08G17900.2 pep chromosome:OR_W1943:8:19216005:19232826:1 gene:ORUFI08G17900 transcript:ORUFI08G17900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATITLKPPATAAAAGGEVSEVELSEAGSPDAGSQSSGSGGSGRSTAGSSGWVYHLGVNSIGHEYRHLRFLVIRGKTVAMYKRDPSKNPGIQPIRKGVVSHTLMVEELGRRITSHGELYVLRFYNRLDQTKKGEIACGDPGEARKWVEAFEQAKQQADYDLMTRGVSWNRSQNENELNLDGHRPRVRRYAQGLGKLVRIGKGPEKLLRQSSNLQSHEIINTNFGGDSGDAFEAHEWRYVRTFNGIRIFEDIANTKGGKGVLLKSVGVVGANPDTVFAVVLSSDKHKRYEWDMLTADLELVEIIDGYYDVIPACHKNKPPRHGYEPSTWELRRLNPPGSSTPKCLVTHMLEMSPSFWDRWKRRHNENFDRSIAFALLSQVAGLREYFAANPALTSDLPSTVVKPKQSDSLIIQSELEDSELNDEFYDALARGESFEDEDSDDDDDMIPKAGKVKFKNISWAIAGLAMKPTKGHNRKHKRHFNSSSTASVEKSELVTNSTPVTIDSNHFHGTLRRAKSENDPNSWSEPGGEKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKADERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTGLVVDLAILIEAKEEKELPEYILGTVRLNRANPDSAVPI >ORUFI08G17900.3 pep chromosome:OR_W1943:8:19216005:19232826:1 gene:ORUFI08G17900 transcript:ORUFI08G17900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATITLKPPATAAAAGGEVSEVELSEAGSPDAGSQSSGSGGSGRSTAGSSGWVYHLGVNSIGHEYRHLRFLVIRGKTVAMYKRDPSKNPGIQPIRKGVVSHTLMVEELGRRITSHGELYVLRFYNRLDQTKKGEIACGDPGEARKWVEAFEQAKQQADYDLMTRGVSWNRSQNENELNLDGHRPRVRRYAQGLGKLVRIGKGPEKLLRQSSNLQSHEIINTNFGGDSGDAFEAHEWRYVRTFNGIRIFEDIANTKGGKGVLLKSVGVVGANPDTVFAVVLSSDKHKRYEWDMLTADLELVEIIDGYYDVIPACHKNKPPRHGYEPSTWELRRLNPPGSSTPKCLVTHMLEMSPSFWDRWKRRHNENFDRSIAFALLSQVAGLREYFAANPALTSDLPSTVVKPKQSDSLIIQSELEDSELNDEFYDALARGESFEDEDSDDDDDMIPKAGKVKFKNISWAIAGLAMKPTKASVEKSELVTNSTPVTIDSNHFHGTLRRAKSENDPNSWSEPGGEKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKADERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTGLVVDLAILIEAKEEKELPEYILGTVRLNRANPDSAVPI >ORUFI08G17900.4 pep chromosome:OR_W1943:8:19216005:19231677:1 gene:ORUFI08G17900 transcript:ORUFI08G17900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATITLKPPATAAAAGGEVSEVELSEAGSPDAGSQSSGSGGSGRSTAGSSGWVYHLGVNSIGHEYRHLRFLVIRGKTVAMYKRDPSKNPGIQPIRKGVVSHTLMVEELGRRITSHGELYVLRFYNRLDQTKKGEIACGDPGEARKWVEAFEQAKQQADYDLMTRGVSWNRSQNENELNLDGHRPRVRRYAQGLGKLVRIGKGPEKLLRQSSNLQSHEIINTNFGGDSGDAFEAHEWRYVRTFNGIRIFEDIANTKGGKGVLLKSVGVVGANPDTVFAVVLSSDKHKRYEWDMLTADLELVEIIDGYYDVIPACHKNKPPRHGYEPSTWELRRLNPPGSSTPKCLVTHMLEMSPSFWDRWKRRHNENFDRSIAFALLSQVAGLREYFAANPALTSDLPSTVVKPKQSDSLIIQSELEDSELNDEFYDALARGESFEDEDSDDDDDMIPKAGKVKFKNISWAIAGLAMKPTKGHNRKHKRHFNSSSTASVEKSELVTNSTPVTIDSNHFHGTLRRAKSENDPNSWSEPGGEKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKADERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTGLVVDLAILIEAKEEKELPEYILGTVRLNRANPDSAVPI >ORUFI08G17900.5 pep chromosome:OR_W1943:8:19216005:19231677:1 gene:ORUFI08G17900 transcript:ORUFI08G17900.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATITLKPPATAAAAGGEVSEVELSEAGSPDAGSQSSGSGGSGRSTAGSSGWVYHLGVNSIGHEYRHLRFLVIRGKTVAMYKRDPSKNPGIQPIRKGVVSHTLMVEELGRRITSHGELYVLRFYNRLDQTKKGEIACGDPGEARKWVEAFEQAKQQADYDLMTRGVSWNRSQNENELNLDGHRPRVRRYAQGLGKLVRIGKGPEKLLRQSSNLQSHEIINTNFGGDSGDAFEAHEWRYVRTFNGIRIFEDIANTKGGKGVLLKSVGVVGANPDTVFAVVLSSDKHKRYEWDMLTADLELVEIIDGYYDVIPACHKNKPPRHGYEPSTWELRRLNPPGSSTPKCLVTHMLEMSPSFWDRWKRRHNENFDRSIAFALLSQVAGLREYFAANPALTSDLPSTVVKPKQSDSLIIQSELEDSELNDEFYDALARGESFEDEDSDDDDDMIPKAGKVKFKNISWAIAGLAMKPTKASVEKSELVTNSTPVTIDSNHFHGTLRRAKSENDPNSWSEPGGEKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKADERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTGLVVDLAILIEAKEEKELPEYILGTVRLNRANPDSAVPI >ORUFI08G17910.1 pep chromosome:OR_W1943:8:19233971:19239388:1 gene:ORUFI08G17910 transcript:ORUFI08G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSKKSSSSAAAATAGDVQVNEKQNRKRKGVSTNLTSRKAQCGTTRGVSKEIERIDQLFYTYADSSSGMIDPEGIETLCSHLEVPHTDVRILMLAWKMGCEKQGYFTLDEWRSGLKALRADTINKLKKAFPELVQEVTRPSNFQDFYPYAFRYCLTEDKKKCIEIPVACELLNLVLGLQFRPQVDKLVNYLKINFPSLDNYDSDLAWPLILDNFVEWLRENKS >ORUFI08G17910.2 pep chromosome:OR_W1943:8:19233971:19239509:1 gene:ORUFI08G17910 transcript:ORUFI08G17910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSKKSSSSAAAATAGDVQVNEKQNRKRKGVSTNLTSRKAQCGTTRGVSKEIERIDQLFYTYADSSSGMIDPEGIETLCSHLEVPHTDVRILMLAWKMGCEKQGYFTLDEWRSGLKALRADTINKLKKAFPELVQEVTRPSNFQDFYPYAFRYCLTEDKKKCIEIPVACELLNLVLGLQFRPQVDKLVNYLKINFPSLDNYDSDLAWPLILDNFVEWLRENKS >ORUFI08G17910.3 pep chromosome:OR_W1943:8:19233971:19239388:1 gene:ORUFI08G17910 transcript:ORUFI08G17910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSKKSSSSAAAATAGTTRGVSKEIERIDQLFYTYADSSSGMIDPEGIETLCSHLEVPHTDVRILMLAWKMGCEKQGYFTLDEWRSGLKALRADTINKLKKAFPELVQEVTRPSNFQDFYPYAFRYCLTEDKKKCIEIPVACELLNLVLGLQFRPQVDKLVNYLKINFPSLDNYDSDLAWPLILDNFVEWLRENKS >ORUFI08G17910.4 pep chromosome:OR_W1943:8:19234379:19239509:1 gene:ORUFI08G17910 transcript:ORUFI08G17910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPEGIETLCSHLEVPHTDVRILMLAWKMGCEKQGYFTLDEWRSGLKALRADTINKLKKAFPELVQEVTRPSNFQDFYPYAFRYCLTEDKKKCIEIPVACELLNLVLGLQFRPQVDKLVNYLKINFPSLDNYDSDLAWPLILDNFVEWLRENKS >ORUFI08G17920.1 pep chromosome:OR_W1943:8:19260148:19260414:-1 gene:ORUFI08G17920 transcript:ORUFI08G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAARHVDSRGRGCRRRAWRGAWRQRTLPPATSLVWGVVAKDAAADDDLRREAWTTEDAAAGDDLRHGAWRVGLPVMTPQCSAPDT >ORUFI08G17930.1 pep chromosome:OR_W1943:8:19271011:19272225:1 gene:ORUFI08G17930 transcript:ORUFI08G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAIAACFRCAPSAAAAASAASGPAGPSLATSVYETHLGLAALSWTRTSLGLSLRAVLRLSSPATAASVGTCFDEDADEETLAFRVRPWLLWRRRGTRRFRAAGDRRVDLAWDLTRARFPGSGSPEPSSGFFVAVVVDGEMVLAAGDLSDAAYRRTRARRPAGPRPVLLSRREHVAMRDAGRGGRGHRSWVTVRGKEREISVDLVSRGRGRDTGSSGSSSREKDRAEVGLSVSIDGERVLHVRRLRWKFRGSERVDLGGGDRVQLSWDLHNWLFPQREPPTTDAAAHAHAVFVFRFDLGGGGEEASEHAKALCDKAPRRDAAGILRGYFARWGQKDWSETGSERRKRGRGRRLAKASSSSSASVASSTASWASGSTVMDWASPEEAEMQRGDGFSLLIYAWKS >ORUFI08G17940.1 pep chromosome:OR_W1943:8:19275228:19277044:1 gene:ORUFI08G17940 transcript:ORUFI08G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLRLRAHAAGLSQRLAPRLLPSRPYISDMRRSAFSDRLLRSLRSEISSRRAPSPPPSAAPFAVDDRPGEQWIRLRRAFGGDDDDEKEEVRVDATMVDGATAPTRSGEVAGAGPDDAAGPQLRMHISVNVEVTKAARRDLALTFECSAWPDEMEVERVYPVRRGGPAAAQQYMGRQFSELDDEMQSTVHDYLEHRGVNDELAAFLHSYMENKEQTELVRWFKNVECFIKK >ORUFI08G17950.1 pep chromosome:OR_W1943:8:19277802:19280705:-1 gene:ORUFI08G17950 transcript:ORUFI08G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSWDALRKQARRLEAQLDDQMSAYRKLISMKSDGSENDIESDIERSLKQLQQVNSQMQTWVSSGGSEVLSHTLTRHMEILQDLTQEFYRLRSSLRAKQQHASLLDLRDFDRAKFDVEEGADSDQALLKEQAAISRSTGQMDTVISQAQATLGTLMLQRSTFGGITTKISNVSSRLPTINQILSSIKRKKSMDTIILSLVASVCAFLIFIYWMSK >ORUFI08G17960.1 pep chromosome:OR_W1943:8:19285086:19286639:1 gene:ORUFI08G17960 transcript:ORUFI08G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVRNLDLERYMGRWYEIACFPSRFQPRDGTNTRATYTLAGDGAVKVLNETWTDGRRGHIEGTAYRADPVSDEAKLKVKFYVPPFLPIFPVVGDYWVLHVDDAYSYALVGQPSLNYLWILCRQPHMDEEVYGQLVERAKEEGYDVSKLKKTAHPDPPPETEQSAGDRGVWWIKSLFGR >ORUFI08G17960.2 pep chromosome:OR_W1943:8:19285086:19286209:1 gene:ORUFI08G17960 transcript:ORUFI08G17960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVRNLDLERYMGRWYEIACFPSRFQPRDGTNTRATYTLAGDGAVKVLNETWTDGRRGHIEGTAYRADPVSDEAKLKVKFYVPPFLPIFPVVGDYWVLHVDDAYSYALVGQPSLNYLWILCRQPHMDEEVYGQLVERAKEEGYDVSKLKKTAHPDPPPETEQSAGDRGVWWIKSLFGR >ORUFI08G17970.1 pep chromosome:OR_W1943:8:19290187:19296464:1 gene:ORUFI08G17970 transcript:ORUFI08G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRAATASAARQVTKRNFAEAVQDLAAHVEACDYVAIAAQRTGAPTGWRRALPVDTPETAYLKAKLAAESFQPLHFAICPFRVDATSPSTLVAYPYNFHLFPRDELQLGMPAYSFSCQSSYLSSMAHSGFDFNMCIYDGSLVNTLRQLILGSESYGSRPSFSIDVCSDRQVQLVLEAANHISDDLVPLVVPDKAGTARAVRVIFTSSPEDKNLLLMDIKKMEDEHNLKFRGFREVIDLLSSSQKPIISYNFLNDFTMIHSKFVAPLPPNLHEFMCSLRMVFSNVIDISHMWREIGPLRKAKNIQGALSYLQRQYFVPMDVEIPQQDGNNSVTKSGENVLRITKLFAKLSNLLKISPNGQTHSGDKCHTVEEYSYILYPSCTAEESEDDKSSNESNTTRSVRTDNVVFLWGFRETSVKELRSRLASLHHAFSKDFELRLLDNSCSALIFHSSDTAIDLLREINSESPSLNNFFSEGLKAAGFDAYRKVCRSGLWDSDLAEALDGVSSEPSTSTLSGRGTSEICWNTSLMLDLKEYLER >ORUFI08G17970.2 pep chromosome:OR_W1943:8:19290187:19296522:1 gene:ORUFI08G17970 transcript:ORUFI08G17970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRAATASAARQVTKRNFAEAVQDLAAHVEACDYVAIAAQRTGAPTGWRRALPVDTPETAYLKAKLAAESFQPLHFAICPFRVDATSPSTLVAYPYNFHLFPRDELQLGMPAYSFSCQSSYLSSMAHSGFDFNMCIYDGSLVNTLRQLILGSESYGSRPSFSIDVCSDRQVQLVLEAANHISDDLVPLVVPDKAGTARAVRVIFTSSPEDKNLLLMDIKKMEDEHNLKFRGFREVIDLLSSSQKPIISYNFLNDFTMIHSKFVAPLPPNLHEFMCSLRMVFSNVIDISHMWREIGPLRKAKNIQGALSYLQRQYFVPMDVEIPQQDGNNSVTKSGENVLRITKLFAKLSNLLKISPNGQTHSGDKCHTVEEYSYILYPSCTAEESEDDKSSNESNTTRSVRTDNVVFLWGFRETSVKELRSRLASLHHAFSKDFELRLLDNSCSALIFHSSDTAIDLLREINSESPSLNNFFSEGLKAAGFDAYRKVCRSGLWDSDLAEALDGVSSEPSTSTLSGRGTSEICWNTSLMLDLKEYLER >ORUFI08G17980.1 pep chromosome:OR_W1943:8:19298242:19299108:1 gene:ORUFI08G17980 transcript:ORUFI08G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAACVESPDEGVEIREVWAGNLEAEIAAIRDEVDRYPYVAMDTEFPGIVCRPVGNFRTTDEFNYANLEANVNMLKLIQLGLTLSDEGGDLPRRGTGGRRCIWQFNFRGFDPRTDPSNADSIQMLRTCGIDFDRFAAEGADPIRFAELLMSSGVVLNADVQWITFHSGYDFGYLLRLLTGRNLPDNMPAFFDLIRIYFPVLYDIKHLMRFCSNLHGGLSRLGELLDVKRVGTCHQAGSDSLLTLGCYNKIKEVYFKGSTEKHAGVLYGLVIEDGVNRPPPTQPNE >ORUFI08G17990.1 pep chromosome:OR_W1943:8:19304341:19307922:-1 gene:ORUFI08G17990 transcript:ORUFI08G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFFLDTGKESGEDGFMVYGFALPQVLQSSVGETNILIGCRVWLHEVDATSLDGGQVIGFHSETPDLADKEGAVVKASDQDKHLVHGVHLVYQVHGFALPEVVQSWNGCSHHDDVHIAGLLNSLVAFFLDTNEDNGEDGSMVGEMHLIEDRCQIHKVAGKEVNTINGEFVVATSLTSSAWMSSLEWATLRMKGVEHAGLMGWRHLQDHDKANGHVGDEEVLFHEVDAASLDSRQVVSFDRETPDLADKEGAVVKASDRDKHLVTVSTLCTRC >ORUFI08G18000.1 pep chromosome:OR_W1943:8:19305584:19305938:1 gene:ORUFI08G18000 transcript:ORUFI08G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLVMILEMTPPHKTSMLNPFHAELIHAEEVREVATTNSPLMVFTSWFHGRCVRWDDQESEGFYKVMAYFPGNFVNLAPIFDEVHLTNHGSILSIILICVEEECDQAVQ >ORUFI08G18010.1 pep chromosome:OR_W1943:8:19307980:19308330:-1 gene:ORUFI08G18010 transcript:ORUFI08G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEASDRHNHFVHGVHLVYQVPTLELTHEEQFPGRLHDVVDIGDKLRHQG >ORUFI08G18020.1 pep chromosome:OR_W1943:8:19312245:19314845:-1 gene:ORUFI08G18020 transcript:ORUFI08G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVLALARLTGRQGGPVDRGAAAASPAAEGVEVAYGSTIKLMHEKTKHRLHSHDVPYGSGSGQQSVTGFPEVDDSNSYWIVRPSPDSSAKQGDAIETGSIIRLQHMRTRKWLHSHLHASPLSGNLEVSCFGGDGQSDTGDYWRLEIEGGGKLWKQDQKVRLRHVDTGGYLHSHNKKYNRLGGGQQEVCGVREKRAENIWLATEGVYLPVNKSK >ORUFI08G18020.2 pep chromosome:OR_W1943:8:19312245:19314777:-1 gene:ORUFI08G18020 transcript:ORUFI08G18020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKTKHRLHSHDVPYGSGSGQQSVTGFPEVDDSNSYWIVRPSPDSSAKQGDAIETGSIIRLQHMRTRKWLHSHLHASPLSGNLEVSCFGGDGQSDTGDYWRLEIEGGGKLWKQDQKVRLRHVDTGGYLHSHNKKYNRLGGGQQEVCGVREKRAENIWLATEGVYLPVNKSK >ORUFI08G18030.1 pep chromosome:OR_W1943:8:19317314:19318246:1 gene:ORUFI08G18030 transcript:ORUFI08G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGLARLLLVALAALSLLAMGGLDDHHAGLSLAALPRDGMSEPAGPAGEGVGGRVISGFMVKGCDSTSGMS >ORUFI08G18040.1 pep chromosome:OR_W1943:8:19324120:19330328:1 gene:ORUFI08G18040 transcript:ORUFI08G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGTGVFAEILEGEVYRYYADGEWRVSASGKSVAIVNPTTRLTQYRVQACTQEEVNKVMETAKVAQKAWARTPLWKRAELLHKAAAILKEHKTPIAECLVKEIAKPAKDAISEVVRSGDLVSYTAEEGVRILGEGKLLVSDSFPGNERNKYCLSSKVPLGVVLAIPPFNYPVNLAVSKIGPALIAGNALVLKPPTQGAVAALHMVHCFHLAGFPKGLINCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACVVLEDADLDLVAANIVKGGFSYSGQRCTAVKVVLIMESVADIVVEKVKAKLAKLTVGPPEADSDITPVVTESSANFIEGLVMDAKEKGATFCQEYRREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTKDINKAIMISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKVKSTVINLPSPSYTMG >ORUFI08G18050.1 pep chromosome:OR_W1943:8:19329706:19334391:-1 gene:ORUFI08G18050 transcript:ORUFI08G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGVSTPLQLPSTRRVGGCCSRPGSPAPGKNAFPRRAAGGAPAGQSCHQFLPLKQSGRLQAAVLPVTPPLLDDEEKRKQMCEDYGFKQIGEQLPDNVTLKDVMDSLPKEVFEIDDLKSWTSVLISVTSYALGIFLISKAPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFLPLIYPYEPWRFKHDRHHAKTNMLIEDTAWQPVFSKEFRTNSLLRKAMIFAYGPIRPWMSIAHWLIWHFDLKKFRPNELPRVKISLASVLAFMAIGWPLIILKSGIAGWFKFWFMPWMMSTFTMVHHTAPHIPFKTSEEWNAAQAQLNGTVHCDYPRWIEILCHDINVHVPHHISPRIPSYNLRAAYDSIKQNWGKYINEASWNWRLMKTILTKCHVYDKDRYYVPFDEVAPEESQPIKFLKKVMPDYA >ORUFI08G18050.2 pep chromosome:OR_W1943:8:19329706:19334391:-1 gene:ORUFI08G18050 transcript:ORUFI08G18050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGVSTPLQLPSTRRVGGCCSRPGSPAPGKNAFPRRAAGGAPAGQSCHQFLPLKQSGRLQAAVLPVTPPLLDDEEKRKQMCEDYGFKQIGEQLPDNVTLKDVMDSLPKEVFEIDDLKSWTSVLISVTSYALGIFLISKAPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFLPLIYPYEPWRFKHDRHHAKTNIPNELPRVKISLASVLAFMAIGWPLIILKSGIAGWFKFWFMPWMMSTFTMVHHTAPHIPFKTSEEWNAAQAQLNGTVHCDYPRWIEILCHDINVHVPHHISPRIPSYNLRAAYDSIKQNWGKYINEASWNWRLMKTILTKCHVYDKDRYYVPFDEVAPEESQPIKFLKKVMPDYA >ORUFI08G18060.1 pep chromosome:OR_W1943:8:19345498:19345727:-1 gene:ORUFI08G18060 transcript:ORUFI08G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVLDVLPVAGAAAAQLALDGGVSASCPPHRSAFPLPRTASGVGVVLLVVVVARMRMPRCDNSALVLRCACGS >ORUFI08G18070.1 pep chromosome:OR_W1943:8:19345785:19347137:1 gene:ORUFI08G18070 transcript:ORUFI08G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEGEGKKGGGAVLQGRYEMGRVLGHGNFGRVHVARDLRTGRSVAVKVVAKEKVVRAGMMEQIKREIAVMKRVSHPNIVELHEVMATRSKIYLALELVRGGELFGRIVRLGRVREDAARHYFRQLVSAVDFCHSRGVYHRDLKPENLLLDEAGNLKVVDFGLSALADHARADGLLHTLCGTPGYAAPEVLRDKGYDGAKADLWSCGVILYVLLAGSLPFPDDNIVTLYRKAQRGDYRCPAWLSTDARRLIPRLLDPNPTTRISVAQLVETPWFKKTSISRPVSIELPPAFADPAPAKEEAEKDEPETLNAFHLISLSEGFDLSPLFEGDSAKGRRDGGMLFATREPASGVISRLEGVAARGGGRMRVTKSGARGVRLEGAERGGAKGRLAVAADIFSVAPSVLVVDVKKDGGDTLEYRSFCSEELRPALQDIVWGAAADPTPTAAV >ORUFI08G18080.1 pep chromosome:OR_W1943:8:19353325:19353525:1 gene:ORUFI08G18080 transcript:ORUFI08G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKSSWPELVGVLATLAATQIGKDRPDVAVEVLPPGAPLTPDFNDKRVRVFMDDNGIVFKIPVIG >ORUFI08G18090.1 pep chromosome:OR_W1943:8:19366882:19371350:1 gene:ORUFI08G18090 transcript:ORUFI08G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSPDGDSDYGGGGGGGEAAGAVMADVLSKGREACYKARDAFYTCVEKHADKKPTEIATMGLLYPADCKKSRANFVNSCRPSWVKHFDRQYSAKKRVQRLLDGGDDRRGPISLPQPYTFKQ >ORUFI08G18090.2 pep chromosome:OR_W1943:8:19366882:19368054:1 gene:ORUFI08G18090 transcript:ORUFI08G18090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSPDGDSDYGGGGGGGEAAGAVMADVLSKGREACYKARDAFYTCVEKHADKKPTEIATMGLLYPADCKKSRANFVNSCRPSWVKHFDRQYSAKKRVQRLLDGGDDRRGPISLPQPYTFKQ >ORUFI08G18100.1 pep chromosome:OR_W1943:8:19372659:19379488:-1 gene:ORUFI08G18100 transcript:ORUFI08G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDGAVAADAGGAAAAVVQPGNGQTVCVTGAAGYIASWLVKLLLEKGYTVKGTVRNPGMHTHGRHKLLQLVHDPKNAHLKALDGAGERLVLCKADLLDYDAICRAVAGCHGVFHTASPVTDDPEQMVEPAVRGTEYVINAAAEAGTVRRVVFTSSIGAVTMDPNRGPDVVVDESCWSDLDYCKETRNWYCYGKAVAEQAAWEAARRRGVELVVVNPVLVIGPLLQPTVNASVAHILKYLDGSASKFANAVQAYVDVRDVAAAHLLVFESPSAAGRFLCAESVLHREGVVRILAKLFPEYPVPTRCSDEKNPRKQPYKMSNQKLRDLGLEFRPASQSLYETVKCLQEKGHLPVLAAEKTEEEAGEVQGGIAIRA >ORUFI08G18110.1 pep chromosome:OR_W1943:8:19389476:19391764:1 gene:ORUFI08G18110 transcript:ORUFI08G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMILSCRYLLICSATLALLLLRLCSGLSLDTVREFLTREEDTVVFGLIERAKHPRNTPAYDPGYLAGGGHGHDASFAEMFVRESEAVQAKAGRYQSLQEIPFFPFRVPFTLAPPYNFTTELYSAAALVNVNDAIWSMYFNELLPLLAKNGDDGNYAATVSSDLVCLQALSRRINYGRYVAEVKFIGDQQNYTTLIRNKDTDALMKLLTSEAQEDVVKRRVQKKAMVFGQNVTLDGPVETGNSNSSQTSFKVDPSLVYKLYDKWVIPLTKQVEVEYLLHRLD >ORUFI08G18120.1 pep chromosome:OR_W1943:8:19397505:19401410:-1 gene:ORUFI08G18120 transcript:ORUFI08G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVDNGNSLHTRAVPRRPVDPRGSDPVFFHPAPPCDGWASPIRRYKDDLLSDPTARGHSVHRAQGFEARLPPLRSARLPSPEAEPSRRSSAAEKPSRFPHHLSHHNQTLTPPTPTMEFARRATAPVDADDGCGVPHPSPRETKQRWGWGVSVQVTMDALRRELWEEGIRQEVIAAEIAEQRELEAKVQRDTGLLCDVPSRLSVSFQPVRGDTFPSPHGELWLGGPMAMPAGASMFRVPVKDRIEEWYRPPWDRTADEENASFNALYKEATRISLNDHICCLAKMRRKVSSGVKRKRGADTFQMNNKKICVPRSCDGIQEHSAGHRNEENNALESRKEAIGTKKKVETESLSVTRHYPPTWNYGICKANCSSELDLKNHLRGRRHQENLEALKREDKEMEAKVYAKEVAQFVEKNQKFVPRWSCSTCKANCTSASDLENHFRGRRHQQNVGRSSNVVMLRA >ORUFI08G18130.1 pep chromosome:OR_W1943:8:19403327:19406995:-1 gene:ORUFI08G18130 transcript:ORUFI08G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVKTEGRKAAGTVDCALRQPLVPPEKNIAAPAGRRREVASRFKSGGTPAPQAAASSVRRCTSPSLSRASAAEGTASTNRAQSAERRRSSTPSSSSTPSGGGGGAASRPRTPVRVAAATEVHGISRRAASTKPPDGLWASARSVPPLLQKESMAMATSAKKRHKLADGSSSDQTKVQARNVTETERKRSPLRGRNIGNQCENARPSETPNKRVVEQHRWPAAMMGGRGSAVLTSRSNGVANTPIRSVTPSNPTRGLSPRRICPAEVKANGLNQPLNGLAKRLATHESRREDKTESGSDVSSQTSENSKAATRPSRTLSSPVLHRSSSPNKVLSAASPASTAFQSPLRTRPSAPCRSRCCSTSQSGVAPLVFNYIVDARKGKKSASQFENIHQLRLLYNRCLQWQFVNARSEDTLTFQKSSIESILYSVWKSIVQLRDSVTVRRIDVQLLQQELKLYYVLKEQIAYLQHWPKLEGENGSTLIGAIEALQACTLRLPVTSGAQADAVAVKNSISSAVDVMQALSSSILYLLSKVEGRTSLVSELSDMARQEKVALGTGVQPPYSSDATEGRSFRMTLIIQVEETSHAFNMYRHGVKMERTYKILE >ORUFI08G18140.1 pep chromosome:OR_W1943:8:19407071:19409210:-1 gene:ORUFI08G18140 transcript:ORUFI08G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHARKSAGVRRTATARDPIAATNLRSLARGEEADEAAAAAATRRSGNGGGSSRRDPRSSRVYPGGWRRLLVDLSGDIAC >ORUFI08G18150.1 pep chromosome:OR_W1943:8:19416054:19417429:-1 gene:ORUFI08G18150 transcript:ORUFI08G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSTVFLLLSLVCLASPCAAQRVICEAPPCTTASQVKTTPTNRASHVRLPEGVTGAESLAFDSSNRGPFTGVSDGRVLKWGGDSAGWTTFAYNRNYRSNPTCASSSEETESTCGRPLGLAFHLKTGILYFADAYKGLMRVGPRGGQADVLATEADGVPFNYLNGVDVDQDTGDVYFTDSSTTITRRYQENIMRNRDATARLMKYDAKTKQVTVLKDRLPYANGVAVSHDGRYLVVAHTGPAQVFRYWLKGAKAGQYELFADLPGYPDNVRRDAKGGYWVGLNREKITFNVPAAASPAKHLVGVRLNGDGVEVEELTAASRAVTLSEVVERDRKLWLGSVDLDYVGLLQ >ORUFI08G18160.1 pep chromosome:OR_W1943:8:19418012:19424839:1 gene:ORUFI08G18160 transcript:ORUFI08G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEDTQLQKAIFAQYIMMKKLFMELEEEREASATSASAALSMIRKLQKEKEEQRMEAWQYKRIAEEKISHSDKALEILQEVLQQKELENFYLRNQLLVYKHKLLDVGIDECDIADETITNNIPLFESKTVENLCRSIKRNFSLPTLQMKKLFAEKDTDRNVESTKSRLGGFVCNLSEDELKHISGNASDFKALEVQKSLLTDGDATEEHGEEPNPPSSDLSQQPHLLEESSCSSSLLSNHRDTSSERAIQVGENAEDTRHGDQLKQSHSGIGKEEVQSHPLEESSSCSSFSTSSNHRDICSEREIQVGRNAEDAPHGDQTKESHSGIEAEEVAVHPISDIVDTIKIQERSQGVEESSCTANEIITKESELSPKDVPKERRPQALSKLSATRKVGSMNNLYRNVHVITDKSSTPRGKNSTPRAGTERTRSRLKRVQSEKMVEMNDTRKNKEQIIMLKEVYEQLNMIESHMRPSTSQETPRNDQSLESVLEAALSFSL >ORUFI08G18160.2 pep chromosome:OR_W1943:8:19417912:19424839:1 gene:ORUFI08G18160 transcript:ORUFI08G18160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEDTQLQKAIFAQYIMMKKLFMELEEEREASATSASAALSMIRKLQKEKEEQRMEAWQYKRIAEEKISHSDKALEILQEVLQQKELENFYLRNQLLVYKHKLLDVGIDECDIADETITNNIPLFESKTVENLCRSIKRNFSLPTLQMKKLFAEKDTDRNVESTKSRLGGFVCNLSEDELKHISGNASDFKALEVQKSLLTDGDATEEHGEEPNPPSSDLSQQPHLLEESSCSSSLLSNHRDTSSERAIQVGENAEDTRHGDQLKQSHSGIGKEEVQSHPLEESSSCSSFSTSSNHRDICSEREIQVGRNAEDAPHGDQTKESHSGIEAEEVAVHPISDIVDTIKIQERSQGVEESSCTANEIITKESELSPKDVPKERRPQALSKLSATRKVGSMNNLYRNVHVITDKSSTPRGKNSTPRAGTERTRSRLKRVQSEKMVEMNDTRKNKEQIIMLKEVYEQLNMIESHMRPSTSQETPRNDQSLESVLEAALSFSL >ORUFI08G18160.3 pep chromosome:OR_W1943:8:19417912:19424839:1 gene:ORUFI08G18160 transcript:ORUFI08G18160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEDTQLQKAIFAQYIMMKKLFMELEEEREASATSASAALSMIRKLQKEKEEQRMEAWQYKRIAEEKISHSDKALEILQEVLQQKELENFYLRNQLLVYKHKLLDVGIDECDIADETITNNIPLFESKTVENLCRSIKRNFSLPTLQMKKLFAEKDTDRNVESTKSRLGGFVCNLSEDELKHISGNASDFKALEVQKSLLTDGDATEEHGEEPNPPSSDLSQQPHLLEESSCSSSLLSNHRDTSSERAIQVGENAEDTRHGDQLKQSHSGIGKEEVQSHPLEESSSCSSFSTSSNHRDICSEREIQVGRNAEDAPHGDQTKESHSGIEAEEVAVHPISDIVDTIKIQERSQGVEESSCTANEIITKESELSPKDVPKERRPQALSKLSATRKVGSMNNLYRNVHVITDKSSTPRGKNSTPRAGTERTRSRLKRVQSEKMVEMNDTRKNKEQIIMLKEVYEQLNMIESHMRPSTSQETPRNDQSLESVLEAALSFSL >ORUFI08G18160.4 pep chromosome:OR_W1943:8:19421056:19424839:1 gene:ORUFI08G18160 transcript:ORUFI08G18160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEDTQLQKAIFAQYIMMKKLFMELEEEREASATSASAALSMIRKLQKEKEEQRMEAWQYKRIAEEKISHSDKALEILQEVLQQKELENFYLRNQLLVYKHKLLDVGIDECDIADETITNNIPLFESKTVENLCRSIKRNFSLPTLQMKKLFAEKDTDRNVESTKSRLGGFVCNLSEDELKHISGNASDFKALEVQKSLLTDGDATEEHGEEPNPPSSDLSQQPHLLEESSCSSSLLSNHRDTSSERAIQVGENAEDTRHGDQLKQSHSGIGKEEVQSHPLEESSSCSSFSTSSNHRDICSEREIQVGRNAEDAPHGDQTKESHSGIEAEEVAVHPISDIVDTIKIQERSQGVEESSCTANEIITKESELSPKDVPKERRPQALSKLSATRKVGSMNNLYRNVHVITDKSSTPRGKNSTPRAGTERTRSRLKRVQSEKMVEMNDTRKNKEQIIMLKEVYEQLNMIESHMRPSTSQETPRNDQSLESVLEAALSFSL >ORUFI08G18170.1 pep chromosome:OR_W1943:8:19430268:19434737:1 gene:ORUFI08G18170 transcript:ORUFI08G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRSNGETAAASSDDSSSGVCGGGGGGEVEPRRRQKRPRRSAPRDCPSQRSSAFRGVTRHRWTGRFEAHLWDKNTWNESQSKKGRQGAYDGEEAAARAYDLAALKYWGHDTVLNFPLSTYDEELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGKWEARIGRVFGNKYLYLGTYATQEEAAVAYDIAAIEHRGLNAVTNFDINLYIRWYHGSCRSSSAAAATTIEDDDFAEAIAAALQGVDEQPSSSPATTRQLQTADDDDDDLVAQLPPQLRPLARAASTSPIGLLLRSPKFKEIIEQAAAAAASSSGSSSSSSTDSPSSSSSSSLSPSPLPSPPPQQQPTVPKDDQYNVDMSSVAAARCSFPDDVQTYFGLDDDGFGYPEVDTFLFGDLGAYAAPMFQFELDV >ORUFI08G18180.1 pep chromosome:OR_W1943:8:19435122:19443336:1 gene:ORUFI08G18180 transcript:ORUFI08G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPGPAPGTSSSSSSLPSRRRRRPPPRLGRRRGGDDQQPPHPPKASSEALPCAASPPARCRGGDHQPPHPPEAALEALRGAASPPARRRGGDLHPSHPPEAASEALRGAASPPERRRGGDRQPSHPTEAASEAPSGSASPPARRRGGDQQPPPAVAVAVTSEGGVGPRRSFRISLRHRVRVVPWVKPPVARKPKDPAKPPRPSIEALAAEWAKEKAASGAPEEECVLPFLQKDAPKKLDIVKVLGLDGFGYMDTVILSLKSYRKNIPITVGNFVIVMQSCIRLVLKKVMDAVLDMMQVQQMEAFRRLPLPHTFQEFNIDPIKKEELDNGTEPPPYKIKIIPTGLYWLAALGLVTAQMSALTNHFADRKRLRSLSGILLQTQYCGWGSRALEAIEKDDFVIEFVGEVIDDETCEERLEDMRRRGDKNFYMCKVKKDFVIDATFKGNDCRFFNHSCEPNCQLQKWQVNGKTRLGVFASKAIEVGEPLTYDYRFEQHYGPEIECFCGAQNCQGNMSVSGKD >ORUFI08G18190.1 pep chromosome:OR_W1943:8:19443851:19449470:-1 gene:ORUFI08G18190 transcript:ORUFI08G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLFLLLFFLVVSYAPFLAFSSEPLNPEVEALIAIRQGLVDPHGVLNNWDEDSVDPCSWAMVTCSAHNLVIGLGAPSQGLSGTLSGRIANLTNLEQVLLQNNNITGRLPPELGALPRLQTLDLSNNRFSGRVPDTLGRLSTLRYLRLNNNSLSGAFPSSLAKIPQLSFLDLSYNNLTGPVPHFPTRTFNVVGNPMICGSSSGSHAGNANAAECATVVAPVTVPFPLDSTPSSSSSRAAAAAVGRSKGGGGAARLPIGVGTSLGASALVLLAVSCFLWRRRRRHRCLLSGPSSVLGILEKGRDVEDGGGGEVMARLGNVRQFGLRELHAATDGFSARNILGKGGFGDVYRGRLSDGTVVAVKRLKDPTASGEAQFRTEVEMISLAVHRHLLRLVGFCAAASGERLLVYPYMPNGSVASRLRGKPPLDWQTRKRIAVGTARGLLYLHEQCDPKIIHRDVKAANVLLDECHEAVVGDFGLAKLLDHGDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQRALEVGKGSGVIQHQKGVMLDWVRKVHQEKLHDLLVDQDLGPHYDRIEVAEMVQVALLCTQFQPSHRPRMSEVVRMLEGDGLAEKWEANHRPAAMAAAAAPHELGYDHRNDSNGSVFFNDFHDNDSSLSSDEVRSIDMVEEMELSGPSVMVMAQAIDFLGSRLGQWVIHEESVWYN >ORUFI08G18200.1 pep chromosome:OR_W1943:8:19452186:19452983:-1 gene:ORUFI08G18200 transcript:ORUFI08G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSDIYKVQDVNNCSFVFTTTQSVHVIAIVHATLAMIAGGRIEESQGTKHKGTQVPGGGPSIRDAIDDGESKKMKK >ORUFI08G18210.1 pep chromosome:OR_W1943:8:19457214:19457894:-1 gene:ORUFI08G18210 transcript:ORUFI08G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKAGVVLGVAVVAAAVLAAEGRAARKDLGVNLGGGLGVGGGGGLGVGTGGGLGLGSGIGVGIGGGGGGGGGGSGSGSYSGSGSGSYSGSGSGSGSGSGSGSWSGSSSGSSSRSGGGGSSAGSSAESGAGSNAGPGGAGSYAGSRAGSYAGSNGGDGGSGAGSYAGSSAGSYAGSNGGGAGSYAGSEAGSYAGSGAGPHGGSGAGSGSYAGSRAGSYAGSGHGK >ORUFI08G18220.1 pep chromosome:OR_W1943:8:19469504:19470271:-1 gene:ORUFI08G18220 transcript:ORUFI08G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLPSLLPTPPASKLPALLPTPLRSQMLPLLPTPCLIILPASFRAPPVSDPKPGRADAVERWDARKKAGGSAASSSSSSSGSAIPCRADACERWDAHKNKKAGGSAASSSTSSRASGGSPGRADSCERWDAHKTPGSPASSTGSNGSACRSDSVERWDSSKRPLSRASSAERWDINKKPRPEEDYALCSGKSNSTSRTMKTTTSAQMISKPHTETVVKPPPALLPFAGPAAYFSAPDPSMLPVPTFLLARCR >ORUFI08G18230.1 pep chromosome:OR_W1943:8:19470274:19488397:-1 gene:ORUFI08G18230 transcript:ORUFI08G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLPALRPTPPASNFPALLPPPPRSQMLPLLPTPCLIILPASFRAPPASDPKPGRADAVERWDARKEAGSSAASSSSSSSSSGLPCRADSCERWDAHKNKKAGGSAASSSSRARGGISPGRADSYERWDAHKTPGSPASSTGSSRSPCRADSVERWDSNKRPLSRASSAERWDINKKPRPKKDAVGSGKNNSTSQTTTMVKPPQPFSQFSGPAFFASPDPGMLPIPPSCWLTTMAAAVLPALRPTPPASNFPALLPTPPRSQMLPLLPTPCLIILPASFRAPPASNPKPGRADAVERWDAHKKPGYSVASSSSSSSEIPCRADACERWDANKNKKAGGSAASSSTSKASGGNPGRADSCERWDAHKTPGSPASSTGSTGSPCRADSVERWDSSKRPLSRASSTAERWDINKKPRPAVGSGKSNSTSRTMKTTTSAQMISKSQTETMVKAPLALLPFSGPAYFSAPDPSMLPCPPSCWLASYS >ORUFI08G18240.1 pep chromosome:OR_W1943:8:19490055:19490888:1 gene:ORUFI08G18240 transcript:ORUFI08G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLSNNVIGALNLVTLLLSAPILGGGIWMATRGDGGECDRHLSSPAIALGAVLMAVSLAGLVGACCRVTWLLWVYLLAMFALIVALLGFTAFAFAVTNRGAGEAVSGRGYREYRLGDYSTWLRRHVGSSKNWDKIRSCLAGADVCRSLQDRNETWAQFVADDLSPVQSGCCKPPTSCNFTYGGGTRWGKTARLSSADPDCDEWSNDADEVCYGCRSCKAGVVAALKRDWKRVAIVNVVFLAFIVVVYSVGCCAFKNSRRDSVHRRSGGWKQAGYA >ORUFI08G18250.1 pep chromosome:OR_W1943:8:19494231:19494830:-1 gene:ORUFI08G18250 transcript:ORUFI08G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWVLCVGARLGDLGEPEAERGEDEDVAGLDAREQAVGVHDAEGEHGQGELLPLHADEELLPLLHATTGAIGANNGKAGGEEDVLEEVWKAWCRREARRWPSSWRGEGVRWRMRRERRSGVSWGRKREREAGGGRRHGGEVAMEGGYEEEVRSSPASGGRVKCGSSSVGVGAGIANGDGLEVSREAGCHHQRTRWGGR >ORUFI08G18260.1 pep chromosome:OR_W1943:8:19495290:19499249:-1 gene:ORUFI08G18260 transcript:ORUFI08G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPTAHRFLVRLASQSSQRRPAKAAAHSQEKPSSGGAPRTAHGAPLPPLLPSPPAAEGAGARRRPIPNSGPPSGSLPTFEGSQLSCPGSRPNPSAIMQASDRFNINSQLEHLQAKYVGTGHADLNRLKCYFRVVCLLRETKIEVAFGSGVQCYCNYWNLGFSISLISKARSN >ORUFI08G18270.1 pep chromosome:OR_W1943:8:19500917:19501687:1 gene:ORUFI08G18270 transcript:ORUFI08G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVFPALRPTPPASKLPALLPTPPRSQMLPLLPTPCLVILPASFFRAPTPPPSDPKPGRADSVERWDARKKASCSSASSSSSSSSSGLPCRADSCERWDAHKNKKAGGSAASSSSRASSGSPGRADSCERWDAHKTPDSPASSTGSNGIPGRSDSVERWESSKRPLSRASSAERWDINKKPRPEEDYALCSGKSNSTSRTMNATTSAQMISKPQTEPMVKPPPALLPFAGPAAYFSAPDPSMLPMPTFLLARCR >ORUFI08G18280.1 pep chromosome:OR_W1943:8:19503404:19509231:1 gene:ORUFI08G18280 transcript:ORUFI08G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTSNLLEPSDGRPHHAGTTRWQEGSKQENVLSHLPATHPSFLAEAQLSRGIWSLELRPASPMLDMMCGAYLELTELAVDLSKKVGDQEERVVEEEANRIWRRAQKKIVTGGIWSRGPIPLGRRPKLTVRFHVFSARGFVARHVSPSKFWKPPERATLIPSSFLVSSSALPDCHLPRFPSLAVASTQIRKTLGQRTGIRNTARNSGARATRSTSMEKKELLGVRKSPPLTKRRRKVTAGGAGGGSMAKAIAAYLASDSFMYAPLVSNSPPPPPPPSSPPAGAGSSDKMVALVQKYRGSWRGALAFNIEESEHQCRQRLAAWLARCATSDCHLYSCCDQEMLMINRVQANAEHMLLQPEPCLRWIVQIVEPHKQQAQLLTHGWAPGAAKKAIPLFASFA >ORUFI08G18290.1 pep chromosome:OR_W1943:8:19513512:19513793:-1 gene:ORUFI08G18290 transcript:ORUFI08G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKSMVAVGRSNGRSSGGGMVSLRRRRPFQLMVLRRLRELKKIVPATSRRSSGGRGGGGADVDALLHRAAEYICALEVKVAVLRKLSAIYGA >ORUFI08G18300.1 pep chromosome:OR_W1943:8:19552862:19554364:1 gene:ORUFI08G18300 transcript:ORUFI08G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYTMHAHINYTALPPTSPLQLPLPYLPPPPPPPQPPLLQLQPPPPSSPVSFDTAFQSRISPSILLIILILAVIFFVSGLLHLLVRFILRPAPRDAGDADSGDANVTAFQGQLQQLFHLHDAGVDQSFIDTLPVFLYGAVVGAGRKDPFDCAVCLCEFADDDRLRLLPKCSHAFHVDCIDTWLLSHSTCPLCRRSLLADFSPYGGGCSPLLFVLESGSEGSISDRLDAASSAHLSLVMEQEEEAEPEQKHAEAAEKADEVVVSVKLGKFRSQATEVAAAGGGGGSSSANPEQDVRRCYSMGTYEYVMDERSLLRVAVKPPAKKRPTTQMPGHRVAMSECDCHSKRESFRGLDALPGGKLAQAQPPKPPAKVGKKESFSFSKIWMRGAPRIRKDGASSRRASSFRLSSVLQRAASDVGATAAPKPLRPDVVSPVTESEYNVSAWDKSEKSASGSVADWDLESAAATAAVPGAGAGNGLSSRADEAPSFARRTLLWIRGHL >ORUFI08G18310.1 pep chromosome:OR_W1943:8:19561830:19563294:1 gene:ORUFI08G18310 transcript:ORUFI08G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLFLFWGCLSFVDFFFDRHRPRRELQRARRGVRDDGGDAAHRGLGRAGHAVGVVVNVVCGANIDEAELVRALAEGRVAGVGLEVFEDEPNVPPELRAMDNVVLRRLAAPPRPSPALPAATRPAPSAGERKGIERRGKREDRQREGGVRMTGGAHVGPTIFFYYYFVRGNDMWVPWVLLFFRMDCHVSATSDEDRVKLAT >ORUFI08G18320.1 pep chromosome:OR_W1943:8:19568382:19574916:1 gene:ORUFI08G18320 transcript:ORUFI08G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRQARGSLELELELTPKLDQYLGSLELGSTSCQAQAYIVKLARAQLVDSPTCMATGSKAVKTRFGREAPAVSLVCPRGAAKANK >ORUFI08G18330.1 pep chromosome:OR_W1943:8:19574939:19579279:1 gene:ORUFI08G18330 transcript:ORUFI08G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPNSGDEGGAPPPTPPPPGARRVVVAHRLPLRADPNPGAPHGFDFSLDPHALPLQLSHGVPRPVVFVGVLPSAVAEAVQASDELAADLLARFSCYPVFLPAKLHADFYDGFCKHYMWPHLHYLLPLAPSYGSGGGLPFNGDLYRAFLTVNTHFAERVFELLNPDEDLVFVHDYHLWAFPTFLRHKSPRARIGFFLHSPFPSSELFRAIPVREDLLRALLNADLVGFHTFDYARHFLSACSRVLGLSNRSRRGYIGIEYFGRTVVVKILSVGIDMGQLRAVLPLPETVAKANEIADKYRGRQLMLGVDDMDLFKGIGLKLLAMERLLESRADLRGQVVLVQINNPARSLGRDVDEVRAEVLAIRDRINARFGWAGYEPVVVIDGAMPMHDKVAFYTSADICIVNAVRDGLNRIPYFYTVCRQEGPVPTAPAGKPRQSAIIVSEFVGCSPSLSGAIRVNPWNVDDVADAMNTALRMSDGEKQLRQEKHYRYVSTHDVVYWAQSFDQDLQKACKDNSSMVILNFGLGMGFRVVALGPSFKKLSPELIDQAYRQTGNRLILLDYDGTVMPQGLINKAPSEEVIRTLNELCSDPMNTVFVVSGRGKDELAEWFAPCDEKLGISAEHGYFTRWSRDSPWESCKLVTHFNWKNIAGPVMKHYSDATDGSYIEVKETSLVWHYEEADPDFGSCQAKELQDHLQNVLANEPVFVKSGHQIVEVNPQGVGKGVAVRNLISTMGNRGSLPDFILCVGDDRSDEDMFEAMISPSPAFPETAQIFPCTVGNKPSLAKYYLDDPADVVKMLQGLTDSPTQQQPRPPVSFENSLDD >ORUFI08G18340.1 pep chromosome:OR_W1943:8:19602544:19604374:-1 gene:ORUFI08G18340 transcript:ORUFI08G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLPLDPINGPTPPRWYMNGGRQAPKQIRLERNPLERILQALEPNSVPSATVCPSLSFARSPTPAALRNCTDSHRRFRFA >ORUFI08G18350.1 pep chromosome:OR_W1943:8:19610077:19610980:1 gene:ORUFI08G18350 transcript:ORUFI08G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSSAVLEAEQSEARAKEVGRRRSGVSGGGRARRGGRGGSLRQQSDGGPAQAEKNQSPNRQQARGAAAVWNPQIHEGEHLGFHISGIMVESYELDSNSVHFSGSQIELIPMANVQPIYGLLLAHDIWAGTGICTYRNSGILHGIGEFG >ORUFI08G18360.1 pep chromosome:OR_W1943:8:19611416:19620970:-1 gene:ORUFI08G18360 transcript:ORUFI08G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLGAIAASSLPYSRVLPFLPPWTANSAIRAVLASIFALFWHKMRLVVWHWFFFFFFTSVSSSWNGLALLSLSRDLILPHSISSTWKASDTTPCNWDGVSCNKKNSVVSLDLSSSGVSGSLGPQIGLMKSLQVLSLSNNSISGSIPQELGNCSMLDQLDLSSNSFSGEIPASLGDIKKLSSLSLYSNSLTGEIPEGLFKNQFLEQVYLHYNKLSGSIPLTVGEMTSLRYLWLHGNKLSGVLPDSIGNCTKLEELYLLDNQLSGSLPKTLSYIKGLKIFDITANSFTGEITFSFEDCKLEISNEIPSWLGNCSSLTQLAFVNNNISGQIPSSLGLLRNLSQLLLSENSLSGPIPPEIGNCQLLVWLELDANQLNGTVPKELANLRKLEKLFLFENRLIGEFPEDIWSIKSLQSVLIYENSFTGRLPPVLAELKFLKNITLFNNFFTGVIPPDLGVNSRLTQIDFTNNSFVGGIPPNICSGKRLRILDLGLNLLNGSIPSNVMDCPSLERFILQNNNLSGPIPQFRNCANLSYIDLSHNSLSGNIPASLGRCVNITMIKWSENKLVGPIPSEIRDLVNLRVLNLSQNSLQGVLPVQISSCSKLYLLDLSFNSLNGSALTTVSNLKFLSQLRLQENKFSGGIPDSLSQLDMLIELQLGGNVLGGSIPSSLGRLVKLGIALNICSNGLVGGIPPLLSNLVELQSLDLSLNGLTGDLDMLGNLQLLHVLNVSYNRFSGPVPENLLNFLVSSPSSFNGNPDLCISCHTNGSYCKGSNVLKPCGETKKLHKHVKIAVIVIGSLFVGAVSILILSCILLKFYHPKTKNLESVSTLFEGSSSKLNEVIEATENFDDKYIIGTGAHGTVYKATLRSGEVYAVKKLAISAQKGSYKSMIRELKTLGKIKHRNLIKLKEFWLRSEYGFMLYVYMEQGSLQDVLHGIQPPPSLDWSVRYTIALGTAHGLAYLHDDCQPAIIHRDIKPSNILLNGDMVPHIADFGIAKLMDQSSSAPQTTGVIGTFGYMAPELAFSTRSSIESDVYSYGVILLELLTKKQAVDPSFPDNMDIVGWVTATLNGTDQIELVCDSTLMEEVYGTVEIEEVSKVLSLALRCAAKEASRRPPMADVVKELTDVRKSAGKLSKPEKTASRSSS >ORUFI08G18370.1 pep chromosome:OR_W1943:8:19616616:19618083:1 gene:ORUFI08G18370 transcript:ORUFI08G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAVHGGRNGSTREYGRDEAAIAPTAFTGETVVIVHFLSTGSGYRISFSRRPTQGRLGSRRSGHPFPPPSSFSASPSPERPPTKPRSRKDGGGGASRQLAWAARGVTLGGGDDNHQICVASAGSSGVAAGSGEGIGKEGNGDGGRDGDGDGSLGNRRRRWRRPRIRWQGTRIWHLCAGSARWRRGRAGVAWCGWQEDGATMGDGGDGPARCGGVAWETCGDDGDSGQPTRGATAEAVCVEAQLVTPEADKVRPVTAAVRGGEAEVPVRHDKACRCGDSGEALVEASSMIRDRW >ORUFI08G18380.1 pep chromosome:OR_W1943:8:19621007:19625871:-1 gene:ORUFI08G18380 transcript:ORUFI08G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVGCLVQLGPIVFLSADGLALLDLAKTLILPSSISSNWSADDATPCTWKGVDCDEMSNVVSLNLSYSGLSGSLGPQIGLMKHLKVIDLSGNGISGPMPSSIGNCTKLEVLHLLRNRLSGILPDTLSNIEALRVFDLSRNSFTGEVNFRFENCKLEEFILSFNYLRGEIPVWIGNCSSLTQLAFVNNSITGQIPSSIGLLRNLSYLVLSQNSLSGTIPPEIGNCQLLIWLHLDANQLEGTIPKELANLRNLQKLYLFENCLTGEFPEDIWGIQSLLSVDIYKNNFTGQLPIVLAEMKQLQQIALFNNSFTGVIPQGLGVNSSLSVIDFINNSFVGTIPPKICSGGRLEVLNLGSNLLNGSIPSGIADCPTLRRVILNQNNLIGSIPQFVNCSSLNYIDLSYNLLSGDIPASLSKCINVTFVNWSWNKLAGLIPSEIGNLGNLSSLNLSGNRLYGELPVEISGCSKLYKLDLSYNSLNGSALTTVSSLKFLSQLRLQENKFSGGIPDSLSQLDMLIELQLGGNILGGSIPSSLGKLVKLGIALNLSRNGLVGDIPPLGNLVELQSLDLSFNNLTGGLASLGNLQFLYFLNVSYNMFSGPVPKNLVRFLNSTPSSFSGNADLCISCHENDSSCTGSNVLRPCGSMSKKSALTPLKVAMIVLGSVFAGAFLILCVLLKYNFKPKINSDLGILFQGSSSKLNEAVEVTENFNNKYIIGSGAHGIVYKAVLRSGEVYAVKKLVHAAHKGSNASMIRELRTLGQIRHRNLIRLNEFLFKHEYGLILYDFMENGSLYDVLHGTEPTPTLDWSIRYSIALGTAHGLAYLHNDCHPAIIHRDIKPKNILLDNDMVPHISDFGIAKLMDQYPAALQTTGIVGTIGYMAPEMAFSTKATTEFDVYSYGVVLLELITRKMAVDSSFPGNMDIVSWVSSKLNETNQIETICDPALITEVYGTHEMEEVRKLLSLALRCTAKEASQRPSMAVVVKELTDARHVAGSYSKQNSGPSNS >ORUFI08G18380.2 pep chromosome:OR_W1943:8:19621007:19625871:-1 gene:ORUFI08G18380 transcript:ORUFI08G18380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVVSLNLSYSGLSGSLGPQIGLMKHLKVIDLSGNGISGPMPSSIGNCTKLEVLHLLRNRLSGILPDTLSNIEALRVFDLSRNSFTGEVNFRFENCKLEEFILSFNYLRGEIPVWIGNCSSLTQLAFVNNSITGQIPSSIGLLRNLSYLVLSQNSLSGTIPPEIGNCQLLIWLHLDANQLEGTIPKELANLRNLQKLYLFENCLTGEFPEDIWGIQSLLSVDIYKNNFTGQLPIVLAEMKQLQQIALFNNSFTGVIPQGLGVNSSLSVIDFINNSFVGTIPPKICSGGRLEVLNLGSNLLNGSIPSGIADCPTLRRVILNQNNLIGSIPQFVNCSSLNYIDLSYNLLSGDIPASLSKCINVTFVNWSWNKLAGLIPSEIGNLGNLSSLNLSGNRLYGELPVEISGCSKLYKLDLSYNSLNGSALTTVSSLKFLSQLRLQENKFSGGIPDSLSQLDMLIELQLGGNILGGSIPSSLGKLVKLGIALNLSRNGLVGDIPPLGNLVELQSLDLSFNNLTGGLASLGNLQFLYFLNVSYNMFSGPVPKNLVRFLNSTPSSFSGNADLCISCHENDSSCTGSNVLRPCGSMSKKSALTPLKVAMIVLGSVFAGAFLILCVLLKYNFKPKINSDLGILFQGSSSKLNEAVEVTENFNNKYIIGSGAHGIVYKAVLRSGEVYAVKKLVHAAHKGSNASMIRELRTLGQIRHRNLIRLNEFLFKHEYGLILYDFMENGSLYDVLHGTEPTPTLDWSIRYSIALGTAHGLAYLHNDCHPAIIHRDIKPKNILLDNDMVPHISDFGIAKLMDQYPAALQTTGIVGTIGYMAPEMAFSTKATTEFDVYSYGVVLLELITRKMAVDSSFPGNMDIVSWVSSKLNETNQIETICDPALITEVYGTHEMEEVRKLLSLALRCTAKEASQRPSMAVVVKELTDARHVAGSYSKQNSGPSNS >ORUFI08G18390.1 pep chromosome:OR_W1943:8:19627318:19628159:-1 gene:ORUFI08G18390 transcript:ORUFI08G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTCLIPSSFSLPSWPAATCQLRRQRHRFLASEKLRMSTLRCFSISWFNFGEASAHCDTSAVVACSFSCANELLPTASTAGMTSRTTGDVTPGLLDHRGGGRGERRAPQRRNRIGSEHHWYEPFHQPQHTPGGGRCSPLAGYPRHRKITRTTTSCIYLAGPPPRCVGDAATAQLLFTGRWPSRWPSSRRVDDVAAAHAQLARSSVERLQRASGRWKGGSSCRTPSPQHWPPSVTATCLRHMLQVEEKERRGGQEERERGGEIVADMWGLCGSHI >ORUFI08G18400.1 pep chromosome:OR_W1943:8:19638602:19638886:-1 gene:ORUFI08G18400 transcript:ORUFI08G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIRILGRELEVFLVAPRLASISGRSGVNTTGLDANATRWKIQPYTHKGESRVMPAITEVTLRLVTVDEAPPCDEWHDVPVIVYSNGGYCSN >ORUFI08G18410.1 pep chromosome:OR_W1943:8:19657170:19657412:1 gene:ORUFI08G18410 transcript:ORUFI08G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDDHEGPLKGALEAAVLAEVCEITGNGGGGGGEGCGGGGGGGGGGGGGIESMAVAARVQASTTTNLFQSSFTPRSSSF >ORUFI08G18420.1 pep chromosome:OR_W1943:8:19662213:19662755:1 gene:ORUFI08G18420 transcript:ORUFI08G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAGFNATAVAAAKAAVVAPVAAGGAAAHSAWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLEGGAGGRGGNDDGGAPADGAKPAASELPPPIWEEKILVIMAGDVKPTYLATPMSSRASSFGDRSSSNGDQADDEKKKVQEVAMGSLVKDGENGEHSESQRDRDEHHIPEV >ORUFI08G18430.1 pep chromosome:OR_W1943:8:19675295:19679982:-1 gene:ORUFI08G18430 transcript:ORUFI08G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAASRAALSRPHAAAAAARASPAAGARVTLPRRRSVRSAVISSSASAAAVAASEPAAGRVTLGAGTDGALWPKPAVLVAEKLSEAGLAVLRGFADVECAYGMSPGELLAKVAQFDALIVRSGTKVTREVLEAGRGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHGIALLASMARNVSQADAALKAGKWQRTKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGAELVSFDEAIGRADFISLHMPLTPATSKVFNDESFAKMKNGVRIINVARGGVIDEDALVRALDSGKVAQAALDVFTEEPPAKDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVVGALRGELAATAVNAPMVPAEVMSELAPYVSLAEKLGKLAVQLVAGESGGIKGVKVVYTTARGPDDLDTRLLRAMVTKGIVEPVSSTFVNLVNADYTAKQRGLRITEERVSHESPAAEAPLESIQVRLSHVQSKFAGAISDGGDIVLEGRVKYGVPHLTVVGPYEVDVSLEGNLILCRQIDQPGMIGKVGNILGQTNVNISFMSVGRTFRGKQAIMAIGVDEEPDKETLEHIGHIPAIEEFVFLEL >ORUFI08G18440.1 pep chromosome:OR_W1943:8:19687047:19687980:-1 gene:ORUFI08G18440 transcript:ORUFI08G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAETRLAVGAEARPATGHPVRLLRHRSPGSAGKLRLNSRTLGSPLSLFLPAAPAPAPARTEPRFRMRTDDFSPHENPFSGSQAMGEIASQQ >ORUFI08G18450.1 pep chromosome:OR_W1943:8:19694635:19695120:1 gene:ORUFI08G18450 transcript:ORUFI08G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPERRSSTTTTSSLLGSFRTAVKKVRFLLSFSATRWILSSIVGSRAAPRRRVSFGPAARPPSLLDYEGSAIVSPPARSGAPSRTASLGPSPTRTVTRTSSAASSELLRTWSSSSSPAGGGGDDDIDRRAELFIANFYKHIQMERQVSLQLRYLDRTPSR >ORUFI08G18460.1 pep chromosome:OR_W1943:8:19706350:19707185:1 gene:ORUFI08G18460 transcript:ORUFI08G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYTLREVPGLAERMAALMCVDLDRRTELEETDEQDNGNGGSFENALEIVEKGRIRIQEKGSDFAAWLELEEHKPKSCLSA >ORUFI08G18470.1 pep chromosome:OR_W1943:8:19707807:19717322:-1 gene:ORUFI08G18470 transcript:ORUFI08G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAHGHHKQAQTFVDMHVRPELPRESLSGDHCRGCIDNGGDLLLMLDEPTGDCFLLRLITGSRQSKILLPPLRQPAKSVGAFGVLGSTASFTVINNNFGGAVGSLPSGFGKKPWLVQAQGTETLSFVDILDRSLHVRVVPDLQVLGPSPLNRDCTVVITSLPEPEESFLLHCHPGDEEWTKLMVPLGSDRLFGKLVNCAGQLYSLSSFRKLLTIDVIDDALHAKILNIEWESSCGHNFEPYIVESCGELFVVLASLYGYPYNCPLNGVSVYRLDRAESMLKKVDDIGTDRAFLISGHYGFSCTAMEGLVQGNCVYIVWSGYDCERIYKFCLDDMTISLQPILAHPTEDLRRGFWSVPAGIEATELVQSAPSIHCDTEVNVLNNFNKDEDAQATIKASWQDLPIEMLELIVSNLSLVDRLRFPSVCKQWSSVSNPVAQAKVWPWLMHCVRQDGACKMFDPLCGVEYSMKVGPFDANERQAFRFSKDGWVIVTQSDDNIFVINPFTKEIVKLSMASGWYRFTGISFSSVPTSPDCVFLGVCSSPKGDGIKVWTCRPNEEETEDNEIYYEEETEDEERDSEENEINYEEEADQDEEREAEENEINYNEEAEDEESETEEDYWSEFDFENDEVMFPVARNNLVYFRGEFYFLGQRGNLSVFNPGNNEWRILDKPEPIHADLTPYDEGKEACYMVELRGELIAVFHRNANEPPRVLKLDESKMEWVEIEDIGGGALFLDYRASIALPSSEAGHGNRIYFPKFSEDGKKAIFYDLEAKKYSPMFYGAKEPMNCVWFVPKLQSDEYS >ORUFI08G18480.1 pep chromosome:OR_W1943:8:19717508:19720499:1 gene:ORUFI08G18480 transcript:ORUFI08G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSERFAWTQPPDHLGEWGERQGGSRHPSYHMIINNDDMSEAAAVGRELGGRCGMRAWRRQRKEGAGGSGKKGRRPREEDVPPLPTMTRTMTTRSGGDGVHRRPGAQETTTGFGGGGAAVGERSGTTAGERGGAAAATTGERGGGPVADERGGGSAAYFFLNCQIEHQEHGHHKVRGGGRWQRCRRCTCSATPRQTSATTTTCAAVYALGRRRLPYGASAPPLAAASAPRTLTAAAADPCTRSRPPPPRRAALGRHHRLVRAPAAATVDSRELPRPPPPTRARARGRRRRLAHAPGAAAADSRTRPGRRR >ORUFI08G18490.1 pep chromosome:OR_W1943:8:19722209:19723971:-1 gene:ORUFI08G18490 transcript:ORUFI08G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVFQFIPIPIVYYHCSSSYGLSLSIFGKQRWSKLHSTWMATGGKLASPLMLNNISLQCHVLYRDQ >ORUFI08G18490.2 pep chromosome:OR_W1943:8:19722209:19723971:-1 gene:ORUFI08G18490 transcript:ORUFI08G18490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVFQVNLCSPPSPSYKQITKSLFGRFSIFGKQRWSKLHSTWMATGGKLASPLMLNNISLQCHVLYRDQ >ORUFI08G18490.3 pep chromosome:OR_W1943:8:19722209:19723348:-1 gene:ORUFI08G18490 transcript:ORUFI08G18490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDFPDIIIQPSYDIFFVRAQVNLCSPPSPSYKQITKSLFGRFSIFGKQRWSKLHSTWMATGGKLASPLMLNNISLQCHVLYRDQ >ORUFI08G18500.1 pep chromosome:OR_W1943:8:19724698:19727622:-1 gene:ORUFI08G18500 transcript:ORUFI08G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKSADPLISIDGILLDEHGSMAQISVPKKLEKQFRPLLNEGSVYLITNTTAVDARRKTYIYQHQNYMIQFKHETKVEQFRSRYKLPVTITDKSGSLDAVAFSFVAEDLVELDAAQASQNMKIDSVEHPVTLNKAIGKTRLFTIGMNTDSSSKFPISYVLKRSFSIDDTMPNPLLTYEKVPQQRAGYLLVILYK >ORUFI08G18510.1 pep chromosome:OR_W1943:8:19735831:19738231:-1 gene:ORUFI08G18510 transcript:ORUFI08G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSDDNSLPPGFGTRPWLVQGSRGDTLTFVDVSDLSLHETVVPEVRGKTCLGCMHGDWLLMLDESTADCFLLRITTNPRTKIQLPPLHQPPLFLSTYEMLESPESANCTILVASSTEAEEECYLLHCHPGDEMWTKSVSPYDDISFSSLMRNYGGKIYDFASNLIAIDVIDGKIELQQLGTIKDEEEDSRRCGRYHIIESCGKLFLLWIDDLGCFYDDGLLTAIRVFCLNLETLSWERVEGIGNDRAFLISGTYAFSCPSIEGVLQGNCVYLVWSSCDSERLYKFCLDDMTISFHQIPPQPTKSWSRAFWAIPASTQSISMPQESTIPNNLLWRKPSKDNPPNDFDEHKEDGQENSLRPWDHLPVELLELIVSNLSLVDRIRFPTVCKAWSEVLNPIEQAKVWPWLMHISKQDGTCKLFDPLRSENYNIQVTIFDTNEDRHIFRSSKDGWVLASAGIYGNDIFIINPFTEEIVEPPMLAFLYNYNGVSFSSSNPMCLDCAFFGINSSDSGKFLSTFTWQHGEPHWIEQEFEYNVSFPVGYNNPVMFDGKFYCLGRKGNLGVFDPTSNTWRILDKPEPIHVEMDLFEEDHIGREFCYLVDMDGELISVFLRNANELPRVFKLNRTEISWVEVEDIGGGALFLDYRSSYGVASPDGGNGNRIYFPRYSKDGKPVFYDMNKKTYSPSFYGVIEPLNCVWVVPNLRKNESTSED >ORUFI08G18520.1 pep chromosome:OR_W1943:8:19743438:19746448:-1 gene:ORUFI08G18520 transcript:ORUFI08G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPASNSKATQLHTILKFSYILIKLFFIPVMAAVCVLVVVASILLKGLLHHLGQRKRSIGAMADNATKRQARRIASVAISLGHKRDLRLNSPLAQPNSDTEAGRLTFSPC >ORUFI08G18530.1 pep chromosome:OR_W1943:8:19750778:19753510:1 gene:ORUFI08G18530 transcript:ORUFI08G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRELAVQDVIGEKPFPPHTHKPPREAELDDAAAAATALLQTPVLPPSSILVRLSGAMAGGDAPQHKPIECPKCHGAKKAAKKAVLKQIRNKGNKWES >ORUFI08G18530.2 pep chromosome:OR_W1943:8:19750778:19753540:1 gene:ORUFI08G18530 transcript:ORUFI08G18530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRELAVQDVIGEKPFPPHTHKPPREAELDDAAAAATALLQTPVLPPSSILVRLSGAMAGGDAPQHKPIECPKCHGVFNTRREFVNH >ORUFI08G18540.1 pep chromosome:OR_W1943:8:19765689:19768215:1 gene:ORUFI08G18540 transcript:ORUFI08G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSDDHSLPPGFGTRPWLLLLHETVVPEVRGKTCLGGMHGDWLLMLHESTADCFLLRISTNPRTKIQLPPLHQPLNFLSTIKMLESPDSPKCTILIASSPEVEEESYLLYCRPGEDEWTKLVSPFNDIHLSAFMCNYEGKICSACSNLVVIDMVDGKIQRVGTIKDEEKYARGSGCYHVVESCGKLFLLWIEELGCFGNDGLLTAIDVFCLDLELMSWERVESIGSDRTFLISENYTFSCPSIEGVLQGNCVYLVWSSCDSERLYKFCLDDMTISFQQIPPQPTKPLCRAFWTVPASIESILPMEISDKPNSLLSTKLSKDILLNDLDEHDGLGNSLCLWEHLPVDLLELIVSNLSLVDRIRFPTVCMAWSKVSNPIEQAKVWPWLMHISKQDGMCRLFDPLRGEVYNMQVSIFDTNEDRHIFRSSKDGWVFTSAGIYGHDIFIINPFTEDIVEPPMFERRYHYNGVSFSSPNPMCPNCYFFGINSSLSGKFLNIHTWRHEETEWIEQRFEYDVPFPVGYNNPVMFCGKFYCLGRKGNLGAFDPTSNTWEILDKPEPIHVEMDLLQNDHRGREFCYLVDLEGELISVLLHNASEAPRVFKLDLTKMSWVEVEDIGGGALFLDHRTSHGVGSPDGGHGNRIYFPRYSVDRKPVFYDMDNKMYYPSFYGHIEPLNCVWVVPNLHKNESTSED >ORUFI08G18550.1 pep chromosome:OR_W1943:8:19776510:19782765:1 gene:ORUFI08G18550 transcript:ORUFI08G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCSSSIPQLILLLSLTVFLLANAHPMAPPSPPRKAAAPPTAAKGVTGISPVLVSTLRETLDAIKNVASIISSFPIGGILGGGALRLSSAIADCLDLLDLSSDELSWSMSTTSSSSYQPTNAGAATSSHVGTGDARSDLRSWLGGALGNQDTCKEGLDDTGSVLGSLVGTALQTVTSLLTDGLGQVAAGEASIAWSSSRRGLAEGGGAPHWLGARERRLLQMPVGPGGMPVDAVVAKDGSGNYTTVSAAVDAAPTESASRYVIYVKKGVYKETVDIKKKKWNLMLVGDGMGVTVISGHRNYVDGYTTFRSATVAVNGKGFMARDVTFENTAGPSKHQAVALRCDSDLSVFYRCGFEGYQDTLYAHSLRQFYRDCRVSGTVDFVFGNAAAVFQNCTLAARLPLPDQKNSVTAQGRLDGNMTTGFAFQFCNVTADDDLQRALAGGGNQSSAAAAAAATQTYLGRPWKQYSRVVFMQSYIGAVVRPEGWLAWDGQFALDTLYYGEYMNTGPGAGVGGRVKWPGFHVMTSPAQAGNFTVAQFIEGNMWLPPTGVKYTAGLTS >ORUFI08G18560.1 pep chromosome:OR_W1943:8:19780232:19782491:-1 gene:ORUFI08G18560 transcript:ORUFI08G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTFSDFDPITERRHVERQRQERRRVMVAAGAASVILIIIVMGGAAVAYNASFGDGGSSSSSGSASGGGAQPSLHGVSKIIKAMCAQTDYKDACEKSLAKAAANISASSSSSPKDVVRVSVAVIGDAIEKAFDKSSAIVSDDPRVKAAVADCKEIYENAKDDLDRTLAGIDAGGVDGLTKGGYQLRVWLSAVIAHQETCIDGFPDGDLKDKMRDAMESGKELTSNALALIGKASSFLAALHLPASSAASHRRLLSFAFDEDVTKQPEVNRSSGNSLRRLLSFAFDEDATKQPEVNRSSGNSLRRLLSFAFDEDATKQPEVNRSSGNSLRRLLSFAFDENAPKQPKGNDDDVLVWVNRQERRLLKAKFQNKLKPHVVVAKDGSGKFKTINDALAAMPKKYTGRYVIYVKEGVYEEYVTITKKMANVTMYGDGSKKTIITGNRNFVDGLTTYKTATFNAQGDGFMGVALGFRNTAGAAKHQAVALLVQSDKSIFLNCRMEGHQDTLYAHSKAQFYRNCVISGTVDFIFGDAAAVFQNCVLVLRRPLDNQQNIATAQGRADRREATGFVLQHCRFAAESALGDASRPAVRSYLARPWREYSRTLIMNSDIPAFVDKAGYLPWSGDFGLKTLWYAEYGNKGAGAATAGRVSWPGYKKVISKKEATKFTVQNFLHAEPWIKPTGTPVKYGMWA >ORUFI08G18570.1 pep chromosome:OR_W1943:8:19785588:19788323:1 gene:ORUFI08G18570 transcript:ORUFI08G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYLWYQTIQHNMMIPSRYQVILASITISTTYCGILARYHVKPVRYQTISITYRVILTRYHAIPVMYQTISTTYRVILARYHVILIRYQTISTMYRAILARYRMIPTKYQVIPTRYSWASRWGRSTSTRAVGPLQPSLVDEDDDGGGRGWAWQRQR >ORUFI08G18580.1 pep chromosome:OR_W1943:8:19788000:19788306:-1 gene:ORUFI08G18580 transcript:ORUFI08G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPCRRRRRPRLQGTAAGGRPRGSRYHPIPRKYRAIHGRNRLIPNKYHMIPREYHAIRGRNRLIHDRYRMIPREYHAIRD >ORUFI08G18590.1 pep chromosome:OR_W1943:8:19796599:19807645:1 gene:ORUFI08G18590 transcript:ORUFI08G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPEGRLVELFGAVKSWMPRRGDHSPPPPLPPPPASQVGAGGGGGAASPQPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRAPGDAAREDGERIRVCNYCFRRWLEEEAAARRDVAQPSSPVLSPSASAVSIGSDKSSSTARSSAGTNGQMSSYTNVSYTDFPSMAVEGQGECCEREGCAEKQLPAMEPAGGVEPATYVDNTSDPYNFGINRSDDEDDDYAVFHSDSKTQHLQNSDEYFKTVCFDAYQVDCSHVKESVSPMQDTENFIGSVGVDKTGDHIRDNTEECNTRSSSLYSMEMLENEPVDFENNSSLWLPPEPEDEEDDHDGALCDEDDGEDATGEWGYLRSNSFGSGHCRSRDKSAEEHKRAMKDIVDGHFRALVAQLLQAEKVQLVDKSGKQSWLDIVTSLSWEAASILKPDTSKGGRMDPGGYVKVKCLACGRPSDSFVVKGVVCKKNVAHRRMASRKEKPRILILGGALEYQRISNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAHIVPSIDYVSSQKLGHCDLFHVEKYVEEHGTAGEGGKKMLKTLMFFEGCPKPLGCTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLKSPIIVALPDKPSSADRSISTIPILQMPTASSPNDSLQAFDVQTDGSTFNGFQTMDQTMVMCSPEYKSCKKLGAGSAQTESAHFNGQDKNIACLHGMVPWSSTDPLVQQSASSLCHCPSCSRDVVNKKHFEERQPETSGHTLDNDFNALSAHRTNLESVESGHLFAYNSENGDKIRAKLSVPLNVQISLDDDSSKDDSVIKKDEIPASPADNQSILVSLSSRCVWKETVCQRPHLLRIKYYGNFDKPLGRFLRDQLFDQNNNCISCELPPEAHVYCYVHPQGSLTISVRKLAVKLPGEHDGKIWMWHRCLRCPRVIGLPPATKRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIKVHSVYLPPPKLDFTSQHQEWVEQEANEVVDSAELLFTEVLNALHQISEGRPITGSFDGNMKILELRRNIMELEEILQIEKADFTESLKNLLNKEIRKGKPFIDILEVNKLRRQLLFLCYLWDQRLIFIANSGGKYCDTLGGLRVGSRSSDSNDKSVDTNATTKLEKSSKGSEVLSNAKEGSLKQSQSPLHANDKEPNQPDQSNGNSSRIGAGLNGMEDAIAKINHSNSADVKDNLDHQESSIGVRRVLSDGQFPVNADISDTLDAKWRGENGTVPDTSILKPLALLEGSADLKNQAKAVPTNASLSVRSGDTVEDLSSWLKMPYMTFYDSLNTNSGTAPRFGALADYNPVYITLFRELSQQGGARLFLPTGANDVVIPVFDDEPTSIISYALVSPMYCHQMLDENSKNKDGPDSSLPLPVYDSGNFNPFHLFEDFGSADDLASSISGTRGSFVPDLVHLRVPFEDGGPLGKVKYTVTCYYAKSFEALRRSCCPSELDFLRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLKFGLDYFKYLSESISTGSPTSLAKILGIYQVTIKHVKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSSSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNAPPTVISPMQYKKRFRKAMSAYFIVIPEQWMPAIINPSKSSSNLCQEDPQNASQE >ORUFI08G18600.1 pep chromosome:OR_W1943:8:19808211:19808813:1 gene:ORUFI08G18600 transcript:ORUFI08G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGDGSGGGRKTPWTQEEDEALRRAVREHRRQNWAEIALALPRRGPKSCRLRWCQHLSPELDSRVFTAEEDAIILAQQRVHGNKWATIARCLPGRSDNAVKNRWNSALRKLLQVQHARGAGSPPAAAAAAAGDDRDDAPVCLQLFPARAGGVKEAGLFAGEKDVEEEDVATSLTLGLPVLCEAELELRLGPAWPATA >ORUFI08G18610.1 pep chromosome:OR_W1943:8:19809348:19820241:1 gene:ORUFI08G18610 transcript:ORUFI08G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPETLPNSEAVSHAYKFASTWEKNAPLTEQQNAAIAALSRAVSERPFPANLEKSLVKDGGVVVPEKEAALEEGAMDAVLVNTHQFYKWFSELESAMKSETEEKYRLYESTLEERVKTCDGILQQVDDTLNLFEELQSLHLSVATKTKTLHDACDQLLLEKQRLIEFAQALRSRLNYFDELENVSTSFYSQTMNIGNEQFLPLLKRLDDCISYVENNPQYAESAVYLVKFRQLQSRALGMIRSHVLSILKAASSQVQAAIRGNGSAKNVVTEGVEASLIYVRFKAAASELKPILGEIESRSSRKEYTQILSECHSLFCEQRLYLIRGMVQQRISEFARKEALPSLTRSGCAYLMEACQFEHQLFAHFFPASASDVSSIAPLMDPLCTYLYDTLRPRLIYEGSIDSLCELVDILKVEVLGEQLSRRGESVAGLRPILQRILADVHERLAFCARTHIREEIANFRPSDEDLDYPGKLERSVDATTSSNVSGNSDIYVTWYRPLEKTVSCLSKLYHRLEPTVFTGLAQNASKVIAKKATAMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLDHLRRILRGQVSLFDWSRSTSLARTFSPRVLENQIDARKELEKSLKSTCEEFIMSITKLVVDPMLSFVTKVTAVKVALSSGSQGQKLDSVLAKPLKTQAFASPDKVAELVQKVGTAIQQDLPKVMTMMRLYLQNPSTRLILFKPIKTNIVEAHIQLHSLLKSEYTADEIQSIGMLPIPDLQSQLDALL >ORUFI08G18610.2 pep chromosome:OR_W1943:8:19809348:19820241:1 gene:ORUFI08G18610 transcript:ORUFI08G18610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPETLPNSEAVSHAYKFASTWEKEKSLVKDGGVVVPEKEAALEEGAMDAVLVNTHQFYKWFSELESAMKSETEEKYRLYESTLEERVKTCDGILQQVDDTLNLFEELQSLHLSVATKTKTLHDACDQLLLEKQRLIEFAQALRSRLNYFDELENVSTSFYSQTMNIGNEQFLPLLKRLDDCISYVENNPQYAESAVYLVKFRQLQSRALGMIRSHVLSILKAASSQVQAAIRGNGSAKNVVTEGVEASLIYVRFKAAASELKPILGEIESRSSRKEYTQILSECHSLFCEQRLYLIRGMVQQRISEFARKEALPSLTRSGCAYLMEACQFEHQLFAHFFPASASDVSSIAPLMDPLCTYLYDTLRPRLIYEGSIDSLCELVDILKVEVLGEQLSRRGESVAGLRPILQRILADVHERLAFCARTHIREEIANFRPSDEDLDYPGKLERSVDATTSSNVSGNSDIYVTWYRPLEKTVSCLSKLYHRLEPTVFTGLAQNASKVIAKKATAMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLDHLRRILRGQVSLFDWSRSTSLARTFSPRVLENQIDARKELEKSLKSTCEEFIMSITKLVVDPMLSFVTKVTAVKVALSSGSQGQKLDSVLAKPLKTQAFASPDKVAELVQKVGTAIQQDLPKVMTMMRLYLQNPSTRLILFKPIKTNIVEAHIQLHSLLKSEYTADEIQSIGMLPIPDLQSQLDALL >ORUFI08G18620.1 pep chromosome:OR_W1943:8:19825227:19825656:-1 gene:ORUFI08G18620 transcript:ORUFI08G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGGGTGSFPRLKGMAPKEDGGLAMGVSEAPVATLKPLGWRKVTNKVDAGVKGGGGDDILHKHTHIGEERH >ORUFI08G18630.1 pep chromosome:OR_W1943:8:19829702:19830899:1 gene:ORUFI08G18630 transcript:ORUFI08G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSQSIFHLGEEGGVVHCHRGGNHLVAASMGMGGAGGHYGSGTRGGGRRARERERLVVGLQIIVHSQHHHGRHAHAHAASVVLKQMAVAAAGRPERRGLARHHSPHRAAAPVRGRPRKTLAVA >ORUFI08G18630.2 pep chromosome:OR_W1943:8:19829697:19830698:1 gene:ORUFI08G18630 transcript:ORUFI08G18630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSQSIFHLGEEGGVVHCHRGGNHLVAASMGMGGAGGHYGSGTRGGGRRARERERLVVGLQIIVHSQHHHGRHAHAHAASVVLKQMVRPRAAAAAAAGRHGAVSCSFLKACSLCRRELSPTKDVYMYSEECRWQQIAVDEAREREAVAAAGRPERRGLARHHSPHRAAAPVRGRPRKTLAVA >ORUFI08G18640.1 pep chromosome:OR_W1943:8:19832961:19833278:1 gene:ORUFI08G18640 transcript:ORUFI08G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARALLSSARVAGAGIAAVSCCCRRSFSAAAAAAVRQQEPATAAAAGTTAKLQAAEGAAAGSKEGFFWMREPRTGNWMPENHFNDVDAADLRSQLLFAKKN >ORUFI08G18650.1 pep chromosome:OR_W1943:8:19834726:19842094:-1 gene:ORUFI08G18650 transcript:ORUFI08G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQSSSLVNCTLLAVLCGKVTDKQQKPPGLVPEVKRPRLSYPFPELISSGRLEVHTLINPTVDQFRKAQQAVQPNLMYLQGQQLENEEEIGTLVWGDADVSDPQIFSSLISPPFPTIVYLEVPAGEKLAQSLQLKGIPYVLYWRNSFSSYAASHFHQALISVVQSSCSHTWDAFQLAQASFRLYCARNNDAQSVKLGPRLLGDAPKINIFLPENEMVEEEGSSEHFPAIKIYDEDVNMKLLICGAPCILDASLLGSLEDGLNALLNIEIRGCRLQNRVSAAPPPLHAETLPHGVVTMRCDITTCSSSHVSLLVSGSPQTCFDDKLLENHIKKEIVEKGQLVRAVLVREDDKPSSVEPLTSISVASGASTFEVWMTLPKWAGQVLKYLAPEISYKSLVPLGIACVNGTPVSSFDRQDVDRLLFFCKNEAIVNGLYSHLPRWSASLVKDRLKGTPESKSSTFSANGVGEYQKHPMKGTSLLVKPKLKSAKMRPIPHSSKRQMHPFVGIPPSFIHDASQVKPSLPAPPVRHNALPVAPTTQRKLSSGTSRVEPAVPLNPLPMKKHGCDRLPIGICSEEDFLKDVMQFLLQRGHTRLVPQGGLAEFPDAVLNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMSNHTVTNKMTGVGNTLKRHYETYLLEYELSHDDVGGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPPPESANGFRIASAQRNI >ORUFI08G18650.2 pep chromosome:OR_W1943:8:19834726:19842094:-1 gene:ORUFI08G18650 transcript:ORUFI08G18650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQSSSLVNCTLLAVLCGKVTDKQQKPPGLVPEVKRPRLSYPFPELISSGRLEVHTLINPTVDQFRKAQQAVQPNLMYLQGQQLENEEEIGTLVWGDADVSDPQIFSSLISPPFPTIVYLEVPAGEKLAQSLQLKGIPYVLYWRNSFSSYAASHFHQALISVVQSSCSHTWDAFQLAQASFRLYCARNNDAQSVKLGPRLLGDAPKINIFLPENEMVEEEGSSEHFPAIKIYDEDVNMKLLICGAPCILDASLLGSLEDGLNALLNIEIRGCRLQNRVSAAPPPLHAETLPHGVVTMRCDITTCSSSHVSLLVSGSPQTCFDDKLLENHIKKEIVEKGQLVRAVLVREDDKPSSVEPLTSISVASGASTFEVWMTLPKWAGQVLKYLAPEISYKSLVPLGIACVNGTPVSSFDRQDVDRLLFFCKNEAIVNGLYSHLPRWSASLVKDRLKGTPESKSSTFSANGVGEYQKHPMKGTSLLVKPKLKSAKMRPIPHSSKRQMHPFVGIPPSFIHDASQVKPSLPAPPVRHNALPVAPTTQRKLSSGTSRVEPAVPLNPLPMKKHGCDRLPIGICSEVLSFTISPILFYCFMLKCSLKLRSNIFSPCPLQEDFLKDVMQFLLQRGHTRLVPQGGLAEFPDAVLNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMSNHTVTNKMTGVGNTLKRHYETYLLEYELSHDDVGGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPPPESANGFRIASAQRNI >ORUFI08G18660.1 pep chromosome:OR_W1943:8:19849728:19852309:-1 gene:ORUFI08G18660 transcript:ORUFI08G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDTSEYFPDIKIYDEDVHLKLLICGAHCTPDSSILNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHVDSTLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDDRPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDRQDVDRLLFFCTNQDEDEAIANGLYHHPPRWSASLAKDRVKGNMVSKPVYFLA >ORUFI08G18670.1 pep chromosome:OR_W1943:8:19863371:19864539:1 gene:ORUFI08G18670 transcript:ORUFI08G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEEARLCEEKGKRQRCARRCSGHRRRQENDEAAVLVKREHPGRAVAADAARREHLDRTAASDSAAAACHLWSAFDLMTRRKDPLDGLKLYSGDEHYWQGQFDGSTTATVEYMTGRGGERANVGHSGGDGIVEAERWSSLVTATKWWRSERNNARKGILVSPGEYFWAEIGIARQVSDREACERRVDAPCWIASECDGVRPVPACSSGS >ORUFI08G18680.1 pep chromosome:OR_W1943:8:19869872:19876200:-1 gene:ORUFI08G18680 transcript:ORUFI08G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDTSEYFPDIKIYDEDVHLKLLICGAHCTPDSSVLNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHVDSALLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDELLEKHIKKELIESHRLVRVVSVSEDDGPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIAYVNGTPVSSFDKEDVDQLLFFCTNQDEDEAIGNGLYHHLPRWSASLAKDRVKRNFVSKPGCYVIRCGGSISIRSSCSNAFAGFNGCGSVVFFCSTMPHFPSSSRVKCTMLAVLCGKVGKQRTPPGPMPESQRLWPSFPFPELISSGSGGISIRGSYSYVIRAPFDLPWLERQGVGPLLFFCTNQDEDEDIENDLYSDLPRWSASLEKDRVKGSIGSKPARQIGYKGSDNVEWGKGGVDAKVGDYSLAHEQYVIAWARLIVWHNELHENLDAIFKESS >ORUFI08G18690.1 pep chromosome:OR_W1943:8:19878262:19880702:-1 gene:ORUFI08G18690 transcript:ORUFI08G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDTSEYFPDIKIYDEDVHLKLLICGAHCTPDSSILNSLEDGLNALLNIEFRWCKLQDRVSAVAPLHVDSALLDGVVTICCNITTSSSSHVSLLLSGSPQTCFDDELLEKHIKKELIESRRLVRVVSVSEDDGPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDKEDVDRLLFFCTNQDEDEAIGSGLYHHLPRWSASLAKDRVKGNIV >ORUFI08G18700.1 pep chromosome:OR_W1943:8:19887416:19891280:1 gene:ORUFI08G18700 transcript:ORUFI08G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFKSGSRVKCTMLAVLCGKVGKQRTPPGPVPESQRPRPSFPFLELISSGRLDVHTLINPTVDQFLEAQRALQPRFIYLQGQLLDNEEEIGALVWGDADVSDPQTFSSLICSPFPTILGLEPGSDSINTLMEL >ORUFI08G18710.1 pep chromosome:OR_W1943:8:19896077:19900758:1 gene:ORUFI08G18710 transcript:ORUFI08G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSSSNDAAADLTVLVDDFYFSVLSHGRNDDDDAADGDDGELFPISDEKYASELQLKEVIMSSSVAATTARSSSAPVIFIGECSSSHAASSSSRLTSIPAAAATTLVFCKICMDAVPPSAAHRASRGCDHAFCAACLAGYVGAKIQERIADVRCPEERCRGALDPELCQGILPREVFDRWGAALCEAMVLAAPWAYCPFKDCSAMMLDDAGEAVTESECPSCRRLFCAQCAVPWHAGVDCAAYKKLGKGDRGKEDLLLVEMAKGKKWKRCPKCKYFVEKSQGCLHITCRCGFEFCYGCGGQWGVTHATMAMAEAAEGQRPRQRQRRQPQPQRQQHPSCGICMEPMPPSEAHRGGGGGCAHAFCRACLAGHVRAKVESGGGAGAVRCPDPSCGGALDPELCRGALPGDVFERWCAALCEAMFAGARRTYCPYPGCSEMMVADADDEGCAGVTQSECQACRRLFCARCGVPWHAGVSCAEFGRLGEGERGREDLLLVQAARNGGWKRCPRCRFYVEKSHGCLHITCSMNVMQNSG >ORUFI08G18720.1 pep chromosome:OR_W1943:8:19903956:19904236:-1 gene:ORUFI08G18720 transcript:ORUFI08G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVVAKGELVGEVPNRLAVPPNHVARQACCVIRELVVSLGSSSPEREGWGKGGVFTGEGFHADGEHAAFAKEERGVVEVQVIVTR >ORUFI08G18730.1 pep chromosome:OR_W1943:8:19906278:19909749:1 gene:ORUFI08G18730 transcript:ORUFI08G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRTLLLLVVVVVVAAAVGGVLGGGGEERTFIVRVDADAKPSAFPTHAHWYEAAVMAAEGGGGGGEWREGGPLIHTYSAAFHGFSARMSPAAAAALAEAPGVAAVVPERVRQLATTRSPRFLGLLSSPPSALLADSDFGSDLVIAIIDTGISPTHRSFHDRGLGPVPSKWRGVCSSGPGFPPNSCNRKLVGARFFSAGYEATSGRMNETAEVRSPLDTDGHGTHTASIAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWVGGCFDSDILAAFDAAVADGVDVVSLSVGGVVVPYYLDAIAIGAFGATEAGIVVSASAGNGGPGGLTVTNVAPWMATVGAGSMDRAFPANVQLGNGQVLDGVSVYGGPALQSGKMYELVYAGASSGAASSAADGYSASMCLDGSLDPAAVRGKIVVCDRGVNSRAAKGDVVHRAGGIGMVLANGVFDGEGLVADCHVLPATAVGAAAGDKLRKYIGSSTRQAPATGTILFEGTHLGVHPAPVVAAFSARGPNPQSPEILKPDLIAPGLNILAAWPSGVGPAGIPSDGRRTEFNILSGTSMACPHISGLAALLKAAHPTWSPAAIKSALMTTAYIKDNSNGTMVDESTGVVADVFDFGAGHVDPMRAMDPGLVYDITPVDYVNFLCNLNYTEQNIRAITRRPADCRGARRAGHAGNLNYPSMSATFAADGTRATMKTHFIRTVTNVGGGRAVYRATVRSPEGCAVTVQPRQLAFRRDGQKLSFTVRVEAAAPAKKMEPGSSQVRSGAVTWSDGRHAVNTPVVVTWCYYYHHSTTTM >ORUFI08G18740.1 pep chromosome:OR_W1943:8:19924038:19924504:-1 gene:ORUFI08G18740 transcript:ORUFI08G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVTVAASRGRSHRNQTDAACCLGRTQGYDGEILRPRHRVRVLLGVPYACGARGLRYHKTTRGRRVVQAPAAPRVAGWLWPIARYLHAMRPAPMPQVAAYSRAHRIGSALRVAASSGRPAVWVQGLLSTSGASVFPAQGVQAWAV >ORUFI08G18750.1 pep chromosome:OR_W1943:8:19933909:19934319:1 gene:ORUFI08G18750 transcript:ORUFI08G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGLSKLRCMIRRWHSSSRIARAPPSAGELEEGSAAAAAAAGRAASFHGADEVPKGLHPVYVGKSRRRYLIAEELVGHPLFQNLVDRTGGGGGAGAATVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >ORUFI08G18760.1 pep chromosome:OR_W1943:8:19942679:19943242:1 gene:ORUFI08G18760 transcript:ORUFI08G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWSGRDGRWRSTSPRRSAKKSCNGSEGCCAAAILLIVAGAGGGGMEWQMARIQTWSGRDGRWRSTSPRRSAERSCHSGEGYCAAATLLVVVGAAGGGVECEEEVAANVVIVGAGGGGVECEEEVAASVVIVGAGGGGVECEEEVAASVAPPCLRGRHAVPGEVNGKGKRRKEKEKKKERRGNPGI >ORUFI08G18770.1 pep chromosome:OR_W1943:8:19945526:19948187:1 gene:ORUFI08G18770 transcript:ORUFI08G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAEGRPALHLGTGRMALAMGGDGLGEGGRQQRTRMRGQQWSPGHHPPLCHAHRSLHHRHARSGPAATALEKKGKRGNRERRESLTDGYIDENNTGSAVIDRCKQK >ORUFI08G18780.1 pep chromosome:OR_W1943:8:19951763:19952453:-1 gene:ORUFI08G18780 transcript:ORUFI08G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKSTMMAASFLLFLFLLSGIFTATTNNNIRLPSIGCPCVDDNVHRRRCACRRCQDATSAKPPIYTAIQPYVYGCISSGVHISGFVVKAPQKILQLS >ORUFI08G18790.1 pep chromosome:OR_W1943:8:19956961:19959109:1 gene:ORUFI08G18790 transcript:ORUFI08G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKNGSLYAVLGVASDCSDADLRTAYRKLAMKWHPDKCGAAGSSAGGGAEAAKVRFQKIQGAYAVLSDPNKRILYDVGAYDSDGDDDRQFEELFLRPPAPAPPTSSSFRSAVPRGRWEVFKEKGRKNVECSRRPSFVLIIKLIDQEENDSDNDMTH >ORUFI08G18800.1 pep chromosome:OR_W1943:8:19967402:19967902:1 gene:ORUFI08G18800 transcript:ORUFI08G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTTTLAAVSSCLLLAQQLLLLAPATTATSIPVGGGGGGSTASSTVPVSSHRDSDDADADVPPFFPFPGGSGAAAGCWNAVLRAEVCAGDVLRSVASLLLHDGERHPWGVHVGAPCCGVLQTVGDRCFRDLLTDSPFRPLYAPLVNHVCSALPVGGGVTPIHRH >ORUFI08G18810.1 pep chromosome:OR_W1943:8:19982013:19984104:1 gene:ORUFI08G18810 transcript:ORUFI08G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDETVAGPRPDSGLGKLRKYSSFSPSSSSAAAAAPGTAPPDAPAATVTRSITIVRPPSLSVPSPRGGGGEYSSSVPSSPASAPDSPFASATTPKGDSWRRLRRKPKTATDAAPEAAAAVGPRSPTVYDWVVISSLDR >ORUFI08G18820.1 pep chromosome:OR_W1943:8:20003018:20012697:1 gene:ORUFI08G18820 transcript:ORUFI08G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPSRGSASGRRATGHRRIADYLADDRTEASTENGSFNTAYSDELFAPTSSSAGGDGVGGMLPAFLADQSDLVEVMLELDEESMVVRSVTPTTGALYGPTSLAGGGAAHTPPGSGRSLSRCSSTSSRIRKKFAWLRSPSPAPAPRAPTPSEPPPPREAAMAARERRRIQARLNRSRSGARRALKGLRFISRTTGSAEAAELWTRVEHRFNALSRDGLLSRDDFGDCIGKQAKPSSMSMARRARTRSRDDTAYGAGIGAGMEDSKEFAVGIFDALARRRRQELERISKEELYDFWLIVLSASANKLSKLKEQAEEYASLIMEELDPEDLGYIELWQLEALLLQRDAYMNYSRPLSSGSTAQWSQNLGGGGGGGGQQGGQGQGQGQSEGRRNDWRRRWSPRRAAARAQVAAEENWRRAWVLALWFAAMAGLFAWKFVQYRRTPAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDSITFHKVESSSGFKWAPPGRSNQCSVAGEPAGTEDPRPGRLRVKAPVIQKSPRPTGLPLSCGATIIATAIALGICTHAGTHLACDFPRLIGSSREEYELLLSGFFGASRPTYRGLLAGVEGVTGIVMVVLMVVSFTLATRPLRKREAPRLPFPLGHLAGFNAFWYSHHLLIVVYLLLLVHGWFMFLVTKWHQRTTWMYIAVPLMLYVGERTLRAFRSKAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYISVHIQTRGDWTQELKRIFVENYFVPSVPRRASFGALGMAEQKSPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLAMETSRSDDSANSFSVSTASSNKRRAYRTSRAHFYWVTREPGSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARSTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGKPTLAKELKKLSLDMSHKTTTRFHFHKEYF >ORUFI08G18830.1 pep chromosome:OR_W1943:8:20016248:20019689:-1 gene:ORUFI08G18830 transcript:ORUFI08G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEAPPGALNLADYAPAGARTVDCFRRIRKIGEGTYGEVFEAMDIITGERAALKKIKLDDGKEGVQHMEQEDQYYMEQLLKGLHYCHVNNVLHRDIKDYLLPFIGANLLISGGGKLLKLADFGLARPFTRDGSFTNHVITLWYRPPELLLGATNYAEAVDIWSVGCIFAEFLLRKPLFPGRTEV >ORUFI08G18840.1 pep chromosome:OR_W1943:8:20027277:20027768:1 gene:ORUFI08G18840 transcript:ORUFI08G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAININYYVFFLDNRIYFVFSFKKGTKSPKSAANCYADRISCTSPPTTNIEPKQKITTATTIGADPTRILTMVMKVATRQMTLPSSQSLDLADGTSTTT >ORUFI08G18850.1 pep chromosome:OR_W1943:8:20028837:20029568:1 gene:ORUFI08G18850 transcript:ORUFI08G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIGISGGGEGGVVSPAQPSSPERRYKGVRLRKWGRWVSEIRMPNSRERIWLGSYESAEKAARAFDAAAVCLRGSRGAGSLNFPESPPPDVRRRFPGAALTVEQIQAEAARHANRPFPANTAAAGGSSSSYSISQRQEAAAPARSTSNDDTAMSSVPSTDGGAADYDGGDDVIDWSFMDTLPAMSSSAASTNADLVPAMDDFMYGFLHTMPPSPCEDGGEDVMIDGNCNMDQTFFSVDLWRF >ORUFI08G18860.1 pep chromosome:OR_W1943:8:20040716:20042206:-1 gene:ORUFI08G18860 transcript:ORUFI08G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGIGYYTPKWPEDVFIACPHFYRTLDPNTSSGRHASASMAQPLLLVAASGSRLHMMLEKGEISQWSTCEGVEFGTVASRREPPKR >ORUFI08G18870.1 pep chromosome:OR_W1943:8:20061663:20063764:-1 gene:ORUFI08G18870 transcript:ORUFI08G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKQDEILKKDRAELLQAQAELWCHTFGYLKSIALRCAVELGIPNAIHRNGGSASLPELLGTLPLAANKRSCLPRLMRFLVSFGIFKEDISREGTTTTTTSVYQLTPVSRLLVDASSRGIFVLGNWLTSSDENTPFGMAHGMDFWDFTGHDAEYSMLFNKGMASDSHFVVNIVIHECAEVFVGVRSLVDVGGGNGAMAKAIADAFPHIKCYVLDLPHVIHGTPTDGIVEFVAGDMMHFVPSADVVLLKFVLHDWSDEDCVRILTRCKQAITNKEEGGKVIIIDTVIGSPSQQILEAQLSMDICMMTLTTGKEREERDWHKIFLEAGFTRYKIMPILGVRALIEVYP >ORUFI08G18880.1 pep chromosome:OR_W1943:8:20103647:20106363:1 gene:ORUFI08G18880 transcript:ORUFI08G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGASGRRAKKPRLTAPPAPAAEHRPEANDESSEPRGGEPPAGGGGVDYLSLLPDDIAEEIITLLPTKDAARIQALASRWRALWRSAPLDLDYTDLPTDEAHARLITRILSGHRGPARRFSVDARHLVQRPDTVAGWLRSASLDKLQEIRLLAPEDACPSRWLPQPQPLRQKLPPASIFHFSSTLRVAALSRFRLSHEMAQSLHFPQLKLLQLQQIHVTDDESLHCFVAGCAALEALLLDRIYGLHGLQINSSSIKSIGVRELKIVDAPSLERLLQLGDCRLGLKVSVISAPKLETLGSFRRSGSFSKFDFGTAVIECSNDDFVELNEVIDLMRCFPCLEKFYIEWLQTGGNNVGRRKRRNLIKCSDIPLKTVVVGNYHGGKSEINFATFFLLNARMPESLKLIIKGRNYGSKFFTKQRRLLQMGRRASRQARVDFRSVDRDHLVFNHVTGVQDLSTSDPFECQ >ORUFI08G18890.1 pep chromosome:OR_W1943:8:20107874:20113094:-1 gene:ORUFI08G18890 transcript:ORUFI08G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGRSPKRRRSGAPEIGDAEEESPAAAAAKEEGDPPGAQEEEGVDYISNLPDAILGDIVAHLPTKDAGSTQILASRWRHIWRSTPLNLDCSGLTADKDALAGVVSRILSTHPGPCRRLCVPAHHLVERPDAVDASSATATVWMLNRVATIGKCHLPDATLQTLQFPQLGLEDVTISEGSLRNLIASCPVLECLLLIRSISFPCLRINSTSLRSIGVRINDDYRIQHLRDLIIEDAPLLEKLVNLVVRNNPYVLIISAPKLETAAFIMNRMNPDESRFTFGNTVIKGVMNESLTEVARNMKIVALSVYDLNVDNVVDLMRCFPCLEKLYFKSCRWPVKIQWRRKYRNLIKSLDIRIKTVVLENYRGIWSHAHFAQFFVLNARLLVYEICDYYEGFVAKQHRMLQLDIRASRGAHFNFTTDRCCHQGADIEHVQDLTFADPFDYTFFSRRGPHPKEIRSIFLNFSSLALLCVQWRRRPPDRGGRGGGALPSAISGRGERGGPVVVYGMEGRRWWPDSGRGGGGDLVAEDPQGDSGRGGDLLSAKSGGREGSGGGGGSGNDVRGLQIQRPLRPPPLAFGGVLLLPPPVSTRADLLLIV >ORUFI08G18900.1 pep chromosome:OR_W1943:8:20114195:20114930:-1 gene:ORUFI08G18900 transcript:ORUFI08G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSTTRLSKRCAGKSNSIHRNSRDGAAASPALRPVMPMRARTMYTYHGELAVQGCRPTAERHGEQRDGTMYTSTRRQPPGGRTH >ORUFI08G18910.1 pep chromosome:OR_W1943:8:20124493:20125436:1 gene:ORUFI08G18910 transcript:ORUFI08G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSYVATAPSPSTVLAHLAAAKVSILLGLSDPELACVTSNFLPTSNSARGAVLAVAVADYIXPIENFIR >ORUFI08G18920.1 pep chromosome:OR_W1943:8:20135830:20136399:-1 gene:ORUFI08G18920 transcript:ORUFI08G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCFARWASARDQLRCRLGEGGLCGCDHGSSCGGSNYGLSRSLLCRAQFLLSAGAHPTAVADALHLLAARAVEVLHGMAIPVELSDHETGLTSSSRQQSLTRLPGPSSPSQGRFLAAPAADAAFSPPLVGITSSLAQAVDRHRLVVGTSPRRRPRATSSPPRLQRPPPPSPRRGRRHQEQGREREEKRD >ORUFI08G18930.1 pep chromosome:OR_W1943:8:20137490:20138603:1 gene:ORUFI08G18930 transcript:ORUFI08G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNAVDELIRRLLDGKKVKPSSSAKKVQLSEAEIRQLCVTGKDIFLSQPNLLELEAPINVCGDIHGQFSDLLRLFEFGGLPPTANYLFLGDYVDRGKQSIETICLLLAYKIKYPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAAVIDDKILCMHGGLSPDLDSLDRIREIARPVDVPDQGLLCDLLWSDPDRESSGWGENDRGVSFTFGADKVTEFLNKHDLDLICRAHQASRHRHRHLLLPCLFLRSWGQMDEQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDASLLCSFQILKPFRGKSQAE >ORUFI08G18940.1 pep chromosome:OR_W1943:8:20155475:20157373:1 gene:ORUFI08G18940 transcript:ORUFI08G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAELEAAERVVMRWDSTSASDEPMLFDGARAEAERFLRAVDDLRRLAPPSPAAVGSPRRLSSGSSSVSAGGGGGAATAVQVAMARLEDEFRHVLSSRALDLEIEALADLGGSLSISSDRSNSASSAELQVVDEDDSVSSLVGRRSSYRSLPSIREIDLLPDDAVSDLRAIASRMAAAGYGRECAQVYASVRKPAVDASLRRLGVERLSIGDVQRLEWKALEAKIRRWIRAARAAVRGVFASERRLCFLIFHDLPISNITVTAAAPATHDTPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDALSDLLPDVSDIFAASKVAESIYVQAAEIRSRLADAVRGILSEFENAVLRDPPKTAVPGGTVHPLTRYVMNYSSLISDYKVTLSELIVSRPSASARLAAEGNELAPSLAELDLPEPDNQTPLAAHIIWIIVVLEHNLEGKASLYRDTALSHLFLMNNVYYIVHKVKDSPDLWNLIGDDYLKRLTGKFTMAATNYQRSAWLKILNCLRDEGLHVSGGFSSGISKSALRERFRSFNAAFEEAHRVQSGWCVPDTQLREELRISISEKLVPAYRSFLGRFRHHIENGKHPELYIKYSAEDLEIAVNDFFEGVPPSPHIRRRSHS >ORUFI08G18950.1 pep chromosome:OR_W1943:8:20160735:20163617:1 gene:ORUFI08G18950 transcript:ORUFI08G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEMTATEVAALLDLKPHPEGGYYTETFRDGSVSVTTAQLPPQYKVDRAISTAIYFLLPSGSVSHLHRIPCAETWHFYKGEPLTVFELHDDGHIDLTVIGPHLEAGQRPQYTVPPNVWFGSFPTLDVESFASDGSVLVKSRKRDPELHYSLVGCTCAPGFQYEDFEMANFDDVKSIAPKAEPFLKYLIPSTK >ORUFI08G18960.1 pep chromosome:OR_W1943:8:20164802:20165332:1 gene:ORUFI08G18960 transcript:ORUFI08G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMSFYWGTSVTILFDGWRTSGWPGYLASLLALFLAAALYQHLEARRVRLRAGRRHRAGGGGGAASSAAGPVVPAASDARALLSAAGGRLGLGLGLGRRWMKEPRAAASAAAAALFGLSAAVGYLLMLAVMSFNGGVFLAVVAGLAAGHLAFRGGADEADGGVGDDELESPCACA >ORUFI08G18970.1 pep chromosome:OR_W1943:8:20165363:20169538:1 gene:ORUFI08G18970 transcript:ORUFI08G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDMDMASRRVGMRSPTTGARTRGSAATGVTGVDGVGFGDGGGGDVEEVGDGDGGGVEWWGAAEHEVGVARPRGGGGGDVLGGLARNGEEADGDGIGENKVAENKAAVNGSQVHNVVLCGSTSG >ORUFI08G18980.1 pep chromosome:OR_W1943:8:20171523:20173085:-1 gene:ORUFI08G18980 transcript:ORUFI08G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSALATLLSMALAAFLLLGLIKRKGSRRGYNLPPGPTPWPVIGNFNLIGALPHRSIHELSRKYGELMLLRFGSFPVVVGSSVAMARLVLKTHDAVFIDRPRTASRKHTTYGYADITWSPYGAYWRQARRICVTELFSARRVASFEHIRADEVRALVRGLFAAASSGRSGAVHLNRDHLSTLSMNVITRMVLGKRFFGEGDDAAEGPVSTLSEFKWMLDELLLLNGVLNVGDWIPWVDWMDLQGYVRRMKKVRKMFDAFMEHVLDEHSERRRREGEAFVARDMVDVLMDLADDPSLEIKLGRVGVKAFTQDLIAGGTESSSVTVEWALSELFKNPAIFATATDELDRVVGRCRWVTEKDIPNLPYLDAIMKETMRMHPIVPLLIPRVARDDAAVAGYDIPKGARVLINVWTIGRDPELWDAAEEFMPERFIGSRIDVKGQDFELLPFGSGRRMCPGYNLGLKVMQLSLANLLHGFAWRLPEGMKEEELSMDEVFGLSTTRKYPLQVVVEPRLPVQLYSL >ORUFI08G18990.1 pep chromosome:OR_W1943:8:20183282:20186324:1 gene:ORUFI08G18990 transcript:ORUFI08G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPRPKSPPRYPDLCGRRRLQLEMQILNREVGFLEISASLERDITWQWQSVDRNCATACYASAVGAGASQSPRNRAASVVLAAPAATHRAVDRAAAASRPLLRAAANPTAAAAAAIAAPAAFPAAAAQAAATADRCVAAAAAAVRPATAAPAASARARPARGAVAAAPAAASRAAAAAARGARPAAAAAAPSARPARRRASAAWLCSGGG >ORUFI08G19000.1 pep chromosome:OR_W1943:8:20198472:20199328:-1 gene:ORUFI08G19000 transcript:ORUFI08G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQREVKREEKRGSSGLGFIGEEERSVWRRETGLGALGWRSAGGHERERRFRGLRETWRRRGCKGAVEAVDRAAGIESRAREHRRGAVKVGAQARQDVVGVLCFRAQEDKGERREAGFRREKVREDGGKGSLSLPFWAAGRRGAWERRPCQSAMRARNGSAAGILGGVGGNFGIGIWMRGRSRRRIQDGVLDILDLGKYSAMIQREG >ORUFI08G19010.1 pep chromosome:OR_W1943:8:20202923:20203357:1 gene:ORUFI08G19010 transcript:ORUFI08G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPFFLAFAIIALLSRYVPFALPPMARDLLADNSAEPARAAKCAVSVAVAGLMLLVSLQCGAGGEQYCPDVRVEGRALWLNCAALFLGMVVGGVAVVVMPPLAAVSPLVQVMVEHLTRFTETIAVTAFAHDFCIFIKLVRLKQ >ORUFI08G19020.1 pep chromosome:OR_W1943:8:20207935:20208327:1 gene:ORUFI08G19020 transcript:ORUFI08G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFWPGPPGAQPVGDLQVAKLALLVAGNGFISPSSYLRRCCDDDGPCFVDGAGERCLHLANLVTAMLGLALVLGQMAFFSAAAFRPQVASVTVAARWIVSLAKLATVGTLQLWVYVFCLCLKMLCIRY >ORUFI08G19030.1 pep chromosome:OR_W1943:8:20212650:20213099:1 gene:ORUFI08G19030 transcript:ORUFI08G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIWPGPPGAQPVGDLRVAQLALLVAGNGLIVSPSYLSSCPCDDGGRCFFQDGVAEQRCFDLANLVTAVLGLALLVGDMAFSTAVPGRSTQSQALATVARWLVGLAKVATVGTFQHWINAFYLCLKTWSITSYIIHPSLHDNPVLVFA >ORUFI08G19040.1 pep chromosome:OR_W1943:8:20213918:20214379:1 gene:ORUFI08G19040 transcript:ORUFI08G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIDFLQLEPPREPRPQPAVQAALPVAKSALFVGINGLVAPSYLRWCLACDDGGGGGGGLRRRCFRGEAGGDVEQMWYQMASFATAVLGVALLAFHVASSSAPAAMAAWLVWLTKVFTCGTLQFGLNIVHFCIKIIYFKLIRVLDSNLSRG >ORUFI08G19050.1 pep chromosome:OR_W1943:8:20215598:20217927:-1 gene:ORUFI08G19050 transcript:ORUFI08G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRTSWKSMLCCVGGGGVAGVEDDVPPERRRVRGGGHHQRLLSSSSSASSASRVSLSSLSSTGTLTPEDLSLTLSGSNLYAFTYAEMRAVTGGFSRANYLGSGGFGPVYKGRADDGLRPGLAAQAVAVKYLDLDCGTQGHREWLAEVFFLGQLRHKNLVKLIGYCYEDEHRMLVYEYMSNGSLEKHLFKSLDGAMPWMRRMQTAVGAAKGLAFLHDADTPVIYRDFKASNILLDSDFNTKLSDFGLAKDGPQGDATHVTTRVMGTNGYAAPEYIMTGHLTDKSDVYSFGVVLLELLSGRHSVDRSRRHREQSLVDWTRKYLKKPDQLHRVVMDPAMEGQYSYKGAQEAALVAYKCLSPSPKSRPSMREVVKALEPILDMNDYLQIGTFVFTVVVEDNKKDDVTKTKQVDGENKVDMRIEATVEEKHQSHHDRYRQKNPNSAIHADIVLQRDGSIGSYTTSLRRHRRTPSYLKERGA >ORUFI08G19060.1 pep chromosome:OR_W1943:8:20241206:20245561:1 gene:ORUFI08G19060 transcript:ORUFI08G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPAIAVYTSPPGAVYTSSSSSELEASSRGSAPCATAAPPSPASSHRHQAIAGGLSCLFSSPTAAPRAAAAQDELGALWHDRSGEATAVGGGGGGGGYSYPQPSSPFKWRDMLHHSPVPLFHSPASSPASRSPSASWLAGRERERLFSSFVRNALGSCVDYAPVAALPLGVSAAVGVGAGELAFELDEHLSEAEPSCEPYARDLLAGAQARHRIFHDELVVKAFFEAERAHRGQTRASGDPYLQHCVETAVLLAKIGANATVVSAGLLHDTIDDSFMDYDQIFRMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMFLAMADARAVLIKLADRLHNMKTIEALPLVKQQRFAKETMEIFVPLANRLGIASWKDQLENICFKHLNPEEHKELSSKLVISFDEALLTSTLDKLDKGLRDEGISYHSLSGRHKSLYSIYSKMIKKNLTMDDVHDIHGLRLVVDTEQDCYQALDIVHKLWPRVAGRFKDYILHPKLNGYRSLHTVIMCEGIHPFEVQIRTKEMHLQAEYGFAAHWRYKEGGCKHSFVLQMVEWARWVLTWQCEAMSKERSSGLGRSDAIRPPCPFPSHSEDCPYSYTRQCNHDGPIFVIMLEHDKMSVQELPANSTVVDLMERVGANSPRCSPYSFPLKEELRPRVNHKPISDPNRKLCMGDVVELTPALPHKSLTEYREEIQRMYERGGFALATTRDVFVGQKLCAQLVDEPRIVGSGGDSSPGKACGQEAVLNEEKAEENSQLSCRNHESNQTCYQNGDRDLEGTSQSGWIAGLEPWRDATRRSEIEGMAGRWSSIFRVVFGSGVD >ORUFI08G19070.1 pep chromosome:OR_W1943:8:20243444:20244240:-1 gene:ORUFI08G19070 transcript:ORUFI08G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERPKPEDRSLLIASHCHDIVLKSSSNSGPELMDNVKSLSRCKKCFVKRYDKFGGKLLVLLWVQMLKANIFQLVFPASDS >ORUFI08G19080.1 pep chromosome:OR_W1943:8:20251562:20251891:-1 gene:ORUFI08G19080 transcript:ORUFI08G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISRLETPQLRVLRLLNRGDVATTLVKWLGRIRTVRRERGSCGDNAGGGRERDPRPPSLHSASPAAARSPACRHRSTPLRRPPRSHAASASPAAALARRHRQRCMHD >ORUFI08G19090.1 pep chromosome:OR_W1943:8:20255955:20258689:1 gene:ORUFI08G19090 transcript:ORUFI08G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDVGRAAAREAAMPAACSSSSIGKDSDECSPPGKEEEEGEEVQSAFVGGGGGLAGLEALEEALPIRRSISKFYNGKSKSFACLKEAVSSCGSAKDIAKAESAYSRKRKNLLAYSIMYETSQETAAEVYETGPPKRLASLSRNSLVTLASSSSRSSSSISIEETELTEQLHSPPSLANDENSAVYNAPALQLGSCDPKTSSVPVRSFSMMDLRRLHRPRSSCRLKDQKTTD >ORUFI08G19100.1 pep chromosome:OR_W1943:8:20262411:20262939:-1 gene:ORUFI08G19100 transcript:ORUFI08G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEMMMFFPSGLRVMLVDDDMKTEMLSFFPDGLHVILVDDGKKAMRTATATLSTLHYLALGV >ORUFI08G19110.1 pep chromosome:OR_W1943:8:20268682:20269278:-1 gene:ORUFI08G19110 transcript:ORUFI08G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNKDKAPMIELPFGLPVDDFLVGQTAYGSAGPSIGAPDDNDDDAAMYAYTSALNNNAAVGSLMVPPIESTFTIIDPIVGTKGEGSVPVVVVSEDQNNAVAAIEATAPNNAELFMMPEQVAVDAPVDVEEGIMFSLESLLGLDEDMIPMEDAGGEATDDSLNIKEGGMEIGWDLDLDYILMNNTNEFAFLDDMAWIE >ORUFI08G19120.1 pep chromosome:OR_W1943:8:20269322:20272798:-1 gene:ORUFI08G19120 transcript:ORUFI08G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQLSFFPGGLRVMPVDVVATHTTASAGLCTLSSDNMIDVQTVLCDVKKVVSSGFDFRRVVETEHHITVIYLLSTTEPEQMVAGEDTEFLNHLLLKATYIIRKPLDQATMAQLWRVVAWRRCCLEERIPRDSMDDIAAHAGVVGKDGNDNDVIIIEEPQVHFKVVRSRGSRKRQLTINVDSGSSSDGADANPRQKLEHKKDAKGPLGQHVASHLQEYCTKQQKDLDERRLLSLDSLFLKAILPTLNQQQQPAGTAVISFSNTTVQAPTGNAVISFSNAASPAATGNAVISFDNVAASAAMQAPVMGQWLSGGVQPDAPQQRLYMGPFSYQGPPPPPSYYA >ORUFI08G19130.1 pep chromosome:OR_W1943:8:20277994:20291315:-1 gene:ORUFI08G19130 transcript:ORUFI08G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQARISFFPDGLRVMIIDDDAKAVRRATATLSQLQYAVVATHSTASAGLRALSGDNVVEIQAILCDVHKVVSSGFDFRRVVESELRIPVIYLLSKMEEEDMVAGEDAEFLNHLLLTATYIVRKPLNPTVMARLWRVVAWRMYCLEERIQANVAANAGAGGEDDDDDDDVVIVEEPQVHFKVVRRTSGGSRKRQLTINEFRTKHQKKDMAIERPLISSDSMFLKAILPTLKISPCNPLTLTGGIGSSSVAAEAFAGGSSSAAPLQIPVFQQQFTGNGNTVISFSNNASPMAMRAPTDNTMISFNNVSAAPVANAVISFSNISRSAAMQAPAARGQHLSGDVQQLDFPQQKLYFGPFSYQGPPPPSMHNHINLLPPTSSPVTCSMDKGKVPIIELPYGMPVDDFLVSQTTYGGAGPSIGATDAAAAAYPYTDAPSNNVATGCLMVPPMGPAFSITEPTVVAQGEGTGTGVDAGTSEKNAIVEAPNNPAPLMVLDQVAADAAMDVQEDIMFSLESLLGPDYDLLPMEDVSAPDTAAAGDAAGGSLDGEEGGMDIGWDLDLDDILVENVNDFAFLDNLAGSELTARDPSSCGAVPVVHQTRTRRPVTKGGCDLRTLPAIGRG >ORUFI08G19130.2 pep chromosome:OR_W1943:8:20277994:20291315:-1 gene:ORUFI08G19130 transcript:ORUFI08G19130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQARISFFPDGLRVMIIDDDAKAVRRATATLSQLQYAVVATHSTASAGLRALSGDNVVEIQAILCDVHKVVSSGFDFRRVVESELRIPVIYLLSKMEEEDMVAGEDAEFLNHLLLTATYIVRKPLNPTVMARLWRVVAWRMYCLEERIQANVAANAGAGGEDDDDDDDVVIVEEPQVHFKVVRRTSGGSRKRQLTINEFRTKHQKKDMAIERPLISSDSMFLKAILPTLKISPCNPLTLTGGIGSSSVAAEAFAGGSSSAAPLQIPVFQQQFTGNGNTVISFSNNASPMAMRAPTDNTMISFNNVSAAPVANAVISFSNISRSAAMQAPAARGQHLSGDVQQLDFPQQKLYFGPFSYQGPPPPSMHNHINLLPPTSSPVTCSMDKGKVPIIELPYGMPVDDFLVSQTTYGGAGPSIGATDAAAAAYPYTDAPSNNVATGCLMVPPMGPAFSITEPTVVAQGEGTGTGVDAGTSEKNAIVEAPNNPAPLMVLDQVAADAAMDVQEDIMFSLESLLGPDYDLLPMEDVSAPDTAAAGDAAGGSLDGEEGGMDIGWDLDLDDILVENVNDFAFLDNLAGSDANYQVTKGGCDLRTLPAIGRG >ORUFI08G19140.1 pep chromosome:OR_W1943:8:20296664:20310498:1 gene:ORUFI08G19140 transcript:ORUFI08G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGAELVPLFRLRPRFLGAGRLLTSRMSSPPDCPPPPVASHPRRLLPAPAASTAAPSSRGAAACSCAQATAAWGHRRSLPRRPPLPLLSANACVPLAQDKREKDKEEREEREKESREDKNI >ORUFI08G19150.1 pep chromosome:OR_W1943:8:20300128:20301209:-1 gene:ORUFI08G19150 transcript:ORUFI08G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHPVISSDSMFLKAILPTLNVSPCNPLTITGGAGSSTVTAAAFAGGSSSPAPLQVLVFQQQSTGNGNVEISFNSNASPMAMWCPAAGCSLAEGAFSYQGPLPPSMRNHINLLLTALPLQIGIAMDKGKAPLIELPYGIPMDDFLVGQTAYGGAGPSIEAPDATAAAYPYIDALNNNVAAGSLMASPMEPTFSITEPTVLTQGEGSETNAVATTRNNAAPLMVPDQVTADAAMDAEEDIMFSLESLLGLDYDMLPMEDSSAAEAAAADDSAGMDIGWDLDLHDILVENANDFVFLDSIAGSE >ORUFI08G19160.1 pep chromosome:OR_W1943:8:20311596:20317774:-1 gene:ORUFI08G19160 transcript:ORUFI08G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRSGADTASASAGVTLPPSPSPPPPTEMAGGSSAGTPWPSPSTALTLGLGLGPPAADGSLKSPGLAPPPRRSLRLASGAVASGVASPTTTPASSAPAAGADGASSGSGSASSGRRKGRAQAIPAPVASPTSAGEFGGGGEVRVWGGGNRSGEAAFISLQSGSRVAKRSMELGVQMGGEMGLGSNGGGGAGGQVHDEMPHRNVDSSGKRRKIGMEIPYVSDSESDNDDDCILPGEDGSRMPVQLCAASDLIELNLFTMSMGRTDEGRMGDSVKTGKKKASGVTIRENDIPIDEHVHGQSSAIGESIELLASPMRSALGENHAGMYSTEEERRQKARYDVKGKGKLDLGNDDSGAGTGIGKFSPDSKGKAKMVVEESSLSVSSGADQMDLNSVISEEVQSFPADHWEPRRRERARQRAIEMAPRFAFFKADEDGHSDDGDDDDDNAEELEPVPDPQDWPGPFSTAMRIITDREAKLRARELNSSNLNKSANKVISWIPSKDRKSPLRSAPSLTSLCLQTLSNNAEAIESLAGIPDELKNRLLSSLCHSRKMNVHLLGELMCDNPVTVQLSECSWLSEDDFETIFGKCRTEILQLDLSGRCMPDYMLPATLAKVPNSMPLLKKISLKGNYRLSDSGLDTIISAAPSLSSLNLCECSLLTSTGIENLANKLSLVLTELYIDDCLNVDAMMILPSLQKIKHLEVLSMSGIQSVCNKFVNELIPVHGSNLKELAFAGCLQLTSSSIKTIAGNCPQLSSLDLRNLNRLRDSAMRHLRNGCRLIKKIKLQRNTFSDEAVYRFLEQSGGYLTELCLNNVEKAGNLTAYAIARNCSTHLEVLDLSFCRELTNEALGLIVDSCSSLRILKLFGCTQITDVFLKGHSNSLVTIVGIEGNILKQTGSL >ORUFI08G19170.1 pep chromosome:OR_W1943:8:20319133:20321275:-1 gene:ORUFI08G19170 transcript:ORUFI08G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTIPARPLLAAVLAALLLSAASAADSKNNPADQLVALINSNRTASKASTLDDNQGLGCIALQYIKAYEGQCNQVGESKKPPETSFAETFAPNCGVQAATLTKITGRLLACQSNYATPDQAFNFLVNDAKSIQVLHSKNHTEVGAAVSGTSGGGPYFWCVLFSSGKPTTSFKVDGGVPKSVRPGCFSGNNDDCMGANAAVSIGAGCSSVVFIVFIYSNVLFGK >ORUFI08G19180.1 pep chromosome:OR_W1943:8:20327734:20333221:1 gene:ORUFI08G19180 transcript:ORUFI08G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTACTSAINAKYGSTYNVDQVQRHYRRHKETWALVARHLNESSGGWDETNKILTQPMVVGNLASISEEQCQCRPCRRPASIKAVVLPQRNHVLVGGWVVSRKKRVGIHARRRHRACAFSASYSSIRTRTSSSVKSSSVRSQPYSSKNFSLNSSMESAGGDVAAVAPDGSDGGGEAAWQDAGRQIGGGSGASRTPTAIAVLSRDPPAADESRRRVGSPATRQSVSGGGALDEEMTL >ORUFI08G19180.2 pep chromosome:OR_W1943:8:20327734:20333221:1 gene:ORUFI08G19180 transcript:ORUFI08G19180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTACTSAINAKYGSTYNVDQVQRHYRRHKETWALVARHLNESSGGWDETNKMLSLSQSTLDSLSEMTL >ORUFI08G19190.1 pep chromosome:OR_W1943:8:20330338:20331168:-1 gene:ORUFI08G19190 transcript:ORUFI08G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVLDAPLPPPICRPASCHAASPPPSLPSGATAATSPPALSMDEFNEKFFEEYGCDLTELLFTEEEVRVLMLEYEAEKAHLLSHGEVKETAPAEQVPDAPRPSKKARGENGKAAAAENVEVQTPPPPLVADAPRHSKKRKAPPPLSPPREEEAEDAVFQTTPPPSHKKVAERILNRRFFPPDPSHGGTILWCLCRELLPRGGPRCALHQKAPFRSWMSEQGYVEEAGGAGGWALVPKLSAECGRSLFQRYARWRRRAWMPTRFFLETTQPPTRT >ORUFI08G19200.1 pep chromosome:OR_W1943:8:20334081:20337725:1 gene:ORUFI08G19200 transcript:ORUFI08G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPPDQQRQKQAPLFSPYQMPRFRLNHRVVLAPMTRCRAIGGVPGPALAEYYAQRTTQGGLLISEGTVVSPAGPGFPHVPGIYNQEQTDAWKKVVDAVHAKGGIFFCQLWHVGRASHQVYQPNGAAPISSTDKPISARWRILMPDGSYGKYPKPRRLAASEIPEIVEQYRQAAINAIEAGFDGIEIHGAHGYIIDQFLKDGINDRTDEYGGSLSNRCRFLLEVTRAVVSAIGADRVAVRISPAIDHLDAYDSDPIKLGMAVVERLNALQQQSGRLAYLHVTQPRYTAYGQTESGQHGSAEEESRLMRTLRGTYQGTFMCSGGYTRELGLEAVESGDADLVSYGRLFISNPDLVERFRLNAGLNKYVRKTFYTPDPVVGYTDYPFLGQPKSRM >ORUFI08G19210.1 pep chromosome:OR_W1943:8:20340241:20340900:-1 gene:ORUFI08G19210 transcript:ORUFI08G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPLLPVLLSTMILLSAVSTTTTALTQDFCVANLPLGADTPSGYQCRPAATVTAADFYSGALARPGILIRPFNTSLASAFVQQYPAVNGLGISASRVDILPGGVVPLHTHPAGSELLYVLDGALVAGFISSSDNKVYYKEVSKGGMFVFPQGLLHFQYNTGDTTAVAFAAYSSSNPGLQILDYALFANNLPTSYVVKGTFLAEAEVRRLKSKFGGSG >ORUFI08G19220.1 pep chromosome:OR_W1943:8:20341983:20344767:1 gene:ORUFI08G19220 transcript:ORUFI08G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLSSRAWSVTPPPRAHRSSLLRSPPVHARPPVGRLPCAAPFSPAIGRAHAPLLSSPAAARAHVPLLSCCRLAPTRCSFLAGHPGRHRFGRRPREDGEDEGRAARAAARRRRRSGGQAKGRRGRREWRRRRRPERLGEGAARAETPSGGDGHDLTARSAASPPILRRSPPPNPTELS >ORUFI08G19230.1 pep chromosome:OR_W1943:8:20345203:20345844:-1 gene:ORUFI08G19230 transcript:ORUFI08G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVMMLPVLLSFLLLPFSSMALTQDFCVADLTCSDTPAGYPCKASVGAGDFAYHGLAAAGNTSNLIKAAVTPAFVGQFPGVNGLGISAARLDIAVGGVVPLHTHPAASELLFVTQGTVAAGFITSSSNTVYTRTLYAGDIMVFPQGLLHYQYNAGQSAAVALVAFSGPNPGLQITDYALFANNLPSAIVEKVTFLDDAQVKKLKSVLGGSG >ORUFI08G19240.1 pep chromosome:OR_W1943:8:20350573:20353262:1 gene:ORUFI08G19240 transcript:ORUFI08G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGRRASVSRGLGSGRSSGGLPTVARGGRWAASLRRRAEESGHVEGLRGGRSAGGLPTVERGGRRVASLRGRRVATSRGSGAAGRRAASPRWRTEVGGLSPYDGARRMAGGLPTAARGGRSMGGHIEGHRLPTAACDGELPTVARREASGRSAEK >ORUFI08G19250.1 pep chromosome:OR_W1943:8:20362507:20363462:-1 gene:ORUFI08G19250 transcript:ORUFI08G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEGGQEGTRALMTSLEEHLSAADACKGRGKHDEQSSMASPCWPCTPTRPSSALTVTTPSALVELYLVPNMSMVDDYSVSINILSVRTDRGPCSRPVVLRSRQRATSLTARNWCRRPWLREKGGYFWRGEGKRLKGERMKGKRWSTGHGWLVVVQMTGRQRRLWTCGCAVGFRGDMFDGYHTIRDGLVELYFASDVSIGVLGMHADQQPHDLGPRGGLVVLGSRRKATSLATRNWRCLWQLRWEKAGDFGRNERGVWGEGKW >ORUFI08G19260.1 pep chromosome:OR_W1943:8:20371388:20371933:1 gene:ORUFI08G19260 transcript:ORUFI08G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSGYNGPGSGDRAGTVGSSGGGATTAQRRRGGAVVVELELGRLDPTGEGRRQGVAVVLGLWQVDPAGDERASCQRPLALSLAPAYPLAARWRHLALSPPAHSLGSPPSPLLFPAHALPRPATITSPFLRKWRRGEDRTDGWVPHAIGSNEQGQKGYFVLQLTSLHALNRIGSDRIFNF >ORUFI08G19270.1 pep chromosome:OR_W1943:8:20380401:20380627:-1 gene:ORUFI08G19270 transcript:ORUFI08G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRMMVMMGMKRRDRDEHNDDGDDDRVHLGGVQKREVSVRWDGRRVKGSRAGGCGSALQQELQKGKRKM >ORUFI08G19280.1 pep chromosome:OR_W1943:8:20380682:20383124:-1 gene:ORUFI08G19280 transcript:ORUFI08G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAYMDHAAAAAEPDASAEPAVAAVDAAEFAAAMDFGGLVSARPAAVVRPASSDDVASAIRAAARTAHLTVAARGNGHSVAGQAMARGGLVLDMRALPRRMQLVVAPSGEKFADVPGGALWEEVLHWAVSKHGLAPASWTDYLRLTVGGTLSNGGVSGQSFRYGPQVSNVAQLEVVTGDGECHVCSRSADPDLFFAVLGGLGQFGVITRARIPLSPAPQTVSTTPPPNRNERRPNRPAAADRRELALQVRWTRVVYASFADYAADAEWLVTRPPHEAFDYVEGFAFVRSDDPVNGWPTVPIPDGAHFDASLLPANAGPVLYCLEVALYQRGGGGDGGGDDMDKRVGEMMRQLKYVRGLEFAAGVGYVDFLSRVNRVEDEARRNGSWAAPHPWLNLFISSRDIAAFDRAVLNGMLADGVDGPMLIYPMLKSKWDPATSVALPDGEIFYLVALLRFCRPYPGGGPPVDELVAQNNAIIDACRSNGYDYKIYFPSYHAQSDWSRHFGAKWSRFVDRKARYDPLAILAPGQNIFARTPSSVAAAAAVIV >ORUFI08G19290.1 pep chromosome:OR_W1943:8:20388184:20391588:-1 gene:ORUFI08G19290 transcript:ORUFI08G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQGAPDALFSLPLDVLDNILSRLHIYEVVRTSALSRAWRRRWAALPSVDLARSPGISEPDVDAILLRRSAALRTFRLVARARKGTWSVDALHHWLLYLSRSGVQALDLSFPELRFRLHPCLFSCGELTSLALNSCRLPPAPSGFAGFPNLKTLRLEDVDVPRHGGKEVAALIAASPLLEDLGLLAVKLIGDGPDEEWVIRAPNLRNLTMVCETAFGGRVEDLPRLDEGRLFGPNCAKFLAGMSQVTKLDFFCNCMLGCTEGAKEFEANDKFLNAQLTDDMFVKLHVVQLKNIACVRNEMHFMEFVLSKARLLRKLYVRLSFYAICSNEEAVIDIAEYPRTSSDAEIIFMECGIDCPEFPELTAPHQPILNSSLIQLLMLKAMLRQGDITDPVSNGVGNAHVYSEEGHIVNHVANVNADSPEGHVWDREATDSHPIVHGSVSAVQIWSRPCLLVVGALAVFCDYTSIF >ORUFI08G19300.1 pep chromosome:OR_W1943:8:20393644:20397518:-1 gene:ORUFI08G19300 transcript:ORUFI08G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPNRKRRLAAAEAEAEPELSPPDALGALPVEVLDNILGRLHIYDVVRTSALSRAWRRRWESLPTVDLTRSPGVAASDVDAVLLRRSAPVRAFRLAARDPSWFVDALHDWLLYLSRSGVQALYLWFPTPSFRLHSCLFSCRELTSLDLEGCRLPPAPSGFEGFQNLKKLHLTKVSLPEHGGKALAALFAGSPLLEDVELMNALLVGDGADEWVIRAPNLRKLIMVAPFPYAGRVEDLPRLEEGILCGPNYAKFLTGMAHVTKLEFVCSFMRSTEVDVVEQLPFLFEKLRSLVISVNFCRMSHILFMFCLLRSAPVLEELDVLGQGDDAQDIDANDEFLNAQSTNDMFAKLHVVRMKKVACLCNEMHFMEFVLNKARVLRVLSVYPSSGSTCSNEQTIITEHPRVSPDAQVIFMNRESANNGYMHTSSVNYELETIRSGTWLDVEHPRKIHRLDLDAVDQQKQLEKVLLQTQKEGKKRNEEAQARHEDTKRRLKFCVAANEYFTSALKSPYCLLEMQYLSEKSNIPIPPFPDSSSVSSSSQPILPFVALTKCKKILG >ORUFI08G19310.1 pep chromosome:OR_W1943:8:20397938:20402613:-1 gene:ORUFI08G19310 transcript:ORUFI08G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSVGPAMDALGSLPLDVLDNILSRLHIHDVVRTSALSRAWRRRWESLPTVGLLNSPGIGASDVDALLLRRTAPVRSFRLATRDRSWSPTAFHDWLLHLHRRGGLRDLELTLRYEFMYQKLNSCLFSFRELTSLKLYCCGLPNLPAEFAGFPNLKTLHFSMVQVQSPGGRGIATLIAASPVLQEASLIDGKLIGDGPDEDWVIRGSNLRKLTIALGHKYGGRIEDLARLEECCLFGPNYAKYLMRMAHVTKLSFYCNSILSTEVDVLERLPFLFENLRSLVLGVNFCILSHILATFCLLRSAPVLEELDVWEMKTDDEFFDAQWVNHMFAKLHVVRMKKVSCLCNEMLLIEFILSKARALRVLSLTLASNSQFSIEEAITDITEYPRASPYAQVIFTGREPECANDEWNGFVDLSAELSDLEDIQTSGRRSLDTVNPRRRQRLNGESVAQLQQLEEQLLELEKEEEEHHMRRMQALNEMDQESENVFRHQEYIISIIEKTMPLLMLLMLMLVLQQRMLPMVLRMVLRILQKAISDWSFMILVIGVLSLAVKFSVVNILDCGTISNLSSVEVITVVLQ >ORUFI08G19320.1 pep chromosome:OR_W1943:8:20408840:20409679:-1 gene:ORUFI08G19320 transcript:ORUFI08G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPRRRKLRRLSPGAAPQQPKPSLNSLPSEILENIVGRLPVRQAVRTSALSRDWRRRWESSPGIRFGWGSGEAGAAAAVGQILARYACPVRHFRHGWIESGGSARADEWLVVLAGRGVEHLALIFSEADNFLFHTLHAAIFSCRELTKLELGSCRLPAAPSDFSGFPNLTVLTLTMVAFPPHGERTLEAMISSAPLLQSLELKNVSMEGGEWDEWVIRAPNLKDLIIQLEFDFLWEIEQLPSIQTATISVDNESTDRDFVQLLTCFARPSYTGKLVC >ORUFI08G19330.1 pep chromosome:OR_W1943:8:20409878:20414378:-1 gene:ORUFI08G19330 transcript:ORUFI08G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMLAALISASPLLEEVELVSVFLVGDYPDEEWVIRAPNLRKLIMVAAFPYGGRVEELPRLEQGILCGPNYAKFLTGMAHVTKLEFMCHYMLSTEVDVLEQLPFLFENLRSLVISVNFCKMSHILFMFCLLRSAPVLEELDVVVMLNDHLQIHYAITCFKGQSNDAQDIDANDEFLNAQPTYDMFAKLRVVRMKKVACLCNEMHFMEFVLNKARVLRVLSVYPSSGVTCSNEQAFITEHPRVSPDAQVIFMNRESANNGYMDTPSVNYKLETTRTGNWIDLAHPCKINRLDLDAVDQHKHIEEMLLIRQKLLKERKEMAQALHEDKKLLLNYFAAVKKYFTSNLKYLSEQLNISIPPFPEPSSVSSSSHPTSPRLAEALTDPASRSADNVQADSRADQVAIGASSARANSPKPEDNLFR >ORUFI08G19340.1 pep chromosome:OR_W1943:8:20414417:20424269:-1 gene:ORUFI08G19340 transcript:ORUFI08G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSGPVCKRRLWGRRWSTAAEVGNDGDGEEVGGSVSSPLPRPGFGSGVGQSSLGVARVSIVSIHSETSSVGTRTPRRSKAKDTGAALTMSSGEGAPVSERGERNGTADSGEEMADVQPPPPPPSGQRRRVGPAPDALGSLPLDVLDNILSRLHIHDVVRTSALSRSWRRRWESLPTVDLTRSPGISASDVDALLLRRTAPARSFRLATRDRSWSPTAFHDWLLRLSRGGGGGGLRDLELTLRYTYINSKLNSCLLSFRELTSLRLHCCGLPHVPTEFAGFPNLKTMYLSVVKVQRHGGRGLATLIAASPVLQEVTLIDVVLIGDGPDEDWVIRASNLRKLTIALGSEYGGRMEDLPRLEECCLFGLNYAKYLTGMARVTKLTFYCNCMLSTEVDVLERLPFLFENLRSLILGVNFCMMSHILAIFCLLRSAPVLEELDVWNWSEGAQEMEANDVFLNAQWINHMFAKLHVVRVKKVYCLNNEMHFIEFILSKARVLREAIIDITEYPRASPDAQVIFMGVEPESANNDMNGFLDLSAEFPDVEEEETSGLGSLDTVHPRRRQRSNRESVAQLQLLQEQLQELEKEKDQHHKPTVEELKEIIQEGKKNAIKFQNYFESTIQSLSKQHDLSQFRLPPLPEFPSELSSHPVTTRPGDTPVDPADNVAANVPEDSREGHATSGDADVHVRSPIDFVAKCVGNGFQASNGRLAAQPGAAARSGGAGAAAAGRSGCAPRRGPRQHPRPPPHLRGGPHLVALPRVAAPLGVAPHLDLTRSPGVAASDVDAVLLQRSAAAPVRAFRLVARDPSWFVDALHDWLLHLSRNGVQALELWFPTYNFQLHSCLFSCRELACLDLDSCASRPHAWGLRDSRT >ORUFI08G19350.1 pep chromosome:OR_W1943:8:20425254:20439018:-1 gene:ORUFI08G19350 transcript:ORUFI08G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSIFSCCELTQLELADCYIPGLPTCFAGFPNLTSLRLSDVGFPDGAKGLEILLARSPLLKSLSLLMLLFPAKNGVYHQWVIQAPNLQNFFITGLYDDGWQIGDLTFLEEATVDWPLYSYDRDFVKLITGLSQARELDFAMPVRDVNVLEGLSCSFKNLKCLSLCTSPHLLSNVLSFFCIIRNASKLETLRIKLFDDSTQDDEVDNDFLNGQWTDDLFSNLKSVYVRNMTCKLSEMHFIEFILSKARNLEKK >ORUFI08G19360.1 pep chromosome:OR_W1943:8:20439040:20439426:-1 gene:ORUFI08G19360 transcript:ORUFI08G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPGCKLWPSQGDAGTAAAAALDSLPPEMLADVISRLPIRETVHTSALSHVSRRCWESVPYLTFCWPRRTPPGAISTALRRYSGLVRKFTNLYIGEEEEEEAPFRYSDRWLLLLAGKGVQSLFLAF >ORUFI08G19370.1 pep chromosome:OR_W1943:8:20440568:20445333:-1 gene:ORUFI08G19370 transcript:ORUFI08G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPPRRKLRPPSPPGSAAQPSLDCLPSEILENIVARLGIREAVRTSAVSGAWRRRWETSPGLSFEWDRGEVDPAIVATVLARYSRPVASFRSGWVEREHSAVTDEWLVLLAGRSVESLTLGFAEFDDRRFHTIHSAMFSCRELTELYLENCRLPAAPSGFLEHGESTLEAMISLSLLLEWLDLRSVCTDGNQMDEWVIRAPNLKHLTIESDYDYLWRVEELPSLQTATVKVDDDSTDRDFVQLLTCFAQVSMLELHLLATEDNALDGLSCSLEKLKSLTLHANFRSVSSILCIFSLLMRCSNIGVLDIEIMGSEFPQNDEIDAEFFNTLETNDLFTNLDVITLRNAPCLSNDMHFIEFVLSRVRLLSKFWVFRDDSNSLSKPSEEAVIEIAKYRRASPKSRVFFRSMEDYYI >ORUFI08G19380.1 pep chromosome:OR_W1943:8:20446845:20448927:-1 gene:ORUFI08G19380 transcript:ORUFI08G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSPPRRKPRLPPPPPEGDPTASAVGASLESLPGELLENIVSRLSLRDAVRTSAISRSWIHRWESAPDLRHYWPRRSRPDAICAVLARYSRSVGQFCTWGIRADAFPHIDEWLPLLAAKGVQTLTLSFWDYSDVNVEYYTLHPAIFACGQLTSLHLERCFLPTAPEGFGGFPNLTLLSLVYVGLPENGERKLEAMIRMSPSLVSLELSNVEVTDDDFEDWIIQAPNLERLTITSDIDYGWQIQDLPSIQDANINIEDYSIDRDFVKLLTSLAQVGELELFIPKLRSLTLHTNFYKASSILSTFGLLTRAPNLLHLEIEITDHENQSDEVDIDFLNALWTNSLFANLDFVSIKSATCWSNEMRFIEFVLSKARLLGEFYIYHDDTGSFSKPREEAIIELAKYKRASPKAKVFFRDMERLVLESPVPYTCLCYMLSDQFDICSVAVKMKTIQFSISNWELGCKEAINYA >ORUFI08G19390.1 pep chromosome:OR_W1943:8:20452283:20453771:-1 gene:ORUFI08G19390 transcript:ORUFI08G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPRPRPRFQILRPRRRHLIRPEALRRARPYGHPPSPPALVPPRRPLAPPPPPQARPVPPPLLEMISIIEGKHNLDPSIFSCLELSSLSLAGCTFPPPQPPSFVGFLKLTKLSLSEVELPPHGERQLEAMIAASPLLLELSLDNVHSFHHSEVWFVRGPNIRSLRIWAVDQDFGCRIGELPRLEDAAFLDSEVTTQVLCKTLEGIAHVESLDFNALMHQFSDNPPERFSFTFQNLRSLDLHACLDQISSTSLVFSILRCAPNLEKLEIEVGCYDDLVDDGTVEGFANAQTSDDIFPRLRCLVT >ORUFI08G19400.1 pep chromosome:OR_W1943:8:20456985:20458679:-1 gene:ORUFI08G19400 transcript:ORUFI08G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREEYRAKRRRLPAAEPDFLAGLPPEIVDDIISRLDIRDVVRTSALSRAWRRRWESVRGLDLSFRSSAPAVAISSVLKRAAAPVRGLGLRVPGRRFRRAVRWLRLLPRKRVQSLDLHFEFVFGEKPSLDPSILSCLELTTLVLEGCIFPPSPPPPSFVGFPELTKLSLSEIDLPRHGGRRLEAMIAASPLLVELSLSNVRSLHHWERWFIRGPNLRSVWIWTDYDYGCRIGELPRLEHAIVFASAIKTEVLCKILEGISHAETLGFDAITDQFNGNPPERFSFTFQNLRSLDLHACLDQISSTSWVFCILRSAPNLETLEIEVDCDDDEVDAGSVEGFANAQASDDIFPRLRDVWLHSIDCSSNEMCFIKFVLSKARSLELFSVRVTSSRLSYQEACIEMAKYKRASPLAKLRLIRG >ORUFI08G19410.1 pep chromosome:OR_W1943:8:20465606:20471175:1 gene:ORUFI08G19410 transcript:ORUFI08G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQLAGGAPPLREQSDDADDAAVARGAGECATPSTRQAIKALTAQIKDMALKASGAYRHCKPCAGSSSSSPAAAARRHHPYHAYADSGSDRFHYAYRRAGSGGDAPPSVSARTDFLAGEEEEEEEEGTTADGSEDDEAKEWVAQVEPGVLITFLSLPEGGNDLKRIRFSREIFNKWQAQRWWAKNYEKVMELYNVQRFNQQTPLPTTPKSEDESLKEDIPATPPLNSERLPHTLHRSLTGGRTTGYGQPDSLGHQHNLGNGHRQQHHHYYTGHQCYGSVGLASTPKLSSISGAKTETSSMDASMRSSSSPEEVDRSRELSVSVSNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSREKFSEMHARLWWEENRARIHDQYL >ORUFI08G19420.1 pep chromosome:OR_W1943:8:20475062:20476009:-1 gene:ORUFI08G19420 transcript:ORUFI08G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGAGFLLVGLWHLYNHIRLFLLRPTAYVAPVWFPVRRARYLELALVIAGAVASILMELVVGPARPQPFDADGTVPSDHLHNFEHASISLALLVYAAAAAALDVARVPHGRAVSQLVAAVAFAQQLMLFHLHSADHAGVEGQYHLLLQSVVAVTLAATVLGVAAPRSFAVSLVRSASLVLQGVWFLAMGVMLWTPALLPKGCFLSREDGHDVARCRADDGGDALARAKALVNLEFSWYLSGTVVLVVALYLRLCRLYPEEPRYMPLVRGDCRDDDDDGGGDGDDNDVEAGKGGAGHVLREPLEIARP >ORUFI08G19430.1 pep chromosome:OR_W1943:8:20479146:20480072:-1 gene:ORUFI08G19430 transcript:ORUFI08G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFLGHFVPGLAFAILGLWHALNTVRAYKLKGASGFRAAAWFPFPSPVPGLKHLELYLLLSFSVLAIVDQLVDFPLLSFTIQPDALEHATMYLHLAVYASVALAADGVAASSSHHGGAQAQLGEVVAALAASVFGQELFLLRFHSADHAGLEGHYHWLLQLVVTASLVSTSATVVLPRSFAVAVVRSASVLFQGLWFIVMGFALWVPALVPRGCHGAEAGGGAMRSAVACPTDEAARRAVVMANLQFSWVLAGVWAVTAYLCLRVGGSRSMEYRQIQAPSGGDVGALAGDGDATQSQKRVFPVSDNV >ORUFI08G19440.1 pep chromosome:OR_W1943:8:20482064:20483389:-1 gene:ORUFI08G19440 transcript:ORUFI08G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGSAASHRLEKNMLLAYSRKTRLQHHDVDTPKLPLFEWEVDGTLQLEEELWSLPSYQGSPLPRITPTFPVFSMHEADVLHFILDRPGYDDKCWVITVDIKNKSSLGRIWSLVAIWTGYQQLEPTEWEEARSVQYWWESLANTRGVPKKGLRSLILLVVWEIWKERNRRIFDHKEAATGFSNQESRRRLVFGV >ORUFI08G19450.1 pep chromosome:OR_W1943:8:20483392:20483790:-1 gene:ORUFI08G19450 transcript:ORUFI08G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSIAGARASVIPLPPTWDLSAPPPIHSAAWALFERSTGQWNNDYAMATVFTRTSNNRDVRVSLRLAAPPASSCVQFYTDDAGAYSMVWRHQPSLVTADGDLLVHTIVSPERTPNCYFPLDDFFVYKPDAD >ORUFI08G19460.1 pep chromosome:OR_W1943:8:20500119:20502938:1 gene:ORUFI08G19460 transcript:ORUFI08G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAEPMLISPRSECIEDRKLQQTAVSNSKNSIRTCIIRYSVWGRKKEMKKKGDGNARRERGPSAQHPLRKFLARTRTRRGSIQYEAGCAVATGRLWVGVGCEVGLHEGEEELGMWTAPKMLEVADQALSGPHCQELPDHTCPRFAPSNQATLPSHRGSLSQGPASTPICRWRLARREGIRGWWWPSTSAPIPLRMELWEGGAVVVGSGVIDNMVEMEEGNDGVAEGGMH >ORUFI08G19470.1 pep chromosome:OR_W1943:8:20507721:20514499:1 gene:ORUFI08G19470 transcript:ORUFI08G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVERDGRDQPNIDSFSQLPFIRQAAREKPPSSSSGGSVVVPPAPIRLFGFDVPPDASTTADVLGENKESAAAVVAAEGSKQTASGLDAIGGGGGSRKFECHYCCRNFPTSQALGGHQNAHKRERQRAKHAQFQTAMAMHHGHGQYYPLPDPYAAAFAAYPGHHHHHRFAATAAAAMPPPPHYPSWAAGSRIHLAADQREPGGAGRDVAGPRPPPPRCVGLATTTTTAAPLPARRQERPAATIPSLLGGGEEPVVLGGAGSTSFSPSTSSSSSSASPHERRAQPARKENLVRDGDLADGGWTTGGAVPRAVIIARVGAVELLDELFFFSFPPELETTAYLSILHANSPMKACSTGLSQYSVFSPISAGDVATHRKPQDASTAPPLVPTAMPPPSSS >ORUFI08G19480.1 pep chromosome:OR_W1943:8:20525143:20527517:1 gene:ORUFI08G19480 transcript:ORUFI08G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGCHFLLSLSPPLYSIRRPAAAHRPAKARSHISCCSRHDDAEACSTSKPLTNGKEEEKTTPSRRKCLACLCAVTLISASGPTMLTPNGLASDMMSKPAVCRNCNGSGAVLCDMCGGTGKWKALNRKRAKDVYLFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDAKKLLDKMYNGKILPDS >ORUFI08G19490.1 pep chromosome:OR_W1943:8:20529551:20533285:-1 gene:ORUFI08G19490 transcript:ORUFI08G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYGEGDARWIVQERADGTNVHNWHWAERDCLEWSRSRLTSLLAGLPVLSGEGGLALRTTALDKLDGEAYVNIRKGKVIPGYELSLTLAWEAEATTESGVVKVSGAAEVPYLADENADEDPELRVTVRGDDGPVARRAKDAFLTKGKPLVLEKIREYVAAMAKGGPAKDELDSKKTPTKAAAVEAGGAATAPAPAAEKKEEAPAVKEKKAKAKDKEGFKTIEMTEKFYCRAKDMYEILMDENRWKGFTQSNARISREVGGQFSLFDGSISGVNEELQEGKLIVQKWRFGSWPDGVHSTVRLVFDEPESGVTVISLKQTDVPEEDRYGNSTVVENTERGWRELIFQRIRGVFGFGI >ORUFI08G19500.1 pep chromosome:OR_W1943:8:20562329:20563264:1 gene:ORUFI08G19500 transcript:ORUFI08G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSPPPPPAPADAEAVPLFYCYECESTVSLPPPPPPPSRPLFCPRCRGEFLEEENPNPPPEPEEEEEVSSPPPPPPPPPGFLSDSSSDDEEGGDLDLGMGGMDAAAARAYLSRLVHHHLYDDEPIDVAAAAVSLLQRSGLHQGGGGGESAPAAAASIAALPTVEVSEPATACAICKDDLPLAAPARRLPCGHLYHSECIVQWLEMRNSCPVCRSRLPSDEPQDAAAPSDPGPAPMRITIRLSTNRRRVRTSSDAAPPVAASPTQLAQAVTGEGGGGPANSTETVSSEWPPPSESDAVMSDAREGQRFFD >ORUFI08G19510.1 pep chromosome:OR_W1943:8:20575707:20577072:-1 gene:ORUFI08G19510 transcript:ORUFI08G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGDAVTVADGGRPELVLGLGVGVGAGVRRGEEERGRREDVVGAGRWAAMAAASPEPSVRLSLVSSLGFHWPSETGRSEAAARGFDVNRAPSVAAGAPGMEDDEEGPGAAPALSSSPNDSGGSFPLDLSGHGLRGHAEAAAQGGGGGGERSSSRASDDDEGASARKKLRLSKEQSAFLEESFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLHKELAELRALKTARPFYMHLPATTLSMCPSCERVASNPATASTSAPAAATSPAAAPTAAARTAVASPEPHRPSSFAALFAAPLGFPLTAAQPRPPPPASNCL >ORUFI08G19520.1 pep chromosome:OR_W1943:8:20579633:20580079:1 gene:ORUFI08G19520 transcript:ORUFI08G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRRQATGGGGGGGGGRLFPCLFCSKTFFKSQALGGHQNAHRKDRVAGGTSCNPYLYGVAAAAGVPDDDPYYAWGGGGVPGYSGGNNSPAATTPIAGAAHGGGAAGLVFAARGIGCRRMGSDDGASGKENVAGGGEKQKLDLELRL >ORUFI08G19530.1 pep chromosome:OR_W1943:8:20580126:20588273:1 gene:ORUFI08G19530 transcript:ORUFI08G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTYAVILRSRTHARLYVCDKTIRFAIYVKGGFAISPAVHTDRCCDEGPSAAAACRGRRDVDDDGGQTTTLPHDCEVNDYDDDANKDADDEKLAHTEELRWLDKAAVRVRATATALG >ORUFI08G19540.1 pep chromosome:OR_W1943:8:20588440:20589703:1 gene:ORUFI08G19540 transcript:ORUFI08G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCGFDAAAADGHGAGGGGIHGDGQPPRRGHPCRLPRQASSTSPASAPLVNVSLSLTPVPVASNRDEEWAAAPTARVDGKEVRLFACLFCDKTFLKSQALGGHQNAHRKDRVAAGGWNPYVYHHAAAVATAGAPSLSSSPSAASWPASGEVSACLGAGARSIPISSHGCNVGPEWWSGAGVVAAPRFTEHAQLLAVLGSGRAVLAAGDRSAGCDENTVDMLNWTRASHAAAAVSSMTAADEQLDLELRL >ORUFI08G19550.1 pep chromosome:OR_W1943:8:20594307:20595167:-1 gene:ORUFI08G19550 transcript:ORUFI08G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSDPLHTPPRAGIRRGFPMPFPSQDNPPAARSGDSRPVEAVGGGGPAEWMRGPVLEGSGSDPIGDMQRLLLGLQPSKREKRRRMASRPGSSEKRRGTWWSRVGRYPVKEGVDFVPNSQGEIGDEFLFVPDSGSDEEEVVNGDSCEVREVDFVPDSQPEDADSVEKIGVGEDQSRGDVAMPNPPSDPHCRRRFFTNSIFKGLLKDLAQEEKARRSYFEKATRQEEDDKAAREKDDKTTREDKSMKASDDDEKDRAIRASGDDKGNSQCVGLDWRDHGQVDDSELW >ORUFI08G19560.1 pep chromosome:OR_W1943:8:20606722:20607540:1 gene:ORUFI08G19560 transcript:ORUFI08G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREHASQGAEAAEHRPPAQPRQEGQHLPPAATQRNHSGAGGGCSARTRRNGGGGLEWGRVQHLFYVLGELESFSGDANHFLAAHGLRALARWLPAAVGTAAAAAVQASTCGAAAAAATPRPLHVVDLGVSLGVQRQRVARGAGECVDEVEAVEGVAVAEEDEVAAGAGEEGGVARDRVGGEGCRDDELGERRRRRRSKWRQGWHAAAKTR >ORUFI08G19570.1 pep chromosome:OR_W1943:8:20615928:20616290:-1 gene:ORUFI08G19570 transcript:ORUFI08G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPRRRQGGSGCGTPRQQRRQWQRGMQRPRRRRGMKRLRRRRLRDTKVAAMEVWDAEAAATTTVLCLSVDFAATIFNLSIRWATRRQQAPVASVLSICRFGRHVSTAVEGRAQLNRSSR >ORUFI08G19580.1 pep chromosome:OR_W1943:8:20619526:20621542:-1 gene:ORUFI08G19580 transcript:ORUFI08G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVHRRGALLLHAVQGGHHAGADAQHPGHREGGRHQQLGGHDEGDGGALLDGSTLALSMVVITAVATPLIKLLYDLSGRFGRAKRRTMEGWRPNAELRVMACLFSEDHAAPLLNLIEESGSSRDAPMSLIVLHLTEVKEQLWQPDAVADVLAELDLLNSELGRIALNASLITEALELFVVLCVAGKMVGSPRGSSRNPSRIPPPPPATQQMDRIGWDAMGWDGMGWDEQILSYSYIYDK >ORUFI08G19590.1 pep chromosome:OR_W1943:8:20621435:20621764:1 gene:ORUFI08G19590 transcript:ORUFI08G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFTMPRMLSISASMVASLNGMEKKSPTAMHPHHLFDNAQRTAPAPSSAPPAEPYSAPRRPRASSSPGGGSGVGGRAQEAMRRRRHLVAGHRTPASPTGRLPCPLPN >ORUFI08G19600.1 pep chromosome:OR_W1943:8:20623822:20629445:1 gene:ORUFI08G19600 transcript:ORUFI08G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESILPSILASLLDAFQSRPFINSNTRCSPRPLLAIGTRLSPLTLVDKTEYVVYQIKCHFEES >ORUFI08G19610.1 pep chromosome:OR_W1943:8:20630523:20632025:1 gene:ORUFI08G19610 transcript:ORUFI08G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNQLWLLWATLAVSLLYYISNRRRRVGGRRRCPPGPMPLPLVGNLLNLRGHLPPALARLARTYGPVMMLKMGLTTTVVISSGDAAREAFTKHDRHLAARTVLDVTRSLDFADRSMIWLPSSDTVWKTLRGVTAASIFSPRGLAALRGVRESKVRDLVGYFRGRAGEVVDVRHAVYGCMLSLVSSAFFSVDVVDLSAESENEFRQSMTFLMEVVSKTNVSDFFPFLRPLDLQGWRRLTERYLGRVTCFLDDVIDRRFAADASANRHGDFLDSLLDLVSTGKIVRENVTTILLDVFIAGSDTITATVEWAMAELLRNPSEMAKVRAEMDGALGGKKTVDEPDIARLPYLQAVVEEAMRLHPAAPLLLPHRAVEDGVEVGGYCVPKGSMVIFNVWAIMRDPAAWERPEEFMPERFIRRGDDDEVDFWGKTFEFIPFGSGRRVCAGLPMAERVVPFMLASLLRAFEWRLPDGVSAEELDMRHRFTIANFRAIPLKAVPVVVS >ORUFI08G19620.1 pep chromosome:OR_W1943:8:20633415:20637233:-1 gene:ORUFI08G19620 transcript:ORUFI08G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSVAATDSDTAQPVQYSTFFASRYVRDPLPRFRMPEQSIPREAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMDSVNKNYVDMDEYPNRCVNMIAHLFNAPIKEDETAIGVGTVGSSEAIMLAGLAFKRKWQNKRKEQGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPVKAVEMVDENTICVAAILGSTLTGEFEDVKLLNNLLTEKNKETGWDVPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYPGVGWAIWRSKEDLPEELIFHINYLGTDQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKNIMQNCMENTAILREGIEATGRFEIHSKEAGVPLVAFSLKDSGRYTVFDISEHLRRFGWIVPAYTMPANAEHVAVLRVVIREDFSRSLAERLVSDIVKILHELDAHSAQVLKISSAIAKQQSGDDGVVTKKSVLETEREIFAYWRDQVKKKQTGIC >ORUFI08G19630.1 pep chromosome:OR_W1943:8:20642007:20647609:1 gene:ORUFI08G19630 transcript:ORUFI08G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSAATRKKLQRKFRLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPRGGGGRCGVSGGHQRALGAAGGGFVRRATVPLRSNQESIPADYSSMEKLEIKQPYTGIGIRLARDKYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASLSMLMGLDFFGGGVIAAEETVRLSTLEKKAMNDMFVILSDVWLDSSELFSRDVQMCYLVEKTMEKLGVVLDGYDSVEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPEDAGPSKALPRCTLPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFQQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYAGITCFNPGSFANDSSFAAYRPCTKEVELSALES >ORUFI08G19630.2 pep chromosome:OR_W1943:8:20642007:20647609:1 gene:ORUFI08G19630 transcript:ORUFI08G19630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSAATRKKLQRKFRLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPRGGGGRCGVSGGHQRALGAAGGGFVRRATVPLRSNQESIPADYSSMEKLEIKQPYTGIGIRLARDKYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASLSMLMGLDFFGGGVIAAEETVRLSTLEKKAMNDMFVILSDVWLDSSETMEKLGVVLDGYDSVEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPEDAGPSKALPRCTLPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFQQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYAGITCFNPGSFANDSSFAAYRPCTKEVELSALES >ORUFI08G19630.3 pep chromosome:OR_W1943:8:20642007:20648129:1 gene:ORUFI08G19630 transcript:ORUFI08G19630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSAATRKKLQRKFRLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPRGGGGRCGVSGGHQRALGAAGGGFVRRATVPLRSNQESIPADYSSMEKLEIKQPYTGIGIRLARDKYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASLSMLMGLDFFGGGVIAAEETVRLSTLEKKAMNDMFVILSDVWLDSSELFSRDVQMCYLVEKTMEKLGVVLDGYDSVEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPEDAGPSKALPRCTLPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFQQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYAGITCFNPGSFANDSSFAAYRPCTKEVELSALEISIGNGVV >ORUFI08G19630.4 pep chromosome:OR_W1943:8:20642007:20648129:1 gene:ORUFI08G19630 transcript:ORUFI08G19630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSAATRKKLQRKFRLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPRGGGGRCGVSGGHQRALGAAGGGFVRRATVPLRSNQESIPADYSSMEKLEIKQPYTGIGIRLARDKYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASLSMLMGLDFFGGGVIAAEETVRLSTLEKKAMNDMFVILSDVWLDSSELFSRDVQMCYLVEKTMEKLGVVLDGYDSVEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPEDAGPSKALPRCTLPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFQQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYAGITCFNPGSFANDSSFAAYRPCTKELEVSIGNGVV >ORUFI08G19630.5 pep chromosome:OR_W1943:8:20642007:20648129:1 gene:ORUFI08G19630 transcript:ORUFI08G19630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSAATRKKLQRKFRLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPRGGGGRCGVSGGHQRALGAAGGGFVRRATVPLRSNQESIPADYSSMEKLEIKQPYTGIGIRLARDKYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASLSMLMGLDFFGGGVIAAEETVRLSTLEKKAMNDMFVILSDVWLDSSELFSRDVQMCYLVEKTMEKLGVVLDGYDSVEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPEDAGPSKALPRCTLPKYLTEELQKHIPNAIFFYTQEIVFFQQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYAGITCFNPGSFANDSSFAAYRPCTKELEVSIGNGVV >ORUFI08G19630.6 pep chromosome:OR_W1943:8:20642007:20647600:1 gene:ORUFI08G19630 transcript:ORUFI08G19630.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSAATRKKLQRKFRLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPRGGGGRCGVSGGHQRALGAAGGGFVRRATVPLRSNQESIPADYSSMEKLEIKQPYTGIGIRLARDKYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASLSMLMGLDFFGGGVIAAEETVRLSTLEKKAMNDMFVILSDVWLDSSELFSRDVQMCYLVEKTMEKLGVVLDGYDSVEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPEDAGPSKALPRCTLPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFQQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYAGITCFNPGSFANDSSFAAYRPCTKEVELSALES >ORUFI08G19630.7 pep chromosome:OR_W1943:8:20642007:20648129:1 gene:ORUFI08G19630 transcript:ORUFI08G19630.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSAATRKKLQRKFRLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPRGGGGRCGVSGGHQRALGAAGGGFVRRATVPLRSNQESIPADYSSMEKLEIKQPYTGIGIRLARDKYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASLSMLMGLDFFGGGVIAAEETVRLSTLEKKAMNDMFVILSDVWLDSSEFGKLGEMIAARSRLKEHSRFLFIPGPEDAGPSKALPRCTLPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFQQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYAGITCFNPGSFANDSSFAAYRPCTKELEVSIGNGVV >ORUFI08G19640.1 pep chromosome:OR_W1943:8:20659573:20666580:1 gene:ORUFI08G19640 transcript:ORUFI08G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHTVTVSMDVEAGQKNKDKKGISQDLILAYKTLGVVFGGLVTSPLYVYPSMNLTNPTEEDYLGIYSIMFWTLTLIGVVKYICIALNADDHGEGGTFAMYSLLCQHANIGILPSKKIYTEEENLISNQPVVAGRPGRLRRFIESSIIARRLLLLTAILGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSKPAVEGLSAAILVGLFLLQKYGTSKVSFMFSPIMAAWTFATPVIGVYSIWRYYPGIFKAMSPHYIILHDEPDQRLAATRRHRAEAMFADLGHFSKRSIQIAFMSSIYPSLVLTYAGQTAYLINNVDDFSDGFYKFVPRPVYWPMFIIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSKDKEGEVYSPETNYMLMLLCVGVILGFGDGKDIGNAFGVVVILVMLITTILLTLVMLIIWGTHVVLVALYLVPFLLLEATYVSAVCTKILRGGWVPFAVSVALAAVMFGWYYGRQRKTEYEAANKVTLERLGELLSGPGVRRVPGLCFFYSNRQDGGWLTPVLAHYIRNMRSLHEVTVFLTLRYLLVAKVDGKDRVQAVRRLGPAGVYGCTIQYGYADAIDFEEDDIAGQVVGALRERVVDGEEEGERVEAARAAGVVHVRGKMRFHVGKDTRLFDRVLLGFYELLHGACRSALPALGIPLQQRVEIGMLYKA >ORUFI08G19640.2 pep chromosome:OR_W1943:8:20659573:20662834:1 gene:ORUFI08G19640 transcript:ORUFI08G19640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHTVTVSMDVEAGQKNKDKKGISQDLILAYKTLGVVFGGLVTSPLYVYPSMNLTNPTEEDYLGIYSIMFWTLTLIGVVKYICIALNADDHGEGGTFAMYSLLCQHANIGILPSKKIYTEEENLISNQPVVAGRPGRLRRFIESSIIARRLLLLTAILGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSKPAVEGLSAAILVGLFLLQKYGTSKVSFMFSPIMAAWTFATPVIGVYSIWRYYPGIFKAMSPHYIILHDEPDQRLAATRRHRAEAMFADLGHFSKRSIQIAFMSSIYPSLVLTYAGQTAYLINNVDDFSDGFYKFVPRPVYWPMFIIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSKDKEGEVYSPETNYMLMLLCVGVILGFGDGKDIGNAFGVVVILVMLITTILLTLVMLIIWGTHVVLVALYLVPFLLLEATYVSAVCTKILRGGWVPFAVSVALAAVMFGWYYGRQRKTEYEAANKVTLERLGELLSGPGVRRVPGLCFFYSNRQDGGWLTPVLAHYIRNMRSLHEVTVFLTLRYLLVAKVDGKDRVQAVRRLGPAGVYGCTIQYGYADAIDFEEDDIAGQVVGALRERVVDGEEEGERVEAARAAGVVHVRGKMRFHVGKDTRLFDRVLLGFYELLHGACRSALPALGIPLQQRVEIGMLYKA >ORUFI08G19650.1 pep chromosome:OR_W1943:8:20669548:20670341:1 gene:ORUFI08G19650 transcript:ORUFI08G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSNQVYMKQSTPACIHPSTDSTLKNNINLPGQHGTKLTAEKKLPEQEFFAREQIGLNMVRKLRNKPNQETTIYTPSQCVAPPWIFPLSPAVRPRCRRVASSSLPGLPWHHPPFGACDASAETGELEEAETLDEEESGNPSRRRGGEEKGLPFSPDEESRGGVARSCAREKRRRITREEIFPTSGCRGPFPGVSPRIGPKNPCLMGFQMDMK >ORUFI08G19660.1 pep chromosome:OR_W1943:8:20673476:20676925:-1 gene:ORUFI08G19660 transcript:ORUFI08G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGLGDTGRFLFEWVPQLRCFGLGVVGVGAAGDPIPHGHGHTPHGRELELELFFPKCMESPASEAVVTGLPAHQDTMVMYDEFDELLQSFRDGNEEQQLVGFDSSCFLNEKNSDVTCFLDYDGDDLPLALSSVSPMEPLDNTVGQPQAELEHPPSSASSHGNVGPHASDTGASADKDCSTTAAPPPLSGAGTSVVYPFAVVKPSGLDGGATLADINARILTRPPRPVRHPVGEFACAPRAAAGGDRPAPSGKTVAGFTRLHTAGSGTITIIRTKG >ORUFI08G19670.1 pep chromosome:OR_W1943:8:20709785:20710255:1 gene:ORUFI08G19670 transcript:ORUFI08G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRRQAAGVGGGSGGARLFPCLFCNKTFLKSQALGGHQNAHKKDRVAGGGSCNPYLYGGVAAAAGVPDDPYYAWGGGGVPGYSGGNYPPAATTTPIAGAPHGGGPSTTPVVAAGSSSSSRHGSIGCWRMASDEVDGGASETTKGGEKLDLELRL >ORUFI08G19680.1 pep chromosome:OR_W1943:8:20718040:20723395:1 gene:ORUFI08G19680 transcript:ORUFI08G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLASSSPASAATVLNVSLSLAAPAPAASNCDEDGAAAPTTVRVDGKQVRLFPCLFCERTFVKSQALGGHQNAHRKERVAAGRNPYVYYAEGAPSCFSSAAGSEVVTARLAAAAAWSMPISSHGCSVVGPIERWSGVGVGARSFTEHAQLMAAVGGAGRDENTVNMLNWTRASHAAAAAAVDDSSIGAGDEQMDLELLMYTSSASSSAPPPPCRPSSSSSSSATVDLSLSLAAPAATAPTTAVVNGKGGVRRLFPCLFCNKTFVKSQVLGGHQNAHRKERVAGGGGWNPYVYDVGGGAAVPTVASHGVTAVDGWRRWSDTGGERATKKGSSSMGVAGDGEELVLELRL >ORUFI08G19690.1 pep chromosome:OR_W1943:8:20761300:20763743:1 gene:ORUFI08G19690 transcript:ORUFI08G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCAPTAVPPQDASGAATEPFRSLQIATAGAAAKKKRRPAGTPGKWSSIHTSNYTGYLTSTSVGNGARRRPAGADPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPTRPHLRLRPRLLQSFIEHQDACNAGRPRAAEASSSPGRGGGVVVGAPAAASSSQQQQLFAVPASLSRTASSASPSSDLVVSPVAWPATGGGGPAMVSPRAAAPAPAGGSIAAFHHRFTDPALSPPTPCGGRRGGCHTTHSLELQLMPPRTTNTCAGGSLGGTPVATAVGYYASSSPHSPAAALPSRQPVADAMRLQLSIGFGGARDDGNNNSSSSSGEVSASATRLKEAAHEQLRLAMAEKAAADEARAQAKRQAELADQELATARRMRYQAQVELSRAHVLRDHAIRQVDATQLQITCYSCSHKFRARAAAMISSDVASYVSSVVTEGGDAEVDNDGNLHRRRLNADDGMPRGHSRTMAMDLN >ORUFI08G19700.1 pep chromosome:OR_W1943:8:20763871:20770140:1 gene:ORUFI08G19700 transcript:ORUFI08G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYSREANEQGKDGIMEYEPEKALTVEGAVAAHMVDAGRRRAAAVGAAEFGKRRRHVRESWNEWLAGRPAGGGDRTRELEASVLTIIARCSRVHIWAGLGPARFDSASTTAAAAAAAASVKAAAGSGSCAAAHELWSSGGSTPLLHW >ORUFI08G19710.1 pep chromosome:OR_W1943:8:20772466:20773656:1 gene:ORUFI08G19710 transcript:ORUFI08G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARQWWRRAAAAAKDRRSLYLTRVAALRPASPAAAAALRNAELEAVVIRATSHDERSVDYRSAARVFALARASPAVLQPLMWALARRAGRTRCWAVALKALMLAHGLLLRSDLAPRAARLGRVPFDLADFRDRSSSPTKTSGFSAFVRAYFHFLDTRSLFAAQDMDNNDDDDADDEDARLDGVSRLQHLLDLLMQIRPYGDGMEQGLILEAMDCVVIEIFEVYSQICTGIARFLVGVLGSAPTTPRPRPGETMAAARRRRGLQGMRVLRKASEQSAQLTSYFELCRSLGVLNAAEFPAVERVPDDDIRDLEKLIMSHVVEDRGKEKVSEEKALVAVEDTGVASRTVVTREWVVFDDDDEDDGVAGARQGHFGHYVNPFLGAPWEAVTGSGNLLV >ORUFI08G19720.1 pep chromosome:OR_W1943:8:20776738:20783930:-1 gene:ORUFI08G19720 transcript:ORUFI08G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLLVLLLLTAAVAGGGGHEGSSSCPFHAHDKPHDDHHHGHGHSCGGGGDDSHHVHHHHHGHGHGHDGGIQRRLLPEELAEEADLELDSFGDHHHHHHHHHEHHHHDHHHGHGDFQAELSPLGMWLSAMGCSLLVSMASLVCLVLLPGKPSKTMVDCLAIFGVRCLGIHFFINCHMPLVEGILIHMIIRIIIILMSIHMHTLWKIFLLIVRYVEDNSQKGAHGMGHHHHHHKRHDRSDKAKLNHAEKDHEDKGVNQAEKEPSHDGAIEKTDGVTRADSKSAIRKRGLSSGSNSADREPVNSESDPAPNKALSSEDSSVSNSNMVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFTVTKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPEMNDQKTTVKSSMIQLVSLTMGMLVALGISLFLHCSNSNFPHGTDH >ORUFI08G19720.2 pep chromosome:OR_W1943:8:20777455:20783930:-1 gene:ORUFI08G19720 transcript:ORUFI08G19720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLLVLLLLTAAVAGGGGHEGSSSCPFHAHDKPHDDHHHGHGHSCGGGGDDSHHVHHHHHGHGHGHDGGIQRRLLPEELAEEADLELDSFGDHHHHHHHHHEHHHHDHHHGHGDFQAELSPLGMWLSAMGCSLLVSMASLVCLVLLPGKPSKTMVDCLAIFGVRCLGIHFFINCHMPLVEGILIHMIIRIIIILMSIHMHTLWKIFLLIVRYVEDNSQKGAHGMGHHHHHHKRHDRSDKAKLNHAEKDHEDKGVNQAEKEPSHDGAIEKTDGVTRADSKSAIRKRGLSSGSNSADREPVNSESDPAPNKALSSEDSSVSNSNMVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFTVTKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPEMNDQKTTVKSSMIQLVSLTMGMLVALGISLVE >ORUFI08G19730.1 pep chromosome:OR_W1943:8:20784833:20787057:1 gene:ORUFI08G19730 transcript:ORUFI08G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTWAIITHLNSIAGPSITLLYPLYASICAMESPTKVDDEQWLAYWILYSFITLLEMVAEPVLYWIPVWYPVKVLFVAWLVLPQFKGASFIYKKLVREQLRKYRARGGAAATVTAGEDHKVHIAKAEHDHGH >ORUFI08G19740.1 pep chromosome:OR_W1943:8:20790559:20802540:1 gene:ORUFI08G19740 transcript:ORUFI08G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPAAASGSASEARGGAPPSPSRSRSKTPPHNAAVLSSTPASAAVDFVAASDSDAGADADARLASPRRSRERSPRLHSDSDNSAAATAEAAEAAAAAAFDDGDDEGNATPPPRSRRSSRVEATGVKPISSRPMDASRRPAAASSQSQRRSKRPRSSPTQHSPEQHKRPPRVWNPQDEVTILRALISYRAKNGALPGSSQDTGKLHNMIRGQLSVKASTTQLSDKVRRLKHKYNLILTRVTKSGRDPDLPTEHDREVYELSKKVWGTKSGGAGAGSGGGGGGGGGRVYENAEVVQSDEEQGSRDDSDEDMESGWDDRDHRNRRLKAIVVANGNGNAVTGGRSVHGNGSGKGDVADKGKDMYPYLWEAVEELSKEHPSGTAFRKAFGVLDGSRARAMEEKLNRFRLSEIRQQLRRMDLMKETIKMEASTVDEGDEQRDGLNLGSMPRALGPRRRINNFQATWSTQHHGPNYMPHLGNFVLERELISDPKSPEATPKLTGNLFCSPPSQTESH >ORUFI08G19750.1 pep chromosome:OR_W1943:8:20812156:20812657:1 gene:ORUFI08G19750 transcript:ORUFI08G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYDDTVAVDGGGRRRLRQRHCFRPRCRSICFRLMLKKRLAHGERGGRRGDDDLASIGLSTHLGVLLYFPLHGGDPVCDVHNSEAVTTTTATSIFGSVAGSGSYRGRSGQI >ORUFI08G19760.1 pep chromosome:OR_W1943:8:20821571:20826638:1 gene:ORUFI08G19760 transcript:ORUFI08G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVQPRQFGHLEPGSAPVRGAASSNGAKAYPPANGIPRRADSPVRGCGFPPLVSPPPRKPPSDGSDDEEEEQEDWRELYGSHLQLEVEPPHPLNCEPPLARLMHHGFITPAALHYVRNHGAVPRGDWSTWTVDVTGLVKRPMRLTMDELVNGFPAVEIPVTLVCAGNRRKEQNMVQQTVGFNWGAAGVSTSVWRGARLRDVLRRCGIMPSKGGALNVCFEGAEDLPGGGGSKYGTSITRQWALDPSRDIMLAYMQNGEPLLPDHGFPVRAIIPGCIGGRMVKWVKRIIVTTAESDNYYHYKDNRWVKRIIVTTAESDNYYHYKDNRVLPSHVDAELANADAWWYKPEYIINELNVNSVITTPGHDEILPINGITTQRGYTMKGYAYSAYGLHILAKVLEFDAIHSDKAKALLDTYRIGELITTGAGYSSDNSVHGASNLSQLAPIREAIKAPAPVALSSPRDKVPCQLVDKKELSRDVRLFRFALPSSDQVLGLPVGKHIFVCASIEGKLCMRAYTPTSMVDEVGHFDLLIKVYFKNEHPKFPDGGLMTQYLDSLPVGAYIDVKGPLGHGPLGHVEYTGRGEFVINGKPRNARQLAMIAGGSGITPMYQVIQSVLRDQPEDTTEMHLVYANRTEDDILLRDELDRWAAEYPDRLKVWYVIDQVKRPEEGWKYGVGFVTEEVLREHVPEGGDDTLALACGPPPMIKFAVSPNLEKMKYDMANSFIVF >ORUFI08G19770.1 pep chromosome:OR_W1943:8:20829410:20833224:1 gene:ORUFI08G19770 transcript:ORUFI08G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGGGRRLPKSSLAPSAASDRTPLLDPHVLHPRNLDLAFSRRDSDAASLCSSRPSSIGTGPSFAAPVTNFSDRASQAAALRVVNAYLAPAVSLRPPLPSAKDIVAAFRHLFECLDFPLHGAFEDDLLFVLRVLRCPFKLTRSALKAPGTPHSWPPLLSVLYWLTLLVNSSESGAGGDDSPAASNDLMLYITNSYSLFISGDDDSVASLDEEYSSKARAHAQAAVEASQALEKEALDLESKRTKLTSGPSRLEALQAEKEALTADVEKFEAVVKSWTVKIQEKEESSVHLEKELEAKLMDQQRIAAENEELMKKVDAQVVNVRDVDRMQREIQSVERDNVKLENGNATLEEKGWELEAAVVGKLEEIEGLVEQCNQALRKLKPGIDFQYMLNTKASSPVELLGTSYKTIMKPALNSLADEARRISILKHDESVELEKQSQRNAKILSEKKNHISVCQTKTDEMVARLDSLDVEIGNHVSRCKADARLMKDELEKKDHHLSTVEKESEEFLKISEKKLEDAKRETDEEIQMCARELLKLIDSVTEYKEFMETSISGMRKDLYETVDDISSLASKAASTRQTSAQFVM >ORUFI08G19780.1 pep chromosome:OR_W1943:8:20840071:20842895:-1 gene:ORUFI08G19780 transcript:ORUFI08G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVQPRQFGHLEPGSAPVCGAASSNGAKAYPPANGIPRRADSPVRGCGFPPLVSPPSRKPPSDGSDDEEEEQEDWRELYGSHLQLEVEPSVRDARDEGTADAWIERNPLLIRLTGKHPLNCEAPLARLMHHGFITPAALHFVRNHGAVPRGDWSTWTVEVTGLVKRPMRLTVDELRIIVTTAESDNYYHYKDNRVFPSHVDAELANADAWWYKPEYIINELNVNSVITAPGHDEILPINGITTQRGYTMKGYAYSGGGKRITRVEVTLDGGETWLVCVLDLPEKPTKYGKHWCWCFWSVEVEVLDLLGAKEIAVRAWDQSHNTQPEKLIWNLMGMMNNCWFKVKVNVCRPHKGEIGLVFEHPTQPGNQTGGWMARQKHLETAEAAAPGLKRSTSTPFMNTTDGKQFTMSEVRKHSSQDSAWIVVHGHVYDCTAFLKDHPGGADSILINAGTDCTEEP >ORUFI08G19790.1 pep chromosome:OR_W1943:8:20845888:20850827:-1 gene:ORUFI08G19790 transcript:ORUFI08G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGRGLLSLVSQLGPSRFSYCLTSFLSPEPSRLNFGVFATLNGTNASSSGLPVQSTPLVSTPLVVNAALPSLYFMSLKGISLGQKRLPIDPLVFAINDDGTGGVFIDSGTSLTWLQQDVYDAVRRELVSVLRPLPPANDTEIGLETCFPWPPPPTVTMTVPDMELHFDGGANIGSTTVSQYHVSTSLAFGNTKDIL >ORUFI08G19800.1 pep chromosome:OR_W1943:8:20853233:20858025:1 gene:ORUFI08G19800 transcript:ORUFI08G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVLVLALVAATLLPASHCSVSGVGFQLKLRHVDAHGSYTKLELVTRAIRRSRARVAALQAIAAAAATVAPVVDPITAARILVAASQGEYLMDLAIGTPPLRYTAMVDTGSDLIWTQCAPCVLCADQPTPYFRPARSATYRLVPCRSPLCAALPYPACFQRSVCVYQYYYGDEASTAGVLASETFTFGAANSSKVMVSDVAFGCGNINSGQLANSSGMVGLGRGPLSLVSQLGPSRFSYCLTSFLSPEPSRLNFGVFATLNGTNASSSGSPVQSTPLVVNAALPSLYFMSLKGISLGQKRLPIDPLVFAINDDGTGGVFIDSGTSLTWLQQDAYDAVRRELVSVLRPLPPANDTEIGLETCFPWPPPPSVAVTVPDMELHFDGGANMTVPPENYMLIDGATGFLCLAMIRSGDATIIGNYQQQNMHILYDIANSLLSFVPAPCNIVDQGHSLTVGIVQPRKLIVDTGSDLIWTQCKLSSSTAAAARHGSPPVYDPGESSTFAFLPCSDRLCQEGQFSFKNCTSKNRCVYEDVYGSAAAVGVLASETFTFGARRAVSLRLGFGCGALSAGSLIGATGILGLSPESLSLITQLKIQRFSYCLTPFADKKTSPLLFGAMADLSRHKTTRPIQTTAIVSNPVETVYYYVPLVGISLGHKRLAVPAASLAMRPDGGGGTIVDSGSTVAYLVEAAFEAVKEAVMDVVRLPVANRTVEDYELCFVLPRRTAAAAMEAVQVPPLVLHFDGGAAMVLPRDNYFQEPRAGLMCLAVGKTTDGSGVSIIGNVQQQNMHVLFDVQHHKFSFAPTQCDQI >ORUFI08G19810.1 pep chromosome:OR_W1943:8:20859849:20862190:-1 gene:ORUFI08G19810 transcript:ORUFI08G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLALLRGILGPGVAGAVFGVGWWFWVDAVVCSAVQVSFLHYLPADIIIFIKSSEALALCGIAGAVGLLVQDALTDKGPSVWTGVAGVLQCVLVLIRYFFKLTQLVYIYALWISVDYSDL >ORUFI08G19820.1 pep chromosome:OR_W1943:8:20863729:20864766:1 gene:ORUFI08G19820 transcript:ORUFI08G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSVVLALALWCLVADGEAVWMELSTTATKCFSERIQSNIVVIGDYDILFDGYPTRPILSIQVTSPYGKVLHHREKVMQGQFSFNTAEPGVYLACFSVDTLDKELGVALELTKLETAVQAVHGNLMYLRSKESDMRDVSDWTNFKITWLSLMSLSVCVIASIAQLWHLKRFFRKKKLI >ORUFI08G19830.1 pep chromosome:OR_W1943:8:20865502:20867002:-1 gene:ORUFI08G19830 transcript:ORUFI08G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGGGGGGGMDALPDGVVQHILSQLSSARDVAACAGVSRGMRGCVPFLPALYFPRGAFDAAGGAAAADDAIGRMVEAAARLEELVIYCPFSAARLPRWLAARSASLRVLELRMDSAVSSGAGSGHLDCIGAVANLEELRLWGLTMTRAPAWGQLERLRVLEIVGAAVRDVAVNGAVGACPNLTDLALIGCECSGAVAMTLPLVERCRLDFVGSGNCSLALAAPLVESLEIQGFCWISLQGGIRLKHLTIAKNTGTGSVYNIEIGKLPELEKLSLRGVQWSWGAISSVLQCAREVKYLVMKIEFCGDHDTLEPFPEVDLVDFFNSHPKLIKFEIHGAMFAAMCQKNSLKNLDSRFSIPCLEEVLITVRSPLNAELKLNTLESLVKYSPRMRRMVVRISQMKNCHGSADGFFEEICKFMYMNNGRVRIE >ORUFI08G19840.1 pep chromosome:OR_W1943:8:20868263:20868697:1 gene:ORUFI08G19840 transcript:ORUFI08G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSLPVDAASPVARVTGGGGQEVYENMALTASPARPHSWPKQHRRGELPASGNEVAQHAEPLLHHRWLTGGGDGRGCYGRRARRRHHDGMLGGIVGPGVRAEAAAASALARTSSSQRPPDAASPADPIQRDKGERERRGMKGR >ORUFI08G19850.1 pep chromosome:OR_W1943:8:20873440:20876743:1 gene:ORUFI08G19850 transcript:ORUFI08G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGGGGKLTRTPSSLLRSPTVRAASFQAVAGDDPEPDDKKAQGRRKKLALLRPAAHGLRPGPAQSVLLLVVALPLLALAVVVLRHDGAHHLVLLAAAAAAALAAAAAVARLRGRLRVRRAATGLPVSVRWFIGEGGGGDDDDDDEQHQHRKGKGKVDGLAVREGVEFYSNGDCYEGEFHRARCSGSGVYNFFGKGKYEGDWVDGKYDGHGVESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAAGQSHGIGAQTCSDGSSYAGEFKGGVKHGLGCYHFRNGDRYSGEYFADRIHGFGVYSFANGHCYEGSWHEGKKQGLGMYTFRNGDRRSGEWDAGALKNPLPLSDQAVQRAVLAAQRAADNAFHLPRVEEQVNRAVMAANRAATAARVAAIKAVQNRIDGKFCHTEV >ORUFI08G19850.2 pep chromosome:OR_W1943:8:20873440:20876743:1 gene:ORUFI08G19850 transcript:ORUFI08G19850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGGGGKLTRTPSSLLRSPTVRAASFQAVAGDDPEPDDKKAQGRRKKLALLRPAAHGLRPGPAQSVLLLVVALPLLALAVVVLRHDGAHHLVLLAAAAAAALAAAAAVARLRGRLRVRRAATGLPVSVRWFIGEGGGGDDDDDDEQHQHRKGKGKVDGLAVREGVEFYSNGDCYEGEFHRARCSGSGVYNFFGKGKYEGDWVDGKYDGHGVESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAAGQSHGIGAQTCSDGSSYAGEFKGGVKHGLGCYHFRNGDRYSGEYFADRIHGFGVYSFANGHCYEGSWHEGKKQGLGMYTFRNGDRRSGEWDAGALKNPLPLSDQAVQRAVLAAQRAADNAFHLPRVEEQVNRAVMAANRAATAARVAAIKAVQNRIDGKFCHTEV >ORUFI08G19850.3 pep chromosome:OR_W1943:8:20873440:20875463:1 gene:ORUFI08G19850 transcript:ORUFI08G19850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGGGGKLTRTPSSLLRSPTVRAASFQAVAGDDPEPDDKKAQGRRKKLALLRPAAHGLRPGPAQSVLLLVVALPLLALAVVVLRHDGAHHLVLLAAAAAAALAAAAAVARLRGRLRVRRAATGLPVSVRWFIGEGGGGDDDDDDEQHQHRKGKGKVDGLAVREGVEFYSNGDCYEGEFHRARCSGSGVYNFFGKGKYEGDWVDGKYDGHGVESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAAGQSHGIGAQTCSDGSSYAGEFKGGVKHGLGCYHFRNGDRYSGEYFADRIHGFGVYSFANGHCYEGSWHEGKKQGLGMYTFRNGDRRSGEWDAGALKNPLPLSDQAVQRAVLAAQRAADNAFHLPRVEEQVNRAVMAANRAATAARVAAIKAVQNRIDGKFCHTEV >ORUFI08G19860.1 pep chromosome:OR_W1943:8:20879826:20881837:1 gene:ORUFI08G19860 transcript:ORUFI08G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAVSKGAAVPSLAYEVTTTTSLSLVLAASAYSVLRSASMGAAVVRLDAYEDDGGDDGKKKAVAAARGGGECLSVVVGGGVRLRNIHRCLLFLVSWAVDASTSLGGGKAKTEARARARLRWDPNAAWPHICCNRTNRVNNTDLPATMTRSVFSKNAEASSKGKKFDTPTRVSRQKEAATDANLDDHGAESKR >ORUFI08G19870.1 pep chromosome:OR_W1943:8:20895804:20897830:-1 gene:ORUFI08G19870 transcript:ORUFI08G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRLLVLLAAASLLLATAVPAARAQEETDHEEEFTYISGDEKGPEHWGKLKPEWAQCGAGEMQSPIDLSHERVKLVRDLGYLDDSYRAAEASIVNRGHDIMVRFDGDAGSVVINGTAYYLRQLHWHSPTEHSVDGRRYDMELHMVHESAEKKAAVIGLLYEVGRPDRFLQKMEPYLKMIADKEDREEKVGMIDPRGARGRASVYYRYMGSLTTPPCTQGVVWTIVKRVRTVSRYQLDLLREAVHDEMENNARPLQAVNNRDISIFRPYPHKRY >ORUFI08G19880.1 pep chromosome:OR_W1943:8:20904819:20905076:-1 gene:ORUFI08G19880 transcript:ORUFI08G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVAITAVLCLLFPRAWPPCDARANMPGNLTRIRVVVDNDQVVTEAGWFDDARGQYDIGALEAMEHGQGDYDGDGDGDDKCNR >ORUFI08G19890.1 pep chromosome:OR_W1943:8:20925444:20929573:-1 gene:ORUFI08G19890 transcript:ORUFI08G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSTRARLLLAAAVLLLSAAPAARAQETDDERKFGYVPGTENGPGNWSRLDPRWAKCNTGNMQVVINGKAYKLKQLHWHTPSEHTVNGRRYDMELHLVHDDGNSNTAVIGNLYQIGNPDPFLLMLEPFIRRIADTKDKSEPIGVVDPQLAKSPDAVYYRYMGSLTTPPCTEGVIWTVFKRAQTVAQYQLDLLREAVADGYENNARPLQKVNNRNISIFIPDPKKD >ORUFI08G19900.1 pep chromosome:OR_W1943:8:20934354:20934653:-1 gene:ORUFI08G19900 transcript:ORUFI08G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATSSSSHHCARLRSRAALLLIIDNLSRIHDIGEVLLIVSHDGYFPEMDEFVRAGTGAPRRCQGSRAASPPHAPPSPPPCSHRHCPTFIPRDRRRC >ORUFI08G19910.1 pep chromosome:OR_W1943:8:20934532:20935147:1 gene:ORUFI08G19910 transcript:ORUFI08G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSPMSWMRERLSMMRSSAARERRRAQWWEEEEVAVVAIAAAAAAADLKSCRAAADAAVLDLYPVLEPVVKVGSVGANECGEVLGLSPRAPPQDRREREGAGAPRKREVEEGCMWISSAIACPVVRVRGGREVAAAAPPQDHRERGEEAGDIEEEGARGVEHVTRRPSGFVG >ORUFI08G19920.1 pep chromosome:OR_W1943:8:20936093:20937328:-1 gene:ORUFI08G19920 transcript:ORUFI08G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEEESEAARQKAAAASASVVPAPFLTKTYQLVDDPATDHVVSWEDDDGGESASSFVVWRPPEFARDILPNYFKHSNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKQLLCEIHRRKSAAATWPPFPPPPPPFFAPRHFAAGAFFRHGDGMLHGRLGALVTTTERRHWFESAALPVAPSSRLLSQLGPVIAPARRAAATPEEEALMQENHRLLRGNAALVQELAHMRKLYSDIIYFVQNHVRPVAPSPAAAAALHGLGVLRPPPAGGKAPASEVRGASGRSATSSSSLTVAEDQPTLLALRLPRTTEKIINEVSGGNGGGSTKLFGVHLSSADEQTSSGASRKRSPPQEQPPTSPAPKRTLVVEHSELRLSIVSPP >ORUFI08G19930.1 pep chromosome:OR_W1943:8:20959913:20960653:-1 gene:ORUFI08G19930 transcript:ORUFI08G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDMLNSNPEAQLELMNTMLQLEQLSAFPDHHGMVVPCSPTSPCMGAQGGHHHFSSVNHQPAHGVVSSGGANTGDGYRDQYYTQLLPAAAYSNAAGGGRGSEYHTTTTTRPASGGGGDGGVGPAAMREMIFHIAALQPVNIDPETVRPPKRRNVRISTDPQSVAARMRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAAAANGHRPPPPTATSAAAATVAYPGLNGQW >ORUFI08G19940.1 pep chromosome:OR_W1943:8:20972236:20973286:1 gene:ORUFI08G19940 transcript:ORUFI08G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLAFCEEKGEEREEETDRRIPGGTASGGGGTAGRYTDIAADLIDSDSDESRDRSRKRSRSQKGPKERSSRKKKSRRDGSTRKKEASEGARFALEDGNGGTGYVMSGSRHARITAVRLRKENQVYSAEEKRALAAFNSEQRARRESKVRDDLRCLVDRTLGKLAGSDHDDDPSSAR >ORUFI08G19950.1 pep chromosome:OR_W1943:8:20978593:20982912:1 gene:ORUFI08G19950 transcript:ORUFI08G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGELHSGGGGGEGVLVGRGWRKEEAEGGGGGGGGGGGCSASSTSRGSSLCDSPLPSFVRHRGGPGSDLELDGLPTSSSNASSGSHEEDHGPLQGVKGEGWMQVQGPIKNSAARSTGECQDQRYRLGSVLFHGKNERKQRPASVDFGCPSVDRSSTHSPGFLVNGTGAMNKGLSVSSQNKPGAPTSPGTPSYNRQGATVVGYQQGWSSERVALSSNGQRRHSGNSMVLPHNTGRTLPSKWEDAERWIFSPNPSNALGRTSIPQSRRPKAKSGPLGPPGRFSEPYSSVSSSSYLLDTGRVGNLTANSPFLAGVLLPEHVCVSSSHAGRDLSGASGEDKSNGMGGRSGEANGAHPAVWSTRVCQRMDSAVQSSPSLPTSQESVQACTDEQIEITTDLTTSSKPEISRKDVATQTSPELSRSSSPSGRPSFSRSLSVQQVKELESCFSKLEIRDVQMDDRVTLTRWSKKHVTRGSEKNSTNIIEWKKKTVESKSSAWEVTETAKCISKIEGEEAKMTAWENLQKAKAEAAIQKLVMKLEKKRSYSLERIFNTLRSAHRKTHVIRSTTTTNLDQHISRTVKRPSHLSKNGQMSSLSGCFTCHAF >ORUFI08G19960.1 pep chromosome:OR_W1943:8:20984692:20991535:-1 gene:ORUFI08G19960 transcript:ORUFI08G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEEGRVVVDLRSAAESAGGGGGDEEAHAAPLHEIESLCMRCGDNGTTRLLMTMIPHFREVVLMAFECPHCGERNNEVQFAGQLQPKGCCYRLEILNRQVVKSDSATIKIPELDFEIPPEAQRGSLSTVEGIIMRAVDELQALQDERKKVDPQKAEAIDKFLAKLRSLGLGEAAFTFVLDDPAGNSFIENQNAPSSDPLLSVRFYERMREQQAALGFLVEPSTEEPGDQPVNHASTVEGNSEVLQEPHGSVGAVAGRRAIAQGNPDEVAAALCRYSAPEEVDTLPSTCGACGTECVTRFFATKIPYFREVIVMATTCDMCGYRNSELKPGGEIPAKGKKITLRVQNGKDLTRDVIKSDSAGVKVPELELELASGTLGGIVTTVEGLIVKICEALQRVHGFHLGDSTLEWKKKKWEDFNDRLSKLLSLQEPWTLIIDDGLAASFVAPATDSLEDDNQLTIEEYVRSWEQNEELGLNDMDTSSADAAYNTTNP >ORUFI08G19960.2 pep chromosome:OR_W1943:8:20984692:20991535:-1 gene:ORUFI08G19960 transcript:ORUFI08G19960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEEGRVVVDLRSAAESAGGGGGDEEAHAAPLHEIESLCMRCGDNGTTRLLMTMIPHFREVVLMAFECPHCGERNNEVQFAGQLQPKGCCYRLEILNRQVVKSDSATIKIPELDFEIPPEAQRGSLSTVEGIIMRAVDELQALQDERKKVDPQKAEAIDKFLAKLRSLGLGEAAFTFVLDDPAGNSFIENQNAPSSDPLLSVRFYERMREQQAALGFLVEPSTEEPGDQPVNHASTVEGNSEVLQEPHGSVGAVAGRRAIAQGNPDEVAAALCRYSAPEECLVFDLWLEIPYFREVIVMATTCDMCGYRNSELKPGGEIPAKGKKITLRVQNGKDLTRDVIKSDSAGVKVPELELELASGTLGGIVTTVEGLIVKICEALQRVHGFHLGDSTLEWKKKKWEDFNDRLSKLLSLQEPWTLIIDDGLAASFVAPATDSLEDDNQLTIEEYVRSWEQNEELGLNDMDTSSADAAYNTTNP >ORUFI08G19970.1 pep chromosome:OR_W1943:8:20994826:21001384:1 gene:ORUFI08G19970 transcript:ORUFI08G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSPVGIGDPTMGNRLMSGVAGIGGGAITVAPVDTSVGQMDSAGKGDGDLSSPMAPVPYPFEGVIRGRRSGGNVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKEQNMELQKKQEEIMEMQKNFFPEMQKNQVLEAVNNPYGQKKRCLRRTLTEGCIMSEEFMPKMINMGSHLTRKNKPDQSDTTVEFHPRPDVLLQGAGEGMLEKSISDWM >ORUFI08G19980.1 pep chromosome:OR_W1943:8:21020047:21021243:-1 gene:ORUFI08G19980 transcript:ORUFI08G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRMAGLSPAAHAAGLRRLSTRAAAGPSSASASPRHGLHSFHALAGAVLSHLRASGVAVLPGLSDAELARAEAEMGFAFPPDLRAVLAMGLPSGPGFPDWRTRAGLRSAFDLPIAAASLQIARGALWPRCWGPRPADPDRALRLARSSIRRAPLLVPLFDRCFLPCRPCLAGNPIFFVTDDRVLCCGLDILHFFTRDSSFQPLDLRPPSSSSSVAPSSGEATPYMRRSLDAACGGKAPRWIEFWSDAASDRRRRDSSSSEASTASSSSGCASPPARRSRTPHWVDTYLDRLGSVLKSGGWRDTEVNEMVEVTASGLFDGEEAPAVDADAVLDALLLKADRCSDSLRRAGWSSEDVSDALGLDLRRCKERPRPAVQLPPEIAVKVERLAKSVARR >ORUFI08G19990.1 pep chromosome:OR_W1943:8:21023720:21031860:1 gene:ORUFI08G19990 transcript:ORUFI08G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCPTLELAGGRVLHAGARRRRPRPPRRSSSSAPPELVVGIADVSSSTLAAPTVARALVSQGGGEGAIPLGQYPNGIPNLAAPAGESANAKDHGVYQL >ORUFI08G20000.1 pep chromosome:OR_W1943:8:21032562:21040875:1 gene:ORUFI08G20000 transcript:ORUFI08G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSHSQSQAQAQSQAGRRRRCGGLLPLLVGAAFLAEIAFLGRLDMAKNAAAVESWTTSFYARSSAPARDGKAAVVVPGADADDAPPGGGEVVEEDDGDIRLCEERLEREDGVPHDRDFDKDPVLVGGAAKVSYLFSRSAPPRALPFQFGDPPPCALAFELGELLLDCSAQQDWNKCSVGCEFGFSATKTPDATFGIAPDPTVESILRSMESSQYYSENNIAVARGRGYKIVMTTSLSSDVPVGYFSWAEYDIMAPVPPKTEEALAAAFISNCGARNFRLQALEMLESLDVKIDSYGSCHRNHDGKVDKVETLKRYKFSLAFENSNEEDYVTEKFFQSLVTGAIPVVIGAPNIQEFSPGEGAILHIKELDDVPSIAKTMKHIASNQEAFNQSLRWKYDGPSDSFKALIDMAAVHSSCRLCIHVATKIHEKEERTPKFMNRPCSCSSKRGKVRPSHLSNSREDACSSNNRGFMDAVMVRCRQCQASRSDQLTMGALESAVLAKFRSLNHVPVWKDERPPSIRGGDELKVYKIYPIGLTQRQALYQFRFRDDADLDKYIKDHPCAKLEVIFV >ORUFI08G20010.1 pep chromosome:OR_W1943:8:21042240:21043851:1 gene:ORUFI08G20010 transcript:ORUFI08G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSADIHDDVSHNQCSTKQGGGGHDGGAGQQCGPSEGAVASYGSWLSSTGASTIRHAALYRWGEEDELQFSAER >ORUFI08G20020.1 pep chromosome:OR_W1943:8:21048171:21053058:-1 gene:ORUFI08G20020 transcript:ORUFI08G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLFFVFVTAAVLCFVVPAFLLLCTSVQRRRDVGQGGGRDWQKKKKLRLPPGSMGWPYVGETLQLYSQDPNVFFASKQKRYGEIFKTNLLGCPCVMLASPEAARFVLVSQARLFKPTYPPSKEQMIGPSALFFHQGEYHLRLRRLVQAALAPDSLRALVPDVDAAVAATLAAWSGGHVASTFHAMKKLSFDVGVVTIFGGRLGRRHREELRTNYSVVERGYNCFPNRFPGTLYHKAIQARKRLRAILSEIVAERRARGGGGGGGGDDLLGGLMRSRDDGTAGAVALLTDDQIADNVVGVLFAAQDTTASVLTWILKYLHDSPKLLEAVKAEQMAIYVANEGGKRPLTWTQTRSMTLTHQVILESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHHNPDYFQDPQKFDPSRFKVAPRPSTFLPFGSGVHACPGNELAKLEMLVLVHRLVTAYRWEIVGASDEVEYSPFPVPRGGLNAKLWKQEAEEDMYMAMGTITAAGA >ORUFI08G20030.1 pep chromosome:OR_W1943:8:21088972:21097188:1 gene:ORUFI08G20030 transcript:ORUFI08G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHHVTLCCVVFAVLCLASSLAQAQVLFQGFNWESWRKQGGWYNFLHEKVEEIASTGATHVWLPPPSHSVSPQGYMPGRLYDLDASKYGTEAELKSLIEAFHDKNVECLADIVINHRCADYKDSRGVYCVFEGGTPDGRLDWGPDMICSDDTQYSNGRGHRDTGAGFGAAPDIDHLNPRVQRELTDWLNWLRTDLGFDGWRLDFAKGYSAPLARIYVDNTNPTFVVGEIWSSLIYNGDGKPSTNQDADRQELVNWVEGVGKPATAFDFTTKGILQAAVQGELWRLHDGNGKAPGLMGWMPDQAVTFVDNHDTGSTQSLWPFPSDKVMQGYAYILTHPGIPCIFYDHVFDWNLQHEIATLAEIRSRNGIHAESTLDILKAEGDIYVAMIDSKVITKLGPRYDAGGIIPSDFHVVAHGNDYCVWEKEGLRWMVAVERQSTTEGEDNGGGFRVRRLGQRGRRMCAPLQATRKRGDVDLGKGDDSPHWLSTLSSSPARHAVAAAASSPPLSFPNADLVIRHEHIDQPSSTRDRSVVVSSNSLSNTVSAYTDMKNTSSLCLLLLVVLCSLTCNSGQAQVLFQGFNWESWKQQGGWYNMLKGQVDDIAKAGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTAAELKSLIAAFHGKGVQCVADVVINHRCAEKKDARGVYCVFEGGTPDDRLDWGPGMICSDDTQYSDGTGHRDTGEGFGAAPDIDHLNPRVQRELTDWLNWLKSDVGFDGWRLDFAKGYSTDIAKMYVESCKPGFVVAEIWNSLSYNGDGKPAANQDQGRQELVNWVNAVGGPAMTFDFTTKGLLQAGVQGELWRLRDGNGKAAGMIGWLPEKAVTFVDNHDTGSTQKLWPFPSDKVMQGYAYILTHPGVPCIFYDHMFDWNLKQEITALAAIRERNGINAGSKLRIVVADADAYVAVVDEKVMVKIGTRYDVGNAVPSDFHQTVHGKDYCVWEKGSLRVPAGRHL >ORUFI08G20040.1 pep chromosome:OR_W1943:8:21107061:21108060:1 gene:ORUFI08G20040 transcript:ORUFI08G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRVRFDVNPVFKRESRSCSRPLQTHTETRAQQPAARRTQTHMTNRISAMGGNPEYMIRFDGHIDDASPSSATAEPPPPLPPPRPFAGRAISAEREHSVIVATLLHVISGYRTPPPEVFPAARAEVCGVCGMDQCLGCEFFAGESGVVSFDGAEKVAAAAAAAEAAGAEEEEEEEEQRPWGKWAAEIRDPRRAVRKWLGTFDTAEEAARAYDRAAVEFRGPRAKLNFPFPEQLSAHDDSNGDASAAAKSDTLSPSPRSADADEQVEHTRWPQGGGGGGGGGGGGETGDQLWEGLQDLMQLDEGGLSWFPQSSDSWN >ORUFI08G20050.1 pep chromosome:OR_W1943:8:21114023:21114812:1 gene:ORUFI08G20050 transcript:ORUFI08G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPEQQQPPLPHHQLMAPPRMSFSSDFALEPPPPPSGPGRASMGDADFEFSAVGSRPMIAADQLFSKGRILPMREVSGGGGGGGRAVTLRDELRGHDGAAVADGRHRRAARPAGSGGGGGGGVRWKEMLGLKRPGHRKHGAADEGGASTHDLDHMLKRIVPEMGE >ORUFI08G20060.1 pep chromosome:OR_W1943:8:21119535:21121163:1 gene:ORUFI08G20060 transcript:ORUFI08G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKREDAAAAADGEIPAAAARMASSSSSSSSWGAICDDVVRNIFARLPARDAVASMALSRHHRRLIASPEFARLHCRHGAPLPRPHIAYVATAVVATHRDMFGRVKSLEQWAEQRAKHGFGTGAFACQSSYDDPEQDRSWTYHGFHVAGDGRRRGTDPMRVLARQKRNDQNYVGTCNGVVLLAGKGEAEDDDPSIGLLLNPAVAGDEMKVSLDCSSPDKRTNYHISGLGYGPRTRTYKLLARKHEFVLNLKVYPNGRKTRGRVSGQPLYIDRADELVVYSLGTAAEQQPRTVLAGLDNDKIPGRSLYMDGAVYLLNADKGTVLAFDVDDEAITPIDLPCERVVVEGGGEPRSHAKSDLMEMSGRVCVATADGGDETRYAIWLLMGDRRWERRCAFRNDYLSSAAKVAGVWDCGSGLLILLQSGDEISIVIHDDVTQEVSHLDAPPNASPEESDYRICWGYKPTLVSPASIIGDGELSQHEQQRRDLAAYVLAALKPAKELDRRMGQHAALRTVCFMEFLVRIMQKLPSLLHHGITDLDRFY >ORUFI08G20070.1 pep chromosome:OR_W1943:8:21122588:21123319:-1 gene:ORUFI08G20070 transcript:ORUFI08G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVRRVGSDLSSGIYSAAASHGHQQQTTSDNGHGSANDDDDDDVAKAKQRLSSLLFSGVGTWRRSVDGSGGDSKRQVKGNSSRGGGGGLDIAQLVKKYASMVERLFFASSLSNNRRRGGGDQSGRRTELRRRRHSFIISGLRRGAAAAAAAPSKRHEGSWLFSAPASLRGSPVASGHLSVKVSTSSEESTMEELHSAVQAAIAHCKNSIAAAAPSSRPAAATTPTGVNADAVVACTSSRAS >ORUFI08G20080.1 pep chromosome:OR_W1943:8:21124478:21126516:1 gene:ORUFI08G20080 transcript:ORUFI08G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSNISTQKTHYEVLSVNEGATYDEVRAGYRAAILNAHPDKSQAKLDSLVSSVEHGEFFSVQKAWEVLRDPKSRTEYDKQLQTSRQNSANIAYEIEIEEMTVESTGDAMELMYPCRCGDHFSILSSDLAEMGILVDDDGEIYFQSSDCVSASVVLSCGSCSLKTRLSPYGLYPFESF >ORUFI08G20090.1 pep chromosome:OR_W1943:8:21128403:21140948:1 gene:ORUFI08G20090 transcript:ORUFI08G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLELQDGIRMPWNVIPGTKQDAANCVVPVSAIYTPLRPNPAIPVLPYGPLRCRMCRSILNPFCVVDYVAKIWVCPFCFQRNHFPQHYSSISESNLPAELFPQYTTVEFMSTAETGPVVPPVFLFVVDTCMIEEEIDYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKDQILEQMCFFAGKTKPTTGVIAGSRDGLSAESISRFLLPASECEFVLNSIIEELQKDPWPVSADQRASRCTGTALSVAASLLGVCVPGSGARIMAFIGGPSTEGPGSIVSKSLSEPIRSHKDLDKGSAPLYTKAVKFYEEISKQLVHQGHVLDFFACALDQCGDGGSRWGRPGLSGRRSSQASAAASPPVVAAMVLVVEPSPPMQPASPPGLPPRARHRRLLRLQIHSVGVAEMKVAVERTGGIVVLAESFGHSVFKESLQRIFQSSDNDLGLSFNGIFEINCSKDVKIQGIIGPCTSLEKKSPLSSDTVVGQGSTSAWKMCGLDRKTSICLVFDIAKKDGPDAISQSTSNQFYFQFLTYYQHHEGQMRLRATTLSRRWVAGSGGVQDLIDGFDQEAAAAVMARLVSFKMEAEADFDPIRWLDRALISMCSKFGDYQKETPSSFSLSPRLSIFPQFMFNLRRSQFVQVFNNSPDETAYFRMMLNRENVANAVVMIQPSLISYSFQSGPEPVLLDVTAIAADRILLLDSYFTIVIFHGITIAQWRKAGYQDQQGHEAFAQLLKAPREETDSIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPPPPGGDVIFTDDVSFEVFMDHLQRLAVQ >ORUFI08G20090.2 pep chromosome:OR_W1943:8:21128403:21140948:1 gene:ORUFI08G20090 transcript:ORUFI08G20090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLELQDGIRMPWNVIPGTKQDAANCVVPVSAIYTPLRPNPAIPVLPYGPLRCRMCRSILNPFCVVDYVAKIWVCPFCFQRNHFPQHYSSISESNLPAELFPQYTTVEFMSTAETGPVVPPVFLFVVDTCMIEEEIDYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKDQILEQMCFFAGKTKPTTGVIAGSRDGLSAESISRFLLPASECEFVLNSIIEELQKDPWPVSADQRASRCTGTALSVAASLLGVCVPGSGARIMAFIGGPSTEGPGSIVSKSLSEPIRSHKDLDKGSAPLYTKAVKFYEEISKQLVHQGHVLDFFACALDQCGDGGSRWGRPGLSGRRSSQASAAASPPVVAAMVLVVEPSPPMQPASPPGLPPRARHRRLLRLQIHSVGVAEMKVAVERTGGIVVLAESFGHSVFKESLQRIFQSSDNDLGLSFNGIFEINCSKDVKIQGIIGPCTSLEKKSPLSSDTVVGQGSTSAWKMCGLDRKTSICLVFDIAKKDGPDAISQSTSNQFYFQFLTYYQHHEGQMRLRATTLSRRWVAGSGGVQDLIDGFDQEAAAAVMARLVSFKMEAEADFDPIRWLDRALISMCSKFGDYQKETPSSFSLSPRLSIFPQFMFNLRRSQFVQVFNNSPDETAYFRMMLNRENVANAVVMIQPSLISYSFQSGPEPVLLDVTAIAADRILLLDSYFTIVIFHGITIAQWRKAGYQDQQGHEAFAQLLKAPREETDSIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPPPPGGDVIFTDDVSFEVFMDHLQRLAVQ >ORUFI08G20090.3 pep chromosome:OR_W1943:8:21128403:21140948:1 gene:ORUFI08G20090 transcript:ORUFI08G20090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLELQDGIRMPWNVIPGTKQDAANCVVPVSAIYTPLRPNPAIPVLPYGPLRCRMCRSILNPFCVVDYVAKIWVCPFCFQRNHFPQHYSSISESNLPAELFPQYTTVEFMSTAETGPVVPPVFLFVVDTCMIEEEIDYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKDQILEQMCFFAGKTKPTTGVIAGSRDGLSAESISRFLLPASECEFVLNSIIEELQKDPWPVSADQRASRCTGTALSVAASLLGVCVPGSGARIMAFIGGPSTEGPGSIVSKSLSEPIRSHKDLDKGSAPLYTKAVKFYEEISKQLVHQGHVLDFFACALDQVGVAEMKVAVERTGGIVVLAESFGHSVFKESLQRIFQSSDNDLGLSFNGIFEINCSKDVKIQGIIGPCTSLEKKSPLSSDTVVGQGSTSAWKMCGLDRKTSICLVFDIAKKDGPDAISQSTSNQFYFQFLTYYQHHEGQMRLRATTLSRRWVAGSGGVQDLIDGFDQEAAAAVMARLVSFKMEAEADFDPIRWLDRALISMCSKFGDYQKETPSSFSLSPRLSIFPQFMFNLRRSQFVQVFNNSPDETAYFRMMLNRENVANAVVMIQPSLISYSFQSGPEPVLLDVTAIAADRILLLDSYFTIVIFHGITIAQWRKAGYQDQQGHEAFAQLLKAPREETDSIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPPPPGGDVIFTDDVSFEVFMDHLQRLAVQ >ORUFI08G20100.1 pep chromosome:OR_W1943:8:21130148:21134811:-1 gene:ORUFI08G20100 transcript:ORUFI08G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAGLSPAATQRHWVHHNTPKLLRPFVLSEPGPSVDGPPMNAIILAPDPGTQTPNRLAATLNAVPVHLDAR >ORUFI08G20110.1 pep chromosome:OR_W1943:8:21140765:21141878:-1 gene:ORUFI08G20110 transcript:ORUFI08G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISSALLLLLNMAGALLSPRAPPPAPAALTGAGDGEDVDFFFFPFLVLYKSGRVVRFIGTDTVPASMDPATGVASKDVVIDADAGLAVRLYLPNVANLTAGKRGGGDKLPVVVFYHGGGFVTESAFSPTYHRYLNALVSKARVVAVSVEYHLAPEHRLPRAYDDAWAALRWVLENAGAGPEPWLSRHGDTARLFLVGDSAGGNIAHNVAMRAGGEGGAARRRGHPRRGSPRPLLLGEAAGAVGDGGPGDAAVAGADVGVRVRGARRLGRARVLVTVASLDTLSARGRAYVAAARASGWGGEAVLYETPGENHVYFLVEPDGEKAAKEMDAVVAFINEGEQVITASRMDA >ORUFI08G20120.1 pep chromosome:OR_W1943:8:21146314:21150520:-1 gene:ORUFI08G20120 transcript:ORUFI08G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSLFALLVVAAAMCASVASALRSRAATDPSMEVKFDFTPFLIQYRSGRVQRLMGTTVVAPSLDVRTGVVSKDVVVDRSTGLAVRLYRPKHRGGRLPVLIYFHGGAFVVESAFDPVYHNYLNALAAKAGAIAVSVNYRLAPEHPLPAAYDDAWTVLRWVAADMQRGADSWLARRGDASRLFVAGDSAGGNIAHNLAMRAGQHGGGATIRGVALLDPYFLGKYVDPTAQRAWGFICAGRYGMEHPYVNPMALPAASWRRLATSRVLMTVSDLDRLGPWQRAYVDALRGSGWPGEARLYVTPGEGHCYFLNNLESPKEAMHMATLAAYRKNIAVSSTSVLSFTVGCDDCLSVWLISPPRFTKHNKQKTINSVQDLVITLLIPAMNPDTEVDFDFSPLLIRYKSGRVHRLMGTARVDAGTDAVTGVTSKDVVIDAQSGGLAARLYLPGGVPRCEKLPVVVYFHGGGFVVHSAFSRVHSRFLNALVAAAGVVAVSVDYRLAPEHPLPAAYDDAWAALRWTVASCSASGGPEPWLAEHGDAARIFVAGDSAGANIAHNVTMRAGKDGLPGGARIEGMVLLHPFFRGGELMPSERADPELPRRAERSWGFMCAGRYGIDHPFINPLSTPAEEWAALGCRRALVTVGELDTMRDRARMYVEVLRGSAWEGEEAALYETGGEGHVYFLEEAAAAAGGDKAEAELDAVVSFIKRSSAAT >ORUFI08G20130.1 pep chromosome:OR_W1943:8:21154856:21155818:1 gene:ORUFI08G20130 transcript:ORUFI08G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPDDEITFEFLPLIRCYRSGRVDRLLPDTRVPPSVDATTGVASRDVTIDPATGLWARLYLPDLDGGERKLLPVVVYLHGGGLVVGSAADALEHGFANRLCARARALVVSVDYRLAPEHPVPACYDDAWSALQRAVAAASADPWLRDHGDRERVFVLGYSSGGNIAHNVTLRAGAEELPGGASVKGMALLHPYFMAAKKADGEVKNAWLRGKLEEMWALACGGGRTTAGLDDPRINPVADGAPSLRRLGCDRVLVCLADDELEVRGKAYYDGLLESGWAEDAAELLVSGEDHEYVHRDPDSAKAVVVMDRLAALFGGKN >ORUFI08G20140.1 pep chromosome:OR_W1943:8:21156506:21157489:1 gene:ORUFI08G20140 transcript:ORUFI08G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPTAPPAASADDEIVYESMPCIRIYKNRVERYFGSEFVAASTDAATGVASHDRVISSNVSARLYLPRLDDSAAAKAKLPVLVYYHGGGFCLGSAFNPTFHAYFNTFAALANALVVSVEYRLAPEHPVPAAYADSWEALAWVAGHAAGDGDEAWLVDHADFSRLYLGGESAGSNIAHHMAMRVAEEGLPHGAKIRGLVMIHPYFLGTNRVASDDLDPAVRESLGSLWRVMCPATTGEDDPLINPLVDGAPVLDALACDRVLVCIGEGDVLRDRGRAYYDRLTSSGWRGEAEIWQAPEKGHTFHLLEPHCDAAIAQDKVISGFLNR >ORUFI08G20150.1 pep chromosome:OR_W1943:8:21163221:21163541:1 gene:ORUFI08G20150 transcript:ORUFI08G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHKVVFCTCILIFIVVVISGQAEARRLAAVANGNEDAVAVEGDGSFRAVQETASSASTDHAAAGRGGGGAAAAVQGSMPMTTTDSRPTAPGNSPGIGNKGKINN >ORUFI08G20160.1 pep chromosome:OR_W1943:8:21180946:21181455:-1 gene:ORUFI08G20160 transcript:ORUFI08G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVAPGGGEPGQRVGGVGGVELAALADRVGGLGGVEVAAGAAASTIASEAWVAAAGMEARLLWPPAGCGRPAARGGSSVRAGQRVDVGGRRRRLRIRRKLQRWRMARSQRPSSRWRGGDGGGVGGGATAAVVEARGRRTRAVAAVAVVVVATVAVPTAGGGTTVSDS >ORUFI08G20170.1 pep chromosome:OR_W1943:8:21183364:21190558:1 gene:ORUFI08G20170 transcript:ORUFI08G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKETSPGVTDRYQAANKTEEAVVKRTPPPPTRRRRRNITREYETNRTRDHHFTAGFCLAFWAPPRLAAAAASVGSSDPTSPIGRSCQWPPNLTLVVIGDVHGAGEAMSGSVGRRTSPAAVRHGGVRAPPRSDKPRVVFNSLSLVASKRVHFVFFSALIKVMERPNSKIPAMKASSSNAAAPQMIMNRSQSRRDRKIALQQDVDKLRKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEQVVSFRQGLYEEAVTISMAKSAYFSDTDRCTPARHGQVPDQAASASWSSLKRVTNVKQTPRRTIPSMNHGGDRPGKENQSCTTNSFRDHSRFPLKTVPKCSNPEEEKCADFQTVSAVKDQKGTEDTTVIDSENISTEANKVSEELLTCLLNIFSQMRSSSDQDEDRSSSPSVSGSCESSDGAACAGDPYGVLELGSRDIGPYKQFRAVDATSFDQNVFDNSNSLLDRRLNVYLAKIRALLQKLSSVDLVGLSHQQKLAFWINTYNSCMMNATINVGGRVLSAMTIEHFILRLPYNAKHLRVNPKGVKSGNGAAAAARGVFGLDWPEPSVTFALSCGSWSSPAVRVYTACHVEEELEAAKRDYLQAAVGVSTATSISIPKLLHWYLLDFTKDVSSLMDWVCLQLPGERRRHAVEAVEASRRSPSPPPIQVVPYEFRFRYLLAT >ORUFI08G20180.1 pep chromosome:OR_W1943:8:21198633:21202949:1 gene:ORUFI08G20180 transcript:ORUFI08G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVSGSNSSRGIAAVVGVGPRLGSAVARKFASEGYTLSQLAEEIAQEAKAQVFALRVDCADARSVREAFEGVLSLGPVEVLVYNACEPPADGDGDASPRPTPFLAISPDAFHRALAVSAAGAFYCAHQVIPGMVERGRGTVIFTGSSASVTGYAGYSDLSCGKFALRGLSQSLAKEFQPAGVHIAHMIIDGVIGEPRSGRGRCGGETASSAGADPDAVAQSYWHVHAQDRSAWTQEMDIRSPSLM >ORUFI08G20180.2 pep chromosome:OR_W1943:8:21198529:21202949:1 gene:ORUFI08G20180 transcript:ORUFI08G20180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGDGGCGCVRWCREAVAAGGGDRAGGEGAGVRAAGGLRRRAVRARGLRRGALARPRRGARLQRLRATRRRRRRRLPAPDSLPRHLPRRLPPRPRRLRRRRLLLRPPSYTGDGRAGQGHRHLHRLVGVGHRLRRLLRSKLRQVRAERAVPVAGQGVPAGRRPHCSHDHRRRHRRTQVREGEVRRRDGVVGGGGPGRGGAELLARPRAGQERVDAGDGHPVAVAHVVGRPIGEVERHAL >ORUFI08G20190.1 pep chromosome:OR_W1943:8:21203536:21207058:-1 gene:ORUFI08G20190 transcript:ORUFI08G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCLPPPPRAAIAAVLTSLLPPPPGASASLAPAGPAAAVARQIRRNDGHHPGAAVGMSSASVAERERESEAGEFTEVVVVRHGETAWNASRIIQVPCSVPPAPSPIYFSEALVFNFGEKLWLGKLGRINDSLVGHLDVELNEIGRQQAVAVWYDIFQIAVVFDPALRERHIGDLQGLKYEDAGKEKPEAYRAFLSHKRNRQIPGGGESLDQLSERCVSCLYNIVEKHQGERIILVSHGGTIRELYRHASPMKPLHGKIHNTSVSVILVSGATGRCIVKACGDISHLKETGVLENAFGGDKNSA >ORUFI08G20200.1 pep chromosome:OR_W1943:8:21209968:21210732:1 gene:ORUFI08G20200 transcript:ORUFI08G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLIDGAASLRSLLRPSSDGRRTTKLSGGGGGGGAGGVGGIFKMFKLLPMLTTGCKMAALLGRHSGGRAAPLLADHAPTVTLFGHRRGRLSLAIHEDTRAPPAFLIELPMLASAMHREMATGTVKLALESDTRSARRRLLEEYVWAVFCNGRKAGYAIRRKDASDDDRHVLRLLRGVSMGAGVLPTPPADRRGGAGPDGELTYMRARVERVVGSKDSEAFYMINPDDGSDNGGAAGRDRECAPELSIFLVRKK >ORUFI08G20210.1 pep chromosome:OR_W1943:8:21226584:21235367:-1 gene:ORUFI08G20210 transcript:ORUFI08G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTNQSSGSAPLTVNPVVQRAVVGAQLPTTSPCRTPKSPPPSYGSIVTVLSIDGGGVRGIIPGTILASLEEKLQKLDGADARIADYFDVIAGTSTGGLVTAMLTAPNDQGRPLFAAKDINDFYLKHCPKIFPPRSIPIVGLFQSMAGPKYDGKYLHSVVQSLLGDKRVNETITNVVIPTFDIKLLQPITFSRFDAQIDVSKNALLSDVCISTSAAPTYLPGHRFQTKDKDGKPREFNLVDGGVAANNPILLGKQDDFFPIKPADYGKFMILSLGTGTAKIEEKYDAVQSGKWGMINWVYHDGSSPLIDSFSQASADLVDIHASVLFQALHCEKSYLRIQDDELTGDTASVDVSTPENLNRLVDVGKALLKKRACKVNLETGKNEPDMSRGTNEEELDHFAKMLSDERKARLQKKACLHLVFPMAPVQTPEQSNGSLTLNPVVQRVLSRGKSLLSPSTPRSPPPSYGSIVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGPEARLANYFDVIAGTSTGGLVTAMLTAPNDNGDPLFAARDINDFYLEHCPRIFPPVSKGPLGLFKSMTGPKYDGRHLHSVVQQLLGDKRVDSTITNIVVPTFDIKLLQPTIFSTYDARKDVSKNALLSDVCISTSAAPTYLPGHRFETTDKDGKPREFNLVDGGFAANNPTLLAMTHVTKQILLGCQDFFPIKPADYGKFMILSLGTGSAKIEKKFDAVESGRWGVLGWLFNKGATPLIDSFSQASADLVDIHASVLFQALHCEKRYLRIQDDELTGDAASVDVSTPENLQRLVGVGKALLKKQACKVDLETGKNEPDMNRKSNEEELVLFAEMLSRERKARLQKKQGSMKI >ORUFI08G20210.2 pep chromosome:OR_W1943:8:21226584:21235367:-1 gene:ORUFI08G20210 transcript:ORUFI08G20210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTNQSSGSAPLTVNPVVQRAVVGAQLPTTSPCRTPKSPPPSYGSIVTVLSIDGGGVRGIIPGTILASLEEKLQKLDGADARIADYFDVIAGTSTGGLVTAMLTAPNDQGRPLFAAKDINDFYLKHCPKIFPPRSIPIVGLFQSMAGPKYDGKYLHSVVQSLLGDKRVNETITNVVIPTFDIKLLQPITFSRFDAQIDVSKNALLSDVCISTSAAPTYLPGHRFQTKDKDGKPREFNLVDGGVAANNPILLGKQDDFFPIKPADYGKFMILSLGTGTAKIEEKYDAVQSGKWGMINWVYHDGSSPLIDSFSQASADLVDIHASVLFQALHCEKSYLRIQDDELTGDTASVDVSTPENLNRLVDVGKALLKKRACKELVLFAEMLSRERKARLQKKQGSMKI >ORUFI08G20220.1 pep chromosome:OR_W1943:8:21254091:21256872:-1 gene:ORUFI08G20220 transcript:ORUFI08G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSPEGASSSSPEKVKMVTVLSIDGGGVRGIIPATILAFLEKELQKLDGPDARIADYFDVVAGTSTGGLLTAMLTAPNENNRPLFAADELAKFYIEHSPSIFPQKNWVLSKIAGTLRMVSGPKYDGKYLHSLLREKLGDTRLDKALTNVVIPTFDIANLQPTIFSKFELKYKPLKNALLSDISISTSAAPTFFPAHYFETKDDNGQTREFNLVDGGVAANNPDKEDCDFFPVKPTEYGKFMVISIGCGSNHDQKYKAKDAAKWGIFNWLIKGSSAPIIDMFTSASADMVDIHLGVLFSALQCEKNYLRIQYDQLTGSAGSIDDCSKENMDNLVKIGEMLLDKNVSRVDLETGHYVDVAGEGTNRDQLAKFAKQLSDERRRRQNEPSN >ORUFI08G20230.1 pep chromosome:OR_W1943:8:21260406:21264591:-1 gene:ORUFI08G20230 transcript:ORUFI08G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVDPPPSAADLAPRIHIGMEWRSRGQIEMERCCPCRIGKEAKVQAKDVMALMVAVAVPMEEAEVQVEEVAMLTVEVAVPTEEIMAPSRGVCAYDGGGGGHCDGGAAHGATEWRRHRAWAAPAFLRRERRRGKSPINRTIVASWAGPNQPTSGCSPSYSESLVKAQVERKSGPVRVPLLLVPGGDCGGGGGDANAEMSVFGGDRWARDARQRKRRLDDLMLPASAASPSSSSPDSFRRLSNGKFACLVCPHRPVLDSPLMLSMHNKGSRHIAAESRLREKELSRQQEINKRLAISPEASVSSSGKQHYGVRSSDMKEKPLIQQTRQAILEAQSSRFIIDSANKKSHDLERTSNSSLCDSQVTPSVPMEKWSGDTVKGKFFAGDRTATKMLAEEQADFQKRQEQELKFTASGWKRDGHGRWYRDENVEFDSDEDDPNICLG >ORUFI08G20240.1 pep chromosome:OR_W1943:8:21265800:21266984:1 gene:ORUFI08G20240 transcript:ORUFI08G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAARRKAERWIGVAEKLLMARDLEGCKQFVSQARADDPTAPGADDLAAAADILLAAQRRRLATGAPNPYAVLGLDCADPASRDPDVVHSAYRRLSLLLNRSHPDRPCLHAFADAARLVAEAWAFLFDPVRKASLDSSLDAAAAAAAPRPPPAPSPQKQQPQPQPRSPRPASPPPVPAAPEVASAVSTPPARPKRGRPPRAAKPQPTPERQQEAEVEAAATFWTACPSCCNLHEYTRSYEARTLLCPSCRKPFFAAAMATPPPIVPGTDMYYCSWGFFPMGFPGGPAFARPTSSSSSSSSSSSSSSPTKQAPAALGFYPMGPYSLPLPAQGDAAEGNAAVGSGDGTVTAPSPPPPPPAAAPLPVKPKLVKLGARKRGRPKSSKNKHVVIEIN >ORUFI08G20250.1 pep chromosome:OR_W1943:8:21269990:21275106:1 gene:ORUFI08G20250 transcript:ORUFI08G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQRDSRVSRNVPSRCLLRSLRRRQGESRLSREGAAISIWRAEQGRGFWSELIIMVKEHAEKGDGVQECQSSPALSEDNLRHSFRLGDITWVKHTGSWWPAQVVENSCISSKPKKTAKHHVPVRLYGTCVHMYVDPWKSNMEFKMMLKRENKSAMEAFHEAVKKELSHVNSPCDSTEEAGNLKAKTSSKKVRKQKGLKESPASEHMGEDTKDQHSAEQHQELGYTATTGVATRKGRRTREGARQLSPTDGEDQASGKKASIEGSSYKTEKQVGSVYDEEACKMTTTERSVGRREGLRRSACTPMKAYLDPSEDRTSLFSDTSASEGANEVNRTPENSNQHEDDSTIDGTLASHAEIRVMVRDILFSDIIAKQHAAEMAYVDEVINGICCASELNITGDPTPVAKGGRGIKRGGSRADAESSNITQRSRKGRIDQASSNGKKRAKDTSETMNHDNSPNSLRGPFDSTSRDAAMEELGQVSTRQIRIMQSLALIAPSGSPFGKNGLVPVKNVRGTVSSS >ORUFI08G20260.1 pep chromosome:OR_W1943:8:21281251:21282007:1 gene:ORUFI08G20260 transcript:ORUFI08G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDGECSAAAVRKGGSPAVRSHSEAERKRRQRINAHLATLRTLVPSASRMDKAALLGEVVRHVRELRCRADDATEGADVVVPGEGDEVGVEDEDDDEGERDEGCYVVGGGDRRWRRRVRAWVCCADRPGLMSDLGRAVRSVSARPVRAEVATVGGRTRSVLELDVVVASDAADNDRAVALSALRAALRTVLLNREELLAAAATDGYKRPRFSPRCSSLT >ORUFI08G20270.1 pep chromosome:OR_W1943:8:21284135:21284599:1 gene:ORUFI08G20270 transcript:ORUFI08G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGCHLLVLLLAFSAFHCSYAARHLLDTAAAAAAPEAAPAQPSMPTVPTTLPPIPSIPAVPKLTVPPMPSVPIPKVTIPPTAAGTIPSLPIPAIPTTIPTIPTVPVTLPPMPSIPTTIPSIPTTIPTTIPTIPGFQMPPIPFMSSPPKTTSP >ORUFI08G20280.1 pep chromosome:OR_W1943:8:21285434:21287580:-1 gene:ORUFI08G20280 transcript:ORUFI08G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRIAPRLRSLPLLLPGPDAAATAAAVHRSCSSCGGSLAALAPARLRAMSSSSSSSSVSPSPYTTLVGRVSCEREIKKSKFIAIAAPVPDERAAMSFLNEVSDPRATHNCWAYKLGEQFRYNDDGEPSSTAGKPIYSAIISSGIDMVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKDAPTCLVKPKARVGMEVPFDLLGTVYHQLQHYQAEDIKQDYDTGKDGTVMVMFKLEYEKIENLGNAALLLPLKYSAFDSTIVLSSNFRLEFPNVNGRIMFY >ORUFI08G20290.1 pep chromosome:OR_W1943:8:21288090:21290476:-1 gene:ORUFI08G20290 transcript:ORUFI08G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGVKKVAEVAAKAGKAIDWEGMAKMLVSDEARKEFNTLRRTFEDVNHQLPPPHTRRRRIGPREPQPIDWEYYRKGIGSKVVDMYKEAYESIEIPKYVDTVTPQYKPKFDALLVELKEAEKESLKESERIEKELAELQEMKKNISTMTADEYFAKHPEVKQKFDDEIRNDNWGY >ORUFI08G20300.1 pep chromosome:OR_W1943:8:21298046:21299020:-1 gene:ORUFI08G20300 transcript:ORUFI08G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSQLGGGEGDGKLVVGGGAMGEKKQQLECFSDEVDSRDGGGGSAEETTAGGGGEGVAAVVVVGKRRRGRPPGSKNKPKPPVVVTREAAAAEPAAAAAMRSHVLEIPGGGDVAGALAGYARRRGLGICVLAGTGAVANVSLRHPLPSGAAAEIGGGAAAAVVVFHGRYEILSISATFLPPAMAAAAPRAALGGLSISLAGPHGQIVGGAVAGPLVAATTVVVVAAAFASPTFHRLPAEYDDAPAPVSGSGADADEHRGRRRTEPPEHHHLTPLHPRGIALATATTTTTTQPVYASACQHEEVWPPPAAAAAASAPRPRPPYQ >ORUFI08G20310.1 pep chromosome:OR_W1943:8:21299107:21299733:-1 gene:ORUFI08G20310 transcript:ORUFI08G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGGGGGDGERGKDERRSKENGRALLMASQQQERLEINCSYLRLRSMALLASFASLTLTPHRAPSPGKKSLPVG >ORUFI08G20320.1 pep chromosome:OR_W1943:8:21302252:21309393:-1 gene:ORUFI08G20320 transcript:ORUFI08G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGSSSSSSASAVVVAVVIAVVVVVWVVVRRKVRRAAARREEVLRLTRLAQEESEMAEVECARAYYSELFPSVVHATEMVDEAAWGAPPAVVPAQAEAEAEMEARAQPQPQPPVGAKGVCAVCFRPTTFRCKQCKAVKYCSFKCQIAHWRQGHKNECRPPSTDANHDDVAELSVAKERKIEQTSASEENIAETNTAATVKNLNDKTKDMPSEVLASVEVPDDDHSVSAVKLPQSSSQVASLGSRKTESNMKPTTPVENGSYTKDLDEALVCRFQPSPPKISGSGSLINKESLIDSKKHQDCSQTSNSKKYADNNNAQAALPVAVEPKTSRTALHVEVGHSKTKAAGSDNIGVSKMVPSVLTVDKVSPVPGGRSVTPNSSKRADNIAERNSKPSEKSISTANSLATSLKKIVRQQTAPKVVRHYPSEPTHFPYELFIKLYEKVELQPFGLHNLGNSCYANAVLQCLMFTRPLTSYLLGGLHSKNCSKKEWCFMCEFEKLVGEGRQGKIALSPTGILSHLPDIGSSFGPGKQEDAHEFLRYAIDAMQSVCMKEARKSGTHRLHEETTLMQLIFGGYLRSKIRCTRCDATSEQHERILDLTVEIDGDISSLEGALERFTSTEVLDGDNKYKCSRCKSHERAKKKLTISEAPNVLTIALKRYQSGKFGKINKAIRFPETLNLQRYMSPKADDTSPVYSLYAVVVHHDIMNAAFSGHYVCYVKDTHGKWYKTDDSQVKPVSLENVMSKCAYMLLYARCSPRAPSSVRPALMAQDPARVKKDKARVNSGRWHGGGPIHQGGQMYADHMTDDLPHTYDEFGHGPYSPAESPSPSESSSIFTSSDTGSHSTDSSESTRNSTSEDMERLIFGDQVSYFDGSMFGHGENGRMTYSRSKSSLGTSSSGQEVDQYRPDEHRLQGAREGWNQGDESSSLYTNQSKHQFSSKLTEQYRRRLDGTEHDPGEANSVLLRRSARERTAQTFY >ORUFI08G20330.1 pep chromosome:OR_W1943:8:21313817:21316483:1 gene:ORUFI08G20330 transcript:ORUFI08G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDEWTTGTRGEFHHFSSRSLARNIRAGHQGIVAGGPIVHVSRRSSSPAAASSTVHATSELSGGGRVLSVIQAPRRSSSLMAASSTVHAMSELIASGCVLLAPRLSTSTIALSPIVVTTHIHQLRCRARAAPQHGTSLRFSNGGQAPRAIAVLSWRE >ORUFI08G20340.1 pep chromosome:OR_W1943:8:21324491:21325684:-1 gene:ORUFI08G20340 transcript:ORUFI08G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRASVPHSSSIAFALHSHLLVSRGAMGFKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGEASAAAAAVAPAQAALRPALAFHAGQAVAIPHDVIAPPRKPGPIAVGAQILRAEGAAGLFSGVSATMLRQTLYSTTRMGLYDILKKKWTQENGGVLPLHRKIAAGLIAGGVGAAVGNPADVAMVRMQADGRLPLAERRNYRSVGDAIGRMARDEGVRSLWRGSSLTVNRAMIVTASQLATYDQAKEAILARRGPGADGLGTHVVASFAAGLVAAAASNPVDVVKTRVMNMKVAPGAPPPYSGAIDCALKTVRSEGVMALYKGFIPTVSRQGPFTVVLFVTLEQVRKVFNGVEF >ORUFI08G20350.1 pep chromosome:OR_W1943:8:21334655:21340434:-1 gene:ORUFI08G20350 transcript:ORUFI08G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPRSSHFRLARPSSITDVSRSCSSSSSSPPRSLSSKQSGHADVLAHGAVDKDPIRLWNRYVEWLYQHKQLGLFVDVSRIGFTEEFLRRMEAPMGRAFAAMRELEKGAIANPDEGRMVGHYWLRNPALAPNSFLRDKIETTLERILAFASDVISAKIRPPSSPAGRFTQILSIGIGGSSLGPQFVAEALAPDNPPLMIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFRDAGLEFSKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIKEMLVGAAQMDEETRNTEIKENPAALLALCWYWASDGIGSKDMVVLPYKDSLLLLSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHEWELEPSVTCGDYLFGMLHGTRSALYANDRESITVTVQEVNPRAVGALVALYERAVGLYAYLININAYHQPGVEAGKKAAGEVLALQKRILLVLNEASCKDPAEPLTLDQIADRCHCPEEIEMIYKIIQHMAANDRALIAEGNCGSPRSIKVYLGECNVDDDMNS >ORUFI08G20360.1 pep chromosome:OR_W1943:8:21347605:21347931:1 gene:ORUFI08G20360 transcript:ORUFI08G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGVGGRLAAERHRRWQWRLARRERHDRRWWRLAWRERHGDSGRPDWRERRVRGWRRLTRRDEARPAVKETTTVQGEATGGCGAVFDAQRPPGGGASAVVPHVGRG >ORUFI08G20370.1 pep chromosome:OR_W1943:8:21347938:21358675:1 gene:ORUFI08G20370 transcript:ORUFI08G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRCVSRGLAGDELRVKTQPGLGRTDNDGSFPLLRALSCRLIPQGWLPSESPILALLSPCRTAVTRWSVTLSGDRSSASLLPDLCVGNVGVWVVFYHHSQR >ORUFI08G20380.1 pep chromosome:OR_W1943:8:21359620:21362741:1 gene:ORUFI08G20380 transcript:ORUFI08G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSCHDAAASMLLCAEDNSSILWLEDEEGEVGERRSGGCRSMVGDLAGGGGGGGVEEEEDMFPPQSEECVASLVEREQAHMPRADYGERLRGGGGDVDLRVRSEAIGWIWEVYTYYNFSSVTAYLAVNYLDRFLSQYELPEGRDWMTQLLSVACLSIAAKMEETVVPQCLDLQIGEPRFLFEVETIHRMELLVLTNLNWRMQAVTPFSYIDYFLRKLNGGNAAPRSWLLRSSELILRIAAGTGFLEFRPSEIAAAVAATVAGEATGGVEEDIAEAFTHVDKERVLQCQEAIQDHHYSMATVNTVQPKPASTRRGSGSASASASSSVPESPVAVLDAGCLSYKSDDTDAATIASHGGGRRKSCFDSSPVTSKKRRKLSR >ORUFI08G20390.1 pep chromosome:OR_W1943:8:21376051:21376920:-1 gene:ORUFI08G20390 transcript:ORUFI08G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDHDEGDGDEEMPPMPLSSGYDAPMQPGLGGGGGGVPKPGGGVGGGGGGGGGGGGGGARYRECLKNHAVGIGGHAVDGCGEFMASGEEGSIDALRCAACGCHRNFHRKESESPTGVGPAEPSAVSPAAISAYGASPHHQFSPYYRTPAGYLHHQQHQMAAAAAAAAAAAAGASPRCSRCPCGRYTGRDEGDDMPGMVGPMVIGPMVGMSLGSAGPSCSGSGKKRFRTKFTQEQKDKMLAFAERLGWRIQKHDEAAVQQFCEEVCVKRHVLKVWMHNNKHTLGKKAP >ORUFI08G20400.1 pep chromosome:OR_W1943:8:21382314:21383108:-1 gene:ORUFI08G20400 transcript:ORUFI08G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLDTTRSDPKVGDDRSGAGVGVGAQTEAAPDGSYAWTAVIGGGGGGGGGDDRWWGRPKTVVVGRSWLREAGAACVAPGGLVRAMARRPARSMEREADITDDRGAGEADLWGCAGTSVTTCR >ORUFI08G20410.1 pep chromosome:OR_W1943:8:21423683:21430831:1 gene:ORUFI08G20410 transcript:ORUFI08G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEATSVAAPLLRPRGGVDAEAVKQQLWPAGARVAGEWWVESKKLWRVVGPAIFQRIALYGINVVSQAFIGHMGDLELAAFSIASTVVAGFNFGFLLGMASALETLCGQAFGAKKYHMLGVYLQRSWLVLLMFAVALTPTYVLMEDLLLLIGQPADLASLAGKMSVWLLPQHFAMAMLLPLTRFLQSQLKNWVTAVTAGVALALHLVITYLLVNTLHLGLLGAVAAANVAWWIVVLGQLVYVVGGWCPLSWKGFSMEAFADFWEFIKLSSASGVMLCLENWYYRVLVLLTGYLNNAEIAVDALSICLTINGWEMMIPFGFLAATGVRVANELGAGSGKGARFAIVVSVTTSVAIGLVFWCLIIAYNDKIALLFSSSKVVLDAVSDLSVLLAFTVLLNSVQPGIWSGLIGGTAVQTLILAYLTIRCDWDEEAKKASTRMEVWASSK >ORUFI08G20420.1 pep chromosome:OR_W1943:8:21429252:21433351:-1 gene:ORUFI08G20420 transcript:ORUFI08G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWSSCRALGGAALKGSPIDALIRSCLLEERSADASFSQDTYALKWTFNNDLGLVFVAVYQRMLHLLYVDDLLAAVRKEFSQIYDPKRTSYDDAFNEVFRQLHLEAEARSEEMKKNKQVTGSRPTKVTTKTNRGDTQGSGGGRKKGDSGKDDSDGDSGKEHTLPNGNSKMQENSLKDNSHARSVVVKGKENGDPNDGAFDVNKLQKMRNKGNKKNEVASNVAKNTSKANTKKNLKKNRVWDDTPDDKKKLDFTDPADERGDEVIDQVVVKQGESMMDKDDVVSSDSDEEEEDGEENSGASQKKKGWFSSMFKSIAGNNVLEKSDIQPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQTAMEEALLRILTPRRSIDILRDVHAAKERGKPYVIVFVGVNGVGKSTNLAKVAYWLLQHNLSVSLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLTKFNQKLADLSAVPTTRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >ORUFI08G20430.1 pep chromosome:OR_W1943:8:21434987:21436887:-1 gene:ORUFI08G20430 transcript:ORUFI08G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSRLPSHEEQSAAAAADGSATPSQGIPVVDLGVLINGAADERSRAIRDLGRACEDWGFFMVTNHGVPEALREAIMDACKELFRLPLEEKKEYMRAKPMDPIRIGTGFYSVVDAVPCRRDYLKMFSHPEFHCPEKPAKLREIATEYATCTRALLLELTKAISESLGLAGGRLSEALNLESCFQILVGNHYPACSRPDEQAMGLSAHSDHGLLTLLFQNGVDGLQVKHDGEWLLAKPLPGSFFVIAGDQLEIVTNGRYKGVLHRAVVGGEQSRMSFVSLIGPCMDTVVEPLPEMAADGRGLEFRGIRYRDYMEMQQSNSINEKTALDIVRVMHQAG >ORUFI08G20440.1 pep chromosome:OR_W1943:8:21442375:21443666:-1 gene:ORUFI08G20440 transcript:ORUFI08G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFPPHIMVLSKMQRLYDACDMIFSSPASAAPTIGEIRWLQHLLDGMEAADVGIDDGESPPSSSSSSCSSSDDEVSSKDGRLLPARAFTRITYVHIHQCADFSMGVFCFPAGATLPLHDHPEMVVLSKLLYGSVRVKSYDWVTPPPPCSRKSGLARVVAADEVRHAPCKTSVLFPRSGGNMHAFTAVTPCAILDVLTPPYSEELGRPSTYFNDIPIPSLPGFAFLEETDLPEDFSVAGAPYVGPELELVVDMDDDDDDYDDYDE >ORUFI08G20450.1 pep chromosome:OR_W1943:8:21453340:21454864:-1 gene:ORUFI08G20450 transcript:ORUFI08G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKYRFSGTPLGLVRLGHSWLGSGPNPHGKSRRGKDTGPRKYRRSARFYPGPPRCFPLVIGREIFSFFGGEEKILLTRRHNERGRCGGDGCTARCDSDATATARSLLQQLTPPVATFFPALGGSLRGWWFRYRVNEQTCTR >ORUFI08G20460.1 pep chromosome:OR_W1943:8:21455262:21458005:-1 gene:ORUFI08G20460 transcript:ORUFI08G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGGAAAGPTAAPAAAAVQKQKTLLQKADADVSSLVDNFAALINIARVNDPPVRNTQEAFQMDMRGSRMVHSADSLLKLVSELKRTAIFSGLASLTENVDRRIEIFSQQVEGTERMLERIGQEAAGSLKELEAHYYSSVAF >ORUFI08G20460.2 pep chromosome:OR_W1943:8:21455262:21458005:-1 gene:ORUFI08G20460 transcript:ORUFI08G20460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGGAAAGPTAAPAAAAVQKQKTLLQKADADVSSLVDNFAALINIARVNDPPVRNTQEAFQMDMRGSRMEQRECWRGLGRKQQEASKSWRHITTHLLCEHHRMNEAF >ORUFI08G20460.3 pep chromosome:OR_W1943:8:21456012:21458005:-1 gene:ORUFI08G20460 transcript:ORUFI08G20460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGGAAAGPTAAPAAAAVQKQKTLLQKADADVSSLVDNFAALINIARVNDPPVRNTQEAFQMDMRGSRMVHSADSLLKLVSELKRTAIFSGLASLTENVDRRIEIFSQQVEGTERMLERIGQEAAGSLKELEAHYYSSVVRTPPDE >ORUFI08G20460.4 pep chromosome:OR_W1943:8:21456014:21458005:-1 gene:ORUFI08G20460 transcript:ORUFI08G20460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGGAAAGPTAAPAAAAVQKQKTLLQKADADVSSLVDNFAALINIARVNDPPVRNTQEAFQMDMRGSRMVHSADSLLKLVSELKRTAIFSGLASLTENVDRRIEIFSQQVEGTERMLERIGQEAAGSLKELEAHYYSSVVRTPPDE >ORUFI08G20470.1 pep chromosome:OR_W1943:8:21461493:21464385:1 gene:ORUFI08G20470 transcript:ORUFI08G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGTREEMVYMAKLAEQAERYEEMVEFMEKVVTAAAAGGGGELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGAAGHAAAARSYRARVEAELSNICAGILRLLDERLVPAAAAVDAKVFYLKMKGDYHRYLAEFKTGAERKDAADATLAAYQAAQDIAMKELSPTHPIRLGLALNFSVFYYEILNSPDRACTLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGGDEMRDATKPEDEH >ORUFI08G20480.1 pep chromosome:OR_W1943:8:21467265:21473071:-1 gene:ORUFI08G20480 transcript:ORUFI08G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLRHHAALASSRLLVRDNQRITALARAGDVAAARRVFDAMPRRDAVSWNALLTALWRAGRDLPAARSLFDDMPSRNVISWNSIIAGCLAHGDLAAASAYFARAPRRNVASWNAMLAGLVRLGSMEDARSLFDQMPERNVVSYTTMVDGLARCGEVASARELFDAMPTRNLVSWAAMISGYVDNNMLEEARKLFEAMPEKNVVACTAMITGYCKEGDLQNARRLFDGIRAKDVISWNAIISGYVHNGLGEEATKLYIIMLREGIKPDQATLIALLTACSSLALLRQGRSTHAVVIKAMLESSISICNALMTMYSKCGNVDESELVFMSLKSQDIVSWNTIIAAYAQHGRYQKVIALFHEMELCGLIPNDITFLSMLSACGHAGRVDESLKLFDLMFSKYAISPRAEHYACIVDILSRAGQLEKACSYIKEMPSEAEKNVWGTLLCASQTHGNVQLGELAAKMLVLSDFESSGAYVMLSNIYAAAGMWGEVNRVRSQMKEKGVKKQPGHSWTEIADKVHMFVGGDASHPEMDMILSELRKISFHMQMVTDKTQMMEELVQECVELCFSVLTIDISWPQQSAKTPVPLGMFPCIYHHHILTTCLSPSSSLIDANIIETTMILVFISSRARIAYWNLGFMFVAYA >ORUFI08G20480.2 pep chromosome:OR_W1943:8:21469256:21473071:-1 gene:ORUFI08G20480 transcript:ORUFI08G20480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLRHHAALASSRLLVRDNQRITALARAGDVAAARRVFDAMPRRDAVSWNALLTALWRAGRDLPAARSLFDDMPSRNVISWNSIIAGCLAHGDLAAASAYFARAPRRNVASWNAMLAGLVRLGSMEDARSLFDQMPERNVVSYTTMVDGLARCGEVASARELFDAMPTRNLVSWAAMISGYVDNNMLEEARKLFEAMPEKNVVACTAMITGYCKEGDLQNARRLFDGIRAKDVISWNAIISGYVHNGLGEEATKLYIIMLREGIKPDQATLIALLTACSSLALLRQGRSTHAVVIKAMLESSISICNALMTMYSKCGNVDESELVFMSLKSQDIVSWNTIIAAYAQHGRYQKVIALFHEMELCGLIPNDITFLSMLSACGHAGRVDESLKLFDLMFSKYAISPRAEHYACIVDILSRAGQLEKACSYIKEMPSEAEKNVWGTLLCASQTHGNVQLGELAAKMLVLSDFESSGAYVMLSNIYAAAGMWGEVNRVRSQMKEKGVKKQPGHSWTEIADKVHMFVGGDASHPEMDMILSELRKISFHMQMVTDKTQMMEELVQECGFLISSNWVLSWS >ORUFI08G20490.1 pep chromosome:OR_W1943:8:21467547:21469778:1 gene:ORUFI08G20490 transcript:ORUFI08G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSKILKKTNLDRFCAFLLTLQGGSRVYDFGGTQVAWLANSGLAAGVRSTSNGDKASNSITEASEMQEKLNKLQEELKNEKKEKARALDEIAGLKKKKNENKVTSNGGDDKLDLVHRLEQLEGEQEAARDSEKKLLVSLGAQTKQLEQTKVSLEEAKLEIASLKDNKKSSEAFSALSSNPSQPARNLRRRGIMSFSFADPGEVETWSLQRELKLAVEAEEKCKKAMDDLAIALKEQTTDARDAKAKLSLAQSELTNARTEMENSKALLKNTEEKLQVALEEAAQLKFESDELAAASKEKERGLVDCIKMFEGDLIKAKEENNKLIESQRVIRDENSRLREMLKHAVCEANVAKESLEIARAENSQLKEDISEKENTLQSIIQDYESLKVSESAAQSSIGELKDMIDAMFSSESTKTSAEASPRDTKGNEVYYDHERTQLEDIRNPALHKKRTVLRKFADIMKKRNSQSAI >ORUFI08G20500.1 pep chromosome:OR_W1943:8:21493392:21495762:-1 gene:ORUFI08G20500 transcript:ORUFI08G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTMMLPPSPGDSDPSGSRDMDDEDLVEDLLVTVNSARAFVEFRRTQRKECANLLRWLELVLPLLEELRDSAPPLTEDAYHRLALLGRAFSAARRLLRSCHDGSKIYLALESEAVQGRFRAVYEKMNSALDGMPYSELAISDEVKEQVELMNAQLTRCKKRADTQDIELSMDLMVILDNKEGERNADRAILERLAKKLELQTLADLRAETMAIKKLISERNGQSGDSTKQIIELLNKFKEVAGVDEKNVLGEVSVTKSLDKCPSLMIPNDFLCPITLAIMRDPVIVATGQTYERRSIQKWLDSGERTCPKTRQRLSHMSLAPNYALKNLILEWCDKNKVELQKREPEPVAEQDDEHQRGAEDIPSLVEGMSSIHLDVQRKAVKRIRMLSKECPENRTLIADSGGIPALIGLLACPDKKVQENTVTSLLNLSIDESNKRHITKGGALPLIIEILRNGSAEAQENSAATLFSLSMIDENKLTIGRLGGIAPLVELLQNGSIRGKKDAATAIFNLVLNQQNKVRATQAGIVPALLKIIDDKALNMVDEALSIFLLLSSNAACCGEIGTTPFIEKLVRLIKDGTPKNKECALSVLLELGSKNKPLLVHALRFGLHEDLSKIAKNGTSRAQRKATSLIQLARKCY >ORUFI08G20510.1 pep chromosome:OR_W1943:8:21503394:21504439:-1 gene:ORUFI08G20510 transcript:ORUFI08G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPAALLPVVADGGGGVGVEEEMDVDEDMAMCGGRGGGGGEKKRRLSVEQVRALERSFETENKLEPERKARLARDLGLQPRQVAVWFQNRRARQVAVWFQNRRARWKTKQLERDYAALRQSYDALRADHDALRRDKDALLAEIKELKGKLGDEDAAASFSSVKEEEEDPAASDADPPATGAPQGSSESDSSAVLNDAEIPPHKPAPAAAADAAASEETEAVVTGAALLHHAEVFFHGQLLKVDDDEAAFLGDDGAACGGFFADEHLPSLPWWAEPTEQWTT >ORUFI08G20520.1 pep chromosome:OR_W1943:8:21504684:21510207:1 gene:ORUFI08G20520 transcript:ORUFI08G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAFLPQAPIRPKTTSVSYNRETPLEEEEATFLRLRMIPVARVCGVYWTGLECGGVEYWSGRSEVPLNLAPSCGLLSCRSSPAASLRHLTLLLRSSPSPPRPPASIVAATLS >ORUFI08G20530.1 pep chromosome:OR_W1943:8:21519871:21524270:-1 gene:ORUFI08G20530 transcript:ORUFI08G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRVAISHHRAALPLPTHHNHLRRRHLQLQPFPSSLSLSLPISPQLSPAPPRRHLLPPLLASASAAQAAGPAPARAAGGGGGGAKPVPLLVSLAVGLAVRFLAPRPAEVTPQAWQLLSIFLTTIAGLVLGPLPVGAWAFLGLTATVATRTLPFTAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKWLGRSTLGLSYGLAISEACIAPAMPSTTARAGGVFLPIVKSLSLSAGSKPNDPSARKLGSYLVQSQLQASGNSSALFLTAAAQNLLCLKLAEEIGVKIANPWISWFKVASLPAIISLLATPYLLYKIFPPEIKDTPEAPAIAAQKLKNMGPVTRNEWIMVATMILAVSLWIFGDTIGVSSVVAAMIGLSILLLLGVLNWEDCLNEKSAWDTLAWFAILVGMAGQLTNLGIVSWMSNCVAKVLQSFSLSWPAAFGVLQASYFFIHYLFASQTAHVGALYSAFLAMHLAAGVPAILSALALTYNSNLFGALTHYSSGQSAVYYGAGYVDLPDVFKLGFTTAAINAVIWGVVGTFWWKFLGLY >ORUFI08G20540.1 pep chromosome:OR_W1943:8:21528416:21532653:1 gene:ORUFI08G20540 transcript:ORUFI08G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCTANLMSRLSSIYSQHRTSGLRSDRSIMPNSTSNSLRTISSVHLPYNHRARNFHISHAVGDSSEHVIINGQASPSKVVQADAAALGTIAADMAPVVDGFSADDDELDLDSPTEGFSSIPEAIEDIRQGKYVIVVDDEDRENEGDLIMAASKVTPEAMAFIVRHGTGIVCVSMKEDDLERLELPLMVTTKENEEKLRTAFTVSVDAKEGTTTGVSAKDRANTVLALASPNSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPAAVLCEIVDDDDGSMALLPKLQDFARRENLKIISIADLIRYRRKRDRLVERVCVTPLQLQWGSFQSYCYRSLIDGMEHIAMVKGDVGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMTMIEKTGRGVVVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEDLGLPVDSREYGIGAQMLRDLGVRTMRLMTNNPAKYTGLKGYGLSVLGRVPLLTPITNENRRYMETKRLKMGHVYGTRPSGNTSTLADGGIKKEQDQIDSASEQE >ORUFI08G20550.1 pep chromosome:OR_W1943:8:21533181:21543592:1 gene:ORUFI08G20550 transcript:ORUFI08G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVGSQPRAAMCGASTSKIYTLEKVYGFRLVCRSVVDLRSQKFHTRVYKRKSYFRSSASECEKIIHSARWLEFRRQRVAFQRTRRTIHLIPLASQDDSSGLSVNGSPQVDSASEMDDIRVKLVKALQSEDISTGLVQSIHDAARSIELAFLDHSKSSKNSWFPKEWLGVDNNEWIKPLSYQAAVGSLLQAVIDVSSRGNGRDRDINVFVQRSLSRLLSSLEGAIQNELSKREPTLYQWYSSDQNPLVVRTFVNSFENDPRFNSATAICHERQQMNTSESDLSLLMLGLTCLAAITKLGSTKVSCQQFFSMVPDIIGRFMDMLLEFVPLSKAYTLTKDIGLQREFLCNFGPRAADPKFSSDRGVEISFWIDLVQKQLLRALDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQTYLSSNRLTNLDDSINDIVVCEELEWLPFYSGDVPAATIEGREDVHKGEIISRVLNVCSYWMTSFIKYSSWLENPSNVKAARFLSKGYDMPKDQTFPEAKEHLVARTELASFDKSLESVEEALVKLEDLLQELHLSSSNSGKEDLRAACSDLEMIRRLKKEAEFLEASFRAKTEFLEADASSRPLSPAVEEGRGKTASNANESSTPQKPANRVENKRRPIWDLFGRPSGRRVQLVQQTSDQNVSVANVDNKDTQSNDILRFEQLRRELIELEKRVQKSADNAQKEETYVANETLDSSVSSSPVSMPSGPASKKENVITKSVEKVKETTTTVVQGTQLLAIDTGAAMGLLRRALIGDELTHKEKQALQRTLTDLASVVPIGILMLLPVTAVGHAAILAFIQRYVPSMIPSTYAPERLDLLRQLEKVKEMGVAEGSSEEMVEACFGTRQKMVSFRL >ORUFI08G20560.1 pep chromosome:OR_W1943:8:21547005:21547445:1 gene:ORUFI08G20560 transcript:ORUFI08G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHLVVVAMAALFAAAAVAQGPSASPTPAPKAQPPVATPPTRPPAVAPVSPPAAQPPVTTPPPVSSPAPVPAPSAAATPSPQASAPTAEPPVLSPPAPAPGSISQSPTEAPTSPPPPSAASGVSPSAAAVVAAWAAVAAVAAFY >ORUFI08G20570.1 pep chromosome:OR_W1943:8:21548745:21548972:-1 gene:ORUFI08G20570 transcript:ORUFI08G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQQQQHDLVNIDNTSLEHSTGSNSVYNRDGGYMMLMSTASATTRGGEKPRVAGGSRRPRDHGGDIQNVFASCR >ORUFI08G20580.1 pep chromosome:OR_W1943:8:21549808:21550107:-1 gene:ORUFI08G20580 transcript:ORUFI08G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNERPILRLPPIPFPIQLVSGMGRDGSSMMCSSAKGSLSHSFFTSTYVQYTLFRVLFRVIRVLIQCADIQQCTHVGICIVLRFCSCILFQKH >ORUFI08G20590.1 pep chromosome:OR_W1943:8:21552609:21553271:-1 gene:ORUFI08G20590 transcript:ORUFI08G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSALIAMLLVMVVGCAAVASAMELSFIVGDAQGWNTGVDYTAWAKGKTFEANDTLVFRYARNQHTVTEVTKSDYDACTVSGKPISDFEGGALVTFIALSPGEHYFICKIGNHCASGMKLAVTVSNSSDTPRPQPWIGPYSTPASASAHLHAGGAVVAAAVGILLNLALF >ORUFI08G20600.1 pep chromosome:OR_W1943:8:21558080:21559114:-1 gene:ORUFI08G20600 transcript:ORUFI08G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVLIKLLVVVGCAAAASAATLTVGGSSGWTLGQNYDTWASGQTFAVGDKLVFSFVGAHTVTEVNKNDYDNCAVASNSISSTSTSPATLDLAAAGMHYYICTISGHCAGGMKLAINVGGGSGSGSGSGTPPSTTPGSSGTPPATPSSPSKPTGGASAGLQASAAVAAAAGVLVKLALF >ORUFI08G20610.1 pep chromosome:OR_W1943:8:21559930:21560199:-1 gene:ORUFI08G20610 transcript:ORUFI08G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATSPLLPESLVNVVSTSVADAVRASYQALPGSTIDDAMAKTVTDSAADRQGIRRRPAVHAALKQHLPALSIAPTATSSLPTMDIAP >ORUFI08G20620.1 pep chromosome:OR_W1943:8:21567696:21568472:-1 gene:ORUFI08G20620 transcript:ORUFI08G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTASSAAAAPGRGGMEWELEREMVLMAAAGGEHQKKQRQQQPARRAFATDLLQNCDLPPPAKLFGPLPTLQRLENAAAWTSTSPDRKGGDGEGGGGDGGDRLMRALRLSQSRAREAEEKLAAAGASNGELSALLVRDSVVLSAHRRWVMMLEAENSGLRGAAGAAGSAKEGVGEDEDEDDDGGARRGAAAWWLALAVCVGIAGIGLAMGKLL >ORUFI08G20630.1 pep chromosome:OR_W1943:8:21570786:21573700:-1 gene:ORUFI08G20630 transcript:ORUFI08G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLVRLPPLPAARHPPLLRLPKHSVPPHGGRVSFACSSGSRAAFAACCASASVAPAAEAEAVVEEPEGPRTRLIAQNIPWDCTADDMRALFGKHGSVVDVELSMYNSTRNRGLAFVTMGSEEEALSALNHLNSTYVVPSAPMPKHSVFVGNLTWRVRSRHLRELFASTPGVQSVEVVFHTTSPRRSAGYGFVSFSSKEAAEAAISTFNGTKLMGRSINVMFKDDNAKKNKSAAPTEEDLKAESSEQIVSQLLVSFAHSTPHRDKSQGSQT >ORUFI08G20630.2 pep chromosome:OR_W1943:8:21570287:21573700:-1 gene:ORUFI08G20630 transcript:ORUFI08G20630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLVRLPPLPAARHPPLLRLPKHSVPPHGGRVSFACSSGSRAAFAACCASASVAPAAEAEAVVEEPEGPRTRLIAQNIPWDCTADDMRALFGKHGSVVDVELSMYNSTRNRGLAFVTMGSEEEALSALNHLNSTYVVPSAPMPKHSVFVGNLTWRVRSRHLRELFASTPGVQSVEVVFHTTSPRRSAGYGFVSFSSKEAAEAAISTFNGTKLMGRSINVMFKDDNAKKNKSAAPTEEDLKAESSEQIY >ORUFI08G20640.1 pep chromosome:OR_W1943:8:21574437:21574853:-1 gene:ORUFI08G20640 transcript:ORUFI08G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKKGFEAKKRYTNKRESKDKVTPCRYVYGNEGHRGKDKRDHLIKRISDLQVFEIEIADGAGIGSKATNELASHQVGTKSFVVLL >ORUFI08G20650.1 pep chromosome:OR_W1943:8:21578037:21580848:-1 gene:ORUFI08G20650 transcript:ORUFI08G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEASLLPAASSHTVVRRKRALQLNETVYEEPEYVATKGGTYNVAEMPERLLMAADYRTSPSTAGSNAAILTVRGHSSAAASAAAAHTICALSSAAGNTIATSTAAAALMVASHSSAAAAAAAAHTICALSSAAGNTIATSTATAHSICSWSSAAGKTIATSTATAMTDSSAARSTRSIPPYLIGRMQMDGEEIAVVSSPLVEPDTIAEVPVISMDDDDHPTSPTSTKIAVEGRPQPKLRICSVDVDLSGSPNGHVPEAPQRTAWEATGERSRGLKEGNPERRTVGVGDADAEYRSPDLQARSPSIASELAAVRLVLLVTGASGLDAARAHPDDRRRFPIPSAASWLGVPVARARMTALRWVRSSTALRGNSSDAAAAAAAPSPSSASSTPTSSTFLEEMALPLAAPIMAASVVTAASKARVSSWCLPGMAAAPHCCGRGGDGGVRGGGCGGGGGGGDVDLIGGRRDL >ORUFI08G20660.1 pep chromosome:OR_W1943:8:21581900:21584238:-1 gene:ORUFI08G20660 transcript:ORUFI08G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTMQPIGPLPSTKQEGTRQVNSLVRFVLTEIESKNKMGETRFRRGEKPAEGGADLSDIDSGWVVLGKSDIVPADLAAQSLRSSPKTIPTWARWVIGGVLHTVVPFYERARYVEDETARNVETAAEVVEQIAEVTEKLASNVADHLPENGCLQKVVEKIEYIAEVVDEDAEKVEAITEKIENFSDKIDAKVEPIFTEIEKEFEDSTSNDGVNANI >ORUFI08G20670.1 pep chromosome:OR_W1943:8:21592620:21594232:-1 gene:ORUFI08G20670 transcript:ORUFI08G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFSEGYYFGCGGAMAAEAAAGGAWSWSHGYGGGVDQGKGVMELVVDDGVVNAFWDGGGGGASSSPVMAAVPGFIEEPDGGNSSIADAAPPPEHGGASVVGRDGSAAAPAAAAGRRKRRRARTVKNREEVESQRMTHIAVERNRRKQMNEYLAVLRSLMPASYVQRGDQASIIGGAINYVKEMEQLLQSLEAHRHARRARTDAAAALPFAGFFTFPQYSMSAVPTTTTTTVAAAATENGNAVAGAGDDADADVSGSKPSSVADIEVTMVESHANLKVLSRRRPRQLLRMVAGLQHHRLAVLHLNVASAGHMALYSLSLKVEEDCQLTSVDDIAAAVHGIVETIEQEQQQQQQQKQSCS >ORUFI08G20680.1 pep chromosome:OR_W1943:8:21611083:21615666:1 gene:ORUFI08G20680 transcript:ORUFI08G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPRSGVLVFGLVCVFQLSHSSSDDDFTKVRAVNLGGWLVVEGWIKPSLFDGISNGDMLDGTQVQLKSVGLQKYLSANGGGGGNLTVDQDVASTWETFRLWRVSYREYQFRCIKGQFLTASNGDVISATADSPGDTETFYIERNNSMLHIKLLNGGYLQVTNNNQLTSNYPSQPGWDDGMATFEMTIVANNLHGDYQLANGLGPDQAMVVLTEHRKNFITGKDFYFLSKNGINAVRIPVGWWIAYDPNPPAPFVSGSLDTLDRAFYWAHFKSAQYGGSDFDIRIYGLKCIIDLHAAPGSQNGMEHSASRDGSVDWPSPANIEKTLDVINFLAQRYANNPSLLGIELLNEPSAGAVPLGTLVSYYKTGYQIVRSYSDKAYVIFCQRIGNADPMELYQADLGPTNTVVDLHYYNLFDPFFEKLNATENIQFIYNNRMPQVQALDKANGPLVFIGEWVNEWNVTDASQTEYQLFGKAQLEVYGEASFGWSYWTVRCNSVHWDYEWNKRNRYLIGGSPLESPKYMLLVAGCLLYLLFILT >ORUFI08G20690.1 pep chromosome:OR_W1943:8:21618960:21620182:1 gene:ORUFI08G20690 transcript:ORUFI08G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRGRRRGDNGRLLLLAAAAVVIASLVGGGAAQPTTSGPGPNYFNPKSFNPSMAVVIVVLVTAFFFLGFFSIYIRRCAGGPLGGPGGYGVGGGGGGHVGGGFTFAAARSRRVRGLDPAVLGAFPTMAYADVKAHKAGKGALECAVCLSEFDDDETLRLLPRCSHAFHADCIDAWLASHVTCPVCRANLAFDAGVPPPPLAPPHALTTGQDETEEERIRREEAAELMRIGSVKRALRSKSGRRPPQFPRSHTTGHSLAAAAAAVPATADDAAERYTLRLPDHVLREIVTSARLRRTTSLQSFRTTAAAAGGARAGRSVRLGQSGRWSNVSSLMRTFSARLPAWGSARRGAEADSPGKDGAKVAGDCTASVEQHCDGGGGGACPRPLGDHV >ORUFI08G20700.1 pep chromosome:OR_W1943:8:21621714:21622025:-1 gene:ORUFI08G20700 transcript:ORUFI08G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKLVGVGFKARTEREGRELFLKLGYSHEVQFTAPPAVRVFCFKPNLICCTGIDKNRVHHFAGAVRNSKPPEVYKGKGILYIDEVIKLKPGKKQKK >ORUFI08G20710.1 pep chromosome:OR_W1943:8:21623396:21641303:1 gene:ORUFI08G20710 transcript:ORUFI08G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEENSRGSGTKISDSAKAEPSKWSEAPQLFDVTGEPPPLPAAAGPADGEAFGQSGSAVGGARRRREAAAAAAALSGHEWARGVAPRVRNSSEKLHMGTIKLTCTLSNPKEVSRTVVIEARCGILTILGTTKQENNNHTNMKRER >ORUFI08G20720.1 pep chromosome:OR_W1943:8:21626737:21633804:-1 gene:ORUFI08G20720 transcript:ORUFI08G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASRTPVSLHSLLVAGGHGKRCVAGAGGRKRGRVQRRHLTQALESFWRHAPRPAPPAAAARGEANRSWQPPPLENPAFEEYYKEQRIVREEEWDDFISVLRKPLPATFRINASSQFFKDICSKLENDFKIYLESEVSDEYGEDAIRPLPWYPGNLAWHLNFSRKQLRKNQALESFHEFLKHESEVGNITRQEAVSMVPPLFLNIQPDHHVLDTPGSKTFQLLEMIHQSKEPGLLPRALVVANDVNAQRCDLLIHNMKRMCTANLIVTNHEAQNFPDCRIANDLSEIYKKDCKPQRLEFDRVLCDVPCSGDGTIRKGHDMWRKWNSGMGNGLHLLQVDISMRGIALLKVGGRMVYSTCSMNPVENEAVIAELLRRSGNSVELLDVSNELPELVHRPGLSTWKVQDKGSWFQNHDDVPCDRKNVILPSMFPSNKSIQEGQSVCDDIEVNTGSNGSFSRNFSIEKTNKVYCDTDGISNSNTTKHSDSTPNSTSSNFPLHRCMRIVPHDQDSGAFFIAVLHKLSPLHECQMVEVTKTEVLDDDESLEEQKKISIDAHTSEDSNLTEVALVTDDVKNDQAESGNRMNKLQDQCKWKGVDPVLFFRDLTVIKSIVSFFAINISFPLEGHLVTRSADPNNARRIYYVSKSVQEILQLNVEVGEQLKIASLGLKMFETHRSKDGCPCAYRLSYEGLPLLLPYISKRILCASPNDFLRLLQYRTVNFAHFINARFGEEAASLIPGCCVVILREGHQNLDLGSITMDPTTIAIVCWRGKATLNAMVSPPDRKELLERITQRFGLKALRVEEENPRRQN >ORUFI08G20720.2 pep chromosome:OR_W1943:8:21626737:21633804:-1 gene:ORUFI08G20720 transcript:ORUFI08G20720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIASRTPVSLHSLLVAGGHGKRCVAGAGGRKRGRVQRRHLTQALESFWRHAPRPAPPAAAARGEANRSWQPPPLENPAFEEYYKEQRIVREEEWDDFISVLRKPLPATFRINASDEYGEDAIRPLPWYPGNLAWHLNFSRKQLRKNQALESFHEFLKHESEVGNITRQEAVSMVPPLFLNIQPDHHVLDTPGSKTFQLLEMIHQSKEPGLLPRALVVANDVNAQRCDLLIHNMKRMCTANLIVTNHEAQNFPDCRIANDLSEIYKKDCKPQRLEFDRVLCDVPCSGDGTIRKGHDMWRKWNSGMGNGLHLLQVDISMRGIALLKVGGRMVYSTCSMNPVENEAVIAELLRRSGNSVELLDVSNELPELVHRPGLSTWKVQDKGSWFQNHDDVPCDRKNVILPSMFPSNKSIQEGQSVCDDIEVNTGSNGSFSRNFSIEKTNKVYCDTDGISNSNTTKHSDSTPNSTSSNFPLHRCMRIVPHDQDSGAFFIAVLHKLSPLHECQMVEVTKTEVLDDDESLEEQKKISIDAHTSEDSNLTEVALVTDDVKNDQAESGNRMNKLQDQCKWKGVDPVLFFRDLTVIKSIVSFFAINISFPLEGHLVTRSADPNNARRIYYVSKSVQEILQLNVEVGEQLKIASLGLKMFETHRSKDGCPCAYRLSYEGLPLLLPYISKRILCASPNDFLRLLQYRTVNFAHFINARFGEEAASLIPGCCVVILREGHQNLDLGSITMDPTTIAIVCWRGKATLNAMVSPPDRKELLERITQRFGLKALRVEEENPRRQN >ORUFI08G20730.1 pep chromosome:OR_W1943:8:21634555:21638319:-1 gene:ORUFI08G20730 transcript:ORUFI08G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPCPSPPIPSSPSPARAPRRGGLLRARAVRAAPRPPSKWSLGSWRSLTALQQPEYPDKAELDEVLRTVEAFPPIVFAGEARKLEERLAEAAVGRAFLLQGGDCAESFKEFNANNIRDTFRVLLQMSVVGRMAGQFAKPRSDGFEERDGVKLPSYRGDNINGDSFDEKSRLPDPHRMIRAYSQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYMELAHRVDEALGFMAAAGLTMDHPIMTTTEFWTSHECLLLPYEQALTREDSTSGLYYDCSAHFLWVGERTRQLDCAHVEFLRGIANPLGIKVSDKMDPKELVKLIDILNPQNKPGRITIITRMGPENMRVKLPHLIRAVRGAGQIVTWVTDPMHGNTMKAPCGLKTRSFDRILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGSRYHTHCDPRLNASQSLELAFIIAERLRKRRIASWQLNKNSHLGNIPSLGL >ORUFI08G20740.1 pep chromosome:OR_W1943:8:21641780:21647108:1 gene:ORUFI08G20740 transcript:ORUFI08G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNARGGGHSEALKNYNLGRTLGIGSFGKVKIAEHKLTGHRVAIKILNRRQMRNMEMEEKAKREIKILRLFIHPHIIRLYEVIYTPTDIYVVMEYCKFGELFDYIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHQWFQIRLPRYLAVPPPDTAQQAKMIDEDTLQDVVNLGYEKDHVCESLRNRLQNETIFQATVAYYLLLDNRFRATSGYLGADYQESLERNLNRFASSESASSNTRHYLPGSSDPHASGLRPHYPVERKWALGLQSRAQPREIMIEVLKALEDLNVCWKKNGQYNMKCRWSVGYPQATDMLDVNHSFVDDSIIMDNGDVNGRLPAVIKFEIQLYKSRDEKYLLDMQRVTGPQLLFLDFCAAFLTKLRVL >ORUFI08G20740.2 pep chromosome:OR_W1943:8:21641715:21647108:1 gene:ORUFI08G20740 transcript:ORUFI08G20740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNARGGGHSEALKNYNLGRTLGIGSFGKVKIAEHKLTGHRVAIKILNRRQMRNMEMEEKAKREIKILRLFIHPHIIRLYEVIYTPTDIYVVMEYCKFGELFDYIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHQWFQIRLPRYLAVPPPDTAQQAKMIDEDTLQDVVNLGYEKDHVCESLRNRLQNETIFQATVAYYLLLDNRFRATSGYLGADYQESLERNLNRFASSESASSNTRHYLPGSSDPHASGLRPHYPVERKWALGLQSRAQPREIMIEVLKALEDLNVCWKKNGQYNMKCRWSVGYPQATDMLDVNHSFVDDSIIMDNGDVNGRLPAVIKFEIQLYKSRDEKYLLDMQRVTGPQLLFLDFCAAFLTKLRVL >ORUFI08G20740.3 pep chromosome:OR_W1943:8:21641715:21647109:1 gene:ORUFI08G20740 transcript:ORUFI08G20740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNARGGGHSEALKNYNLGRTLGIGSFGKVKIAEHKLTGHRVAIKILNRRQMRNMEMEEKAKREIKILRLFIHPHIIRLYEVIYTPTDIYVVMEYCKFGELFDYIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHQWFQIRLPRYLAVPPPDTAQQAKMIDEDTLQDVVNLGYEKDHVCESLRNRLQNETIFQATVAYYLLLDNRFRATSGYLGADYQESLERNLNRFASSESASSNTRHYLPGSSDPHASGLRPHYPVERKWALGLQSRAQPREIMIEVLKALEDLNVCWKKNGQYNMKCRWSVGYPQATDMLDVNHSFVDDSIIMDNGDVNGRLPAVIKFEIQLYKSRDEKYLLDMQRVTGPQLLFLDFCAAFLTKLRVL >ORUFI08G20750.1 pep chromosome:OR_W1943:8:21651282:21652229:1 gene:ORUFI08G20750 transcript:ORUFI08G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEAASAAAGEEGALPQRRRKSAPGQPWAHMETMHLLDAYEERWTRLRRGQLKAQQWEEVAADVAARCAASGAALRKTGTQCRHKLEKLRKRYRIEGARPVTSLWPYFRRMERLERGPLPVSSAFPPPPPAASPPAAASDDDDDDEEDDEEEEEVEEPIPRNNTRSINGILRDSGGGGGGFSGFAPRPPPQQPPPSFAMLSTAPPRKRVPYEAFQAKVAMADKVKEEEPPPVATRPGGGTNEQLSAVLRDFGQGIMRLERRRMEMQWEIDRGWKETEARHNRMLLDAQRHLHEALAATPPPLKKARREHGGDGS >ORUFI08G20760.1 pep chromosome:OR_W1943:8:21655745:21659874:1 gene:ORUFI08G20760 transcript:ORUFI08G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAPLAPLRSRAHLRLHCRLPPSPSPSPSPLLSRAPSRRWPPPLRASASGRGGASAAAAPTSSALDALLSAAELLCLAPPAICSVVCAARLVFPPPTTTGAPASGLVGGRMFVVQYVLLVGAVAIGSLIRRRQWGRLCQVGGGGGGGAAARGVDFAGRIGEVEESVRGVVAAVGVLSRTVEKLGVRFRVLRRTLRDPINETATLAQKNSEATRVLAAQEDLLEKELGEIQKVLYAMQEQQQKQLELILAIGEASRILDDKEDLPGNDTSSTIMEKENEQTDIKVETITGGNNRP >ORUFI08G20770.1 pep chromosome:OR_W1943:8:21662522:21662854:-1 gene:ORUFI08G20770 transcript:ORUFI08G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPCMREASPTTNGERRWWPHPLRRSCAAPHPPSLDAASLPPSSLRPLSLTVTMSPPPPHCMPSSTVGATIGHLAPLAGHLDLHHAARIDLSRSWPLAPSAKAWELAVG >ORUFI08G20780.1 pep chromosome:OR_W1943:8:21668279:21669271:-1 gene:ORUFI08G20780 transcript:ORUFI08G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKRGRLVGWVLLLLAVAIAGAAAATPRQLFLVTQAPVTLTNHHGELLTGNYSVNLLWYGRFTPAQRATVADFLLSMSSPSAAAEAAAVSGGPAAPSVASWWATTARYHPGAARLSLGRQVLDASLSLGRRLSETSLAALAARLSPHRGSIAVVITAPDVLVDGFCLSHCGLHASATSAAAAAATASPAATRGRGRFAYAWVGNAAEQCPGECAWPFHQPAYGPQAPPLVSPNADVGMDGIIINLATLLAGAVTNPYGGGYFQGPTEAPLEAVTACTGMFGAGAYPGYPGQLPVDAATGASYNAVGVAGRRFLLPAMWDPKTSQCSTLV >ORUFI08G20790.1 pep chromosome:OR_W1943:8:21686977:21690477:-1 gene:ORUFI08G20790 transcript:ORUFI08G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLPRRAPSLPLSHAKISSPPDTNPPKKKSPSTILPAPKIRHVSPKEEGVPYPYGGPWFATPPPAWFASPPSQAMPSSSVCPLPMAAKTNIELQHDFEEWNMLSSECPNHGAALLKGSLYELNLVLGQITYRHILMILFSSL >ORUFI08G20800.1 pep chromosome:OR_W1943:8:21694352:21694675:1 gene:ORUFI08G20800 transcript:ORUFI08G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDNAEQIIQIAPWDSVCNRQAINSLCALRRGDRCLFYHSSAGATSRHIVGVVEVAREWYEGEGEAASGGAVDVRVVGEFRLLVALGEIR >ORUFI08G20810.1 pep chromosome:OR_W1943:8:21694679:21694876:1 gene:ORUFI08G20810 transcript:ORUFI08G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARWGYFGVRWRGDHGRQRPPPLRRATVRRRLTPTTTRGHGNGAARHAEEEEGRQTTTALLPA >ORUFI08G20820.1 pep chromosome:OR_W1943:8:21697980:21707421:1 gene:ORUFI08G20820 transcript:ORUFI08G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKGILGFDHGVVQAPLGPDISGPELAAAVANAGGIGLLRLPDWPAPDRVRDLIRRTRSLTERPFGAAIVLAFPHEENLRVVLEEKLAVLQVYWGEFPRERVDEAHLAGVKVLHQVGSFEEAAKAKEAGVDGIIVQGCEAGGHVIGQEGLLPLLPRVVDLVSDTDISVIAAGGIVDGRGYAAALALGAQGVCLGTRFLTTEESFAHPLYKKRLIEINCTDYTNVFGRARWPGAPQRVLKTTFYDQWKNLPEQETEENQPIIGHTIIHGVHRDIRRFAGTVPNATTTGDIDSMVMYAGQGVGLITEIIPASEVVKRNGRLVKAPDPMTQVAINGWPGW >ORUFI08G20830.1 pep chromosome:OR_W1943:8:21708829:21710241:1 gene:ORUFI08G20830 transcript:ORUFI08G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAATPPLAAAAAAVAAVFLSALLLASASASASRLPPPRRLLPLVGGEVAVAVVAGEEEKVRLGSSPPSCYSKCYGCSPCVAVQVPTLSAPSVPAAAAAAAHDAAPLVATFTNYKPLGWKCQCRDRLFDP >ORUFI08G20840.1 pep chromosome:OR_W1943:8:21713192:21731147:1 gene:ORUFI08G20840 transcript:ORUFI08G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVFPVVDLRVLTQSDLDELAAASAHAVDPRSSCPDRAVFNESAGSRKQTFSRVRFAAAAAASASAAAAAKLPRGNDKEDSFIAYHLRRLFAPDDPSLTENPSFPQTQTLARSPSPDPDQLTTNSRGVSVDLVSLSRLADPYDAELGKRTARMTTEEELMGFISSLAGQWVNQRMRRKLVDASFFGDHLPSGWRLQLGIERKDRKAWVNCFSYVSPKGQSFATCQEVSAYLMSLLGYPEFKTDNIEYGSTQQHGLCADDGVNVLGVQHQIGTSMDSQSNLPVASATFYSHSRDQDETVADDINSYECQQCNLTFHGQSAYAHHLITFHKVSSKRRKSNKVSKFGEPVIGKDGKFECPVCNKTFEEQSRYFGHIGSHAKYQGLTPEAFLQTFSGKVGNNSFAGLSSSLQVLVGSPQLNEKTTACEARSQHHDCSTKHGGNSTRGIDLFNSNHPANFNGHNQSWCRSDEIPPTTEAQSTWTYRNNEMNCADRTVPRTVPQPNDHEDCRVSGFAEATNFNDQAGRHQGFRPFSFGTTNHCQGQIIDHAVAASKHAEVNNSMKSRDVNLNSRLNTISFPIATANNETSTALNDVNRSCITGKGFFLLPKIPQTSRRTDRLDWRTPKAEGHDGIGELLNLVHTGYLSTLLFKHSHFFSHWISLQDSCTLNVIQSKQSHVAKNAQPKQREREREKLSVRVGEISVRGKERRWRRCSSGSAMPSSSPAGGALALPLPSSVAEGALGLGRERGGGGSSCFVRETRFVVPTAVVDEASVEPFVCSGDAHMVLPAPVVELTKYSQPNLIMNPRSSEPEASNKETTTIHKVCCRSPPPFILSPTPMPLPPAIPSSPSPNPTTPPPPHPPGERHGRKLPRGNDKEDSFIAYHLRRLFAPDDPSSPQTQTLALPAPPSPDPDQLTTNSKGVSVDLVSLSRLADPYDAELGKRTAGMTTEEELMGFISSLAGQWVSQRMRRKLVDASFFGDHLPSGWRLQLGIERKDRKAWVNCFSYVSPKGQSFATCQEVSAYLMSLLGYPEFKTDNIEYGSTQQHGLCADDGVNVLGVQHQIGTGMDSQSILPVASITFSSHSRDQDETDADDINSYECQQCNLTFHGQSAYAHHLITFHKMGSKRRKINKVGKFGEPVIGKDGKFECPVCNKTFEEQSRYFGHVGSHAKYHGLTPEAFLQTLSGKVGNDSFAGLSCSLQDLVGSPQLNEKTTASEARSQHHNCSTKHGGNSTRGIDLFNSNCPANFNGHNQTWCRPDEIPPTTDAPSTWTYRNNVTNCADRTVPRTAPQPNDHMDCRVSGFAEATNFNDQAGRHQGFRPSSFGTTNHCQGQIIDHAVAASKHAEVNNSMKSRDVNLNSRLNTISFPIATANNETSTALNDVNRSCITGKGFSGSFSNNDGAASIVLPSSGLNNKIPSSLGVADRSSIAARSFNAGYVNENGASEANNIGNKNNTMVYQTSLAMRPVSPCDLQLGFSGQKQQILPGYGELRPAASGSPQLGGMAANSSIPTRPSQPQFGSMARTDALPTGPSQPGSLARPNFVPTGFSQFASRPPTSVPPADSSQFAGGMARQNIPTMSEPTLVLGYTPQMVNGPPAQLGWDLSLSRMVSEGMLPVLCIWCNSQFHHFGPIDAQQSGSFGFICPACKEKMSGNPNAPNNGPWQP >ORUFI08G20850.1 pep chromosome:OR_W1943:8:21731951:21732681:-1 gene:ORUFI08G20850 transcript:ORUFI08G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRRSSMLLVMALVLGTVSLATAASGVATFYTQYTPSACYGNRNMGNMVAAANDRLYNNGAVCGRCYAVKCAGAAAGGGGGNPCTGASVTVKMVDNCASSDGCTSTIDLSREAFAKIANLDAGVIRITYNPTYV >ORUFI08G20860.1 pep chromosome:OR_W1943:8:21733854:21737196:-1 gene:ORUFI08G20860 transcript:ORUFI08G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSLLLPTAAAAARRSPSGHRAPPSAVLSPPRRLATLRCLGYARRRAQARHVVACASAASAGSLDALIFDCDGVILESEHLHRQAYNDAFAHFGVSCEPASAAATDAPLYWDEAFYDDLQNRIGGGKPKMRWYFGENGWPTSKIFETPPSSDSDKEKLDWKTERYKEILKSGTVKPRPGVLRLMDEVKGAGIKLAVCSAATKSSVIMCLENLIGLERFNGLDCFLAGDDVKLKKPDPSIYITAAEKLGAQSQNCLVVEDSVIGLQAAKGAGMSCIITYTPSTANQDFSDAIATYPDLSNVGLEDLKLLLQKSLPLGVFKINQMLDSEDADTFFENFCTVL >ORUFI08G20860.2 pep chromosome:OR_W1943:8:21733854:21737196:-1 gene:ORUFI08G20860 transcript:ORUFI08G20860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSLLLPTAAAAARRSPSGHRAPPSAVLSPPRRLATLRCLGYARRRAQARHVVACASAASAGSLDALIFDCDGVILESEHLHRQAYNDAFAHFGVSCEPASAAATDAPLYWDEAFYDDLQNRIGGGKPKMRWYFGENGWPTSKIFETPPSSDSDKEKLDWKTERYKEILKSGTVKPRPGVLRLMDEGIKLAVCSAATKSSVIMCLENLIGLERFNGLDCFLAGDDVKLKKPDPSIYITAAEKLGAQSQNCLVVEDSVIGLQAAKGAGMSCIITYTPSTANQDFSDAIATYPDLSNVGLEDLKLLLQKSLPLGVFKINQMLDSEDADTFFENFCTVL >ORUFI08G20870.1 pep chromosome:OR_W1943:8:21739682:21747883:1 gene:ORUFI08G20870 transcript:ORUFI08G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAPLLALSKAISSSRSKPSLLASPHHFLLSRGRGSGACGCLPPAPPPPRRTPFAASSASASAARRLAVPGDLLLLSLARLALRGPAPRAEARRWFASLSAASNGPPRGGGGGGGGDGGGGGGGGGGWKRPRASQGTAVAEEASGQEADVIILDVGGMSCGGCAASVKRILESEPQVRSANVNLATEMAVVWVVPEDEDAKNWKLQLGEKLANQLTTCGYKSNLRDSSKASSQTVFERKMDEKLQQLKQSGRELAVSWALCAVCLLGHISHLFGVNAPLMHLLHSTGFHLSLSIFTFIGPGRRLILDGLNSLFKGSPNMNTLVGLGALSSFAVSSIAAFVPKLGWKTFFEEPVMLVAFVLLGKNLEQRAKLKATSDMTGLLNILPSKARLMVDNDPEQSSFTEVPCDTLSVGDYIVVLPGDRVPADGVVKSGRSTVDESSLTGEPMPVTKIAGTEVSAGSINLNGKITVEVRRPGGETAMSDILRLVEEAQTREAPVQRLADKVAGNFTYGVMALSAATYTFWSIFGSQLVPAAIQHGSAMALALQLSCSVLVIACPCALGLATPTAVLVGTSLGATRGLLLRGGDILEKFSEVDAIVFDKTGTLTIGKPVVTKPQLWDSCNNEWTGEILSLAAGVESNTTHPLGKAIMEAAQAANCLYLQAKDGSFMEEPGSGAVATIGEKQVSVGTLDWIRRHGVLHNPFADGENFGQSVAYVAVDGTLAGLICFEDKLREDSHQIIDILSKQGISVYMLSGDKKSAAMNVASLVGIQADKVIAEVKPHEKKSFISELQKEHKLVAMVGDGINDAAALASADVGIAMGGGVGAASDVSSVVLMGNRLSQVGLPIAAGALLPVTGTVLTPSIAGALMGFSSVGVMANSLFLRMRLSSRQQPIHKPQATISDVLPNAAESEKSYPSKWSA >ORUFI08G20880.1 pep chromosome:OR_W1943:8:21748180:21751634:-1 gene:ORUFI08G20880 transcript:ORUFI08G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTFSLLVLNISFRTTADDLFPLFDRYGKVVDVFIPRDRRTGDSRGFAFVRYKYAEEAQKAIDRLDGRNVDGRNIMVQFAKYGPNAEPIRKGRIIEEVEKSRDRSRSRSPRRRHRDDHRDRERRGRSRSRSRERHGRDRDRDHRRHSRSRSRSRSRSRSRSLSPDYKNRRRGRDDDKRRSKSKSRSKSRSRSKSRSKSRSRSRSRSRSRSFHSASPTRHSASPPRKGSTPRRSPARNGSPEKETNGKGSPPSRSVSPSPQRAGSRSPGSDDKERCRRPDFLSNVYGHGALA >ORUFI08G20890.1 pep chromosome:OR_W1943:8:21760153:21761458:-1 gene:ORUFI08G20890 transcript:ORUFI08G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDESGLKKGPWTPEEDEKLLHYIQKNGHGSWRTLPRLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILHLHSILGNKWSAIATHLPGRTDNEIKNFWNTHLKKRLIQMGFDPMTHRPRTDFFAALPQLIALATLRDQLAATACGGGGGGDTAAAAAAQIMQGAGGGGGGGGGGADVAIQAAKMQYLQCLLXEAPVITSDDVEANLRLLGCGGGGADAFTCHGGSLPPLADLSDVTTTTMTNPLDWCSATASASSCGGGGGASSPFPWPEFFHDDPFITDFL >ORUFI08G20900.1 pep chromosome:OR_W1943:8:21775326:21776141:-1 gene:ORUFI08G20900 transcript:ORUFI08G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLVRLASDEMPQTLDASSSSSCYDAFVPVFRPDPSSGFSAASVVAAADRLRSQFLSVEPDLFHDALVAPSPDHLGFPDEEEEEEIRWDCLQLDDDDEEEEGVADLRLEASNAAEEFDWEEVASPSGGAGMDQPEPEWEVLADVPPPPPPPTDEGFVYTSDRDVYEVLVGEGLFLKSKPPAARSAVEALPSAVVAAGEDGEGEECAVCRDGVAAGERVKRLPCSHGYHEECIMPWLDVRNSCPLCRFELPTDDPQYESWKASRAAAA >ORUFI08G20910.1 pep chromosome:OR_W1943:8:21779281:21780916:1 gene:ORUFI08G20910 transcript:ORUFI08G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPYLAYAVLATPLRAFVPSCPRVWQTRRDVSSFTVRLHQLFGVIFLHDRPCLRAPLVHDALLCIHDHSTAPHALPAARLPQHQLPDFGYIDHGYYTHGFIDHGSLGSFALATSTMAQRAIIRVEHSCRFLLQSKFQVSALLTLGLRGDVRIATYSSPGAQNWNLTQQPHSWALQFHWQGPK >ORUFI08G20920.1 pep chromosome:OR_W1943:8:21784139:21786612:1 gene:ORUFI08G20920 transcript:ORUFI08G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGGNGFGVESNASAVHGGMAMAWQWHGGQMSGGSSCAAAPPPVQQPAMDSLAWSSTVSPSTGAATAASGAGFLLPPAAVRGGFGSFPVNSGGIVEPAGDCSSESKKRRSDEIAGTDHANASNALADSGNETECSKDVNGEVIGPPATAAAGGKSKGKGAKDAGEAQKEGYSHVRARKGQATNNHSLAERLRREKISERMKLLQDLVPGCSKVTGKALMLDEIINYVQSLQRQVEFLSMKLSAVNPRIDLDIESLVNNSKDVLRFPGQPSSAPMGFSFSTEMMPGLQLSRPGILQGGVHGMINPDVFTSLMQKQQQNDKGAFREPQMHQTLDGSFRNTAQMPYPQVMSSEELSIRQDQDGFHM >ORUFI08G20930.1 pep chromosome:OR_W1943:8:21787318:21791602:-1 gene:ORUFI08G20930 transcript:ORUFI08G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLSRSLGASSVAALRPCAGRVRAPGAGAARGSGSARCGRGVRWEAGSGSRGRLVRVRCDAAVAEKAEETAEEEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLGVTDSSLLADGGELEIRIKPDPDAGTITITDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQYVWEGVADSSSYVIKEETDPEKMLTRGTQITLVLRPDDKFEFADPGRIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEDEEAKEGEEAKEGEQKKKKTITEKYWDWELANETKPIWMRSPKEIEKTEYNEFYKKAFNEFLDPLAYTHFTTEGEVEFRSVLYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIEEISEKDDKEDYKKFWESFGKFIKLGCIEDTGNHKRLAPLLRFHTSKNEGDLISLDQYVENMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEDNKESKQEYTLLCDWVKQQLGDKVAKVQISKRLSLSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIVKDLNAACKNEPESTEAKRAVELLYETALISSGYTPDSPAELGGKIYEMMTIALGGRWGRSDTETEAATTGDASTETGSSEATVTEVIEPSEVRPESDPWRD >ORUFI08G20940.1 pep chromosome:OR_W1943:8:21792331:21796772:-1 gene:ORUFI08G20940 transcript:ORUFI08G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKFAFFPPDPPAYGVADEEEEPPPPGSAAPAAAATARRVSLTGVPWREGVEARRVRTRRGTEIIAVYVRCPKARLTVLYSHGNAADIGKMYELFVEFSARLHVNLMGYDYSGYGRSSGKASEANTFADIEAAYKCLVEVYGTREEDIILYGQSVGSGPTVDLAAQLHRIRAVVLHSPILSGLRVMYSVKKTYWFDIYKGTNDDIVDCSHGKQLWELCQNKYEPLWIEGGDHCNLQTFPVYIRHLKKFISTIENMPLEKESSSTENEKLLAETETASDGSALSDAPWTTSQRLEPPRKSTRHELPPRLSNDRVDKRRRSTGRREKPRSIVEKKEKSRRSVDTFDRTRDEHDQPDKPRKSIDRLGEMIRSMGLCNVDCFKEPPRSIETSRDR >ORUFI08G20950.1 pep chromosome:OR_W1943:8:21799891:21800652:1 gene:ORUFI08G20950 transcript:ORUFI08G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDLTVSRASEWRATLAMSSRSGWIEAVVVGRAAQGAPVQPAAIGAGEGAATAPELRRRVRQPRRRGRPTSARRWSASWWAKRTRASYSGMARTGGSGTPGCSSGRRAWRWPGSPACLQSAPPRLVRRTQPPSPRTSTPGSRSSTQAEWDDGAVGGVGGGGSAPAPGARQAVPEEVGDDPIGGVGVVVRVPEDERLAVAAGPRVRQAQAPHRHAHRRPTVAASACDSDCPPASRPTPTPTPSTGSSSFHATR >ORUFI08G20960.1 pep chromosome:OR_W1943:8:21805574:21807040:1 gene:ORUFI08G20960 transcript:ORUFI08G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHAPPRSPASDGGHGDGDGDTKKPHVLVVPYPAQGHMLPLLDLVALLAARGLALAVAVTPGNVPLLAPLLASCPPSSVATVTLPFPAASASGLLPAGCGENTKDLPGHLFRPFMASLAALRAPLLAWCKAQRRRRRRVTAVVSDMFTGWTQPLAADLGVPHVTFSASGAHYLAVSHSLWRRLPRRRCPDEAVSFQDVPGSPTFRWSHLSWLYRTYVAGDEVSEAIRQFFLWNLESSCFVANSFTAIEAAYVDRPLPDLMEKKVFAVGPLSDAVGRCTDRGGKPAVAPARVAAWLDAFDDGSVLYVCFGTQQALSPAQAASLAGALGRSAAPFVWAARGGTPVPGGFEAATAARGMVIRGWAPQVEILRHRAVGWFLTHCGWNSVLEAVAAGVAMLAWPMSADQFTNAWLLAEAGVAVAVAEGADAVPDAGQMADAIASAIGNGGASVRQRAAELGRSTAAAVAEGGSSSVDLEELVSILSSPKLTN >ORUFI08G20970.1 pep chromosome:OR_W1943:8:21817048:21817418:-1 gene:ORUFI08G20970 transcript:ORUFI08G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPHDAEPPTASILVGTFLEWRRRARLANAQGGAQQHRVTDADNNLRAGDPFPGATANITDVGERDWKKKEKEERVEEEDESDRWVPRADEGEDEK >ORUFI08G20980.1 pep chromosome:OR_W1943:8:21818893:21819630:1 gene:ORUFI08G20980 transcript:ORUFI08G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRRRKPPKDGRVFVRGLAAGTAGEADLLRHFDRYGVVDEVSIPRVVGEVDSLTGLPALRFAIVKFGHPEFAGLALNDREQVIDGQMVAIVLRLLLKWEALLQVDIVVLRRLQRQVLLVRSLISQPVELGVLQEQSMRKRDDVLQILPRGSSWRVDSFHVFSQVLESKTCHMDSGLDFNPSCVSLK >ORUFI08G20990.1 pep chromosome:OR_W1943:8:21820657:21830676:-1 gene:ORUFI08G20990 transcript:ORUFI08G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTNGVPSRRRRRPHVLVLPLPSRGHLLPLLDFAHRLSTRHGVALTVAVTASDLPLLSAFLASTPLAAALPFHLPDASLPENSNHALLAVHLSGIRAPLLSWARSRPDDPPTVVVSDFFLGWAQLLADDLGVPRVVFYASGAFAVAALEQLWNGALPLDPKISVVLDTLPGSPAFPYEHVPSVVRSYVAGDPDWEVALEGFRLNARAWGAVVNSFDEMEREFLEWLKRFFGHGRVWAVGPVADSGCRGEERLPEAEQLFSWLDTCPARSVVYVCFGSMYKPPPAQAAALGAALEASGARFVWAVGADAAVLPEGLEERTAARGRVVRGWAPQVEILRHAAVGAFLTHCGWNSTLEGVAAGVPLLAWPMKADQFIDARLVVDLRGAAVRVAEGAAAVPDAATLARALADAVDGAKCGDVRAKAAALAAAAAAAVEEGGSSRVAFESMAKELETACMSPSFETTGPRAQSNSSPAAMSTNDGVPSRRRPHALVVPLPSRGHLLPLLDFAHRLSTRHGVALTVAVTASDLPLLSAFLASTPLAAALPIHLPDASLHENSHHALLAVHLSAPRRRPPCPPLPGSPSFPYEHVPSVVRSYVAGDPDWELVREGFLLNSRAWGAVVNSFDEIEGEFLEYLNRFFGHGRVWSVGPVADSGCRGEERSSEAEQLFSWLDTCPSRSVVYVCFGSMYKPPPAQAAALGAALEASGARFVWEVGADAAVVPEGLEERTAARGRVVRGWAPQVEILRHAAVGAFLTHCGWNSTLEGVAAGVPLLAWPMKADQFIDARLVVDLHGAGVRAADGAGAVPYPGALARVFADAADAGKLADVRAKTSELAAAAAAAVEEGGSSWIAMEKMANELETAYLESVDR >ORUFI08G21000.1 pep chromosome:OR_W1943:8:21846169:21849287:1 gene:ORUFI08G21000 transcript:ORUFI08G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTSSHSRHHHAFERSPNHSMMKNIADRNKHDLLQSAMNHAASKYMQRIYPLGIQRSSSNLTLSSLSLSQNSNDSSLSSSNSSWEPKVPLLYGGTFSPWGDVLVSLERRREEDDDKVSDHDVEGGEEDFDCSEPGSLHRCSWITKNSDEAYVQFHDECWGVPVYNDNRLFELLALSGMLIDHNWTEILKRRDMYREAFADFDPSTVAKMDENDVAEISGNKELKLAECRVAKEFGSFSGYIWGHVNHRPTVGRYKHHKYIPFRTPKSEAVSKDLVRRGFRLVGPVIVYSFMQASGIVIDHLVDCFRFPECLHLADRSWGITNVAA >ORUFI08G21010.1 pep chromosome:OR_W1943:8:21856096:21860535:1 gene:ORUFI08G21010 transcript:ORUFI08G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLVELRRPARGPGSRGARARGIKQGAGRRQQAVRRPAGGRRGDAAAAWRGAWAMGKGRHQGLVHPTISMTLLSSQC >ORUFI08G21020.1 pep chromosome:OR_W1943:8:21857831:21859732:-1 gene:ORUFI08G21020 transcript:ORUFI08G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVPDHTFNNGYSSKNQIEGRSLSWKRVFVQTDKGSVLGIELERGENAHTVKKKLQLALNIPTEESSLTCGDQLLNNDLSYICNDSPLLLTRNHMHRSCSTPCLSPNGKDVQHCDDSRVIEIVGCTSPSARMKQLVDDIVRGIEKGIEPVAISSGMGGAYYFRDMWGEHAAIVKPTDEEPFGPNNPKGFVGKSLGLPGLKKSVRVGETGSREVAAYLLDHKNFANVPPTMLVKITHSVFHMNEGVDYKTKSSDNKTQAFSKLASLQEFIPHDYDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNILVRKLYNDASRFETQTELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSEEDLEYITNLDPIKDAEMLRMELHTIHEASLRVLVLSTTFLKEAAACGFCLSEIGEMMSRQFTRKEEEPSDLEVLCMEARNWVEEREWLLPQADFEGEDDNESTQFDLDSEDDSTTFEASFSNNIRPIKGNSRDPPSKLAEVNEYVDEDDNNEFNKDDVGTCTSPITTWTPSTSNLSISSNELSFSGRRKSHSGVAKNKVTSKINSNSYSGNHSAKEKLPHNSSFAKLSDLSANKWSPFLEKFQDLLQSMFQDRKQTAGRNPWLTQRLGTSCQF >ORUFI08G21030.1 pep chromosome:OR_W1943:8:21875464:21881897:1 gene:ORUFI08G21030 transcript:ORUFI08G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQGNKATHDFLSLYAAAATATDYSPLPRHPDSKPSAPAPPPAQDFFLKTHDFLPQVEKRADQTPPPPPPPPPHAAVTAEKQLLHQHALAAAGAFTINHAVAAAATAVKQEPPFAPWCQPVAAVDPRGHQWSLPFAARAVAVASSRPQQQQQQPPPPERKGGGGFMDAGSRSSGGAGFDDDDGHAARREVSSSLKELTVRVEGKGGSCSGSAGTDQMPNTPRSKHSATEQRRRSKINDRFQLLRDLLPHNDQKRDKASFLLEVIEYIRFLQEKVQKYEVSYPEWNQENAKVVPWTNIYFRSSWKNAQNKGQVPADHSPDPPELLKNGSPYMFPFTGNSDNNNAVETAAASGAQDQAETDPMSRVSYRSVDTPSPNNVADKVTSQPHAQLVRPSPAENHTVNCDKLNNSDLAIDEGTISLSSQYSQELLNKLNHALENSGIDLSQASISVQINLGKRAMKRSTPAATSTSKVGFMSRIDTKSHYIMFVVIEIGAGNGCYTWSSLIRHLIVKQWAVSLGWVMALKNIDKPQSDTNQITADGLLLKTPDIQPFFAPNCVRGAPRFYVY >ORUFI08G21040.1 pep chromosome:OR_W1943:8:21886107:21886937:1 gene:ORUFI08G21040 transcript:ORUFI08G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQQPETGRRPAQQFATVDLRRPKGYAAAPATPQPGSAATAAAAAGPAATAAAAAAGEGDPCPRCESRDTKFCYYNNYNTSQPRHFCKCCRRYWTKGGTLRNVPVGGGTRKKSSSSSSSSSSSSAAAAAPAAKRQKTSKKRRVTTPEPLAATTPVLTEAAADSAAKTTTEATSEKKTTTSTTTTTPPAPDTTSEITTELVVPAVEEDSFTDLLQPDSAAVTLGLDFSDYPSITKSLADPDLHFEWPPPAFDMASYWPAGAGFADPDPTAVFLNLP >ORUFI08G21050.1 pep chromosome:OR_W1943:8:21888984:21889439:-1 gene:ORUFI08G21050 transcript:ORUFI08G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDEAGIEELLEMGKMAGAGGTSCSLFREEKVGLAGEVGRQWQRQCGSCAGERKRRDDVLGGGRRKRALLSSSMTVRPGLVAGWRDRKRASARSDSPDENWSG >ORUFI08G21060.1 pep chromosome:OR_W1943:8:21893150:21894961:-1 gene:ORUFI08G21060 transcript:ORUFI08G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDGDAAFHRNEAISAVQDVDQYYGDDDDFDDLYNDVNVGDGFLHNSSSSQPPPSQQLPPPSLPPPPPQKQPPSQQLPPPPQQQQPPPQHSLPPPPPLPQAPPPQQQKVHIPGVAAPAPNHPPSQPNLPPPAAPAPLPPQQHQIQQGGGDGFHRPGGNYGGGPIVVGNGGPAVVGGDGPGGTTLFVGELHWWTTDADLEAELIKYGPVKEVRFFDEKASGKSKGYCQVDFYDPAVATACKEAMNGHLFNGRPCVVAFASPNSVRRMGEAQVKNQQSMAAQTSSMQPKGGRGGGGAGSPQVGGNYGGGRGGGPGGGAGGGGGNWGRGGGGMGRGPAGNMRNRMGGPAGGRGIMGNGGMVAPPPPMLPPGGMMGQAFDPTGYGAMGRMGAGFGGFPGAPGAGPFPGLMQPFPPVVAPHVNPAFFGRGGMGAGGVGMWPDPNMGGWGGEEQSSYGDDAASDQQYGEGGSHGKERAERPPDREWSGASERRREREKDIPPAQEWPDRKHRDERDMGRERDRDYDRERERDRDRERERDRDRERDRERDRERERDRHRDDRDRYGDYHRHRDRDSERNEDWDRGRSSGVRSRSREVDHSKRRRMTPE >ORUFI08G21070.1 pep chromosome:OR_W1943:8:21903092:21904712:-1 gene:ORUFI08G21070 transcript:ORUFI08G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGMDCGRRTGGPLHGNLQDVMNKPNGIGFRFPDWGDGRERRSGCVNWIWASDEALTVVDSGV >ORUFI08G21080.1 pep chromosome:OR_W1943:8:21906553:21907368:1 gene:ORUFI08G21080 transcript:ORUFI08G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLRLAAALLLVVLLPLAVSAADGKAAPAPAKAKAPAAPPPAPPNITASMAKGGCKAFAALVAASPDALSTFQSAADGGVTAFCPTDDAMRAFMPTYKNLTADGKASLLLFHAVPVYYSLRSLKSNNGVMNTLATDGSAKNFNFTVQNEGDKVTIKTDASDGVARIKDTVYDKDPIAIYAVDTVLEPVELFEPAESPAPAPAPVADAPKASKSKKASHRHVADAPGPAGDDAPPADQRKSSKKNDAAAGASCLRWLAALPVAVAVAAALA >ORUFI08G21090.1 pep chromosome:OR_W1943:8:21908799:21910061:1 gene:ORUFI08G21090 transcript:ORUFI08G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLAVASLLLVALAVVARPPLALAVKDYPADASAVAKKSPAGKADTPTTGKESVAGKTDVVTVAKKSPAGKADTSATCKEYAAAKADTVTVTKKSPAAKADTPTTGKESAAGKANAATVAKKSSAGKADTSATATGKEYAAAKADAVTVAKKSPAAKADMPATGKESIAKVDAATVAKESTAGKTGKKAAAKESTTSGKTNTEADAATVAKKSLAGKAGTPATGKEYAVTKADAATVAKKSPADKTGKESVVAKADTATVTKESTAGKTGKKVAAKESTASPKTSMEAAAKKSTASKTGTETVAKGSTASGKTDTETAAKESIAPGKTDATAAVKESTAGKGDAPAMAEKSAAGKAEASAAAKESPTNKADAAAAGPTSGGYQYVNFVIKNPVKAKEKSSDRADGLPIDPTPDGQMMH >ORUFI08G21100.1 pep chromosome:OR_W1943:8:21911353:21911664:1 gene:ORUFI08G21100 transcript:ORUFI08G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKRQVVAGLEIDGMFEKLVGEAAKLARYNKKPTITSREIQTSVRLVLLGELAKHAVSEGAKAVTKFTSS >ORUFI08G21110.1 pep chromosome:OR_W1943:8:21914754:21915364:1 gene:ORUFI08G21110 transcript:ORUFI08G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKAGEKAEKAPAAGKKPKAEKRLPASKGEKGGEGKKERGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >ORUFI08G21120.1 pep chromosome:OR_W1943:8:21916464:21923855:1 gene:ORUFI08G21120 transcript:ORUFI08G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELFMQVFERRDWVKAQVQDQVVSYSESLACAILAAGRRPPPWLLPALDSVPARGNNTKMSSIDLAHMRNENSLHHAQSQTYQRVKPKTHEFGGCKPGGLHIVNYAGEIDQSQICVSESVVQEFNIAHSLNEGLPSTSPVEVPHSVMSSLLQEDTSQPVESNLQGIPHSVSSPLPEQITMGVSETDSLTGMTCMASQLPENVSLLSLKSIALEGPDSVFTPLSQKEIADAGDTVSLMEPIAKTESVAGLISMASPQFDNDRLQTNLLEGPDSVPTSLSQADARHTAETDSVEILDQEEDTDTPREYSFPDKRVDENLKILEHQSSECHVRSPPCDGSSLRPDYLANAICEAPKMLSTPQENMLGDEQQGSECHVLSPPCDGSSLQPDYLASTVCEAPKMLSTLQEKCFEAQSEAYDVYVRNDMNGSVIPERFSTESAEKLLRSHDGTECKIFSFDIAMEIDSDSCETVSDKQALATQPSAQHLLHSSRCGEITSKKSDAQSNNSHQGRSVADVIQVQGNSSFEGIEINCQSDHALYSLCSTMSTSMDCQPDILDKMENRADMSGKPQHPVHHLDRLGSSECISLDLERRIVTSNWKSSVSYKVHTSVDSSSQRTMSSLSDIIHFNSLRMKSLSSSSSSLSGNVATVPQDSLPNCSDILSDGDGEYTRKTNNCSVYPGADVKYVAVDDQILNHTDYVSSGCEVLNPENHPSSTPPSTFPSYASSDQQSQQACASNCSNKELGEKCIHDDPGQPVSDGHIPLQNGDNCADFDETVEVHQSCGIPIPANSPTIKERVLEAYRDSTKWVNLSSNLSSKCKINSKITSPLRSKYESLTARFEKLLGPASLVEVEPKWHYPSYDTKMMGEDREIPLTPSFGKYSLQKPSGVCYTSNCTGSITDLACFQIDEDSSTSEASRKYMDVGRLDLPTTTASSRESDHQAHLIIDQAMQNPKENRAPSIRKEVKVTQSLHDRESKGRILGNQNESHKSEANLDKGWKPSNIVTSMTSFIPLVKQKQRPTTVCVKRDVRVKALEVAEAVKRREQKKQNEREMRKAAAELERERVKQEREQKLKQMEQKKKTDARKRQWEDDGRKEKEKKKKCIEEPRKQQKQLGERMHAGNSREDASQKDPDDTEIRKNTVRVVINQLLSDEKTESFPILVTSGSNNVKAVVADGNSGSSGHQIHGRLSDDADKSYEMSPYEDSDEEDGGDLEHKEKVRRRQKHIPPWTRKEILDEILLSNRTLDPREIFERKCSFSLSDVLAPPIPQRRLN >ORUFI08G21130.1 pep chromosome:OR_W1943:8:21926325:21930855:1 gene:ORUFI08G21130 transcript:ORUFI08G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAVKQIVPAHQVKPAVDPVHAKASKAGKDGRSDLIAKEILEEQKPAHRRQESSESILDKGPSNVGSDSGVLDVPLTPKGDSGELKEIQGLDCNGNQEKKTAQKSSTSESFASAKVSDGTNGLRKTCGSGKVSDTADSTESGKSSMCRPSTSSNVSDESSCSSLSSSTTKPHKGSDSRWEAIRMIRSKDGILGLSHFRLLKKLGCGDIGSVYLSELSGTRSYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTVVKSANPGPDALQRNNQAYCVQPACIQPSCIQPSCVAPTTCFGPRFFSSKSKSKSKKEKKSKPEVVNQISPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPMVSFSARDLIRGLLVKDPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPVELERPPKPAPATEKVAPAANQKGSDNYLEFEFF >ORUFI08G21130.2 pep chromosome:OR_W1943:8:21927086:21930855:1 gene:ORUFI08G21130 transcript:ORUFI08G21130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAVKQIVPAHQVKPAVDPVHAKASKAGKDGRSDLIAKEILEEQKPAHRRQESSESILDKGPSNVGSDSGVLDVPLTPKGDSGELKEIQGLDCNGNQEKKTAQKSSTSESFASAKVSDGTNGLRKTCGSGKVSDTADSTESGKSSMCRPSTSSNVSDESSCSSLSSSTTKPHKGSDSRWEAIRMIRSKDGILGLSHFRLLKKLGCGDIGSVYLSELSGTRSYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTVVKSANPGPDALQRNNQAYCVQPACIQPSCIQPSCVAPTTCFGPRFFSSKSKSKSKKEKKSKPEVVNQISPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPMVSFSARDLIRGLLVKDPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPVELERPPKPAPATEKVAPAANQKGSDNYLEFEFF >ORUFI08G21140.1 pep chromosome:OR_W1943:8:21932401:21943091:-1 gene:ORUFI08G21140 transcript:ORUFI08G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKREDAAADGEITAAVSRAGTSSSSFGGAAICDDVVRNIFARLPARDAVASMALSRHHRRLITSPDFVRLHCRHGAPLPRPHIAYVATAPVVTHRDVLARINSLQERNENVTTTQSRTASRRRRGTMASTSPAPPIVGTNPMRALAGKKYSDQKYVGTCNGVILLAGRGEDDEHFSGLLNPAVADGEREVSVVVPPSPDDYHASGFGYGPMTRTYKLLLCKHKCETILAFDVDDETITSIALPGECVAGGKPRSHLKSDLMEMSGRAPICDQKFIAVWLLTTERRWERRCAFRNDWCWPATVAGVWDCGGALLIVIQADDESSIFMYDDATGEVSRLNPPPDASPEKSDYRICWGYKPTLVTPASIVGEFDQEKQRCRDIAADVLAAVTPLNEMQKRKGQEAALHTVCFMEFLVGVMRKLPGNLRRVIAAMKRKREAAATAAAAAVAVADGETTTAAARADSSLSSSEGGSICDDVVRNIFARLPARDAVASMALSRRHRRLITGEEFRRLHCRHGAPLPRPHVAYVATADVVAHRDTAGRVDSLERWAHQRAARGFSTGAFASQSSYDAAPHRSLSYHGFHVAGAAAAAGRRGGTNPMRALAGQKYDNHKYVGTCNGVVLLADKEPSVGFLLNPAIADGERKVSVVPSSPDNDTKYHISGFGYGPRTRTYKLLLCKHKSVANFKRLSNGGIARVPGAPYYLWRADELVVYSLGGGAAEQPRTVLAGLDGDMIHRRSLYMDGTVYLLNADKETVLAFDVDDETIASIALPGERVAGGKPRSHLKSYLMEMSGRVCVATVDDGDRETNAVWLLITTERRWERRCAFRNDWYWPATVAGVWDCGGALLIALQAHDESSIFLYDDATGEVFHLNSPPHASPEKSDYRICWGYKPTLVSPASIAGEASQDERRRRDVAADVLAAVKPVREAHEREGQKATLHTVCFMEFLVGVMRKLPSELHHGIADLDQFY >ORUFI08G21150.1 pep chromosome:OR_W1943:8:21936280:21937984:1 gene:ORUFI08G21150 transcript:ORUFI08G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKSIRRSNGHASSSSSILDGDDTSSASGGGMSIYYQKINQRVAVLEKVAVSVHESRASTREAALASLVGALEGFVPAHFIGWHLRGEIVRGCCASIKKGAAAKEARLALRAVALLAVTLGPGSKRRILPAETYNPLEPGPGSKKIMAETFPLVSRILEVSTDAPLVIAALESLAVVAFVDVAAENMDDTEACMKALWGLIRPSTGPKVAGVARKTSPHVLAAAVSAWTLVLTTTDGWKKKKAAASSPAAWRDTAAHLAPLLHSDSRAVRMAAGEALAALAVTIEMKLLTRDSNGALISGVAARASELANEAAGAGVGKANFVEQKELFKSIASFLAGGKAPASSVRVSSSHHGRLTASTWTDIVRLNFLRRFLGGGFLPHLQGKGKVLMGEEAPLIGQVFVVKEDDMAMDHKKKVEKQRTLNRERQIVSDLKHGSSHV >ORUFI08G21160.1 pep chromosome:OR_W1943:8:21946948:21949048:1 gene:ORUFI08G21160 transcript:ORUFI08G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEEDELAVDPGVGAVCDPVTKLRFEAIVCLLDRIPITLQQIDMFGQDVAFRTKRIGNIEALLDFLKGSAAATWGARAGAGEPIDASSPELHRLVEDAETAYRDVRGLQPRMVVQMPRVFHKVCLPKLHQLLVIARRLLAQNVALRRLLLQPVGCDVSPMALAEAAVSSDDFERHGRNKVVIDEFGYEDLLRRRHTGHESQNDADDAEQHGREVREGEYEDLILMRHRDTSHELLQDDARRRRADAEAEQQGGDGEVRDEYEDYLCRQLGAVYSGPDQIYEHDMRGPEPAHSPNTPDQIYVPCERMLPYPSNCDNAEDRIHMACLALKNLVKDMEGIYSPRGTLWQYLEDVISLAHALFLENTKLHRFTDQASHQDLPLQPPQGFPFQQQPQHDGYQQPGVPFQQPQQGGYYGHGQGIMFQRGGYLQDVPFQHWQWQQGGYGQGFMFPQAQHQGGYGQGFLSEQPLPGDPSFMNMQAPYDGDGGVLFQKPQHYHHGHVPSEKGAIQAKGKQKMREPKTVMCPDWCRTGHCSSGDGCEYAHSQDELRVIDARPKYRTEPCRYWLAGKGCWYGDKCRYKQHRLAREPLYVDPFLTGTTYDRFAD >ORUFI08G21170.1 pep chromosome:OR_W1943:8:21953956:21955776:-1 gene:ORUFI08G21170 transcript:ORUFI08G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRPRKPPKDGRVFVRGLAAGTGEADLLRHFDRYGVVDEVSIPGVEADTLTGLPALRFAIVKFGHPEFAGLALADREQVIDGQTVHVGREDPRQSGCHSSGYKPLKQSTRQIGERKRRVGDMIKVVIGPLPEDSLERGLLKYLKQFGSVDAGMLIIDCIIKYISRDGQELTVKIDKSKNAAWSTCEDTFHFSDRRKNSDGRINPNIYRGLINKTPPPPAACAYSYNRTGGIAGKKCNIPNGSCNYPTCPKSYHGSIVNQTHFPHPAAYAYSCNRTGGIAEQMCNIPNGFCNYPTYKLNPNFYRGSSIVNQIPFPYPAAYSYFCNPTGSFAGQIFDDDFAYQCGGMNWNATMLN >ORUFI08G21180.1 pep chromosome:OR_W1943:8:21965696:21969697:1 gene:ORUFI08G21180 transcript:ORUFI08G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPRLELSSLEGHRSSENPESRDEKTEIPFYAQRYESVRCSCGAEEEVDDCPIEEVRLTVPITDDPALPALTFRTWLLGLISCAMLAFSNQFFGYRQNPLYISSLSVQIVVLPLGKLMAACLPKKVFRVKGTAWSFSLNPGPFNLKEHVLITIFANTGSNSVYAVGIITIVKAFYRREIHPLAAMLLTQTTQLMGYGWAGLFRKFLVDSPYMWWPSNLVQVSLFRALHEKEKRPKGGTTRLQFFLTVLITSFAYYIVPNYLFPTISTISVVCLVWKKSVTAQQIGSGVYGLGVGSFGLDWATVAGFLGTPLSTPAFAIVNIMAGFFLIVYVIVPAAYWADAYGAKRFPIISSHVFSANGSRYDVNQVLDTATFEFSQAGYDAAGKINLSIFFAFTYGLSFATLAATLSHVALFHGGSIWRQTKAAVSGQGGDVHTRLMKRNYAAVPQWWFQVMLVAVLGLSVFTCEGFGQQLQLPYWGVLLAAGLAFFFTLPIGIITATTNQQPGLNVITELIIGYLYPGRPLANVAFKTYGYISMSQAIMFLQDFKLGHYMKIPPRSMFIVQLVGTVLASSVYFGTSWWLLESVSNICDPAKLPEGSPWTCPGDDVFFNASIIWGVVGPLRMFGRLGLYAKMNYFFLAGALAPVPVWALSRAFPGRAWIGLVNMPVLLGATGMMPPARSVNYLMWGAVGLAFNYVVYRRYKGWWARHNYVLSAGLDAGVAFMGILSYAVLQSRGINGVNWWGLQVDDHCALARCPTAPGVSAPGCPVQ >ORUFI08G21190.1 pep chromosome:OR_W1943:8:21970556:21973568:-1 gene:ORUFI08G21190 transcript:ORUFI08G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAEARSREHGGGGGGGSGSAGKIFVGGLPRDTTEADFVKHFGQYGEIVDSVIMRDKHTSQPRGFGFITYSNPAVVDRVMDDIHEFNGKQVEIKRTIPKDSVQSKDFKTKKIFVGGLPQALTEDDFKHFFQKYGPVVDHQIMRDHQTKRSRGFGFIVFSSDQVVDDLLANGNMIDLAGAKVEIKKAEPKKSSNPPPSSHGSASRSAYGRDSRGHSSGNDYGGLANAYSNYNSGGFGPYRNHGVYGGGSLSGYGGIGEYGVQYGRYYPGLGGSGSMPSFGYASRVGPYGGGFDGPYAGGNLSGYRRGGDESFGGLSSSSFGGAMYGGAAYDPALGGYASGSTPERSRGNLAGGSGRYNPYGR >ORUFI08G21200.1 pep chromosome:OR_W1943:8:21980530:21987171:1 gene:ORUFI08G21200 transcript:ORUFI08G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNVRVIEARNLRAMDSNGFSDPYVKLQLGKQRFKTKVVKKNLNPAWDQEFSFSVGDVRDVLKLYVYDEDMIGIDDFLGQVKVPLEDVLAADNYSLGARWFQLLPKGKTEKAIDCGEICVAMSLETAGATRSWSDDLVSELTDIQKDYSLSSQGTGTSVALSYQESEACQEESVNGNLGRAGFTEEDNCSQDTDRNQTTAEDKSNGIPAAASTGIEVSKTDKSNKPSFVDRVCQMFVRKSDDVVTTPLVTTDKSEDVQEATTGYEAPATGSQTYSASTDTPFDELLKYFESKHQEVEMPVDLQGILVDKSYITSPSDLNNFLFSPDSNFRQTVVELQGCSDVKMESWKIDSDGESLKRVITYTTAPSKLVKAVKATEEQSYLKADGNGYSVLLSVSTPDVPCGTYFRTEILFRILPGPELDSEQLTSHLVISWRINFLQSTMMKGMIENGAKQGLQQNYAQFSDLLSQKIKPIDVDAGSDKGQVLASLQRGQESDWNIAFLYFCNFGVLCSLFVTIYIAVHVQLRSSGAHKGLEFPGLDLPDSLSEIVMGGLLFLQLRHIYKKISCFIQAREQKVGDHGVKAQGDGWLLTVALIEGTKLAPVDATGFSDPYVVFTCNGKSKTSSIKFQTLEPQWNDIFEFDAMDDPPSVMNVHVYDFDGPFDEVTSLGHAEINFVKSNLSELADVWIPLQGNLAQSWQSKLHLRIFLSNSKGSTMVTEYLSKMEKEVGKKMTLRSPRTNTAFQELFSLPAEEFLISSFTCCLKRKLHTQGHLFLSPRTIGFYSSMFGRKTKFFFLWEDIEEIQAVPQSISSWSPSLVITLHKGRGMDAKHGAKSVDNGRLKFCLQSFASFSVANRTIMALWKARSLSSEYKMQIAEEQSQNNDTLQSEDSGIFVGVEDAKNLQMNEVFSSSISANMASLMEVFGGGSFEMKIMNKVGCLNYSATQWESDKPDEYQRQIHYKFSRKLSPIGGEVTGTQQKSPMPNKAGWIIEEVMELQGILFGDFFTIHIRYQIEDLAPKQRACSVQVFLGIEWSKTTRHRKRIEKSVLSGSSARLKEMFILASKQLPHAR >ORUFI08G21210.1 pep chromosome:OR_W1943:8:21990914:21991417:-1 gene:ORUFI08G21210 transcript:ORUFI08G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSMPAPKDSVVAYLLYNTAVSIAILADMVRAALVFLGLPVPPSAWEDGDDQLAAIAAAAAAAAAAAGGPNLADRFRSRFRPARFGRRRGGGAGAADCRVCLARFEPESVVNRLPCGHLFHRACLEKWLDYDHATCPLCRHRLLPATTESPSPSPATATPHFARI >ORUFI08G21220.1 pep chromosome:OR_W1943:8:22003801:22005505:1 gene:ORUFI08G21220 transcript:ORUFI08G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKAPAMENVGVVDFPTDVLVDILSQLPTSSRRLCRLVCRRWRDTIDKRTPERDVRTKMLTFVKGLDNEASAYVVDEARGRHRRVWTSSCSVDVIGTRNGLICVLDGGTGAVTVANPATRESLPVPPPPPRQAGLLPCFPDARTHEAYGFAFHPATLRYAVVHVPCYFNKSGTFDAVQVYTLGRGGRGAPPSWRSVPTPGASGRFQPGGVACVDGVAYWITAGTPAAIMSLDLKDNRVAPVKWSPETPGRGCRCSYRLTEMRGRLCVAVTVEETEKPTKRVEVWWMESTRDQRWTRRYNIMLETPKQHVMWPLFAHGENVLTVAQVFKEYNLHKHKVSDKRSSQCSMVKIWKKKPGVEIMNYGVADHTGISTFAYMETSEPLEIYK >ORUFI08G21230.1 pep chromosome:OR_W1943:8:22005913:22006525:1 gene:ORUFI08G21230 transcript:ORUFI08G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEAAGFGELPADALEEILVRIPASARRRLRLVCRHWRDVVDDRTPERRSRAKTLVYVSRPAGAAAYVLDDDDDLGAAAGSYGAAAAPPPPPQTGPDRRFRFRMVGTLNGLLCLYEELAGDIVVLNPVTGETPLHIRGPAGNRLDPAALSFVYQEKTGQYKIVHLRRLPISLAARAGAHHRGGRRFMAERAGPRRNEL >ORUFI08G21240.1 pep chromosome:OR_W1943:8:22006586:22008759:1 gene:ORUFI08G21240 transcript:ORUFI08G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSFDLTDERFGRATPLPSPAPDWLAVNCLKEVSGGGGMVGIVAYASGWCGLEMWVLERGGGRKKTRWRWRRRFRVPRRVGPHDLVGLRFGHGHGHGEHVVTKLFGDSPPWCVSGGGARRAQCQCSVKTGPAYLEIDEVTTDASLSTVRSFRTHGYSNIES >ORUFI08G21250.1 pep chromosome:OR_W1943:8:22008957:22012656:1 gene:ORUFI08G21250 transcript:ORUFI08G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQETSIGDLHTDAFVEILRRVAPSARRRLRLVCRRWRNVINSRAPAWRGHAKTLAFVYHRPGPATAAYAIDGLEEDDEGCYRKLWDAAADPSLPAIYSRLRMIGSCNGLLCLYDEGVTGDIALLNPVTGETLDVAGPPGHRLRRQEPYGHVPYGEAFSFTYHEATERYKIVHLAVSDGRLEAVEVLTLVDGAPSPSWRRVAAPAGSSCWLPAGVVSDGQATYWINHGGGDRLMSFDLTDERVATITSLPVAAKNLNAGCLRKARGRLCVGNRIHHDYQNNTEIDEMWLLERDGCESLERWYCRFYLTTRLCMEVQQVVGPHFTQGEHILAHRHGSLYVHRCVSSATRPQCSVAQIHEHWPYHEPMFTCGHASNIRAFSYIETTEPLNVYQCNGGSKIGSVVNNDEEMATGKTTSLGQMLTSMFNDLPSPHPKRPVARRRKWRTNRFK >ORUFI08G21260.1 pep chromosome:OR_W1943:8:22014918:22016072:1 gene:ORUFI08G21260 transcript:ORUFI08G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGIGHIPSDAVVEILVRLPPSSRRRCRLVCRHWRDLVDDRTPEMRSRAKALVLVHAVAHVFDDLPEGRRRQLLPNCRGVDIVGTCNGLVFLCEWSRGFALVNPFTGERVDGAGVPPPPCPRGEEPPFYQPTHAAYAFGYHPTTGRYKIVHFPIQDRRTETFDAVRVLTLGAEEDASTSWRDVPMPAGGSSRRGSCGVVSVDGSTYWITRDTERVMSLDLGDDERVAAVTPLLPARTAGPGCTCKLTDVRGRLGVAVSVSMATSTDTDVWVLEGGGGGGGERRWSRRYSVRVHGVEQQLAWPHFAHGEHVLTTSTHCSIRGFLYAHRLSDDGRRRLQCSAVRINERRPGKVVGSFGACYRRDLRTFAYVETTEPVSVFRLRG >ORUFI08G21270.1 pep chromosome:OR_W1943:8:22019811:22020664:1 gene:ORUFI08G21270 transcript:ORUFI08G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNCNYKSVSNGECISRARQQLKIDREFRDGDGGGKAATPVSMDDAVSRGDLPADAHVEILQRVPPLPPRLPAMARRHRRAARRPAAKCRAKTLVVVDDDRDLPLRRPNERDQQGGAARLPEQLNTGVTVGTYVQRRALHVALTRGIVRLGQPGHRRDATLDIPPPPCPAPGVYPGYIARDHSKFSFDRSATCRRRRRGGTRSCTSPSRVFDVVRVFMLVDDASWRDVAVNGAGSSRRGGCGVASVIYWVANMAMSFDLKYERVAAVKPSCRRVR >ORUFI08G21280.1 pep chromosome:OR_W1943:8:22021641:22026201:1 gene:ORUFI08G21280 transcript:ORUFI08G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDGDGDDNGWDMPSDTLGEILRHLPPLDRRRSRLVCRQWRDAVDSRAPARPGPAKTLVVAHGAGYVFDDVPGGSSREIPSPCPLANIVGTCNGLLCVVGTGAGFTTGGFVLSNPVTGEALHVPLPTRIGAPWRRWEHNEYYSFAHHPTTGLYKIVPFTVDDRWTGSFDAVQVYTLGEAASWRDVPAPAGSSRRKSCGLVSVDGFTYWVAMDTEKVMSLDLKDERITVVITLPAPASEPGRQCRLTEAHGRLAVAAIVTQPTNTKTEVWVLEGGAGGRRWIRRYSVQLHGPDRQMVWPHFAHGDHAMTASTWQYSRSHELLYVHRLSGGKGLQCREARINGKSPGKAVGKYDRRTYRDLRTFAFVETTEPLNVYSGHGCRHIGKEISAG >ORUFI08G21290.1 pep chromosome:OR_W1943:8:22027055:22030562:1 gene:ORUFI08G21290 transcript:ORUFI08G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVWVLEARGRRGRGRAWRGALDHVAAPGARRARPGIGRACNGARRATSRWSVSCILRAVQKILRFTLQSAPPLCDADERRAADFFRNLIIVEKANLKECHIMQTRSSFARVLGGQNANQNTPAENAFYAEMFQCTSDDLQFYMAFSIVTIREYKLSLAVAFSLSIECSPIFPKVTIGNKMVKRCYLKVTELGDEEMLAKWQV >ORUFI08G21300.1 pep chromosome:OR_W1943:8:22032856:22037279:1 gene:ORUFI08G21300 transcript:ORUFI08G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRWRATATRLLVLLACACAVFVPRCHCVGDQGEALLRWKASLLNGTGGGGGGLDSWRASDASPCRWLGVSCDARGDVVAVTIKTVDLGGALPAASVLPLARSLKTLVLSGTNLTGAIPKELGDLAELSTLDLTKNQLTGAIPAELCRLRKLQSLALNSNSLRGAIPDAIGNLTGLTSLTLYDNELSGAIPASIGNLKKLQVLRAGGNQALKGPLPPEIGGCTDLTMLGLAETGISGSLPATIGNLKKIQTIAIYTAMLTGSIPESIGNCTELTSLYLYQNTLSGGIPPQLGQLKKLQTVLLWQNQLVGTIPPEIGNCKELVLIDLSLNELTGPIPRSFGGLPNLQQLQLSTNKLTGVIPPELSNCTSLTDIEVDNNQLTGAIGVDFPRLRNLTLFYAWQNRLTGGIPASLAQCEGLQSLDLSYNNLTGAIPRELFALQNLTKLLLLSNDLAGFIPPEIGNCTNLYRLRLNGNRLSGTIPAEIGNLKNLNFLDLGGNRLTGPLPAAMSGCDNLEFMDLHSNALTGTLPGDLPRSLQFVDVSDNRLTGVLGAGIGSLPELTKLNLGKNRISGGIPPELGSCEKLQLLDLGDNALSGGIPPELGKLPFLEISLNLSCNRLSGEIPSQFAGLDKLGCLDVSYNQLSGSLEPLARLENLVTLNISYNAFSGELPDTAFFQKLPINDIAGNHLLVVGSGGDEATRRAAISSLKLAMTVLAVVSALLLLSATYVLARSRRSDSSGAIHGAGEAWEVTLYQKLDFSVDEVVRSLTSANVIGTGSSGVVYRVGLPSGDSVAVKKMWSSDEAGAFRNEIAALGSIRHRNIVRLLGWGANRSTKLLFYTYLPNGSLSGFLHRGGVKGAAEWAPRYDIALGVAHAVAYLHHDCLPAILHGDIKAMNVLLGPRNEPYLADFGLARVLSGAVDSGSAKVDSSKPRIAGSYGYIAPEYASMQRISEKSDVYSFGVVVLEILTGRHPLDPTLPGGTHLVQWVRDHLQAKRAVAELLDPRLRGKPEAQVQEMLQVFSVAVLCIAHRADDRPAMKDVVALLKEIRRPVEGGATGGGEGKEQNAAAAAAAPPAAERRSPARSTLPKGGSSSCSFAMSDYSS >ORUFI08G21310.1 pep chromosome:OR_W1943:8:22038592:22044955:1 gene:ORUFI08G21310 transcript:ORUFI08G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAAGGLAGARGEGGGAGVGRVFVGAGCNRVVNNVSWGACGLVAFGAQNAVALFSPLRGEIVTTLPGHKATVNCTLWLPTKKDVLQIRSRERHYLLSGSSDGIIMAWKIDSGKGEWTRALQLPVMHKKGVTCLAGRMVSDTVSIFASTSSDGTVVIWKMEDEPTSVGSCEVSCLHSISVGPKPMVSLSLAVLPGQGGHLILAMGGLDHKVHIYCGDQSGKFIKACQLKGHSDWIRSLDFSLPVMMGSEEHSLFLVSSSQDRTIRIWKMNSDAVSSAHTTPRKEDIGIASYIEGPLFVSSNTHYQVSLESLLVGHEDWVYSVEWQPPTLLTDDEAHQPMSILSASMDKMMMIWKPEKNTGLWINSVTVGELSHSALGFYGGHWQPDGKSILAHGYGGSFHMWRDVGLGSENWQPQIVPSGHFAPVSDLTWSRSGEYLLSVSHDQTTRIFAPWRSQVSPGDVTCWREIARPQIHGHDINCVAFIQGTGNHRFVSGADEKVSRVFEAPLSFLKTLQHATLLKTDNSGDFDDVQVLGANMSALGLSQKPIYTHGPRETQSSISNDGPDSMETIPDAVPTVFTEPPVEDQLAWNTLWPETHKLYGHGNELFSICCDHEGKIIASSCKAQSAPVAEIWLWEVGTWKAVGRLQSHNLTVTQMEFSRDNAFLLSVSRDRHLSVFSIRKTDDGAEHHLVAKLEAHKRIIWACSWNPFSYEFATGSRDKTVKIWSVQDSSSVKLLVTLPQFHDSVTALAWTGRDRASNAGILAIGMDNGMIELWNISGGRASTDSSGSGSSPLSFACMLRFDPLLCHVSTVHRLRWQKSDSSDEKSALQLASCGADHCVRVFEVRDI >ORUFI08G21320.1 pep chromosome:OR_W1943:8:22043503:22044446:-1 gene:ORUFI08G21320 transcript:ORUFI08G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYHVQSPRSILLKMIATKQQPPALPPPPPSPSCPPRTSPAMWCAAIVCFAFSLLLIAAGAVILVVFLAVRPRAPSFDAAGATLNAVYVGSTSPAPYVNGDMTVAANVSNPNQKMDVVFRSAAVELFFGGRRMAAQALPAPFVQRRGQSQIISVHMVSSQVALPPELAVAMVNQVRSNRVVYTIRGTFKVQAKLWFWHYTYSMSALCDLELTAPPCGVLVARRCITRQHSS >ORUFI08G21330.1 pep chromosome:OR_W1943:8:22045925:22048079:-1 gene:ORUFI08G21330 transcript:ORUFI08G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLPIKRIENTTNRQVTFSKRRNGLIKKAYELSVLCDIDVALLMFSPSGRLSHFSGRRGVEDVILRYMNLSEHDRGEAIQNREYLISMLQRLKRESDMATQLANPGALNEKIEEIQQEIYSSQQQLQITEDRLRMFEPDPAAFGTSSEVDGCEKYLMELLTRVVERKNNLLSSHMAPFDATTAAMQGADGTQMYVSQADGLATFGGDAAMWGPDGGADPGHPMFSASDPLIYLRDHDVYDANSQAYTCTELLSTLIPTTPFPLMPHCLGPEDQYLSMEHGMVAAAQEPVEASTASCSYVPSDENSGTPVMAYDSNPPPANIA >ORUFI08G21330.2 pep chromosome:OR_W1943:8:22045925:22048079:-1 gene:ORUFI08G21330 transcript:ORUFI08G21330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLPIKRIENTTNRQVTFSKRRNGLIKKAYELSVLCDIDVALLMFSPSGRLSHFSGRRGVEDVILRYMNLSEHDRGEAIQNREYLISMLQRLKRESDMATQLANPGALNEKIEEIQQEIYSSQQQLQITEDRLRMFEPDPAAFGTSSEVDGCEKYLMELLTRVVERKNNLLSSHMAPFDATTAAMQGADGTQMYVSQADGLATFGGDAAMWGPDGGADPGHPMFSASDPLIYLRDHDVYDANSQVAGLHGGDPCAAGGAAAAAAAVGCVDDDVAGGHAAAADAWRQAYTCTELLSTLIPTTPFPLMPHCLGPEDQYLSMEHGMVAAAQEPVEASTASCSYVPSDENSGTPVMAYDSNPPPANIA >ORUFI08G21340.1 pep chromosome:OR_W1943:8:22048342:22060805:1 gene:ORUFI08G21340 transcript:ORUFI08G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEYVSPMRSSRWRLLTLWFERLEIEAVAAACREGLLISIYDDDVYSKHGFLAQSLILVEISLWQMGQTFRSFPQSEHVCIPSLGNALCHFYCCCGGGGGEGMADARRVFDEMPERDAVSWNTVIGGYVRAGEVTKAVDMFSEMMCCSVGVSVTALVTMIRCGWQAEPVHGFCIKVGFCRDAKVASAMVGMYVREKSVECGRKVFDEATKRDLVLYNCMVDGYAEAGQVEEAMGLVDRMRLEGVRPSSGTLVGVLSACGASGAMAAGRRLHEIALEAGLELDTALGTALMDMYFKCGYPSEAVAVFDAMQERDVKAWTVMIMGFGVNGQAGEVISLFRSMEEDGVVPNEVTFLAVLNACSHGGLVSEGKKFMESMVLQYGIFPNTEHYGCIIDLLGRAGRLDEAYELIASLSSQSDATAWRALLAACRVHGNANLGRMVQARLDNMDDYHPSDAILLSNTYALESRWDEIAHVRDSEDQKIVKDKKEPGCSSIEVSW >ORUFI08G21340.2 pep chromosome:OR_W1943:8:22048342:22060805:1 gene:ORUFI08G21340 transcript:ORUFI08G21340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEYVSPMRSSRWRLLTLWFERLEIEAVAAACREGLLISIYDDDVYSKHGFLAQLPLLGNALCHFYCCCGGGGGEGMADARRVFDEMPERDAVSWNTVIGGYVRAGEVTKAVDMFSEMMCCSVGVSVTALVTMIRCGWQAEPVHGFCIKVGFCRDAKVASAMVGMYVREKSVECGRKVFDEATKRDLVLYNCMVDGYAEAGQVEEAMGLVDRMRLEGVRPSSGTLVGVLSACGASGAMAAGRRLHEIALEAGLELDTALGTALMDMYFKCGYPSEAVAVFDAMQERDVKAWTVMIMGFGVNGQAGEVISLFRSMEEDGVVPNEVTFLAVLNACSHGGLVSEGKKFMESMVLQYGIFPNTEHYGCIIDLLGRAGRLDEAYELIASLSSQSDATAWRALLAACRVHGNANLGRMVQARLDNMDDYHPSDAILLSNTYALESRWDEIAHVRDSEDQKIVKDKKEPGCSSIEVSW >ORUFI08G21340.3 pep chromosome:OR_W1943:8:22048342:22060805:1 gene:ORUFI08G21340 transcript:ORUFI08G21340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEYVSPMRSSRWRLLTLWFERLEIEAVAAACREGLLISIYDDDVYSKHGFLAQELFTLPPGVTIMQARLRKALEWKTKRTAL >ORUFI08G21350.1 pep chromosome:OR_W1943:8:22052344:22055146:-1 gene:ORUFI08G21350 transcript:ORUFI08G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCSKQNPSGQQPHCCNHSSSSNAAPKGNNRFAKFGDDYHTLEQVTDALAHAGLESSNLIVGIDFTKSNEWTGRVSFNNQSLHSLGHISNPYEQAISIIGQTLSRFDEDNLIPCYGFGDATTHDQKVFSFYPDNKPCDGFEQALDRYREIVPQLRLAGPTSFAPMIETAIGIVDSSGGQYHVLLIIADGQVTRSVDTGNGQLSPQERETIDAIVKASDYPLSIVLVGVGDGPWDMMRQFDDNIPSRAFDNFQFVNFTEIMSRPVPASKKEAEFALSALMEIPEQFKAAINLQLLGKRRGFPHRTVLPPPVRDFQQYYGCSTVKETQSTSYGSLQKKTSAPKQDSDIGDQTCPICWLEAKNLAFGCGHQTCSDCGKDLKVCPICQREISTRIRLY >ORUFI08G21350.2 pep chromosome:OR_W1943:8:22052344:22055146:-1 gene:ORUFI08G21350 transcript:ORUFI08G21350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCSKQNPSGQQPHCCNHSSSSNAAPKGNNRFAKFGDDYHTLEQVTDALAHAGLESSNLIVGIDFTKSNEWTGRVSFNNQSLHSLGHISNPYEQAISIIGQTLSRFDEDNLIPCYGFGDGPTSFAPMIETAIGIVDSSGGQYHVLLIIADGQVTRSVDTGNGQLSPQERETIDAIVKASDYPLSIVLVGVGDGPWDMMRQFDDNIPSRAFDNFQFVNFTEIMSRPVPASKKEAEFALSALMEIPEQFKAAINLQLLGKRRGFPHRTVLPPPVRDFQQYYGCSTVKETQSTSYGSLQKKTSAPKQDSDIGDQTCPICWLEAKNLAFGCGHQTCSDCGKDLKVCPICQREISTRIRLY >ORUFI08G21360.1 pep chromosome:OR_W1943:8:22058312:22059878:-1 gene:ORUFI08G21360 transcript:ORUFI08G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHVYWVALERNELHDDNSMLHSEILELQNKLRSYDADGRHSCFESPAFPQQQPVVIEQHYGTTPSELQLFPESTTSEDSEPSQEHGISDHVTRPQAKYPTPTATLTVNLFPVLPGRQDQQCSSGTTGTSEEDCTGRS >ORUFI08G21370.1 pep chromosome:OR_W1943:8:22061918:22102503:1 gene:ORUFI08G21370 transcript:ORUFI08G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRTYSRRRRRRRDSRLSPTRSRAPYAKEGHVPSYIAATSSSCQQADAVAQDTEVLPPSGSCLSSSPASHVWARHVKDWGLIFYIRVDLQGSFHTYPDVGGPFQSSQEADKAIDRYLEDHRDPKMHIGQNDDISSMENVIRRCLYWPDGTIKRRTKSFATWEAKKRMHQFIQALVDKYNDDHNLLGDLALKLKDVLHYQPLCENHMWYYHLNFTAKTKEDDGFDSTSDNLFFVEVKCMGKGNYEEMVVSCFCVINPIDNGKPCKGCTNNGTADMKHPDTGEYFAGHLDAYLPYGCFGQWSDSDDDDKYQDGVSDMDIIVRQSIYWPDGSIKKRTKSYATEKTHKRMCQLVQALVDKYNEDHNLFGICYGCAKQGSVDMKHPDPCKYDGGHLDMGRPFECVDEWSDSEDDAEYVKAKEAKIRRMYEAHGPDDNVSTMDKVIRRCLYWPDGRIKRHTKSSSTRAANKRMHQFIQALVDKYNDDHNLLGVFSLSLSLSLSLSLSLSLLSHSLSFCFGCTDLALKLKDVLHYKPICENHIWYYHLNFTAKTKEADGLHSTSDNLFSVEVKRMGIGNYEEMLVSCFCMVNPDNGKPCKGCTNNGTVDMKHPDTDEYFAGHLDAYLPFGCFGKWSDSDDDDKYVKAREAKLRHMYKLTVMRFACLAEFGCLEIINFCGLNACTTPKTSIMVVVSGESVNIHYHSQLPCGSLKK >ORUFI08G21370.2 pep chromosome:OR_W1943:8:22061918:22102503:1 gene:ORUFI08G21370 transcript:ORUFI08G21370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRTYSRRRRRRRDSRLSPTRSRAPYAKEGHVPSYIAATSSSCQQADAVAQDTEVLPPSGSCLSSSPASHVWARHVKDWGLIFYIRVDLQGSFHTYPDVGGPFQSSQEADKAIDRYLEDHRDPKMHIGQNDDISSMENVIRRCLYWPDGTIKRRTKSFATWEAKKRMHQFIQALVDKYNDDHNLLGDLALKLKDVLHYQPLCENHMWYYHLNFTAKTKEDDGFDSTSDNLFFVEVKCMGKGNYEEMVVSCFCVINPIDNGKPCKGCTNNGTADMKHPDTGEYFAGHLDAYLPYGCFGQWSDSDDDDKYVKAKEAKIRRMYEAHGPDDNVSTMDKVIRRCLYWPDGRIKRHTKSSSTRAANKRMHQFIQALVDKYNDDHNLLGVFSLSLSLSLSLSLSLSLLSHSLSFCFGCTDLALKLKDVLHYKPICENHIWYYHLNFTAKTKEADGLHSTSDNLFSVEVKRMGIGNYEEMLVSCFCMVNPDNGKPCKGCTNNGTVDMKHPDTDEYFAGHLDAYLPFGCFGKWSDSDDDDKYVKAREAKLRHMYKLTVMRFACLAEFGCLEIINFCGLNACTTPKTSIMVVVSGESVNIHYHSQLPCGSLKK >ORUFI08G21380.1 pep chromosome:OR_W1943:8:22078160:22079118:-1 gene:ORUFI08G21380 transcript:ORUFI08G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRLSNAMERRPNGDLEFSWKRARLHGQHILPEVRDQGNEPTCVLQAVCAAAEMTMMRNLADRNPPSSTDTRYSLAFVWKYWGIGNVIRLGRPSKGQTQKLSLSELSNFERVAEYIIQGTPLLGTLPTGDEFRTMLPDEIFEFRRGLLPAGTVASTHMVLFMGFGYRNGRPYLVFLNSNGKSFGDEGLGRVYFDQIYAELFYALNARAPDASVRTSHHNEDASASTSATTQQFTLSSSARPGPAHPDARASTSSTTMQLSSSSTPPTAPRRRDDQPSPPRPAQRRRL >ORUFI08G21390.1 pep chromosome:OR_W1943:8:22089907:22093619:-1 gene:ORUFI08G21390 transcript:ORUFI08G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKKRGIRDWIGDSQRKRNHKPGRLFFLLSLSSQPPRLHLAAVKPTEADRASLRDVAAAARWREMNSGKEKNSKHLPGVSR >ORUFI08G21400.1 pep chromosome:OR_W1943:8:22106787:22110559:1 gene:ORUFI08G21400 transcript:ORUFI08G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGARVEAAPRLAQWRVDVLPCYTYRKSEPFRIGLWNWYLSVERNNKQTCVKVFAELSNSAKNTTPAPIASFITKLLISFPPNQKTIVHPGIFDKPLKHEGFVWAIDSTVTGRFVIEIEFLDLKIADPSGGEPASIWASHQIKKSSDNTALSSLARMLQEGILTDITINATDGSIMAHRAILASRSPVFRSMFSHDLKEKELSTVDISDMSLEACQAFLNYIYGDLRSEEFLTHRLALLRAADKYDISDLKEACHESLLEDIDTKNVLERLQMAHLYRLQKLKDGCLRFLVDFRKVYEMHDDFKVFLQTADRDIIAEIFQGILTTWSGR >ORUFI08G21410.1 pep chromosome:OR_W1943:8:22117360:22121864:1 gene:ORUFI08G21410 transcript:ORUFI08G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFFFPARDEGLIAKFVALDAYIKGGRSSGVSPASEHEALTAGGDRSKSGIRLSRRDSESERRRKKMTVGAGVAVQDGGLVALGATVLTEVRDNVLLTPAAGAGMTSGTFVGVRSATAGSRSVFPVGKLRGLRFICTFRFKMWWMTQRMGTSGRDIPFETQFLLVEAADADGSHLAGDGAAAVYTVFLPILEGPFRAVLQGNSDDELEICLESGDPAVESFEGTHLVFVGAGSDPFEVITNSVKAVERHLQTFTHREKKKMPDMLNWFGWCTWDAFYTDVTSEGVMEGLQSLGKGGTGPKFVIIDDGWQSVSMDPAGIASLADNSANFANRLTHIKENHKFQLNGRKGHREENPANGLAHIVNEIKGKHQLKYVYVWHAITGYWGGVRPGADGMEHYESKMQYPVSSPGVQKNEPCDALNSITTNGLGLVNPDRVFSFYNELHAYLASAGIDGVKVDVQNILETLGAGHGGRVLLARKYHQALEASIARNFRDNGIICCMSHNTDNLYSSKRSAVVRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSVHPMAEYHAAARAVGGCAIYVSDKPGNHDFNLLKKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSILKIWNLNEHSGVIGAFNCQGAGWCRVGKKNLVHDEQPATVTGVIRAQDVHHLATVAADGWNGDVIVYSHIGGEVTCLPKNASLPVTLKTREYEVFTVVPLKKLDNGVSFAAVGLIGMFNSGGAVTAVRYVEDAGVEVRVRGSGTVGAYSSAKPARVVVDSEAAEFSYDDGCGLVTFELAVPEQELYSWTISIEY >ORUFI08G21420.1 pep chromosome:OR_W1943:8:22124863:22128539:1 gene:ORUFI08G21420 transcript:ORUFI08G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRVAAALLRRGRDQASSLMAARLPRGAPAPSPAAPRVGSGSVCGCGGGGGLLTGSRSTGSVFSASRLASFHAFRSIGSKTLMGQCTRKMTTTVAAMNSGVANAAAYSGLKLLVTKGPQAQKAIGIWLFGCATWVFGLVILGGVTRLTRSGLSMTDWKFTGSLPPMSDEEWLLEFEKYKLSPEYKRVNKGMSLGDFKFIYWMEYGHRMWGRALGFLFSVPFAYFIAKGYVTRQLGLRLSGLFALGAGQGLIGWWMVKSGLEEPASEYVQPRVSPYRLATHLTSAFVIYCGILWTALSVVMPEPPAGSMNWVNSAAKIKKLAIPVSAVVGITAISGAFVAGNDAGHAYNTFPKMGDTWIPEDVFAMEPFIRNFFENTSTVQLNHRILATTTLLSVGGLWLAARKVDMHPAIKSLIGSTLGMAALQVTLGISTLLMYVPTSLGSAHQAGALTLLSLMILLTHTLRRPSPALLKSLASAVKST >ORUFI08G21430.1 pep chromosome:OR_W1943:8:22137704:22141507:1 gene:ORUFI08G21430 transcript:ORUFI08G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMASAAAASASARRWRWRWKWRTRDAVLALLIASVLAPPLLLYGGAPIAPFSGPILMGSAASGLDLSNLDAFAAVKEPIQTVASDAAALKAGLIQHIVDQSSGIDRGTKDNGMVASVNKKGGVEFTKENGLIDDGKLRENKVRAMRNSSGLNITLNKDHTADRPPEKTTDTTSEDSDIRAISNNTSHSTASPDSTIRVLRDQLKRARTYIGFLSSRGNHGFIKDLRRRMRDIQQALSGATNDKQLPKKYYLSHRYTKFFTVGISDDDLCLVSGVHGRIREMELTLTKIKQVHENCAAIISKLQATLHSTEEQMQAHKQEANYVTQIAAKALPKRLNCLAMRLTNEYYSSSSSNKHFPYEEKLEDPKLQHYALFSDNVLGAAVVVNSTIIHAKTPENHVFHIVTDKLNYAAMRMWFLENSQGKAAIEVQNIEDFTWLNSSYSPVLKQLESQFMINYYFKTQQDKRDNNPKFQNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQQDLSALWSIDLKGKVNGAIQTCGETFHRFDRYLNFSNPLIAKNFERRACGWAYGMNMFDLSEWRKRNITDVYHYWQEQNEHRLLWKLGTLPAGLVTFWNQTFPLDHKWHLLGLGYKPNVNQKDIEGAAVIHYNGNRKPWLEIAMAKYRKYWSKYVNFDNVFIRQCNIHP >ORUFI08G21440.1 pep chromosome:OR_W1943:8:22142906:22143355:1 gene:ORUFI08G21440 transcript:ORUFI08G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLVSRCLCLLLLLLLLAVAGNTVAVTTPGPHGHGNGAARAAVQMPPKYYDDDAAVVGRGAAGVTTVPPADDDDGCWESVVGTSPPCARDVLLSLALHAPRLSGECCTVLARAGDKCVAGVFSGLPSGEKYLPLVKRICSLVSVIF >ORUFI08G21450.1 pep chromosome:OR_W1943:8:22146120:22157143:1 gene:ORUFI08G21450 transcript:ORUFI08G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQPIKNAVHIGETPVTGDWACPDGGNTEVAAFLDKAGAEAEAEAESSGSHPLGEIAGSAGHLLLLKLWQREESRLGRRACALEALMDAARRDAFYLCAAFLAFHGLSLALLFAASVSASASAAVVSPPAEQRAACCSLAGGGGCRRPCRWSRPSRSRRPCSSGSAPGVRTATRALARCVQELRMKGAAFGLSKEPQYGGDEGQVRQRRGRRCVGPALVVLPEHRRRRHHVLRQVHLVLVGRRLRVEMALPSASSESDFMHVSKKTRCSPRLNKGSASPRLDKSNTAHSNKKTCAIKPTVHRNGPMGKSKCACKKELKLDNENADYMKEYRRELCKRLLYHCFNDLHPKRRF >ORUFI08G21460.1 pep chromosome:OR_W1943:8:22159928:22160441:-1 gene:ORUFI08G21460 transcript:ORUFI08G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAGKPAAGWGIPAATAAAASASLASSRGTMSTRKSKTSVRAMAAAMSDFWSVRRLFSSACAQPRWVSSRMNISHALANTTGASAAIMRTSSSAFMIFLMRARGRLWFLKSVVCSISRYCSAQKFWSCAAAACCEAAVAAAEAEASAAAAMADRG >ORUFI08G21470.1 pep chromosome:OR_W1943:8:22162983:22165232:-1 gene:ORUFI08G21470 transcript:ORUFI08G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQIFSVRIAALSAAAAPVQIYGYMAARDLYELLRNYVFRRSRDDPFVLPGHYSDPDSLVSLWGPKRDTSRCRIPPCMIEYDLKMKRGEEEQDDLQLINGVAAFSDLSPFHGVYSQRIHGVHGAVDISLALLREGMECTIEIRVPKEQPIKNAVHIVEIPVTGDGACPDGGNAEVAAFLDKAAEAESSGSHPLGEIAGSAGHLLLLKLWQREESRLGRRACALEALMDAARRDAFYLCAAFLAFHGLSLALLFAASVSASAVSPPAEQRAACCSRWWVPSSLSLVASLALAAAVQLRVCAYWRASRRLRRERGDARALARCVQELRMKGAAFDLSKEPQYGVTRAKCASVEGAGAWGPLRWCYQNIVAACLLAVAAATMCSGKFILCS >ORUFI08G21480.1 pep chromosome:OR_W1943:8:22166568:22172272:1 gene:ORUFI08G21480 transcript:ORUFI08G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREDDAGDHQRPEPQAKEENSSTSASPSHDPKITQEEKRWEDEDDEYEEEEEELLYDVDDGEDAPAEACVLTGVKHSDGSIHKPKPPYSLLGLYHLDDASETRLEPMRLTAPTDRCRPCLLDCTVHYGCAMMQIFSVRIAALSATAATAPVQIYGFMAARDLYEPLRNYVFRCSRDDPFVLPGHYSDPDSLISLSGPKRGISLQNPAMIEYDLKIKRGEEEQDDLQLIDGVAVFSDLTPFHGVYTQRIHGVHGAVDISLALLREGMECTIEIRVPEVANGGIHLLVSCFVSKIPQKIKLFDGTITEPWKLRNYKFAAGHMIARGNNVVWQPQVVEEMLRYYKEKILADGRQLVFKEVHHEECAKQINGKYHTNFTSRQVYHKFHKLKAQWKVIMEAKNLSGANFDDVEKKILYDETEVVRMTNAKDKRAKFINVPIRWYDEMEFIFQDKHATGEFNVMQTPYDRPMEDDDFIGDKNGSPGDVDPSSNYGSDCLPDQENNTGSSSSSRRAKGRKTDKGKRVRADDNVVYEITGAMDNMSETMRFTHMTHPNESLFKIIDEMTEYPVMVRLELQTYLATHENIAAMLKSRPLDSIKKYVAQWIIQNYPAAIPVVAVQRDTTLIVDFKVAPVVADGGGGSSDRVHRFYAFNAEAHDYAFQRIQLDFTTIDIRVNWSNLF >ORUFI08G21480.2 pep chromosome:OR_W1943:8:22166568:22173226:1 gene:ORUFI08G21480 transcript:ORUFI08G21480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREDDAGDHQRPEPQAKEENSSTSASPSHDPKITQEEKRWEDEDDEYEEEEEELLYDVDDGEDAPAEACVLTGVKHSDGSIHKPKPPYSLLGLYHLDDASETRLEPMRLTAPTDRCRPCLLDCTVHYGCAMMQIFSVRIAALSATAATAPVQIYGFMAARDLYEPLRNYVFRCSRDDPFVLPGHYSDPDSLISLSGPKRGISLQNPAMIEYDLKIKRGEEEQDDLQLIDGVAVFSDLTPFHGVYTQRIHGVHGAVDISLALLREGMECTIEIRVPEVANGGIHLLVSCFVSKIPQKIKLFDGTITEPWKLRNYRDTTLIVDFKVAPVVADGGGGSSDRVHRFYAFNAEAHDYAFQRIQLDFTTIDIRAGTFF >ORUFI08G21490.1 pep chromosome:OR_W1943:8:22175523:22177148:1 gene:ORUFI08G21490 transcript:ORUFI08G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLLLVAVAAAAAVLSQLGDAAPSTAEVFWRAVLPESPLPDAFLRLLRPDTSFVVGKAEAAGGAARTGFPFDYTDYRGSDSPTTASGLDLAGDFDEPAPFGYDYSAQGEGGGGGGAAAAGEQVLAVDAGFNYDKYVGARKLRGGSSTAGGEDDDEPFGYDYKAPSSGSGTAASTTARGVGTGATTTVFFHEEAVRVGERLPFYFPAATTSALGFLPRRVADSIPFTAAALPAVLALFGVAPDTAEAAGMRETLRTCEWPTLAGESKFCATSLEALVEGAMAALGTRDIAALASTLPRGGAPLQAYAVRAVLPVEGAGFVACHDQAYPYTVYRCHTTGPARAYMVEMEGDGGGDGGEAVTVATVCHTDTSRWNPEHVSFKLLGTKPGGSPVCHLMPYGHIVWAKNVKSSTA >ORUFI08G21500.1 pep chromosome:OR_W1943:8:22180427:22182238:-1 gene:ORUFI08G21500 transcript:ORUFI08G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSYYSLPYCRPQGGIKKSAENLGELLMGDQIDNSPYRFRVNVNESLYLCTTKGLNENDAKLLKQRTRDLYQVNMMLDNLPVMRFTEQNGITVQWTGFPVGYTPAGISEDYIINHLKFKVLVHEYEGRNVEIIGTGEEGSGVISEFDKKGMSGYQIVGFEVVPCSVKRDAEAFSKSNMYDSIEPVSCPMELQKSQVIRQQERITFTYDVEFVKSDIKWPSRWDAYLKMEAGAKVHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTCPKLLCVMIGDGVQILGMAIVTIIFSTLGFMSPASRGMLLTGMIILYLFLGIAAGYVSVRLWKTIKGTSEGWRSVSWLTACFFPGVLFMVLTVLNFVLWGSKSTGALPISLFFALLAMWFCISVPLTLVGGFVGTRSAQIEFPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLVLLVIVCAEVSVVLTYMNLCVEDWRWWWKAFFASGSVAIYVFLYSINYLVFDLRSLSGPVSAMLYLGYSFLMAFAIMLATGTIGFLTSFSFVHYLFSSVKID >ORUFI08G21510.1 pep chromosome:OR_W1943:8:22185111:22187447:1 gene:ORUFI08G21510 transcript:ORUFI08G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGGGREGSEKITATITKAAISTNKVGTDQIHCLARGLLHLPVGQAAVTTIAPTVVVAMSRLYSHPSVADASDLIFVDDDSDNLSHLIELYSPLSSTGGGAFTVFLPSGNGIGVTGRVAFWLGAVDITEGYGTPFDQGITVSFARYVTV >ORUFI08G21520.1 pep chromosome:OR_W1943:8:22190332:22192662:1 gene:ORUFI08G21520 transcript:ORUFI08G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPIPVLDAQPFRVSVSYRRIAAQGAGLFCCCGLWTLVLDRETKGNYCRRVGEVADRNVNTGWHAAPGAAAETAKKRDQESLSHLTCTHEKWVRSTSTTYGSGLTGLASVADDRVSSPPPPRRTRPKGDTDGDNNLAAAPPHPNPLRLAAARVARRQSRTAARTAAGRSPHEEAQRRDTGGPLGGGKTAANAAAPGTTETDPHPLAADLASPSAVELAATATGGGKGRRHGSTRKATAGVAAACPGVGGRGPVPKRLDPASLAQIWPGCCRSSGNGCGVEAGDMAGVAVAVADSDSDWAAPVVVAAIDDSGRSCVEVTAAAAVEAMAAAAGEAAPVVVADGNDDSGRICGKGGYRSLRWQMRRQGRRRADDRRRE >ORUFI08G21530.1 pep chromosome:OR_W1943:8:22203554:22208398:1 gene:ORUFI08G21530 transcript:ORUFI08G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIHAEDIEISLCDGNSEDERRRRRIGSNLRRKAIHAIKKRGRRRVDCRFPPAISIEDVRDAEEERAVAAFHDRLAAHGLLPDKHDDYHMMLRFLKARKFDIDRAMQMWADMLKWREEFGADTILQDFDFHELDEVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVQGVGFKNFSKTARELINRMQKIDSDYYPETLHQMFVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYQSRLLEVIDSRLYNILTVVVFLSELPDFLGGSCSCSDKGGCLGSNKGPWNDPFILKWQGMISDISNAESGSDVDDFGSFFQKGVDYGYLTPVHEEVRGTDSLTYYSCDDQTRRDIAPESCKGVQATGMVQNQLPDNRQPSTNRNPHDSGNNGHLDGAFARRSLQNFIQVVVTTFIKLLSFLRLFISRPVRRLENVHSCTVPVPSEEKPEPRSIRDDDMTMCLQRLDSLESLCNHLASRPPEIPREKEHMLLNSFERIKCIEADLERTKRVLHATVVKQKALVETLEAVQESSRARKRLFCS >ORUFI08G21540.1 pep chromosome:OR_W1943:8:22208553:22210994:-1 gene:ORUFI08G21540 transcript:ORUFI08G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTEIVKNKDRREEAARGKKRRPPSHKSQSCIAILAQQRQPTDASDSPTDEHPTNHALSLERKPVSP >ORUFI08G21550.1 pep chromosome:OR_W1943:8:22217837:22221602:1 gene:ORUFI08G21550 transcript:ORUFI08G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSGYRAAEQEDRQVDLMGDSDFDDDEYGQPIESKEDTSAVDVKKGKDIQGIPWDNLSFSRDRYRKTRMVCTPVDKGALYYEFQYNTRSVKPTILHFQLRNLVWATTRHDVYLLSQRSVLHWSPFASEKHKVIDLQGHITPSEKHQGNVSEGFYQAQVSTLAVRGNLLVAGGFRGELICKFLDREGISYCCKSTHDDNGITNSLEIFEKPSGSVHFLASNNDCGVRDFDMEKFQICNNFRFPWAVNHTSLSPDGKLVAIVGDNPEGLIVDTNSGKTVHELRGHLDYSFASAWNPDGRTFATGNQDKTCRIWDIRNLSKSVAVLGGNMGAIRSIRYTSDGRFLAMAEPADFVHIFDVGSGYRRKQVVDFFGEISGISFSPDTEALFIGVHDRTYSSLLQYNRLRFYSYLDSAI >ORUFI08G21560.1 pep chromosome:OR_W1943:8:22223738:22225745:-1 gene:ORUFI08G21560 transcript:ORUFI08G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAVGSPAAEVEAGASPGSSPVIKTKGRGLRRWRRIPREQRKEGSAASAAAGSGSAQLHKRRIPLPGGAPRGKLHEVVLEEEEEESSTASVESRFVPPAKLDPSLGVLLVASSGFSVGAGGADSDHSEDRSSGSRSSTAASAPRRHNDLSPFAADRAGRSSRAARARAAEAQYSLRCSNAVNSRRQSIAGNGIHKVLADHCDHGEETPLMARDYCNVENGSVVVRRLAQGSVDSDADAAQRSVGNNGDLGSGMKSSADPYAESISLLQRTQEALENEIKMFAVISKESNDNFDGNDDDWSGLVDLAEPLEGTSQNVKDPESRPEEASYLGRLFLEKTEAEIKSIILTRAAQTWAPLVDDQIALYNAQKSLSGDYKQLELKLQHTKNRAAMLEEMAEKLRAECRVLSGSSEVLRLQSRASRVSLFCFIQFVLLFTAVGTFLARLLPSPTEYVPT >ORUFI08G21570.1 pep chromosome:OR_W1943:8:22229109:22235308:-1 gene:ORUFI08G21570 transcript:ORUFI08G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYASEGRKKDRVFGGATNPALLSVDDPTNRLAPPPAAQAATAQTEEDLIAMTTGNGDAPVIKNAHSDIDSTNKTLLKSDALYKYVLDTTVLPREPECMRDLRLITDKHQWGFMQSSADEAQLLGMLLKMAGAKRTIEVGVFTGYSLLATALALPEDGKVVAIDPDRESYEIGRPFLEKAGVAHKVDFREGKGLEKLDELLAEEAAAGREAAFDFAFVDADKPNYVKYHEQLLQLVRVGGHIVYDNTLWAGTVALPPDTPLSDLDRRFSVAIRDLNSRLAADPRIDVCQLAIADGITICRRLV >ORUFI08G21580.1 pep chromosome:OR_W1943:8:22235741:22241045:-1 gene:ORUFI08G21580 transcript:ORUFI08G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYRPGSNTLLKSDSILEYVLDTTVYPREHERLRELRLITQNHPKSFMGSSPDQMQFFSVLLKMIGARNAVEVGVFTGYSLLATALALPDDGKVVAIDVSREYYELGRPVIEDAGVAHKVDFRHGDGLAVLDQLLAGGEGKFDFAYADADKEQYRGYHERLVRLLRVGGVVAYDNTLWGGSVAMPRDTPGSSAYDRVVRDYMVGFNAMVAADDRVEACLLPVADGVTLCRRLKRLKRTTPASRVSSTAMAAANGDASHGANGGIQIQSKEMKTAIHSNDSPKTLLKSESLHEYMLNTMVYPRENEFMRELRLITSEHTYGFMSSPPEEGQLLSLLLNLTGAKNTIEVGVFTGCSVLATALAIPDDGKVVAIDVSREYFDLGLPVIKKAGVAHKVDFREGAAMPILDNLLANEENEGKFDFAFVDADKGNYGEYHERLLRLVRAGGVLAYDNTLWGGSVALEDDSVLEEFDQDIRRSIVAFNAKIAGDPRVEAVQLPVSDGITLCRRLV >ORUFI08G21580.2 pep chromosome:OR_W1943:8:22235741:22241045:-1 gene:ORUFI08G21580 transcript:ORUFI08G21580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYRPGSNTLLKSDSILEYVLDTTVYPREHERLRELRLITQNHPKSFMGSSPDQMQFFSVLLKMIGARNAVEVGVFTGYSLLATALALPDDGKVVAIDVSREYYELGRPVIEDAGVAHKVDFRHGDGLAVLDQLLAGGEGKFDFAYADADKEQYRGYHERLVRLLRVGGVVAYDNTLWGGSVAMPRDTPGSSAYDRVVRDYMVGFNAMVAADDRVEACLLPVADGVTLCRRLNGFMSSPPEEGQLLSLLLNLTGAKNTIEVGVFTGCSVLATALAIPDDGKVVAIDVSREYFDLGLPVIKKAGVAHKVDFREGAAMPILDNLLANEENEGKFDFAFVDADKGNYGEYHERLLRLVRAGGVLAYDNTLWGGSVALEDDSVLEEFDQDIRRSIVAFNAKIAGDPRVEAVQLPVSDGITLCRRLV >ORUFI08G21580.3 pep chromosome:OR_W1943:8:22237165:22241045:-1 gene:ORUFI08G21580 transcript:ORUFI08G21580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATYRPGSNTLLKSDSILEYVLDTTVYPREHERLRELRLITQNHPKSFMGSSPDQMQFFSVLLKMIGARNAVEVGVFTGYSLLATALALPDDGKVVAIDVSREYYELGRPVIEDAGVAHKVDFRHGDGLAVLDQLLAGGEGKFDFAYADADKEQYRGYHERLVRLLRVGGVVAYDNTLWGGSVAMPRDTPGSSAYDRVVRDYMVGFNAMVAADDRVEACLLPVADGVTLCRRLNGRAPIDRSAGPAAALGTTYALVLIHPCICMLSA >ORUFI08G21580.4 pep chromosome:OR_W1943:8:22235741:22237049:-1 gene:ORUFI08G21580 transcript:ORUFI08G21580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVTLLPVYCTAHSRRLKRTTPASRVSSTAMAAANGDASHGANGGIQIQSKEMKTAIHSNDSPKTLLKSESLHEYMLNTMVYPRENEFMRELRLITSEHTYGFMSSPPEEGQLLSLLLNLTGAKNTIEVGVFTGCSVLATALAIPDDGKVVAIDVSREYFDLGLPVIKKAGVAHKVDFREGAAMPILDNLLANEENEGKFDFAFVDADKGNYGEYHERLLRLVRAGGVLAYDNTLWGGSVALEDDSVLEEFDQDIRRSIVAFNAKIAGDPRVEAVQLPVSDGITLCRRLV >ORUFI08G21590.1 pep chromosome:OR_W1943:8:22251851:22252822:-1 gene:ORUFI08G21590 transcript:ORUFI08G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKILSKSGSFQEKVVSHGFKGSNLIEEIILSTPKKSNGDQFLALLRTSTSSASAAASRAKDAADQSTTAAAVAAEEFVKIETINVSELLAGLEEEEEEEERDDGERCSAQACVLDGAVATPARATSFRTVEEFDALVTRSGSSEVAEAASSADQDATGAKPSEQEEAATAAAGNKRRARARQLGELKVPLPPAFDFSKSGSLRDWLLQGGQIFSPGSYVTPKFGTTSPAPPPPPPQERGGVLHNAGEPQPQHTVFDPELVAQFEQAMERLSEDEERVLEKILEAMGAAAEEEEEEEATATATARLEMPSHRPVMVVQE >ORUFI08G21600.1 pep chromosome:OR_W1943:8:22264361:22267321:1 gene:ORUFI08G21600 transcript:ORUFI08G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKEAGSFLDVPKDIPIAATKSLTIRTNGGFSSSSDRSNPISPAISITPHLYSPSPPSSAFVSALQSPYISPRVLEPPTPAAAPPPPQEAAAPSPPASYSNSEDTDAPSASRTPPSERYDSGGIDPATKVSDCGGGVQPRVSFSFPVPRVSFTRGSVASPSSNAKLRSCDVYIGYHGNGSLGRFCKWLKSELELQGIASFVADRAKYSDTQSHEIADRIICSVAFGVIVVTMSSFLNPFSLEEIRFFAQKKNLVPILFDTEPPEIAGLFDGKLEDKEGKEAFKGLMRCHEFKLEANETNWRSCVSKTVTLLRSKLGRKSIAEKESEGPEGMPFPRNRHFVGREKELSEIEGMFFGSTVDIQEVDCPRGSAANDRSSGVSDGFADEESDTVRTSNARYISLEMRKCKEPTLEAWIDPVIELSSGKGRNLQKQRSKHRRSRFRCNSKGYGSASVLCITGSSGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSMNLGLDISAEAEKERGRIRSFEEQEFDAFQRVKRELFRDVPYLLVIDNLESERDWWEGKDLHDFIPRNTGATHVIVTTRLPRVINLEPMQLPQLSYNDAMILIKGKQKNDYPPEEMEVLRKLDERLGRLSFGLWIVGSLLSELMITPSTLFEAVERISLNESLFPLGANDDGFCRNNSFLIKVLVFCFALMDRAKGGSLTSKMIIAGSWLAPAPVSSTLLAATASKLPMKGSMHLLGESLKTAFLCGTHCFLAPHGRKAEVESALLLVKLGLARKATRHPGCWIQFHPITQLFGKIRGGLAPTTAAVNGVMRAGNPSVYSDHLWASAFLVFGFKSEPPSVQLKAVDMVHFIRKTALPLAIDSFMTFSRCGSALELLKVCTNVLEEVEKSYASRIQDLNRGSLCWRKKLQPNHRVDEFVWQEVTLLKATLLETRAKLLLRGGLFDTGEELCRTCISIRTVMLGHGHAHTLAAQETLAKLVRYRSKI >ORUFI08G21610.1 pep chromosome:OR_W1943:8:22269373:22276404:1 gene:ORUFI08G21610 transcript:ORUFI08G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQASDLEPLRSGAGALPSSADPDSPSTPRRSRVRELLRSLDRRLSSRGRHHRHAAEGAAASPRGGGGGEPGSEDSDELGDGAPPEWALLLVGCLLGLATGICVAAFNRGVHIIHEWAWAGTPTEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQIKQSLSSQREGVDFMAAIFPTIKAIQAAITLGTGCSLGPEGPSVDIGKSCAYGCAEMMENNRERRIALVAAGSAAGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLLGEKAAFIVPTYELKSAAELPLYLILGMLCGVVSVAFRQLVVWFTKTFDLIRKKFSLPAVVCPALGGLGAGLIALRYPGILYWGFTNVDEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNTAVAHPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVMSGNKEAFEATSPRHGYSSLLPPTDRNETDRRRPDRDDVELAILDDDPYRYGINNEDMLLDDLKVSQAMSKQFIKVTPTVTIKEATRLMHDKQQNCVLVVDSEDFLEGIVTIGDIRRKGFESELSEDTPRNGANSSTLDANSSLVSSCLTRGFQYHGNERGLVTCFPDTDLSTAKVLMEVKGIKQLPVVKRRAGRRNDGRRKVLGLLHYDSIGWCLREELERWKAIYQRENFQQSAVNGH >ORUFI08G21620.1 pep chromosome:OR_W1943:8:22270254:22270586:-1 gene:ORUFI08G21620 transcript:ORUFI08G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGDAVERQQQLLVRWSASLRRREASLTTSPPPPPPPCWCCPAAAARGSRRWFHHSAHPHTQMPSSAVAVGSSSGVAGHPALVDGGYGRGRMVDGRAFSSHYPLKKRK >ORUFI08G21630.1 pep chromosome:OR_W1943:8:22276719:22280263:-1 gene:ORUFI08G21630 transcript:ORUFI08G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLNEEFSSGPFSDIFCDNGSNKHQDGLGKSKAFIDSSREETAQLAKKFESNLFGANQKSSSNGCLSERMAARTGFGVLKIDTSRVGYSTPIRSPVTIPPGVSPRELLESPVFLPNAIAQPSPTTGKLPFLMHSNVKPSIPKKTEDETRHDRVFFFQPILGSKPPTCPVAEKGFSVNHQNQPSVTDNHQELSLQSSSTAAKDFTSATIVKPKTSDSMLDNDDHPSPANDQEENATNKNEEYSSDLIITPAEDGYNWRKYGQKQVKNSEHPRSYYKCTFTNCAVKKVERSQDGQITEIVYKGSHNHPLPPSNRRPNVPFSHFNDLRDDHSEKFGSKSGQATATSWENAANGHLQDVGSEVLTKLSASLTTTEHAEKSVMDKQEAVDISSTLSNEEDDRVTHRAPLSLGFDANDDDVEHKRRKMDVYAATSTSTNAIDIGAVASRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERSSHDLKSVITTYEGKHNHEVPAARNSGHPSSGSAAAPQATNGLLHRRPEPAQGGGGGSLAQFGYGSAGHRPAEQFGAAAAGFSFGMLPRSIATPAPSPAIAVPAMQGYPGLVLPRGEMKVNLLPQSGNAGAAASQQLMGRLPKQHPQM >ORUFI08G21640.1 pep chromosome:OR_W1943:8:22287757:22288917:1 gene:ORUFI08G21640 transcript:ORUFI08G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGGAGDCRPPESSSHENEEKNALVIPCSLAPIKTGKKYDQQQEEEENNWEYDEEEEEFLYDIDEDDDMMEASELIGVKHSDGSIYDPDSHPFHSLYCLDDTRETSLLPMRLSARTDHCQPCWTACIVHHGCRMMQIFSIKIAALSNAAADAPVQIYGFMAARDLFDPLRNYIFNRGRDDPFVLPGHYSDPNSLIRLSGPKRGISLENPAVIEYDLKIKKGEDEKDDLQLIDGVAAFSDLTPFHGVYSRRIHGIHGAVDISLALLRNGKESTIQIKILKLIHGGIHLSISCFVSQIPEEIKLFDGTIVNPSKLRNFVVAVQLRTVLILDFKITPVVAAAGENGSNQIHRYCAFKATAHAGSIQRIQHYFANIDVHVVWSDLMSQ >ORUFI08G21650.1 pep chromosome:OR_W1943:8:22290437:22290706:1 gene:ORUFI08G21650 transcript:ORUFI08G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIPTASTPSSRHSNTSIPHALGMDELPRGVQDFYGGGGRGTQRSLRMQRPLWKVLGLANGHGLVKLRGQKDRDDATFLCGVQRPWC >ORUFI08G21660.1 pep chromosome:OR_W1943:8:22292163:22295390:-1 gene:ORUFI08G21660 transcript:ORUFI08G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRKGKALASQRGRKFRLPKIIQADRTPCQLLLFAAQSSKFLADSTKFLFGIGMASMDDVDFSPHQATPKESMNSKDDAKVQPSSGQTPNKGNYYMGHANAIMMMFQYIRDGCRRVESSMED >ORUFI08G21660.2 pep chromosome:OR_W1943:8:22292163:22295390:-1 gene:ORUFI08G21660 transcript:ORUFI08G21660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRKGKALASQRGMASMDDVDFSPHQATPKESMNSKDDAKVQPSSGQTPNKGNYYMGHANAIMMMFQYIRDGCRRVESSMED >ORUFI08G21660.3 pep chromosome:OR_W1943:8:22292163:22295390:-1 gene:ORUFI08G21660 transcript:ORUFI08G21660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDDVDFSPHQATPKESMNSKDDAKVQPSSGQTPNKGNYYMGHANAIMMMFQYIRDGCRRVESSMED >ORUFI08G21670.1 pep chromosome:OR_W1943:8:22306939:22310016:-1 gene:ORUFI08G21670 transcript:ORUFI08G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLPSIDGRFRVTAGGGEVGPALQRRSTSPQPAAPSAPSLCRSITVPTSKANRLRSLAPPLIQGSSTLQAVILPANKDKNSGCRRKIQADRTPCQLLLFAAQSRKFLADSTKFLFGIGMASMYDVDDVDFSPHQATPKESMNFKDDAKVQPSSGQTPNKGNYYMGHANAIMMMFQYIRDGCRHVESSMEDFKSKKVEEGRDEKRKVGEGYDPRWSSPIEITMKICNDDVSDEATEKEP >ORUFI08G21680.1 pep chromosome:OR_W1943:8:22311887:22318434:1 gene:ORUFI08G21680 transcript:ORUFI08G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGDGPDAISAATDELDQSGERLGGGARPAPQPPPTSPTSTGRRRVRGRSTPGSTRWSPPATTSPRARSTMLRGAPSRGVPLASRHSAACAAITSSSSCCRSGSERRMFHLSMKMAAPGDEQASTATAAAVVVGEGRQRVRAIERGRRGARNRGRWERGSGTKPRELDSSTITVSSTLEESAYPARTRHLVSGGYYSAEDNLLTLLLLEEVRKYLSVDNGQAQKENTSSHTEVTLYLDGTEHEFVENFIHCQGHYRFWLLWNSAFRILAVMGRRIFHCLESHSPRHAVQCTGEDQEKYYDELVSYLEEELGLKMHKARRFDLDCTNHLVKHHPMLPDRNLSAQAQIDSDTINEAPQALPTNISSQIVSCSVDEAPQALPTSSSPISNNTEGLSLQALPTSSESAAGVTIDDLHSFCSQEFITDPVNRLSDRIDSEYVLLVNPAMPHLLGNSSDATDLDCTNQLVENHPKLPDQNLSAQDQIDGDTINEAPQALPTSVGSQIGGCSVDETLQALPASSSLISSNTENLSPQALPTSSESAAGVTIDDLRSLCSQEFITDPVVVHAFNSQDPHLLGEGTHWSLLVFDRNLGGRPCLAHHDSSPGDANLRAARRLAASLLPYLPPETKCTKAPTPKQNNGTECALYMIKCAEVICGWWRNHAAGGSESHWLGVVARGVTMDSVDDLRAQLIHDIGQLLNGSSSS >ORUFI08G21680.2 pep chromosome:OR_W1943:8:22311887:22318434:1 gene:ORUFI08G21680 transcript:ORUFI08G21680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGDGPDAISAATDELDQSGERLGGGARPAPQPPPTSPTSTGRRRVRGRSTPGSTRWSPPATTSPRARSTMLRGAPSRGVPLASRHSAACAAITSSSSCCRSGSERRMFHLSMKMAAPGDEQASTATAAAVVVGEGRQRVRAIERGRRGARNRGRWERGSGTKPRELDSSTITVSSTLEESAYPARTRHLVSGGYYSAEDNLLTLLLLEEVRKYLSVDNGQAQKENTSSHTEVTLYLDGTEHEFVENFIHCQGHYRFWLLWNSAFRILAVMGRRIFHCLESHSPRHAVQCTGEDQEKYYDELVSYLEEELGLKMHKARRFDLDCTNHLVKHHPMLPDRNLSAQAQIDSDTINEAPQALPTNISSQIVSCSVDEAPQALPTSSSPISNNTEGLSLQALPTSSESAAGVTIDDLHSFCSQEFITDPVNSQDPHLLGEGTHWSLLVFDRNLGGRPCLAHHDSSPGDANLRAARRLAASLLPYLPPETKCTKAPTPKQNNGTECALYMIKCAEVICGWWRNHAAGGSESHWLGVVARGVTMDSVDDLRAQLIHDIGQLLNGSSSS >ORUFI08G21690.1 pep chromosome:OR_W1943:8:22320758:22322089:1 gene:ORUFI08G21690 transcript:ORUFI08G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGAIRKLCAAFDAIALTVIAAGLSRTSHHPFSAHAHYSQPDFPTIASCRAAVASSKWRRRHPSTTKESAGVEPAEPPVLVRIKNERDPVRLYELFRANAHNRLLIENRFAFEDAVARLAGARRNDLVEEILEQHKALPQGRREGFVVRIIGLYGKARMPDHALRTFREMGLYGCPRTAKSLNATMKVLLRARLFDEVLQLFESSETYGVELDDISYNTVVKMMCDLGELRAAFRVMQEMEKAGVRPDVITYTTLMDAFYKCGQREVGDGLWNLMRLRGCMPTLASYNVRIQFLVNRRRGWQANDLVRKMYASGLRPDEITYNLVIKGFFMMGEHEMAKTVFGAMHGRGCKPNAKVYQTMVHYLCERREFDLAFRLCKDSMEKNWFPSVDTINQLLKGLISISKDRNAREIMKLVIGRKPSYSNDEVKTFQDILSLGNTRR >ORUFI08G21700.1 pep chromosome:OR_W1943:8:22325028:22327918:1 gene:ORUFI08G21700 transcript:ORUFI08G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRRLVVAGDGEGDGGEASGSAARGLVESLPEALLVEVVVRLELEAACSAASSCRALRAAAAAAFSAVTSLDLSMFPPTNAILNRILAGNGALRCLAVNCSLLDDSAVGAIAKGSLRELSLLKCSSFSSYLFVAVGERCKNLRSFVLEMAASDDDEHFGICRKSIAHIVKGCGYLENLSLKFFPLLGPGSVDFESLVPIPSTIKVLLLQPVSNWQAKRLFPISTSLKTSISNTLESLSLVLDIITDELVAFITGSLHNLVELCLEDNPMKEPDLHNDLTNVGLQALGLCHNLAHLSLTRGKQNCSSTFRRVTDFGIMMLADGCKQLKTIRLAGFSKVRDAGYAALLQSCKDLKKFEVSTGYLSDLTCLDLDEAAPKMTEVRLLCCSLLTSETAISLSSCTKLEVLDLSGCRSIADSGLASISQLSKLTLLDLAGADITDAGLSALGNGRCPMSSLCLRGCKRISNNGIASLLCGSGTINKTLISLDIGNVPRISGRAVTLIAKNCEQISSLCLRNCLLINDSSLETLGSMRHNLGKSSLRMLDLSYCSRLSRNFLGLFEPPFFRGLRWLGVGKNMLERRGCSPTVAELLERKPGLTVCGNACEMGCRNQCHPDIRRQ >ORUFI08G21710.1 pep chromosome:OR_W1943:8:22329007:22331882:-1 gene:ORUFI08G21710 transcript:ORUFI08G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSDPAVATHPQAGAAAAASSSSGLTFKLHPLVIVNVSDHHTRVKAQAACSGDGASSAAAGGQPPRVFGCVIGVQRGRTVEIFNSFELVLDPVSGTLDRAFLEKKQELYKKVFPDFYVLGWYSTGSDVRDTDMQIHKALMDINESPVYLLLNPAINLSQKDLPVTIYESELHVIDGSPQLIFVRANYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRVRVIHQYLVSMQKGDMPLDNSLLRQVSSLVRRLPAMESEKFQDDFLMEYNDTLLMTYLAMFTNCSSTMNELVEKFNATYERSTARRGGRGAFM >ORUFI08G21720.1 pep chromosome:OR_W1943:8:22332599:22342114:1 gene:ORUFI08G21720 transcript:ORUFI08G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRHLITGQGNCAPDGASSSNPFGNLANAIFGQSSKAQSIKELPGSAVNVPTTSELGTAAPLSTIPGSENEFKQDQLPLARGADFIRGGPSHDWVESFRPPGLPEFAVPDAQLKEFEQIFNSTGPTFGPPVMDGPPQRVLSGVLRSFLASGQAGVPFQPVPVPALGLSESDKQCIRDRSCIMARHILADQPEEYIQAQVNTLLHSLDIDSNYRMKGPMHGPYPEMEEYWNQSQSAMRSGPMHNAADKWITEFGKQNNNPEEWAHSFEQQYGSNGWASEFEQHQSQMAMTGGMNMANLAAMEQSRMLAQTLASNNDPKFQNSKFFQFVSKMSRGELIIEDNQVKQGSASQSSGWADEFQTQYNANANSWADQFVHEEMSQGADKWASEFSTEYNQGGLNENWIDEFSKMRADDEWAEEFSGGTFGESSADPWVDEFQNQLSASKQNSGASRGVYVFSDMNPYVGHPNPMQEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGVTHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQGEALRYLHRWLQNHPKYGGIAPPQPTDSPYGPDVIRLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIAAFKTALQLKPQDYSLWNKLGATQANSIQSADAILAYQQALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVAMNPKADNAWQYLRISLSNASRADMIAACDSRNLDVLQKEFPL >ORUFI08G21720.2 pep chromosome:OR_W1943:8:22332599:22342114:1 gene:ORUFI08G21720 transcript:ORUFI08G21720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRHLITGQGNCAPDGASSSNPFGNLANAIFGQSSKAQSIKELPGSAVNVPTTSELGTAAPLSTIPGSENEFKQDQLPLARGADFIRGGPSHDWVESFRPPGLPEFAVPDAQLKEFEQIFNSTGPTFGPPVMDGPPQRVLSGVLRSFLASGQAGVPFQPVPVPALGLSESDKQCIRDRSCIMARHILADQPEEYIQAQVNTLLHSLDIDSNYRMKGPMHGPYPEMEEYWNQSQSAMRSGPMHNAADKWITEFGKQNNNPEEWAHSFEQQYGSNGWASEFEQHQSQMAMTGGMNMANLAAMEQSRMLAQTLASNNDPKFQMSQGADKWASEFSTEYNQGGLNENWIDEFSKMRADDEWAEEFSGGTFGESSADPWVDEFQNQLSASKQNSGASRGVYVFSDMNPYVGHPNPMQEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGVTHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQGEALRYLHRWLQNHPKYGGIAPPQPTDSPYGPDVIRLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIAAFKTALQLKPQDYSLWNKLGATQANSIQSADAILAYQQALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVAMNPKADNAWQYLRISLSNASRADMIAACDSRNLDVLQKEFPL >ORUFI08G21730.1 pep chromosome:OR_W1943:8:22344050:22346810:-1 gene:ORUFI08G21730 transcript:ORUFI08G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKFLNKKGWHTGSLRNIERVWVAEEKEKEEQRKIQELKKQQDEEREKAAFRKLQEDAGLGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGSSGEIGVGGGRIGGLLGGVLGRQERLDFLYESGLAVGKGSSEGFQALQPSAPAAAAAASSSAQASAGSSKAAAPGALFEDKPQSANDAWRKLHTDPLLLIRQREQDAIARIKNNPIKMAEIKKSVEAEKKQKEEKKEKRKHKKRHHHKSKSKRHHSSENSDSEESDGRDERRKSVQASEHKREEKRSRHDKKDHGQDSEDDERRKRRHATSEDDEPRKSQKEKKGQREDSEDDKPKKSRKDRRRHDSEDEEPRRKHQRSEDDEPKRRQSEVSGDDEPRRRRQEMPKHDEYSRRDRSDADDRRGRHYTPSDDRRGRHYTPSDHNSAYPKHDSSDSRHRRPEYGRGNSTSELGSEGQRRQESQQGRNGPTFNRRRGVQHMSEEEREARLRQMQADAEVHEEQRWSRLKKAADDDAKEAASVNANQFRGKNFLEEEKKSIFGTEKGGSATIEESIRRRAYYSQRNAHESNAFRR >ORUFI08G21740.1 pep chromosome:OR_W1943:8:22348639:22352635:-1 gene:ORUFI08G21740 transcript:ORUFI08G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSDLPPRAGACAGASPGWPQRWRRRRQRGVERGGAVSGGGGGVFSIGVGGKKLHHGGGGGGEMTEEELAKVEGRVCVNGASAAACLHTQQGRKGTNQDAMVVWENFNTSDSVFCGVFDGHGPYGHFVAKKVRDSLPVKIRTLWKTSANEDTSSHQNGSISGSVNSEESPVVDDEWGEYADDSEKLPEMFLPLKQSYFKAFKLMDKELKMHPTVDCFCSGSTAVTLVKQGLDLVVGNLGDSRAIMGTRDAANNLTAVQLTVDLKPNLPREAARIQQCRGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDYGLISVPQISYRRLTEKDEFIILATDGVWDVLSNKEAVDIVAAAPSRATAARALVDCAVRSWRLKFPTSKSDDCAVVCLFLDHAKSPDLIQENESEEETTEDVAIPDTVAKVDQDIAQGDAHISSEEQITEPALQHSYTLRDVDEIVPVEEPPVSKEPERCGSARSLADCISTNEEEEWSALEGVTRVNSLLNLPRILSGEKRSTSWRKRR >ORUFI08G21750.1 pep chromosome:OR_W1943:8:22361757:22363433:1 gene:ORUFI08G21750 transcript:ORUFI08G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVKVASCAPTEERAYSCGDEDITQEEKMLLQRFPIHESDDYEHEEVNCELAKSGDQICSVPYGLYDLPELNDILSLETWNLCLTEDDRFRLAAYLPDMDQHDFFVTMKELFSGSDLFFGSPVKSFFHRLNGGFYSPEVSQARELLMIFERRRYYHFLKSHHDGMIFKFASMDKVGGRCGASTGLQGKVNSWNDRRHEDPLTGVDISGSPFNRSLSIANEVKDATLPPLKRTKRMDGTVTTHCSAKRKGIVYRDKSMEMSSLKSPVFHVPGELTTCIRLPKGVLKIKTDCASLIDHNEGIHRTPEPMLADQLGIQVSSLPCASALDVHGFAMNSAYYYHINTSKSTLRNLHVRPYQREGALDTYPHSVESPFGVQIMVPEELKRGYYSRMPNSFHQSTTKHSPEYCNEAPHEKNLLKNFGQQNAVIPESSPDPFTRNTDCHQTNGYMTHGLKTAESISEVLTLGTDTAGPYKHLLEQSETMRYPEGLKLKTPASQSVTEVEEGHRYPFTYKRRKLQKRLDLVDPVKKSTMVDSEPLSALASVANVKIKGNQALKIGS >ORUFI08G21760.1 pep chromosome:OR_W1943:8:22364183:22365886:1 gene:ORUFI08G21760 transcript:ORUFI08G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHLLPLLLGRLVVSGDLRRSPAHLRRIVPLLPSHPHLAAALCAVHFPLFPSSSATFLHNILIRASASSASPRLSFAAFSSLLRCGLVPDRFTLPPLLGSAGKLPAFPRTGAQVHAQAVRRGFLADVFVVNALLAMYGALRDAASMREVFGSCAGVADVVSWNTVIGGYVKCGEMESAKRVFDEMPRRNGVSWSTMVGGYAAAGELDVAREMFDRMPAIGRNVVTWNSMVTGFARHGLLPLARKMFDEMPVRNLVSWNAMLRGYSVNSDMDGARELFDVMPEKDVVSWTCMISGYAQAGRYQDTLELFRAMQTESNVQPNEVTMVSVLSACANLTALEEGRWVHAFIDKHKMVLNNEYNLGAALIDMYAKCGRTDIAVKIFNSLDRKNVSAWNALITRLAMNGNARDSVDAFEQMKRTGEKPNDITFVGVLTACSHGGLVDEGRRCFQSMASTCGVQPEVKHYGCMVDMLGRAGLLEEAEELIRSMPMAPDVMVFGALLGACRMHKRFVVAERVQSEIHSLNLNAQQSGCHVLISDIYAAAGKWFDVLEARQVVQRSGIRKWTR >ORUFI08G21770.1 pep chromosome:OR_W1943:8:22367312:22371315:-1 gene:ORUFI08G21770 transcript:ORUFI08G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGRGTKITLYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDAEEGKVEDVDEEKEEKEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSTNRNKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRKEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDETAEADTDMPPLEDDAGESKMEEVD >ORUFI08G21780.1 pep chromosome:OR_W1943:8:22375166:22379218:1 gene:ORUFI08G21780 transcript:ORUFI08G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRIARISVTWRGRQLDVDADPSCTVKEFGQLLQDLTSVNPETLKLIVPQSTNKGSKLITPFSDPHSVLTLKEAAISDGKPIRMMGVFNDEIEEVSDNGKRTDLRIIGFEEEEQRLRQRTSGRPQVPLKLPQGQYIFCDFRTLHLPGIELNPPPSEALKRMHMLACDPGIIAIMNKHRWRVGIMTEMAPVGYVGVSPKCILGFNKNMGEEISLRLRTDDLKGFRKYESIKRTLLHELAHMVHSEHDANFFALNKQLNDEAASLDWTKSRGHVLSGRKIFDSYEDEFVLEPDSVVVGHKLGGGSSSLASARVLSGSAAYKRFLNASADLESSKDSVTIPGNEILVPNTKFEPDPDDVGQDFSQDNTKVEPDPDDNDDMNVDVGTGASWSSVSRSFTEQDTISHSEPDPDDIHQQSTAGCLEPDPDDSSNVDILSQELTIDGKQNGEPDPDDNGTSKFIPEPVNKMEVETELGSNFAVQNSEPDPDDSSNAILNKKLGTDRSDETTCEAVVEECVNKMEVETEQSRNSTMSKSEPDPDDHAANSNISELQRIEEPVAALCARLQKAIEMLRSQATPTEATSALQTLFKIIKNVIEHPHDIKYRRLRKSNPQFQRSVANYKGLQKSTVTNFLIFFASLKTAAMEVLELIGFCEDVVSDEIGRAETYLVLKRNDPGLLWLAKASLEVSMA >ORUFI08G21790.1 pep chromosome:OR_W1943:8:22379808:22382137:1 gene:ORUFI08G21790 transcript:ORUFI08G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSNTHSLPSARRRRPSAALGFIGLRRTNCVGEARVNLAMEAAVASTGSGLRCSPNPMPKQQHERRSARVGFVSQPRPRAVRCERSPHPDARAYAAVAVGVVAKGREVGGVGVERRRLAVFVSGGGSNFRAIHDAALGGEVNGDVVALVTDKPGCGGAEHARGNGIPVVVFPKSKSAPEGVSIDELLNALRELRVDFILLAGYLKLIPVELVQEYPKSILNIHPSLLPAFGGKGYYGLKVHKAVIASGARYSGPTVHFVDEHYDTGRTLAQRVVPVLANDTPEQLAARVLHEEHQVYVEAVAALCDDRIVWREDGVPLIRSHTNPDEYT >ORUFI08G21790.2 pep chromosome:OR_W1943:8:22379808:22382872:1 gene:ORUFI08G21790 transcript:ORUFI08G21790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSNTHSLPSARRRRPSAALGFIGLRRTNCVGEARVNLAMEAAVASTGSGLRCSPNPMPKQQHERRSARVGFVSQPRPRAVRCERSPHPDARAYAAVAVGVVAKGREVGGVGVERRRLAVFVSGGGSNFRAIHDAALGGEVNGDVVALVTDKPGCGGAEHARGNGIPVVVFPKSKSAPEGVSIDELLNALRELRVDFILLAGYLKLIPVELVQEYPKSILNIHPSLLPAFGGKGYYGLKVHKAVIASGARYSGPTVHFVDEHYDTGRTLAQRVVPVLANDTPEQLAARVLHEEHQVYVEAVAALCDDRIVWREDGVPLIRSHTNPDEYT >ORUFI08G21800.1 pep chromosome:OR_W1943:8:22383779:22385948:-1 gene:ORUFI08G21800 transcript:ORUFI08G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQGFNYTNFINSNVDLIIFDSDRDPQALLALLEWVISNSTSYCPRNSSAPECRSAHSSCQDTDAWANNGYRCKYSYGYQGNPYIIDGCKGIRYEHGPAHSCYGICINTHGSFHCRCQNGYGDPFKKGGCITSENSLTGLSIALIVSGGSIVLILVLATPLVARVVKQRREKKLKEKFFKQNHGLLLQQLISRNTDFGERMIITLEELQKATNNFDRSRQVGDGGHGVVFKGILDLNVVAIKKSKIIVQREIGEFINEVAILSQINHRNVVKLLGCCLETEVPLLVMNLFHMGPFIIIFMSMVQSHCHGMID >ORUFI08G21810.1 pep chromosome:OR_W1943:8:22386050:22402466:-1 gene:ORUFI08G21810 transcript:ORUFI08G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVASGREVMTSSSSFCCLAASLLMLLLPFFAMAAAAAGGISIEYPFCVEPGCYHPGFNLTCNHSYSPPRLFLGDGTVQVLEIAIPQATVRHQQRPHGVQFYWQSCRQQIIIGSASNRIALLSCNARVDVRAAGGGATTILDIGPEGPCSGIGCCETSMLLAGSSTAAYSIQVQNLQEQAVVLNRTDDLVYLVDERFNYTLDMSFGYSSPEALPARLDWYINSSSACPLPASAPECRSAHSYCDSTYDNKAYICRCSEGYEGNPYVPDGCHDTDECSSGYCSYGECRNTPGSFICNCPRGYEGNPSPKDGCKDYICLCNNGTYGDAKKKEGCIPMKQARDLGLRIGLGVGGGTILLLLALSAPFISSKMKLRKMKRMKETFFRQNHGLLLERLILVKERMIMTLQELEKATDNFDKSREIGGGGHGVMYKGTLDLQVVAIKKSRIVVKREIDDFINEVAILSQVNHRNVVKLLGCCLKTEVPLLVYEFISNGSLDHHLHVDGPISLPWDDRIRIALEVARALTYLHSATTIPIFHRDIKACNILLDENLISKVSDFGASRYIPIEQTEVTTAVQGTIGYLDPMYYYTGHLTDKSDVFSFGVLLIELLTRKRPMYRTDHGESLVLYFASLHRQGQVVEIIDPQVMMEGDGDQIQEVASLAATCTKLNGQDRPTMRDVEMTLENLRVKKKLASHSVKSSRYNASEITKHYMLVTGQGSKEMSRQYSMEEEMLLSERIAFEVVSALSYLHSAASMPIFHRDIKYSNILLDDSLTAKLLTRKKPIGGTFDNGDGLVSHFVSLVSKGNLYDIIDSQVREEEDGEVQEVATLAATCTKFKGEERPTMREVEMVLENIVSKKGPSNKENIRSSSRCDENRISALYMSIEGVTNDIQNNYRKQHGRGNTIVVKLLAAVVAAAEEPAVPPAKKIKSCTTSCGNISIEYPFGVEPGCYHTVGFNLTCDHSYHPPRLFLGDGTVQVLDISIPNGTVRINSGRINLEYDGRGSANGTWGGGLPDGGPFFLSESGSSLVLMGHDSQVDVWGLEGDNPIASCSVICQGSHQQFSG >ORUFI08G21820.1 pep chromosome:OR_W1943:8:22394776:22397590:1 gene:ORUFI08G21820 transcript:ORUFI08G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPNGNEILGDGSTEVCTGCGGAEHARGNGIPVVVFPNSKSAPEGISTDELLNALRNIRGQKLAFIKCK >ORUFI08G21830.1 pep chromosome:OR_W1943:8:22410557:22433425:-1 gene:ORUFI08G21830 transcript:ORUFI08G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQPFQFPMRNDNVQVLLLGGDNSTVNACATYCPPAPKKGQPFQFPMRNECSDIDECSHWKLHSCCGTCVNMPGTFHCRCPDGTYGNPLMEGGCIKIKNSSEGLSIGLVVSGGTVILLLALSAPLATRKIKLRKMKKTKERFFKQNHGLTAKVSDFGASRYIPIDQTGVTTAVQGTFGYLDPMYYYTGRLTDRSDVFSFGVLLVELLTRKKPFVSDFGASRYIPVEQTEVTTAVQGTIGYLDPMISIGILGSIICCSYYIHTEMVRVVVSSRRVHVQLLILQLLAAVVAAADEPAVPPAKKIKSCTTRCGNISIEYPFGVEAGCYHAVGFNLTCNHSYHPPRLFLGDGTVQVLDISIPSGTVRINSDRINLEDNGHGSANGTWGGGLPDGGPFFLSESKNSLLLMDCDSQVDVRELGGDRTLVASCTSVCSSPYSNLFIIGSHCSGTGCCQTNIFLGYSSYLIHIHNLNQKVDAKFSNIYMVNQGFNYTDFLLSNSTEYPPRALPALLDWVISNSTRNCPRNSSAPECRSAHSSCQDTDAEAHGGYRCECSDGYQGNPYIIDGCKDINECDSPDIYPCFGDCSNTQGGYNCQCQDGLKGNATRPEGCEDFGASKYTPIDRSEITTAVQGTIGYLDPMYYYTGRLTDKSDVFSFGVLLVELLTRKKPVVDTFDGDSLVSHFVMLLSEGNLIDIIDPQVKEEEGGEVHEVAALAALGAVAVADVEASGGGVDSVGGGIESGGVLLLQLLLGVATAAAANCSTHCGNIGISYPFGVEPGCYHEGFNLTCDRSHKPPKLFLGDGSVEVLEISIPSGTVRINSSSIVPVSTSSAVGTGSVNKTGKYHTWGGLRKGGPFFISPYKNNAAIPKGYTSYSIQIQPANEISEFDAESSVYIAEEGSYNATRLIFETVSALPALLDWAISNSTCGTKPSAAPAPACRSSNSYCQNYTSYVYNGYQCRCNAGYQGNPYIPNGCQDIDECSHWKLHSCYGTCVNMPGTFHCRCPDGTYGNPLMEGGCIKIKNSSQGLSIGLSVAAQFFCFWLFVLPSQHQLMSQKVDIGERMIITLSDLEKATNNFDKSREVGGGGHGIVYKGILDLHVVAIKKSKIVVQREIDQFINEVAVLSQINHRNIVKLLGCCLETEVPLLVYEFVSNGTLYDHLHVEGPMSLPWDDRLRIALEVARAVAYLHSASSMPIFHRDIKSSNILLDDSLTAKVSDFGASRYIPIDQTGVTTAVQGTFGYLDPMYYYTGRLTDRSDVFSFGVLLVELLTRKKPFVHTSSNGDALVLHFVSLHTENNLVDILDPQVMEEGDGEVQEVAALAATCIKLKGDDRPTMREVEMALENMRVKKKHATLGTTSNRCDGDQIVCDYLSTRGITDESTRQYIMEEEILSSVTYHKRIGGKIRDGRERKGLEGGKRDREGEGGEEGAPGVREGGMGWTWERVAMASRDSLHRSTATADLLLGDAHWDRFQEAVLELRHDVTEAQAVLRRDNAARRGSRPPSGPNAGPKELCRLASATAAATKHLSPRLSFGSIAEEIEMAAAASIATICLASMSNVH >ORUFI08G21830.2 pep chromosome:OR_W1943:8:22410557:22433425:-1 gene:ORUFI08G21830 transcript:ORUFI08G21830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQPFQFPMRNDNVQVLLLGGDNSTVNACATYCPPAPKKGQPFQFPMRNECSDIDECSHWKLHSCCGTCVNMPGTFHCRCPDGTYGNPLMEGGCIKIKNSSEGLSIGLVVSGGTVILLLALSAPLATRKIKLRKMKKTKERFFKQNHGLTAKVSDFGASRYIPIDQTGVTTAVQGTFGYLDPMYYYTGRLTDRSDVFSFGVLLVELLTRKKPFVSDFGASRYIPVEQTEVTTAVQGTIGYLDPMISIGILGSIICCSYYIHTEMVRVVVSSRRVHVQLLILQLLAAVVAAADEPAVPPAKKIKSCTTRCGNISIEYPFGVEAGCYHAVGFNLTCNHSYHPPRLFLGDGTVQVLDISIPSGTVRINSDRINLEDNGHGSANGTWGGGLPDGGPFFLSESKNSLLLMDCDSQVDVRELGGDRTLVASCTSVCSSPYSNLFIIGSHCSGTGCCQTNIFLGYSSYLIHIHNLNQKVDAKFSNIYMVNQGFNYTDFLLSNSTEYPPRALPALLDWVISNSTRNCPRNSSAPECRSAHSSCQDTDAEAHGGYRCECSDGYQGNPYIIDGCKDINECDSPDIYPCFGDCSNTQGGYNCQCQDGLKGNATRPEGCEDFGASKYTPIDRSEITTAVQGTIGYLDPMYYYTGRLTDKSDVFSFGVLLVELLTRKKPVVDTFDGDSLVSHFVMLLSEGNLIDIIDPQVKEEEGGEVHEVAALAALGAVAVADVEASGGGVDSVGGGIESGGVLLLQLLLGVATAAAANCSTHCGNIGISYPFGVEPGCYHEGFNLTCDRSHKPPKLFLGDGSVEVLEISIPSGTVRINSSSIVPVSTSSAVGTGSVNKTGKYHTWGGLRKGGPFFISPYKNNAAIPKGYTSYSIQIQPANEISEFDAESSVYIAEEGSYNATRLIFETVSALPALLDWAISNSTCGTKPSAAPAPACRSSNSYCQNYTSYVYNGYQCRCNAGYQGNPYIPNGCQGLSIGLSVAAQFFCFWLFVLPSQHQLMSQKVDIGERMIITLSDLEKATNNFDKSREVGGGGHGIVYKGILDLHVVAIKKSKIVVQREIDQFINEVAVLSQINHRNIVKLLGCCLETEVPLLVYEFVSNGTLYDHLHVEGPMSLPWDDRLRIALEVARAVAYLHSASSMPIFHRDIKSSNILLDDSLTAKVSDFGASRYIPIDQTGVTTAVQGTFGYLDPMYYYTGRLTDRSDVFSFGVLLVELLTRKKPFVHTSSNGDALVLHFVSLHTENNLVDILDPQVMEEGDGEVQEVAALAATCIKLKGDDRPTMREVEMALENMRVKKKHATLGTTSNRCDGDQIVCDYLSTRGITDESTRQYIMEEEILSSVTYHKRIGGKIRDGRERKGLEGGKRDREGEGGEEGAPGVREGGMGWTWERVAMASRDSLHRSTATADLLLGDAHWDRFQEAVLELRHDVTEAQAVLRRDNAARRGSRPPSGPNAGPKELCRLASATAAATKHLSPRLSFGSIAEEIEMAAAASIATICLASMSNVH >ORUFI08G21840.1 pep chromosome:OR_W1943:8:22423360:22451988:1 gene:ORUFI08G21840 transcript:ORUFI08G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAESHRSWRRRRSDRDAVGAQPAGARHGTSARFDSPADGIYAAAARFNVRNRDSAPVGLSRSPRPERPPFLAAIPLILSYNIALPGCTDTCGNTTIPYPFGIGDERCFREGFKLVCDPAYDPPKLFMNGPGYEVHKIKLARRVLHLDTGITQMLGGDSYNQKWILDLDDKLFRFLAAMPLILSYNIALPGCTDTCGNTTIPYPFGIGDDRCFREGFKLVCDPAYDPPKLFMNGPGYEVHKIKLARRVLHLDTSITQMLGGDSYNQKRILDLDDKLFRVSADMNVFITLGCAAGDNATSSSNCVSNCRPGYPILATDGTCYGIGCCNASVVEDHNSYTIKLLSLQSSPRAVPFNASMVVVKGEWWRRADNAMLLQQEQEVLSRLGAIAGAPDAARNVGVRTVVNWMLGNSSCVEAKKLSDFGCLSDNSECFDGPAGRGYACKCRSGYDGNPYMPNGCQDINECMLPNPPLCFGKCINTVGSYECICPGGTSGNAHIQNGCVSSKLKFSGLIIGIGLGGSLIIVVLILAGIVVRRKFKSRRAKKLKEFFFKQNRGLLLHQLVDKDIAERMIFSLEELEKATNNFDESRKLGGGGHGTVYKGILSDQCVVAIKKSRYAIKREIDGFINEVAILSQVNHRNVVKLFGCCLETEVPLLVYEFIPNGTLHEHLHVNSAQSVPWKERLRIALEIARDIKTTNILLDDRFIAKVSDFGASRGIPIDQNIVTTTIQGTFGYLDPEYYRKSRLTEKSDVYSFGVILAELITRRRPTSYISPEGFNLTEQFILLVSEDRLLEIVDSQITKEQGEEEAREVAEIAVMCLNLKGEDRPTMRQVEVKLEGLQGAVNTIRGRRAVQLNSPLTEESDSNIVAVGDAGYHNSSRRLNMEEEFWRQCYWQQQLRSNCRPGYPILATDGTCYGIGCCNASVVEDHNSYTIRLLSLQSSPRAVPFNASMVVVKGEWWRRADNAMLLQQEGTFGYLDPEYYRKSRLTEKSDVYSFGVILAELITRRRPTSYISPEGFNLTEQFILLV >ORUFI08G21840.2 pep chromosome:OR_W1943:8:22423360:22451988:1 gene:ORUFI08G21840 transcript:ORUFI08G21840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAESHRSWRRRRSDRDAVGAQPAGARHGTSARFDSPADGIYAAAARFNVRNRDSAPFLAAIPLILSYNIALPGCTDTCGNTTIPYPFGIGDERCFREGFKLVCDPAYDPPKLFMNGPGYEVHKIKLARRVLHLDTGITQMLGGDSYNQKWILDLDDKLFRFLAAMPLILSYNIALPGCTDTCGNTTIPYPFGIGDDRCFREGFKLVCDPAYDPPKLFMNGPGYEVHKIKLARRVLHLDTSITQMLGGDSYNQKRILDLDDKLFRVSADMNVFITLGCAAGDNATSSSNCVSNCRPGYPILATDGTCYGIGCCNASVVEDHNSYTIKLLSLQSSPRAVPFNASMVVVKGEWWRRADNAMLLQQEQEVLSRLGAIAGAPDAARNVGVRTVVNWMLGNSSCVEAKKLSDFGCLSDNSECFDGPAGRGYACKCRSGYDGNPYMPNGCQDINECMLPNPPLCFGKCINTVGSYECICPGGTSGNAHIQNGCVSSKLKFSGLIIGIGLGGSLIIVVLILAGIVVRRKFKSRRAKKLKEFFFKQNRGLLLHQLVDKDIAERMIFSLEELEKATNNFDESRKLGGGGHGTVYKGILSDQCVVAIKKSRYAIKREIDGFINEVAILSQVNHRNVVKLFGCCLETEVPLLVYEFIPNGTLHEHLHVNSAQSVPWKERLRIALEIARDIKTTNILLDDRFIAKVSDFGASRGIPIDQNIVTTTIQGTFGYLDPEYYRKSRLTEKSDVYSFGVILAELITRRRPTSYISPEGFNLTEQFILLVSEDRLLEIVDSQITKEQGEEEAREVAEIAVMCLNLKGEDRPTMRQVEVKLEGLQGAVNTIRGRRAVQLNSPLTEESDSNIVAVGDAGYHNSSRRLNMEEEFWRQCYWQQQLRSNCRPGYPILATDGTCYGIGCCNASVVEDHNSYTIRLLSLQSSPRAVPFNASMVVVKGEWWRRADNAMLLQQEGTFGYLDPEYYRKSRLTEKSDVYSFGVILAELITRRRPTSYISPEGFNLTEQFILLV >ORUFI08G21840.3 pep chromosome:OR_W1943:8:22446344:22451988:1 gene:ORUFI08G21840 transcript:ORUFI08G21840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHAVALAAFTFLAAPLLQLLLFVAGNGSSCTTSCGNVSFEYPFGVEAGCYHPGFDLTCNHSLFLGQESSTMHQVLEISIPNGTVRINSSRMVFASAVLENSTTMRWEVGKSYFLSDLNIIALVGCNAQVSLRDWGDTLVNSCITSCPLSLDSGNGSCSGIGCCEASIAMHIPVYSISANQVVDPGAGPDPNEPNFFVYIVDQASFYFDTNMVTKGISNTPEALPAMLNWLILSNSSACSASTNASAPSSAPECCSANSFCKGYNGTTADYDGYRCYCSDGYEGNPYVDGGCRDIDECKSPHIYPCYGDCKNTRGGYDCQCHHGYKGNASILNGCQDINECAEPEKYSCYGGLCINTPGAFVCRCHDGSYGDPFTKGGCRSSKGLTIGLIVSGGSVLLLLGLAAPFIVRKVKLQRVKKMRDKFFMQNHGLLLQQLISRNTDFAERMIITLQELEIATNNFDKSREVGTGGHGVVYKGIIDLHVVAIKKSKIVVQREIDEFINEVAILSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYHHLHVEGSISLPWDDRLRIALEVARALSYLHSSASMPIFHRDIKSSNILLDDNLTAKVSDFGASRYISINETGITTAVQGTIGYLDPMYYYTGRLTSKSDVFSFGVLLMELLTRKKPIGGTFDNGDGLVSHVISLLSKGNLYNIIDSQVKEEEDGEVLEVATLATTCTKFKGEERPTMREVEMALESIVSKKSSFCNKNSQSSSRSDENRILALYMSIEGVTKDKTITITESSTEGEIPLSSRFSR >ORUFI08G21850.1 pep chromosome:OR_W1943:8:22442618:22444162:-1 gene:ORUFI08G21850 transcript:ORUFI08G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWFSRAVDGNAFGVTSSLEESFENLFPNNASSRQIVILWQRPSYLKLVTLEGLVRESGSILLSCLLCPKCKGVDGISVLEGAWMVEAVGNDLDKMTREGGKRNPPKGIVVESLSFSMFGMLQVKTMPWFSRAVDGNAFGVTSSLEESFENLFPNNASSRQIVILWQCPSYLKLVTLEGLVRESGSILLSCLLCPKCKGVDGISVLEGYAPVC >ORUFI08G21860.1 pep chromosome:OR_W1943:8:22466778:22478172:-1 gene:ORUFI08G21860 transcript:ORUFI08G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLAHDHPGPTGGGAHLSVRWWVDGSVRGGDSDSDSSLRGDRNPSERIPMVSCCELLESFYKRHKSEARICNCKLEDMTDNAEGESSANVDLVCALCDNGGEIVSCEGKCLRSFHAIRDAGEDCQTLGYTRRQFDVFPCASANCGYFYHAKCVAQLLFTENEAKALEYTTKIASGVKFACPLHKCDVCKYGENKDEKELQFAVCRRKIAFDDFVDNGVFHFQRAWEGLLPNNRILIFCLKHDIDPKLRTPTRDHIKFPDNPAVTRKPFDVNGMNKKVVKIRLLEDCPPAPLSSDKKSFGTVNRFSSSDVITKKRKVLVSGGTKHCALSAVAREKTSVPSFIPLSSFPVIDKSTERRIHEFAQKVSSDITIEDIQKKLVVPSTHTPVSKNTDKITLGMVQRSVEAINAALHMLENGSSIEDVKSVCAPSDLFQLARWKNKLNIYLAPFLHGMRYTSYGRHFTKLDKLEQIVDRLQWYIESGDTVVDFCCGSNDFSLLLKEKLEASEKSCFYKNFDLIQPKIMGLNPPFGFKASLANQFINKALTFKPKLIILIVPKETERLDRKYPPYELIWEDSHQLAGKSFYLPGSLDADNKIMEQWNMSPPPLSLWSRSDWARKHKEIAKTMGHISKNVWCLDDTQRSVVNTGHAQMANEGDDDLDNKERQEEAPLNASVIDQLLSDTYHDPTSSPGDYWTDTNGRSRQPCNYEGRNDPTHEYHAGMGCGSDMSISSSDKSDCEKQTETMSNSEHGHTGSEAHDHVGSAPVEQPTGFADCDEVTSAGIEYHSLENSPLTERPADAAGVQYKMLEVTPPPLDELVPGFSGQPIVSLPGGGRLSAGLQYQRLEDTLSRGTPEAGAGCRQLEDSLPAPPAASEVDAVVAKYLPQTTSDLPALPFVPAPRIPFPGLQFAPRNDLWQGWYPPPEFLSRGMDHPPFMHGSSGWLDD >ORUFI08G21860.2 pep chromosome:OR_W1943:8:22466778:22478172:-1 gene:ORUFI08G21860 transcript:ORUFI08G21860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLAHDHPGPTGGGAHLSVRWWVDGSVRGGDSDSDSSLRGDRNPSERIPMVSCCELLESCEGKCLRSFHAIRDAGEDCQTLGYTRRQFDVFPCASANCGYFYHAKCVAQLLFTENEAKALEYTTKIASGVKFACPLHKCDVCKYGENKDEKELQFAVCRRKIAFDDFVDNGVFHFQRAWEGLLPNNRILIFCLKHDIDPKLRTPTRDHIKFPDNPAVTRKPFDVNGMNKKVVKIRLLEDCPPAPLSSDKKSFGTVNRFSSSDVITKKRKVLVSGGTKHCALSAVAREKTSVPSFIPLSSFPVIDKSTERRIHEFAQKVSSDITIEDIQKKLVVPSTHTPVSKNTDKITLGMVQRSVEAINAALHMLENGSSIEDVKSVCAPSDLFQLARWKNKLNIYLAPFLHGMRYTSYGRHFTKLDKLEQIVDRLQWYIESGDTVVDFCCGSNDFSLLLKEKLEASEKSCFYKNFDLIQPKIMGLNPPFGFKASLANQFINKALTFKPKLIILIVPKETERLDRKYPPYELIWEDSHQLAGKSFYLPGSLDADNKIMEQWNMSPPPLSLWSRSDWARKHKEIAKTMGHISKNVWCLDDTQRSVVNTGHAQMANEGDDDLDNKERQEEAPLNASVIDQLLSDTYHDPTSSPGDYWTDTNGRSRQPCNYEGRNDPTHEYHAGMGCGSDMSISSSDKSDCEKQTETMSNSEHGHTGSEAHDHVGSAPVEQPTGFADCDEVTSAGIEYHSLENSPLTERPADAAGVQYKMLEVTPPPLDELVPGFSGQPIVSLPGGGRLSAGLQYQRLEDTLSRGTPEAGAGCRQLEDSLPAPPAASEVDAVVAKYLPQTTSDLPALPFVPAPRIPFPGLQFAPRNDLWQGWYPPPEFLSRGMDHPPFMHGSSGWLDD >ORUFI08G21860.3 pep chromosome:OR_W1943:8:22466778:22478172:-1 gene:ORUFI08G21860 transcript:ORUFI08G21860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLAHDHPGPTGGGAHLSVRWWVDGSVRGGDSDSDSSLRGDRNPSERIPMVSCCELLESFYKRHKSEARICNCKLEDMTDNAEGESSANVDLVCALCDNGGEIVSCEGKCLRSFHAIRDAGEDCQTLGYTRRQFDVFPCASANCGYFYHAKCVAQLLFTENEAKALEYTTKIASGVKFACPLHKCDVCKYGENKDEKELQFAVCRRKIAFDDFVDNGVFHFQRAWEGLLPNNRILIFCLKHDIDPKLRTPTRDHIKFPDNPAVTRKPFDVNGMNKKVVKIRLLEDCPPAPLSSDKKSFGTVNRFSSSDVITKKRKVLVSGGTKHCALSAVAREKTSVPSFIPLSSFPVIDKSTERRIHEFAQKVSSDITIEDIQKKLVVPSTHTPVSKNTDKITLGMVQRSVEAINAALHMLENGSSIEDVKSVCAPSDLFQLARWKNKLNIYLAPFLHGMRYTSYGRHFTKLDKLEQIVDRLQWYIESGDTIMGLNPPFGFKASLANQFINKALTFKPKLIILIVPKETERLDRKYPPYELIWEDSHQLAGKSFYLPGSLDADNKIMEQWNMSPPPLSLWSRSDWARKHKEIAKTMGHISKNVWCLDDTQRSVVNTGHAQMANEGDDDLDNKERQEEAPLNASVIDQLLSDTYHDPTSSPGDYWTDTNGRSRQPCNYEGRNDPTHEYHAGMGCGSDMSISSSDKSDCEKQTETMSNSEHGHTGSEAHDHVGSAPVEQPTGFADCDEVTSAGIEYHSLENSPLTERPADAAGVQYKMLEVTPPPLDELVPGFSGQPIVSLPGGGRLSAGLQYQRLEDTLSRGTPEAGAGCRQLEDSLPAPPAASEVDAVVAKYLPQTTSDLPALPFVPAPRIPFPGLQFAPRNDLWQGWYPPPEFLSRGMDHPPFMHGSSGWLDD >ORUFI08G21860.4 pep chromosome:OR_W1943:8:22466778:22478172:-1 gene:ORUFI08G21860 transcript:ORUFI08G21860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLAHDHPGPTGGGAHLSVRWWVDGSVRGGDSDSDSSLRGDRNPSERIPMVSCCELLESFYKRHKSEARICNCKLEDMTDNAEGESSANVDLVCALCDNGGEIVSCEGKCLRSFHAIRDAGEDCQTLGYTRRQFDVFPCASANCGYFYHAKCVAQLLFTENEAKALEYTTKIASGVKFACPLHKCDVCKYGENKDEKELQFAVCRRKIAFDDFVDNGVFHFQRAWEGLLPNNRILIFCLKHDIDPKLRTPTRDHIKFPDNPAVTRKPFDVNGMNKKVVKIRLLEDCPPAPLSSDKKSFGTVNRFSSSDVITKKRKVLVSGGTKHCALSAVAREKTSVPSFIPLSSFPVIDKSTERRIHEFAQKVSSDITIEDIQKKLVVPSTHTPVSKNTDKITLGMVQRSVEAINAALHMLENGSSIEDVKSVCAPSDLFQLARWKNKLNIYLAPFLHGMRYTSYGRHFTKLDKLEQIMGLNPPFGFKASLANQFINKALTFKPKLIILIVPKETERLDRKYPPYELIWEDSHQLAGKSFYLPGSLDADNKIMEQWNMSPPPLSLWSRSDWARKHKEIAKTMGHISKNVWCLDDTQRSVVNTGHAQMANEGDDDLDNKERQEEAPLNASVIDQLLSDTYHDPTSSPGDYWTDTNGRSRQPCNYEGRNDPTHEYHAGMGCGSDMSISSSDKSDCEKQTETMSNSEHGHTGSEAHDHVGSAPVEQPTGFADCDEVTSAGIEYHSLENSPLTERPADAAGVQYKMLEVTPPPLDELVPGFSGQPIVSLPGGGRLSAGLQYQRLEDTLSRGTPEAGAGCRQLEDSLPAPPAASEVDAVVAKYLPQTTSDLPALPFVPAPRIPFPGLQFAPRNDLWQGWYPPPEFLSRGMDHPPFMHGSSGWLDD >ORUFI08G21860.5 pep chromosome:OR_W1943:8:22466778:22478172:-1 gene:ORUFI08G21860 transcript:ORUFI08G21860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLAHDHPGPTGGGAHLSVRWWVDGSVRGGDSDSDSSLRGDRNPSERIPMVSCCELLESCEGKCLRSFHAIRDAGEDCQTLGYTRRQFDVFPCASANCGYFYHAKCVAQLLFTENEAKALEYTTKIASGVKFACPLHKCDVCKYGENKDEKELQFAVCRRKIAFDDFVDNGVFHFQRAWEGLLPNNRILIFCLKHDIDPKLRTPTRDHIKFPDNPAVTRKPFDVNGMNKKVVKIRLLEDCPPAPLSSDKKSFGTVNRFSSSDVITKKRKVLVSGGTKHCALSAVAREKTSVPSFIPLSSFPVIDKSTERRIHEFAQKVSSDITIEDIQKKLVVPSTHTPVSKNTDKITLGMVQRSVEAINAALHMLENGSSIEDVKSVCAPSDLFQLARWKNKLNIYLAPFLHGMRYTSYGRHFTKLDKLEQIMGLNPPFGFKASLANQFINKALTFKPKLIILIVPKETERLDRKYPPYELIWEDSHQLAGKSFYLPGSLDADNKIMEQWNMSPPPLSLWSRSDWARKHKEIAKTMGHISKNVWCLDDTQRSVVNTGHAQMANEGDDDLDNKERQEEAPLNASVIDQLLSDTYHDPTSSPGDYWTDTNGRSRQPCNYEGRNDPTHEYHAGMGCGSDMSISSSDKSDCEKQTETMSNSEHGHTGSEAHDHVGSAPVEQPTGFADCDEVTSAGIEYHSLENSPLTERPADAAGVQYKMLEVTPPPLDELVPGFSGQPIVSLPGGGRLSAGLQYQRLEDTLSRGTPEAGAGCRQLEDSLPAPPAASEVDAVVAKYLPQTTSDLPALPFVPAPRIPFPGLQFAPRNDLWQGWYPPPEFLSRGMDHPPFMHGSSGWLDD >ORUFI08G21870.1 pep chromosome:OR_W1943:8:22466827:22467826:1 gene:ORUFI08G21870 transcript:ORUFI08G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDRNSGGGYHPCHKSFLGANWSPGKGILGAGTNDILQPLHRPQKQQGVQVVNLPAVCNQHQLQVSLWTVCLPAFDIATQLKACLLQEVKRSAAQKSQLFPCVSEPNHYGSIEKEEVVTYSIVP >ORUFI08G21880.1 pep chromosome:OR_W1943:8:22482259:22483080:-1 gene:ORUFI08G21880 transcript:ORUFI08G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKAAMMVTILLCCSSISPAFAQKHKGPPAAAAVSLPPSPAPSPAAPRHVDLADLLSVAGPFHTFLDLLEKTDVLRTFQSQANGSKDGITVFVPKDAAFASLARSATANLTSDQLKSLALYHALPRYYSLAEFNRLGGAASPVPTLAGGEYTVNVTDDMGTVHVGSMWSNPKISSSVYSTRPVAVYEVDRVLLPMQIFRTDPPMAPSPAPAPDAKPASDAASPLPGKSSSAKAKADEKKSSSSPPSSRRGAGIAGYFLVLAASASAGLLLLC >ORUFI08G21890.1 pep chromosome:OR_W1943:8:22486847:22489924:-1 gene:ORUFI08G21890 transcript:ORUFI08G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLCRVAPGDKHGTFGRRGNENDGLPLRFMLGRKKTTRGSRLSMLFSSLFSTESSATRDSLLSGRHLPHNGASAAAPSSRRWRPLHGGGTLLSGNATLMVSPRMRLISGTLITMVVATYPQHGALPLALRPHKVRVARSNCHKLEVSEIQAIRNQLVLMNRVGPDAFFSHELPIDADAQSGCDESPHRTVFVLPVGESDIYVRFPTSIRHHMDGSYNYYDKPEVVGAVSASQFVQFAGSFDRVAMHPKLPQEANNKDQGLCSSSGPREHQNLEH >ORUFI08G21900.1 pep chromosome:OR_W1943:8:22490960:22491367:1 gene:ORUFI08G21900 transcript:ORUFI08G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEIVDGSTVRSFVDDEAAFNASVDGRFAALDADRDGVLSYADMSGELMALRVLDTHFGVDDGHGGADDGLYRGLFARFDRDGDGKVGLHEFRAEMKEVMLVVANGLGFLPVQMVVEDGSFLKVAVDRELAKAA >ORUFI08G21910.1 pep chromosome:OR_W1943:8:22492104:22494588:-1 gene:ORUFI08G21910 transcript:ORUFI08G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYIAFFSTLSILPASSFARITEMADYVYGPGRNHLFVPGPVNIPDQVIRAMSRQNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLFPTTDRVFSLLWIDQQQRLNFNVDVVESDWGYGADLAALDYKLRQDPSHSIKAICIVHNETATGVTNDLSAVRKILDKHRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKTAKSVRVFFDWKDYLKFYKMGTYWPYTPSIQLLYGLRTALDLIFEEGLENVIKRHNRLGTATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSAEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGHLNELQLMGALSGVEMVLKDIGYPVKLGSGVAAAAAYLSNSTPLIPSRI >ORUFI08G21910.2 pep chromosome:OR_W1943:8:22492104:22493795:-1 gene:ORUFI08G21910 transcript:ORUFI08G21910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADYVYGPGRNHLFVPGPVNIPDQVIRAMSRQNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLFPTTDRVFSLLWIDQQQRLNFNVDVVESDWGYGADLAALDYKLRQDPSHSIKAICIVHNETATGVTNDLSAVRKILDKHRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKTAKSVRVFFDWKDYLKFYKMGTYWPYTPSIQLLYGLRTALDLIFEEGLENVIKRHNRLGTATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSAEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGHLNELQLMGALSGVEMVLKDIGYPVKLGSGVAAAAAYLSNSTPLIPSRI >ORUFI08G21920.1 pep chromosome:OR_W1943:8:22501165:22505885:1 gene:ORUFI08G21920 transcript:ORUFI08G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHKPVSREYLESQGYRRKTTIKRGANHQNNPSPLSLSLSHGLIHPSPPELPPEKTTKPPTQKNQPPPRSPFQNNPAASASASAAAADEEGSPMLSDQELARYVESFVRQAAAVPGAVAAAGGISAESGAPFTSSAPSPSASAXQQQQQMAAAAAGVAAAPPTAVESPRPAAASKKESASTGVKRRGGPGGLNKVCGVSPELQAIVGEPTMARTEIVKQLWAYIRRNNLQDPNNKRKIICNDELRLVFETDSTDMFKMNKLLAKHIRPLEAKKDSNRDSKKLKPVDSEPISPAETDVNQLPIILSDALASFFGTGEKEMPSSEAVKRVWDHIKSNNLEDPANPTMILCDSKLKQLFGCESLTAVSVSELLSQHLFKQPNKL >ORUFI08G21920.2 pep chromosome:OR_W1943:8:22501165:22505885:1 gene:ORUFI08G21920 transcript:ORUFI08G21920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHKPVSREYLESQGYRRKTTIKRGANHQNNPSPLSLSLSHGLIHPSPPELPPEKTTKPPTQKNQPPPRSPFQNNPAASASASAAAADEEGSPMLSDQELARYVESFVRQAAAVPGAVAAAGGISAESVARQLGPQLGLDLTPKAPLIRDILLALFSPPPQGAPFTSSAPSPSASAXQQQQQMAAAAAGVAAAPPTAVESPRPAAASKKESASTGVKRRGGPGGLNKVCGVSPELQAIVGEPTMARTEIVKQLWAYIRRNNLQDPNNKRKIICNDELRLVFETDSTDMFKMNKLLAKHIRPLEAKKDSNRDSKKLKPVDSEPISPAETDVNQLPIILSDALASFFGTGEKEMPSSEAVKRVWDHIKSNNLEDPANPTMILCDSKLKQLFGCESLTAVSVSELLSQHLFKQPNKL >ORUFI08G21930.1 pep chromosome:OR_W1943:8:22505020:22507628:-1 gene:ORUFI08G21930 transcript:ORUFI08G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALLSASTTAPCRRQQQQHRLRLRLLLLPSAAPPRAGTRSSKSAAAAGVRGRVRVNSLFGDGGGGDDGFRAVRRLVKLNSAVQNRSVRELLELAGDECLYFFGRISSIDVSQVSKNMFLLLHAMMLRHHVSFVLKPTENKGFDLGVKWSLEWKGKKLPWDLDCNITTNHVYRGMLLINEVNKNLDAVILTLANKLLPEGTLDESNRRTIVACAIIGLVVMVVFYNMFKNFAYYQGVRPTRVQ >ORUFI08G21930.2 pep chromosome:OR_W1943:8:22505748:22507628:-1 gene:ORUFI08G21930 transcript:ORUFI08G21930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALLSASTTAPCRRQQQQHRLRLRLLLLPSAAPPRAGTRSSKSAAAAGVRGRVRVNSLFGDGGGGDDGFRAVRRLVKLNSAVQNRSVRELLELAGDECLYFFGRISSIDVSQVSKNMFLLLHAMMLRHHVSFVLKPTENKGFDLGVKWSLEWKGKKLPWDLDCNITTNHVYRGMLLINEVNKNLDAVILTLANKLLPEGTLDESNRRTIVACAIIGLVVMVVFYNMFKNL >ORUFI08G21940.1 pep chromosome:OR_W1943:8:22508657:22509007:1 gene:ORUFI08G21940 transcript:ORUFI08G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVVLQESSSCCGGDEEAVDQLVAATTPASQLQMDYTMNQLWNDIAAAEADTSYDAAAAMASPPSPVWEFRGGVRGPRRRRRPAAATAAAGHLLLLFAGERRGREKRGDGGRGWS >ORUFI08G21950.1 pep chromosome:OR_W1943:8:22520995:22522756:1 gene:ORUFI08G21950 transcript:ORUFI08G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVQAVAAAPSLAAEEAPAVVEAVQEPVVAAVEEEEGATAAAAAAAAAAAPVEETKPADDQAAPAPAETVAEAEAEAKEAEPAAAEEAEPEAETAPAAAIAEAEAGEAKEPEPEAEAEAAAAPVEEAAAAPVEVAEEAEAAPPAAPEVAAVEATE >ORUFI08G21960.1 pep chromosome:OR_W1943:8:22531467:22536371:1 gene:ORUFI08G21960 transcript:ORUFI08G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGAAAAAAVARRRRGIRQVVAVPAWIRRPGALPYGIPLPYPPLPSLPRSGRGEGRQRRCGRREARRPVGGRIRWPLARRPPPGAASLGRRGEAGSVAGRCGRPDPSPATAGKTAAGLGQSGDDDSGDGDDGGGLSARVRYCKEVIASISLLGVIYYYRTSYYFPKKYGVTYYFSDFNDLNPQKFAYPRIHVKICVQRQHGDGGGDCDTTFRVYVWRTDGVFWFAAVSAMAIEVIDQFRLQSLRMID >ORUFI08G21970.1 pep chromosome:OR_W1943:8:22538125:22544817:1 gene:ORUFI08G21970 transcript:ORUFI08G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSWGGGGGGGGGAVRLWCCGLLLMLLSGGGGAAAQRPPAYKTLSGKAPLVIAKGGFSGVFPDSSQNAYVFALSSTSGDTTLWCDVQLTKDGVGICLRDLLMNNCTSINQAYPAGEKAYIVNGQRSKGWFPIDYTISSLQSVILTQAIWSRTDKFDFAYLPILPVINVTNLAKPSSVWLNIEHDIFYRQHGLNMTKYILSISKGGSVQYISSPELGFLQSISGRVNRKTKLVFRFLDATSSDPSSNQTYGSLLNNLAFIKTVASGIMVPKEYIWRVTTDNYIQPATSIVRDAHSAGLEIYASDFANDRIIPYNYSYDPLEEYLHFVGSDNFSVDGVLSEYPLTAAVAIGCFTNLNVSSKTDHGSPLIISHNGASGDYPDCTDLAYQKAVDDGADVIDCSIQMTSDGVPVCMSSINLFETTNVQRTSFSNRASIFKDIQPTPGIFTFNLTWADISSSDLRPKISSPESIYYLVRNPVHKNAGNFFRLSDFLTFAKDKDLSGIMIIIKNAVFMANSLGFDVVDSVTKALSDAGYNNQTTKAKEVMIQSEDSAVLVNLKQLETKYKLVYTLPSTIGDASASSLVDVKKFADAVIVDRESIFPESQGFIMKETNLVKDLRSAGLAIYAQVFRNEFVSPPWDFFSDVTVEINSYVQLVNIDGIITDFPKTVRRYKMNSCTGLGVNMPSYMKPAQIGGLAQLLYGSQAQPPALAPMPVLNSSDVTEPPFPSAAPKNAPGGAANGSTPAPGASPSGSQAAAVMRAGILPMVTALFASLLI >ORUFI08G21970.2 pep chromosome:OR_W1943:8:22538125:22544332:1 gene:ORUFI08G21970 transcript:ORUFI08G21970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSWGGGGGGGGGAVRLWCCGLLLMLLSGGGGAAAQRPPAYKTLSGKAPLVIAKGGFSGVFPDSSQNAYVFALSSTSGDTTLWCDVQLTKDGVGICLRDLLMNNCTSINQAYPAGEKAYIVNGQRSKGWFPIDYTISSLQSVILTQAIWSRTDKFDFAYLPILPVINVTNLAKPSSVWLNIEHDIFYRQHGLNMTKYILSISKGGSVQYISSPELGFLQSISGRVNRKTKLVFRFLDATSSDPSSNQTYGSLLNNLAFIKTVASGIMVPKEYIWRVTTDNYIQPATSIVRDAHSAGLEIYASDFANDRIIPYNYSYDPLEEYLHFVGSDNFSVDGVLSEYPLTAAVAIGCFTNLNVSSKTDHGSPLIISHNGASGDYPDCTDLAYQKAVDDGADVIDCSIQMTSDGVPVCMSSINLFETTNVQRTSFSNRASIFKDIQPTPGIFTFNLTWADISSSDLRPKISSPESIYYLVRNPVHKNAGNFFRLSDFLTFAKDKDLSGIMIIIKNAVFMANSLGFDVVDSVTKALSDAGYNNQTTKAKEVMIQSEDSAVLVNLKQLETKYKLVYTLPSTIGDASASSLVDVKKFADAVIVDRESIFPESQGFIMKETNLVKDLRSAGLAIYAQVFRNEFVSPPWDFFSDVTVEINSYVQLVNIDGIITDFPKTVRRYKIIYPGHIMVSLTVAACTHAVLLAVNSCTGLGVNMPSYMKPAQIGGLAQLLYGSQAQPPALAPMPVLNSSDVTEPPFPSAAPKNAPGGAANGSTPAPGASPSGSQAAAVMRAGILPMVTALFASLLI >ORUFI08G21980.1 pep chromosome:OR_W1943:8:22552053:22552652:-1 gene:ORUFI08G21980 transcript:ORUFI08G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMSSSVTGSMSCTNVAFLTDGVAGDAMHAGPGYDDARPYTVLFHPLTGRCVVRRAADDAAASTAAGTLELGWCEDTDAWAYTQPVSTLAMQGVWRGSPPLCLRAVGSGRPARLATNDAAAAAAGCRGDARLLRERRAARAAPGMRRRTGRTIVGRKRKENRGETDILGARRAIWSFLIVSLFVSTKNNKIMSLVSSC >ORUFI08G21990.1 pep chromosome:OR_W1943:8:22556148:22559632:1 gene:ORUFI08G21990 transcript:ORUFI08G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNGSSYGESSSDDVEAPLLLPAARGGTMAKGDRRRPASAAAAAWVRALLAHKYPAIAAGPAACAAVCAAVDLGDGHGEARNMLGVLAWVFLWWVTGAVPLAVASMAPLFLFPALGISSSDDVARAYMGDVISLVLGSFILALAVDHHRIHRRLALNVLSLFCGDPVRPSLLLLGVTGTTALVSMWIHNTACTVMMMPVATGILQRFPRGDIDDGGGQEVRRFSKAVVLGVVYASAIGGMATLTGTGVNIILVGMWSSYFPEQRPITFSSWMSFGLPMAIILFLALWLTLCLMSNGFCREDGLGCGLVVLWMTRNITDNIPGWGVLFHNKVGDGTVTIMMATLLFIIPSGKREGEKLMDWNKCKKIQWNIILLLGAGFAIADGFKTSGLTDILSNGLRFLKGAPTLVIVPVACVFSGIMTEFTSDDSTTTLVLPLFAELAKSIEVHPALLMVSGAIGAQLSYLLPTGSPSNVVGFSTGYITIKDLVATGLPLKIVAIAALTVLLPTLGSTIFGMDIKS >ORUFI08G22000.1 pep chromosome:OR_W1943:8:22560468:22566168:1 gene:ORUFI08G22000 transcript:ORUFI08G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVRTYHHHHHHNNSNNHRLRRIIPRVLLAVFAIYAVSFAAYLLRHQSPHPHPHPAADPERDAVDAAGGGGGGGAVDRVRVEAPSSQKPWPRLPSFLPWTSASVRPPPKHSCEGYFGNGFSRLVDVLPARGGGVGGWFRCHHSETLRSSICEGGRVRLDPGLIAMSRGGEPLDQVMGRAEEEELPKYEPGALQVEAAAKRTGPLVEAGFLDAYVPTGGIGMHTMRSLLDSGRVVPPGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSAYVSSRVTDLPNRPNVVFVDGHCKAQLEQTWEALFSNVTYVKNFSGPVCFRHAILSPLGYETALFKGLSESFSCEGASAESLREKPDHQKTARLSEFGEMILASFDLLRDDILSSKTSNGLNVLFVRREDYLAHPRHSGKVESRLSNEKEVYDAIEGWAKGQKCKINVINGLFAHMNMKEQLRAIQEASVVIGAHGAGLTHLVSATPDTKVLEIISSMYRRPHFALISHWKSLEYHAINLPGSYARVTDVINELSNILKGGGQHKLRGRKRERKKKEWGGWLWARWFACLHASRRSTCLVAAAWGVKWAWLMMDASSLGIMPVSFSIYVGRRMELELAPVSAWLGGLDAVAWSGLWTAPRPSDAAVLPVVTGVLPQYMYSLGLMPLPIGKGMVDVMEKD >ORUFI08G22010.1 pep chromosome:OR_W1943:8:22575574:22581350:1 gene:ORUFI08G22010 transcript:ORUFI08G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCACAYDVVGSTAYVAFPGSAAAPRPDRAPRRPRRVPVEGAADAPRPEADYAAAVACSPQAGAGQGRRAARTVQVQVQLAKNRSKSSKQARAAAEAAVETVEEAAAAAAVEISLRSEVKQPSFLMEDPYTSFFKNPYYYYCTSASSFPTAPAAAAAAAHLPPPLPPPYAALYPTAGGVGVGVGAHHHHQYPPAAFFHPPPVHQQHQAPPSPPLREALPLLSLSPTPARRGGVDQEGAAAGSTATPAAAAARAPLFADLNCIPTCCGDDNDGGDPMDVEVAGTTADIDAAVALRIGLPAGGTEADLLSGLTGAGVEHEEEEEDCKVDGGGSGGDDEVVPLGFSSTPIGKLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGTGRGRSRCAGCSRRRCCGCRATAARRGAGTTSTTRGRXKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHAHTALDADDDDGAVSDADAVVRPSSSMAASSLQPPPR >ORUFI08G22020.1 pep chromosome:OR_W1943:8:22595933:22601145:-1 gene:ORUFI08G22020 transcript:ORUFI08G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSSSSTGPMGVAVSPEVEAALARGGAVVALESTIICHGMPYPKNLQTAMEVEAVVRENGAVPATIAILNGVPHVGLSGEQLKSLAVSGRQFQKTARRDIAHVVASGGNGATTVSATMFFAHKVGIPIFVTGGIGGVHRNGEQTMDISSDLTELGKTPVTVISAGVKSILDIPRTLEYLETQGVTVAAYKTNEFPAFFTEVSGYANKNLHLGSGILIAVPIPKEHAASGNAIESAIQKALKEAEDKNIIGNAITPFMLDRVKVLTGGSSLEANIALVKNNALVGAKIAVALSDLHQRDFGGLPYRVHVQRQARSETWGILFILTMTDDAIRSQLGLARMPDIQVISASGPLGWPASVQSRPGYG >ORUFI08G22030.1 pep chromosome:OR_W1943:8:22602100:22602831:1 gene:ORUFI08G22030 transcript:ORUFI08G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLASLLSPSPLPTTTTSSTSPRAVRLAPAKPLAATLAAVAAAGLLALSPATAAAAAAGEAEFKVYYGTAASAANYGGYGGNASKKDAAEYVYEVPEGWKERLVSKVEKGTNGTDSEFFNPRKRSEREYLTFLAGFRALAPVGAVLDNLALSDVGLQDQIASADGVLSTERRDGGGQLYYEYEIAGAGAHSLISVTCARNKLYAHFVTAPNPEWSRDEAVLRRLHQSFKTVDPARPPPASS >ORUFI08G22040.1 pep chromosome:OR_W1943:8:22605056:22613576:1 gene:ORUFI08G22040 transcript:ORUFI08G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDAGGGGGDGGRYFKADLTGAGVVQLSERVREKLREFVDDYTNNTLVEYVILLLEGGKRKDEAIKELDVFLGKDSRAFISWLWDHLSVNLHLYVQTQEQLQVDNKDDEAPNELPGEQKSSELQLRSKDQTHPECVSEPSTTRSRTKRDWKGIGREGNENFPLRSVLKDILHGEEKRSQKVNEIRHPPSSNQRNGRKRDRDDEPQQIKRDLPLRRDVGASCRLLKFAVRDAVKAVQQTSSSTEPSSKRLRSVVSTSSADSLHGKRIETSTEGHLYDKKPERTRQILQVPGAALALRAAAEAAADSTKVRSTGSVFSRLGQGNAVNQTPRSREQQRDYEDFKPATTADDHDSDRYDNDDEISGDITLEDGEAEMNVDSTSDDDVSRDDGITRYGSSDSQVAAYPSVVEKKDVFVKCSVEPETSTMRHSNLIKEEQPGSLSVISMSKTVVVPVNANNLEPSNYETPKDVHVVEKTDITPMNATVTSLTSNIKELAHGEVQKDSQRSAIASSVTSSYSTAHPTEDADSRTLYVSNVGIYVFSSHMVHFGATKDALSRHFNKFGAVLKVVIVTNAATGQPTGSAYVEFLHKESAERALSLNGTSFMARILKVVRRSSHEAAHFYGWPGGGRTSMYARHGRMAYPRGGLPGSTFRGRAPMIAGARSLQWKREPSVTDSNTGATVALPSAEQVLPPAT >ORUFI08G22040.2 pep chromosome:OR_W1943:8:22605056:22613576:1 gene:ORUFI08G22040 transcript:ORUFI08G22040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDAGGGGGDGGRYFKADLTGAGVVQLSERVREKLREFVDDYTNNTLVEYVILLLEGGKRKDEAIKELDVFLGKDSRAFISWLWDHLSVNLHLYVQTQEQLQVDNKDDEAPNELPGEQKSSELQLRSKDQTHPECVSEPSTTRSRTKRDWKGIGREGNENFPLRSVLKDILHGEEKRSQKVNEIRHPPSSNQRNGRKRDRDDEPQQIKRDLPLRRDVGASCRLLKFAVRDAVKAVQQTSSSTEPSSKRLRSVVSTSSADSLHGKRIETSTEGHLYDKKPERTRQILQVPGAALALRAAAEAAADSTKVRSTGSVFSRLGQGNAVNQTPRSREQQRDYEDFKPATTADDHDSDRYDNDDEISGDITLEDGEAEMNVDSTSDDDVSRDDGITRYGSSDSQVAAYPSVVEKKDVFVKCSVEPETSTMRHSNLIKEEQPGSLSVISMSKTVVVPVNANNLEPSNYETPKDVHVVEKTDITPMNATVTSLTSNIKELAHGEVQKDSQRSAIASSVTSSYSTAHPTEDADSRTLYVHFGATKDALSRHFNKFGAVLKVVIVTNAATGQPTGSAYVEFLHKESAERALSLNGTSFMARILKVVRRSSHEAAHFYGWPGGGRTSMYARHGRMAYPRGGLPGSTFRGRAPMIAGARSLQWKREPSVTDSNTGATVALPSAEQVLPPAT >ORUFI08G22050.1 pep chromosome:OR_W1943:8:22620942:22621454:1 gene:ORUFI08G22050 transcript:ORUFI08G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQREKKVEEPTELRAPEMTLCANSCGFPGNPATNNLCQNCFLAASASSSSSSAAASPSTTSLPVFPVVEKPRQAVQSSAAAAVALVVERPTAGPVESSSKASRSSSVNRCHSCRRRVGLTGFRCRCGELYCGAHRYSDRHDCSFDYKSAARDAIARENPVVRAAKIVRF >ORUFI08G22060.1 pep chromosome:OR_W1943:8:22632948:22634219:1 gene:ORUFI08G22060 transcript:ORUFI08G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLDRARALRVLGRGAMGTVFLVEARYGGFRYALKVFDKRSAAATRHDAERRARWELSVLSRLAHPHLPCLLGSAETPGLLAWAVPYCPGGDLNELRYALPDRVFSPAAIRFYVAEIVSALCELHASGVAYRDLKPENVLLRADGHVTLTDFDLSRLLPPKTAAPSSASPPPRMFQGGGHRPRVSARSEIPLFSHATKPDPSPPAANPSAKQQLQSLVRFIMKGDRSELSKKAKSARVSPVSRKPASFASSWGKSFSFVGTEEYVAPEMVRGEGHGLAVDWWAVGVLAYEMAYGRTPFKGKNRKETFRNVLLKDVEFAGDSRRRLPELTDLISRLLERDPRKRLGYQGGADEVRAHPFFAGVAWDMLDVVSRPPYIPPPADDGDEVVGDGEDFSIREYFDKLHQPPPPESESSSSEFSSEF >ORUFI08G22070.1 pep chromosome:OR_W1943:8:22641529:22642014:-1 gene:ORUFI08G22070 transcript:ORUFI08G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARKHPQGPFVAAEERSLLGFHHRRGGSVASAYGDDDDLPDLAEADVWYTQSFGGECGSSRRRRTRAGNRRGGGGGKHKVSVLSRMFTNARRGWRPPASAPASASRAAAHCQSPHTDRPPPLDLRPPALPSRHGPASLASPPPPPAMRAKERRESGRGG >ORUFI08G22080.1 pep chromosome:OR_W1943:8:22644711:22645010:1 gene:ORUFI08G22080 transcript:ORUFI08G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARLRGGGGDGAGRWTTPGHEERPKGHLFNRPPPGESRKWEDWELPCYVTSFLTVAILGVGLSAKPDLTLETWAHHKALDRLQEKDLAAAGQVPREL >ORUFI08G22090.1 pep chromosome:OR_W1943:8:22655263:22659532:1 gene:ORUFI08G22090 transcript:ORUFI08G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHNSSRDYKYSEMGAKDNGEVRDEKGVGSDYEPARVSGVSKKLMRKDTRENSPRMAKSSGSRQVQNKLQHKASNNIQSRSPKPRKVVNAAKSAEVRRSDTVRVPSRAPSELSEETDDIVSEAGTVDDSKGNEEAKEIDVLDEAPHCDQSTGTDDEIPEIEEKIVDDEKPVVYQRNEELQSKIDKLEQELREVAALEVSLYSVLPEHGSSAHKLHTPARRLSRMYIHASKFWSSDKIASVAKSTVSGLVLVAKSCSNDASRLTFWLSNTVVLREIIAQTIGISCQSSSTITAINMNGSAKSLDGRSMPMLWTNSSSGKQTKFTGMQVPDDWHETSTLLAALEKIESWIFSRIVETVWWQALTPHMQTPVEGSSTPKTGRVLGPSLGDQQQGTFSVNLWKAAFHDAFNRICPLRAGGHECGCLPVLAKLVMEQCVGRLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDNFGIDADDSEEDGTDTGSERSAAESKSFQLLNELSDLLMLPKDMLIEKSIRKEICPSIGLPLVTRILCNFTPDEFCPDPVPSIVLEELNSESLLERCTDKSATSAFPCIAAPVVYRPPSLLDVAEKVADTGGNAKLDRRASMVQRRGYTSDDDLDDLDSPLASLIDKSAPPLLSKGSAHFTAQRGVSMENARYTFLREHKHIIRRPPIDGDSPSAFATEEQTLDEDS >ORUFI08G22090.2 pep chromosome:OR_W1943:8:22654797:22659532:1 gene:ORUFI08G22090 transcript:ORUFI08G22090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDNGEVRDEKGVGSDYEPARVSGVSKKLMRKDTRENSPRMAKSSGSRQVQNKLQHKASNNIQSRSPKPRKVVNAAKSAEVRRSDTVRVPSRAPSELSEETDDIVSEAGTVDDSKGNEEAKEIDVLDEAPHCDQSTGTDDEIPEIEEKIVDDEKPVVYQRNEELQSKIDKLEQELREVAALEVSLYSVLPEHGSSAHKLHTPARRLSRMYIHASKFWSSDKIASVAKSTVSGLVLVAKSCSNDASRLTFWLSNTVVLREIIAQTIGISCQSSSTITAINMNGSAKSLDGRSMPMLWTNSSSGKQTKFTGMQVPDDWHETSTLLAALEKIESWIFSRIVETVWWQALTPHMQTPVEGSSTPKTGRVLGPSLGDQQQGTFSVNLWKAAFHDAFNRICPLRAGGHECGCLPVLAKLVMEQCVGRLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDNFGIDADDSEEDGTDTGSERSAAESKSFQLLNELSDLLMLPKDMLIEKSIRKEICPSIGLPLVTRILCNFTPDEFCPDPVPSIVLEELNSESLLERCTDKSATSAFPCIAAPVVYRPPSLLDVAEKVADTGGNAKLDRRASMVQRRGYTSDDDLDDLDSPLASLIDKSAPPLLSKGSAHFTAQRGVSMENARYTFLREHKHIIRRPPIDGDSPSAFATEEQTLDEDS >ORUFI08G22090.3 pep chromosome:OR_W1943:8:22655243:22659532:1 gene:ORUFI08G22090 transcript:ORUFI08G22090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDNGEVRDEKGVGSDYEPARVSGVSKKLMRKDTRENSPRMAKSSGSRQVQNKLQHKASNNIQSRSPKPRKVVNAAKSAEVRRSDTVRVPSRAPSELSEETDDIVSEAGTVDDSKGNEEAKEIDVLDEAPHCDQSTGTDDEIPEIEEKIVDDEKPVVYQRNEELQSKIDKLEQELREVAALEVSLYSVLPEHGSSAHKLHTPARRLSRMYIHASKFWSSDKIASVAKSTVSGLVLVAKSCSNDASRLTFWLSNTVVLREIIAQTIGISCQSSSTITAINMNGSAKSLDGRSMPMLWTNSSSGKQTKFTGMQVPDDWHETSTLLAALEKIESWIFSRIVETVWWQALTPHMQTPVEGSSTPKTGRVLGPSLGDQQQGTFSVNLWKAAFHDAFNRICPLRAGGHECGCLPVLAKLVMEQCVGRLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDNFGIDADDSEEDGTDTGSERSAAESKSFQLLNELSDLLMLPKDMLIEKSIRKEICPSIGLPLVTRILCNFTPDEFCPDPVPSIVLEELNSESLLERCTDKSATSAFPCIAAPVVYRPPSLLDVAEKVADTGGNAKLDRRASMVQRRGYTSDDDLDDLDSPLASLIDKSAPPLLSKGSAHFTAQRGVSMENARYTFLREHKHIIRRPPIDGDSPSAFATEEQTLDEDS >ORUFI08G22100.1 pep chromosome:OR_W1943:8:22661573:22662807:-1 gene:ORUFI08G22100 transcript:ORUFI08G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKKGGAARKEEVVTREYTINLHKRLHSWYVLPTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEVPPEGLKGLGTKVVDETD >ORUFI08G22110.1 pep chromosome:OR_W1943:8:22663206:22663995:-1 gene:ORUFI08G22110 transcript:ORUFI08G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTAAAASVETSRCGSRTAVTAPELQMASRTAATATDWIEDNDGGGGSRAPTPPPLPPPLAPDPNFLLCRPPFRGYSLHATLARRCAPAAASLALYSHIREASPPTPFIFSLLLAALASSSSPPSYPSAGFACLAAVCVAHAQAFKCNVLAHPIITPPDGAMEIAAVYDDCACARAVEVHRQEEYEEIVLTTEREREGAIPPQALPLAGEGDEVGNGDEEGGRG >ORUFI08G22120.1 pep chromosome:OR_W1943:8:22667856:22668371:1 gene:ORUFI08G22120 transcript:ORUFI08G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQAAAVAFSCATVALPRALALLLAPPARHRPQHCRCPAHRLLLPQAAAARAARPRRRLRSLLPQPQRHADDADDAAPPTAAARPRRPRPFSRSRRRAFMDRERRLASMESKNHSKGKKSSEACPGSSHLASVQKPPRQRPKSNPPTSHQVLHQFFSQSVAILFPHFITD >ORUFI08G22130.1 pep chromosome:OR_W1943:8:22673834:22674049:-1 gene:ORUFI08G22130 transcript:ORUFI08G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVMVALVLVGIVLAASQEVVDASTCVATDSPELAEERKKLEKLTAIFSRPRGVCHASEGCRDEPLLIDD >ORUFI08G22140.1 pep chromosome:OR_W1943:8:22674947:22676234:1 gene:ORUFI08G22140 transcript:ORUFI08G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIPPHPRSCPDAAHAIDHTTFASRVAPVHRIVSVPAPRPELEVGGSGGRAVLPPSPLRESRTAGRWEPRIEHLQASPTASRPCFVRTEPRAAELRHPAVSRSPEILRVSARQLPSSPPPSRLGPTTPAAPSRASASLGNG >ORUFI08G22150.1 pep chromosome:OR_W1943:8:22676315:22681333:1 gene:ORUFI08G22150 transcript:ORUFI08G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPAYDAWVRMIRNLTKIKIKFPLYDVWGPPVNKNSRFDPNMDQPTPRHKNPSNLQGLQNMGIKQPIMLFEEPPQASSDKERVQSSLKPEDYSIGLNHLKQYPANGSCHLTTARRKANVTCFGCGEKGHYANKCPQRRLRVGPRRSFPWQPRRDGCCFSCGQFGHYAIDCTQDTNEEQETRPSQISLEEDQDTNEEQETRPSQISPEEDQDTNEG >ORUFI08G22160.1 pep chromosome:OR_W1943:8:22681424:22682431:1 gene:ORUFI08G22160 transcript:ORUFI08G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYEDHLLPLRKNGSCAPRLSAERLSPLLTKTAEAVRRIPPHPRSCPDAAHAIDRTTSASRVAPVHRIVSVPVRHPLRATPRHATPNRALSLRFPSGKHGAARLVAPS >ORUFI08G22170.1 pep chromosome:OR_W1943:8:22684077:22685219:-1 gene:ORUFI08G22170 transcript:ORUFI08G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPSPAAPPLLLAALAALAVVASASAAACSAGDRDALLAIRAALSEAHLGVFSSWTGTDCCTSWYGVSCDPTTGRVADLTLRGEADDPVMAPAGRPASGVMSGYISDAVCRLGRLSSLILADWKQISGPIPPCVATALPYLRILELPGNRLTGEIPRSIGSLSRLTVLNLADNLIAGEIPSSITSLASLKHLDLTNNQLTGGIPDDVGDLTMLSRALLGRNKLTGAIPTSVGSLTRLADLDLAENGLTGGIPDSLGGAHVLTSLYLGGNRVSGRIPASLLQNKGLGILNLSRNAVEGAIPDVFTAESYFMVLDLSRNRLTGAVPRSLSAAAYVGHLDLSHNRLCGSIPAGPPFDHLDAASFASNSCLCGGPLGKCT >ORUFI08G22180.1 pep chromosome:OR_W1943:8:22691045:22694360:1 gene:ORUFI08G22180 transcript:ORUFI08G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLAAANTPREILLLLLLPRRSEIEAHTPPFTSPSLLLAAQGKGKGGGILAYIGPRGASASSLLLLVLFAGIEGNSFFFLRWIWFGWFAADIGWTGCGSTHLQSICGDLLNFHGGLTQSLGLRKCSRSQTSSYNVKLGLVDCSLHRNIKSSDRPSLRYFVSLVGRQFRCGLSGKEGSLNMKLDMPSRDNFSSMSWKWRGLHQKIGGTTSGLCLGFAVSGIANAEVPVEISISNSAASTSSTHGKEVYTDYSVTGIPGDGRCLFRSVIHGACIRAGRPIPNEDLQRKLADELRAMVADEFVKRREESEWFIEGDFDTYVSHIRHPHVWGGEPELFMASHVLEMPITVYMHDEDAGGLITIAEYGQQYGKEDPIQVLYDGFAHYDAVQIPAKKCSREMTSEFLKFQGSNGSRHRLLNRDGNR >ORUFI08G22180.2 pep chromosome:OR_W1943:8:22691045:22694457:1 gene:ORUFI08G22180 transcript:ORUFI08G22180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLAAANTPREILLLLLLPRRSEIEAHTPPFTSPSLLLAAQGKGKGGGILAYIGPRGASASSLLLLVLFAGIEGNSFFFLRWIWFGWFAADIGWTGCGSTHLQSICGDLLNFHGGLTQSLGLRKCSRSQTSSYNVKLGLVDCSLHRNIKSSDRPSLRYFVSLVGRQFRCGLSGKEGSLNMKLDMPSRDNFSSMSWKWRGLHQKIGGTTSGLCLGFAVSGIANAEVPVEISISNSAASTSSTHGKEVYTDYSVTGIPGDGRCLFRSVIHGACIRAGRPIPNEDLQRKLADELRAMVADEFVKRREESEWFIEGDFDTYVSHIRHPHVWGGEPELFMASHVLEMPITVYMHDEDAGGLITIAEYGQQYGKEDPIQVLYDGFAHYDAVQIPAKKCSREMTSEFLKFQGSNGRIWS >ORUFI08G22180.3 pep chromosome:OR_W1943:8:22692028:22694457:1 gene:ORUFI08G22180 transcript:ORUFI08G22180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDIEFGHSCTADIGWTGCGSTHLQSICGDLLNFHGGLTQSLGLRKCSRSQTSSYNVKLGLVDCSLHRNIKSSDRPSLRYFVSLVGRQFRCGLSGKEGSLNMKLDMPSRDNFSSMSWKWRGLHQKIGGTTSGLCLGFAVSGIANAEVPVEISISNSAASTSSTHGKEVYTDYSVTGIPGDGRCLFRSVIHGACIRAGRPIPNEDLQRKLADELRAMVADEFVKRREESEWFIEGDFDTYVSHIRHPHVWGGEPELFMASHVLEMPITVYMHDEDAGGLITIAEYGQQYGKEDPIQVLYDGFAHYDAVQIPAKKCSREMTSEFLKFQGSNGRIWS >ORUFI08G22190.1 pep chromosome:OR_W1943:8:22700677:22704428:1 gene:ORUFI08G22190 transcript:ORUFI08G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMIYFQGWYGFGRTGVLRSIAEVLPSMKPYPPELRFDRTIYIDCSRWKSKRVMQRKIAEELKLDNETMASFDKQDEEDDFSGVDICSRDAILNVSAAISRILSQSRFLMVFLNGSDDEIPLSTFGIADYFDCVVIWTWSRMFLTVMDVSYLDSINRKIKEGRYTDLFIYGYLDPDKFSSSEFGALFREEAATIVGRCPCLQNIDLEIVADCCLYGFLMYYHNKQNTNEFVWPAHASNYWTCDGIIQGARALEVSNALHPEVSFECRSYELKRVVEMLKMDPKAPFLLLEDDNKFVYSNSNRPYRWVFAISNDTIEEAMQTKMASASSIFLATQMYSGGLLGIPDGFFEQCSSLCVLVLSCCAFNFVSPPFLHCQTLKFIGLDRCKSNSTVELQGKWACLQNLRVIDLRYTDWVEIFHEEKMELMTNQLMEVNIEGVRCSQLTSQLKKRLPCLERLRIINPQNEAETSSSSTDINDIFVDKTDLQLLDLSGNKEMKNLPTSISNAGQLKVLILDGCDALEDVVVPNRLPSSLRSFSFDGYGSAAPSRASTIELPLQSCRPVRRGMIRMKDVKTSVISLEGCTQLDNLFLRGLPNLVERDLSGCAIKVLDFGTMVTDVPCLKRLFLLGCEHLRAIRWGRSRLLELLCIDTRPARKVLGCARPSLAVDHKYFRLQVNSANGIVHESLHVHDDSACRHALAAENWCYLRWCRVERSSNLDVVFPQGADEDGRLEIIWASDLLKAHCIWSRGIKSSDGYLQSLQHLHLRSCPSLRFALPMALPSFPSLETLHIIHCGDLRHIFVPDTEFQSTSIEFPKLTTIHLHDLPSLRQICEAVAMVAPALETIRIRGCWSLRRLPRSQGKQKPAIEVEKDVWDALEWDGVDAGHHPSLYQPPQHSRYYKNKRMPRGTLLG >ORUFI08G22200.1 pep chromosome:OR_W1943:8:22717199:22717752:-1 gene:ORUFI08G22200 transcript:ORUFI08G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGARVDAVVIVVTGKGGERRRRGRRLGRRPIGRGGRWGEAREAPDDARHSGGDAERGARIDAVVIVVADEVGGGGGGGGGATGRGGWWGEEREALDAGGPGEHDATGEPLPRGGPVRERRGDGGPVLPFGSYCLGIHGRGSDIDVLIVSPSYVDRDFFGALAAALAEAAAVTEL >ORUFI08G22210.1 pep chromosome:OR_W1943:8:22718853:22722015:-1 gene:ORUFI08G22210 transcript:ORUFI08G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAALAGDGSASPSSAYIVTFEEALKGTPPRGDGIRVTLLLLIALGVLSLLTVGGLDDRHAGLGLALFPRDGMSEPSSPAGEGCRRSCK >ORUFI08G22220.1 pep chromosome:OR_W1943:8:22726640:22729249:1 gene:ORUFI08G22220 transcript:ORUFI08G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAAAVAVVVVVVVLGVEVAAALNTDGLALLALKFAVSEDPNGALSTWRDADNDPCGWSGVTCVDGGGGRVAGVELANFSLAGYLPSELSLLSELVTLSLPYNQLAGQIPVAITALQKLAALDLAHNLLSGQVPAGIGRLVSLSRLDLSSNQLNGSLPPAIAGLPRLSGVLNLSYNHFTGGIPPEFGGIPVAVSLDLRGNDLAGEIPQVGSLVNQGPTAFDDNPRLCGFPLKVECAGEKEDPRIPEANGGMNPGAAAAVGRPPRRRSSPTVPVLAAIVVVAIVAGVILQWQCRRRCAAATARDEEKESAKDKSGAVTLAGSEERRSGGEEGEVFVAVDDGFGMELEELLRASAFVVGKSRGGIVYRVVPGHGPAVAVRRLSEPDDGDGGSDSGWRRRRAFETEAAAIGRARHPNVARLRAYYYAPDEKLLIYDYLSNGSLHSALHGGPTASPTPLPWSMRLSIVQGAARGLAYLHECSPRRYVHGCIKSSKILLDDELRAHVSGFGLARLVAGGAHKAAAAQSKKLGGAACALRGGGGALAYVAPELRTPGGAAAAATQKGDVFALGVVLLEAVTGREPTEGEGGLELEAWVRRAFKEERPLSEVVDPTLLGEVHAKKQVLAVFHVALGCTEPDAELRPRMRAVAESLDRINA >ORUFI08G22230.1 pep chromosome:OR_W1943:8:22729825:22732934:-1 gene:ORUFI08G22230 transcript:ORUFI08G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGLRLRALGLCRGNRGAFPAAHGGGGGRLHPRRPRLAGAFCSRAWLNRPVRPRRSSRLTFPPLVFVFAVATTGNGAAAAVGPVGSGAEVARAKRMLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGPLGFKVDDTKLKRAGLDYWPYVVVKIHDSWNEFRDYFMKQDFSYKPGDWLVFGSETKGLPQSALEDCSREGLGGGTIRIPMVETYVRCLNLSVSVGLDNSTMNSSIISRSSRKKRKDYSLPRTFMHENTYLNFSWTISWDSLANSTCSLSSQKSPVPENFEMITV >ORUFI08G22230.2 pep chromosome:OR_W1943:8:22730722:22732934:-1 gene:ORUFI08G22230 transcript:ORUFI08G22230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGLRLRALGLCRGNRGAFPAAHGGGGGRLHPRRPRLAGAFCSRAWLNRPVRPRRSSRLTFPPLVFVFAVATTGNGAAAAVGPVGSGAEVARAKRMLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGPLGFKVDDTKLKRAGLDYWPYVVVKIHDSWNEFRDYFMKQDFSYKPGDWLVFGSETKGLPQSALEDCSREGLGGGTIRIPMVETYVRCLNLSVSVGVALYEAARQLNYEQLHYQPELPEEAQGLFPAEDIYA >ORUFI08G22240.1 pep chromosome:OR_W1943:8:22733577:22734314:1 gene:ORUFI08G22240 transcript:ORUFI08G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVRCGAELERSDIYTGANGSGLAAAAARGEMDRDDEWLRRALAAFGGGGGGVWELVDAALACAVHDRPDELIRRYRGAALRRRWRRLQQLSSCFVLFQETDIGRHVNGLRKHPSGEVQLLAISSSSSSSASPWTGSSAAATAASWREFGSGSATGSTTVVTVAGSTGISATGCFSLPWNPSPRR >ORUFI08G22250.1 pep chromosome:OR_W1943:8:22733951:22734262:-1 gene:ORUFI08G22250 transcript:ORUFI08G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEPATVTTVVLPVAEPDPNSRHDAAVAAAEEPVHGEAEEEDEDEMASSWTSPEGCLRRPFTCRPMSVSWKSTKQELQLHLLPFCCKGNRTAEQAQQLVLRL >ORUFI08G22260.1 pep chromosome:OR_W1943:8:22753847:22757510:1 gene:ORUFI08G22260 transcript:ORUFI08G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPVSKDLNLPVQPPAMSSSGLLRYRSAPSTLLAEFCDDFLPPAAAPRAASPDADNVFSRFLADHQIRDKSPPATAAAAAAAAHFPDDPTMATQHHHQQQMMFQHHPQQMASVEGLYRTVSSTGIDAATAAANAAGGGGGGLLRQSSSPAGFLNHLNMDNGYGSMLRAGMAAAGGGVGFRNGANAAAAADSPGGSGGRLKGQLSFSSRQGSLMSQISEMDSEELGGSSPEGAGGGGGGGGRGYLSGYPMSSGWEESSLMSDTNISGVKRQRDSSEPSQNGGGGGGGGGLAHQFSLPKTSSEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERIRKLQELVPNMDKQTNTADMLDLAVDYIKDLQKQVKGLNDSRANCTCSAKHQQYSG >ORUFI08G22270.1 pep chromosome:OR_W1943:8:22769052:22770803:-1 gene:ORUFI08G22270 transcript:ORUFI08G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNLSGMNSSGLSHAAGSRMIAHTLKITPPISAPSSSARCGTRIGAAGCRRIASLSTAWRLASFGRNLQQPQEAKLNHWYNDVISNHWSLRTNIRLFGLVPFIVTPMLDMPRIISLPFDCLFQL >ORUFI08G22280.1 pep chromosome:OR_W1943:8:22769545:22771071:1 gene:ORUFI08G22280 transcript:ORUFI08G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREVCWLLCAALAAAMACYYLTGTTRRRSRLLPPGPKPLPVIGNVLSLRGNMHHALARLAGEHGPVMALKLGLVTAVVVSSAGAAREAFTKHDRRLAARAVPDASRALGFAGRSMIWLPSSDPRWKTLRGVVATHVFSPRRLAAARGVRERKVRDIVGHLAGRAGEVVDVGKVVYGGVLNLVSSALFSADVVDVGEESAHGLQEAVEEIILAIAKPNVSDLFPFLRRLDLQGWRRWAEKRYDKVFGIFDSVINSRLADASTGKHADAGAGDFLDSLLDLMSAGKIARDDVTSIMFDLFGAGTDTIAITVEWAMAELLRNPSVMTKARAEMNHALAGKKTIEENDVEKLPYLQAVLREAMRLHPAAPILVPHRAEEDGAEIGGYAVPKGSTVIFNVWAIMRDPAAWERPEEFMPERFMDMAEEVDFRGKDYKFIPFGAGRRLCPGLLMAERVVPFILASLLHSFEWRLPGGMTAESLDLSEKFTTVNVLVTPLKAIPILASKNENIRE >ORUFI08G22290.1 pep chromosome:OR_W1943:8:22775228:22776745:-1 gene:ORUFI08G22290 transcript:ORUFI08G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREAWLLCAALAAAMVYYYYYYLACTTRRAQRRLPPGPTPLPVIGNVLSLSGDMHHELARLAREQYGPVMTLKLGLFTAVVVSSPDAAREAFTKHDRRLAARTVPDISRARGLTGRSMIWLPSSDPRWKTLRSAVATHFFSPRSLAAARGVRERKVRDIVNYFAGHAAEVIDVGEAVYGGVINIVSNAFFSADVVDVGKESAHGLRETLEDIILAIAKPNVSDLFPFLRRLDLQGWRRWAEKRYDKVFGILDDKINSRLADADADASTKKHGDFLDSLLELMSAGKIACDDVTTVMFDAFGAGTDTISNTVVWAMAELLRNPSIMAKVRAEMEDVLAGKKTIEENDTEKLPYLRAVIKEAMRLHPVAPILLPHRAAEDGVEIGGYAVPKGSTVIFNVWTIMRDPAAWERPEEFMPERFLQRAEVDFRGKDFEFIPFGAGRRLCPGLPMTERVVPFILASLLHAFEWRLPVGVAAETLDLSEKFTTVNVLVTPLKAIPILASHQI >ORUFI08G22300.1 pep chromosome:OR_W1943:8:22785242:22786929:1 gene:ORUFI08G22300 transcript:ORUFI08G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIKARKLELAAGSGKGDASSLPAPPLFLGREVLDQSPSPSRTHSATPPSCDRVVHDQCALPVTLHRAPRCVSRHARVGPPPDQAAEILHKGRVATSACHPKPCRTGHFFATGELAVDKPSQGLPFLSS >ORUFI08G22310.1 pep chromosome:OR_W1943:8:22786996:22790617:-1 gene:ORUFI08G22310 transcript:ORUFI08G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLETCGFGNRRSGDGLSVARLKVVFNLRLLPPDRAEAAGEHGTHGRPAEGEPAAARGGAEKLRRLPTGCGGAWRDGAQARHSCAIAELGCKWLG >ORUFI08G22320.1 pep chromosome:OR_W1943:8:22792642:22794148:1 gene:ORUFI08G22320 transcript:ORUFI08G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEVCWLLCAALAAAMACYYLTGTMRRRSRRLPPGPTPLPVIGNVLSLRGNMHHALARLAGEHGPVMALKLGLVTTVVVSSAGAAREAFTKHDRRLAARAVPDTTRARGFASRSDPRWKTLRGVAATHVFSPRSLAAARGVRERKVRDIVGHLAGHAGEVVDVGKVVYGGVLNLRRLDLQGWRRWAEKRYDKVFGIFDSVINSRLADASTGKHADAGAGDFLDSLLDLMSAGTIARDDVTSIMYDLFGAGTDTIAITVEWAMAELLRNPSVMAKARAEMNHVLAGKVKATEMEENDVEKLPYLQAVVKEVMRLHPAAPILVPHRAEEDDAEIGGYAVPKGSTVIFNVWAIMRDPVAWERPEEFMPERFLDMAEEVDFRGKDHKFMPFGTGRRLCPGLSMAKRVVPFILASLLHAFEWRLPAGVTAEALDLSEKFTTVNVLVTPIKAIPILASDQI >ORUFI08G22330.1 pep chromosome:OR_W1943:8:22810695:22812406:1 gene:ORUFI08G22330 transcript:ORUFI08G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDAWLLCAALAAATVVYYLACTTSRRAQRRRLPPGPTPLPVIGNVLSLRGNMHHALARLARERYGPVMALKLGLVTAVVVSSPDAAREAFTKHDRRLAARAVPDTSRVRGFADRSMIWLPSSDTRWKTLRGVVATHVFSPRSIAAARGVRERKVRDIVGYFAAHVGEVVDVGEAVYSGVVNLVSNAFFSGDVVDVGEESAHGLREAVEDIILAIAKPNVSDLFPFLRPLDLQGWRRWAEKRYDTVFDILDNITNSRLADASAGNHAGDFLDSLLGLMSYGKIARDDVTTIMFDVFGAGTDTIAITVQWAMAELLRNPSIMAKARTEMEDVLAGKKTIEENDTEKLPYLRAVIKEAMRLHPVAPILLPHQAAEDGVEIGGYAVPKGSTVIFNVWAIMRDPTAWERPDEFMPERFLQRAEVDFRGKDFEFMPFGAGRRLCPGLPMAERVVPFILASLLHAFEWRLPDGMSAEELDVSEKFTTANVLTVPLKAVPILASSASELQAS >ORUFI08G22340.1 pep chromosome:OR_W1943:8:22812651:22815255:-1 gene:ORUFI08G22340 transcript:ORUFI08G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEATTSSSSSTHHPPHATAAVPAPATRHEIQAAIAKATELRALHAALLQGQGAAAANAGSAYSRSPAASLIRLPPGASPALSKAAAAAVAEDYPVFTPTYDEETLSGMNYIRQDNRSLSENWSGIGLDHEGQEDEVAFSDFDNHNTFSSSNSELHFSSSNEHRRNRMGCRNHPSFLQPALSTDSFIKSASKRTDLAEFKAVTTCNTCKPATISRHPEADVDALKNLSSRVPPQSNYHPSICSRPRQKGPHILSWLLPKSKRKVKSDMSPNTVECENMSQLLKEWGVFSLESLKKELAEANENRDAALQEAAEMKSSLGELTTKLVSLEGYCSELKKALKQATSTKNMISHSKRSARSLAVSRDNSMPVSHEVMVEGFLQIVSEARLSIKQFCKVLIQQVEDADNGLSDKLNLLLQPYQVTLTDKHPKVVLYHLEALMNQAMYQDFENCTFQKNGPPKYLDPKEDRQENFASFVALRNLSWNEVLKKGTKYHCEDFSRFCDQKMSCIVSMLNWSWPWAEQLLQCFFVASKCIWLLHLLAFSFSPPLVILRVEENRAFDQMYMEDIHLDKQRSQNPCQVKIMVTPGFYVQDRVLKCRVLGRYS >ORUFI08G22350.1 pep chromosome:OR_W1943:8:22861920:22867202:-1 gene:ORUFI08G22350 transcript:ORUFI08G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEPRAAAAAEGGEKRAALLREITEEGGFAFVASAEKAAADGDLRAAEAAREMAWEQLHACPRSEVGRAWRDAYALACLHVAALRVAGGGGDGRRAALRALDMGLIMGGDLLRAELEEAIARVVADRSRGCGGGGGRTELMKRDLADRRVLKVLPVKSLSCKQIERRSCISLEAFIRDYFLCESPVILSGYIDHWPARTKWKDIRYLERIAGDRTVPVEELITFSQFLEMMWSSDCSANLTYLAQHPLFDQIKELREDIMVPEYCNAGGGELQKLNAWFGPEGTVTPLHHDLYHNLFAQGNPQILVFIQRVDHPLCFEITGMFALHQSSYSDKRLWPYPKWLHVLGRKYFRLYSASISNDLYPHRETMLSNISQVDLDNINVNEFPRTGDVEFMDGILEEGDLLYIPPKWWHYVRSLSTSFSVSFWWRTSIPPPQGS >ORUFI08G22350.2 pep chromosome:OR_W1943:8:22861920:22867202:-1 gene:ORUFI08G22350 transcript:ORUFI08G22350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEPRAAAAAEGGEKRAALLREITEEGGFAFVASAEKAAADGDLRAAEAAREMAWEQLHACPRSEVGRAWRDAYALACLHVAALRVAGGGGDGRRAALRALDMGLIMGGDLLRAELEEAIARVVADRSRGCGGGGGRTELMKRDLADRRVLKVLPVKSLSCKQIERRSCISLEAFIRDYFLCESPVILSGYIDHWPARTKWKDIRYLERIAGDRTVPVEELITFSQFLEMMWSSDCSANLTYLAQHPLFDQIKELREDIMVPEYCNAGGGELQKLNAWFGPEGTVTPLHHDLYHNLFAQVLGRKYFRLYSASISNDLYPHRETMLSNISQVDLDNINVNEFPRTGDVEFMDGILEEGDLLYIPPKWWHYVRSLSTSFSVSFWWRTSIPPPQGS >ORUFI08G22350.3 pep chromosome:OR_W1943:8:22861920:22867202:-1 gene:ORUFI08G22350 transcript:ORUFI08G22350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEPRAAAAAEGGEKRAALLREITEEGGFAFVASAEKAAADGDLRAAEAAREMAWEQLHACPRSEVGRAWRDAYALACLHVAALRVAGGGGDGRRAALRALDMGLIMGGDLLRAELEEAIARVVADRSRGCGGGGGRTELMKRDLADVLKVLPVKSLSCKQIERRSCISLEAFIRDYFLCESPVILSGYIDHWPARTKWKDIRYLERIAGDRTVPVEELITFSQFLEMMWSSDCSANLTYLAQHPLFDQIKELREDIMVPEYCNAGGGELQKLNAWFGPEGTVTPLHHDLYHNLFAQGNPQILVFIQRVDHPLCFEITGMFALHQSSYSDKRLWPYPKWLHVLGRKYFRLYSASISNDLYPHRETMLSNISQVDLDNINVNEFPRTGDVEFMDGILEEGDLLYIPPKWWHYVRSLSTSFSVSFWWRTSIPPPQGS >ORUFI08G22350.4 pep chromosome:OR_W1943:8:22861920:22867202:-1 gene:ORUFI08G22350 transcript:ORUFI08G22350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEPRAAAAAEGGEKRAALLREITEEGGFAFVASAEKAAADGDLRAAEAAREMAWEQLHACPRSEVGRAWRDAYALACLHVAALRVAGGGGDGRRAALRALDMGLIMGGDLLRAELEEAIARVVADRSRGCGGGGGRTELIGYIDHWPARTKWKDIRYLERIAGDRTVPVEELITFSQFLEMMWSSDCSANLTYLAQHPLFDQIKELREDIMVPEYCNAGGGELQKLNAWFGPEGTVTPLHHDLYHNLFAQGNPQILVFIQRVDHPLCFEITGMFALHQSSYSDKRLWPYPKWLHVLGRKYFRLYSASISNDLYPHRETMLSNISQVDLDNINVNEFPRTGDVEFMDGILEEGDLLYIPPKWWHYVRSLSTSFSVSFWWRTSIPPPQGS >ORUFI08G22350.5 pep chromosome:OR_W1943:8:22861920:22867202:-1 gene:ORUFI08G22350 transcript:ORUFI08G22350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEPRAAAAAEGGEKRAALLREITEEGGFAFVASAEKAAADGDLRAAEAAREMAWEQLHACPRSEVGRAWRDAYALACLHVAALRVAGGGGDGRRAALRALDMGLIMGGDLLRAELEEAIARVVADRSRGCGGGGGRTELMKRDLADRRVLKVLPVKSLSCKQIERRSCISLEAFIRDYFLCESPVILSGYIDHWPARTKWKDIRYLERIAGDRTVPVEELITFSQFLEMMWSSDCSANLTYLAQHPLFDQIKELREDIMVPEYCNAGGGELQKLNAWFGPEGTVTPLHHDLYHNLFAQVDLDNINVNEFPRTGDVEFMDGILEEGDLLYIPPKWWHYVRSLSTSFSVSFWWRTSIPPPQGS >ORUFI08G22350.6 pep chromosome:OR_W1943:8:22861920:22867202:-1 gene:ORUFI08G22350 transcript:ORUFI08G22350.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEPRAAAAAEGGEKRAALLREITEEGGFAFVASAEKAAADGDLRAAEAAREMAWEQLHACPRSEVGRAWRDAYALACLHVAALRVAGGGGDGRRAALRALDMGLIMGGDLLRAELEEAIARVVADRSRGCGGGGGRTELIGYIDHWPARTKWKDIRYLERIAGDRTVPVEELITFSQFLEMMWSSDCSANLTYLAQHPLFDQIKELREDIMVPEYCNAGGGELQKLNAWFGPEGTVTPLHHDLYHNLFAQVLGRKYFRLYSASISNDLYPHRETMLSNISQVDLDNINVNEFPRTGDVEFMDGILEEGDLLYIPPKWWHYVRSLSTSFSVSFWWRTSIPPPQGS >ORUFI08G22360.1 pep chromosome:OR_W1943:8:22871216:22874669:1 gene:ORUFI08G22360 transcript:ORUFI08G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTSGGGGALSAQAAAALGEGIGLVFGRWTALQMAVENQWGGRDSRAKADQLAESILSWFANSKGKHYYEDLVDMMYDTVSESFNADFEDGSVEEVAEQLLIMHEECLQSNYSSVEKLRNSRAQGNAVSQSRKMVVDGDDDSSDDEDDDDDGEPSMMDNEAGSAEKMAVDEPKPSKPVPDADGWTTVPPRRGRGKN >ORUFI08G22370.1 pep chromosome:OR_W1943:8:22876689:22878548:1 gene:ORUFI08G22370 transcript:ORUFI08G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPSILTEDLGDTSDFEVDGVENLTENDVSDEEIDAEELARRMWKDKIKLKRIKERQQKLALQQAALEESKTKKMSEQALRKKTARVQDGILRYMLKLMEVCNARGFVYGIIPHKGKPVSGASDNIRAWWKEKVRFDVNGPVAIAKYEVKNSMLVDAKRRGVLNQHSLMDLQDATLGSLLSSLMQHCSPQQRKYPLDKGVPPPWWPSGNEDWWISLGLPMGISPPYRKPHDLKKVWKVGVLTGVIKHMAPNFDKIRNCVRKSKCLQDKMTAKESLIWLGVLRREEIYFRSSDNVGSQITHRSSREGKSDDIYSSSDEYDVDHLEEPPRSSSSKDNVGRRQPTAQIREEHTSSRHNRGRHDQQPNQVLPSNEGTNESRKRKRPSGHLLTAESEVEVTQKDDNQLAIVSNALPNMSRINQTEMMGMANQMTSLSHVNTTETLQHQYVQGNFVSSPAAVVNNYNSNQITNVNPSRIYMGYQPLACQNNGHTNPWSEDTFQVDVGPPPIGFTTNSSSGGNHSLPMKQSLPKSIDDHVPITETGVLADSSSYGYHITATGSRNSTSVAGHGNQLMGDPFDSGTADKFNGNSFDGLPFDFIGSSPIPDIGVLLDDDDIMPYLGT >ORUFI08G22380.1 pep chromosome:OR_W1943:8:22881248:22887185:-1 gene:ORUFI08G22380 transcript:ORUFI08G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPQLNPSSHTTTTSSSSSTQLFASSSCIASLRRPSSSSSSVVAAARRTRGQGSSRVVVVCASSSATASRGDSSSDMAAAAAVRVKAVATIKVTVGELINRSIDIRDLIGRSLSLELVSSELDAKTGKEKATVRSYAHNVDDDDHSVVTYEADFDVPSGFGPIGAIIVTNELRQEMFLEDINLTASDGAGNSTVLPIRCNSWKRGDGTGEREADDRVYDYDVYNDLGNPDSNGDLARPVLGGNKQFPYPRRCRTGRPPSKKDPKSETRKGNVYVPRDEEFSPEKEDYFLRKTVGSVLQAAVPAAQSLLLDKLKWNLPFPSFFVIDKLFEDGVELPGVDKLNFLESVVPRLLEHLRDTPAEKILRFETPANIQKDKFAWLRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITADLLEEQMRRVMTVEEAISQKRLFMLDFHDLFLPYVHKIRSLDHTTMYGSRTIFFLTDDGTLRLLAIELTRPASPSQPQWRQVFTPSTDATMSWLWRMAKAHVRAHDAGHHELITHWLRTHCAVEPYIIAANRQLSEMHPIYQLLRPHFRYTMRINARARSALISAGGIIERSFSPQKYSMELSSVAYDKLWRFDTEALPADLVRRGMAEEDPTAEHGLKLAIEDYPFANDGLLIWDAIKTWVQAYVARFYPDAASVAGDEELQAFWTEVRTKGHGDKKDEPWWPKLDTPESLAHTLTTIIWVAAAHHAAVNFGQYDFGGYFPNRPSIARTVMPVEEPVDGAAMERFLDNPDQALRECFPSQVQATVVMAVLDVLSSHSTDEEYLGGEQTRPWNSDAAVQAAYDGFAARLKEIEGVIDGRNKDRKLKNRCGAGILPYQLMKPFSDSGVTGMGIPNSTSI >ORUFI08G22380.2 pep chromosome:OR_W1943:8:22881248:22887185:-1 gene:ORUFI08G22380 transcript:ORUFI08G22380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPQLNPSSHTTTTSSSSSTQLFASSSCIASLRRPSSSSSSVVAAARRTRGQGSSRVVVVCASSSATASRGDSSSDMAAAAAVRVKAVATIKVTVGELINRSIDIRDLIGRSLSLELVSSELDAKTGKEKATVRSYAHNVDDDDHSVVTYEADFDVPSGFGPIGAIIVTNELRQEMFLEDINLTASDGAGNSTVLPIRCNSWKRGDGTGEREADDRVYDYDVYNDLGNPDSNGDLARPVLGGNKQFPYPRRCRTGRPPSKKDPKSETRKGNVYVPRDEEFSPEKEDYFLRKTVGSVLQAAVPAAQSLLLDKLKWNLPFPSFFVIDKLFEDGVELPGVDKLNFLESVVPRLLEHLRDTPAEKILRFETPANIQSRHRIKPNSFTSIAIDSAAFQVSNGVCCVLTAEDKFAWLRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITADLLEEQMRRVMTVEEAISQKRLFMLDFHDLFLPYVHKIRSLDHTTMYGSRTIFFLTDDGTLRLLAIELTRPASPSQPQWRQVFTPSTDATMSWLWRMAKAHVRAHDAGHHELITHWLRTHCAVEPYIIAANRQLSEMHPIYQLLRPHFRYTMRINARARSALISAGGIIERSFSPQKYSMELSSVAYDKLWRFDTEALPADLVRRGMAEEDPTAEHGLKLAIEDYPFANDGLLIWDAIKTWVQAYVARFYPDAASVAGDEELQAFWTEVRTKGHGDKKDEPWWPKLDTPESLAHTLTTIIWVAAAHHAAVNFGQYDFGGYFPNRPSIARTVMPVEEPVDGAAMERFLDNPDQALRECFPSQVQATVVMAVLDVLSSHSTDEEYLGGEQTRPWNSDAAVQAAYDGFAARLKEIEGVIDGRNKDRKLKNRCGAGILPYQLMKPFSDSGVTGMGIPNSTSI >ORUFI08G22390.1 pep chromosome:OR_W1943:8:22901092:22902057:-1 gene:ORUFI08G22390 transcript:ORUFI08G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSNSAQRRSPPMMRPMPSARRAARRSSCSCSHAISLAASGCPRCPPSHRRLQLPLRRRQPPGPARHHPPRATHACSRIAAAAAALHHGVVGRSLHVSASASAARRPQRRGPGSSASAAGRPLRLRLSLSGTTPAATSASAVGRPLCFHLSCPPPAARGALPPPPAARGAPSPSPVARSASDTGRPGSSTSVAGRPLCLRHRPPGELHLRRRSPAALPERVCERDGMVERLPDWFEDRYDRWAMFFLIFFCWLDCHVGATCARSSQNHSKQCSGVLFVRF >ORUFI08G22400.1 pep chromosome:OR_W1943:8:22903763:22912895:1 gene:ORUFI08G22400 transcript:ORUFI08G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPQLNPSSSHHHTTTTSSSSSTQLYFASSSCIASLRRPSPPSLIAGAGCRTTRRRQQGRQRVVVRCASSSAASSASEAARRGTGSSDMAPAAVVKVKAVATIKVTVEGLLNSLRPSKAIDNIRDLIGRSLFLELVSSELEAKTGKKKATVHSYAHKVDDDDHGVVTYEADFDVPTGFGPIGAVVVTNELGQEMFLEDLNLTAGDGAGNSTVLPIRCNSWVQPKSSIDEGTPGKRIFFAKAYLPGQTPAGLRSYREEDLKQKRGNGAGQREADDRVYDYDVYNDLGNPDSNGDLARPVLGGSKQFPYPRRCRTGRPPSKKDPKSETRKGNVYVPRDEEFSEVKNAQFLLKTLQSVLHAAVPAAQSALIDNLSLNLPFPSFFVIDKLFEDGVELPGVEKLGFLHSIVPRLLELLRDSPGDKILLFDTPANVQKDKFAWLRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITADLLEEQMRRVMTVEEAISQKRLFMLDFHDLFLPYVHKIRSLDHTTMYGSRTIFFLTDDGTLRLLAIELTRPASPSQPQWRQVFTPSTDTTKSWLWRMAKAHVRAHDAGHHELITHWLRTHCAVEPYIIAANRQLSEMHPIYQLLHPHFRYTMRINALARSRLISAAGIIELSFSPQKYSMELSSVAYDKLWRFDMEALPADLVRRGMAEEDPTAEHGLKLAIEDYPFANDGLLIWDAIKTWVQAYVARFYPDADSVAGDEELQAFWTEVRTKGHGDKKDAPWWPKLDSPESLAHTLTTIVWVAAAHHAAVNFGQYDFGGYFPNRPSIARTVMPVEEPVDGAAMERFLDNPDQALRECFPSQVQATVVMAVLDVLSTHSTDEEYLGGEQTRPWNSDAAVQAAYAGFTARLKEIEGVIDGRNKDRKLKNRCGAGILPYQLMKPFSDAGVTGMGIPNSTSI >ORUFI08G22400.2 pep chromosome:OR_W1943:8:22903763:22912895:1 gene:ORUFI08G22400 transcript:ORUFI08G22400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHADPKSETRKGNVYVPRDEEFSEVKNAQFLLKTLQSVLHAAVPAAQSALIDNLSLNLPFPSFFVIDKLFEDGVELPGVEKLGFLHSIVPRLLELLRDSPGDKILLFDTPANVQKDKFAWLRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITADLLEEQMRRVMTVEEAISQKRLFMLDFHDLFLPYVHKIRSLDHTTMYGSRTIFFLTDDGTLRLLAIELTRPASPSQPQWRQVFTPSTDTTKSWLWRMAKAHVRAHDAGHHELITHWLRTHCAVEPYIIAANRQLSEMHPIYQLLHPHFRYTMRINALARSRLISAAGIIELSFSPQKYSMELSSVAYDKLWRFDMEALPADLVRRGMAEEDPTAEHGLKLAIEDYPFANDGLLIWDAIKTWVQAYVARFYPDADSVAGDEELQAFWTEVRTKGHGDKKDAPWWPKLDSPESLAHTLTTIVWVAAAHHAAVNFGQYDFGGYFPNRPSIARTVMPVEEPVDGAAMERFLDNPDQALRECFPSQVQATVVMAVLDVLSTHSTDEEYLGGEQTRPWNSDAAVQAAYAGFTARLKEIEGVIDGRNKDRKLKNRCGAGILPYQLMKPFSDAGVTGMGIPNSTSI >ORUFI08G22410.1 pep chromosome:OR_W1943:8:22913486:22917208:-1 gene:ORUFI08G22410 transcript:ORUFI08G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLLLALFLVALVSNGAAVHGAFNRFSFPEDFIFGTGSAAYQYEGAVNEGGRGPSIWDTYAHIPDGTISGGINKEGIAFYNSLINEVISRGLKPFVTIFHFDTPQALEDKYRSFLSENIDFVDYADVCFREFGDRVKSWNTFNEPMIFCAGGYGSGTKAPGRCSPYVSKKCAPGDSGNEPYVAGHNLLLAHAEAVRLYRQKYQATQKGQIGITQVSHWFVPYSDAAADKHAVRRSLDFMYGWFMDPIVFGDYPGTMRKLVGDRLPKFTAEQSELVKGSYDFIGLNYYTTNYAKSVLRRPSKLKPAYATDNWVNQTAYRNGVPIGPPAFTKIFFTYAPGLRELLLYTKRKYNDPDIYIAENGTDEANNSTIPIAEALKDDNRISFHYQHLRFTQLAIKEGVKVKGYFTWTFMDDFEWGDGYTGRFGLIYVDRETLKRYRKKSSYWFADFLKR >ORUFI08G22420.1 pep chromosome:OR_W1943:8:22923139:22930504:-1 gene:ORUFI08G22420 transcript:ORUFI08G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLLLSALLFIALACSSNRVHGALNRHSFPEGFLFGTGTSAYQYEGAVDKRGQNIWDTFSRIPGKIADGSNADIANDFYHRYKEDLNLITAMNMDSFRFSIAWSRILPNGTISGGINKEGVEFYNSLINEALEDKYGGFLSENIVKDYVDYADLCFSLFGDRVKLWNTFNEPTIFCMNGYATGIMAPGRCSPYASASCAAGGDSGREPYVAGHHLLVAHAEAVRLYRARYRAAHGGEVGITQVSHWFEPYDAGSAADRRARRRALDFMLGWFMHPVAHGEYPPAMRRLVGGRLPAFTAEQSEMLRGSFDFIGLNYYTSNYAVAAPPPNKLHPSYLTDNWVTAIASRLVHRLTRRSSLTIRRGYASCCCTSRGDTTIPPSTSPRTDETRIGFHYKHLQFVHKAIQEGVKVKGYFTWTFMDCFEFGDGFKDRFGLIYVDRATLARFRKKSSYWFADFLRR >ORUFI08G22420.2 pep chromosome:OR_W1943:8:22923139:22929615:-1 gene:ORUFI08G22420 transcript:ORUFI08G22420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLLLSALLFIALACSSNRVHGALNRHSFPEGFLFGTGTSAYQYEGAVDKRGQNIWDTFSRIPGKIADGSNADIANDFYHRYKEDLNLITAMNMDSFRFSIAWSRILPNGTISGGINKEGVEFYNSLINEALEDKYGGFLSENIVKDYVDYADLCFSLFGDRVKLWNTFNEPTIFCMNGYATGIMAPGRCSPYASASCAAGGDSGREPYVAGHHLLVAHAEAVRLYRARYRAAHGGEVGITQVSHWFEPYDAGSAADRRARRRALDFMLGWFMHPVAHGEYPPAMRRLVGGRLPAFTAEQSEMLRGSFDFIGLNYYTSNYAVAAPPPNKLHPSYLTDNWVTAIASRLVHRLTRRSSLTIRRGYASCCCTSRGDTTIPPSTSPRTDETRIGFHYKHLQFVHKAIQEGVKVKGYFTWTFMDCFEFGDGFKDRFGLIYVDRATLARFRKKSSYWFADFLRR >ORUFI08G22430.1 pep chromosome:OR_W1943:8:22932772:22944244:1 gene:ORUFI08G22430 transcript:ORUFI08G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAADDDYMGDLSHFLPPPTPSSPSRSLGRRRQPPAQQAQGQGKRAKGVVPWQERRRRDRERKQREEDERTMAGLAEAIPETNVGFRLLKRMGYDPAAGGGAGAEPVGIEIRRSRAGLGAEPAVSVAPPQPSAEVAEGERRRVEEMAVELRARQSTQWRNRRVVWDYRKAEAALAQLENREAAPPPPEGEEKAEEEEEEVITEESRCNNWDVTKFGPCYFFLICKIYWLSCAMNTTIAFIAVASMNQQKHWQMNVLGQMKMTINSLCLGQQRMVLVTTGDDSDLQVAVNDILGPILRITFHISVSHLNVRNDNSLAAA >ORUFI08G22430.2 pep chromosome:OR_W1943:8:22932772:22939071:1 gene:ORUFI08G22430 transcript:ORUFI08G22430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAADDDYMGDLSHFLPPPTPSSPSRSLGRRRQPPAQQAQGQGKRAKGVVPWQERRRRDRERKQREEDERTMAGLAEAIPETNVGFRLLKRMGYDPAAGGGAGAEPVGIEIRRSRAGLGAEPAVSVAPPQPSAEVAEGERRRVEEMAVELRARQSTQWRNRRVVWDYRKAEAALAQLENREAAPPPPEGEEKAEEEEEEVITEESRCNNWDVTKFGPCYFFLICKIYWLSCAMNTTIAFIAVASMNQQKHWQMNVLGQMKMTINSLCLGQQRMVLVTTGDDSDLQVAVNDILGPILRITFHISVSHLNVRNDNSLAAA >ORUFI08G22430.3 pep chromosome:OR_W1943:8:22943683:22944309:1 gene:ORUFI08G22430 transcript:ORUFI08G22430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQTTDASHLGVVASGGDGQGRHNCLKNLQFVSLFVLARSVREVVETKAGDLRSLLCEGCTTAKSLSDDGITSIFSGVHGFGFSPWYTFTIALVEVTSTQ >ORUFI08G22440.1 pep chromosome:OR_W1943:8:22937502:22941287:-1 gene:ORUFI08G22440 transcript:ORUFI08G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASGGGAAAAAGGGVGGSGGGGGGGDEHRQLHGLKFGKKIYFEDAAAAAGGGGTGSGSGSASAAPPSSSSKAAGGGRGGGGKNKGKGVAAAAPPPPPPPPRCQVEGCGADLSGIKNYYCRHKVCFMHSKAPRVVVAGLEQRFCQQCSRFHLLPEFDQGKRSCRRRLAGHNERRRRPQTPLASRYGRLAASVGEEHRRFRSFTLDFSYPRVPSSVRNAWPAIQPGDRISGGIQWHRNVAPHGHSSAVAGYGANTYSGQGSSSSGPPVFAGPNLPPGGCLAGVGAATDSSCALSLLSTQPWDTTTHSAAASHNQAAAMSTTTSFDGNPVAPSAMAGSYMAPSPWTGSRGHEGGGRSVAHQLPHEVSLDEVHPGPSHHAHFSGELELALQGNGPAPAPRIDPGSGSTFDQTSNTMDWSL >ORUFI08G22450.1 pep chromosome:OR_W1943:8:22959317:22959646:-1 gene:ORUFI08G22450 transcript:ORUFI08G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATRELEGLLPPLFTSSTAFDVASFNHQPVLQAEAFFPLIRLVHSHWTIGFGGLEAARFSSPELKATATGSSDGGGTSSLMKKQINIANMDARGVASAGSKGSGGAA >ORUFI08G22460.1 pep chromosome:OR_W1943:8:22966754:22969108:-1 gene:ORUFI08G22460 transcript:ORUFI08G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDSSDRASLLKIKEQLGNPDELSSWLPATNCCSWDSGIICSDTGHTIQLEAMAGMYGPIPSSFAKLCHLQFLFISGTSISGSIPDFLVKTNLSALSITNSKLNGSIPESLSLLPNLRVIDLSGNMLTGSIPPGFSLERYQMTMAMETLTPLISHTTSSSVINPLFLFDIAKPMAKIDLSWNRLEFDMTKVRFPHHLNYLDLSHNSIKGRVAKSLKDINLKFCNVSYNELCGEIPTGRYMAYHGADCYVHNKCLCGSPLPPCKNGKPNILTPFTIGISLLILPHLFPLDRIASLDQSQNRILYLKILGALKVQDICWDSDPEHGLDSRV >ORUFI08G22470.1 pep chromosome:OR_W1943:8:22970872:22975897:1 gene:ORUFI08G22470 transcript:ORUFI08G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHHRPHSPPPSDDDVVVIQMNAAAIAAVDEWSSTNEVDDAAAGKGGGLTRRTFSQAYKMKHRTPLEFTWRQVALLSFQSLGVVYGDLGTSPLYVFSSISLDDPGEADFVGILSIILWTFTMICLVKYVFIVLKADDHGEGGTFALYSLLRQHVNFKGNMPVPVTHLASDINLKFHSKKRILTSKLLKFLEQSTKWQAVITYIVLAGTCMVLGDGALTPAISAVQGIQSRSSSITQAHVVLLSVIILFILFFFQKHGTSKVSFTFSPIMILWFTFVAFIGLYNIIKHYPPILKAVSPHYIIIYFIRNKRAAWETLGAIVLCITGAEAMFADLGHFNKSSIQMAFSVIVYPSMILAYAGQAAFLVKNPSKLSTTFYSSTPEPLFWPMFIIATLAAIVASQALISASFSIIRQSIALGCFPRVTMKHTSGKHEGQVYSPEINYFLMVACILITVGFKGGPEIGQAFGVAVIFVMLFTTNLMTVVMLIIWESNIALASLFFVFFFSIEGIYMTSLMNKILQGGWVPFAITAFFLIITLSWTYGRSKKGEYELANVMEREEFIKTVTTRSRVPGVCIFCTDMMNGIPPIVRHYVQHVASLRELMVFVTIRVLPVRTVLPEERFIIDKLEPVGVYRCIVQYGYMDNHNMEGDDYVASVIASLKEIAENDDEILVLDSALINGSTFVLGRTIIKMGTRHNCLKRFFINNLYRFLQKNFRSNMSSLKINPGKTLQVGMLYEI >ORUFI08G22480.1 pep chromosome:OR_W1943:8:22976461:22977996:-1 gene:ORUFI08G22480 transcript:ORUFI08G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRPEEAAAAAPPWSRLEGRVVLVTGASSGLGREFCLDLARAGCLVVAAARRADRLRSLCDEINASAPRASAAAAAVELDVASGGPALEAAVQSAWDAFGRIDVLINNAGLRGGVHSPLDWPEDEWETLIKTNLTGSWLVAKHVCRRMRDAKLKGSVINISSVSGLNRGHLPGSTGYAASKSAMHYATKLMALELGAYGIRVNSIAPGIFKSEITAPLLQKKWLSTVVSKIVPLKTHGTTDPALTSLVRFLIHETSSYVTGNIFIVDSGATLPGVPIFSSL >ORUFI08G22490.1 pep chromosome:OR_W1943:8:22978860:22982677:1 gene:ORUFI08G22490 transcript:ORUFI08G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQPLDSSGTDDDLPPTYQPRGPRVIFNGNGSLPQPNLHSNVDREIRQIEQQAYTGVLRAFKVQSDAITWEKESLITELRKELQISDKEHRVLLKGVTEEEAVCRIRQSRQTGGTQSSSHHSSVVHTPVPAKRQKKSHSVPVTPQAPVITMHAVVGKKLFYEGKGRANQGLLYQGGRQASDRVLKRLPSNNSPMLGSSRRRGRLHPNELIKRYSPLDGFGIPNTGNVVMEVEKVLSNPNMLEIEKAMKLLRDQEQSLLDAIARLDEASDGENEITALKIERR >ORUFI08G22500.1 pep chromosome:OR_W1943:8:22983711:22986515:-1 gene:ORUFI08G22500 transcript:ORUFI08G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARSGDPGVSPESSSAAAAAAGSGGGEIWGTSEDLLLACAVSRHGTASWDAVAKEMQSRCPSAAVFTPTTCRLRFRVLHRRFSGGVTAENEDADGGEEEEEADAAAVAGWVEELRELRVAELRREVEKYDLSIGSLQSKVKRLKEEREKSISGETKPPPAAAAVKEEEEDVRKGSPEEAGAVEDRVSGHESGRSCKESNSSDLKRPENDPAVADDDDREAEEEEEAAAAPAAGDIAVKEEASGESVAGSKEADVEKESSDVQSSASPSRRRRRKGGGGGEEAEAASPSVSVPLPAAEAEPLVAFLESVRTSKAGAVFERRLDSQDGERYSGTIRRHVDLETVRSRLVGATAAAAAAACYASASEFYRDMMLLCANALVFFPRGSPEHAAALQLRALVSKQVSKDRQPHAGAKAPAAAAEEEEKKKPAKADADIAGPLLEKAPIIVCRKRSSIAKAAAAAAKGEKAEKAETDKKEKDGSEEKKKAAAAATTATAAATAKDKKARGMRTNKSRGPARNQKTAKLSETGEGTKKSDKKGGGGGGSSSAGAAAGGVAKKRNAVDFLNRMNQNGSPSTERVSLLETLKLSAAATEQQKKSSSSSSGKGDGRKEAGGSGSKKGAAASTPPGRRIGRPPKRAAAPPTPPPSKRAKDDKPTRKRGKK >ORUFI08G22510.1 pep chromosome:OR_W1943:8:22990519:22991655:-1 gene:ORUFI08G22510 transcript:ORUFI08G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDDDRPLLRARVPIGVLGLPLHSGLSAGGDPRELRLDLSTAFSFGPAIRLSYRPNDPALPFSVSVRAGVGPLGSPARPPFSLAAEFNLLSGNPGSPAFFLLLKPRLGDFSLSHTLRSSPHPGNKVGEVSDGDGHGREVNYKAFSFAAAGKSGGGGGALLSGMRLTTRSVLPLWGRASLRFNWGLRAPPELQAALAADDAMVGASRSRKGGARVPVSKMPLLVIDKISIEQSPRAADKTRGNADSSPPAPAIAAAADADAADGTGRGGEGFSLVRRQLEALNAESGMLRRAVEDLRAEVGSRRAAVSTAGAPDTWRTPPAPPQPAQPYHYSSPVKPDRRGSGKDMAAAENATKPSSDELGDELKRALEARLR >ORUFI08G22520.1 pep chromosome:OR_W1943:8:22993003:22994878:-1 gene:ORUFI08G22520 transcript:ORUFI08G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNPARGRRVTTMATDPERPGSRRRRRRGGTEGWRRRQKTTAVVDGVFDSKTRWRRGGPGREDNGGGVAHRGGTGGGATQRSGAGGKMRRRAGSGGAEERISGSSTWEGERGKAWDMRGAHKWLGLFGWPNLACARSTLASRMAWPGSRMQEG >ORUFI08G22530.1 pep chromosome:OR_W1943:8:22998476:23001434:1 gene:ORUFI08G22530 transcript:ORUFI08G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRPAHARHMESNAARAAAAAAGEGVGDHDDDGEEEEEEEKWREALAAAWGQSRAKREAIRARYAAVKDMIRAEKDGADMRRLGVAMGEIKQLHHKVQRPKEQVADGEALLELVNSLAITAKSKKKDGPTPSEFVTSLLTKFGVRASLLDASIESFSCSDLGAMASPLFMTATGCQTMNGALNLAIEERRKRVARRLFDRFPSKPAGLYETTPDLDERNDTDKNMAVMFKLLRKNKCVKLENLILNRQSFAQTVENIFALSFLVKDGRVEIDVDDKGNHFVVPRNAPAAELITSREVINSQYVFRFDTKDWKIMEGVVEPGDELMPHRQNNIGEHYNNAKSYSASEPQRKRDEFAQGEGMDETLIKPCAEDVILKRKRRSEAESLKHWFSSCKWQ >ORUFI08G22540.1 pep chromosome:OR_W1943:8:23002113:23004375:1 gene:ORUFI08G22540 transcript:ORUFI08G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAAAAVDAYQHNTLIRAAADHRSLLLAFRAMLREGVAADHFTFPFALKALAQAHHRSPPPCAAAPATATTTLGCLHAQMVKSGHGANVYAASALVHAYVSRADAASARAVFDAARHRNVVTWTAMIAGHAAAGEAREAVALFREAVARGQEVNGITVAQVMGACAQSRDLESGRWVHATLRRWGVEPILLDVALATAVLHMYASCGGLDAAFEVFDKMPRRNEVSWNAMAEVCSRHGRQDKVLEVFPGMHSVGMKPDKVTWLSILRACTSKGAAGLGQGVHAYMEKTIGHRHVAVCTSLMDMYSKIGNARSALQIFQCLKRKDLMAWTSMIIGLAKHGHGKDAVQLFNQMQHGGVVPDHVAFVGVLTACSHAGMVDEARKYFDSMWNHYGIRPTIKHYGCMIDLFSRAGRLAEAEGMMQMMPIQPSVTMWGSMMNGCKVHGRADIAERVGRQVAELNPQFGAIYVIMSNIYAEIVLPSVQLLTLYVAWKERGTVEPANELYSGNGEQYRNTRYRSTKRELV >ORUFI08G22550.1 pep chromosome:OR_W1943:8:23009258:23011129:-1 gene:ORUFI08G22550 transcript:ORUFI08G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALWEMLGEEMAAAAAAAGEHGLPPGFRFHPTDEELVTFYLAAKVFNGACCGGVDIAEVDLNRCEPWELPEAARMGEKEWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREGVAAAAAGGALIGMKKTLVFYKGRAPRGEKTKWVLHEYRLDGDFAAARRSTKEEWVICRIFHKVGDQYSKLMMMKSPASYYLPVSHHHPSSIFHDLPPVPFPNPSLVPFHHDLPTSFHPPLLQHSHANSKNSSSNNGGFVFPNEPNTTNSSDNHISCNGAMAAAAAAAFPSFSCASTVTGKGGPPAQLGVNAGQQEPPPPTWMDAYLQHSGFIYEMGPPAVPRGA >ORUFI08G22560.1 pep chromosome:OR_W1943:8:23013135:23017530:-1 gene:ORUFI08G22560 transcript:ORUFI08G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHHQALDLHLLLSPIPKPLLATTLPFKCARTLQAALAVPIRSQFHAAIWEKYNTIINCLLWKHGVGAQVEAVGVGHQTRTARMKGSGADLAVNKVKNDNRQVDWRLPAIKGPQRGDGRRSSHCVDSLSPGGGVLSTPRFLPLKDCKMVQISCYFIASSISTLHSTLVLASPRSGLQPLVHGHGNRWVVRIHLLSYHLDKTDMRGEGTMYLQKPLYFRTEAWVVEMTTLQGQLWQVKVADQSGKTSTGALGSRYDDE >ORUFI08G22570.1 pep chromosome:OR_W1943:8:23024193:23024715:-1 gene:ORUFI08G22570 transcript:ORUFI08G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAILETIKPRRSAERENLPVTNATGKGRGGDHLIGLRRRMSSFSVRIQPLMSSAGAGGAFRRATSMPSVKALAAQAGAVRRWWGWGLGWVMNRRPAFARDLEMNDDEAAALGCHCRGTWRHVFYRLRAGARRLLGRDGLPLSAHDFRYDSVSYAHNFDNGDAAAAARPEP >ORUFI08G22580.1 pep chromosome:OR_W1943:8:23027975:23031655:1 gene:ORUFI08G22580 transcript:ORUFI08G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWLGFFRGAGDNIFDAIAVAASDHPAALRSRRDAFAQRLYMLLHPEGAASIPSICSSDRADVIADDGGVPCREDPVAAETERIKDVLLNDQERQSLPLPDTLTVRRPLPHPLIHSSMPNQLIPAISLLSTTNCFSPKHRWPEDVAWHPGGELIFAIDHAVNKKMIHGTTRKCTRICILLLSWVLLDYSKKNAILSVGSDKRIIPFDLAAGRAGSKISYKIVFLLCPIPTLLKKEG >ORUFI08G22580.2 pep chromosome:OR_W1943:8:23027975:23031655:1 gene:ORUFI08G22580 transcript:ORUFI08G22580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWLGFFRGAGDNIFDAIAVAASDHPAALRSRRDAFAQRLYMLLHPEGAASIPSICSSDRADVIADDGGVPCREDPVAAETERIKDVLLNDQERQSLPLPDTLTVRRPLPHPLIHSSMPNQLIPAISLLSTTNCFSPKHRWPEDVAWHPGGELIFAMYSTDNGDSQVSVMNRSISGQVRTLLEVAHFFTSIASDHAVNKKMIHGTTRKCTRICILLLSWVLLDYSKKNAILSVGSDKRIIPFDLAAGRAGSKISYKIVFLLCPIPTLLKKEG >ORUFI08G22590.1 pep chromosome:OR_W1943:8:23032241:23032887:-1 gene:ORUFI08G22590 transcript:ORUFI08G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVAYRKLSPASRRGGGAARAWVALLRRAAAGVAARLQRAARRRCVGVGCGGARRLTWAGLCVGRGVAVAAPARRISSAAGSYDPASYARNFDDGVWKAEEGCAGAARFAGANVNAD >ORUFI08G22600.1 pep chromosome:OR_W1943:8:23036099:23038202:-1 gene:ORUFI08G22600 transcript:ORUFI08G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVGVEAGVFDTVDGLVGEVMRLHRSLPARPAVEEVEAAEALAAAADREERARADAVARLRRSPAVPDELLCVAQEMHRALAGFQCREQKRDAARLLELEALHTLFDDLIQRASQCLPSTSTRAAPRIAAPAAATTTTSTAAAGSSSSSAVGNAERHASSGTNGFTASRVAGTSTSTGRVSMDDSYVRKAKAAMWDGGAAATNSHLPRGPVEANSVAVRADGNYGDDNEKLSLIKLASMIEVSAKKGARDLNLQGKLMAQIEWLPDSIGKLTGLVTLDISENRLLALPDAIGKLFSLAKLDIHANRISQLPESIGDLRSLIYLNMRGNQLSSLPSSIGRLLNLEELDVGSNGLSSLPDSIGSLTRLKKLIVETNDLDELPYTIGHCVSLVELQAGYNHLKALPEAVGKLEPLEILSVRYNNLRSLPTTMASLTKLKEVDVSFNELESIPENFCFATSLIKLNVGNNFADLQYLPRSIGNLEMLEELDMSNNQIRVLPDSFGNLKHLRVLRAEENPLQVPPRDIALKGAQAVVQYMSDASKRTTKSEPMKPKKTWVHFCFFSRPNKRKHDRIDNAT >ORUFI08G22610.1 pep chromosome:OR_W1943:8:23043344:23044823:-1 gene:ORUFI08G22610 transcript:ORUFI08G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDRFVKELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKIERENLEKEKSVLMGTASSQDNQDGALEITVSGEKYRCLRFSKAKK >ORUFI08G22620.1 pep chromosome:OR_W1943:8:23046359:23049944:-1 gene:ORUFI08G22620 transcript:ORUFI08G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKSSTQASPMAAAAASLLLGLLLVLPVPAVSSSDAEEAVVSRFREYLRIDTAQPAPDYAAAVAFLRGQAGAAGLEARTLELVAGKPLLLLRWPGRRPSLPSLLLNSHTDVVPGTTRPSPPPSTRHPAASTRCVGMQYLEAIRRLRSAGFIPDRNIYLTFVPDEEIGGHEGIEAFVASKEFKDMNVGLVLDEGLASPGEEYRVFYGERSPWWLTIKAKGAPGHGAKLYDGSAMENLMKSVEAIRRFRTSQFDLVKSGAKAEGDVVSVNFAYLKAGTPTPTGFVMNLQPSEAEIGLDIRLPPSVHTEALEKRLAEEWAPSSRNLTFEFKQKTSVLDNFGKPAVTPADSSNVWWALFEEAVKRAGGKLGKPEIFPASTDARYFRVLGIPAFGFSPMTNTPILLHDHNEFLSKDEYLKGIGIYESIIRTLATLKDSNVDYESRAEL >ORUFI08G22620.2 pep chromosome:OR_W1943:8:23046359:23049944:-1 gene:ORUFI08G22620 transcript:ORUFI08G22620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKSSTQASPMAAAAASLLLGLLLVLPVPAVSSSDAEEAVVSRFREYLRIDTAQPAPDYAAAVAFLRGQAGAAGLEARTLELVAGKPLLLLRWPGRRPSLPSLLLNSHTDVVPSEPHKWDHPPFSAALDEASGRIYAHTTFNMDMKCVGMQYLEAIRRLRSAGFIPDRNIYLTFVPDEEIGGHEGIEAFVASKEFKDMNVGLVLDEGLASPGEEYRVFYGERSPWWLTIKAKGAPGHGAKLYDGSAMENLMKSVEAIRRFRTSQFDLVKSGAKAEGDVVSVNFAYLKAGTPTPTGFVMNLQPSEAEIGLDIRLPPSVHTEALEKRLAEEWAPSSRNLTFEFKQKTSVLDNFGKPAVTPADSSNVWWALFEEAVKRAGGKLGKPEIFPASTDARYFRVLGIPAFGFSPMTNTPILLHDHNEFLSKDEYLKGIGIYESIIRTLATLKDSNVDYESRAEL >ORUFI08G22630.1 pep chromosome:OR_W1943:8:23052998:23055748:1 gene:ORUFI08G22630 transcript:ORUFI08G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGGGAGGGGRGPRDMRLTMQEAAKKLSLWDSATFRPILTHVELEPILAAAGFVALPTPPPPTAAPADDDAGRRRGGRGAAGGYAFVGVAAAGRRRRSGNAAVAAGVGWLGPRPRLPCPRVDALHLRTYQAFLGAVEFYLGALRVASLFHVRCMPVTTAQDRVFDKVFRVMRSHGVEDDGLIVYRDGTLDDATYAVCSEHSPVQDVGYHVIPGNTCVELGYLKSGRIAGNCDEETCCRGGAAAADIARARKLEPQ >ORUFI08G22640.1 pep chromosome:OR_W1943:8:23056932:23058077:1 gene:ORUFI08G22640 transcript:ORUFI08G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTELKVEMVALHEKRVRKCLSKVKGVERVEVEGSLQKVVVTGYANRSKILKALRRVGLRAEPWSPRNELLSAYAAGSLMAANNYYHTFF >ORUFI08G22650.1 pep chromosome:OR_W1943:8:23058856:23064865:1 gene:ORUFI08G22650 transcript:ORUFI08G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGELAAEKHVRYIVTVEKRKDSFESLVMEHIRLNGAYWGLTTLDLLHKLRAVEADEVIEWIMSCYHPESDIAGLQNEDGSFSGDIWGEVDTRFSYIAICTLSLLHRLEKINVQKAVDYIVSCKNLDGGFGAMPGVFCCVGALAITGSLHHIDRDLLGWWLCERQCKEGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKDKLAKFILNCQDKENGGISDRPDNAVDIYHTYFGVAGLSLMEYPGVKPMDPAYALPLDVVNRIFLRKEH >ORUFI08G22650.2 pep chromosome:OR_W1943:8:23058765:23064865:1 gene:ORUFI08G22650 transcript:ORUFI08G22650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGELAAEKHVRYIVTVEKRKDSFESLVMEHIRLNGAYWGLTTLDLLHKLRAVEADEVIEWIMSCYHPESDIAGLQNEDGSFSGDIWGEVDTRFSYIAICTLSLLHRLEKINVQKAVDYIVSCKNLDGGFGAMPGVFCCVGALAITGSLHHIDRDLLGWWLCERQCKEGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKDKLAKFILNCQDKENGGISDRPDNAVDIYHTYFGVAGLSLMEYPGVKPMDPAYALPLDVVNRIFLRKEH >ORUFI08G22660.1 pep chromosome:OR_W1943:8:23062972:23065850:-1 gene:ORUFI08G22660 transcript:ORUFI08G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEQGLMAGRDLFGMPKSPPAAAAAPPQSVRMAYTSDGTPVFAPVSAAVSAPPGYQPGGAAGGNGAAALADSGGEPVAKKKRGRPRKYGPDGSMSLGLVTSPTAAASTPVAQGVPGPFSPTQPKPPASFLSSVSPDGVKKRGRPKGSTNKPRIDAVGSAGVGFTPHVITVLAGEDVSAKIMSFAQHGNRAVCVLSANGAISNVTLRQTATSGGTVTYEGRFEILSLSGSFLLTDHGGQRSRTGGLSVSLAGPDGRLLGGGVAGLLIAATPVQIVVGSFNSEGKKEPKQHAHSEPASAPSKAVPTAGMGPNSPPSRGTLSESSGGAGSPLHPGIAPPSSNSQPPFLSSMPWK >ORUFI08G22670.1 pep chromosome:OR_W1943:8:23066448:23071488:1 gene:ORUFI08G22670 transcript:ORUFI08G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTHSSRTHMRKNRHLAHFQETYSKAEHDNYEKSKLELIYMGLTYATLPLRPNQYEPNVAH >ORUFI08G22680.1 pep chromosome:OR_W1943:8:23073723:23076413:1 gene:ORUFI08G22680 transcript:ORUFI08G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTTTTTATTTHLCRGLSSSAAAAKPWRATTLRCGAAARVEGLGRREALLGVLLSTATAASAPVAAVAATAELQEGFRTYEDEANKFSIAIPQDWLIGAGEVSGFKSVTAFYPDQVADSNVSVAITGIGPDFTSLKSFGDVDAFAETLVNGLDRSWKRPPGVAAKLINSRAANGFYYIEYTLQNPGEQRRHIVSAIGMAFNGWYNRLYTVTGQYIDEDGDVDKYRAQIEKCVQSFRFT >ORUFI08G22690.1 pep chromosome:OR_W1943:8:23077476:23079552:1 gene:ORUFI08G22690 transcript:ORUFI08G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHHQAAAAPVTTTTDGGELRAMDLYEKLEKVGEGTYGKVYKAREKATGRIVALKKTRLPEDDEGVPPTALREVSLLRMLSQDSHVVRLLDLKQGQNKEGQTILYLVFEYMDTDLKKFIRAHRQNLQKIPVPTVKILMYQLCKGVAFCHGRGVLHRDLKPHNLLMDRKTMALKIADLGLSRSFTVPLKKYTHEILTLWYRAPEVLLGAAHYSTPVDIWSVGCIFAELATNQPLFAGDSEVQQLLHIFKLLGTPNEQVWPGVSKLPNWHEYPQWNPSKVSDLVHGLDADALDLLEKMLQYEPSKRISAKKAMEHPYFNDVNKELY >ORUFI08G22700.1 pep chromosome:OR_W1943:8:23084325:23089141:1 gene:ORUFI08G22700 transcript:ORUFI08G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRRRAPLPPPPGRVQAGDALPLPIRHTNLIFSALFAASLAYLMRRWREKIRSSTPLHVVGLAEMLAIFGLVASLIYLLSFFGIAFVQSIVSSSDDEEEDFLVGPARGSSAAAAVAPQPPPSSPAQCSLLGSPHDDAARERMPEEDEEIVSSVVAGKVPSYVLETKLGDCRRAAGIRREAVRRITGRQIEGLPLDGFDYASILGQCCELPVGYVQLPVGIAGPLLLDGQRFYVPMATTEGCLVASTNRGCKAIAESGGAVSVVLRDGMTRAPVARLPTARRAAELKAFLEDSVNFNTLSMVFNRSSRFARLQGVQCAMAGRNLYMRFSCCTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKPAAVNWIEGRGKSVVCEAVIKEDVVKKVLKTNVQSLVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSHCITMLEAVNDGRDLHISVTMPSIEVGTVGGGTQLASQAACLDLLGVKGANRESPGSNARLLATVVAGGVLAGELSLLSALAAGQLVKSHMKYNRSSKDMSKVIS >ORUFI08G22710.1 pep chromosome:OR_W1943:8:23092124:23093949:1 gene:ORUFI08G22710 transcript:ORUFI08G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRGWSRTVGNTRSFVGNALGGLRGWSNLASWAVAGTLAYYLWERAALAAASDPYRYVEKRKPIPDPQDTGLIYGKKKDPTKSDN >ORUFI08G22720.1 pep chromosome:OR_W1943:8:23094734:23098548:1 gene:ORUFI08G22720 transcript:ORUFI08G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPDAAADDPSPSPSPNPPPPPVAWPEDGALTREWVAGLTATLDWCSRSLPADRLPDVLPAALVQRLVLAASVILHREPNLVRVDPRPDQAVVVVGDVHGQLHDVIFLLRDAGFPSDDRVFVFNGDYVDRGAWGLETFLLLLAWKVLLPNSVFLLRGNHESKYCTSVYGFEQEVNFKYKGQGPQVYRKFLRCFEDLPLATIIAGCVYTAHGGIFRGTIVMPSKRTKKGKKGNKYKANSSVDPTLMKLGSLDELLKARRTVLDPPWEGSNLIPGDVLWSDPSLEMGLSSNKERGIGLLWGPDITQQFLYTNNLKLIIRSHEGPDARDKRHDLLGMDNGYTIDHHVECGKLITLFSAPDYPQFQASEDRYNNCGAYIVLNPPDFSTPVFHSFEAVKPRPKANPYYDFEDVIDSDEELNLGAMDDGSASS >ORUFI08G22730.1 pep chromosome:OR_W1943:8:23098830:23099159:-1 gene:ORUFI08G22730 transcript:ORUFI08G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRPAFAWLTSSTSSHATRHPASPAASPAHAYVASATFRSISSDSAASFLAVPLYLHLTNATTNRRLRCRCEHSRTDAGDPSVRTPAAAAAEKREVRDGDATGNAEK >ORUFI08G22740.1 pep chromosome:OR_W1943:8:23100944:23101338:1 gene:ORUFI08G22740 transcript:ORUFI08G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAARIASIAGCGDGGALRRPRCQIRRLFALYSGDLHLQIRVVYSPPCAKNGMEDWIEELLAIECS >ORUFI08G22750.1 pep chromosome:OR_W1943:8:23102102:23107784:1 gene:ORUFI08G22750 transcript:ORUFI08G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVTHLHRLSHRAIPSPPPSRPPTTTSLPFLLSRRLLSDDAAPPPAADVPNKELKRRLETYYGVDDEAELPSVTEAVLERKLADVHSETDDELIEELRSKPLPEVRDRDFESDFEEMHDTDEELDNLYNARQYVEKKIKSDEFFNMDDDKWNKMIKKAVDNGHLGNMNECENILEDMLHWDKLLPDEIKKKVEAKFNELGDMCEKGELEPEQAYELFKEFEDKMVSECTELMEAENPTDVDEFSKMENKSVKLDDPPGEGPVLRWESRIVFAPGGDAWHPKNRKVKLSVTVKELGLSRHAFRRLREVVGNRYNSGKDELTITSERFEHREENRKDCLRTLYAVVEDANKANKLADDARNAYVKNRLKANAQFMERLKVKTQKLRVAA >ORUFI08G22760.1 pep chromosome:OR_W1943:8:23108572:23108988:1 gene:ORUFI08G22760 transcript:ORUFI08G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLSSLFSAAAPLAGGAGVRTLGSPASVRPISHRQRRRRLVVASVKWRYKGTARKEAALSELIERKVAEATEACAGEAAGDAGCRVAWDEVEEVSQAKADLRRRIAEGADDPLEPFCSHNPLADDCAVVYDDDDE >ORUFI08G22770.1 pep chromosome:OR_W1943:8:23119091:23127138:1 gene:ORUFI08G22770 transcript:ORUFI08G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFSRVGSGRSWGAMAAEAAASSGGGVEVREAELAVEEAPAATSEGRVGEAVSDPFVVAGGDLSGEASNLEAKPDPAVLGEGGEAVESNAAPGCAETVHEEDGVDGGCEAGAVEEEDAPMAIGHVLTEAPVANGHVHSEAAPATETAEIAGSVEDGMNGRIADEHDHPDTSIAESKGCVVEESKGCVVEEVNGKEAAPEIADSSVLVEEGVDGWELEGVDDRIAGEHDHTYTSTVESEVHDDSLIKQVDADATGLMEQEAISSEQDGSDVPIENGHTHVGVSADCGEGAKSDVQVDQSNVEEANANSAKPVEEVAALIQDGLGGPVSNGHGHVDASGHGYVTGADLDVKGSNSKGEDTETFEELVTATVDYDRSDIAMANGHDQVERSFDSGEVETKSEVCDSKEKSGECATDAMELVKQEATTGEQGTEAVSVVNGCDHPNTNADSDEAPMQILVTSKESGIVQSVVEVVESVHLEGTLKIDQQIEGDQKVANKKVTEEEILTNGYEQGDAKVANKEVPEEEILTNGHEHVEESAGITSVLEPFVGDGQQDFIAVNLLENRADDNREDALEDAFTSGIDEAAITSGVDEAAMEADASTVEKNDDTAIDGTETKEKHEKTNDDILQGLDLSKDNVECGVNGDEVSTFQPVESISCSTVEIEREEISDQQQTSASLQDAEQALSATNGNHLSDKSELKQESDMEDIDGANLCADPGVVPALHGETTSSDLADNDGAEVENSTPACDLGASSGAPTGDNDSKENSAAAVAQVEEDVPSQDDAQVEEDVPSQDDDNCPADGAPGEICSENANAFTTSSCVAETEYVQDIASTTVDIIHDKHNDDDENINTDITGNHSEPKLETNVDNEDRGDIQVIKPYPVYLMKVPRFMSESHWEKIQDAQICLDELTQKRDAINVLRQKKKALCDDYREKLEAARQEERGARTAHGDKRNDLNSVQSMIGRMNRANSIQEIDDMIAMKEKIIAHESISLKEEKRLLQDIKELKAQKKQLSSNMGSKAEMGEAFEQKEHIHEQQKILKKDSDVLLTNLKSLEDKTRFIKKAFDDERDALRKLTEEHQAAHEVRQKAYDEWFELKKEPGRKNKFFFMYRKDSRAAKEYVDNGDMKGLVLFCNNQVESFMVLWNKDDDFRRQYVESNKNSTLRRLGTSDGRKLGPDEVPPEIPRYSNRMQSNPPLLPVPSTHASASASEATPAKPASPVTVVEEKTFPVLQSSQSSKPSKPKVVGNSSSKDTPGAPIPEREDVEKSEKEKKRRTEQELELSRQAAELAIREEELRQEKAAAEKERLRLEQKAKAKEAEERKRRKAEKALERAEFRAKKEAELMEKRRAKRDRTRGSTSADSGSGSGEANAEATVTNDADSSTIENSRGVDLSQHRALKKRPPTLKQLNKMEPMPLPLRNKGRRKMRQYIMVAVAAVISKCTSIDDERPAECRLDNGHRLTDSGSM >ORUFI08G22780.1 pep chromosome:OR_W1943:8:23139356:23145203:1 gene:ORUFI08G22780 transcript:ORUFI08G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREVGPQVAPPMFLHQIQPLPPHATAAKKRGNPWPAAAVAAAEAKGGGNWNPRMWDWDSRALTAKPSSDALRVNAGLSHHQQQQQQSPPAAAKAAEALRQGGGGSGGLNLQLGLREDAATPMDVSPAATTVSSSPSPPASSAPAQEPVVRPSKRVRSGSPGSASGGGGGGGGGGNSGGGGGSYPMCQVDDCRADLTNAKDYHRRHKVCEIHGKTTKALVGNQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPTDVASQLLLPGNQENAANRTQDIVNLITVIARLQGSNVGKLPSIPPIPDKDNLVQIISKINSINNGNSASKSPPSEAVDLNASHSQQQDSVQRTTNGFEKQTNGLDKQTNGFDKQADGFDKQAVPSTMDLLAVLSTALATSNPDSNTSQSQGSSDSSGNNKSKSQSTEPANVVNSHEKSIRVFSATRKNDALERSPEMYKQPDQETPPYLSLRLFGSTEEDVPCKMDTANKYLSSESSNPLDERSPSSSPPVTHKFFPIRSVDEDARIADYGEDIATVEVSTSRAWRAPPLELFKDSERPIENGSPPNPAYQSCYTSTSCSDHSPSTSNSDGQDRTGRIIFKLFGKEPSTIPGNLRGEIVNWLKHSPNEMEGYIRPGCLVLSMYLSMPAIAWDELEENLLQRVNTLVQGSDLDFWRKGRFLVRTDAQLVSYKDGATRLSKSWRTWNTPELTFVSPIAVVGGRKTSLILKGRNLTIPGTQIHCTSTGKYISKEVLCSAYPGTIYDDSGVETFDLPGEPHLILGRYFIEVENRFRGNSFPVIIANSSVCQELRSLEAELEGSQFVDGSSDDQAHDARRLKPKDEVLHFLNELGWLFQKAAASTSAEKSDSSGLDLMYFSTARFRYLLLFSSERDWCSLTKTLLEILAKRSLASDELSQETLEMLSEIHLLNRAVKRKSSHMARLLVQFVVVCPDDSKLYPFLPNVAGPGGLTPLHLAASIEDAVDIVDALTDDPQQIGLSCWHSALDDDGQSPETYAKLRNNNAYNELVAQKLVDRKNNQVTIMVGKEEIHMDQSGNVGEKNKSAIQALQIRSCNQCAILDAGLLRRPMHSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRFNSGRSFKWERLDFGTI >ORUFI08G22780.2 pep chromosome:OR_W1943:8:23139356:23145203:1 gene:ORUFI08G22780 transcript:ORUFI08G22780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQREVGPQVAPPMFLHQIQPLPPHATAAKKRGNPWPAAAVAAAEAKGGGNWNPRMWDWDSRALTAKPSSDALRVNAGLSHHQQQQQQSPPAAAKAAEALRQGGGGSGGLNLQLGLREDAATPMDVSPAATTVSSSPSPPASSAPAQEPVVRPSKRVRSGSPGSASGGGGGGGGGGNSGGGGGSYPMCQVDDCRADLTNAKDYHRRHKVCEIHGKTTKALVGNQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPTDVASQLLLPGNQENAANRTQDIVNLITVIARLQGSNVGKLPSIPPIPDKDNLVQIISKINSINNGNSASKSPPSEAVDLNASHSQQQDSVQRTTNGFEKQTNGLDKQTNGFDKQADGFDKQAVPSTMDLLAVLSTALATSNPDSNTSQSQGSSDSSGNNKSKSQSTEPANVVNSHEKSIRVFSATRKNDALERSPEMYKQPDQETPPYLSLRLFGSTEEDVPCKMDTANKYLSSESSNPLDERSPSSSPPVTHKFFPIRSVDEDARIADYGEDIATVEVSTSRAWRAPPLELFKDSERPIENGSPPNPAYQSCYTSTSCSDHSPSTSNSDGQDRTGRIIFKLFGKEPSTIPGNLRGEIVNWLKHSPNEMEGYIRPGCLVLSMYLSMPAIAWDELEENLLQRVNTLVQGSDLDFWRKGRFLVRTDAQLVSYKDGATRLSKSWRTWNTPELTFVSPIAVVGGRKTSLILKGRNLTIPGTQIHCTSTGKYISKEVLCSAYPGTIYDDSGVETFDLPGEPHLILGRYFIEVENRFRGNSFPVIIANSSVCQELRSLEAELEGSQFVDGSSDDQAHDARRLKPKDEVLHFLNELGWLFQKAAASTSAEKSDSSGLDLMYFSTARFRYLLLFSSERDWCSLTKTLLEILAKRSLASDELSQETLEMLSEIHLLNRAVKRKSSHMARLLVQFVVVCPDDSKLYPFLPNVAGPGGLTPLHLAASIEDAVDIVDALTDDPQQIGLSCWHSALDDDGQSPETYAKLRNNNAYNELVAQKLVDRKNNQVTIMVGKEEIHMDQSGNVGEKNKSAIQALQIRSCNQCAILDAGLLRRPMHSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRFNSGRSFKWERLDFGTI >ORUFI08G22790.1 pep chromosome:OR_W1943:8:23150980:23159291:1 gene:ORUFI08G22790 transcript:ORUFI08G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPRHVASCLCLLLLVTAAAAQRLPVKSYSYGSFYRDDPSVSSLLFRGAAGVSNGALQVTPDSRNLNNFLSNKSGSVLLPEPFTLWRRLDAAAAAAGNGSSTSTRVVSFNTTFSMNVYYDNESRPGEGLAFVVAPTADGPPPGSHGGFLGLTNATLEATPATNRFVAVEFDTFKEPGGYDPDDNHVGLDVGTVASNKTASLAGFNITIATNKTAPANYTAWIEYDGAARRIAVYMGVRGAPRPATPVLASPLDLSELVPERAYLGFTASTGVSFELNCILDWNLTIETFPADKKSKGWVVPVAVAVPVAAIAAAAFVVARMARARRSMERRRQERLEHTLTNLPGMPKEFAFEKLRKATKNFDERLRLGKGGYGMVYKGVLPAAAVDDDDGRPPAATEVAVKMFTRDDAKCVDDFLKEVQIIHRLRHRNIVPLVGWCHKKGQLLLVYEYMPNGSLDQHIFRRGAVHEQRPALSWESRRDIVADVAAGLHYVHHEYGPMVLHRDIKASNVLLDASFRARLGDFGLARVLDLDRSSFTDLGVAGTRGYIAPEYSVGHKATRQTDVFAFGVLVLEVVTGRHALLGDPACPMLSDWVWRMHGRGALLGAVDQSLGTDGFDAGEATRLLLLGLACSHPNPGDRPTMPEVLQILSGSAPPPEVPQLKPSFVWPPDGGASAHYDLIDIGALASGSLAAGGSSAAAAATAADDDSARATRDTASAGSVSIAIDGHSFPPTSASSSSTSTSLATNTTDVGRDGGDNAVSFSFSSFHAEARGVNVTVVGDANINGGALQITPDSLNDASRYLTNKSGRVLYAAPFKLWHREKGGGEAANGSTAGKRVASFSTVFTVNVFRPNGTVPGEGFAFVIAPSAAAPPAGSTGGFLGLTNAATDGNATNQIVAVELDTEEQPYDPDDNHIGLDVNGVVSVATTSLKPLGIEISPVDPVKYDVWIDYDGAARRIEAYMAVSGQARPASPVLAAPLDLGATVAEWSYFGFSASTGLKYQLNCVLAWNMTVERLPRDDDGGGDHGKGLTLALAIGVPVAAAALAAALGYLYVAKRRRKVSGGDDGSGITGTMIRSLAGGPREFEYRELRKATNNFDERMKLGQGGYGVVYRGVVVGDHTFPGGAGSAVEVAVKKFSRASTQGQNDFLAELSIINRLRHKHLVRLVGWSHDNGELLLVYEYMPNGSLDQHLFGAAAAERRLLGWDLRYSIVAGVASALHYLHDEYDQKVVHRDLKASNVMLDAAFSARLGDFGLARAIETDKTSYMEEAGGGVHGTVGYIAPECFHTEKATRESDVYAFGAVVLEVVCGRRPRCDIDGFCFLVDWVWRLHRDGRVLDAVDPRLDGAFDAGDAERLLLLGLACSHPTPAERPKTMAITQILLRSTPPPEVPPFKPAFVWPAIDGGIDTTTSTASSHVSTNMTSTSTWSGNFMRGSRSHAPPTPEQDASDSVV >ORUFI08G22800.1 pep chromosome:OR_W1943:8:23167515:23174069:1 gene:ORUFI08G22800 transcript:ORUFI08G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNVDTDLKYDAFSRFSVKYFSKVLDSLSPHHKTIAKQVDVNCSDIVLGQKLIPLNKQSVHVVLGLPVGGSTIHSKFDSGKQKILQIFGKTSIPSVKFFGENTIHSKFDSGKQKILQIFGKTSIPSVKFFGEKIIKNEELPDDQILICFMIVSLNCFLCPNSSLIPSTKYLSAFEDMDLIESLDWCKLVFDWLMEHISKIEKSKTFGGCLFHLAVNYLDFLNFGSQKVLLDTPRIKVWKRSMIKDYSKFDKISEGVYGKRPVNDIASTCYPMLKKIMMMLIKLCKKNNNFTSSPKGNSKTVLDDDKLPIPNEEFNPIKHINIDEIMTKLNKTVYNLKFHSPDVVFIGEKKFSKKCANIGNKTNMMYNKMNRILLDSQKQNFKTFASPERVLLCNMKNFNPSTSGTKPIHHDLRRVINPAKYCTDPYTPQRSNFTVSQYERQIYNAVCTLSTSRYQEQHAVEIDDSPDVVFIGEKKFSKKCADIGNKTNMMYNKMNRILLDSQKQNFKTFASPERVLL >ORUFI08G22810.1 pep chromosome:OR_W1943:8:23179786:23180108:1 gene:ORUFI08G22810 transcript:ORUFI08G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVHAAQSALGLCVILASLLPVTVVGVTLPPH >ORUFI08G22820.1 pep chromosome:OR_W1943:8:23188518:23189599:-1 gene:ORUFI08G22820 transcript:ORUFI08G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGQLDAESVVPTATGVRRRGRRFWLFRFRSCFLDRFRRRICLSLGCTANILASLPTREGWWTAFVLYHGCWMTQQAATSVSLPRWCTPSSRGAPTMCSSPPTQSAAPPGSRCSPSPSPTAAAAGDHPLLTQSPHDLVPFIEVPFHHLHPLAALDALPSPRLLSTHMPPQLLPRRVAELGCRIVYLCREPKDVVVSLWHYMNKGLRAVCRWLLPVRQYGPIWDHCLGYWKKSMEEPDMVLFLKYDDMMADPAGHVKKLAEFLRAPFTDEEVGAGVVEEVVRLCSFEKLSRLPVNSSGVVDRSSGRPMENSVFFRKGEVGDWKNYLTEEMAKKLDAVIEEKLKGSGLTF >ORUFI08G22830.1 pep chromosome:OR_W1943:8:23206705:23207712:-1 gene:ORUFI08G22830 transcript:ORUFI08G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVELEADSVLTGEEEDESLLLSMSSSSNKLQTREGWWTTFVLYDGCWMDRQAAMSVSLVRAQFVPRDDDVLLATYPKCGTTWLKALSFAIANRHRHPVVSAGHHPLLTQSPHDLVPFIELPFRHIHPLAAALDAIPSPRLLGTHMPHHLLPPRIGCRIVYLCREPKDVVISTWHFMNKVIEGFSIDFDKAFELFVDGCSPFGPIWNHYLGYWNKHVEEPDRVLFLKYDDMMADPAGHVKKLAEFLRVPFTDDEVDAGVVEEVVRLCSFEKLSRLPVNSSVVAGRVGVDERPMKNSVFFRKGKVRDWKNYLTEEMAKKLDAAIEEKLKGSGLTL >ORUFI08G22840.1 pep chromosome:OR_W1943:8:23210299:23213693:-1 gene:ORUFI08G22840 transcript:ORUFI08G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGQLDAESNVVVAPTATGDEGADIVLASLPTREGWWTAFVLYHGCWMTPQAATSVSLVRAQFAPRPDDVLLATYPKCGTTWLKALSFDIANRSRHPVAGAGDHPLLTTHPQDLVPFIETPFRHLHPLSALDALPSPRLLSTHLPHQLLPPRVAELGCRIVYLCREPKDVVVSTWHFMNKVGNGFFLDLDEAFELFYDDMMADPAGHVKKLAEFLRVPFTDEEVGTGVVVEVVRLCSFEKLSRLPVNFSGVVDRIGGRPMENSSFFRKAKVGDWKNNLTQEMAQKLDAVIAEKLKGSAMAEGRQLEAQSVVVPTATGDKGDDILSSLPTREGWWTTFVLYHGCWMTPQTARSVSLVRAQFAPRDDDVVLATYPKCGTTWLKALAFAITNRSSHPVGAGDHPLLTQSPHDLVPFIELPFRHIHPLAAALDAIPSPRLLGTHMQHHLLPPRVDELGCRIVYLCREPKDVIVSLWHFINKHVEEPDRVLFLKYDDMMADPTGHVKKLAKFLRVPFTDDEVDAGVVEEVVTLCSFEKLSRLPVNSSGVVDRIGGRLVHNSAFFRKGKVEEWKNYLTEEMAKKLDAVVEEKLKGSGLTF >ORUFI08G22840.2 pep chromosome:OR_W1943:8:23210299:23213693:-1 gene:ORUFI08G22840 transcript:ORUFI08G22840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGQLDAESNVVVAPTATGDEGADIVLASLPTREGWWTAFVLYHGCWMTPQAATSVSLVRAQFAPRPDDVLLATYPKCGTTWLKALSFDIANRSRHPVAGAGDHPLLTTHPQDLVPFIETPFRHLHPLSALDALPSPRLLSTHLPHQLLPPRVAELGCRIVYLCREPKDVVVSTWHFMNKVGNGFFLDLDEAFELFYDDMMADPAGHVKKLAEFLRVPFTDEEVGTGVVVEVVRLCSFEKLSRLPVNFSGVVDRIGGRPMENSSFFRKAKVGDWKNNLTQEMAQKLDAVIAEKLKGSAMAEGRQLEAQSVVVPTATGDKGDDILSSLPTREGWWTTFVLYHGCWMTPQTARSVSLVRAQFAPRDDDVVLATYPKCGTTWLKALAFAITNRSSHPVGAGDHPLLTQSPHDLVPFIELPFRHIHPLAAALDAIPSPRLLGTHMQHHLLPPRVDELGCRIVYLCREPKDVIVSLWHFINKVIDDDLSLDFDKAFEMFVDGFSSVGPIWNHYLGYWNKHVEEPDRVLFLKYDDMMADPTGHVKKLAKFLRVPFTDDEVDAGVVEEVVTLCSFEKLSRLPVNSSGVVDRIGGRLVHNSAFFRKGKVEEWKNYLTEEMAKKLDAVVEEKLKGSGLTF >ORUFI08G22850.1 pep chromosome:OR_W1943:8:23220265:23220456:-1 gene:ORUFI08G22850 transcript:ORUFI08G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGLTALELTGADRTGLISEVFVVLADMDCGVVEGRTWTHRVHLGCLIFLRDEETDTERMA >ORUFI08G22860.1 pep chromosome:OR_W1943:8:23225304:23232030:1 gene:ORUFI08G22860 transcript:ORUFI08G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEKTTNKDKMLRRRYSLNLPEHLPEHHVITSAEQSEKTISKSIADLVWEIAALEEEVVRKELHLLSLYRAAFDQHLGVSPRVSTQGLSRSSSGHSSLANFLSASIAEYVPKISCKLSEDIVRCISAVYCKLASQPSQNLADFETLSTPSFSSSSSTFSLKHRVDSWSPRCHYNVNTSSDKYDSLNEKSEQYNGMIICPRIYMDAEKFEYASKMLETVRSLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKNTDMILKAAYNVGGLSVNAQIIQNSIIGCQSHRTSVWVRTLFTPLKKSASGSSIHPYALHPPEPLAHFALSTGAISDPPVRLYTAKKVNHQLDQARTEFIQASVIVRKQTIFLPKVLHHYAKDAALELPDLVEMACEIMPEAQQKEIRQCLRRRIDKCVEWIPFKSSFRYTIHRSLAE >ORUFI08G22860.2 pep chromosome:OR_W1943:8:23225304:23232030:1 gene:ORUFI08G22860 transcript:ORUFI08G22860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEKTTNKDKMLRRRYSLNLPEHLPEHHVITSAEQSEKTISKSIADLVWEIAALEEEVVRKELHLLSLYRAAFDQHLGVSPRVSTQGLSRSSSGHSSLANFLSASIAEYVPKISCKLSEDIVRCISAVYCKLASQPSQNLADFETLSTPSFSSSSSTFSLKHRVDSWSPRCHYNVNTSSDKYDSLNEKSEQYNGMIICPRIYMDAEKFEYASKMLETVRSLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKNTDMILKAAYNVGGLSVNAQIIQNSIIGCQSHRTSVWVRTLFTPLKKSASGSSIHPYALHPPEPLAHFALSTGAISDPPVRLYTAKKVNHQLDQARTEFIQASVIVRKQTIFLPKVLHHYAKDAALELPDLVEMACEIMPEAQQKEIRQCLRRRIDKCVEWIPFKSSFRYTIHRSLAE >ORUFI08G22870.1 pep chromosome:OR_W1943:8:23228231:23231607:-1 gene:ORUFI08G22870 transcript:ORUFI08G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSSNAGSLTQWLREKGFDEEAIGRMSRRCKNLHGLDAGEASGVWDYLLNVVKIERRKLRYVVTKCPKVLTLSVDDKLVPTVQCLTTLQAKPGEVAQAIVKFPQILFHSVEEKLCPLLAFFQTLGISEKQLAKLLMVNPRLISYSIEAKFSQTVDFLVGLGIDKEGMIGKIMAKEPYIMGYSVDKRLRPTAEFLKSAVGLEGSNLQRVIMSFPDILSRDVDKILRPNLAFLQSCGFSKDQVMALVAGYPPVLIKSVKHCLEPRMKFLVEEMGRDMGEVVDYPQFFRHGLKRSLEYRHKVLKQMNSRHDLTCHLNQVRQLESSILRIMMENFSIVLKIDPSKVQGMYLGRNTRGYT >ORUFI08G22880.1 pep chromosome:OR_W1943:8:23232807:23234406:-1 gene:ORUFI08G22880 transcript:ORUFI08G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTTKGKVCVTGASGYVASWLVKRLLESGYHVLGTVRDPGNHKKVGHLWNLTGAKERLELVRADLLEEGSFDDAVMACEGVFHTASPAAVLDSAINGTLNVLRSCKKNPSLKRVVLTSSSSTVRLKDEADLPPNVLLDETSWSSMEFCESLQIWYAIAKTLAEKAAWEFAKENGIDLVAVLPTFVVGPNLSHELSPTTTDVLGLFQGETTKFTMYGRMGYVHIDDVASCHILLYETPRAAGRYICNSAVLDVNELVTLLARRFPSYPIPKSLPCVYGEQTYGFSTAKVRELGMKFRDVEEMFDDAVDSLRAHGYLLNSVP >ORUFI08G22890.1 pep chromosome:OR_W1943:8:23235074:23238124:-1 gene:ORUFI08G22890 transcript:ORUFI08G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHDGGGGGGGDGDSLFEGMVLFTPSLSVDPDPAPPVAEAPDPKPPTPRDDAAVAGADGAASQQQPPPPLDEDLFSDLTLLSPQSPVDLSGAHQGQDQDHSAAAPPSPVPAPAAPVAVLARQASSSASSSLRKKKRAVRIGYGRSPQPAPPSPPAVAIAATTATAAATASVIHGSVLPDASMHHQSAPTIPVHHLEHLDNGVEQAAAVAVVDPDENSLHVKEEDGELEEDGGAGAEAMGIEERLALLRSQISGKLESIQQRATAVAAKRRQLAGRRRKVAEDVGSAASRHKDLERELEEACEAEDFERAEKISDSLAALEKDKDRLLTALRDAELNYDSVDLELQDVLDSRIAAEEEAASLLEHFAKDATDHTDSASKEAEEISLKEIEGWRESMELLETKKLETEVESELVLAARSGLEDSIEHLVEDDKREKDMLSKKGDTLAEELTELLKLVRLKEAEIAENNAQIQEVQERITAVVSRFYGSQTDIDLKLKTLQEAQTKMDSEAQALALKKNEIDSFISLSEQKDSKLREIINDCSSEAKACQQSVDIRRKLASSILKSREDRIGLLKMEEEILQDIQVLRQQTSDARISLQEISSRKAVIQQDIATFKQKLSFIDKRGPELEAEKRVAAAARNFKEAGRIAAEAKALNSEKEELHAKLEKAATDLEVIEKDITATTDKIQECEGLIILKEKESAMTSYKRLRLDAAAARAELTAATETDDSEEVEVLRKEAEAAESKAMELKTCYDLELDDNEIMFQPVVPIVFITNSTGQHLLEIASSFGLSP >ORUFI08G22900.1 pep chromosome:OR_W1943:8:23241283:23241900:-1 gene:ORUFI08G22900 transcript:ORUFI08G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRSSGGGGGWGVRMASSARCRRRARGLGDGAAAIHMCRVDHLNGSDVFFFVGGETFHAHRALLAVCSPVFKALLLSSTAEAAACSITLNDIKPAMFEALLHFMYTGDFLPAGAHSSSPDSSDTNTDTLHRLLAAAHEYKLDRLKLMCARKLEESLSVETVARTLGYAKMCGCSELKSKCLDLLLLGEEEHQSIGHTWLLLALA >ORUFI08G22910.1 pep chromosome:OR_W1943:8:23242083:23242760:1 gene:ORUFI08G22910 transcript:ORUFI08G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSNFIEHKVEYLEIQKLARLPKLTISAGEHNACLLWWLSPRQQDNGEYLSLAVAMLNETDANTHLIFEIFFMGKDGLPAVQHSQRSLLVSKASQGFRFDAWWRFVKLSELDSRYVVTFICGLIILRNHNEPIAVPPSNLGNQLGIMVGSANGSDISFSVGSEMFHAHRAVLAARSPMFHAEFFFCGMAKSSIPCVMLDDLELATFRALLHFIYIDALLL >ORUFI08G22920.1 pep chromosome:OR_W1943:8:23242867:23251114:1 gene:ORUFI08G22920 transcript:ORUFI08G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLQPLWCADTYNCIALKKKCFEYFLEDNNCRKIVATEGGLVARPQTTYGKVRSRWHRQCCRRKAMADPVAGWEEIGDDGSSRGGTNNGSPTLLSSSTSSRYGQWGGGRLQRQQWRRQWVPTTLPSLSQIWSEVRQSVSSSSSSSTAASAAPPLVRPRLPSRRRCLHPLPPSRRLRQSILNLHRARAVSTLRLHHAASASLPLPQRRHRAASISSQSPVCQNSVRPRPRLNLSVLSFFGKKFGPHFSKTEITERPKTETEFFDQSIDRHTCNTNMLASGFVECKLDYLESEKIAIDDSLPETKVSAGEHHARIRLYPRGIEGGHGEHVSIFMFIDDVDDDDPRIDAAVFEVFLTDKHGAPSPQHARRSTEVGRRRGRRHARHGVAPLHQAGRPRVVPRGRRRGDVRVRPRRPARRRRRRSPAVAAPCRPPTWPRISPAWLGCPDGSDVSFSVGGETLIHAHRAVLAARSPVFRAELLGSMAEATMPCVTLHDIEPATFRALLHLVYTDALPASSTSSSTAAAAVECIDFLMKDSNFKKAAVTDDYLHLYAELPESVSVETVAITLACNKMHNYTELKARCLDFFMEESNFRKGVVTDDLALASWSWEKNTLVVVDAVACMSSSCSSPCNKHTPPPAGNMLASGFIEYKLDYLESQKLAIGKYLPGIRISARELNAEIQLFPRGLKSDN >ORUFI08G22930.1 pep chromosome:OR_W1943:8:23251198:23252140:1 gene:ORUFI08G22930 transcript:ORUFI08G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHHHPRTMQTGKNDRLFWRFFGWYRFVKRSAVDSLHAIDGVVTFVFGLVILRDDRHHPIAVPPPNLGGHLAAMVGSADGSDVSFSVGGETLIRAHRAVLAARSPVFSAELLGSMAEGTMPCVTLHDIEPATFRALLHFVYTDALPPRDILSPSFFKKLFAAADRFALDRLKLMCAQKLWESVTVETVAETLACAEMHSCPELKSRCLDFFVEENNFRKVVVTGGYLRLMQGFPSVIDEIKARLEI >ORUFI08G22940.1 pep chromosome:OR_W1943:8:23265311:23265691:-1 gene:ORUFI08G22940 transcript:ORUFI08G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAAAPPGDLVLPPLPPIKTTAPPPPPARTSSSTASPAPSDEARAPLKKEEEEEPTTPTSEESRLRAPTVCPPAPRKPARTAKRKSPPPPSSSPSPSTLVFFPVQRDLAAVFRSLPPKKRIRAG >ORUFI08G22950.1 pep chromosome:OR_W1943:8:23279807:23281906:1 gene:ORUFI08G22950 transcript:ORUFI08G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVARGGMMPAGHGFGKGKAAAVEEEEDEVNGFFVEEEEEEEAAVSDASSIGAASSDSSSIGENSSSEKEGEEEGEEVESKAKEVAVEVEGGGLGFHGLGTLESLEDALPIKRGLSNFYAGKSKSFTSLAEAAAKAAAKEIAKPENPFNKRRRVLAAWSRRRASCSSLATTYLPPLLAPDHAVVEEEDEEDDSDAEQCRGSGGGNRRREPTFPPPRLSLHAQKSSLTPRSSNPASSFRSPRSFSLSDLQNAGSYN >ORUFI08G22960.1 pep chromosome:OR_W1943:8:23287461:23288837:1 gene:ORUFI08G22960 transcript:ORUFI08G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPEEEDDDQQQQHPAARRCAACRYLRRRCADDCVLAPFFPASRPHRYACVHRVFGASNVARLLQSLPMAERGNAANTMAMEAYWRVQDPVYGCTGIINRLQEEIRAVQCELARTQAHLAIAVASSQQPPPPPPPPPLPSPPPPPPPQQQEEQSPPPLLDPADEFLNLDGL >ORUFI08G22970.1 pep chromosome:OR_W1943:8:23288670:23299463:-1 gene:ORUFI08G22970 transcript:ORUFI08G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRSSPPAPEIRSPELDGAEDTDVEEKFDDAFDIPHKNASHDRLRRWRQAALVLNASRRFRYTLDLKKEEEKEMIRRKIRAHAQVIRAAFLFKEAGEKDLREAYTGIKLETASRSFPIELEKLTALNRDHDSVLLQEVGGVKGLSDLLKSNLEKGISLNADDLLQRRGIFGANTYPRKKRKSILRFIFEACKDLTLIILMVAAAISLTLGMTTEGADEGWYDGGTISDYRQSLQFRHLNEEKQNIQVEVVRGGKRCGTSIFDLVVGDVVPLKIGDQVPADGVLISGHSLAIDESSMTGESKTVHKDKKEPFLMSGCKVADGYGSMLVTGVGTNTEWGQLMANLSEDNGEETPLQVRLNGVATFIGMVGLTVAGAVLVVLWIRYFTGHTKDPDGTTQFVAGTTRAKKGFMGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVQAYFGGTMLDPCDDIRAVSCGATELLIEGIAQNTTGTIFVPEDGGDAELSGSPTEKAILSWGLKIGMDFNDARSKSQILHVFPFNSEKKRGGVAVQSDAGVHVHWKGAAELVLSSCKSWLALDGSVQPMSAEKYNECKKSIEDMATSSLRCVAFAYCPCEIERIPKEDIADWKLPEDDLTLLCIVGIKDPCRPGVKSAVQLCTNAGVKVRMVTGDNIETAKAIALECGILDANGAFVEPFVIEGKVFREMSEAARGDIVDKITVMGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDNFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRQPVGRRHAIYQIAILLIFDFSGRSILRLQNDSREDAEKTQNTFIFNTFVFCQIFNEFNARKPEERNVFKGITKNHLFMGIIAITTVFQLASGISGEIHSCACKTSPGLFQAYLLEKSFTASSAVGFEAHVLEAQSPSRLRNSSAGSSNGGGDCSSCCCGGGGGGGDGNGGGGGGGGGCWEEATAMAR >ORUFI08G22980.1 pep chromosome:OR_W1943:8:23303163:23307033:-1 gene:ORUFI08G22980 transcript:ORUFI08G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRLLTLLPPPPPESRSTGRDRSEESDVEGMLDDPFDVVPQKKKKEASSVDRLRRWRQAALMLNTSRHVICNLDLKKEEEKMREICRRASTSDTGDL >ORUFI08G22990.1 pep chromosome:OR_W1943:8:23308419:23313314:-1 gene:ORUFI08G22990 transcript:ORUFI08G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVARASASPPPASASTGGDRKRGRSSPSLPPPPPGPPPQVPHGSKRHRRDEGGGGGFDRRRLGPGVGYDNPDDRRYGNDHGGAGGRGGYGDERGQGRNFNRAPDWTDSGRGGWNDGPANSRREGLMSYKQFMQELEDDVSPDEAQHRYEEYKSEYITTQKKAYFDLHKNDDWLKNKYHPTNLEIVMESRRNELARTAANQFLQDLQNGSLDIGPGLTSSATNKSGNSVDDTDANGKKGKLGKSQDDLYYAAPKAHPVSSEPRRIRIDVELAQALICKLDSEKGIENNVLSGSDHDRAEKDKSHGSMGPIVIIRGSSAVKGLEGAELLDTLVTYLWRIHGVDYYGMSETNEPKGLRHVKADSRTFNGASSNAAEWEKKLDSFWQDRIQGQDPMEILKAKDKIDAAASEVLDPHVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVQKHLKLKHADLVRELTSKVRENIYFDNYMSDPKAPGGMPIMQQPAPREKVRQRPPIESRLRDERGNRRFDRNDSPTHDGSSDNPDDAYDSYGDPVMHGAFPPDIPAPPVLMPVPGAGPLGPFIPAPPEVAMRMMRDQGGPPPFEPTGGPRPRRPGRGGLPMGGPSPILAAPLPPPHMHDPRKIRSYQDLDAPEDEVTVMDYRSL >ORUFI08G23000.1 pep chromosome:OR_W1943:8:23314850:23321620:-1 gene:ORUFI08G23000 transcript:ORUFI08G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGGGGGGTAAQAYGEAWYWDERYRKEAGPFDWYQKYPALAPLLAVYVRRHHRLLLAGCGNSVFGENMVDDGYQDIVNIDISSVVIDQMKKKYRDKPHLKYMKMDVRNMAEFESGSFDAVIDKGTLDSIMCGQNSQENASQMLEEVNRILKDKGVYILITYGDPSYRLRLLKDLQLWTVKLHVIDRWERSREPSWELTKPLPLDGDSTSIVSLLGPKPDVHYIYVCIKGSDDGKSHLDSRAEPKSDSALSSQNGVLGSTKTDKPHTTLSTHTTTDSSGSRAGLFRTPISGGVQSATFAHGLPPPALAVRNLMEQARFAHLCTVMSGMHHRRTGYPFGSLVDFSNDSMGHPIFSLSPLAIHTRNLLSDPRCTLVVQVPGWSGLSNARVTIFGDVYPLPEDQQEWAHKQYVAKHQQWASQQWGNFYYYRMQNISDIYFIGGFGTVAWVDVKEYEAIQPDKIAVDGGEQSLKELNAIFSKPLREFLSSEGEVDDAALISVDSKGIDIRVRQGAQFNIQRLAFDVPHKVETLEEAKRALHKIIKTTSK >ORUFI08G23000.2 pep chromosome:OR_W1943:8:23317886:23321620:-1 gene:ORUFI08G23000 transcript:ORUFI08G23000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGGGGGGTAAQAYGEAWYWDERYRKEAGPFDWYQKYPALAPLLAVYVRRHHRLLLAGCGNSVFGENMVDDGYQDIVNIDISSVVIDQMKKKYRDKPHLKYMKMDVRNMAEFESGSFDAVIDKGTLDSIMCGQNSQENASQMLEEVNRILKDKGVYILITYGDPSYRLRLLKDLQLWTVKLHVIDRWERSREPSWELTKPLPLDGDSTSIVSLLGPKPDVHYIYVCIKGSDDGKSHLDSRAEVLKILAETVAG >ORUFI08G23000.3 pep chromosome:OR_W1943:8:23314850:23317521:-1 gene:ORUFI08G23000 transcript:ORUFI08G23000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAGTAAASLPALPSHQPRSRLAPRSLALPGGRSCCGPLRAAAAGGGGGAKDDAQAGVTPNGSPVIKPKSDSALSSQNGVLGSTKTDKPHTTLSTHTTTDSSGSRAGLFRTPISGGVQSATFAHGLPPPALAVRNLMEQARFAHLCTVMSGMHHRRTGYPFGSLVDFSNDSMGHPIFSLSPLAIHTRNLLSDPRCTLVVQVPGWSGLSNARVTIFGDVYPLPEDQQEWAHKQYVAKHQQWASQQWGNFYYYRMQNISDIYFIGGFGTVAWVDVKEYEAIQPDKIAVDGGEQSLKELNAIFSKPLREFLSSEGEVDDAALISVDSKGIDIRVRQGAQFNIQRLAFDVPHKVETLEEAKRALHKIIKTTSK >ORUFI08G23010.1 pep chromosome:OR_W1943:8:23321780:23327934:1 gene:ORUFI08G23010 transcript:ORUFI08G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTPGVWAQLRSGSSFGRVEGSRSGSSQAYGAHLAGVRLTDAGSSSEGSLKKPAYSVTAVGQTLKFGALLLLLGVLASSQALTRGGARRTRNARGVWAIGKAARSSKIH >ORUFI08G23020.1 pep chromosome:OR_W1943:8:23329468:23332730:1 gene:ORUFI08G23020 transcript:ORUFI08G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQVESGSEAAAATAAAVLTAPLSLEGGLAAELRPANLVQRVLSLFRNVRPGSDLSHFQLPATFNLPKSQLQLYGEGVYCVGKDYLRRCAKGSDAVERFAAVVGWSISTTRPPIFGFAPYNPVLGETHHVSSGSLHVLLEQVSHRPPVSALHATDDDGEIELVWCQNPIPKFHGTSVEATVKGMRHVKLLKFSENYEIDCPNLLIRLLPAPSAEWSGTVRIVCKESELEAELIYYRSNAFLGLGGDPRCVKGKIFSSRSGEIICEIDGHWDRIVSAKDAKTGKVSVLYDAESAIADLKTPVVRNQEGVSPSESVVVWGEVSDAILKKDWERSSQAKRRVEDTARRLDRERNDKGEVWIPKHFSLSQDKNGSWECSPLEKSVPPAPIIVPS >ORUFI08G23030.1 pep chromosome:OR_W1943:8:23333172:23334618:-1 gene:ORUFI08G23030 transcript:ORUFI08G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRSWRAPACVIFVLALVAQWWSSSMAASCSFTISNHCAQTIWPATLAGAGTPQLATTGFRLDPGQSVQVPAPAGWSGRIWARTGCDFSGAGGAAAAAAAGAAACQTGDCGGRLECGGTGATPPATLFEVTLGKVGGGAGAGDLDYYDVSLVDGYNLPVVAVPQAGGATGGGGGCATTGCTADLNRCGRRRRRRRQRDGGVPERVRGVRYGTPAACRPTAYSAIFKTASPSPANGQNGAGGSTPPPAGNNGAGISSYQPPPTEDINGAGSADQPAWMTMPSSASKRMMPSSSAASTRHNQLWSLLLLLPALLLFHFKQELL >ORUFI08G23040.1 pep chromosome:OR_W1943:8:23335859:23337252:-1 gene:ORUFI08G23040 transcript:ORUFI08G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRLMAADSRSPRDGKHLEVLGYYDPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVERILYRAGILPPPPMLAMAHKGGPRDRRPIDPMTGRPLDLEGVTVVDDSHTPKSGDGAPNEETA >ORUFI08G23050.1 pep chromosome:OR_W1943:8:23338099:23341480:1 gene:ORUFI08G23050 transcript:ORUFI08G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEAVQLLQQQSLPIKLELYSELNEKIDIVPSSKVVFAQMPLSTHGYTLKYYLGPNYPPLLFFLPPTSLALAGGNNATIGSGVGRMATATSTSASSAAAFGAKTPRLGPSPSPISTFARPPLAPRPPAGSTPASTSTARAGVRAPRAVAAGDDVVAAPRPLRLALLLGILLSLAVPLRLLGLRLVPIALPLLLLLVVVVPFTEPLPTRCQGQVTSPASPPPPRYHLLVLRNRLCRQRCNVAASES >ORUFI08G23060.1 pep chromosome:OR_W1943:8:23346138:23350779:1 gene:ORUFI08G23060 transcript:ORUFI08G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGEERRRFSNLRGVRWRVDLGILPASPGASVDEHRRAAADSRRRYVSLRRRLLVDPHVPKEEGRSSNLIVDNPLSQNPDSSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPTCQAMLRRILLMWCLQHPEYGYRQGMHELLAPLVYVLQVDIDKLSQVRKLHEDCFNDDFDGVPFPDTDMVFSYKPRKDPKWSFGADNQNDSERSSKSNTLDELDPDTKEIILLSDAYGAEGELGIVLSERFMEHDAYSMFDGLMDGGSGVVRMAEFFSPSSVGSSSNLPPVIEASSALFHLLSIVEPTLHNHFIELKVEPQWFALRWLRVLFGREFCLNDLLVVWDKVFACSNNMLLSSDEEYNFRILCSDRGAFIAAMAVSMLLHIRSSLLATELDVFCLQRLLNFPTNIDVQKLIEKANSLQSIAIDANTSSSSFLLKRDSYEFDRVHSNLSSSTPPRTPLHPVSESYWEEKWRNVHKDGTTPKEVERGNSFSKQLKKSLTQKLGLSRTESDPSPVKVLSVSSNDTRNSVRRCLLNTLSDDLDRSNELAGKTQEDEFPIISVHREHPLSSAEPSKLKAAGENVTVSASCVAKLSPLKKSVVEPANENATQGTKCVPEACSSGENSPVFYAARASAGNEPLNGQDTDSESSSVASNSFAGDHDRDEILKDEPSSSNDDNKTIQDSEAASSDKSPDRNGTSERAVVSNERKPFISKFQWLLKLGRPSVEGNMEKSSGETSADKQDGDTSCSPSDGNSNNSRGSVKLASGDKKVMGSLKNLGQNMLENIQVIESAFQQDRGQPGPVENFSNNILGGKGQVTAMAALTELRKISNLLSEM >ORUFI08G23060.2 pep chromosome:OR_W1943:8:23346138:23350996:1 gene:ORUFI08G23060 transcript:ORUFI08G23060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGEERRRFSNLRGVRWRVDLGILPASPGASVDEHRRAAADSRRRYVSLRRRLLVDPHVPKEEGRSSNLIVDNPLSQNPDSSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPTCQAMLRRILLMWCLQHPEYGYRQGMHELLAPLVYVLQVDIDKLSQVRKLHEDCFNDDFDGVPFPDTDMVFSYKPRKDPKWSFGADNQNDSERSSKSNTLDELDPDTKEIILLSDAYGAEGELGIVLSERFMEHDAYSMFDGLMDGGSGVVRMAEFFSPSSVGSSSNLPPVIEASSALFHLLSIVEPTLHNHFIELKVEPQWFALRWLRVLFGREFCLNDLLVVWDKVFACSNNMLLSSDEEYNFRILCSDRGAFIAAMAVSMLLHIRSSLLATELDVFCLQRLLNFPTNIDVQKLIEKANSLQSIAIDANTSSSSFLLKRDSYEFDRVHSNLSSSTPPRTPLHPVSESYWEEKWRNVHKDGTTPKEVERGNSFSKQLKKSLTQKLGLSRTESDPSPVKVLSVSSNDTRNSVRRCLLNTLSDDLDRSNELAGKTQEDEFPIISVHREHPLSSAEPSKLKAAGENVTVSASCVAKLSPLKKSVVEPANENATQGTKCVPEACSSGENSPVFYAARASAGNEPLNGQDTDSESSSVASNSFAGDHDRDEILKDEPSSSNDDNKTIQDSEAASSDKSPDRNGTSERAVVSNERKPFISKFQWLLKLGRPSVEGNMEKSSGETSADKQDGDTSCSPSDGNSNNSRGSVKLASGDKKVMGSLKNLGQNMLENIQVIESAFQQDRGQPGPVENFSNNILGGKGQVTAMAALTELRKISNLLSEIWHISEPEMFSPMYLMMNEH >ORUFI08G23060.3 pep chromosome:OR_W1943:8:23346138:23351491:1 gene:ORUFI08G23060 transcript:ORUFI08G23060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGEERRRFSNLRGVRWRVDLGILPASPGASVDEHRRAAADSRRRYVSLRRRLLVDPHVPKEEGRSSNLIVDNPLSQNPDSSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPTCQAMLRRILLMWCLQHPEYGYRQGMHELLAPLVYVLQVDIDKLSQVRKLHEDCFNDDFDGVPFPDTDMVFSYKPRKDPKWSFGADNQNDSERSSKSNTLDELDPDTKEIILLSDAYGAEGELGIVLSERFMEHDAYSMFDGLMDGGSGVVRMAEFFSPSSVGSSSNLPPVIEASSALFHLLSIVEPTLHNHFIELKVEPQWFALRWLRVLFGREFCLNDLLVVWDKVFACSNNMLLSSDEEYNFRILCSDRGAFIAAMAVSMLLHIRSSLLATELDVFCLQRLLNFPTNIDVQKLIEKANSLQSIAIDANTSSSSFLLKRDSYEFDRVHSNLSSSTPPRTPLHPVSESYWEEKWRNVHKDGTTPKEVERGNSFSKQLKKSLTQKLGLSRTESDPSPVKVLSVSSNDTRNSVRRCLLNTLSDDLDRSNELAGKTQEDEFPIISVHREHPLSSAEPSKLKAAGENVTVSASCVAKLSPLKKSVVEPANENATQGTKCVPEACSSGENSPVFYAARASAGNEPLNGQDTDSESSSVASNSFAGDHDRDEILKDEPSSSNDDNKTIQDSEAASSDKSPDRNGTSERAVVSNERKPFISKFQWLLKLGRPSVEGNMEKSSGETSADKQDGDTSCSPSDGNSNNSRGSVKLASGDKKVMGSLKNLGQNMLENIQVIESAFQQDRGQPGPVENFSNNILGGKGQVTAMAALTELRKISNLLSEM >ORUFI08G23060.4 pep chromosome:OR_W1943:8:23346082:23351491:1 gene:ORUFI08G23060 transcript:ORUFI08G23060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGEERRRFSNLRGVRWRVDLGILPASPGASVDEHRRAAADSRRRYVSLRRRLLVDPHVPKEEGRSSNLIVDNPLSQNPDSSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPTCQAMLRRILLMWCLQHPEYGYRQGMHELLAPLVYVLQVDIDKLSQVRKLHEDCFNDDFDGVPFPDTDMVFSYKPRKDPKWSFGADNQNDSERSSKSNTLDELDPDTKEIILLSDAYGAEGELGIVLSERFMEHDAYSMFDGLMDGGSGVVRMAEFFSPSSVGSSSNLPPVIEASSALFHLLSIVEPTLHNHFIELKVEPQWFALRWLRVLFGREFCLNDLLVVWDKVFACSNNMLLSSDEEYNFRILCSDRGAFIAAMAVSMLLHIRSSLLATELDVFCLQRLLNFPTNIDVQKLIEKANSLQSIAIDANTSSSSFLLKRDSYEFDRVHSNLSSSTPPRTPLHPVSESYWEEKWRNVHKDGTTPKEVERGNSFSKQLKKSLTQKLGLSRTESDPSPVKVLSVSSNDTRNSVRRCLLNTLSDDLDRSNELAGKTQEDEFPIISVHREHPLSSAEPSKLKAAGENVTVSASCVAKLSPLKKSVVEPANENATQGTKCVPEACSSGENSPVFYAARASAGNEPLNGQDTDSESSSVASNSFAGDHDRDEILKDEPSSSNDDNKTIQDSEAASSDKSPDRNGTSERAVVSNERKPFISKFQWLLKLGRPSVEGNMEKSSGETSADKQDGDTSCSPSDGNSNNSRGSVKLASGDKKVMGSLKNLGQNMLENIQVIESAFQQDRGQPGPVENFSNNILGGKGQVTAMAALTELRKISNLLSEM >ORUFI08G23070.1 pep chromosome:OR_W1943:8:23352973:23354883:-1 gene:ORUFI08G23070 transcript:ORUFI08G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVPAARHRWLPWNGLARGSSRTPHCHAAAAAVSSWHVHDSSSPCASSWSWASQVGDRIQLRPFSAAPAIVGDGIEDVDDRRVKQMRRRRDARIAQKAFMEYLHVTRGICFSDAEHMSKRSPIFISKLLEKVKDAAKEPTEEGEEVPFRSKVKKREMRDERVSKALVRLFNFHPINEFEPFFESIGIAPGEYEPLLPRDLMFLNDDETMLDNFRVLCNYGIARTKIGRIYRDATEVFSFGHGVLASKLKALEDQGLSKTSVIKLVMSSPVILVRDPNVELKILRWLDDVGIQRDWLGRFLSVKKSYNWGKMVQVPQFFSDLGFTNEGIGKLVRQNPDFLFSGSGKMLFSAVLVMLKAGFGKKELVDLFLNFPNLSVDNFTRNLRRGIFFLAEIGVSEEDIKKFVVSNGSILGSVQLKKPNSIMTHLSVGKKRLCRMVMEDPQLLMKFSLGAKVSRIPKVDLHEASFKEKVKFLQNLGFMEGSERMTRGLKAFRGKGDELKDRYDFLVKTGLDPEHVVQMITMAPHVLNQKIHVLESKISFLVNDMGYPLSTLVFFPSFLSFTVERTKLRFLMYNWLLEKGVIATGLALSTLLATADKHFVKRYVVRHPMGLEVWEKFKTEVASTKNNTPCTPDD >ORUFI08G23080.1 pep chromosome:OR_W1943:8:23355949:23377204:1 gene:ORUFI08G23080 transcript:ORUFI08G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARRRLLSTARRLKGLELREFVWSLSIRRELLLGVHRRLRLACCATPDAGDGVSEHAAGLPCYVSSSASRLCVGAQPAIIPISDTEKKNILLWVHERLAEGDIEGVTDPRIRADYDLNSAWKVADLALHCTRRAGRDRPTMPEVAEGIRESLQLETSWRSMRGSSTGTLGDAESELSKETLQFLMVA >ORUFI08G23090.1 pep chromosome:OR_W1943:8:23373500:23374393:-1 gene:ORUFI08G23090 transcript:ORUFI08G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPLPFALAAALLLTIISGHLAAPATADGYVGGLAIYWGRHADADEGTLRQACDTGRYTTVIITFYNVFGYHPGNYNLDISGHDVTAVGADIIHCQKSRNVTILLAIGGYGGGYSLPTSQSAADVADNLWNAFLAGRRAGVSRPFGHDAAVDGVDFFIDQGGADHYDELARRLHGYGAGVILTATTRCSYPDHRLEKALATKVFDRIHVRMYGAGEIERRCVISSRYSWEKWAAAYPGSKVYIGLVASPEQDEAWVFQKDLYYEYLQFVTKLPNYGGLAVYDRYYDKKANYTGEG >ORUFI08G23100.1 pep chromosome:OR_W1943:8:23377662:23378609:1 gene:ORUFI08G23100 transcript:ORUFI08G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQQRRRSPPTILAAILLLSFLATANLAGAIDPAGRRRNVVVFWGGNKNEGSLRSVCDSGLYNIVIISFYSLFGHGRYWDDLSGHDLRHIGADITHCHFKAVYVLLSIGGGDGKDYSLPSSKSAADVADNLYNSFLGGSRPGVYHPFGDDVTVVGIDFFIDRGQPDHYYEIAERINYDTRHWRDPIGFKLTATVSCAYDDSDPRMKKALETYLFRRIHVRFYDDPRCSYNHAGLAGVMAQWNRWSASYPYNGKIYLGLAAANLTGKNDMVAVGELYRKLLPAVQKTDTYGGVMLWNSYYDSITHYGRYVSAWA >ORUFI08G23110.1 pep chromosome:OR_W1943:8:23380137:23380846:1 gene:ORUFI08G23110 transcript:ORUFI08G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNKASTVAEKVPKKPRREDTATADGGPTVEDEPTVVAPGCPEFIGGLVVSFCKRNGSVVLAAHHAPSTEQREGSRKAPCPAGPSFKADPHHTVEVNFPVRSTRCAGYFDFS >ORUFI08G23120.1 pep chromosome:OR_W1943:8:23385156:23386682:-1 gene:ORUFI08G23120 transcript:ORUFI08G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSVGVHGILLLAAAAVLTQCLLAAAVNTPVVQCASGTTKCTVTNAFGAFPDGSTCHVAAAAFPATEEELVRVVAGAAASGTKMKVATRLGHNFMKLSCPGGDGLVISTNALNRVVGVNAARMEITVESGVTLSELIDAAAHAGLALPHAPYWLDLTVGGLLSTGAHGSSVSGKGGAVHEYVTGMRVVTPAPASEGYAKVRVVNAGDPDLDAVKVSLGVLGVISQVTLALQPLFNRSVSFRRRGDGDLAERAVAFAGEHEFGDVVWYPARGEAVFRIDDRVATTKTNTISSGDFQNVIQSSRSTEQQQHGDVLPFQAGISVPLSRASAFIRDAQRLLDMNPGALCGGENGGILIRYVKASTAYLGKTEDSVEFDTTSCRHDGDAAMPEEMEQMALRKYGGVPHWGKNRNVAFDGAIAKFPKAGEFMKVKDAYDPDGLFSSEWSDQVLGVAGAGGVNVARNGCGMEGLCVCSEDEHCSPEKGYLCRPGMVYRDARVCRRVAGDAYADA >ORUFI08G23140.1 pep chromosome:OR_W1943:8:23410036:23412458:-1 gene:ORUFI08G23140 transcript:ORUFI08G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEVPGPPEADPLEGIITFTLNLADVDKEQLTLILYPSCANTLLRGHHLLVEIAPPHPSMVIYHPFLPHHARQWLLYHPFGGRRSFYPATYPGKEWIYDIILERGSFLSSEETKIEASYHKLIPSGRYCFGPNEWYFGFGYYGDEQLDIALAPMSGSFLIVSGIMYYCVGSFLSVSGIRFPKDSRKGQMHVEQGIQSQDMMRVLSSEKVLKMQETHNVTGHGEGFKLRKGSEDAGDTQYKY >ORUFI08G23150.1 pep chromosome:OR_W1943:8:23415065:23419536:-1 gene:ORUFI08G23150 transcript:ORUFI08G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISRLLLLLAAAVVLVIATGVAAQEEAAEAVVEVEEGIVERAKEEAEAVALRAELQQLRDKISGLESGISERSKELKAKDDSIAKLEKLIEEKSQKIASLQSEITSLQAKGSVAAEEQAGKANARAVELEKQIDKLKKDVEAQSVKKATLENRANDAEKRVQELNAKIDALQKTNDEQKRKLQSTERALKVAEEELMRLHLEATTKSKQLTEVHGAWLPPWLAAHSAHYMEVISGHWNEHGKPAINSFLQKASEKSAQAKKWAEPHVETAKMKWVPVKEKLVVLKKNTEPYVQKVSSKSVEVYEASRDAVKPHVAKVKEFADPYFQEAKKFSKPYIDQVAEVTKPHVEKVRTTLKPYTKRAVRVYGSFLESATTYHRQAQSTILDYLHQHEVSKSLATKELVWFLASALLAIPVYIIYRLLMEAFCSKKPKRPPHGDLGAESAMVEGYSWVMGNPEKCCIPP >ORUFI08G23150.2 pep chromosome:OR_W1943:8:23415067:23419536:-1 gene:ORUFI08G23150 transcript:ORUFI08G23150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISRLLLLLAAAVVLVIATGVAAQEEAAEAVVEVEEGIVERAKEEAEAVALRAELQQLRDKISGLESGISERSKELKAKDDSIAKLEKLIEEKSQKIASLQSEITSLQAKGSVAAEEQAGKANARAVELEKQIDKLKKDVEAQSVKKATLENRANDAEKRVQELNAKIDALQKTNDEQKRKLQSTERALKVAEEELMRLHLEATTKSKQLTEVHGAWLPPWLAAHSAHYMEVISGHWNEHGKPAINSFLQKASEKSAQAKKWAEPHVETAKMKWVPVKEKLVVLKKNTEPYVQKVSSKSVEVYEASRDAVKPHVAKVKEFADPYFQEAKKFSKPYIDQVAEVTKPHVEKVRTTLKPYTKRAVRVYGSFLESATTYHRQAQSTILDYLHQHEVSKSLATKELVWFLASALLAIPVYIIYRLLMEAFCSKKPKRPPHGDLGAESAMVEGYSWVMGNPEKCCIPP >ORUFI08G23160.1 pep chromosome:OR_W1943:8:23428868:23445598:-1 gene:ORUFI08G23160 transcript:ORUFI08G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFETLPPGAKKPRTKFRASPERVAAAAAAAAKDHQDEAALVVEEGHDVDRISCLPDDVLREIISLLPTRDGVRTQALASPWRELWLSSPLNLDRTTLPSVVSRILSTHRAPCRRFSFSELHVNQIGSATVDGWLRSPALDNLQELEFHGYADDERVWSPPFSTFRFSPTLRSLLLWRVYGFHRLRIDAPNIKSIGVCFISAKITIVDAPSLQRLVQLHNCDHLEISVISAPRLATIGSFRDYACFSTLEFGSTVIKGLRVVSLITAIQSVKILAVSSHAVNLRAIIDLVRCFPCLEKLHVQWFRTGGNNVWCRKDRNFIKSFDIPLKTVEVQLYHGEKAQINFASFFLLNARVLESLRLVVCDWNYTEEFFTQQHRLLKMEKRASRHAQIDFKSARFRAADFVHVRPGSAGEEGDGGGPGELVAGVSSAKRRRSEAPEAAGETGEPPAAAADPPPPGVDDGGEEDGVDYISFLPDAILADIISLLPTKYAARTQTLASRWRHLWRSAPLNLDCTHTPGYRNRLLDAVPAILSAHAGPGRRLCILSYTLYDRPATVVDAWLRSPALDGLQELEFWLDYRHMYRPQLHPLPASAFRFASSLRVAIINQCCLPDSTVQMLHFPLLKKLSLEEVTISDDSLHRMVAGCPGLESLLLNHRSGSHRIRINSPTLRSIAVCSGELIVEDAPSLERLLHLSLAQGLDITVISAPKLETLGCLSCHHKSTRIVFGTSVLEGLHAVSLTTVVHTVKILSIYIHDLSLDTVIDLMKLFPCLEKLYVRPLFSKERNLWRRKYKSFIRCHQIRLKTIVLTRYRRIKSHVNFATFFVLNAPMLELMRFEVACCYCDERYIAEQHASLQLENRASRDAQFCFTTNRCLHNLNENGRNHDFSHKPRPLPYQPPPPHKPPPPPATAMVLGRASESPGAGDTLEPPATEKLVTKPGRRGAKRGRSEAPEIGEAGDPPAATAGSFGEEGEDGVGGDPDEMEAADSIVKRRRSEAPETGEADEPPAAAAGNPPGVGEGDEEEGVDHISFLPDAVLADIISLLPTKDAARTQTLASRWRHLWRSAPLNLDCSGIPGDDDALVGVVSGILAAHAGPGRTTVDGWLRSTALDGLQELEFLYDDRRMSGPELQPAPASAFHFSSSLRVAIISQCILPDSTAQTLHFPQLKKLSLQEVTISDDSLHQMVAGCPTLESLLVNHYWESHCIRINSPTHRSVAVSSGEIIIEDAPCLERLIRLDLSKGLHITVISAPKLETLGCLSDGPGSTRIVFGTTVFEELRAVSLTTVMHTVKILSILIYTLSLDMVIDLMKLFPCLEKLYIQPLVSGEKNLWRRKYHSFIKCHQIRLKTIVLARYQGIKAHINFAMFFVLNAPMLELMRFELQYCRCNPRFIAWQHEVLQLENRASRDAQFHFTNDTCLHDLTNNRHNRDLSIADPFECRC >ORUFI08G23170.1 pep chromosome:OR_W1943:8:23441629:23443894:1 gene:ORUFI08G23170 transcript:ORUFI08G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSTTLTPFVTGGRPVRRFLDTPLSEESRLGVAPTSGFGSHDKTGQIFQAEAEGRRKEANGSAQLLAEERNGLKMAQTERMAYQNYT >ORUFI08G23180.1 pep chromosome:OR_W1943:8:23451405:23457271:1 gene:ORUFI08G23180 transcript:ORUFI08G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKIKKLGKARKSGGGGGEVVESEEETKAGNNAASDEQKGKILEDDPAAAAAGAGMDADAGNGAVEGQEEDDDDDDFITNEVKRRLKELRKNSFMVLIPEEECAEVEEDGEEEEEEGSSSREWMESDVGDGFPLCGFDSLYEKYCERMAVFDKMITQLLKDPGSFNISKKSPRSASKLASTLRNLSFKRRDDLQEDCEHLQQQQSEDDPYQTLETAYVGHVSLSWEALHCMYVHLSLILAAQPDNPTTYSCAAQAFQQFQVLLQRFVENEPFEQGSRVEIYARSRSSLSKLLQVPTFQVADGKYNAEDQVEPSIFASDLIKLLEESIMTFRLFLKKDKKKNSALMSVHSHTGSSIQQVQSSLDKKEVKVKELFKKKKGWKSKTWPATMEEVQLLFALIDIKVVSRVLRMAKLSKEQLLWCEEKMSKLDLSDNKLRRDGSPILFPC >ORUFI08G23190.1 pep chromosome:OR_W1943:8:23464760:23478898:1 gene:ORUFI08G23190 transcript:ORUFI08G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRDRRDFIKQALEQRNRFASSHFAYIESLRRVSMALQWFVAGDDHHELIFDPFISPLKQQKPELLGLPYGSYEKRTIHVSKYLRSGPNPSVSVEEQPRPVETIRIESHYPTDNFGGMDRFFAAQSSPMRPSSYYTPPYDRPNYPPPSPQEPVRNSYYMSYDRPSYPAASPQEPTRTSYYASYDRPSYPPPSPQEQESSQWDFFWNPFSSLDSFAYPRPRSSYDNVVTDDELARLQRCEPRNKVELEIKAHKKELMRNKVANAEETPGFTVYLNRRPASLAEAMKDIDSQFLGICDAAKEISVMLEASRAQYSTSNDLSAKMLNPVALLRSASSRSSSSRFLLAPTSSIEDLYDNETNSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIDYEKRLNHLRNQDVKGDEPSSVDKTRAALRSLHTRMKVSIHTVQSISARIEVLRDEEMQPQLMELIRGLSRMWRTMAERHKAQKRTIDDAKLLFLQRHPTAAAATATAIALSAPDAATPPPAAVALECEIRAWRGALETWLSAQRAYARALAAWARRCLGIGGAGAGGTAAAALPLAFAVCMEWGHAVDAASEARVMDGLDFFVAGVGSVCSGVATGMEGMAGRVLCAGMAAVTGAMAEFADASADGYDALVSAVAAGAPGRRKEEPTCGPQTTGLTLLLRAPPLGCCSSSWGGVGCGLVGRRAGSEEIARRRPGGIGLKSAVRLIGGTGSGNWSKDFGAFDSSLGSLSGEGLGFVDNNSGVYGGWRESVPNRSGSAPPSMEGSLAALGHMIGQQSGNLEATLGGKLGNVADSSKSEEQLRADPAYCDYYGSKVNLNPRLPPPLMSRESRRFMNRVGKVKEWRVVSQDDSNKGSLFIPRSTLSTHREEPEDDRSPRLDSSSAEDAQGSGKSGSNFDSHYTCMNLGDFASESFQQKAASLYDSSTHPSNSNTGDGISDHSDINSSTNFSIDAVKTSGLNSWTPVPVTNTVRSTHSNSISSTSVPSSSSPDNNPSMQTSQQEKPSIDIKHGNDVPGSGSILTELDTVNSNMKNLRISLDSHDTIHVKQQWPDNVLQQFGPSPLVQGDPIQMIPQGTHLPHVPFVENLSHTQLKLPTGDMQQFLPPPGMTTPFYAPNSFGSPYYQNLHPASVLPTPFGTAGYALSGSALPPVMTSYSPQVSVATPVDSPITPSFSGRPSGFPSPGNLSAGAEFVQPYKMYGQQLGVTMQPSVPDPNFFQFFQHPSLLSYAGINQYNTMGPRVSVVGNPADSFDPQKVLSQPAYPSDQRLQLPRTGIYNSPAARRGGAAPNYQGMTPYVGAPMTYPTSPVFQGQTFTGVFSPGRRNDSVRFQTPSRNMTAYSGVQGQREREKFDDPKACSFLEELKSNRARRVELSDIAGRIVEYSADQHGSRFIQQKLENCTAEEKASVFAEVLPHASSLMTDVFGNYVIQKFFEHGTPEQRRDLATKLVGHVLPLSLQMYGCRVIQKALEVMELDQKIELVRELDGNIMRCVRDQNGNHVIQKCIECVPTEHIGFVVSAFRGQVASLSMHPYGCRVIQRVLEHCGGDSQGQCIIDEILESACVLAQDQYGNYVTQHVLERGRGHERTQIISKLAGQVVTMSQNKFASNVIEKCFQHGDMTERDLLIREIVKQTEGNDNLLAMMKDQYANYVVQKILETCNEQQRELLLSRVKGHLQALRKYTYGKHIVSRVEQLCGEGDTESDS >ORUFI08G23190.2 pep chromosome:OR_W1943:8:23464760:23478898:1 gene:ORUFI08G23190 transcript:ORUFI08G23190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRDRRDFIKQALEQRNRFASSHFAYIESLRRVSMALQWFVAGDDHHELIFDPFISPLKQQKPELLGLPYGSYEKRTIHVSKYLRSGPNPSVSVEEQPRPVETIRIESHYPTDNFGGMDRFFAAQSSPMRPSSYYTPPYDRPNYPPPSPQEPVRNSYYMSYDRPSYPAASPQEPTRTSYYASYDRPSYPPPSPQEQESSQWDFFWNPFSSLDSFAYPRPRSSYDNVVTDDELARLQRCEPRNKVELEIKAHKKELMRNKVANAEETPGFTVYLNRRPASLAEAMKDIDSQFLGICDAAKEISVMLEASRAQYSTSNDLSAKMLNPVALLRSASSRSSSSRFLLAPTSSIEDLYDNETNSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIDYEKRLNHLRNQDVKGDEPSSVDKTRAALRSLHTRMKVSIHTVQSISARIEVLRDEEMQPQLMELIRGLSRMWRTMAERHKAQKRTIDDAKLLFLQRHPTAAAATATAIALSAPDAATPPPAAVALECEIRAWRGALETWLSAQRAYARALAAWARRCLGIGGAGAGGTAAAALPLAFAVCMEWGHAVDAASEARVMDGLDFFVAGVGSVCSGVATGMEGMAGRVLCAGMAAVTGAMAEFADASADGYDALVSAVAAGAPGRRKEEPTCGPQTTGLTLLLRAPPLGCCSSSWGGVGCGLVGRRAGSEEIARRRPGGIGLKSAVRLIGGTGSGNWSKDFGAFDSSLGSLSGEGLGFVDNNSGVYGGWRESVPNRSGSAPPSMEGSLAALGHMIGQQSGNLEATLGGKLGNVADSSKSEEQLRADPAYCDYYGSKVNLNPRLPPPLMSRESRRFMNRVGKVKEWRVVSQDDSNKGSLFIPRSTLSTHREEPEDDRSPRLDSSSAEDAQGSGKSGSNFDSHYTCMNLGDFASQKAASLYDSSTHPSNSNTGDGISDHSDINSSTNFSIDAVKTSGLNSWTPVPVTNTVRSTHSNSISSTSVPSSSSPDNNPSMQTSQQEKPSIDIKHGNDVPGSGSILTELDTVNSNMKNLRISLDSHDTIHVKQQWPDNVLQQFGPSPLVQGDPIQMIPQGTHLPHVPFVENLSHTQLKLPTGDMQQFLPPPGMTTPFYAPNSFGSPYYQNLHPASVLPTPFGTAGYALSGSALPPVMTSYSPQVSVATPVDSPITPSFSGRPSGFPSPGNLSAGAEFVQPYKMYGQQLGVTMQPSVPDPNFFQFFQHPSLLSYAGINQYNTMGPRVSVVGNPADSFDPQKVLSQPAYPSDQRLQLPRTGIYNSPAARRGGAAPNYQGMTPYVGAPMTYPTSPVFQGQTFTGVFSPGRRNDSVRFQTPSRNMTAYSGVQGQREREKFDDPKACSFLEELKSNRARRVELSDIAGRIVEYSADQHGSRFIQQKLENCTAEEKASVFAEVLPHASSLMTDVFGNYVIQKFFEHGTPEQRRDLATKLVGHVLPLSLQMYGCRVIQKALEVMELDQKIELVRELDGNIMRCVRDQNGNHVIQKCIECVPTEHIGFVVSAFRGQVASLSMHPYGCRVIQRVLEHCGGDSQGQCIIDEILESACVLAQDQYGNYVTQHVLERGRGHERTQIISKLAGQVVTMSQNKFASNVIEKCFQHGDMTERDLLIREIVKQTEGNDNLLAMMKDQYANYVVQKILETCNEQQRELLLSRVKGHLQALRKYTYGKHIVSRVEQLCGEGDTESDS >ORUFI08G23200.1 pep chromosome:OR_W1943:8:23479613:23482207:-1 gene:ORUFI08G23200 transcript:ORUFI08G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTHASTPAIAGGDTVLEQLRASRAAIVSVLATAVEAEAAIDAAGDRLGDMYSGLPSSSQLQSQAVAVRALRARIDRAVAPAGPLLAALRRVSALAEEAAAALPAADPGDAGGAAAFVGRVDRLRDAVEEAVARGDEAVRRVEEAVGFLGRTKAAGRGRVRRLAEAAAALRAVHEAEAEEMRFEGPLDEALLGLQDLFEELLLRLKHPGGGGGGGEVSDVEEEYELGTDDEAMMRLNPEYLKSYTPEDVDAMEWEALESAMALWGPHFHVAISGVLAAERRLCARVLAPLPPAVWPECFAKIAARIAAAFFRFADGVAAAAAREPQRLFRLLDMLDAVARERGRLDELFSGESATLLAIRERAREVERALARAAAAAFYEFGLRVETHYVAAAAAGESGHVPKIVRYAVNYLKCLASDDYRGTMDAALRAGAGDDDGGDSEALAEAASNVLEALHRHVEAARRALPDAVASHVMAMNSYWYIYMRARGSELASLVGDDTMRRRYKASAEEAAWEYQDAAWGPLVRLVSGSSSGAAKAWPSPEEAREKAAAFADALEERARRHGAEYKIPDGDLREQIKAAAAKAVRGAYAGFLRANDSAVASGGGRREFLPVDAIEGMVRRVFDEMGDGGGVAGSAGRTRSRRQSGNLEGFEG >ORUFI08G23210.1 pep chromosome:OR_W1943:8:23483234:23487162:-1 gene:ORUFI08G23210 transcript:ORUFI08G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEEEGVARGGGGKKLALASIGFADVRVGAVAGGGGAGAGGGYKEDLLVVGLPKDDDFDVAKVVGDVAVGLPDVGAAVRNFLRNREVGEFMSGALAGAMTKAVLAPLETIRTRMVVGVGSKHIFGSFVEIVEQNGWQGLWAGNTINMLRIIPTQALELGTFECVKRSMTEAQEKWKEDGCPNIQIGNLKIELPLHLLSPIAVGGAAAGIVSTLVCHPLEVLKDRLTVNREAYPSIGLAFNKIYQTDGIGGLYAGLCPTLVGMLPYSTCYYFMYETIKTSYCRAHKKKSLSRPELLIIGALSGLTASTISFPLEVARKRFMVGTLQGKCPPHMIAALAEVFQEEGIKGLYRGWAASSLKVMPTSGITWMFYEAWKDILLAPELHT >ORUFI08G23220.1 pep chromosome:OR_W1943:8:23487950:23492062:1 gene:ORUFI08G23220 transcript:ORUFI08G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRRRRVGAAVRWLSRLAPPAPAEADPVVVRVDGSNVARLGKPKPGPRPRQLLSLPPFPGGGDGDPLPGRKAAAPRRVTAVSWVKHYLADVPQEVVQAHFNKRLVYSECSDHEVSVETIKSQKHHLKKIKHNDVMEPGMRIHLPVSVAEGEIKKRYETIPTATLHPNKDEIEYLRRLVIHKDSAILVLNKPPKVPMKGNLPVHNSMDVLAAAALSYGNEEGPKLVHRLDRESSGLLLFGRTKESFTRLHWLFTSVNLAKTNSQVWNAACEAYMQRYWALVIGTPKEREGIISAPLSKVLLDDGKAERVILAHPSGIDGAQEAVTAYRVMGPTIHGCSWIELRPLTGRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQNPQPDFEPFTGEPYKLRRPEGLEIQKGSVLSKVPLLHLHCREMVIPNIAKFLSSNGEWHENGAPWSKEKPNLLRFIAPMPAHMKISWNIMSSYLV >ORUFI08G23230.1 pep chromosome:OR_W1943:8:23491382:23492563:-1 gene:ORUFI08G23230 transcript:ORUFI08G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLLPPWPLPSPASPSARLLLPELHSPARLAFPSSPSAARPPRRDGAYCPRAAPPHADAAAALMLAHAEAGDFASARSMWAQLLHSSAAPRLRAAAPRLLPAYARLGRCDEALLVVRELCARDPGAARALYPLAVTCFGAAGELALMEDAVREMARHGLPVDSATGNAFVCHYAASGTVPQMEAAYRRLKASRLLVSVAAIRAMASAYISHRKYYKLGEFVTDVGLGRRAGGNLLWNLYLLSFAANFKMKSLQRAFLDMVAAGFTPDLTTFNLRAVAFSKMCMFWDLHLTADHMRRDGVAPDLVTHGCFVDAYLERRLARNLNFAFDRLGAGEPVVATDAVVFEAFGKGGFHASSEVLLEATGGERRWTYYKLLGVYLRKQHRKNQIFWNY >ORUFI08G23240.1 pep chromosome:OR_W1943:8:23493577:23498840:-1 gene:ORUFI08G23240 transcript:ORUFI08G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAGGNHHQQQQQQQRLRQQQQQQALLMQQALQQQQQYQSGVLAAAAAAAMTQMEPISNGNLPPGFDPSTCRSVYVGNVHPNVTESLLIEVFQSSGLVERCKLIRKEKSSFGFVDYYDRRSAALAIMTLHGRHICGQAIKVNWAYASTQREDTSVMLESCGITKPDAPEEAETAITEMTGKWLGSRQIRCNWATKNNAEEKQETDNHNAVVLTNGGSSNPGMEASQDTGSKENPENNPDCTTVYVGNLGHEVNRDELHRHFYNLGVGAIEEVRVQQDKGFGFVRYSNHGEAALAIQMANGLVVRGKPIKCSWGNKPTPPGTSSKPLPPPLPSYQPVPMAGVPQGFSAADIVAYQRQLTLSQVAAGQIAGQHGLAGQVSAGLLAAGSQALYDGYPNQSSAQQLMYYN >ORUFI08G23250.1 pep chromosome:OR_W1943:8:23502821:23504221:-1 gene:ORUFI08G23250 transcript:ORUFI08G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSELEGLQDVEPSRFIAFSFPNPLLLLDHASDPYAHGGHEFLRVAVLDHSSPHPSPRTAAMLVPAGRHRDWIFSTRAGHLHLLLASRSQCSHLSRLILVGPELSAPSPSRVVVVAAAARPDPDPAHARLLPLLLALCPRAAFGGDAIPDVPLLSFHDDLLRLAPVKVVAGPVVGEMVVEDVAVDCAPRPAELRRRLRFKRMPFLVQTQVRLVRQLSPGDSLMLDALDEVGGGSLQPEVGGELVQPYLQAMAAGLAVIAPSMDESFRLGGKPRCLCAGIGGGALLMSIRMGLQCDVLGIEADGVVLDVARSHFGLVEDEFLQVRVGDAIQMIQDFAHQGDPDMNFSAIMVDLDSSDAICGVSAPPLEMTKESILLASRTILHHDGAFILNVIPPAADGSFYKGLIDVLRHVFSELYEINVSNGENFVLVATVSPVETILADSSGSVLTKLRKLAGDFLEHITRI >ORUFI08G23260.1 pep chromosome:OR_W1943:8:23504340:23511774:-1 gene:ORUFI08G23260 transcript:ORUFI08G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGGGGGGEEGEGRGATKVNQELWYACAGPLVSLPPQGSLIVYFPQGHSEQVAASMRKDADAQIPSYPNLPSKLICILHSVTMLADPDTDEVYARMTLQPVSNVTQCDKETLLASELALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPRLDFSMQPPAQELQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLLAGDSVLFIRDAKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSQFTIYYNPRASTSEFVIPFAKYQKAVYGNQLSLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKTSHWRNIQVAWDEAAPTERRTRVSLWEIEPIIAPFFIYPSPLFTAKRPRLPGMTDDETEMDGLLKRAMPWVGEEICKKDLNIQNSVVPGLNLAQWMNMQHSSSLPGTVVQPELLNSLSGKPVQNLAAADLSRQISFHPQFLQQNNIQFNTALVPQQNQQTEQLAKVIPTPNQLGSVIIPQKVVQDCNSEQRQHVVTQPVQGSQPNINIPQPQLVVQAQLQQPQVILQAQLQQPQVVVQAQLQQTQPSVQSHTVLQGGLQQIQLLQQQQPHVQHQQIPQQLHHHQQQTQQLQPVQQVQQSVQEHQQIKIQPVHVSMDASMNTQVADHQMKLQLLKALQPQQPLISEQQKMLLDLQQQVINSQSAPQQCVQVTNQAISLHNSNTIQYPTQQKVQSHQVQDLTGNVIPNSKSDIATSMGASSLHVAGGHQLLKTDDVPSTSTSPSTNSNPVLLQSIPSSSKNQSLTTAGKTSQSSVVLGPTIEQDTKPYQNVKQTVMIPKTTEHRPATGQDCINNNPQMDYLDTSSSATSVCLSQADGSLQQNFPPSSFHQHHLLKDTVPDSEFEVTDPRNNLLFGVNIDGQLGLPLNADLLANDIGTDKYMDQLPGNGISNFISSKDSQQELSSSMISHSFGVADMAFNSIDSAINDTPFLNRNSRSAAGPAHQRMRTYTKVHKRGAVGRSIDINRYSGYDELKHDVARMFGIEGQLGDQNRVGWKLVYEDHEKDVLLVGDDPWEDFVKCVRCIRILSPQEEMQMRLVGDFGDSFLPNQACSSSDGAHSSRRFFVAAGAGAAASPVDSLAGGGWTGRNLRSTLSPRH >ORUFI08G23260.2 pep chromosome:OR_W1943:8:23505515:23511774:-1 gene:ORUFI08G23260 transcript:ORUFI08G23260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGGGGGGEEGEGRGATKVNQELWYACAGPLVSLPPQGSLIVYFPQGHSEQVAASMRKDADAQIPSYPNLPSKLICILHSVTMLADPDTDEVYARMTLQPVSNVTQCDKETLLASELALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPRLDFSMQPPAQELQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLLAGDSVLFIRDAKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSQFTIYYNPRASTSEFVIPFAKYQKAVYGNQLSLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKTSHWRNIQVAWDEAAPTERRTRVSLWEIEPIIAPFFIYPSPLFTAKRPRLPGMTDDETEMDGLLKRAMPWVGEEICKKDLNIQNSVVPGLNLAQWMNMQHSSSLPGTVVQPELLNSLSGKPVQNLAAADLSRQISFHPQFLQQNNIQFNTALVPQQNQQTEQLAKVIPTPNQLGSVIIPQKVVQDCNSEQRQHVVTQPVQGSQPNINIPQPQLVVQAQLQQPQVILQAQLQQPQVVVQAQLQQTQPSVQSHTVLQGGLQQIQLLQQQQPHVQHQQIPQQLHHHQQQTQQLQPVQQVQQSVQEHQQIKIQPVHVSMDASMNTQVADHQMKLQLLKALQPQQPLISEQQKMLLDLQQQVINSQSAPQQCVQVTNQAISLHNSNTIQYPTQQKVQSHQVQDLTGNVIPNSKSDIATSMGASSLHVAGGHQLLKTDDVPSTSTSPSTNSNPVLLQSIPSSSKNQSLTTAGKTSQSSVVLGPTIEQDTKPYQNVKQTVMIPKTTEHRPATGQDCINNNPQMDYLDTSSSATSVCLSQADGSLQQNFPPSSFHQHHLLKDTVPDSEFEVTDPRNNLLFGVNIDGQLGLPLNADLLANDIGTDKYMDQLPGNGISNFISSKDSQQELSSSMISHSFGVADMAFNSIDSAINDTPFLNRNSRSAAGPAHQRMRTYTKVHKRGAVGRSIDINRYSGYDELKHDVARMFGIEGQLGDQNRVGWKLVYEDHEKDVLLVGDDPWEDFVKCVRCIRILSPQEEMQMRLVGDFGDSFLPNQACSSSDGGHPWRITGD >ORUFI08G23260.3 pep chromosome:OR_W1943:8:23505515:23511774:-1 gene:ORUFI08G23260 transcript:ORUFI08G23260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGGGGGGEEGEGRGATKVNQELWYACAGPLVSLPPQGSLIVYFPQGHSEQADPDTDEVYARMTLQPVSNVTQCDKETLLASELALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPRLDFSMQPPAQELQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLLAGDSVLFIRDAKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSQFTIYYNPRASTSEFVIPFAKYQKAVYGNQLSLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKTSHWRNIQVAWDEAAPTERRTRVSLWEIEPIIAPFFIYPSPLFTAKRPRLPGMTDDETEMDGLLKRAMPWVGEEICKKDLNIQNSVVPGLNLAQWMNMQHSSSLPGTVVQPELLNSLSGKPVQNLAAADLSRQISFHPQFLQQNNIQFNTALVPQQNQQTEQLAKVIPTPNQLGSVIIPQKVVQDCNSEQRQHVVTQPVQGSQPNINIPQPQLVVQAQLQQPQVILQAQLQQPQVVVQAQLQQTQPSVQSHTVLQGGLQQIQLLQQQQPHVQHQQIPQQLHHHQQQTQQLQPVQQVQQSVQEHQQIKIQPVHVSMDASMNTQVADHQMKLQLLKALQPQQPLISEQQKMLLDLQQQVINSQSAPQQCVQVTNQAISLHNSNTIQYPTQQKVQSHQVQDLTGNVIPNSKSDIATSMGASSLHVAGGHQLLKTDDVPSTSTSPSTNSNPVLLQSIPSSSKNQSLTTAGKTSQSSVVLGPTIEQDTKPYQNVKQTVMIPKTTEHRPATGQDCINNNPQMDYLDTSSSATSVCLSQADGSLQQNFPPSSFHQHHLLKDTVPDSEFEVTDPRNNLLFGVNIDGQLGLPLNADLLANDIGTDKYMDQLPGNGISNFISSKDSQQELSSSMISHSFGVADMAFNSIDSAINDTPFLNRNSRSAAGPAHQRMRTYTKVHKRGAVGRSIDINRYSGYDELKHDVARMFGIEGQLGDQNRVGWKLVYEDHEKDVLLVGDDPWEDFVKCVRCIRILSPQEEMQMRLVGDFGDSFLPNQACSSSDGGHPWRITGD >ORUFI08G23270.1 pep chromosome:OR_W1943:8:23511845:23522814:1 gene:ORUFI08G23270 transcript:ORUFI08G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRRGGGEFEERTEETRTQLGNGDLQLQAASRVERDSIREIHTRERADSKTRSAASSIDSTSLCIQRWTMAGRHGVLLQLGMVVASLRAFDPMGTTHCAARAPGSITANTAGNPVPAQLKWAVRTTAELRRAATADAEGFFGIAECWDPTEYTG >ORUFI08G23280.1 pep chromosome:OR_W1943:8:23522830:23523534:-1 gene:ORUFI08G23280 transcript:ORUFI08G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVESPGGGVTLKRKGGEEPELFICPGDVDGGLPLACRATKMRRLVRDDDAAAADDVAMGEAPSAAEVAAVGEGAMVVYEPVDSVGGVGLLGQLRRRLRPWASLRAGAEWIRDMLREADSRTVLRLLSGAEEEEEDGAGMALVPWGSAPAAAGDSMAEDTVGVSSSSSSEEEDGEGSAEAMEVEEEGAHLAGAGAAAGCGEGYLFRRWPQHCMPPPKQQLPAIGQASPVMWW >ORUFI08G23290.1 pep chromosome:OR_W1943:8:23523635:23524506:1 gene:ORUFI08G23290 transcript:ORUFI08G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLYGARRERSGRVEKKKARKTGEASRQRVTWAAHKATILGRMGDGPNWWWAEWRKPRRGVARGRNLQ >ORUFI08G23300.1 pep chromosome:OR_W1943:8:23524535:23525533:-1 gene:ORUFI08G23300 transcript:ORUFI08G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRRLRLLLLAATLAAAAAAVAGVEEEEAFCGVESMPDAATLRPDRLTVLLSGYSERRLPLLRAIAGAYAAHPLVLAVVVLWSNPSTPDSLLSSFPPAVTLHRTASASLNSRFLPHPSIRTAAVAVADDDVLPDAAALSFAFAAWQQRARPGTLVGFFPRSHHLDLARGRWAYGAAARQQARYSMVLTKLLVLGAGLLREYSCSPELAAARAVVDRERNCEDILMNFVAAEESGEGPVLVEAGSIRDWGDPRNDDADAGAGAGGEEMKAVGLSSTGGAAHWEKRGDCITEFHRLLGRMPLRYSYGKVVAAAGGEQALCSKRGRLVRCDQE >ORUFI08G23310.1 pep chromosome:OR_W1943:8:23525977:23528880:1 gene:ORUFI08G23310 transcript:ORUFI08G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQITPSIKIEGPHNFNIYAKRAINICFLLCYTMLYFVLSIIRLQFKDDGGVAEHGVEIGGEEMREQVDGVSTIRQVVGEEVIRRWLACVHQRLPAVAWALWESNNRRQMAGVEGHVDLAFRFIFL >ORUFI08G23310.2 pep chromosome:OR_W1943:8:23525744:23528880:1 gene:ORUFI08G23310 transcript:ORUFI08G23310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQWCGAAGLDRWVGRWRCTLSPAALPVPSRRTRRKGGVVGCVSVPREVAAAAAVEPAAPPEAEAETEEEGVECEGCNGAGWLLCDFCKGKKNNVKSESSRIYRRCPTCKAAGYILCPRCRVYKCITYPESNDS >ORUFI08G23310.3 pep chromosome:OR_W1943:8:23525789:23526354:1 gene:ORUFI08G23310 transcript:ORUFI08G23310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQWCGAAGLDRWVGRWRCTLSPAALPVPSRRTRRKGGVVGCVSVPREVAAAAAVEPAAPPEAEAETEVSYLACSLSSPALCRSSVWCNRAILTPTGRAHGSARQQWCPVVVLSRKSNRLPFVTVLTLTSLRRPIYHRRFRSLGARLDPGQGGELAVQGEKNLAG >ORUFI08G23320.1 pep chromosome:OR_W1943:8:23528272:23535101:-1 gene:ORUFI08G23320 transcript:ORUFI08G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPHCLSARPLVVAAAPGRPGPGPGPWLRGGARRRNAAFSAGNAGRRVGLRRSVASAVEVGVGEDEEEGVEEEEEEEVEAVVMPERYALGGACRVLAGMPAPLGATALDGGVNFAVYSAGASAASLCLFTPDDLEADEVTEEVPLDPLFNRTGNVWHVFIEGELHNMLYGYRFDGMFAPHCGQYFDVSNVVVDPYAKAVISRGEYGVPGPGGDCWPQMAGMIPLPYSTFDWQGDLPLRYPQKDLVIYEMHLRGFTKHSSSNVEHPGTYIGAISKLDYLKELGVNCVELMPCHEFNELEYFSCSSKMNFWGYSTINFFSPMIRYSSGGIRNCGRDAINEFKTFVREAHKRGIEVIMDVVFNHTAEGNEKGPILSFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVREFIVDCLRYWVTEMHVDGFRFDLASIMTRGCSLWDPVNVYGSPVEGDMTTTGTPLATPPLIDMISNDPILGDVKLIAEAWDAGGLYQVGQFPHWKIWSEWNGKYRDIVRQFIKGTDGFAGGFAECLCGSPHLYQAGGRKPWHSINFVCAHDGFTLADLVTYNKKYNSSNGEDNRDGENHNLSWNCGEEGEFAGLSVKRLRKRQMRNFFVSLMVSQGVPMFYMGDEYGHTKGGNNNTYCHDHYVNYFRWDKKEESSDLQRFCSLMTKFRKQCESLGLADFPTAQRLHWHGHQPGKPDWSETSRFVAFSTKDETKGEIYVAFNASHLPAVVGLPERPGYRWEPLVDTGKPAPYDFLTDDLPDRAHAVHLFSHFLTSNLYPMLSYSSIILELQPDD >ORUFI08G23330.1 pep chromosome:OR_W1943:8:23542429:23543687:1 gene:ORUFI08G23330 transcript:ORUFI08G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKDSYLDLVLIPAGIVFPIVYHVWLWHVVRRRPLSSTVGINTATRRLWVLGMMKDNEKKAVLVVQSMRNVIMGSTLMATTAILFCTGVAAILSSTYTVKKPLSDAVFGAHGEYMMALKYVTLLLAFLLSFLSHTTAICTLNQATFLLNTLSSSSSFAADIAGLPVTKDYVADVLERGFLLNLVGNRLFYAGVPLLLWIFGPVLACLCSVVMIPILHSIDVVYVDGSSKGEANARVEMVYESDESVMQV >ORUFI08G23340.1 pep chromosome:OR_W1943:8:23547086:23547523:-1 gene:ORUFI08G23340 transcript:ORUFI08G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSPLPPPQDAIANLVTALATAHAATATGKTDDLPATLTQAQAAQDAITVSDNTITTTPSPSTVVVQPGVRDVVLATTAPTPRAQDAVPTNVTPALPPPKRSKRQDACVCCPRHEKLLYHASVLREKRNGSTYAQLVRANFN >ORUFI08G23350.1 pep chromosome:OR_W1943:8:23551825:23556827:-1 gene:ORUFI08G23350 transcript:ORUFI08G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEEETSSMSPWSSSLLSAAASSQPLLLNPAYARSKSVIHDELRSFRVFLQWCALDHSSRAARAASYAAFLALALAVPAAVSLSLRADAGASPVSASAITFNRVAQAPATGLAAISFAALASFFRRGGGLRQLLFLDGGLRDDTAFVRRGYARELDRAFRLLAALLLPSLCVEAAHKAVFFFATVRVEPPLPLPGVGVPWRAVALVATVASWVYRTGVFLLVCVLFRLTCELQILRFEGIYHMFDVEARAAAAEIFAEHRRIRTQLLATSHRYRAFILSCLVTITVSQLGALVVALSSKDGKSFANSGDLLVGSSVQLSGFFMCLFGAARITHRAQRIVSIASQWHMSMEAVAHHAKSAPLPAAPSSSASDVDAPHQPELGTTTTAACAYRSRHALVTYLCHNSGGITLFGFTLDRGLLHTIFAFEMTLVLWILSKLEKEGLSGELDLAPLKSLTGLRTLSFMDNEFAGAMPDVKGLGGLRAIFLSGNKFSGEIPADAFAGMGWLKKVSLSRNGFTGAIPASLAAVPRLLDLQLNDNKFTGKIPDFPQKDLKVFDVSNNELEGEIPASLKSIDPQMFEGNKKLCGAPVDAKCEAPSPAATTSPPAATSGKIGTSPSPPAAAETTTTGTVPAEEGTQGATKPTKGSTSFGVLAAFLGTLAIIGFAVVALQRRREYNTQNFGPAASTKPTLPSAPASPATKPTHAAAAATAAAATTGGGGARSSSVSGSTARGGGGKAGEQGRLTFVRDDDRGRFFELQDLLKASAEVLGAANLGVCYRATLTGGHSVVVKRFKEMNRVGKEDFEEHMRRLGRLSHPNLLPLISYYYRKEEKLLIHDYVPNKSLAHLLHGEGRRVKKLVHWPARLKLVKGVARALQYLYDELPMLTVPHGHLKSSNILLNDRFEPLLTDYSLVPVMNQSHSAQLMVAFKSPERRQSSPAGRRPYAPPPQPEAATANGDLVGAVASTPEGEWLEKVVDADMIRKGEDEESKGEMVKLIKIGMACCEAAVDSRWELKTAVESIEELKGGKEEDANDEHSFYSSIDGDEFASVAIN >ORUFI08G23360.1 pep chromosome:OR_W1943:8:23557728:23562863:1 gene:ORUFI08G23360 transcript:ORUFI08G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVDNRSQSAGKRARTDGGRREDDWVCPSCQNVNFAFRTTCNMRNCNQSRPTDYTKDMQKPMQTPPPHFPMSGGYMSPGTPPSMYLGGGAPPYGTSLYGGPALPRYGIAQFPGGSGYPYGYGGRLPMGSPYGPPMHMAGPPYSAGSMMGLGGMYGMPMDRYSLGLPAGPGPMGARAGSYSEEGSQKKPAGAGRDNDWKCPNCNNINFAFRTVCNMRKCNTPRPENQGSKPDGARGPKPKMPEGSWKCEKCNNINYPFRTKCNRPSCEAEKPFQTNNANESSADQDNQLLSKLQLSHDFQDNNEQTKVDPPGGPAGVPTSSHALRMAALNGILEHFVIL >ORUFI08G23360.2 pep chromosome:OR_W1943:8:23557728:23562481:1 gene:ORUFI08G23360 transcript:ORUFI08G23360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVDNRSQSAGKRARTDGGRREDDWVCPSCQNVNFAFRTTCNMRNCNQSRPTDYTKDMQKPMQTPPPHFPMSGGYMSPGTPPSMYLGGGAPPYGTSLYGGPALPRYGIAQFPGGSGYPYGYGGRLPMGSPYGPPMHMAGPPYSAGSMMGLGGMYGMPMDRYSLGLPAGPGPMGARAGSYSEEGSQKKPAGAGRDNDWKCPNCNNINFAFRTVCNMRKCNTPRPENQGSKPDGARGPKPKMPEGSWKCEKCNNINYPFRTKCNRPSCEAEKPFQTNNANESSADQDNQLLSKLQLSHDFQDNNEQTKVDPPGGPAGVPTSSHALRMAALSELQNSVKS >ORUFI08G23370.1 pep chromosome:OR_W1943:8:23570637:23571206:1 gene:ORUFI08G23370 transcript:ORUFI08G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPAAHAPKNLGLRGVRRRLWGRWAAEIRVPRGHRAAARLWIGTFPSPAAAALAYDAALYCFHGGAPPGNRAFNFPHAPRLRIDDRRRHALTPGHVRAIAERYAHDVGSVLFRPLPPPPPPVAAAAVPVFAAPAPPMAPAPANHAADPYYCNEPDTTTDEDVMAAADRLLSMDIEEVAALIAIVQQGE >ORUFI08G23380.1 pep chromosome:OR_W1943:8:23573426:23574943:-1 gene:ORUFI08G23380 transcript:ORUFI08G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVAAKPCCLFRISSVAAVAAGRRRPWRAAAASGNAHAEAEGDEDGQSLSARARGRRARLSARRRERIVVVEGGGVGGIGEFLRQPAGVESLLNTRALQSFAAVDEAPGANTFRCTLQSIGFLGFQVAPVLDLRVAPTCHDCTVEMLSCRFEGSGSVEQQNELFSAFMSNHITWKDDGEEPCLDIDVNLEVTLEVYTKPFSMLPLSAVETPGNLLMQGLLDRLVPLLGEQLLRDYHSWVQLQQQQPEISSLETAEIDAS >ORUFI08G23390.1 pep chromosome:OR_W1943:8:23593422:23595341:-1 gene:ORUFI08G23390 transcript:ORUFI08G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATKLKQINLGQQNLKVFGRLIRLWDAKNMASASTPTIFSIDGVILDEEGTMVQFTIPKKLENEFRPSLTLGCVYMFVDVNTVDIKNKKYIYHHQKYMLQFKSSTKVYHLESRGSSIPNYGFEFCPFDQIPSKSGISKPLIDLIGVISHVGPYDYAGKTSSKKNRKLKIRSKDEQEQEIVLWGEYGGSFDEAFVLQKSTDHKIVVAILAGLTAGTYLGKTEATSSSATQIYFDSDITEIAEYQSRYKLPLTIKDESGNESGTLDAVAFYNVAEDLVEVNATQATQNLKIDATKHAIALDIAIGKTRLFHIAMNTKYSSHFTINYVLKKSYPVENENTSLILPTLENTKVAK >ORUFI08G23400.1 pep chromosome:OR_W1943:8:23601529:23602037:-1 gene:ORUFI08G23400 transcript:ORUFI08G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWGRSVWTRQPAIRRWGEASGLGNPSAIHPMGRSIWNPGTIHGEINRPLPIFLASRNDVSSNLCLSVRHHPSIRKPQIHTSLDIIASSCKHILQFGHDCDHGKIG >ORUFI08G23410.1 pep chromosome:OR_W1943:8:23603816:23604046:1 gene:ORUFI08G23410 transcript:ORUFI08G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFFCFFAGLCIGISIQANDEEQQRRRRLVELERRGEEREKQVAEMVSREEDRERERKKNLDKLDQIVQILRNL >ORUFI08G23420.1 pep chromosome:OR_W1943:8:23605017:23610198:-1 gene:ORUFI08G23420 transcript:ORUFI08G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSRAAAAAASLPLLLLVALLVAAEGRRHKDGSGDEEAKACDKGWECSGSRFCCNDTITDYFKAYQFEELFAHRNDRSLAHAAGFWDYHAFITAAALFEPRGFGTTGGKEVGMKEVAAFLGHVGAKTSCTNYNYGIIGQGIKQDLLNHPELLEQNATLAFEAAIWRWMTPMKRKQPSAHDVFVGNWKPTKNDTLSKRYPGFGATMNILYGDLICGQGSIDKMNVIVSHYQHYLDLMGVGSDKAGDNLDCADQPLLTVNKARRTGMELTNVPHIAASSRFFSSCSRCTCRAEPIDGSIIQNGVRNVLSKVKAAGMLRLAFHDAGTFDIADKSVSWADLIAVAGAESVALCGGPEIPDQAKFFADFKDAYIKLVNTGASWRSA >ORUFI08G23430.1 pep chromosome:OR_W1943:8:23612387:23617165:1 gene:ORUFI08G23430 transcript:ORUFI08G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETGYYDVLGVSPTATESEIKKAYYMKARQVHPDKNPNDPKAAENFQALGEAYQVLSDPTQRQAYDAHGKSGISTEGIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDNFGEDEHIDTRREEKLAETLKNRLHIYVQGNKEEFVQLAQAEVTRLSNAAYGTVMLNTIGYVYSRQAAKELGKKAIFLGVPFVAEWFRDKGHFIKSQVTAAAGAIALMQLQEDLKKYLSAEGHYTEEELEMFMQNHKKVMVDSLWKLNVADIEATLSRVLQDGSVRREELRARAKGLKTLGKIFQRVKLNNDEGEASDMRNIDNMDDNDGSSPDTSPRREPPYNPIPNPPHAQSPYVEAPQFGGTYYPFNFPMPTAPPGAQRDPIP >ORUFI08G23440.1 pep chromosome:OR_W1943:8:23617355:23630737:-1 gene:ORUFI08G23440 transcript:ORUFI08G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCWASQDGYDWFGWHRFVMRSDLESLDGMVTFICGLVVLRNDDDGDDHVAVPPSNLGSQLGAMVGSADGSDVSFSVGGETFHAHRAVLAARSPVFRAELLGSMAEATMPCVTLHDIEPATFKALLHFVYTDVLPPLLGTSDLLEPLLAAANRYALDRLKLMCAQKLWELVSVETVATTLICAEMYNCPELKSRCFNFLTADSNFKKVVVTEGYFHLGQSFPLPTCLSRFSQRQIAYVCPKYLGARVGRDGCHNSDLCRDGCHNCPELRSRCLDFFTANSNFKNVVLTKGYFQLGQKACHRRVLARNKNLGRGAQCDDFIAVFLLLTEIDPKINVIFEVFLMNKDGKPSSLCAKNSSIDVIRGTSSGFRFLGWHHFIGWHRSIGWHRFITRSDLESIYVIDGMATFICGLVILGDGGAIAVPPSNLGGQLGAMVGSADGSDVSFSVGGETFHAHRAVLAARSPVFRAELLGSMAEATMPCVTLHDIEPTTFRALLHFVYTDVLQIIEGSSSSTTASTSDHLLHHQRLLAAADRYALDRLKLMCAQKLWESVSVETVATTLGCAEMHGCPELKSKCLDFFMAESNFKKTFHVHRAVLATRSPVFKAESLGSMEETTVCHASRCTTSTRPRSKLYYTSFAELKLEYPGTTTTTNSFAVGDGFDKRVGDGEQSWVIRCYPRGYREEDNGEYVSLRIGVPARSNTVRAIFHTFLMRRDGGVGAPSIICSDRAFPMSVPGHPRGYGGAFRHLVRRSDLEPLYAVDGVVTIVCGVVVFADAGGGGGGDDDDGGPIPVPRSNLGGQLGGIVDRADCSDVSFSVGGETFHAHRAVLAARSPVFKAELLGSMAEAAMPCVTLHDIDPATFKALLHFVYTDALPSPSTSSSSSSTTTGFFESLLVAADRYALERLKLMCAQKLWESVSVETVATTLGYAETYHCPELKSKCLNFLMAESNFKKVAVTNGYFHLRQDFPLIIEEIKKRIES >ORUFI08G23450.1 pep chromosome:OR_W1943:8:23630817:23664727:-1 gene:ORUFI08G23450 transcript:ORUFI08G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGGFIELKLRYEDLAAGDFVRSDDIYAGGHTWRVLCYPRGGGAMNSNGQRIGGEYLSIKLDLVTRSTNVRAIFAAFLVHLDGHPSPVHAKSFVAVYPLGAGAGSGGRGRGKAAAGWMYFASRSELEKKFVSGDGWVTVVCGVLIPSDSPQALSPPPPPPPSSGGGGGHIGRLLYGAADDTADVALVVGGETRSARTAPCSPRARRSSRPPSSATAPSVALRDMDLAAFRAVLHFIYTDALPDDIDELAGFSPVDMFQHLLAAAERYELGGLKLLSTKKLLDNVTPENVAGIIVCAETYGCPELKKKCLDYLAREDEHFRKAATTQGYLRLLQDFPSLMDEIRTTKNHAVGSLVCSDEFSAGGHLWRIESYPHGTKTAAKNGGEYVSLFVSLMSKSGSGAKAFFVADVLNGGSTPFVDIVGTPYPYGMRITAIREFKRNGDNWGWHQFLNRADLEKNCVTGSGLVTFICGIAVLCRGGEKFAAHRAVLAARSPVFRAQLFGCMSDATSSCIMLQDMEPAIFRALLRFIYTDDLPGDTGELDGSPIDTFLQHLLAMADRYALDRLKLMCAQRLMQDMTADSVADILACAETYNCPELKNRCIDFFAAENNFKKAAFTDGFAVLLQKFPVIAAELKKRVGIYMANSNFVELELDYSATNDGRAIGDVVRSGVFSAGGHSWRIRCYPRGTKELEAESNGKYISIFLELVSKSKNIKAIFDVFLMGKSGQPSSSVAMRCVQVYPPKSYTAWGWPQFAKLSYLKSSSHMVDGKVRIMCVVIVPRDNDAAMSVPPSDIAAHLGSLLDRGDGTDVSFLVDGETFPAHRAVLAARSPVFRAELLGPMAEATMSCVAVHDIEPATFRALLRFIYTDELSEDGIEIESSSSTTTMMVMTSELLQKLLAAADRYDLGRLKLMCAKKLWEMVSVDNVAMTLFYAEMHSCPELKTRCLDFFVADKNFKKAVLTAGYVQLVQHFPSAQFRHWLTLSSRSSSARPTPDSTASPSSSAARAFPAHRAVLAARSPVFRAELLGPMAEAKMSRITIHDVEPVTFGSMLRFIYTDELEEKDSMTTDLLQNLVAVADRYDLSRLKLICAQKLWEKVSVENVATMLIYAEMHGCPELKTSCLDFFVQEENFKEAVLNEGYAQLVQHCPSVIDEIKVYIIRNMGVRYPLAIAFWFAAPKAGMPDSGSLELILDYEATNHCAIGDALWSDVFSAGGHSWQVQYKPRGRKEDKGEYLSLFLELASESMDIKAIFDVFLMGKDDEPSSTHTKRFVKVYSPKETSWGWHQFVKRSDLESSCVEDGKVRIMCVVIVLRDNTTVPVPSSSDMGAHFGGLLDRGDGTDVSFLVDGETFPAHRAVLAARSPVFRAELLGSMAESKMSSITLHDIEPLTFRALLRFIYTDELPADDGGDQLKTTAMATDELFQKLLAAADRYDLSRLKLMCAQKLWEAVSVDTVAATLVHAEMHGCPELKSSCLDFFVQDKNFKEAVLTEGYVQLVQRFPSIKDEIRGRTERKKGGGCLLAGRMARRGNHRAEKLASMRGEREEAGRPMNGTGRLARGRGGRRVGWGGRRACEEREKAGKRAEGDSHRAGRLMNGTGRPANKAGKRAEGDGHRCTEGEEVGRPSARRSWWPQLPASSPVTPPVVSILTTATLPVSILLLLPHPRLLAADVMGSFVLMLVPKSFESDHARVRGQQQPNVCQGLMAGNTATGDCQTGDGERRVRLREAWAFRRWRLEDRGGPGVPVASRPLGQAPGDLAPSTRRAGEETRRDCPSATTMNMAPASGFLELKLDYSATNAYAVGDMLTSDVFSAGGFTWSVDYYPRGYEEGNNGDYLSLFLKLVTKSNNIKAIFDVFLMEKSGQPSSSVAERCVQVYPPKGYTAWGWPFFVKRSDLESSHMVDGKVRIMCVVIVLRDDDDNNNGVPVPPPPPPDDVTGHLGRLSVPPPDIGIHLGHLLDSGDGTDVSFVVDGERFAAHRAVLAARSPVFRAELFGGMSESTSSCITLKDIDAATFRALLRFIYTDDLPAADAGKLNHQGSSTTGAFFQHLLAMADRYALDRLKLMCGQRLLHNMTSDSVAEILACAETYDCPELKNKCIDFFAVEENFRRAVFTDGFALLVQKFPLIAAELKKRIVKPMAPAAGFVELKLDYSATNASAIGDPINSDLFTAGGLTWRVNCYPRGDKADNNGDYISLYLELVSKSKNIKAIFDAFMVDEHGNPSDGSNRLVQVYPPAGYPAWGWPRFVKRSNLSSVFVVDGKVRIMCVVVVLRDDDGDGGDGNRVPLPSPGVTGGHLDGGLLPLPPPNIGVHLGGLLDSEDGADVTFVVVGGGGERFAAHRAVLAARSPVFRAELFGSNSESTSPSSSSCITLQGIEPAIFRALLRFIYTDELPADAGKLHEGSSSTNVFFKHLLAMADRYALDRLKIMCGQRLLDNMTADSVAAILVCAEMYNCPELKNKCIDFFAVEENFRKAVFTDGFALLMQKFPVIVAELKKRVEKLRSGPIDASSNMVSSGFIEYKFDYQQIHKLAIGERLPATTISTGEHNAKIMCYPHGFGDGNGEYISLLRDAETD >ORUFI08G23460.1 pep chromosome:OR_W1943:8:23638913:23643544:1 gene:ORUFI08G23460 transcript:ORUFI08G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISPNRLQTAPRLLLLRWLANCNRRRRGRRRGAMDGGGGNWRPTQGADPAAAGGIDLSAPAPAPAPAGGDWRSQLQSEGRTRIVNKILETLKKHLPVSGPEGLNELQKLAVRFEEKIYTGATSRSDYLRKLSLKMLSLETKTQQSPGNAQVIQNQNPPGSGVTMLPKNICQVLRKETKENGEIN >ORUFI08G23470.1 pep chromosome:OR_W1943:8:23666389:23668786:-1 gene:ORUFI08G23470 transcript:ORUFI08G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWVRQAEVWVGQAERWIRQQPPEQVYVAVAVVAVTVLLLVAVSCLKSSKANTIVLSGLSGSGKTILFYQLRDGSTHQGTVTSMEQNNDTFVLHSELERRGKVKPVHVVDVPGHARLKPKLDEVLPQAAGIVYVVDAQDFLSTMHAAAEYLYDILTKATVVKKRVPVLIFCNKTDKVTAHSKEFIKKQLEKELNKLRESRNAISSADITDEVKLGNPGEAFNFSQCQNKVTVTEGAGLTGNVSAVEEFIREYVKA >ORUFI08G23480.1 pep chromosome:OR_W1943:8:23676093:23683786:1 gene:ORUFI08G23480 transcript:ORUFI08G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDEAAAAAMAARARETLRKSASSSSSSPYARSTDDGPVASASCDARLERCCREVGAAVAVVEEPERVVSGGGALPEFVGEGGGEGIYRVPLRAAMHPGRPPPLEVRPHPLRETQVGSFLRALACEPRRRQLWAGSESGVRVWGLDDVFAAAGCGARRGDEESAPFRESVPVPPVLCVEADASNALVWTGHKDGRIMSWRMDLAAGSDDDDAPLFREALTWQAHSRTPVLSMVITSYGEIWSGSEGGVIKAWPWDVIAKSLSLMPEEKHVAALRIERSYIDLRNNAAAGNISSFPAADVKHMLADHSRAKVWCLTSMAFAVWDARTRELLKVFGMDGQIELEAPVMPEQFIEEEIKAKPVKKDKPQSSFNFFQKSRNALMGAAGAVRRVATKGTFVEDNRRTEAVVQAMNGTVWSGCTDGLIIMWDGNGNRLQEFQHHCSSVQCMKALGERVWVGYASGIIQVMDVEGNLLAEWTGHSCPVIQMAIGGSYVFTLAHHGGIRGWPLASPGPLDDILRTELSNRELSYRRLVNIKMLVGTWNVGQEKASYESLMSWLGRAFFDVDLVVVGLQEVEMGAGVLAMAAAKESVGLEGSANGQWWIDNIGRTLDEGISFHRVGSRQLAGLLIAAWARKDLKPHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVYDRRICFVNNHFAAHLENVSRRNADFDHIYRTMTFNKPHGSAASATSVQLHKTVNANGNQVDEDIPEMAEADMVVFLGDFNYRLYGITYDEARDMVSQRSFDWLKERDQLQAEMRAGKVFQGMREGLIRFPPTYKFQRHLPGLAGYDSGEKKRIPAWCDRILYRDSRDVLTAECSLECPVVAKITSYEACMGVTDSDHKPVRCAFSVDIARVDEFTRRQEYGKILQSDKRLHSLLRESHFVPDTIISTNNIILENQEHVVLRITNDCQRNKAAFEILCESQSISKQDGTKSEFPPRASFGLPLWLEVEPSVGLIEPGQTMEVTVHHEDYYTQEVFVNGVLQNCWCEVTRDKEAVLLVNVTGSTSTETITHRINVRHCCSTISASPPINPPSITTPSVDVLSGEASTRSSKKNPLNYLQRSDFKPFGSSEVHDLCPL >ORUFI08G23490.1 pep chromosome:OR_W1943:8:23686294:23688437:-1 gene:ORUFI08G23490 transcript:ORUFI08G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLGVFLASLLLAAVAPARAAGGGCAAERFSSNRVYAACSDLPHLGASVHWTYDAAASASLSVAFVAAPPSPGGWVAWGLNPTGGGMAGTQALVALPKGGGGGYEVQTFDIEGYSLSAPGKLKYPATDLAAEVAADGRVSVFGKLALQNGTAEVNQVWQVGPVSSGSMVPHAMSSDNKAAMGKLNLLTGAATSSGGGGSNLRKKNTHGILNAVSWGLLLPMGAIFARYLKTFKSADPAWFYLHVACQLIGYGVGVSGWATGIHLGNLSKGITYSLHRNIGITVFALGTLQIFALFLRPKKDHKYRIYWNAYHHSVGYTIIILGIVNIFKGMSILNVEQKWKTGYIITISILGGIAVILEAVTWSIVLKRRKEENKSYNGASNGHLPLSM >ORUFI08G23500.1 pep chromosome:OR_W1943:8:23700528:23701319:1 gene:ORUFI08G23500 transcript:ORUFI08G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPHRLVAVLALILLASPAAMRAAEAACAGEKFPAGRAYAACEDLPSLGAALHYTYDASKSSLSVAFVAAPAGAGGWVAWGLNPTGEGMAGTQALVALKGGSSSSAPAVKTYNITGYVALGGASTPIAFPATDLAADEGSGGKIRLYGKLQLHKGMKSVNQVWQVGSSVTGGAPDKHAFGPANLASKAKLVLAGSKAATATSPASEPAPAPVAGGPAPSSGSDSGASSSVAPTAGKNAATTAAAVSAPALAVAALVGFLAIV >ORUFI08G23510.1 pep chromosome:OR_W1943:8:23704715:23706983:1 gene:ORUFI08G23510 transcript:ORUFI08G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKQFKRPHSDRYLCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >ORUFI08G23510.2 pep chromosome:OR_W1943:8:23704967:23706983:1 gene:ORUFI08G23510 transcript:ORUFI08G23510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKQFKRPHSDRYLCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >ORUFI08G23520.1 pep chromosome:OR_W1943:8:23709454:23710469:-1 gene:ORUFI08G23520 transcript:ORUFI08G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAHRPASCRTSPAASPCCEYSAACAFRATAMNMQRVVVVVLHPELSTEALEQRTTTALASVALDWIAES >ORUFI08G23530.1 pep chromosome:OR_W1943:8:23716707:23719269:1 gene:ORUFI08G23530 transcript:ORUFI08G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRVGGDYISSLLSSSPRLDFGVPVLDAVVAPGGGGGGGDCGLDKLCGDPGFAERAARLSSFNNGGGGVGQRYGGAGAGLFGMPPPAPGDFAGGGSREASSVSDPASSAMKDAAATAAAAAKGKGKEPPVGEEKESDGKRCKTGNGEKESSVKPKAEQAGSDSSVEDGGGGGQKQGKGKNAKPVEPPKDYVHVRARRGQATDSHSLAERVRRERISQRMKVLQDLVPGCNKVIGKALMLDEIINYVQSLQRQVEFLSMKLATVNPLDFSNLPTLLQKDMFQACGPSASSVFSLESSNSAFPFAEQGDVFQQFAQNSMESQCTLNQLDLALSQATNAAQYAFQDGTAGANLQQRNFWEDDLQSVFHIENGQSQENGVSAPNFHGQLQAGHMKMEF >ORUFI08G23540.1 pep chromosome:OR_W1943:8:23732145:23733543:-1 gene:ORUFI08G23540 transcript:ORUFI08G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIACIVVSSSGDRRIDQMQKGGGGASSSAVVVRMGPCGGDGGGARDMDMRGVGRVVRVAVRHGAAVDAMSVLYERNGHEEWTDLWGGPGGTLSEISLQPGEYLTSVAGHYGRLDGDLVVRSLTFVSNMRAYGPFGHEDGVAFDLPAAGGGGGKILGFHARSGRRLDAVGTYVKIG >ORUFI08G23550.1 pep chromosome:OR_W1943:8:23734678:23737248:-1 gene:ORUFI08G23550 transcript:ORUFI08G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESEGETEEYLFKVVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMDIDGKDVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRSTFDNVGRWLQELNTHSDTTVAKMLVGNKCDLDNIREVPVEEGKALAEAEGLFFMETSALDSTNVRTAFEIVIKEIYSNVSRKILNSDSYKAELSLNRVSIEGDSKDDQKQSNRFGCC >ORUFI08G23560.1 pep chromosome:OR_W1943:8:23738293:23739174:1 gene:ORUFI08G23560 transcript:ORUFI08G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKHGLASDLVVDANMVNAEGEAPQQGRHGGGPLLGHPRRQRRELLRVVLQNQNAQFESLYLVGTRLGLVAAMADTFPELGVTASDCIEMMWIQSVLYFAFYCTGKPLEMLLDRGTSKPDKYLKAKSDSNMPSQVWETTWSWLLKDGAGLLILDPYGGEMVHVAPVVMSFPHRQALYNIQYYGFWSKSGAATEKHMGWIRGLYGEMEPYVSKNPRGGAAAIAAVTGSLAVCRSWRGGIWLQGQSCHFENFPPLLSRK >ORUFI08G23570.1 pep chromosome:OR_W1943:8:23740840:23741808:-1 gene:ORUFI08G23570 transcript:ORUFI08G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPGTNKLKMLLYFLLLIVATLVITAEVGVVLAAAARVLSPSTTEEVPVVTSVRLPAQTEISSSSEGEARGGGSSRASAAAAARRMAIGSRAPTCTYNECRGCRRRCSVQEVPVDAGDPMNSAYHYRCICHL >ORUFI08G23580.1 pep chromosome:OR_W1943:8:23746558:23747037:1 gene:ORUFI08G23580 transcript:ORUFI08G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPENGGYIVWVASALGPYWGFQQGWMKWLSGVIDNVLYPVLFLDYLKSGVPALGRGATRAFAVVGLMAVLTLLSYRGLTVVGWVAICLGVFSLLPFFVMGLIALPRLRPARWLVIDLHNVDWNLYLNTLFWNLNYWDSISTLAGEVKNPGKTLPKALF >ORUFI08G23590.1 pep chromosome:OR_W1943:8:23747924:23749309:1 gene:ORUFI08G23590 transcript:ORUFI08G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAAAALLRRVGLGLGAGAGVGVRGLATLPDAAQPQHPTSKDAYFAAVHHLSTVVRRDFYLERTLNRLRLPSPFPPDLALRVIRAAAPAEPLHAARFLAWLRAKPSFAASADHFDALLLPLARARLFTHLWSLAADMRALGLPLSPSTFSAVISSYGQSRLTDQAVEVFNRLPRFGCPQTTQVYNALLDALCANGSFAGAYKLLRRMARKGVAPDRATFSTLVDAWCAAGKLREAQAFLDDMAERGFHPPVRGRDLLVDGLVRAGRLEEAKAFALRMTKEGVLPDVATFNSLAEALCSSGDVEFAVALLADASSRGLCPDISTYKVMIPAVAKAGRIDEAFRLFYAALEDGHRPFPSLYAAIIKALCKAGRFADAFAFFGDMKSKGHPPNRPVYVMLVKMCVRGGRFVEAANYLVEMSEAGFAPRAPTFNSVVDGLRHCGKHDLAQRMEQLEMSMNGN >ORUFI08G23600.1 pep chromosome:OR_W1943:8:23752274:23759120:1 gene:ORUFI08G23600 transcript:ORUFI08G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFEMPPPGADDDLMMGEDGMGDFGGADGPPLKVGEEKEIGKQGLRKKLLKEGEGWETPEVGDEVEVHYTGTLLDGKKFDSSRDRGTPFKFKLGQGQVIKGWDLGIKTMKKGENAVFTIPPDLAYGESGSPPTIPASATLQFDVELLSWTSVKDICQDGGIFKKILKEGEKWENPKDLDELTLCIAVVKYEARLEDGTVISKSEGAEFTVKDGFFCPALAKAVKTMKKAEKVLLTVKPQYGFGENGRPAAGEEGAVPPNATLLVNLELVSWKTVTEIGDDKKILKKVLTEGTGYERPNEGAVVKVKITGKLQDGTIFTKKGHDEPEPFEFKTDEEEVIDGIDRAVLNMKNGEVALVTIPPEYAFGSTESKQDLAVVPPNSTVIYEVELVSFVKDKESWDLNNTEKIETAGAKKEEGNALFKLGKYVRASKRYEKAAKFIEYDSSFSEDEKKQSKQLKVTCNLNNAACKLKLKDYKQAEKLCTKVLELDSQNVKALYRRAQAYMQLADLELAEVDIKKALEIDPDNRQVLDVKLTYKNLKEKVKEYNKKDAKFYSNMFAKMTKQPAEDGKAGSGAESKQGSEPVTAA >ORUFI08G23610.1 pep chromosome:OR_W1943:8:23757145:23761667:-1 gene:ORUFI08G23610 transcript:ORUFI08G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGAHKSRGAAAAGGGGGGEGASGGGGGGNHMVCHLCGYQYPNAHPSAKQRRAHRKNCGNPSSPSPTAAAAHAAVEEGDGKRLLLLRDDGEEAAAGGGGGGGDVGDGASAVAADSGGVLPGSAREVGNVADDDGNAERSSSPPHVSEVQVGLSKCTEDCVVSGDHIPPSGNDSKASGTENDEIQSGVVTRLTENVPHLEDGHHSESAVSSDQCMGSTSCLVPEHGDGARLSSEFSADEINKLSVMSLETVTGLSKDGIGNNEDDFSGVERPKAVEEDRSVNDSNVVSKEQIPCEETVSSMEQSEVMFTIGNNEDDLSCVERPKAVEEDRLVNDSNVVSKEQIPCKETVSSMEQSEVMFTNSVDHVSSSTKEPVNLLEDKMSCIEKHVCLDETSSNDLFQLASGGSHSEASGIDKPRHQADCASLTPDQLVIPKEMDIDEGLHCTDADVGIKTLSSAVGHADEDITAVNLSKNVCSPHLTVGDDIQDSVRQTIDITPMPPQVDLAEVSTSSTSHEIDKVSSKDGIDERNPNVNLTSDEVNEVHGIDVEEIPHIEDIAAYNDYQEPNTVRGTRDFEEDTQNEEIIAEASSHNITAVQSTCNVEEKEQIEEFDSNSSCNKIHEISSRGVEETKLTDVNVETADEINVASSLENVEEKQSNRETIADPSVEIDVANLPSSLELSKLDVETSTYHTAYEANAVNAMENVEEMKQKEEIAVAPTSHINTISSTTNDDQKQSEELSVGPSSDDITVPHGEFSVKEKTEETMSDPTSNKTDMVSTSGGVEEQNHGDEVTSGTNTHEESVILVHTTDNVEKKMNKDLTSEPADNVEEEVQSEDIATDPTSHESSTLHITDGAESKKQDAKVAADLAAGKIDVPRSADDAEEQKHEATVSTDDDLKGDDPSESNSPQIIDGAGDKEQDAETAADPPPGKTDAPPSTDDAEETKPKEEELETVGTVVDDPKEEDKEEIADKEVIVNSDKNHVSLKSLLSEKAAETKESKKPSTKDRVLSFRRRVSKDGGSPAKPGSPKAAVSGQQQDWNSPARLPVEKKPKGKKQQWVPFICCPSMS >ORUFI08G23620.1 pep chromosome:OR_W1943:8:23764410:23767507:-1 gene:ORUFI08G23620 transcript:ORUFI08G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLAAAAAAAFLLAVAMSCHCHVARGWGGLGVNYGTVADDLPTAARSVELLRAAGAGAVRIYDANADILRALAGTGVPVSVTVPNDAIPSLAAAASPAAVDEWVARNLAPHIPAARVLCLLVGNEVLSDRATAGTAWPSLVPAMANLRRALSARGLGRVKVGTTLAMDALGTSYPPSAGAFRDDIAGAVVRPLLEFLNATGSYYFVDAYPYFAWAANHRSISLDYALFQGEASTHYVDPGTGLTYTNLFDQMLDAVVAAMARLGYGNVKLAVSETGWPTAGDADELGANVHNAATYNRNLAARMAKNPGTPARPGAEIPVFLFSLYNENRKPGPGTERHWGLYYPNATWVYEVDLAGRRPAASYPPLAPTPPAPDQDGTPVWCVLAGGGGEAANETAVAAAVEYACRQRSGTCAAIEAGGECNQPDTLAAHASYAFNAYWQLFRKAGGTCYFNGLAEKTTIDPSHGSCKFISSLD >ORUFI08G23630.1 pep chromosome:OR_W1943:8:23768345:23772261:-1 gene:ORUFI08G23630 transcript:ORUFI08G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVSGATAAVGGAGGDCSDSDRGGAVPGFQFHLLWLWLGIGGGERGTMSRCFPFPPPGYEAKPRSEHNDLLKKGKHKEKKHKKESKERRGRERKEKNSDRRKDKHSKKHKREKHKDKRKNKDDDRYTNQTLEKATLRNADLDNGRLKEKIQHEAVKDIKPANELVTQILDQEGHANHTSSSTGKLLPSTKSFGSAGSKGKKRSLSSVIEKSRQPTHLNHEMIEKKYSVAYDCASLGSKPRLQNGRSLQVGSAEKHSNTNRKHSHNRMDRPQRNTEGTSTITTVVTGAERAPNGVVTPSPNSLLRTEQVGQDPVVSSHFPSRNSDSMSPRGLMEIRNGNNSDFQIRMDRQSVRSKAGAVKRKGKTKELKSNDHKYVEDKDRDRLANERKTKDRIEEKEKVGKVVVSKQECKELDSLGASKNKIDGLQRQLGQLNEEFTSDDVKKRKDAEANSSLLVAEHSMRMNKLPRISPTDPRTNGEILDYSQGSGPSSPVGTNTYKADRFQDSKECYNNGVTGSHHLKEPKTSVSSSNHGSSQVSPKPPHPDAKYLGQVYSIPAMDDWSKCIDQSWLLSRGSVDWKSEILEAAESPRVWAEARLIDSADVVALPYVVPL >ORUFI08G23640.1 pep chromosome:OR_W1943:8:23779577:23781010:-1 gene:ORUFI08G23640 transcript:ORUFI08G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSGVVDAAAKGVKLGGGGGGALMVRRVASGKLLSASSHLLFRATILATLCLVCLFTVHYPSLLSHSFHLSSAAAAANGKHRAASRSSHRSLLGSSAAVAYGGAAWEKEVRRSAAPRRDGGLSVLVTGAAGFVGAHCSLALRARGDGVVGLDNFNSYYDPSLKRARQRLLASRGVAVLDADINDAALLERLFDAARFTHVLHLAAQAGVRYAMRAPQTYVASNVAGLVSVFEVAAKHADPQPAIVWASSSSVYGLNTDAPFSEEHRTDRPASLYAATKKAGEAIAHAYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFARSIVAGEPITLFRTADGADARRDFTYIDDVVKGCLGALDTAGESTGTKSGKKRGPAPLRVYNLGNTSPVPVTRMVAILEKLLGRKANKRVVTMPSNGDVPFTHANVSHAARDFGYRPATPLDAGLRRFVDWFVHYYKLDTAKIAKGKRKSMAMSAAS >ORUFI08G23650.1 pep chromosome:OR_W1943:8:23791809:23799582:1 gene:ORUFI08G23650 transcript:ORUFI08G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSVKCANCSSSLVYDSASRLITLPETAEA >ORUFI08G23650.2 pep chromosome:OR_W1943:8:23788144:23799440:1 gene:ORUFI08G23650 transcript:ORUFI08G23650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGWRPPDLLLIWAAVEEEGACGYSKLEEGGQGPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSVKCANCSSSLVYDSASRLITLPETAEA >ORUFI08G23650.3 pep chromosome:OR_W1943:8:23790659:23799582:1 gene:ORUFI08G23650 transcript:ORUFI08G23650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPSPVFPAAAAAASTSSSSRCRCRCRITTSSSAHGWSNCQGWRLHHRVWAAQAADQQGGVQQQQQQEENEDGVVDSNVLPYCSINRKEKKTIGEMEQEFLQALQAFYYDKKAVMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSVKCAKQDRSCTKAIPCIYHGEQDELFIL >ORUFI08G23650.4 pep chromosome:OR_W1943:8:23790032:23799582:1 gene:ORUFI08G23650 transcript:ORUFI08G23650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGGSAAASSTPVCLPPAAKAAAAAVGSGNGGSLRRLWWRRRRLRRPRTAVATAEGGCGDGWWRIRRLLLFYAFYYDKKAVMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSVKCAKQDRSCTKAIPCIYHGEQDELFIL >ORUFI08G23650.5 pep chromosome:OR_W1943:8:23790216:23799582:1 gene:ORUFI08G23650 transcript:ORUFI08G23650.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSGGFFFFIPFPRLASASHDCHRRLLSRRLRMWEPPLLMRHPPGIIAWLPRHPNIQELAVEGRHVLMASAPSEAEPCRRLLAGGPPNPLHLLTNQPPPTTCPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSVKCAKQDRSCTKAIPCIYHGEQDELFIL >ORUFI08G23650.6 pep chromosome:OR_W1943:8:23790659:23799582:1 gene:ORUFI08G23650 transcript:ORUFI08G23650.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSVKCAKQDRSCTKAIPCIYHGEQDELFIL >ORUFI08G23650.7 pep chromosome:OR_W1943:8:23791809:23798206:1 gene:ORUFI08G23650 transcript:ORUFI08G23650.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKVFSLSYHTSWAC >ORUFI08G23650.8 pep chromosome:OR_W1943:8:23789793:23790983:1 gene:ORUFI08G23650 transcript:ORUFI08G23650.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPPSGDLPHRAALAAPSPHERLLWRPSPSPRERRRRPLPPRCLASLAGSRSLYTVSPLSPPPPLPRWIRRRLRRVDGGRGRIGGGFLHPRLPSSRGKGGGGRGRIRQRRLPPTAVVASAAVATAEDGCGNGGGPFPRLASASHDCHRRLLSRRLRMWEPPLLMRHPPGIIAWLPRHPNIQELAVEGRHVLMASAPSEAEPCRRLLAGGPPNPLHLLTNQPPPTTCPIYGEMN >ORUFI08G23660.1 pep chromosome:OR_W1943:8:23804347:23810224:-1 gene:ORUFI08G23660 transcript:ORUFI08G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQKKNAHVPKFGNWDNGGNVPYTVYFENARKGKVAAAGKMFNPNDPVDNPEAFSSSIAAPSPSRAPPPPPSHHERAPSDAPPPPPPAPYAGGSPDHPHAAGGGETPPARRGGGRTSGGGGSSYSVEHSPSPSPLHPYSDSGSGSYGGGLVANSRAKGSGGGAPRGNETPTRGSAVPRFGDWDSNPASADGYTHIFNKVREEKQTGQAAGKPAAGLGKGGAAAAGHGNAAKRYHDDDFASTFYPAHLRVWAVIEAHQNESETRSGQHRGPPIRSPAREERKRRAPKSTATPLSFRSVPNSKRNPSEFAPRFVLVVRSRPIRVIPNIIRIMSTASTARSRKRAHDGSRQKVDVINLETTAPVVNTGSQHEALILRGTRTSPIDVEALDDKRRSRKIMRRSVAVVDPEKDTGPGGYGVAGAIFSRGRNFQGAVHVICLSPDREEGTSKPKNVAQTSTTHAKVAPKEPTFTCPVCLNKLDKPSTTNCGHIFCEKCIQAWLKAQKKCPTCRKSLGIKSFHRVYLPTPADYD >ORUFI08G23660.2 pep chromosome:OR_W1943:8:23804347:23807464:-1 gene:ORUFI08G23660 transcript:ORUFI08G23660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLEVLLLWMVQIEMDFEAVINFYPAHLRVWAVIEAHQNESETRSGQHRGPPIRSPAREERKRRAPKSTATPLSFRSVPNSKRNPSEFAPRFVLVVRSRPIRVIPNIIRIMSTASTARSRKRAHDGSRQKVDVINLETTAPVVNTGSQHEALILRGTRTSPIDVEALDDKRRSRKIMRRSVAVVDPEKDTGPGGYGVAGAIFSRGRNFQGAVHVICLSPDREEGTSKPKNVAQTSTTHAKVAPKEPTFTCPVCLNKLDKPSTTNCGHIFCEKCIQAWLKAQKKCPTCRKSLGIKSFHRVYLPTPADYD >ORUFI08G23660.3 pep chromosome:OR_W1943:8:23807548:23810224:-1 gene:ORUFI08G23660 transcript:ORUFI08G23660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQKKNAHVPKFGNWDNGGNVPYTVYFENARKGKVAAAGKMFNPNDPVDNPEAFSSSIAAPSPSRAPPPPPSHHERAPSDAPPPPPPAPYAGGSPDHPHAAGGGETPPARRGGGRTSGGGGSSYSVEHSPSPSPLHPYSDSGSGSYGGGLVANSRAKGSGGGAPRGNETPTRGSAVPRFGDWDSNPASADGYTHIFNKVREEKQTGQAAGKPAAGLGKGGAAAAGHGNAAKRYHDDDFASTVSHSTNQPLHIGASFLVVYIYQPKFKFSTLNLADFKPLLLNR >ORUFI08G23670.1 pep chromosome:OR_W1943:8:23812748:23816358:1 gene:ORUFI08G23670 transcript:ORUFI08G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDSVSGRKRSVMDLLGSGGGGGGGGGGGGGGGGSRPRPDSVKRFRQADGTWRRELYMDSVGVQTGVPSRNIQGNQKPHLQQNTQVMRKSSVPDLREKLSGVQRPQLNSTVQIPKSVPEISTSAKPVQKREPVQKREPPVNAALPATKKVNEPSAPKQCQEKVVDMKALLHMNEEDMKSLGIPMGPRKKILSALASKKKKLSKSLPTS >ORUFI08G23680.1 pep chromosome:OR_W1943:8:23818275:23823653:1 gene:ORUFI08G23680 transcript:ORUFI08G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQKYDSTKVEQISHSKDAIGGPLQTRKDKVQGKVVDALPQKVLCDAKVDGMDAATADSRIPEDRASPSFVSPLSEENALIASGSDVKINDSAVQPEVSTEAKMTASSAKVTTKDKGKTQISDVVYDKAHDINSLASIEKCLELYLETEEREWACENCSKVVEKPGIMSSTKEDTTAGDQSEQSEKSAYQVEENQNEQKDKNECPIQTRLIRKLPPVLTIHLMRYLEDFKKVIGHVSFKEILDVGQFIQDSEFEGYSMQDSQQIAERDSTKMEQITQNKDAVHGPLKTKKDKVQGKAVDVLPQKVLYDVKVDRINVATADSLIPEDPASQSFVSPLREENALASGSDDEKNDSAVQPEVSTEAKRTTSSVKVTTEDKGKTQISDVVYDKAQDIESLASIECLELHFEAKMIEWANENCSIILVEYREPIMSSTKGDTTDGNQSEHSEKIICQSEQSYEKKLFPEVGTCNTDIVQAIVEGRDSHITGLELEDVTHVAIEETDDLKRSLGSRKVIGHVSFEKILDMGLFMDPSSGDKDNSHSPVGVVEHQGLRGPLNFLGATNWLPCGFFWRRGGPQAPEPEFSWISVMGDPVINTDGSCTIAAATVCIEAQHRLAFERLYGKGSFPCKAKVPKELKKACYRQGIWSSEEGAWTPDVLLMIMEKGGILTQRVPNNIQLAIDGFFWLERNVAFGKLEFMRLLYAYGPLLSTLWTDDGYAQTFGDRVYRGLQKDPDSGDHHCVVCFAYRVDSRTNELYVRIMDNCADDGPIRWVLFDVFDSFYVPLIKNPIEPHELRRKKKKKEHSIFAYASSYFSTLKRNIVGWAVGREIRRYYYPPKQRNVVLC >ORUFI08G23690.1 pep chromosome:OR_W1943:8:23827640:23834053:1 gene:ORUFI08G23690 transcript:ORUFI08G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQLDKRILSELELMGFPTARSIRALHFSGNSSLESAINWLLEHENDPDIDQLPLIPREINIECGDTPNEARNDIQGMRADAQESKPEESTAAGRQKPVLYTFINCCHTSHLNFLYQETSQVERELNADQNEDEVRRRIIELFKSKQDGQERERGRIRNQLQEDKRERIRAAKDLMEAKRTLEENQRKRFVSYATSPQIKPVEPAVSPEQLRDCLRNLKKNYKDDTTKVTRAFQILLKIIANIVKNPEEEKFRRIRLNNPVFKERVGNLQGGVEFLELCGFMKLAISGYLVMPRDNINLALLNAAGVEVASAMENPYFGLLSK >ORUFI08G23700.1 pep chromosome:OR_W1943:8:23837573:23840934:1 gene:ORUFI08G23700 transcript:ORUFI08G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSVFNNGGGGRSTNVNSNDIVGGTMIPMAFGVDGRRPLVSESIVPRQAALEWLLLLCIHWIASPSKGTRRRYMHQANMHKYVDNKGKANVAIYSLHIVLFLKPSLFVQPYFFRFTIRCLVMALSVRSEAATITGIQTKIHFIVDFLQALKLSSAMGLI >ORUFI08G23710.1 pep chromosome:OR_W1943:8:23846570:23847361:-1 gene:ORUFI08G23710 transcript:ORUFI08G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVLRRSVTLADQLAAVGPAGTAAATAAAGSCNLRDLLKLRDEDDLAAGRRAAVTLASAMAAERLTVAPASSAAAAAAAARTLLDIIRDDQLPTSSGGGGEGGDPLVRRAVSLPAPVTATPPPPPPPPETPPRQLPAVSPPTVGEEEEEEQGERVSLMALLEQTERQWSAGSGATVQQHLASPSAAASASVSAAAEDEAEAEAGKGAAGGGCCCVCMARAKGAAFIPCGHTFCRTCARELLAGRGRCPLCNAAILDVLDIF >ORUFI08G23720.1 pep chromosome:OR_W1943:8:23848808:23852710:-1 gene:ORUFI08G23720 transcript:ORUFI08G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEKASKGRPLNAPCLDLDLNLDLFAAAVPDPGCGDTASPEASKPEEKASKGRPLKAPRLDLDLNLLAPAVPDPGCGDLALPGASKPGEKAAATTSNTDEELCAHFVRFEEDMVQFISKLRSSKFAARCEHYLCENKVEKSSILVCIDCSLPFCIGDGTMDKPQGHARWHADLEQHCVAALFSKPDTLYCFICERCLNMEVDDTESESDRTECRHLLDEEDVTLIVSEVTTSKNIPACQHPGCKINGRTRIMVCTGCNKHFCTRAEAKKKPHGHARLHARKFEHHWVGLWYSDPYKGYCFKCEFDLTLSAPTVEQGMVFGKELFGQESGLVKGHGCVIRGMPNLGNTCYINALLQCLFVLGKLRARMLAPDAPSYILGYELKELFQEVNNVDNAQLQLNPTKFFACIRVLDARFISSDMQDSHELLCFLLNELDKEEKSMVPPVSPTVVDSIFRVQLSATISCSHCSYNSVSHEVMYELSVPLPSERPPPKSIASPPRDISCMSREKTGIKLFPEVDTSNTEIVKAIAEGSVSHIASLELGDVDKEKTSEPLDGDSVEVEQRSPSKADDLGQNDNAGFENTSGEPQVSIEAKKNACSVEGASEDKGKAQFSNMAYGKAKDNDSLASIEECLALFFKEELLEWRCDNCSGVSHHLSTTGSKDGEQIMASTNENTIIDRDQTVQLDKVARQSEQSKNLESLALECTSSKQPHGSDSERKAMLAMDSITEGINTLPPVKHTYSLRSRGRPPSHNKITSGMIHGEQDLASDNIANKKTDCHERVQEAVSSCLPAEEPDDLLSGQENTSSLDQGKWKQVKVDHSADQVDAKQKERENRNQGGIQTRVINKLPPVLAIHLKRSKETGKVRGHVNFEEILDVGQFMDPSSEDKDNSSYHLVGVIEHIGPSTSSGHMVAYVRPNQEQPDGGTSPWYRASDTDIRQVSLEEVLKCEASLFFYERIGG >ORUFI08G23730.1 pep chromosome:OR_W1943:8:23855107:23858764:-1 gene:ORUFI08G23730 transcript:ORUFI08G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDKASKGRPLKSPRKDLDPLAAATPDPGCGETAPPEVSKPKEKAAAPTSNTEEGLCPHLERFEEDMAMFVSRLMASKFTPSCQRLMCDSKVDKSSIMVCIDCSLPFCIGDGTMNKPQGHAQEHAQFYAHLEKHCVAALFSKPDTLYCFICERCLNLEMSDMEAESDSSSDQVGCEHFVLDEEEITLIVSEIFASKNVPACQHPGCTITGNTHIMVCTGCNKHFCTRAEAMKKPYGHARLHAQKCEHHWVGLWYSNPYMGYCFKCEFEWILGAPNAERGMVFGKEAFDQESGLAKRHGCVIRGIPNLGNTCYVNALLQCLFVLEKLRARMLASDAPSGFVGSALKELFQEVNSVNNAQRPLNPTKFLDRVRMLNAQFAGSDMEDSYELLCFVQNQLEKEEKSMIPAVSTTVVDSIFRVQLSATISCRRCSYNSVSHEVMYDLSVPLPSRNISCMSREKIGIKLFPKVDMSNTEIVHAIAEGRDSHITGLDLGDVDKEKPSEPLEVDSVEVEQHSQSKDGVHVPSQIQKDEVPGEIIQAPTKADDLGKNYNAGLEYTPSEPEVSIEAKKNVCSVEGSAEDKGKAQFSNMAYGKAKDNNSLASIEECLELHFEAEMVEWKCENCSEIARRRSTTSGKDSEQMMASTSENKIVDGDQTEQSDKIACQSEQSSNLDSGEQDLASDNTANKKNECHEGVQEVVPSCLAAEELANQLSGQGQNASSLDQVKLDHSADQVGPNQKEREDRYQGGIQTRFINKLPPVFAIHLKRSQLTGKVRGHVSFEEILDVGQFMDPSSEDKDNSSYHLVGVIEHIGPSTRSGHMVAYVRPNQEQPHGGPSPWYCASDTNIRQVSLEEVLKCEASLFFYERIGG >ORUFI08G23740.1 pep chromosome:OR_W1943:8:23860533:23864829:-1 gene:ORUFI08G23740 transcript:ORUFI08G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKGMSPQYAVAPESGCGDTAPPDEVSSPEQKAAAASTSNTEGRVCPHFDPFQDGVLKFISELRSYSYDCAPRCDHYLCENKVEKSSILVCIDCDLHFCIGDGTMNKPQGHARWHADLEEHCVGALLGEPETLYFFICERLLDLDVSNMQRGDFSCGKEEIDRIESDVSSSKNAVVCHHHSFDTENIVIIKDFVESEKGDPMCDNETCLTTGEHHMMVCSECKGYFCIEPATKAKPQGHIREHALLQEHWVAVWHNDLYVGYCFECEDSLVIGGEEGKEGLAVNAEAGSHASGSSDGHGCVIRGISNQGNTCLNALLRCLLVLGKLRARMFGPNAPLGILGTILRGLFVDANSVRHAPGPLNRALLLACVRRFDSWLIGTSIHDSHELLCCLRNRLNEEDKIIRPPNKQQGAPSSVAPTVIDSIFGSELSVTTSCKRCSFKSYSCDVFYDLSVPLPPKGAPSNSVASPPQNERPISQCKICVLSEGGDSQIPASELEDTVMVKTSDPLEVDSNKLEQIVQSKDAVHCPLQSPTMKENTWIASVSDVEKTDTAVLDNAFSGLKVSTEAKMVISSAEINSENKGKARSLDIIYDEAEDINSLVSIEECLKLHIESEMIEWTCENCSKVAQKASTISGKDGEQMMASTNVNRTVYGDQAEQSDRKTCQRELSSDLIRLSVECSSSSSQPHGSGVQNHDMPAVDIKTSGETSGMSSVEKYSSSCSIANKKPECLGGAQEDASSCRLTEKQANLLSVQCQNISIEDQERGNQVNLGHNAHQLEENQYDQQDRNEGAILTCLISKLPPVLVIQLNRSLGPLKVSGHVSFKEILDVEPFMDPSSEDKFSSRYRLVGVIENRGLSIHIGQCVAYVRANNQQQGSGSSSWYCATDDDIKEISLEEVLKCEAYLLFYERMGC >ORUFI08G23750.1 pep chromosome:OR_W1943:8:23866953:23871221:-1 gene:ORUFI08G23750 transcript:ORUFI08G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKRARAAEKDRAIVRSPRKGKAPRIESPPAVLDADDSGWGGDASLEVYREAAAVATRGVGGGGERCEHMTCSEHDVAEIVSKIASWGDPVCQDETCMCTERHLMMVCVECDMHFCIGRFAKKSKPRGHIEEHAFDDGHPVALWYEDPYTGYCFECEDPLTIGGEEGDKGMKVKGEEGCRASGSDSGHGCVIGASGSGSGHGCAIRGIPNFGNTCYLNAVLQCLLVLGKLRARMSGPDAPPPSGMLGIILHDLFVATESVSYTRDLLDPVMLLGCVRHYKSEFQGNTMQDSHELLCCLRDSLIEEESKTRPDNMQQDAPSAVVPTVIDSIFRGQLFVTTLCKYCSFESVSQGSQDAFYDLCVALPLQNERCISQQKIAIEQFPTIDKTNTEKIHAISGGSDPQVPASELGDMVMVKTSEPLVVDSNPLDQIAQSKDDVHCPLQSPIRKENVLITSDRELERTKSAILDSIKPEDSIEAKMDTLSGEVTTEDKGKDRNCDVVYDEADDINSLASIEELLGLHFKEMVEKRCENCSNVAQKASPISGKDGEQTVACTNVNRTVDGDQAEQSERKTCQSEQSSDLVRLDGECSSSSRQPHVADAQHQVMPTEDTMTKGDISGMSHGEKDSSSFSIVNQKPECLECAQEDVPDCHLGEKPVNLSSGQCQNANTEDQGRRKQVNLHQVEENQYDQQDRNEGAIKTSLISKLPPVLVIQLKRNTGPIKVRRHVSFKEILDVGLFLHPSSEDKDNSSYRLVGVVEHLGPSMYSGHYVAYVRPSPPQQTNGSSSWFWASDTDIREVSLEEVLKCEAYILFYERMEG >ORUFI08G23760.1 pep chromosome:OR_W1943:8:23875020:23881567:1 gene:ORUFI08G23760 transcript:ORUFI08G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMERRCVPCPTIDVGLTYFGLKASKGFLSFGPRGATPNGRVKYSIIAFVEHKIKDLEKGWTSNFDDLYEAEKECKCLVHALPGGLQICPALDSITSFKTNGGHSLFSLLETRVVHGWLANPEDNIYETVRSFSCDDLESHISSLDALNDNSEAAQRDRDASKNTDKLDAYPQARWRILEEADEEPIYLNCKYIPLKNQPQIGKAKLWYSEKLNSRNEYAPRNVTKDEASIQKPTGSEGKLSNQEAILQTKQASDETLHYRVVQFKHENLLTSIICHKGYSLWTALYNHLVLNRALAVKPSEVKLQFLPEREVKDAIIAHLKLVLGEIEKSEKSKHEKLYNCEFIWKCFIRSTADTHQIYPVLDSFTSFKDSFGRSLICVLGIELVHGWVANPEDNIYETVKSFSSDDLESHISSLDARSDNSEAAQRDRDASKNLLAARNQFTSYGYQSLCQGLGKDEYAILYRGDDIFNLIREKDGSILILETDTDILDAYPKARWRILEEVDEEPIYLNCNYIPLKNQPHIAKVKRWYLEMKSKKKINEASSNEGAGQKQCYRDTRVSVSTRVRRGKTQL >ORUFI08G23770.1 pep chromosome:OR_W1943:8:23881138:23908838:-1 gene:ORUFI08G23770 transcript:ORUFI08G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKARAGKSPRLKRRSSGSDPLEEAAGLTSDSSSIRRCRHVSCDQATIDLGIALIKASIDGPACDSFKCGTTEERGILVCLDCGSSLCALHARWHARMNRHWVALMHKRPNVAYCFSCEDAYFISRVRFGGTADEDFFPISTPKKDEKGNKVDNEAGCHASAATGAGSGGGTMAVLTSNVSPQRCCHVYKKGDVARVIKRIMLSDIAAACSDSMCDTTGRSLILVCLGCENPFCSGHADVHAKSTKHWNYLIYQSPYIVCCFVCKGIVFLGGQDKEEMPVDNATAGDASGSVIEHAHAIRGITNLGNTCYLNSLVQCLLALGRLRARMLRPEDTTGRLGTVLRYLFQDTDSVNNSGGLLNPSGLLRRVRMFVPESQVTSMHDSHEALCRLRTNLDEEERLMKYGALSVEAPTVIDSIFGGQLSVTKTCKCCSLSSVSHGIVFHDLSMPITPKKPLAKRVEPLWITKGRRSQRKRNTEKTHTIAEDGDSQNVMVKTSEPLGVDSTKVEQISQSKDAVQGPLQIQKDKVQGKAVDFLPQNVLSDAKVEGMDATRADSHIPEYLAPAPTFSPLREDDAQVALGIDVEKNGSAVRPEVSTEAKVTTSSAKVTAKDKGKTRSSNIICNKAQDINSLASIEECLELHFEAETVELTCENCSKFAQKLNKSVIQTRLSLLPPVLVIHLKRSLLQSDKVKGHVSFKEILDVGLFMDPSTEAKMTASSAKVTTKDKGKTQISDVVYDKAHDINSLASIEKCLELYLETEIEWTCENCSKVLKKPGIMSSTKEDTTAGDQSEQLEKSAHQVEENQNEQKDKNECPIQTRLIRKLPPVLTIHLMRYLEDLTKVIGHVSFKEILDVGQFMDPSSEDRDNSRYRLVGFVEHLGPSMYAGHYVAYVRPSPPQQTNGSSSWFRASDTDITEVSLEEGDEPPPQPPEPPLAGVSDFRGRPVYRATSGGWRSALFVAMLEMGCSFSYYGVSANLISYLTGPLGQSNASAANAWSGTARMLPLLGAVIFVYVSLYLVAFAQGFDKPCGLAFGAEQFDPGHPRESAARSSLFNWWFFSMAVGVAVAIAAVSYVQENVGWGVPFAVVSCASAVFLLGTPTYRLYAPPRPDTAMDGKRSSSSEEDARGALRRLLPIWAACVAYGVADAQIMTLFNKQGRMLDRRIGGVELPPAALQTIGPATIVLFVPIYDRAVVPALRRATGNPSGLTTLQRTGAGLATSLAAVEGRRLGAARAGRRPAMSWAWLVPQYASMGVADVLAAVGMQELFHGEMPEGRRSVGLALYCGAVGIGGFVSAALIAALDGVTRRDGGEGWFADDLDRGHLDYFYWLLAGVSAVALAMFLCFARSYAYRNKTLLTAMYSEKKKARARDGDGDRDAAERRRKGKAPCLEPPPTPPRAMAAAAVAEAGPSRGGCVPSRNPLKDPGDCEAVAIRDTDGEDCGHFSCDMDEIADIEMGMAARGDPMCEHETCLATGSNLMMVCPECGWCFCVGGLAHRAKPLGHIREHAYRRAHWVALRCEDPCEGYCFECEDSLAIESQMVADDGAGGGEEGYGCVVTGMPNLGNTCYLNALLQCLLVLGKLRARILGPGAPSGVLGDFLHDLFVGTNGPSYARRLLDPAMLLRCVRFRYPQFRGIAMQDCHELLCCLRDGLDEDERKWRAGKMQQGAPSAVAPTVIDSIFAGQLSVTLSCKCCSFKSDSEEVFHDLSMPLPPKGTPARSVASPPRNGRCISQQKTRMELFPAINKTNTEKIHAISEGGDAQVPASESEHMVMVKTSEPLEVDSNHLEQISQSKGDVHGPLQAPTREENTLIASGHGVERTVSAVLDSIKPEDSIEAKMDTLSAEVATEDKGKDRNRDAVYDKADDINSLASIEEILELHLKAEMIEKRCENCSNADQKASPISGKHGEQPVACTNVNGTVDGDQDEQDQGRGKQVNMGHSAHQVEENQYDRPDRNKGAIKTCLFSKLPPVLALHLKRNLWPLKLKVSGHVSFKETLDVKLFMHPSSEDKDNSSYRLVGVVEHLGLCMDAGHFVAYVRPSCPQQTNGSSLWFCASDADIREVSLEEVLKCEAYLLFYERIEG >ORUFI08G23770.2 pep chromosome:OR_W1943:8:23892550:23905104:-1 gene:ORUFI08G23770 transcript:ORUFI08G23770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPVQIYVMDDEKRPRVGDTAESPRKSPRLVHRIPTTTDQAESGVGLKSLLGLIKNNPSKELDDPNAASLGVIDVEKKAARPGDTAATALDVNDWWEKVVALQWMASVGGDCRARRCRHVLYGEDDINLAIALIKTCDDTPMCNADNCGNTEGREISACLDCESRFCTTHGKWHASVNKHWVALVYKKPHVAYCFACEECYFIRTEHFGVVMDNEDDYFISLREEDEKGMRVDNVAGDHASGSVIGHACPIKGIPNLGNTCYLNSLLQCLLVLGRLRAGILGLDAPLGLLGSSLRSLFDDADSVNNAGGLLDPEKLLACVRMLNPEFKGNGMHDSQEALCILRTGLDKEERAMKLSNMQAGAPSAVAPTVIDSIFGGQLSVTSSCKHCSVRSLSHDVFHDLSVPLPQESPAKSDELSPWTKGRRSPRKIRINLLSAIDKHKSDNEKTQSPASELEDVFLVKRSKPLKVDSTKVEQISHSMDAGGPLQTRKDKVQGKVVDVLPRIPEDPASPSFVSPLSEEKNDSAVQPEIEWTCENCSKVLKKPGIMSSTKEDTTAGDQSEQLEKSAHQVEENQNEQKDKNECPIQTRLIRKLPPVLTIHLMRYLEDLTKVIGHVSFKEILDVGQFMDPSSEDRDNSRYRLVGFVEHLGPSMYAGHYVAYVRPSPPQQTNGSSSWFRASDTDITEVSLEELRLCANHACIHAMHEEEHSIALYYERLNRVYCFKCEEAYDIGVKDDDGGMTDNNGVPREESPAKSALRMMMGESLRQRSHVSGLADGHAHAIKGILNLGNTCYLNSLVQCLLVLGKLRARMLGPDTPLGTLGTILYDLFDQTYGVNNTGGLLDMTWLLAYVRKSDSRFIGAFMQDNHELLCCLRKKLDEEDRGTNPPNMQDDAVTPTVTDSIFGGQLSSHISFSHVAFHDLSVPLPPTQSKSIASPPRTKGYKSQQKIHAELEVDKRNPEKIHTIAEDSDSQSPSELEDVVLVKTSEPLKVDSTKVEQIFHSKDAVCRPLQTQKDKVQGETVDFLPQNMLPDVKVEEMDLTKTDAHVPEDIGPPLRKENAWIESGSDVGKNVSAVLDDVFSEPEVSSEAKTDTFSVEVRKSRSSDISCDNAQGINSLASIDKYLELHFEAEMVEWTCESCSKVAQKPGINLGKYSNPMMSSTNEDITVDGDQSELSEKITCRSEQSNGRPECHEGVQEAEPSCIPAEKQANLLSGQDQNASILSEERGEQVKLHHGAHQVEENQNEQKDWNKGGIKKHFISKLPPVLVIHLMRSLLGPHKVIGHVRFKEILDMGLFMDPSSEDKDNLSYRLVGVVEHRGLGNDAGHFLAYVRASPRQETSGSSSWFRASDDSIREVSLEEVLKCEAYLLFYERMEN >ORUFI08G23770.3 pep chromosome:OR_W1943:8:23881138:23892548:-1 gene:ORUFI08G23770 transcript:ORUFI08G23770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIQLIRITITKEAGVPDCQARRPLLIPNEGDEPPPQPPEPPLAGVSDFRGRPVYRATSGGWRSALFVAMLEMGCSFSYYGVSANLISYLTGPLGQSNASAANAWSGTARMLPLLGAVIFVYVSLYLVAFAQGFDKPCGLAFGAEQFDPGHPRESAARSSLFNWWFFSMAVGVAVAIAAVSYVQENVGWGVPFAVVSCASAVFLLGTPTYRLYAPPRPDTAMDGKRSSSSEEDARGALRRLLPIWAACVAYGVADAQIMTLFNKQGRMLDRRIGGVELPPAALQTIGPATIVLFVPIYDRAVVPALRRATGNPSGLTTLQRTGAGLATSLAAVEGRRLGAARAGRRPAMSWAWLVPQYASMGVADVLAAVGMQELFHGEMPEGRRSVGLALYCGAVGIGGFVSAALIAALDGVTRRDGGEGWFADDLDRGHLDYFYWLLAGVSAVALAMFLCFARSYAYRNKTLLTAMYSEKKKARARDGDGDRDAAERRRKGKAPCLEPPPTPPRAMAAAAVAEAGPSRGGCVPSRNPLKDPGDCEAVAIRDTDGEDCGHFSCDMDEIADIEMGMAARGDPMCEHETCLATGSNLMMVCPECGWCFCVGGLAHRAKPLGHIREHAYRRAHWVALRCEDPCEGYCFECEDSLAIESQMVADDGAGGGEEGYGCVVTGMPNLGNTCYLNALLQCLLVLGKLRARILGPGAPSGVLGDFLHDLFVGTNGPSYARRLLDPAMLLRCVRFRYPQFRGIAMQDCHELLCCLRDGLDEDERKWRAGKMQQGAPSAVAPTVIDSIFAGQLSVTLSCKCCSFKSDSEEVFHDLSMPLPPKGTPARSVASPPRNGRCISQQKTRMELFPAINKTNTEKIHAISEGGDAQVPASESEHMVMVKTSEPLEVDSNHLEQISQSKGDVHGPLQAPTREENTLIASGHGVERTVSAVLDSIKPEDSIEAKMDTLSAEVATEDKGKDRNRDAVYDKADDINSLASIEEILELHLKAEMIEKRCENCSNADQKASPISGKHGEQPVACTNVNGTVDGDQDEQDQGRGKQVNMGHSAHQVEENQYDRPDRNKGAIKTCLFSKLPPVLALHLKRNLWPLKLKVSGHVSFKETLDVKLFMHPSSEDKDNSSYRLVGVVEHLGLCMDAGHFVAYVRPSCPQQTNGSSLWFCASDADIREVSLEEVLKCEAYLLFYERIEG >ORUFI08G23780.1 pep chromosome:OR_W1943:8:23911062:23915378:-1 gene:ORUFI08G23780 transcript:ORUFI08G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEKRARVGDTAETPRKSPRLDRPAAAAAAAAPLTSNGVMGEKKKKNATRDVSDWCWWGKAAALLSGGDRRTRRCRHVLCEQADIDVAITLIKTRVDTPVCCVNKCDDTEGREISVCLDCQLSFWTAHGKPHVFMNDHWIALVYKRPNVAHCFACEECYFIRTDSLGEGMAVGENGFSIGTHKKDEKGMTTVNNEAGVHASMVSDYESALMVALLSDVGTPRCRHDMYNKDEVDLVQRRIMFCDITRMCSDCDNISVLMIFVCLGCEKPFCTVHSSLHAESTKHLVGLVYHNPYVACCFLCSETFVLIGEGDKRMPVDKAAGGYASESVIGHAHAIKGIPNLGNTCYLNSLMQCLLVLGKLRARMLGPDAPSGTLGTALHDLFEQTYSVNNATGLLETSLLLDCVCNLDPQFVGGFMHDNHELLCCLRKNLDEEERMRTPPNMQDSSAGAVPPTVFNSIFGGQLFVTRSCKCCSFCSVSHAVFYDLSVPVPPKKPPAKSVESTPWIEGHRSQPKICINQFEAIHKRNTEKTHRIVEDADSDPASELKDMVMVKTSEPLEVGKYMRSAHVLSKGMEGNIKQSCKHYTEVEQISQSKDYVQDPQNVLADVKTAGMDATTTDTRIPEYIGPHPPVSQLREENAQLESCNDVGKDGNAILEVSSEHKIDTFSAEVTTEDKGKTCSSDVVCGKAQDIDSLPSITKMIEWTCENCSKGARKSDVTEGTYSEQMLSSTNEDTAVGGYQREQSEKITCQSEQSNKKPECPEGVQDAVPHCVPAERQDNLLSSQDQNATTLDEGRGKQKLHHSAHQVEECQNEQKDRNKGATQTRISKLPLVLTIHLMRSLLGPDKVMGHVSFKEILDMGLFMDPSSEDKDNSIYRLAGVIEHHGRGKDSGHFVAYVRPSPRQQTNGSSSWFCASDTDIREVSLEEVLKCEAYLLFYERMEG >ORUFI08G23780.2 pep chromosome:OR_W1943:8:23911062:23915378:-1 gene:ORUFI08G23780 transcript:ORUFI08G23780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEKRARVGDTAETPRKSPRLDRPAAAAAAAAPLTSNGVMGEKKKKNATRDVSDWCWWGKAAALLSGGDRRTRRCRHVLCEQADIDVAITLIKTRVDTPVCCVNKCDDTEGREISVCLDCQLSFWTAHGKPHVFMNDHWIALVYKRPNVAHCFACEECYFIRTDSLGEGMAVGENGFSIGTHKKDEKGMTTVNNEAGVHASMVSDYESALMVALLSDVGTPRCRHDMYNKDEVDLVQRRIMFCDITRMCSDCDNISVLMIFVCLGCEKPFCTVHSSLHAESTKHLVGLVYHNPYVACCFLCSETFVLIGEGDKRMPVDKAAGGYASESVIGHAHAIKGIPNLGNTCYLNSLMQCLLVLGKLRARMLGPDAPSGTLGTALHDLFEQTYSVNNATGLLETSLLLDCVCNLDPQFVGGFMHDNHELLCCLRKNLDEEERMRTPPNMQDSSAGAVPPTVFNSIFGGQLFVTRSCKCCSFCSVSHAVFYDLSVPVPPKKPPAKSVESTPWIEGHRSQPKICINQFEAIHKRNTEKTHRIVEDADSDPASELKDMVMVKTSEPLEVDYTEVEQISQSKDYVQDPQNVLADVKTAGMDATTTDTRIPEYIGPHPPVSQLREENAQLESCNDVGKDGNAILEVSSEHKIDTFSAEVTTEDKGKTCSRYRLSSIDYECLELHFKAEMIEWTCENCSKGARKSDVTEGTYSEQMLSSTNEDTAVGGYQREQSEKITCQSEQSNKKPECPEGVQDAVPHCVPAERQDNLLSSQDQNATTLDEGRGKQKLHHSAHQVEECQNEQKDRNKGATQTRISKLPLVLTIHLMRSLLGPDKVMGHVSFKEILDMGLFMDPSSEDKDNSIYRLAGVIEHHGRGKDSGHFVAYVRPSPRQQTNGSSSWFCASDTDIREVSLEEVLKCEAYLLFYERMEG >ORUFI08G23790.1 pep chromosome:OR_W1943:8:23918464:23919129:1 gene:ORUFI08G23790 transcript:ORUFI08G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKKDLLRRLTIISIPFVFIAIPSIVIIVGMLSPHAAEPRDQSSPAAPGRNHSVSMLSTMTGGQMILSCRTAFSGNWEYFHYFILDPYKPQRAFFQPPPPPPNSAAAVPYAILCKWGYMGNFLQDVVVFNSSAAYAPRCRVEEGGCHYLFEDGKMFLVTGRRATRAAPARRREKRLVGDVVLRECAHVLGVFPTVCRYKPHEHNYVGKIIGRWRWWFNY >ORUFI08G23800.1 pep chromosome:OR_W1943:8:23921508:23925613:-1 gene:ORUFI08G23800 transcript:ORUFI08G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSEETSAGKVPAMAIDDSNQARVVSNESKSPIIEKKKKTKMVRYTQEQIEYCIANPEELRDKKVIKLTELLSKECLARMGQERVDRLYARERAEEEQIVEWKKLQEVLRNERENIYKIPDKPKDVLKQYYAKGYAEYEVAVDDGDVDEDEEVPARVAHPGRRRFRNGIVMRKNQSGGGRILALAMDPSEETSAGKDDLLAIDDSNQDKAKQATVVSDESKAPIIEKKKKKKMVRYTQEQIEYCIANPEELWDIKVIKLTELLSKECLARMGQEQVDKLYAMERTEEEQIVRRKKLQEVLRNERENIYKIPDKPQDVLKQYYAKGYAEYEVVVDDGDVDVDEDDEVPARVAHPGRRRFRNGIVVKKNQSGGGSIRKIN >ORUFI08G23810.1 pep chromosome:OR_W1943:8:23926760:23929459:-1 gene:ORUFI08G23810 transcript:ORUFI08G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVSTTRLLLLLLLVAAAAAAAAAGDQEDPRGGGDNGTARLDRRTKMFLHAARASDGGATGMEKAGLGLFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSTVLSGALSALVVMTILSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEIEEVEEKLEAGQGKSTFRRIFSRFCTPIFLESFVLTFLAEWGDRSQIATIALATHKNAVGVAVGATLGHTICTSFAVVGGSMLASKISQGTVATIGGLLFLGFSLSSYFYPPL >ORUFI08G23820.1 pep chromosome:OR_W1943:8:23931890:23933095:-1 gene:ORUFI08G23820 transcript:ORUFI08G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQRGRGVGRGDPGLLTRAVEKVFRLVRLAEFEILFVLFFLIAFVLFKDLMSRPEYNSIFVKKPDLDGRWPGLM >ORUFI08G23830.1 pep chromosome:OR_W1943:8:23935795:23936999:-1 gene:ORUFI08G23830 transcript:ORUFI08G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLQNHLLPLLRASSSSSPLHLRRLIPSTAAASSSSSVHPNRRLHSTAAARSTTTATPFSMEDYLVDTCGLTAAQALKASKNVSHLKSATKPDAVLAILSGVGLSGADLAAVFAAEPRLLCTKAPSVALRVASLRHRVGLSDPQIASLLLLPGGAKGFHTCDMAPRLEFWIPFLGSFEMLDLEEEQRDRQLEPREGYQAQHRAAPGMSQTSARVLTFSPERLKVIVQQAEKLRMPGCSWAFKNAVGAVARSNEGIVNARMEFLSSSLGCSMEKLRSAVCKCPQILGLSESKLHSKIEFLVGKVGLEPDYILQRPVLLTYSLEKRLLPRHYVVEVLLVKGLIKKTVDFYGCVCVSNEDFVARYIDHHENAVPGLADAYAAVCSGKLPALV >ORUFI08G23840.1 pep chromosome:OR_W1943:8:23938752:23941587:-1 gene:ORUFI08G23840 transcript:ORUFI08G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGDGSGWCFCSGGAKLERIKNSVLAAKGAAVAAVSFPAGGGGGGRGGSGFLIHRALLLTTHGTIPSAAAAAAAEVRLSHGRLPARLVPQRFFITSPILDLTIVGLDVVNDELNSHGQQPHFLKTCLNPSLDLGSTVLLLGHTKRKDLTIGEGKVIITTDNLIKFSTDEVAWYPGSAGFDMHGNLAFMVCDPMKLAPSTPTGYASASSAALLSAKKDVPTQFGMPIPAVCGWLKQHWNGNLEDVSKPMMPPARLISTGQRSECSSVGRLNYIKTMEREGGDGMSSSQIPPRLTQHHGSCSSASAKISCGENDSVDSHSIHEQQDLTSQMHESKIEQSASLMDNNFPPGHPRSIRLPLPLKHMMSHENKIKPNPSFSHEAQLANVRINCGTLHNVAYQENCWSEAQSSSSPPDISELGDERGGFSSGEETMYSAETRESRNIPSPKEKNPKMVGRSQSFVNHSKWDSPKSVESSKGAPSKSHTFIPLRKPHLQAAAISQKSQDFFSPTVSSSMKKRNLSQIPMKPRQSAQVTSKWII >ORUFI08G23850.1 pep chromosome:OR_W1943:8:23943143:23947817:1 gene:ORUFI08G23850 transcript:ORUFI08G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGRSSAAAAGGRPPPSAATQPRPLRRTLQTPVSLPSSAGRLARARTPRQAQDHFVDFVDWMANLGGGAMPAGSSGGDGEVEAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALDVIGKNGCLVEGVLHLPGNHPVKLDSQDLLQIGDKKFYFLLPTRSIFASAAAARHPPIIPQQMPPPSYVRTGRPRLSEFHDRSFEGDYGREGDEIGNGISESGGMRGKLIKRNKKLSAESEMYGGHRINVEAIGTLGEGDSRSEIRSRGDRDMDNQHILQAEEKDVVSSVATVLSDLCGPGEWMPMAKLHTELLEQFGNVWHHSRVRKYLSPEDWSPTETKGRVTSEFVSLVSLLS >ORUFI08G23850.2 pep chromosome:OR_W1943:8:23943143:23948004:1 gene:ORUFI08G23850 transcript:ORUFI08G23850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGRSSAAAAGGRPPPSAATQPRPLRRTLQTPVSLPSSAGRLARARTPRQAQDHFVDFVDWMANLGGGAMPAGSSGGDGEVEAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALDVIGKNGCLVEGVLHLPGNHPVKLDSQDLLQIGDKKFYFLLPTRSIFASAAAARHPPIIPQQMPPPSYVRTGRPRLSEFHDRSFEGDYGREGDEIGNGISESGGMRGKLIKRNKKLSAESEMYGGHRINVEAIGTLGEGDSRSEIRSRGDRDMDNQHILQAEEKDVVSSVATVLSDLCGPGEWMPMAKLHTELLEQFGNVWHHSRVRKYLSPEDWSPTETKGRPWYGLLALLRKYPEHFVINTRSKGRVTSEFVSLVSLLS >ORUFI08G23860.1 pep chromosome:OR_W1943:8:23952098:23955176:-1 gene:ORUFI08G23860 transcript:ORUFI08G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVGAMAPLYFALGLGYGSVRWWRFFTAEQCAAINTMVVYFSMPFFTFDFVVRTDPFAMNYRVIAADAVSKAIAIAAMAAWARTRCGCAAAKAGAQSWSITGFSLAALNNTLVVGVPLLDAMYGRWAQDLVVQIAVVQSMVWFPLLLMAFELRKAWVVGGGGGVGPAVMSSSSPPEKQSDVEMNGAVVAAPGGGGGVRLPFWATARTVGLKLARNPNVYASVLGVVWACIAYRWHLSLPGIVTGSLQVMSRTGTGMSMFSMGLFMGQQERVIACGAGLTALGMALRFVAGPLATLVGAAALGLRGDVLHLAIIQAALPQSIASFVFAKEYGLHADVLSTA >ORUFI08G23870.1 pep chromosome:OR_W1943:8:23961761:23964049:1 gene:ORUFI08G23870 transcript:ORUFI08G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFEAYENNGGTCVAVAGADYCVVAADTRLSVGYSILSRDSSKIAHLADKCVLASSGFQGDVKALQRNLAAKELLYEHNHNKKMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGAALMMPVLDNQLKSPSPLLLPARDAVTPLSQSDAVDLVKDVFASATERDIYTGDKLEIVVINSAGSHREFIELRKD >ORUFI08G23880.1 pep chromosome:OR_W1943:8:23964315:23966635:-1 gene:ORUFI08G23880 transcript:ORUFI08G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIVFLRASAADLTAGKPPLAGVPASAPLSAAAAAIPASSEAAVAVWRVDGASHHHHHRAPTAAATVVGLLSSIDVVAFLANHPGGAAAAFMTPAGDVVPHEHALVRQVQPDTRLIEIVELMKQGARRVLVGKNIKEGCAINKQPFAPFYKAVLKITGTPRRNPSPSPSPATRSPSTTLGRDRYCCLTREDIVRFLINCLGALAPIPMQSIASLGAISRAYSHVEDSSPAIGAAWELPSDPRAVAVVRTGHDGSRVILGEISGHKLWKKDYAAAAEAMATMSAMDFATGVDESGPSPTATAAAAAGNVGGGGARARMGSVEEEIAPVPRLTRFSSRKIGFSASLANMIMVSHRKNRVLTCKATSSLAAVMAQMLSHRATHLWVVEDGDADKGAVLVGMIGYMEILRAVTRGVVVPPA >ORUFI08G23890.1 pep chromosome:OR_W1943:8:23971169:23972284:1 gene:ORUFI08G23890 transcript:ORUFI08G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKSCSIDSEIALIGDDLLSEIIVRLPFKSVARSACVSKDWRAAVSDDYLRRRLPLLMTTVYFPDDDAVAAGGGGGGGPRFACAASDGNDGHRLEDCDLGFLPERGGVVVCDGCNGLLLCRSPGTPEFFVVDPVTRRWAALPAPAKAATLSVLAFDPSTSPDYRVVNFTGWRDRGAAVEVFSSATWAWTARDTEFGGVPASSLSGSMHYHDGILYILASEPDCLVSLNLADFSSTAAVIDLPEPVDGGDAHVAHSGGRLHYIFRDGELLKVWELDDDDQWRPKHAVKVEHLAHGGDEVRFLAMHPEEEDVVYTWSPWKVVEHDLRRKTTTCHCQAWEFGEGERNRVVKAWLVPSSCYLSDCLAHCPVKC >ORUFI08G23900.1 pep chromosome:OR_W1943:8:23975945:23977054:-1 gene:ORUFI08G23900 transcript:ORUFI08G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCDDLLVEILSRLPFKSLARFKCVSRSWRALISGGDLRRRLPLLAAGLFVGDGGGGEPRYATACSGDGVEFCDMSFFPLAETAARVVDACEGLLLYRSLAAASAMYVACPATRRWAALPVPRREAQLPLLAFDPADGGGGSPRYHVVCFVAWQERGATVDVFSSETGAWAERDAAFGGVEASSLSPTTHYRGGVLHVLAYPDRVVLMDLAATTTTTTSVAPGRLAPRLPDDVDAGARLGHSRGRLHYAKCDGERLRVWALDVNAASPGSCQWILTNTVCVNEMTINGGLWAASEVKFLAFHPDMADVVYLSSPEGKVASCDMRRKEMVSSWKLGAEHHVVRFWLLGFSSGLMSCLGGEQACQIAGAS >ORUFI08G23910.1 pep chromosome:OR_W1943:8:23981712:23986147:-1 gene:ORUFI08G23910 transcript:ORUFI08G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTACHGVRGFFSISAAAMTIVVTTAVVLLSGPQLAAGARVLINHAELRRRDIATAAGGGGGDGACATAVAPFGYPCEEHEVTTQDGYILGLQRIPRGRIGGVTGGGAAAARQPVLLQHGVLVDGMTWLLGSPEESLPYILADQGFDVWIANNRGTRWSSRHVSLDPKSRSYWNWSWDDIVVNDMPAIVDYVCSHTGQKPHYVGHSMGTLVALAAFSEGRMVDKLKSAALLSPVAYLSHITTPIGVVLAKAFAGELISDLLGIAEFNPASPQVSNLVRTFCRKPGMNCYDLLTSFTAADIFLKYEPQPTSTKTLIHLAQTVRDGVLTKYDYVMPDANVARYGQADPPAYDMAAIPAWFPLFLSYGGRDSLSDPADVALLLDDLRRRGHAGDRLTVQYLPQLAHADFVIGVCAKDLVYNDVISFFRRFH >ORUFI08G23910.2 pep chromosome:OR_W1943:8:23981714:23986147:-1 gene:ORUFI08G23910 transcript:ORUFI08G23910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTACHGVRGFFSISAAAMTIVVTTAVVLLSGPQLAAGARVLINHAELRRRDIATAAGGGGGDGACATAVAPFGYPCEEHEVTTQDGYILGLQRIPRGRIGGVTGGGAAAARQPVLLQHGVLVDGMTWLLGSPEESLPYILADQGFDVWIANNRGTRWSSRHVSLDPKSRSYWNWSWDDIVVNDMPAIVDYVCSHTGQKPHYVGHSMGTLVALAAFSEGRMVDKLKSAALLSPVAYLSHITTPIGVVLAKAFAGELISDLLGIAEFNPASPQVSNLVRTFCRKPGMNCYDLLTSFTAADIFLKYEPQPTSTKTLIHLAQTVRDGVLTKYDYVMPDANVARYGQADPPAYDMAAIPAWFPLFLSYGGRDSLSDPADVALLLDDLRRRGHAGDRLTVQYLPQLAHADFVIGVCAKDLVYNDVISFFRRFH >ORUFI08G23920.1 pep chromosome:OR_W1943:8:23990935:23996779:1 gene:ORUFI08G23920 transcript:ORUFI08G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAMESAVGPHFSGLRLDSRRLSSSSLPTSPSAASSNGCGGNDAPAAVNGFAPPPPPSAAAAAEEGSKQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTEEESDRVQDYNFDHPDAFDTEQLLECMGQLKSGLSVNIPIYDFKNHRRCSESFRKVNASDVIILEGILVFHDQRVRDLMDMKIFVDTDADIRLARRIRRDTVERGRDVISVLEQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVYVVNTTFQIRGMHTLIRDRDIATPDFVFYSDRLIRLVVEHGLGHLPFTEKQIVTPTGSTYMGVDFCKKLCGVSIIRSGESMENALRACCKGIKIGKILIHRDGDNGQQLIYHKFPLDIAERHVLLMDPVLGTGNSANQAIELLISSGVPEERIMFLNLISAPEGVHCVCKRFPRLKIVTSEIETGLNEEYRVIPGLGEYGDRYFGTD >ORUFI08G23930.1 pep chromosome:OR_W1943:8:23998522:24002764:1 gene:ORUFI08G23930 transcript:ORUFI08G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKVRGDMGKLIPVISFFLGAALTAAFVIATMDINWRLSALASWNNNDSPPAVTDEMKALSELTEVLRNASMDDRTVIMTSINRAYAAPGSLLDLFLESFRLGEGTEPLLKHVLIVAMDPAALARCRQVHPHCYLLRRPEGAVDYSDEKRFMSKDYLDMMWGRNLFQQTILQLGFNFLFTDIDIMWFRNPLRHIAITSDIAVANDYYNGDPESLRNRPNGGFLYVRAARRTVDFYRRWRDARRRFPPGTNEQHVLERAQAELSRRADVRMQFLDTAHCGGFCQLSRDMARVCTLHANCCTGLANKVHDLAAVLRDWRNYTAAPPAARRRGGFGWTTPGKCIR >ORUFI08G23930.2 pep chromosome:OR_W1943:8:23999792:24002764:1 gene:ORUFI08G23930 transcript:ORUFI08G23930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACKVRGDMGKLIPVISFFLGAALTAAFVIATMDINWRLSALASWNNNDSPPAVTDEMKALSELTEVLRNASMDDRTVIMTSINRAYAAPGSLLDLFLESFRLGEGTEPLLKHVLIVAMDPAALARCRQVHPHCYLLRRPEGAVDYSDEKRFMSKDYLDMMWGRNLFQQTILQLGFNFLFTDIDIMWFRNPLRHIAITSDIAVANDYYNGDPESLRNRPNGGFLYVRAARRTVDFYRRWRDARRRFPPGTNEQHVLERAQAELSRRADVRMQFLDTAHCGGFCQLSRDMARVCTLHANCCTGLANKVHDLAAVLRDWRNYTAAPPAARRRGGFGWTTPGKCIR >ORUFI08G23940.1 pep chromosome:OR_W1943:8:24007771:24010051:-1 gene:ORUFI08G23940 transcript:ORUFI08G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYSTEPSNPTKSAKAMGRDLRVHFKNTRETAFALRKLPLVKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQVKSRQSNGQGRWPAKSARFILDLLKNAESNADVKGLDVDNLFVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHVELILSEKEEAVKKEPETTIAPRRQ >ORUFI08G23950.1 pep chromosome:OR_W1943:8:24015496:24017316:1 gene:ORUFI08G23950 transcript:ORUFI08G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGARDAVVEVAKHMGKSLAVSKNAADDMMRVLSRYEGEAPMFPLSHPEVDQAEEEEVFAAAEDIIRRCNSVSSPSEMVDYLYAVDDAIAATALQGELASRAAETVQAAMPRLEEEVRALLGSSARRLSLDSFEDLDDAGAATTPDGSPPRRDALSPEAAASASGVADRMLRAGYGPELAQVYVAVRRDALAESASHLGVEAVAIEEVLRMEWGVLNQRIRRWSHAVRAVVKTLLAGERRLCDEVFASDEELGHECFADVARGCLLQLIGFADAVAMSTPATEKLYRMLGMYEALTAVEPDIESLFTGDARDFFSSEVAGVAAQLGSTIRHTIDQFVNVIHGESSRRPVLGGEIHPMTRYVLNYCGLLAECRVTLDMVLADNNTSNHDTNDDDHDGGGGGGASSTPSGRCMREILTHLLRNLDEKSRLYDDAGLKNIFLMNNIYYIVQKMMVEFPALRELLGDDWVRRHRGQIRQYETGYLRASWMSVLASLRDDASPAAAHGHGGRAALKEKARSFNAAFEELYRSQTAWKVTDPQLREELRIAVSERLIPAYRSFVGRSRQLLESGSSSGRHSSSAAKHIKYSLEDLEDYMLDFFEGVQKFVR >ORUFI08G23960.1 pep chromosome:OR_W1943:8:24019231:24024059:1 gene:ORUFI08G23960 transcript:ORUFI08G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTAPASYSDEPPRHPALKINSKEPFNAEPHRSALVSSYITPVDFFYKRNHGPIPIVDDLSRYSVSITGIVNKNVQLSMADIRSLPKYDVTATLQCAGNRRTAMSKVRKVRGVGWDISALGNATWGGAKLSDVLELVGIPKLSSVTSLGGKHVEFVSVDRCKEEKGGPYKASIPLKQATDPEADVLLAYEMNGETLNRDHGYPLRVVVPGVIGARSVKWLDSINIIEEECQGFFVQKDYKMFPPSVDWDNINWSTRRPQMDFPVQSAICTLEDVDVIKEGKARIAGYAVSGGGRGIERVDISVDGGKTWVEAHRYQKSNVPYISDGPRSDKWAWVLFEATLDVPANAEIVAKAVDSAANVQPEKVEDVWNLRGILNTSWHRIKIQNSSCVGRSKM >ORUFI08G23960.2 pep chromosome:OR_W1943:8:24019231:24024442:1 gene:ORUFI08G23960 transcript:ORUFI08G23960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTAPASYSDEPPRHPALKINSKEPFNAEPHRSALVSSYITPVDFFYKRNHGPIPIVDDLSRYSVSITGIVNKNVQLSMADIRSLPKYDVTATLQCAGNRRTAMSKVRKVRGVGWDISALGNATWGGAKLSDVLELVGIPKLSSVTSLGGKHVEFVSVDRCKEEKGGPYKASIPLKQATDPEADVLLAYEMNGETLNRDHGYPLRVVVPGVIGARSVKWLDSINIIEEECQGFFVQKDYKMFPPSVDWDNINWSTRRPQMDFPVQSAICTLEDVDVIKEGKARIAGYAVSGGGRGIERVDISVDGGKTWVEAHRYQKSNVPYISDGPRSDKWAWVLFEATLDVPANAEIVAKAVDSAANVQPEKVEDVWNLRGILNTSWHRIKIQNSSCVGRSKM >ORUFI08G23970.1 pep chromosome:OR_W1943:8:24024065:24025525:-1 gene:ORUFI08G23970 transcript:ORUFI08G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASAAAAAALWGHKHLPLLARASSKESVEYILQALWRTRRTGLDAADRAVVRDMLHLASDADLDPLLVCLRVLIRRCVHGNIGKDEVAKLFPEEVSPELQRLLTLLLQKFQPEWQEDVAKDQASASRPETTECPSNQNQDTTEQPAAGATEVQNGGKSSVVEKELKLQLTKDTLDKMLEDMYSTKGQASNTGNTNGHEETAGCT >ORUFI08G23980.1 pep chromosome:OR_W1943:8:24032187:24034589:-1 gene:ORUFI08G23980 transcript:ORUFI08G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPTPQHAILCFLLSHRPSPTPSQRRCPDPRMHHLQIWRWLGGGLQQAGRGCAAAVQQLAQSQHAQGSRSPVRTRGGSVDAQRAGAAAASNSPVHGRMVASWSATATATATLLKCSHAISNQLMALISEIEDIKLVGALPADRARNARGNV >ORUFI08G23990.1 pep chromosome:OR_W1943:8:24036522:24046048:1 gene:ORUFI08G23990 transcript:ORUFI08G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKRGGGAVAMAVAMLLAAAAAAASASQPSSLEGFQPLSKIAVHKATVDLHGSAFVNATPALLGDQLAMVSRKRGGGGGVAMAVAMLLAAASASRPSSSLEGFQPLSKIAVHKATVDLHGSAFVSATPALLGDQGEDTEWVTVKYGWANPSADDWIAVFSPADFISGSCPNPSRYPDEPLLCTAPIKYQFANYSANYVYWGKGSIRFQLINQRYDFSFALFTGGLENPKLVAVSEAISFKNPKAPVYPRLAQGKSYDEMTVTWTSGYDISEAYPFVEWGMVVAGAAAPTRTAAGTLTFNRGSMCGEPARTVGWRDPGFIHTAFLRDLWPNKEYYYKIGHELSDGSIVWGKQYTFRAPPFPGQNSLQRIIVFGDMGKAERDGSNEFANYQPGSLNTTDRLVEDLDNYDIVFHIGDLPYANGYISQWDQFTAQVAPITAKKPYMIASGNHERDWPNTGGFFDVKDSGGECGVPAETMYYYPAENRANFWYKVDYGMFRFCIADSEHDWREGTEQYKFIEQCLSTVDRKHQPWLIFAAHRVLGYSSNWWYADQGSFEEPEGRESLQRLWQRHRVDVAFFGHVHNYERTCPMYQSQCVSGERRRYSGTMNGTIFVVAGGGGSHLSDYTSAIPKWSVFRDRDFGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTVERDYRDVLSCVHDSCLPTTLAS >ORUFI08G23990.2 pep chromosome:OR_W1943:8:24036522:24046048:1 gene:ORUFI08G23990 transcript:ORUFI08G23990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKRGGGAVAMAVAMLLAAAAAAASASQPSSLEGFQPLSKIAVHKATVDLHGSAFVNATPALLGDQGEDTEWVTVKYGWANPSADDWIAVFSPADFISGSCPNPSRYPDEPLLCTAPIKYQFANYSANYVYWGKGSIRFQLINQRYDFSFALFTGGLENPKLVAVSEAISFKNPKAPVYPRLAQGKSYDEMTVTWTSGYDISEAYPFVEWGMVVAGAAAPTRTAAGTLTFNRGSMCGEPARTVGWRDPGFIHTAFLRDLWPNKEYYYKIGHELSDGSIVWGKQYTFRAPPFPGQNSLQRIIVFGDMGKAERDGSNEFANYQPGSLNTTDRLVEDLDNYDIVFHIGDLPYANGYISQWDQFTAQVAPITAKKPYMIASGNHERDWPNTGGFFDVKDSGGECGVPAETMYYYPAENRANFWYKVDYGMFRFCIADSEHDWREGTEQYKFIEQCLSTVDRKHQPWLIFAAHRVLGYSSNWWYADQGSFEEPEGRESLQRLWQRHRVDVAFFGHVHNYERTCPMYQSQCVSGERRRYSGTMNGTIFVVAGGGGSHLSDYTSAIPKWSVFRDRDFGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTVERDYRDVLSCVHDSCLPTTLAS >ORUFI08G24000.1 pep chromosome:OR_W1943:8:24047410:24052747:1 gene:ORUFI08G24000 transcript:ORUFI08G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKMTGKAPCAALPESSCAYLLQELKMIWDEVGQEENERERILQEIEQECQEVYRRKPEKMTGTLKEQLNSITPALQEMQMRKEARLKQFIEVQTEIQRIASEIAGRPENEAITVNQEDLSLKKLEEHQSELQRLKREKSDRLCKVEEYKVLIHNYAKIMGMDPSKILSNVHTSLLDGANDQQTKNISDDILNKLNTMVQQLKEEKNQRMDKLHSLGKALTKLWNILDTNMEERRPYGEIKIYSMTSGSSMLGPGSLTLETIQKIESEVQRLDHLKASKMKELFMIKQTEIKEICKKSHMDMPYQTEMNKIMDVIMSGDVDHDDLLKTMDEYIYKVKEEATSRKEIMDKVEKWMASCDEERWLEEYSRVPYLPPAIPKFQDYIMLSKLQCFMQDERRYSISRGAHKHLKRAERARIIVNKIPGLVELLMAKTEIWEQEREKVFYYDELPLLAMLKDYMLTLKEKEEEKYRQWENKKVQTQLARRHENSFILRPNTSCSRPSSRGFNTSPGSSTIWSSRLPTMVQQPSADNSSAEKDVHIRKVRNRSMQRALGNNRSISISYEDKTPSLSAIKQGVSPI >ORUFI08G24010.1 pep chromosome:OR_W1943:8:24052293:24062103:-1 gene:ORUFI08G24010 transcript:ORUFI08G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVEKHSKYHLIHYTQRMHLKLLKKRGKIPKSLQLSAARATVPASASPVTPQESTPTVRKSLPPVTGVSASRFVGGDAPIRDKKMSLSKKKEAFLKYELLTDLQNQLTSVLLKNRRTVAIKETDFQKPETNVSMQEGAATASTSTPTEVTKIYCNEQPNQGAADNEFGQKRKRNPIIVTPAWCYSEATTGTLQHEQNSSSHSNGARSYDIWKGHGVKLVPTGLKLVQMEVTLEGIVKDGAPFLKDGIHLRSSLKQGVRKVLDVKFHPEGLPQLVSCSNEAPNELLLFNLLSGRATQLRGHNTKIQATAFAVKGASIVSCGSNLLKVWDCITGSCLYTLGGDDHNSVGHTQKINAMAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYPSIDTMEFCSENLLACGSDCEYGGSAVVQLWDIESPESYLSFSASDSYITSLKTNPAGNTIITGSGDGTIGLFDIRACSAINHLSVGPGCEVTSVSFSNCGTYFSASSTSNNTLVWDTRLVPLNQSRDVSRSKDMRFFRPLHCLSHGKQMPTAEYTSQLPGHVDEGDQGVNAMQWLHKEPVLVTVSGDGRLLLLLMTNTFPQGEVTKKLFYIIVKVGVPTLIGVSHILSQEMINLRNYLH >ORUFI08G24020.1 pep chromosome:OR_W1943:8:24065102:24068836:1 gene:ORUFI08G24020 transcript:ORUFI08G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATATAAAAAASSSRLPFRRAASSSSSSQLRLPAVPPPHRASFAVVALHKRNPKRLKYASQRQFTRGDAGMLRVQVEPSGEDAWKLEPVVELINRGAVGIIPTDTVYSFVCDLSNNDSVERLRRIKGIGDSKARYAKTLSASCAARYETLIPTQPDFLEALTKGKLTYFVLSSYTFILPATKELPKQCIRHGSSTRYAKRRQVGVRLPDDPICEAILQNLDEPLICTSVKSLSEDEWILDPVIIADIYEQQGLDFIVDGGTRIADPSTVVDMTGSYPTIIRQGKGPKLDWMVAEDEEQESRPTFAYKAA >ORUFI08G24020.2 pep chromosome:OR_W1943:8:24065102:24068836:1 gene:ORUFI08G24020 transcript:ORUFI08G24020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATATAAAAAASSSRLPFRRAASSSSSSQLRLPAVPPPHRASFAVVALHKRNPKRLKYASQRQFTRGDAGMLRVQVEPSGEDAWKLEPVVELINRGAVGIIPTDTVYSFVCDLSNNDSVERLRSLSASCAARYETLIPTQPDFLEALTKGKLTYFVLSSYTFILPATKELPKQCIRHGSSTRYAKRRQVGVRLPDDPICEAILQNLDEPLICTSVKSLSEDEWILDPVIIADIYEQQGLDFIVDGGTRIADPSTVVDMTGSYPTIIRQGKGPKLDWMVAEDEEQESRPTFAYKAA >ORUFI08G24030.1 pep chromosome:OR_W1943:8:24092406:24097406:1 gene:ORUFI08G24030 transcript:ORUFI08G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDLKMPPAASWELADELENSGGGGVPAAVSSSSAAVGGGVNAGGGGRQECSVDLKLGGLGEFGGGGAQPRVAVAGEPAKGKGPAAAATGAAAAASSAPAKRPRGAAAGQQQCPSCAVDGCKEDLSKCRDYHRRHKVCEAHSKTPLVVVSGREMRFSPPTIEFDEAKRSCRKRLDGHNRRRRKPQPDPMNSASYLASQQGARFSPFATPRPEASWTGMIKTEESPYYTHHQIPLGISSRQQHFVGSTSDGGRRFPFLQEGEISFGTGAGAGGVPMDQAAAAAAASVCQPLLKTVAPPPPPHGGGGKMFSDGGLTQVLDSDCALSLLSAPANSTAIDVGGGRVVVQPTEHIPVAQPLISGLQFGGGGGSSAWFAARPHHQAATGAAATAVVVSTAGFSCPVVESEQLNTVLSSNDNEMNYNGMFHVGGEGSSDGTSSSLPFSWQ >ORUFI08G24040.1 pep chromosome:OR_W1943:8:24097915:24120989:1 gene:ORUFI08G24040 transcript:ORUFI08G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTQSMTKTLEKYQKCSYAGPETAVQNRESEQLKASRNEYLKLKARVENLQRTQRHLIAWINLLGEDLDSLGIKELESLEKQLDSSLKHVRTTRTKHLVDRLTELQRREQMVSEANRCLRRKLEESNHVRGQQVWEQGCNLIGYERQPEVQQPLHGGNGFFHPLDAAGEPTLQIGNLLGEDLDSLGIKELESLEKQLDSSLKHVRTTRWILNSDETSRRPRSPATLPPPVPTPLHDRAAAQQQQQQLARRGDGEMEMVPLLLLPSTTFLFFENGFPHHHIIILASSTVLALLLVVLVLSSSPF >ORUFI08G24040.2 pep chromosome:OR_W1943:8:24097915:24120989:1 gene:ORUFI08G24040 transcript:ORUFI08G24040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTQSMTKTLEKYQKCSYAGPETAVQNRESEQLKASRNEYLKLKARVENLQRTQRHWKSNFHMYITNTLLPLCVDYDRNLLGEDLDSLGIKELESLEKQLDSSLKHVRTTRTKHLVDRLTELQRREQMVSEANRCLRRKLEESNHVRGQQVWEQGCNLIGYERQPEVQQPLHGGNGFFHPLDAAGEPTLQIGNLLGEDLDSLGIKELESLEKQLDSSLKHVRTTRWILNSDETSRRPRSPATLPPPVPTPLHDRAAAQQQQQQLARRGDGEMEMVPLLLLPSTTFLFFENGFPHHHIIILASSTVLALLLVVLVLSSSPF >ORUFI08G24040.3 pep chromosome:OR_W1943:8:24097915:24120989:1 gene:ORUFI08G24040 transcript:ORUFI08G24040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTQSMTKTLEKYQKCSYAGPETAVQNRESEQLKASRNEYLKLKARVENLQRTQRHWKSNFHMYITNTLLPLCVDYDRNLLGEDLDSLGIKELESLEKQLDSSLKHVRTTRTKHLVDRLTELQRREQMVSEANRCLRRKLEESNHVRGQQVWEQGCNLIGYERQPEVQQPLHGGNGFFHPLDAAGEPTLQIGDETSRRPRSPATLPPPVPTPLHDRAAAQQQQQQLARRGDGEMEMVPLLLLPSTTFLFFENGFPHHHIIILASSTVLALLLVVLVLSSSPF >ORUFI08G24040.4 pep chromosome:OR_W1943:8:24097915:24120989:1 gene:ORUFI08G24040 transcript:ORUFI08G24040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTQRNAVTQDPKQLSKIEKVRNLLGEDLDSLGIKELESLEKQLDSSLKHVRTTRTKHLVDRLTELQRREQMVSEANRCLRRKLEESNHVRGQQVWEQGCNLIGYERQPEVQQPLHGGNGFFHPLDAAGEPTLQIGNLLGEDLDSLGIKELESLEKQLDSSLKHVRTTRWILNSDETSRRPRSPATLPPPVPTPLHDRAAAQQQQQQLARRGDGEMEMVPLLLLPSTTFLFFENGFPHHHIIILASSTVLALLLVVLVLSSSPF >ORUFI08G24040.5 pep chromosome:OR_W1943:8:24098275:24131710:1 gene:ORUFI08G24040 transcript:ORUFI08G24040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALLVFSPAGRLYEFASSTSSIDTIFGRYWDLLDTTIDLNIEARESRVDCNIQLRQKERSDDPVPKINHITQCVLESNVNELNIAELRGLEEAMTNALTVVKNKLMMQVASVLPQSEKKRKSCSISEPRSGVSS >ORUFI08G24040.6 pep chromosome:OR_W1943:8:24120582:24131710:1 gene:ORUFI08G24040 transcript:ORUFI08G24040.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRRRKRGKVELRRIEDRTSRQVRFSKRRSGLFKKAYELSVLCDAQVALLVFSPAGRLYEFASSTSSIDTIFGRYWDLLDTTIDLNIEARESRVDCNIQLRQKERSDDPVPKINHITQCVLESNVNELNIAELRGLEEAMTNALTVVKNKLMMQVASVLPQSEKKRKSCSISEPRSGVSS >ORUFI08G24040.7 pep chromosome:OR_W1943:8:24097915:24120989:1 gene:ORUFI08G24040 transcript:ORUFI08G24040.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTQRNAVTQDPKQLSKIEKVRNLLGEDLDSLGIKELESLEKQLDSSLKHVRTTRWILNSDETSRRPRSPATLPPPVPTPLHDRAAAQQQQQQLARRGDGEMEMVPLLLLPSTTFLFFENGFPHHHIIILASSTVLALLLVVLVLSSSPF >ORUFI08G24050.1 pep chromosome:OR_W1943:8:24115259:24115504:-1 gene:ORUFI08G24050 transcript:ORUFI08G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRGGRRCGGRWRQRATTMSLLPSRAPLLWRRALCSGGAAGVIEVVLPSGGHGAAGAPFPGDDGEIALFSVPTRSQGDP >ORUFI08G24060.1 pep chromosome:OR_W1943:8:24134045:24136421:-1 gene:ORUFI08G24060 transcript:ORUFI08G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAASAAAAAVASGISARPVAPRPSPSRARAPRSVVRAAISVEKGEKAYTVEKSEEIFNAAKELMPGGVNSPVRAFKSVGGQPIVFDSVKGSRMWDVDGNEYIDYVGSWGPAIIGHADDTVNAALIETLKKGTSFGAPCVLENVLAEMVISAVPSIEMVRFVNSGTEACMGALRLVRAFTGREKILKFEGCYHGHADSFLVKAGSGVATLGLPDSPGVPKGATSETLTAPYNDVEAVKKLFEENKGQIAAVFLEPVVGNAGFIPPQPGFLNALRDLTKQDGALLVFDEVMTGFRLAYGGAQEYFGITPDVSTLGKIIGGGLPVGAYGGRKDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLMEPGTYDYLDKITGDLVRGVLDAGAKTGHEMCGGHIRGMFGFFFTAGPVHNFGDAKKSDTAKFGRFYRGMLEEGVYLAPSQFEAGFTSLAHTSQDIEKTVEAAAKVLRRI >ORUFI08G24070.1 pep chromosome:OR_W1943:8:24137878:24139651:-1 gene:ORUFI08G24070 transcript:ORUFI08G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAVAKAFVEHYYRTFDTNRPALVSLYQDGSMLTFEGQQFLGAAAIAGKLGSLPFAQCHHDINTVDCQPSGPQGGMLVFVSGSLRTGPDEHPLKFSQMFQLLPAGGNFYVQNDMFRLNYG >ORUFI08G24080.1 pep chromosome:OR_W1943:8:24140345:24145630:1 gene:ORUFI08G24080 transcript:ORUFI08G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGGRVRGFVRNRWLVFVAAMWMQSFAGVGYLFGSISPVIKASLGYNQREVAGLGVAKDLGDSVGFLAGTLSAVLPLWAAVLVGAAQNLFGYGWVWLAVTHRAPVPPLWAMCILIFIGTNGETYFNTAALVSCVQNFPKSRGPIVGILKGFAGLSGAILTQMYAMIHSPDHAALIFMVAVGPTMVVIALMFIVRPVGGHRQVRLSDGTSFTFVYSVCLLLAAYLMGVMILGDLVDLSHTVMVLLTIILIVLLIVPIVIPVILSFFSDNDESAYASLLQSPGKEEASASTPSEEQTEVIFSEVEDEKPKEVDLLPASERQKRIAELQTKLFQAAAVGAVRVKRRKGPRRGEDFTLMQALIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQDYAYPRAIALATAQVFMAFGHFSFAMAWPGAMYIGTLLVGLGYGAHWAIVPAAASELFGLKNFGALYNFLTVANPAGSLVFSGIIASGIYDSEAEKQAHQHHNSTLLAMPGRLLAMASDATQPLKCEGAICFFLSSLIMSGFCIVAAVLSLILIYRTKIVYANLYGNPRT >ORUFI08G24090.1 pep chromosome:OR_W1943:8:24146200:24151758:1 gene:ORUFI08G24090 transcript:ORUFI08G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTCWSCGEGAVVADPDTGVLVCTSCGRIHDSGSSEFVHQSICTDNGVYDLRASSFVYHQSQSQYRDQKLSNGVVTIASIAARLGLSPTAADEALAMAKSATGGNLATQGSAFLPALASACAFLVARSHRLPLSLAEAAETAFCSVASLADLVSRIASHLSLPPLPSFDYAAALERAVRSSPSLSSAASERTEVILSQSRFLLRCASKWSLTTGRFPLPLIAALVAFAAEANGVTSITVEDIARDISARLHTSLRRYKELVDALVRVARELLPWGADVNAKNLLLNAPVLLRLMEMRSQSDPSEQFLESFAPDIAGIVQACSAVDDDESKYLQVAPQLASDDLDSNNSGQEGKELESLKISEECLSSAYQNVLKRLAQLQRLGQVGKGANRKRQRIGGLELEPCIDSLDDGWTKDMVLEDVVNIDVGFDVPPPSFAAGMKLQKKRRARIEAAKCRIDAIRKGPVESENKLQAALRNEDACSPQKPARKKRGKKRIAGSDRAMNGELPIEMPDGPGGEKKRRKGAPSDGIDWEDCIIELLLLHGANEEEIEQGQYRRLLDLHVFCAVSANGIPKCMRCFKEEEPKKVRDSFVKEHLESVQARCVIGSHVNDKGTVKSTAEDHILKSKVGEENEMDGSDTAAI >ORUFI08G24090.2 pep chromosome:OR_W1943:8:24148917:24153264:1 gene:ORUFI08G24090 transcript:ORUFI08G24090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRVVTCIAAATDDHASEISEHLSVKRKSFEREWPMGRKIGDDELIELTVTRQSWKKSLRTAGMVTTVSLIFLDTSYRTMDSSLGHDEL >ORUFI08G24090.3 pep chromosome:OR_W1943:8:24151302:24153264:1 gene:ORUFI08G24090 transcript:ORUFI08G24090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRVVTCIAAATDDHASEISEHLSVKRKSFEREWPMGRKIGDDELIELTVTRQSWKKSLRTAGMVTTVSLIFLDTSYRTMDSSLGHDEL >ORUFI08G24090.4 pep chromosome:OR_W1943:8:24151601:24153264:1 gene:ORUFI08G24090 transcript:ORUFI08G24090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRVVTCIAAATDDHASEISEHLSVKRKSFEREWPMGRKIGDDELIELTVTRQSWKKSLRTAGMVTTVSLIFLDTSYRTMDSSLGHDEL >ORUFI08G24110.1 pep chromosome:OR_W1943:8:24157003:24159407:-1 gene:ORUFI08G24110 transcript:ORUFI08G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRGLALAAVVAWCVAAAAAAAPDAALQSKCQQDFTKLTDCMDYATGHEEAPSSTCCGDMSATQQARPECLCYIIQQVHGGRNEVQSLGLRFDRLLAMPTACKLPNANVSLCITAATTPSSTTPGAAAATAGGFKVQAGLSYGVVAAAMVSAVFSSIF >ORUFI08G24120.1 pep chromosome:OR_W1943:8:24161637:24164033:-1 gene:ORUFI08G24120 transcript:ORUFI08G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSWRTVCLLVLCTVALLRPAAAIRFVIDREECFSHNVEYEGDTVHVSFVVIKADTPWHYSEDGVDLVVKDPNGAQVRDSRDKTSDKFEFIVQKRGVHRFCFTNKSPYHETVDFDVHVGHFSYFDQHAKDEHFAPLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAVHKALLESAALIAASVIQVYLLRRLFERKLGTSRV >ORUFI08G24130.1 pep chromosome:OR_W1943:8:24164981:24165277:-1 gene:ORUFI08G24130 transcript:ORUFI08G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALWSPRGGDGKAAAREAIGRQELCVQRRWEGRDEGGGRPAGTERAAEAGGNDGVAEERHAERRDDRGDGGRREKWVGPCVGPMIGKGILVAIFGQ >ORUFI08G24140.1 pep chromosome:OR_W1943:8:24174675:24177483:1 gene:ORUFI08G24140 transcript:ORUFI08G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACGSPSTEVVDEFEKLVIRMNPPRVTVDNTSDMTATLVKASNTSLFLVLDNSCRRGCHSFLQVDSANKYGTLLEVVQVLTELKLTIKRAYISSDGEWFMDVFHVVDQDGNKLYDGQVIDRIELSLGAGSLSFRAPPERSVEVEAEAAAAQTAIELIGKDRPGLLSEVFAVLTDLKCNIVSSEVWTHDARMAALVHVTDADTLGAIDDQDRLDTVKRLLRHLLRGGGAGARDRKATARAAIPAPRRDGAAAHAPRRLHQMMHDDRAAAAPQPSSSSGDGGGRGRPVVEVVDCAERGYTLVNVRCRDRPKLLFDTVCTLTDMQYVVFHGTVIAEGSEAYQEYYIRHLDDSPVTSGDERDRLGRCLEAAIQRRNTEGLRLELYCEDRVGLLSDVTRIFREHGLSVTHAEVATRGARAANVFYVVAASGEPVEAHAVEAVRAEIGEQVLFVREDAGGGEPRSPPGRDRRSLGNMIRSRSEKFLYNLGLIRSCS >ORUFI08G24150.1 pep chromosome:OR_W1943:8:24196982:24201479:1 gene:ORUFI08G24150 transcript:ORUFI08G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGGELVVVEEDDEYAKLVRRMNPPSVVIDNDSCDSATVIRVDRVKKHGILLEAVQVLVDLNLVITKAYISSDGNWFMDVFNVTDQDGNKVQNKEVTDCIKKCLESEDYLVLPASSPAGGAAPSEETTCIELTGTDRPGLLSEVCAVLASLRCNIVNAEVWTHDRRAAAVIQITDEATGLPVRDGGRLSQLQELLGNVMQGDGDGGGDSRKGSTAVSLGAANAERRLHRLMLDDGDAGRCGEERGGVAAAKAKAKVVVMDCTERRYTVVILRCRDRPRLLFDTLCALTDLHYVVFHGTVDAEGGSAKEAYQEYYVRHVDGHPVRCDAERLRLVRCLEAAVERRASDGLELEVKTEDRAGLLSEITRVFRENSLSIIRAVITTKDGEADDTFYVSDAYGNPVDGKAMEALGEQLGHAVLRVKSNGRAAINRAEDSGGGGAAAIIGNLLKGSFQGFRLIRSYS >ORUFI08G24160.1 pep chromosome:OR_W1943:8:24202276:24231925:1 gene:ORUFI08G24160 transcript:ORUFI08G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGLKIDLFLIGIPYRPNRSCNTQSSLLWSIGFPFAGIRSSRPPPPSAGRFPFAAGSKIRRRGLVGICPHPWTRLAPLLIAPPRVDALRAASSHKVEVNLLRLLEAAPRQQNQAKLVHYVTTARELLEQLGAETTPEGISSVSKAKISEYSEKIEALAARLAVPEPENEVPVDENREVESSYEGEKPGSPISLSSGLRRRPIAHTDVGPSSHARKDRDIGAPIKLDAEAQAHIEKHRKLQEDLTDEMVELARQLKESSLTMHQSVQETEKILDSTERAVEHSLASTGRAATRAAEVYSLASKTTCFQWLLIFMMTCIVSKAKTSEYSEKIEALAARLAAPEPENEMPVDENREVESSYEGDKPGSPISLSSGLRRRPIAHNVVGPSSHERKDRDIGAPIKLDEEAQAHIEKHRKLQEDLTDEMVELARQLKESSLTMHQSVQETEKLWYVDIGNCLGRSLIPPRELSSIAWRALGVQPREQQSDFEIDYGSEERASIVYKTLAVDKELQPDKVKREMSVSGGKLVVHFEAVEARFLRASFSAFVDLTVLVTKLVEEYGISKEGEGSI >ORUFI08G24160.2 pep chromosome:OR_W1943:8:24202276:24231925:1 gene:ORUFI08G24160 transcript:ORUFI08G24160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGLKIDLFLIGIPYRPNRSCNTQSSLLWSIGFPFAGIRSSRPPPPSAGRFPFAAGSKIRRRGLVGICPHPWTRLAPLLIAPPRVDALRAASSHKVEVNLLRLLEAAPRQQNQAKLVHYVTTARELLEQLGAETTPEGISSVSKAKTSEYSEKIEALAARLAAPEPENEMPVDENREVESSYEGDKPGSPISLSSGLRRRPIAHNVVGPSSHERKDRDIGAPIKLDEEAQAHIEKHRKLQEDLTDEMVELARQLKESSLTMHQSVQETEKLSSIAWRALGVQPREQQSDFEIDYGSEERASIVYKTLAVDKELQPDKVKREMSVSGGKLVVHFEAVEARFLRASFSAFVDLTVLVTKLVEEYGISKEGEGSI >ORUFI08G24170.1 pep chromosome:OR_W1943:8:24243764:24246417:1 gene:ORUFI08G24170 transcript:ORUFI08G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKGKRKMEEKGVPLQGDLDPDNDPMKYALSAPRVQIIPGLWRRIVRRRRSKNDAKPWACPCGYLKKQLPRSLDMNTHDDLGIKDLWKIISILEKDGVETVDGSHKARISGITHVPLDFPVICKEIAEGHPLLAIIRTGKGFDDLQYNQIYKPPKVSRIVDGKSAGLHCITLVGGAMRKGRKMHYKFVNTHGEEFCKLRSLNRDDGIKGG >ORUFI08G24170.2 pep chromosome:OR_W1943:8:24243764:24245514:1 gene:ORUFI08G24170 transcript:ORUFI08G24170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKGKRKMEEKGVPLQGDLDPDNDPMKYALSAPRVQIIPGLWRRIVRRRRSKNDAKPWACPCGYLKKQLPRSLDMNTHDDLGIKDLWKIISILEKDGVETVDGSHKARISGITHVPLDFPVICKEIAEGHPLLAIIRTGKGFDDLQYNQIYKPPKVSRIVDGKSAGLHCITLVGGAMRKGRKMHYKFVNTHGEEFCKLRSLNRDDGIKGG >ORUFI08G24180.1 pep chromosome:OR_W1943:8:24247333:24250226:-1 gene:ORUFI08G24180 transcript:ORUFI08G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAKGGSDSSAGSSGSTPSSPATGRGGSDSSDANQPSPVKERGVVLSELLGHIHGYYKAALGRLPVEETPALIPPLLDAGVCFGLMDPISNIIVNTVSEPLLPDCTASEEEEEDGKSRKRKRGRDEAILSEIAADASCIRCFPPRMGRCETSPERRRNVPVAQRSLEGLVTFLICYFRHLPVSEALHYLLLTKADLLAAVHLIEYTRGIGGRLFPISSPTTEVALRCAAISASHPDPPAFAARSLSLASPSSQILTDGCYLSLDAIHRLHEFLQEPLKEPPDFPKPVRQAALRLNHYIKGISSLNKFPHEFTDTLRTLLLEKIHVLYLKAIARLPRDDLRRRYHHSLLKAGHCFGPANDRVSNIILNTIWYDTTFPPHEELKLDMICTNSLVRIECRSLNGLLAFLHNLFPALSEHDAMMYLFHSNANLEQVTFRAMCDHDISCSHEDAYKAAADAAWHPHPDAQAEFAVSTHPMLLPIDKPSLAISRTLTSSEVELISRYFSQKSYPAKSVPSVPELVPRADELVKRSQQNFMANQYFIRRKVKAALKRYAKEKGTEYELHVICGTNFDVPENGRYGYFRNRKGFPYAHVNFLARPKGSQRDNTAPSLFFLECSNGEEDIGTLFSCCTELESPTDSGRCFHCECKGTKIVHPAIGTYRGRETDFEEMSCGKQEIDSKGLIISEKMAIEFVGLCEDDIIYFDPSKDYDFALGLNNLVREDDEALEELHRDIAECERMKVCDMSCEPDLLAEITEDVLQDCQGHLVPQC >ORUFI08G24190.1 pep chromosome:OR_W1943:8:24255470:24257722:-1 gene:ORUFI08G24190 transcript:ORUFI08G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFLSMANPAVTLSGVAGNIISFLVFLAPVATFLQVYKKKSTGGYSSVPYVVALFSSVLWIFYALVKTNSRPLLTINAFGCGVEAAYIVLYLVYAPRRARLRTLAFFLLLDVAAFALIVVTTLYLVPKPHQVKFLGSVCLAFSMAVFVAPLSIIFKVIKTKSVEFMPIGLSVCLTLSAVAWFCYGLFTKDPYVMYPNVGGFFFSCVQMGLYFWYRKPRNTAVLPTTSDSMSPISAAAAATQRVIELPAGTHAFTILSVSPIPILGVHKVEVVAAEQAADGVAAAAAADKELLQNKPEVIEITAAV >ORUFI08G24200.1 pep chromosome:OR_W1943:8:24274241:24277271:-1 gene:ORUFI08G24200 transcript:ORUFI08G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPQPSRSDAAGRDAGDQPRVYQVWRGSNEFFLRGRFIFGPDVRSIFLTMFLIVAPVVAFCVFVARHLIDDFPGDWGISVMVVVVVFTVYDLTLLLLTSGRDPGIIPRNTHPPEPEGFDGSNDSGVQTPQQLRLPRTKDVIVNGISVRIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFAFCWVYIIKIRNAESLSVWKAMLKTPASIVLIIYCFLCVWFVGGLSVFHCYLMSTNQTTYENFRYRYDRRANPYNRGVLNNFLEIFCSRIPPSKNNFRARVTVEQGLQQTRVASRGFMSPNMGKPVGDLEMGRKPVPWDEPRTAADIRDLEAGLGGFLDEKEGRLTHASPDLSRDDLPADLMKGRAGTHSRRSSWVNRTGTSESVDSMNAQIIAAWEAIQSDPVH >ORUFI08G24200.2 pep chromosome:OR_W1943:8:24274243:24277271:-1 gene:ORUFI08G24200 transcript:ORUFI08G24200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPQPSRSDAAGRDAGDQPRVYQVWRGSNEFFLRGRFIFGPDVRSIFLTMFLIVAPVVAFCVFVARHLIDDFPGDWGISVMVVVVVFTVYDLTLLLLTSGRDPGIIPRNTHPPEPEGFDGSNDSGVQTPQQLRLPRTKDVIVNGISVRIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFAFCWVYIIKIRNAESLSVWKAMLKTPASIVLIIYCFLCVWFVGGLSVFHCYLMSTNQTTYENFRYRYDRRANPYNRGVLNNFLEIFCSRIPPSKNNFRARVTVEQGLQQTRVASRGFMSPNMGKPVGDLEMGRKPVPWDEPRTAADIRDLEAGLGGFLDEKEGRLTHASPDLSRDDLPADLMKGRAGTHSRRSSWVNRTGTSESVDSMNAQIIAAWEAIQSDPVH >ORUFI08G24210.1 pep chromosome:OR_W1943:8:24279081:24280158:-1 gene:ORUFI08G24210 transcript:ORUFI08G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAEMQRFIEFTADSYLNITLQQEQQKAMVSEMVGKLTSVCWDKCITSTPGSKFSSGETTCLTNCAQRFLDMSVIIAKRFEMQ >ORUFI08G24220.1 pep chromosome:OR_W1943:8:24280523:24283668:1 gene:ORUFI08G24220 transcript:ORUFI08G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAATARAAALCRIAACASASSAAAAATGGRRGAEKLPFSLAERGMVVGGHRGMGMNAVGAPPGARIEAVRERENTLLSFGRAAAHAAVAFVEFDVQVTKDGCPIIFHDDFILTQETDAVYAKRVTDLLLEEFLSYGPQKNSHEISKPLLRRTSDGRVVNWSAKDDDSLCTLQEVFERVSPRLGFNIELKFDDDIFYERSQLDRALQAVLQYASNRPVFFSTFHPDAARIMRELQSLYPVLFLTEGGTAQHKDSRRNSLDEAIRVCLEYELHGLVSEVRGVLKNPSAVLRAKESNLALLTYGQLNNVWEAVYIQYLMGVNGVIVDLVEEISNAVADFSKPVLNQSMLGSGVDLVGAKHQAFSQQQLGFLLRLIPELIQQPH >ORUFI08G24230.1 pep chromosome:OR_W1943:8:24295346:24299571:1 gene:ORUFI08G24230 transcript:ORUFI08G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAAAAPVVVRHGGVVLPPGFRFHPTDEELVVQYLRRKAFGLPLPAAVIPDLHNLFKLDPWDIPGASSDGDKYFFAVRPAAARGRRQQVTASGGCWKPAGGRDKPVVVARCGGSHLVGVKKGMVFVPRQGRKAPAAAAAAAGGGCWVMHEYSLARPMHKKGCLAEAEEWVVCRIFQRSSSGSRSPRRPDNDVRRTMPAVAELGRSPSPSSSSSQSSCVTSSSDQEEVSSG >ORUFI08G24240.1 pep chromosome:OR_W1943:8:24307273:24312785:1 gene:ORUFI08G24240 transcript:ORUFI08G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIARRRLGSGCALGQLMQALRPAAAAAAARTYSAAAKEVSRFDEPLLLPPLLYPWARCSGDAIGKRAASMTVREALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYQGLRPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLTPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEQEGKDVTITAFSKMVGYALQAAEILSKEGISAEVINLRSIRPLDRATINASVRKTNRLVTLEEGFPQHGVGAEICMSVVEDSFEYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRAVPMAATA >ORUFI08G24250.1 pep chromosome:OR_W1943:8:24314727:24320372:1 gene:ORUFI08G24250 transcript:ORUFI08G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVNPAEEAPTPSSRPVSSPRSFEGVGACDLDGLLGGSSSSRVFEYPSIGDSDRKTALDIPNGSTEYSRDSHCFDRPAGLRGLRIDSRDADSRSSHKLDPTVHVPTMRVVGFESGSVGGSAIIETDNCHTPFDQHELQARKRLLSPLKNVLNRQFHGDILNISAGDARFELSDSARKLNISCFQDSKKANTGMLDSFEPQAWTNSRCSNGSPEWDANKINSNLFTDGPLLGSKESLAYLDHLAASAKLAHSPLSLSPLSPKFMNRTKATGAQCRTMRDIEHHFLDSREKGGPDGIRMLSVLEETNILHDEYNVMTPKRSSCRRYQIWGPQSTPTSPRVGYGRSLSLPVRRSLVGSFEESLLSGRLSYGKDNQIIDGFLAVLNITGGNFSPPTQKLPFAVTSIDEDSSLLYYSSIDLAGRSSATNCRSPKLERSLSNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCSYDLTDMPSGTKTFMRQKVTLSPTLSSSNPMKEGSNAGDINIGPKAESVSCGEVTERESSECSSDGSEEKDANAKRCSLDSNMMESNKHNSPVNKKNNTDSDDCCCQMDNLGGKNSCCASSRINDSSGGGVIRYALHLRFLCPSSKKSSKSMLRCKSDPSSVPYDSNAAKEDDRRFYLYNDLRVVFPQRHSDADEGELRVEHDFPADPKYFDISN >ORUFI08G24260.1 pep chromosome:OR_W1943:8:24321178:24321762:1 gene:ORUFI08G24260 transcript:ORUFI08G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELESQEAVTVASTADIAVDVSLRLLAAATSLAAAVVVAANHQQRWGIRVDFTLFQVWIGFVAVNLVCTVYAAATAAAAARKAMGRWWLHHADAVVVNLEAAATAGAGAIGSIAMWGNEASGWYAVCRLYRRYCNAGAAALALSLAAVLLLGVACARSRYPKMPPTT >ORUFI08G24270.1 pep chromosome:OR_W1943:8:24322563:24326323:-1 gene:ORUFI08G24270 transcript:ORUFI08G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGGGGGGRGQQQQWPCDYCGEAAAALHCRADAARLCVACDRHVHAANALSRKHVRAPLCAACAARPAAARVASASAPAFLCADCDTGCGGDDGAALRVPVEGFSGCPAAAELAASWGLDLPGGCGGEEEEADDAFFSALDYSMLAVDPVLRDLYVPCDPPEVVVAGGGRRLKGEALGHQLAEMARREAETAHPHTQPHSDLSPRTPRRTSAAASGRLQEKQAPPPLPHAAATAAAPEAPLPYTSLLMMAPANCTELMENNRVGDEDENVLWESTAPSVPPTQIWDFNLGKSRDHNENSALEVGFGSNNGGFMIKSYNDMLKEISSGTTKDLEDIYDSRYFAAAEDIMSTNVCQLSSKNPSTRSNKRKASSCASTIDGPTTSTSHVPAASGALGGSSNDRGSALPKEISFCDQTVVPTGADQRPCTIKIDSETLAQNRDSAMQRYEKHIRYESRKLRADTRKRVKGRFVKSNGAPDDVSNGG >ORUFI08G24280.1 pep chromosome:OR_W1943:8:24348567:24351307:1 gene:ORUFI08G24280 transcript:ORUFI08G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRPMRGYPQSGPYPYPPPSGAVYPPQGYPSSHGVYPPPQGPYPPPHQPPPPGYQGYFNQGQQPYYPPPPLPYDHCHHHCGDEGSGAGFLKGWFSVSWTTAIVQFADCY >ORUFI08G24290.1 pep chromosome:OR_W1943:8:24358757:24359522:1 gene:ORUFI08G24290 transcript:ORUFI08G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDWHPPDNDDDDLQVRQGQGDLEVNKRWAGRQSARMGGVRQVRGRAVGGSGWWTVAQGQALAGGGNSVDRDSSGGEVNSYFFLVKTMAGLSRLNITLSHN >ORUFI08G24300.1 pep chromosome:OR_W1943:8:24364990:24391091:1 gene:ORUFI08G24300 transcript:ORUFI08G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGRQPFADKTDGRTRKSRWSGLHAFREKAGPPARIPREDIAPPPPAAAETAHFSSSLTPPRVLAGATPHCRRSSASSPEQPRPSSSLPPLRRARPPSSPPPRPAAGATPRPRRSRRRIVLLPLGSAAGADFGPFSTAGTELDLGPYSTSDLTRCRASSRLPAARHRIACPSAPRPALTSLLRSTITGGSEPVKVPVVRVDGLNMEYCGGLREKPRFSNQEGRQQDRSRNKKSN >ORUFI08G24310.1 pep chromosome:OR_W1943:8:24370578:24372202:-1 gene:ORUFI08G24310 transcript:ORUFI08G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSPHHSLLLKATAAGAAIATTNDPNISSFFLYNHSHGSQAPQPANAAAAAIVEDASLESSVSAVLDTSPSVDRKRKAAEDSAHSKDSCKDGKSRRGKKASKEVEEKSTTEDEPPKGYIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASMSPVLYGFGMDSDGLHDQKIGGMFQEALAMPTPVLNQSSPAPSQAIMDTTSTTSYSLQSQHGAISFSQDNGSYLMQAVGEPRQQEMLNQLVFNNMCSFQ >ORUFI08G24320.1 pep chromosome:OR_W1943:8:24391114:24391796:-1 gene:ORUFI08G24320 transcript:ORUFI08G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLCHRRIELGEMAYRCAAAECTAFFLHDACYRYPERIKAKGHFSAGHSLALTARADVAGGACTSCSKSRHLACGTGGFRAHPRCGNLLPQAGAPSHPHQLVLRAPDGGGGVRRCVECNAVAGAGAGGGRQVVRAWSYQCQTCPDRELCLACALGRDGVPPCCCCGQCVCGGGCFVGCAGLAGNAMGHFIHELFRGCTGLSFKSAMGTARPPA >ORUFI08G24330.1 pep chromosome:OR_W1943:8:24394819:24395126:-1 gene:ORUFI08G24330 transcript:ORUFI08G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEQAPRLRRRRPTAAAASASTGGGETAWSYRCSACPDVELCLQCAMGRDGDGNGDDTTCLCGGADAGQGAVCAGIVAGKFIKGLMWGMGCGCGTPI >ORUFI08G24340.1 pep chromosome:OR_W1943:8:24394973:24395449:1 gene:ORUFI08G24340 transcript:ORUFI08G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWRQSSTSGHALHRYDHAVSPPPLCRTLRHLRRRPSADGGAAAVLAPTAYVHVGVAVEAPRAHVVAAARGDDTAAVGAGGEVQAVAREVPDRVRSAAEAGVVEEEGRALGGGAAVGHLAELDAAMAEPAHRPLGRALLAPEAVVGVSRVGVAASHG >ORUFI08G24350.1 pep chromosome:OR_W1943:8:24395261:24395443:-1 gene:ORUFI08G24350 transcript:ORUFI08G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGHSHPAHPNHRLRREKCSTQGAMCGLCHRRIELGEMAYRCAAAECPAFFLHDACFRR >ORUFI08G24360.1 pep chromosome:OR_W1943:8:24396932:24409657:1 gene:ORUFI08G24360 transcript:ORUFI08G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKQQRQEAAADDDDVDVARNLRGMPWLVWLLFETPSGFAIFSFNSYIFEEENAIEAPRAHVAAAAREDDATAVGAGGEVQAVAGEVPDPLRVAEAGVVEEEGRALGGGAAVGHVAGVDAAMAEPAHRPVDRALLAPEAMVGMSRVVNAGRVYQCLTRWGSTAWSPASAIGSSWISIGVSSSTPRCIAMGRRGREKQQRQEAAADDVDVDVARNLRGMPWLVWLLFETPSGFAIFSFNSYIFEEENAIELWLKQFQKFEDKSAAINCTTGLGKELRDMLKIWCRRGEKLMVGSLEYKEIIEADQELKGVRCLYSDNVMEVMWGIKNLMHILVPEEQKVLTKEERLPVSKGLEMILHRYKFDVKPEMINDDIAETACYLYHCDFLEKRHSEDLHLSDYHLLKISGLNSSEWDTMKLATALKKITRPGEEIEHPPEMFSSDELLKIERDADKYKDKIYKTAVSKIWNELVRSYVVKKEKLRHMQFLVEAAAQEAAKREAEFCYFGDLPAERAARLIDSSARSHYLIPSARLAPLPLLRRSPSSPPRRPPLSPSAAAAPLPHWRTSPRPSPTGDASQRPPAFPTEQRQRRRRPALAASSGGGTAMVAPLLLPTAGSFSPRQAVAAAPLSRQIRRADARPGLRSGSPLPLLSASRLEWDFVFDLDCDDLRFGDKVCSMWDSICVMTEFRLGFVPGGVTDENHRTEGVTIGKIRIF >ORUFI08G24360.2 pep chromosome:OR_W1943:8:24396932:24409657:1 gene:ORUFI08G24360 transcript:ORUFI08G24360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKQQRQEAAADDDDVDVARNLRGMPWLVWLLFETPSGFAIFSFNSYIFEEENAIEAPRAHVAAAAREDDATAVGAGGEVQAVAGEVPDPLRVAEAGVVEEEGRALGGGAAVGHVAGVDAAMAEPAHRPVDRALLAPEAMVGMSRVVNAGRVYQCLTRWGSTAWSPASAIGSSWISIGVSSSTPRCIAMGRRGREKQQRQEAAADDVDVDVARNLRGMPWLVWLLFETPSGFAIFSFNSYIFEEENAIELWLKQFQKFEDKSAAINCTTGLGKELRDMLKIWCRRGEKLMVGSLEYKEIIEADQELKGVRCLYSDNVMEVMWGIKNLMHILVPEEQKVLTKEERLPITRPGEEIEHPPEMFSSDELLKIERDADKYKDKIYKTAVSKIWNELVRSYVVKKEKLRHMQFLVEAAAQEAAKREAEFCYFGDLPAERAARLIDSSARSHYLIPSARLAPLPLLRRSPSSPPRRPPLSPSAAAAPLPHWRTSPRPSPTGDASQRPPAFPTEQRQRRRRPALAASSGGGTAMVAPLLLPTAGSFSPRQAVAAAPLSRQIRRADARPGLRSGSPLPLLSASRLEWDFVFDLDCDDLRFGDKVCSMWDSICVMTEFRLGFVPGGVTDENHRTEGVTIGKIRIF >ORUFI08G24360.3 pep chromosome:OR_W1943:8:24396932:24412425:1 gene:ORUFI08G24360 transcript:ORUFI08G24360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKQQRQEAAADDDDVDVARNLRGMPWLVWLLFETPSGFAIFSFNSYIFEEENAIEAPRAHVAAAAREDDATAVGAGGEVQAVAGEVPDPLRVAEAGVVEEEGRALGGGAAVGHVAGVDAAMAEPAHRPVDRALLAPEAMVGMSRVVNAGRVYQCLTRWGSTAWSPASAIGSSWISIGVSSSTPRCIAMGRRGREKQQRQEAAADDVDVDVARNLRGMPWLVWLLFETPSGFAIFSFNSYIFEEENAIELWLKQFQKFEDKSAAINCTTGLGKELRDMLKIWCRRGEKLMVGSLEYKEIIEADQELKVMWGIKHLMHILVPEEQKVLTKEERLPVSKGLEMILHRYKFDVKPVMINNDIVETACYLYHYDFLEKRHSKGLHMSDYHLLKISGLNSSEWDTMKLVTALNKITRPGEEIEHPPEMFSSDELLKIEKDADKYKDKIYKTAVSEIWNDLVCSYSIKKEKLRHMQFLVEAAAQEAAKREVNQAGND >ORUFI08G24360.4 pep chromosome:OR_W1943:8:24409410:24412303:1 gene:ORUFI08G24360 transcript:ORUFI08G24360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKQQRQDAAASSFSDDVDVDVARNLRGMPWLVWLLFETPSGFAIFSFNSYIFEEENAIELWLKQFQKFEDKSAAINCTTGLGEELRDMLKIWCRRGEKLMVMWGIKHLMHILVPEEQKVLTKEERLPVSKGLEMILHRYKFDVKPVMINNDIVETACYLYHYDFLEKRHSKGLHMSDYHLLKISGLNSSEWDTMKLVTALNKITRPGEEIEHPPEMFSSDELLKIEKDADKYKDKIYKTAVSEIWNDLVCSYSIKKEKLRHMQFLVEAAAQEAAKREVNQAGND >ORUFI08G24360.5 pep chromosome:OR_W1943:8:24409410:24412425:1 gene:ORUFI08G24360 transcript:ORUFI08G24360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKQQRQDAAASSFSDDVDVDVARNLRGMPWLVWLLFETPSGFAIFSFNSYIFEEENAIELWLKQFQKFEDKSAAINCTTGLGEELRDMLKIWCRRGEKLMVMWGIKHLMHILVPEEQKVLTKEERLPVSKGLEMILHRYKFDVKPVMINNDIVETACYLYHYDFLEKRHSKGLHMSDYHLLKISGLNSSEWDTMKLVTALNKITRPGEEIEHPPEMFSSDELLKIEKDADKYKDKIYKTAVSEIWNDLVCSYSIKKEKLRHMQFLVEAAAQEAAKREVNQAGND >ORUFI08G24370.1 pep chromosome:OR_W1943:8:24398339:24399073:-1 gene:ORUFI08G24370 transcript:ORUFI08G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGHSHPAHPNHRLRREKCSIHGAMCGLCHGRVDPGDMAYRCAAAECPAFFLHDACFRYTEWIRHFSGHRLDLTARADGGGVVLAGSSCDVCARSLDDYSHVYVCRRSKHRGCAAAGFRAHPRCAAHLPQRVGEPTHPHQLVLGAPPAAGRRRCLACNRHSRDGQRAWSYQCAACPGVELCLPCAMGRRDDGTTCCSCLCGAGAGGALITCAGFVVGKIVDGLVWACTGIKPSSLVQANGTSV >ORUFI08G24380.1 pep chromosome:OR_W1943:8:24413560:24423491:-1 gene:ORUFI08G24380 transcript:ORUFI08G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAKLDDSPMLRTQIQSLEESAEILRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALRELGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKDARKRFDKASLLYDQVRDKYLSLKKGTRADITTAIEDVTSISHIEAKKRFEFLEAVSSTMDSHLRYFKQGYDLLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKKQIDREIRLSNGLTDAHNGDGIQTIARSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRADWKRRFFVLDSRGMLYYYRKQINRTPGGCSSHPRSIGNPTEHGSGLLSRLFSSHYHGIIHDEKSVARHTVNLLTSTIKMDAEQSDLRFCFRIISPTKVYTLQAESAIDQMDWIEKITGVIASLLSSQSPEQCFLLSPKSCGHDGSASESSSFTSQDLEPSMYDDLTLEKNTGNGQHDVRGTHYHKTNMKPEKPIDLLRKVDGNIICVDCGSMEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINFFQSLGNKFVNDVWEEVLPSSKNGESDDKLRAHGLDTAWHFTVSKPKHSDPFSAKEKFIHAKYADKEFVRKYSMDEIQLAQQMWDSVTANDKRAVYSLIVQSRANVNLVYGEMSYSSRSTTGRSSQQEHSASPSDGSPVFFDCHSHEKVSPREPLSPASTSSHVDDGDERCEGFSLLHLACHVADVGMVELLLQYGANVNAIDSRGRTPLHQCILKGRHVHAKLLLSRGANPQVADQDGRTALQCAIDGGTSDDDILVLLEDYTR >ORUFI08G24390.1 pep chromosome:OR_W1943:8:24426333:24431654:-1 gene:ORUFI08G24390 transcript:ORUFI08G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLIQIERWREETGEKNAHLFLAFAEISEEKNTHRGERGERSGKLHRIEAPPHAKLAREAADASARKRKAADGGGGGEGDAAATVPEEAVMGEAAAAAAAPEPVVEGGGGGGGEGLNPNPSGGGGGGGGGCSDSVSVELSMGGDYYHSCCGDPDPDLRAPEGPKLPYVGDKEPLSTLAAEFQSGSPILQEKIKEHILETQDKAEVERILKKIEQCKKTLADLGYIEFTFEDFFSIFIDQLESVLQGHESSIGFVTSGEIQRRAEFFEPFISGLTNSTVVQFCKASVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDAGNISVNHHDFSPEANSSDAAAAAEKPYITLLYRPGHYDILYPNYGARACHQRPALQRKGLVLLVLGGELGGMGLLDAHWHAASTDMAT >ORUFI08G24400.1 pep chromosome:OR_W1943:8:24431562:24439793:1 gene:ORUFI08G24400 transcript:ORUFI08G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAAWWARSRVRILIPVIFLAPALFFLLSPPSSPHFFFTLPTSREESPSASGSRVIWAQQRVAEWRPCGWWRAAMPAPSRRNGYIRIDCYGGLNQLRRDLCDGIAVARILNATMVLPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEVVKDMPEEIASKEPFKVDCSKRKGHFDYVETVLPALLEHQYISLTPAMSQRRDRNPSYAKASYCQGCYNALRLNKNVETKAIELLQAIPKPFLSLHLRFEPDMVAYSRCVYTGLSSKSLSAIEAARGEDRKALTGEAALLWRNRGKCPLTPSETAFILKALGIPTDTNIYLAAGDGLMELEGFTSIYKNIYTKSSLLTHDDFEKMHGNTKAALDYYVSVSSDAYVATFFGNMDKMVTAMRTMQGHQKTLVLSRRAYANYTAVGLSGEQLAMAMWDAHREEYIMGRGSALPEQCFCEFKL >ORUFI08G24400.2 pep chromosome:OR_W1943:8:24431562:24439793:1 gene:ORUFI08G24400 transcript:ORUFI08G24400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAAWWARSRVRILIPVIFLAPALFFLLSPPSSPHFFFTLPTSREESPSASGSRVIWAQQRVAEWRPCGWWRAAMPAPSRRNGYIRIDCYGGLNQLRRDLCDGIAVARILNATMVLPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEVVKDMPEEIASKEPFKVDCSKRKGHFDYVETVLPALLEHQYISLTPAMSQRRDRNPSYAKASYCQGCYNALRLNKNVETKAIELLQAIPKPFLSLHLRFEPDMVAYSRCVYTGLSSKSLSAIEAARGEDRKALTGEAALLWRNRGKCPLTPSETAFILKALGIPTDTNIYLAAGDGLMELEGFTSIYKNIYTKSSLLTHDDFEKMHGNTKAALDYYVSVSSDAYVATFFGNMDKMVTAMRTMQGHQKTLVLSRRAYANYTAVGLSGEQLAMAMWDAHREEYIMGRGSALPEQCFCEFKL >ORUFI08G24400.3 pep chromosome:OR_W1943:8:24431562:24439793:1 gene:ORUFI08G24400 transcript:ORUFI08G24400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAAWWARSRVRILIPVIFLAPALFFLLSPPSSPHFFFTLPTSREESPSASGSRVIWAQQRVAEWRPCGWWRAAMPAPSRRNGYIRIDCYGGLNQLRRDLCDGIAVARILNATMVLPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEVVKDMPEEIASKEPFKVDCSKRKGHFDYVETVLPALLEHQYISLTPAMSQRRDRNPSYAKASYCQGCYNALRLNKNVETKAIELLQAIPKPFLSLHLRFEPDMVAYSRCVYTGLSSKSLSAIEAARGEDRKALTGEAALLWRNRGKCPLTPSETAFILKALGIPTDTNIYLAAGDGLMELEGFTSIYKNIYTKSSLLTHDDFEKMHGNTKAALDYYVSVSSDAYVATFFGNMDKMVTAMRTMQGHQKTLVLSRRAYANYTAVGLSGEQLAMAMWDAHREEYIMGRGSALPEQCFCEFKL >ORUFI08G24400.4 pep chromosome:OR_W1943:8:24431562:24439793:1 gene:ORUFI08G24400 transcript:ORUFI08G24400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAAWWARSRVRILIPVIFLAPALFFLLSPPSSPHFFFTLPTSREESPSASGSRVIWAQQRVAEWRPCGWWRAAMPAPSRRNGYIRIDCYGGLNQLRRDLCDGIAVARILNATMVLPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEVVKDMPEEIASKEPFKVDCSKRKGHFDYVETVLPALLEHQYISLTPAMSQRRDRNPSYAKASYCQGCYNALRLNKNVETKAIELLQAIPKPFLSLHLRFEPDMVAYSRCVYTGLSSKSLSAIEAARGEDRKALTGEAALLWRNRGKCPLTPSETAFILKALGIPTDTNIYLAAGDGLMELEGFTSIYKNIYTKSSLLTHDDFEKMHGNTKAALDYYVSVSSDAYVATFFGNMDKMVTAMRTMQGHQKTLVLSRRAYANYTAVGLSGEQLAMAMWDAHREEYIMGRGSALPEQCFCEFKL >ORUFI08G24410.1 pep chromosome:OR_W1943:8:24444161:24448405:1 gene:ORUFI08G24410 transcript:ORUFI08G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMRFRPRIFSPSLFGPSKPRTPTLAASPPAAAAARRRIVSMASSTTASEDALRRALAERQAAVDAQAEAVRALKAAGAAAAKAEVDAAVEALKALKVEAGAAARRLQAAVGASGGGGGAAREEMRQAVVNTLERKLFYIPSFKIYRGVAGLYDYGPPGCAVKANVLAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDHCKEKLEKDLTLSPEKAAELKHVLAVLDDLSADELGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPTGLNVGYMRPETAQGIFVNFKDLYYYNGQKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFVDVTDLEFLMFPRELQLSGESAKLVKLGEAVSKGTVNNETLGYFIGRVYLFLTRLGIDKNRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSDKSGVPLVAHEKFSKPREVEKLVIVPSKKDLGLAFKGNQKMVVEALEAMSEKEAMDMKAALESKGETNFQVCTLGKDVVITKKMVSISMEKKLEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKSEEEQLNVFRFPPIVAPIKCTVFPLVKNQEFDDAAKVIDKALTTAGISHIIDTTAISIGRRYARTDEIGVPFAVTVDSATSVTIRERDSKEQIRVGIDEVASVVKQLTDGQSTWADVSFKYPSHIGPQDCLVSSIA >ORUFI08G24410.2 pep chromosome:OR_W1943:8:24444161:24448436:1 gene:ORUFI08G24410 transcript:ORUFI08G24410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMRFRPRIFSPSLFGPSKPRTPTLAASPPAAAAARRRIVSMASSTTASEDALRRALAERQAAVDAQAEAVRALKAAGAAAAKAEVDAAVEALKALKVEAGAAARRLQAAVGASGGGGGAAREEMRQAVVNTLERKLFYIPSFKIYRGVAGLYDYGPPGCAVKANVLAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDHCKEKLEKDLTLSPEKAAELKHVLAVLDDLSADELGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPTGLNVGYMRPETAQGIFVNFKDLYYYNGQKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFVDVTDLEFLMFPRELQLSGESAKLVKLGEAVSKGTVNNETLGYFIGRVYLFLTRLGIDKNRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSDKSGVPLVAHEKFSKPREVEKLVIVPSKKDLGLAFKGNQKMVVEALEAMSEKEAMDMKAALESKGETNFQVCTLGKDVVITKKMVSISMEKKLEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKSEEEQLNVFRFPPIVAPIKCTVFPLVKNQEFDDAAKVIDKALTTAGISHIIDTTAISIGRRYARTDEIGVPFAVTVDSATSVTIRERDSKEQIRVGIDEVASVVKQLTDGQSTWADVSFKYPSHIGPQGDQE >ORUFI08G24410.3 pep chromosome:OR_W1943:8:24444161:24448437:1 gene:ORUFI08G24410 transcript:ORUFI08G24410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMRFRPRIFSPSLFGPSKPRTPTLAASPPAAAAARRRIVSMASSTTASEDALRRALAERQAAVDAQAEAVRALKAAGAAAAKAEVDAAVEALKALKVEAGAAARRLQAAVGASGGGGGAAREEMRQAVVNTLERKLFYIPSFKIYRGVAGLYDYGPPGCAVKANVLAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDHCKEKLEKDLTLSPEKAAELKHVLAVLDDLSADELGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPTGLNVGYMRPETAQGIFVNFKDLYYYNGQKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFVDVTDLEFLMFPRELQLSGESAKLVKLGEAVSKGTVNNETLGYFIGRVYLFLTRLGIDKNRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSDKSGVPLVAHEKFSKPREVEKLVIVPSKKDLGLAFKGNQKMVVEALEAMSEKEAMDMKAALESKGETNFQVCTLGKDVVITKKMVSISMEKKLEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKSEEEQLNVFRFPPIVAPIKCTVFPLVKNQEFDDAAKVIDKALTTAGISHIIDTTAISIGRRYARTDEIGVPFAVTVDSATSVTIRERDSKEQIRVGIDEVASVVKQLTDGQSTWADVSFKYPSHIGPQGDQE >ORUFI08G24420.1 pep chromosome:OR_W1943:8:24448881:24450422:1 gene:ORUFI08G24420 transcript:ORUFI08G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTDWPEPAAGDESSAVVSDTDEHSEESSADANADETATRVQRWLDEGADAEAEEMAARVQRRIDESADAEAEEMAASMQRRVEALPGKAHESEPFTIFRVAGPMRGRNRHLYEPQMVSVGPFHRGAARLRAMEEHKWRYLRDLLARNNPGGDAPLAAYARAARELEPAARRRYAEPVALPPREFAEMLLLDGCFIVEFFLKGEDRAADALVDAAWAMQNVYNDLFLLENQLPFFVVERFYDIATGGLGRDYLVTSLLAKYLTMDTPQDAATARPPDGEILHLLHLYYHWFLPPEERTSDSGGGAAGKEEEEDEAFWDEWLAKPIHERVPWQMPPATELQDAGVTFRAKASPRSLVDVTFDRRGGVMEIPTAENYANRAMLANLVAYEQSRGRREMQRVASYALLMASLADGRRDAEALHRAGVLAGGDVDEAAAFYTHLCPPPEAVNNCYGEVYGGVREYCGRSWNRHRAVLAHDYFSNPWTSMSAAAAVLLLLLTVVQTVYTVLPYYHPT >ORUFI08G24430.1 pep chromosome:OR_W1943:8:24452777:24457510:1 gene:ORUFI08G24430 transcript:ORUFI08G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTSLLVLVLAAAAFAAGTVTEAAGDGCSAGCDLALASFYVTPNQNVTNMADLFGIGAANYRSLAPYNPNIPNLDFINVGGRVNVYFTCGCRSLPGSPGATYLAGAFPFQMSRGQTYTTVAANYNNLTTAEWLQATNSYPANNIPDTAVINATVNCSCGDASISPDYGLFLTYPLRAEDTLASVAATYGLSSQLDVVRRYNPGMESATGSGIVYIPVKDPNGSYLPLKSPGRRKAKQATLLQSSEDSTQLGMISMDKVTPSTIVGPSPVAGITVDKSVEFSYEELSNATQGFSIGNKIGQGGFGAVYYAELRGEKAAIKKMDMQATHEFLAELKVLTHVHHLNLVRLIGYCIESSLFLVYEFIENGNLSQHLRGMGYEPLSWAARIQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNYRAKVADFGLTKLTEVGGTSMPTGTRVVGTFGYMPPEATPSVNLCWKLICMEHAVCRYARYGDVSPKVDVYAFGVVLYELISAKEAIVRSTESSSDSKGLVYLFEEALNSPDPKEGLRTLIDPKLGEDYPIDSILKLTQLAKVCTQEDPKLRPSMRSVVVALMTLSSTSEFWDMNNLYENQGLVNLMSGR >ORUFI08G24440.1 pep chromosome:OR_W1943:8:24462121:24465082:1 gene:ORUFI08G24440 transcript:ORUFI08G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRATILVLLIALSSVIAPLPLEALNVRGHLLKSKTFLSPAFFLHPGSVSNKFYYDVPFPRGHLALKSFNAEVVDENGVPVPLHDTYLHHWVVEPYYALKNSDSADVQKLPKGIIARNSGVCKKTLGQYYGLGSETRHTATWVPDPYGIEIGNPEKPPEGYEEKWLINVHAIDTRGAIDKLGCTECKCDLYNMTIDEYGRKLPKDYIGGLYCCYDETQCKVKEGFNGELRKLFLRYTVTWLDWSDAVVPVKIYIFDVTDTSPPDEKSGTACKVEYQVEECSPENRAKNECIDVKVTKEVIPCGGDIVFGVAHQHSGGIGASLHGQDGRLLCASIPSYGKGEEAGNEDNYIVGMSTCYPKPGSVKVSDGEVLTVVSNYSSDRQHTGVMGLLYILVAEQEQPAPKPALCFSFPVPCKLNKIINMVHAPFLAILMLILRICRVLTDMADEQSLNVQVGPEEDDA >ORUFI08G24440.2 pep chromosome:OR_W1943:8:24463005:24465082:1 gene:ORUFI08G24440 transcript:ORUFI08G24440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRATILVLLIALSSVIAPLPLEALNVRGHLLKSKTFLSPAFFLHPGSVSNKFYYDVPFPRGHLALKSFNAEVVDENGVPVPLHDTYLHHWVVEPYYALKNSDSADVQKLPKGIIARNSGVCKKTLGQYYGLGSETRHTATWVPDPYGIEIGNPEKPPEGYEEKWLINVHAIDTRGAIDKLGCTECKCDLYNMTIDEYGRKLPKDYIGGLYCCYDETQCKVKEGFNGELRKLFLRYTVTWLDWSDAVVPVKIYIFDVTDTSPPDEKSGTACKVEYQVEECSPENRAKNECIDVKVTKEVIPCGGDIVFGVAHQHSGGIGASLHGQDGRLLCASIPSYGKGEEAGNEDNYIVGMSTCYPKPGSVKVSDGEVLTVVSNYSSDRQHTGVMGLLYILVAEQEQPAPKPALCFSFPVPCKLNKIINMVHAPFLAILMLILRICRVLTDMADEQSLNVQVGPEEDDA >ORUFI08G24450.1 pep chromosome:OR_W1943:8:24466457:24472030:-1 gene:ORUFI08G24450 transcript:ORUFI08G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAPPASSGSEVTGAGSGKVDAGGGAAMEERFADLCKSKLGLDESITRQAMQLFKESKSILLSSMSSLGSGSPEEIERFWSAFVLYCVSRLGKAGKGKEDGGISLCQILRAFSLNIVDFFKEMPQFCIKVGSVLAGLYGSDWEKRLELKELQANVVHLSLLSRYYKRAYQELFLLNDAKPPENSAEPNAQASDYYRFGWLLFLVLRIQTFSRFKDLVTSTNGLVSVLAVLIVHIPVRLRNFNIKESSSFAKKSDKGVNLIASLCEKYHTSEDELSKAIEKTNTLIVDILKKKPCPAASECQQDRLSFIDPEGLTYFKNLLEEDSLKLSLLMLEKEYENAINTKGELDERMFANDEDSLLGSGSLSGGAINLPGTKRKYDVMASPAKSITSPSPMSPPRFCASPTGNGYCSSKMAPITPVSTAMTTAKWLRSTISPLPSKPSGELLRFFSACDKDVTDDITRRAGIILGAIFTSSSFGERICTSVRSTNRIDAIWTEQRKMEALKLYYRVLESMCRAETQILSGNNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIESFVRHEDTLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVARPTLSAEINRLGLLAEPMPSLDAIAAHHNISLEGLPPLPFQKQEHSPDKDEVRSPKRACTERRNVLVDNNSFRSPVKDTLKSKLPPLQSAFLSPTRPNPAAGGELCAETGIGVFLSKIAKLAAIRIRGLCERLQLSQQVLERVYSLVQQIIIQQTALFFNRHIDQIILCSIYGVAKISQLALTFKEIIFGYRKQSQCKPQVFRSVYVHWASRSRNGKTGEDHVDIITFYNEVFIPTVKPLLVELGSGTSPNKKNEEKCAADGPYPESPRLSRFPNLPDMSPKKVSAAHNVYVSPLRTSKMDTLLSPSSKSYYACVGESTHAFQSPSKDLKVINNRLNSGKKVSGRLNFDVVSDLVVARSLSDQNSASAAATTADIATKTPVKLEQPDC >ORUFI08G24460.1 pep chromosome:OR_W1943:8:24472342:24485462:1 gene:ORUFI08G24460 transcript:ORUFI08G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTRGDGRAGIGWFWRERGGKWREIWVAKRRGPGGVRGWVPLCRRAAWLVGIRSHKEQEERDDKTWTRQRAAGDDRRRCTQPRLNSLKERIQYGVQWQRHVAYTTTRLTPSDSSLLDLPAFAFIGPTEEEVDRPRHVNPSPARPCARGGQAAQRRRRRIGRGRDAGRRLVVLVAGGALSGEPLAFVEGRRRPSGGWAGSGSPHHGLPEHAGCCHKVDPGKEQRAKSIQNHKPIKSIISKKFLTLLPFHPWYTRRGGASASMIRRLESDSGAFPCILPSISTPAKTVPKNPPQAHQSSLIELAKPQIFSVPKPKPRSRENLKYSEI >ORUFI08G24470.1 pep chromosome:OR_W1943:8:24473922:24478072:-1 gene:ORUFI08G24470 transcript:ORUFI08G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSSRILNGKTRYFSTLRSSHTENKCILSVPVSSLSHHHLPILLSRATVLGLLLKLPLVHSVLRSLSLGPTPSLSFSFLSLLRRFANMTLDNYSLNIAISAAARVPSLDVGSQFHALSLKLSLASDSFVLNALINMYSSCNYPASARLVLDSAPRWASDVVSWNTIIAGYIRGGMPNKALQSFHQMAKEQVRLDEVTLLNVLVACARTGAMKVGSLCHALVVLNGFEINCYIGSSLVSMYAKCGMVEEARRVFNRMPERNVVCWTSMIAGCTQSGRFKEAVDLFRDMQIAGVKADDATIATVVSSCGQMGALDLGRYLHAYCDGHGLGKELSVKNSLIDMYSKCGDVNKAYQIFHGLTKRDVFTWTVMIMGFAMNGLCVEALDLFAQMEGEDKVMPNEVIFLGVLTACSHGGLVEQGYHHFHRMSKVYNLVPRIEHYGCMVDLLGRAKLLAEAEQFIKDMPVAPDVVVWRSLLFACRASGQVGLAEYAAERIEQLEPKRCGGHVLLSNVYATTSRWVDVNNVRTGMGNSRTSKKPGCSFIEVDGCIHEFFAGDESHFETEAICNTLFGINELLVAESFLIFKYALWLVDYANHRGINQLKFVTNQNSPELNHMDRKNQTPQGQIAQVIVDFLEVAVSCVVFLKGFYPPRAFERRRYMNVVVQKAVHPQLAGYIHSATSGLLPFIQKGLVERVVVIFYDKAHVPVEKFVFKLAVNQSYGSKIEEASLEFALRAFLIKLAVAEPLTRTLPSDGSWEIAAYFRSLPPDGEKEAQLWIPTDTKQWMQPPQITPIKSMSCDPVKMQLYLEQPSRTEPRDPPTEP >ORUFI08G24470.2 pep chromosome:OR_W1943:8:24473922:24475420:-1 gene:ORUFI08G24470 transcript:ORUFI08G24470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKNQTPQGQIAQVIVDFLEVAVSCVVFLKGFYPPRAFERRRYMNVVVQKAVHPQLAGYIHSATSGLLPFIQKGLVERVVVIFYDKAHVPVEKFVFKLAVNQSYGSKIEEASLEFALRAFLIKLAVAEPLTRTLPSDGSWEIAAYFRSLPPDGEKEAQLWIPTDTKQWMQPPQITPIKSMSCDPVKMQLYLEQPSRTEPRDPPTEP >ORUFI08G24470.3 pep chromosome:OR_W1943:8:24473920:24475577:-1 gene:ORUFI08G24470 transcript:ORUFI08G24470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKNQTPQGQIAQVIVDFLEVAVSCVVFLKGFYPPRAFERRRYMNVVVQKAVHPQLAGYIHSATSGLLPFIQKGLVERVVVIFYDKAHVPVEKFVFKLAVNQSYGSKIEEASLEFALRAFLIKLAVAEPLTRTLPSDGSWEIAAYFRSLPPDGEKEAQLWIPTDTKQWMQPPQITPIKSMSCDPVKMQLYLEQPSRTEPRDPPTEP >ORUFI08G24480.1 pep chromosome:OR_W1943:8:24482721:24485684:-1 gene:ORUFI08G24480 transcript:ORUFI08G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMQGKAPLSDSNRRIMDADAPPRRVYQGWKGSNIFFLGGRLIFGPDVRSLILTVCLIVIPVILFAAVISGQLDHEYHNQIGGWVASVAIIFTAYIIVLLLLTSGRDPGIIPRNAHPPEPEDVGESSNLSEWPGGQHGSTGLPLTKDVLVNGVLVKVKYCHTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTLLCVYVFAFCWVDLRIIMDTHRCKLGRAIMKSPVSGILILYTFIAVWFVGGLTSFHLYLISTNQTTYENFRYRYDRKTNPHNRGLVQNFIEILCSRIPSSRNNFRAKVKEDSATFPSSLGMGRALSPPKMSVDLEMGMKRQTVAMEELEDLHSQIGSAMGLERCGTEPPHIVGRKGCSEIATDIEAFAEEFGLEQRFTERKKIEQRTSHNP >ORUFI08G24490.1 pep chromosome:OR_W1943:8:24489493:24490008:1 gene:ORUFI08G24490 transcript:ORUFI08G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMRKVAEFLRKAVVALRGKASVLRARLLFLASLRRRTAVVGAISHHLRALMPGNAPAPAPDGGRLPAAEDDDEQMALDDVAGLSELATLFQEVDDDDDGARYPDWTHSMFDDDDDGEGGGEEAVSVMEVIRRRREGDGEEFDMEEEIDHAADMFIRRVRHRMAANRRSF >ORUFI08G24500.1 pep chromosome:OR_W1943:8:24491970:24496930:1 gene:ORUFI08G24500 transcript:ORUFI08G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSPPPPPPEGGGGGGGGGASCSICLDPVVAGGRSVARLQCGHEFHLDCIGSAFNAKGAMQCPNCRKIEKGRWLYASGHHPSPDIDIGGWVTGETYDITSDIPFGFQWCPFSGFTQLASVFEEGEAEQTSYHTVGDHSNAASSSLVCPYLALRGFLHPVHVPSSSSSGAENSSFHRHPTSLEGHAAHDLSNTQVFHATESRNHDNDHRYMSNLPVSGIPDHSVAPFGIGLPRYDSSSQQRTRPYAHHRPLVHRPTPRNGSNMVTPLGSVPAVMAETRGHGHGARGHMYQQSMHSLQSSPFPPTSRRVRPRALTITSFIAASSSAEIGGHHGFAPPVNRSNSSDGEAVSRPVDRPYGWGQEGFTPFPWIPADGESHWWGTFNPMQNHTHGGFTRRPTGERMPQSHPDSGYHSMHPQRMPPFL >ORUFI08G24500.2 pep chromosome:OR_W1943:8:24491970:24496930:1 gene:ORUFI08G24500 transcript:ORUFI08G24500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSPPPPPPEGGGGGGGGGASCSICLDPVVAGGRSVARLQCGHEFHLDCIGSAFNAKGAMQCPNCRKIEKGRWLYASGHHPSPDIDIGGWVTGETYDITSDIWQHNIYVKMFVLSTVFVHSPDHTVGDHSNAASSSLVCPYLALRGFLHPVHVPSSSSSGAENSSFHRHPTSLEGHAAHDLSNTQVFHATESRNHDNDHRYMSNLPVSGIPDHSVAPFGIGLPRYDSSSQQRTRPYAHHRPLVHRPTPRNGSNMVTPLGSVPAVMAETRGHGHGARGHMYQQSMHSLQSSPFPPTSRRVRPRALTITSFIAASSSAEIGGHHGFAPPVNRSNSSDGEAVSRPVDRPYGWGQEGFTPFPWIPADGESHWWGTFNPMQNHTHGGFTRRPTGERMPQSHPDSGYHSMHPQRMPPFL >ORUFI08G24500.3 pep chromosome:OR_W1943:8:24491970:24496930:1 gene:ORUFI08G24500 transcript:ORUFI08G24500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSPPPPPPEGGGGGGGGGASCSICLDPVVAGGRSVARLQCGHEFHLDCIGSAFNAKGAMQCPNCRKIEKGRWLYASGHHPSPDIDIGGWVTGETYDITSDIVNHTVGDHSNAASSSLVCPYLALRGFLHPVHVPSSSSSGAENSSFHRHPTSLEGHAAHDLSNTQVFHATESRNHDNDHRYMSNLPVSGIPDHSVAPFGIGLPRYDSSSQQRTRPYAHHRPLVHRPTPRNGSNMVTPLGSVPAVMAETRGHGHGARGHMYQQSMHSLQSSPFPPTSRRVRPRALTITSFIAASSSAEIGGHHGFAPPVNRSNSSDGEAVSRPVDRPYGWGQEGFTPFPWIPADGESHWWGTFNPMQNHTHGGFTRRPTGERMPQSHPDSGYHSMHPQRMPPFL >ORUFI08G24500.4 pep chromosome:OR_W1943:8:24492247:24496930:1 gene:ORUFI08G24500 transcript:ORUFI08G24500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSPPPPPPEGGGGGGGGGASCSICLDPVVAGGRSVARLQCGHEFHLGQILPRSRSRALPGHAHCIGSAFNAKGAMQCPNCRKIEKGRWLYASGHHPSPDIDIGGWVTGETYDITSDIVNHTVGDHSNAASSSLVCPYLALRGFLHPVHVPSSSSSGAENSSFHRHPTSLEGHAAHDLSNTQVFHATESRNHDNDHRYMSNLPVSGIPDHSVAPFGIGLPRYDSSSQQRTRPYAHHRPLVHRPTPRNGSNMVTPLGSVPAVMAETRGHGHGARGHMYQQSMHSLQSSPFPPTSRRVRPRALTITSFIAASSSAEIGGHHGFAPPVNRSNSSDGEAVSRPVDRPYGWGQEGFTPFPWIPADGESHWWGTFNPMQNHTHGGFTRRPTGERMPQSHPDSGYHSMHPQRMPPFL >ORUFI08G24510.1 pep chromosome:OR_W1943:8:24497955:24507596:-1 gene:ORUFI08G24510 transcript:ORUFI08G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVLSVGKSVLGGALGYAQSAFSEEVALQLGIQRDHAFIRDELHMMQAFLMAAHDERDEHKVVKAWVQQVRDVAYDVEDCLQDMAVRVGKPSWWRNCSPSMLLERRSVAKKMKELRAKVEDVSQRSNRYRLINGSGSKAGSDTLQHTINGGVTIMSETEETRKEQERAKKDLVGLINTNDKDLRVIGVWRKTGVLDDKSIIKRAYDDLKMKRFVCYAWIKLNSLCNQTWLLQSIARQLYENSLQHTREVKIEATDLVDQILQKMGNMAEDDLVNAFKGYLNEKSYFIVLTDLSSVEEWNKIKTCFPSNDRGSRIIVCTEQVEVARLCVPQDNGSQDGKHSLEPSSSSNVTTVTPNNDATAAKSLSRTETMIATLEESQLIIGRANEKSYIINLIKNRASSQQSQIISVWGMGGLGKTTLVQDIYQSQEVRETFVMRACLTVLRPFNCPQHIKSLAKQFGNEKETDLTKLLEGKRYLVVLDDLWNTKEWDDIIHCLPNNAGSCVIVTTREESIAKHCSKERNYAYTHQLGRLENDQARDLFTKKVFKEANWEEKYPELVGLIEPILKKCGGLPLAIVTIGGFLASQPKSALAWRKLNEHINAELEMNPKLETIKTVLLKSYDGLPFHLKSCFLYLSIFPEDYKVNRKRLMQRWTAEGYTVEMRGKPKKEIAHDIFMELISRSMILPAQESIKVKQGIDYCQLHDLMREISITKSMEENLVFRLEEGCSSNNQGTTRHLAISSNWEGDKHEFESMVDLSRLRSLTVFGKWKPFFISEKMRMLRVLDLEDTEGLVDHHLEHIGKLVHLRYLSLRECHNIWHLPDLLCDLRQLETLDIRSTRIAMLPKTIVKLRKLKYLHAGTMGIVGQRSIAKRSLWLLTNGPWLCGACCVPRLLGDIDTYRPNFTYGFNRRDACNYSCCIQPRVLMMDLDNDFPMLPRGSRKLKSLHTLRHVHLAWGNTVIQEIERLTQLRKLGVVGINKKNGPSFYSAISKLSQLESLSVCADWYQGLRGCLDHGTSSSSSTSSPPENLQSLKLEGELGKLPQWIGKLQNLVKLRLWWTQLEDADAAIEVLGALPSLAILRLWDNSFNNDVVCLNFRQEQQEATAVVLFPSLRVLQLRWIGKCLKSVQFGGGVTPKLELLLFSDNAGSCGVGFLSGLKELKSLREFMLDDNKVYKDDFVKDVEEQLANHPNPNKPLLKRFNPSN >ORUFI08G24520.1 pep chromosome:OR_W1943:8:24510935:24513716:-1 gene:ORUFI08G24520 transcript:ORUFI08G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRQSIDINGHHRRRQPILLDTNVFITDAMNDDTVATTTSSRSRPHHQDIFLDLPTSGNLLPIYNNFDELDAKIVGAEGSFILLTALASPRGSERYDDYLMYKLHGYGDNGESSSSSLEQVPLPDVYPLPVIEEFGIVPNGGKHFDLAALVVDYRSPMKCSYSMHIYSSKNTNWRVIPMVDPYPEVRKVIATKVITIAEGVLGWVDLDHGVMVCDLREDVPGLRYVPLPAPLPQNWYRLKEFLPGTFAKSFRDLVCVDGVMSFVEMEHRVIVTTEKPSDPSKMQVLYDTDLIVSYNLKDLDKKPQQLQRKDGWRIVSWSRPVSSNCWEKGLSPNLAENLASPTLGVDDGDVVYMRYNASLGKGKIVAIDLRRKKLSRHAYCLQMIRGNDQMTIHAYVTLGSTGGPDTLVSRFATSMLEMMKKKKSWSLSFDYDDPSSILCYAAYQKWQNSNKTVYRIQMTNN >ORUFI08G24520.2 pep chromosome:OR_W1943:8:24510975:24513716:-1 gene:ORUFI08G24520 transcript:ORUFI08G24520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRQSIDINGHHRRRQPILLDTNVFITDAMNDDTVATTTSSRSRPHHQDIFLDLPTSGNLLPIYNNFDELDAKIVGAEGSFILLTALASPRGSERYDDYLMYKLHGYGDNGESSSSSLEQVPLPDVYPLPVIEEFGIVPNGGKHFDLAALVVDYRSPMKCSYSMHIYSSKNTNWRVIPMVDPYPEVRKVIATKVITIAEGVLGWVDLDHGVMVCDLREDVPGLRYVPLPAPLPQNWYRLKEFLPGTFAKSFRDLVCVDGVMSFVEMEHRVIVTTEKPSDPSKMQVLYDTDLIVSYNLKDLDKKPQQLQRKDGWRIVSWSRPVSSNCWEKGCEADAVDIFFFERLTAGALPDILEGVKGVRQTEKHQGLSPNLAENLASPTLGVDDGDVVYMRYNASLGKGKIVAIDLRRKKLSRHAYCLQMIRGNDQMTIHAYVTLGSTGGPDTLVSRFATSMLEMMKKKKSWSLSFDYDDPSSILCYAAYIAKMAK >ORUFI08G24530.1 pep chromosome:OR_W1943:8:24514042:24518017:1 gene:ORUFI08G24530 transcript:ORUFI08G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSSSSSEPTDEKKSSKPQGSSNDHQGFLPGGPPANTFDFASLHSLLNDPSVKEIADQIAKDPAFTQMAEQALEGEGEQGMPAIDPYIETMQKFMESPHFFTMAERLGDALVKDPAMSSLLENLTSPMHNAKIEERVSRMKEDPAVKSIMDELETGDPAALIKYWNDPETFRKISQAMGPLGVPDFAEPSGTEGTEEEGEYEDGSIVHHTASVGDDEGLKKALDGGADKDEEDSEGRRALHFACGYGELKCAQVLLEVGAAVDALDKNKNTPLHYAAGYGMKGCVDLLLKNGAAVTLENMDGKTPIDVAKLNNQDEVLKLLEKDAFL >ORUFI08G24540.1 pep chromosome:OR_W1943:8:24519328:24520912:1 gene:ORUFI08G24540 transcript:ORUFI08G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDEWETWKSAGLVHSPFIVPWPNKRTHTPVATEWRESKIGWGTAGVRRRGGVAGARRPFESAALPRWAEKGVGAGAGAGGGGFSGSACGWEESKYTTNGEYEGWADGDVACRACFGADGFAVNPALLQLVLQIASKVTTKK >ORUFI08G24550.1 pep chromosome:OR_W1943:8:24521140:24523061:1 gene:ORUFI08G24550 transcript:ORUFI08G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSVGKSVLGGALSYAQTAIAEEGALKLGVQRDQSFIRDELEMMQSFLLAADKEHDGHHHEVIRTWVKQVRDVAYDVEDCLQDYAARLKKPPWWSLPCTVHRERRRIGNEMKELRAKVEDVSQRNMRYHGVSAAAPQSSSVTAAELLQSTAAIDDIDEARRAAKQQEKVDLVKLITNDGQGGLRVIAVLETRSGPAGTVPVVRAAYQKLKGEFECHAWVRLMHSFDANQFIGSLVRQFKANSHEGTGKTPQGTPSGVSVLNEMEAQDYNLLHDFTGYVTNKKYLVVLNGLSTIEEWDWIKTYLPNNHNGSRVLVCTQQAEVASCCTCTDDKYKVSEIQHEGSFSKPLYVFYKEVVSQPVNSDSTKMKSETRSSMEGASTTSDSKTVPSDGVIQEDGPKDLPESSLPPHLNRDSNTVSAKELSRSTTQLIGRGKEKDESSNCFLIVIRSVK >ORUFI08G24560.1 pep chromosome:OR_W1943:8:24523076:24531417:1 gene:ORUFI08G24560 transcript:ORUFI08G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLVKSIYQSSELEKLGFERRAWVTVLRPFQPTELLRSLAQRLVKDSPGKKVESILGLARSGLSTMGSEELIDKLKQDLTGKKYLVVLDDLSTTAESDSIIKNLPINNNGSRIILTTRFKHVAEHCSKKEMNMHNIEGLTDRDALELFLTKVRMDGDESELKPDLKEEAKIIINNCDQHPLAVATVAGFLSARPRNIIEWRKHSDHISDHPRLEMILKSSYDGLTYHLKSCFLYLSIFPKDHDIRYRRLLRRWTAEGYSGATRNRSNEKEAEEQFTALLNKSMIQQSKTIASGKTGFYQVHDLMREIIISKSEEENLVLVLDDHVTSHSKDKVRHLVVSKRWSREKKNDMQNIVDVSHIRSLTVFGEWRSFFLSKKMRMLRVLDLEDAKGLQDPDLVPIGKLRHLKYLSLRRSFGILNLPNSFGNLLNLETLDIRGTCVMKLPATIGRLQNLKYLYAGILPADEDDIRSSVLISTILEEYRGYRTDPKGVTIRTFVSYIMLFVTAWLRNLDVCGVKVTRGIGRLRSIHTLSIVNIARGKALLKNLKKLTQLRKLGVSGINKNNCKELCSAIAGHGRLQSLLLRAEGKVGLEGCLDNMSQPPKDLKSLQLYGNLVTLPEWIKNLEILQKLSLRNTNLKADATMEVLGNLPMLAILRLQDNAILQLQEELQNEQGEENELRNEQSEENELRNERSDENEQSKENELQNERSEENEQSEENEFRNELHFHPRCFTSLTALEFISWYDLKSVIFEQGATPKLEVLLVDHCWSIDQGGFIGIESLATLKEVSLQGEYNTKFKEELQQKLHMNKAKPNLKIL >ORUFI08G24570.1 pep chromosome:OR_W1943:8:24532321:24536233:-1 gene:ORUFI08G24570 transcript:ORUFI08G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLPAAEEDGGCVARWMRRDVLLGLALGQFVSLLITSTGFSSSELARRGINAPTSQSLLNYILLALIYGGILIYRRQPLTIKWYYYLILGIIDVEANYIVVKSYQYTSLTSVMLLDCWSIPCVIILTWIFLKTKYGLRKFIGVGVCVAGLILVVFSDVHASDRAKGSNPLKGDLLVIFGSMLYACSNVTEEYLVKKSNRIELMAMLGLFGAVISGIQISILERKELHSIKWTAGAVLPFIGFALAMFLFYSTVPTVLKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWIYFVAFVGTAAGLLIYSYKSSKEAEETAQVAGASDEHGKAGDEEAGMQNPA >ORUFI08G24580.1 pep chromosome:OR_W1943:8:24539353:24543464:1 gene:ORUFI08G24580 transcript:ORUFI08G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLARAVLRSRLRCPPLSAAAASTAAFSSAPSPAAAEAERAVRDGPRNDWSRPEIQAVYDSPLLDLLFHGAQVHRSVHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYSTGLKAQKLMNKDAVLEAAKKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKDIRGMGMEVCCTLGMLEKQQAEELKKAGLTAYNHNLDTSREYYPNIISTRSYDDRLQTLEHVREAGISICSGGIIGLGEAEEDRVGLLHTLATLPAHPESVPINALVAVKGTPLQDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPSFGDEAPAADTERCEEAASM >ORUFI08G24590.1 pep chromosome:OR_W1943:8:24548069:24555733:1 gene:ORUFI08G24590 transcript:ORUFI08G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAEMPMSSDEEMLDDEDYYDYSDDMGEDDDGSGGGGGGDSDGEEEEEGDEELVGGDYEGREAEGSDEVVSRREQRYIVLTEKDINERQEEDIGKVSAVLSIRREEACVLLHHYKWNISKLSDEWFADEEKVRDIVGLLLNGIDLPNSRKLTCGICFEGYSSDAMSSAGCDHFYCHECWEGYISAAISDGPGCLSLRCPDPSCGAMVLQNMINKLAKDDDKVRYARFILRAYVEDSKKTKWCPAPDCTCAVEFVSDGNYDVSCNCKFSFCWNCTEEAHRPVNCETVSRWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGSWAEHGERTGGFYACNRYESAKKEGVYDETEARRERAKNSLERYMHYYERWASNQTSRQKAQADLQKAEKEQLAKLTDVFGIPETQLKFIIEAWSQIIECRRVLKWTYAYGYYLDDKVKSEFFEYLQGEAESGLERLHQCAEKDLQSFLTVRSDNTEPAPSIAEFGDFRVKLAGLTSVTRNYFENLVQALEAGLEDVHSTAQGTTSSNATNIPSKKAVTKGKTKKQLPRTSSDNSDEGWPCERCTFINPSSVDACSVCDKHRY >ORUFI08G24600.1 pep chromosome:OR_W1943:8:24556072:24559472:-1 gene:ORUFI08G24600 transcript:ORUFI08G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSAYASSRKLRGRISKISLVIPDPVPDAEAASPRKDGVDGDGDDVRGGGGGCDDGGDVVAIATTTADEFARRYVLGKELGRGEFGVTRRCSDAATGEALACKTIRKHRRLAPPRVTAAKAAAAHGEDVKREVAIMRRMSSASSSRGGGAASSAAVVRLREACEDAADGSVHLVMELCEGGELFDRIVARGHYSERAAANIFRTIVDVVQLCHSNGVIHRDLKPENFLFANKSEDSPLKVIDFGLSVFFKPGTRPVHGGGGERLLHGAGGIAAELRAGGGHNDEKIAQAILRGAIDFNREPLPRVSANAKDLVRRMLDPNPSTRLTAKQVLEHPWLKNADTAPNVSLGDAVRARLQQFSAMNKFKKKALGVVARNLPVEEVDKYVQMFHHMDKDKNGHLSLDELLEGLHINGQPVPEPEIRMLLEAADTDGNGTLDCDEFVTVSVHLKKMSNDEYLAAAFNYFDKDGSGFIELDELREEVGPNEQAILEILRDVDTDNDGRISYQEFELMMKSGADWRNASRHFSRANFSTLSRRLCKDTLTP >ORUFI08G24610.1 pep chromosome:OR_W1943:8:24561630:24566689:1 gene:ORUFI08G24610 transcript:ORUFI08G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAPEDAPSAAKGAKGEAKRKQSNWRGGGGEGGQGQKRKRKEVFVYGNYRNYYGYRIDRNVDEDPRLKIFKREWFESKDCLDIGCNQGLVTIGLAAKFKCQSILGVDIDSGLIETANWNLRRISRLDKVSVENTKAHNSSDSLSESCPEKVAPEISNGDISNGNHHDIFRVVSFRRENFVDSMCTSSEHLSVTKWIHLNWGDDGIITLFVKIWRLLRPGGVFIMEPQPWTSYRRNRLVSEVAKENFNTILIHPDKFREILLDKIGFRSVEAVTDKLVGAVTGFDRPIEVYHK >ORUFI08G24620.1 pep chromosome:OR_W1943:8:24568115:24568600:-1 gene:ORUFI08G24620 transcript:ORUFI08G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYAPRLTRWRVATSGGGVVRDCVEYDGKPLFFRREDCRRLVPDDEEDARECLEIAGEVFPLMEERMVPAALHGGGGVREAARCVEYVDDDGAVLLLTVTPTTEGKEKEVVVVDGGGYYDPDSGTVEHVVDVEGAREAYVLLGSVREALNRIVRIKRLN >ORUFI08G24630.1 pep chromosome:OR_W1943:8:24571965:24572153:-1 gene:ORUFI08G24630 transcript:ORUFI08G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTVREATMGTNKNAMGSFVLHNDMWSLRSVTNPGAIRWSMKRKTDEEKKKGDSGWCWRG >ORUFI08G24640.1 pep chromosome:OR_W1943:8:24574167:24575816:1 gene:ORUFI08G24640 transcript:ORUFI08G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTMNGLLMALALLCLTINFREIAACISTERDALVAFNTSIKDPDGRLHSWHGENCCSWSGVSCSKKTGHVIKLDLGEYTLNGQINPSLSGLTRLVYLNLSQSDFGGVPIPEFIGCFKMLRYLDLSHAGFGGTVPPQLGNLSRLSFLDLSSSGSHVITADDFQWVSKLTSLRYLDLSWLYLAASVDWLQAVNMLHLLEVLRLNDASLPATDLNSVSQINFTALKVIDLKNNELNSSLPDWIWNLSSLSDLDLSSCELSGRIPDELGKLAALQFIGLGNNKLNRAIPRSMSRLCNLVHIDLSRNILSGNLSEAARSMFPCMKKLQILNLADNKLTGQLSGWLEHMASLEVLDLSIRGALPDWIWNFSSPMASLNVSMNNITGELPASLVRSKMLITLNIRHNQLEGYIPDMPNSVRVLDLSHNNLSGSLPQSFGDKELQYLSLSHNSLS >ORUFI08G24650.1 pep chromosome:OR_W1943:8:24575850:24585102:1 gene:ORUFI08G24650 transcript:ORUFI08G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIDISNNNLSGELPNCWRINSSMYVIDFSSNNFWGEIPSTMGSLSSLTALHLSKNSLSGLLPTSLQSCKRLLVLDVGENNLSGYIPTWIGNGLQTLLLLILGSNQFSGEIPEELSQLHALQYLDLSNNKLSGSIPRSLGKLTSFLSRNLEWDSSPFFQFMVYGVGGAYFSVYKDTLQATFRGYRLTFVISFLLTSIDLSENHLTGEIPISTYLTGEFAGVRNHIEGSIPETIGNLSWLESLDLSWNDLSGPIPQSMKSLLFLSFLNLSYNHLSGKIPYGNQLMTFEGDSFLGNEDLCGAPLTRSCHKDSDKHKHHEIFDTLTYMFTLLGFAFGFCTVSTTFIFSAASRRAYFQFTDNICNWLVAVLERKLSLIR >ORUFI08G24660.1 pep chromosome:OR_W1943:8:24586547:24589204:1 gene:ORUFI08G24660 transcript:ORUFI08G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCAIHSPPLPRLLIRTCLIPSSSSPLPSSSTMAATASSSSTATASPLLLPSARRPLPSSKPWLPDGRAFRGSRALSSAARRCFCCCCRCEAAGEAGTAPPPQQRGVDDGGVVCTSATRSRRRSVLGVAVGVSSALALGLAAFDDALAAGLPPEEKPKLCDAACEKDLENVPMVTTESGLQYKDIKVGEGPSPPIGFQVAANYVAMVPNGQIFDSSLEKGQPYIFRVGSGQVIKGLDEGILSMKVGGLRRLYIPGPLAFPKGLTSAPGRPRVAPSSPVMFDVNLLYIPGLDDE >ORUFI08G24670.1 pep chromosome:OR_W1943:8:24590243:24594725:-1 gene:ORUFI08G24670 transcript:ORUFI08G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLLKLLQHMNSDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEEQHDLILSDTIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGSPEDLVVTNSTFLGSKKAQQSINGSKDASTLSLEKEQSKLEKLKPSVKNNGAEIKKPKLTKSNSSLSKQALNSIIDKKEVVSSKTKPTSARSTPSSPTSVYSLPASFERFSNDIKQRTKSKGADKSSPSRLSLLEKAASVLKATTAGRKSSAGNLLSNTMSSIESGPKALRRSWEGNAEAKSKGNSDSKAAKTEKKSENRSTSTPRRKPLVEEKPLHKDDSKIQTPPRKSSASAPSDDSEKIVNKPSSPLRRTSGVSSNTNITNLVKISSNSKKLTDASASWTSLPPSLAKLGKELLKYRDAAQMAAVEAMQEASAAENLLRCLSSYAEVSSTAEEQNPQPAVEQFLALHAAMSRATVVADSLTKATATSTATSPDRSTPSEAAAVDEESLAVAVERRRRAASWVGAGLATDLSAFSLYNLKPPPAIAASPLAVVLVDESARPAAATKASPPAKSRLFPPKVKGRVGPVAAAVAAAPVPPPEWERGGGAEERGELARRLGEEARGWFLAFVERFLDADVAAAAAPWDRDRAARMLPQLKRVNDWLSEIAKPTEPPPPQSDADGEEAGGGAPAVANGGGNTVPEETIERLRKKIYEYLLTNVDSGASVLGGAGAGAGEVALPAANGKRV >ORUFI08G24680.1 pep chromosome:OR_W1943:8:24594735:24596135:-1 gene:ORUFI08G24680 transcript:ORUFI08G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQTCSAELSVACSRLHTPHHTTVASLLFHREAKRERDWHRRKKPTGGGGRSRASGRKKNHWLGSAEERRHCTGGNVL >ORUFI08G24690.1 pep chromosome:OR_W1943:8:24602274:24602801:1 gene:ORUFI08G24690 transcript:ORUFI08G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYAPQLTRWRVATSGGVVRDCVEYDGKPLFFRREDCRRLVPDDDEEDARECLEIAGEVFPLMEERTVPAALHDGGGVREAVRCVEYVDDDGAVLLLTVTTEKEVAVVDGGEVRVVDGGGGYYDPDSGTVEHVVDVEVEGAREAYVLLVSVREELNRIVRIKRLNWSFLRRNF >ORUFI08G24700.1 pep chromosome:OR_W1943:8:24605473:24606015:1 gene:ORUFI08G24700 transcript:ORUFI08G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAAVTVLLAVVVLVSVAASLPSAVVGDARFVARTCKRTNHTECVKMLSADRRSARATTVHQLAGIAVDIAAATVKSSAAAVYGKFLENHGQVLELTLLECWWMYDLAAGEAQAAVDAYSSGGAYLDVVRHQLAGYYAGIMCDNMIVRRSKVSPVADIDRTTATHCNIAVDLIGLLY >ORUFI08G24710.1 pep chromosome:OR_W1943:8:24607313:24614222:-1 gene:ORUFI08G24710 transcript:ORUFI08G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTRTVVVTQDDDSLKGSGGEPRLRRFRPTRELVIIYWILIIYSCNMVRGSVDVAIKEMEALCIGQNQETKEEVGFETQEASLKASKVADSKGAPYSPPENDDDAEVDYPSQDGAQVIAAKKKKKKSKAKKKKGPLQQTDPPSIPVDELFPSGEFPEGEIQHYKDDNLWRTTSEEKRELERLQKPMYNAVRRAAEVHRQVRKYMRSILKPGMLMIDLCETLENMVRKLIKENGLEAAHWTPNGGDKTVLQYDDVMKLDFGTHINGYIVDSAFTVAFNPMFDPLLQASRDATNAGVKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSVRNLNGHGIGPYQIHFGKSVPVVKGGEQTKMEEGEFYAIETFGSTGKGYVREDLECSHYMKNFDVGHVPLRAAKAKQLLVTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDAGIVEPCPPMCDVRGSYVSQSEHTILLRPTCKEVISRGLLSHCEKKDARSVNIKRSKDVFKFKVGCSKYMYTLCTFELRRQTGLSIQEV >ORUFI08G24720.1 pep chromosome:OR_W1943:8:24614363:24617531:1 gene:ORUFI08G24720 transcript:ORUFI08G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEEGTQQLPYVRETVLKKRKVNEDWAVKNRERKAAKRQRRRDDGKGAIKRPEDFVREFRNKELDFVRMKTRLKVRKLPPAETLNSKLVFAIRIPGTMDLHPHMRRILRKLRLTQVLTGVFLKATDATMKRLLVVEPFITYGFPNLKNVKDLIYKKGRGFLDKEPFPLTSNDLIEKALGEYGIICLEDLVHEIASVGPHFREASNFLMPFKLKCPERRLQMKKKPFKDGAENATWRNRFPVVRGVAGKAANALVQSVTRPLTTLSETNPAVKRWMKDLKAVAYEADDVLDDFEYEALRREVKIGDSTTRKVLGYFTPHSPLLFRVTMSRKLGDVLKKINDLVEEMNKFGLMEHTEAPQLPYRLTHSGLDESADIFGREHDKEVLVKLMLDQHDQQNLQVLPIVGHYYKNRFSVRGGR >ORUFI08G24730.1 pep chromosome:OR_W1943:8:24631050:24631469:-1 gene:ORUFI08G24730 transcript:ORUFI08G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSPLSDPPWEGGEKGRREPPPLDPSSSAATAAGSTRGHHRRRLRCRIYRGREARWRGGDGERGESIRDSLGLPVSDHSLRLKLLISEYHRRILEDHVFALQEDLRGDGIVDLASNSREKEGRRRCDGSAGNVKGEE >ORUFI08G24740.1 pep chromosome:OR_W1943:8:24632651:24633184:1 gene:ORUFI08G24740 transcript:ORUFI08G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAPQETNAAAGEMVTLISSDGARFEVPEAAARLSQTLLDEMKKDDYRASNGIPLPNVAGDVLAKVIEYCTKHAATAAAINADTPAKTSKEEEELMVKSFDDEFILVDNHMLYGLLTAADAMRIQGLMDLACQRLVDMLKGKTSEQMRQTLGITNDFTPEEEEEFRREDEEQWL >ORUFI08G24750.1 pep chromosome:OR_W1943:8:24636406:24645723:1 gene:ORUFI08G24750 transcript:ORUFI08G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKMVYNDPIVQKHFQLKMWHCVSENFEPISIVKSIIELATNRKCDLPDSIELLRRRLEGVIDRKRFLLVLDDVWNEDDNKWNEHLRPLLNSVGGPGSIIVITTRNRRVASIMETLQPYKPACLSEDESWELFSKRAFGRDVQEQEDLVTIGKCIVHKCKGLPLALKTMGGLMSSKHQVKEWEAIARSNIGDSVKGKDEILSILKLSYKHLPSEMKQCFTFCAIFCKDYEMEKDMLIQLWIANGFIQEEGTIELSQKGEFVFNELVWRSFLQDVKTILFRSLDYDFVVCKMHDLMHDLAKDVSSECATTEELIQQKAPSEDVWHVQISEGELKQISGSFKGTTSLRTLLMELPLYRGLEVLELRSFFLERLKLRSLRGLWCHCRSNIHRLPDSICALYNLQSLRLNGCSYLECLPEGMANLRKLNHLYLLGCDRLKRMPPNFSLLNNLLTLTTFVVDTDAGRGIEELKQLRYLTNMLGLYNLRKIKSTSNAKEANLHQKQELSILRLFRGCMSSYMPGDKDNNEEEMLESLKPHSKLKILDLYGYGGSKASVWMRDPQMFRCLKRLIIERCPRCKDIPTVWLSASLEYLSLSYMTSLISLCKNIDGNTPVQLFPKLKELILFVLPNLERWAENSEGENNDVIIFPELESLELKSCMKISSVPESPALKRLEALGCHSLSIFSLSHLTSLSDLYYKAGDIDSMRMPLDPCWASPVSLDVSSPANMMVPLEDKKSRRPFEALRSLTLCGSNCFLATCSLFFAGTAKGRPANFIKIGGNKLAPNRRRIITGHKQMMAVFVLATLSKVHLGLWECFAFVKDLTVHGCDDLVQWPMEELRCLICLRHLSFRACGKLEGKCRSSDEALPLPQLERFEVSHCDNLLDIPKMPTSLVNLEVSHCRSLVALPSHLGNLPRLRSLTTYCMDMLEMLPDGMNGFTALEELEIFNCLPIEKFPEGLFFVPSLHCLAPHKSPTNLTSRGLAPLCPCFPPPPRCSGLQAAEEAAGWMAPVFERLTGIRALADSARFKAWFLDQIGVLHHGNMPYLVPSLHENMASYVWKMSMKSQQSVHTSDKLQTSSCPSSSSVKKEVTDEEETLQGWWIGGDLGDRHQRQRTSPISDFYPLSSLPFPPSSLLGFGRRRRRRWGWMASAASAPAFERLAGIRALAESGRFKAWFLDQFGVLHDGKKPYPGAVLALEKLAEKGAKMVIISNSSRRSSVTMEKLESLGFDPSCFLRAITSGELTHQYLQKRDDPWFAALGRKCVHLTWGNRGAISLEGLGLQVVSNVEEAEFILAHGTEALGLPSGDPLPKSLEELEQVLMLCLEKRLPMVVANPDYVTVEARDLRVMPGTLAAKYESLGGEVKWMGKPDKVIYTSAMSLAGVNPHECITVGDSLHHDIKGANASRVASAFITGGIHATELGLNEIGEIAGEDAIDSLCRKHGSYPTYVLPSFTCSDANIAAFVAKYGAVSDSVY >ORUFI08G24750.2 pep chromosome:OR_W1943:8:24636406:24645285:1 gene:ORUFI08G24750 transcript:ORUFI08G24750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKMVYNDPIVQKHFQLKMWHCVSENFEPISIVKSIIELATNRKCDLPDSIELLRRRLEGVIDRKRFLLVLDDVWNEDDNKWNEHLRPLLNSVGGPGSIIVITTRNRRVASIMETLQPYKPACLSEDESWELFSKRAFGRDVQEQEDLVTIGKCIVHKCKGLPLALKTMGGLMSSKHQVKEWEAIARSNIGDSVKGKDEILSILKLSYKHLPSEMKQCFTFCAIFCKDYEMEKDMLIQLWIANGFIQEEGTIELSQKGEFVFNELVWRSFLQDVKTILFRSLDYDFVVCKMHDLMHDLAKDVSSECATTEELIQQKAPSEDVWHVQISEGELKQISGSFKERLKLRSLRGLWCHCRSNIHRLPDSICALYNLQSLRLNGCSYLECLPEGMANLRKLNHLYLLGCDRLKRMPPNFSLLNNLLTLTTFVVDTDAGRGIEELKQLRYLTNMLGLYNLRKIKSTSNAKEANLHQKQELSILRLFRGCMSSYMPGDKDNNEEEMLESLKPHSKLKILDLYGYGGSKASVWMRDPQMFRCLKRLIIERCPRCKDIPTVWLSASLEYLSLSYMTSLISLCKNIDGNTPVQLFPKLKELILFVLPNLERWAENSEGENNDVIIFPELESLELKSCMKISSVPESPALKRLEALGCHSLSIFSLSHLTSLSDLYYKAGDIDSMRMPLDPCWASPVSLDVSSPANMMVPLEDKKSRRPFEALRSLTLCGSNCFLATCSLFFAGTAKGRPANFIKIGGNKLAPNRRRIITGHKQMMAVFVLATLSKVHLGLWECFAFVKDLTVHGCDDLVQWPMEELRCLICLRHLSFRACGKLEGKCRSSDEALPLPQLERFEVSHCDNLLDIPKMPTSLVNLEVSHCRSLVALPSHLGNLPRLRSLTTYCMDMLEMLPDGMNGFTALEELEIFNCLPIEKFPEGLFFVPSLHCLAPHKSPTNLTSRGLAPLCPCFPPPPRCSGLQAAEEAAGWMAPVFERLTGIRALADSARFKAWFLDQIGVLHHGNMPYLVPSLHWERGEDGYH >ORUFI08G24750.3 pep chromosome:OR_W1943:8:24636406:24645285:1 gene:ORUFI08G24750 transcript:ORUFI08G24750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKMVYNDPIVQKHFQLKMWHCVSENFEPISIVKSIIELATNRKCDLPDSIELLRRRLEGVIDRKRFLLVLDDVWNEDDNKWNEHLRPLLNSVGGPGSIIVITTRNRRVASIMETLQPYKPACLSEDESWELFSKRAFGRDVQEQEDLVTIGKCIVHKCKGLPLALKTMGGLMSSKHQVKEWEAIARSNIGDSVKGKDEILSILKLSYKHLPSEMKQCFTFCAIFCKDYEMEKDMLIQLWIANGFIQEEGTIELSQKGEFVFNELVWRSFLQDVKTILFRSLDYDFVVCKMHDLMHDLAKDVSSECATTEELIQQKAPSEDVWHVQISEGELKQISGSFKGTTSLRTLLMELPLYRGLEVLELRSFFLERLKLRSLRGLWCHCRSNIHRLPDSICALYNLQSLRLNGCSYLECLPEGMANLRKLNHLYLLGCDRLKRMPPNFSLLNNLLTLTTFVVDTDAGRGIEELKQLRYLTNMLGLYNLRKIKSTSNAKEANLHQKQELSILRLFRGCMSSYMPGDKDNNEEEMLESLKPHSKLKILDLYGYGGSKASVWMRDPQMFRCLKRLIIERCPRCKDIPTVWLSASLEYLSLSYMTSLISLCKNIDGNTPVQLFPKLKELILFVLPNLERWAENSEGENNDVIIFPELESLELKSCMKISSVPESPALKRLEALGCHSLSIFSLSHLTSLSDLYYKAGDIDSMRMPLDPCWASPVSLDVSSPANMMVPLEDKKSRRPFEALRSLTLCGSNCFLATCSLFFAGTAKGRPANFIKIGGNKLAPNRRRIITGHKQMMAVFVLATLSKVHLGLWECFAFVKDLTVHGCDDLVQWPMEELRCLICLRHLSFRACGKLEGKCRSSDEALPLPQLERFEVSHCDNLLDIPKMPTSLVNLEVSHCRSLVALPSHLGNLPRLRSLTTYCMDMLEMLPDGMNGFTALEELEIFNCLPIEKFPEGLFFVPSLHCLAPHKSPTNLTSRGLAPLCPCFPPPPRCSGLQAAEEAAGWMAPVFERLTGIRALADSARFKAWFLDQIGVLHHGNMPYLVPSLHWERGEDGYH >ORUFI08G24750.4 pep chromosome:OR_W1943:8:24641852:24645723:1 gene:ORUFI08G24750 transcript:ORUFI08G24750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASYVWKMSMKSQQSVHTSDKLQTSSCPSSSSVKKEVTDEEETLQGWWIGGDLGDRHQRQRTSPISDFYPLSSLPFPPSSLLGFGRRRRRRWGWMASAASAPAFERLAGIRALAESGRFKAWFLDQFGVLHDGKKPYPGAVLALEKLAEKGAKMVIISNSSRRSSVTMEKLESLGFDPSCFLRAITSGELTHQYLQKRDDPWFAALGRKCVHLTWGNRGAISLEGLGLQVVSNVEEAEFILAHGTEALGLPSGDPLPKSLEELEQVLMLCLEKRLPMVVANPDYVTVEARDLRVMPGTLAAKYESLGGEVKWMGKPDKVIYTSAMSLAGVNPHECITVGDSLHHDIKGANASRVASAFITGGIHATELGLNEIGEIAGEDAIDSLCRKHGSYPTYVLPSFTCSDANIAAFVAKYGAVSDSVY >ORUFI08G24750.5 pep chromosome:OR_W1943:8:24641079:24645723:1 gene:ORUFI08G24750 transcript:ORUFI08G24750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLESLALGRKCVHLTWGNRGAISLEGLGLQVVSNVEEAEFILAHGTEALGLPSGDPLPKSLEELEQVLMLCLEKRLPMVVANPDYVTVEARDLRVMPGTLAAKYESLGGEVKWMGKPDKVIYTSAMSLAGVNPHECITVGDSLHHDIKGANASRVASAFITGGIHATELGLNEIGEIAGEDAIDSLCRKHGSYPTYVLPSFTCSDANIAAFVAKYGAVSDSVY >ORUFI08G24750.6 pep chromosome:OR_W1943:8:24636406:24642320:1 gene:ORUFI08G24750 transcript:ORUFI08G24750.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKMVYNDPIVQKHFQLKMWHCVSENFEPISIVKSIIELATNRKCDLPDSIELLRRRLEGVIDRKRFLLVLDDVWNEDDNKWNEHLRPLLNSVGGPGSIIVITTRNRRVASIMETLQPYKPACLSEDESWELFSKRAFGRDVQEQEDLVTIGKCIVHKCKGLPLALKTMGGLMSSKHQVKEWEAIARSNIGDSVKGKDEILSILKLSYKHLPSEMKQCFTFCAIFCKDYEMEKDMLIQLWIANGFIQEEGTIELSQKGEFVFNELVWRSFLQDVKTILFRSLDYDFVVCKMHDLMHDLAKDVSSECATTEELIQQKAPSEDVWHVQISEGELKQISGSFKGTTSLRTLLMELPLYRGLEVLELRSFFLERLKLRSLRGLWCHCRSNIHRLPDSICALYNLQSLRLNGCSYLECLPEGMANLRKLNHLYLLGCDRLKRMPPNFSLLNNLLTLTTFVVDTDAGRGIEELKQLRYLTNMLGLYNLRKIKSTSNAKEANLHQKQELSILRLFRGCMSSYMPGDKDNNEEEMLESLKPHSKLKILDLYGYGGSKASVWMRDPQMFRCLKRLIIERCPRCKDIPTVWLSASLEYLSLSYMTSLISLCKNIDGNTPVQLFPKLKELILFVLPNLERWAENSEGENNDVIIFPELESLELKSCMKISSVPESPALKRLEALGCHSLSIFSLSHLTSLSDLYYKAGDIDSMRMPLDPCWASPVSLDVSSPANMMVPLEDKKSRRPFEALRSLTLCGSNCFLATCSLFFAGTAKGRPANFIKIGGNKLAPNRRRIITGHKQMMAVFVLATLSKVHLGLWECFAFVKDLTVHGCDDLVQWPMEELRCLICLRHLSFRACGKLEGKCRSSDEALPLPQLERFEVSHCDNLLDIPKMPTSLVNLEVSHCRSLVALPSHLGNLPRLRSLTTYCMDMLEMLPDGMNGFTALEELEIFNCLPIEKFPEGLFFVPSLHCLAPHKSPTNLTSRGLAPLCPCFPPPPRCSGLQAAEEAAGWMAPVFERLTGIRALADSARFKAWFLDQIGVLHHGNMPYLVPSLHWERGEDGYH >ORUFI08G24760.1 pep chromosome:OR_W1943:8:24656446:24660656:1 gene:ORUFI08G24760 transcript:ORUFI08G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQTSFRLGALEKLKSFRGMEKQKSFKMMSTDNFRRHRDSPGKRGDTPLHLAARSGNAAGAQRIIAEFDPEVAAERAAQANHDGETPLYVAAERGHTDVVREILKVSDVQTAGVKANNSFDAFHIAAKQGHLEVLKELLQAFPALAMTTNSVNATALDTAAILGHTEIVNLLLESDANLARIARNNGKTVLHSAARLGHVEIVRSLLSRDPGIGLRTDKKGQTALHMASKGQNAEIVIELLKPDISVIHLEDNKGNRPLHVATRKANIVIVQTLLSVEGIEVNAVNRSGHTALAIAEQLNNEELVNILREAGGVTAKEQVHPPNPAKQLKQTVSDIRHDVQSQIKQTKQTKMQVQKIKKRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDITQAPPGMSLGQAYVASNPAFLVFLVFDALALFISLAVVVVQTSLIVVEQKAKRRMVFVMNKLMWLACLFISVAFIALTYVVVGRDDWWLAWCTMAIGAVIMLTTLGSMCYCIIAHRMDERKIRKASTSQSRSWSQTVDSDPDLLNSEYKKMYAL >ORUFI08G24760.2 pep chromosome:OR_W1943:8:24656819:24660656:1 gene:ORUFI08G24760 transcript:ORUFI08G24760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERQTSFRLGALEKLKSFRGMEKQKSFKMMSTDNFRRHRDSPGKRGDTPLHLAARSGNAAGAQRIIAEFDPEVAAERAAQANHDGETPLYVAAERGHTDVVREILKVSDVQTAGVKANNSFDAFHIAAKQGHLEVLKELLQAFPALAMTTNSVNATALDTAAILGHTEIVNLLLESDANLARIARNNGKTVLHSAARLGHVEIVRSLLSRDPGIGLRTDKKGQTALHMASKGQNAEIVIELLKPDISVIHLEDNKGNRPLHVATRKANIVIVQTLLSVEGIEVNAVNRSGHTALAIAEQLNNEELVNILREAGGVTAKEQVHPPNPAKQLKQTVSDIRHDVQSQIKQTKQTKMQVQKIKKRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDITQAPPGMSLGQAYVASNPAFLVFLVFDALALFISLAVVVVQTSLIVVEQKAKRRMVFVMNKLMWLACLFISVAFIALTYVVVGRDDWWLAWCTMAIGAVIMLTTLGSMCYCIIAHRMDERKIRKASTSQSRSWSQTVDSDPDLLNSEYKKMYAL >ORUFI08G24770.1 pep chromosome:OR_W1943:8:24663642:24686535:1 gene:ORUFI08G24770 transcript:ORUFI08G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDAPDSTTSSSSASSASAPAPSAARLNAAAPEFTPRSAAHHHHHHANPRRQHRGGGGGAYHHHQQHYQPHHHHQHHQHWQHYGEDEGDAAAAGAVGEGGIPEDVARRVVKQVEFYFSDVNLATTEHLMKFMIRDPEGFVPMSVVASFRKIRELVVSDDGKRVRRRVLFTEADAEEVQSRIVVAENLREEHRYPNLMKIFSAFGSVKSIRTCYPQGGIDGAGTSTGKASKIEMLFANKVHAFVEYETVEDAEKAVSEFSSGRSWRDGIRVRSLLGCLKQAMGQGRRGGDEVDAADEDDPETTDHPQDYETEDASQISEAHLDHQADDGYHDKGGMRHGRGRGRGGRGRGRGQYYGHSRDANHPIGTPPSNHSALADHPSKPPPGPRMPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSSNWHSSIESQCSC >ORUFI08G24780.1 pep chromosome:OR_W1943:8:24668489:24670536:-1 gene:ORUFI08G24780 transcript:ORUFI08G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEENAHAINQSLWFLKMNSIRRFSGMRKPNAPSAIHKDKDESVVFFREMYKREKDRDINLLEPMQSVEFDAIQGGRTSKAPSGKTDFLIAVDEKHDYDWLKTPPATPLFPSIEMETNSSQMVFQKELPIHQQVKPSASRLSGKTEATKTSARSMFPAPNSSSEKSIFRVSTQSISNEKNQIERRSTSAAITSRKQKVVAAITPTAPTATCNATKKHSERCYASQGSSTNGLKRVTNPELPYCAPKNLITTPLTAKAWRRDLAFGVHDTAEIGRIRRQSCLPVTVMDGKQKGLPDKVKAVTVSNNRGRAGDATLIKGMRRTDGKKEQRPKHGNQAK >ORUFI08G24790.1 pep chromosome:OR_W1943:8:24673618:24690015:-1 gene:ORUFI08G24790 transcript:ORUFI08G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLKDREFTHYHRAMAESLILPMVRGVAAKAADAFVQSVTGACSAVDDDRRKLQRQLLAVQSALADAEAKSETNLAVRRWMKDLNAAAYDADDVLDDFRYEALRRDAEVGAGKVLGYYFTPHNPLLFRVTMSKKLSNVLKKMNKLVEQMNELGLSVDRTESPQELKPPFLQMHSAAMDESSDIDIVGRDDDKEVMVKLLLDQRDEKRLQILPVVGIGGSGKTTLAKMVYNDTRVRDHFQLKMWHCVSEKFEAVPLLKSIVELATNTRCQLLNTIEQLRRELRSVIDQRRFLLVLDNVWNEDDKKWEDDLKPILSSVGGHGSVIVVTTRSQRVASIMGTMGSHELACLNDDDSWELFSKKALSREVNEEAALVTIGKLIIKKCSGLPLALKTMSGLMSSKQLVSEWETIAESNIVVRVQSKSDVLDILNLSYRHLPSEMKQCFAFCAVFPKGCDMEKDKLIQLWIANGFVQEDGNVDLIHKGEFIFHDLVWRSFLQDVETKKRHYYCDDSVVFCKMNDLMHDLARDVTDQCASTTKELSHEKESAIDVRNMVVQWYKSQEMMMEPFKGTTSLRSLIVQLGSYNHIEEFRYLSIRAFNCSIMYQQLINERHLRYLDLSETCIVRLPDSVSMSYNLQTLRLNNCRNLKYLPESMSAMRKLMHLYLFGCGNLEKMPPNINLLNNLRTLTTFIVDIEAGREIKEIKRLRHLANRLELYNLRKIYSRENGEEANLHEKDLSELVLYWGRDEKYMPENSVCNEEEVLDSLRPHAKLKVLELHGYSGLNIPQWMRDPQMFQSLRILHISNCPGCKDLPSVQLSVSLERLVLSSMDNLTTLCKNVGVEVEGHSIPLQIFPKLKYMKLCGLPNLEKWAENAAGEAHMLTTFPEIEILKIHDCPKLTSVPNCPVLKKLKTYGHCSLEMISLAHLTALSKLYHVGNDVCVSMQLCSWPSLVELSIRSSPDMVTTLEVETNQCPLENLRRLSLYHVRCFIAASGLSDKYLGLWKCFAFVEDLQIAWHNYLVCWPVEELTSLIHLRSLTIDYCDNLEGRGSSFMSLTYLEKLRVRYCNNLQEIPKLPASLEVLLIEHCSRLAELPNLGDLSRLKILHVQRSLHLKELPDGMDGLTSLEELKIWDCALIEKFPQGLLQRIPTLRHLALRRCPGLLRRWTASGGLLGRARLKSPLQFRKTDESLVFFRELYKRDKERDINLLEPMYSVEFDAIQGGHTGKAPSGKRDFLIPVDEKHDYDWLKTPPATPLFPSIEMEANSSQMVFQKELPIHQQVKPSASRLSGKTEATKTSARSMFPAPNSSSKKSIFKGSAPSISNEKKQPCTIEKRSTSAAITSTRRKQKAVAVISPTAPTATCNATRKHSDRCYASQGSSTNGLKRVTNPELPYSAPKNLITTPLTAKAWRRDLAFGVHDTLEIGRIRRQSCLPVATSGTKEQIMDGKQKGLPDKVKAVTVSNNRGRAGDATLIKGMRTDGKKEQRPKHGNQAKMNKPPTAGKVLGYFTPHNPLLFRVTMSKKLSNVLEKMNKLVDKMNELGLSVDCTESPQELKPPYLQMHSAALDESSDIVGRDDDKEVVVKLLLDQRYEQRLQVLPVIGIGGSGKTTLAKMVYNDTRVRDHFQLKMWHCVSENFEAVPLLKSIVELATNRRCQVPDKDTIELLRRQLEGAIGSRRFLLVLDDVWNEDENKWQDELRPLLCSAAGGHGSVVVVTTRSQQVASIMGTMRSHELACLNDDDSWELFSKKAFSEEVRETAELVTIGRLIVKKCRGLPLALNAMGGLMSSKQQLNEWKAIADSARDKDEILSMLKLSYRHLPSEMKQCFAFCSIFPRNHEMDKEVLIQLWMANGFIQEDGIMDLEQKGEYTFQYLVWRSFLQDVKAKKTLDHLAELQPSTILQKEIMDKALPYESIGCKMHDLMHDLAKDVADECVTSEHVLQHDASVRNVRHMNISSTFGMQETMEMLQVTSSLRTWIVPSPLCRDLKDLSLASLRTLVIEKGIFHYHSVMSNHVITYSKHLRYLDLSMSQIVMLPSSICVMYNLQTLRLNGCSFLKYLPESMGKMRKLLHLYLLGCDSLVRMPPNFGLLNNLRTLTTFILDTKAGCGIDELKNLRHIANRLELYNLRKINCRNNGIEANLHQKENLSELLLHWGRDKIYTPENNAYNEEEVLESLTPHGKLKILELHGYSGLKIPQWMRDPQMLQCLTTLRISNCLGCKDLSTLWLSVSLEHLQLSRMDNLTTLCKNVGVGAEGYTIPQQVFPKLKSLKLELLFSLEKWAENTAGEAKNLVTFPELEMLQIIRCSKLASVPDCPVLKEPDRFGSYMLAMNELTHLTSLSKLNYVANSLCDCVSMPLGSWPSLVELVLRSSTHIPTTLQVEANQGQLEYLRSLSLVNCFTAASGSSEMRLGLWKCFAFVEVLHIHMCLSLVCWPTEELTSLIHLRHLYIEHCHRLEGKGSSSEEKFMSLSHLERLHIQNCYNLLEIPMLPASLQDLRLESCRRLVALPSNLGNLAMLRHLYLMNCYVLKDLPDGMDGLVSLKILEIQACAEIEEFPQGLLQRLPTLKELSIQGCPGLETRCREGGEYFDLVSSVQRIFLILSLPFNVYAFQQQRKQKWRRNQGLESNLKKLKNRLFQAEDTSSFTTLSGKTGATKTLLRSMLPTPDSSSKKNIYRGAPAPSSISNEKRSTYAAIMSRHQKPVAATAPTASTSSRNAPNDIGSDSTRRQACPPASTRGTKELQLDAKMKAVIGSNVSPYNNSGHAS >ORUFI08G24800.1 pep chromosome:OR_W1943:8:24688632:24690880:1 gene:ORUFI08G24800 transcript:ORUFI08G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSFQVPVSIPIPIPLPARTSPPDWPCRPPGKDGLVASMLGSSAAALDPPTPMASVRGSGALRHPRHASGNLRWSEGSRGSRHEPWRKLIETLGEEAERISHRWYLLLLLPPLLLLLLCRITSSRFLGPDGNKDSSDDELRGHGRLLLIWEKRVP >ORUFI08G24810.1 pep chromosome:OR_W1943:8:24690173:24693727:-1 gene:ORUFI08G24810 transcript:ORUFI08G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVRGVVGKAAGALVQSVTRMCGVDGDRHKLERQLLAVQCKLSDAEAKSETSPAVKRWMKDLKAVAYEADDVLDDFHYEALRRDAQIGDSTTDKVLGYFTPHSPLLFRVAMSKKLNSVLKKINELVEEMNKFGLVERADQATVHVIHPQTHSGLDSLMEIVGRDDDKEMVVNLLLEQRSKRMVEVLSIVGMGGLGKTTLAKMVYNDTRVQQRFELPMWLCVSDDFNVVSLVRSIIELATRGNCTLPDRIELLRSRLHEVVGRKRYLLVLDDVWNEEEHKWEELRPLLHSAGAPGSVVLVTTRSQRVASIMGTVPAHTLSYLNHDDSWELFRKKAFSKEEEQQPEFAEIGNRIVKKCKGLPLALKTMGGLMSSKKRIQEWEAIAGSKSWEDVGTTNEILSILKLSYRHLPLEMKQCFAFCAIFPKDYQMERDKLVQLWIANNFIQEEGMMDLEERGQFVFNELVWRSFFQDVKVESFHVGIEQTYKSITCYMHDLMHDLAKSVTEECVDAQDLNQQKASMKDVRHLMSSAKLQENSELFKHVGPLHTLLSPYWSKSSPLPRNIKRLNLTSLRALHNDKLNVSPKALASITHLRYLDLSHSSKLEHLPDSICMLYSLQALRLNGCLKLQHLPEGMRFMSKLRHLYLIGCHRLKRMPPRIGQLKNLRTLTTFVVDTKDGCGLEELKDLHHLGGRLELFNLKAIQSGSNAREANLHIQENVTELLLHWCHDIFEYSDHDFDLDVVDNKKEIVEFSLPPSRLETLQVWGSGHIEMSSWMKNPAIFLCLKELHMSECWRCKDLPPLWQSVSLESLSLSRLDNLTTLSSGIDMAVPGCNGSLEIFPKLKKMHLHYLPNLEKWMDNEVTSVMFPELKELKIYNCPKLVNIPKAPILRELDIFQCRIALNSLSHLAALSQLNYVGDWSVSKDLQVIPIRSWPSLVTLALASLGNSLLPDEQQTTMPPLESIQKLSIWYSSCFFSPNSSNWPFGFWDCFAFVEELSIVLCDDLVHWPVKELCGLNSLRCVRFSYCKNLTSSSSEESLFPSGLEKLYIEFCNNLLEIPKLPASLETLRINECTSLVSLPPNLARLAKLRDLTLFSCSSLRNLPDVMDGLTGLQELCVRQCPGVETLPQSLLQRLPNLRKLMTLGSHKLDKRCRRGGEYWEYVSNIPCLNRDFIEERPC >ORUFI08G24820.1 pep chromosome:OR_W1943:8:24704025:24706590:1 gene:ORUFI08G24820 transcript:ORUFI08G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKALKSEIEGVEEIESCFIAPSEDTPRQGLWLSPLDIVMVSRGHTPTVYFYQRDTATVAADYFEVGRLKEAMAKALVAFYPLAGRLSVDGDGRPEIDCNAEGALFVVAQSKLTVDAFSDLKPSPELRRLFAPRIEPASIMLGVQVTFLSCGGVALGTVLHHVAIDALSAFHFFQTWSSFCRDGEAAMLELPCHERTLLRTRSPPIVHPDVHSMFSLKLNFCEPSDPISTKIFVISKNQLDALKQICGGLSTFCAMSALVWQCMCIARQLPLDAETRVTFPVNIRRRVTPPLPDRYFGNALVIMKVACTVRDVVLGTLAASAGQIKSTLGRLDGEMLQSVIDYNEIAGMSNKPAKGNLPDTELRMIAWLGMPVYDADFGWGKPEMMSRAESVRGGFVYMMDGTDNDGGGVRVLMCMEARKMEEFERLFYAKFAQ >ORUFI08G24830.1 pep chromosome:OR_W1943:8:24707948:24718342:-1 gene:ORUFI08G24830 transcript:ORUFI08G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSVPLPSPPIPWLLLLLDPGEHGKMGQSEDRAMYEDGGGRLDDYCSITIDGSGGLSEDIIQQRLQSVVHQREELQRLEMELRAQVIAHPQIIEAQRSFEAAAKEHVTAAAKLKEQLHEREKYILELEMKLDDKDRELDALKIDHQTVWANQDLLREQTKELATFRRERDNSEAERAQHLKQIHDLQEHLREKESQMLALEEQHRAAQDNIIYKDEQLREAHAWMARVQEMDTLQSQTMQAELRDRTEQFNQYWISFQQQYVEMQRGFLHTIQQLQLELNELRDRTGAPKDGSQTAQESSAESTLGQNKGNNMAANGSGTTDSSQSVKSNGVPDGSTKGNSNASPVPVVPSSLLGIGGFVPSAQIAGMHSYMMHPQGVPPSLASPNSAVPQFGSFQSQSTIQPNMQWPNQQEAQNASQTPDETNYHTSPPDQKALQQAAGNNDELSLKQNQATRAEHLTATAHGKQQQQQRFPSVVSESTHEQKLQVVESNVTEHLVYNEQQKAQDSSSIVSPIRKFEHQEQTNEFKDEKVASGNQSEEQVARHQHKASDFDASTTQIHLKGGAAEFTANVGNQTDTHTSAGGGLGSLLPRIPKEPSLLDERSLLACIVRAVPAGPDGRIKISTTLPNRLGKMLAPLHWHDYKKHYGKLDDFVASHPELFVIEGDFIHLREGAQQIISATTAAAKIAAVASSAPYSLLPSVAVTPVAQSTRQKRGPVVDSRSSNVMPSRNGSTTASFGDQFDKGGHIPKPNDSVGYNIVQGIGDVTIASKVKDIQENGFSDEVRPGQSSMHAVSANGVRQERSGLPAGLRGLHTFPEDEQVACRSDHSYSKPEGIADYRASLFQQKCVFADKNKTARAEELSTKLQPNWWIPRHECTRQKIVELSVLHRKTENASFCDCNAVQRNMAESLLLPVVRGVAGKAADALVQSVTRMCGIDGDRRKLERQLLAVQCKLADAEAKSETNPAVKRWMKDLKAVAYEADDVRPTTSSTNFEYEALRREVKIGDSTTRKVLGYFTPHSPLLFRVTMSRKLGDVLKKINELVEEMNKFGLMEHVEVPQLPYRLTHSGLDESADIFGREHDKEVLVKLMLDQHDQQNLQVLPIVGMGGLGKTTLAKLIYNDPSVQEHFQLKMWHCVSEHFEVGSLLKSIVELATNRRCQLINTIELLRRQLEEAFGRRRFLLVLDDVWNDEENKWADDLKPLLNSVGGAGSVIVVTTRSQRVASIMGTLEPYELRCLNEDDSWEVFSKRAFGKQVQEQAKLVSIGTRIVKKCRGVPLALKTMGGLMSSKQSVSEWEVIAESNIGARVQGKNDVMDILKLSYRHLSPEMKQCFAFCAIFPQDYEMVKDELIQLWMANGFIQEEENMDLTHKGEMIFHDLVWRSFLQDVKEEFIIGYHCDSIVCKMHDLMHDLAKDVTDECASTTKELDQLKGSIKDVRHLRIPEEMEETMTELFKGTSSLHTLIDRSWRSTLWNVSVEFNLASVRALRCSVINSAITNAKHIRFLDLSETSIVRLPDSICMLYNLQSLRLNSCDELEYLPKGMRTMRKLIHIYLYWCDSLRRMPPNIGLLNNLRTLTTYVVDTEAGCGIEELKDLQHLTNWLELYNLHKVKSEEKAKQANMYQKKNLSEVLFFWGRQKCYMPNDNAYNEERVLESLAPYCSNLKVLELHGYGGVEIPEWMRDPHTFQRLSKLNISNCPRCKDLPPVWLLVSLEELSLSCMDNLTTLCTNDDVEAEGCGTSLQIFPKLKKMFLRNLPNLERWAVNISGDPSSFITLPQLEILRISDCPKLAGIPDCPVLRDLNIDRCSNIAVSSLAHVTSLSYLSYDAEGFDSMTMPLGSWSSLMRLKVRSLANMVISLEDQQNQGESNLVNLRRLNLHGPKCFTTVSGFSELHHGIWVHFAFVEHLVIGDCHDIVRWPTEELRCLIRLRSLHIFKFTNLGINFSLSEEILYLSCLEELNITSCSGIVEIPKLPASLEELFIQSCQNLVVPLPPNLGNLASLRNFIVIKCESLKLLPDGMDGLTSLRKLHLDGCPGIEKFPEGLLQRLPTLEYLHLYSCSVSNDQLVRRCKEGGEYFDLLSSIPDKSIIFSERYYRKRFLPFC >ORUFI08G24840.1 pep chromosome:OR_W1943:8:24725104:24725895:-1 gene:ORUFI08G24840 transcript:ORUFI08G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVLSPSSFSATACFPTLDFEFCEVPDQWLLGLGHDELDKDAAASALAAAAASQSASNDDVPRNPPATTTTTKRRGRKPGPRSGGGGAPPIGHVEAERQRREKLNRRFCELRAAVPTVSRMDKASLLADAVDYIAELRRRVERLEAEARRAPLAPSAAAAAAWAAGLGAGAIGRDDLVVRMVGRDAAILRLTTAAAAARHAPARMMCAVRALNLAVQHASVARVGGATVQDVMVDDVPAALQDEARLRAALLHTLQLADTT >ORUFI08G24850.1 pep chromosome:OR_W1943:8:24731109:24731605:-1 gene:ORUFI08G24850 transcript:ORUFI08G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVKGFYRQKKKGGVAKKPISRKKLPPQNCSESQDCGDHDLGDEVEEQLQQFDMDMTYGPCIGMTRLRRWERAAAMGLRPPPRLRDLLLPPPAPHPLPSSSSSPAKILTGSSGGGGSTSVQGECLWEGKVS >ORUFI08G24860.1 pep chromosome:OR_W1943:8:24740910:24744934:1 gene:ORUFI08G24860 transcript:ORUFI08G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPGAADPGARPHHLSPGQPPVVPRSPTPLDLSSAAAAAAAASYRRLSPSLRPPAHPQARLPSPYPQIPSSSSAAAAGSSGHHARSLSQPLFFSLDSLPPLPYADLAAPPAIPPSPPSSSSDPPPPGLPPRKGGHRRSQSDIPFGFSHLSPPLPPPAPVKREAATAAEGCRSDGDDFALYDLVNSYMDLDGMEALNSSEERHEDRDSRASGTRAGSVADSSENEAESHSTPVERKDGGGKSRHCRSLSVDSFIEKLNFDESPKLPLPSPSGGLSRSGSGSLDGGAASLFGAEFANGEFTEAEKKKIMANERLAEIALTDPKRVKRILANRQSAARSKERKMRYIQELEHKVQVLQTEATTLSAQLTMLQRDSTGLATQNNELKIRLQAMEQQAQLRDALNEALTAEVQRLKLATGEITDGRMSKGLQQQMNSQLIQLQQLQIQQQQSSQTTQQGQQQQPQKSA >ORUFI08G24870.1 pep chromosome:OR_W1943:8:24750340:24750675:1 gene:ORUFI08G24870 transcript:ORUFI08G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKGLDLAADGSAQLRLLQQDRDNDDYYSKGYDRAWVRRICFQRGRERWIHHRWAQECQIRCHRAREGRIHHPWAQDVGSDGG >ORUFI08G24880.1 pep chromosome:OR_W1943:8:24766118:24780346:1 gene:ORUFI08G24880 transcript:ORUFI08G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVELTGDGGTTAETRWLSPPLTHDDNRGFLQMLREKKERLGVGAAKVEVRLEKLTVEADVRVGRRAVPTLLNCAINAAQELAACAHMCTTRKKPMKIINEATGTIRPSRMTLLLGAPGSGKTTLLKALAGKLDSSLKMKGKVTYNGEEVNSSTPQYLHAYVSQYDLHHAEMTVRETIDFSSKMLGTNNEFAIKIECMQILGLSECADTLVGDEMRRGISGGQKKRATIGEMLVGLARCFFMDDISTGLDSSTTFEIMKFLQQMAHLMDLTMVISLLQPPPETLELFDDIILLCEGQIVYHGPRENATDFFETMGFKCPSRKNVADFLQEVTSKMDQKQYWIGNANKYQYHSIEKFAESFRTSYLPRLVENDHFESTNAGKSKEVKTSTSRMISSWNIFKACFSREVLLLKRNSPVHIFKTIQITVLALVISTLFLRTNMRHDTVLDANKYMGALFMAVVIVNFNGMTEIAMTIKRLPIFYKQREILALPGWALLSSVFLLSLPISFVETGLWTGLTYYVIGYAPSFVRFIQHFVVLFAMHQMSMSLYRFLAAIGRTQVMANMLGTAALIAIYILGGFVISKDNLQPWLRWGYWTSPFTYAQNAVALNEFLDDRWATEFHFANANTVGETILKVRGLLTEWHWYWICVSILFGFSLVFNILSIFALQYMRSPHKHQVNINATKVKVDYNSQIVGNGTTSTDQVILPFQPLSLVFDHINYFVDMPKEMTKYGVTDKKLQLLQDVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTVKIAGYPKKQETFSRISGYCEQSDIHSPNLTVYESLQFSAWLRLPSNVKSHQRNMFIDEVMDLVELTGLKNAMVGLAGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIEIFESFDELLLMKRGGQLIYSGSLGPLSSNMIKYFEAIPGVPRIKEGQNPAAWMLDISSRTAEYEIGVDYAEIYQRSSLYWENRQLIDDLGKPEPNTEDLHFPPKYWQDFRAQCMACLWKQNCAYWKNSEHNVVRFINTFAVSIMFGIVFWKIGSTIKDEQDVFNILGVVYGSALFLGFMNCSILQPVVGMERVVLYREKAAGMYSTMAYAIAQVAVELPYMFVQVFIFSAIVYPMIGFQMTATKFFWFALYMVLSFLYYTLYGMMTVALTPNIEIAAGLSFLIFIFWNVFSGFIIGRQMIPVWWRWVYWANPAAWTVYGLMFSQLGDRTELIQVPGQPEQTVKEFLEGYLGLQDRYFNLVTSLHVAIIALFTFLFFLSIKHLKFQRR >ORUFI08G24890.1 pep chromosome:OR_W1943:8:24768176:24770553:-1 gene:ORUFI08G24890 transcript:ORUFI08G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDYKLVQDESSAEGEAWVLLEVAKRAARPGTARARVRHGPFRHGPCSEKKCHPDCRMGCKISLPQVIWDHFGYVRRAVFRLYAHTCCELSWDILDTLARKGTSNSPGPNDKPTINADAIIFTTDVVIDALFRLLEL >ORUFI08G24900.1 pep chromosome:OR_W1943:8:24777536:24790142:-1 gene:ORUFI08G24900 transcript:ORUFI08G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPTDRPPPNRPLFCTQNMAHVSFKSKEADSMSRWSKYLSTEESPPSASLSWRAMGVDGPQGSASGQKHLQMEPVVQLSKVAEGLLAKMYRLNSILDYPDPNAHTFSEAFWKAGVMPNFPKICITLSKKFPEHPNKLQLEKVDKFALDALNENAEGYMQNLEQWITLLLDLLEFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSDKIPRKMILQVYNILHIMLKGGRDCEFYHRLVQFVDLYDPPVKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTKKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPDELLRVTSIDVAMVVLKENLVLSLFRDEYILLHENYQLYVLPKVLESKRMAKSGRTKQKEADLEYNVAKQVEKMLMEVHEQALVSADALHHERRILLKQEIGRMMVFSALALAQCEVVWYFQHVGIASSKSSRGRTVDIDAADPTIGFLLDGMGKLCCLVRKYIAAIKGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKGLFQQVLHCLENIPKPQGENVPAITCDLTDLRKHWLSILMIVTSSRSSVNIRHLEKATVSTGKEGLVSEGNAAYNWSRCVDELEGQLSKHGSLKKLYFYHQHLTTKAVPNIVVRGLEQLAAFQNVNKIGRDSISYVESLIESIMGGLEGLINILDSEGGFGSLEMQLSPEQAAIRLNNATRAKAVSGLLAPGHESYPDNSSSVKMLEAAMQRLTSLCSVLNDMEPICVLNHVFILREYMRDCIIGNFRRRFHSMIRTDSCLQRPSVIESLLRRHLSIIHLAEQHISMDLTEGIREVLLAESFTGPFPNLQVFETPTETHGGGSAIDIISNWYIDNFVKDASRTGVVFDASQNCFRSSQPIGGGYLAEAFTDKRELKALVRLFGGYGVDRLDKLLREHTSALLNCIDSALRSNRDALEGLAGSVNSGDRIERDANLKQIIDIETLADFCIQAGQAITFRRLLVEAVGAVLEEKVPLIYSLLKGLAMQLPEEVPDKNEIIRLRRVASSVGVGDKHDAEWVHSILAEIGSANDNSWTLLPYLCAAFMASNMWSTTAYDVNTGGFSNNLHCLARCVSAVVGGSEYTRMEREHRRSSLSNGHMDELQEPELLSRVSAEANIKSAMQLYVKLSAGLVLDSWNDTSRPYIVPKLIFLDQLCEMSPYLPRSTLEVHIPYTILRSIYHQLYGASLMATEPMEPSPRQSPLISLAHASPSMKQNRADTTPRSHTFEPGYHSSSGSQYDEGYEGDRRTGERQLRSMRRSGPLDYTGTRKVKFVEGSSSGSHGAGSGSLQRFAVSRSGPLSYK >ORUFI08G24910.1 pep chromosome:OR_W1943:8:24795863:24796084:-1 gene:ORUFI08G24910 transcript:ORUFI08G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKLMVGRMEGWESGRHVRAVGPGGALTHTAGARRWGQAGGGSGVAKVVVVWWVKERPRGPCGGESYRLAIT >ORUFI08G24920.1 pep chromosome:OR_W1943:8:24796465:24797586:-1 gene:ORUFI08G24920 transcript:ORUFI08G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAQDKAEEGEEEGTRQQHAQAGPVGAAGGGGGGGAAAVAMSAIPMNSWLVPKPEPVEFFGGMAMVRKPPPRNRDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQQSEPAIIAATGTGTVPAIATTVDGVLRIPTQSSSSSGPASSAVVDGEESSAKRRRKLQPTRAVAGASPLATAAPAAYYPVIADPLLQGSGGAAISVPSGLAPITATGAPQGLVPVFAVPATGSPAVAGGNRMIPQATAVWMVPQPAGAAGAGNQPTQFWAIQSAPQLVNFAGAQFPTAINVADFQQQQQQQPVSTTIVQNSNSGEHMHFSGADSHEQQRRGRKEGNSGGVVDHPEEDEDDDDDEPVSDSSPEE >ORUFI08G24930.1 pep chromosome:OR_W1943:8:24801988:24809653:1 gene:ORUFI08G24930 transcript:ORUFI08G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAEAEGGWTCRLHLHRLLVERGVPPPLLLHEIGVQELGYERPQPVGVVEVGQEPQRRVHHVDPHLAGGVLPLAAAAVDVLEDGGPVGVVLVGEADVAEEAAGEGDVVAVGELLHQRRRHLLPLPVEHVERQRWPPLELHPRPVHPHQRLPLLRLRVPQLLHRHHQPVADAPQPVAYGDQPQRVRVVQPPALHLHYSTLLLFHWWWWWWWRIGLADLRRVAVLLGGVHLRYVLECERDEGATEWAGEGAGGVEVVRRRGGRVELGGVAVVERPAARGDDADDADAARDDGALERGEAGLLDEEAREAGGVLAEHLEEVADDEVRAEEGEAQRVEVLGAQRARVERDVPPLLVRRAHPQVRVPGPRHPRHQREHEEPPPVPAAAAAPPPAEQLLAEVGGVDAHARPRERRRHHLRAAAARALGHGPRRLALVVVHGEQPLAVLLEGVGLRQQPERGGPVRRAHHGVLVVGDVERRHDAPRHGAADPARRGRRRDVVPALHLRPQARGLVRDVEERRLPRRRRRRRGRRRRHRRVHLRVGEEVDAGVVEAHVAVEVLVLAVPDGVGLGEPGVLRERGDELGVRLLHRRERLVVGELRAGEQPRRRRLDEIVPRRPRRGGGGGRRRRRGPPLDANRHAAGDAHELTLRSVRRRRRRRWHLLVVAGCRVLAPGQVLVDEQEHGCLMDRVVLDELGELQLLLLLLSRRERPDGRRVAACDCFSLVAGRRRRRLLVFFSG >ORUFI08G24940.1 pep chromosome:OR_W1943:8:24806938:24808935:-1 gene:ORUFI08G24940 transcript:ORUFI08G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLINKYLSRSKNPAAGDDKEMPPPPPPPANGAEREFMCIPGCVPVRVKRGTTTTTTTTTTTTARTSRHNFVKSAASGLFPGAQFTNHESLPAMEEAYAEFVAAFPQYAGLAQADAIRDGEYQHLDRHVCLDYTGINLFSHAQMNSSVPSTSATPPASSAWQPPFFDIAYKSTSLRSQVQCGDDVAAASAAGGIGGAVTRRVMASLNIPDDEYAMVCTANRTTAFRLLAESYSFQQHGKRLLTVYDYESEAAGAMAESARRRGAEVMSATFAWPGMRVHAADLRKKLLRGRRRGGGGGHRRGLFVFPLVSRMTGARYPYLWMSAAHEQGWHVALDACALGTKDLDTLGLSLLRPDFIVCNFFKVFGENPSGFAGLFVKKSSLAALERSVIARSIGIVSIVPARRWSLHDGYSTELDPAAAAADDLDTTSSFSGPLSGTLIALTLKNVPEMDAAEENGHSPEIREADPPPPPPPPMEEEKGRIMEVECRGLDHADALGLIAIGNRLRCISNWLVVAMQKLRHPQSEQGKALVRVYGPRVKFERGPSLAFNVFDWKGEKVAPPLVQKLADRHNISLTCGFLRHICFSDKYDADRAAVLEHIDGGGGKRKDAAGEMGIYVVNASLGFLTNFDDAYRLWAFVAKFLDADFVEKERWRYTALNQKTVEV >ORUFI08G24950.1 pep chromosome:OR_W1943:8:24814675:24816728:1 gene:ORUFI08G24950 transcript:ORUFI08G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGKGAEAAAAAVAGAGEEENMAAWLVAKNTLKIMPFKLPPVGPYDVRVRMKAVGICGSDVHYLREMRIAHFVVKEPMVIGHECAGVIEEVGSGVTHLAVGDRVALEPGISCWRCRHCKGGRYNLCEDMKFFATPPVHGSLANQASKSIHLDFDFSPRRRCNADQCRGTQIVHPGDLCFKLPENVSLEEGAMCEPLSVGVHACRRADVGPETGVLIMGAGPIGLVTLLAARAFGAPRVVIVDVDEHRLSVARSLGADAAVRVSARAEDVGEEVERIRAAMGGDIDVSLDCAGFSKTVATALEATRGGGKVCLVGMGHNEMTVPLTSAAIREVDVVGIFRYKDTWPLCIEFLRSGKIDVKPLITHRFGFSQEDVEAAFEVSARGRDAIKVMFNL >ORUFI08G24960.1 pep chromosome:OR_W1943:8:24819129:24823679:-1 gene:ORUFI08G24960 transcript:ORUFI08G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRKLEEITGEWPPPALQAASTTSSSSEPCRRLSPPTSSKRPAGRTKFHETRHPVFRGVRRRGRAGRWVCEVRVPGRRGCRLWLGTFDAADAAARAHDAAMLALRGRAAACLNFADSAWLLAVPPPATLRCAADVQRAVARALEDFEQRESSSSVFPLAIDVVAEDAMSATSEPSTASDDDAVTSSSSSMTDADEEAEASPFELDVVSDMGWSLYYASLAEGLLMEPPASGASSDDDDDAIVDSGDIADNTTAMGQLMSSSATTAATATGPASPKRPAGRTKFQETRHPVFRGVRRRGRAGRWVCEVRVPGSRGDRLWVGTFDTAEDAARAHDAAMLALCGASASLNFADSAWLLHVPAASGHDQLPDVQRAASEAVAEFQRRGTAATATATSGDAASTAPPSPPVLPSNDDNASSVSTPAAAAALDNGMLHGDMFGGMRADLYYASLAQGLLIEPPPPPTTAEGFCDDEGCGGAEMELWS >ORUFI08G24970.1 pep chromosome:OR_W1943:8:24833399:24835091:-1 gene:ORUFI08G24970 transcript:ORUFI08G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPDDLLLEVLRRLPPISLDASRVVCSAWRAAVDANRLLRADLLPLSVAGIVVNPLSLGSRFLCRPNAAATIADDLDDIRNTSRGIFNRFDFRVSDHCNGLFLVDDDVQHVVNPDTRQWAPLPGYPPPHPCYPHKLFSPTICLVFDPAVSPHFEVLVIPRLRSELRRPREWPPSPFVLRAFSSRTGGDQWEDRISLSNGTYRVIQSEPSMSLRYREKHPDLYLGKSEKGVHCARVSFDHLMVWFLSESHGQTQMKWVLKHRSRIASLLPRRTTYEEQSGESWILHGANYQGDFHADADDVSWMFNLEWQRDSYDDDDDHHENKRHAKYVTFLGFHPFDEEVVFLGETFRRGFAYNLNNSEIQDLGNLCPKFYDRTYHQALIQTSFVYTPCWIGLERALSLSLSLSPCK >ORUFI08G24980.1 pep chromosome:OR_W1943:8:24835904:24837923:1 gene:ORUFI08G24980 transcript:ORUFI08G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPTPSTAASFRPPLPPPPPCFDYREAMLGHTRAAAVTAADPALAALVESGALVRVPRRRFGPVPAWRPPEFVEPEDVWILGTSHLSEESVADVERVLRAVRPDNVVSLHCRAGIMYVTTDSSAGEPLLKSNMFSLGGSKFFGAVNRSINLGGQTALALRLLLAVFSSKISSGANRPFGEEFRAARKVSEDLGAQLVLGDRPIEITLERAWKSLSWDEKTKLVVSLFRGITSTTDTSQDEKAAGSPYELYEKLSISYPSLLQPLIHERDMFLAWSLKRSKAVNKSKTVVGIIGKGHMNGVVYALISDQGDLRFRDLVGRASSDTWASSLIKGLVRDTIIGIVLWGLYEQLHAVF >ORUFI08G24980.2 pep chromosome:OR_W1943:8:24835904:24837923:1 gene:ORUFI08G24980 transcript:ORUFI08G24980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPTPSTAASFRPPLPPPPPCFDYREAMLGHTRAAAVTAADPALAALVESGALVRVPRRRFGPVPAWRPPEFVEPEDVWILGTSHLSEESVADVERVLRAVRPDNVVPLLKSNMFSLGGSKFFGAVNRSINLGGQTALALRLLLAVFSSKISSGANRPFGEEFRAARKVSEDLGAQLVLGDRPIEITLERAWKSLSWDEKTKLVVSLFRGITSTTDTSQDEKAAGSPYELYEKLSISYPSLLQPLIHERDMFLAWSLKRSKAVNKSKTVVGIIGKGHMNGVVYALISDQGDLRFRDLVGRASSDTWASSLIKGLVRDTIIGIVLWGLYEQLHAVF >ORUFI08G24990.1 pep chromosome:OR_W1943:8:24839401:24840243:-1 gene:ORUFI08G24990 transcript:ORUFI08G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKLVFFFLLLLGFGNAEEELDTETCDVAKLSAEIGTYCEFDQGRMYPGRCCDSIIDAADGHDGGGTQCICRVWMEDAVRKTGITFRELLHQYIDCGGLQPSLPHLADSACSAAPEMVGTLPGPGKISGADTTNVGVIFSAMRPAIIVNKMLAST >ORUFI08G25000.1 pep chromosome:OR_W1943:8:24851949:24853565:-1 gene:ORUFI08G25000 transcript:ORUFI08G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHCSSKVVAVAQCHQPLLPPPEACPALHDRPRSARGGGGAIAEVASIVRLAMPMVGAGLLMYMRSLVSMLFLGRLGRLPLAGGSLALGFANITGYSVLSGLAAGMDPVCGQAFGAGRTSVLAAALRRTVVLLLAASVPIAALWLAMHRVLVAAGQDPDIAACAYEFILCSLPDLAVQSFLHPLRVYLRAQSITLPLTYAAAAALALHVPVNVLLVHGLGLGIRGVALAAVWTNLNFLLFLVAYAYFSGLIRGDDDDDGGNGKAGEEGATTTTTTTTMEWGWLVKLSVHSCMSVCLEWWWYEIMVLLCGVLADPKAAVAAMGILIQTTSLLYIFPHSLSCAVSTRVGHELGAGRPERARLAARVGLACGAALGVVACAFAASLRGVWARMFTADATILRLASSALPILGAAELGNCPQTAGCGVLRGSARPGRAARINVSAFYGVGMPAALALAFWPARLDFRGMWAGMLAAQLVCAALMLLAVRRTDWDEQAARAREITGAVAGVVVGDGDVVVKGDHADAAKVKADSGLLVVTVLS >ORUFI08G25010.1 pep chromosome:OR_W1943:8:24859345:24859964:1 gene:ORUFI08G25010 transcript:ORUFI08G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAVGRDRGQEKEIIREAHNNKLATESLMDEQGLLQLQLHASCMVHDATGAILQASSISMIRNKIDQYTNDDDAGNARSYLVCMFVCSVLGLKKRKCAEMMHGYSWEVLPPFPIKSHLIFFLS >ORUFI08G25020.1 pep chromosome:OR_W1943:8:24864386:24865413:-1 gene:ORUFI08G25020 transcript:ORUFI08G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGKDQKFPAMKKETAAVAKEEVWEVRPGGMLVQKRSPESEPPPGGAPVPTIRVKVKYNGVYHEIYINSQASFGELKKLLSEKTGLHPDDQKVVYRDKERDSKAFLDIAGVKDRSKMLLLEDPTAQAKRLLEERRHCKAERAAKSVSRVALDVDKLASKVSALEAIVSKGGRVVDADVVALTEALMNELVKLDSIAADGEVKEQRRVQEKRVQKYVEALDAIRAKTKKAAAAPPKARPPHLPPRPPPAQQQQRRQFQPPAPATATAPAPQTATASWESFDLLSSVPSTSSAPVTTMAPATTTTTSPSPRFEWELF >ORUFI08G25030.1 pep chromosome:OR_W1943:8:24867069:24869855:1 gene:ORUFI08G25030 transcript:ORUFI08G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTEANKKSALFGEQKQNMAKQRHPCMGELPQQQSNSSDSESCTIFFLGQGRPPTAGAHVEHGDASTSARTHVLHHKDDVLCFARGSRVFSTEMGDQSDVPGSCLMDRNNKIQWPPHMENFFPGKDEPSRNSDRWSVDDAEKMPKVVGFFCRDACVLACLEKQIDAWHNASNSHLFCQLNAHCILYIDQKYSIVFRSVRYYTTTQRQRVWNKSSKIWNKSTLTP >ORUFI08G25040.1 pep chromosome:OR_W1943:8:24870988:24872498:1 gene:ORUFI08G25040 transcript:ORUFI08G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSPGAPKLRPPPIKPAAPHRHRQAQQSSSSKGKVIFAASSEEAQEQGRYGGEQGERGGGGVRARAGAAGRGRGGAGRRRGGVRAAAEQAAGVPRVRGARRR >ORUFI08G25050.1 pep chromosome:OR_W1943:8:24872385:24877682:-1 gene:ORUFI08G25050 transcript:ORUFI08G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPTQDAIDTFVGITGADEAVAARKLEEHHGDLNEAVNAYFNEGDRTSTRANENPIPTGYDDMELDEPFGPVPTFHMPLGNPFSLLDQGFLERAAAVYGEGPHITHPREVRRIDVEVKDNNTPAGSSGHGPVIEDVTGHEFSHGPEIRGTVLIDEDDDDDDNLPSAQDTRLPSNPSTSNYSVPRAPPIANVSDYNNDIEEEMVRAAIEASKRDADGLTNGLRSGERENASRGRDDDEIARAVSMSLETAEQERVLRQVGAHVSDHSDLSDKEDIEGATGTVERQVPTSGKVGTSDQLVDEENFQDDDVEEHSFVRQHSRRVPSGNDESTEALERANSHPSSLLPHNIENNQQFNGVFPSEVWGGISSEEHDEAVMLEAAMFGGIPGRAAYPFSLPYHQNSSRYPTVAHPPSPTLTAQRLLREQQDDEYLASLEADREKELKAEQEAELRRLEAAAEREAAIAKQKQEEEEKRRKQLEEEELESKLAAKQASLPKEPLPDDVGAVTVVVRMPDGRRQGRRFMKSDNLQVLFDFIDISRTFKPGTYRLVRSYPRRAFTDSESQMSLSDLGLNSKQEALFLEQISG >ORUFI08G25060.1 pep chromosome:OR_W1943:8:24877639:24878886:1 gene:ORUFI08G25060 transcript:ORUFI08G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKVSIASCVGLTMAAGGGEGGCTAAALALRLRLRGDATARRRERNPRREEEEESRSHEAVCI >ORUFI08G25070.1 pep chromosome:OR_W1943:8:24879352:24879558:-1 gene:ORUFI08G25070 transcript:ORUFI08G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQELSASGSEGYELTTARSTSLVALNMAGAHHHFPHPREKDELGSNFFMSLSSSSSLLAPPPSSSA >ORUFI08G25080.1 pep chromosome:OR_W1943:8:24880142:24880648:-1 gene:ORUFI08G25080 transcript:ORUFI08G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGGAGLGVGGGGGRGGMRATATAVALIWPRDGLERGGAAVGGASAVGGASTAVSVGEKGGDHRWRGAGGQRVDGMGALCGEVGVWRRKIGDSRRSGGAAGGGAAVGRGAAVGGRGARGGCASGGGGWRLKGWWHRDVRSKRGGVEGNKPIFCPVTTRVRDLPPTV >ORUFI08G25090.1 pep chromosome:OR_W1943:8:24883662:24886227:-1 gene:ORUFI08G25090 transcript:ORUFI08G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSGEGKGAGGAGYQYAPYGGSYYDEERRWWPWLVPTVLVACIVVFLVEMFVNDCPRHGSPLRGESCVAGFLHQFAFQPLRENPLLGPSSATLEKMGALDWAKVVHQHQSWRLISCIWLHAGLIHLIVNMLSLLFIGLRLEQQFGFVRIGIIYLLSGFGGSVLSVLFLRNNYISVGASGALFGLLGSMLSELIMNWTIYSNKAAAIITLLFIIAINLAIGILPHADNFAHIGGFVTGFLLGFVLLARPQFGWMERHELPQTNQPRKYRAYQYVLWAVALFLLLVCDCPGDAFQGEEWKRWLSLVPLPELHTNIQMEVQYLDASEFR >ORUFI08G25090.2 pep chromosome:OR_W1943:8:24883662:24886227:-1 gene:ORUFI08G25090 transcript:ORUFI08G25090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSGEGKGAGGAGYQYAPYGGSYYDEERRWWPWLVPTVLVACIVVFLVEMFVNDCPRHGSPLRGESCVAGFLHQFAFQPLRENPLLGPSSATLEKMGALDWAKVVHQHQSWRLISCIWLHAGLIHLIVNMLSLLFIGLRLEQQFGFVRIGIIYLLSGFGGSVLSVLFLRNNYISVGASGALFGLLGSMLSELIMNWTIYSNKVSRTETAAAIITLLFIIAINLAIGILPHADNFAHIGGFVTGFLLGFVLLARPQFGWMERHELPQTNQPRKYRAYQYVLWAVALFLLLVCDCPGDAFQGEEWKRWLSLVPLPELHTNIQMEVQYLDASEFR >ORUFI08G25100.1 pep chromosome:OR_W1943:8:24888608:24897727:-1 gene:ORUFI08G25100 transcript:ORUFI08G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSRLAVKESCLPMTMPMPETFAQYNNPLRSTRAYGQLCRKQPDTTVYPGQICAAAGGGGGMADQTAAAVVVVGGAAATMGEPSPPPPAPAAEAAGVGVGQQQRTVPTPFLTKTYQLVDDPAVDDVISWNDDGSTFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGERRLLCEIHRRKVTPPAPAATTAAVAAAIPMALPVTTTRDGSPVLSGEEQVISSSSSPEPPLVLPQAPSGSGSGGVASGDVGDENERLRRENAQLARELSQMRKLCNNILLLMSKYASTQQLDAANASSAAGNNNNNNCSGESAEAATPLPLPAVLDLMPSCPGAASAAAPVSDNEEGMMSAKLFGVSIGRKRMRHDGGGDDDHAATVKAEPMDGRPHGKDEQSAETQAWPIYRPRPVYQPIRACNGYEYDRAGSDQDECGAKPSNQDKKDVSTVSFNPLSRILGVH >ORUFI08G25110.1 pep chromosome:OR_W1943:8:24900917:24903360:-1 gene:ORUFI08G25110 transcript:ORUFI08G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSHRVERSEMKPGDHIYTWRAAYTYSHHGIYVGGSKVVHFTRKKEAGTAGLDSAIAISSLLSQGSPECPTFPDCGFQLTDSGVVLTCVDCFLRGGSLHGFEYGVPPAVFLAKLRGGTCTTAAADPPDAVVRRAMHLLQNGFGSYDVFENNCEDFALYCKTGLLPADEPGSIGRSGQASSAIGVPLAALLSTPFKLLAAGPLGMAAVTAGMYCAGRYITDIGVRKDVVKVEVENLSAHLGWRRAKAEEEMAMKKQQPSSHDTKVKKSLLPLKRKRDNFCEIITS >ORUFI08G25120.1 pep chromosome:OR_W1943:8:24905250:24910124:1 gene:ORUFI08G25120 transcript:ORUFI08G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAATVATAGQAAAGMPPQAAMAQQAAAAPPLKRARPDYGDVPAGQDMTGYYPRETDRTGYHALRENEAIGASYDRYLRNGMPSVAATETNRPVVGGMGGMGGMGGYPVDDRRMIGVGMDSRDIFRPFVGFREVRLVNKESRHPGGDPHVLCFVDFDNPAQATLALEALQGYKFDEHDRDSAHLRLQFSRFPGPRSAGGPRGRR >ORUFI08G25130.1 pep chromosome:OR_W1943:8:24910793:24913812:1 gene:ORUFI08G25130 transcript:ORUFI08G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTPLTPEITLEQALANKGKEKNIKMAFSLHFPRHHGAGEPCPHRERGGANGERDPAVHRDLDGIKWSTSPHPLVPFPFVPPSPAAAAAAAVAVDASMSASAAVSSTCAAASSTTSRRSLSSPASRVQATPRRSLPSRLVASRTSPRSPVVPPVYATASPGGAGGTTAAAARKKLLIFDAEEYLAESLAKYTARLSGEAVAERGAFTVALSGGSLIKALRKLTESPYLEAVEWSKWHVFWVDERVVPKDHADSNYKLAMDGLLSKVPIPASQIYAINDTLSAEGAADEYETCLKQLVNDGVVAISEVTGFPKLDLMLLGMGPDGHVASLFPGHPVVNENLKWVSYIKDSPKPPPERITFTFPLVNSSAHIALVVTGAGKAGAVHKAFSDKQSSSDLLPVEMVSQQEGVLTWFTDKPAVSMLSSI >ORUFI08G25140.1 pep chromosome:OR_W1943:8:24916657:24921750:1 gene:ORUFI08G25140 transcript:ORUFI08G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARRGGAERAREASRPCRSGEVELNLRGLEGKENCHFLVGIGTIYFHGKLRDGGRKFLKKVRGGGVEPEIRAKVWPFLLGVYDLNSTEAERNVIQTNKRNDYEKLRRKCHHVLHSYKGSGLNVINEAAACEYHSCNEESEPLNLESVNTRSSPSPKGLKSLRCGISRQENATEGIDEDTSELTYVDPYLAESESSDSGTSDEEDLDRMSVSANTEVNRDQGPKFVRSASSKSGFFRNNKTPEDFATWQRIIRLDAIRTDPEWALLSHNRAEVSKEKALQYARSVGLKDYDHLEPYMIYHAARLVAVLEAYALFDPEIGYCQGMSDLLSPIIVVMEEDHEAFWCFVGFMRKARHNFRLDEVGIRRQLKIVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTLCLWEVMWADQAAIRAGIGRSTWSKIRLHAPPTDDLLLYAIAACVLQKRKLIIERYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNLHDKI >ORUFI08G25150.1 pep chromosome:OR_W1943:8:24928570:24930328:-1 gene:ORUFI08G25150 transcript:ORUFI08G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSSPSTQDCGGWLLYVSLAAKCGGDPCRVVGFVAVAVVAFAVTSLLHWLSPGGPAWGRYWWNRRGGLGIAAAIPGPRGLPVLGSMSLMAGLAHRKLAAAAGGSPARRRLMALSLGETRVVVTADPGVARELLASAAFADRPVKESAYGMLFHRAIGFAPYGTYWRALRRVASTHLFSPRQVSASAAQRAVIARQMVEAMRSAAAAAAGGGVAARPFLKRASLHNVMWSVFGRKYELAAPESEETAELRSMVDEGYDLLGQLNWSDHLPWLAPFDLQKTRSRCSSLVPRVNRFVTRIIDEHRARLSLAVDAAVDFTDVLLSLHGGDKLSDADMVAVLWEMIFRGTDTVAVLIEWVAARLVLHQDVQARVHDELDRVVGSDRAVTESDASKLVYLQAVIKEVLRLHPPGPLLSWARLATSDVHVGGFLIPSGTTAMVNMWAITHDPAVWPDPNEFKPERFVAGPSSDQATEFPIMGSDLRLAPFGSGRRSCPGKSLAIATVGFWVATLLHEFDWLPLSDKSRGVDLSEVLKLSCEMATPLEARLRPRRKV >ORUFI08G25160.1 pep chromosome:OR_W1943:8:24943067:24950423:-1 gene:ORUFI08G25160 transcript:ORUFI08G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEEEAAAAWDKAEAKEERIMVSVRLRPLNGREAGDSCDWECISPTTVMFRSTVPERAMFPTAYTYDRVFGPDSSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVLDIYDYIEKHPEREFILRFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLTEETLRDKDHLRNLLAVCEAQRQIGETALNETSSRSHQILRLTIESSTRQYLGRGNSSTLVACVNFVDLAGSERASQTASAGVRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPARSHIEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELERLQSEIKFPAPASCTTHAEALREKDAQIKKLEKQLKELMEERDTVKSQLDCLLKSDCDDHSDGRVAKRWDEHSRSSESFARNASEEAFSVSDTSGVPYQDQDNAVFNGSYVFSDDRDDIVFPVQTVDLPEETKHEKFMSPWHPPSHHSSSDCIESYHMTEAASRTASEVSEEHCREVQCIDIHEHRRSTSHKFDLLLPQDTEFQTPELEISKEAVPQPDEDQELESITNRMEDPTRMCPVEEEQQDEIVDTCESNGTTDNDVKLYTCDSNISFDIQKPYPNGCLTVKRCILSSKDRALSRSKSCRASFMIIPNSWFDDSEYTSQTPPNEILKHTPRRFDKVRRSLYPENDNPSSVDRSEFSGEVSSDEVVKDMSTIDEVAKDMCPSDAEQETLTSDISCLTKLKKTDSDHEDELDEYQDQQSIRDGSTTLRTVKDVGIDSSLSASPSRWPIDFEKMRQEIIQLWHECNAPIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIRRSFSASPAGGELNSAVVSSLKNLRRERDMLYKQMLKKLTNGEKERVYARWGIDLSSKQRRLQLSRLVWTQTDMEHIRESASLVAKLIELLEPAQALKEMFGLNFTLAPRSERRSFGLLGT >ORUFI08G25160.2 pep chromosome:OR_W1943:8:24943065:24950420:-1 gene:ORUFI08G25160 transcript:ORUFI08G25160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEEEAAAAWDKAEAKEERIMVSVRLRPLNGREAGDSCDWECISPTTVMFRSTVPERAMFPTAYTYDRVFGPDSSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVLDIYDYIEKHPEREFILRFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLTEETLRDKDHLRNLLAVCEAQRQIGETALNETSSRSHQILRLTIESSTRQYLGRGNSSTLVACVNFVDLAGSERASQTASAGVRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPARSHIEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELERLQSEIKFPAPASCTTHAEALREKDAQIKKLEKQLKELMEERDTVKSQLDCLLKSDCDDHSDGRVAKRWDEHSRSSESFARNASEEAFSVSDTSGVPYQDQDNAVFNGSYVFSDDRDDIVFPVQTVDLPEETKHEKFMSPWHPPSHHSSSDCIESYHMTEAASRTASEVSEEHCREVQCIDIHEHRRSTSHKFDLLLPQDTEFQTPELEISKEAVPQPDEDQELESITNRMEDPTRMCPVEEEQQDEIVDTCESNGTTDNDVKLYTCDSNISFDIQKPYPNGCLTVKRCILSSKDRALSRSKSCRASFMIIPNSWFDDSEYTSQTPPNEILKHTPRRFDKVRRSLYPENDNPSSVDRSEFSGEVSSDEVVKDMSTIDEVAKDMCPSDAEQETLTSDISCLTKLKKTDSDHEDELDEYQDQQSIRDGSTTLRTVKDVGIDSSLSASPSRWPIDFEKMRQEIIQLWHECNAPIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIRRSFSASPAGGELNSAVVSSLKNLRRERDMLYKQMLKKLTNGEKERVYARWGIDLSSKQRRLQLSRLVWTQTDMEHIRESASLVAKLIELLEPAQALKEMFGLNFTLAPRSERRSFGLLGT >ORUFI08G25160.3 pep chromosome:OR_W1943:8:24943067:24949726:-1 gene:ORUFI08G25160 transcript:ORUFI08G25160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLISWVDWGECRWGGDMGAAEEEAAAAWDKAEAKEERIMVSVRLRPLNGREAGDSCDWECISPTTVMFRSTVPERAMFPTAYTYDRVFGPDSSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVLDIYDYIEKHPEREFILRFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLTEETLRDKDHLRNLLAVCEAQRQIGETALNETSSRSHQILRLTIESSTRQYLGRGNSSTLVACVNFVDLAGSERASQTASAGVRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPARSHIEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELERLQSEIKFPAPASCTTHAEALREKDAQIKKLEKQLKELMEERDTVKSQLDCLLKSDCDDHSDGRVAKRWDEHSRSSESFARNASEEAFSVSDTSGVPYQDQDNAVFNGSYVFSDDRDDIVFPVQTVDLPEETKHEKFMSPWHPPSHHSSSDCIESYHMTEAASRTASEVSEEHCREVQCIDIHEHRRSTSHKFDLLLPQDTEFQTPELEISKEAVPQPDEDQELESITNRMEDPTRMCPVEEEQQDEIVDTCESNGTTDNDVKLYTCDSNISFDIQKPYPNGCLTVKRCILSSKDRALSRSKSCRASFMIIPNSWFDDSEYTSQTPPNEILKHTPRRFDKVRRSLYPENDNPSSVDRSEFSGEVSSDEVVKDMSTIDEVAKDMCPSDAEQETLTSDISCLTKLKKTDSDHEDELDEYQDQQSIRDGSTTLRTVKDVGIDSSLSASPSRWPIDFEKMRQEIIQLWHECNAPIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIRRSFSASPAGGELNSAVVSSLKNLRRERDMLYKQMLKKLTNGEKERVYARWGIDLSSKQRRLQLSRLVWTQTDMEHIRESASLVAKLIELLEPAQALKEMFGLNFTLAPRSERRSFGLLGT >ORUFI08G25170.1 pep chromosome:OR_W1943:8:24966187:24967183:-1 gene:ORUFI08G25170 transcript:ORUFI08G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGGGGGRHGRDDHHRPPVNPTDSAAAFLYPSTASRGGFQLWQQQPPPAAHPFYAQNIIRFADDPAAPPSSRGGRGGGPGGSGGGGTISCQDCGNQAKKDCTHLRCRTCCKSRGFDCATHVKSTWVPAAKRRERQNLLASAAESSKRPRDSAAAATSTTPTTSSGEQQQMMVGERFPREVSSEAVFRCVRLGPVEEADAEVAYQTTVSIGGHVFKGILHDVGPEHSSGGGGGMGGRHAAAGEAGSSPSTAAAPHGGGEGGSSGVAAAAAAVSSSAVVMDPYPTPGPFGGAHFFHGHPR >ORUFI08G25180.1 pep chromosome:OR_W1943:8:24968158:24968487:-1 gene:ORUFI08G25180 transcript:ORUFI08G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATREIPHVRGQTQFVATQRVPAWFPFPTALAAARHRHAPTDLTLMMERADPVGALPLPPPLSYRFPLPLTCLEGVDVSAAAFAASPAAFLVYPGEDEEREGGRKEKA >ORUFI08G25190.1 pep chromosome:OR_W1943:8:24981781:24982773:-1 gene:ORUFI08G25190 transcript:ORUFI08G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVTAAAAPSTDKSNNLFMQIVVHPDGTITRPFVPDAPPSATGPVLSRDVPLDASLATSLRLYLPNPASPPPPPTSKLPVILYFHGGGFVLFSTGSVFYHASCEAMAAAVPAIVVSLDYRLAPEHRLPAAYDDAASAVLWLRDAAAGDPWIAAHGDLSRCFVMGSSSGGNMALNAGVRACRGLDLGPAAVRGLVLHQPYLGGVARTPSEEKSGDDAVLPLEANDKLWSLALPAGADQDHEFSNPAKSMAAAAAALTGLPRCLVTGSDGDPLIDRQRELVAWLRGHGVEVVAKTDFAGSHAAELFVKETADELFAAVCAFVSGAGDVVHS >ORUFI08G25200.1 pep chromosome:OR_W1943:8:24987247:24988883:-1 gene:ORUFI08G25200 transcript:ORUFI08G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTSTPAMLLYAALFAAALLYLAVAVRRGRGAGLPPGPTGLPLVGSLLSLDPELHTYFAGLAARYGPIFSIRLGSKLGVVVTSPALAREVLRDHDLVFSNRDTPDAACSISYGGGQNIVWNPVGPTWRLLRRICVHEMIGPAGLDSLHGLRRREFMATLHHLRARSGEPVNVGAQMFLTVMNVVTGALWGGNVGSESERTTVGKEFRELVADITELLGAPNVSDFFPALAPLDIQGIRNKSDLLKDRFDDIFARIIQKRTESDHAAAAGETASDFLEYMLKLEKEGGDGKTAFTMTNVKALLMDMVIGGTETTSNTVEWGMAEMLQNRGTLRKVREELDAVVGRDGVVEESHLPKLHYLNLVVKETLRLHPALPLMVPHCPGEDATVGGHRVPAGARVFVNVWAIQRDPAVWKDPEHFIPERFLPADGGGGRRLDFTGSEQEYMPFGSGRRICAGVAMAERMVAYSLAMLVQAFDWELPAGERLDLAERFGIVMKKATPLVAVPTPRLSNPQLYSA >ORUFI08G25210.1 pep chromosome:OR_W1943:8:24994484:24996908:-1 gene:ORUFI08G25210 transcript:ORUFI08G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKKKVKRGPWTAEEDKKLISFILTHGRCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLTADEEQLVVDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPATHQPLPNTKVVSQTRTSTLSTATTESAKSNGMAYPFDPEGGCSRDMSVPTDSMEQSSRNTSSHGLDPLVNWLLEAELPADEPWLNFTSSNEDDFSGIVKQSAWDGSTTDWLLDYQDFSMDDSSLIDGARVQNSDGLNF >ORUFI08G25220.1 pep chromosome:OR_W1943:8:25000175:25002280:1 gene:ORUFI08G25220 transcript:ORUFI08G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILCAFLDSVSSYIVADAELHEHTEVLVFQDIIIRQKYQKKGLDCTKPTPSWVLAATKLYDFAYLLMSLQRTTTEGCKCERRHMPLNLAYIKVVLPPPKSTCIDRFISWGDKTRATHSDIATMCVSKTSKYTHQDRGKIGKLGHGSQRGDVYRRLESEASKSAVESLVGNGRNGRTLTERRRGLRRGGGDGDLAPFPFPHHRRRKGRAPSEGRAYGPRLEGDAIDEGATQHILFSFLVCSTVIIVSSFCASVALVTAVDVGDGHFSTITSPRTKNDSLCIASADAPFSLPVVISTGIVNTYRTRTTTVSPHTGMALLIVTSESDVATAVGVDIIGAKPPQLAGESNRLCTTKSPNNGLRMSEKDMVSAVLTSIITVMAKVAVPKIKRTRRDNRQG >ORUFI08G25230.1 pep chromosome:OR_W1943:8:25004485:25008868:1 gene:ORUFI08G25230 transcript:ORUFI08G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRRPVAVVLSWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVAAEAAHALGLGVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTILDTATLAATLFVIYMIRFKLRSTYMLDKDNFALYYVVLPCAGLALLVHPSTSHNIINRISWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >ORUFI08G25240.1 pep chromosome:OR_W1943:8:25009468:25012265:1 gene:ORUFI08G25240 transcript:ORUFI08G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKDTTAAAADQNPTPNPPTAAAPPDDSAAAAAAGRRPFTSLTQEEADLALARVLQEQERAYMMLSAHHGGDYAASDGGSYEFDEEGEGSDFEDEDGDGDGDGEALDEDEEVADADADAAGDPAELDPARYEDDEAFARALQDAEEREVAGRLMALAGLSDWRVMDHDDDDVDDDDDEDDDDDDDDEDEDGDDPQDAWEDVDPDEYSYEELVALGEVVGTESRGLSADTLASLPSITYRAQDKQDGNMEQCVICRVEFEEGESLVALPCKHSYHSECINQWLQLNKVCPMCSAEVPTSQDTRA >ORUFI08G25250.1 pep chromosome:OR_W1943:8:25015081:25018400:1 gene:ORUFI08G25250 transcript:ORUFI08G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAPSVGIAFAPKPPPAAAVGSYRARKVRCAVAVAPAPAPAGTLYDVLGLRAGATVREIKAAYRRLARERHPDVAASAGADDFVRLHDAYATLSDPDSRARYDRDVVAVASMARGAHHRTMAAPPAPARAALVRPPPTPHLGDRPVLRNLEAIIGKMPPPTWAADEEGVTQIYTDSSGRSRTRRGGSQERR >ORUFI08G25260.1 pep chromosome:OR_W1943:8:25018710:25020650:1 gene:ORUFI08G25260 transcript:ORUFI08G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKAILARPIQLAEQVIKWAEEAQTCRQECLDLKAKVERLASLLRQAARADLYERPARRILDDTGKALDKAAALLDRCRGHGLIRRVFTIIPAGSFKKTSNQLDNSLGDLSWILRVSNYSNADDLDDDHIGLPPIAQNEPILFLIWEQIAVLYTGNPEARADAAASIVSLARDNDRYGRLIIEEDGVPPLLRLIKEGSSEGQETAALAIGLLGRDPECVELMVLAGVCTAFAKILKDAPMKVQGMVAWAVSELATNHPKCQDAFLQSNVIRLLVSHLAFETVQEHSKYAVASKMSIHTVLMDKKNNGSTSSSHHHDALDAVDHAAATTTTTTAMAAKPTGGGAASSSGAGAGSAGTGTTSSSSVSVGGTVAGTKQHNASLSGTSTKAREFEDPETKAYLKANAAKALWQLAMGNAAVCKNITESRALLCLSVLLEKGVDDVRYNSAMALMEICLVAEQNADLRRSAFKPTSPAARAVVDQLLRVVHKADYDELLIPCIISLGCLSRTFRATETRIIGPLVNLLDEREADVSREAAVALTKFACTENYLHVDHSKAIIHHGGAKHLVQLVYFAEQAVQIAALLLVCYIAHNVPDNEELAQAEILTLLEWASKQAAMVQDPLIENLLLEAKIRMELYQSRGAKGYY >ORUFI08G25270.1 pep chromosome:OR_W1943:8:25026597:25029645:1 gene:ORUFI08G25270 transcript:ORUFI08G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRMLLMLMQLLGSPTSLAVLLLSFFQGSVGVGAITFTFTNRCTDTVWPGVLSGSGTPPLETTGFALSPGGSRSLYAPSGWSGRFWARSGCEFDDSGKGSCATGDCGSGQVECRGAGASPPATLAEFTLNGADGKDFYDVSLVDGYNLPMLVQASAPDCPDTGCLVDLNERCPSELRADDGRACRSACEAFGRPEYCCNGAYGNPDTCHPSQYSQLFKSACPKSYSYAYDDATSTFTCNHTDYTITFCPRSTPSSTLRFWAKIGDFDVEHELGKVKWEFKERITETEPRAARRCGVAGELEGQQWRGDGCNCGIMAGILSFPICLGNCSGDPACPAGASRIIQLVASEKDS >ORUFI08G25270.2 pep chromosome:OR_W1943:8:25026597:25029646:1 gene:ORUFI08G25270 transcript:ORUFI08G25270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRMLLMLMQLLGSPTSLAVLLLSFFQGSVGVGAITFTFTNRCTDTVWPGVLSGSGTPPLETTGFALSPGGSRSLYAPSGWSGRFWARSGCEFDDSGKGSCATGDCGSGQVECRGAGASPPATLAEFTLNGADGKDFYDVSLVDGYNLPMLVQASAPDCPDTGCLVDLNERCPSELRADDGRACRSACEAFGRPEYCCNGAYGNPDTCHPSQYSQLFKSACPKSYSYAYDDATSTFTCNHTDYTITFCPRSTPSSTLRFWAKIGDFDVEHELGKVKWEFKERITETEPRAARRCGVAGELEGQQWRGDGCNCGIMAGILSFPICLGNCSGDPACPAGASRIIQLVASEKDS >ORUFI08G25280.1 pep chromosome:OR_W1943:8:25031139:25032167:1 gene:ORUFI08G25280 transcript:ORUFI08G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSEQCDVISLPEDQVFEMLTRVSLDDLAACRQVSTRWRRLTYEPAFAPLHCRRADAVSGYLVQTVARNRYHATFVSSMHPSPPPADLVSLDFLPSPHVRVEAVSPHRGLVCCVDADADAATPRKLASSYYVCKPATRQWRALPNPRLRYRTAATAMLARPGGGGGGAADFKIVRFSVPTLRNCLRCEVFDSRGMEWRRSADVAVWPESLVEAAPAVRAHGAMHWLRWPDRLSGGAEDIFAFDVKTETWRLIGLPPEATTEKRWARKKVAAVEGKLCLVVVVDEEVEVWVLAGYRQERWEKKMTASLTRLAMEEGNSFILRDLYASDVAFFNSVYRVLW >ORUFI08G25290.1 pep chromosome:OR_W1943:8:25034090:25037888:1 gene:ORUFI08G25290 transcript:ORUFI08G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYDPAHGPMGVRFVFVFVVVVFFSCKKEKAEQEQQREKAEAAAQPTRKSKARAGKPRRRRHHHHQQAGMARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLGVEKKSTPKLQDSRSMRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTEKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKDTSGKETIKLAIRALLEVVESGGKNIEIAVMTHKDGLRELEEAEIDEYVAEIEAEKAAAEAAKKGAPKET >ORUFI08G25300.1 pep chromosome:OR_W1943:8:25048835:25049653:-1 gene:ORUFI08G25300 transcript:ORUFI08G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEHTNKGAWTKEEDERLVAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTADEDDLIIKLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIRRKLLGRGIDPVTHRPVNAAAATISFHPQPPPTTKEEQLILSKPPKCPDLNLDLCISPPSCQEEDDDYEAKPAMIVRAPELQRRRGGLCFGCSLGLQKECKCSGGGAGAGAGNNFLGLRAGMLDFRSLPMK >ORUFI08G25310.1 pep chromosome:OR_W1943:8:25056438:25060062:-1 gene:ORUFI08G25310 transcript:ORUFI08G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVDAEYLRQVDRARRHLRALISSKGCAPIMLRLAWHDAGTYDVNTKTGGANGSIRYEEEYTHGSNAGLKIAIDLLEPIKAKSPKITYADLYQLAGVVAVEVTGGPTVEFIPGRRDSSVCPREGRLPDAKKGALHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFEGAWTQEPLKFDNSYFLELLKGESEGLLKLPTDKALLEDPSFRRYVDLYARDEDTFFKDYAESHKKLSELGFTPRSSGPASTKSDLSTGAVLAQSAVGVAVAAAVVIVSYLYEASKKSK >ORUFI08G25320.1 pep chromosome:OR_W1943:8:25061097:25066506:1 gene:ORUFI08G25320 transcript:ORUFI08G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVLAVVAAAVAALAAAASGYELTKNGTVITYDRRSLIIDGHREIFFSGSIHYPRSPPDTWPDLISKAKEGGLNVIESYVFWNGHEPEQGVYNFEGRYDLIKFFKLIQEKEMYAIVRIGPFVQAEWNHGGLPYWLREIPDIIFRTNNEPFKKYMKQFVTLIVNKLKEAKLFASQGGPIILAQIENEYQHLEVAFKEAGTKYINWAAKMAIATNTGVPWIMCKQTKAPGEVIPTCNGRHCGDTWPGPADKKKPLLWTENWTAQYRVFGDPPSQRSAEDIAFSVARFFSVGGTMANYYMVVLNSNSNLFLTKKRDEISDRTDTGGFTCVNNQQYHGGTNFGRNGAAFVMPRYYDEAPLDEFGLYKEPKWGHLRDLHHALRHCKKALLWGNPSVQPLGKLYEARVFEMKEKNVCVAFLSNHNTKEDGTVTFRGQKYFVARRSISILADCKTVVFSTQHVNSQHNQRTFHFADQTVQDNVWEMYSEEKIPRYSKTSIRTQRPLEQYNQTKDKTDYLWYTTSFRLETDDLPYRKEVKPVLEVSSHGHAIVAFVNDAFVGCGHGTKINKAFTMEKAMDLKVGVNHVAILSSTLGLMDSGSYLEHRMAGVYTVTIQGLNTGTLDLTTNGWGHVVGLDGERRRVHSEQGMGAVAWKPGKDNQPLTWYRRRFDPPSGTDPVVIDLTPMGKGFLFVNGEGLGRYWVSYHHALGKPSQYLYHVPRSLLRPKGNTLMFFEEEGGKPDAIMILTVKRDNICTFMTEKNPAHVRWSWESKDSQPKAVAGAGAGAGGLKPTAVLSCPTKKTIQSVVFASYGNPLGICGNYTVGSCHAPRTKEVVEKACVGRKTCSLVVSSEVYGGDVHCPGTTGTLAVQAKCSKRPPRSAATAQ >ORUFI08G25330.1 pep chromosome:OR_W1943:8:25066966:25069401:-1 gene:ORUFI08G25330 transcript:ORUFI08G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAGSALSFATPVKAVKTNSISFTSARKGNAFLRLQPVPMRFAVCCAAKKETVEKVCDIVKKQLAVPEGTEVSGASKFSDLGADSLDTVEIVMGLEEEFHISVEESSAQSIATVEDAAALIDKLVEQKSAEAKSS >ORUFI08G25340.1 pep chromosome:OR_W1943:8:25070668:25072211:1 gene:ORUFI08G25340 transcript:ORUFI08G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARRLPASTRPITHCSTTFSPSSISLEFHIPDDWICEKDEVVEHQEGMAEILRHTKKAPATKEVLLSFPPSPEKSGIVVDGSFIFVFECRKLTSDGPAFHFLLKFTLQKQMEGKFAETIPLVTNCISGTHIFGFRALL >ORUFI08G25350.1 pep chromosome:OR_W1943:8:25076448:25077173:-1 gene:ORUFI08G25350 transcript:ORUFI08G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAKWVLPNPKQLNEDTLSHSGGRRGRAGRGGRGSGQRASRGSRDEEDRRRCRSFVGEGDEESRLLHYGSVASAADPSTPPLAALSLLWVRLGAVPVPVVATKLLSPSSSSASGRDSTSAKGWNRNTSWGTDVHRSPSPPPPPPNASLGCVAWLKSGKRRVHQPGDALHRREQTRTEKRTPIGEETT >ORUFI08G25360.1 pep chromosome:OR_W1943:8:25077730:25078230:1 gene:ORUFI08G25360 transcript:ORUFI08G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAGTGSGGDDDELVLPPASFQDGLPSSRSYPSCIGGGSAAAASASLERELLYRAELHQQQLGGGGGVERRKRRAMKNRESAERSRARKQAYLQELEQEVRLLRAENAALRHQCHQTLCAHRSELTMAKAAAAAAAAAAAAKKPTSSATF >ORUFI08G25370.1 pep chromosome:OR_W1943:8:25080597:25082404:1 gene:ORUFI08G25370 transcript:ORUFI08G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRQWEAAGRTTAAAPGYRAWSWDALPYGCIHNSLMPSPPATACYRRGDDVAARRRWPRPLPRCHQNAATAARAPFRISNNSNAMGSCSCVAATAWGDDMQDKIETTSWRPRRV >ORUFI08G25380.1 pep chromosome:OR_W1943:8:25085113:25085298:1 gene:ORUFI08G25380 transcript:ORUFI08G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAGTGSGGDDDELVLPSASSRSYPSCMGGGVAGAGASCCTARSSSSGAAAQSGGRGAR >ORUFI08G25390.1 pep chromosome:OR_W1943:8:25091928:25092293:1 gene:ORUFI08G25390 transcript:ORUFI08G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEMKPAGAGGTTVGDGFFTEADLAAADQLVQLSVSGGGCEDDGYDSSSSTTLQSVNNAEASAAMDDDDDMGLDRRVRKRYRHLSELYAATLPVKENHGGGKRKKREEDMGKKKQPQPR >ORUFI08G25400.1 pep chromosome:OR_W1943:8:25094834:25095259:1 gene:ORUFI08G25400 transcript:ORUFI08G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLARRRKLPPPAAEAEAEADGESLVMSLSSSPKQQQRFTALELAAAEQLIHLSESSSSPRSSSFSFTSASASASSPRSVSNAPRRGGGLGEADDEDDEQEVGGRPRRNRRLRPIAEIYAATAPIGGGRKAKAKAAGVE >ORUFI08G25410.1 pep chromosome:OR_W1943:8:25097447:25100713:-1 gene:ORUFI08G25410 transcript:ORUFI08G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVQMSDSEPQAAPPAAPAASALHHLKEIASVIEAGSLSKEVRRISRAVRLTVALRRRLAARDVSAFLAFALPPSSEAYTRLTSLVPKEDDTEMDIDMAAPATQISIKHGLPEIEIYCYLLVLIFLIDQKKYDDAKACANASIARLKNLNRRTVDVLASRLYFYYSYVHELTNSLAEIRGNLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPITARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKEALTPYFELTNAVRIGDLELFRAVADKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSDNPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKAMRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >ORUFI08G25420.1 pep chromosome:OR_W1943:8:25102828:25109468:-1 gene:ORUFI08G25420 transcript:ORUFI08G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSGSAWDHSNNGGGGSPELREALLLGDGGSSPESREIKGIAVKKQDDLEEIRSVGELMRLAAEENRRLWYLAGPAIFTSLAQYSLGAVTQVFAGHLTTLELDAYGMGSALETLCGQAFGAKQHHMLGIYLQRSWVILTAMSVILLPIYLFATPILRFFHQDDEIAVLAGRFSLYMIPQLFAYALNFPIQKFLQAQSKVMAMAAVSAAVLLFHVALTWLLLVPLRMGLVGLAVALNVSWWLVVLGQLAYIVMGYCPGAWNGFDWLAFTDLLSFARLSLGSAIMICLEFWFYITNLFGWQIMVFFGFNAAISVRVSNELGAGRPRAARLAIAVVLVSSVAIGVAFFAAVLLLRDVYGAPFTGSPEVVRAVASLGVVFAFSLLLNSVQPVLSGVAVGAGWQWLVAYINLGCYYCVGIPVGYAIAFPLRRGVQGMWGGMLTGVGLQTAILVAITARTNWNKEASEAHARIQHWGGTAKLAVDDPI >ORUFI08G25430.1 pep chromosome:OR_W1943:8:25113439:25114609:-1 gene:ORUFI08G25430 transcript:ORUFI08G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAVHASFSSYSPPVVAGGRDDAGSSSSSRSMSRLPARVAGAVARGIVTFVFATVGTILGAITGGLIGLATESGMVRGTGIGAISGAVVAMEVVDSSVAMWCSHDSGIWSVLYVLDVIWSLLTGRLVREKVDPAVQNAVDSQMNAADAPFRESAPTLAEMFDTGIPGVAAAATGMPADAIAALPVTTFAAAAAGDDRAGCSVCLQDLEDGERARRLPECGHTFHLHCIDSWLLRHASCPLCRRTVVAAAVAVDDVVVG >ORUFI08G25440.1 pep chromosome:OR_W1943:8:25120171:25129385:-1 gene:ORUFI08G25440 transcript:ORUFI08G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVAVAGETMPRPGIGRTPSARGRGGQWPVAAAGVAGRWASSRLAAAAAAAAACPSQAAMCNCASPCGRRSRGSWGLLRRTGDDARSPWPWRMQMRGTRCLAGEGEACSLAALEPGPATCGPASLGTPGPGSPSGATVLFQNLSDQMRFSSLAQHVPVHKETEHHLPDQKMFCLPQCQEKCLDLSVQKAFQKKFVVGKFRCHKVVGVWQVNKVSKGELVPSKELTLTKPLFIDFKDFFQFSFLCVDIIIVVILHRKGQVAKQCPARRIKRMLLMTEPLFNGSPLQNILSQQERIPTLFIGMQALWTHQA >ORUFI08G25440.2 pep chromosome:OR_W1943:8:25120070:25127923:-1 gene:ORUFI08G25440 transcript:ORUFI08G25440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLNYDGPATCGPASLGTPGPGSPSGATVLFQNLSDQMRFSSLAQHVPVHKETEHHLPDQKMFCLPQCQEKCLDLSVQKAFQKKFVERPGGKTMPGSEDQTHAPHDGAIVQWKPSPEHPFPAGKDTHAVHRHASTLDTSGMIMDFSWYNFTTGAPAPVLSPAMTARAQVCWLKS >ORUFI08G25440.3 pep chromosome:OR_W1943:8:25120068:25127923:-1 gene:ORUFI08G25440 transcript:ORUFI08G25440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLNYDGPATCGPASLGTPGPGSPSGATVLFQNLSDQMRFSSLAQHVPVHKETEHHLPDQKMFCLPQCQEKCLDLSVQKAFQKKFVVGKFRCHKVVGVWQVNKVSKGELVPSKELTLTKPLFIDFKDFFQFSFLCVDIIIVVILHRKGQVAKQCPARRIKRMLLMTEPLFNGSPLQNILSQQERIPTLHASTLDTSGMIMDFSWYNFTTGAPAPVLSPAMTARAQVCWLKS >ORUFI08G25440.4 pep chromosome:OR_W1943:8:25120171:25127923:-1 gene:ORUFI08G25440 transcript:ORUFI08G25440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLNYDGPATCGPASLGTPGPGSPSGATVLFQNLSDQMRFSSLAQHVPVHKETEHHLPDQKMFCLPQCQEKCLDLSVQKAFQKKFVVGKFRCHKVVGVWQVNKVSKGELVPSKELTLTKPLFIDFKDFFQFSFLCVDIIIVVILHRKGQVAKQCPARRIKRMLLMTEPLFNGSPLQNILSQQERIPTLFIGMQALWTHQA >ORUFI08G25440.5 pep chromosome:OR_W1943:8:25120149:25129385:-1 gene:ORUFI08G25440 transcript:ORUFI08G25440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVAVAGETMPRPGIGRTPSARGRGGQWPVAAAGVAGRWASSRLAAAAAAAAACPSQAAMCNCASPCGRRSRGSWGLLRRTGDDARSPWPWRMQMRGTRCLAGACKHSGHIRHDHGFLMV >ORUFI08G25450.1 pep chromosome:OR_W1943:8:25120107:25126571:1 gene:ORUFI08G25450 transcript:ORUFI08G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSTGAGAPVVKLYHEKSMIMPDVSRVLACLYEKNIEFETVKDSYKDILRLQASRSVPVPFYDGPTFLQESRAICRYIAETYEQRGYPFLLGKDVLERASIEQWLRHEEHAFDPPSRALFCHLAFPVQDDDDDDINTEKRKLEEVLEVYEQRLGESEFLAGNKFTLADLVHLPNTHHLVTSEFAYLYDSRKNVQRWWDTISNRQSWKQVLKDMNRVEEEYQMELEQQEEQWQTELPQTSVGHTIRLDPRQTTSTESRTVLVPPPSAGMISTSFSSQREQPLPSETIRQDKPSPRKESNFFTTTEKTPSTPRSRAPTTQKQPSSTFFTPSTTPKIPQRTDTDISSSKDAPYQTKPSETTSKEAHDKSHLSGFFKARSHTDETATPTKHSPQEDSKTSTKIPKTRDISEAVGPNSPISTKAPHEIDERASVDPRFDKPAPYTKPTTNIPQTSSGRPSAQRDLGTSPGTEADKTSSDLGGGVQSPYAQGRAEQVKKTSSDQRGSETAQPAQPRGIQQFTKDARQADQNRIAASPRQQPSGEQNVHKQFIAPPILKIPDLSTMQPESQEDTHNIMSEDERFSTKRLRKMMEESEKEAQEVKSQPTDFRPSKEETPSIYKNPSDVQDRTILDDRKSGRSPSAGTRAPDYPTSAAERRVASQPKEGMPYDDRGATKPQKSPSINEQEKIPVVPSQAPPASSGKASESLKEVSPDDGLAQVSTINQWRQTSAPPPTKLAAPDAPRNVELAKTEGVDKRTQPSTTKETPRNDRNVLATGQGADRGVGNEQYDKNSIDERAPQMTPRQAAPSVTQRASASIQERISGARGASDDMFGKTSSADQSNTPAIPKQTTVQGATPDVRGTSYADREMKLPADEKATANKQKPVSSSQQTIEPIRGGTPTSYGSTDDDLAKTSRANERQTPPSKAQAPASNRQSASTALQGGTPDARGENTAVKPSVTSPTGMPTSSRRQEPTPSVTSPTGVPTSPRRQEPTPDTQRRRAADQMPSQAPLPSSFSTRNKENGISEAGQTNTVAPDGLPGPGVPKDAGPQVAGPSVVKSQKNMNEAYNDGPSTQQLPNDQYRSQPREAKEEQGADAALINEIGKAQKDDLLANPNQSSTGRVQPTSTEETSKQQLQSGLNKPISSKDGKETVSYGSSATSREMLPSIPDKSMRVQQPQGDKSSYSSISQEDNVKQGSQAALQGSGNEQPKKRDLLANADEKIRGTTGEALQKSDEGRISSNTEQMKSNRNNSKPDGSTEPTSFDGNEGNLPESQRRGSSSNP >ORUFI08G25460.1 pep chromosome:OR_W1943:8:25129613:25132512:1 gene:ORUFI08G25460 transcript:ORUFI08G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSYYICSHIDPLALSPIPGIALDTLFLVAIQALAVILVSKFFHLFLRRYNQPSVISQILAGVVVGGMGLRSAIVHVDVDNVEDMYGGYISAARILYMFLVGLDMDIAALRHATHRCVAFTYATVAASLLLAAVVSSGMYGSMMHSPVKTPELLAATLMLALTNTSSIAVARIAAELKLTVTENGRLVVAAGIATNLICILGDGVLSSTTRAKGKIEGVARGEHQIRKGFLALAVAAGAVWMVRPAVTRINKRNVGQHHVGVRDLAVMLLAIWFVGNIPQFLGFDGMPTSFALGLAFPREGAAARSVADALAPPVKGIMLPFYFATIGMRMNFNSMSGAIIVPGVLITLLGLFGKAIGAAAVASYLSMPLSDALRFSVLLNIKGHVDTMNMKFAKSEGVWAEQALYAMIIGNLISTLVAGPVVAVVRRKEEEAYRTRHQAMESLGAEQELHMLACVHSAHAAPGMLSLVELLVSEPQEQPAVHVLHLFDVGEERVVRIPYHQRIRDDDDGGGRDERGGGRDAVTRMNTIVDLFSRATGIWFRQIDVVCRGGAALDDAGAVCRAAEGVHARLLLAPCHKEQRYDGKMWCRLGGRRELNHGVLSRAPCTVGLLVDRPYRNSGTSFNVPSSVAAEAAATSGGGRTLLHPCSDRAVTHVVAAVFFGGADDREAVSLASRLAEHPSIGLTVFRFVKRSTYDSVTSAKVDELDMAFQEGDVDERFLWRFYERYAATEMAMYVEKVVERPADVEETLAGMAGMFSLVIVGRGGRQPPELLAGLERWADAGGEMGPAAEILASNDSLEMGSVLVMQQHTVVIKQ >ORUFI08G25470.1 pep chromosome:OR_W1943:8:25133337:25133768:-1 gene:ORUFI08G25470 transcript:ORUFI08G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLVQLSKKWQGMGAIGRKRVTASEKEIIHHSSCSSSVAGKGNCVVYSCDGRRFEIPLAYLRTPVFVELLRMSQEEFGFSSDGRITLPCDAAVMEYVMCLLGREASEEVEKALLSSIVMPCSHHPSRMAQHQHFAVCSI >ORUFI08G25480.1 pep chromosome:OR_W1943:8:25142065:25146756:1 gene:ORUFI08G25480 transcript:ORUFI08G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKTDGGGGGGGAAVALCRDRAALLADAIRHRYALADAHRAYAASLRDAAAALHDFLRGVQALPPPSSSDVDHAAPLRLPDLRKGDHSLPAAVVPLPVDAVVIKQVDDDGGGHIHFPSDDDDDEGGSDGSGGHIHFPSDDDDDAEPPPPRPAAAPAPHAATLYTYAPPYGYGPGAGQYEYGVDMGGYGQSFFSISYARSQPPPPSSVVSYEHRPQTVNATVHYYPGDGAAGPPLPGSYYGSAAPPPSPPRVSAWDFFNPFESFESYYHQDQPSPAPPAYTPSRSSNGAREEDDNGIPEVEHDEVDKVDNHQDANGMPLPAKDVSEEQHRKSKSSEASSSTSSSMISDLHVVQKSVIEEQLRHSDAAGPPAIPRKVYNDDVEVVEEIRSQFEHAAKSAFDVSKVLEVGKMPYYQKSSGLKVSSMMICGLSSVGEEFLQFEEDKAMECGNLSSTLQKLYMWEKKLLEEVKTEEKMRVLYNQKREELKVLYGRGAEAHKLEATETHIRKLSTKISIAIQIVNTISKNINNLRDDELWPQTCELIQGLMQMWHAMSKCHQIQCHAISQARNLDSKLDSARFSEAHMDLIKRLELQLLELISSFATWVNAQKSFVGTLNEWLKRGIDYVPEVTDDGTPPFSPGRLGAPPIFIICNNWAIGTGRISEKEVVDKMQAFASSVLHLWEKHRLEWRQGMMANKDMDRDLRVMERDELSMRKALDAQSKKLVLVSNQSGVSLSAQVVHDSGPTAEVGLQSCMNKVFEAMESFTAACANAYSDLHLRSEEEKTRLGQNNGRVPSFISDLAGKYIASN >ORUFI08G25490.1 pep chromosome:OR_W1943:8:25147768:25148562:1 gene:ORUFI08G25490 transcript:ORUFI08G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQLCRLRQNVASSSSSSSAAAAMAGALEGVARVYEHVGPLVRFACAEQVEEELEASVALLDACAAARDSLRAMRACALDLEVAVRRGDAAGAQSAASAYARLARKARADVKKQQRRLTNSRRRERPTRDGDDAQSLQEARRLAVDVLDRVVAAAAAGGATGPSRWSTCVARAFRNRTRVACEDAEEIAAASPPALAHLYCSSLPSKDLHDGGETAARVQKQLRTLGDTIQRLEDGLELLFRRLVHCRVFLLNMCSSLSECV >ORUFI08G25500.1 pep chromosome:OR_W1943:8:25150462:25151169:1 gene:ORUFI08G25500 transcript:ORUFI08G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQRSTSLPSMPHPNYIKIEEELRNICSGISSPSATIEMVSDALRRLGGVYNCINEIISLHSNQAHGKKLEEEMERSLEVLDLCSAMQEMFADLKMTIQELQMVLNRGDHAVVQVKAQSYIRLVKKAKHHLKKASNKSTSDEDGRLVSLLTTARGITASVLKSALELLSKQISTCNPSKWSLISKSFQKAKVSCEEVQLQALELGIVGLESGAENLFRRLIQTRASLLNTLSS >ORUFI08G25510.1 pep chromosome:OR_W1943:8:25152301:25153014:1 gene:ORUFI08G25510 transcript:ORUFI08G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQRSASVPSSPCSNDTTIEQQLQTLNTVVSSPSATIDTMCDGLRKLGDIYNSIEELICTPSNQVSLCQKLQRKLVEEELGRSLVLLDLCNAMQESFMELRMSVQEMMLAIKRGEDASAQVKAYIRLAKKARKQFKKVSKKTASDKMDCRVVKLLAEAREITVSLLESTSCFLSKKIETPKWSLVSATFQKSKVMCEEEQLQELELTIKDLESGAELLFRRLIQGRVSLLNTLSS >ORUFI08G25520.1 pep chromosome:OR_W1943:8:25154031:25171585:1 gene:ORUFI08G25520 transcript:ORUFI08G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSVSLPSKRQSNEAEIEDELQSLEASISSPSTTIDGLRRLGDVYNQIEEMIHLPSNQVFSAQQRKMLDGEMECSLELIDLCSAMQENFTELKTIIQDLHAALRRGDSASIQVKIQSFTRLAKKAQKQCKKMSKKTTSDKEDCKLIKLLIKARVLTVSLLESTSCHLSQQLVVPKMSLVSKAFQKKRSVVCEEEQLQALESPATAAGGPVAAPPPMRLSGGNLKRRVVGYGPCGLYYGRHMSISAQADEEDGGESENAHLGTMNDGLKRLKSIYDSIDEIMCMPSSQVLLCQSQNRKAVEQELECSLVLLDLCKAMQQNFSELKASIQDMMLVIKRGEDAAVQANIPSCIRLAKKAQKQYKKISKKTLSPDQESCRVVKLLAEARETAFSMLEISSHLLSKQTVMPSYSKWSLVSKTFQKRRIICEEEQLQALELDIVDLESGIENLFRKSIQSRVSLLNALSIDKANRVDTIVAYLLLFQLQREEEKKSITASSEDMAFHLRSASVPSSPRSNEIDIEGQLQNLKAIVSSSSSTIETMSNGLKRIGNIYSCINEIMCLPSSHVAICQPLQRKAVEQELERSLILLDFCNAMQESFSNLKQSIQDMQLIMKRGDNAAVQVKIQSYICLIKKAQKQFRKISKKSSSVDLESCRVVKLLAEAREIAILMLETSFHLLSRQLAMPSSSKWSLVSKTFKKRALFCQEEQLQVLESNIADLDTGVKNLFRKSIQSRVSLLNTLSLPLSKVEEELHSIEACISSPSLTIEMISDGLRRLEDIYSSIEEIMCLPSNQVCSSGQRRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDLQVFLRKGDDTVLQAKIQSYIHLVKKAKKHSKKTLKKVVLDKEECRIIKLLSEARENTTSLFESTMHLLLKQIEMPKLSLISRAFQKKNPVICNEEQLQVLECCITDLEAGAGLLFRRLVQSRQPQFNHAMSMKLITHWLTRPLSKVEEELHSIEACISSPSLTIEMISDGLRRLEDIYSSIEEIMCLPSNQVCSSGQRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDQQVSLRKGDDAVLQAKIQSYIHLVKKAKKHSKKTLKKVVSDKEECRIVKLLSEARENTTSLFESTMHLLSKQIEMPKLSLISRAFQKKNTMICNDEQLQVLECCIGDLEAGAGLLFRRLVQSRLQQASSHTRGPISKVEEELHSIEAWISSPSLTIETISDGFRRLGDIYSSIEEIMCLPSNQVCSSEQRRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDLQVSLRKGDGAVLQAKIQSYIRLVKKAKKHSKKTLTKVVSDKEDCRIVKLLSEAREITTSLFESTTHLLSKQIATPKLSLISKAFQKKNPVICNEDQLQVLECSIRDLEAGAGLLFRRLVQSRGCEMPMWAMPLSKVEEELHSVEACISSPSLTIEAISDGLRGLGDIYCSIEEIMCLPSNQVCSPQQRKLLDGEMECSLELLDMCNTMSEVFTELKAIIQDLQMHAYLDWTPWVLEGKQRPRISPIVLGESMGADIAAAAAGGGAGADSLSPPPFLAGAFSGGGSLGDETRRLENHHHLVD >ORUFI08G25530.1 pep chromosome:OR_W1943:8:25169856:25171573:-1 gene:ORUFI08G25530 transcript:ORUFI08G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMILKLSKQQFQTFLRLRVIESNAPNLSSLSFSGNVKLSLGDPLQVKRLSMIHPKVVCYARAELPSVMPNLETLAIYSNDEVVNTPMLPTKFLYLKHLTISVSSAASFNTSYDYFSLVSFLDASPSLETLILNVSQEHMKHESVLGDSSPLRQMPEHRHCYLKSVKMTGFSSAKNLIELTCYILKNAVSLECLTLDTLYERACSLVVTVT >ORUFI08G25540.1 pep chromosome:OR_W1943:8:25172059:25195964:1 gene:ORUFI08G25540 transcript:ORUFI08G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPAGGEDAGAAGREIGGRRRRRAEESRPREQRVGGQYNVLFGLSWFESRTKYRPLATGMAFHQRSISLPSRPLSKVEEELHSLEACVSSPSMTIEMISDVLRRLDDIYNSIEQIMCLPSNQICSSQQRKLLDGEMECSLELLDICNAMSEVFTELKAIIQDLQVSLRKGDNAVAKIHSYIRLVKKAKKHFKKTVKVASDKEDCKIVKLLSKAREITTSLLESTMHLLSKQIQMPKLSLISKAFQKKNPSAASEILRLGLDLCSGDWSRAGVEEELHSIEAWISSPSLTIEMISDGLRSLVDIYSTIEKIMCLPSNQVCSSQQRKLLDREMECSLELLDLCNGMNEVFTELKAIIQDLQVSLRKGDNAAVQTKIQSYIRLVKKAKKHSKKTVKKVVSDKEECKIVKLLSEAREITTSLFESTIHLLSKQIAMPKLSLISKAFQKKNSVICNEEQLQVLECCIRDLEAGAALLFRRLVQSRTHQRQSLAIDMAFHQRSISLPSRPLSKVEEELHSIEACISSPSLTIETISDGLRRLGDIYSSIEEIMCLPSNQVCSSEQRRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDLQVSLRKGDDAVLQAKIQSYIRLVKKAKKHFKTVKKVASNKEDCKIVKLLSEAREITTSLFQPTVHLLSKQIEMPKLSLISRAFQKKNLVVCNEEQLQVLECCITDLEAGAGLLFRRLVQSRDLYICSLERRQGSHPVVRRAAKKAQRHIRRITGGGSSKPAASEAREIAVAALETAAAKLLPKQIATMSSSSRWSQLVSKKKRDASCEESSCRIGAVYNNIEEIICLPSSQAQLCQNQQRKAVEQELEHSLVLLDLCNSIQESVSKLKTSIQEMQLVHKRRDATVVQANIQYFTRLTKKVQKQSKKISKKSASAEQEGSRVIKLLAEAREVAISMLESSSHLLSKKITTSNSSKWSLVSKAFQKTRLACQEEQLQALEFAIVDLESGVETLIGGVYRNIEEIMCFPSSQVLLCQPQQRKAAEQELERSLILLDLCNAMQESFCELKASIQDMQLAIKRADDAAVQAKVQSFIRLTKKAQKQSKKISKKSASDDQEGCTVLKLSAEAREAAISMIVKPNSSRWSLVSKAFQKARIACQEEQLQALELDISDLESGVETLFRRLIQSRPQQRKAAEQELERSLVLLDLCNAMQESISELKASIQDMQLAIKRADDATVQAKVQSLIRLSKKAQKQSKKISKKSASDDQEGCRVLKLSAEAREIVMPNSSRWSLVSKAFQKARIACQEEQLLALELDISDIESRVETLFRRLIQNRVSLLNALSLPLSKFEDELHSIEAYVSSPSKTTKMISDGLRRLGDTYSSIEETMCLPSNQVCSSQQRKLFDREMEYSLELLDLCNTMNEVFTELKSIIQDLQLFKPRSSHTSGWFKPRSQSYIRLVKKAKKHSKKTVKKVASDKEDSKMVKLLSNAREITTSLFESTLDLLSKQIAMPKFSLISKAFQKKNAVICNEEQLQVLECCIADLEAGAGLLFRRLVQTRQRKLFDREMECSLELLDLCNAMNEVFTELKSIIQDLQVSLRKGDDAVVHSSQDPKILSYIRLVKKAKKHSKKTVKKVASDMEDSKKVKLLSNARQITTSLFESTLDLLSKQIVLPKLSLISKAFQKKNSVICNEEQLQALECCIGDLEAGAVLLFRRLVQSRHKEAELATDMAFHQRSISLPSRPLSKVEDELHSIEACISSPSTTIEVVSDGLRRLGDIYSSIVEIMCLPSNQICSSQQRRLLDGEMECSLQLLDLCNSMSEVFTELKVIIQDLQVHLRKGDGAVVQAKIQSFIRLMKNAKKHSKKTVKKVVSDNDCRIVKLMSEAREITTSLFESILYLLSKQITMPKSSLIPKAFQKKNLVICNEEQLQALECCIGDLEAGAGLLFRRLIQTRVEEELCILDACISSPSTTLDTMCEGLRRLANIYSSIEEIMCLPSNQVFSSQRRKLLDGEMECSLELLDLCNVMHEDFTELKAIIQDMQVALRKGDDAAVQSKTQSYYRLLKKAKKHFKTAKKVTYEKEDCRMARLLREARHISTSMLESTLHLLSKQIEMPKQSLVSKAFNKKKVVICEEEQLQNHKELSIGPEHSLVYSGLNRMAAKDSAGNARHCEDTADTVCY >ORUFI08G25550.1 pep chromosome:OR_W1943:8:25177756:25181620:-1 gene:ORUFI08G25550 transcript:ORUFI08G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDQDASCWDKESAWQFIAGSSQSQCQCVEKGDPALDESPEENLNTVVKTSNVQLQHLQLLSSQEASLFFLETSWDHLLELDMVAICFGKSFAAAVSSAATAISLASEAAGLLLPPPEILPTRRLPQLTTTGEGKKEWRGRARSGESATATAAPGSGRMLYSLQHPGGAAEMEGSANPLKGGCQSQGLKSDRS >ORUFI08G25560.1 pep chromosome:OR_W1943:8:25190009:25191251:-1 gene:ORUFI08G25560 transcript:ORUFI08G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLAAAINSIWDLSKKQQITAFFFWNALEMRENFGIAICLDKRSNVDSNREVVISLALLNSLTILESSLSEATFFTVFLECFFAFFTSRMYDWDLGLNQPDV >ORUFI08G25560.2 pep chromosome:OR_W1943:8:25191781:25193320:-1 gene:ORUFI08G25560 transcript:ORUFI08G25560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRLKPSETTSMVVEGDEMHASMLCNSSSTLERGLEGKLIDLWWKAIMLRRVTLLWTNLLNRSTAPASRSPMQHSNACNCSSLQITEFFFWNALEMRDNFGNTICFDKRSSVDSNREVVICLALLNSLTFLESSMSEATFFTVFLECFFAFFTSRMYDRILGSWLE >ORUFI08G25560.3 pep chromosome:OR_W1943:8:25190009:25192140:-1 gene:ORUFI08G25560 transcript:ORUFI08G25560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVTLLWTNLLNRSTAPASRSPMQHSNACNCSSLQITEFFFWNALEMRDNFGNTICFDKRSSVDSNREITAFFFWNALEMRENFGIAICLDKRSNVDSNREVVISLALLNSLTILESSLSEATFFTVFLECFFAFFTSRMYDWDLGLNQPDV >ORUFI08G25570.1 pep chromosome:OR_W1943:8:25195998:25198373:1 gene:ORUFI08G25570 transcript:ORUFI08G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSAILSGAAFQGGGSGGKGGRGLTGSRRRAAHLLAPLLLLRWGVVASGETKTRGLGNEWEKPWFGVDNIAKQS >ORUFI08G25580.1 pep chromosome:OR_W1943:8:25198434:25199150:1 gene:ORUFI08G25580 transcript:ORUFI08G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSASAPSSPRSAKTDVEEQLQSLEEIVSSPSATIETMCNGFRRLTDVYSCMDEMMCLPSFRLQQRRAVEQELERSLALLDLCNAMQESFSELKASTQEMQLAIKRGDDAAVQSKVQAYTRLTKKAQKQFKKINKKSASEDQEGCRVVKLLADAREIALSVLESTLHLLSKQIAMPSQSKWSLVSKAFQKTRVTCQEEQLQALELDIVDLESGVETLFRRLIQSRVSLLNALSL >ORUFI08G25590.1 pep chromosome:OR_W1943:8:25200319:25201047:1 gene:ORUFI08G25590 transcript:ORUFI08G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHLRSASVPSSPCSNETNIEEQLASLREIICSPSATMETMCNGFRRLTDVYSCMDEIMCLPSSQASLCKHQQRREVEKELERSLTLLDLCNAMQESFSELKATTQEMQLAIKRGEDAAVQTNVQSYTRLTKKAHKQCKKINKKPASSADQESCRVVKLMADAREITFSVLESTLHLLSKQIAVPSSSKWSLKAFQKTRVTCQEEQLQVLELDIVDLQSGVETLFRRLIQSRVSLLNALSL >ORUFI08G25600.1 pep chromosome:OR_W1943:8:25202262:25202993:1 gene:ORUFI08G25600 transcript:ORUFI08G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSASVPSSPCSNETNAEELLQSLKVTISSPSSTIETMSSGWKKLGSIYNCIDEIMCLPSSQALLCQPLQRKAVEQELEGSLVVLDLCNAIHESFSGLKACIQDMQLAVKRGDDAAVQAKIQSYIRLAKKGRKQFKHISKKSSSADQESCRVIKLLAEAREIALSMLESSSHLLSKQIALPSSSKWSLVSKTFQKRRLVCEEEQLQVLELDIVDLETGVENLFRKSIQSRVSLLNILSL >ORUFI08G25610.1 pep chromosome:OR_W1943:8:25203973:25204596:1 gene:ORUFI08G25610 transcript:ORUFI08G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEILSDGLKRLEDIYSSVEGIMCLPSNQICSSQQRKLLDGEMECSLELLDLCNAMHEVFAELKAIIQDMQVSLRKGDDAVVQAKIQSYIRLMKKAKKHFKKTVKKVTSDKEDDKMVKLLSKAREITTSVLESSMDLLSKQIATPKMSIISKAFLKKNSVVCSEEQLQVLECCIGDLEAGAGLVFRRLVQEQGYSPRVTLLNILSS >ORUFI08G25620.1 pep chromosome:OR_W1943:8:25205957:25208518:-1 gene:ORUFI08G25620 transcript:ORUFI08G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSLAALPSQLASPARRAALSRSATARPRHHHHSLLRAPPKGCRLVVTCNAQTAVPTSIAQGTPVRPTSILVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTVIDCATGRPEEPIRTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKHCTEKFIQDAGLDYLIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDVARLTFIAMRNEKASKKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVAVLRFTRQLTRFFQWTNDVADRLAFSEVLSSDTIFSVPMNDTYQLLGVDSKDILTLEKYLQDYFTNILKKLKDLKAQSKQTDIFF >ORUFI08G25630.1 pep chromosome:OR_W1943:8:25211792:25215546:1 gene:ORUFI08G25630 transcript:ORUFI08G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSSGIARCIADVRAAAGSTTFLAGTLSLKEENEVHLIRFSPAESELVCDGLFYHPNEIWDLKSCPFDHRVFSTVYTSGEGYGASVWKIPELHGQSNSPPLEQLFTLDEHTGKIRCVLWWPLGKHDKLISIDDRNIFLWNIDASNKSAKVMQKGSADMLPNLRGGSWDPHDHNSIAAITDSSLHCWDLRSMKKSNAIEHAHFRDVDYNPKKQHLITTAEDEFGIRLWDLRMLKYPLKNLPGHSHWTWAVRHNPEHDQLILSAGTDSTVNLWFAKVGTDDSGPESPPGSPTREEEPLLNSYTDYEDSIYGCFRISQALLAEKMKLHVLSVVRAHNSTSLLSMLLMRLPIVPSLVLTDLPFSAQADLFVVHIIGVVDFLLAILYTVLWYMELMRWPDSTACDPRTIASQ >ORUFI08G25630.2 pep chromosome:OR_W1943:8:25211792:25215510:1 gene:ORUFI08G25630 transcript:ORUFI08G25630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSSGIARCIADVRAAAGSTTFLAGTLSLKEENEVHLIRFSPAESELVCDGLFYHPNEIWDLKSCPFDHRVFSTVYTSGEGYGASVWKIPELHGQSNSPPLEQLFTLDEHTGKIRCVLWWPLGKHDKLISIDDRNIFLWNIDASNKSAKVMQKGSADMLPNLRGGSWDPHDHNSIAAITDSSLHCWDLRSMKKSNAIEHAHFRDVDYNPKKQHLITTAEDEFGIRLWDLRMLKYPLKNLPGHSHWTWAVRHNPEHDQLILSAGTDSTVNLWFAKVGTDDSGPESPPGSPTREEEPLLNSYTDYEDSIYDFLLAILYTVLWYMELMRWPDSTACDPRTIASQ >ORUFI08G25640.1 pep chromosome:OR_W1943:8:25216474:25227010:1 gene:ORUFI08G25640 transcript:ORUFI08G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRRRGGGGHNKCPRPALLPAAALLLFLLAAVALLYVSPPPLTDHPALASSRRRSPHAPLLNSSGGGSTVVSEHSEISRVPISKEADGLWGSKFASRFYGCSNSSSRFLGSSVITQPDRYLMIVTSGGLNQQRTGIIDAVVAARILNATLVVPKLDQTSFWKDASNFSEIFDVDWFISNLSKDVKIVKELPEIGGKLRTPHRMRVPRKCTQRCYVNRVLPALLKKHVVRLTKFDYRLANRLDTDLQKLRCRVNYHGLRFTGLIEEMGEKLIQRMRERSKHFIALHLRFEPDMLAFSGCYYGGGEKERKELGAIRKRWKTLHAINPEKGRRQGRCPLTPEEVGLMLRALGYRNDVHIYVASGEIYGGARTLAPLKAFFPNLHTKETISSKEELAPFSKYSSRMAALDFIVCDGSDAFVTNNNGNMAKILAGRRRYFGHKRTIRPNAKRLYSLISNRRNMSWDSFSSRVRMVQKGFMGEPKELRPGRGEFHENPSTCICEKTVSKTVAKSNSQSEQVLSNDTERGIAIQTEQVSSNDTEMGIATSEPTVPDHTDEEAGESEADEDAPGEKEEIIDPEADDDAFFGISYGFVVTELTFLESVTSMDVNNRPNKQSEQLPLKKLPDGGKAHTSLKSSNKLSHLSTSQASEAFDPFTSHSQQQQAVLTNHQEVSAPLLSSSLA >ORUFI08G25640.2 pep chromosome:OR_W1943:8:25216474:25225218:1 gene:ORUFI08G25640 transcript:ORUFI08G25640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRRRGGGGHNKCPRPALLPAAALLLFLLAAVALLYVSPPPLTDHPALASSRRRSPHAPLLNSSGGGSTVVSEHSEISRVPISKEADGLWGSKFASRFYGCSNSSSRFLGSSVITQPDRYLMIVTSGGLNQQRTGIIDAVVAARILNATLVVPKLDQTSFWKDASNFSEIFDVDWFISNLSKDVKIVKELPEIGGKLRTPHRMRVPRKCTQRCYVNRVLPALLKKHVVRLTKFDYRLANRLDTDLQKLRCRVNYHGLRFTGLIEEMGEKLIQRMRERSKHFIALHLRFEPDMLAFSGCYYGGGEKERKELGAIRKRWKTLHAINPEKGRRQGRCPLTPEEVGLMLRALGYRNDVHIYVASGEIYGGARTLAPLKAFFPNLHTKETISSKEELAPFSKYSSRMAALDFIVCDGSDAFVTNNNGNMAKILAGRRRYFGHKRTIRPNAKRLYSLISNRRNMSWDSFSSRVRMVQKGFMGEPKELRPGRGEFHENPSTCICEKTVSKTVAKSNSQSEQVLSNDTERGIAIQTEQVSSNDTEMGIATSEPTVPDHTDEEAGESEADEDAPGEKEEIIDPEADDDAFFGISYGFVVTELTFLESVTSMDVNNRPNKQSEQLPLKKLPDGGKAHTSLKSSNKLSHLSTSQASEAFDPFTSHSQQQQAVLTNHQEVSAPLLSSSLA >ORUFI08G25640.3 pep chromosome:OR_W1943:8:25216474:25224162:1 gene:ORUFI08G25640 transcript:ORUFI08G25640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRRRGGGGHNKCPRPALLPAAALLLFLLAAVALLYVSPPPLTDHPALASSRRRSPHAPLLNSSGGGSTVVSEHSEISRVPISKEADGLWGSKFASRFYGCSNSSSRFLGSSVITQPDRYLMIVTSGGLNQQRTGIIDAVVAARILNATLVVPKLDQTSFWKDASNFSEIFDVDWFISNLSKDVKIVKELPEIGGKLRTPHRMRVPRKCTQRCYVNRVLPALLKKHVVRLTKFDYRLANRLDTDLQKLRCRVNYHGLRFTGLIEEMGEKLIQRMRERSKHFIALHLRFEPDMLAFSGCYYGGGEKERKELGAIRKRWKTLHAINPEKGRRQGRCPLTPEEVGLMLRALGYRNDVHIYVASGEIYGGARTLAPLKAFFPNLHTKETISSKEELAPFSKYSSRMAALDFIVCDGSDAFVTNNNGNMAKILAGRRRYFGHKRTIRPNAKRLYSLISNRRNMSWDSFSSRVRMVQKGFMGEPKELRPGRGEFHENPSTCICEKTVSKTVAKSNSQSEQVLSNDTERGIAIQTEQVSSNDTEMGIATSEPTVPDHTDEEAGESEADEDAPGEKEEIIDPEADDDAFFGISYGFVVTELTFLESVTSMDVNNRPNKQSEQLPLKKLPDGGKAHTSLKSSNKLSHLSTSQASEAFDPFTSHSQQQQAVLTNHQEVSAPLLSSSLA >ORUFI08G25640.4 pep chromosome:OR_W1943:8:25221306:25227010:1 gene:ORUFI08G25640 transcript:ORUFI08G25640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSCKNLLPCCMGHPPATSPAGATAGVSVKVSDRYVEIKNGIFELTLSNPDGIVTGVRYNGVDNLMEILNKEDNRGIKGTEFRIIYQDENHAEVSFVRTWDPSLEGKAVPLNIDKRFIVLRGCSGFYTYGIYEHQEGWPGFSLGETRVAFKLRKDKFHYMALADDRQRIMPMPEDRVPPRGQQLAYPEAVLLVDPINPDLRGEVDDKYQYSCEDQYNNVHGWISFDPPIGFWQITPSDEFRTGGPVKQNLTSHVGPTMLAMFLSGHYAGDDLTPKFLTGEYWKKVHGPVFMYLNSSWDGSDPTLLWEDAKVQMMIEKESWPYCFALSDDFQKTEQRGCISGRLLVRDRYLDDADLYATSAYVGLALPGDVGSWQRECKGYQFWCRAEDDGSFCIRNIVAGDYNLYAWVPGFIGDYKLDAKLTISSGDDIYLGDLVYEPPRDGPTMWEIGIPDRSASEFFVPDPNPNYVNRLYINHPDRFRQYGLWERYAELYPDGDLVYTIGQSDYTTDWFFAQVNRRTDQSTYQPTTWQIKFNLDSVSPNSTYKFRVALASSANAELQVRFNDQDRTAPHFTTGLIGKDNTIARHGIHGLYWLFNIDVSGAWLVQGMNTIYLKQPRNQSPFQGLMYDYLRMEGPSGS >ORUFI08G25650.1 pep chromosome:OR_W1943:8:25231327:25240157:1 gene:ORUFI08G25650 transcript:ORUFI08G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEIGIPDRSASEFFVPDPNPNYVNRLYINHPDRRTDQSTYQPTTWQIKFNLDSVSPNSTYKFRVALASSANAELQVEIKNGIFEVTLSNPDGIVTGVRYNGVDNLMEILNKEDKRGYWDLVWSKLGERTGIFDVFHYMALADDRKRIMPMPEDRVSPRGQQLAYPEAVLLVDPINPDLKGEVDDKYQYSCENQYNNVHGWISFDPPIGFWQITPSDEFRTGGPVKQNLTSHVGPTMLAMFLSGHYAGDDLTPKFMTGEYWKKVHGPVFMYLNSSWDGSNPTLLWKDAKVQMMIEKESWPYYFALSDDFQKTEQRGRISGRLLVRDRYLHDADLYGTSAYVGLALPGDVGSWQRECKGYQFWCRAHDDGSFSIRNIVAGDYNLYAWVPGFIGDYKLDAKLTISSGDDIYLGDLVYEPPRDGPTMWEIGIPDRSASEFYVPDPNPNYVNRLYINHPDRFRQYGLWERYAELYPDSDLVYTIGQSDYTTDWFFAQVNRRTDQSTYQPTTWQIKFNLDSVSPNSTYKFRVALASSANAELQVRFNNQDRTAPHFTTGLIGKDNTIARHGIHALYWLFNIDVSGAWLVQGMNTIYLKQPRNQSPFQGLMYDYLRMEGPSGS >ORUFI08G25660.1 pep chromosome:OR_W1943:8:25242452:25247253:-1 gene:ORUFI08G25660 transcript:ORUFI08G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDPDAEVVALSPHTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKETRRRVYLCPEPSCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESGRIMPPMGAALYAAAGAGMAIGGLTGMAASHQLQPFQDHSSAITTAANAAAQFDHLMATSSAAAGSPAFRAAQPTSSSSSPFYLGGGGDDGQAHTSLLHGKPAFHGLMQLPEQQGSNGGGLLNLSYFSGGNGGHHHHHQEGRLVFPDQFNGVAAGNGARAGSGEHGNSGNNADSGSIFSGNMMGGGGGFSSLYSSSDQTVPPPQMSATALLQKAAQMGATTSSGGAGSVNSLLRGLGSGGGGGALNGKPAGAAGFIMSGESSSRSTASQTAENESQLRELMMNTLSATGGGTGAGTVFVGGGFPGVDDGKLSTRDFLGVSGGAPGLQLRHGGAAGMGMAGSLDQEMK >ORUFI08G25670.1 pep chromosome:OR_W1943:8:25251443:25251652:-1 gene:ORUFI08G25670 transcript:ORUFI08G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAEAADLAMTRLGLLIMVARAHPPRAVCCHLPRGGLGDNVGAGGGGRSGVHGKPASRWRRRHQSSLQ >ORUFI08G25680.1 pep chromosome:OR_W1943:8:25269303:25269610:-1 gene:ORUFI08G25680 transcript:ORUFI08G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKKLSKEACIHAAQNNRLPLRVVMQVVFFEQLRAAAGASPAAATGGIARRLVEEEDEDDDRRWGLEQVPRAADADLLNPRALAVAAELAGRKKREMV >ORUFI08G25690.1 pep chromosome:OR_W1943:8:25272105:25282748:1 gene:ORUFI08G25690 transcript:ORUFI08G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARPDRDDVVFQGGYHVGVKGQYAGSKDEKYFIHNHLIFLVKYHKDENSDLSRIVGFEVKPFSRPVNVSSSSPKKTQAKEKDTRLRYQLLARSLAPDPGESPHQMRPPAMLRWPAAAAALALLLLLIAAAPPTAAFYLPGVAPNDFQKKDPLQVKVNKLSSTKTHLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARTDRDALVFQGGYHVGVKGQYAGSKDEKYFIHNHLAFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCDPHANKIVINSDTPQEVEAGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGGYLGFKKPAIEAPTNKE >ORUFI08G25690.2 pep chromosome:OR_W1943:8:25272105:25296904:1 gene:ORUFI08G25690 transcript:ORUFI08G25690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARPDRDDVVFQGGYHVGVKGQYAGSKDEKYFIHNHLIFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCHPHANKIVINSYTPQEVEAGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGGYLGFKKPAIEAPG >ORUFI08G25690.3 pep chromosome:OR_W1943:8:25272105:25296904:1 gene:ORUFI08G25690 transcript:ORUFI08G25690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARPDRDDVVFQGGYHVGVKGQYAGSKDEKYFIHNHLIFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCHPHANKIVINSYTPQEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGGYLGFKKPAIEAPG >ORUFI08G25700.1 pep chromosome:OR_W1943:8:25300056:25305082:1 gene:ORUFI08G25700 transcript:ORUFI08G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDQIHWFSIKPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILFFGYMLLASFSFFFYYIFGFLFLVFIILIITCGEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFSFFVLTGTIGFCACLWFTRLIYSSLLRSTQGSTVNHVCRGQRKPMQKAVSSVAAVRRPTRRCHLPALPGDEVLVKVNELMSIETQITYSYYSLPFCRPDNLTESAPTLWQLLHGDRQQRSPYQFEMRVPKKCQIVCRVLVGEKEAKELMEKMEDEYRVNMALDNLPLSIPIRRIDKDDFFYQHGYHIGEEHKRYFIHNHLSFLVRYKRDIDTSASTIVAFEVKPFSVKHQYEAQWNGANSRLSTCDANSNRFILSSDSPPEIEVGEEIIVTYDVNFEESDIKWASRWDAYLSMTDDQARWFSIVNSPVTLIGLSVAMAMTMLRSLHRDIFRYSQLETQNEAQVETGWKLVHGDVFRPPSNPVLLCAYAGSGVQLFGLRNVVLLTWVLMGMLAGYTSSRLYKMFKSGSEWKHITMATAIQFPGFAFVIFAILNTLLQDENSSATVPPTTMCALVLLWSGIAPPLVFLGGYLGYKRPAIEPPVEINKTPRKIPKQAWYISPVFSILIGSIFPFTIVFIELFFGLIFIWYHQFYRGFGFLLITLVLLLVASAEISVAFCYYQLRSGNYKWWWRSFLTPGCSAVYLFLYATFFFFAKLSIVKPVSVMFYFGYMLVVSYAFFLLTGTIGFFSCFFFTRFIYSQRAFG >ORUFI08G25700.2 pep chromosome:OR_W1943:8:25300056:25305082:1 gene:ORUFI08G25700 transcript:ORUFI08G25700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDQIHWFSIKPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILFFGYMLLASFSFFFYYIFGFLFLVFIILIITCGEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFSFFVLTGTIGFCACLWFTRLIYSSLLRSTQGSTVNHVCRGQRKPMQKAVSSVAAVRRPTRRCHLPALPGDEVLVKVNELMSIETQITYSYYSLPFCRPDNLTESAPTLWQLLHGDRQQRSPYQFEMRVPKKCQIVCRVLVGEKEAKELMEKMEDEYREEHKRYFIHNHLSFLVRYKRDIDTSASTIVAFEVKPFSVKHQYEAQWNGANSRLSTCDANSNRFILSSDSPPEIEVGEEIIVTYDVNFEESDIKWASRWDAYLSMTDDQARWFSIVNSPVTLIGLSVAMAMTMLRSLHRDIFRYSQLETQNEAQVETGWKLVHGDVFRPPSNPVLLCAYAGSGVQLFGLRNVVLLTWVLMGMLAGYTSSRLYKMFKSGSEWKHITMATAIQFPGFAFVIFAILNTLLQDENSSATVPPTTMCALVLLWSGIAPPLVFLGGYLGYKRPAIEPPVEINKTPRKIPKQAWYISPVFSILIGSIFPFTIVFIELFFGLIFIWYHQFYRGFGFLLITLVLLLVASAEISVAFCYYQLRSGNYKWWWRSFLTPGCSAVYLFLYATFFFFAKLSIVKPVSVMFYFGYMLVVSYAFFLLTGTIGFFSCFFFTRFIYSQRAFG >ORUFI08G25700.3 pep chromosome:OR_W1943:8:25300056:25305082:1 gene:ORUFI08G25700 transcript:ORUFI08G25700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDQIHWFSIKPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILFFGYMLLASFSFFFYYIFGFLFLVFIILIITCGEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFSFFVLTGTIGFCACLWFTRLIYSSLLRSTQGSTVNHVCRGQRKPMQKAVSSVAAVRRPTRRCHLPALPGDEVLVKVNELMSIETQITYSYYSLPFCRPDNLTESAPTLWQLLHGDRQQRSPYQFEMRVPKKCQIVCRVLVGEKEAKELMEKMEDEYRVNIVKHQYEAQWNGANSRLSTCDANSNRFILSSDSPPEIEVGEEIIVTYDVNFEESDIKWASRWDAYLSMTDDQARWFSIVNSPVTLIGLSVAMAMTMLRSLHRDIFRYSQLETQNEAQVETGWKLVHGDVFRPPSNPVLLCAYAGSGVQLFGLRNVVLLTWVLMGMLAGYTSSRLYKMFKSGSEWKHITMATAIQFPGFAFVIFAILNTLLQDENSSATVPPTTMCALVLLWSGIAPPLVFLGGYLGYKRPAIEPPVEINKTPRKIPKQAWYISPVFSILIGSIFPFTIVFIELFFGLIFIWYHQFYRGFGFLLITLVLLLVASAEISVAFCYYQLRSGNYKWWWRSFLTPGCSAVYLFLYATFFFFAKLSIVKPVSVMFYFGYMLVVSYAFFLLTGTIGFFSCFFFTRFIYSQRAFG >ORUFI08G25700.4 pep chromosome:OR_W1943:8:25300056:25305082:1 gene:ORUFI08G25700 transcript:ORUFI08G25700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDQIHWFSIKPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCRSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFSFFVLTGTIGFCACLWFTRLIYSSLLRSTQGSTVNHVCRGQRKPMQKAVSSVAAVRRPTRRCHLPALPGDEVLVKVNELMSIETQITYSYYSLPFCRPDNLTESAPTLWQLLHGDRQQRSPYQFEMRVPKKCQIVCRVLVGEKEAKELMEKMEDEYRVNIVKHQYEAQWNGANSRLSTCDANSNRFILSSDSPPEIEVGEEIIVTYDVNFEESDIKWASRWDAYLSMTDDQARWFSIVNSPVTLIGLSVAMAMTMLRSLHRDIFRYSQLETQNEAQVETGWKLVHGDVFRPPSNPVLLCAYAGSGVQLFGLRNVVLLTWVLMGMLAGYTSSRLYKMFKSGSEWKHITMATAIQFPGFAFVIFAILNTLLQDENSSATVPPTTMCALVLLWSGIAPPLVFLGGYLGYKRPAIEPPVEINKTPRKIPKQAWYISPVFSILIGSIFPFTIVFIELFFGLIFIWYHQFYRGFGFLLITLVLLLVASAEISVAFCYYQLRSGNYKWWWRSFLTPGCSAVYLFLYATFFFFAKLSIVKPVSVMFYFGYMLVVSYAFFLLTGTIGFFSCFFFTRFIYSQRAFG >ORUFI08G25710.1 pep chromosome:OR_W1943:8:25305598:25307362:-1 gene:ORUFI08G25710 transcript:ORUFI08G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDKNGEDKCEMRDTVDKKKQEWKLLMEDPKLTWEEKVVEVLHIVRCRGFTEYNHKLLRSLPTRFHTHNIAFFDLDKESKLGRGPPVKKALASSEYWRMMDSVNVIAIKVTESDVSYPISIFGTVLARDVYDYRCIYLFRRGRDDAQIITSPEDTLLLTGPNRALAASDNIYFEFHLKIKGDEGVDKDFSKGLLEHSTICYTKQPMTLSLESLLSTIEFVYTPVPCAVEASVAVSIKGLVSSKFSGKVTAWTSGDDENKIILYDSEVKGTNRALGPGGSIDLTRRFVAVKLDDTLVLNVSVSEGDHHEEAELFELVVGHDDDEEECIRQQGPYELQVKVVWTAGLEESWRRSSRSLPAMLV >ORUFI08G25720.1 pep chromosome:OR_W1943:8:25314718:25317646:1 gene:ORUFI08G25720 transcript:ORUFI08G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQDGNARDEGPPQVPQQAHVSFALKMSSMTIACSIPKFVSLVQNFDSCQKEAIERIGFGGLLQMPDITLQRITCGHIADRFDVTTECVEIEGIQIPITTFDVQCIMGLPAGELLITPRPVSNDEDYKYYSVYKDPKKKNISLAILQEELLKAKVADEHFLRRFVLFAIGYILCPTTKPFVSSNYLALVKDINQIKHINWAALTRDFLIRSLKKLKGGRTNLEGNLPLLQVQDSKYKLTYGDRTPPLMSYWNEMKVNSWLKYDSKHGISGLNSANMDIVLGQLLQLQQSIQFLDNKMTNKLISIDGICNQNRKDIQEIEKRLRSAFHATSAKHPKVEIIHEQFNITNQEEVRTAQADDTKNTQTESVKTTRSDKEKGSSNQHTKHMMTSCTTRRNSQLLHRPQNAITINYISNCKDNILLSAINGVKLYSQFLRALVVPQEAPPTSKWLNGSLIKDEQADTPRGNGMALLESKAHCQQWKSDGAKKGTFSKTYRQRRATVASKYLNHDMIFLPLNRNKDHWYVVVLNAGKQKIQILDSIRMDRNTYKANKDLNDTIKGIDKFIAYATEDKSVTTR >ORUFI08G25730.1 pep chromosome:OR_W1943:8:25317684:25317993:1 gene:ORUFI08G25730 transcript:ORUFI08G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPQQRDGWSCGLHMLRFMEHWTGKELSPQFHGMDTCTTFRAKLASTLINSSMNEVINIQEDIRRIQTEQMQQKKDE >ORUFI08G25740.1 pep chromosome:OR_W1943:8:25318960:25324354:1 gene:ORUFI08G25740 transcript:ORUFI08G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASRPPTPTPTPTANAAASSSRIESPSLRAALAMALIHYNRLPSRAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQEYNTEDEVQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILLSEREKEIIEEIINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAISQKISNVSEKLLLADPFDDGFPEMHSNMFIMIQLIEFLISDSFNNWLCRDHFDRKLFEEWVRSILKARKDLEVLDGRNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVLSKLLY >ORUFI08G25740.2 pep chromosome:OR_W1943:8:25318960:25322856:1 gene:ORUFI08G25740 transcript:ORUFI08G25740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASRPPTPTPTPTANAAASSSRIESPSLRAALAMALIHYNRLPSRAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQEYNTEDEVQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILLSEREKEIIEEIINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAISQKISNVSEKLLLADPFDDGFPEMHSNMFIMIQLIEFLISDSFNNWLCRDHFDRKLFEEWVRSILKARKDLEVLDGRNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVLSKLLY >ORUFI08G25750.1 pep chromosome:OR_W1943:8:25326437:25326913:-1 gene:ORUFI08G25750 transcript:ORUFI08G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEIISARSTTTTTTTAAAGDVNLDLRLVHSTAAGRQHTAATRNPAPPLAAAGDDRAFSCTYCRRRFYSSQALGGHQNAHKLERSLAKRSRELSAGVTTAAAPPPPHPELGWPYPPQGGAAAAVVSWIADGGRRYGYRVHAAAAGGDAEDIDLSLKL >ORUFI08G25760.1 pep chromosome:OR_W1943:8:25333998:25338484:-1 gene:ORUFI08G25760 transcript:ORUFI08G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAHGGSDRPGSMDSQEPRVDAEQKPVLSDNPEEQTIPSKDEKVLEPTISHDSNGINLPSEGQAQAGTSNIGGGHNAAYPQTMYSSQAQPFYYQGPGYDNPSNEWDGYPPYVSVEGLEAGPAVVYNDDPQLMYHGGYGYDPYAHYSPISTPVPAAVSGDGQLYSPQQFSFSAPYYQQSVPPGMPYLSSPTPISQGEAMVPIDPTQGAFIAETLSPNSFLFGPRPEWFRSSEGNGSFPSPAASPQPAGGVSGPFGQSNFPMASGMQSPQHRPFYGFGTPSDSYGRVFSHGGYFPQATNYGGPFPSFGLNGTSSIPMEKGRRRGRGNALLCSCNGSLDFLNEQSRGPRATRPKKQPEDGGKDEKPSAGVDCELYNRPDFVTEYKNARFFIIKSYSEDNVHKSIKYGVWASTTNGNKKLDSAYREAKEKEEHCPIFLLFSVNASAQFCGVAEMIGPVDFEKSVDYWQQDKWTGQFPVKWHIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGMEMLKIFKDHEEDASILDDFDFYEERERALLDNKARLHQQHQLPSSTVVEPKKPLTVATDLVGHITKSFAQAVRLGEAKNVSPNSADKGASGDSSVAAKPLEVKEAGLA >ORUFI08G25770.1 pep chromosome:OR_W1943:8:25341260:25342627:1 gene:ORUFI08G25770 transcript:ORUFI08G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVKNLTWAPRKIMWADIATAQGMGGHVSCLLGPRSSHPQQICLSLSATTPPPPPTGGASASTATIRARRRRRRGRGAMAGKKEEEEAVVYRGDKLILRGLRFHGTHGVKPEEKKLGQKFVIDVDAWMDLAAAGDSDDISDTVSYTEIYRIAKNVVEGPSHNLLESVAQSIANSTLLKFPQISAVRVKVEKPHVAVQGVLDYLGVEIFRQRKA >ORUFI08G25780.1 pep chromosome:OR_W1943:8:25343230:25346673:-1 gene:ORUFI08G25780 transcript:ORUFI08G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVQVDEVEGGERRRRRPLAEYAAELEAVSLSVRAAPPLRLKALVRACHHALALFDLLGVEAGFWKTEYAERLVALERAAEAMDSAEELVDRDVAAGRVRATGTHSNNLVRVKRGIELKRALFQLMLAQLQQQRPGGGGVSFDGVVSMAYAAVFARYHDKNVQSTVADSICAIPVKSISDFFATINETDESAAAEMQKYIDAANGIISYIDELFASRGVSADF >ORUFI08G25790.1 pep chromosome:OR_W1943:8:25351317:25356217:1 gene:ORUFI08G25790 transcript:ORUFI08G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKNGWQLPAHTFQIIAITVFFLLVVAFYAFFAPFLGKQVLEYIAFGIYTPVAFVVFILYIRCTSINPADPGIMSKFQNGFRNAPTSGTGLQDTNLPGRGDVATGTNSPTSTFKSSLDGRSNHAGLAAGDGNNLSSQPPRSSSCSLVGGLICALFVEEDCRTLVDSEHQADGEDALFCTLCNAEWLNNCVGRKNYFTFLALMITSLLWLAIEIGVGIAVLVVCFVNKNSESIIQDKLANGLTRPPFATIVAIFTLLSIIACIPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPQEEEEEDGVNIVYSPTNSATTGFSGGSSLGLPYKGSWCTPPRIFVDQAGMVPSTIDPDTAGNAERANRAKKQVKISAWKLAKLDTNEAMKAAARARASSSVLRPVDARHRGGHDAGDLSSSGNGSVRSSVSAAAKEQRRRASSLPSSCAQSVASQDEYEQSGSSVMSSPVRLHKLAPPPLPAAHNVPPRPPPPVNAAPEAAIPRPPPPVPPATRISNPMFQSATSYVRENRRASVVWDQEAGRYVSVAPAPATARPGGGGGGAEQPAARAPPFLANPGGEREPLSAARSRNNPAAPAPTNAAPSSSSTLPSERLTYSGQSIFFGGPLLGGAAAAGEPRRDEAAGTRARGGESFPVFAPGTFQKKPPPFRR >ORUFI08G25800.1 pep chromosome:OR_W1943:8:25357874:25365024:1 gene:ORUFI08G25800 transcript:ORUFI08G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGMEVEVRVVGGARSCFAALPLHLIHALSRTSVSGDLPPVLALHLRAAATATAARWSLAWSGAASRSSAIEVAQELAECISLPDGTVAQLSVARSLAKADSVCIEPFSEDDWEILESRADLAEETILTQVGVVYEGMKFPLWLDGHNIVKFVVTSSSPKKSLVQLVPGTEVAVAPKKRRENSSQDVQKQSALKEEAQTKALLRVQAADRKYVHKFKYKGVELGVILSYAVLVHPDTAARASISNLQLVTVSSKSSPKRLAQKGKEVTQKKGVYVKTFSANVKNDQPILTISPLHFKMQEKVAHDGSELGSQEANIWRKTSIPSENRDPFQEAHFGNNDDVLSTDVDNTSESILEHKILIKQWLVGQLKDMGLHVGNSEISPVVLPTKVLLHFEAVDQKHNRGKEFLYLLKVGFENSSYSNSQGNAELSWSIQTDDLENLELKFGRLELGEPEFFNSVLDDGFSNGFKLTRSSLGWMENAMSDVTKRLSVLLSSTSLRLFDQLKLPFPGHVLVLGPRGSGKTALVRAAAKYFEDHKEILAHVIYIDCSKLTVGKAKETKQTIEDSISEALLHAPSVILFDDMDNVVSVSSDPQAPQSSSSSDSIVRYLADIMDEYKDKAQNACGYGPIALMASAQSLQSLPQDLTSSGRFDFHVELPVLAIPEREALLKHHVEEHELQCSKEVLSEIASKCDGYDAYDLDILVDRAVHAAASRFVLPSSASVNCAEPTLVKEDFLKAIHDFLPVAMRDLSKYAPADGEGGWEDVGGLNEAVTIIKETLELPSKYPNIFTKAPVRLRSNILLYGPSGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGSSEQSVRDFFAKAAAAAPCLLFFDEFESIAPQRGTQSAGVSDRVVNQFLTELDGVESLTGVFVFAATSKPQSIDAALLRPGRFDRLILCDFPGWHERLEILKVHSREVSLASDASLEEVASLTEGFTGADLAAILRDAKLAAVHKVLEDRNNGISDTQPCISKELLISTAREARPSTSAEQKMQYDMDFGQFVSSRKSVSTKAARGCDDV >ORUFI08G25810.1 pep chromosome:OR_W1943:8:25365708:25369099:1 gene:ORUFI08G25810 transcript:ORUFI08G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYYRNPTSTQRWGGATSSVKRTGIGLGSWGIGRKRVGRREEEEEAGSEEGGDRRRAARGEEKVGCDGVRVGHQREQGAVLRLLAGLQRVYEPLPPAHRLRPPPGGLPRVPPPLQGVPAQEQNLQGGAT >ORUFI08G25820.1 pep chromosome:OR_W1943:8:25368458:25377147:-1 gene:ORUFI08G25820 transcript:ORUFI08G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGSWDAIDWNQIKEPRPPRSSSRGMEDFLLEDEEVYAQGHGVVLLNTDEAGILSVTNFRLLFVSQATKGVIELGTIPLTAIEKINDDVKLQPLPRQHDKKQPRELLQVIGKDMRVIVFDFLPKTKQKNEVFDALRRYSKPTHLWDLYAFSCDPSTVYQKSDPKMRLLKEYHRLFRKWFPHSGSEFEKDLRNEWWRVSKVNSTYSLCSTYPSALIVPRSIRFIASFFFPIRQTLACYFVGLELFWQGHLSHCNTDEKLVSALCTQIIDATGSLRKLYIVDARPRANALANGAKGGGSESASNYPRSEVLFLGIQNIHTMRDSLFRLRDYVDTHGSVSSNGTSSAVSLVGDRRNRGSTWGGGNLNSMTQFSSMLGEWLNHIQSIMVGASWIAAQIVQESASVLVHCSDGWDRTTQLVALACLLLDPYYRTFNGFQALVEKDWLAFGHPFAERMGVPTITDNNSGSQFELLRQPSLGTLSNSPNRGALGSSVSTSNTTSGQSQTSNNSSPILLQKFLVDFMDCVLSCRFGNFLCNSEREREQSGAVSSCHCMWTYLADLRASGGSFHKHRNPFYDPLKHNGPLVPPAAALAPTLWPQFYLRWTCPIESQGGDLESQWHAMNKKYTEAMKAKDTAESRVKDIKTKMESMQLELQREKRASSSALAMAQRAQRESVAIRKAVRSLGCTVNFGTNESQVEKTEGLTYSFRRDTDFESQHEKSSDFSVSITAIEDSLVSETPSNHICESLCPFRTREGCRWPDAACAQLGSQFVGLKANFDAFDRLSVQDSYFGSE >ORUFI08G25820.2 pep chromosome:OR_W1943:8:25368458:25377147:-1 gene:ORUFI08G25820 transcript:ORUFI08G25820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGSWDAIDWNQIKEPRPPRSSSRGMEDFLLEDEEVYAQGHGVVLLNTDEAGILSVTNFRLLFVSQATKGVIELGTIPLTAIEKINDDVKLQPLPRQHDKKQPRELLQVIGKDMRVIVFDFLPKTKQKNEVFDALRRYSKPTHLWDLYAFSCDPSTVYQKSDPKMRLLKEYHRLFRKWFPHSGSEFEKDLRNEWWRVSKVNSTYSLCSTYPSALIVPRSIRFIASFFFPIRQTLACYFVGLELFWQGHLSHCNTDEKLVSALCTQIIDATGSLRKLYIVDARPRANALANGAKGGGSESASNYPRSERNRGSTWGGGNLNSMTQFSSMLGEWLNHIQSIMVGASWIAAQIVQESASVLVHCSDGWDRTTQLVALACLLLDPYYRTFNGFQALVEKDWLAFGHPFAERMGVPTITDNNSGSQFELLRQPSLGTLSNSPNRGALGSSVSTSNTTSGQSQTSNNSSPILLQKFLVDFMDCVLSCRFGNFLCNSEREREQSGAVSSCHCMWTYLADLRASGGSFHKHRNPFYDPLKHNGPLVPPAAALAPTLWPQFYLRWTCPIESQGGDLESQWHAMNKKYTEAMKAKDTAESRVKDIKTKMESMQLELQREKRASSSALAMAQRAQRESVAIRKAVRSLGCTVNFGTNESQVEKTEGLTYSFRRDTDFESQHEKSSDFSVSITAIEDSLVSETPSNHICESLCPFRTREGCRWPDAACAQLGSQFVGLKANFDAFDRLSVQDSYFGSE >ORUFI08G25830.1 pep chromosome:OR_W1943:8:25384200:25385357:1 gene:ORUFI08G25830 transcript:ORUFI08G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVVVVVAAAAVAVAVVVLLGAAAPAASAMEFGDKDVASEEALWELYERWRGQHRVARDLGEKARRFNVFKDNVRLIHEFNRRDEPYKLRLNRFGDMTADEFRRAYASSRVSHHRMFRGRGERRSGFMYAGARDLPAAVDWREKGAVGAVKDQGQCGSCWAFSTIAAVEGINAIRTSNLTALSEQQLVDCDTKTGNAGCDGGLMDNAFQYIAKHGGVAASSAYPYRARQSSCKSSAASSPAVTIDGYEDVPANSESALKKAVANQPVSVAIEAGGSHFQFYSEGVFAGKCGTELDHGVAAVGYGTTVDGTKYWIVRNSWGADWGEKGYIRMKRDVSAKEGLCGIAMEASYPIKTSPNPAPKKIKKVTDDDEDDDDEEHRHHDEL >ORUFI08G25840.1 pep chromosome:OR_W1943:8:25386331:25391162:1 gene:ORUFI08G25840 transcript:ORUFI08G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRLWSSGASDKNKAMVEQLQRYGIIKSSKVAQVMETIDRGLFVPPGASPYFDSPMPIGYNATISAPHMHASCLELLEKHLQPGMRALDVGSGLPYLIYSVSLNHFNLFREGTGYLTACFAIMVGPEGRAVGVEHIPELVTSSIENIKKSAAAPQLTDGSLSIHITDGREGWPELAPYDAIHVGAAAPQIPQALIEQLKPGGRMVIPVGTMFQELKVVDKNQDGKVSIRDETAVRYVPLTSKDAQLQAN >ORUFI08G25840.2 pep chromosome:OR_W1943:8:25386331:25391162:1 gene:ORUFI08G25840 transcript:ORUFI08G25840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRLWSSGASDKNKAMVEQLQRYGIIKSSKVAQVMETIDRGLFVPPGASPYFDSPMPIGYNATISAPHMHASCLELLEKHLQPGMRALDVGSGTGYLTACFAIMVGPEGRAVGVEHIPELVTSSIENIKKSAAAPQLTDGSLSIHITDGREGWPELAPYDAIHVGAAAPQIPQALIEQLKPGGRMVIPVGTMFQELKVVDKNQDGKVSIRDETAVRYVPLTSKDAQLQAN >ORUFI08G25850.1 pep chromosome:OR_W1943:8:25389568:25391095:-1 gene:ORUFI08G25850 transcript:ORUFI08G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTSVTSLAMAMAAAASADATQAPHKLSALPFHHLLFSRAPPLLRASRRLPLAPLVASSDAAAAAEAGVEWSETEEADEGSQEEEEEEVVEEQAEAEEEVVASVEEEEEEAVEEEFAAEPPEEAKVYVGNLPYDVDSEGLAQLFEQAGVVEVAEVIYNRETGQSRGFGFVTMSTIEEADKAIEMLNRYDINGRLLNVNRAAPRGSRVERPPRQFAPAFRAYVGNLPWQVDDSRLLQLFSEHGEVVNASVVYDRESGRSRGFGFVSMASKEELDDAISALDGQELDGRPLRVNVAAERPQRGF >ORUFI08G25860.1 pep chromosome:OR_W1943:8:25393117:25394136:-1 gene:ORUFI08G25860 transcript:ORUFI08G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQPPNAVAVRATAAGKEPLFSFGVIADVQYADIPDGRSFLGVPRYYRHSLTILQRAVRSWNAHNHKHPVAGGGVRFCVNFGDIVDGFCPKDRSLAAVQAVVAEFDRFHGPAYHMLGNHCLYNLPRSKLVSLLRMPSGRAYYDFSPFPGYRFVVLDAYDFSAVGWPRGHPVAAAARRFLDERNPNADKNSPSGLAGVDRRFVMFNGAVGEAQLRWLDGVLRGAARRREAAVVCSHLPLHPGAASPSGLMWNYEEVMRVVHRHGCVAACLAGHDHRGGYGVDARGVHHRTLEAALECPPGTDAFGRVDVYPDGLTLVGAGRMGSTDMRLQLHSPVTELS >ORUFI08G25870.1 pep chromosome:OR_W1943:8:25396925:25397827:1 gene:ORUFI08G25870 transcript:ORUFI08G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSCFGDSSVGIAAAAAGDSGGGGGGALDRSLQAATTTVYGASLHSGKELLIRVTWTRSAAGATGLAVAFDDALSPSSRCAHHVLHKKRGSRSLATAAGTAVGVHWDTAEATYASGSSPEPTGDYYLAVVADAELALLLGEGGAARDLSRRFGDDGGGAVVLSRREQLRGAATAHTTRCRFREGGAEHEVAVHATRGGGGGGEGEVRVSIDGKRVAEVRRVGWGFRGNRAAVLADGEVVDVMWDVHDWWFGRGGGGGGAGAGAQFMVRARAEKEGRLWMADQPPARGGFFLHVQCYRR >ORUFI08G25880.1 pep chromosome:OR_W1943:8:25398116:25401914:-1 gene:ORUFI08G25880 transcript:ORUFI08G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAASSAACSSPAPNPTTRYPVRRRVPRPPLVAASRHCTASPFPTTISISSSGLGQARPRDPFLNPRLRFAAAAAAAEGEMAAEASAAAAEGAQAKPFSVLFVCLGNICRSPAAEAVFRNLVSKRGLESKFLIDSAGTIGYHEVGNKADSRMRSASKKRGIEVTSISRPVKPSDFRDFDLILAMDRQNYEDLLSSFERWRHREPLPDSGPKKVKLMCSYCKRHTESEVPDPYYGGPQGFEKVLDLLEDACESLLDSIVAENASVSA >ORUFI08G25890.1 pep chromosome:OR_W1943:8:25402355:25412378:1 gene:ORUFI08G25890 transcript:ORUFI08G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASDAPASSTITTATDDAEVERDQGNGNGAVSAAPAAVGKEAAAEEEEMIGPAPVPPRPRKKRPLQFEQAFLDALPSAAMYEKSYMHRDVVTHVAVSPADYFITGSADVMQVSVDGLLCCTISSDWSVKIYDVVNYDMMFMMRLPFVPGAIEWVYRQGDVKPKLAVSDRNTPFVHIYDTHSGSNDPIISKEIHAGPVKVMKYNHVHDVVISADAKGLLEYWSPSTLKFPEDAVNFRLKTDTNLFEIAKCKTSVSAIEMSNDGTQFVVTSPDRRIRVFWFKTGKLRRVYDESLEIINLHTNKVSRILGKVENNERFLRIALYQGDKGNKKVRKIPSVAANVNDSKEPLSDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPPEELLAVSDLGKTATTSLPDNLVMHTSMGDIHLRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQQIEKVKTDKNDKPYQDVKILNVTVPKT >ORUFI08G25890.2 pep chromosome:OR_W1943:8:25402355:25408620:1 gene:ORUFI08G25890 transcript:ORUFI08G25890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASDAPASSTITTATDDAEVERDQGNGNGAVSAAPAAVGKEAAAEEEEMIGPAPVPPRPRKKRPLQFEQAFLDALPSAAMYEKSYMHRDVVTHVAVSPADYFITGSADVMQVSVDGLLCCTISSDWSVKIYDVVNYDMMFMMRLPFVPGAIEWVYRQGDVKPKLAVSDRNTPFVHIYDTHSGSNDPIISKEIHAGPVKVMKYNHVHDVVISADAKGLLEYWSPSTLKFPEDAVNFRLKTDTNLFEIAKCKTSVSAIEMSNDGTQFVVTSPDRRIRVFWFKTGKLRRVYDESLEIINLHTNKVSRILGKVENNERFLRIALYQGDKGNKKVRKIPSVAANVNDSKEPLSDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPPEELLAVSDLGKTATTSLPDNLVMHTSMGDIHLRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQQIEKVKTDKNDKPYQDVKILNVTVPKT >ORUFI08G25890.3 pep chromosome:OR_W1943:8:25408725:25412378:1 gene:ORUFI08G25890 transcript:ORUFI08G25890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKHFTYVILGGGVAAGYAAREFAKQGVKPGELAIISKESVAPYERPALSKGYLFPQNAARLPGFHTCVGSGGERLLPEWYSEKGIELILSTEIVKADLASKTLTSSADATFTYDTLLIATGSSVIKLTDFGVQGAEANDILYLRDIEDADKLVAAMQAKKDGKAVIVGGGYIGLELSAALKTNNFDVTMVYPEPWCMPRLFTSGLAAFYEGYYANKGIHIIKGTVAVGFDADANGDVTAVKLKNGNVLEADIVIVGVGGRPLTHLFKGQVAEEKGGIKTDAFFETSVPGVYAIADVAAFPMKLYNEIRRVEHVDHARKSAEQAVKAIKAKEAGESVPEYDYLPYFYSRSFDLSWQFYGDNVGEDVLFGDNDPTAAKPKFGSYWIKDGKVVGVFLEGGSAEENQVIAKVARAQPPVADVEALKKEGLDFAAKV >ORUFI08G25900.1 pep chromosome:OR_W1943:8:25413562:25418574:1 gene:ORUFI08G25900 transcript:ORUFI08G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALTSHLNALVNNMFAMGLLDDQFQQLQMLQDSTAPDFVSEVVTLFCDDGERIICELSRQLEKPNVDFDRVDSYVHQLKGSSASVGAQKVKNTCIQFREFCQQRSRDGCLKTLDLVRTEFYDLRNKFQAMLQLEQQIQACYPKH >ORUFI08G25910.1 pep chromosome:OR_W1943:8:25424864:25433495:1 gene:ORUFI08G25910 transcript:ORUFI08G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASDRLDAERIIEYFKGKSILITGATGFLGKILVEKILRVQPDVKKIYLLVRAIDQASANQRVQSEVTETELFSVVKEKHGKGFSRFIEEKVVALAGDIIYDDLGLDPPLLQHLADNLDVIVNGAATTNFYGRYDVSLDVNVLGVKHLCQLAKKCRGLKVFLHVSTAYAGGEQEGLIQERAFEEGWALREGMHLDVDAELRLVADVRREVEDDDDKARRKAMKELGLARARHFGWSNTYVFTKAMGEMVLSRMLLQSSSPAPAITGAGGVVVVRPSIITSIQRDPVPGWMQGTRTIDTLIIGYAKQNLSCFLGDLDLVMDVIPGDMVVNAMMAAAVAHSGEAGQERPAVYHVSSSLRNPAAYSVLYEAGRRHFTEKPRVGKRGEVIPTKEMHFFKTIASFQVYMLVKYRLPLEILHLVNLLLCGLFSRLYSNLARQYRYVMHLVDVYGPFAFFKGCFDDINLERLRQRMGKSRNPQDDEMFNFDPKTIDWEDYFYRIHIPGVLKYILK >ORUFI08G25920.1 pep chromosome:OR_W1943:8:25431829:25435113:-1 gene:ORUFI08G25920 transcript:ORUFI08G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEQIHRDKLIIDTDPGIATENTSEFQPSRKLLLANSFPYKLVPDDSMTILMAFRAPTVEIIGLTTIFGNTTTKNATQNALLLCERAGHPEVPVAEGSAEPLKGGEPRVADFVHGSDGLGNLFLPAPTSKKVDENAAEFMVNKVSQFPGEVSILALGPLTNVALAIKRDPSFASKVKKIVVLGGAFFAAGNVSPAAEANIYGDPEAADIVFTSGADVDVVGINITTQVCFTDEDLLELRNSKGKHAQFLCDMCQFYRDWHAESDGFHGIFLHDPVSFTALVHPEYFTFKKGVVRVETQGICTGHTLMDQGLKKWNSENPWSGYKPISVAWTVDVPNVLAFVKELLMAP >ORUFI08G25920.2 pep chromosome:OR_W1943:8:25431829:25435113:-1 gene:ORUFI08G25920 transcript:ORUFI08G25920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEQIHRDKLIIDTDPGIATDDSMTILMAFRAPTVEIIGLTTIFGNTTTKNATQNALLLCERAGHPEVPVAEGSAEPLKGGEPRVADFVHGSDGLGNLFLPAPTSKKVDENAAEFMVNKVSQFPGEVSILALGPLTNVALAIKRDPSFASKVKKIVVLGGAFFAAGNVSPAAEANIYGDPEAADIVFTSGADVDVVGINITTQVCFTDEDLLELRNSKGKHAQFLCDMCQFYRDWHAESDGFHGIFLHDPVSFTALVHPEYFTFKKGVVRVETQGICTGHTLMDQGLKKWNSENPWSGYKPISVAWTVDVPNVLAFVKELLMAP >ORUFI08G25920.3 pep chromosome:OR_W1943:8:25431829:25435113:-1 gene:ORUFI08G25920 transcript:ORUFI08G25920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEQIHRDKLIIDTDPGIDDSMTILMAFRAPTVEIIGLTTIFGNTTTKNATQNALLLCERAGHPEVPVAEGSAEPLKGGEPRVADFVHGSDGLGNLFLPAPTSKKVDENAAEFMVNKVSQFPGEVSILALGPLTNVALAIKRDPSFASKVKKIVVLGGAFFAAGNVSPAAEANIYGDPEAADIVFTSGADVDVVGINITTQVCFTDEDLLELRNSKGKHAQFLCDMCQFYRDWHAESDGFHGIFLHDPVSFTALVHPEYFTFKKGVVRVETQGICTGHTLMDQGLKKWNSENPWSGYKPISVAWTVDVPNVLAFVKELLMAP >ORUFI08G25930.1 pep chromosome:OR_W1943:8:25437924:25440473:1 gene:ORUFI08G25930 transcript:ORUFI08G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQTEALKEAISQVVADCKEKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPYRVF >ORUFI08G25940.1 pep chromosome:OR_W1943:8:25440749:25442844:-1 gene:ORUFI08G25940 transcript:ORUFI08G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYNRYGYGGYGSTPSAPPASSYGYTTTPSAPPASSSSSYGYGHGGGGYPSSTYPPPPPSSSQAYPMGMGGFLVFPPGTHPDVERAFRAVDRDGSGSIDERELQDALSSAYHRFSIRTVRLLLFLFNKPASHSPSRMGKPATLLPCAPNHISSLHRFNHAQKMKFSFSLFAVLMSSRVRVTVELPWAMAVLPIGLISVLGGNIQGIFDRYDRDGSGKIEKDELREALRSLGYAVPPSVLELLIANYNNGVSSRGALDFDNFVECGMIVKGLTEKFKEKDTRYSGSATLSYDGFLSMVIPFIVP >ORUFI08G25950.1 pep chromosome:OR_W1943:8:25446815:25449950:1 gene:ORUFI08G25950 transcript:ORUFI08G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALHLPPLLLARRLRFSSAAASTSTSRRTTRLSAQLDDTAAASTSTSTSDKPAAASSFAPPPDFKPPEPKTFEVKPGQSDDIVTASLAIPFRLGTGVFALGYSVSLVSPDEVAPDEYALDFQGRKVKESSKIGQCPRPEKPIEIYEFEGCPFCRKVREMVAVLDLDVLFYPCPKNGPTFRPKVLEMGGKQQFPYMVDPNTGVAMYESDAIIKYLADKYGDGTVPIMLSLGILTTITAGLAMSGRSGKGSKYTPAKLPPEPIELWAYEGSPFCKIVRETLVELELPHLLHSCARGSPRRQEFLKKYGIFQAPYIEDPNTGVKMFESADIIDYLRATYAA >ORUFI08G25960.1 pep chromosome:OR_W1943:8:25451575:25457348:-1 gene:ORUFI08G25960 transcript:ORUFI08G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQDKEKSVNVQVLLRCRPFSDDEVRSNAPQVITCNDYQREVAVTQTIAGKQIDRVFTFDKVFGPTAKQRDLYDQAIIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEVVQRLPADAGVIPRAVKQIFDTLESQNTEYSVKVTFLELYNEEITDLLAPEEISKAALEERQKKPLPLMEDGKGGVLVRGLEEEIVTNASEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKAMADQIEQMTTSLEANQKQINDLQEKYDSELQHSADLSKKLEATEKCLDHTSNLLSTTKEDLKQAQYNLKEKDYIISEQRKAENALIQQACLLRSDLEKSNRENAALYSKIARGDKLNAANRSVVNSFQADLASKLDILSTTLATSIDQQNKHLKSVENLCKSCVDSHDTATSEIKKKILASKALYMSHMEAFQNVVLLHKANSNSTLEDISSLSAASSCVEGEAQKIFGDIQNLLADHRSEVAHFTQELRESFRISLDRTKDMSSFILGLFDKYVEETSKLQSHSNHTHEAQVKSLEDFQKAYEEQSKSEEQKLLADITSLVSKHVTRQRELVGGRLNSLGDAARGNKAFLDEHTSAMEVVTKDAKRKWEMFAEQAENDCKVGSNFSAAKHCRMETILQEWSAIETNEQHEAEIASSRATAEEHASNSSKDLLQDVDNMLQEARNSSSRVVSTVEAHLGESQHLQESHSSHTAGINTHADNAFQSSYKDYEPTGETPVRSEPEVPSKDAIESLRAMPMESLMDEFRENHPYEPSKDRRPSLIPRSPLATINN >ORUFI08G25960.2 pep chromosome:OR_W1943:8:25451575:25457348:-1 gene:ORUFI08G25960 transcript:ORUFI08G25960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQDKEKSVNVQVLLRCRPFSDDEVRSNAPQVITCNDYQREVAVTQTIAGKQIDRVFTFDKVFGPTAKQRDLYDQAIIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECRRAKASLRCCCSFIMCLPLPKGCLLFVFLSSKYLQSGPKGQLPADAGVIPRAVKQIFDTLESQNTEYSVKVTFLELYNEEITDLLAPEEISKAALEERQKKPLPLMEDGKGGVLVRGLEEEIVTNASEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKAMADQIEQMTTSLEANQKQINDLQEKYDSELQHSADLSKKLEATEKCLDHTSNLLSTTKEDLKQAQYNLKEKDYIISEQRKAENALIQQACLLRSDLEKSNRENAALYSKIARGDKLNAANRSVVNSFQADLASKLDILSTTLATSIDQQNKHLKSVENLCKSCVDSHDTATSEIKKKILASKALYMSHMEAFQNVVLLHKANSNSTLEDISSLSAASSCVEGEAQKIFGDIQNLLADHRSEVAHFTQELRESFRISLDRTKDMSSFILGLFDKYVEETSKLQSHSNHTHEAQVKSLEDFQKAYEEQSKSEEQKLLADITSLVSKHVTRQRELVGGRLNSLGDAARGNKAFLDEHTSAMEVVTKDAKRKWEMFAEQAENDCKVGSNFSAAKHCRMETILQEWSAIETNEQHEAEIASSRATAEEHASNSSKDLLQDVDNMLQEARNSSSRVVSTVEAHLGESQHLQESHSSHTAGINTHADNAFQSSYKDYEPTGETPVRSEPEVPSKDAIESLRAMPMESLMDEFRENHPYEPSKDRRPSLIPRSPLATINN >ORUFI08G25970.1 pep chromosome:OR_W1943:8:25465164:25471466:-1 gene:ORUFI08G25970 transcript:ORUFI08G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPTRGGVRGGRDQFKWDDVKVDKHRENYLGHSIKAPVGRWQKGKDLFWYTKDKKSDSEDALKEEIRRVKEEEEQSMREALGLVPKRSSRPKGNRLDKHEYAELIKRGSTAEDLGAGHAEAAQVQGLGLYKGPRREEESSSFNLDPPEMVPAEQADNPPPETKPEREDSDDDRRSKRGREERGGEKERKRERHSEGKERRRDKQEKRSRHESDDRSKRHRKDKHKRRHDSDSDYVRVTRLDEGQIPKARHGTHALEFTFTFSASRERERKREATISALLEGAMNGNKQSLIYSFVAKGSVVLAEHTAFSGNFSTIAVQCLQKLPPNTSKSTYSCDGHTFNFLVDRGFVFLVVADEAVGRSVPFVFLDRVKEDFMQRYGSSIDEEGQHPLADDADDDDFLLEDRFSIAYNLDREFGPRLKDHMLYCINHPEEISKLSKVKAHLTEVKGIMMDNIEKILERGEKIELLVGKTETLQSQADSFHRHGRELRRKMWLQNLRFKLMVGGAVAALILFLWLIICGGFKC >ORUFI08G25980.1 pep chromosome:OR_W1943:8:25467620:25471311:1 gene:ORUFI08G25980 transcript:ORUFI08G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTERVDSAMSCFILMTGIAMPPFIKCNTDELEPTSKTGRDAIKREEGASTNQQLEPYSHSESLATASKP >ORUFI08G25990.1 pep chromosome:OR_W1943:8:25471780:25476792:1 gene:ORUFI08G25990 transcript:ORUFI08G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSEVLKEAISQVVGESKEKGRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPIRAIVNET >ORUFI08G26000.1 pep chromosome:OR_W1943:8:25477239:25479124:-1 gene:ORUFI08G26000 transcript:ORUFI08G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVVAAASRVQARLAARLAPRRLLSSGGKVLGEEEKAAENIYIKKMEQEKLEKLARQILNACMVPAQESKAHLLLPLMPKVAQLLVRRPSRTRTTPLIAGAVGVLGASAIAWYRLSKPEKSEEVAN >ORUFI08G26010.1 pep chromosome:OR_W1943:8:25480315:25483189:1 gene:ORUFI08G26010 transcript:ORUFI08G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGSVAPAAWSGMFVPTQTVGTVLCCICGVAMQPNPTNMCTRCIRAGVNIIEGVPRHAAVYCPDCTSYLQPPRSWLRAAPESPELMQILLHGLNRPLARPSPAPSSSSPSPTPSASTSSSAEWIPVLDGAHARSPSPVLTPALRPSSPPRHRLASEDRVRRHDGEPRVSKARACQSPRPPRGEGIPETASRENPSAAAVAASLLLCSPRRRRRLRATAAPEMAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAINDLMTRGLIRMVSVHSSQQIYTRATNT >ORUFI08G26020.1 pep chromosome:OR_W1943:8:25486689:25494776:1 gene:ORUFI08G26020 transcript:ORUFI08G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPGMDSTEGRESNGVVPERNGGAVPAKQQLDGKDTLRYANILRSRNKFAEALQLYNNVLEKDEANVEALIGKGICLQAQSLPMQAIECFNEAVRIDPGNACALTYCGMIYKDEGHLVEAAEFVVINTRSLKCCICGSLKAKYSNAEAYQKARNADPSYKPAAEFLAIVLTDLGTSLKLAGNTEEGIQKYCEALEVDSHYAPAYYNLGVVYSEMMQFDLALTCYEKAALERPLYAEAYCNMGVIYKNRGELEAAIACYERCLTISPNFEIAKNNMAIALTDLGTKVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEMLNFEMAIVFYELALHFNPRCAEACNNLGVIYKDRDNLDKAVECYQGKMDAASSMIQKAIFANSTYAEAYNNLGVLYRDAGSITSAVQAYEKCLQIDPDSRNAGQNRLLALNYIDEGFDDKLYQAHREWGKRFLKLYPQYTSWDNPKVADRPLVIGYVSPDYFTHSVSYFIEAPLAHHDYSNYKVVVYSGVVKADAKTLRFKDKVLKKGGLWRDIYGIDEKKVASLVREDKVDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPTIDYRITDSLADPPDTTQNLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLTKVGLGRLVAKSENEYVSLALDLAADVTALQELRMSLRGLMAKSPVCDGENFTRGLESAYRNMWLRYCDGDAPALRRLDLLQEEPCSNNNKQDFDDNQVAKLADLKAQRVDAAVDGDKQSQLTAHAAVVGEVQQAPIMVNGVSSPVSSGKVEANGHISR >ORUFI08G26030.1 pep chromosome:OR_W1943:8:25495426:25498857:-1 gene:ORUFI08G26030 transcript:ORUFI08G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSKADRIVRRTAMIGAATAAYFLLTADYGPDYPNPRSPRICGLPSSSEERRESLLFSSLLAPPPCPVPKMWGSADGGTPEVTLETSMGAFTIEMYYKHAPKTCRNFLELSRRGYYDNVIFHRIIKDFIVQGGDPTGTGRGGESIYGAKFEDEIRPELKHTGAGILSMANAGPNTNGSQFFITLAPCQSLDGKHTIFGRVSKGMEIVKRLGSVQTDKSDRPIHEVKILRTVVKD >ORUFI08G26040.1 pep chromosome:OR_W1943:8:25499640:25504676:1 gene:ORUFI08G26040 transcript:ORUFI08G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLALTSPSLPAPATASGRRRRRLERIRATAVSDEPKLNKYSARITEPKSQGASQAVLYGVGLTDADLRKPQVGVSSVWYEGNTCNMHLLRLAEAVRDGVREAGMVAFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQHYDANISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGNSYDIVSAFQCYGEFVTGSISDEQRKNVLRNSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKLEECRLAGKYLLELLKMDLKPKDIITEKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLQLTLDDFQKVSDQVPFLADLKPSGKYVMEDLHKIGGTPAVIHYLLEQGLLDGDCMTVTGKTLAENAKIFPPLSEGQQIIRPLDNPIKSTGHIQILYGNLAPEGSVAKITGKEGMFFSGPALVFEGEESMITAISENPANFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVQNGDKITIDVVKRVIDVELTEAELEERRRKWTPPPHKAARGALWKYTKLVSPASRGCVTDE >ORUFI08G26050.1 pep chromosome:OR_W1943:8:25504859:25506788:1 gene:ORUFI08G26050 transcript:ORUFI08G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQPSEKKPPASGGEKKAPLPKVVTLNKALKLAQTWVDKMSASDQDEPKAKDFEGRPPGLGLGAKVAPNVKRAAPTDPVERRLLGKVNAQKRKATEEEKTIAQEVNDDSDDDSGETESRTSAFSKKRTAPSVTAMPLGKKAR >ORUFI08G26050.2 pep chromosome:OR_W1943:8:25504859:25506788:1 gene:ORUFI08G26050 transcript:ORUFI08G26050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQPSEKKPPASGGEKKAPLPKVVTLNKALKLAQTWVDKMSASDQDEPKAKDFEGRPPGLGLGAKVAPNVKRAAPTDPVERRLLGKVNAQKRKATEEEKTIAQEVNDDSDDDSGETESRTSAFSKKRTAPSVTAMPLGKKAR >ORUFI08G26060.1 pep chromosome:OR_W1943:8:25506507:25508518:-1 gene:ORUFI08G26060 transcript:ORUFI08G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQPKVAMHKITTSLHLVHRILDSILDRAYDDKLDRLYESVNNDDQRGGLKILASQAHHIHELAKEVQINFSGYMEMQRKEEKRFGSMISSLKKENQDIRSMLKIAVTEKEAAENRLCVLKGDKDQGRSAILQIAEKGLHKVGFGFIMDVITGESEREENMSSNSATEISTTGRESDQGVDSLTSVVGKTMENMQNEINDLRQALHKSRSDCDRLQLLAAEQAQKIVKYELHIKDLEEREIFLLHSVEELTVDLKEVEREAARWREACELEVEAGKDAIKQLNQEVALLTEELRRVKADLEAANSKLQLKEKLAATAMAAQAAADECLKLADSRSAGLQLRIEEMTKQIEQEDEHAGKERGSAHRRTRYACWPLRRLRVISASSSARKWFVDQNGRLPPGTEALLQIRI >ORUFI08G26070.1 pep chromosome:OR_W1943:8:25509350:25515738:1 gene:ORUFI08G26070 transcript:ORUFI08G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASPPVPSKVDASSRPDRSPRPQSLEISWDSQALCKRAESCELEAEAPSINPTLLPVLEDLLIELYAILRPKPDDYEQRHLMIDVFNKIAEEIYGKKKGFPVVEAFGSFTMDLFTSKSDLDLSVNFNADFHSQFARKDKISVIRNLAKVLYAHQRNGRCHGVLPVVTAKVPVLKVIDKGTGVECDISVENKDGMSRSMIFKLISSIDERFQILCYLMKFWAKAHDVNCPRDRTMSSMAIISLVAFHLQTRRPPILPAFSALLKDGPDFPSIQRNVSLVEGFGSRNKESVAELFVSLMSKLLSVEGLWKQGLCASNFEGSWIFKTWERGVGNLSVEDFLDRSQNFARAVGKEEMQKISECIRVAVLNLNNFFRGKIDAPKLKNLLFEPPRQDELISNPSLKRPKRKDHPTHGPESNPQQQKKAKHIIGPESNQKQQKKVKHTVNPGPAASRSATNLHRPTAFVPQIRPIQPINQVSHIPQPLVDPMFAYGLPPQQHLHSAPLYSQGLLGQQQGNFIHLNPGIQPQQQAQHMFVPLLEQQPVINGFHPYDFHLAQLIQHNENIALQRNIAYGTYPYYRR >ORUFI08G26080.1 pep chromosome:OR_W1943:8:25524311:25526802:1 gene:ORUFI08G26080 transcript:ORUFI08G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDLVNAGEQQQMGSKRAAAEDGDGGVDDSREYYCRRGVRHLCDSGITRLPGNYVLPASDRPGQAAGAAAAAGGSVKLPVVDLSRLRVPSERGAVLRTLDAACREYGFFQVVNHGVGGEVVGGMLDVARRFFELPQPERERYMSADVRAPVRYGTSFNQVRDAVLCWRDFLKLACMPLAAVVESWPTSPADLREVASRYAEANQRVFMEVMEAALEALGVGGGGVMEDLAAGTQMMTVNCYPECPQPELTLGMPPHSDYGFLTLVLQDEVAGLQVMHAGEWLTVDPLPGSFVVNVGDHLEILSNGRYRSVLHRVKVNSRRLRVSVASFHSVAPERVVSPAPELIDDRHPRRYMDTDLATFLAYLASAAGNHKSFLHSRRLY >ORUFI08G26090.1 pep chromosome:OR_W1943:8:25538300:25548172:1 gene:ORUFI08G26090 transcript:ORUFI08G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGCRRGGLVNFKGGILTTSNEREYRLWFCSVKLWKRKKGMDQPNQTKPKDNKASKQQQGEKGGEGEGQSRQNMLHSFRGDAGCAPHARKKRVDSSVSSHSGNLVVPAAPSDSRRDINDADEWQCRHRQTQPAAGVVRRESKKGRKRLKRKDPNTTISWESLRDGPGHTRSLLLWNVAVGRLVEKATGVPSLTTNPSRASNTIIFELFLPPFKCDTIARLGFGVVNKLFVEVEPVAPFESEDSATTLAQHRNINTYT >ORUFI08G26100.1 pep chromosome:OR_W1943:8:25540913:25541865:-1 gene:ORUFI08G26100 transcript:ORUFI08G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRESEGAAGTTRLPEWLETLLSTRFFLACGAHPASPRNECNMFCLDCPSPSPPFCYYCRSHRHQSHRVIQIRRSSYHDVVRVTEVEDVLDISGVQTYVINSAKVLFLNERPQPRGAGAAAGKAAASPYNCQICARALLDPFRFCSLGCKLVDTKTGGRGATVQPGDATNDDAAAAGGSSKNGGARPQGRRRKGIPQRAPFGS >ORUFI08G26110.1 pep chromosome:OR_W1943:8:25550471:25556896:1 gene:ORUFI08G26110 transcript:ORUFI08G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLMRPVALLIYCTTASLFFLTSSSSAAIAGGTPDGSEEWGYVQVRPKAHMFWWLYRSPQRVNNKGSTPWPTVLWLQGGPGASGVGYGNFMEIGPLDTNLKPRPSTWLSKADLLFVDELNGAMRWQWQDNPVGTGFSYVEGGDRTLLARTDAEAATDLTTLLSQLYRSNNTRLQGSPLYIVAESYGGKFAVTTALAALKAIHAGRLAASLAGVALGNSWISPEDSVLSWGPLLYQVSRLDENGLYLSDSLAQQIKAQVKAAQFLEAENTWQSLESIILEQANFIDFYNFLKDDSSSDANLEQQQRQRLLASLGQSRRRYSSYLSSKVTTEGGFEGIMNTVIRDKLRIIPKNVTWSEQSDDVFEALAGDFMKPRILEVDELLNLGLNVTIYSGQLDLICATKGTLDWIQKLKWDGLKNFTNSRRVPLYCSGGEAAGTQAFLKSYKNLKFYWILGAGHMVPIDNPCPALKMLGDITQSPAQ >ORUFI08G26110.2 pep chromosome:OR_W1943:8:25550471:25556896:1 gene:ORUFI08G26110 transcript:ORUFI08G26110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLMRPVALLIYCTTASLFFLTSSSSAAIAGGTPDGSEEWGYVQVRPKAHMFWWLYRSPQRVNNKGSTPWPTVLWLQGGPGASGVGYGNFMEIGPLDTNLKPRPSTWLSKADLLFVDNPVGTGFSYVEGGDRTLLARTDAEAATDLTTLLSQLYRSNNTRLQGSPLYIVAESYGGKFAVTTALAALKAIHAGRLAASLAGVALGNSWISPEDSVLSWGPLLYQVSRLDENGLYLSDSLAQQIKAQVKAAQFLEAENTWQSLESIILEQANFIDFYNFLKDDSSSDANLEQQQRQRLLASLGQSRRRYSSYLSSKVTTEGGFEGIMNTVIRDKLRIIPKNVTWSEQSDDVFEALAGDFMKPRILEVDELLNLGLNVTIYSGQLDLICATKGTLDWIQKLKWDGLKNFTNSRRVPLYCSGGEAAGTQAFLKSYKNLKFYWILGAGHMVPIDNPCPALKMLGDITQSPAQ >ORUFI08G26120.1 pep chromosome:OR_W1943:8:25553736:25558285:-1 gene:ORUFI08G26120 transcript:ORUFI08G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIAGAVTRRLLLAPARRRAFWSSPWAEESPHSPGPSADENKKKKPSSHHRLAAVMDAVADRKLPPELRGRANAVRSETDIINVVEQRIWHSMEEGHFENLPGKGKPLNLNSNPHADPAEDTLYRILSRNGCAPEWVELNKEIRGMIARWRSALRKAWANRSEDDRSTWHDDHRLLQEQIRQINDKVFRYNLIVPFGRQMFGLNWDKELDKLKHVGHEAKGVEIMLVAAMHLAFNCDVEAKVEKLVDLKDSGFHEVSCKSFEHIV >ORUFI08G26120.2 pep chromosome:OR_W1943:8:25555524:25558285:-1 gene:ORUFI08G26120 transcript:ORUFI08G26120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIAGAVTRRLLLAPARRRAFWSSPWAEESPHSPGPSADENKKKKPSSHHRLAAVMDAVADRKLPPELRGRANAVRSETDIINVVEQRIWHSMEEGHFENLPGKGKPLNLNSNPHADPAEDTLYRILSRNGCAPEWVELNKEIRGMIARWRSALRKAWANRSEDDRSTWHDDHRLLQEQIRQINDKVFRYNLIVPFGRQMFGLNWDKELDKLKHVGHEAKGVEIMVKVEIKEKKNLQLVSACSVILLFSTEQEIV >ORUFI08G26130.1 pep chromosome:OR_W1943:8:25558707:25560981:1 gene:ORUFI08G26130 transcript:ORUFI08G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSADMERIFKRFDTNGDGKISLSELTDALRTLGSTSADEVQRMMAEIDTDGDGFIDFNEFISFCNANPGLMKDVAKVNSAVAAAAAGNDDIDAAASGKDDIDAATAAGNDEFAAAVACFNVAAATAAGKDDINAAAAGKDDIDAATTGNDEFATAATCFNAAAAGKDEFDAAAAACFNACRNPPLAAT >ORUFI08G26140.1 pep chromosome:OR_W1943:8:25562636:25565809:1 gene:ORUFI08G26140 transcript:ORUFI08G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRDLLAWYAIRGMYSDVLALAVTMVLDHEDFTGVRVKSGTLFDNILITDDPEYAKKFAEETWAKHKDAEKTAFDEAEKRRLEEDDEDEADDDKADVVAEQTKDSDDEKPQDIKVSADEKPNSSKYDSSLCEEG >ORUFI08G26150.1 pep chromosome:OR_W1943:8:25564814:25565425:-1 gene:ORUFI08G26150 transcript:ORUFI08G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATQASAAKCHLLAAWAPAKPRSSTLSMPTSRAPTSLRAAAEDQPAAAATEEKKPAPAGFVPPQLDPNTPSPIFGGSTGGLLRKAQVEEFYVITWTSPKEQVFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDGVYPEKVNAGRQGVGQNFRSIGKNVSPIEVKFTGKNVFDI >ORUFI08G26160.1 pep chromosome:OR_W1943:8:25566958:25567485:1 gene:ORUFI08G26160 transcript:ORUFI08G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAHALYKSENNDKTFTLEYMWRELKDQPKWRLILEEDSKNKRTKISESGAYTSSSNQETEEETSRKEKRPEGQKKAKVKLKGKGKKHAPSPLGDQPSQDFVLLNEAVKLRAEAVLKSTEATTKSAEAKKEQTRMEKYQTYLKLLDKDAANFNDVKLKRHEAILEKLATELAEE >ORUFI08G26170.1 pep chromosome:OR_W1943:8:25578929:25579364:1 gene:ORUFI08G26170 transcript:ORUFI08G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWDGRQCTRFVSSGVGAGNVHPLRKGEVRGDPCDIPFIYKRKTLPYESRGRLWKNQIPEKGWPSPSLHIQNLETL >ORUFI08G26180.1 pep chromosome:OR_W1943:8:25580864:25581775:1 gene:ORUFI08G26180 transcript:ORUFI08G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCRSRAPVGGVAAADLAALSAGGKGARRRGEGATPPRRRPYGLRRWCRGEQHVPAKQEKA >ORUFI08G26190.1 pep chromosome:OR_W1943:8:25586439:25589374:1 gene:ORUFI08G26190 transcript:ORUFI08G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEADARKVCGLPERVQLHGAMLALQFGYAGFHVVSRFALNMGISKLVFPVYRNIIALILLVPFAYFLEKKDRPQLTLSFVVQFFLLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAITFAMAAALRIERVRLDRRDGVAKVLGTLACVAGASVITLYKGPTIFGPKLQLQAVAEVPLRAAIAGEGKNWTLGCVYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGVIQFLIIAAFMERDADAWAFHSGSELFTILYAGFIASGVAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASLTLGESFYLGGIIGAVFIIAGLYLVLWGKSHERARLAKDAAAIATDRDAAPCRIIAAGKQSSSVTQPLLLPTSSSSDNAV >ORUFI08G26200.1 pep chromosome:OR_W1943:8:25591229:25593809:-1 gene:ORUFI08G26200 transcript:ORUFI08G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGTRTRRRAATASPGRNKVWVEPPGKTHHLRSPPPPPSPSSSKRVAVVYYLCRNRHLEHPHFIEVPLASPDGLYLRDVINRLNVLRGKGMAAMYSWSCKRSYKNGFVWHDLSEDDLVLPAQGNEYILKGSELLDRSPPDRQQNGVGEPKVETLKHPPEESPHSRGSQEGCSSSSSPSAVTKEASPPPPTPQPQQQAQSATLLPSSSASTNREDDQCRTPRSGSSGNMSPEPAGRVAPPLSEASSPGPLEYRVCKPIGAQDASTQTDDSERDAPERNSRMAGVCTENSTSDSEIQECHPRSTQPSPKGPGVVRESPPVCSSDASPGGRVETLESLIRAEASRRSSFRALEEEHLFAPMGVKFKPANLLMQLITCGSISVKDHRSFGLIPTYRPRFTQVEFPSPMFSTPLALRHLDNIPCNARTIGMRIPESEYFSGSLVETKKQDESGKGGTPTLKRSSSCSEDRVSDSNRDMESMAESGSFRCLPQTIKMISCKQSRSGTILSPNSDVRNSCSRQECSTRSSPLGSSKSASNRMTDLSLGKLSSSRVESFHEEKEKACFWSSGYNPIFTLV >ORUFI08G26200.2 pep chromosome:OR_W1943:8:25591229:25593809:-1 gene:ORUFI08G26200 transcript:ORUFI08G26200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGTRTRRRAATASPGRNKVWVEPPGKTHHLRSPPPPPSPSSSKRVAVVYYLCRNRHLEHPHFIEVPLASPDGLYLRGLYPSLFLVQASVFFFFPFSIPTNDAGGVDADVINRLNVLRGKGMAAMYSWSCKRSYKNGFVWHDLSEDDLVLPAQGNEYILKGSELLDRSPPDRQQNGVGEPKVETLKHPPEESPHSRGSQEGCSSSSSPSAVTKEASPPPPTPQPQQQAQSATLLPSSSASTNREDDQCRTPRSGSSGNMSPEPAGRVAPPLSEASSPGPLEYRVCKPIGAQDASTQTDDSERDAPERNSRMAGVCTENSTSDSEIQECHPRSTQPSPKGPGVVRESPPVCSSDASPGGRVETLESLIRAEASRRSSFRALEEEHLFAPMGVKFKPANLLMQLITCGSISVKDHRSFGLIPTYRPRFTQVEFPSPMFSTPLALRHLDNIPCNARTIGMRIPESEYFSGSLVETKKQDESGKGGTPTLKRSSSCSEDRVSDSNRDMESMAESGSFRCLPQTIKMISCKQSRSGTILSPNSDVRNSCSRQECSTRSSPLGSSKSASNRMTDLSLGKLSSSRVESFHEEKEKACFWSSGYNPIFTLV >ORUFI08G26210.1 pep chromosome:OR_W1943:8:25600099:25603328:1 gene:ORUFI08G26210 transcript:ORUFI08G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAILAAAMAAQTLLFSATAPPASLFQSPSSARPFHSLRLAAGPAGAAAARALVVADATKKAVAVLKGTSQVEGVVTLTQDDQGPTTVNVRVTGLTPGLHGFHLHEFGDTTNGCISTGPHFNPNNLTHGAPEDEVRHAGDLGNIVANAEGVAEATIVDKQIPLSGPNSVVGRAFVVHELEDDLGKGGHELSLSTGNAGGRLACGVVGLTPL >ORUFI08G26220.1 pep chromosome:OR_W1943:8:25603616:25604336:1 gene:ORUFI08G26220 transcript:ORUFI08G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVEVPPDPRLDLAGRSCRWVWRGLWRTKIGRQGTPVQGSHMSAELVWRWSITGENLVRPWSDRQRWFIPPPEGVVVLYHPSRVIAGRKPILGSFEPRRTAVAWRSVTLSGGRSGVSLLLGLCVGDVDVWMMLRPSRVVIL >ORUFI08G26230.1 pep chromosome:OR_W1943:8:25604672:25606109:1 gene:ORUFI08G26230 transcript:ORUFI08G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCTWALGRVVLAVVFLVALAAGDAAPPKVHRNHGKFTAGPWKQAHATFYGGRDGSGTLDGACGYKDTSKEGYGVQTVAVSTPLFGAGAGCGACYEVKCVDSPDGCKVGAAPLVVTATNLCPPNPGQSNDNGGWCNPPREHFDLSMPAFLQIAQEKAGIVPISYRRVPCVKVGGIRYTITGNPYFNLVMVSNVGGAGDVAGLSVKGNKRVKWTPLKRNWGQEWQTSEVLTGESLTFRVMTGDHRKATSWHVLPPDWQFGVTYQATKNFN >ORUFI08G26240.1 pep chromosome:OR_W1943:8:25608142:25615293:1 gene:ORUFI08G26240 transcript:ORUFI08G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDLSSPARSSPAPLSPRRGSLHLLLRRPRRPTLRCSLDAAPKQAQAQGPPAAVAAEEAPTARKECYGVFCTTYDLRADEKTKSWKSLVNVAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSIQALEGVAMELEDSLYPLLREVSIGIDPYVVFEDAEWALLIGAKPRGPGMERSALLDINGQIFAEQGKALNSVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNAKINGRPVKEVIKDTKWLEDEFTKTVQKRGGVLIQKWGRSSAASTAVSIVDAIRSLVNPTPEGDWFSTGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELVKDVAMDDFLWERIKKSEAELLAEKRCVAHLTGEGNAFCDLPGDTMLPGEM >ORUFI08G26250.1 pep chromosome:OR_W1943:8:25611508:25616268:-1 gene:ORUFI08G26250 transcript:ORUFI08G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPSSSSSSAPPAAAEATSLAPGFRFHPTDEELVSYYLKRKVHGRPLKVDAIAEVDLYKVEPWDLPARSRLRSRDSQWYFFSRLDRKHANRARTNRATAGGYWKTTGKDREVRNGPTTVGMKKTLVFHAGRAPKGERTNWVMHEYRLDGQTTIPPQDSFVVCRIFQKAGPGPQNGAQYGAPFVEEEWEEDDEDVGLLPVEEKDNSDDQEKEISGAMEKGYLQMSDLVQNLVDQNENGTIALPVSDNSNNSNHSEDVDGNSGDILSDQNLGSNFLHHVEPVEQNGLVLNENMFSSANAGDLFNISSPNDGFLELKDFADIADLENPLANESTIWPSDGWPWKSTDSMEAVNGASNEFSPLAGEQIFQPEELEQLLQSLQEDSHMGSTISDPPHSSITNLAKPEEDCLMFYDAPFDSSMCDDGFRQLNGFLGSPSTNLSGIDMVDDGMPYYDAMDDNLFNDLLSSVQPSAGSSSHAFSGPVLTQEVNNSTYTYSPTQKVLEPNFVVGAPSSARLPEADSQTKSSLIGKRFVKILDSISAPPAFAAAEFPASLRKSLAPISGAHHNTIRVSAEVISIGSLTPDSQDKWSLEKDEGMELLFSAGFEPDTRVHFGCNTITAVLRGGFCLFFFSAIMLLVSYEVGMCIYGNKMGNASFLGEQFSFTLKEL >ORUFI08G26250.2 pep chromosome:OR_W1943:8:25612571:25616268:-1 gene:ORUFI08G26250 transcript:ORUFI08G26250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPSSSSSSAPPAAAEATSLAPGFRFHPTDEELVSYYLKRKVHGRPLKVDAIAEVDLYKVEPWDLPARSRLRSRDSQWYFFSRLDRKHANRARTNRATAGGYWKTTGKDREVRNGPTTVGMKKTLVFHAGRAPKGERTNWVMHEYRLDGQTTIPPQDSFVVCRIFQKAGPGPQNGAQYGAPFVEEEWEEDDEDVGLLPVEEKDNSDDQEKEISGAMEKGYLQMSDLVQNLVDQNENGTIALPVSDNSNNSNHSEDVDGNSGDILSDQNLGSNFLHHVEPVEQNGLVLNENMFSSANAGDLFNISSPNDGFLELKDFADIADLENPLANESTIWPSDGWPWKSTDSMEAVNGASNEFSPLAGEQIFQPEELEQLLQSLQEDSHMGSTISDPPHSSITNLAKPEEDCLMFYDAPFDSSMCDDGFRQLNGFLGSPSTNLSGIDMVDDGMPYYDAMDDNLFNDLLSSVQPSAGSSSHAFSGPVLTQEVNNSTYTYSPTQKVLEPNFVVGAPSSARLPEADSQTKSSLIGKRFVKILDSISAPPAFAAAEFPASLRKSLAPISGAHHNTIRVSAEVISIGSLTPDSQDKWSLEKDEGMELLFSAGFEPDTRVHFGCNTITAVLRGGFCLFFFSAIMLLVSYEVGMCIYGK >ORUFI08G26260.1 pep chromosome:OR_W1943:8:25622214:25626046:-1 gene:ORUFI08G26260 transcript:ORUFI08G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAERRIRMWWRTAGRPKHLWGRRSMLYMGHSRIYMDHYYDPIELHGQPPPLLMIPGGGGGGGGGGISPYLVQSQHGHGGGVDGMEMEEGGGFMGEQPQCHPLLYNLSVLKDRVQQLHPLVGLAVAHNAHAHGPLDVSAADAIIQEIVAAASSMMYAFQLLCDLGTAPTTAPSQETAAASAVVVKNNDHAADAGQMEDDHLMQQQWQQNGSRQHDYSSHAHAPPVFHSETAAPAGATSATDTIIELDAAELLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKSKAALSNPTKLLAKGGDETMAAAARKYSCPQEGCRWNRRHAKFQPLKSVICAKNHYKRSHCPKMYVCNRCGRKHFSVLSDLRTHEKHCGDHRWLCSCGTSFSRKDKLIGHVSLFAGHQPVMPLDAPRAGKRQRSSSASVAGNIDDTTGIGMGAA >ORUFI08G26270.1 pep chromosome:OR_W1943:8:25630100:25631958:-1 gene:ORUFI08G26270 transcript:ORUFI08G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDNAPPSPVVTVTSTRTVAPAAAKCVLATFDLPYITFYYNQKLLLYRLPNGASDFPDAVARMSASLSDALAYFYPLAGRIRQDDHDGSLSIHGQHGAEVIEASADHVSVDQLAGEECSEEAEKVMQLLVPYTGVMNLEGLNRPLLAVQLTRLRDGVAVGCAFNHAVLDGTSTWHFMTSWAELCRGGGAPSLLPVHNRGMARSVRVNLPLPASAEAHEKTDPNGPKVPLVARVFSFPPSAVARAKAAANAALPPGAKPFSSFQSLAAHIWRAVSRARALGPSDITVFAVFADCRARLSPPLPPAYFGNLIQAVFTGVPAGMLLAGPPELAAGLLQKAIDDHDAAAITRRLEEYEAAPKLFHYSDAGPNCVAVGSSPRFRVYDVDFGFGRPERVRSGANNKFDGMVYLYPGRGGDGGIDVELSLQPEPMQRLDKDQDFLQMRAP >ORUFI08G26280.1 pep chromosome:OR_W1943:8:25637497:25638372:-1 gene:ORUFI08G26280 transcript:ORUFI08G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYEVEVTVSSARDLKNVNWRNGDLKPYAVLWVDDGAKCSTRVDLDNADNPNWDDKLTLPLPPSSRLEDAILYVDVVHANAAEGVKPLVGSARLPLRDVLDDAGVGARVSRSLRLKRPSGRPQGRLDLRLAVRESARYYDPSPYPAPYGQPAGTRDPYGAPPPAYGSGSGGQYPYGGGYGSGGYAAPPAGYPSAYGAPPQPAYGGAPPVAAYGAAGGAPVVVEETKKKSKMGMGTGLAVGAAAGVLGGLALAGGASYLEDKFEEGVAEKVEDDLDRDDDYGAGGYDDDY >ORUFI08G26290.1 pep chromosome:OR_W1943:8:25639779:25648119:-1 gene:ORUFI08G26290 transcript:ORUFI08G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLISPCQGSGLLNAGFLGVFSSHHYHRLPLSSSHRSSQLKHCYLPNLRKEVSHWTRPATPSLSLYSARLASKRTTCSVATEPPPSTTEEPEMDLPKEIFLKEYKKPDYLFDSVNLEFQLGEDKTIVTSKIAVSPGTEGTSSPLTLHGRDLKLLSIKVNGKDLKSEDYTVDSRHLTVSRPPGGTFNLEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMATYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLDCREDSFTTCSGRKVTLRIWTPGQDLAKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRTYQFPQDAGPMAHPIRPHSWTIFIPGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAIQEVPPTPGQPVKEPMFIPIAVGLVDSTGKDMPLTSIYSDGMLQSLTSDGQPVFTTVLQFNKKEEEFIFNNIPEKPVPSLLRGYSAPVRLDSDLTESDLFFLLANDSDEFNRWEAGQVLSRKLMLSLVADFQQQKTLALNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMPVADPDAVHAVRTFIKKELALQLKDDLLSTVTNNRSSEAYTFDHDSMARRALKNTCLAYLASLNEPDTTELAFIEYKSATNMTEQFAALAALSQNPGQVRDDTLLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVQKLLGHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDESRQALAKAQLEMIVSANGLSENVYEIASKSLAA >ORUFI08G26290.2 pep chromosome:OR_W1943:8:25639779:25648119:-1 gene:ORUFI08G26290 transcript:ORUFI08G26290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPKEIFLKEYKKPDYLFDSVNLEFQLGEDKTIVTSKIAVSPGTEGTSSPLTLHGRDLKLLSIKVNGKDLKSEDYTVDSRHLTVSRPPGGTFNLEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMATYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLDCREDSFTTCSGRKVTLRIWTPGQDLAKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRTYQFPQDAGPMAHPIRPHSWTIFIPGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAIQEVPPTPGQPVKEPMFIPIAVGLVDSTGKDMPLTSIYSDGMLQSLTSDGQPVFTTVLQFNKKEEEFIFNNIPEKPVPSLLRGYSAPVRLDSDLTESDLFFLLANDSDEFNRWEAGQVLSRKLMLSLVADFQQQKTLALNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMPVADPDAVHAVRTFIKKELALQLKDDLLSTVTNNRSSEAYTFDHDSMARRALKNTCLAYLASLNEPDTTELAFIEYKSATNMTEQFAALAALSQNPGQVRDDTLLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVQKLLGHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDESRQALAKAQLEMIVSANGLSENVYEIASKSLAA >ORUFI08G26290.3 pep chromosome:OR_W1943:8:25639779:25648119:-1 gene:ORUFI08G26290 transcript:ORUFI08G26290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLISPCQGSGLLNAGFLGVFSSHHYHRLPLSSSHRSSQLKHCYLPNLRKEVSHWTRPATPSLSLYSARLASKRTTCSVATEPPPSTTEEPEMDLPKEIFLKEYKKPDYLFDSVNLEFQLGEDKTIVTSKIAVSPGTEGTSSPLTLHGRDLKLLSIKVNGKDLKSEDYTVDSRHLTVSRPPGGTFNLEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMATYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLDCREDSFTTCSGRKVTLRIWTPGQDLAKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRTYQFPQDAGPMAHPIRPHSWTIFIPGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAIQEVPPTPGQPVKEPMFIPIAVGLVDSTGKDMPLTSIYSDGMLQSLTSDGQPVFTTVLQFNKKEEEFIFNNIPEKPVPSLLRGYSAPVRLDSDLTESDLFFLLANDSDEFNRLLSVSCFKSSSLLLEIFNLRILAFLRWEAGQVLSRKLMLSLVADFQQQKTLALNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMPVADPDAVHAVRTFIKKELALQLKDDLLSTVTNNRSSEAYTFDHDSMARRALKNTCLAYLASLNEPDTTELAFIEYKSATNMTEQFAALAALSQNPGQVRDDTLLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVQKLLGHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDESRQALAKAQLEMIVSANGLSENVYEIASKSLAA >ORUFI08G26290.4 pep chromosome:OR_W1943:8:25639779:25648119:-1 gene:ORUFI08G26290 transcript:ORUFI08G26290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPKEIFLKEYKKPDYLFDSVNLEFQLGEDKTIVTSKIAVSPGTEGTSSPLTLHGRDLKLLSIKVNGKDLKSEDYTVDSRHLTVSRPPGGTFNLEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMATYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLDCREDSFTTCSGRKVTLRIWTPGQDLAKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRTYQFPQDAGPMAHPIRPHSWTIFIPGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAIQEVPPTPGQPVKEPMFIPIAVGLVDSTGKDMPLTSIYSDGMLQSLTSDGQPVFTTVLQFNKKEEEFIFNNIPEKPVPSLLRGYSAPVRLDSDLTESDLFFLLANDSDEFNRWEAGQVLSRKLMLSLVADFQQQKTLALNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMPVADPDAVHAVRTFIKKELALQLKDDLLSTVTNNRSSEAYTFDHDSMARRALKNTCLAYLASLNEPDTTELAFIEYKSATNMTEQFAALAALSQNPGQVRDDTLLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVQKLLGHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDESRQALAKAQLEMIVSANGLSENVYEIASKSLAA >ORUFI08G26300.1 pep chromosome:OR_W1943:8:25650822:25652610:-1 gene:ORUFI08G26300 transcript:ORUFI08G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRHTFDQSRERSAACCCCSPTKAMGSVSPPAPEEDAAAVESAGAAARMFWHETKRLWAIGTPIAIGTITNYSISSVTTMFIGHLGNLPLAAASIGLSVFATFALGFLLGMGSALETLCGQAFGAGQVSMLGVYLQRSWIILFGATLLMVPVFVLAEPLLLLVGQDPELARAAGRFTLYVLPGVFAFAVNFPTQKFLQAQSKVAVLAWIGVAGLAFHVAITYLAVSVLGWGLPGAAAAYDVSQWASSLAQAAYIMGWCREGWRGWSMAAFHDLAAFLRLSIESAVMLCLEIWYLGLLTVLTGDLDDAQMAVDSLGICMNINGYEGMIFIGLNAAISVRVSNELGSGRPRAAMHAVVVVVAESLLIGLLCMALVLAFSDKLALVYTSDADLLRAVSRIAGLLGVTMVLNSVQPVLSGVAVGGGWQGLVAYINLACYYLFGLPVGYLLGYYFNLGVGGVWGGMLCGIALQTLILLFVVWRTDWKSEAAQASARVHKWGGTDETKQPLLQGDHSDRD >ORUFI08G26310.1 pep chromosome:OR_W1943:8:25662321:25663031:-1 gene:ORUFI08G26310 transcript:ORUFI08G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEPSGDHDHDHELNGTSGGGGEPKDGAVVPGRNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVAESIAHFARRRQRGVCVLSGAGTVTDVAMRQPAAPSAVVALRGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGTLTAAGPVMVIASTFANATYERLPLDQEDQEEAAAGGMMAPPPLMAGAADPLLFSGGMHDAGLAAWHHARPPPPY >ORUFI08G26320.1 pep chromosome:OR_W1943:8:25669427:25672029:-1 gene:ORUFI08G26320 transcript:ORUFI08G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRDFRSHRAALFDGIEEGAIRSSAYSSQIHEHENDQAMDSLHDRVSVLKRLTGDIHEEVENHNRMLDRMGNDMDASRGFLSGTVDKFKMVFETKASRRMATMVASFISVFFLIYYLTK >ORUFI08G26330.1 pep chromosome:OR_W1943:8:25672347:25678416:1 gene:ORUFI08G26330 transcript:ORUFI08G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPHAHVQRRRRPQVISIFIGVHEEKRSLDGCLPPFIGNPRDETREGCGQSNSRARSLDGRQGIKGSTHEAHLAENKCRATDRSYRFALAEKMGLLKGTPKGPMAALAGENASHSKV >ORUFI08G26340.1 pep chromosome:OR_W1943:8:25673490:25674590:-1 gene:ORUFI08G26340 transcript:ORUFI08G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLGSPCGACKFLRRKCVKGCVFAPYFCHEQGAAHFAAIHKVFGASNASKILMHLPVSDRCEAAVTMSYEAQARLRDPIYGCVAHIFSLQQQVVSLQAQLESLKAQATQGYGDGCSISSPQNDSCGNMLTSIVQDEQQFVGPTMASNSSVKNENHSYIANGHLAPMSAQSSQGFEAELCMAEYSNTNPCCSVQGNWYHDMEDLQSVAFAYLNQA >ORUFI08G26350.1 pep chromosome:OR_W1943:8:25681061:25681777:-1 gene:ORUFI08G26350 transcript:ORUFI08G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALSGGSLLVLSVSVVGILFTSILLLAYYLFLACSWRRRSHQTARPTPPLPSFFLAADQPRRGLGLEEAAIRRIPTLRYQQQQQHNKQQQCGVCLGEFREGERLRRLPPCLHSFHIDCIDAWLATALTCPLCRAHVTVDTNHIAAATTSTRHDDDQLLSGVHQPMRRSFSLDSCHLYLAIILHPHQLSDSDTRSRSRREPKPAVLESERPSRTLRRSFFSFSHTTTSPVPTPILPI >ORUFI08G26360.1 pep chromosome:OR_W1943:8:25685034:25685444:1 gene:ORUFI08G26360 transcript:ORUFI08G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGGGGWDDQGNGGGETTKYRGVRRRPSGKFAAEIRDSSRQSVRVWLGTFDTAEEAARAYDRAAYAMRGHLAVLNFPAEARNYVRGSGSSSSSRQHQQRQVIELECLDDQVLQEMLKGGDDQYRSAAGSKRNNY >ORUFI08G26370.1 pep chromosome:OR_W1943:8:25694832:25696436:1 gene:ORUFI08G26370 transcript:ORUFI08G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGEQQQLEVLHALDVAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYRVDGSPSPGTLPPHVSASVNGVAFVGTLSGQLFFGWLGDKLGRKRVYGITLMLMVLCSLASALSFGHTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGIITGGLVAILVSASFRAAFPAPPYGEDPVASTPPQADFVWRIILMLGALPAALTYYWRTKMPETARYTALVANNAKQAAADMSKVLQVVEMRNIGNNGGSRRPFGLFSGEFVRRHGLHLVGTSATWLLLDIAFYSQNLFQKDIFSAVGWIPKAATMSALEELFRIARAQTLIALCGTVPGYWFTVALIDVVGRFKIQAVGFFMMTLFMLTLALPYHHWTAPGKNHVGFLLLYGLTFFFANFGPNSTTFIVPAEIFPARLRATCHGISAASGKLGAIVGSFGFLYLAQSPDRSKTEHGYPPGIGVRNSLFLLAACNLLGLLFTFLVPESKGKSLEEMSGDAEAQEEAPPPLQTVL >ORUFI08G26380.1 pep chromosome:OR_W1943:8:25701885:25705170:-1 gene:ORUFI08G26380 transcript:ORUFI08G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGAKASSSSSSSSSAADKAANGIAALKLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIYHLSSEIEASDMSALQAVICCDEERMKLEKEAEILSAQDDGGGDALDRIYERLEALDASTAEKRAAEILFGLGFNKQMQAKKTQDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQSKKLKLYSGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKVLVFRFTDVGKLPPPVLQFVEVSFGYTPDNLIYKNLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLAPLDGMVRRHNHLRIAQYHQHLAEKLDLDMPALQYMMREYPGNEEEKMRAAIGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCEKQAVTRWEGDIMDFKEHLRSRAGLSD >ORUFI08G26390.1 pep chromosome:OR_W1943:8:25718139:25723619:1 gene:ORUFI08G26390 transcript:ORUFI08G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIKGRVVVLGADAAADPELEAFHLPSADQPPHSHLLHHHHSPQSHPQPDAPAAAAPPPPAPLTPPPPKSPPPPPHIQTTDLPPPKPLPPAPLRQLFSFADGLDYVLMTLGTLGALVHGCSLPVFLRFFADLVDSFGSHAAHPDTMLRLVVKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTRMRIRYLHAALHQDVSFFDTDVRTSDVIHAINADAVVVQDAISEKLGNLIHYLATFVSGFVVGFTAAWQLALVTLAVVPLIAVIGGLSAAALAKLSSRSQDALSDASGIAEQALAQIRIVQSFVGEERVMRAYSAALAVAQRIGYRSGFAKGIGLGGTYFTVFCCYALLLWYGGHLVRRAHTNGGLAIATMFSVMIGGLALGQSAPSMAAFAKARVAAAKIFRMMEHKPSMEREGGVELEAVTGRVELRDVEFSYPSRPDVGILRGLSLSVPAGKTIALVGSSGSGKSTVVSLIERFYEPNAGTILLDGHDLRDLNLRWLRRQIGLVSQEPALFATTIRENLLLGRDGATQEELEEAARVANAHSFIVKLPDAYNTQARPGGNQWVAFERCSELVQVGERGLQLSGGQKQRIAIARAMLRNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQGGAISEVGTHDELMARGDGTYARLIRMQEQAHEAALVAARRSSARPSSARNSVSSPIITRNSSYGRSPYSRRLSDADFVTGLGLGVDSKQQQQQHYFRVQASSFWRLAKMNSPEWGYALVASLGSMVCGSFSAIFAYVLSAVLSVYYAPDAAYMDRQIAKYCYLLIGMSSAALLFNTVQHLFWDTVGENLTKRVRERMLAAVLRNEIAWFDMEDNSSARIAARLALDAQNVRSAIGDRISIIVQNSALMLVACTAGFVLQWRLALVLLAVFPLVVAATVLQKMFLKGFSGDLERAHARATQIAGEAVANVRTVAAFGSEAKIAGLFEANLAGPLRRCFWKGQIAGSGYGVAQFLLYASYALGLWYAAWLVKHGVSDFSKTIRVFMVLMVSANGAAETLTLAPDFVKGERAMQAVFEAMDRRTEIEPDDVDAAAVPERPRGEVELKHVDFAYPSRPEVQVFRDLSLRARAGRTLALVGASGCGKSSVLALVQRFYEPNSGRVLLDGRDLRKFNLRSLRRAMALVPQEPFLFAATIHDNIAYGREGATEAEVVEAATAANAHKFISALPEGYGTLVGERGVQLSGGQRQRIAIARALVKQAPILLLDEATSALDAESERSVLKV >ORUFI08G26400.1 pep chromosome:OR_W1943:8:25730890:25732840:-1 gene:ORUFI08G26400 transcript:ORUFI08G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRKVKKRRLSHKTARRGKFLVKADDAVYDELVKLADAGKDADATQLPVDEDLPGMGQFYCLHCDRYFASESVKEEHYRSKRHKKRIKQMSGPAPHTQLDAELAAGMGMPDNGLKLMSM >ORUFI08G26410.1 pep chromosome:OR_W1943:8:25744650:25745867:1 gene:ORUFI08G26410 transcript:ORUFI08G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALARREDPRVPRTRCRDGDSEKPSPEHGDGDGERGNFITSGAGTGGEWDGLVPREEYSYQIRDQLIRPKLADKLVPPGMSERRDDASSCACPAHP >ORUFI08G26420.1 pep chromosome:OR_W1943:8:25745871:25748629:1 gene:ORUFI08G26420 transcript:ORUFI08G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDSLGDSGGLVEGGATGSATAVATGSGDGGSAAAASSGSAAPDPVVGKAAAVDPTIGRAAPTDPEDGSGDGGGDRLGSGGVGGLSGTGSCGGEGGGGGSDDGEGCADGSRGGPTVRRRPRSSPASTPCSNPDAVLALLSTGLCADLAAVVVAEPRVLCAKDDAISRRIASLRDRAGLSLSLSRADLATVVVAKPQLLCAKAEAITRRIASLRDRAGLSVPQTSSFLLSGGMAHLGGRDGGEGRGGGGYGRED >ORUFI08G26430.1 pep chromosome:OR_W1943:8:25746314:25746658:-1 gene:ORUFI08G26430 transcript:ORUFI08G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFATTIAAAASALTSISATEVRHAAGQEEATGLGHRQAGAVAKGRNAAGDGLGLRAEQLWLGDDDGGEVRTGEGEGQAGAVAEGRDAAADGVVLRAEHPRLGDDDGSEVRT >ORUFI08G26440.1 pep chromosome:OR_W1943:8:25748858:25750846:-1 gene:ORUFI08G26440 transcript:ORUFI08G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVMMCCLLLLLVSAAAGAEGKSEVALLLERVKPALQGEGEVGGNAQLATWTASTPLCQWRGLRWSTAATLPRELPCGNLSAGLAHHPVPDDLLLLLSIRLPASALAGHLPPELAAFSALASIFLAHNSLSGPIPLALGNAPALSLLDLASNRLSGSLPLSIWNLCSGNARLSLLRLHGNALHGPIPDPAALAPNTTCDALSLLDLSANRLSGPFPSSLVTTAFPALRSLDLSDNRLHGPIPHGLAPIHSLNLSYNNFSGQLPPDLASLPPDAFLANSPALCGPPLPHHCLPSNPLTSSAVAAIVIALMAAAVVLASLSIGWAQGRWRRAPLPPEEGTLTEDGEGKLVVFQGGEHLTLEEVLNATGQVVNKASYCTVYKAKLAEGGGSIELRLLREGCCKDAESCAPAVRRIGRARHDNLVPLRAFYQGRRGEKLLVYDYFPGNRTLHELLHGHGEQSQGMRPALTWARRHKIALGVARALAYVHAGHGEAHGSVRSSNVLVDEWFVARVAEYAVHRLLVAAAVGKADGYRAPELQSRGRCSPRTDVYAFGILLLELLMGRKASGELPAVVKAAVLEEVTMMEVFDAEVARGVRSPAEEGLLQALKLAMGCCAPVASARPTMAEVVRQLEEVRPRNSSRPSAIYSPAEPRSDAGTPTAAAV >ORUFI08G26450.1 pep chromosome:OR_W1943:8:25752208:25752573:1 gene:ORUFI08G26450 transcript:ORUFI08G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPAGGLCCGVPSLPTYNYKSLLKWRRQSGEEEIGDRKSNHPIQDSKSGWINRVIEMNSPGGVNEWEDSPGEMESEAASAVGMGMMEVDADDRHPPSSSLPIDADFFNSFPDDFDDQDLA >ORUFI08G26460.1 pep chromosome:OR_W1943:8:25754033:25763804:-1 gene:ORUFI08G26460 transcript:ORUFI08G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPPPAASGGIDPNAPAPALAGGDWRSQLQSEARNRIVNKIMDTLKKHLPVSVPEGLNELQKIAVRFEEKIYTAATSQSDYLRKISLKMLSMETKTQQNPGNAQVIQNQNPPGSVPGLPQGSNPTQSSAIPLMSQQQARQPNSTSVQSSLTNLGQNLPGVNQTSTLQNMSGMPQNTMNNGLAQGASQDIYATQRQMAGRQQQQQQSQNQLIYQQQQIMMKQKLQQNSLMQQQSLLQPTQMQSSQQPMMQIPSSLQPGQSIPQTQPMVQSSSQGGIQQNALNSVPQSVQSLLQQPTQSAMRQQQHSQSSMHPQPSLQQAQPTQQPNIPLQHQLMGQQQNLQQNQLIGQQNGAVDMPQQQRLPVQSNNLLNMQQTQQMLNQQSMPSHQQQQLGSQANISTLQQQQQQNQQQQQLLGTVPNVPNIQRMHMLQTKAVQQPQQQQHAQQSSLGLMQPQSQHNQLQQSQQHLMSQFQAQPNQLQQQLGMPQQPPMQQRLQTSAGMLLQQNNIDQQKQFMQAQRGLQEVSSSTSVDSTAQTGHTGTGTGDWQEEAYQMVKNLKDQYFPELNDLYNKISLKLQHVDNMVPPQKPTEQYEKMKNFKLMLERTMHVLQLNKGNIQPALRDKIPAYERQIISILNSQKRPRVQGQQTQFQQSAGQGPTSNIPQQQQPSQGLQQHDNHTNQMPQASMPSMSTGLQSSGAAGIQHLPASPATNFGVPATQQNVTNAPQATSNLEVTQGSNFNSLQHGSMSGALQQGSTGPMQGTLNAQQQASSSMLSHNSVGTMQANANSMQANANSLQQLKQQQQEHHMMQNQQMKRQMFQQFQQKQMLQQQLPAQQQLQKQQQSQMQVPQHHSGNDANELKVRQGAAMKPGIYQQHLAQRNNFYNQQMKQGSAFPISSPQNLQASSPQISHHSPQVDQHNLLPSQVKTGTPLQSANSPYMPSPSPPVAPSPIPVDSEKPLSNLSSSLTNTGQATHQQTNMAPQSQSIAVNTPGISASPLLAEFTSVDGNQANMPTQVLTKSSAAERPLDRLLKALRTTQRQSLSAAVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITHDGTGTSKKMKRDTSAMPLNVSSAGSVNDSLKQTFSIGTPELQSTATSRVKWQKTEINHALMEEIHEINQQLIDTELHVCEDDAESFGATSEGTEGTVIKCTFTAVAVSPSLKSMFASAQMSPILPLRVLVPASYPKCSPVLLDKFPDEQRNSDDLSTKARSKFSILLRGLAEPMSLREIARTWDACARKVIAEYAQQTGGGSFSSSYGCWENCVGA >ORUFI08G26470.1 pep chromosome:OR_W1943:8:25769991:25775612:-1 gene:ORUFI08G26470 transcript:ORUFI08G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLDVMDGGGGAGRRQRRKACRNLNHDRDAPRGERRCGGDRLSMTEAAAGRGGGRPGFGMWWRLARWSTLIRRHRTRWRLVLHRHPVTVGISGGRAGGGDRWQIGSPRKASAATWPREDFVRQGSVEQNSVEGVGGDVNPGGGQAMGIGGGRAGGDGRSVRQRTAPRLIGRGALMAERFPASRVKSKKTRAHA >ORUFI08G26470.2 pep chromosome:OR_W1943:8:25769991:25775612:-1 gene:ORUFI08G26470 transcript:ORUFI08G26470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLDVMDGGGGAGRRQRRKACRIEMPLERRCGGDRLSMTEAAAGRGGGRPGFGMWWRLARWSTLIRRHRTRWRLVLHRHPVTVGISGGRAGGGDRWQIGSPRKASAATWPREDFVRQGSVEQNSVEGVGGDVNPGGGQAMGIGGGRAGGDGRSVRQRTAPRLIGRGALMAERFPASRVKSKKTRAHA >ORUFI08G26480.1 pep chromosome:OR_W1943:8:25770437:25774278:1 gene:ORUFI08G26480 transcript:ORUFI08G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPSLSLSHRASPRFRSRTRDAGNRSAISAPLPISRGAVLCLTDLPSPPARPPPIPIACPPPGFTSPPTPSTLFCSTDPCRTKSSRGQVAADAFRGDPICHRSPPPARPPLIPTVTGCRWRTSRHRVLCRRINVDHLASLHHIPNPGLPPPRPAAASVIDSLSPPHLLSPLHYRGASLSTKGKKLR >ORUFI08G26480.2 pep chromosome:OR_W1943:8:25770437:25774278:1 gene:ORUFI08G26480 transcript:ORUFI08G26480.2 gene_biotype:protein_coding transcript_biotype:protein_coding PSPSLSLSHRASPRFRSRTRDAGNRSAISAPLPISRGAVLCLTDLPSPPARPPPIPIACPPPGFTSPPTPSTLFCSTDPCRTKSSRGQVAADAFRGDPICHRSPPPARPPLIPTVTGCRWRTSRHRVLCRRINVDHLASLHHIPNPGLPPPRPAAASVIDSLSPPHLLSPLHYRGASLSTKGKKLR >ORUFI08G26480.3 pep chromosome:OR_W1943:8:25770437:25774278:1 gene:ORUFI08G26480 transcript:ORUFI08G26480.3 gene_biotype:protein_coding transcript_biotype:protein_coding PSPSLSLSHRASPRFRSRTRDAGNRSAISAPLPISRGAVLCLTDLPSPPARPPPIPIACPPPGFTSPPTPSTLFCSTDPCRTKSSRGQVAADAFRGDPICHRSPPPARPPLIPTVTGCRWRTSRHRVLCRRINVDHLASLHHIPNPGLPPPRPAAASVIDSLSPPHLLSPLHYRGASLSTKGKKLR >ORUFI08G26490.1 pep chromosome:OR_W1943:8:25781171:25781866:-1 gene:ORUFI08G26490 transcript:ORUFI08G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDIGEGESCCGRRKQQQQQNISSSKSRKCCPLRRSRKGCMKGKGGPENQRCPFRGVRQRTWGKWVAEIREPNRGARLWLGTFNTALDAARAYDSAARALYGDCARLNLLLAAATAGAPPAAAATPSVATPCSTNDDSNNSSSTTHQQQLTTMLQLDDDNYTLQPSSSDQEDFETYVTRLPKAEDFGLEGFQEVPLDVLDEAGGGISIWDLSICPADFMAAAATTTAKSS >ORUFI08G26500.1 pep chromosome:OR_W1943:8:25782099:25797938:1 gene:ORUFI08G26500 transcript:ORUFI08G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVGSTGLLPPPRGLPPALTLIFAHRFKSTDPDVGPLVVKPGKTKPKVEVMLLAPHASMRIVVGASLFPRPPQHAAQLALELCVILAAPPPLTYQTNQHLQTSNKPVPPPVLPHPRIVHRY >ORUFI08G26510.1 pep chromosome:OR_W1943:8:25798184:25799968:-1 gene:ORUFI08G26510 transcript:ORUFI08G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPRLLTPKPDPDAPLPPLPYPDPNLVQSMLFSAQSPQAQPQAPPPHIQPPASASAEAPSGDEKNKKKKKRARASQEMVRITNLSIADHLHYRSLVRRARLTFEALRAIYQRQDLATAGGIRNRFDLRASSKMLSKGLWMHRDIRTVGSIPGLLVGDSFFYRAELCVLGLHTAPQAGIGYIPASIVDQGHPVATSIVSSGGYLDDEDSGDVLVYSGSGGRLRNRLDHSADQTLQRGNLALHYSCHYGIEVRVIRGHACDHSPSSKVYVYDGLYRVVTSTFGPGKSGRDVCKFKLVRIPGQDDLGSKAWHTAAELKDALDSKIRPPKYISLDIAKGKEPFRVPLYNKLDDDRSPLFYDYIACPDFPTTQQLLKRQTQRGCHCAELCGSRCSCERKNRGADGPVYTSDGILLRGRPLVYECGPLCGCPMTCPNRVTQQGMKHRLEVFRSKETGWGVRTLDLIQPGAFICEYAGDVLSLDSHSGDAPLPPMEDGSSIIDPTKFPERWREWGDASVVYPDRVPHFPLFAGARYRLDVSQRRNVACYISHSCSPNVFLQYVIRGNEDESYPHMMVFAMETIPPMRDLSIDYGLD >ORUFI08G26520.1 pep chromosome:OR_W1943:8:25800176:25802606:1 gene:ORUFI08G26520 transcript:ORUFI08G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSLTLSRLPVAALGLPFSSCSPPPPRLRFPFAARRARSLATRASSSSPDSSFGSRMEDSVKRTLADNPVVIYSKSWCSYSMEVKALFKRIGVQPHVIELDQLGAQGPQLQKVLERLTGQSTVPNVFIGGKHIGGCTDTVKLHRKGELATMLSELDIDVNNS >ORUFI08G26530.1 pep chromosome:OR_W1943:8:25803261:25806885:1 gene:ORUFI08G26530 transcript:ORUFI08G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREYSEQYHHRAGEGAGAGAGAAIVVVVVAVAAAVVCECEAKPRAFFVFGDSLVDSGNNNYLMTTARADSPPYGLDYPTHRATGRFSNGLNVPDIISEYLGAESVLPYLSPHLDGPKLLHGANFASAGVGILNDTGIQFANIIRIEKQLRYFNQYQDRVRGLIGGAAARRLVEGALVLITLGGNDFINNYYLVPFSARSREFALPDYVRYIIGEYGKVLRQLYHLGARRVLVTGSGPLGCAPAELATRSATGECDLELQRAAALYNLQLVRMTRELNAELGAGDVFVAVNAYRMHMDFISDPAAYGFATSKVACCGQGPYNGVGLCTALSTLCPDRSLYVFWDNFHPTERANRIIVSQFMSASPDYMHPFNLSTILAMDAATAAAAP >ORUFI08G26540.1 pep chromosome:OR_W1943:8:25805280:25808505:-1 gene:ORUFI08G26540 transcript:ORUFI08G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLRRVYLRLPPFPPATSLYYWSRTRPAAAGPNHPIPRRMSTSSTAAAVVAEGSAARRFWIAAASREAAFAAYTPFLVSLAAGALRLDSFRQYIAQDAYFLHAFARAYEMAEEWADDDDDKATIVVLRKAILRELNLHASVLQEWGVDPNKEIPPIPATTKYTDFLLATSTGKVDGGKGSDKMVTPFEKTKIAAYTVGAMTPCMRLYAYLGKELAVFLKQDENHPYKKWIETYASSDFENNALQIEELLDKLSVSLTGEELEIIGKLYQQAMRLEVEFFSAQPVDQPVVAPLSRYCGPKDKLLIFCDFDLTCTVVDSSAILAEIAILSHQKASQGGADSSLDRTKSADLRNSWNMLSNQYMEEYEQCIASLLPPEEARSLDYDQLYKGLEVLSQFEKLANSRVVDSGVLRGMNLDDIRKAGERLILQDGCKNFFQKIGKTRENLNLDVHILSYCWCADLIRSAFSSVGCLDGLNIHSNEFAFEGSVSTGHINRQMESPLDKAEKFKSIKSDVGSTGTLLSVYIGDSVGDLLCLLEADIGIVVGSSTTLRRVGKQFGVSFVPLFTGLVEKQRRIEKEESSIFKARSGILYTVSSWSEVQAFILGNDFS >ORUFI08G26550.1 pep chromosome:OR_W1943:8:25813692:25818573:-1 gene:ORUFI08G26550 transcript:ORUFI08G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRRRWRRQRCCSSDPPLAVAAAALLLLLLVVVTAAPVVDAAAAGRHVVQRHLDRINKPGVRSIHSADGDIIDCVPRHKQRALDHPLLANHTVQTQPSQMPASASLLDRRQQLSRRAWQTWHHSGHCPRGTVAVRRTAAADVQRARSLALFGRKKQMRSPLPAPDVVTGNGHELTMHAIGNLRQHAIAYTAAEVYGARATISVWAPEIDEANGFSLSQLWILSGSFNGSDLNSIEAGWQVSPELYGDNRPRLFTYWTSDAYEATGCYNALCPGFVQTSSRISIGASISPVSSVGGPQYDMTLLVWKDPKLGNWWLSYGDGAGGLVGYWAAELFTHLSDHATMVEWGGEVVNTHPPGSAHTATQMGSGHFAAEGFGRAAYFRNLETVDADNSLAAVPLDAIQTMAEDAGCYDIRKAYDDDDGRGGWGAHFYYGGPGHNTASCP >ORUFI08G26560.1 pep chromosome:OR_W1943:8:25824279:25830195:1 gene:ORUFI08G26560 transcript:ORUFI08G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPLSESAASHLHSFLVAMTMVRIIGPSPAQSSSPTPRRPRPPRPRRRPPTMASAAASSSKPPVVLGCGAVSADYLATVASFPNPDDKIRSLTLKVQGGGNTGNALTAAARLGLRPRIISKVSNDPQGRNILKELQDDGVDTSHILVAEEGNSPFTYIIVDNQTKTRTCIHTPGYPPMVPEELTQENLFAALDGADIVYFDVRLHETALLVAEEHFFVLVKGTPSSEIELCLRNNPVQASQRKLPILIDAERKRDGLDELLNFASYVVCSAKFPQAWTGASSTPVALVSMLLRLPNIKFIIVTLGEKGCLMLERSTTDASEAEEIDVESLLESLEKKEVLSSSMPKCIASKSNLRISADGIGSISGRLLLGTAEIIPSEELIDTTGAGDAFIGAVLYGLCSGMPPEKMLPFAAQVAACGCRGLGARTALPHRTDPRLVAY >ORUFI08G26570.1 pep chromosome:OR_W1943:8:25831945:25833317:1 gene:ORUFI08G26570 transcript:ORUFI08G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSVSLPGARALPTWSSSVSGDSHSLALSSWAARPRSARPLRAPARMGNVNEGKGIFAPVVVVVRNIVGRKRFNQLRGKAIALHSQVITEFCKTIGADAKQRQGLIRLAKKNGEKLGFLA >ORUFI08G26580.1 pep chromosome:OR_W1943:8:25832122:25832409:-1 gene:ORUFI08G26580 transcript:ORUFI08G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLSWLKRLRPTMLRTTTTTGAKIPLPSFTLPILAGARSGRADRGRAAHELSANECESPDTELDHVGRALAPGRDTDDAAAAAIIASRRQDYT >ORUFI08G26590.1 pep chromosome:OR_W1943:8:25833358:25836033:-1 gene:ORUFI08G26590 transcript:ORUFI08G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLIGIQHLCSDLLEINKASEQDFRRNLYLSYLSFVRMSEEGGGDLDVMSDLKQQGMVQRRVIQDVRNSLYYSSAMSMALETNGGGDMVAEAEMNNGGGGASSSVLDELLWENRMEQAVEQLMQEQESGVLALSLSARKAMVADRLASVAEHPRTPRPELLRAVAGLCRLGEARRANHLLLGYYRRSVLRGVDELRQKQKQRNNNIIIIKELVRTVLSTIVEASRSVVSSEAAEARRWAREEMDGLGVAFRELVHMAAADGKLSLLLEAARCALSYGPLLLLLDEELAEYLRELLARCMEEALAMYAAHLRQVLRLLVLPVPDDDDDDDDEGASSSMLLGRFLLSGVLRTSTTKHNCWCLLTTSGRKLVTLMQEVADDVSPLLELDLALGSTLLHLLADLLRDYMLMQLGATAAADDMMMVSLLINCTTLLSLFPLIARRIFTTTSSQQPADFHHATNNKGELHLHGLIVSIKEAAAQVWTCFCHHFIRHTIMSTTLHHKTHSSSSIRHGANMPSSAFQVLFLRVRQLNSLYGAILTGEDGTMKKLLQELMEAIILFYLSDEDLHDSWIIRQASHAVPIQDTLLLQIQLDVHFLLQVAQFGGFSSDDFRDNALDSLRKAQAKVVPLSSSLEQQQHEEWAADAARHAMQVLMMGSQADEENSTDSVQKDELAADDDDEMQPDAWVGACTCSDGKSSDEFVSIEDDQLAIHSENEAGAAAAAQQVTIEEATSAQAKEEKNSSCSLQDR >ORUFI08G26600.1 pep chromosome:OR_W1943:8:25836773:25836991:1 gene:ORUFI08G26600 transcript:ORUFI08G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALAAALFVCTVIGSSPALAPPSRRGQGRQRRPGRLPLVGACCSPLLTPPTERGRLPPAAACRSPPVAAC >ORUFI08G26610.1 pep chromosome:OR_W1943:8:25842415:25845630:1 gene:ORUFI08G26610 transcript:ORUFI08G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVVAMAGQAYMRQLQAHPLRTKAITSGVLAGCSDAIAQKISGVPNLQRRRLLLIMVLVEQLTASPWNNMMFMMYYGLVVEGRPFSQVKSKLKKDYASVQLTAWKFWPIVSWINYEYMPLQLRVLFHSFVASCWAVFLNLKAARSIATSKKA >ORUFI08G26620.1 pep chromosome:OR_W1943:8:25847704:25848178:-1 gene:ORUFI08G26620 transcript:ORUFI08G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRCDSSSAVGPFEYQPMCKCGGKVAWWISWSIDNTGRQMADAASLLSSLKREKEEFGLVVQAERLNAEEKTREVEAATQELNSVKVLACENT >ORUFI08G26630.1 pep chromosome:OR_W1943:8:25848533:25853630:1 gene:ORUFI08G26630 transcript:ORUFI08G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMARHLPAILLLSSALLLALASASASAAAPALAAFVDAASRRYLRDQQHHDQAASMSLDQVSAAVSVLLGFAPPPSLPAQSSSKLDKLLLPNPFDRPRAVFLLQIDGFHASVESITSEAGSIFKTTIDGLSDSATGLTDKDDLVIVHSDESPAVDSGSEYFDSDLTDLANWLGGSYEKVDGKLIIPLESGESLTLLITKEADMEFASSLISLRKTIKRGIQVHEDFSGGVMSPAELLVCHFKGIKALEEEYGSTEIVKQGTDVVRTAVTKAFDLLRGAYNGKIVGLVISTKEASPSLVGPASSLHISRWLKETSQTNTTIASSEILVRKSLAWITGIILLVSTLIGVCLLMNMPLTRDTLLYSNVKID >ORUFI08G26640.1 pep chromosome:OR_W1943:8:25854163:25855230:1 gene:ORUFI08G26640 transcript:ORUFI08G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRWQLLGQASGFLQDKYKQARLALGDVTPAELLVQEATNNDGGVGPDARTLACIADAAFDMDDYWRIAGVLRRRMARAGDWKEWRPVYKALVVLEFLLTHGPDEVPRDFLPDVAALRDLRGFTHVDDRGFDWGACMQRRCDSVLSLLTDAERLRDARRRIRVSHSTHELVQQHGSPSSSSGSPSSASSRTSSQSHASSWSVASSDSPTMVCLCAAAADYRHDKKFDAYTADDDWMQHLQVHNTTTTLEYDDDHDHDHDCPNHPPLTTPRSWDAHDDHDCPNHPPHTTPRSWDAHVHDLSLLQRRGRPGTDATFCSRMLGAVNVSSRASGFQSLSQPERRSASKKLQRQLSMEY >ORUFI08G26650.1 pep chromosome:OR_W1943:8:25855723:25858200:-1 gene:ORUFI08G26650 transcript:ORUFI08G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRNPNPPPGSSSSGTNYFPLPFHLQQQPPQPPPMAMASTYQYQQYQQLQQAQQLFQRDAQTITPEALESVKAALATSDVLDPANARATATSSSDPAAKKKAIPRRAAGQSWEDPTLTDWPDNDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFSNPTDLAGAIKEMNGKYVGNRPIKLRKSNWKERTDVDALERQKNHIQKKPKMPKKSILHKKFVKAEASFADVY >ORUFI08G26650.2 pep chromosome:OR_W1943:8:25855723:25858200:-1 gene:ORUFI08G26650 transcript:ORUFI08G26650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRNPNPPPGSSSSGTNYFPLPFHLQQQPPQPPPMAMASTYQYQQYQQLQQAQQLFQRDAQTITPEALESVKAALATSDVLDPANARATATSSSDPAAKKKAIPRRAAGQSWEDPTLTDWPDNDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFSNPTDLAGAIKEMNGLKYPTCSITAIVQLRKYVGNRPIKLRKSNWKERTDVDALERQKNHIQKKPKMPKKSILHKKFVKAEASFADVY >ORUFI08G26660.1 pep chromosome:OR_W1943:8:25916765:25924369:-1 gene:ORUFI08G26660 transcript:ORUFI08G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSNSSAALISFFFLPYSVTNSLTHSFIPRETVRIVSVKSQRSRSLPPHTLNPARPHLSPQTIAPPQPVPPLPHALPPIPPPPSHLYSGQIGATAAALDCRHSAVVASAIGPPSSHPSLIPSHPPSLPSRRRRSPPRRPALRRHSSRSPPVLLRVALDLSSTFSVPPPISVAESTSHAWPVSSTPPSISADRCCSPSEAPPPPRADQRVAVPDTSSSTATPSPQALPPLPPSPPRRHRQTLKKICLIWKKNGIVFPEFGCAYEVASTKKPEMEDTSVTDSLARTRVTWSDDDTLILYQYCVEEVEASGELSEESYRLIQQKMCDQRATYGVKNIKQKIINTRKLWAKMRSRDSQDYNLRCRHHLDLLDRLFDQISIEAIEDLQAGRAQSSKDGTTQSDAEIKTCNQVDEVESAAPESKWEKVSLRFAIPV >ORUFI08G26660.2 pep chromosome:OR_W1943:8:25916765:25924369:-1 gene:ORUFI08G26660 transcript:ORUFI08G26660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSNSSAALISFFFLPYSVTNSLTHSFIPRETVRIVSVKSQRSRSLPPHTLNPARPHLSPQTIAPPQPVPPLPHALPPIPPPPSHLYSGQIGATAAALDCRHSAVVASAIGPPSSHPSLIPSHPPSLPSRRRRSPPRRPALRRHSSRSPPVLLRVALDLSSTFSVPPPISVAESTSHAWPVSSTPPSISADRCCSPSEAPPPPRADQRVAVPDTSSSTATPSPQALPPLPPSPPRRHRQTLKKICLIWKKNGIVFPEFGCAYEVASTKKPEMEDTSVTDSLARTRVTWSDDDTLILYQYCVEEVEASGELSEESYRLIQQKMCDQRATYGVKNIKQKIINTRKLWAKMRSRDSQDYNLRCRHHLDLLDRLFDQAGRAQSSKDGTTQSDAEIKTCNQVDEVESAAPESKWEKVSLRFAIPV >ORUFI08G26660.3 pep chromosome:OR_W1943:8:25916765:25924369:-1 gene:ORUFI08G26660 transcript:ORUFI08G26660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSNSSAALISFFFLPYSVTNSLTHSFIPRETVRIVSVKSQRSRSLPPHTLNPARPHLSPQTIAPPQPVPPLPHALPPIPPPPSHLYSGQIGATAAALDCRHSAVVASAIGPPSSHPSLIPSHPPSLPSRRRRSPPRRPALRRHSSRSPPVLLRVALDLSSTFSVPPPISVAESTSHAWPVSSTPPSISADRCCSPSEAPPPPRADQRVAVPDTSSSTATPSPQALPPLPPSPPRRHRQTLKKKPEMEDTSVTDSLARTRVTWSDDDTLILYQYCVEEVEASGELSEESYRLIQQKMCDQRATYGVKNIKQKIINTRKLWAKMRSRDSQDYNLRCRHHLDLLDRLFDQISIEAIEDLQAGRAQSSKDGTTQSDAEIKTCNQVDEVESAAPESKWEKVSLRFAIPV >ORUFI08G26670.1 pep chromosome:OR_W1943:8:25922294:25935196:1 gene:ORUFI08G26670 transcript:ORUFI08G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCEVKAAAHTHPAIANSTPLTGPPHPHSSFTPPGAGVQLNSFSSTKTRNGVGWNTLTKCTRVVELGLVSFTTPLQTQLLNLNLGVGHVPNMPKPAADSASATAVAERSLFRLRLAPPLPSTAAQLLPSPSTAAAPFSATVAIAERHRCVLRRHGRRGDDCCAEFSSSSSPPLLMRKRRRIRQHLICYCACTTGFFHVGNGKLPDCCRNLRSIYKRRRRFRQPSRQCRFPLPYIQLLCHSHLPMGPKASSSALGAVICSCIQPEQRRPLAKYTLELCVSTPPPVAAAAMKECVLDEYATKHRISIDRFLQLRIFVKKAEYECNRLPAMIDAHLFRDYHLAFWFGLFCAWGQPQDGDLHLWLPHQCRCHLGPC >ORUFI08G26670.2 pep chromosome:OR_W1943:8:25926907:25935196:1 gene:ORUFI08G26670 transcript:ORUFI08G26670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPPWPTRRRLLRRVLLEQLTAVVDEEAASDPATSDLLLRLHHRQQSISPAMKKQKKGFLSCREWKGDFSCLTAAGICEASTSDAVGSGSRHGNAGSPCPISNSCAIRTSQWGPKPARLLWVLGTQPTSGIRNSTRVAMIVPAVRPLAKYTLELCVSTPPPVAAAAMKECVLDEYATKHRISIDRFLQLRIFVKKAEYECNRLPAMIDAHLFRDYHLAFWFGLFCAWGQPQDGDLHLWLPHQCRCHLGPC >ORUFI08G26670.3 pep chromosome:OR_W1943:8:25927746:25935196:1 gene:ORUFI08G26670 transcript:ORUFI08G26670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSHTNAPNGLTEIFLDFSSASLASFCRLPDCCRNLRSIYKRRRRFRQPSRQCRFPLPYIQLLCHSHLPMGPKASSSALGAVICSCIQPEQRRGTQPTSGIRNSTRVAMIVPAVRPLAKYTLELCVSTPPPVAAAAMKECVLDEYATKHRISIDRFLQLRIFVKKAEYECNRLPAMIDAHLFRDYHLAFWFGLFCAWGQPQDGDLHLWLPHQCRCHLGPC >ORUFI08G26670.4 pep chromosome:OR_W1943:8:25927746:25935196:1 gene:ORUFI08G26670 transcript:ORUFI08G26670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSHTNAPNGLEWKGDFSCLTAAGICEASTSDAVGSGSRHGNAGSPCPISNSCAIRTSQWGPKPARLLWVLGTQPTSGIRNSTRVAMIVPAVRPLAKYTLELCVSTPPPVAAAAMKECVLDEYATKHRISIDRFLQLRIFVKKAEYECNRLPAMIDAHLFRDYHLAFWFGLFCAWGQPQDGDLHLWLPHQCRCHLGPC >ORUFI08G26670.5 pep chromosome:OR_W1943:8:25927746:25935196:1 gene:ORUFI08G26670 transcript:ORUFI08G26670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSHTNAPNGLTEIFLDFSSASLASFCRLPDCCRNLRSIYKRRRRFRQPSRQCRFPLPYIQLLCHSHLPMGPKASSSALGAVICSCIQPEQRRPLAKYTLELCVSTPPPVAAAAMKECVLDEYATKHRISIDRFLQLRIFVKKAEYECNRLPAMIDAHLFRDYHLAFWFGLFCAWGQPQDGDLHLWLPHQCRCHLGPC >ORUFI08G26670.6 pep chromosome:OR_W1943:8:25926907:25935196:1 gene:ORUFI08G26670 transcript:ORUFI08G26670.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPPWPTRRRLLRRVLLEQLTAVVDEEAASDPATSDLLLRLHHRQQSISPAMKKQKKGFLSCREWKGDFSCLTAAGICEASTSDAVGSGSRHGNAGSPCPISNSCAIRTSQWGPKPARLLWVLGTQPTSGIRNSTRVAMIVPAVRPLAKYTLELCVSTPPPVAAAAMKECVLDEYATKHRISIDRFLQLRIFVKVHDRFGLFCAWGQPQDGDLHLWLPHQCRCHLVKFMIYTPPSSISPLCIILCFRWD >ORUFI08G26670.7 pep chromosome:OR_W1943:8:25927746:25935196:1 gene:ORUFI08G26670 transcript:ORUFI08G26670.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSHTNAPNGLEWKGDFSCLTAAGICEASTSDAVGSGSRHGNAGSPCPISNSCAIRTSQWGPKPARLLWVLPLAKYTLELCVSTPPPVAAAAMKECVLDEYATKHRISIDRFLQLRIFVKKAEYECNRLPAMIDAHLFRDYHLAFWFGLFCAWGQPQDGDLHLWLPHQCRCHLGPC >ORUFI08G26670.8 pep chromosome:OR_W1943:8:25922294:25933298:1 gene:ORUFI08G26670 transcript:ORUFI08G26670.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPCEVKAAAHTHPAIANSTPLTGPPHPQFGLFCAWGQPQDGDLHLWLPHQCRCHLVYFVHFDALLLCKPWLLNALFWLDR >ORUFI08G26670.9 pep chromosome:OR_W1943:8:25922294:25927710:1 gene:ORUFI08G26670 transcript:ORUFI08G26670.9 gene_biotype:protein_coding transcript_biotype:protein_coding MCQTCPNPPPPPPPRATASTSPAVASPSPSASVSASPPPCRLTPSRRRLHLRRRRRRPSAADSASATAVAERSLFRLRLAPPLPSTAAQLLPSPSTAAAPFSATVAIAERHRCVLRRHGRRGDDCCAEFSSSSSPPLLMRKRRRIRQHLICYCACTTVNNRFHLP >ORUFI08G26680.1 pep chromosome:OR_W1943:8:25925674:25925928:-1 gene:ORUFI08G26680 transcript:ORUFI08G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGEEDPTKDGRDVGCGGGAGVRGSGGVERSRWMLRRQRRCRLRRRRRSSATRKRAGDRIGRGGAMPVEVEGEETRGGGARR >ORUFI08G26690.1 pep chromosome:OR_W1943:8:25936658:25944169:-1 gene:ORUFI08G26690 transcript:ORUFI08G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDHRNVALAVDRVRVLSRRLVRPSSSSSSSSGHAPPCDIHLTPWDLRFLSIDYIQKGVLLPKPPLSGDRLADALASSFARALALFHPFAGRLVAEERADDGTVTVALRCTGEGAEFVHAAAPGVAVSDVVSSLYTPPEVWSFYSYNLVLGADAATESRPVLSAQVTELADGVFVGMSLNHSVGDGTTFWEFMNAWSEINRRAGGAVSDGLIREISTPAPVFRRWFVETSPVPIPMPVGKLQNIVRRLERPEVQECFFTFSATSARKLKAKANDEMTGTATATISSLQAVLAHLWRGVCRARRLPPEQVTFYTVMVGCRGRVNGIPAGYVGNALMFGKAEATAGEIEGRGLGWTAWQLNRAVASFDEAGMRESLERWVREPEFTYMSKLQSGDAGGVALITGSSPRFDVFGNDFGWGRPVAVRSGAGNKIDGKATVFEGPDGAGSMSLEVCIAPDALRRLVADDEFMDAFTLPSSCSLGKYINFGFRPINKDVTRRFRPPPPPPTRSSETETVHLTPWDIQMITVDYIQKGVLLPKPPTGGELLVEHLASSFARALGRFYPFAGRLAVDRSSSGAREAIAVSLRCTGEGAELVHAVAPDVTVADVAASLYVPRVVWSFFPLDGMVGADAVAGARPVLAAQVTELADGVFVAMSLNHGVADGTTFWHLFNTWSEMSRSGGGDVDEAEISTPPPVLDRWFPDSCPVPVTLPFAKLERIVRRFECPPVEECFFHFSPESVKQLKATANAEVAGEATATISSLQSLLAHVWRSVSRARRLPPEQETTYTVLVGCRGRVKRIPQAYAGNAVVRATARATAGEIEGRGLGWTAWLLNRAVASFDEEALVRSSSSWPREPRFAYLAGWWHPAAMVTGNSPRFDVFGNDFGWGRPVGARSGGANKVDGRATVYEGRGGGGGAMAMEVCLAPETLARVVADAEFLGRRDVVGLPCSFG >ORUFI08G26700.1 pep chromosome:OR_W1943:8:25955156:25956550:-1 gene:ORUFI08G26700 transcript:ORUFI08G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVHIMSRRMVRPAAEHAGELPDHETVHHLTPLDLQMITVDYVQKGVVLPKPPGGGEHVVEHLVSSFARALARFYPLAGRLAIAETASPGGVPTISISLRCNGEGAEFVHAVAPGVTVADIADSLYVPRVVWSFFPLNGMLGTDAAVESRPVLAAQVTELADGMFVAMSLNHGVADGFTFWHLFRTWSEISRRSDDSADLELSTPPPVFDRWFVDGIPMPIPLPFAKLEDMVRRPVYTPVEECFLHFSAESVRTLKEKANAEMAAAAATATISSLQSVLAHTWRAVCRARRLAPEMETSYGLSVGLRARVKEVPQEYMGNTVVGAVAKATAGELLDKGLGWAAWLLNRAVAASGDEASVRRMVAAWPEKPSFMMVADLQNAGVMVISGSPRFDVLGNDFGWGRPVGVRSGAGNKVDGKMTVYEGRGGGSMAVEICLAPEALARLVADEEFMSAVTAPPPTHH >ORUFI08G26710.1 pep chromosome:OR_W1943:8:25956609:25958483:-1 gene:ORUFI08G26710 transcript:ORUFI08G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTCGGHIVGRHGGAGGDRRGYIPSDDGVCDHLERSRASRGEEAAQVEGGGEARGGGAQWGLRRHGWRGEGHRRGVGTASGGGGGAARPAPLRLGVEAWWEGDGRRHAVGTAATRVERRGALAQCGDGCWWRGGAEERRGEGAGRRWTTPSAGGGAARRRGEGRVRGGDGGARRRGRGLRDSYLDINS >ORUFI09G00010.1 pep chromosome:OR_W1943:9:83482:85348:-1 gene:ORUFI09G00010 transcript:ORUFI09G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTNVHISAALMVSLVLAATVVAHVEVGEVGEYLEKRSQEARHKNHVGPLHDLVNTATRLTAGGLCWVMKHICKRGLEASSVESGDDHQVVQDHNGIQL >ORUFI09G00020.1 pep chromosome:OR_W1943:9:87063:91921:-1 gene:ORUFI09G00020 transcript:ORUFI09G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLPVVPAHGEDKKKKKKKKKPLKPSQEEEEALPLPLPPPDRKRKKASEPVNSPERAKKKKTATPHEPPSAKQQKRPLPFQRTWSPNDEVLILEAMAAHRQEHGKVPTAAELFPVLNGRLDRKRLTYKKLADKLRTFMRRHGRDAKNGPPTQAHDRRLYDLSRNVWVSQTQPPNLSANANSNIAGGQPNQHDAMPTAGKAFDKMRDSYPNLTQALLLLVGTDLEKALTAIDETKAQALDLKVSNLKKELSEAVMESATIQSTESSKIPCFPSTKLQPEFGAEIEKNFQLEHLDEMKGTQSQQMADSKQQHDKSSAKGIICESSESGLRSIVADNNILCNTLQKEMVVQQKLSCGKTKEVTSKHRHPQKLGELRRVTMHGQQEDTNNEGGKEVLLLSTTRPHIPVAKATLQTSSGSKFVGGVPLGSEWYQVFVNDVLKPEAPLLRPPGMKMAEALKSIIAWPCAQVTGKADFMSETKLYRFAHYKGCGS >ORUFI09G00030.1 pep chromosome:OR_W1943:9:92839:111754:1 gene:ORUFI09G00030 transcript:ORUFI09G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNLAQKVKTLGAVRGAEGGRSGRSAGGRGLEQLVRKERNAALSATEVGNKHAIGQPSRSRTTTGTSNKTPSGAQPTVIELSDRGAQTPATAATGLGEKWADGFGKGGKR >ORUFI09G00040.1 pep chromosome:OR_W1943:9:130835:141513:1 gene:ORUFI09G00040 transcript:ORUFI09G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGFSVSPASLPGTEFEAKITAAVVVSCVMAATGGLIFGYDIGIAGGVTAMDDFLREFFPAVLEKKTRTREVKETTTSNYCKYDDQGLQLFTSSIYLAALVATLFASYTTRRLGRRLTMLVAGVLFTVGAILNGAARNLATLVAGRILLGCAAVPLYLSEVAPARIRGGLNILFQLNVTIGVLFATLVNYAANKIHPWGWRLSLSLGSMPAALLILCTWFLVDTPNSLIQRGRLEEGRAALKRIRGTDDVEPEFNEIVEASRVAQEAKRSSFRYLLRRRSNRPQLVIAVLLQLFQQVAGINAVMFYAPVLFTETSLYSAVITGGVNVLSTLVSVYSVDRAGRRMLLLEGGVYMLLSLMAIAVVFRIKVTDSSDDLGHDWAILVVAMVCTFVFSFAWSWGPLAWLIPSETFPLETRSAGQSVTVCVNMLFTFVFAQTFLSILCRLKYTIFAFFSVCVVVMSLFVLVFLPETKNVPIEEMKERVWKQHWFWKRFLDDDDNHHVIVNGGKSK >ORUFI09G00050.1 pep chromosome:OR_W1943:9:145974:148191:1 gene:ORUFI09G00050 transcript:ORUFI09G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEVCCCLGLAANVAQLAGLDVITLVKVIKNRVETVRQNKEDCELLAERADMILDLLRRVQESKVIEDPDMWKPTEGLKSTLRRAAAIVKSCQEDWSYAYRFCKGGRIARELRKVLKDLKFYILPLIGMITIINHDQNTRYYYIPETDVVKPQDASANNAGMLVALEETGLKKFTLSELEVATDNFSLEKQIGIGAFSIVYKGQLNEIPEVAVKRASYVNRIPFDQLENEAKIISKLQHTNIVKLLGYCSQEREKILVFEYMPGRSLDSFITGERAEELPLDWCKRSQIVKGIADGAVYLHKQCEPRIIHGDLKPGNILLDAALKPKICDFGTSKALRPGQDMDCTGIVVGSRGYMAPEYKQGGCVSLKTDVYSFGATLLEIIRGSRIPLSTLELSDESRDFGPLNKWAWELWRGGNLMEFIDPSLHGETHSAAEIQRWVQIALLCVQLGPEERPDMWDVVLMLSSDSTVILPKPSRPAYY >ORUFI09G00060.1 pep chromosome:OR_W1943:9:149076:156714:1 gene:ORUFI09G00060 transcript:ORUFI09G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKRPLPAQAPPAGLVLVPAPKRPHVDAAAGGGVASPRGKRQLRSGMLVLFFVAQVKEEMRYNQRLRRVIRGENAISQQRAIQAFDCVFQKAFDNAFQKHLDPIYRSLQSLNKRTDILSHEVEQIKHSNSNHHANQQYRSKVNQESAAITEEVNQEQTAARFVAREAQEGQRVELRFLNKLNPLVFTKEKITAEDGTTIKIAIVRDNQIITSGPLSSARIEILALHGNFYDVVPDNWTESEFDHRIVSSSQGPALGGVCQVKLKNGEASPSDVFFNIPSSKTESGRLILAAKVHTSDNGGLRIKEAVMMNPVVVQVYRNKLNRSSDRPKLKDEVHRLKGISGKGCRTKWLKDNQINTVEEFVKALNKDEEKIRNDAVNRLKKQAYDELDSIGFDHEMKNDYPVMTLSDDAYIPFTDTAQNPPDLHVTFQGALTQMDHDYAQFGIADMQCYTAQAPEGTSYGGNNMIGPANVPQNVIGDGSMDMFDCYAYIFPDNENQNERPHSSAYPGPV >ORUFI09G00070.1 pep chromosome:OR_W1943:9:158816:162179:1 gene:ORUFI09G00070 transcript:ORUFI09G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWHAGNQSDMIIEVCCCLGFAANVAQLAGLDVASLVKEIKERVQTVSQNKEDCELLAERAELILDLLGRLQKSKVIEDPDMWKPTERLRSTLRRACEVIEFCRERSCTYRFCKSDHTAKELRKVLKALKFCVTHLTALATIINGDQTTRYFLVQQTPDVVQLQDGVQVPALGLPAQHFKYNDRNDRGETLGISGKAQLVTEPSSVNEPGLKRFAFSQLEVATDNFSLENQIGVGAFSIVYQLENELDLIPKLQHTNIVKLLGYCTRKRERILVFEYMPNRSLDSFITGERATKEPLDWPKRSQIVRGIAQGAVYLHKLCEPRIIHGDLKPGNILLDASLKPKICDFGISKALKADADKDCTGVVVGSRGFMAPEYKQGGCLSLQTDVYSFGATLLQIIRGKHISPSSLALSDESRNYGPLNKWAWNLWKDGNLMELIDPSLHDETHAAEIKRWVQIALLCVQQSPEERPSMWDVLLMLSCDSVILPEPKLPAYH >ORUFI09G00080.1 pep chromosome:OR_W1943:9:164201:167049:1 gene:ORUFI09G00080 transcript:ORUFI09G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKRAPPAAPAHGAPPPKRLQIEAARSGAEAFAQRALTSSPVKRQLRRGMLVLFFVSVAQVKEERRNKNKAGPARVAIHEESSTSQRKAPEDDAFQRGIMKAFDNALQKHLNPIYCSLQHLTKQTGTLSERIDTVSHEVGQIKKLISNRDANERYRSEANQENAAVTEEVNQEQTALRLAANEVHEGQGVELRFLNKMKDHLVYTNDKITAEDGTAIKIAIFRDNKIVTAGKLSSARIEILVLHDKFYDAAPDNWTACEFDAHIVSGSKGAVLGGVLRVKLKNGEASLSDVSFNMPSSKTGSKKLILAARVLSSDKTGLQIKEAVMNQPVEVQINRNKSNKKSNCPKLKDEVHRLKGISGKGNRAAWLKNNGIHTVADFKKALNKDEEKICTEYHVNLPFIAMKHLK >ORUFI09G00090.1 pep chromosome:OR_W1943:9:181319:185351:-1 gene:ORUFI09G00090 transcript:ORUFI09G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEAILQKRKKQAEEMLAPLPFPHLTAAEETPPNPETPISGEAVAVRRKERKRKNEEAAGVGKKEKKRKSREGPPAPEARRKERKRMLMPRQPSHDQIHGIQVQANPPPLAGGRDEAYGRSSCKKIRVLSNREIIKMRIQLRKHQPLPQGIFDPEIIMASNSTQQDPNHSSPFGAFFDQFCYKPTRQDRTPPLPRTPDLLVRPPPRDHLSSASSQLMTNHTCKINSTCKTTTFKTRSGPNQGNTKVKEMARVNKERKPAPLLSRAEKRSDKYRRLPLDQLVPPPRSPHKLLQEKYASDPWKVIVICMLLNLTQGKQVRRKVKGFFKRYPDAQTAFSADPEKMAKYLAPLGLQRVKVNRIQRFSKAYVEEEWTYITELCGVGKYAADAYAIFCAGRATEVVPADHKLVDYWKYVCFELPMIQQSQDMQEAGVTEMEHAVPKVEELAVCC >ORUFI09G00100.1 pep chromosome:OR_W1943:9:196537:197954:-1 gene:ORUFI09G00100 transcript:ORUFI09G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIPPTTEEEEEEEGPAREKSRRRGKWPLSDQVKILSALAAHRQAGEELPSGRALLDEVKQQLSRESRERFTARDLDKKVSHLRDRYIDHIYKPPPARRRPHQHHTTLFDLSRKVWPQFQHPPQAQAVHISSHGADSASGPIAAAAMAPTVPLASPTPTIVIISSSSSWTSSSSSTTSTGSVSVGGDEEATSRLRRGPYRCWAVDDEIKIIDTIAALRRDNMGNMPYAAVLLRALQAADPPLLRPCLDAATLSQKVYRLKIKFRSAAMAAATNAGKKRLRNKRNKALYHHSKKAWPEELRQAKATAANNIQVRRLRTSYGGTRVGFSSLSSISPQ >ORUFI09G00110.1 pep chromosome:OR_W1943:9:205007:205972:1 gene:ORUFI09G00110 transcript:ORUFI09G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIPPTTEEEEEEEGPAREKSRRREKWPLSDQVKILSAHAAHREAGEELPSGRALLDEVKQQLSRERFTARDLDKKVSHLRDRYIDHIYKPPPARRRPHQHHTTLFDLSRKVWPQFQHPPQAQAVHISSHGADSASGPIVISSDDSSGHIVISSNDGAGADSDAMVVSLSSNGSAPGPIVLSSDEEEEEPARKKSCRENWSFSDAVKILTALTAHRQAGGELPSGHALFDELQHQLSRERFTARELYKKVKSLKDRYMDELYRPPAARRRPQNSTLFDLSRKAWPDFHFHFQSQPHDEAVQISSSSSSSSSSSSASSSS >ORUFI09G00120.1 pep chromosome:OR_W1943:9:207323:207574:-1 gene:ORUFI09G00120 transcript:ORUFI09G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGDGQAAGVVAVRTAGDFVLSGGRSSTKLHLGQQQHYQGMQQQQANVGRNSSSRQLHLSILALGQQQQHLQAVFSWAVGQ >ORUFI09G00130.1 pep chromosome:OR_W1943:9:225243:236186:-1 gene:ORUFI09G00130 transcript:ORUFI09G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDGDRFIEMVSAGTLYLSGEWERKYWSCSRGKDRYPYPVGYHAVRHFSGISYTMQIHQGPRGPLFQVTSTQGDSSTGPTPDIAWKNFHKKTAPKVRDWQRKRSFPQKIDGLFGFKNASVQRLLRELIVRSTGAVQLNLPHPVTSDANSPLSRKVEAEISDGNEVCMDKTGGPAKRSMRPSQEEGTAKRVHYQNISTSTDKCHNELDIIADEGSNEDATGSRCTSSSLEDMPCNSTHTLVDDNLGEFVADSPEQVALSSSSYLSSQKSDLESAEREVAKSMMSILLPQAIPFLNKTHRKKKKVKHKKREETISTTTALAENPSADGCRGVVVSTSTCEGINIKTSQTYSHGQSLCEMVKDCCGNDDGMIDEPGLKSDDMKVVADSFEDDEQGWCDNKSKSMGARCHDDDACSKESLPYGNREDHDGHSECQMGIDDGTNTPDVVYDHEKGQYVLSEALLACLEEEFGAKDNSCPANYNQIDVGRRQGEQHFEDPRSGINDDSSISVGMSDKSNLRSGLIDGYAQASAKSWTGNSRHGESLTNLLQSPVHSNAHNNSEKMGGKFDDTEFVDKFVAFDKYGMKRVNTVTVWPVDVRTKTGKRNHPLEEQKECQTGCRNGNENAMVSIGCGSYVCGRVPPKDEDNACHEHAPPDVNHLNGPLCRHKETSPRVSNLHLDLMGCYLHPMPVLSIVLNTKNNSSLLIYVLCGLLESCERFLYVYTIVPKDQQETAPYFVGYTPLLLSSLERSCTGNLPFERSGLQFTPDGQFLVLLGSIRMPYCRLKQIIDCSCSLCKLDQCEDNYLKIVSVDLGYVSLLTKLMAYGSLSCILICEPNYIVTVEDGRNLHIWMMAAGWRIISEEYVIPSSGNVGNSIIELRRMPKSSTLIVGHDGTGSFSLWDISKRTLLATFTAPGIIVFQIRPEDIILASVSDIERRLREITVTGVSRKADKESILSPGKDTAIWILISSASVAEYQSDLRAKEHNARWRLALLANKTLIMGTILDPRATAVDVCGNHGFAGTHGGLLYAWELSSGRKLAGGRVSCVAVDAKSGVVAVADDGCQLVLYSQNKVLSNARAEGNMFRIK >ORUFI09G00140.1 pep chromosome:OR_W1943:9:275467:276429:1 gene:ORUFI09G00140 transcript:ORUFI09G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWWSWRSLFSSLANANGGGSNADASSGSGTSSSPPVHEAQQAAARRRSARTKKPPEEEAAGSQPQPKTRPSPASKASKAKVLLLLGDGEPKKKPAPNPTPTQKRSNKRKRSWSRADELRILEAMANHANAHGGALPETSDLFAALASSLERGDADLPKLADKVHKLKRWYDNARLPQRCPTDDDDDTRRLFQLCGKVWGPPSTVLRTSPRQRHKVVGVLVQGNGANPQPAAALKVKEKRVRRELSELYVLYPCLAQEVKAHANEYGELIGTAFQFIGDDEARCYDDRYRKMLVDKLNMKKEHADVTKSLLCTLAGYIN >ORUFI09G00150.1 pep chromosome:OR_W1943:9:290348:300689:1 gene:ORUFI09G00150 transcript:ORUFI09G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCDASSRVRGRDEPCRRRNSSSSAVEFLGVLLPANVNSHHLPVAAWPHAVPHTGGKPAGNAVPFAEQELELNTMHTLIRASKYLREKQPRRRRPGRAGKGRRRPGQCWAGAVAAAVAGPCRARAAAAGPVPERRRPGAEGRAASGSHAEPILAC >ORUFI09G00160.1 pep chromosome:OR_W1943:9:336544:346485:1 gene:ORUFI09G00160 transcript:ORUFI09G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNCLIFIHGHIKGNTLCILRESTPLGSGEERREASNTTAKARRALAMAMAGHAPGGALPLILLVVSCCGRIVSGASPAAAALRRVGSGSGGLCDQLLLPLGYPCTEHNVETKDGFLLSLQHIPHGKNKAADSTGPPVFLQHGLFQGGDTWFINSAEQSLGYILADNGFDVWIGNVRGTRWSKGHSTFSVHDKLFWDWSWQELAEYDLLAMLGYVYTVTQSKILYVGHSQGTIMGLAALTMPEIVKMISSAALLCPISYLDHVSASFVLRAVAMHLDQMLVTMGIHQLNFRSDMGVQIVDSLCDGEHVDCNNLLSAITVIRKGTFAKYDYGLLGNLRRYGHLRPPAFDLSSIPESLPIWMGYGGLDALADVTDVQRTIRELGSTPELLYIGDYGHIDFVMSVKAKDDVYVDLIRFLRENGWHNSY >ORUFI09G00170.1 pep chromosome:OR_W1943:9:350768:354402:1 gene:ORUFI09G00170 transcript:ORUFI09G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCCLPLLFALQPPIRAFSSSECAIVVDEAPLIEEPPTVAIVDVWLRSLIPREDGLIKPIKRPKFLKDVYLAESYVRSDEVSCEKVIAHVEKCYGFQSDGYSHHIVQDGLQLFKLQKGKDGSLSPQGLADMQLIINKLSNEALHSVANIATHNRVSFEKTRPAMKKIIEDHLPQYLANLHDENDMSQLSHILTNPFSYRSNSLNITTPISPKMLSSIDQALNVLSTLTIQALVAMKRKLDEVSFTPKFSFVPRISRKAHMVTVIRKECNKMISRVGESGDLPKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVLNAIWSIQKLKKGDLKLLRAILCQGSNDEMLLKTTVRRYLIDCLFECDEGDLPDEALRAIALCNQMPLRQKIDFTEQRKGAELEAVLNVSSSLRALVYHCTGGQTDDQLMNCESECHSDEQVMSLGCDDYSCDNDFVLTEGYKNFGHQQHKIDEACSSSMVNPVSVSGHFSSGAGSNMKKPTLHEVVGANEVEIRRSSMGLSEICDDAAILAHKLLGKILDNTLLAENKVNGLAGYSLDGSTSHGPQVCNALQGSYDIFAIDPAEKNQKADIVIKAIENVLPNLPKSCMDKVRRMLHDDKQ >ORUFI09G00180.1 pep chromosome:OR_W1943:9:358227:359210:-1 gene:ORUFI09G00180 transcript:ORUFI09G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIVRPSKSGSNSDSDSHAHHPPPEPPQESSDGEDLELESSEELDSHGAPTKKAPFVAPPPPPPPQQNGKEVSDSPSLPTNSAIVLSPLPPPLQANKNHQDQDSESDSDSDDDEPPLPTNNAIVLAPPNNQESESDSDSDDDQESAPKANKIVSSSGDDDQESDSSDDETLPALQANKNASPSDDDEDDDQESDSGDDDVLLDPALQANKNVLAFNGKRKVPPQEVGQSLRQPKKKKMEAPAQGNTDIDTQFKEKIASYFFLGKVVSLLDEEHPDLFKEAFLKLADSKASALDAKIKQLTLAQVRVSLKGRDLEKELIKLLSGFLK >ORUFI09G00190.1 pep chromosome:OR_W1943:9:364106:370004:1 gene:ORUFI09G00190 transcript:ORUFI09G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLYLRRRAAAAALAGVAPRPQWLATAARRGALVSGDDGGETGERGKSPWLQLPPFAPLDAAAAARAISRGGGEGGDGEQGATAIKWVRRCCPDLPSSLVQKLFRLRKVKKNVVTAEISSADASAEQHRLRRVSAKDQLMPGDILFLPVNLKESSVAEKTKKFDNRNEINFLRGLEIYKDEAIIVVNKPSGMPVQGGVGIKNSIDVLASMFEENSSEAPRLVHRLDRDCSGILVLGRNQLSTSMLHAIFREKTADALADGTQHVLQRKYVALVIGTPRHPKGLLSAPLAKILLQDGKSERLTVRASSNAASVQDALTEYRVIESCPQGYTWLELFPRTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHQKWMPLPLPRTIDEELHRKRKLPFGLVVGGGSIAEEQPQLHLHCKQMVLPDVSVALHRLQSSDVNPDFSDLEKLNFVAPLPLHMRLSWEILKSVKI >ORUFI09G00190.2 pep chromosome:OR_W1943:9:364106:370095:1 gene:ORUFI09G00190 transcript:ORUFI09G00190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLYLRRRAAAAALAGVAPRPQWLATAARRGALVSGDDGGETGERGKSPWLQLPPFAPLDAAAAARAISRGGGEGGDGEQGATAIKWVRRCCPDLPSSLVQKLFRLRKVKKNVVTAEISSADASAEQHRLRRVSAKDQLMPGDILFLPVNLKESSVAEKTKKFDNRNEINFLRGLEIYKDEAIIVVNKPSGMPVQGGVGIKNSIDVLASMFEENSSEAPRLVHRLDRDCSGILVLGRNQLSTSMLHAIFREKTADALADGTQHVLQRKYVALVIGTPRHPKGLLSAPLAKILLQDGKSERLTVRASSNAASVQDALTEYRVIESCPQEVLGTPIVGDYKYGRQAHQKWMPLPLPRTIDEELHRKRKLPFGLVVGGGSIAEEQPQLHLHCKQMVLPDVSVALHRLQSSDVNPDFSDLEKLNFVAPLPLHMRLSWEILKSVKI >ORUFI09G00190.3 pep chromosome:OR_W1943:9:364106:370004:1 gene:ORUFI09G00190 transcript:ORUFI09G00190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLYLRRRAAAAALAGVAPRPQWLATAARRGALVSGDDGGETGERGKSPWLQLPPFAPLDAAAAARAISRGGGEGGDGEQGATAIKWVRRCCPDLPSSLVQKLFRLRKVKKNVVTAEISSADASAEQHRLRRVSAKDQLMPGDILFLPVNLKESSVAEKTKKFDNRNEINFLRGLEIYKDEAIIVVNKPSGMPVQGGVGIKNSIDVLASMFEENSSEAPRLVHRLDRDCSGILVLGRNQLSTSMLHAIFREKTADALADILLQDGKSERLTVRASSNAASVQDALTEYRVIESCPQGYTWLELFPRTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHQKWMPLPLPRTIDEELHRKRKLPFGLVVGGGSIAEEQPQLHLHCKQMVLPDVSVALHRLQSSDVNPDFSDLEKLNFVAPLPLHMRLSWEILKSVKI >ORUFI09G00200.1 pep chromosome:OR_W1943:9:372111:378622:-1 gene:ORUFI09G00200 transcript:ORUFI09G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDPLAKSIHGTNPQNLVEKIVRSKIYQSTYWKEQCFGLTAETLVDKAMELDHTGGTYGGNRKPTPFLCLALKMLQIQPDKDIVVEFIKNEDYKYVRVLGAFYLRLTATVADVYQYLEPLYNDYRKIRHKLSDGKFTLTHVDEFIDDLLTKDYSCDTALPRIQKRWVLETSGTLEPRRSALEDDFEEEEEDKEDEQPMDIDEPNGRALLEIETGRGNMKDTTGTEITTEIGIMVGDGKETEIETVKEIETGIEIGIGIGIETVIAYEMRTTVEIGTEQEIGMAGKENAGTETVGGAGAVQGAGAGIDEKETEKMESTVGGVIGVVPVLEVMRRMVAQEMSRRRERKRKRRRVKEMHQIQMTQRL >ORUFI09G00210.1 pep chromosome:OR_W1943:9:383343:383988:-1 gene:ORUFI09G00210 transcript:ORUFI09G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPATLGTLTLSDYPFQLRIDVRIRGIIAVFLVVLILVIGALVGVLASGGLLPELVQLGLGVGLRGGPNPGINIVLHVEVLLDGEEVAALEGEVADAAALPAGAAGLVGVGAGDAGEREEALEAGIGVVGGGGGGGGGRSGGGWGGCGGGVGGGGVGGDGGGGRLMPWVCNAALLWTRLLAGMRTRPAVAGILPRRR >ORUFI09G00220.1 pep chromosome:OR_W1943:9:383362:384495:1 gene:ORUFI09G00220 transcript:ORUFI09G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPENNKHQPPSSSVSANAAAANAAAASAPSASAPSSSSAAASSDNAYTSFQGLLALARITGSNSDETRGACRKCGRVGHLTFQCRNFLSVKEDLDMEDDIDAGIRAASQANAQAKLDEFRKKTSGGKDADEGSDDEDEDDEEDSDDSSDSDIDPELERIIAERERAKSGRKHSRDEEKKTSRHRSSSRGRSKHRRSTKRSDTEDDLEEERSKDKKKKSRRKRHERSDEDSESDSDKKRHRKSRKDRKRRRSHRRSDDTSDEDESGGEDRRRRRHRKRQHHHRKGASDGDSGSGASDSADDRKRSSRRRRHRKSESNGSDGDERHGQGAKRSKEKRGKEEC >ORUFI09G00230.1 pep chromosome:OR_W1943:9:394042:400194:1 gene:ORUFI09G00230 transcript:ORUFI09G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFWGLELKPGEAYTHHSAPARLRITQAVLGSCDQGWTTLQCDTNDRETVRLCVLNPGLAVACHLELELQKDENVLLSVDGQNSIHLSGYYTCSHSGNHGRNSQKPTSKAVGSTGFNKKHQDISDKAPVIEEILDDQTVQQQQQQGVNISSKDVEPSHKNGHGQNSEWATCGNGTDDDNDNNGAMFYPSSRNKMEVDEPTGSKDNDYDYWLPFLDASVKRKASETDGENVYTEKGELKTPKIENVLSDQSVDMDQVNEQTCSKNVEPNEIDDVKPTRGHKNTMEVVLPLLDSSVKRKAAEIDGEKVQIEKAKLKMPKTEDVPSDQNNANQVNEQICFKTVGSNAIDDAKLSLGHQNTLEDLDKSQELNVSQTKGQNDVADQITNQDTPTITSSDERYIFTGALETDIEQKNRGAENEQVEVHRCPFEVLDNGIKVEHLVEGNAKAKVASKGKQVCVRYCGRLINGEVIDPTNLDDDTHTFRLGMRVGGKRRLTIPPAQGYGDVATPKIPANSWLVYEVELLEVKRAKRAR >ORUFI09G00240.1 pep chromosome:OR_W1943:9:401835:411246:1 gene:ORUFI09G00240 transcript:ORUFI09G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLIYPVVHQPPCHRATLGNFDHSGWVLVECKVGDQGLVKVAALNPETAPVAPLELEFEENKNVVLSVRGQNSVHLSGYYICSYNGDYGENSKQATKETSLLKYASGLPCKPVLIGQFRRPVLACENCLISRVSKDDGAAENNDEKQGDEAKQSKNVQAELQPHIRVLDSDHGENSKQATKEMESNAMDEDASLGLEHTLGGNVVQAASQEENASQTHEDNDAADHIIQQTDPPILVSEDDGTAEDNDEAELQPRIRVLDSGMTIEDLAKGNVGAKIASCGKKVYVKYVCMLSNGDTVDPTGMRVGGIRRLGIPPHLGYGDVGRGNIPPNAWLNFDIELLKVKSGRKKARKVKKSRRAAAETSSTAR >ORUFI09G00250.1 pep chromosome:OR_W1943:9:412204:417639:-1 gene:ORUFI09G00250 transcript:ORUFI09G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAATSASGGAGCFPRPPPSGGEEKKQDEEGQCFLDGMDLLKDATENKRFLPTGLQGVDALLGGGLRQGQLTEITGQSSSGKTQVCLCSASHVAARQLGVVMYLDTSNSFSPSRIARIVDGFPISLVREPKNVRLERVMSSIICKSVFDIFDLFEVLHQLELSLKSKVNNGGNKICLLIIDSISSILAPINGGKYPRGRSMMISVAMILKKLAYEHNLSVLVTNHMVAGNGAPKPALGESWKTVPHVRLVISRERGSKICAATVLKHTLLASGRVMKFAVPS >ORUFI09G00250.2 pep chromosome:OR_W1943:9:414391:417639:-1 gene:ORUFI09G00250 transcript:ORUFI09G00250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAATSASGGAGCFPRPPPSGGEEKKQDEEGQCFLDGMDLLKDATENKRFLPTGLQGVDALLGGGLRQGQLTEITGQSSSGKTQVCLCSASHVAARQLGVVMYLDTSNSFSPSRIARIVDGFPISLVREPKNVRLERVMSSIICKSVFDIFDLFEVLHQLELSLKSKVNNGGNKICLLIIDSISSILAPINGGKYPRGRSMMISVAMILKKLAYEHNLSVLVTNHMVAGNGAPKPALGESWKTVPHVRLVISRERGSKICAATVLKHTLLVFA >ORUFI09G00260.1 pep chromosome:OR_W1943:9:417658:420617:1 gene:ORUFI09G00260 transcript:ORUFI09G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGRDSNMQQLIPIAPPPKASSGTTGKELVVVDGTGKASGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRLARMDADYQKRKQMAEFELRREERLKEAEERTAKKRLKRQKKKQRKKEKKRSKTNNGGEQPNGGESSGGDEDSDDEDKP >ORUFI09G00260.2 pep chromosome:OR_W1943:9:418177:420617:1 gene:ORUFI09G00260 transcript:ORUFI09G00260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGRDSNMQQLIPIAPPPKASSGTTGKELVVVDGTGKASGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRLARMDADYQKRKQMAEFELRREERLKEAEERTAKKRLKRQKKKQRKKEKKRSKTNNGGEQPNGGESSGGDEDSDDEDKP >ORUFI09G00270.1 pep chromosome:OR_W1943:9:421451:424207:-1 gene:ORUFI09G00270 transcript:ORUFI09G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALHSRQGSSHIPLRCSPTQPPAGSDPIPSSMNLSEEWRFLFPVSSVFAPPSLAVANRNENGNGNGYGPLLFSPLPPPATLHTNIPFPAFQFHPPHSKSTGDALRYFLSSTASFLPTPDLHSLSSSLSDSTTFRPPPPPSNLLATILLRAPSTSLLLFFPSGHNADHLSYATLHSTAAPLSAVQTLTHGFMHAVHRIHHLAATSSCPPPHSHSPAAATPLVHGFLLAATTYSVNWFKVESSSSSSTSPPALVPAAKQAFDAAVVHACWSKHLHSDCLVLLDNAHLCCFDLHQRRGSVLRVGTATATEGGACLSCDYGPQPWTAVVATTKAILLLDLRYGPDHPGHCKVLARVGMQGLFDPDPPLNSECHYLAFCKAPFDDFLMSVATERLLLVLDIRQPLTPVLAWQHGLHNPNHIAMFRLSQLRPSKEHEWASSSGIAILAGSFWSTEFNLFFCGPKDQCSSSSQNAHHLYAWDVPSRISLIGQHCSCSNGLMREVFTDHEPITRNTVVGYHVLPNTLLQDESSSSFTGFALIRLTSSGKLEMQRFRASGDFDEHVMCDGSHHQSAACTTSSIISPDTTAHGEKFSSRYKFLKFHYLSKYLEGNLLSALENHNVVNKGSHQIVISEDVSAFAKENSPPCYRSVSDLLCNASVPMNIFETGCQHILNNGLSSDSLLVTFSKYKDMLACSKGKLIYEYPEVPARSRNNDEHRPFLLAKPSGTGNKLTSEAISGDALVGPLLPIPLLLAIEDRNKGTIESSTCQGETSSVSRRCREALEACVPKTSNANATRFSGWYASRELRKKPYFVYEPQIDDRLTLDETARKEGKKAHMDENLTTFVCGKAGVPHSGPKQAASNLFDSNCSPVRMDFELPFVDVQPAEQKAIQSLKNQFLSWQNNFRPYKDFCNSHHIQLQKPQR >ORUFI09G00290.1 pep chromosome:OR_W1943:9:450903:456349:-1 gene:ORUFI09G00290 transcript:ORUFI09G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFRCGASSSSRRAQGCGGIRPLKRRLVDLGFHLKSQVKKTRGVSQHAKKRNIGDDHADDIEQPPPKRSRAKQESSRASSMKLIKLYPHMSGEQKRLTEGAGFHGLVDLKCSKLRPDLCSWLMEHFDPATNQLVFPGRGAIDVNEESVKSVLGIPMGDKDVSYEMEICAEFAWNQRSPSLTSLGIQLEKLKLADDKYLRMWIIYAISSVLAPTTATTVSPRCYPSVVDAGNIKNLNSCKFVISTLQKATKAGKNTNSACLLYMMILYLDSLSFKNLNVPVEGYRATVWTNELINQAILADTSADGSFGALLLKSSFQHEGTNLFGSNVDFSTFINSNVPNTMQEQENDRIVTSVQNLCEGFSGLVTKFVRQISGLDFVDSREEVEDTEEDEYTDEDGDSDDDEGEGEEDDDDEGEEDDDEHRSENDDNDGAETGRSGEQADAATDVTCCKGDDTNEGIGSGGKGADDVTGCNGDDTNEGIASGGKGADDVIGKGKQVDEGIGFGDKEKHEEKQAPNAAAQNVPESEKQPVQKAEKYPFLTTTIDSHEVPNFNLGFDSSQEVVQTPKGQEATGTSRGKEFPRIITNEDYGSFTTEDYEKVGREADEAIASKSATKSPVAEVISKEPIADDCEVQEEIPVPHEVVKPAKFKRSPFIDYENKKQFVVSRVINELDRNELKKHFDQTRANRLDHKELELGNGNDKDGHYFVVCLNLKAERFEVYDSLRGEDDEELISASNLVVVSIKTM >ORUFI09G00290.2 pep chromosome:OR_W1943:9:451722:456349:-1 gene:ORUFI09G00290 transcript:ORUFI09G00290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFRCGASSSSRRAQGCGGIRPLKRRLVDLGFHLKSQVKKTRGVSQHAKKRNIGDDHADDIEQPPPKRSRAKQESSRASSMKLIKLYPHMSGEQKRLTEGAGFHGLVDLKCSKLRPDLCSWLMEHFDPATNQLVFPGRGAIDVNEESVKSVLGIPMGDKDVSYEMEICAEFAWNQRSPSLTSLGIQLEKLKLADDKYLRMWIIYAISSVLAPTTATTVSPRCYPSVVDAGNIKNLNSCKFVISTLQKATKAGKNTNSACLLYMMILYLDSLSFKNLNVPVEGYRATVWTNELINQAILADTSADGSFGALLLKSSFQHEGTNLFGSNVDFSTFINSNVPNTMQEQENDRIVTSVQNLCEEEVEDTEEDEYTDEDGDSDDDEGEGEEDDDDEGEEDDDEHRSENDDNDGAETGRSGEQADAATDVTCCKGDDTNEGIGSGGKGADDVTGCNGDDTNEGIASGGKGADDVIGKGKQVDEGIGFGDKEKHEEKQAPNAAAQNVPESEKQPVQKAEKYPFLTTTIDSHEVPNFNLGFDSSQEVVQTPKGQEATGTSRGKEFPRIITNEDYGSFTTEDYEKVGREADEAIASKSATKSPVAEVISKEPIADDCEVQEEIPVPHEVVKPAKFKRSPFIDYENKKQFVVSRVINEVYDDICKNGGRTKSRRNSLKIIDT >ORUFI09G00290.3 pep chromosome:OR_W1943:9:450903:451676:-1 gene:ORUFI09G00290 transcript:ORUFI09G00290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDNNTCELALIVLSADIKDNSKRIFPARIGGYLLDLQLDRNELKKHFDQTRANRLDHKELELGNGNDKDGHYFVVCLNLKAERFEVYDSLRGEDDEELISASNLVVVSIKTM >ORUFI09G00300.1 pep chromosome:OR_W1943:9:458352:458687:-1 gene:ORUFI09G00300 transcript:ORUFI09G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPRLDEEKESWLGFTTAYGQRVAALRLAIFLSGLAMSVRKVAAPSKMSMVTEETVTSVKASPAGGVSVRRPHTVAITVAASLEPGFGAKVSAQWQPLTDTRRTLSPAA >ORUFI09G00310.1 pep chromosome:OR_W1943:9:482885:484506:1 gene:ORUFI09G00310 transcript:ORUFI09G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEVVAGISPRGALARGKAIPLRSRVSFTRERQLNFAIALSDSDFAMLSHFPGANIGDTDGLFPQIVRYPISSTPISKLMNDLKLMAVTFSRQPSTRVERYEVFSPVPSLSKPPTNKTSSLRLCGERVVATSRVSVDPAARSGASDVSYPTERDDGWMEVKLAEFSNDERMLTEAAVMVDFREVNDHVKKSGLIVEGLEFRPTIH >ORUFI09G00320.1 pep chromosome:OR_W1943:9:504183:506642:-1 gene:ORUFI09G00320 transcript:ORUFI09G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRRKPSRPPRKLRRSLRTEYVEPPAEAKVYVGNLPYNIDSERLAQLFEQEGVVEVSEVNQ >ORUFI09G00320.2 pep chromosome:OR_W1943:9:505480:506642:-1 gene:ORUFI09G00320 transcript:ORUFI09G00320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRRKPSRPPRKLRRSLRTEYVEPPAEAKVYVGNLPYNIDSERLAQLFEQEGVVEVSEVNQ >ORUFI09G00330.1 pep chromosome:OR_W1943:9:505868:506577:1 gene:ORUFI09G00330 transcript:ORUFI09G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLELRTEYEAHIAHTSFNRASDQAVLQLKESGEWRGSHLGDLDDAFLFEELGEALAVDVVGRLHVLADLLYVLRLLRNFRGGLDGFLLLIIISSAASSASGAYPSSWSDSSGTSDSSAAPGSAKSSAAAEDEEDWKESDAASCASERRRVERMWRRGVDGERRRRNGAEEEGEGLGRKASVAAMAQSERRSIRGG >ORUFI09G00340.1 pep chromosome:OR_W1943:9:509324:509530:1 gene:ORUFI09G00340 transcript:ORUFI09G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHIRLPPPFSFSRSGSGRGGEGRRGGEVVAGDQEWPFLLSVRPSPFFPYRSATVVVVEKSDTKAG >ORUFI09G00350.1 pep chromosome:OR_W1943:9:522704:523066:1 gene:ORUFI09G00350 transcript:ORUFI09G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHCTGTPRQPDFVRRRPHRTHRQLLLAPRPLPSPPIAPRRAVPASSTAGYASPRQASLVNHRPCLIAPSQRPAAGRTFSRQAGLRRCRPRLYAPSWPPSTPPPSPAPAKCGRLPRLPG >ORUFI09G00360.1 pep chromosome:OR_W1943:9:538308:539264:-1 gene:ORUFI09G00360 transcript:ORUFI09G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRRGGGGDVDRIKGPWSPEEDEALQRLVGRHGARNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRPFTPEEDDTILRAHARFGNKWATIARLLAGRTDNAIKNHWNSTLKRKHHSSLLADDLRPLKRTTSDGHPTLSSAAAPGSPSGSDLSDSSHHSLPSQMPSSPPHLLLPQHVYRPVARAGGVVVPPPPPPPPPATSLSLSLSLPGLDHPHPDPSTPSEPAVQLQPPPPSQMPPPTPSCVRQEPPQMPFQLQPPPPPRPSAPFSAEFLAMMQEMIRIEVRNYMSGSAAVDPRSSPDNGVRAASRIMGMAKIE >ORUFI09G00370.1 pep chromosome:OR_W1943:9:571455:571799:-1 gene:ORUFI09G00370 transcript:ORUFI09G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVLAAISLYSSAPSGGHRRDWWRQVGTDGRSLVESGGLGMQQQQLLHTTAKSVATLPVTMDVTELGVWRSTVGGLGRGRSSTGRLKRRGSACNKVRRGRSTIGRFESYGPRL >ORUFI09G00380.1 pep chromosome:OR_W1943:9:578279:578512:1 gene:ORUFI09G00380 transcript:ORUFI09G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGVEEVGGGRRPAWGQCGGGRWRTASSGTAEDVDAAAPRTAGVGVEEVDGGRRWLGDGARLLDSGGRLPESGRT >ORUFI09G00390.1 pep chromosome:OR_W1943:9:624248:629028:1 gene:ORUFI09G00390 transcript:ORUFI09G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPARDSPHSSLRAHSLSSSLRPNPGCRHSPQLDQRRRCRVFTAAGPAHSLSCLLATPRHTPPHRAPAAAVVVPHSSGAPSRTASAGTVVVPLSVRAPPGTAPDVAGCSPFCRRCCARHAGMQLSSPKVPNLSNVSWLRWQRGSDGLHLFAYDGVPAEDKFNGGSSDGDDLPPAPTMGISVVGECGVAVVAFFKSNCCTRVAWESACCCRDRMVGAGAGAQKSGSCCMQRESGSG >ORUFI09G00400.1 pep chromosome:OR_W1943:9:624277:625649:-1 gene:ORUFI09G00400 transcript:ORUFI09G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMEISLSVNLVSQKEGDSCSAEGLMGMWKQECYFEPNQVCWHRREEGARKLDGRTRRRSNETLDKFGTFGEESCIPAWRAQHLRQKGEQPATSGAVPGGALTERGTTTVPAEAVLDGAPEEWGTTTAAAGARCGGVWRGVARRHDSECAGPAAVKTRQRRRWSSCGLWRQPGFGRSEEDRECARSEE >ORUFI09G00410.1 pep chromosome:OR_W1943:9:626059:641163:-1 gene:ORUFI09G00410 transcript:ORUFI09G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDWRVIAMVTPAPPGGWDFSSDDALRDEASVKGRDVPRILNVAAKIPGIPTVSSKEEA >ORUFI09G00410.2 pep chromosome:OR_W1943:9:626059:644272:-1 gene:ORUFI09G00410 transcript:ORUFI09G00410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVRIHHRHLDPVVSSSPSRADQPLAATGGADPATSSPPARIPSSLRPPLARIRRQRRWEGWIQRPLPFPHGSVVSSPPRSRGSMDLVVRGEGWTMRTGLRPSRRWSSPPPHCLAEPAMLDFFFAPWLWILLMAMESDWRVIAMVTPAPPGGWDFSSDDALRDEACLRFRPSRILNVAAKIPGIPTVSSKEEA >ORUFI09G00410.3 pep chromosome:OR_W1943:9:641169:644272:-1 gene:ORUFI09G00410 transcript:ORUFI09G00410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVRIHHRHLDPVVSSSPSRADQPLAATGGADPATSSPPARIPSSLRPPLARIRRQRRWEGWIQRPLPFPHGSVVSSPPRSRGSMDLVVRGEGWTMRTGLRPSRRCHGSGFC >ORUFI09G00420.1 pep chromosome:OR_W1943:9:644137:646099:1 gene:ORUFI09G00420 transcript:ORUFI09G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSVREGKRSPDPPLPSPPMVDPRERETKRRRDLGVGGGSARAASTAWNRCMRRPCRRPQSATTLLHLLAVALLHLLPDQGEEAPLAAAAAGRRKMEVVLCIGNDDDDLALIDVTYPKCDWFREITLLYRGHRLFAPFVLGLIVLCGHAPPNNWCPWGREGRARPSSGPRHPDPLRRDLHQRLPCLVYQPDCNQSKYTISVLPFHKDGGRMARLLAFLHNRRVTVACVGARDVMEKLADEWELDVAWPAELTNLFSCTRSARWPGWTQ >ORUFI09G00430.1 pep chromosome:OR_W1943:9:646965:654133:1 gene:ORUFI09G00430 transcript:ORUFI09G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGILAWAADVVGGAGAANDDEADDARAVASAAMTPEQRLRAADLDAKAASLRRSIHDLRLRVPPPHVAQRLPHLHAHSLASSAALALQLNAHSSTKEQQSSSCNYSSRAGCYLHPYLKLKTSAATCFGSLPPFRIAADLRFPAISAMKTNMRPNDTFNTISRKALQREITLQEENAAYEKAISNCRQKIQEKQMEVTLLRSNLKEMEISEQDLKAQLDNAQNEQYASQNKASAAASDNTGNALMEAESLINLKSNDLKEKNEELAQAEGLIVDVHAKEKELERLNNLHKNLQSRNNDGSVARNPFRAVHEDSDAKAVRRPYQFGLRTEGLKRLMILRSAFVLYILVLHIVVFIKLSVSKQ >ORUFI09G00440.1 pep chromosome:OR_W1943:9:671190:677085:1 gene:ORUFI09G00440 transcript:ORUFI09G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVGVHHHHSSSSSLSPRTPSPTHPLPHLLRLPSSSNRLRPPDHPHSSHPVSKLLRVTPPFFLVLLAAVYLLASFTIFSSPAASLRPTKNRPKLLLPMPAPSPPPPDLFELHGGRIRAWITNVGATVTSLLVPDNNGVLGDVVLGFDSLDPYQEKCSTFNDACLNNLKLNGTSPYFGCIVGRVANRIKDGKFTLNDKQYSLAINNPPNTLHGGFKGFDKIIWEVAEYVKGENPSITFKYYSKDGEEGFPGDVSVTARYSILASTTLKLEMEAIPLNKATPISLAQHTYWNLAGHNSGDVLAHTVQILGSQITPVDETSIPTGEMMPVSGSPFNFLTETTIGSRIDQVPGGYDHNFVIDCGEVKSGLCHVAKVTDPSSSRVLDIWADAPGVQFYTGNFLNGIVGKGGAVYGKHAGLCLETQGFPNAVNQPNFPSVVVQPGEKPFCFVLSTSLMLQWLYSFQILLHVTICYSEFTRISRQGISCRTCRQGSFQDEVKDIVGMETDGGLWDGTEYGGEVGENNHMNPRNSRVFLVTMSPTHTSVGYVATTTSRLP >ORUFI09G00440.2 pep chromosome:OR_W1943:9:671190:677085:1 gene:ORUFI09G00440 transcript:ORUFI09G00440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVGVHHHHSSSSSLSPRTPSPTHPLPHLLRLPSSSNRLRPPDHPHSSHPVSKLLRVTPPFFLVLLAAVYLLASFTIFSSPAASLRPTKNRPKLLLPMPAPSPPPPDLFELHGGRIRAWITNVGATVTSLLVPDNNGVLGDVVLGFDSLDPYQNGTSPYFGCIVGRVANRIKDGKFTLNDKQYSLAINNPPNTLHGGFKGFDKIIWEVAEYVKGENPSITFKYYSKDGEEGFPGDVSVTARYSILASTTLKLEMEAIPLNKATPISLAQHTYWNLAGHNSGDVLAHTVQILGSQITPVDETSIPTGEMMPVSGSPFNFLTETTIGSRIDQVPGGYDHNFVIDCGEVKSGLCHVAKVTDPSSSRVLDIWADAPGVQFYTGNFLNGIVGKGGAVYGKHAGLCLETQGFPNAVNQPNFPSVVVQPGEKPFCFVLSTSLMLQWLYSFQILLHVTICYSEFTRISRQGISCRTCRQGSFQDEVKDIVGMETDGGLWDGTEYGGEVGENNHMNPRNSRVFLVTMSPTHTSVGYVATTTSRLP >ORUFI09G00450.1 pep chromosome:OR_W1943:9:684578:686272:1 gene:ORUFI09G00450 transcript:ORUFI09G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPPVIALRQPFLIVTTGASSLAHLPCMQPAICLPRTQVICHCPVGRSLSFPRPMPIWDIVPIICSMLYMTLNNNEKLYKIISLAQFIHAIAATEKGQKATDFFSDSLFTSAGRSGSGKAGCVGSRADQDGRLDGREVRDGGISSGGPRGNTGPSITVDTEMEQPRQLKGRPPQLRRMREVSSAVGGNDFRRFRGWRECEKCRPSARGIDFRRFRGCRM >ORUFI09G00460.1 pep chromosome:OR_W1943:9:694963:700156:-1 gene:ORUFI09G00460 transcript:ORUFI09G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTASKGRGAARSAPPLFGPYLRRIVKWQQMDIEYTFWQMVHLCTSPKVVYGESPSHAALTITSVVLVHFLFAGIVLATLCWLYAFDVHCNSFFPAFVILYVLQYFLSPLLVAHGFFPALLSNLLFVVAISYYHYLNFLGYDVILIGFNPTRYFLSLYFR >ORUFI09G00460.2 pep chromosome:OR_W1943:9:694963:700156:-1 gene:ORUFI09G00460 transcript:ORUFI09G00460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTASKGRGAARSAPPLFGPYLRRIVKWQQMDIEYTFWQMVHLCTSPKVVYGESPSHAALTITSVVLVHFLFAGIVLATLCWLYAFDVHCNSFFPAFVILYVILIGFNPTRYFLSLYFR >ORUFI09G00470.1 pep chromosome:OR_W1943:9:702257:703089:1 gene:ORUFI09G00470 transcript:ORUFI09G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNTTSNDPKTTKDELAPPAPTAAEHGGGKDAVTKTVQTVEVKESVGQEPVLKPTKVVHQIPADQAKDAPKQD >ORUFI09G00480.1 pep chromosome:OR_W1943:9:716613:728269:1 gene:ORUFI09G00480 transcript:ORUFI09G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSCLLAAVRPHPPPPPRPLSPSFIPSALRHRHRLSQAPPLATSLPRPRPPWCRFSASSPPPPPDDPDDYELLDTTGNCDPLCSVDEVSSQYFEANYKPKNDLLKALTIIATALAGAAAINHSWVAEHQDIAMVLVFALGYAGIIFEESLAFNKSGVGLLMAVCLWVIRSIGAPSTDVAVQELSHTTAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNISTRNPRTLLWVIGFVTFFLSSILDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVISANAGGAWTPIGDVTTTMLWIHGQITTLNTMQGLFLPSVVSLAVPLALMSLTSEANGSSQKSSSLLSSEQMAPRGQLVFAVGLGALVFVPVFKALTGLPPFMGMMLGLAILWILTDAIHYGDSGRQRLKVPQALSRIDTQGVLFFLGILMSVGSLESAGILRQLANYLDANIPNADLIASAIGVASAIIDNVPLVAATMGMYDLTSFPQDADFWQLVAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYFRKVSGFALAGYAAGIITYLAAQNLPLSLPTSLAEIPFISGS >ORUFI09G00480.2 pep chromosome:OR_W1943:9:716613:728269:1 gene:ORUFI09G00480 transcript:ORUFI09G00480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIHGQITTLNTMQGLFLPSVVSLAVPLALMSLTSEANGSSQKSSSLLSSEQMAPRGQLVFAVGLGALVFVPVFKALTGLPPFMGMMLGLAILWILTDAIHYGDSGRQRLKVPQALSRIDTQGVLFFLGILMSVGSLESAGILRQLANYLDANIPNADLIASAIGVASAIIDNVPLVAATMGMYDLTSFPQDADFWQLVAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYFRKVSGFALAGYAAGIITYLAAQNLPLSLPTSLAEIPFISGS >ORUFI09G00480.3 pep chromosome:OR_W1943:9:721249:728269:1 gene:ORUFI09G00480 transcript:ORUFI09G00480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEIVDAHQGFKLVTDNISTRNPRTLLWVIGFVTFFLSSILDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVISANAGGAWTPIGDVTTTMLWIHGQITTLNTMQGLFLPSVVSLAVPLALMSLTSEANGSSQKSSSLLSSEQMAPRGQLVFAVGLGALVFVPVFKALTGLPPFMGMMLGLAILWILTDAIHYGDSGRQRLKVPQALSRIDTQGVLFFLGILMSVGSLESAGILRQLANYLDANIPNADLIASAIGVASAIIDNVPLVAATMGMYDLTSFPQDADFWQLVAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYFRKVSGFALAGYAAGIITYLAAQNLPLSLPTSLAEIPFISGS >ORUFI09G00480.4 pep chromosome:OR_W1943:9:718792:721599:1 gene:ORUFI09G00480 transcript:ORUFI09G00480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGHLLDTTGNCDPLCSVDEVSSQYFEANYKPKNDLLKALTIIATALAGAAAINHSWVAEHQDIAMVLVFALGYAGIIFEESLAFNKSGVGLLMAVCLWVIRSIGAPSTDVAVQELSHTTAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNISTRNPRTLLWVIVYAIILNDIISMDGFLLLMPCYQNGLEPHSF >ORUFI09G00490.1 pep chromosome:OR_W1943:9:739338:739616:1 gene:ORUFI09G00490 transcript:ORUFI09G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHTSMSPTTTPHRQAGLHEASRRPPAAERVALASMLPAVPPHAVSTYAASDSASSAPTDACMAVFPPPAPAIRARHSIPVAYRLLPRAWL >ORUFI09G00500.1 pep chromosome:OR_W1943:9:745376:747789:1 gene:ORUFI09G00500 transcript:ORUFI09G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMAISLLLLLLLLGAGHMTQPTAAALNQDGVLLLSFKFSLLADPLASLAGWGYSDDTPCAWNGVVCMAFPSSSASEAARVVSVVLPNAQLVGPIARELGLIEHLRHLDLSGNALNGTVPVELLRAPELRVLSLAGNGINGALPDQVGQLRSLRALNLAGNALSGPIPANLTLLPNLTAVSLANNFFSGALPVGGFPALQVLDVSSNLLNGTLPPDFGGAALRYVNLSSNRLAGAIPPEMASRLPPNVTIDLSYNNLTGAIPTLAPFTVQRPTAFAGNAELCGRPLDSLCASAADPPINGTARSPPAIAAIPKNPTEALPGDDTGAPASGSGQQGRMRMATIIAIAAGDVAGIAVLVVVFMYVYQVRKKRQREEAAKQRMGLVAGRALTSLELCQWSSAEESGQQVFRLADAALRGEMAGREEALASCLRLGFACCAMAPHKRPSMKEVVAAMDRIPSPSSSAQ >ORUFI09G00510.1 pep chromosome:OR_W1943:9:753962:756346:-1 gene:ORUFI09G00510 transcript:ORUFI09G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAAAARSFLPLPSTTRIHTTATASSPSLAAELDAADALHTLLSTLPPSLPALLPCLSLLSPTLTPHAVSDALLCAAIPAASRLRLFLFSALSPRLRSRPLHAHAVSLLLRLSSHADEAMFDALADARAAGLPASSSAFAALVAAHSSAGRHADAVQAFSRMDEFQSRPTAFVYNTILKALVDSGVILLALALYNRMVAAGCAPNRATYNVLMDGLCKQGMAGDALKMFDEMLDRGIMPNVKIYTVLLSSLCNAGKIDEAVQLLGSMKDKGCLPDEVTYNAFLSGLCKVGRVNEAFQRLVMLQDGGFALGLKGYSCLIDGLFQARRFDEGFGYYKTMLERNISPDVVLYTIMIRGCAEAGRIEDALSFLDVMKKKGFVPDTFCYNTVLKVLCDHGDLERAHTLRSEMLQNNLVLDSTTQTIMICGLCKRGLVDEAMQIFDEMGEHGCDPTVMTYNALIDGFYREGRLEEARMLFHKMEMGNNPSLFLRLTLGANQVRDSESLRKLVHDMCQSGQVLKAYKLLRSIIDSGVVPDVVTYNTLINGLCKARNLDGAVRLFKELQLKGISPDEITYGTLIDGLLRAHRENDAMMLFQNILQSGSSPSLSIYNSMMRSLCRMKKLSQAINLWLDYLPKKYNFPVESEVLANAHKEIEDGSLDDGVRELIKIDQEYGYISSNPYTIWLIGLCQVRRTDDALRIFHTLQEFGIDITPACCALLINYLCWDRNLNAAVDIMLYALSKSIILSQPVGNRLLRWLCICYRRQDAQALAWRMHLVGYDMDVYLREPTKSLLYSQ >ORUFI09G00520.1 pep chromosome:OR_W1943:9:759313:764333:-1 gene:ORUFI09G00520 transcript:ORUFI09G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPLFLLLLLTGVTAEPAHPGYAEGDGSSCDVAAVAVAERREEFDGGRIVDISHYYREEMPEWESADGTGGGFLRLVRSMRNGSDIANFSELRLTAHSGTHVDAPGHVFDHYYHAGFDVDTLDLAILNANVMESLHIPKGVRRVLFRTLNTDRKLMWKKEFDTSYVGFMKDGAQWLIDNTDIRLVGSHPCGSLTFRACYPRNIYLALLATKVTWF >ORUFI09G00530.1 pep chromosome:OR_W1943:9:765406:772725:1 gene:ORUFI09G00530 transcript:ORUFI09G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAGGVSYQRFPRVRIRELKDDYAKFELRDTDASMANALRRVMIAEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSAAAMAMRFSRDCDACDGDGSCEYCSVEFHLAARATDSDQTLEVTSNDLRSTDPKVCPVDQARAYQHALGGTEPFDTAAAADQRGILIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPEIRINEELMETLTLEEKRNLVESSPTKVFNIDPNTQQVVVEDAEAYTYDDEVIKKADAMGKPGLIEINAKEDSFIFTVETTGAITAYELIMNAITVLRQKLDAVRLQDDDADLGELGAHLVGG >ORUFI09G00540.1 pep chromosome:OR_W1943:9:803312:804097:1 gene:ORUFI09G00540 transcript:ORUFI09G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVPALPPTAVVTGEITGVDIIGGRPGSWLALAQSVAARGSWPKSASSDSQEVIEAGVEHPSKSSHRRRSSASLSSVKPRGGGFGSDDNRDKEVQGRRGGELDGGKKQGHGSGAARHSALVRLILSSVARCSGAKRDLTGDGRRGGTRAQWGDAFGCAPAVVGKRDAELRRV >ORUFI09G00550.1 pep chromosome:OR_W1943:9:806354:807758:-1 gene:ORUFI09G00550 transcript:ORUFI09G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPQSQVHTASSKQAGPYMLHYYSHNPQENQNKLFATKISTSLTSLLVEVENNLRPRNNCGDSDVCRFCWWSMDFCREWGTRGS >ORUFI09G00560.1 pep chromosome:OR_W1943:9:813180:813527:1 gene:ORUFI09G00560 transcript:ORUFI09G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRSPRGDDNAWIWPLWLDSGPPTLDLPGSDEEVTLADGFRQGADGGKANSAGDGKRTFGKASLANDGRRSCDVAGGSRRPAHRKQRRWGIGMATVAVESAVARATGGRRRRQ >ORUFI09G00570.1 pep chromosome:OR_W1943:9:816039:817307:-1 gene:ORUFI09G00570 transcript:ORUFI09G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATLFDSLYCPEEHLDLFHDTAADDDLHLDLHLHQPPPPPPLLDDDLPALFHALRGKEDPLRPAADDDGYGGVSAREAAVGWALRAVARLGFSALTAALAVAYLDRCFLGGALRLGDRPWMARLAAVACVALAAKVEETRVPVLLDLQLCAAERADPNEAYVFEDKTVRRMELLVLSALGWRMHPVTPLSYLQPLLGTAHAARLHHCDTALLALMPDWRWPRHRPSAWAAAALLATAGWCGGGGGDDAELLALIDAPKDEMAECAKIISEEAAAAAAGGIVIGGENKRKRAAGLYSAPASPSGVIGASACFSCDSSSSSVDSLFAALEPPGRPIKRGAAAATTADPLPADEESRDAWPPYAA >ORUFI09G00580.1 pep chromosome:OR_W1943:9:826586:826984:-1 gene:ORUFI09G00580 transcript:ORUFI09G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGLSGGKQLERRRQQGAQRRWIRWRGGRRRRIRMRAAAVNLEAGGLRRRIRRSGGLRRRIRRQEGCGGTSAGGRAAAAHPAVGRAATTGEGEFSGGGGDDNDGGLERWHAARAIVAVGGSATVDPVVRRR >ORUFI09G00590.1 pep chromosome:OR_W1943:9:828567:829121:-1 gene:ORUFI09G00590 transcript:ORUFI09G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVGAPLLLHARRAAGASPVGAAPALEDGAIWGVIAAGVSLHADYLPCVSHLTFAASFVDPRPHHDAESEMFGTVSTDVRSTSGDGLVLVRFYDSRNRLPTVRSCGGEPMREWSMRRQSHERDHANTWSASAFVVSRHYLNHWPLRQALPQPPVAQASFASVARRPGELCLSRPLPRRASP >ORUFI09G00600.1 pep chromosome:OR_W1943:9:834768:835330:1 gene:ORUFI09G00600 transcript:ORUFI09G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHTDPQSTMVSSQ >ORUFI09G00610.1 pep chromosome:OR_W1943:9:839886:841578:-1 gene:ORUFI09G00610 transcript:ORUFI09G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPAPMVTSHEDAKKAYADFEKKVKRTIYIDHLSPQVTSSVLKAALAQCANVVDVEFIVNYTIPYDIPSAALVELDDEIQAKAALGLMNDFPFIIGGMPRPVRATCAKPDMFRERPPRPDIKKEFRWVKQEDGTEYEGMKKLRILAKRQEAENMALIKNQLEEEKELAKQQQELLDGNYKKYDMLENVVQNGNMKSLAQHYGRLVDVLISYFEEEKVSVVGLTEVSCRVYGTGSLKILGLFFGF >ORUFI09G00620.1 pep chromosome:OR_W1943:9:841634:842246:-1 gene:ORUFI09G00620 transcript:ORUFI09G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVTRNEENSRFSSCELGVLTIGWYILKILLHSIEREKGRKSFPKGTARPKSNLVAASGFRLHRLDAAPGAGVAAYRQLPFSVGACVVVTGDPHPPPHCAASPSAPSPPCPQPATDPSPSLPRPRPSSPQTLPPLLRCPSSSPPQPPATISAVIWKRLFF >ORUFI09G00630.1 pep chromosome:OR_W1943:9:842263:845681:-1 gene:ORUFI09G00630 transcript:ORUFI09G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFPNYPNLNTSLEYEFSLFRATLSPSVGRAVVLHPPGAAVIRPPLLAPATSSPVRRCHRRTRWRAGGEGSSGGGQRVSSSGSGGRQRASSSVVWVADQSAPIADHPSSPASMLAIASDGNLVLSDGATGHALWSTNVTAGVNSSASGGGGGAMAVLANSSNLVLRLPNGTALWETFEHPGNTFLPGMKIGVIYRTRGGVRLVSWKGTTDLSPGKFSFGGDPDQPLQVVIWKGLRVSWRTNPWEGYMVDSNYQKGGKSVWGGEDELVGIGKDELAGSQTRGGGGARWCGEDELAGVGEDELASSLMCVGKRRERMN >ORUFI09G00640.1 pep chromosome:OR_W1943:9:846188:847570:-1 gene:ORUFI09G00640 transcript:ORUFI09G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEGAARPPRWHDVSASPVGAGRNNLSVNRFGAELTLPSTAVDSVKNDSSWIGLSKLIGQADSIMLS >ORUFI09G00650.1 pep chromosome:OR_W1943:9:848938:851343:1 gene:ORUFI09G00650 transcript:ORUFI09G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERMERSAVSGKGGSLSHRALEALARPESPDYRQTKPPSRTNTSPQLLKDSMAKSGSRQPKEGRSIKEGRRPGQEAMADSCRGFDEGEEEEMEASCGLASRVGEESTDQSIRCCRRRGGGRGFYGPVGARVSRKKTDLATVSMKKMDPGSVVEKMVDPATKVKEEDGLQGRK >ORUFI09G00660.1 pep chromosome:OR_W1943:9:852222:857686:-1 gene:ORUFI09G00660 transcript:ORUFI09G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAVLSRAAARLLRPPLPLRTRHLCALPSSSSPAPSEAEILAEIDPIVDLVKDILHSARYGDGAFLSPEDQKAVVEKVLVHHPTSEDKIGCGVDAIMVGKHPDFRKSRCLFIVRTNGETEDFSYRKCIKEYIKQKYPSQADDFIQNHLTRQFTRRPK >ORUFI09G00670.1 pep chromosome:OR_W1943:9:927037:929662:1 gene:ORUFI09G00670 transcript:ORUFI09G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCPSAPRYHHHRHPPRNPPPPSPPPADHAPPQPLPTPDDDAPAAEDHTADLPDDLLAVVFGLLGSADRKRCSLVCRRWLSVDAASRLRLALDARAPLHAALPGILARFPAVSKLALKCDRRAESVADPTLALLADRLGPALRRLKLRSIRLVTDDGVAALAAAATNLRKLSVGSCTFGAKGIEAVLRSCLHLEELSIKRLRGLAQSEPVAVSSLCLHSLCLKELYNGQCFSSLITNSPNLKTLKIIRCSGDWDPVLQDLPQDAMLAELHLEKLQVSDRGVSALSGLEILYLAKAPEVTDVGLGKLATRSPRLRKLHVDGWKANRIGDRGLAAVAQKCAALQELVLIGVNLTSASLELIAANCPALERLALCGSDTFGDAEISCVATKCAALRKLCIKACPVSDAGMDKLAQGCPRLVKVKVKKCQGVTPECAERLRASRNGALAVNVDTPGGAGELQDARSVDESGVLENAGSDTLPDDLDDRIGGPDLSCGSSGRPSGWKARMGAFMSRSLSVSMFRRRPRGIFLLHSFKMSPYWDGN >ORUFI09G00680.1 pep chromosome:OR_W1943:9:932052:933237:1 gene:ORUFI09G00680 transcript:ORUFI09G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSRATRRKVQTGTERRRRTASTSDAATPRLWVRSTEGSDSQRCRHARESAEVAAAALLPSLVLVATGRPSSPCSPQPASKPSQLANTNAQGVARYTIICQENGLVPIVGPEILVDGEHGIEVCTEVTERVLAACYKVLSDHVLLEGTTLSISDTTKIATVFVCDLC >ORUFI09G00690.1 pep chromosome:OR_W1943:9:934284:934565:-1 gene:ORUFI09G00690 transcript:ORUFI09G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQTTMTMAAAAVAAWMAGQTDFSEDNSRNSGCVDGGLNDNRSGRDDHERQHKRQLHGWRARGGGSYRATMNASYEQRGEGKEEGVKVGSTR >ORUFI09G00700.1 pep chromosome:OR_W1943:9:936835:937557:-1 gene:ORUFI09G00700 transcript:ORUFI09G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSEDAVSSLGTGKEDEKEGRVGGAIEARVVGKDSSKDGGRRGQRKTRDKNDRGRSPSSSATAE >ORUFI09G00710.1 pep chromosome:OR_W1943:9:943599:947935:-1 gene:ORUFI09G00710 transcript:ORUFI09G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKMKAMVKRKMKAMGKNKPKVPTKKKTKAQMKKQPKASTKKLETPAPTVVGAFMARELSVAKLLVLLSGSNKSSSGDSRSAVFASSSSSVNAPPVTAWVMPHPAEDYLSDEELEDDSQERKMKAMGKKKPKVPMKKKTKAQMKKQPKASTKKLETSASAVVGAFMARELCAAKQLVLLSGSNKSSSGGSHSAVFASSGSFVNAPPVTVRVLPCPAEDYLSDEELEDDSQEVPGIQRRTWLYRYIFEI >ORUFI09G00720.1 pep chromosome:OR_W1943:9:1023222:1033105:1 gene:ORUFI09G00720 transcript:ORUFI09G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEHGEDCCVKVAVHVRPLIGDEKLQGCKDCVSVVSGKPQVQIGSHSFTFDHVYGSSGTPSAAMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTACKEGSHIGIIPRAMATLFDKIDKLKNQVEFQLRVSFIEILKEEVRDLLDPATAAVGKLENGNGHATKLSVPGKPPVQIREASNGVITLAGSTEVHVTTQKEMTACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKADPIMTLDGMPIEEMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPVADEMKRMRQQIEYLQAELVSARGGVVLDDVQGLRERISMLEQKNEDLCRELYDLRNHGYTDPCEPELQKIGTGYTKGEGLKRSLQSTEPFDVPMTDSVRGSPKDIDDEVAKEWEHTMLQDSMGKELNELNRQLEQKESEMKMYGSDTVALKQHFGKKLLELEEEKRAVQQERDRLLAEVESLNADGQTHKLRDAQLQKLKTLEAQYMLVIQDLDLQILDLKKKQENQVQLLKEKQKSDEAAKKLQEEIHSIKAQKVQLQHKIKQEAEQFRQWKATREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSSGRDNSGMNGTSPGSHMTEKSLQKWLEQDLEVMVHVHEVRNEYEKQSQLRAALGEELAILKQEDVMSGAASPPRGKNGNSRANTLSPNARQARIASLESMVTISSNTLVAMASQLSEAEERERAFSGRGRWNQLRSMAEAKSLLQYIFNVAADARCQVREKEMEIKEMKEQMTELVTILRHSESRRRETEKQLKQREQAAVTATTSPGNGNGSVKHSADDSNTPLSPVAVPAQKQLKYSAGIVNSPSKGVPAFNKQHLKMVPMAQLPVGKKVSIAGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETMTRTRPRPQLLPYRPQRVM >ORUFI09G00720.2 pep chromosome:OR_W1943:9:1023114:1033105:1 gene:ORUFI09G00720 transcript:ORUFI09G00720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEHGEDCCVKVAVHVRPLIGDEKLQGCKDCVSVVSGKPQVQIGSHSFTFDHVYGSSGTPSAAMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTACKEGSHIGIIPRAMATLFDKIDKLKNQVEFQLRVSFIEILKEEVRDLLDPATAAVGKLENGNGHATKLSVPGKPPVQIREASNGVITLAGSTEVHVTTQKEMTACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKADPIMTLDGMPIEEMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPVADEMKRMRQQIEYLQAELVSARGGVVLDDVQGLRERISMLEQKNEDLCRELYDLRNHGYTDPCEPELQKIGTGYTKGEGLKRSLQSTEPFDVPMTDSVRGSPKDIDDEVAKEWEHTMLQDSMGKELNELNRQLEQKESEMKMYGSDTVALKQHFGKKLLELEEEKRAVQQERDRLLAEVESLNADGQTHKLRDAQLQKLKTLEAQYMLVIQDLDLQILDLKKKQENQVQLLKEKQKSDEAAKKLQEEIHSIKAQKVQLQHKIKQEAEQFRQWKATREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSSGRDNSGMNGTSPGSHMTEKSLQKWLEQDLEVMVHVHEVRNEYEKQSQLRAALGEELAILKQEDVMSGAASPPRGKNGNSRANTLSPNARQARIASLESMVTISSNTLVAMASQLSEAEERERAFSGRGRWNQLRSMAEAKSLLQYIFNVAADARCQVREKEMEIKEMKEQMTELVTILRHSESRRRETEKQLKQREQAAVTATTSPGNGNGSVKHSADDSNTPLSPVAVPAQKQLKYSAGIVNSPSKGVPAFNKQHLKMVPMAQLPVGKKVSIAGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETMTRTRPRPQLLPYRPQRVM >ORUFI09G00730.1 pep chromosome:OR_W1943:9:1035165:1037484:-1 gene:ORUFI09G00730 transcript:ORUFI09G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGSLSVTLFGLSSFGVWRGEEETFRFRRRLPPLFAMPRQRPKKGERRIDAAIDHFNPMGYATADVRAVVKKLLQVYGGNDGWPFLEEDSYRVVQEALFEKQEQEDHQQQPHPHPQQLEEAPLEDKSMSIIEVHNVMPAETEQQVEDADPMLVDLPAVEATLPLPEAKVTYGTRRPCYGWIEEYESESDNEEQPARLICKRKRPSRWDVKPINW >ORUFI09G00740.1 pep chromosome:OR_W1943:9:1037990:1038342:-1 gene:ORUFI09G00740 transcript:ORUFI09G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQHSGGVFVWLRWLRATATAAASAASCEVITAGMRGLCVLLRLKPRRPILVVWLRLIPRLSYIRPGEVEGALGQLVVRAATKQALNYSSKAKVAT >ORUFI09G00750.1 pep chromosome:OR_W1943:9:1049460:1049735:-1 gene:ORUFI09G00750 transcript:ORUFI09G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTPIKNSMPIVEMHEVPAEAEPTVEEMQEALFQKQKEEQLQLQLFQQEEELEDQQPQVVRSLSFNLMHSFLDDHPILMLHLTINWLLGV >ORUFI09G00760.1 pep chromosome:OR_W1943:9:1062541:1073521:-1 gene:ORUFI09G00760 transcript:ORUFI09G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPGRGQRRIDAAIDHLSEYGFPRPIIRQTINELLADTLYGRNGWVFLEEGSYHIVVDRLLEKQANQQEQQEEDAKHEGGTTAMEPLPENGVQTSQAEVPAAASEPAKVVAAVADEMPDSTTSVPLPITAARHTASTRRPCYGWLIESESEDDELDNGVSAVEQSNPPSATNHKMSNGWSYVEQARPSVAMRHEMEPYTHHRGMLSKRRRPSGWDVRPSY >ORUFI09G00770.1 pep chromosome:OR_W1943:9:1075622:1080245:-1 gene:ORUFI09G00770 transcript:ORUFI09G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQAQAQQAASSASEGGSPASSAAAAAAAAASFPATSLYVGDLDVSVQDAQLFDVFAQVGGVVSVRVCRDVNTRRSLGYAYVNYSSPADAARALEMLNFTPINGKPIRIMYSNRDPSLRKSGTANIFIKNLDKSIDNKALYDTFCVFGNILSCKVATDASGESKGYGFVQYERDEAAQAAIDKLNGMLMNDKKVYVGPFIRKQERDNSPGQVKFNNVYVKNLSENTTEDDLKEIFGKFGTITSAVVMREGDGRSKCFGFVNFESPDDAAQAVQELNGKKFDDKEWYVGRAQKKSEREMELKEKFEKNLQEAADKYQNTNLYLKNLDDSVDDDKLRELFAEYGTITSCKVMRDSNGVSRGSGFVAFKSAEDASRALAEMNSKMVGSKPLYVALAQRKEDRKARLQAQFSQLRPVPLAPSVGPRMPMFPPGVPGVGQQLFYGQPPPAFINTQPGFGFQQPLMPGMRPGAGPMPNFIMPMVQQGQQPQRPAGRRAGAGGMQQPMPMGQQQMMARGGRGYRYPTGRGMPDPAMHGVGGGVMPSPYEMGGMPMRDAAASQPVPIGALATALANAAPDQQRMMLGENLYPLVDQLEHEQAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRTAQQIQTNATPEQQLASLSLNDGVVSS >ORUFI09G00780.1 pep chromosome:OR_W1943:9:1081600:1086924:-1 gene:ORUFI09G00780 transcript:ORUFI09G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLLRSADAAVAVRSTTTAPPPRPRLHRPSTLPLPSSCACGPLRAYAAPAAPAPAAHNNGVYTVGDFMTKRPNLHVVTPATSVDEALETLVQHKISGFPVVDDTGKLVGVVSDYDLLALDSISGSGLTGTNTSMFPEVDSTWKSD >ORUFI09G00790.1 pep chromosome:OR_W1943:9:1089453:1094474:-1 gene:ORUFI09G00790 transcript:ORUFI09G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPFLLALHIALLLLLPCSCQVGDSCSSARDCGAGLYCGNCAATGKTRPSCIRDLAIQPTSIVKGLPFNRYSWLVTHNSFSIVGEPSHTGVERVTFYNQEDTVTNQLRNGVRGLMLDMYDFNDDIWLCHSLQGQCYNFTAFQPAIDTLKEVEAFLSENPTEIITIFIEDYVHSTMGLSKLFTAADLTKYWYPISEMPTNGKDWPSVTDMVAKNHRLLVFTSDSSKEASEGIAYQWSYLLENESGDPGITGSCPNRKESQPLNSRSASLFMQNYFPTIPVENEACKENSVGLPQMVQTCYTAAGNRIPNFIAVNYYMRSDGGGVFDVQDRINGVTLCGCNTIAACQAGAPAGACKDTGAPNRTSSSVNGNVYSGTIEFKSPASAASISNANIPSKFVGLLLLGLVLIIKPF >ORUFI09G00800.1 pep chromosome:OR_W1943:9:1096671:1097644:-1 gene:ORUFI09G00800 transcript:ORUFI09G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLIPSAMTLSSSKQGHGLWRSG >ORUFI09G00810.1 pep chromosome:OR_W1943:9:1105648:1106887:1 gene:ORUFI09G00810 transcript:ORUFI09G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSPLAGDDADDYYYAYDSGFRRGSGGGKIAKKEKDKDKDKGFLSFLPCFLPCSPGSVEPTVHRRLLSSDSSDSDNIATADITADLARLRARYSRLATGPPVRPRDVPCLLARTDDPPLAVAALSWLGGDLRPSCILLTLLPALFPTLPAHARHALSAAARRLHAREAALDGEVAEYQSTYAMKLACEKTKDGVAETAGEEMCKMARAARRADKLRWRAVEAAVKEVLTPAQAKEFLKAVEDVAARAARHGARWHARTGAVSVPVEVFDRMRANARAATDDAW >ORUFI09G00820.1 pep chromosome:OR_W1943:9:1122290:1122826:-1 gene:ORUFI09G00820 transcript:ORUFI09G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEARKVCFSKRRADLFKMASELSVHFNADVAAVVFSPAGNRAYSIGDPSVMDRFLSSLPAPAPPAETEPEPEVDWSVMEELSRQCGQLQAMVEAHKARLEKAEEKLRESGAAAWMMDLEAEVGRMAPEDVLALVTKLAVLRDGVAERAHEMLREALLAVAAPTPTTPTTPPPAGF >ORUFI09G00830.1 pep chromosome:OR_W1943:9:1128706:1133222:-1 gene:ORUFI09G00830 transcript:ORUFI09G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPDDSSSSSPSSKTNPSEKGEEITVVEEPILFDNNQEEDSSNPLNLLADTSELFRNMDDDESPLWLNVLLRTIFWRKCDVHDQLENAHRAEESIFCINCLKTICPHCTHDEPSHQLLKVRRYIFRSVVRVKDMQNFGIDMSYIQTFKCNGHKVVHLRPIKRSEHHRPKAGTPHCTSCHCWLHNAPSLTCSLSCKKKAGISSDDFSGPEASTRVSRSRNHASNVNQKHPTNTKLRKKPRKQANPERAPFF >ORUFI09G00840.1 pep chromosome:OR_W1943:9:1135869:1136676:-1 gene:ORUFI09G00840 transcript:ORUFI09G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVEHSASRSTKEGGILLPYPMLTSTNDSTWEINMERKKKGKETTLLTSASSDDELALLLLE >ORUFI09G00850.1 pep chromosome:OR_W1943:9:1148391:1162068:1 gene:ORUFI09G00850 transcript:ORUFI09G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKGKPTSTKKGRKAAASAVAVGDDIDSLKSDVASFASSLGFLGAAAASSGFDDSDFRKSGPIIPPKTSKSAQTTDAPPNPKPTTTTTKKPHPLDIHGTNATTKSVSGAVTTNYPLMKATALSGQWYADAGELEASVLGARKQVLPSVGLQEMQRISEGKRQLAEKLMAQYTVEYDMVKRGSGDLKLLEISAKSGTSADKVSAFTCLIEDNPIANMRALDSLLGMVTSKVGKRYAFTGFDALKELFLKRVLPDRKLKSLIQHPLDILPETKDGYSLLLFWYWEDCLKQRYEKFVIALEDALKDMLPNLKDKAMKLGDPERRAASSAAYLLTSLLSAHPNMKMVVIDEVDSFLFRPHVGLRAKYQAVNFLSQIFLTSKGDGPKIAKRLVDVYIALFKVLMSCSRATEGVKHSKYGKKTNENGKKEKGNDFNSHVKHEDPCAGSDLEMDSRILSALLTGVNRALPYVASSEVDDIVEVQTPILFRLVHSVNFNVGVQALMLLYQISTKNQIASDRFYRALYAKLLSPASVTSSKPELFLGLLVKAMKNDVMLKRVAAFAKRLLQVALQRPPQYACGCLFILSEVLKAKPPLWAIVLQNESVDDGIEHFEDIVENTDCPAITSRTTDKCNDILATLEKCNSDAEDACDTIECVSPISSGEKDGKGTSAEGLTLQASYNPRHREPSYCNADHASWWELTALALHVHPSVSTMARTLLSGNNIVYSGDPLTDLSLPAFLDKFMEKKPKGNRIAEGKWHGGSQIAPAKKLDQSHHLIGEELLELVEKEVPPEDVVFHRFYMNKTGPIKPRAKKKTAVLDEDTGELFADDVDDASDESDDEMQELGIGSIEDGEYDYDNLDATTFEEEGDLLRYDSDVELHDISDDISSGADSDTEALEGANHSDGNDSDGEEPVQGQKRKHGAKSGASPFAKLEDYEHLMDGEAEKPTFKKGRKHRVTRDSKEKSGSRKKRSKRSE >ORUFI09G00860.1 pep chromosome:OR_W1943:9:1171153:1173100:1 gene:ORUFI09G00860 transcript:ORUFI09G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSQRLQGLELLENGVQNQELVPNNHYVEEQNITNADWRTNCYEYHPDSRIKRRRGPTKLANVENLPEGVKIIDKLDRFNVPCSQSAIVLGSYLGTLVRKPHLTPLNILQWNHKLYKRVYYPKMISEVERKFAIDGRANNWILHQLDGKLRQYKSKLKKGYYKPNLPMKRALQTVPKTVAESQWATLVSYWYSEDSKEVNGVEVD >ORUFI09G00860.2 pep chromosome:OR_W1943:9:1171153:1173100:1 gene:ORUFI09G00860 transcript:ORUFI09G00860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSQRLQGLELLENGVQNQELVPNNHYVEEQNITNADWRTNCYEYHPDSRIKRRRGPTKLANVENLPEGVKIIDKLDRFNVPCSQSAIVLGSYLGTLVRKPHLTPLNILQWNHKLYKRVYYPKMISEVERKFAIDGRANNWILHQLDGKLRQYKSKLKKGYYKPNLPMKRALQTVPKTVAESQWATLVSYWYSEDSKEVNGVEVD >ORUFI09G00870.1 pep chromosome:OR_W1943:9:1175449:1176075:-1 gene:ORUFI09G00870 transcript:ORUFI09G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAAARRRPSLGRQKIEIRRIESEEARQVCFSKRRAGFFKKASELSILCSADVAAVVFSPAGKAYSFGHPSVEFLLDRFLSSSLPATAGKEEGSSVSVVAELNRQYGELRAMVDAHKARRERAEKTMEKQRQRQPAAWMDPEAEVGRMAPEELMALGTKLVAVQGGVAARADQMLRDALLLGRRPNTTTTTTTRAPPGFFHLHPHF >ORUFI09G00880.1 pep chromosome:OR_W1943:9:1178979:1182523:-1 gene:ORUFI09G00880 transcript:ORUFI09G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPRIPAASSLLLPPPCLGRPRWAPGQSPPPAMPDNATPYYAKGRPRGASRLPSRPPCPPPHWTAATHSAPGDTMASLCRTKGSFPFTASIVSEIWGHFKEEGRRRSLGSLKIFWTKRAGLFPKNTKEKYHGVE >ORUFI09G00890.1 pep chromosome:OR_W1943:9:1188009:1188702:1 gene:ORUFI09G00890 transcript:ORUFI09G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLAADMDSDVATNVDDDVAANSDVAANMDNDMAAYVDNDVAAYMPTTATKPLSEQKQPDHFKTAQYPCKSNANNRKFHLCIRSMQIMSLRDVAQLATPGAQAQHKNNKSKGQRTIGQLNHQHLESEQKLNQEHLASDQQLQVGGENSGCSPPVHAIL >ORUFI09G00900.1 pep chromosome:OR_W1943:9:1193561:1193788:1 gene:ORUFI09G00900 transcript:ORUFI09G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAGACRWTTRRSASHHAGATLGGNGGQAYDAVAVILRQPLMRDVVGGGDGVVGTGGRDGVLMVATAVRARTVG >ORUFI09G00910.1 pep chromosome:OR_W1943:9:1210268:1212206:1 gene:ORUFI09G00910 transcript:ORUFI09G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKDVSLRLDSEWSRVEMQLVEYGTSSISAVSVLASECLDSCTSAPLCLEYGAISEPAAPTVGGVISELAAPTVGVEQPPIHYVDWDSLEIDGTLDDEGRIHVMNDDQLFALLGLRDKGKKISACCWKPRKSNQKNLPPEPSTPKRTTNEATIMQESPGMRLTMLLGETSTSGVEGAKEMASTSGGTSILRRKK >ORUFI09G00930.1 pep chromosome:OR_W1943:9:1245572:1249846:-1 gene:ORUFI09G00930 transcript:ORUFI09G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVCGKRASSFFEDLPHSPSSPPSKRACFRGGSSPSRPLADPALVAQIRPRFPSVGLEVIENALEECENDFDSAIKFLLNLHVGPTECNVDPIYQSPSGMSTELQVADEGILAGNEAAVPIGNAPCADNFPSSSTQWVEILVNEMTNASNMDDAKARASRVLEVFEKSMTAHVGAMGSFQKESSVYKEQFEAITRENTILKKAVAIQHERQKEHDGRNQEIQQLKQLVAQYQEQIRSLEVNNYALSMHLRQAQQANSIPGHFHRDIF >ORUFI09G00940.1 pep chromosome:OR_W1943:9:1270832:1271613:1 gene:ORUFI09G00940 transcript:ORUFI09G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGQDSDPCVTLPPFHPRSRSPEETRASASEAPATGLQSLPPHVRPWIRQLALEVPEPTQGLRSSHQGSKAEA >ORUFI09G00950.1 pep chromosome:OR_W1943:9:1274183:1275833:1 gene:ORUFI09G00950 transcript:ORUFI09G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIEGAQGYAAMAVTGGAAGWRGIHVQELGRRSTISSRANLACRLPLVFIQKTKEEDGREHRRDQKAMDAHGGWCDRESDKALDDLDTTIASNWLYY >ORUFI09G00960.1 pep chromosome:OR_W1943:9:1282401:1284860:-1 gene:ORUFI09G00960 transcript:ORUFI09G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAQAHVLLFPWPQQGHINPMLHLASALLDAGLHVTFLHTDHSLRHRFVRPHHPTRLRLLSIPDGLPDDHPRAVGGLIELLDSMRTAGSAAYRALLLTESSRSRPDSLDDAPPPVTCVVVDGVMPFAITVAEEIGVPALAFRTESAFAFLAYLSVPRLLELGETPVPSDEQVRGVPGMEGLLRRRDLPRVVPTKQDDVGAEEADPVPVLLTIADTAAHCRNSRALILNTAASMEGPAIARIAPHMRDVFAVGPLHARVAPNTIALEKHEDDDEDDDDYGCKAWLDGQDDRSVVYVNLGSLTVLSSEQLAEFLHGLVAAGYAFLFVLQPDMVASSSAVLQEAVEAAGERVLVVEWVPRDVHYVLRHRAVGCFLMHGGWNSMLEAAVEGVPVVCWPFFADQPVVSRFVAAVWKTGLDMKDVCDRAVVERMVREAMESPEIRASAQAMARQLRLDVAAGGSSSSELQRLVGFINELSAVHIC >ORUFI09G00970.1 pep chromosome:OR_W1943:9:1286978:1293231:-1 gene:ORUFI09G00970 transcript:ORUFI09G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLRGEAEAAAVYRSNSKQFTIEVHHGGFFCGMGVNRSYVDGKLADLVAMLGYDAGPRLKVYWLLPGKNLVDGLRIVDSEVEINLAYLVAMLGYDAGPRLKVYWLLPGKNLVDGLRIVDSEVEIN >ORUFI09G00980.1 pep chromosome:OR_W1943:9:1294759:1295184:-1 gene:ORUFI09G00980 transcript:ORUFI09G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQQLTTLPMGHLGDGVPWPWRRFLLSESIGRSCLWTWCHRPSRHFLGVDPSTWLHMVCDDGGDPP >ORUFI09G00990.1 pep chromosome:OR_W1943:9:1296336:1297085:-1 gene:ORUFI09G00990 transcript:ORUFI09G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYNANKLANLVKKKLQNWLDYYQLKYERNPSKRATNKTGFLGCFGSEVDAIEYYKAEIEKIEKEEPDEQSAVSVAFVSFPSWWDGGEEVGRWRCFLSRVPPGDFFVYVSLTPSDFGSKVI >ORUFI09G01000.1 pep chromosome:OR_W1943:9:1300236:1301016:-1 gene:ORUFI09G01000 transcript:ORUFI09G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPLGSAHLQVAAKIKDLLDAARSGPRRSRAAASHPAATQDAGLLSFSNAGFQEANMDAEDD >ORUFI09G01010.1 pep chromosome:OR_W1943:9:1320823:1341843:1 gene:ORUFI09G01010 transcript:ORUFI09G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTISRIRLENFMCHSSLHIELGQHVNFITGQNGSGKSAVLTALCIAFGSRAKSTQRAAALKDFIKTGCSYAAIIVDINNQGEDAFKPEVYGDLVRLERRITESSSSMFLKDQHGQEGCSDGEAGAPVKLMDVVFTGRKVAHRKDDLIEIIEHFNIDVENPCVIMSQDKSREFLHSGNNKDKFKFFFKATLLQHVNDLLLAIRELLDNADSVVQELEKSIKPAMMELDELQQKIKNMEHIEEIAHEIDNLKKKLAWSWVYDVDRQIEEQTVKLLKLKERIPACQEKIDGHAAMIVKLKEELTDKERNARSLVEKSREVTMMKEKLEDDIAQAVALKIELEREHVRGTNVLKNMNNRVKQLQKQIHDFREQYIQYTQDESSKAENDKCEIQKEINSLHSNVTRLKEEERGLHETQMGIVKSIQNMETEIVENRKKITQFKAHIRDLQQRQSDKVSTFGGQRVRNLLKSIERQERRFNIPPLGPIGVHVGGSQITLSQHEINAVPLVKLHILLAIECALGRLLDAFIVSCHRDSVILRECAKEVNYHNLQIIIYDFAKPRLNIPDHLLPSTTHPTVLSVIQSENPTVLNVLVDQGSAERTVLVRDYEVGRSVAFDNRIQNLKDVYTSDGYKMFSRGPVQTILPPHRKGNAGRLCTSLGEKIAEMESEIADMERIISQRTRDMKKPNDKREDIELKIKNLKRKRVEEERLLESKKVQLDDIRKTSADINRVTSSDTSELEAEMMQVEVDIEQKELLVQKTNLRLTKALQDENDRRACYKEFIDGVYREVGPSNVLEKEIERVKDKLQTAEQGKAYYEGIMETKVLPDIKIAEAEFEDLQKLQQESFKKASIICSESDVETLGGVAGSSPEQLSATINKLELRFHKESSRYTESIDDLRALHIKKKEKIEDKQQLYAGFRDKLNSCQKALDMRWKKFQRNAALLKRQLTWFSRCLKILLVTQLQTPEDFQDAVSRKISLDTLVDFAVTQGSQWIFITPHDISMVQPGDRIKKQQMAAPRG >ORUFI09G01020.1 pep chromosome:OR_W1943:9:1385328:1385624:-1 gene:ORUFI09G01020 transcript:ORUFI09G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSSPEPEEDCLIIKIESCSRVFAFVDGAVGESGEERDAKTEALVEVLAAVRMSGKKQGLEREGMGRRQQRGEGREGEEEEEEEKVAESDMWVPLS >ORUFI09G01030.1 pep chromosome:OR_W1943:9:1390883:1391896:1 gene:ORUFI09G01030 transcript:ORUFI09G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHQHVPLDEYQSNLRAICAYFKEQWPSTKIILITPPPIYEPVRIRDMYGEDDPSKLPERTNEAAGTYAQACLTVAKELNHPVIDIWTKMQQFPDWQTSALWYGSISSDLIPKLDVWMGLITVKGCHLCYQ >ORUFI09G01040.1 pep chromosome:OR_W1943:9:1396520:1398226:1 gene:ORUFI09G01040 transcript:ORUFI09G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRISSSESERPVGSYRFHIPRQPPHIQAEGGKRHMIIGDRRHGGTALWRRGSMLPPSLPRWGVEDGHGHVDGSSRQHPSELGSSSALSVPIGGWIWRLAAAQAHGEVIDGGRCEDEAGDHMQPPPASSASMAMLSEEQISASGIVVRERQLHGYGERPFLPCLATMAAKGTAREISTKKIAKKDHGEVGDLTMAVLMPSWTSTGNHSSRIPTRCLEQLPEPSKRAHSEAYGNMQLATGDLIVGLHKQATITVPPPNISEIGGHLTAHKNNEMTVGKGVQHTIDVSVAKEATRSLVSSARQSRRGPYECRKCGTMFSSGQALGGHMKSHNSDERRVDKRVPSAFVGSFLSLITPIDVSNVSVPSSRNPHTSSIPNKEEGRVLVMGAAPLNGVPKGSFRLFGENIAEAPKEEPME >ORUFI09G01060.1 pep chromosome:OR_W1943:9:1430630:1431250:1 gene:ORUFI09G01060 transcript:ORUFI09G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWRRRGVDAAVAEWMQQAPKGHGSGSRRWRWSGSSGFSKFKSENYSPFNAAATFNRCGGIDLGKGERGVGGAADLGDGESRVGVRWEGGGAVETTLGGSMDHNSKSRSERAWGGRSGEEFPAVDGSITGGVAHGGGAGGRQDHWRAPTADRMVMATWENDESGWRGCVVVKESARGA >ORUFI09G01070.1 pep chromosome:OR_W1943:9:1435101:1441930:1 gene:ORUFI09G01070 transcript:ORUFI09G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCYKGEEQGKEKMLTSRQPSSGTPCAVDGRRGSARGSSSRAKCSTKVHRGSSWDGAAANLNPLPNRGSTRGQTRRSKRRHVRREEQAEAVAVAVAKQR >ORUFI09G01080.1 pep chromosome:OR_W1943:9:1446100:1452395:1 gene:ORUFI09G01080 transcript:ORUFI09G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKEAPPPPPPQSPSSTEEEGMLSVTAAMARDAAVLFQSRRYADCAEVLAQLLLKKEGDPKVLHNMAIAESFLDGCPDPKKLLEILGNVKRRSEELACASRQQTDSANGTGNSVSSGSRGSGIIPLISAANNATTYGDEFDTTIITFNTAVILYHLHDYESALSILDPLYRNIEPIDETTALHVCFLLLDITLALQDASNAADIIQYLERSFGVVSATNQNENANTAQQQSAQPKPSAKISTPPDSDSNTCAGGSENLSAGNFSDDTLEFESFYSTLDGGNQNLGRPILNDFSRASADLAATAADLKVRLQIYKVRLLLLTRNLKVAKRELKVLMNMARGRDSSTELLLKSQLEYARGNYRKAVKLLSTPNNRSEPAMLAMFYNNLGCILHQQRSIQTSVWCFSKALKYSLSLRSEKPCKLAAISQDKSCLISYNCGIQHLMCGKPLLAARCFREAMPLLCNRSLFWLRFAECSLLALEKGILTSSGATSCNDEIEVDVMGSGKWRHLVINPVKPSHSSESGEEVSLDKYGNLISLRFARQCLLNAQILLDPSTKENLVIASGTEESNQTSLQGQKGSGQKNTTNTDSKPPGPALTNANGEQKGISNLNVTLQSSLALYDDICRKENLKIKQAILGDLAFIELCLQNHLKALSIAKLLQQLPECSRMYVFLSHVYAAEALCALNRPKEAAEQLTVYLRDGDDIELPYSIENCEKALVEKDSDGEDSVAPVVTKLSSGESQYSESLKPEEAQGVLYIDLGMTAAVQGELEQANYMVSRGVALLPNNPRAVLASVYVDLLQGKAQEAIAKLRRCRTVRFRRHSVAVSS >ORUFI09G01090.1 pep chromosome:OR_W1943:9:1457142:1466471:1 gene:ORUFI09G01090 transcript:ORUFI09G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGGDRSTDPSSGPAPGSRGGGDGRFGRGPSRWSSGGGGGGSGSPPHRFSRGGGGGGGDGGGGGGGGGRFHPYRGPSDHSGGGGYRSGGGGEYGEPGSGPRHRYGSGRGDHSDHDNRNNYVKLFIGSVPRTATEDDVRPLFEEHGDVVEVALIKDRKTGEQQGCCFVKYATSEEAERAIRALHNQYTLPGAMGPIQVRYADGERERHGAIEHKLFVASLNKQATAKEIEEIFAPYGHVEDVYIMKDGMRQSRGCGFVKFSSREPALAAMSALSGNYVMRGCEQPLIIRFADPKRPRPGESRGGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPPDSWHPSSPRSAPHQFNNFGSDNPMAPKGSTVTSTTDTGMNPPPMAQGHHLGGQQIPPLQKLPGLPQNFPVQLQNNQQGQPLQGPAQQIGQLQVPQSMGPGSFGQNMLSGQLPLSQPLMQQNASVGAVQAPSAVSNSMQAIPGQQHLPSNVAPQMLQQPVQQMPSQAPQLLLQQQAALQSSYQSSQQAIYQLQQQLQLMQQQQQSNLNHQQPTQVAEQHGQPVQSSNPGAPNAIIPSNINTIPQQATSPAVPLTCNWTEHTSPEGFKYYYNSITRESKWDKPEEYVLYEQQQQQQQQQKLLLLQQHQQKLAMQQLQSPPQAQTHPAMQPVQQIPQAQQGQQQMQMKQQELNYTQLQTPGAIDPRIQQN >ORUFI09G01090.2 pep chromosome:OR_W1943:9:1457381:1466471:1 gene:ORUFI09G01090 transcript:ORUFI09G01090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGGSGRGDHSDHDNRNNYVKLFIGSVPRTATEDDVRPLFEEHGDVVEVALIKDRKTGEQQGCCFVKYATSEEAERAIRALHNQYTLPGAMGPIQVRYADGERERHGAIEHKLFVASLNKQATAKEIEEIFAPYGHVEDVYIMKDGMRQSRGCGFVKFSSREPALAAMSALSGNYVMRGCEQPLIIRFADPKRPRPGESRGGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPPDSWHPSSPRSAPHQFNNFGSDNPMAPKGSTVTSTTDTGMNPPPMAQGHHLGGQQIPPLQKLPGLPQNFPVQLQNNQQGQPLQGPAQQIGQLQVPQSMGPGSFGQNMLSGQLPLSQPLMQQNASVGAVQAPSAVSNSMQAIPGQQHLPSNVAPQMLQQPVQQMPSQAPQLLLQQQAALQSSYQSSQQAIYQLQQQLQLMQQQQQSNLNHQQPTQGQPVQSSNPGAPNAIIPSNINTIPQQATSPAVPLTCNWTEHTSPEGFKYYYNSITRESKWDKPEEYVLYEQQQQQQQQQKLLLLQQHQQKLAMQQLQSPPQAQTHPAMQPVQQIPQAQQGQQQMQMKQQELNYTQLQTPGAIDPRIQQVNDKLVSCYSEMSSTQPAASIKCLDGA >ORUFI09G01090.3 pep chromosome:OR_W1943:9:1457142:1466059:1 gene:ORUFI09G01090 transcript:ORUFI09G01090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGGDRSTDPSSGPAPGSRGGGDGRFGRGPSRWSSGGGGGGSGSPPHRFSRGGGGGGGDGGGGGGGGGRFHPYRGPSDHSGGGGYRSGGGGEYGEPGSGPRHRYGSGRGDHSDHDNRNNYVKLFIGSVPRTATEDDVRPLFEEHGDVVEVALIKDRKTGEQQGCCFVKYATSEEAERAIRALHNQYTLPGAMGPIQVRYADGERERHGAIEHKLFVASLNKQATAKEIEEIFAPYGHVEDVYIMKDGMRQSRGCGFVKFSSREPALAAMSALSGNYVMRGCEQPLIIRFADPKRPRPGESRGGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPPDSWHPSSPRSAPHQFNNFGSDNPMAPKGSTVTSTTDTGMNPPPMAQGHHLGGQQIPPLQKLPGLPQNFPVQLQNNQQGQPLQGPAQQIGQLQVPQSMGPGSFGQNMLSGQLPLSQPLMQQNASVGAVQAPSAVSNSMQAIPGQQHLPSNVAPQMLQQPVQQMPSQAPQLLLQQQAALQSSYQSSQQAIYQLQQQLQLMQQQQQSNLNHQQPTQGQPVQSSNPGAPNAIIPSNINTIPQQATSPAVPLTCNWTEHTSPEGFKYYYNSITRESKWDKPEEYVLYEQQQQQQQQQKLLLLQQHQQKLAMQQLQSPPQAQTHPAMQPVQQIPQAQQGQQQMQMKQQELNYTQLQTPGAIDPRIQQGIQSAQERAWKS >ORUFI09G01090.4 pep chromosome:OR_W1943:9:1457142:1466471:1 gene:ORUFI09G01090 transcript:ORUFI09G01090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGGDRSTDPSSGPAPGSRGGGDGRFGRGPSRWSSGGGGGGSGSPPHRFSRGGGGGGGDGGGGGGGGGRFHPYRGPSDHSGGGGYRSGGGGEYGEPGSGPRHRYGSGRGDHSDHDNRNNYVKLFIGSVPRTATEDDVRPLFEEHGDVVEVALIKDRKTGEQQGCCFVKYATSEEAERAIRALHNQYTLPGAMGPIQVRYADGERERHGAIEHKLFVASLNKQATAKEIEEIFAPYGHVEDVYIMKDGMRQSRGCGFVKFSSREPALAAMSALSGNYVMRGCEQPLIIRFADPKRPRPGESRGGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPPDSWHPSSPRSAPHQFNNFGSDNPMAPKGSTVTSTTDTGMNPPPMAQGHHLGGQQIPPLQKLPGLPQNFPVQLQNNQQGQPLQGPAQQIGQLQVPQSMGPGSFGQNMLSGQLPLSQPLMQQNASVGAVQAPSAVSNSMQAIPGQQHLPSNVAPQMLQQPVQQMPSQAPQLLLQQQAALQSSYQSSQQAIYQLQQQLQLMQQQQQSNLNHQQPTQVAEQHGQPVQSSNPGAPNAIIPSNINTIPQQATSPAVPLTCNWTEHTSPEGFKYYYNSITRESKWDKPEEYVLYEQQQQQQQQQKLLLLQQHQQKLAMQQLQSPPQAQTHPAMQPVQQIPQAQQGQQQMQMKQQELNYTQLQTPGAIDPRIQQVNDKLVSCYSEMSSTQPAASIKCLDGA >ORUFI09G01090.5 pep chromosome:OR_W1943:9:1457142:1466471:1 gene:ORUFI09G01090 transcript:ORUFI09G01090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGGDRSTDPSSGPAPGSRGGGDGRFGRGPSRWSSGGGGGGSGSPPHRFSRGGGGGGGDGGGGGGGGGRFHPYRGPSDHSGGGGYRSGGGGEYGEPGSGPRHRYGSGRGDHSDHDNRNNYVKLFIGSVPRTATEDDVRPLFEEHGDVVEVALIKDRKTGEQQGCCFVKYATSEEAERAIRALHNQYTLPGAMGPIQVRYADGERERHGAIEHKLFVASLNKQATAKEIEEIFAPYGHVEDVYIMKDGMRQSRGCGFVKFSSREPALAAMSALSGNYVMRGCEQPLIIRFADPKRPRPGESRGGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPPDSWHPSSPRSAPHQFNNFGSDNPMAPKGSTVTSTTDTGMNPPPMAQGHHLGGQQIPPLQKLPGLPQNFPVQLQNNQQGQPLQGPAQQIGQLQVPQSMGPGSFGQNMLSGQLPLSQPLMQQNASVGAVQAPSAVSNSMQAIPGQQHLPSNVAPQMLQQPVQQMPSQAPQLLLQQQAALQSSYQSSQQAIYQLQQQLQLMQQQQQSNLNHQQPTQGQPVQSSNPGAPNAIIPSNINTIPQQATSPAVPLTCNWTEHTSPEGFKYYYNSITRESKWDKPEEYVLYEQQQQQQQQQKLLLLQQHQQKLAMQQLQSPPQAQTHPAMQPVQQIPQAQQGQQQMQMKQQELNYTQLQTPGAIDPRIQQVNDKLVSCYSEMSSTQPAASIKCLDGA >ORUFI09G01100.1 pep chromosome:OR_W1943:9:1470656:1477565:1 gene:ORUFI09G01100 transcript:ORUFI09G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPLFPALLLLLCSSSLALDSVSEPTLSWTCGDDQVAILDTSDGGRNLSVNGELVQDRVLGCQKLRSYYVSRCLRCGQQSEAWRGAWKHYCREGSESSNAQNIPRKLLRQPSMNDAKIEDDPCKNMGIHGHNQDDNDSLEGQDHLLAVPGVILLCCGLMIPCFHAEKKEVSRHNTTSIQRNAVESIASLDVSTSSEKVPPTPHRIPPSPSRFAPSPQIARVGSVNLTVQQILRATQNFSPSFKLGEGGFGTVYRAVLPDGQVVAVKRAKKDQFAGPRDEFSNEVELLAKIDHRNLVRLLGFTDKGHERIIITEYVPNGTLREHLDGQYGKTLDFNQRLEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTESYRAKVSDFGFARSGPSDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEILSARRPVELKRAAEERITIRWTFKKFNEGNRREILDPLLEDPVDDEVLERLLNLAFQCAAPTREDRPTMKEVGEQLWEIRKEYGKSVRRV >ORUFI09G01110.1 pep chromosome:OR_W1943:9:1481376:1489374:-1 gene:ORUFI09G01110 transcript:ORUFI09G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQEQEKMLLQAAYDDNLRLLRKMARGLDTGQGEAAVVAAVAGRADGNRALHLAAARGSMDVLRYLVEDLHLSVNQFNAKGETPLCLSSIHGRAAATRYLLDHGSDPTIDKSVLPFHAAATKGHCEIVELFLSRGVDVDLDSITGTPLLTAAMNGQYSTMKILLEHHADPNRVVNHNGTPLIMSIVSGSLECVKLLIKVGADVNFRDPNGVTCVMVAANHGSPVIMKCLLDAGANPNIPDEFNRTPIEVAANRGRRDIVKMLFPLTSPISTLPDWSIDGVISHVQTFGLKPRDNDLSKRKSAELKLQAREAFEREEYMLAGQHYTNAIELTTNAHDKATLLANRSLCWLRLSTGNGALADANMCRMLRPSWPKACYRQGAAFMFLKDYGKACEAFADGLKLDPANEDIAKALRDAQEAMKDQMEHRG >ORUFI09G01120.1 pep chromosome:OR_W1943:9:1493320:1493763:-1 gene:ORUFI09G01120 transcript:ORUFI09G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRQSRAAARTAATGLVSPSTRSAARGVARSGRIGGQIRAGPSRIWRRGHWRRGVKVAAATPGGGRGSVGFGGHGGGVPQIRANSVGPGWRLAAGSRGCGSGWRWRLATAAAAMVAVLWWMLTAEVVDGEEAANVIAAAVAGDDG >ORUFI09G01130.1 pep chromosome:OR_W1943:9:1499427:1499999:1 gene:ORUFI09G01130 transcript:ORUFI09G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTDFIIMESAEIPGFG >ORUFI09G01140.1 pep chromosome:OR_W1943:9:1531549:1539774:-1 gene:ORUFI09G01140 transcript:ORUFI09G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLDTGQGEAAVVAAVEGSGFGHRALHMAASGGSVDVLRYLVEDLRLGVNQFNGKGQTPLFLSSIHGRAAATRYLLDHGSNPAIDKTVLPLHGAAVKGHCEIVELFLSKGVDVDLYSAISGQHSTMKILLEHHADPNRVFNLDGTPLIMSIVSGSLECVKLLIKVGADMNFRDSNGVTCVMVAANHGSSVIMKCLLDAGANPNIPDEFNTTPIEVAANHGRRDIVEMLFPLTSPISTLSDWSIDGIISHVETFGLKPRDNDLSKRKSAELKLQAREAFERNDYALAVQHYTNAIELSTSAHDKATLLANRSLCWLRLSTGIGAIADANMCRMLRPSWPKACYRQGAAFMFIKDYGKACEAFADGLKLDPANEDIKKALRDAEEAMKKDKMERRG >ORUFI09G01140.2 pep chromosome:OR_W1943:9:1531549:1537885:-1 gene:ORUFI09G01140 transcript:ORUFI09G01140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLAGQTPLFLSSIHGRAAATRYLLDHGSNPAIDKTVLPLHGAAVKGHCEIVELFLSKGVDVDLYSAISGQHSTMKILLEHHADPNRVFNLDGTPLIMSIVSGSLECVKLLIKVGADMNFRDSNGVTCVMVAANHGSSVIMKCLLDAGANPNIPDEFNTTPIEVAANHGRRDIVEMLFPLTSPISTLSDWSIDGIISHVETFGLKPRDNDLSKRKSAELKLQAREAFERNDYALAVQHYTNAIELSTSAHDKATLLANRSLCWLRLSTGIGAIADANMCRMLRPSWPKACYRQGAAFMFIKDYGKACEAFADGLKLDPANEDIKKALRDAEEAMKKDKMERRG >ORUFI09G01140.3 pep chromosome:OR_W1943:9:1531549:1539774:-1 gene:ORUFI09G01140 transcript:ORUFI09G01140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLDTGQGEAAVVAAVEGSGFGHRALHMAASGGSVDVLRYLVEDLRLGVNQFNGKGQTPLFLSSIHGRAAATRYLLDHGSNPAIDKTVLPLHGAAVKGHCEIVELFLSKGVDVDLYSAISGQHSTMKILLEHHADPNRVFNLDGTPLIMSIVSGSLECVKLLIKVGADMNFRDSNGVTCVMVAANHGSSVIMKCLLDAGANPNIPDEFNTTPIEVAANHGRRDIVEMLFPLTSPISTLSDWSIDGIISHVETFGLKPRDNDLSKRKSAELKLQAREAFERNDYALAVQHYTNDYGKACEAFADGLKLDPANEDIKKALRDAEEAMKKDKMERRG >ORUFI09G01140.4 pep chromosome:OR_W1943:9:1531549:1539774:-1 gene:ORUFI09G01140 transcript:ORUFI09G01140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLDTGQGEAAVVAAVEGSGFGHRALHMAASGGSVDVLRYLVEDLRLGVNQFNGKGQTPLFLSSIHGRAAATRYLLDHGSNPAIDKTVLPLHGAAVKGHCEIVELFLSKGVDVDLYSAISGQHSTMKILLEHHADVGADMNFRDSNGVTCVMVAANHGSSVIMKCLLDAGANPNIPDEFNTTPIEVAANHGRRDIVEMLFPLTSPISTLSDWSIDGIISHVETFGLKPRDNDLSKRKSAELKLQAREAFERNDYALAVQHYTNAIELSTSAHDKATLLANRSLCWLRLSTGIGAIADANMCRMLRPSWPKACYRQGAAFMFIKDYGKACEAFADGLKLDPANEDIKKALRDAEEAMKKDKMERRG >ORUFI09G01150.1 pep chromosome:OR_W1943:9:1540321:1546155:-1 gene:ORUFI09G01150 transcript:ORUFI09G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGMMGKQEKEKVLLQAAYDGNLRLLRSAPVEIHHAPPERRRRRLSPSRVGASRAALLVPSRAGASHRILLRRCLPPHPPASPPPLGSRCRHRRRLTSDLPEQPLVDASAAATDFPGAAFLPPSADIRSIGNYFSVQSPLSGHRVFPNKNLLEEHLLLHQLGTSVCCTKNVIVMYLRNVLFC >ORUFI09G01150.2 pep chromosome:OR_W1943:9:1543079:1546155:-1 gene:ORUFI09G01150 transcript:ORUFI09G01150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGMMGKQEKEKVLLQAAYDGNLRLLRSAPVEIHHAPPERRRRRLSPSRVGASRAALLVPSRAGASHRILLRRCLPPHPPASPPPLGSRCRHRRRLTSDLPEQPLVDASAAATDFPGAAFLPPSADISFLRLKRYDGGCEWRRVVGDEGLDPVATPPERHEGRRRSSGGRRSSYMIWLSED >ORUFI09G01150.3 pep chromosome:OR_W1943:9:1540059:1546155:-1 gene:ORUFI09G01150 transcript:ORUFI09G01150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGMMGKQEKEKVLLQAAYDGNLRLLRSAPVEIHHAPPERRRRRLSPSRVGASRAALLVPSRAGASHRILLRRCLPPHPPASPPPLGSRCRHRRRLTSDLPEQPLVDASAAATDFPGAAFLPPSADIRFFWVVF >ORUFI09G01150.4 pep chromosome:OR_W1943:9:1540059:1542828:-1 gene:ORUFI09G01150 transcript:ORUFI09G01150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPAVEAWRGAAKRWEEWRRRLDSERERCGGGKRRERPREGAAATWIEGLRRGDAEGATKRGGRRGLLFAAPRIFFWVVF >ORUFI09G01160.1 pep chromosome:OR_W1943:9:1542404:1544717:1 gene:ORUFI09G01160 transcript:ORUFI09G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNRPVVVPLLSLSLSLAHPHPAAASASVPLRRAIAPPPLVAPSTRRRISARVFPLSWSLPPHLPSSTPRSTSPQPPPSVAPSAFLPRIARARYPTADATPPTSSPRLATPPPPAPPSPRCATPRCSGLRPPCSLAQLGTPPRRRSRPLPLELPR >ORUFI09G01170.1 pep chromosome:OR_W1943:9:1553402:1558334:-1 gene:ORUFI09G01170 transcript:ORUFI09G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCRRAAASSLEILPAWTAAARAADSSLPPEPVLLPRVDCRRIPAAGAAASSPPQPVPTSAPSATAGADFPPPPAPTSSSSTAVPIPSPIPSIPIISCRSPPSTLPHNPILYQKSKTTSIGMETSCFRVTLSMRLPLVVFSVQPELQEERTARIFDQKRSTAAQLFADIKDGISIWKEAVVGHRGMRHSSRQPQVIANKGRG >ORUFI09G01170.2 pep chromosome:OR_W1943:9:1553402:1558334:-1 gene:ORUFI09G01170 transcript:ORUFI09G01170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCRRAAASSLEILPAWTAAARAADSSLPPEPVLLPRVDCRRIPAAGAAASSPPQPVPTSAPSATAGADFPPPPAPTSSSSTAVPIPSPIPSIPIISCRSPPSTLPHNPILYQKSKTTSIGMETSCFRKRSTAAQLFADIKDGISIWKEAVVGHRGMRHSSRQPQVIANKGRG >ORUFI09G01170.3 pep chromosome:OR_W1943:9:1553317:1558334:-1 gene:ORUFI09G01170 transcript:ORUFI09G01170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFCRRAAASSLEILPAWTAAARAADSSLPPEPVLLPRVDCRRIPAAGAAASSPPQPVPTSAPSATAGADFPPPPAPTSSSSTAVPIPSPIPSIPIISCRSPPSTLPHNPILYQKSKTTSIGMETSCFRATAECGTHLDNLKSSPTREGGDGGLDVASRMAEEMWEGGGPQRWRPRRI >ORUFI09G01170.4 pep chromosome:OR_W1943:9:1552944:1553338:-1 gene:ORUFI09G01170 transcript:ORUFI09G01170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAYLACEGGDAGNGRASKKVAQRRWGNSAARGRAGFIWHSLTKFELVGMDRAQAPRFGVCLVATLSTKPEKFVCLRGIRDAHEACLARPPDAFLVWFATPA >ORUFI09G01170.5 pep chromosome:OR_W1943:9:1552944:1553301:-1 gene:ORUFI09G01170 transcript:ORUFI09G01170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MREMGGPQRRWLRAGFIWHSLTKFELVGMDRAQAPRFGVCLVATLSTKPEKFVCLRGIRDAHEACLARPPDAFLVWFATPA >ORUFI09G01180.1 pep chromosome:OR_W1943:9:1558051:1558452:1 gene:ORUFI09G01180 transcript:ORUFI09G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEGIGEGIGTAVDEEDVGAGGGGKSAPAVADGAEVGTGCGGEEAAAPAAGMRRQSTRGRSTGSGGREESAALAAAVHAGRISSEDAAARRQNMRGGGGASEEEKRSLRVWSDVFAAGGGGAKYSARMDGAD >ORUFI09G01190.1 pep chromosome:OR_W1943:9:1560147:1569037:1 gene:ORUFI09G01190 transcript:ORUFI09G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGMGKQRWEKMLLQAAFDGNLRLLRKMARGLVTTGRGRGEADVLAAVAGGGRGGPREPRAAPGGHRGEDGRPHVPRRGPPPRRETPLFLSAFFGRTASTRYLLDHGADPMIVGKSGSPLHAAAGKGHCEIVELLLSRGIGIPDKVFNLDDTPLNMAISSKSLECVKLLIQAGADVNFRDSNGATYVMMAANYGFSGIMKCLLDAGANPNIPDQFGVFPIEVAALQVHREIVEMLFPLTSPISTVPDWSIDGIFAHAKNFGSKPLPEDLCVKKIAQMKVEGKEAFKRKDYLLAAQLYTSALGLGPSPDDSATLLANRSLCWLRLENGKQALADANMCRMFRPHWIKACYRQGAAFMLLKEYGNACDAFSDGLKLDPANVDIENALRAALQAVKNDRCVKNNQ >ORUFI09G01190.2 pep chromosome:OR_W1943:9:1560147:1569037:1 gene:ORUFI09G01190 transcript:ORUFI09G01190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGMGKQRWEKMLLQAAFDGNLRLLRKMARGLVTTGRGRGEADVLAAVAGGGRGGPREPRAAPGGHRGEDGRPHVPRRGPPPRRETPLFLSAFFGRTASTRYLLDHGADPMIVGKSGSPLHAAAGKGHCEIVELLLSRGIGIPDKVFNLDDTPLNMAISSKSLECVKLLIQAGADVNFRDSNGATYVMMAANYGFSGIMKCLLDAGANPNIPDQFGVFPIEVAALQVHREIVEMLFPLTSPISTVPDWSIDGIFAHAKNFGSKPLALGLGPSPDDSATLLANRSLCWLRLENGKQALADANMCRMFRPHWIKACYRQGAAFMLLKEYGNACDAFSDGLKLDPANVDIENALRAALQAVKNDRCVKNNQ >ORUFI09G01190.3 pep chromosome:OR_W1943:9:1560147:1569037:1 gene:ORUFI09G01190 transcript:ORUFI09G01190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGMGKQRWEKMLLQAAFDGNLRLLRKMARGLVTTGRGRGEADVLAAVAGGGRGGPREPRAAPGGHRGEDGRPHVPRRGPPPRRETPLFLSAFFGRTASTRYLLDHGADPMIVGKSGSPLHAAAGKGHCEIPDKVFNLDDTPLNMAISSKSLECVKLLIQAGADVNFRDSNGATYVMMAANYGFSGIMKCLLDAGANPNIPDQFGVFPIEVAALQVHREIVEMLFPLTSPISTVPDWSIDGIFAHAKNFGSKPLALGLGPSPDDSATLLANRSLCWLRLENGKQALADANMCRMFRPHWIKACYRQGAAFMLLKEYGNACDAFSDGLKLDPANVDIENALRAALQAVKNDRCVKNNQ >ORUFI09G01190.4 pep chromosome:OR_W1943:9:1560147:1569037:1 gene:ORUFI09G01190 transcript:ORUFI09G01190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGMGKQRWEKMLLQAAFDGNLRLLRKMARGLVTTGRGRGEADVLAAVAGGGRGGPREPRAAPGGHRGEDGRPHDHLFMRLPGKPDKVFNLDDTPLNMAISSKSLECVKLLIQAGADVNFRDSNGATYVMMAANYGFSGIMKCLLDAGANPNIPDQFGVFPIEVAALQVHREIVEMLFPLTSPISTVPDWSIDGIFAHAKNFGSKPLPEDLCVKKIAQMKVEGKEAFKRKDYLLAAQLYTSALGLGPSPDDSATLLANRSLCWLRLENGKQALADANMCRMFRPHWIKACYRQGAAFMLLKEYGNACDAFSDGLKLDPANVDIENALRAALQAVKNDRCVKNNQ >ORUFI09G01190.5 pep chromosome:OR_W1943:9:1560147:1569037:1 gene:ORUFI09G01190 transcript:ORUFI09G01190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGMGKQRWEKMLLQAAFDGNLRLLRKMARGLVTTGRGRGEADVLAAVAGGGRGGPREPRAAPGGHRGEDGRPHDHLFMRLPGKPDKVFNLDDTPLNMAISSKSLECVKLLIQAGADVNFRDSNGATYVMMAANYGFSGIMKCLLDAGANPNIPDQFGVFPIEVAALQVHREIVEMLFPLTSPISTVPDWSIDGIFAHAKNFGSKPLALGLGPSPDDSATLLANRSLCWLRLENGKQALADANMCRMFRPHWIKACYRQGAAFMLLKEYGNACDAFSDGLKLDPANVDIENALRAALQAVKNDRCVKNNQ >ORUFI09G01200.1 pep chromosome:OR_W1943:9:1585011:1585652:-1 gene:ORUFI09G01200 transcript:ORUFI09G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSQLNPNATPFVPPPRSSFEESLSGRKASEKQVGDSEKDETADKSSEYELPDSLSLDDYGESLGKLNISAESSSKGAASTTLDPSHYEENGVDNHLAVVESLSKMFPDVSADFIVEALRAHDFDTELTIDMLADLCEGDD >ORUFI09G01210.1 pep chromosome:OR_W1943:9:1587964:1588498:-1 gene:ORUFI09G01210 transcript:ORUFI09G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVRVPRVAPRVGRLGRRNPCRRRRPSPSLPLPCRCLSERPAKPAAPKDGGGGAHGGHLPSSWRGRTQRRWPTGAAPASRCMVADPASPPLDLAHPQLDPVAEAPARRRRGVGAGGHDRRWQARRRRKRRGRSGGSVRRGQPR >ORUFI09G01220.1 pep chromosome:OR_W1943:9:1596180:1596689:-1 gene:ORUFI09G01220 transcript:ORUFI09G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALPRGSALPALLILGPLRDSNRLLVARRELRKQLEHLAAVFESSSPLLGLLAPCSARSTVVSIDAGSTADTVEARCGHGWMDDRRWHRRRCSRTRSRPLGSGKKWEKEGAAAACHGWVAGKLPLLRARRHDSEKKWEKEPGRNGRKKEEDGKGRGWMENVTAVARF >ORUFI09G01230.1 pep chromosome:OR_W1943:9:1598528:1599161:-1 gene:ORUFI09G01230 transcript:ORUFI09G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGDNGKKAMPQAENKEIAAKDAQDIRRQADEFNSWLDRMEAMDSQELKQYKEQNKDMFNSQKKAAINKIQQKEKKKRKRTVLSPILGAVMKFHRDNDVDPSASGPAA >ORUFI09G01240.1 pep chromosome:OR_W1943:9:1630966:1636228:1 gene:ORUFI09G01240 transcript:ORUFI09G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTTPRGNETSELGANDGESSSRNSQPNNIEETNPLPEVSNDDAVLIIGQAIELKDEGTRLFQRRDYEEAAIKFGEAIKLLPKEHNDIAFLHCNAAACYMHMNPEDLDHAIEECNLALEASPKYTKALLKRARCFEALDKLDLACKDVQKVLSLEPSNVTALELSESIKELMEEKDVLLEKQIVSPVAEEPKAILAKDKIKRKVSRKFRNSIVEEEVWEMIHEEDMQEDDEAAEEEKCNGNNHVQNDARPEENDTEQMHIKQNRSSEEKYREETRPKQGQCSRGASNDGKTVLQHSSLNMEEMCVNLKHGQEKHQKHLKEIHVRGSHGQETHPFCSGVDKRQKHIDQIQTNSLGMQEKHTDKFERYANGSREKHFLDRHIGRGEDKQEKRSTLKPTIHGRDKHKNHKDVNKRAMKSVKFVCGDDIRIVVIPEHITLMQLMDIARYKYTPHLKSILLKFMDKEGDLVTITSTEELRWVEELDPLKPVRLYIKEVSPDREITRDLVMPTTSYSKLERNHNSMSECGSSRHGGEKNSYTDDWMVQFARLFKYHVGFDSDAYVDLRDLGMRLYYEAMEETITSEEAQEIFQSAEAKFQEMAALALFNWGNVHMSRAKKRLLLSDDASQESILLQVKNAYEWACAEYVKAGKKFEEAVDVKPDFYEGLIALGQQQFEQAKLSWRYADACKIGMGTEVLELFNHAEDNMEKGIEMWEGIEYLRVKGLSKSKKEKVLLDKLGLNGHLKEFSADEAFEQASNMRSQLNISWGTILYERSVVEFKLGLSSWEESLTEAIEKFKTGGASLPDISVMIKNHCANEKTQEGLSFKIDEIVQAWNEMYDAKKLKNGGSSFRLEPLFRRRPSKLHNILEHIHYT >ORUFI09G01250.1 pep chromosome:OR_W1943:9:1652478:1666364:-1 gene:ORUFI09G01250 transcript:ORUFI09G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAATPSPSRPLWRSSGGGGAIVSKLLRSPFTTVLEAVRGRAAPDDTPPPVQAPPAPEHNCAGAFDGIAVVAGDGREERLDGGVFLTWEDVWVTAVDSGGKAATILNGVSGSARPGEVLAIMGPSGCGKTTLLDTLAGRLDSNLKMKGQILVNGRCQQLAFGTSAYVTQENVLMATLTVREAIYYSAQIQLPDTMTMAEKLRRADETVREMGLTGTLDTRIGGRSSKGISGGQQKRLSICLDILTRPRLLFLDEPTSGLDSAASFHVMSRIIGLAAREGMTVVAVVHQPCSEVFELFHVLCLLAAGNTIFFGPASMAAEFFASNGYPCPPMRNPSDHFLRTVNKDFDKESEDRLRCMPAVADEAIDILVNSYKSSNTSEVAKQDMRHINEMDRVTIGRNRAGFITKTLVLTRRSFVNMYRDIGYYWLRMAIYISISACLGTIFYNMGYGSDSIRARSSMLMFISTMLTLMAIGGFPSFVEDMKIFSRERLNGHYGVTTFVISNTLSSTPYLLLIAIIPVEGLMMIVATIVPDFLMGIITGAGIQGIMMLTSGFFQLPNSLPNIVWKYPMYYISFHKYALQGFYKNEFLGLVLNLEGPITVSGEKVIAELFQVETGHSKWVDLAVLCVIIKVLDIVKPILKGMTFRCNTKCIHGIENLCAPSLFTHLNIPNHPQQQPNISTSQIIHNNKSQIIHTSFSNHRSNQQTIIHITRRKKEKKIHEACHHTAFRAGPPSLCHRLAAASPAQHGPDRCRPSPAQARPPPPPPSTGPAAAVCFSRRSEEEKRGKLKKCGSGRGEEEMRVKEEDEEEIRER >ORUFI09G01250.2 pep chromosome:OR_W1943:9:1652478:1666364:-1 gene:ORUFI09G01250 transcript:ORUFI09G01250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAATPSPSRPLWRSSGGGGAIVSKLLRSPFTTVLEAVRGRAAPDDTPPPVQAPPAPEHNCAGAFDGIAVVAGDGREERLDGGVFLTWEDVWVTAVDSGGKAATILNGVSGSARPGEVLAIMGPSGCGKTTLLDTLAGRLDSNLKMKGQILVNGRCQQLAFGTSESEDRLRCMPAVADEAIDILVNSYKSSNTSEVAKQDMRHINEMDRVTIGRNRAGFITKTLVLTRRSFVNMYRDIGYYWLRMAIYISISACLGTIFYNMGYGSDSIRARSSMLMFISTMLTLMAIGGFPSFVEDMKIFSRERLNGHYGVTTFVISNTLSSTPYLLLIAIIPVEGLMMIVATIVPDFLMGIITGAGIQGIMMLTSGFFQLPNSLPNIVWKYPMYYISFHKYALQGFYKNEFLGLVLNLEGPITVSGEKVIAELFQVETGHSKWVDLAVLCVIIKVLDIVKPILKGMTFRCNTKCIHGIENLCAPSLFTHLNIPNHPQQQPNISTSQIIHNNKSQIIHTSFSNHRSNQQTIIHITRRKKEKKIHEACHHTAFRAGPPSLCHRLAAASPAQHGPDRCRPSPAQARPPPPPPSTGPAAAVCFSRRSEEEKRGKLKKCGSGRGEEEMRVKEEDEEEIRER >ORUFI09G01260.1 pep chromosome:OR_W1943:9:1690760:1691500:1 gene:ORUFI09G01260 transcript:ORUFI09G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRRRTASAIPSSSAPADELPGRGGDALGAERLEGSVPAGELVKAKATKKPVAFARVWSEADELRILECLAAHVEKHGAPPGRSQLPEVLAGRGLDKEEFTVSEIYEKVRRLRTQYDKMLSGPRPVPGDNRFELSCAIWGNPVAPPPTSPAPEKSSIPASGTRGRRDLEELRPLYPYLVDEVERISTNELGGHVLKMGLEFIDDGTAVRMNGMAKKQRVLELKTMLKLDSMRKEVTRTLLNNMD >ORUFI09G01270.1 pep chromosome:OR_W1943:9:1721339:1723734:-1 gene:ORUFI09G01270 transcript:ORUFI09G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDETAAAAPATTALSGHGCTVCVTGAGGFIASWLVKRLLEKGYTVRGTVRNPMDPKNDHLRALDGAGERLVLLRADLLDPDSLVAAFTGCEGVFHAASPVTDDPEKMIEPAIRGTRYVITAAADTGIKRVVFTSSIGTVYMNPYRDPNKPVDDTCWSDLEYCKRTENWYCYAKTVAEQGAWEVARRRGVDLVVVNPVLVLGPLLQATVNASTEHVMKYLTGSAKTYVNAAQAYVHVRDVAEAHVRVYECGGARGRYICAESTLHRGDLCRALAKLFPEYPVPSRCKDEAAPPVKGYLFSNQRLRDLGMDFVPVRQCLYETVRSLQDKGLLPVLPPTADDHHHPSS >ORUFI09G01280.1 pep chromosome:OR_W1943:9:1745658:1747755:1 gene:ORUFI09G01280 transcript:ORUFI09G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMELVWFQEGEQKWIGVHVFSFVYPEGSLLLVNFMQDVSKNGIISYDIEGIAECTQIRYFASLLLDIAILPTEIMLTVRHWAVHLMLPWPPPHENSIVLLIDVHAFAKLGIANMVEAKEEMKLFVAKLYVLDLSCATPSIGHFGNHGPFQLLVCKIWPQFLLWKIWSSEAEIKLLIVGHPKQYIEDTILVLVEVSLYDLGGNCSFFEAERGVLTGNKHFYGEQLEFLSDKLELRKKHMLKIIDACYESWSTRKWIDVTRKWLHWMLGGGEHASNFSWYLCWTLKVDIKLKKLLQGANKISSKLKNGGDIREAFEQ >ORUFI09G01290.1 pep chromosome:OR_W1943:9:1748950:1749315:-1 gene:ORUFI09G01290 transcript:ORUFI09G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGTEEWRRNTDTHKMSAEEVRAAGVEASMRPPGRGHGPGEVLHQRGRMPYGPGTMALAGLGIFGVLGYLVLYHKARPGTPATEVAKVAVGHGDPVAGRDAHKTPDDAAAAAAAARQGK >ORUFI09G01300.1 pep chromosome:OR_W1943:9:1754240:1759237:-1 gene:ORUFI09G01300 transcript:ORUFI09G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDNGMIVFKLERERPAFSVSGDTVFYVKDRFLRFFEYSTQKEVQLAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDVDGGSYELYIVPKDSAGRTDYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIAMDAIYYAGTGNLLCKAEDRVTIFDLQQRLILGELQAPAVKYVVWSSDMESIALLSKHAVVIANKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITRAIGNNIFCLDRDGKNKLITVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYMDKVGFMCKIAGQNNNLMGQFHNALYLGDAMKRVEILENAGQLPLAYITAATHGLTEIADRLAAELGENIPSLPEGKTRSLLIPPAPLTASGDWPLLRVMRGIFEGGLDATGKAELEEDDEAAGADWGDEDLDMVDASEAMANGGDGFDAEEGEANEEDGEEGGWDLEDLELPPEAETPKNAGNALSVVFVAPPPGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFLDLHMGSHSYLRALATAPIIPVAVEKGWSESASPNVRGPPALVFTFSQMEDRLKAAYKATTEGKFPEALRQFLNILHTIPLIVVDSRREVDEVKELIEIVREYVLGLRMELKRKELRDDVNRQQELAAYFTNCKLQRVHMRLVLGSAMGLCYKQKNFATAEHFARMLLENNPNESQAKRARQVQQQCSGKKDSCELNYDYRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELAVVGADASGLLCSPTQLR >ORUFI09G01310.1 pep chromosome:OR_W1943:9:1762230:1763884:-1 gene:ORUFI09G01310 transcript:ORUFI09G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASLPANARRKPRACRRRCGGRWGHCSRRGVEAGRVRPRGEAGGAAAARQRRGDVPAWGGRRRPAGQAGSCVAAAGGRRLASNQAGAAAAAGARAARREGQAGARAARRGEAAAGVRAAGRGGPAGWYGEPVVFFFFFGRI >ORUFI09G01320.1 pep chromosome:OR_W1943:9:1763883:1764653:1 gene:ORUFI09G01320 transcript:ORUFI09G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELSPSCRLGGWDSPRGGGAGGPAGEQAATSFQIFLWSFACTPPPRLALDSPSAPASSFASPPSTLHRARLPLYHATSTLLLDDLYHTIAHPTEEAVAGPRRRQHPAPMGARRAVTNAGRRSSHRLNASRGASDGGRLSPLSGRAAGGSPAPRDSACPPFGRGGNSVLTVVVIDSTINITKIEKN >ORUFI09G01330.1 pep chromosome:OR_W1943:9:1780262:1783465:-1 gene:ORUFI09G01330 transcript:ORUFI09G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDDISHNPSALPPTLAPVEANHGRSNVARDAHKSLLVPVSAAKVHNQKPAPRDQARHCFSDCPLPRAAKAVRRYSQVTSTAHDTNRHLNVQPPPQRIIVKGTVRGRIVPPAIVSSLQHQRQQGKQCQENNSSLQLQRGSMLLRQHPQQVSLAPGRPTVVSSSNAPRIAPIRRSIGKSPLGNPTGKKILPVTAVKTVATPPSKISKQGNVPGETSASRS >ORUFI09G01340.1 pep chromosome:OR_W1943:9:1802553:1807960:-1 gene:ORUFI09G01340 transcript:ORUFI09G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLPHQGKLRRDVKEEALDDGDAAAQAEASPFHKRSRLALQHWSTDGGSVSNQQSSQHGFLDEPSPLGLRLKKSPSLVDLIQMKLVQAGKAKDVQHSGTASASEKLKASNFPGSVLRIGSWEWVSRYEGDLVAKCYFAKHKLVWEVLDGGLKSKIEIQWSDICAMKVVSPENEPGILEIALSRQPLFFRETNPQPRKHTLWQATSDFTGGQASIHRRHFLQCPPGMMNKHVEKLVHCDPRLYSLSQQNDINLDNPYFESKSSIFEDAEGIKGQDFEHKDDGDQLAPQRFTELLPPHSASGRIDTEARQLSGTPDKLLQHFPCSVSGTQVIKQDAASGDCERQESIYNWNGIKVPGIRRSMSKSEIANHIGNHIYRQMYSGNLPAVHRGDSTSSKVTLDGITRFLLGSTQIIGDGDGDGSMGKLTFDELTRQLLNDSQITNAADERMLMSRVNSLCSLIQRDSGSGQTNPSSSIHGDNEMQERKPQPYALPVSADSGSNTSLPPRQESFGDLLTHLPRISSFPHFL >ORUFI09G01340.2 pep chromosome:OR_W1943:9:1802553:1807960:-1 gene:ORUFI09G01340 transcript:ORUFI09G01340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLPHQGKLRRDHWSTDGGSVSNQQSSQHGFLDEPSPLGLRLKKSPSLVDLIQMKLVQAGKAKDVQHSGTASASEKLKASNFPGSVLRIGSWEWVSRYEGDLVAKCYFAKHKLVWEVLDGGLKSKIEIQWSDICAMKVVSPENEPGILEIALSRQPLFFRETNPQPRKHTLWQATSDFTGGQASIHRRHFLQCPPGMMNKHVEKLVHCDPRLYSLSQQNDINLDNPYFESKSSIFEDAEGIKGQDFEHKDDGDQLAPQRFTELLPPHSASGRIDTEARQLSGTPDKLLQHFPCSVSGTQVIKQDAASGDCERQESIYNWNGIKVPGIRRSMSKSEIANHIGNHIYRQMYSGNLPAVHRGDSTSSKVTLDGITRFLLGSTQIIGDGDGDGSMGKLTFDELTRQLLNDSQITNAADERMLMSRVNSLCSLIQRDSGSGQTNPSSSIHGDNEMQERKPQPYALPVSADSGSNTSLPPRQESFGDLLTHLPRISSFPHFL >ORUFI09G01350.1 pep chromosome:OR_W1943:9:1828396:1839753:-1 gene:ORUFI09G01350 transcript:ORUFI09G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFLLSSLFQAHWPKRTSQNLINPSSRHSQESDRATADAPSSTRRLPTLSRRPAARAATLHSPHRDASRSSTRRQICPYHGERERKGERAGEGSRTASASPAAGGNEGRTSAVCAPASGDEERKKTPPSSPIAAAVFLPLRRRLPPPSPASSFPIAVAVFLPLSARRSPPQCARHPPPASMSVVRVNLPLLPLPLYPQPGDYPTKKSWLLPAPAHASTSEAPTQRSVTGTRTQVLESDSDSSNLCLRSLVLDSSLPCELVFMAWELTEVPGNPTPSLRGSTVEVIAAKIEPKLANSLIRQLSQACPLENLRHVKRVRRCCEYGEKSELSIILCLATGPEHCSEMFPQDVKKIVGTYELNTFIAKVARFPATSKEEWEEQCKLWPTSYHPPHDLDGVSGFKECELPSIFNCMRTALRLSEVGNAAVVVNPSTMQIIAKATDQTLQHDSLKSNKCAELNSDSPFSSLEVTEKKGSRLFLSNSNVSKCNSLNMEASCLNPWGWMKPRPSEQKSLPCEGGFPWHPLRHAAIVAIENAAERDKVMFPSIISSTKPNSDGNMEYYSVNESAKRLKVDRNDDKKIAHEAICDDLSETRPYLCTGFDIYLVWEPCSMCAMALVHQRFKRIFYAFPNPITGALGSVYRLHGEKSLNHRYSVFRVRVADIFLTFAD >ORUFI09G01350.2 pep chromosome:OR_W1943:9:1828398:1836901:-1 gene:ORUFI09G01350 transcript:ORUFI09G01350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCDLPCELVFMAWELTEVPGNPTPSLRGSTVEVIAAKIEPKLANSLIRQLSQACPLENLRHVKRVRRCCEYGEKSELSIILCLATGPEHCSEMFPQDVKKIVGTYELNTFIAKVARFPATSKEEWEEQCKLWPTSYHPPHDLDGVSGFKECELPSIFNCMRTALRLSEVGNAAVVVNPSTMQIIAKATDQTLQHDSLKSNKCAELNSDSPFSSLEVTEKKGSRLFLSNSNVSKCNSLNMEASCLNPWGWMKPRPSEQKSLPCEGGFPWHPLRHAAIVAIENAAERDKVMFPSIISSTKPNSDGNMEYYSVNESAKRLKVDRNDDKKIAHEAICDDLSETRPYLCTGFDIYLVWEPCSMCAMALVHQRFKRIFYAFPNPITGALGSVYRLHGEKSLNHRYSVFRVRVADIFLTFAD >ORUFI09G01350.3 pep chromosome:OR_W1943:9:1828595:1839381:-1 gene:ORUFI09G01350 transcript:ORUFI09G01350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPWREREEGGESRRGESNGVGCSRRRRAATREERAPSVLPLAATRRGRRRRLPCELVFMAWELTEVPGNPTPSLRGSTVEVIAAKIEPKLANSLIRQLSQACPLENLRHVKRVRRCCEYGEKSELSIILCLATGPEHCSEMFPQDVKKIVGTYELNTFIAKVARFPATSKEEWEEQCKLWPTSYHPPHDLDGVSGFKECELPSIFNCMRTALRLSEVGNAAVVVNPSTMQIIAKATDQTLQHDSLKSNKCAELNSDSPFSSLEVTEKKGSRLFLSNSNVSKCNSLNMEASCLNPWGWMKPRPSEQKSLPCEGGFPWHPLRHAAIVAIENAAERDKVMFPSIISSTKPNSDGNMEYYSVNESAKRLKVDRNDDKKIAHEAICDDLSETRPYLCTGFDIYLVWEPCSMCAMALVHQRFKRIFYAFPNPITGALGSVYRLHGEKSLNHRYSVFRVKVPESYSNSSGDCSDKC >ORUFI09G01350.4 pep chromosome:OR_W1943:9:1839253:1839673:-1 gene:ORUFI09G01350 transcript:ORUFI09G01350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRLGASPRCPGVPRPAPPPSTPRTATPPGAPHEDKYAPTMERERGRGREPERGVERRRL >ORUFI09G01360.1 pep chromosome:OR_W1943:9:1839058:1839255:1 gene:ORUFI09G01360 transcript:ORUFI09G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGGWRAHWGGLRRADRGRKTATAMGKEDAGDGGGRRRRRGRKTAAAMGEEGLPASSSSPRRR >ORUFI09G01370.1 pep chromosome:OR_W1943:9:1845186:1849626:1 gene:ORUFI09G01370 transcript:ORUFI09G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCGIGTGVTILRHNDYYDYVLVELFSHQDTRTHLASNKWIQKEVLLPIPASNQEKDDVTWPPTYSFRADMVFAVLTTSLCWVDLRTGILVCDHIDKLSTGTDDDDDHLLLFFISLPEECVMKPSLLSWKRLAEEHRTMICRDPETILFISMDNYIQGLPIGDTVLTTWTLKFPLTNHWTWEKHSAPSLFVGDLLNDLPVLKLKNPRMIGRLCTLPTARLTITEYERKHEQGHWGVTGFCTRSALTWMVERSWSGLLLKVATQRYLQLSLTAAYNSLQRSWSSTFKSLSDEEVVDEDDENWKWVFPDEVGNE >ORUFI09G01380.1 pep chromosome:OR_W1943:9:1850537:1852343:1 gene:ORUFI09G01380 transcript:ORUFI09G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLGTLRVRFHFMSEFVRSGRENNYCGGTEAISYISRDNECLSELFGALCEHCKVKEGTMLHWLFPGKDLASGLRALLDDMIVLMNLVWLKGTIFIDVSDGDGDNSYYDAEKEDANADSSEEEEANDENEAEHMGIVVGDVEPIASREDSEGDIIYMQTLMMCSTSISPRMTRVLATAAAVVLTVVLAVVAVASADHGGGHGSGVGLAAWWRRATTQRFAEEEAGVDGSGDDIDGCRGGGNVGGWGSLVTSSGGGEGVGCEVRMATARWLGVRQLRLQWWSL >ORUFI09G01390.1 pep chromosome:OR_W1943:9:1869381:1870889:1 gene:ORUFI09G01390 transcript:ORUFI09G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACGCGRRSPAWASSAAGSLQLPPAAGDGDXSALEVWRRVVQLTVDAIQPSGATLAPFLLHHPPLLVAGCDEDLGSADAEVCLNRSSGGIDLDGNVLDKQHHERFHSPGITSSVDMFCSLSPEAGKRNRRIYCIQLSDRVLMDASGDGATGMMSCSPSLIWPEMHELNCRWAV >ORUFI09G01400.1 pep chromosome:OR_W1943:9:1871855:1884867:1 gene:ORUFI09G01400 transcript:ORUFI09G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHAPTTPRPTPVFTDRSRNLDDVVALGYPDLGSTTTTGARRSLPTASTGFPSAVAASSSSHPPPAGPCRSAPPKPPRRRSANLVGRSVLSHRPPSPCNPLPVVDMVAASMAPQRWSVVAMAVKAAGSVRSGPHLRLLAWTSLVARISFGTTIKLPERKRNKPSFHMTHTTTYNSDVVSSMEDHRGEGNDGGIRMISSDELCKHVAASDRLKHPIDKLRTTKDFATNPAEDSGWREGTPGCVDDEAGEEEEEPKLEHDKHEGHCMAGSAATSNLGDLRGAKGRGFWPAAHPERLSPAPPVGAVAQQVVDGNESAGDERRRGDGHRRMMQSPLLRHGSVLCIAPSTLSPQEALVLPTQNPKLFTPVFLLIALPSFLVLSTNVLFVQPLSMDMAELAIKLQTTDPSSAEYRMILEELKHDVTQLILVVVAVELVALVLGFVNQCVGFFAASSTYSGDRYSLPELLRKAMKGNLKGPLITIAMVTVLRVTYMALLGVLIYSVMQVQRHYLIKVLSVQVLLFVLCFLAFLYFNVVGMVSVAVSVGDTERRGIRALRQAWRLMTRVRRKEGLVLVVAICLLSIAVSPVNLVAAAYTKNMVLGLCLLVVYALLSGAEQLFYFAAATVYYCQAMDSKGEAMDYAYAKIPTGKENNIAQ >ORUFI09G01400.2 pep chromosome:OR_W1943:9:1871924:1884867:1 gene:ORUFI09G01400 transcript:ORUFI09G01400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTTTYNSDVVSSMEDHRGEGNDGGIRMISSDELCKHVAASDRLKHPIDKLRTTKDFATNPAEDSGWREGTPGCVDDEAGEEEEEPKLEHDKHEGHCMAGSAATSNLGDLRGAKGRGFWPAAHPERLSPAPPVGAVAQQVVDGNESAGDERRRGDGHRRMMQSPLLRHGSVLCIAPSTLSPQEALVLPTQNPKLFTPVFLLIALPSFLVLSTNVLFVQPLSMDMAELAIKLQTTDPSSAEYRMILEELKHDVTQLILVVVAVELVALVLGFVNQCVGFFAASSTYSGDRYSLPELLRKAMKGNLKGPLITIAMVTVLRVTYMALLGVLIYSVMQVQRHYLIKVLSVQVLLFVLCFLAFLYFNVVGMVSVAVSVGDTERRGIRALRQAWRLMTRVRRKEGLVLVVAICLLSIAVSPVNLVAAAYTKNMVLGLCLLVVYALLSGAEQLFYFAAATVYYCQAMDSKGEAMDYAYAKIPTGKENNIAQ >ORUFI09G01400.3 pep chromosome:OR_W1943:9:1874651:1884939:1 gene:ORUFI09G01400 transcript:ORUFI09G01400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKERKRNKPSFHMTHTTTYNSDVVSSMEDHRGEGNDGGIRMISSDELCKHVAASDRLKHPIDKLRTTKDFATNPAEDSGWREGTPGCVDDEAGEEEEEPKLEHDKHEGHCMAGSAATSNLGDLRGAKGRGFWPAAHPERLSPAPPVGAVAQQVVDGNESAGDERRRGDGHRRMMQSPLLRHGSVLCIAPSTLSPQEALVLPTQNPKLFTPVFLLIALPSFLVLSTNVLFVQPLSMDMAELAIKLQTTDPSSAEYRMILEELKHDVTQLILVVVAVELVALVLGFVNQCVGFFAASSTYSGDRYSLPELLRKAMKGNLKGPLITIAMVTVLRVTYMALLGVLIYSVMQVQRHYLIKVLSVQVLLFVLCFLAFLYFNVVGMVSVAVSVGDTERRGIRALRQAWRLMTRVRRKEGLVLVVAICLLSIAVSPVNLVAAAYTKNMVLGLCLLVVYALLSGAEQLFYFAAATVYYCQAMDSKGEAMDYAYAKIPTVDTLTY >ORUFI09G01400.4 pep chromosome:OR_W1943:9:1873735:1884939:1 gene:ORUFI09G01400 transcript:ORUFI09G01400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLQDAFNTSLLFRERKRNKPSFHMTHTTTYNSDVVSSMEDHRGEGNDGGIRMISSDELCKHVAASDRLKHPIDKLRTTKDFATNPAEDSGWREGTPGCVDDEAGEEEEEPKLEHDKHEGHCMAGSAATSNLGDLRGAKGRGFWPAAHPERLSPAPPVGAVAQQVVDGNESAGDERRRGDGHRRMMQSPLLRHGSVLCIAPSTLSPQEALVLPTQNPKLFTPVFLLIALPSFLVLSTNVLFVQPLSMDMAELAIKLQTTDPSSAEYRMILEELKHDVTQLILVVVAVELVALVLGFVNQCVGFFAASSTYSGDRYSLPELLRKAMKGNLKGPLITIAMVTVLRVTYMALLGVLIYSVMQVQRHYLIKVLSVQVLLFVLCFLAFLYFNVVGMVSVAVSVGDTERRGIRALRQAWRLMTRVRRKEGLVLVVAICLLSIAVSPVNLVAAAYTKNMVLGLCLLVVYALLSGAEQLFYFAAATVYYCQAMDSKGEAMDYAYAKIPTVDTLTY >ORUFI09G01400.5 pep chromosome:OR_W1943:9:1871855:1879473:1 gene:ORUFI09G01400 transcript:ORUFI09G01400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHAPTTPRPTPVFTDRSRNLDDVVALGYPDLGSTTTTGARRSLPTASTGFPSAVAASSSSHPPPAGPCRSAPPKPPRRRSANLVGRSVLSHRPPSPCNPLPVVDMVAASMAPQRWSVVAMAVKAAGSVRSGPHLRLLAWTSLVARISFGTTIKLPGKKEKEKETSRRFT >ORUFI09G01400.6 pep chromosome:OR_W1943:9:1871855:1873394:1 gene:ORUFI09G01400 transcript:ORUFI09G01400.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHAPTTPRPTPVFTDRSRNLDDVVALGYPDLGSTTTTGARRSLPTASTGFPSAVAASSSSHPPPAGPCRSAPPKPPRRRSANLVGRSVLSHRPPSPCNPLPVVDMVAASMAPQRWSVVAMAVKAAGSVRSGPHLRLLAWTSLVARISFGTTIKLPAV >ORUFI09G01400.7 pep chromosome:OR_W1943:9:1874651:1903372:1 gene:ORUFI09G01400 transcript:ORUFI09G01400.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKERKRNKPSFHMTHTTTYNSDVVSSMEDHRGEGNDGGIRMISSDELCKHVAASDRLKHPIDKLRTTKDFATNPAEDSGWREGTPGCYAASDSQSRPWERRW >ORUFI09G01410.1 pep chromosome:OR_W1943:9:1877089:1877438:-1 gene:ORUFI09G01410 transcript:ORUFI09G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVPANSGEGNGPGKEGERGGGGNSAWGGRERRRAVRLAAAEQRVTPGGGTGGIPATDWMGKERGKGVLGMENPFLPSISEDLRCMRRIWELYQWRKFRVSGIFPPVMTI >ORUFI09G01420.1 pep chromosome:OR_W1943:9:1884897:1885844:-1 gene:ORUFI09G01420 transcript:ORUFI09G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQRSTPPFYNFLKEGFLLPSRNRSLFVAVFLLVVASTSVLLLVNDLAVQPIAVEILLDAKALNTTDPMSPDFTKLVKEIQDDTRELMIATAAYSLFAVVIGFAIRIIILFGAVATFSGEQHTFGTLLGKARTQLKGPLLTLAFVFVLEIAYVALLVAMAGLLAFLMVKKYYVPFLLLSLFVLVGFIFLVYFSVLCSFSVVVSVAEPWCHGAGAFGRAWRLVKEKKRRAVLFVAAISVLAAIVSAVYKLSMAGARSSIVAGLLLGLVYAILMGAVELFGVCSLTAFYYECKGSNEVVATDQYVRVSTDEQPKP >ORUFI09G01430.1 pep chromosome:OR_W1943:9:1889658:1890871:-1 gene:ORUFI09G01430 transcript:ORUFI09G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGQGGAGRRDSVPVVGGGADGGGEAGDGSQKQAAAAAMAAVVPANSGEGGGHGEHQWRKGSMVVAAARPRAAWSCGAPYSRRRPNRAASPGGDAGDVPAMDWMEKERGKGVLIMENPFLPSISEDLRSGRGGFEEVKEVEIALLLPPVLSLLVKERRRYGGGKLEVSRRRSFVVRPRGSVCGRVARAGRHELSASVRKRGVGLARGGTGSGSAWLSREEERKEKQRKGRRGKKNRERKKIWKKKIKGRCAADGDERIRRATCDGDGGNSAA >ORUFI09G01440.1 pep chromosome:OR_W1943:9:1892886:1893194:-1 gene:ORUFI09G01440 transcript:ORUFI09G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGARPRRADGDGGASAARGDDLWRAATSVTDHADLGAAGSWRRRELGGARRRRAGAADGDTGLWAAGSGVGGPRAARSDVVRRRRWRELGGARGCGDTR >ORUFI09G01460.1 pep chromosome:OR_W1943:9:1929031:1930014:1 gene:ORUFI09G01460 transcript:ORUFI09G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAAMSSSSSSSPCITFIAESMILPTRNIRLFAPIFLLIFCHTFIFLGITAIHVNPLAPSLDSIHSLATGVLVHVYAPKNTTDDGQGQATATDSLIRGHAIVYLAYLVSRLTVQVVAVVAGCTTYSGKRLSFTELLGWEVATTERIRGPLITAMFMGVVDLSTATLLVLAAHMTAFVGGSGMASILGSLLFLAALVLYIHLGAVIPVSIAVSSAEGRWAAPALWLAWRLMKARRKEAGVLTLIACLVPAAICPVYTIAAALSDELLFTFYVWLLGVVFGFFLLPVALQLLSTTAATVFYYHCVEAQVVAHVCDVSVDDRDVVDQV >ORUFI09G01470.1 pep chromosome:OR_W1943:9:1932500:1938649:-1 gene:ORUFI09G01470 transcript:ORUFI09G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYLGELALANDDKATVAEAARGRGEEGDKDVNGDGVRDEMKKVTGVAWFFSSRATALHLRRRELKLLPFPSFPCSSSSAPNTCSQQSHLLLVSSAGPREQTLLPADSRSSLPHGITDNGTRKEGRRRRRGRKRKETAKDEGECVPSAEEVSIRVNTLYESGDPIGKKELGRELRDVLLKQQNQGLISDWRTTQSWMLLKELANSAQHRAAARKPKAPTTHSTLGISLDKTRLMQTKIEDFVKKMSDLLHIERDAELEFTQEELNATPVMDGNSKKPLKPVEYLVTHGQSQQEQCDTICNLNVISSSTGLDGQHLVLFRVKDNHRLPPTTLSPGDMVCIRTCDNRGEITTSCMQGFIYNLGEDGCSITVTLKSRRGDPTFSKLFGKNVRIDRIQALADALTYERNCEALMLLQRKGLQKKNSSIGVVATLFGDKEDMMMMEQNNLADWGESTIHDDELLKKNKYDFDASQLKAITLGLNNKRPVLIIQGPPGTGKTGLLSYLIACAVRKGERVLVTAPSNAAVDNMVEKLSDTGLDTVRVGNPARISPSVASRSLGELVNRRLLKFTEEFERKKSDLRKDLKHCIQDDTLAAGIRQLLKQLGKNFKKKEKEIIREVLSNADVVLSTNIGAADPLVRRIGCFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDQRQLAPVVLSREAMQGGLAMSLLERASSLHNELLTTKLTTQYRMHDSIASWASNEMYDGFLKSSPSVASHLLADYPFIKETWITRCAFLLLDTRMPYGSLNIDCEEHLDPAGTGSFYNNGEADVVSQHVLNLVQCGVSPTAIAVQSPYIAQVQLLRDRLEDYPEASGVEVSTIDSFQGREADAVVISMVRSNTLGAVGFLGDNRRMNVAITRARRHVALVCDSSTICNNAFLARLLRHIRQHGQVRHVEPGSFGGDSGLGYTPPALPSIS >ORUFI09G01480.1 pep chromosome:OR_W1943:9:1938713:1938992:-1 gene:ORUFI09G01480 transcript:ORUFI09G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRGGRFLEVAGVAVRPAADGKALLPLLPAAAPSPVLPSWDPTAATPCSWVGVTCSPQSRVSLSWLPPQLRNLDRFDSNIKQMADNGRL >ORUFI09G01490.1 pep chromosome:OR_W1943:9:1942104:1947676:-1 gene:ORUFI09G01490 transcript:ORUFI09G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCPLPFRLPPSRPSSQPLSPLPSLPPPLLARLRPSRRSTPPPTTISSALPLRPLQRYRTALRASPNLRPHPSLHHRWPPPLPTSPPCDSPKRCAFSSLPSPFPSNLSGHPPSSLPSLSPSNLAGHPPVAPCPSEIDGRRATLVPTSATRRKRLWISHPTTSRQGRLGRQNIDSIAQHSPQIPLVDG >ORUFI09G01490.2 pep chromosome:OR_W1943:9:1945272:1947676:-1 gene:ORUFI09G01490 transcript:ORUFI09G01490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCPLPFRLPPSRPSSQPLSPLPSLPPPLLARLRPSRRSTPPPTTISSALPLRPLQRYRTALRASPNLRPHPSLHHRWPPPLPTSPPCDSPKRCAFSSLPSPFPSNLSGHPPSSLPSLSPSNLAGHPPVAPCPSEIDGRRATLVPTSATRRKRLWISHPTTSRQGRLGRQNIDSIAQHSPQVHCFVPLLP >ORUFI09G01500.1 pep chromosome:OR_W1943:9:1954874:1955704:1 gene:ORUFI09G01500 transcript:ORUFI09G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTQHHPTAAATAVILLLLAVSPAPSGADPDDERCLSSLQQSLSGLRNWSKASFSAPCEGFISHLQGVTCNNGRVYKLSLPGLSLAGTIPPDLSNCTNLQSLDLSSNALSGAIPPELSGLLNLAVLNLSANRLSGAIPRDLARCAYLNVIDLHANQLTGSIPDELGLLVRLSTFDVSYNRLSGPIPVLLANRSGTTGRFNATSFVGNKDLYGYPLPPMRGHALSVLAIVGIGLGSGLLSLVLSFSAVCLWLRATDRTATMPGEEGKISHLMPDY >ORUFI09G01510.1 pep chromosome:OR_W1943:9:1965487:1973479:-1 gene:ORUFI09G01510 transcript:ORUFI09G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMVVMEREVRVTLRGDRLGQVPRCEARPEPRDPRRELLVRRRADGGLGLHHVRGHSCFFSSRYAIGAEATEAAREAAGGGGEECHGGRARLLRPRRFWNVPDTSSNTEVELSAHRPSNTTRSGRLKPTEMAQVLGASVLFVLRKLPTKRGITQHHPTLGQSGSPQYVISNCSATLCEQKCRLSDTDEPIIKKKI >ORUFI09G01510.2 pep chromosome:OR_W1943:9:1971004:1973479:-1 gene:ORUFI09G01510 transcript:ORUFI09G01510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMVVMEREVRVTLRGDRLGQVPRCEARPEPRDPRRELLVRRRADGGLGLHHVRGHSCFFSSRYAIGAEATEAAREAAGGGGEECHGGRARLLRPRRFWNVPDTSSNTEVELSAHRPSNTTRSGRLKPTEMAQVLGGTEATSFL >ORUFI09G01510.3 pep chromosome:OR_W1943:9:1967654:1973479:-1 gene:ORUFI09G01510 transcript:ORUFI09G01510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMVVMEREVRVTLRGDRLGQVPRCEARPEPRDPRRELLVRRRADGGLGLHHVRGHSCFFSSRYAIGAEATEAAREAAGGGGEECHGGRARLLRPRRFWNVPDTSSNTEVELSAHRPSNTTRSASVLFVLRKLPTKRGITQHHPTLGQSGSPQYVISNCSATLCEQKCRLSDTDEP >ORUFI09G01510.4 pep chromosome:OR_W1943:9:1971447:1973479:-1 gene:ORUFI09G01510 transcript:ORUFI09G01510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMVVMEREVRVTLRGDRLGQVPRCEARPEPRDPRRELLVRRRADGGLGLHHVRGHSCFFSSRYAIGAEATEAAREAAGGGGEECHGGRARLLRPRRFWNVPDTSSNTEVELSAHRPSNTTRSGKSNLYFYLN >ORUFI09G01520.1 pep chromosome:OR_W1943:9:1994045:1995648:1 gene:ORUFI09G01520 transcript:ORUFI09G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVFWEPGRPLTLEDFHMPRPKAGELLIKTKACGVCHSDLHVLKGELPFSSPCVVGHEITGEVVDHGTHTPAEIINRFPVGSHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGQTRLFLRSNGKPVYMYSMGGLAEYCVVPANALAVLPNSLPYTESAILGCAVFTAYGALRHAAEMRAGDSVAVIGVGGVGSSCLQIAKAFGASEVIAVDVLDEKLQNARTLGATHTVNAAKEDAVEKIKVFVNCQVFLAT >ORUFI09G01530.1 pep chromosome:OR_W1943:9:2022815:2027336:-1 gene:ORUFI09G01530 transcript:ORUFI09G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSLEERKVEEEDWDQDDDGSVSSIHVGAQLGNGGLILVLFETPTGFALFGYDGIKLLRPNALKDVWGEFVMEFMLQYDTDQERRWLCRENEDEEKKSGGSGDEVGEKAAAATGEDGEGEETTTGLWVREEGHAAASGMERRQRRAPAPLQPVWLKDFQAFEDKASALNFDTGVSEQLAGMIRKCICPGQTLAVGKPEYVTIIESDLGIKCLWNAEVMELMWGLNNLKEHLVPDGKSELSKEDCLPMCEGMKFTLNKYGFGDLKPEMVTRSIIEATGLLYETDYNVRKHGESMRYACKHLKETSGINAEDWDLLKLATAIMMLCYPNGEHKLVGNLPELFGDDYSKLVDDAPKYKGIFRKFSCLRAYAEMVRSRRIRSKAARSLDSLVTAAERIYDEAQQALMHAQPGVIKQE >ORUFI09G01530.2 pep chromosome:OR_W1943:9:2022815:2027336:-1 gene:ORUFI09G01530 transcript:ORUFI09G01530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSLEERKVEEEDWDQDDDGSVSSIHVGAQLGNGGLILVLFETPTGFALFGYDGIKLLRPNALKDVWGEFVMEFMLQYQPVWLKDFQAFEDKASALNFDTGVSEQLAGMIRKCICPGQTLAVGKPEYVTIIESDLGIKCLWNAEVMELMWGLNNLKEHLVPDGKSELSKEDCLPMCEGMKFTLNKYGFGDLKPEMVTRSIIEATGLLYETDYNVRKHGESMRYACKHLKETSGINAEDWDLLKLATAIMMLCYPNGEHKLVGNLPELFGDDYSKLVDDAPKYKGIFRKFSCLRAYAEMVRSRRIRSKAARSLDSLVTAAERIYDEAQQALMHAQPGVIKQE >ORUFI09G01550.1 pep chromosome:OR_W1943:9:2041077:2044443:1 gene:ORUFI09G01550 transcript:ORUFI09G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGGGDAAAEGRRGEGGGGAARXILGLLFRHLHISVPVDPLEWQISQEMANSIVASLANTIGAAESVLRVAATGHDKKLFLKVVSTLYFLAALGRVVSGAAVAYAALCIFCLYMFAQSTDLFDQLPSWVPVGRDSLGGAQDTT >ORUFI09G01560.1 pep chromosome:OR_W1943:9:2079166:2091246:1 gene:ORUFI09G01560 transcript:ORUFI09G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQQLGCCWVLLIALLSCSAATASEVPAIIVFGDSTVDAGNNNYILTVAKGNFPPYGRDFDGGVATGRFSNGRLVTDFVSEALGLPSSVPAYLDSTYTIDQLATGISFASGGTGLDSLTARVVSVIPLSQQLEYFKEYIEKLKQAKGEDVANEIITEALYVFSIGTNDFIINYFNLPLRRAVYTTAEYTAYLVGEAAAAVRDTHELGAHKIIFAGLAPIGCLPSARTLNHDAPGECNEEHSQVAVAFNTALTEAIGKLNDELTGLRVVYSDTYSVLSAILSNPSYYGFVNIAQGCCGTGLIETSVLCGFNDHLTCQDADSYVFFDSVHPSERTYQIIANKIINTDLKLVL >ORUFI09G01570.1 pep chromosome:OR_W1943:9:2103644:2107063:-1 gene:ORUFI09G01570 transcript:ORUFI09G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASRFASSTSRLLRPLAAAGSLLPAALAPFAPPAAGARCFATQPATSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVMDPPPGDPSNPEPTRDEIIDGYIKTLAQIVGSEDEARHKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVRNKDYGGEPFINGEAVPYDPKYHEEWVRNNARANERTRRNDRPRNFDRSRNFERRRENMHNFQNRDVPPGQGFNSPPPPGQGPVLPRDAPPMPPPPSPPNPGAPPSYQPHAPNPQAGYTNYQGGVPGYQGRAPGYQGGNQEYRGPPPPPPSAYQGNNPGYQGGGPGYHGGNPPPYQAGNPPPYQAGNPVFAGGAPGYQGQGGNPSYQQGSDNYNAGAPAYKRDEPGRNYQ >ORUFI09G01580.1 pep chromosome:OR_W1943:9:2108525:2109508:1 gene:ORUFI09G01580 transcript:ORUFI09G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTVVLNDPGRLLSVHIMHTALVSGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSISGGTVTNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGLS >ORUFI09G01590.1 pep chromosome:OR_W1943:9:2114451:2117200:1 gene:ORUFI09G01590 transcript:ORUFI09G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVGWLVLLLPALLRRGSSGVAAAGKVPAVIVFGDSSVDTGNNNFIPTIARSNFWPYGRDYADGLPTGRFSNGRLATDFISEAFGLPPCIPAYLDTNLTIDQLASGVSFASAATGLDNATAGVLSVITIGEQLQYFREYKERLRIAKGEAEAGEIIGEALYIWSIGTNDFIENYYNLPERRMQYTVAEYEAYLLGLAESAIRDVHSLGGRKMDFTGLTPMGCLPAERIGNRDNPGECNEDYNAVARSFNGKLQGLAARLNKDLPGLQLVYADTYKILASVVDKPADYGFENAVQGCCGTGLFEAGYFCSLSTSLLCQNANKYVFFDAIHPTEKMYKIIADTVMNTTLNVFL >ORUFI09G01600.1 pep chromosome:OR_W1943:9:2118687:2120825:-1 gene:ORUFI09G01600 transcript:ORUFI09G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRATAAVGDCPKKVAKLVDLVNLPTALREFAGGQSQMSHLSFFLRVWSHIKSNNLQDPSNRNIVNCDDKLKTVLLGRSKVELSELPMLVKLHFPKFPKS >ORUFI09G01610.1 pep chromosome:OR_W1943:9:2125424:2129842:-1 gene:ORUFI09G01610 transcript:ORUFI09G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKCRRLEGKVAVVTASTQGIGLAIAERLGLEGAAVVISSRKKKNVDEAVVGLRAKGITVVGVVCHVSIPEQRKNLIDTAVKNFGHIDIVVSNAAANPSVDNILEMKEPILDKLWDINVKASILLLQDAAAYLRKGSSVILISSITGYNPEPALSMYAVTKTALLGLTKALAAEMGPNTRVNCIAPGFVPTNFARFLTTNDTIKNELIDRSTLKRLGTVEDMAAAAAFLASDDASFITAETIVVAGGTRSRL >ORUFI09G01610.2 pep chromosome:OR_W1943:9:2125426:2129798:-1 gene:ORUFI09G01610 transcript:ORUFI09G01610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKCRRLEGKVAVVTASTQGIGLAIAERLGLEGAAVVISSRKKKNVDEAVVGLRAKGITVVGVVCHVSIPEQRKNLIDTAVKNFGHIDIVVSNAAANPSVDNILEMKEPILDKLWDINVKASILLLQDAAAYLRKGSSVILISSITGYNPEPALSMYAVTKTALLGLTKALAAEMGPNTRVNCIAPGFVPTNFARFLTTNDTIKNELIDRSTLKRLGTVEDMAAAAAFLASDDASFITAETIVVAGGTRSRL >ORUFI09G01620.1 pep chromosome:OR_W1943:9:2136788:2137174:-1 gene:ORUFI09G01620 transcript:ORUFI09G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVIDFLCAYGLDATVELGVLLTVGLERSKHSFLWGVHTPVASDTDSMMSREARRGGVAPRGVHGQDSGEERHTGVAVVGAIHGGATHPMISVFVTHCIWMAYISGGEKGLAAHASEGCHGVAEQA >ORUFI09G01630.1 pep chromosome:OR_W1943:9:2140798:2141556:-1 gene:ORUFI09G01630 transcript:ORUFI09G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSMMEGCLLDVQHVQPFNRKGVDMTPTKCLTLGPGSTTTRPAVENSLAAPKHVFPATMNSFTPSTTASAIATPSPSIKTNKAEGDMVQVEMKPDETFQELCAKMIAMLNSMLVTCRDIKVESTASVGITRVVAATSTNTKSIPNTLEVSDDDNSISLVDTNGLCMVTATKCLTKGNEQMINNDDDDMATEDLVKLTEVNSKFTVLQTSFNNPWFGHQAIFVVHLTCYGCLDRSSEYTANFPPVLGEQLR >ORUFI09G01640.1 pep chromosome:OR_W1943:9:2149018:2152941:1 gene:ORUFI09G01640 transcript:ORUFI09G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSLSTLSLCTQSPSPSPVASARLVAPAVLGFAGAPRFPTLRAAPRRLTARAVAGDAEDEWGKEPAADQGGAAAAVAEAPADVPVTSEVAELKAKLKEALYGTERGLRASSETRAEVVELITQLEARNPTPAPTEALTLLNGKWILAYTSFSQLFPLLGSGSLPQLVKVEEISQTIDSENFTVQNCIKFSGPLATTSVSTNAKFEVRSPKRVQIKFDEGIIGTPQLTDSIVLPEKFELFGQNIDLTPLKGIFSSIENAASSVARTISGQPPLKIPIRTDNAESWLLTTYLDDELRISRGDGSSIFVLFKEGSTLLY >ORUFI09G01650.1 pep chromosome:OR_W1943:9:2157005:2161324:1 gene:ORUFI09G01650 transcript:ORUFI09G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYENGDSPAAAAGDGGVILGVDGGTTNTVCVCLPVAMPPPESPGAVPVLSRAVAGCSNRNSVGESAALETLEQVMAQALTLVNTDRSAVRAVCLAVSGVNHPSDQQRMLDWIRDLFPGHVKFYVENDAVAALASGTMGKLHGCVLIAGTGSIAYGVTEDGKVARAAGAGPVLGDWGSGYGIAAQALTAVVKAYDGRGPHTNLTREILRKLELSSPDELIGWTYADPSWARIAALVPVVVSSAEDGDEVANKILHDSVQELADSVVAVVRRLKLCGEDGMDQFPLVLVGGVLEGNKKWNISGEVVRCISKVFPGVHPIRPEVEPAIGAALLAWNHHRKGLKLENGS >ORUFI09G01660.1 pep chromosome:OR_W1943:9:2162704:2165247:-1 gene:ORUFI09G01660 transcript:ORUFI09G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLETLDVPLAHSDMNGSSTVQGEPSDENELIVDDLDAIWNELNTSLHVSRYVTDSVMKGTISAVEQESARQIASKDAEIAFLNEKLHQFRNSGLSLSEGRDKLYEEIYNLRQQLVTLSKSLLNSEWGLSVSHYNNFEGAEDESKHRGNEKSSKDGITKENGSKGSNEDIFIDPTVLKHMARDDLVAHFNKMMNQMKRQHDSTLQEKTEEIFRLKRENLKKEGPNPWHLRNNKEFELMRKKIWEVITKLDEVLVENKRTIRIKSDVFPGQQDKIKVVDSHNHQLQGAPTDNEEEECTTLIKASHFTPIETNYLNQIRRLESDIEDASIVTIVREETEKILVTEFISEIKMGLHGYEMEFNMNLDFWSIIQKEAIAEAASNINSFLLKYSEENSCAEAQSLHMQEMDKLKLNVDTFNLVIREKEEYLSQIEFKAIEDHLDFLRHELDSLRGKVAKQDSCISDKCRDFDVIVSRLEQALQHVHRNEIALKELNDRFRTVSDSQKEVEKQNKVLHAIIKEKEKGFSSSISKEKEFTECMRCVVESMRGFEKLVTDQQTIIAHKVQHNESRFSLLKEQCKILAKEGNTLRKKALRYKEISETRASNLQKAELEVDLLGDEVEALTDLLAKIYIALDHYSPVLQYYTGVMEILNMIKKHLNMSK >ORUFI09G01670.1 pep chromosome:OR_W1943:9:2175293:2178898:1 gene:ORUFI09G01670 transcript:ORUFI09G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSFKPNPLSLSVPDPALDRWLRDSGYLDILDSSASASASASTSSSPSATTTTAAASSTSTLINPTSAAAAVLAFARTLASVLALNPFARLSTTDLAAPTPSWSLAFLGPPGAASYSWPPTSTQARLRVQENVRRYARNYAALSILVFACCLYRMPMALLGMLAILIVWESVRYCRERWGLATRAPGVGQFLLHSAQIATAVLVYVCSLQFALVYAIGLSYAVMMLHASFRKLTPSSLPDPGNRNRRLQPKRS >ORUFI09G01670.2 pep chromosome:OR_W1943:9:2175408:2178896:1 gene:ORUFI09G01670 transcript:ORUFI09G01670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSFKPNPLSLSVPDPALDRWLRDSGYLDILDSSASASASASTSSSPSATTTTAAASSTSTLINPTSAAAAVLAFARTLASVLALNPFARLSTTDLAAPTPSWSLAFLGPPGAASYSWPPTSTQARLRVQENVRRYARNYAALSILVFACCLYHMHCHLPSSLIVALIAFICSQSLRLRNISATAGVSRYRMPMALLGMLAILIVWESVRYCRERWGLATRAPGVGQFLLHSAQIATAVLVYVCSLQFALVYAIGLSYAVMMLHASFRKLTPSSLPDPGNRNRRLQPKRS >ORUFI09G01670.3 pep chromosome:OR_W1943:9:2175471:2178898:1 gene:ORUFI09G01670 transcript:ORUFI09G01670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSFKPNPLSLSVPDPALDRWLRDSGYLDILDSSASASASASTSSSPSATTTTAAASSTSTLINPTSAAAAVLAFARTLASVLALNPFARLSTTDLAAPTPSWSLAFLGPPGAASYSWPPTSTQARLRVQENVRRYARNYAALSILVFACCLYHMHCHLPSSLIVALIAFICSQSLRLRNISATAGVSRYRMPMALLGMLAILIVWESVRYCRERWGLATRAPGVGQFLLHSAQIGEVSIANVFLHNKSLERKIEDCGHIQKQSAAAKMYPIHDDVACFFSKIDSFKST >ORUFI09G01680.1 pep chromosome:OR_W1943:9:2180493:2193906:-1 gene:ORUFI09G01680 transcript:ORUFI09G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVEGGFVHEEEEVDHPIRYLPLGRVYSSSAPCPLPKKPRSAEDGKPPVIVYYRRRRKKPRVEGPPPSPATAPPMLHPREDDEDEEVTRRKGSLKYELLSLGQAPPALGGDGEEPARRRCLRRSGGAERRGYFSEPKRRQRQGVHKEAASSAGRRWLELEIEAADPLAFVGLGCKAKGNVRGWDLNLAVYVFWPLDEDWYKGSITGYNEATKKHSVKYDDGESEDLNLADERIKFSISSEEMKCRNLKFGISNLNKRGYDELLALAVSLHDYQGLDPGDLVWAKLTGHAMWPAVVVDESNVPANRALKPGRLDQSILVQFFGTHDFARIKLKQAVPFLNGLLSSLHLKCKQARFYRSLEEAKEFLCTQLLPENMLQLQKSMEKGSSDANSNKDVHSCDNLSEDKTAESGGDYDEMTPIELGNLRVSKLGRIVTDSDYFHNKKHIWPEGYTAFRKFRSVKDPHVVILYKMEVLRNSDIKARPLFRVTSEDGTQEKQRNVASGLDRDVCQGSGSYMFGFSNPQIRQLIQELPNARSCLKYFENAGDTFRGYRAVHVNWKDLDYCSVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLNGVLWLCNLCRPEAPRVSPRCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSRINKDRWKLLCSICGVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLDEDEDPCIRLLSYCKKHRQPSTERPSLESNLAKPAVVVQTDAVPPSGCARTEPYNIHGRRGQKQPQVMATASVKRLYVENMPYIVSGFCQNRVGHDAISEPIQSVGFLDVAHQEAVGNVSSMIEKYKSMKATFRRRLAFGKSRIHGFGVFAKVSHKAGDMMIEYIGELVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVLGDEHIIIFAKRDINPWEELTYDYRFVSSDQRLPCYCGFPKCRGVVNDVEAEGQSAKIRRRRGIYWCNGAEPEHLPRVAGPWLRPCVKKKKILILKS >ORUFI09G01680.2 pep chromosome:OR_W1943:9:2180493:2193906:-1 gene:ORUFI09G01680 transcript:ORUFI09G01680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVEGGFVHEEEEVDHPIRYLPLGRVYSSSAPCPLPKKPRSAEDGKPPVIVYYRRRRKKPRVEGPPPSPATAPPMLHPREDDEDEEVTRRKGSLKYELLSLGQAPPALGGDGEEPARRRCLRRSGGAERRGYFSEPKRRQRQGVHKEAASSAGRRWLELEIEAADPLAFVFWPLDEDWYKGSITGYNEATKKHSVKYDDGESEDLNLADERIKFSISSEEMKCRNLKFGISNLNKRGYDELLALAVSLHDYQGLDPGDLVWAKLTGHAMWPAVVVDESNVPANRALKPGRLDQSILVQFFGTHDFARIKLKQAVPFLNGLLSSLHLKCKQARFYRSLEEAKEFLCTQLLPENMLQLQKSMEKGSSDANSNKDVHSCDNLSEDKTAESGGDYDEMTPIELGNLRVSKLGRIVTDSDYFHNKKHIWPEGYTAFRKFRSVKDPHVVILYKMEVLRNSDIKARPLFRVTSEDGTQEKQRNVASGLDRDVCQGSGSYMFGFSNPQIRQLIQELPNARSCLKYFENAGDTFRGYRAVHVNWKDLDYCSVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLNGVLWLCNLCRPEAPRVSPRCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSRINKDRWKLLCSICGVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLDEDEDPCIRLLSYCKKHRQPSTERPSLESNLAKPAVVVQTDAVPPSGCARTEPYNIHGRRGQKQPQVMATASVKRLYVENMPYIVSGFCQNRVGHDAISEPIQSVGFLDVAHQEAVGNVSSMIEKYKSMKATFRRRLAFGKSRIHGFGVFAKVSHKAGDMMIEYIGELVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVLGDEHIIIFAKRDINPWEELTYDYRFVSSDQRLPCYCGFPKCRGVVNDVEAEGQSAKIRRRRGIYWCNGAEPEHLPRVAGPWLRPCVKKKKILILKS >ORUFI09G01680.3 pep chromosome:OR_W1943:9:2181356:2193906:-1 gene:ORUFI09G01680 transcript:ORUFI09G01680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVEGGFVHEEEEVDHPIRYLPLGRVYSSSAPCPLPKKPRSAEDGKPPVIVYYRRRRKKPRVEGPPPSPATAPPMLHPREDDEDEEVTRRKGSLKYELLSLGQAPPALGGDGEEPARRRCLRRSGGAERRGYFSEPKRRQRQGVHKEAASSAGRRWLELEIEAADPLAFVGLGCKAKGNVRGWDLNLAVYVFWPLDEDWYKGSITGYNEATKKHSVKYDDGESEDLNLADERIKFSISSEEMKCRNLKFGISNLNKRGYDELLALAVSLHDYQGLDPGDLVWAKLTGHAMWPAVVVDESNVPANRALKPGRLDQSILVQFFGTHDFARIKLKQAVPFLNGLLSSLHLKCKQARFYRSLEEAKEFLCTQLLPENMLQLQKSMEKGSSDANSNKDVHSCDNLSEDKTAESGGDYDEMTPIELGNLRVSKLGRIVTDSDYFHNKKHIWPEGYTAFRKFRSVKDPHVVILYKMEVLRNSDIKARPLFRVTSEDGTQEKQRNVASGLDRDVCQGSGSYMFGFSNPQIRQLIQELPNARSCLKYFENAGDTFRGYRAVHVNWKDLDYCSVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLNGVLWLCNLCRPEAPRVSPRCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSRINKDRWKLLCSICGVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLDEDEDPCIRLLSYCKKHRQPSTERPSLESNLAKPAVVVQTDAVPPSGCARTEPYNIHGRRGQKQPQVMATASVKRLYVENMPYIVSGFCQNRVGHDAISEPIQSVGFLDVAHQEAVGNVSSMIEKYKSMKATFRRRLAFGKSRIHGFGVFAKVSHKAGDMMIEYIGELVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVLGDEHIIIFAKRDINPWEELTYDYRFVSSDQRLPCYCGFPKCRGVVNDVEAEGQSAKIRVNRSELFQQ >ORUFI09G01680.4 pep chromosome:OR_W1943:9:2181356:2193906:-1 gene:ORUFI09G01680 transcript:ORUFI09G01680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVEGGFVHEEEEVDHPIRYLPLGRVYSSSAPCPLPKKPRSAEDGKPPVIVYYRRRRKKPRVEGPPPSPATAPPMLHPREDDEDEEVTRRKGSLKYELLSLGQAPPALGGDGEEPARRRCLRRSGGAERRGYFSEPKRRQRQGVHKEAASSAGRRWLELEIEAADPLAFVFWPLDEDWYKGSITGYNEATKKHSVKYDDGESEDLNLADERIKFSISSEEMKCRNLKFGISNLNKRGYDELLALAVSLHDYQGLDPGDLVWAKLTGHAMWPAVVVDESNVPANRALKPGRLDQSILVQFFGTHDFARIKLKQAVPFLNGLLSSLHLKCKQARFYRSLEEAKEFLCTQLLPENMLQLQKSMEKGSSDANSNKDVHSCDNLSEDKTAESGGDYDEMTPIELGNLRVSKLGRIVTDSDYFHNKKHIWPEGYTAFRKFRSVKDPHVVILYKMEVLRNSDIKARPLFRVTSEDGTQEKQRNVASGLDRDVCQGSGSYMFGFSNPQIRQLIQELPNARSCLKYFENAGDTFRGYRAVHVNWKDLDYCSVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLNGVLWLCNLCRPEAPRVSPRCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSRINKDRWKLLCSICGVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLDEDEDPCIRLLSYCKKHRQPSTERPSLESNLAKPAVVVQTDAVPPSGCARTEPYNIHGRRGQKQPQVMATASVKRLYVENMPYIVSGFCQNRVGHDAISEPIQSVGFLDVAHQEAVGNVSSMIEKYKSMKATFRRRLAFGKSRIHGFGVFAKVSHKAGDMMIEYIGELVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVLGDEHIIIFAKRDINPWEELTYDYRFVSSDQRLPCYCGFPKCRGVVNDVEAEGQSAKIRVNRSELFQQ >ORUFI09G01690.1 pep chromosome:OR_W1943:9:2213759:2218988:-1 gene:ORUFI09G01690 transcript:ORUFI09G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLLALLALEHLALDPAAPSPHTTVVQASVVIVLERASERWVQGHRSVGSGSVPRRLVLTNGVAAAVIITITDLFSQRHKKSPRRLMLTNGVAAAAIITMTDLSSQHDD >ORUFI09G01690.2 pep chromosome:OR_W1943:9:2213759:2218988:-1 gene:ORUFI09G01690 transcript:ORUFI09G01690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLLALLALEHLALDPAAPSPHTTVVQASVVIVLERASERWVQGHRSVGSGSVPRRLVLTNGVAAAVIITITDLFSQRHKKSPRRLMLTNGVAAAAIITMTDLSSQVGFMYLLTRSAHDD >ORUFI09G01690.3 pep chromosome:OR_W1943:9:2213759:2218774:-1 gene:ORUFI09G01690 transcript:ORUFI09G01690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAISKEAIAQGHRSVGSGSVPRRLVLTNGVAAAVIITITDLFSQRHKKSPRRLMLTNGVAAAAIITMTDLSSQVGFMYLLTRSAHDD >ORUFI09G01690.4 pep chromosome:OR_W1943:9:2213759:2218774:-1 gene:ORUFI09G01690 transcript:ORUFI09G01690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAISKEAIAQGHRSVGSGSVPRRLVLTNGVAAAVIITITDLFSQRHKKSPRRLMLTNGVAAAAIITMTDLSSQHDD >ORUFI09G01690.5 pep chromosome:OR_W1943:9:2213759:2218988:-1 gene:ORUFI09G01690 transcript:ORUFI09G01690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLLALLALEHLALDPAAPSPHTTVVQASVVIVLERASERWVQGHRSVGSGSVPRRLVLTNGVAAAVIITITDLFSQHDD >ORUFI09G01700.1 pep chromosome:OR_W1943:9:2233179:2234227:1 gene:ORUFI09G01700 transcript:ORUFI09G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRGFAAAAAPSTCWGIGGFVFGNERSESKEDSYVERFLDCISNGTIPDDSRSAMTELQPLVAESHSAQMSFGAMGLFSSTF >ORUFI09G01710.1 pep chromosome:OR_W1943:9:2247731:2249697:-1 gene:ORUFI09G01710 transcript:ORUFI09G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLLRTEDNDARLHLQFIRCSPKTTTPACFCLPSPTSINRVAACCPPKTATPACFCLLSPISINSVDPVHQMRLFLQNNWHPEVLLAGNKPAARSSTAGPRSPPPPISA >ORUFI09G01720.1 pep chromosome:OR_W1943:9:2250514:2253005:1 gene:ORUFI09G01720 transcript:ORUFI09G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGAKKKKPAAAASASAAATKSSPAATEPKAPTQSQPPAANGAAPHLVDPAVLLRRAHELKEEGNRLFQSRDYGGALRQYELALRLAPRGHPDRAVFHSNRAACLLQLRPVDHKAVAEECSLALQAEPLFPRALLRRARALEALGRHELALTDALALLALDPDHQDAVDLVHRLRSRILSPSSSASGSATSTPEPTSRPSPAALGASAVVAGLGPSLPARPFPKKPSPPPPPAQQQQSVPPMSKFNPSPPSPKLVPFSNSPPSSANASAAESSQKVTPTPLVPSSLSLKDKALMDKKVVTRSRPLKLVYDHDIRLAQMPEKCSFRTLREVVASRFPSSKAVLIKYKDADGDLVTITCSAELRLAESCVDIAGSEVIEDGARHGQKLPMLRLHIVEVSPDQEPPMPTEEEKLEQDNELLVKGEDNSPHASAAVVTDAEVTKQDVENVIAEAEQNTLTGKKDCGHAECKEAEIDDWLLQFADLFRNQVGVDADAHLDLHELGMELCSEALEETVTSEEAQALFEMAAAKFQEVAALALFNWGNVHMCAARKRIPLDESAPKKVMSAQLCTAYDWVRDRYALAGSKYEEALKIKPDFYEGLLALGQQHFETAKLHWSFALADKVDLSAWDSSQTFKLFDSAEHKMRAATEMWEKVEEQRMAELKEPSSEALKKRKKQHNADGQGELTPEEAAEQAAVMRQQIHLFWGNMLFERSQVEFKLDIADWKKNLDASIERFKLAGASESDISAVLKNHFSNTVSECEDKKIMTLDTGISQISNNIEDKCILES >ORUFI09G01730.1 pep chromosome:OR_W1943:9:2253776:2255887:-1 gene:ORUFI09G01730 transcript:ORUFI09G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTIRRCRPSPAGRGHIAAQSPIPIFPSRCLAISRSPRPFLQIRAKSCSPSSAPPLGSRSYAVSLQPSTAREDRPGAEVGRRGAHRRLARPSLPRAPTSRFRADCRYSSGLAEPKQEASTKVMKGTFLQQELLKSGFCDLS >ORUFI09G01730.2 pep chromosome:OR_W1943:9:2253963:2255887:-1 gene:ORUFI09G01730 transcript:ORUFI09G01730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTIRRCRPSPAGRGHIAAQSPIPIFPSRCLAISRSPRPFLQIRAKSCSPSSAPPLGSRSYAVSLQPSTAREDRPGAEVGRRGAHRRLARPSLPRAPTSRFRADCRYSSGLAEPKQEASTKVMKGTFLQQELLKSAIKSS >ORUFI09G01740.1 pep chromosome:OR_W1943:9:2261846:2267781:1 gene:ORUFI09G01740 transcript:ORUFI09G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEATCSLVLTQDAQHRKNQPPLAEEDDDRDHTDDAMPPPCSILLRQEEGEATAAAAGEGLLVPPLNFAMVDHGVYRSGFPDISNLPFVESLRLRSVLCLCPEPYPEANQEFLRAHGIRLFQFGIDGSKIRYPPPTGTAGYYYGGISTFGPGGTGVFYPGAWVAEPFVNIPEDRIREALKVVLDVANHPVLIHCKRGKHRTGCVVGCLRKLQRWCLTSIFDEYQRFAAAKARVSDLRFMELFDISSLKHLPASFSC >ORUFI09G01740.2 pep chromosome:OR_W1943:9:2261846:2267391:1 gene:ORUFI09G01740 transcript:ORUFI09G01740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEATCSLVLTQDAQHRKNQPPLAEEDDDRDHTDDAMPPPCSILLRQEEGEATAAAAGEGLLVPPLNFAMVDHGVYRSGFPDISNLPFVESLRLRSVLCLCPEPYPEANQEFLRAHGIRLFQFGIDGSKEPFVNIPEDRIREALKVVLDVANHPVLIHCKRGKHRTGCVVGCLRKLQRWCLTSIFDEYQRFAAAKARVSDLRIGPEL >ORUFI09G01740.3 pep chromosome:OR_W1943:9:2261846:2267781:1 gene:ORUFI09G01740 transcript:ORUFI09G01740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEATCSLVLTQDAQHRKNQPPLAEEDDDRDHTDDAMPPPCSILLRQEEGEATAAAAGEGLLVPPLNFAMVDHGVYRSGFPDISNLPFVESLRLRSVLCLCPEPYPEANQEFLRAHGIRLFQFGIDGSKEPFVNIPEDRIREALKVVLDVANHPVLIHCKRGKHRTGCVVGCLRKLQRWCLTSIFDEYQRFAAAKARVSDLRFMELFDISSLKHLPASFSC >ORUFI09G01750.1 pep chromosome:OR_W1943:9:2270493:2272244:-1 gene:ORUFI09G01750 transcript:ORUFI09G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPHSPPPPAAADALGQILQALLPALLLAAESVKALHARWRAVHGTLLALQTSLAAAPDSAVSHPLFADLVASLLPALRSLQALSARCQDPSLPGGRLRLQSDLDIAASSLSLLLHDLSLLLRSGILYVDPSASSPNAIVLQVPAPAASRADKSLFIRDAFARLQIGGLDLKLKALASLLDLLANDIAAESAHIVATDGDVAALLRLLDASSHSALRDRAAAAVAHLATACVASRKVVFDEGGLGPLLRVLDSGSAPATRERAVAAIEAITADVGSAWAVAAYGGVPILINACRPGSGSPVVQALAVAALKNVASIEDVRSALVEEGGLPILVDLLASGTIDAQKGSALCIWSLASLGDHEIQYQIVQAGALLPLLQALHTASGLDLHDTVLRAIHALAVVPAAARTLCSSPLFFAQLTDLMCRGGSILLQQMAADMVAELAPGVSDDTKRCMAPCICMLVKMMETAKPATVQESAGRALLALMTLKFNRKELVRDEKSVTRLLHMLDPRNEEIDKKYPVSVVLALALGGGNGTRRRLADSGICQHLQKLAEAEVPGAKKALQRISGNRLKSLLSRGWNN >ORUFI09G01760.1 pep chromosome:OR_W1943:9:2276399:2276701:-1 gene:ORUFI09G01760 transcript:ORUFI09G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPHPQLGAAAASRCAGNRAGDGVDDNVRATVTARTTAVVAHDENLDDDPGCEGGGGGGPESMPLAKSAQGRRSRGGGGAAPNPCRRQNPRGAEIAWR >ORUFI09G01770.1 pep chromosome:OR_W1943:9:2277291:2277563:-1 gene:ORUFI09G01770 transcript:ORUFI09G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRAHEPGGDGGGGYPRARVGRRRRQRIPMRTSREVMVAIDDADDYGDDAAGDDGDRKVCGWREAALAPLSSVPSSILRAASVSSVPL >ORUFI09G01780.1 pep chromosome:OR_W1943:9:2400492:2405351:-1 gene:ORUFI09G01780 transcript:ORUFI09G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFSGLNALYDTVGGGGGDIWVNDYRFRVVRRLGDAGPAGSFVFLVKEVVAAATASDGTGGAVPGASGLAKKKGIDPSHISADGTYALKKVLIQNEQHLEQVRQEIRVSSQFSHPNLLPLLENAIIAVKGVQDGSQNHEAYLLFPVHLDGTLQDINKNMLEKKEYFPTISILQIFRQLCAGLKHMHSFDPPYSHNGVKPDNVLITQRKDQPHLAILMDFESARPARIAIRSQADAMQLQEWASEHCSAHYRAPELWECPTHADIDERTDIWSLGCCLYAMMYGKSPFDYELDEAAGESLQSVTKSAQIKWPTEAGPSYPDSLRQFVTWMLQPHPAVRPHIDDIIIHVDKLIAKYSI >ORUFI09G01790.1 pep chromosome:OR_W1943:9:2409041:2409978:-1 gene:ORUFI09G01790 transcript:ORUFI09G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASHGRIGAAIEMSQIRHLPSTVPHRDPHRWLNTAGSGGVDDTDRAPSIHTNPTTSAAASCYSVTGWIEEHPS >ORUFI09G01790.2 pep chromosome:OR_W1943:9:2409041:2409978:-1 gene:ORUFI09G01790 transcript:ORUFI09G01790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASHGRIGAAIEMSQIRHLPSTVPHRGFDPFPTDPHRWLNTAGSGGVDDTDRAPSIHTNPTTSAAASCYSVTGWIEEHPS >ORUFI09G01800.1 pep chromosome:OR_W1943:9:2432798:2434876:1 gene:ORUFI09G01800 transcript:ORUFI09G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASPESSSDSCVSVVGGEQRYQRHLKHRGWQDSERRLRIWGSDGSFSLLPKAVAKDVSKLLLALCAAGQRRQGIQEGQNK >ORUFI09G01800.2 pep chromosome:OR_W1943:9:2432718:2434876:1 gene:ORUFI09G01800 transcript:ORUFI09G01800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNTAPKTFSPVGRRLGRSIDYWMAPCGCDTPHPHTPPPCGTVGCPFVADIHPIAGSRRASPESSSDSCVSVVGGEQRYQRHLKHRGWQDSERRLRIWGSDGSFSLLPKAVAKDVSKLLLALCAAGQRRQGIQEGQNK >ORUFI09G01800.3 pep chromosome:OR_W1943:9:2432718:2434863:1 gene:ORUFI09G01800 transcript:ORUFI09G01800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNTAPKTFSPVGRRLGRSIDYWMAPCGCDTPHPHTPPPCGTVGCPFVADIHPIAGSRRASPESSSDSCVSVVGGEQRYQRHLKHRGWQDSERRLRIWGSDGSFSLLPKAVAKDVSKLLLALCAAGQRRQGIQEVPAMLDPGLPILIPNATATQSCSTLVGWRASRLHYNIRPSKMDPAVKRRIC >ORUFI09G01810.1 pep chromosome:OR_W1943:9:2517113:2533212:-1 gene:ORUFI09G01810 transcript:ORUFI09G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLEPEFPGLPQRCDSCRSAPCAFYCLADSAALCATCDADVHSVNPLARRHRRVPMGVVAAPGAGGAFVVRPAGGVNSSWPIREGRRCDYDDDDADAAGEEDEEATSWLLFDPLKDSSDQGLPPFGDALVADFLNLGGGAGEKEDASSSKDCSSSHGKSSEGSHEFAVPGEPVPERQGFGAVSMDITDYDASNFRRGYSFGASLGHSVSMSSLENMSTVPDCGVPDITTSYLRSSKSTIDLFTAAAGSPVAAHSIMSPPQFMGAIDREARVHRYREKRKTRRFEKTIRYASRKAYAETRPRIKGRFAKRSDTDLEVDQYFSTTADSSCGVVPTF >ORUFI09G01810.2 pep chromosome:OR_W1943:9:2517113:2530446:-1 gene:ORUFI09G01810 transcript:ORUFI09G01810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYTGNLKDWVLAVVSMSSLENMSTVPDCGVPDITTSYLRSSKSTIDLFTAAAGSPVAAHSIMSPPQFMGAIDREARVHRYREKRKTRRFEKTIRYASRKAYAETRPRIKGRFAKRSDTDLEVDQYFSTTADSSCGVVPTF >ORUFI09G01810.3 pep chromosome:OR_W1943:9:2531054:2533212:-1 gene:ORUFI09G01810 transcript:ORUFI09G01810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLEPEFPGLPQRCDSCRSAPCAFYCLADSAALCATCDADVHSVNPLARRHRRVPMGVVAAPGAGGAFVVRPAGGVNSSWPIREGRRCDYDDDDADAAGEEDEEATSWLLFDPLKDSSDQGLPPFGDALVADFLNLGGGAGEKEDASSSKDCSSSHGKSSEGSHEFAVPGEPVPERQGFGAVSMDITDYDASNFRRGYSFGASLGHSPPATTKLVARASVDGRSTGGLVDGRSTGDSRGGGSGGGDSRGGGSGGDDSRGGGSGGGDSRGGRSNGGDSRGGVAQRRRLAGRRGAASPATVTRRRGDSWGGVARRIQRRRLALRGGRSDSHRWMAARRRGAVAGLYGSDPPPRRPWASLSPAMEDGHAGDFDFFFQNLDSNFLLF >ORUFI09G01820.1 pep chromosome:OR_W1943:9:2528697:2530332:1 gene:ORUFI09G01820 transcript:ORUFI09G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRCNTGLYEFSQQMVFDGYGRTDEASFVRKNLQRFAMALPRKAANLSCLLWDFLSAVLS >ORUFI09G01830.1 pep chromosome:OR_W1943:9:2540206:2542041:-1 gene:ORUFI09G01830 transcript:ORUFI09G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGHLAAPLLDGGGGVDDAALLRRLYVGHFLARWGARMWEFSVGLYMIRIWPGSLLLTAVYGVVEASAVAALGPIVGAVVDRLAYLQVLRLWLLLQGASFVAAGVSVTALLVYGARLAAAGFPAFVALVVVTNVSGALAALSTLAGTILIEREWVVVIAGGQPAAVLTGINSVIRRIDLSCKLLAPVLSGFFISFVSMEASAAALAAWNLAAVWVQYWLFVSVYAGFPALSETSQISRRRADDDEAAAAAQPQKVERLWMTMLPCWESWAVYARQEVVLPGVALAFLYFTVLSFGTLMTATLDWEGIPAYVISLARGVSAAVGIAATWVYPAAHARVSTLRAGLWSIWAQWCCLLVCVASVWAGGAAPLASAWMLMGGVAASRLGLWMFDLAVMQLMQDGVPESDRCVVGGVQNSLQSMFDLLTYVMGIIVSDPRDFGELIVLSFFLVTCAAAMYTMHVYRVRKHLFHLDRILPKMNWIKAS >ORUFI09G01840.1 pep chromosome:OR_W1943:9:2549404:2549592:1 gene:ORUFI09G01840 transcript:ORUFI09G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILRTSKCDNKVARQLDDEVVWHEEARCKGDVVTLRQRAGEVKRPHGDDDEEATQRRARW >ORUFI09G01850.1 pep chromosome:OR_W1943:9:2551350:2555499:1 gene:ORUFI09G01850 transcript:ORUFI09G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLLLLVLALLAAAAADAEPVLRTVTGVPTGVSSGGGGGGGESSDELYCDGWRLSVETGNAGPWTAIPPRCLEFVRAYMEGERYASDSAVAAADSLAFAARALASGGGGARPAWVFDVDETLLTNAPYYAVNGWGSLEFNETSFDEWVDVAKAPALPASLKLYNELQGLGIHIILLTGRSEFQRNATQVNLLFAGYHSWEKLILRQSPDIGKTAVQYKSERRAALEAEGFKILGNSGDQWSDLLGLPMATRSFKLPNPMYFIS >ORUFI09G01860.1 pep chromosome:OR_W1943:9:2554868:2556154:-1 gene:ORUFI09G01860 transcript:ORUFI09G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIARRRGGGDQGGVAAAAGGDGEAAASGFSRGDSSATTTLRSPASSSLTDDGGEVTSWTSADGGGGGDYCSFSCSSESELELESDDDDDEEEEEMMQLDGGGHAAGGPLYELAAPLLAQLPLRAIQVLPREVPILHIALQRQVRPRPCKEDNPLHHQDEAAAAQRPWSRGSVVEFASRSRALQQDDGEEGDEVLVRSIAVKSKGAQASSQQQQHTCTTEQERAVKMLVERQYRGTEKKMEMRFFNKMNSSAISTQLHWS >ORUFI09G01870.1 pep chromosome:OR_W1943:9:2575860:2576219:-1 gene:ORUFI09G01870 transcript:ORUFI09G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLAAAQRWRLPAGGGGCMGGDVSPPSSQIRLRQRRCRGGGYRREAEGAWAVASPLPPPRSSGGGAQRTVTVEGGAGEEVAATDGGEGFMGGSVSPPLLDLVEAASVGSGDLASGSG >ORUFI09G01880.1 pep chromosome:OR_W1943:9:2578738:2585794:1 gene:ORUFI09G01880 transcript:ORUFI09G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACNAAAAAAVAEQPQKQYGITKPISLAEPAEVDLQKTAELEKFLVEAGLYESPEESARREEVLGELDKIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILAQTEEVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPPDLDISQGSVLYDVDEVTVRSLNGCRVADQILRLVPNVEDSSLMMSICLMVELSNNAKVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIEEDELGFPVWDPRKYHRDRSHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQEIDISKANWSALFEPFQFFEAYKNYLQVDIIAEDGEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGAKIQEGQQFDIRGTVDEFRHDIGMYGYWRPGMELAVSHVRRKQIPSYVFPEGYKRPRPSRHINHPQQSNKNDVEDGTANRSPDGQPKRKHDTAGVYDSEPGRSVKRASISPSISPVHQKTSSPPSGNIADASGASGGSPVSLANGNLEQANCLNSPLASEKSLDSVTSGSKCVGVEAVCPSDATKEHDNCGSNMKNCTTTTVAVSLKRVAEKVVSELVGSESLGGNKSGELLERAEDMGSALVENVHFGGNGVVQTGLPEELEVFSGSLLFEIKMSLYMI >ORUFI09G01890.1 pep chromosome:OR_W1943:9:2589661:2589933:1 gene:ORUFI09G01890 transcript:ORUFI09G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLSQPYLLISPPLQSYLIIFYNPSPFSSSLLLPYGRGGSLPLPSDAGGMPPRAAAHKAVAVRVAARRRAVAVDFLLRICDEFAFENL >ORUFI09G01900.1 pep chromosome:OR_W1943:9:2594797:2595093:-1 gene:ORUFI09G01900 transcript:ORUFI09G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVHWQRRNESGGWAAATASPLLDPGGEAAASSGRGGDGGGASKPVAAAHDEVAGEPGYGGGLLSAGSGRGSGSQWWEGRRWQQGEQTSGLQRRRR >ORUFI09G01910.1 pep chromosome:OR_W1943:9:2598959:2599177:-1 gene:ORUFI09G01910 transcript:ORUFI09G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQWRHRIWCSVSWEHWIRRRDEGDQKDGSADGAGSGVTLLGSPRSDGGSEGDKRSDSGGGRSGAVVLMTF >ORUFI09G01920.1 pep chromosome:OR_W1943:9:2613180:2614895:1 gene:ORUFI09G01920 transcript:ORUFI09G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTTSAPSVPEVATPADGGGHHVYVSLPQCTDGGDVEGGHCRPVVHQVKCRGGDDDGGGGGRGGGVVMPAAGETVREAAALCRLACPIALTALMLYSRSALSMLFLGSLGDLPLAAGSLAVAFANITGYSVLSGLSLGMDPLCSQAFGARQPRLLGLTLYRSVLFLLCCSLPLSALWLNMAKILLFLGQDRDITAMAQDYLLFSLPDLFSFSLIHPLRVYLRSQGITQPLAVAAAAAVVFHVPANYVLVGRLRLGAPGVAAAASASNFVLLAVLLAYVARRDEALREAGGPTAEWLAGWGPLARLAAPSCVSVCLEWWWYEVMILLCGLLPEPRPAVASMGVLMQTTALVYVFPSSLGFGVSTRVGNELGANRPGRARAAAHVAVAGGAALAAMGLAAMAFAAGMRHAWGRLFTADADILRLTAAALPVVGLCELGNCPQTVGCGVLRGTARPARAAHVNLGAFYLVGMPVAVVLAFGLGVGFVGLWVGLLAAQVCCAGLMLCVVGSTDWEAQARRAQALTSSAAVSGKADAAEGGGRWPEKGEHQEGEKRRHVALISSEEADPETAEVL >ORUFI09G01930.1 pep chromosome:OR_W1943:9:2646761:2649514:-1 gene:ORUFI09G01930 transcript:ORUFI09G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPHLVHTILLAQSLLLFTCLFLHSNCETITRDDEKAILLSLERSWGGSVTVNWSSVIYEDQCNWPGINCTDGFVTGISLADHGLNSLPAAICTLMKLSHIDLSRNSISGSFPTALYNCSSLRYLDLSYNSLVNSLPSNIDRLSPRLVYLNLASNSLSGNIPSSIGQLKVLTNLYLDANQFNGSYPAEIGNISALRVLRLGDNPFLSGPIHPQFGNLTNLEYLSMSKMNIIGKIPDAMSKANNVTFFDLSGNHLSGSIPGWIWSLKRLVTLQLYANYLSGQINAPIEATNLVEIDISSNYLSGQIPEDIGQLEELERLFLSYNHFTGSIPDSVALLPKLINVQLSKNSFEGILPQELGKHSLLFNLETHYNNFYGTLPEGLCSKGALVYISMSANMFSGELPASLLRCNSLNYVWLSNNNLSGAFPAGLTEVQIQEVNLSGRLPSNWASNLVEIDLRNNKFSGRLPNTIRWLKSLEVLDLSENRFSGPIIPEIEFMNLTVLNLSDNQFSGQIPLLLQNEKFKRSFLSNPGLCSSNHFADYPVCNERHLKNRLLIIFLALGLTSVLLIWLFGLLRIKVLPRRQNENTTTPRWKLTAFHNINFNYQDIICGLADNNLIGSGGSGKVYKICLHNNSYRFVAAKKIVSDRSRSNMLEKHFQAEVEILGSIRHANVVRLLSSMSSTESKVLIYEYMENGSLYQWLHQKDMRNNNEPLSWPRRMSIAIDAARGLCYMHHDCSPPIAHCDVKPSNILLDYEFKAKIADLGLARALAKAGEPESISAMVGSFGYMAPEFGSSRKINEKVDVYSFGVVLLELTTGRFANGGGGYENLAQWAWRRFQDEDFQLIDVIDGDIQDPAYLQEVQLVFKLGLICTGTKPLSRPSMKEVLQVLQR >ORUFI09G01940.1 pep chromosome:OR_W1943:9:2654281:2655922:1 gene:ORUFI09G01940 transcript:ORUFI09G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTCLLPVVIGGVLLLSAGECSSQLASGDRDTLVAIRKGWGNPRRLASWDPDSASDHCSWDGVTCSDGGGGGGLTWTLPAAMCDFVNLTRLDLSNTGLPGTFPGATLYRCSQLRFLDLANNTLHGALPRDIGNLSPVMEHLNLSWNSFSGAVPPGVAALPALKSLHLNSNRFTGVYPAAEIGKLAGLECLTLADNAFAPAPVPVAFAKLTKLTYLWMSDMSIIGEIPEALSSLTELTLLDLSSNNLTGAIPAWVWRHEKLECLYLFNNSLTGELPRNVMTENLIEIVLSMNQLRGEMSEDFGNLRNLTLLSLYMNNLTGTIPASIGLLPKLSTIWLDNNNLFGELPPELGKHSPLSSIGISNNNLSGPLPETLCANGELYGIYASNNNFSRNLPANLGDCVLLQELVLDNNRFSGDFPEKIWLLPELEIVMIPNNNFTGVLPAVLSSNIQHIEMGNNMFSGSIPRTAIGLLVFTAENNQLDGELPADMSKLANLIELKVPDNRITGPIPASIKLLLNLKSLNLSGNQLIGAIS >ORUFI09G01950.1 pep chromosome:OR_W1943:9:2670909:2685566:1 gene:ORUFI09G01950 transcript:ORUFI09G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSYASASSGELGVVAGGGGRSVRVIPLRHPQEAVAGSSPSWWSVVMVKARGMGPRDWAEAALPCLSWMRTYRLKEDLQADLAAGITVGVMLVPQAMSYAKLAGLHPIYGLYTGFVPLFVYAIFGSSRQLAVGPVALVSLLVSNVLGGIVDSSSELYTELAILLAFMVGVLECLMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQIKYFLGYSVTRSSKIIPLIESIIGGIDQVGFAVSVRSAYRLFIFPFKFFYAQCMFQCNPILQESVGIAKALAAKNGYELDPNKELFGLGIANICGSFFSSYPATGSFSRSAVNHESGAKTGLSGIIMGIIIGGALLFMTPLFTDIPQCALAAIVISAVTSLVDYEEAIFLWSIDKKDFFLWAITFITTLIFGIEIGVLVGVGFSLAFVIHESANPHIGQKAVLGRLPGTTVYRNRLQYPEAYTYNGIVVVRIDAPIYFANISYIKDRLREYELNLPNSNRGPDVGRVYFVILEMSPVTYIDSSAVQALKDLYQEYRDRHIQIAIANPNRQVHLLLSRSGIIDMIGTGWCFVRVHDAVQVCLQKVQSSSSSSIKLSPQASGDLADSVTTPKVQQRYSFLKNLWKSQVGDGCTGSEVQPLLRQNLV >ORUFI09G01960.1 pep chromosome:OR_W1943:9:2699083:2705077:1 gene:ORUFI09G01960 transcript:ORUFI09G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQLRNAIVKHIFLLSLFLKISLPYLRHCGREIRCIFPAVLHQKLPAKRPFPRGGTRQNPPKCLQPKPHPAYRQSLETPNKFLNTRRHHSFSLVGEESLVVVVGVTMKGRAVKLREAHKAGSPVFCSVAWGQGGQHVVTASAADVAILIHDAAAVAAAGGRSSGSAAAAALSTIRLHKDGVTALAVAPGSGASLASGSIDHSVKFCSFPEGVFQSNIARFTLPIRSLAFNKKGTLLAAAGDDDGIKLIATIDNTISKVLKGHKGSVTGLSFDPRNDYLASIDTFGTVIFWDLCTGTEARSLKRIAPTFGSDHSINNALCWSPDGQFLAVPGLRNNVVMYDRDTGEEVFTLKGEHEQPVCSLCWSPNGRYLVTAGLDKQVLIWDVKSKQDVERQKFDERICSLAWKPEGNAVAVIDVTGRFGIWESVIPSTLKSPTEGAPDLNSTKVPLFDDEDDEERPSTSGGLDDDDDDESLGELGPFNHKRLRRKSTYHDHSNGDSEDEDLILQMESRKRMKDTHRDNKEVADKAIGDSATSVRLVTARMQTAFQPGSTPPQPGKRNFLAYNMLGSITTIENEGHSHVEVDFHDTGRGPRVPSMTDYFGFTMAALNESGSVFANPCKGDKNMSTLMYRPFSSWAGNSEWSMRFEGEEVKAVAVGVGWVAAVTTLNFLRIFTEGGLQMHILSVGGPVVTAAGHGDQLAIVSHASDCLSSGDQVLDVKVLKISECAQSLSSRLVLTPASKLSWFGFSENGELSSFDSKGILRVFSGQFGGSWIPIFSSIKARKSEDESHWVVGLDANNIFCILCKSPESYPQVMPKPVLTILELSFPLASSDLGANSLETEFMMRKLHLSQIQKKIEEMAALGLDTIALDDEAFNMEAALDRCILRLISSCCNGDKLVRATELAKLLTLEKSMKGALMLVTRLKLPILQERFSAILEVKFTTLILNLRTFSTLKMAHSIFKNLSYVQLKVMQWLLVGDDAKQCKNCQYICLKLPTLPKLNPAAQRSNPTESNKAEVEQADNLKEISTKVSPAQTPLVKIPKNSEMGVKTKKDNDGASHATTVDQNPKGGSGQVGLKNKSVDSCNGVQPQRPVNPFAKSSSSKEQPSSLFDSIKKMKVENEKVDKANSKKVKV >ORUFI09G01970.1 pep chromosome:OR_W1943:9:2711157:2711939:1 gene:ORUFI09G01970 transcript:ORUFI09G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPLECKFQVSADIGDFTLYQFKHVTFDSWWSDWSKHLFSAPSFYYLTKIDNRIKISKPLAPKRSLSDRPIFYAQILPSPIISSAPSIETLMKTLTGVPAADLPNFGKRKDPSSRIAGLSPKRAKADDSAGTSESVIVDRPIGPIGTRTVPIGSSCSVCPSRYRRNYIHLF >ORUFI09G01980.1 pep chromosome:OR_W1943:9:2719168:2720488:1 gene:ORUFI09G01980 transcript:ORUFI09G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCVRSESGGVKKPMTSPPIDAVGVDLLRKILLRLPNMASLVNAALSCKRWRRAASDPAILQRFLPLRRPPLVGFILTDRGDKPVPRHCPNIYFVRTTAPFRCSFHMVRYAIVVDDADASFRVIGICDDTSAAMFSSRTNKWTLFDFDAEADLCYRFTDRDGMSAGRFVYWRSNTKNNKNVERILLLDVGTMNWTVIVAPFQVGESYCVADMAEHGGLCLVSSQEQNLQLWVRSSGSGTINGGWLLKKEISLLHQFGYLKKLRSEEWMKRVRVLAAKAGYVYMEFWSIRKSNSYLLVLNLSTMKLEMFRNGSDEPFRGPAFPFLLRLAPLTTPSWDDANDLQVPSG >ORUFI09G01990.1 pep chromosome:OR_W1943:9:2722398:2727835:1 gene:ORUFI09G01990 transcript:ORUFI09G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRKREVAPPPQAVVSSTAPFAVPTSQAAPYPYGGLGFPPPPTAWLASPQPQAMPGSSAFHPPAAGKTDVHPDLEEWYVCQLALTTMSDSSSNSNSSSYSNDLDPSKFLDKYISDQNVLDSFATRIVEKIKTKFAAGLLKRQSGTRKTIARDHEEGHRRLVADYFANQPLYPERMKMFSCPIHGAELLTANDGSSGNGHMMQWFNEDQPTDNLLYEQLAAALAIKWSVAGNGLYY >ORUFI09G01990.2 pep chromosome:OR_W1943:9:2721947:2727583:1 gene:ORUFI09G01990 transcript:ORUFI09G01990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKSSCSKIPHRHIPPLPISLFRRPPNRQPPRPQTRSSSTLYNLSRPRKKFLPKTLVPHHQHHVSSEEEGGSAASSGRRELYCSIRCSYVAGSAISIWGSRVPAATDSLACFSTATSHAWLFCFPSSCGRQD >ORUFI09G01990.3 pep chromosome:OR_W1943:9:2722398:2727583:1 gene:ORUFI09G01990 transcript:ORUFI09G01990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRKREVAPPPQAVVSSTAPFAVPTSQAAPYPYGGLGFPPPPTAWLASPQPQAMPGSSAFHPPAAGKTDIKTKFAAGLLKRQSGTRKTIARDHEEGHRRLVADYFANQPLYPERMKMFSCPIHGAELLTANDGSSGNGHMMQWFNEESIFD >ORUFI09G01990.4 pep chromosome:OR_W1943:9:2721947:2727583:1 gene:ORUFI09G01990 transcript:ORUFI09G01990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKSSCSKIPHRHIPPLPISLFRRPPNRQPPRPQTRSSSTLYNLSRPRKKFLPKTLVPHHQHHVSSEEEGGSAASSGRRELYCSIRCSYVAGSAISIWGSRVPAATDSLACFSTATSHAWLFCFPSSCGRQD >ORUFI09G01990.5 pep chromosome:OR_W1943:9:2725365:2727583:1 gene:ORUFI09G01990 transcript:ORUFI09G01990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSSNSNSSSYSNDLDPSKFLDKYISDQNVLDSFATRIVEKIKTKFAAGLLKRQSGTRKTIARDHEEGHRRLVADYFANQPLYPERMKMFSCPIHGAELLTANDGSSGNGHMMQWFNEESIFD >ORUFI09G01990.6 pep chromosome:OR_W1943:9:2721947:2725089:1 gene:ORUFI09G01990 transcript:ORUFI09G01990.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKSSCSKIPHRHIPPLPISLFRRPPNRQPPRPQTRSSSTLYNLSRPRKKFLPKTLVPHHQHHVSSEEEGGSAASSGRRELYCSIRCSYVAGSAISIWGSRVPAATDSLACFSTATSHAWLFCFPSSCGRQD >ORUFI09G02000.1 pep chromosome:OR_W1943:9:2743086:2745416:1 gene:ORUFI09G02000 transcript:ORUFI09G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVRRERGAVKKPTISSPFDAVSEDLLRLPNMASLVNAALACKRWRRAASDPAIFRRFFPLRRPPLIGFILTDRGDSVPYSCPNHYFVSATTRKPNLASAAADCDIFFEDVPDIDSGEQRGGGYFDEWRLRGCDGGRLLLSRGCGGFDSPSTIPSRGLPSSSPPQNFHGSFLKVRYAIVVDDADASFGVIGIDGDMFFAVFSSSTGKWALFDHTADLYEFTRSDGMPAGRFVYWRSNNKKCSHHGVDGHRGAVSGGVVVLHRRLGGARPAVPRVQQGTKPSALGPQRQQQWWMEISLLDQFGYLKKLRREEWMKRVRVLAAKTGYVYMEFWSIRKPNSYLLVLNLNTMKLDIICNDADEPFRGPALPFFLRLAPLAPSPDDTNDLHVPSASA >ORUFI09G02010.1 pep chromosome:OR_W1943:9:2746345:2746850:-1 gene:ORUFI09G02010 transcript:ORUFI09G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFRGHNGEDDFGPIVNASSQRQGTKGGEEDRDVVPTAWWRRSGVGVEIDGAVMIPTLAVVEKEHVGNPPDHREAFGAKLAALVL >ORUFI09G02020.1 pep chromosome:OR_W1943:9:2747789:2751296:1 gene:ORUFI09G02020 transcript:ORUFI09G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGSGSVPTAKRRRLVPRPPPVPLEVAGARGPYMPPLCIKSKNPSAKCYGDRFIPDRSAMDMDMAYFLLTEPKKEKENTDMLSPAEEAYKRLLAEKLLNNRSRILAFRNKPPEPEGIVQQLLYETLTSSQTKPARKCRHIPQSSERTLDAPGIVDDFYLNILDWGCKNVMSIALGNTLYLWNSADGSIMDLVTIDEDDGPITSVSWSCDGQWIAVGLNSSDIQLWDTSSNRMLRTLHGVHQSRVGSLAWNKNILTTGGMDGNIVNNDVRMRSHVVHIYRGHEDEVCGLRWSGSGQQLASGGNDNLVHIWDVSMASSNLSLGHNRWLHRFGDHLAAVKALAWCPFQSNLLASGGGGDDRCIRFWNTHTGLCLNSVCGLLWNKNEKELLSAHGYVQNSLALWKYPSMVKLAELEDHTARVLCLAQSPDGFTVASVAADETLRLWKIFETSEDAKPVFKTFVLVFQDNVRVGPWGTDCSYFQDLIALVVTMVTGTKGGELEMPELHVYIYVSFFFASG >ORUFI09G02020.2 pep chromosome:OR_W1943:9:2747789:2751512:1 gene:ORUFI09G02020 transcript:ORUFI09G02020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGSGSVPTAKRRRLVPRPPPVPLEVAGARGPYMPPLCIKSKNPSAKCYGDRFIPDRSAMDMDMAYFLLTEPKKEKENTDMLSPAEEAYKRLLAEKLLNNRSRILAFRNKPPEPEGIVQQLLYETLTSSQTKPARKCRHIPQSSERTLDAPGIVDDFYLNILDWGCKNVMSIALGNTLYLWNSADGSIMDLVTIDEDDGPITSVSWSCDGQWIAVGLNSSDIQLWDTSSNRMLRTLHGVHQSRVGSLAWNKNILTTGGMDGNIVNNDVRMRSHVVHIYRGHEDEVCGLRWSGSGQQLASGGNDNLVHIWDVSMASSNLSLGHNRWLHRFGDHLAAVKALAWCPFQSNLLASGGGGDDRCIRFWNTHTGLCLNSVCGLLWNKNEKELLSAHGYVQNSLALWKYPSMVKLAELEDHTARVLCLAQSPDGFTVASVAADETLRLWKIFETSEDAKPVFKTDNVRVGPWGTDCSYFQDLIALVVTMVTGTKGGELEMPELHVYIYVSFFFASG >ORUFI09G02030.1 pep chromosome:OR_W1943:9:2760525:2760734:1 gene:ORUFI09G02030 transcript:ORUFI09G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIPEHGSPIRFKRRNWKPKITSWGEERAWDGVANNKRLLRLSRTTSGCKEEARGCSAAQLIGDEDCG >ORUFI09G02040.1 pep chromosome:OR_W1943:9:2776239:2776565:1 gene:ORUFI09G02040 transcript:ORUFI09G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGARGWRRWSEVADDARGLRRWCPAVVGWRWRRPTIGGGGQSTGMDETAPDGQICIPNLVEDGSDARRTGDGAVALGGRGWGMWIQCPTVEGWRQRLLVVEGGGDA >ORUFI09G02050.1 pep chromosome:OR_W1943:9:2785349:2785840:1 gene:ORUFI09G02050 transcript:ORUFI09G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGDWITARYLLSSILGRNPLVVDYVDEESFPVEDPPPVAGGARGGRQAVVSQPPVVRATAGVAGTVCSVCTEEIAVADAVVRLPCAHWYHAGCISPWLGIRSTCPMCRAELPASDDAAEEGGGAGREKPPRAARAGTSAGGGVRRDASYELLAGGGVLSG >ORUFI09G02060.1 pep chromosome:OR_W1943:9:2788122:2788815:-1 gene:ORUFI09G02060 transcript:ORUFI09G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTFNGIGSRASAGGMADLARHGPSRTVGSGSGMARHEQTWRRRRQRLQDGGEVLLPLVELAAGDSPVVPTAATGQGELWWWRDGEKEERMKEEDEYDMWVPRADEEENKKQTAVARF >ORUFI09G02070.1 pep chromosome:OR_W1943:9:2815366:2815833:1 gene:ORUFI09G02070 transcript:ORUFI09G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEMDWIAARFVLSAIMGVHPLVAVDDAGADDEHFPVDDAAAVHGHASPPPVALPAAVLAPEEVAGAVVCAVCTEEVAARQAVVRLPCAHWYHAGCIGPWLRIRTNCPTCRAELPREPAAADWRVPRRPAVAETAGSRLRREASYTMLAGTLPS >ORUFI09G02080.1 pep chromosome:OR_W1943:9:2847578:2847854:1 gene:ORUFI09G02080 transcript:ORUFI09G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQMEDMSQPEDSDTAKMLKYTEACFSQYRKDTNRKLVLCQKRIFELEDAPWKLNNLHWMKDDYEDVKPIHVVKRLCTGIIFLMEGRFP >ORUFI09G02090.1 pep chromosome:OR_W1943:9:2853825:2856031:1 gene:ORUFI09G02090 transcript:ORUFI09G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFKMLNEEGQGDFVLLFAIDWPSVDISEFMYSTGTKKKFPPIFTLLKYILYAGDDDGTIPIPWLNLNGQLGDIVDRADGSDVPFSVGGETFHAHHAVLAARSPVFKTELLGSMAESAMPCVTLHNIDPATFKALLHFVYMDALLSPTGGGASSTSTTTGFFKSLLMAVDMYALKRLKLMCAQKLWGSVSVETVATTLGYAETYHCPELKSKCLSFLMAESSFKKVAFTDGYFHLRQDFPLIIEEIKKRIES >ORUFI09G02100.1 pep chromosome:OR_W1943:9:2870061:2883589:-1 gene:ORUFI09G02100 transcript:ORUFI09G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVPSLYPQIRHPHTSTHPRFYFQRFTEDTNMEGRREGKDIVGVRAKKKYPDLEEESNSTKVTIVLDLALEKKARQFEVATNFGKTAHGCCSAPTAIATLATDYGDMRVVDKLKNI >ORUFI09G02110.1 pep chromosome:OR_W1943:9:2879816:2880251:1 gene:ORUFI09G02110 transcript:ORUFI09G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAEIGGHLKLSCLLLQRQVEDDSNLGAVTLLFQVRFVAAAPRSRSAKHLLSGCKLKQLNMKSIKEKKSFEMMSRNIIICLL >ORUFI09G02120.1 pep chromosome:OR_W1943:9:2881423:2884566:1 gene:ORUFI09G02120 transcript:ORUFI09G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVEDGATAVLGNSSSSGARRRWQRRRSATATAVAEAETAALGDGNSARGEAEVAGDGDGWKRRWQRDGSAATALGSGGGGRGGGTRGSRDGGAGGSRDGGARWQRGRGSGQRERERAAAGMVEVRHRRRGKHRQGGGQAVAKKRGWVESKRRRRGADAGEEERTGWSARPTVCSGEGLGVDDGVQALIHVLIQLTMWIVG >ORUFI09G02130.1 pep chromosome:OR_W1943:9:2883609:2884325:-1 gene:ORUFI09G02130 transcript:ORUFI09G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAARSLSLCPLPLPRCHLAPPSRLPPAPPSRLPLVPPPRPPPPLPSAVAADPSRCHLRFQPSPSPATSASPLALLPSPSAAVSASATAVAVAERRRCHLRLAPLLLLLPSTAVAPSSTTAAITERRRCVLRCLRQRPLLCPPLPEPTSRKAGSKPRRRYKSSGAFGRLLVVTSGKKNMR >ORUFI09G02140.1 pep chromosome:OR_W1943:9:2890397:2890651:1 gene:ORUFI09G02140 transcript:ORUFI09G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGQRGSRRPLVAAGWRPPSSQPQPQMAPSLLDAASPFLLYGAAAAGGSSRLLAAATTGHRAASSLRVGALKYCRIYKPYHRI >ORUFI09G02150.1 pep chromosome:OR_W1943:9:2900494:2901453:-1 gene:ORUFI09G02150 transcript:ORUFI09G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAFFTAMGDNGGGGAQEAEDWGCGGCGCGCCGDRGRGCGGRRHGGLGWLAWGVADGCIWLARSNWRRGRRPARRRKAQREEVRPVAVEASLAPEARPVAGGRLGTMRRIGGGGD >ORUFI09G02160.1 pep chromosome:OR_W1943:9:2903865:2910446:1 gene:ORUFI09G02160 transcript:ORUFI09G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVAESCVDGVVMEMVAAYCGRFYAAKPELAARRIEAIGFQVGHQLTERYTMEHPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRVSIDPSVESMDATDNDSATLGDSAAQTTSMLLYFPCGIIRGALTNLGISCSVTADMSNLPAWVFGL >ORUFI09G02160.2 pep chromosome:OR_W1943:9:2903865:2910378:1 gene:ORUFI09G02160 transcript:ORUFI09G02160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVAESCVDGVVMEMVAAYCGRFYAAKPELAARRIEAIGFQVGHQLTERYTMEHPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRVSIDPSVESMDATDNDSATLGDSAAQTTSMLLYFPCGIIRGALTNLGISCSVTADMSNLPACELHYSFS >ORUFI09G02170.1 pep chromosome:OR_W1943:9:2935456:2938987:-1 gene:ORUFI09G02170 transcript:ORUFI09G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQSYSYSGRGSERTAYRIIFFGQSNRIGFHYILSHFFLTLWFYKTPHMTSKVTPLSQQSKIPNPTQPNPTALSRHSSPARPPPESPSPDRRRVRVPTGGFSPCITMGSDFKAIPLIDISPLVGKIDYPSMVNDEDLLQVVQMLDDACREAGFFYVKGHGIADSLMKQVRDVTQKFFQLPYEEKLKIKMTPQSGYRGYQRVGENITKGKPDMHEAIDCYTPIEPGKYGDLAKPMVGSNLWPKYPSNFDVLLENYISRLRDLSRKIMRGIALALGAPVDAFEGTTAGDPFWVCRLIGYPVSTDIPEEQRTDTGCGAHTDYGLLTLVNQDDDICALEVRNQSGEWIYAKPIPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAVEPVEFCRERTGGVAKYEKVVYGEHLVQKVLTNFVM >ORUFI09G02180.1 pep chromosome:OR_W1943:9:2945613:2946568:-1 gene:ORUFI09G02180 transcript:ORUFI09G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDNRGVDRLHFAAANPMEATAKLGDDGRSSRASPEIKTRRRTSAPSCEPDGGNGEQQRRPQRRRGAAGGTAATAALRFTTTGYLRLDLAQNNQRPG >ORUFI09G02190.1 pep chromosome:OR_W1943:9:2971739:2982835:-1 gene:ORUFI09G02190 transcript:ORUFI09G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPTPATAPISCSPSPPLHLHLTARRQTLAPPMWRRLPARRLASALLSSSAPLPHPLHRSLLLLLPAASQRLAPSQTLPRFASSSAAVAAESVSSEEVDELHHAIGEIARGDPSEGHRRRSGRGKHSAEAMAVHGVGYHKYAMLRRRQIQIETEAWEQAAEEYRELLADMCQQKLAPNLPYVKSLFLGWFEPLRDQIIAEQELVGERGARASHARYFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEVRIHKFLEKTKKKSNKEMDNEEEGGDSDIAKEQERLRKKVTDLMKKQKIRQVRNIVKKQDNSKPWGQDAHAKVGSRLIELMIETAYIQPPASQSADGPPDIRPAFTHEMRTVAREQQKSSRRYGVIKCDPLVRQGLDRTAKHMVIPYMPMLIPPISWTGYDKGAHLFLPSYVMRTHGARQQRDAVRRAPREQMQSVFEALNTLGSTKWRVNKRVLSIVDRIWSSGGRLADLVDRTDVALPEKPDTEDEDKLKKWRWTLRAAKKENSERHSQRCDVELKLAVARKMKDEDGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYDGRIAFTENHLEDIFDSADRPLEGKRWWLGAEDPFQCLAVCINLTEALRSPSPETMISHIPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYTGIATRVVEIMKNDALKDPATDPDAARARLLLDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERDMICDDSELFSASCYAAKVTLTALGEMFQAARSIMNWLGDCAKVIACENEPVRWTTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKRQGLNFAGVHDSYWTHACDVDTMNKILREKFVELYDTPILENLLESFEKSFPELKFPPLPERGDFDLTDVLGSPYFFN >ORUFI09G02190.2 pep chromosome:OR_W1943:9:2971739:2982835:-1 gene:ORUFI09G02190 transcript:ORUFI09G02190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPTPATAPISCSPSPPLHLHLTARRQTLAPPMWRRLPARRLASALLSSSAPLPHPLHRSLLLLLPAASQRLAPSQTLPRFASSSAAVAAESVSSEEVDELHHAIGEIARGDPSVSAPAPAAGQEGHRRRSGRGKHSAEAMAVPAAGQEGHRRRSGRGKHSAEAMAVHGVGYHKYAMLRRRQIQIETEAWEQAAEEYRELLADMCQQKLAPNLPYVKSLFLGWFEPLRDQIIAEQELVGERGARASHARYFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEVRIHKFLEKTKKKSNKEMDNEEEGGDSDIAKEQERLRKKVTDLMKKQKIRQVRNIVKKQDNSKPWGQDAHAKVGSRLIELMIETAYIQPPASQSADGPPDIRPAFTHEMRTVAREQQKSSRRYGVIKCDPLVRQGLDRTAKHMVIPYMPMLIPPISWTGYDKGAHLFLPSYVMRTHGARQQRDAVRRAPREQMQSVFEALNTLGSTKWRVNKRVLSIVDRIWSSGGRLADLVDRTDVALPEKPDTEDEDKLKKWRWTLRAAKKENSERHSQRCDVELKLAVARKMKDEDGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYDGRIAFTENHLEDIFDSADRPLEGKRWWLGAEDPFQCLAVCINLTEALRSPSPETMISHIPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYTGIATRVVEIMKNDALKDPATDPDAARARLLLDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERDMICDDSELFSASCYAAKVTLTALGEMFQAARSIMNWLGDCAKVIACENEPVRWTTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKRQGLNFAGVHDSYWTHACDVDTMNKILREKFVELYDTPILENLLESFEKSFPELKFPPLPERGDFDLTDVLGSPYFFN >ORUFI09G02190.3 pep chromosome:OR_W1943:9:2971739:2982835:-1 gene:ORUFI09G02190 transcript:ORUFI09G02190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPTPATAPISCSPSPPLHLHLTARRQTLAPPMWRRLPARRLASALLSSSAPLPHPLHRSLLLLLPAASQRLAPSQTLPRFASSSAAVAAESVSSEEVDELHHAIGEIARGDPSVSAPAPAAGQEGHRRRSGRGKHSAEAMAVPAAGQEGHRRRSGRGKHSAEAMAVHGVGYHKYAMLRRRQIQIETEAWEQAAEEYRELLADMCQQKLAPNLPYVKSLFLGWFEPLRDQIIAEQELVGERGARASHARYFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEVRIHKFLEKTKKKSNKEMDNEEEGGDSDIAKEQERLRKKVTDLMKKQKIRQVRNIVKKQDNSKPWGQDAHAKVGSRLIELMIETAYIQPPASQSADGPPDIRPAFTHEMRTVAREQQKSSRRYGVIKCDPLVRQGLDRTAKHMVIPYMPMLIPPISWTGYDKGAHLFLPSYVMRTHGARQQRDAVRRAPREQMQSVFEALNTLGSTKWRVNKRVLSIVDRIWSSGGRLADLVDRTDVALPEKPDTEDEDKLKKWRWTLRAAKKENSERHSQRCDVELKLAVARKMKDEDGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYDGRIAFTENHLEDIFDSADRPLEGKRWWLGAEDPFQCLAVCINLTEALRSPSPETMISHIPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYTGIATRVVEIMKNDALKDPATDPDAARARLLLDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERDMICDDSELFSASCYAAKVTLTALGEMFQAARSIMNWLGDCAKVIACENEPVRWTTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTALLESFEKSFPELKFPPLPERGDFDLTDVLGSPYFFN >ORUFI09G02200.1 pep chromosome:OR_W1943:9:2990631:2990926:1 gene:ORUFI09G02200 transcript:ORUFI09G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYMIDVKERELNEKLDLDIERKDWTMEEDGS >ORUFI09G02210.1 pep chromosome:OR_W1943:9:3010274:3012756:1 gene:ORUFI09G02210 transcript:ORUFI09G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGAEDKSMLTAIQAISSKVNELQKQQNEILRAIRDTDGITTKDYAVMEYVKLHNKSSPSATLVHIRGSYEIMSKTLMCLTNNPGRGNKSKWLDDRIVYSYSLLIKKRCNAIKNGKKIHVFDPTVLNWTADNVQYSRKDGRVDMATYKTFLHSVIKVIKTDLDVAASEGIRIPKIHDWKPKQIRKVPKQSDLCSCGLYVLKYIELFDGARLTKKFTQHDIDVFRRQLLGELLLSDNNMIEDIFASFLFAVAGETPSTAAVLAAVAIACAAAESHQLDLLLRPAAHPAPASCLSTPDPAPASTIFSASASSNSSCCTSYFAEVGGPGVPPHRR >ORUFI09G02220.1 pep chromosome:OR_W1943:9:3023427:3026654:-1 gene:ORUFI09G02220 transcript:ORUFI09G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAEKRGGINGLVVSHSAVDMFSMTIIENSRHRDGSIYRNKAFEGHFCITNRDETRLEPMMFSEPTDCRPSWETCSMHSACAMMQIFSLKLAKIPIDNDCIQLYGYIAVRDDLDKLLNYVVNYSRDNPIIMQQGDLIEMTGPKRGISMCCSVLLEFDMRIKKGEQERDDLQLIDGAIDYIGLITATFPFTKRINGDCGAVDITLARVYWAVEATIQVIISDVQSGFNLYLSSLCFVSDVWKEIQLFNGPIGESCGLRRYVVAVSLDTWMHLKLKVGQKGSNHYVERYCSFKAINHGCSSQQILGELASISMKVTWSTLLD >ORUFI09G02220.2 pep chromosome:OR_W1943:9:3023427:3026654:-1 gene:ORUFI09G02220 transcript:ORUFI09G02220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAEKRGGINGLVVSHSAVDMFSMTIIENSRHRDGSIYRNKAFEGHFCITNRDENLIEMTGPKRGISMCCSVLLEFDMRIKKGEQERDDLQLIDGAIDYIGLITATFPFTKRINGDCGAVDITLARVYWAVEATIQVIISDVQSGFNLYLSSLCFVSDVWKEIQLFNGPIGESCGLRRYVVAVSLDTWMHLKLKVGQKGSNHYVERYCSFKAINHGCSSQQILGELASISMKVTWSTLLD >ORUFI09G02230.1 pep chromosome:OR_W1943:9:3050421:3053640:-1 gene:ORUFI09G02230 transcript:ORUFI09G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTINLDNTFDFETMYTADDAGSLRAHNVANDEMQVFATNDQMQVFEANDEMQVFATNDQMQVFEANDEMQVFATNDQMQVFEANDEMQLFEEMSDHADTMHTSVATGATTATANTISSEDSGTDDSEAQSAPSQDFLCSTQVPYLTLTFNSEEEARAHYNRYAKCVGFSIKINTSRKSAKDGEQLYGGARNVPYTRKDISNFKSKLGSEYRCRDMPQTIAHFEEIKKDDPNFFYKIQLNKEDRVQNIFWVDGAARNAYKDYKDCISFDCTYMTNMYNMPCAPFIGIKTWAINSAGLWISKE >ORUFI09G02240.1 pep chromosome:OR_W1943:9:3069552:3074781:-1 gene:ORUFI09G02240 transcript:ORUFI09G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEALNSFSSQNFLSHSQGQLCSRFVVVVPLLLHHSTAGTPLIDGVEDSTGLTGTEVDLEGYAEASEGHLPQGKPQECVWPVGP >ORUFI09G02250.1 pep chromosome:OR_W1943:9:3100850:3103460:1 gene:ORUFI09G02250 transcript:ORUFI09G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDCDGGDSVDVCGRHEEVFSESMNDIGGSVEPESILPLLKSIFPPNFDASSLRFATSTSASAMPSPSSFLLISSSASSAPSLLLVVPLLDSHAPLGRMHRIMLNATTTSRQPPTVAAEEGAGGNGVTTTSSGPTLFIFNRG >ORUFI09G02260.1 pep chromosome:OR_W1943:9:3104323:3108960:-1 gene:ORUFI09G02260 transcript:ORUFI09G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRHGMKAVVVVVVIAHVLLCHVAGGGGGVPAVIVFGDSTADTGNNNFIQTMARGNYPPYGRDFAGGVATGRFSNGRLAADFVSEALGLPPAVPPYLDPSHSIHQLASGVSFASAGTGLDNITAQILSAMTLSQQIDHFRQYKEKLRWAKGEAAAHHIISQALYILSVGTSDFLHNYLVFPIRGNRFTLPRYEAYLAGAAAGAVRAVHGLGGRRVKLAGLPPLGCLPVERTINPDRPGDCNEMYNMVALSFNARLKRLIGRLNWELPGAQVEYVDQYSILSAIIAKPWEYGFGNSMQGCCGTGFVETGILCALDDALACDDADKYVFFDAVHPSERAYKIIADAFINTTSPVFH >ORUFI09G02270.1 pep chromosome:OR_W1943:9:3109489:3130785:-1 gene:ORUFI09G02270 transcript:ORUFI09G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEISALLDLLLHPPAAAAGDRDPPARRLRGPAARAGLEALAGALAAGPPADPARARAVLAAARAVVSAVLSASVSTSDPSIPFGFENNMKCEVSTVVELSVPPSFIEGRYTFVLVEQVESTVVEIVERSLEFCLLYLEKSSYACEDYGLLNEVAYFMECVLLRGTPSKVYSLEPSVVNDVIEQWSSVQVDSERISPQEKYFCYLKGLWNVGALFADCFLMAQPGFNCSNSGDDLQRFRLTLSPECLQQDYVIAENTESSHTASPNGMVSIAQHFAVVHLHCIPVLLTLVQKLCQSPALDVIEDTNFNMRLSFGQRILKLVHGLAMEFPCDASDAMMLCSVARCTDSLPVLFKLKFKFANHDRVFSGDGVGTVLLQILDEFLQLIHIIFCNSDICCTVQVCILASLLEIFSPEKWKYDRSAACLMPPLVYSPHIVQYVLKLLNDTKRWTSRVDRDRPGKDVLGYSCNSETDGLSCHARSKKVPLLKKYTSEEYLQLIFPSEEQWLDDLVHLIFFLHEEGVKSMPLLEKPQMSCTKQVTLSELESVASHEEEALFGNLFAEARSTGVADSVEQPISLGSGPSSSQHGPIQLAADLICFMKMSIFSPEWCTAIYVDACRKFHSNHLEQFLSILQCPAFCSDESIATTSLSEVNSLHINTACFELLQMFLISHECPASLREDLVDKVFNAENGMYTYNNYTLALVARAIISGASSIYNLGRKVFVQYVGYLLEKANDKSSSSLDLNNFCRILPCAFHLEILLVAFHSTTGPEKADLINIVLSSLEKMRQPPLGVNASGLTRWALLLSRLLLVLRHMLLYPVTHPSWLLMRLRSRMRDILLKEGQSRSMNDYLPSFTAEVVEGIFADTVKEYASTSSLFPQLIDVTPAHAEIYFDKSALEALGLNFANLGSNISEILGVWKGRKAEVAEDLIAERYLFLICWSTLSGIGYSGGYEGLLNPDFADVNFFISFALSVSDDASSLLDANLPSVIFGFLKLLQSEILCGPSVLESWDFLRKGAWLSLILSLINTGFWGHQTSGKPDVDLQGKQVVQDAEIFGKSLLTFISENSGHCLHVLSSLLETYLHAFKEAFISFVVEKGRVCEDHCYPSWLLKHSAFDKSKHPLLFEKVGSNIGMLEPICDLSSRIDRVATKLGDGRKEYFLLKCLLHGFPVNSASNNSAILSCVLVINEIIYMLNGCIKIMQPNDRDLVDVGVISKLLSMIMTIKSDGMFTSIHKLCDSIFMSLIDQKDDLAGYSDLFVLKQLEGYLADINSKEIMDNEVKEIIVFTIVDLVEDLRSKTNVFKFFLGEAEGAPEGANSLFALEQADMSVFIDVLDKCQSEQVNLKILNLFTDILGDGLCPDLKQKLQHKFIGMDVSCFSSWLEFRTLGHSMKIESTNSTTSGPTALRELTMDFLMRLTCPSSETLAKELQHHLFDSMLLLLDKAFMSCDLQIVKAHFHFIAQLSTDESHFKELFEKTLKLMENMVGNEGLLHTLKFLFTCVESVFGDAGSNRSALKRLSSKSSGNSFGSGSLIPKQLKNSDSLVLRTNQESNSTVDCDASSGEEDEDDGTSDGELVSIDRDEEEDGNSERALATKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHQGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGTSSVSPPVTSSFQPILPYHEDVEPVADSGSDFEDDISTEAENCIKLSVPKGFSDELPVFLKNLDVEVRMLELCKKLLPMILSQRELNLLKDRKVFLGGEMLMSQASDIFQLKKAFKSGSLDLKIKADYPNSRELKSHLANGSLTKSLLSISIRGKLAVGEGDKVAIFDVGQIIGQPTAAPITADKTNVKPLSRNIVRFEIVHLIFNPLVEHYLSVAGYEDCQVLTLNSRGEVTDRLAIELALQGAYIRCVEWVPGSQVQLMVVTNKFVKIYDLSQDNISPLHYFTVADDIIVDATLVPSSMGKLVLLVLSEGGLLYRLNVALAGDVGAKTLTDTVLVKDAVSMHKGLSLYFSSTYRLLFVSHQDGTTYMGRLDGDSSSITELSYICENDQDGKSKPAGLYRWRELIAGSGALACLSKFKSNSPLAVSLGPHELFAHNMRHASGSNAPVVGIAAYKPLSKDKAHCLLLYDDGSLNIYSHTPNGSDSSTTLTAEQTKKLGSSILSSRAYAGTKPEFPLDFFEKTTCITCDVKFNSDTTKSSDSESIKQRLSSDDGYLESLTSAGFKVTISNPNPDIVMVGCRIHVGNTSASNIPSEITIFHRVIKLDEGMRSWYDIPFTTAESLLADEEFTIVVGRTFDGSSIPRIDSIEVYGRAKDEFGWKEKMDAALDMEAHVLGGSSASGKSGKKAQTMQAAPIQEQVLADALRILSRIYLLCQPGFCTDTIDADMELNNLKCRSLLETIFQSDREPLLHSAACRVLQAVFPKKEIYYHVKDTMRLLGVIKSLPSITSRIGVGGAASSWVTKEFIAQIHTVSKVAVHRKSNLASFLETHAVAILNVTRKLGYAECLALHSNEKSGVSVAPAVALLKKLLFAPYEAVQTSSSLAISSRFLQVPFPKQTMIANDDAPDNHAKASAASNSTTGNAQVMIEEDPATSSVQYCCDGCSTVPILRRRWHCNICPDFDLCETCYEILDADRLPAPHSRDHPMSAIPIELDTFGGEGNEIHFSVDELTDSSVLQAPADRTIQTSPSSIHVLDASESVDFHGSMTEQRTVSISASKRAINSLLLSRLIEELSGWMETTAGTRAIPIMQLFYRLSSAVGGPFMDSTKPENLDLEKFVKWLIDEINISKPFPAKTRCSFGEVSILVFMFFTLMFRNWHQPGTDGSHSKSGGSSDLTEKGPVHVQVSTTTLQSSNDDHDKNEFASQLIRACSALRQQSFLNYLMDILQQLVHVFKSSSINGEGGSSSSGCGSLLTVRRELPAGNFSPFFSDSYAKSHPTDLFMDYYKLLLENTFRLVYSMVRPEKEKSADKDKSCKVPNTKDLKLDGYQDVLCSYISNAHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQYSHEVKKLHKIINKSGGFRNPVPYERSVKLIKCLSTLCDVAASRPRNWQKFCLKHTDLLPFLMDNFYYFSEECIVQTLKLLNLAFYSGKDANHNAQKTESGDIGSSTRTGSQSSDSKKKRKGDDSSEGSSEKSCMDMEQAVVVFTGKDGDVLKRFVDTFLLEWNSTSVRHEAKSVLFGLWYHAKSSFKENMLTTLLQKVKYLPMYGQNIIEYTDLMTCLLGKANDSTAKQSDTELLNKCLTSDVVSCIFDTLHSQNELLANHPNSRIYNTLSCLVEFDGYYLESEPCVTCSCPDVPYSRMKLESLKSETKFTDNRIIVKCTGSFTIQSVTMNVYDARKSKSVKVLNLYYNNRPVTDLSELKNNWSLWKRAKSCHLTFNQTELKVEFPIPITACNFMIELDSFYENLQASSLESLQCPRCSRSVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFHFMAKPSFSFDNMENDDDMRKGLTAIESESENAHRRYQQLMGFKKPLIKLVSSIGEQEIDSQQKDAVQQMMVSLPGPTGKVNRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMTYLHQKNSNDTDALPACSIPRSPSSCYGCSTTFVTQCLELLQVLSKHATSRKQLVSAGILSELFENNIHQGPRTARTLARAVLSSFSEGDADAVQELNNLIQKKVMYCLEHHRSMDISQSTREELLLLSETCALVDEFWEARLRVAFQLLFSSIKVGAKHPAISEHIILPCLRIISQACTPPKSDSGEKEPGMGKSSLMQAKNDDTVGHSVTNLSTSKTQSELSGKIPDGSRRRQDISLLSYSEWESGASYLDFVRRQYKVSQAVKGLQKTRHDSQKSDYLVLKYGLRWKRRACRKSSKGDFSKFALGSWVSDLILSSCSQSIRSEICTLISLLCPSNSSRQFQLLNLLMSLLPRTLSAGESAAEYFELLGTMIDTEASRLFLTVRGCLTTLCSLITKEVSNVESQERSLSIDISQGFILHKLVELLNKFLEIPNIRARFMSDNLLSDVLEAFLVIRGLVVQKTKLINDCNRLLKDLLDSLLVESTANKRQFIRACISGLQKHVKEKKRRTSLFILEQLCNLICPVKPEPVYLLILNKAHTQEEFIRGSMTRNPYSSAEIGPLMRDVKNKICHQLDLIGLLEDDYGMELLVAGNIISLDLSISQVYEQVWRKHHGQTQHSLSNASQLSAAASSVRDCPPMTVTYRLQGLDGEATEPMIKELEDEREESQDPEVEFAIAGAVRECGGLEIILSMIQSLREDELRSNQEELGSVLNLLKYCCKIRENRCALLRLGALGLLLETARRAFSVDAMEPAEGILLIVESLTMEANESDISIAQSVFTTTTEETGAGEEAKKIVLMFLERLCPPDGAKKSNKQQRNEEMVARILPNLTYGEPAAMEALVLHFEPYLMNWSEFDQLQKQHEENPKDETLSKNASMQRSAVENFVRVSESLKTSSCGERLKEIILEKGITKAAVGHLRESFASAGQASFRTSAEWTVGLKLPSIPLILSMLKGLAKGDLPTQKCVDEEDILPLLHALEGVPGENEIGARAENLLDTLANKENNGDGFLAEKIQELRHATRDEMRRRALKKREMLLQGLGMRQEFASDGGRRIVVSQPIIEGLDDVEEEEDGLACMVCREGYTLRPTDMLGVYAFSKRVNLGATSSGSGRGDCVYTTVSHFNIIHYQCHQEAKRADAALKNPKKEWDGATLRNNETLCNCIFPLRGPSVPPGQYTRCLDQYWDQLNSLGRADGSRLRLLTYDIVLPPFTFLAPPAIEEHEGETTTVNEVIDDKSLSSVKTQKKCTFAKLTYSRT >ORUFI09G02280.1 pep chromosome:OR_W1943:9:3142851:3156400:1 gene:ORUFI09G02280 transcript:ORUFI09G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATASDPASIGPAAAFLAMPGWSPGPPIPEGMESFTNGDVRLLKHERSIIAEDDLDNRWQAATGEAVTEVIFLSKHTAVSNRPALTVHPIGVPHLREDETPPQGGRPGWAALPNPRIGPWLRLMQKIAVDQGLVPEFEITLEATHHGPVTNTPTMFVEIDYQWIKDIRQQLWATAKVLWKGLGLDEGNTVGSWQGNSEKVLLGIGGGHYAPRHMDIVIKDGIWVGHLLSGYSLPMEVSPQGNGKSYSDVGGMWKHSIKVSYDATKAAFPGGQVIAHLDQNQQ >ORUFI09G02280.2 pep chromosome:OR_W1943:9:3142851:3156400:1 gene:ORUFI09G02280 transcript:ORUFI09G02280.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTAQLSWFDRSNFIAVIKIVVVSSTSRNYHPPHPVRSNPHTLPDSLPTAYYFTLETIVPFVFPAFKASAASSPSRNLRGIYSSTKGSTEEYWCRQDAAQAIALVLWKGLGLDEGNTVGSWQGNSEKVLLGIGGGHYAPRHMDIVIKDGIWVGHLLSGYSLPMEVSPQGNGKSYSDVGGMWKHSIKVSYDATKAAFPGGQVIAHLDQNQQ >ORUFI09G02280.3 pep chromosome:OR_W1943:9:3142851:3156400:1 gene:ORUFI09G02280 transcript:ORUFI09G02280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATASDPASIGPAAAFLAMPGWSPGPPIPEGMESFTNGDVRLLKHERSIIAEDDLDNRWQAATGEAVTEVIFLSKHTAVSNRPALTVHPIGVPHLREDETPPQGGRPGWAALPNPRIGPWLRLMQKIAVDQGLVPEFEITLEATHHGPVTNTPTMFVEIGSTEEYWCRQDAAQAIALVLWKGLGLDEGNTVGSWQGNSEKVLLGIGGGHYAPRHMDIVIKDGIWVGHLLSGYSLPMEVSPQGNGKSYSDVGGMWKHSIKVSYDATKAAFPGGQVIAHLDQNQQ >ORUFI09G02290.1 pep chromosome:OR_W1943:9:3172782:3176785:1 gene:ORUFI09G02290 transcript:ORUFI09G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLWVVAVAVAVMAAAVEGKSYNITKILAAHPEFSKFNEMLSKTRLAYDINRRQTITVLAVDNSAMASLDHFTLPTIRHILSLHILVDYYGSKKLHALSHGATASSSMFQATGSAPGTTGYVNITSHKGGKIDFISEDADESAKPSRYVKSVKEIPYDISVLQVSSVLSSSEAEAPVPPPAPVNLTELLSKKYCKSFAGLLAANADVFRAVNETKDNGLTLFCPVDAAVAAFMPSYKNLTAKAKTAILLYHAVPDYFSLQLLKSNNGMVTTLATASESKKDYSYDVQNKGETVTLDTRVVNSAVTATVGDAEPLAVYAVTKFLKPKELYKVVEAPAPAPEPSKKHKSAADDSSDDSSDDSGDVKAHKGAAAPAPLARWVTAAAAAAVAALMLMA >ORUFI09G02300.1 pep chromosome:OR_W1943:9:3178732:3182986:-1 gene:ORUFI09G02300 transcript:ORUFI09G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMESMATLMESTGSKLQQLQRAFAELESQSAVSLNLKWKQLEDHFHGLEQSLKKKFDDLKRQEEEFEETVAKSEQMLEQQEAVVVAKELTSLEKLQQKRDAALAVIFGKSKLNLSTPLINPISKSVNNNAVLNGNIGGSLSVKWPKPATAHGAYLQDENTAVKPRSQLVVLCEEMNVNGLHKFISDNRKDLTSIREEIPVALRGATDPYGLVLASLEDFYFGDNLILDGKKDGNLLGVRRTCLMLMESLAQLQTDATTGFISEGQVLTASIKERAKKIALEWKSKLDSLDFDASNGNCLEAHAFLQLLATFGIFAEFAQDELCKLLPSVSRRRQTPELCRILGLSQNMPGVIGVLVENGRTIDAINLAYAFELTNQFEPVELLKAYLQEVKSVPHFKTGKISLQVQNEMNERELSALKAAIKCIEEHKLDEKYPIDLLQKRVIQLEKAKADKRRAVEAAKPQSKRPRANGSVYAPHTSFPDKSFYQAAPPQRHSYPYERQYVYGAEAHHHPTMISSAPYGISPAHTTYYGNGYQVQYQLYQSTS >ORUFI09G02310.1 pep chromosome:OR_W1943:9:3186492:3193816:-1 gene:ORUFI09G02310 transcript:ORUFI09G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTEKRKYLLAKIIVSSPEGVPIPSRRLGVEVESRVASSAAAALRRSPSPSVVLLLPPHPGAAAMSDMESMTALMESTGSKLQLLQRAFAELESQSAVSLNLKWKQLEDHFHGLEQSLKKKFDELKEQEKEFKETVTKSEQMLEQQEAAVVAKELTSLERLQQKRDAALAMIFGKSKLNLSMPVINPISKSVSNNAVLNGNIASLWPKPATAHGAYLQDGNTAVKPRSQLVILCEEMNVNGLHKFISDNRKDLTSIREEIPVALRGATDPYGLVLASLEDFYFGDNLILDGKKDGNLLGVRRTCLMLMESLAQLQTDATTGFISKGQMLTASIKERAKKIALEWKSKLDSLDFDASNGNCLEAHAFLQLLATFAIFSEFAEDELCKLLPSVSRRRQTPELCRILGLSQNMPGVIGVLIENGRTIDAINLAYAFELTDQFEPVELLKAYLKEVKSMSHVKTGKMSPGVQNEINERELSALKAVIKCIEEHKLDEKYPIDPLQRRVIQLEKAKADKRRAVEAGKPQSKRPRANGSVYAPHITSFSDKSFYQAAAPQRHSYPYERQYVYGAEAHHHPTMISSAPYGMSPAHTTYYGNGYQVQYQFKFSIAI >ORUFI09G02330.1 pep chromosome:OR_W1943:9:3226461:3250626:-1 gene:ORUFI09G02330 transcript:ORUFI09G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDFKAIPLIDISPLVEKIDDPNMANDKDLLQVVRLLDDACREAGFFYVKGHGIAESLMKEVRDVTHKFFQLPYEEKLKIKMTPQNGYRGYQRLGENITNGKPDMQEAIDYYAPIEPGKYGDLAKPMEGTNLWPKYPSNFDALLKNYISLLRDLSRKIMQGIALALGGPVDAFEGRTAGDPFWVCRLIGYPVSTDILEEHRTDTGCGAHTDYGLLTLVNQDDDICALEVKNQSGEWIYAKPIPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAIEPVEFCRERTGGVAKYEKEEATNMAREKVRHAKELGDQVQRHYRRHKETWALVARHLNESGGGWDETNKMLSLSQSTLDSLSINDRGILSKPIQFFDKLQELFSGSSADGAFMEDPSSVADFDDEADELDNFNDMSTYAETKYPQGEDSDKLEADSDDCKETTSQAPIKTVTQILGVSGLEYRCLRASVALLVPQENARELNKC >ORUFI09G02330.2 pep chromosome:OR_W1943:9:3226459:3248481:-1 gene:ORUFI09G02330 transcript:ORUFI09G02330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKDLLQVVRLLDDACREAGFFYVKGHGIAESLMKEVRDVTHKFFQLPYEEKLKIKMTPQNGYRGYQRLGENITNGKPDMQEAIDYYAPIEPGKYGDLAKPMEGTNLWPKYPSNFDALLKNYISLLRDLSRKIMQGIALALGGPVDAFEGRTAGDPFWVCRLIGYPVSTDILEEHRTDTGCGAHTDYGLLTLVNQDDDICALEVKNQSGEWIYAKPIPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAIEPVEFCRERTGGVAKYEKEEATNMAREKVRHAKELGDQVQRHYRRHKETWALVARHLNESGGGWDETNKMLSLSQSTLDSLSINDRGILSKPIQFFDKLQELFSGSSADGAFMEDPSSVADFDDEADELDNFNDMSTYAETKYPQGEDSDKLEADSDDCKETTSQAPIKTVTQILGVSGLEYRCLRASVALLVPQENARELNKC >ORUFI09G02330.3 pep chromosome:OR_W1943:9:3226461:3250626:-1 gene:ORUFI09G02330 transcript:ORUFI09G02330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDFKAIPLIDISPLVEKIDDPNMANDKDLLQVVRLLDDACREAGFFYVKGHGIAESLMKEVRDVTHKFFQLPYEEKLKIKMTPQNGYRGYQRLGENITNGKPDMQEAIDLIAEKKKRHSIMRLLNLVNMEILPNQWKELICDLSRKIMQGIALALGGPVDAFEGRTAGDPFWVCRLIGYPVSTDILEEHRTDTGCGAHTDYGLLTLVNQDDDICALEVKNQSGEWIYAKPIPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAIEPVEFCRERTGGVAKYEKEEATNMAREKVRHAKELGDQVQRHYRRHKETWALVARHLNESGGGWDETNKMLSLSQSTLDSLSINDRGILSKPIQFFDKLQELFSGSSADGAFMEDPSSVADFDDEADELDNFNDMSTYAETKYPQGEDSDKLEADSDDCKETTSQAPIKTVTQILGVSGLEYRCLRASVALLVPQENARELNKC >ORUFI09G02330.4 pep chromosome:OR_W1943:9:3226461:3250626:-1 gene:ORUFI09G02330 transcript:ORUFI09G02330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASDFKAIPLIDISPLVEKIDDPNMANDKDLLQVVRLLDDACREAGFFYVKGHGIAESLMKEVRDVTHKFFQLPYEEKLKIKMTPQNGYRGYQRLGENITNGKPDMQEAIDKDHARLIGYPVSTDILEEHRTDTGCGAHTDYGLLTLVNQDDDICALEVKNQSGEWIYAKPIPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAIEPVEFCRERTGGVAKYEKEEATNMAREKVRHAKELGDQVQRHYRRHKETWALVARHLNESGGGWDETNKMLSLSQSTLDSLSINDRGILSKPIQFFDKLQELFSGSSADGAFMEDPSSVADFDDEADELDNFNDMSTYAETKYPQGEDSDKLEADSDDCKETTSQAPIKTVTQILGVSGLEYRCLRASVALLVPQENARELNKC >ORUFI09G02340.1 pep chromosome:OR_W1943:9:3252392:3254526:-1 gene:ORUFI09G02340 transcript:ORUFI09G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTWVKLEQKGDGPGARSSHAITLVGGTAYAFGGEFTPRVPVDNAMYAFDLKSQCWSALDASGDVPPPRVGVTMASVGATVYMFGGRDQEHKELNELYSFDTATNRWTLLSSGAGDGPPHRSYHSMVADAAGGGKVYVFGGCGDAGRLNDLWAYDVAAGRWEALPSPGEACKPRGGPGLAVAGGKVWVVYGFSGEELDDVHCYDPGTGAWSTVETTGGGGGGDKPSPRSVFCAAGIGKHVVVFGGEVDPSDLGHLGAGKFSAEAFALDTETGAWARLDDAGEHHPGPRGWCAFSAGGGDGRRGLLGYGGNSPTNDRLGDIYFFTPPLA >ORUFI09G02350.1 pep chromosome:OR_W1943:9:3265328:3269564:1 gene:ORUFI09G02350 transcript:ORUFI09G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVVGSPGTWSGMSLRVSQCVFAGASVVAMASAYGFSNYTAFCYLIASMGLQLLWSFGLACLDIYSLQTKRDLHNPVLVSLFVVGDWVTAILSFAAASASAGVTILFERDVHFCRMYPQLSCGRYELSVILAFITWSFIATSAVSMFWLLASL >ORUFI09G02360.1 pep chromosome:OR_W1943:9:3270099:3270623:1 gene:ORUFI09G02360 transcript:ORUFI09G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTPVAAAPEEATAVMAGGVGGGKEAFDEAAAALAALRDAELGPRRKDSGEVEAERRRRGHAEERLVIVVAALLPSSSSSQRRRRRHRRSAAAVVVVAQHHPVVVVAAPPPSSSSRRRPVVVAASSRVARGRRNRWSWTKTRRPTAPWWMPGGAAAQAAAADADDDAAAPFG >ORUFI09G02370.1 pep chromosome:OR_W1943:9:3271487:3274927:-1 gene:ORUFI09G02370 transcript:ORUFI09G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDRSSRRPRDDDDRHHRSRDDHHRRRHDADDDHRRHKRGGGGDDDDDRRRRRHHRDDEEESRSRRHRHHRDGGRRSLSPSESPPPPAAKRERSSSRAPRDSVERRDSADREAPPPSSRKRKGHEGGGNESDPEGGKRARASVEPPPPKEERPRRERRRFEDADANGKHGDERGKGDKDNSNHGAVNGDSRSGLVPNAGAQQPLNAAPVVVPSSVPMPSKVSSITTTNENEGVSIRSDEVTGKSSTDGSTSSAAGKSSNLSLDALAKAKKALQLKKELSEKLKKLPVLNNKLGVTSTDTQIPKKETQPVSSSGASEMAVGAALTEKMAATAGAVGIPGLANIPNLDAVKRAQELAAKMGFRQDPQFAPLINLFPGTSSELTVPQKPAKAPVLRLDAQGREIDEHGNVINMTKPTNLSTLKVNINKQKKEAFQIIKPDLDSLAKSSAHFDERMGINQNKLLRPRRPGFQFIEEGKLSRQAELQRIKNQFGEAQAKELKVKQAQLAKAKAEVVMNPNLIEVAPGRPPKQKQKEEIPEIEPWDAKILPSTTYDDFSMEKVNMEKITIYVEHPEPLEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAKEKDRQEMIRQGLLEPPKPKVKMSNLMKVLGAEATQDPTRMELEIRTAAAEREQAHVDRNIARKLTPSERREKKERKLFEDPNTLETIVCVYRIRDLSHPQTRFKVDVNAQENRLTGAAVIADGISVVVVEGGKKSIKRYNKLMLNRIDWAAAVDDDDDADEESDKPVNSCALVWQGSVAKPCFTRFSVHNCRSEAAAKKVFADASVPHYWDLAVNFSEDSS >ORUFI09G02380.1 pep chromosome:OR_W1943:9:3278368:3284461:-1 gene:ORUFI09G02380 transcript:ORUFI09G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDEPLYPIAILIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDIVDWFVPVVKSCQIYQFAANFTMLRLAAGEWFTARVSSCGLFHIAYPSAPDQLKAELRTIYGQLCQDDMPMVRRAAASNLGKFAATVEQNYLKTEVMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEHSREQLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKEATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQQLLPVVINSSKDRVPNIKFNVAKVLQALIPILDQSVVEKNVKPCLVELSEDPDVDVRYYANQALQACDQIMMSS >ORUFI09G02380.2 pep chromosome:OR_W1943:9:3278368:3284461:-1 gene:ORUFI09G02380 transcript:ORUFI09G02380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDEPLYPIAILIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDIVDWFVPVVKRLAAGEWFTARVSSCGLFHIAYPSAPDQLKAELRTIYGQLCQDDMPMVRRAAASNLGKFAATVEQNYLKTEVMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEHSREQLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKEATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQQLLPVVINSSKDRVPNIKFNVAKVLQALIPILDQSVVEKNVKPCLVELSEDPDVDVRYYANQALQACDQIMMSS >ORUFI09G02390.1 pep chromosome:OR_W1943:9:3308721:3312867:1 gene:ORUFI09G02390 transcript:ORUFI09G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMASTTASPFCPSPMPRGRKCTVRVQAGAAGADASDKSLEIMRKFSEQYARRSNTFFCSEKSVTAVVIKGLADHKDQLGAPLCPCRHYDDKAAEAITLEEIKDATSKI >ORUFI09G02400.1 pep chromosome:OR_W1943:9:3313514:3319069:-1 gene:ORUFI09G02400 transcript:ORUFI09G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLSHEDSKKLLYTRIVGGEDKCLDSDPSVDACEKILKKCCGVPLAIITMASLLANKPMEDWPVVYKSIVWGMEAMTIIFPEDYYIEKNMLIWKWITEGFVHEEKAAGIGLFELGEGYFNELINRSLILPAEAEDKGYIDGCHVHDMVLDLVRLLSAEENFVTVLDGSEELVLLSRNSRRLALQCKSSEPNVECPLLANKGVEQLRSFVVTECCDISMASTGSHVIRVLALQNCLILDHCSKHSLQHVWSLLHLRYLGLQYIDSIEIPEDVGHLKFLQVLDLLGTQIKELPESMGLLTKLVCLRANRIYKVSAGLIGELTSLEEIWIEAENDDRIQFMKALGKLSKLRVLWIRLSTYEPDERPNRDLLDCLHNLHSIQTVDIYASSGKKSVMWEEGHASPQCLRHLCLQTLKFCRFPMWLNSSFLPNLCYLELQKLRIFKAPRSLVWFDLHNIICNEKAIMPSLESLKFTVHVRFLKDTNLLCFENQLGFRNLGRTSLQRVEADIYCAGAHTKEVEEAEAALAQAAAVHPNHPTLKIVRIFEDRLLSPYKEPDRNITYKTAFKNVKARVVKDDVGYFDFHWLLHNPNIRKFEVYIDCEDATLEEVEEAEAAAWCAANDHPNRPALEIIRRDEDKMMLFDIHQEKFSVSINYENASLEEVEEAEAAARYAVDVHLNRPTLELKRYGEEKMALSDQDQTEQKPCTTL >ORUFI09G02410.1 pep chromosome:OR_W1943:9:3320896:3322324:-1 gene:ORUFI09G02410 transcript:ORUFI09G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVTGAMGSLLPKMVQLLKEEYNLQIGVRKKIESLLRELDSVYAVLRVVGESMEPAGPHMLRRLRKKIGKLFKKVKVRRKIAGAIQDIDKKLKEVAARCGRYTVDDIVVAKPEYQATIDPRLLNLFRKATELVGIDGPMDDLIEMLALGDDIHPSMNKPKVVSIFGFGGLGKTTLAKAVYNKFKPGFDSGAFVPIGQHPDMKKVLRDILIDLDKQRYMHSIMMLLDERQLMNELQKFIQKKRNICKPCESVVGNGSQV >ORUFI09G02420.1 pep chromosome:OR_W1943:9:3348997:3349600:1 gene:ORUFI09G02420 transcript:ORUFI09G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGGGDSGGGLTGGRRPLVQQGHEQDAFAAARLSQRAQCSTLRCHCGGRLGFAKVRGEASMTPCLTRLRPVSFFSPEISSGVRGRFCEQQY >ORUFI09G02430.1 pep chromosome:OR_W1943:9:3353694:3355239:-1 gene:ORUFI09G02430 transcript:ORUFI09G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTTETHGRATAAAADGAAPGQTRYRRCCRRADTLPPVPSTEPTTCLGVCRREEERRKGEEADVDSLICGVHVGPTLTQPPRRIKPGSKPPRNLKRTIYMSRFISININVRNARMTYIVKRRE >ORUFI09G02440.1 pep chromosome:OR_W1943:9:3354973:3355200:1 gene:ORUFI09G02440 transcript:ORUFI09G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKQQQKIRIACMLTASWAALTISGTSVSPTTTRPRGRRSPGRGGRGGGRSDGGSCPRRTGLPEDRASGGRSGR >ORUFI09G02450.1 pep chromosome:OR_W1943:9:3370274:3370708:1 gene:ORUFI09G02450 transcript:ORUFI09G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKKRSGEGVDRLGEGENEDRERRWKDREKEKKKKIRRRRKRKKDREKIGRGGGEIGRRRKRKRDWEKIGRGGGEIGRRRKRKRSGEGEKKKRSGEGLERSGEGEIARGREGGIARGAGRERGRREGGRDGPSWVGLDGRGA >ORUFI09G02460.1 pep chromosome:OR_W1943:9:3371467:3379292:1 gene:ORUFI09G02460 transcript:ORUFI09G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMADLSFSSSISNVKLQRFRASSNETSLIKRSPVFRAEARSTETEKFGTNGRAIKMVPTTELKRSKSGVRVRPDTVNGSPSGAVNGSTKVAINGSPNAAVNGSMKAVTNGSVNGTSLVKGSNMSALVKTQKRMRPNDDPFEEELKVLPSDEGFSWAKDNYNSWQRSADIWSFVLSFRIRVLFDNAKWAYAGGFSEEKQKVRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPEKAKAFIEKEMGCPIEVVYKEFDNRPIAAASLGQVHRAVLHNGERVAVKVQRPGLRKLFDIDLRNLKLVAEYFQSSEAFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYVPGIKINNLTLLDNRGYSRSLIASRSIESYLIQILKTGFFHADPHPGNLAIDTDGSLIYYDFGMMGEIKSFTRERLLSLFYAVYEKDANKVMKALIDLEALQATGDLSPVRRSIQFFLDNLLSQTPDQQQTLAAIGEDLFAISQDQPFRFPSTFTFVIRAFSTLEGIGYILDPDFSFVKVAAPYAQELLDLKQRRTSAPELVREIRKQANSARDSTISMPYRIQRIEDFVGQLESGDLKLRVRVLESERAARKANVLQMATMYTAIGGTLLNVGVTLSSQGNQIVANGSFVGAGIFLALLIRSMQRVKKLDKFETMI >ORUFI09G02460.2 pep chromosome:OR_W1943:9:3372276:3379292:1 gene:ORUFI09G02460 transcript:ORUFI09G02460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMADLSFSSSISNVKLQRFRASSNETSLIKRSPVFRAEARSTETEKFGTNGRAIKMVPTTELKRSKSGVRVRPDTVNGSPSGAVNGSTKVAINGSPNAAVNGSMKAVTNGSVNGTSLVKGSNMSALVKTQKRMRPNDDPFEEELKVLPSDEGFSWAKDNYNSWQRSADIWSFVLSFRIRVLFDNAKWAYAGGFSEEKQKVRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPEKAKAFIEKEMGCPIEVVYKEFDNRPIAAASLGQVHRAVLHNGERVAVKVQRPGLRKLFDIDLRNLKLVAEYFQSSEAFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYVPGIKINNLTLLDNRGYSRSLIASRSIESYLIQILKTGFFHADPHPGNLAIDTDGSLIYYDFGMMGEIKSFTRERLLSLFYAVYEKDANKVMKALIDLEALQATGDLSPVRRSIQFFLDNLLSQTPDQQQTLAAIGEDLFAISQDQPFRFPSTFTFVIRAFSTLEGIGYILDPDFSFVKVAAPYAQELLDLKQRRTSAPELVREIRKQANSARDSTISMPYRIQRIEDFVGQLESGDLKLRVRVLESERAARKANVLQMATMYTAIGGTLLNVGVTLSSQGNQIVANGSFVGAGIFLALLIRSMQRVKKLDKFETMI >ORUFI09G02470.1 pep chromosome:OR_W1943:9:3383022:3386075:-1 gene:ORUFI09G02470 transcript:ORUFI09G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVREAIYYSAQIQLPDTMTVANKLARAEDTVREMGLTSALDTRIGGRSSKGISGGQQKRLSICLEILTRPRLLFLDEPTSGLDSAASFHVMSRITDLAAREGMTVIAVVHQPCSEVFELFHGLCLLAAGSTIFFGPASTAAEFFASNGYPCPPMRNPSDHFLRTVNKDFDKESEEKLQYEPAVADEAIDILVNSYKSSDTSEVAKQEMRQINEMDRRMIGRNRAGFVTKTLVLTRRSFVNMYRDIGYYWLRLAIYVAISLSLGMIFYNVGYGPDSARSRSSMLMFIGTLLTFMAIGGFPSFVEDMKIFGRERLNGHYGVVTFVISNTLSSTPYLLLIAVVPGAIAYYLTGLQKQIDHFIYFALVLCSCTMLVEGLMMIVATIVPDFLMGIITGAGIQGIMMLNSGFFQLPNNLPKIVWKYLIYYISFHKNALQGFYKNKFSGLVLQNDLEGHKTITSEKVIVELFQVETGHSKWVDLAILCGMIVIYRLLFVVIIKVLDMAKPMLKGVTLRCYTKSVIHGLE >ORUFI09G02480.1 pep chromosome:OR_W1943:9:3403526:3406888:-1 gene:ORUFI09G02480 transcript:ORUFI09G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAPTPSPSRPLCRSSDDGGRRGNVGDLLRSPFTAVVAALRGHHAATSGDDTAPSTDTAPPEQQHTAGGGELDGVDDGSGRRRERLDDGVFLTWEDVWVTAVDSRGKAATILNGVSGCARPGEVLAIMGPSGCGKTTLLDTLAAVAAVTPPEAEGNTAGRSAPPKGGAAGSAVVIVIMRASFAPENKGRDPYTVIATEGRGCGSTPRTVPPSPEPLDGGEEVKVAEGKVEEGWEENKMEEKRREKERGKNKREAEMRCDGEKKNVE >ORUFI09G02490.1 pep chromosome:OR_W1943:9:3411719:3412158:-1 gene:ORUFI09G02490 transcript:ORUFI09G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHVAISLMWSGIPTVASYLTPRKRQASGGVPNSKLPSYGDYVPDSKDEASGGIPNSKLPPSGGFVPDFKDEASGGVPDPRSLLPHPPFPHNFIPNSEDQDGVSNSKLPPSPLPPLLPTPMASS >ORUFI09G02500.1 pep chromosome:OR_W1943:9:3412978:3416440:-1 gene:ORUFI09G02500 transcript:ORUFI09G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRTPLLVAAVLLLLLPQATPIATASPVAFSFPSFSLRNLTLLGGASLRAISVSLPPPSSRALFPLPLPFPRNASFSTSFVFASPAAARPASSLSFLLLPDLLAEGLAAKNRSLPLELTFDASRNLVSASSAGVDVDGNSTAAVDLRNGNEVGSWVVYDASLARLEVFVSHASLRPPTPALAADADSIAARFAEFMFVGFEVTSSSGNGSSDGGFLIQSWTFQTSGMPAVDPASRSSHNVSDSVDSAPALDGLAGHKDGRRRRLALGLGIPLPIVFLGAVTVFVVMSLKKWGSGFKKGLGAKAAVGKPRQYTYLHLFSVTKGFDPSLVVGSGGFGTVYKAVCPCSGVTYAVKRSKQSRDSYNEFNAELTIIADLKHPNLVHLQGWCAEKDELLLVYEFMSNGSLDMALHPCSEAECHVPLSWAQRYNVAVGIACAVAYLHEEHDKQVIHRDIKCSNILLDSHFNPRLGDFGLARLKDPNTSPRSTLAAGTVGYLAPEYLQMGKATEKSDVYSYGVVLLEICTGRRPIESAAPDSMNMVNVVDWVWNLHSKGKVLDAVDPTLNGEYDAGQMMRFLLVGLSCVNPFSEERPVMRTVLDMLEGNSGLLSVPRKKPLLVFVPNAPIDLEGIVSECNQSTVSSGLYELKIDLN >ORUFI09G02510.1 pep chromosome:OR_W1943:9:3436527:3439731:-1 gene:ORUFI09G02510 transcript:ORUFI09G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAGTISQQLTRYAAAQALLPGAHLHANLLKSGFLASLRNHLISFYSKCRRPCCARRVFDEIPDPCHVSWSSLVTAYSNNGLPRSAIQAFHGMRAEGVCCNEFALPVVLKCVPDAQLGAQVHAMAMATGFGSDVFVANALVAMYGGFGFMDDARRVFDEAGSERNAVSWNGLMSAYVKNDQCGDAIQVFGEMVWSGIQPTEFGFSCVVNACTGSRNIDAGRQVHAMVVRMGYEKDVFTANALVDMYVKMGRVDIASVIFEKMPDSDVVSWNALISGCVLNGHDHRAIELLLQMKSSGLVPNVFMLSSILKACAGAGAFDLGRQIHGFMIKANADSDDYIGVGLVDMYAKNHFLDDAMKVFDWMSHRDLILWNALISGCSHGGRHDEAFSIFYGLRKEGLGVNRTTVAAVLKSTASLEAASATRQVHALAEKIGFIFDAHVVNGLIDSYWKCSCLSDANRVFEECSSGDIIAVTSMITALSQCDHGEGAIKLFMEMLRKGLEPDPFVLSSLLNACASLSAYEQGKQVHAHLIKRQFMSDAFAGNALVYTYAKCGSIEDAELAFSSLPERGVVSWSAMIGGLAQHGHGKRALELFGRMVDEGINPNHITMTSVLCACNHAGLVDEAKRYFNSMKEMFGIDRTEEHYSCMIDLLGRAGKLDDAMELVNSMPFQANASVWGALLGASRVHKDPELGKLAAEKLFILEPEKSGTHVLLANTYASSGMWNEVAKVRKLMKDSNIKKEPAMSWVEVKDKVHTFIVGDKSHPMTKEIYSKLDELGDLMSKAGYIPNVDVDLHDLDRSEKELLLSHHSERLAVAFALLSTPPGAPIRVKKNLRICRDCHMAFKFISNIVSREIIIRDINRFHHFRDGTCSCGDYWIEQNLAIASLQPGVSYSIYRETTTISPAAEITSFDGHMPISLFTRSYKSFTFASLLEMVTMRLLYYRAPLILLVFNSSILFPLPYMNLYFVTGNW >ORUFI09G02520.1 pep chromosome:OR_W1943:9:3451447:3454923:1 gene:ORUFI09G02520 transcript:ORUFI09G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAGVRERRGVLKVVVASGTNLAVRDFTSSDPYVVVRLAAMNKKTKVINSCLNPVWNEEMSFSIEEPAGVIKFEVFDWDRFKYDDKMGHAFLELQPVAAAAKLRRALRLTTGETKLRKVAASVDNCLMCDSFVTYADGEIVLDARLRLRDVESGELFVTVKWIEANAK >ORUFI09G02530.1 pep chromosome:OR_W1943:9:3457995:3458691:-1 gene:ORUFI09G02530 transcript:ORUFI09G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHIHHIQHSRDGVGVGSGAELEYVGSGAELEDVRGSHEVVISESTNGIGGGVELESMPTVVMKNSSWLDSGSVPTAATKKPSVYRRCGVIETPMGMPSSWLLDGEVRIYEDNAGGGDKSVDSVAYA >ORUFI09G02540.1 pep chromosome:OR_W1943:9:3461777:3467282:1 gene:ORUFI09G02540 transcript:ORUFI09G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVNPLTGFRVDGRRPNEMRQLKGEVGVVARADGSALFEMGNTRVIAAVYGPREVQNKGQQVNSKDALVRCEYRMADFSTGDRRRKPKGDRRSTEISLVIRQTMEASILTHLMPRSQIDIFVQVLQADGGTRAACINAATLALADAGIPMRDIVTSCSADLNYIEDSAGGPDVTVGFLTKMDKVTLLQMDAKLPMDTFETVMDLAIEGCKAIANYIREVLLENTRRLECQRDH >ORUFI09G02550.1 pep chromosome:OR_W1943:9:3468668:3469410:-1 gene:ORUFI09G02550 transcript:ORUFI09G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQLLMTVMRRIKLRSFGPIVFSEFWFGRLARGKRMSWSWELKMPNYLNSLTLPYQT >ORUFI09G02560.1 pep chromosome:OR_W1943:9:3472359:3478101:1 gene:ORUFI09G02560 transcript:ORUFI09G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNIGSRDVCVVGVARTPMGGFLGALSSLSATKLGSIAIEAALKRANVDPALVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCSAVNKVCASGMKATMFAAQSILLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDVYGDFAMGNCAELCADNHALTREDQDAYAIQSNERGIAARNSGAFAWEIVPIEVPVGRGKPPVLVDTDEGLDKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVIARIKGFADAAQAPELFTTSPALAIPKALANAGLESSRVDYYEINEAFSAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >ORUFI09G02560.2 pep chromosome:OR_W1943:9:3472359:3478101:1 gene:ORUFI09G02560 transcript:ORUFI09G02560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNIGSRDVCVVGVARTPMGGFLGALSSLSATKLGSIAIEAALKRANVDPALVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCSAVNKVCASGMKATMFAAQSILLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDVYGDFAMGNCAELCADNHALTREDQDAYAIQSNERGIAARNSGAFAWEIVPIEVPVGRGKPPVLVDTDEGLDKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVIARIKGFADAAQAPELFTTSPALAIPKALANAGLESSRVDYYEINEAFSAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >ORUFI09G02560.3 pep chromosome:OR_W1943:9:3472761:3478101:1 gene:ORUFI09G02560 transcript:ORUFI09G02560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNIGSRDVCVVGVARTPMGGFLGALSSLSATKLGSIAIEAALKRANVDPALVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCSAVNKVCASGMKATMFAAQSILLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDVYGDFAMGNCAELCADNHALTREDQDAYAIQSNERGIAARNSGAFAWEIVPIEVPVGRGKPPVLVDTDEGLDKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVIARIKGFADAAQAPELFTTSPALAIPKALANAGLESSRVDYYEINEAFSAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >ORUFI09G02570.1 pep chromosome:OR_W1943:9:3480386:3480885:1 gene:ORUFI09G02570 transcript:ORUFI09G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKAKMIVSSTLVSLPAIKSSFIPRVKVLLEYVGNIIILWHILIQNNKIINITFAMGIDLVIVLDNWENRSIPREWTFAGSVSSSSATARCACTTSSSTSIRYVDVEEAEQSRPRALPKNLIARLLVQGHK >ORUFI09G02580.1 pep chromosome:OR_W1943:9:3519964:3538477:1 gene:ORUFI09G02580 transcript:ORUFI09G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRRRAVEVPPNIKSFIDCVTATPLENVESPLKDFVWEFGKGDFHHWLDLFNHFDSFFESYIKPRKDLQLEDDFLEVDPPFPREAVVQILRVSRLILENCTNRHFYSLFEQHLSSLLASTDADIVEGSLETLRAFVNKSVGKSSIRSASLTSKLFAFSQGWGGKEGGLGLIACSLPSGCDPIATEIGSTLHFEFYRGADKSDKSQSIDNCHRLEIIHLPSIISCKENDLEILEKLVKDYSVPPSLRFSLLTRLRFARAFDSLAYRRQYTCIRLSAFIVLVQASHDSESLALFLNNEPEFIDELLSLLSYEDEIPEKIRRLGILSLVALCQDRSHQPTVLSSVTSGGHRGILPSLMQKAVDSIINGSTKWSTEFAEELLSLVSMLVSSTPGSLALQEAGFIPTILPLLKDTDTHHLHLVSTAVHVIEGFLDYHNPSSALFRDLGGLDDTIARLKIEVSQVDIGSKKSEEPQSMSKGKEVESSLPPPDMQTVHSEALISYNRRNLMKALLRTISLATYVPGSSARVDGSEENVLPPCLCTIFRRAKEFGGGVFSLAATVMSDLIHKDPTCFTVLDAAGLPQAFIDAIMGGILYNSDAITCIPQCLDALCLNSSGLQLVKDHNALRCFVKIFTSRSYLKALGGDTAGALSLGLDELLRHQSSLRSSGVDMLIEILNTISKVGCGGESSSCTESGNSSTPLPMETDVQGGTSRSEVGTSEVGSSEKMVDASLDATSSSIESYLPECICNVGRLIETILQNSDTCRLFSEKKGIEAVLQLFKLPLMPVSVSVGQSISVAFKNFSSQHSVSLARAVCSFCRDHLKLTNGLLGSVSGTKLVISDHVKQSPLLKALSSLEGLLSLCNFVLKGNAFMVSELAFADAEILRELGKVYIEVTWQISLLSDSKVEKQDMEQDDVPGDASVSNLSERDSDDDTNAASVTRHMNPVSVRTSSVSPWNMEQDIISAVRSAASIHRHGRHTLSRIRGRLSGAMDAAHTDIDSPFSPGESSQSHDTIKKSPDVVVSELLTKLGHTMRSFLSTLVKGLPARRRADSSLTPASRSLVIALAQLFLTALGYSGHSTAGFEMSLSVKCRYLGKVVEDMAALTFDSRRRSCNSAIVNSFYVNGTFKELLTTFEATSQLLWTLPFSVPTTGSDQASSISEKVSHNSWLLDTLQSYCKLLEYCVNSSFLLSPSHNQLLVQPMVTELSINLFPVPSEPESFVRILQSQVLEAVLPVWNHTMFPECSPSLITSLISIVSHICSGVGALKQSRAGVGAANQRLTSPPLDESSIATIVEMGFSRARAEEALRSVRTNSVEMATDWLFSHPEEFVQEDVQLAQALALSLGNTTEASKEDGCNKNGPSVVEDKGVILLPLDDILAVSTKLFSSGDDMAFPLTDLLVTLCNQNKGDDRQRVILYLFEQLKRFPSDSSVDAGALYSFARLLALLLSEDSSIREIGAENGVVPHVLNLLENLKSRTEKTDQTWNSISALLLILDNMIQYAPALDIEMPEGTSKVSSDASNADCKVNPSLFAEKKTETDYSATYPNVHVFEKVMGRSIGYLTDQESQKILLLCCEFIKQHVPAIVMQAVLQLSARLTKTHTLAAQFSENGSLASLLNLPKTCIFPGYETLASAIVRHLIEDPQTLQSAMELEIRQSLSTRGSHASRSFLTNMSPLISRDPVIFMRAVTLVCQLDCSGGRTNVVLLKEKEKDKEKQKVSTTESGALGNEPVRMTADTKTIDTVNRCSRNQKKVPTSLSQVIDQLLVIIMSYSSPKKEQRSDGYFMLSPMDVDEPNTKGKSKVNDEQNLDGSEKSALMSKLAFVLKLMSEILLMYVHAVGIILKRDTELSQLRGGDQVAGHSGLLYHVFNLLSSDRSADVSDNWMGKLSERASWFLVALCCRSTEGRRRVISEIMKAFNYFIDSASSTSRGSLIPDKKVLAFSELINSILSRNSQNNLPVLGCSPDIAKSMIDGGMVQSLSGLLKVIDLDHPDAPKVVNLILKALDSLTRTANASDQIQKSDRYAKNKLTGSHEQTNVANENVIHEQGTSNGHGTIDTVQSTRQQVQELSHDDGNNNAGQDQPVEQMRLDLVENTAGNSSTGGVEFMREEATEGNLMTTTTDAGLDFSAQHQADDEMVEEEDDLGEDGEDEDEDEDEEEIAEEGAGLMSIADTDIEDQENTAIGDDYNDDMMDEEDDDFLENRVIEVRWRETLTGMNRHLRVSRGRGDASGFIDISAEAFRGVGTDDMFNLHRPFGLERRRQSGSRSFTDRSRSDGNAFQHPLLSRPVQSRDGIGSVWSSSGTPSRDLHTFSFGTSDIPFYMLDAGLPPETSAPVFGERVVSTAPPPLIDFSLGMESLRIRRGLGDNLWTDDGQPQAGNHAAAVAQALEHHFITELNVSTFLNNAIPYTGNRVLDMQPDQTGDDVDDDLPSQDDDISEHVTTDSPALPTSSPQQFGTTNQANGNVCPMNDLICQQSADVADVRTEEEMHQIADDMNVIPQSNEDTADRQHVAYPDRDSLSGNLQSYDHVMQDEVEIPQRGQIGNDIRDPSDLESSCHALLTSTSAAPELSDAHVDSTTMNTDVDMNSIDISENQVENSAPGLYGNVVSVRLDEGAPQETMQPDQLNANNEASSTNEIDPTFLEALPEDLRAEVLASQQNRAAPTASYTPPAAEEIDPEFLAALPPEIQAEVLAQQRAQRIAHSQPIGQPVDMDNASIIATFPPDLREEVLLTSSEAVLSALPSALLAEAQMLRDRELSRYRARGSLFGGSYRLGARRLPTDNQTAVMDRGVGVTVGRRVISTVSAGAKGKDVEGTPLLDSSALKALIRLLQLAPPLSKGLLQRLMFNLCAHSVTRATLIGHLLNIIKPEAEGLNGWDCMTTYRLHGCQWNIVYAQPQSANGLPPLVTRRLLEVLTYLASNHPSVAGLLVYFDPSTSSNCMILKHGKELSQEGLQSDMMKTSSEGYTPILLFLKLLNKPLFLRSRVYLEQVMCLLEVVVSNAASKVDYPPHSGQMVSTSVDENRAPIETHGEPSTMEQVPIQENSQNKDVVVPASGPQQSINVHDILTQLPDSELHNLCNILALEGLPDKVYTLAAEVVKKLASVAVSHRKFFSMELASAAQSLSSSAVEELVTLKNTQMLGLNSCSMAGAAILRVLQVLSTLTSDMSGNSQDQAVGQEEQSILWDLNISLEPLWQELSDCISTTEAKLVHNSSFNPQVPLMDAIEVGASSSTSPPLPPGTQRLLPFIESFFVLCEKLQTSQAVVPSDSNVTATEVKELAGSSSSPSLKTGGVCNITFVRVAEKHRRLLNVFIRQNPSLLEKSLSMMLKVPRLIDFDNKRAYFRSRIRQQHDQHLSAPLRISVRRAYVLEDSYNQLRLRRSQDLKGRLTVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDPDEEKHILYEKNEVTDYELKPGGRNIRVTEETKHEYVDLVAEHILTTAIRPQINAFLEGFTELVPRELISLFHDKELELLISGLPEIDFDDLKANAEYIGYSPASPVILWFWEVVNGFSKEDMARFLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYSSKEQLEERLLLAIHEASEDFGGKIESMVTGCYTLHKPGEKNA >ORUFI09G02580.2 pep chromosome:OR_W1943:9:3519964:3523580:1 gene:ORUFI09G02580 transcript:ORUFI09G02580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRRRAVEVPPNIKSFIDCVTATPLENVESPLKDFVWEFGKGDFHHWLDLFNHFDSFFESYIKPRKDLQLEDDFLEVDPPFPREAVVQILRVSRLILENCTNRHFYSLFEQHLSSLLASTDADIVEGSLETLRAFVNKSVGKSSIRSASLTSKLFAFSQGWGGKEGGLGLIACSLPSGCDPIATEIGSTLHFEFYRGADKSDKSQSIDNCHRLEIIHLPSIISCKENDLEILEKLVKDYSVPPSLRFSLLTRLRFARAFDSLAYRRQYTCIRLSAFIVLVQASHDSESLALFLNNEPEFIDELLSLLSYEDEIPEKIRRLGILSLVALCQDRSHQPTVLSSVTSGGHRGILPSLMQKAVDSIINGSTKWSTEFAEELLSLVSMLVSSTPGSLALQEAGFIPTILPLLKDTDTHHLHLVSTAVHVIEGFLDYHNPSSALFRDLGGLDDTIARLKIEVSQVDIGSKKSEEPQSMSKVCLRQICRLFILKR >ORUFI09G02580.3 pep chromosome:OR_W1943:9:3519964:3523580:1 gene:ORUFI09G02580 transcript:ORUFI09G02580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRRRAVEVPPNIKSFIDCVTATPLENVESPLKDFVWEFGKQHLSSLLASTDADIVEGSLETLRAFVNKSVGKSSIRSASLTSKLFAFSQGWGGKEGGLGLIACSLPSGCDPIATEIGSTLHFEFYRGADKSDKSQSIDNCHRLEIIHLPSIISCKENDLEILEKLVKDYSVPPSLRFSLLTRLRFARAFDSLAYRRQYTCIRLSAFIVLVQASHDSESLALFLNNEPEFIDELLSLLSYEDEIPEKIRRLGILSLVALCQDRSHQPTVLSSVTSGGHRGILPSLMQKAVDSIINGSTKWSTEFAEELLSLVSMLVSSTPGSLALQEAGFIPTILPLLKDTDTHHLHLVSTAVHVIEGFLDYHNPSSALFRDLGGLDDTIARLKIEVSQVDIGSKKSEEPQSMSKVCLRQICRLFILKR >ORUFI09G02590.1 pep chromosome:OR_W1943:9:3544686:3548154:1 gene:ORUFI09G02590 transcript:ORUFI09G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTTEGTYSCTDAFYYSFFLSEMTFCCIQHETAGLPLDNRYSVGASMVLILQIIIYHECNAIYAYISCDVTIKTNFDVSTWSQDHAI >ORUFI09G02600.1 pep chromosome:OR_W1943:9:3563819:3566780:-1 gene:ORUFI09G02600 transcript:ORUFI09G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRPIRLASQARVLSGGGGAAAQQPPTQHAIAAQRRLPSRNPWSRIVPSLLPDGKSYHIIDTSFTSEEAFVPAPPPPLLISAARRPSAPSAQPIATMFAWPVPPRGWTVSQTTGRYRFGYGFGGESSSSTAMRTPAAPTTTWGPAPLLLAPTALAPPLVVPRGWWTVSPTTGNDCFGDGGTSSLIAAPLTPTGHSSPPEPVLSDPMPLGLTMSPTTAHYSFSYVGASSSSATPRAPTAPLALRAPAPHLRVPLVLSPPTPTPSALATHVPTPPASAPPVPMPLAPTPPADVPPGFTVSLTINRYSFGYDGASSSSATPRVRATSLALRVPAPHLHVPRAPAPPVPTPLAPTPHIPTPPALAPPADVPPGFTVSPTTTRYSFGYGGASSSSAMPRAATAPLALRAPAPHLRVPRIPAPPVPTPLASTPHIPTPPALAPPADVPPGFTVSPTTTRYSFGYGGASSSSAMPRAATAPLALRAPAPHLRVSRAPPPLVPTPPAPAPPVATPPTPATPVPTPPMTAPTADVPPGFTVSPTTTHYSFGYGGPSLSSAMPCATAAPLALHGSAPHLRVSRMPAPPIPMPPAPAPPVPTTSTPSPTVPAPPVTAPPATAPSMAAPAAASHGLTVSPTTIRYSFGYGGASSPSAVPCTSSVPLALRALAPHLRALRVSVPRPRAPSASAPPAAAPRGWTVSLTTGRYSFGDSGASSSSTAPRAPAAPLALHAPAPHLRVPSAAAPPPAAPHGWTMPLTTGRYSFSYRGVSLSYTTPRAPIAPLALRSPAPHLRARRVPTAPPAAATPRAPTPPAAAAAPAAPPVPPSGLPSWPVLVRPPTGPARARLAPATPTEAFEEYLVQRRAIEATVDDTPWEMIGRSRKTGGPMFAVAGGGRDRAELEAKEARERRKNRMHKRKAAAAAPAQQPPPPSPPADAPGSSGVGSKKRGGGRKEKQP >ORUFI09G02610.1 pep chromosome:OR_W1943:9:3574041:3574838:1 gene:ORUFI09G02610 transcript:ORUFI09G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDMIRNVVGIVGNVISFGLFLSPVPTFWRIIKEKDVKDFKADPYLATLLNCMLWVFYGLPIVHPNSILVVTINGIGLVIEAIYLTIFFLFSDKKNKKKMGVVLATEALFMAAVALGVLLGAHTHQRRSLIVGILCVIFGTIMYSSPLTIMSQVVKTKSVEYMPLLLSVVSLLNGVCWTSYALIRFDIFITIPNGLGVLFALIQLILYAIYYRTTPKKQDKNLELPTVAPVAKDTNIVALVSKDDDVNGSTASHVTINITIEP >ORUFI09G02620.1 pep chromosome:OR_W1943:9:3579634:3580274:-1 gene:ORUFI09G02620 transcript:ORUFI09G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATQALDMVTSQDYVLIDVRTEKDKAKAGRCGRVERTLLAAGGGLDTGGGGGGCGGVVFGAHLAEEEVLGAEQLGHLVLGLLGELVHGVEQCVVLDDLARLATAEQVDDTLVPLVEVVELVLALLRDTMKPFSIIACDTNFHLPFF >ORUFI09G02630.1 pep chromosome:OR_W1943:9:3584794:3589332:-1 gene:ORUFI09G02630 transcript:ORUFI09G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTDLSTMGDANENLAQQGDANGNPAHQGLPPRHLIIPYSVAAAMANRPIRLASQARLLSGGGGAAAQQPPTQRAIAAQRHQPSRGPWSRIVPSLLPDGKSYHIIDTSFTSEEAFVPAPPLPLLVSAARRLAAPSPQPIATIAWWTVSLTTGRYRFGYGFGGANSSSTALRTPAAPTTTWRPTPLLLLPAPPVPAPLVPAPSALASPLVAPRGGRTVSPTTSNYHFGDGGTSSSTATPLTPAGHSTPPEPVPFVPVPLGLTMSPTTAHYKFSYGGASSSSATPRAPTAPLALRAPAPHLRVPRVLSPPTPTPPALATHVPTPPAPAPPVATPPTPTTLVPTLPVTAPPADVPLGFTVSPTITRYSFDYDGASGGSRIDSLGASSSTATPRVRTTSLALRAPAPHLRVPRIPAPPVPTPLASTPPIPTPPALAPPADVPPGFTLSPTTTRYNFSYGGASSSSTMPRAATAPLALRAPAPHLRVSRLPPPLVPTPPAPAPPVATPPMPTTPVPTPPMTAPPADVLPGFNVSPTTTHYSFGYGGASLSSAMPRAAIAPLALRGPAPHLRVSRMPAPPIPLSPAPAPPVTTPSTPSPVVHEPPMTTPPATAPSMAAPTAASHGLTVPSAVPCTSSVLRALAPHLRALRVSVPRPRALSASAPPASAPHGWTVSVATGRYSFGNSGASSSSTAPRAPTTPLALHAPAPHLRAPPASAPPPAAPPGWTMPPTTGRYSFSYGGVSLSYATQRAPIAPLPLRSPAPHLRARRVPTAPPAAATPRAPTPPAAAAAPAAPPTPPSGLPSWPVLVRPPTGPARARLAPAAPAEIFEEYLVQRRTIEATVDQRTGGPMFAVAGGGRDRAEREAKEARERCKNRMHKRKATAAAPAQQPPPPPQPADAPGSSGGGSKKRGGGRKEKQA >ORUFI09G02640.1 pep chromosome:OR_W1943:9:3596732:3597526:1 gene:ORUFI09G02640 transcript:ORUFI09G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDKIRNVVGIVGNVISFGLFLSPVPTIWRIIKNKNVQDFKADPYLATLLNCMLWVFYGLPIVHPNSILVVTINGIGLVIEAVYLTIFFFFSDKKNKKKMGVVLAAEALFMAAVALGVLLGAHTHQRRSLIVGILCVIFGTIMYSSPLTIMSQVVKTKSVEYMPLLLSVVSFLNGICWTSYALIRFDIFITIPNGLGVLFAAVQLILYVIYYRTTPKKQDKNLELPTITPVAKDTSVAPTSKDDNVNGSTASHVTTDITIQP >ORUFI09G02650.1 pep chromosome:OR_W1943:9:3612714:3639803:1 gene:ORUFI09G02650 transcript:ORUFI09G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGLAVCAASFHLFLLLASISSLRRAPTEADTANHARRTAYHFQPAKNWQNGPMYHNGMYHLFYQYNPHSALWDIGNLSWGHSVSGDLLNWAALDTALDPTSPFDANGCWSGSATILPGALPAILYTGIDASKEQVQNVAFAKNPSDPLLREWEKPAYNPVIALPADVPGDKFRDPSTAWLGRDGLWRIAVSAEVDGVASTLVYRSKDFVRWERNAAPLHASRAAGMVECPDLFPVAERGEDGLDTSANGAGGVRHVLKLSVMDTLQDYYMVGTYDDAADAFSPAEPERGDDCRSWRRLDYGHVYASKSFFDARKNRRVLWAWANESDSQADDVARGWSGVQTFPRKMWIAKDGKQLLQWPIEEIETLRRKRAAGLRRGTRLGAGAVQEIVGVASSQADVEVVFKIPSLEEAERVDDPNRLLDPQKLCGEKGAAVRGGVGPFGLLVMASGDLHEHTAVFFRVFRHHDKYKLLMCTDLTKSSTRAGVYKPAYGGFVDMDIDDHKTISLRTLSFGGGGRACITARVYPEHVATSSSHLYVFNNGSDAVKVAKLEAWDLATATVNWCEKIINNTDADDSYYHRTYSDQHFCYHQWCPTTIAEGGKLMRANGKTMLVKAAAFHTLPLLCATTRRRVALPLDVKGLQPHQVAIATQLPRALPTRHPTITYHHPILISSTSRRPTTTEKTWRVWGKKRNDRQEDEVWFQMRVRRENNARADFLEQIKKSDSNRPTTLLHAAQLNPMRSEQQEGRRSARSSSPSPPATAMARLGLAVCAVSFHLCLLLSSTSSLRLNPTTEDTANHGRRTAYHFQPAKNWQNGPLYHNGMYHLFYKYNPHSALWDIGNLSWGHSVSGDLLNWAALDTALDPTSPFDANGCWSGSATILPGGLPAILYTGIDAGKEQVQNVAFAKNPSDPLLREWEKPAYNPVIALPPDVPGDNFRDPSTAWLGRDGLWRIAVSAEVDGVASTLVYRSEDFVRWERNAAPLHASRAAGMVECPDMFPVAENGEDGLDTSTNGAGGVRHVLKLSVMDTLQDYYMVGTYDDAADAFSPAEPERGDDCRRWRRLDYGHVYASKSFFDARKTGTFCGRGRTSPTARPTTSPAADVPXVKVAKLEAWDLATATVNVVVGDHHGLAAPALELEPTRTTQ >ORUFI09G02650.2 pep chromosome:OR_W1943:9:3618720:3639803:1 gene:ORUFI09G02650 transcript:ORUFI09G02650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSFVISFGGGGRACITARVYPEHVATSSSHLYVFNNGSDAVKVAKLEAWDLATATVNWCEKIINNTDADDSYYHRTYSDQHFCYHQWCPTTIAEGGKLMRANGKTMLVKAAAFHTLPLLCATTRRRVALPLDVKGLQPHQVAIATQLPRALPTRHPTITYHHPILISSTSRRPTTTEKTWRVWGKKRNDRQEDEVWFQMRVRRENNARADFLEQIKKSDSNRPTTLLHAAQLNPMRSEQQEGRRSARSSSPSPPATAMARLGLAVCAVSFHLCLLLSSTSSLRLNPTTEDTANHGRRTAYHFQPAKNWQNGPLYHNGMYHLFYKYNPHSALWDIGNLSWGHSVSGDLLNWAALDTALDPTSPFDANGCWSGSATILPGGLPAILYTGIDAGKEQVQNVAFAKNPSDPLLREWEKPAYNPVIALPPDVPGDNFRDPSTAWLGRDGLWRIAVSAEVDGVASTLVYRSEDFVRWERNAAPLHASRAAGMVECPDMFPVAENGEDGLDTSTNGAGGVRHVLKLSVMDTLQDYYMVGTYDDAADAFSPAEPERGDDCRRWRRLDYGHVYASKSFFDARKTGTFCGRGRTSPTARPTTSPAADVPXVKVAKLEAWDLATATVNVVVGDHHGLAAPALELEPTRTTQ >ORUFI09G02650.3 pep chromosome:OR_W1943:9:3612714:3618785:1 gene:ORUFI09G02650 transcript:ORUFI09G02650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGLAVCAASFHLFLLLASISSLRRAPTEADTANHARRTAYHFQPAKNWQNGPMYHNGMYHLFYQYNPHSALWDIGNLSWGHSVSGDLLNWAALDTALDPTSPFDANGCWSGSATILPGALPAILYTGIDASKEQVQNVAFAKNPSDPLLREWEKPAYNPVIALPADVPGDKFRDPSTAWLGRDGLWRIAVSAEVDGVASTLVYRSKDFVRWERNAAPLHASRAAGMVECPDLFPVAERGEDGLDTSANGAGGVRHVLKLSVMDTLQDYYMVGTYDDAADAFSPAEPERGDDCRSWRRLDYGHVYASKSFFDARKNRRVLWAWANESDSQADDVARGWSGVQTFPRKMWIAKDGKQLLQWPIEEIETLRRKRAAGLRRGTRLGAGAVQEIVGVASSQADVEVVFKIPSLEEAERVDDPNRLLDPQKLCGEKGAAVRGGVGPFGLLVMASGDLHEHTAVFFRVFRHHDKYKLLMCTDLTKSSTRAGVYKPAYGGFVDMDIDDHKTISLRTLIDHSVVESFGGGGRACITARVYPEHVATSSSHLYVFNNGSDDVKVAKLEAWDLATATVNVVVGDHHGLVAPALELEPTRTTQ >ORUFI09G02650.4 pep chromosome:OR_W1943:9:3612788:3618785:1 gene:ORUFI09G02650 transcript:ORUFI09G02650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGLAVCAASFHLFLLLASISSLRRAPTEADTANHARRTAYHFQPAKNWQNGPMYHNGMYHLFYQYNPHSALWDIGNLSWGHSVSGDLLNWAALDTALDPTSPFDANGCWSGSATILPGALPAILYTGIDASKEQVQNVAFAKNPSDPLLREWEKPAYNPVIALPADVPGDKFRDPSTAWLGRDGLWRIAVSAEVDGVASTLVYRSKDFVRWERNAAPLHASRAAGMVECPDLFPVAERGEDGLDTSANGAGGVRHVLKLSVMDTLQDYYMVGTYDDAADAFSPAEPERGDDCRSWRRLDYGHVYASKSFFDARKNRRVLWAWANESDSQADDVARGWSGVQTFPRKMWIAKDGKQLLQWPIEEIETLRRKRAAGLRRGTRLGAGAVQEIVGVASSQADVEVVFKIPSLEEAERVDDPNRLLDPQKLCGEKGAAVRGGVGPFGLLVMASGDLHEHTAVFFRVFRHHDKYKLLMCTDLTKSSTRAGVYKPAYGGFVDMDIDDHKTISLRTLIDHSVVESFGGGGRACITARVYPEHVATSSSHLYVFNNGSDDVKVAKLEAWDLATATVNVVVGDHHGLVAPALELEPTRTTQ >ORUFI09G02650.5 pep chromosome:OR_W1943:9:3618720:3627784:1 gene:ORUFI09G02650 transcript:ORUFI09G02650.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSFVISFGGGGRACITARVYPEHVATSSSHLYVFNNGSDAVKVAKLEAWDLATATVNWCEKIINNTDADDSYYHRTVVALSSTELHFLLKLLLQFRICRCCSTPTSTSATTSGAQPPLRRAAN >ORUFI09G02650.6 pep chromosome:OR_W1943:9:3632027:3639803:1 gene:ORUFI09G02650 transcript:ORUFI09G02650.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGLAVCAVSFHLCLLLSSTSSLRLNPTTEDTANHGRRTAYHFQPAKNWQNGPLYHNGMYHLFYKYNPHSALWDIGNLSWGHSVSGDLLNWAALDTALDPTSPFDANGCWSGSATILPGGLPAILYTGIDAGKEQVQNVAFAKNPSDPLLREWEKPAYNPVIALPPDVPGDNFRDPSTAWLGRDGLWRIAVSAEVDGVASTLVYRSEDFVRWERNAAPLHASRAAGMVECPDMFPVAENGEDGLDTSTNGAGGVRHVLKLSVMDTLQDYYMVGTYDDAADAFSPAEPERGDDCRRWRRLDYGHVYASKSFFDARKTGTFCGRGRTSPTARPTTSPAADVPXVKVAKLEAWDLATATVNVVVGDHHGLAAPALELEPTRTTQ >ORUFI09G02660.1 pep chromosome:OR_W1943:9:3621790:3622152:-1 gene:ORUFI09G02660 transcript:ORUFI09G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPGLLLILLAAVAAVATTSRAQWLGGWNVIEDVAGNNQIQRVGAWAVGKHNQLGTNDRLQFVRVVAAEEQVVQGSNYLVVIDAASSRKKTRELYVAVVADLVGATTYQLSSFKPATK >ORUFI09G02670.1 pep chromosome:OR_W1943:9:3642477:3645547:-1 gene:ORUFI09G02670 transcript:ORUFI09G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAASSSLPAAAFSPPSSLSSTSSSPHALRSAVAGAARADSILYALEHDEMFNSAEVIQWESGKSINSIAAAQGIRIRRRCRPRYPSEGSGADKAVPRNMLEQIIWDKEVEVSQRKAKKPLQKVIESSQHAPPARDFVGALTAAYSRNVAPALIAEVKKASPSRGVLREDFNPVEIAQSYEKNGAACLSILTDEKHFQGSFENLETVRNSGVKCPLLCKEFVIDIWQIYYARSKGADAILLIAAVLPDLDIKYMLRICKNLGMTALIEVHDERELDRVLKIDGVQLIGINNRSLGMVIIISCKCLQSFETLRIIVDIDVYWNFVIIETFKVDTSNTKTLLEKRGDLIRDKGILVVGESGLFTPDDVAYVQNAGVSAILVGESLVKQENPGQAIAGLYGKDLLH >ORUFI09G02680.1 pep chromosome:OR_W1943:9:3653807:3654109:1 gene:ORUFI09G02680 transcript:ORUFI09G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTCLLPWKSSSLSCCPLAAEYYVYQNLELRGSLASSTIVKSSSQWTSTVIIGSRSSHHSSTTDHPMARKVGRKVHEVSNDLDSGMALA >ORUFI09G02690.1 pep chromosome:OR_W1943:9:3669294:3671122:1 gene:ORUFI09G02690 transcript:ORUFI09G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETKINAINHHHLIDISPELGGATAIDGPKEMKELGIVDGAVEMKELGVAAAVHGAGEMKELGGTASIDGAADKKELGGAVAGAGGAAETKWLRKLTSSSVNTAVLRDLIARTPMLWYLGERSGTILRPRSRRAGVDALHAVRAVAIGPFHRRDHWLPFPDDAKLPFLRYLQDQCGLDVEHYVAALADESDCLRDEFADDDVGDDVAAEILGDEEKFLQMVLLDSCFILVVSMMLSKVCTDGDKASCVSRAASISREYFILHMAVSQHAEDIKLDMLVLENQVPFAAVKLLAASCSKLKLLRPVEELVLGCFDDILPKRASPAAGDTEPFQHVLHLFHWSRVPTSKYCILSTPRKLLKIKKESERLFPSSMELCRSAVWFRSAAASCGDLDMWFWGRTASPVAVMTIPCLDVHEYSATVLHNMIAFEKHFHWAHGACVTAHVARMEGLVRCPQDAAFLRRRGVLSSMRKTDAELVAFFRELGEETVGARLPDEYAEMVDAVACHRSRKVSWWCGGFVLHFFPSPWVVVSLVAAAAVIVVPSLLQTVYTILSYVKTT >ORUFI09G02700.1 pep chromosome:OR_W1943:9:3693905:3694747:1 gene:ORUFI09G02700 transcript:ORUFI09G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKFMLVKENFKPRHDDVILVTHPKSSTTWLKALAFAIVNRSSFESIDASHHPLLTQNPQHLVPFVGAQGGNLDYLETLPSPRLLTTHLPLSLLPSTVTTMGCHIIYLCREPKDAFISRWHFDNKIAQGAKINIDTTFTMFLEGCSPFGPFWDHYLQYWKESLKRPRDVLFLRYEEIVFDPLKVVRDLAGFIGVPFTEEEVKSGVDQEVVRLCSFKALSDLDINRNGGVERAEGKIFIAYSVLFRQGKTGDWVNHMSKDMGEKLDQLVEDKFKGSGLKF >ORUFI09G02710.1 pep chromosome:OR_W1943:9:3697461:3698236:-1 gene:ORUFI09G02710 transcript:ORUFI09G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEDMESCIHMYKEQKYLMMVIMLTTNKVIFSSIVPALLYFFLQLRPVVTFMISISCLNSH >ORUFI09G02720.1 pep chromosome:OR_W1943:9:3721291:3721773:-1 gene:ORUFI09G02720 transcript:ORUFI09G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVLATEALFMAAVALGVLLGAHTHQRRSLIVGILCVIFGTIMYSSPLTIMSQVVKTKSVEYMPLLLSVVSFLNGLCWTSYALIRFDIFITIPNDKNQDKNLELPTVAPVAKETSIVTPVSKDDDINGSTASHVMINITKEP >ORUFI09G02730.1 pep chromosome:OR_W1943:9:3721780:3722076:-1 gene:ORUFI09G02730 transcript:ORUFI09G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDLIRNVVGIVGNAISFGLFLSPVPTFWRIIKEKDMKDFKADPYLATLLNCMLWVFYGLPIVHPNSILVVTINGIGLVIEAVYLTIFFLFSNKKN >ORUFI09G02740.1 pep chromosome:OR_W1943:9:3723101:3723388:-1 gene:ORUFI09G02740 transcript:ORUFI09G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHQQKMQMPPSSKDDEPAAATRPPPPKREERAEKAPEMEKKVVVTVVNGEEEDDDSGSTDNGRRRCVCSAAGQPAGHFRCVCAETDGADEPDE >ORUFI09G02750.1 pep chromosome:OR_W1943:9:3733080:3733570:-1 gene:ORUFI09G02750 transcript:ORUFI09G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILLKIMETPQIIPAKRDHRSCIVLLHYSRKISLKRLTTIICDEWLRGWNFLLKLAPVLNYLMSHEGGHGNEPLIPTGKTFRHPFGPIIEGYGILRGVLVRHNDVEAILDYHVFEVSHFDIFIGHDRSERPQKHLSS >ORUFI09G02760.1 pep chromosome:OR_W1943:9:3736142:3737317:1 gene:ORUFI09G02760 transcript:ORUFI09G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENGDSRFVRDLVSPTPGPPLLPTQQALRALSSLQIIQGLRVASPERLPQPSSGQRRILPMAPQARRWRADGSTTMFVASLPLNQVRAIGLFLNTFLVATMRRQEGPLYHNAIVIPTIAPAPAPAPPTTQMLAMENTMPATVEPVTNHRIINHDIMHFMRETLDIQRLHGVVSPVAVHGNGNPLSCIYCGLVFALRSSEIPGFLPPPGFSHPEPMGPPPLLSLLPPARDASRTAAVCSDPHHFAVTMQYMPKQEMGDLIWSSEIPSIHISSAAGGQHVGTVPSLTGITGATTSMVNLMQMPAIHMEQHMLPPTMLNSSASLECITSTAVPSTLNMMPMRDILIDQLSLPPAISSSPASGVLCEYVMPEHEDMVRLTLGQSSTMDLDLSL >ORUFI09G02770.1 pep chromosome:OR_W1943:9:3775238:3777952:1 gene:ORUFI09G02770 transcript:ORUFI09G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMPSHFIGRRQVEGDCRLLEQVSEGETVQLLDCSPFGFSLCILVIAVVLLVGVAFEMVLTRLLVGVELLGQWDVSDALLETPTTTGPGSAPQQHILTPRRLEFSMINNVAHYDFNGHTIVPLSCIIQGSTQNNNKTIFVAENSGTFKFHDT >ORUFI09G02780.1 pep chromosome:OR_W1943:9:3777179:3777554:-1 gene:ORUFI09G02780 transcript:ORUFI09G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVTPIKKLTEANDGSLECQCKGGNESVPTRVACSACGHFAKHCPLKRLRPTRVNTISKATPTKRTTAITCGKGKKTQIIANKGIKHSKVQDDHTNHK >ORUFI09G02790.1 pep chromosome:OR_W1943:9:3779948:3786588:1 gene:ORUFI09G02790 transcript:ORUFI09G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEQKPETNERLMVARQNLPDVDIFYMNARHRSRQQNVMPGERSTHLARCNARYAARRDKPCAESIAFECLDGCNPSLLNLTTCLQTVGDVPATSTLQFEKTNTLDELDIPDDGRASDGRGSVAAPVVGWSAPETFGTGRRQLGLGIVRVRRCEWRWCETDLRGDAGEGEPVARRQRWGSVAARNSSAWKASAAT >ORUFI09G02800.1 pep chromosome:OR_W1943:9:3783191:3785406:-1 gene:ORUFI09G02800 transcript:ORUFI09G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVAQAAANLGMHVHVELRLDLCKILGDDDDAWSDPAAVENGGATATASSSLRSAKKGCFMNEDLRRNSPGSEESTKKVLLWRVSFFASQGSDVAQDNVLGLYKQTVDAVIDYMLSLGKTELSCSRKSFSLADLCKFAQPQLYLSEGKI >ORUFI09G02810.1 pep chromosome:OR_W1943:9:3785460:3788895:-1 gene:ORUFI09G02810 transcript:ORUFI09G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQMIDNSAKIVSPDTVTTSTRYCHKFAEFMKTRPPTFAGSATSWYTDQWLGTIENKLDMVQCDEKNKVFYAAHQLQGAALECWKGYCATDKDPQAISWAEFSSILHYMDVSPGFTKTKDHTKDGVCTLCSQGYRYELMWCPRNKPRNCACARCGEIGHSASEHEISCSSCEQSHLLGECPMSKLTCYLCEGDDHVPIKCPLSSILTTVTQHQREAYQEVIQQVIGETNKIIVTPVKKFREVDDSILQYQWAVNQHPKLHALLVVSKVAWSRWILAGVGGERRPISQCKQKRKRS >ORUFI09G02820.1 pep chromosome:OR_W1943:9:3794753:3794932:-1 gene:ORUFI09G02820 transcript:ORUFI09G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTVTGGVLGPVFVLLSRIRPVVDFFRRLCDCLRHPQRRPARPVRALWKRAAADEQN >ORUFI09G02830.1 pep chromosome:OR_W1943:9:3796984:3801759:-1 gene:ORUFI09G02830 transcript:ORUFI09G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASEEAIKQFSALMELLDEPLKTTFQHVHQGYARGTLVRFLKAREWNVPKAHKMLMDCLNWRIQNGIDSVLAKPIVPSDLYRTIRDTLLVGLTGYSKQGQPVYAFGVGLSTLDKASVHYYVQSHIQMNEYRDRVVLPKASKMFGKQINTCLKVMDMTGLKLSALNQIKMLSTITAIDDLNYPEKTETYFIVNAPYVFSACWKVVKPLLQERTKRKIKVLYGSGRDELLKVMDYEALPNFCKREGSGSSNDSSDGVDCYSYDHPFHQELYNYIKQQALNEDFIGPIKQGSMHVDVPTPDLEEAKIMETIESELHKFSGANGLSHSFNRIKIEGP >ORUFI09G02840.1 pep chromosome:OR_W1943:9:3830378:3833121:-1 gene:ORUFI09G02840 transcript:ORUFI09G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVPDVVTDKKCDKTMAASSEMSQSQEVISRGGKLGTASYRETLLGDVATSSGVKEQDKDSCSVDESVKLDGNEDAEKSSLRGSVKDSSASAKGSDRASSLTKVSGSTKVSDHAADIVGSSKSSVYRASAGSDVSDESTCSSICSSASKPHKSNDSRWEAIQMVRTKEGSLGLGHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKIMDKASLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQKQPGKFFPEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLLKSSNPGVDPNQKGNPSYCVQPVCIEPACIQPSCVTTTTCFAPRFFSSKSKKEKKAKTDIASQVRPLPELVAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQSLRFPESPVVSFAAKDLIRGLLIKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPDIPKPVEIPRSVASTSQKATTTAEKGSDNYLEFDFF >ORUFI09G02850.1 pep chromosome:OR_W1943:9:3838359:3840920:-1 gene:ORUFI09G02850 transcript:ORUFI09G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREANNPTKSSKAMGRDLRVHFKNTRETAFAIRKLPLGKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPAKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPESQIAARKA >ORUFI09G02860.1 pep chromosome:OR_W1943:9:3848341:3858793:1 gene:ORUFI09G02860 transcript:ORUFI09G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDLIRNMVGIVGNIISFGLFLSPDFKADPYLATLLNCMLWVFYGLPIVHPNSILVVTINGIGLVIEAVYLTILFLFSDKKNKKKMGVVLATEALFMAAVVLGVLLGAHTHQRRSLIVGILCVIFGTIMYSSPLTIMTSSTASAGPSYALIRLDIFITIPNGLGVLFALMQLILYAIYYRTTPKKQDKNLELPTVAPVAKDTSIVTPVSKDDDVDGGNASHEAESYERKVPEILPRQAVEGKGRGAARVLLKCDILLLNCDILSHFCFHSISDQSEISLPENELQALLLSIMFNLFEITNHLREESNHNSQKHRSVSLATQQMTVANANEVQSLTSTTPALAKEHPLQVQPTKNFKSNSTEFVIDIEGPYDAEDITGHTTDKTKFILVNYSNSSEEHKSQDPTQDESDNIPNKSTNSSNFRKQMKLEHRANFTANMGNRRLERQHCDENEYQDDFAEFLYEEEPSDNIDMVEQRASSQSSPDIGMNSPRIAQMREPNQHAQIEERQYSMIRIIDSLNASSNCSGTRHNLYRLKRIVHPSKYKSSPYDNYTRHQTISAAELNHYNNILSIVLMDNVKVTWSSLSKSLSPRGVVDTYVLNAYAKKIANDQNNKENEYRNFYFFHRTSVYFLKNWEGTGKEEDYENCARQAFTFARNKKPLHYYELLIFPCLYDNHWFVFTVDIKGHHFVFLDSIYDENNKYHKKIQGLLIPGLIAMWEEFSNVEKNFSKFDIQYPPITCQNNGHDCGIYAMKCMEWWNPRMHLKDMIRPEYIPNMRKQIANDLLFSEHNSQEEAKMLSRSFNPTKHGKYARQQ >ORUFI09G02870.1 pep chromosome:OR_W1943:9:3865576:3865950:1 gene:ORUFI09G02870 transcript:ORUFI09G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRVNVIHKILRERIEQCNRKVGIENISRVVQVGDGIARIIDLSEIMSGELVEFAEGTRGIALNLESKNVGIVLMGNGLMIQEGSFIPVSEAYLGRVINALAKPIDGRGEIVA >ORUFI09G02880.1 pep chromosome:OR_W1943:9:3870775:3871178:1 gene:ORUFI09G02880 transcript:ORUFI09G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYGLPIVHPNSILVVTINGIGLVIEAVYLTIFFLFSDKKNKKKMGVVLATEAHFMAAVALGVLLDAHTHQRRSSAP >ORUFI09G02890.1 pep chromosome:OR_W1943:9:3871214:3871599:1 gene:ORUFI09G02890 transcript:ORUFI09G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSPLTIMSQVVKTKSVEYMPLLLSVVSFLNGLCWTSYALIRFDIFITIPNGLEAIYYRTTPKKQDKNLKLPTVAPVAKGTSIVASVSKDDDIDGSHVTINITVEP >ORUFI09G02900.1 pep chromosome:OR_W1943:9:3880352:3881479:-1 gene:ORUFI09G02900 transcript:ORUFI09G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVASRGAALACRRLSRRLLSHSSSASVLPPLLGHFHQPMVVPPVGLATAPPEMEKLTVPVFHPLTASSSSPRLSLDFVPNLSHFSLYDSHHGLLLLRHHKCEYFNPRLFLVCDPVSRRHALFPPPPADEYAGGRIFGAALLSRQADAADGGLRFEAVCVAVDVDRPRAWVATYRDGECRWRALPRSRGVAIEFDPHWLEYLAVRAAGSLYWHICYNPCALALDTATLEFSFLRVPAMMFDGTSNTHKCRIGEMPEDGRLCVGSVERQELLLCVRGSGDGSDNGWVVERRVRIREVLDGVPWIPKNSFLRHFNLWLRDIDAGRTGKVFIGTLGYGIFSYDLNTGKLENLAIEDGMQYGHPILPYFSAPVDAGSD >ORUFI09G02910.1 pep chromosome:OR_W1943:9:3887224:3887942:1 gene:ORUFI09G02910 transcript:ORUFI09G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTRTAHTRERKTPRLARRSPPLGDGDAGQPLRRLSRRLLSSSTPLLGHFHHPTPVVRCQPKATLPAQARPPSSPPSTPSPVTASSRRLSLDFLPDATDFELYDSHLGLLLLHHHNRPFLVCDPVSRRHARFHPPPLLYGRIVGAALLSREAEADDPGDGGLRFEAVSVAVDDDRPRAWVATHRNGVCSWRALPRSRDVAIEFDPHWP >ORUFI09G02920.1 pep chromosome:OR_W1943:9:3887553:3888514:-1 gene:ORUFI09G02920 transcript:ORUFI09G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKMERKLSSKLPEIHSETPQLTGAEKKGKMGCPYSMPSSIARHSSFPVSRWYEKRPNPKEAMNTLPLQHPPVVAPLAAPTRPELKPLVLQRADAQPPVHRHLPDTVLVVGAWFPHHGRRPQEGELQRGGVQSERAGVVADVPVEAPGGAHGEVLRPVGVELDGDVPRPRQRAPAAHAVAVRGDPGARAVVVDGDADGLEAQPAVAGIIRLGLAGEECGADNPAVEERRRVEPGVAAGDGVADEEGAVVVVEEEEAEVGVVELEVGGVGEEVEGEAPRGGGDR >ORUFI09G02930.1 pep chromosome:OR_W1943:9:3888057:3890455:1 gene:ORUFI09G02930 transcript:ORUFI09G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEPSAHHKYRVGEMPVDGRLCVGSLEDEGLQLWSRGSGKGSDHGWVLELHVRMKEVLDAVPLLPRNILLRHANIWLSDIDAGRTGKVFIASFGFGRFSYHLDTGKLECLAMEDGMEYGHPIFPFFSAPEVAMSLVESQHKHIDCVKLRKDQAIVNQY >ORUFI09G02940.1 pep chromosome:OR_W1943:9:3896014:3896347:1 gene:ORUFI09G02940 transcript:ORUFI09G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERVVRAEVRVCDGGWPEPGRLSHGEAAAGRCRPHILSFSLLSLLSRAGVVADFREAAGRGAGGGGAGERERDGRRDGELGGGE >ORUFI09G02950.1 pep chromosome:OR_W1943:9:3896407:3896685:1 gene:ORUFI09G02950 transcript:ORUFI09G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTFLSSSDAGVPTSDHDQATFFLSRKSSRMMKEVSHVCPWWQHGRCHERARAIEPLELVGVAECTARRLVEEVGLLAGGAVPDADATYS >ORUFI09G02960.1 pep chromosome:OR_W1943:9:3898928:3900710:1 gene:ORUFI09G02960 transcript:ORUFI09G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETLQTLLPPPPAEEAPTTTVAALTDDTLRNILHRLAPADLLRAALACHRWRRAAARCAVMAAPPPLLGYFFHPADTPPPVHLPFSASRGRLHPAAFSPVDASSSSSPRLSLDYLGGTKGFIIYDVYLGLVLLLPTSLPSGTLPRILVLDPASRRRALLPQPPRDALPGDRWRGRRHIVGAAVLSRAHPSRLCFDAVCLTVDDKHPRAWVASYRDGECSWRALPRDTGVTVAFDPFWFEGRCVHAAGDIYWHICHSGRLLKLDPATLSFSYLLAPSELGDSNKKFRIGETPEDGRLGMATVEDQEMQFWERGEASGSDNGWFLRKRMNMRKVLDTIPGLPRDMMSRIISIWLSDIDSGRTGKLFIKTQGYGRYSFHMDTGKLDRLATEDGKEYGHPIYAYYVAWPPAFLAPELEV >ORUFI09G02970.1 pep chromosome:OR_W1943:9:3903345:3903791:1 gene:ORUFI09G02970 transcript:ORUFI09G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIRGNGSEVRTGIQQAAMHEFVSQVTDWGCQRTARRWEEPINVSPDEIIHGKGMNTKNARKMSIDKDDGEAKMTMAAAAAELKVMAISPILGFPGRGGR >ORUFI09G02980.1 pep chromosome:OR_W1943:9:3904748:3906121:1 gene:ORUFI09G02980 transcript:ORUFI09G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVATRGAALAFRRRLSLRLFSHSSASASLPLLLGHFHHPRPVPPRGSPGDLNMAPNVPAFQPLTPSSPRFSLDFVPDLSRFTILDSHLGLLLLRRHDDHGDAFLACDPVSRRHALFHPPPTMGRYSGGTVFSAALLSREADAGGLRFEAVCVAVDADAPRAWVATCRDGDCRWRALPRSRDVAIEFDPYWLESHCVRAAGSLYWHICNNPCALALDAATLQFSFLRAPAAMWDSTTHHKYRVGESPVDGRLCLASLERDGFQLWVRGSGEGSDHGWVLERHVRMQELWPHCDPFCSCNVCAQGVRSGKSLPTVPWLPRDILIRHAHMWLSDIDAGRTGKVFIASFGYGRFSYHMDTGKLECLSTDDGMQYGHPIFPYFSAPPFDSSA >ORUFI09G02990.1 pep chromosome:OR_W1943:9:3917927:3919195:1 gene:ORUFI09G02990 transcript:ORUFI09G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPRVGDGEARAHAGGARRGSMAAKKRPAPALGPLAERHRRWPHRQGVHRHRWIRALLVPSGHRRDGVLGNRRRHGVRPTHIPLHLGYSRWLNFSGNLGLSLFNCQCKC >ORUFI09G03000.1 pep chromosome:OR_W1943:9:3925071:3925831:-1 gene:ORUFI09G03000 transcript:ORUFI09G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASTSLLSSRRRRLVVPLITCPSCNVKTIVRCTAKTDANRGRIFYTCPDHEKDGSGCNFWYWEEGYINYLKRNGFIVGQDGTYGKTAQNVDLDEDAFVRQDEIEKKLIAVVPIGREILLAVKGMLVLGLFGVVILVQKYAVIGFTKSESKRIGCGYVN >ORUFI09G03010.1 pep chromosome:OR_W1943:9:3930323:3931983:1 gene:ORUFI09G03010 transcript:ORUFI09G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRARAGNEGESHSSHSTPARLSPSVARLENRPRTGCFSWTRGLALLGPGCRRGWDFAGFADVRAGEHGGAKEAPTATLAVVRQRVARGLREQLVATGARVKDKDAGEDPGGERCWEEQHETEVDVVHGEAGDAALAVKGEARGGGGGIYRGKGGGVEVWRVTLDATRGTVRSVSAGWKK >ORUFI09G03020.1 pep chromosome:OR_W1943:9:3944752:3948793:-1 gene:ORUFI09G03020 transcript:ORUFI09G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPLLGYFFHPADTPLTAHIVAPIAALHPAAFVPLDSSSPSSPRLSLDGTKGFTIYDVHLGLVLLLPASLPSGVLPRILVLDPASRRRALLPQPPRDALPGDRWRGLRHIIGAAVLSRSHPSRLCFDAVCLTVDDENPRAWVASYRDGECSWRALPQDTGVTVAFDPFWFEGRCVHAAGDIYWHICNSGRLLKLDPTTLSFSYLLAPSELGDRNKKFRIGEAPEDGRLGMAAMEDQEMQFWVRGEASGSDNGWFLQKRMNMRKVFDTVPGLPRDKLSRTVSIWLSDIDAGRTGKLFFETEGYGRYSFHMDTGKLERLATEDGKEYGHPIYAYFMAWPPAFLAPEKSEFPDS >ORUFI09G03030.1 pep chromosome:OR_W1943:9:3958468:3966416:-1 gene:ORUFI09G03030 transcript:ORUFI09G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLPNPLLTADAARSLVDSVDAFLFDCDGVIWKGDQLIEGVPETLDLLRKMGKKLVFVTNNSRKSRRQYAKKFRALGLEVTEEEIFTSSFAAAMFLKLNNFSPEKKVYVVGEDGILEELRLAGFECLGGPEDGKKNILLEANFYFEHDKSVGAVIVGLDQYFNYYKMQYASLCIRENPGCLFIATNRDPTGHMTSVQEWPGAGTMVAAVSCSVQKEPIVVGKPSSFLMDFLLKSFNLETSRMCMVGDRLDTDILFGQNTGCKTLLVLSGVTTLPELQDASNTIHPDLYTNSVYDLVGLLQQ >ORUFI09G03030.2 pep chromosome:OR_W1943:9:3962790:3966416:-1 gene:ORUFI09G03030 transcript:ORUFI09G03030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLPNPLLTADAARSLVDSVDAFLFDCDGVIWKGDQLIEGVPETLDLLRKMGKKLVFVTNNSRKSRRQYAKKFRALGLEVTEEEIFTSSFAAAMFLKLNNFSPEKKVYVVGEDGILEELRLAGFECLGGPEDGKKNILLEANFYFEHDKSVGAVIVGLDQYFNYYKMQ >ORUFI09G03030.3 pep chromosome:OR_W1943:9:3958040:3960877:-1 gene:ORUFI09G03030 transcript:ORUFI09G03030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQANGVGQGAAGEKREWGHGQFQYASLCIRENPGCLFIATNRDPTGHMTSVQEWPGAGTMVAAVSCSVQKEPIVVGKPSSFLMDFLLKSFNLETSRMCMVGDRLDTDILFGQNTGCKTLLVLSGVTTLPELQDASNTIHPDLYTNSVYDLDMY >ORUFI09G03040.1 pep chromosome:OR_W1943:9:3977531:3991725:1 gene:ORUFI09G03040 transcript:ORUFI09G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVSIPAAVRRTIQNIKEIAGGHTDEEVYAVLRECNMDPNETTDRLLNQGTFYGRCLILPNLLIKYSLISGTFHESAKESADARWRPGMQGRGGKGGWGNSSRQLSNSSDITGRNAPAEKEIGVNPNMDKCNSSVPVNPNTDTKTSTSISSLSVGQSNGSSEPVASMEKSSLAIGQLQISDSKGISDLEGNPEKLVNGLGPDVRLSLIQSAPSISGECIPALDPVLTPAPEVHGHGETVSTKHAYASQLAAGEKVVSNDVSTASQGTSRSSGLSSTVVPSGSRPSSSCSSRAQQLSSVQKVVPNKEWKPKSTNKPAHAENVICDKVPVSVETVPQSILVSDSIHKEDTTSGVETRPSDMRLSDKQHVIIPDHLQVAESEKYGLSFGSFGACFEQSASFSKDTESEKCSTPQCESSQEADEVLDEPAASHQGVSSTVEMAAESDLQQLPAETADNILPQKVDSSSSIPEVAESDQSNDTIASHVPQDSVETTTPYPPQQSHGDQIPSLETSESQARLVQQVNDSSAGYYTQFYRPPADFDGRISPFTASGAAIKYGNLSVMPTQTGHAQEARLQQLNLPHAMGILIAVSFLSLFLVIWSTGPRTLTTVGAVSTFSINSVGGFLLCTFLFTTMVRFYPDKVSLPSTCAMAAVDHLPQGINSFVLPSVGSTPLATPTPGAVPNSVGIPQQPLQLFRQHLGVLPQYPPNYFPYSQYPLYVPPQPLHFMVPQPPSTGGMYPPVSAAVAPPGKYPTNTYKPGANNGTQTHVGNHGAYGTYDSSPSIYTNNTMVASGTSVESDDISGSQFKETNVYIAGQQSEGSGVWIPAPGRDISGLQPSNYYGLPLQGQHLAFAPAQAGHGTFGGIYHPAQTMAGAAVHPLLQPPQAIAGVGGEMVGPPANGYQQPQRAQMNWPNY >ORUFI09G03050.1 pep chromosome:OR_W1943:9:3994466:3995613:1 gene:ORUFI09G03050 transcript:ORUFI09G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVKDLAGIWGPGDGLNLSTALLLSALRAELDLARAHARQLTKEDRNTWACRGVHGRFGRARSGRLPVLPLPYECFWSMPPPPPPYQQLVIPVECSQAMLASLLRARPLCGERLREARATAEHALADAKAEGDDLAAVDVNLVLTFLAARDGDLDDALRRYKAAVQKDPSDSRPYELVVAAALGSGTLTTLGLERGGRGRLVLVAPWREVDARLTAAVLDDDLDLTLPERVQLRLLHHRRPDGLWRSSTGVG >ORUFI09G03060.1 pep chromosome:OR_W1943:9:4000664:4003681:1 gene:ORUFI09G03060 transcript:ORUFI09G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVARSGRPELGGSRIRPPQARVRRTCAGSSCDGGPPTASWWVFELARRGASKAIMGYVKLMCDNW >ORUFI09G03070.1 pep chromosome:OR_W1943:9:4015230:4017879:1 gene:ORUFI09G03070 transcript:ORUFI09G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEGGKMVCVTGAGGFIGSWVVKELLLRGYAVRGTARDPSSQKNSHLQKLEGAKERLCLNYADVMDYDSLSVAFNGCEGVFHVASPVSVDPRLVPVAVEGTKNVINAAADMGVRRVVFTSTFGAVHMDPNRSHDTVVDESCWSNLEFCKQKDWYCYAKTVAEMVAAEQASKRGIQLVVVLPAMTLGQMLQSTINPSIRHIADFLNGSRKTHRNAVAGYVDARDVARAHALVYEDPKAHGRYLCIASVLHRCEDSKQMVQPFKFSNQRLRDLGLTFTPIKESLYNTLICLREKGHLPPYSSL >ORUFI09G03080.1 pep chromosome:OR_W1943:9:4030050:4033296:-1 gene:ORUFI09G03080 transcript:ORUFI09G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQVPTQSREGPTFTQLLLEEKDFDLPPYVLDKAKENNHNQFYHQTTNEFLNMNQLGNNGTRTTQLEPQEQMMMFGSSSSIGSQLSGSQAIEVVDVVGGLTSPWGTQLHEGHLAHLMSYIRAFHDPEQQTSPNLVMQQTSPQTYGHINTLDNRNSEFHFLLEQPKNPENNVMRLINIRGHVPAFSADPFGSRFIQHKLERATPTELLMVYEEIVPHTYMLAIDVFANYAIQKAFEVSDMDQRIEMANERVLEWCDDLEILKELISEIVEGVLELAVDQFGNYVVQYVVEHGGESVRAMIVMRLKGLMVMLSCQKYGSNVMEKRLTIGSIHDRLIIAADIIGASEDQILMVMVNEHGNYVIQKMLETATAEWVVDLIVIVVNRNFFRLIHYVHGRHVLTHLQILLAARALQCIIY >ORUFI09G03090.1 pep chromosome:OR_W1943:9:4039124:4039333:1 gene:ORUFI09G03090 transcript:ORUFI09G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYLPLIALATSVSLSLLTVWMLFLAHSLAKSVAGVEATIPRFLDLTLIKLFKAVVDKLGENGIMHSW >ORUFI09G03100.1 pep chromosome:OR_W1943:9:4069916:4070449:-1 gene:ORUFI09G03100 transcript:ORUFI09G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYSYPQIYSMPEFDLLLIVGSAAQIKAMKQVAGKSKLELAQFAELQAFAQFASALDKTNTKPQFQEILSSSKTFTEEAEILLKEAIQEQLERFSLQEQTTILHVYSC >ORUFI09G03110.1 pep chromosome:OR_W1943:9:4093436:4094448:1 gene:ORUFI09G03110 transcript:ORUFI09G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGRREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNLKAMKQVCGSSKLELAQYREVAAFAQFGSDLDAATQALLNRGARLTEVPKQPQYEPLPIEKQIVVIYAAVNGFCDRMPLDRISQYEKAILSTINPELLKSFNEKGGLTNERKIEPDASLKQTAKEIN >ORUFI09G03120.1 pep chromosome:OR_W1943:9:4103853:4106750:-1 gene:ORUFI09G03120 transcript:ORUFI09G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPSWATFFAVMLATVVFVFLLQAVLLRRRGAYKLPPGPKPWPIIGNLNLIGALPHRSIHEISKRHGPIVQLWFGSCPVVVGSSVEMAKLFLKTNDAVFADRPRTAAGKYTAYDCADIVWSPYGAYWRQARKMCVAELFSARRLESLEHIRHEEVRALLRDLNSAGVAGNAVQLGDHLSMVTLGVISRMVLGKKYVEKQPAGAETASSPPTTPEEFKWMMDELFLMSGVLNIGDFIPWLDWLDLQGYVRRMKKVNRLMHRFLDRVLDEHDERRRLQGDGFVARDMVDVLLQLADDPNLDVQLTRNGVKGITQNLVTGGADTSAVTVEWAMSEVLKNPAILAKATKELDNVVGSGRLVTESDIPHLPYVDAIMKETMRMHPVAPLLIPRMSREDATVAGYDVPAGTRVLVNTWTINRDPSLWDSPEEFRPERFVGSGIDVKGRDFELLPFGTGRRMCPGYSLGLKVIQLALANLLHAFSWNLPDGIAAGELSMEEIFGLTMPRKIPLLAVVKPRLPDHLYAEP >ORUFI09G03130.1 pep chromosome:OR_W1943:9:4113649:4114000:1 gene:ORUFI09G03130 transcript:ORUFI09G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTAEQQAMTAITGTREDHEGRAASDDSGDHHHLSNHKLAQGGGGEDGGDVSRGARAPESGLPGAHTRRRR >ORUFI09G03140.1 pep chromosome:OR_W1943:9:4117260:4117517:-1 gene:ORUFI09G03140 transcript:ORUFI09G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGYSLGLKVIQLTLANLLHAFSWSLPDDVAAGELSMEEIFGLTTPRKIPLLPIVKTRLPDNLYAEPYDAPCVACMHGCASVGA >ORUFI09G03150.1 pep chromosome:OR_W1943:9:4140657:4143293:-1 gene:ORUFI09G03150 transcript:ORUFI09G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPSWAAVVLATVVFLLGAVLLRRRRAYKLPPGPEPWPIIGNLNLISSLPHRSIHEISKRHGPIVQLWFGSCPVVVGSSVEMAKLFLQTHDAVFADRPRTAAGKYTAYDCTDITWSPYGAYWRQARKMCAAELFSARRLESLEHIRHEEVRALLRDLHSAGAAGNAVHLRDHLSMAALGVISRMVLGKKYVEKQPAGGGAATTTPEEFKWMLEELFLMNGVLNIGDFIPWLDWLDLQGYIRRMKNVNRLIHRFLDRVLDEHDERRRLQGDGFVARDMVDILLQLADDPNLHVQLTRNGIKGITQDLVTGGADTSAVTVEWAMSEVLKNPAILAKATEELDTIVGVGRLVTEGDIPHLPYIHAIMKETMRMHPVVPLLVPRMSREDASVAGYDVPAGTRVLVNTWTIGHDPSVWDSPDEFRPERFVGSGIDVKGRDFELLPFGSGRRMCPGYNLGLKVIQLTLANLLHAFSWCLPDGVTAGELSMEEIFGLTMPRKIPLLAVVKPRLPDHLYAEP >ORUFI09G03160.1 pep chromosome:OR_W1943:9:4163744:4165703:1 gene:ORUFI09G03160 transcript:ORUFI09G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHWCHRPISLTGASQAKPLRHQLHLAELAGAELFERKHAWPPPSRPFNHRSTGALSLFLSITVPQVLSASKELTWTQMAMLKPREILRRKARHD >ORUFI09G03170.1 pep chromosome:OR_W1943:9:4168895:4169186:-1 gene:ORUFI09G03170 transcript:ORUFI09G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGVQPKYKMKIIGHRSNAGGESERRMFSGGDACASTIMVLTEMLDMAEVARLMTAARVTARRRQEPP >ORUFI09G03180.1 pep chromosome:OR_W1943:9:4177202:4188410:1 gene:ORUFI09G03180 transcript:ORUFI09G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSCLHLLITLAVAAAAATGVLQAGRAQPDSNGFISIDCGLSGTASYVDNATKLSYSPDAAFTDAGTNNNISPEYLLPSGSRVFDNVRSFPGAAAPRSCYTLRSLVPGLKYLVRASFKYGNYDGLRRLPVFDLYVGVNFWTTVNITDAAVAQGLEAIVVVPGDSLQVCLVNTGGGTPFISGLDFRPLKNSLYPQANETQGLDLAKTVSTAFGKPLEGSLKGSRLKYHIVTRSIHNSRREEYLVDKV >ORUFI09G03190.1 pep chromosome:OR_W1943:9:4192766:4207176:1 gene:ORUFI09G03190 transcript:ORUFI09G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLENRRFTYKDLQKITNNFEQVLGKGGFGYVYYGILEEGSQVAVKLRSQSSNQGVKEFLGEAQILTRIHHKNLVSMIGYCMDGDYMALVYEYMSEGTLEEHIAGRDHNKRNLTWIERLRIALESAQGLEYLHKGCSPPLIHRDVKATNILLNLKLEAKIADFGLSKAFNRDSDTHVSASILAGTPGYIDPEYHATMMPTAKSDVYGFGVVLLELVTGKNPILRTPEPISLIHWVQQRLQCGNIEGVVDTRMHGVYDINSVWKVAEIALKCTAQASTQRPTMTDVVVQLQECLDLEYGHASSVPELSIDHVSKTRTILEMDHLERLQLSTVVEKMAARSCLHLLIILAAGVLQAARAQPDSDGFISIDCGLSGTAGYVDNATKLSYSPDAAFTDAGTNNNISVEYFSPANSRIFDNVRSFPSGAAPRSCYTLSSLVAGLKYLVRANFMYGNYDGLRRPPVFDLYSGVNFWRTVNITDAAASITAEAIIVVPEDSMQVCLLNTGAGTPFISGLDLRPLKNSLYPQANATQGLVMVDRVNYGPTDTFIRYPDDPRDRGWRPLIDTTRYVEVSTTKTVQNVAKDLFEAPSAVMQTAITPRNASDSIEVYWTADPSAASAGDPPPGYIAIMHFSELQLVQGNAVRAFNISLNDEWLDRMMPDYLYADADYNTVPFRGSNRYNLTFRATANSTLPPIINALEIFSVIPTTNVPTYAKDVSGITAIKKQYEVKQNWMGDPCVPKTLAWDWLTCSYAISSSPTITGVNLSYNLLTGSIPKALSQLSSLKVLYENNLDLCINDTCPSPNGKPKLAIYISVPVVAVTVILVRKTKGSANNIVNPHSEPTSHSHGSDSYGHGSIQLENRRFTYKDLQMITNNFEQVLGKGGFGYVYYGILEEGTQVAVKLRSQSSNQGVKEFLREAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLEEHIAGRDRNKRNITWTERLRIALESAQGLEYLHKGCSPPLIHRDVKATNILLNMKLEAKIADFGLSKAFNHDSDTHVSTSILVGTPGYIDPEYHATMMPTTKSDVYGFGMVLLELVTGKSPILRTPEPISLIHWAQQRLQCGNIDAVVDARMHGVYDVNSVWKVTEIALKCTAQASAHRPMMTDVVAKLQECLDLEHGRAGSVSELSIDHVSKTNTIFEMGHLEKIPLPTMSSSPSTR >ORUFI09G03190.2 pep chromosome:OR_W1943:9:4192766:4207176:1 gene:ORUFI09G03190 transcript:ORUFI09G03190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLENRRFTYKDLQKITNNFEQVLGKGGFGYVYYGILEEGSQVAVKLRSQSSNQGVKEFLGEAQILTRIHHKNLVSMIGYCMDGDYMALVYEYMSEGTLEEHIAGRDHNKRNLTWIERLRIALESAQGLEYLHKGCSPPLIHRDVKATNILLNLKLEAKIADFGLSKAFNRDSDTHVSASILAGTPGYIDPEYHATMMPTAKSDVYGFGVVLLELVTGKNPILRTPEPISLIHWVQQRLQCGNIEGVVDTRMHGVYDINSVWKVAEIALKCTAQASTQRPTMTDVVVQLQECLDLEYGHASSVPELSIDHVSKTRTILEMDHLERLQLSTVVEKMAARSCLHLLIILAAGVLQAARAQPDSDGFISIDCGLSGTAGYVDNATKLSYSPDAAFTDAGTNNNISVEYFSPANSRIFDNVRSFPSGAAPRSCYTLSSLVAGLKYLVRANFMYGNYDGLRRPPVFDLYSGVNFWRTVNITDAAASITAEAIIVVPEDSMQVCLLNTGAGTPFISGLDLRPLKNSLYPQANATQGLVMVDRVNYGPTDTFIRYPDDPRDRGWRPLIDTTRYVEVSTTKTVQNVAKDLFEAPSAVMQTAITPRNASDSIEVYWTADPSAASAGDPPPGYIAIMHFSELQLVQGNAVRAFNISLNDEWLDRMMPDYLYADADYNTVPFRGSNRYNLTFRATANSTLPPIINALEIFSVIPTTNVPTYAKDVSGITAIKKQYEVKQNWMGDPCVPKTLAWDWLTCSYAISSSPTITGVYENNLDLCINDTCPSPNGKPKLAIYISVPVVAVTVILVRKTKGSANNIVNPHSEPTSHSHGSDSYGHGSIQLENRRFTYKDLQMITNNFEQVLGKGGFGYVYYGILEEGTQVAVKLRSQSSNQGVKEFLREAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLEEHIAGRDRNKRNITWTERLRIALESAQGLEYLHKGCSPPLIHRDVKATNILLNMKLEAKIADFGLSKAFNHDSDTHVSTSILVGTPGYIDPEYHATMMPTTKSDVYGFGMVLLELVTGKSPILRTPEPISLIHWAQQRLQCGNIDAVVDARMHGVYDVNSVWKVTEIALKCTAQASAHRPMMTDVVAKLQECLDLEHGRAGSVSELSIDHVSKTNTIFEMGHLEKIPLPTMSSSPSTR >ORUFI09G03200.1 pep chromosome:OR_W1943:9:4210512:4215158:-1 gene:ORUFI09G03200 transcript:ORUFI09G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEVGHRVCVTGAGGFVGSWVVKELLHRGYVVRGTARDPSARKYPHLQTLEGAAERLSLCYANVMNYNSLLVAFDGCDGVFHVASPVSNDPQFVPVAVEGTKNVINAAADVGARRVVFTSSYGAVHMDPNRSLDTVMDESCWSNLDFCKRKGDWYSYGKMVAEITAVEQASKRGIHLLVVVPPVTTGQMLQPTTNLSSHHFIHYLNGTKKDFPNAVAAYVDVRDVARAHALVYENPEANGRYLCVSAVLHRSELLRLLRELFPQYPIPTKCDNKSRPLIKPYKFSNKRLRDLGLKFTPIKESLYNMILSLQEKGDLPTTVVPRASL >ORUFI09G03210.1 pep chromosome:OR_W1943:9:4213433:4215124:1 gene:ORUFI09G03210 transcript:ORUFI09G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSWYPSLPTELFSLDKYGEPRTKIRRGMEVKVAPTALVHNCVEASIWVHVYSTVGRREDHTTCTHVCCSVDHILGSLNSNRGLLLFLSQHSHGEGAPSLPKNQQILLLL >ORUFI09G03230.1 pep chromosome:OR_W1943:9:4231825:4242028:-1 gene:ORUFI09G03230 transcript:ORUFI09G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACLPGVSDNCPTVDVHPATILEGSSHRDGSIYKFCPGFIQVFQIADRHEIVFGEACLEPMMLSQPMDCQPNRDTCTIYGYIAVRDHLDSMLNYVVNRSRDDPIIVKQVIADYHMRGRPRPGQLHLPLPPLYLVRYYCLEPSSASSSWALRHHSPLMLVTSALAPVPLPYACLGHFCPSPILSPPLPLVYIPLGLVSTPSRVMMLKTGVAFPDRSPPYPDLVANGSCIEMIDPKRGISFDMRIKKGDREEDDLELIDGALEYSQITTPAMRPTTSRVSGNCGAVDITLAYLYQAVEATIEVSISKCLGGFNLSLSVRQEIQLFDGMVGEPCGLRRYVVVVASDTWMHLKFKVCEKGSKNDVGHYCSFKANRHGFASQQIVVEPGANTVKTVGDIL >ORUFI09G03230.2 pep chromosome:OR_W1943:9:4231825:4242028:-1 gene:ORUFI09G03230 transcript:ORUFI09G03230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVACLPGVSDNCPTVDVHPATILEGSSHRDGSIYKFCPGFIQIYGYIAVRDHLDSMLNYVVNRSRDDPIIVKQVIADYHMRGRPRPGQLHLPLPPLYLVRYYCLEPSSASSSWALRHHSPLMLVTSALAPVPLPYACLGHFCPSPILSPPLPLVYIPLGLVSTPSRVMMLKTGVAFPDRSPPYPDLVANGSCIEMIDPKRGISFDMRIKKGDREEDDLELIDGALEYSQITTPAMRPTTSRVSGNCGAVDITLAYLYQAVEATIEVSISKCLGGFNLSLSVRQEIQLFDGMVGEPCGLRRYVVVVASDTWMHLKFKVCEKGSKNDVGHYCSFKANRHGFASQQIVVEPGANTVKTVGDIL >ORUFI09G03230.3 pep chromosome:OR_W1943:9:4231825:4242028:-1 gene:ORUFI09G03230 transcript:ORUFI09G03230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVACLPGVSDNCPTVDVHPATILEGSSHRDGSIYKFCPGFIQIYGYIAVRDHLDSMLNYVVNRSRDDPIIVKQGSCIEMIDPKRGISFDMRIKKGDREEDDLELIDGALEYSQITTPAMRPTTSRVSGNCGAVDITLAYLYQAVEATIEVSISKCLGGFNLSLSVRQEIQLFDGMVGEPCGLRRYVVVVASDTWMHLKFKVCEKGSKNDVGHYCSFKANRHGFASQQIVVEPGANTVKTVGDIL >ORUFI09G03230.4 pep chromosome:OR_W1943:9:4231825:4242028:-1 gene:ORUFI09G03230 transcript:ORUFI09G03230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVACLPGVSDNCPTVDVHPATILEGSSHRDGSIYKFCPGFIQGSCIEMIDPKRGISFDMRIKKGDREEDDLELIDGALEYSQITTPAMRPTTSRVSGNCGAVDITLAYLYQAVEATIEVSISKCLGGFNLSLSVRQEIQLFDGMVGEPCGLRRYVVVVASDTWMHLKFKVCEKGSKNDVGHYCSFKANRHGFASQQIVVEPGANTVKTVGDIL >ORUFI09G03230.5 pep chromosome:OR_W1943:9:4231825:4242028:-1 gene:ORUFI09G03230 transcript:ORUFI09G03230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVACLPARHFGVLFCCSEFDMRIKKGDREEDDLELIDGALEYSQITTPAMRPTTSRVSGNCGAVDITLAYLYQAVEATIEVSISKCLGGFNLSLSVRQEIQLFDGMVGEPCGLRRYVVVVASDTWMHLKFKVCEKGSKNDVGHYCSFKANRHGFASQQIVVEPGANTVKTVGDIL >ORUFI09G03240.1 pep chromosome:OR_W1943:9:4245221:4245899:1 gene:ORUFI09G03240 transcript:ORUFI09G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPASTPSSPQIPPLSTPLPSRPPCLPPHTSLLLNAAAVAGGGGDERTPVFLRTCGLGGGGDERTRHWQQQADVASSAAATGEHGGGDERTRPRRDARLHQSTSPHLPPLCWRLCYAAAAVEPCMRVSFATVAKVTSGF >ORUFI09G03250.1 pep chromosome:OR_W1943:9:4246040:4259729:1 gene:ORUFI09G03250 transcript:ORUFI09G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGGTCRVAAVLVFTAWIALTALTRLLRPVPNGCVMTYMYPTYIPVSTPKNVSSDRYALFLYHEGWKQIDFHHHLSNLNGVPVLFIPGNGGSYKQVRSLAAESFRAYQNGPLEPTFYREASTAFSVNELEGFSIPSRYGRMLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESHLARSKGRAQSSDNLPSSVILVGHSMGGFVARAALVHPGLRKSAVETILTLSSPHQYPPIALQPSLGQFFLRVNEEWRNGYKTGLSRTSSAKLSNVVVVSVAGGIHDYQVRSKLASLDGIVPSTHGFMVGSSSMKNVWLSMEHQSILWCNQLVVQVAHTLLSMVDPLNGQPFLSSQKRLFVFAKMLQSAVPQSLSWVAPVSGVKPPNLIASGNKEASDLQQKDSLSCPPSLQWTSDGLEKDLHIQLNSVTVLAMDGKRRWLDIKKLGSNGKGHFVFVSNLSPCSGVRIHLWPEKDHSSEQNGVPASKKIVEVTSKMVQIPAGPAPKQVEPGSQTEQPPPTAFLLLSPEEMSGFRFMTISVAPRPTISGRPPPAASMAVGQFFNPEEGTSALSAARIIGSSYIPEEIFLKEDHPLALNLSFSVSLGLLPVILSLRTAGCGVKATGDQLEAEKNKLCKLRCFPPVALAWDPVSGLHIIPNIYSETLVVDSSPALWDSHQGTERSTVLVLADPHCSYEVSLRVSLSAAASRFFLLYSSQILGFMIAVMFFGLMRQSSAWEHDSSVPSVLSAIESNLRLPRAFMFLCFIPVLLFLAFLVFTREQNPPLGTFLLVTMMCYIVANGFTILLILSSKLILYVAAILHVFIKRRWQSWEDGTQSMIVRHFLTLSLPFQSLKIIKNNPSIIVAFATIPLVCLVHPAIGLGVLLLSHAFHAHSTLCSITQKKDLYKSMGDNIILPENKQDGLEQLLPMDDSPTSVKSFTDCQLEVFDCRHGIMILHLLATLMFAPSLVAWLQRIGMGQNFPWFVDSVLCVGTILHGLFGSPPNVSCISFKLPGRRGRDVGLSFLYLVAGYYSFVSSMALAPYRALYALAIIGFICFASRIIETRSTTQFCNIQENTSYIMCNIR >ORUFI09G03260.1 pep chromosome:OR_W1943:9:4261241:4265552:-1 gene:ORUFI09G03260 transcript:ORUFI09G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGTKPDTFYTEEAVRSVLSDVPADLIIHVNNTKYQLHKLKFCSHSENADTEGTGCHPYYQFPLLLKCGLLQRLCSDDDGVDGVDAAPVPVALHDIPGGEEAFELCAKFCYGISINIGAGNFVAAALAARFLRMTEAVAKGNLVAKLDSFFDSCILQGWKDPIAALTAAWRISGWSESRIVQPCVDAIVEKILTPPSKVTWSYTYTRPGYAKKAHQSVPKDWWTEDVSELDIDVFRSLLSTVRAARLLPPPLIGEALHVYACKHLPDPLNHAAAAATANGQSSELETAAAKQRRVLETIVTMIPGDAGAVTGRFLLRLLRVASYVGASPSTRAQLVRQAGAQLDEARAVDLLVPMPSSSDPPAYDVGAAEAVLEHFLALFQRPAPPDERRRMSAAMEKVARTFDEYLRAVALHADFPVGKFVDLAECLPDIARNDHDGLYHAIDTYLKEHPELSKADKKRLCRMIDCRKLSPDVRAQAISNDLMPLRTIVQLLFVEQERAMGGGGASGSNSAVAPDAIAMLAARKEKEDEPPAPAADHKSDVHRPRGDHGERARADGAAMTRSLSASTTKTAGAAAPRTAESRGSRMRNK >ORUFI09G03270.1 pep chromosome:OR_W1943:9:4272381:4273234:-1 gene:ORUFI09G03270 transcript:ORUFI09G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTARWELASTASTEKNQMVFTDCSTTSAAADCPPHAAARGEGGGRREQQKEWVWGSG >ORUFI09G03280.1 pep chromosome:OR_W1943:9:4304639:4308480:-1 gene:ORUFI09G03280 transcript:ORUFI09G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMGVFGCSYVLSLARKEVTGMALDLNSVTESRSTPCRRDRLWFASEESQHGPLIHIGNPIIVASYYDCIFGNATKVHQL >ORUFI09G03290.1 pep chromosome:OR_W1943:9:4308817:4309281:-1 gene:ORUFI09G03290 transcript:ORUFI09G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHWRLEISAKYGGQGSCGKDGHGLMEKMCLELDVYTVYIQYGRADRMHEAENLLHIMIENGVRSNNVRCTTLISIRCNEGGMVEARRLFQEMAGNGAKSSLVTYNVMIDGTSRRGAHARLKGSERRWRRSYAAVVHWQCVSGKMDVPLGLFEL >ORUFI09G03300.1 pep chromosome:OR_W1943:9:4309370:4309705:-1 gene:ORUFI09G03300 transcript:ORUFI09G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRSYADSGAPTRSHGHGRGLGANHTPRLGGLDPHSLTSSPSDEQAISTPPPTSSNWLPLLVPAPSRFFSASIVVDGFCKSGHVTYALHLLDEMTSLMRCAITRCSIHTR >ORUFI09G03310.1 pep chromosome:OR_W1943:9:4311857:4312495:-1 gene:ORUFI09G03310 transcript:ORUFI09G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSAPRYPDDGGGGGGGGGICCVLCWCCCFLFLIVAALAGAAAYALFLYKPKAPSYSVSNMSVSQFDFNSNDLTLYTKLVATVRAENPNEMIGIIYGDGSRTVVSYRGTPLCSGHLPTFYQGFKNVTVMEISMEGRHGFGSGLQSALEESEKEGNVPLDVFVSVPVSLRFGSFDVREVRVNVHCALVVDSISPKKKPTIKSATYQGNVEF >ORUFI09G03320.1 pep chromosome:OR_W1943:9:4316382:4328678:1 gene:ORUFI09G03320 transcript:ORUFI09G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVDPAAPLLATCGGDTVKLFDVTVESGDPCVLAYTPAPAHPVNAVRWNHTNLIVASAGDDKKISLWHKKGQNVGQLPTSTVDRGDDIEECIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHILVTAGDDGSVHLWDTTARTPKVSWLKQHSAPISGVCISPSSDKIIATVGLDKKLYTLDSGSRRPTHTIPHEAPFSSLAYNDDGTILAAGTNSGRVVFYDVRGKPQPLTILRAYNSSEAVTGLCWQRSKPVIVNENSSSEVALLGGSSEESVLMPDPLPSATSAFHSGGVIPNLRSSLAANPSGFLSTSTSSTVEETPYRTRPLSGGPLSKLQAPRSNFSLKDDMDVFSPLVDVQPFTPSSGSLWDDHGSDETKKDDKLGEKKLSTTRKFPFIEDNNEPHPISDWKSISNSRQDDASSATTTSMPSWKSELSITSPETATGNALSDRLTHRQQVSRFGASAFQTGSFAFAGLQDSAPTTGNSLKGSLTSNILMNLQNKGVLSNARPSLDISTSSLQSSLSSGLMAKTMPPVNSDQPGAAQSSSQWRPSTYTDRASTSSVFSEGLASAFGSPKSKKTGAETKDELLSSLLSRQEAAAASSSANLVANNGVVPPQLPTSGLSADQQGASSFSLQYVQRMLEESLGSVQKSIHEDVRNLHIELLRQFHMQEMCQEEHWHHEPLFNLQTAFRKKRKFGFNITGAAMFNRLFGKPKEQANASALATLDKLNETLDMLEKKEKVLEKKAAAELERAKEFSKAKNKRAAIQSLKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEDELEAELEELEGAELESQLLEPVAAPPVHPVQVPGTRIPTRPAPQKASAEEDELAALQAEMAL >ORUFI09G03330.1 pep chromosome:OR_W1943:9:4331885:4339811:1 gene:ORUFI09G03330 transcript:ORUFI09G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVFIVLQKIGAALGREALNVVGTQLQKQPPTLVDVENNMRQLKIEFHVMKAFLTQQQIHFSQDRAYDAWLDEVKNVAHEAEDVIDEYVYLAGQTAKETSKLKKLFHCSKTTSDWHIIATQLSQIKSRLQNLTNMKARYGISANDSEDGSTSSHESLKELTSDSAYFDTEDDMVGNKEESEKVMKLLIHGEETRTVISICGMGGLGKTTLARAIYKKNEIRKNFDCFSWITISQNYKVEDLFRRILKQLLDMNENIPDQTDILYRVSLVERLRNYLQDKKYLIFLDDMWSQDAWILLDRAFVKNKKGSRVVITTRNEDVASIANNGCSFKPKYLPWGDAWDLFCRKAFHRLDQNGCPQIVMHWAEKIVSKCEGLPLAIVAIGSLLSYKKIDEAEWKLFYGQLNWQLTKNQKLNYVTSILNLSFDYLPANLKNCFLYCSMFPEDHEIRRKQIIRLWIAEGFSEERGDITLEEVAEDYLKELVQRSLLQVAWTKEYERPKSFRMHDLVRDITVTKCKTEKFSLLADNTCVTKLSDEARRVSLVKGGKAMESGQGARKIRSFILFDEEVQFSWIQKATSNFRLLRVLSLRYAKIVKLPDAVTYLFNLHYLDLRHTEVQEIQQSIGKLRKLQTLDLRETFVEQLPEEIKFLTKLRFLSVDVDCDPSNLHRHFPRFQATRICSEFYLLTDLQVLGDIKASKHVVTNLSRLTQLRCLGIRDVKQDHMEKLCISIKSMPNLIRLGIVSHGEDEILDLQHLGHVPDLEWLHLRGKLHGAGATSNLQNFSKLRYLSIGWSRLQVDPLPAISHLSNLAELYLQKAYDGLLMTFQAGWFPNLRELGLADMDQLRSIDIEAGTMPNLSILVLCGLQNMISVPVGFKYLTSLQILRLWDMPKEFMERTHAEDHVYVKHIHQIRYHALRVKQWKFTSLQRSEKKEMALSDSKTVTERS >ORUFI09G03340.1 pep chromosome:OR_W1943:9:4346093:4348099:-1 gene:ORUFI09G03340 transcript:ORUFI09G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPPFFLLLVSLGFTLVTLCASQNQFIYHGFTGKNLTIDGTTKITPEGLLELTSDKNDLNGHAFFPTPMHFRSSPNSTVQSFSVNFVFAIQSFYSDRSYDGMAFLVAPSNNLSTAWPDGYLGLFNISNRGNSSNRIFAVELDTFQNNEFGDISNSHVGIDINDVRSVNSSFAGFYDDKNGIFTNLTLYNGRAMQVWMEYSEEATQITVTMAPIDTPKPWRPLLYATYDLSTVLTDPMYIGFSAATGPISTRHIVLGWSFGMGVPAPDIDITKLPKLPRVGTKPRSNVLEIVLPIASAMFIIIVGTMVILIVRRKLLYAELREDWEIDFGPQRFSYKDLFHATQGFKNKNLIGVGGFGKVYKGVLATSKLEIAVKKISHESRQGMKEFITEIVSIGRLRHRNLVPLLGYCRRKSELLLVYNYMPKGSLDKYLHDVDNRAILNWAQRFQIIKDVACGLFYLHERWEKVVIHRDIKASNILLDAEMNGRLGDFGLARLYDHGTDPQTTHVVGTMGYLAPEMVQTGKASPLTDVFAFGTFLLETTCGQRPVKQDSQGNQLMLVDWVLEHWHDGSLTEAVDMRLQGDYNIDEACLVLKLALVCLHPFPASRPNMRQVMQYLNKDLPQPELAPTRLGFSKLPLMQNKGFNPSAMSYPELRTSIGTFSGLSGGR >ORUFI09G03350.1 pep chromosome:OR_W1943:9:4354814:4356832:1 gene:ORUFI09G03350 transcript:ORUFI09G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLLLLQILFFGLDLVSFAYGEYVFIYSGFAHNNITLDGAAMVTANGLLDITNGSIRLNGHAFYPTPLPFRNFSSGLVQSFSTSFVFGVQSTYPSQGFTFFIAPSKNFSSALPVQFLGLLNSENNGDMKNQIFAVEFDSIKNIEFQDINNNHVGFDINSLISVDSYPAGFYDDKDGTFSNLTITSSEAMQVWVDYNGDIAQISVTMAPMGMAKPLKPLGSATRNLSSVLSGMAYVGFSSAAGRDNTRHYILGWSFGLNSAAPSIDITSLPKMPHFGPKARSKILEIILPIATAVSILSVGTIILLLVRRHLRYLEVREDWEVEFGPHRFSFRDLFHATEGFKDKNLLGIGGFGRVYRGVLPASKLDIAVKRVSHDSKQGMKEFVAEVVSIGRLQHRNIVQLLGYCRRKGELFLVYDYMPKGSLDKYLYGQEDKPILTWAQRFLIIKGIASGLVYLHEEWEKVVIHRDIKASNVLLDAEMNGRLGDFGLARLYDRGVDAQTTRVVGTIGYMAPELASSSKATPLTDVFSFGIFVLEVTCGKRPIKEDVNGNQIMLVDWVLEHWQKGSLTDTVDTKLQGNYDVDEASMALKLGLLCSHPFADARPKMQQVMQYLEGEVPIPEDMPPHLSFEMLTLMQNEGFDSYVMSFPSSVTNHSSAASHGSLMSALSGGR >ORUFI09G03360.1 pep chromosome:OR_W1943:9:4367251:4373312:1 gene:ORUFI09G03360 transcript:ORUFI09G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTVPDSQDLPRRYGGRVTTFVVLSCITAGMGGVIFGYDIGVSGGVTSMDGFLSMFFPEVYRRMKGTSVSNYCKFDSELLTAFTSSLYIAGLLTTFLASSVTARCGRRPSMVIAGSAILAGSAIGGTAVNVSMVILGRVLLGVGLGFGNQAVPLYLSEMAPPLHRGAFSNGFQLCVGIGAVTARLTNFFTQKIRQGWGWRVSLAVAAVPGGLLTLGALFLPETPNSLLQQGRDKRRVRVLLTRIRGVSGVDDELEDIVAANSDKANSSRGLQMIVTQRQYRPQLVMAVMIPFFQQVTGINAISFYAPVLLRTIGMGESASLLSVVVTGLVGTSSTFVSMFLVDRFGRRTLFLVGGAQMLVSQLMIGGIMATQLGDHGQVSKTCALVLIFLIAVYVAGFAWSWGPLGWLVPSEVFPLEVRSAGQSITVAVNFLMTTAVAQLFLATLCRMRAGIFFFFAAWLVAMTAFVYLLLPETKGLPIEQVRRLWAQHWFWRRFVDTASNGEQARFDNSKQSITVDVVVEADPKRETKTRMRGHLAQELGFSSDDCVLDLDSNEEIESFDLSAYTTIKL >ORUFI09G03370.1 pep chromosome:OR_W1943:9:4378651:4380321:-1 gene:ORUFI09G03370 transcript:ORUFI09G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKPNSAQARGGNGGMSSYSTSWTDVVIDRIHGEAKSAYESIPPYFRRFDAFGNDEHPSIFKPRLKPQVNQLELFAITTTSPAHGPGQPPLDSAGGERGQLRRPPTPETDKDHIVVAAVGPYHHRTASAQQQPPPLITCAKKCGIVKYLTGSFNLDVVGFLQWVQRNEERARRCYERESFQMNSQEFAEMLLLDGCVLLFAIFLLRPSIREDKLPAKLAADADHGREFRNLSAHISFHMKQTTLDLLVLHNQIPFFVLTELHSRLKNTFFAGVNYSLEELALSCFQDVHPFGLKEGDLSPTTQRDGGGNGGGNGTERFPQRVHHLLHLFHWSLVPGQKYGVDINSIPPREPESHLPSATELEESLTIFTKHKDAKGSRSSCCLDITFESSRMATRGVMRLPALHIHGYSEAVFRNLIAFEQNHLRCGHGVTTYAICMARLLQSDADARLLRNSGILPYTQRTDKEIVDFFRQLVDECRNTCMPDDLIALCKDVAAHHQSTGVRVMKGFVLQCFPKQTITFFVIFGAIISIATLINTVHSMYRYYHPRGNLPPMGR >ORUFI09G03390.1 pep chromosome:OR_W1943:9:4385785:4387185:-1 gene:ORUFI09G03390 transcript:ORUFI09G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPHGREKTAASPESIAQRPPSPTAVTPLWRRWSRGKWGVGDGGVEAMTVGQRGGKGGGKEGQRAGNVGVKAVESGKVRGSNGGMEAVTVARRGGKGGERGGQPVTAAWRRCGWRASGKRGWGSECSRGTMRKKSSLDILNSGVVVVVQLCRYDSIATMCTVRFFSRKIDFAITLQMLLAPIGVQCPVSSLGLAGDANVLHFGAWACTADSRIRRVISSYLSGLSGFALALSRSTRSTGPTITVVITPPLPSCDAIKPDIGPN >ORUFI09G03400.1 pep chromosome:OR_W1943:9:4396449:4401747:1 gene:ORUFI09G03400 transcript:ORUFI09G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKINYVKLIGLRSLVAVPAGLQYLNSLQQMVLQDMPKEFIQRLKGQDYVYAQHIPTIHHQGLESRTMHDDDNDNEEGGGYGGRLASRGRRAVTGREKGGGRRQALLSVACGGCSGTCKRWAGRLEDPELAESRLPHAKGSGKGEQGSACSGEGEMVSERVKGTVKWFDATAAMEATAATAGEEAAVCATCVVRRATRPGTAPRTATTAWEVYNGRWPHQTWPTSTAAAAAARSFPLDLHYVRVNEPRLSVVHGAGVVVTALPENTPSYNCSLQTQIDDHPQLLGQPNAREGERGITTKGAGLSAAYLAVLVYEGNMMTFRAGWFPKLEKLYLADMEHLSVIERESSTMPIINYVKLIGLKSMMTVPAGFQYLTSLEEVVVEDMPEEFKRRWQGQDHVYIQHIPTYHLH >ORUFI09G03410.1 pep chromosome:OR_W1943:9:4410127:4414712:-1 gene:ORUFI09G03410 transcript:ORUFI09G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVVNNGCIIGSFRACRKNAQHTCSVVRATPDYDGYEWRKYGQKSISKTKHSRSYYKCTNQKEQGCMATKTMSANIESFCCIDHRMIIQEMKREHGLLIDLKNHIIPILRFNNVQADHIVHAFDDILCCSNGIISKIQAEVCDGGNSDPGIDKGNGRNALDNMKVFIEDGTVTKNKRRKNAQHTGSVVTATPDYDGYEWRKYGQKSISKTKHSRSYYRCTNQKGQGCMATKTVQQIENDNSSNSVVKLYNVDYFGKHTCKFGNDMVCPDIVETDSPKYSSINDKYASTRLTNHSDDHQPKNDMKPENLFAVPDMSLFSENMWDIIFEDVTMNSTFSLEQEAKDSWIKHQQESTIHLWADELC >ORUFI09G03420.1 pep chromosome:OR_W1943:9:4439182:4439478:1 gene:ORUFI09G03420 transcript:ORUFI09G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRPLSLGIDGDTRWDGVTQAPVAAQPFCGNPAARSGDGAHASAVWRGAVHGHAWCGARVGKVGVRHIRDRRRRRRVFDRVESESSTWCAMNSTSGK >ORUFI09G03430.1 pep chromosome:OR_W1943:9:4450491:4451528:1 gene:ORUFI09G03430 transcript:ORUFI09G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASPQRSPKNIEHIGGPSIMTPLKGKRLAKAQPLERAGKRGTKLCILSVDAAQEPKRTQTAPRPKRTIPKFRASSSRRGRKPQRAIEREATGTSRNWFGEPRIPEWRNTNPRCRRDKRRNLLKCWSFCISSGQSTRAFPKKADNNNSANPIIIKVEEEILRIKSVEDSLLSVKLSWRDVNGITHGVILNKLKEEERHCW >ORUFI09G03440.1 pep chromosome:OR_W1943:9:4455335:4458073:1 gene:ORUFI09G03440 transcript:ORUFI09G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVFVVLQKIGAILGGQVLNEIRSQFGKKSLIFEVENSVMELASEFRVMQAFINHVGMCSHQNAAYEAWLDEVKSVGFDAEDIIEEYAYLIAQTSNEGGLIKSVLHRSESINAWCHIATQLKQIEARLQKLTAMKDRYDILISEQKVGSNPSHDDLKLMSDSLYFYSQDDIVGNEEELAWVTQRLIHGRKSRTVISICGMGGLGKTTVARVIYRNNDIKKQFDCCAWISVSQTYQAEDLLRRILEQLLNMDGKTFHHIYDMDRISLAERLRDHLQCKSYLIFLDDMWSRDAWIFLNHAFATNNKGGRVIITTRNEDVAILADEDHCIMLKTLQWKEEWNLFCRKAFPSRKENQCPESVIQWAEKIVDKCKGLPLAIVAIGSLLSHKKKEENEWKLFYNQLNWQLINNPELNFVIAVLNLSFEYLPSNLKYCFLYCGLFPEDYLIKRKQIIRFWIAEGFVEETGANITMEELAEEYLKELAQRSLLHVAERNVYGRAKSFQMHNLVRDMVVSKCKTYKFSDLVVDHCVTKHKYKTRRISVLEADHASEAPTYGEKVRSFILFDKKVPYSWLETASRDFRLLRVLSLRRASIHKVPDVVSNLFNLRYLDLAYTRVKVIPRSLCRLNKLQMLDLWFTGIVELPREIKLLTEIRYMVATVMSEDNHRIFNCFLPVRFPCEVCHLKDLQVLGYIEASKDIISNLRNLNQLRNLFMMKVEHNYLTELWASIKRMPNLVRLGIISCDSDEVFNMEHLDPLPELETFHLRAKLQDGVLPKMFHGLVKIRDLEMGWSGLQVDPVCTFSHMSNLTELRLYRVYEGVLLSFQAGLFPKLKKLSLADMENLTWIEMEDGTMQSLNFIALIGLRNLKVVPEGFQYLMSLQEIFLQDMPQEFIKRAQREDRVYIQHIPKIRKF >ORUFI09G03450.1 pep chromosome:OR_W1943:9:4461482:4463452:-1 gene:ORUFI09G03450 transcript:ORUFI09G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAATSSSASLRLLTKPPKPLLSKPHLLTLCAPVSFQRLVARSSASPTPSPSAAAASASGSGVDPAQLPAALRDIVALFQSVPDPRTRYKQLLAYASRLPPMDPALKTDANRVRGCVSQVWVHAAPEEGGAPGRVSFQADSDAQLTKGLAALLVLGLSGAPARDVAMVPVEFIELLGIRQSLSPSRNSGLLNMLSLMKRKALEIATGEVTTEEIGSQEVVQEVAEQPAVKEKEPEFAAFGAREEQDSEVPSHEEEQLEEVPADVMEGNGGLGDGRQERIKESLERGLSPVELEIEDISHLHKGHAGVSGSNGETHFNVRVVSEAFQGKSLLKRHRAVYDLLQDELKNGLHALSIDAKTPSEV >ORUFI09G03460.1 pep chromosome:OR_W1943:9:4487668:4512351:-1 gene:ORUFI09G03460 transcript:ORUFI09G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEGTFELKPYLHMWQILEEFCFEQKVEIKRIFFFWHEKYFGENMGKIKVEIKVPMTDERKKSKVMQIIAKHSGILSITADRDKDKVTIVGNENMDVTCLTMELRKQMRRTHIVIDTVTPVDEKKEKEEKEKKEKEEKEKKEKEEKEKKKKEEEQNNPKIVCTPYYVHMVDEPSPSCCQM >ORUFI09G03470.1 pep chromosome:OR_W1943:9:4523052:4525020:1 gene:ORUFI09G03470 transcript:ORUFI09G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGGWGVADGGRRRSPDDDGGWGGGVGVADGGRRRQPRRRRTSAKTGRFLMRWEIAKLGGSGPAAGQ >ORUFI09G03480.1 pep chromosome:OR_W1943:9:4526813:4527615:-1 gene:ORUFI09G03480 transcript:ORUFI09G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVVKMPMDTERKKRKAFKAAVGMTGVTSASLDGDKLIVIGDGVDPIALTTILRRSLGHAELLSVSSGDDKKMGGGGGHGGMGMGMGMGFGGGHGGMGFGGGHGGKEGKEGGGKVVVDGVHHHHQQQLQQQHAMAPPMQPYPAAPAYYNAAAPSYPVYPSYAGYPQQEQDPGCSIM >ORUFI09G03490.1 pep chromosome:OR_W1943:9:4531969:4532656:1 gene:ORUFI09G03490 transcript:ORUFI09G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAWWAGVLRRPSLGGSVTYGAWGWPAMMTPEVEACNRDNVWAMLACGVKRKMASGRLRYSSVDVLTESLLLKLHSDSLGEALAWWRVVLTTEKDLSSLSPFVPPTILRQRLGAYAQLFLVGVGGAPIALSLV >ORUFI09G03500.1 pep chromosome:OR_W1943:9:4533747:4535417:-1 gene:ORUFI09G03500 transcript:ORUFI09G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSLFASFLSSPPQSPPIEHRCPRHRRRGRRRGGCRQRHGGGSASAAAGATTTTRRRRRPGSRSGEPGRRRRARRRPGGAGADPTGAASSGGGGVILAASGAATTTTSGADTG >ORUFI09G03510.1 pep chromosome:OR_W1943:9:4546203:4547036:-1 gene:ORUFI09G03510 transcript:ORUFI09G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNTLGHSNLLEELKESVKHTKGKQEDSFGETAKNDHVDHSDLESSNLPNPGKKNVPKDLEALAGAKKDVPEEVEFIEMNSNDLDNKMRRNIGKRNRQDDNGSKTKKSSNRNVQGHVFSLLYV >ORUFI09G03520.1 pep chromosome:OR_W1943:9:4560945:4564465:1 gene:ORUFI09G03520 transcript:ORUFI09G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFAAALLALLLAAMAAPPAEAAVGVNWGTLSSHRVPPPVVVDLLRANRIGKVKLFDADPAVLRALAGSGLQVMVGVTNAELAAVAGSPAAADAWVAQNVSRYVGRGGVDIRYIAVGNEPFLTSYQGQFQSYVIPAMTNIQQSLVKANLASYVKLVVPCNADAYQSASLPSQGVFRTELTQIMTQLAAFLSSSGAPFVVNIYPFLSLYQSSDFPQDYAFFEGSTHPVVDGPNTYYNAFDGNFDTLVAALVKIGYGQLPIAIGEVGWPTEGAPSANLTAARAFNQGLMNRVMNNKGTPLRPGVPPADVYLFSLFDEEQKSILPGNFERHWGIFSFDGQAKYPLNLGLGNPVLKNAREVPYLPSRWCIANPAQNLDNVANHLKLACSMADCTTLDYGGSCYGIGEKANVSYAFNSYYQQQKQDAKSCDFDGNGMITYLDPSMGECRFLVGIDDSKSSAVSSCGCGCGVCCGVWVLFFWVFMYLRMMGSV >ORUFI09G03530.1 pep chromosome:OR_W1943:9:4563786:4564213:-1 gene:ORUFI09G03530 transcript:ORUFI09G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNTVLALVSWLMLLALFITTATASLPCRCCWLVQHPKVTCGHAYCGENCCPPTPPPSSR >ORUFI09G03540.1 pep chromosome:OR_W1943:9:4571761:4583366:-1 gene:ORUFI09G03540 transcript:ORUFI09G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKTEVNLLRLLDSAPRQQNQAKLIHHVQPSIAVCHHIEGTVGEAGSGKYVRRDIKPGYENAVEAIRKEESYLEEEQIRSPIALSPGLRRRLTALQEIEQPTNAKERNVAEPLRLDEAAQANIEKYWNLQEDLTDEIVELARQLKDSSLMMNQSVQATEKILDSTERAVAYSLAGTDRANARAVEK >ORUFI09G03550.1 pep chromosome:OR_W1943:9:4587676:4588699:1 gene:ORUFI09G03550 transcript:ORUFI09G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNTILALFIWAMVMVIFTAAMPAKARMEGIHPQGCRCCYFRLRPMIQCAKACCGSDDENCCLVNN >ORUFI09G03560.1 pep chromosome:OR_W1943:9:4595663:4596028:-1 gene:ORUFI09G03560 transcript:ORUFI09G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGDHHAGDNGITIPNVTDNVLAERYCMKHAALSSGTDDVKAMHEEELHKFDRVFVKVDNDRLRRLISAANVMGIDGLIDLACQRMANMLKGKRLKQMRQTSGIDNHVREGGGDPQVGGE >ORUFI09G03570.1 pep chromosome:OR_W1943:9:4596750:4609348:-1 gene:ORUFI09G03570 transcript:ORUFI09G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAADVAADGKKMILLVSSDGVVRGNSSRRQLHVGKGWGQSGRGDAAAATMPGRGGAAAAMPRRGCTEATAAA >ORUFI09G03580.1 pep chromosome:OR_W1943:9:4610879:4614422:-1 gene:ORUFI09G03580 transcript:ORUFI09G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDDCATNGAIPLANVAADILAMVVEYCNKHAAAANASGQEELIRKFDAEFVNIDRKKLFGLINAANFLNMPITGIKLKDP >ORUFI09G03590.1 pep chromosome:OR_W1943:9:4632755:4634002:1 gene:ORUFI09G03590 transcript:ORUFI09G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSTYPNPAQGYYQGPPASAAAGQDNTAAGGGKANASKKDQPGFMDNLLACLPCARPAEAKNDAS >ORUFI09G03600.1 pep chromosome:OR_W1943:9:4644297:4644773:1 gene:ORUFI09G03600 transcript:ORUFI09G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTTGEEVKKTIDLVSKDGERFEVARDAALLCKTLRWMIKGGYGRIPLPNVASPILARVVDYLARHAAAAAAMDDDGLDRFDRDFLAGVDQDTLFDLLLAANYLQADGLLDLACKKVAAMMTGKSPEQMREIFHIVNDLTPEEEKEIREDIAWALN >ORUFI09G03610.1 pep chromosome:OR_W1943:9:4659350:4660215:1 gene:ORUFI09G03610 transcript:ORUFI09G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNTLGHSILLEESKEPIKQMKGKQEDSFAGTAKNDHVDHSDLESSNLPDQGKKNVPKDLEALARATKNVPEDVEITEIKSNDLDNKTGRNIGKRNRQDDSGSKTKFILIPYGLAMFITIENWETFFLFCMYET >ORUFI09G03620.1 pep chromosome:OR_W1943:9:4667870:4669017:1 gene:ORUFI09G03620 transcript:ORUFI09G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCFGPHYRTLGSTLTSKEVFNWANSNNQRLLHVGDIDRTSKSYIFTSCSMWLATEDRVESASDGGMTSYDIEAFMRVNLLLLNEQMWEAVSK >ORUFI09G03630.1 pep chromosome:OR_W1943:9:4672056:4672521:-1 gene:ORUFI09G03630 transcript:ORUFI09G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNDTVAVDGGGWRHLRRRHCFRPHCRSICFRSMLKRHLAHGERGGRRGDDNLTSIGLSTHLGVLLYSPLHGRYPVCDVYDSEAVTIATMTSIFGFVP >ORUFI09G03640.1 pep chromosome:OR_W1943:9:4677100:4678955:-1 gene:ORUFI09G03640 transcript:ORUFI09G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFYQSLLLSVAAVTVLQLLKLLLVRHRRPRTPPGPWRLPVIGSMHHLVNVLPHRKLRELAAVHGPLMMLQLGETPLVVATSKETARAVLKTHDTNFATRPRLLAGEIVGYEWVDILFSPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVMMRVNEIRAAGPTTPVNLSVMFHSVTNSIVSRAAFGKKRKNAAEFLAAIKSGVGLASGFNIPDLFPTWTGILATVTGMKRSLRAIYTTVDGILEEIIAERKGIRDEKISGGAENVDENLVDVLIGLQGKGGFGFHLDNSKIKAIILDMFAGGTGTSASAMEWGMSELMRNPSVMKKLQAEIREVLRGKATVTEADMQAGNLRYLKMVIREALRLHPPAPLLVPRESIDVCELDGYTIPAKSRVIINAWAIGRDPKYWDNPEEFRPERFEDGTLDFTGSNYEFIPFGSGRRMCPGFNYGLASMELMFTGLLYHFDWSLPEGVNEVDMAEAPGLGVRRRSPLMLCATPFVPVVSAN >ORUFI09G03650.1 pep chromosome:OR_W1943:9:4718277:4718858:1 gene:ORUFI09G03650 transcript:ORUFI09G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDLPIDSTIRKTVSIFTPLFDGDSHLPRRRSRGWRQQAWDDFGSAASDDASVKSGSCEMSRSISAACSADAGRTTTGSTGAAEEDADDAAEKADEPRAAAWRHMWRMK >ORUFI09G03660.1 pep chromosome:OR_W1943:9:4718603:4718881:-1 gene:ORUFI09G03660 transcript:ORUFI09G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVSIHYFIRHMCRHAAARGSSAFSAASSASSSAAPVLPVVVRPASAEHAAEMERLISQLPLFTLASSLAALPKSSHACCRHPLLLRRGR >ORUFI09G03670.1 pep chromosome:OR_W1943:9:4718890:4720263:1 gene:ORUFI09G03670 transcript:ORUFI09G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSVVGHHRHSHHRHPPLRRRRRNHSRRRHQFLRFLNLLLSFCVLAFLVVRRKDSFSTQWMPLLIFAVVRDREELRSSEMTKGNVVKT >ORUFI09G03680.1 pep chromosome:OR_W1943:9:4723955:4726795:1 gene:ORUFI09G03680 transcript:ORUFI09G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAAPTGRGGDDGSGEYGWRRRRLPAREECRWRHNSVGGGRRRLRYPPGCLEQEGGLAGVLGVSPGDWRGGRRFRPGSAGVEQARRAAACARAHLGDAGAEVGEEAARRGGASEARSRPSAILGDLHRGKNICSDRQRKADSVFRLVQLQFNWKTSSPSSSPPRTQEHSERGGDSRTGGIDVVFGRDSAAVAGEEGADGGCAGGVRRRRWRTWDEMVASDSIHYFIRHMCRRPGLVSLLCRVIGVFLCCASVSRRGVSRVGGARGGDGAAHLAAAALHAGVVASGAPKVVRCSRSRPTPAAAIPYFSAPSPARKVTVAVEQRGKDGNGFSDCTAASNI >ORUFI09G03680.2 pep chromosome:OR_W1943:9:4723955:4726795:1 gene:ORUFI09G03680 transcript:ORUFI09G03680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAAPTGRGGDDGSGEYGWRRRRLPAREECRWRHNSVGGGRRRLRYPPGCLEQEGGLAGVLGVSPGDWRGGRRFRPGSAGVEQARRAAACARAHLGDAGAEVGEEAARRGGASEARSRPSAILGDLHRGKNFLPVPDNSKDEQGHPLSPSSSPPRTQEHSERGGDSRTGGIDVVFGRDSAAVAGEEGADGGCAGGVRRRRWRTWDEMVASDSIHYFIRHMCRRPGLVSLLCRVIGVFLCCASVSRRGVSRVGGARGGDGAAHLAAAALHAGVVASGAPKVVRCSRSRPTPAAAIPYFSAPSPARKVTVAVEQRGKDGNGFSDCTAASNI >ORUFI09G03700.1 pep chromosome:OR_W1943:9:4738989:4740009:1 gene:ORUFI09G03700 transcript:ORUFI09G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGFSFLDMMPTQIVAAIHTYGLAPSTNLRAEDIPDPHPDLLPAIFSAFLAPMGFDAVLALDNPKHHIEAIQVLHIHCLLKSIQFPGWRSVGDHI >ORUFI09G03710.1 pep chromosome:OR_W1943:9:4746206:4747293:1 gene:ORUFI09G03710 transcript:ORUFI09G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFGLRCRTSGSTLTSKEVFTWMMDCCYCATSSPSLYHAAIPFHDSIIDYRSPLGAFMRVNLLLWKKEQMWEAGSKWKTPRRH >ORUFI09G03720.1 pep chromosome:OR_W1943:9:4751889:4756166:1 gene:ORUFI09G03720 transcript:ORUFI09G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQPLNPLAPPASQPAVSVTVPTKPKPHHLRRHAFFTLFQPSSPIKPTHRGRNRIGLDPTPPHPAPPPLPLPRPRPESSGEMAAARPCIPACQRLLGSRAALPSFARALSTQTGFASCRKTASAGPFVSLNHKRFAVRAMSAQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEITKVYPLDAVFDSPEDVPDDVKANKRYAGSSNWTVKEVAETVKNDFGTIDILVHSLANGPEVKNSLLETSRKGYLAAVSASSYSFISLLQHFLPIMNPGGATISLTYIASERTIPGYGGGMSSAKAALESDTRVLAYEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLASPLASAITGSTIYVDNGLNTMGLALDSPTLST >ORUFI09G03720.2 pep chromosome:OR_W1943:9:4752011:4756166:1 gene:ORUFI09G03720 transcript:ORUFI09G03720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVTTGLQMAAARPCIPACQRLLGSRAALPSFARALSTQTGFASCRKTASAGPFVSLNHKRFAVRAMSAQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEITKVYPLDAVFDSPEDVPDDVKANKRYAGSSNWTVKEVAETVKNDFGTIDILVHSLANGPEVKNSLLETSRKGYLAAVSASSYSFISLLQHFLPIMNPGGATISLTYIASERTIPGYGGGMSSAKAALESDTRVLAYEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLASPLASAITGSTIYVDNGLNTMGLALDSPTLST >ORUFI09G03720.3 pep chromosome:OR_W1943:9:4751889:4756166:1 gene:ORUFI09G03720 transcript:ORUFI09G03720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVTTGLQMAAARPCIPACQRLLGSRAALPSFARALSTQTGFASCRKTASAGPFVSLNHKRFAVRAMSAQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEITKVYPLDAVFDSPEDVPDDVMFIIFFFMSTPVLHSESVVSCRLKQTKGMLDLQTGLLRKLLKL >ORUFI09G03720.4 pep chromosome:OR_W1943:9:4752674:4756166:1 gene:ORUFI09G03720 transcript:ORUFI09G03720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVTTGLQMAAARPCIPACQRLLGSRAALPSFARALSTQTGFASCRKTASAGPFVSLNHKRFAVRAMSAQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEITKVYPLDAVFDSPEDVPDDVKANKRYAGSSNWTVKEVAETVKNDFGTIDILVHSLANGPEVKNSLLETSRKGYLAAVSASSYSFISLLQHFLPIMNPGGATISLTYIASERTIPGYGGGMSSAKAALESDTRVLAYEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLASPLASAITGSTIYVDNGLNTMGLALDSPTLST >ORUFI09G03730.1 pep chromosome:OR_W1943:9:4757121:4757755:1 gene:ORUFI09G03730 transcript:ORUFI09G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHFVATSYRRWTPHCCWPPTAHSAPSSPRRPRRRGWAPLSTQPGGPPRRVPARLRHLLLHARLTCLVADFCHPWASELAAGLAAPRLTFFSIFGAYDSVADDNAPVVVPGLARRIEVTRAQAPGFFRVPGWDKFADDVECRRRWRGAAVLERWPACRGVEHGGKVERREAAWEEEGGPPAATGAE >ORUFI09G03740.1 pep chromosome:OR_W1943:9:4761048:4761626:1 gene:ORUFI09G03740 transcript:ORUFI09G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRSASAAEAAKEAAANVGASAWAGKEKTKAVVEATVDKARAPDTAARDAADARKADRIREVEATKRHAMRANAAAKERATAATYHPSSAAAPPPPAQAQPVGVGGRAIDSSAAPAPAHTAAGAGVVNSGVAPPGAIAGAGGALGRPAAAAGGDGSAVDAPGGGDVEGHAGGVPVAATEGAGAGYPPAHV >ORUFI09G03750.1 pep chromosome:OR_W1943:9:4762942:4763193:1 gene:ORUFI09G03750 transcript:ORUFI09G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAEAASTWEGAAAGGGEGRGGSGEVAGSPPMAGGWLAEVAAAATLSPPPDLAGGEAAGSRLAEAAAVQLGGDSGHALPMRR >ORUFI09G03760.1 pep chromosome:OR_W1943:9:4764715:4765399:1 gene:ORUFI09G03760 transcript:ORUFI09G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAVAMAPLPPEPAQLSLGDLRAVSSLGRGAKGVMFHVVPTMAREEEWAVSSSIALKATRRRRRDTRRQGTVARTGTGGSGLSGTCTCRRATRCSLHSEASSPSMPSSGLPSTDAAAEISTRRSDTARLRRWLRAKLAVAKNRLAGMAAEISLLKSVVGMPSSRGRSEQQWRSSADAAGADVDVGVDAAHHDIMPLPPWRLEELHRVVELEERKAVATM >ORUFI09G03770.1 pep chromosome:OR_W1943:9:4767372:4776456:-1 gene:ORUFI09G03770 transcript:ORUFI09G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRRLERIARCTGSPILLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFRKPLGCTILLKGSTSEELKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDPQLLVPCTAAPSSKICSDIAQNSDPTQQALNSLASDGEYVNQDDFVDPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDISLDFAKLTSCDDFAGSTSGAPSNNGVLQMNGADGKDCLEAISDGISTETRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGRLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKRECLEVEFNNAYPKTFDLLVKSGTSAHGILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASSDSTIHIKTPENKPKNYEITSVHGDTLCLTNVGMERREERIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHGEDGGSHEVDKYAHISDSFCLEKSIDLPVKNELPELVRGNEMYPVAKPSKCFHVFLNLLDFSNDARKWIWGNFSHLEKEYKKELQGGFLDKFHLINKYIPTFSSLAQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGMYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCFAKILGIYQVKEIRNGKETRTNFMVMENFLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMTVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQSVTGLLSKDCISWGLSCLLVVMSAVDTRYCTLSGKLSSTSIFIEKLSYKVNPQIGYVNKLEERAKISTPRFSYVVGAHTPERDFTRMRIIADKCVAVLMCDPTHISGLVTTTECRSPFDHCDVVTSINKNLTSPRGGTYNYARTGGPLGNHIASLAITLKQVATPEYKA >ORUFI09G03770.2 pep chromosome:OR_W1943:9:4767372:4776456:-1 gene:ORUFI09G03770 transcript:ORUFI09G03770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRRLERIARCTGSPILLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFRKPLGCTILLKGSTSEELKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDPQLLVPCTAAPSSKICSDIAQNSDPTQQALNSLASDGEYVNQDDFVDPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDISLDFAKLTSCDDFAGSTSGAPSNNGVLQMNGADGKDCLEAISDGISTETRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEKHSCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGRLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKRECLEVEFNNAYPKTFDLLVKSGTSAHGILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASSDSTIHIKTPENKPKNYEITSVHGDTLCLTNVGMERREERIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHGEDGGSHEVDKYAHISDSFCLEKSIDLPVKNELPELVRGNEMYPVAKPSKCFHVFLNLLDFSNDARKWIWGNFSHLEKEYKKELQGGFLDKFHLINKYIPTFSSLAQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGMYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCFAKILGIYQVKEIRNGKETRTNFMVMENFLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMTVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQSVTGLLSKDCISWGLSCLLVVMSAVDTRYCTLSGKLSSTSIFIEKLSYKVNPQIGYVNKLEERAKISTPRFSYVVGAHTPERDFTRMRIIADKCVAVLMCDPTHISGLVTTTECRSPFDHCDVVTSINKNLTSPRGGTYNYARTGGPLGNHIASLAITLKQVATPEYKA >ORUFI09G03770.3 pep chromosome:OR_W1943:9:4767372:4776456:-1 gene:ORUFI09G03770 transcript:ORUFI09G03770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRRLERIARCTGSPILLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEAYHLILETSFFADQRLFATGKNAMEKGNCLKTDPQLLVPCTAAPSSKICSDIAQNSDPTQQALNSLASDGEYVNQDDFVDPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDISLDFAKLTSCDDFAGSTSGAPSNNGVLQMNGADGKDCLEAISDGISTETRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEKHSCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGRLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKRECLEVEFNNAYPKTFDLLVKSGTSAHGILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASSDSTIHIKTPENKPKNYEITSVHGDTLCLTNVGMERREERIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHGEDGGSHEVDKYAHISDSFCLEKSIDLPVKNELPELVRGNEMYPVAKPSKCFHVFLNLLDFSNDARKWIWGNFSHLEKEYKKELQGGFLDKFHLINKYIPTFSSLAQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGMYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCFAKILGIYQVKEIRNGKETRTNFMVMENFLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMTVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQSVTGLLSKDCISWGLSCLLVVMSAVDTRYCTLSGKLSSTSIFIEKLSYKVNPQIGYVNKLEERAKISTPRFSYVVGAHTPERDFTRMRIIADKCVAVLMCDPTHISGLVTTTECRSPFDHCDVVTSINKNLTSPRGGTYNYARTGGPLGNHIASLAITLKQVATPEYKA >ORUFI09G03780.1 pep chromosome:OR_W1943:9:4776505:4777164:-1 gene:ORUFI09G03780 transcript:ORUFI09G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVMNGQFKILVTRFLAAEGLSLSDGEADKNWLDIVASLSWRTALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVIIKCKPDAILVEKAVS >ORUFI09G03790.1 pep chromosome:OR_W1943:9:4777377:4778271:-1 gene:ORUFI09G03790 transcript:ORUFI09G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVDDGVICSTSCYKMCGHADKSSIIDGEIGWPSMEISPCSTPYGTPLFSRESSCSSFASCFSSLDDYLVETDSEEEIEVLDTGQLHPGILFSDESMEQRKADSVQVEEFQVSHSAVVNDDSSISIPTNQNNSSGQLQLEIHLDATNEKSVPSNAILDANVTDPHQEVISNGGLIEACYGVPVDDIDLKQSNILDGEEITSLPMADNEMTPLDDQIMDQIDDMKEISSIVYNSTISAEQHVNSGSEFEKGNESSDNLYPLR >ORUFI09G03800.1 pep chromosome:OR_W1943:9:4794633:4795288:-1 gene:ORUFI09G03800 transcript:ORUFI09G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLAGRLAARRWDPGDQPRVDGEAGEPPRVEGEPSSGPRVVGEPGGRPRVVGEQGGQPRVIGEPAGRRRVEESGSWRSIDGESAPLTGGETSTDRFGGGWCASAIETNSNPNIQY >ORUFI09G03810.1 pep chromosome:OR_W1943:9:4802294:4803118:1 gene:ORUFI09G03810 transcript:ORUFI09G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASVNLREDGAAPLLRAKLPVALFSVPAVASLTAGDPANLRLSLATAAPALPSIRLSYAPNRATSPLSLAVVLGSGPGGSPSSSGAAASAITMAVEVNTAGAVSFSLALKPSLGDFAVRKRFDSAAAGGGGGSGSSASAASEVTMRSAIPVRGGAAAVSVRWGVRIPAEVTAGGEEGAAALALRRLPFLVLGKVTVERRPPPPPASTAEETTTTTVEKTRRENERLTRELDELRAAATEKTERKMTSAAAGRRSSGWRSPEMAGDRKTVDLGR >ORUFI09G03820.1 pep chromosome:OR_W1943:9:4810623:4815018:1 gene:ORUFI09G03820 transcript:ORUFI09G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQTPPKLQLIKSSINYYYLPIRKKKKEIRKKSPMAKEKRILVEKKGGQNPELLAQLKRDSSGGEAIVLHGISASKHVATINSHSVCIVCLMLAPSIWCIPSHTIVLI >ORUFI09G03830.1 pep chromosome:OR_W1943:9:4814464:4820527:-1 gene:ORUFI09G03830 transcript:ORUFI09G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYNVTRPWSNHPLTLRLRGASSLLSPSLSSSISSLPPQISPDSAAAAAPASSRGAALAGARARLPGGGPPQSVDYGGGQASDSSGTADDLPPPYPSNRGIRGSGRVSGNGRAIVPAGSYTRAHTDMATQIHHLEQEAYCSVLRAFKAQSDAITWEKEGLITELRKELRVSDVDHRELLNRVNSDDIIRSIREWRSAGGPQAMLPNNAQPMHDLAPSPTTSGRKRQKTSQSFPALPAPPPVMHSQQLALQGPPSSSTAKKGASSGAKGKKTKPGQKVPGGPSVKAMTSSAGPSGRGPHMNRNFPVGLVSFEPSEALHINPLINRKVMSRWPEDNSFYEATITDYNPETDLYALAYDINTANESWEWVDLKQMGPEDIRWQGDDPGIYQGVRGAPGSGGKKSSSRGGPTPGTGRGRGLPKHVSRKDFPPSQNGVGKRSSDDIDILHTESLIKEVERVFSVNNPDPLEVEKAKKVLKEQEQSLIDAIARLAEASDGESDEHNRVRRNAPYAGSQHQANYADAMAVDGGHMLGGADAV >ORUFI09G03830.2 pep chromosome:OR_W1943:9:4814464:4820527:-1 gene:ORUFI09G03830 transcript:ORUFI09G03830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYNSVDYGGGQASDSSGTADDLPPPYPSNRGIRGSGRVSGNGRAIVPAGSYTRAHTDMATQIHHLEQEAYCSVLRAFKAQSDAITWEKEGLITELRKELRVSDVDHRELLNRVNSDDIIRSIREWRSAGGPQAMLPNNAQPMHDLAPSPTTSGRKRQKTSQSFPALPAPPPVMHSQQLALQGPPSSSTAKKGASSGAKGKKTKPGQKVPGGPSVKAMTSSAGPSGRGPHMNRNFPVGLVSFEPSEALHINPLINRKVMSRWPEDNSFYEATITDYNPETDLYALAYDINTANESWEWVDLKQMGPEDIRWQGDDPGIYQGVRGAPGSGGKKSSSRGGPTPGTGRGRGLPKHVSRKDFPPSQNGVGKRSSDDIDILHTESLIKEVERVFSVNNPDPLEVEKAKKVLKEQEQSLIDAIARLAEASDGESDEHNRVRRNAPYAGSQHQANYADAMAVDGGHMLGGADAV >ORUFI09G03840.1 pep chromosome:OR_W1943:9:4824454:4827699:-1 gene:ORUFI09G03840 transcript:ORUFI09G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKQFMPREQPSGLEGRTVDVGNVRVHVREPVAEGGFSCVYLARDAANPAKQYALKHVVIQDEESLDLVRKEIMVMRSLKGHPNVVALVAHAVLDTGGRAREALLVMEFCEKSLVAALESRGAAHFDEQQVALIFRDVCNAVFAMHCQTPPIAHRDLKAENILLGGGGAWKLCDFGSVSTNHKCFDKPEEMGIEEDNIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYLKSAFDGESKLQILNGNYRIPELPKYSSPITSLIKDMLQSSPDVWFRVNELLPLELQKDLPDGSPSGSAFESHITEDEAPSRATISPSTDNTRSTSSEDPSNLRSQGLSKAAESKGSMGAFWSTQHAQELAFVDDKGPAFDQETVHQVSSMQLQSKNHNTPTHNTYRQSLSASVDSSPGDFEIRFSPNGSEYGLEKTKETKSENKTNVHATNFNSFVADFDNLKVNFQNNVSSLNATRRLKEQQLEAERREIQELKQALATASATQSVKEFKENSKAELSPPSTSLDTPPREKIEGTPPELRQGLFTSSPGTPSPDPKPWSAFPEEPKAQAAVTVKGAHPRSVRTLRASNSNKASSLGQSNTSSSADPFAFGQDSFKAAPSRALPSKMSNLGNGSQSSKMSNLGNGSQSLNALKAEAKQDSSYQPAGWTGF >ORUFI09G03840.2 pep chromosome:OR_W1943:9:4824454:4827699:-1 gene:ORUFI09G03840 transcript:ORUFI09G03840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKQFMPREQPSGLEGRTVDVGNVRVHVREPVAEGGFSCVYLARDAANPAKQYALKHVVIQDEESLDLVRKEIMVMRSLKGHPNVVALVAHAVLDTGGRAREALLVMEFCEKSLVAALESRGAAHFDEQQVALIFRDVCNAVFAMHCQTPPIAHRDLKAENILLGGGGAWKLCDFGSVSTNHKCFDKPEEMGIEEDNIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYLKSAFDGESKLQILNGNYRIPELPKYSSPITSLIKDMLQSSPDVWFRVNELLPLELQKDLPDGSPSGSAFESHITEDEAPSRATISPSTDNTRSTSSEDPSNLRSQGLSKAAESKGSMGAFWSTQHAQELAFVDDKGPAFDQETVHQVSSMQLQSKNHNTPTHNTYRQSLSASVDSSPGDFEIRFSPNGSEYGLEKTKETKSENKTNVHATNFNSFVADFDNLKVNFQNNVSSLNATRRLKEQQLEAEVTLLKEQLKIANLEKEEIALKFDKLSGICSSQRREIQELKQALATASATQSVKEFKENSKAELSPPSTSLDTPPREKIEGTPPELRQGLFTSSPGTPSPDPKPWSAFPEEPKAQAAVTVKGAHPRSVRTLRASNSNKASSLGQSNTSSSADPFAFGQDSFKAAPSRALPSKMSNLGNGSQSSKMSNLGNGSQSLNALKAEAKQDSSYQPAGWTGF >ORUFI09G03850.1 pep chromosome:OR_W1943:9:4832989:4833582:-1 gene:ORUFI09G03850 transcript:ORUFI09G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLLPPPHSGELKLVKIKHLLHAFVLKHGGRLAAALGGAKALLLTIAARGSIAGLRNKIRRRRGRNTKKPRCRGSGGDAMMTMQLKLLLPAAVAVPPPSEIAGGGVEPFDAELAYYDSSWNTMIPAEEQLLRPITGYLSWPEQEAEEDDDQGEEEEDEKNEIDRLADKFIERCHERFILEKQESYRRFHEMLARSL >ORUFI09G03860.1 pep chromosome:OR_W1943:9:4838696:4842101:1 gene:ORUFI09G03860 transcript:ORUFI09G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNLRNSGLDEVVMKTGQAAGIGLASGTVWGGVVAMHFNGPHVGSNVKYPELVRIGKVSGNYAASFALLGATYVGIEQSLENCRKKKDYINGAVAGFTAGATVLGFRARSLPTAVLSGCAIALTSVLLDVTGMKTTDEEAKTGKAHH >ORUFI09G03870.1 pep chromosome:OR_W1943:9:4842814:4847122:1 gene:ORUFI09G03870 transcript:ORUFI09G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTWHNHIGPSDFPPLFLQQIHCCAVQPCCSLLPLLQKLHKITPKKIHSRSTKESFANLYQTKMAVLGLSTAFSPPRGSCIAVRIRHGARSARSNLSLRRGSAGGGAIGVRAEVSFVDGDEAKRLVAEEGYTVLDIRDRTQRERAHIKNSAHVPLFVENDDGDIGTIIKRTVHNNFAGLFFGLPFTKRNLEFTKMVKDKFSPESKLLVVCQEGLRSTGAADVLEREGFQNLACIKSGLQTLKPGAYLFITLFPDQAEKLFDLAGIKL >ORUFI09G03880.1 pep chromosome:OR_W1943:9:4847742:4849547:-1 gene:ORUFI09G03880 transcript:ORUFI09G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTTISSSLITPPAAALHHRSSSCRCPSRLTVGAARWWARRRQPAVVVRVVASSSVLEAPEEVAARKLYVGNIPRTVTNDELAAMFADHGTVERAEVMFDKYTGRSRRFGFVTMSTPEEANAAIESLNETEVGGRKIKVNVTESFLPNIDRSAPEPEPVFVDSQYKVYVGNLAKSVTTEMLKNFFSEKGEVLSATVSRIPGTAKSKGYGFVTFSSEEEVQAAVSTFNNAELEGQPIRVNKA >ORUFI09G03890.1 pep chromosome:OR_W1943:9:4851290:4859681:1 gene:ORUFI09G03890 transcript:ORUFI09G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAGDGAASGGTKRKVTAASSSAAAKGKAAGKGKAASKASALATAKESSLLKQKSPAEFFAENKNIAGFDNPGKSLYTTMRELVENALDSAESISELPDIEIIIEEITKSKFNTMIGLVDRQRIDEELYDDFESAKAREKRLAKEARFQETQAKNAALGKKVKEAPAARGKGRGEAAFFRVTCKDNGRGMPHDDIPNMLGRVLSGTKYGLRQTRGKFGLGAKMALIWSKMSTGLPIEIKSSMKGQNFISFCRLDIDIHKNVPHVHLHEKRENKDRWHGAELQVIIEGNWTTHRSKILHYMRQMAVITPYAQFLFRFLSDSPDKNLTIQFARRTDVMPPIPLQTKHHPSAVDLLLIKRLISETTKQNLLQFLQHEFVNISKSHAEREMGPDFSAKTTVKSLTSQQLVRIHQLFRQAKFDDPSGNVFEGHPFIVEAGISIGGKDVKHGLNIFRYANRIPLLFEQGADVITRTALKRINWSSYKINQQQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEIASAVQSALKQCCLQLKSKIVKKLQARERQDRKRNLNRYIPDVARAIMETLGEIADESPPKRPRYDKEDEELLEKVNSEEVTEMTFRDCLTQHVEQVDYEMALEYAMQSGVSEEPREALYLNSLEGSYKFIDFQSPVFVFRFIP >ORUFI09G03890.2 pep chromosome:OR_W1943:9:4851290:4859681:1 gene:ORUFI09G03890 transcript:ORUFI09G03890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAGDGAASGGTKRKVTAASSSAAAKGKAAGKGKAASKASALATAKESSLLKQKSPAEFFAENKNIAGFDNPGKSLYTTMRELVENALDSAESISELPDIEIIIEEITKSKFNTMIGLVDRQRIDEELYDDFESAKAREKRLAKEARFQETQAKNAALGKKVKEAPAARGKGRGEAAFFRVTCKDNGRGMPHDDIPNMLGRVLSGTKYGLRQTRGKFGLGAKMALIWSKMSTGLPIEIKSSMKGQNFISFCRLDIDIHKNVPHVHLHEKRENKDRWHGAELQVIIEGNWTTHRVRIIFSFFLFSEIESWNCTVEKITSYLLQSKILHYMRQMAVITPYAQFLFRFLSDSPDKNLTIQFARRTDVMPPIPLQTKHHPSAVDLLLIKRLISETTKQNLLQFLQHEFVNISKSHAEREMGPDFSAKTTVKSLTSQQLVRIHQLFRQAKFDDPSGNVFEGHPFIVEAGISIGGKDVKHGLNIFRYANRIPLLFEQGADVITRTALKRINWSSYKINQQQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEIASAVQSALKQCCLQLKSKIVKKLQARERQDRKRNLNRYIPDVARAIMETLGEIADESPPKRPRYDKEDEELLEKVNSEEVTEMTFRDCLTQHVEQVDYEMALEYAMQSGVSEEPREALYLNSLEGSYKFIDFQSPVFVFRFIP >ORUFI09G03890.3 pep chromosome:OR_W1943:9:4851290:4859681:1 gene:ORUFI09G03890 transcript:ORUFI09G03890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAGDGAASGGTKRKVTAASSSAAAKGKAAGKGKAASKASALATAKESSLLKQKSPAEFFAENKNIAGFDNPGKSLYTTMRELVENALDSAESISELPDIEIIIEEITKSKFNTMIGLVDRQRIDEELYDDFESAKAREKRLAKEARFQETQAKNAALGKKVKEAPAARGKGRGEAAFFRVTCKDNGRGMPHDDIPNMLGRVLSGTKYGLRQTRGKFGLGAKMKRENKDRWHGAELQVIIEGNWTTHRSKILHYMRQMAVITPYAQFLFRFLSDSPDKNLTIQFARRTDVMPPIPLQTKHHPSAVDLLLIKRLISETTKQNLLQFLQHEFVNISKSHAEREMGPDFSAKTTVKSLTSQQLVRIHQLFRQAKFDDPSGNVFEGHPFIVEAGISIGGKDVKHGLNIFRYANRIPLLFEQGADVITRTALKRINWSSYKINQQQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEIASAVQSALKQCCLQLKSKIVKKLQARERQDRKRNLNRYIPDVARAIMETLGEIADESPPKRPRYDKEDEELLEKVNSEEVTEMTFRDCLTQHVEQVDYEMALEYAMQSGVSEEPREALYLNSLEGSYKFIDFQSPVFVFRFIP >ORUFI09G03890.4 pep chromosome:OR_W1943:9:4851290:4859681:1 gene:ORUFI09G03890 transcript:ORUFI09G03890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAGDGAASGGTKRKVTAASSSAAAKGKAAGKGKAASKASALATAKESSLLKQKSPAEFFAENKNIAGFDNPGKSLYTTMRELVENALDSAESISELPDIEIIIEEITKSKFNTMIGLVDRQRIDEELYDDFESAKAREKRLAKEARFQETQAKNAALGKKVKEAPAARGKGRGEAAFFRVTCKDNGRGMPHDDIPNMLGRVLSGTKYGLRQTRGKFGLGAKMKRENKDRWHGAELQVIIEGNWTTHRVRIIFSFFLFSEIESWNCTVEKITSYLLQSKILHYMRQMAVITPYAQFLFRFLSDSPDKNLTIQFARRTDVMPPIPLQTKHHPSAVDLLLIKRLISETTKQNLLQFLQHEFVNISKSHAEREMGPDFSAKTTVKSLTSQQLVRIHQLFRQAKFDDPSGNVFEGHPFIVEAGISIGGKDVKHGLNIFRYANRIPLLFEQGADVITRTALKRINWSSYKINQQQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEIASAVQSALKQCCLQLKSKIVKKLQARERQDRKRNLNRYIPDVARAIMETLGEIADESPPKRPRYDKEDEELLEKVNSEEVTEMTFRDCLTQHVEQVDYEMALEYAMQSGVSEEPREALYLNSLEGSYKFIDFQSPVFVFRFIP >ORUFI09G03900.1 pep chromosome:OR_W1943:9:4860023:4860400:-1 gene:ORUFI09G03900 transcript:ORUFI09G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTQPPWRRTGPTPERGARGRSAPPLGPRHRRVVGGGGEDAGAAPLDRRRSNPPTGAVAVATPPPLRLAPAPSSCRSALCGWKRKRGRERERRGEGKVKREKWYWWDPLSFLYCGLAEFALWNI >ORUFI09G03910.1 pep chromosome:OR_W1943:9:4871016:4871928:1 gene:ORUFI09G03910 transcript:ORUFI09G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLARPPRPHRTYGEPPCTAAPHSTARIAPALPARQLRRRRASACRCVSPSRPPCRAATPRWAARSVAPHTGRHAAARSKLSVGSHPRAINGERKERGRRKKERKKRGKMLGITNKEFAELAQHGQNYLWASDIQSVLGPRSFARRSG >ORUFI09G03920.1 pep chromosome:OR_W1943:9:4877644:4882418:1 gene:ORUFI09G03920 transcript:ORUFI09G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGLPRIAVVGAGIFARTQYIPRLREIAHLVLLKTIWSRTKPHTDHPPNSALLSVAGPHHSVAARDFAPEIQPRWGDAGLEEIMGDASISAVAVVLAGQVQVDLSLKMLKAGKHVIQATMEAETALSVYNSFPNQFPYKPIWALAENYRFEPAFVESRKLMSDIGDMMNIQVIVEGSMNSSNPYFNSSWRRNFVGGFILDMGVHFIAGLRMMVGSEIATVSSISRHVDKALPPPDNICSLFQLENGCAGVFVFAVNSRTPKILWRVDGTRGTVQIERGIASGKHGYQVLFTNENGQCQTTFYPFCGVNEELKAFVHDIVQANKDGDHKAEPRSSYVEGARDVAVLEAMLESSAKQGTMVQVKKF >ORUFI09G03920.2 pep chromosome:OR_W1943:9:4877644:4882418:1 gene:ORUFI09G03920 transcript:ORUFI09G03920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGLPRIAVVGAGIFARTQYIPRLREIAHLVLLKTIWSRTKPRWGDAGLEEIMGDASISAVAVVLAGQVQVDLSLKMLKAGKHVIQATMEAETALSVYNSFPNQFPYKPIWALAENYRFEPAFVESRKLMSDIGDMMNIQVIVEGSMNSSNPYFNSSWRRNFVGGFILDMGVHFIAGLRMMVGSEIATVSSISRHVDKALPPPDNICSLFQLENGCAGVFVFAVNSRTPKILWRVDGTRGTVQIERGIASGKHGYQVLFTNENGQCQTTFYPFCGVNEELKAFVHDIVQANKDGDHKAEPRSSYVEGARDVAVLEAMLESSAKQGTMVQVKKF >ORUFI09G03930.1 pep chromosome:OR_W1943:9:4884323:4885279:-1 gene:ORUFI09G03930 transcript:ORUFI09G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNYPLHPSASPLPVSLRLVTVSCVSEEECVVPVKKHEGRRRTGDGGAPAVTTHRWRWRAGMAQNVPSKGVKIPIDSSYWNDYNTRVVCDIFADQVATGNRPNTHLSNSGL >ORUFI09G03940.1 pep chromosome:OR_W1943:9:4895028:4906750:1 gene:ORUFI09G03940 transcript:ORUFI09G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSREEDRQHHNHLPSELPLGFRSSSPTTMIASSSMSKESSNYDMADFDQASLFLYLDSHDQQSIQEQRQTLNIFPSQPMHVADPAHEAKSAGVAMAMLPNGNQLQVLPSHPSKKPDQQGGQKINSSVPTNPPGPNLPLPNSAKDNKNSSLIKGPKKCGLQKEGSSSGKGATTSNDPEREGRRTLDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLSQLEQQVHVARVQGAMLGAGDQHQGLPSGPSAASLFDLEYGRWVEEHSKLIFQLRAALNEQMADNQLQVFVNGAMAQHDELLSLKGAIARADIFHLLCGVWATPAERCFLWLGGFRPSEAIKVMLKQVEPLSEGQLMSIYELQQAAKGTEDALSHAMDGLQQSLSDTVAAPDVAAAGGFMGHMSLAMNKISAMEDIVRQADGLRQQTLHKLQHMLTIRQAARCFVAISDYFHRLRALSTLWVARPRPEEGPAM >ORUFI09G03940.2 pep chromosome:OR_W1943:9:4895817:4906750:1 gene:ORUFI09G03940 transcript:ORUFI09G03940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADFDQASLFLYLDSHDQQSIQEQRQTLNIFPSQPMHVADPAHEAKSAGVAMAMLPNGNQLQVLPSHPSKKPDQQGGQKINSSVPTNPPGPNLPLPNSAKDNKNSSLIKGPKKCGLQKEGSSSGKGATTSNDPEREGRRTLDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLSQLEQQVHVARVQGAMLGAGDQHQGLPSGPSAASLFDLEYGRWVEEHSKLIFQLRAALNEQMADNQLQVFVNGAMAQHDELLSLKGAIARADIFHLLCGVWATPAERCFLWLGGFRPSEAIKVMLKQVEPLSEGQLMSIYELQQAAKGTEDALSHAMDGLQQSLSDTVAAPDVAAAGGFMGHMSLAMNKISAMEDIVRQADGLRQQTLHKLQHMLTIRQAARCFVAISDYFHRLRALSTLWVARPRPEEGPAM >ORUFI09G03950.1 pep chromosome:OR_W1943:9:4911543:4916693:-1 gene:ORUFI09G03950 transcript:ORUFI09G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQGRGGDLYLASRAGERIMSKKRGLSLEEKREQMLQIFYDSQDFYLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSVYFWSLPSCAGNQLRTTYSKLESDLSSSKKRFIELVEQRENLKRGREDSDEREAALEELKAVEQHHKKLKVSTTQLFRIVFQEELAAYADSDPAALEAMNDAIEVAHAAANRWTACLSSEQANGILNVTTDNIFTLQQWCSTTFPQAKEQLEHMYREVGITEDFEYLQ >ORUFI09G03960.1 pep chromosome:OR_W1943:9:4919747:4920049:-1 gene:ORUFI09G03960 transcript:ORUFI09G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIMAKLVVVFSRAAVVGVNDGGSASGGVLRGEVPFRACMCLYGTPGDSLEVVCAPAKLGQRWRTEERSGGGGKQQCDDVGFLRSSGCQGEKGNGLEMP >ORUFI09G03970.1 pep chromosome:OR_W1943:9:4927441:4935902:1 gene:ORUFI09G03970 transcript:ORUFI09G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTGNGIRNGESYGIDINNVTACIRKPFSSQFCCQNKNSPNLGRCYGSVSDCQSNCPNDLDPHTCEKAPLVPPSSCFWLSGLLCGLVPDCGSVIARFDGSESKRFQIALTLTIWSHPTLAGIKLVILLLLTANYPLVHGNGIRNGESYGIDINNVTACIRKPFSSQFCCQNKNSPNLGRCYGSVSECQSNCPNVLDPHV >ORUFI09G03980.1 pep chromosome:OR_W1943:9:4937368:4937943:1 gene:ORUFI09G03980 transcript:ORUFI09G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSADVVGLTGSSSHVVLTGVRALLGGSHDDLRLRADQLSWSLSVVFFTGGGAGLGPDGSVLVCADVPPIGAALRGKQRTAVRVAVNEADHGACGCSNDIFRDVMWLLVGDVVFFRSSFSSHWVFFSNVEFYPASAATSWSLLWLLGPSWGCDVCHPCLALRIPRRPPCSPLVALAEQRVLRRWCRGSAL >ORUFI09G03990.1 pep chromosome:OR_W1943:9:4964183:4968761:-1 gene:ORUFI09G03990 transcript:ORUFI09G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTERSSILVHILVIALCLTAFGFAIAAERRRSTGSIVTDSFNSTFCVYDSDIATGYGVGAFLFLLSGQSLLMVVTKCMCFGKPLAPGGSRAWSIIYFASSWVTFMIAESCLIAGATKNAYHTRYRHMVYVGSWTCESLRKGVFIAGAVFVVFTMILNVYFYMYYTKSTSQAAKKINKTTPNVGMTGYA >ORUFI09G04000.1 pep chromosome:OR_W1943:9:4973686:4973895:1 gene:ORUFI09G04000 transcript:ORUFI09G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVSNVITGVGRRLVLLVLTLLVLAALDEAPPTIMASAARVLLQQYAPTYGPPSCSPPYCAPRHARR >ORUFI09G04010.1 pep chromosome:OR_W1943:9:5021041:5024738:1 gene:ORUFI09G04010 transcript:ORUFI09G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGLFANDGSFMERFKQMQEKEKEKAAAASSSAAAAAKATNPKPVVVAANTPSASKRPLELKGGEVKKAGSISSGAKLAFSLKKNKVAVAPVKFAADDEDEEDVGADREEPVKRQKYAQPEAPASVAALSGVVGNHLFTFVPVIAFFSCDIVSNTFVICLLVTMGYIIVHLQLDWNFGFNHWMYFDYNESTIEIVAPSPPNDMTVKQVADKLANFVAKNGRQFENVTRQRNPGDTPFKFLFDKNCSDYKYYEFRLAEEEMLLAQSKEAQASKHASSSTPSSRAPSAPQRSSFEQKTNYQTPASALYGAYEGSSSQGSSSGYGEPPKDPVALMEFYMKKAAQEERKRPPKQSKDEMPPPPSLQAPSSKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERSGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >ORUFI09G04010.2 pep chromosome:OR_W1943:9:5021041:5024738:1 gene:ORUFI09G04010 transcript:ORUFI09G04010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGLFANDGSFMERFKQMQEKEKEKAAAASSSAAAAAKATNPKPVVVAANTPSASKRPLELKGGEVKKAGSISSGAKLAFSLKKNKVAVAPVKFAADDEDEEDVGADREEPVKRQKYAQPEAPASVAALSGVVGNHLFTFVPVIAFFSCDIVSNTFVICLLVTMGYIIVHLQLDWNFGFNHWMYFDYNESTIEIVAPSPPNDMTVKQVADKLANFVAKNGRQFENVTRQRNPGDTPFKFLFDKNCSDYKYYEFRLAEEEMLLAQSKEAQASKHASSSTPSSRAPSAPQRSSFEQKTNYQTPASALYGAYEGSSSQGSSSGYGEPPKDPVALMEFYMKKAAQEERKRPPKQSKDEMPPPPSLQAPSSKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERSGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >ORUFI09G04020.1 pep chromosome:OR_W1943:9:5026108:5032179:1 gene:ORUFI09G04020 transcript:ORUFI09G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGGSYGEVGQKIDYVFKVVLIGDSAVGKSQLLARFARNEFNLDSKATIGVEFQTRTLHIDARTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHVARWLEELRGHADKNIVIMLIGNKSDLGTLRVVPTEDAKEFAERENLFFMETSALESTNVENAFMTVLTEIYRIVSKKNLVANEEVDSSGNSSLLKESRSFNHCQVPKTKDTA >ORUFI09G04030.1 pep chromosome:OR_W1943:9:5035460:5039366:1 gene:ORUFI09G04030 transcript:ORUFI09G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDHHHPDAAAAAAGKGAHHHHGGGKVHKLLKSAFKRGGDHHHPGSGGGGGDQEGDLLSRSASGSSSTSAASSSRAASSSSGRRGGGGRRGDDTCSSVDGESGELDGSKNAKVLAALRDAKISYAYESFPWEKKMKELLPVPAASCFLSMLLLPKSADGSHTRYKSLEDTLARADAWLVSSQAAGVPVAFMNVQTEALLTKISGEMALSTVNMGSLSDLANMANASLYGFEDYHGVDIGVVRAVRLWYTPVAGEAALEIKLLPGDTRLGFAISRTEEGFIYVSSVAEESTPGVASTRSGLLELHRAARRASRLLVVSRVGGEKVLPWMVSTAGDVKCFDTVSLSQKLSLHRHALRPITLHFLMWDTALAIKDVVAKPPLPPPPTMLMLPSPPSPPPSDAEGDAPPPSGDGDEAPGSGAKGGKDSSFRFQNIDLLPDSWL >ORUFI09G04040.1 pep chromosome:OR_W1943:9:5042561:5053139:-1 gene:ORUFI09G04040 transcript:ORUFI09G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGELGQQTVELGAVVRRAAEESYLSLRELVEKSQDEGEGKGGAYGARQRSDSEKKIDLLKFIARTRQRMLRLHVLAKWCQQVPLVNYCQQLGSTLSSHETCFTQTADSLYFMHEGLQQARAPMFDIPSALEVMLTGNYQRLPLCIEDIGSQNKLSPDEEKRALQKLNASVRYKVLVTPRPKEVSNVSVADGIAVFRVDGEFKVLLTLGYRGHLDLWRILHLEVLVGDKGGPIKLEERRRFALGDDIERRMAVSENPFMVLYAILHELCISLAMDTIIRKTNVLRQGRWKDAIRSELVLDSTTGQTGSAPLMQLGQDGEYDLSGSRIPGLKINYWLDEKAGGSAESDSSPFIKIEARQDMQIKCQHSSFILDPLTDKEANLSLDLCCIDVEQLILRAIASNRHTRLLDIQRQLSKNVQISQSPKDVILKRDVEIAKDPVKKTEQKDFADCCGNEVLQVRAYGQAYIGLGINIRSGRFLLQSPENILPPSALLDCEEALNKGSITATDVFASLRTRSILHLFAATGSFFGLKVYEKSQGTLKIPKDILHGSDLMVMGFPQCANAYYLLMQLDKDFRPVFHLLETQSDANDKTNTNACTKEALRFNKIDIGQIQISKSESNTNMFDAKLHALQNIGNCDDVMENRLPIQSGIEPLPLLPACSPSFSSVVDEVFEYEHGALAVPNHSLPQTTLQSTSHPGSLSVGFQGVGTRANASIEGASSAYSGSKFSPGVGLNSYLPSNLRHVQSTNAFSSSTVTKSSSIKLPSSNSNHELSSLSSPTEHVIADGSKSLQLVPASKINGSINLITMGSDGASRKRSISDLFLNLPSLQGLKPSSPRKRRRISESMESWSPLQAYSSDSQSRTSLTYGNILAERNNCVPATTYASVLLHVIRHCSLSIKHAQITAQMDSLAIPYVEEVGLRSPSSNLWLRLPFARDDSWKHICLRLGKAGSMSWDVRINDPHFRELWELSTGSTTTSWGVGVRVANTSEMDSHISFDAEGVILTYSNVEPDSVQKLVSDLRRLANARSFARGMRRLIGVKLNDKLDDDQTSTDIKSQPVNKGNSDAADRLSEQMRKTFRIEAVGLMSLWFSYGTMPMVHFVVEWESAKGGCTMHVSPDQLWPHTKFLEDFVNGGEVASFLDCIRLTAGPLLALGGAIRPARMPVTVSSGYNSMPKQMNNIPTQGPLANGSSSTTMHHAPSPANVAATHLGSHNLHTAAMLSAAGRGGPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDMRCFAGDQVWLQPATPPKGGPLVGGSLPCPQFRPFIMEHVAQGLNALEPSFMNATQAGAHLNSSAGTLQPAPNANRVNATQGIGMSRPASGVANHVAANLSRAGNAMLASSGLASGIGGASVRLTSGANLPVHVKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKENEGALLNLDQEQPALRFYVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQAQNSAQEELAPPEINEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGFSQAHGDMATAQRARIEICLENHSGSVSDDITESTLAKSNVKYDRAHSSLEFALTFVLDHALIPHMNVAGGAAWLPYCVSVRLKYSFGESNHIAYLAMDGSHGGRACWLQYEDWERCKQKVARAVETVNGSVAVGESGQGRLRMVAEMIQKQLQLCLQQLRDGPLSAGSTAS >ORUFI09G04050.1 pep chromosome:OR_W1943:9:5064584:5064903:1 gene:ORUFI09G04050 transcript:ORUFI09G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAVGGAVSATGGSGIGGVSRGVSGDGRVGGRPGGIRRVSRKDPVTAAGADSVVLVRKTDTYRGFLTGTN >ORUFI09G04060.1 pep chromosome:OR_W1943:9:5067036:5072152:-1 gene:ORUFI09G04060 transcript:ORUFI09G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSKDHIFPNQRSLISQSSSPHNSLPKKEEIPLLSLLPSIHDHNKIHGCYKQEKEEEVMEDVDISLQIGLPSPDPNSSVIDFAKSNPLGATATTSQELDGDDDDDHKVEVEREEEEEAASDDLCLDYFSMGKLTKGKYWIPTPTQILIGPTHFACPVCCKTFSRYNNLQMHMWGHGSQYRRGPESLRGTQPAAMLRLPCFCCAAGCRNNVDHPRARPLKDFRTLQTHYKRKHCAKPFACRKCGKPLAVRGDWRTHEKNCGRRWHCACGSDFKHKRSLKDHIRAFGRGHRERPPPPPPHPLLPLP >ORUFI09G04070.1 pep chromosome:OR_W1943:9:5099581:5100840:-1 gene:ORUFI09G04070 transcript:ORUFI09G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSVPNAPPPHTPALRLRSELASAPPHQASSYPLLLPLLPPISSEAPRRLPSAAVNGIRYREEDEDTVAVEMGMARCGSRCCFCLHRPSRRQQDHMNALRSPP >ORUFI09G04080.1 pep chromosome:OR_W1943:9:5110368:5113021:1 gene:ORUFI09G04080 transcript:ORUFI09G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWRSREEDAARPQGVRRNVYGGNRKGREGWTSSWGLLGPCDGSQDGVGRKGDGRGEGWLNGRRDGRRTGLEDDMMARGARGRRRRAVGSVPRLISAGWNSSHGNGTPPQLLLGGGVGLGGSRDARGRVLLRVVVIDIESRISSNNLAAWIYRSVWPRRCHGPCRVIVKPSSPAAASSAAARSPSVCPSGRDRRPVEAMMTAGPRQLGRRSSADAEDEVDDGRPDGDTRAPRTEVDRGDAICCCYLTTARVDKERSWRSISPRRRRQRRQKTKKKLVTALGRLEPSRGRRWRKRERRL >ORUFI09G04090.1 pep chromosome:OR_W1943:9:5125758:5127848:-1 gene:ORUFI09G04090 transcript:ORUFI09G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKIGEEIVRKCDGLPLAIKLIGSLLARKGHNPQQWSDVLRSGIWNMKELPGKLKGAWGALYMSYEDLPPHLKQCFLSLSLFPADYDLAIWDLRALWVAEGFLHPKEQLIAEELAENCYAELVSRSLLQPIVLYADQRKCRMHDLLRSLAQYLSRGESLCGDPRKLDAFSLSKIRRLSVLMDEEVEEEADPLTRSQRKNLSLRTLMLLEGTSIFQRETIFSFPCLRVLVLNGKAIENLPSSIENLLHLRMLNLNYTSIASLPMSIGSLKNLQILYLIRCLRLHSLPASITQLDDLRCLGLNGTPVTHVPKGLGKLKLLNDIGGFVAGGHTTCQTELQEGWGLEELESLAQLRWLSITRLERAMISKPMLKSKCFLRHLILSCTMPQYKKLSFEEINTIEAIFEGLFPPPSLEKLQIINFCGQSLPGWLISSSLETNLPCIEYIHLIGCSFCTQLPPFGKLPQLRYLNIEDAFAIVNIGTEFVGMHGVSTAFPKLEYLTFNGMPNWEEWSMSGNEEEEEPSMPHLVELQILGCPKLRSLPTTLQKITTIQTIGITKCDSLTCVTNFRYLHNQLVIEKSSGVEIISNLPALNKLVITDVHALKHIEHLPSLRYMELCSSSLDKLPEWLQGLADTNRKLANDLQLTLRCSITLMRRCVRKGPDWPTIRRFPHVSVYTHDRSALMEYNHEAGYYFTNLQ >ORUFI09G04100.1 pep chromosome:OR_W1943:9:5127872:5128335:-1 gene:ORUFI09G04100 transcript:ORUFI09G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSHEDNFKIVAVTGMGGIGKTTLAQRVYNHVKIKNFYPTTIWICVSRKFSEVELIQEIIRQARGDYGQAKTKAELLPIMANTVANKCLFLVLDDIWSADVWNALLCTPLHSTPRCGCVLVTTRHQDVARKVTYQIKSGAAL >ORUFI09G04110.1 pep chromosome:OR_W1943:9:5128361:5132787:-1 gene:ORUFI09G04110 transcript:ORUFI09G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAEGQERSAADGSGAAGESSRLWAASADPTMGSSAMADLVAWGSATAVTTRTAACGWKDGSGQRWELGRAARIQQWGAQRQQLRAGAAGSGKARGCRSGNEELSGNQSGGLRLGNGSDDDVCGMRAEVAGGGEGGGCGSIDRELNGNGSGTVGLGGGRSDAGKGLGLEAVTWIHRSQMGTQAEAFLTSCVDRIVNLLEEHAVMILGVKDDLKKLQAKVELIKAVLQDAERKKLQYRTIEIWLNSLKDVLYEADDIIDLCRTKARELLEEQPSSSIQQRKMHCSLLSFFSTVRLRHKIGSKIRKLSDRLTDIENNRLVLSLCHLKPCEQQDTTVNVRQTSPLINLDIVGRD >ORUFI09G04120.1 pep chromosome:OR_W1943:9:5146984:5147379:1 gene:ORUFI09G04120 transcript:ORUFI09G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLRPQPHDNDLNGGSSRGEQGTERIQWLGMMADSAAWEWPMLASEGVDPPPLPLGAMMVVMASGAMVADPGMITMAALGTTTTVALGATTAAVASTNDSVRSRYDDGDGFWHDDNGGGSGGGDGGGFGI >ORUFI09G04130.1 pep chromosome:OR_W1943:9:5155550:5156342:-1 gene:ORUFI09G04130 transcript:ORUFI09G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASEGKANIISDQPHAPAIARSSTVFCSGTASTSHRQGCPRGLQIIPSDGDEHILSPLTRLTYQGDTALHIVAASGSDDAENFLKSADIICRSGRAMELLVTPNCNGDTPLHSAATAGNLAVVRKLIHLRKCTADGSAAAATAAMLRRENKTGETALPGAIRFGSVDMMRELLEDDPELVCVPRSGTGTSPLYLAVLLGHTKIVEEIHTKLLLKLPIAYPSVARMAKLPCILLFSAEKVRHFSDLF >ORUFI09G04140.1 pep chromosome:OR_W1943:9:5162926:5165735:-1 gene:ORUFI09G04140 transcript:ORUFI09G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLDGWGQRRRRMWPWTKAGMLTTAGTGGDGDDDGVCSSGAGRRGRMGVREERDGGSYVWQKKRREHAAVEAFGLGAVLGGEDGNVELDAWPCLGATAASSWKTDVDAGGVAGRPRHPARVGWPVPAACVDTHLWCRLPRALIGWPYRPRHVAAEWEDYSSRRENDLGFVLNIYMHP >ORUFI09G04150.1 pep chromosome:OR_W1943:9:5173774:5177610:-1 gene:ORUFI09G04150 transcript:ORUFI09G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNYETMPKIGTTKSLNNKINVQEELQYASQLQNYITKFHSPAPDPTSAVATTALSRRHAIHLQPPPPLPPPPEGAFAAGSARRCRRHCHRRRIHRRRKIYRRTKAAFAREESERGRREPPLDPPAAAGFARGCRRRICRGREARGAATSALGHRHRGPWPLPPPPEGEEMGGREGGGTLPPVRGKGSGAAGGEGEGQPVGRERWSGEGGKAPPTQ >ORUFI09G04150.2 pep chromosome:OR_W1943:9:5173774:5174209:-1 gene:ORUFI09G04150 transcript:ORUFI09G04150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTKAAFAREESERGRREPPLDPPAAAGFARGCRRRICRGREARGAATSALGHRHRGPWPLPPPPEGEEMGGREGGGTLPPVRGKGSGAAGGEGEGQPVGRERWSGEGGKAPPTQ >ORUFI09G04160.1 pep chromosome:OR_W1943:9:5174750:5178033:1 gene:ORUFI09G04160 transcript:ORUFI09G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVATTEGGGGDGRGRIRRRRDGDGDGDEKVSGGCVEARRWRQWVRGGGGGLCEEEMPVAERGRGNDAGGGKEKATSWESGWMERHMRNFAGW >ORUFI09G04170.1 pep chromosome:OR_W1943:9:5178076:5178983:1 gene:ORUFI09G04170 transcript:ORUFI09G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASGRGGGGGRGGNGNGEAAAMGFGRRWARTTRSHRIVRIRWAGIVDDGDGGGAPCRIVILRCDGEDGTETVACTRGGGGGAEP >ORUFI09G04180.1 pep chromosome:OR_W1943:9:5184940:5187020:1 gene:ORUFI09G04180 transcript:ORUFI09G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDELLLIAISHGNNEDGSDGVVGISDEVYAAELQLQELIMSSAMAATAAAAADQLDSGSAVHASSEDAAAAAHAKTSPSSALVPAAECSSSSAAAMTLVASVVKCSCSSSAMAPSATTTSFLFCKICMDDVPASDAHRGSHGCAHAFCAACLAGHIAAKLHSGGGVYCPEDGCASAVDPELCQPILPEDNFERWCAALCRAMVLGGRHVYCPFTDCAEIIADERGGDSDGQPTECPACRRRFCERCGVAWHGGVSCGEYGELAVGDRGEGDLAVVEMAKGSRWRRCPRCKFFVDRYEGCSHITCRCGLEFCYGCGQEWGPTGHSSYNEIGNSQAL >ORUFI09G04190.1 pep chromosome:OR_W1943:9:5187271:5190726:-1 gene:ORUFI09G04190 transcript:ORUFI09G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPYDVDRRLGEQALERSPSPILHRNQPYGNNEDDGGGVVRISDEVDAAEIQLQEVIMSSAMAATAAAAAAQRCEVNTCGDDAVLLSSPSAEYPSSSAPQAGGAAAALTLVASVVMSSCSSSAMAPSVPTTSFLFCKICMEDVPTSHVHSSSHGSVRAFFFCAAFLASHITA >ORUFI09G04200.1 pep chromosome:OR_W1943:9:5187281:5187709:1 gene:ORUFI09G04200 transcript:ORUFI09G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLARKAAQKKKARTLPWLLLCTWDVGTSSMHILQKRNDVVGTDGAIADEEQEDMTTLATRVRAAAAPPACGAEEDGYSALGEERRTASSPHVFTSHLNPTSDYMEDGGGPAFAVGASRRLWLEEGGEGRGKSREGEEVGGR >ORUFI09G04210.1 pep chromosome:OR_W1943:9:5198442:5198723:1 gene:ORUFI09G04210 transcript:ORUFI09G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRTVVLVVVAAVCAAVVLVSIPPVEAQQRDCHSICNQSCASSCRPAPISACGKACSLAGPQACSQCQYSVYQQCTGLCFNYCFNSCSHMR >ORUFI09G04220.1 pep chromosome:OR_W1943:9:5201537:5202011:-1 gene:ORUFI09G04220 transcript:ORUFI09G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAAVTAAAAMCTVLVILSTGGQPPAAAAQTDYCATACSSSSNGTVTCYNNAINTCSSNCSITPQYCDQCRRESNQQCQNYCYNGCLYGCSLQHPCDFDYNLATII >ORUFI09G04230.1 pep chromosome:OR_W1943:9:5211904:5215467:-1 gene:ORUFI09G04230 transcript:ORUFI09G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKVVGGKGADGGRRLTLALGASANLWSQPAVPKETWGVAQGEEEDERNWWSEVLAATPCRTSPEVSTWDKKGCSRGGFSAPTKCYAVEPLCVPKPLALGSRFWALGDESSEDEVDREEHRSEEEVQGGSVLPEESFVKKAIDQGFTVDEILKAGEHLLCMSSPPKVSSCSMNSDFVGNRKLAKKMVEKVVNQKTTTCKPWKGPLPKARVSQPLTFGDVIGVALKKKKRASVASPARFTTVNGVNSPVAEENLDRANKSLSSMRLPVAHTCSIMEKAAAAPPAAAVRSSLDDNAKTLKFLMNLDKREKEGRIVLMSPGGQCTSDIEASKRSVELLSKGGSEHKFVSFGVRSNLVQFKCCQGLGRLLSHAGQHMWATEGATRQKRSDVTVGLRTTQLVQTSQTRERKQSVGEQSGGAQGERSRREVTMAWRGEGSGRSDGHGRERGDGFWEEEEEFFGARFDAGRLGFEPGYGFGQQGNHGWGQQRSGFRPRGSRSFGPRQGGFAGRPGRGGWENNRFSMKRFGERPLLKNEGRPGGGINKAGGGSKFAAKGEVGGGVSGGGGGASAGKGKVKVGDMEVVVNQMDGAAVVTDDPETNSELAILDCDPSLFENKEDKHDGDGRDGRVTKRAKNDDMLVDGKENGTTEGKYDAALNGNQCRHKMKESEISAIALGILDVAVGKVMNEVCDMVMEETEQPIEEEELPLDSNLGDEEKGEQEQEQEQEELTLVENNIEEAFKERVVRAANVKETVMTPKRSSARLGCNSGVHSVEKAWKNLELQQGNEVNSFLSFSNSSITSSLQNLGVLLGASSDEITDSVCSLKKTEVERINSSLSLVRDNLDKLDDGDSSEEEDDLNYLVLGHLCGDLMDEVMDEETSHLSCDSKTVLKAYKSKSRSKKRRIRIAGLNKKCLT >ORUFI09G04240.1 pep chromosome:OR_W1943:9:5223593:5228537:1 gene:ORUFI09G04240 transcript:ORUFI09G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSDLDRQIEQLKRCEPLTEAEVKALCLKAMEILVEESNVQRVDAPVTVTAPLPPPFPFHLSFGLFGTAPAPAPAPPLLELEPSQTVSALPKMGVELGGAGFGQLHNSTSDPIPGICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSIRVIDRKQEVPHDGAMCDLLWSDPEDAVDGWGLSPRGAGFLFGGNVVSSFNHTNNIDYICRAHQLVMEGFKWMFNNKIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFEAAPHESRGVPAKRPAPDYFL >ORUFI09G04250.1 pep chromosome:OR_W1943:9:5232667:5235591:1 gene:ORUFI09G04250 transcript:ORUFI09G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVGARLSRSSTRYGPVGNTASSFSGPVRKWRKAWVPIAAGGAGYAGMMGPMGVSRGNKVVLFRWAPVNGGAAGGGGGSGDGDESAAVAATRRRFVPASGVAQNSTKKSGSTELNLNLGLEDPDDDSDADLSADEQRDSGSNQRSDNRLKRKAF >ORUFI09G04260.1 pep chromosome:OR_W1943:9:5236007:5239145:-1 gene:ORUFI09G04260 transcript:ORUFI09G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASRLLARASRQCVAAVAASAARRRRCPAAALSLPVVTAAKAAAAEPWLGVPYMLNQPLRYSTTIFQRFGFSSTSPELSDKEENQRKDQENSTNVSNEGTEDVDLSKEDLVQLVLEKDGLLKSKDEEINDMKDKVLRSYAEMENVIARTKRESENSKKYAVQNFSKSLLDVADNLTRASSVVKESFSKIDTSKDSTGAVPLLKTLLEGVDMTDKQLGEVFKKFGVEKFDPLNEKFDPSRHCAIFQIPDPSKPSGTVASVVKVGYMLHDRVLRPAEVGVTEGGPTTTEEAAENSEQKSSEV >ORUFI09G04270.1 pep chromosome:OR_W1943:9:5240522:5241177:1 gene:ORUFI09G04270 transcript:ORUFI09G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRLPPLRSKKNSQQKRRMPPLYTAAARPPQEGWSIRERVNFRIAIGRFGQDWPRVAQFISTKSTGQICVYAEEYFLKRHTHSPVKNKRILIISSGC >ORUFI09G04280.1 pep chromosome:OR_W1943:9:5242174:5250116:-1 gene:ORUFI09G04280 transcript:ORUFI09G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRLSFRPRPLDIHKKLPILKSAREFEDDDPTAAAVAVARAGVLLRQSAPELTAATTATEGEGNPTPTKKNIQEIPTPQFDAVDTYERDYTRTFAQPTCYIRGRGARAEIGEFVEYDLDNEDEDWLEDFNNERKNLNPEKLEVLLFKLEILDHKARERAGAITPTFIGPVPVLLQLDAAMEALQYLSVRYGVFQAVYSYWKDKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNIQSFEKLRMVRRNLDQAKALMDALVKREETKREAMECEVNLRRIQMKYKHEAQLVDEGTALSGFQQVSSRFGSSEDDYADSDDTTTEQPYIRPPVFRPRFADHKLSVIPTLRIKRERELKRRPQQNGWVFKRDPEEPVLLFTRPLDPEKLLAAGIKPPPDPPIENGATMPPFRCRGRIGRGGRIIFDRWNPLLQTPIGQETSYYVPYSRRPPSPES >ORUFI09G04290.1 pep chromosome:OR_W1943:9:5267179:5268709:1 gene:ORUFI09G04290 transcript:ORUFI09G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAASLLLRYAGRSLQRPIPRALEELRLSPRGLKDSPRRLYSSDGIRPMDTKGGGGSHRLKLSFAMSLT >ORUFI09G04300.1 pep chromosome:OR_W1943:9:5270507:5272156:1 gene:ORUFI09G04300 transcript:ORUFI09G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSALLRSAARSIPRALGAELRFSSRPTENAPRRLYSAAATAGPQGPQPNMTAQQGYLTEKGMSPEVMAYEANMNRALDKLEAKLDRLSAILKEREEQEKRYTR >ORUFI09G04310.1 pep chromosome:OR_W1943:9:5275705:5276046:1 gene:ORUFI09G04310 transcript:ORUFI09G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPEDTCMKWLDTKPLSFVAYFSFGSFASLGTAQTEELTRGLHAAGKPFLWVVRATEEAQLPRHLLDAAMASGDTLVVRWSPCATGCFVTGMTAFLHGEIEQCVRTVMDRKE >ORUFI09G04320.1 pep chromosome:OR_W1943:9:5286289:5287896:1 gene:ORUFI09G04320 transcript:ORUFI09G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASLLLEYVSRSLRWPIPRALKELLLSPHCLKESPRWLYSSRRCDEWTLRVVVGLTVFSVYVLHFILD >ORUFI09G04330.1 pep chromosome:OR_W1943:9:5294642:5295166:1 gene:ORUFI09G04330 transcript:ORUFI09G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRSLLSLVTLSSLSATLLPVIATAPETTLGLRTHCFPLLRRAFVPLTRGDSQCLSKAIAKLRAAAAPTMSTRAGAVERMTETVATVPPVFLLTHTRGIPLHSSPRVALRFHDLEGCISPNEQQPHGRSLTRGHGGIT >ORUFI09G04340.1 pep chromosome:OR_W1943:9:5329511:5329741:1 gene:ORUFI09G04340 transcript:ORUFI09G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWQRRGAAATGAPSPSRQRAGDGPPPPGGGGEERLRRVAGWQAIPRVDPVAVALPCLDLAAAATGGRRQRWWRQ >ORUFI09G04350.1 pep chromosome:OR_W1943:9:5336615:5342062:1 gene:ORUFI09G04350 transcript:ORUFI09G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKVLEQGTSNVFDRPQYPFANPEISDGSDENFREDDTESDDDFDMDYVMLELYRKTIVQSMKRKMKKNSNVLLENQKMKKQTCLTKANSSFSRFSAKYFSEVIADLSGPQRFIIEKYGFANLLLFDVKSAPKKLASWVAKRFDLSSSEIILRDKVIPVTEHSVTVVLGLPTGRRDFGKNFDIGKEIILSRFGLSVLPSVKFFGDLLKHNKDMAEDKVITCFLIVALACFLCPNSSLVPSVKYLTIFEDIKALDSYNWSKFVYEWLLIHIKKFQKSKNLGGCLHIWAILYLDFVDFDKIDDTTYGLRPLKMLHDSSSSETVQLDSHNIAHTATANASFQTDKQHVGFNNHSFQFNEKRTPHSKSYAEHSVGNHPLSTEDFAPSTFGCGQASASLSAQAQMYHTPEVGLIKNKKVCLDESFNASAATAAIDAVKDVAKKIRSRMAYLKSKEKMNRIFDGTKSLSLRFLIPKILEIVTIALNSNSFHPNVRTYNNLKTLSNNKDKGKFDAEFVRKRAFQDLTNSPDVVCLGSNTCSNKTKNMSIKSEHIYNKSNQFDSNYKVFGSGFNNSEASSSGGRLPPHGPRRPLKPSRHASDPFVPVRRRFLVSEQENKYFTAICCLAHTRWQSLFAVNIDNVRITFSNFGNSLRIGGDVSNYVISAFCRLMFHNNHPSKSKKNYFFSSIGYQLLKKIECIEMVKIKKCFDGAASARKLHLCDMDRMLVFLDSLHHKSDPYFDPIIPMMIKNIQTLWDKFEGTAIDFSNCDSGIFVMKCIELWSPRVVLPNEFSKDDINNIRVQYTNKIFFHHKNIMLQSESEQLVVNWVENEEFQYEDEVATD >ORUFI09G04360.1 pep chromosome:OR_W1943:9:5345183:5348695:-1 gene:ORUFI09G04360 transcript:ORUFI09G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAEKSRQKELESAQEKNTMGNPTISTTKDFSSEKQIAKSIQMEESTNPRANLSSAGTNSTEIAYGGQMLHEEYALLSLQTELGQQMSYTALMQQVIQSQSPKINLHTNQGRLAEMNYHLQSPIGYSNSMILTTNRCIDNFDQAVLEAEQEVQKTKIKRTS >ORUFI09G04370.1 pep chromosome:OR_W1943:9:5352460:5353242:-1 gene:ORUFI09G04370 transcript:ORUFI09G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAATPPPKRRTDASTPTAPSWSIHIDGGLEGAACRSPHAPGLSNQTDREWMQNALGCRSANITGDRCVLLFANADESCRWPMTV >ORUFI09G04380.1 pep chromosome:OR_W1943:9:5369000:5371608:-1 gene:ORUFI09G04380 transcript:ORUFI09G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAPPPPPPQRTDMPAAADLPPPPPPLAIPLPETTRRPRRRTREVSSRYLSSTTPGPVPSSPRLSTSSSRTPSPRAHRPRAATPFANENHPPPPPPPSTASRRRAVLKLFDDGSGAGGGDAAGPAPVDERAGGGRGIHRAERVPAHADARAGRLLPVVVVRGGRGRRRVLLLLRHGVDGIALPAAPCESPPLLGPASCRGGRLSSELRSSVPESGGSVRALNPLCYRSLNSALSGCPAPAGKAAVNAARPPQPHGVKAAESKKVAMIGGRKVPGKQEDVHQLRMLENSYLQYRFMNARAEAVARAKASVAEKSLFGLEERITALRVSVAEKKMEVERMRREQTLRSVVDAQVPHLDQWCDLEGDHSSSLIGLTSALYNSSLRLPVIGNVRANSEEITEVLNSSVQLLEPVSSCVKNFLPKVQEVDDVAAKLAQVIASERVAIEECGNLLYQAHNLQMREYSLRSQVMQLKQQDEPK >ORUFI09G04390.1 pep chromosome:OR_W1943:9:5373737:5383789:-1 gene:ORUFI09G04390 transcript:ORUFI09G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLVSASPPGGLAASPPPPAAIPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTRTQSGFSLSPKPFFANFGAIVTFAILGTFIASVVTGVLVYLGGLTFLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSLVRSQAAAGENFFMMVFQFLETFVGSLSSGCITAQNILNYFKGTFGIVLELDLSLLLYPFPKFYMETLFAKHERLCMLSIDQYMLAEGLGLSGIVSILFTGMVMKHYTFSNLSNNSQRFVSAFFHLLSSLAETFVAVNVFSCAYLVNMSRPEHRRIPLKHQKALWFSGLRGAMAFALALQSANELPGGHGKTIFTTTTAIVVLTVLLIGGSTGTMLEALDVIGDENRSIENYDDNNGYIPPTYEEGSSSGGGLRMKLKEFHKSTTSFTALDRNYLTPFFTSQTDEDDDVFVLRNTSSGCAAAAACADQYSAATPDNSSVTFGDDEADNESHSSEGYEPEAKCWKEDADNEGSSGGMGGGAGGKPVRKPRLVVHTLSDIDVNIDILDAGFRWRKYGQKVVKGNPNPRSYYKCTTVGCPVR >ORUFI09G04390.2 pep chromosome:OR_W1943:9:5373737:5383789:-1 gene:ORUFI09G04390 transcript:ORUFI09G04390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLVSASPPGGLAASPPPPAAIPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTRTQSGFSLSPKPFFANFGAIVTFAILGTFIASVVTGVLVYLGGLTFLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSLVRSQAAAGENFFMMVFQFLETFVGSLSSEGLGLSGIVSILFTGMVMKHYTFSNLSNNSQRFVSAFFHLLSSLAETFVAVNVFSCAYLVNMSRPEHRRIPLKHQKALWFSGLRGAMAFALALQSANELPGGHGKTIFTTTTAIVVLTVLLIGGSTGTMLEALDVIGDENRSIENYDDNNGYIPPTYEEGSSSGGGLRMKLKEFHKSTTSFTALDRNYLTPFFTSQTDEDDDVFVLRNTSSGCAAAAACADQYSAATPDNSSVTFGDDEADNESHSSEGYEPEAKCWKEDADNEGSSGGMGGGAGGKPVRKPRLVVHTLSDIDVNIDILDAGFRWRKYGQKVVKGNPNPRSYYKCTTVGCPVR >ORUFI09G04400.1 pep chromosome:OR_W1943:9:5389306:5390004:-1 gene:ORUFI09G04400 transcript:ORUFI09G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVSSSSSSSSSSSPARHHKARRSRRKLAVDEDWEAAFREFLSRDHDDDDDDHDGQHVVVAPLIRGSDKCVHGHEVVASTVGGGASGGRRRADDDDGERRRRRRREKRSYPYRGIRQRPWGRWASEIRDPVKGIRVWLGTFDTAEGAARAYDDEVRRIYGGNAKTNFPPSPPTPPPPEKPAAERSPSTTPTTTTEDSGDSRILIECCSDDLMDSLLAAFDMTTGDMRFWS >ORUFI09G04410.1 pep chromosome:OR_W1943:9:5393150:5393448:1 gene:ORUFI09G04410 transcript:ORUFI09G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIRWAAAAPPTPIRRRGGASAAVQPEGSPEASGSAGFGSAEAGDDDDNDEEEEAAAAGSGRATGGLVFLKIIFPDFYFPVRAT >ORUFI09G04420.1 pep chromosome:OR_W1943:9:5405620:5406387:-1 gene:ORUFI09G04420 transcript:ORUFI09G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGALIPNDYGDKPPPPPSESSEWDATTKMKKKKKRGGGGDDDWEAAFREFIAGDDDDDDGGVSMFPSGAGTMETTTEVAPAAAVVERPRRRRRVRRSYPYRGVRQRPWGRWASEIRDPVKGARVWLGTFDTAVEAARAYDAEARRIHGHKARTNFPPDEPPLPAPSQAPFCFLLDDDDDDDGVARGNSPASSSAPDRASACTTSSTVASGERGDELILLECCSDDVMDSLLAGFDVSSEPRSVLVWFLQFFVK >ORUFI09G04430.1 pep chromosome:OR_W1943:9:5420925:5424841:-1 gene:ORUFI09G04430 transcript:ORUFI09G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPAPLSGRASPPPHLLLLSNSSLAPSLPTVAAACRMPPAAAAAARSVSVSVSTAVDAPTAAAAEPARGDAAPAPAAPPRRRLILLRHGESAAGGRLTRDHDRPLSKAGRAAAISVSNKLQQMGWIPELVLCSDATRTKETLKILQDHVKGLSEAIVHFIPSFYSIAAMDGQTAEHLQKAICQYSSDEILTVMCMGHNKGWEEAASMFSGDSVVLKTCNAALLEAEGKSWVEAFSLAGLGGWKLHGIVKP >ORUFI09G04440.1 pep chromosome:OR_W1943:9:5425979:5427865:-1 gene:ORUFI09G04440 transcript:ORUFI09G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRGGALPLSAPRAAAGGLRGGGGRGAALLHPTCLSPPGTTGRALGLSCQMKRTRWKPVFALETGGPSNADNQDFEDDGGFLGRTRLGRLIQAAARELLEKLNSARNKSPTKIFLVLLGFYTANALATVLGQTGDWDVFVAAIVVATIEGIGMLMYRKPASRPPGRFWSMITMVNYWKAGVCLGFFVDAFKVGS >ORUFI09G04450.1 pep chromosome:OR_W1943:9:5428363:5429116:-1 gene:ORUFI09G04450 transcript:ORUFI09G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHQVDLIGTNVVARCASPKPRDCVAPRGGAGEAECLLVFVPGARIHELLQCASSYSSTPCHGDGSHLFFLLQITRKMHHWKGVFTVPPTASSSGSCCASDGTSVPLSSAARRAERERRRRASVGLREGVLKVKGRANLTVDAKDKLLLQLERRDAERAKTSSAAPPTRHVIAAPAVRPR >ORUFI09G04460.1 pep chromosome:OR_W1943:9:5429127:5429705:-1 gene:ORUFI09G04460 transcript:ORUFI09G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSRRFLRIRHLLLPSRPSSSTSSSRGAPPGKRSHEEASGGRAGQSPSPSRTLAIAAIARAQQESSFTAKGHAKGIQGEGATAARRRRAKEKASPDLVSEAQQQEKAGGGIRALWSKADELRILEAMANHVNTHRSTLWDTCRLFPALASSLDKRDADLPDLADKVHKRKRWYGNACLQQRSRTDDDNTR >ORUFI09G04470.1 pep chromosome:OR_W1943:9:5429709:5435495:-1 gene:ORUFI09G04470 transcript:ORUFI09G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWWSWHSVFSSLGNGGGSNADASSGSGTSSSPPVPQAQQAGHLAVEALTEEALGGLAGRSQIPSQTIAIAATAHAPQESSFTPKGHAQGVQREREALGDGEPKKKPASTPSQKRNNKRKWVAAFERSCNLDRRDADLPNLADKVHKLKRGMTMHAYNNAALLTTIRVDCWIMEQHLVDLIDTTVVSRRAAPEPGGSIALDGGGGEAQCLLVFVARAGIHELFQRASSYFSKPGDGDDSHIFFLLQITRKINELMVVMAPPLLLVG >ORUFI09G04480.1 pep chromosome:OR_W1943:9:5436630:5436827:-1 gene:ORUFI09G04480 transcript:ORUFI09G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHQLHLIGTKVVAQRAALEPGGSVAAGGGSGASPTCIKLFLYTMPWRWEPPFLPPSEHEGNAV >ORUFI09G04490.1 pep chromosome:OR_W1943:9:5437354:5440124:1 gene:ORUFI09G04490 transcript:ORUFI09G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGEDEVLDPEKASALLPPLLPKEEKRMSQDHHELIAAAASLFLGEKIGGKFDSRVKKSLPIYNSSKNIIRIREHVHMIPIYNEKVFIL >ORUFI09G04500.1 pep chromosome:OR_W1943:9:5451293:5452477:-1 gene:ORUFI09G04500 transcript:ORUFI09G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIYLVSPGSTPTPILFSSPNPLFCLSFSSCRFPTSRPRAPPEGVCSHGPSGLPSSGGGKGNGVAIAGANPWYSGDGSDGSNTTSNSERTVTAEFGIIVHPGGYGDQEVDSRTANHHEADSETDSERTEGASFGKIVNSFSNSRHFSYVDSELLPGKRQKNLVFQPDILTMVIYFQRILSGDNSYGANLV >ORUFI09G04510.1 pep chromosome:OR_W1943:9:5480936:5483766:-1 gene:ORUFI09G04510 transcript:ORUFI09G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKPSGLPSSTGGKGKGVPVVGANPWHSDDGCDGSKSSRRLMTLTIVIYFQRILSGGSEMQTPGANSYGANSGHQRDADSGMFLSFSEKTQVQTNKDIPESWKVHPRKDEVDPDGWVVEIHLRNDQKTKDKRSADASVPSRGKISSNCAPWN >ORUFI09G04520.1 pep chromosome:OR_W1943:9:5486686:5487081:-1 gene:ORUFI09G04520 transcript:ORUFI09G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRGEAQGGRAREEWRGDGREEWRSGEGVERGVATLLSLLDRLPLGLPPPRLWLHKVRWTVGERSSVQWLVGEERSAVRQRDKSGTPCGSGVELWTANGNGSSLAAELRGPPAKMYTFSLAAHLNVRQRK >ORUFI09G04530.1 pep chromosome:OR_W1943:9:5496256:5496459:-1 gene:ORUFI09G04530 transcript:ORUFI09G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAAANACPKLDWVFTRSGESPQGNTSNEETTPIGIDVTDPRRPGRAVAQDSLKKYRTSKKLEQEC >ORUFI09G04540.1 pep chromosome:OR_W1943:9:5505658:5506490:-1 gene:ORUFI09G04540 transcript:ORUFI09G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGEGWLPASFPSPLSPLMQPVAGRRGKKPSSSSPTFPPPSSSSSAFLFLHGLVEALHSSSLSRAGDADGVRQLRRLELLAIIHVMRERVEYLCSLILSNKVEDLAIREHGLHLNYLGKLHILQDELPLPGPATSLRTYRCSAVNDSRSPQDSRATLVRLPSHGAASCRRPTPLSTPPTRYTSP >ORUFI09G04550.1 pep chromosome:OR_W1943:9:5507573:5511322:1 gene:ORUFI09G04550 transcript:ORUFI09G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKINGGFILAILVHHECERFGSDAPLLLLVELGGWEGRRRCQIRRKRRLCCPLHYPTRRRGGAMTTMSSLQLRLLRFLVKRLGEIRLHGEENSHLRVGGIVEFALLIKSTRCCSAIQQSTHTIVGSAVVGVHCHTSLELLDFVRKSVSSIEVAGKGGKKIAGIRQSAAMRAHRRQAGIAPSPWAPWAWPFGVKDDYCRVRAMATIARVWLGIRLRPAIPPEASASTVRCPCCFLLSMRDRREEEVPDPDEASALLPPPLPKEEKMLCQDHHELITAAASQLLGEKIGGNSSLGSHMRVGGTVEFALSIKSSTATPGGDAASRLMCNHFCADQACSVISSLELVDFVSELGLVGISFVEAVGKGGKEIAGIRQSAAMGVGMVGHVL >ORUFI09G04560.1 pep chromosome:OR_W1943:9:5509068:5509791:-1 gene:ORUFI09G04560 transcript:ORUFI09G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWWSWHSIFSSLGNGGGSNADASSGSGTSSSLLSLMLNKKQQGHLTVEAEASGGIAGRSRIPSQTLAIATPKAPKVKEQFQLGDGEPKKKPTSTPSQKRNNKRKRVTAFERLWSRAGELRILRAMASHTNTHRSTLPDTCDLFATLASNFNRRDALADKVQKLKRGMTMHAYNSTALLTTMVCVDCWIAEQHLVDLINTMVVSPHATPEPGGSITPDGGGGEA >ORUFI09G04570.1 pep chromosome:OR_W1943:9:5511412:5511744:-1 gene:ORUFI09G04570 transcript:ORUFI09G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWWSWRSLFSSLGNGGGSNADASSGSGTSSPPPPVPYPQQAAAGAPRWRSNCTMKPWEEGPAEAQAKPSQSQPQPAPRKNRPSPRKAMPKASKAKAQLHLGDVEPKKS >ORUFI09G04580.1 pep chromosome:OR_W1943:9:5512370:5518556:-1 gene:ORUFI09G04580 transcript:ORUFI09G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGTIRRGTHGPSGLPSSGDGKGKGVAITGANPWYSGDDSDGSNSISDSERTVTADFRIFVCILVVMVIRRWILVHPRKDEVDPTGWDIENHLRNDQKTKDKQYYRHKDYNHKFRSKPEVQYFLDTGKAAGATPIQRTCCCSRLLHDARVYEVFQNYMVSYLLDVRAPSGARHEDDGTIPRDPSLSYRGKYLRASKVYNKERSFKAIEMAGVIGLFAIDDRCLMLCV >ORUFI09G04590.1 pep chromosome:OR_W1943:9:5541521:5544211:-1 gene:ORUFI09G04590 transcript:ORUFI09G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRSGLPSSTGGKGKGVAVVGANAWYSDDGSDGSKSSSESERTVTDDYFGIFVHPGHGDQEVDSRKANRHEADHSETDSERTGSAGLGKIANSAGNSHHFSDVDSGLSPEGKRQKSARFQPERILSGGNSYGANSGHQRDANSGMFLLSSEKTQAKTNKDIPESWKVHPRKHEVDPDGWVVEIHLRNDQKTKDKYYRHKDYNHKFRSKPEVKSFLDTGKRSADASVPSRGKISSICAAWN >ORUFI09G04600.1 pep chromosome:OR_W1943:9:5562267:5562650:1 gene:ORUFI09G04600 transcript:ORUFI09G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYSIAVAVCIVFVVMSTIPSCYGDEETFTDEVPHCKIVACTNKCRTHHHPKYTARCIHNTNPEQCCCKKDDAGVTK >ORUFI09G04610.1 pep chromosome:OR_W1943:9:5597938:5598234:-1 gene:ORUFI09G04610 transcript:ORUFI09G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPLQQVVAPVAKGKGKGKEKKGKWKGKGKGKGKKDEKEDKDKKIKRKPSPIVQATTPPAKRRKNNEIPHDNPAMRTRSKQSSPSMGTRSKVGIID >ORUFI09G04620.1 pep chromosome:OR_W1943:9:5600995:5601294:1 gene:ORUFI09G04620 transcript:ORUFI09G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASTACRRTGLRVGRCLVQPSTRGRCPAASPFRSGGGWPPPLRIRRRPAAAARGCLHPTVARFRLRLGPAAAARGPCRCRSAGRHGTRPPPTLPSC >ORUFI09G04630.1 pep chromosome:OR_W1943:9:5616488:5617571:1 gene:ORUFI09G04630 transcript:ORUFI09G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVIMALVAMFAIFSTCHADSQGLASAKVSGSDKDDINIIGRGGGHDRGGHGGGGGRLAGHGHGGGGIPGGGQSGGIGAPGGGCGGAGHGGGSAGGGRGGGAGGSHRGGGGGRGGGLSGRGHGSGGAGGSSGGGRRSLPGHGHGGQGMNQDGDVS >ORUFI09G04640.1 pep chromosome:OR_W1943:9:5623782:5633691:1 gene:ORUFI09G04640 transcript:ORUFI09G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEVKVPQMDARYKPVGRSPSFFGKVQNLHRFKIEMFLSVIDRQLRELNDRFDEVNTDLLVCVASFNPTNSFGSFDKEMLVKLAQFYPNDFSANDIMHLPIQLDRFIIDMRRDERFREVNTIAELSVKLVDTNKHINYTLVYKLLKLVLVLPVATASVENVFSTMNYVKNKQRNRIGDEYLND >ORUFI09G04650.1 pep chromosome:OR_W1943:9:5701404:5701906:1 gene:ORUFI09G04650 transcript:ORUFI09G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRMRLVGAAMLALLYMVASFQGASGAAAGGSPSSGGGRSSGGSSSSGSSSGGSSTRSPVGSASPSSGRNPATTGSPGGEPCNRKSWSPGGGTGTTPAGAGSPGGGRSPVGGGTIPAGAGNQGSGSWSGDYGSRSSDGGGRMLCRPYNIEHIAIFFMLVLAAF >ORUFI09G04660.1 pep chromosome:OR_W1943:9:5709676:5710107:1 gene:ORUFI09G04660 transcript:ORUFI09G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRMSLVGAAMLAQLYVVASFQGASGATSDGPPSSSGARTPTSGGSPGGSGTRSSAASTSFARAGSTPAGVRGSPAGAGGSPGGGSGSTPVGGGRSFAGGGSADDGDDGTGISSSGGRMLSRSYDVEHFFIYFMLVVLAAF >ORUFI09G04670.1 pep chromosome:OR_W1943:9:5711054:5711422:1 gene:ORUFI09G04670 transcript:ORUFI09G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLPTVGSRRLPAHHRIWPTTHRFGHRGLSPDRQPLPPPAPHHQPPDPATAASPPTVGHFLLRHPTANHGSGHRDFSLDRRPLLPALQHRQLPDPATTTSPPTVGRFLLCHCTANRQILP >ORUFI09G04680.1 pep chromosome:OR_W1943:9:5728500:5729277:-1 gene:ORUFI09G04680 transcript:ORUFI09G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVDGLRRPCQCLIDHGVVNAPPFIIVGRYRWPLFTSSRSSGDGGGAVVSAATTVAQSPGSGRSMSGGGMQQCAAAVVPAADLFFEIFGFFFGCFDASARFNLSRAEFYYSYFYYYFFQFVYLKGAGFECF >ORUFI09G04700.1 pep chromosome:OR_W1943:9:5776682:5777037:1 gene:ORUFI09G04700 transcript:ORUFI09G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDFDQNNPIDCDLNNPVDCEMMKMEKDKKNVLEKMSLTMHIHLSLLTIVTAIPTTSPPTPPMPTEKPSLALAMSSSMIHRLHVFQ >ORUFI09G04710.1 pep chromosome:OR_W1943:9:5814781:5815965:1 gene:ORUFI09G04710 transcript:ORUFI09G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLHGDVLESAVERVPAPDLAAAALVSREWLRAVRAALRRRMLRLPWLVVHVIHLRGQRRLAAAYDPRSGAWLAVPTAPPARHGATSPPQPHSHVRLMRGASGDRVCALSLSGLAVARDALGMDDDALVVALKAPGVWRVDPVLAAVGDRVVAMGGACRLALGDGEDTSAVEVHERGGWTHCGAVPTALRESAAAAATWLSTAATDQRVYVADRATGTASWFDPAKQQWGPTSRLRPDATVSTWGLAAGRAGAEKIILFGVKHADSRVVIRSWEVDGDSLSLSHGAAAAHDTMPSEMSERLFPHGDDGEEETSSPSIGVCGNTAGGYVYNAAVPATGAVLYELRRGGVEGGGVERWAWVACAPVVAEAEALGRVILACSPVGLHELADERLAH >ORUFI09G04720.1 pep chromosome:OR_W1943:9:5857273:5857667:1 gene:ORUFI09G04720 transcript:ORUFI09G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEGLDIRGAAAVGGGARQPDDGGASGLRWSRSSSSVTGIGASQDGSVKGAGGGGSSSSLPVGTLALSGAHPLRCGEFLDWIEAAARQRGKLRLPKQYHLILGFPSAKSGEAAGGWWNGGVLG >ORUFI09G04730.1 pep chromosome:OR_W1943:9:5857775:5858053:1 gene:ORUFI09G04730 transcript:ORUFI09G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICSQGCRVSGKSLVRWFTGLAAATPLGVVTSWGVVEGYPLPCLMSFSRWAMAAFLDVVTTVVASFLEPLLCGVAVGLAASGHA >ORUFI09G04740.1 pep chromosome:OR_W1943:9:5886181:5889334:1 gene:ORUFI09G04740 transcript:ORUFI09G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELMDLRKDPPTSCSAGPAGEDLFHWQATIMGPSDSPYAGGVFFVNIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHVYKSQRPRYEETARAWTQKYAMG >ORUFI09G04750.1 pep chromosome:OR_W1943:9:5893652:5897296:-1 gene:ORUFI09G04750 transcript:ORUFI09G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPFMAAAAFLTLVVVLFRAPAPAIAVGEEAAALLAFRRASVADDPDGALASWVLGAGGANSTAPCSWDGVSCAPPPDGRVAAVDLSGMSLAGELRLDALLALPALQRLNLRGNAFYGNLSHAAPSPPCALVEVDISSNALNGTLPPSFLAPCGVLRSVNLSRNGLAGGGFPFAPSLRSLDLSRNRLADAGLLNYSFAGCHGVGYLNLSANLFAGRLPELAACSAVTTLDVSWNHMSGGLPPGLVATAPANLTYLNIAGNNFTGDVSGYDFGGCANLTVLDWSYNGLSSTRLPPGLINCRRLETLEMSGNKLLSGALPTFLVGFSSLRRLALAGNEFTGAIPVELGQLCGRIVELDLSSNRLVGALPASFAKCKSLEVLDLGGNQLAGDFVASVVSTIASLRELRLSFNNITGVNPLPVLAAGCPLLEVIDLGSNELDGEIMPDLCSSLPSLRKLLLPNNYLNGTVPPSLGDCANLESIDLSFNLLVGKIPTEIIRLPKIVDLVMWANGLSGEIPDVLCSNGTTLETLVISYNNFTGSIPRSITKCVNLIWVSLSGNRLTGSVPGGFGKLQKLAILQLNKNLLSGHVPAELGSCNNLIWLDLNSNSFTGTIPPQLAGQAGLVPGGIVSGKQFAFLRNEAGNICPGAGVLFEFFGIRPERLAEFPAVHLCPSTRIYTGTTVYTFTNNGSMIFLDLSYNGLTGTIPGSLGNMMYLQVLNLGHNELNGTIPDAFQNLKSIGALDLSNNQLSGGIPPGLGGLNFLADFDVSNNNLTGPIPSSGQLTTFPPSRYDNNNGLCGIPLPPCGHNPPWGGRPRGSPDGKRKVIGASILVGVALSVLILLLLLVTLCKLRMNQKTEEVRTGYVESLPTSGTSSWKLSGVREPLSINVATFEKPLRKLTFAHLLEATNGFSAETLIGSGGFGEVYKAKLKDGSVVAIKKLIHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKHGSLDVVLHDKAKASVKLDWSARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDNNLDARVSDFGMARLMNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLSGKKPIDPTEFGDNNLVGWVKQMVKENRSSEIFDPTLTDRKSGEAELYQYLKIACECLDDRPNRRPTMIQVMAMFKELQLDSDSDILDGFSINSSTIDESGEKSM >ORUFI09G04760.1 pep chromosome:OR_W1943:9:5913985:5916416:1 gene:ORUFI09G04760 transcript:ORUFI09G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDTHVVVAATIARETLDNEVDNDHGHSCNVNGFPRKPDSNKGLPSSEEDDDEGKGDNHSDRIAALVPNHALGLLLDDDHDQPLLHHDPRHLVCMVLHYVLHYISYPECQTEKTNDGLDGYDDVEHMLLILSNAYPIEVGEAPKVLTAASLQYFLVQVMNELGYKFTLPDPYLDRRLQAPLHTSIFVRSNTNS >ORUFI09G04770.1 pep chromosome:OR_W1943:9:5929195:5929833:-1 gene:ORUFI09G04770 transcript:ORUFI09G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFTFTPKAPSPLPSSLSPLWCLISPSPPLLARLPEGGDGGGGAESGGGGSGGSPPSQIWSEGEGGGGRTAAAEGSRCGEWRGWRFPSLPDLAEGGGGRAAPVSRMXWPEGGGGSTFSSTVEVAVEGSGGRVEGRGGGRSRQRRLPTHPSLSAVVEWLQAAPPSLPDIAEGGGDVAAAILLAGGYDACKDGDGGPLD >ORUFI09G04780.1 pep chromosome:OR_W1943:9:5931986:5935464:1 gene:ORUFI09G04780 transcript:ORUFI09G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGVEVKAGKPYTHRHDPSHGRLRICQATLGSCDSATRTIVQCNVGSKTPIILCSLNPKLAEMCHLEVELEEDDEVVFSVLGQSSIHLSGYYIRSSGRSNAGDDESESYGEDVGESDTDQEFNASDDSYESDFIDDGDVEVSEDKSRSDSVDDGDACSTPDHHKKKDKVQKRRRLKKKHPADSSDDNNDDSSHRPVVRCKAYSMFDSCSEDEDNMSVPVSLAKKENTKDVDETKYPNSELNDDTTKKSNGAKKRKGDAISQDYAPLMDLTNADEPLVSKEGRTKKKSKKKGGKQLEVGDGKHSNKIRTLEDGLIVEDLSTGNLDAEMASNGSKVSIKYVGTLQDGKIVESNVGEKPYKFKLGMRVGDKRKLTVPPAMCYGSKAIGEVPKNSSIIYEIELVKVRSKSTS >ORUFI09G04790.1 pep chromosome:OR_W1943:9:5936423:5949295:-1 gene:ORUFI09G04790 transcript:ORUFI09G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAVASPLPPAAAVRRRPRASASGREVISQCWKCEINQDQPLGNSLRIGHSQGSLQRHGSRNLLAAAAAISIEQAEVSTYLPKGDMWSVHKFGGTCMGTPQRIQNVADIVLGDSSERKLIIVSAMSKVTDMMFNLVHKAQSRDNSYVTALDEVFNKHMAAAKELLDGEDLARFLAQLHSDISNLRAMLRAIFIAGHATESFSDFVVGHGELWSAQMLSYAIKKSGVPCSWMDTREVLVVKPSGSNQVDPDYLESEKRLQKWFSRQPAEIIIATGFIASTAENIPTTLKRDGSDFSASIIGSLVRACQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKDNIPIVIRNMFNLSAPGTTICKQPANENADLDACVKSFATIDKLALVNVEGTGMAGVPGTASAIFSAAKDVGANVIMISQASSEHSVCFAVPEKEVAAVSTALHVRFREALAAGRLSKVEVIRGCSILAAVGLRMASTPGVSAILFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAVHSRFFLSKTTLAVGIIGPGLIGGTLLDQLKDQAAVLKENMNIDLRVIGISGSRTMHLSDIGVDLNQWKELLRKEAEPADLDSFVRHLSENHVFPNKVLVDCTADTYVACHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTRTFSNVIILARESGLRLELSDIPVKSLVPEALRSCSSADEFMQKLPSFDQDWDRQRDEAEAAGEVLRYVGVVDVANRKGRVELQRYKRDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFCDILRLASYLGAPS >ORUFI09G04790.2 pep chromosome:OR_W1943:9:5936423:5949295:-1 gene:ORUFI09G04790 transcript:ORUFI09G04790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAVASPLPPAAAVRRRPRASASGREVISQCWKCEINQDQPLGNSLRIGHSQGSLQRHGSRNLLAAAAAISIEQAEVSTYLPKGDMWSVHKFGGTCMGTPQRIQNVADIVLGDSSERKLIIVSAMSKVTDMMFNLVHKAQSRDNSYVTALDEVFNKHMAAAKELLDGEDLARFLAQLHSDISNLRAMLRAIFIAGHATESFSDFVVGHGELWSAQMLSYAIKKSGVPCSWMDTREVLVVKPSGSNQVDPDYLESEKRLQKWFSRQPAEIIIATGFIASTAENIPTTLKRDGSDFSASIIGSLVRACQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKDNIPIVIRNMFNLSAPGTTICKQPANENADLDACVKSFATIDKLALVNVEGTGMAGVPGTASAIFSAAKDVGANVIMISQASSEHSVCFAVPEKEVAAVSTALHVRFREALAAGRLSKVEVIRGCSILAAVGLRMASTPGVSAILFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAVHSRFFLSKTTLAVGIIGPGLIGGTLLDQLKDQAAVLKENMNIDLRVIGISGSRTMHLSDIGVDLNQWKELLRKEAEPADLDSFVRHLSENHVFPNKVLVDCTADTYVACHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFRVKDVPFEPFSKVIILARESGLRLELSDIPVKSLVPEALRSCSSADEFMQKLPSFDQDWDRQRDEAEAAGEVLRYVGVVDVANRKGRVELQRYKRDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFCDILRLASYLGAPS >ORUFI09G04800.1 pep chromosome:OR_W1943:9:5956178:5958985:-1 gene:ORUFI09G04800 transcript:ORUFI09G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSEEAEAAATVRAPAQGHHGRRQDSDQISNLAAEAAAPANRRSPIMAPPKALASMSSAAGHHSGMRSSSSMSSITTRSSSSSSNLPLGAAGGADVGVAGALYPEPEGRILEVPNLRIFTFAELRAATRNFKPDSVLGEGGFGRVYKGWVDERTMSPARSGTGMVIAVKKLNPESVQGLQEWQSEINFLGRLSHPNLVRLIGYCVEDRELLLVYEFMAKGSLENHLFRKGSAYQPISWNLCLRIAIGAARGLAFLHSSERQIIYRDFKASNILLDTHYNAKLSDFGLAKNGPTAGESHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGMRALDTGRPAPQHSLVEWAKPYLADRRKLARLVDPRLEGQYPSRAAQQAAQLTLRCLSGDPRSRPSMAEVVQALVEIERIRSRPKAASSREDASPRVPTRSGGHGHHHHHHSSRPRSGSDGARSGYPSPRVR >ORUFI09G04800.2 pep chromosome:OR_W1943:9:5956178:5958985:-1 gene:ORUFI09G04800 transcript:ORUFI09G04800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSEEAEAAATVRAPAQGHHGRRQAEAAAPANRRSPIMAPPKALASMSSAAGHHSGMRSSSSMSSITTRSSSSSSNLPLGAAGGADVGVAGALYPEPEGRILEVPNLRIFTFAELRAATRNFKPDSVLGEGGFGRVYKGWVDERTMSPARSGTGMVIAVKKLNPESVQGLQEWQSEINFLGRLSHPNLVRLIGYCVEDRELLLVYEFMAKGSLENHLFRKGSAYQPISWNLCLRIAIGAARGLAFLHSSERQIIYRDFKASNILLDTHYNAKLSDFGLAKNGPTAGESHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGMRALDTGRPAPQHSLVEWAKPYLADRRKLARLVDPRLEGQYPSRAAQQAAQLTLRCLSGDPRSRPSMAEVVQALVEIERIRSRPKAASSREDASPRVPTRSGGHGHHHHHHSSRPRSGSDGARSGYPSPRVR >ORUFI09G04800.3 pep chromosome:OR_W1943:9:5956176:5958985:-1 gene:ORUFI09G04800 transcript:ORUFI09G04800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSEEAEAAATVRAPAQGHHGRRQDSDQISNLAAEAAAPANRRSPIMAPPKALASMSSAAGHHSGMRSSSSMSSITTRSSSSSSNLPLGAAGGADVGVAGALYPEPEGRILEVPNLRIFTFAELRAATRNFKPDSVLGEGGFGRVYKGWVDERTMSPARSGTGMVIAVKKLNPESVQGLQEWQSEINFLGRLSHPNLVRLIGYCVEDRELLLVYEFMAKGSLENHLFRKGSAYQPISWNLCLRIAIGAARGLAFLHSSERQIIYRDFKASNILLDTHYNAKLSDFGLAKNGPTAGESHVTTRVMGTYGYAAPEYVATGKSRTNTTANVVLISRDKCNRRGIVYQSIYYAGHLYVKSDVYGFGVVLLEMLTGMRALDTGRPAPQHSLVEWAKPYLADRRKLARLVDPRLEGQYPSRAAQQAAQLTLRCLSGDPRSRPSMAEVVQALVEIERIRSRPKAASSREDASPRVPTRSGGHGHHHHHHSSRPRSGSDGARSGYPSPRVR >ORUFI09G04810.1 pep chromosome:OR_W1943:9:5960763:5960948:1 gene:ORUFI09G04810 transcript:ORUFI09G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGWPWKGRDGESGVDNVSDARVGDMEIGTVVLMSRRSVTATMMLAVRDGGGFLLGLG >ORUFI09G04820.1 pep chromosome:OR_W1943:9:5966698:5969421:-1 gene:ORUFI09G04820 transcript:ORUFI09G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKAPGAVRSVHGYKVYGRGGRRRWERWVEREFVLTPASCREVPAPVAPPRILPAEWRGRPAYREGQVVAAGAWRCILAFDSAAAPPRTPPPVLSPFLNPRLMCVPSLYNDLEKVFRFQNVEKIPKLMQCDSEEKLSSWDARDKSSDEVHASESDSDDDLQSGEEEKPTVQKQRRANKKHIASITLVDIAQYFHLPIREASRTLKIGVSILKRKCRQYNIPRWPHRKIKSLDSLIQDLEYVIDDGDDHDDTGDDVQQEKHKQTAEEKQEAIMALTRRKQMLETEKETIQQIPAMDLKVETKQFREDVFKRRYRAKKDLAND >ORUFI09G04820.2 pep chromosome:OR_W1943:9:5966698:5968319:-1 gene:ORUFI09G04820 transcript:ORUFI09G04820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVPSLYNDLEKVFRFQNVEKIPKLMQCDSEEKLSSWDARDKSSDEVHASESDSDDDLQSGEEEKPTVQKQRRANKKHIASITLVDIAQYFHLPIREASRTLKIGVSILKRKCRQYNIPRWPHRKIKSLDSLIQDLEYVIDDGDDHDDTGDDVQQEKHKQTAEEKQEAIMALTRRKQMLETEKETIQQIPAMDLKVETKQFREDVFKRRYRAKKDLAND >ORUFI09G04830.1 pep chromosome:OR_W1943:9:5973857:5976876:-1 gene:ORUFI09G04830 transcript:ORUFI09G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEGSSSRRWALGDPDVVEVSPEAAAAAHHRIGFCSDSTKSIVVAGCVRTVAHEIIDLDANDDPDGVMIICEKASSHKSNHSVSHPSDWPKHPKSGLAEDVPGPSHQPGTSTVLPWGCTTPGRPRKHKEIKTVDNKIDEKYKAFKQFDTVTDHSDHYYSMSGKGNVPEVKKPSKDWVRRIQHEWKVLEKDLPGTIFVRVYEDRMELLRAVIVGPAGTPYHDGLFFFDVYFPSQYPKKPPLVNYRSGGLRLNPNLYDSGKVCLSLLNTWSGHGCEKWNPSNSTMLQVLVSIQALVLNAKPYFNEPGYAASANTPQGEKMSMAYNESTFLLSCRTMLYSLRNPPKHFEDFARVHFCKYGRNILVGCKAYMNGAQVGCLAGNGVQDVDEGDKSCSANFKGSLKTLFDELIKEFTRIGVHCHEFQPQRVNPGSTRAKADTTLRL >ORUFI09G04830.2 pep chromosome:OR_W1943:9:5973857:5976876:-1 gene:ORUFI09G04830 transcript:ORUFI09G04830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEGSSSRRWALGDPDVVETVAHEIIDLDANDDPDGVMIICEKASSHKSNHSVSHPSDWPKHPKSGLAEDVPGPSHQPGTSTVLPWGCTTPGRPRKHKEIKTVDNKIDEKYKAFKQFDTVTDHSDHYYSMSGKGNVPEVKKPSKDWVRRIQHEWKVLEKDLPGTIFVRVYEDRMELLRAVIVGPAGTPYHDGLFFFDVYFPSQYPKKPPLVNYRSGGLRLNPNLYDSGKVCLSLLNTWSGHGCEKWNPSNSTMLQVLVSIQALVLNAKPYFNEPGYAASANTPQGEKMSMAYNESTFLLSCRTMLYSLRNPPKHFEDFARVHFCKYGRNILVGCKAYMNGAQVGCLAGNGVQDVDEGDKSCSANFKGSLKTLFDELIKEFTRIGVHCHEFQPQRVNPGSTRAKADTTLRL >ORUFI09G04840.1 pep chromosome:OR_W1943:9:5991613:5992364:1 gene:ORUFI09G04840 transcript:ORUFI09G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEHTGESPTVSDRSRGSITTKELKTGHDVYILWSMEAMLQDIISKVDSDGNDNIDFHEFLA >ORUFI09G04850.1 pep chromosome:OR_W1943:9:5999501:6008531:1 gene:ORUFI09G04850 transcript:ORUFI09G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIPAAPATMNPKLLMAARHGDIETLKRLLAVNTAQPPPQVVLQVDRPAAAAPSAAANTLLEGVTSEGDSALHVVAAAAVAAACGDDDDDVFLDCAGVIHGAARHLIRARNSNGDTPLHRASRAGSVNMVRRLIAMAKDEAGDDDHDDHDDGGERRRQRAAVELLLRAQNKRGETALHEAIRSNSRDLVVDELLSHDPELARVPGEEGGTSPLYLAISLRRFEVAKKLHERDEQLSYSGPQGRNALHVAVLIGKGPTEMILGWNSGLAKQGDEKGRTPLHFAASTNRLSMRAMVKLLLEHDRSCVYQPDDEGSYPIHVAAALGGVAGLFAVRLMIEFCPDSAGLRDGTGRSFLHVAVDNLCPSVVALARFSPGLRSAVMNMQDGNGNTALHQAVHVCDIMIFFFLLIDRRVLLDVKNNMGYTPRVEKEERGELSTIYKDAAQNLTIGAVLIVTVTFAATFTMPGGYVSSSDDDGERRGTPTLAGTCAFDAFVVANTLAFMLSGMATFSLMYAGYTPLDFAFRERCVKLSMGLLHSSVRSVGAAFLTATYVMLARVAPKLVIAVYAAAAVGLVYINFEVWMLGWMTLALLSRGDILAALIVGLQTVAVAFWFSWPFAVIFVLPLILKGH >ORUFI09G04860.1 pep chromosome:OR_W1943:9:6029567:6031054:-1 gene:ORUFI09G04860 transcript:ORUFI09G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADADACPAVFASRHPTEQELISSYLHPRLLLTTTKPAAAVAAGGVPSFIHHADAYAADPADLTARHLPARAADGSRAWYFFSPVRTTTERGTRRARAVESGDGCWHSESGVRAVVDAAGRRVGHRQFFSFVKKREEDGKRVRTGWLMVELGVDNDAASASSSNELVLCKIYMTPRMPPPSPPSAVTSSAAATMELMPRAPPPSAPSAVTSPAATTMELMAGGVHKRRKISDEIAAAATPPHPQQQRRQRCVPDNDGSKESSGESSSVVILDDDDDDADAPEDGGAVRSKLRSDDGVMLADARDDEQHAATSDSMAGTSGGAVTGGGHGKLLPDLNVVATVAHDDEGRHARGAPRPQDGGTSTTTTMVASAGAERGSTTGHLPAATAGYRRTLMLFLEEEDDDAVEDEQQQQQAPPLPPATSTATTTTRTAAEANVQRQRQPPCCTFVVHPCAVHAKMRHGAAYGCGCRVTGAVRRGGYHLPRRAVHTTTTGQ >ORUFI09G04870.1 pep chromosome:OR_W1943:9:6039333:6040095:-1 gene:ORUFI09G04870 transcript:ORUFI09G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLLDGGGGSGGGFGPFATEHKRHEQIGNLAVELKHQRLASEPSAMVPRENQCHIAESASLDAILDFLESVTEEYEMKYVWMHPEQRYTLIRVKKRGYWEPLDDPNPRKSNGKRSATIINASSFF >ORUFI09G04880.1 pep chromosome:OR_W1943:9:6045885:6046649:-1 gene:ORUFI09G04880 transcript:ORUFI09G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLKGDHEWMRNSLLDVGAELGTFVWDHLSREIAKPYKAEDEALSEQLDKSAAVMAAIAALIFSTALNIFFNVESVYHNAANIAIQENHQANVVKTLTGFSLVGSAAAIVLCGLAGFPILHARLRMPGLILGLFILIISSVCTLMALAARLHLASTPLASAFTWSLVYISGLCVVYCYMKASNLFSRFDVHVRARYNRLGFVAFCRSLFKHSSLHSTVPMIQVGVYVELTILIAAIVSLWTLVGINVTPYVFH >ORUFI09G04890.1 pep chromosome:OR_W1943:9:6048929:6051644:-1 gene:ORUFI09G04890 transcript:ORUFI09G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAELTTILVNWECSLIKIPDESGRTPLHYLAVGCFGRSSHLLLDYLLVECVGILSHLMVRLLDYISVDNPIIAGPFFSITATKTLLAKDPSSGYCADLEGSLPIHIAALNGKVGVIHKLIQMSPGCELSCNTSGQTILHVAVRMGRRNVVRYICLNPNLSKMILNTKDKDGNTALHLAVQKGCSWTFGILVGRSDVYLSFRNKNGHTPLDLAVLGSTSRLKFWPE >ORUFI09G04900.1 pep chromosome:OR_W1943:9:6057614:6061340:-1 gene:ORUFI09G04900 transcript:ORUFI09G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHEPSGLLNSDDIPSEGICHCKIFQSCVRLLKGGCSLRSGGSLPYSSMEIQWIGGSEVAPPSVSTGGNTELVEQGNDRVPAMTKIVVEPNAELQSPFVVKHHLLLKRPDPSILDELYSLTMAFTDSESKQTDWVSIKHPSFPIRLKLEDIQETVKPGGLMDTDCLNLAVRNMATEDAENFKNTECLGWRHYVKSDWTQLVASPTNLRIAYSCDSTLYDASGSHLVFIPVLHCDHWTLYAFNMCDKKLSILDSLPDASEGGQDSLKRHEEIRKIVCGALNKTMDVAFCFFSWEYEFPKVPRQQNSYDGGFFVFNFMRLWDGHRLIRWFSTETMDMRKSFLACILSSKNNDATMPTNVSELIKTLPDCKSSCRSDEQENQIMRSPLRLDATSTVTSDRGPPEPYSIRGVTVDGDGVLHIAASFCHFELAKSILEGQEDKALIVMLLQQENKRGDRPLHCAAATESKEMVQLIVERAKCITEPSNFTTNLLRARNLEGQTCLHKAILLGHTEIVKYLVSQDEGLAQIVDNEDISPLYLAIALRRGDIVQELTIKASCGPAGAVSYCGPAGKTVLHAAVLFSEGTDAISSFVVKF >ORUFI09G04910.1 pep chromosome:OR_W1943:9:6075362:6087280:-1 gene:ORUFI09G04910 transcript:ORUFI09G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEYQTMPSSAHDKRYSGLSNGGDKEQLPSQESEVPLTTRFDGNAMQVDGLLKNVSPTTKIVVKPNEELKSPFLVKRHLFLKRPDPIILDELYSLTTKVTDEESRQSVWVSGNLPINLKLVDIQETIKPEGVMGINCMDLAVRIMSRQDAEIFKNTKCLGWRHYVDSNWKQYINDPNNLRNEYSRLSTMYDPSGSHLVLIPVSSDGHWTLYAFNMHDKKLCILDSRRDTSEGGDQDPVKCHEKIRKEVCHALNETMDVDFNFLSWKHEFPKVPRQQNSCDCGFFVFNFMRLWDGHRLIRWFSTETKELRKNFLAYILSSLDDHSVLPTNVSELIKKLPGETMMNVELLEAARAGNANAFCELVIDPARSINHEPFRSASCSCLLFRSTSSGSYCLCFECTSSRNSDQEKSPNKHNALTRSDAPSTFTRDTRDMLHAIQGVTVEGDGVLHIAASFGVLEPTCLHEAVRHGHEDVVKYLVSKDADLGDVPLPLVQIVDNEGTSPLYLATTLRRDSIVKVLTEAAPSGMPRAASYSGPAGKTALHAAVLFSEELSRTLVNWNHSLIKIRDESGSTPLHYLADGKYTTEPSCISVTELLLKKDPSSGYCEDSEGSLPIHIAAANGTLGIIDQLIKLCPGCESSCNASGQTILHIAVQTESHDVVRFVCSNEMFKMVLNMKDYDGNTALHLAVQKGHNKTFGILMGCKNVSLSIRNRNGYTPLDHAVLNKTSGLTYATYWPGHQRWVCNSLLAAGADFGTFRADHLSSKIPEQAKADREAFSDTLSKSAAVMATCAALLFNAALNIFLNVQAVYHNNNTSTNNNNATQGSDQLKQIQKVKKLSGDSLSISACAILLFAIAGFPILPGVIGRTFALILGLGVLIGSSMISLQALAARLDLAKVYGTGIGAFCVIFSLLCVTLCTNLLRKIVQHARPLWDRCGARGFFRSILNVRRAQNYSAIPLLQPFFFLNGEVEGKRMYTNGLIGGEQWGSSRLMGFLGPAQNYSAIPLLQVCALMEVLLLTCLVMSSSIEIVTKIFFLYHYN >ORUFI09G04920.1 pep chromosome:OR_W1943:9:6108034:6112871:1 gene:ORUFI09G04920 transcript:ORUFI09G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAGAAGRRRAWRVIPRPVLETVLHNHALRPRVPQPLILHGPRGVGKSTLLRRLLPQWSEPPHAAAFVDFLRPGPDAPWSLLLPAAEGAAPSLPDLRLRLESALEGLARDAVLRGAVGSKDVLAALSRSHGLHTALTRLAGPAARRGGRGGGGYPVPTLWARAVLAASSSARGDDSTFCIGEGEATNCSMEEKAYMQEAMAALRVAKEVLRMQEGWRKEAVREMNRTGRFSRPLANSATDWPCLLLDVLSGAAEVDFFQPKLVLNNVDVLRKATCKDDTMVPAAMYHDSLIWRVIALGANEQCLPVIMSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVPEFFSEKEVWKVVDEVLGTNPRQLSEIYMLKQNADSTGVLHDQNIEEIIDIYLAHLQVSVVNPAMEAALGMVQKFASDVREGKVPENRLSFGAPWRHPPQGGNPDASYKWAKIQLMDFVQSFVNTEFGVNYLADDSLEIFDDPAALAMSEACSAEVTIELSRINSILMATCDTWAKLSTLDERSRLQVEISMEQDRSPLSILALHMQLT >ORUFI09G04930.1 pep chromosome:OR_W1943:9:6114021:6115145:1 gene:ORUFI09G04930 transcript:ORUFI09G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRSAHVDAAVIAAAALLLLAATAAEGAVSSWESNSDEDYHIFVDNRMSDNMHLSCYAVQGGGRSEFYHSFRADPGREVQLPYLQPAPNARLVCKWACAGNYLKGVTLFSSSWREATSGECRRRGGGCNMVFDGHEMFVDGRSGGGGRRLLGDLPQHECQKMLLVFNRRCWFKSHRHPYDRDA >ORUFI09G04940.1 pep chromosome:OR_W1943:9:6121003:6122223:1 gene:ORUFI09G04940 transcript:ORUFI09G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFASGGGYCSEAWSYVASLEEHAADAAAANFTLAIAHHGDLESPEFWLGLPEESKNMAYRLATARCELSRAVVVCHSEPGAWYPPMYESLPCPPTGYDEPAFVIGRTMFETDRVSPEHVRRCNQMDAVWVPTEFHVSTFVKSGVDPSKVVKVEQAVDVGFFDPAKHAAIPLPIGVPVMVPDDSRLDPVNSKGKGFVFLSVFKWEQRKGWDVLLRAFLQEFSGADDVVLYLLINAYHSDTDFDRKIRSFVKDSSIEKPMDGWAEVRLIDEHIPQSALPRLYKAADAFVLPSRGEGWGRPVVEAMSMELPVIVTNWSGPTEYLNEENGYPLDIDRLTEVTEGPFKGHLCAEPSVDRLRTLMRHVFSDREEARRKGKKAREDMVERFSPAIVATIVADKIQQALAST >ORUFI09G04950.1 pep chromosome:OR_W1943:9:6125928:6128950:1 gene:ORUFI09G04950 transcript:ORUFI09G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLLRLRSPAPPAAVAAGSLSRRRRRRRAGLAPVRASWQELAGVLVFSAVPFTAVKALANSPLGARLRRRLDDRKAAAAAEADALRSAARQARTASSWYGDERPRWLGPVPYEYPAHLTGEYPGDYGFDIAGLGRDPVAFANYFNFEILHCRWAMLAALGVVVPELLDLFGVVHFVEPVWWKVGYAKLQGDTLDYLGIPGFRIAGGQGVIVIAICQALLMVGPEYARYCGIEALEPLGLYLPGDINYPGGALFDPLGLSKDPVAFEDLKVKEIKNGRLAMVAWLGFYIQAAVTGKGPIQNLVEHLSDPLHNNILSSFV >ORUFI09G04960.1 pep chromosome:OR_W1943:9:6130227:6137710:1 gene:ORUFI09G04960 transcript:ORUFI09G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHRQEDWGKGLNHNPCIGGLPGIVFSCLLQGQPLQLHSVRMGEHLHPHNKQIASIAFHAKGELLAVASGHKLFIWDYNKRDEASDPPMILRTRRSLRAVQFHPNGAPYLLTAEVNNLDSADSELTHATSSGYSNSPSAVFFAIMNSACCPYSESRFSSPCLIWPAYVRDDGSICLLRNDWVSGSSDVQQPSDSETQQAGHMVTPMDVCPGEPGVNNYDDEDSASLSNRIEMHTPSWQNSSRFHNSSAATDLHRIDIRQVSDLSSDTPNPEMPAHSRIDVPNSMPMDLFAFSNTIDVQMFLRDVEAGHHHNNYTGGSHSWELPFLQGWLMAQNRTGLRATLPNNEVIGDLPIGGTAGTDNVMNESSNMYSFERVGPSSSIPITTDSLRGLSKHRHMLASVPGGAGTSLQGAQNGEAHVNVVSLGVGSEFATSLFAGDGAELPCTVKLRIWRHNIDNPCAVLAPEACCLTISHAVLCSEMGTHFSPCGRFLVACVACLLPQTEVGEHVSQSPVQYDSTGAGTSPTRHPLPSRRVIYELRVYSLEEETFGTVLVSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSLLRGIFMDGKTTIPVYTVLEVYRVSDMELVRVIPSAEDEVNVACFHPSPGAGLVYGTKELISTDSYAQ >ORUFI09G04970.1 pep chromosome:OR_W1943:9:6139408:6146831:1 gene:ORUFI09G04970 transcript:ORUFI09G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVRSGSGVLDPRCSPRFLGKKGGSLTSCGKATSTNLAICTKHEQNLHGNVKPSQLAASGSSYSVHRSPVLKQRQNLSARSTSADVYTTFDENVRAVSSHAAEEKVGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTFRAPKSKEGYASIGGGSPLRKITDEQANALKVALKKKNLNANIYVGMRYWYPFTEEAIDQIKKDKITKLVVLPLYPQYSISTSGSSIRVLQNIVKEDSYFAGLPISIIESWYQRDGYVKSMADLIEKELSIFSNPEEVMIFFSAHGVPLTYVTDAGDPYRDQMEDCIALIMGELKSRGILNSHTLAYQSRVGPVQWLKPYTDEVLVELGQQGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIENWGRVPALGCTSSFISDLADAVVEALPSASALVTKKVDESDSDMDLMHYLSKMFFGSILAFVLLLSPRLISAFRNTLL >ORUFI09G04980.1 pep chromosome:OR_W1943:9:6146185:6148788:-1 gene:ORUFI09G04980 transcript:ORUFI09G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSSGAGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNSVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMSCVNHETGAVDSRKFGVLANWQREYTMETILTQLKKEMATPQNRKLVQPPEGTFF >ORUFI09G04990.1 pep chromosome:OR_W1943:9:6152220:6152609:-1 gene:ORUFI09G04990 transcript:ORUFI09G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMVAATAPKTSHRGCDRGQRWRWRLPSPPTRMASRIAAAACSEPSRVDGVEDGCSRASCYRWQRRRRLPSLLPWIGPMISATAVAPEAFTEVVDDGGSDSGGRRQWWRERKRKEKRWEGGEDETDT >ORUFI09G05000.1 pep chromosome:OR_W1943:9:6154157:6155728:1 gene:ORUFI09G05000 transcript:ORUFI09G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGAMVAASGAGRPEYPGGLTMFVSMACLVAATGGLIFGYDIGVSGGVTSMDPFLSRFFPSVYRAQSAAAAAAGGNQYCRFDSQLLTMFTSSLYLAALASSLGAATVTRVAGRKWSMFAGGLVFLAGCALNGAAANVAMLIVGRVLLGVGIGFANQSVPVYLSEMAPARMRGMLNNGFQMMITTGVLAANLINYGTARIAGGWGWRLSLALAAVPAAVMTAGALFLPETPNSLLERGRRGEARRMLQRVRGEGVDVEDEYNDLVAAGEASHAVASPWRDILRRRNRPPLVMAVAIPLFQQLTGINVIMFYAPVLFRTLGFGGGASLMSAVITGGVNMAATLVSVLAVDRVGRRALFLEGGAQMVASQAAVGALIGARLGWSGTAAIPAGYAAAVVAAMCVYVAAFAWSWGPLAWLVPSEVMPLEVRPAGQSITVAVNMAMTFAVAQAFLPLLCRLRFVLFFFFAGWVAAMTAFVALFVPETKGVPIEDMAAVWSDHWYWKRFVDGDGDGARRRGDIEMGHK >ORUFI09G05010.1 pep chromosome:OR_W1943:9:6157733:6160758:-1 gene:ORUFI09G05010 transcript:ORUFI09G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAAVGLLRPCGATTAAAPLQLRNPSPRGFGVGVGQPLLPPRGLRLSAVAPRAGISARRIGLVPASPEQEDERRRGARDVAVAATAAAAGEAGAEEGGGLAKTLQLGALFGLWYLFNIYFNIYNKQVLKVFPYPINITNVQFAVGTVIALFMWITGILKRPKISGAQLAAILPLAMVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSALFLGEMPTPFVVLSLVPIVGGVALASLTEASFNWAGFWSAMASNVTFQSRNVLSKKLMVKKEESLDNITLFSIITVMSFFLLAPVTLLTEGVKVTPTVLQSAGLNLKQIYTRSLIAAFCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTGVALAGVFLYSQLKRLKPKPKTA >ORUFI09G05020.1 pep chromosome:OR_W1943:9:6165707:6166304:-1 gene:ORUFI09G05020 transcript:ORUFI09G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHARVALFAAALAAVLAASTAGFISNEAVGASSAASGGAGRSLLQAKKDCPVNFEEANYTVITSRCKGPMYPPALCCQALKDLACPFTAYINDAQTTCAASMFSYINLYGKYPPGLFANTCKEGANGLECPEDTPQMKPGEDKAASSAAAIVAAVARPVLAAVSAFLMLIVS >ORUFI09G05030.1 pep chromosome:OR_W1943:9:6167337:6167954:-1 gene:ORUFI09G05030 transcript:ORUFI09G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLAAATTTVPAIGVLILLLALAPSPATATVPARRSAVSYYASVENRLPAAAGMELVCRALGPGFDVYPELSVVPRGRVPRGGARVAEVLIEPGPERVAWVLCSWGYEGNYLANLKLFDTEWPEAAACQDPAASGGELCRLVFEDDAVSVVAPGGERRVVGDLPVKRCRRHWLLFSTGCTYPDHPNPYAGRLLRNALEFFAV >ORUFI09G05040.1 pep chromosome:OR_W1943:9:6168925:6169324:1 gene:ORUFI09G05040 transcript:ORUFI09G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVATTILVVSGISGEVVHGRDDDEAVTQIWANGDDVDSAKWVVQDLLLAPARAGAAAYGDLAIGLPLRGDQVPCLRMVIGDLAILKLPPSATTSPFWPLCSRTEKK >ORUFI09G05050.1 pep chromosome:OR_W1943:9:6173609:6183427:-1 gene:ORUFI09G05050 transcript:ORUFI09G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMAMGAASWAPIPAPARAAAAFYPGRDLAAARRRRGAAAAARRPFVFTPRAVSDSRSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYGNNISGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYQKFIQAHRETDADITVAALPMDEERATAFGLMKIDDEGRIIEFAEKPKGEKLKSMMVDTTILGLDTERAKELPYIASMGIYVFSKDVMLKLLRQNFPAANDFGSEVIPGATEIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAAIYTQPRYLPPSKVLDADVTDSVIGEGCVIRHCTINHSVVGLRSCISEGAVIEDSLLMGADYYETETDKKALSETGGIPIGIGKNAHIRKAIIDKNARIGENVKIINVDNIQEASRETDGYFIKSGIVTVIKDALIPSGTLASGEDEGELANLKAWRQLRLIQSPPLLQPGRQWKKKKKVE >ORUFI09G05060.1 pep chromosome:OR_W1943:9:6174069:6178807:1 gene:ORUFI09G05060 transcript:ORUFI09G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNADLGKPRELTGLQQRRALYQPELPPCLEGKAIRVEFGDSTTTIDPTCANMVVQEFPNTFGQPLVHFLKPNKMDAQANDEHPPIRVGVVFSGRQSPGGHNVIWGIYDAMKTQNLQSVLLGFIGGTEGLFANQTLEITDDVLSAYRNQGGFDFLGRTVDQIHTTEQVNAAMSTCCDLDLDGLVIIGGVTSNSDAAQLAETFANHNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLISNVCLDAISAGKYYHFVRVMGWKASHVALECALQSQPNMVILGEEVAFSKLTLKEIISKICDGVQARAAQEKYHGVLLISEGLIESIPEMFALIQEINILHSNKVPENNIPSQLSPWATALYNYLPPFIRRELLLHQDSDNSAQLSQIDTEQLLAHLVEAEMNKRMKEGKYIGRKFSSVCHFFGYQARGSLPSNFDCDYAYVLGHICMHILAAGLNGYMAFATNLKEPTNKWRCAAVPLTAMMSVKRHSRSPGAVPTGKPVIHPSPVDLQGKAYALLREKASSFLLDDFYRTPGGIQFDGSGTNVKPITLTVEDQDYLGDIELLQDYLEKVRNIVKPGCSREILKAAISSMSSVKDVLKVMSAPFYAELPLFNLN >ORUFI09G05070.1 pep chromosome:OR_W1943:9:6205329:6211028:1 gene:ORUFI09G05070 transcript:ORUFI09G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDRRRGGGGGSSTPGMNLKNLVSREYFGHKKKVHSVAWNCLGTKLASGSIDHTARVWSIDPHGHSKVKDIELKGHTDCVDQLCWDPKHPDTVATAAADKSIRLWDARSGKCQVVELSGENINITYKHGGTQIAVGNKEDELTIVDVRKLKALHKFKFNYEINEIAWNKTGDLFFITTGLGNVEVFGDPSLDDTLHVVGKLNAHTAGCYCIGMDPLDRWPVRTVSFNHTGEFLAYASEDPFIDIANVQTGRSIHQIPCKAAMNSVEWNPKYNLLAYAGDDKNKYQADEGVFRIFGFEST >ORUFI09G05080.1 pep chromosome:OR_W1943:9:6211676:6216227:-1 gene:ORUFI09G05080 transcript:ORUFI09G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRCAGGGDGGGEGMLARLRRAAARRIGLSCASFFSHAATSPSPPPKTISCSALNAPADSTDEDQEKLEEPTSTRMADKNLCAICLEPLSTGSVDIDNGDRPAIFTSQCSHSFHFLCIASNIRHGNVTCPICRAQWSQLPRDLKVPPLLQNNQSDPILRILDDNIATSRFNRRSSIRAARYNDDDPVEPYTLTEHVDPCLRFALIPSPVAAHHHALGHYPCGRVMPLQQHCQYSSSSMLSPPQIASPSGQRRAYLSVSLAPQPAMDLVLVASPNGPHLRLLKQAMALAVFSMRAIDRLAIVTNATTATRAFPLRRMSSHGKRMALQVIEHLCCVGGTDPVGALQKGLKILEDRAHQNPSSCILHLSDHPIRSCFGVDMNRFNIPVHQFHVGLGFGVQNGFVMHEFEELLARLLGGVISDTQLRIGEHGGVVRLGELRGGEERRIPLDLVSDCGFILVGYSYLEGGREDQFRTGEVAVGFEEKGDNRYCGVRDAGGLSIGGERRSSCCAERWDYLDPFMARRWAKHFNVYRA >ORUFI09G05090.1 pep chromosome:OR_W1943:9:6228466:6234486:-1 gene:ORUFI09G05090 transcript:ORUFI09G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGRGGGGGDGRGRFGGGGGSRFSAARDDPPPRRSSSGWGVAPPSRHLWVGSLSPGVAAADLSELFLRCGDVEGISRDPGRSFAFVTFAREEDAVAAVRELQGIHLRGAPIRIEFSKGDKGSSSSMDDRYSQHADQRRFTERGRNQQSSPEKSTDKSKRSRPAEPSEVLWIGFPVGLKVDEATLWEAFSPFGEVVKITTFPGRTYAFVQYTTIAAACRAKETLQGNLFNNPRVSICFSRSDSVSAEFGKGSLDAPYSPHLNSSVRPIFREQDFEDFPRARPFDSPPRDMYMPSPHYGPKRLSRDHDDVGFSRDNYLRYGPGVEPDPRSNFEPFRIRGLGPERRMSEDPYEQHRRSPAGDAPWHNIPFERSQGALPLEDSRYAREDPYPFSKKLRTGEAHDSELPEYPFSEFDRGKVGSAYPRRPFYGVPDDDIHPRGYQLAPMHGRNHVDPLRNPTPLVDRHIPGHAQDSFSRHVEVERSTPEYHEPLLKEEWKWDGTIAKGGTPICRARCFPVGKVLNFMLPEFLDCTARTSLEMLSKHYYQAASSWVVFFVPENDADMAAYNEFMNYLGDKQRAAVCKLGERSSLFLVPPSDFSEQVLRVPGKVSISGVILKFEQSDPEVSSPTRKPETFVSHLNHDVRAHEDLDALRRINPPDIRPLPQGSDYLGLSPGSYNPASAHLVPPYKFGNAPSYLESELAHQKHPPDSHREIAHDKQQQHPDVLPSRWSDNIYNPSPGSGNLNYLAESAIPHTSTDRTPEAYSFAPQGVPKVSTSGYAPVADEASNMSYPPMQPASQQVVRPQQPPSLPLSLPPEQLAQLATLLAQQNQPGKEPVDSLNKESGFIRNPHGHSSMMPHSSGSIPVQNSLPPAPPSASQLQVHAPPVQGSVPPNPSIMHTPNAPMPSHNTLPLPPMHPSGNPAHSSMPLRSFVPPLPEGPPPLRQHTSSALQAQPALPSGPQTSQQPSAQEDHHGDPQKRLQATLQLAATLLQQIQQQSKPGGQK >ORUFI09G05100.1 pep chromosome:OR_W1943:9:6249269:6255703:1 gene:ORUFI09G05100 transcript:ORUFI09G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPGLIHHRPDGGEAGRAAGGGPSLVLTADPKPRLRWTADLHERFVDAVAQLGGPEKATPKTILRTMGVKGLTLFHLKSHLQKYRLGKQSGKEASEQSKDASYLLDAQGGMSVSPRVSTQDVKENQEVKEALRAQMEMQRRLHEQVEVQKHVQIRMEAYQKYIDTLLEKACKIVSEQLASSGFSISDNDLPELSGGVMCGSADTLSSSIFHQLSVSPINLHSPEGKPTPSGIEGQMILQKSPELKRKSC >ORUFI09G05110.1 pep chromosome:OR_W1943:9:6262733:6266215:1 gene:ORUFI09G05110 transcript:ORUFI09G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGNNMGPDNGANNNSNLAARQRLRWTNELHERFVEAVTQLGGPDRATPKGVLRIMGVQGLTIYHVKSHLQKYRLAKYIPDSSADGNKAENKDPGDLLAGLEGSSGLQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLGGVKSETPAAGASVTLPSDQFPDSERTDPSTPAPTSESPTQGVPSNRDNGGQNEATKSPQRDDSLSRHEPLTPDSNCQPGSPTASPKHERAAKRQRGNGAEFSETDFALPHSIFESSSGSEFQQCSMSYSGH >ORUFI09G05110.2 pep chromosome:OR_W1943:9:6263637:6266215:1 gene:ORUFI09G05110 transcript:ORUFI09G05110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGNNMGPDNGANNNSNLAARQRLRWTNELHERFVEAVTQLGGPDRATPKGVLRIMGVQGLTIYHVKSHLQKYRLAKYIPDSSADGNKAENKDPGDLLAGLEGSSGLQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLGGVKSETPAAGASVTLPSDQFPDSERTDPSTPAPTSESPTQGVPSNRDNGGQNEATKSPQRDDSLSRHEPLTPDSNCQPGSPTASPKHERAAKRQRGNGAEFSETDFALPHSIFESSSGSEFQQCSMSYSGH >ORUFI09G05120.1 pep chromosome:OR_W1943:9:6267069:6268468:1 gene:ORUFI09G05120 transcript:ORUFI09G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRVRCGCGEAGCPEWAIVEVQGVAAFTFTVGYHELAGTKVALKKPLLVLRKKKTTAVAAETELEVIGVIRHKILFKDRPKALISKPQVKEKKTLPLPAPAAAPPPQSS >ORUFI09G05130.1 pep chromosome:OR_W1943:9:6269510:6273440:-1 gene:ORUFI09G05130 transcript:ORUFI09G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEPLLSPLSPSPHLLHPLPEHAEVSTFSPPLSPCPSPASSYKERIIFGAHPPPPPPPPPPPPPRGRRYYRRVSGDDLDVPSCSSSPSPPSDEENPPPNPPSLFDFIGGRTNLHRSRTAPAMAPLNAAAIAAAAASRDSRNPPPPPRRPAIVLHAFLFLLAYLAMGVTFYAALPGNFTSSAGPTHPVADALYFCIVTLCTIGYGDITPATPAAKLFSISFVLIGFGFVDILLSGMVSYVLDLQEHLLITALKNPRSVRKHRHNYIFDLKKGRMRVRMKVALALTVVAICVGVGAAVLKRVENLGWLDAVYLAVMSVTTVGYGDHAFRTLAGRLFASAWLLVSTLAVARAFLYLAEMRIDKRHRAMANWVLSRDMTVSEFLAADIDNNGYVTKSEFVVYKLKEMGKISEKDIMMICDQFQRMDSGNCGKITLSDLLESHQLVTDLNEKKKGKKS >ORUFI09G05140.1 pep chromosome:OR_W1943:9:6289537:6293704:-1 gene:ORUFI09G05140 transcript:ORUFI09G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYMSRGPPNVSVYVCNLPPGTDETMLADYFGTIGLLKKDKRTGRPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVEWFNNKDFHGSTIQVHIAESKNKDTYDNSASLNNSAGLGGQDELDNGAGRGRGHGDGPGKAWQQDGDWLCPNTSCGNVNFAFRGVCNRCGAARPAGVSGSGAGGGGRGRGRGSDDAKGGSRAAAVGGPPGLFGPNDWSCPMCGNINWAKRMKCNICNTTKPGHNEGGVRGGRGGGYKELDEEELEEVKRRRKEAEEDDGEMYDEFGNLKKKFRAKTQQTENAPTLPGSGRAGWEVQLEEKAGKGAEIEAETMTTMNGIAGTEIEAVMGGSGAEAEVEVETVRRKEGGTGAETTAMRGAGSEEPSVTVIAIGEYFMPGSSHAACRFLVFRYFCFVAG >ORUFI09G05150.1 pep chromosome:OR_W1943:9:6316576:6318730:-1 gene:ORUFI09G05150 transcript:ORUFI09G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEERNKDDAGNPEMQVIGNGGTKAIVIDVEPGDDSSPTSNGSPAAPEAEGQRYSSQEERGDGGGSSCGFHVVDLTSNMVVPDDTTTEDQPRPDAGTINGDDCPWPIRRVHGRVRDIDPGAYDPMVVSLGPYHADRKDLRPMQKEKWRCVEYLCDLTGTPSYVDYLPVMDRVCPDAKTYYLDETGHGRSRGGNGAGGLALAVEHANFLHMLLRDAAFLLVSIGALDKLKKADEGGAEQRSQDRWKDVAIAHDMLLLENQVPFVVVEDLYRAAIDTAGRRGCDVPSLSTVMGKFIRGVIQEAEEQDLPLPHHLDGKTPHHLLHLCHTLLEPTPKEPASPVPDNVAARVKRRWHRAAQYHVNGVGLKKRLFSGGVDHSHHSLLDVKFKGGALEIPVLHVYDNTCSLLRNLIAMEQASSDSGVGHYVTAYCIFLSRLMCTAEDVTLLAKKGIVVHHLGSDEVVAGLFADLCKNVVFNEDDDECNYHRAACKAADERYQKRVWNWMTLLKHKHFSNPWLAMATVAAVLVTICTVVQTFFTVFPRK >ORUFI09G05160.1 pep chromosome:OR_W1943:9:6333259:6333560:-1 gene:ORUFI09G05160 transcript:ORUFI09G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKLLKNVFCVKTSNVKVALKYQINLFFNPPEFIALVSHRGVQANSVAEWGIDIA >ORUFI09G05170.1 pep chromosome:OR_W1943:9:6355561:6356173:-1 gene:ORUFI09G05170 transcript:ORUFI09G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACAVSTGDCGQEAMRRGIRLPLCAPCARMQLRRRGSSAVDAPDPAPPSSRVDPAWVKTMGRRQDGGNLHGDASRHLAKKLQSTYQYIDN >ORUFI09G05180.1 pep chromosome:OR_W1943:9:6371403:6371873:1 gene:ORUFI09G05180 transcript:ORUFI09G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLCLAAHRLWQRFGRMGRRRRGSHRRNDEAAAALIAHGWKWRRQLELGKRKRERRWWLFIEDDELGLEADLEGGGSGFVGDLEGIWRQHGAARPQVATVWAEGGGVWRRRGAAGTRRSTWTRGLASLGRAARVEERASAPGLRKKKVEGKSRGA >ORUFI09G05200.1 pep chromosome:OR_W1943:9:6448192:6451014:-1 gene:ORUFI09G05200 transcript:ORUFI09G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGGHVRAAAETICGGRATLAGLSSIASGFCIQIESPTMSQTSKSRTGEAEDVTGRTAIVEDADVVFEEEDLNDPSSQSIKLTGEIWDVWINCKGSKERWVKANVDKVAYKAYITNNKNTSDGVESNGSSDMPETEWPSHARKITKHTQDGKQVGCGTLKGLSASAKRLKSSSRKLKVEFSAKLGGPCGDNRRTFVDEVVMYTRIRTPLIGVRKWKDVKQDVKNSIVDCVMKVSTQNSTNRHQMKTMHLMGSKPFSQCSWEQNNTARKLAMTDSHEAGDEGSRSVTFGGQVRRCFPEHIQGNNRNKINTETWTRILWETNKTPTS >ORUFI09G05210.1 pep chromosome:OR_W1943:9:6472053:6475993:1 gene:ORUFI09G05210 transcript:ORUFI09G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPEELHYPQYFLPPSLQIEEHLSRASRADVTIQNHPTKRHEDFVAVLVEPQPPEGSIQDLIHQVVHIINHQYRFQVVRTIRFPLALCLVHITSEVRTDALLAGDPMVLNNGDFNARFVCPDNLDNRHNCPFSRDGWIMILGIPLDFRNESDIERVVNTFGKLVRWDHRDRVLGRVLARCLYSDPSAIPRKIVMENPANKGGYGESWTLQTFVLNGEFTDVFPMDENLPPTWMRTMLWKFRLNKSSIISKQMFMKRCNMTLQLLDDSATLQLLNITGGLVSSLVYLYRYLEVWHMAFGSSKDQVVLPAKCRRVDAEQTEVVKRPRDKLLHGSGNLRMFPSSSAQGEKSDLNQESPKKRSGVQPEPLTAHSDDMKNSIQPASPNSAEDIQNVDVDVSDVLPEDVSKGSTLPRFPLNTKGSATASTRRPSTRATMTATIPRFPSTTLGSASTRWFSPNSPPLGFTRRISSTPGSSSTKAKVTPADVDVDDDDKDDIADVARINKTDDDDKDLEGDVLSYKELRVKVKELGVALKRKTK >ORUFI09G05220.1 pep chromosome:OR_W1943:9:6482852:6486309:1 gene:ORUFI09G05220 transcript:ORUFI09G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAEGQAEICIETLLTNLTACWERSRTGSNRPECKIPLLCPPQRPAAVCIGPNHHNPFYHLMEQEKKVMLYGILILVDEQHKAAVLRRLVDAITALESVAKEHYYMEQVPCDAMRRTAGFVQMLLLDGCYILGKFVLHDLLLVRANGAGTSQQQQHGTGSAMQNMELVRDVFYRLDNQIPFCVLRAIYGVLRECRTTPGVMARELDETLAVQVQALLKHFGYSIRNQVPREIWHLHHMLHKHFVPQDDPIPTGDAVRLPVDVVDTGRRSATAAAPTLYRWRAATFYHATGVIFMKRHLRHGASSGAWRWFVDGGGARSVLDVKFHPLTLRLSIPPLMVDMNTSTVLRNLMMLEQHNPSLGSQVTAYCYFLSQLAGTASDVALLAKKGIIVSLLASDGDVARMLGELCVGITINPADERSHNYLLDTRKGLERMYKTRVIRWIAQLYHRYLSNPFVLTVLVAAMVGFVCELIQAIYAVKSFKRRP >ORUFI09G05230.1 pep chromosome:OR_W1943:9:6531368:6532846:1 gene:ORUFI09G05230 transcript:ORUFI09G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALVEGLDESMRNLDLKGKGIVPSSEYHISMVKSGIRNVDSSQYAPHSILVGPYHCDPDQRMEPVKLEALHGALPGDEQERRSTLHRYLGEIAAANFLAEVRRYYADGANKFEDLALSKLLLVDGFYILHCFGIGRFGGGSSSSGGGMCAQDNIEHIRDVFYLLENQIPFFVLVKIHGLFFPPETSPIKSTAEIVLDDLEKSLRPLLTFLGYTQLEIRGVSPWHLLHLLYMHFKPTAVPEPDDEMPATGATAAASNVQQVARPPTSHSWRMWLTGTAATTPAPVGEGGDAAAGNKPHPAYRWHGATQYHAAGMTFEKRRLDSSSKARNILDVELRRLTVYIPTITVDNNTFRILRNLLALEQQSPALGVDVTAYCLFMSHLAGTAKDVDLLVRKEVIVHFMGSDEEVAQGFADLCKGLSVNISDTGRNYLHKTWEKMEKRYNSRPINWIKQLQRKHLSNSALVFALLVAMIPFVCTILQTVYAVKSYKASN >ORUFI09G05240.1 pep chromosome:OR_W1943:9:6563621:6564217:-1 gene:ORUFI09G05240 transcript:ORUFI09G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCTANIGHETYRIFIVDNGRKNYLAVDTSGNLIWYKVVDEATGGGGSTTNQNFVLKNNSKPLVVKSSRGDGLDVVLGQGRDVGERWTLEERHKGVCYIKSGSYSNHIFTGVSGKRTVHLQDKNLADALSLWTFVPAEDADPSGYFVPRF >ORUFI09G05250.1 pep chromosome:OR_W1943:9:6566664:6567218:1 gene:ORUFI09G05250 transcript:ORUFI09G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCNDELGATMTRRRLETARRRRRLGTGCRGDAVLVPRWSPTPGGSLPLSSIDNDDKTTAVRVSINFIQVFPSVSAEAVEDQAASVVHYNPVAGRITKPVVGEPEIDSTGEGVWFIEAEVSYTACVDSVLTTDGTVQIEGERLPFSPPTPSSLPPPLAPPRPHQPPPLPPLPAYSAHCAPLLL >ORUFI09G05260.1 pep chromosome:OR_W1943:9:6566811:6567239:-1 gene:ORUFI09G05260 transcript:ORUFI09G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQRRWLEEERSAVGGVGRQWGQRRRLVRSRRGKGRRKRRGGWRREGEALALNLDGAVGGEHGVHAGSVAHLGLDEPHPFAGTVDLRFPDDWLRDSAGDRVVVDDRCGLVLDGFGGDRGEYLDEVDGDANGSGLVVVVDGG >ORUFI09G05270.1 pep chromosome:OR_W1943:9:6587151:6588533:1 gene:ORUFI09G05270 transcript:ORUFI09G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRAHPRRAPTPISQAWPNKTRIMPCWLEGTTSIPFGCELWYAEFGGQLIAQSTNQSMGTNNLGWPEKQSNTQ >ORUFI09G05270.2 pep chromosome:OR_W1943:9:6585082:6587346:1 gene:ORUFI09G05270 transcript:ORUFI09G05270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDGVTGDYQTQIDINLLADQLEAKLCDVPLDDGGRRHGSPECHISIVKPQVRLVDVSQYAPQVLLVGAYHHRSLDRCELDKLAALRRALPDGDDERASTLRRYLAAIAGLESEARSYYRDDTDDMGAEEFALMLLLDGWYILHYFGVGVGGGGGGGGRAVDIFAVRDVFYLLENQIPFFILEKVYELIHSPPPSPGATAIAGGGSSSSSVVVVDGFVRHLRSLLRDQGYSNLEVDITSTRPCHLVHLLHMHFTPMAMAPAADDAAAVPIPTRRARATVYRWRGATQYHAAGVRFKRRALGLGDARCVLDVELRRLTLHVPTLTVDNNTWRVLRNLMALEQNNPNLGSHVTAYCLFMSHLAGTANDVALLASKGVVVHFMGCDEDVAKGFAGLCRGVALDVDDARRNYLQPTWERMERWYSSRPVNWMALLRRRHLSNPLVAIALLAAIAGLVCEVLQAVYAVKSYKTRS >ORUFI09G05280.1 pep chromosome:OR_W1943:9:6600428:6602844:1 gene:ORUFI09G05280 transcript:ORUFI09G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKLVLLLVALMATTTSRAAAAAASMEGRHEEWMAENGRTYEDAAEKARRFRGSTPAATGRTASLGVNEFTDLTDEEFVARYTAAGYYSNATSFKFGVAAHKLPGFMYENVSLSSEGGYTLGIDWRERGAVTNFNCIIYWWTNMNHVPMCANACRMLLGVLRGCSHGGHQPDHDQRAGEPLRAAAAGLLQQGCRLQWRLLHGSLFAYIGDGGGITTESAYPYQRAQGPCRFSAGEEGVATIRGYQEVPLNEAALAQAVAHQPVSVAITAGGFRFKQYQNGVFMADHCDDDLHLNHEVTVVGYGVDDSWPTTATTTSTSTTKSRWWGTAWTMATTG >ORUFI09G05290.1 pep chromosome:OR_W1943:9:6629471:6630521:1 gene:ORUFI09G05290 transcript:ORUFI09G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAAERPSSYAVNFPPLLPAPAPTVAGAMGVANHKSVLCMKWREGRCHNGVACRYAHGEEDQRIVPEMRVGGGGTSMHARSSPPRDGASSGSTASIAMAACRIEEQRHGRGGSPSSYHARGGNALGSGSARSTAPTPPRAHTTPHCSRSVAAPRASTSPLRPSPVPPPATLHSAADVQRSVARALEDFEQRESSSSVFPLAIDIVAEDAMTATSEPSATSDDDAITTTSSSSTTDADELDAAVAAPPK >ORUFI09G05300.1 pep chromosome:OR_W1943:9:6645694:6648483:1 gene:ORUFI09G05300 transcript:ORUFI09G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTSSGSSQGTRSSRSEDDLNLQAQMEKKRKRRKESNRESARRSRMRKQQHLDELTSQVNQLKNQNQQLSMALSLTTQNLVAVQAQNSVLQTQELELQSRLCALTDILMCMNNTSATPTPTIPATTTSACDIFGASSWNQPPIDLYQYQCF >ORUFI09G05310.1 pep chromosome:OR_W1943:9:6646999:6650144:-1 gene:ORUFI09G05310 transcript:ORUFI09G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRMGAQDMKLKGLKRALKEQKARLYIIRRCGCDASLVSSSRCCCFLILDLRADSRFDSFLLFLFFSIWAWRFRSSSDLELRVPWLDPDEVPLDMFICGCLDNYVV >ORUFI09G05320.1 pep chromosome:OR_W1943:9:6663075:6667676:-1 gene:ORUFI09G05320 transcript:ORUFI09G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKVLGIWHWQCCSCAAGMYGHLILSAARRMVLNHGAAPGYCASAPIKGPSMITLLTMEKVKKKRGKLQNVLREQKARLYIIRRCVVMLLCWSD >ORUFI09G05330.1 pep chromosome:OR_W1943:9:6677922:6679346:-1 gene:ORUFI09G05330 transcript:ORUFI09G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASCCCRRHLAVAAPRAPRLAAAAAVVVASSASGAAAGEPVRRIRRRAPGPQPTQPLKSAPPTQPSVAEVRRAIGLGDDDPSASSRRGERQSAFMELIASTPIGQPEGHAERRLREAAEWVVDTTEDRACQAQKSLLLLCMKIFPLWLLLLSIALGIIKLPFDIPGLDNLLM >ORUFI09G05340.1 pep chromosome:OR_W1943:9:6687300:6700191:1 gene:ORUFI09G05340 transcript:ORUFI09G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAERQLVVAVEGTAALGPYWPVTVADYVEKIVRSFCAHEMAGQKLAGTPPELALVVFHTHGPYSAFCVQRSGWTKDMNVFLSWLSGISFSGGGFSEAAISEGLAEALMILQGSSSNSQNHQSHEVQKHCILVAASNPYPLPTPVYRPLVQSSDHKENNDGAKESCLADAETVAKSFAQCSVSLSVVSPKQLPTLKAIYNAAKRNPRAADPSVDHAKNPHFLVLLSDNFLEARTALSRPLPGNLVTNHPITKMDTAATSVPVPTSNGNPSVNGPMLTRQPNGVVANIKTEPTTLPPMVSAPAFSHVTPVANGVSQGLSSVQSPSPSLISQETNLANDSVQEHKPLINPIQQSIRPGGPANVSILNNLSQHRSVATIISGGMPGIPMSGTGQSIGSQQVVQNTAFGSNTPITGNSNIAVSSSLGGIQSNIGISGPPVTQGGSMGSTQLGQGGINTNQNMISSLGTTTVSSAPAMMPTPGMAQQAGVNSLGVTNSSAMNMPIVQHPNAQQQQQQQQQQQQQQPPPKYVKIWEGTLSGQRQGQPVFICKLEGYRSGTASETLAADWPETMQIVRLIAQEHMNNKQYVGKADFLVFRTLNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKAGRLIGMLFPGDMVVFKPQVPTQQPPMQQQQLQQQQNQLQQQNQLHQQHQLQPQNQLQQQHQLQQQLQQQQLQQHMQLQTQGLPLQQQQSQGHPLQQQQMQQMQQQQQQQQIQQMQQQQQMQQMQQQQQQPQQLQQQQQPQMVGTGMGQQQPQMVGTGMGQQQPQMVGAGMGQQYMQGHGRTVQQMMQGKMAPQGPGSMPGAGSMPGGGYLS >ORUFI09G05350.1 pep chromosome:OR_W1943:9:6699158:6709365:-1 gene:ORUFI09G05350 transcript:ORUFI09G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKSGLCIVVEGRGIDPQIWSLITISLSGGTNKELRAQNIFPLYVLLARPTNNVSLEGHSPIYRFSRACLLTSFHEFGNKDYTEATFVIPDVKNLATSRACSLNIILISCGRAEQTFDDNNCSGNHVEGCTLQKLEGKCFWGKIPIDLLASSLGNCVSLSLGHTVEMSSTVEMTPSFLEPKFLEDDSCLTFCSQKVDATGSFQLQVSISAQEAGAKDMSESPYSVYSYNDVPPSSLTHIIRLRSGNVLFNYKYYNNTMQKTEVTEDFSCPFCLVPCGSFKISEECQAVNVSLKTDSWRTELLAEGVDPRHQTFSYRSRFKKRKRVEISSDKIRHVHPHIVDSGSPEDAQAGSEDDYVQRENGSSVAHASVDPANSLHGSNLSAPTVLQFGKTRKLSVERADPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRMLDDFVDVTKDEKLIMHMWNSFVRKQRVLADGHIPWACEAFSQFHGQELVQNPALLWCWRFFMVKLWNHSLLDARAMNACNTILEGYLNGSSDPKKN >ORUFI09G05360.1 pep chromosome:OR_W1943:9:6742458:6746318:1 gene:ORUFI09G05360 transcript:ORUFI09G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTRKATSPKSSMTSSTGPKSPVRNGGSPPHKKSTSEFRGRKNESQILRKGGQDSITLDESKRRSPTSQTSPKRSSPKHEQPLSYFRLHTEERAIKRAGFNYQVASKINTNEIIRRFEEKLSKVIEEREIKMMRKEMVHKAQLMPAFDKPFHPQRQIITSTYH >ORUFI09G05370.1 pep chromosome:OR_W1943:9:6760166:6772769:1 gene:ORUFI09G05370 transcript:ORUFI09G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSGKIWTYLLDGGTAAAAVAANSRLHLSSGRLRRLAPPLPLTSPPPPPPPLPPYRRLRAPSSSSSAGFEADAGKARRRALLSFSPLVSPLPARSGASLPSSPSLTCRSSHSPPSEWPDLVATTSTPPDLMAASSWLSMVWRLMEQPLPPNQPASPFPPPSAPCRCIGRGALSPRVLSAVASARWLPLAP >ORUFI09G05380.1 pep chromosome:OR_W1943:9:6774344:6780122:-1 gene:ORUFI09G05380 transcript:ORUFI09G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMVCRRLPPGPWVSIWTKGDSKSAKCWATKSSRRRLYLSTIYIHNLKLICRDVNPEKVSRIALVKPDEARGVEDVLLSAAQSGGISEKVSEERLISLLEQINTRTSKQTKVTISEGSQVVIVSLKNDSWRTEDDLKMPKQDLKTIMCRGKMVVL >ORUFI09G05390.1 pep chromosome:OR_W1943:9:6792272:6793533:1 gene:ORUFI09G05390 transcript:ORUFI09G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSSRYRAMHPHLYQSHHHHQHVAPPTKHGGGGAGAGGEEDDQSMLLLSLWPPGHSSHHHQHHHHGAASSSACSSASISAAAVAAAHSGGGGGGHGAAAAASSWFNPSHYHGGVGGGGGFLFQEQQEPNVSISLSIAPPCGVSGGNAAAMAAAGSFATSPLPQQPVASSAVATAAGGGQAPSQYWIPSAAEILVGSTQFSCAVCNKTFNRFNNMQMHMWGHGSQYRKGSESLRGAITVGGAAPPASLMRLPCYCCAEGCRNNVEHPRARPLKDFRTLQTHYRRKHGARPYACRRCGKRFAVRGDWRTHEKNCGKLWFCVCGSDFKHKRSLKDHVRSFGGGHAPHIVESYSTGAGGGGGCGGAGADDDDDEYYDDDDDTVSLSRATSAHDDADMIVV >ORUFI09G05400.1 pep chromosome:OR_W1943:9:6799922:6802595:1 gene:ORUFI09G05400 transcript:ORUFI09G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTSIVGIDGADNRTLQHFWTGGPTGQADMGSSQGTISGEEQAQESGCMDAKLQALYMHGHAQPDADAETQHTQRKKERPCRGRHGRGLHALALYLQLL >ORUFI09G05410.1 pep chromosome:OR_W1943:9:6811454:6819724:-1 gene:ORUFI09G05410 transcript:ORUFI09G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRGVGGEAATGREERRRRGFLTSALSLSAPAVPPLRRAPVASPLPPPRWAPAAPTVSPPRHSRSPTAPPSRRRWAHGALSISLAVPREDKEEGESATSMPYGKSTRHDKTVSETAEGGDLLWFSEKKEALYPVFRLRDLFTQAQEMREVKWTYLGFVWAGADWALYGHPPNPLLLLRPHLASFLRRLPPLRHCSLAYGLELVADPRHRRDLCLPPMPRPAKIRKKHENVFDQLIKAIKAPVDFDLPPVLKEWKSNYYVPIKRRCCSLVVRRPVNTEKCGNGVVAEEDIKKGEFVIEYVGEVIDDRTCEQRLWKMKRQGDTNFYLCEVSSNMVIDATNKGNMSRFINHSCEPNTEMQKWTVEGETRVGIFALRDIKTGEELTYDYKFVQFGADQDCHCGSSNCRKMLGITKPVNSIVLHNGNLSQDQHVRKKRKTYLENCIGEIVRLWHRRHSMYLAASIYDFNERNGIHTLLFTDATIEEFDLTEEDWDFLPDPDGPEEVFVSIELCLY >ORUFI09G05410.2 pep chromosome:OR_W1943:9:6811454:6819724:-1 gene:ORUFI09G05410 transcript:ORUFI09G05410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRGVGGEAATGREERRRRGFLTSALSLSAPAVPPLRRAPVASPLPPPRWAPAAPTVSPPRHSRSPTAPPSRRRWAHGALSISLAVPREDKEEGESATSMPYGKSTRHDKTVSETAEGGDLLWFSEKKEALYPVFRLRDLFTQAQEMREVKWTYLGFVWAGADWALYGHPPNPLLLLRPHLASFLRRLPPLRHCSLAYGLELVADPRHRRDLCLPPMPRPAKIRKKHENVFDQLIKAIKAPVDFDLPPVLKEWKSNYYDVVLLLFVDLNMVIDATNKGNMSRFINHSCEPNTEMQKWTVEGETRVGIFALRDIKTGEELTYDYKFVQFGADQDCHCGSSNCRKMLGITKPVNSIVLHNGNLSQDQHVRKKRKTYLENCIGEIVRLWHRRHSMYLAASIYDFNERNGIHTLLFTDATIEEFDLTEEDWDFLPDPDGPEEVFVSIELCLY >ORUFI09G05420.1 pep chromosome:OR_W1943:9:6834971:6835621:-1 gene:ORUFI09G05420 transcript:ORUFI09G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCPSLNLSCDNTSCNLFCCHPKQHEPPPAPHAAATKPPPPPPHDDPPLKPPPQQQFITAQPPPPDEPPLKPPPSFYPAVLPPEPPPPRRPAATPYSNREGVDDDDSHHVAPPPRRSPAARPPSAPETPLPLPCCEKPPPPPRQPPAHDQFAAGVPNGGGYGEKHPVAVATRAVPARHYVPHKNHEVAAPPSPSPPPPAARYDDNWQIIESSRYC >ORUFI09G05430.1 pep chromosome:OR_W1943:9:6876990:6877274:-1 gene:ORUFI09G05430 transcript:ORUFI09G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRIWGSGSRRRGMKVAAVDDAMWEVAAGDAARRWRRPDPCGASRIWGSGSRRRGRKVAAVDDAAALELAVAAGGDCGWLAFASVTAVVDGGG >ORUFI09G05440.1 pep chromosome:OR_W1943:9:6881788:6887230:1 gene:ORUFI09G05440 transcript:ORUFI09G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILDAFASSLGDILIETMKEEASLMLGVSDEIRKLYDTLNSLKKFLQDAEKKHITSSYAQDWVRKLKGAMYEASDITDLVQIKAEERRISMDTSSGCFHSFLLCLQDPLFAHRIGSQIKSVNQKMDDLCKQAAQLNFITNLTDGNGKHKVIDKTAPGLVPRDAVGKKLEQDTRMLVEVLTKEEKASGGESNNVHVVANSDTESNNVTVVAILGIGGIGKTTLAKKIYSDQAVEDSFNTKIWLSVTQDFNEVDLLRTAIVAAGGDHCGAQEKSLLEPILVSALTAKKFLLVMDDIWNQKPWEKVLRVPTIKAGARGSRVLITTRNEGVAREMNAVHLHHVSKLGPQDAWAMLKEQLDLSGPETKRLKESGMKIVEKCDGLPLAIKVVGGVLCKRNKTENDWEKVLGNQVWSKIGLPDELNKAIYLSYEDLVPNLKQCFVYYSLFPKDEIIGPDKVVAMWTAEGFLGNDGNSTQLGMDYYKELIMRNLLEPHDDYYNQEYCLMHDVVRSFAQYVARDEALVVGDTENMTNLTLSNFFRLSISANEIEWSNLQKRHSLRTLLLFGNIKFKPGNSLSNLPFLRTIHIRDARCATLIGSLCHLKHLRYLELGYTNISALPQNIGKMKFLEHIGLRGCHSLAELPSSITELPKLRHLSIDETKINAIPSGFKRLENLEMLWGFPVHIIIENTGEYRCSLEELGPLSKLRKLKLIGLENVPYSSMATLAKLKTKENLICLELWCTSGVTVSGRVKESIAMADQEQIVDVFDKLYPPLCLEELTIGGYFGDKLPSWIMMPAKFLKNMRRLDLQDMANCAHLPSGLGQLQDLDCLVINRAPQIEQVGYDFFVQGGQRKTDNRNPSHAVFFPKLHELCLQGMIKWKEWTWEKHVEAMPVLSVLNIRNCKLHYLPPGLSYQAKALRRLSVANVQHLNCLENFSSVIKLDAYDNPDLERIANLPNMQNLTVVGCPKLMVFNNVKSLRSIQLGISEMETLPAYLQDTKLEQLEIACSLKLLKLMVKKESWSEWGKISNIMHVKGFASENGRRWYISYTKHPFSFDTNIEYTLELPEETGYEANIAMEKI >ORUFI09G05440.2 pep chromosome:OR_W1943:9:6881788:6887230:1 gene:ORUFI09G05440 transcript:ORUFI09G05440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILDAFASSLGDILIETMKEEASLMLGVSDEIRKLYDTLNSLKKFLQDAEKKHITSSYAQDWVRKLKGAMYEASDITDLVQIKAEERRISMDTSSGCFHSFLLCLQDPLFAHRIGSQIKSVNQKMDDLCKQAAQLNFITNLTDGNGKHKVIDKTAPGLVPRDAVGKKLEQDTRMLVEVLTKEEKASGGESNNVHVVANSDTESNNVTVVAILGIGGIGKTTLAKKIYSDQAVEDSFNTKIWLSVTQDFNEVDLLRTAIVAAGGDHCGAQEKSLLEPILVSALTAKKFLLVMDDIWNQKPWEKVLRVPTIKAGARGSRVLITTRNEGVAREMNAVHLHHVSKLGPQDAWAMLKEQLDLSGPETKRLKESGMKIVEKCDGLPLAIKVVGGVLCKRNKTENDWEKVLGNQVWSKIGLPDELNKAIYLSYEDLVPNLKQCFVYYSLFPKDEIIGPDKVVAMWTAEGFLGNDGNSTQLGMDYYKELIMRNLLEPHDDYYNQEYCLMHDVVRSFAQYVARDEALVVGDTENMTNLTLSNFFRLSISANEIEWSNLQKRHSLRTLLLFGNIKFKPGNSLSNLPFLRTIHIRDARCATLIGSLCHLKHLRYLELGYTNISALPQNIGKMKFLEHIGLRGCHSLAELPSSITELPKLRHLSIDETKINAIPSGFKRLENLEMLWGFPVHIIIENTGEYRCSLEELGPLSKLRKLKLIGLENVPYSSMATLAKLKTKENLICLELWCTSGVTVSGRVKESIAMADQEQIVDVFDKLYPPLCLEELTIGGYFGDKLPSWIMMPAKFLKNMRRLDLQDMANCAHLPSGLGQLQDLDCLVINRAPQIEQVGYDFFVQGGQRKTDNRNPSHAVFFPKLHELCLQGMIKWKEWTWEKHVEAMPVLSVLNIRNCKLHYLPPGLSYQAKALRRLSVANVQHLNCLENFSSVIKLDAYDNPDLERIANLPNMQNLTVVGCPKLMVFNNVKSLRSIQLGISEMETLPAYLQDTKLEQLEIACSLKLLKLMVKKESWSEWGKISNIMHVKGFASENGRRWYISYTKHPFSFDTNIEYTLELPEETGYEANIAMEKI >ORUFI09G05450.1 pep chromosome:OR_W1943:9:6888507:6889303:1 gene:ORUFI09G05450 transcript:ORUFI09G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFVFLLVVLERSYEALQLGEERARSLLISFLALVPFEEEESGKASSQAEKKHASPLLSCVKLLSLLVALMEEEEIDEESLQAEKHPSRHLSRVDLFPLAAPMEEQSDEAFLQAEKKHANPLLSCVELPLVAPMEEQIDEEPLQEEKHSGHLLSCVELFPLVARMKKEEKHPSHLFPLVAPMEDEIGEEDSDEVNLQSRATGDGDGPFMESRTAVVGGGGPFMNFGGSGP >ORUFI09G05460.1 pep chromosome:OR_W1943:9:6896465:6896671:-1 gene:ORUFI09G05460 transcript:ORUFI09G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTYCSLSCSKLMTCNCGVGCCKKAPLLPSPEKSGPASKVVDQQPPATPMPPPPPPAKDPAAGGLAT >ORUFI09G05470.1 pep chromosome:OR_W1943:9:6909277:6915657:-1 gene:ORUFI09G05470 transcript:ORUFI09G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLGCYTIKSHGTKVARLHMYDWIILLLLAVIDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTVPFWAVPLIGIVLPCAIFGGIYFKKKNFYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKDVYDNVTTGVICHGEKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKITVFDRRGHVAKLCIVFLPLLTAALVAVSRVDDYWHHWQDVFAGSLIGLTVASFCYLQFFPYPYDADAFWPHAYTFQLAEASRNNNTANSYSVRPTGFETVNVPEGHGGIALRDTNLEAGRRP >ORUFI09G05470.2 pep chromosome:OR_W1943:9:6909277:6915338:-1 gene:ORUFI09G05470 transcript:ORUFI09G05470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLGCYTIKSHGTKVARLHMYDWIILLLLAVIDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTVPFWAVPLIGIVLPCAIFGGIYFKKKNFYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKDVYDNVTTGVICHGEKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKITVFDRRGHVAKLCIVFLPLLTAALVAVSRVDDYWHHWQDVFAGSLIGLTVASFCYLQFFPYPYDADAFWPHAYTFQLAEASRNNNTANSYSVRPTGFETVNVPEGHGGIALRDTNLEAGRRP >ORUFI09G05480.1 pep chromosome:OR_W1943:9:6923214:6925860:-1 gene:ORUFI09G05480 transcript:ORUFI09G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEAEAEAAEVVESVSDLAVQDPPGEEFSAADLRWVKYASSEHQRDDVALIPYERMDAFIAGECSNPECPTRFHIERGRKRDRGTLREVRSDDYLLYRMYWCSFGPENYGEGGTILPSRKYRLNTRNRAARPQSMRGCTCHFAIKRLYARPSLVLIIYHERRHINKSGFICHGPLDRDAIGPGARRVPYVGSEIQQQTMSLIYLGVPEENILQTHMEGIHRYCGSDAKVDSLASQYVQKLGMIIKRSTHELDLDDQASIRMWVDRNKKSVFYYQDSTDTDAFVLGIQTEWQLQQMIRFGHQDLLASHSSFGVSKLKYPLHTLLVFDSRQHALPVAWIITRSVTKQDTLRWMKALTERIYSVDSTWRIGGFVIDDPASELDPIRDVFSCPILFSLWHIRRTWLKNIIKKCSNSEVQREMFMQLGKVMYSIWSEKNPMDALEQLFQDFVDQTTFIQYFKSFWVPKLEMWIDTIRSLPLASQESSGTIEGYHLKLKVKAYDDSQLDALQRVDWLVHKLTTELHSSYWLNLYADESGSFPEVKAEYIASTSWHRALQIPDDAVIFDDKEPFSAKVTSQKDTSQMWTVWNPGSEFSLCDCSWSMQGNLCKHIIKVNMMCGPRKDFQPSLSFQSFQRVLLDLWQKPMDDSFSLDLSVAWVMQMQERIQKVTELATADGIAEVSNRLPIQWTNKKGRKVAAKRTSPLRVLPHSNGIVQKDFTPKKNRKRKRLSTVSG >ORUFI09G05490.1 pep chromosome:OR_W1943:9:6929965:6934121:1 gene:ORUFI09G05490 transcript:ORUFI09G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDQGFMAFRRIRGDEQEEDRDDGGWPEAKRKRQTVPSFISVIRGAMAAEKIQKLGLDLEPFFRKAVQEELERSLSKHGHLLYRSPPMLVNSVDSSLKLAFAKRLQLPIFTNNKLVDVDNNPLQVHLLHMNSTTTSHHHLPMIKKLEVLVLDGDFSHGDEGWSSDEFSGAIVREREGRRPLLVGTLNVAMADDHLGVAFIDDVAFTDNSSWTRSRRFRIGVRAVAVAGSGDGGGLRIREAVSESFMVKDHRGESYKKHFPPRPDDEVWRLKNIRKDGPIHKRLESERVRNVQGFLNLHATNPEKLRKLVVMSDRLWKATLHHAKTCDFRAAEMMQVKQSSIEAYQNWDQLDEAETNKTASGNLGQAHEGSLQADEIGTVSGPHFQSRNQMDSEDSLSAAAVTEDADDALWSPCMTSDGHGLIMWKNNTSVWDQTN >ORUFI09G05490.2 pep chromosome:OR_W1943:9:6929965:6934121:1 gene:ORUFI09G05490 transcript:ORUFI09G05490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDQGFMAFRRIRGDEQEEDRDDGGWPEAKRKRQTVPSFISVIRGAMAAEKIQKLGLDLEPFFRKAVQEELERSLSKHGHLLYRSPPMLVNSVDSSLKLAFAKRLQLPIFTNNKLVDVDNNPLQVHLLHMNSTTTSHHHLPMIKKLEVLVLDGDFSHGDEGWSSDEFSGAIVREREGRRPLLVGTLNVAMADDHLGVAFIDDVAFTDNSSWTRSRRFRIGVRAVAVAGSGDGGGLRIREAVSESFMVKDHRGESYKKHFPPRPDDEVWRLKNIRKDGPIHKRLESERVRNVQGFLNLHATNPEKLRKLVVMSDRLWKATLHHAKTCDFRAAEMMQVKQSSIEAYQNWDQLDEAETNKTASGNLGQAHEGSLQADEIGTVSGPHFQSRNQMDSEDSLSAAAVTEDADDALWSPCMTSDGHGLIMWKNNTSVWDQTN >ORUFI09G05500.1 pep chromosome:OR_W1943:9:6953284:6953970:1 gene:ORUFI09G05500 transcript:ORUFI09G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAAMLEAGVGRFRGPSVAALLAEMWAPLAVALAALATLPSLLRRLQVLILRLRSRGKEVISSHISTYYSSGDDSDSDGTDEDEEEEDEEESSSGEEEKGRRRERRIGYYEGVADDDEDGCFPWGGTVVRTWQDLPRRISGGARLLAPGTSSAAAVRLWDSITASGGGGAWWDADEGGRAPAAEAPPVVLGWRRDHPHPHADEHAARRRRRRRAGLLAAVATSPK >ORUFI09G05510.1 pep chromosome:OR_W1943:9:6957882:6958355:1 gene:ORUFI09G05510 transcript:ORUFI09G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKRSPPLPPLSSVAAVVVLLVLLLLFTFMATEANAQVFCRSQFNLANEACSLRTFSGPNPAVPLRQLNESAVAVMAEHREREHGHGGGGGGGRLRSHRADPYDTACCRRLMGIDNACICQAMSYLPVFMSRVKHAIKLTPVPGCDVSFECAAAY >ORUFI09G05520.1 pep chromosome:OR_W1943:9:6959175:6960128:-1 gene:ORUFI09G05520 transcript:ORUFI09G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSRKVRVFCSDPDATDSSDEDDQNKKERRFSREILIPMENSKASKPVKTLVQCGTKTVKDSEKEPTSKYRGVRRRAWGKWAAEIRDPVRKSRKWIGTFNSEEEAAAAYLAQSNQFHEELMALKIQSSVSEQEDLSSSVTISCVSSSQSCDQKIQAKPQEHKRVSVVVNRETVEQKFKAQPQAQKIKAQPEVQKRVSVKISHETEDEHLLNLPSTPKGKEISMGAVLGRIDEIPVSNCVGHIDEFPPDDFTRLADAFPVSDFIGMADVPLGDDYIGLADISHLPLPITDLKFDLDAELNWDGFDFASLEQELNCL >ORUFI09G05530.1 pep chromosome:OR_W1943:9:6960133:6962305:-1 gene:ORUFI09G05530 transcript:ORUFI09G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWSDQFHPFFSFIPNRCDTSPPPIINEPLPLVHITRFASLLRSSSSFSLLRFASKSPAISPPKTSPPPTTTINKPLLLLLFFLLRLAISPPPPPHSLTSCHVIRAIDRVVASAAFAANGFFLLPSHPPPSKLVYEGRKNTAQSLHDLQTAIKANCNPIISDLVLVAR >ORUFI09G05530.2 pep chromosome:OR_W1943:9:6960473:6962305:-1 gene:ORUFI09G05530 transcript:ORUFI09G05530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWSDQFHPFFSFIPNRCDTSPPPIINEPLPLVHITRFASLLRSSSSFSLLRFASKSPAISPPKTSPPPTTTINKPLLLLLFFLLRLAISPPPPPHSLTSCHVIRAIDRVVASAAFAANGFFLLPSHPPPSKLVYEGRKNTAQSLHDLQVWLEWIKYRIRTFAT >ORUFI09G05540.1 pep chromosome:OR_W1943:9:6985757:6986203:1 gene:ORUFI09G05540 transcript:ORUFI09G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIAATVEGEEIGSGKGISGLWRRRRRRRSTGGRSGGLRRSEEKRHVLGTAAAATPEGDDPPTVANGECEEEEGGEGRALAGPAVAERGGVRRIGVCWQRQQRRWGEARVPRGQRTLIARGGSRRIGDIGEMADGRSGLGEMHMDGRD >ORUFI09G05550.1 pep chromosome:OR_W1943:9:7003732:7009085:-1 gene:ORUFI09G05550 transcript:ORUFI09G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRRRPEQEDRKKSIRHWFSRSSANHEVDKKMKITTEKLNKKFHGILQNGRELGLQPIKLQRQSRISEFPGDLSPQYTLVGDIEQEKLKLINKLTGSESTSAVIAIFGLGGIGKTMLARKVHDDLLTESAFSTVVWVNGSKSFTKKKLLRAILSSSGGKPGEAKKKSNEQIEDMLVTILGAKKFLLVLDDVWADQIHQDFLKVSLQAQQGSRILLTTQDEGVLRQIASDDIHKVNKLSFPDCWSLLCSSACLDEQDCDALTDIGITIIQKCNKVPLAIKVLGGLLGTKNPRREEWQEVISESEGWTLENVPDGMEEICLPIYLAYYSLPYHLKLCFDYCLQLPEGFVIRPQIVTQLWIAEGFIREQDNRNPEDITEQYYKELVLRNLLQPEIGCFDMSKCTVHDCVKSLLQPSTKDKKSTDSTEGTKFFRSFRTAFVYKNPSGDRGLNWLINLRSLINLRSLDLTGTCIRYIPKSLEHLHHLRLLNLSLTQVLELPESIESLSNLQFLILRCCYWLETLPEEISNLVSLRSLDLEGTTPHIVLSRLSALEQLTALHGFIVDHNAAVPDNDHQNGWPMKELSPLNSLRSLQIMGIDRVPDESRAQEANLASKSHLTHLELCGSSTSDSQVFVPEEEQDRWLSVLCGLQPPQCLEYLKIASYYGSSLPDWILQLRNLQRLVLTDCKLCDSLPALGQLQQLKFLTINGCPKLRIIEWWTGATTKLVFPKLEQLDLSDMQALESLDRFKHGDLLSLTKFHLENSPKLRSLPSGLGYCKVLTSMKIVGADSLQVIDNLPMLKELVVQDCRELVKISNLPVLQVLVVVDCSMLQDLRGVGGLRHVRLVDRVTKELPDWLTGHEAPLLQTFTIVGTTELLRKLVPNTKGWSAIRNMDRVYANLPDGAPFLAYNKGKPDFQMIKTIVSPQLEDPSADVILGKLVRMASQTGLADTVKRYFLPPLAIALVFLLLVTRDFTLIGVFLAFFAACVAGFSVIYIQKTSS >ORUFI09G05560.1 pep chromosome:OR_W1943:9:7029520:7034870:1 gene:ORUFI09G05560 transcript:ORUFI09G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHTSIPCELKLKLRLKPWDIASNFEVNEEAKAAIVSGITKEVITSLKTSAVNEIAKLVCVKKEIKNLTVTFDDICAQIRGADQIVAHSEATNYRLKLLREYAYEAENIIDLFTDTWSVTSSTTSPETSPASGDRALMGGDGDPPHLVFFLPDHVLVFLLSDPGISILSAPARLPLEATASTGAHADRRCSRSIPLRPPPALPDLLGACFWNEMLHLEVGVRYKAANDIQELNKKLERITPTLLQELCREDRQSNITTPQHDEFITIGRNIANECDNLFRLLRGNQAGQCLFAIVGAVGVGKTTLAQKIYHDTRNNFRTRLWVHVSNDSRNLGIWRGESFLGTGETAVQRVVLREYLINDRYRRLLLVIDNVWEENGWNQFLGQDFCRGGDSAACDYTT >ORUFI09G05560.2 pep chromosome:OR_W1943:9:7029520:7034870:1 gene:ORUFI09G05560 transcript:ORUFI09G05560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHTSIPCELKLKLRLKPWDIASNFEVNEEAKAAIVSGITKEVITSLKTSAVNEIAKLVCVKKEIKNLTVTFDDICAQIRGADQIVAHSEATNYRLKLLREYAYEAENIIDLFKGGRKQFDGGDGGRMRAKEVGVRYKAANDIQELNKKLERITPTLLQELCREDRQSNITTPQHDEFITIGRNIANECDNLFRLLRGNQAGQCLFAIVGAVGVGKTTLAQKIYHDTRNNFRTRLWVHVSNDSRNLGIWRGESFLGTGETAVQRVVLREYLINDRYRRLLLVIDNVWEENGWNQFLGQDFCRGGDSAACDYTT >ORUFI09G05560.3 pep chromosome:OR_W1943:9:7029520:7034870:1 gene:ORUFI09G05560 transcript:ORUFI09G05560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCHTSIPCELKLKLRLKPWDIASNFEVNEEAKAAIVSGITKEVITSLKTSAVNEIAKLVCVKKEIKNLTVTFDDICAQIRGADQIVAHSEATNYRLKLLREYAYEAENIIDLFIGVRYKAANDIQELNKKLERITPTLLQELCREDRQSNITTPQHDEFITIGRNIANECDNLFRLLRGNQAGQCLFAIVGAVGVGKTTLAQKIYHDTRNNFRTRLWVHVSNDSRNLGIWRGESFLGTGETAVQRVVLREYLINDRYRRLLLVIDNVWEENGWNQFLGQDFCRGGDSAACDYTT >ORUFI09G05570.1 pep chromosome:OR_W1943:9:7034887:7037161:1 gene:ORUFI09G05570 transcript:ORUFI09G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAHCHRIRRLSEDDGWLLLRTTANLRETEATGNIQDVGRRIVQKCSGLLVAVRTIGYHLRGKTLEDEWESVYLEDFVATYPEIRNSIDASYMKLSYRLKRCFLYCSLYPEGNVIEKQCIMQQWIAEGFFSEVPLQVQEEEAERCYQELIDRCLLLPEDEAHGVTGAKMLNLFRSFAIYRSQDENYVSNPRNIGRNFKPWRLCVTNGGRVEDIPDDATSLRSLFLFGSPQINGKSLEFIFSKLTSLRVLDLRHTQVDNISTYLKKLHKLKQLRYLNLSNTRISSIPASIGSLTMLQFLILKNCPLLESLPRCVGHLKKLRSLDISGTPMLNVIQFNLLELTELNCLQGFVPTTSVQQNNNGDGWKFEEVRPLGNLRNLQMVKLERASSSRGDLGQLNLHEKPNLKELELCCSSADPQNRDRDAEHIKAVFEALKPAQCLVSLKIANYYGDQFPSWFSNSHLTVLQRLTLDLDDCLPSWDLPPLGQMMNLKFLKITASNLLPDANNRQLRGEPRNGKAFPHLEQLVLGKMESLAPWSVLQEGDLPLLRVFHLDGCSQLNSIPSWLQSCSKLTSMKIKNIDTQGTRVLNIRRLEDLTISDCPVLAAVDGVPLLCSVHIKEQSAQLPQWLQQKSFVLRRLDIIGTEVLLDRCSSPIAQYGSIIQAAAEHVYAKLVDGSFYFSYNKSTSSFQRSRRCIERLTVDGLHNNAVPPDNWRAWMVYTLYAILVIASSFLFSGLLRPMDETPQHPQ >ORUFI09G05580.1 pep chromosome:OR_W1943:9:7057020:7064773:1 gene:ORUFI09G05580 transcript:ORUFI09G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQTGSVLGTSLRREYPGLVKEYAGPGQRKRKRLAMSWGHYFGEKDHNGMTAGNRVKDEFWSFFTTQEEDMEELDKNIDNYCQARVPKIICQARVDAMKKYYGKGIKGKNASAIELNFEQYMTCKLDWINVDAWKCFCHWWTSDKYKEKRKRGQDARFANEDYAQQQGGSLPFCTIQQNLVYQLGPENASGLDTYRVQMAGFKASLKGSGQIRSEKVKQRINIYCQVYHEERGEEHQPVSSELDGNVVYKAFGGLKHGRFAMGNGVFKKTEVLAAVKHKKSGISGSTNSYNAVVRENAQLRHEVTEQRGMIREQRGMIQEHRGMLKKNPISKFNLHAYRQYMRSLEWTYLRRYFQGGSHKERLSIDVLIIHPFVDLFCGGNPVTKVDGPPPSGRHNLATLVGGAGAGAASAGKECNSNAASRGQYQNFHEIPPDDADFNNLTIYLKSASPAQINFKFWFFCSLQFMMASSLFSYFFGMKSRILSPALPQQSYLSSAKLPSLTDHVNEEVAKLDRTVRRITAVLVDADEREIADETMKLWISELKQVTWEAEGILEDYSYELLRSTTVQEEKNILDRISKVRKFLDEICRDRVDLGLIDQEGLCRKESKISRCTSSLLDPLEVYGREDEKKLIISSLLDGCLTFKKRRLKEHEYETCKAGAVRLISIVAMGGMGKTTLARLVYNDARVQNHFDIQAWVWVSEVFDEVRLTKAAIESVTAKPCDLTELEPLQRQLHEEVKGKKILLVFDDVWNEDTIKWETMKRPFSAVATGSHMIITTRNENVSTIVQAKKVIHLGGLQKDDSWALFCKLSFPDNACRETELGPIGRKIVEKSDGVPLVLKTLGAMLSLDTSLEFWNYVLTSDLWELGPGWDHILPILKLSYYSLPAILKRCFTFLAAFPRGHKFDLEELVHMWCALGFIQEDGVKRMEEIGHLYVNELVRRSFLQNLQLAGSREKFVIVHDLIHDLAKSIGGKEILVKKCCGSSVGGCNTSANNHLRYLAVLVGTTPFYSDNKLVPFTLPVAGHFPLRSLSFQSKWRTYLRSCVRNNLRTFFQVLVQSQWWYNLEGCLLHSPHLKYLRILDVSSSDQIKLGKSVGVLHHLRYLGICQREIPEAICKMYKLQTLRNTYPFDTISLPRNVSALSNLRHLVLPREFPVTIPSGIHRLTKLQSLSTFAVANSGSGAATLDEIKDINTLQGQLCIMDLQNITHDRIWEPRSANLSKKKLTRLELVWNPLPSYKSVPHDEVVLESLQPHNYIRQLVISGFRGLNFCSWLGDRSLFSLQELELCKCYYTDHLPPLGQLPNLKQLKLTSLWKLRSIGPEFYGDCEAPFQCLETLVVQNLVAWEEWWLPENHPHCVFPLLRTIDIRGSHKLVRLPLSNLHALAGITVSSCSKLETIVGLKERCEVTAGNGGLQAGQTNVLPSLRRVKITACPSLEEPLISMLRRQTEIGFSYWEQSPSTSPTDIADFGKTPWKDSGKV >ORUFI09G05590.1 pep chromosome:OR_W1943:9:7071950:7075552:1 gene:ORUFI09G05590 transcript:ORUFI09G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASARGFWGRHRRKILVSLGVAGAGYAAYRYLDSHRRQLVRVEQRALEERAAEEIIKNQLQTHFENVQKISDTTTLPFAMHYLRSRIMEELDISHLTEKLMHGKGESSAPALTPKEKYDTWEKIKILSFTRTVSSIWAMTLLSLYVRVQVTILGRHLYLDFARVTDGAQLQEGSDTFSKSGHKDFLATADYLATYGINALITKMQHAATEILKEKQLKDPMGIDEVLETILQILKQFMGLCEDNSWINYLVPENANVYAQLMAVSSSGFDDSSLLKDVRKLDQLMSETRIVLSRNIMDRSLKKIASVVVEDLAVQIGAPIPPPGLPLAKLLAKVAQLSLPLLEEPDKNKHIQIIRSMPEVELFYTFLYANMPPET >ORUFI09G05600.1 pep chromosome:OR_W1943:9:7076824:7077855:-1 gene:ORUFI09G05600 transcript:ORUFI09G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQYYATSSLVIGYALCSSLLAIINKYAVTKFGYPALLTALQYLTSAGGVWILGKLGFLCHDPFNLENAKKFAPAAIVFYLAIFTNTNLLYHANVDTFIVFRSLTPLLVAIADTAFRKQPCPSKLTFLSLVVILGGAVGYVITDSAFSLTAYSWALAYLVIITTEMVYIKHIVTNLGLNTWGFVLYNNLLSLMMAPFFWFLTGEYKSLFTAIESRGERWFQVDAFVAVALSCVFGLLISFFGFATRKAISATAFTVTGVVNKFLTVAINVLIWDKHSSPFGLICLLFTIAGGVLYQQSVTKKGITAPQHEPESSEQTKDDNEGIELDEEKQSLVPTSKSSNA >ORUFI09G05610.1 pep chromosome:OR_W1943:9:7085657:7091472:-1 gene:ORUFI09G05610 transcript:ORUFI09G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGDKGVMLGTKGSGGGDVGEWLLVLGFGGSIWKGLVHGRLGKRGGDLCAGEIDKRLLELGSCIVQVVMAHQKREATSDNGGGDEEWASKRPKVVGAAAEKEHILTSDASHETNGDEAQGGDASRKENTVSTNPCVSDEKAATNSNVSSGHGVILTSVEADAAEDKGCRHTMEDAWVLLPDASMESPGNLRCAHFAIYDGHGGRLAAEYAQKHLHQNVIAAGLPRELMDVKAAKKAIIEGFRRTDECLLQESTKGNWQDGATAVCVWVLGQTVVVANAGDAKAVLARSTSADGEGAVDDAKSQLKAIVLTREHKAIFPQERARIQKAGGSVGPNGRLQGRIEVSRALGDRQFKKVGLIATPDVHSFEVTRKDHFIVLGCDGLWGVFGPGDAVEFVQNQLKETSSATLAVRRLVKEAVRERRCKDNCTAVLIVFKH >ORUFI09G05620.1 pep chromosome:OR_W1943:9:7095621:7100679:1 gene:ORUFI09G05620 transcript:ORUFI09G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMGAEEARTSRVVVAAAGRSLNPNAKEFVPRWHRHAAAADDDDAARRTKLSADAPEFVYEGFWRGVDGLTGYGYGYGDGHDGAPEELVVVVSERLNPDAPEFTAAASIRRRRSPGSGNGISSTRHWSRRGSRNFSRQGRSAPFSSRVRRAQKEEFVRRTIFVSDIDHTVTEDMLAELFGSYCSVVVDCRICGDHSSGLRFAFIEFQDESDAYAALDLDGYVLGICPLRVSPSKTAIMPVNPSFLPQVNVTDLKYFCEEHFGQVFRLKLLGDDGHPTRIAFIEFAEVDGAINALNSSGIFASGQPIRFNNPYYTG >ORUFI09G05630.1 pep chromosome:OR_W1943:9:7119055:7123977:1 gene:ORUFI09G05630 transcript:ORUFI09G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSIVKKEVGENHDVLRFGFNNSVKGDLAPQHPIQATVHKEAKFWADKKRFGAEAIYGSAFNIRKDLDAQILSKFQRPPGALPSSMLGYEALTGSLDDFGFEDYLNLPQDSDSFHAPDMHHGMEVYARNTFILDLEAIVEAAGCGRGVRLPNLAPSRSDLACPALEADPQATASGEVTTRTWRWQGEDYGSPTRRGDARGRCRQVDAGGTSGSRRLAWTASGGWRDNDKEASLTDGVKVDARANGKEAGLTDGMEVNTVMTGSPMMVVCATRHAETD >ORUFI09G05640.1 pep chromosome:OR_W1943:9:7138328:7142322:-1 gene:ORUFI09G05640 transcript:ORUFI09G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVFFYQGLATHLSAKKSALEQLDGYRLRLRKSEEEFHHKEDERHVVAETLKKANAENSVKTAVESDGEEEDEEDADAGSEEDGSRGYTPSPTPAKSRAGSHVSPT >ORUFI09G05650.1 pep chromosome:OR_W1943:9:7142353:7142844:-1 gene:ORUFI09G05650 transcript:ORUFI09G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRKNKKGKVVQVGHGFLDSEGSDGTPTSSVLRRATFRGRRMSPSPASNAEAETGGSASTPAAGSNVAEDERVDAVPSPIRQHEGKAPAVEASVSDVTLTAPYFVPTDFATRPEITLFMDGVCQVIAPTKGLRLFTELNEFGESCAAVESLFVRVWPIFAC >ORUFI09G05660.1 pep chromosome:OR_W1943:9:7147176:7147430:1 gene:ORUFI09G05660 transcript:ORUFI09G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSANRQGGARPPTRSNDIHTVGLDGERVRCGHLCRQRRRRRRTKFGLEPVEESMLLLDSAIRRLRRDPVAHAILGLEDCFTIA >ORUFI09G05670.1 pep chromosome:OR_W1943:9:7148187:7148690:-1 gene:ORUFI09G05670 transcript:ORUFI09G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWFDHRHHRPETGGLCSRGRRVSSKDWVTVLASISQCEAKNATSCVVPREDYSPSFFTTEVHSDDTAAYDQYVMSCPETTLTGLSGGRLLAKMLAGGPHNALGRSAPGKTRAAKTPSPLLSRPLLSPPHRRQSSR >ORUFI09G05680.1 pep chromosome:OR_W1943:9:7148716:7150380:-1 gene:ORUFI09G05680 transcript:ORUFI09G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSLLPFFFLLFLILHATKLDADSDQFICNGFKDTDLSLNGEASVTRGLLNLGNIPQKSSHASRSFPSSAGKIPSFSTSFVFVISSDYANRSANGFALVISTNIGSQNNLQGEPIQAWVEYDSKAKTVNVTLAPYLMDKPRRPLISLSDSSLISMISQDNQLASIGFSSATGPTHSGHYILGWSFTTDGEAQPLNHSALPLEVAHDFAKQDNLKPPNNQRQEQDRCQLPNHNILAIVILSVLVAMSVLVAVIVVLLCRKKKAGKCEDWEAKCGPRSFRLLPIKKELLLVYDYMPNGSLDKYLHDQDNTPTIGWAMRLGIIKGITSGLFYLHEDWEHVVIHRDIKTSNVLLDTDMNGRLGDFGLARLHDHGADAHTTHFAGTWGYIAPELSRLGKATKATDVFALQGVLMTTL >ORUFI09G05690.1 pep chromosome:OR_W1943:9:7151299:7156158:-1 gene:ORUFI09G05690 transcript:ORUFI09G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMSAAGGGGGVGKVERLSSIDAQLRQLVPAKLSEDDKLIEYDALLLDRFLDVLHGLHGDDLKDLVQECYEVAAEYETKHDVQKLDELGNMITSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSAMTESDIEETLKRLVFDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYCSQIEDLMFELSMWRCNEELRSRADDLHRSSKKDAKHYIEFWKKVPLNEPYRVILSDVRDKLYNTRERSRELLSSGYCDIPEEATLTNVEQLLEPLELCYRSLCACGDRAIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEERRQEWLLSELNGKRPLFGPDLPRTDEVADVLDTFHVIAELPADSFGAYVISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLESAPAALTRLFSISWYRQRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEQLVKVAKDFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTINGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPSAPKPEWRALLDEMAVVATKEYRSVVFQEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHALQKDIRNLHMLQEMYNEWPFFRVTLDLIEMVFAKGNPGIAALYDKLLVSEDLQPLGEKLRANYVETQKLLLQVAGHRDLLEGDPYLKQRLRLRDAYITTLNVCQAYTLKRIRDPDYHVTLRPHLSKEVMDGSKPAAELVKLNPGSEYAPGLEDTLILTMKGIAAGLQNTG >ORUFI09G05700.1 pep chromosome:OR_W1943:9:7168514:7175628:-1 gene:ORUFI09G05700 transcript:ORUFI09G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGHHHHLAEVALLASVLAVVPVAGVGGGVTVRPALGPDDGRISAVEWVPLVGEDAEEAGGEGVAVAVGTDAGWLLFYSLAGDLLHKQSIYPSKILKLNFRERKENAWEDSGSDELSVVFPGVIARFDGADLQNMLQKSLHEVKSHLWKDKSEQEDAEEDSSFGRIPFQIWNVSKFSSCADAAIVGLMPPPLLELQSSQRHYCAITVGEDAVVSAYRLSEDRSRSIVGAILSRGVAATFSTISSLSKIIWRSEPSPTKKSRPKPQSFAKTSPLTCLKDSPRKGERLTLSPSGTLAAITDSLGRILLLDTHALVAVRLWKGYRDASCLFVEMLLNKDKASSSLHTEYTKSDYCLCLAIHAPRKGIIEIWQMRTGARLLTIPCPKGSRILQPSTRFMSSPFSSLYSPLEVYLFNGTNMVSVSANRTCCFIVICNLNLIT >ORUFI09G05700.2 pep chromosome:OR_W1943:9:7168514:7175628:-1 gene:ORUFI09G05700 transcript:ORUFI09G05700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGHHHHLAEVALLASASEDLAAVGAGEREGWLDDPAVLPSLAPRARALAVASAARSVLAVVPVAGVGGGVTVRPALGPDDGRISAVEWVPLVGEDAEEAGGEGVAVAVGTDAGWLLFYSLAGDLLHKQSIYPSKILKLNFRERKENAWEDSGSDELSVVFPGVIARFDGADLQNMLQKSLHEVKSHLWKDKSEQEDAEEDSSFGRIPFQIWNVSKFSSCADAAIVGLMPPPLLELQSSQRHYCAITVGEDAVVSAYRLSEDRSRSIVGAILSRGVAATFSTISSLSKIIWRSEPSPTKKSRPKPQSFAKTSPLTCLKDSPRKGERLTLSPSGTLAAITDSLGRILLLDTHALVAVRLWKGYRDASCLFVEMLLNKDKASSSLHTEYTKSDYCLCLAIHAPRKGIIEIWQMRTGARLLTIPCPKGSRILQPSTRFMSSPFSSLYSPLEVYLFNGTNMVSVSANRTCCFIVICNLNLIT >ORUFI09G05710.1 pep chromosome:OR_W1943:9:7175798:7176123:-1 gene:ORUFI09G05710 transcript:ORUFI09G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVPPPWRRRSERRPPTAEAEVGVEAAHRGGVAGVHVGGPIDVVGVAISFGVGDFCVDEEKAQGQIPD >ORUFI09G05720.1 pep chromosome:OR_W1943:9:7180143:7182034:-1 gene:ORUFI09G05720 transcript:ORUFI09G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQKLYEVCKVSLSANGSLSPEAVDSVCSVLDNVMPSDVGLETEAQSVRSWRSPRVLNRKAIGIFCIPASSIIPLHNHPGMTVFSKLLYGTVHVKSYDWVEDTTQLLKLSKVRPAKIVRDGEMSAPCGAMVIQPKDGGNIHAFKAITPCAILDILSPPYSSEDGRHCSYFRRCRKADPSGILSNRSREPEFVWLEEHQPPNSFVIRRDLYKGPALNL >ORUFI09G05730.1 pep chromosome:OR_W1943:9:7182127:7184717:-1 gene:ORUFI09G05730 transcript:ORUFI09G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPVEVKAKPPMNTCFEKWKYFYFLVAEAEYKKRKAKRKSFNITSGATPFICQEDASSQVQKKGNHHRSPLSWGELPGHSSSSPLIAPSISQGDQA >ORUFI09G05740.1 pep chromosome:OR_W1943:9:7230036:7230307:-1 gene:ORUFI09G05740 transcript:ORUFI09G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGAGQMWLDVAGRRRPIPECRRGEIRWPRKGEIRTGGRCSHLVKSIGKPRPGRNVGEIGDAKSSLSNTRSGSSKG >ORUFI09G05750.1 pep chromosome:OR_W1943:9:7230127:7230504:1 gene:ORUFI09G05750 transcript:ORUFI09G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTRWLQRPPVLPELPDEVAAVVTGSRPSSATGSRHADTQGWDADARPHLATSGRRPAASSRISARRHLAARPRKKGEREKGGRRRESTGGGGRRREAEEKRIRPMGVGSEQGGGYDGDFFNHL >ORUFI09G05760.1 pep chromosome:OR_W1943:9:7246287:7251902:1 gene:ORUFI09G05760 transcript:ORUFI09G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEGGDDAPRRDQQSTSGMVAWLAAASAGTPCNDRNYVAAGVLRRSSESNQWVCIPHFPSGASRTSSRGCSHSLVAGGAATTTTTMGHSGGGGVRDYIIAKCTCSHWFGLLWCGRRDDCYTRTHTRVPVDKR >ORUFI09G05770.1 pep chromosome:OR_W1943:9:7264715:7275198:-1 gene:ORUFI09G05770 transcript:ORUFI09G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLSSNSNMAVTEKRGKETEADHHAAAWTSHPAGHTTGASATFSFSSGSDLFASFGSGSGGLGGRQPGAAGSMACARWRATGPCPDPAEAPAPNPDLAAVAVPCQDAAAVVAGTAVTAGGRARTVGRRRIRQQR >ORUFI09G05780.1 pep chromosome:OR_W1943:9:7292336:7296302:1 gene:ORUFI09G05780 transcript:ORUFI09G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGLLKAATSGVKPALHDPSLLLGRTVQGNTCLHIASAHGHEEFCKDILMLNPSLLCTVNADGETPLLATVKSGNVALASFLLSYYCRRHDDLDTREAMVRQDKQGCNALHHTIRRGHRKLAFELIEKEPALTKAVNKHDESPMFIAVMRNFTDVFDKLLEVPDSAHGGTSGYNALHAAFRNNNTDIAKKIIETRPKLAREENSARVNPMQFGVLENKIDVLKVLLEHDFSLGYIISTSGIPLLGSAAYQGHVGVAMEILKHCPDAPFLVENDGTTCLHIAVQKGHIKFVEFVLQSKELRKLINMRDRNGETALHYAIRKCHPKIVALLLQCKAQDVTVLDSNGNPPIWVPNDAADHAKTLNWSEVSMRMLKADPEDKGEIYNLIKTIKDQVTEKARKDIRTLTQTYTSNTSLVAILLATITFAAAFTLPGGHSNNAGSEGLPNMGRKLAFQAFLISDT >ORUFI09G05790.1 pep chromosome:OR_W1943:9:7302198:7303064:-1 gene:ORUFI09G05790 transcript:ORUFI09G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTWLMYNKEAHVMYLEEAKHKFTFGHCWNAVWDQPKWKEYISSFSAKRVMRSESGGYVSSSEDSEDMQEGKCLVDPLDMLPKNHEDMTEVQPSVSNQKKQLELLTADASWPIEFQLGRHQLMTGTSKLNEHQQGVAVRDEMLEKESGPQDFEVLDNERVAREDEPKKETQPHQGFKARKVNRKRKGKASSSSCEVQEDIKHALYLQTMLNNDREKMSEVQLRLSKEQLELARIKQDEANVKKETALYMKYTELLLADTSRFDEFQKAEYEKALKHIGGILFSKDVN >ORUFI09G05800.1 pep chromosome:OR_W1943:9:7313067:7314866:1 gene:ORUFI09G05800 transcript:ORUFI09G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRVPILIPSQGRQAWPCTSTRSARLSIIIELGIEACCNCFLPICVAYDAVTRPIELEAAAGAIHPLSSWRDAAFFRCSERRNKQHRHAAAAAVARLAPGWLRELLCDRCANEQSKAYNNHGKTLKS >ORUFI09G05810.1 pep chromosome:OR_W1943:9:7317299:7318098:1 gene:ORUFI09G05810 transcript:ORUFI09G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVAVRTVAVVNVAESNREGRDVTQGLPDPGTTRDERTQQCCQYRAARQETTMNHTLMSEETSREGLRDEWRQWQLGDAAAMLEVDEEDPERPTMTSADDEVVDARTAMKTTKAAKLRSERTTQLQLHVDVAARSPLVM >ORUFI09G05820.1 pep chromosome:OR_W1943:9:7336139:7338535:-1 gene:ORUFI09G05820 transcript:ORUFI09G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEFSKIQKEGYGKDRANFKRMRGIEISMHVGTKNIAWPAIFRVHYHLRTIEFQIFNAGKNMSFREA >ORUFI09G05830.1 pep chromosome:OR_W1943:9:7353106:7353444:-1 gene:ORUFI09G05830 transcript:ORUFI09G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCSALTVAALRGHAGDGARARGQVVPAQLGGIGAQARAAGLGGATTPGARLLQADFRRLDRMAPAPARRGSSRRCGRSSHVTHPALSPMATPNNNVVAGMSGSKGGSSTP >ORUFI09G05840.1 pep chromosome:OR_W1943:9:7358780:7359153:1 gene:ORUFI09G05840 transcript:ORUFI09G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKLNGKNFQEWELSVYMLRMLIGQACQLADDPPDDRTDATKLSGQVQVVHNARRERRTTRKIYCTNLSCNFPPNLSPFKSS >ORUFI09G05850.1 pep chromosome:OR_W1943:9:7383091:7384286:-1 gene:ORUFI09G05850 transcript:ORUFI09G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEEDDSEGDPIVEIEMGTGPRRFPYHILVNATKSFAAEEKLGQGGFGAVYRGNLRELGIDVAIKRFAKDSSKQGRKEYKSEIKVISRLRHRNLVQLIGWCHGRNELLLVYELVPNRSLDVHLHGNGTFLTWPMRINIVLGLGNALLYLHEEWEQCVVHRDIKPSNVMLDESFNTKLGDFGLARLIDHAIGAQTMTHPSGTPGYVDPECVITGKASAESDVYSFGIVLLEVACGRRPMNLLDDQNNGLFRLVEWVWDLYGQGAVLKAADERLNGDYDATDMECVLVVGLWCAHPDRCARPSIRVAMAVLQSNGPLPMLPTKMPVPTYGPPVASSEGQHSSSTGISSSSLTQTSITPR >ORUFI09G05860.1 pep chromosome:OR_W1943:9:7403104:7420024:-1 gene:ORUFI09G05860 transcript:ORUFI09G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSPLLSTVRSRRRVNLAVHVSRCAGPSMPLLFGCLDNEVDAGKAVLAASLPATKKPPWELYAFHRDVRVALNPDVKSVALRTPRLDAYSGQSLTIPSTSTTRRTLALGFTVQQVLSASKELIWTQMATLKPWEILRRKARHN >ORUFI09G05870.1 pep chromosome:OR_W1943:9:7440400:7442239:-1 gene:ORUFI09G05870 transcript:ORUFI09G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFFLQLLLLSVGTVMLLQLVKVVVLRSTRPRTPPGPWKLPVIGSMHHLVNVLPHRALRDLAGVHGPLMMLQLGGTPLVVASSKETARAVLKTHDANFATRPRLLAGEIIGYGWADILFSPSGPYWRKLRQLCAAEILSPKRVLSFRHIREDEVTMRVEEIRAAISGAPSTPLNLTVLLHSMTNNVVSRAAFGKKRKNAPEFMAAIKAGVGLSSGFKIPDLFPTWTTVLAAVTGMKRSLRGIHKTVDAILQEIIEERRGVRDEKIRSSDAESADENLVDVLIALQEKGGFGFHLDDNKIKAIILDMFAGGTGTSASAMEWAMSELILNPAVMKKLQGQIRETFKGKVVITESDLQVSNLGYLKLVIKETLRLHPPAPLLTPRESINTCELEGYMIPTKTRVIVNAWAIGRDPKYWDDAEEFKPERFADGTIDYMGSNYEFLPFGSGRRMCPGFNYGLASMELALVSMLYHFDWSLPEGVKEIDMEEAPGLGVRRRSPLMLCATPFVPAIVSAN >ORUFI09G05890.1 pep chromosome:OR_W1943:9:7590429:7596055:1 gene:ORUFI09G05890 transcript:ORUFI09G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILICANAPPHLALLDRRRWSPAWDRRRPDHHTHKEQSKGIVYCKAKETQETVDRRSGGKDEPRDMEEMVNTIRVMLRTMGDGEISASAYDTAWVALVKNHNGSDSPQFPSTIDWISHNQLPDGSWGDDLCFLVHDRLLNTLACVIALMEWKVHGDKREKGLSFIRENIWRLAQEEEAWMPVGFEITFPSLLEIAKDLALDIPYDDPALHKIYAQRELKLKKIPREILHSLPTSLLLSIEGLRGLDWKRLLKLQLSDGSFLSSPAATAYVLMQTGDKKCLEFLDGIVSKFHGGVPFLYPIEIFERVWAVDRLERLGISYYFKTEIDEYCLEYTFRHWTKEGVTYNWHSSVKDIDTGSMAFRLLRLHGYSVSPNFGWMEPGSWVWWLG >ORUFI09G05900.1 pep chromosome:OR_W1943:9:7599729:7605092:1 gene:ORUFI09G05900 transcript:ORUFI09G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAEMCGSKNATTIHDIADGVKDGGGDGTQDLPSRMRSRTETVVVAPEPSHADGVKDSGGGGGCMFRVLPSWIGSRIVTTAGAPEPSHVDGVEDGCSRASYYRWWYAENDLEEGPDLQKAEELDRQVDMEMQKLALLVLQNYNAADRLSNRTFLDVVKSFCYIAHCSPETVDRHIFMVMFDNLIR >ORUFI09G05910.1 pep chromosome:OR_W1943:9:7614140:7615802:-1 gene:ORUFI09G05910 transcript:ORUFI09G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRGIAKEHQCEGGDCLIVLHWLRKATGVNGNSDPGNGDDDVDDLGDDKKDDKQKDKKGDDSAEGELMTLMDLDLKHGEMEVGAQAGKEEKCGIPTDSDIEKMRSEEDH >ORUFI09G05920.1 pep chromosome:OR_W1943:9:7644286:7644849:1 gene:ORUFI09G05920 transcript:ORUFI09G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLENETSPVFFVPDSVQGKIQEVLLKNQLLRQLAERVQGPPAVPLALAPFTALLLPRRNAIYDSLPPVFHSSQLPVVVVQHEDHEDDMDHDMGPLGQDEILDVQLLAISEPLDQAQPKSPPRTGPVPLLLEPPRAPIKKKDGKTVLFDPNRRQSARMRSSSQELTQPDPRMGIGKPRGKSAKKLK >ORUFI09G05930.1 pep chromosome:OR_W1943:9:7650905:7654018:1 gene:ORUFI09G05930 transcript:ORUFI09G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVYKAISLMHRWKVLLPKERDREALNMLLEKLKAKIDSLHPMNVRIETDMHGRENSF >ORUFI09G05940.1 pep chromosome:OR_W1943:9:7683495:7687405:1 gene:ORUFI09G05940 transcript:ORUFI09G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIACQASNRKISLSNRAMAEFFQLWDEIQMVQLQPGIATTPFGGKTFRLSKQIHQEDTLASDVVYYTSKRLLVNNVIH >ORUFI09G05940.2 pep chromosome:OR_W1943:9:7683495:7687990:1 gene:ORUFI09G05940 transcript:ORUFI09G05940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIACQASNRKISLSNRAMAEFFQLWDEIQMVQLQPGIATTPFGGKTFRLSKQIHQEDTLASDVVYYTSKRLLN >ORUFI09G05950.1 pep chromosome:OR_W1943:9:7702415:7707417:1 gene:ORUFI09G05950 transcript:ORUFI09G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEDMVHHPPMDQLQGFEYCIDSNPSWGEAIALGFQHYILSLGTAVMIPTMLVPLMGGNDHDKARVVQTLLFVTGIKTLLQTLFGTRLPTIIGGSYAFVVPILSIIRDPSLAQIADGHTRFVQTMRAIQGSLIVSSSIQIILGYSQLWAICSRFFSPLGMVPVVALVGLGLFERGFPVIGRCVEIGLPMLILFVALSQYLKHVNVRHVPVLERFSLLICVALVWVYAHILTASGAYKHTALLTQFSCRTDRANLISSALWISIPFPLQWGAPTFSANHAFGMMAAVVVSLIETTGAFMAAARLASATPPPAYVLSRGIGWQGIGTLLDGLFGTGTGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSMLGKFGALFASIPFPIFAAIYCVMFGIVAAVGLSFLQFTNMNSMRNLFIVGVSLFLGLSIPEYFSRYTTSAQQGPAHTKAGWFNDYINSVFSSPPTVALIVAVLLDNTLDVREAARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >ORUFI09G05960.1 pep chromosome:OR_W1943:9:7712810:7715039:1 gene:ORUFI09G05960 transcript:ORUFI09G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSMKAQRTTSFGSRQKMVNTRTPLRMQHILQKNATSQNRSGTKSALGKVTGIYTRTPNQFQTGWKHFLPSGQKACFLNGSMSIIQWINVELGYLPWMLPHVQSKNDNDNGGGDGCEAAPRREEKRPRRRPCGRLTTTSAPSSSIRSCHRGRWTGEETAAAEVGVGAPQPRRDSAGRELSRAGRGGGQGGSAARWGEQGEDVIDAVGRTGRSGAVVEGSWEVVRRTDSTVGGLHGLPRRPFPVAAAQLLPRWLPPAPSFSPGGGDVGGRAAPPPDSGLPHRPAALPTAELRSKGREKEEEGGREGRGRRG >ORUFI09G05970.1 pep chromosome:OR_W1943:9:7716184:7717280:1 gene:ORUFI09G05970 transcript:ORUFI09G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGEQPPESPKEANAAPVAAKLAMASGRDGCERLKDLLLMAARNGACQSLESLLLGHEVRCQTLPESHLIIYLPEPDEGAPTTDPIEDQAEGSSATDQQASGAVYSLSLLDGLTLDSDENSVLHVVAASGDSQAYVERARMVYDQARHLLGAANNNGDTPLHCAAAAGNHSSRWLLLRLRVTAAELLARRKNKVGETALHGAVRAGHSKVVEVLMKEDPGLAGVDRHDGTSPLYLAVSLGRFEIAWDLLDMSSRKLSYSGPDGQNVLHVAVQHPQDQLNPTHYIFNNAQHR >ORUFI09G05980.1 pep chromosome:OR_W1943:9:7733921:7736543:1 gene:ORUFI09G05980 transcript:ORUFI09G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSHGDTALHLAVKAGVCLNLSNEDGLTPRDLSWIMIPARLYSKKNPRYMISQLLALSGGTVGYSRQDHFFEKYSKKRDEVIDSNDMTSAAQVLGISSALIATVTFAAAFTLPGGYRADEHTDGGTPTLAGSYPFDAFIISNSLAFICSLLATVSLLYSGIQSRDISIRRRYYAFSMLLMQSSTTSFTVAFAMGMYLVLAPVTLNAAVSVCIIAFVSLLPGNMEIGVSLAIANTLRIRLGIWAAMSQARPVLLFTWKRVWSCIIIFGLPGLMKIHRTKMA >ORUFI09G05990.1 pep chromosome:OR_W1943:9:7757258:7761072:-1 gene:ORUFI09G05990 transcript:ORUFI09G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTASLHPCVCKASPAFRPASSLGARTQPKSTATNPKRPLFQELQRRLSFRIDEASKALETAKQGLLDALVDSTFKFSDQPMLPSENNFAPVNEISEAIEILQIEGEIPEDFPAGSNPLFGALHSTVSIFGKSSEIWVEGEGMLHAIYFTKNSSDTWSVSYANRYVQSETLKIEKTRQKPCFLPAIMGDSAAIVAAYILNYMRFGKVNKNISNTNVFEHAGKVYAVSENHLPQEISIQNLDTGDSWDINGEWKRPFTAHPKVAPGSGELVIFGSDAKRPFLMVGVVSADGTQLKHKVDLKLDRCILCHDIGVTVKYNIIMDIPLTIDISRLIRGNQLIKFEKDSYARIGVMPRYGDAESVMWFDVEPFCMFHFINCFEEGDEVVIRGFRAADSIIPGPRISLNKNDLLSDPSKCSVKQGINEEFFSRLYQWRLNTKTKAVSGQYLSGTEFSMEFPVINDHYTGLHHSYAYAQVVDSLESSYGVNEKVILKYGGLAKLCLEEADNVIAEVHIIDAQTFEGAPVAKIVLPQRVPYGFHGTFRSSLANTMT >ORUFI09G06000.1 pep chromosome:OR_W1943:9:7766960:7784682:1 gene:ORUFI09G06000 transcript:ORUFI09G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDGKLDLLLKTIEENEKRRVEAEERSRADLMELKKNLEVRIPLVEKKVDELGGTFQDLNKKVDLLESSITKKYEEIPSGIPLQGSPSQDTSWLVGFDTKAPDVDKMEYGEVVMDSASLGTAHEEVEYLNTVIDNPAAYNRPPREGLAAASKWQCELDGIAALAVGHRRCPDDLRNKPCSENKPCRYSRREIWE >ORUFI09G06010.1 pep chromosome:OR_W1943:9:7825337:7827245:-1 gene:ORUFI09G06010 transcript:ORUFI09G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEEVCSGESGRVFAAGLNDFGQLGIGSSVTHSLPTFAQEAKAGWTYIRIAIFTPVKFLLCANHFPVQLQVRTGTY >ORUFI09G06020.1 pep chromosome:OR_W1943:9:7828180:7828499:-1 gene:ORUFI09G06020 transcript:ORUFI09G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAADPVATVAGGVASATDPTTDQEATVSALGRAVLVDGSMMVCAVLGMFLDLWWCGCNEERLDVSA >ORUFI09G06030.1 pep chromosome:OR_W1943:9:7856075:7860479:1 gene:ORUFI09G06030 transcript:ORUFI09G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLFKIKGQKKEEAANTNGRPPAKKQSPGELRLHKDIAELNLPKKTNITFPNGKDDLMNIEITLRPDEGYYVGGAFVFTLQVPPTYPHEPPKVKCTTKVYHPNIDLDGNVCLNILREDWKPVLNINTIVYGLNLLFIQPNDEDPLNHDAAAVLRDDPQKFRRNVQTAMSGGYVDRVHFPRCK >ORUFI09G06040.1 pep chromosome:OR_W1943:9:7864595:7867899:-1 gene:ORUFI09G06040 transcript:ORUFI09G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFGGGGGEAIAGRAEQYEGKITGYFILACIVGSFGGSLFGYDLGVSSGVTAMDDFLIKFFPEVYARKSAHLHETDYCKYDNQVLTLFTSSLYFAGLVSTFAASHLTRRRGRRATIMVGAVSFFLGGAVNAAAANVAMLIAGRLLLGVGIGFGNQAVPLYLSEIAPYNIRGAVNQLFQLTTCLGILVADVINYFTDKIHPWGWRLSLGLAMGPATAIFVGALFLPETPNSLVEMGRLEEARRVLEKVRGTRKVDAEFEDLREASEAARAVRGTFRSLLAARNRPQLIIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGNSAALYSSIITGSMLVVGALVSMVVVDRLGRRFLFIEAGIQMISSMVVVAVILALKFGHGEELSKGVGTVLVVAICLFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAAMCHLRWGVFILFAALIVVMSIFVILLLPETKQVPIEEIWMLFDKHWYWKRIVRKDPKYQGHHHHQMAAMPTAAAKSGSSEV >ORUFI09G06050.1 pep chromosome:OR_W1943:9:7877308:7880803:-1 gene:ORUFI09G06050 transcript:ORUFI09G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASSFSAAAAAAARRSSPSHLRLLARRHLPFSSTVSFSAAAPPAAAASFGWEDALRVAADDRRGDESDLSGYFRKVDTCNRGMDKKGEFVEFMVEDQVVGYIHQGFVEHLRDFRDVFTIASGSNGNNNVEHVTLHSSLRTPDERTNAVGSVIRSLGDLIPGIRNELYPITSSYGMPVYFSLERAAAPFFGIKAYGVHMNGYVEKESQKFLWIAKRSDTKQTFPGMLDHLVAGGLPYGISCEENVIKECEEEAGIPRSISSNATSVGAISYMDIEGFRYKRDVLFCYDLKLPPDFVPNNEDGEVDSFRLIPIPHAANIIRRTHFFKPNCNLVIIDFLFRHGYHVYKPRLPWLPKAAAKLEER >ORUFI09G06050.2 pep chromosome:OR_W1943:9:7877308:7880803:-1 gene:ORUFI09G06050 transcript:ORUFI09G06050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASSFSAAAAAAARRSSPSHLRLLARRHLPFSSTVSFSAAAPPAAAASFGWEDALRVAADDRRGDESDLSGYFRKVDTCNRGMDKKGEFVEFMVEDQVVGYIHQGFVEHLRDFRDVFTIASGSNGNNNVEHVTLHSSLRTPDERTNAVGSVIRSLGDLIPGIRNEAYGVHMNGYVEKESQKFLWIAKRSDTKQTFPGMLDHLVAGGLPYGISCEENVIKECEEEAGIPRSISSNATSVGAISYMDIEGFRYKRDVLFCYDLKLPPDFVPNNEDGEVDSFRLIPIPHAANIIRRTHFFKPNCNLVIIDFLFRHGLPWLPKAAAKLEER >ORUFI09G06060.1 pep chromosome:OR_W1943:9:7894408:7902264:-1 gene:ORUFI09G06060 transcript:ORUFI09G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGSGGAWRPRRGSLSAAAASRLLRVEGHDRRGRAVDAAGNSSRCRVPTLIYPRRGGDRDDSLDFSPSGRRSPVTISANANEATSHGDRKIGNACGCCESSWQYYKKLFMAPNSGNIVGTYSTGDIVIPSPKYIFNKSSTCNSVRLDMTPSGIVFMLVPCRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSCIFSSLFQLKSRYGKWDACFFWVKNLPEVIHQQKETSFLKEFRNPGNKFCVTVVLVYPQPPCNLFPDPLPCFMGRDHSAVPISSLDLSSNVVSPGDQIIRMRDEILHIPTDVEGVSCLLQQTIHGCADRRSN >ORUFI09G06060.2 pep chromosome:OR_W1943:9:7894408:7902264:-1 gene:ORUFI09G06060 transcript:ORUFI09G06060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGSGGAWRPRRGSLSAAAASRLLRVEGHDRRGRAVDAAGNSSRCRVPTLIYPRRGGDRDDSLDFSPSGRRSPVTISANANEATSHGDRKIGNACGCCESSWQYYKKLFMAPNSGNIVGTYSTGDIVIPSPKYIFNKSSTCNSVRLDMTPSGIVFMLVPCRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSCIFSSLFQLKSREPGTLHSVQPLPCFMGRDHSAVPISSLDLSSNVVSPGDQIIRMRDEILHIPTDVEGVSCLLQQTIHGCADRRSN >ORUFI09G06060.3 pep chromosome:OR_W1943:9:7894408:7902264:-1 gene:ORUFI09G06060 transcript:ORUFI09G06060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGSGGAWRPRRGSLSAAAASRLLRVEGHDRRGRAVDAAGNSSRCRVPTLIYPRRGGDRDDSLDFSPSGRRSPVTISANANEATSHGDRKIGNACGCCESSWQYYKKGKWDACFFWVKNLPEVIHQQKETSFLKEFRNPGNKFCVTVVLVYPQPPCNLFPDPLPCFMGRDHSAVPISSLDLSSNVVSPGDQIIRMRDEILHIPTDVEGVSCLLQQTIHGCADRRSN >ORUFI09G06060.4 pep chromosome:OR_W1943:9:7894408:7902264:-1 gene:ORUFI09G06060 transcript:ORUFI09G06060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGSGGAWRPRRGSLSAAAASRLLRVEGHDRRGRAVDAAGNSSRCRVPTLIYPRRGGDRDDSLDFSPSGRRSPVTGKLEMPVVAASLAGNTIKRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSCIFSSLFQLKSREPGTLHSVQPLPCFMGRDHSAVPISSLDLSSNVVSPGDQIIRMRDEILHIPTDVEGVSCLLQQTIHGCADRRSN >ORUFI09G06060.5 pep chromosome:OR_W1943:9:7898335:7902264:-1 gene:ORUFI09G06060 transcript:ORUFI09G06060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGSGGAWRPRRGSLSAAAASRLLRVEGHDRRGRAVDAAGNSSRCRVPTLIYPRRGGDRDDSLDFSPSGRRSPVTISANANEATSHGDRKIGNACGCCESSWQYYKKLFMAPNSGNIVGTYSTGDIVIPSPKYIFNKSSTCNSVRLDMTPSGIVFMLVPCRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSCIFSSLFQLKSRYVTAMNL >ORUFI09G06060.6 pep chromosome:OR_W1943:9:7897221:7902264:-1 gene:ORUFI09G06060 transcript:ORUFI09G06060.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGSGGAWRPRRGSLSAAAASRLLRVEGHDRRGRAVDAAGNSSRCRVPTLIYPRRGGDRDDSLDFSPSGRRSPVTGKLEMPVVAASLAGNTIKRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSCIFSSLFQLKSRGNGMPASFGSRISLRSSTNRRKLLFSRNFEILVTSFASRSSWFIPSLLAICSLMFLEAVTKMTN >ORUFI09G06060.7 pep chromosome:OR_W1943:9:7900010:7902264:-1 gene:ORUFI09G06060 transcript:ORUFI09G06060.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGSGGAWRPRRGSLSAAAASRLLRVEGHDRRGRAVDAAGNSSRCRVPTLIYPRRGGDRDDSLDFSPSGRRSPVTISANANEATSHGDRKIGNACGCCESSWQYYKKVNCETCFFFFCISRNF >ORUFI09G06060.8 pep chromosome:OR_W1943:9:7894408:7896738:-1 gene:ORUFI09G06060 transcript:ORUFI09G06060.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIELIEPGTLHSVQPLPCFMGRDHSAVPISSLDLSSNVVSPGDQIIRMRDEILHIPTDVEGVSCLLQQTIHGCADRRSN >ORUFI09G06070.1 pep chromosome:OR_W1943:9:7894437:7901111:1 gene:ORUFI09G06070 transcript:ORUFI09G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLKQAAYPFNIRRNVQDLITHTDDLVARRHDIARQIEAADRDGGMIPTHEARQWLDRVESARLSADTIRGRYEQRCRMFGGCSLNLWSNYRISKRAAERLAIVRSYEVVPSPITIDPPALAAVNIPIESVQIHSQESILEEALRCITEGPSAIIGICGPGGVGKTHLLKRINNNFVGDSTFRLVIFVTATRGCSVQTIQTQIMERINLNRDGDSVTRANRIVRFLKAKSFLLLVDDLWGGELEMGSVGIPYPLKNEGQLKQKVVITTRSPTICELMNVTTHVKVEVLEDDEARELFMEYNGHKGLYSDPHIGDLAKELVKELKGVASQLIHFGKEMRGRKDPKRWEDAIFVVKTSDTTHLQDEDQLSLKGTIVRNLKVATENMLARSNEVRQKIEIAERNGKTPTNGVISWLRRVDSITSSAEIICGQHQLNLDVSQSAAEKLHEVQECLDNQPSDIVVDVLQTPTEYIPIQSFELRSQNIVLQDALRYIADDSVEMIGIRGAAGVGKTHILKKINNSFHEHSDFQFVIFVTASRNIREQIARRLGINQDDRDAKLVTRISKFLEKRSFLLLVDDLREILDPKEAGIPFPLRNSSEIRQKVVFTTRSEHICGQMAVSKKIKVTCLEQDEAIYLFRQNVDMGILHSSPRIEELANTLAKELSGLPLALITTARAMSSRHHPTGWEDAIREMHDLFRHKDNPLNMEKGVYQPIKFSYDSLRNDTLKQCFLTCSMWPVDQNIRKDELVQCWMGLGLVDEPNIRSSYNEAYKLICDLEAACLLESGPNNDVKMQNVIRDTALWISHGKWVVHTGRVSSGPFRNAGHFPNIFKISPPEILVEPSPANWDLFNNFHWDKAMCVSLMCNSMTKLPTVRIDQDLSELKILCLQQNSLDANIARVIQRFIAVTYLDLSWNKLENIQEELCSLTNLEYLNLSYNFSISEVPKCLGFLIKLKFLYLQGTNIKTIPDGVISSLTELQVLDLLNMYFGEGITMSPVEYVPTILPELGAINNLKEVDIVIEGSFQYELLSQCCNLPLRLVALRKMEQSCALFRLSESIFQDNLLGTTLNYLEVSDSDMNVIEIFRGAEAPNYCFEALKKIELFNLKMLKHIKCFRLSPHDMFPSLSVLRVSFCDRLKNISCTMYLSKLQHLEVSYCNSITQAFGHNMNKSTVPTFPCLRYLSFAYLDGLEKICDSDVTFPQLETLKFTGCPNLMSLPFKKGTVPLNLRELQLEDVKLWKNLIWEEEGVLDLLEPYLKIKPSV >ORUFI09G06080.1 pep chromosome:OR_W1943:9:7913587:7918047:-1 gene:ORUFI09G06080 transcript:ORUFI09G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEVVAAAAAAAGTSRTVLVTGGAGYIGSHTVLQLLAAGFRVVVADSLGNSSELAVRRVAALAGDKARNLSLHKVDIRDKGGLEKVFSSTRFDAVVHFAGLKAVGESVQKPLLYYDHNVAGTIILLEVMAAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPHNPYGRTKLIAEEICRDIYHSDSEWSIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPFVQQVAVGRRPSLTIFGNDYATKDGTGVRDYIHVVDLAEGHIAALRKLFESSIGCQAYNLGTGKGTSVLEIVNAFEKVSGKKIPLVIGPRRPGDAEILFSSAAKAEREFKWKAKYGIEEMCRDQWNWASKNPFGYASPDSTKQNGHSH >ORUFI09G06090.1 pep chromosome:OR_W1943:9:7920926:7925413:-1 gene:ORUFI09G06090 transcript:ORUFI09G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAHGNPAGDTHPNPNHSPEMRSATGKRAASLSRERDTCSASASASPSRVSRLSPVANPHTAGWRRRRSAKLEINKSKIFMEHATCDDVHEHAINVSHGETASTSTSHQDLHSDSDDSHQDDRPSTSTQTPSPQSSASTSPTAYNTRNLSFPRRDSMYGHGRSIWNSGLWISFELVIYVVQIVAAIFVLVFSRDEHPHAPLFAWIIGYTIGCIASIPLICWRCAHRNRPSEQEPEQPPAAYPNLTSSQSSEGRNQRSSGTVLHFGCITISCPRPSILAYHFKTAVDCFFAVWFVVGNVWIFGGHSTLSDSQEAPNMYRLCLAFLALSCVGYAIPFVMCAAICCCFPCLISLLRLQEDLGHTRGATQELIDALPTYKFKPKRSKMWVDHASSSENLSEGGILGPGTKKERIVSAEDAVCCICLTKYGDDDELRELPCTHFFHVQCVDKWLKINAVCPLCKTEIGGVVRSFFGLPFGRRRVDRMAGRGIASSRFTV >ORUFI09G06100.1 pep chromosome:OR_W1943:9:7928416:7931013:-1 gene:ORUFI09G06100 transcript:ORUFI09G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKTGLLNVEGAHIEASEPRDKRWIRDMARDYLAFDMLLAIERGLHGTENQHPQLKHDWPDFKITEWDYNKVQKLPKQGDCVSCGLYTLKFMEHWTGSYLPKIQLQVDGDSGGVENGASVHGEETVAVVARGGDAPKRTRRAPAEAGGGATTSRDSLDKGAGEEDGDGGGSQAGAETRAEEKEARATRKGFDGGKPPISGEAGRRTSTEGRWRWRRRRGRASAARERTRRRHWTGDGAGQATEAAREMDGGVGGDGVDWRREVLKWRRCDRNRIGIG >ORUFI09G06100.2 pep chromosome:OR_W1943:9:7928416:7931013:-1 gene:ORUFI09G06100 transcript:ORUFI09G06100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKTGLLNVEGAHIEASEPRDKRWIRDMARDYLAFDMRGLHGTENQHPQLKHDWPDFKITEWDYNKVQKLPKQGDCVSCGLYTLKFMEHWTGSYLPKIQLQVDGDSGGVENGASVHGEETVAVVARGGDAPKRTRRAPAEAGGGATTSRDSLDKGAGEEDGDGGGSQAGAETRAEEKEARATRKGFDGGKPPISGEAGRRTSTEGRWRWRRRRGRASAARERTRRRHWTGDGAGQATEAAREMDGGVGGDGVDWRREVLKWRRCDRNRIGIG >ORUFI09G06120.1 pep chromosome:OR_W1943:9:7933981:7934549:1 gene:ORUFI09G06120 transcript:ORUFI09G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTEDDLKELKFLMKGIRKCVRLVLDDKIVENPLWDDYNVQAWKIRIRYNLPNKKDRTSSGLYSIKFMELWTGDSLSKQFYQEDIDSYRRKLAAILYMSPSNKLRN >ORUFI09G06130.1 pep chromosome:OR_W1943:9:7936121:7937392:-1 gene:ORUFI09G06130 transcript:ORUFI09G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSDELVESVLLRLDSTVSLIRAASTCKPWRRIVADAGFLRRFRGLHEPTVAGYYFDSRGESPFATLTMGRQEAAICFRPSPSAAALAIDAGSFSLAFLRDDDVLPSQWSSSWSVADSRGSLVLLRSLAAGHAPFCFPEVVVCEPLTRRHRRILPSPDFGTGCFFYGCYLADGEAAGDSSSSSSIGMSNFRVVYELYRDDGGAGLARAAVFAAGAGGAHSWRETSVGHAIPPFHRMSLMGRAGGSWYFHEGSTMAVLDGSTAEFSSSPFTLQQQPIHPGVYLYIAEGRDGEPRMFTTTGGILTVLIKRARRPAVVAGGEDDVEEWAVEKSVRLSEATRGLPGYDASFFGGGGGPMDVITRGVGFVVLSPRIRTTKEEAAAPARWWFAVDLETAEVERVHDDLGTIQFPCQLPWPPNLRACPA >ORUFI09G06140.1 pep chromosome:OR_W1943:9:7939570:7941294:-1 gene:ORUFI09G06140 transcript:ORUFI09G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKINPKVWPLLEIEIEPSKSATTRSHLAIASSSSSSGYPLSGQAIPDKLTHNNFLLWKAQILAVIRGARMEGYLTSATKSPSVMISIAPEKKSGKTTEIINEEAAEESGVSGDNSAPLLARGGGPDEGVGGSEAQEYELDDVVEEVFNDVLLGHYEMLRGVFFGCFKRRYFVTF >ORUFI09G06150.1 pep chromosome:OR_W1943:9:7942608:7943441:1 gene:ORUFI09G06150 transcript:ORUFI09G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMDLPDDLVELILLRLASTVSLIRAASTCKQWRRIVAAADAGFLRRFRSLHAPAIAGYYYNSEKFTSFAPSPPPASAPAIDDSHFSLDFLHVVQDTVDGSRPQSSSSSWRIMDSRGSLLLLDFAGSHPDDGARSLLVCEPLTRRYQWVVPPSAGRGFGGGGCEFSRAYLADGAEADEAGGRVGMSNFRVVYELYHHRHGVSAAVFTFTTGGGGAQLSWEEKAIGDIAGSSSCMHVLGHAGGSWYLYAPGGKPVVFDGSTAEYSASESELLLDLK >ORUFI09G06160.1 pep chromosome:OR_W1943:9:7950797:7951006:1 gene:ORUFI09G06160 transcript:ORUFI09G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLRRAHRALVYGGDLGGTGKATTVGKWGRGGRLIIGVGAVSLLCDHIHQLACHPMPPAWASLRERGR >ORUFI09G06170.1 pep chromosome:OR_W1943:9:7952392:7954449:-1 gene:ORUFI09G06170 transcript:ORUFI09G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWVWQAHPQHQLLLLLNRDEFHSRPTKAVGWWGEGSKKILGGRDVLGGGTWMGCTKDGRLAFLTNVLEPDAMPGARTRGDLPLRFLQSNKSPLEVATEVAKEADEYNGFNLVLADLTTNVMVYVSNRPKGQPATIQLVSPGLHVLSNARLDSPWQKAIRLGKNFREHLRKHGDDEVEAKDIVERLMTDTTKADKDRLPNTGCDPNWEHGLSSIFIEVQTDQGLYGTRSTAVLSVNYDGEASLYEKYLESGIWKDHTVHYQIE >ORUFI09G06180.1 pep chromosome:OR_W1943:9:7953510:7957201:1 gene:ORUFI09G06180 transcript:ORUFI09G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSTLVRKASLPSFVQPIHVPPPRTSLPPRIFFEPSPHHPTALVGLHQFVRAKSAHSIHQEQHRQSATWMIKQRRRPEGKGDQAEEEPTESLTRLHYCLQAGTAARQHLKTGRGMPDIHKQ >ORUFI09G06180.2 pep chromosome:OR_W1943:9:7953510:7957201:1 gene:ORUFI09G06180 transcript:ORUFI09G06180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSTLVRKASLPSFVQPIHVPPPRTSLPPRIFFEPSPHHPTALVGLHQFVRAKSAHSIHQEQHRQSATWMIKQRRRPEGKGDQAEEEPTESLTRLHYCLQAGTAARQHLKTGIADPYLNFWMKTRALLV >ORUFI09G06180.3 pep chromosome:OR_W1943:9:7954036:7957201:1 gene:ORUFI09G06180 transcript:ORUFI09G06180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQRRRPEGKGDQAEEEPTESLTRLHYCLQAGTAARQHLKTGRGMPDIHKQ >ORUFI09G06180.4 pep chromosome:OR_W1943:9:7954036:7957201:1 gene:ORUFI09G06180 transcript:ORUFI09G06180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQRRRPEGKGDQAEEEPTESLTRLHYCLQAGTAARQHLKTGIADPYLNFWMKTRALLV >ORUFI09G06180.5 pep chromosome:OR_W1943:9:7954036:7954754:1 gene:ORUFI09G06180 transcript:ORUFI09G06180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQRRRPEGKGDQAEEEPTESLTRLHYCLQAGSTLHATVHYSEFPSCVQLPGFILPLHILSPFFNR >ORUFI09G06190.1 pep chromosome:OR_W1943:9:7961702:7962799:1 gene:ORUFI09G06190 transcript:ORUFI09G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARVATAALMVAAAVLLGLAGGGHAQLQNGFYKGKCGANDVEAVVQGIVRARFARDAPIVAYLLRMQFHECAVNGCDGGLLIDGPGTEKTASPNLSVKGTAAQTVAYFGKLGLSAFDAVLLLGAHTVGATHCGVIKDSRLYRYGGRAGATDPALDPYYAFVYKTWNLYGDGSTRWIVDLLANSDLFPSLFPQALIKLGEVNVLTGAQGEIRKVCSKFN >ORUFI09G06200.1 pep chromosome:OR_W1943:9:7967136:7968619:-1 gene:ORUFI09G06200 transcript:ORUFI09G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMTRVATRALGGAPAVLLGLAGAGHAQLQNGFYKGKCGANDVEAVVQGIVRSRFARDAPIVAYLLRMQFHECAVNGCDGGLLIDGPGTEKTASPNLSTASPNLSVKGYDLIADIKAELERRCPGVVSCSDIQILATRDAVALAGGRPYAVRTGRRDRRQSRASDVSRASDVVLPAPDSTAAQSVAFFRKLGLSEFDAVLLLGAHTVGATHCGVIKDSRLYKYGGRAGATDPALDPYYAFVYKTWRRRGVLPCDQNLYGDGASTKWIVNLLANNSDLFPSLFPQALIKLGEVNVITGAQGEIRKVCSKFN >ORUFI09G06210.1 pep chromosome:OR_W1943:9:7970631:7972148:-1 gene:ORUFI09G06210 transcript:ORUFI09G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFPSCPPPLCPVLRFSHPFTYTNIFGNWLRGVSRSIKKMILIGASAFIFMSSYWLHFWSTVLPQEEQDTMRNGATFLELVAKGLLFHYGWRSSIRIAS >ORUFI09G06220.1 pep chromosome:OR_W1943:9:7972466:7972942:1 gene:ORUFI09G06220 transcript:ORUFI09G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAVGEHYMRVIRGDDGYGDDGGQHQEKPGAAVSVAKGAAAAAAAGSMLALAGLTATGTALALIVATPLLVLFSPVLVPAAFAASLLAAGLASSGALGAAAVGVLAWMYRYLQSPSGEHAPAGAGKVEHARALLDAKAHDVGDWVQHRLDQART >ORUFI09G06230.1 pep chromosome:OR_W1943:9:7973266:7974614:-1 gene:ORUFI09G06230 transcript:ORUFI09G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKHRRERRVTAAASGSTTARDGGAGAGIPDALLERIFLRQDSALSLIRAAAACRRWRWRRVIGGRIFLLRFRGQHSWTRTEAYTVGSYHVADNPNYRWPRRRHGSDDDGAAIVDPSTDFDRRHFSSLDFIPDAKSWHVVDGVSSVVLLAKKRIGWKRRCFPDLMVCEPLTRRRRAITPLPEMRSHRCLGAFLKHERDVRVNMSRFTVICALYERYDGGVPDDVGTAMGYSFCRFSLDALPAGLRWSSSPQHDDEPPFHVVDHGGEPYPPFIDSLAARIVGLSGGELRVFSRWRDEDGWELLNRVSLPEITRAPPPHADRNDDDRFSSLSGNGVTAILACRRPCWASGPVAGAGEVGVHRRARHHDDRTQARHGRTRRWFGIQARDAMANSPSKLA >ORUFI09G06240.1 pep chromosome:OR_W1943:9:7977002:7983645:1 gene:ORUFI09G06240 transcript:ORUFI09G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISTSMRAESQFFFISGKGSMPPSPPMATTERRRRCGQRQRRDKHKRGSSMKVAGAGDGAAAVMTTVEDVPDHLLEVILLRLDSSVSLLRAAAACTRWRRVVADAGFLRSFRSLHGARHVAGRYHTVDPSFGWPPSAGGSSVVFVPSSPPVIGVASRFFSLDFLPDYDDGNSWSWELVDSRGGLLLFSKKRKSTGRWAAMADARGFSFPDLVVCEPLTRRYQGIASAVYFRRHPCLGVFLLDGDAADADDTGGGGIGMSNFRVVAALHDRTWQHDGAVPLACVFTSGSDGGWRVLQSAAAAAVDLPERFDFINFAGRAGGCLYWGIDGEDGAMLVLDVATMRFSIDMFPETIRASYDKWTFRVIDGGYALRVVRVMRNDLKVFAQLAGSGEWVVERLVSLPEATRELPGRRETYFRQEAKIVAANAAYVLLTPQEKKRWLFSVELETGKVERRHERNRGRRRRRRQRRKRASTKQLTAAATVQDVPDHVLEMILLRVDSSACLVRAAASCRRWRRVVADAAFLHSFRTLHGAHRVAGVYRTVDPAYGRPLPGGNFVFVPSTPLAAGDSCCFALDFLPYGGRNSWELLDCRGGLLLLSKKRPRFGGVATSRRFTDLVVCEPLTRRYQVIRCPANLKFFMCLGVFLLDDDAATGGVSNFRVIAVLFDHHRWLDYRGMPMSMMFSSSGSGGDGTWQVVQWETIDDVDLPHWIEHITFVGRANGRIYWGIDNEDVATLVLDESTTEFAITMFPENVWAPYDKYTFRVIGDGDDGALRVVRVINNDLKVFTQLAGGGEWVLEKTVSLPEATRGLPGREEGLFFQHGEAMIVAASAAYVLVTPREKAWMFSVELDTMEVEREHDRNWYPGPAYQYESLPWPPALQVCTDG >ORUFI09G06250.1 pep chromosome:OR_W1943:9:7987969:7989312:-1 gene:ORUFI09G06250 transcript:ORUFI09G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARRRNEETRRRRRRLAMARSTTTLDDIPDTLLKHVLVGLSSPVCIVRAAATCRRWRRIIARSDYTRALRFPPLLDAGHYQAVDLRYAAAPRPCGGKIVYVPSASVDARRLALDFLPGGGSASRSSWKWELVDSEGGLLLLAKTRRRRFPELIVCDPLARRHVVIPPIPDKKYSHCLAVFFWNWNGGPNLSDFTLRCVLHEGIDGAAGGVTTARVYDFKRHYWSHHRKYLDRWFARGGAIDDGDGVHLRGATLHLTGRAACWIFFGNDADADAVLALDMRYPSACKFMLARVPGSLRGGSCGDRSGFRFIDGDNPDDVRLVSVVGGDLKVFLRRDGSGDAWEPEKNLSLRDATSGMPGRKESYFGGAGAAAKIVSAGAGYVVLTPAEETWLFSVELATMEVERKHSRNRYAGEFFPYHPPWPPTLSAHVSYCKRNRKGLCFQICVC >ORUFI09G06260.1 pep chromosome:OR_W1943:9:7990987:7994007:-1 gene:ORUFI09G06260 transcript:ORUFI09G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAHRIVSLAGLEEVVRTRAGRQGVAVDVVDSVRNAPRMLSVLMALEVDYEWVVYENNIHRLRAVATLCRVLEALDIFVFPRLRLEPTNARGISNLRYRANRIRKMAVKEGGSLRAPAITLGNHLRNFTTQLRSEARTAEWVEARLPRLRQHVQNVAALPADFTAPPDPDISSPNDVEVNFSLILSLPALLIGACAAAAVAAAGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVFVFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGGDTYDAVAANFANLTTAAWLEATRAGSPAMEGVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVFIPVKDPNGSYHPLKSGVGIVLLFCELLCIYAKFEEALSAPNPTEALDELIDPSLRGDYPVDSALTIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYLPPFLRTG >ORUFI09G06270.1 pep chromosome:OR_W1943:9:7996779:8002099:1 gene:ORUFI09G06270 transcript:ORUFI09G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGYVLLKRLQRERRRRRRRHALVPDESIASPEKRKGSCYQQDDSPRSSKKMRYSGPDLPEEMWQHIHSLMPMKDAARVACLSSAFLYSWRNRPKLSFSTETMGIVEGTTDFIRKIDRVMKKHSGIGVKALTIEFNGLFSTKARSYLERWLQIAVTPRIEELSLSMSKGKSYYDFPCSLLSDGSGSSIRLLDLYRCTFRPTAEIGCFQSLTRLHLEYRLTYVEVRGCSRLRVIENKAPNLHSLHIFYQPYHPIKLSFGESSLVKNLRIGYSSVLDHACAELPYIFPNLETLTIGLLGEMVNTPMVPNTFLLLKYLCITLSAVTLSPSYDYLSLVSFLDACPSLDTFIVDVSAKHPKNDSIFENPSHLRQLPEQRHDNLRNVKITGFRSAKSLFELTYHILENTSVECLTLDTSFESFRCSPGKPGRCLQTSKDDPMEASKALFAIRTYIEGKVPSTVRLNVVEPCSRCHVMEPFTVESNSGNEMQ >ORUFI09G06270.2 pep chromosome:OR_W1943:9:7996779:8002099:1 gene:ORUFI09G06270 transcript:ORUFI09G06270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGYVLLKRLQRERRRRRRRHALVPDESIASPEKRKGSCYQQDDSPRSSKKMRYSGPDLPEEMWQHIHSLMPMKDAARVACLSSAFLYSWRNRPKLSFSTETMGIVEGTTDFIRKIDRVMKKHSGIGVKALTIEFNGLFSTKARSYLERWLQIAVTPRIEELSLSMSKGKSYYDFPCSLLSDGSGSSIRLLDLYRCTFRPTAEIGCFQSLTRLHLEYVSITGDELGCVFSTSFALEWLKLRLCRHIKYMKLPCVLQRLTYVEVRGCSRLRVIENKAPNLHSLHIFYQPYHPIKLSFGESSLVKNLRIGYSSVLDHACAELPYIFPNLETLTIGLLGEMVNTPMVPNTFLLLKYLCITLSAVTLSPSYDYLSLVSFLDACPSLDTFIVDVSAKHPKNDSIFENPSHLRQLPEQRHDNLRNVKITGFRSAKSLFELTYHILENTSVECLTLDTSFESFRCSPGKPGRCLQTSKDDPMEASKALFAIRTYIEGKVPSTVRLNVVEPCSRCHVMEPFTVESNSGNEMQ >ORUFI09G06270.3 pep chromosome:OR_W1943:9:7997218:8002099:1 gene:ORUFI09G06270 transcript:ORUFI09G06270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGYVLLKRLQRERRRRRRRHALVPDESIASPEKRKGSCYQQDDSPRSSKKMRYSGPDLPEEMWQHIHSLMPMKDAARVACLSSAFLYSWRNRPKLSFSTETMGIVEGTTDFIRKIDRVMKKHSGIGVKALTIEFNGLFSTKARSYLERWLQIAVTPRIEELSLSMSKGKSYYDFPCSLLSDGSGSSIRLLDLYRCTFRPTAEIGCFQSLTRLHLEYVSITGDELGCVFSTSFALEWLKLRLCRHIKYMKLPCVLQRLTYVEVRGCSRLRVIENKAPNLHSLHIFYQPYHPIKLSFGESSLVKNLRIGYSSVLDHACAELPYIFPNLETLTIGLLGEMVNTPMVPNTFLLLKYLCITLSAVTLSPSYDYLSLVSFLDACPSLDTFIVDVSAKHPKNDSIFENPSHLRQLPEQRHDNLRNVKITGFRSAKSLFELTYHILENTSVECLTLDTSFESFRCSPGKPGRCLQTSKDDPMEASKALFAIRTYIEGKVPSTVRLNVVEPCSRCHVMEPFTVESNSGNEMQ >ORUFI09G06270.4 pep chromosome:OR_W1943:9:7996875:7997447:1 gene:ORUFI09G06270 transcript:ORUFI09G06270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGATRGESALPACTRRRSQAAEPRGPRPAVQRRRRPRHSPDLPPTASKGIRHVIQQRKPTGSPTES >ORUFI09G06280.1 pep chromosome:OR_W1943:9:8003214:8005252:-1 gene:ORUFI09G06280 transcript:ORUFI09G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAELRRTAGSRPRGSGCVLLILRQTLSHQLTAMAINGGRRPRREFVVPQLQELYNLRSPCTIID >ORUFI09G06290.1 pep chromosome:OR_W1943:9:8021874:8022422:-1 gene:ORUFI09G06290 transcript:ORUFI09G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNLQEPEHGGAEEEDRLVAAGDGEAYVATWVVDDISDKVLELVLLRLHSDPPPASSAPRPSASASAASSSSMPPTVQCLPPPLPCPPRARPRRPLPRRWRRGLPCGVRPRLQAGSRLRLPPLTRLRPGHRLLDDRRDSDSRCCPDGLIVCEPLTRRYQMVAVPTSEMRRRGSLGAFLAA >ORUFI09G06300.1 pep chromosome:OR_W1943:9:8026873:8027259:-1 gene:ORUFI09G06300 transcript:ORUFI09G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRKGRGWLVALSPIPTAAGLHLPTASLSPPSPPATRARPPPSRHPGRTAPPTGRRHHHAAPTSPLHLLPRALSRQGAAELRQTAATPSRVAFARPWCSAQKEEMEMRPRERGKEKRKRKGVGPTV >ORUFI09G06310.1 pep chromosome:OR_W1943:9:8027364:8027639:-1 gene:ORUFI09G06310 transcript:ORUFI09G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLRRGATEQAATTRPRRHGLAKEPPLHRGAAAPPLHVQWSCRATTAPRSRRCGSCAHTNSLHGRDAEREDEGDDDKVEEARPRGEVRW >ORUFI09G06320.1 pep chromosome:OR_W1943:9:8031061:8032368:-1 gene:ORUFI09G06320 transcript:ORUFI09G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTRRPLRRRATTVDDLDDALLTRVLVRLASPHWFIRAAATCKRWRRIIGAGGGSPCRAVEFHRRGRNPIVGHYYYPGRGSGGAVFVPSASPSLQPRVDGRHFSLGFLPDSDSWRLVDSSGSLLLLAKRKSGWMRHCFPDLVVCEPLTRRYRLIPRLEEMKHHRCIGAFLRRDHYHHVCSRMPVPTLKVFVALYEGYAGVSADLGTATAFVFGSERWPWPCDRPWSRWRLAGRATGVHISGAESVHFVGRASGGFYWRMDDDDDRMLVHSCYTYSYSTFSRVALPEAMKGARRRDDGTSSAFRVVAGADGDVRIAWLMSGVPSVFARRREQWWMEKRLQLPAHTAPESFIIAGNNAVIADAGAGRVLLAPAEVDKRRRRRRVISIELATMKIDEPKHHAGGVVTYPYELPWPVTLNACFLSKWSRNEGWGCV >ORUFI09G06330.1 pep chromosome:OR_W1943:9:8049150:8050220:1 gene:ORUFI09G06330 transcript:ORUFI09G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCDSAVVVGAEAEARARARAGRRRRAGVEGAGRWNATATAAGVAAEEAATRKRRASGGEAGLVVVAKRHGAASVAGRRREMEDAVSLREAFAAPANGEVAAARCDFYGVFDGHGCSHVADACRERMHELVAEEMGAGSPAAAAREPASWTETMERSFARMDAEVIAGCRAESGSCRCEGQKCDHVGSTAVVAVVEESRVVVANCGDSRAVLCRGGSPVQLSSDHKPDRPDELERIEAAGGRVIFWEGARVLGVLAMSRSIGDAYLKPYVTAVPEVTVTGRSDADECLILASDGLWDVVSNEAACEVAQSCLRRGRQRWCAEAAAVLTKLALARRSSDNISVVVVDLRRGNAL >ORUFI09G06340.1 pep chromosome:OR_W1943:9:8052253:8053270:1 gene:ORUFI09G06340 transcript:ORUFI09G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAMAPSPPKPVSPRQISLGDLRAVSMLGRSVKGVVFHVVPTMAGEVEGVVSSSMALKAISRETEQHKKMGSGGEDRHRRIWFEWDVLMSLSHPLLPSLRGVLATDVVVGPRRWLQAKLVAAKNRHATTVAEIPPLKSTVELTNDAIVARMEAGKKRAVVEVLHRRIDRERDDPRRLRSEVALEQMVLVRHQAARALVSDVDVDVDAAHHNVVAVPTRRPEELRGGVEGEERTAEFHVEEIVAAIRLAEAHARKQEAAEQWHGRDLEVVMFCNKICILIFVAKSCSI >ORUFI09G06350.1 pep chromosome:OR_W1943:9:8055017:8055235:1 gene:ORUFI09G06350 transcript:ORUFI09G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLFFFLFPFLPLTRVAFFAVGGGAVWEVLLTRWEGRDDQVLLYGLLPAGVDHGELMGRARFCLCPTGDD >ORUFI09G06360.1 pep chromosome:OR_W1943:9:8055273:8055512:-1 gene:ORUFI09G06360 transcript:ORUFI09G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSVGVSKRRWRLTRWRGTGLCDGVEVALRQRTALARIGEERERQWREGKKALALELTGDGGAGRRRRCPQRSNPP >ORUFI09G06370.1 pep chromosome:OR_W1943:9:8059535:8062694:-1 gene:ORUFI09G06370 transcript:ORUFI09G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLHRRLLHLAVVFAGLLLPGVRAGTGGAASGDAGALLAARAALTDPTAALSAWRGDDLCRWPHVACDAAAGNAAVSDGVVAGLYLGGLYLAGGFPVALCSLRSLRHLDMSSNDLTGPLPACLAGLQALETLNLASNNFSGELPAAYGGGFPSLAVLNLIQNLVSGAFPGFLANVTALQELLLAYNSFSPSPLPDNLGDLAALRVLFLANCSLTGSIPPSVGKLTNLVDLDLSSNNLTGEIPPSIVNLSSLVQIELFSNQLSGRIPAGLGGLKKLQQLDISMNHISGEIPEDMFAAPSLESVHMYQNNLTGRLPATLAAAARLTELMIFANQIEGPFPPEFGKNCPLQSLDVSDNRMSGRIPATLCAGGKLSQLLLLNNMFDGAIPDELGKCRSLMRVRLPCNRLSGPVPPEFWGLPHVYLLELRGNAFSGNVGAAIGRAANLSNLIIDNNRFTGVLPAELGNLTQLVVLSASDNSFTGTVPPSLASLSVLFLLDLSNNSLSGEIPRSIGELKNLTLLNLSDNHLSGSIPEELGGMDKMSTLDLSNNELSGQVPAQLQDLKLLGVLNLSYNKLTGHLPILFDTDQFRPCFLGNPGLCYGLCSRNGDPDSNRRARIQMAVAILTAAAGILLTSVAWFIYKYRSYNKRAIEVDSENSEWVLTSFHKVEFNERDIVNSLTENNLIGKGSSGMVYKAVVRPRSDTLAVKKLWASSTVASKKIDSFEAEVETLSKVRHKNIVKLFCCLTNEACRLLVYEFMPNGSLGDFLHSAKAGILDWPARYNIALDAAEGLSYLHHDFVPAIIHRDVKSNNILLDADFRAKIADFGVAKSIGDGPATMSVIAGSCGYIAPEYAYTIRVTEKSDVYSFGVVMLELVTGKSPMSSDIGDKDLVAWAATNVEQNGAESVLDEKIAEHFKDEMCRVLRIALLCVKNLPNNRPSMRLVVKFLLDIKGENKPKAMKITEALPAT >ORUFI09G06380.1 pep chromosome:OR_W1943:9:8064046:8064752:1 gene:ORUFI09G06380 transcript:ORUFI09G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRFARVAFLLQGWMGWNWEEDDDDDGSSCWFSEGAGGGARPGRRRARGVSVAFRAATVATGVADPGRWAGKAS >ORUFI09G06390.1 pep chromosome:OR_W1943:9:8089548:8089841:1 gene:ORUFI09G06390 transcript:ORUFI09G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAIEEVMAEAMNSMNVKATEQAVAEAGAEAEANTVVGLDAEVLETVAEAKALETMAEAEALDTTVEGDMVTIVSRPSGYPKATTSEEASLEKHGA >ORUFI09G06400.1 pep chromosome:OR_W1943:9:8100576:8108856:1 gene:ORUFI09G06400 transcript:ORUFI09G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGGAFPSEPAPPQPAKAKGKKKDENKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRNLAGEIAQEFQKRQDDGMTTDSLKELVEQIVSFHMKHNAEPEAVDLLMEVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLDDKSLELKQIFTATDDFHLKKQFAFIIARHGLSTDIDDDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSGSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLARDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLSDSQVPLEVLVFAAISLGLVFVGSCNEEIAQTIICVLMERSEPELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLISMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNSWDCFGTSQVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILALAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRNNPEYHED >ORUFI09G06400.2 pep chromosome:OR_W1943:9:8100576:8108953:1 gene:ORUFI09G06400 transcript:ORUFI09G06400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGGAFPSEPAPPQPAKAKGKKKDENKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRNLAGEIAQEFQKRQDDGMTTDSLKELVEQIVSFHMKHNAEPEAVDLLMEVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLDDKSLELKQIFTATDDFHLKKQFAFIIARHGLSTDIDDDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSGSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLARDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLSDSQVPLEVLVFAAISLGLVFVGSCNEEIAQTIICVLMERSEPELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLISMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNSWDCFGTSQVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILALAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRNNPDG >ORUFI09G06400.3 pep chromosome:OR_W1943:9:8100576:8109103:1 gene:ORUFI09G06400 transcript:ORUFI09G06400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGGAFPSEPAPPQPAKAKGKKKDENKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRNLAGEIAQEFQKRQDDGMTTDSLKELVEQIVSFHMKHNAEPEAVDLLMEVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLDDKSLELKQIFTATDDFHLKKQFAFIIARHGLSTDIDDDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSGSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLARDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLSDSQVPLEVLVFAAISLGLVFVGSCNEEIAQTIICVLMERSEPELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLISMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNSWDCFGTSQVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILALAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRNNPEYHED >ORUFI09G06400.4 pep chromosome:OR_W1943:9:8100576:8108856:1 gene:ORUFI09G06400 transcript:ORUFI09G06400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGGAFPSEPAPPQPAKAKGKKKDENKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRNLAGEIAQEFQKRQDDGMTTDSLKELVEQIVSFHMKHNAEPEAVDLLMEVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLDDKGLSTDIDDDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSGSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLARDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLSDSQVPLEVLVFAAISLGLVFVGSCNEEIAQTIICVLMERSEPELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLISMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNSWDCFGTSQVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILALAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRNNPEYHED >ORUFI09G06410.1 pep chromosome:OR_W1943:9:8109635:8112190:1 gene:ORUFI09G06410 transcript:ORUFI09G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTLLEGFLLLANALAILNEDRFLGPRGWSMSEVSGNGQTKSLKGQIVGLIYATQFLRMPLIALNVLIIVVKLGLSDIQIFSLQVPFLMEILPTMVMPYVRP >ORUFI09G06410.2 pep chromosome:OR_W1943:9:8109635:8112190:1 gene:ORUFI09G06410 transcript:ORUFI09G06410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTLLEGFLLLANALAILNEDRFLGPRGWSMSEVSGNGQTKSLKGQIVGLIYATQFLRMPLIALNVLIIVVKLGLSDIQIFSLQVPFLMEILPTMVMPYVRP >ORUFI09G06410.3 pep chromosome:OR_W1943:9:8109635:8112190:1 gene:ORUFI09G06410 transcript:ORUFI09G06410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTLLEGFLLLANALAILNEDRFLGPRGWSMSEVSGNGQTKSLKGQIVGLIYATQFLRMPLIALNVLIIVVKLGLSDIQIFSLQVPFLMEILPTMVMPYVRP >ORUFI09G06420.1 pep chromosome:OR_W1943:9:8114695:8118579:1 gene:ORUFI09G06420 transcript:ORUFI09G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKTQMITLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGAGKDKKAMRRAEKERLKEGEAADEEMKKLKKEAKKKGASKESTSSKSGAGKKKAAAGSDEDHSNSPTRSHDGDNVAADEDDDDDVQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEEPEKKKKHEASHKEGASNGSTKHVVEEAKSSPYDDLVKEMKDNLSKGANAVQLKGLMTSSALPPQDAMNALFDALFGGLGKGFAKEVVKKKKFLAAAVPDEASQMVLLQALVAFGAKSSPEAVKEVPIVLKALYDGDVLDEEVITQWYNESVAGGKESQVVKNAKPFVEWLQSADSESEEE >ORUFI09G06430.1 pep chromosome:OR_W1943:9:8121435:8124341:1 gene:ORUFI09G06430 transcript:ORUFI09G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVDKSSMDLVLVPCGLAIMVGYHLMLLHRILRHPHTTVIGYENHNKLAWVERMLQAAAPEESALALGVISDNISAATTLASLCIALGSLIGAWVSSSPAPGAAGVVVLGYGDGSHATATVKCVALLACFLASFTCFIQSARYFVHASFLMSALAGDAAPPVGDAQRAVVRGGNFWAAGLRALYLATALLMWVFGPVPMLACSVLTVAALHRLDANSMPLHHHRFTARSTDASPATAPVAAARSAVARGGRAGNGNTDHGARHGHQEFIIFAKAMQLGW >ORUFI09G06440.1 pep chromosome:OR_W1943:9:8124282:8125964:-1 gene:ORUFI09G06440 transcript:ORUFI09G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSVEDAKGFAERESTFFMETSALESMNVESAFTEVLTQIYRVVSKKALDIGDDPAAPPRGQTINVGGKDDVSAVKKSGCCSS >ORUFI09G06450.1 pep chromosome:OR_W1943:9:8126605:8130643:-1 gene:ORUFI09G06450 transcript:ORUFI09G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRHLRSAARQRSHRPPPAAGDASSSSSSGRLEPEVIRSNKAITAHMRAGRVADAERLFAAMPRRSTSTYNAMLAGYSANGRLPLAASLFRAIPRPDNYSYNTLLHALAVSSSLADARGLFDEMPVRDSVTYNVMISSHANHGLVSLARHYFDLAPEKDAVSWNGMLAAYVRNGRVEEARGLFNSRTEWDVISWNALMSGYVQWGKMSEARELFDRMPGRDVVSWNIMVSGYARRGDMVEARRLFDAAPVRDVFTWTAVVSGYAQNGMLEEARRVFDAMPERNAVSWNAMVAAYIQRRMMDEAKELFNMMPCRNVASWNTMLTGYAQAGMLEEAKAVFDTMPQKDAVSWAAMLAAYSQGGCSEETLQLFIEMGRCGEWVNRSAFACVLSTCADIAALECGMQLHGRLIRAGYGVGCFVGNALLAMYFKCGNMEDARNAFEEMEERDVVSWNTMIAGYARHGFGKEALEIFDMMRTTSTKPDDITLVGVLAACSHSGLVEKGISYFYSMHHDFGVTAKPEHYTCMIDLLGRAGRLAEAHDLMKDMPFEPDSTMWGALLGASRIHRNPELGRSAAEKIFELEPENAGMYVLLSNIYASSGKWRDARKMRVMMEERGVKKVPGFSWIEVQNKVHTFSAGDCVHPEKEKIYAFLEDLDMRMKKAGYVSATDMVLHDVEEEEKEHMLKYHSEKLAVAYGILNIPPGRPIRVIKNLRVCGDCHNAFKYISAIEGRLILLRDSNRFHHFRGGAWIELMLKVSGRTFPA >ORUFI09G06460.1 pep chromosome:OR_W1943:9:8132503:8136998:1 gene:ORUFI09G06460 transcript:ORUFI09G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAAAAAVALLAATRRRPAAGPLAFLLPRAARAGLHEAAAPAAEEEKGRTRRRRRRRSSSSRLLGPDIPDTWDSPPRAAARPSPPSGAGVDYDSTATIIDGKSVAEDIRFQIAEEVRQMKNAVGHVPGLAVVLVGDRRDSESYVRYKIKGCEEVGIKSLLAELPGNCTEDVVVDSVSRFNEDPSVHGILVQLPLPQHMDEERILSAISLEKDVDGFHPLNVGNLALRSRKPLFVPCAAKACLELLLQSGIELMGKHVTVIGRSKVVGLPTSLLLQRHHATVSIIHAFTTNPEEITRQSDIVISAAGVANLVRGSWLKKGAVVIDVGTNPIEDPTSDYGYRLTGDVCFEEAVKLASAITPVPGGVGPVTIAMLLANTLDSAKLAYGLATESPEL >ORUFI09G06470.1 pep chromosome:OR_W1943:9:8135961:8140645:-1 gene:ORUFI09G06470 transcript:ORUFI09G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEWANTMLLSLLISASPLLNQAEMAASCALTLPSAISVSVSVSSNSPRRFRRSRRVVAMASVGQKVYAPGVAVSEGNGGLPKIDLKSPHGSEAEIYLFGACVTSWKVPSGKDLLFVRPDAVFNGQKPISGGIPHCFPQFGPGTMQQHGFARNMNWSITDSEANEGDPAVTLELKDDSYSRSMWDFSFQALYKVALHSTSLSTTLKITNTDDKPFSFNSALHSYFSASISGVSVKGLKGCKTLNKDPDPKNPLEGKEEREEVTFPGFVDCIYLGAPSELTLDNGLGDKIVISNANWSDAVLWNPHLQMEACYKDFVCVENAKIETLQLGPNQSWVAEQKIELI >ORUFI09G06480.1 pep chromosome:OR_W1943:9:8142069:8143196:-1 gene:ORUFI09G06480 transcript:ORUFI09G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQPQPFRFWLPYRSNVASSSRQQPRPSSGTAPAPPPPTPADRPPRASPAPARAMGEDISVHGESSDESDTIPVQSVDSPRWRGARRRPSVPDMELTLSRSPRAGEERTPRAGGEGGEGNDTKISISGFPRSRLFDGARAPYRREIEDGLKSLATRGREAPAASADGVQGYRVITLAGHNVGASMVLGGGGGREHGPSPTAAEAEGSARPAPAVAANVNSNVQSVNNSSMEASTCNAGDPGVRVDIKNARDEPVLVAPPKKQQEEEEPKEPVPVRRPPIAVPAAPQPENRVASPPPPPRARRCLRALMMESGSDTEAAPKPKTKPKPKPSSCRFQCVADHKPPPPPPATTSNGGGGGGGGDGRSGEEGGKENTR >ORUFI09G06490.1 pep chromosome:OR_W1943:9:8144018:8145685:-1 gene:ORUFI09G06490 transcript:ORUFI09G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMQVQGTCTTVAPEVRLDKQLQAWKNNPSWSDEPPEIKVTVPQGSLCNLNLKFKAGLPPDAVYNIIIDPENKRVFKNIKEVISRKVVLDEGPRQIVEVEQAAIWRFLWWSGVLSVHVFVDQNRTNHSVKFKQGRTGFMKKFEGSWKIEPLFVDKEACHPLDPCTLEEYDSCTNGTGRVGSSITLNQLIEPAMVPPPPFSWYLRGITTRTTEMLVNDLIAETARLRGLANNAIDKQYVEGKCDTSRDHLTEECNDIKERWRQRRKTGRHGNSLRLTAQG >ORUFI09G06500.1 pep chromosome:OR_W1943:9:8150291:8152685:-1 gene:ORUFI09G06500 transcript:ORUFI09G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGGQGLSGENAVKEREIIRKTNGHWETELHRAVRKQLDASEFQRLKQEDSGLARVPDIHDMPPLYLAVSLGYGDVADNLISVFGDALSYDGPDGQNVLHAAALRSKDLTQRILTKKVTLINEVDWSGSTPLHFVASVGVEGTTSLLLGKDKSGIWKADNMGKYPIHIAASVGIMDAIFSLINTDQSCATLRDANGRTLLHIAIENGKCDVVKFICKEPIPIFILNMKDNDGNTALDLAVRRKDQVIFSYLLGNRDVELNHFNLEGFTPLNLASRIKMENPFASPQNPMEHSGAHFSPRRRDKLLIHANSSGKKDTHGKMLVESTESVLVASALIATLTFAAAFTMPGSYKTDKPKEGTPTLGDFFGFKIFLIADIFAFYFAVAATFSLAEYGNRGTVDPLVRCAYARLAVWLFHVALKSIIIAFALGVSVVMWDISLSTTVIVAIVTTIFMIYGNVPVGHDLRLMWLMYHRFGFSRSWSLYPSTSAHLDWTSWRLRSFSATLIWNLVQLCWVYTLIFVVAGIAQVKQKF >ORUFI09G06510.1 pep chromosome:OR_W1943:9:8164071:8165464:-1 gene:ORUFI09G06510 transcript:ORUFI09G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFTQKIIENIRENLRNEVDWSGSTPLHFAASVGLKGVTARLLARDTTGIERADNKGMFPIHIAASVGAIDAINSLVSTNPDRATLRDEAKGRTLLHIAIENRKCNVVKMVCKSLSFKNTLNMKDNDGNTALHLAVKIRDESIFRYLLGNKVVELNHVNGEGYTPLDLAKNIKMEYRFASRQNPTEWMIRALAHSGAHFSPHRRDERIRASKSEEKEAHGKNLSQSTESVLVASALIATLTFAAAFTMPGSYRTGSPKEGSPALGAYYGFKVFLVADIFAFFFAVAATFSLAEYGNRGTVDPLVRCVYARLSVGLFHVALKSVIIAFAFGVLVVMWGVSINTIVIVGLATIVLVFYGNVPLRHDFRLLWLMYNRFGFSRRWDLYSSTSSHLDWTSRRLRSFSATLAWNLVKLLWTYILIFVLAYFAQLKQKS >ORUFI09G06520.1 pep chromosome:OR_W1943:9:8167997:8168687:-1 gene:ORUFI09G06520 transcript:ORUFI09G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQNDPRHTGATQPRARGYTGSGGNDQVLNRYDQADLDGESDLLHVAAANGDGGNYRECATVITQKNITLLFGRNNRNETALDCAVKANHINMALHLLDLERNRSVRSINMNEILQKTNGRSETALHEAVRKRDKSRIQVLKDQDSGLAHVLDVNGISPLFLAVSFGYGDIVDELILTFGNALSYNGPNGQNVLHAAALRGEGRD >ORUFI09G06530.1 pep chromosome:OR_W1943:9:8185359:8185835:1 gene:ORUFI09G06530 transcript:ORUFI09G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGDGGEAGKKLQHAHKEFDEMQRGEDELGGSWGPFTGEAERINSPAGLGLRHGGAKARTSWRRDASTARWRWRHDSRGDAMFARRMRATRCGGTGLEGDATAHGDGDGVAARATARGTSGGSSAWGSRRDGDGRGDETAMARAREWGSR >ORUFI09G06540.1 pep chromosome:OR_W1943:9:8196687:8199853:-1 gene:ORUFI09G06540 transcript:ORUFI09G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVSQVTDPSYDDDAPIHQINVEFLRQITEDGGTLLHVVAANGSNENHRECAMMIHEMDRTLLLELNNNGETALDCAAKAGRIKMVLHLLHLERSSQRLPSDVAREKEIMKKTSGEWETKLHRAVRQRDAGEFDRLREEDGGLASVPDIHGVFPLYLAISLGYSDMVEELISNFRDNLSYDGPNGQNVLHAAAIRNADLTRRIGELNGNLRNNADYSGSTPLHFAASVGVKGTTSSLLDGDRCNTERRPDKKGMYPIHIAASVGVMDPIYSLVKRCQSCATLRDDVNGMTLLHIAVENGKHDVVKFICRKPTLIFKDTLNMKDNDGNTALHLAVKKRDKSIFGHLLGNRDVELNHVNMDGYTPLDLASKMKVEHPFASPQNPTEWMIRALAHSGAQFSPRRHDEFIDTSNSGKKQEHGMKLAESTESVLVASALIATLTFAAAFSLPGSYKTGNSKEGTPALGSRYGFKVFLVADIFAFYFSVAATFSLAEYGNRHNVDPLVRCAYAQRAVWLFHVALKSIIIAFALGVSVVMWEISHSAIIIVSLATSVLVLYGNVPLAHDFRLLWVMHHRFGFSRSWDLHPSTSSHLGWTSWRLNNFVATLGWNLVKLFWAYGLIFVVAYIAQLKQKS >ORUFI09G06550.1 pep chromosome:OR_W1943:9:8207317:8211383:1 gene:ORUFI09G06550 transcript:ORUFI09G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGKATAVDVIRGLKRRVDRCRRQYAPWLPSKLDEALGLGVNPTLSLLLDMLLLPLPPPVPDDELGAIKAALYELEDLLDDLDEHAGVRRRPGRPTWKRNNDAEFKLHHQHTNPQHEKYKEMVGFDGATTIGRDTEKQDLKDLLSQSNPDNVSILPIVGLPGLGKTSLARLVFEDNEEGWDFDLRIWIHVDDNFDLEKFAVSIISEANKLMKGKFSHILNRSDCPSYLKFKDCIEEILSSSSCLIVLDGLLYANEHWLPDLKYVLGETKHKCTRFIVTTSSEEVAEVMQTKAFGSRDATIHSWQTKIGKAIVKRCMGMPILAQSLGLMVQNQDMETWLAAGNDELWELVERHSLETEVFSSFKKIYYNMSLMSKSCFLYLSVFPRGYDIDKDELIRQWIALDLINSSRHGTLPAFLHGEMFIEALVSISFLQIVNTSLVTEKKCKNPPPTILKVHSLVYDFLRYIAADDIFTLDYAKSPNISVRNQPFRYAVLTNYSWQAKMHEDFIAKAKAAKAAIFRNCEATMPIADIFPILRYSRLLDLSGCLFQELPTSIGELKHLRYLNVSCFRITELPSEMCCLRSLEYLDLSKTCIEVLPLFVGDFDKLKYFNLHGCGKLKNLPRNIGDLKRLEHLNLSCCPEICELPSSISSLHELKLLNLSSCTKLELLPHQFGNLSHLESLEMAGCCSLQRLSESFGGLSKLCSLSLANCSSLQRLPDCIGELCSLEYLNISHAHLELPDSLTKLQSLHVVNS >ORUFI09G06560.1 pep chromosome:OR_W1943:9:8211395:8212178:1 gene:ORUFI09G06560 transcript:ORUFI09G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELAATATGGGTGRRVGSARKETAWAAAVRPGEGGRGSAPKRRDPGAVEPAAASRAWRLGALPRRREDPKWWSSATVSGPLMVGSSVPAGVQAKVVGVRMEEAGGMRGRGRRRVGKAAATVALGPVMDGRFRRPLARSAACDDGELPRARWGPVWPRRHGNGYGRLATAVVAGPRRRADSGSHRLHPPAVAVAMVAAVQRSVGAHGDVGLPNFDGRIYGRLGQILSVQQRMPAGWQHGGLASLPKLWVVG >ORUFI09G06570.1 pep chromosome:OR_W1943:9:8213250:8215491:1 gene:ORUFI09G06570 transcript:ORUFI09G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRHARLLAAAIAVLLCHLPRSAASPSWSDAAISPPPSPSPQLRPMKQQRSVLPPRVSELPASPFTAKAAFVRYWNRKVHSNRPHPAFFFAKLSPLSAPDAAAFSTLAAAGQLGSRIRAFCAAASLLCPTTPGSSWSKSSSDGEGAAAAAAPAGGGGGGGGAAPFKNYENGNFSSYGNSGGGGADQFAVYSTGHSNGGGGVDSFRRYGKGSQGRNDSFTSYEAGGNVGTSSFTSYNGDATGGAGGFSSYAGDANTVAVSFGNYDHTGNGRSREFSEYTQDANTGEESFAAYGKAANGAAESFRTYGNHSNSIATGFDNYGDRANGAADAFSSYGASGNTPENTFRSYASGSNAGVDDFKGYRDDANVGNDSFTSYASNANGAAAGFESYGKSVNPGTVTFKGYGLGSNPNHRIGFARYSGDNTTFKAYSNDGVEFKEYQNMSKMEVSKIEAAAARRPPLRWSPEPGKFFRERDLVAGNRMPMPDIADRTPPRAFLPRDIAAKIPFGAAAVSALFGAAPGTAMRQVVSSTVAECARPPSRGETKRCATSAEDVVDFAVEMLGDNVVARATESTAGGGGDVRLGRVAGVPAGGNVTRSVSCHQSLFPYLVYYCHSVPTVRVYEADILAVDSNQRINHGVAICHLDTSDWSPNHGAFIALGGKPGKKVDPTKFICFIMQDGEFV >ORUFI09G06580.1 pep chromosome:OR_W1943:9:8225514:8227022:-1 gene:ORUFI09G06580 transcript:ORUFI09G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTLTTSGSHGRLPHLALFPFMAKGHTIPLIQLANYLRHHRLAAVTFFTTPANAAFVRDGLSTCGGAGEDDDDDDDLAVVELAFPAADAASPGGAESAEGLTSMASFVAFAESTSLLRPRFEASVAAMEPPASFVVADAFLHWTNDSAAVLGVPKVSFLGTSTFAHVMRELIVRQDPFAVLRPRDAVDDDNGGGGGGGPPATTFSMPEFPQVELPVEELMLTFRDSSAFVAMMELDAKMGKSIEESHSLIINTFHGLEAPYIKFWNEHVGPRAWAIGPLCLAQPASAPAATRPSWMEWLDNKAAAGQSVLYIALGTLAVIPEVQLKEVAKGLERAEVDFIWVVSPKDIDLGPEFEERIKGKGILVRDWVDQSQILQHKSVRGFLSHCGWNSVLESVTAGVPLAVWPMNFDQPLNARFLVDEMKIAVMVWTSDRLRQGLVTHEEISRVVKELMLGEVGVEAAKNVVKLSTLAKKAVDEGGSSWVALREMINELCTINVYRK >ORUFI09G06590.1 pep chromosome:OR_W1943:9:8244321:8245817:-1 gene:ORUFI09G06590 transcript:ORUFI09G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHLTSGSHGRLPHVAIFPFMAKGHTIPLIQLANYLRHHRLATVTFFTTPGNAAFVRGGLSSGDDDDDVAAVVELDFPVDAPGIPPGVESAEGLASMAAFVAFTDAVSLLRPQFEAAVAAMWPPASFIVADAFLYWVNESAAVLGVPKMSFFGISAFAQVMRELRNRHGLCAVMEPGDVDDDGYPATLAVPEFPHIRVTLEDLMATFGEPSAVRMMMELDGKLGKAIEESHGLIINTFHGLEAPYIKFWNEHVGPRAWPIGPLCLAQPASATADARPSWMDWLDEKAAAGRPVLYIALGTLAAIPEVQLKEVADGLERADVNFIWAVRPKNIDLGLGFEERIKDRGLVVREWVDQLEILQHESVQGFLSHSGWNSVLESVTAGVPLAVWPMIADQPFNTRFLVDELNIAIRVSPIDRTMRGLVPSEEISKVVKELMDGEAGAEATKRVVELSALAKEAMDEGGLSWIAVKEMITELCAMKNDVHEKEEANYCKQDV >ORUFI09G06600.1 pep chromosome:OR_W1943:9:8253337:8253537:-1 gene:ORUFI09G06600 transcript:ORUFI09G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSRLANAKRIERRGGEAQQLYEYVLIERERHRPRPPGSQMIAAARGSEEGNAETANGRALVAGG >ORUFI09G06610.1 pep chromosome:OR_W1943:9:8262667:8266274:1 gene:ORUFI09G06610 transcript:ORUFI09G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSQLPTAASLRAPALPMAVAAGDRGESRRFREDAAVEIPVVRGGMHRLLLAAACSGDCEGLSFLLSGDGNSVAHPTTMKPSEKFLKLIPVRNGSSPSDIEECTNVPSVAAESLLEGVTVDGNTALHVVATHGNGPSFLKCAKVIHGSARHLLFQPNNNGDTPLHCAVRAGNPQMVSQLVDLATEANGANVVKDLLRKENNSKETVLHQAVCIGDNLMVKLLLTYDSELARFPREGTSPLYLAILLEKNVIAQTLYDMSKRNILSYAGPNGQNALHAAVFRGKDMTERLLRWKNDLSEERDKYGSTPLHFAASVESSLHNRFSSKAIISKVLEASPSSAFQPDNEESLPIHVAASAGVRSAIAILIEKWPGCASFRDSDGRTFLHIAVEKQRNDIVRFACKKVVLSSVLNMQDKEGNTALHLAVQLGNLSLVCSLLEPRRNNTSCPGSFRCKAWHYSMGSTATKTHTPGTAEGDSNESQILSDSTQTLAIGSVLIATVTFGATFALPGGYRADDHINGGSPTLAGRYTFDAFIMATTLAFICSSIATLDLMYSGISMVNLPVRRNHFAVSIFFLTSSGTSLVAAFALGVYMVLAPVDAKTGIAICVLSPFTMLYRNKGRLQKLYALAGPLYIRMGLWALLSLTKDILSGVLKECWTLILIFGWAGYTTYCRHHR >ORUFI09G06620.1 pep chromosome:OR_W1943:9:8290742:8299369:-1 gene:ORUFI09G06620 transcript:ORUFI09G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMMFRLYRVGIKLPTIEVRYKNLNVEAESYVGSRGLPTILNTYANILKNDLAIRFSWLWKNITVVGLGWNTPINRKTYVSQHDLHMAELTVRETINFSAKCQGVGHHYDLFLELLRREEEENITPDPETDIYLKAATTGEEKAEIVTNHILKILRLDICADTIVGDNMLRGISGGQKRRLTTAPNVDSAAEMLVTLGRALFMDEISNGLDSSTTFQIVNTIQQTIHVLGGTAVIALLQPAPETYELFDDIILLSDGQVVYSGPRDHVLEFFKSLGFKCPERKGVADFLQEVTSRKDQKQYWIHGDDTYRYIPVTVIAEAFQCFHVGQAIRSELAIPFDNSKSHIAALKTSKHGVNLKKILKANIDGEILLLKRKSFLYIFNALQLTLVAIIAMSVFIHTNMHHDSIENGRMYMGVQFFGTLAIMFKGLAEMGAALANLPVFFKQRDLLFYPAWTYSLPSWIIKTPISFLNTIIWVSITYYVIGFDPNIERQFLVLFVMSEAICGLFRFIAALTRHPVVASTVSEFCILIVMVSSGFILSRDEVKKWLIWEYWTSPLMYALNALAVNEFLSPSWNEALPGFREPLGRLVLESRGVFLEAKWYWIGLGALLGYVLLFNILYTICLSILTYTEGGNNDEATSSNANHNSSPARKGSILPFVPVYMTFEDIRYSIDMPKALKVQGMAGSRLELLKDLSGSFRPGVLTALMGISGAGKTTLLDVLAGRKTSGHIHGNITVSGYPKKQETFSRVSGYCEQNDIHSPNLTVYESLMFSAWLRLPAEIDSMARKRFIDEFMELVELFPLKDALVGLPGLSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNIVDMGRTVVCTIHQPSIDIFESFDELFLMKRGGEAIYVGPLGQHSCELIKYFEEDKTWLQSFNMDAGSDLRNKNLIKELSTPHDGSSDLLFPTKYSQTFVIQCLACLWKQRLSYWRNPPYIAVNFFFTVVIALLFGTMFWGVGRKRQSQQALLSAMGSMYSTCFTLGVQNSSSVQPVVNIERTVFYRERASHMYSPLPYALGQVVVELPYIFLQTLIYGVIVYSMMGYEWTCTKFFWYMFFMYFTLSYFTFYGMMAAGLTPNYTMSSIRIPIWWRWYYWICPVAWTINGLVTSQFGDVDDKFDNGVRVSDFVESYFGYNLDLLWVAAMAVVSFAILFAILFGFSLKLFNFQKR >ORUFI09G06630.1 pep chromosome:OR_W1943:9:8304572:8305277:-1 gene:ORUFI09G06630 transcript:ORUFI09G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVDAGEIYAVDRRREEGSASAAAFLRSPSTGRVDDDDDDLLTYGRSARRMAALPAPAMPEGTELRRPVGGHVVGDDDYLRFLYKFKERFDRKPPLEIYIFAQTVFQGNHQG >ORUFI09G06640.1 pep chromosome:OR_W1943:9:8316062:8324164:1 gene:ORUFI09G06640 transcript:ORUFI09G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCPRNQFPQAIGAMQRMQETRCNKAMTAVPPTMWMVCRMESTILYVVELSSPVDISSMKRARPGVTIISAATPISGSGALCLEYQSGKSAVYQDVQEKSCYQLQPENLISLLFRITGLTVLTVAQNFAALQEAL >ORUFI09G06650.1 pep chromosome:OR_W1943:9:8316671:8325213:-1 gene:ORUFI09G06650 transcript:ORUFI09G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGEIHALGGSLRREASSARSGDAAVFFSRSSSRDEDDEEALRWAALEKLPTYDRARTAVLAMPEGELREVNVQRLGPQERHALLQRLAWVGDDHARFLSKFKDRVDRVGIELPTIEVRYENLNVEAEAYVGSRGLPTILNTYANVLEGLANTLHITPNRKQKISILHNVSGIIKPHRMTLLLGPPGAGKTTLLLALAGNVPSGLKVSGQITYNGHTMDEFEPRRSAAYVSQHDLHMGELTVRETVNFSAKCQGIGHRYDLLMELSRREKEENIKPDPEVDIYLKAAATGEQKAEVVTNHILKVLGLDICADTIVGNNMLRGISGGQKKRVTTAEMIVTPGRALFMDEISTGLDSSTTYNIVDSIRQTIHIVGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPREHVLEFFESVGFKCPERKGVADFLQEVTSRKDQRQYWMHGDETYRYVPVKEFAEAFQSFHVGQAIRSELAIPFDKSRSHPAALKTSKYGASMKELLKANIDREILLMKRNSFVYIFKATQLTLMTFIAMTVFIRTNMHHDSITNGGIYMGALFFGILMIMFNGLAEVGLTIAKLPVFFKQRDLLFYPAWTYSLPSWIIKTPLSLLNVTIWVFITYYVIGFDPNVERQFLLLLVMNETSSGLFRFIAGFARHQVVASTMGSFCILIFMLLGGFILSRENVKKWWIWGYWISPLMYAQNAISVNEFLGHSWNKIIPGFREPLGKLVLESRGVFPEAKWYWIGVGALLGYVLLFNILYTICLTFLNPFDSNQPTISEETLKIKQANLTGDVIEASSRGRITTNTNTADDSNDEAISNHATVNSSPGKKGMVLPFVPLSITFEDIRYSVDMPEVIKAQGVTESRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPNVTVYESLAFSAWLRLPAEIDSATRKMFIDEVMELVELSPLKDSLVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPVGQHSCELIRYFESIEGVSKIKHGYNPSTWMLEVTSTVQEQITGVNFSEIYKNSELYRRNKSMIKELSSPPDGSSDLSFPTEYSQTFITQCLACLWKQSLSYWRNPPYTAVKYFYTIVIALLFGTMFWGVGRKRSNQQDLFNAMGSMYASVLFMGVQNSSSVQPVVSVERTVFYRERAAHMYSPLPYALGQVAIELPYILVQSLIYGVLVYAMIGFEWTAAKFFWYLFFMYFTLSYYTFYGMMSVGLTPSYNVASVVSTAFYAIWNLFSGFIIPRTRIPIWWRWYYWVCPVAWTLYGLVTSQFGDVTDTFDNGVRISDFVESYFGYHRDFLWVVAVMVVSFAVLFAFLFGLSIKIFNFQKR >ORUFI09G06650.2 pep chromosome:OR_W1943:9:8316671:8325213:-1 gene:ORUFI09G06650 transcript:ORUFI09G06650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGEIHALGGSLRREASSARSGDAAVFFSRSSSRDEDDEEALRWAALEKLPTYDRARTAVLAMPEGELREVNVQRLGPQERHALLQRLAWVGDDHARFLSKFKDRVDRVGIELPTIEVRYENLNVEAEAYVGSRGLPTILNTYANVLEGLANTLHITPNRKQKISILHNVSGIIKPHRMTLLLGPPGAGKTTLLLALAGNVPSGLKVSGQITYNGHTMDEFEPRRSAAYVSQHDLHMGELTVRETVNFSAKCQGIGHRYDLLMELSRREKEENIKPDPEVDIYLKAAATGEQKAEVVTNHILKVLGLDICADTIVGNNMLRGISGGQKKRVTTGNVSLVQIKHTRFTAYPDPEIGVAAEMIVTPGRALFMDEISTGLDSSTTYNIVDSIRQTIHIVGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPREHVLEFFESVGFKCPERKGVADFLQEVTSRKDQRQYWMHGDETYRYVPVKEFAEAFQSFHVGQAIRSELAIPFDKSRSHPAALKTSKYGASMKELLKANIDREILLMKRNSFVYIFKATQLTLMTFIAMTVFIRTNMHHDSITNGGIYMGALFFGILMIMFNGLAEVGLTIAKLPVFFKQRDLLFYPAWTYSLPSWIIKTPLSLLNVTIWVFITYYVIGFDPNVERQFLLLLVMNETSSGLFRFIAGFARHQVVASTMGSFCILIFMLLGGFILSRENVKKWWIWGYWISPLMYAQNAISVNEFLGHSWNKIIPGFREPLGKLVLESRGVFPEAKWYWIGVGALLGYVLLFNILYTICLTFLNPFDSNQPTISEETLKIKQANLTGDVIEASSRGRITTNTNTADDSNDEAISNHATVNSSPGKKGMVLPFVPLSITFEDIRYSVDMPEVIKAQGVTESRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPNVTVYESLAFSAWLRLPAEIDSATRKMFIDEVMELVELSPLKDSLVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPVGQHSCELIRYFESIEGVSKIKHGYNPSTWMLEVTSTVQEQITGVNFSEIYKNSELYRRNKSMIKELSSPPDGSSDLSFPTEYSQTFITQCLACLWKQSLSYWRNPPYTAVKYFYTIVIALLFGTMFWGVGRKRSNQQDLFNAMGSMYASVLFMGVQNSSSVQPVVSVERTVFYRERAAHMYSPLPYALGQVAIELPYILVQSLIYGVLVYAMIGFEWTAAKFFWYLFFMYFTLSYYTFYGMMSVGLTPSYNVASVVSTAFYAIWNLFSGFIIPRTRIPIWWRWYYWVCPVAWTLYGLVTSQFGDVTDTFDNGVRISDFVESYFGYHRDFLWVVAVMVVSFAVLFAFLFGLSIKIFNFQKR >ORUFI09G06650.3 pep chromosome:OR_W1943:9:8316671:8325213:-1 gene:ORUFI09G06650 transcript:ORUFI09G06650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGEIHALGGSLRREASSARSGDAAVFFSRSSSRDEDDEEALRWAALEKLPTYDRARTAVLAMPEGELREVNVQRLGPQERHALLQRLAWVGDDHARFLSKFKDRVDRVGIELPTIEVRYENLNVEAEAYVGSRGLPTILNTYANVLEGLANTLHITPNRKQKISILHNVSGIIKPHRMTLLLGPPGAGKTTLLLALAGNVPSGLKVSGQITYNGHTMDEFEPRRSAAYVSQHDLHMGELTVRETVNFSAKCQGIGHRYDLLMELSRREKEENIKPDPEVDIYLKAAATGEQKAEVVTNHILKVLGLDICADTIVGNNMLRGISGGQKKRVTTAEMIVTPGRALFMDEISTGLDSSTTYNIVDSIRQTIHIVGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPREHNSSSVQPVVSVERTVFYRERAAHMYSPLPYALGQVAIELPYILVQSLIYGVLVYAMIGFEWTAAKFFWYLFFMYFTLSYYTFYGMMSVGLTPSYNVASVVSTAFYAIWNLFSGFIIPRTRIPIWWRWYYWVCPVAWTLYGLVTSQFGDVTDTFDNGVRISDFVESYFGYHRDFLWVVAVMVVSFAVLFAFLFGLSIKIFNFQKR >ORUFI09G06660.1 pep chromosome:OR_W1943:9:8338347:8350190:-1 gene:ORUFI09G06660 transcript:ORUFI09G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGETYGYGGGRREGGWAWLAAAAAAADGVFSRSSSSAREDDEEDLRWAALEKLPTYDRARTALLALPPDGELREVNVRRLAADEQRALLERVAGVADDHAGFLCMFKELTTLTSKQGLGNALHITRKKKQKISILHNVSGIVKPHRMTLLLGPPGSGKTSLLMALAGTLPSTVKVSGTITYNGHTMDEFVPQRSAAYVSQHDLHMAELTVRETVSFSAKCQGVGHHYDMLMELLRREKEENIKPDPEIDLYLKAATTGEHKAEVVTNHILKILGLDICADTIVGNNMVRGISGGQKKRLTTAEMLVTPGRALFMDEISTGLDSSTTFQIVNSIRQTVHILGGTTIIALLQPAPETYELFDEIIILSDGQVVYNGPRDHVLEFFQSIGFKCPERKGVADFLQEVTSRKDQKQYWTHGDSTYRYISAAEIAEAFQSFHVGQAVRTELVVPFGKGKSHPAALRTSKYGVSMKELLQANIDREILLMKRNSFLYIFQAIRLTVVAINTTTVFMRTNMHRDSIENGRIYMGAQFYGMLMIMFNGLAEMGLAIAKLPVFFKQRDLFFYPAWTYSLPSWILKTPISFLNTIVWVFLTYYVIGFDPNIERQFLALFVMSEATSGLFRFIASLTRDPVVASTMGSSCILICMLSSGFILSREEIKKWWIWGYWISPLMYALNTLAVNEFLGNSWNKTISGFSEPLGRLVLESRGFFPEAKWYWIGVGALLGYVILLNVLYTICLIFLTCTVDVNNDEATSNHMIGNSSSGIKGMVLPFVPLSITFEDIKYSIDMPEALKTQATESRLELLKDISGSFRPGVLTALMGVSGAGKTTLLDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPNVTIYESLMFSAWLRLPTKFDSATRKMIIEEVMELVDLYPLRDALVGLPGLSGLSIEQRKRLIIAVELVANPSIIFLDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGQHSCELIRYFEAIEGVSKIKHGYNPSTWMLEVTSPMQEQKTGVNFTQVYKNSELYGRNKNLIKELSTPHESSSDLSFPTQYSQPFLTQCLACLWKQRLSYWRNPRYIAVKYFFTIIVALLFGTMFWGIGQKRNNKQALFSAMGSMYSTCLTMGVQNSASVQPIVSIERTVFYRERASHMYSPLPYALGQVAIELPYIFLQTIIYSMLVYAMIGYEWSGAKFFWYLFFMYFTLSYYTFYGMMAVGLTPNYNMSTVVSTGFYTMWNLFSGFLIPLTRIPIWWRWYYWICPVAWTLNGLVTSQFGDVSDKFDDGERVSDFVKNYFGFHHELLWVPAMVVVSFAVLFAFLFGLSLRLFNFQKR >ORUFI09G06670.1 pep chromosome:OR_W1943:9:8357483:8357995:1 gene:ORUFI09G06670 transcript:ORUFI09G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDEGGGWIHCRDDGGGRIHRHENGGGWIRYCDDGGGRICHPNDGGDWIRRRGDGGGQIRRRDDVEAGFATATMGRRDPLAAVTTGEANREGGDGGGRVGADLTASVLGRPSLVVKEVDPAAAGTTTTAAPVMTMTVTVTMMTMATATPTHSPLLPGDHGVDFGRRRPW >ORUFI09G06680.1 pep chromosome:OR_W1943:9:8370912:8371208:-1 gene:ORUFI09G06680 transcript:ORUFI09G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEPPHLQPVFARHCHAHNCAEPDPPTSRLPLLGGRGGAFTPPARQRRARSCPSPLCPQPMPCRIRLDTPHHPRTASTLLLDAKTSQELPRRHTSCP >ORUFI09G06690.1 pep chromosome:OR_W1943:9:8382868:8389324:-1 gene:ORUFI09G06690 transcript:ORUFI09G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFVPQRSAAYVSQHDVHMAELTVRETVNFSAKCQGVGHHYDLLMELLRREKEQNIKPDPEIDIYLKAATTGEQKAEVVTDHILKILGLDICADTIVGNNMLRGITGGQKKRLTTAEMIVTPGRALFMDEISTGLDSSTTFQIVNTIRQTIRILGGTAVIALLQPAPETYELFDEIIVLSDGQVVYNGPRDHVLEFFKSVGFKCPERKCVADFLQEVTSRKDQKQYWIGSDDTYQYVPVTMIAEAFQSFHVGQAIKSELAIPFEKSKNHPAALATSKYGVSMKELLKANIYREILLMKRNSFLYIFKAIQLTLVAINAMTVFIRTNMYRDSIENGRSYMGALFYGMMMIVYSALAEMGPAIAKLPFLFKQRDLLYYPSWTYSLPSWIIKIPISFLNTTVWVFLTYYIIGFDPNALRQFLVLFVLCEVIYALFRFIVALTRHPVIASNMGPFCILIFMLSCGFILTRDDVKKWWIWLYWISPLMYALNALAVNEFLGQIWNKAILGYKEPLGRLVLESSSLLPETKWYWISIGALLGYVLLFNVLYTICLTFLTLFSRSQPTKPQEMLQIKQHNLTNEVLEASSGGRAAYNTIYAKEIINDAANSYHATRHSSAGNKGMVLPFVPLSITFEDIRYSVDMPEAFKAKGMTEGRLELLKDISGKEYLRLLWVLVVRERQHCWTSGYVQGSITISGYPKKQETFARISGYCEQNDIHSPNVTVYESLMFSAWLRLPVEIDSTTRKMFVYEVMEQVEILSLKDALVGLPGVSGLSSEQRKRITIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRIVVCTIHQPSIEIFESFDELFLMKQGGEEIYVGPIGQQSCELIRYFEIKDGYNPSTWMLEVTSTTQEQRTCVDFSQIYKNFELYRRNKNLIKELSAPPDGSSDLSFPTQYSQLFLTQWLACLWKQHLSYWRNPPCIVVRYLFTIAVALLFGTMFWGIGKKRQNQQTLFSIMGAMYSACMAMGVQNSSSVQPAIFVERTVFYRERASHMYSALSYALGQVAIEFPYIFLQTIIYCVLVYAMVGYEWTCAKFLWYLFFMFFTLSYFTFYGMMVAVLTPNNAMSAIVSMAFYNIWNLSSRFLIPWIRILVWWRWYYWMCPVAWTLNGLLTSQFGDVNDKFNNGVSVSDFIESYFGYQHDLLWVAAVAVVSFAILFAFLFGLSLRLFNFQKR >ORUFI09G06690.2 pep chromosome:OR_W1943:9:8382868:8389324:-1 gene:ORUFI09G06690 transcript:ORUFI09G06690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFVPQRSAAYVSQHDVHMAELTVRETVNFSAKCQGVGHHYDLLMELLRREKEQNIKPDPEIDIYLKAATTGEQKAEVVTDHILKILGLDICADTIVGNNMLRGITGGQKKRLTTGNVSLELLECKMVTISDPLPNIDATAEMIVTPGRALFMDEISTGLDSSTTFQIVNTIRQTIRILGGTAVIALLQPAPETYELFDEIIVLSDGQVVYNGPRDHVLEFFKSVGFKCPERKCVADFLQEVTSRKDQKQYWIGSDDTYQYVPVTMIAEAFQSFHVGQAIKSELAIPFEKSKNHPAALATSKYGVSMKELLKANIYREILLMKRNSFLYIFKAIQLTLVAINAMTVFIRTNMYRDSIENGRSYMGALFYGMMMIVYSALAEMGPAIAKLPFLFKQRDLLYYPSWTYSLPSWIIKIPISFLNTTVWVFLTYYIIGFDPNALRHPVIASNMGPFCILIFMLSCGFILTRDDVKKWWIWLYWISPLMYALNALAVNEFLGQIWNKAILGYKEPLGRLVLESSSLLPETKWYWISIGALLGYVLLFNVLYTICLTFLTLFSRSQPTKPQEMLQIKQHNLTNEVLEASSGGRAAYNTIYAKEIINDAANSYHATRHSSAGNKGMVLPFVPLSITFEDIRYSVDMPEAFKAKGMTEGRLELLKDISGKEYLRLLWVLVVRERQHCWTSGYVQGSITISGYPKKQETFARISGYCEQNDIHSPNVTVYESLMFSAWLRLPVEIDSTTRKMFVYEVMEQVEILSLKDALVGLPGVSGLSSEQRKRITIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRIVVCTIHQPSIEIFESFDELFLMKQGGEEIYVGPIGQQSCELIRYFEIKDGYNPSTWMLEVTSTTQEQRTCVDFSQIYKNFELYRRNKNLIKELSAPPDGSSDLSFPTQYSQLFLTQWLACLWKQHLSYWRNPPCIVVRYLFTIAVALLFGTMFWGIGKKRQNQQTLFSIMGAMYSACMAMGVQNSSSVQPAIFVERTVFYRERASHMYSALSYALGQVAIEFPYIFLQTIIYCVLVYAMVGYEWTCAKFLWYLFFMFFTLSYFTFYGMMVAVLTPNNAMSAIVSMAFYNIWNLSSRFLIPWIRILVWWRWYYWMCPVAWTLNGLLTSQFGDVNDKFNNGVSVSDFIESYFGYQHDLLWVAAVAVVSFAILFAFLFGLSLRLFNFQKR >ORUFI09G06690.3 pep chromosome:OR_W1943:9:8382868:8389324:-1 gene:ORUFI09G06690 transcript:ORUFI09G06690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFVPQRSAAYVSQHDVHMAELTVRETVNFSAKCQGVGHHYDLLMELLRREKEQNIKPDPEIDIYLKAATTGEQKAEVVTDHILKILGLDICADTIVGNNMLRGITGGQKKRLTTAEMIVTPGRALFMDEISTGLDSSTTFQIVNTIRQTIRILGGTAVIALLQPAPETYELFDEIIVLSDGQVVYNGPRDHVLEFFKSVGFKCPERKCVADFLQEVTSRKDQKQYWIGSDDTYQYVPVTMIAEAFQSFHVGQAIKSELAIPFEKSKNHPAALATSKYGVSMKELLKANIYREILLMKRNSFLYIFKAIQLTLVAINAMTVFIRTNMYRDSIENGRSYMGALFYGMMMIVYSALAEMGPAIAKLPFLFKQRDLLYYPSWTYSLPSWIIKIPISFLNTTVWVFLTYYIIGFDPNALRQFLVLFVLCEVIYALFRFIVALTRHPVIASNMGPFCILIFMLSCGFILTRDDVKKWWIWLYWISPLMYALNALAVNEFLGQIWNKAILGYKEPLGRLVLESSSLLPETKWYWISIGALLGYVLLFNVLYTICLTFLTYAKEIINDAANSYHATRHSSAGNKGMVLPFVPLSITFEDIRYSVDMPEAFKAKGMTEGRLELLKDISGKEYLRLLWVLVVRERQHCWTSGYVQGSITISGYPKKQETFARISGYCEQNDIHSPNVTVYESLMFSAWLRLPVEIDSTTRKMFVYEVMEQVEILSLKDALVGLPGVSGLSSEQRKRITIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRIVVCTIHQPSIEIFESFDELFLMKQGGEEIYVGPIGQQSCELIRYFEIKDGYNPSTWMLEVTSTTQEQRTCVDFSQIYKNFELYRRNKNLIKELSAPPDGSSDLSFPTQYSQLFLTQWLACLWKQHLSYWRNPPCIVVRYLFTIAVALLFGTMFWGIGKKRQNQQTLFSIMGAMYSACMAMGVQNSSSVQPAIFVERTVFYRERASHMYSALSYALGQVAIEFPYIFLQTIIYCVLVYAMVGYEWTCAKFLWYLFFMFFTLSYFTFYGMMVAVLTPNNAMSAIVSMAFYNIWNLSSRFLIPWIRILVWWRWYYWMCPVAWTLNGLLTSQFGDVNDKFNNGVSVSDFIESYFGYQHDLLWVAAVAVVSFAILFAFLFGLSLRLFNFQKR >ORUFI09G06690.4 pep chromosome:OR_W1943:9:8382868:8389324:-1 gene:ORUFI09G06690 transcript:ORUFI09G06690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFVPQRSAAYVSQHDVHMAELTVRETVNFSAKCQGVGHHYDLLMELLRREKEQNIKPDPEIDIYLKAATTGEQKAEVVTDHILKILGLDICADTIVGNNMLRGITGGQKKRLTTAEMIVTPGRALFMDEISTGLDSSTTFQIVNTIRQTIRILGGTAVIALLQPAPETYELFDEIIVLSDGQVVYNGPRDHVLEFFKSVGFKCPERKCVADFLQEVTSRKDQKQYWIGSDDTYQYVPVTMIAEAFQSFHVGQAIKSELAIPFEKSKNHPAALATSKYGVSMKELLKANIYREILLMKRNSFLYIFKAIQLTLVAINAMTVFIRTNMYRDSIENGRSYMGALFYGMMMIVYSALAEMGPAIAKLPFLFKQRDLLYYPSWTYSLPSWIIKIPISFLNTTVWVFLTYYIIGFDPNALRQFLVLFVLCEVIYALFRFIVALTRHPVIASNMGPFCILIFMLSCGFILTRDDVKKWWIWLYWISPLMYALNALAVNEFLGQIWNKEIINDAANSYHATRHSSAGNKGMVLPFVPLSITFEDIRYSVDMPEAFKAKGMTEGRLELLKDISGKEYLRLLWVLVVRERQHCWTSGYVQGSITISGYPKKQETFARISGYCEQNDIHSPNVTVYESLMFSAWLRLPVEIDSTTRKMFVYEVMEQVEILSLKDALVGLPGVSGLSSEQRKRITIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRIVVCTIHQPSIEIFESFDELFLMKQGGEEIYVGPIGQQSCELIRYFEIKDGYNPSTWMLEVTSTTQEQRTCVDFSQIYKNFELYRRNKNLIKELSAPPDGSSDLSFPTQYSQLFLTQWLACLWKQHLSYWRNPPCIVVRYLFTIAVALLFGTMFWGIGKKRQNQQTLFSIMGAMYSACMAMGVQNSSSVQPAIFVERTVFYRERASHMYSALSYALGQVAIEFPYIFLQTIIYCVLVYAMVGYEWTCAKFLWYLFFMFFTLSYFTFYGMMVAVLTPNNAMSAIVSMAFYNIWNLSSRFLIPWIRILVWWRWYYWMCPVAWTLNGLLTSQFGDVNDKFNNGVSVSDFIESYFGYQHDLLWVAAVAVVSFAILFAFLFGLSLRLFNFQKR >ORUFI09G06690.5 pep chromosome:OR_W1943:9:8382868:8389324:-1 gene:ORUFI09G06690 transcript:ORUFI09G06690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFVPQRSAAYVSQHDVHMAELTVRETVNFSAKCQGVGHHYDLLMELLRREKEQNIKPDPEIDIYLKAATTGEQKAEVVTDHILKILGLDICADTIVGNNMLRGITGGQKKRLTTGNVSLELLECKMVTISDPLPNIDATAEMIVTPGRALFMDEISTGLDSSTTFQIVNTIRQTIRILGGTAVIALLQPAPETYELFDEIIVLSDGQVVYNGPRDHVLEFFKSVGFKCPERKCVADFLQEVTSRKDQKQYWIGSDDTYQYVPVTMIAEAFQSFHVGQAIKSELAIPFEKSKNHPAALATSKYGVSMKELLKANIYREILLMKRNSFLYIFKAIQLTLVAINAMTVFIRTNMYRDSIENGRSYMGALFYGMMMIVYSALAEMGPAIAKLPFLFKQRDLLYYPSWTYSLPSWIIKIPISFLNTTVWVFLTYYIIGFDPNALRHPVIASNMGPFCILIFMLSCGFILTRDDVKKWWIWLYWISPLMSQPTKPQEMLQIKQHNLTNEVLEASSGGRAAYNTIYAKEIINDAANSYHATRHSSAGNKGMVLPFVPLSITFEDIRYSVDMPEAFKAKGMTEGRLELLKDISGKEYLRLLWVLVVRERQHCWTSGYVQGSITISGYPKKQETFARISGYCEQNDIHSPNVTVYESLMFSAWLRLPVEIDSTTRKMFVYEVMEQVEILSLKDALVGLPGVSGLSSEQRKRITIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRIVVCTIHQPSIEIFESFDELFLMKQGGEEIYVGPIGQQSCELIRYFEIKDGYNPSTWMLEVTSTTQEQRTCVDFSQIYKNFELYRRNKNLIKELSAPPDGSSDLSFPTQYSQLFLTQWLACLWKQHLSYWRNPPCIVVRYLFTIAVALLFGTMFWGIGKKRQNQQTLFSIMGAMYSACMAMGVQNSSSVQPAIFVERTVFYRERASHMYSALSYALGQVAIEFPYIFLQTIIYCVLVYAMVGYEWTCAKFLWYLFFMFFTLSYFTFYGMMVAVLTPNNAMSAIVSMAFYNIWNLSSRFLIPWIRILVWWRWYYWMCPVAWTLNGLLTSQFGDVNDKFNNGVSVSDFIESYFGYQHDLLWVAAVAVVSFAILFAFLFGLSLRLFNFQKR >ORUFI09G06690.6 pep chromosome:OR_W1943:9:8382868:8389324:-1 gene:ORUFI09G06690 transcript:ORUFI09G06690.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFVPQRSAAYVSQHDVHMAELTVRETVNFSAKCQGVGHHYDLLMELLRREKEQNIKPDPEIDIYLKAATTGEQKAEVVTDHILKILGLDICADTIVGNNMLRGITGGQKKRLTTGNVSLELLECKMVTISDPLPNIDATAEMIVTPGRALFMDEISTGLDSSTTFQIVNTIRQTIRILGGTAVIALLQPAPETYELFDEIIVLSDGQVVYNGPRDHVLEFFKSVGFKCPERKCVADFLQEVTSRKDQKQYWIGSDDTYQYVPVTMIAEAFQSFHVGQAIKSELAIPFEKSKNHPAALATSKYGVSMKELLKANIYREILLMKRNSFLYIFKAIQLTLVAINAMTVFIRTNMYRDSIENGRSYMGALFYGMMMIVYSALAEMGPAIAKLPFLFKQRDLLYYPSWTYSLPSWIIKIPISFLNTTVWVFLTYYIIGFDPNALRQFLVLFVLCEVIYALFRFIVALTRHPVIASNMGPFCILIFMLSCGFILTRDDVKKWWIWLYWISPLMYALNALAVNEFLGQIWNKAILGYKEPLGRLVLESSSLLPETKWYWISIGALLGYVLLFNVLYTICLTFLTYAKEIINDAANSYHATRHSSAGNKGMVLPFVPLSITFEDIRYSVDMPEAFKAKGMTEGRLELLKDISGKEYLRLLWVLVVRERQHCWTSGYVQGSITISGYPKKQETFARISGYCEQNDIHSPNVTVYESLMFSAWLRLPVEIDSTTRKMFVYEVMEQVEILSLKDALVGLPGVSGLSSEQRKRITIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRIVVCTIHQPSIEIFESFDELFLMKQGGEEIYVGPIGQQSCELIRYFEIKDGYNPSTWMLEVTSTTQEQRTCVDFSQIYKNFELYRRNKNLIKELSAPPDGSSDLSFPTQYSQLFLTQWLACLWKQHLSYWRNPPCIVVRYLFTIAVALLFGTMFWGIGKKRQNQQTLFSIMGAMYSACMAMGVQNSSSVQPAIFVERTVFYRERASHMYSALSYALGQVAIEFPYIFLQTIIYCVLVYAMVGYEWTCAKFLWYLFFMFFTLSYFTFYGMMVAVLTPNNAMSAIVSMAFYNIWNLSSRFLIPWIRILVWWRWYYWMCPVAWTLNGLLTSQFGDVNDKFNNGVSVSDFIESYFGYQHDLLWVAAVAVVSFAILFAFLFGLSLRLFNFQKR >ORUFI09G06700.1 pep chromosome:OR_W1943:9:8402859:8403083:-1 gene:ORUFI09G06700 transcript:ORUFI09G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCDLAFVSRPKSTMAERLLYGRDVAFAPYGEYWCQECRICVLFFRCIREEEVAVLVERVRHPCRGRWVRARC >ORUFI09G06710.1 pep chromosome:OR_W1943:9:8410562:8410923:-1 gene:ORUFI09G06710 transcript:ORUFI09G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGEICSFSRSSSPAREDDEEDLRWAALEKLPTYDRARTALLAMPDGELREVNVQRLAADERRALRCSAPPASPTTMHASSPSSKNVSIGRHNILLEHY >ORUFI09G06720.1 pep chromosome:OR_W1943:9:8440210:8443521:1 gene:ORUFI09G06720 transcript:ORUFI09G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEGNGGGRLVVTELSHIKELVRQLEGHLGGSGSPDLCKHLASQIFSVTERSIGMIRSGHFDGHRKRSAAAVAAGDLDSATPSPLSDVSDLPFKATKKRKTSTEKKRHQIRVSSTGGVENPPVDDGHSWRKYGQKEILGAKHPRGYYRCTHRHSQGCMATKQVQRTDEDATVFDVIYHGEHTCVHKAVAAGAGKPETETDTNAAAESRLHDLSSGLTVKIEGLTAPPQQQQGGGGWNAMPPFCLSSPVSGLAPPDQHNPFSAPSTPENRLAAAASSAASPATSDSMAAAPFHQAAAGGGDEAWRDAELQEVVSALVAATTTTATAQPAPATAMVDADLSALDAFEFDPGFTIDITSFFA >ORUFI09G06730.1 pep chromosome:OR_W1943:9:8443455:8447017:-1 gene:ORUFI09G06730 transcript:ORUFI09G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEDTLKFRSYTKKEVSTHNTRKDCWIIVKDKVYDVTSYVEEHPGGDEILNNAGGDSTEGFLGPQHGFRVFEIIEDFCIGKLKD >ORUFI09G06740.1 pep chromosome:OR_W1943:9:8459466:8464294:1 gene:ORUFI09G06740 transcript:ORUFI09G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDELPDPDNVSPLRVVDQTAPASPGMCTPTPCSSGFCYSTFVGSALQLWCACPGGAGSDRRSFSQASAVAAMSSQRLLFTQLHLYNLLLLIHVHHATSLNFRFDFSEPGSYCTPGSEIACAGDAYPYAHTIELTKTDISDRNLCSIGRVWYARPVPLWNNTTGEVASFRTTFSFQIKPANLDVSADGMAFFLGHYPSGIPHRSYGGNLGLFNGSNNKNATGTARIVAVEFDTYMNKEWEKDGNHVGIDVNSIVSVAATSPDKNLASGTTMTADISYDSSAEILAVTFWINGTSYHVSASVDMRRCLPEVVAVGFSASTGSSIEVHRVLSWSFNSTLTWMNSSVMPPGAAPVPPETISSEPIMSPGAAPVRTETLSSQSQVSFVLVCAFMGFLLRRRLVWKKSNEISDGDCQIELDEIFYSKKELDEIEFAKGVGPKRYHYSELAAATGNFAEEKKLGRGGFGHVYQGFLKTDDQERLVAIKKFSPDSSAQGRKEFEAEIKIISRLRHRNLVQLIGWCDSCMGLLIVYELVSEGSLDKHIYKNARLLTWAERYKIIIGLGSALHYLHQEWEQCVVHGDIKPSNIMLDSSYNTKLGDFGLARLVDHGAKSRTTKVVLGTAGYIDPELVNTRRPSTESDVYSFGIVLLEIVSGRRPVEEPDDSDELFVLSRWVWDLYSKNAVVEAVDERLRCSDDGDDELQMERVLAVGLWCAHPDRSERPSMAQAMHALQSEETRLPALRPQMYKGVPFLAMGEHRYSDLSIGTTTSSSASGTGCTAHSEPTKL >ORUFI09G06750.1 pep chromosome:OR_W1943:9:8465324:8467681:-1 gene:ORUFI09G06750 transcript:ORUFI09G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVAGVQFAEQIEQALRKADELGDDDLRAALRRARNSPADTAFQLPLLQLPVEDPVHAGAAATQPEQQNAGRRLMCPELYRAAFSGSVDKLQELLVSPSGTAAEEQGRRHDGQCVLDETTAGLNTVLHLAAGQGKIGLVRKLCDGDDTAAAAVAALLPKETTKSETALHHAARAGRRDMVSLLIRLAQMHGSGAPGLLVTKNSAGDTALHVAARHGRVAVVKVLMVAAPALSCGVNNFGMSPLYLAVVGRSIGAVKAIVQWKHASASGPKRQNALHAAVLQSVEITRELLSWNSNLAKEPDESESTPLHYAASDGVREIISMLIQSMPSAMYIPDKEGLTPLHVAAKMGHLDVIQDMLKECPDSAELVDNEGRNILHLAIERGHEPVVSYILGDPSLAELFNEQDKKGNTPMHYAVKAGNPRLAILESRNIKLNIVNNEGQTPFDLASNTTGFLHMIGFLLRLSANGARFGAQRQDCISQWSSKNVKEWNEKTTKNLGIVAVLIATIALTAMFNVPGGYNSDGVANLRATTPYNAFLVLDTVAMASSVIATMLLTYGRGAARSSTAWICMSLIFLWMALMSMILAFMAAVVSGLDSTTTKYILWSIFVLPSAFLVALSFVWAVPAPTFTTLLLLPRALAGEDSGWTRRRIGRRFRSVGVYLLVLYLFWFLNAVAFFLTVYVVVNAI >ORUFI09G06760.1 pep chromosome:OR_W1943:9:8469857:8470669:-1 gene:ORUFI09G06760 transcript:ORUFI09G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRAFEEAVDIVKEEEVVVVGRMETARAGTSVGARSGLGITVRTKEEENGASLTTPPPVAAAIVVAEGDTRKQEIMQDPKDMLSKVNPMAKEIRDLEDVTTVLLTSVVCKYIMGATYLASTC >ORUFI09G06770.1 pep chromosome:OR_W1943:9:8477889:8478737:-1 gene:ORUFI09G06770 transcript:ORUFI09G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRWEVVRRAIVEAAHYPLGPTERRPPATVEATGCRGDRPRLPPRFKARIRFFLGQRVYTLSSDAISTASPICNDLYHATASLSDFHAASPPQPTIQREPK >ORUFI09G06780.1 pep chromosome:OR_W1943:9:8480838:8481374:1 gene:ORUFI09G06780 transcript:ORUFI09G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNTRAVLLLLSAWPYLIQLCYASTLSYNFSDPQSFTSGDLHFEGDAYLGDTSVVLAKKGTGEVNPKAGRILYKQPCHLWSNTTGEVTSFTTTFSFLMNGGQGGLAFFLTSYLPMVAGAGQNAPAGGGDQQTVAVEFDTHGILGGARPCLRTTRRRRKLTRSSLATLPTVNRRLCG >ORUFI09G06790.1 pep chromosome:OR_W1943:9:8480962:8482502:-1 gene:ORUFI09G06790 transcript:ORUFI09G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALCGVVGFKPTAGRLSNAGVLPLKLDGRSRTPPSHQYSAIVDQSRSQPSRRHGVRKLTGKDGKRDGVKQPQVNACVEYERSARLEITKRDSENVRCIDVVYPHNLRFTVGKVASDDLVGREEECQPALSAVHEEGEGGGEARYLAGGVAPEMARLLVQYSSGLWVDFAGALLGQDDRGVAEVCITFKM >ORUFI09G06800.1 pep chromosome:OR_W1943:9:8490575:8491969:1 gene:ORUFI09G06800 transcript:ORUFI09G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGEYCAGGLWPSYGNVGMGTGEYGFASPSQTPFSFEVLSEATNNFSEERLLREEGQFSAFYKGDLTHLGISVAAAVKWLKIKSGQAFAVENYVKEFATISLAIRHRNIVPFLGWSSEQDNLCLVYKYVKNWSLHDHLYSPGRLLTWPTRYKIVFAIGSGLKHLHQDVRPTFPHGNIKPSNVLLDEEMNAKLGDFGLPRHFFQYDGETASSSYRQMPVSSRGYVEPGLLHTDQATTSSDVYSFGVVLLEIACGQPPIILQQDQAEANSLVKFVWECHKKGSIIEAADKRLNGEFNREQMERVLRVGLLCARRGSSQRLSMGDAMMLLEGVGF >ORUFI09G06810.1 pep chromosome:OR_W1943:9:8506339:8507391:-1 gene:ORUFI09G06810 transcript:ORUFI09G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRQLLSVLLISLLSTHPPTGVSSLSFSYDFSSQPHYNTKDLSLFYLKTTTSILDLHGRLHGDSTTTVWSKGIRSVGRVLHTQPVLLWDNATGAAASFTMTFCLRTQQQTGAGAGGSPPRMSVFLVPYYPSSNRNSRSVTTDGDDQIEEVEFETTLIARSSSMSHPSSSTSCRSSTPPWSLAGDTNHGSAGGGEGTVFVHIGYDHRTQVLTKSVRIGGAPCRSINSTVDLRRSLPSEVAVGFSSTTGHPIQLHNILLWSFNSTLETKTRSSPLTQPDEETLVHQAPVTSNERRSFVSWKQLLVRLDPWNRSVELGLGFQFFERSWVRLKLVLNSNFNISLEYGIGNEWD >ORUFI09G06820.1 pep chromosome:OR_W1943:9:8515005:8518044:-1 gene:ORUFI09G06820 transcript:ORUFI09G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGAAPVPPETVSSEPIMSPGAAVPPEKVSSPRSQVSFVLVCPFMGFLLRRRLAWKRSNGISDGNCQVELDEIEFAKGVGPRRYNYRELAAATGNFAEEKKLGRGGFGHVYHGCLKIDDQERLVAIKKFSPDSSAQGRKDTRSSLAWALHSATFSKSGSSASSKATSSQATSYSARPTTPSLGTSAWRARLVDNGAKSRTTKVVLGTAGYIDPELVNTRRPSTESDVYSFGIVLLEIVSGRRPVEEPDDSDELFVLSRWVWGLYSKNAVVEAVDERLGCSDDGDDRRAADGACARRGAVVPAP >ORUFI09G06830.1 pep chromosome:OR_W1943:9:8528460:8528684:-1 gene:ORUFI09G06830 transcript:ORUFI09G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARSGLVDAVWGCGAHAVTRDPTVAGLWLAAAPCGGGRGGTARERGGRSAARGRGWGGRGGPEEGGSAGNQG >ORUFI09G06840.1 pep chromosome:OR_W1943:9:8530965:8531759:-1 gene:ORUFI09G06840 transcript:ORUFI09G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSSHRLLFTQLHLCNSLLLIHVHHATSLNFRFDFSEPGSYCTPGSDIACAGDAYPYARTIELTKTDISDRNLRSIGRAWYARPVQLWNNTTGEVASFRTTFSSQIKPVNLDVSADGLAFFLGHYPSGIPHRSYGGNLGLFNGSSNNRNATGTARIVAVEFDTYMNKEWEKDGNHVGIDVNSIVSVAAISPDKNLTSGNTMTAEISYDSSAEILAVTLWINGTSYHINASVEIMDTPYLHGSYIPAGYRCRAPNLAPPYNGT >ORUFI09G06850.1 pep chromosome:OR_W1943:9:8533391:8535272:-1 gene:ORUFI09G06850 transcript:ORUFI09G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDERMPGDVRRKACDVNADPGDAACDGELAAAWTRAGRGGASVGGDKIRVRVRGLHDFGIRKGNHAIEIGGGETTDATTAERRQSRAAATAVAPRERERLGLERGARLGRGGGACGNDDNSVDGCDAPRRARGTWVVAWIETALRVELKAEREGSEGKSGVDGGIPSRGGDRAKDMEAREHDDPTADVEAADRRGCGQGERESPWQPSCSSHD >ORUFI09G06860.1 pep chromosome:OR_W1943:9:8537928:8538241:-1 gene:ORUFI09G06860 transcript:ORUFI09G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVIPESEMGAGDGRRAAMVLICQEKAFPALVNCPTKSCRECLLPAGIKSPAVICRRYSKAFTADNIVSGSHLP >ORUFI09G06870.1 pep chromosome:OR_W1943:9:8561324:8571166:1 gene:ORUFI09G06870 transcript:ORUFI09G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVVKVDCLNKEGLTPLDISRKLIPEGLLHGSHQRIWIKRSLHLANAHHANPSLDHRQEKCICRTVREERDSKIVEKDDDEQEDSKTITESTQVMAVCSTLIATVAFAAAFTLPGGYRADDHTNGGTPTFVGSYGFDAFVLAITFAFVYSLLATFSLVYSGMTKVDYSIRLEHLNSANSLVWLSIRCLLAAFALGLYVVLAPVAHKTALLICLMCSVGLLHGHTSMKTQIRMAVLLQGRIGFKEDTSKLSALILALPDYLWLASLSEMEAPTE >ORUFI09G06870.2 pep chromosome:OR_W1943:9:8558504:8562759:1 gene:ORUFI09G06870 transcript:ORUFI09G06870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPELLRAASHGDLQRLRVLLGVLHDDESPAPTTTTTTTSQDDDAVVLEVYRSAPLLPPPSTTAGEGEDEGTLSLLEGTTFQGDSALHVVASSGDDGDFLKSARLIYGKARHLLEATNNNGDTPLHCAARAGNVKMVTHLLELAGGDGAGDQRKKLILRKKNHQHETVLHEAVRLGNKDLIDKLMTEDPELARHPSNGATSPLYLAVILPNPQVAMQLHGYDKMLSYSGPDGQNVLHAAVLRQRDATGMLLNWNRDLTGKGDSHGRTPLHFAVSIEPPTKIPYYHKILFSIMRHIDIYTLCLDRFLYPRKTRGDSLTLTGMLMDADESSAYQPDDKGSFPIHVAAAEGNDGTINILLNKSPNCATLRNAQGRTFLHIAVENGRHTIIMFVRRRRRLAAKIMNLQDNDGNTALHLAIQDGGICMLFYVY >ORUFI09G06890.1 pep chromosome:OR_W1943:9:8578805:8579223:-1 gene:ORUFI09G06890 transcript:ORUFI09G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLYCCLRASQTSRLRKPSSACDLAKPKRRRHSWLSMILHDDYGCKPSTMSWTRRKLREKWLSSMAGADTVTSDGGGVEGMEAVEESSISGNDAVPLLARGGDTMRETVEAMLRRARRGRRGCP >ORUFI09G06900.1 pep chromosome:OR_W1943:9:8581307:8582611:1 gene:ORUFI09G06900 transcript:ORUFI09G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNELPDELLESVFLRLASPICLVRAASTCRRWCRVVADAGFLRLYRSRNALTIGNYIATDTGIFANWSRPSPSCRVSSLAFVPAAAAVTSSKRFSLDFVPEPGNTSWVLADSHGGLVLLVPERYYWGNASSVSIVVCEPWTRRYRTVIPPLENKHVACLDASLLGAGTGSEKKNNNNNHVAGVSNFTVLLILYTFGSGAKTACIFSTFTGADEELRLRLTRSMDLGDLIRPKGVPRRQRHFDADAMHFAGRAGGSLYWGTIYGVVFALDESTGELSPLTLPKCCAGEQPRFYYRQWNLRAVGDDAGGARLVRVVQHSDLEVLTPLHAGGGREGTVEKTLRLPELITRGLPELEDYSRLVERLTGVKILEVMGRSVVLTPPEGSGMWPFSVDLETMELEHVYDWGDELVQKWVFPVKPPWPPALPLHATTDVH >ORUFI09G06910.1 pep chromosome:OR_W1943:9:8596129:8597280:1 gene:ORUFI09G06910 transcript:ORUFI09G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTTDQGVAVINDSPLCKLLSSGDGRHVTFRVDYAAARRPAVAGRTLRTTCAIGDSGAYRCEASFRLLIGRRKLAAFAVVVSGPRYRDVHKVVVDLVLVDNARSVALQPPTRSMAIQAAAGSNQGGCGLLVSKDYLEENCVQDGVLVAVCSVLFLPELPPCLWLDSLGHRLAAMSNKKDSTLTDVCFDVDGERFNAHRLVMAAQSEVFRSLLFGSDDAETKTETAVVTIDGISATTFKHMLHYIYCNQLPPPATGDGDDDDGEADHVTRIAELQRLLVAADAYGVEALRQACEDTLCAGINMDTVASTLALTEKGSYPKLRGSCLEFLSNTQIYSVATNDECYEVVQSYPDVLTEIRDRFKKPRLTPKFPSTDTKDQNNP >ORUFI09G06920.1 pep chromosome:OR_W1943:9:8613081:8614265:1 gene:ORUFI09G06920 transcript:ORUFI09G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPCKLVSCGDNRHFMFRVDGAAIRRPVGRIVKETCEIGNGYTCEASLFVPSLLGERKVMMILAVTVNSDRAQSLARAHKVFIDMALLDDTKSPVLPPFARSVMSPSAAAGSSPKLPPPVAGSNLAASCKLVASRDHLLANCVKGGILAALCWVVFVSSSPRSPYSLLAHRLATMSNGRDLTDVCFDVDGKSFHAHRLIMARQSEVFRAELLGSMAESKMECITISDMSASTFKHMLHYIYCNDLPTCVKDTDDQSSWIFELQHLLVTADRYGVDTLKDLCEDTLCADITTDTVTSTLELAETRSYPKLRTSCLVFLSNTQNFAEVATTKEYYNLIQSYPSVLSEIRNRFKRPRPSLMLAPSTVTENQNKRPRLSPKLTPSADTKDENNP >ORUFI09G06930.1 pep chromosome:OR_W1943:9:8626950:8628290:-1 gene:ORUFI09G06930 transcript:ORUFI09G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGVRDGQRRLRRRHYFGPRCRTQDNTLTSKEVFTWANSNNQRLLHVGDIDRTSKFYICTSCSMWLAVEDRDRYDFDAGVMSEEEGPTL >ORUFI09G06940.1 pep chromosome:OR_W1943:9:8634791:8639262:1 gene:ORUFI09G06940 transcript:ORUFI09G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRLLPLLLRRGSTPSLSPARALSTAAVTADAPAAAAAAAEEEAMTIKGVRISGRPLYMDMQATTPVDPRVLDAMLPFYLSRYGNPHSRTHLYGWESDAAVEEARARVASLVGADPREIFFTSGATECNNIAVKGVMRFYRDRRRHVVTTQTEHKCVLDSCRYLQQEGFEVTYLPVRPDGLVDVAQLADAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICKEKGVPFHTDAAQALGKIPIDVNQMGIGLMSLSAHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAKEMDYDHRRASVLQQRLLDGIRGQVDDIVINGSMEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEEEVDRAIELTVHQVKKLRDMSPLYEMAKAGIDLKSLSGVGEDISMNHVIVLSRQSASIVHLFTCQVASSQAGN >ORUFI09G06950.1 pep chromosome:OR_W1943:9:8644560:8645933:1 gene:ORUFI09G06950 transcript:ORUFI09G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPKEAQSRAGAGVRMISSEELRAHASRDDLWISISGDVYDVTAWVPHHPGGDIPLLTLAGQDATDAFAAYHPPSARPLLGRFLVGRLEDYTVSPASADFRRLLAQLSSAGLFERVGPTPKVQVAGMLLLLCAALYCVLACASAWAHLLAGGLIGFIWIQSGWMGHDSGHHRITGHAALDRLLQVLSGNCLTGLSIAWWKCNHNTHHIACNSLDHDPDLQHMPLFAVSSKLFGLWSYFYQRTLVFDAASKFLISYQHWTFYPVMCFARINLLIQSAVFLLSSRKVPQRGLEIAGVAAFWVWYPMVVSCLPNWWERVAFVVASFVITGIQHVQFCLNHFSSEVYVGPPKGNDWFEKQTAGTLDIQCSPWMDWFHGGLQFQIEHHLFPRLPRCHLRKVSPFVRDLCKKHGLPYAAASFWQANVLTWKTLRAAALQARKATSGAAPKNLVWEAVNTHG >ORUFI09G06960.1 pep chromosome:OR_W1943:9:8669926:8672528:1 gene:ORUFI09G06960 transcript:ORUFI09G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSPAEYRSYRYVLDDNLSSPTPASGPSQPPPSPPPPPPPSPPSSPPQYPSPWSSPPSPPAPPPQILTAPPLPAQALPLAQPKTNSSTKTIAMAVVVPTLAVCVVWLWCQRKRRRKNSPPPANNDSDQYSSDGQQQHGTADLERAVTGGGARRDPVPQVRPRARRVRQRLPGPPRRRHWRRRRPPGGGREEVLDGLHGRREFEAEVRIISQLRHRNLVQLHGWCDSRKGLLLVYELVAGGSLDKHIYNTDRILTWPERYKIIMGLGAALRYLHQEWEQCILHGDIKPSNIMVDSSYNTKLGDFGLARLVDHGKAWQATRSVLGTAGYIDPVFVNTRRPSTESDVYSFGVVLLEIVCAKPPVVLQENEPSFVLLRWVWNLYSQNAILDAVDERLRVVGVVRDERQMERVLVVGLWCAHPDLSERPSIARAMNVLQSDDARLPDLSPQMCKSKASPPPRDVAVGGFPFGMT >ORUFI09G06970.1 pep chromosome:OR_W1943:9:8687275:8690612:-1 gene:ORUFI09G06970 transcript:ORUFI09G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQETMSSSSSLAAWLLLVLLLPPLFAAVDDGMRSGGSGGKCTTSCGNVGFEYPFGVEDGCYRGGGFNLTCNHTYQPPRLFLGDGSVQVLDISVPHGWALINNTGMVFNSTETRVVLNRTWDQLVGGPYSLSGSNKIALVGCNARVDLRARVKVKHGGRGGDDDTGSNLISSCTAVCPLDLEDMTPVFAIGSGGSSAACSGVGCCQAGINLDIPSSYTIQIHNLQELGGSISPTDLVFISKEEFSYTNDMAFGNNIPQALPALLDWYISSDPSECTYESAPDCLSANSFCHAYDLGYKCHCSDGYQGNPYIRGGCHDIDECKSPQDYSCYGNCNNTPGSHICDCPRGYERNASTPNGCKDIDECGHRETYQCYGECINFPGGFDCLCYHGTDGDPRKEGGCLPVKHHLSARDLGLFIGLGVGSGTILLLIAVGAPFLSRKMKVRKLKRMRQTFFNQNHGLLLQRLISQNADISERMILTLPVLEKATNNFDRTREVGGGGHGIVYKGILNLEVVAIKKSRIIVEREINDFINEVAILSQINHRNVVKLIGCCLETEVPLLVYEFISNGSLDQHLHVDEPISLSWKDRMRIAVEVARALTYLHSAATVPVLDFGASRYDPINQTEVTTAVQGTIGYLDPKYYYTGHLTDKSDNFLLGKDPPIGLIRPGDSLVLHFASLLRQGQLVGILDPQVLTEGGGEVMEVALLAGMCTRMTGQDRPTMREVEMGLENLRVSKKLASHDTASSSLVSQMAEHRMIATGDMEESSIQYSMEK >ORUFI09G06980.1 pep chromosome:OR_W1943:9:8704565:8705655:-1 gene:ORUFI09G06980 transcript:ORUFI09G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHWSTHPRPSQVPTTQLGFGGIGAWWGLRRRWHGARWGCNGGAWRGLGRSVGGAKVAVVAAAQGEGFGGGMTLGGGFSDRGGGSCGGDTRRDGGGSVSCGGGGAALVGASVAVRREGFDVGGWRCGGDDTQLEFDQCCQNFIKSKTICQTYVKFINPRQNKASKTSKSFILWDGGSSKYINRQLNCILLGKKTFQLAIIVNKVDLLHLMNSCM >ORUFI09G06990.1 pep chromosome:OR_W1943:9:8712358:8712788:1 gene:ORUFI09G06990 transcript:ORUFI09G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKHSGGKGRTSSKTSTRDVKRQKPVVAVGVSSPVSSSKQHNLAEAEDGTVSANGVMQQKPAADWNGFVHCMDTASRSEEMNHCLQKYDIHLDGI >ORUFI09G07000.1 pep chromosome:OR_W1943:9:8717294:8722480:-1 gene:ORUFI09G07000 transcript:ORUFI09G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNCTDITEIYPEGMKAYLINGAQKTGWLPVDYNMASLRNVTLTQSIYSRTPRFDSNFDILSVTGFISLIKPSSTWLNVEHDIFYREHGLNMTNYILSIQKLGSVKYISSPELGFLQSLSGGINREVNLVFCFLDKALSDPSTNKTYNYMLSNLTFIKTIASGIMVPKNYIWPVTSDNYIQLHTQIVQEAHNAGLEIYASDFSNDGIFPYNYSYDPLGEYLSFVSDGGFSVDGVLTDFPLTASEAIGNPLIISHNGGSGDYPGCTDLAYENAVRDGADVIDCSIQMTKDGIPICMSSIDLLATTDVQQSKFCSLLSVIPEIQSKKGIFTFNLTWDDINILRPKISSPLSDYNAAFMAASLGFDVVDLVTTALSHAGYNNPTTTNKEVMIQSRHSAVLVKLKHQKTQYKLVYTLPLNIEDASDSSVAEMNKFAEAVMKSVFVESSGFIVRKTNLVKELQSAGRLAVYAQMKQRWKSITTFFSVNSCTGLGDGKPRSMKAVEIGGLVQTLQDKARRPARAPALVLKPSDVVEPPLPAAAAAAAAVPKTTGYSSPRSDAPPPAAAVTAISSTGILLGMVWVSLLI >ORUFI09G07010.1 pep chromosome:OR_W1943:9:8724044:8727227:1 gene:ORUFI09G07010 transcript:ORUFI09G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEDVFVANSRNPDLGFRLQHPHPLVVAILELHIPSNFYYPQLHRTGAPPPVQHRAWAGLRIRLQYLQDCNRCVVHGYIKPANIMLDASRNAKLGDFGLARLVDHGAERRTTVGLHRSGVRQQPQALRRVGRVQLRRGATGDRPYVADGQLCRRGEPPRC >ORUFI09G07020.1 pep chromosome:OR_W1943:9:8738658:8739071:1 gene:ORUFI09G07020 transcript:ORUFI09G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTWGAKRAPAAVLALVTQEGSSSGRGAVTAADSRRIARPHIASIRARYEGRYVCGLCTDTINEELGCASSSILPAEAVDHHAFVCDIGRVSTVPPSVDESVDGMFVAVLLLLRRRLGSLPSARWHRYRKREASR >ORUFI09G07030.1 pep chromosome:OR_W1943:9:8758927:8759190:-1 gene:ORUFI09G07030 transcript:ORUFI09G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEIRGQREEGAGEVDDVVFGSGARVGQLHGDEAAIYVEEAEQRWWVVDGMDLAEKGELGDDAAKASAGGGSASEFNGGKEAMRNG >ORUFI09G07040.1 pep chromosome:OR_W1943:9:8765791:8766945:-1 gene:ORUFI09G07040 transcript:ORUFI09G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGINESENSTSLDHKLAPMLLFHYNYDMSLEESDDDQDNKESGDDEDVSDEDEFTEASDDEESMEETDDDETDASSNDNDDDTANDEGKEVNDTLLLYSISSKQLLANSKLDYLKDHFYWITPQGWLLMVHRDSHETFLWNPFTSQRISLPFDQDRFLRTNYTRCLLSCKPTDINCVVLVLSLNDTVIWYCYPGGTQWFKHEYQSRRFHRHRGSVIGYMALLTVVGGKFYTGLGDSVITLDFSPNPKFDIIPIKAVQNPMYNFSRLYLLESSGELFSLFFYPPMTCPKRIAEIEVYKLDIQRRAWVKVYTLGDRAFFVNSTKCFGASVSAKEACLEENCIYFSRTGDKGLYVHSMERGTTAALNPGEDFLDNVAAEILMPAP >ORUFI09G07050.1 pep chromosome:OR_W1943:9:8767764:8769466:1 gene:ORUFI09G07050 transcript:ORUFI09G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERDWSSLPSDVLAVILERLRWSSHPSFALTCRHWRSAVSPFYPAWITPLLLSTAHVGVTNIRYYSPYYHKNFEVGGEEGDHALSRARGAKICCAAGRHLALDSPSAVLDVELVTGNINKVPHIYRGNFDFVIYDDRAYRIFGIDAVLPLKIGYANWNSDDGVWEDWTLMELGINGPRLLPSPVTNPVIHCGLIYLLNDQGGLVMYDPCKHDEGFEILDNPTSFGFKHYNSYLVESDQHELMAVLLGRRGTLNHVIKLNEKKMEWEKVESLQGRTLFTGTLTSMVKKTKFKWMEDRVFLPVFYKWPDTIHADLISRDDELAFVPKKSSSFDTGNPNVVNHNNGACCEKCVDVWSYKLGQQEEPRENWGAERVDYGDPKS >ORUFI09G07060.1 pep chromosome:OR_W1943:9:8778448:8779149:1 gene:ORUFI09G07060 transcript:ORUFI09G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVPAENKYKKVPAYLGMLDVGGSLVPGALYNRVWRMELSSCEVHEDRGSRFGLYRRIHLNTVQIRREKYIMTDYLFKWGGSVRCVQVEGTTGFVNGMPSRTDT >ORUFI09G07070.1 pep chromosome:OR_W1943:9:8784757:8787131:1 gene:ORUFI09G07070 transcript:ORUFI09G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEPDPIQQDSPAPPRPTPAPQATPPPAIPESGPPPPPAPDMPPPPPTPAPQSSPAPPPAPDMTPPPGPGPAAAPSPHSPSPSNAPWVAPAADIPPPPPPPPNPLPVIIAVTVPVCILFMAVLLYVYVKCRRKRMALSTKHQHIKAAATHESEPNELRDAEAGALEPVASSAGPNHGKEYGGDPAAAAGPRQYEYGERVVSDGPRHGAAYNELVAAGPRLYEYGELAAATRDFAEEEKLGRGGFGSVYQGRLAGGVEVAIKKFSSDSSSQGRKQFEAEVKIIISLRHRNLVRLLGWCDSSMGLLLVYELVQHGSLDKHIYNADKPLTWSERYKIILGLGSALRYLHEEWEQCVLHGDIKPSNIMLDSSYNTKLGDFGLARLVDHDKGWQTTKAVLGTAGYIDPEFITTRRPSVQSDIYSFGIVLLEIVSGRPPVLLQEGAPPFMLLKWVWSLYGRNAILDAADERLWAAGGGKEDDARQMERALIVGLWCAQPDMADRPSIPQAMHVLQSDDAKLPELWPQMYMASPSPAKNFAMGKYRLSGVSFFTSSGVPSSATSGTTRSSGSQAGTRDGHARRLLVVLLKWVWNLYGRSSILDAVDPRLRGDDDDEEHSELWQMERVLVVGLWCAHPDRSERPSIAPTT >ORUFI09G07080.1 pep chromosome:OR_W1943:9:8792193:8804263:-1 gene:ORUFI09G07080 transcript:ORUFI09G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVKAKLTVAKTKEDTAKPPMNPWLLFLASRGDCDGITDHILLNMEAATQQKAAKEEGACHRPAPSADSSPLDQANPAIPTMATQQASGDDGHQSCLDLEGVTIEGDTALHVLATSGDGWSYLRSVEIICSKAPHLLLVQNNKGGTPLHCAVRAGHSQMVSFLIDLANNPRSNLQVAARLKEVLRKGTAFLPLHDAIRIGNKEMITKLLEFDPELASSPTDEAGISPLYLAIVLQRSDIAKLLHQMSPENLSYSGLSGQNALHAAVLQGKGFLIFCHFRLSGVTSRTASMGGVKKSILPLVDPTTQPQPFPTLLTFVLFKTFDLNTTYAEMTEMLLNWNKDLAEQVDKNRSTPLHFAASLCKDFAASLSEYTVITWMSRTPLIPVLLANPVQLYQQDSEGFYPIHVAASSGAIRTIKYLIEEQPDEIAGLLDFKGRTFLHVAVERGRWNIVEYAHRTRSLARIFSMQDNDGNTAMHIAVRNGNKYIFCILLRNRKNPEKLILLALTHCNASGGCRRADHFQKKQADEAKESEKLTTSTQTLGIGSVLIVTVTFGAILAIPGGYKADDHYNGGTPTLAGRYIFDAFTMANTIAFICSTLAIIHLMYSGMAMVSWRLRRRHFNISLFLAFSSVISLGAAFMLGMYLVLAPVARWTAIAICVMMMIASLCLFTEPLHAPRVAIAMYVRKGNQDIQRTYIINCGTPNNMHGNYRQVILVDRILRALGPLTNSSGLPLFPQAVTTTATVTTRRSIAGRDDDGGDSAWICC >ORUFI09G07090.1 pep chromosome:OR_W1943:9:8817038:8823037:-1 gene:ORUFI09G07090 transcript:ORUFI09G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKASCCSTNNSSKAPATARPTTKGSTTASGNGRPPPTTLHDVPDKLLELILQNLDSSLSLVRAAATCQRWRRVITQQSFVLDYDIPPHQIVGHYHHRLHPPSFTTPKPRGCCSSVAFVPTSPEFLTTGRRRRRFSLDFLPGGGSRWEIVDSRGSLLLLAKKKKSNWMRRCFPDLVVCEPVTRRSKVIPRMEAMKYHHCVGVFLLDADRNGSFSMSNFKVTCVVYQPYFGVSGDVGTITVCEYMEDMWDWTRHMKPDEDDDLPKLFQWYVVVTHLRTRPGIHLHGRDSLRFLGHAGGSIFWAIREDEGSLLILDENGVDPHILRTPAGVRGSELRAIVGGNGDRHNVRVVVLEGETLTLRVATWLCDIDELVLEKSLRLVEATRRLQGYKESCFCGGVDIVTVSTSCAVVTPVEEKTTWMISIDLETMEVTECKYAITLTPMATPMETRRRGRRGGKLNKARRRKPPVDPPKVVDGGTTTTTVDDVPDHLLQDILLRLASSACLVRAAYACKRWRRVVTAAGFLDAFRALHGASRHVAGHYHTVDDAYYRQAAAGGFPDGGGRSFVFVPSDSLAATDGRRFSSLDFLPECESGYSDLADSRGGLLLLTKMKQCTGGGSPARRRHCFTFPDILVCELLTRRHQGILCPPDLRGYQCLGVFLVDGDGGDIGMSNFKVICALYDRYLLNYILPLGETLACTFTSGSGGGGGGLPHSTAAGGDVTLERVRLDATSFVGRANGRVYWEIEGDEDGDMLVLDETTAGFSLVTFPENVRESYDKRTFRIIAGGDGVAMRVVRVINNDLKVFAQLDGDGEWVPEKRVWLPAAARGLPGYDEGYFQEQNGEAIIVAASAAYVLLRPPVEDTWLFSVELETMAVERWHERNKYAGVAYPCELPWPRALQATDADQISGRRRC >ORUFI09G07100.1 pep chromosome:OR_W1943:9:8826647:8827490:1 gene:ORUFI09G07100 transcript:ORUFI09G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYYKEKILADGRQLVFQEVYHEECAKQINGKYHTNFTSRQVYHKFHKLKAQWKVIMEAKNLSGPNFDDVEKKILYDETEVVRMTNAKDKMAKFINVPIRWYDEMEFIFQDKHATGEFNVLQTPYDHPMTEDDDFIGDKNGSPDYLPDQENNNGSSSSSRLVKGRKTDKCKRVRADDNVVYEITGAMDNMSETMRFTHMTHPNESLFKIIDEMTEYSVMVRLELQTYLATMRI >ORUFI09G07110.1 pep chromosome:OR_W1943:9:8828193:8829548:-1 gene:ORUFI09G07110 transcript:ORUFI09G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGRRADKANCGRAPRKAKVVPAAATSVDDVPDHLLEDILLRLGPSSACLVRAAYACKRWRRVVTAAGFLDAFRALHGAHHRVAGYYHTVDAYYAPALPGGESSVFVPSSPSLAGVDARRWFSLDFLPVSDDFSWELADSRGGLLLLSKKRRTRARSGYAAEGDFFFTDLIVCEPLTRRYQGILCPADFTGYRCIGVFLLDGGDDGGGDISLSNFRVLCALYDLYWLNNRHIGVQSAYVFSSGSHGGGWRLPKSAVADDIQLTARFNAISFVGRAGGCFYWGIDDDDDEDDGAMLVLDETTTEFSLVTFPDSIRENYHMTTFRIIAGGDGAMRVLRVIGNDLKVFTQLEGSGGGDGEWVLEKLVRLPEATRGLPGHEERYFEQNEAMIVAANAAYVLLTPSVEKTWLFSVELETMTVERQHERNKYAGVAYPYELPLLRALHAGGRYR >ORUFI09G07120.1 pep chromosome:OR_W1943:9:8868467:8870095:-1 gene:ORUFI09G07120 transcript:ORUFI09G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNVQENEQVMSTEDLLQAQIELYHHCLAFIKSMALRAATDLRIPDAIHCNGGAATLTDLAAHVGLHPTKLSHLRRLMRVLTLSGIFTVHDGDGEATYTLTRVSRLLLSDGVERTHGLSQMVRVFVNPVAVASQFSLHEWFTVEQAAAVSLFEVAHGCTRWEMIANDSKDGSMFNAGMVEDSSVAMDIILRKSSNVFRGINSLVDVGGGYGAVAAAVVRAFPDIKCTVLDLPHIVAKAPSNNNIQFVGGDLFEFIPAADVVLLKCILHCWQHDDCVKIMRRCKEAISARDAGGKVILIEVVVGIGSNETVPKEMQLLFDVFMMYTDGIEREEHEWKKIFLEAGFSDYKIIPVPGVSSAHDHTKATVLIFLLLYELQYACRWMARLMRIVAQVSGMLLAQNFHSYIQHNKRKRKPKSCKAVRSTKSISLCSVLCSCVFLSSGSGIKASKMAMLR >ORUFI09G07130.1 pep chromosome:OR_W1943:9:8877596:8878398:1 gene:ORUFI09G07130 transcript:ORUFI09G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRTHMNTRVLLPLPFAAAGPSPSLHHRPPPPGAVAFPLPPPAAPASPPAGPPLPARSGGGEAPPPPPPPLLRREPPPGCRLPSRRRHHRLHRQAAVDA >ORUFI09G07140.1 pep chromosome:OR_W1943:9:8879925:8880515:1 gene:ORUFI09G07140 transcript:ORUFI09G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGELLAGGEPVRPPRLEDAGLEDCALPPESIAEAFSLAAMAVSSRLAHFSLSDDDDDDDDDEDLLLPPRGGGAGGCVEDLGPTCGDIPDALVGVGGDRGSGADEVVVVGGGAGEGGDEVVVGGRGDEEDRVVVVGEERGEKLGSDNGCVEGIREGIADSDRGEGNGEEGKEKEEEKVEVVVAVEKAILVEDFA >ORUFI09G07150.1 pep chromosome:OR_W1943:9:8883670:8885056:-1 gene:ORUFI09G07150 transcript:ORUFI09G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFRKIILYRSVKSVPAIIHIRPHRSTIPEKIAPCWRRTKQRLSTMLARVPSILIYRISEAQTSSFLGKRHEDENTGWGSDILLPMGHANKYPIQQLGTYLGRMQGVPFFFMVECYLDSVALGIQMKIMPQNIHLVETNWEYVLVIAEAFNIFNLPLTIPFLDGSKMYFHSNPEALPILAALQPKKGEE >ORUFI09G07160.1 pep chromosome:OR_W1943:9:8891716:8896928:1 gene:ORUFI09G07160 transcript:ORUFI09G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARHCGARRRTDGNSYHHVWPEMELGWQIVLGSLIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVIFNVLFPDWLVTVLLIILFLGTSTKAFLKGVETWKKETIIKREAAKRLEQTSEEPEYAPLPTGPGAVADAKRPSDEAASLMKNIYWKEFGLLAFVWMAFLVLQVTKNYTATCSSWYWILNLLQIPVSVGVTMYEALGLMSGKRVLSSKGNEQTTLKFHQLCIYCFFGITAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYALYFVIVAFIAAIIGQHVVRRLINWLGRASLIIFILAFMIFVSAISLGGVGISNMIHRINQHEYMGFENLCKYDA >ORUFI09G07160.2 pep chromosome:OR_W1943:9:8891716:8896928:1 gene:ORUFI09G07160 transcript:ORUFI09G07160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTWQWHAIAALGVACAAAAAVAADRRAFSVTSAAGGGAAAAAAVVAATPEEVGILRKVANFLWQTDGNSYHHVWPEMELGWQIVLGSLIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVIFNVLFPDWLVTVLLIILFLGTSTKAFLKGVETWKKETIIKREAAKRLEQTSEEPEYAPLPTGPGAVADAKRPSDEAASLMKNIYWKEFGLLAFVWMAFLVLQVTKNYTATCSSWYWILNLLQIPVSVGVTMYEALGLMSGKRVLSSKGNEQTTLKFHQLCIYCFFGITAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYALYFVIVAFIAAIIGQHVVRRLINWLGRASLIIFILAFMIFVSAISLGGVGISNMIHRINQHEYMGFENLCKYDA >ORUFI09G07170.1 pep chromosome:OR_W1943:9:8897352:8899467:1 gene:ORUFI09G07170 transcript:ORUFI09G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRPDGSYGPEYGPQPPEHEYGLYHHWPSRGRAPWPLYHGRDYPWRSLEQRVRREPFVFSSRIRHINGGGNPRPRQEDPGLTDAEFKKAMEQLNKQAYRSLDPHKMVESNRGGRNHAKSARSKPAPNNTEEEKACTICLETFLAGEQVVATPCNHIFHQECITPWVKGHGNCPVCRFALCERNTVSDNSQSGVGEVEVDLDLLEMMRAMEEIFSRVTFSNFMPYN >ORUFI09G07180.1 pep chromosome:OR_W1943:9:8898630:8899689:-1 gene:ORUFI09G07180 transcript:ORUFI09G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLTKMREEFWDTAPHYGGRKEIWDALRVAAESEVSLAQAIVESAAIIVSNTDLTLCYDERVHVFMT >ORUFI09G07180.2 pep chromosome:OR_W1943:9:8899206:8899689:-1 gene:ORUFI09G07180 transcript:ORUFI09G07180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLTKMREEFWDTAPHYGGRKEIWDALRVAAESEVSLAQAIVESAAIIVSNTDLTLCYDERGAKYELPKYVLSEPTNLIQDS >ORUFI09G07190.1 pep chromosome:OR_W1943:9:8907984:8916738:1 gene:ORUFI09G07190 transcript:ORUFI09G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRLVPCGRGQLFLVVLGLLLLLLGEVHHGSGARTASLPRLLPAEVRTLRRIAQKMGILRWNFSVDPCNSGGNGGFGGTVNCDCSFYNHTFCHVTNITLEGQNFTGELPPDFAEFPNLLQLDLSRSLLHGGVPDQWARMKLQGLSLMGNNLSGPFPIALTKITTLTNLSIEGNNFYGPIPSDIGHLMQMEKLRISGNNFSGRVPVFLGKLKKLGKLQIEGSLLEGPIPSEFSKLINLYDLRISDLRGRGSVFPDLRELVSMKTIILRNCSINGSIPSYIGNMDNLKHLDLSFNKLTGEIPASFANMGHVDHIYLTGNSLTGSIPDWILKRNKIANMVESYSPEMSSLTNVESCLKRNFPCGSSNGKYRYSLNINCGDKEVTINGTKYETDVEPKGASLLYQSPGSNWAFSSTGNFMDNNINDDSYIATSASKLTVPNSELYAKARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDSTYCSLGKRRFNVFIQGRMVLEDFDIEQSAGGAAKAVIKTFTANVTNHTLEIHFYWAGRGTTGIPKRGYYGPLISAISVVPNFEVPLAVEPPQIGGSKKLSRISKAFLVAMPILAMCAALFVGIYWIKWRRKNSMHKDLRAFDLQTGSFTLRQIKVATRNFDAANKIGEGGFGSVYKGLLSDGTIIAVKQLSSRSKQGNREFVNEIGMISALQHPNLVKLYGCCTEGNQLLLVYEYMENNCLARALFGTVEQYRLSLDWPTRRKICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLSAKISDFGLAKLNDDDHTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTSYRPKEDFVYLLDWACVLHERGNLLELVDPELGSDYSTEEALLMLNVALLCTNAAPTLRPKMTKVLSLLEGHIPLQPFLSDLSLAANSLSSSGQRRNFWQTLSDQSQSMTAAQASSSNTNESSSLDIAGSLRP >ORUFI09G07200.1 pep chromosome:OR_W1943:9:8920180:8921004:1 gene:ORUFI09G07200 transcript:ORUFI09G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAAAISCYISCLEAAAAAVSFSSPSMRRRQRRLTTPAALLPFSTAPDKYGLPRHRFAPISAAINFSPDALVHPARESERQKEKAGRNMGGQEMIVEAGDSVTLQFPVMEIVGGDESKVNNAIKVAIDHGDVLTISLRDREQQKKQQPSLLDVRLLMTPGYDEKKVEWRSKKVDDKVWLEVTIKKKAPTEDGTRIVDISAIEEN >ORUFI09G07210.1 pep chromosome:OR_W1943:9:8923667:8925132:1 gene:ORUFI09G07210 transcript:ORUFI09G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVTSFNLLMSQTAPPVLKAPFGAALRSAGKPAAALALQRKSPRLLVRANNSPKSPADTGHPFGIAPFALVHPKFPPTSGNRWRITEDDDYVKLWFHVGEIDREKLKVRIEHDTVLLVSYGGAGDETSTPANSLDVRLLLPNKPYDTAKVEAELTFGTLLVTVAKRKPLQGRDKVGIPITPAPSNEKTTTATGQTGSET >ORUFI09G07220.1 pep chromosome:OR_W1943:9:8927165:8927419:1 gene:ORUFI09G07220 transcript:ORUFI09G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCPATTGMRLAAAAIPFSTALDGGGAIPFSAALDSGGDSVLRGARRWRPCSAARSGIMPLLRSGSAEVEDDGAVVHKKTGGG >ORUFI09G07230.1 pep chromosome:OR_W1943:9:8928217:8930830:-1 gene:ORUFI09G07230 transcript:ORUFI09G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKPIRKHIYYCLNPESNVHNLMIAADDLRDTIDTIEERILVGECEGKKPKAQATSWIRSAQSVRDESDKIKNGYEARRIHALGCSWNFFFNYSVSNSATKMHANADEIKKRAPENDETHEFDHVIYVEVSQQQNLETVQQNIASQLGIMLTQNKDATFRSASLYNFLKERSFLLLIDDLWQTLDLVKVGIPQGGRQLGPQNRQMIVITSRLQQVCYGMDGHCQMIVLQRLKFNEAWSLFESNAGIRITNNVQVKCHAESIVEKCGGLPLALKIVGQAMASKGTEHEWELAVNLLEQSQFHKVPDVENDLYSVLYISYDNLPDERTKQCFLFFAFASYGTHLDLSYTPIQSLPVEFRLLKKLRYLYLRYTRKLQTVPDGTISALSMLRVLDIHGSVFFTKVKARSYLEELESLTSLQLLRVTVVDFQSLRRIFNLSRVSLRDRIGTPPSFVPTYQQSKGTTSRSSGSELYEEFGEVDDRLHHLTKLGSIMWKGVMPHACFPKVRTVDIIGCHSIKTLTWINQLPCLEEVYLYNCNSLLEVVSDDDEEDTTMPSATASSSFPRLRHLGLSHLKDLYKICGDGRLGFPCLQRLLVYECPMLARLPFVLWNGSAVCL >ORUFI09G07240.1 pep chromosome:OR_W1943:9:8932899:8934980:-1 gene:ORUFI09G07240 transcript:ORUFI09G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRVLLFVKPFDVYPPRPLAAAASSPPPPPPPLRVSNPKVLNYLDDRCRVHKETINLCKSVLQRKSIDWISVQRNDMSNPIHDVDLVISVGGDGTLLRASHFLNSSIPVLGVNSDPTCPDEVDELTDEFDARRSTGHLCAATAANFEQILDATLDGSRQPSELSRISVKLNGLQLPTYALNDILVSHPCPASVSRFSFRSSGLRVATPAGSTAAMLSAGGFVMPISSHELQYMIREPISPRDADKPLLHGLVKQGQHILVVWYNEEGAVYFDGSHVMHSIQHGDTLEISSDAPILKVILPENLLKQGS >ORUFI09G07250.1 pep chromosome:OR_W1943:9:8944979:8949652:-1 gene:ORUFI09G07250 transcript:ORUFI09G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGSDRQAHLATARPRPRSDLAVAEVAKSGGARAEGGEERREVLLPVALAALFLRAVAGPGPALLPPGEDKGATLMATQLPPARQCLPPHATIASPDTSASHTPTQPPAGSPPAEGRATPAAGRRLPLAAAASPDTTACRLSSGRGESAAGRRLPPPAPRHPGQGRVDQEKRGGEKKDKERWRRLRQKIRREGES >ORUFI09G07260.1 pep chromosome:OR_W1943:9:8957395:8966558:1 gene:ORUFI09G07260 transcript:ORUFI09G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEVVGTEAAPAEVKVTDGEVNLFQENESKATAKEREEAVLFGSDNSTATANGAANGADLAPPKDAEEDWPEARKTHSFFFVKIRLLEDPKLKMKIDQAEKDFQKKIQARSQIFEAIKAKKNERFGIISELKPLAAENKQYNEAVSEKLKAIEPLRNRLGKFRDENNAIRAQGAGICSSIEELEKSIKRLNDRISHESIPLDEEKRLIKQIRELEKTRPKVISTSANRAQIQDTVVERDAIQDQVKIIGEGIDGVKKERQAVRSKIKVLEDELKAIDMEMGSLQEDLTAANARKDKAHESLVQLRHARDAYNASFHQNRQLLSKARDLASRSELAQVQELYKTQVDKFVAEWCNSKAFREDYEKRILSSLNSRQLSRDGRMRNPDEKPIFIETEAAAPPVEQEPIQSKMPAKQAKEAPAPQAEVSPKDESRVKAIAKPSKAKSSLDADDDYEAESPKEKPKPKEVDVAKLKEIKRQEEMEKNRLALERKKKLAEKQAAKAAARAQKEAEKKLKREEMRARRRAGAADTEASTESDNRSDGAAEAQAEDDSAPASAPVMREQRESVRYSRNVVTKSKAPLPKAILRRKKAQSYWSWAGPAAAVAAALVALLAVLGYYQYYLPASASN >ORUFI09G07260.2 pep chromosome:OR_W1943:9:8959816:8966558:1 gene:ORUFI09G07260 transcript:ORUFI09G07260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEVVGTEAAPAEVKVTDGEVNLFQENESKATAKEREEAVLFGSDNSTATANGAANGADLAPPKDAEEDWPEARKTHSFFFVKIRLLEDPKLKMKIDQAEKDFQKKIQARSQIFEAIKAKKNERFGIISELKPLAAENKQYNEAVSEKLKAIEPLRNRLGKFRDENNAIRAQGAGICSSIEELEKSIKRLNDRISHESIPLDEEKRLIKQIRELEKTRPKVISTSANRAQIQDTVVERDAIQDQVKIIGEGIDGVKKERQAVRSKIKVLEDELKAIDMEMGSLQEDLTAANARKDKAHESLVQLRHARDAYNASFHQNRQLLSKARDLASRSELAQVQELYKTQVDKFVAEWCNSKAFREDYEKRILSSLNSRQLSRDGRMRNPDEKPIFIETEAAAPPVEQEPIQSKMPAKQAKEAPAPQAEVSPKDESRVKAIAKPSKAKSSLDADDDYEAESPKEKPKPKEVDVAKLKEIKRQEEMEKNRLALERKKKLAEKQAAKAAARAQKEAEKKLKREEMRARRRAGAADTEASTESDNRSDGAAEAQAEDDSAPASAPVMREQRESVRYSRNVVTKSKAPLPKAILRRKKAQSYWSWAGPAAAVAAALVALLAVLGYYQYYLPASASN >ORUFI09G07270.1 pep chromosome:OR_W1943:9:8968307:8969104:1 gene:ORUFI09G07270 transcript:ORUFI09G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALSSPVMARAAPSTSSALFGEARITMRKTAAKPKPAASSGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWAVQVVLMGAVEGYRIAGGPLGEVVDPLYPGGAFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >ORUFI09G07280.1 pep chromosome:OR_W1943:9:8969189:8970050:1 gene:ORUFI09G07280 transcript:ORUFI09G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVRRDDDRVGVVYTKKMKKKMMYQAISTTCRMILARYRMIPSYQISGIRSRALRRHRQWPHPPHPMLELVAGGRILRRPCPTSEVIVGGCIL >ORUFI09G07290.1 pep chromosome:OR_W1943:9:8970141:8970320:-1 gene:ORUFI09G07290 transcript:ORUFI09G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPEWSNAESKPVDMGCDNGRDGVVVGQMWSEDDKATGDELRCDVDGGGRARGSRRR >ORUFI09G07300.1 pep chromosome:OR_W1943:9:8971523:8975284:-1 gene:ORUFI09G07300 transcript:ORUFI09G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREALRMVCSREFWRMAVLWTVSLLYSYILLFFLRRGAPVPRLRPMPEPDDDAARRRRRRPVCVITGATSGLGKAAAAALAREGYHVILAGRSSQLLSETVQQIRDQQPDAHLEAFQIDLSSYKSIKKFETSLNQWIKDSNMEHSIQLLVNNAGILAKSYRITEDGLDEMIQANYIGPFVLTNILLPLLKNSSTPSRVVNLTSFTHRCVSEINLSEKGLSGVRFGHWPARRSYLLASTYEYTKFCLLMFSYELHRQLHLSSGVSVMAADPGVVQTGIMRELPPCLSWLALSVLRLLNLLQQPDTGVDAVLDAALAPPDSSGKYFFGGKGRTITSSQLSYNVEVAKKLWAESLALFNELQNRPDVK >ORUFI09G07300.2 pep chromosome:OR_W1943:9:8971523:8975284:-1 gene:ORUFI09G07300 transcript:ORUFI09G07300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDREALRMVCSREFWRMAVLWTVSLLYSYILLFFLRRGAPVPRLRPMPEPDDDAARRRRRRPVCVITGATSGLGKAAAAALAREGYHTVQQIRDQQPDAHLEAFQIDLSSYKSIKKFETSLNQWIKDSNMEHSIQLLVNNAGILAKSYRITEDGLDEMIQANYIGPFVLTNILLPLLKNSSTPSRVVNLTSFTHRCVSEINLSEKGLSGVRFGHWPARRSYLLASTYEYTKFCLLMFSYELHRQLHLSSGVSVMAADPGVVQTGIMRELPPCLSWLALSVLRLLNLLQQPDTGVDAVLDAALAPPDSSGKYFFGGKGRTITSSQLSYNVEVAKKLWAESLALFNELQNRPDVK >ORUFI09G07300.3 pep chromosome:OR_W1943:9:8971523:8975284:-1 gene:ORUFI09G07300 transcript:ORUFI09G07300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDREALRMVCSREFWRMAVLWTVSLLYSYILLFFLRRGAPVPRLRPMPEPDDDAARRRRRRPVCVITGATSGLGKAAAAALAREGYHVILAGRSSQLLSETVQQIRDQQPDAHLEAFQIDLSSYKSIKKFETSLNQWIKDSNMEHSIQLLVNNAGILAKSYRITEDGLDEMIQANYIGPFVLTNILLPLLKNSSTPSRVVNLTSFTHRCVSEINLSEKGLSGVRAADPGVVQTGIMRELPPCLSWLALSVLRLLNLLQQPDTGVDAVLDAALAPPDSSGKYFFGGKGRTITSSQLSYNVEVAKKLWAESLALFNELQNRPDVK >ORUFI09G07300.4 pep chromosome:OR_W1943:9:8971523:8975284:-1 gene:ORUFI09G07300 transcript:ORUFI09G07300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDREALRMVCSREFWRMAVLWTVSLLYSYILLFFLRRGAPVPRLRPMPEPDDDAARRRRRRPVCVITGATSGLGKAAAAALAREGYHVILAGRSSQLLSETVQQIRDQQPDAHLEAFQIDLSSYKSIKKFETSLNQWIKDSNMEHSIQLLVNNAGILAKSYRITEDGLDEMIQANYIGPFVLTNILLPLLKNSSTPSRVVNLTAADPGVVQTGIMRELPPCLSWLALSVLRLLNLLQQPDTGVDAVLDAALAPPDSSGKYFFGGKGRTITSSQLSYNVEVAKKLWAESLALFNELQNRPDVK >ORUFI09G07310.1 pep chromosome:OR_W1943:9:8979523:8985317:-1 gene:ORUFI09G07310 transcript:ORUFI09G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKALGLRSSASSKGGSPGSGGGGKSVPPRRPATVGELMRVQMRVSEPADARIRRGLLRIAASQLGRRAESMVLPLEFLQQFKASDIPDPQEYEAWQSRNLKLLEAGLLVHPLVPLNKSDVSAQRLRQIIRGAYDRPLETGKNSESMQVLRSAVMSLAGRSDDGTSDGCHWADGFPLNLHLYQMLVEACFDNDDGTVVDEIDEVMELLKKTWGILGINQMLHNLCFAWALFNHFVMSGQVDIELLSAAENQLAEVAKDAKTTKDPNYSKVLSSTLSSIMGWTEKRLLAYHETFNTSNIESMQGIVSIGVSAARVLVEDISHEYRRRRKEETDVARSRIETYIRSSLRTAFAQRMEEADSKRSSRNPTPVLSILAKDIGDLAIKEKNLYSPILKTWHPLASGVAVATLHSCFGNELKQFIAGLTELTPDTVQVLKAADKLEKDLVNIAVEDSVDSDDGGKSLIREMPPYEAENAIANLVKVWIKERIDRLKGWVDRTLKQETWNPAANRENIAPSCVEMLRMVGETLDAFFQLPIPMHPVLLPDLMFGLDRSLQLFVSKAKSGCGTRNSFMPQLPPLTRCEVGSNILFKKKEKPQNPQYRGSQNGTTNGADPLALPQLCVRLNTLQFVRGELENLEKKIKTGLRNVESAQADVTDGLDIKFELCQTACQEGIQQLCETTAYKVTFYDLGHVLWDILYIGDIASSRIEILLRELDPILETISGKVHNKVRNRAITALMKATFDGFLLVLLAGGPLRAFTRQDSQIIEDDFKALKDLFLADGDGLPEELVDKASSQVKNVLPLLRTDSESLIDRFKRMMAESNRSGAKNRLPLPPTTGHWSPNEPNTVLRVLCYRYDETATKFLKKTYNLPKKI >ORUFI09G07320.1 pep chromosome:OR_W1943:9:8994926:8999854:-1 gene:ORUFI09G07320 transcript:ORUFI09G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSSVRFAPSEIARMEKLVTHKKEQVLDEIFCRKLAEEFNCSPGRVGSKALQAVQVQEWFRQKFPASTVIPPCLPTGSEEKALASQASAPVSEEKPPSSEENALAVDTSISNDIGEVSPDLPIDNIDKLPEIEDMQFEARSSKDFAWYDIATFLAYRKLSSGEFEVRVRFQGFGAEEDEWINVRKAIRLQSIPLESSECKLIREGDLVLCFKESNDEALHFDAHVLEVQRKQHDIRGCRCVFLVEYDHDGTQERVNLRRLSRRPKHS >ORUFI09G07320.2 pep chromosome:OR_W1943:9:8995021:8999854:-1 gene:ORUFI09G07320 transcript:ORUFI09G07320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSSVRFAPSEIARMEKLVTHKKEQVLDEIFCRKLAEEFNCSPGRVGSKALQAVQVQEWFRQKFPASTVIPPCLPTGSEEKALASQASAPVSEEKPPSSEENALAVDTSISNDIGEVSPDLPIDNIDKLPEIEDMQFEARSSKDFAWYDIATFLAYRKLSSGEFEVRVRFQGFGAEEDEWINVRKAIRLQSIPLESSECKLIREGDLVLCFKESNDEALHFDAHVLEVQRKQHDIRGCRCVFLVEYDHDGTQVISTNPTHVSLEQKMICRSCECSTIKSQMICRSCK >ORUFI09G07330.1 pep chromosome:OR_W1943:9:9000470:9001097:1 gene:ORUFI09G07330 transcript:ORUFI09G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTGIPSRRDRIDTNQSTLLLPSYSFYNISLTRAAATKSTTSSGVAWVWMTEDAVTSDELRRGRPRRAPGIADSDDELQRGDAVAGDELRRGRPRQAPGIADSSDELPRGRQRRMRPTATWSPDCCLELQHRHPASTAPPLTPTSGSIRVASRCALRQRSNSVTGPL >ORUFI09G07340.1 pep chromosome:OR_W1943:9:9002771:9003220:1 gene:ORUFI09G07340 transcript:ORUFI09G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMALLQRWCGAEDGLWEAIWATSTRKYPLSLGHFRLLRWLGYGNISAACTLLELRGGGGGGALFTLVEFVRRHGRPTGRRRNKEKEEGEEKKRRNKTEGMENVTAMAQFQFCKISIAPNDIMNSIGIFLNWQSCNGMDKIKRQSIICH >ORUFI09G07350.1 pep chromosome:OR_W1943:9:9017809:9019569:1 gene:ORUFI09G07350 transcript:ORUFI09G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGAVDIDCPQHRLCGYRDLIRGVSGGGAAMSRWPEAAPFVPQIASTGGDGGAAVAGGGWSSGSSSPAPSSCSSSSSWREGDCCYDVCWCSSSTVHELRSIAERMVRDGYIEGLIRAFGGAATAGAAGRRGPPDELLLHNWFSQLDVEWVLLLHTCSEEEEDEHVRRPPPLPVEDLMALMERWIRALLTMVQVLCITQLELRAKKPTVAGVRRAIQFFLLRRDSKTAHADYVQQVVQFARFAEESILRMLAFVDAATLAVVQDDDDDHRVAEALPGMLQVYACISEASPTVLAMFKEASDLLASGSSRHGQEAQVFDGMDGIFLRKRKKLSDAIWNMMEKVRASFLQDGCWQVSPEASGVHETTVLMMNYIALLWRNDDVLTFILQDHHFSVFVSHTQGFSSVVNLITDIISCLGHKLEEIASSLSNSILDPALRCIFLLNNWQLVLHRIESLDLPSWALIDRCRTRRYIDTYIDVFWSPLLCCIFIGNSSDTPRKKTYRPAFGFRRYLSLENFEIEFRKTYAKHKFFKVPDPKLRQRLRQAIIQKIIPHYSMYLEERAARGMHNRPPKITPEQLKELLEELFEG >ORUFI09G07360.1 pep chromosome:OR_W1943:9:9025877:9040099:-1 gene:ORUFI09G07360 transcript:ORUFI09G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFSSTSPRAGAQALSQTISTSPKMGVGLGAALSQNEIERGHLHPPLVPDRTPTVPARHVRSPRRWAPLAATHQGGPHAGFAPSDPAAAARSFHSPRRRRRPERWLAVFEYCILSGPFWLSCQKYRALIGKYHSEKNQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGFHALRSRGGGGEKLPLASAAAPASQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >ORUFI09G07360.2 pep chromosome:OR_W1943:9:9025877:9040099:-1 gene:ORUFI09G07360 transcript:ORUFI09G07360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFSSTSPRAGAQALSQTISTSPKMGVGLGAALSQNEIERGHLHPPLVPDRTPTVPARHVRSPRRWAPLAATHQGGPHAGFAPSDPAAAARSFHSPRRRRRPERWLAVFEYCILSGPFWLSCQKYRALIGKYHSEKNQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRTGILLAVTIIYQYFETFEKERATELGFFGF >ORUFI09G07360.3 pep chromosome:OR_W1943:9:9025877:9040099:-1 gene:ORUFI09G07360 transcript:ORUFI09G07360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFSSTSPRAGAQALSQTISTSPKMGVGLGAALSQNEIERGHLHPPLVPDRTPTVPARHVRSPRRWAPLAATHQGGPHAGFAPSDPAAAARSFHSPRRRRRPERWLAVFEYCILSGPFWLSCQKYRALIGKYHSEKNQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPLLYRRYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >ORUFI09G07370.1 pep chromosome:OR_W1943:9:9042127:9046726:1 gene:ORUFI09G07370 transcript:ORUFI09G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQKGTDAAADPKKRRRVGFSGIDAGVEANECMKVFIARNPDEAGSANSTSLQPFDLNHFFGEDGKIYGYKNLKINVWISAISFHAYADISFEETSDGGKGITDLKPVLQNIFGENLVEKDEFLKTFSKECEYLSNVVTDGNVIKHDASIDEDSAVEIVRVELQGSTPIDITEHGWEMLLVVKKSAQASSSSNFLVLGFAAVHHFYHYPESTRLRISQILVLPPYQGEGHGLRLLETINSISESENIYDVTIEDPSDYLQYIRSSIDCLRLLTFDPIKPALCSMVSSLKDTNLSKRTSSLKMVPPSDLAETVRQKLKINKKQFLRCWEILIYLNLDAEDRKSMDNFRACIYDRIKGEILGTSTGPNGKRLVQMPSNFDEETCFAVYWTQDGGDADDQTVEQQPEDLKTQEQQLNEVVDSQMEEIVEIAKNVTSRGKDKLSVSCSV >ORUFI09G07380.1 pep chromosome:OR_W1943:9:9049031:9050249:-1 gene:ORUFI09G07380 transcript:ORUFI09G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVELPLPTEKLAVDPGREGGEQELAKDELQQRGYCVLGGYMSPVNDAYKKEGLLSAAHRIRLCELACESSSFVMGDRWEAMQKGYQRTLTVLSRIRNALCKDGLADGGSLKVMLLCGSDLLESFSIPGVWIPDQIRTICKDFGVICIRREGKDVEKIYNIQQRDTERMQGNND >ORUFI09G07390.1 pep chromosome:OR_W1943:9:9055855:9063180:1 gene:ORUFI09G07390 transcript:ORUFI09G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLFAVLVLATTTLHGVVGQKAGFVSIDCGLEGTSGYTAEDTGIVYVSDGPYVDTGENHRLLPGEEGQRERRYLTVRSFPSGVRNCYSLPTVAGAKYLVRVVSYYGNYDGKDDSLLSSTSQFDLHLGATYWDTVSKSSYRFREAMFVAWASWVPVCLVNTGRGTPFVSAVELRPLGGELYPALNAIQSQSMRLVQRTNMGPSKSRILRYPRDPYDRRWLWMQLDRTWKNLSTTSTIKDTSLDYAVPLLVMQTAAEAVSNETSLAITGEYKAPMDQLEVFMHFADFQNSQLRQFSISFNKKASVQMRPSYLATNTLHSTYKATGGVCTMTLKPTSESTLRPMLNVFEVYTVIPRDNPMTFPRDFDTIMAIKIEYGIKKNWMGDPCFPTEFAWNGVKCSNVSGNNTARIISFFDSDGDVCNKTINPSPSRNKSKRAAIAISIVVLVMAIAILILVYLVWRQRRKPNNDPPREPEHENENASASINNHGDALQKVESRQFTYKELEKLTNHFEQFIGQGGFGPVYYGCLEDGTEIAVKMRSDSSSHGLDEFFAEVQSLTKVHHRNLVSLVGYCWEKDHLALVYEYMARGSLSDHLRGNNAIGEGLNWRTRVRVVVEAAQGLDYLHKGCSLPIIHRDVKASNILLSQNLQAKIADFGLSKSYLSETQTHISVTPAGTAGYIDPEYPLYRYFYTGRLTESSDVYSFGVVLLEIATGESPILPELGHIVHRVKNKIATGNISLVADIRLRGSYEVSSMWKVVDTALLCTTDIGTQRPTMAAVVALLKESLALEETRADSAFSGTTGTSHSTASSANFGPLAR >ORUFI09G07400.1 pep chromosome:OR_W1943:9:9074562:9081275:1 gene:ORUFI09G07400 transcript:ORUFI09G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAADLESKVERPYRTLRSFPSGDRNCYSLPTVAGAKYLIRMSFYYGNYDGKDSSSTLQFDLYIGVDRLTTVHGDSKVFHEALFVAWANWAPVCLVRTSPGATPFVSSVELRPLGSGLYPDLMANESMYMADRKNMGSNNSVIEYKDDLYDRYWWPMPSNPTWKNISTASPIDLASNYAVPSPVIQTAIEAVSTNTTLTLYTWRDQGSNGYEYKVYMHFADFQNSQLRQFNISFNTLRDDQYSPPYLAPFVVSNNGWYRSNDGEYNITLQATTASKLPPMINAIELYIRISHVNPRTLPRDFDAIMAIKFEYGIKKNWMGDPCFPVELGWDGVRCSNASGNTTKIIALDLSNSNLHGPISNNFTLFMALDLDYNIDQCSPLPPPTKKGNKAVIIAISVVVPVIAIGALVLVYLIWRWKTKSNVSSANPPREPELEIAPATRKYDGDALQKVENRRFTYKELEKLTNKFEKFIGQGGFGLVYYGRLEDGTEVAVKMRSESSSHGLDEFFAEVQSLTKVHHRNLVSLVGYCREKDNLALVYEYMARGSLYDHLRGNNDVRETLNWRTRLRVVVEAAQGLDYLHKGCSLPIIHRDVKTQNILLGQNLQAKIADFGLCKTYLSDTQTHISVTPAGSAGYMDPEYYHTGRLTESSDVYSFGVVLLEIVTGESPILPGQGHIIQLVKKKIAAGNISLVADARLGGAYDVSSMWKVVDTALSCTADIGVERPTMATVVVQLKESLALEEARSDSGFRGSISTIRASVTGYL >ORUFI09G07410.1 pep chromosome:OR_W1943:9:9085067:9085465:1 gene:ORUFI09G07410 transcript:ORUFI09G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLDAASPFLLYSAAAAGGSRRPLAAATTGRHAASSLRVGALKYCRIYKPYHRIYKPRSSGRCLLHPPPQGRRSPHLHHPELLGTRSGLYVVRDHRIWSPPCSGHRLLRLLRRRRLFVYSATELGSPSP >ORUFI09G07420.1 pep chromosome:OR_W1943:9:9087090:9087962:1 gene:ORUFI09G07420 transcript:ORUFI09G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFQQVSMALLPLFVALLSAALQTVGQSPGGPPAGFLSIDCGREANFSDYTDPKTGIVYVPDGQYVDSGENHRITNEKAKTKSTDLQTVRSFPPSGLRNCYTLPTRKGAKYLVRLIFVYGNYDGEDNASILKFDLHLGAHFWTTVENPSDRVYEAIFMAWARWAPVCLINTGSGTPFVNTVELRPLGDALYPTVMANQSMSKRVRCSLGETKSYITRCYIDSLV >ORUFI09G07430.1 pep chromosome:OR_W1943:9:9123799:9139688:1 gene:ORUFI09G07430 transcript:ORUFI09G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYFDPTWKNISSLSTIKEDSNFAVPSPIMQTAIEAVDNNTILNITWVNKMPSGHNIKLLLHFADFQNSQLRQFNASLNNVQPYQYSPPYLTADALFTSGWSTASDGQYTIRLEPTSASKLPPMINALEIYSLISHNSPTTLQADFETIMAIKLEYGIKKNWMGDPCFPHYAIKFMHKPDAGPLEKSICRDLSNSNLSGAISNNFTMLTALQYFYDSDGNMCNKPIIVPSPSSVKQGNRAATLAISVVVPVTVIAVFLLAILFWRRQKRKSNFSEEDTPRDQAEVENIPERIKAHGDILQKVENRQFSFNELEKFTNRFERLIGQGGFGPVYFGRLEDNAEVAVKIRSESSSHGITEFFAEVQSLTKVHHRNLVSLVGYCCEKDHLALVYEYMARGSLGDHLRDNNGVSKTLNWRTRIQVVIEAAQGLDYLHKGCSLPIIHRDVKTGNILLGQNLQTKIADFGLSKTYLSETQSHISVTAAGTTGYIDPEYYHTGRLTESSDVYSFGIVLLEIATGESPILPGQGHIVQRVKRKIDAGDIRLVADPRLRGAYEVNSMWKVVDTALLCTADVGVQRPTMATVVVQLKESLAIAEAHDNIGLRGSIGTTSDTSISTSNKLLSSMALILVLFAAFVLSIVLHAAAQPADFLSIDCGLEANYSGYKDADTGIVYVSDEPYVDSGENHRVAADQESRWGDTNLRTLRSFPSGVRNCYALPTRAGTRYLVRLSFVHGNYDGSNADAGGGGGGGGGWSTLSFDLYLGVDRWATVDKDYAHEAVFVAWASWAPVCLVNTGSGTPFVSVVELRPLDDALYPSVMANQSMARYVRCSIGDNKEFITRYPGDQYDRFWWQLGYSSPTWKNLSTVSAITQDSIYTVPLTIIQTAVEAVGNNTMLNITWQDQTPRGRGLKFFMYFADFQNSQLRQFNVSFNDVEPYQYSPPYLTTGVLYNSGWSIATDGNYNISLVPTAASKLPPMINALEIYTLISHDSPMTFPVDFETIMAIKLEYGIKKNWMDSTEDPPRDQSELENALQTRQNHGDVLQIVENRQFTYSELEKVTNKFERHIGQGGFGPVYFGCLEDNTKVAVKMRSELSSHGLDEFFAEVQSLTKVHHRNLVSLIGYCWEKDHLALVYEYMDQGSICDRLRGNNGASETLNWRTRVRVMVEAAQGLDYLHKGCSLPIIHRDVKASNILLDFGLSKTYLSETQTHISVTPAGTAGYIDPEYYQTSRLTESSDVYSFGIVLLEIATGEPPIISGQGHIIQRVKNKIVAGNISLIADARLDGAYEVSSMWRVVDTALQCTVDVVAQRPSMATVVAQLKESLALEESREDSGFMGSTSTVSDNTFSTSGFGPSAR >ORUFI09G07440.1 pep chromosome:OR_W1943:9:9142141:9149942:-1 gene:ORUFI09G07440 transcript:ORUFI09G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSRKKEVEIKTFLCHFSLVHAIGFLSIDCGLEADDSYPDDLTGITYVPDGRYTDAGENHKVTTVYRDAWWGPDTRTLYTVRSFPSAEGQRNCYSLPTDVRSKYLVRLEFLYGNYDGLDSSSLKFNLTLGVKHWDTVSIDTTDGNDGYNVHEAVFVAWASWAPVCLINIGQGTPFVSTVELRPLGILPYPAVMGNVSLSLYVRSNLGSSPDDDNLVRYPDDQYDRFWFTDTYTEADPLTTNISTQSTIQPSTEFAVPSPVLQKAVVPSGNSTKLVFFSDQLDALLHDHFVILHFADFQNKKSREFTVSIDNGVQSSPYSTPYLKGLSVTGGWSSNSEGKYNFTIAATATSALPPILNAYEVYGRIIHDNPTTFSQDFDAIMAIKYKYGIKKNWMGDPCFPPEYVWDGVKCSDAGDKIMRIISIDLSNSKLNGSISNSFTLFTALKYLNLSCNQLNGTIPDSLLKNNGSIDFSYESDGNMCKTHATPSLSRNTLAVSVVAPVLVLAILVLAYLIWRAKRKLNTSSTDLAMVPELMGAPGHITNHWDHLQKPENRRFTYQELEKFTENFKHLIGHGGFGHVYYGCLEDSTEVAVKMRSKLSSHGLNEFLAEVQSLTKVHHRNLVCLVGYCWEKEHLALVYEYMSRGNLCDYLRGKTGMGEILNWKTRVRVALEAAQGLDYLHKGCNLPIIHGDVKTNNILLGQNFKAKIADFGLSKTYHSDSQTHISAAAAGSMGYIDPEYYTTGRLTESSDVYSFGVVLLEITTGEPPIIPENGHIVQRVKQKIVSGNISSVADAHLGGAYNVSSMWKVVNIAMMCTTDIATQRPKMGDVVVQLKESLDLVEVHGDRGDMENLASDTMSSMSTFGPSAR >ORUFI09G07450.1 pep chromosome:OR_W1943:9:9161437:9162334:1 gene:ORUFI09G07450 transcript:ORUFI09G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIEWKSGGNRFMERCNGALEAEILACVEGIALALHWTMLPFEVETDWLTLTQMLQSREKDLSASAHLVREIRRLIDGDREISARKIHHAQNQYY >ORUFI09G07460.1 pep chromosome:OR_W1943:9:9168335:9217283:-1 gene:ORUFI09G07460 transcript:ORUFI09G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLAYFTVFVLAASVPATGQQGFLSIDCGLDQDYNTDSLVGDITYVSDGAYVDAGENRRVTTVYKDDWKGPRYQTLYTLRSFPSSVTGDRNCYSLPTNKGDKYNVRLEFLYGNYDGLDSASLTFNLTLGVNHWDTVILDTAIHYGYKAYAAVFVAWAMSAPVCLVNTGGGTPFVSTVELRPFESLAYPTDNQSLSLYERKSMRSGADVDIIRFPDDQYDRYWYAWELTGNDPYSNISTQSAIELNTTFMVPLRVLQTAFVPDNKTREFTVSIDSGVQSGPISPPYLKGWSIINWSSDSEDLSIKLVATATSALPPILNAYEVYSRIIHEYPMTFSQDFDAIMAIKHEYGIRKNWMGDPCYPSNSVWDGVECTNPGDDKTMRIISLDLSNSELQGQISYNFTLFSALKNLSCNQLTGTIPDYLRKSNGSIVFSYESDGDMCKKPITSSSRNRAATLAVYVAAPVLVVAMLVVAYLIWRAKRKPHYDSPTVPEQISPPGHLTNHWDHLQKPENRRFTYEELAKFTDSFKCLIGHGGFGNVYYGCLEDNTEVAVKMRSESSSHGLDEFLAEVQSLTMVNHRNLVSLIGYCWEKDHLALVYQYMSSGNLSDYLRGKTSMGGTMNWATRVRVVLEAAQGLDYLHKGCNLPIIHGDVKTNNILLGRNLKAKIADFGLSKTYHSDSQTHISATAAGSMGYIDPEYYITGRLTESSDVYSFGVVLLEVTSGEPPIIPGNGHIVERVKQKMVTGNISSVADARLGGSYNVNSMWKVLDAAMMCTADIAAQRPMMSAVVMQLKESLELEEAHGDMGDMENVARDNMPSMSMFGFISIDCGLEQDSSTDNLVSGITYVPDGAYVDAGNNSKVTTVYKADWKGPHYQTLDTLRSFPSSVTGDRNCYSLPTNKGDKYIVRLEFLYGNYDGKDSTSLQFNLTLGVNHWDTVILDTGTNYGYKAYAAVFDAWSRWTPVCLVNIGSGTPFVSTVELRPLESLAYPTVNQSLSLYERRSMRSGTDFDIIRFPDDKYDRYWYVWDLTENEPYSNISTPSAIEPNTTFMVSSHVLQTAFVPDNKSREFTVSIDSGVQSGPISPQYLKGGYIINWSSDSQVLTIKLAATATSALPPILNAYEVYSRIIHEYPMTFSQDFEAIMAIKYEYGIRKNWMGDPCYPSNSVWDGVQCTNPGDDKTMRIISLDLSNSELQGPISYNFTLFSALKYLNLSCNQLTGTIPDYLRKSNGSIVFSYESDGDMCKKPITSSSSRNRAATLAVSVVAPVLVVTMLVVAFLIWRAKRKPHVSTDDSPMVPQLICSPRHRTNHLDHLKKPENRRFTYEELAREVQNLTKVNHRNLVSLIGYCWEKEHLALVYEYMSSGNLSDYLRGKAGLGGTLNWATRIRVMLEAAQGLDYLHKGCNLPIIHGDVKTNNILLGRNLKAKIADFGLSKTYHSDSQTHVSATVAGSMGYIDPEYYVTGRLTERSDVYSFGIVTSGEPPIIPGNGHIIQRVMQKMVTGNISSVADARLGGSYNVNSMWKVLDAAMMCTADIASQRPMMSAVVTQLKESLELEEAPGNKGDMENVARDDTSSMSMFSPSAR >ORUFI09G07460.2 pep chromosome:OR_W1943:9:9168335:9217283:-1 gene:ORUFI09G07460 transcript:ORUFI09G07460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLAYFTVFVLAASVPATGQQGFLSIDCGLDQDYNTDSLVGDITYVSDGAYVDAGENRRVTTVYKDDWKGPRYQTLYTLRSFPSSVTGDRNCYSLPTNKGDKYNVRLEFLYGNYDGLDSASLTFNLTLGVNHWDTVILDTAIHYGYKAYAAVFVAWAMSAPVCLVNTGGGTPFVSTVELRPFESLAYPTDNQSLSLYERKSMRSGADVDIIRFPDDQYDRYWYAWELTGNDPYSNISTQSAIELNTTFMVPLRVLQTAFVPDNKTREFTVSIDSGVQSGPISPPYLKGWSIINWSSDSEDLSIKLVATATSALPPILNAYEVYSRIIHEYPMTFSQDFDAIMAIKHEYGIRKNWMGDPCYPSNSVWDGVECTNPGDDKTMRIISLDLSNSELQGQISYNFTLFSALKNLSCNQLTGTIPDYLRKSNGSIVFSYESDGDMCKKPITSSSRNRAATLAVYVAAPVLVVAMLVVAYLIWRAKRKPHYDSPTVPEQISPPGHLTNHWDHLQKPENRRFTYEELAKFTDSFKCLIGHGGFGNVYYGCLEDNTEVAVKMRSESSSHGLDEFLAEVQSLTMVNHRNLVSLIGYCWEKDHLALVYQYMSSGNLSDYLRGKTSMGGTMNWATRVRVVLEAAQGLDYLHKGCNLPIIHGDVKTNNILLGRNLKAKIADFGLSKTYHSDSQTHISATAAGSMGYIDPEYYITGRLTESSDVYSFGVVLLEVTSGEPPIIPGNGHIVERVKQKMVTGNISSVADARLGGSYNVNSMWKVLDAAMMCTADIAAQRPMMSAVVMQLKESLELEEAHGDMGDMENVARDNMPSMSMFGFISIDCGLEQDSSTDNLVSGITYVPDGAYVDAGNNSKVTTVYKADWKGPHYQTLDTLRSFPSSVTGDRNCYSLPTNKGDKYIVRLEFLYGNYDGKDSTSLQFNLTLGVNHWDTVILDTGTNYGYKAYAAVFDAWSRWTPVCLVNIGSGTPFVSTVELRPLESLAYPTVNQSLSLYERRSMRSGTDFDIIRFPDDKYDRYWYVWDLTENEPYSNISTPSAIEPNTTFMVSSHVLQTAFVPVGNSNELVLSSKRIDRPPGDYLVILHFADFQDNKSREFTVSIDSGVQSGPISPQYLKGGYIINWSSDSQVLTIKLAATATSALPPILNAYEVYSRIIHEYPMTFSQDFEAIMAIKYEYGIRKNWMGDPCYPSNSVWDGVQCTNPGDDKTMRIISLDLSNSELQGPISYNFTLFSALKYLNLSCNQLTGTIPDYLRKSNGSIVFSYESDGDMCKKPITSSSSRNRAATLAVSVVAPVLVVTMLVVAFLIWRAKRKPHVSTDDSPMVPQLICSPRHRTNHLDHLKKPENRRFTYEELAREVQNLTKVNHRNLVSLIGYCWEKEHLALVYEYMSSGNLSDYLRGKAGLGGTLNWATRIRVMLEAAQGLDYLHKGCNLPIIHGDVKTNNILLGRNLKAKIADFGLSKTYHSDSQTHVSATVAGSMGYIDPEYYVTGRLTERSDVYSFGIVTSGEPPIIPGNGHIIQRVMQKMVTGNISSVADARLGGSYNVNSMWKVLDAAMMCTADIASQRPMMSAVVTQLKESLELEEAPGNKGDMENVARDDTSSMSMFSPSAR >ORUFI09G07460.3 pep chromosome:OR_W1943:9:9168335:9217283:-1 gene:ORUFI09G07460 transcript:ORUFI09G07460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLAYFTVFVLAASVPATGQQGFLSIDCGLDQDYNTDSLVGDITYVSDGAYVDAGENRRVTTVYKDDWKGPRYQTLYTLRSFPSSVTGDRNCYSLPTNKGDKYNVRLEFLYGNYDGLDSASLTFNLTLGVNHWDTVILDTAIHYGYKAYAAVFVAWAMSAPVCLVNTGGGTPFVSTVELRPFESLAYPTDNQSLSLYERKSMRSGADVDIIRFPDDQYDRYWYAWELTGNDPYSNISTQSAIELNTTFMVPLRVLQTAFVPDNKTREFTVSIDSGVQSGPISPPYLKGWSIINWSSDSEDLSIKLVATATSALPPILNAYEVYSRIIHEYPMTFSQDFEAIMAIKYEYGIRKNWMGDPCYPSNSVWDGVQCTNPGDDKTMRIISLDLSNSELQGPISYNFTLFSALKYLNLSCNQLTGTIPDYLRKSNGSIVFSYESDGDMCKKPITSSSSRNRAATLAVSVVAPVLVVTMLVVAFLIWRAKRKPHVSTDDSPMVPQLICSPRHRTNHLDHLKKPENRRFTYEELAREVQNLTKVNHRNLVSLIGYCWEKEHLALVYEYMSSGNLSDYLRGKAGLGGTLNWATRIRVMLEAAQGLDYLHKGCNLPIIHGDVKTNNILLGRNLKAKIADFGLSKTYHSDSQTHVSATVAGSMGYIDPEYYVTGRLTERSDVYSFGIVTSGEPPIIPGNGHIIQRVMQKMVTGNISSVADARLGGSYNVNSMWKVLDAAMMCTADIASQRPMMSAVVTQLKESLELEEAPGNKGDMENVARDDTSSMSMFSPSAR >ORUFI09G07470.1 pep chromosome:OR_W1943:9:9225018:9225419:-1 gene:ORUFI09G07470 transcript:ORUFI09G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPIQGIVPSMGGEEASSIMRAAMASDAMRIPHGRAGVGCDESRPTGDGWAGELVQPLISHTNENMRSHRCQCKGLHPLPTSPSSEGDRDASSELNLARACATTELLLAELPSTLPPLHSSDAAAASPSFV >ORUFI09G07480.1 pep chromosome:OR_W1943:9:9245349:9254763:-1 gene:ORUFI09G07480 transcript:ORUFI09G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGFLSIDCGLEGDKNGYTDNSNGLVYTPDGAPYVDTGVTNNVSAQYVNTWDRALNTLRSFPLTLFGERNCYALPTVPGAIYLVRLRFAYGNYDNMNSESVQFSLLLGVNHWDEVYIANEGKDYSSEAMFVAWASWASVCLVNTNQGTPFVNTVELRQLDSMLHFRKIMGNSSIYLYERRNMGPSSRDNPIIRYPNDTYDRFWYPWGSEDDPTYSNLSAPSTLIIPPSPSYAVPSPVLETAVVPADNNKSVLSIIQTNDKEIHEYLVLVHYADFQSTLQRQFQAYSNGDPIQGTGGPYVADYTGQTVGTIDWISAETSGKYNITLAATDSSQLPPIVNAFEVYGRIPLDNPSTFPTDFDAIMTIKFEYGIKKNWMNDPCFPSNLVWNGVRCSTGSDNTMRIISLDLSNSNLHGSISNNFTLLTALEYLNLSGNQLSGTIPSSLCENNAGSFVFRFSYLFNVDIGDNFVHLDSTYGPEFLNAPGSTKNHWDHMQKTENRRFTYEELEKYTDNFERLIGHGGFGQVYYGCLEENIEVAVKMRSESSQHGLDEFLAEVQSLTKVHHRNLVSLVGYCWENDHLALVYEYMSGGNLCDHLRGKISVGESLNWATRLRILLEAGQGLDYLHKGCNLPIIHGDVKTNNILLGQNLKAKIADFGLSKTYHSDTQTHISATAAGSVGYIDPEYYNTGRLMESSDVYSFGVVLLEVVTGEPPIIPGHGHIVQRVKQKIVTGNISSIADARLDAYNVSSMWKVVDTAMMCTADVAAQRPVMATVVAQLKEGLALEEAHEERVDLENIASDIVSSVSTFGPSPR >ORUFI09G07490.1 pep chromosome:OR_W1943:9:9283255:9284520:1 gene:ORUFI09G07490 transcript:ORUFI09G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATKNQSEVGLSLDMQRLTEKGWLVIGDCTMTIFQKTLHIFLFNLDADKTVHNQLQEDLIEHLWQRHGDQY >ORUFI09G07500.1 pep chromosome:OR_W1943:9:9285021:9287734:-1 gene:ORUFI09G07500 transcript:ORUFI09G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHSDSSRPRPPSARPSAARPPASRPPRLEKGNFALPPPFGFPPPPPPGSTFVPPPQSGVPPPPPLGSFFVPPPQSRVPPPPPQPGVPPLPQFGMMPQYGLNQSTAPLRPTATASSRLGFAPHRSAQLHPRSSKRPIENEEGTDPVDNDYAGVDLRQDWSAGEEEVDRRCSFGVPLTYFVSNEGSMDGSGYFTNLINEGGSSYDWSAEGSQPEYLSNNSRKEKLLTSLQIALKFGSCIIGPLYKSVSINKLAGCNRPQSGVNAEDKLLQAGILTSQSGLIGEYKKGSKKNTSKKQKSNAQSTPATAAPSGPQNCVSQVKEDPIAADGVQPSGRPAGRKKEKEKQRQHSDQSKIDALDLLWNKKKEVDAEKDRQREERYRAALALEQKRIDLDKEKLDFKRMIQEDRIVRLDTSAMSIEEQEYYKSVKSTCNAEKVSSFS >ORUFI09G07510.1 pep chromosome:OR_W1943:9:9291541:9297008:-1 gene:ORUFI09G07510 transcript:ORUFI09G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHINIMPFFIPPSVCALGFLSIDCGLEGDDSYPDDQTGITYVPDGPYVDSGENHRVTTVYRNYWGQDYRTLKTLRSFPSASGKRNCYSLPTDVGDKYLVRLEFLYGNYDSMDSSLLKFNLSLGVNHWNTVNLDTTDDQDGYNFYEVVFVAWASWAPVCLINIGQGIPFVSTVELRLLGTFPYPAIIGNQSLSLYVRRSIGSSADDDMRYPDDQYDRYWIMGETTGAADMSNISTPTIIPPSVPFAVPSPILQKAVVPADNSMKLVFHSDQLDAQLRNHLVILHFADFQNNKSREFTVSIDSGVQSGPFSPPYLKVLSITTDWSRDTEGKYNFTLTATSTSSLPPILNAYEVYGRIIHDNPMTFSQDFDAIMAIKYEYGIRKNWMGDPCFPPEFAWDGVECSSDGKTMRIISLDLSNSELHGLISNNFTLLTALKYLNLSCNQLNGAIPDSLRRKNGSMVLSYESGGDMCKKPVSPSSRNRAAALAVSVVVPMLAVAILGLAYLFWRAKRKHNNDPPTVLELTGAPGHKTNHWDRLQKPENRRFTFEELQKFTDNFKRLIGHGGFGHVYYGSLEDSTEVAVKMRSESSLHGLDEFLAEVQSLTTVHHRNLVSLFGYCWDEDHLALVYEYMSSGNLCDYLRGLDYLHKGCNLPIIHGDVKTNNILLGRNLKAKIADFGLSKTYHSDSQTHISASIAAGSMGYIDPEYYTTGRLTESSDVYSFGVVLLEVTTGEPPIIPGNGHVVQRVKQKIVTGNISSIVDARLGGSYNVSSMWKVLDAAMMCTTDIAAERPTMATVVMQLKESLELEEAHGDRGDMENQARDNTYLMSTFGPSAR >ORUFI09G07520.1 pep chromosome:OR_W1943:9:9316314:9320014:-1 gene:ORUFI09G07520 transcript:ORUFI09G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRKSASSDKQPKQQQQRLPLGADADAVADAAKRRRSGASKKHQAEEEASIPSSLSAKILREALTQQQEESLADQRPSATAATAAPSPSFSFPVPNKDGEEDEDNDDVDEFDGFDAQSEYDGGVPEIDEEDEKALAAFMSKDTSSKRSLGDIILEKIREKDAEISTEGRTPVKLDSSIIELYKGVGEFLSRYTSGKIPKGFKRIPSLECWPDVLQLTKPENWSPNAVYQATRLFSSNMNAKNAVRFYEAILLPRVRNDIRKNKRLHFALYQSLKKCLYKPAAFFKGILLPLCQERNCTLREAVIIGSIISKVSIPPLHASAALMKLAEMEYCGTTSYFIKLFLDKKYALPYRVVDAVFAHFMRFIDEERVMPVIWHQSLLAFVERYKNELEKKDKEKLARLLDHQKHYLVTPEICRELRMSCNRGEKDTNMSIYILTAHADSYLFCIIIH >ORUFI09G07530.1 pep chromosome:OR_W1943:9:9327248:9328402:1 gene:ORUFI09G07530 transcript:ORUFI09G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDSGFFRFSAAAPVPVPAHQARRALLDLQTILGLGAAPPQRLPQTSSGQRRVMPMAPPQQRRQVNGGTAVPMASLSVNQVRANGLVVNTFLMTTTRRQQGLLYPNAGVHPMVATIPVSPQTPTVLNTIPATVAPVADQRIINHGTVHFMGATPATWGLWDVVSPVAIHANGNPLACICCARVFALRLWEIPRLLSSLGFSYSEPIGPPPLRLPLPPARYASLTTAMCSSPHHFILTMLQMPRQAIADLIWSSQIGNMQIGVPSPAGGQHVAMALSSTSITGTTVLPTLSVMQMPTIHREQCILSPIMLSSSASLVDITSTTPSMLNMMPMQPIHREQCAPPPTTSSSSASSLHCEYVMPEHEDMVSLTLGQSCTMDLDLCL >ORUFI09G07540.1 pep chromosome:OR_W1943:9:9329849:9330718:-1 gene:ORUFI09G07540 transcript:ORUFI09G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQEEIRAHSSLRLDGGAESLRIAGSARRSMCTGRSRTGMLHLDPAAYNNGSFSLLALPAGLRWSPSSSLSTTDHHLFQVVDPGGGAFPPVRGPPLVALRRLVPRRARLRVFSRWQIDDGRRELLHRVSLTEITRAPPHADDRKNDDSGDCDGDSGVIAILPVSREVSGKYVVLWPAHGRWLFTVELDTMEVVERKSATDEHLGDCHK >ORUFI09G07550.1 pep chromosome:OR_W1943:9:9333927:9334513:-1 gene:ORUFI09G07550 transcript:ORUFI09G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTFSLCLEACRRGCGYVTPADASGRCGWVAPSAAGADGREKHTTEDIAVQETMVGDEHGDRSRCRVGGGPPPPAAQKKEEGGLPRELETAAGSSITSRELHRGLRHTPSTPPPRPPPASGSALPMAPHIERENEVERKRHMLSPMSSVLPALPFLPRAPRPPRRPRRCPPPA >ORUFI09G07560.1 pep chromosome:OR_W1943:9:9336410:9345230:-1 gene:ORUFI09G07560 transcript:ORUFI09G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLLFAALMLASLSEAAADDGIGGQSGFVSIDCGREANYSDYKDPKTGIVYVSDEPYIDAGAGENHRISATATATAADSYLLQTLRSFPSGPRNCYALPTVAGTKYLVRLGFLYGNFDGENSSSSSASSLRFDLHLGAQRWATVDDVVVQTGGISRMYEVVFMGWARWAPACLVNVGGGTPFVSSVELRPIDDELYPSVKTSESLSLFKRSDMGADTTTLTRYPADEHDRIWKGTGNPGSTDISTQEKIQSENSFEVPLPVLQTAITTPGGNDTTLTVAWQDTRSSSEYMVFLHFADFQKIQPRQFNVTLNDIPIGSNGRSLMFSPSPLDSSSVYSSDGYRADDGNYNLVLRRTAASALPPMLNAMEIYTVITHDSPRTFHKDFDAIMNIKYEYGIKKNWMGDPCFPSEFIWDGIKCSTAGDDNTSRIISLDLSQSNLQGVVSINFTFLSALNYLNLSGNQLNGPVPDSLCKNIAGLYIFSYTSDGDICNNRTSSSRSTNRSTTILAISIVTPVLAVAILLAFLLWRAKGKHNGLTSFGISLISHNWFMQKPVSTFDPPRVPDPKKAPGSTTDHWSHLPINGSRQFTYEELKNFTLNFQRFIGQGGFGHVYYGCLEDGSEVAVKMRSESSLHGLDEFLAEVQSLTKVHHRNLVSLVGYCWEEHYLALVYEYMPSGSLCDHLRGKRDVGETLNWAKRVRIMLEAAQGLEYLHKGCNLPIIHGDVKTNNVLLGENLKAKLADFGLSKMYISDSQTHISVTAAGTVGYIDPEYYQTGRLTESSDVYSFGVVLLEVVTGELPILAGHGHIVQRVERKVTSGSIGLVADARLNDSYDISSMWKVVDTAMLCTIDVAIQRPTMSTVVLQLKECLALEEAREDRNRAGPTNDAVDVVSTFGPSAR >ORUFI09G07570.1 pep chromosome:OR_W1943:9:9354893:9357581:1 gene:ORUFI09G07570 transcript:ORUFI09G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKLIINRDIITEAAAMAFADPNLQIPDRYDRSGEVPAGEVVVADDDESYDLPVVDMARLLDPEHREAEVAWLCSACRSWGFFQLINHGVDEAVIQKMKDNTVHFFELPLEDKNAVAVCPDGGIEGFGHHFRTSADKLDWAENLIVETQPIERRKLEFWPSNPPTFRSLLHSCLILSPFYSSSSSSLGPCNHPEKVIGIAPHSDGFGLTLLLQVNDTPGLQISKDGRWHPVRPQTSAFVINVGEILEVLTNGHYKSVFHRVVVDTERGRDTIVVFQDACINGVVKPLLELGEARYHAIDRLEYSKGHATEIFSRGERFVDILKK >ORUFI09G07580.1 pep chromosome:OR_W1943:9:9358645:9359139:1 gene:ORUFI09G07580 transcript:ORUFI09G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGGCFLRHLDELAKYVLIMLCADDGGKGSTFALYFLMYRHVCVGLLLPGAGAAGEELVVAGQCVDGAGVAAWAPQSAPPARSSWSRGSASMVRAWVSQPAPPARSSWSRGSASTVRAWAQQCRCPCRPCVRAGVAQCPAEAAAAAAAARDVHGQVSLLFK >ORUFI09G07590.1 pep chromosome:OR_W1943:9:9369991:9381988:1 gene:ORUFI09G07590 transcript:ORUFI09G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGINDDSNRDIITEAAAMAFADPHLQIPDRYIRAVGGGGVVVVGDGESLELPVVDMARLLDPEHREAEVALLGSACRSWGFFQITRFNSSSNSSSYPLPLEDKNTVAVRPGGIEGFGHHFRSSAGKLDWAENLMVETQPFQQRNLEFWPSKPPTFRDSIDKYAMEMWNLTTRLLRFMASDLGVEQETLLAAFRGKRQTFGLHRYPPCRHPEKVIGISPHSDGFGLTLLLQVNDTLGLQVSKDGRWHPVLTNGRYKSVFHRVAVDAERGRVTVVVFQDACINGLVKPLPELGETPRYRAIGKSEYFKGHTAEVLGQGERFIDTLKKDEWCADPLSSAEESDAMAAIELGDCKDRSTFGHPSQIAPGQTRLTLEFFGDRLPEKKLQLVDMGVKAMNRDIITQDAAMAFADHHLHIPDRFVRADEVPAAGEVVVVGGDDESSELPVVDMARLLDPEHREEEIAWLGSACRSWGSSRTRTRWLSVLAALKDSAITSDHQLAKDHQLANLDWAENLILLIYQGSFRDSIDKYTVEMSNLTMRLLRFMASDLGVEQEPLLAAFRGKRQSTALHHYPPCRHPEKVIGIAPHSDGFGLTLLLQVDDTPGLQVSNGGRWHPVRPLPGAFIINIGETLEVLTNGLYRSVFHRVVVDTERDRVTVVVFQDVCIDGVLKPLPELGEPLYHAIGKLEYFKGHTTEVVGQGERFIDTLKKGVSGSAANPLIGQNKRVRGLF >ORUFI09G07600.1 pep chromosome:OR_W1943:9:9387524:9387790:1 gene:ORUFI09G07600 transcript:ORUFI09G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVQKGGDSKVSHGGSGIGGLSDGRGGIGGVSLRAAAVGGLQGDGDSLAAATPSPSPDPMGGEAAAYTVTMGGEEACRRRAGEGR >ORUFI09G07610.1 pep chromosome:OR_W1943:9:9393972:9400025:-1 gene:ORUFI09G07610 transcript:ORUFI09G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRATWASSVETGAILRYPEDPYDRLWWTPSYGASSWLNVSARDTSSISYAQTDHIRVPVAVLRTAITTANTSVPLVVNTYSTSIGRVPPPADASYFHFLHFADFDQQQQQQQRQKRRFDIYYGSSTRYVYRNEPMQLNPIHNRTTPSYYASGAYSLSNVSLVATNGSVLPPLLNAMEVYYSIPHDGIATAPHDVDAIMAIKTEYQVKKNWMGDPCLPKEFIWTGLQCRREGTEYKIISLDLSGNHFNGTLPEALCTKSSLNLRYDTSNGDPCNGMKSPKKKNISVRTLTVAIVTPVVAVLLVSAVLILCFCKKKRKQNVTEGLVQQYSPCSIQPTGTPDSGSHVDLKDHIQMADDHEFTYEELVRITNNFSDCIGEGGFGPVYRGQLQDSVQVAVKKSSRASLHGQGIREFLAEINSLQTVHHRHLVLLIGYCTNRDHLALIYEYMPNGSLFDHIRGLSYLHSGCVLPIIHRDVKSHNILLGQDMHAKISDFGLSKSYINEAQTHISVTAAGTIGYIDPEYYFSSRLTMRSDVFSFGVVLLETVTGEPPIMPGVGHVVQRVKQKVSDGDISAIVDPRLKGAYDMGSVWKVVDIALLCTREVSDDRPTMTEVVEHLKDAFALEEARHIDPINDNSQGNINTDLSVNWGPSAR >ORUFI09G07620.1 pep chromosome:OR_W1943:9:9404661:9405981:-1 gene:ORUFI09G07620 transcript:ORUFI09G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSAAQLVFFSVLALLLVNVHAEPTSLGFVSIDCGLSGRPYVDEITNISYVSDYAYIATGEKHEISSEYKSLALYRSGLSLRSFPSGGRNCYAVAAAAAGGRSKYLVRAWFMHGDYDGGGGSLASTPVRFDLYIGLAFWFEMTVSDAATTYAFEAITVAGAGGSSSSLSVCLVDTGHGTPFVSSLEVRPMSSDMYPDAVANQSLGLFTRGNMGASYFLRSVDHDLFVSNSYYSGQ >ORUFI09G07630.1 pep chromosome:OR_W1943:9:9413457:9424708:1 gene:ORUFI09G07630 transcript:ORUFI09G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLQFFLLVLPMQLLHVYVHAEPDNLGFVSIDCGLSGPSYVDDRTNISYVSDDGYIATGEKHEISSEYKSRALYTSDLSLRSFPSGGRNCYAVAAAARGRKYLVRARFMHGDYDGGERNLASTPVRFNLNIGLDFWYEVTVSDAARTYTREAIAVAVASSVSVCLLDTGHGTPFISSLELRPMGIDMYPEAVANRSLGLFTRLNMGANNFLRYPNDVFDRFWGTPVYITEWLNISTNGTFMSYYSTDHIRVPTDVLRTAITTSATSVHLNITVYAASVGQLPPPTERAYFHFLHFASFEQQQRQFEMYFGKVKWKHNNISVHESYSMQPSYSSKGVYMLSNVSLVATDDSVLPPLLNAIEVYYSIPRDDTITSPDDVDAIMAIKTQYQVKKNWMGDPCLPKESKWTGLQCRQDGVESKIISLDLSGNHFDGTIPQALCTKESLNLRYDTNDGDLCNGKSPKKKNISVLTVAIVTPIAAVLLVSAILFFCFCHKKRKQQITLGLVHQYSVQPTGISNSVSHVDIKGHILMSDDHEFTYEELVKITNNFSECIGEGGFGPVYLGKLQGSIQVAVKMCSRKSVHGQGIREFLAEVDSLKTVHHKYLVLLIGYCTNKNHLALIYEYMSNGSLFDHIRGKKANVQTMSWLQRTRIVHEAAQGLNYLHSGCVLPIIHRDVKSHNILLGEDMHAKISDFGLSKCYINEAQTHISVTAAGTIGYIDPEYYFSSRLTMKSDVFSFGVVLLETVTGEPPIVPGVGHVVQRVKQKVSDGDISAIVDPRLEDAYDMRSVWKVTDIALLCTKEVSDDRPTMTEVVEQLKDALALEEARHIDGYSDNGQGSIKPDSSANWAPLAR >ORUFI09G07630.2 pep chromosome:OR_W1943:9:9413457:9424708:1 gene:ORUFI09G07630 transcript:ORUFI09G07630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLQFFLLVLPMQLLHVYVHAEPDNLGFVSIDCGLSGPSYVDDRTNISYVSDDGYIATGEKHEISSEYKSRALYTSDLSLRSFPSGGRNCYAVAAAARGRKYLVRARFMHGDYDGGERNLASTPVRFNLNIGLDFWYEVTVSDAARTYTREAIAVAVASSVSVCLLDTGHGTPFISSLELRPMGIDMYPEAVANRSLGLFTRLNMGANNFLRYPNDVFDRFWGTPVYITEWLNISTNGTFMSYYSTDHIRVPTDVLRTAITTSATSVHLNITVYAASVGQLPPPTERAYFHFLHFASFEQQQRQFEMYFGKVKWKHNNISVHESYSMQPSYSSKGVYMLSNVSLVATDDSVLPPLLNAIEVYYSIPRDDTITSPDDVDAIMAIKTQYQVKKNWMGDPCLPKESKWTGLQCRQDGVESKIISLDLSGNHFDGTIPQALCTKESLNLRYDTNDGDLCNGKSPKKKNISVLTVAIVTPIAAVLLVSAILFFCFCHKKRKQQITLGLVHQYSVQPTGISNSVSHVDIKGHILMSDDHEFTYEELVKITNNFSECIGEGGFGPVYLGKLQGSIQVAVKMCSRKSVHGQGIREFLAEVDSLKTVHHKYLVLLIGYCTNKNHLALIYEYMSNGSLFDHIRGKKANVQTMSWLQRTRIVHEAAQGCVLPIIHRDVKSHNILLGEDMHAKISDFGLSKCYINEAQTHISVTAAGTIGYIDPEYYFSSRLTMKSDVFSFGVVLLETVTGEPPIVPGVGHVVQRVKQKVSDGDISAIVDPRLEDAYDMRSVWKVTDIALLCTKEVSDDRPTMTEVVEQLKDALALEEARHIDGYSDNGQGSIKPDSSANWAPLAR >ORUFI09G07630.3 pep chromosome:OR_W1943:9:9424617:9426073:1 gene:ORUFI09G07630 transcript:ORUFI09G07630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNYSELALLCTKEASDERPTISTVVAQLKDALALEEARNVSISDISQKGANLGLSFNSMPSERRKSTLKWLSMSQS >ORUFI09G07640.1 pep chromosome:OR_W1943:9:9429722:9437379:-1 gene:ORUFI09G07640 transcript:ORUFI09G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSLVMLRRRNMAANNFIRYPDDPYDRYWWPMNADPAWANLSTTSTIKTGSTFAVPSSVLQTAVTPSRNSTVLNVISWQDTTAKEYVVYLHFADFQSSKLREFDAYPDANQVVYNYTPHYLLSSSVYTPLFRAIAGEYNITLAATANSALPPMLNAFEIYFLITYDGTTTFSKDFDAIMAIKLEYGVKKNWMGDPCFPPEFAWDGIKCRNTSGNIMRIISLDLSNSNLFGVISNNFTLLTALENFYGSDGNMCNKTIGSSPSRNRTAILAISVVVPVLVVALLVLAYMIWRVKRKPNIPAYVSPQVPDIKTSTERKTNPFDPLQITESRQFTYEELKKFTNNFQQFIGRGGFGNVYYGCLENKTEVAVKMLSEFSENGLDQFLAEVQSLTKVHHKNLVSLVGYCWEKDHLALAYEYMARGNLCDHLRGKFGVGDTFNWVTRVRVVLDAAQGLEYLHKGCNLPIIHGDVKTNNVLLGENLKAKIADFGLSKTYISETQTHISTSNAAGTMGYIDPEYYHTGRLTESSDVYSFGVVLLEVATGEAPILPGSGHIIQRKVASGNISLVADARLKDSYDISSMWKVVDTAMLCISEVATQRPTMSTVVLQLKESLALEEARDGRDITTSSVSDAMDVLSKFGPSAR >ORUFI09G07640.2 pep chromosome:OR_W1943:9:9429722:9437379:-1 gene:ORUFI09G07640 transcript:ORUFI09G07640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSLVMLRRRNMAANNFIRYPDDPYDRYWWPMNADPAWANLSTTSTIKTGSTFAVPSSVLQTAVTPSRNSTVLNVISWQDTTAKEYVVYLHFADFQSSKLREFDAYPDANQVVYNYTPHYLLSSSVYTPLFRAIAGEYNITLAATANSALPPMLNAFEIYFLITYDGTTTFSKDFDAIMAIKLEYGVKKNWMGDPCFPPEFAWDGIKCRNTSGNIMRIISLDLSNSNLFGVISNNFTLLTALENLYVAKTNPELLVLYNYYSYGSDGNMCNKTIVPAYVSPQVPDIKTSTERKTNPFDPLQITESRQFTYEELKKFTNNFQQFIGRGGFGNVYYGCLENKTEVAVKMLSEFSENGLDQFLAEVQSLTKVHHKNLVSLVGYCWEKDHLALAYEYMARGNLCDHLRGKFGVGDTFNWVTRVRVVLDAAQGLEYLHKGCNLPIIHGDVKTNNVLLGENLKAKIADFGLSKTYISETQTHISTSNAAGTMGYIDPEYYHTGRLTESSDVYSFGVVLLEVATGEAPILPGSGHIIQRKVASGNISLVADARLKDSYDISSMWKVVDTAMLCISEVATQRPTMSTVVLQLKESLALEEARDGRDITTSSVSDAMDVLSKFGPSAR >ORUFI09G07650.1 pep chromosome:OR_W1943:9:9447965:9493927:1 gene:ORUFI09G07650 transcript:ORUFI09G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSTAFFSHTSLGKSARNLESMWSSSLPTYLFMLVTGLLPLLVHSQTASLDEGFISIDCGLSSGSSYLDEKTGLNYTSDDGYIYTGENNNISPEYNGQELFKTGLNLRSFPTGGRNCYALSPATNGHKYLVRETFMHGNYDGKGHDLVRSPLAFDVHMGLHFWDRISVDETAKTYSTEVIVVAKVNSISVCLMDIGSGTPFISSLEMRPIKTSLYPAAMDNQSIALQERSSMGASTLLRYPDDSYDRLWWPWQGSSGVLNVFTTGTIKRYPNDKFEVPDRVLQTAVTSPSTFIPLNISWTVPASWPATTAVPGYYLNLHYTDFQMQQKREYDIYFNGRVWEKNNESVHPGYLFAQYTYATSYATITNNGFYNVSLVATNISKLPPMLCAYEIYYLIQQDGETTSPEDVNAMMTIKTEYQVKKNWMGDPCLPENHKWTGLTCQSDGVTSTVTSLYDITNGDPCNGKSPKKKNTVVLFIAILVPVLMVVLLVPTLLVFYFCRKRDMLLGRTEVPDSRSKEDYKDHIHISDGREFTYKELVAITNNFSVCIGEGGFGPVFHGCLKEGTQVAVKMHSPMSAIGKGTSEFFAEVESLTTVHHRHLVFLVGYCTNKNHLALIYEYMPNGSLYDHAKKQLFRHCDGMIEHELDLKPHKSHNILLGHDMVAKISDFGLSKSCLNAAQSHISVTAAGTLGYIDPEYCLSGRLTVSSDVFSFGVVLLEIVTGEPPIIPSTVHIVQRVKEKAVMGNIEAIVDPRFGAEYDPSSIWKVVDIALLCTKEASHERPTMSTVVAQLKDALALEEARASSSISDISQGGKNAIVQTLRWCDPARIALEAAQGLDYLHTGCVLPIVHSDLKSHNILFGHDMVVKISDFGLSKSYLNAAQSHISVTAAGTLGCIDPEYCLSGRLTISSDVFSFGVVLLEIVTGEPPIIPTTVHIVQRVKEKVAAGNIEAIVDPRFGGEYDPNLVWKVVDIALLCTKEASHEMPTMSTVVAELKVALALENARASGSISDLSQGGANFELSINSLLSAR >ORUFI09G07650.2 pep chromosome:OR_W1943:9:9447965:9493927:1 gene:ORUFI09G07650 transcript:ORUFI09G07650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSTAFFSHTSLGKSARNLESMWSSSLPTYLFMLVTGLLPLLVHSQTASLDEGFISIDCGLSSGSSYLDEKTGLNYTSDDGYIYTGENNNISPEYNGQELFKTGLNLRSFPTGGRNCYALSPATNGHKYLVRETFMHGNYDGKGHDLVRSPLAFDVHMGLHFWDRISVDETAKTYSTEVIVVAKVNSISVCLMDIGSGTPFISSLEMRPIKTSLYPAAMDNQSIALQERSSMGASTLLRYPDDSYDRLWWPWQGSSGVLNVFTTGTIKRYPNDKFEVPDRVLQTAVTSPSTFIPLNISWTVPASWPATTAVPGYYLNLHYTDFQMQQKREYDIYFNGRVWEKNNESVHPGYLFAQYTYATSYATITNNGFYNVSLVATNISKLPPMLCAYEIYYLIQQDGETTSPEDVNAMMTIKTEYQVKKNWMGDPCLPENHKWTGLTCQSDGVTSTVTSLYDITNGDPCNGKSPKKKNTVVLFIAILVPVLMVVLLVPTLLVFYFCRKRDMLLGRTEVPDSRSKEDYKDHIHISDGREFTYKELVAITNNFSVCIGEGGFGPVFHGCLKEGTQVAVKMHSPMSAIGKGTSEFFAEVESLTTVHHRHLVFLVGYCTNKNHLALIYEYMPNGSLYDHAKKQLFRHCDGMIEHELDLKPHKSHNILLGHDMVAKISDFGLSKSCLNAAQSHISVTAAGTLGYIDPEYCLSGRLTISSDVFSFGVVLLEIVTGEPPIIPTTVHIVQRVKEKVAAGNIEAIVDPRFGGEYDPNLVWKVVDIALLCTKEASHEMPTMSTVVAELKVALALENARASGSISDLSQGGANFELSINSLLSAR >ORUFI09G07660.1 pep chromosome:OR_W1943:9:9464451:9475040:-1 gene:ORUFI09G07660 transcript:ORUFI09G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLFAATIIDCGLDGNTSSYQDSHGIFYEPDGAYVDAGENHRLSADYESIIHRRADQTVRSFPTGERNCYALPTVLGAKYLVRMTFFYGNYDGKETWSWPTLHFDLYLGVDRWTTVHADSTKTYEALFVAWASWTPVCLVNTGSGTPFVNAVELRMLGSDLYPDLTANESMNFVERVNMGSNNSLIQYHDDLYDRYWWPMSRSYPMWKNISTVSTISNYIIPLPVIQTAIEAVSKNTTLEYNWRDNEGSHYYKTYMYFADFQNSQLRQFNISFNTLTNDQYSPLMFLSPSVVVNKHEWYKSDDGTYTITLKATAESILPPMINALEVYTRISHTNPKTLPTDFDTIMAIKFEYGIKKDWTGDPCFPVEPGWDGVKCSNAIDNTMRIISLFDSNEDMCHPHQRIKKAKRAVTIAISVVIPVMAIGALVLAYFIWRQKKNPKVSSTYPPREPNLEITPTSRNDIGDALQKVENRRFTYKELEKLTNKFEKLIGQGGFGLVYYGRLEDGTEVAIKMRSESSSHGLDQFFAEVQSLTKVHHRNLVSLVGYCREKDHLSLVYEYMARGSLYDHLRGNKDVHETLNWRTRLRVAVEAAQGLDYLHKGCSLPIIHRDVKTQNILLGQNLQAKIADFGLCKTYLSETQTHISITPAGSVGYMDPEYYHTGRLTENSDIYSFGVVLLEIVTGEFPILAGQDHIIQRVKKNIATGNISLVADVRLGGAYDVSSMWKVVDTALSCTADIGAQRLTMAAVVVQLKESLELEEAHVDSGLRGSASTVSDTTFSPSAR >ORUFI09G07670.1 pep chromosome:OR_W1943:9:9500454:9525391:1 gene:ORUFI09G07670 transcript:ORUFI09G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCGLPSSSLFILVAVLLPLLMHSQTASVDEGFVSIDCGLSSGSSYLDEKTGLNYTSDDGYICTGENHNISAEYNGQELFKTGLNLRSFPTGGRNCYTLSPATTGQKYLVRATFMHGNYDGKGNDQVSWPPVFDVYIGLYFWDRISVSNSAKTYISEVIMVAMVNWISVCLMDISRGTPFISSLEMRLMKSSLYPAATTNRSIALQERHSMGTNSLVRYPDDIYDRLWWPQQASSGLLNISTNKTIKHYPNDIFEVPTRVLQTAVTSTNTSIPINFSWTAPTNWPMTAAVPAYFFNRHYSDFQNQWVREFNTYCNGKLWWANTGPARPAYLIASYKYSTSQFTFDTGFYNVGLVSTNASVLPPVLSAFEIYYLVQHDGTMTSPEDVDAMMTIKTEYEVKKNWMGDPCLPENHRWTGLKCQSDGVTSGIISLDLSHSDLQGAISDKFSLLKSLQYLYDTTDGDPCNGKSPKKKNTVVLFVAIVVPILMVALLVLTLLVRCFWRKKDTTSKEDYDDHIHISDGREFTYKELLEMTNNFSVCIGEGGFGPVFHGQLNEGTQVAVKMHSPTSTVGKGMTEFLAEVGSLTTVHHRYLVFLIGYCSNKNHLALIYEYMPNGSLYDHIRGKNAIVQTLRWRDRARIALEAAQGLDYLHTGCVLPIIHRDLKSHNILLGHDMVAKISDFGLSRSILNAAQSHISVTAAGTLGYIDPEYCLSGRLTISSDVFSFGVVLLEIVTGEPPIIPTTVHIVQRVKEKVAAGNIEAIVDPRFGGEYDPNSVWKVVGIALLCTKEASRERPTMSTVVAELKVALALEKARASGSISGISQGGANFELSINSLLGTHFHISTVEDFISIDCGLPSGSSYVDEKTNITYISDDQYIDTGENHKISSEHQGAEQFRSGLNLRSFPTGGRNCYTLYPTIKGQKYLIRGMFMHGNYDNKSQNLISSPLLFDICIGLNFWNQVNISSATMTYTSEAIVLATVNSISVCLLDNGKGTPFISSLEMRPMKSSNYPAATPNHPLLLQDRRSMGANSTIRYPDDPYDRLWWPSQNISEWIKISTTSMVRRYPDDVYEVPAAVLKTAATTSSNSTALNFLWLAPASWAAAPGYLLGLHFTDFQQEQLREFHIYYNGESFVPDGKSYSPPYLLANYWNDSSPTVSDNGLSYKISIVATNASVLPPMLNAIEVYYQVQQDEKMTSSEDVDAMMTIKIEYQVKKNWMGDPCLPEKYTWNGLKCRGQGDTSRIISLDLSGSDLQGAISEKFSMLRSLQYLNLSRNGLTGSVPESLTNLPNILVLDLSGNHLNGIVKSRGQEHCGDHAHIPDNREFTYDELAKITNNFSTFIGEGGFGPVFHGQLKDGTQLAIKMCSPTSTPGKGMPEFLAEVESLTTVHHRYLVLLVGYCTDKDHLALVYEYMPNGSLYDHLRGKNAIIQKLSWQHRGRIALEAAQGLDYLHTGCVLPIVHRDVKSHNILLGCDLNAKISDFGLSKSYLHVAQSHITATAAGTPGYIDPEYCRSGRLTVSSDVYSFGVVLLEIVTGEPPVIPTTGHIVQRIKEKVNMGNIEAIADPRLHDEFDVSSIWKVVDTALMCTKEASSERPTMSMVVAQLKDALALEQARLRYSISDISQGGANAELSYSMPMPR >ORUFI09G07670.2 pep chromosome:OR_W1943:9:9500454:9525391:1 gene:ORUFI09G07670 transcript:ORUFI09G07670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWCGLPSSSLFILVAVLLPLLMHSQTASVDEGFVSIDCGLSSGSSYLDEKTGLNYTSDDGYICTGENHNISAEYNGQELFKTGLNLRSFPTGGRNCYTLSPATTGQKYLVRATFMHGNYDGKGNDQVSWPPVFDVYIGLYFWDRISVSNSAKTYISEVIMVAMVNWISVCLMDISRGTPFISSLEMRLMKSSLYPAATTNRSIALQERHSMGTNSLVRYPDDIYDRLWWPQQASSGLLNISTNKTIKHYPNDIFEVPTRVLQTAVTSTNTSIPINFSWTAPTNWPMTAAVPAYFFNRHYSDFQNQWVREFNTYCNGKLWWANTGPARPAYLIASYKYSTSQFTFDTGFYNVGLVSTNASVLPPVLSAFEIYYLVQHDGTMTSPEDVDAMMTIKTEYEVKKNWMGDPCLPENHRWTGLKCQSDGVTSGIISLDLSHSDLQGAISDKFSLLKSLQYLYDTTDGDPCNGKSPKKKNTVVLFVAIVVPILMVALLVLTLLVRCFWRKKDTTSKEDYDDHIHISDGREFTYKELLEMTNNFSVCIGEGGFGPVFHGQLNEGTQVAVKMHSPTSTVGKGMTEFLAEVGSLTTVHHRYLVFLIGYCSNKNHLALIYEYMPNGSLYDHIRGKNAIVQTLRWRDRARIALEAAQGLDYLHTGCVLPIIHRDLKSHNILLGHDMVAKISDFGLSRYCLSGRLTISSDVFSFGVVLLEIVTGEPPIIPTTVHIVQRVKEKVAAGNIEAIVDPRFGGEYDPNSVWKVVGIALLCTKEASRERPTMSTVVAELKVALALEKARASGSISGISQGGANFELSINSLLGTHFHISTVEDFISIDCGLPSGSSYVDEKTNITYISDDQYIDTGENHKISSEHQGAEQFRSGLNLRSFPTGGRNCYTLYPTIKGQKYLIRGMFMHGNYDNKSQNLISSPLLFDICIGLNFWNQVNISSATMTYTSEAIVLATVNSISVCLLDNGKGTPFISSLEMRPMKSSNYPAATPNHPLLLQDRRSMGANSTIRYPDDPYDRLWWPSQNISEWIKISTTSMVRRYPDDVYEVPAAVLKTAATTSSNSTALNFLWLAPASWAAAPGYLLGLHFTDFQQEQLREFHIYYNGESFVPDGKSYSPPYLLANYWNDSSPTVSDNGLSYKISIVATNASVLPPMLNAIEVYYQVQQDEKMTSSEDVDAMMTIKIEYQVKKNWMGDPCLPEKYTWNGLKCRGQGDTSRIISLDLSGSDLQGAISEKFSMLRSLQYLNLSRNGLTGSVPESLTNLPNILVLDLSGNHLNGIVKSRGQEHCGDHAHIPDNREFTYDELAKITNNFSTFIGEGGFGPVFHGQLKDGTQLAIKMCSPTSTPGKGMPEFLAEVESLTTVHHRYLVLLVGYCTDKDHLALVYEYMPNGSLYDHLRGKNAIIQKLSWQHRGRIALEAAQGLDYLHTGCVLPIVHRDVKSHNILLGCDLNAKISDFGLSKSYLHVAQSHITATAAGTPGYIDPEYCRSGRLTVSSDVYSFGVVLLEIVTGEPPVIPTTGHIVQRIKEKVNMGNIEAIADPRLHDEFDVSSIWKVVDTALMCTKEASSERPTMSMVVAQLKDALALEQARLRYSISDISQGGANAELSYSMPMPR >ORUFI09G07670.3 pep chromosome:OR_W1943:9:9500454:9525391:1 gene:ORUFI09G07670 transcript:ORUFI09G07670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWCGLPSSSLFILVAVLLPLLMHSQTASVDEGFVSIDCGLSSGSSYLDEKTGLNYTSDDGYICTGENHNISAEYNGQELFKTGLNLRSFPTGGRNCYTLSPATTGQKYLVRATFMHGNYDGKGNDQVSWPPVFDVYIGLYFWDRISVSNSAKTYISEVIMVAMVNWISVCLMDISRGTPFISSLEMRLMKSSLYPAATTNRSIALQERHSMGTNSLVRYPDDIYDRLWWPQQASSGLLNISTNKTIKHYPNDIFEVPTRVLQTAVTSTNTSIPINFSWTAPTNWPMTAAVPAYFFNRHYSDFQNQWVREFNTYCNGKLWWANTGPARPAYLIASYKYSTSQFTFDTGFYNVGLVSTNASVLPPVLSAFEIYYLVQHDGTMTSPEDVDAMMTIKTEYEVKKNWMGDPCLPENHRWTGLKCQSDGVTSGIISLDLSHSDLQGAISDKFSLLKSLQYLYDTTDGDPCNGKSPKKKNTVVLFVAIVVPILMVALLVLTLLVRCFWRKKDTTSKEDYDDHIHISDGREFTYKELLEMTNNFSVCIGEGGFGPVFHGQLNEGTQVAVKMHSPTSTVGKGMTEFLAEVGSLTTVHHRYLVFLIGYCSNKNHLALIYEYMPNGSLYDHIRGKNAIVQTLRWRDRARIALEAAQGLDYLHTGCVLPIIHRDLKSHNILLGHDMVAKISDFGLSRYCLSGRLTISSDVFSFGVVLLEIVTGEPPIIPTTVHIVQRVKEKVAAGNIEAIVDPRFGGEYDPNSVWKVVGIALLCTKEASRERPTMSTVVAELKVALALEKARASGSISGISQGVEDFISIDCGLPSGSSYVDEKTNITYISDDQYIDTGENHKISSEHQGAEQFRSGLNLRSFPTGGRNCYTLYPTIKGQKYLIRGMFMHGNYDNKSQNLISSPLLFDICIGLNFWNQVNISSATMTYTSEAIVLATVNSISVCLLDNGKGTPFISSLEMRPMKSSNYPAATPNHPLLLQDRRSMGANSTIRYPDDPYDRLWWPSQNISEWIKISTTSMVRRYPDDVYEVPAAVLKTAATTSSNSTALNFLWLAPASWAAAPGYLLGLHFTDFQQEQLREFHIYYNGESFVPDGKSYSPPYLLANYWNDSSPTVSDNGLSYKISIVATNASVLPPMLNAIEVYYQVQQDEKMTSSEDVDAMMTIKIEYQVKKNWMGDPCLPEKYTWNGLKCRGQGDTSRIISLDLSGSDLQGAISEKFSMLRSLQYLNLSRNGLTGSVPESLTNLPNILVLDLSGNHLNGIVKSRGQEHCGDHAHIPDNREFTYDELAKITNNFSTFIGEGGFGPVFHGQLKDGTQLAIKMCSPTSTPGKGMPEFLAEVESLTTVHHRYLVLLVGYCTDKDHLALVYEYMPNGSLYDHLRGKNAIIQKLSWQHRGRIALEAAQGLDYLHTGCVLPIVHRDVKSHNILLGCDLNAKISDFGLSKSYLHVAQSHITATAAGTPGYIDPEYCRSGRLTVSSDVYSFGVVLLEIVTGEPPVIPTTGHIVQRIKEKVNMGNIEAIADPRLHDEFDVSSIWKVVDTALMCTKEASSERPTMSMVVAQLKDALALEQARLRYSISDISQGGANAELSYSMPMPR >ORUFI09G07680.1 pep chromosome:OR_W1943:9:9501769:9510341:-1 gene:ORUFI09G07680 transcript:ORUFI09G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTNARDRAIAGRSTIRTPEMRSSGDKVAGATGKTTIDAYKSFIYKERESAEQFALHILAG >ORUFI09G07690.1 pep chromosome:OR_W1943:9:9527967:9532633:-1 gene:ORUFI09G07690 transcript:ORUFI09G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATGAMGSLLLKLGELAMDEYNLQKGVKKNVEALRRELESMQVALRKVGDVPYDQLGEEVNLWARDVRELSYDAEDVVDSFMVRVDDQGSKGMVKKVAGRFGKAKARHDIADEIKDIMERAREAAARRDRYKVDDAVARFNNGVTRPAAAAGDPRLYGLYRNNAELVGIDEACDDLIKALTMGAEGLSNQQLKVISVVGLGGLGKTTLARAVHKRLKRQFECTAFVSVPSTPNIEKVFKDMLLELDKTKYKDIHNLIWDERQLIDELGDFLSNKRYFIVIDDIWDIPSWTAIRCALVENSAGSRIIATTRDFSIAEQIGIPHKLKTLNPESSKKLFYGRIFGSEDKCPMHLVEISEMILNKCGNAPLAIVTIASVLVAANKMENPTNEWNKVCTLIGSGQDNNQHVQNMRKILSLGYYRLPSHLRTCLLYISIFPEGYNIERFRLIRMWIAEGFIKPEKDGDNLFKLGDSYFNELINRSIIQPSDYDYGVPYASYESYCVHHMNLDLLRSLSTKENFVNVLGDILQQTSPASKARRLSLHNSKLELTTTQTNLKMSQVRSISIFSGSGISLLPSLSSFQVLRVLDIENCDLKEGCHLDLRHVCNLFHLRYLRLYECNYDCELPKEIEKLKFLQTLIVTGEVRLPSTIVELKRLMFLQVPEGTILPEGMGNLTSLEELSSIDIGQSPNFGKELRNLTKLRELELWWDEMDKSLEEVWIESLCNLHEIQNLRIFAVGDSSLDFLGERWMPSGRLWRFVTGVSCLFTIVPVWIRKNPSLLTNLTDLNISLQQLRQEDLKALGRLPTLLSLDLDADKSECLLTCAGEFCWLRSFRLCIKDSLQLTFQLGALPRVEIVHLGHLSVQDTRDGGNVDFDVGLENLLSLELVYVYLRRTTGTIDSDMESAKSALRHAAQIHPNHPTLVIKESSL >ORUFI09G07700.1 pep chromosome:OR_W1943:9:9541713:9548008:-1 gene:ORUFI09G07700 transcript:ORUFI09G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVTGAMGSLLLKLGKLAMDEYNLQKGVKRNVKALRGELESMQAALRKVGDVPSDQLDEQVELWARDVRELSYDADDVLDTFMVRVRVDDDNHGSKGMVNKVAAGFLGKAAKTRHDIADEIKGIMERAREDAARRDRYKVDAVVARFNNGAAAAAGGGCDPRLYGLYRDEAELVGIDEASDELINALATEAVVSVVGGGGLGKTTLARAFDCTAFDSVSSAPDMKKAFKDMLLELDKTKYKDIHNLVRDEKQLIDEIRDFVQNKRYLIVIDDIWDIASWSAIRCALVENNDGSTIIATTRDFDIAEQIGSPHKLKTLPPKSSKKLFYGRIFGSEDKCPQELVEVSGKILKKCGDVPLAIITIASVLARTRNMAEEWYKVYNSIGYGLGNNHDIKNMRKILSLSYHNLPSHLRTCLLYLSIFPEDYEIERSRLIRMWISEGFIHPEKDGDNMFELADNYFNELINRSLIQPSGYVSGMPHSCRVHDMIHDLIRSLSSKENFVTVLDGISQQTSPASKNNKLENSTAQTNMKMSQVLRVLDLENCYLTEGCHLDLRHVCNLFHLRYLRLYECNFDRELLKEIENLKFLQTLIVKREVRLPSTIAELRRLMFLHVHTDTILPKGMDNLTLLEELSLIDINKSPNFAKELRNLTKLRELELFWGEMNESLEEALIESLCNLQRIQNLQILPFGNSSLDFIGEHWMPSVYLQSFVATGSSRFTIVPAWIRKNPSLLTNLTNLSIKLQELRQEDLKTLGRLPALLNLRLYAGRSECLLTCVGEFCCLRSFNLRSRDSLRLTFHQGAMPRVQRVLLSFHVQDGNGDFDFGLENLLSLEHADVFLSQTTGTIDNDMEMERAKSALRHAALIHPNHPTLEIH >ORUFI09G07710.1 pep chromosome:OR_W1943:9:9548790:9563318:-1 gene:ORUFI09G07710 transcript:ORUFI09G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDIAITRYPEDPYDRYWWGMTDATWLNLTTTSAIQTENTIAVPMSVLQTAATPTGNNTVLSVATWQDPTANKYMVFLHFADFRSSKLRQLDAYPDANQMLRSYEPPYLSADYVYTPDWFRADGGGYNITLAATANSALPPILNAYETYLLITHETPMTFSPDFDAIMSIKLEYEINKNWMGDPCFPPKFAWDGVKCKNTSGNHLNGPIPDSLCKNNGGQFIFSYGSDGDMCSKTISPTASRSRTKILAISIVAPVLAVALLVLTYLILTVKRKPNNLKNSPDIRTNHLDHLVRDTENRQFTYKELNKFTNNFERLIGRGGFGSVYHGRLEKTTEVAVKIRSEYSRQGLHQFLAEEHLALVYEYMSGGSLSDHLRGKIDVGDTLNWATRLRVVVEAAQGLEYLHKGCNLPIIHRDVKTNNILLGQNLKAKLADFGLSKTYISDMQTHISTDNAAGTPVATGEPPILHDHDHTHIAQYVKNNITSGDISLIADGLLKDSYDVTSMWKVVDTAMLCMADDVTRRPTMSAVVVQLKESLALEEARVNKGIRADQVIDVNVDHVASKIGASTR >ORUFI09G07710.2 pep chromosome:OR_W1943:9:9563328:9567074:-1 gene:ORUFI09G07710 transcript:ORUFI09G07710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLFLGAFAMAAATRAVGQPGFISIDCGLEANYSGYKDTDTGIFYVSDSPYVDAGENHRVAAGQASGRVRPELTVRSFPSGVRNCYTLPTAAGAKYLVRVIAFYGNYDGRNSSSALQFDLYIGVNYWQTVRADGDEVYEVLFVAWASWVPVCLVNTAQGTPFVSSVELRPLVDALYPAVMTNQSMATD >ORUFI09G07720.1 pep chromosome:OR_W1943:9:9572776:9585421:1 gene:ORUFI09G07720 transcript:ORUFI09G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAATPAAVGQRPGFLSIDCGLEANYSSHTERSNGIIYVSDGSYVDGGENHRVAAGQDIGRTRPDQTVRSFPSGVRNCYALPTVTGTKYLVRVVAFYGNYDGMNSLSTLEFKLYLGTNLWDTVQPKISQAYEAMFVAWASWAPVCLENTGQGTPFVSSVELRPLGSEFYPAIMANQSMRMHIRYSLGSTAGVIRYPDDQYDRYWWELANTNPTWMSLSTSLPIQPVTFGLPAAVLHTAIAAAGNGTMLNITWEDQTSRQFMAFLYFTDFQNSQLRQFNISFDTLYTSLWYKAPYLADGGIYAGWYRTTNGKFNVSMVATANSALPPMLNAYEIYTLITHNNPTTFFEDFDAIMAIKFEYKIIGKLLCSDLSNSNLHGAISINFTLLTALEYLNLSGNQLNGPIPDSLCKMNEGSFIFSYESNQDMCNKTSPSSSRNRAGIIAISVAAPALVLAVIGIAYLIWRVKRKPNISAHNPLRVPETTNAPGNENYHWDHLKQNENRQFTYEELEKFTNNFQRLIGEGGFGRVYHGCLEDNTEVAVKMLSGTSSSGRNGFLAEVESLTTVHHKNLVSLVGYCSEKAHLALVYEYMSKGNLFDHLRGKAGVGENLNWATRVRVLLDAAQGLDYLHKGCKRPIIHRDVKSSNILLGQSLQAKIADFGLSKTYLNEAQSHMSATVVGSMGYIDPEYYQTGWITESSDVYSFGVVLLEVTTGELPIVQGHGHIIQRVKQNVALGDINSIADERLRGSYDINSMWKVVEIALMCTEPVAARRPSMATVVVQLKESLALEEACKDGGLQANSSGDAVAMLSTYGPSAR >ORUFI09G07730.1 pep chromosome:OR_W1943:9:9612178:9650309:-1 gene:ORUFI09G07730 transcript:ORUFI09G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIVLFFAAFVFAVVSPAVGQLPGFLSIDCGLEANYSGGYTDDGNFGIVYVPDGAYVDGGQNGRVAAQYESGLIRTDRTLRSFPSGVRNCYALPTNTGNKYLVRVVAVYGNYDGKNSSSTLQFDLHLGVNYWNTVVPSNFESYEALFVAWGNLAPVCLVNTGQGTPFVSSIELRPLVDTLYPDHVKANQSMAMYDRRVMSTTNAYIAGSYPFDRYDRFWWPGDSNPLWDYLNSTRSIQPESSTEVPSALLQKAVQAAGNGTMLNITWQDHTPALQFTVFLHFADFQKSLQPRQFNIYFNSHDKPYLYNPPYLAAGVVYSPSWYSEFDGQFNVTLAATAESVLPPMLNAYEIYTLYGVKKNWMGDPCYPTQYAWDGVKCKNTSENIPRIISIDLSNSNLNGVISSNFTLLTALEYLNLSGNQLNGPIPDSLCKLNAGLLVFSYDSNGETYCNKTTSLTRSRNRSSAAILAISVAAPVLVVIALFIAYLMWKAKRKPNTSAYNPPTVPEPMNAPVSEKYHWDHLEKNENRQFTYEELEKITYNFQRLIGQGGFGYVYHGCLEDHTEVAVKIHSENSRHGFSEFLAEVQSLSKVHHKNLVSLVGYCTEKAHLALVYEYISGGNLFDHLRGLDYLHTGCNRPIIHRDVKTSNILLGHNLQAKIADFGLSKVYVSDTQTHMSATAAGSMGYIDPEYYLTGRITERSDIYSFGVVLLEVVTGERPIIQGQGHIIQRVKVKVVAGDISFIADARLRGDYNVNSIWKVVEIAMLCTEPVAAQRPSMASVVAELKNSLALESTITRCSGYVVYIWSVGKMKKLYACTSVYTCAFGFLSIDCGLGSNHGSYTEKETGIFYVPDGPYVDAGENHEVAADHIKGGHIRSRPDLTVRSFPSGTRNCYTLPTDAGSKYLVRVVVAVYGNYDDGKKNSSVVGLRFDLHLAGRTTGTPCSRPMGGRCTRRLFVAWGSWAPVCLVNTGHGTPFASSVELRPLGSELYPAVMANQSIRLYSRHNLGPTTAHVTRYPNDPFDRYWWHQDTNNPMLENINTTLINSIKQEPSFEVPVAILQNAVEVAGNGTVLNIKWEDDDTRSRQFAVILHFADFQNTASGPPNKYSPPYLAAGYVYSTVWYRAIYGDQFNVTLAATAQSVLPPMLNAYEIYTLIVHDTPTTFQQDGKQTTTLLKKQNHTHTYIILLPPSQNISIFSIYNKRIVQLFALLGESSLIKCLIPSYVNESEQFFINFFFPYSVVDAIWAIKVEYEIKKNWTGDPCFPTQFKWDGVECRYRSDNIRIISIDLANSNLHGVISSNFTLLTALEYLNLSGNQLNGPIPDSLCKLNEGSLVFSYGSNGDICNKTNLPRSKKSAATLAISIAAPVLVVVSLFITYLIWRAKGRSNSALVTLLVFRYTR >ORUFI09G07730.2 pep chromosome:OR_W1943:9:9612178:9650309:-1 gene:ORUFI09G07730 transcript:ORUFI09G07730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIVLFFAAFVFAVVSPAVGQLPGFLSIDCGLEANYSGGYTDDGNFGIVYVPDGAYVDGGQNGRVAAQYESGLIRTDRTLRSFPSGVRNCYALPTNTGNKYLVRVVAVYGNYDGKNSSSTLQFDLHLGVNYWNTVVPSNFESYEALFVAWGNLAPVCLVNTGQGTPFVSSIELRPLVDTLYPDHVKANQSMAMYDRRVMSTTNAYIAGSYPFDRYDRFWWPGDSNPLWDYLNSTRSIQPESSTEVPSALLQKAVQAAGNGTMLNITWQDHTPALQFTVFLHFADFQKSLQPRQFNIYFNSHDKPYLYNPPYLAAGVVYSPSWYSEFDGQFNVTLAATAESVLPPMLNAYEIYTLYGVKKNWMGDPCYPTQYAWDGVKCKNTSENIPRIISIDLSNSNLNGVISSNFTLLTALEYLNLSGNQLNGPIPDSLCKLNAGLLVFSYDSNGETYCNKTTSLTRSRNRSSAAILAISVAAPVLVVIALFIAYLMWKAKRKPNTSAYNPPTVPEPMNAPVSEKYHWDHLEKNENRQFTYEELEKITYNFQRLIGQGGFGYVYHGCLEDHTEVAVKIHSENSRHGFSEFLAEVQSLSKVHHKNLVSLVGYCTEKAHLALVYEYISGGNLFDHLRGLDYLHTGCNRPIIHRDVKTSNILLGHNLQAKIADFGLSKVYVSDTQTHMSATAAGSMGYIDPEYYLTGRITERSDIYSFGVVLLEVVTGERPIIQGQGHIIQRVKVKVVAGDISFIADARLRGDYNVNSIWKVVEIAMLCTEPVAAQRPSMASVVAELKNSLALELSRDDGGHKTPDPPSHDAVAMSSTFGFLSIDCGLGSNHGSYTEKETGIFYVPDGPYVDAGENHEVAADHIKGGHIRSRPDLTVRSFPSGTRNCYTLPTDAGSKYLVRVVVAVYGNYDDGKKNSSVVGLRFDLHLAGRTTGTPCSRPMGGRCTRRLFVAWGSWAPVCLVNTGHGTPFASSVELRPLGSELYPAVMANQSIRLYSRHNLGPTTAHVTRYPNDPFDRYWWHQDTNNPMLENINTTLINSIKQEPSFEVPVAILQNAVEVAGNGTVLNIKWEDDDTRSRQFAVILHFADFQNTASGPPNKYSPPYLAAGYVYSTVWYRAIYGDQFNVTLAATAQSVLPPMLNAYEIYTLIVHDTPTTFQQDGKQTTTLLKKQNHTHTYIILLPPSQNISIFSIYNKRIVQLFALLGESSLIKCLIPSYVNESEQFFINFFFPYSVVDAIWAIKVEYEIKKNWTGDPCFPTQFKWDGVECRYRSDNIRIISIDLANSNLHGVISSNFTLLTALEYLNLSGNQLNGPIPDSLCKLNEGSLVFSYGSNGDICNKTNLPRSKKSAATLAISIAAPVLVVVSLFITYLIWRAKGRSNSALVTLLVFRYTR >ORUFI09G07730.3 pep chromosome:OR_W1943:9:9612178:9650309:-1 gene:ORUFI09G07730 transcript:ORUFI09G07730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIVLFFAAFVFAVVSPAVGQLPGFLSIDCGLEANYSGGYTDDGNFGIVYVPDGAYVDGGQNGRVAAQYESGLIRTDRTLRSFPSGVRNCYALPTNTGNKYLVRVVAVYGNYDGKNSSSTLQFDLHLGVNYWNTVVPSNFESYEALFVAWGNLAPVCLVNTGQGTPFVSSIELRPLVDTLYPDHVKANQSMAMYDRRVMSTTNAYIAGSYPFDRYDRFWWPGDSNPLWDYLNSTRSIQPESSTEVPSALLQKAVQAAGNGTMLNITWQDHTPALQFTVFLHFADFQKSLQPRQFNIYFNSHDKPYLYNPPYLAAGVVYSPSWYSEFDGQFNVTLAATAESVLPPMLNAYEIYTLYGVKKNWMGDPCYPTQYAWDGVKCKNTSENIPRIISIDLSNSNLNGVISSNFTLLTALEYLYDSNGETYCNKTTSLTRSRNRSSAAILAISVAAPVLVVIALFIAYLMWKAKRKPNTSAYNPPTVPEPMNAPVSEKYHWDHLEKNENRQFTYEELEKITYNFQRLIGQGGFGYVYHGCLEDHTEVAVKIHSENSRHGFSEFLAEVQSLSKVHHKNLVSLVGYCTEKAHLALVYEYISGGNLFDHLRGLDYLHTGCNRPIIHRDVKTSNILLGHNLQAKIADFGLSKVYVSDTQTHMSATAAGSMGYIDPEYYLTGRITERSDIYSFGVVLLEVVTGERPIIQGQGHIIQRVKVKVVAGDISFIADARLRGDYNVNSIWKVVEIAMLCTEPVAAQRPSMASVVAELKNSLALESTITRCSGYVVYIWSVGKMKKLYACTSVYTCAFGFLSIDCGLGSNHGSYTEKETGIFYVPDGPYVDAGENHEVAADHIKGGHIRSRPDLTVRSFPSGTRNCYTLPTDAGSKYLVRVVVAVYGNYDDGKKNSSVVGLRFDLHLAGRTTGTPCSRPMGGRCTRRLFVAWGSWAPVCLVNTGHGTPFASSVELRPLGSELYPAVMANQSIRLYSRHNLGPTTAHVTRYPNDPFDRYWWHQDTNNPMLENINTTLINSIKQEPSFEVPVAILQNAVEVAGNGTVLNIKWEDDDTRSRQFAVILHFADFQNTASGPPNKYSPPYLAAGYVYSTVWYRAIYGDQFNVTLAATAQSVLPPMLNAYEIYTLIVHDTPTTFQQDGKQTTTLLKKQNHTHTYIILLPPSQNISIFSIYNKRIVQLFALLGESSLIKCLIPSYVNESEQFFINFFFPYSVVDAIWAIKVEYEIKKNWTGDPCFPTQFKWDGVECRYRSDNIRIISIDLANSNLHGVISSNFTLLTALEYLNLSGNQLNGPIPDSLCKLNEGSLVFSYGSNGDICNKTNLPRSKKSAATLAISIAAPVLVVVSLFITYLIWRAKGRSNSALVTLLVFRYTR >ORUFI09G07730.4 pep chromosome:OR_W1943:9:9612178:9650309:-1 gene:ORUFI09G07730 transcript:ORUFI09G07730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIVLFFAAFVFAVVSPAVGQLPGFLSIDCGLEANYSGGYTDDGNFGIVYVPDGAYVDGGQNGRVAAQYESGLIRTDRTLRSFPSGVRNCYALPTNTGNKYLVRVVAVYGNYDGKNSSSTLQFDLHLGVNYWNTVVPSNFESYEALFVAWGNLAPVCLVNTGQGTPFVSSIELRPLVDTLYPDHVKANQSMAMYDRRVMSTTNAYIAGSYPFDRYDRFWWPGDSNPLWDYLNSTRSIQPESSTEVPSALLQKAVQAAGNGTMLNITWQDHTPALQFTVFLHFADFQKSLQPRQFNIYFNSHDKPYLYNPPYLAAGVVYSPSWYSEFDGQFNVTLAATAESVLPPMLNAYEIYTLYGVKKNWMGDPCYPTQYAWDGVKCKNTSENIPRIISIDLSNSNLNGVISSNFTLLTALEYLYDSNGETYCNKTTSLTRSRNRSSAAILAISVAAPVLVVIALFIAYLMWKAKRKPNTSAYNPPTVPEPMNAPVSEKYHWDHLEKNENRQFTYEELEKITYNFQRLIGQGGFGYVYHGCLEDHTEVAVKIHSENSRHGFSEFLAEVQSLSKVHHKNLVSLVGYCTEKAHLALVYEYISGGNLFDHLRGLDYLHTGCNRPIIHRDVKTSNILLGHNLQAKIADFGLSKVYVSDTQTHMSATAAGSMGYIDPEYYLTGRITERSDIYSFGVVLLEVVTGERPIIQGQGHIIQRVKVKVVAGDISFIADARLRGDYNVNSIWKVVEIAMLCTEPVAAQRPSMASVVAELKNSLALELSRDDGGHKTPDPPSHDAVAMSSTFGFLSIDCGLGSNHGSYTEKETGIFYVPDGPYVDAGENHEVAADHIKGGHIRSRPDLTVRSFPSGTRNCYTLPTDAGSKYLVRVVVAVYGNYDDGKKNSSVVGLRFDLHLAGRTTGTPCSRPMGGRCTRRLFVAWGSWAPVCLVNTGHGTPFASSVELRPLGSELYPAVMANQSIRLYSRHNLGPTTAHVTRYPNDPFDRYWWHQDTNNPMLENINTTLINSIKQEPSFEVPVAILQNAVEVAGNGTVLNIKWEDDDTRSRQFAVILHFADFQNTASGPPNKYSPPYLAAGYVYSTVWYRAIYGDQFNVTLAATAQSVLPPMLNAYEIYTLIVHDTPTTFQQDGKQTTTLLKKQNHTHTYIILLPPSQNISIFSIYNKRIVQLFALLGESSLIKCLIPSYVNESEQFFINFFFPYSVVDAIWAIKVEYEIKKNWTGDPCFPTQFKWDGVECRYRSDNIRIISIDLANSNLHGVISSNFTLLTALEYLNLSGNQLNGPIPDSLCKLNEGSLVFSYGSNGDICNKTNLPRSKKSAATLAISIAAPVLVVVSLFITYLIWRAKGRSNSALVTLLVFRYTR >ORUFI09G07740.1 pep chromosome:OR_W1943:9:9669957:9673574:1 gene:ORUFI09G07740 transcript:ORUFI09G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIDVLIRVDAICKKYDKYDADKHRNGAAGGDPFSRLYNAVDADIDAAIERSERAATETNRAAAVALNADVRRTKARLTEEVVRLRKLAAKKVKGLSPEEAALRGDMVLALPDRIQSIPDGGGGGAADQNGGGNVRPGIKFDSSADNLDEAYFQTTEESEEFRREYEMRRTKQASLCLSMESNDEGLEFISEGLDTLKSLAEDMNEELNRQMPMMDEIDNKVDKSNADLRKTNVRLKETVNQFRSTRNFMIDLILICIILGIAAYLYDILNQ >ORUFI09G07750.1 pep chromosome:OR_W1943:9:9672256:9675349:-1 gene:ORUFI09G07750 transcript:ORUFI09G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKGSGSDANGGLAEATASRLRFEDPDEVMEENHAAAAATVGAEEEGGEGGGGEEVIGSDKTSADYYFDSYSHFGIHEEMLKDVVRTKSYQNVITQNSFLFKDKIVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMAKEIVKTNGYSNVITVIKGKVEEIELPVPKVDVIISEWMGYFLLFENMLNTVLYARDKWLADGGVVLPDKASLHLTAIEDAEYKEDKIEFWNNVYGFDMRCIKKQAMMEPLVDTVDANQIVTNCQLLKTMDISKMTPGDASFTVPFKLVAERNDYIHALVAYFNVSFTKCHKMMGFSTGPRSKATHWKQTVLYLEDVLTICEGETITGSMTVTPNKKNPRDIDIKLYYALSGHRCQVSRTQHYKMR >ORUFI09G07750.2 pep chromosome:OR_W1943:9:9672256:9675349:-1 gene:ORUFI09G07750 transcript:ORUFI09G07750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKGSGSDANGGLAEATASRLRFEDPDEVMEENHAAAAATVGAEEEGGEGGGGEEVIGSDKTSADYYFDSYSHFDVVRTKSYQNVITQNSFLFKDKIVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMAKEIVKTNGYSNVITVIKGKVEEIELPVPKVDVIISEWMGYFLLFENMLNTVLYARDKWLADGGVVLPDKASLHLTAIEDAEYKEDKIEFWNNVYGFDMRCIKKQAMMEPLVDTVDANQIVTNCQLLKTMDISKMTPGDASFTVPFKLVAERNDYIHALVAYFNVSFTKCHKMMGFSTGPRSKATHWKQTVLYLEDVLTICEGETITGSMTVTPNKKNPRDIDIKLYYALSGHRCQVSRTQHYKMR >ORUFI09G07760.1 pep chromosome:OR_W1943:9:9676260:9681180:1 gene:ORUFI09G07760 transcript:ORUFI09G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKETKNTVAAAASSSPLFSFSNSNASFGFGFGFSASSGPPPPPPPPAVEVLLSEESPVAAGELEPVVIDDSLSIYKGRASTSDVFGVKNSDLVPGKYEGGLKLWEGSLDLVKTLNSDIKEDRLLLEGKRVLELGCGHGLPGIYAILKGAVLVHFQDFNAEVLRCLTIPNVKANLLKESSEEKFTSGCVGFFAGDWSEIDSLLLRGDADLDKSTNSHENDTAYNGYDIILMAETVYAVSSLPNLYRLIKKCLRYPGGIVYMAGKKHYFGVGGGTRHFVRLVTEDGAMQSDLLAEVADGSSNVREESSIGGRTASFFHYQRLECRDDGGPPRPSRWRWLPALDGKPAATPCLFHVKKLKWSRITSVLLPRKVVAELSSKIRRAGATTMEGATDICPTIIFASQWGLPVLSRPLLAGNKARYLHHGKGF >ORUFI09G07770.1 pep chromosome:OR_W1943:9:9695835:9700752:1 gene:ORUFI09G07770 transcript:ORUFI09G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQKLSFQAGERHAMEKLYEWILRQHENGLRLTVADIASHIQHEIQYGGDNASASPRSQHASQSTQATVPIPNTMSQQPSPNLFALGNTGLVQSKNSAVFSNALSSPVRRSLQPFHLEQGGDAGYFANGVNRDQNSTASNDSSMDMHSDSPAHDSY >ORUFI09G07780.1 pep chromosome:OR_W1943:9:9704109:9709562:-1 gene:ORUFI09G07780 transcript:ORUFI09G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTMSSSMAASLVTSLRSLPAHALVPLVASALLFVVAVVLRRRRRPVYLLNYSCHLPDVDRKVNLEVCEYFGQRCRHYSDDTADFMRLIYRKSGLGQETYAPPFIFSGEFQKTQAFAVQEAEEGLFATVAHLLAKSDVRPRDVGFVVVACSMFSPAPSLASMIVRRFGMPPGTRTYSLAGMGCSAGTVGIDMAARALRVSRRGGYALVVVTENMSLNWYFGENKHMLVTNCIFRVGSAAALVTDVAARRGDAKYELVRTLRTHHGGDDAAYNAAVQMEDEEGNVGVALTKDLVRVAGAGLRQHIATLAPHVLPVSELLRYVWRVARAYVAGNPKAVAAIVPDFQRAFEHMCIHSGGKAVIDAVAKLMAFGPQVVEPARATLHRFGNTSSSLVFYELAYFEAKRRVRAGDRLWMLAFGTGFKACSNVWRALRDSAPDADNPWNACAHRYPAALPPPSTRRSSGGAPAMDFTHLKNDKLP >ORUFI09G07790.1 pep chromosome:OR_W1943:9:9743582:9750236:1 gene:ORUFI09G07790 transcript:ORUFI09G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNLKTNVGITVFPILICVLLVVLQNVINGELDKPKYQCGCECTESDLDGTCLRKECGIQHSTLEQVNVFIVLEYFQLTGVSGRVFPALYPLPNVTDYLMPPPFSPSQVTDYLDILSRIVVPRCMPFMFGTIPYNAGGVPLHIDSFVGLPRLYSDVLLNEPDIQCIEVQMLWRESASVINYELFKGYVQRGGETNEFVAGYDFLNTTGYDLNINVWYNSTYNDNTAYSFIAALRVPRLVNAISNAYLKFIRGSGVDMLLEYVKEMPKVGTRFRLDLSSLLSVLFFTWIVELLFPVMLTYLVYEKEQKLKIMMKMHGLKDGPYWLISYAYFFALSVIYMTFFVIFGSLIGLNFFRLNDYSIQFAFFFIYINLQIALAFFVASFFSSVKTATVIGYIYVFGSGLLGAFLFRFFVEDRTFPNGWLLVMEIVPGFSLYRGLYELGQYAFSGSAMGASGMTWGNLRDPINGMCGIFIIMTVEWAFLLMLAFYLDQVSPVGGGVRKRPLFFFRCLQKKHTPSLQKPSFVQQGSKVIVDMEKPDVAQEREVVEQLLVGRNANQAIICHNLKKIYPGRDGNPDKLAVRGLSLAVPKGQCFGMLGPNGAGKTSFISMMIGLVKPTSGTSYVHGMDINMDMDHIYTNMGVCPQHDLLWEPLTGKEHLFFYGRLKNLKGAVLVKAVEDALKSVNLFHGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKRNPHSMEEAEVLCDRLGIFVDGDFQCLGNPKELKARYGGAYIFTMTTSPDQEQEVERLVHDLSPSANKIYHLSGTQKFELPKQEVKIAEVFRAVEDAKKRFTVVCALIIL >ORUFI09G07800.1 pep chromosome:OR_W1943:9:9751923:9752195:1 gene:ORUFI09G07800 transcript:ORUFI09G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQHGAAAGGRNMRQSRSVRGTETMGVPMRRSSAGVHSSPPKNGWITHEEIHESTDHASRNPQGHMMRMKKSTSAWTAREEVHEGVRCA >ORUFI09G07810.1 pep chromosome:OR_W1943:9:9753363:9758608:1 gene:ORUFI09G07810 transcript:ORUFI09G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPPELGQFDGWESSGEEERERWGWCRRSRSRSGSRSGSGSGSSRRRLPRKGSGGGGGGEDATVATGCCIRLWPMGSCPPPPRSKVDTSTSSASTHGAEKSTENGSRNHPVVSVVSGSTTTSNAESSSSASKAGEEIKVASQLRKFAFNDLKCATRNFRPESILGEGGFGCVFKGWIEENGTAPMKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLHHPNLVRLIGYCVEDDQRLLVYEFMPRGSLDNHLFRRSLPLPWSIRMKVALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPVGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPLLGERQRFYKLIDPRLEGNFSVKGAQKAAQLARACLNRDPKARPLMSQVVEVLKPLLNLKDMASSSYFYQTMQAERMAHSSSMNGRSHALKVQGSFARNGQQPMRSLSDGPRASPFRYSPKPNVK >ORUFI09G07820.1 pep chromosome:OR_W1943:9:9760947:9763617:1 gene:ORUFI09G07820 transcript:ORUFI09G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKPQSKSKLQPLTNHLLSVFTSANLNSSSDSPLPRSKIDQSTVAIKENFGKFSEVLEPGCHFLPWCIGQQIAGYLSLRVKQLDVRCETKTKDNVFVTVVASVQYRALADKASDAFYKLSNTREQIQSATVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGYEIVQTLIIDIEPDVHVKRAMNEINAGKLRVAANEKAEAEKILQIKKAEGEAESKYLAGVGIARQRQAIVDGLRDSVLAFSENVPGTTAKDIMDMVLVTQYFDTMKEIGASSKSTSVFIPHGPGAVKDVAAQIRDGLLQANAERND >ORUFI09G07830.1 pep chromosome:OR_W1943:9:9764388:9765225:-1 gene:ORUFI09G07830 transcript:ORUFI09G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRKQQQEEEMVAGGGEAAALRAPADVIARVFSQLDCVDLLSCSLVCRQWYRDSAELREEWRKEYMEAWNQFGLYVKQQPQQPCPTCSSSIRTLRGLCS >ORUFI09G07840.1 pep chromosome:OR_W1943:9:9770443:9781416:1 gene:ORUFI09G07840 transcript:ORUFI09G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGLYPEIGKKARDLLYRDYQTDHKFTLTTYTSNGVAITATSTKKADLIFGEIQSQIKNKNITVDVKANSDSNVVTTVTVDELTPGLKSILSFAVPDQRSGKFELQYSHDYAGVSASIGLTASPVVNLSSVFGTKALAVGADVSLDTATGNLTKYNAGLSFSNDDLIASLNLNNKGDSLTASYYHIVNHSATAVGAELTHSFSSNENSLTFGTQHTLDPLTVVKARFNNSGKASALLQHEWRPKSVWTISAEVDTKAIDKSSKVGRWVQRPSCSLSMNGCGAAVAGAGAVAVRETRALPAAGAAGDAVGQLREAVAALEAADPPASPSGIIRIEVPVRQRGDAVEWLHAQGDLRARCFFSARAAAPLPECPALAIASAGNGNGNGAGGVGGEQRQRPVSVAGVGSAVFFRGTEPFSLRDWRAIKRFLPRDCPLIRAYGAIRFDATSDHSVEWEEFGSFYFIVPQVEYNELEESSVLATTIAWDDSLSWTWQNAVKELQSTLQKISSSPIKVNNSTLQTTILNLNHVPTKASWDLAVTKALQMIKGKQRELVKVVLARCSRYITDTCIDPVELLACLKVEGQNAYQFCIQPPDAPAFVGNSPEQLFHRKYLNISSEALAGTRARGKTRADDFQIGQDLLLSSKEDNEFTIMICDDVVVHPSKALRKLPRVQHLSAQLAARMRNEDDEFDILNTLHPSPAVCGLPTEEARQFIQDYEIFDRGMYAGPVGWFGGAESEFAVGIRSALLGKGHSTLVYAGAGIVEGTNPSFEWDELDLKASQPLKHKSKKATKIKVAKNSGVDVGGRRRARLAADSGNASGSSSGGGGTRAATLRDGDRARAAKLSFWTLEVAVAPGRSDGGGGAGPQLPRVNAGGISGGGGGGDGEDS >ORUFI09G07840.2 pep chromosome:OR_W1943:9:9770443:9780251:1 gene:ORUFI09G07840 transcript:ORUFI09G07840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGLYPEIGKKARDLLYRDYQTDHKFTLTTYTSNGVAITATSTKKADLIFGEIQSQIKNKNITVDVKANSDSNVVTTVTVDELTPGLKSILSFAVPDQRSGKFELQYSHDYAGVSASIGLTASPVVNLSSVFGTKALAVGADVSLDTATGNLTKYNAGLSFSNDDLIASLNLNNKGDSLTASYYHIVNHSATAVGAELTHSFSSNENSLTFGTQHTLDPLTVVKARFNNSGKASALLQHEWRPKSVWTISAEVDTKAIDKSSKVGRWVQRPSCSLSMNGCGAAVAGAGAVAVRETRALPAAGAAGDAVGQLREAVAALEAADPPASPSGIIRIEVPVRQRGDAVEWLHAQGDLRARCFFSARAAAPLPECPALAIASAGNGNGNGAGGVGGEQRQRPVSVAGVGSAVFFRGTEPFSLRDWRAIKRFLPRDCPLIRAYGAIRFDATSDHSVEWEEFGSFYFIVPQVEYNELEESSVLATTIAWDDSLSWTWQNAVKELQSTLQKISSSPIKVNNSTLQTTILNLNHVPTKASWDLAVTKALQMIKGKQRELVKVVLARCSRYITDTCIDPVELLACLKVEGQNAYQFCIQPPDAPAFVGNSPEQLFHRKYLNISSEALAGTRARGKTRADDFQIGQDLLLSSKEDNEFTIVRDSIKKKLEAS >ORUFI09G07840.3 pep chromosome:OR_W1943:9:9775064:9781416:1 gene:ORUFI09G07840 transcript:ORUFI09G07840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPRTLSPSSSSPSLRSSGRRPLPSARLVGRWVQRPSCSLSMNGCGAAVAGAGAVAVRETRALPAAGAAGDAVGQLREAVAALEAADPPASPSGIIRIEVPVRQRGDAVEWLHAQGDLRARCFFSARAAAPLPECPALAIASAGNGNGNGAGGVGGEQRQRPVSVAGVGSAVFFRGTEPFSLRDWRAIKRFLPRDCPLIRAYGAIRFDATSDHSVEWEEFGSFYFIVPQVEYNELEESSVLATTIAWDDSLSWTWQNAVKELQSTLQKISSSPIKVNNSTLQTTILNLNHVPTKASWDLAVTKALQMIKGKQRELVKVVLARCSRYITDTCIDPVELLACLKVEGQNAYQFCIQPPDAPAFVGNSPEQLFHRKYLNISSEALAGTRARGKTRADDFQIGQDLLLSSKEDNEFTIVRDSIKKKLEAS >ORUFI09G07850.1 pep chromosome:OR_W1943:9:9783640:9783900:-1 gene:ORUFI09G07850 transcript:ORUFI09G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGKNNSTRRGSASPSPSSSAAADGDRSPWLRLTAFAVLTLHSAFSSYLARDDARLVALVVVGYLLMLVLLFYGLAVPVQQKRD >ORUFI09G07860.1 pep chromosome:OR_W1943:9:9784527:9786217:-1 gene:ORUFI09G07860 transcript:ORUFI09G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGRSKSSSSTASPPPAAAASSAAAAACSELRAAYHECFNRWYAEKFAKGQWHKDDCVGEWHKYRACLEEHLEDKNLRQILLESETSAYYAQFDADSSSRKGGTSTK >ORUFI09G07870.1 pep chromosome:OR_W1943:9:9801391:9819784:1 gene:ORUFI09G07870 transcript:ORUFI09G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAPAVSADQFRGQARLPRFAAPRRYELRLRPDLDACVFTGDASVVVDVSAPTRFLVLNAADLAVDRASIRFQGLAPTEVSLFEDDEILVLEFDGELPLGEGVLAMDFNGTLNDQMRGFYRSKYEYKGETKNMAVTQFEAVDARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVACETIAGPIKTIHYEESPLMSTYLVAIVVGLFDYVEGVTSEGNKVRVYTQVGKSSQGKFALDIGVKSLNFYKDYFDTPYPLPKLDMVAIPDFAAGAMENYGLVTYREVSLLFDEQSSSASFKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSHLSVDSFFPQWNIWTQFLDSTTSALKLDSQAESHPIEVEIHHASEVDEIFDAISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEVSGEPVKDLMTTWTKQQGYPVISVKLKGHDLELEQDQFLLNGTSGAGIWIVPITLGCCSHDKQKRLLLKHKHDNIKAIVSQCDSRQKGGNFWIKLNIDETGFYRVKYDDELTAAIRNALQAKKLSLMDEIGIVDDAHALSIACKQTLSSLLHLLYAFRDEADYSVLSHINSVTSSVAKISIDATPDLAGDIKQLFIKLLLPPAKKLGWDPKDGESHLDAMLRPMLLVALVQLGHDKTINEGFRRFQIFFDDRNTSLLTPDTRKAAYLSVMHNVSSTNRSGYDALLKVYRKSAEGEEKLRVLGTLSSCQDKDIVLESLNLIFTDEVRNQDAYRVLGGVIIEARETAWSWLKFTSKEKEAEISQFFATRTKPGYERTLKQSLERVLINARWIEGIRAAEAEAVMAAAAAEFRGQARLPRFAAPRRYELRLRPDLAACVFSGEASVAVDVSAPTRFLVLNAADLAVDRASIRFQGLAPAEVSVFEEDEILVLEFAGELPLGEGVLAMRFNGTLNDQMRGFYRSKYEYKGETKNMAVTQFESVDARRCFPCWDEPSFKAKFKLTLEVPSELVALSNMPIVNEKIAGPIKTVEYEESPVMSTYLVAIVVGLFDYIEGVTSEGNKVRVYTQVGKSNQGKFALDVGVKSLNLYKEFFDTPYPLPKLDMVAIPDFTNGAMENYGLVTYREIYLLFDEQSSSASTKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSYLAVDSFFPEWNIWTQFLDSTTSALKLDSLAESHPIEVEIHHASEIDSIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEVSGEPVKNLMTTWTKKQGYPVIGVKLKGHDVELEQDQFLLDGSSDSGMWIVPITLGCNSHDMQKRFLLKHKFSDIKGINSQYDDQDRQNSGNFWIKLNIDETGFYRVKYDDELTTALRNALQMKKLSLMDKIGIVEDAHALSIAGKQTLSSLLHLLYACRDEDDFSVLSHINSVTSSVAKISIDATPELAGEIKQLFIKLLLPTAEKLGWDHKNSESHLDAMLRPVLLVGLVHLGHDKTISEGVRRFQIFFDDRNTSLLPPDTRKAAYLSVMHNVSSTNRSGYDALLKIYRESTEVEERLNVLGILSSCQDKDIVLESLNFIFTDEVRNQDAYLVLRSVIIDARETAWSWLKENWDRIMKTFAASAILSDYVKSIVTLFTSKEKEAEISQFFATRTKPGFKRALKQSLENVRISARWVDGIRGEAELAQTVHDLLIKL >ORUFI09G07870.2 pep chromosome:OR_W1943:9:9808978:9819784:1 gene:ORUFI09G07870 transcript:ORUFI09G07870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMIQELSHNSTVALKCPTAEAEAVMAAAAAEFRGQARLPRFAAPRRYELRLRPDLAACVFSGEASVAVDVSAPTRFLVLNAADLAVDRASIRFQGLAPAEVSVFEEDEILVLEFAGELPLGEGVLAMRFNGTLNDQMRGFYRSKYEYKGETKNMAVTQFESVDARRCFPCWDEPSFKAKFKLTLEVPSELVALSNMPIVNEKIAGPIKTVEYEESPVMSTYLVAIVVGLFDYIEGVTSEGNKVRVYTQVGKSNQGKFALDVGVKSLNLYKEFFDTPYPLPKLDMVAIPDFTNGAMENYGLVTYREIYLLFDEQSSSASTKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSYLAVDSFFPEWNIWTQFLDSTTSALKLDSLAESHPIEVEIHHASEIDSIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEVSGEPVKNLMTTWTKKQGYPVIGVKLKGHDVELEQDQFLLDGSSDSGMWIVPITLGCNSHDMQKRFLLKHKFSDIKGINSQYDDQDRQNSGNFWIKLNIDETGFYRVKYDDELTTALRNALQMKKLSLMDKIGIVEDAHALSIAGKQTLSSLLHLLYACRDEDDFSVLSHINSVTSSVAKISIDATPELAGEIKQLFIKLLLPTAEKLGWDHKNSESHLDAMLRPVLLVGLVHLGHDKTISEGVRRFQIFFDDRNTSLLPPDTRKAAYLSVMHNVSSTNRSGYDALLKIYRESTEVEERLNVLGILSSCQDKDIVLESLNFIFTDEVRNQDAYLVLRSVIIDARETAWSWLKENWDRIMKTFAASAILSDYVKSIVTLFTSKEKEAEISQFFATRTKPGFKRALKQSLENVRISARWVDGIRGEAELAQTVHDLLIKL >ORUFI09G07870.3 pep chromosome:OR_W1943:9:9801391:9809197:1 gene:ORUFI09G07870 transcript:ORUFI09G07870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAPAVSADQFRGQARLPRFAAPRRYELRLRPDLDACVFTGDASVVVDVSAPTRFLVLNAADLAVDRASIRFQGLAPTEVSLFEDDEILVLEFDGELPLGEGVLAMDFNGTLNDQMRGFYRSKYEYKGETKNMAVTQFEAVDARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVACETIAGPIKTIHYEESPLMSTYLVAIVVGLFDYVEGVTSEGNKVRVYTQVGKSSQGKFALDIGVKSLNFYKDYFDTPYPLPKLDMVAIPDFAAGAMENYGLVTYREVSLLFDEQSSSASFKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSHLSVDSFFPQWNIWTQFLDSTTSALKLDSQAESHPIEVEIHHASEVDEIFDAISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEVSGEPVKDLMTTWTKQQGYPVISVKLKGHDLELEQDQFLLNGTSGAGIWIVPITLGCCSHDKQKRLLLKHKHDNIKAIVSQCDSRQKGGNFWIKLNIDETGFYRVKYDDELTAAIRNALQAKKLSLMDEIGIVDDAHALSIACKQTLSSLLHLLYAFRDEADYSVLSHINSVTSSVAKISIDATPDLAGDIKQLFIKLLLPPAKKLGWDPKDGESHLDAMLRPMLLVALVQLGHDKTINEGFRRFQIFFDDRNTSLLTPDTRKAAYLSVMHNVSSTNRSGYDALLKVYRKSAEGEEKLRVLGTLSSCQDKDIVLESLNLIFTDEVRNQDAYRVLGGVIIEARETAWSWLKFTSKEKEAEISQFFATRTKPGYERTLKQSLERVLINARWIEGIRGEAKLAQTVHELLHKP >ORUFI09G07880.1 pep chromosome:OR_W1943:9:9823143:9827901:1 gene:ORUFI09G07880 transcript:ORUFI09G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEPPPPPAPAPAGLAAWLVARGVKRRAVSAKRSWPPLCGRFPAPPPPPPTAPVAGDDGSKGVEGVVANQGGAEGVAVSPDRSVPLSGGPTAASSLLPPPPPSIIAGDVGDNSVEGRGDVGDKGVHGVTEGNEEEQVASAVVSSAACIGALSHGPSQPEAERMEVDEGEGRETGEAQLPNDTDARLSDDQGMGEVLDVMPLAVAAPVSCGANVSNGSAENVRDVASLLMDREGGKWGCEFERKEVTSDRDGRETESRVGVGQLERTNDVHDGGRKKRWLMSVLNPPPKRRAISAIRKFPRDCGRAASTLAESGASMEELPLEATPISVATGGASMEDSLARTPISVQGASLVCGLDHSSEAIDGKTIEDDESSKVENRIQEFQVATNVALDDFEGAKNGSTHPNDSIAKPSPSHGFVERVNGKGSQQEKKLVARSAGDGKMVSKYEERLQKGTPETRMRDLVDVKAKKKILKSDKMNGALQNDARSSGDGKMKTKASSTQRGVVRSDMSLKQGDIARKVDATGKCKGGVNSLIKEATSGKHATTNGIEENDDRDLVSDRIIVQALMAPDKCPWTRRRKSIGGSSESRTPKLKKKFGRPRKELKDTTPREEVSPEVASCKAIKHEAIEDKEDSYFEDEGNSKASYSDVEGNSKELVRGGKALVVCGGKKELCVTLPPSAPFGTDPRSKIRNLLIKFHAACRKLVQVEEQHKGNIGRIDIEAGKALKQNGFIKPGPIVGNVAGVEVGDEFNFRIELSFVGLHRPYQGGIDSTKVNGILVAISIVASGGYHDELSSSDELIYTGSGGKAIRNKAAGDQKLERGNLALKNSIETKTPVRVIHGFKGHSKGEASHSKSKQISTYIYDGLYMVVDYWKEGPEGSMVYKYKLQRIPGQPELALHIIKATRKSKVREGVCVPDISQGRERIPIPAINTIDDTQPTAFKYTTEVIYPHSYAKEPLKGCDCTNGCSDSNRCACAVKNGGEIPFNSNGAIVEAKPLVYECGPSCRCPPTCHNRVSQHGIKIPLEIFKTGNKGWGVRSLSSISSGSFVCEYAGEVLQENGDEHVETDEYLFDIGHHYHDEVWEDPKFEGILGLESSTSKTTEDTEGSKTTEDTEGSTIDASKCSNVGRFINHSCSPNLYAQNVLWDHDDMKKPHIMFFATENIPPLQELTYDYNYGKVEDKNGKEKVKPCFCGSPDCSRRLY >ORUFI09G07890.1 pep chromosome:OR_W1943:9:9832378:9833010:1 gene:ORUFI09G07890 transcript:ORUFI09G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGATGDGLAVASLSALLDEKQLQRSPKPTLAEYAVRLEVPRRRPELCEHEDSEVGDLQDPPLRLRVERPGDADDCAACCSQGHINMLSRTKGEEMPQAFEYLASVHGGLMLVPSRSQQLQPPRSRNSPPWDQLGAKLDQIELIIVRYLAAY >ORUFI09G07900.1 pep chromosome:OR_W1943:9:9833688:9838330:1 gene:ORUFI09G07900 transcript:ORUFI09G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFPPPPHALSPKPPFPSTLRSTRSLRLRRATAAAAAAGAGVSSSSPSTSSPEKAPALDVASGKRGGKKRRSLKPSFEKQAIRRWSARAPSQRASFPWQQQQQQQQPGGGEGEAAGDQESGWSGSSTLQSIVDYFDFDYDSSDGDGDGDGDGVVVGGEAAEAQEDGPRPEPSFLLGSRPVSAPWMHGEEEPMTNQLVSDEEGLDGDGASEDEMGLVDGDGDEDEDLGSEEETLSESSDGEFSEDYAAPAANSSSMMDSVLDHVSSGGGFYRGTRRSSVNSIVNTMRNSMEESSRNAAIECPETEDFVQKLGPVLLPWEREGDVDRPRKRSNTELAERTIPEHELRRLRDVALRMKERMRVGPGGVTQLIVESIHQKWRVEEVVKLRFEGPPSLNMKRTHDILEERTGGIVIWRSGRSVVLYRGMNYNLRCVQSYTQTTEVNFDKRVSSNSVEPIHVEHKFQKSGADGLNRSAYIVNSSEKPTETFDIDSFLDQLGPRYKDWSGRGPIPVDADLLPGVVPGYKTPFRLLPYMVKSTLRNKEMTALRRLARQTAPHFALGRNREHQGLATAIVKLWEKSSIAKIAIKRGVPNTCNDRMAEEIRKLTGGVLLSRNKEYIVFYRGNDFITPKVRQVLVEKQEQAITWQDEEELARLKASASISVKPKVFKNPPVAGTLAETREAKSRWGDSINAELRKKEKNHMILTKHTSLLRNLKRKLILAKTKVIKAEKALAKVQEFLSPAELPTDLETVTDEERFLLRRIGLKMKAFLMLGRREVFDGTVQNMHLHWKHRELVKVLVKGKSFPQVKHIAISLEAESGGVLISVDKTTKGYAIILYRGKNYKTPQILKPRNLLSRRKALARSIELQRREGLNHHISNLRDKIWKLKSQLVRMQVAGEKPDAELLQTVEADLSKDDDKIEDEGEEAYLQTYISEDEEEPEDDQNEYL >ORUFI09G07900.2 pep chromosome:OR_W1943:9:9833688:9838339:1 gene:ORUFI09G07900 transcript:ORUFI09G07900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFPPPPHALSPKPPFPSTLRSTRSLRLRRATAAAAAAGAGVSSSSPSTSSPEKAPALDVASGKRGGKKRRSLKPSFEKQAIRRWSARAPSQRASFPWQQQQQQQQPGGGEGEAAGDQESGWSGSSTLQSIVDYFDFDYDSSDGDGDGDGDGVVVGGEAAEAQEDGPRPEPSFLLGSRPVSAPWMHGEEEPMTNQLVSDEEGLDGDGASEDEMGLVDGDGDEDEDLGSEEETLSESSDGEFSEDYAAPAANSSSMMDSVLDHVSSGGGFYRGTRRSSVNSIVNTMRNSMEESSRNAAIECPETEDFVQKLGPVLLPWEREGDVDRPRKRSNTELAERTIPEHELRRLRDVALRMKERMRVGPGGVTQLIVESIHQKWRVEEVVKLRFEGPPSLNMKRTHDILEERTGGIVIWRSGRSVVLYRGMNYNLRCVQSYTQTTEVNFDKRVSSNSVEPIHVEHKFQKSGADGLNRSAYIVNSSEKPTETFDIDSFLDQLGPRYKDWSGRGPIPVDADLLPGVVPGYKTPFRLLPYMVKSTLRNKEMTALRRLARQTAPHFALGRNREHQGLATAIVKLWEKSSIAKIAIKRGVPNTCNDRMAEEIRKLTGGVLLSRNKEYIVFYRGNDFITPKVRQVLVEKQEQAITWQDEEELARLKASASISVKPKVFKNPPVAGTLAETREAKSRWGDSINAELRKKEKNHMILTKHTSLLRNLKRKLILAKTKVIKAEKALAKVQEFLSPAELPTDLETVTDEERFLLRRIGLKMKAFLMLGRREVFDGTVQNMHLHWKHRELVKVLVKGKSFPQVKHIAISLEAESGGVLISVDKTTKGYAIILYRGKNYKTPQILKPRNLLSRRKALARSIELQRREGLNHHISNLRDKIWKLKSQLVRMQVAGEKPDAELLQTVEADLSKDDDKIEDEGEEAYLQTYISEDEEEPEDDQNEYL >ORUFI09G07910.1 pep chromosome:OR_W1943:9:9844842:9850745:1 gene:ORUFI09G07910 transcript:ORUFI09G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEAEPRRWAATYTKHVKQKRKAYQDGAIVLHRASGNLVLLDDAGGTVECRTLRAGEEVFPGASLAFQRHLVDVGEPEPHPGSGSSSAAASPASRGVHRGGASARARPSAVNSRPPRAFADPNTKGGGGGGGKDEAVGSSFQEWTALYTTQLTQKAKKFHDGVVRLAQVSSHAKQIILLDEEGGVLATRYLKSGESLETGKKCHFPNYFIEICEAKSVNKGCYFCIANTQVYKLIPRRNLWCKQDQGVGRIQVTKQDQVQRVNHSNLPVHRNFMCEMFVSTSIDLEDSKSSNTAGSSKPETSKIGVVDAGSSGSIMGSTDSEFKEWSALYTTQLTQKAKKYHDGVIKLVQVGSHAKQIVLLDEDGGVLGSRYLKSGESVESRMKYQLPNYLIEVCEIRKQKNDVESKHPSEVVLSQTGSANGHNTTDRTDGRNKSPKFVSPLKFNHFQKSRLQGSNGFNRPTVAKSIHTNMIDTLKFHDTQKAKPDFTVGYKTDLGKSTFSNLDDPHQFNDLQDGKSGSSTSFLRREAGRTTFGNTDDSLRTARDADHSKTASNISVINSSNRTIGVNMNSRMSHCATQLRASVLACLNLETLQPRNSICTTLQSELSGSAHQTYDHQTVMRPTTFDSLELDMVDTPTSDVSNAKEQSQGSTRNHQTESSKDSAPAMCTTSSDPPSGKGETADQVCILYNLSWKKTAVCEFKLSSDYRVVEEKCGSYPFLSAGDLTLTDDDCPSFDLGF >ORUFI09G07920.1 pep chromosome:OR_W1943:9:9853189:9857094:1 gene:ORUFI09G07920 transcript:ORUFI09G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETRAAWQRAANRCRVQEDAKRAPKLGCCPPSGQQHETNNGNQTNPQDCHIPNFMPLNWNAMNSNLPKDTQWWLQLQPNFGCQNVLASEDLNYMCGEVDVKKVESFAPVSKLEDINPKKTADPFEPPWIVSTAFMKQTYETGFEELKSLPAYSEMTLKCRGSATYLHEDKEHMDFKTFDPLYPKKPQTACYEMDAPWQENRKSRPWWQVAEADGLASVVAESEMHNVGKNELPRPTQRAHGSKLNNHENKDDYGPYTGKESPPVQYDTMLCSYSISSTNETNSSDGGGWQHQRNDARGGTQDSCSSDDRTPGSKPTYRSAAERTQLLDALRHSQTRAREAEMAAKKAYDEKDHVIKLLFRQASHLFACKQWLKMLQLENICLQLRLKEHQIAAMFPELPWIMLKEKVTPGQERKDGTRKKGRKQNKDSHLRKAVVFAVGVGIVGAGLLLGWTLGWLLPRL >ORUFI09G07920.2 pep chromosome:OR_W1943:9:9852724:9857094:1 gene:ORUFI09G07920 transcript:ORUFI09G07920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETRAAWQRAANRCRVQEDAKRAPKLGCCPPSGQQHETNNGNQTNPQDCHIPNFMPLNWNAMNSNLPKDTQWWLQLQPNFGCQNVLASEDLNYMCGEVDVKKVESFAPVSKLEDINPKKTADPFEPPWIVSTAFMKQTYETGFEELKSLPAYSEMTLKCRGSATYLHEDKEHMDFKTFDPLYPKKPQTACYEMDAPWQENRKSRPWWQVAEADGLASVVAESEMHNVGKNELPRPTQRAHGSKLNNHENKDDYGPYTGKESPPVQYDTMLCSYSISSTNETNSSDGGGWQHQRNDARGGTQDSCSSDDRTPGSKPTYRSAAERTQLLDALRHSQTRAREAEMAAKKAYDEKDHVIKLLFRQASHLFACKQWLKMLQLENICLQLRLKEHQIAAMFPELPWIMLKEKVTPGQERKDGTRKKGRKQNKDSHLRKAVVFAVGVGIVGAGLLLGWTLGWLLPRL >ORUFI09G07930.1 pep chromosome:OR_W1943:9:9858152:9861475:-1 gene:ORUFI09G07930 transcript:ORUFI09G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALAGMDARGWDEAAYRRGILRERDLSCRTLFRAVFFDHHDDDPDVLLAAASSDGSLASFSLSSCISSSSSHPTPQTHPDAAVSLVDPVCIVQAHSGPAYDVRFYPDSQQPLLFSGGDDGRLRGWRWHEMQSCLVPLSLQGDHLEPVLDLVNPQHEGPWGARSPIPENNAIAINKQEGSVYAAAGDACAYCWDVESGKCKMTFKGHTDYLHSIAVREANRQVVTGSEDGTARIWDCRSGKCTQVIRPVKNKIFEGSWVSCVAIDASESWLACGTSSGISVWSLLSNECIFNVDCHAPVQDLLFDRNQILAVGAEPLLSRFSINGTLLSQIKCAPHSAFSVSIHSSGMAAVAGYGGLVDVISQFGSHLCTFGCRSLDK >ORUFI09G07940.1 pep chromosome:OR_W1943:9:9862837:9864317:-1 gene:ORUFI09G07940 transcript:ORUFI09G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDKVHDKETHRTSNDISHKTSVDKVKAPNLFERAKEEVEALVGAVHDKMEHNSSPHGNNADLHKDSKDESKVSMNKIETHKNETHGTSDDINENTPVERVKGPNVFERAKEEIEAIVEAFHPKKGSDK >ORUFI09G07950.1 pep chromosome:OR_W1943:9:9864347:9865227:-1 gene:ORUFI09G07950 transcript:ORUFI09G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFKLVLGTLGFCVGPAQEYSVPPFPFSIVWVPFHELPNPSIRRASLSFIINSGEDIAGSTRRRREPAAEWSLE >ORUFI09G07960.1 pep chromosome:OR_W1943:9:9865515:9869190:1 gene:ORUFI09G07960 transcript:ORUFI09G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGAGAIAALLRAAHVPPAPRARSIRGLAAARGLPPLVRATAAAIAPSSFCPYLPRLSSARSFSSSTSCSAGASLGGAVSTSPSEQEKQRQQSELIFLGTGTSEGIPRVSCLTNPSKTCTVCTKAAEPGNRNRRRNTSILLRHATPSGTANILIDAGKLRTIDAVIITHSHADAIGGLQILLLKQATLLILIETTHHARFALELSKRNKNSFGTGLDCLRDWTNNVQPTIPIYVAERDYEVMKMTHYYLIDTSVVIPGAAVSALQFNIIKEEPFTVHNLEADTCDHRFVGQKYVNYKVIPLPVWHGQGYRSLGFRFGRVCYIRMLLDPIVLLQHTLDYHGPLRKLGKSNQRKHCLLNAQFTMRKGMMHLMDHEKVNNELAKLMETEGLDIQLSYDGLRVPVWL >ORUFI09G07970.1 pep chromosome:OR_W1943:9:9869892:9874667:-1 gene:ORUFI09G07970 transcript:ORUFI09G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKRMLFIFQAMVCLCSFSLSQGNQQFSLRNLPTLQKASSFPAMRHETYDYIVVGGGTAGCPLAATLSLKYKVLLLERGGSPYGNRNVSYMENFHIGLSNMAPDSASQAFISTDGVINARARVLGGGTCINAGFYSRASSNFIQEVGWDEDLVNESFPWVEDKIVQWPKIAPWQAALRDGLLQAGVSPFNGYTYDHVSGTKVGGTIFDETGYRHTAADLLAAGDPNNLRVLLHASVNRIVFNSQRGQLKPRATGVQFTDENGGLHQAFLNSNCDSEIIVSAGAIGSPQLLLLSGIGPKNDLRSHKIPVVLHNKYVGKGMADNPMNSIFIPTKSPPRQSLIETVGITEAGVFIEASSGFGQSPESIHCHHGIMSAEIGQLSTIPPKERSLEKAQKYANTKLNLPKEIFHGGFILEKIDGPLSTGHLALIDTDVKKNPAVTFNYFSHPQDLTRCVYGIKTIERILKTNRFSELSANTDGHSMERVLNMSVQANVNLIPKHTNDTESLEQFCRDTVITIWHYHGGCHVGKVVDQQHRVLGVSGVRVVDGSTFSRSPGTNPQATVMMMGRYFGVMILRGRLGRAAGV >ORUFI09G07980.1 pep chromosome:OR_W1943:9:9873617:9879381:1 gene:ORUFI09G07980 transcript:ORUFI09G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCEEPERAFTSHGLSELPKQSGAPRGHKTHILVRAEKWGDLATVSSLRRRQISAVLLSLHR >ORUFI09G07980.2 pep chromosome:OR_W1943:9:9873617:9879378:1 gene:ORUFI09G07980 transcript:ORUFI09G07980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCEEPERAFTSHGLSELPKQSGAPRGHKTHILVRAEKWGDLATVSSLRRRQISAVLLSLHR >ORUFI09G07990.1 pep chromosome:OR_W1943:9:9883226:9889170:1 gene:ORUFI09G07990 transcript:ORUFI09G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASPSPATPPRILLAGDANGRLHQLFKRVTSVNQSTGPFHALLCVGQFFSPDAGDGDGGGGGEVADYLEGRAAVPIPTYFTGDYGPAAPRLLAKAASSARGFSPGGIQICPNLFWLRGSARFTLHADKIFHASYCRNGLEMGSSKQMLALAIPFIGFSHLSLGLSVVYLSGRKGPGGPGCYSQDDVDALRALAEEPGIISDPHGYDPVVAELVAEIKPRYHIAGSKGVFYAREPYVSDSAAHVTRFIGLANVGNKEKQKFIHAISPTPASTMSSVDIHARPPNTTLSPYISPAKSVPVEETPKRPAEDADLQYWRYDVKKQRHGEAGGNRLCFKFTSSGSCPRGSKCNYRHDEEAREHYNRNVCFDFLNKGKCEKGPECRFAHSLSDEGAVRDTKPRSERRRVESSCWFCLSSPDVESHLVISIGEGYYCALAKGPLVPNHVLVIPVEHCSSTLKMPVEAEAELGRYKDALAKYFEKQGKIAIYFEWVSQQSRHANLQAVPVPLSKASSVKKIFHLAAQRLGFEFSVVNPDGDANRARELLRSECDSKSSLFYVELPEGSVLLHLVDSNEKFPAQFGREVLAGLLSMADRADWRNCKVSKEEEIQMVDDFKQGFREFDPAE >ORUFI09G07990.2 pep chromosome:OR_W1943:9:9883226:9889170:1 gene:ORUFI09G07990 transcript:ORUFI09G07990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASPSPATPPRILLAGDANGRLHQLFKRVTSVNQSTGPFHALLCVGQFFSPDAGDGDGGGGGEVADYLEGRAAVPIPTYFTGDYGPAAPRLLAKAASSARGFSPGGIQICPNLFWLRGSARFTLHGLSVVYLSGRKGPGGPGCYSQDDVDALRALAEEPGIISDPHGYDPVVAELVAEIKPRYHIAGSKGVFYAREPYVSDSAAHVTRFIGLANVGNKEKQKFIHAISPTPASTMSSVDIHARPPNTTLSPYISPAKSVPVEETPKRPAEDADLQYWRYDVKKQRHGEAGGNRLCFKFTSSGSCPRGSKCNYRHDEEAREHYNRNVCFDFLNKGKCEKGPECRFAHSLSDEGAVRDTKPRSERRRVESSCWFCLSSPDVESHLVISIGEGYYCALAKGPLVPNHVLVIPVEHCSSTLKMPVEAEAELGRYKDALAKYFEKQGKIAIYFEWVSQQSRHANLQAVPVPLSKASSVKKIFHLAAQRLGFEFSVVNPDGDANRARELLRSECDSKSSLFYVELPEGSVLLHLVDSNEKFPAQFGREVLAGLLSMADRADWRNCKVSKEEEIQMVDDFKQGFREFDPAE >ORUFI09G08000.1 pep chromosome:OR_W1943:9:9889878:9890066:1 gene:ORUFI09G08000 transcript:ORUFI09G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDNDTLAVDGGDRRLLRRRHCLGPRYQTLGSTLTSKEVFTWANSKNQRLLHVGDIDRTNK >ORUFI09G08010.1 pep chromosome:OR_W1943:9:9890171:9890626:1 gene:ORUFI09G08010 transcript:ORUFI09G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTSPAFAHRAPCGWLQRIGWSPPMMDGCYCATSSSSLDHTATSFHDSIIDYCSPTGCPLHYQSQDTYHPLHPYSKIQQSH >ORUFI09G08020.1 pep chromosome:OR_W1943:9:9891811:9892890:1 gene:ORUFI09G08020 transcript:ORUFI09G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSSTTNSSSSVSTADTSSSNPPPQHALHRQHIASSSASSGGGGAAAPLPPRAPPRVGGGGVGQSQACAACKYQRRKCNADCPLARYFPADEQRRFLNAHHLFGVSKIQKTLRDTPPELHADAMQALTFEANARASDPVGGAARVVVELCRQYEMLHAELAAVQHHLKLCRQQHAAAAAAAANDPLVANVDPLADPAAEMLFAGAVVPNQNDDAMVDAFYADQQTAGDGDQEQYLVKDEALAAQPPPQQPYEYLNYGTAGDEGSSHAWYTGNGGDADASPPMGLSDQLQQCQIGAAPPFDVKPELPATMEHGGSVFVEQPEQKILPAAGSSSSAAAHCQLELGCSSNAWKVGTHVIN >ORUFI09G08030.1 pep chromosome:OR_W1943:9:9894870:9896006:1 gene:ORUFI09G08030 transcript:ORUFI09G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVDHLHGGGEGKAPIGRKKPTTPWEYIAFQLKNRVSFQKAMKKAIELTKKTDIKGVKAVSQEKKLRVPNASKKVDFPSKQFALKLIIAAIQFELSMEY >ORUFI09G08040.1 pep chromosome:OR_W1943:9:9896950:9898547:-1 gene:ORUFI09G08040 transcript:ORUFI09G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRLLVILTWSLTRKTSWKLLHATSAKLQPGLHFTPTDASMENLPTSPKLPEPFLVFHVHVSAVETKTESFQGCSDEEIGVFFSNSGHWLPTSIPRSPIVCRSTPSPFARCPQYRQAVSDALAIVQTATNLVGPWWGDYRYRRGDYHI >ORUFI09G08050.1 pep chromosome:OR_W1943:9:9902115:9902924:1 gene:ORUFI09G08050 transcript:ORUFI09G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWWWWWPLPAWLMPGSTAAWFVVLNVVVCAVAVLSSRARESLSPRRGGGGLARRASSALERVRSSFSIFSFPSASFYAFHPDAAEPRTPTPRKRSPVAAPPAASEPQTPTPPPRPSVAAPDTPPAQRPETEEEEEEEEDANYMSMDEAYALVMAARQRPPPTEEEVRRSEVDAKAEELVAEFQDDEQRRQRLDSIFNYTQMLKRPSPTEEGARRSEVDAEAEELVAELPDEQRRRRLDSIFNYTQMLKQRAAAGRRPQPAPAAAQL >ORUFI09G08060.1 pep chromosome:OR_W1943:9:9904079:9907315:1 gene:ORUFI09G08060 transcript:ORUFI09G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRARRLHPTLQRILRPVPPPAHPPPPPSPPHRPVFSQTPKPFFPFLRRHLSTKPPPPQAPPEKSLAPANVSSDPPAVSANGLCPGCGIAMQSSDPSLPGFFSLPSPKSPDYRARLAPVTADDTRISASLKSGHLREGEAAAAASSSSAAVGVGVEVEKEGKKENKVVVCARCHSLRHYGVVKRPEAEPLLPDFDFVAAVGPRLASPSGARSLVLLLTDASDFDGSFPRAVARLVAAAGEAHGSDWKHGAPANLPRALLVVTKLDLLPTPSLSPDDVHAWAHSRARAGAGGDLRLAGVHLVSAARGWGVRDLLDHVRQLAGSRGNVWAVGARNVGKSTLLNAIARCSGIEGGPTLTEAPVPGTTLDVIQVDGVLGSQAKLFDTPGLLHGHQLTSRLTREEQKLVRVSKEMRPRTYRLKPGQSVHIGGLVRLDIEELTVGSVYVTVWASPLVPLHMGKTENAAAMVKDHFGLQLQPPIGQQRVNELGKWVRKQFKVSGNSWDVNSKDIAIAGLGWFGIGLKGEAVLGLWTYDGVDVVSRNSLVHERATIFEEAGFTVSKIVSQADSMANRLKNPKKINKKKDNKANSSPSTDPESSNPVEAVDA >ORUFI09G08070.1 pep chromosome:OR_W1943:9:9916606:9918646:1 gene:ORUFI09G08070 transcript:ORUFI09G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTMDYLSDLLGGGGSSSRRRYKKRKQFQTVELKVRMDCDGCELKVRNALSSMKGVQSVEINRKQYKVTVQGFVEPHKVVKRVQATGKKAEIWPYVPYTLVAHPYAAPAYDKRAPPGHVRRVDAVMPVASYGSAAAAAAPEERLTTMFSDENPNACSIM >ORUFI09G08080.1 pep chromosome:OR_W1943:9:9926354:9930303:1 gene:ORUFI09G08080 transcript:ORUFI09G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQVRGDHEGINVVGFEVPTSPDSSYNNPVPGNEDEAREPPLVPPHLQHTLLSFPPSQDDSSSLPPPQNVVLNHLYIEKENSRSVVALGITHRFRAKFVTVVLYKPVQRR >ORUFI09G08090.1 pep chromosome:OR_W1943:9:9930427:9933840:-1 gene:ORUFI09G08090 transcript:ORUFI09G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNIGGSLQSSSGGDDEFDSRGGGGGVEGAGAAVAAAPATARRSRKRTRASWRTVTTDPSNFRAMVQEFTGIPSPPFVAGVGAPAASLRTRFDHLFPSPASALRSAAAGDPASSLPPYLLRPIAQKLPTASAQNSTMQQTRDPSALPHSSSFVTWTPDEFHSPGFNSSKQDERFVLENTLRGMYELIKLAECWVRKDYSIANLLQEAKDTVYCAEDLLDELNYYELQDGVGFSANRSSCPEFSDIKMTEIHGKLNSLKEQMGHLGLHDMQPQHFIFESVSQKYGNLMYDRTNFGYQEELQVLIDSFILDKNSLTSEQVSEVPSSGRAGQKNLSVLTIVGDGGIGKTALAHCSFNDQKVQDHFDLLVWICVSDGFDDKKLIKRLAWAIAESEMKSDDLICLQRVLTNGMIHHSRRLLLVLDDLQEDVCQEYYLGWERFLAPLKCASPGSMVLVTTRSMKVAEHISSVCLQLEGLPNEINWHLFSMHAFDLPISDSDQEVECIGRKIAARLNGSPLGAKIVGCLLNLKLDAVYWKSILESELWELGHHKETRIWPALHLSYQYLPFHLKRCFSFCSMYPKSHEFDAETLVDSWVAVGLVVSNGSVPAVDIGHEYFDQLVRRSFFQISPTSSSSRHAYVMQGLLYETAQKISTNECFVIKDSSDLLRIPPKVRHVSILHFSGLSSSDLESLHKYKTLRSVVCISIDSDVITTSVLETWFCHLTNIRMLRFISCRLKELLGNVGNLILLRYLDISSCDFEALPDSFWRLRNLEILDAQNCRFDSVPKDIVKLVKLRKARLRSDLNNQLGHVPGVGNLIYLQDMPYYAVDDTPGRGIQELKNLNNLRGALEISGLHNVTSKEQAVEADLDKKTHLNTLTLSWHDSIRPDKHNGEQEMEVLESLRPSPSIKNLEVRFYMGSGFHPSWLLDDEPISSRLESLSISSCPNIASLFITVTGSSSRGSSPVVFRSLTKLSVTWCRKLMSLDNLLQPELLPEIKVIRISNCEELASLPTNQLIKFTHLEDLEVSHCWSLSWEQGLTLPRSLKSLKLEACGELTDSVLRCGLRELPVLVSLELQFCSGVECIGGEIWSEMPSLQRLKIFCCQELSSIGGEESIARVESVDIRHCPKLRELEQPFQRG >ORUFI09G08100.1 pep chromosome:OR_W1943:9:9931417:9931790:1 gene:ORUFI09G08100 transcript:ORUFI09G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVVKITPQSSLPQFHELDNVLGNTIKSAVLSIQDFQITKTPKRIRKCLEVTGGNVEIAKKYQAF >ORUFI09G08110.1 pep chromosome:OR_W1943:9:9939214:9941400:1 gene:ORUFI09G08110 transcript:ORUFI09G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFLSATSIVSNANEWFEFLGTAFSAASLLLSRWRRRRQGDEAERRQLQWKGQDAKMKQLHYCMLQLPDLIHHAEWLSFVKDDKEVAKLLPELKARVHDAYDLLEEFNHHHHHQQQLQLDLGQDAAAEKAGDDFLQSIAGGNTVREILDDLNCLRNTLEGVIDRHARSEPHQIGKLLRPAMTSFYDKSKFRSLEDEVNELLELLGVKICSARPHKRRIKGETASASKRTKRNSGVPSASTRTSCSNQEIASDNVTVLAISGIGGVGKTTLARQVYNDERVKGYFDVRIWISVSDDFNVKRLTKEFIEFALANWMQSDNLCNLQQSLTESIVKFRFLLVLDDVWDDVYANQDNRWQNFLEPLKSAQQGSAILLTTRSQRVADLVNENRHFRLEGLPPTIFDEFFEACAFGSDRCGVNPELNPIGKRIIPQLKRCPLAAETLGRLLKPMLDREHWNQIAGSELWELKQEKYDILPVLRLSYLYLPSYLRNCFLFCSMYPKNHQFDKDTLVNSWIAAGLVESCKGGKLESNGYQYFEDLLHRSLLQKESNSPTDSKYVMHKLIHDMAQLISEHECFIVKGETDLTKIPQGVRHLSIIGSSSLSETNLIMVCKYKTLRSIVCHGVETYILTAVAKYWFEELTKIRMLGFLSCEVNSLPENIDNLKLLRYLNISDCTFEELPQSFWRLQNLQIVDAQKCRVQQIPDDFNRLGNLQRFKLRGRIIKQPGTYEL >ORUFI09G08120.1 pep chromosome:OR_W1943:9:9947790:9950828:1 gene:ORUFI09G08120 transcript:ORUFI09G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSCTTPEMKMETDAVQLKCDWPMQQSDDTNLRLHRDKQWAVQDKRQVLQRTLTEMHTFIKHAEWWFHKDVFAQVLQDAKDAVNCAEDLLDEINYHELQNKVEGHAILFHVQDYHETKIDKIQGKLEHLVRQMEQLGLYDERQQFIIESINREDDLFGEEQTIFGRQKEMSELIELIVLQENSPTDKQVTEVHAVSDSKRAKLENVSVLPIVGSGGVGKTTLAILVFNERRVRDHFDLLIWICVSDGFDEKKLMKRLAWSVAENEMKTDDLGCLQRILTNGIIHHTRRVLLVLDDVQTDACREDCHGWKNFLAPLKYARSGSMVLVTTRYHRVAERVGTLKHMFLEGLPEETIWEFFRMLTFGSRNSNSNAVLEPIGRSIVARLDGSSLGIKIIGRLLSLKLDAKYWKIILESELWGWPHQEEASIFTALQLSYQYLPFHLKRCFSFCSLYPRGYEFDAETLVDSWVAVGFVMPSRSILAVDIGHVYFNQLVSRSFFQRSPTSSRYVIHDLLHDMAQYVARNDCFMIKSRCGMSRIPPKVRHVSILGNGELSSTDIECLNTYKTLRSIVCIGVGCDIITNSVLETWFDHLTRIRMLRFISCRLKELPCNVGKLIHLRYLDISACDFDKLPTDLFCRLYKLEILDAQNCTLHAVPKDIIKLVNLQRLRLKDDLISQLGRVPEVGKLTLLQNMPYYAVDDKPGRGIQELKNMNHLHGGLEIDGLRNVTSREEVAGAELAKKIYLDTLVLKWHESIRPQKHNSTKEMEVLEALRPSSNIKHLEVKFYMGDGLSPMWLRHDELSSLASLSINSCPNTTTLFLIEPSETGSSRSSSVSFQSLTKLSITWCRSLTSLDNFLQPECLPMIKVIQISNCEELASLPTNNLVHFVHLEDLEICHCWNLNWEPGLALPPSLKSLKLEACGEFSDSTLSCLHNLTALTILNLRFCPSIESISAQIWSGLWSIENLKIVCCQGLVTVGGSESIAGIKNVDIRHCSKLQDLEQPFRSGQADSQ >ORUFI09G08130.1 pep chromosome:OR_W1943:9:9955121:9958497:1 gene:ORUFI09G08130 transcript:ORUFI09G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRRKRRTEGVVAPPPLGAERRLLSCLFLLGALFGFASAATRTRQDIRCQFISSGCVGNRMVAVVTSDGGETPIGTIFPAAVQGNTVDVAVSNDSLQVVTTASCNATHPERAPLMGFLYPLDFQAQRPKGDGATRFNLSVMSASRVHGATRTENSPQAPPPPPAAANSHHVAADLLYDHLQYSSNNGLEVAAATTTMIAVLIDLADKIKKKRSRRGKGSRPAAVAVAMALVMLLAVAALVNPTAAARPLYGGGGGAGAGGHDEAAAAAAAAPAMTVAVVNDAVTVTGHSGCTNDPNTLEPWRCVHH >ORUFI09G08140.1 pep chromosome:OR_W1943:9:9967993:9972774:-1 gene:ORUFI09G08140 transcript:ORUFI09G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCSDRLLCSLFLAAALFGVAAAATRRHDWDISYQFTSPDCVRKLAVTINGHTPGPTIRAVQGDTIVVNVKNSLLTENVAIHWHGIRQIGTPWADGTEGVTQCPILPGDTFAYTFVVDRPGTYMYHAHYGMQRSAGLNGMIVVEVAPGAAGDGEREPFRYDGEHTVLLNDWWHRSTYEQAAGLASVPMVWVGEPQSLLINGRGRFVNCSSSPATAASCNVSHPDCAPAVFAVVPGKTYRFRVASVTSLSALNFEIEGHEMTVVEADGHYVKPFVVKNLNIYSGETYSVLITADQDPNRNYWLASNVVSRKPATPTGTAVLAYYGGRRNSPRARPPTPPPAGPAWNDTAYRVRQSLATVAHPAHAVPPPPTSDRTILLLNTQNKIGGQIKWALNNVSFTLPHTPYLVAMKRGLLGAFDQRPPPETYAGAAAFDVYAVQGNPNATTSDAPYRLRFGSVVDVVLQNANMLAANSSETHPWHLHGHDFWVLGHGAGRFDPAVHPAAYNLRDPIMKNTVAVHPFGWTALRFRADNPGVWAFHCHIEAHFFMGMGIVFEEGVERVGELPPEIMGCGKTRGGH >ORUFI09G08150.1 pep chromosome:OR_W1943:9:9975330:9981784:1 gene:ORUFI09G08150 transcript:ORUFI09G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRRRPVAAEAAGSGGGATTAMTRTTATTSGDARRSGGATSSSTDTGIRWHQAVTGVR >ORUFI09G08160.1 pep chromosome:OR_W1943:9:9978291:9981615:-1 gene:ORUFI09G08160 transcript:ORUFI09G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSYLTHFLWEKVVIAYWATYEMGWPGFQASQYKKVGQVEIGLLKGVGHEPVIIMKVNCLMKRFIMKMKTQN >ORUFI09G08170.1 pep chromosome:OR_W1943:9:9981837:9982806:1 gene:ORUFI09G08170 transcript:ORUFI09G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGYRRRALTVASTLVPATCGSAGGMPCVPAARGGGRKLLGVATSDGRQLRCGWPQKAVESAFQMVDRRGKVSGLIRQPLTKRKTSCGGVRVSLRACGGGGACSRSGYAAAAPLYTRELPGESMWTIAEELGSGAVRVARVQKQSSTAHGMQLRPYMQDN >ORUFI09G08180.1 pep chromosome:OR_W1943:9:9986649:9987029:-1 gene:ORUFI09G08180 transcript:ORUFI09G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLARSFNLKRFWYNITYMWQSSQQNNMIKVGSDRQGCLGEAGIIRCRPSSFLTLDRPREGDVDTSNSTLTVAVTVPLSLDRPHEAMRTSARRGLSCHYRPSSPAARSEHRLKVLTERGLSPPRF >ORUFI09G08190.1 pep chromosome:OR_W1943:9:10002814:10009133:-1 gene:ORUFI09G08190 transcript:ORUFI09G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGADGLLPGPKLDPSDDELVGGYLLRRLQGQPLPLEADPLSARPRNLAADHGRGDEAFFLAEAHSKNAKGKRQRSIVEGQSMCVDGGRLHVPDDGRGGGGLAFSHFLPLSPSILPSPAPSPRCSTSTPLSPRKLLADHGRGDEAAFLADAWAKNGKRQKQRSTVEGGVLWQGQGMLVDGERLRVADDGGCGGSTFLPPPSPLTAPRCSTPLSPSSPPFRPSRVIVRWARPPPGWCKLNFDGSVFNDGSRRASIGGVIRDSDAGVVLAFAETTEHWTVGVVEARAMIRGLRFALACFIERLVVEGDDLVLVQLIRGEETQTRIPAAMQEEILNLLRCFAEVDVRHIYREGNSVAHTLCRQAYVCPGIWSQRGGGMPAAVWDKVDDDRRGVVHERIRKNNGSVLGAVQTQRGVAKRFAQTDTVAHSKTGTGSALEQILVVSCWFYHQWCHYSSIEMKLEMNKVMRQWKWAPPPVGWCKLNFDGSVFNDGSRRASIGGVIRGCDGGVVLAFAETTEHWTVGVVEXRSTRIVAGCCTSACARRTSDAIGMKKGGRDRCGRQSD >ORUFI09G08200.1 pep chromosome:OR_W1943:9:10010750:10013397:1 gene:ORUFI09G08200 transcript:ORUFI09G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMCLLKARGEEGFGADTMGEPIYRRQGLSLVGAFADESPWVLVWSAAATVGGGGGVRFEDQWTNRQMEMAPCGGVGCNTVFGREVTDLLLATAATASRGLSGSVVTRSSQLMVRDDSPAAMWWLFLWCLDESFQCQGSISQDLQDFTSPSTYVDPKRQQFVCHHSSSSVGLGTWIWVPSSYVFPTSLFHAGFVIRLELTLLRFNDELRRHLLLSPGMLTPKSTAQQQTSVLCRHRGGNQMGITGLSSIVHVEGGRRSQSSRHCHSSLSMCTFPAAWFHYEDCGLSAVSLITDGCMLSLYLFDNVLGFIPVNSVVLY >ORUFI09G08210.1 pep chromosome:OR_W1943:9:10016649:10019267:-1 gene:ORUFI09G08210 transcript:ORUFI09G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGGLDHGFTFTPPPFITSFTELLSGGGGDLLGAGGEERSPRGFSRGGARVGGGVPKFKSAQPPSLPLSPPPVSPSSYFAIPPGLSPTELLDSPVLLSSSHILASPTTGAIPAQRYDWKASADLIASQQDDSRGDFSFHTNSNAMAAQPASFPSFKEQEQQVVESSKNGAAAASSNKSGGGGNNKLEDGYNWRKYGQKQVKGSENPRSYYKCTYNGCSMKKKVERSLADGRITQIVYKGAHNHPKPLSTRRNASSCATAAACADDLAAPGAGADQYSAATPENSSVTFGDDEADNASHRSEGDEPEAKRWKEDADNEGSSGGMGGGAGGKPVREPRLVVQTLSDIDILDDGFRWRKYGQKVVKGNPNPRSYYKCTTVGCPVRKHVERASHDTRAVITTYEGKHNHDVPVGRGGGGGRAPAPAPPTSGAIRPSAVAAAQQGPYTLEMLPNPAGLYGGYGAGAGGAAFPRTKDERRDDLFVESLLCYLLRRWAGDDDSDAC >ORUFI09G08210.2 pep chromosome:OR_W1943:9:10017397:10019267:-1 gene:ORUFI09G08210 transcript:ORUFI09G08210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGGLDHGFTFTPPPFITSFTELLSGGGGDLLGAGGEERSPRGFSRGGARVGGGVPKFKSAQPPSLPLSPPPVSPSSYFAIPPGLSPTELLDSPVLLSSSHILASPTTGAIPAQRYDWKASADLIASQQDDSRGDFSFHTNSNAMAAQPASFPSFKEQEQQVVESSKNGAAAASSNKSGGGGNNKLEDGYNWRKYGQKQVKGSENPRSYYKCTYNGCSMKKKVERSLADGRITQIVYKGAHNHPKPLSTRRNASSCATAAACADDLAAPGAGADQYSAATPENSSVTFGDDEADNASHRSEGDEPEAKRWKEDADNEGSSGGMGGGAGGKPVREPRLVVQTLSDIDILDDGFRWRKYGQKVVKGNPNPRSYYKCTTVGCPVRKHVERASHDTRAVITTYEGKHNHDVPVGRGGGGGRAPAPAPPTSGAIRPSAVAAAQQGPYTLEMLPNPAGLYGGYGAGAGGAAFPRTKDERRDDLFVESLLC >ORUFI09G08220.1 pep chromosome:OR_W1943:9:10043385:10043609:-1 gene:ORUFI09G08220 transcript:ORUFI09G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHGRWTAARRAATAAHPFPLSLSFDSLSFPAVRRRWLRWRRRCGGPGREGDGVGGGPNGQGHNEGEGGWWAR >ORUFI09G08230.1 pep chromosome:OR_W1943:9:10045024:10049111:1 gene:ORUFI09G08230 transcript:ORUFI09G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRLQAARVRRAVRLRRLQLPPPLHLRPRRGDDHPPAPPGQVLHVHAPRPCAGANTAVLRRVRRDRGAGGARLPLRLRQPRPAPVLRRAGPEPANRRRRRTPPPQSVVVGALALRLLRRAERLLGLPLRVRERHAERVVPEEDGRARVGARVPPAAGRQRRRRRGVVGGPAAGGRVGGSGSSRSGAENHHHQG >ORUFI09G08240.1 pep chromosome:OR_W1943:9:10057815:10059220:-1 gene:ORUFI09G08240 transcript:ORUFI09G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSDQVLLFVCLRRVLLLYVTISAVAGQSITEVVVGDEVVHAVTKLVVGGGGVDSARNITDVDGGDICHREVHSITEEDGNVDDAEVQYAITNEGVGADDDSSDGKLSSASEVLYAASAQPNTAAAGKWHRRIIPTALQPELNSDDGHGQQFDRTFDQIQSSIADRLIATTIIVMLMVVTNGRRASERKKRSGRATWFSPAAALTMLLLVVAASANSATAARPLPAAAGGHNEAAAAAAASGTMPLKAAAPGHSSCTTDPNTQQPVRCIPH >ORUFI09G08250.1 pep chromosome:OR_W1943:9:10067434:10071660:-1 gene:ORUFI09G08250 transcript:ORUFI09G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFFHQLEVIIIILCFFPTFPSFASGRYSPHDGATATWAGHHHQLQVARDHPRGCGGGGGLAEYCWVARPQASVASVATFVSTAGAASPHQAVSNCRTWGPMWYISFFVSKSIIKGNVHVDYLGSSAIDGHIILGPATRPMARYFGPTQASLQPGDFNCSVLSGFVTRPGCSPLPAFAAAAGKSSSHRTVTGGLPELDSELALLAMIIVLADRATDRRKKKSGRARYSVAAIGALLVMLLRQVPHLQHRWQMQHAQTAPMIPTCPSIFGATPIVIKPRAAQ >ORUFI09G08260.1 pep chromosome:OR_W1943:9:10078939:10079325:1 gene:ORUFI09G08260 transcript:ORUFI09G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAMVAPTMKTSGRVGGDRARGLLKRRLHGKLFPPLSSTGYQGPPSWTVSAGKLRGGGGGDGNSARSPPAGRSDMSGDSPRKSHRKPWPAFLCGLIFCFSVCAQQEGGTNMWVMGERLVHGSCRLL >ORUFI09G08270.1 pep chromosome:OR_W1943:9:10080839:10081381:1 gene:ORUFI09G08270 transcript:ORUFI09G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQWRGWGAPLRCGTGALEARVGTPVQAWFGKSGDAGEYRNHRQPILESERVRTRPYPSASTPSFSWFPCLLLKVFQLDWWRQVSKQREQIDGDDCAMASLAMVAPTTKMRRSVGGGRSSAGAPQAAAAQQALPAAALTGYEGPPSWQSPQANSAGAEAAMGTLHALLRWAGATTSGSP >ORUFI09G08280.1 pep chromosome:OR_W1943:9:10089900:10091422:-1 gene:ORUFI09G08280 transcript:ORUFI09G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSNPALISTLCCLTALLSLAFVCFLLPYVCPLDGDDDDAGLTSVWPVLVRTDAAATLMHNDDYCRRVHPSTTAGVVVGAVPTLVSAGSESQHHQLVTMIIIVLADLAADQRKKKKSGSMAPLLIVLLLIAVAAATGCAAADARGPRCSNDPNLPRRIRACGPPSIKPHN >ORUFI09G08290.1 pep chromosome:OR_W1943:9:10102416:10103085:-1 gene:ORUFI09G08290 transcript:ORUFI09G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVHPAVNFNCSVLSASSARILHGTRTDSSPPPAFAAVVSNGKSHRIFSALLPELIDPDLALVTMIIVIVLADRAADQGKKKKKKTKTGRVRLSPAAMALLIVLLLIAVAATGCAAADARGSRCSNDPNLPRKLRMCGPPSSIEPQN >ORUFI09G08300.1 pep chromosome:OR_W1943:9:10105788:10106213:-1 gene:ORUFI09G08300 transcript:ORUFI09G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLCCFITLSSSLAIVCFVLPYAACLLDGDDDAGLTTVWPPVLIACTGADGDDTLMHNVAGTDDCCRVHPSTGGVVAVPTFVSTGAESPGHQQLEEQVKLHEILEC >ORUFI09G08310.1 pep chromosome:OR_W1943:9:10116193:10116879:-1 gene:ORUFI09G08310 transcript:ORUFI09G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVVLLDLWVSPFGQRCRIALAEKGVEYEYSEQSLADKSDLLLRSNPVHKKVPVLLHAGRPVCESLVILEYIDETWPPEPEKKKESPRLLPSDPYARARARFWADYVDKKLFDCQTRLWKLRAGDAAHEQAKRDMAEALGTLEAELGEGDYFGGEAFGYLDVVLVPFVAWFHAYERLAGFAVAEICPRLVAWGERCKGRDSVAKTLTDPEKVYEFALYLKAKFGAK >ORUFI09G08320.1 pep chromosome:OR_W1943:9:10119350:10119643:1 gene:ORUFI09G08320 transcript:ORUFI09G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWPVVVTGVAHCRRGGGVAEESRRWVVEPSGAKCPIGLLALGLSKVALSCKGVGLGLLDRPTKRDGPPYNTVVLAFSTENPAKAQGEVGPEESHL >ORUFI09G08330.1 pep chromosome:OR_W1943:9:10122368:10123892:1 gene:ORUFI09G08330 transcript:ORUFI09G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGKRGRGGSKNNPMRRTTSMTEFSPPDVLAAVVEEEGEDLEAMMMVGQPGGGGGDGAQDWLASFGREGGGGGGGGGAPGQDWLAAYRARAAPARAGLRRNSADYCSVETASFLRSCGLCRRRLGPGRDTFMYKGEAAFCSLECRQQHMTQEEWQDKCGVTSMKKEAPAPPNGRRRSSKTTTSGGTVAAA >ORUFI09G08340.1 pep chromosome:OR_W1943:9:10142154:10173435:-1 gene:ORUFI09G08340 transcript:ORUFI09G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLSIVLLSMAQLPSLVAGTGRPRVIIIGAGISGISAGKRLSEAGITDILILEATDHIGGRMHKQRFAGVNVEIGANWVEGVNGEKMNPIWPIVNSTLKLRNFLSDFDSLAQNVYKDGGLCDAAYVQKRIDLADEADKSGENLSATLHPSGRDDMSILSMQRLNNHLPNGPSSPVDMVVDYFTYDYEFAEPPRVTSLRNTVPLPTFTDFGDDNYFVADQRGYEAVVYYLAGQYLEADKSGNIVDARLQLNKVVREISYSSTGVTVKTEDNSTYQADYVMVSASLGVLQSDLIQFKPQLPSWKILAIYQFDMAVYTKIFVKFPKKFWPEGEGREFFLYASTRRGYYGIWQEFEKQYPDANVLLVTVTDEESRRIEQQPDSQTKAEIMEVVRSMFPDEDVPDATDILVPRWWSDRFFQGSFSNWPIGVSRYEHDQLRAPVGRVYFTGEHTSERYNGYVHGAYLAGISAGKRIWEAGIADVLILEATDRIGGRMHKQSFAGVNVEIGANWVEGVNGEKKNPIWPIVNSTLKLRSFRSDFDSLAQNVYKDGGLCDEAYVQKRMDRADEVDKSGENLSATLHPSGRDDMSILSMQRLNDHLPNGPSSPVDMAVDYFTYDYEFAEPPRVTSLQNTVPLPTFTDFGDDTYFVADQRGYESVVHHLAGQYLNADKSGNIADARLKLNKVVREISYSSTGVTVKTEDNSTYQADYVMVSASLGVLQSDLIQFKPQLPSWKILAIYQFDMAVYTKIFVKFPKKFWPEGAGREFFLYASTRRGYYGVWQEFEKQYPDANVLLVTVTDEESRRIEQQPDSQTKAEIMEVVRCMFPDEDVPDATDILVPRWRSDRFFRGSFSNWPIGVSRYEYDQLRAPVGRVYFTGEHTSERYNGYVHGAYLAGIDSAEILINCAQKKMRKHFHDGMRNVRFHNLFIEV >ORUFI09G08340.2 pep chromosome:OR_W1943:9:10142317:10173435:-1 gene:ORUFI09G08340 transcript:ORUFI09G08340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLSIVLLSMAQLPSLVAGTGRPRVIIIGAGISGISAGKRLSEAGITDILILEATDHIGGRMHKQRFAGVNVEIGANWVEGVNGEKMNPIWPIVNSTLKLRNFLSDFDSLAQNVYKDGGLCDAAYVQKRIDLADEADKSGENLSATLHPSGRDDMSILSMQRLNNHLPNGPSSPVDMVVDYFTYDYEFAEPPRVTSLRNTVPLPTFTDFGDDNYFVADQRGYEAVVYYLAGQYLEADKSGNIVDARLQLNKVVREISYSSTGVTVKTEDNSTYQADYVMVSASLGVLQSDLIQFKPQLPSWKILAIYQFDMAVYTKIFVKFPKKFWPEGEGREFFLYASTRRGYYGIWQEFEKQYPDANVLLVTVTDEESRRIEQQPDSQTKAEIMEVVRSMFPDEDVPDATDILVPRWWSDRFFQGSFSNWPIGVSRYEHDQLRAPVGRVYFTGEHTSERYNGYVHGAYLAGISAGKRIWEAGIADVLILEATDRIGGRMHKQSFAGVNVEIGANWVEGVNGEKKNPIWPIVNSTLKLRSFRSDFDSLAQNVYKDGGLCDEAYVQKRMDRADEVDKSGENLSATLHPSGRDDMSILSMQRLNDHLPNGPSSPVDMAVDYFTYDYEFAEPPRVTSLQNTVPLPTFTDFGDDTYFVADQRGYESVVHHLAGQYLNADKSGNIADARLKLNKVVREISYSSTGVTVKTEDNSTYQADYVMVSASLGVLQSDLIQFKPQLPSWKILAIYQFDMAVYTKIFVKFPKKFWPEGAGREFFLYASTRRGYYGVWQEFEKQYPDANVLLVTVTDEESRRIEQQPDSQTKAEIMEVVRCMFPDEDVPDATDILVPRWRSDRFFRGSFSNWPIGVSRYEYDQLRAPVGRVYFTGEHTSERYNGYVHGAYLAGIDSAEILINCAQKKMCKYNVGGKHG >ORUFI09G08350.1 pep chromosome:OR_W1943:9:10187319:10189869:1 gene:ORUFI09G08350 transcript:ORUFI09G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAVEVRCAAGGGGGVNAAAFGRRMMRPAPAGHCRVIRAAATSTVASGRGEDDYYKVLSLDRAGEVGAEEIRRAYRRLALRYHPDACPPSRCAESTRLFLQLRRAYETLSDPALRVRYDAELMMRVRRPARPAAEEDASSSSSSLARDVWEAQLRTLRARSDERRRHGAAGTARRGRWFEVGSSAPYSKENGQSRDKPNSIKNL >ORUFI09G08360.1 pep chromosome:OR_W1943:9:10192535:10194074:1 gene:ORUFI09G08360 transcript:ORUFI09G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRDFAKRYEADCRYLNQFFSGNVSPNNARPVLEIFTARSSQEMKQICRAYSSMYRQDLIQLLSQQKTTFAVIPASDPLVSKHISILSGSIAIRVACLRASEPCVRDADIARDALFGRRIDGDVLVEVVCTRPSGEVALIRQAYQARYSASLERDVSSRTSGSLNEVLLAFLGSSGSGYHGGRVDATMAMCDAKTLYEAVEISAARVDQRSVLQLLRHRSGDQLRAVLASYRRLYGQELARALKRKDGDTSGGGGGRRGESSSFPGILRAALRCAQLPERHFARAVRAALERGGGAAREALVRTVVTRAGVDVRRVNQAFAAKTGWTLESVVRNEFGSGGTGKSDDGLTGDLLVELLKLA >ORUFI09G08370.1 pep chromosome:OR_W1943:9:10199064:10205069:1 gene:ORUFI09G08370 transcript:ORUFI09G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVSKQDDDTALLICKDRLRHIEQAIDARYALSAAQLAYEQSLRSLGIALRQFVEAHKDDDDIERSPSSSYAIVSSSPPHRSDVNHMKSEASTSVTVTINTSQASSVQKEQSVTAFLPPPLQLEFCSSWDFFDPTVVSENVASDASVNSQTFELRTLEDLSNPNEMGLASSIGNTSEIVEVQEVFGAPGWKQVHKNDNLPDLHHSNSNEIQMSGTHLPNDSSLEEELEQVQTQAIGGQNSNDVSDNIKSEANHINVNAPKNEEAKAIFITDSDSSKDFLSCVKDLERQFSRAAVSCHEVSRMLETKKIRLSISSQTKGKSSDVLFRPTFLIGCKAGTAASDGSEKRVTKAITWNRSLSSRSSASKNPLTPAQMDDEFSEICSDFVEEFCMISGSHASSLDRLYAWEMKLYNELKGTESLKKIYDKKCVQLRHQFERDASARQVDKTRVIVKDLYSRLKVETEVLYSISKIIEKLRDEELQPQLLELLKGLTRMWAMMHEIHRVQQTIVSSSDIVYVLRSPRGEPYKQPLVNLVNEMGFFYSSLTNWIAAYKCYVDGLHSWLQKCVLQPYDHTRGRRLTLSPRRHLAPPMFVLLDDWSSAIASLPGEETLGSIKNIMSDLKKMFKNHQAEGNKPETGSKLATLQAGLATMFDRLSKFSTAMSSLSESVKNSTEAAREAYAVGRSG >ORUFI09G08380.1 pep chromosome:OR_W1943:9:10213352:10214196:1 gene:ORUFI09G08380 transcript:ORUFI09G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDLSGEELMRALEPFIRDASGSPPVCSQFSPTSPFSFPHAFAYGGGLAQQPELSPAQMHYIQAAPLAPRAQPMKASSSSASAAGAAATPPRPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDQAAYRLRGDAARLNFPDNAASRGPLHASVDAKLQTLCQNIAAAKNAKKSSVSASAAATSSAPTSNCSSPSSDDASSCLESADSSPSLSPSSAATTAETPATVPEMQQLDFSEAPWDEAAAFALTKYPSYEIDWDSLLAAN >ORUFI09G08390.1 pep chromosome:OR_W1943:9:10216567:10216794:1 gene:ORUFI09G08390 transcript:ORUFI09G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWASAAWGERKMAAAVEEEAVKEEAASFIGPNLVLVPVPYRQPRRHPPLQATLASPQQQLDDGLLESSASHAYH >ORUFI09G08400.1 pep chromosome:OR_W1943:9:10217991:10218876:1 gene:ORUFI09G08400 transcript:ORUFI09G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAEVNVKWQAHQPLDKEVGSASSATDHRSPPPLGDINTIVCYRSSPSLPQRPRSSYSSSSPCPHAFTTSTVAPSHPGSSGSVDPPVTGMLDSGTHCGATRRRIPPRGELATESSWERS >ORUFI09G08410.1 pep chromosome:OR_W1943:9:10224680:10224891:1 gene:ORUFI09G08410 transcript:ORUFI09G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRGNAVGRLRRPVTMEAREARSAARPRQLGVAGERRRRVRQLEVAAKRRGDEWFTVAIEVTVRR >ORUFI09G08420.1 pep chromosome:OR_W1943:9:10244456:10246625:1 gene:ORUFI09G08420 transcript:ORUFI09G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASVVVPEQVGAAAAAQVGCPCPGTTLFPYPPPRAGIAVRRKCLQAAQQLELGAGLRGGWVESMRASSPTHAKAAAALAAGVDEEHAAWMARHPSALGEFEKVVAASKGKQIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPASRHAAAKSPPHNKGVLFQPASEFLPMIEQVHDRLEQATRSIPGAKVENNKFCVSVHFRCVDEKSWGALAETVRRVVREFPRLRLSQGRMVFEVRPTIKWDKGKALEFLLDSLGFADCSDVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETSASFSLQEPAEVMEFLLRLVEWNRLSRTRLRL >ORUFI09G08420.2 pep chromosome:OR_W1943:9:10244457:10246785:1 gene:ORUFI09G08420 transcript:ORUFI09G08420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASVVVPEQVGAAAAAQVGCPCPGTTLFPYPPPRAGIAVRRKCLQAAQQLELGAGLRGGWVESMRASSPTHAKAAAALAAGVDEEHAAWMARHPSALGEFEKVVAASKGKQIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPASRHAAAKSPPHNKGVLFQPASEFLPMIEQVHDRLEQATRSIPGAKVENNKFCVSVHFRCVDEKSWGALAETVRRVVREFPRLRLSQGRMVFEVRPTIKWDKGKALEFLLDSLGFADCSDVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETSASFSLQEPAEVMEFLLRLVEWNRLSRTRLRL >ORUFI09G08420.3 pep chromosome:OR_W1943:9:10244456:10246625:1 gene:ORUFI09G08420 transcript:ORUFI09G08420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASVVVPEQVGAAAAAQVGCPCPGTTLFPYPPPRAGIAVRRKCLQAAQQLELGAGLRGGWVESMRASSPTHAKAAAALAAGVDEEHAAWMARHPSALGEFEKVVAASKGKQIVMFLDYDGTLSPIVDDPDAAFMSETVSLSSPPLSPTLLLHSSSSRTPLLPHQMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPASRHAAAKSPPHNKGVLFQPASEFLPMIEQVHDRLEQATRSIPGAKVENNKFCVSVHFRCVDEKSWGALAETVRRVVREFPRLRLSQGRMVFEVRPTIKWDKGKALEFLLDSLGFADCSDVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETSASFSLQEPAEVMEFLLRLVEWNRLSRTRLRL >ORUFI09G08420.4 pep chromosome:OR_W1943:9:10244456:10246785:1 gene:ORUFI09G08420 transcript:ORUFI09G08420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASVVVPEQVGAAAAAQVGCPCPGTTLFPYPPPRAGIAVRRKCLQAAQQLELGAGLRGGWVESMRASSPTHAKAAAALAAGVDEEHAAWMARHPSALGEFEKVVAASKGKQIVMFLDYDGTLSPIVDDPDAAFMSETVSLSSPPLSPTLLLHSSSSRTPLLPHQMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPASRHAAAKSPPHNKGVLFQPASEFLPMIEQVHDRLEQATRSIPGAKVENNKFCVSVHFRCVDEKSWGALAETVRRVVREFPRLRLSQGRMVFEVRPTIKWDKGKALEFLLDSLGFADCSDVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETSASFSLQEPAEVMEFLLRLVEWNRLSRTRLRL >ORUFI09G08430.1 pep chromosome:OR_W1943:9:10256020:10259575:-1 gene:ORUFI09G08430 transcript:ORUFI09G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATREGGGRGGGRPPLRVGRTQEYRMGRETQLLAAEGSPPVSLFVLCGDRFEAARLFRSGGLSVRMARVEGHPVSMASCAVGNHHWMLSRDALVARLDARVFVFEMPGFFYAVVGGGGAERKCATLAEIFSRFCSYHDLSTTQQGEDEAGGDMNQHSNPWVRAHGRIQRLKKPTSPPAGAGQATADAAAERAGAGAVVGLASQLERAVRTSAVVKLLSRSLLAGALQPARHLMITLAAAAGGAAANNAAGTSAGAGGSAAALPSKSVVSDLLEAIETSRTSPRREAARRAGGGAGGPGWWSLNVEGVMLLLRVVQAVRGRKLPAPEKRTRDGASDAAGLRGGGIMGGGGGGAARRWCGGRPKKLGNTVGACGTPDLRLCVNVPKSEPREREIINR >ORUFI09G08440.1 pep chromosome:OR_W1943:9:10272364:10273315:-1 gene:ORUFI09G08440 transcript:ORUFI09G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLDCDAWCSASGGGGGGSVGSGSNEGGGAGRFCKLQWLYCGVVSGVPLATIQKGVGVAIASSFVLLFCPVSCSTSYKCLGDGDWMVRRGDNYNKERHWQDEGKLVGNASDGWGATLDLGPKCCCIVSELSIGIWWGKERIKYGCNVDEEYRSLDSISRSTWCGSWYGRAR >ORUFI09G08450.1 pep chromosome:OR_W1943:9:10276021:10277145:1 gene:ORUFI09G08450 transcript:ORUFI09G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKAAAGGGGGGGGKGVVATTTAPTDLLVCFPPRQHLALMPKPICSPSRTTVDKAVAARRRRQQQQQLPAARSGGGGGGRGRGSTSSPLFRGSKAKQAAVEVDDEPQSPKVTCVGQIKVARPKKQRKVAGKPGNGGGGGGGGGGGRSWITVVEEIERLHEQRKKVSWLEAVGIRRDALPFLGGALRSLRLKVRCFGSLHGAVESSTDDEDDDDDDGRGAEEHEAVSAGCGGSAASSVFSKWLMVLEGSEETPEQDSGDDEEEPEREDDDECSNAPPSAPPANALLLMRCRSAPAKGLARRRTEEPPPPVGEAVHDEGSAAAAAAGDDGAEEERDELVFMRTAPDFLKLSIDIAKETWIVGGVDPLARSRSWKR >ORUFI09G08460.1 pep chromosome:OR_W1943:9:10282782:10286651:-1 gene:ORUFI09G08460 transcript:ORUFI09G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPRVLKLSLLRRLGAASAVRAAERPPPCRPRVFPARSNHSRGYSSEGGSKYNRPMRQFAEENEANPQPLIYYVVPSALLVFAGLVTFVHYNDEKRAVTQEAQQTSVPKRCTTNRPAIGGPFKLYDTENNEVTESKLRGNWTLMYFGYTSCPDIGPAEVQKMADVVKLLESKYGTKITPLFITIDPQRDSPAQLKAYLSEFDPRIIGLTGSINAVRQIAQEYRVFFKKVDDIGQDYLVESSHNMYLLDPCLETARCFGAEYEASDLAEAITLEIQKASKSSTN >ORUFI09G08470.1 pep chromosome:OR_W1943:9:10287486:10289890:-1 gene:ORUFI09G08470 transcript:ORUFI09G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRVPLSARLEESGRGFHLKISIGEGKATHPQALPLLARRARLSPIIFLPSRVEVAYKSVATTNNTPSMHGHRASASLPADHQAGARHLAHSKSIHAVGLVREEDGSPLTRTRPPNVAILWHLDIAARGLSSRSKPVVDLQHSPHSSTVVVALGGLPESLLPQAVHPHRHRLTPKSLASNASLLVTGYHAEPQQSTVVASRAFDAHRPPFHSAGLPPPPRRSVSLLLPPPPAQWHPSQIPPRVAGCCRVGAGFGGVVAGSTAALAGVHRRCIGSTRGTLPRLASAKDGGGGGFADGHFPSLRGHPAARANARDAAEKPAALAAKEEEIRDHRRGDAAAASPAPSTVKEFRVYRWSPDAPSRRPHLQSYHVDLATCGPMVLDVLQKIKAEHDATLAFRRSCREGICGSCSMCIDGVNTVACLRPVDTDTSSATTVTPLPHMYVVRDLVVDLTSFYQQYKSVEPWLKRKTKTKTETTEHAQSPEERKRLDGLAFCERAAARRARPTGGTRRRSWGRRALLHAYRWVSDSRDEYAAERVQALAEGWDKLYRCRMIKSCTATCPKSLDPAAAISAMKTLHQLGKP >ORUFI09G08480.1 pep chromosome:OR_W1943:9:10293668:10294504:1 gene:ORUFI09G08480 transcript:ORUFI09G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRERRNGGGWRRGGTARYRLVPGTWYLWYRLGIDRYLMPGTEWYLGIKPWYQGIKPDTYGVSEGTLKKRKRRGGGRAATAKNGVEEARRGARPEPESSRSLKEEPRRRLTAYLLQVYTGCWRPSRRRKSSRPQRGCVTPRPRARTRGAPASALLGATTPQRTPDRRGRRARAAQRGEASSRATPPRVGRPRRRSAVVVLLLGRRRRRRRPLPPSRLRLCSAFLRERDRGEREREREGCSAV >ORUFI09G08490.1 pep chromosome:OR_W1943:9:10311240:10312331:1 gene:ORUFI09G08490 transcript:ORUFI09G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGGSLQSSSGGDDEFDSRGGGGGGGVDSSPLSALLRPSSSSGFSLHGGSMYGFQELGSVGTSLQHQQGVQLQPWSAAQFAAGAPSSSSPRVAADAGVAGAHQQQQQQQQQGDPSSEGAGRSAAAGDPASSLPPYLLRPFAQKLPTAASPFPPYTSSSSSTPLSTSTPSSSNLAAANANATTTSTAAATTATSVNPTAAAGAGDTFQLTPAALLRMQHDATSSSGSYLSFPSVLAAASQPMFGGFAQGGGGGARLHDASPSPSFSEFLGGGISLTDGGGLMSSDALHHHLPTRNDAHHHGGDELSGVVASGSCKLNYTSHAGAPSSSQAAAADKPPDGSTAAARPARGEGLDPWICTSE >ORUFI09G08500.1 pep chromosome:OR_W1943:9:10329877:10330248:-1 gene:ORUFI09G08500 transcript:ORUFI09G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGSAGAAAVVVRGDGSASVAEVVTRCGRSAWAVEVDARGGSRRRSSRAVDENTSASAVLTNPTALRLLCPKHFAWLPKDASATIAPVGRTRRPPPAGELAPSALLAAAIATSDKEVEELE >ORUFI09G08510.1 pep chromosome:OR_W1943:9:10333390:10356468:1 gene:ORUFI09G08510 transcript:ORUFI09G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAEAGRRTLALVNMAAIMERADEALLPAVYREVGAALHATPMGLGALTLCRSFVQAACYPLAAYAAVRYNRAHVVAAGAFLWAAATFLVAVSDTFAQVRKRKNKKENLYFLQDFACLALVTPAIQSLVADCSDDTTRGSAFGWLQLTGNIGSVIGGLFSLMLASTTIMGVAGWRVAFHIVALISVIVGALVRLFAVDPHFCSNIQDDGGGDQLPPRKSPLEEMKDLVVEARAVVRIPSFQIIVAQGVTGSFPWSALSFAPMWLELMGFTHEMTGLLTTSFALASSLGGLLGGKMGDRLAVRYPDSGRIVLSQISSASAIPLAALLLLALPDDSSSGFLHGFVMFIMGLSISWNGPATNNPIFAEIVPERSRTSIYALDRSFESVLASFAPPIVGFLAEHAYGYNPVSYGAGSSSDRENAAALAKALYTAIAIPMLLCCFIYSLLYGTYPRDRERARMDTLIASELQQIELERCHRAGIGSRRSKDGTVIDVEYGEEESGDVVDDDDDEKALMRYHVEQSGSVGRKQITRPERERERFLTGEDDGGEGMTAQQPEVDVERERRRTLVLVNLASIMERADEALLPAVYREVGAALHATPAGLGALTLCRSAVQAACYPLAAYSAARHNRAHVIAAGAFLWAAATFLVAVSDTFLQVAISRGLNGIGLALVVPSIQSLVADSTDGGTRGSAFGWLQLASSLVFISGGFVGLLLAQTTVFGIAGWRIAFHLVAIISVFVGILNWFFAVDPHFPAGNVGSCDRPVCKQSVWQVIEEMIKEAKFVVQIPTFQIFVAEGVSGSFPWSALSFASMWLELIGFSHKDTAFLMTTFWVASSFGGLLGGKMGDFLALRYPNSGRIVLSQISAGSAVPLAAVLLLGLPDDPSKGIAYGIVLFIMGLFISWNGPATNLPICAEIVPEKSRTSIYALDMCFKSVLSSFTPPIVGILAQRVFGYRADDKGKSIQLDRENAASLAKALYTSIASTAVIPGIESVHVFDSANEVLMPGEERERDQRFLAGEDDGGEGMMGQQLQQPEVVEVDVERERRRTLVLVNLASIMERADEALLPAVYREVGAALHATPAGLGALTLCRSAVQAACYPLAAYAAARHNRAHVIAAGAFLWAAATLLVAVSDTFLQVALARGLNGIGLALVVPSIQSLVADSTEDGTRGTAFGWLQLASSLGLISGGFVGLLLAQTTVFGIAGWRIAFHLVAIISVFVGILNWFFAVDPHFPRSNAGTCDRLVTKQSAWQVIEEMIKEAKFVVQIPTFQIFVAQGVSGTFPWSALSFASMWLELIGFSHKETAFLMTIFWVASSFGGLLGGKMGDFLALHYPNAGRIVLSQISAGSAVPLAAVLLLGLPDDPSKGFAYGIVLFIMGVFISWNGPATNLPIFAEIVPEKSRTSIYALDRSFESVLASFAPPIVGILAQRVYGYRPDNKGQSVQLDRENAASLAKALYTSIAIPFTICTSIYSFLYCSYPRDRERARMQSLIESELQQMEQEGSCLEEGDCRFQVVDSPHDDEIATIEVTNDVKAAAAAATTWEERRTLVLVNLASIMERADEALLPAVYREVGAALHATPTGLGALTLCRSAVQAACYPVAAYAASRHNRAHVVAAGAFLWAAATFLVAVSGTFLQVAISRGLNGIGLALVIPAVQSLVADSTDDGNRGAAFGWLQLTSSIGSIIGGFSALLLASTTVLGVEGWRVAFHLVAAISVAVGVLVWLFAVDPHFPAGAPGDGGERRRRRRSAWDEARELAWEARAVCRIPTFQIFVAQGVSGSFPWSALSFLSMWLELVGFSHGETAVFTTVFAVATSLGGLLGGKMGDALARRYPDAGRIVLSQISAGSAVPLAAVLLLALPDDPSTGVAHCLVLFVMGLIISWNAAATNNPIFAEIVPEKSRTSIYALDRSFESILASFAPPAVGYLSQHVYGFKPAAAAGGGGGVERDRENAASLAKALYAAIAIPMTACSAIYSFLYCTYPRDRDRARAMQSLAAADAATGDTQATTELRHVELEEGSCGGGGGDTRRFELVGSEEEEKGGGERGDGDGDGGAGVYGSGEGEADADTVRLGIGSPPSRSLRARLSADQLLLALDPLSTDPRAAASSMPEPAATIQGSNSLSAILSWSSSPDALKSAVVADMPEQQQTKKRRRRRPRGGARAATLLLAYAALAMERADAALLPAVYREIGAGLRASPSALGSIALSRSVVQAACYPLAAYLAARHDRLTVVALGAFLWAAATLLIAMAVTAALNGVGLALQIPAIYAFVADSVDGTSRGVAFGWLMVAGKVGTVGGTSLGLLMAPTSFLGIPGWRLAFLLLAAGGAVVGVSIRSFAAGNDAAAAATASTTTTAKPVRQELQEFAREAKAVLRVPSFQVMVAQGLTGSFPWSALSFTAMWLELVGFSHGETAALMALFKAATSLGALLGGKMGDAMARRFKNSGRIVLAQVSSGSAVPLAAVLLLALHGDPPAAAKHGAALFALGLMASWNPSSTNGPILAEIVPPRSRTSVYALDRTCEAVLASFAPTVVGVLAERLYGYDLDARGGAAAVEAERRNAASLASALYTAIAVPMVLCCLIYSFLYCTYPRDREAAAPRGDGGARPDGGEGSDTEDEGEDERKLLPQ >ORUFI09G08510.2 pep chromosome:OR_W1943:9:10333390:10356468:1 gene:ORUFI09G08510 transcript:ORUFI09G08510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAEAGRRTLALVNMAAIMERADEALLPAVYREVGAALHATPMGLGALTLCRSFVQAACYPLAAYAAVRYNRAHVVAAGAFLWAAATFLVAVSDTFAQVRKRKNKKENLYFLQDFACLALVTPAIQSLVADCSDDTTRGSAFGWLQLTGNIGSVIGGLFSLMLASTTIMGVAGWRVAFHIVALISVIVGALVRLFAVDPHFCSNIQDDGGGDQLPPRKSPLEEMKDLVVEARAVVRIPSFQIIVAQGVTGSFPWSALSFAPMWLELMGFTHEMTGLLTTSFALASSLGGLLGGKMGDRLAVRYPDSGRIVLSQISSASAIPLAALLLLALPDDSSSGFLHGFVMFIMGLSISWNGPATNNPIFAEIVPERSRTSIYALDRSFESVLASFAPPIVGFLAEHAYGYNPVSYGAGSSSDRENAAALAKALYTAIAIPMLLCCFIYSLLYGTYPRDRERARMDTLIASELQQIELERCHRAGIGSRRSKDGTVIDVEYGEEESGDVVDDDDDEKALMRYHVEQSGSVGRFLTGEDDGGEGMTAQQPEVDVERERRRTLVLVNLASIMERADEALLPAVYREVGAALHATPAGLGALTLCRSAVQAACYPLAAYSAARHNRAHVIAAGAFLWAAATFLVAVSDTFLQVAISRGLNGIGLALVVPSIQSLVADSTDGGTRGSAFGWLQLASSLVFISGGFVGLLLAQTTVFGIAGWRIAFHLVAIISVFVGILNWFFAVDPHFPAGNVGSCDRPVCKQSVWQVIEEMIKEAKFVVQIPTFQIFVAEGVSGSFPWSALSFASMWLELIGFSHKDTAFLMTTFWVASSFGGLLGGKMGDFLALRYPNSGRIVLSQISAGSAVPLAAVLLLGLPDDPSKGIAYGIVLFIMGLFISWNGPATNLPICAEIVPEKSRTSIYALDMCFKSVLSSFTPPIVGILAQRVFGYRADDKGKSIQLDRENAASLAKALYTSIASTAVIPGIESVHVFDSANEVLMPGEERERDQRFLAGEDDGGEGMMGQQLQQPEVVEVDVERERRRTLVLVNLASIMERADEALLPAVYREVGAALHATPAGLGALTLCRSAVQAACYPLAAYAAARHNRAHVIAAGAFLWAAATLLVAVSDTFLQVALARGLNGIGLALVVPSIQSLVADSTEDGTRGTAFGWLQLASSLGLISGGFVGLLLAQTTVFGIAGWRIAFHLVAIISVFVGILNWFFAVDPHFPRSNAGTCDRLVTKQSAWQVIEEMIKEAKFVVQIPTFQIFVAQGVSGTFPWSALSFASMWLELIGFSHKETAFLMTIFWVASSFGGLLGGKMGDFLALHYPNAGRIVLSQISAGSAVPLAAVLLLGLPDDPSKGFAYGIVLFIMGVFISWNGPATNLPIFAEIVPEKSRTSIYALDRSFESVLASFAPPIVGILAQRVYGYRPDNKGQSVQLDRENAASLAKALYTSIAIPFTICTSIYSFLYCSYPRDRERARMQSLIESELQQMEQEGSCLEEGDCRFQVVDSPHDDEIATIEVTNDVKAAAAAATTWEERRTLVLVNLASIMERADEALLPAVYREVGAALHATPTGLGALTLCRSAVQAACYPVAAYAASRHNRAHVVAAGAFLWAAATFLVAVSGTFLQVAISRGLNGIGLALVIPAVQSLVADSTDDGNRGAAFGWLQLTSSIGSIIGGFSALLLASTTVLGVEGWRVAFHLVAAISVAVGVLVWLFAVDPHFPAGAPGDGGERRRRRRSAWDEARELAWEARAVCRIPTFQIFVAQGVSGSFPWSALSFLSMWLELVGFSHGETAVFTTVFAVATSLGGLLGGKMGDALARRYPDAGRIVLSQISAGSAVPLAAVLLLALPDDPSTGVAHCLVLFVMGLIISWNAAATNNPIFAEIVPEKSRTSIYALDRSFESILASFAPPAVGYLSQHVYGFKPAAAAGGGGGVERDRENAASLAKALYAAIAIPMTACSAIYSFLYCTYPRDRDRARAMQSLAAADAATGDTQATTELRHVELEEGSCGGGGGDTRRFELVGSEEEEKGGGERGDGDGDGGAGVYGSGEGEADADTVRLGIGSPPSRSLRARLSADQLLLALDPLSTDPRAAASSMPEPAATIQGSNSLSAILSWSSSPDALKSAVVADMPEQQQTKKRRRRRPRGGARAATLLLAYAALAMERADAALLPAVYREIGAGLRASPSALGSIALSRSVVQAACYPLAAYLAARHDRLTVVALGAFLWAAATLLIAMAVTAALNGVGLALQIPAIYAFVADSVDGTSRGVAFGWLMVAGKVGTVGGTSLGLLMAPTSFLGIPGWRLAFLLLAAGGAVVGVSIRSFAAGNDAAAAATASTTTTAKPVRQELQEFAREAKAVLRVPSFQVMVAQGLTGSFPWSALSFTAMWLELVGFSHGETAALMALFKAATSLGALLGGKMGDAMARRFKNSGRIVLAQVSSGSAVPLAAVLLLALHGDPPAAAKHGAALFALGLMASWNPSSTNGPILAEIVPPRSRTSVYALDRTCEAVLASFAPTVVGVLAERLYGYDLDARGGAAAVEAERRNAASLASALYTAIAVPMVLCCLIYSFLYCTYPRDREAAAPRGDGGARPDGGEGSDTEDEGEDERKLLPQ >ORUFI09G08520.1 pep chromosome:OR_W1943:9:10361314:10362543:1 gene:ORUFI09G08520 transcript:ORUFI09G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGRRVAVVMMLALLLLCFFHAAVCASASPAVASRKANLSGRKGGLAAAATAERALPLKKRMVAAAAKDQSGVLKHGKKLSSGDVPTAKTATTKTPATANTKLLKEDKAAKLTKIGTKKSPEYMANTKTKKAFESNAVDVMKIEESRKSAEEVAATLAEQDGAEDLISEFRELPARLQETLVPDLARLSSTSRAYLSAANAGIADGVRPLLGGRWAPVAATAASAAVLLLPLCLLAALVRRVGAYLPLLRRALLLAQAYLAIYFATLAVAAAATGLEPLRFFHAASPAAYAWTQAAQSLGYVAYLVLQMVDLVAAFSSSPGGGAGAGEDASLSSRALSLAQMMVGLAVGLHYYAAVFHRAAAGEAPRATWRVHAVYAACFVVVCACARAERRKKAYLAGAAEPWKKS >ORUFI09G08530.1 pep chromosome:OR_W1943:9:10364896:10371662:1 gene:ORUFI09G08530 transcript:ORUFI09G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCLLSCDLRLDQAISMGANLNEKPTPAIASAESDELPPPAAAAPGQRGYCHYHTTTASATPDTALFDPDNFMRLAMRQGPGSVSGVISCIKTTWSRSNGIASDAQTNQPVTMKLSTEEIIDSIVKELKLLDIDKKDAPDVKPDPKNEMVRDLIRQTREMEAQLKERKEWAQQKAIQAARKLGTDLTELRVLRMQHDENQRRKKDKQEMEDETMKRLTQLENELKKKSGQLDRSNATVQKLEMENAEIRAEMEAAKLSASESERQCQKLVKKEKKDSKRLEMWDRQKAKLQEDIAECKTKITQVDRELAEINKAIRNMEMKIREDTKAKEENLALAEQEHAKRESAKANAERRLEEIRQKTEVESRCFKDDIKRLEDELARLQKSMGVNHPTVPSTHPPGVADRNSTRAPKQPTNQRPSPASNKQSQAPTQKASRRRDCVICKREEACVILLQCAHQVLCVGCNKRHEEKGVARCPCCNAKVEERIRVFGASSN >ORUFI09G08540.1 pep chromosome:OR_W1943:9:10372407:10375586:1 gene:ORUFI09G08540 transcript:ORUFI09G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPGKGKEVVVAEEEERKEEEEEEEATCDELEEQMEFLRRLDIGEEREQEAARWWRRREDAATTSGAAGWWRREDVATTSAAAANRRVVPAFGGVAMTPGRIWADGNAVAGPPAPTPPWTPRPRRHGGMLGDDRHARGGHVLLGSHELQVPAASASPSSSISRRAAAAANAGWRFAGADTPQLVVYLANNEQMVLHTLFHAPLNEAHLVAEVIVDHAADIMESIHGQRLLSCVLHNCCCELHEAIVAKITQHRDRSDGVVTMIRSCRSLKSCQLVRNAIVPWVGRRSKMQSLVTDSDKLRVIQACIQCFPADIAKVLVDAVVENCIEIACHLNGLLFLQNCLGHITLEEKYKIFTQVCINSVYLAKHRSGNYIVQDVLEFGHPFHLEIITSCFKTHYVDLARQKYSSRVVEKCLKVFGDLEQYLIVCELVLDLDHFRDLVTDEVANYVISTALLACTVPVRDILANTIISLQDVNRHHPHCLKIFDILSRLGYMQ >ORUFI09G08550.1 pep chromosome:OR_W1943:9:10384907:10385185:1 gene:ORUFI09G08550 transcript:ORUFI09G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAATTTTTRRRRRRSSSTMRRLRAAAVARRVRELRRLVPGGEAVPAGRLLLRAAGYVAELRARVELLRALAALLTASCAAADDDGGACT >ORUFI09G08560.1 pep chromosome:OR_W1943:9:10388414:10388602:1 gene:ORUFI09G08560 transcript:ORUFI09G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAATPGSGGAPQLGPLPRSGRREGEGGGGDGYRCWWWRWRLRLQAMAAHPGSVPSLDLA >ORUFI09G08570.1 pep chromosome:OR_W1943:9:10392960:10395957:-1 gene:ORUFI09G08570 transcript:ORUFI09G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPHHLGGFSDVATVANIWGSRETPDLLPFVPLLDLTPPPPPQLRPSPLPQYFARRYHRALRGKKIDILPGLAELLTSNYVLSQKDLSHMSLVAHYKENRFAT >ORUFI09G08570.2 pep chromosome:OR_W1943:9:10392960:10394162:-1 gene:ORUFI09G08570 transcript:ORUFI09G08570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPWEYFARRYHRALRGKKIDILPGLAELLTSNYVLSQIRIFAHEMVKKDLSHMSLVAHYKENRFAT >ORUFI09G08570.3 pep chromosome:OR_W1943:9:10394742:10395957:-1 gene:ORUFI09G08570 transcript:ORUFI09G08570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPHHLGGFSDVATVANIWGSRETPDLLPFVPLLDLTPPPPPQLRPSPLPQEHNFEAKERSLCNYSLASGELYAKLDSELEMYREK >ORUFI09G08580.1 pep chromosome:OR_W1943:9:10396592:10403134:1 gene:ORUFI09G08580 transcript:ORUFI09G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPRGTKRPLPADADADADADAAGGDDDDGALPGERKPRFPKGKKAKYRDPAAAAAAEGIDGLINPELAAERRARRRHRKDEDDQQGVASDVRGFEVRYEDSANLVDDGIRLEPFNLEQEREEGYFDENGNFVEYARGNDIKDAWLDSVEVDTKYAEKVQKKREKEKEEEFQDLSSDDIGKIKRRIANILEPGETIIQALKRLKNTSSDKRGKMTEGTKRIFDELTEAAMKLMENGEYNVYSDDRETFEREAAGYERLARARLGLPEAEEDMFADSPKDKTTASLLDMEPGPSAAHTSTTTTTSKEDDSDFDIFGDDDDKTDVKRDSDANAVGSGSNPEQVSHDANETSGAEKGENGSVSSDYVYDPTSGYYYSSSTGYYYDSTSGCYCSASTGAWYSYDEQTGEYKEIQSEQASTVNETPGDGIKE >ORUFI09G08590.1 pep chromosome:OR_W1943:9:10406061:10406333:1 gene:ORUFI09G08590 transcript:ORUFI09G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYEYEHWHHHHQSVGVDEEEAPPVHLLAVDAFLEEAVPADMVAAARREEEARLRRGGRPRSREDGMKEMLRLWAKSVARKAIASVVVN >ORUFI09G08610.1 pep chromosome:OR_W1943:9:10418506:10421801:1 gene:ORUFI09G08610 transcript:ORUFI09G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAEHLLGLSSAPVDWEAESYPGYGDFAVLPFLVAFFPAVRFLLDRFVFELLARRLVLGKGYDKLAETDESRKKINKFKESAWKFVYFLSAELLSLSVTYNEPWFKNTRNFWVGPGEQIWPDQKTKLKLKAVYMFAAGFYTYSIFALLFWETRRSDFGVSMSHHLATVVLIVLSYIFRFARVGSVVLALHDASDIFLEIGKMSKYSSCEGLAVAAFLLFVASWILLRLIIFPFWILRSTSYEVLLTLDKEKHKFYGPIYYYVFNSLLFSLLVLHIYWWVLIYRMLVKQIQSRGRIGDDVRSDSEGEEDHED >ORUFI09G08620.1 pep chromosome:OR_W1943:9:10420897:10429553:-1 gene:ORUFI09G08620 transcript:ORUFI09G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLLRCLQRGVYTNTNPPLLSSSSFFLPLPKRRKATAEAEAGRGEFAAAAPRSVGGSTMGGKKAKTVERNDHRLLCSDVLTEVFHRLPARTLASCRLVCKSWMSELTDPHFVHEHLKRSQQKLLLFANDKANDRSLAMVLADDTGATYQLTRPMASRSLFVHNSCNGLLCLGDSTGAVQLLNPTTGESATLPMPMYTAGSSQFSSCNWHCLGFCPSTKDHKVVHFYLGAHFDSFNVCCEIFTIGDKSWRQIGSFHGAPTDRGVHVNGAVYYLTKFRYIASSRINCLNLESENFDVMMLPPRKSYGGHCSLAELEGKLCLLVVEGGHDNPPRTMDILMLDSGDKTTWTHRYHISLPWLMPSCYFTPKHTLFHEGKIWVQLLARNLYCYDPSSSSTELKMACPESEFPFSTHTFIESIVPLRKDYFIKQIQRRKVIFIPLVGGPAEPNRSSPETRGRGRWSSPAASEGMGCSISGLNALYDAATGGGDVWINERRFRVLRQIGEGGFAFVYLVREHQASADAARGRSPSLASEDGTYAMKKVLIQSKEQLDLVKEEIRVSSLFNHPNLLPLLDHAVIAVKGDWNHEAYLLFPVYIDGTLFDNAKVMQSRKEFYSTIDVLRIFQQLCEGLKHMHSFDPPYAHNDVKTGNVLITHRKGQAPLATLMDFGSARPARKEIRSRAEALRLQEWAAEHCSAPYRAPELWDCPSHADIDERTDIWSLGCTLYAIMYNVSPFEYALGESGGSLQLAIVNCTLKWPAGPSPPYPDALHQFITWMLQPQPAMRPHIDDIILHRKQPPSNS >ORUFI09G08630.1 pep chromosome:OR_W1943:9:10434137:10435932:-1 gene:ORUFI09G08630 transcript:ORUFI09G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVKAFLNSPVGPKTTHFWGPVANWGFVLAGLVDMNKPPEMISGNMTAGLFMRFAWMVQPRNYLLLACHASNESVQLYQMSRWARAQGYLEKKEPEAQQ >ORUFI09G08640.1 pep chromosome:OR_W1943:9:10443440:10449843:1 gene:ORUFI09G08640 transcript:ORUFI09G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGAAGMACTCSAAAAASALVKLLVLVAAVAATTSAGGGDEPTYETKSIDPSLAVMTLPAPVTGPESLAFDGRGDGPYTGGSDGRILRWRGGRLGWTEFAYNSRHKSVGVCSPEKKLVVPESVCGRPLGLQFHHASGDLYVADAYLGLLRVPARGGLAEVVATEAAGVPFNFLNGLDVDQRTGDTGRLLRYDARRRRVTVLHSGLPYPNGVAVSDDGTHVVVAHTGLCELRRYWLRGPRAGKSETFAEVPGYPDNVRRDGDGGYWVALSRGADNDDVAPTVAVRVTAAGKKKGGGAAVVAEALAGFSFVTVSEVAEQNGTLWIGSVDTPYAGAAVRGRR >ORUFI09G08650.1 pep chromosome:OR_W1943:9:10455210:10463038:1 gene:ORUFI09G08650 transcript:ORUFI09G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLVLVAAVAATTSAGGGDEPTYETKSIDPSLAVMTLPAPVTGPESLAFDGRGDGPYTGGSDGRILRWRGGRLGWTEFAYNSRHKSISVCSPEKKLVVPESVCGRPLGLQFHHASGDLYVADAYLGLLRAPAHGGLAEVVATEAAGVPFNFLNGLDVDQRTGDVYFTDSSTTYRRSLHLLVVATGDETGRLLRYDARRRRVTVLHSGLPYPNGVAVSDDGTHVVVAHTGLCELRRYWIRLSLYI >ORUFI09G08660.1 pep chromosome:OR_W1943:9:10464932:10467140:1 gene:ORUFI09G08660 transcript:ORUFI09G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPHLEPDKELSLEPKNIQAAKEEPKNSEAAREQPKNSEAARAKVVARYVCGMASMLPFEREPPAADGNKKLCYICGDDDGSHEELSCPFNYMYYHMSDEDASEGTTCEGSCSAGKHPMAVVSGSGRHREFLRCVVRVNNFPTKLRPWDLSWLCKPFGPLRMYHLVMRNSKFSRGFGYAIFSSRQHAESAIEQLNGRIIHGRKLRVDWAYPCI >ORUFI09G08670.1 pep chromosome:OR_W1943:9:10473863:10474342:1 gene:ORUFI09G08670 transcript:ORUFI09G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDETGRLLWYDARRRHVTVLHAGLPYPNGVAVSDDGSHVVVAHSGLCELRRCWLCGPSAGKSETFAEVPGYPDNVRRDDSRGGYWVALSREADSDDMAPTVAVRVVAPAAKNGSAAVVAEALAGFSFVTVSEVAERNSTLWVGSVDTPYAGAAVRGHR >ORUFI09G08680.1 pep chromosome:OR_W1943:9:10475225:10476574:1 gene:ORUFI09G08680 transcript:ORUFI09G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVESLESVEVPDSVDALDSVEGLDSEMVLDSVETSSQFGGPFSYCLLPTSGGGGFIALGVPNSSNTAAGFSFTPMRRIPSVPTFYVVALTGISVGGAPLAIPPSAFLSGMVIDFGTVITGLLATAYAAVTAASDVAAMRVVAKVLRAHKALGWSTGDPCSPSKAWRPCSRRRPAVAEPSRLGPAAVPARAGRRRASALLRGKKKGKK >ORUFI09G08690.1 pep chromosome:OR_W1943:9:10483827:10486851:-1 gene:ORUFI09G08690 transcript:ORUFI09G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCDIAIKNTTSYACISGNSNCVDDIKGGYRCKCSHGYEGNPYIKDGCKDINECLDNATYPCMGICKNTIGSFDCSCYPGSYMKNGFCLPNQKSTFPARHVIGIGSFLPYKKIITCVGINLKVVIKDCAHITHSILDFKYKSSSLRIENFLLFKEHTKRKLQHIKNNYFQQHGGLILFEEMKSQQGHAFKIFSEEELQQATKKFNEQEILGQGGNGIVYKGLLKSNSEVAVKKCMTIDEQKKKEFGKEMLILSQTNHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFHLIHENHGNHISLITRLRIAHESAEALAYLHSCASPPILHGDVKSSNILLDNNFSAKVSDFGASILAPTDEMQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKAFNLDAPEHEKVLSMMFLSAMKENKLEDMLDDQIKNNENMEFLEEMAELARKCLDMSSINRPSMKEIGDELGRLRKVMEHQCAKQNPEEMESFLGDSSYVINSTVESTKSFSIEKNAMKRLKSGR >ORUFI09G08700.1 pep chromosome:OR_W1943:9:10491876:10492709:-1 gene:ORUFI09G08700 transcript:ORUFI09G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRALLLPWLSLRLLLLLCHYSLSAPVAALDALAPAVVGKPGCQTRCGVVDIPFPFGIGDDRCALEARHTRYPFKLDCMSVGGTSKPFFRGMEVTKISLADGKAWMKMNISQNCYNQSTGTMERNREPVDFTGTPFWISDKDNKIFVIGCRTFSYMQINNRVVPDMNH >ORUFI09G08710.1 pep chromosome:OR_W1943:9:10502159:10504052:1 gene:ORUFI09G08710 transcript:ORUFI09G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGERDTVLVMMRVAGWLTQQPPDQPELLQGKQIWEAQEHFRILDELRDSCFRPSLPTLATSSFSPAPATPILRQRTLRVRFPGDGVGWGWEGEGEGEEFASSRGMAGGGGGPAVGGEAPEPRGWGRAVGRHIQTVKKKREGGFEYLIRIGGAEVTNIISRSRSNTKKTRPLIKRCVNLMNPFI >ORUFI09G08720.1 pep chromosome:OR_W1943:9:10526888:10530153:1 gene:ORUFI09G08720 transcript:ORUFI09G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKKCPSAAMWPCLSPRAAVLIALLLLPAAMAAAAAAAGGHGEEMKSIYAGPKVVPVRLGRPAFGPESLAFDHRGGGPYTGVSNGRVLRWRADRRRPGWTEFAHNCKHATVAECAARKKAAAAESVCGRPLGVQFDRRTGEMYIADAYLGLMRVGRRGGMAEVVAAEAGGVALNFVNGVDVDQATGDYHVKWIYGFKKIDYLLVVLSGDATGRLLRYEPRTGNVTVLESGLAFPNGVAVSADGTHLVVAETASCRLLRHWLRGSNAGATEVLADLPGYPDNVRHAAADGGRGASYWVALNRDKAWTVNGTTPASVAAVRVVVDDGGGKVDVALRGFGGATVSEVVERNGSLWFGSVDTPYVGLLKLTSL >ORUFI09G08730.1 pep chromosome:OR_W1943:9:10531283:10535174:-1 gene:ORUFI09G08730 transcript:ORUFI09G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLLPTNPLLPPGTGTATPRRRPVAATVRAALATSAEEARAATGAEVVRSIRARQIVDSRGNPTVEVDLVAGDGRLHRSAVPSGASTGIYEALELRDGDGAAYGGKGVLNAVRNINEVIAPKLVGVDVRNQSDVDAIMLDIDGTPNKSKLGANAILGVSLSVCRAGAGAKEVPLYKHIQELAGTKELVMPVPAFNVINGGSHAGNNLAMQEFMLLPVGASSFSEALRMGSEVYHALKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYSGKIKIGMDVAASEFLTKDGSYDLNFKNQPNDGAHVLSAQRLCDLYKEFVKDFPIVSIEDPFDQDDWSSWASLQSSVNIQIVGDDLLVTNPKRIAEAIGKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLAVGLASGQIKTGAPCRSERLAKYNQLLRIEMELGNVRYAGEAFRSP >ORUFI09G08740.1 pep chromosome:OR_W1943:9:10538846:10540513:-1 gene:ORUFI09G08740 transcript:ORUFI09G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAARPLAALRRAPPRPILLLLGRLFCASPQTGFGGGDPGPADADADADADAAGAGVDVDARLVGSLCRVLSDFRGPRHDLPAALRGFAPRLTPRDAAAVLRRCRHLPLPSLRFFLFAGELPGFSHHPDSLLVLANSLAGARLFPLLRSLLSDLPPSALSRGLFPLLFRAYSRARLPEDAIRAFSSMAGFGFPPTIADFHSLLFALSRNGLVEYGERFFRESAAQFDVSAKAYTILISGWAVVKKPENARSLFDEMVKRGVELDVHVYNALIDALCRGGDITSAQEQLSNMQKSHGLVPNAATYGPFLHAACASKDVRAALRVLDRMHTHALTPNVFTYNAVIRLLCDLGEINEAYNILDEITTQGEKPDVWSYNTLLNAHCKLKEVNKALRLISRMDKELCPPDRHSYNMLLKMLISVGRFDTAIEVWDGMEKRGFHPGAATYAVMIHGLASKKGRAEDACSYFVRMVDEGIPPYQATCEVLRDRLLMLGLRDQLGVLTDRMRRSTSYTIQEMSTIMCRSKRAEETKSLRNGHEFSGRDLDENGWKGKWKPGD >ORUFI09G08750.1 pep chromosome:OR_W1943:9:10545588:10546928:1 gene:ORUFI09G08750 transcript:ORUFI09G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASTPRHHLPLPKRIFAYALYALLPLAALHYLLLSPPPPPLATTSTSTTPPVAAVAVARKAAPRCDYSEGEWVRSASAPRYNGTSCGATIKGGQNCMAHGRPDTGYLHWRWRPRGGGGCALPPFAPGEFLELVRGRHVAFVGDSLARNQCESLVCLLASGFPAELVRGGNGGDGGDGDEARKFRRWVFPSHNATVSVFWSPFLVNGTEKSSSSAAAAGAGGLDHNRLYLDQPDERWAGELDGIDVVVLSAGHWFLHPAMYYERGEVIGCHHCPEPNRTETGFFGVFRLAVKNALREVITRAARSPSQQQKLAVVTTFSPAHFEGEWDARDACARSEPYAPGEKEVGYMDREMGRAEAEEVAAAAADARVRAPGRVEVEALEVTAMAALRGDGHPGPYMNAFPFAGGERARVPNDCVHWCLPGPIDTWNEILLQLVKRWRDSSSK >ORUFI09G08760.1 pep chromosome:OR_W1943:9:10548222:10551771:-1 gene:ORUFI09G08760 transcript:ORUFI09G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGTAPSAGGGAAVPTPSAAAAVSTTTTTPPGTPRATAASPQAGYYAVELYFDPALENQVLKAWNALARRQLSSRLIDAASRPHLPLLHLPAASLPDPLRLAPSLRALASRLDPLPLALSSLASPPSSPDAGVLFLAPTPSAALLGIHAQLCELLRKDAGVEVPDVFRPDHWVPRCAVAVDVPRGRMAEAFCVLRELKLLPVSGYGMDIALVEVGPVVRELVSYPLGGSGGAGAD >ORUFI09G08770.1 pep chromosome:OR_W1943:9:10554835:10555167:-1 gene:ORUFI09G08770 transcript:ORUFI09G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFAALVSGRRAASAAAAAAAEVEVEEERDEAYLRIRLEEIVIVKNDAHDDALSAAASASAARVANNGGGAVAASSMEKRCACGDAAIDAAPALGWGAATAAGGRGGRP >ORUFI09G08780.1 pep chromosome:OR_W1943:9:10557232:10559859:-1 gene:ORUFI09G08780 transcript:ORUFI09G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNIVSSWNKRRRSKSLDQLNPWVYKPAELWHWQMKEQGTAAAALPPPPAKKRSSCSMVFTLKEMEEATNMFSERNLIGKGGFGRVYRGVLKDGQIVAIKKMDLPTSKQADGEREFRVEIDILSRLDHPNLVTLIGYCADGKHRFVVYEFMPKGNLQDILNGIGEVRMDWPVRLRIALGAARGLAYLHSTTAVGVPVVHRDFKSSNILLTEHFEAKISDFGLAKLMPQDIDLYATTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAIDLSQGTPEQNLIVRMQQVSGDRKRLRKVVDRDMARSSYTPESVSMFAGLAARCVCFESAGRPSMADCVKELQFIMYANMKI >ORUFI09G08790.1 pep chromosome:OR_W1943:9:10571714:10575208:1 gene:ORUFI09G08790 transcript:ORUFI09G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSTAAGGRSSTPPPPMYTDELALEGKKPVKNPFVPIGALVTAGVLTAGLISFRYGNSKLGQKLMRARVVAQGATVALMIGSAYYYGDQIKLFKKGSSP >ORUFI09G08800.1 pep chromosome:OR_W1943:9:10574952:10582564:-1 gene:ORUFI09G08800 transcript:ORUFI09G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPAERRLTVADLLRIRRPSTGAASLVSSPSTSTAPPPRKKPRLPAAAPTPTPRSTAPFAPIPHRVLLAGVLLLPASGSPVACRSHCLSLSDSPPPASSASVCCYLLDFDPDAVDREIHVLAWNYLPSLHHGGAGVLEVVRWGLAEEGTPAPGSGFLKTIPLDCVDAEPDSGTHGHVFGVVRSVSVVFSVPRAGQKSNAGGGDNSVGFIAEMMCCACRRCRVLPPESDQDHKFELEKFVYFVDSASRWRPVLARMVGRPVSVSGLKKRLVSIDRKGSYTMLVSTRKTMLRWCPSYPAVLKLDGSPGDCGGVYTGVVTGIYMQRMLIELDKIVWLLIDDQHLAPSHSLRVGAVISVKNGRAICLKLAWTRTLLLGTCIKTSITINSFSLVDSKSYIKAEDKGLLGKFVDSFELPARFWMLILIPCFKQKFTKLFSEKEILGSKNHDFFMKFSNHNCGSPRAELNLETFKLVIPFANFICKCESLWILTMLKIWNGTEEMDKNQGAHQYLCDGISYPGTAKKLISSSDLSSVLVGRIKRSSVSGTLQLVDATGCIDVVIPDLPPNVCMDSIYEINDYKVVLEGPMAYLDPYDVTDPLSCKAICEHLSFRKRLNHLKIYVIINWSELNRIGPSSIPLQINACAKMFHLLKLTHIFPANKTFQHQNLSGPSLYAEAVILPYDLKFTELDECSEHAESFRISCIPSLGNSKVYTAKPCNILCTLSFGTTNLCGSLVSIYSCGSVSTIVNDTVCGERDHTFRILLEFKDGRFKYQSLRIGGYYLLECSTESMNYSMKGCGCLQISKVSLGYQSRFWSLAITFNGTINIKQTIGDQSIGVSSVKMDEPFSRKAVNNEIKLVHTWNDFHQYCDFHLKFHCDEKMDEYNSFCDVFNELCSYSNEVLSISSFIKTRVPKMPSGSSNLQRDKLVQGDLISLQGKVENIHPYGCKKEKFMVGNEKSSICIHVTDDNHRVRLFGYLSKYGYPVGLGPGASATFHRVLLTHKHELFVTPLTYIEVSCISLADLNEECVVTPPISDCFKDGSLGRVSSCLLFLSQKHLAENRAIQFQCRVVTIHVLVLDGLQPSKSRCETINVKVRLAGFIVDDGSSLCCCWADDARAELLLGLQEVAVMNSSVTSRFSKDGVNIQQTVGSFLESLLKKHKSIIARNCGIPPDISCRDLELSSVLNKVLSCSEEKLLKSIILNACWKGTLNVIASALNANTLNGFNLELPNLHPVRNMPNYWVNQAFHIDPLEEARKMFDKLEST >ORUFI09G08810.1 pep chromosome:OR_W1943:9:10592695:10595001:1 gene:ORUFI09G08810 transcript:ORUFI09G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGEHGARGGGGGDDARAPHRRRRPDERLLPGLPFGVRGPGPVHVRGPPAPPRGRPPQPPRGGQSGQGVRHGDRGVGRRRRRRVAVRVGVGRLRLGHRVAGEALAGAADRRRPRRLLRRPRPVLPPRPARAGGEARRRRPLPRHRRLPRQRRRPLRWEEFCVTVVFFSSELRSPSYAV >ORUFI09G08820.1 pep chromosome:OR_W1943:9:10595075:10597780:1 gene:ORUFI09G08820 transcript:ORUFI09G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGESQRACEEHEAVVRELACRHELTTLLRALRGQGQGQVEATTTFILGEPLLIAIRPVFLVNGDGGDAARALAWLDAKPARSVVYICFGSLTRFPHEQVAELGMGLADSGVNFVWVVGDKNASASLLPG >ORUFI09G08830.1 pep chromosome:OR_W1943:9:10609416:10611890:1 gene:ORUFI09G08830 transcript:ORUFI09G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKVVVFAGRVLAIVALLLACCCMAAAAQGGEGARVRESLIGFLTELAGGDKERARGIGWDASVEPCDGNRTVWPGVGCNGAPAGDGRITAIVLERKGLDGTINAASLCAAAPALRVLSLEGNELRGDLPAAISGCARLTHIYVGDNRLSGSLPPSLAELASLHVLNVSRNSFSGEIPAELSKLGLVRFCGNDNRFNGAIPEFELSRFEHFSVANNNLTGPIPDDAGDFGRDSFSGNSDGLCGRPDFPPCPPPPSSGENDGKRRRRARTIVMCLGYVLLGAGVAAFVLYMMCSKRRRRPSGVGGKTAATTETSSSVTPGKSAYSLPMSEERMNATAAAAAAVARATPASLVVLQRSGTAASTVMTLNTAAAAAAEAARKLRFEDLLRSPAELLGRGRFGSAYKVVVPGGAALAVKRVKDAAGAEEEEEFRRRMERVGKARHPAVLPPLAFYCAMQEKLVVYEFLGHGSLAKLLHGSIESSQVALDWPARLHIASKVADGMAFMHGALRGGDGDGDGANANLSFSSSYEEDEAGGAIAHGNLKASNILFTATMEPCISEYGVTAPPPPSSAPAAALRADVRAYGVLLLELLTGKATAADGAELARWVTAVIREEWTAEVFDRAMLSSAGAGGDTVASEQRMVRLLQVAMRCIDDASSPSPPPTMREVAGMVNAIREEDDMSLSSEA >ORUFI09G08840.1 pep chromosome:OR_W1943:9:10621565:10622719:1 gene:ORUFI09G08840 transcript:ORUFI09G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFLLGGREIVRTGDDGPLDDGPAVAPAAGSSAPATSAAAARVTPAVLFITVVLAVVLLASGLLHVLRRLFLKSHRANASAEAVERQLQQLFSLHEDGAGGAGPGLDQAAIDALPAFTYAELLAGAAAPNGGGGNGKRQFDCAVCLCEFDGGDRLRLLPLCGHAFHAACIDTWLRSSSTCPLCRAVLSARALAAVAAAAADTPAAAQHRQPDVEDQKLDHHHPPPPSDEPATSFVLSVRLGRFKNTQRSDGDADASGGGSRCIDARRCYSMGSYQYVLADDNLLISVHWRPGDGISAATAAAAAGANVATARTGIKQGGGGGGGKKVFGRGDSFSMSKIWQWRGGDRRLPVLHSDASPPADDGLPWATAAAASTRTRQESDT >ORUFI09G08850.1 pep chromosome:OR_W1943:9:10629584:10635105:-1 gene:ORUFI09G08850 transcript:ORUFI09G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYTNLLDMSGEDVFDFQQPFRSLPRFVTSPSITSNPDWDTSNADDSVGPASCCVRKIIVSNFLPLNCTKDEATGQWSFSMDDNQLLVQLKDGFPMESEVVYVGSLNAEVDPGLNYESKRGHIGIEYFGRTVSLKILAVGVHVGRLESVLRLPATISKVQEIEQRYKGKMVMLGVDDMDIFKGISLKLLGLEFLLERTPKLRGKVVLVQIINPARSTGKDVEEAINEAVSVAERINIKYGSAEYKPVILIDYPIPSYEKIAYYAASDCCIVNAVRDGMNLIPYEYTVCRQGNEEIDKLRGVDKSSHHTSTLIVSEFVGCSPSLSGAFRVNPWSIEDVADALYKAMDLTQSERKLRHDKHYRYVKTHDVAYWARSFSQDLDRACKDHYSRRCWTTGFGLNFRVIALSPGFRRLSLEHFASSYKKTNRRLIFMDYDGTLVPQSSVNKVPSAEVISILTSLCNDPKNCVFIVSGRDRTTLSEWFASCDKLGIAAEHGYFIRWNKEGEWETSSSAQDCEWKNIAEPIMEVYKETTDGSAIETKESGLVWHYQDADHDFGSCQAKELVSHLERVLANEPVVVKRGHQIVEVKPQGVSKGIAVDTVIRTVINNENAPDFLMCIGNDRSDEDMFESINEAVSRSVFPTAPDVFACSVGQKASKAKYYVDGCSEVIRLLKGVTAITPRREVISQSQVTFRDILEVVS >ORUFI09G08850.2 pep chromosome:OR_W1943:9:10629584:10635105:-1 gene:ORUFI09G08850 transcript:ORUFI09G08850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYTNLLDMSGEDVFDFQQPFRSLPRFVTSPSITSNPDWDTSNADDSVGPASCCVRKIIVSNFLPLNCTKDEATGQWSFSMDDNQLLVQLKDGFPMESEVVYVGSLNAEVDPGEQDQLSQKLFREYKCIPTFLPADLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRSLFQAYVRANKIFGDKVMEAINSDDDCVWVHDYHLMLLPTFLRKKLHRIKIGFFLHSPFPSSEIYRTLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLNYESKRGHIGIEYFGRTVSLKILAVGVHVGRLESVLRLPATISKVQEIEQRYKGKMVMLGVDDMDIFKGISLKLLGLEFLLERTPKLRGKVVLVQIINPARSTGKDVEEAINEAVSVAERINIKYGSAEYKPVILIDYPIPSYEKIAYYAASDCCIVNAVRDGMNLIPYEYTVCRQGNEEIDKLRGVDKSSHHTSTLIVSEFVGCSPSLSGAFRVNPWSIEDVADALYKAMDLTQSERKLRHDKHYRYVKTHDVAYWARSFSQDLDRACKDHYSRRCWTTGFGLNFRVIALSPGFRRLSLEHFASSYKKTNRRLIFMDYDGTLVPQSSVNKVPSAEVISILTSLCNDPKNCVFIVSGRDRTTLSEWFASCDKLGIAAEHGYFIRWNKEGEWETSSSAQDCEWKNIAEPIMEVYKETTDGSAIETKESGLVWHYQDADHDFGSCQAKELVSHLERVLANEPVVVKRGHQIVEVKPQGVSKGIAVDTVIRTVINNENAPDFLMCIGNDRSDEDMFESINEAVSRSVFPTAPDVFACSVGQKASKAKYYVDGCSEVIRLLKGVTAITPRREVISQSQVTFRDILEVVS >ORUFI09G08860.1 pep chromosome:OR_W1943:9:10648057:10652306:-1 gene:ORUFI09G08860 transcript:ORUFI09G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDGGIQEEPAPPPPPPPPPPPPPPLRRLLTATRSGGSRWVDGSEVGSSESAPWSLDGDRSLRLSVDSAASAGGASGGGGGGGPLSRASSGAFRRRFGKQPRRVDSLDVEAMSVRGAHGHSSKEISMLSTVAMAFQTLGVVYGDMGTSPLYVFSDVFSKVPIKSEVEILGALSLVMYTIALIPFAKYVFIVLKANDNGEGGTFALYSLICRYAKVSLLPNQQRVDEDISSFRLKLPTPELERALSVKESLEKNPVFKNILLFLVLMGTSMVIGDGILTPSMSVMSAVSGLQGRVPGFGTDAVVIVSILFLVLLFSVQRFGTGKVGFMFAPILALWFINLGTIGIYNLAKYDISVVRAFNPVYIYLFFQTNGIKAWSALGGCVLCITGAEAMFADLGHFSVKSIQVAFTAVVFPCLLIAYMGQAAYLMKYPFAVERIFYDSVPEILFWPVFVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKIIHTSKKVMGQIYIPVMNWFLMVMCIIIVATFRSTNDIANAYGIAEVGVMMVSTALVTLVMLLIWQTNLFLVMCFPVIFGSVEFVYLTAVLSKIQEGGWLPLAFSSLFLCIMYTWNYGSVLKYQSEMRGKISLDFILDLGSTLGTVRVPGIGLVYNELVQGIPSIFGHLLVTLPAMHSTIVFVCIKYVPVPYVPFEERFLFRRIGQKDYHMFRCVARYGYKDVRKEEHGFFEQLLVETLEKFLRKESQEMALEASAMAVERDDVSVVSDIPSSPVEAGDLHVPLLSDQRLGDGTQTFITEGNTPVLPTSSISEEDPSLEYELESLREAIASGFTYLLAHGDVRARKESFFTKKFIINYFYAFLRRNCRAGTATLKVPHSNIMRVGMTYMV >ORUFI09G08870.1 pep chromosome:OR_W1943:9:10653374:10653691:1 gene:ORUFI09G08870 transcript:ORUFI09G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRTPPSSWATDEVPASMYASFLIRYYVADITHMPFCLPAVPLEAGLSYLKPMHWIAIATFGSNDKPLDWMLRVESSSIAGVEDACVSPKDYLQSIDRLAPLH >ORUFI09G08880.1 pep chromosome:OR_W1943:9:10654088:10655352:1 gene:ORUFI09G08880 transcript:ORUFI09G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGIRDGHHFLSTSSTRTPPSSWATDEVPASMYALFLILYYVANIAHMPFCLPVVPLEAGLSYLEPMHWIAIATFGSKDKPLDLKLRVESSSIAGVEDACVPPEDYLQSINRPAPLH >ORUFI09G08890.1 pep chromosome:OR_W1943:9:10656550:10656915:1 gene:ORUFI09G08890 transcript:ORUFI09G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLFVAQARWPPLPIDELYKDYSSSWATDEIPVSMYASFLIRYSVTNIAHMPFCLPAVPLEAGLSYLEPMHWIAIATFGSNDKPLDLKLQLESSSIAGVEDACVPPEDYLRSIDRPAPLH >ORUFI09G08900.1 pep chromosome:OR_W1943:9:10667880:10668269:-1 gene:ORUFI09G08900 transcript:ORUFI09G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPRQRQGPHSEDGSRSSGRRIAKSSEDGDGAEKGGELGGKAAVVAGGGKAVAALADGFRGPTFSFPLRNLHELASINPKVSTTGTASSLRPPPLARLDKLWGKPDVFRYRRLLRPTVTTAPLLGVCR >ORUFI09G08910.1 pep chromosome:OR_W1943:9:10668947:10670317:-1 gene:ORUFI09G08910 transcript:ORUFI09G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSTWDPRYGDASARAPTAACAAGVGGGVSSSGERVVIVVVVVEEAEEVVGRGDEEGAGAGAGAAAAGAGAAAVVRGGGGRDGAGDPSFVLDTSTAPDNPSSQIAYGLTVRNTMAKSEKAMGSPAVLEPVKTPLPPATDGPISDLMQRQYKEDADATHGTLVGDDADEARRLFLADVVERLDAATSIASNQPWAAQFIGTMGELACGIGTIKVESVWHRVPTNMASSRIPPSPLVLADGGAAAPMLHTVCLQVKRLEARIHEVCAAAAVAAPPFSPAHCLAVYSLPVGPANDLG >ORUFI09G08920.1 pep chromosome:OR_W1943:9:10672258:10672470:-1 gene:ORUFI09G08920 transcript:ORUFI09G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSATATRKMAVVICMLALILGHQQLMAVDASPAPEQHGLRLLGDCAPNLMPGPAALLRVADGRAMVA >ORUFI09G08930.1 pep chromosome:OR_W1943:9:10676751:10677173:1 gene:ORUFI09G08930 transcript:ORUFI09G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPPSPVVKPDIDVVIVDGTSAQSVGCQETCRALCLPPLCPLSPYPARLPIDDQNTYEFCSVFLVHTAMRKC >ORUFI09G08940.1 pep chromosome:OR_W1943:9:10679964:10680185:-1 gene:ORUFI09G08940 transcript:ORUFI09G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSATAARKMAVVICTLALILAQQQLMAVDASPAEQHGRRLLGDCWVWDCPSAEADGCCTMSGCTSTCVCG >ORUFI09G08950.1 pep chromosome:OR_W1943:9:10685275:10685664:1 gene:ORUFI09G08950 transcript:ORUFI09G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRLDHEESETEDERQLEALAAVGAERKRVGRHPRLTTPYIGAIYGIERQQREAAWWSIMEAVPERRFKKLKRKALTTEVKNRGNTWTDARH >ORUFI09G08960.1 pep chromosome:OR_W1943:9:10687156:10692498:-1 gene:ORUFI09G08960 transcript:ORUFI09G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSATAARKMAVVICMLALIMGQQLTTTAAAGQRGRRLLVAEANNEKLPVGTTAAGAGGGRGNHYHVQCQMAAVICMLALIMMGQQLMTTADAASPAEQHGRRLLMERELAEVTKKLAVSPAVGDAAVDNSYHPMDCSPGCFIDPLIGVCFCTR >ORUFI09G08970.1 pep chromosome:OR_W1943:9:10700439:10705653:1 gene:ORUFI09G08970 transcript:ORUFI09G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPGHGRGTLRGGGGVRPTSTSTKLFGVVLSSGGPPATGAPPRRRRWPAPKRSIHNDDVQAYGSALPPPAVKPDIVDGTSTSARPVDGDDVIEAPAPRPVAGVKKHIGLHVYYRFACSHLTQARVYREKENHVNLYAVLLRFLRLLLHTILKHPDYRTDNSSVKFFIEKTLLEVIGELEYLKPIVQQKRQQQQRNQQEKTTEDNIGTIGCKWYYVVTAKVPAKLNQDDLDGHSSTSQYSPTNSHDSRCSVSVEENEFSSSSEEEFPRVESIPKQISSMSFHSTQGHGISDCSPYRMVYVPEELISRFLNEAVENTTKSLETCGIIAGTLRVDMDVKYFIATDLIIPKQESTSYSREATNEEEILDIFEQLGSPSHLGWIHTHPTQECFMSSVDLHNHYSNQKDLREAFAIVVAPSKREQNIFHLTVPDGMDEIGDCDDRGFHPHDRTTYEECSHVKWDSTISLHNVVDLREC >ORUFI09G08980.1 pep chromosome:OR_W1943:9:10710257:10713541:-1 gene:ORUFI09G08980 transcript:ORUFI09G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPDGGRSFARRDQLLNIQSDVHKLWEEGKVFEAEPGSKPPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYHRLRGSNVLLPFAFHCTGMPIKASADKLSREAQQYGYPPVFPELDSSIEVADDSQPDQGVSVAPDKFKSKKSKAASKVGLQKFQWEIMRGFGLSDEEIAKFRDPYHWLSYFPPLAKEDLKAFGLGCDWRRSFITTDMNPFYDAFVRWQMRKLKKMGKVVKDMRYTIYSPLDGQPCADHDRASGEGVQPQEYVLIKMEVVPPFPPNLKTLEGRKVYLAAATLRPETMYGQTNCWVLPDGKYGAFEINDTDVFVLTSRAALNLAYQGLSKVPEKPTCLAELSGNDLIGLPLKSPLSFHDVIYALPMLTILTDKGTGIVTSVPSDSPDDFMALQDLVSKPALRQKFGVKDEWVLPFKIIPIINIPEFGDKSAEKVCLDLKIKSQNDKEKLAEAKRMTYLKGFTDGTMIVGEFNGRKVQEAKPLIKKQLLEEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGGTEWKQKAVKCLEKMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHLLQNGNMYGKEIFSIRPEQMTDEVWDYVFCDGLAPTTDIPPALLSKMKQEFEYWYPFDIRVSGKDLIQNHLTFSIYNHTALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFRTLRQAIEEFSSDATRFALADAGDGMDDANFVFETANAAILRLTKEIAWMEEVIAAESSLRTGPPSTYADHVFANEINIAVIETEKSYNVFMFRDALKLGFYDLQLARDEYRLSCGAAGMNRDLLWRFMEVQTRLITPICPHYAEHVWQKILRKEGFAIKAGWPVAGSPDPTLRIANKYLQDSIVKFRKLLQKQESGSKKPKKGAAAPPPSEENKLTVGLIYVNEHYYGWKEQCLRVLQSKFDSQARSFAPDEEITEALKNCSIGQETNFKQVQKLCMPFIKSKKDEAKSVGPHALNLKLPFGEMNVLEENLELIKRQVGLDHVEVLSASDEATRAKAGAHVSMLDKTPPSPGEPVAIFISKQEFEAQH >ORUFI09G08990.1 pep chromosome:OR_W1943:9:10725271:10727409:1 gene:ORUFI09G08990 transcript:ORUFI09G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPPPSKPKRRRLLSLPAVYPCEDIAPAPLLASLLSLAADVASRRAADVDAFPVLRCGVRKAVRLAGILLAFLEEVQDAAAAAALPSSAVLGLTELHVAMQKLRFLLADCARRGARLWVLVNAGMVASELRLVLGSVAAAMDALPRSVAEASVEAGELARVVSEQAWRAAVRPDGADERAARSVRSILDQFKDGVAPDADDVRRVLRRVRVGSWSDCSEEIAFLESEICARLDAGDENSNDVLVMNSLMTFLVYCRVVLFDHIDASKSQPAAAAAPAPARCPEWIRPEALQCPITLDLMTDPVTVSTGQTYDRASITRWMKAGCRTCPVTGERLSTADLVPNTVLRGIIERMLLINGVTLPELSAAGGGGHRHGAVANTAVPFGPAAAGAARLAVAHIVAQLSRGSTEERRKATSEARKLSKHSVFYRACLVDANAVPWLLCLLSSTDAAVQDNAVASLLNLSKHPAGRTAIVEVGGVGLVVDVINVGAKAEAQHNAAAVLFYLSSNSPDSAEEIGRIPEAIPTLVQLIRDGAYRGRKNAMVSLYGLLQSAANHGRAIAAGAVSALAALLLSADRDDLAGDSVALLARIAEQPSGAAAVLSQPGLVARLAEALAASSASSSRSARDHSVSLLASLCRHGGAKVVAVLGRMPGLMASLYSLVADGGSPQTSKKARALLNEIHRHYEVAPPPPASSASSDAGGDRVVRVL >ORUFI09G09000.1 pep chromosome:OR_W1943:9:10733033:10733320:1 gene:ORUFI09G09000 transcript:ORUFI09G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLVATTTAEKVQPRLQLARSPCPGGDAGGEQAAPSPPFSSPPQPRRRRQSRAAARTAAAGLSLPRSRPRRSGRRHGTSSGHGVGADRIRCRGV >ORUFI09G09010.1 pep chromosome:OR_W1943:9:10733552:10734478:1 gene:ORUFI09G09010 transcript:ORUFI09G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEATTPLVRSGWRWLRLPTVAVTAAAAVAVSTAAAGWRDSGRGGRGVVKAVARPRGWRGLPCRRRGGQRRVAVVEASVVRQREGVMETGGGVESRPATWSSRAARAGAGGCRLRQRMACGDGRGDRGRGCGGGGCRVKAQSWFLEPQRTAATRLSVTLSGGRFGASLLLDCVLALSMCGWWYIFFLFPGYDPPEL >ORUFI09G09020.1 pep chromosome:OR_W1943:9:10735497:10735880:-1 gene:ORUFI09G09020 transcript:ORUFI09G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERGLAGNGSELVRGWRRRIWRQEGYGGRRPAKELRRRQRSEPGGSRSGDPEPPGDWIWRPPPRGDHRRPPPILRDEEDPPEISRIRQGWEGGAVARGWPTTGGEASVALVAGRRGEAPAEVEV >ORUFI09G09030.1 pep chromosome:OR_W1943:9:10736458:10736838:1 gene:ORUFI09G09030 transcript:ORUFI09G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREADEPALLLLSSSCCTPRLESAGMDDAETMTRRGRKRTLDEVVDGDGGFGGGAVKPSSSRRGPAAASSSSSETETRALIQSCRLLHVRVRAMLAWGARRREKVQLECDNQLVADRAARGRQLR >ORUFI09G09040.1 pep chromosome:OR_W1943:9:10741243:10742361:-1 gene:ORUFI09G09040 transcript:ORUFI09G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYTATGICTRCMEEHEKVPKSLKERDRSNRGEAARNATALAAKAREAVAEGGSSWKTLEEMLATVCLRSEPSE >ORUFI09G09050.1 pep chromosome:OR_W1943:9:10750600:10756172:-1 gene:ORUFI09G09050 transcript:ORUFI09G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPTSPSSRSWPGGTPSRSSTSLTSSAAAASPPSPSSRTTISPAFVEAMSALRPRLEASLAAARPRVGLLVADALLYWAHDAAAGLGVPTVAFYATSMFAHVIRDVILRDNPAAALVAGGAGATFAVPEFPHVRLTLTDIPVPFNDPSPAGPLIEMDAKMANAIADVNTFHAMEAHYIEHWDCHHVGHRAWPVGPLCLARQPCRAAGDSAAAIKPSWMRWLDEMAAAGRAVLYVALGTLNAEPHAQLRELAGGLEASGVDFLCRAVRHDAQLPHVAIFPFMARGHTVPPIHLAHLLRHRGLAAVTLFTTPANAPFVRRVLDDDAVAVAELPFPDHLPGVPPGVECLDGLSSFPAFVEAVSALRPRLEACLAAARPRVGLLVADALLYWAHDAAAALGVPTVAFYATSMFAHVIRDVILRDNPAAALVAGGAGSTFAVPEFPHVRLTLADIPMDAKMANAIAGSHGLIVNTFDAMEGHYIEHWDRHHVGHRAWPVGPLCLARQPCHVAGDGAGAVKPSWLQWLDEKAAAGRAVLYVALGTLIAVQEAQLRELAGGLEASGVDFLWVVRPSDADVGAGFEERVEGRGLVVREWVDQWRILRHGCVKGFLSHCGWNAVVEGVAAGVPLATWPMGVEQPLHATLAVDELRIGVRVPAAATTGHGVVSGEEIARVARELMGMDGEGENGAGGEAARNAAALAAKAREAVAEGGSSWKTLEEMLAVVCLPTDPSE >ORUFI09G09060.1 pep chromosome:OR_W1943:9:10763275:10763629:-1 gene:ORUFI09G09060 transcript:ORUFI09G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLYRYCWVPSSSLLVGLPAADWFLRLHGYVTSSPLIGNDKLYAQGLINSSARIISSIWTCSGYI >ORUFI09G09070.1 pep chromosome:OR_W1943:9:10770525:10770926:-1 gene:ORUFI09G09070 transcript:ORUFI09G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIVKAALEVIDFVTHAGTHPLVSVVNHIYFHPLAQASVHHLIGLGPRRQGEEAPEGTTMRRTSRRRHPRQWWSCNAWRRLTLRLGAGDLIPSSSSSLRTRVHRRRPWLGRERRQGKGLKLTVLPPPTGPAV >ORUFI09G09080.1 pep chromosome:OR_W1943:9:10771740:10774047:-1 gene:ORUFI09G09080 transcript:ORUFI09G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYSGRLVFSSAGAAPPCSAAGAGGGQMLLFGGHGGFVGGSPVMEEAELRRRRRKRPFLTTTHDELELQMEDLVDELYGVDEQGSSSAAARKRRLTAEQVRALERSFEEEKRKLEPERKSELARRLGIAPRQVAVWFQNRRARWKTKQLELDFDRLRAAHDELLAGRTALAADNESLRSQVILLTEKLQANGKSPSPSPAPAEQTAVPAAPESAKSFQLEEGRRLYDAAGSTTTTNGGGGGVAMPAARVAAARAASNDSPESYFAGARSPPSSSEDDCGGAGSDDDYPSSSVLLPVDATLVGDAFEHAVAATVAADEEAPLNSWEWFWN >ORUFI09G09090.1 pep chromosome:OR_W1943:9:10786892:10789114:-1 gene:ORUFI09G09090 transcript:ORUFI09G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWKRKLGRTLTRFLSKPPFKPKPTNPSPPPPPPPPGIQPPPPALPGMPHGRPPPPFPGGRDAFPQAASTVVPDPARFFAPALLSSPLPTNSFFQNFVLKNGDQPEYIHPYSVRSAAAALTLCYPARNHSPSFVIQTFVEDLTVSAASDAAAAAAGQRHRVAAFDDLSVTLDVSPSLRAFLVRGCPFVTVATADAAGPVDISVASVHAFIEVASCDDALTKWRLRMNSGQTFLLYASAPIRLAQSSVTQLSAPGFSGVIRVAYLPDPSMEAVLDQYSRCFPTAGEASLNRPFCVEYTWRKQGWGDLLMLAHPLHLRLLSEDCCVRVLDDFRYRSIDGDMVGVVGDSWVLRTDPVSPTWHSMRGISDDGVGEVAAALRKDVDALASSSITTTSSYFYGKAIARAARFAVIAEEVGCPDVIPAVQRFLKATVTPWLDGSFQGNGFLYEPKWGGLVTLQGSKDTGADFGFGIYNDHHYHLGYFLYAIAVLAKIDPSWGRKYMPQAYSMVADFMTLSRKHGASYTRLRMFDLWKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALLGLSYGDTHLVSIGATLTALEMLAAQTWWHVREGDTIYEDDFTGNNRVVGVLWANKRDSGLWFAPPEWKECRLGIQLLPVLPISEALFPDISFVKELVDWTMPALSREGVGEGWKGFVYALEGIYNKESALQKTRALTGHDDGNSLTNLLWWLHSRGTADDGVVGFSRCCWYRQYCH >ORUFI09G09100.1 pep chromosome:OR_W1943:9:10800674:10805602:1 gene:ORUFI09G09100 transcript:ORUFI09G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAAAAAAAAHVPLGAITVDDLLAAGVAGGAAAELHEAVRRAVGARGGDGDAAAVWGELCRAALRPGVPFAVHRMLYYGCFAGFPSATPPAWTPDPEEAVLTNVGRVLEARGREFLGDKYKDPIASFTDFHKFSIENPEAYWKMVFEEMGITFSVEPSCILRENDAYPGGEWLPGAVLNAAANCLTAKPGRSSDDVAIVWRDEGKDSEPLNFVTLEELRKKVCLVANALDALNLAKGSAIAIDMPMNVNAVVIYLAIVLAGYVVVSIADSFAAPAISMRLKISEAKAIFTQDYILRDDKELPLYSRVVEAKAPMTIVIPVRGSTPIKGLRADDLSWEDFLAKVNHAKADNYTAVEQPAYAFTNILFSSGTTGEPKAIPWTHLTPLKSAADGWCHMDIRRGDVVAWPTNLGWMMGPWLVYASLLNGASMALYNGSLNSSGFAKFVQDAKVTMLGLVPSIARSWKSTDCTAGFDWSTIRCFSSSGEASSVDDYLWLMGRVCYKPVIEYCGGTEIGGGFVAGSLLQPQALSAFSTPAMGCNLFILDNNGNPLPQDSVGTGELALDPTFLGASTTLLNADHHEVYFSGMPEWNGKVLRRHGDEFERTPDGYYRAHGRADDTMNLGGIKVSSIEIERICNRVNDAILETAAIGVPPLGGGPEQLTIAVVFKDQSSQTEDLNQLKLAFNTALKKLNPLFKVSSVVVVPSLPRTASNKVMRRVLRKEFTQQPKHSKI >ORUFI09G09110.1 pep chromosome:OR_W1943:9:10807462:10807722:1 gene:ORUFI09G09110 transcript:ORUFI09G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLAKLVGGIKARLRRRKMLTAAAAESSSSSSCYDKMEKTNSMKVEITSRRAQKLIAKNLAIVDAMVAGSNSNNSSKAKKRAFFP >ORUFI09G09120.1 pep chromosome:OR_W1943:9:10809381:10812399:1 gene:ORUFI09G09120 transcript:ORUFI09G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATAALFLVRPLPRPHYRCLHGLRGGVSLAPPRRRLVARGPRCSMSLSIGGGAGAGAGGDRGFSYEHVPVFPRYRIRDPYKLLGVDRDAAEEEIRSARNFLIQQYAGHEPSEEAIEGAYEKIIMKSYQQRKKTKINLKTKLKKRVEESPSWVKALLGYFEVPQMDIISRRLFFFAFIAGWSIATSAENGPAFQLAISLFSCIYFLNDKMKNLMRASTTGFGVLVGGWIIGSLLVPLIPTFIIPPSWSLELLTSLVAYTEMCSKISPRGYMLAGTETNGHPAIVCDNCTCKKKSEISNKYEG >ORUFI09G09120.2 pep chromosome:OR_W1943:9:10809381:10812238:1 gene:ORUFI09G09120 transcript:ORUFI09G09120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQATAALFLVRPLPRPHYRCLHGLRGGVSLAPPRRRLVARGPRCSMSLSIGGGAGAGAGGDRGFSYEHVPVFPRYRIRDPYKLLGVDRDAAEEEIRSARNFLIQQYAGHEPSEEAIEGAYEKIIMKSYQQRKKTKINLKTKLKKRVEESPSWVKALLGYFEVPQMDIISRRLFFFAFIAGWSIATSAENGPAFQLAISLFSCIYFLNDKMKNLMRASTTGFGVLVGGWIIGSLLVPLIPTFIIPPSWSLELLTSLVAYVFLFLGCTFLK >ORUFI09G09130.1 pep chromosome:OR_W1943:9:10815281:10815643:1 gene:ORUFI09G09130 transcript:ORUFI09G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSISSVFRIPKLATPAEDIRPMRGDRPGDAATHWCHRRRGQRSGSAECMSRATVAKSTRHSSGDHPKQKVSIGVFLRPD >ORUFI09G09140.1 pep chromosome:OR_W1943:9:10819226:10832783:1 gene:ORUFI09G09140 transcript:ORUFI09G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALPGSVPVARRVCFKSCIRPSLMASFVGWMMIGDTVDLILLAPEMAPDLVHRLASGGFVHRLEEESVITAFTSSLFAYVGASSAPIRLRFTREDICNKKGTVTGVNCKWLAPQPGVDGFLVSGNGNAN >ORUFI09G09140.2 pep chromosome:OR_W1943:9:10819011:10832783:1 gene:ORUFI09G09140 transcript:ORUFI09G09140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALPGSVPVARRVCFKSCVIFFLPYYVPFGAAASTEMQIRPSLMASFVGWMMIGDTVDLILLAPEMAPDLVHRLASGGFVHRLEEESVITAFTSSLFAYVGASSAPIRLRFTREDICNKKGTVTGVNCKWLAPQPGVDGFLVSGNGNAN >ORUFI09G09140.3 pep chromosome:OR_W1943:9:10819011:10832783:1 gene:ORUFI09G09140 transcript:ORUFI09G09140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVALPGSVPVARRVCFKSCVIFFLPYYVPFGAAASTEMQIRPSLMASFVGWMMIGDTVDLILLAPEMAPDLVHRLASGGFVHRLEEESVITAFTSSLFAYVGASSAPIRLRFTREDICNKKGTVTGVNCKWLAPQPGVDGNGNAN >ORUFI09G09140.4 pep chromosome:OR_W1943:9:10819011:10832783:1 gene:ORUFI09G09140 transcript:ORUFI09G09140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRPSLMASFVGWMMIGDTVDLILLAPEMAPDLVHRLASGGFVHRLEEESVITAFTSSLFAYVGASSAPIRLRFTREDICNKKGTVTGVNCKWLAPQPGVDGNGNAN >ORUFI09G09150.1 pep chromosome:OR_W1943:9:10822015:10823667:-1 gene:ORUFI09G09150 transcript:ORUFI09G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVGRVRVPQPCSPNAAGRHRCDTFDRFTYEDAVSHPAWCEGAKAINIPGEAGPGPQQEPGGAQGPGQKEHLDVHGGVIFSYIEMSSLVNLSRIGADDAPTYANSDEVNAVITLNFKQTNK >ORUFI09G09160.1 pep chromosome:OR_W1943:9:10832896:10833924:-1 gene:ORUFI09G09160 transcript:ORUFI09G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSSPSAAAGYPLLVQRSNAGSPAMAFSLSDGKTHDDVSLPEMHSSTYLQTPQGWVLVLSSSSAPEMSTFLLDPRDGRKVGLPPLDESELPTARKCVLSDNAPDAGAGVVVLSLQGPAVWFCRVGGERWSTHTYDMGYFSLPVEYRAPKKRHLFDVAGVGGRFYFCEDKDFSLGTLDFTGDGEVALVAVSVPGIDDIFPSPDSSGIAATYLVESRGDLYLAAVVFLGFRAEGPPHKFSVYRMDFSAAGPAWRRTADIGGDRAFVLGGGGGGNFGASCSASGCGVRANCLYWFNSFSPDDYNLHVLSVGDGGVETVAPPPFEHASCVHKPFWLVPTTNNTA >ORUFI09G09170.1 pep chromosome:OR_W1943:9:10837098:10837509:-1 gene:ORUFI09G09170 transcript:ORUFI09G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGMRRMAPVTRQGDDDDERREVEDGITIYSFTHNSCIPCSMLNAERTVYGINQEYVLIKNRLVRSYFIILFFYFIIFLRILL >ORUFI09G09180.1 pep chromosome:OR_W1943:9:10848793:10848987:1 gene:ORUFI09G09180 transcript:ORUFI09G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGRLLGAHIVVILVIVAWVSFTMALLFLVLNKLGLLRISAEAQDGWHGPGAPRRVTMTTT >ORUFI09G09190.1 pep chromosome:OR_W1943:9:10852954:10853988:-1 gene:ORUFI09G09190 transcript:ORUFI09G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSTAAAAAYPLLVQRYGVAGVTPSSSSSSMATRVFSLPAMKLLDVNLPVEMCGNTFLETPQGLVLVLMTTSSPPASAFLLDPRDGSRVAELPPLGEGELPAHRRCVLSGRPPEPGCGVLVFDLESPALLFCRVGGERWSRHGYDIGCYDLPEEYCPVPKRRQLFDVAGVGGRFYFAENKGELGTLDFTGGGGEAVVGAIAIPVLDYFPAGQVMSSSLTFLVESRGDLFLVAIAFEGYSIGGPYDVHVFRMDFSAAAPGPAWRRATDIGDRAFLVGGDNSSGASCSASGCGVKANRIYWINGFSEDEKRNLYVFSVEDGSVETFDTFESLPGAPRQTPFWLV >ORUFI09G09200.1 pep chromosome:OR_W1943:9:10868800:10873396:1 gene:ORUFI09G09200 transcript:ORUFI09G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPKADELQPHPPKEQLASVSFCITSPPPWPEAIILGFQHFIVMLGTTVIIPSALVPQMGGGNDEKARVIQTLLFVAGINTLFQTFFGSRLPVVMGGSYTFVAPTISIILAGRYNNEADPREKFLRTMRGTQGALIIASTIQMILGFSGLWRNVVRLLSPLSAVPLISLVGFGLYELGFPGVAKCVEIGLPELILLVAFSQYLPQVLHFGKPIFGRFGVLFTVSIVWLYAYILTISGAYKNAPPKTQVHCRVDRSGLISGAPWIRVPYPFQWGAPTFDAGEAFAMMMTSFIALVETTGAFIAASRYASATMIPPSIISRGIGWQGISILIDSFFGTANGTSVSVENVGLLALTHVGSRRVVQISAGFMIFFAILGKFGALFASIPLPIFAGMYCIFFAYVGACGLSFLQFCNLNSFRTKFILGFAFFMGISVPQYFNEYTAVAGYGPVHTGARWFNDMINVPFSSKPFVAGLVAYFLDNTIETHNNTVRKDRGYHWWDKFRSFKKDARSEEFYSLPFNLNKFFPAV >ORUFI09G09210.1 pep chromosome:OR_W1943:9:10877432:10881952:1 gene:ORUFI09G09210 transcript:ORUFI09G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCIVSLGQSEVIEIKLCLLRILHLGQLEIIVMLRETICVHPLDFMIWPQVKGKCTNLATKATPTRIAIDGDRCSIDPSSPVCYSHFTATIMSSAVFASMRLNATNQSYLPVPITLATAYKMQHGDNLKLKTSHGLKIKIKIKEVASTLMPSRSKACVMILNRQGLIRCPVKTPSNSSSANKRSPDPSGQLTRASTFDHASSSKSVPFLRNGTVKRTCASHLSIDLSTGGAPLARPSL >ORUFI09G09220.1 pep chromosome:OR_W1943:9:10882123:10883309:-1 gene:ORUFI09G09220 transcript:ORUFI09G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFMACASPPVLTLALLASCGALLATSMLPARATAGSCLDVGDMVMMDRFRAWQGAHNRSYPSAEEALQRFDVYRRNAEFIDAVNLRGDLTYQLAENEFADLTEEEFLATYTGYYAGDGPVDDSVITTGAGDVDASFSYRVDVPASVDWRAQGAVVPPKSQTSTCSSCWAFVTAATIESLNMIKTGKLVSLSEQQLVDCDSYDGGCNLGSYGRAYKWVVENGGLTTEADYPYTARRGPCNRAKSAHHAAKITGFGKVPPRNEAALQAAVARQPVAVAIEVGSGMQFYKGGVYTGPCGTRLAHAVTVVGYGTDASSGAKYWTIKNSWGQSWGERGYIRILRDVGGPGLCGVTLDIAYPTLTL >ORUFI09G09230.1 pep chromosome:OR_W1943:9:10897263:10898708:1 gene:ORUFI09G09230 transcript:ORUFI09G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVVIQHPGGKVERLYWPTTAADVMRANPGHYVALVILRISADKAASAAAAGDNKTNAGGATGGGGGGAKITRVKLLKPKDTLLLGQVYRLITSQEVTKALRARKNEKMRRCEAIRQQHEQLRRGDPLAGVAEEEEEEESASDDQDGKRDRHRSSGAGAPPAAGGRGGIGGRRCRASPRPRARAAAAAAAAASLNPPQDDSPYKVLGSWNYLQKKAEKIVNQSNQSRINRKESLFHLSCNLHGEKLKLQCAIGVQ >ORUFI09G09250.1 pep chromosome:OR_W1943:9:10917100:10922103:-1 gene:ORUFI09G09250 transcript:ORUFI09G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKKDPALPNSMNDTNWKSQEVGKSGSPEELATPEQKNLALPDVPTNNNRKDQPHDENLVPKQKCNPTVVNLKNPEVDQSGSNGLIQTMAMCGYLPMMMYNNRFRKCVPCLNSMLPMPSNDSMFGDFMQLFHNEKAKLKEKFSTLSSRVCLSAHVWHHDPLSAYLCLSVHYIDNEWERQQKIIRFRQVDPSCNARELSDVILRAIEDWGLRDKVFSITLDDEFADDSVASNVKDHLQKWNSHYSNQSLFVVRYGTYLLDQVIQVGLDELDKSMEKSMKCSKFTEGFTSSAVRKANHNYAESAKDWSNARPICDTLESFHQYMDTMHDFPRPRHLFDKVEDTNSTSETRNEVGVNRDDDRLKYYHQHQSEISICERPMTELDQYLLEPRLSKGEPDVLRWWKGHNLTYPTVARMARDILAMPCRTNCNVAIRTAKFAKCESRSSHDIEELVCLQDWLTPDGFKYEKNAQTTTCSDNFGHYAKLIVESSAPPYLQRLNPWTATGAPGLAVHTGKLLEFKTAMPGTTRILDEECIIIGASDIAADFKLNSKICKLTRKQREVAGESGAKGFTIPSIFFSLSIVSSAASPLSPPHLARNPNAALLIRRTRSIRECDCPSGVGKQGDKELNGMKNEVDDANDKVEQEESSGSAPSPLFLGTRPKRLQSKVWDDFTPIFIDGKVARAECMHCHRVFNSGTSNLLKHQAKCSPQAQKRPMQQKLPISLSIEN >ORUFI09G09260.1 pep chromosome:OR_W1943:9:10922187:10938936:-1 gene:ORUFI09G09260 transcript:ORUFI09G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQECGGPSGGVGKQEDGEMNGTENEVDDADDMVEQEESSGSAPSPLLLGTRPKRLRSKVWDDFTPIFVDGKVARAECMHCHRVFNSGTSNLLKHQAKCSPRAQKRPMQQELPVSLSVENRSPKELDAVEQDIPTDKNTKNLEVEQAETNKLVRTLAMYGDIPLRVSNHGEFSRFVASLNPMVEIPPADNLYLYFTGLFEEEKAKLKKRLASLNSRVSLSVYVWHYDTLLPFLCLSVHYIDDQWQKDKKIIAFQAVDSSCHAKELSMVILTAIRDWGLFGKVFSIALDDAFIDDSVASDVKDILQKWNSLHADENLSGNQSLFVVRYATHLLDQIIQLFDKIWDVKKDLHREPVYYSDEESSYVREKMQRKFKEQWKFNCLHICMPMIMDPKYRLEIIKSRIMYNFNSDMEDYIEEVNDMLLRLFREYSGQTEDPNCTSSFITSGWNYLYKDDRLLDHYHYSEFPERKRPMTEFDQYLEDPCLSNDGTSVLKWWKEHSMIYPTIARIARDILAIPYRTDCKVATRTTRVAIAKSDGNHYVEERVCTQDWLRSGGLQDSTMRVLRFRNVEDNMLSSFGNYVQPNRSLTTQILVQHDAVRKEQRLNYSKSTQVQNWSSSASLLGRTKMVKWALGFQAWAPWKSGAQTKREEKSSLPKRVAAAAEVAARNPALRPGIGMEQDCDDAANQVGAGEERILNVVLLKTCVKHNFIGMGDNVDNANDMAEQEESSGSAPSPLFLGTRPKRLRSKAWDDFTPIYIDGKVAKAECMHCHQVFVSNSTSGTSSLLKHQSKCNPHAQKRAMQQKLPFLPSSQKNLTTLNSDPRQKKLLFLPISQKKCSDTADVMPHKKDPALPNSMNDTNRKSQEVDKSGSREELATPEQKNLTLRHVPTNNNDQSHDEHPVPEQKNNPTGTNMKNPETDQNGSNGLIQTMAMCGYLPLMMHNDRFRKCLPCFDSMVNMPANINIYLDFIQLFDKEKAKLKERFAALSSRVCLSAHVWHYVQQLAFLCLSVHYIDEEWERQQKIIRFCHVGPSCDAGELSSVILGAIEKWGLRDKLLQMSKPISRNGIFTVQNRASRNRIHTAKQSLFVIRYGTHLLDQVIQVGLDELDKIMEKSVMCSKFMEGLTSSAVKYSNNNYAASGKDWTCARRICDTLEDFHRCIDIMPNFPCPVDLFYMVWKVKRDLQREVDNNRDDSFSTVVKKMQEKFKNCWKLCCLHFYLAMVVDPSHRLEHIKFRVRLHTDTDYIHYMHDIFLNLFDEYSGKVEDTNCTSETRTEVGVDGGDDRLKYYRRYENPICERPMTELDQYLQEPRLSGGERDDWLTSDGFKYDKIRWTGYPMLAIVMVAVGLMFTASFCKEWEIMLIMPITWMNKRKSSGSAPSPLFLGTRPKRLRSKVWDDFKPIYIDGKVARAECMHCHQILISNSANGTSNLLKHQAKCSPIPRKGQCNRNFRFCYPARRV >ORUFI09G09270.1 pep chromosome:OR_W1943:9:10943965:10944394:-1 gene:ORUFI09G09270 transcript:ORUFI09G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGRMVRFLLLLLLLLDMVMGGSRGEEEGSWGHGGVVKSWHDRGGIFGEGRRSNNFLEVTIVFVYVAVTLEEIVLSPSP >ORUFI09G09280.1 pep chromosome:OR_W1943:9:10947501:10950233:-1 gene:ORUFI09G09280 transcript:ORUFI09G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADDDDASYLLCAEDAGAAVFDVAVDISTCTTEDDECCSVGGEELYSAASIAELIGGEAEYSPRSDYPDRLRSRSIDPAARAESVSWILKVQEYNGFLPLTAYLAVNYMDRFLSLRHLPEGQGWAMQLLAVACLSLAAKMEETLVECSRYVFEPRTICRMEFLILTALNWRLRSVTPFTFIDFFACKVDPKGKHTRYLIARATEMVLATIHDIQFLDHCPSSMAAAAVLCATGETPSLAFVNPELAVNWCIGLAEEGISSCYQLMQQLVIGNVQRSAAAAAAVNLFSDEGLSYDSSSPPPPKRRKRSPPGT >ORUFI09G09280.2 pep chromosome:OR_W1943:9:10947503:10950233:-1 gene:ORUFI09G09280 transcript:ORUFI09G09280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPADDDDASYLLCAEDAGAAVFDVAVDISTCTTEDDECCSVGGEELYSAASIAELIGGEAEYSPRSDYPDRLRSRSIDPAARAESVSWILKVQEYNGFLPLTAYLAVNYMDRFLSLRHLPEGQGWAMQLLAVACLSLAAKMEETLVECSRYVFEPRTICRMEFLILTALNWRLRSVTPFTFIDFFACKVDPKGKHTRYLIARATEMVLATIHDIQFLDHCPSSMAAAAVLCATGETPSLAFVNPELAVNWCIGLAEEGISSCYQLMQQLVIGNVQRSAAAAAAVNLFSDEGLSYDSSSPPPPKRRKRSPPGT >ORUFI09G09290.1 pep chromosome:OR_W1943:9:10953912:10955697:-1 gene:ORUFI09G09290 transcript:ORUFI09G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLWAAAVAPATLNPPLLTLSASSSPSSSRLRRSVLGRLRSRAPRPADFVCRRAKNAAYDDYKFPDPIPEFAAQETSKFKEHMMWRLEQKKDDYFGEHVEEIVDVCTEARTFLEHDYCGPGTLLVHPFLDMKGEIKERGLPGAPQAARAAIAWAEKNIDKDWKAWTGEY >ORUFI09G09300.1 pep chromosome:OR_W1943:9:10956515:10958846:-1 gene:ORUFI09G09300 transcript:ORUFI09G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAFLSALRSRLRSPQPQAPALPHLQPPRRGFHVELGAREKALLEEDTALKRFKSYKNSVKQVSKVGNILTGVVLFACAYEIVALANS >ORUFI09G09310.1 pep chromosome:OR_W1943:9:10959123:10960299:-1 gene:ORUFI09G09310 transcript:ORUFI09G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLHPDVISYHFCLHGVPLSHASVESNVDERCAVGAGPAPGRGGEGGGGRAWAGRIPGPLVPHDRDGERAGRDWAAGARCTPRRLGDRECGGEEARKVEEEAGGGEGRGGEERGAVGGGHRGEDLRRARHGFGELATAWIGEARRIGAVGDEEGETGSGGGGRWVLTAQGERGREGGCGRARAGGRRRCRAGGRWATTRDR >ORUFI09G09320.1 pep chromosome:OR_W1943:9:10961824:10962261:-1 gene:ORUFI09G09320 transcript:ORUFI09G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLTTVGGRFYSVDHLQKHFLVVALEFSPVDGAAPQFTAVATNDTEHTPAGHSRTVFRAVESVGELFLVAMYYVKPRDRVASKILVLKLDLLKRARVEVMSTLGERSFFLAASSKFGASVRARQVGLKENCIYYLKPDDKGLKD >ORUFI09G09330.1 pep chromosome:OR_W1943:9:10965090:10966447:1 gene:ORUFI09G09330 transcript:ORUFI09G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEAPCSCMDTREVLVVNPTGSNQVDPDYLESEKRLEKWFVQRLAETIGFITSTPENIPTTLKRYRSDFSAATTLKRYRSDFSAAIISKLVKAGKWRKLIRCEDLCKLESMVQKMKDAVANLRPTRTGIG >ORUFI09G09340.1 pep chromosome:OR_W1943:9:10968226:10969037:1 gene:ORUFI09G09340 transcript:ORUFI09G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPRNRHHPYPKALLRKKKAGNKEGEMEWNCKNCGRIKIKSVREGDVQSPSPGSQGAPAQRLDQGQVGEGGRHAQCRLHRQEEESRGGSSMKGGGRQGGSSRTRGGGFEEREAQGSQVRWSSR >ORUFI09G09350.1 pep chromosome:OR_W1943:9:10970706:10974164:-1 gene:ORUFI09G09350 transcript:ORUFI09G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLLPTLAPLSIQEITPHAHSSFSHAAFSPPFSPSSLYRASAASPPHLAAASPPHLAANAAAVDSAPDXHQIPATSPHPPQPAAADCQIPASPPHLAANAAAGDVAAAHPPQPATLPLPTHKFWILKLASGKVEYSSLVHTLLSTDVTGKDVGGRIAAKRDVALDLVGAAEP >ORUFI09G09350.2 pep chromosome:OR_W1943:9:10970995:10974164:-1 gene:ORUFI09G09350 transcript:ORUFI09G09350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLLPTLAPLSIQEITPHAHSSFSHAAFSPPFSPSSLYRASAASPPHLAAASPPHLAANAAAVDSAPDXHQIPATSPHPPQPAAADCQIPASPPHLAANAAAGDVAAAHPPQPATLPLPTHNFALPKENLRLAIKDPCFGEG >ORUFI09G09360.1 pep chromosome:OR_W1943:9:10985855:10986315:-1 gene:ORUFI09G09360 transcript:ORUFI09G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDVVTGPGATAAEVVNGTGARRRWRGGGHGRGPADRGRAQGLYVFATLDADAPALLFSINNVVLAAGTPYGGSMVAVMGRDDFVRLPVVSGTGRFRMARGYALVRTASEHGKNAVLEIDICLTSF >ORUFI09G09370.1 pep chromosome:OR_W1943:9:10988631:10993791:1 gene:ORUFI09G09370 transcript:ORUFI09G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDAGGGGFGDLFDSVRRSIAFRTSTAPETPGPLGGGGGIGVRISSCLRKSRGMGLLGLISKSPSPPRRLLPPAPEFSGGGGGGGRGGGGGEESPQIRWRKGELIGSGAFGQVYLGMNLDTGELLAVKQVLIGSNNATREKAQAHIRELEEEVKLLKNLSHPNIVKRYLGTVREEDTLNILLEFVPGGSIQSLLGKLGSFPEAVIRKYTKQILQGLEYLHNNAIIHRDIKGANILVDNKGCIKLADFGASKQVAKLATITAAKTMKGTPHWMAPEVIVGSGHNFSADIWSVGCTVIEMATGKPPWSQQYQEVALLFHVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPELRSTASDLLKHPFVTGESENLQPLNCAAQQETCVNELPAHDVSSGLGLNHSVNWPTISSNRSSKIKPLWEGSCDEDDMCEFADKDDCPAVGSSYNPMSEPFDNWESKFDASPEQTSHQSMEFGGLAKHAESSMTENDFTFPCEGSCEDDDVLTESKIKAFLDEKALDLKKLQTPLYEEFYNTVNAGNSQVADHTSNGIFSNSPKLPPRGKSPTSKMRGGAAAASTCDNSNNTRPESCSNQLSEDTVQSSRILREIASPQLDELGNKIHSDVQDSPSVSFAERQRKWKEELVQELERERGK >ORUFI09G09380.1 pep chromosome:OR_W1943:9:10997221:11001752:1 gene:ORUFI09G09380 transcript:ORUFI09G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHHLRHAPLALRLARLPRLAPSPPPPAARLLLLLAPSQHPAPPWRLLSRPRALATAAAEADDAGAGGNGDGDGFFSEESTSWESLGVSDRLASALHGAGLARPSLVQAACIPHVLTTNDVIVAAETGSGKTHGYLVPLIEKLCSKSISAEDGNSQDVTSGSPNIALVLCPNVMLCEQVVRMANSLVDESGEPLKSAAAVCGPKGWPTVRPDILVATPAALLNYLFDYDPEKRRRERFLRNVKFIVFDEADMLLCGSFENQVIRLIHMLRFDEKLLSRMEDSGKEISLGDTNEYREDSDSQSAELSADDEENEDGLVQHRPVNVENAHIGAHKKDWRRVRKVYRRSKQYVFVAATLPQSGKKTAGGVLKRMFPNAVWVSGAYLHRHNPRLERRWIEVTADTQVSALLDAVKYGLKNEVHDTKLGPNRTMVFTNTVDAANSVSDILQRVGVPCILYHRDSSLEERAKNLQSFRENGGVLVCTDAAARGLDVPNVSHVIQAEFAACAVDFLHRVGRTARAGQSGIVTSLYTEANRDLVRAVRQAEELAQPVEKAFSRKRSFRNKLKKQALHKSTALLS >ORUFI09G09380.2 pep chromosome:OR_W1943:9:10997221:11001752:1 gene:ORUFI09G09380 transcript:ORUFI09G09380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHHLRHAPLALRLARLPRLAPSPPPPAARLLLLLAPSQHPAPPWRLLSRPRALATAAAEADDAGAGGNGDGDGFFSEESTSWESLGVSDRLASALHGAGLARPSLVQAACIPHVLTTNDVIVAAETGSGKTHGYLVPLIEKLCSKSISAEDGNSQDVTSGSPNIALVLCPNVMLCEQVVRMANSLVDESGEPLKSAAAVCGPKGWPTVRPDILVATPAALLNYLFDYDPEKRRRERFLRNVKFIVFDEADMLLCGSFENQVIRLIHMLRFDEKLLSRMEDSGKEISLGDTNEYREDSDSQSAELSADDEENEDGLVQHRPVNVENAHIGAHKKDWRRVRKVYRRSKQYVFVAATLPQSGKKTAGGVLKRMFPNAVWVSGAYLHRHNPRLERRWIEVTADTQVSALLDAVKYGLKNEVHDTKLGPNRTMVFTNTVDAANSVSDILQRVGVPCILYHRDSSLEERAKNLQSFRENGGVLVCTDAAARGLDVPNVSHVIQAEFAACAVDFLHRVGRTARAGQSGIVTSLYTEANRDLVRAVRQAEELAQPVEKAFSRKRSFRNKLKKQALHKSTALLS >ORUFI09G09390.1 pep chromosome:OR_W1943:9:11003572:11006794:1 gene:ORUFI09G09390 transcript:ORUFI09G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRGGGGWAPRDPPPPKRGPSEFAGRRAWREDCLLSPSTRIAAERKAACCRGEGRRSLRDAGHGGSSPAPGLEGEVTLKTRAIWNQVCQWQGHDMVSQHPSAPTLMDWWEMLCNISPIAKRKILGDLQVLLAVAFIEKAHGTSLLTTLEI >ORUFI09G09400.1 pep chromosome:OR_W1943:9:11008292:11009500:-1 gene:ORUFI09G09400 transcript:ORUFI09G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKEGQEYLALLDPNIVPVLLFVDYNVDDSEYNTDGEDYSIDDEGEELDHASNNSLDEDINEDAEDEEDGKLGEVDDRCEEDNEGSEEDVGTDEVTDNDDQEEDDTVFFYSITKRQLMSERVEEFNTHFYWTTPQGWLLMVHPESHKVFLWSPFIDQRINLPFDEDGFFTNNTVQCLLSHKPTDSNCVVLVVSQIEVHKLDLSRRIWVKVSTIGNMAFFVDSTDSGVSASLNAEDVGLKRNCIYYVRPKDKGLYIYDIERGTTSVHNPGVDLADYLTPDIMMTPLS >ORUFI09G09410.1 pep chromosome:OR_W1943:9:11031004:11032128:-1 gene:ORUFI09G09410 transcript:ORUFI09G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSQARGWSSLPTDVLVLILGSLRWSSHPSVALVCRHWRSAASLCPFYPAWITPLLLNTAEVGTANIRYYSPYYDKNFEVDDTLKVPGAKICCSTGRHLKMCADKALVYDIDLVTGALVEVLPQEPYMLFNFVVSDRDDERLFGVKATITIKVASSIRHTSDEWEGWKSTENHLYCPRLRASPVTNPVLHNGLLYLLAQDGKLVVYNPCRPDEGFEILNKPNGFGFKCEDSYLVESNKGELMVVLIGRRGKVVHVVKLNDQTMEWEEVESLQGQTIFTGSLTTMMKRSKFKWMQNMIFLPRFYKWPETVHVDLVARDGQLAFVPKLPFCADTYLETCGSNIWSYELAYGAATKEYWGTERADYSTWVDFGDN >ORUFI09G09420.1 pep chromosome:OR_W1943:9:11040898:11048985:-1 gene:ORUFI09G09420 transcript:ORUFI09G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAASSSQARGWSSLPADVLVLILGSLRWSSHPIVALVCRHWRYAASLCPFYPAWITPLLLNTAEVGTANIRYYSPYYDKNFEVDDTLKVPGAKICCSTGRHLKMRVDKSSVFDIDLVSGVLVEVLPQKPYALFNFVVSDRDERLFGIEAMFTIEVASAIRTNSDEWEDWNLAENSPDWSQLQASPGTNPVLHNSLLYLLAQDGRLAVYDPCRHHEGFKILDKPNSFGFKCEDSYLLESNQGELMVVAIERRGKKVHLVKLNEQSMEWEKVDSLHSQTVFTGSLTTMMKKTKFNWMQNMIFLPRFYQWPETVHVDLVARDGELAFVPKLPFCADTYLDTCGTNIWSYELAHGAATKEFWGTERADYSIWVDFGVIDCTYNPHYLEK >ORUFI09G09430.1 pep chromosome:OR_W1943:9:11064203:11077465:-1 gene:ORUFI09G09430 transcript:ORUFI09G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARGDGGGAEGGDGQMAVVQQSLAVVGRAGDRVFVWVSFQDESENMLAHSTETNKKGQEYSTLLDPKFAPVLLFVDYNLDDTHDEVNSEDEDYSIEDEDEELDHEDDNSFDEDISDNDKDDDKLGEAANDICKGDYNENNEDAGTEESDDTDDQEEDVTIFFYSIARKELLCKRGDIFNCHSYWRTPQGWLLMVHLESHETFLWSPFTDQRINLPLDEDSFLTNNEVVCLLSHKPTYPNCVVLVVNCRNTSFWYCYPQGHTWFKHEYQSSMITTHENRRNVILTMKDLTAVGGRFCTYLSKEKAIVTLEFLPNPTFTTTPVKDASDPIYWYTTFTNCLLESCGELFMLSFKHPVVCAQKVVQIEVHKLDLSRRIWVKVNTIGNKAFLVECIGFGSSLTAEDVGLKRNCIYFVRPNDKGLYVYDMERGTTVMHNPGADLPDNMTLQIVGKKMLSHSIDSCKEDQEHLALLDPKLAPVLLLVAYRIIDTDDKGNTDDEYCPIDDEEEELDHGDENSHDEDIVDNDKDDCDLGDEDDNHTCERDYDGSDDAGTEESDDSDDQEEDDTLFFYSIAKRELLSKRVDEFGIHLYWITAQGWLLMVHLELYEIFLWSPITNQKINLPFDEDNFLANNNVVKCFLSHKPSDPNCIVLVVNCRDTMFWYCHPKGDVWFRHEYQSSMISTGEDRENVIATVKHLTAVGGRFHAYLNQDKAILTLEFLPKPTFTTTPVKDAPDPKSGGELFTLSFKHPIECVDKVMQIEVHKLNLSQRIWMKVSTIDNKAFLVDCTGFGASLNAEDVGLKRNCIYFVRPKDKGLYVYNMERGTTTIHNPGEDLPDNIALEIVMPPS >ORUFI09G09440.1 pep chromosome:OR_W1943:9:11090486:11093488:-1 gene:ORUFI09G09440 transcript:ORUFI09G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGASAGTVAGGCIRRKSAPGDGIAVMSLTEKTRSKPSGLPARFGFDEMMFSLSSGYPCYQPWQEALRKFIDYTNGR >ORUFI09G09450.1 pep chromosome:OR_W1943:9:11097787:11098914:-1 gene:ORUFI09G09450 transcript:ORUFI09G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVASTSRVRGWSSLPTDVLVRILGSLRWSSHPNAALVCRQWRSAVSLSSFYPAWITPLLLSTAGVGTANIRYYSPYYDKNFEVDGLLKVPGAKICCSTGRHLSMCVDQSLVFDIDLVTGALVEVLPPKPHALFNFVVSDGDERLFGVEAILTIKVASSIRNSSGEWEDWKLTEYHPDLPRIRVSPDTNPVLHNGLLYLLAQDGRLLVYDPCRHDNGFEILDKPDGFGFKCEDSYLLRSSQYGLMVVLIERRGKAVHVLKLNEETMEWEKVESLHGQVVFTGSLTTIIKKPKFKWMENKVFLPRFYNWPETIHVDLVTREGEMAFVPKSSSYSNTLDASITNIWSYELGCGTPTMEYWGTERPDYSIWVDFAGN >ORUFI09G09460.1 pep chromosome:OR_W1943:9:11102098:11102664:-1 gene:ORUFI09G09460 transcript:ORUFI09G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGTEAFPDLGAQCDREDCNQLDFLPFDCDGCGKTFCAEHRTYRDHGCARAADQGRTVVVCEACGDAIERRAGDGGGDDAAVLEAHARSRRCDPARKRKPRCPVPRCKETLTFSNTSGCKGCGQKVCLKHRFPADHACAGAGAGAASKAAGAAAAARSAGQCGRDAQKKEGGGWKLPQSVRNMKIF >ORUFI09G09470.1 pep chromosome:OR_W1943:9:11105541:11106134:1 gene:ORUFI09G09470 transcript:ORUFI09G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGAAATVGTPCATSSFRRFTTPEIVTPLSCYCPIVGSPKARVVEASVSCAAPYDCKLPPTPGITVPMDCITSCTLPATTVPTPTLGRSAHPHPASRRRRASGHRRRSSNRRHGRWASHQCPHLPVACALVHVTHPMRCHIAFILLAAAVAAAPASAVAALLPATTPPARCRVADPAVRLDAAPTTGEKARSNVGN >ORUFI09G09480.1 pep chromosome:OR_W1943:9:11110819:11111025:1 gene:ORUFI09G09480 transcript:ORUFI09G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFGKYTQNNAITLACKQHPPHARREPCQLYTSEHKVHYTWELAGAAAAMATSPASTSDGSCRNPRT >ORUFI09G09490.1 pep chromosome:OR_W1943:9:11111102:11112745:-1 gene:ORUFI09G09490 transcript:ORUFI09G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRPRRWKLPFHRSAPSSPSSPPDPEPHSPARSAVVVVAEEEAPPAEFVCSILGALMADPVILPSGQTYERACLQACAELAFLPPGMGSASDAVIPNAALKAAIGTWCARSGRVVPAPPSADAAREAVLRAMPADAAKSVRTRRAALASSSNSSYSSPASAASTSSYTSSSEIIPAEDEVGVKPVKEGTNKDAVREQVEMAVDPLEDVVVAKVMDAEEEEEVVLAVAGLREATRESAERRRALCTPRMLGALRRVLLIPRHASARVDATAALVNLTLEPANKVRIVRAGAVPPLVEVLRSSTSPPEAREHAAGALFGLALNEDNRAAIGVLGAVPPLLDLLTSPAHAAPARRDAGMALYHLSLAAVNQSKIARFPGAPKALLAVASSAAERMPIRRLALMVVCNVAACTEGRAALMDAGAVAAVTAILSHDTRSAELDEWCVAAMYAMSRGSLRFRGLARAAGADAALRRVAEECAPGIVRRDMARKTLRAMRNEADDAADLTGSSLECGDGDDCAGSIVSDGLMSFRRRQRELGSSSCGNTAEF >ORUFI09G09500.1 pep chromosome:OR_W1943:9:11116677:11117719:-1 gene:ORUFI09G09500 transcript:ORUFI09G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCWVDQLGIWEIGSMHSCVLCVCSKNVGSWACIKMRPLFAIGALEVSQYTQINRSPYRMFLLAHTRTFNLGTSNSIEQKNMRGGIGVLNKGERKHVEPEGVPFVGERKKREHVLVFYYTSTEPKE >ORUFI09G09510.1 pep chromosome:OR_W1943:9:11121147:11124791:-1 gene:ORUFI09G09510 transcript:ORUFI09G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKMFLSTANQIPNPSDIASKNQNYPQASISHPTARIGDRHHPSEIRNRPSSGPTPTPSPPPPPPKPRTLPPGGAAAAAPEMAAYLSMGEAHRRIADYLSRVADFVSSSDGAALASLLAVSSAQAPAPLSDALSAFPDFPRLAADRYPHLSDLLPTLLRAIHSHSLRRFADAYSSFEKAANAFLQEFRNWETPWAMEAMHTVALEIRLLAEKADRELATSGKNPDKLQSAGSFLMKVFGALAVKGPKRIGALYVTCQLFKIYFRLGTVHLCRSVIRSIETARNFDFEDFPVKDKVTYMYYTGRLEVFNENFLVADQKLTYALVHCNPQYESNLRRILKFLIPVKLSIGVLPRITLLERYNLLEYADVVTSLKRGDLRLLRQALERHEDQFLKSGVYLVLEKLELQVYQRLVKKIHIIQRQKEPAKAHQIKLEVVVKALKWLEIDMDVDEVECIMACLIYKNLIKGYFAHKSKVLVLSKQDPFPKLNGKPV >ORUFI09G09520.1 pep chromosome:OR_W1943:9:11127813:11131494:1 gene:ORUFI09G09520 transcript:ORUFI09G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKKDVESYTIKGTTKIVRVGDCVLMRASDTEKAPYVGRVERLETDGRGSVRVRVRWYYRPEESKGGRRHFHGAKELFLSDHFDTQSAHTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEQAKKLDHFLCADCVKENGTKRPSNSYPASSNSDSKVEPKKRKR >ORUFI09G09530.1 pep chromosome:OR_W1943:9:11132082:11138340:-1 gene:ORUFI09G09530 transcript:ORUFI09G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSVSGGSGPGGVSPEAIIEWLQDEMGYPSAPPAPDQLRKICRGNMLPVWSFLLRRVRSERTVSTARKNILVHGVAARRAREGGAGAGAGAGGDAAAREAEARERDLAAEEAERLRGVVRRQRKELRARIAEVAREEAERKRVLGERSNARHKQVMLEAYEQQCDEACKIFAEYQRRLHQFVNQARDVRRSSIGAGGPADAVEDMQLQSEREELYSTVKSNRLSDDLVETSRERSIRKACETLAGDMIEMIRSSFPAFEGSGINSSSQLDAAKLGIDLDGEIPQDVKAVALDSLKNPSLLLQSIITYTSRMKILIHKETDKIDIRADAELLRYKYENEQVIDAASTDASSPLPYQVYGNGKTGSELSTRGTYDQLLERQKEHVQQFLATEDALNKAAEAKAKSQKLLQRLHGTSDAAGSKKMPTGNTSQNMTNSRHLELDVWAKEREVAGLKASLNTLTSEVQRLYKLCAEWKEAEDSLKKKWKKIEEFDARRSELECIYNALLRANMEASTFWEQQPLSARGYASRTIIPACNAVVDMSTNSRDLIERELSAFGQSLDNSLCRLPATPQALLEALGSNGATGSEAVAAAEKHAALLTARAGARDPSAVPSICRISTALHILEDLSKAINLVHTRRNLVENDRVLLNRAHRAQQEYERVANYCLKLAGEQEKMVSERWLPELRNAVQEAQRCFEDCRRVRGLVDEWYEQPAATVVDWVTIDGQSVGAWINLVKQLHMEISRRTLAISTAGDD >ORUFI09G09540.1 pep chromosome:OR_W1943:9:11141400:11142125:-1 gene:ORUFI09G09540 transcript:ORUFI09G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGCTASTRPWRYSSPARRKMATASGGLGTEREFNVEAGTQLIPAAPISNPVLHDGLLYVLGGDGKLAVYDPCNHADNFRLLGKPDNFGIDHQEVDSHLFESDQGELMAVLVGYNGAPVHVAKLNEATMEWDKLETLEGRALFTGTYTTMMRKTRFKSMQNKVFLPRLYEWPETVHVDLIVRDGEAAFVPKSYSPSSIKKITSSMNIWSYGIGRQEEEAREFWGLERVDYSIWVDFSTS >ORUFI09G09550.1 pep chromosome:OR_W1943:9:11150123:11152142:1 gene:ORUFI09G09550 transcript:ORUFI09G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATASMLQARDWSSLPTDVLVLILERMRWSTHPSVVLVCQQWRSAVSLRHLNMRTDKSLVFDIDLVIVLPQIPYTLFNFVVSDHDKRLFGIELTFTIEIASSIRNNSGEWEDWKLAENHLDWSRFRASPVTNPVIAVYDPCRHDEGFKILDKLNSFGFKGEDSYLLESNQGELMVVLIERHGKMVHVVKLNEQRMEWEKYSLHGQTVFTGSQTTMMKKTKFNWMEIKVFFLRFIGLKLSMLTLLSVMREKAAPNPCAPPEGGRARGWSGGCLPCQGLGRPNPLHALSRVCGLGGCSQRPPTTFIKAASARHIAFNATCRRTNRPLAAGMTDVTVARETCARTYC >ORUFI09G09560.1 pep chromosome:OR_W1943:9:11154845:11158246:-1 gene:ORUFI09G09560 transcript:ORUFI09G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLILFFPQVTASPAHSLLSCPPPPGTRAAAVAASLSCGRHPLALAAASANEEAGTTGSGDSGLLAGRSGHSEARGSRPAVAAARSLRSAVAAVRSARYAVAIARSKGMPSMPAFLAAPSLPPALLATPAPAPARRGGRGCRIRQPRCPRRPIWSPGVDGKRYHSRLIGTVSSTRKVPLSSTRFGGGQKGIVTIERNNVWIRISVSTSRQRIQHQPSTSHDASMGLNSSSVLITREDNEIKIRNHLFGCIKLTSLSCSKILCSNQCLNYRLADWAQELGIFIELSFYFIAYISPHTMETVIQFHLYHYT >ORUFI09G09560.2 pep chromosome:OR_W1943:9:11154845:11158246:-1 gene:ORUFI09G09560 transcript:ORUFI09G09560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLILFFPQVTASPAHSLLSCPPPPGTRAAAVAASLSCGRHPLALAAASANEEAGTTGSGDSGLLAGRSGHSEARGSRPAVAAARSLRSAVAAVRSARYAVAIARSKGMPSMPAFLAAPSLPPALLATPAPAPARRGGRGCRIRQPRCPRRPIWSPGVDGKRYHSRLIGSNEGAVSSTRKVPLSSTRFGGGQKGIVTIERNNVWIRISVSTSRQRIQHQPSTSHDASMGLNSSSVLITREDNEIKIRNHLFGCIKLTSLSCSKILCSNQCLNYRLADWAQELGIFIELSFYFIAYISPHTMETVIQFHLYHYT >ORUFI09G09570.1 pep chromosome:OR_W1943:9:11209775:11214933:-1 gene:ORUFI09G09570 transcript:ORUFI09G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRGRRGVRHHHPFAPPPLQSAAATRSLSLSHAAASASPSRRPRRRAPPPPPRASATSSVAAIAGHSSTCTTSTSTPAGRPTPMNPPPVSPKPAPAALASVAQGVEDDEEKAEVDDGGGGGGYARTPDLGCPYYYAPPKKEVAAVAISNEDMRTMREREGIPELEEAETRGQRRRRTPRPPKQQKLRSEWPIKKRPKTWRQAVAVTQPGHELLAVLKEIEELFARAAEAGKEVTGMLEAASRVPELKGLTLTASHSIFSHRFLSFLLPLTLSVRKR >ORUFI09G09580.1 pep chromosome:OR_W1943:9:11211198:11213216:1 gene:ORUFI09G09580 transcript:ORUFI09G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMEERLLAAVEKLAEKVEKVGLIQEKLEVMDKKLEKQGERLDQVQAKVDLSLESLGQVLQEQIHVMQAVKRTVPATLVIPTRTMEATSSVTREQPQGYP >ORUFI09G09590.1 pep chromosome:OR_W1943:9:11216983:11217357:-1 gene:ORUFI09G09590 transcript:ORUFI09G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRGLDWGERSGLLPLSTAGLFYFMAGESPSICPRWCDARIWTATNPRLEAVEIGRRRNTTPCGEVWDLWTEGMWGHDGGGVGEDVSRRAPSRSLGSGGSVPTREEDARCVVAQEGCRGSNEK >ORUFI09G09600.1 pep chromosome:OR_W1943:9:11258341:11259023:-1 gene:ORUFI09G09600 transcript:ORUFI09G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSATSEFRIWITSLGRVFDDTRWCGQRTAYLADGSGAAEARTTGSGAPKLGMAGFATSEFRVFGSGSRGGEGIAGACSPHGRSTSTTRGGADGGPLTSRTDLAPLKLGPWDLEHPYSGWLDPRPPSSGCSDLEPPSSWLLGPLGGGWADADACRRPKMSGDGQRGLSMASGTGVVFVFGSMGIGENEEEQWRRATGNEGKKILGFRLPDGMAHQSALIFCES >ORUFI09G09610.1 pep chromosome:OR_W1943:9:11259061:11259318:-1 gene:ORUFI09G09610 transcript:ORUFI09G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSATSEFRVFGVSEHPELGGRGSIHPEFGCSRSRGPSFSGARSVREVSGPPSAPPRVVEVDLPWGEQAPSAQIRLFCGWIWRR >ORUFI09G09620.1 pep chromosome:OR_W1943:9:11267910:11273964:1 gene:ORUFI09G09620 transcript:ORUFI09G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDQLLLPPLFKGPLVLARRHSDMAHQRQRDTSSEEELPREPWTVEKDVHLLNNLAAHGGEFQREPWVEKDDAASWTVEKDDVPLVNNIVAHGDPEGSSNSLARSGGHLANVHEGAGMFYQPNFKYIFCDMDGTLLDSSGLVPETNAEAIRVARSRGVQTIIATGKSRPAVIEVLGKVNLAGTGGIVSESSPGVFLQGLLVYGEGGQKLYQQNLDIEVCREALLYSLKHRVALVAFSQDDCYTTLDDHPLVDFFHVMYHEPKKNLLRSFAHEAAVAFALSKAPCGGVSPLQQLQPRDYEHDGADTLCGDPDHQGREARAQGQQIPTHQVVEHGVQAQGDVPQALWLGPADPIAKIISDVDHFLSTIDIQKFVFLETPEVISSVLRPHWARRVDGKAQVVQAQGDVLEVVPLGTSKGNGVKILLESLCASPDEVMALGDGKNDKEMLQLAGLGVALCNGCEVTKVVADVIGASNDESGVAQAIYKYL >ORUFI09G09630.1 pep chromosome:OR_W1943:9:11300582:11307470:1 gene:ORUFI09G09630 transcript:ORUFI09G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQNLWPEMLKLNDWPISNHFEELLPSHGVKYINSLPFQAYTNLKSGLLNVSTLLPDDILKLDMGPKSYIAYGYAQELGRGDSVTKLHCDLSDAVSRENYTSKICEDANDLACPIKSESAEEGALWDIFRREDVPKLKLYLDKHSKKFRHIYCSAVQKSCTKIAVDFVSPENVKECLSLTEDFRRLPRNHRANEDTLEVINTF >ORUFI09G09640.1 pep chromosome:OR_W1943:9:11316624:11319936:-1 gene:ORUFI09G09640 transcript:ORUFI09G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLEFKLQEKVTFRQVLQSFRWLIGVVLPQDIRIKWRPRLGKRLDSRKNIALKGRKTSPENNGGRREDDGGGGFGGELGIDDDDARKY >ORUFI09G09650.1 pep chromosome:OR_W1943:9:11323047:11326112:-1 gene:ORUFI09G09650 transcript:ORUFI09G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAITEFRALYGFAAIQELKDVLRTVPEVEGLIGHEHAAKLMSFKEYDAGNQVKSTLQSAFANLMLASKDMVSEALSKLISRLNIESKIRTLTDKEELVLSLERQYQEDVGVLAALFFNYVKLSPGEALHIGANEPHAYLSGECIECMATSDNVVRAGLTPKYKDIQTLCSMLTYKQAFPEILQGVPVQPHVRRYSPPFDEFEVDWCLVPADEVVTISSVPGPSVFLVITGEGELHAESLSGGKKEAKEGDVFFVPAYTEINLSTHKSMQLYRAGVNSRFFS >ORUFI09G09660.1 pep chromosome:OR_W1943:9:11363327:11365969:1 gene:ORUFI09G09660 transcript:ORUFI09G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVFGRLKEPLQKIAASDAPVIKQAVKPKFQISQVNVPRPKAKSIAAPRFNAVAKVLNSRSNGNLVWHPKKLAPQQQAAGEQEEDAWGQDHPMGQIMEANLDGLIDLAPAVPKDANVLLVVPPVSSRLSDKGKRVLDLSDKGKRVLVPVQDPNVQKFLARLERLARSEYPKHHYVYPMAGLNEKVDFLCKAKDLMHHLRKSKATDWALGPYKSVFGPLDAQTQDGMEILDVMPLCIEPPSSPVCNAPSPLLLPKAPVKKRDGKTLLYSPYRRQSARLQLNKEGVELKEDPRMGIGKPTGKSVKKLKELAGIAKIFVDNNLKDSDFHGNTYDDIHSDSSPSDCSVSLLQKMGVDMCGLAPEEVAESSLGGDRRQKLPRAEMEDK >ORUFI09G09670.1 pep chromosome:OR_W1943:9:11382718:11383721:1 gene:ORUFI09G09670 transcript:ORUFI09G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLQTWEEEEGKEKKGKEGSEGNRGEDGNEGFDYRPWTPRIRGIELLIYAAFIGRLSVICVMLFGWDPSVGSVMPLRNATSVHLIISEGK >ORUFI09G09680.1 pep chromosome:OR_W1943:9:11432392:11434637:-1 gene:ORUFI09G09680 transcript:ORUFI09G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVDEATAALVGGEVDGGGGGAVLLGGGAGGGGETEEDAVGGEGVLTNPVAVREEEEERRWSGSLHHGTWSSVTAGSTRPTPGAAATEEAEADAAVSERWIRAASARVSYDKIIFVTMHH >ORUFI09G09690.1 pep chromosome:OR_W1943:9:11434697:11436674:1 gene:ORUFI09G09690 transcript:ORUFI09G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGACCVRVGAAQAALQEQAAGVLGAGLIQAARTTASQTSASPAPAAADYCCCFTMSSLAAACLDFLAHLNSGSLHADEVYAFWISPVTKHGHLKNFFDKNMPINSYKLTVKAKLVLIFLLWAQLDSAGVRQHGYAAGTCAWQVARQQAAGAMQQAEGKQQQQHGVPEQAEAGPAAVQALLVPVPAAVQALPIPATVQTLPTSGQPVDLLICCVVNFVLYWMFVGLCWMLIGLKPLCYMGCYYV >ORUFI09G09700.1 pep chromosome:OR_W1943:9:11438763:11439435:-1 gene:ORUFI09G09700 transcript:ORUFI09G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIDPEYYITGRLTESSDVFSFGVVLLEVTSGKPPIIPENGHIIERVRQKMVTGNINSVADARLGGSYNINSMWKVLDAAMMFTADSCSKANDVGRGHAIEGKSGIGGSSWGHGRHGKCSKR >ORUFI09G09710.1 pep chromosome:OR_W1943:9:11463403:11464467:-1 gene:ORUFI09G09710 transcript:ORUFI09G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKKPITSSSSRKRAATLAVYVVAPVLVVAMLVVAYLIWRAKRKPHFSTDDSPMVPEQIGPPRHWINHWDHLQKPKNHRFTYEELAKFTDSFKRLIGHGGFGNVYYSCLEDSTEVAVKMRTESSSHGLDEFLAEVNHRNLVSLIGYCWEKDHLALVYEYMSSGNLSDYLRGLSIAIFKY >ORUFI09G09720.1 pep chromosome:OR_W1943:9:11488416:11489647:1 gene:ORUFI09G09720 transcript:ORUFI09G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFLALQSMGGDSPHRWRDREEWRGGTPKALTTSSASATPSDVGLVRPAASAAPPLRCRPPPPRRSIGLSPLRKL >ORUFI09G09730.1 pep chromosome:OR_W1943:9:11520598:11524314:1 gene:ORUFI09G09730 transcript:ORUFI09G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEWPYPLLSKEIDARHRAKKISDGNSCSSLAVLIPRTAGWWVIDPRWKPRQADNRYRRSMHLRMTPWIEAWSQALNDVVHETRAYDHNTYEQYMAWYSSQTRIRLLAPEDPDERGELVRDAKTLWEKLRDGIAGTNQEVMATVDSLRRKAQPERVPPHSSAPITSQWQGGFAAFAGPTQSVPLHAPTYGTNPWKAQSIDYGGTSFGGGVHGYMDLLQQGDWLFGQYSSHRNEIPYMQAPFDNFIDIYIAYGLGLCQGLGPYTASYGDISSFGGGSSLVPNELRASQTDDAPRVTQPTQPEVDDLQGNNNDPHRSNRERHEPNRLSLSGPRHAAGGKKKTTKKPAGISRTMTDHDDE >ORUFI09G09740.1 pep chromosome:OR_W1943:9:11525466:11527075:1 gene:ORUFI09G09740 transcript:ORUFI09G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVSPKCLVFNVKNRQMLTNLNGHSVMFLRGLLVKVFLQELPVKVFVSFMVQYLCPVSTMQLFHANPFV >ORUFI09G09750.1 pep chromosome:OR_W1943:9:11530333:11531291:-1 gene:ORUFI09G09750 transcript:ORUFI09G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERDWSSLPSDMLALVLERLGWSSHPRLRADVPALALRRVALLSSVDHPAPTQLRGCRRSNQHQGVLRQHDPGATAHPLDRTEFNVEAGTQLIPAARSATRCSTMACFDSHLFESDQGELMAVLVGYNGAPIHVTKLNETTMEWDKLETWEGRALFTGTYTTMMRKTKFKSMQNKVFLPKLYEWPKTIHLTLLFVMVKQHLYQSHTHHLA >ORUFI09G09760.1 pep chromosome:OR_W1943:9:11531772:11533551:1 gene:ORUFI09G09760 transcript:ORUFI09G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDNNECPSSLDPKLAPLLLFGGDDDDDATFMYSVRTRALLPRRSTTTTTWTPRREPTGGGPRHRAGCSWRRAAVRPHRARRLSYGTLSPAAGSPCLPTTTHGTLLTHSCDRMCLLSRRRPTDPGCVVVVVDFDDTVLWYCRPGDLHGVVHHYLQPGTPHHEHRDCVGWAIGNLTAIDGKFYTDFTDHVAVLEFSPEPVFTVTAVDGDHGCPAGYTRLTGNLVESNGDLHHVFFSHPIGCSRIVARVSVYKLSVATQKQRSAWVKVDSLDGRVFFVGIDSLGVGASLDAKETGLKGNCIYYWGINGKVLNVYDMKRGTTVVINPDQDRRRAPATNGGALCSGNLLPDCRSALQRPLPPHPTSRRPPSPRPSSSPRHASTTGPPHRLPITASIPSAPATQPSHMWTGVGDHRAACSS >ORUFI09G09770.1 pep chromosome:OR_W1943:9:11545604:11550170:1 gene:ORUFI09G09770 transcript:ORUFI09G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHRGGGTHAAPLLLVVLLLLSMGRLPRAAAVGLGGGLGELMTKVPFSPGDVLPVLPRQVSWPVMNTLHSAVDLLPSFVAAVAPGAAPSDAAWSGACFARNEAALELTPGDRNGTELSGAVLRLKTASAKSWTCMDLYVFATPYRITWDYYFAAREHTLEIKSWEEEAELEYVKQHGISVFLMPSGMLGTLLSLIDVLPLFSNTGWGQHSNLAFLEKHMGASFEKRSQPWVTTIRKEDIHSGDFLALSKIRGRWGAFETLEKWVTGAFAGHTAVCLKDEKGEVWVAESGFENEKGEEIIVIVPWDEWWAMALKDSSNPQIALLPLHPDVRARFNESAAWDYARSMVGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMAVMSMWTRLQPLYAANMWNEALNKRLGTEGLDLHGIIVETERRGMSFDQLLTIPEQDEWVYSDGKSTTCVAFILAMYKEAGIFTPFAESIQVTEFTIRDAYMLKIFEDNQARLPSWCNTESDKLPFCQILGEYRMELPEYNTIEPYAKMNENCPSLPPTYKRPARC >ORUFI09G09780.1 pep chromosome:OR_W1943:9:11551503:11555201:1 gene:ORUFI09G09780 transcript:ORUFI09G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAALSDDDRLVVAHCAALSFPPASQPPPPPTTSSSSSGAGAGASFQVHHASHPYPCAAFAFPPSWSAAPGWAAAGRAAFGDAEVDPSLFPSLRSVGSGVPARANAAFLASFGALLDGSPLQSEVSRAVAEEKRIVFTGHSSGGSIATLAAIWFLETCTRRGSVNQAHPFCVTFGAPLVGDNTFNNAVRREGWSQCILNFVVPVDIIPRIPLTPLASATEGIQAVLDWLSPQTPNFSPSGMPLIISQFYENLLRSTLSIASYEACSFMGCTSSILGTLTSFIELSPYRPCGTYLFLTSSEQLAVLTNSDAVLQLLFYCLQLDPQQQLRDAAERSLSAHWQYEPIKQSMMQEIVCVDYLGVVSSTLPGRQMSSTIVGGLELSKEAMLSLSAAGQWEKQRETNQAKIDGASCTKIREALKSLNEYKRTCELHEVSYYDSFKLQREVHDFNANVSRLELAGLWDEIVEMLRRRELPDGFESRQDWVNLGTLYRRLVEPLDIANYYRHSKNEDTGSYLSKGRPRRYKYTQEWHEQSQRISFGSSLESCFWAMAEELQAEIANGKTFEDVRDRVVKLESDAHGWSMSGSLGKDIFLSRSSFVIWWKTLPENHRSASCIAKLVPW >ORUFI09G09790.1 pep chromosome:OR_W1943:9:11555567:11556718:-1 gene:ORUFI09G09790 transcript:ORUFI09G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERDWSSLPLDVLAAVLEQLRWSSHPSFALSCRHWRSAVPPFYPAWITPLLLSTARVGAANLRYYSPYYHYTFEVVVASCDDDVARDAKICCATGRHLALEKPRLALDVHLVTGAVRQAPHAAYADHFDFVVYGGSGARRMFGIDAVLPLAVGYTSQIGDGDEGEWEDWTLTEYDANGPRLRPSPVTNPVFHRGSIYLLGEHGRLAVYDPCKHAEGFKILDKPMSFGFEQYHDSYLVESDQGELMAVLFGRRGTPVHVIVLNKERMEWEQVESLQGRTLFTGTLTSMVKKTKFKWMQNRVFLPMFYKWPETIHVNIISRDGELAFVPKSSSSNTKYSTMGDHSNGTCCEKCADVWSYKLGQQETRENWGAERVYYGVWVDLH >ORUFI09G09800.1 pep chromosome:OR_W1943:9:11557646:11558791:1 gene:ORUFI09G09800 transcript:ORUFI09G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGIKESEGPTSLDPKLAPVLWFHNNYDQYVEESDDEDGEVSGDDEEVSDEDEFSATSDEDESIEETDDDGISDDDDSNDDEEESNEVNDTLLLYSISSQQLLANSGLDDLKDLFYWITPQVGGKFCTAFFSTVVTLDFSPNPTFDIVAVTLVQNSVYNFREIYLLESRGELFCLYFYPPGACSNKTVEISVYKLDIPTTAWVKVDTLGDRAFIINTRKGYGASVNAKEACLQENCIYFSRHRDKGLYVYSMERGTTAAINPSTDLVDDVAAQILMPAS >ORUFI09G09810.1 pep chromosome:OR_W1943:9:11559939:11560124:1 gene:ORUFI09G09810 transcript:ORUFI09G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWDGGGDGSGTTGNRVVDASDSTYGLRAEAWDVEIGAKENFRAKMTTRPRMVTSTSRC >ORUFI09G09820.1 pep chromosome:OR_W1943:9:11561374:11561601:1 gene:ORUFI09G09820 transcript:ORUFI09G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTRAWKAQAASDRKDKAGQKAAEDSFQCSCGRFYKGSTTRENEHTQRCLAKRKEVAAGRMAAEAAKEAANEP >ORUFI09G09830.1 pep chromosome:OR_W1943:9:11562150:11568075:-1 gene:ORUFI09G09830 transcript:ORUFI09G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLAAGFFHLPPLPAAAERPPAAADPLPPPMSRRSEVMRRTLFWGEDLMSMEDVQCSKSESFFFYHYCCRGSSISVGMEDKGTHIVLGVRSSNHRGIPERYAMISLLGGRACLAGPRQSTSVPRRYGEDGIKALPQAAAAVIKGIHSRASNIADTGGLSKSMIMGAIARDMTMAM >ORUFI09G09830.2 pep chromosome:OR_W1943:9:11561743:11568075:-1 gene:ORUFI09G09830 transcript:ORUFI09G09830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLAAGFFHLPPLPAAAERPPAAADPLPPPMSRRSEVMRRTLFWGEDLMSMEDVQCSKSESFFFYHYCCRGSSISVGMEDKGTHIVLGVRLHLKRITINNSLLLYAGSSAIRLVDVRSSNHRGIPERYAMISLLGGRACLAGPRQSTSVPRRYGEDGIKALPQRIH >ORUFI09G09830.3 pep chromosome:OR_W1943:9:11562150:11568075:-1 gene:ORUFI09G09830 transcript:ORUFI09G09830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLAAGFFHLPPLPAAAERPPAAADPLPPPMSRRSEVMRRTLFWGEDLMSMEDVQCSKSESFFFYHYCCRGSSISVGMYAIRLHLKRITINNSLLLYAGSSAIRSSNHRGIPERYAMISLLGGRACLAGPRQSTSVPRRYGEDGIKALPQAAAAVIKGIHSRASNIADTGGLSKSMIMGAIARDMTMAM >ORUFI09G09830.4 pep chromosome:OR_W1943:9:11561743:11568075:-1 gene:ORUFI09G09830 transcript:ORUFI09G09830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLAAGFFHLPPLPAAAERPPAAADPLPPPMSRRSEVMRRTLFWGEDLMSMEDVQCSKSESFFFYHYCCRGSSISVGMEDKGTHIVLGVRSSNHRGIPERYAMISLLGGRACLAGPRQSTSVPRRYGEDGIKALPQRIH >ORUFI09G09830.5 pep chromosome:OR_W1943:9:11561743:11568075:-1 gene:ORUFI09G09830 transcript:ORUFI09G09830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLAAGFFHLPPLPAAAERPPAAADPLPPPMSRRSEVMRRTLFWGEDLMSMEDVQCSKSESFFFYHYCCRGSSISVGMYAIRLHLKRITINNSLLLYAGSSAIRSSNHRGIPERYAMISLLGGRACLAGPRQSTSVPRRYGEDGIKALPQRIH >ORUFI09G09830.6 pep chromosome:OR_W1943:9:11561743:11568374:-1 gene:ORUFI09G09830 transcript:ORUFI09G09830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWTHCQPLPILFLLSLSSLLVFSTDFYSLSEPYRLLRRNHRHSFFSQSTCRRDPFPTQRSRRRDPFPSQSLTPPRASHLPRVSSGLSPASSLQAAMHGAPWRQRRFWPPASSISPLFPLRLRDHRRRRIPSHPPCRDGHEEDFILGRGFDEHGGCAVLQVGKLFFLPLLLPRKLNLCRDDRPIIVESLRGGRACLAGPRQSTSVPRRYGEDGIKALPQRIH >ORUFI09G09840.1 pep chromosome:OR_W1943:9:11571468:11576593:-1 gene:ORUFI09G09840 transcript:ORUFI09G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERDWSSLPLDVLAAILERLRWSSHPSVALTCRHWRSAPCRPSTRRGSPRSCSAPPASAPRTSATTAPTTTTTAPTTTHKSFEVGDDEGGSPALGGVARGARICCASAGRHLALGMSGAVLDADLVTGVVRKVPHAHKDMFDFIIYSDDAHRMFGINAVLPLSVAYVNQNNDGDWEDWTLTEFDPTRPWLRASPITNPVIHRGLIYLLDEQGRLAVYDPCKHEEGFEILDKPMSFGIFKHYDSHNIYMFESDQDELMVVLVGQRGAPVHVVKLNENTMEWDKVDSLQGRALFTGTHASMMKKIELEWMQNRKNRVFLPMFYKWPETVHVDLVSCDGELAFVPKSSSNTDYSKAKNGGENSVDMWSYKLGQQEAAREFWGAEKVDYSIWIDLH >ORUFI09G09850.1 pep chromosome:OR_W1943:9:11577790:11578947:1 gene:ORUFI09G09850 transcript:ORUFI09G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGIKENEQSTLLDPKLAPMLWFHYNYYMPLEESDDEDNEKSGDDDDVSDEDEFSETSDEDESTEETDDDGISEDNKIDVSGDDDDNTTDEAKEVNDTLVLYNISSKQLVAYDKPDDLKDHFYWITPQVGGKFYTTFGDRIVTLEFFPYPTFDIIPIKSAHNIVYHFAEICLLESSGDLFILFFYHPMTCSQKTVEIDVHKLDITRRAWVKMDTLEDRAFVVNTIKNSGVSVNAKEVYLDGNCIYFLMRGDKGLYVHNMERGTTTALNPGADVNVAAQILMPAF >ORUFI09G09860.1 pep chromosome:OR_W1943:9:11585253:11591176:1 gene:ORUFI09G09860 transcript:ORUFI09G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDCGAPPVLVGWAYGRGGVEETETETEEEEEWGVEMERGRGRGRGRGRGRGRGRRGGAVRGRGRGRGRCRGRGRSRAAPSPPAKRRRVEEPEEEVVVAGEEEEEEVETCETDGDGSSSDEMRTVAAMGSAPLLGTTVDEEDFSPPSTSGRGRGGRKQGRGRGGNSCHQCKRVKPRPEEMVRCQLCGDKVFCAACIKNKYPEMQQAEVRDKCPFCRNICNCTRCNPSDKSDGPRNPFVRRCNSSSSVKRRVKTAASGLRCRVDTAALQAKAIDKLEANSRINNESAMLDKADTLDVRTDEVDTETKSKYANYMLHYLAPHLTKLNKDQMSEIEKEAKIQRLELSQLSVEQAACRHDERVFCDHCKTSIFDLHRSCPGCSYELCIVCCKELREAKLMGSCKEELFSYPNRGPDYMHGGDGDSVPELINYKQGDLSSNQSKDIQWRVDSDKIYCPPTELGGCGNHILQLRRIFSKDWLSKLEVDAFQMRKQLEPSDIIGRDTCECSCSTDHASSRKAASREDSTDNYIYCPTLDNGKPEDLTHFQKHWVKGEPVIVQQVLKKMSCLSWEPPDMWSKVHGTGTSPEMKNVKAIDCLSCCEVEICTQDFFNGYYEGRMYQNLWPEMLKLKDWPTSNHFEELLPSHGVKYMNSLPFQPYTNLKSGLLNVSTLLPDDILKLDMGPKSYIAYGYAQELGRGDSVTKLHCDLSDAVNVLMHTAEVDPSEEQIDAIKSLKRRHTAQNEKECSGNADGNYTSPKICGDANELSCPINSETNKGGALWDIFRREDVPKLKLYLDKHSKEFRHIYCSAVQKVCNPVHDETFYLTEEHKRKLKEEHGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKIALDFVSPENVKECLSLTEDFRRLPKNHRAKEDKLEVSNTFPMRTHFEPFGTKYVSMCSPRLKLITPYIQKDEATLLIGLLTKNKWQTF >ORUFI09G09870.1 pep chromosome:OR_W1943:9:11609700:11611143:1 gene:ORUFI09G09870 transcript:ORUFI09G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQRDKSSELGGGHGRRRAPTRAVDVGRLLVNNVPARAWQRAPTRDEGRRPALSSSRARRSRGQLVGTRSPDQQSKLKNV >ORUFI09G09880.1 pep chromosome:OR_W1943:9:11615614:11622822:-1 gene:ORUFI09G09880 transcript:ORUFI09G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNHSPKSLAVLLRARMHPDPVSPAPPPPAPTAAAAPDPDPSAPPAAAAVRHWLHASVSSSASTALDRFSDGYRSLDRPGRREILRSLAADYDVPRARVRDLMRQYLSAAAAGGEEEEEEHPEAGGGGGSASAMYRMERGLREALRPKYAGFLEAMNAQPGGLKLLAVIRADLLALLGEENLPALRALDGYLKEKLVTWLSPAALTLHQITWDDPASLLEKIVAYEAVHPIRNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALLKDTAASIQEVLWDDPPTPESEARCALFYSISSTQPGLSGINLGKFLLKRVIEMLRRDMPSVQIFATLSPIPGFMQWLLAKLASQIKLAEAESQDGSLLEGTSSTFRESILFPEEERMIHDAVEHAGGKSGIKLLQDILKSSQWVKSDKLSSALKSPLMRLCARYLAREKKRGKALDAVANFHLQNGAMIERINWMADQSEKGIQQSGGIMVNYMYRLENIEEYALSYLGTGLAHTSSNLLQYIEKQTLKPLGWLGQEGYKQSLQQESPALQLLKFLASWHMCRFKIVSRSISSGFVSLRRGGQKLYQKNLDIEVCREALLYSLEHRVALVAFSQDDCYTTFDDNPLVDFFLVYHEPKKFVFLETPEAISSMLRPHWARRVDGMAQVILIQAQSDVLEVVPLRTSKGNGVKIMLESLCASPDEVMALGDGENDKEMLQLADLLVLCSPMAAR >ORUFI09G09880.2 pep chromosome:OR_W1943:9:11615614:11622822:-1 gene:ORUFI09G09880 transcript:ORUFI09G09880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNHSPKSLAVLLRARMHPDPVSPAPPPPAPTAAAAPDPDPSAPPAAAAVRHWLHASVSSSASTALDRFSDGYRSLDRPGRREILRSLAADYDVPRARVRDLMRQYLSAAAAGGEEEEEEHPEAGGGGGSASAMYRMERGLREALRPKYAGFLEAMNAQPGGLKLLAVIRADLLALLGEENLPALRALDGYLKEKLVTWLSPAALTLHQITWDDPASLLEKIVAYEAVHPIRNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALLKDTAASIQEVLWDDPPTPESEARCALFYSISSTQPGLSGINLGKFLLKRVIEMLRRDMPSVQIFATLSPIPGFMQWLLAKLASQIKLAEAESQDGSLLEGTSSTFRESILFPEEERMIHDAVEHAGGKSGIKLLQDILKSSQWVKSDKLSSALKSPLMRLCARYLAREKKRGKALDAVANFHLQNGAMIERINWMADQSEKGIQQSGGIMVNYMYRLENIEEYALSYLGTGLAHTSSNLLQYIEDLIQFLFAQGTLLDSSGLVPETNAEAIRVARSRGVQTIIATGKSRAAVIEVLGKVNLVGTWFVSLRRGGQKLYQKNLDIEVCREALLYSLEHRVALVAFSQDDCYTTFDDNPLVDFFLVYHEPKVRRHKFVFLETPEAISSMLRPHWARRVDGMAQVILIQAQSDVLEVVPLRTSKGNGVKIMLESLCASPDEVMALGDGENDKEMLQLADLLVLCSPMAAR >ORUFI09G09880.3 pep chromosome:OR_W1943:9:11615614:11622822:-1 gene:ORUFI09G09880 transcript:ORUFI09G09880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNHSPKSLAVLLRARMHPDPVSPAPPPPAPTAAAAPDPDPSAPPAAAAVRHWLHASVSSSASTALDRFSDGYRSLDRPGRREILRSLAADYDVPRARVRDLMRQYLSAAAAGGEEEEEEHPEAGGGGGSASAMYRMERGLREALRPKYAGFLEAMNAQPGGLKLLAVIRADLLALLGEENLPALRALDGYLKEKLVTWLSPAALTLHQITWDDPASLLEKIVAYEAVHPIRNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALLKDTAASIQEVLWDDPPTPESEARCALFYSISSTQPGLSGINLGKFLLKRVIEMLRRDMPSVQIFATLSPIPGFMQWLLAKLASQIKLAEAESQDGSLLEGTSSTFRESILFPEEERMIHDAVEHAGGKSGIKLLQDILKSSQWVKSDKLSSALKSPLMRLCARYLAREKKRGKALDAVANFHLQNGAMIERINWMADQSEKGIQQSGGIMVNYMYRLENIEEYALSYLGTGLAHTSSNLLQYIEDLIQFLFAQGTLLDSSGLVPETNAEAIRVARSRGVQTIIATGKSRAAVIEVLGKVNLVGTCVVSKLSPGVFLQALLYSLEHRVALVAFSQDDCYTTFDDNPLVDFFLVYHEPKVRRHKFVFLETPEAISSMLRPHWARRVDGMAQVILIQAQSDVLEVVPLRTSKGNGVKIMLESLCASPDEVMALGDGENDKEMLQLADLLVLCSPMAAR >ORUFI09G09890.1 pep chromosome:OR_W1943:9:11625103:11633608:-1 gene:ORUFI09G09890 transcript:ORUFI09G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRARLQPPPPGTRTTTLAAVLVLVLLAVVALPLRCDAASAGGEEEEEQQPLDYREALEKSLLYFEAQRSGRLPYSQRVTWRGHSGLTDGLQQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGAIDFAADIAAAGEWRHALEAIKWGTDYFVKAHTHPFVYWAEVGDGDTDHYCWQRPEDMTTSRQAYRVDRDNPGSDLAGETAAALAAASIVFRRSDPHYSHLLLHHAQQLFEFGDTYRGSYDSSIEEVRSYYASVSGYHDELLWAALWLHRATGKEEYLRYAVDNADSFGGVGWAITEFSWDVKYAGLQVLAAKIIYSCIIFLNCHRFSVDHDIGFATELIDHWINQLRANLINQCLNLSSCCMQLLLDGDPQAAAHRGVLEKYREKAEHYLCACLGRNINGADNVDRSPGGMLYVRQWNNLQYASSAAFLLTAYSHYLSSSSASASAALRCPGGAAAAAEMVSLARSQADYILGRNPLRLSYMVGYGRRYPARVHHRGASIVSHKEDGRFIGCVQGFDDWFGRGRANPNVLAGAIVGGPSRRDEFRDDRANYMQTEACTYNTAPMVAVFARLHRLTTAITTAAAAEDPDGGSPDRRSVDRR >ORUFI09G09890.2 pep chromosome:OR_W1943:9:11627798:11633608:-1 gene:ORUFI09G09890 transcript:ORUFI09G09890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRARLQPPPPGTRTTTLAAVLVLVLLAVVALPLRCDAASAGGEEEEEQQPLDYREALEKSLLYFEAQRSGRLPYSQRVTWRGHSGLTDGLQQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGAIDFAADIAAAGEWRHALEAIKWGTDYFVKAHTHPFVYWAEVGDGDTDHYCWQRPEDMTTSRQAYRVDRDNPGSDLAGETAAALAAASIVFRRSDPHYSHLLLHHAQQLFEFGDTYRGSYDSSIEEVRSYYASVSGYHDELLWAALWLHRATGKEEYLRYAVDNADSFGGVGWAITEFSWDVKYAGLQVLAAKISHTHSGKDLISWHS >ORUFI09G09890.3 pep chromosome:OR_W1943:9:11625103:11627342:-1 gene:ORUFI09G09890 transcript:ORUFI09G09890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKYLHHNKFSWRTARFSVDHDIGFATELIDHWINQLRANLINQCLNLSSCCMQLLLDGDPQAAAHRGVLEKYREKAEHYLCACLGRNINGADNVDRSPGGMLYVRQWNNLQYASSAAFLLTAYSHYLSSSSASASAALRCPGGAAAAAEMVSLARSQADYILGRNPLRLSYMVGYGRRYPARVHHRGASIVSHKEDGRFIGCVQGFDDWFGRGRANPNVLAGAIVGGPSRRDEFRDDRANYMQTEACTYNTAPMVAVFARLHRLTTAITTAAAAEDPDGGSPDRRSVDRR >ORUFI09G09900.1 pep chromosome:OR_W1943:9:11652698:11657655:1 gene:ORUFI09G09900 transcript:ORUFI09G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPPPPHPAALLPLPLPLPFCLPAAPRVRGRGGTAVAALGALWPPRLVAVESRPPPPSSPASASAPPPLPESAAAGLDAGIGGGGGGDGGGGADLGWLRVFPHVLTASMANFLFGYHIGVMNGPIEDIARELGFQGNPFLQGLVVSIFIVGAFFGSLGSSALVDNFGCKRTLQIDSIPLILGALLSAQADSLDEMLLGRFLVGIGIGINTVLVPLYVSEVAPTKYRGSLGTLCQIGTCLGIIAAFSLGIPSESDPHWWRTMLYAACVPGVLIVAGMQFAVESPRWLAKVGRIDDARNVVEHVWGPSEVEKSMEEIQSVVANDDSQASWSELLEEPHNRVALIGGSLFFLQQFAGINGVLYFSSLTFRDVGITSGILASLYVGITNFAGAIVASILMDKQGRKKLLTGSYLGMALAMFLIVYAISFPLDEGVSHGLSITGTLLYIFTFAIGAGPVTGIIIPELSGARTRSKVMGFSFTVHWICNFLVGLYFLELAKKLGVGAVYAGFGGVSLLSALFAYNFIVETKGRSLEEIEMSLSPAAPGKRE >ORUFI09G09900.2 pep chromosome:OR_W1943:9:11652698:11657655:1 gene:ORUFI09G09900 transcript:ORUFI09G09900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPPPPHPAALLPLPLPLPFCLPAAPRVRGRGGTAVAALGALWPPRLVAVESRPPPPSSPASASAPPPLPESAAAGLDAGIGGGGGGDGGGGADLGWLRVFPHVLTASMANFLFGYHIGVMNGPIEDIARELGFQGNPFLQGLVVSIFIVGAFFGSLGSSALVDNFGCKRTLQIDSIPLILGALLSAQADSLDEMLLGRFLVGIGIGINTVLVPLYVSEVAPTKYRGSLGTLCQIGTCLGIIAAFSLGIPSESDPHWWRTMLYAACVPGVLIVAGMQFAVESPRWLAKVGRIDDARNVVEHVWGPSEVEKSMEEIQSVVANDDSQASWSELLEEPHNRVALIGGSLFFLQQFAGINGVLYFSSLTFRDVGITSGILASLYVGITNFAGAIVASILMDKQGRKKLLTGSYLGMALAMFLIVYAISFPLDEGVSHGLSITGTLLYIFTFAIGAGPVTGIIIPELSGARTRSKVMGFSFTVHWICNFLVGLYFLELAKKLGVGAVYAGFGGVSLLSALFAYNFIVETKGRSLEEIEMSLSPAAPGKRE >ORUFI09G09910.1 pep chromosome:OR_W1943:9:11674918:11677069:-1 gene:ORUFI09G09910 transcript:ORUFI09G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSDLDLEDWMDSTANSYDIYVLGFQEIVPLNARNVLGPRNSCISTKWNSLIGEALNKRRRRGAVLHQEITNSSATERSAQEEHFRCIMNKQMVGIFMSVWVRSNLRPYIHHLNVSCVGSGIMGYLGNKGSVSIRFVLHETSFCFVCCHLASGGKQGDVLLRNFDAADILVRTRFPGGATQELPKKILDHDQVVLLGDLNYRISLEEAETRLLVEDKNWSILLENDQLLIEFSTGRHFDGWQEGLITFSPTYKYHPNSDQYYWCFDGALGKKKRAPAWCDRILWRGKGLKQIQYDTCNYRLSDHRPVRAVFHAECVIRGDADCACGCIALSSSSE >ORUFI09G09910.2 pep chromosome:OR_W1943:9:11674920:11677069:-1 gene:ORUFI09G09910 transcript:ORUFI09G09910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSDLDLEDWMDSTANSYDIYVLGFQEIVPLNARNVLGPRNSCISTKWNSLIGEALNKRRRRGAVLHQEITNSSATERSAQEEHFRCIMNKQMGSVSIRFVLHETSFCFVCCHLASGGKQGDVLLRNFDAADILVRTRFPGGATQELPKKILDHDQVVLLGDLNYRISLEEAETRLLVEDKNWSILLENDQLLIEFSTGRHFDGWQEGLITFSPTYKYHPNSDQYYWCFDGALGKKKRAPAWCDRILWRGKGLKQIQYDTCNYRLSDHRPVRAVFHAECVIRGDADCACGCIALSSSSE >ORUFI09G09920.1 pep chromosome:OR_W1943:9:11681924:11686034:-1 gene:ORUFI09G09920 transcript:ORUFI09G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPTASPAAAAGRAAIPSLRRWPAAAAGVRIVVAVAPVKARAPRRLRLCLAVPPPASEMAAAAAAAEEEEEEEEEEEGWSKAVELDAAARREMAIRRLQEEAGTGSSRREFAVFETARGDALFTQSWTPVAAADRVNGRYNHFAKLLNDHGLKVYAMDWIGHGGSDGVHGYVSSLDHAVGDLKEFLEDVVLEENYGLPCFLFGHSTGGAIVLKAVLDPCVEVHVEGVILTSPAIHVQPSHPIIKVVAPIFSVLAPKYRVAALHRRGPPVSRDPEALKIKYADPLVYTGPIRVRTGNEILRISSYLQRNLSRVTVPFLVLHGTADTITDPGASQRLYQSSASAHKSIKLYDGYLHDLLFEPERDDIANDIINWLSSRLDVLQRW >ORUFI09G09930.1 pep chromosome:OR_W1943:9:11692532:11697929:1 gene:ORUFI09G09930 transcript:ORUFI09G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLPPAPTNPRQDAIDLHKAFKGFGCDSTTKAMLLWILDPAGRDATVLREALSGDTIDLRAATEIICSRTPSQLQIMKQTYHAKFGTYLEHDIGQRTSGDHQKLLLAYVGIPRYEGPEVDPTIVTHDAKDLYKAGEKRLGTDEKTFIRIFTERSWAHMASVASAYHHMYDRSLEKVVKSETSGNFELALLTILRCAENPAKYFAKVLRKSMKGMGTDDSTLIRVVVTRTEIDMQYIKAEYYKKYKKSLAEAIHSETSGNYRTFLLSLVGSH >ORUFI09G09940.1 pep chromosome:OR_W1943:9:11699854:11700075:-1 gene:ORUFI09G09940 transcript:ORUFI09G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGREEGIGKGDLPFCFACADGIERRGTSREKKKGKRGERGWLWRGRRKGVVAGWRPRPAPTLPTTGAGGCR >ORUFI09G09950.1 pep chromosome:OR_W1943:9:11745296:11746692:1 gene:ORUFI09G09950 transcript:ORUFI09G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQAVCPLEITNIYKHQPLLPSPSPQSIQRLLSLFGGLFPGIASLYQRLPSPAALPLPSLAALPLPGPVLSPHHRIERRLWRIEEEAADRAARWRIDAAMGGTDAPSRFFSPTN >ORUFI09G09960.1 pep chromosome:OR_W1943:9:11746744:11754320:1 gene:ORUFI09G09960 transcript:ORUFI09G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRELELMTSWSNSMGRHRYPTRILVDSFGHKCSASDKGVWTSCSIRAPLQGRGSFRRGANIRFGSLPSSAAVATSGGGRGGGGVVVGGGGGDPWRRLDGSTASTELSLSPPPAQAAGGGGGGGGADALPWRHRPSPPSSAVATTSAAAAAALMAPMMLQPLDAGGGASAPPPPIRGIPIYNGPGGFPFLQPSPTAGDVGHHHHHHPKMGFYSSYHHPSTWPSTSPSPLAAPPGAASSPLDPTAAFLSSPHHRMLSAASGRLNGMLSVSDTLRSYGVPGAAAPGVIGGAHHHHHHLHGGQPFVGALASRFMPKLPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSGPADGGSGDEEFAGGGQAASGGGDSMCLRGGGGGGVAAAAFAEHGRSASEGAASSVGGGGGGDMDQSSAGNTSTTRWSNSSRDPWLSSNSCNMDAHRSVGLSSPIENLEPCRSSSSQVSNHELSSPSLEFTLGRPDWHGADHD >ORUFI09G09970.1 pep chromosome:OR_W1943:9:11769318:11770672:-1 gene:ORUFI09G09970 transcript:ORUFI09G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVAMPPALSLLVLLVLALHGGAGDATPPPPLRLVRGARRVAFDEGYTRMFGDGNLAVLRDGRRVRLTLDESTGAGFASQDVFLHGFFSAAVKLPAYYAAGVVVAFYLSNGDTYEKTHDEVDFEFLGNVRGREWRVQTNVYGNGSTAAGREERYDLPFDPTDELHHYSILWTRRRIIFYVDETPIREVVRTAAMGAAFPAKPMSVYATIWDGSAWATLGGRYRVNYRYAPFVAEFADLVLHGCAVDPLAVEHSASCGDEEEEAAEAVVSSAAMAAFRRGHMSYSYCHDRRRYPVALSECALTGGAASLGRLFGPDGMKRRRARRARDASS >ORUFI09G09980.1 pep chromosome:OR_W1943:9:11779894:11782551:-1 gene:ORUFI09G09980 transcript:ORUFI09G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATDPTGSPITTSAATHPTRSPMTTSAANHPTGDSSAARSRASKTSKDDSTCTVSDDPVVTGVIDAFRSPPILQKTAVSGLQTQILLSLGDLSSQICETQKMLIKMAHDNTEFQENMNDRMTNVEHIQQLQLQQVTDITHGEQHFPRKRYIEVEYPSTIGKRVRGVNGRAVTYPYDNYEKNISTKIIKAFVKHFGQSRSIKRHKHMYHAYLETPSVVSMLIKYGYYDGVELGNTDENMYKSAGVSYVNNDMIFLPIRTSIDHWYVAVLDCTRKVCVLDSMDTTEDDLKELKFLMKGIRKCVRLVLDDKIVENPLWDDYNVQAWKIRIRYNLNNKKDRTSSGLYSIKFMELWTGDSLSKQFYQEDIDSYRRKLAAILYMSPSNKLRNNICSTSNGHGTDGGTRAADLNEDILNMSEIHGD >ORUFI09G09990.1 pep chromosome:OR_W1943:9:11788829:11791873:1 gene:ORUFI09G09990 transcript:ORUFI09G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLILDCMENDSKCPKRKDSTHVFEDVEQEQNDPREHVSNHNEESYINQNVNMTCETKSNQSRKRLTGPKGRTYKPTNWTDFIYETRVYIEKEDLTQQIIDKGPPKNALRGQKKTKTNGQTPLKNSEEGAHVEASESRDKRWIRDMARDYLPFDMKEVKTFRQDLAGILINSELNNIKDRPLLPTTT >ORUFI09G10000.1 pep chromosome:OR_W1943:9:11799207:11801045:1 gene:ORUFI09G10000 transcript:ORUFI09G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSVVTVHVTGFKKFHGVAENPTEKIVTNLKSFVEKKGLPKNLVLGSCTVLETAGQGALGTLYKVLESSIAERENGSSAQGQIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQRAPIVPSDGGISRTRETTLPVNELTKSLRKTGYDVMPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLEALS >ORUFI09G10010.1 pep chromosome:OR_W1943:9:11816117:11817897:1 gene:ORUFI09G10010 transcript:ORUFI09G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEFVQDEEKQRLLLDEHTEKHFTAGEVVRDIIIGVSDGLTVPFALAAGLSGANAPSALVLTAGLAEVAAGAISMGLGGYLAAKSDADHYHRELQREQEEIDTVPDTEAAEIADILSQYGLGPEEYGPVVNSLRSNPKAWLEFMMKFELGLEKPEPRRALMSAGTIALAYVVGGLVPLLPYMFVPTADRAMATSVVVTLAALLFFGYVKGRFTGNRPFISAFQTAVIGALASAAAFGMAKAVQSI >ORUFI09G10020.1 pep chromosome:OR_W1943:9:11832664:11835856:1 gene:ORUFI09G10020 transcript:ORUFI09G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLRSAGGALRRWIPRRLFPSTSYRVWFGRRQSGYEQAFAESPRRRHLGSDCGGSTDDNQKRYSMDELLKCKRQLEKNKEGAFRPADIPDHESKKDELYRKMRSTFDKLCHCLDEQEHILREIEDQVDNDEKFDQVKQYLVAIPSFVCIGLILDRMHMFG >ORUFI09G10030.1 pep chromosome:OR_W1943:9:11836758:11839078:1 gene:ORUFI09G10030 transcript:ORUFI09G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLLRSVARELRRSIPPPRPRPAMSVMDRLAPRLLSTESSNHKMNPSSSSSPLLDNFFRSDRAKRMDTSKVQFSKDDLKEFDRYLDERTKQAERNLLLSLDKFCDACERRASLLRDIKAMLEARNKRSAQKFLLVKQWAVLISYSSVLGFALYNLHLFS >ORUFI09G10030.2 pep chromosome:OR_W1943:9:11836758:11839078:1 gene:ORUFI09G10030 transcript:ORUFI09G10030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLLRSVARELRRSIPPPRPRPAMSVMDRLAPRLLSTESSNHKMNPSSSSSPLLDNFFRSDRAKRMDTSKDDLKEFDRYLDERTKQAERNLLLSLDKFCDACERRASLLRDIKAMLEARNKRSAQKFLLVKQWAVLISYSSVLGFALYNLHLFS >ORUFI09G10040.1 pep chromosome:OR_W1943:9:11856729:11861285:1 gene:ORUFI09G10040 transcript:ORUFI09G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLELAAGGGGGGGGEGPLPSLGRRRIPRVVTASGIVPDLDYSDDDAASAASSSDHSSAHSHAPRERAIIVANQLPIRASRRGGGGGGWEFSWDEDSLLLQLRDSLRAHADRADDMEFVYVGGLRDDVPAAEHDEVAHHLLEGFRCVPTFLPADLRSRFYHGFCKQQLWPLFHYMLPLSPELGGRFDRALWQAYVSVNKIFADKILEVISPDEDYVWVHDYHLMILPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPVREELLRSLLNADLIGFHTFDYARHFLSCCGRMLGLKYESQRGYIALEYYGRTVTIKILPVGVHLEQLRSVLNLPETGVKVAELLKQFCDQNRLMLLGVDDMDIFKGISLKLLAFEQLLMQHPEWRGRVVLVQIANPARGRGKDVKEVQDESYAMVRRINEAFGQPGYQPVILIDRPLQFYERMAYYVVAECCLVTAVRDGMNLIPYEYVIARQGNEKLDGILGLGPSARKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDSALEMPEGEKVLRHEKHHKYVSTHDVGYWANSFLQDLERTCLDHSRRRCWGIGFGLRFRVVALDPNFKKLAVEHLVSAYRRTTTRIILLDYDGTLMPQTSFGKSPSSKTIDMLNSLSRDQNNMVFLVSTKKRSTLEEWFSSCDNLGLAAEHGYFLRLKRDAEWETCVPVTDRSWKQIAEPVMKTYTETTDGSTIEDKETAIVWSYEDADPDFGSCQAKELHDHLESVLANEPVTVKAGLNHVEVKPQGVSKGLVAKRLLSIIRENSLLPDFVLCIGDDRSDEDMFEVITTAAQDNCLSPDAEVFACTVGRKPSKAKYYLDDLADIVRLIQGLANVSDEMHSTMPTPVDAADTALR >ORUFI09G10050.1 pep chromosome:OR_W1943:9:11862698:11865342:-1 gene:ORUFI09G10050 transcript:ORUFI09G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRHRRIQFQPVVSGRTGFLASHTLSPSLPSPPAAAAAAEKSGYRRCYARRLPPFRLAGFAGGCLQRPLVSKLCLGFAALLTALIVIMSLEEAPVLTIFSSTPEKLKVLSQGFLQQQEQEHLEDSTARQNENCNYAKGKWVADKKRPLYSGNECKQWLSKMWACRMMQRADFSYENFRWQPHGCQMPEFTGPNILKRLRHKTLAFVGDSLGRQQFQSMMCIATGGKYSPEVEDVGWKYGLVKAPGALRPDGWAYWFPGTNSTILFYWSASLSELELLNTTDSVTSYALHLDRPVTFLKKYLHSFDVLVLNTGHHWNRGKFNGNHWELYADGKPVGKGRLADLNRAKNLTLYSIARWVDSELVRYPQVKAFLRTISPRHFVNGDWNTGGSCGNTVPLSNGSEVLQDHSSDLPVESAVNGTRVKILDITAVSQLRDEGHISNSTFKGRASTGINDCLHWCLPGIPDMWNELLFAQI >ORUFI09G10060.1 pep chromosome:OR_W1943:9:11867639:11868386:1 gene:ORUFI09G10060 transcript:ORUFI09G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVRDGDFFIVGRVFSLPSVSPFFGRTLFLSWGMLGGGWRLRLTVEVYGGWMAFRGWQRVRLCRWLQSRCWRNPCRAFGRFDNDGVRGCRFPPWRHCHGALSYPHKSPGENLVPIFGRAAAASRVVSSLGASLRRSSNASMTADGFAFLGPLLSYGGRHALRLFLLMKSKLLADGGAATLGNDDMLQSLLRSSGAGRVKEVAPRWLG >ORUFI09G10070.1 pep chromosome:OR_W1943:9:11871077:11871729:-1 gene:ORUFI09G10070 transcript:ORUFI09G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSTRFTLAAGAGESLTTYTFGTHTAKHTFCRVCGITSFYTPRSNPDGVAVTAACVDPGTLAHVEYRHADGRNWEKWFSRSDISDFSKPKAPPPPPPSKT >ORUFI09G10080.1 pep chromosome:OR_W1943:9:11873251:11879036:1 gene:ORUFI09G10080 transcript:ORUFI09G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIECLVLGAGQEVGKSCVVVTFGGGKRVMFDCGMHMGHRDSRRYPDFDRLLADGAADYTAAISCVVITHFHLDHIGALPYFTEVCGYHGPVYMTYYDCAALVYPFQQYPTKALAPLMLEDYRKVMVDHRGEEEQYSYEDILRCMRKVIPLDLKQTIQVDKDLSIRAYYAGHVLGAAMIYAKVGDAAIVYTGDYNMTPDRHLGAAQIDRLKLDLLITESTYAKTVRDSKHAREREFLKAVHKCVSGGGKVLIPAFALGRAQELCILLDDYWERMNLKIPIYFSAGLTIQANMYYKMLIGWTSQKIKNSYTVHNPFDFKHVCHFERSFINNPGPCVLFATPGMISGGFSLEVFKKWAPSEKNLVTLPGYCVAGTIGHKLMSGKPTRIDIDKDTHIDVRCQIHQLSFSPHTDSKGIMDLTEFLSPSHVILVHGEKPQMAFLKERIESELGMQCCYPANNETVSIPTSQNLKINATEKFIVSFCMDETENDPQKQNLNFGGDMPQGCRTEGVAEGVLLMEKSKTPKILREDELLHSLGMETHFVHFEPLHPSSIEVKHTGESAVQQSSLEHLDCE >ORUFI09G10090.1 pep chromosome:OR_W1943:9:11879094:11880317:-1 gene:ORUFI09G10090 transcript:ORUFI09G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLRSAARELRRRRSIFRPPRLAAPPVEPGSRLLTTDGAAKNTTPPSSSTPNATQFQLLRLEDALALRSEYAHCIVLFDNGHC >ORUFI09G10100.1 pep chromosome:OR_W1943:9:11886270:11889088:-1 gene:ORUFI09G10100 transcript:ORUFI09G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLLRSAGGVLRRSIHGAREQSSLFFFPTATDLAGRRQSVYKQAAFPSSSFARPSLAVADHPRRLFSSSGCSGRTEPAEKLLSMDEFLEHRRQFGKNGEEDTFRDAEISEHEKREEKLLRKRLSSTVKKLSRCLHEKKPLILEIGVQIENNNKCVDLTVLDMLFFATLPNHVSCMYDQVKYFLVLIPSFVSIGLILDKLHVFG >ORUFI09G10110.1 pep chromosome:OR_W1943:9:11890031:11890522:1 gene:ORUFI09G10110 transcript:ORUFI09G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRRAHAILFPFPCSGHINPTLKLAELLHSRRVPVTFVNTEHNHERLRRRRGAAWAGRVPVRGGAGQHGEAVPVVAEELRAMLVEVARRVASDGSSGVLPVTCVVLSGLVSFALDVAEELGVPAFVLWGTSACGFACTLRLRQLRQRGYTPLIRSKVRFVR >ORUFI09G10120.1 pep chromosome:OR_W1943:9:11890652:11891063:1 gene:ORUFI09G10120 transcript:ORUFI09G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESYLTNSYLDTPIDWIAGVPTVRLGDVCSFVRTLDPTSFALRVDEDEANSCARAPGLILNTYSDVFDVLRDEFPRVYTIGPLGADRANNLVGGGAAGLSLWEEDAACMAWLDEQPTGSVLYVSFGSLT >ORUFI09G10130.1 pep chromosome:OR_W1943:9:11897478:11900355:-1 gene:ORUFI09G10130 transcript:ORUFI09G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAPEDSGWPVGGAAATRRRGRLCAARGEARVAELTATMAGQELKEGMAERKEELKETKAELADTKRVAYVAILKEQDAKRRAMEAERTKAKEAKDKEYAKNRIKLSWMSASALKLAGEAILSIFFELAQAKLAELRWKKEEENKAIEMSQTQAVGNDDGGQKEVYDEEEVNNTNDDSPN >ORUFI09G10130.2 pep chromosome:OR_W1943:9:11898043:11900355:-1 gene:ORUFI09G10130 transcript:ORUFI09G10130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAPEDSGWPVGGAAATRRRGRLCAARGEARVAELTATMAGQELKEGMAERKEELKETKAELADTKRRRAMEAERTKAKEAKDKEYAKNRIKLSWMSARN >ORUFI09G10130.3 pep chromosome:OR_W1943:9:11898043:11900355:-1 gene:ORUFI09G10130 transcript:ORUFI09G10130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAPEDSGWPVGGAAATRRRGRLCAARGEARVAELTATMAGQELKEGMAERKEELKETKAELADTKRVAYVAILKEQDAKRRAMEAERTKAKEAKDKEYAKNRIKLSWMSARN >ORUFI09G10130.4 pep chromosome:OR_W1943:9:11897953:11900355:-1 gene:ORUFI09G10130 transcript:ORUFI09G10130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAPEDSGWPVGGAAATRRRGRLCAARGEARVAELTATMAGQELKEGMAERKEELKETKAELADTKRIEGKAITLPPDYTPITARSTR >ORUFI09G10130.5 pep chromosome:OR_W1943:9:11897478:11897816:-1 gene:ORUFI09G10130 transcript:ORUFI09G10130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAHACRRKTWKPQAKLAELRWKKEEENKAIEMSQTQAVGNDDGGQKEVYDEEEVNNTNDDSPN >ORUFI09G10140.1 pep chromosome:OR_W1943:9:11900941:11902066:1 gene:ORUFI09G10140 transcript:ORUFI09G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLTDYRRVKQLDFGSKFLIRHSVHTLQVSQIDLKDKAKHEESKFQPNEFVRSSNDRNLASSVKLKLNKLLQAITRAKSQLYIREQKMKRGGSSSSSATAVTMTMVVLLLVVAAASLRAADAAAAAPRRLLGADGGGGGGSPALVSESKASAGASTCTHDPNTPPSGTPCPPHN >ORUFI09G10150.1 pep chromosome:OR_W1943:9:11906664:11906864:1 gene:ORUFI09G10150 transcript:ORUFI09G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVLLVAAASLHAADGAAAAPRRVLGADGGGGDQSESKVEHKSGCTNNDNTPPSGVCPPNAPGP >ORUFI09G10160.1 pep chromosome:OR_W1943:9:11913318:11913572:1 gene:ORUFI09G10160 transcript:ORUFI09G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATAVMMVLVLLVTAAASLHAADAAAAVAPPRRLLAGADAGGAVESPPPPPPLVSASKTSSGASDCTHNPTKPSTGPCPPNAP >ORUFI09G10170.1 pep chromosome:OR_W1943:9:11918274:11918507:-1 gene:ORUFI09G10170 transcript:ORUFI09G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGKGAAALLVLLLVAASLNAGVAVAAARRLGEDGGGRRQQPPPLVSVSKASSGPSGCSNDPHISGRPCAPPKMP >ORUFI09G10180.1 pep chromosome:OR_W1943:9:11926036:11927491:1 gene:ORUFI09G10180 transcript:ORUFI09G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGGGNCNGGGAAGGRATATAALLVSLLLVVAASQPHAGVAAARLLAPQPPVLATAAAQSSSPLATSAAGSSKSCPSNCTNNPNNPSDRIEMFARSPVSTPLVCNIAAGVPLPAGCNLRQLARIEALVLRRPRAPRLPRLFQALQQQRHGPVRPMGRLRGVLPARRRPHAAAPEAVAGEEAAPTKRRRLW >ORUFI09G10190.1 pep chromosome:OR_W1943:9:11932084:11932341:1 gene:ORUFI09G10190 transcript:ORUFI09G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSAVMRVIMAALAVAAIILSATLHEAAAARPVHGWNPQAKGAAAAATTRHGGFAAVQLAGSVPSCCTNGGGAGNCPPGVTCP >ORUFI09G10200.1 pep chromosome:OR_W1943:9:11938246:11939608:1 gene:ORUFI09G10200 transcript:ORUFI09G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLHEDFAAAASPAAAGGGGRAADHQPSAGTGTYRIIGVGGLRIGTIDVTNRCRTRNRHL >ORUFI09G10210.1 pep chromosome:OR_W1943:9:11952045:11952371:1 gene:ORUFI09G10210 transcript:ORUFI09G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATAVKIAAIFMVALTIGQLMAEASSSSSPQPRRLLEVDDDDDGEVVEAELDEATLLADELATIVQGCRSICHRHPKSWRCRCCRERPGCHRVLDTCVCPHASIDH >ORUFI09G10220.1 pep chromosome:OR_W1943:9:11956741:11962539:-1 gene:ORUFI09G10220 transcript:ORUFI09G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHFRTHTTSRLTFPSSSGGLAITRLPFSSTSSKLLLQQLSSTSPAAAATAVTITTSSPARNLQRARASAAEQGMEEHGKAAVGWAARDDSGVLSPYNFSRRAQKDDDVTIKVLYCGICHTDLHVVKNDWGNAMYPVVPGHEIVGVVTGVGAGIVGVVTGVGAGVTKFKAGDTVGVGFFVGSCRTCDSCGKGYENYCPTMVITSNGKDYGGAATQGGFSDAIVVNEHYVLRVPAGLPLDGAAPLLCAGVTVYSPMVIHGLNAPGKHVGVVGLGGLGHVAVKFAKAFGMRVTVISTRDAGQMAAAAGTMDGILNTVSAWHPVAPLFALMKPMAQMVFVGAPTRPLELPAYAIVPGGKGITGNCVGGIRDCQAMLDFAGEHGITAEVEVIKMDYVNTAMERLEKNDVRYRFVIDVIEAATTPSRAATALGGVAAGQPDARIQPMAAPHDGTAALGWAARDASGHLSPFSFTRRVQEEDDVTIKVLYCGICHTDLHTIKNEWGNAMYPVVPGHEIVGVVAGVGAGVTRFKAGDTVGVGYFVDSCRACDSCGKGDENYCPTMVITSNGTDYGGATTQGGFSDVMVVRQDYVLRVPASLPPDGAAPLLCAGVTVYSPMVEYGLNAPGKHLGVVGLGGLGHLGVKFGKAFGMKVTVISSSPAKREEALERLGADAFLSSRDGXSVAECQAMLDFAGEHGIAADVEVVAMGDVNAALGRLERNDVRYRFVIDVAGTLHAAAAPS >ORUFI09G10230.1 pep chromosome:OR_W1943:9:11956784:11961708:1 gene:ORUFI09G10230 transcript:ORUFI09G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRYLTSFLSRRPRAALTSPMATTSTSAAMPCSPAKSSIAWHSATLXPSRLDRNASAPSRSSASSRFAGDELITVTFIPNALPNLTPRWPSPPRPTTPRCFPGAFRPYSTIGLYTRRRAVRRQARRDAQHVVLPHDHDVGETALGGGAAVVRAVRRDHHRRAVVLVPLAAAVAGAARVDEVAHAHRVAGLEPGHAGADAGDDADDLVAGDDGVHGVAPLVLDGVQVGVADAAVEHLDGDVIVLLSPEMFLSAAAADKIIHQTD >ORUFI09G10240.1 pep chromosome:OR_W1943:9:11963941:11964781:-1 gene:ORUFI09G10240 transcript:ORUFI09G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEGHGGGGGNNTMTTPASLSLPAAKWKDDTCTGNRMVAHWRRRMPRWCSAAGVGEDEVDLPACSTDLGEGDEATLHDAMALLGVDGFGRATMMPKRRRRPVNKERERDNGERGLTMNGNASFSAADDEEEFWTKEDS >ORUFI09G10250.1 pep chromosome:OR_W1943:9:11967931:11969839:1 gene:ORUFI09G10250 transcript:ORUFI09G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHFRTHTTSRLTFPSSSGGLAITRLPFSSTSSKLLLQQLSSTSPAAAATAVTITTSSPARNLQRARASAAEQGMEEHGKAAVGWAARDDSGVLSPYNFSRRAQKDDDVTIKVLYCGICHTDLHIVKNDWGNAMYPVVPGHEIVGVVTGVGAGVTKFKAGDTVGVGYFVASCRGCECCGNGYENYCAKMVTTCNGVDHDHGGGAATQGGFSDAIVVNEHYVLRGITGNCVGGIRDCQAMLDFAGEHGITAEVEVIKMDYVNTAMERLEKNDVRYRFVIDVAGSSLAGSGDAKI >ORUFI09G10260.1 pep chromosome:OR_W1943:9:11970588:11970902:-1 gene:ORUFI09G10260 transcript:ORUFI09G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHERELVDEVDVEARGGGGRQRAAAAAAAGERMRLTWSCLAMAVLLVWCAVVFHPAHARAAVDGARRPRPPRHRARRLALPLHLWQVRPPRSLCAVQVAAER >ORUFI09G10270.1 pep chromosome:OR_W1943:9:11974210:11976114:1 gene:ORUFI09G10270 transcript:ORUFI09G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNGTAALGWAARDTSGHLSPFSFTRRVQQEDDVTIKVLYCGICHTDLHIIKNEWGNAMYPVVPGHEIVGVVTGVGAGVTKFKAGDTGYENYCPTMVITSNGTDYGGATTQGGFSDVMVVRQDYVVRVPASLPPDGAAPLLCAGVTVYSPMVEYGLNGPGKHLGVVGLGGLGHLGVKFGKAFGMKVTVISSSPAKRGEALGRLGADAFLSSRDGEGMAAAAATMDGIIDTVSAGHPLVPLLSLLKPKGQMVVVGAPAAPLQLPAIAIIDGGKRVAGSGGGSVAECQAMLDFAGEHGIAADVEAMLDFAGEHGIAADVEVVAMDAVNAALGRLERNDVRYRFVVDVAGTMHAAAAAAASS >ORUFI09G10280.1 pep chromosome:OR_W1943:9:11981425:11983371:-1 gene:ORUFI09G10280 transcript:ORUFI09G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAAVGVVVALLWCAALIGGGAGAGQDLAADTAALLAFRDAVGPRLPWASSSSSPCGWRGVRCDAGGGRVVALQLPGAKLVGRVPTGTVGNLTALRTLSLRSNALSGGIPVDIGNCGELRALYLQGNQLAGEVPEGFFSLLLLQRLDLSRNRITGSISPEFNKLRRLATLYLENNGLNGTLPADLDLPKLQLFNVSNNDQLTGAVPASLAGKPASAFSGTGLCGGPLSPCTNTSPPSPSPSPSPPIPPPPAASQDSKSSKLSGGAIAGIAVGAAAALLVALAVIVLLCFKRGRRKEGRPADVDEDASPVSVTVARTDKVEVKRSRSRPSQQTTTASGAKKLVFVGGEPDVPYDLDTLLHASAEVLGKGWLGTTYRATLEGGAAVVAVKRLREAPIAEREFRDSVAELAALRHENLAPLRAYFYSRDEKLLVSDFVGAGALSSLLHGGGGAVRRARLGFTSRARIALAAARGVAFIHGAGSSHGNIKSSNIVVNRTHDGAYVTDHGLAQLLGAAVPLKRVTGYRAPEVSDLRRASREADVYSFGVVLLEMLTGRPPANAVPGFDGVDLPQWVRAVVHEEWTAEVFDASIADEAHAEEEMMRLLKLAVECTEQRPERRPTMAEVAARIEHIVDTVIRNADVDDFDSVSQ >ORUFI09G10290.1 pep chromosome:OR_W1943:9:11993384:11993680:1 gene:ORUFI09G10290 transcript:ORUFI09G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRSAPMEERDQLQGARRSAVGDYLEQQQQQDGVYLIHSQVMRIKKEEEEARELLLKLQLLETRPAGGGRCPATTLRASRSLSPLRRAGGAIPVGE >ORUFI09G10300.1 pep chromosome:OR_W1943:9:11993723:11994663:-1 gene:ORUFI09G10300 transcript:ORUFI09G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKNRGWRIREGARGRTTPVTAVLQLLPTPGGVHFDVYGFGIVVLKIASGRRHVSQPCQGRSASFLLAATWRSGDAKPMDPSSSRSTAVSATPGGETTGQTQTIDITVNSMSAWTGIRY >ORUFI09G10300.2 pep chromosome:OR_W1943:9:11993938:11994663:-1 gene:ORUFI09G10300 transcript:ORUFI09G10300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKNRGWRIREGARGRTTPVTAVLQLLPTPGGVHFDVYGFGIVVLKIASGRRHGRSASFLLAATWRSGDAKPMDPSSSRSTAVSATPGGETTGQTQTVSYLLALQPCQRVAEERRAGE >ORUFI09G10310.1 pep chromosome:OR_W1943:9:11995699:11999027:1 gene:ORUFI09G10310 transcript:ORUFI09G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQYMQQVMINKMSKEVWLWSVSGAQGVPTGESDLDMGMEVWLWSLDPLAKFFTLSYRMFGHMYRVLNINEKNN >ORUFI09G10310.2 pep chromosome:OR_W1943:9:11995699:11999027:1 gene:ORUFI09G10310 transcript:ORUFI09G10310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQYMQQVMINKMSKEVWLWSVSGAQGVPTGESDLDMGMEVWLWSLDPLAKFFTLSYRMFGHMYRVLNINEKNN >ORUFI09G10320.1 pep chromosome:OR_W1943:9:12002560:12004111:1 gene:ORUFI09G10320 transcript:ORUFI09G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLVSFLLGNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSETEEKTVIDLHEQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPVTHKPLYPAPPLADGGSPEQKVPEEEEEVEEKSSAAVESSTSTCAGHDVFCTDEVPMLHLDDIVLPPPCDVVGDTAGSPAESSSTSTSSSGGGGIDEEWLLPIMEWPESMYLMGLDDVDMVTTAAPAMATSWEFEDPFNAYQRIALFDHHHELTWA >ORUFI09G10330.1 pep chromosome:OR_W1943:9:12019848:12023544:1 gene:ORUFI09G10330 transcript:ORUFI09G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQRSREMARAGEPAAAHRHRAERAAAGEPATRTHRTERAGEPAAATTTTTTRRPPPTTTERKESLESLLDATDAARGGRRGGGGVKAAVASRQGLEFKNLSYSVVKKQKKEGVKVKKEVYLLNDISGEAPRGQVTAILGPSGAGKSTFLDALAGRIAKGSLEGSVRIDGRAVTTSYMKQISSYVMQDDQLFPMLTVLETLTFAAEVRLPPSLSRAEKLKRVWELIDQLGLQTTAHTYIGDEGTRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAHSVVEKVKDIARGGSIGKVDLPRKPKHTANTPGWIWQAGAGCIEYLLDVIKEYDESTSGLEPLVAYQRDGTKPDGAAKTPVPRTPRTPHQKSVQFRQIQLKSNQFSLNSGAANGNTFSNFESSYNVDGGGDDDDEDFDNSLERKLQTPMHAGGPASGYQPRLASQFYKDFSVWVYHGVTGSTPHRRPTWTPARTPVSSFQRGRAVTMTPTPQNNPQRRPPPPPSPHVPVFKPEEPTYHEYELDLEPPLDAPEEDYNGGHRPKFANPWPREVAVLSWRTVLNVVRTPELFLSREVVLAAMAVILSTMFRRLGAGDVPTVNRLLNFYIFAVCLVFFSSNDAVPTFIQERFIFIRERSHNAYRASSYVVASLVVYLPFFAVQGLTFAVITKLMLRMESSLLHFWVILFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKRTLIPVGWRWLHYASAIKYPFEALLVSEFKGGRCYAGDRADLSPGPLGGFKPSSLRRELNASDAACPLMGQDVLSTLDITIDSIWVDVAILLAWGVLYRLLFYVVLRFYSKNERK >ORUFI09G10340.1 pep chromosome:OR_W1943:9:12022047:12032696:-1 gene:ORUFI09G10340 transcript:ORUFI09G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGAIGKDEEQRRHAEERKESDYFGAGGGAAAAAMDWSFASRAALMSFRSSSSAAAAAAREETRELAFPHFSALDGAKMQQASHVLARQKSFGAESHGIPQYAAAAAVHGAHRGQPPHVLNGARVIPASSPFNPNNPMFRVQSSPNLPNAVGAGGGAFKQPPFAMGNAVAGSTVGVYGTRDMPKAKAAQLTIFYAGSVNVFNNVSPEKAQELMFLASRGSLPSAPTTVARMPEAHVFPPAKVTVPEVSPTKPMMLQKPQLVSSPVPAISKPISVVSQATSLPRSASSSNVDSNVTKSSGPLVVPPTSLPPPAQPETLATTTAAAIMPRAVPQARKASLARFLEKRKERVTTVAPYPLAKSPLESSDTMGSANDNKSSCTDIALSSNRDESLSLGQPRTISFCEESPMATAAGAAAGGQSGGGEPPGVEVVGGGRGRAEQRRPWGLVRGRRSGGGLGEQRVGAGVEAALGTGAGAEADLGRGHRRGDLGGRRVGAGVAASLLSGNAPCCLAPKPDDASISQSDMIIGFASRNKTELLCFSLHEDDGLVELLWCNGHVIMQSGAAEAAEAGEDGDDGGAGEGKAEQRRRQEDRSKAVDMATAGASSFEDEIMESDIELEGEAVEPDNDPPQKMGDPSVEVSDEKRDQAQLCKNKGVDAFSEGKVYLPVLIEISHYNKMNCVILCNKHFSISGKLDEAIEHLTEAIVLNPTSAIAYATRAVIFVKSKKPNAAIRDADAALKINPDSAKGYKSRGMAKAMLGKWEEAAQDLRMAAKLDYDEEIGAELKKVEPNVLKIEEHRKKYERLRKERDIKKAEMEKQRKHAEEVSAASAALKDGDVIAIHSSSELDTKLKAASSLSRLVVLYFTAAWCGPCRFIGPVCKSLAEKHRNVVFLKVDIDELNSVAYRWNVSSVPSFFFVRNGKEIDKVVEPQDNIEEEAIEDTPCKQDGNINPDAVNGDVQCGEHVLPHQRARRVAGVELPPEAAGLEPPERPRREVGAVAGVAPAPLELAHQQRLERVLDRGGVVQPPPPHRDERPLEEEAAGEEEERRRRDDDGVAGDVAGDERRDEHDVGVGGDEGGEEDDPEVEQAALHPEHQLGDHGEGEPLHGEEGEVDDEGGDDVGRRPVGVVRPLPDEDEPLLDEGWHGVVGGEEDEADGEDVEVEQPVHRRDVAGAEAAEHGGEDDRHRREHHLPREEQLRRAHHVEHRAPRQHRHLPRPRSSSGASSGGSRSSSYSWYVGSSGLNTGTCGDGGGGGRRCGLFCGVGVIVTARPRWKLDTGVLAGVHVGRRCGVLPNCDASLGWYPEAGPPACIGVCNFLSSELSKSSSSSSPPPSTLYDDSKLEKVLPLAAPLLRENWLLLSWICLNCTDFWCGVLGVLGTGVFAAPSGFVPSLW >ORUFI09G10340.2 pep chromosome:OR_W1943:9:12024276:12032696:-1 gene:ORUFI09G10340 transcript:ORUFI09G10340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGAIGKDEEQRRHAEERKESDYFGAGGGAAAAAMDWSFASRAALMSFRSSSSAAAAAAREETRELAFPHFSALDGAKMQQASHVLARQKSFGAESHGIPQYAAAAAVHGAHRGQPPHVLNGARVIPASSPFNPNNPMFRVQSSPNLPNAVGAGGGAFKQPPFAMGNAVAGSTVGVYGTRDMPKAKAAQLTIFYAGSVNVFNNVSPEKAQELMFLASRGSLPSAPTTVARMPEAHVFPPAKVTVPEVSPTKPMMLQKPQLVSSPVPAISKPISVVSQATSLPRSASSSNVDSNVTKSSGPLVVPPTSLPPPAQPETLATTTAAAIMPRAVPQARKASLARFLEKRKERVTTVAPYPLAKSPLESSDTMGSANDNKSSCTDIALSSNRDESLSLGQPRTISFCEESPMATAAGAAAGGQSGGGEPPGVEVVGGGRGRAEQRRPWGLVRGRRSGGGLGEQRVGAGVEAALGTGAGAEADLGRGHRRGDLGGRRVGAGVAASLLSGNAPCCLAPKPDDASISQSDMIIGFASRNKTELLCFSLHEDDGLVELLWCNGHVIMQSGAAEAAEAGEDGDDGGAGEGKAEQRRRQEDRSKAVDMATAGASSFEDEIMESDIELEGEAVEPDNDPPQKMGDPSVEVSDEKRKLDEAIEHLTEAIVLNPTSAIAYATRAVIFVKSKKPNAAIRDADAALKINPDSAKGYKSRGMAKAMLGKWEEAAQDLRMAAKLDYDEEIGAELKKVEPNVLKIEEHRKKYERLRKERDIKKAEMEKQRKHAEEVSAASAALKDGDVIAIHSSSELDTKLKAASSLSRLVVLYFTAAWCGPCRFIGPVCKSLAEKHRNVVFLKVDIDELNSVAYRWNVSSVPSFFFVRNGKEIDKVVGADKNGLERKVAQHGSS >ORUFI09G10340.3 pep chromosome:OR_W1943:9:12024276:12032696:-1 gene:ORUFI09G10340 transcript:ORUFI09G10340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGAIGKDEEQRRHAEERKESDYFGAGGGAAAAAMDWSFASRAALMSFRSSSSAAAAAAREETRELAFPHFSALDGAKMQQASHVLARQKSFGAESHGIPQYAAAAAVHGAHRGQPPHVLNGARVIPASSPFNPNNPMFRVQSSPNLPNAVGAGGGAFKQPPFAMGNAVAGSTVGVYGTRDMPKAKAAQLTIFYAGSVNVFNNVSPEKAQELMFLASRGSLPSAPTTVARMPEAHVFPPAKVTVPEVSPTKPMMLQKPQLVSSPVPAISKPISVVSQATSLPRSASSSNVDSNVTKSSGPLVVPPTSLPPPAQPETLATTTAAAIMPRAVPQARKASLARFLEKRKERVTTVAPYPLAKSPLESSDTMGSANDNKSSCTDIALSSNRDESLSLGQPRTISFCEESPMATAAGAAAGGQSGGGEPPGVEVVGGGRGRAEQRRPWGLVRGRRSGGGLGEQRVGAGVEAALGTGAGAEADLGRGHRRGDLGGRRVGAGVAASLLSGNAPCCLAPKPDDASISQSDMIIGFASRNKTELLCFSLHEDDGLVELLWCNGHVIMQSGAAEAAEAGEDGDDGGAGEGKAEQRRRQEDRSKAVDMATAGASSFEDEIMESDIELEGEAVEPDNDPPQKMGDPSVEVSDEKRDQAQLCKNKGVDAFSEGKVYLPVLIEISHYNKMNCVILCNKHFSISGKLDEAIEHLTEAIVLNPTSAIAYATRAVIFVKSKKPNAAIRDADAALKINPDSAKGYKSRGMAKAMLGKWEEAAQDLRMAAKLDYDEEIGAELKKVEPNVLKIEEHRKKYERLRKERDIKKAEMEKQRKHAEEVSAASAALKDGDVIAIHSSSELDTKLKAASSLSRLVVLYFTAAWCGPCRFIGPVCKSLAEKHRNVVFLKVDIDELNSVAYRWNVSSVPSFFFVRNGKEIDKVVGADKNGLERKVAQHGSS >ORUFI09G10340.4 pep chromosome:OR_W1943:9:12022047:12024039:-1 gene:ORUFI09G10340 transcript:ORUFI09G10340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWVRYSEPQDNIEEEAIEDTPCKQDGNINPDAVNGDVQCGEHVLPHQRARRVAGVELPPEAAGLEPPERPRREVGAVAGVAPAPLELAHQQRLERVLDRGGVVQPPPPHRDERPLEEEAAGEEEERRRRDDDGVAGDVAGDERRDEHDVGVGGDEGGEEDDPEVEQAALHPEHQLGDHGEGEPLHGEEGEVDDEGGDDVGRRPVGVVRPLPDEDEPLLDEGWHGVVGGEEDEADGEDVEVEQPVHRRDVAGAEAAEHGGEDDRHRREHHLPREEQLRRAHHVEHRAPRQHRHLPRPRSSSGASSGGSRSSSYSWYVGSSGLNTGTCGDGGGGGRRCGLFCGVGVIVTARPRWKLDTGVLAGVHVGRRCGVLPNCDASLGWYPEAGPPACIGVCNFLSSELSKSSSSSSPPPSTLYDDSKLEKVLPLAAPLLRENWLLLSWICLNCTDFWCGVLGVLGTGVFAAPSGFVPSLW >ORUFI09G10350.1 pep chromosome:OR_W1943:9:12046689:12047033:1 gene:ORUFI09G10350 transcript:ORUFI09G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIGEVIAGGAPRAAANDAMVETRLGMETHTPPRFLHHDLQRRLPCDGALHTTADDAMVETRSRTETCIASSSPPPSQPTTPRRVLELAPYVLSSMSPSDARELPELKNKGRH >ORUFI09G10360.1 pep chromosome:OR_W1943:9:12052428:12055619:-1 gene:ORUFI09G10360 transcript:ORUFI09G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPRSTISAQFPPYQFVDLFLLPPHPXRREAAASARPPSSGFAPYSPLISTSSRRTDPPAGAGAGEDDAVACPSCAEPFPSELAVSDHLDGCLAAAGGARPRAAAYLAGDPPASAVEVVKRLLGNLLSDPRNDKYRKVRLGNPRIKEALADREGGVDLLEAVGFRVADEGGELFALMDEVPGDARLGGIRQAVLLLERARPSTPPQTQADAKETCPNGVSEEQGIKKPVDRQIRVFFSVAASSVAENDLPDSFYSLSNEEIRNEAKMRRERLEQSRLLIPKSYKEKQALAARQKYKQALIRIQFPDGVILQGVFLPAEPISSLYEFVASSLKQPSLEFDIICPAGPRTRVIPPFPKPGEQARTLRDEDLVPSARLTFKPKETDSVVFTGLLDELLETSEPFTSASS >ORUFI09G10370.1 pep chromosome:OR_W1943:9:12057007:12060532:1 gene:ORUFI09G10370 transcript:ORUFI09G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVTILLFLCPYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVSQWVITVITVIRAHQSASWPKERPGSGNQGQKSPAEPPPAPLILAFHVYVPDKVSMTVRGAYGALYILNWAYRYFTEQHYG >ORUFI09G10380.1 pep chromosome:OR_W1943:9:12062475:12063075:1 gene:ORUFI09G10380 transcript:ORUFI09G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPRCRGRHRGRQTAESTGQGGGVAELLPCRSRRFLQAPSAPPQPPSLFLSDLPGGRPAAAGIVHSVLVVERRPPGLGVVGQRWWRRLVGKEEVSVSGLSVRLEAIVEPDSPGRRSEGSLSSSFRRKVVRLRSVGCGSRSFLGDFLELLSTGFSDCVLRRVESHCEPKPKSSVGALVHLGGSHFVAGNDDDN >ORUFI09G10390.1 pep chromosome:OR_W1943:9:12062628:12063230:-1 gene:ORUFI09G10390 transcript:ORUFI09G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPKQDDAIAGEERLSSSLPATKWLPPKWTSAPTDDLGLGSQWDSTRRSTQSLKPVERSSRKSPKKLRLPHPTERKRTTFLLNDDDNEPSLRRPGLSGSTIASSLCPSDADNAEATDTDFLLADKPPPPPLANDAEPWWPPLDDKDGVNYARRRRPPSGQI >ORUFI09G10400.1 pep chromosome:OR_W1943:9:12066953:12070013:1 gene:ORUFI09G10400 transcript:ORUFI09G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGGGGVGGISDEQILWDWQAAEHCESNAASHDVSRFMWDCLNQDDDDLLGLLGNQTPLRDCRGFFDIDDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTLGTSELTEILASDTIDSLSTGAFYQSSHSHSKNCSDENQMHFRHDQMHSSQESVTYTNDQSGISGTTENDSVTESLVMQETRKLSTLKVSKGASLVKAKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVVGKTRIRTDGGRGSITILRTKG >ORUFI09G10400.2 pep chromosome:OR_W1943:9:12065823:12070013:1 gene:ORUFI09G10400 transcript:ORUFI09G10400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSVSPSCLWDWQAAEHCESNAASHDVSRFMWDCLNQDDDDLLGLLGNQTPLRDCRGFFDIDDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTLGTSELTEILASDTIDSLSTGAFYQSSHSHSKNCSDENQMHFRHDQMHSSQESVTYTNDQSGISGTTENDSVTESLVMQETRKLSTLKVSKGASLVKAKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVVGKTRIRTDGGRGSITILRTKG >ORUFI09G10400.3 pep chromosome:OR_W1943:9:12066953:12070013:1 gene:ORUFI09G10400 transcript:ORUFI09G10400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGGGGVGGISDEQILWDWQAAEHCESNAASHDVSRFMWDCLNQDDDDLLGLLGNQTPLRDCRGFFDIDDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTLGTSEVSEISLLCTDEPQSFNWDSQNNSNNFGTTENDSVTESLVMQETRKLSTLKVSKGASLVKAKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVVGKTRIRTDGGRGSITILRTKG >ORUFI09G10400.4 pep chromosome:OR_W1943:9:12065823:12070013:1 gene:ORUFI09G10400 transcript:ORUFI09G10400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSVSPSCLWDWQAAEHCESNAASHDVSRFMWDCLNQDDDDLLGLLGNQTPLRDCRGFFDIDDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTLGTSEVSEISLLCTDEPQSFNWDSQNNSNNFGTTENDSVTESLVMQETRKLSTLKVSKGASLVKAKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVVGKTRIRTDGGRGSITILRTKG >ORUFI09G10400.5 pep chromosome:OR_W1943:9:12065751:12067051:1 gene:ORUFI09G10400 transcript:ORUFI09G10400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAREMTSSILCLLSLLIPFDRPPPSTGDAAPSTHRPPAHRPAPAPSFSTRGPPSTPRDRPSDPQLRHHPGILFFPQQHIVTWWGIVAIGPPAGGDEVLGFPFLTRIIGAE >ORUFI09G10410.1 pep chromosome:OR_W1943:9:12076566:12077285:-1 gene:ORUFI09G10410 transcript:ORUFI09G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDASTMAAAEADPKPAATPSYPEVRTAFPSPLPSPSCEVKLTALRARAQMILAAIEALDDRNGSNKTAISQHIEGKYEGLLPPAHPSLLTAHLARMKQTGELAFSKNNYFRGDDPSLPPKRGRGRPPKPKDAAAAAAAPAPAPAAPAASSPRPRGRPPKPKDPLAEAVAKATSGMPRARGRPPKKAKVEQEDPIGAPAAASAPAAAAEAAPPVKRGRGRPPKVRPAAPVGEPAAA >ORUFI09G10420.1 pep chromosome:OR_W1943:9:12080809:12088490:-1 gene:ORUFI09G10420 transcript:ORUFI09G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAVEKVRSIVASHAHQHKGGGGDGDGEARRRYQGEGAPRPRSPESRSAFEPPTPPRARAPDARSGTKGDAAPCSPPPCRDIRRADEEIDEPRVQFFAPGTYFSHDSSDSDSSVSVANSMYRSVTPSPSESPTVRQNDASDHGATTMSDSDDAREHVGASIASWCEEEHKSFRIVDFDDDIWYPPPPEDESDDVESKLCAFDDEDDEYGDSSNFVVPNCFSADKFAGVDSSVNGSHIENVQNDLLRHFQALVAQLLTGEAISLARDKESKGWLEIVSSLAWQAANFVKPDTKKGGSMDPSDYVKIKCIASGKPSERFVLVCIVAYLFHASSHHHYKTLMDLIACSNFVKGIVCSKNVKHKRMVSEHQNATLLILGGALEYQKVSNKLASIGTILEQEKEHLRMIVGKIESRRPNVLLVEKSVSSFAQELLAKDISLVLNVKRPLLDRISRCTGGQIASSIDNIASARLGHCDTFKVEKVLESSTEHSEKKSTKTLMFFEGCMKRLGCTVLLRGACRDELKRIKRVMQLAVFAAYHLSLETSFFADEGATLPRVPSTSSVGAPEMQTNREHLSSCYANQGSPVSLRTEEEKYAHNASISQIFNGISGPPTFLPLDGESQGIVSEHREVESPANHIKGDNSFHSHHPNALCNVHSVSLGNNLGSMGVTPYYDPCNSLQSPVGVSANILGEVHNLENCGCPISLDDFHVGGLNDQNKLSGGYFPGTDNHQSILVSFSSTCIPKSLACERSHLFRIKFYGSFDKPLGRYLREDLFDQAYCCPSCKEHSESHVRCYKHQHGSLTISVRRLVSQKLPGEHDGRIWMWHRCTRCKLEDGMPPASHRVIMSDAAWGLSFGKFLELSFSNHATANRLASCGHSLQRDCLRFYGYGNMVAVFQYSPMVTLSVNLPPSMLDFNCHSTQEWVKGEAVAVFGEMESLHAEVYGFLSNTEKSIITMDESLKTGIERQIIEMKDLLNMERNEYEVTLLLPVIRGSTHSMKSSIDILELNRLRRGLLLDAYIWDCRLCNISSITKDGRASRIKGSEAELHQAITNHGEMHQEPSTCPQCSSGSLRKSLLSREGHSMDTEIILPEIDLPVGMVDSFVHDIGGLDLVFSKFDVSGIAQSLSKDSIKTEPVERLPSLASILSDKIDMAWSGSCELHNNLPESTTKGNENPSYSKAIPPVRIHSFDSIFRLHQRESTGLLPASLHLSSKSVDSFRDLTSLVKDPLTNMRRAFSHISPRERGNLNVLTHLPTYIRSAAHMVSDGARLLLPHIDFEGGVVVAVYDDEPTSIVSYVMTSQEYIEHITHKMDTKSSFHHPVNCAVASNNQFEESFLPQEGHSEFKGTHFSFSFDDEAFSADNTKFSVTCYFARQFAALRKKCCPGDIDYIRSLSRCKRWSAQGGKSNVYFAKTMDERFIIKQVTKTELDSFIGFAPHYFRHLAESLTSRSPTCLAKIMGLYQVNIKGLKGGREVKMDLMVMENIFFQRTISRVYDLKGSVRSRYNSDTSGHNKVLLDSNLIEAQHTNPIFLGSKAKQRMERAVWNDTSFLASLDVMDYSLLVGVDERKNELVIGIIDFLRQYTWDKQLETWVKASGILGGSKNEAPTVISPVQYKKRFRKAMSRYFLAVPDDWSSS >ORUFI09G10430.1 pep chromosome:OR_W1943:9:12096192:12097432:1 gene:ORUFI09G10430 transcript:ORUFI09G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVIIPAPRCGRFRRGVSCKLQVQTCSFSGGWAGVRGEHRHISTFFLVSDYAVNNDIAVRCDMVRFLLFAFLSRALASALLSRFPFTSSSNDKRLRPLANNLERRGLGGDDELLVAQQQQHKAVAALAAPNVVAAANDHRWPLHERACSLVVTVT >ORUFI09G10440.1 pep chromosome:OR_W1943:9:12112566:12114515:-1 gene:ORUFI09G10440 transcript:ORUFI09G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTILRSAGGALRRSVLAGEQRLLTRRAAVVPALSAMEENPPSASCDDGSTHKAAATAPSSSSDPAAELGDMENNEFLVYSYVSKKLDNIENLLDKMEALRKESDEADARFAQLRPYKAFACVSGIFAFCYCASLWLR >ORUFI09G10450.1 pep chromosome:OR_W1943:9:12122008:12122748:-1 gene:ORUFI09G10450 transcript:ORUFI09G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALAGKRRVAKVMTVDGATFRYRAPATAGAALRGHPAGHQLLESEEVRRLGVRARPLDRDAPLKPGKLYFLVQLPRSAAGYGGDDDPRAPRKTWSGALHVGARERLESLMLSRRTVSDMASVVPAARAVAGGGGEPARRPSSVEVGVDGAVRLRMRLPKSEVARLMKDSKDAAEAAERIMQLCVARDQGGAGAGAAVTLAASGPVSAMSGRKTSAMKKEVGIFFLSLLPLPMLTSLELPRDVIL >ORUFI09G10460.1 pep chromosome:OR_W1943:9:12150044:12152278:-1 gene:ORUFI09G10460 transcript:ORUFI09G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVSLMVIAASSPLVALLLRAAWVTLSCYWLTPMRIRRAMAAQGVRGPPPRPLVGNLREVSALVARATADDMPSLSHDIVGRLMPHYVLWSGTYGKLFVYLYGSEPRLCLTDTALIKEFLSSKYAHATGKSWLQRQGTKHFIGGGLLMANGARWAHQRHVVAPAFMADKLKARGRVGRMVECTKQAIRELRDAAAGRRGEEVEIGAHMTRLTGDIISRTEFNTSYDTGKRIFLLLEHLQRLTSRSSRHLWIPGSQYFPSKYRREIRRLNGELEAVLMESIRRSREIADEGRAAVATYGRGLLAMLLSEMEEKEKNGGGGGGEFSYDAQLVIDECKTFFFAGHETSALLLTWAIMLLATNPAWQEKARTEVAAVCGDHPPSADHLSKLTVLQMIIQETLRLYPPATLLPRMAFEDIQLGGLRLPRGLSVWIPVLAIHHDESIWGPDAHEFRPERFAPGARRPSAAGAARFLPFAAGPRNCVGQAYALVEAKVVLAMLLSAFRFAISDNYRHAPENVLTLRPKHGVPVHLRPLRP >ORUFI09G10470.1 pep chromosome:OR_W1943:9:12188538:12189490:-1 gene:ORUFI09G10470 transcript:ORUFI09G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFNKALLAPVDKRLDEATQAINEAADSVVAAAPPAKKDEVEAATWKRRMFAFAALGMAQGDEKKVAATSLAYKKAAKAVLDAAPADKFKLMDESFK >ORUFI09G10480.1 pep chromosome:OR_W1943:9:12206902:12209835:-1 gene:ORUFI09G10480 transcript:ORUFI09G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEEEGGRDEGVILRLLVSSPILSFYPICNGMNQLILGIKLDDGSVGSELLFADSEQWKSIKRKKGQQTCVQKDNKVLAQYGIACDYNY >ORUFI09G10490.1 pep chromosome:OR_W1943:9:12213892:12214179:1 gene:ORUFI09G10490 transcript:ORUFI09G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLATCVLVLLLVLSCDAHTAAAPAGVAGNLTAALVHLHEELQAQDPLGCDDTCQGCLVRGAQLCFGEYFLHPLGLAECFIEHIVVDRCFGNK >ORUFI09G10500.1 pep chromosome:OR_W1943:9:12217135:12221273:1 gene:ORUFI09G10500 transcript:ORUFI09G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISVKTLKGSTFQIEVDSAQKVADVKRIIETTQGQHIYPAEQQMLIHQGKVLKDDTTLDENKVLENSFLVIMLRQGKGSSSSAPATSKAPSNQAPPTQTVPAAPASQAPVAPATTVPVTVSAPTPTATASPAPAVAVSSEADNYGQATSNLVAGSNLEATIQSILEMGGGIWDRDIVLHALSAAFNNPERAVEYLYSGVPEQMDIPVPPPSIQPANPTQASQATQPAAPSILSSGPNASPLDLFPQALPNASTDAAGLGNLDALRNNAQFRTLLSLVQANPQILQPLLQELGKQNPQILQLIQENQAEFLHLINEPAEGDDEENLLDQFPEAMPQTIAVTPEENEAILRLEAMGFDRALVLDVFFACNKDEQLAANYLLDHMNEFDDEGPP >ORUFI09G10510.1 pep chromosome:OR_W1943:9:12221284:12222128:1 gene:ORUFI09G10510 transcript:ORUFI09G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASSASVVVGEAAGGGGGGGVADSDGPHIPSPQPPSPSSFGVVTTALQSPPPPSPSLSSFVASSPDADPALEACSVMVCGTGAAVAIFSNKYPGVYATHCATAADAVNTHSINACNVLALSGMATPPDAVAAIADAWLTTPFRAPCPVPCARPPATPHGRRTSSASSTPRPTRWPSSPRASSPPFLSLTLPAPSAV >ORUFI09G10520.1 pep chromosome:OR_W1943:9:12223868:12229697:-1 gene:ORUFI09G10520 transcript:ORUFI09G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVEDPDLEDPNPDVGELFSHYDGLYFRGALAGAGFSVQWSSPPSRMAGSFGSCTFRKPDNTITLSETVLKYRSSIDTKNALLHQMIHAILFVKHHRKDCRGHGPIFRAWMTAINTCSIDDHQRPPDGYNITTRHDFSPDKSTCSLSGFLWKCEFCGNTLVRATNIGAPSDACCIENVDNCSTCGNMLCHWHNHKMDCGGTYTKMGTSTSAEVQNNVQGTKRCPTDMKMAKSQRTIRKPESPDSDGLQEKATVTKRKAEGELLALVAGSNVKSTGSNSSKKGVKRHRPEDTQDTNAMLSTPVKNLKLGLDLVSSGKRRVSSTVGSNNTKSSRGSASRKQHKRHSPENVQKSSVLPALSQKKLKLKEDLVVSGKNEPLSLVNCSNGKSAGSNSSKKVSKQHELEGVQKSCVQPASPPRKPRQDLVASVKTEISCLASRSDADIQKSIALPSASESKLKRQNEISSSTKAGMQDKPRGTQKTIDLPASPQTKLKQSVLQKQKRQCGTRKSANEQFAVISAWLNYYESEGSSGSTEPLVNKRTERRRIARNRITCTRSRKQNARGNASIKSQPSEDDSSQAKAAAPCLEIVVSTPSEQVVNQSPGCQSQSPAPYLAIVPFDAAHDMVPLQSADPPGLTDDPTITSGIIDISDDD >ORUFI09G10530.1 pep chromosome:OR_W1943:9:12235598:12245000:1 gene:ORUFI09G10530 transcript:ORUFI09G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRRLSNGRSPLLRKQSQITAFFSSPTAKPSPSPLNPRATKPPLAVPSPPPPNPPSPPQEEETAVGRRLRVYWPLDDAWYEGRVEGYDVGSRRHRVRYDDGEEEVVDLASERYEWAAAADEEEVTPQPSRKLRRLRRMSDAATAKSPGAVDGGGGGDETADSAEEEDEDWKNDAAAENDSEEVELDDEEDDEEEVVAVKTRKGKKNNSLSMSASTPKLASGLGSVSISGSTLSKKRRKVDAGALDCAKKFSFEPPNTTGKVELKVPISCSQREQPLENALTALTGEVAERFAQRQAEKFKFLGEGRKDAKGRRPGNPNYDPRTLSLPSQFLNSLTGGQMGKFYELFEMDAHVGAKELDLQYMKGDKPHCGFPEKNFELNLEKLAKKGYRVLVIEQTETPEQLDLRRKETGVKDKVVRREICAMVTKGTLTEGESLLANPDPSYLFSVAESYQCGSEKDQDGHTIGVCIVDVSTSKFIVGQFQDDAERHGLCSILSEIRPAEIIKPAKMLSPGTEKALNSNTRDPLINNLLPSMEFWDAEKTIHEIKQYYCSLDTPGAGAQISSAYLPELLSELIEAGDKTYALSALGGSLFYLRQSLLDEKLLPCAEFERLTCSGLTNPIRKHMILDAAALENLEILENARNGGLSGTLHAQLNHCVTGFGKRLLKRWIARPLYERQAILQRQSAIATFKGSGHECAIQFRKDLSRLPDMERLLARLFSSCDKNGRSSKSVVLYEDASKRLLHQFTAALRGCQQMFQACSSISMLTSTDGSSLLNDLLSPGKGLPHVSSILDHFRDAFDWSEADRNGRIIPHEGCDPQYDAACIAIEEIESSLKKYLKEQRKLLSDSSVKYVDVGKDTYLLEVSENLRGSVPQHYELQSTKKGFYRYWTPEVKELISELSKAEAEKEAKLKCILQNLIQLFVGHHSKWRQLVSVVAELDVLISLAIASDFFEGPTCCPIIKESYGPDDTPTLHARNLGHPTLRSDSLGSGSFVPNDIKMGGPGNASFIVLTGPNMGGKSTLLRQVCLTIILAQIGANVPAESFELSLVDRMFVRMGARDHIMAGQSTFLVELMETASVLSSATKNSLVALDELGRGTSTSDGQAIAASVLEYLVHRVQCLGLFSTHYHRLAAENKDSKVSLCHMACEISKGEGGLEEVTFLYKLTPGSCPKSYGVNVARLAGIPASVLQRANEKSSDFEASYGKRPGITKNKPSCAQEDKFAAIKDLFRVVKAMHHREDHASSLGMLHEVQKRAKVQVIGE >ORUFI09G10540.1 pep chromosome:OR_W1943:9:12245357:12247390:-1 gene:ORUFI09G10540 transcript:ORUFI09G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPNGIPASRPLASSVPIEAVLFDIDGTLCDSDPLHHVAFQEMLLEIGYNNGVPIDEEFFINNIAGRSDVEAAQNLFPDWPLEKGLKFLEDKEAKYRSLAKERLEPVKGLAKVVQWVKYHGYKRAAVTNAPRINSELMISLLGLTDFFQAVIVGGECEKPKPAPFPYLKALKELQVSADHTFIFEDSASGTRAGVAAGIPVVAVATRNPEKSLLDAGATLIIKDYEDPKLWSALEEIDREEAKLKKADA >ORUFI09G10550.1 pep chromosome:OR_W1943:9:12248683:12250521:-1 gene:ORUFI09G10550 transcript:ORUFI09G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTTGFPRRRSPPVDADLLPRLRLAAGQSSPWRVLLQSLALVVTSGLSASASHSHRGRALSSRLLNSLLPHAPRRLLPALLRLLPGDHLTLLLLVSSKHHSHSLPAASALHALAVSSGHLPSDLRIANSLLSLYLSLGSPASARRLLADIPRPDAVTWNTLLRACLRLGLLPAARRLFDEMPERDVVSYNSMVAGYVAEGDLAGARNLFDGMARRDVVTWNSMISGYSRHGDMENARKMFDAMPERDVVSWNSMLDGYAQAGDVEMARLVFDGMPKRSIVSWNVILALYAKLRDWRECLGLFDVMIAEGNTVPNEKTFVSVLTACANLGDLEKGRWVHDLVQERWDRLVPDVLLLTTLLTMYAKCGVMETAREIFNSMGEKSVPSWNSMIIGYGLHGQSEKALELFLEMERDGPRPNETTFICVLSSCAHGGLVLEGWWCFDRMVRFYSIEPKSEHFGCMMDLLGRAGLLEQSENLIENLQGKVSEALWGILMSASQTQNNIKLGEFVGKKLIEMRPTEVGPYILLSNIYAAEGRWNDVEKVRKVMEEKGVEKDAGLSLVGSREGGHFINESGASAPRNDVMLCMLGEMSVHMKQPSEGSNCRKRSPSAP >ORUFI09G10560.1 pep chromosome:OR_W1943:9:12252711:12256820:-1 gene:ORUFI09G10560 transcript:ORUFI09G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDLSSPARKRGRDEEEEEEEEVVDGEAAQKRARGEDPEGGALLGLANYEEDEEDEEAAAAAGRRRANGRHEEEEEEDDDDDEVDNDVRRAPERRPRQVELRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYYQGRGLKSHAYTHSLEAGHHVFINLQTEKAYCLPDGYEINDPSLEDIRHVLNPRFTTEQVRNLDRNKQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRITPLRNFFLIPENYRHSKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASDKRFQIGVQSDPVEFMSWLLNTMHSKLKSRKRNRSIIHDCFQGELEVVKEFHKKHIVEKKEDGDEQNGDAGSDIVTETSRVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGETVTEVVRPSIARMRYRVIRLPKYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPKPKDSEKLRSKYDLIANVVHDGKPGEGCYRVFVQRKSEEAWYEMQDLHVTETLPQMVALSEAYMQIYEQHE >ORUFI09G10570.1 pep chromosome:OR_W1943:9:12261944:12274284:1 gene:ORUFI09G10570 transcript:ORUFI09G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIVSSSKLVQPAGPLPPQHKSTDRRSPAPPDLTPERPDPPPPAMEWATVQHLDLRHAGGRRGASARPLQPHAAAFRASQAIVAVAIGTHVVEFDALTGSKIASIDLGARVVRMAYSPTASHIVIAILEDATIRSCDFATEQTLVLHSPEKKTDHVSIDTEVHLALTPLEPIVFFGFHKRMSVTVVGTVEGGRPPTKIKTDLKKPVVNLACHPRLPVLYVAYAEGLIRAYNIQTYVVHYTLQLAVDSTIKLVGAGAFGFHPTLEWIFIGDRGGTLLAWDVSTERPSMIGITQAGSQPITSVSWLPTLRLLVTISKDGALQVWKTRVIINPNRQPMETHFFEHAAIETMDITKILTLQGGEAVYPLPRIRNLAVHPKFNLAADMSGTEAAKNKAAYTREGRRQLFAVLQGARGSTAAVLKEKLLALGSSGILAEHQLQAQLQEQHLKGQSQLTISDIARKAFLHSHFMEGHAKSGPISRLPLITISDSGNLLRDVPPFHLELNFFNQENRVVQYPVRAFYLDGFNLMAHNLSSGADNLYKKLYSTIPSNMECHPKNIVYSPKQHMFLVVFELSGPNGVAHEVVLYWEQTDLQTVNSKGSSIKGRDAAFLGPDDNQYAILEEDRTSLNLFNLKAVATKEALENNAAVLEENTFADNVTNPTERQGPMQFTFESEVDRIFSAPLESTMLYVISGKHIGLAKLLQGYRLSADNGVSITTKTEGKKFIKLKPNESVLQVHWQTTLRGPVVGILTTQRVMIASADLDILSSSSTKYDRVLLGALNDRLLLVNPTDINPRQKKGVEIRSCLIGLLEPLLIGFATMQQYFEQKLDLSEVLYQITSRFDSLRVTPRSLDILAKGPPVCGDLAVSLSQAGPQFTQIMRCNYAIKALRFSTALSILKDEFLRSRDYPQCPPTSHLFQRFRELGYACIKYGQFDSAKETFEVISDHESMLDLFICHLNPSALRRLSQKLEESATDSELRRYLERILRVRSTGWTQGVFANFAAESMVPKGPEWAGGNWEIKTPTNMKSIPQWELAGEVMPYMKTTDAGIPSVTADHIGVYLGVMKGRGTVVEVSEKSLVKAIAAASGDNARPASSESTQKNVANAGGDSVGDTLARQLGVQIASADEQAKAAEEFKKTLYGVVDGGSSDEDESTSKTKKIHIRIRDKPAASTVDVNKLKEATKQLGLGPPITRTRSLSGTPQELNQAPMQPPGLAPPAGPAIPNAAVDLFGTNALVEPQASSGATGPVIGGMGVTAGPIPEDFFQNTIPSQQLAARLPPPGIILSRIAQPAPGMSAVRPVHNQNMMANVGLPDGGVPPQAPMQQAQFPQQPGMPMDPISLPDGGVPPQSQPLPSQPQALPPQPHGFQPAIPAMSQPIDLSALEGPGQGKQAPRPPAPTAVRPGQVPRGAPAAECYKMGLAHLEQNQLTDALSCLDEAFLALAKDQSREADIKAQATICAQYKIAVALLQEIARLQRVQGAGALSAKEEMARLSRHLASLPIQAKHRINCIRTAIKRNMEVQNFAYAKQMLDLLYSKAPPSKQDELKSLIDMCVQRGLTNKSIDPFEDPSQFCAVTLSRLSTIGHDVCDLCGAKFSALSAPGCVICGMGSIKRSDALAGPVPSPFG >ORUFI09G10580.1 pep chromosome:OR_W1943:9:12293301:12313103:1 gene:ORUFI09G10580 transcript:ORUFI09G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCMSHSYSPSRSCRWLRLLEVERLRLMWKSRKDVVHWLNMLISDVASDEVAICNNDGKDAKLANISTTKGSSSSTAGNDSGDFKWLGPESHSKKGKSYKSFWRRGFTFMVHDFVYILVQHGNKLVAYVEELYEDNHANKMVQIRRFRTLNSTGIQLSPGVNDREILHSDNLQDIGVECIDGLASVLNEEHFEMFQAIANNTNRQPYLCIRHIDNNSNVKTFDIAQLQGYSEQEIFRIVSGTPPVTVHPDASEGSKNTPRSSARGHHHHRTMENPTASDETNVQATTINVLARNAAPTESASALINSALEKYLEQYFSHGCLVECLSQDSGIRGCWFIGSVIRRRGDRIKVRYQHLQDPETPRANLERENPSTISVGTVIPSLNGWLYDGWWEGIVLKVNDARRLLAYLPGEKKMVLFRKDQLRHSLEWIDNEWKNFAHREDIARRIPSAEDLRIRVITAREVLTREEVMKQLEGLKTNKGGSNSTKPAAEKGSSSSATKKTTPDLIRHATNDLGSLNFKHVGVPASEEIRTDNKGSQVNLENVLKSDSLKWTERKARGSFGPRIPNSVSSMIDVTSDEVAICNNDGENAKLANISTTKDSSSSAAGNDSSGFKWLGPESHSKKREELQILLAKGIYIHVIRRRGDRIKVRYQHLQDPETPRANLEEWLLVTRTANPDPLCIRLSGRTRIRPHNMSERENPSTIGVGTVIDGWLYDGWWEGILLKVSDARRLLAYLPGEKKMVLFHRDQLRHSLEWIDSKWKAFAHQEDLRISHYCTRSSYKRRSNEANGRPSNKQRWFKLCNTSYALNSPGSPQGLCSLILNHSSVSSSSSSPSPRKSSFSMDYRLYYMTLRMNIDCNGCYHKIRRALLQMQELESHLIDRKHGRVSVFGAFSPQDVAIKIRKRTNRRVEILEVREAAPPPPPAGDEGGGGGGGHAA >ORUFI09G10580.2 pep chromosome:OR_W1943:9:12293301:12313103:1 gene:ORUFI09G10580 transcript:ORUFI09G10580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWCMSHSYSPSRSCRWLRLLEVERLRLMWKSRKDVVHWLNMLISADTNSVSSITDVASDEVAICNNDGKDAKLANISTTKGSSSSTAGNDSGDFKWLGPESHSKKGKSYKSFWRRGFTFMVHDFVYILVQHGNKLVAYVEELYEDNHANKMVQIRRFRTLNSTGIQLSPGVNDREILHSDNLQDIGVECIDGLASVLNEEHFEMFQAIANNTNRQPYLCIRHIDNNSNVKTFDIAQLQGYSEQEIFRIVSGTPPVTVHPDASEGSKNTPRSSARGHHHHRTMENPTASDETNVQATTINVLARNAAPTESASALINSALEKYLEQYFSHGCLVECLSQDSGIRGCWFIGSVIRRRGDRIKVRYQHLQDPETPRANLERENPSTISVGTVIPSLNGWLYDGWWEGIVLKVNDARRLLAYLPGEKKMVLFRKDQLRHSLEWIDNEWKNFAHREDIARRIPSAEDLRIRVITAREVLTREEVMKQLEGLKTNKGGSNSTKPAAEKGSSSSATKKTTPDLIRHATNDLGSLNFKHVGVPASEEIRTDNKGSQVNLENVLKSDSLKWTERKARGSFGPRIPNSVSSMIDVTSDEVAICNNDGENAKLANISTTKDSSSSAAGNDSSGFKWLGPESHSKKREELQILLAKGIYIHVIRRRGDRIKVRYQHLQDPETPRANLEEWLLVTRTANPDPLCIRLSGRTRIRPHNMSERENPSTIGVGTVIDGWLYDGEKKMVLFHRDQLRHSLEWIDSKWKAFAHQEDLRISHYCTRSSYKRRSNEANGRPSNKQRWFKLCNTSYALNSPGSPQGLCSLILNHSSVSSSSSSPSPRKSSFSMDYRLYYMTLRMNIDCNGCYHKIRRALLQMQELESHLIDRKHGRVSVFGAFSPQDVAIKIRKRTNRRVEILEVREAAPPPPPAGDEGGGGGGGHAA >ORUFI09G10580.3 pep chromosome:OR_W1943:9:12293301:12313103:1 gene:ORUFI09G10580 transcript:ORUFI09G10580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWCMSHSYSPSRSCRWLRLLEVERLRLMWKSRKDVVHWLNMLISDVASDEVAICNNDGKDAKLANISTTKGSSSSTAGNDSGDFKWLGPESHSKKGKSYKSFWRRGFTFMVHDFVYILVQHGNKLVAYVEELYEDNHANKMVQIRRFRTLNSTGIQLSPGVNDREILHSDNLQDIGVECIDGLASVLNEEHFEMFQAIANNTNRQPYLCIRHIDNNSNVKTFDIAQLQGYSEQEIFRIVSGTPPVTVHPDASEGSKNTPRSSARGHHHHRTMENPTASDETNVQATTINVLARNAAPTESASALINSALEKYLEQYFSHGCLVECLSQDSGIRGCWFIGSVIRRRGDRIKVRYQHLQDPETPRANLERENPSTISVGTVIPSLNGWLYDGWWEGIVLKVNDARRLLAYLPGEKKMVLFRKDQLRHSLEWIDNEWKNFAHREDIARRIPSAEDLRIRVITAREVLTREEVMKQLEGLKTNKGGSNSTKPAAEKGSSSSATKKTTPDLIRHATNDLGSLNFKHVGVPASEEIRTDNKGSQVNLENVLKSDSLKWTERKARGSFGPRIPNSVSSMIDVTSDEVAICNNDGENAKLANISTTKDSSSSAAGNDSSGFKWLGPESHSKKREELQILLAKGIYIHVIRRRGDRIKVRYQHLQDPETPRANLEEWLLVTRTANPDPLCIRLSGRTRIRPHNMSERENPSTIGVGTVIDGWLYDGEKKMVLFHRDQLRHSLEWIDSKWKAFAHQEDLRISHYCTRSSYKRRSNEANGRPSNKQRWFKLCNTSYALNSPGSPQGLCSLILNHSSVSSSSSSPSPRKSSFSMDYRLYYMTLRMNIDCNGCYHKIRRALLQMQELESHLIDRKHGRVSVFGAFSPQDVAIKIRKRTNRRVEILEVREAAPPPPPAGDEGGGGGGGHAA >ORUFI09G10580.4 pep chromosome:OR_W1943:9:12293301:12313103:1 gene:ORUFI09G10580 transcript:ORUFI09G10580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWCMSHSYSPSRSCRWLRLLEVERLRLMWKSRKDVVHWLNMLISDVASDEVAICNNDGKDAKLANISTTKGSSSSTAGNDSGDFKWLGPESHSKKGKSYKSFWRRGFTFMVHDFVYILVQHGNKLVAYVEELYEDNHANKMVQIRRFRTLNSTGIQLSPGVNDREILHSDNLQDIGVECIDGLASVLNEEHFEMFQAIANNTNRQPYLCIRHIDNNSNVKTFDIAQLQGYSEQEIFRIVSGTPPVTVHPDASEGSKNTPRSSARGHHHHRTMENPTASDETNVQATTINVLARNAAPTESASALINSALEKYLEQYFSHGCLVECLSQDSGIRGCWFIGSVIRRRGDRIKVRYQHLQDPETPRANLERENPSTISVGTVIPSLNGWLYDGWWEGIVLKVNDARRLLAYLPGEKKMVLFHRDQLRHSLEWIDSKWKAFAHQEDLRISHYCTRSSYKRRSNEANGRPSNKQRWFKLCNTSYALNSPGSPQGLCSLILNHSSVSSSSSSPSPRKSSFSMDYRLYYMTLRMNIDCNGCYHKIRRALLQMQELESHLIDRKHGRVSVFGAFSPQDVAIKIRKRTNRRVEILEVREAAPPPPPAGDEGGGGGGGHAA >ORUFI09G10580.5 pep chromosome:OR_W1943:9:12311489:12313789:1 gene:ORUFI09G10580 transcript:ORUFI09G10580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYRHRTNSEKPNIQLAPLGFNNNDTSMKLKITGLHYALITFNQNAATAISMYLINILQDRRKKAALDRDSHSNVDTTVVTEALPIPAAGIHNRVLRERHGRGLHQQQCLCDPFRFNLLNSFQSNYN >ORUFI09G10580.6 pep chromosome:OR_W1943:9:12291974:12293693:1 gene:ORUFI09G10580 transcript:ORUFI09G10580.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDGEKASKLCKEAGGPSLDSNLAPTIVLALTTVPMGWDNRRRKQASTIMNARGRGARNGNGPNRGRGGGRAHSDVVVGANTTVLATRRWVGLEIDSVPGNEGQRDIVNYYLRCATGVGNGERELAVVGTHHSNRRVTYVVHEPFLQSLKELQVAAVVGS >ORUFI09G10590.1 pep chromosome:OR_W1943:9:12312371:12314815:-1 gene:ORUFI09G10590 transcript:ORUFI09G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPPLVVGSTMASLASLSLPVSTASQGRARGAGPVTAAPRRRRVSVVRAKVREIFMPALSSTMTEGRIVSWTAAEGDRVAKGDPVVVVESDKADMDVETFYDGIVAVVLVPAGESAPVGAPIALLAESEEEVAVAQARAQALPRGPGQEPPPPHVPKAAPPPPPPPPPHAPPGPPPTKGVATPHAKKLAKQHRVDISMVVGTGPHGRVTGADVEAAAGIKPKLKGPKGAPPPPPPPPPSPHRHPAAHPPPPPHHPAPRPPPPMAAAPRQPAALPPVPGATVVPFTTMQAAVSRNMMESLSVPTFRVGYAVCTDKLDALCEKVKSKGVTKTLLLVKAAAMALTQHPVVNASCRDGKSFSYNSSINIAVAVAIEGGLLTPVLEDVDKLDVYLLAQKWRGLLKKARMKQLQPDEYSSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGGSRPTLVANKDGFFSIKNEMLVNVTADHRIIYGADLAAFLQTFAKIIEDPESLTL >ORUFI09G10600.1 pep chromosome:OR_W1943:9:12320641:12327190:1 gene:ORUFI09G10600 transcript:ORUFI09G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRELLERIARPFSSSSSRRGGGAERRRREEEEADLEAIAAREQRAFRYEALSAATRGFSERQKLGQGGFGPVYRGRLADGREVAVKRLGAGSRQGAREFRNEATLLSRVQHRNVVNLIGYCAHGPDDKLLVYEYVPNESLDKILFSSPPPPPRNFHSGSSSDGERRRQREELTWARRHEVVVGVARGLLYLHEDAHTPIIHRDIKASNILLDDRWVPKIADFGMARLFPEAGDGRSHVQTRVAGTNGYMAPEYLMHGALSAKADVFSFGVVVLEIVSGHKNSSFVPPPDSDADNLLDHAWRLYKKGRSIELLDPAVKPAAATEQVELLVRIGLLCVQADPRMRPDMKRVVIILSKKQSTLEEPTRPGVPGSRYRRRSYGTRGGGGGSHFSVGSTSGTSSPSTSTTASHATTSAASNAMTTTTSSTHTMRSQGLPLHREERGVELKKDDESG >ORUFI09G10610.1 pep chromosome:OR_W1943:9:12330237:12330617:-1 gene:ORUFI09G10610 transcript:ORUFI09G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSAAVKMAAAVLCLMVALSVAAGQLTTTAAAATSTRVDDHVVEDDDDATPTTLQQLETRLAGDQDLAVLLDGGDGGATICPSNCQKCLVKCAGTCVADIVSPPTFVACFLKCAVVKLCFAKV >ORUFI09G10620.1 pep chromosome:OR_W1943:9:12336404:12336766:-1 gene:ORUFI09G10620 transcript:ORUFI09G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVKMAVVCLLLLSAGHLMASAAAARPDTVDDAAALLRLKDRIELQEEEALALAEELALLDDGAGDAVGAGCSCSTTKCKTCIATCGIKCFPKGIKGFPTCFFACVFTTSKCFAFGA >ORUFI09G10630.1 pep chromosome:OR_W1943:9:12347360:12348965:1 gene:ORUFI09G10630 transcript:ORUFI09G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLNDKIEFLCQEKGSMH >ORUFI09G10640.1 pep chromosome:OR_W1943:9:12383297:12400216:1 gene:ORUFI09G10640 transcript:ORUFI09G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRLIYGYTEIKVQAENDTFALHADNMTIRNILVDGQAAEFEYSPQWKNAGDQQSWSSVSCSKTAADAACSVYISSLNSEAAPNLIISSERSSKAITEPQYEENGENHEENGEKHEENGEKQNENGEKCEENGGKPAQISDDQAVNGCNGSADKKDKEEETEKDNEKEKEDKEEETEKDNEKEKEDKEEETKKDNEKEKEQLMGTDEKEKEKEKEDENEEEKLEEEEKKDKEEKLEEKEKENEEENGNEKDKENDNEIEKVKNTKLVHIDYILEKAETGLYFTGNILHSNNQIRRAHCWFPCIDSATQRCPFDLEFTVSTNLVAVSNGDLLYQVLSKEDPPRKTYVYKLSTPVSAQWISLVVGPFEVLPDRNDISVSHMCLSQSLSKLENTISFFHSVYSCYEDYLAASFPFGLYKQVFLPPEMIVSPTSLGASTCIFNSDILHDEKVIDQIIDTRIKVAYALARQWFGIYTNAEEATDEWLLDGLAGFLTEHFVKRYLGNNEARYRRFKDVAADLTIFTLLLKPVHESYVAHSFGVDSHINLSFTFQPNSLANYVFASCLAFIHLNLNGWCRAANCIVCEFDVSGATALSSPSASSDLFGTQTIGSYGKIRSLKAVSVLQMLEKQMGPDSFRKILQMIVAPTRASRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESSGCPVMRLGISYSKRRNLVELAVSRGCTTKVDPGPDIRTNGDSREGDTGWPGMMSVRVHETDGVYDHPIVPMAGEALQVVEIQCHSKVAAKRFQKTKKGSKPDGSDENIDASNQDNRASMDAPLLWIRVDPEMEYLAEIHFHQPVQMWINQLEKDKDVISQSQAISVLEKSPQLTFAVTNALNNFLNDTKAFWRVRVEAAYALAVTASEGTELTGLLHLVKFYKSRRFDADIGLPRPNDFHDIPEYFVLEAIPHAVALVRSADKSSPKEAIEFILQLLKYNDNNGNVYSDVYWLSAMVQAIGELEFGQQGVGLLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRTLARIAQRVSSSICLDRVCELIVPYRNMDKPWKVRMEAGRVLIDLEFHHKGLDAALLLFLKYANEERSLRVGLLCLLAGKKAYNNVYLRHNVFCILQIAAGRSPTLHGVPKVVTPPQVVLEISSDQHTKADSSVPQQSRPQEPSTSTPSVREVLPTSGPLKDADNISNCSERRNVIFIPTKDADNISNCSERRNVISIPTKDADNISNCSERRNVVKIRVKRASSSSKADDADHRDHSHGRNENEAGPCSSMSVDAPMTEAPEPVNVSNHNIEEQNSCHDREQNSCHDRESRMSASIGNVKLMDKHEVSKELQCTADSRLDALPKDHFSPVVNGQEVLDKPRSQLEVVSTSYDGNQAPDSMNGLETKEKKKDKKDKKRHRDKKDDPEYLEKKRLKKEKKRMEKEKGKKQKEGEGVSSSEQKNTAKPSDSQGTSSARPPAPMRIPEPKISNVGTPVDTTRTLTTTKIRIKVKPLQR >ORUFI09G10650.1 pep chromosome:OR_W1943:9:12401473:12406735:1 gene:ORUFI09G10650 transcript:ORUFI09G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAGAPRGAAATTSGGGGGAPEAGAELGSSGAPVTWGRVWRAGQEAAAHALLLCFTALLALKLDGLFRGSCPSAEPLSEIFVTCSFITTKLSGHDEPFIDLKLVFLPLLALEIITLVDNFRMCGALMPGHGETMTDEAIWERLPHFWVAISMVFLLAATSLMLLKLCGSSLGGLLMKIAKRSKLIYAMQIILVFTGVTSEYCHDIFAGVIALPAWHE >ORUFI09G10650.2 pep chromosome:OR_W1943:9:12401473:12406735:1 gene:ORUFI09G10650 transcript:ORUFI09G10650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAGAPRGAAATTSGGGGGAPEAGAELGSSGAPVTWGRVWRAGQEAAAHALLLCFTALLALKLDGLFRGSFSLPAPSSPQSCQMASCHSIVATPLLVAFELLLCVYLEGHDEPFIDLKLVFLPLLALEIITLVDNFRMCGALMPGHGETMTDEAIWERLPHFWVAISMVFLLAATSLMLLKLCGSSLGGLLMKIAKRSKLIYAMQIILVFTGVTSEYCHDIFAGVIALPAWHE >ORUFI09G10650.3 pep chromosome:OR_W1943:9:12401473:12410639:1 gene:ORUFI09G10650 transcript:ORUFI09G10650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAGAPRGAAATTSGGGGGAPEAGAELGSSGAPVTWGRVWRAGQEAAAHALLLCFTALLALKLDGLFRGSFSLPAPSSPQSCQMASCHSIVATPLLVAFELLLCVYLEGHDEPFIDLKLVFLPLLALEIITLVDNFRMCGALMPGHGETMTDEAIWERLPHFWVAISMVFLLAATSLMLLKLCGSSLGGLLMKIAKRSKLIYAMQIILERALISAGHAQFVE >ORUFI09G10650.4 pep chromosome:OR_W1943:9:12401473:12410639:1 gene:ORUFI09G10650 transcript:ORUFI09G10650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAGAPRGAAATTSGGGGGAPEAGAELGSSGAPVTWGRVWRAGQEAAAHALLLCFTALLALKLDGLFRGSCPSAEPLSEIFVTCSFITTKLSGHDEPFIDLKLVFLPLLALEIITLVDNFRMCGALMPGHGETMTDEAIWERLPHFWVAISMVFLLAATSLMLLKLCGSSLGGLLMKIAKRSKLIYAMQIILERALISAGHAQFVE >ORUFI09G10660.1 pep chromosome:OR_W1943:9:12419415:12420992:1 gene:ORUFI09G10660 transcript:ORUFI09G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLDQQQQQQQQQHQYDHFFSGHGQFNSETLEAVLCRPPRGAAADPAVPAAAAAVLTAARNGGGGHGRARKRPFRTDRHSKIRTAQGVRDRRMRLSLDVARDFFALQDRLGFDKASKTVDWLLTQSKPAIDRLAADPSSSSHRAAGDTRMSSAERGGDHHMVAVGAAGSGKGDADKARGPRGGRSAPMELGCELGRLVPAPVLGEYYYELAEMMSNNTGGEGDDDGDYDDDGDFLDDSGFLCHYRSKKKFSIKDIS >ORUFI09G10670.1 pep chromosome:OR_W1943:9:12435947:12438590:-1 gene:ORUFI09G10670 transcript:ORUFI09G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGGSHDAAAQLLPWFVGEPAAAAVGGYGGCVDVVGQGGVFGFGFEAAAAPVVTRQQRGGAAAAEGSSRGGGGKPAVVSGLLGSLQAELGRVTAREIMDAKALAASRSHSEAERRRRQRINGHLARLRSLLPNTTKTDKASLLAEVIEHVKELKRQTTAIAAAAAAGDYHGNDEDDDDAVVGRRSAAAQQLLPTEADELAVDAAVDAEGKLVVRASLCCEDRPDLIPDIARALAALRLRARRAEITTLGGRVRSVLLITADEQQQQHCDDVDDDEDGHRLLLRHGIDGAGAAADDDDECAASHRRHECIATVQEALRGVMDRRAAASSGDTSSSGGAVVAGGGGGSIKRQRMNYGVHEQCSV >ORUFI09G10680.1 pep chromosome:OR_W1943:9:12451413:12452535:1 gene:ORUFI09G10680 transcript:ORUFI09G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALALVIRAATDLQLTHHHPSSSSAAAHAHPRRWGARRPATRRWRAWRLAARRWASERPAARRVVPALHRWGVGAASLELWETGIDQFVGVDGVLMFSINKSKVAYKAMSFVQRWCIMLKEGDQAAMKGWGDLLMAKLQRLKPCCLPASV >ORUFI09G10690.1 pep chromosome:OR_W1943:9:12455149:12457166:1 gene:ORUFI09G10690 transcript:ORUFI09G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKPSPGVLDGLYGVQLGRRPSPGDGGGGEEEEEAVRTTVVEYSAISDSEAKFGDGTTLQRLPIRRLWQHRPSFLKPVHCRISCGDKHAGETIANDELKHGALCEFASRSRDSFKLVPFLQGRNQKTPACLSRALRNENPRLLMAASALLLPFQPLMVSFAKRASIEPELRMAHNLHKMSSLLGGALFIADDCFPETPYIHAAWHLAAALGIGTCNKLLE >ORUFI09G10700.1 pep chromosome:OR_W1943:9:12457844:12459505:-1 gene:ORUFI09G10700 transcript:ORUFI09G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDGDGHGRRRPPPPPPPGHPPNLPPHVPYSRALQQRLYLLAQHRRRRIPPGDGDASAARRALDQLHAQVVLNGFPRKRFLLAKLLSLAAAAADLPRADAPPPPRRRRPPWPTSFSAPPRRPARRRTGWGAPSPPPFSRLVSRHGFRPNAFSFSTLLAALADAGAAALPHGRALHARALAGHVLTSLLDLYAAAGQLGEARRVFDEMPGRTVAAWNCMLAAYVRCCEMDAALWFFNEMPGRDSVAWTTMIAGCVNAGKAAEAVELFWRMRKANAKVDAVTMVALLTACAEQGDLRLGRWVHAHVEQEGRQWRTVLLDNALINMYVKCGAVEDAHRLFLVMPRRSTVSWTTMISGLAIHGRAEEALDLFHRMQERPDGATLLAVLLACSNAGRVDDGRQYFQSMERFYGITPGIQHYGCMVDMLCHGKQLREAIEFVETMHLQPNDAVLGALLSGCKREGNLELAAQVIERLIRLQPERAAGHLVLLANMYAGVGQWEQAGKVRERVAALNAGKPAGTSRVNPNQSSMLVHSMLA >ORUFI09G10710.1 pep chromosome:OR_W1943:9:12460023:12462219:-1 gene:ORUFI09G10710 transcript:ORUFI09G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIHHHHLLPPRLLSVHPQPPRLRLRRPLPRRAAASGAAAGTSSSTAAAPPPTDAALQEFRRWVSSHGADAGAGAAAPAAVPEGGLGLVAARDLPRGEVLAEVPKKLWLDADAVAASDLGGAVGRGGLRPWVAVALLLLREAARGAGSPWAPYLAILPRQTDSTIFWSEEELLEIQGTQLLSTTMGVKEYVQSEFESVEAEIISENRELFPGTVTFNDFLWAFGILRSRVFAELRGDKLALIPFADLVNHSDDITSKESSWEIKGKGLFGRDVVFSLRTPVNVKSGEQIYIQYDLDKSNAELALDYGFTESNSSRDAYTLTLEISESDPFYDDKLDIAELNGMGETAYFDIVLGESLPPQMLPYLRLLCLGGTDAFLLEALFRNAVWGHLELPVSQDNEEAICQVIRNACKSALGAYHTTIEEDEELLGSENLQPRLQIAVEVRAGEKKVLQQIDDIFKQREEELDGLEYYQERRLKDIGLVGDNGEIIFWES >ORUFI09G10720.1 pep chromosome:OR_W1943:9:12463563:12465573:-1 gene:ORUFI09G10720 transcript:ORUFI09G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSKPKDKGKGKQAAGSSGGDDAGGGGKGGKGKGGKSADGLGTCTYVKARHVLCEKQGKINEAYKKLQDGWLDNGDKVPPAEFAKIAQEYSECPSGKKGGDLGWFPRGKMAGPFQDVAFSTPVGATSAPFKSTHGYHFILCEGRKN >ORUFI09G10730.1 pep chromosome:OR_W1943:9:12469423:12469687:1 gene:ORUFI09G10730 transcript:ORUFI09G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGSGGSGGEGNGCGPLADPTRQQHSPALSCTDLAAGSDVGGRMDYGEYHDVGGGCDEDISL >ORUFI09G10740.1 pep chromosome:OR_W1943:9:12470374:12471197:-1 gene:ORUFI09G10740 transcript:ORUFI09G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGDRAPSSSSTAMISRLLPPGFRFRPTDGELVAHYLARKAADAGFTSAAIRDADLYRAEPWDLLPPPRXGGGGRPVGVKKTLVFYRGRAPRGEKTSWVMHEYRLLHGGAAATASSSPTPTTVVARSEWVICRVFVRKTPDGNNDRGTTEHHLPSDDAHLRSSPAPANSVDGAGHASCSFFSGANESMAPSDHFNIGDDMILHGHDEEELLMMNCSSAFDLPELLDYESFSLDL >ORUFI09G10750.1 pep chromosome:OR_W1943:9:12480606:12481112:-1 gene:ORUFI09G10750 transcript:ORUFI09G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAKEVAGAAAAAGDMILLISSDGQRFEVAQAVASMSRLVRNMVEDECTDNGVPLPNVPSAVLAKVLEYCSEHAAAAAAAGEEVEELKSFDAAFIEVDNATLFDLILAANYLNVPCLLALACQRAADLIRGKTVEEIRAEFNIANDFTPEEEAEIRKENAWAFQD >ORUFI09G10760.1 pep chromosome:OR_W1943:9:12482512:12485262:-1 gene:ORUFI09G10760 transcript:ORUFI09G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSPSPPAARGPALAAAAAVVVLLPSIFPAIFTPLGRAFPSLFSEWNAPKPMHESLLNEALRRTISNEQKRELWSPLPYQGWKPCLKSSSVHGVPLEPTGYIQVFLDGGLNQQRMGICDAVAVAKILNASLVIPHLEVNPVWKDTSSFEEIFDVDHFINTLKAEVSIVKVLPKKFSWSTREYYGTGIRATRIKTAPVHASASWYLENVSPILQSYGIAAIAPFSHRLAFDDLPVDIQHLRCKVNFQALVFLPHIISLGETLVKRLRSPVQGQSGELIQEVGEDTNQAGKYAVLHLRFDKDMAAHSACDFGGGRAERLALAKYRQVIWQGRVLNSQLTDEELRNLGRCPLTPEEIGLLLAALGFDSRTRIYLASHKVYGGEARISSLRKLFPLMEDKRSLASEEELTNVEGKASVLAALDYYISMHSDIFISASPGNMHNALMAHRTFENMKTIRPNMALLGRIFVNKSMEWLEFQEAVQAGHKGRYGQIRLRKPKQSIYTYPAPDCMCQG >ORUFI09G10770.1 pep chromosome:OR_W1943:9:12488056:12488577:-1 gene:ORUFI09G10770 transcript:ORUFI09G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAYSAPSTSSTYSFHFSMAQAVLTISLNVIAIGLSALVKSSSSSSSSSSSRRRAAAAPAVAPPAAVDLDTVLGLMGGAGGAAPSVGFEEASALFEEEVATLGEAAAAFRVFDRNGDGFIDAGELGSVLASLGFAAGAGHAECQRMIDAYDADKDGRVDFREFLKFMETAAA >ORUFI09G10780.1 pep chromosome:OR_W1943:9:12502178:12502539:-1 gene:ORUFI09G10780 transcript:ORUFI09G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRLLALLLLAALLLALSFSHGEAKDVQLVKPARRYGRWRSALQAGDGMVSTVADYSDPKPNTNPRGGVLPPTDPNSPPAH >ORUFI09G10790.1 pep chromosome:OR_W1943:9:12504371:12505084:-1 gene:ORUFI09G10790 transcript:ORUFI09G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGPVSLPLFLFLSPLALREVLDAAQEVLKTCGTTPLLRAVPVLLRAAAAGGLSRALRLQSCRYSCGECLFPEAQPFPHADFADEVAYLDGALPCELLLFRHSAVMLPSSSPGASHRWHVYLASSSSSSFVARRGSRWSRAVLPAPRQRPDQPRHRRRVDGASGIGGVVDDPRSLVCAYAFVPCGYSMNALDGHSYASYECVAAAALASIRRAIAAFR >ORUFI09G10800.1 pep chromosome:OR_W1943:9:12512379:12513909:1 gene:ORUFI09G10800 transcript:ORUFI09G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMGKSPALPLYYLLITLLAFYFLIVPSNAIPLSRVQRLHLQESSEMPLVRGSTAKPKMEMERPVVALEEDAMINARMALETQDYAPSGPNNHHKPPGWS >ORUFI09G10810.1 pep chromosome:OR_W1943:9:12517885:12519396:1 gene:ORUFI09G10810 transcript:ORUFI09G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHLHALIAGGGGATTVPHLRQIHAHLLTSGRFPSLGPVLLRRLISLPNPHLHLAHRLLLSLPSPSLDLFNLLLPPLASSPDPSTAAALFLRLRRGGLCPDAHTLPHVLKALARLAPGSLPVVGSVHSEAVKDGLASAVVYVPNALMAAYSACGQLERAVQMFDEMPRRTVVSWNTALTACAGNGRHELCVELFAEMLEAGSVPDPTTFVVMLSAAAELGNLALGKWAHGQVVSRRLDMTLQLGTAAVNMYSKCATVSYALRLFERMPARNVWTWTAMIVGFAQNGLAQEALELFDRMKLSKIIPNYVTFLGLLCACSHAGLVDEGRRFFHEMQHVYGIVPMMTHYSAMVDVLGRNGLLQEAYDFVVGMPVEADPVVWRTLLAACQLHSSKDCIEIIDKVQGKLLELEPRRSGNYVMVSNIYCEIGSWDEAAKARRVMREGGMKKVAGESCVEVGGRVHRFVFGDDSCPEFHGACRILHELNLNMRKCEPIDPILFTDDAD >ORUFI09G10820.1 pep chromosome:OR_W1943:9:12523138:12528771:1 gene:ORUFI09G10820 transcript:ORUFI09G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHLMVNVDDLMAPECFETTGAAKNSSGEAAAQAPTTVHTFLAVGESMAPEEEPLLQLVECRICQEEDSIKNLESPCACTGSLKYAHRACVQRWCNEKGDVTCEICHEPYEHGYTAPTRPHPDETTIDISGGWTITGTAFDLRDPRILAVAQNHIMEAEYDDYSATNASTAAFCRSAALVLMALLLLRHALTLTDEDDDDTSAMFSLFLLRAAGFLLPFYIMAWAVSILQRRRQRQEAAALAATEVAFILQSGQGTGVHFTIAPDSPTTPQHEPQP >ORUFI09G10830.1 pep chromosome:OR_W1943:9:12529389:12532228:-1 gene:ORUFI09G10830 transcript:ORUFI09G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASTSGEWLKGALQELRERMGGALELDADLISGLVSFCELAPPPDAADYLANIIGVEAAQDLIQEYLQRRGYIDPLKGNENLQSSNLQPYVKPSAEAGPTQTKKQTRTQKDAAASSSGQSSKNQPETTEPRVASKRGSKKKAVKAISLAEAAKGSIVFKQGKPCSCQARQHNLVSNCLSCGKIVCEQEGEGPCSFCGALVLMEGSTYAGLSDVGIPLSEAEAEAEAYAKRLVDYDRNSAARTKVYDDQSDYFEMEGNSWLSSKEKSDLKKQHGEAQEAAEKDKGKVVVTFDLVGRKVILNKDGATVLESEHRILGPPEEKDQIHRIQANPTIREQPVFIETGPVKPKTDRARQSKRLTKNGLCLKVTGRLQHDDKDPQSFLGGKMKKGDHLAYSSFGQPREGDDFDCSQDFD >ORUFI09G10840.1 pep chromosome:OR_W1943:9:12532354:12536988:1 gene:ORUFI09G10840 transcript:ORUFI09G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSAAAAALSPAISLHLLRPLPCRAVLLSRQRRPFPSPRAGCLAAVRAQRRWLRSPEIGRWRRRGGYACLSFNSGNKPPPPSSENSDEWPILRRWDVPWEWQTVVLTMVGCGVSFVLTGLVEQSVLTYVGFRAVEATVDQKAEILFLGQLSVTAVVLGVVYGITNTFRPLPDDIFRYDIKEPFKLQNGWLLWAGVGLFGAIISIALVGVAMTYLNGEPPERETDSLVLLLPLIGSSSASTAFLVGITGVLAPILEETVFRGFLMVSLTKWFPTPVCILVSAALFAFAHLTPGQFPQLFILGVALGFSYAQTHNLLTPITIHAFWNSGVILLLTFLQLQGYDIKELLGAS >ORUFI09G10840.2 pep chromosome:OR_W1943:9:12532354:12536988:1 gene:ORUFI09G10840 transcript:ORUFI09G10840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSAAAAALSPAISLHLLRPLPCRAVLLSRQRRPFPSPRAGCLAAVRAQRRWLRSPEIGRWRRRGGYACLSFNSGNKPPPPSSENSDEWPILRRWDVPWEWQTVVLTMVGCGVSFVLTGLVEQSVLTYVGFRAVEATVDQKAEILFLGQLSVTAVVLGVVYGITNTFRPLPDDIFRYDIKEPFKLQNGWLLWAGVGLFGAIISIALVGVAMTYLNGEPPERETDSLVLLLPLIGSSSASTAFLVGITGVLAPILEETVFRGFLMVSLTKWFPTPVCILVSAALFAFAHLTPGQFPQLFILGVALGFSYAQTHNLLTPITIHAFWNSGVILLLTFLQVCLILQFVSIFILDQDRVELYNLQRIAYE >ORUFI09G10840.3 pep chromosome:OR_W1943:9:12532354:12537526:1 gene:ORUFI09G10840 transcript:ORUFI09G10840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSAAAAALSPAISLHLLRPLPCRAVLLSRQRRPFPSPRAGCLAAVRAQRRWLRSPEIGRWRRRGGYACLSFNSGNKPPPPSSENSDEWPILRRWDVPWEWQTVVLTMVGCGVSFVLTGLVEQSVLTYVGFRAVEATVDQKAEILFLGQLSVTAVVLGVVYGITNTFRPLPDDIFRYDIKEPFKLQNGWLLWAGVGLFGAIISIALVGVAMTYLNGEPPERETDSLVLLLPLIGSSSASTAFLVGITGVLAPILEETVFRGFLMVSLTKWFPTPVCILVSAALFAFAHLTPGQFPQLFILDS >ORUFI09G10850.1 pep chromosome:OR_W1943:9:12536183:12541795:-1 gene:ORUFI09G10850 transcript:ORUFI09G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLLRSSTPLAAAHLRALSAFAPRAGEGAPHLFGGMRPRAPDLLARLPAELASFARARAAGRAAPSQFAYGNALAACARAPAPALAEQVYCAAWKDGLSGNAYVCTGMVDLLAKSGRLRDALRVFADGDPSSAVCWNAAVSGAVRNGEGGLAVEMFRDMVWGSCEPNSFTYSGALSACAAGEELSVGRAVHGLVLRRDPEYDVFVGTSLVNMYAKCGDMGAAMREFWRMPVRNVVSWTTAIAGFVQDDEPVSAMLLLREMVRNGVAINKYTATSILLACAQMSMVREASQIHGMVLKTEMYLDCVVKEALISTYTNFGFIELSEKVFEEAGTVSNRSIWSAFISGVSNHSLLRSVQLLRRMFHQGLRPNDKCYASVFSSVNSIEFGGQLHSSAIKEGFIHGILVGSALSTMYSRCDNVQDSYKVFEEMQERDGVSWTAMVAGFATHGHSVEAFLTFRNMILDGFKPDHVSLTAILSACNRPECLLKGKEVHGHTLRVYGETTFINDCFISMYSKCQGVQTARRIFDATPCKDQVMWSSMISGYATNGCGEEAISLFQLMVAASIRIDSYICSSILSLCADIARPFYCKPLHGYAIKAGILSDQSVSSSLVKVYSRSGNMDDSRKVFDEISVPDLVAWTTIIDGYAQHGSSQNALAMFDLMVQLGVRPDTVVLVSVLSACSRNGLVEQGFNYFNSMRTAYGVEPELQHYCCMVDLLGRSGRLAEAKYFVDSMPMKPDLMVWSTLLAACRVHDDTVLGRFVENKIREGNYDSGSFATLSNILANSGDWEEVARIRKTMKGVNKEPGWRVMLRSSDVAPDICCRN >ORUFI09G10860.1 pep chromosome:OR_W1943:9:12537741:12538742:1 gene:ORUFI09G10860 transcript:ORUFI09G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHEFRLPSLTEPSLSKIPIDKKPAKDIWAICKIFKKPNSMAQRALSHPWGPQSTATTSSQFASESSSCSEEVAIPITQLNSQQCLQGRQQKPNNRQDGSSSKVINFKCSPSLTHQSDKDNHNCPVTLPFKTQTLQHMSGATSLLLSITPGIINSIYEASPNIRFGQTEPCNGYEVDWVIGTNGGIENSDEDPYTRTGTEYSTGSECGIRQKIKFPFDLLGDPSDNWTSNINMPCEFPLTPNSYSHVQ >ORUFI09G10870.1 pep chromosome:OR_W1943:9:12543037:12544646:-1 gene:ORUFI09G10870 transcript:ORUFI09G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKSASK >ORUFI09G10880.1 pep chromosome:OR_W1943:9:12546359:12550358:-1 gene:ORUFI09G10880 transcript:ORUFI09G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEGGGAPAAAAAVSNGFVHAVVRWCFSPLFFWLFTVALVAAIHLASTYISPSRDEEDKEKKARRGGDFAGAGEEREEEEVGRNDDKILEMMRSFSFMHASEEDFMEGMATYDHVVARMAPEPLPLTPPAAPPPSTFSFRFQHQLPEILRETAVVSGEIPVQVLEEHEPEKKPAIAMESKQERERDAEEREVVMEEEEEEEEQSREVVEAAAAAPMIVSTTHNYRFLTERDFRGFVKEPEAITVRVQESFVPSPELEAVAVAAAAQPEERRVVDGAPRRGFLTTNDFRPANEPDSARQSVASQLGRKPAASSPSAASRGSAAAAVSGRTSFASEFSGFGGDSDSESTASDGYSVKDLVVDSDSDWFLSEKDFPAAAVAGHDAGSLRGYYKAKVLKALEALDASASNLERSFQDSATTVSPGSVVGQASPDIIGAADDPAKYPEDMWSRSPSPDVEYNEDEHKGNEATNMAEEVSFDMSDDERPPASGGKKTTAMAASSSSSSPVHDAESEDENSLDHSEKETITIHDHSYESVSDVKRRSPEAISDAELDDASSRHAAVLDAKVRSPDVHSSEPIFDNYEEHEVSNDQSQAAVSDEKRSTPHSEREFAGTDDRSHELISDVWKDIVGANDQSLASAHDEERSPDQSVAIISDDHKAIVSATNDSSNGEVSDHKSTPETAEQEFSGNDDPYELVADARIISERAEEDDIPNERPGNATRHVTFSVAEKGKVLDVVEEDQEDKWKDLTEEEEDELESLWEHQDLIEQLKLELKKVRSIGLPTILEESETPKAPMEDLKPWRIDAKFLREDPMDELNKFFKSYRERMRKFDILCYQKMYAIDFLQFRGPQQSANSLKSLSPTVTSILSHNFRSSRRKSPEDPSERFLKELRYDLETVYVGQMCLSWEFLRWQYEQAHDLPESDPYHSHQYNQVAGEFQQFQVVVQRFVEDESFKGPRLPNYINNRCVLRNLLQVPVIKEDSLKDRMEDQRKGNYVITSEELEEIMEECMRVFWEFIRSDRVETTSVLKGLSSTHVELQDPLDHDLMMHIHSTLQKKEKRLKDLLRTGNCIVKKFKKPKEDTLNQSLFFSQVDMRLVARVLRMPRITSEQLQWCKAKLDKIALVDRRIHREASFLLFPC >ORUFI09G10890.1 pep chromosome:OR_W1943:9:12563128:12568855:1 gene:ORUFI09G10890 transcript:ORUFI09G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKRRQRTLESRVDGSTRRSVPLHSNSTVAPTKPPTPSSPTMRPTAAAATAAFASPVVAVPSRAAPLAARRRLRRARRFAVRSVASPPTVPKPAAPPSKTGKWQWTFEDKPINIYYEEHEHETAENVKNILMIPTISDVSTVEEWRVVAKDIVARKGELGYRATIVDWPGLGYSDRPSLNYNADVMENFLVQLINSPNSPVANTDGEVVVVGGGHAATIAVRAAGKGLIRPSGIAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPAIGWMMYNVLVSNEKSIQSQYKSHVYANPENVTPNIVESRYELTKRKGARFVPAAFLTGLLDPVQTREEFLQLFAKLDGDVPVLVASTVNAPKRSKAEMEALRGAKGVTKFVEVPGALLPQEEFPSPVAEELYSFLKESFSSGR >ORUFI09G10900.1 pep chromosome:OR_W1943:9:12582023:12586588:-1 gene:ORUFI09G10900 transcript:ORUFI09G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVAASFALRPMVAAAVSPPPRHRLLLPPPPGRCLLHPPPTVAASFTHRPRSPPCLQNSKSGSLPPSHLHHARSGSYRAKDEDNAGARTIGERGTTPLPNAIDKPLRPSPLISGRRQASTSPTLPLTVPATMNSGAPDLDTMNSEVADPTIPSLGAPDPAVLASATPDPFVSDPPSTPPHVVEVNLPWGEQASAVPFHPILSKIECDPRLHPSSRYVLKLVASP >ORUFI09G10910.1 pep chromosome:OR_W1943:9:12582870:12583214:1 gene:ORUFI09G10910 transcript:ORUFI09G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSATSEFMVSRSGAPEFMVAGTVRGRVGDVEACRRPEISGDGRRGLSMALGSGVVPRSPMVLAPALSSSLARYDPDLAWWRWEGGSDPDLEFWRHGGDRGRWVKEAATVGGG >ORUFI09G10920.1 pep chromosome:OR_W1943:9:12611250:12612779:1 gene:ORUFI09G10920 transcript:ORUFI09G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDLMLVSYIQEHGAGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTEQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKMQAAGGGEDDGAASEGGGGRGDGDGGGKSVKAAAPKGQWERRLQTDIHTARQALRDALSLDHPDPSPATAAAAATPAGSSAAYASSADNIARLLQGWMRPGGGGGGNGKGPEASGSTSTTATTQQQPQCSGEGAASASASASQSGAAAAATAQTPECSTETSKMATGGGAGGPAPAFSMLESWLLDDGGMGLMDVVPLGDPSEFF >ORUFI09G10930.1 pep chromosome:OR_W1943:9:12629164:12629505:1 gene:ORUFI09G10930 transcript:ORUFI09G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRLVVLRRREPAVRFSCCGVRYGECRRNHAASTGGHAVDGCREFIAAEDGGGGNSTGAVGVAAAALKCAACGCHRSFHRRVQVYEVAWDDDCASGDTSSSSPSSSSSLSSE >ORUFI09G10940.1 pep chromosome:OR_W1943:9:12647830:12648728:1 gene:ORUFI09G10940 transcript:ORUFI09G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITEGYLLSLFLSSFYADCGDDAPFSWWLPAAAVAAVAVPLMLKSVACGGGGGGGDLEDALADADVAAPLVDDGLVALLEAPPEPLRELLHPLLLLGGELGAEPLPRHPAAAAAGGRXGGGGDPSGSRRWKLRWQPHAAHLSDVGSAGFAAGDGMNSPHPSSACPPRLAAWFLRHSRYTVSPPPPPPTAGATAAGFAAFLMAPLGNTSGLYLTSMASMATRP >ORUFI09G10950.1 pep chromosome:OR_W1943:9:12647976:12648712:-1 gene:ORUFI09G10950 transcript:ORUFI09G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDVKYKPLVFPNGAIKKAAKPAAVAPAVGGGGGGETVYRECLKNHAASLGGHALDGCGEFMPSPAANPADPTSLRCAACGCHRNFHRRLPEGKRFRTKFTAEQKQRMQELSERLGWRLQKRDEAIVDEWCRDIGVGKGVFKVWMHNNKHNYLGGHSARRSASSSSAAAAAAAAPPFNPPTNHTSPPPPPPPPHATDFNINGTATAATAAAGNHQENGASSPQSA >ORUFI09G10960.1 pep chromosome:OR_W1943:9:12665375:12666935:1 gene:ORUFI09G10960 transcript:ORUFI09G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGRLLVLISLLAISIAEHKALAKGSTSEHDDNVYQVSKGGQGSLKSYQCSPQCAYRCSQTQYKKPCLFFCNKCCNACLCVPSGLYGNKGECPCYNNWKTKRGGPKCP >ORUFI09G10970.1 pep chromosome:OR_W1943:9:12669157:12670696:1 gene:ORUFI09G10970 transcript:ORUFI09G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGKRADGFLRRFRRRRLLAFLRREHLYATLDALGGETRLFLNARTLQTMLADCRWEEARRYVGRFLPRRQIGVEARAVLRLIAYLSAVDDVAQGRRPGSEFAGDHLEREFEADATSSNTMVLPEASEKDHRSDAGISSSPLFSSKGEVSFTKETRFVS >ORUFI09G10980.1 pep chromosome:OR_W1943:9:12675637:12675960:1 gene:ORUFI09G10980 transcript:ORUFI09G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVYGVAGGEWIWFGMLYGWRSIGGGHGRTWPGETETELGSVLCGEKGRARRLFIGGRCRFACEGRFAGGGRGRHGDDFVKEKGTAPASVRDWLVMAAVHTRRRQ >ORUFI09G10990.1 pep chromosome:OR_W1943:9:12677505:12677870:1 gene:ORUFI09G10990 transcript:ORUFI09G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRLLALLLLLALSFSHGVVVATVAKARDMQLVKLVRRYVRWRSVFQAGIGMVSTVADYSDPKTNTNPRGGVLPSTNPNSPPAH >ORUFI09G11000.1 pep chromosome:OR_W1943:9:12680580:12684301:-1 gene:ORUFI09G11000 transcript:ORUFI09G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDVGAEDAAADASTGGGSRRGSVPGGSAAAASRAAPPASPTSAAAATTLGASPAAAPPTGPASSPAASPALQTSAAAAASLVVVEPAASPTSAAATILGASPAPALPTSPETSIPAPPPPPSLVKVLDMDRAKTLIKEQDWDAVEGYIWSILCDNQEHCVDDFARLHPALYLMFREEKLFQLLAENKIDEAHIFYQHSIVSLEDRDGIFLPVDLGVRIKNLDPSNSSSVPIRRSTQEELSRYVKLYFPKSIGREEYTTCEQFVEKHQLQNISSEKDCMICLACGWEVLGMWKMRPHFVYAHHVKHCSGVTQDLLNRLKNIDGKPILDFTQLVGKFEMTASSMSSKYSSATKKLKRKKTDMVACRSGSKRSRGKRSEEKSEFCDEFVLEKAKLIHGFCVDLANLMKTYVPGEVHVCYKKILDIQLVASSLESNINQMKLKDVFGSSNEDLFGDDFDDVMLKKLSELYKLCIDLGKFTKTSGVREDLAHFEEVLLRIESEVGCTLRVAGLKRAIWL >ORUFI09G11010.1 pep chromosome:OR_W1943:9:12703455:12708028:1 gene:ORUFI09G11010 transcript:ORUFI09G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKASSADNRTRSTVSLCIVIGMCCFFYILGAWQKSGFGKGDSIALEITKRTDCTILPNLSFDTHLAKQARPRDLVSPAKKFKPCPDRYTDYTPCQDQNRAMKFPRENMNYRERHCPPQKEKLHCLIPPPKGYVAPFPWPKSRDYVPFANCPYKSLTVEKAIQNWVQFEGNVFRFPGGGTQFPQGADKYIDQLASVVPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANGGIYMMEVDRVLRPGGYWVLSGPPINWKVNYKGWQRTKKDLEAEQNKIEEIADLLCWEKVKEIGEMAIWRKRLNTESCPSRQDESSVQMCDSTNADDVWYKKMKPCVTPIPDVNDPSEVAGGAIKPFPSRLNAVPPRIANGLIPGVSSQAYQKDIKMWKKHVKAYSSVNKYLLTGRYRNIMDMNAGFGGFAAAIESPKSWVMNAVPTISKMSTLGAIYERGLIGIYHDWCEAFSTYPRTYDLIHASGLFTLYKNKCNMEDILLEMDRVLRPEGAVIMRDDVDILTKVNRLALGMKWNTRLVDHEDGPMVREKVLYAVKQYWVGGNQTAAAAA >ORUFI09G11010.2 pep chromosome:OR_W1943:9:12703775:12708028:1 gene:ORUFI09G11010 transcript:ORUFI09G11010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKASSADNRTRSTVSLCIVIGMCCFFYILGAWQKSGFGKGDSIALEITKRTDCTILPNLSFDTHLAKQARPRDLVSPAKKFKPCPDRYTDYTPCQDQNRAMKFPRENMNYRERHCPPQKEKLHCLIPPPKGYVAPFPWPKSRDYVPFANCPYKSLTVEKAIQNWVQFEGNVFRFPGGGTQFPQGADKYIDQLASVVPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANGGIYMMEVDRVLRPGGYWVLSGPPINWKVNYKGWQRTKKDLEAEQNKIEEIADLLCWEKVKEIGEMAIWRKRLNTESCPSRQDESSVQMCDSTNADDVWYKKMKPCVTPIPDVNDPSEVAGGAIKPFPSRLNAVPPRIANGLIPGVSSQAYQKDIKMWKKHVKAYSSVNKYLLTGRYRNIMDMNAGFGGFAAAIESPKSWVMNAVPTISKMSTLGAIYERGLIGIYHDWCEAFSTYPRTYDLIHASGLFTLYKNKCNMEDILLEMDRVLRPEGAVIMRDDVDILTKVNRLALGMKWNTRLVDHEDGPMVREKVLYAVKQYWVGGNQTAAAAA >ORUFI09G11020.1 pep chromosome:OR_W1943:9:12707303:12714318:-1 gene:ORUFI09G11020 transcript:ORUFI09G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRKVVENINLSGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVIGGNGSHAGANAIHEECRKRKLKVSVVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMQASLSSGQIDVCLIPEVSFTLDGEHGVMRHLEHLLEKKGFCVVCVAEGAGQDLLQKSNATDASGNVILSDFGVHMQQKIKSHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGICNTHYAFLPITEVITKPKRVNPNSRMWHRCLTSTGQPDFH >ORUFI09G11020.2 pep chromosome:OR_W1943:9:12707303:12714318:-1 gene:ORUFI09G11020 transcript:ORUFI09G11020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSMLFHILRCSRVLDSIVELQFDDNLQLSRKVVENINLSGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVIGGNGSHAGANAIHEECRKRKLKVSVVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMQASLSSGQIDVCLIPEVSFTLDGEHGVMRHLEHLLEKKGFCVVCVAEGAGQDLLQKSNATDASGNVILSDFGVHMQQKIKSHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGICNTHYAFLPITEVITKPKRVNPNSRMWHRCLTSTGQPDFH >ORUFI09G11030.1 pep chromosome:OR_W1943:9:12722768:12728638:1 gene:ORUFI09G11030 transcript:ORUFI09G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVAALGVKKERAAEYKGRMTLAVGMACLVAAVGGAIFGYDIGISGGVTSMDPFLKKFFPVVFRKKNDDGQNNYCKYDNQGLSAFTSSLYLAGLVSSLAASPVTRNYGRRASIVCGGLSFLAGATLNAAAVNLVMLILGRILLGVGIGFGNQAVPLYLSEMAPAHLRGALNMMFQLATTLGIFTANMINYGTQHIRPWGWRLSLGLAAAPALLMTVGGLLLPETPNSLIERGRVEEGRRVLERIRGTADVDAEFTDMAEASELANSIEHPFRNILEPRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGGSASLYSSVLTGAVLFSSTIISISTFGTDKELTRSYSIAVVVVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFVIAQAFLSLLCALKFGIFLFFAGWITVMTVFVHVFLPETKGVPIEEMVLLWRKHWFWKKVMPDLPLEDGDSHHK >ORUFI09G11030.2 pep chromosome:OR_W1943:9:12722758:12728638:1 gene:ORUFI09G11030 transcript:ORUFI09G11030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVAALGVKKERAAEYKGRMTLAVGMACLVAAVGGAIFGYDIGISGGVTSMDPFLKKFFPVVFRKKNDDGQNNYCKYDNQGLSAFTSSLYLAGLVSSLAASPVTRNYGRRASIVCGGLSFLAGATLNAAAVNLVMLILGRILLGVGIGFGNQAVPLYLSEMAPAHLRGALNMMFQLATTLGIFTANMINYGTQHIRPWGWRLSLGLAAAPALLMTVGGLLLPETPNSLIERGRVEEGRRVLERIRGTADVDAEFTDMAEASELANSIEHPFRNILEPRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGGSASLYSSVLTGAVLFSSTIISISTFGTDKELTRSYSIAVVVVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFVIAQAFLSLLCALKFGIFLFFAGWITVMTVFVHVFLPETKGVPIEEMVLLWRKHWFWKKVMPDLPLEDGDSHHK >ORUFI09G11040.1 pep chromosome:OR_W1943:9:12730674:12731456:-1 gene:ORUFI09G11040 transcript:ORUFI09G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLTLRPTDCLRGGGGGVQCAAAAAAATDHPTRASKLARPPRRGGRANGRQPRGRGSSSHSHRAAAPRPSQSQSQMRAMEKVVILKRGDRFAPEIGAAVAVEAPAADQCGAAAAAAEEHAEPVTAAGQRVAPVKKAEPVAEADRYIAPAEMAPVQCVTPTKMDQPAVAAAAEQCISPANSAEPAVAAEQCIALAAAKIPRPVAVAVAAEGQRRATATKPKTSRVLYGGPSFVIPPDPSELPIPVLLLESRGRRSAACA >ORUFI09G11050.1 pep chromosome:OR_W1943:9:12731620:12737006:1 gene:ORUFI09G11050 transcript:ORUFI09G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARPITAHKLRPITRSTLSRCPDPGPALAARLPPPPIRRVAHAAAAPASRRRRRASPGRRALLPPPDEGFLVMATPVNQDSITPVNTWEEDELELEEEECLNSSCFFKGLLCKRKRAKFSDSTVRQQQGIEAAAMDMVMEEAAALSLGVSASKEPVHVATGRVEQSNCGAKHANGTTDNEPARLRLHKICSATHWKEPSYDFEEQGPSHLKLFTCKVTIHVDTFTTTIVECISEPKRSKKAAQEHAAQGALWYLKIFGHAN >ORUFI09G11050.2 pep chromosome:OR_W1943:9:12731620:12737006:1 gene:ORUFI09G11050 transcript:ORUFI09G11050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKARPITAHKLRPITRSTLSRCPDPGPALAARLPPPPIRRVAHAAAAPASRRRRRASPGRRALLPPPDEGFLVMATPVNQDSITPVNTWEEDELELEEEEGLLCKRKRAKFSDSTVRQQQGIEAAAMDMVMEEAAALSLGVSASKEPVHVATGRVEQSNCGAKHANGTTDNEPARLRLHKICSATHWKEPSYDFEEQGPSHLKLFTCKVTIHVDTFTTTIVECISEPKRSKKAAQEHAAQGALWYLKIFGHAN >ORUFI09G11060.1 pep chromosome:OR_W1943:9:12753317:12754639:1 gene:ORUFI09G11060 transcript:ORUFI09G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGGIPACFRGAPGGGGGGGVGVAGQSSGGVGTSLATSVYETRLGVAALSWSRAALGLSLRVVLRVAGGAGAGAWAAASSSAASDYGCYDEGAECYGGEEEEEEEATVAVRVRPWLLWRRRGSKRFRVRDRLVDLAWDLTRARFACPGSPEPSSGYFVAVVVDGEMALVAGDMAEEAYRKTKARRGPGPDAVLISRREHVSMRDAGHGRGHKTFVNVRGKEREISVDLVSRGHGKDRDKDKDKERDKADVGMSVTVDGERVLHIRRLRWKFRGTEKVDLGGGDGVQVSWDLHHWLFPNRDTAPADASAVTPPPQPAHAVFIFRFELADIAGDDRDSAEVKDEHLLENAGSGGGGGGAWAGYLGRWGRGDWSESSSNGENRRKRGQARRLAKASSSSSASVASSSASWASGSTVMDWASPEEAELQRGHGFSLLVYAWKC >ORUFI09G11070.1 pep chromosome:OR_W1943:9:12758004:12761694:-1 gene:ORUFI09G11070 transcript:ORUFI09G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSWDALRKQARRLEAQLDDQMIAYRKLVSMKSDGSENDIESDIERSLKQLQQVNSQMQTWVSSGGSEVLSHTLTRHMEILQDLTQEFYRLRSSLRVKQQHASLLDLRDFDRAKFDVESGDSADQALLREQAAISRSSGQMDNVISHAQATLGTLMSQRSTFGGITTKISNVSSRLPTINHILASIRRKKSMDTIILSLVASVCAFLILVYWLSK >ORUFI09G11080.1 pep chromosome:OR_W1943:9:12764718:12765782:1 gene:ORUFI09G11080 transcript:ORUFI09G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAEKPDGVEIREVWEDNLEAEFAVIREIVDDFPYVAMDTEFPGVVCRPLGTFKSNADFNYATLKANVDMLKLIQLGLTFSNEHGGLPSLGPEGRPCVWQFNFRGFDPRTDVAAADSIDLLRRSGIDFTRHSADGADARRFAELLMSSGVVMNSEHLMRFCNSLHGGLNKLAELLDVERVGICHQAGSDSLLTALSFKKLKEAYFNGLTEKYAGVLYGLGTEGGETSSAAH >ORUFI09G11090.1 pep chromosome:OR_W1943:9:12774251:12776997:1 gene:ORUFI09G11090 transcript:ORUFI09G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARCAHADVGGGFRLWPIFSAAALRRKLLEVLTCGGGGGGGAGGGSCRSKNGYRSPQPRPRPRSDRLAELLRAEPSECGDEADDADAAVKKVEALEKLKVVVGALQACDGDNAGIGGGGDMCRVEAATVVRRKAKDDAGAREMLAMLGAIPPLVAMLDESDGGGGGEEMVAAALYALLNLGIGNDTNKAAIVQAGAVHKMLRIAEGASGVLTEALVANFLCLSALDANKPIIGASGAAPFLVRAFEAAPTTEQARHDALRALLNLSIAPANAPHLLSAGLAPSLVAAVGDAPAAADRALAALCNLVAACPEGRRAVSRAPDAVPAFVDVLNWSDEPGCQEKAAYILMVLAHRSYADRAAMAEAGATSALLELTLVGTALAQKRASRILEILRADKGKQVADAAGIVATMSAPQERGGGGGARQEEADEAGMSNEKRAVRQLVQQSLQSNMRRIVRRARLPQDLAPPSRGSDGEETLEEAFASSCSLLFSCLLMEPTCLDDAVVVAVDREGLEPEINVVPFP >ORUFI09G11100.1 pep chromosome:OR_W1943:9:12781672:12784702:1 gene:ORUFI09G11100 transcript:ORUFI09G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPEIYPSALGLFAGRRWEAHGGREEMEAARWWRSRRIYDGDDLGTAKGEGRATRANELGKRPTGFECGMMAAMTELCASVEDDVSEYTSNTNVFLPPPSLCDDELSHNLLHFLPFSLPPPSLCEDELRHNLLPFYHRWPSPPSPPSANALKDGGRVTSAPMVTSGDGRRERVDGAEGSAVGRSQRRRTGEREGERCGQMERGKGATKEECEEDRSSRHRGRIGNTTPVALWVGTSHISGANSSPSSLSRLHCHNDVHVEGQKDFVDNLLDTAVKCMRTASLIYTLSTGEEPEDERPILDMAQFRQEMEVLDDGTAMPMDSAPNSSLDVDKILKVLDVNCLTEDGVPL >ORUFI09G11110.1 pep chromosome:OR_W1943:9:12792937:12793506:1 gene:ORUFI09G11110 transcript:ORUFI09G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARGSLADPAIVRFLLAPGTWDLWKGRREWGMPSVLGIMAVSPPAKGGGIDWSGGVEGGRKVDDKNNESERKEDEKTDPGKKKLIWVVLIK >ORUFI09G11120.1 pep chromosome:OR_W1943:9:12795465:12798552:-1 gene:ORUFI09G11120 transcript:ORUFI09G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGQISLDDLRNGGGVAANAGGGGGVHDDFLDQMLSSLPPSAWPDLAAGKAAEDDAEGMHHHHHHQQQQFGGPYDESAMLASRLRQHQISGGGGGGGGGAAAVKQMVLQQLADLRQGHHMMLQGLGGRSPAGGGGGGGDGGLLLPLTLGSGGSGGDVQALLKAAAANSAGGGDAGGVYGGGFAGSLHQQQQHFQPHPQVLINSLADISHGSPRLKTLKHRPCVCLQTAPTIPTQSFGGGGGGGGGGTASGGGAAQPQAGAAGGGAPAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKLMQTDKASMLDEIIDYVKFLQLQVKASTYTKLLIHVLSMSRLGGAAAVAPLVADMSSEGRGGGAANGGAPAAAAAAAGSDSLTVTEQQVAKLMEEDMGTAMQYLQGKGLCLMPISLASAISSATCHLRPPVVAAAQQFPAGLGAAAAAAHHHQLSAAAAAAAAMRGHLPGLNADGSVPASPSMSVLTAQSAMANGGGGAADGEGSQLKDAASVSKP >ORUFI09G11130.1 pep chromosome:OR_W1943:9:12808096:12812241:1 gene:ORUFI09G11130 transcript:ORUFI09G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPLRHLLSFRRRPLAPRFLLLPRRFSASASASALQAATPPPSSSSAARLAAAVHGSAASGDFAHAIRLTKHLVRASSSPSHRPGAAGAAAAAALASTSASPAPALGVLVIALSQMALPDEALSVFGRLRELPALPACNAILDGLVKAHMLARVWELFDEMLGRGMVPSVVTYNTLINACRHQGDVAKAWEVWDQMVARRIDPNVVTYTTMICALCEEDCIGDAEGLFLEMKEAGMRPNLYTYNALMSSHFKRDNIKHALVFYYDLLKCGLVPNDVIFTTLIDGLYQANRITEAKNIFLDMPRYEVAPTVPVYNSLIHGAFRSGYAQEALAFFQEIIRKGLRPDEFTCSIVVRGLCDGGQMQVATRFLEVMQQSGIALNAAAYNVLIDEYCKSGNLDEALVTCTRMSEVGVEPNVVTYSSLIDGHSKNGEMEIAMAIYTEMVAKGVEPNVVTYTTLIHGHAKNGDMDAAFWLQKEMEEKGIYSNAITVSVLVDGLCRENRVQDAVRFIMEYSGQKKSEKNPSIANSVTYMTLIYGLYIDGQYNEACYFFSCMRDSGMVPDRFTYTLVIRGLCMLGYVLNAMMLYADMVKLDMRCVRSWEMGGVAEHERLVGQTVDCPSC >ORUFI09G11140.1 pep chromosome:OR_W1943:9:12813163:12814514:-1 gene:ORUFI09G11140 transcript:ORUFI09G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDSQLARAPVFPTQLRYNPCKLKITRSRSRRLYVAPPIPHEPFKYLYLALLACFCFDYSSSRAQQQRDASCSWSSSSSMDAAWRGGVGCSPVCLDLCVGLSPVREPSAARHELLDRPAGCRGGGDSKSMTNDEAKILEAKVTQMSEENRRLTEVIARLYGGQIARLGLDGSASPPRPVSPLSGKKRSRESMETANSCDANSNRHQGGDADHAESFAADDGTCRRIKVSRVCRRIDPSDTSLVVKDGYQWRKYGQKVTRDNPSPRAYFRCAFAPSCPVKKKVQRSAEDSSLVVATYEGEHNHPHPSPRAGELPAAVGGAGGSLPCSISINSSGPTITLDLTKNGGAVQVVEAAHPPPPPDLKEVCREVASPEFRTALVEQMASALTSDPKFTGALAAAILQKLPEF >ORUFI09G11150.1 pep chromosome:OR_W1943:9:12829611:12831326:-1 gene:ORUFI09G11150 transcript:ORUFI09G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGDGSSSPTDDSAAAGLLPLFSRSPAEDLEEKLRRAMEENARLTRALDAILAGHHSHQRALLAPSLSPPPPSATARAPSVSTSCAAREDAAPAVAAAAASTACPSRQQPPTAEPRPKVRTVRVRADAADATDANSMAETVKDGYQWRKYGQKVTRDNPYPRAYFRCAFAPSCPVKKKLQRCAEDRSMLVATYEGEHNHALSTQTTEFVASGCTTSQHAGGSSSSPLPCSISINSSGRTITLDLTNQAGSGSIASCGVEAAAVSGELVTVLSPELRRHLVEEVVQVLKNDAEFVEAVTNAVAARVVDQIPHIPVHL >ORUFI09G11160.1 pep chromosome:OR_W1943:9:12842246:12843419:1 gene:ORUFI09G11160 transcript:ORUFI09G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQEDRAAGADAVAERGGEHEASRVPDERGEAKEREVARPRDAVHNPFRCRMELGRRLPKTMMPPPPSFLDFDWDEAAKDEGVGSGGGDPAGGRPLRLLVEEAATQRVGSDGSKSVQGIKEREEEKVWAPRMASGLWLAKFS >ORUFI09G11170.1 pep chromosome:OR_W1943:9:12847469:12848839:-1 gene:ORUFI09G11170 transcript:ORUFI09G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAREEEADQVERKLVLGRYELGRLLGQGTFAKVYYGRDLRSGESVAIKVIDKARLRRTEGMVEQLRREISIMRMVRHPNVVGIREVLASRARVFVVMEYARGGELFAKVARGRLTEEHARRYFQQLVAAVGFCHGRGVAHRDLKPENLLLDEEGRLKVTDFGLAALPEQLRQDGLLHTQCGTPAYVAPEVLRKRGYDGARADLWSCGVVLYVLLCGFLPFQHENYAKMYQKIFKAEYQVPPWVSGDARRLIVRLLVVDPAKRISIPEIMRTPWFKKGFVPPVPTSPVSPKKWEEDDVLLDGGDSGAMSPRTCNAFQLISSMSSGFDLSGMFESEQKAATVFTSRAPAATVIQKLEAVGRSLGYSATRGKGWKLRLEATADGANGRLAVTVEALEVAADVAVVEFAHDAGDELEFNKFCAVDVRPGLADIVWAWQGDRPAAPDVAAATVECSPA >ORUFI09G11180.1 pep chromosome:OR_W1943:9:12864341:12865555:1 gene:ORUFI09G11180 transcript:ORUFI09G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGVLEGRYEMGRVLGHGNFGRVHAARDVRTGRAVAMKVVSKDKVERAGMAEQIKREIAVMKMVSHPSVVELHEVMATRTKVYLALELVRGGELFDRIARHGRVGEGVARRYFRQLVSAVDFCHGRGVYHRDLKPENLLLDEAGNLKVADFGLSALACHARPDGLLHTACGTPAYVAPEVLAGNGYDGAKADLWSCGVILYVLLAGALPFQDDNLVCMYRKMRRGDFCCPPWVTTDARKLIKSLLDPNPGTRITVAGLLETPWFRKTAPVPRPIIADPAAAPVDTRGNAGDDKDEPPEVLNAFHLISLSEGFDLSPLFEHDPAASPGRATARAGGTRFATREAASGVVARLEALAMGGARVAPSLLMVDVKKDGGDAMEYRPFFSEELRPALKDIVWSPAAT >ORUFI09G11190.1 pep chromosome:OR_W1943:9:12899522:12902392:-1 gene:ORUFI09G11190 transcript:ORUFI09G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAAAAAAAQQQEELPPGHGQTVCVTGAAGYIASWLVKLLLERGYTVKGTNAHLKALDGADERLVLCKADLLDYDSIRAAVDGCHGVFHTASPVTDDPEQMVEPAVRGTEYVIKAAAEAGTVRRVVFTSSIGAVTMDPNRGPDVVVDESCWSDLEFCKKTKNWYCYGKAVAEQEACKAAEERGVDLVVVNPVLVVGPLLQPTVNASAVHILKYLDGSAKKYANAVQAYVDVRDVAAAHVRVFEAPEASGRHLCAERVLHREDVVHILGKLFPEYPVPTRCSDEVNPRKQPYKMSNKKLQDLGLHFIPVSDSLYETVKSLQEKGHLPVLSKEIPEELNGVPA >ORUFI09G11200.1 pep chromosome:OR_W1943:9:12909168:12910292:1 gene:ORUFI09G11200 transcript:ORUFI09G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGQSDKGGHVTWTSSMSTYMLEYLEGIVASGNKTSSGFKQVYLKACAKALNDHFNINLTSDQITNHIRTWKRKYSKIADLRKLSAALWDDDNFIISLDHKHYADHIKDHKADAEYLNKPIHNYSKMLVIFGNSLATGKYAKESGDPLATESIPIDDDEEEEIGIGSAAATGSASRVHALNEENGASSSAPKPKKAKTAAAIEEEGLIGAFKSVGDKLAGAIVEAGKEAAKSNNELPDNLYKSVHSIPEFEDAHLAHYHAHLLDNPPTARVFVTLAFAHKVTWVARNMSRPELVPTGTSP >ORUFI09G11210.1 pep chromosome:OR_W1943:9:12913338:12918242:1 gene:ORUFI09G11210 transcript:ORUFI09G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSSMVAKMDEVSRVADDLMFAEDLQLEEVIRFSAHSAGPNCAVCGQATPSVDASWKPDNCDHVMCITCFGQLASDSHADELPKCPLASCQSSPDIISVSNEETGGGKGKELATYVVLEEHGECSRGAAATASSSASSEFYCTICMETVDAIERFAIPGCTHAFCASCVRQYIAAKVEENVLSIGCPDPGCKDSGGGALHPEACRDVIPPQLFQRWGDALCDSALSSLKFYCPFSDCSALLVDDPGDGEEAITDAECPHCSRMFCAQCKVPWHGGSTCAEFQKLGKDERGRDDLLLRKVAKDSKWQRCPKCKMYVERVEGCVFIICSLSCDVVLRCEWFKGMENKRVDVDAMNIDDALAEELQLQEAILFSAFQEMIIQDTDDDDSIGNLILIGQDQGQESKKPFSVADHGESSSPSPLTMTTTTGGGGAGEFYCSICMETVPGALKFSVSPCLHAFCVCCIGQYVAAKIGENTADVRCPDPGCGGGVEPESCRGVVPSEVLDRWGLLLCEAAIVARRLHCPFRDCSEPLLADADGEGGGVAEAECPSCHRLFCARCMVPWHDGVGCEEFQELGEDERGREDVMVRRLAGRERWQRCPQCRMYVEKSEGCMFMKCRCGYCFCYACASPMSKELHYCKRCKR >ORUFI09G11220.1 pep chromosome:OR_W1943:9:12933728:12936003:1 gene:ORUFI09G11220 transcript:ORUFI09G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAAAAGGANVIYISSDDEDEEIRILSADPYSPEEIQIQEVILLSLDYSRAAAADADTAQSSASSSRPSAAASTFGEPSSLPDRKGKSKLLSEDGPSESTTTRRWRKRGFTCIICMDKVQASEEFLVNVCSHAFCKSCIGGYVAAKVSDNVAAIGCPDPGCEEGSVEIGQCRDIVPPELFGRWSVSLWESSMGETTKCYCPFKDCSAMLINDNGDGGDAEEIAETECPHCHRMFCASCRVPWHDGIDCKEFRKLGNNEKGKEDLMLKKLAGKKKWQRCPQCRMYVEKSAGCTFMRCRCGFFFCYNCAAPMTKLVHYCKKCNR >ORUFI09G11230.1 pep chromosome:OR_W1943:9:12949089:12951947:1 gene:ORUFI09G11230 transcript:ORUFI09G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSAAAGGAHLIYVSSDDEEDETRVLLAESYSAEEIQIQQAILLSLDPSSDADAAHSSASSSRPSGAASTSDEPSSLPDRKGKRKLSSEEDGPIESTRKKRRKRGRFKCSVCMEKVQVSEQFTVSFCAHAFCNSCIGRYVAAKISENVAVIGCPDPGCEEGFVEMGTCRDIIPPELFDRWSVSLCELALGEKKYYCPFKDCSALLINDNDGAEKKIRETECPHCHRMFCARCRVPWHDGIKCKEFRKLGDDEKGEEDLMFKKLAGKKKWQRCPNYKMQFSEWKIPESPNFGRVRQCKVVMV >ORUFI09G11240.1 pep chromosome:OR_W1943:9:12952506:12952859:1 gene:ORUFI09G11240 transcript:ORUFI09G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAALKMAALCVLAMIAHASSTAKHDAAAGALLRELVRNVVAEELGLSGGGAGGRGNVGDACPAACQNCLILCAIMCVLKPTPVACYADCISKDAFFNAGEVAES >ORUFI09G11250.1 pep chromosome:OR_W1943:9:12957857:12961522:-1 gene:ORUFI09G11250 transcript:ORUFI09G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVASSRVAAGAGAARRSSPSPGPRPAAGSSVVRDKDATTMMRRRLYQQQHPPSSSGAREPGVPSMLLRRAAGGGGGGPRSLNVSCASEASNDSFCSRASTGRIGRRPVGPPGVGAAHARRRAAGSAGPPAACPVARKAAASVAPDVAASLIAVANGEAAPALTGPPRCPWVTPNTDPCYAAFHDHEWGVPVHDDKKLFEMLVLSGALAEMTWPAILSKRETFKEVFMDFDPLLVAKISERKILGPCSPARSLLSEHRLRIIIENAQEVLKVIEEFGSFDNYCWGFLNSKPMVGRFRHPREVPMKTPKADAMSQDLLRRGFLGVGPTVIYAFMQAVGMANDHLVTCYRFGECCSCSSSTEAPAAAAMDGADNHSKSMVKDQEVNMICGLVQCVSLEPSRARATTVLSIS >ORUFI09G11260.1 pep chromosome:OR_W1943:9:12967994:12970241:-1 gene:ORUFI09G11260 transcript:ORUFI09G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATNLKAETVGLMDRRAAVEAEMDAIIAALSVPVGPGITGGLVDAEGFPRSDIDIPAVLAQRRKLAELRNDHKDITNKIEKNLEVLHSTKLSRNEASIPASSGTPASLHSGLSQNDPMEEDAVTRLPFAIIDELTDGSPAAVDGLQLWDEIVKFGNVEAGDRLQERLVSEALSNEDCQVSLVIIRQGSSMNLTVTPRKWHGRGLLGYAPLH >ORUFI09G11270.1 pep chromosome:OR_W1943:9:12970162:12972540:1 gene:ORUFI09G11270 transcript:ORUFI09G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISASTAARRSISPTVSAFRSWTDGQDRTAQWVSSFSSSFFGNPLACGGGGGLGSASSSAPSSRSARSEMGNTASGATEASDSTEKTEQAPPADTKPKKKICCACPDTKKLRDECIVQHGEDACGKWIEAHRQCLRAEGFNV >ORUFI09G11280.1 pep chromosome:OR_W1943:9:12973755:12978687:1 gene:ORUFI09G11280 transcript:ORUFI09G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKSAKDYNIEGGSVLHLVLALRGGY >ORUFI09G11290.1 pep chromosome:OR_W1943:9:12976742:12981754:-1 gene:ORUFI09G11290 transcript:ORUFI09G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQSDGADVRYVISDLATDVIVHVSEVKFYLHKFPLLSKSSKLQRLVIKATEEGTDEVHIDGFPGGVTAFEICAKFCYGMVVTLSPHNVVAARCAAEYLEMTEDVDKGNLIFKIDVFINSSILRSWKDSIIVLQSTKALLPWSEELKVIGRCIDAIASKTSVDPANVTWSYSHSRKGMSCTEIVESTGRTSIAPKDWWVEDLCELDVDLYKRVMVAVKSKGRMSPELIGEALKAYAVRWLPDSYDALVAEDYMRRNQCLVETIIWLLPSDKTSGCSCRFLLKLLKVAILVGAGQHVKEELMRRISFQLHKASVKDLLLPAASPSDGAHDVKLVHNLVQRFVARTAMSHNGGFVEKSDDKMIELNFEQESTLALGELVDGYLSEVASDPDLSLSTFVELATAVPEAARPVHDSLYSAVDAYLKEHPNISKADKKKICGLIDVKKLSTDASMHATQNDRLPLRLVVQVLFFQQLRAGSSNALALTDGGGHTCAKPIMKDQSDICERRIPRHPNSLNKQATSLSAREVEHRKSEHRGGGRNSFKDQLGGFLLQSRSRRIFDKIWSSKGQGENGKGSETSGSSQSPPLSAKPADVKPSPLPPLRNRRYSVS >ORUFI09G11300.1 pep chromosome:OR_W1943:9:13007235:13010710:-1 gene:ORUFI09G11300 transcript:ORUFI09G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEGGSGGSGGGGARDMDALQGVRSIVLKPSESLDEGRFTRIAGADFNDAGLGLSGMLASLATTGFQASNLGDAVDVVNQMLEWRLSHEKPREDCDEPELDPTYRESVKCKIFLGFTSNLVSSGIRDVVRFLVQHHMGLNRIGNLLVPNDNYCKFENWIMPIFYQMLQEQSRENVWTPSKVIGRLGKEINDENIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADFAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLLVAATFARKLHDTKQTY >ORUFI09G11300.2 pep chromosome:OR_W1943:9:13007235:13010710:-1 gene:ORUFI09G11300 transcript:ORUFI09G11300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEGGSGGSGGGGARDMDALQGVRSIVLKPSESLDEGRFTRIAGADFNDAGLGLSGMLASLATTGFQASNLGDAVDVVNQMLEWRLSHEKPREDCDEPELDPTYRESVKCKIFLGFTSNLVSSGIRDVVRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGDFSLPGTLLRSKGLNRIGNLLVPNDNYCKFENWIMPIFYQMLQEQSRENVWTPSKVIGRLGKEINDENIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADFAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLLVAATFARKLHDTKQTY >ORUFI09G11310.1 pep chromosome:OR_W1943:9:13011116:13024483:-1 gene:ORUFI09G11310 transcript:ORUFI09G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVAVRARPLTAEDAGSSPWRVSGNAIALSTQPSIRFEFDRIFGEECRTADVYGARTKHIVDSAVRGFNGTVFAYGQTNSGKTYTMRGSGNEPGIIPLAVHDLFRTIEEHLDREFLLRMSYMEIYNEEINDLLVPEHRKLQIHESIERGIYVAGLREEIVTCPEQVLEFMSFGESHRHIGETNMNVYSSRSHTIFRMVIESREKVDESEAGESCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIEGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRSELEKERISLELEEEKKAKEQRDKRLIEQAKKIENLSSLVLNSERDDRTTVSSKVLESVQEDPPSSTVRHGRNMEMPLHFEELIQESCESSIKHYTDAYSSGSLSCEDDSLPDSHALLHVTSRRKPNTMKKSDQEQLMGLASERIIPQELNDWKYTTQSQENIKACVNGLSARESEAILVIKQLEDQIKLLELEKSSFQNNLDDVLELATQQKASFHEKYEELQQNALVAQEQAKIANEKLSKQEAAYEFLTGIFVETESIAVQMDQSTRSVDNALSFIEELFQNLFMMAKNFTEAKQFVCGDITQFSSVIRDYENISNCLREKLSKLEMEKKILDEQSLDQKDELQRLKSSLESCEKAMEDCNIQNELEKDSILSELLTLQKEVVYLSSSSLMKEKESIRKELDRTKTKLKETENKLKNSIQEKIKLESEKAEAQREIKKLQSQRTLLERDLRKRDSFTVDKRHEQSVKSKELAGIYDQAVQIQEDYGKLEMHAFDMEAEIASLQEALVTTIAEKEEALSRVELLTSAVEDLESRLNSAESETSSLLEETAVLTRKLDASESISKKLEASISSLSREKEDMGIELTDVLLEMESERSTWTAKEKAYLEAKQKLNICNKNNCKLSEDLIKVRQELACCREQYSILEAKMIFSKNDTNEEKYCRETFEESERLLKKERNIDTGVNENELHQQLLSITEERDKLLSEIKYMNSVINESELIQAKATIDELSSRISIVEAKMKNDASAYNKENTKLRMQIRWMQPELDAHRGRLKEAINEMKLMDTKYLEASTKLKKDLSFYCREVLRLKEQLKESQCKLLVPLAVSI >ORUFI09G11310.2 pep chromosome:OR_W1943:9:13011558:13024483:-1 gene:ORUFI09G11310 transcript:ORUFI09G11310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVAVRARPLTAEDAGSSPWRVSGNAIALSTQPSIRFEFDRIFGEECRTADVYGARTKHIVDSAVRGFNGTVFAYGQTNSGKTYTMRGSGNEPGIIPLAVHDLFRTIEEHLDREFLLRMSYMEIYNEEINDLLVPEHRKLQIHESIERGIYVAGLREEIVTCPEQVLEFMSFGESHRHIGETNMNVYSSRSHTIFRMVIESREKVDESEAGESCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIEGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRSELEKERISLELEEEKKAKEQRDKRLIEQAKKIENLSSLVLNSERDDRTTVSSKVLESVQEDPPSSTVRHGRNMEMPLHFEELIQESCESSIKHYTDAYSSGSLSCEDDSLPDSHALLHVTSRRKPNTMKKSDQEQLMGLASERIIPQELNDWKYTTQSQENIKACVNGLSARESEAILVIKQLEDQIKLLELEKSSFQNNLDDVLELATQQKASFHEKYEELQQNALVAQEQAKIANEKLSKQEAAYEFLTGIFVETESIAVQMDQSTRSVDNALSFIEELFQNLFMMAKNFTEAKQFVCGDITQFSSVIRDYENISNCLREKLSKLEMEKKILDEQSLDQKDELQRLKSSLESCEKAMEDCNIQNELEKDSILSELLTLQKEVVYLSSSSLMKEKESIRKELDRTKTKLKETENKLKNSIQEKIKLESEKAEAQREIKKLQSQRTLLERDLRKRDSFTVDKRHEQSVKSKELAGIYDQAVQIQEDYGKLEMHAFDMEAEIASLQEALVTTIAEKEEALSRVELLTSAVEDLESRLNSAESETSSLLEETAVLTRKLDASESISKKLEASISSLSREKEDMGIELTDVLLEMESERSTWTAKEKAYLEAKQKLNICNKNNCKLSEDLIKVRQELACCREQYSILEAKMIFSKNDTNEEKYCRETFEESERLLKKERNIDTGVNENELHQQLLSITEERDKLLSEIKYMNSVINESELIQAKATIDELSSRISIVEAKMKNDASAYNKENTKLRMQIRWMQPELDAHRGRLKEAINEMKLMDTKYLEASTKLKKDLSFYCREVLRLKEQLKESQVKAS >ORUFI09G11320.1 pep chromosome:OR_W1943:9:13025782:13029254:-1 gene:ORUFI09G11320 transcript:ORUFI09G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGAAADHLHGVLELTVYEADDLHNAIHGRIIKAAESLKESLGVHRLAHRIYVDVDVGAARVARTREVEFHPTNPVWNQSFRLHCAYPAAPVAFTVKSQHLVGAGVLGAARVPAARVATGEPVEGWLDLRGGEHGHATHTPKLRVRLRFLGVESDPWWDAGVRLPGFAGVTPAFFPERSGCRVTLYQNSHLSGGFDPGVRLAGGGAYRPARLWEDMYVAIRDARRFVYVAGWSVNAEITLVRDASRMVPGAEGVTLGELLRRKADEGVAVLVMPWQDKTSVSFLGNGGLMRTHDEETRRFFEGTNVRCFLCPRNADASLTMVQSIEVAAEFTHHQKTVTLDAAAASPGDADGSRRHIVSFIGGIDLCDGRYDDENHTLFRDLDTTYRHDFMQNNFKHAGLRRGGPREPWHDVHCRLEGRAAWDVLANFEQRWRKQAPPEMAGCLLDLSQAELPDPGSFGDDEPWNVQVFRSIDDASVVGFPAEPVAAAAMGLTNGKDVTIDRSIQAGYVEAIRRARRFIYVENQYFLGGCASWAEDRDAGCLNLVPVEIALKVAAKIRRGERFAAYVVTPMWPEGEPAGDSVQAILRWNRLTVEMMYGIVTKAIDDAGLRGQAHPCDYLNFFCLGNREAPRPGEYSPPETPDVDTDYWRAQVNRRFPIYVHAKLMIALFNLCHGSSHLCRRLTTVFFSAVDDEYVMVGSANLNERSLAGNRDSEIAQGSYQPAHLNGGPSGRARGLVHAFRMSLWHEHLMGHAGGGGGVFLEPESAECVRAVRRAAEATWDAYTRDTMEDLLGHLLPFPITVSEFGEVADLTADGCFPDTTAPVKGRRSLKLPAILTT >ORUFI09G11330.1 pep chromosome:OR_W1943:9:13032302:13033478:1 gene:ORUFI09G11330 transcript:ORUFI09G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQLWGQQNTGGCGSSADSSERRCRRLRLARRQQRAPPPPLRWESSEIICGSFDSAVALFNGGEFHARHDVVEELWYTADEPTRTLPAAPRILQCAVGFHHLFNQTHDRAQTQNHRGAMMELGESLCKLRKLRLDDTTSPFSRFEKEVAAALNFTYRTQKELAACTDDLYLTMDGSVTSHQLLGNFAAD >ORUFI09G11340.1 pep chromosome:OR_W1943:9:13036017:13038186:1 gene:ORUFI09G11340 transcript:ORUFI09G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDGEVRLVRRKGKKRLAPPPPPPPAAERGERDRLDELRRDYRDVLKDNEMKRRKLESINKRKLVLLSEVKFLQKKLNSFKKNDSQQVRLKKKAPRVPSHVGINDASAFYGASTEVPSTSKRTDLDLNQDSAMNDELSDFPGHHNHLELKKAEQAGVDEDIMTADVNLSACRDTGNSPASDDKRSVSWQDRVALKV >ORUFI09G11350.1 pep chromosome:OR_W1943:9:13038354:13040607:-1 gene:ORUFI09G11350 transcript:ORUFI09G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPTAGGSRFADGHMNNNNGFVQAVAGDPLAVVRDALLSQLQHDRLRQEIIVAELAKIERAMALRDASPSPSPTPRHAAGKTAASQTWSCAVCEVQTSSERNLRDHYGGQKHQSKVAGLELKAKTATVKTTAKPSPVAGQRAHAARWSCSVCQVHCNGEWDFDTHLKGKRHQANTQALLEQSNKNSGNSESHDGTKAQPSNVSHHAEKKKRKKKKEEEEATWICRACQAVCTCESDLQNHLRGRRHQLKVQALPEAAKQEKNNPPKLAKNPNKQPSEWVCSLCQAKCNTESQLEHHRRSTRHQQKVESLGWNAKESDLGTLQGMSSDGSSSKSVKISATMDKQKATYFCEVCSLKCTSQRMLADHLSGKKHIKQLELQLFS >ORUFI09G11360.1 pep chromosome:OR_W1943:9:13043420:13045203:-1 gene:ORUFI09G11360 transcript:ORUFI09G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFADAPSHGDSLVVVRDALLSQLQQDRLRKDIIVAELAKIERAMALRDVSQSPTPRHAAAAAAAGKTITTVATPAKKPSPSEKSEPAVQKSMPPSAWSCAVCQVRTTSERNLRDHCGGQKHQSKVAALEKTTKAMARTTAKPSPGAAARWGCSICNISCNGEWDFDTHLKGKKHQANTQALLEQSKKSSVNPESQGTKAAAATLICRVCQAKFTCQSDLQSHLKVMKHQLNLRAPSSDGSSFTSATSESLSLELYSCKVCSVKCTFERMLAYHLTGKKHLKQENLQLSCEICKLQCNSEKVLSDHRYGKKHQAKLEKVLQAKLNATE >ORUFI09G11370.1 pep chromosome:OR_W1943:9:13047971:13050313:-1 gene:ORUFI09G11370 transcript:ORUFI09G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPRRGQSSHPADADDDDRRRLRFPDSTPPSGREASPAPAQGDAVTAALGRRREELLWELHKTKIHREMLLCQLVETERAMAARLAAARGHPATPPLPWPQGDLLPAREYAWRSTPWEEQANANANAHAHGALSAPRSGDEEITPWWRRSPSAVTPPVYPHVERSPSPPIARGWPADDDERQERGEPSGSPAMAPPVRHAPHVEQSTPVPIKSPAAEAVCMPSGSPAMAPPVQPAPHVEQSTPLPAKEPAAVAKVEADAIVQTAANADADQALLGKGATPGGQGCIGQKGEEGDFAIDGHGRQLLGEMNVSKSTEQPKPTESISGGHTDELVQKRYQDNKPADQEIATLDKQKRVGSNDELTPERRSSGVKRQLASGTSLAKKPRSQGSSITCSLCKVTMTSPRALVEHRASLLHRSNLAPLRSGNKATTEAAQPAEKKTEKPEASEWNSSAHHHQNRMYYCDICEVRCSSEKMMASHLAGKRHRERHNSIFM >ORUFI09G11380.1 pep chromosome:OR_W1943:9:13051781:13052253:-1 gene:ORUFI09G11380 transcript:ORUFI09G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGCLPVARPVAVSPSPTRRRPTPRRSHLCSTLLEDTPARRRPTLLRCLCHCSSRFERMGDKADWGDDFIRHLFDVCKEEIEAGNIPMGIFTTTGWKNVVFKFAEKSGDKRTKKNN >ORUFI09G11390.1 pep chromosome:OR_W1943:9:13054448:13058379:-1 gene:ORUFI09G11390 transcript:ORUFI09G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVVETTLVAPSEATPQHALWLSNLDLAVPKTHTPLVYYYPAPSPPPADAGAEAEAEGFFAPERLREALARALVPFYPLAGRLAAGPGGRLEIDCNGEGALFVVARADFTGDEMFTDFEPSPEARRLLVPFAASGEPPCVLAMVQVTFLKCGGVAVGTGMHHVTMDGAGAFQFIRTWTGLSRGLDAAAASPSPPSHDRTLLRARSPPHVPFEHPVYSPSYLNGLPRPFVTRVYSVPPKLLADIKAACAPGVSTYGAVTAHLWRAMCVARGLPHDAESRLRVPANIRQRVRPPLPSPYFGNAIVRDLVTVPVRDILSQPLGFVAERIKHAVARVDDAFVRSVIDFLELESEKGNQAARGQFMPETDLWVVSWLGMPIYDADFGWGRPAFVAPAQMFGSGTAYVTQAPDKDDGSGGGVSVLFALEPEYIQCFEKAFYGTE >ORUFI09G11400.1 pep chromosome:OR_W1943:9:13070706:13071876:1 gene:ORUFI09G11400 transcript:ORUFI09G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVQCRESHIGISIVKLRKKYTEGRPAVGRRSLGQGGPTAGRRRGGGDTGEGEGSGRARRHRRASTVAERRRSGSRRRWRGRAWRQRKAMVTDATCANTLAAAQHGKELPGAARSTMAAAHSDVSVRHGNGKFPVGDYTTRPASADENFPHHHQREMQRGNIFHHHLLRAASGSLAWMTAALGPAEAARRPCADDGGGWTWRRSGPARARARRHGDLLRWRRTLAAQRPCADDGSVGTCCGGPAWALARR >ORUFI09G11400.2 pep chromosome:OR_W1943:9:13071260:13071874:1 gene:ORUFI09G11400 transcript:ORUFI09G11400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDATCANTLAAAQHGKELPGAARSTMAAAHSDVSVRHGNGKFPVGDYTTRPASADENFPHHHQREMQRGNIFHHHLLRAASGSLAWMTAALGPAEAARRPCADDGGGWTWRRSGPARARARRHGDLLRWRRTLAAQRPCADDGSVGTCCGGPAWALARR >ORUFI09G11410.1 pep chromosome:OR_W1943:9:13072208:13072746:-1 gene:ORUFI09G11410 transcript:ORUFI09G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAESTWDPRGPHMSGCHTEQAGRRWGGGHRGKEVRRLTSDAAVETREKGRAAAGRGGVGERARWRRGGGGCDAGGEAGADPEVEAEGDGVGERGGRWTPHWTSSSPSAPNLEGLAAKAGCLRPSPTGVPAILRDPDISGSAPLPPRVGHHRLHED >ORUFI09G11420.1 pep chromosome:OR_W1943:9:13082748:13088523:1 gene:ORUFI09G11420 transcript:ORUFI09G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVLIRGHEEPKPLRALSGQVCEICGDEVGRTVDGDLFVACNECGFPVCRPCYEYERREGTQNCPQCKTRYKRLKGSPRVPGDEDEEDIDDLEHEFNIDDEKQKQLQQDQDGMQNSHITEAMLHGKMSYGRGPDDGDGNSTPLPPIITGARSVPVSGEFPISNSHGHGEFSSSLHKRIHPYPVSEPGSAKWDEKKEVSWKERMDDWKSKQGIVAGGAPDPDDYDADVPLNDEARQPLSRKVSIASSKVNPYRMVIILRLVVLGFFLRYRILHPVPDAIPLWLTSIICEIWFAVSWILDQFPKWYPIDRETYLDRLSLRYEREGEPSLLSAVDLFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGASMLTFESLSETAEFARKWVPFCKKFSIEPRAPEFYFSQKVDYLKDKVHPNFVQERRAMKREYEEFKVRINALVAKAQKVPAEGWIMKDGTPWPGNNTRDHPGMIQVFLGHSGGHDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAIREAMCFLMDPQVGRKVCYVQFPQRFDGIDVHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPKGPKRPKMVTCDCCPCFGRKKRKHGKDGLPEAVAADGGMDSDKEMLMSQMNFEKRFGQSAAFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTDWGLELGWIYGSITEDILTGFKMHCRGWRSVYCMPKRAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPLLYGYKNGNLKWLERFSYINTTIYPFTSLPLLAYCTLPAVCLLTGKFIMPPISTFASLFFIALFISIFATGILEMRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKATGDEDDEFAELYAFKWTTLLIPPTTLLILNIIGVVAGVSDAINNGSEAWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFTIKARGPDVRQCGINC >ORUFI09G11430.1 pep chromosome:OR_W1943:9:13088197:13092293:-1 gene:ORUFI09G11430 transcript:ORUFI09G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLKSDVKKTGILNETLRPPLVPSEKHNASPVNRGRDVASRYKNGLSAHSAATTARRCTSPSPGRTSANECTPEPKRAQSADRRRPSTPSSRVSTPSTPASRSVTPVRNTVTEGHKSSRRITSTRNTDGLWPAMRNLSSSFQSESVVTPGNKKDKVVPSGSLDQTKGQASVIAERKRSPLRRKNIGEQCENAQPSEDQPRRVIEQHRWPAMQSGRVASNILSRSIDMSDKAGRSVPSTNISRGVSPRKTLASEGTGKGFNKSLDEVARRLAIHAGGRDDKVDSRCHAYSQSTERCKSVSRPSRAVTLPVPVLHRSSSPSKASSVTSSISRSFQSPSRTRPSTPSRSQSAGSIQSGVASPIISYMVDAKKGKKNSSQIENIHQLRLSYNRYLQWIFVNAYAEDTMSFQKVTAESIIYNVLRNTSNLRDVVNMRRIMVQCIQQELKLHGILKEQIDYLEQWPALEKENSISLFHATEALKASTLRLPVTSGAKADVVALKNAVSSAVDIMQGLGSAVRCMLPKVDDRTYLVSELSVIARQEKAMLDECRELLAMAAKLQVQESSLRTHLTQLRPGIAHMI >ORUFI09G11440.1 pep chromosome:OR_W1943:9:13106225:13117305:-1 gene:ORUFI09G11440 transcript:ORUFI09G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLFEITSRSLREESNRNSQKHRMASDRTSSDDECSSNSKSDNKVADSDYANSISEEEETSDSDKYITKKDLVSTLKDLDRSKGKELGQTNQCAIPLSFVKWIASHTDVSCSDIVVNGRSIPINPNTTNFILGIPNGGLEIKNDNDAGKHFFHQHFGSTKPLISFFGTKLLSDKGVNKLSEDDVLRCFMVVALSTFLCPNSDTHPSPKYLEPLSDIKSSSKWNWSKFVYEWLMTYIAKFQKESKSKEQTSKTLGGCGHLLAINYLDFKDFGIRNIPIGPPPRISVWKGGMIKEYSKIDECKTGDFGKRPLIDDISTVCKWFTREHSDTTYGKNAQLAAKVLDCVYMSKASLFTQKDTTTTREEKSGEEKSKGGSKQGGNNVAHNGNAPPLEQNHPNNTDVCSQLPKTPQTKSTAEHKTKSNINNTSTSKGAPPTDKKASSKDFVCSQQSNNSVASRTRAKRLHSASPLSVVSISPSKKNSSDQKIRKAVRKQPLKATEPINTQPDREESNKDALFVEPICTIPAKKEEVQPTKNLESSSTEFVIDIEGPYDAEDITGHTTDKTKFILVNYSNSSEEHNSQDPTQDESDNIPNKSTNQPKFECLHHFDDKSKTNKSASLGHFLSQTTSGTNIMDIEHSSMQVTQGESYMHTPKTCINNKDGVQHLSANFIANMGNRRLEGQHCDENEYQDDFAEFLYEEEPSDNIDMSSQSSPDIGMNSPRIAQMREPNQHAQIEERQYSMIRIIDSLNASANCSGTRHNLYRPKRIVHPILMDNVKVTWSSLSKSLSPRGVVDTYVLNAYAKKIANDQNNKENEYRNFYFFHRTSLIFPCLYDNHWFVFTVDIKGHHFVFLDSIYDENNKYHKKIQGLLIPGFIAMWEEFSYVEKNFSKFDIQYPPITRQNNGSQEEAKMLARSFNPTKHGKYARQQ >ORUFI09G11440.2 pep chromosome:OR_W1943:9:13106225:13117305:-1 gene:ORUFI09G11440 transcript:ORUFI09G11440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLFEITSRSLREESNRNSQKHRMASDRTSSDDECSSNSKSDNKVADSDYANSISEEEETSDSDKYITKKDLVSTLKDLDRSKGKELGQTNQCAIPLSFVKWIASHTDVSCSDIVVNGRSIPINPNTTNFILGIPNGGLEIKNDNDAGKHFFHQHFGSTKPLISFFGTKLLSDKGVNKLSEDDVLRCFMVVALSTFLCPNSDTHPSPKYLEPLSDIKSSSKWNWSKFVYEWLMTYIAKFQKESKSKEQTSKTLGGCGHLLAINYLDFKDFGIRNIPIGPPPRISVWKGGMIKEYSKIDECKTGDFGKRPLIDDISTVCKWFTREHSDTTYGKNAQLAAKVLDCVYMSKASLFTQKDTTTTREEKSGEEKSKGGSKQGGNNVAHNGNAPPLEQNHPNNTDPLKATEPINTQPDREESNKDALFVEPICTIPAKKEEVQPTKNLESSSTEFVIDIEGPYDAEDITGHTTDKTKFILVNYSNSSEEHNSQDPTQDESDNIPNKSTNQPKFECLHHFDDKSKTNKSASLGHFLSQTTSGTNIMDIEHSSMQVTQGESYMHTPKTCINNKDGVQHLSANFIANMGNRRLEGQHCDENEYQDDFAEFLYEEEPSDNIDMSSQSSPDIGMNSPRIAQMREPNQHAQIEERQYSMIRIIDSLNASANCSGTRHNLYRPKRIVHPILMDNVKVTWSSLSKSLSPRGVVDTYVLNAYAKKIANDQNNKENEYRNFYFFHRTSLIFPCLYDNHWFVFTVDIKGHHFVFLDSIYDENNKYHKKIQGLLIPGFIAMWEEFSYVEKNFSKFDIQYPPITRQNNGSQEEAKMLARSFNPTKHGKYARQQ >ORUFI09G11450.1 pep chromosome:OR_W1943:9:13117845:13119125:1 gene:ORUFI09G11450 transcript:ORUFI09G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPLDLLEVVARKVDMPATEELEEATATWELDEAGEKAWGGALPHPPHPKPTAAVATRRVAACRCRVDRERAVARLKGAPPHPLEFLSPTQPPVAAGVAPTLGRPPPARANARARAGHSGSQQSRCGAPVSVVESRNCYFVGSFMEIQVVTDKARN >ORUFI09G11460.1 pep chromosome:OR_W1943:9:13121352:13124973:1 gene:ORUFI09G11460 transcript:ORUFI09G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGERARARAPPMVVRRTAVLLLLLHLVLVIAAAAAAVDGRRPAEAIVGGWRRQRRLLMQEKATLLALKRGFTLLSPKLLADWNDSNTDVCGFTGVACDRRRQHVVGLQLSNMSINGSIPPALAQLPHLRYLDLSDNHISGAVPSFLSNLTQLLMLDMSENQLSGAIPQSFSNLTQLRKLDISKNQLSGAIPPSFGNLTNLEILDMSINVLTGRIPEELSNIGKLKGLNLGQNNLVGSIPASFTQLKNLFYLSLEKNSLSGSIPATIFTNCTQMGVFDLGDNNITGEIPGDASDSLSDSFAVLNLYSNSLTGRLPRWLANCTFLYLLDVENNSLADDLPTAIISGLRNLRYLHLSNNVHFASGDGNTNLGPFFAAVSNCTSILEIEAGALGIGGRLPSLLGSLLPPNMSHLNLELNAIEGPIPADIGDVINITLMNLSSNLLNGTIPTSICWLPNLQQLDLSRNSLTGPVPACISNATSLGELDLSSNALSGSIPSSIGSLKLSYLSLHRNQLSGEIPASLGQHLGIVRLDLSSNRLTGEIPDAVAGIVQMSLNLSRNLLGGRLPRGLSRLQMAEVIDLSWNNLTGAIFPELGACAELQVLDLSHNSLTGVLPSSLDGLESIERLDVSDNSLTGEIPQSLTKCTTLTYLNLSYNDLAGVVPTAGVFANFTSTSYLGNPRLCGAVLGRRCGRRHRWYQSRKFLVVMCICAAALAFMLTILCAVSIRKIRERLAAVREEFRRGRRRGGGGSSPVMKYKFPRITYRELVEATEEFSPDRLVGTGSYGRVYRGTLRDGTMVAVKVLQLQSGNSTKSFNRECQVLKRIRHRNLMRIVTACSLPDFKALVLPFMANGSLERCLYAGPPAGELSLVQRVNICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLINDDMTALVSDFGISRLVMSVGGVANAADVGASTANMLCGSIGYIPPEYGYGSNPTTKGDVYSFGVLVLEMVTRKKPIDDMFDAELSLHKWVKNHYHGRADAVVDPALARMVRDQTPEVRRMSDVAIGELLELGILCTQESAAVRPTMMDAADDLDRLKRYIGGETTATFASSLGFSSSTFEDLDD >ORUFI09G11470.1 pep chromosome:OR_W1943:9:13129989:13132877:1 gene:ORUFI09G11470 transcript:ORUFI09G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRICTPGGGAPEARRGSLAAAGSAVQHGPDLIGFSSWVLPISAGYAVDRRHAAAGGVAACHGLSCADSGRRKNHPRASLVNGVVSSLEDSSGGEPALCVSDSPEDASSSGKVLSDLRRDMVDGISGIPRISAGKKKGMKFRRRGQGGNRLTRRSAPRRASGKSGQDQRILLSEDDIAAILSSVTHESSIEECNSVLIRLEKHSDKTALGFFEWMKANGKLKGNAEAYHLALQAIAWKEDWEAAGQLLHEMVADSGCALDAQAFNGLIYVCAKRRLVDWGTKWLHMMLERDVQPNVSTVGMLMGLYQRIGNLPEAEFTFAKMRKCGIKCVNAYSAMVTLYTRLGHFAKSEEVITLMNNDEVVPNMENWLVRLNAYCQQGKMEEAELVLKSLVDEGIALNVVAYNTVITGYGKVSDMQKAMEVFDRLKSAGLAPDETTYRSMIEGFGRADKYKQAILYYRKLRNSGFKPNASNFYTMINLLARHDDSEGATEILEDMRAAGCQCSSIVTVLVRAYGSVGRMHKVLQILKACFYKKILFDATSCSILVTGFVQNSLVEEAMRVLREKKWKDSDFEDNLYHILICSCKEAGCCDDAVRIYNQMPKSATHPNLRIYCSMIDVFSIMERFTDAEALYLELKASSCVLDMIAYSVIVRMYTKAGRPEDACLVLEDMEKQKEIVPDKYLFLDMLRTYQKCGLLEKLSDTYYWILKSQVELDEAMYNCIINCCGRAIPVDELSRIFDEMIQQGHLANTVTLNVLLDIYGKAGLFNKAEKVFLMARKQGMADIISYNTIVAAHAKNGDFRSMIYFVQRMQEAGFPVSLEAYNCMLDAYGKAGQLEEFAAVLQKMERAGCEFDHYTYNIMINIYGRKGWIEGVANVLAELKSRGGEPDLYSYNTLIKAYGIAGMPEDAVKLMQEMRIKGIAADRVTYTNLIAALQRNENFLEAVKWSLWMKQTGVAATRT >ORUFI09G11480.1 pep chromosome:OR_W1943:9:13133835:13139740:1 gene:ORUFI09G11480 transcript:ORUFI09G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEQQEAEEGIAGGGGGWASTALQPVRWLRMLCRELGATFVAGVVLVYGLSQGFAGSFFRVASDYYWKDVQRVQPATVQLLSAVFFIPWVLKPLWGIMTDVFPVRGYRRRPYFLFAGVLGTASAAIVTMVNGLPMTSAILSFVGISTAVAIADVTIDACIAKNGIDKPSLVPDMQSLCAFSSSLGALIGYATSGMFVHHLGAQGALGVMALPPATLVFLGFFIYELKMYQHNVKEKVLNKVHMAVKGMAQTIKYPVVWKPSLYMFLSLALSISTHEGQFYWYTSKEPPNPGFSQEFVGMVHAIGAVASMVGVLVYHKYLKDYPFRSILFYAQLLYGVSGLLDLTFVLRWNLLLGVPDAAFVTLEECCARVVGRVRLMPMMVLSTKLCPPGAEGTFFALLMCIDSAGMLAAKAGGAAVLRALRVTRTDFARLWLAVLVRNLLRLSTLAAISLVPTADQTDVLLPRDLLAVAGDGSPPAAGDGDDEERLQLAKFADHVVDDDDDD >ORUFI09G11490.1 pep chromosome:OR_W1943:9:13145654:13148016:1 gene:ORUFI09G11490 transcript:ORUFI09G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGACALLLLLLVLGAGGGGGVHCLEVTRSRRALQRRHHLRSRAESGATILELRHHGGGGGGGSGKSGGRSREEELGGLFSSDAARVSRRVLGGGRGGGRVPVTSGARLRTLNYVATVGLGGGEATVIVDTASELTWVQCAPCASCHDQQGPLFDPASSPSYAVLPCNSSSCDALQVATGSAAGACGGGEQPSCSYTLSYRDGSYSQGVLAHDKLSLAGEVIDGFVFGCGTSNQGPFGGTSGLMGLGRSQLSLISQTMDQFGGVFSYCLPLKESESSGSLVLGDDTSVYRNSTPIVYTTMVSDPVQGPFYFVNLTGITIGGQEVESSAGKVIVDSGTIITSLVPSVYNAVKAEFLSQFAEYPQAPGFSILDTCFNLTGFREVQIPSLKFVFEGNVEVEVDSSGVLYFVCLALASLKSEYETSIIGNYQQKNLRVIFDTLGSQIGFAQETYPTVDHPVHIDNKKHNTNL >ORUFI09G11500.1 pep chromosome:OR_W1943:9:13149786:13156487:-1 gene:ORUFI09G11500 transcript:ORUFI09G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPTASSLAAAADPALPAAFLSLPSPLLPASPPLPAAPAPSSNAFCVPRGPARAVAVSVSVSAYGAGSTAAASRLHRMWAEFSRFVRLHGNQIAPLGFASLGLGVGGGGGGSGEGAGGGGGGGGGEVDGLVEEEGVARAEAPKKVLILMSDTGGGHRASAEAIKAAFIQEFGDDYQVFVTDLWTDHTPWPFNQLPRSYSFLVKHGPLWKMTYYGTAPRRGCKRSHEIPTRCNYQCPSFNAACPTPNPKVKRFHKLVTRCYCPSAEVSKRALKAGLQPSQIKVYGLPVRPSFVKPIRPEDELRRELGMDEYLPAVLLMGGGEGMGPIEATARALGDALYDEVLGEPTGQILVICGRNKKLTSRLQSINWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNGYIAGQEAGNVPYVVDNGCGKFSKSPEQIAKIVADWFGPRSDELKMMSQNALKLARPDAVFKIVHDLHELAHGKRAYDGPHHFLRTESAVAKI >ORUFI09G11510.1 pep chromosome:OR_W1943:9:13159327:13170577:1 gene:ORUFI09G11510 transcript:ORUFI09G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKNSTTTNTSSSGVAAAAAAAAVKPKRTRKSVPRESPSQRSSVYRGVTRHRWTGRFEAHLWDKNSWNESQNKKGKQVYLGTYVRLSGHGLGMITQPARAYDDEEAAARAYDLAALKYWGPDTILNFPLSAYEGELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIKWLRPGADGAGAPQNPHPMLGALSAQDLPAIDLDAMASSFQHDGHGAAAAAAQLIPARHSLGHTPTTSALSLLLQSPKFKEMIERTSAAETTTTSSTTTSSSSPSPPQATKDDGASPQCSFPEDIQTYFGCAAEDGAAGAGYADVDGLFFGDLAAYASPAFHFELDL >ORUFI09G11510.2 pep chromosome:OR_W1943:9:13159327:13170577:1 gene:ORUFI09G11510 transcript:ORUFI09G11510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKNSTTTNTSSSGVAAAAAAAAVKPKRTRKSVPRESPSQRSSVYRGVTRHRWTGRFEAHLWDKNSWNESQNKKGKQGAYDDEEAAARAYDLAALKYWGPDTILNFPLSAYEGELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIKWLRPGADGAGAPQNPHPMLGALSAQDLPAIDLDAMASSFQHDGHGAAAAAAQLIPARHSLGHTPTTSALSLLLQSPKFKEMIERTSAAETTTTSSTTTSSSSPSPPQATKDDGASPQCSFPEDIQTYFGCAAEDGAAGAGYADVDGLFFGDLAAYASPAFHFELDL >ORUFI09G11510.3 pep chromosome:OR_W1943:9:13159327:13170577:1 gene:ORUFI09G11510 transcript:ORUFI09G11510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKNSTTTNTSSSGVAAAAAAAAVKPKRTRKSVPRESPSQRSSVYRGVTRHRWTGRFEAHLWDKNSWNESQNKKGKQVYLGTYVRLSGHGLGMITQPARAYDDEEAAARAYDLAALKYWGPDTILNFPLSAYEGKAVGSQEEYRSTEALQATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIKWLRPGADGAGAPQNPHPMLGALSAQDLPAIDLDAMASSFQHDGHGAAAAAAQLIPARHSLGHTPTTSALSLLLQSPKFKEMIERTSAAETTTTSSTTTSSSSPSPPQATKDDGASPQCSFPEDIQTYFGCAAEDGAAGAGYADVDGLFFGDLAAYASPAFHFELDL >ORUFI09G11510.4 pep chromosome:OR_W1943:9:13159327:13170577:1 gene:ORUFI09G11510 transcript:ORUFI09G11510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPDWPCFWQQVPLSRYLRDAGGGGNGVRHGGHRVSGPERRHQLRPQPVHQVAPARRRRRGRSPESSPHAGCSVGAGSPGDRPRRHGVVVPARRPWRRGGGGTADPGKTFARPHADNVGAQPAAAVAQVQGDDRADVGGGDHHHQQHNHLLLVPVAAAGDQGRRRLAAVQLPGGHPDLLRLRRRGRRRRRGIRRRGRPVLRRPRRVRVAGVPLRAGLVTAAPPLPQPSSVSLCLASSPTATAQYSYRPLIKFFNWVDLVHRRMTKKREGTDEQTNGRQARMQDTLIAQASAYRVNDSIIVTS >ORUFI09G11520.1 pep chromosome:OR_W1943:9:13182135:13184967:1 gene:ORUFI09G11520 transcript:ORUFI09G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIVAAAEGGVRRRRRYALLLAARDSDYVRKVYGGYLEVFIRAFGDDGDVGDGGGEEWDMFRAVDGELPGADEVDGYDGFVISGSPHDAYADDLWILRLCLLVRDLVAMRKRLLGICFGHQVICRALGGRVGKARGGWDIGIREVAMAESLPPYRFLDDALQGITAAAAPYAKITEVHQDEVWELPAGAEVLASSSKTGVEMFCAGDRVLGIQGHPEYTADILLNLVDRLSSAGSITMAVAEGVRRQLEDTGPDREFWIKLCKSFLKTEEE >ORUFI09G11530.1 pep chromosome:OR_W1943:9:13186520:13187698:-1 gene:ORUFI09G11530 transcript:ORUFI09G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSLADSWGSAPASPIGFEGYEKRLEITLSDAPVFVDPCGRGLRALSREQIDSFLDLAKCTIVSHLSNKHFDSYVLSESSLFVYPHKVVLKTCGTTKLLLSIPRILELAAELSLPVLSVKYSRGMFIFPGAQPSPHRSFLEEVSVLNSFFGGLKSGGNAYVIGDAFKPKKKWHVYYATEEPEQPMVTLEMCMTGLDAKKAEVFFKDSTDGSCSSAKEMTMFSGISEIIPEMEICDFEFDPCGYSMNGIYGPAVSTIHVTPEEGFSYASYEAMNFNPSSLVYDDLIKKVLACFCPSDFSVAVTIFGGHGFAKSWAKGAEVDSYMCDDLVEQELPGGGVLMYQSFTAVTPGAVSPRSTLDGWNSDGAEMVAKSKEMSVCWEGEKAAKKKDADA >ORUFI09G11540.1 pep chromosome:OR_W1943:9:13187863:13189687:-1 gene:ORUFI09G11540 transcript:ORUFI09G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSSSMYEAPLGYKIEDVRPAGGIKKFQSAAYSNCARKPS >ORUFI09G11540.2 pep chromosome:OR_W1943:9:13187865:13189080:-1 gene:ORUFI09G11540 transcript:ORUFI09G11540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPGNIKEHFVDVLMESKGGKKKSSSSSSMYEAPLGYKIEDVRPAGGIKKFQSAAYSNCARKPS >ORUFI09G11550.1 pep chromosome:OR_W1943:9:13195808:13196473:-1 gene:ORUFI09G11550 transcript:ORUFI09G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVISNVSAPASGANFLAIVASGSTWLIDMVSELTKISTRTIVSKSCGIIAVPSSNNVMPSFELQSGLMFKSPTTARSISVEVGRSVTRHLVGAPHRAPTCLGRHVDKGSV >ORUFI09G11560.1 pep chromosome:OR_W1943:9:13201123:13201371:1 gene:ORUFI09G11560 transcript:ORUFI09G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRIALMGRNVWFFPGLFADSPVESVAVGAHGETPSTRPHEIGCNCKGLCPPGAHESARRQVLQVGPTWQIPHITFPPFA >ORUFI09G11570.1 pep chromosome:OR_W1943:9:13201380:13207181:1 gene:ORUFI09G11570 transcript:ORUFI09G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLRLLSAGLLLPAVSRARHSSSLQGLRALSTLSTTLGSGAGEDEIERIRREFEDAKRNYLSIPVAIKDMAKMNPQGIYVNKNVKLDDLQVYGFDYDYTLSHYSEHLQCLIYDLAKKHLVNELKYPESCLKYEYDHGFPIRGLYYDRLKGCLLKLDFFGSIEPDGCFFGRHKLSLSEIKELYGTRHIGRDQARQLVGLMDVFCFSEACLLADIVQHFVDARLEFDASYVYEDVNQSIQHVHRSGLIHRKILSEPQKYLIKNSQVFRFLKMLREKGKNLFLLTNSPFYFVDGGMSYLLEDEHFDGNSWRELFDVVIAQANKPTFYNSDHPFRVYDTQKDTLAFTAVDKFLPNEVYYHGCLKSFLQITKWRGPEVIYFGDHLLSDLRGPAKAGWRTAAVIRELEDEIEIQNGDSYRFQQAKLSIIHDLLGKVHATVVSTEKGQVYRALLDELNAERRQCRSAMRDLFNSSFGATFLTDTGRESSFAYHIHQYADIYTSKLENFLSYAPESWLHPPHDIKIMPHNAKVPASLFSGS >ORUFI09G11580.1 pep chromosome:OR_W1943:9:13209243:13216240:-1 gene:ORUFI09G11580 transcript:ORUFI09G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPEFIHPALHVLPHRPRTPAAMGSPRSSLPPLWLLLLCAVAAARRASMARAQQPMPPSFAFSWLDYRSSFVAGDTAVIKITPLDLPPGDEARRSLSFTATVNGRRGNSTYIADVAAHHDGEPAAWSITFVPLRAGDFVVLVGEERFSVAESPLEFAVAAGAAHPSASLASWTYSGACVAGSKALVSVALRDAFGNGVARGAAMPGGNGNLKVSVSRSNGAIVEFKDFRCNGWAEDGRISLEFVPVVAGAFLVRVQSDDNTLRGSPLLLTVNPGPIDIAKSTCSWKYGTNVLQIFSKLEIFIHQKDYFGNAVPDIHPFDARIVKRATNLSVPVADLLIEVVDDGTRLLSFKTVDPGEFVLTIFDPKLNQKISNMDYEYNVFLGYCDGSNSFANGSGLSHSVAGSVSHFMVYLQGHYSYPSPIESAWLKVQILSKNGASVINSTISPGELNEETFVGGHFSTFVGGHFSGGPTGHQEKIIAGNMRTNSFNVSYTPKFAGEYEIWVQCGNIVINSGNPYKMTVSTGVVSTDLSTVVTFVRKVKTSVHNEVVVQLVDPFMNPMIHLASKLRIQLTSADSTTPMNAPSFTAGEFVDNKDGSYTTYYVAKNTGLYRICIQFEDAQLKPCPFEVHVVQDEDFSTVQNDIISVRENESVSFDVLSNDYIAGGQAVVNFYPPLHGSVLQYNQKKFRYTPFEGFFGNDTFWYIIFDKHDNIAYGTVFISVLCRPPQFISLPQQLHATEDTIAPQFGGFPGIKIAYSDAAENISVMLQAQSGNVSLAPMPMKFHQTSYDVLSISTGDRYGKDLIFNGTVEAINGALRFVKYIGNEDFYGNDIIKIYAMSRSGREDAQVPIFVEPINDPPVILAPESIFLGGKKSIEGYQIFDKQRDPFESSIVEPDLQSFPGNKSHLQLVLSLEVHEGALMVTLTAGIVATAEVKIEGNNCWQPLQTSSADRIVLRVAGIRFRGSVSDCNNAMQRLFYQGRSNETTLVIIVNDLGYFGCYPDCSMKSGTPLSTIKTIRLLIRKSVKSRDLLLRTALTIELSLGCVLLYYILKCICALKGKGKNHNKKTRKLKKTASHQNTSTSSSDDAGYLSAPATVLSSGGNRSSLRQRSPRSRRQELELQPLTMSRNNGDQDDQLAEHKDK >ORUFI09G11590.1 pep chromosome:OR_W1943:9:13217111:13218305:-1 gene:ORUFI09G11590 transcript:ORUFI09G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHMKTFALGIVVLATLVLASEGRISRKDLSIDLGGGGGIGIGTGISIGIGGGTGGSGSGSGSGLRLRLGFRLRLCFWVWLRVECWFKRRAHMLALVLVQDLVTIKVKEQVKVKAKVMALAMEQVQGQGMARDVVRGMVKEMDPVQDMVRGMVKEMAPAQDMARGMVRATVMVKDLVMVKAMAQDMAKDQGQAMAKDMVPQAQRMKFSQLQIVVGQCMDTSPLPVYDPFDSPKRIKITKA >ORUFI09G11600.1 pep chromosome:OR_W1943:9:13220101:13221781:1 gene:ORUFI09G11600 transcript:ORUFI09G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGIGDSTLEAALDPTLDPALEPANDPALEPELEPVEDDVLELAEDPALEPLPDLDPEPEVEAEPEAELELELLVPPIPTPAPSPIPRPPKLDPELEAETDPEADPKPGLDPEPDMDAEPDLELEPEPPPPRPMPIPTPSPRPRPSDPEPEPDPEPDPELEPEPDPNLNLSHLLLNQLQSQVHPQG >ORUFI09G11610.1 pep chromosome:OR_W1943:9:13224029:13224556:1 gene:ORUFI09G11610 transcript:ORUFI09G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKGGAVAALVVVALLCVMSGGCVESRRVARMGLDIRLGSGQGIGLGIGLGLGVRAGTGGVSASGSGSGSGSVAGAGSTSGSRSGSVSIGGASSSAGSSAGSYAGSGGSGAGSSAGSRAGSGGGQGYGYGGGSGSGSGSGYGEGGGYGRGSGNGSGLGFGEGYGYGSGSGGNP >ORUFI09G11620.1 pep chromosome:OR_W1943:9:13228355:13228828:1 gene:ORUFI09G11620 transcript:ORUFI09G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKACNLAAALLLLLMVAFMCMSSGVLVEGRPVARREDLSIGLGGGGGGGSGGVGIGVGVGVGVGLGPGGVSVSGSGSGSGSAAGVGSASGSRSGSVSVGGASSSAGSSAGSSAGSGGSRTGSSAGSSAGSSGGSGLGIGFGQGSGSGSGSSGNP >ORUFI09G11630.1 pep chromosome:OR_W1943:9:13231601:13234000:1 gene:ORUFI09G11630 transcript:ORUFI09G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWRQGGAVGCGRRPAGEQLGTGVGSTRRRRPHPQPLQFPPALATSVFACHCRMVDPTSRMRIRPARDGHIAAVVDASNGSESWAAASAEEHPSSRSDEQSEEADEDGDGNAEAVGAAVLLFSPPPPAVAVATLLLFPSSDAGAAAAGT >ORUFI09G11640.1 pep chromosome:OR_W1943:9:13233336:13233578:-1 gene:ORUFI09G11640 transcript:ORUFI09G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATRFLDSLARTPMCQARKKEGGWSAQRRKAIIFLLFLQTLFLQLAALAIRPPAAGSGSSPSISHPASTRNATHRVAQS >ORUFI09G11650.1 pep chromosome:OR_W1943:9:13236423:13239013:1 gene:ORUFI09G11650 transcript:ORUFI09G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLSNSLLGILNAVTFLLSVPVLGGGIWLATRADGTECERYFSAPVIAFGVFLLLVSLAGLVGACCRVNCLLWFYLVAMFVLIVVLFCFTVFAFVVTNKGAGEAVSGRGYKEYRLGDYSNWLQKRMENSKNWNRIRSCLQDSKVCKKLQDKNWDRTQFFKADLSPLESGCCKPPSSCNFLYVSGTNWTKVPTNSSDPDCNTWVDDGTQLCYNCQSCKAGAVATLKRDWKRVAVVCIVFLVFIVIVYSLGCCAFRNNRRDNRGAYRGAAWKGGYA >ORUFI09G11660.1 pep chromosome:OR_W1943:9:13240482:13242338:-1 gene:ORUFI09G11660 transcript:ORUFI09G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGWWWVERYGPCVGMVMVQWFYAAVDMALKAAYGMGMRPIVFVAYRQGIAAATLLLASLAARGWDLRRHMAVGAPAFALLFAASLARYTKLTSWLILVRTPLDRIGRRPLISRLILVGSATGQYFYFLGLQLASPSMARATTNLAPGITFAIAAVIGLEKVDLRSSRSLAKIAGTVVCLAGAMAMAFFKGPKLLGAVLVAATTDDDWVKGGIYLIGNAFCVSIWYILQVPVCRSYLDPLSLATWMCFLATLQCAVMAFFLESNYLQIWKLASIWELPCILYGGVFASGANFFLQSWCISVKGPLYSAIFTPLSAVITTILSTIFLHEELHIGSILGAIAIIIGLYVVLWGKADDAKSEGLTILSNDSKRITEPECTGVKVECGTNLSVPLLSGNANANT >ORUFI09G11670.1 pep chromosome:OR_W1943:9:13243151:13244575:-1 gene:ORUFI09G11670 transcript:ORUFI09G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISGTIVCVGGAMVMAFFKGPKLLNYTLGDLNMLLHSPAISKWVLGALCLVVSSSCWSLWLILQVPICKFYVDPLSLSAWTCFFSTLQCAALAVFLVPDANAWKIHSLFELSSYAFAGVFGSGVCFYLQSWCISVRGPLYSAMFTPVCTVVTTVVAAAVLQEELHIGRHASSLLSTTDTRKKKKKNLTRPNNKNTLYILMGAAAVITGLYVVLWGKADDMKRGSEPATAAAAAKPCTDSCRDVERTAAEEPLLLADAVSSEQL >ORUFI09G11680.1 pep chromosome:OR_W1943:9:13245915:13246911:-1 gene:ORUFI09G11680 transcript:ORUFI09G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLEAAAREWTPCAAMVAAQCIYAAMTLWAKAMFGRGVSPVIFVVYRQAIGTLVLVPITLIANRAKVKETRSLGTTGLFLVFVTALLGATVNQNLTYQGLHLGSSSMATAMTNLIPAITFLMAASAG >ORUFI09G11690.1 pep chromosome:OR_W1943:9:13248072:13257209:-1 gene:ORUFI09G11690 transcript:ORUFI09G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGWEEYKPCAAMVAAQCIYAALALWAKAVFTGGMSTMVFVVYRQAIATVFLVPIAIIANRRKKKETRLGMTGFSLIFVASLFGATVNQYVYYQGLHLGSSSMATAMSNLIPAITFVMAASVGLEKVDLRRVRSLAKIFGTTVCVGGAMAMAFFKGPRLLNSSSLIVDLNFLLHSSASSKWVMGALFLICSSCCWSLWLILQVPICKSYMDPLTLSAWMCFLSTLQSAVLVSFLVPDINAWKIHSLFELGCCLFAGVFGSGVTFYLQSWCISVRGPLYSAMFNPLCTVIATVVAAAFLHEELHIGSLFGATAIVAGLYIVLWGKAADGGGKSGGSVPEHSHDVEKAAMRSESQLDVGEGITEPLLAAGNAADNLLWAAAVIAGLYVVLWGKADDMISEK >ORUFI09G11690.2 pep chromosome:OR_W1943:9:13248072:13257209:-1 gene:ORUFI09G11690 transcript:ORUFI09G11690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGWEEYKPCAAMVAAQCIYAALALWAKAVFTGGMSTMVFVVYRQAIATVFLVPIAIIANRRKKKETRLGMTGFSLIFVASLFGATVNQYVYYQGLHLGSSSMATAMSNLIPAITFVMAASVGLEKVDLRRVRSLAKIFGTTVCVGGAMAMAFFKGPRLLNSSSLIVDLNFLLHSSASSKWVMGALFLICSSCCWSLWLILQVPSSIASLNHMQPCHQLPNLVPICKSYMDPLTLSAWMCFLSTLQSAVLVSFLVPDINAWKIHSLFELGCCLFAGVFGSGVTFYLQSWCISVRGPLYSAMFNPLCTVIATVVAAAFLHEELHIGSLFGATAIVAGLYIVLWGKAADGGGKSGGSVPEHSHDVEKAAMRSESQLDVGEGITEPLLAAGNAADNLLWAAAVIAGLYVVLWGKADDMISEK >ORUFI09G11700.1 pep chromosome:OR_W1943:9:13269272:13270074:-1 gene:ORUFI09G11700 transcript:ORUFI09G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLSFFLFLLPSLSFSLSPISLCLHLQAAAGPSGRGERKGRRRPGGGDAGGVSCLLGQSKKKKARNAINDGRILLRISGIGLACGGGMNPSDESVQVERVVVGEELKGVILWDGASPDERRLLLPPLGSRLRSRSPLRPLGPSSAHRRLQTKKKRNRREKGQEGRRKRKKER >ORUFI09G11710.1 pep chromosome:OR_W1943:9:13275936:13276406:1 gene:ORUFI09G11710 transcript:ORUFI09G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIKDSCPRVAPRAGATRVATATTAALPPRLLPFFASPPPERAAGNCVAARTAAAGPPFFPRGRGLEPTTPPGSGVPTASTELGEGLAPSGGGNGESGSVDGEAETTRTTGRDGVGKGDDSDAASPDLASPGQIRPPSSESSLPGAGGQLSSRLR >ORUFI09G11720.1 pep chromosome:OR_W1943:9:13276476:13277355:1 gene:ORUFI09G11720 transcript:ORUFI09G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRRGDGGGSRRRWPTAAVEAVAAMARGGGGGCARGVAYCGGSWRRWRRMQWPRGQRQRVPVATGCDGGRLVAMAVATMDVAAAEEVRMATVRRQGLSVAGAAVAEGLETGLAQRGAADGIGGQLGARGITGGERRVKTQPGISWPDNDAPLEGVAVLSHPSKVVAGRKPNLDSFEPRRTAATVFLSLLFLKTSFWHPLGGDLVCAPLLVLWRSVTLSGGRSSASLLPGCVLALSVCGWWYFLLFFLVTTLLGYNLVIFFLLYQ >ORUFI09G11730.1 pep chromosome:OR_W1943:9:13281111:13285725:1 gene:ORUFI09G11730 transcript:ORUFI09G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAFLSSWPWDNLGAYKYVLYAPLVGKAVAGRAWERASPDHWLLLLLVLFGVRALTYQLWSSFSNMLFATRRRRIVRDGVDFGQIDREWDWDNFLILQVHMAAAAFYAFPSLRHLPLWDARGLAVAALLHVAATEPLFYAAHRAFHRGHLFSCYHLQHHSAKVPQPFTAGFATPLEQLVLGALMAVPLAAACAAGHGSVALAFAYVLGFDNLRAMGHCNVEVFPGGLFQSLPVLKYLIYTPTYHTIHHTKEDANFCLFMPLFDLIGGTLDAQSWEMQKKTSAGVDEVPEFVFLAHVVDVMQSLHVPFVLRTFASTPFSVQPFLLPMWPFAFLVMLMMWAWSKTFVISCYRLRGRLHQMWAVPRYGFHYFLPFAKDGINNQIELAILRADKMGAKVVSLAALNKNEALNGGGTLFVNKHPGLRVRVVHGNTLTAAVILNEIPQGTTEVFMTGATSKLGRAIALYLCRKKVRVMMMTLSTERFQKIQREATPEHQQYLVQVTKYRSAQHCKTWIVGKWLSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGACEYSLERGVVHACHAGGVVHFLEGYTHHEVGAIDVDRIDVVWEAALRHGLRPV >ORUFI09G11740.1 pep chromosome:OR_W1943:9:13288019:13293565:-1 gene:ORUFI09G11740 transcript:ORUFI09G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAPIKEFVWLEKPAIVSDNAMSGVHHENNGQSFEHTCKSLETQVKSMTDDLYAYSNASKILLQHTVLILMLCWITSDNCAVYKKLKKILKKDLSDLIVQLNGSEWMETCVKTAGFSTFSKETNISGIFPDHQMTSERKARGSQELIESNIRIDTTLLIHVAASKHYMFFQTNHIPLSKNSADAAPSRRRRSAIATPPRHPRRRLAPHANRRRPAPPPASPILHRALSWPASPIYPDRCRRPHHPSTPCLHVNLHRLPGLETVAIIGKTNGANLFAWDDRRGLLAVGCWKRLTIFRLDNENSAPHCLFFLGCDLSVYGYGLTVTSFGFRWKLRWPVGSAQFGEWEPLSHDEARSLFAFVAPYVNEAKETKSSSSLPQKVAESEIEFCLFIYVIYFPFCIELGDLNMI >ORUFI09G11750.1 pep chromosome:OR_W1943:9:13290195:13295960:1 gene:ORUFI09G11750 transcript:ORUFI09G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLRPRDFQVSDVHAKARPICNSPPPTPSSPLVDAPPPPLNHRGHRADEMAVAPGPGWAPPPRRFPAAAALPSGSMITFWIFCIAFYAWSYQLQKGNEGETWIGSRDKTCESFKRCWRRTWACTEAQPAETGDRRKCLHISSSTKRSWITGKMHIHVERAASTN >ORUFI09G11750.2 pep chromosome:OR_W1943:9:13290195:13295984:1 gene:ORUFI09G11750 transcript:ORUFI09G11750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLRPRDFQVSDVHAKARPICNSPPPTPSSPLVDAPPPPLNHRGHRADEMAVAPGPGWAPPPRRFPAAAALPSGSMITFWIFCIAFYAWSYQLQKGNEGETWIGSRDKTCESFKRCWRRTWACTEAQPAETGDRRKCLHISSSTKRSWITGKMHIHVERAAST >ORUFI09G11760.1 pep chromosome:OR_W1943:9:13293718:13293951:-1 gene:ORUFI09G11760 transcript:ORUFI09G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSMFTVGKNKGVALSVHRKPLQHMVQRRLRELKKIVPDAHEDNVDVLLRQTAEYICILELKVAVLRKLAAIYGA >ORUFI09G11770.1 pep chromosome:OR_W1943:9:13304939:13305481:-1 gene:ORUFI09G11770 transcript:ORUFI09G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEFAANGGIHGSLTGISIGVGVSSTQKVWRSLQAFGDIAFAYSFSNILIEIQDTNQGAATVGGKGDEERDEAERGDDDGVLHVHAVWVHELRVAGQPPQASFGTWGGISLGETPPVRDWVDPLPPPNPLPRWVLNPRVIRMVLG >ORUFI09G11780.1 pep chromosome:OR_W1943:9:13311841:13315025:1 gene:ORUFI09G11780 transcript:ORUFI09G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHRHLTPSPSHEEHETPNPSLTPPPMQLAALASDEPPPPPPEQSPRRIVVAHRLPLNATPDPGSPFGFAFSLSADAHALQLSHGLGLAHVVFVGTLPAEAARALRRSDELDRHLLGCFSCLPVFLPPRAHDEFYAGFCKHYLWPRLHYLLPHAPAANGYLHFDAGLYRSYASANRSFAARVVEVLSPDDGDLVFVHDYHLWLLPSFLRRGCPRCRVGFFLHSPFPSAEVFRSIPVREDLLRALLNADLVGFHTYDYARHFLSACSRLLGLAYTSRHGRVGINYHGRTVLIKFLSVGVDMGLLRTAMASPEAAAKFREITEVEYKGRVLMVGVDDVDIFKGVRLKLLAMESLLETYPALRGRVVLVQIHNPTRCGGRDVERVRGETAKIQARINARFGGPGYQPVVVVDRAVPMAEKVAYYAAAECCVVSAVRDGLNRIPYFYTVCREEGPVDAKGAAGGQPRHSAIVLSEFVGCSPSLSGAIRVNPWNIEAMAEAMHGALTMNVAEKQARHVKHYTYLKLHDVIVWARSFAADLQLACKDRSTMRTIGMGIGPSYRVVAVDAAFKKLPPELVNLSYRAAAAAAAGGGGGRLILLDYDGTLEPTGAFDNAPSDAVIVILDELCSDPNNVVFIVSGRSKDDLERWLAPCANLGIAAEHGYFIRWSRDAPWETMASKQLAAAMEWKAAAKNVMRHYAEATDGSYIEAKETGMVWRYEDADPRLAPLQAKELLDHLATVLASEPVAVRSGYKIVEVIPQGVSKGVAAECIVSAMAARRGGAPGFVLCVGDDRSDEDMFGALASLCGGGKNGGASSSTTTTTALLAAAQVFACTVGNKPSMASYYLNDKEEVVDMLHGLAFSSPSSRLRAAAAPRRPADFDIKSLLRCE >ORUFI09G11790.1 pep chromosome:OR_W1943:9:13325762:13328212:-1 gene:ORUFI09G11790 transcript:ORUFI09G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGVGVGVAYLWGKGRGGRKGTPVVVTMESPNYSVVEVDGPDAEAELRTAAVAMDKGGGRGRSRSRTARQLTWVLLLRARRAAGRLASFAAAAARRFRRSPADAADELGRGRGRLMYGFIRGFLALSLLALAVELAAYWNGWRLRRPELHVPEAVEIEGWAHSAYISWMSFRADYIRRPIEFLSKACILLFVIQSMDRLVLCLGCFWIKLRKIKPRIEGDPFREGSGYQHPMVLVQIPMCNEKEVYEQSISAACQLDWPREKFLIQVLDDSSDESIQLLIKAEVSKWSHQGVNIVYRHRVLRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPTPDFLKKTIPHFEGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIQALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYRKQQHRWHSGPMHLFRLCLPDILTAKISSWKKANLILLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPVWVICYVPVCMSFLNILPSPRSFPFIVPYLLFENTMSVTKFNAMVSGLFKLGSSYEWIVTKKSGRSSESDLSTAAERDTKDLTLPRLQKQISESELIELKMQKERQEKAPLGAKKANKVYKKELALSLLLLTAATRSLLSAQGIHFYFLLFQGVSFLFVGLDLIGEQID >ORUFI09G11800.1 pep chromosome:OR_W1943:9:13338407:13339741:1 gene:ORUFI09G11800 transcript:ORUFI09G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPSHRLAALLLLLLVVVSPCHAAAAAAADGGQRPTRPKAVAMPVVRDGATRQYVATFQQRTPRVAVKAVVDLSGGATLWVDCDAAAGYASSSYAGVPCGSKPCRLVESPSCSYIASCLGSPPSPACLNRTCTGHAENTVTSSVGRGNVVTDVLSLPTTFPSAPVRQGPLATAPAFLFTCGPTSLTQGLAAGAAGMASLSRARLALPAQLAGTFRFSRKFALCLPSVDAGVVVFGDARYVFDGMDHSNSLLYTPLITRTTDRSSEYFISLKRVVVDDRAVPLNATLLDVGTKLSTVSPYTVLETSIHEAVTRAFAASMATAGIPRVPAVAPFELCYDGSKLESSAITGEPAVPVVFELHVQSEVRSKVAPWMVSGANLMARADGGALCLAVVDGGAAPEAPVVIGGHMMEEILLVFDLEKSRLGFSPNLGAFGLSCSKFRLG >ORUFI09G11810.1 pep chromosome:OR_W1943:9:13342191:13348595:1 gene:ORUFI09G11810 transcript:ORUFI09G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRRGTSVAKGKTPAERCAGRRRSPGSADRPPVEKYIIKATKREEVETTPMQLGHHHPWNP >ORUFI09G11820.1 pep chromosome:OR_W1943:9:13343676:13360391:-1 gene:ORUFI09G11820 transcript:ORUFI09G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLYLADKLRDLRCTFPGSFDKAPPGAILLLMLFAHSCAVALNATNDPGADEFHVGVILDLGSLVGKEARTSISMAVEDFYASHKNYRTRLVLHVRDSRGNNFQAASAALDLLNNYNVKAIIGPQKSSEAFFMTDIANISEVPVISFTTTSPSLTSDNNPYFLRATINDSTQVNSIASLIKYYGWREVVPIYIDTDYGRSIIPDLLEALQGNDARVPYQSIIPQSATSEQITQELYKLMTMQTRVFIVHMTSPMASVLFTKAKEVGMMDKGYVWIVTFGVASLIGSLNPSVLEAMNGALGVGVYVPKSTELDNFTVRWNTRFRMDNPNDPLLKLSIFGLWGYDTIWAVAQAVEKAKSTKDTVQIQHMTNSMTSLKVPKETENGLKFLNAILQYKFRGLSGYFDLSGRQLQPSTFQIINIVGKGWRDVGFWTAQDGFSQRLTRPRSNGTYLSTKPDLNPVIWPGESTNIPRGWEIPTSGKKLQVGVCTSDGYPEYIYAEKDPLIVGMTKASGLAIEVFEETVKRLPYALPYEYVFYNTTENISSSYDDFVYQVYLKARPIPTCVQVLFVHFPKVISMHMQKYDIAVADITITYKRSSYADFSLPYTESGVAMVVPVRKRINTTTWIFLKPLTFGMWSASIILFIYTGVVVWLLEFLGNNKAVRGPIPKQMEMVERLLSRIVLIIWLFFLLVLTSSYTASLTSMLTVQQLQPTVTDVHELLKNGEYVGYQGGSYVKDLLDELGFDKSKIRQYDSTDGFRDALSRGSSNGGISAVVDEIPYIKLFLAKHCEGYTMVGPIYKTAGFGFAFQKESPLRGDISKAILNITGGDTIIQIENKWIGDQNKCRNVGPVTISGSLTFESFKGLFILTGIASTSSLLIALVIYFYKNKQVQSGIGDAEQDFPQEFKADTIEEEKEQEETGAKGKQNMNLQNSTVKRSASIVIHRGERATGARVVPISACARTAPLLLLLAFSFAFAGSVTERKAEEFHVGVVLDLGTTVAKVARTSMSLAVEDFNAVHPSYTTRLVLHVRDSMGDDVQAASAVLDLLENHKVQTIVGPQKSSQATFVSALGNKCQIPIISFTATSPTLSSRTLPYFVRATLNDSAQVNSIVSMIKAYGWREVVPIYVDNDYGRGIIPSLVDALQQIDVHVPYQSEIDQSSTSEEITQELYKLMTMQTRVYVVHMSPSLGSVLFTKAKEIGMMSEGTVWIITDGLTNLIDSLNPSVVEAMNGALGVKVYVPISTELDSFTKRWYMRSRIDHPNDPTMKLNIFGLWAYDSIWAIAQAAEMSKVRKAMFQRPSSEKNLTNLETLQTSINGPALRKAMLQNKFRGLSGYFDLSDGQLQVSTFRIINVAGKGYREIGFWTARNGISKALEQKRSHPTYESTKPDLNIVIWPGEVTELPRGWELAVRGKKLQVGVVKGHYPEYIDADEDPITGVTTARGLAIDVFEEAVKRLPYALAYEYKLFNITGIASSSYDEFVYQVYLKKYDIAVGDIAIRYNRSLYVDFTLPYTESGVAMVVPVREKEKVKRLISRIVLVVWLFFILVLKSSYTASLTSMLTVQQLQPTAHDVHELLKNGEYIGCGSGSFVMGLLEELGFPRSMIKPYHNPEDIHNALSRGSKNGGIAALVGEIPYIKLFLAKNCKRYTMIGPIYKTAGFGYFGQSNFKISFLLDCLRMQNVLKCSTYANTKSLPQAFPKGSPLVGDISQAILNITGGDTIIQIEQKWVRDKNSCQNEGSIIGSGSLTFASFEGPIILTGVVSTSSLLVALIMYFYRNKKLKPHHSDSEQISSHGENER >ORUFI09G11830.1 pep chromosome:OR_W1943:9:13351893:13360253:1 gene:ORUFI09G11830 transcript:ORUFI09G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTGRRRRGLAVVVSSNIALFAGGDEADDGPGFSGGRSNASLRRRAPRQGGSERGGARSPFDWECGNPRWRLVTRSPWLRPRLRLMEVASRERTKWQKSSTAIEMLVLASLPTNDPRSRITPTWNSSAPGSFVAFRATAHEWAKSISKRMAPGGAFSITGLPAENLRRLYRLCKTTMVKNSRSLSCPGSTPHRSEFSKI >ORUFI09G11830.2 pep chromosome:OR_W1943:9:13351893:13360253:1 gene:ORUFI09G11830 transcript:ORUFI09G11830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTGRRRRGLAVVVSSNIALFAGGDEADDGPGFSGGRSNASLRRRAPRQGGSERGGARSPFDWECGNPRWRLVTRSPWLRPRLRLMEVASRERSRITPTWNSSAPGSFVAFRATAHEWAKSISKRMAPGGAFSITGLPAENLRRLYRLCKTTMVKNSRSLSCPGSTPHRSEFSKI >ORUFI09G11840.1 pep chromosome:OR_W1943:9:13361197:13395363:-1 gene:ORUFI09G11840 transcript:ORUFI09G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAVGRAAFLFLFLSLTVAQNITKNGAGTLNVGVILHLKSLVGKIARTSVLMAVEDFYSVHRNFKTKLVLHIRDSNGDDVQAASEAIDLLENYNVRAIVGPQKSSEVTFVSNLGNKSQVPVISFTATNPALSSINVPYFLRGTLSDVAQVNTIAALIKAYDWREVVPIYEDTDYGRGIIPYLADALQEFGAFMPYRSAISESATTDQLERELYKLMTMQTRVYVVHMSLNIASILFAKAKDLGMMSEDYAWILTDGISNIVNSLNTSILEKMNGAIGVRFYVPASKELDDFTTRWNKRFKEDNPNDPPSQLSTFGLWGYDTIWALAQAAEKVRMADAIFRKQKDGKNSTSLGTLGISTIGPELLDSILHSKFQGLSGEFDLGNRQLEFSTFQIINVVGGRSKEIGFWTTKHGIFRQINENISKTTNVNSMPGLNRAMWPGEVYTVPKGWQIPTNGKKLRVGVRTSGYPEFMKVERNTATNEITASGYAIDVFEEALKRLPYAIPYEYVAFDDGQGVNSGSYNDFVYQVHLGVYDAAIGDITIRYNRTSYVDFTLPYTESGVAMIVPVKDDRDKNTWVFLKPLTTGLWFGSIAFFIYTAVVIWLLERRINNAELTGSFFRQLGIAIYFSFFADRERVDSILSRLVVIVWVFVLLVITSSYTANLSSMLTVQQLQPTVTDIHELLKSGEYVGYRNGSYLSDLLEGLGFDRTKMRAYENPDEFADALAKGSQNGGIAAVVHEVPYIKIFLAKHCKGYTMVGPIYKSEGFGFAFPKRSPLVYDFSRAILNITEGDSIIHIEKKWIEDQHACQNDGTMIGSSSLNFNSFSGLFLVTGVASTSALLIALMMTLYKNKHRIRDSIRRGQTQKEYERETINEQNQERTIDSNQVQNLQLTVPDDSNEYTCQQEGEISIEISPASGIQTTQNANKTGVVDGFPVGVILDLQTMVGKIARTSILMALDDFYAAHTNYSTKIVLHIRDSGSNNVQAASAALDLLENHNVQIIIGPQTSSQASFVSDLGNRSQVPVISFTATSPSLYSASLPYFVRATLNDSAQVQSIACLIKTYGWREVVPIYEDTDYGRGIIPYLVDALQDIDARVPYRSVIPLSVTSEEISQELYKLMTMQTRVFIVHMSSTLAASLFTKAKEVGMMSKGFVWIMTDGITNIVDSMSTSVVEAMNGALGIQFYVNNSELDSFTIGWNRRFQIDNPNDPPLKLSIFGLWGYDTIWAVAQAVENVGVNNRTSIQKPSVARNSTSLENMETSVYGPELLKVILRNKFRGKGGYFDLSNRQLQVSTFRIINVFGKGWKDIGFWNEGNGILRQLNLGKSTTKYADSVLDLNPVIWPGKSTEIPKGWEIPASGKKLQVGVHKSAYKEYMTNQRDPITGATKASGFSIDIFEEAVKRLPFALPYEYVAFDTSRDTSTGSYDDFVHQVYLKKYDVAIGDITIRHSRMAYVDFTVPYTESGVAMIVPSKGTVDKTWIFLQPLSRDLWVATISMIELNVVKLTGWKGKMNYMQVGVRLETSFANQLKESYTANLATMLTVQQLKPTINSIDELRKSGENIGYHDGSFVKNLLEDLNFNTSKIKAYDTPDDFYNALSKGSNNGGIAAFVHEVPYIKLFLAKHCKEYTMAFPKGSPLLGDISKAILSITEGDIIMQLENKWIGYQNDCKSVDSAVGTVSDPDKLNVDSFKGLFILTGVASTSSLLIAVMIYYYEKKKSMTSMQPDQNGEGLEENHKPQEVNEGDREEENNQPGASTGQSGQQQQQTGAREMSNINLQTSSNINQHSMGMERAAGRAAIFFLFLSLTVAQNITGSGEDTLNVGVILHLKSLVGKMARTSILMAVEDFYKAHRNFKTKLVLHIRDSNGDDIQAASEAIDLLENYNVRAIVGPQKSSEATFVSDLGNKSQVPVISFTATNPTLSSINVPYFLRGTLSDVAQVNTLAALAKAYGWREVVPIYEDTDYGRGIIPYLADALQEFGASMPYRSAISESANTDQIERELYKLMTMQTRVYVVHMSTNIGSILFKKAKDLGMMSEDYAWILTDGISNIANSLSPSILEEMSGAIGVRFYVPASKELDDFTTRWNKRFKEDNPNDPPSQLSIFGLWGYDTIWALAQAAEKVRMADAIFQKQKDTKNTTCLGTLRISTIGPKLLDSILLSKFRGLSGEFDLRNRQLELSTFQIINVVGSQLKEIGFWTAKHGIFRQLNKNKSKTTNMNSMPDLNPVVWPGEVYTVPKGWQIPTNGKKLRIGVRTNAYPEFMKVESNPVTNEITASGYAIDVFEEVLKRLPYAIPYEYVSFDNGQGINSGSYNDFVYQVYLGVYDAAIGDITIRYNRTSYVDFTLPYTESGVAMIVPVRDDRNKNTWVFLKPLTTDLWFGSIAFFIYTAIVIWLLERRSNNAELTGSFLRQLGIAIYFSFFADRERVDSILSRLVVIVWVFVLLVITSSYTANLSSMLTVQQLQPTVTDVHELLKNGEYVGYPNGSYVADLLRGLGFDRTKLRAYNDLDGFADALAKGSQNGGISAVIDEVPYIKIFLAKHCKGYTMIGPIYKSEGFGFAFPKRSPLVYDFSRAILSITEGDSIINIEKKWIGDQHACQNDGTIISSSSLNFNSFSGLFLVTGVASTSALLIALVMFLYKNKHRIRNSIRRDQTQKGYEAERINEQNQEMTIHSNQVHNLQLTVPDDSDEYSCQQDGEISIEQSPASEIQTSPPAPPADGVRRERRIGIRRRGGGGDDDAGAGEQGGGGGSCASPELPMTMRLSGGQSAAAVSRWPARRSGGASGGTGAAQGREAAARSAKGTASRRGVIREASSDLAAAKRCVARSETNSVRHLSHSSRSISPFMAGSMEAVAALSLPGGADNDEVKRRIPARSRRRR >ORUFI09G11850.1 pep chromosome:OR_W1943:9:13362155:13365446:1 gene:ORUFI09G11850 transcript:ORUFI09G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQVAAAAAVAAIAGAAAVLASELYSRRCRRLATRVRELEASLAAATEKAAAERRGRSLRKALSEQELSSDEKKKLSKSPKSFPMASIGVVQSCFSTRNGTPRQPLVVPLARATVMLDPARVPAEALEGLADYSHCWILYVFHLNTDLDKMWNDPARSKLKAKVRVPRLKGGKMGVLATRSPHRPNPIGLSVAKVEAVDGHSILLSGVDLVDGTPVLDIKPYLPYSDGVKGAAIPNWLEVDGALAVESIHFSEHFISSLSDCWMHVKKQSLYASADEFQDLVKEVLSWDIRSLSQRIRPHDVTIKDVTDNGGSKIDNGCSNDEDRQSVDPSTSVVYHLHLEGIDVSYRIDQDSNIVVENAALLSSAVNQHRYSYLTWREKVSIL >ORUFI09G11860.1 pep chromosome:OR_W1943:9:13376880:13377656:1 gene:ORUFI09G11860 transcript:ORUFI09G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSTMTATPGRLPRPQGSSSAVPRPRGLPGVSVLLLPDKAMDAGEDMPPVAEEPTLRRSDKMAQLGSVSDAHLMVQLRGDLSPALRSFPWRTDFDLCP >ORUFI09G11870.1 pep chromosome:OR_W1943:9:13388764:13394826:1 gene:ORUFI09G11870 transcript:ORUFI09G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSPPAVRRSAAPGDESDADGGRRRRRRGREGFERSAAENMADTGISPHPFRRKHSPDLAAPRPLSSPSLCRPHLRLVSPPDASGTAEKNRYATGQSVPDAAALRRWRRGCRLLANGSGREAAMRFAVQVD >ORUFI09G11880.1 pep chromosome:OR_W1943:9:13398873:13403405:1 gene:ORUFI09G11880 transcript:ORUFI09G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISHCDKAYKLRILGGHQQDMV >ORUFI09G11890.1 pep chromosome:OR_W1943:9:13399300:13404642:-1 gene:ORUFI09G11890 transcript:ORUFI09G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPERAAIFFLLLSLTVAQYTTESGTGTLNVGVILHLKSLVGKMAHTSIMMAVEDFYAVHRSFKTKLVLHIRDSNGDDIQAASEAIDLLENYNVKAIVGPQKSSEATFVSDLGNKSQVPVISFTATNPTLSSIDVPYFLRGTLSDVAQVNTIAALIKAYGWREVVPIYEDTDYGRGIIPYLADALQEFGAYMPYRSAISKSANTDQVEQELYKLMTMQTRIYVVHMSVNIASILFTKAKELGMMSKGYAWILTDGISNIVNSLSPSILEEINGAIGVRFYLPASKELHDFTARWNKRFKQDYPNDPPSQLSIFGLWGYDTTWALAQAAEKVNMADAIFQKQKDTKNTTSLGTLGISTIGPKLLDSILHSKFRGLSGEFDLRNRQREFSTFQIINVVGSRSKEIGFWTAKQGIFRQLNENKTTNINFVPDLDPVMWPGEVYTVPKGWQIPTNGKKLRVGVRTSGYPELMKVEKNPVTNEVTASGYAIDVFEEVLRRLPYAIPYEYVAFDNGQGVNSGSYNDFVYQVHLGVYDTAIGDITIRYNRTSYVDFTLPYTESGVAMIVPVKDDRDKNTWVFLKPLTTDLWFGSIAFFIYTAIVIWLLERRINNAELTGSFFRQLGIAIYFSFFADRERVDSILSRLVVIVWVFVLLVITSSYTANLSSMLTVQQLQPTVTDVHELLKNGEYVGYHNGSYVGDLLKGLGFDRTKIRAYDNSDDFADALTKGSQNGGIAAVVHEVPYIKIFLAKHCKGYTMVGPIYKSEGFGFAFPKRSPLVYDFSREILSILEGDSIIHIEKKWIGDQHACQNDGTVIGSSSLNFNSFSGLFLVTGVASTSALLIALLMFLYKNKHRIRNSISRDQTRSRYGPEHINEQNEERVIDSSQVQNLQLTVPDDSEYTCQQEEEISIELSPASGFQPSPDFSSQEHRAVASSTIVANSSSQVPRI >ORUFI09G11900.1 pep chromosome:OR_W1943:9:13409954:13410528:-1 gene:ORUFI09G11900 transcript:ORUFI09G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTRSEGCHPCSLLLFLSGPPLLLSTLFLPLSAPLGGRSKGLFGLL >ORUFI09G11910.1 pep chromosome:OR_W1943:9:13412733:13413026:1 gene:ORUFI09G11910 transcript:ORUFI09G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNREEAEIKELTLRFTSSASPPDQPAILRFFLCMYCDRTFCSSQQALGGHQNAHKFERSLAKRRRGRRSPPRCARSRGRWREMPRPVTPLHQLRG >ORUFI09G11920.1 pep chromosome:OR_W1943:9:13420710:13421015:1 gene:ORUFI09G11920 transcript:ORUFI09G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTELVRRHEPNRKPHRAYDSLGVHMKMKAHNPFTANRQAQVATHMALQHRRIDPNSVIACSVAATRMRRDCRSKFHAAVTGEVTIQLATLSGSGDGPRL >ORUFI09G11930.1 pep chromosome:OR_W1943:9:13430219:13432168:1 gene:ORUFI09G11930 transcript:ORUFI09G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNSREEEAEMNLELTLCYTPPPSPPPPPPFVGFFFCMYCDRKFHSSQALGGHQNAHKLERSQAKLRREAIAAEILAHRAVVLQAGAAANHDGGYGAGSDPLPAAQKVRAEEVQRGAAASAPEFGGFARGESSPEYGVQQAHGLDFV >ORUFI09G11940.1 pep chromosome:OR_W1943:9:13453419:13453928:1 gene:ORUFI09G11940 transcript:ORUFI09G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQPSVHGENHDEPDSPITRAEVQQIGNNLLQVMEHLLNARLPAAGGGAVQPPFGDPKDDIVDAQSADDVADSELHGPPNGGGRGFVAAGARGGAHGRGHGPVAHFGGRFELHGHPHEVEYGDNDVFFSERMIWSSGMPVLAEVLSLVMENIIVVTMIVMTRIMLLG >ORUFI09G11950.1 pep chromosome:OR_W1943:9:13461309:13461767:1 gene:ORUFI09G11950 transcript:ORUFI09G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNREEEGEMNLELTLCYTPLPSPEPPLVGFFLCMYCDRKFDSSQALGGHQNVHKYERSLAKRRREIAAALRAHGAPPAADGAGAAGYSSPAAAQKAVSVEAQQHRAAPKVREEAHQGASAPELGGIARGNSSPEYGVECPHGLDLSLRL >ORUFI09G11960.1 pep chromosome:OR_W1943:9:13474587:13475132:-1 gene:ORUFI09G11960 transcript:ORUFI09G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMTGHARCRGRRCTPPLLALPPQPATAVEVVVFSSPLSPHRSSEHEARSGKLEVHELRGHTSSWPRCLRSHRSSWEGALIHADRGDRRRGGGRSISVVERRESSRLSATTWSSLPLILSSSVGRELVAAAGREERPVGAHHSGREGACHRHHRRSGKSAPSPERSKPSSGTSIHAGYDD >ORUFI09G11970.1 pep chromosome:OR_W1943:9:13475485:13475915:-1 gene:ORUFI09G11970 transcript:ORUFI09G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGDGGDFSHRAATTGGGGISRVRRPRAAVAVTFPVVRRRRVAVASPMCDDYGWRGFSRGWIRWLAAAAPDPATVAASASPLTDLAYSDMSNGGVRLLVVRGCEFIVCDIDSGSWIIFIVLGCDFIVL >ORUFI09G11980.1 pep chromosome:OR_W1943:9:13489723:13493027:1 gene:ORUFI09G11980 transcript:ORUFI09G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHTPNPLFLLLFLGYLLFAAAQPQPLTVTVGLIIDGGSPVGKIANTTIPMALDDFYAAFPRSPARVRLLHRDSRGDVVAAASAALELMEGRGVRAILGPQSSVESAFVADLATRAEVPVVSFSATSPSVSPGGGRFFARAALSDAAQAGAIAALARLFGWRRVVPVYQDDDYGAAFVPFLVDALTAEGSEVPYRCALPAGADADAVAAAMYRMESLQTRAFVLHARPDLAGRVLAAAEAAGMMGEGFAWVITDGLTGLLGSINAPQGVIGLAPYVPTTPRLRDVRRRWVRRFMAEHPAADAEHAEMGSYAVWAYDAAWAVASAAEHLTAGDLSPPQGGLVGGKGGPTDFAGLGKSRSGKKFLEAITSTTFDGLGGRFQLVDGELAVHAFRVLNIMDRGKERSIGFWTKDGGLTRHLGVGGGGGGELAPVIWPGESTVVPRGWVVPTSARRLRVAVPGSVNPGYRAIVHLDVDAATNRTTAGGFVVEVFEAAVRLLPYALPVEYVKADSF >ORUFI09G11990.1 pep chromosome:OR_W1943:9:13497742:13499019:1 gene:ORUFI09G11990 transcript:ORUFI09G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITAARSSYVDFTLPFMASGIAMVAPLRDVGRGGERTWVFLKPLRYDLWLASAAFLLLTGFAVWFVEHRGNAEFRGPPWHQLGTLLYFGFSTLVFAHRENLRSNLARLAAVVWFFVVLILQSSYTASLTSMLTVPRLEPSIAGYAALWRGAERVGIMNNSFMRGAMTRSGFPPARLVPYAAAQSFHEALLNGTIGAVVDETPYLRIFLKSYCDRFAMAGGGGGGQPNKTGGFGFAFPKGSPYVADLSRAILALTESEEMNLIERKWFGESDGCAAAQAAGGPFTSDSLSFGSFWGLFLITGATSLLCCAVHLATFVASNRGAIRDIVATSTHPFRRLAELYDGRDLSAHTFKAKDGGAAASPPVVHDAAGSPISLHMGAWSPQPSSTMAGGEIEPAGGEANEEEATTTTAARDPDGAGENDRGQ >ORUFI09G12000.1 pep chromosome:OR_W1943:9:13505695:13512035:1 gene:ORUFI09G12000 transcript:ORUFI09G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGARLAFVMPLVVVLLLMIFSLGVRGVDVVVDGGGGGAAAARRRRVEVGVILDRRTWLGNISWACMELAVEDFYADEERASYTTALRLHLRDTRLDAVDAASAGVDLLKNVHVQAIVGPQTSAQAKFLAELGEKSSVPVVSFSANSPCRTASQTPYFIRTAWNDSSQAEAIASLVQRFNWRDVIPVIEDDDSNTRFIPDLVDALRNAEIRVTHRCKIHPSAGADDIKKVVLSLKEKWTSVFVVRMSYQLALSFFKHAKDEGMMDQGFVWIAAYGLTDIFDVVGSPAFDVMQGVIGMKPYVNDTKQLQNFRQRWRKMYKSENPGTTLSEPTISGLYAYDTVWALALAAEKAGYVNSDFLLSEKNNGSTDFDRINTSNAAKKLQSTLLNIDFQGMSGKFQFQDMHLLSMTYEIINIVGEEQRVVGFWTPEFNISRGLNTKADVNEIIWPGGETTVPRGWLFPMNKTLKIGVPAKPGFSGFIKKEKDNFTGLCIEVFEEVLNGLPYKIPHDYVEFGNGKGESNGTYDELIYKVYQKDFDAAVGDITILANRSLYVDFTLPYTESGVRMLVPVQDQRQKTAWTFLQPLTADLWLGTAAFFVLTGFVVWFIEHRTNEDFRGPPVNQIGSVFYFAFSTLVFAHRQKIVNNLSRVLLVIWLFVVLILQQSYTASLSSILTVEQLQPTVTNLDEVIRKGANVGYLNDSFMPELLKRLKIDESKLIALDSPDEYNEALSTGRVAVVVDEIPYLKVFLSKYCHNYTMVGPTYKFDGFGFAFPLGSPLTAEISRGILNFTSSNRMAQLERELYNNRTCPDKDDSQTSSSLTLRSFLGLFIITGASSLLALFLHVVITLYNHRHDLSSASSSQSSWCGWFAILLKIFHEGDRPNAPQLDEPAVSNANTTADTPWSRPDHHIIENVDSGSDVESVREEDREDFVQGPDPPSFAYMHSERGQ >ORUFI09G12010.1 pep chromosome:OR_W1943:9:13511231:13512572:-1 gene:ORUFI09G12010 transcript:ORUFI09G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWAPEEDAALKAYVDAHGTGGNWIALPHKIGLNRCGKSCRLRWLNYLRPNIRHGGFTEDEDRLICSLYIAIGSRGGALAAQLPGRTDNDIKNYWNSKLKRRLLGGGRRPRGAPPRLVLAGPGPAVTAAATSLNAMAASAIERMQLSVRLRRLEAAAPPPPQPFTFYGSNNLAAPPWQQPISPAASGSSEMPRRLHHHHPSGAAATSSYSGLISSWPSSRSHIIHDAWLDASSTPPLSTTSMGDAATTTTTAGGESSSSTPTVSTATTPFIGGSIDMDDEIDMLLQQIRCFDENGDDGDDDADQRLIVGDEAAAGAENYLRALIDEAAANGGDVGVGSWSSCSTPGVDSVFHEYAQLDYGQYN >ORUFI09G12020.1 pep chromosome:OR_W1943:9:13521439:13524412:-1 gene:ORUFI09G12020 transcript:ORUFI09G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGGMGGGGPMGAAAAGGGGGGDGRHDDEAVLTEFLSSLMDYTPTIPDELVEHYLGRSGFYCPDLRLTRLVAVATQKFISDIASDSLQHCKARVAAPIKDNKSKQPKDRRLVLTMDDLSKALQEHGVNLKHPEYFADSPSAGMAPAAREE >ORUFI09G12030.1 pep chromosome:OR_W1943:9:13530259:13540551:1 gene:ORUFI09G12030 transcript:ORUFI09G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLRPRFLSPSSPSPRRSPPPDSSRLPSSSSSSAPPPRARRRRRRAPSSSPPPQPDLRRLTSRIVDLTRRRQLPQIMDEVGAARRRAVDRGAGAGAGLNTIVMNAVLEACVRCGDVGLALSLFDEMRGPGGCGVDGVSYGILLKGLGIARRIDDAFEILESIEKDTSIGSPRLSPHLICGFLNALIEAGDMRRANALVARFRQVLYEGHSVLLYNLLMKGYIKSNFPLGALTVKDEILRQGLKPDRLTYNTIISACVKSAEIDMAIRFLEDMKEEAKRDNNPELLPDAVTYTTLLKGLGNSQDLYSVLKIVVEMKSAPISIDRTAYTAMPIRGHVGAGKQQANLSFASGIAHEP >ORUFI09G12030.2 pep chromosome:OR_W1943:9:13530501:13540551:1 gene:ORUFI09G12030 transcript:ORUFI09G12030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNHVVTIHAWLLFMRLLGIGSVWLFINKQISNYLPLLPCPCAATTMAPSGHLGPGISQLGALCIFGEIIKQAGNNKDLRPKPHLYLSIMRAFATIGDLDMVKRLNKRMWPDSVGSISRSAKEEADELLMEAALNNNQIDMARGLLRRILNEKECFSWTSRVGMIVLNDPVEKYMIPFQETQPLHADLILEEVVMRFFKDPVVPIVDDWGSCVGIVHRQDCTKIDAPLLSMSRGPPLCVPTSTSVEHVIDLLLREKSEMVVVVKRGNMYEGSYASSSRPLGVFSLAILWKFTADATDIDGMDAAHQLQQDVEASNCG >ORUFI09G12030.3 pep chromosome:OR_W1943:9:13530259:13540551:1 gene:ORUFI09G12030 transcript:ORUFI09G12030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLRPRFLSPSSPSPRRSPPPDSSRLPSSSSSSAPPPRARRRRRRAPSSSPPPQPDLRRLTSRIVDLTRRRQLPQIMDEVGAARRRAVDRGAGAGAGLNTIVMNAVLEACVRCGDVGLALSLFDEMRGPGGCGVDGVSYGILLKGLGIARRIDDAFEILESIEKDTSIGSPRLSPHLICGFLNALIEAGWYKIYLFIFQYIIVIRILGCTGDMRRANALVARFRQVLYEGHSVLLYNLLMKGYIKSNFPLGALTVKDEILRQGLKPDRLTYNTIISACVKSAEIDMAIRFLEDMKEEAKRDNNPELLPDAVTYTTLLKGLGNSQDLYSVLKIVVEMKSAPISIDRTAYTAMVDALLACGSINGALCIFGEIIKQAGNNKDLRPKPHLYLSIMRAFATIGDLDMVKRLNKRMWPDSVGSISRSAKEEADELLMEAALNNNQIDMARGLLRRILNEKECFSWTSRVGMIVLNDPVEKYMIPFQETQPLHADLILEEVVMRFFKDPVVPIVDDWGSCVGIVHRQDCTKIDAPLLSMSRGPPLCVPTSTSVEHVIDLLLREKSEMVVVVKRGNMYEGSYASSSRPLGVFSLAILWKFTADATDIDGMDAAHQLQQDVEASNCG >ORUFI09G12030.4 pep chromosome:OR_W1943:9:13530259:13540551:1 gene:ORUFI09G12030 transcript:ORUFI09G12030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLRPRFLSPSSPSPRRSPPPDSSRLPSSSSSSAPPPRARRRRRRAPSSSPPPQPDLRRLTSRIVDLTRRRQLPQIMDEVGAARRRAVDRGAGAGAGLNTIVMNAVLEACVRCGDVGLALSLFDEMRGPGGCGVDGVSYGILLKGLGIARRIDDAFEILESIEKDTSIGSPRLSPHLICGFLNALIEAGDMRRANALVARFRQVLYEGHSVLLYNLLMKGYIKSNFPLGALTVKDEILRQGLKPDRLTYNTIISACVKSAEIDMAIRFLEDMKEEAKRDNNPELLPDAVTYTTLLKGLGNSQDLYSVLKIVVEMKSAPISIDRTAYTAMVDALLACGSINGALCIFGEIIKQAGNNKDLRPKPHLYLSIMRAFATIGDLDMVKRLNKRMWPDSVGSISRSAKEEADELLMEAALNNNQIDMARGLLRRILNEKECFSWTSRVGMIVLNDPVEKYMIPFQETQPLHADLILEEVVMRFFKDPVVPIVDDWGSCVGIVHRQDCTKIDAPLLSMSRGPPLCVPTSTSVEHVIDLLLREKSEMVVVVKRGNMYEGSYASSSRPLGVFSLAILWKFTADATDIDGMDAAHQLQQDVEASNCG >ORUFI09G12040.1 pep chromosome:OR_W1943:9:13550047:13550454:-1 gene:ORUFI09G12040 transcript:ORUFI09G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNRGEEEDVNLELTLCYTSASSPEPIGFFLCMYCDRKFYSSQALGGHQNAHKYERSLAKRRREIAAALRAHGAPPPPPAPGGAGAAAAQKAVGVEAQQKHQHAPVVGGFARGGGKSSPPAAEYGDGLDLSLRL >ORUFI09G12050.1 pep chromosome:OR_W1943:9:13569547:13569936:-1 gene:ORUFI09G12050 transcript:ORUFI09G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYNGGGGGGEEGDEQLDLNLSLQPSQANEPPGYFTCTYCDKKFYSSQALGGHQNAHKFERSVAKRTRELAAARRQQAADEEARRGAGTTTTREPTGNASSSYQRASPPAEARRRDLLTDDIDLSLKL >ORUFI09G12060.1 pep chromosome:OR_W1943:9:13592857:13594434:-1 gene:ORUFI09G12060 transcript:ORUFI09G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREYWTSLASLMGAVAFLQGVVHAVFPAELRAAVARLLGRATRAFSPYCYFDVTETEGMGTNEIYDAVQLYLSSSAAPAAGARLTLSRPHNASSFTFGLAASDRVLDAFRGAAVTWEHVVAPRQAQGFSWRPLPEEKRRFTLRIRRGDRGVLLPAYLDHILAAAADIRRRSQDRLLYTNARGGAMDARGLPWDPVPFKHPSTFDTLAMDPERKAAIMADLRDFADGSAFYERTGRAWKRGYLLYGPPGTGKSSMIAAMANHLGYDVYDLELTEVGSNAELRKLLMKTTSKSIIVIEDIDCSVDLTNRATAAAAAQPPKPRASIDGGAIDQDAAAAPAGAAARSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHIFMSYCTFPALKILLRNYLDDDSSASSSSAAAAATMAGLETWIDAAEITPADVSEVLIKNRRNGREQAMEQLLEVLKARAEKRPPSAAAGNATGGGDNEEEEEEEEEEKRALESPKEGGGEDGQDEETEAKKQLSE >ORUFI09G12070.1 pep chromosome:OR_W1943:9:13599791:13600967:1 gene:ORUFI09G12070 transcript:ORUFI09G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLADLEVVAAGTLGQRAKEEVATAWGRPDIVVFDHLETRSGAKELETAERRWPVTLGLREKEATAGAWGASRSCGPQPPGERIWQLGARGDKETVTNDRPWAVHEGGGLLELLEASGERRRWRRGCGREAAAAGGQREGGDGQVEEERREEEERRAERMEDMGRE >ORUFI09G12080.1 pep chromosome:OR_W1943:9:13611084:13611915:1 gene:ORUFI09G12080 transcript:ORUFI09G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPATMKVLSLVPGLILVMLAAVLTDASIELLVWFSRAVGATSYGEAMGDAFVHTIHNELKDHSLIRPIVRASLLLGLVVYTTTSFFGFLLFGEATLDDMFVFPIVFRALRFNMDGLLFPSARPFSCDNRRFGAITAELLTVIFLAANFVPNIWDAFQFTGSSCTGDDVDCGGGGDSCLTAVILVAAAVSPMRPRSSSASSTPVAGKKEKTATRWCSQSTAPRCEKAAAGHGMPPPARHHQRPTLSGPSLAT >ORUFI09G12090.1 pep chromosome:OR_W1943:9:13613762:13619701:-1 gene:ORUFI09G12090 transcript:ORUFI09G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKAPASSNSYLLLAPLALLLLAAVVFLLPSLNGARVGSDGGLGVLCARRSAGAEDYTVAAPAAPKEEEKPELSLLVGVLTMPKRYERRDIVRLAYALQPAAARARVDVRFVFCRVADPVDAQLVALEAARHGDVVVLGGCEENMNHGKTHAYLSSVPRLFASSPYDYVMKTDDDTYLRVAALVDELRGKPRDDVYLGYGYAMGGQPMPFMHGMGYVVSWDVATWVSTAEEILARNDTEGPEDLMVGKWLNLAGRGRNRYDLKPRMYDLSWDMDNFRPDTVAVHMLKDNRRWAAAFSYFNTASSSSSSSHGFPATASLCTPYLLLVPLGLLAVVLVVPSLGSSHVRSDGLGVLCHAGPSAADGYLVTPGGDAASAAAAAAETKAVVRPELRLLVGVLTTPKRYERRNIVRLAYALQPAVPPGVAQVDVRFVFCRVADPVDAQLVALEAARHGDILVLNCTENMNDGKTHEYLSSVPRMFASSPYDYVMKTDDDTYLRVAALVDELRHKPRDDVYLGYGFAVGDDPMQFMHGMGYVVSWDVATWVSTNEDILRYNDTHGPEDLLVGKWLNIGRRGKNRYSLRPRMYDLNWDMDNFRPDTVLVHMLKDNRRWAAAFRYFNVTAGLQPSNLYHFP >ORUFI09G12100.1 pep chromosome:OR_W1943:9:13619782:13633435:-1 gene:ORUFI09G12100 transcript:ORUFI09G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQRLPLPPVPAAALLLLPVALLAALLLVVYPNEFALQASLAGAAACGDHQGGGGGGGVQAAPEFRLLIGVLTLPARYERRHLLRMVYALQQPAVASRARVDVRFVFCRVGSPEDRVLVSLEAMAYGDVVELDCPENMDNGKTHAYFSSVPRLFGGGEAAYDFVMKADDDTFFRLPELAESLSRAPRRDLYYGCMVPCDYVRGSNEYMSGMGYLLSWDLVEWIVAAAAEIEGRTGGPEDRTLYSWLRRGGRGRNRVDVKPAMYNFPGRHPCSHEFIPDTIAVHQLKDNRRWARTLQYFNFTAALKPFYPATSSRFPATSFCSLCLRLLLPLGLVAMALSSLVVLSVSGCLSAPRSRPVVDNTNNDGGLGAETTAAREPEFRLLVGVLTTPSRYERRGILRLAYALQPAPGAQVDVRFVLCDVTDAADAVLVAAEAARHGDILVLDGCSTENMNDGKTHAYLSSVPRLFAPCPYDYVMKADDDTYLRVAALADELRGKPRRTSTSAGATPSATTRCRSCTAWATSCPGTSRAGCPPTRTSGRNRYNLKPRMYDINWDMDEFRPNTIAVHRLKNNRRWAAVFRHFNVTLD >ORUFI09G12110.1 pep chromosome:OR_W1943:9:13634433:13634744:1 gene:ORUFI09G12110 transcript:ORUFI09G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ORUFI09G12120.1 pep chromosome:OR_W1943:9:13635000:13635956:-1 gene:ORUFI09G12120 transcript:ORUFI09G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAHGVDRGSELAAASEEDDGYSTSTTDDDDDDDVEPHGPASSGLRWVPYAAAVSAVRALLGASHHDLRLRAHQLSRSLSAVFFAGAGLGPEGAVLVCADVPPLGPALRDAQRTMVRVAAEEADHAACDCYYDAVRDVMRLLVGDAGLFRSAFSSHWVFFSNVEFQSRFRGYNPAPAVAAASALRWVPHAAAVSAVRALLGASHEDLRLRVHGLSRSLSGAFFAVGAAAAPFASGARFPEGKLFVCADLPPLGPALVAAQRAMMQVAVKDASHGPCDWYFDTVGELMRLLVGDTGVGPAVFDRASFESAFALEWEN >ORUFI09G12130.1 pep chromosome:OR_W1943:9:13640753:13642669:-1 gene:ORUFI09G12130 transcript:ORUFI09G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFGDFGPLTERRRAEKARQQRRRIMIALGTVSIIIILIVMGAAAITYSGKKSEEDEGGSKGSSKGKSKGGGGGDDEDGGGGGGKADLRAVSKSIKMMCAQTDFADSCATSIGKAANASVSSPKDIIRTAVDVIGGAVDQAFDRADLIMSNDPRVKAAVADCKELFDDAKDDLNCTLKGIDGKDGLKQGFQLRVWLSAVIANMETCIDGFPDGEFRDKVKESFNNGREFTSNALALIEKASSFLSALKGSQRRLLAGEEDNGGGAADPHLALAEDGIPEWVPDGDRRVLKGGGFKNNLTPNVIVAKDGSGKFKTINEALAAMPKTYSGRYVIYVKEGVYAEYVTITKKMASVTMYGDGSRKSIVTGSKNFADGLTTFKTATFAAQGDGFMAIGMGFQNTAGAAKHQAVALLVQSDKSVFLNCWMDGFQDTLYAHSKAQFYRNCVITGTIDFVFGDAAAVFQNCVLTLRRPMDNQQNIATAQGRADGREATGFVLQKCEFNAEPALTDAKLPPIRNYLGRPWREFSRTVIMESDIPAIIDKAGYMPWNGEFALKTLYYAEYANKGPGADTAGRVAWPGYKKVISKADATKFTVDNFLHAKPWIDPTGTPVKYDFFT >ORUFI09G12140.1 pep chromosome:OR_W1943:9:13645676:13646931:1 gene:ORUFI09G12140 transcript:ORUFI09G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRQRSIFHLGEEGGGAADAEHLGADHGDGHGDHGIISIHQQRLRVVGLQIVLAQTRHHHRQQHGHVVLKQMQMVSPPPAARHRRRRRPCGDFLSACSLCRLELGPDKDVYMYRGEQGFCSEECRWQQIMTDEARERDAMAKKERLGLHHRTPRPPPAAIRGGSPRRLLAVA >ORUFI09G12150.1 pep chromosome:OR_W1943:9:13652105:13658219:1 gene:ORUFI09G12150 transcript:ORUFI09G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNHSPSITAETINQKVRIFTYEPCGEIVRHARRLEKEIYENPGSLPFQEIIYCNLGNPQALGQRPINFFREGVRGLREAVADGIAARDGFPSKPDNIFLTDGASSAINMMMQILIRSHEDGILCPLPEYPLYSASIILHGGTMVPYNLTEDSIWGLEIFEVKRCLEDARASGLTIRAMVVINPGNPTGQVLSITNQEEIVEFCRKEGLVILADEVYQENVYTENKRFNSFKKVARSLGYDHHDLSIVSFHSVSMGYYGECGRRGGYMEICGFGDDVIDEMYKLASLTICPNIAGQILISLVMDPPKLGDEAFEIFMVEKEETYSSLLKCAKALQKAFSGLEGVSCNKFEGAMYLFPRLRLPQAAIKAAQLEGVSPDVFYAHRLLDATGIAVVPGSGFHPVSGTSHIRCTILPGEETITAMVPSLQAFHEAFMDEFRG >ORUFI09G12160.1 pep chromosome:OR_W1943:9:13658905:13664698:-1 gene:ORUFI09G12160 transcript:ORUFI09G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFREGGGGGRVAAAAMSQIQSFSRQNCVLLAVLCGKHAEKRAQARSGLEAKRLRPSYPFPELSSSGRLEVHTLFNPTPEQFLEAQRVVQPNFLYIQGQQLEDEKEIGSLVWGDNDVSDPQAFSCLISPPFPTIVYLEVPIGEKLAQAVHSKGIPYVIYWRNSFSSYAASHFRHALMSVVQSSVSHTWDAFQLAHASFRLYCVRNNHVQSVKLGPRLLGDAPKINITPPENEMVEEEGSSDVFPAIKIYDDDINMKFLLCGVPSTPDPCLLGSLEDGLNALLNIEIRGCKLQNRISASPPPLHAASLPRGMVTMRCDITTCSSSHVSLLVSGSAQTCFDDQLLESHIKDEIIEKSQLVHALPNNDDKLSSSVPFTSMSTACGASTFEVWMTLPKWAAQVLKHLAPDISYRSLVALGIGCINGTPVASFDRRDADRLLFFCTNQHKDLAIENGPYFHLPRWSASLTKERVKVGLESKPNLLGANGIPEDKKRLIEGPSSSSKAKLKPATMRPIPHSRKQQMHPFMGFLEATVHETSQVKPNLPAAPPVKHNSVPAAPATHRKSTSGPSHAQSIIQLNPLPLKKHGCDRLPIQMCSEEDFLKDVMQFLIQRGHNRLVPHGGLAEFPEAVLNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTVTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPPQKVANGFANTVSVSRNV >ORUFI09G12160.2 pep chromosome:OR_W1943:9:13658905:13664698:-1 gene:ORUFI09G12160 transcript:ORUFI09G12160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFREGGGGGRVAAAAMSQIQSFSRQNCVLLAVLCGKHAEKRAQARSGLEAKRLRPSYPFPELSSSGRLEVHTLFNPTPEQFLEAQRVVQPNFLYIQGQQLEDEKEIGSLVWGDNDVSDPQAFSCLISPPFPTIVYLEVPIGEKLAQAVHSKGIPYVIYWRNSFSSYAASHFRHALMSVVQSSVSHTWDAFQLAHASFRLYCVRNNHVQSVKLGPRLLGDAPKINITPPENEMVEEEGSSDVFPAIKIYDDDINMKFLLCGVPSTPDPCLLGSLEDGLNALLNIEVWNKALQLYYSGVQTSESNQCFSTTTSCCILTTWNGYNALRHHYVQFFSCLLESHIKDEIIEKSQLVHALPNNDDKLSSSVPFTSMSTACGASTFEVWMTLPKWAAQVLKHLAPDISYRSLVALGIGCINGTPVASFDRRDADRLLFFCTNQHKDLAIENGPYFHLPRWSASLTKERVKVGLESKPNLLGANGIPEDKKRLIEGPSSSSKAKLKPATMRPIPHSRKQQMHPFMGFLEATVHETSQVKPNLPAAPPVKHNSVPAAPATHRKSTSGPSHAQSIIQLNPLPLKKHGCDRLPIQMCSEEDFLKDVMQFLIQRGHNRLVPHGGLAEFPEAVLNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTVTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPPQKVANGFANTVSVSRNV >ORUFI09G12160.3 pep chromosome:OR_W1943:9:13658905:13664256:-1 gene:ORUFI09G12160 transcript:ORUFI09G12160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQSFSRQNCVLLAVLCGKHAEKRAQARSGLEAKRLRPSYPFPELSSSGRLEVHTLFNPTPEQFLEAQRVVQPNFLYIQGQQLEDEKEIGSLVWGDNDVSDPQAFSCLISPPFPTIVYLEVPIGEKLAQAVHSKGIPYVIYWRNSFSSYAASHFRHALMSVVQSSVSHTWDAFQLAHASFRLYCVRNNHVQSVKLGPRLLGDAPKINITPPENEMVEEEGSSDVFPAIKIYDDDINMKFLLCGVPSTPDPCLLGSLEDGLNALLNIEIRGCKLQNRISASPPPLHAASLPRGMVTMRCDITTCSSSHVSLLVSGSAQTCFDDQLLESHIKDEIIEKSQLVHALPNNDDKLSSSVPFTSMSTACGASTFEVWMTLPKWAAQVLKHLAPDISYRSLVALGIGCINGTPVASFDRRDADRLLFFCTNQHKDLAIENGPYFHLPRWSASLTKERVKVGLESKPNLLGANGIPEDKKRLIEGPSSSSKAKLKPATMRPIPHSRKQQMHPFMGFLEATVHETSQVKPNLPAAPPVKHNSVPAAPATHRKSTSGPSHAQSIIQLNPLPLKKHGCDRLPIQMCSEEDFLKDVMQFLIQRGHNRLVPHGGLAEFPEAVLNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTVTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPPQKVANGFANTVSVSRNV >ORUFI09G12170.1 pep chromosome:OR_W1943:9:13666373:13669321:-1 gene:ORUFI09G12170 transcript:ORUFI09G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAAVEQRLQALRQKLGRKQHFEEAVADLAAAVRDHHAAASPALRDLMYSTVCRVATVLQTRYTAPGFWRAGLNLFLGTEKLVTNPSEKEQLKTFILRAREHLDEKENEESMPNNRETDTRFLFEGHLTVGPEPPPPAWLVAQNLARELSILAEPSGDQGANNNGESRAEEMAPAAAIMNFLNTMTVDGDLEAALEESLQNVMANPKVPPASKEVVANLPVVTVTEEIIARLGKETQCAVCRESLLVDDKMQELPCKHLFHPPCLKPWLDENNSCPICRHELRTDDHVYESRKEREREEEEDRKGAANAVRGGEFMYV >ORUFI09G12180.1 pep chromosome:OR_W1943:9:13680306:13684479:1 gene:ORUFI09G12180 transcript:ORUFI09G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISGFIPPSAAAAAAAAAAKKQQGRRVTADVLWPGMLRKGKAAAAEEDFEADFREFERGMSDDEAEGGGGEEEEEDDDVVVVVPPPAAARFVVRAAAKAAPPTADGMLTTKLVQHDGPTARSAKRKRKNQYRGIRQRPWGKWAAEIRDPSKGVRVWLGTYNTAEEAARAYDAEARKIRGKKAKVNFPDEPAVAQKLSLKQNAAKQEKLAPPLKTCGDDAFFQLNSSDNDLFAMLAKVPAKPAEPVDLMPPVKPLASTETFEMNMLSDTSSNSFGSSDFGWEDDTLTPDYTSVFVPNAAMPAYGEPAYLTGGAPKRMRNNYGIAVPQGNGMPNLAQNMPTFDPEMKYLPLPYVESSSDESMDNLLQNDATQDGASNEGIWSLDELLMAAGAY >ORUFI09G12190.1 pep chromosome:OR_W1943:9:13701260:13701580:-1 gene:ORUFI09G12190 transcript:ORUFI09G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSPTSLPNWNRMRISVNTITQNRAKSLRRLLASLRNTYYVDDEVVPISFNMDSRVDAATLNAVNSSDAEPVLM >ORUFI09G12200.1 pep chromosome:OR_W1943:9:13703075:13703549:-1 gene:ORUFI09G12200 transcript:ORUFI09G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAALEPNQEFLEACMPSAEASRHVPPLDQRRRHRVAPLREICDEPRLLYFCTTAAACPTGARTYASSASPASSSATSRARPRVAAEEERCPCAALPFPGLPLRLRRRRAPRRTARINLLYLYIGGFDVRVVASRSASSPSVSGSGAFAR >ORUFI09G12210.1 pep chromosome:OR_W1943:9:13704832:13710777:-1 gene:ORUFI09G12210 transcript:ORUFI09G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASASASAAQELDREQYLQELIRGSMLDPPSSSSSRAGRVRPLTDDEIGRFYCEVCMEWKLVFDRFRVSDGCPHAFCVACVVGHIEARVAAGSVPVPCLLAGGGGCSGGGVMHPERCKKLLDIDVFDRWCVALCERAVGPARARCPYRDCGEMAALEGEAAAAALPLRAAASKASCPTCSRAFCLQCEEPWDDRHGGGGGGDGGARCALTQLAKGREWRRCPSCRAMIDKIDGCKRMTCRCGTVFCYDCGSSFNPRMYSCKCTPRKSSQSEEEDGFIDLTCSDRPLNLGNHC >ORUFI09G12220.1 pep chromosome:OR_W1943:9:13711384:13717016:-1 gene:ORUFI09G12220 transcript:ORUFI09G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKPHPAATGGGASSSHHAAAAAAADVAVDEAFARDLYVSQLMELGDDDWSSFAPPMDRVGSTSSLAAGAAGSRAQPIVIDDDDDAPAAAAAARTVQLYVPPPLRSGGRRTVATRQQPAPARSATAFLPRPPGGAATADIGTSSTATLPQGLPAAMAPSTELSLRPGGLIAGGAAAPRHGGGRPARAAPGTGHARGAGNGRWARAENLMAALQANPRPNVAQFGRIWTRIAAADRQLTAAAAAADTPAAVTATTGEGSHAAPAVSAGKCGQHRTPAISAGKGGAAGGMEEEEEVHRNVANENDSVSSKRQALLADRDTPAVFAGMEDGHDNDWYDSVIRDAVIAELQEDPELHGPLPVQYLTKSPVVAQPPPRATAAAIAGEEEEEEGEFSMPNFYKKWGLRPSDLDPDEAGPSTRRPRVLPLADGDLPTFDCGICFDTLPMLDLFRGLPCDHKYCLECMTTYIDGKVREGAVPVACPDPECADGGDGGAGVLHPEGCKKAIDFAAFTDWGLRLAEGAVPHDRRAYCPNRRCGILLETSGEAEPAMAACPACQHLLCATCGGEWSTADDADHRDCSKGPEAAMVKKLADERRWKACPKCRMLVERTAGCRVMSCRCRMVFCYLCGLQIGAVLEGKEKCQCLDNLGVVLIKALCRFSKRRTASYPPIKAIQIKGVVVSHLRSSQRHRRHPVADYQAREEESCRQHLYTKVVNPHLPPIAVSATNTASCRHEGVATATAHTHPRTSTQAVSPLPSVAIAMPCEHSSTTRWSRRSSLEATGSSITSARSTTGTVFNTIGHSCRRFNRVAAITVTIMARSILQIYHLVLATSGSSWEKRPHTTNILVTARFPTDGSDGGEEVGGRKVEWHRGMGHCPSHSSVIE >ORUFI09G12230.1 pep chromosome:OR_W1943:9:13722283:13723444:1 gene:ORUFI09G12230 transcript:ORUFI09G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSSGRRGRQSCEESCNNGAHWPPPQSARCLCLYLALSVVFFALVAAVLLVVFVARLKKPTFLLQSVQMDRSFSLIQSSLSSSASANGTGGGGANANGTACSVATLVFAAQNANGIGIRYGAAALGVAYANESVGAVGVPEFYQPPRSANVTVPVHAVFSQPDVTRLVVGELSAQRKYLEIRIAGSIDARTHIMNFALPKIQFSIDCRIGTNYTDIVHREGIESVITRKALLVSELPHVSQKCSIKIDLRSRGKRTSLDELGC >ORUFI09G12240.1 pep chromosome:OR_W1943:9:13729706:13737360:1 gene:ORUFI09G12240 transcript:ORUFI09G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNKSSPARNESGGDGDGDIAVDLFPFLRVYKDGRIKKFVRHATVPASPVERSPSGVVTKDVVAVDDETGVSVRLFLPVDAAAAAVAAGRRLPLVVYVHGGAFCSGSASAPPFHRYAESLAARAAAVVVSVDYRLAPEHPMPAGYDDAWAALRWAASSRHFDPWVSNYADTACVFLAGESAGANIVHNVALRAAAAAAGCEDDDDGGGGIDIEGIILLQPCFWGTERLPCERPTAWRRAAPPMFLPERLDALWPFATAGAAGNGDPRIDPPAEAVASLPCRRALVSVATEDVLRGRGRRYAAALMRGGAWGGEATLVESGGEDHCFHLSPRPNPNAAALMDHVAEFIAKGNTSTSSPMAKRRRRRRRCTLHGAGAEKTTSMHALRGQTAPKVQHAGSGIMANKADKYVASVVYVIATPMLVIGRSTPPKAHSDRFGAPERVGGSWCATRDKTRSGNGVATKDVVIDDETGVSVRVFLPVDAAAAAAAAGRRLPLVVYVHGGAFCTGSASARMFHDYAESLSARAAAVVVSVDYRLAPAHPVPAAYNDAWAALRWAASRRLSDDTWVGDYADLSCVFLAGESVGANIVHNVAVRAGAATRNAGEVFDDDDDIDIEGMILLQPYFWGTERLPCETRTREPPPMLLPERIDALWPYVTAGNNNNGGNDPRIDPPAEAIASLPCRRALVSVATEDVLRDRGRRYAAALRGGAWGGEATLVESRCVEHCFHLLPEFGSHAETGVLMDRVAMFIAKGKTPPPISMLMEEERATKKTRSSAVVPACWRVPRGPRCTAQAVVGLRRAGFGVGNMIRLPSKAQKYHRVPAIALRSVLQTRTREGPASHEHKQDHIAVDLFPFLRANVQGRPRQEVYVRHTSVPASSSDEIRSPNGVVTIPGVSVRLFLPAAAACRRLPLVCLRPWRRVLYRERLRAPRCSTATRSHSPRAPRQSPSPSTTASRRSTPVPAAYDDAWAALRWVASRHTVQYP >ORUFI09G12250.1 pep chromosome:OR_W1943:9:13743840:13748713:1 gene:ORUFI09G12250 transcript:ORUFI09G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAAAPIHDEAEDDLPYETIVTRKIKANHRKSVVKLLIPVMNKLGKPGTVGGTGYIVYNAGRKFLILTCSHGLDTWICPEPIRVLFDNLVVAQALVKVCERKKEVAILSVDVSLKHRELTDAGEYPPVAFDHQPVRKGDPLVLLGYPWPSSKTGSTNLGSFFGSVTNEAALVGKLKDTPPEEHMMTVNADYSGAGGSSGAPVFRGENGKVVGTNVHGGKEVLCFVTVSWIQDTLRNLQEVKKSGLGPNATIEEILESCFALLKSDEAGPSSRITRRFYLDCIRDSEYVVPEHIYEGAQLISAAQACLYLFN >ORUFI09G12260.1 pep chromosome:OR_W1943:9:13750192:13755491:-1 gene:ORUFI09G12260 transcript:ORUFI09G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGIISVCEFEKELRGEQQVSTFVHTCPIGDGLMGAPICSRFGKVFGMNVARTALCSSINFALNNSQLKVELAKLLQNEDYEMSALIEKYDSEKTSASKKRKGRGFANVKVTSEEKGGWQFFVKKGEGCCQFANVKFTSEEKGGWQFFVKSDIKEVCATVFYCSP >ORUFI09G12270.1 pep chromosome:OR_W1943:9:13759616:13767100:-1 gene:ORUFI09G12270 transcript:ORUFI09G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGRGPGRCCRLPPPPLGVSFPRGWLPQGASSARSPPSSAVKIADIMPNKKKRSAEDAALYVESLLFGDDPSDSEADVDKYDASDLKGAINKDGSSDEEDFTEEGLSAKEVALSISKSIVSLISSVGTMVDHVGSATWILTSATLVRKCDNDYDAYQEGDVKIEVLLHNKTITEGFLAMCSLQYNIAVVTIEPQFDLPLVKLHDVPVCYSMLCRPVIAVARNFKSKTLLVRCGEMTRERSELDCDELLVCTCPVSKVFIGGLVMDLERRILGITFYDKDTVPFLPIEIAVRCLEHFKNFSIEAGDIICSVDDIVLYSLSQLTSIFLDKMAAAMPTQDKVTVQAEIRRPRDNTKFVAKLNIGIASGEHNNCFNNRWPLQ >ORUFI09G12270.2 pep chromosome:OR_W1943:9:13759336:13767100:-1 gene:ORUFI09G12270 transcript:ORUFI09G12270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGRGPGRCCRLPPPPLGVSFPRGWLPQGASSARSPPSSAVKIADIMPNKKKRSAEDAALYVESLLFGDDPSDSEADVDKYDASDLKGAINKDGSSDEEDFTEEGLSAKEVALSISKSIVSLISSVGTMVDHVGSATWILTSATLVRKCDNDYDAYQEGDVKIEVLLHNKTITEGFLAMCSLQYNIAVVTIEPQFDLPLVKLHDVPVCYSMLCRPVIAVARNFKSKTLLVRCGEMTRERSELDCDELLVCTCPVSKVFIGGLVMDLERRILGITFYDKDTVPFLPIEIAVRCLEHFKNFSIEAGDIICSVDDIVLYSLSQLTSIFLDKMAAAMPTQDKVTVQAEIRRPRDNTKFVAKLNIGIASGEHNNCFNNSCEDENFQGDS >ORUFI09G12280.1 pep chromosome:OR_W1943:9:13779418:13794254:1 gene:ORUFI09G12280 transcript:ORUFI09G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVNYSGLQRKETWVIWFLFFFWLPFFCVYICNFVMNLFPLLPEAFKGNKQIGVIGWGSQGPAQADLRDSIAQVKSDIVVKSFDEACAAGFTEESGTLGDIWETVSGNAAKPTLKREIVGDGSGALSLAVERDALLQEDSIVFALIKQQPTLPLPKLPVAGPLRKCSGHLQKNKEYATAVKPTGERVDHRSRHHAISTKITISRSSPNNVAIKPQCAHAAVVLTSARGGTKATTAAEVVQFLGGGAIAIRSSRAIHLVLGGRHVTFPILALSSYSSQRLASKKQPQGVFRQGQDYWQNEDIVEVMPCCRIHYFTEDIAARSQAVARQFKSLKVKAVAALLSLSRNDTDEDVDSNNEKEKSYGYMLMERLTGSPDGRIDHVYSCGNYWRDHDTALFILRHLYRDIPKEPPTDDPERMPIRLFYEFSRKVMTYSRNQRTIQAVNLPDGCWNSGSCGGSTQMLIEMVLDLGAVVLNCRDLSVSDHGSVVAVNIFLALATRQALV >ORUFI09G12280.2 pep chromosome:OR_W1943:9:13779418:13794254:1 gene:ORUFI09G12280 transcript:ORUFI09G12280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVNYSGLQRKETWVIWFLFFFWLPFFCVYICNFVMNLFPLLPEAFKGNKQIGVIGWGSQGPAQADLRDSIAQVKSDIVVKPTLKREIVGDGSGALSLAVERDALLQEDSIVFALIKQQPTLPLPKLPVAGPLRKCSGHLQKNKEYATAVKPTGERVDHRSRHHAISTKITISRSSPNNVAIKPQCAHAAVVLTSARGGTKATTAAEVVQFLGGGAIAIRSSRAIHLVLGGRHVTFPILALSSYSSQRLASKKQPQGVFRQGQDYWQNEDIVEVMPCCRIHYFTEDIAARSQAVARQFKSLKVKAVAALLSLSRNDTDEDVDSNNEKEKSYGYMLMERLTGSPDGRIDHVYSCGNYWRDHDTALFILRHLYRDIPKEPPTDDPERMPIRLFYEFSRKVMTYSRNQRTIQAVNLPDGCWNSGSCGGSTQMLIEMVLDLGAVVLNCRDLSVSDHGSVVAVNIFLALATRQALV >ORUFI09G12290.1 pep chromosome:OR_W1943:9:13794601:13797855:1 gene:ORUFI09G12290 transcript:ORUFI09G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGATVTFSDQEIDHSQKVFTVGYNTNQRDRTFISGNLVNGVGIRNKDAIVFVHGCSTGNSGHLGSAVFNENKNLVGMNVSYTRSRGTHYISSSSTSTSDYGGIVSALNLQSIQLGLSLLYKKEGETIGEIVRHKRNGPKNVAEAAGVDLEAAMRICIDDELRPSRAMVKAITI >ORUFI09G12290.2 pep chromosome:OR_W1943:9:13794928:13797855:1 gene:ORUFI09G12290 transcript:ORUFI09G12290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVTLDDAVEEPSVADMEAPARLLLLDCLLNATAVDPVTPSSILVAIAASYCFLFPTASSLLTPILWHIMVGKAGSSFYGDYILDFNANCERCVVSLSFYKDNDEEKKETYFATGIIFASGKRSCCVLTTIDDASKRKDESCVVKFFDGTSKIIDWKRIKVRPEFKCATIYIHNIDMIHGATVTFSDQEIDHSQKVFTVGYNTNQRDRTFISGNLVNGVGIRNKDAIVFVHGCSTGNSGHLGSAVFNENKNLVGMNVSYTRSRGTHYISSSSTSTSDYGGIVSALNLQSIQLGLSLLYKKEGETIGEIVRHKRNGPKNVAEAAGVDLEAAMRICIDDELRPSRAMVKAITI >ORUFI09G12300.1 pep chromosome:OR_W1943:9:13798807:13803826:-1 gene:ORUFI09G12300 transcript:ORUFI09G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNEISGSNELCAQDPEVMTFYNLRGSDKTTILRKKELQRLRKERIENKCFRKKEKIRKAKEIVDNALKIREELHQKYLRAEPWLKEQERQRLERNKRFTTEIINQPPDYRGEWDPYVSDDEFGTSFELKRTGWEVEKFALDLARSTVGLESFTGENHLFSCSGTIIEFLNGICSVVTSASLIRCMDKDEQADELKINVWLPSGEKCEGFISNVDLYYNICLVTVHCTSNLPKKSFNDDTGFFDLYGNHSKDVVALGRSCEPWSLKVASGKLIPRRHRFDCEELLVSSCKITKIGVGGPLMDFNGNIVGMNFYDKKETPFLPSFIVLKCLQHFKEFGKVVRPLHGLRVGNLHKESLASLEKICHEFPKVCGVIVEKVEPSAEHSEIKVGDIITHLDGMAFSNAAEFGGILLDRCVTQMLEKQNLSEDCNQMISLKFSVKTRGGISEAATRTINTDKFTPSGLNRWPLPRPIIVRQYARGVLFSEDWYS >ORUFI09G12310.1 pep chromosome:OR_W1943:9:13804648:13816632:1 gene:ORUFI09G12310 transcript:ORUFI09G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGIKVFVLDALKNGYFPIVMNPRGCGGSPLTTPRLFTAADSDDIGTAIRFINNKRPWTTLMGVGWGYGANMLTKYLVEVGESTPLTAAVCVDNPFDLQEATRSFPHHIALDRKLTTGLVDILRANKELFQGKDKDFNVQKALSSDCLRDFDGAISMVSHGFSTVDDFYAESSTRLSISHVKIPVLFIQSDDGTVPLLSVPRSSISENPFTSLLLCSCVHSTVFTFERYAVLWCQNLALEWLSAVEFALLKGRHPLIKDVDITINPSKGLAFVEPQANDRKAPNNNNFRQQSQFILYNSMPHGINGLLLDSAKQHSVSNEKENGQIKDNGDMDRARKDVNEEESEETPEDDEKGHALQSASLVMNMLDATMPGTLDDDQKKKVLVAVEQGETLVKALEEAVPEDVRGKLTTSVTEILQSKRGNFSLDALKRLGWTNGRPNTKTAVQEKIKDSDHESGLKDAKMHDQNKSASAIGDVDQKDGNLTSNDNSSGEGIESSQGKPSQTSGPVGAVTEMGTEQIQPNRSEKSTPGINESSEDHQHKTDQGTETAPKQVSDDLSPSEKKNSDDQSPGEKKVSDDQSTANLNGAPRERVQSADATAESPQVHVVEKDGDAVRASEDKATHNVTDQSMQVSKTEEPKPPPVNVTQALDALTGFDDSTQMAVNSVFGVIENMIDQFEKQHESENGDKSDGSTDEASVNKTESQVTGDMNNESSGKSINPSSYQPENSISGKGDSIMSEDRMIGEINSNLSIISSAKEKIGNYERNIIENYVDADVAKQGSGLPDYLLDIAVNSYLKAQYAMYLHEFLSTQFQLKPPDSNSATDLFLDPHEGKWKIADQMDSEHDYNSKSDKDGNYTKNIGISGSSRDQFRTENVIDTPYLVLSHYPVSRDKKSNELKQTVATKLPDIALRETLTSFISDELENALKIEVGRKVGITNTEQLERNLAHDVERLAAQVSRAVVLDCELYSAACVERNPTTVKFGTTHGENVIEAVSNAIQQSHDLRNILPVGVIVGVILASLRNYFHVDISKHDKHTKTIVKSGVLSEDPDFKNSYLKKEESTDDASSKTEETTNNASLQKEEKANYSSKNAENADNPIEKTVAPKRQEIRRSEGQGMMVGAVTAALGASAFVAHHQQLHSVFIFQQKKVEKHDNMDSTRPDETAQEKSQNNLVTSLAEKAMSVASPVVPTKGDGEVDQERLVAILAELGQKGGALRFVGKIALLWGGIRGAMSLTDRLISFLRISERPLFQRIMGFSFMVFVLWSPVVIPLLPTLVQSWTISSSTGIVGYACIVGLYVSIMILVILWGKRIRGYENPVEQYGMNLASVSRVQEFLQGLAGGITVVGLVHSVSILLGFAALRAGSYSFVTRPLDLLKSSSNVLLLALRGFVTATSIAVVEEVVFRSWLPEEVAVDLGYYSAILISGVAFSLIHRLKEQTKLLDMPW >ORUFI09G12320.1 pep chromosome:OR_W1943:9:13816975:13822152:1 gene:ORUFI09G12320 transcript:ORUFI09G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATAAAHLLSTPPSASPVHPPPHARILCAHWTKGVATLSCRASLGPDGSLAGLAAAAAAAPRVEPRGRPYLREHSCLIFPPSPPGRRPLAVVKFLGGAFIGAVPEVTYSHFLKLLAQEGFLVVSVPYNVTFDHEAAAREVYERFHGCYGALLSSGLPAAGLSAMDIAELPLYSVGHSNGALLQLLVGSYFSEKIPKANAIVSFNNRPASEAVPYFEQIGPLFSQVMPMMEASPVYSAARNASGDAWKALFDLAGGLIQVYDQEAMLSLSKFVDQLPSVMNQVTEGVSEFKPTPPENREFCKNSYNVPNTLLVKFSIDAIDDTEIVEDVLKPRVESIGGQIKKVILSGTHLTPCIQVGSEYTPADALAQGLKSLALNETRVLSRTIADWIAVATGKETLESKIY >ORUFI09G12320.2 pep chromosome:OR_W1943:9:13821285:13821671:1 gene:ORUFI09G12320 transcript:ORUFI09G12320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHPQAVPKISDQDWGAWARVFPLGLLSQHLLYNAFNPWSKETYPVSATRVEPSSSYSRLDLEQIDFAHRRL >ORUFI09G12330.1 pep chromosome:OR_W1943:9:13822624:13823010:1 gene:ORUFI09G12330 transcript:ORUFI09G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLSFEVPPRHLWGSGIRLHRLAREDPGPRRYGPTLSMAKVRLSSPFQTPPPPGGGGGGGLHQHRCVTGTTASSGGGGTAELCALAVVLAGNPCLRRFPKEEDARRREKMEKALRWMENVTGVAWF >ORUFI09G12340.1 pep chromosome:OR_W1943:9:13844012:13844509:1 gene:ORUFI09G12340 transcript:ORUFI09G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLSKLKCMIKRWHSSSRISRTPSGCSASAGSTSARSSHGGGRVGGEEWGRSVVASGGGGGGGGGGRGGSVSFHGADGVPPGLHPVYVGKSRRRYLIAADLVGHPMFQNLVDRSGGGGVGGGGGGGTVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >ORUFI09G12350.1 pep chromosome:OR_W1943:9:13847480:13847932:1 gene:ORUFI09G12350 transcript:ORUFI09G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRPKFTQIELRSGLVLLPPGYTPSRVARGGGKKKRGTAAGAGTSRPRSTPTPVRAESVGSSVYPAVNAVDMVADGAQGGLGFPEVPPGFEKVKAEPAPATPAPVASPSTPAAKKVWISVEYHFNFGIDLSCGMILLSFDLLQWMALLI >ORUFI09G12360.1 pep chromosome:OR_W1943:9:13861871:13862431:-1 gene:ORUFI09G12360 transcript:ORUFI09G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGGGVKVAAAAATTATGMKQITRLRELLQKWQAMALGANKGDVATAGDEEDEEVVEVAPAAAAAAAIPPFVMRRLQRTVTVDSDDESCQSPEPPADVPRGYCPVYVGPEQRRFVIPTRYLGHPVFRLLLEKAEEEFGFCHQGALAIPCETEAFKYILQCVERHDNGLAAGDVVDVNDRAPPRD >ORUFI09G12370.1 pep chromosome:OR_W1943:9:13871216:13873857:1 gene:ORUFI09G12370 transcript:ORUFI09G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPRPDSGLGKLRKYASFSPSSSSSTMAASPSPISAAAAAADAPAVTRSITILRPPALSVTSPRGSESGPSTPSSPASVPDSPFGSAPTPKGSEGWKKLRRGAARMADGVDASAGGQPRSPTVYDWVVISSLDR >ORUFI09G12370.2 pep chromosome:OR_W1943:9:13871216:13873857:1 gene:ORUFI09G12370 transcript:ORUFI09G12370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKYLAEMLSPPPRHLYKSTPLYLLPTRKEKREATRHCTHHHLLPRDRHGAPRPALGRHGGRAAAGLRPRQAPQVRLLLPFLLLLDDGGVAVAHLGGGGCGRRAGRDAQHHHPPPAGAVRDVAARQRVGPLHAVVAGQRPGLPLRLSTDAEGIGGLEEAAPWGGEDGRRRGRQRRRPAEKPHRIRLGGDQLTRQVKA >ORUFI09G12370.3 pep chromosome:OR_W1943:9:13871216:13873857:1 gene:ORUFI09G12370 transcript:ORUFI09G12370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKYLAEMLSPPPRHLYKSTPLYLLPTRKEKREATRHCTHHHLLPRDRHGAPRPALGRHGGRAAAGLRPRQAPQHRRRRDRRAGRSCAVGRRGWPTAWTPAPAASREAPPYTTGW >ORUFI09G12370.4 pep chromosome:OR_W1943:9:13871216:13871968:1 gene:ORUFI09G12370 transcript:ORUFI09G12370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKYLAEMLSPPPRHLYKSTPLYLLPTRKEKREATRHCTHHHLLPRDRHGAPRPALGRHGGRAAAGLRPRQAPQVRLLLPFLLLLDDGGVAVAHLGGGGCGRRAGRDAQHHHPPPAGAVRDVAARQRVGPLHAVVAGQRPGLPLRLRW >ORUFI09G12380.1 pep chromosome:OR_W1943:9:13882747:13887790:1 gene:ORUFI09G12380 transcript:ORUFI09G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAVPPAQVHSSGPASRFPSPRGESRAKAAPRLLRLATSPRRRRGDSPTRHHQIRRRRRRRRRRLHRLLRAGVGMLARVSRLGLHALRRATAPGQNSLASRRHEAEAVTSHVNHLGKTFFCSNTNATSSDRSSESEAKISVTFVDKDGEEKLVKVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVTDVDYYNKLEDPVDEENDMLDLAFGLTETSRLGCQVIASPELDGMRLALPSATRNFAVDGYVAKSH >ORUFI09G12390.1 pep chromosome:OR_W1943:9:13898130:13909014:1 gene:ORUFI09G12390 transcript:ORUFI09G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPSRGSNAARRSGHRRIADYLADDQTTNTDTSDNESYTTAYGDEFFAAAAAAAGSGGGGMLPAFLADQGDLVEVMLELDEESMVVRSVTPTSATLYGGGGGQIPQPLPPPPRTPEGGGGARSLSRCSSTSSRIRKKFAWLRSPSPSPSPRPPTPAELQREAAMAARERRRIQARLNRSSTGAKRALKGLRFISRTTGTVQAAELWRRVEDRFNALARDGLLSRDDFGECIGMVDSKEFAVGIFDALARRRRQNLERITREELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLAKLKEQAEEYASLIMEELDPENLGYIELWQLEALLLQRDSYMNYSRPLSTASGAQWSQNLGGAAVAAGAAAATGGGAHAAVAARGGQQQQQQQEEGRRGGWGVRKAAARVRVAAEENWRRAWVVALWFAAMASLFVWKFVQYRRTPAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDNITFHKMIATAIVVGITLHAGNHLACDFPRLIASGPEEYRLVADAFGPEKPTYVGLLSGVEGITGVAMVVLMTVSFTLATHPFRKGEKGGSGGGAAATVLPTVARLPSPFNRLAGFNAFWYSHHLLGIVYALLIAHGYFLFLVRRWYLKTEDHLAFKRNGRFMTWMYISVPLMLYVGERMLRALRSNAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYLSVHIRTNGDWTQELKRIFVENYFSPHLNRRASFSELGATEPRSLPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLAEELMDLAMETSRSEDSANSFSVSTASSNKKRAYRTSRAHFYWVTREPLSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGAPTLAKELKTLSHEMSHRTGTRFHFHKEYF >ORUFI09G12390.2 pep chromosome:OR_W1943:9:13898130:13909014:1 gene:ORUFI09G12390 transcript:ORUFI09G12390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPSRGSNAARRSGHRRIADYLADDQTTNTDTSDNESYTTAYGDEFFAAAAAAAGSGGGGMLPAFLADQGDLVEVMLELDEESMVVRSVTPTSATLYGGGGGQIPQPLPPPPRTPEGGGGARSLSRCSSTSSRIRKKFAWLRSPSPSPSPRPPTPAELQREAAMAARERRRIQARLNRSSTGAKRALKGLRFISRTTGTVQAAELWRRVEDRFNALARDGLLSRDDFGECIGMVDSKEFAVGIFDALARRRRQNLERITREELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLAKLKEQAEEYASLIMEELDPENLGYIELWQLEALLLQRDSYMNYSRPLSTASGAQWSQNLGGAAVAAGAAAATGGGAHAAVAARGGQQQQQQQEEGRRGGWGVRKAAARVRVAAEENWRRAWVVALWFAAMASLFVWKFVQYRRTPAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDNITFHKMIATAIVVGITLHAGNHLACDFPRLIASGPEEYRLVADAFGPEKPTYVGLLSGVEGITGVAMVVLMTVSFTLATHPFRKGEKGGSGGGAAATVLPTVARLPSPFNRLAGFNAFWYSHHLLGIVYALLIAHGYFLFLVRRWYLKTTWMYISVPLMLYVGERMLRALRSNAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYLSVHIRTNGDWTQELKRIFVENYFSPHLNRRASFSELGATEPRSLPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLAEELMDLAMETSRSEDSANSFSVSTASSNKKRAYRTSRAHFYWVTREPLSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGAPTLAKELKTLSHEMSHRTGTRFHFHKEYF >ORUFI09G12390.3 pep chromosome:OR_W1943:9:13898130:13909014:1 gene:ORUFI09G12390 transcript:ORUFI09G12390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPSRGSNAARRSGHRRIADYLADDQTTNTDTSDNESYTTAYGDEFFAAAAAAAGSGGGGMLPAFLADQGDLVEVMLELDEESMVVRSVTPTSATLYGGGGGQIPQPLPPPPRTPEGGGGARSLSRCSSTSSRIRKKFAWLRSPSPSPSPRPPTPAELQREAAMAARERRRIQARLNRSSTGAKRALKGLRFISRTTGTVQAAELWRRVEDRFNALARDGLLSRDDFGECIGMVDSKEFAVGIFDALARRRRQNLERITREELYDFWLQISDQSFDARLQIFFDIYMNYSRPLSTASGAQWSQNLGGAAVAAGAAAATGGGAHAAVAARGGQQQQQQQEEGRRGGWGVRKAAARVRVAAEENWRRAWVVALWFAAMASLFVWKFVQYRRTPAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDNITFHKMIATAIVVGITLHAGNHLACDFPRLIASGPEEYRLVADAFGPEKPTYVGLLSGVEGITGVAMVVLMTVSFTLATHPFRKGEKGGSGGGAAATVLPTVARLPSPFNRLAGFNAFWYSHHLLGIVYALLIAHGYFLFLVRRWYLKTEDHLAFKRNGRFMTWMYISVPLMLYVGERMLRALRSNAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYLSVHIRTNGDWTQELKRIFVENYFSPHLNRRASFSELGATEPRSLPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLAEELMDLAMETSRSEDSANSFSVSTASSNKKRAYRTSRAHFYWVTREPLSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGAPTLAKELKTLSHEMSHRTGTRFHFHKEYF >ORUFI09G12400.1 pep chromosome:OR_W1943:9:13912886:13913581:-1 gene:ORUFI09G12400 transcript:ORUFI09G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLDMVPADAIALRLYSLPAAAAAVGSLWAWLVAALAAAVGLWRIRAAAGVRSALVDDDDYKQRKAKQPRGALRPAGVGEARPARAEAAESEATTPTSPSEPSTPSKVRFTAYYGGEGDGADEGVVDSVRRCVDNDGDGEGETPTAPVRRTASGRRRWSTTTTTTTAPFMATPWEEREMAVRRRGDLGWYRHLDMAALDGSVVRLWDGEVTAASPGRRGRRALSELHLSL >ORUFI09G12410.1 pep chromosome:OR_W1943:9:13917714:13918222:1 gene:ORUFI09G12410 transcript:ORUFI09G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGSSFRSPGRQLSRFLLGPSGLSLVKCPRCGNAVVECKSWRQGGRVFFKCEKNEQYVPDACTFFKWYDSYQRMVEGMELDFNEEVATPVAIAAAGEADRVDEGKMDKLTKWMQLLVLINIGQGILVLMGVFVLLMK >ORUFI09G12420.1 pep chromosome:OR_W1943:9:13921731:13922917:-1 gene:ORUFI09G12420 transcript:ORUFI09G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKPRSNLGFAGMPSRKRDSLKCQDEARRTRHFAIFLLLLIFEMIFPSMRVDMTKLPLRSGRKGIEIQRLEEEPERSLDVVVGDAGAVDADAGVETIFYVASDGVVETAPPQGLAVDAPPAVDWDSLEVLAQNEEEGRLEIVDDDKKSNEKVGLYKVFRSTYIYIH >ORUFI09G12430.1 pep chromosome:OR_W1943:9:13935573:13941309:1 gene:ORUFI09G12430 transcript:ORUFI09G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGRSWSGAAAVGGGVEGEAAIAAVVAPAPGDVPASGASVDIALPLPEMTPRIIGICKELVRGWSSLDSSRFSIETVSGGITNMLLKVSAEDGKGNKSSVTVRLYGPNTDLVIDRKRELQAIPHLSAAGFGAQLLGTFENGMVQSFIYARTLTPSDMKEPRIAAEIAKEIRRFHQVDIPGSKEPQLWDDIFKFMKKASILEFEDKEKQKRYETISFRKIQDEVKELKLYFIDFEYGSYSYRGYDIANHFNEYAGYDCDYSLYPDKNSQYHFFRNYLQPDRPSEVQLQDLDALYVETNTYRLASHIYWALWALIQAKVSPIDFDYLGYFFLRYDEYKKQRESCLSLAESSLSALKNG >ORUFI09G12430.2 pep chromosome:OR_W1943:9:13936010:13941309:1 gene:ORUFI09G12430 transcript:ORUFI09G12430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFARLCRGICKELVRGWSSLDSSRFSIETVSGGITNMLLKVSAEDGKGNKSSVTVRLYGPNTDLVIDRKRELQAIPHLSAAGFGAQLLGTFENGMVQSFIYARTLTPSDMKEPRIAAEIAKEIRRFHQVDIPGSKEPQLWDDIFKFMKKASILEFEDKEKQKRYETISFRKIQDEVKELKLYFIDFEYGSYSYRGYDIANHFNEYAGYDCDYSLYPDKNSQYHFFRNYLQPDRPSEVQLQDLDALYVETNTYRLASHIYWALWALIQAKVSPIDFDYLGYFFLRYDEYKKQRESCLSLAESSLSALKNG >ORUFI09G12440.1 pep chromosome:OR_W1943:9:13940569:13941746:-1 gene:ORUFI09G12440 transcript:ORUFI09G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGHAALWVKLYELELQLRLMRAARGEEGAAAAADDDDEVGDVASRAGAEGACRGRQYDAYMRRRDARRHTTGGVAAAASERQTTRPRGRPAGRRKDERAAGGGGGGEEVAAGGVYSDHPEEGEFGRGAAQGEDGEHRRGRGEAGAPEAEQPRGGAVRLRRLRHAAAVPPARQRHGRRHDDDVDDAAAACAADAEGARRPTDQRRGHGQPEATSSSARPARAGGGASPPPLPVRVGASTPPPPHGGAGLPEVGGGAAIAAAATGEEAVGKPGDDDDAADAAGDPLLRRRRLAQGFRQGDQEAAQLREEEQQQERRRPAAFPGASGRRKARDGHGMARRRGGASGDSLAQLAPVLMAPWLRLAWRWFAMTQPAGIFG >ORUFI09G12450.1 pep chromosome:OR_W1943:9:13946662:13950499:-1 gene:ORUFI09G12450 transcript:ORUFI09G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQLSAGPRVSVSPAAALVAMPSVAAAASRGRRGYRGLVVRAATVVSPKYTSIKPLGDRVLVKIKTSDDKTVGGILLPTSVQSKPQGGQVVAVGEGRSMGSDSIEISVPVGAQVVYSKYAGTELEFDGSDHLILKEDDIIGILDTDDVKDLKPLNDRVLIKVAEAEEKTAGGLLLTQATKEKPSIGTVTAVGPGPLVEDGSRKPLSITPGNTVMYSKYAGSEFKGEDGEYIVLRVSDVMAVLS >ORUFI09G12460.1 pep chromosome:OR_W1943:9:13960402:13965787:-1 gene:ORUFI09G12460 transcript:ORUFI09G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANPKLAAKPSPSPPTAAAAASRPKATAKPPLGAGYRDNDDDDDDFQSPPRASSRAARALKPSGNGAASRRPSKRLKPSSSCCSGKENRPAAAGSGRAPAGRAASKGAGVGETLGVVSRVSSGIPGGDKARGGGICGLLRCGSDDFSSVSNGKKGLDRYWRRDGGLHSRPNPMDSTVSMPDATCDLENGGSQVAQMLSSNDRISVQLEGNAKVELGKSESDPKTMRKERNGSGACESDHPARLIEPRLLTLVTNCDFGGADSMDSKELGSAIHPSVSKDRNVENESGGASVCTFALHNRNCHSSCVESELEMLNAKYDLGPRDCKESQEGPGLCSLISEERTVAAEGDATFTFEERGNTSSGLEACKGSHCLDPVEPKLMDSCATHALEGDGCDDFEIGTQLNELINLCMEDYTEGPLSNKVACLEGNGMDCGSFNSSCEVQCPLCGSNISDLSEELRLVHTNSCLDGDKPAKEPNSDNQNEPCGESNVEKRRVMEWLRNLGLSKYEEIFIKEEVDWETLQWLTEEDLLGMGITSLGPRKKIAHALCELRKKNNDANDLAADMLNLENTKKAKIPMNGNKLITEYFRCPSSDQRQKKACKVNTPSNLNSQKNSNAKATGGRRTVKGKVKDTPIWCCIPGTPFRVDAFRYLRGDCCHWFLTHFHVDHYQGLTKSFCHGKIYCSSVTANLVHYKIGIPWDRLHVLPLNEKITIAGVNLTCFDANHCPGAVIILFEPSNGKAVLHTGDFRFSSEMANNRVLQSSPIHTLILDTTYCNPRYDFPTQEIVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLYMEVARLLQKKIYVGAAKLQILKHLGLPQEIMHWFTANEAESHIHVVPMWTLASFKRMKYLSTQYADRFDLIVAFCPTGWSFGKGKKRTPGRKWQQGAIIRYEVPYSEHSSFTELREFVRFISPEHIIPSVNNDGPDSANAMLAQLLND >ORUFI09G12470.1 pep chromosome:OR_W1943:9:13967904:13973765:1 gene:ORUFI09G12470 transcript:ORUFI09G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRAATGLPFSPRPACCHPPSSPGSGRGFVFPPRFAPGVFLFFPLDSAGGGGVARRRAYPRIEATARHGARKENPKVRNRRLQKKFNGTATKPRLSVFCSNRQLYAMLVDDHNKKILFYGSTLQKAICGDPPCGAVEAAGRIGEELIRACKELDITEISSYDRNGFARGEKMMAFEVPDLVELECIDWARKEINIKYEIRDNRKGYKAGALKKGMEHIYTQQCDFVAIFDADFQPESDFLLKIIPFLVHNPKIGLVQTRWEFGYRRCHSRIQEDVTGLSFQSRAGIRLIYAFILWLQRLRVNSQVPSKPTDIGSIDGLVGVSVWKKLHLLYSFFFVRRVVAPILTFLFYRVVIPLSVMVPEISIPVWGMILFENVMAMHRMRAALTGLLETMNVNQWVVTEKVGDHVKDKLEVPLLEPLKPTDCVERIYIPELVVAFYLLVCASHDLVLGAKHYYLYIYPGIFTIWSF >ORUFI09G12470.2 pep chromosome:OR_W1943:9:13967904:13973765:1 gene:ORUFI09G12470 transcript:ORUFI09G12470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRAATGLPFSPRPACCHPPSSPGSGRGFVFPPRFAPGVFLFFPLDSAGGGGVARRRAYPRIEATARHGARKENPKVRNRRLQKKFNGTATKPRLSVFCSNRQLYAMLVDDHNKKILFYGSTLQKAICGDPPCGAVEAAGRIGEELIRACKELDITEISSYDRNGFARGEKMMAFEVPDLVELECIDWARKEINIKYEIRDNRKGYKAGALKKGMEHIYTQQCDFVAIFDADFQPESDFLLKIIPFLVHNPKIGLVQTRWEFGYRRCHSRIQEDVTGLSFQSRAGIRLIYAFILWLQRLRVNSQVPSKPTDIGSIDGLVGVSVWKKLHLLYSFFFVRRVVAPILTFLFYRVVIPLSVMVPEISIPVWGMILFENVMAMHRMRAALTGLLETMNVNQWVVTEKVGDHVKDKLEVPLLEPLKPTDCVERIYIPELVVAFYLLVCASHDLVLGAKHYYLYIYPGIFTIWSF >ORUFI09G12480.1 pep chromosome:OR_W1943:9:13981528:13982808:-1 gene:ORUFI09G12480 transcript:ORUFI09G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRATATATAAGKDRSSFAVTCSLLSQFLKEKKGGGGGLQGLGLGLRPAPAAPPAAGAGGAFRPPPTTMNLLSGLDAPAVEVEPNTAETAADELPLIKAPADQQSDESASEAAGEKAQQLTIFYGGKVVVFENFPSTKVKDLLQIVSSGDGVDKNTGTAATQSLPRPAHNSLPDLPIARRNSLHRFLEKRKGRMNANAPYQANCTAAPSKQANGDKSWLGFGQEMTIKQEI >ORUFI09G12490.1 pep chromosome:OR_W1943:9:13990202:13994737:1 gene:ORUFI09G12490 transcript:ORUFI09G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGVAVASSPRAAASSPRAAASSASVASSPRAGGVGGRHHHRRWGGAAAISPSYRAVLLALWLVGFALVFLWQNTSVGRARLYTRPPLLPKRAPSAQGMGQWVAAPPVYDLREFGGVGDGRTLNTEAFVAAVASIAERGGGRLVVPAGRWLTAPFNLTSRMTLFLAAGAEILGVQDERYWPLMSPLPSYGYGREHRGPRYGSLIHGQDLKDVTITGQNGTINGQGQSWWSKFRKKVLNHTRGPLVQLMRSSNITISNITLRDSPFWTLHIYDCKDVTISDTTILAPIVGAPNTDGIDPDSCENVVIKNCYISVGDDGIAIKSGWDQYGIAYGRPSTNIIIHNVTIRSMVSAGVSIGSEMSGGVSNVLVENVHIWDSRRGVRIKTAPGRGAYVSNITYRNITLEHIRVGIVIKTDYNEHPDEGFDPKAVPIIENISYSSIHGHGVRVPVRIQGSAEIPVKNVTFHDMSVGLVDRKNHVFQCSFVQGQVIGYVFPVPCKNLDLYNERRELVKQSALQNISDIDYSF >ORUFI09G12500.1 pep chromosome:OR_W1943:9:13995348:13999713:1 gene:ORUFI09G12500 transcript:ORUFI09G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSGSFAACSIQPRVRAALRAPTLPSQNAAVARMAGHRAGATKGGVSAVCEPLGPDRPLWFPGSSPPPWLDGSLPGDFGFDPLGLGSDPELLRWFAQAELMHSRWAMLAVAGILVPEVLEKWGFMEDYSWIDAGARDYFADPWTLFVSQMALMGWAEGRRWADYLNPGCVAVEPRLPNRRNPVPDVGYPGGLWFDWGNWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFWFQAVYTGEGPIDNLLHHLADPGHCNVFSAGQFVLRGEEASGRGGPVAGDVAAATREKGRASAAGVPGGGEGVKGKHGGGRKGGGGAERRGGSRGGGCRGTEGGLDVTELEVNGRGEVEEASYGWFNVGTDGAGVRADWLATYRARAASVLVGSNSLYNRCLSFSRVVAATGSPVAGHRHPPHL >ORUFI09G12500.2 pep chromosome:OR_W1943:9:13995348:13999179:1 gene:ORUFI09G12500 transcript:ORUFI09G12500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSGSFAACSIQPRVRAALRAPTLPSQNAAVARMAGHRAGATKGGVSAVCEPLGPDRPLWFPGSSPPPWLDGSLPGDFGFDPLGLGSDPELLRWFAQAELMHSRWAMLAVAGILVPEVLEKWGFMEDYSWIDAGARDYFADPWTLFVSQMALMGWAEGRRWADYLNPGCVAVEPRLPNRRNPVPDVGYPGGLWFDWGNWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFWFQAVYTGEGPIDNLLHHLADPGHCNVFSAGRPTGGEEASGRGGPVAGDVAAATREKGRASAAGVPGGGEGVKGKHGGGRKGGGGAERRGGSRGGGCRGTEGGLDVTELEVNGRGEVEEASYGWFNVGTDGAGVRADWLATYRARAASVLVGSNSLYNRCLSFSRVVAATGSPVAGHRHPPHL >ORUFI09G12510.1 pep chromosome:OR_W1943:9:14002334:14004247:1 gene:ORUFI09G12510 transcript:ORUFI09G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTAAAAELEAAERVVMRWDSTASASYGGGGGDEQMLFDGGGDRVEAERFLRAVDDLRRLAPPSPATVGSPRRTSSASGGGGAASNAVQVAMARLEDEFRHVLSSRALDLEIEALADLTSLSMCSDRTNSADVAEEAAAADEDDSVSSSVGRRSSYRSLRSIREIDLLPADAISDLHAIASRMAVAGYGRECVQVYASVRKPAVDSALRRLGVEKLSIGDVQRLEWEVLEAKIRRWIRAARAAVRGVFASERRLCFLIFHDLPLSSSTITTATHDAPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDAIADLLPDVSDIFAASKAGESIYVQAAEIRSRLADAVRGILSEFENAVLRDPSKTPVPGGTIHPLTRYVMNYSSLISDYKTTLSELIVSRPLACSRIAPEGNENAPSFPDLDLADPDSQLPLAAHLIWIIVVLEHNLESKASLYKDAALSHLFVMNNVHYIAHKIKDSPELRGLIGDEYLKQLTGKFRLAATRYQRTAWLKILNCLRDEGLHVSGGFSSGVSKSALRERFKSFNAAFEEAHRVQSAWYVPDTQLREELRISIAEKLLPAYRSFLGRFRHHIENGRHPELYIKYSVEDLETSVTNFFEGCPPSLHNRRRSHG >ORUFI09G12520.1 pep chromosome:OR_W1943:9:14008684:14012367:-1 gene:ORUFI09G12520 transcript:ORUFI09G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGGGEASVHEYRGLGFLNKGNAFILHAGSEGLYAPSSPANATTAEDDEDAAAAAVADAFIRFDKITFRRPKDAAKETGSAMVQILVFEIEDREMIGGSAYGGQKAICCTSDLAKLGACTEGSVIYRPSQVNPGWPQLLFASFDGSDTIATLPSRIIPITKTGMYNMYFIHCDPSLAGLEIEGQTVWKNPTGYLPGRMAPLKNFFGIMSFAFVVLGIYWFYQYMKFWREVLPLQNCITLVITLGMLEMSLWYFEYAEFNETGVRPKGITFWAVTFGTVKRTVARVIILIVSMGYGVVRPSLGGLTSKVVMLGGTFFLATEILELVENLGAVNDLSGKARLFLVYPVAILDAAFVVWIFISLAKTLDKLQARRSMAKLDIYRKFTIALAVTVLVSIGWIGYEIYFKSTDVFNERWQYAWIIPAFWHVLSFSLLCVISYLWAPSQNSMRFTYDASENFDREDSLSLIRPGPIASKNGWSLSSSPDTKATKNVTVTSFDGDDEENKRE >ORUFI09G12530.1 pep chromosome:OR_W1943:9:14015450:14017963:-1 gene:ORUFI09G12530 transcript:ORUFI09G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGFSLQIFSWVANKISGKQEANRFPANSSAPYRANVSDCRKDEFSDWPQSLLAIGTFGNKQIEEVAQVENSSDNVQSVQDTVKFTEEEVDKIRKEFETLLAIKDQAEAQRSHDDDQVGLQKRADGEDNEKHIRQLINKRIIVSKSKNSLGKKGNTLKPRSVASLLKLFMCKGGFTSVVPEPRNTFPQSRMEKLLKAILQKKIHPQNSSTLVAKRHLDWKPDETEINECLEDALRDLDDDGAKWVKTDSECSKGPGKLGVYGVTT >ORUFI09G12540.1 pep chromosome:OR_W1943:9:14022430:14024369:1 gene:ORUFI09G12540 transcript:ORUFI09G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRETACPACARTVVNLRKSAVPACNVCLPCKPLANDYWKSLLAYTSFARRITSWPTDDITTPTSHILPVYSGDSPTPNSEIQTQRTIGNILGCKDQREGKDLDHDRSESAPASPSPRRLRKRRAHRRLPLPASSASPPPEQAPAKPRGRKDGGGGASSRPRCARRPGELSMAAVVIRAVSGQIWQGGGRRRGLTVVVAVLVAVVAATTLVADMAAKEMATTAAGRGACRDAGRARQGPRRHDLRHAALVDAPSMTLMTRAAHCTSRSAPPRPDLAGWRRWRMATVAASDGGASGGDGWQAITTEGADSASLLAAACGGGEGDRGIAGKSLTEPFGWLTAATPFGVVPLPGGVVLAYPSPFLTILRVKTLLRLPNERWRRSTSRPPWGHRFGETYSYKDVVDCLCICFESFQP >ORUFI09G12550.1 pep chromosome:OR_W1943:9:14027281:14028239:1 gene:ORUFI09G12550 transcript:ORUFI09G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCLNTGCGGPAARLFPKAAAGWVVSEFGRHGSKRKEAPSPGKDQSAGGGDDEDDGKGGGGRGGGRMLAQPIRAARTPPARYEAQFPYAARYTPNQYGAVTFLPERVGDCAVQMNRPIHLVRCRLNKGEVADYCVYVLPFAPSLVRGRWVPLVGEMARTGPLCLLLENPRPRLPLAGAPPTIRRRRLEFRRCFNCYRPPDHRRCLTWYQVCEAEFPKKECEVNQFAEESSDWEEIIASIAVQFWFVCWSVAFSVSDSVNCQDHMPQMSGAVDNLQNRSPLFRKA >ORUFI09G12560.1 pep chromosome:OR_W1943:9:14033384:14038600:1 gene:ORUFI09G12560 transcript:ORUFI09G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGVEVVVSRGCSRLVLPGMQPSSASAASSSSFSRGGHGGGGDRRPLPDGPFAGLVICVTGLSKGRHMLFLSAQHSFAGRKFEHAVKHGAKNGLFVVTLGWLVDCVRRSMRLDESLYSIKNIGENGMPLGEFNRLVGAPVSGNSCLPPMMFQEKTFSDTTEKHRLQTSRKEHDHDEFLFTNDSIYIDPGISGEMRKKVSDAATREGAKLLDHWFIGCHATYVVCEDASVKRYVGHSDNIVTPLWILKTAKEKGLQRLVHLSSDLARQVATILENAQTFQENRKIGDVPSVNSNSSGVPSTQGEIDEIHQERQKFVEVAKKNVRDRRARRMQFSWSDDAFEQQSTTFFDANGDGKDDQSSDSFTRPLRESEKSEVIFKNHFLTVLFPIDRFGELGPSSRTFFSNGGFTRIQVLDHIYNFYQENMSSDEINVALQTDSRHADRLRSLYASTESAERGFVTFKRIDFLGSRRSFEGLKRLSRENNSNVYELVIRA >ORUFI09G12570.1 pep chromosome:OR_W1943:9:14037899:14045791:-1 gene:ORUFI09G12570 transcript:ORUFI09G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFARKEHQFLAELGLAPRNPGSFACGAWGGSGPVVIAEVVEASAREYEEGMRACYDAAKTWMAIPAPKRGEIVRQIGDALRAKLHHLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPNHMMMEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKIVASVLERNNLPGSIFTAFCGGADIGQAISLDTRIPLVSFTGSTKVGLMVQQQVNARFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYRTFLDQLVEVYKQVRIGDPLENVRSTMEASCL >ORUFI09G12580.1 pep chromosome:OR_W1943:9:14050892:14051215:1 gene:ORUFI09G12580 transcript:ORUFI09G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRAARRADVDAFLASLGIDPGELAGLELHATIDVMRERVEFLHSLKRVEFLHSLGLSARRDDGGDIYPRRPHAAPAPASAPLGLVGSSGVVLHCRCPPHEGLGG >ORUFI09G12590.1 pep chromosome:OR_W1943:9:14054286:14054735:1 gene:ORUFI09G12590 transcript:ORUFI09G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGKDVTILFDGWRTATWTGYLLSLVALLLASAFYQYLEAFRIRVKLLAGAKPASIPPPASSDAARAPLLLPSSAAGRWPARLATAGLFGVNSGLGYLLMLAVMSFNGGVFVAVVVGLAAGYLAFRSSDGEDLVVVDNPCACA >ORUFI09G12600.1 pep chromosome:OR_W1943:9:14063787:14066559:1 gene:ORUFI09G12600 transcript:ORUFI09G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPFLLALAVLVVVSSCVRLVSGAGDGAATYIVYLNPALKPAPYATHLHWHHAHLASLSVDPSRHLLYSYTSAAPSAFAARLLPSHVAALRGHPAVASVHEDVILPLHTTRSPLFLHLPPYDAPDADGASTDVIIGVLDTGVWPESPSFGDVGMGPVPSRWRGSCETNATDFPSSMCNRKLIGARAFFRGYGAGGGGNGSHVILEFSSPRDHDGHGTHTASTAAGAVVADAGLLGYAEGTARGMAPGARVAAYKVCWRQGCFSSDILAGMEKAIDDGVDVLSLSLGGGAFPLSRDPIAVGALAATRRGIVVACSAGNSGPSPSSLVNTAPWVITVGAGTLDRNFPAYAELGNGETHAGMSLYSGDGLGDEKLPVVYNKGIRAGSNASKLCMEGTLDAAAVKGKVVLCDRGGNSRVEKGLVVKQAGGVGMVLANTAQSGEEVVADSHLLPAVAVGAKSGDAIRRYVESDADAEVGLTFAGTALDVRPAPVVAAFSSRGPNRQVAQLLKPDVIGPGVNILAGWTGSVGPTGLTVDERRSPFNILSGTSMSCPHISGLAAFVKAAHPDWSPSAIKSALMTTAYTVDNTGSPIVDAASNTTATPWSIGAGHVDPVKALSPGLVYDTSVDDYVAFLCSVGTSPPQVQAITAAPNVTCQRKLSSPGDLNYPSFSVVFGRRSSSSRSTTVKYRRELTNVGDGRSVYTARVTGPSDIAVAVKPARLAFKKAGDKLRYTVTFKSTTPGGPTDAAFGWLTWSNGEHDVRSPISYTWGM >ORUFI09G12610.1 pep chromosome:OR_W1943:9:14067271:14067609:1 gene:ORUFI09G12610 transcript:ORUFI09G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGGDRTLPLLLAATGPFPLLGGEVERVGGDEGAVEEDVVSEEVEEGQVTVASVEDMTEGVSLEEEHYGAGEVAGFKGGDSEVAVKVSNDQECMLLYLHEGVHMIKLELGW >ORUFI09G12620.1 pep chromosome:OR_W1943:9:14068969:14070647:-1 gene:ORUFI09G12620 transcript:ORUFI09G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELPSWASVLVIVLAAVVFLLATVLRHGRRAYRLPPGPNPWPIIGNLNLIGALPHRSIHELSKRYGPLMQLRFGSFPVVVGSSAEMARFFLKSHDIVFTDRPRTAAGKHTTYNYTDILWSPYGAYWRQARKMCVTELFSARRLESFEHIRGEEVRALLRDLHGAAAPVLLRDYLSTATLGVISRMVLGKKYVGVEGASAGNGGGGEGTSPAATPEEFKMMMDELFLLSGVLNIGDFIPWLDWLDLQGYIRRMKRVGKKLDRFMVHVLDEHDKVRRQQGDRFAARDLVDVLLQLADDPNLEVQLRRDNVKALTQVIDPCSSSYCSFFTQVIVLSMAHGDLIAGGTDTSAITVEWAISELLRKPEILAKATEELDRVVGRGRLVTETDMPSLPYVEAIVKETMRVHPVAPLLAPHVAREDASVGGYDIPAGTRVLVNVWTIARDPALWDSPEEFMPERFIGSKIDVKGQDFQLLPFGSGRRMCPGHSLGLKVIQLSLASLLHGFEWRLPDGVSAGELSMEEVFGLSTPRKVPLEVVVKPKLPAHLYTGP >ORUFI09G12630.1 pep chromosome:OR_W1943:9:14077833:14082213:-1 gene:ORUFI09G12630 transcript:ORUFI09G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPWPSLLVIVLTAVVFFLATILCHGRRVYRLPLGPKPWPIIGNLNLIGALPHRSIHELSKRYGPLIQLRLV >ORUFI09G12640.1 pep chromosome:OR_W1943:9:14094795:14100673:1 gene:ORUFI09G12640 transcript:ORUFI09G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLHQPRYIFQMEFLLWVSYLTITLATILLFLRTLILRHNRRVYNLPPGPKPWPIIGNLNLMGSLPHRSIHSLSKKYGPLMHLRFGSFPVVVGSSVEMAKFFLKTHDVVFADRPKTAAGKHTTYNYSDMTWSPYGAYWRQARKVCLAELFSAKRIESYEHIRREEVRALLRDLHAASGRVVALKDYLSAASLNVISRMVLGKKYLEREVVHEGEVVTTPERFRWMIDELFLLNGVLDIGDSIPWLGWLDLQGYIRRMKKLSKMFDQFLEYVLDEHENRMCREGESFVAKDMVDVLLNVASDPSLEVKFSRDSVKAFTQDLIAGGTESSSATVDWAIAELLRKPEVFAKVTEELDRVVGRGRWVTEKDIPSLPYIDAIMKETMRMHPVAPMLAPRLSREDTSVDGYDIPAGTRVLVGVWSIGRDPKLWDAPEEFMPERFIGSKIDVKGQDFELLPFGSGRRMCPGYSLGLRVIQVSLANLLHGFAWRLPDGMTKEQLSMEEIFGLSTPRKFPLEVVVEPKLPADLYVSAC >ORUFI09G12640.2 pep chromosome:OR_W1943:9:14092070:14095141:1 gene:ORUFI09G12640 transcript:ORUFI09G12640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELMLPPWASFVGVVLATVLFLKAVLGRSRRVYNLPPGPKPWPVIGNLNLVGTLPHRSIHNLSKKYGPLMYLRFGSFPVVVGSSVEMAKFFLKTHDVVFTDRPKTAAGKHTTYNYSDITWSPYGAYWRQARKMCLTELFSAKRLESYEYIRGEEVRALLRDLHGAAGGVVVLKDYLSTVSLNVITRMVLGKKYLDKDAGGSVTTPEEFKWMLDELFLLNGVLNIGDSIPWLDWLDLQGYIKRMKKLGKMFDRFLEHVVDEHNERRRRQGESFVAKDMVDVLLQFADNPNLEVKLKREGVKAFTQDLIAGGTESSAVTVEWALSELLKKPEVFAKATEELDRVVGRGRWVTEKDVPSLTYVDAIVKETMRLHPVAPMLVPRLSREDTSVDGYDIPAGTRVLVSVWTIGRDPKLWDAPEEFMPERFIGNKIDVKGQDFELLPFGSGRRMCPGYSLGLKVIQLSLANLLHGFAWRLPDGVTREQLSMEEIFGLSTPRKFPLEAVVEPKLPAHLYAAA >ORUFI09G12650.1 pep chromosome:OR_W1943:9:14102981:14107371:-1 gene:ORUFI09G12650 transcript:ORUFI09G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALCASFVAILLTTMLFLKAISTRCRRRKYNLPPGPKPWPIIGNLNLVGALPHRSIHELSRRYGPLVYLRFGSFPVVVGSSVEMARFFLKTRDAAFIDRPRTAAGKHTAYNYRDITWSPCDAYWRQARRVVLTELFSARRIESYEHIRREEVHALLRDLHYASSSGGRRAIVIKDYLSTASLNMITRMVMGKRYVQGEVVHEEPGSARTTLAQFKELLEELFFLNGVFNVGDQIPWLEWLDLQGYVKRMKKVSKALDQLLEHVVDEHSERRQREGNGFVAGDMVDVLLRLADDSSLEVKLSRDSIKAFTQWAISELLRKPEMFAKATEELDRIVGHRRWVNEKDILDLPYIEAIVKETMRLHPIGPLLAPRLSREDTSVGGYDIPTGTRVFVNVWAIARDPTLWDASEEFVPERFLGKKIDVKGQDFELLPFGSGRRMCPGYNLGLKVIQLSIANLLHGFTWRLPKDMVKEDLSMEEIFGLSMPRKFPLEVAVEPKLSSHLYKEYNDIYNSRKFLV >ORUFI09G12660.1 pep chromosome:OR_W1943:9:14110797:14115405:1 gene:ORUFI09G12660 transcript:ORUFI09G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVVMEAPRPKSPPRYPDLCGRRRMQLEVQILSREITFLKKRRAWAWTTDLSGLVRESHSSGRVYLRHCFVDTGRADGMAMRALHDGWCRVGVMGCHHGLRLLMLLDFVFNEGGKNVLFLTLCCAFKEQRFQKLFRYQRTSLMSFTSLKELSPFLVLDALKKREGGTDLAVFFGGSDQNCVSAFHVFATVASAHPSAKDQGASIVLAAHAATSHAVSQTAVRAALGHAVVQTAAHAVNLTAVAARPLLAANRTARAPVQAAAHAAIHRAANRAAPASTSFHASNPCTAASRSLHASSPSATALAPIAALAPFQAVAARAVPVQAVDATAVAVQAADATVVAVQAAVATAVAFQAAVATAAARALAPNANPIVARALPIAVAASQAATAAAASSAAAARTASPARALVAPAASTSSNAPALAAARACASAPARRSASAASRHAASGSLRAASASRLAARGSLPAARDTAAASRNRRALNVPVGVSGLARIVQRVVDAHGVVTHAVSVLLGL >ORUFI09G12660.2 pep chromosome:OR_W1943:9:14110797:14115405:1 gene:ORUFI09G12660 transcript:ORUFI09G12660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVVMEAPRPKSPPRYPDLCGRRRMQLEVQILSREITFLKDELHFLEGAQPVSRSGCIKEINEFVEREGGTDLAVFFGGSDQNCVSAFHVFATVASAHPSAKDQGASIVLAAHAATSHAVSQTAVRAALGHAVVQTAAHAVNLTAVAARPLLAANRTARAPVQAAAHAAIHRAANRAAPASTSFHASNPCTAASRSLHASSPSATALAPIAALAPFQAVAARAVPVQAVDATAVAVQAADATVVAVQAAVATAVAFQAAVATAAARALAPNANPIVARALPIAVAASQAATAAAASSAAAARTASPARALVAPAASTSSNAPALAAARACASAPARRSASAASRHAASGSLRAASASRLAARGSLPAARDTAAASRNRRALNVPVGVSGLARIVQRVVDAHGVVTHAVSVLLGL >ORUFI09G12660.3 pep chromosome:OR_W1943:9:14110797:14115405:1 gene:ORUFI09G12660 transcript:ORUFI09G12660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVVMEAPRPKSPPRYPDLCGRRRMQLEVQILSREITFLKVANVLLHYLINEFVEREGGTDLAVFFGGSDQNCVSAFHVFATVASAHPSAKDQGASIVLAAHAATSHAVSQTAVRAALGHAVVQTAAHAVNLTAVAARPLLAANRTARAPVQAAAHAAIHRAANRAAPASTSFHASNPCTAASRSLHASSPSATALAPIAALAPFQAVAARAVPVQAVDATAVAVQAADATVVAVQAAVATAVAFQAAVATAAARALAPNANPIVARALPIAVAASQAATAAAASSAAAARTASPARALVAPAASTSSNAPALAAARACASAPARRSASAASRHAASGSLRAASASRLAARGSLPAARDTAAASRNRRALNVPVGVSGLARIVQRVVDAHGVVTHAVSVLLGL >ORUFI09G12670.1 pep chromosome:OR_W1943:9:14117968:14118645:-1 gene:ORUFI09G12670 transcript:ORUFI09G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGDAGDRRWPESQGNEEKSQVPSSLDPNNTEDEHQEENNSEDEEEEQEEFLYEIDDDHYVPETYGFMGCKHSDGSIYRPDSHPFHRHYRLGDTRETRLWPKRLTSPTDRCRPCWNACEVHVGCRMMQIFSVKIAALSAAADDNSGAPVQIYGFMAARDLYEPLRNYVFNRSRDDPFVLPGHYSDPDSLIKMSGPKRGISLQNPALIEYDLKIKKGEEEKDDL >ORUFI09G12680.1 pep chromosome:OR_W1943:9:14122183:14124719:-1 gene:ORUFI09G12680 transcript:ORUFI09G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSWRSFMCCGGGAAVHDDDDSAPRRRRIARRGDSPRSSSRMSFTSLSSSGTLSPEDLSLTLSGSNLYAFTYAELRAATGSFSRANYLGCGGFGPVYKGAVDDGLRPGLAAQDVAVKYLDLDCGTQGHKEWLAEVFFLGQLRHKNLVKLIGYCYEAEHRMLVYEYMSGESLEKHLFKTVNGSLPWMTRMKIALGAAKGLAFLHDADPPVIYRDFKASNILLDLDYNTKLSDFGLAKDGPQGDATHVTTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLSGRKSVDRSRRPREQSLVDWARPYLKWADKLYKVMDPALECQYSCQGAEVAALVAYKCLSENPKSRPTMREVVKALEPVLGMDDFFPVGPFVFTVIVEDEKVVNMKVDMKVEVEEKKNTHQNHQDRHRQKYPDSAIHAGIVLHDRDGVIGGGYTGALRRHRRTASYNKERGA >ORUFI09G12690.1 pep chromosome:OR_W1943:9:14139207:14143909:1 gene:ORUFI09G12690 transcript:ORUFI09G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRIILLLAAAAVAATSAVAAASSGFDDSNPIRSVTDHAASALESTVIAALGRTRDALRFARFAVRHGKRYGDAAEVQRRFRIFSESLELVRSTNRRGLPYRLGINRRTSSRAPPNPPNPPLTHPRRGAFAGFADMSWEEFQASRLGAAQNCSATLAGNHRMRDAAALPETLLQKDWREDGIVSPVKDQGHCGSCWTFSTTGSLEAAYTQATGKPVSLSEQQLVDCATAYNNFGCSGGLPSQAFEYIKYNGGLDTEEAYPYTGVNGICHYKPENVGVKVLDSVNITLGAEDELKNAVGLVRPVSVAFQVINGFRMYKSGVYTSDHCGTSPMDVNHAVLAVGYGVENGVPYWLIKNSWGADWGDNGYFKMEMGKNMCGIATCASYPIVA >ORUFI09G12700.1 pep chromosome:OR_W1943:9:14144481:14148285:1 gene:ORUFI09G12700 transcript:ORUFI09G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHAGTIILLLILACLWLCPGRSSGFSWNIFSSSSSSSPPTAGESRAAPMLELDGAVADFLMDGADDPRAVKLLENARSKLAGPSNCWQEAYRRLFASCGDIMADKEMQSRLAWHLSSCFQEDSGRPPFPRCGEVSDMVHCRKRLGVSEDQVFLEFFLETNTLCHQLQAEAFKHNTERLVNDLTRTSKSAEEKLEVIEERSDQIIQESRKVQETISSIEMQADHLAEASKNVGDQIDDVLAHSKAIFEQSKEIADSQAKLREGQTEMRETIDAGMTHIQESYESLGNGMDKLKEEAVDIQREIKTVGDSMSTKMQDLQSTANDIGSVAGKSLENQMQLLDGQSKAMDGLNNLYSFQAQALEESRETVQKLAQFGQRQQEELLSRQEEIRQAHEHLIHNSHSILEAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCCIVFLIYMLTSAKQTFSIRGHLYFGLCITLLLEIGLIKLGADDIDKQFWVISKVFLVRSVFLALATVQMLHAIFTFRDYELLNHHLLQTLVEKVRALEETAAAGEKMLPYGGGGAESERSLMDYSWVFDELADEVDSNADPSYALPGDEQRQVAVVAPRRRHCASPEEVVGENSITTSAGRRYNLRPRSSYRQT >ORUFI09G12710.1 pep chromosome:OR_W1943:9:14155691:14159417:1 gene:ORUFI09G12710 transcript:ORUFI09G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAISLYTSPPPGAFYSSEFDPSSRGSSPPCSTAPPSTSHRPPAAAGGLSCLFSSPAAAASPPRAPPHDELGALWQDRSDEPAFAGGGGGYSSSPLKWRDLHHHHHHSPVSVFQGPSSSPAASRSPPASWLAGRDRDRERLFAGFVRNALGSCVDYAPALSPRSEVGGGELAFELDENLAEASPACEPCARELLAGAQARHRIFHEELVVKAFFEAEKAHRGQTRASGDPYLQHCVETAVLLANIGANSTVVSAGLLHDTIDDSFIDYDHIFHMFGAGVADLVEGVSKLSHLSKLARDNNTASRIVEADRLHTMLLAMADARAVLIKLADRVHNMKTLEALPLGKQQRFAKETMEIFVPLANRLGIASWKDQLENLCFKHLNPEEHKDLSSKLTKSFDEVLITSAVDKLDRGLRDAGLSYHNLSGRHKSLYSIHNKMLKKNLTMDEIHDIHGLRLVFEKEEDCYRALDVVHELWPQVPGRFKDYISRPKLNGYRSLHTVVMSENVHPFEVQIRTKEMHLQAEYGFAAHWRYKEGTCRHSFVLQMVEWARWVLTWQCEAMNKERPASLGDSDAIRPPCPFPMHSEDCPYSYTRQCDHDGPIFVILLEHDKMSVQEFQANSTVMNLMDRVGTNTPRWSPYRIPMKEDLRPKVNHEPISDLNRKLSMGDVVELTPALPHESLPNYREEIQRMYDRGGFALATRGGSSRR >ORUFI09G12720.1 pep chromosome:OR_W1943:9:14162840:14168162:-1 gene:ORUFI09G12720 transcript:ORUFI09G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVRSVPGMKVEASPAAAAAATVDSPTSVLEDDEISECKNGDVLDTTEAIKQEEDHLDVLIEEKVDGFVDASSSLNVEPAANNSDLSPLTVPVKEEGQLLEPVKEEKADDFVDAVPSLPIDLEAKNGDASLITDAMKEEEEKLHDARVKAEEEEVARKREEAARLAFDPNARFNKLDELLSQTQLYSEFLLEKMETIADVEGVQTHAEEEPVEEKKNGRGRKRKATSAPKYNDKKAKKAVAVMLTRSHEDCSPEDCTLTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGLIYHGDKAARAEIRRKFMPKTTGPDFPLIVTSYEMAMSDAKHLAHYKWKYVIVDEGHRLKNSKCLLLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSAKGGEEEQEDSEEKRKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTDHQKQIQNHLVEQTFDQYLHEKSEIVLRKPGIKAKLNNLLIQLRKNCNHPDLLESAYDSSGLYPPVEKLLEQCGKFQLLNRLLSLLLARKHKVLIFSQWTKVLDIIEYYLETKGLQIAEFNDLNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQGDEDRMIQTDISDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >ORUFI09G12720.2 pep chromosome:OR_W1943:9:14162840:14168162:-1 gene:ORUFI09G12720 transcript:ORUFI09G12720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVRSVPGMKVEASPAAAAAATVDSPTSISECKNGDVLDTTEAIKQEEDHLDVLIEEKVDGFVDASSSLNVEPAANNSDLSPLTVPVKEEGQLLEPVKEEKADDFVDAVPSLPIDLEAKNGDASLITDAMKEEEEKLHDARVKAEEEEVARKREEAARLAFDPNARFNKLDELLSQTQLYSEFLLEKMETIADVEGVQTHAEEEPVEEKKNGRGRKRKATSAPKYNDKKAKKAVAVMLTRSHEDCSPEDCTLTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGLIYHGDKAARAEIRRKFMPKTTGPDFPLIVTSYEMAMSDAKHLAHYKWKYVIVDEGHRLKNSKCLLLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSAKGGEEEQEDSEEKRKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTDHQKQIQNHLVEQTFDQYLHEKSEIVLRKPGIKAKLNNLLIQLRKNCNHPDLLESAYDSSGLYPPVEKLLEQCGKFQLLNRLLSLLLARKHKVLIFSQWTKVLDIIEYYLETKGLQIAEFNDLNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQGDEDRMIQTDISDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >ORUFI09G12720.3 pep chromosome:OR_W1943:9:14164064:14168162:-1 gene:ORUFI09G12720 transcript:ORUFI09G12720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVRSVPGMKVEASPAAAAAATVDSPTSVLEDDEISECKNGDVLDTTEAIKQEEDHLDVLIEEKVDGFVDASSSLNVEPAANNSDLSPLTVPVKEEGQLLEPVKEEKADDFVDAVPSLPIDLEAKNGDASLITDAMKEEEEKLHDARVKAEEEEVARKREEAARLAFDPNARFNKLDELLSQTQLYSEFLLEKMETIADVEGVQTHAEEEPVEEKKNGRGRKRKATSAPKYNDKKAKKAVAVMLTRSHEDCSPEDCTLTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGLIYHGDKAARAEIRRKFMPKTTGPDFPLIVTSYEMAMSDAKHLAHYKWKYVIVDEGHRLKNSKCLLLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSAKGGEEEQEDSEEKRKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTDHQKQIQNHLVEQTFDQYLHEKSEIVLRKPGIKAKLNNLLIQLRKNCNHPDLLESAYDSSGLYPPVEKLLEQCGKFQLLNRLLSLLLARKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKPN >ORUFI09G12720.4 pep chromosome:OR_W1943:9:14162840:14164064:-1 gene:ORUFI09G12720 transcript:ORUFI09G12720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKLEERRRQIAEFNDLNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQGDEDRMIQTDISDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >ORUFI09G12730.1 pep chromosome:OR_W1943:9:14171759:14175442:1 gene:ORUFI09G12730 transcript:ORUFI09G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPPPTARLRPSQAASSASSSSSFPTSICGLGSLGDASRVSSVSFRRRPPASPLVRCSQDPGKIEVFNTEGTEQSQGGSTGSINHGKYSTRSFSSKDANITTYNHYLHCFCVSLLERLKRYGAAGVLSYGLLNTVYYVTTFLLVWFIFSPAPGKMGYAAAVERFLKLMAMVWAGSQVTKIFRAGGALALAPFVDRGLRWFTVRFNFKSEGKAFATIVGFCFALAALLFFGLTILWA >ORUFI09G12730.2 pep chromosome:OR_W1943:9:14171759:14175445:1 gene:ORUFI09G12730 transcript:ORUFI09G12730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPPPTARLRPSQAASSASSSSSFPTSICGLGSLGDASRVSSVSFRRRPPASPLVRCSQDPGKIEVFNTEGTEQSQGGSTGSINHGKYSTRSFSSKDANITTYNHYLHCFCVSLLERLKRYGAAGVLSYGLLNTVYYVTTFLLVWFIFSPAPGKMGYAAAVERFLKLMAMVWAGSQVTKIFRAGGALALAPFVDRGLRWFTVRFNFKSEGKAFATIVGFCFALAALLFFGLTILWA >ORUFI09G12740.1 pep chromosome:OR_W1943:9:14177780:14182647:1 gene:ORUFI09G12740 transcript:ORUFI09G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHQPVHGGAAAGAGGSGGGGGGDHLHQHHHPRLHSPRISGGGSMTRRANSSAPPRSPRGDGVGSPLAESSSASEASAGGGGAVHHHHHQSQQQQLRFRLFKRPGSGAGEVVLGLGIRERRRIGNLLFLAFCGVCLLLGVAKIWAGGWFALPGDDKDADLQDLSISFSSDGGYQFDSHFGHVGGKESDRMLMTVGSEDSVPEAKEDSHTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSFWADDSEFKDLFNWRHFIESLKEDIDIVEMLPPAYKHIEPVAKAPISWSKVNYYRDEILPLLKKHKVIYFTHTDSRLANNGLPSYIQKLRCRVNYRSLKYSQTIEDLGATLVSRMHQDGSPYLALHLRQLSKGNPDFLNFEDACVDETITVPFEIRLTEAGVFEKDMLAFTGCSHSLTSEEEEELRKMRYEVSHWKEKEINGTERRSMGGCPLTPRETSFLLKGLGFTRSTRIYLVAGEAFGNGSMQALMDDFPNIYSHSTLATKEELEPFRNHQNMLAGLDYIVALQSDVFLYTYDGNMAKAVQGHRRFENFRKTINPDRMSFVNLIDEYDEGRMSWDDFSSEVKRIHRDGERIGAPYLREPGEFPKLEESFFANPLPGCICEKLNDE >ORUFI09G12740.2 pep chromosome:OR_W1943:9:14177780:14182647:1 gene:ORUFI09G12740 transcript:ORUFI09G12740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHQPVHGGAAAGAGGSGGGGGGDHLHQHHHPRLHSPRISGGGSMTRRANSSAPPRSPRGDGVGSPLAESSSASEASAGGGGAVHHHHHQSQQQQLRFRLFKRPGSGAGEVVLGLGIRERRRIGNLLFLAFCGVCLLLGVAKIWAGGWFALPGDDKDADLQDLSISFSSDGGYQFDSHFGHVGGKESDRMLMTVGSEDSAPDVWSQPSSGKFRQCIISNSHKKEDSHTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSFWADDSEFKDLFNWRHFIESLKEDIDIVEMLPPAYKHIEPVAKAPISWSKVNYYRDEILPLLKKHKVIYFTHTDSRLANNGLPSYIQKLRCRVNYRFEKDMLAFTGCSHSLTSEEEEELRKMRYEVSHWKEKEINGTERRSMGGCPLTPRETSFLLKGLGFTRSTRIYLVAGEAFGNGSMQALMDDFPNIYSHSTLATKEELEPFRNHQNMLAGLDYIVALQSDVFLYTYDGNMAKAVQGHRRFENFRKTINPDRMSFVNLIDEYDEGRMSWDDFSSEVKRIHRDGERIGAPYLREPGEFPKLEESFFANPLPGCICEKLNDE >ORUFI09G12740.3 pep chromosome:OR_W1943:9:14177780:14182647:1 gene:ORUFI09G12740 transcript:ORUFI09G12740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHQPVHGGAAAGAGGSGGGGGGDHLHQHHHPRLHSPRISGGGSMTRRANSSAPPRSPRGDGVGSPLAESSSASEASAGGGGAVHHHHHQSQQQQLRFRLFKRPGSGAGEVVLGLGIRERRRIGNLLFLAFCGVCLLLGVAKIWAGGWFALPGDDKDADLQDLSISFSSDGGYQFDSHFGHVGGKESDRMLMTVGSEDSVPEAKEDSHTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSFWADDSEFKDLFNWRHFIESLKEDIDIVEMLPPAYKHIEPVAKAPISWSKVETRFEKDMLAFTGCSHSLTSEEEEELRKMRYEVSHWKEKEINGTERRSMGGCPLTPRETSFLLKGLGFTRSTRIYLVAGEAFGNGSMQALMDDFPNIYSHSTLATKEELEPFRNHQNMLAGLDYIVALQSDVFLYTYDGNMAKAVQGHRRFENFRKTINPDRMSFVNLIDEYDEGRMSWDDFSSEVKRIHRDGERIGAPYLREPGEFPKLEESFFANPLPGCICEKLNDE >ORUFI09G12750.1 pep chromosome:OR_W1943:9:14183233:14185577:1 gene:ORUFI09G12750 transcript:ORUFI09G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFHKEMRRLADELLELFLRLFRRGLDRWVAVPAIVPGNFVLNVGDLSSTCILTNGRFHSVYHRAVVNRDRYRSDTSSARPPDVKVAPLTDAVSPGRSAAYRAVTWPGYKAVRKKAFTTGGSALKMISTAAATDDRTQRRSELGVKLFCILVTH >ORUFI09G12760.1 pep chromosome:OR_W1943:9:14184196:14187653:-1 gene:ORUFI09G12760 transcript:ORUFI09G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPPHRHKKCRTAEAAVPGGEEEEEAKDALISLPPDVLDGVLTRLGLRDAVRTSALSRAWRRRRARRWPPSTASSSAACPGRVRSFSAYVDKLTTRRAHDWILVLARRGVESLDLASPIHNHLAVHSSVFSCDRLAYLNLFACDIPPLPPGFAGFPNLRSLTLDHVWLRAGGEYQLEEIIENSPLLEMLVLSGIFIDGDDIINWVIRAPNLQHLTICSPNDYGWNLLDLPRLRSAVIDLWDYLGGRDFAEFLGKLLHVRKLHLFVSYQPSNGAKILETLPCTFDSLKSLKSLKLYMDFCELPAILTIFCLLRNAPNLEKLKIMITDNEQKVEANGVFQNAEWTGGMCANLQIVQITRISWLPNEMSFIELILSKASLLRTISVTHGDKCLMSNEDALSELLKYKRASPQAQILFKGKADGY >ORUFI09G12770.1 pep chromosome:OR_W1943:9:14188578:14191043:1 gene:ORUFI09G12770 transcript:ORUFI09G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRGIPELPWELQDAILARLPLRDAARSSVLSSSWGRSWRHLGELDFVSSPPPAAASLPVAAATAVACDKAAIDAILLHQHPGPVQRVRLRVTDELLPGVPAWMASLSEKGIQSLDLTVRAMYRPPPHPMHRSIFACRALRRLSLGRFALPAAPEHFAGFPALATLSLTGTAFRNARDLEALVAMSPRLEELRMCCIAVDVDCREHGGDGRRKVRMVSSSLRFLRIDGMGNVEFVGARLPRVSQADLAQASYPSAPNLLSAMVTSLETLDYYYYALPLSPTKLLKGLPSSYKNLKRLKVHLDFNHAPPILSTLNFLRTAPNLTQLVIQDFTDDSYAQSPYPLAAELYGNLCPSLLFLQMSYVTSQNNEMDFIRLILSKARMLQDPR >ORUFI09G12780.1 pep chromosome:OR_W1943:9:14192426:14194741:-1 gene:ORUFI09G12780 transcript:ORUFI09G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFVALGNVTQVAKQFAASSVGQLARIEGLVTLSCLLVVILVFSNSRRRHQSNGFLGFLVWAAFMFNYPVISYTIGLMQSSSVRNELFVVWACFLLLLLGSADAMTAFSFNDTQQHARSMMNQALHIIYLLFLILYYKAQLRMNLKVPLFILWSLSVARLVLRINAYRTTSRDNGLIRENQIVFEYMKHKLLDGSIVGKYDPDPSSMKEYIYLVDGKEEESSSMAIHLRYDAPDTVSVDKVWECKGELLSCSSSAGSRGAARRRDLCLSFALFRLLRLRFGADHVGDLNFHSNNDLSRTLVVDRLLSDDRDLDRAFRVVEAELGFLFDFFYARYPSLKDNLVFDLILYLLTMVTSLFTLFSSVLLHYRPSTTAKVNIIIHSFNLDLFVTRLVVALYIFLESYQLLSLVLSDWHKVKLMCQYVLKVSWHRARVDTPLKVLCHFNVSRYWKNAINQYSLLDNAGYLYRVQLLLSTLTLQLLDPWIMASSIVLPPQVKQAVLCALKDALKPTNGKITDGRRWLHQNGILDRDLDYDLFSHKTYAPYILVWHIATSICCYGESKFDMAQADAELRYHYEVATVLSGYCSYLVAFAPDLIPDGTYTSQLLSCRVLKDAHAYLAECRTTSDKYGKLMKLGRDGWKEQEAGCPLLYEGAVLALNLVDRKKDAEERWKVLAHFWANLLLYIAPSDRASVHASKLATGGELLTIVWALLNHAGVVNKLQENNGCQPLDTLPRAPRTPIFHRQGTIVDSNEDDGDGDYPMYEIMQEDYSV >ORUFI09G12790.1 pep chromosome:OR_W1943:9:14196554:14197300:-1 gene:ORUFI09G12790 transcript:ORUFI09G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGARKNEPTARIRQRATENGNLAGAARARFFGARATSRIHELISSFTGARRGSKETHGGDLASAQRWRAAGKKRPPLHHDHNGTPSVTRDGPSEDEWQARLGWGGTKERRMGDGEALKGGLNRGLEEKEAVGVDAEVVRSHDRHPGFGYKRRGPWMTMAMSPKRRCKVWRRAEARRSPRQAE >ORUFI09G12800.1 pep chromosome:OR_W1943:9:14201349:14205730:-1 gene:ORUFI09G12800 transcript:ORUFI09G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVKWLMHWHPNQGATLNSQILAEACACAESLGGSKDGRWKTSIIFYRAMARDGASAAAAGGGGPPQQHPDVPRELLGVALHERPGLYFSILRAHRLVLQADSAFPQVMEKLQSYKARVTLNFEGFQYQLGDFCLRIGKCVPNNSETLRGIMMEVEYYPLSSIEKSRAVMEDFFDIWQETVAKKSLPGHFIHVESNFSEYGLSDHYSFQHTAVQYATCLQQLMAAATSLRRGGESGDERQAQRLHRREELGQLAQQVHE >ORUFI09G12810.1 pep chromosome:OR_W1943:9:14206496:14207966:-1 gene:ORUFI09G12810 transcript:ORUFI09G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVAKLKEEVEKQRDLKETYMARLESTQAYLRFCLEVAQVHDFLHLVSNSNGGDDEPHRDAGDQEPATAAAADDDDEDAAEAPPCDPYFAATRDLAVQHGWSVAPDEIELHEMIGRGSTADVYRATWRGLDVAVKWMRAEFFAAADQRSRGEAFFAQELDALSRQRHPHVLRLMAACLRPPASCFLVTELLTGATLAQWLHGGDGGGRSRERRRQPSSPPPPPPLVDRVSRALEIALAMRYLHEQTPAVVHRDLKPSNVLLDGDSRVRVADFGHARFLPDGTAALTGETGTYVYMAPEIIRCEPYTEKCDVYSFGIILNELVTGEHPYIDTGYGPSKIALEVADGKLRPKLAERDVNSSVLNDLICGTWDAEPSKRPSFATITSALRKIKQQLM >ORUFI09G12820.1 pep chromosome:OR_W1943:9:14213483:14214482:1 gene:ORUFI09G12820 transcript:ORUFI09G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQCHGKNAPPTLAPPRRARGGAGGSFSASLLDAIYRSLDEGGGGDGAGAVFWWAKSKQAAGAAGRSRRESVARPRHSGYASSTASSSDASSSSYSSFTCSSASTTDTESTTHRRRHSQPPPQQPEDVDAAAAAAAAAPPNSKPKKKKKKSRPCFPGARLRPRGTVPPPPPSSSGPSPATFACVVKALFSSSRLPRKPKAPTAVPLPPASPPVPQPPCMSAAATTTSNTKASERRSVRFCPGAETSVVRRRVEELVRSLADVEEDEDGSDASSDLFELESLRGADGDELPVYGTTSLATNRAIILRREQLASS >ORUFI09G12830.1 pep chromosome:OR_W1943:9:14231886:14238256:1 gene:ORUFI09G12830 transcript:ORUFI09G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGSSSSSNVPSGGAAGGGAGSGKLPMVPVGSIECLRKRCRQLRVLIHVNDHRKAVVVLHAGEDGKPDHVLVQNVSPEGEASVQSTYRIDVSGETPESQAEMLNDWYTSFRMDTTGVLYDSDQNVIYGVPRGHPGGDVPRSLAILPPAPKKNQHGKAPATESNSSLVEEPLLLVQTDQPAAIGKRKKFTFPDQRKRVKTMTKKDLESYFHITQKSAAHIGLSIGTTALKNLCRANDLPRWPYRQIASLDNKFNNNLKKQITGWNLGKAVQGVTKAFKLRKEKEEFYQKIMSSMPEQLQSIDEIVNSLPEADDDIDIEDDEDNDDVIEDNDDDNNHRKMVVVLHAGEDGKLDHILVQNNSPDAEASVQSTYRINVSGETPELQAEMLNDWYTSFRMDTTGVLYDSDQNGIN >ORUFI09G12840.1 pep chromosome:OR_W1943:9:14239207:14240215:1 gene:ORUFI09G12840 transcript:ORUFI09G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGSYMVCPKDILGEMCLDLWPFYHLLPRKINMAKLLQWKRKRVKTLTKKDLESYFHIKQKSAAHIGLSIARLENKFNNNLKKRITEWNLGKVQGVTKAFKLRKEKEQFYQKK >ORUFI09G12850.1 pep chromosome:OR_W1943:9:14244786:14251102:-1 gene:ORUFI09G12850 transcript:ORUFI09G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRRKQPQPPPEQPNEDSSNGSDLDEKGKKKPGSSSSSAAPPPEAAAAAAKEATKRTRARWSCVDSCCWLVGCVCSAWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLQKEGLRAKHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGTFGDVYKRPLCWVEHMSLDNETGLDKPGIRVRPVTGLVAADYFVPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELLVATNGGNRVVVIPHSMGVLYFLHFMKWVEAPPPMGGGGGPNWCAKHIKSVMNIGGPFLGVPKAVAGLFSSEAKDVAVARAIAPEVLDSDFLGLQTLRHLMRMTRTWDSTMSMIPKGGDTIWGDLDWSPEDGFECKAKNQKINDSEVSKDANGKNEVHPEPVKYGRIVSFGKDVAEAPSSEIEQIEFRDAVKGNNIAHSNTSCRDIWTEYHELGWGGIKAVADYKVYTAGSIIDLLRFVAPRMMQRGSVHFSYGIADNLDDPKYGHYKYWSNPLETKLPNAPEMEIFSMYGVGIPTERAYVYKLAPQAECYIPFQIDASAEGGDENSCLKGGVYLSNGDETVPVLSAGYMCAKGWRGKTRFNPSGSKTYVREYSHSPPSNLLEGRGTQSGAHVDIMGNFALIEDIIRIAAGATGEELGGDQVYSDIFKWSDKIKLKL >ORUFI09G12860.1 pep chromosome:OR_W1943:9:14254235:14259691:1 gene:ORUFI09G12860 transcript:ORUFI09G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQPGGGGGEPLHEPPEDEDAVDGGGGGGGATPSTRLAIKALTAQIKDIALKASGAYRHCKPCAGSSSAAGASRRHHPYHHRGGGGGFGDPDAASGSDRFHYAYRRATSSAASTPRFRGGGGGGALSSGDATPSMSARSDFPIGDEDEEEDDDDEMVSTGGGGGGKEEDAKEWVAQVEPGVLITFVSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNHQAVPLPATPKSEDESSKEDSPVTPPLGKERLPRSFHRPLSGGGAVGSSSSDSLEHHSNHYCNGGHHHHGHQCYDSVGLVSTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELSVSISNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSRERFSEMHARLWWEENRARIHEQYL >ORUFI09G12860.2 pep chromosome:OR_W1943:9:14254235:14259691:1 gene:ORUFI09G12860 transcript:ORUFI09G12860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQPGGGGGEPLHEPPEDEDAVDGGGGGGGATPSTRLAIKALTAQIKDIALKASGAYRHCKPCAGSSSAAGASRRHHPYHHRGGGGGFGDPDAASGSDRFHYAYRRATSSAASTPRFRGGGGGGALREMFNKWQAQRWWAENYDKVMELYNVQRFNHQAVPLPATPKSEDESSKEDSPVTPPLGKERLPRSFHRPLSGGGAVGSSSSDSLEHHSNHYCNGGHHHHGHQCYDSVGLVSTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELSVSISNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSRERFSEMHARLWWEENRARIHEQYL >ORUFI09G12870.1 pep chromosome:OR_W1943:9:14261844:14267385:-1 gene:ORUFI09G12870 transcript:ORUFI09G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGTPRSSIGHILPGAGFVAVGVWHLFNHVKLFSQRPDTYVAPAWFPVPGARYLELALIIAGSGVEFAMEMFVGHSTLLPFAADGSIPSDRLHNHEHAIICLSLAVYAAAALHLDRARAPARGTLGLLLVAAVFAQELLVFHFHSTDHAGVEGQFHWLLQVVVAACLATSLLGVGYRRSFAVGLARSACVAFHGLWLAVIGAMVWVPSLVPRGCELVREDGRDTVRCRSKESLHRAKALANLQFGWYLSFMTVFVVALYLYVSNRYPAAEEAAYAPLQKAGAGDGDDDGDDDAHKGEAIDRREAPPPPKEAAAEKVDGSPQPQPQAELRLRLPAEIDWDRLDKWRFFVLGAGLFSAVSTALYPAVVLKTRLQVAPPPAHAAASSLPPSAAAAATAILRSEGPLAFYRGFATSLAGTVPARALYMGALEATRSAVGPTALALGAPEPVASAAAGAAAGLAAAVAAQVVWTPVDVISQRLMVQGNPCPASRYRGGLDAFRKIVAADGLRGLYRGFGMSILTYAPSNAVWWATYSLSQKTIWSGIGCYLCEYGVGVQEIDAGEGDSSLQPGYKTVMVVQGVSAAMAGGASALVTMPLDTIKTRMQVMDGEGGEPITVGRTVRRLIKEGGWGACYRGLGPRWASMSLSATTMITTYEFLKRLSAKGHESSLP >ORUFI09G12880.1 pep chromosome:OR_W1943:9:14275247:14276415:1 gene:ORUFI09G12880 transcript:ORUFI09G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLPPSLSPSPPPPPPLTSGKYLGSSGYSFVRLRKQPLGMSAGVQSMTPMTTNQTPWNTRLADRTRLTAKLLGQGIPSTVVASVTAITVCSSQWNCPSTPMWSAEWRWKMSSCCANAAAATSCDTASRMGALALSMWMVTAA >ORUFI09G12890.1 pep chromosome:OR_W1943:9:14275368:14276324:-1 gene:ORUFI09G12890 transcript:ORUFI09G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMVGHVAPGAGFILIGMWQLFNHIRLFALRPSSYAAPVWFPVRGVRHLELILVIVGAAISILMELVIGPARHQPFDDDGTIPSNHLHNFEHASISLALLVYAAVTIHMDRARAPMRDAVSQLVAAAAFAQQLLIFHLHSADHMGVEGQFHWLLQTVIAVTLATTVLGIPCPRSFAVSLVRSASLVFQGVWFVVMGVMLWTPALIPKGCFLNLEEGHEVVRCRTDEALHRAKSLVNLQFSWYLTATVVFVVVFYLHLTKLYPEEPRYLPLVKGGGGGGDGDSDGGRFSIGDDEDDLEAAKGGFGHVAGGGNAVEIER >ORUFI09G12900.1 pep chromosome:OR_W1943:9:14281978:14282454:-1 gene:ORUFI09G12900 transcript:ORUFI09G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTKGKQRQDKFYHLAKKQGYQSRAAFKLLQLDAWFRFLPTARTVLDLCAAPGGWVQVAVNHVPVGAFVVGVDLVPIRGAHSLTEDITTTKCRAAVRRLMDSNGVAVFDVVLHDGSPNVGGAWVQEATVQSSLIHNSLPPCRLVLPPPHRCSPPPQR >ORUFI09G12910.1 pep chromosome:OR_W1943:9:14311854:14312816:1 gene:ORUFI09G12910 transcript:ORUFI09G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHDAKHVGDVPAAAGIESFSQLPFVRPRPAAMAGSSPASSIRLFGFELPPDGVVSAASSDVVTAASTTAAAAALGQVTASGLGGGGGGGGRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAQFQSAMAMHAHYPAYPAYASYYGSHRFGPSPPHMAPPPPPPPYPSWSNHHHLPPGGPAPMVAARYYGPAPPGSVSHPINGSPVVPAAAALWRVPAAAIAVAAAAAPLARQERQPPLSLAGGREEEDAMVEVRRGNGVGGAAAAVVQLQPGSRLSRSSSSSSSASSSSQHHHERRRLGDLAEINRENVSLDLTL >ORUFI09G12920.1 pep chromosome:OR_W1943:9:14319630:14320049:1 gene:ORUFI09G12920 transcript:ORUFI09G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSYSNGGSPAAAAGYVQAPELPLHLCFFLVVLLVFLGFSWYTSYGSAAERFADQARLLLMASPLALLLAVRLLSGGGDGERRGVDQLRQLSLPMPERDSIHRAGGSPWGVGVLLALLVVMVSYQSNFRDRWFPLVSR >ORUFI09G12930.1 pep chromosome:OR_W1943:9:14323530:14325086:1 gene:ORUFI09G12930 transcript:ORUFI09G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSQMWSLLGLLTILQNVLPTQLLSLLHSLWQSLQDSLTPYSYFDVPEFLGSAAVEPNALYRHVQLYLHRSLLLSSPPPPRLTLSLPRSVAVSGGGGGHDAGAAAAAATPSVSLSPNHSVADSFDGHRAVWTHHADTLQDSLEERRSFSLRLPKRHAAAVLPAYLAHLAAAADHLERSSRARRLHTNAASPRGAAAWSSVPFCHPSTFDTLALDPELKARLLADLTAFADGSEFYRRTGRPWKRGYLLHGPPGSGKSSLIAAMANHLRYDVFDLELTRVATNADLRALLIQTTNRSLIVIEDIDCSLHLTGDRKSRRNKRRRLLHATAASDDDSSDSDSDGGDNHRSKVTLSGLLNFTDGLWSCCGEERIIVFTTNHVDGIDPALLRPGRMDVHVRLGACGAHAMRELVGRYVGVEDHEMLDAAECCVRGGAEMTPAEVGEVLLRSRDDPDAAVTELAVELKARQSAAADELQWEDSAAELSDESPRKKGLGWEGKVRILGRLRSLTKSESGRRGV >ORUFI09G12940.1 pep chromosome:OR_W1943:9:14325392:14326754:1 gene:ORUFI09G12940 transcript:ORUFI09G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDVCTMADERDDGTGTAASMAATRLAELCAMIDDHAAAGTMSEKRVATICAMIEECNDDVEEASRRRSSRRRSGRWRRRVGGTSSTRCYRQIGRISSGGFGVVVKAEHRDTGQTVAMKTLFRRRRSADDDAADLLREASFMAACRGNPYLVGLHGVARNPRTKQYSLVMEYVGPSLSAALAEHVERHGGEGYAEATVRRIMRQLLTGAAAMHERRIIHRDIKARNILVGGDGDVVKICDFGLAMSTAEAAAPYRRVGTDGYMAPEVLLGMPDYDGRVDTWSLGCVMAKLLSGEAPFRGEGTSDQLYQIFDMLGVPGNKTREAFKSNLRGPAMASAAAAAAGAGGARLAARALPGLRKRVVWKKLLSRDGFDVLRGLLTFDPGERLTAAAALRHRWFAGADADESGVAALLRKTASIVAGAVISAGAFVGTWMIPWCDCRTAGTEA >ORUFI09G12950.1 pep chromosome:OR_W1943:9:14328058:14329783:-1 gene:ORUFI09G12950 transcript:ORUFI09G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSERAHAAFASPSPVSGAFFSASGSILSGSILSSSSAAVTTTTSAGRTPSSSSGAPTKKKKKPPFRPVADDTKPVLRDPISRSDPVETEQAMLLPGFKNQVST >ORUFI09G12960.1 pep chromosome:OR_W1943:9:14329401:14329913:1 gene:ORUFI09G12960 transcript:ORUFI09G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARPARSPPGPTEISAEITTPNRLMGRNQITPWVLRWGGERTGSRRTGLVSSATGRNGGFFFFFVGAPEEEDGVLPALVVVVTAAEEEERIEPERIEPEAEKKAPDTGEGLAKAAWALSLPADMAATGQRGSTPVWKVSVFFPFLQSLLLPLHLNSQFEDINMKVF >ORUFI09G12970.1 pep chromosome:OR_W1943:9:14331511:14335188:1 gene:ORUFI09G12970 transcript:ORUFI09G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGKKGAPPPPPPPPPRRSVESVARTLAPPMDDHQTQDLVKELVHRLISAESGGGGRDAGGALRFAHRLLSSRLAPAVLPDEHALAESVKRRLAASGRPDDALAFADLHAKLSARSRPASLWPLLYLLDSLSSHRRAAAAASCLPNLPTAAPPRAAGSGAAAAAAAGGKPASRAPGAPPGGVVLVSKDPDNIREIALREYTELVLDETEVSEAALVRDVLYACQGIDGRYVRFDKGSDAYDLPDGVRVPRSTRTLVRKLCELGWLFRKVRGFISDNISRSPSDAATEVGTVAQAFCSALQEELSDYYKLLAVLESYSLNPIPTPGSDSGVSGNYLSLRRLAVWLAEPAVRMRLMAVLVDGCRGLRGGAMAGAIHGHAQHGDPTFQDFMGRLLRRVCSPLFEMVRSWVLEGELEDVFAEFFIVGQPVKAESLWQEGYLLQSDMLPAFISPVLAQRILRTGKSINFLKVCCDDNGWADAATEAAVCVGTTTSRGGLGYGQIDALEALVVEAAKRIDRHLMDVIHKRYRFKDHCLAIKRYLLLGQGDFVQYLMDVVGPELSEPANRISSFHLAGLLETAIRASNAQYDDRDILDRIKVKMMDHGDGDRGWDVFSLEYDARVPLDTVFTASVMKRYLKIFNFLWKLKRVDHSLTGIWKTMKPNCIVSSPFYKEGTNIRSQFVSVLRKCQVLFNEMNHFVTNFQYYIMFEVLEVSWARFSEEMDSAKDLDDLLLAHDKYLTSIVEKSLLGERSHGILRNLFALFDIILQFRSHADRWFERIYELQLRGKGKPKSKAKAKSKEVDSWVDGGRKAMIQLAGELFRKMGEDLDSIAKDYTSSLDAFIAQLPMQQHVDLKFLLFRLDFTEYYSRVSSNK >ORUFI09G12980.1 pep chromosome:OR_W1943:9:14338392:14339161:1 gene:ORUFI09G12980 transcript:ORUFI09G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSSELPPPPPTNAATSSSAMAVPYFCRKHVALATSRSSTVWTVAKQTGLRSVTVGSDTAVACAVCTDDLPPAATACRLPGGHLYHADCFVQWLSRRNSCPVCRRRVPLFPDHGAAYTDEDEDEDEEEIAPSPPPPHGPETTATDDHRPRSLPGASWIGRICRRLLGYTETSHPRQLNRCSGDTTQQW >ORUFI09G12990.1 pep chromosome:OR_W1943:9:14346769:14347674:1 gene:ORUFI09G12990 transcript:ORUFI09G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSPPPPPPPPPFPDLETWDYFFGQYDHPSSPSSSATAMPHLPLSRVSSSSSFVSTELELPPPPPPPLPTLPAKPLLRRRVASPSSSSFSTELLPPPPPLLRREPSSSSSSFSTELLLLPPPPPPPPFLSSDYFISSDPDTPPHAGGPPTSSIHDSSFVSGPSSSRPALATVITVTVSDDAAAAAACAVCTDALQLASPASRLPCGHLYHAHCIAQWLSLRNTCPVCRRSVPMRTEETAPPWSPPTETDQEAVPSPPPTTTTATDHRRRSLPGERRIRRICRRLLNYMEISRQRQQHTD >ORUFI09G13000.1 pep chromosome:OR_W1943:9:14347170:14348750:-1 gene:ORUFI09G13000 transcript:ORUFI09G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQQQQQQQHYATFNNNQINRLVVVGGGDGTASWSVSVGGDHGGAVSSVRIGTLRRQTGQVFRSDSHCAMQWAWYRWPHGSLDAGDASWRASVQTAQAAAAAASSETVTVITVASAGRDDDGPDTKEESWMEDVGGPPACGGVSGSEEMK >ORUFI09G13010.1 pep chromosome:OR_W1943:9:14351461:14351721:1 gene:ORUFI09G13010 transcript:ORUFI09G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSHEALVKMWVFVLVQALVYLILAQSSDVFSRAQSLQGGGAPRRPARSVSAVRRMLAALSDMPAGGEPSPVVAVVDGRKKD >ORUFI09G13020.1 pep chromosome:OR_W1943:9:14352463:14357257:1 gene:ORUFI09G13020 transcript:ORUFI09G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSVPPTNYPKSLSSIIKCHRRRAAINNCHSVVSHPPLSHSNHHHRSLRVRSSAAVAPPLPPPLVVPMTTPKPSPTIRRLDVASPVPADIDIANAVSPLPIADIAAELGLRPEHFDLYGKYKAKVLLSVLDELKGQQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHEASQSDKALFNRLCPPNKEGKRRFADVMLRRLIKLGISKTDPNELTPDEVRRFARLDIDPESITWRRVMDVNDRFLRKITIGQGPDEKGMVRETGFDIAVASEIMAVLALTTSLADMRERLGRMVIGNSKAGEPITADDLGVGGALTVLMKDAIHPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGKGGYVVTEAGFGSDIGTEKFMDIKCRYSGLMPQCAIIVATIRALKMHGGGPDVVAGKPLDHAYVSENVALVEAGCVNLAKHIANTKSYGVNVVVAINKFASDTEAEMDVVRNASLAAGAFDAVVCTHHAHGGKGAVDLGLAVQRACESQADPLKFLYPLESGIKEKIESIAKFYGASGVEYSEQAEKQIEMYTKQGFSNLPICMAKTQYSFSHVPSMKGAPSGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYEIDVDTATGKVMGLS >ORUFI09G13030.1 pep chromosome:OR_W1943:9:14357927:14358700:1 gene:ORUFI09G13030 transcript:ORUFI09G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSEQSVHAAAHDMALIERHQPWEMLDGMALSIIDDAYAAALGIVGRGEEGYHGGVVEISAADPGSPMLTVHASRAHCLVALNDSPRGGGDSLVCAYRRYTSPKQKWRPRHRLGRASVSVSPGTLYLSRADGGAAAAVPRGHGDAAAAGGGVLAILDMIVARLGAAIGLEEALLAMARTSSYEGPKVDEILRVRNALDEIRSEMDLPALMRRLLHKRRGVTEITTCRPAAGAGAAATEQDQADETERLMKKMRLKC >ORUFI09G13040.1 pep chromosome:OR_W1943:9:14359448:14359774:-1 gene:ORUFI09G13040 transcript:ORUFI09G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEFFPTQWKPRLSSIIISDVRSCFDLYISSYASGLAEEFELFHGDIGEPCGLRRFVVAVTLDRLLHLRFSLLKRGSERSEEVACSLTAQKYGCKTNEIYVGPASFS >ORUFI09G13050.1 pep chromosome:OR_W1943:9:14373177:14374559:-1 gene:ORUFI09G13050 transcript:ORUFI09G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGEAMADAGRELVLGLGMGRREEAAEAGRRDHEVRRELEFGSMSSRCGGSSPEPTVRLTLLPMVPGLGLPWPPPPPPSSESRHLEASTRGFDVNRPPSSGGGGGGGGAEEEQDDVAGAALSSSPNNSAGSFPMDDFSGHGLGGNDAAPGGGGGDRSCSRASDEDDGGSARKKLRLSKEQSAFLEESFKEHSTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELAELRALKTVHPFYMHLPATTLSMCPSCERVASNSAPATASSAATSSTAAPPAAPSSGGIAATSSSAAAAAAPDHRPSSFAALFSSPRGFPLSVAPQAQPPTSS >ORUFI09G13060.1 pep chromosome:OR_W1943:9:14405402:14407888:-1 gene:ORUFI09G13060 transcript:ORUFI09G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTILWLLYVSLASCLLYKVFVSTKNGHPKIAARRPPGPTPVLLLGNVFDLRGELHLALARLAEEHGPVMSLKLGTATAVVASSAAAARDALQRYDHVLAARAVCDAARALGTHERSIVWLPGSSALWKLLRAVCTNHLFSARGLDATRAVREAKVRELVEHLRGHAAGAGEEEAAAVDVGRVVFSAVINLVSNVLFSEDVADLSSDRAQELEMLVRDTVEEATKPNLSDLFPVLAALDLQGRRRRTAVHIRKFHDFFDEIISRRQNAGGEGERKEDFLDVLLHLHSADQLSLDTIKTFLGDLFTAGTDTNSITVEWAMAELLRHPAAMSRARAELRDALGAKPHPDESDIGRLPYLSAVVMETMRLHPPSPLLMPHEAVADGAAVGGYAVPRGTKVIVNVWSIMRDPASWPRPEEFEPERFVAAGGSFRGGEMLEFMPFGAGRRACPGTPMATRVVTLVLASLLHAFEWRLPGGMRPCDVDVRGRFGTSLNMVTPLKAVPVPVPARP >ORUFI09G13070.1 pep chromosome:OR_W1943:9:14415795:14417744:-1 gene:ORUFI09G13070 transcript:ORUFI09G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTISPVFLISLLGVPLLYLLWSKAIKSPSGAPAAPPPPPGPTPFPVIGNIPDLLRGGELHRALTGLAASYGPVMSLRLGMASTVVLSSPDVAHEALHKKDGAISSRWVPDNANVLGHQDVSMAWLPSSSPLWKHMRTLASTLLFTSRRLGASRGIRERKARELVDYLGARSGRPVRVGLAVFGSVLNFMSNVFFSEDVVELGSETGQEFQQLIADSVAETAKPNISDFFPFLSALDLSRRRRAAAKNLKKFYDFFDDVIDRRLSSGEKPGDLLDSLLELHANHTTTTTVEWAMAELLRNPSKMAKARAELGEAFGRGAVEEGELARLPYLNAVIKETLRLHPPAPLLLPHRVSSDSEPAGGVTLGGYSVPSGARVLINAWAIGRDPAAWSPEPDAFSPERFLGREADYWGRTLEFIPFGSGRRACPGIPLAVAVVPMVVAAMVHSLEWRLPEGMAPGDVDVGDQFGAVLELATPLWAVPVKV >ORUFI09G13080.1 pep chromosome:OR_W1943:9:14425325:14426441:-1 gene:ORUFI09G13080 transcript:ORUFI09G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMKRCSDIQVSSTRPRKAMRIAINSWSTILLRYIPEDMLFKILSWLPSKSLIRFRSVCKAWHATISSSRFVNAHLECSKQRPSLLVIPGSFEMKKNGENIAFLMSLYKYQDPNIMHLQDFPRGILKWIRPVHCDGLLLISTRKHKMMICNPSTREIVSLPEGSHSLCGGMGLGFGFDPHSNKYKVARAFYQRDYPTTRQVCKFEVLTLGTDAWRQTEDPPYPIDRLTPVHVKGAIYWKITPDLVMNHFVEIPPLVVFHRRKLLLASNKVYRYDIQTCTGENSFNI >ORUFI09G13090.1 pep chromosome:OR_W1943:9:14430523:14435700:-1 gene:ORUFI09G13090 transcript:ORUFI09G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPAEGKPAWTDERSTAGRRQAARDKGKEEPVTLPPLPPAAVLGSSPAARTRLRPRRRPSSAPHPPPAAASTSALRPRPHTQNSELTRSWQCIKVRNPFHNIVSYDDRVVLAEKSRWMKLTWAILGGVQMPAFMGCESVEIEVGFSTAPAENYGGGGGGLQASVEQATSEAWNLRASVIEAHDLRVPAPSPGLPFDVRVKIKIGFQSARTQRSVASTSSGSAFAWEWEEDLMFVVSEPLDESLIVLVKDRTMIKEPARRGARPTSALLPAKEAAHVCSEYRPTAKQQWKPPVGVLELGIIGACGLLSTKTKGGAKYSTDAYCVAKYGKKWVRKRTVTDSPTASTRGGTSSARGRCTTRARCSRWRVFADDGDERQDYRIRKMHYLRPIGVAQQETLRAATVRLVAARLERSETPLGREVVRHMLDVDAHTWSVRRAKGNWFRILGVLTWAVGLARWRSSSTTVLVHVLYQSTSSSSALAAAKKLLNGKVSIEELCGGDDEE >ORUFI09G13100.1 pep chromosome:OR_W1943:9:14437539:14440210:-1 gene:ORUFI09G13100 transcript:ORUFI09G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGEARWKEDSGEKKVDEGGDGGDTRTSGRIDRCSAIGAPDGAAPSFSAAPVSPPPXPPPPPPPAPAPPSSRWDTPVPQWVILWRVPRVYPADVPSKGSEFSLALAAPPRISSLSLAAPPHLSSAYLTAAPPQIFANGRFLSGDLGPFAPFVLAADASGVILVHAYSAPAATATAAAAAAAGGGDKGGSRIGPCLYVIDTFSAAADFLPDRNTNPGLTNFALVVHNHEGVVARSYSVMELVLEADSNKAQLWEFSSASGVCAEGEEELSMTLLPDGLHAEEVETLSSVCVSEGKITYVAVSGHPGGLPSEGNVLVWILVDPKHSQWKLRTVTPMSVIWDTICHALGLQRGAPPVISVLDRQDASVLYFFMQQHLVGFHLTRRLCTSVILCNCNCNRTREEQEDKEQHIVYAGITTAAEAALELFEARMDSIQDGPPAHVVVPPVHVVVCQVKNADSGSGPLSNTED >ORUFI09G13110.1 pep chromosome:OR_W1943:9:14440334:14441050:1 gene:ORUFI09G13110 transcript:ORUFI09G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGQCKNQIGAKLLRLGNRHVSVARHDDLSSPDAGHEGTRRSRRRTAKSPPDVVEPGSETRQEFQELIADSVAETGVSDFFRFVSALDLSSRRCAATRNLSRFYDFSTVSLIGGWAAYSQIFRLPRFNPDNFVFHQSVAGGINWAV >ORUFI09G13120.1 pep chromosome:OR_W1943:9:14448436:14450195:-1 gene:ORUFI09G13120 transcript:ORUFI09G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSPCFTDAHLECSKRNPSILMVPGAYEKQEDGENIAFMMVLYKYHGGKTVELVHMQNFPLGIGVWTRPVHCNGLLLIPTMNLEMMICNPSTRQIVFLPKVSGNICTGTRAGFGFDPHSNKYKVARSSYQRDSETQELVCKFEVLTLGTNAWRQAEDPPYPIDALTPVHVKGAIYWIVCSSLCPDPPNAFLRFCLTDEKFSLFPCPPSNVKSVRFTEVEGELCCACFFSETLALEIWNCSGGQNLEWTRRYVIQIPPDVVMKYPVERPPLIVFREKMLLLAFKKVYRYDIETCTIVELASKVSDFTCYEPYLEKEARDLHLFNYAESLLVLLLMCCCRGHDQEFVTVISQRERRK >ORUFI09G13120.2 pep chromosome:OR_W1943:9:14450200:14451065:-1 gene:ORUFI09G13120 transcript:ORUFI09G13120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVINSWSRFMPPYIPDDVMFNILSWLPSKSLIRFKSVCKA >ORUFI09G13130.1 pep chromosome:OR_W1943:9:14454415:14459753:1 gene:ORUFI09G13130 transcript:ORUFI09G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAGSIRPRSDGEGGGPAAGRETDDSNVWKDLFLAYKTLGVVFGGLVTSPLYVYPSMNLSSPTEADYLGIYSIMFWTLTLIGVVKYVCIALNADDHGEGGTFAMYSLLCRHADIGILPSKRVYAEEDPLLHSQSAIARRPSRLGKFFEQSITARRVLLFVAVLGMCMLIGDGILTPAISVLSAIDGIRGPFPTVSKPVVEALSAAILIGLFLLQKYGTSKVSFLFSPIMAAWTFTTPIIGLYSIVHYYPGIFKAISPYYIVHFFLRNKRQGWQLLGGTVLCITGAEAMFADLGHFSKKAIQIAFLSSIYPSLVLTYAGQTAYLINNVNDFGDGFYKFVPRPVYWPMFVVATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSQHKEGEVYSPEINYILMVLCVGVILGFGGGKAIGNAFGVVVIMVMLITTVLLTLVMIIIWRTPLVLAGLYFVPFFIMEGAYVSAVFTKIPEGGWLPFAVSITLAMIMFGWYYGRQRKFEYEMTNKVSLEHLGELLARPEVQRVPGLCFFYSNIQDGLTPILSHYIKNMSSLHTVTIFVTLRSLLVAKVDQSERILINRLGPNGVYGCTVQYGYADNLSLEGGDDLAAQVTSCLQWHIQMDTDGRRSPEEEMAQLEAARLAGVVHVRGKMRFYVGEDAGWFDKIMLGFYEFLHGICRSALPVLGMPLQQRVEIGMLYKV >ORUFI09G13140.1 pep chromosome:OR_W1943:9:14465912:14467679:1 gene:ORUFI09G13140 transcript:ORUFI09G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAQERELQLLQLQGVSWPFHAMEAARSSSWDATTSSGASGGGGGDCFLLGWEPPFAAGCLGVLAADVHGLFPLCTCPARFLYLCSSLRIGELIRAPAWSADMESPPAPPQQDAVALPEELDDLLLNFWDASSDQQQQQQQVAFNSSCILQEKTSSTTATATTTNSNSNFFYDDDDLLGSIFSTGPTLPEKGVAEPLLSSSSSNCQADPQVSEVSGAQPQATPAAPGVARAPPRCSSSSSLKRAAPAEDAAAEAEYCRQSSSKRRREAETPTPEKSAAAAAAPACRVLCPFAVLKPDGLDGGATLADINARILMRPSRPVRHPVGEFACAPRVSADKPGLSGKAVAGFTRLHTPGRGTITIIRTRG >ORUFI09G13150.1 pep chromosome:OR_W1943:9:14483842:14487242:1 gene:ORUFI09G13150 transcript:ORUFI09G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKPFPFLAAAAASRSPLPLSPAARPAPASSRFGDRRAPAVVAATARRRGAHGVRALRPLLLPRASASPSAKTAAGGMSDPELRMVLELATDEELMEFEEILYGTSYEPQMAKPKPANLSKIKSYFSPLLKSIAKRPNSDYVDALDDIEERDIFISKLESRFLYLAADARSIIRGSRPSYRNVLLGVRRELGVRCSSKLCTADLEAEIFLHLLDEYSSRQKGPDLFPWNKQKSPKDNSSLGVNKWMVLTDSAWKIGAKGLESAFLKGGSALTLKMIYESLAKRLSGKLLMEAGKYEIKKELLKQGGRLAAVNLESRAGLLAARQGLARAASRYVGLRSVMTFLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQNIFG >ORUFI09G13160.1 pep chromosome:OR_W1943:9:14488715:14490941:1 gene:ORUFI09G13160 transcript:ORUFI09G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKMVISLGSSRRRKRGEMLFRFEAFCQPGYPANFAGAGGFRDNVRTLLGFAHLEAGVHGETKCWSFQLELHRHPPTVVRLFVVEEEVAASPHRQCHLCRHIGWGRHLICSKRYHFLLPRRESAAEADGLCFAINHGGGGGAEKASSKGTTTTTTATASSRGHLLHGVVHLNGYGHLVALHGLEGGSDFVSGHQIMDLWDRICSALHVRTVSLVDTARKGHMELRLLHGVAYGETWFGRWGYRYGRPSYGVALPSYRQSLHALGSMPLCVLVPHLSCFSQELPMVVTKYQAISGHKLLSLGDLLRFMLELRARLPATSVTAMDYRGIMSEASCRWSAKRVDMAARAVVDALRRAEPAARWVTRQEVRDAARAYIGDTGLLDFVLKSLGNHIVGNYVVRRTMNPVTKVLEYCLEDVSSVLPAVAAGGGVPAQGKMRVRFQLTRAQLMRDLVHLYRHVLKEPSQALTGGAFGAIPVAVRMVLDIKHFVKDYHEGQAAASSNGGGGFGHPHINLCCTLLVSNGSPELAPPYETVTLPAHATVGELKWEAQRVFSEMYLGLRSFAADSVVGVGADQEGLPVLGLVDVGSAVVVQGSVGEQINGEDHERKEEAAAAAVCEGSGGGERVVDCACGAVDDDGERMACCDICEAWQHTRCAGIADTEDAPHVFLCSRCDNDVVSFPSFNC >ORUFI09G13170.1 pep chromosome:OR_W1943:9:14495705:14496643:-1 gene:ORUFI09G13170 transcript:ORUFI09G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRNSPERGVFPATTAWVMTSLLVCPRKSCEACGLGAGEVTARVEGVGGAGQQCPSCAVDKCKEELSRCHD >ORUFI09G13180.1 pep chromosome:OR_W1943:9:14518983:14521964:1 gene:ORUFI09G13180 transcript:ORUFI09G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSCAPTTAGPPPDEATTPEPFRSLQIATASAGSAKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPTCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACARCSKAYAVHSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCNASRGQAAAVAEGGNVSTAACGGVAVLEQEKQLDLQAPAAASLSRTASSTSPSSDVVASPVAWPGAGAPSMPSPKAAAFRGRFDMAPSPPPPSYDHYRGGAGAHNLELQLMPPFNAGGAAAAPGGMGACFYAAAHQHHPTGVSQCNDASTQLQLSIGRGEVMGAAGTSDEASAAATAKEQAREQLRQAMAEKEAAGEARAQARRQVELAEQELATARRMRHQAQVELSRAHALRDHAVRQVNATLLQITCFSCRHKFRAAAAGAPLPAAMSSDVACSYVSSVVTEGGDADDPLDVVDATRRRLQHANSMGIM >ORUFI09G13190.1 pep chromosome:OR_W1943:9:14525766:14526882:1 gene:ORUFI09G13190 transcript:ORUFI09G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRQWWRRVAAAVKDRRSVLLARGDPRDEPRRAVGGLPERRAGVPVGADLAVAGAAHRVGARAARTADALADFRDRSAPRGKSPAFSAFVRAYFRFLNYRSLLAAEEDIAGDGDDHCVARLERITKLQFLLELLLQIRPYCDGMEVPLVLEAMDCALIEILQVYGEICTGVARFLVGVPAPTTRPRQTKSTAAAGIKVLRKAAEKSAQLSSYFELCRSLGVVNVRELEPFKMLENIYTSLSGRLDCAGGSAFSSS >ORUFI09G13200.1 pep chromosome:OR_W1943:9:14529794:14533760:1 gene:ORUFI09G13200 transcript:ORUFI09G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVEGSSSTLLELGIPEELGIGENDDEEEKATCCPCNTSSRLADIRRSAVGDPQSPELKVEAANTAVRAAVKRCAEVPISARPGKMMRIAIKSWSRFLPPYIPDDVIFDILLRLPSKSLIRFKSVCKAWHAIISNPCFISAHLECSKQKPSIFMVPGVYEKQNNGENTSFLMGLYQYQGGNIMEQIHVQDFPQGIGTWSRPIHCNGMLLISTMNHEMIVCNPSTREIVSLPKGSYNLHAGPRAGFGFDPHSNKYKVARFFYQRDDDTSELVCKFEVLTLGTNLWRQTEDPPYPISGLTPVHVKGAIYWMVNTPLCPDPPNAFLRFCLTNEKFSLLQYPPCNLKPTRFIEVEGELCCACFCSQVSALKIWTCNYAQNPEWTQRCTVQIPPDIVVNNPVARPPIVFLHGKKLLLTWNQVYQYDIQTCRMEKIASGVEDFTCYDPRNNKYWAYLEKEVTDMHLFNYAESLVPIREF >ORUFI09G13210.1 pep chromosome:OR_W1943:9:14542457:14544782:1 gene:ORUFI09G13210 transcript:ORUFI09G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPAIARVVDDNSPLLMANSDVSVQFAEPPRASILNVARRIHPDGFHPSRPYLPFILNIQSDHLLLYTTNGGHAGGGIYLFHAYTGVAIRLPPSPERPINPRRCVGLIEDPRHRGHFLIAQLHPTSTTQHSTFVSYSTGTSTWEIKRLSSSPHHQGCNGGVLAHNGRLWWADPHARSIRCRGVPPSTRRPSGRPRRPHGQLRRQAPVREGERGKAAVRGDRRLSRHTGRDHDYPDRSGWRCVEHGLQGGIGRDMGRRRLQASKPG >ORUFI09G13220.1 pep chromosome:OR_W1943:9:14547421:14552253:1 gene:ORUFI09G13220 transcript:ORUFI09G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGLLTKALVEVIEGSTPLPRRNPAAVRGLMRPPLLMVVVVVVSTAHRGTLMRFSLCRWLMLELLQVAKAQPCVLRRFTPASRRKCVAARGHGRQELRAGQYQLDDDEPLWLAVVRDITWGLRSFLAFLAEQPRQLKHLEWPGFRNTLRTATLTLILVAVFIVALSSVDAALCYILS >ORUFI09G13230.1 pep chromosome:OR_W1943:9:14551638:14556500:-1 gene:ORUFI09G13230 transcript:ORUFI09G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGGLGMEATNCGALLRELQQIWAEVGESEGEKNKVLSEIERECLEVYRRKVDDANRTRVQLHQSVATKEAEVASLVATLGEHKLYLKKDKSVVPLKEQLAAVVPVLENLKGKKEERLKQFSDIQSQIEKIRSELSEYSDGDDKANSLIVDENDLSTRKLNNYQAQLHALQKEKSDRLHKVLEYVNEVHCLCGVLGIDFGKTVNGIHPSLHQNGLEQSTNISNSTLEGLANTISNLKAEQRSRIDKMRETMESLCKLWKLMDSPQEERRQFNRVLSVLISSEEEILSPGVLSQETIEKMGAEVERLTKLKARRLKEIFMKKRSELEEICRSAHIEPDASTAPEQTNEMIDSGMIDPSELLAKLESQILKAKEESLSRKDIMDRINKWISACDEEAWLEEYNQDSKRYSAGRGAHINLRRAEKARILVTKIPAMVDNLINRTFAWENARNKPFLYDGGRLISVLEEYRLNREQKEEEKRRYRDQKKLESILLKEKEAIFGSKGSPKRAVSLNRRTNGYRSSGTTSGLMTPNPRRSSLGGATPELLTPRSCSGHYNRYFSDSRRLSATQLNFGDDSLSTFTSISGQFIGLIAK >ORUFI09G13230.2 pep chromosome:OR_W1943:9:14551834:14556500:-1 gene:ORUFI09G13230 transcript:ORUFI09G13230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGGLGMEATNCGALLRELQQIWAEVGESEGEKNKVLSEIERECLEVYRRKVDDANRTRVQLHQSVATKEAEVASLVATLGEHKLYLKKDKSVVPLKEQLAAVVPVLENLKGKKEERLKQFSDIQSQIEKIRSELSEYSDGDDKANSLIVDENDLSTRKLNNYQAQLHALQKEKSDRLHKVLEYVNEVHCLCGVLGIDFGKTVNGIHPSLHQNGLEQSTNISNSTLEGLANTISNLKAEQRSRIDKMRETMESLCKLWKLMDSPQEERRQFNRVLSVLISSEEEILSPGVLSQETIEKMGAEVERLTKLKARRLKEIFMKKRSELEEICRSAHIEPDASTAPEQTNEMIDSGMIDPSELLAKLESQILKAKEESLSRKDIMDRINKWISACDEEAWLEEYNQDSKRYSAGRGAHINLRRAEKARILVTKIPAMVDNLINRTFAWENARNKPFLYDGGRLISVLEEYRLNREQKEEEKRRYRDQKKLESILLKEKEAIFGSKGSPKRAVSLNRRTNGYRSSGTTSGLMTPNPRRSSLGGATPELLTPRSCSGHYNRYFSDSRRLSATQLNFGDDSLSTFTSISGSEPESPSLG >ORUFI09G13240.1 pep chromosome:OR_W1943:9:14563456:14566490:1 gene:ORUFI09G13240 transcript:ORUFI09G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYINQNWSEMKPAIVVSAVLVQVECKAPIDKCLTEASQAINKALEALMDKRLTEASQANNKALDVVVVAAPPAKKSEIEHAMWKQRMFAFAALGMAEGDEKKLATASLAYKNVANAVLTAAPAEKFKVMEESFKVAARQATVKSFEFFLNIIVALLLAAIAISAVLVQVECDAPVNQRLTEARQAINKAHDAPVDKRVTEASQDINEALDAVVAAAPPAKKAEIEDATWKHRMYSLRFRL >ORUFI09G13250.1 pep chromosome:OR_W1943:9:14571152:14572241:1 gene:ORUFI09G13250 transcript:ORUFI09G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTWALISHLHAFAGPTLTLIYPLYASICAMESTSKVDDEQWLVYWILYSLITLMEMALHKVLYWIPLWYEAKVLFVAWLVLPQFRGASFIYDKFVREQLKKNRVKLHEHHGHGHGHADEHQSHVVRG >ORUFI09G13260.1 pep chromosome:OR_W1943:9:14573003:14573381:-1 gene:ORUFI09G13260 transcript:ORUFI09G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPSPAAPSSTLRPFLLATRCSSYLAPWSCDDGSLGQEATHGPMVGAFGKDTLTQEPDIGLRWETSSRMPGSVAIE >ORUFI09G13270.1 pep chromosome:OR_W1943:9:14574337:14575022:1 gene:ORUFI09G13270 transcript:ORUFI09G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARLLLLLSLSLSFSSSRRRRERCRGAAAGANLHHWHVRWPAVRFPNDDEVEEEQEEGDPPAHSDSSHSEHVSWVCAAIVDVVVVGADLVLWEARCMPSLAVAEVVSLPISLPPVAGWELDLQPHFLPARASTSPLPRGSRSVDHRDGSHSELHRSLMPPLLALPYRLPLRATAGKGVVGLRHRGNRIGSNGAWQGRMAG >ORUFI09G13280.1 pep chromosome:OR_W1943:9:14577969:14578358:1 gene:ORUFI09G13280 transcript:ORUFI09G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNKNITGKATNIVVATRSVHEAPSVKPDRRFLRCRWIRRRKPSALDLPEGNHRRRRILRVERVPSLRGRDGHRGRRGEGGGGGGGTTITVVSSAPRHRRAVQARWEGKGERERRASGERGEEGKLRH >ORUFI09G13290.1 pep chromosome:OR_W1943:9:14579324:14580449:-1 gene:ORUFI09G13290 transcript:ORUFI09G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSFPIIDMSLLDGAERPAAMGLLRDACESWGFFEILNHGISTELMDEAFRGPAGAPTFGTKVSSYPPCPRPDLVKGLRAHTDAGGIILLFQDDRVGGLQLLKDGEWVDVPPMRHSIVVNLGDQLEVITNGRYKSVMHRVVAQIDGNRMSIASFYNPGSDAVISPAPALVKEEEAGETYPKFVFEDYMKLYVRHKFEAKEPRFEAFKAMENETPNRIAIA >ORUFI09G13300.1 pep chromosome:OR_W1943:9:14599888:14600601:1 gene:ORUFI09G13300 transcript:ORUFI09G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITEYGGAQGNGAAAAVARGAAAVPPAHRGRRICGGGCSLLLQWEDLWRRLLAVAAARREERWRRLLAPLGAERGVLAAAAHRRWARRGARGAGAWRRKSRRVAQLAAGRDAAQELGAAGARRRPTQREGHDQRRGEKEAVERRP >ORUFI09G13310.1 pep chromosome:OR_W1943:9:14615714:14617122:1 gene:ORUFI09G13310 transcript:ORUFI09G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSTFPVINMELLAGEERPAAMEQLDDACENWGFFEILNHGISTELMDEILNHGISTELMDEVEKMTKDHYKRVREQRFLEFASKTLKEGCDDVNKAEKLDWESTFFVRHLPESNIADIPDLDDDYRRLMKRFAAELETLAERLLDLLCENLGLEKGYLTKAFRGPAGAPTFGTKLEVITNGRYKSVIHRVVAQTDGNRMSIASFYNPGSDAVISPAPALVKEEEAVVAYPKFVFEDYMKLYVRHKFEAKEPRFEAFKSMETETSNRIAIA >ORUFI09G13310.2 pep chromosome:OR_W1943:9:14615714:14617122:1 gene:ORUFI09G13310 transcript:ORUFI09G13310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSTFPVINMELLAGEERPAAMEQLDDACENWGFFEILNHGISTELMDEVEKMTKDHYKRVREQRFLEFASKTLKEGCDDVNKAEKLDWESTFFVRHLPESNIADIPDLDDDYRRLMKRFAAELETLAERLLDLLCENLGLEKGYLTKAFRGPAGAPTFGTKLEVITNGRYKSVIHRVVAQTDGNRMSIASFYNPGSDAVISPAPALVKEEEAVVAYPKFVFEDYMKLYVRHKFEAKEPRFEAFKSMETETSNRIAIA >ORUFI09G13310.3 pep chromosome:OR_W1943:9:14615714:14617122:1 gene:ORUFI09G13310 transcript:ORUFI09G13310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSTFPVINMELLAGEERPAAMEQLDDACENWGFFEILNHGISTELMDEVEKMTKDHYKRVREQRFLEFASKTLKEGCDDVNKAEKLDWESTFFVRHLPESNIADIPDLDDDYRRLMKRFAAELETLAERLLDLLCENLGLEKGYLTKAFRGPAGAPTFGTKLEVITNGRYKSVIHRVVAQTDGNRMSIASFYNPGSDAVISPAPALVKEEEAVVAYPKFVFEDYMKLYVRHKFEAKEPRFEAFKSMETETSNRIAIA >ORUFI09G13320.1 pep chromosome:OR_W1943:9:14621403:14626554:1 gene:ORUFI09G13320 transcript:ORUFI09G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAVAAALLLVAAAVAASPVSALYSAGSPVLQFNPNNFKSKVLNSNGVVLVEFFAPWCGHCQQLTPIWEKAAGVLKGVATVAALDADAHKELAQEYGIRGFPTIKVFVPGKPPVDYQGARDVKPIVEFALSQVKALLRDRLNGKTSAGSGGKKSGGSSEKTEPSASIELNSQNFDKLVTKSKDLWIVEFFAPWCGHCKKLAPEWKKAAKNLKGQVKLGHVDCDAEKSLMSKYKVEGFPTILVFGADKESPFPYQGARVASAIESFALEQLEANAAPPEVSELTGPDAMEEKCASAAICFVSFLPDILDSKAEGRNNFVWTAAGKQADLEKQVGVGGYGYPAMVALNVKKGAYAPLRSAFQLDEITEFVKEAGRGGKGNLPLDGTPTIVQSEPWDGKDGEVIEEDEFSLEELMADNSPVNDEL >ORUFI09G13330.1 pep chromosome:OR_W1943:9:14632336:14634600:1 gene:ORUFI09G13330 transcript:ORUFI09G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLMRKSNSLTQRSRSSKRPAEEADAAVAAGSASEGSDASAEVARGAGSASEGSDASAEVARGHGSSPSPSKTPPPANPNPKSAAAPPSAVAAPASAAGSDSGAASDSPRAAGNPSGPRSIEVNSDSEDSALPLASDAYADQAAAAGAGAGADSDDGNTSPLPPPRPSRAEAAAIKPISSRPMDPPPRRSAGGSEPRAKRPRSAAVASSAEHSKRPSRVWSQADELVILRGLITYRTKRGVLPGSTQDIGKLHSYIRGQLSAKVSTTQLSDKVRRLKQKYQMLATRAKTGKEVFPTPHDHNIYQLAKKVWGTMSTAGEGGGSGYDNADAGESEEEQYGRESDDDMESGRDNRHRKNQRSVPVTMANGNGTGIGAVNAIVRGRSEFEKGKDVYPYLWETVEELSSQHPTGAVFKKAFELLEGSKAQVMEEKLRKFRLTEMRQQLRRMDLMKDTLSMVLDALEMAD >ORUFI09G13340.1 pep chromosome:OR_W1943:9:14636938:14639614:-1 gene:ORUFI09G13340 transcript:ORUFI09G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCVLGLLRPIIYWAGLPAHVATSGEPPTQNPATSQQPGGRGEERERGGVSKRHRRARPKTPATNLSSPPLRPPPAARAGGAASPPPSPPYLGGKRGPAVMTKSIRGKKCSSRQLRSHNRRLFSQCNFKRVANKELAATEKCAWKDSICPVCLECPHNAVLLLCSSHDKGCRPYICATNYHHSNCLDQLIDSRRSSKDCEDLDSIELTCPLCRGEVKGYTLVEPAREQLNQNKRSCMQDGCSYMGSYGELCKHVRKKHPSVKPHSVDPMEATFIPEFTARYDLCNEFTNGAEGFFEELMASVWHEGPHGAMQINEMNLADP >ORUFI09G13350.1 pep chromosome:OR_W1943:9:14643176:14644417:1 gene:ORUFI09G13350 transcript:ORUFI09G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTRRKTTTTSCTTSRRQIVFSLGFMFHVCSSADCSLLVRCILTLHFQKNLQICNCSSTTNHMLS >ORUFI09G13360.1 pep chromosome:OR_W1943:9:14652695:14659973:1 gene:ORUFI09G13360 transcript:ORUFI09G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLLLLLLAAAAAAVAPARSKSTLESCSSSTACPALLSYTLYADLKLAELAALFSADPLAILAANSIDFAVPDPADRILPAGLPLRVPVPCACSDGIRRVTTVRYVARPGDTLASVASSVYGGLTTPDWISDSNGILGAKPDAAVDAGTTLFVPLHCACFGGVDNGLPAVYLTYVAGKGDTVAAVAQRYRTTATDLMSVNDMATPELAAGDIIVVPLPACTSSFPAFTADYGLAVANGTYAVTANRLFCVPAPLADSTCSSMQCANSSMMLGNFTLLMTSSGCSVTSCSYGGFVNGTILTTLTTALKPQCPGIKFVLNYVSVHHFCLEKPRLYAALAFRAGPHQYPPLIPPPTSSFFETYLGPSPTPMASEGGVMAGMAPTSTPAASSGPPPAGRHVVGDVLGAFALCLVGNLLCLISDVYAVALIGGSLFFLQQFAGINGVLYFSSLTFHDVGITSGILASLYVGITNFAGAIVASILMDKQGRKKLLTGSYLGMALAMFLIVYAISFPLDEGVSHGLSITGTLLYIFTFAIGAGPVTGIIIPELSGARTRSKVMGFSFTVHWICNFLVGLYFLELVKKLGVGAVYAGFGGVSFLSALFAYNFIVETKGRSLEEIEMSLSPAAPGKRE >ORUFI09G13360.2 pep chromosome:OR_W1943:9:14652695:14660741:1 gene:ORUFI09G13360 transcript:ORUFI09G13360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLLLLLLAAAAAAVAPARSKSTLESCSSSTACPALLSYTLYADLKLAELAALFSADPLAILAANSIDFAVPDPADRILPAGLPLRVPVPCACSDGIRRVTTVRYVARPGDTLASVASSVYGGLTTPDWISDSNGILGAKPDAAVDAGTTLFVPLHCACFGGVDNGLPAVYLTYVAGKGDTVAAVAQRYRTTATDLMSVNDMATPELAAGDIIVVPLPACTSSFPAFTADYGLAVANGTYAVTANRLFCVPAPLADSTCSSMQCANSSMMLGNFTLLMTSSGCSVTSCSYGGFVNGTILTTLTTALKPQCPGIKFVLNYVSVHHFCLEKPRLYAALAFRAGPHQYPPLIPPPTSSFFETYLGPSPTPMASEGGVMAGMAPTSTPAASSGPPPAGRHVVGDVLGAFALCLVGNLLCLISDVYAVALIGGSLFFLQQFAGINGVLYFSSLTFHDVGITSGILASLYVGITNFAGAIVASILMDKQGRKKLLTGSYLGMALAMFLIVYAISFPLDEGVSHGLSITGTLLYIFTFAIGAGPVTGIIIPELSGARTRSKVMGFSFTVHWICNFLVGLYFLELVKKLGVGAVYAGFGGVSFLSALFAYNFIVETKGRSLEEIEMSLSPAAPGKRE >ORUFI09G13370.1 pep chromosome:OR_W1943:9:14664405:14665721:1 gene:ORUFI09G13370 transcript:ORUFI09G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLLVLLAALVSVDVAAAHSGGGFGFKATLTHVDANAGYTKAQLLSRAVARSRARVAALQSLATAADAITAARILLRFSEGEYLMDVGIGSPPRYFSAMIDTGSDLIWTQCAPCLLCVEQPTPYFEPAKSTSYASLPCSSAMCNALYSPLCFQNACVYQAFYGDSASSAGVLANETFTFGTNSTRVAVPRVSFGCGNMNAGTLFNGSGMVGFGRGALSLVSQLGSPRFSYCLTSFMSPATSRLYFGAYATLNSTNTSSSGPVQSTPFIVNPALPTMYFLNMTGISVAGDLLPIDPSVFAINETDGTGGVIIDSGTTVTFLAQPAYAMVQGAFVAWVGLPRANATPSDTFDTCFKWPPPPRRMVTLPEMVLHFDGADMELPLENYMVMDGGTGNLCLAMLPSDDGSIIGSFQHQNFHMLYDLENSLLSFVPAPCNLS >ORUFI09G13380.1 pep chromosome:OR_W1943:9:14666625:14666837:1 gene:ORUFI09G13380 transcript:ORUFI09G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEAAAAALHAPHGLPRPPSHAAVVEGGAGRGRRGRSGARPAGRSWARAAAGGGGAGRRHRGRAGARWP >ORUFI09G13390.1 pep chromosome:OR_W1943:9:14667153:14667434:-1 gene:ORUFI09G13390 transcript:ORUFI09G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPYSPPLSSSLLFFSLPLSPSPPHAGQPPGGGVREPGGQRRAMGDEAAAAPHGLPRPPSHAAAAGGAEAGGGVLGEEASDEVMRVGVGGAA >ORUFI09G13400.1 pep chromosome:OR_W1943:9:14667361:14669882:1 gene:ORUFI09G13400 transcript:ORUFI09G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSISTLPPPFLHRPPLICGRERSFVRRREGEWQDADLREDAHREDDHAGGGEQRHHRQRQGQDPGQGRYPAGPAASHLRGEAARGWPHPGRLQHSEGVDASPGAPPPRWQQGRLPQGDRAQPPRARAEVQREQAGLPQVLCTPSPQVHQLPQEEVWPQQ >ORUFI09G13410.1 pep chromosome:OR_W1943:9:14671659:14673020:1 gene:ORUFI09G13410 transcript:ORUFI09G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVSVVLVLIACWLCGCPVAGEAAFAGDIRVDLTHVDAGKELPKRELIRRAMQRSKARAAALSVVRNGGGFYGSIAQAREREREPGMAVRASGDLEYVLDLAVGTPPQPITALLDTGSDLIWTQCDTCTACLRQPDPLFSPRMSSSYEPMRCAGQLCGDILHHSCVRPDTCTYRYSYGDGTTTLGYYATERFTFASSSGETQSVPLGFGCGTMNVGSLNNASGIVGFGRDPLSLVSQLSIRRFSYCLTPYASSRKSTLQFGSLADVGLYDDATGPVQTTPILQSAQNPTFYYVAFTGVTVGARRLRIPASAFALRPDGSGGVIIDSGTALTLFPVAVLAEVVRAFRSQLRLPFANGSSPDDGVCFAAPAVAAGGGRMARQVAVPRMVFHFQGADLDLPRENYVLEDHRRGHLCVLLGDSGDDGATIGNFVQQDMRVVYDLERETLSFAPVEC >ORUFI09G13420.1 pep chromosome:OR_W1943:9:14674617:14677942:-1 gene:ORUFI09G13420 transcript:ORUFI09G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKNGGAAADRRLPPRWILLCAFSFGLGMLFSDQFGSVPEWQKPLAAQRRVQDRKLQILDEDFVAKPKPTDDRDVMSEVTKTHEAIQYLDKSIATLQMELAGKRSTLELLGNGNGISQQRKKAFVVIGINTAFSSRKRRDSVRQTWMPQGEELKKLEEEKGIIIRFMIGHSATSNNVLDKEIDAEDAAHHDFLRLDHVEGYHELSAKTKIFFSTAVALWDADFYVKVDDDVHVNLGMLITTLGRHKLKPRVYIGCMKSGPVLADKNVKYHEPEFWKFGEDGNKYFRHATGQIYAISKDLATYISINRPILHKYANEDVSLGAWFIGLDVEHIDDRDMCCGTPPDCEWKAQAGNICVASFDWKCSGVCNPVERLKYVHSRCSEGDDAIWSASF >ORUFI09G13430.1 pep chromosome:OR_W1943:9:14682170:14690471:-1 gene:ORUFI09G13430 transcript:ORUFI09G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPRHFALAWTLPRRDTSARAGKRWDFASSHASASALARLASSREPPLSPHHHTVVAILLSLCPGPPRLLTRATAVAAPSYCRRHPPLAPVDASANEEAGTVGSGDPGLIVGGSGRPEARGDRLSPSLLFSFYVRHRYNDGRADRVRQRIGGGLQQQRPRGRAIEEAGNHQIRKDGGPIRRGSNPICVIEAHCKCRRRPFLHSDPGSLGLLSSVSTAAVARARWKKRVRHGLLREHTPATCRRPRPKPIFPNPIWHYNFEKVSLMVQNSGLRKTCFSVSPCTDNTIILPPQHTNLAMLPPASACPWLPAYKKKEYSFPVSVMHLAKKVGGQLIKDAHEKGSIMPGFYGRKAPISFLGEASLASSRLEKSREDTPKKNRRKRRQGSRGDCFVDEEGVGGGGEVAAMENLALLWGIIGPGVAGAVFGAGWWFWVDAVVCSSVQVSFLHYLPGIFASLAALMFNAVNKDEIGYDYYSPYGDDSEWRVKLWLFVAYVVSFVCLAGSVGMLVQDALTDKGPSVWTGVAGVLQCVLVLIRCGCR >ORUFI09G13430.2 pep chromosome:OR_W1943:9:14686003:14690471:-1 gene:ORUFI09G13430 transcript:ORUFI09G13430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPRHFALAWTLPRRDTSARAGKRWDFASSHASASALARLASSREPPLSPHHHTVVAILLSLCPGPPRLLTRATAVAAPSYCRRHPPLAPVDASANEEAGTVGSGDPGLIVGGSGRPEARGDRLSPSLLFSFYVRHRYNDGRADRVRQRIGGGLQQQRPRGRAIEEAGNHQIRKDGGPIRRGSNPICVIEAHCKCRRRPFLHSDPGSLGLLSSVSTAAVARARWKKRVRHGLLREHTPATCRRPRPKPIFPNPIWHYNFEKVSLMVQNSGLRKTCFSVSPCTDNTIILPPQHTNLAMLPPASACPWLPAYKKKEYSFPVSVMHLAKKVGGQPLAIDRILWQESSHKLLGRLDCSSNERIWKVRMRHPRGQAVTMKIMPSD >ORUFI09G13430.3 pep chromosome:OR_W1943:9:14686001:14690045:-1 gene:ORUFI09G13430 transcript:ORUFI09G13430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNSGLRKTCFSVSPCTDNTIILPPQHTNLAMLPPASACPWLPAYKKKEYSFPVSVMHLAKKVGGQILWQESSHKLLGRLDCSSNERIWKVRMRHPRGQAVTMKIMPSD >ORUFI09G13430.4 pep chromosome:OR_W1943:9:14686003:14690471:-1 gene:ORUFI09G13430 transcript:ORUFI09G13430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPRHFALAWTLPRRDTSARAGKRWDFASSHASASALARLASSREPPLSPHHHTVVAILLSLCPGPPRLLTRATAVAAPSYCRRHPPLAPVDASANEEAGTVGSGDPGLIVGGSGRPEARGDRLSPSLLFSFYVRHRYNDGRADRVRQRIGGGLQQQRPRGRAIEEAGNHQIRKDGGPIRRGSNPICVIEAHCKCRRRPFLHSDPGSLGLLSSVSTAAVARARWKKRVRHGLLREHTPATCRRPRPKPIFPNPIWHYNFEKVSLMVQNSGLRKTCFSVSPCTDNTIILPPQHTNLAMLPPASACPWLPAYKKKEYSFPVSMSEQTWMVMVRMRHPRGQAVTMKIMPSD >ORUFI09G13430.5 pep chromosome:OR_W1943:9:14687781:14690471:-1 gene:ORUFI09G13430 transcript:ORUFI09G13430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPRHFALAWTLPRRDTSARAGKRWDFASSHASASALARLASSREPPLSPHHHTVVAILLSLCPGPPRLLTRATAVAAPSYCRRHPPLAPVDASANEEAGTVGSGDPGLIVGGSGRPEARGDRLSPSLLFSFYVRHRYNDGRADRVRQRIGGGLQQQRPRGRAIEEAGNHQIRKDGGPIRRGSNPICVIEAHCKCRRRPFLHSDPGSLGLLSSVSTAAVARARWKKRVRHGLLREHTPATCRRPRPKPIFPNPIWHYNFEKVSLMVQNSGLRKTCFSVSPCTDNTIILPPQHTNLAMLPPASACPWLPAYKKKEYSFPVSVMHLAKKVGGQLIKDAHEKGSIMPDE >ORUFI09G13430.6 pep chromosome:OR_W1943:9:14686001:14690045:-1 gene:ORUFI09G13430 transcript:ORUFI09G13430.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNSGLRKTCFSVSPCTDNTIILPPQHTNLAMLPPASACPWLPAYKKKEYSFPVSMSEQTWMVMVRMRHPRGQAVTMKIMPSD >ORUFI09G13430.7 pep chromosome:OR_W1943:9:14682553:14687774:-1 gene:ORUFI09G13430 transcript:ORUFI09G13430.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGILTSQCEPVNQVGFYGRKAPISFLGEASLASSRLEKSREDTPKKNRRKRRQGSRGDCFVDEEGVGGGGEVAAMENLALLWGIIGPGVAGAVFGAGWWFWVDAVVCSSVQVSFLHYLPGIFASLAALMFNAVNKDEIGYDYYSPYGDDSEWRVKLWLFVAYVVSFVCLAGSVGMLVQDALTDKGPSVWTGVAGVLQCVLVLISGLIYWTCHSED >ORUFI09G13430.8 pep chromosome:OR_W1943:9:14682553:14685962:-1 gene:ORUFI09G13430 transcript:ORUFI09G13430.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASLASSRLEKSREDTPKKNRRKRRQGSRGDCFVDEEGVGGGGEVAAMENLALLWGIIGPGVAGAVFGAGWWFWVDAVVCSSVQVSFLHYLPGIFASLAALMFNAVNKDEIGYDYYSPYGDDSEWRVKLWLFVAYVVSFVCLAGSVGMLVQDALTDKGPSVWTGVAGVLQCVLVLISGLIYWTCHSED >ORUFI09G13440.1 pep chromosome:OR_W1943:9:14690551:14695496:1 gene:ORUFI09G13440 transcript:ORUFI09G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTYAEIPEDSLYSGPHLGAALEVGANSAQGKAMARRGGAWAWAATAAALLWWMAAGAGAVWLEIPPSATKCVSEEIQSNVVVIGDYSVLYEHHLNPTVTVKVTSPFGDIVHHKQKVSTGQFSFTTAEAGNYLACFSADGRNKRLVVKLNLDWRVGIATKDWDSVAKKEKLEGVELELVKLETSVQAIHENLLLLRSKEANMRDTSEKTNARATWLSIISLIVCIIVSVLQLWHLQQYFRKKKLI >ORUFI09G13450.1 pep chromosome:OR_W1943:9:14693552:14694934:-1 gene:ORUFI09G13450 transcript:ORUFI09G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCLVTTGFEDECREIHDACNQPRRLSVLLAHRSPSERQKIKATYRTVFGEDLAGEVQKILMVNQEDELCKLLYLWVLDPSERDAIMARDAVENGGATDYRVLVEIFTRRKQNQLFFTNQAYLARFKKNLEQDMVTEPSHPYQRQLLVALATSHKSHHDELSRHIAKCDARRLYDAKNSGMGSVDEAVILEMFSKRSIPQLRLAFCSYKHIYGHDYTKALKKNGFGEFEQSLRVVVKCIYNPSMYFSKLLHRSLQCSATNKRLVTRAILGSDDVDMDKIKSVFKSSYGKDLEDFILESLPENDYRDFLLGAAKGSRAS >ORUFI09G13460.1 pep chromosome:OR_W1943:9:14697651:14699680:-1 gene:ORUFI09G13460 transcript:ORUFI09G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITVSYSGYVAQSLAASLGLRCSSASTAAGCRFFQDGGWRPFCMLTSSSRGHAEHHWNGGGGGEHRREAGEGDRPKALPLSAAAGGHSLFLSPAYASSRAQPPSLAVGLLSVLAQGATGSKGGIYGAASLSGSSSSISLGFNPASFLPFLQTSKWLPCSDLATSSSAPPSSPSPSPPPPAPAPSIRPKKALVSSASSSPAIARSSGGSGAAMSRSNWLSRWMSSCSDDTKTAFAAVTVPLLYSSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYIFREPEILDIVIFRAPPALQDWGYSSGDVFIKRVVAKAGDYVEVRDGKLIVNGVVQDEEFVLEPHNYEMEPMLVPEGYVFVLGDNRNNSFDSHNWGPLPVRNIIGRSVFRYWPPSRITDTIYEPRAEYSVAGLS >ORUFI09G13470.1 pep chromosome:OR_W1943:9:14703182:14705230:-1 gene:ORUFI09G13470 transcript:ORUFI09G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEREKASVQELMTVVNEMMRNTEFAIRSYMMLRPRFIRPGAGANGGGSNPSGPAGAQSNQPVALAPTIDFYSGIPKRPSHFMQQTINRFEKYLGECCKWIAELEQLVQMENNKRQSASLESLPKVMSNVHDYFIYVASKVENLHQYVESLKTEYLHEQRRLGNANDPFLEANRREAAKQEAAARRVHPTLHLPAPVQPTTQIAGTVTSQPQQSLIPSGATSSSAFPSFSTPASAPSSSSLFSTPTTPTLSSNLFGTSGSAQLSTPFGTVSTPTLGSTPAPSGFGNTTPSFASTPALGGTSLFSTPFGESAVKTSGPTLGVADEREMWVPLVSLFPRLSFFQECAKYLRLFIKTEGKVLTSGYKLV >ORUFI09G13480.1 pep chromosome:OR_W1943:9:14706863:14707441:1 gene:ORUFI09G13480 transcript:ORUFI09G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTNGCGFFGSEATKNLCSKCYRDQLKEAASSAAVAAAAPDVANKEEEASTAAAAADEQLALCASSCGFFSSKETKNMCSKCYRDHLKATSPATATASTPNIIVPMTPAATAPTSSLKGKEEATAAASSSTAPAKPNR >ORUFI09G13490.1 pep chromosome:OR_W1943:9:14712548:14718364:-1 gene:ORUFI09G13490 transcript:ORUFI09G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQVGLLSRLLLRRHVHRRSKPATAPPPPPPPTPPRPPQKPEPVSIHGETWHDSYAWMGALSDAAAMRHMDVHMEAEEKYAEACLAAAGADRLARKLQLEMASRLASDACTPPVRWGPWLYYRRADEGKQYPVLCRRSAALHSEFISYSDPSAGFDFTAGKRIEQKLVDYNKEAERFGGYSYEELSEVSPDHRFIAYTMYDKDKDSFTLMVRDLVTGTLCDKPRADRVSNISWAMDGKALVYLINASDPLSRMRLVWEGESQVHCIVEHHHGRLYLFTDASREGTPVDSHYLMLSDVESPGPKSWKDVFLEEPGVILEDVDFCDTHMVLVLRQGRKLKLCSVKLPFPEHIRVPARLSDFHPFDLSLPNHMPDAVVDYNLPNGKWRIVQQQNMLHERTKALYGNAFAASMVKPSSKGGDLSSEDFGDCDWNELSEYYACEYYDVPSKDGVLVPLTLVYSQKHKQEGNPGLLHGHGAYGEILDKRWRSELKSLLDRGWVIAFADVRGGGGYGKKWHQDGARTKKMNSIYDFISCGEFLLEKGIIKENKLAGWGYSAGGLLVASAINTRPDLFRAVVLKVPFLDVCNTLLHPILPLTAIDYEEFGFPVDHEEFLSIRKYSPYDNIQKDVPYPAVFVTSSFNTRFGVWEAAKWVAKVREVTRYDPERPVILNLTTDVVEESKYLQTKELALETAFLIKMIHDT >ORUFI09G13500.1 pep chromosome:OR_W1943:9:14718761:14722786:1 gene:ORUFI09G13500 transcript:ORUFI09G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAGFLATPATATATRHEMPLNPSSSASFLLSSLRRSLVASLRKASPAAAAALSPMASASTVAAENGAAKAAAEKQQQQPVQVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPDFVKEAAIQAINAGKNQYARGYGVPELNSAIAERFLKDSGLQVDPEKEVTVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGANVKAITLRPPDFSVPLEELKVAVSKNTRAIMINTPHNPTGKMFTREELEFIATLCKENDVLLFADEVYDKLAFEADHISMASIPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATCTPMQAAAAAALRAPDSYYEELRRDYGAKKALLVNGLKDAGFIVYPSSGTYFVMVDHTPFGFDNDIEFCEYLIREVGVVAIPPSVFYLNPEDGKNLVRFTFCKDDETLRAAVERMKTKLRKK >ORUFI09G13510.1 pep chromosome:OR_W1943:9:14727926:14729456:-1 gene:ORUFI09G13510 transcript:ORUFI09G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGGGGGGGGAGGVGKLTRTPSSLLRSPTVRNCASFQAVVVEDPEPDDKKEQAQAKPPPHLHPHLLRAAAVPAHPLLLLALPLAVLLLLLLLRDDHHHLLLLATAAAAALAAAAGAARLLRGRLRLRRSSGSGSVQWFIGDEDDKPQKRDKGKGGAHGRVVREGVEFYSNGDCYEGEFHKGRCNGSGVYNFFGKGKYEGDWVDGKYDGYGIESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYVGEFKCGVKHGLGSYHFRNGDRYAGEYFGDKIHGFGVYSFANGHCYEGSWHEGKKQGFGMYTFRNGDKRSGDWDSGTLKTPLSPTDPSVQRAVQAAQLAAENAFHLPRVDEQVHKAVMAANRAATAARVAAIKAVQNRMDGKFCDTYV >ORUFI09G13520.1 pep chromosome:OR_W1943:9:14745149:14747731:1 gene:ORUFI09G13520 transcript:ORUFI09G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPWLLLPSFPWPPPPPPGSSSGRGGGGGGGGDPGDWKPNVVAAFAGAQLGRALRRRLAGLLRSPEARHLGALPRIGDIWFEGSDSFATHSILGVLENALSAPYACSSVLFNGNGSDRSYIGRGKLPSRRPSGINSKKRLWTNILLAVNILMIDRGELWRLATSSLLHANLAHLAFNCFSLNSIGPMVEMLTGPRRYLAVYFTSALAGSLMSYRYCASPAVGASGAIFGLVGAYAVYTWRHRRFLGHGKESLEHIGRVVILNMGMGLLTRGIDNWGHLGGLLGGMAMAWFLGPAWQYQYVSKDGRAVFKDNAPILQLSNGKWLRCHKT >ORUFI09G13520.2 pep chromosome:OR_W1943:9:14745149:14747575:1 gene:ORUFI09G13520 transcript:ORUFI09G13520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPWLLLPSFPWPPPPPPGSSSGRGGGGGGGGDPGDWKPNVVAAFAGAQLGRALRRRLAGLLRSPEARHLGALPRIGDIWFEGSDSFATHSILGVLENALSAPYACSSVLFNGNGSDRSYIGRGKLPSRRPSGINSKKRLWTNILLAVNILMIDRGELWRLATSSLLHANLAHLAFNCFSLNSIGPMVEMLTGPRRYLAVYFTSALAGSLMSYRYCASPAVGASGAIFGLVGAYAVYTWRHRRFLGHGKESLEHIGRVVILNMGMGLLTRGIDNWGHLGGLLGGMAMAWFLGPAWQYQYVSKDGRAVFKDNAPILQLSNGKWLR >ORUFI09G13530.1 pep chromosome:OR_W1943:9:14748897:14752941:-1 gene:ORUFI09G13530 transcript:ORUFI09G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSPAFLAAIAKGGHVKREKTEGDRDDEDTTALNQQSAHQQLRLEEEEEAPPLTAPRAARAQAVASDGLSGGGGGGGGGEEEEEEEERGECRDARGMGKPVDVELGGAAGLEIAGGGGGGGGGVWAVAGAIGRAASFRCVFVLALSVGVLVSALLLLVPTRGHGFLSDDPVVLGAEIQVGFTLEKPVSFLAAHMDKLGGDIFEEIGIPNSKVSIVSMRSLTSKYSTNVVFSVLPSPKEASISLPALSVLRSSLIAMMLNQVNLSLTEPLFGHPSSVELLRFPGGITVIPEQSGSVWADPLFNFVLNNSIYQILGNITELKDQLKLGLNLRSYEKVYLQFRNEIGSSVDAPATIEASVLDGSSILLPYRLKQLAQLIKEPNARNLGLNHSVFGKVKGVQLVPPSFSPSGSIHYPAPPTYMNPSLPPQASPPLPDTNPCFPCFNCDRFSSAGSPTGKPPCFRRDPKLPPFIHSPQPSVAPSPYLSPAFPPIPGHVDPPNHLPGAVPGPTYQMMSIPSPSLPVFRPSMAPWKKRRKTKSPPSIAPSPYCKYPIL >ORUFI09G13540.1 pep chromosome:OR_W1943:9:14757016:14759672:1 gene:ORUFI09G13540 transcript:ORUFI09G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEHYNTNQRKNPWERHCQEGHEALTIGPSPRPISPRQPPAAAANPKRARAARRFVEHMEGGGGGGTDMNAVPDGVVQHVLSMLSNVRDVAACACVCRRWRECVPYLPALFFPRNAFDTAAAAGGAADDAIGRMVRSVERLRELVIYCPFSMARLPEWLALRSTSLRVLELRMDAAAADKAEDGGYLDCIGLARGLEELRLWGVSLTAAPAWGRLDKLRVLEIVGAPLEDSAVKDAISACPNLTDLSLLGCDCSGAVSIELALLERCRLDFLGAGNCSLSLAAPRVESLEVQGFTWITLRGGHSLRRLSIAKSTGRVYKVDTGKLPDLEHLSLRGVQWSWAAVSSVLQCARDVKHLVMKIEFSGDFDALQPFPEVDLVDFFNSHPNLRKFDIHGAMFAALCQKNSLKNLDARFCIPCLEEVLITVRSPLNAEQKLNTLESLVKYSVRLRSMVIRISQMKNCHDAADDFFEEICKFKYMNNKKVRVE >ORUFI09G13550.1 pep chromosome:OR_W1943:9:14760445:14763558:-1 gene:ORUFI09G13550 transcript:ORUFI09G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARRGRQNAAASPPSLALQLQVASLVIVFVFAFSSAITPTRADHVEGHMSHEMFGYENDATGPAKWGSLHKEWAVCGDGKKQSPIDITTVEPQKLKWKDGNSKLTVQGKEYTLKQVHWHEPSEHTINGTRFDAEMHMVHEDPSMARAVVSVLLSTKAGQPNAVLTEMAPHFKNLAGKEKAEEEVKEPVDPSTWVDKTSGYYRYDGSLTTPPCTEGVIWTIMSKIGDASKEQIDLLKTVATTVEPNARPAQKLNDRIVRYFEV >ORUFI09G13560.1 pep chromosome:OR_W1943:9:14764917:14767463:1 gene:ORUFI09G13560 transcript:ORUFI09G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNSLLPSFLYDGVGGGGGGAPAVVAGAPSEPPFGMIELFSPAYYSACAFGGAAACGLTHAAVTPLDVIKCNIQIDPTKYKSTTSAFGVVMREQGARGFYRGWAPTFLGYSAQGAFKYGLYEVFKKEYADMAGPEYAARYKTLIYLAGSAPPPGGAAGYARGLSDGFPKIVRNESYAGLFRGLVPLWGRQIPYTMMKFATYENIVEMAYKHLIPTPKEQCSKPLQLGVSFGSGYIAGVFCAAVSHPADNLVSFLNNSKGATVGDAVKNLGLWGLFTRGLPLRILMIGTLTGTQWVIYDSFKVMVGLPTTGGAPAPAAIPIGELAELKASA >ORUFI09G13570.1 pep chromosome:OR_W1943:9:14771526:14773261:-1 gene:ORUFI09G13570 transcript:ORUFI09G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIGGGATAPMPAPSTHYPARDRELLAGSTGAVAGEEEPSADATVDVEVEREGAALAASLAWSTTSTYLASLSRRRRKRPPATSSDVAWTVEDDAAVGDELRRGAWMTEDAAAGDELRRGADGGGCGRRR >ORUFI09G13580.1 pep chromosome:OR_W1943:9:14774533:14777229:-1 gene:ORUFI09G13580 transcript:ORUFI09G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRSLACLLLPPLLLLLESVRDADAGPLAVEIVRPSFTATSYDYVDTGGAFLVSRNGSFRAAVFNPGKQQASFYLAVLHAPSGTPVWSANRDAPTSSTGKVQLSVGGITVSDANGTVLWSTPPLRSPVAALRLQDTGDLQLLDAGNATLWRSFDNATDTLLPGQQLLAGAYLSSAKGATDFSQGDYRFGVITADVLLTWQGSTYWRLSNDARGFKDTNAAVASMSVNASGLFAVAADGAMVFRVGLAPAEFRMLKLGSDGRLRIISYALVNSSAPVGGDFIAPAGDCDLPLQCPSLGYCSPAGNGSTCTCPPLFAASVTVAGSCTPGDGSTLASPAACQNNDSSSGGASVSYIALKPLTSYFATKFDAPTNTGVNKTACRALCTASCACLGFFHDSVSLSCRLIGGKQLGSLYKGASDTNLGYIKTFNSATKAGSNQIGSSSANHTVPIVLPSVAAFLLLAVLGWYIWWRNKMSKNGKKKKGKSSTMKVYLGRQKSPSRDTGYNADADDDGGGDDDDIVIPGMPARFSYQEITTMTSNFATKVGSGGFGTVYKGELPGGEGLIAVKKLEAAGVQAKREFCTEITIIGNIRHVNLVRLRGFCAEGSRRLLVYEYMNRGSLDRSLFGRTGPVLEWGERMEVAIGAARGLAYLHTGCEQKIVHCDVKPENILLANGGQVKISDFGLAKLMSREQSALFTTMRGTRGYLAPEWISNAAISDRADVYSFGMVLLELIHGRKNRGEQEAAAPANNVAVAAGSGEHSDLPSGWSSAMTSTASGTSGGGDEYFPMLALELHEQRRYLDLVDARLEGRVDEAEAARTVRVALCCLHEDPALRPSMATVVRILEGSVPPPEPRVEALGFLRLYGRSYPLPVPGSLTAMAGGGSHLDESLKDTSAPR >ORUFI09G13590.1 pep chromosome:OR_W1943:9:14788150:14789395:1 gene:ORUFI09G13590 transcript:ORUFI09G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGAAKTEGGLQVVVVVGQLRRRWGLIRPPLGRIWRPSRWIQTEETGSVRDGGRRCDGNADGATGRPAAPGEVVAGVVVGGMQGGGRPRPGRRSTTTGRPAARAEAVAGVVAATRRPRRRQLLPLLPSTSLKLGLLSQVRWPGRRRGAQREVRRWPTWVVGKEAGGEVGAASLGAMKLGNNNTLQFLRSVGVSCVQEVVLWRLGLMFKVDNRCLARFCDVFGNDDLIAVELELLCR >ORUFI09G13600.1 pep chromosome:OR_W1943:9:14790947:14792219:-1 gene:ORUFI09G13600 transcript:ORUFI09G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCGSWSDCEAAAAAAQKAVPAPFLTKTYQLVDDPATDHIVSWGDDRVSTFVVWRPPEFARDILPNYFKHNNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKQLLTEIHRRKTSSASTASPSPPPFFAPPHFPLFHHPGVAAAQHHHAFVGDDGVVAAHGIGMPFPQPHWREPNLPVATRLLALGGPAPSPSSAEAGGAGRAATAAVLMEENERLRRSNTALLQELAHMRKLYNDIIYFVQNHVRPVAPSPAAAAFLQGLGMQARKKPAAANVLNNSGGSTTSSSSLTIAEEPSPPPQQQHLAGEKSGGEAGNSSAARSSAPTKLFGVHLSAAPCGAGSKRASSPEEHPPTSPATKPRLVLECDDLSLTVAPSSSSQQQLSAASSPTSTS >ORUFI09G13610.1 pep chromosome:OR_W1943:9:14796959:14797866:1 gene:ORUFI09G13610 transcript:ORUFI09G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKEHYPCPLLVGAMYPWVCPFTRHKLIVQHFNHKSPQAKRHYHSLKKVYSCTKFNNTSVTNH >ORUFI09G13620.1 pep chromosome:OR_W1943:9:14810244:14810608:1 gene:ORUFI09G13620 transcript:ORUFI09G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCQATFPSAAICTNFSIDIFFLSLCYRKVPSHVPSTVVRTDLSLHSFKLLLYRGQCKAISHMKQGQLAFSMSCHAHD >ORUFI09G13630.1 pep chromosome:OR_W1943:9:14813607:14813983:1 gene:ORUFI09G13630 transcript:ORUFI09G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDHGCSIPSEASVAGDTIYLLPMPCRTSSPCWPLFLRHDAADPLEENTGAPSLGAHTPSTSPAMIPYLHQAEVVVLSIHDAFASSHGVILMPTTTVSHSSASTAR >ORUFI09G13640.1 pep chromosome:OR_W1943:9:14813992:14814219:-1 gene:ORUFI09G13640 transcript:ORUFI09G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGDDDRVELVVDGSHEDTRMPMSDDERGYFRLVGEITSPASMTIMETLRSCGSESMTGDGDESEVVPTTVYI >ORUFI09G13650.1 pep chromosome:OR_W1943:9:14824200:14824910:1 gene:ORUFI09G13650 transcript:ORUFI09G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLFNSYPESQLDLMSTMLQLEQLTALSDQSLFMAAPTSPPVSPMGTPSPQFSPPPQMSVTTTTAGGGYQDQYNSMPATYGAGAGVHQLDFAMSSPGSDSGAPQGSSSSSSSEAMREMIFHIAALQPVEIDPEAVRPPKRRNVRISKDPQSVAARLRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKSQVQSLERAAAATGAAAHRAAAFGAAYPAALPMQHHAPW >ORUFI09G13660.1 pep chromosome:OR_W1943:9:14833223:14834767:1 gene:ORUFI09G13660 transcript:ORUFI09G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTEGRLASVVHLPGRSRVSASPSPRRRRSPSRSPSPRRNRRRDRSPSPYRSRRDRSPSPYRDRRRQWSPYHRDRGRDVEREWARDRDHPAPRRGGGGGGGAGAGAWSASDDDDDEQLKGLTYFEYRRVKREKLRKSMKRCIWNITPSPPRREGEDEDYGYSDEEEEEEEKKESPKKVASSDKSEEEDSKGSSESDSGESDSLSDSSKSDDTRRKKKGRKGSHRSSKRSRHRRRHHSSDTEGDDNSKAEEDSEGSYDSEDSMDRRKKKRSRRHKKSKRRGRSSRRKKRKSNDTASEGSSEEEAVAAASGSSPSPLRDSKKKSRSSRRKRSKQSDSEDQAPSDADLGVKEIDETNEPEIDPEAIKFKEMLEAQKKAALENEMPVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKSKREHKVMADLQRLVQRTIGNDVGPSHDPFATADG >ORUFI09G13670.1 pep chromosome:OR_W1943:9:14835216:14848707:-1 gene:ORUFI09G13670 transcript:ORUFI09G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSEILVDAGSFRLYKDGHADRTGDMETVPAGFDADTGVTSKDVVIDAVTGVFVRLYLPLIQAATDDDGKTKLPILVFFHGGYFVVGSASCPKRHRNINDIVARARLIAVSVDYRLAPEHLLPAAYDDSWAALNWALSGADPWLSEHGDTGRVFLAGVSAGGNIAHNMTIAVGVRGLDAAVPARIEGTILLHPSFCGETRMEGEPEEFWESVKKRWSIIFPDAKGGLDDPRMNPMAAGAPSLTKLACERMLVCAASEDPIRPRERAYYDAVKRSGWGGEVDWFESEGEGHAFFVRKYGSSKAVKLMDRDAVAGDELQRGVGVWTAEDAAAGDKLRRGAWAVEDVATGNELWRSVRRGQRRALQVGDKVPARRGPSIAKEVATSDELWRGVWTAENAAASDDLRHHILTKHDSEDVSREAEESTDGEVPLEGSEELSAEARDYEEVSPGCSRRWKEARNRAIPRRIRHDLEKPTDGARAGGRKRGIGKPAFGERWSSFSFLSAGFATMRAGGGSSAMDSSSAEILIDLGGFRLYKDGHADRAGGMESVPAGYDDETGVTSKDVVIDAVTGVSARLYLPPCIQPATDDDGKKLPILLFFHAGYFVVGSASWPPVHRYTNSVVASARVVAVAVNYRLAPEHLLPTAYDDSWAALSWAVSGADPWLSAHGDTGRVFLSGASAGGNIAHNMTIAVGVRGLDAVVPAPRIEGTILLHPSFCGETRMEVEPEEFWGGVKKRWAVIFPDAKGGLDDPRMNPMAAGAPSLTKLACERMLVCSAGFDPRRTRDRAYYDAVKASGWGREVDWFESEGEGHHFFVDKPGSHEASKLMERVYVRKN >ORUFI09G13680.1 pep chromosome:OR_W1943:9:14858824:14862663:1 gene:ORUFI09G13680 transcript:ORUFI09G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMRCVGGGDTPLGFRGVVEEELEEEVAAVSASGRPMQRQRRRRRRWGEDADDGYSASSTGGGGSSGCGSFGCDSPLAGFVRADGDPDTDLETDGVATPSSNGEHNAVHSSQFVFLPVFLLGWSATLQPLKGVLFSLKPLQHSPSHTTRRRGTRCCVGWWKGTGHSCKNQPRVRQTVPPEMVLGCSFCMLVWCSTECLYQRRRSEAVLLQGRKGLKQRPASLDFGSGSPGFNGAPLSPGFVVGGVGLMNKGLVSSSFIRSDVFPSPRTPNYRRHRSSVFGYQKGWSSERVPLASKGNRRYPGSSMAFPFSNGRTLPSKWEDAERWIFSPNSSDVLEKTSFAPARRPKSKSGPLGPPGKFGGQYSSVSLLDNGRVGHLTANSPFLAGVLIPEHYCGEKDNIGRYMSRTAGEEASIGIGGKSCLANGGSHATQYNRVRRRLDTAIESSPSLPSTQASVQDEQVGITEESASIITPIILRKDAATQTSPNLSRSSSPSVSTPFIHLLTTHQVREKENCFSDVIRDVHMDDRVTLTRWSKKHVTRASSKNSTNVIDVKKKTVESKSSSWELTEAKSISKVEREQEKITAWEHLQKAKAEAAIQKLVMKIEKKRSSSLDKIWNTLRSAQRRAQCFLF >ORUFI09G13690.1 pep chromosome:OR_W1943:9:14865979:14870066:1 gene:ORUFI09G13690 transcript:ORUFI09G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDRWGGRREAMEFGNGGSSSSERRAAAEGATLARQGSVYSLTFDEFQSALAGGGGGGGGGSGFGKDFGSMNMDELLRSIWTAEESQAMASASGSAAGVGVAVGAPPTSLQRQGSLTLPRTLSAKTVDEVWRNLVRDEPPPVGAADGGDMPPQRQSTLGEMTLEEFLVRAGVVRENPPAAPPPVPPPMPPRPVPVVPKTTAFLGNFPGANDAGAAALGFAPLGMGDPALGNGLMPRAVPVGLPGAAVAMQTAVNQFDSGDKGNSDLSSPTEPMPYSFEGLVRGRRNGGGVEKVVERRQRRMIKNRESAARSRARKQADIMEMQKNEVEEMIKDPFGRRKRLCLRRTLTGPW >ORUFI09G13700.1 pep chromosome:OR_W1943:9:14872726:14873833:1 gene:ORUFI09G13700 transcript:ORUFI09G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAAVTSDTVEKGVDVGGGGMGIGQWLWWPNQLVRGARRHVVTSAFPLSSPTIATTSRRVMTPELILPRDLHFPLTHHHRGTSYSGRHGDVTRCNYVRALHPTHPSSHRIGPGQPAQGSTDVLAGSASLLLSSAICCDSLPRQSMHCHPDTDRASLRPFAPATPFWHTNPELCVV >ORUFI09G13710.1 pep chromosome:OR_W1943:9:14879277:14880467:-1 gene:ORUFI09G13710 transcript:ORUFI09G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDSRMAGLAHPAAHAAGLRRLSTRAAAGPPSASASPRHGLHSFDGVAAAVLSHLRKTGVVVLPGLSDAEFARVEAEMGFTFPPDLRAVLAMGLPSGAGFPDWRGRAGLRAAFDLPIAAASLQIAKGALWPRCWGRRPSDPDRARRLARSAIRRAPLLVPLFDRCYLPCRPCLAGNPVFFITDDRVLCCGLDILHFFTRESSFQPLDVSSPSATPSSGICTPHTRRSLDAVCGGQAPRWIEFWSDAASDRRRRDSSSSEASTASSSSSSSGCPSPPPRSTPLWVDNYLDKLGSVLKKGGWRDREVDEMVEVTASGLFDGEEAPPADADAVLDALFLKTDRCSDSLRRAGWTSEDVSDAFGLDLHRRKEQPRPAVQIPPEIAAKVQRLAQAVARP >ORUFI09G13720.1 pep chromosome:OR_W1943:9:14892231:14899466:1 gene:ORUFI09G13720 transcript:ORUFI09G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAAAAAAVGKQQQKGGGGRGGGGGGPAPFLTKTHQMVEESATDEVISWGKEGRSFVVWKPVEFARDLLPLHFKHCNFSSFVRQLNTYGFRKVVPDRWEFANGNFRRGEQGLLSGIRRRKATTPQSSKSCGSGVNVAFPPPLPPLPPAPSATTSSGNDRSSSSASSPPRADITSENEQLRKDNQTLTTELARARRHCEELLGFLSRFLDVRQLDLRLLMQEDMRAAAGGVGGEQREQEHAREEKCVKLFGVLLDDTHGAATRKRARCEEAAASERPIKMIRIGEPWVSVPSSGPARCGGDN >ORUFI09G13730.1 pep chromosome:OR_W1943:9:14915944:14916246:1 gene:ORUFI09G13730 transcript:ORUFI09G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTANPRKTMQHHAEQGQSPCHRHQSGRSQPATGQTEGKGRTEERGKRDGRTHMVNPPTSQRHAPTDMWDHKGVDAHA >ORUFI09G13740.1 pep chromosome:OR_W1943:9:14924122:14927176:-1 gene:ORUFI09G13740 transcript:ORUFI09G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFVIVIVISFFISLAFMCYVHYTSRQRRKLHGYGHEKAVRLPPGSMGWPYIGETLQLYSQDPNVFFASKQKRYGEIFKTHILGCPCVMLASPEAARFVLVTQAHLFKPTYPRSKERMIGPSALFFHQGDYHLRLRKLVQGPLGPDALRALVPDVEAAVRSTLASWDGNVSSTFHAMKRLSFDVGIVTIFGGRLDERRKAELRQNYAIVEKGYNSFPNSFPGTLYYKAIQARRRLHGVLSDIMRERRARGEPGSDLLGCLMQSRAGDDGALLTDEQVADNIIGVLFAAQDTTASVLTWIVKYLHDHPKLLEAVRAEQAVIRAANDGGRLPLTWAQTRSMALTHKVILESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHHNPDYFQDPQKFDPSRFKVSPRPNTFMPFGNGVHACPGNELAKLEMLVLIHHLVTGYRWEIVGSSDEVEYSPFPVPKHGLLAKLWRDDSVSVETDGCQNGDNDDNGVAMV >ORUFI09G13750.1 pep chromosome:OR_W1943:9:14958544:14972454:1 gene:ORUFI09G13750 transcript:ORUFI09G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQMAALCGFLLVALLWLTPDVAHAQTQILFQGFNWDSWKKQGGYMPGRLYDLNASKYGTKAELKSLIAAFHAKGIKCVADIVVNHRCADDKDGRGVYCIFKGGGPRGCLDWGPSMICCDDTQYSDGTGHRDTGADFAAAPDIDHLNPLVQRELSDWLRWLRRDVGFDGWRLDFAKGYSAAVARTYVQNARPSFVVAEIWNSLSYDGDGKPAANQDGQRQELVNWVKQVGGPATAFDFTTKGILQSAVQGELWRMRDKDGKAPGMIGWYPEKAVTFVDNHDTGSTQRMWPFPSDKVILGYAYILTHPGVPCIFYDHVFDWNLKQEINALAATRKRNGINAGSKLRVLAAESDMYVAMVDERVITKIGPRIDVGNIIPSDFHIVAHGNDYCVWEKSGLRVPEPEANAPRTIGNTDKPRLLAARADTDMDHPDVDCERERGDDDVRGHLTYGAYVGPTLSQLPRQTKLESKPPRDVVCIGFWLTFTQQKPGRIWRWMRCSLKPPVTYGASSRPHRIAHPHRLLVLIVNCGNLIQVIARRGPSSTSSNKPASFRRWRRAEPRWPWLCAADAAPQAALNHASPPMELVDRLQALGRVLLGRRQTRHLVEVLESAKTRVPVLTAAPRRSGPARDELRRPKSRPETIPREALVVEFGGAFNGEVERRHVVRKLTGEGRNMRQGAMAKRIASMSSLLLIALLCLSSHLAQAQVLFQGFNWESWKKQGGWYNFLHGHVDDIAATGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTGAELRSLIAAFHSKGIKCVADIVINHRCADYKDSRGIYCIFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNTRYSDGTGHRDTGADFAAAPDIDHLNPLVQRELSDWLRWLKSDVGFDGWRLDFAKGYSAAVAKTYVDNTDPSFVVAEIWSNMRYDGNGEPSWNQDGDRQELVNWAQAVGGPASAFDFTTKGELQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFIDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGVPCIFYDHVFDWNLKQEISTLAAVRSRNEIHPGSKLKILAAEGDVYVAMIDDKVITKIGTRYDVGNLIPSDFHVVAHGNNYCIWEKSGLRVDVRQQRRSHEGRLQPGTASPPPGNGNGKNKRKLYLEPTTLSKALSNFLLASFLSSFK >ORUFI09G13760.1 pep chromosome:OR_W1943:9:14972628:14974195:1 gene:ORUFI09G13760 transcript:ORUFI09G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSTTMSCLLFFVLLCLGSHLAQAQVLFQGFNWESWKKQGGWYNFLHSHVDDIAATGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTGAELRSLIAAFHSKSIKCVADIVINHRCADYKDSRGIYCIFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNTRVQTELSDWLNWLKSDVGFDGWRLDFAKGYSATVAKTYVFAKGYSAAVAKTYVDNTDPSFVVAEIWSNMRYDGNGEPSWNQDGDRQELVNWAQAVGGPASAFDFTTKGELQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFIDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGVPCIFYDHVFDWNLKQEISTLAAVRSRNGIHPGSKLNILAADGDVYVAMIDDKVITKIGTRYDVGNLIPSDFHVVAHGNNYCVWEKSGLRVPAGRRH >ORUFI09G13770.1 pep chromosome:OR_W1943:9:14975483:14976307:1 gene:ORUFI09G13770 transcript:ORUFI09G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKVIPAMAAARQDSCKTKLDERGGSHQAPSSARWISSEQEHSIIVAALRYVVSGCTTPPPEIVTVACGEACALCGIDGCLGCDFFGAEAAGNEEAVMATDYAAAAAAAAVAGGSGGKRVRRRRKKNVYRGVRHRPWGKWAAEIRDPRRAVRKWLGTFDTAEEAARAYDRAALEFRGARAKLNFPCSEPLPMPSQRNGNGGDAVTAATTTAEQMTPTLSPCSADAEETTTPVDWQMGADEAGSNQLWDGLQDLMKLDEADTWFPPFSGAASSF >ORUFI09G13780.1 pep chromosome:OR_W1943:9:14978386:14982022:1 gene:ORUFI09G13780 transcript:ORUFI09G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLNIEGIPQVPIDPNSVDVLSSSNIDPNSVDILSSSNIDPNSVDILSSSNIDPNSVNVLSSSNIDPNSVDILSSSNIDPNSIDVLSSSNESKPTISFDLPSSFSVGHTRHSSEDLSSLTINNLRINHREDNYQSQFEEKRIHSHSHTRRFSEDLSSLKINDLCANKEEENYDNQLERKEIYRHNSAGNIFRAAEIAERFIQTIDKRVLVDTAAPIESVKDAVSKFGGILDWKERRKHVQVELDKMQEDAPEYKRRVEVTEVEKSKVLEELYCTRRTIERLKIDLDKSHTETIQAQQDLELAEIRFEEMQQGIARKERSITKAKIEVANERRATALEDLQSVKMELDQLQKEYTSLISQRDNTETKARKAIVASQEIEKVVQDLTIKVITMKDLITTSQANHVIAEGKKINAALAYQQDMVNWQNELKQIDDEVQKLNDDLSLNKDLESKLQTASMWLMNLRDEFKAHVDGTLPKVPSEAREEERPMIIVCAKLARTRKELENMRIDIDKAKDDVKSLWNAAATLRANVEMEKTNIASLRQKENLAFESALALQEELSKIAFELSMVEERTKAAKMPLELQQATKKLEHAKLNAVFARNEMEKAREEADQAQAEVNVVQLRIEATLREILAVNASREIAVASANALQDYKQEIELEPLANRKNNNVTLSLEEYNVLCKKVQDAEDSAKKQVIRAIEKIKKAKDAEVRSLDRLDQLIKQIDDRRVALREAHEKANVACDGKLAMENELRKRRAHHEKQRNTGEVSLPIAQISNVKNTSTSFDAVGSSNSNPYKYRMLLPRADTIATTIAESRPRKSFFPRSLVAMFMFRRKTHLK >ORUFI09G13790.1 pep chromosome:OR_W1943:9:14984980:14986715:-1 gene:ORUFI09G13790 transcript:ORUFI09G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKPTRPHRRPPPLPSKTSGVWPVALLVVLCFAALPLFLALSRARPTLSDVSQMGVTVTVHDEDPAGTPPESSPANRDRLLGGLLSPDIGESACLSRYKSSLHRKPSPHSPSPYLVSRLRKYEALHRKCGPGTLFYKKSLMQLTSAYSMGLVECTYLVWTPCGGSHLGDRMLSMVSAFLYALLTHRVFVVHVTDDMAGLFCEPFPAASWELPAGFLVHNLTQLGRGSEHSYANLLGAKKIKTDDPAGVRSESLPSYAYVHLEHDYQQSDQLFFCDDDQTVLAKVNWLILRSNLYFTPGLFLVPQFEDELRWMFPARDTVFHHIGRYLFHPSNKVWELITRYHTSYMAKFEENIGIQITTFAGSKVSSEEYFKQIVACTSQEKILPEIDPNATSSANEAALGTTASKAVLVSSAQPSEYAEKLKAMYYEHATVTGEPVSVLQPAGAGKQAPNQKALVEMFLQSYCDVSVVSGRSTVGYVGHGLAGVKPWLLLTPTNRTASANPPCIQTTSMEPCFHAPPSYDCRAKKDGDLGAVLRHVRHCEDVGDGLKLYD >ORUFI09G13800.1 pep chromosome:OR_W1943:9:14989372:14993267:1 gene:ORUFI09G13800 transcript:ORUFI09G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGRGSERLRRRRGPRCATAAARRPRCASICDGSGMAAAAAASLFLLSAVNFVDGGGNDGLSLPPFCSNSNWVPPSKAGSMHDGSGTAASMPDGISRLDARRRQQPRCVTAAWRPRCATVAAARRPRCTTAATTLMRDGGAMASMGDGGRWKRGDLIFVSPIIPLLNQRSLNSQAGNEPTTISLRLHLPPPPMIPLSLLAVVLVFGIAGAADGLSGYQISCGATSEKVVGDVTWVPDGRFVSVGNVSDVRSPGVLPVLSSLRYFPDTSARKYCYVVPAERKRKYLVRTTYFYGGFDGGSAPPVFDQIIEGTRWSEVDTAGDYARGLATYYEAVVLATEKEVSVCLARNAATKSSPFISALEVSPLEDSVYNSTDFESYALSTIARHSFGHDGSAAVSYPGDRFNRFWEAHSDGMPVVESQASVSQAAFWNKPPEDVFRRGVTTAGGRGESLELQWPPAPLPAASYYLALYFQDNRAPGPLSWRVFDVAVNGETFFAGLNVSMAGSMLYGDKWPLSGRTKITLTPAPGSPVGPVINAAELMMVVPLGGRTHPRDVIGMQALARGFDNPPADWAGDPCLPQGNSWTVGGSISDGIANLTAISSIWLVGNNLTGPIPDMSLLHHLVSLHLENNRLTGQIPPSLGSMPRLRELFVQNNALQGAIPISFKNKTGFMFQYAPGNNLS >ORUFI09G13810.1 pep chromosome:OR_W1943:9:14993961:14998584:1 gene:ORUFI09G13810 transcript:ORUFI09G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSNPTPLLSSPRPNPSLPLPRRARRPYPPPAANTTGAASTPDWFRPRAPPDADPSTSGGRVAARDPGVRVRAREGAEEEKKGRGRGRRRWWDWWSGDRESYLVDDVEPLPLPLTVPDTEPMSREELDRRLSCDVEIEDCKTVSYEWTGKCRSCQGTGLVSYFRKKGRETICKCVPCAGIGYVRKITFRQDIENMDELDNGKPP >ORUFI09G13810.2 pep chromosome:OR_W1943:9:14993961:14998019:1 gene:ORUFI09G13810 transcript:ORUFI09G13810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSNPTPLLSSPRPNPSLPLPRRARRPYPPPAANTTGAASTPDWFRPRAPPDADPSTSGGRVAARDPGVRVRAREGAEEEKKGRGRGRRRWWDWWSGDRESYLVDDVEPLPLPLTVPDTEPMSREELDRRLSCDVEIEDCKTVSYEWTGKCRSCQGTGLVSYFRKKGRETICKCVPCAGIGYVRKITFRQDIENMDELDNGKPP >ORUFI09G13820.1 pep chromosome:OR_W1943:9:15002420:15002737:1 gene:ORUFI09G13820 transcript:ORUFI09G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFGFDLSARARGIGDVYHPFNETAVREKFKEWIRQFDTDGDGRISRDELRRAMRAVGVRFTGIKCRRGMSHADADGDGYIDDSEIDGLIEYWGRRLGLGVAAY >ORUFI09G13830.1 pep chromosome:OR_W1943:9:15008218:15008514:1 gene:ORUFI09G13830 transcript:ORUFI09G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSMTVATTTRSLDGDMTVDEFKEWLRRFDVDRDGRISRDELRRAMRAIRARFTGWRSRQGISYADADGDGYIDDGEVDGLIEYAQKNLGLRIVAY >ORUFI09G13840.1 pep chromosome:OR_W1943:9:15020747:15022830:1 gene:ORUFI09G13840 transcript:ORUFI09G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVNMYNPEHHHHQSSSFMAPRISFSSDFALEPPPPAQQQPAARAPGDADFEFSVGSHPMMAADQLISKGRLLPLREAPQGHGGADAGGRPLTLRDELRTDSRHGRVPRAPNIRWKEFLGLKKAPKKAPTADAAAGATSSSADTQMDLGGQGSTRD >ORUFI09G13850.1 pep chromosome:OR_W1943:9:15025873:15027007:-1 gene:ORUFI09G13850 transcript:ORUFI09G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAARRPPSLSPPTPAAQPPLHPDTGAGASLSSAIDLLAAPSAAAGECGPPAPARLVPAMLARRKPRIDEAMEPYEYEKGWKPGREKFGQELVFKAWQTLVRSQCKPLKRVSLAAGQLRDWPKQPHPTVQ >ORUFI09G13860.1 pep chromosome:OR_W1943:9:15029077:15029871:-1 gene:ORUFI09G13860 transcript:ORUFI09G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNTPRPRSQPPPPHPPLFKPTTPPPPPLLSTSTSTSPPHDFSFAHYLSSPPPSVQRRGRADMSRTPPLGRVGSDLSHNNYSSKANQHRQTGSSSSSKEKDREYKAKSKASSPFFSGLGGSWRSGLSRDEEVKRKAKAKTRGLDVGQWVKKYMASMVEHLLASFSRHGGGEREKREQQRRRPHSFSAHGPSALREQRERWRRRRGQLSSAPASLRASPVNSGHLSVGGSVKVSTSSEESTMEELQSAIEAAIAHCKNSITVAK >ORUFI09G13870.1 pep chromosome:OR_W1943:9:15036448:15040863:-1 gene:ORUFI09G13870 transcript:ORUFI09G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPDVLCGEAARSRKGEDFTLLLAEAGERVAGDPSTSFSVFALFDGHNGSGAAMYAKKNLLNNLLRAIPSGLSRDEWLAVLPRALVAAFVKTDKDFQAVAETSGTTVTFVVIDEWVVTVASVGDSRCILESADGSLYHLSADHRFDSNQDEVQRVTACGSKVGKLNLVGGPEVGPLRCWPGGLCLSRSIGDMDVGECIIPVPHVKQVKLSNAGGRIIIASDGVWDDLTFEMALECSRGFPSDIAANRIVNEAIHPRGLRDDTTCIVVDILPPEKLAPSPPTKRQGKIVFNNMFRRKHTDVSFILDREYAEPDEVEEIFDDGSAMLSKRLAAGYALQSMFEPFSCAVCQVQLKAGQGISVHSNPLQHEKLQGWQGPFLCQSCNEKKDAIEGKRPPRDS >ORUFI09G13880.1 pep chromosome:OR_W1943:9:15045726:15057866:1 gene:ORUFI09G13880 transcript:ORUFI09G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPGMSALVTGGGSGIGKALCIALAQKGLFVTVVDFSEENGREAASLVQNENKKFHGDLKVPSAMFIKCDVTNAGDLSAAFGKHVDTYGGLDICINCAGIAIKTLVYDDRSDGTSTWRHAVNVNLVAVIDGTRIASQIMRSGKKPGVIINIGSAAGLYPMNFDPIYSGTKAGVVMFTRSLAPLKRHGIRVNVLCPEFVQTNMAEQLSRRIIDVTGGYIKMEDIVNGTFELIKDESKAGACLWITKRRGMEYWPTPEEQRKYMLNLPKSKRMLTQNTFSTVQTPEFYEKIVVHTLSHNFRDATRLERVRLRLPVEPHNALVKIIYAGVNASDVNFSAGRYFSGGAKETAARLPLDAGFEAVGIVASVGDSVNHIKVGSPVALMIFGAYSEFTQVPAKHLLPVPRPDPEVVAMLTSGLTASIALEKAGQMASGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKCKAALLSSLGVDRVINYQNEKIKDVLKKEFPRGADIIYESVGGEMFDLCLNALAVHGRLIVIGMISQYQGEHGWKPRNYTGLCDKILAKSQTVAGFFLIQYAHLWQDHLDKLFDLYASGKLKLCMTRCIQQVSLDPKKFVGVASAPDAVEYLHSGKSVGKVVVCIDPSYSQTLAKL >ORUFI09G13890.1 pep chromosome:OR_W1943:9:15060078:15061347:-1 gene:ORUFI09G13890 transcript:ORUFI09G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATVTAVQPAASSCGKRDGDNACVVDMPRKAKKGRSPPEEEVEAFLAAAESSVARRFAAKYNYDIVKDAPMDGRYEWVRVRP >ORUFI09G13900.1 pep chromosome:OR_W1943:9:15062150:15064871:1 gene:ORUFI09G13900 transcript:ORUFI09G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWCPGTVTALSDSRDSYSDMLEGGNFSNQETLYEVLSVRKDATYDEIRAAYKSAVLNTHPDKAQMALNPLVSSSERNEFLSLQKAWEILRYPKSRAEYDKQLQSSRQNLEIVATEIEIDDMIVESTADSVELLYPCRCGDYFSITSRELGQIGISVREDGEMELHTSDSVPASVVLGCGSCSLKARLVTNKT >ORUFI09G13910.1 pep chromosome:OR_W1943:9:15068340:15069925:1 gene:ORUFI09G13910 transcript:ORUFI09G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLAQEYHPKLPATNHYCKSLSSLIRETYAHCHVPCARIPAAGWSSGDDSDDDDSLLDEVLDTKQVIMNEMRNRQMKKRARCSLDSPMSSPFVWSFTPLDPRSVLEKFPSPKESVTEEEKTTGKEEEVGGDADDESEAFFSVKSFFSRSTSRGATVASSAFAAVDPPPPLLSPAKWEGLRDCEGWPFGLCRLPGPAVLPLPPLPSTPADSWQWRKCSSNLAGSPAPAYSYKTTPSRSSS >ORUFI09G13920.1 pep chromosome:OR_W1943:9:15074023:15081919:1 gene:ORUFI09G13920 transcript:ORUFI09G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVGGPSTEGPGSIVSKSLLEPIRSHKDLDKDSAPLYDKAVKFYDQIAKQLVHQGHVLDVFACAVDQVGVAEMKVAIEKTGGIVVLAESFGHSVFKDSLLRIFQSSDNDLGLSFNGILEINCSKDVKIQGIIGPCASLEKKSPLSSDTVIGQGNTSAWKMCGLDKKTSLCLVYDIAKKDGSNTIGQAASNQFYFQFLTYYQHHEGQMRLRATTISRRWVSGSDSVQELIGGFDQEAAAAVMARLVSFKMETEADFDPIRWLDRALIRLCSKFGDYQKENPSSFSLSPRISIFPQFVFNLRRSQFVQVFNNSPDETAYFRMVLDREDVTNAVVMIQPSLISYSFQSGPEPVLLDVTAIAADKILLLDSYFTVVIFHGITIAQWRNAGYQDQQDHEVFAQLLKSPHEEADTIIRERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNSSHGGDVIFTDDVSFQVFMDHLMRLAVQ >ORUFI09G13920.2 pep chromosome:OR_W1943:9:15074133:15081919:1 gene:ORUFI09G13920 transcript:ORUFI09G13920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLEAQDGIRMPWNVIPGTREDALSCVVPISAIYTPLKQVPDIPVLPYSPLRCRMCRSILNPFSIVDYVAKIWVCPFCFQRNHFPQHYSSISESNLPAELFPQYTTVEYISTAETGPVVPPVFMFVVDTCMIEEEIGYLKSALAQVVELLPDNSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKDEMLDQMCFFAGKRKPTTGVIAGTRDGLSSESIARFLLPASECEFVIEELQKDPWPVPADQRASRCTGAALSVAASLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLLEPIRSHKDLDKDSAPLYDKAVKFYDQIAKQLVHQGHVLDVFACAVDQVGVAEMKVAIEKTGGIVVLAESFGHSVFKDSLLRIFQSSDNDLGLSFNGILEINCSKDVKIQGIIGPCASLEKKSPLSSDTVIGQGNTSAWKMCGLDKKTSLCLVYDIAKKDGSNTIGQAASGFDQEAAAAVMARLVSFKMETEADFDPIRWLDRALIRLCSKFGDYQKENPSSFSLSPRISIFPQFVFNLRRSQFVQVFNNSPDETAYFRMVLDREDVTNAVVMIQPSLISYSFQSGPEPVLLDVTAIAADKILLLDSYFTVVIFHGITIAQWRNAGYQDQQDHEVFAQLLKSPHEEADTIIRERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNSSHGGDVIFTDDVSFQVFMDHLMRLAVQ >ORUFI09G13920.3 pep chromosome:OR_W1943:9:15074133:15081919:1 gene:ORUFI09G13920 transcript:ORUFI09G13920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLEAQDGIRMPWNVIPGTREDALSCVVPISAIYTPLKQVPDIPVLPYSPLRCRMCRSILNPFSIVDYVAKIWVCPFCFQRNHFPQHYSSISESNLPAELFPQYTTVEYISTAETGPVVPPVFMFVVDTCMIEEEIGYLKSALAQVVELLPDNSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKDEMLDQMCFFAGKRKPTTGVIEELQKDPWPVPADQRASRCTGAALSVAASLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLLEPIRSHKDLDKDSAPLYDKAVKFYDQIAKQLVHQGHVLDVFACAVDQVGVAEMKVAIEKTGGIVVLAESFGHSVFKDSLLRIFQSSDNDLGLSFNGILEINCSKDVKIQGIIGPCASLEKKSPLSSDTVIGQGNTSAWKMCGLDKKTSLCLVYDIAKKDGSNTIGQAASGFDQEAAAAVMARLVSFKMETEADFDPIRWLDRALIRLCSKFGDYQKENPSSFSLSPRISIFPQFVFNLRRSQFVQVFNNSPDETAYFRMVLDREDVTNAVVMIQPSLISYSFQSGPEPVLLDVTAIAADKILLLDSYFTVVIFHGITIAQWRNAGYQDQQDHEVFAQLLKSPHEEADTIIRERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNSSHGGDVIFTDDVSFQVFMDHLMRLAVQ >ORUFI09G13920.4 pep chromosome:OR_W1943:9:15074023:15081919:1 gene:ORUFI09G13920 transcript:ORUFI09G13920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLEAQDGIRMPWNVIPGTREDALSCVVPISAIYTPLKQVPDIPVLPYSPLRCRMCRSILNPFSIVDYVAKIWVCPFCFQRNHFPQHYSSISESNLPAELFPQYTTVEYISTAETGPVVPPVFMFVVDTCMIEEEIGYLKSALAQVVELLPDNSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKDEMLDQMCFFAGKRKPTTGVIAGTRDGLSSESIARFLLPASECNRRIAKGPLACSS >ORUFI09G13930.1 pep chromosome:OR_W1943:9:15082771:15087007:1 gene:ORUFI09G13930 transcript:ORUFI09G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPNPHLSMSINGGATVSAGWAATQPRRRRTVDHSSRSCLLRPSYGSTRGFGTRRIAVRSASQKNSSPPLMTTEQEAEDEVVLESPAHFRIYKSGKIDRLNRPPVLPAGLDEATGVTSKDVVLDADTGVSVRLFLPKLQEPSKKLPVVVFFHGGAFFIESAGSETYHNYVNSLAAAAGVLVVSVDYRLAPEHPLPAGYDDSWAALQWAASAQDGWIAEHGDTARLFVAGDSAGANIAHEMLVRAAASGGGPRGGGAAAPVEIEGEPEGGAAITAAMWNYACPGAAAGADDPRLNPLAAGGPVLEELACERMLVCAGGKDVLAARNRAYYDAVAASAWRGSAAWLESEGEGHVFFLGNSECENAKQLMDRIVAFIADKIQGRKRTCGRRSPSQTYLIISPFAIEPAGGQNAFCGSIHQFAMASETEPDAVVFEAPAHFRIYKSGKMDRLHRPPCLPAGVDEATGVASKDVVIDAGTGLSVRLYLPKIQEPSKKLPVLVFFHGGGFLIESADSSTYHNYVNPLAAAAGVVVVSVDYRLAPEHPLPAAYDDSWAGLLWAASAQDGWLAEHGDVSRLFIAGDSAGGNIVHDMLLRAASNGGPRIEGALLLHPWFGGSTVLEGEPPAAAALTGMIWCYACPGASGGADDPRMNPLAPGAPALEKLACERMLVAAGQTDGLAARDRAYYDAVAASPWRGTATWVESEGEGHVFFLEKPECDKAKQLMDRVVEFISAGSPA >ORUFI09G13940.1 pep chromosome:OR_W1943:9:15090147:15091352:1 gene:ORUFI09G13940 transcript:ORUFI09G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHADEVVFDGPYFRIYKNGKVDRLHRPLLVAAGVDDATGVVSKDVVLDAGTGLFVRVFLPKVQDQETGKKLPVLVYFHGGGFIIESADSATYHNYLNSVAAAAGVLVVSVNYRLAPENPLPAGYDDSWAALQWAVSAQDDWIAEHGDTARVFVAGDSAGGNIVHEMLLRASSNKGPRIEGAIVLHPFFGGSTAIDGESDDAVPKGSKLWAVACPGAANGVDDPRMNPTAPAGAPALENIGCERLLVCTAQEDWLVARGRAYYGAVAASAWRGSAAWHETEGEGHVFFLRDPGCDKAKQLMDRVVAFISGA >ORUFI09G13950.1 pep chromosome:OR_W1943:9:15093496:15094434:1 gene:ORUFI09G13950 transcript:ORUFI09G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDADELVFDSSYLRIYKNGKVDRLHRPPLLAAGVDDATGVVSKDVVLDAGTGLFVRVFLPKVQDQELGKKLPVLVYFHGGGFIIESADSATYHNYLNSVAAAAGVLVVSVDYRLAPENPLPAGYDDSWAALQWAVSAHADDWITEHGDTARVFVAGDSAGGNIVHDVLLRASSNKGPRIEGAIMLHPFFGGSTAIDGESDEAVYIASKVWPFACPGAVNGVDDPRMNPTAPGAPALEKLGCERLLVCTAQEDWLVARGRAYYGAVAASAWRGSAAWHETEGEGHVFFLRDPGCDKAKQLMDRVVAFIASA >ORUFI09G13960.1 pep chromosome:OR_W1943:9:15096368:15097303:1 gene:ORUFI09G13960 transcript:ORUFI09G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNAADELLLELDSLRIYRSGKMDRLHHPVLAPAGVDAATGVTSKDVVVDADTGLSVRVFLPARPDPSKKLPVLVFFHGGAFVIESAFSTTYHGYAASLAAAAGVVAVSVEYRLAPEHPVPAAYDDAWAALQWAASGKDEWLAEHADNGRLFLAGDSAGGNMVHNVMIRAASSHPAPRIEGAILLHPWFGGNAVIEGESEATARDMAKIWEFACPGAVGGADDPRMNPTAGGAAGLENLRCERVLVCTGEKDWAGARGRAYHAAVAESAWRGSAAWLESEGEGHVFFLEKPECAKAKELMDRVVAFISAS >ORUFI09G13970.1 pep chromosome:OR_W1943:9:15108342:15109388:-1 gene:ORUFI09G13970 transcript:ORUFI09G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILLPILLFLLCSRGGSGAPAARRGMRVPPLGGDPVKFDFSPFLIEYKSGRVKRLMGTDVVAASADVLTGVSSRDVAIDPANDVRARLYLPSFRATAKVPVLLYFHGGAFVVESAFTPIYHAYLNTLAAKAGVLAVSVNYRLAPEHPLPAAYDDSWAALKWVLANAAPGTDQWVSQYGDLSRLFLAGDSAGGNIAHNLALRAGEEGLDGGARIKGVALLDPYFQGRSPMGADAMDPAYLQSAARTWSFICAGKYPIDHPYANPLALPASSWQRLGCSRVLVTVSEQDRLSPWQRAYYATLRSSGWPGQAELYETPGEGHVYFLTKLSTPQAQAEMATLVAFINRN >ORUFI09G13980.1 pep chromosome:OR_W1943:9:15110749:15111713:-1 gene:ORUFI09G13980 transcript:ORUFI09G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHVSVMDRKTVSAFQAKKSREVSFVVIEPVQGRSDTSVPPNLEVQQDTIVRLMHIQIHYKTAPFTGWFQFGIIGFGGATAQHRWHRRRYLRFINRSCRQYNPMTKEAPNRQMIILGTESLSSTEIFAIGNGDCCINRRSKRGESWSSGKQFVSSETARTHNY >ORUFI09G13990.1 pep chromosome:OR_W1943:9:15113619:15115264:-1 gene:ORUFI09G13990 transcript:ORUFI09G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTVMLSAALGPSPLTVPPWYGLFLLLPWKPDAINQFVMTIHGIHRGAHDVQGTNSGPTPFCVPCPPGRERGPKEERHPHHRARRKERKRTGPAPAMAMQPPRRKTGPAPTMTTQPLRIRIGRGEEEGRGRGELEVDPRRRLGHTAATVVGGGGEGAAATPLDSRRSEEEERSGGRRGACPRVGQEGRPSKEEIRGVDRSWKKREAGEEGAAARWGERPPDLAAPPPLRPAPSPPRHRSARCRRRSSCHPALYGWKRKRGEREKWKSGTGETQTFSLVLGYKMF >ORUFI09G14000.1 pep chromosome:OR_W1943:9:15118605:15119564:1 gene:ORUFI09G14000 transcript:ORUFI09G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATTELRFDTPLLRIYNDGRVERLFGTETTPAGFDGATGVTSKDVVIDDATGVSARLYIPDLPASGPGHHRKKLPIVVYFHGGGMVLDSAASPTYHRYLNSLVSKAGALAVSVNYRLAPEHPLPAAYDDAWAALSWTASAADPWLSEHGDVGRVFLAGDSGGANVVHNVAIMAGAGQSSLPPGATVEGVIILHPMFSGKEPIDGENAETRELTEKLWPLICADAEAGLDDPRLNPMAEGAPSLQKLGCRKLLVCSAESDIVLARAAAYYQAVMASGWPGMAEWLESKGEEHVFFLNKPDCEESVALMDRVVAFLAGN >ORUFI09G14010.1 pep chromosome:OR_W1943:9:15120485:15121591:-1 gene:ORUFI09G14010 transcript:ORUFI09G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPELSRWLLRVALLAAPLAALLLQLPIPISLKPRSTDTAAAAAMDAAASEIEFDMPGVLRMYKDGRVERFDGTQTVPPSPSGDPANGVVSKDVVLDPAAGISARLYLPPGVEPGKKLPVVLFFHGGAFLVHTAASPLYHRYAASLAAAVPAVVVSADYRLAPEQPVPAAYDDAFAALRAVVAACRPDGAEPWLAAHGDASRVVLAGDSAGANMAHNAAIRLRKEGIEGYGDKVSGVVLLHPYFWGKDPVGGESTDAGYRGSFHGTWEFVSAGKLGLDHPCVNPLASPEEWRQLGAGRVLVTTAEHCWFVERARAYAEGIKKCGWDGEVELHETDGEGHVFFLPKPDCDNAVKELAVVTDFVRRC >ORUFI09G14020.1 pep chromosome:OR_W1943:9:15126799:15127941:1 gene:ORUFI09G14020 transcript:ORUFI09G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKIKRQIGGLSLLAKLGLLLLALLLLLAAILLLVFLLPRHHRRPLPPGSPPVNASDPDNIVAFDFSPFLILYKSGRVHRMDGTDRVPAGVDEATGVTSKDVVIDRSTGVGARMYLPPAKGAGKKDLAGALPVLVFFHGGAFVIESAFTAKYHDYLNKVTAKARVVAVSVDYRLAPEHPVPTAYDDSWQALNWVAKNGRSGPEPWLRDRGNMSRLFLAGDSAGANIAHNMAMRAGKDGGQLEGGVAITGILLLDPYFWGKNPVGAETTDPARRRQYEATWSFICDGKYGIDDPLVDPLSMPAPEWRKLACSRVAVTVSDLDDFKERGKAYAAALRDSGWGGEVEEYETAGEVHVYFLDKPSSPKSAKELTFVAGYLSHE >ORUFI09G14030.1 pep chromosome:OR_W1943:9:15130683:15131657:-1 gene:ORUFI09G14030 transcript:ORUFI09G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGDIDGEVVFEVEHCIRIFKGGRVERYFGSDSVPASTDAATGVASKDRAISPDVSVRLYLPPVAGVSGEGEGKKLPLLIYFHGGGFCLHTAFNFVFHAYLTSLAARTRAIVVSVEYRLAPEHPLPAAYEDSWQAVLWAASHAPGAGEETWLTDHADFSRVYLAGESAGANIAHNMAMRAGAEGLPHGGRVNGVVLVHPYFLGRGKVPSEDWDPAMAENVVKMWSVVCPATTGVDDPWINPLADGAPGLEGLACGRVLVCLAEKDVIRDRGRAYCEGLKASGWAGEVEVVEVAGHGHCFHLMDFNGDEAVRQDDAIAEFVNR >ORUFI09G14040.1 pep chromosome:OR_W1943:9:15134665:15138610:1 gene:ORUFI09G14040 transcript:ORUFI09G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASHPSCSQITTLRNTIVSCVTYPQEIRNMESEKHIICRHPPTYGNLITVLSIDGGGIRGIIPAVVLTFLESELQKLDGEEARIADYFDVIAGTSTGGLVTAMLAAPNKKRRPLFAAKDIKAFYMNHAPKIFPQLRGPFGRMMRIFRSMSGPSYDGKHLHEVVREKLGSTRLHQTLTNVVIPTFDIKRLQPTIFSSYEVKKKNNNTMDALLSDICISTSAAPTYLPAHFFRTEDCHGNIKEFNLIDGGVAANNPALVAIGEVSKQIFKKNPDFFPVKPMDYGRFLVISLGTGSPKIEGKYNAQKAKSWGVLDWLLVGGSTPLVDIFTQASADMVDIHIAAVFKVLHSEQNYLRIQDDTLEGTLASVDVATRDNLEKLANVGEILLNKPVSRANLETGQMMPPCDDSEITNGEALKR >ORUFI09G14050.1 pep chromosome:OR_W1943:9:15140915:15141205:1 gene:ORUFI09G14050 transcript:ORUFI09G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKLSSCVLVALLFVSSHVVRHGEARRLTAGVAAPASKGGEEEAPQYASARGGQPAAAAGGGVTAASKMASTDGRPTSPGHSPGIGNKATGNVR >ORUFI09G14060.1 pep chromosome:OR_W1943:9:15157371:15161695:1 gene:ORUFI09G14060 transcript:ORUFI09G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVVANGGGGDVVQKPQQVVAAPPPPQAALPPPPHWVAMPFAPPGAAAMVMQHQMAPAPPQFAPHFVPFHAGGGGGQEENKTIWVGDLHYWMDENYLHSCFGYTGEVVAIKVIRNKQTGQSEGYGFVEFYSHAAAEKVLEGFAGHIMPNTDQPFRINWASFSMGDRRSDIASDHSIFVGDLASDVNDTTLLETFSKRYSSVKGAKVVIDANTGRSKGYGFVRFGDDNEKTHAMTEMNGVYCSTRPMRIGPATPRKTSGTSGPTGSAARSDGDLTNTTVFVGGLDPNVSEDDLRQTFSQYGEISSVKIPVGKQCGFVQFVQRKNAEDALQGLNGSTIGKQTVRLSWGRNPANKQLRSDNGSQWNNGMYYAASPFYSGYGYPAPFPADPGMYAAAYGAYPFYGNQQQVS >ORUFI09G14060.2 pep chromosome:OR_W1943:9:15157371:15161695:1 gene:ORUFI09G14060 transcript:ORUFI09G14060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVVANGGGGDVVQKPQQVVAAPPPPQAALPPPPHWVAMPFAPPGAAAMVMQHQMAPAPPQFAPHFVPFHAEENKTIWVGDLHYWMDENYLHSCFGYTGEVVAIKVIRNKQTGQSEGYGFVEFYSHAAAEKVLEGFAGHIMPNTDQPFRINWASFSMGDRRSDIASDHSIFVGDLASDVNDTTLLETFSKRYSSVKGAKVVIDANTGRSKGYGFVRFGDDNEKTHAMTEMNGVYCSTRPMRIGPATPRKTSGTSGPTGSAARSDGDLTNTTVFVGGLDPNVSEDDLRQTFSQYGEISSVKIPVGKQCGFVQFVQRKNAEDALQGLNGSTIGKQTVRLSWGRNPANKQLRSDNGSQWNNGMYYAASPFYSGYGYPAPFPADPGMYAAAYGAYPFYGNQQQVS >ORUFI09G14070.1 pep chromosome:OR_W1943:9:15164939:15168117:-1 gene:ORUFI09G14070 transcript:ORUFI09G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELGPFRINSDNKTLSRNEYAWNNVANVLFLESPAGVGFSYSNTSSDYDKSGDQRTANDSYIFLVGNPCLDEFKNLKGQIDYLWSHGVISDEVLANITKNCRFSPSDGKACSDAMDAFDSGNTDPYDIYGPVCINAPDGKFFPSRIVPGYDPCSNYYIHAYLNNPVVQKALHARVTTWLGCKNLHWKDAPVSMVPTLKWLMEHGLPVWLYSGDLDSVCPLTATRYSVGDLGLAVTEPWRPWTANREVGGYVQQYTGGLVFISVRGAGHQVPYFQPEKALIVVSSFLRGALPPYVKQQ >ORUFI09G14080.1 pep chromosome:OR_W1943:9:15168262:15175624:-1 gene:ORUFI09G14080 transcript:ORUFI09G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQITLSVLIIILLALGASVTDASSHSQEDQLIKFMESRALKRLRNRPNKNGPGEDDQWADPGRFSHLATRSVSSPESTKEDDRIAALPGQPRGVNFAQFAGYVTVDRKNGRELFYYFVESPYDASTKPLILWLNGGPGCSSLGFGAMKELGPFRVNPDGKTLSRNKHAWNNVANVIFLESPAGVGFSYSMNSSDYSDVGDQITAEDTYVFLLNWFNRFPEYKGRDFYIAGESYGGHYVPQIATIVTFINHLFDGNTPFNLRGIFQASKGAKRGGEGCLVVVHDGNNNGRWWLGQVGNPLLDEYKNGEGNLEFLWSHGVISDEVWGKILANCTFTSSDDWPCFVAAHSFQRGNIDRYNIYAPVCLHEQDGTFRSSGYLPGYDPCIDYYIPRYLNNPDVQKALHARADTNWSGCNLDLAWNDSPDSMVRTIKRLVENGLSVWIYSGDMDSICSLTATRYSVKDLNLTITHKWRPWYTPDNEVGGYVQQYEGGFTLASVRGAGHLVPSFQPKRSLVLLYSFLKGMLPPADIPKIRIFELIAATADSWEAAAAWEAASSFVLIICVAALHANGSPEEARFREFVRSRRSSTVTVSGSNGYSAHEPGARVSSRLKEEYSVSDQSNLKAADKITALPGQPKGVGFNQYGGYVTVDEMNGRALFYYFVEATTDAAAKPLLLWLNGG >ORUFI09G14090.1 pep chromosome:OR_W1943:9:15169632:15169844:1 gene:ORUFI09G14090 transcript:ORUFI09G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPRIHASAGADFFPIHLLSAAAKPTTRSVTSNAAAFTSEDVVVFCVPYPSLNGSSKYLTSSAAIGAA >ORUFI09G14100.1 pep chromosome:OR_W1943:9:15187952:15192151:1 gene:ORUFI09G14100 transcript:ORUFI09G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAPEMNGRARRPAAAAHGAKAASTKSDRMMEKDQRKGAMPVKKGSSANAVTKGITNRIQARRERKLALQQDVLPEFCPNFSQFEKRIGSSELCFVTQFAAWILQVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLALLAEVAVLEEEVVRLEEQVVNFRQGLYQEAIIFSSAKNTSLPGGEGCVPAQLMPSSPVPNSEVSPANCHSPPTRPSMNGVAGAKQTPRKPSPSAAVAQDDRSGAGKENQSCSNTPARNCRHSPLLQKASKSRLPTAAAPEKRRATAQTISTVPDRKRLADTVSNNSEKASQDDSSVPNRLSEELLRCLLAIFSQMGGSSASGQDEEQAALSPSVSGSCESSEDAYPQDPYGILEFGTRDVGSYKRFHVIDATSFDQTAMENDTMLTRKLKALIRRLSSVDLTGLSHQHKLAFWINIYNSCMMNAFLEQGIPTTPHMLVAMMPKATINVGGRTHSAMSIEHFILRLPYSVKHVNPGGVTKGAADDVTMRGVFGLEWPEPLVTFALSCGSWSSPAVRVYTARGVEEELEAAKRDYLQAAVVVSAPAKVAIPKLLHWYLLDFAKDVDSLMDWVCLQLPSELRQKAMRIVEDGRRGVAAESRRVQVLPYEFRFRYLLAL >ORUFI09G14110.1 pep chromosome:OR_W1943:9:15211595:15212698:1 gene:ORUFI09G14110 transcript:ORUFI09G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAARGQAEQWMRVAEKLLVARDLEGCKEFVSQALGTDPHVPGANDLLAAADVLLAAQRRRIPNGHPDPYAVLGLDPSTPASRRPDAIHAQYRRLSFLLNRSHPDRPCSLSFAEAARLVADSWAFLSDPILKSALDAELDAAAAAARAYHPPAPMQQPPPQPPPQPTPPRAAPLPTPPRAPPQSTPPRAAPQSTPPQRVAPQPPATAAAPPIEPVAPPSPTFWTVCMSCCHIHQYDRLYEARKVLCPSCRQPFVAEEMAEPPPIVPGTDMYYCTWGFFPVGFPGCPGFEKLISSQQHGTDQPNTPWLGTTGGAEADGVAGAENGAPVSAAVEVQSAPKPAKPVRVKVGAKKRGRPKGSKNKKNL >ORUFI09G14120.1 pep chromosome:OR_W1943:9:15217150:15217793:-1 gene:ORUFI09G14120 transcript:ORUFI09G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRCPSPPLPPSPHGHGAAAAAAEVRRNCLCQAAAGPDLADGSPPRHSWEYPLAATEPDASLLAGSAEGRARLLPLAASARGVPAASLPAGSDRGEGAATAAAAVFAGQAPREKERERERGRCWFF >ORUFI09G14130.1 pep chromosome:OR_W1943:9:15220041:15220771:1 gene:ORUFI09G14130 transcript:ORUFI09G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARSGDDAELGLDVECLAAAPRWTRARRSHSEAERKRRERINAHLDTLRGLVPSASRVRMDKAALLGEVVRYVRKLRSEAAGSAAVVPGEGDEVVVEEEEVEVEGCSCDSGERQAARRVKASLGDAERSVSARAVRAEIATVGGRTRSVLELDVARTAAAGGGSNGASQLPALQAALRAVIMSQEELLAVESYKQRRFSADFA >ORUFI09G14140.1 pep chromosome:OR_W1943:9:15222844:15225922:-1 gene:ORUFI09G14140 transcript:ORUFI09G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLRPASPCLNLAPPTADGPGRSRSAVTIGGSRPLSVSLRVGGSSRRDFPCTTMASRDHSGLTRQLLDFQHGTVDEIDGEHDPFMELKARFMDFKHRNCVDNISNYQNLAQQQTPKFMVVACADSRVCPSSVLGFQPGEAFTVRNIANLVPPYQVENVLVVGHSRCGGIQALMSMKSKQDDSQSRSFIRDWVSIAKSARLSTEAAAGNLNFELQCKHCEKESINSSLLNLLTYPWIEKRVNEGTLSLHGGYYNFIDCTFEKWKLVYRQGLEGGSKYAIKNRTTWS >ORUFI09G14140.2 pep chromosome:OR_W1943:9:15222844:15226818:-1 gene:ORUFI09G14140 transcript:ORUFI09G14140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKARFMDFKHRNCVDNISNYQNLAQQQTPKFMVVACADSRVCPSSVLGFQPGEAFTVRNIANLVPPYQVENVLVVGHSRCGGIQALMSMKSKQDDSQSRSFIRDWVSIAKSARLSTEAAAGNLNFELQCKHCEKESINSSLLNLLTYPWIEKRVNEGTLSLHGGYYNFIDCTFEKWKLVYRQGLEGGSKYAIKNRTTWS >ORUFI09G14140.3 pep chromosome:OR_W1943:9:15222844:15226668:-1 gene:ORUFI09G14140 transcript:ORUFI09G14140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTWPLRSAARRLAAAAAAARAAPASVAHSPPPAPMPPQPCPPEDAALQHLRRATGHDDGVPAAGEQPPSRRPIHRRDFPCTTMASRDHSGLTRQLLDFQHGTVDEIDGEHDPFMELKARFMDFKHRNCVDNISNYQNLAQQQTPKFMVVACADSRVCPSSVLGFQPGEAFTVRNIANLVPPYQVENVLVVGHSRCGGIQALMSMKSKQDDSQSRSFIRDWVSIAKSARLSTEAAAGNLNFELQCKHCEKESINSSLLNLLTYPWIEKRVNEGTLSLHGGYYNFIDCTFEKWKLVYRQGLEGGSKYAIKNRTTWS >ORUFI09G14150.1 pep chromosome:OR_W1943:9:15232372:15233390:1 gene:ORUFI09G14150 transcript:ORUFI09G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGDVGQSGGRRSTAAEAASRPERWRWRVGLSGVRRRQRASTRRRAPPPLPPSRRRHGRRCSSRCRRAPDVIAVAAIDEDERLEQLAAIFEEVTGLDLFAWLFWSPSTGLGEDAAGRPVVDSVAGGEEPSWWRGDRTGGWPMGASPRALLHLASSPSNRSSSLGIVIDLSVVPPSPPPAARCPDHPSGGGDDTREGTRWRLRRLRREAAAARATSRSDRRRGLGGVGSGGTSELEVEDPGGGEVSDLDGIAPTVDDPRLPVDARAVPASLPPPEAYLWPPSSSARLPPWFPAAAATGHRRDAALLSYFAPLTGYRQRRLRERRNRGRERRGGER >ORUFI09G14160.1 pep chromosome:OR_W1943:9:15242755:15243759:-1 gene:ORUFI09G14160 transcript:ORUFI09G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCERDMNKESMYQERDDMAGIRFATPPPPQQQQQQQLVECFSDEVDSRGSGGEMKDAVGSGSGQLVVVGGGDGASIEVAKKRRGRPPGSKNKPKPPVVITREAEPAAAMRPHVIEIPGGRDVAEALARFSSRRNLGICVLAGTGAVANVSLRHPSPGVPGSAPAAIVFHGRYEILSLSATFLPPAMSSVAPQAAVAAAGLSISLAGPHGQIVGGAVAGPLYAATTVVVVAAAFTNPTFHRLPADDDASVSVSVSLSGSGDADEHRGHQHKPEPQEPRQLRRPPPHLSAAAAVSAAQPVEPCGAPMYACHPQPQEVMWPPPARTPHPPPPPPY >ORUFI09G14170.1 pep chromosome:OR_W1943:9:15254979:15262940:-1 gene:ORUFI09G14170 transcript:ORUFI09G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALSLAVAVVAVAVAAVVAVARGALRRAAARREEVRRLARLAAVEAEVAEREAYCYARGRGGVAGAPLWTVPEVASPREDEEEEEEEEAAAVELEMPAARQAEAAAAAAAVKGVCVISYNFLCMRILLFDSDTFKCQISHWRQGHKDECHPPRVDARPDNITVVSSVKKGVGMYNSFEQSVKSSVEPAVEVNKSVAAVPELSEANLVSDGVDNERKKMRGQKASITAKVSEDVLDNNRIRSVDSSRLPTSGKACNIQDATVNENFSKTSAGSSSSRVERSTTSEPELNHSNKQASGTDNLKSSRGLPSVSTVGTISSIHGSEKEAAMPNNRSLVKNIPRQQAAAKVVRHYPSEMTLFPYEHFVKLYNFDKVELRPFGLVNLGNSCYANAVLQCLAFTRPLTAYLTEGLHSRNCNLILSQNCVTYHGSKKEWCFMCEFEKLILEGRRGKSHLSPTGILSHLRDIGSSFGPGREEDAHEFLRYAIDTMQSASMKEAKKNGVYGLPEETTLVQLIFGGYLRSKIKCTMCQGSSEQCERILDLTVEIDGDINTLEEALHRFTSTEILDGDNRYNCSRCKSYERAKKKLTISEAPNILTIALKRYQSGNFGKINKAVRFTEYLNLSNYMSTADDISPVYQLYAVVVHHDVMNAAFSGHYVCYVKDTQGKWHKMDDSQVKPVSLEKVLSKCAYMLFYARCSPRAPNSVRKMILAQDSSCTKKAKQMVDPGPPSLEGGSYLSRHQGGQSCRDHIVYDLTYTFGGSSYTVVESPSPSDSSSLFSNSDAGSTSTFSSDSTDSTRNSTSMEEYDYIFGSSDQMYPVSTVVIPEEHELSYSRQRSSLNPSTSSQYVDQAAEVEMLHQHQHQAGRGGWDEGDVMPFFYSNQGKHHDSSRSSNISSSNRKLTEQRRTIGEVDHGPGEGHGSVLLRRAARERIAQAIY >ORUFI09G14180.1 pep chromosome:OR_W1943:9:15267744:15268153:-1 gene:ORUFI09G14180 transcript:ORUFI09G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALVSEMCLHRAKIDAVVRMECERMHDGLEQARRGSARCWCAWRWRWLQWLREKEAELDAAHRHVVELEELLRHAATESQANEAVAAGIRLTFDHLLLRNAPAQCFGDSDALAVVADNASTLPTASK >ORUFI09G14190.1 pep chromosome:OR_W1943:9:15289851:15295241:1 gene:ORUFI09G14190 transcript:ORUFI09G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNAATSQQPAKCEKRGAISLPNSGRRLRLQRLKQRRGAASRDLVLDRILQPRIPTGNRNRSGGCNSGCSFATVAMEACRTKSY >ORUFI09G14200.1 pep chromosome:OR_W1943:9:15295367:15296332:-1 gene:ORUFI09G14200 transcript:ORUFI09G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGFVEGGAACVVAGSCTHPLDLIKVRMQLHGEGPPAPALAFPGGGAHHHHHHHLLQQQPPRRPGPIAVCAQILRAEGPTGLLSGVSATMLRQTLYSTTCMGLYDTLKRRWERDDGGGGGPLPLHRKVAAGLFSGGVGAAVGNPADVAMVRMQADGRLPAAQRRNYRSVADAIVRMARDEGVCSLWRGSPLTVKRAMIVAASQLATYDQAKEAILARRGQGADGLATHVAAGLAAGLVAASASTPVDVVKTRVMNMKVVAGAPPPYSGALDCLIKTVRSEGAMALYKGFVPTVTRQGPFTIVLFVTLEQVRKLLKGVDF >ORUFI09G14210.1 pep chromosome:OR_W1943:9:15298248:15302203:1 gene:ORUFI09G14210 transcript:ORUFI09G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPSASSSPAAAPRRSAPTMRLRREALESVLEELRRALDELRECGELGVPLPDPEGAVNDGGGGEEQPDNEEEGGGGGGSGGGNDDDSAASLAGGSDGETDKLCDLLKSTFESPNFFQKVDEIQKSLYQNDAVEQDPSWDIVKAVDLWEDDDLGDGYVLVKNDDATEGMAFFIATYISSLKTANECSPDQIRKVLKLLIILLPALKKTFSSRKRKGKLRKAWDGTKVIYNVDSWSATAIGIYHNQAILKVATTAFRTSCSVISKFL >ORUFI09G14210.2 pep chromosome:OR_W1943:9:15298248:15302996:1 gene:ORUFI09G14210 transcript:ORUFI09G14210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPSASSSPAAAPRRSAPTMRLRREALESVLEELRRALDELRECGELGVPLPDPEGAVNDGGGGEEQPDNEEEGGGGGGSGGGNDDDSAASLAGGSDGETDKLCDLLKSTFESPNFFQKVDEIQKSLYQNDAVEQDPSWDIVKAVDLWEDDDLGDGYVLVKNDDATEGMAFFIATYISSLKTANECSPDQIRKVLKLLIILLPALKKTFSSRKRKGKLRKAWDGTKVIYNVDSWSATAIGIYHNQAILKVATTAFRTSCSVISKFL >ORUFI09G14220.1 pep chromosome:OR_W1943:9:15301553:15306252:-1 gene:ORUFI09G14220 transcript:ORUFI09G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISGAAAPPSSSAACRLRLRRQLLLRPSHLRLRAPHSIADLSRSSSSSSEQQPSSTPAAPLASRPGQNGSTRGAVEKDPIKLWERYVEWLYQHKELGLFVDVSRMGFTEEFLRRMEPRMQRAFAAMRELEKGAIANPDEGRMVGHYWLRDPGLAPNSFLRTKIETTLDRILAFSQDVVSGKIKPPSSPAGRFTQILSIGIGGSALGPQFVSEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFRDAGLDFSKQGVAVTQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQVKENPAALLALCWYWASEGIGNKVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQVDIQLLRYRTMTFFSMNAMTEERNILQGTRSALYSNDRESISVTVQEVTPRAVGALVALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLTVLNEARHIEMIYKIIQHMAANDRALIAEGSCGSPRSIKVYLGECNVDEDMLAA >ORUFI09G14230.1 pep chromosome:OR_W1943:9:15312968:15315093:-1 gene:ORUFI09G14230 transcript:ORUFI09G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAAAALVRLPLRPARATLPNQGTHARTHARRDTVHSPRIFRCIGDGRAKTLVLFTPQGFGRRRAGASSLAVRAKDSDDLRVLISEKPAEPAPAKREGWEGFGREVGDGDGEVQVQGESTSWNVLNQIGVEVPQVMEVVGLGFTVWFTSRYLIFKENRDELITRIGSIKKQILGSRGD >ORUFI09G14240.1 pep chromosome:OR_W1943:9:15330217:15330450:-1 gene:ORUFI09G14240 transcript:ORUFI09G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGATLDGRDGDGSAGWPRVEAEAVQLDGHRPWRREAERSDADARRLREAASDHRGRRQPSQMWPGTEATSTAGA >ORUFI09G14250.1 pep chromosome:OR_W1943:9:15332822:15335666:1 gene:ORUFI09G14250 transcript:ORUFI09G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTSYEMAASILLCAEDSSSVLGFGGEEEEEEEDVVAGKRARCAGPPPPPCVDVAGVDFAVPSEECVARLVETEADHMPREDYAERLRAGGGDGDLDLRVRMDAIDWIWKVHSYYSFAPLTACLAVNYLDRFLSLYQLPDGKDWMTQLLAVACLSLAAKMEETDVPQSLDLQVGEERYVFEAKTIQRMELLVLSTLKWRMQAVTPFSYVDYFLRELNGGDPPSGRSALLSSELILCIARGTECLGFRPSEIAAAVAAAVVGEEHAAFSHVNKERMSHCQEVIQAMELIHPKPASPSRVFVSSSIPRSPTGVLDAAGCLSYRSDDSAVASHYAASSWGYEHDSSPVSSKRRKISR >ORUFI09G14260.1 pep chromosome:OR_W1943:9:15336875:15337573:-1 gene:ORUFI09G14260 transcript:ORUFI09G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWLAKTLPPLAKFGQSKSLANGGPHVLLPPAASLSASSLSYPLSPFVSARRPGVNAGAADPTGRRLPCQPSSPLQAAIAFLLRRRPSAQLDNEDDGAARRLSNNAGAELVMADAAVPLAAADFEQVDQFTCGGFSLTVAMNHLLAGGESVCAINSEEGRARRSGWRAWRRGRPARIRSRRRLMRLLSSSGAGHRRVKRWHRRRLPIHAPPRLKPPPPHPPVGWRWGRGKV >ORUFI09G14270.1 pep chromosome:OR_W1943:9:15346333:15347850:1 gene:ORUFI09G14270 transcript:ORUFI09G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEANPYAMPSSSRTETVKNALSRWARRVGETTRKAEDLSRNTWQHLRTAPSLGEAAVGRIAQGTKVLAEGGHDRIFRQAFSAPPDEQLRKSYACYLSTSAGPVMGILYLSTARVAFCSDSPLSYEAGGGSKEWSYYKVAIPLHRLRSASPSASKQRPAEKFIQLVSVDRHEFWLMGFVNYDSAVKHLQEALSGFHHLQA >ORUFI09G14270.2 pep chromosome:OR_W1943:9:15346333:15347919:1 gene:ORUFI09G14270 transcript:ORUFI09G14270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEANPYAMPSSSRTETVKNALSRWARRVGETTRKAEDLSRNTWQHLRTAPSLGEAAVGRIAQGTKVLAEGGHDRIFRQAFSAPPDEQLRKSYACYLSTSAGPVMGILYLSTARVAFCSDSPLSYEAGGGSKEWSYYKVAIPLHRLRSASPSASKQRPAEKFIQLVSVDRHEFWLMGFVNYDSAVKHLQEALSGFHHLQA >ORUFI09G14280.1 pep chromosome:OR_W1943:9:15357018:15357841:-1 gene:ORUFI09G14280 transcript:ORUFI09G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDHDDCDEEMPPMPVSSSYETPPQHGLAGGGMAPKPPGEIGSRVKGPSCGGGRYRECLKNHAVGIGGHAVDGCGEFMAAGEEGTIDALRCAACNCHRNFHRKESESLAGEGSPFSPAAVVPYGATPHHQFSPYYRPEGRSPPLPPPPPAGGHPQRPLALPSTSHSGRDDGDDLSGMVGPMSAVGPLSGMSLGAGPSGSGSGKKRFRTKFTQEQKDKMLAFAERVGWRIQKHDEAAVQQFCDEVGVKRHVLKVWMHNNKHTLGKKLP >ORUFI09G14290.1 pep chromosome:OR_W1943:9:15381481:15382919:-1 gene:ORUFI09G14290 transcript:ORUFI09G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSREGNLKHVKGSLCLVPPLLLAVFFYLQFQTLGLFSPIFRCGGQSAGGGGGDDYVDRLRASATFLPLKDTREWAETWFISTLDDTSEPEGEAKNIVFPSAASAGRLLCMSAPSRRDGTRNAYALAWRDALPGGAELRPGLAYVSETAYDHSNLWHGISALIPFASWHARSGCRARPARWALFHHGEVRLGMSPWLTSLAEATTGVGMVVETFNASDVPVCFEEAVVFRRNMAGMTRERLLAAFDFMRCKARAQCGVVADVSDPDSAAVRVTILFRTGARAFKDEAAVTRVFKSECARVAGCALTTARSDNLAFCDQVKLMSGTDVLISSHGAQMTNLVFMDRNSSIMEFYPKGWRERAGGGQFVYRWGADRSGMRHEGSWWDPHGEPCPGSPDILSCYKNRQIGHDEAYFAQWAARVFAAAKERKAGGSPASTRRREAPTCQCS >ORUFI09G14300.1 pep chromosome:OR_W1943:9:15385029:15387818:-1 gene:ORUFI09G14300 transcript:ORUFI09G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKRLESEYELGAEIGQGKFGSVRICRAKVGGEEFACKALPKNGEETVHREVEIMQHLSGHPGIVTLKAVFEDADKFYLVMELCGGGRLLDEMAREGKFSEQRAAIVIKDLMSVVKYCHEMGVVHRDIKPENILLTKAGKIKLADFGLAARVADGQKLSGIAGSPAYVAPEVLSGCYSEKVDVWGAGVLLHVLLHGSLPFQGGSLDAVFEAIKTVELDFHSGPWESISSLARDLISRMLNRDVPSRITADEVLSHPWVVFYTECPLKAVTANLSITNDIVAPRITWDRIRLHCESISSDSSSQRSADQDECGIVDALTAAITNVRISEPKRSRLCNPAIPIQQECSSNLKSNLCTAF >ORUFI09G14310.1 pep chromosome:OR_W1943:9:15390541:15396839:1 gene:ORUFI09G14310 transcript:ORUFI09G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREYISYRSTTLAVRPKPRAALPPPPKPQAKRGTPAAKPPNLSPFSSPMSPSAPPSALRLRGLPKASLPASSSFPPSSRFPHHLSMSASSSRRPPPLAATAAAAGTGSGASPSLLAADPGHRDAVLLAARGAMANCLGETSLHLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFDRTRHITPNAVVSSPDKNVTIAKRCSVFPVEFVVRGYVTGSTDTSLWTVYNKGARNYCGNVLRDGMVKNQKLSANILTPTTKAADHDVPVTPEEIINSGLMSKEDFDEARSKALSLFAYGQEVALENGLILVDTKYEFGKTADGTIMLIDEVHTPDSSRYWIADSYEERFSSGLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPEELVCELAWRYIFLFETITNTKFEIPKTQEPIHERISRNVAQALQNL >ORUFI09G14310.2 pep chromosome:OR_W1943:9:15390541:15396500:1 gene:ORUFI09G14310 transcript:ORUFI09G14310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREYISYRSTTLAVRPKPRAALPPPPKPQAKRGTPAAKPPNLSPFSSPMSPSAPPSALRLRGLPKASLPASSSFPPSSRFPHHLSMSASSSRRPPPLAATAAAAGTGSGASPSLLAADPGHRDAVLLAARGAMANCLGETSLHLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFDRTRHITPNAVVSSPDKNVTIAKRCSVFPVEFVVRGYVTGSTDTSLWTVYNKGARNYCGNVLRDGMVKNQKLSANILTPTTKAADHDVPVTPEEIINSGLMSKEDFDEARSKALSLFAYGQEVALENGLILVDTKYEFGKTADGTIMLIDEVHTPDSSRYWIADSYEERFSSGLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPEELVCELAWRYIFLFETITNTKFEIPKTQEPIHERISRNVAQALQNL >ORUFI09G14310.3 pep chromosome:OR_W1943:9:15390541:15396839:1 gene:ORUFI09G14310 transcript:ORUFI09G14310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREYISYRSTTLAVRPKPRAALPPPPKPQAKRGTPAAKPPNLSPFSSPMSPSAPPSALRLRGLPKASLPASSSFPPSSRFPHHLSMSASSSRRPPPLAATAAAAGTGSGASPSLLAADPGHRDAVLLAARGAMANCLGETSLHLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFDRTRHITPNAVVSSPDKNVTIAKRCSVFPVEFVVRGYVTGSTDTSLWTVYNKGARNYCGNVLRDGMVKNQKLSANILTPTTKAADHDVPVTPEEIINSGLMSKEDFDEARSKALSLFAYGQEVALENGLILVDTKYEFGKTADGTIMLIDEVHTPDSSRYWIADSYEERFSSGLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPEELVCELAWRYIFLFETITNTKFEIPKTQEPIHERISRNVAQALQNL >ORUFI09G14310.4 pep chromosome:OR_W1943:9:15390541:15396500:1 gene:ORUFI09G14310 transcript:ORUFI09G14310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREYISYRSTTLAVRPKPRAALPPPPKPQAKRGTPAAKPPNLSPFSSPMSPSAPPSALRLRGLPKASLPASSSFPPSSRFPHHLSMSASSSRRPPPLAATAAAAGTGSGASPSLLAADPGHRDAVLLAARGAMANCLGETSLHLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFDRTRHITPNAVVSSPDKNVTIAKRCSVFPVEFVVRGYVTGSTDTSLWTVYNKGARNYCGNVLRDGMVKNQKLSANILTPTTKAADHDVPVTPEEIINSGLMSKEDFDEARSKALSLFAYGQEVALENGLILVDTKYEFGKTADGTIMLIDEVHTPDSSRYWIADSYEERFSSGLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPEELVCELAWRYIFLFETITNTKFEIPKTQEPIHERISRNVAQALQNL >ORUFI09G14310.5 pep chromosome:OR_W1943:9:15390541:15395177:1 gene:ORUFI09G14310 transcript:ORUFI09G14310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREYISYRSTTLAVRPKPRAALPPPPKPQAKRGTPAAKPPNLSPFSSPMSPSAPPSALRLRGLPKASLPASSSFPPSSRFPHHLSMSASSSRRPPPLAATAAAAGTGSGASPSLLAADPGHRDAVLLAARGAMANCLGETSLHLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFDRTRHITPNAVVSSPDKNVTIAKRCSVFPVEFVVRGYVTGSTDTSLWTVYNKGARNYCGNVLRDGMVKNQKLSANILTPTTKAADHDVPVTPEEIINSGLMSKEDFDEARSKALSLFAYGQEVALENGLILVDTKYEFGKTADGTIMLIDEVHTPDSSRYWIADSYEERFSSGLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPEELVCELAWRYIFLFETITNTKFEIPKTQCH >ORUFI09G14320.1 pep chromosome:OR_W1943:9:15396746:15399232:-1 gene:ORUFI09G14320 transcript:ORUFI09G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAATTQRQPCKNAITTKQQQQQQQQQLSTGRLFRSPLLVVNFVLMVVGSACGPLLLRAYFLRGGNRKWLSSLLQTAGWPLLLAPLCFSYSSRRRRREVEDDGAGAGAAATPLFLMTPRLLVASAVVGLMTGVDDLLYAYGLAYLPVSTSSILISTQLAFTAAFALLLVRQRFTAFSVNAVVLLSVGAAMLGMNAGGDRPAGVSRAQYCAGFAMTLAAAALYGLVLPVMELSQAHHAAARGAVTYTLVMEMQLVIGFVATAFSAVGMLVNNDFHLESNQTQAIPGEAHEFGLGQAGYCLLLAGSAAMYQCFFLGTIGAIFYGSALLAGVIMTVLIPVTEVLAVMFFHEPFNGTKGVALALSLWGFVSYFYGEVRAAKAAHRRRHSDEPPKPDHLDP >ORUFI09G14330.1 pep chromosome:OR_W1943:9:15404125:15410317:-1 gene:ORUFI09G14330 transcript:ORUFI09G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEATKDVVHEQAAAPGRGRAARWLLVALNCGMLVVGTTGGPILSRLYFSKGGHRKWLSAWLETAGWPLLLRLTAATLNAVALLTIGAVVLGLHASKDRPAGVTTGKYWMGFFLTLGAAALYGLILPLVELAYKHAAGGGRAVTYALVMEMQLVMGFFATAFCTVGVIVNKDFQAIPREAKQYELGEARYYVVLVFNAVLWEFFFVGAVGVIFCVHTLLAGIIIAVFIPITEVLGVIFLHEKFSSEKGVALVLSLWGLASYSYGEYADAKAKKKAALEAEEAS >ORUFI09G14330.2 pep chromosome:OR_W1943:9:15410420:15419190:-1 gene:ORUFI09G14330 transcript:ORUFI09G14330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEATKDVGHEQAAVPGRGRAARWLLVALNCGMLVVGTTGGPLISRLYFSKGGHRQWLSAWLQTAGWPLLLVPVAASYLSRRARDRRAPLFLTPTRVLLAGVGLGFLNGADDFIYAYGLAYLPVSTSAILISTQLAFTVFFACLIVRQRLTAATLNAVALLTIGAVVLGLHASKDRPAGVTSGKYWMGFFLTLGAAALYGLILPLVELAYKHAAGGGRAVTYALVMEMQLVMGFFATAFCTVGMVVNKDFQRLTAATLNAVALLTIGAVVLGLHVSKDRPAGVTNGKYWMGFFLIIGAAALYGLILPLVELAYKHVAARGRAVTYALVMEMQLVMGFFATAFCTVGMVVNKDFQRRHRRCIQSYPLLLLCYPPKLES >ORUFI09G14330.3 pep chromosome:OR_W1943:9:15404125:15419190:-1 gene:ORUFI09G14330 transcript:ORUFI09G14330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEATKDVGHEQAAVPGRGRAARWLLVALNCGMLVVGTTGGPLISRLYFSKGGHRQWLSAWLQTAGWPLLLVPVAASYLSRRARDRRAPLFLTPTRVLLAGVGLGFLNGADDFIYAYGLAYLPVSTSAILISTQLAFTVFFACLIVRQRLTAATLNAVALLTIGAVVLGLHASKDRPAGVTSGKYWMGFFLTLGAAALYGLILPLVELAYKHAAGGGRAVTYALVMEMQLVMGFFATAFCTVGMVVNKDFQRLTAATLNAVALLTIGAVVLGLHVSKDRPAGVTNGKYWMGFFLIIGAAALYGLILPLVELAYKHVAARGRAVTYALVMEMQLVMGFFATAFCTVGMVVNKDFQAIPREAKQYELGEARYYVVLVFNAVLWEFFFVGAVGVIFCVHTLLAGIIIAVFIPITEVLGVIFLHEKFSSEKGVALVLSLWGLASYSYGEYADAKAKKKAALEAEEAS >ORUFI09G14330.4 pep chromosome:OR_W1943:9:15404125:15419190:-1 gene:ORUFI09G14330 transcript:ORUFI09G14330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEATKDVGHEQAAVPGRGRAARWLLVALNCGMLVVGTTGGPLISRLYFSKGGHRQWLSAWLQTAGWPLLLVPVAASYLSRRARDRRAPLFLTPTRVLLAGVGLGFLNGADDFIYAYGLAYLPVSTSAILISTQLAFTVFFACLIVRQRLTAATLNAVALLTIGAVVLGLHASKDRPAGVTSGKYWMGFFLTLGAAALYGLILPLVELAYKHAAGGGRAVTYALVMEMQLVMGFFATAFCTVGMVVNKDFQAIPREAKQYELGEARYYVVLVFNAVLWEFFFVGAVGVIFCVHTLLAGIIIAVFIPITEVLGVIFLHEKFSSEKGVALVLSLWGLASYSYGEYADAKAKKKAALEAEEAS >ORUFI09G14340.1 pep chromosome:OR_W1943:9:15421358:15421858:-1 gene:ORUFI09G14340 transcript:ORUFI09G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRLPPPSLASLPRVDLPGRRRMRRQRLPLPPLVSLPWLDPPGGGGGGSHGQIRRRQLPSPPLASLPRVDLPGGGGHGQIQRRRHLLRVDPATAASSAASLLPPARWSRVDPTVVASTEGGSGNGGFLRRLSSPSGAMMVPDGGSGGRGVNFCDVCYSCCYSWM >ORUFI09G14350.1 pep chromosome:OR_W1943:9:15424940:15432410:1 gene:ORUFI09G14350 transcript:ORUFI09G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPLLAEWPAGKEKEEGRVRRRLPALAREAWEESKKLWEIVGPAVFLRLVLYSFNIISQAFAGHIGDLELAAFSIANNVITGLNFGFLLGMASALETLCGQAYGAKQYSMLGIYLQRSWIILFVFAVLLVPTYVFTAPLLEALGQPAALARKAGMVSVYMLPSHFQYAVLLPLNKFLQSQRKNWVTVVTAAAAFPVHIAVSWLLVSRLRFGVLGAAMSLGVSGWLVTLLQLAYVVGGGCPVTWSGFSPLAFVDLWGFIKLSVSSGVMVCLETWYYKILILLTGHLKNSELAVNALSICMSFQSWEMMIPVGFLAGTGVRVANELGAGNGKGAKFATIVSTTTSFLIGLFFSALALAFHDKIALVFSSSNAVIDAVDNISFLLAVTILLNGVQPVLSGVAIGSGWQAAVAYVNIGCYYFIGVPIGVLLGWSFNLGVLGIWAGMIAGTAIQTIILAHMTIQCDWNKEVLQASERVQRWGNPK >ORUFI09G14360.1 pep chromosome:OR_W1943:9:15433491:15434492:-1 gene:ORUFI09G14360 transcript:ORUFI09G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLRRLAATLLSSPGANSSPASAADAHQAVARATAHHPPAAPPAAHHLDALLAFGRGSRLSASALATALTDRLRAAASGNGDAAVALKCLVLLRILLARGAFILRDQLVAALVRHPASGRNPLALAAFPLGRSFAAATWVRFSARLLELLLLLPDSSHDAADADYLIALPNPHVIAELSAYASVADAVRQAPPPSSAPQHNGLIWELIRLAEEDRVAAERNIAARVHEMGERLATLTLADAVELVCVLRQVEESTSSPADWKWAGLDEAVVGEARRLRERAEEVVLRRTEQERRLVRRGTAGSMSVRVLTGGGGCGEAVRFGSTRWSSTRR >ORUFI09G14370.1 pep chromosome:OR_W1943:9:15436027:15436386:-1 gene:ORUFI09G14370 transcript:ORUFI09G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLGEEGLMQEFASGVRLLMDPAWTTPWRRPGGEAGHAVDAAAEEEEDAGHRTAAGSGGDEEDDDADKEERIPSGRVGTGHADADDHREKGENRGNKERRLTWITLTCRAHGNSTAT >ORUFI09G14380.1 pep chromosome:OR_W1943:9:15438546:15439766:-1 gene:ORUFI09G14380 transcript:ORUFI09G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARVHSNGRLLMLLLLLAVAGFAAAQPSQDNPPAGYYATNFSPSMAIVIVVLIAAFFFLGFFSIYVRHCYGGRGDYSTTPLPRSGAARSRRQRGLDQSVLATFPTMAYADVKAHKSVKGALECAVCISEFDDDETLRLLPKCSHVFHQDCIDTWLASHATCPVCRANLVDGASEPASDVAAELPTAPAPRPEGATPSEAAAPGGEAPAAAAAAVVIDVEETEEERIIREEAAELTRIGSLKRALRSKSGRAPAARFPRSHSTGHSLSSSAAASAGAERFTLRLPEHVLREVIAAGQLQRTTSLVAFRAGRQGSTRRGLRSGGGGGGGEGSSRAGRSVRLGQSGRWPSFLARTFSARLPAWGSRSTRRGDGDGSSKGGRTAGSGAGAGGKSVVCDDQACVVGQRV >ORUFI09G14390.1 pep chromosome:OR_W1943:9:15449322:15450527:1 gene:ORUFI09G14390 transcript:ORUFI09G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSGRNLEANRPTMAAAHTDPRSTMVCLVNESRQQRPVETRTAPCLWLFDFSSIYIYLSASRRGRRDSAMTGRRRDSSAAAPNPPRRSLVRPFVQRDGVGGRAKRPPAAPAISTPGPKIAVQTWAARGSEEQRRRARLVPASKAEAA >ORUFI09G14400.1 pep chromosome:OR_W1943:9:15459499:15459954:-1 gene:ORUFI09G14400 transcript:ORUFI09G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTDVVLSCVKAAAARRPDLRVFVCLDHYSTLCKGVKCDFLLQLLHARSMAGPDATLLADLDARAAWRLDQASLGAVMIPAFRYSCDPPDARLDVPFMLRLVRGFLREGGKASVGGTTATCRVARLVDAYPPSSFATARPELENGMELGN >ORUFI09G14410.1 pep chromosome:OR_W1943:9:15463774:15465717:1 gene:ORUFI09G14410 transcript:ORUFI09G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVLLSRGDLFGRRRCAMEGGGGGGGGGGWSSPFSGFEGVMDLDGGNWDAAACSSMLLHGFQELEIPAAAAAAAAMAPPPPPVEPANCAENAGGVGGHQEDQAAVAAAATAVQSGRRKRRRARAAKNKEEVESQRMTHIAVERNRRKQMNEYLAVLRSLMPPSYAQRGDQASIVGGAINFVKELEQLLQSLEARKSSRQCAAHDAAAAAAPFASFFTFPQYSMSAAAAAAPVAPVVNELHGRDDGGAGTAEAEASGSKPSAVADVEVTMVESHANLRVLSRRRPRQLLRLVVALQGHRLTVLHLNMTSAGHMVLYSISLKVEDDCQLTSVDEIATAAHQIIEKIQEEQGCSLD >ORUFI09G14420.1 pep chromosome:OR_W1943:9:15469104:15471896:-1 gene:ORUFI09G14420 transcript:ORUFI09G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPERPSRVNGTATVDCSAYPLDCHPVCPGGSCYEIAEPPPPSPVVPRVDVAVDDHHLPVRLLLTVSLLSAFLFISLSVSTILLYRRRVVLRRRRRAATAPLPGDDGFGDGDEEAGGGGGDVHHVWYIRTVGLDEATITSIATAEYRAGVGWGGDCAVCLGEFRDGELVRLLPRCSHPFHAPCIDTWLRAHVNCPLCRSPVVVPSDLPATATEGEAEGGGQAEEHQVFDEISLSESRADVSEDSDTSSDTQSEDTAASPEDGGRVMPKPIRRSASMDSPLFLVVVPEAQDDAMRGDRKFPNGQEMKLFSVKEKDATGTSSSSCQAGRFGIGRSMSSSGQGFFFSRNGRSSSAVLPLFRKDSPDQGTSYQLFHFPRFFISISFGAQSKALR >ORUFI09G14430.1 pep chromosome:OR_W1943:9:15472956:15475118:1 gene:ORUFI09G14430 transcript:ORUFI09G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTLPATGAAAQPGQEDPSGIKNPGDGAGDSSGIDSGYVVSEQEQPFFLLDFWRILGQGLVLRWLVCVVRWVVLGKSDIVPADLAAAAADAGHRQLGFSPLPMLPIWVQMVLGGVVYTAVPFYNRARKAEDEVTKNVETALEVVEHAAEVTEKLAANVANALPENGTLHKLAEEVEYIAEIVDKDAQKVEIIIKKIEDVSNRIDAAVEPVIEELEKEFKP >ORUFI09G14440.1 pep chromosome:OR_W1943:9:15480229:15481991:1 gene:ORUFI09G14440 transcript:ORUFI09G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSNSIAEIGHLTSLSPSPSKCLTQLFPSLFLIIGQMAVSSAVLVGLLVVSCAAVAAATRYTVGDGEGWTTGVNYNNWANGKFFRQGDELVFNYQARAHTVTEVSQTNFDSCNGNSPLSNDNGGSTTIRLSYPGMHYFICTIPGHCSSGMKLAVNVNGDPSYSAASSPAAASAVAAAAAGALIKLALF >ORUFI09G14450.1 pep chromosome:OR_W1943:9:15488049:15488376:-1 gene:ORUFI09G14450 transcript:ORUFI09G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCRDEARPARHVPVVVPDPRGSDSPQTAEAEAQRERRRTRRDARVGFPVQAKGGSVTDGCVRRGKQQHSRCNAGSSAV >ORUFI09G14460.1 pep chromosome:OR_W1943:9:15489109:15489534:-1 gene:ORUFI09G14460 transcript:ORUFI09G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQIVALAMAMLFAAASAQAPAATPTPAPKASPPPATPPPTPAPVSAPPAQAPATPPPAPAPAPKASAPAPAPKASAPAPVPAAAAPTPEISSPPAPSPAGLAPSPTAEVTPPPSAAAGVSPAAAWVAAAAVAAAAAFY >ORUFI09G14470.1 pep chromosome:OR_W1943:9:15494277:15504949:-1 gene:ORUFI09G14470 transcript:ORUFI09G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIGINRAPLGSSSSAAAVTARRGIALRPARRSVASTNRVGVATIGFGDASGLRARFDSVRSTTARAQSGNAGRSMTEERGCTMSDTEMPFKYSSGKAFPLGVSQVEGGLNFALFSQHASSVILCLKLPGRGTEDEKGADVVEFVLDQQKNKTGDIWHVIVEGLPASGVLYGYRVGGPQGWDQGHRFDSSTVLLDPYAKLVSGRKYFGVAEEKSSQHFGTYDFDSSPFDWGDDYRLPNLPEADLVIYEMNVRAFTADESSGLDSTSRGSYLGLIDKIPHLLELGVNAVELLPVFEYDELEFKRYPNPRDHMVNTWGYSTINFFAPMSRYASAGGGPVAASKELKQMVKELHKAGIEVILDVVYNHTNEADDAHPYMTSFRGIDNKVYYMLDLNKNAELLNFSGCGNTLNCNHPVVKELILDSLRHWVEEYHIDGFRFDLASVLCRGPDGCPLDAPPLIKEIAKDAVLSRCKIIAEPWDCGGLYLVGRFPNWDRWAEWNGKYRDDLRRFIKGDPGMKGVFATRVSGSADLYQVNERKPYHGVNFVIAHDGFTLCDLVSYNLKHNDANGEGGCDGCNDNFSWNCGVEGETNDLNVLSLRSRQMKNFHVALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTCINNFQWEQLEQRRDGHFRFFSEMIKFRHSNPILRRDRFLNKNDVTWHEDCWENQESKFLAFTVHDHNSGGDIYLAFNAHDYFVDAVIPPPPHHKCWNRVVDTNLESPNDIVPEGVPFTGPKYRIAPYSSILLKAKP >ORUFI09G14470.2 pep chromosome:OR_W1943:9:15494277:15505315:-1 gene:ORUFI09G14470 transcript:ORUFI09G14470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAATERETVSSVHFSIHHCPPWPLRSTACPTPTTPALPSVRALPPMRDHRLLRLLLSPPLSSLREPLATRASWSARPITRHPCPRPGSPPHPSLRPVPILDGFHWYKSRPAGFLLLRRRRDSSSRHRAPPGSPLRREYEPVSVCFSLASAFFPRFLRRRHSDSAHGDPEFSVGVATIGFGDASGLRARFDSVRSTTARAQSGNAGRSMTEERGCTMSDTEMPFKYSSGKAFPLGVSQVEGGLNFALFSQHASSVILCLKLPGRGTEDEKGADVVEFVLDQQKNKTGDIWHVIVEGLPASGVLYGYRVGGPQGWDQGHRFDSSTVLLDPYAKLVSGRKYFGVAEEKSSQHFGTYDFDSSPFDWGDDYRLPNLPEADLVIYEMNVRAFTADESSGLDSTSRGSYLGLIDKIPHLLELGVNAVELLPVFEYDELEFKRYPNPRDHMVNTWGYSTINFFAPMSRYASAGGGPVAASKELKQMVKELHKAGIEVILDVVYNHTNEADDAHPYMTSFRGIDNKVYYMLDLNKNAELLNFSGCGNTLNCNHPVVKELILDSLRHWVEEYHIDGFRFDLASVLCRGPDGCPLDAPPLIKEIAKDAVLSRCKIIAEPWDCGGLYLVGRFPNWDRWAEWNGKYRDDLRRFIKGDPGMKGVFATRVSGSADLYQVNERKPYHGVNFVIAHDGFTLCDLVSYNLKHNDANGEGGCDGCNDNFSWNCGVEGETNDLNVLSLRSRQMKNFHVALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTCINNFQWEQLEQRRDGHFRFFSEMIKFRHSNPILRRDRFLNKNDVTWHEDCWENQESKFLAFTVHDHNSGGDIYLAFNAHDYFVDAVIPPPPHHKCWNRVVDTNLESPNDIVPEGVPFTGPKYRIAPYSSILLKAKP >ORUFI09G14480.1 pep chromosome:OR_W1943:9:15496822:15501398:1 gene:ORUFI09G14480 transcript:ORUFI09G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPELSRADEVNGGGSTAEMVNDDGCNGGERGSRRGGRGGARGADAGTTVAGAVLPSTTVLPCRRAPCCHPRPPSYCRAGAAVETRTTRGRRRRRRKMERSLNRTMKHV >ORUFI09G14490.1 pep chromosome:OR_W1943:9:15509499:15517116:1 gene:ORUFI09G14490 transcript:ORUFI09G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLWKTGENPSIDILNLKLRTTVQQGIKLLKRSLQEGHADRTTTSFDPNESKVFTRRNPLMWSLNEGSNSNALKKVPARWLEFNFYLFSMALRFEVLGRFNRARAARLTLPHFTCQTPLFMPVGTQGTIKGLTTDQLEEIGCQIILGNTYHLELRPGSQLIDDLGGLHKFMNWKRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVKGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTAGLPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKQNAMATDERPIDPTCPCMVCKNYTRAYLHCLVTKDAMGSQLLSYHNLSFMMRFPKGDVPKWVRNAMVVAGIDISECCTPAKYPRDAMDAPGTDIPELLPPTKCS >ORUFI09G14490.2 pep chromosome:OR_W1943:9:15509499:15517334:1 gene:ORUFI09G14490 transcript:ORUFI09G14490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLWKTGENPSIDILNLKLRTTVQQGIKLLKRSLQEGHADRTTTSFDPNESKVFTRRNPLMWSLNEGSNSNALKKVPARWLEFNFYLFSMALRFEVLGRFNRARAARLTLPHFTCQTPLFMPVGTQGTIKGLTTDQLEEIGCQIILGNTYHLELRPGSQLIDDLGGLHKFMNWKRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVKGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTAGLPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKQNAMATDERPIDPTCPCMVCKNYTRAYLHCLVTKDAMGSQLLSYHNLSFMMRFPKGDVPKWVRNAMVVAGIDISECCTPAKYPRDAMDAPGTDIPELLPPTKCS >ORUFI09G14500.1 pep chromosome:OR_W1943:9:15518600:15522608:1 gene:ORUFI09G14500 transcript:ORUFI09G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRIAASHRPPLLLPSPHQLRRRHIAAVSLSLPHTSLSLSSHHHHHHRLAPTPLRRRIPPLLASQTPNPEADSPAPAGAKLAPLLVSLAVGLAVRFLAPRPPEVSPQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTAAVATHTLPFAAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGGSTLGLSYGLTISEAFISPAMPSTTARAGGVFLPIIKSLSLSAGSKPNHPSSRKLGSYLVMSQFQAAGNSSALFLTAAAQNLLCLKLAEELGIIVANPWVAWFKAASLPAIASLLATPYLLYKIFPPETKDTPDAPALAAEKLERMGPVTKNEWVMIGTMLLAVSLWVFGDAIGVSSVVAAMLGLSILLLLGVLDWDDCLNEKSAWDTLAWFAVLVGMAGQLTNLGIVSWMSSCVAKLLESFSLSWPAAFCVLEASYFLIHYLFASQTGHVGALYSAFLAMHVAAGVPRVLSALALAFNTNLFGALTHYSSGQAAVYFGAGYLELPDVFRMGFVTALINILIWGVVGTFWWKLLGLY >ORUFI09G14510.1 pep chromosome:OR_W1943:9:15529792:15530082:-1 gene:ORUFI09G14510 transcript:ORUFI09G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSEWIDAEQGGHGHWRQALTWRGRWRMRPSTTSSSVGRGWRRTRPLATSSDVAWTVEDVTVVDELRRGVWMTEDSAAAAELRCGVDGGGCGHR >ORUFI09G14520.1 pep chromosome:OR_W1943:9:15530104:15531630:1 gene:ORUFI09G14520 transcript:ORUFI09G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSDAKLALLLNAAPPFDSATAAKPCASSSSSASGLHSFVVWSAHGHRHQRPIAWNSSTPAYDWVGFACDAANATVLGLRLPGVSLIGFYASGCSPFDATTSSVMSQVTSFPFLALSRLTSPSSRVLQHLARTLLAGVLRRQPPALR >ORUFI09G14530.1 pep chromosome:OR_W1943:9:15540283:15542005:1 gene:ORUFI09G14530 transcript:ORUFI09G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPGGAGGGGGSPSLVTMANSSDDGYGGVGMEAEGDVEEEMMACGGGGEKKRRLSVEQVRALERSFEVENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRHSYDSLRLDHDALRRDKDALLAEIKELKAKLGDEEAAASFTSVKEEPAASDGPPAAGFGSSDSDSSAVLNDVDAAGAAPAATDALAPEACTFLGAPPAAGAGAGAAAAASHEEVFFHGNFLKVEEDETGFLDDDEPCGGFFADDQPPPLSSWWAEPTEHWN >ORUFI09G14540.1 pep chromosome:OR_W1943:9:15557572:15562909:1 gene:ORUFI09G14540 transcript:ORUFI09G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEASSGAYREFKALTEAADRKFARARDVPLYGGGVDHHSRKAFKAYTRLWRLQQERRRELVAGGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRGYFAAAAQVVVGGGDRHQGLLIRYKELRFIARFLVVAMLMRRAEAVDHLASRLHSLVEETKSAYPKTNFKEWKQVLQELGRFLKADGAYKGSRSLRYDNLFDSFPSNLAPIARFHSKRVLKLKEAVLTSYHRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAAKELTENGTISDQSGPSGLIDIHLSSEISDGNLPANPQKAIIYHPTVSHLLAVLATVCEELSQDSILLVYLSASGFSEQNITSQKYASSSSYARATSVYPIDKPNSNGNSDNHLWLGPRGSGGPNNLYPEDLIPFTRYPLFLVIDSENSHAFKAEKGEPAALLLSPRIASAMPGVESTSNGSQFTYFLTAPMQAFCQLAGITSDIDSDTYANAEIILFSALEQYEGILCTSVGLNNVWGQILPDPFLRRLIVRFIFCRAVIFYFHPEENGEHIPICLPSLPESVAPNAEAIMAPILEFAENLVVSDRFHFRHSVRNNKK >ORUFI09G14550.1 pep chromosome:OR_W1943:9:15568300:15573353:1 gene:ORUFI09G14550 transcript:ORUFI09G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDHQSKVQRLYDACDAVFSSGSKAGLPTLKQIRWLQDLLDGMEAADVGIEGGGSGGERSSSSEDDDERSPPGRRFLSARAFTRITYVHIHECDDFSIGVFCFPAGAMLPLHDHPQMVVLSKLLYGSMRVKSYDWANAPPCSGPRKSGLARVVAVDEMREAPCKASVLFPRSGGNIHSLTAVTPCALLDVLAPPYAEDLGRPSTYFSDIPIPSLPGFAVLEEADLPDGFRVAGAPYVGPELTIDMDSMYN >ORUFI09G14550.2 pep chromosome:OR_W1943:9:15568302:15573353:1 gene:ORUFI09G14550 transcript:ORUFI09G14550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHNTLISEQLLSNTNSWGVRGLAARSSEVHQDHRLGRRRHLRLHRRRRRGIGDTAVAPYLSLPGVLQLPHLDHPFDEGPEEGALVLAEFPHGEPGPGVVGEERLVGVEKAAEADEVLEVLVVEDERGGVHASGDVLVAAAGAERVEPRAVGGVHVGVGPAGAGLVVEAEDDGEAAGLADGVRAGERDEVGDGEVVAGEELDEGGGVGAWARHDVVRVLLARRQAVLAPKPHVPEGPTCL >ORUFI09G14570.1 pep chromosome:OR_W1943:9:15582969:15599318:-1 gene:ORUFI09G14570 transcript:ORUFI09G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTAFKIFTEEELQKDTNNFDEKKILGHGGHGTVYKGFLNGNTEVAIKRCKTIDEQQKKEFGKEMVILSQVNHKNIVKLLGCCLEVEVPILVYEFIPNGTLFHLIHDGHGRHISISTRLQIAHQSAEALAYLHSWASPPILHGDVKSSNILLDGDFTAKVSDFGASILAPTDDAQFVTFVQGTRGYLDPEYMQTWKLTDKNDVYSFGVVVLELLTRKKPLNFDGLEDEKSLSVRFLSAVKENLEEILDDQIKSEENMEILEEIAELARRCLEMCGENRPSMKEVAEKLDSLRKVLHHPWALHNLEEAESLLGESSIVSSEVVTQGCIIVKIQRAIAIRPLMASRAPLLLQYSSLLLLLLLLLLCLAVSAPPAAAGNVPAPVAAVSKPGCPTKCGAVDIPFPFGIGEHCGLEAPYTNYPFKFECKPVDGTSKPFFRGMEVTKISMEDGKAWMKMNISKNCYNQSTGTREDNTNTTSVSFSRSPFWISDRDNKIIVIGCETFSYMQINNVLTGCVPSCRNDPKDGICSGEAGCYKLDFPNGTWYYSTYFSKRNNNSSPCSFITVMETTTFNFNKNYFNSTTFYDTYNGLAKVSLDWIITMDSCDRVKRNTTSYACISGKSRCVDDPKGGYRCKCSDGYEGNPYVKDGCKDINECLDNATYPCPGICKNTLGNFTCSCYPGNYMMNGICIPNKKSGFPKNLVIGASVGAVLLVIIVTYACFIREKRKLQYVKRRYFRQHGGMLLFEEIKSQQGISFKIFSEEELQQATNKFDKQQVLGQGGNATVYKGLLKGNMEIAVKRCITIDMKQKKEFGKEMLILSQINHRNVVKLLGCCLEVEVPMLVYEFIPNGTLFSLIHGNHNQHISLDTRLRIAHESAEALAYLHSWASPPILHGDVKSSNILLDKDYVAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVILELLTRKKAFNLESPEDERSLAMRFLSAMKEKRLSDILDDQIMTGDNLEFLEEIAELAKQCLEMSGENRPLMKEVADKLDRLRKVMQHPWAQQNPEEMESLLGDSSYEINNSTVENTGNFSINRCMACQSFRVGAVNHHNYTYADANFDVKAKAIGCIVLFALLVSLCCDNCLSLYSYSWLWGFSLLAKDMCVATEKSINQ >ORUFI09G14570.2 pep chromosome:OR_W1943:9:15600155:15602515:-1 gene:ORUFI09G14570 transcript:ORUFI09G14570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGTESGRLATNSSDNAVSHVIHLGGSRGRRPGRTPRLPDEVADVAIPAGGQPPGCRTRCGDVDIPYPFGIIDPDRPDCAYSRGFQLNCTSVNGAARPMFHNIEVTNISVPNGKAWMKTNISSQCFDPETNRTLYDDIWNSFRYSPYWLSNEDNKLFVVGCNSLAYMRSTSFITMQYVIGCSSTCDNVDLKNGSCSGAGCCQISRKAYGITKVISMQTTTPLQYGGAALATTW >ORUFI09G14570.3 pep chromosome:OR_W1943:9:15582969:15600152:-1 gene:ORUFI09G14570 transcript:ORUFI09G14570.3 gene_biotype:protein_coding transcript_biotype:protein_coding METSAFNFSTTYVDSTVFSDTYKGMVPTVLDWTVEWKKCEEAKENRTSYACVSSNSYCVDATNGRDINECLDNATYPCPGICKNTLGNFTCSCYPGNYMMNGICIPNKKSGFPKNLVIGASVGAVLLVIIVTYACFIREKRKLQYVKRRYFRQHGGMLLFEEIKSQQGISFKIFSEEELQQATNKFDKQQVLGQGGNATVYKGLLKGNMEIAVKRCITIDMKQKKEFGKEMLILSQINHRNVVKLLGCCLEVEVPMLVYEFIPNGTLFSLIHGNHNQHISLDTRLRIAHESAEALAYLHSWASPPILHGDVKSSNILLDKDYVAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVILELLTRKKAFNLESPEDERSLAMRFLSAMKEKRLSDILDDQIMTGDNLEFLEEIAELAKQCLEMSGENRPLMKEVADKLDRLRKVMQHPWAQQNPEEMESLLGDSSYEINNSTVENTGNFSINRCMACQSFRVGAVNHHNYTYADANFDVKAKAIGCIVLFALLVSLCCDNCLSLYSYSWLWGFSLLAKDMCVATEKSINQ >ORUFI09G14570.4 pep chromosome:OR_W1943:9:15599349:15600152:-1 gene:ORUFI09G14570 transcript:ORUFI09G14570.4 gene_biotype:protein_coding transcript_biotype:protein_coding METSAFNFSTTYVDSTVFSDTYKGMVPTVLDWTVEWKKCEEAKENRTSYACVSSNSYCVDATNGRGYRCKCSDGYKGNPYITDGCEGGSIGVVTLVTIVTCAYLIQERKKLHSIKQKYF >ORUFI09G14580.1 pep chromosome:OR_W1943:9:15592428:15593848:1 gene:ORUFI09G14580 transcript:ORUFI09G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRFFDRTKLRHGAAAAGADGRRHRRDPPPLLGFIHHVPYNSGEPPSFARFVRTTAFRPAEPEHSGWWPLDCRHGRALFSSHGHAADGSLTVWDPITGDVRRQHVPDSASSYSSETGEWSAAIHHPLQRVSRPPQAQLPRRRRGPLPHPLRPNHPVLRPHQTIGNPPAAGGGRSWAGSEKGAASGGEGGAASGGVREGRGVGRGGRRPAGRPEGRGGARTY >ORUFI09G14590.1 pep chromosome:OR_W1943:9:15597086:15600478:1 gene:ORUFI09G14590 transcript:ORUFI09G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNGRKGTFASIRDVWVALVSIRALATITATIGGIDTVTVATNTSVGSSVLFCFLAFFPFNCPIQDSGNHPLVRITEYCGVDISGTKVEC >ORUFI09G14600.1 pep chromosome:OR_W1943:9:15612528:15629388:-1 gene:ORUFI09G14600 transcript:ORUFI09G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLHSACLLICISGAIGLAAAAAGHPGCQTRCGDVDIPFPFGIGDHCAIHEGFRLECDNATKGTSNQKPFWGDFEVIKISMEDGKVWVKAYMSRQCYDQSTGGMSYSDASANLSGSSFWLSDTDNKITVIGCKTLAYMTTDSYVIGCSSACDNKVNKLTPKNGSCSGAGCCQANVPKSIQYYQGYFNEGYNTTKIWMSSPCSYMAVMETAAFNFSTSYLTSSVFYDTYKGGVPVVYDWAITSKTCTEARRNKTSYACISNNSQCIDNLTNAQGYRCKCSNGYEGNPYIKDGCKDIDECLNNATYPCKGICTNTLGNFTCSCSPGSYMMNGDCMPKKKLRFDSVPVVVGASIISVVLVITIMCAYLIKERRKLQLIKQHYFRQHGGLLLFEEMKSQQGVAFKIFSHEELQEATNRFNEQQILGQGGHGTVYKGLLKGNMEVAVKRCMTINEHQKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFDLIHGNHGQQISLATRLQIAHESAEALTYLHSCASPPILHGDIKSSNILLDRNLIAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQLCQLTDKSDVYSFGVVLVELLTCQKAFNLNAPEHEKSLSMRFLNAMKNNKLADILDDQIKNSENMPFLEEIAELAAQCLEMSGVNRPSMKHIADNLDRLRKVMQHPWAEQNSEELESLLGESSMVSSRYTSTGNFSIERKGVMELDSGSLFCVCLSAVWVAAATADIPAGQRPGCPERCGDVEIPFPFGIGKHCAMQTKYPFDLDCLDVNGTKKPFYNNNEVTKISVQEGKAWMKLGISSQCYDHVTGHILYDSNATAEFGDSPFWLSTENKIIVIGCQTMAYMESNAYVIGCFSTCNGSTPVNGSCSGGGCCQMDVPGHIYSYDGYFDEDYNDSKIWRSSPCSYMAVMEDKAFQFSTTYLNSTVFNDTYKEGVPVVLDWVITLDTCEKAKSKTTSYACVSTNSICNDDPSGDINECLDNVTYPCPGICNNTMGSFTCSCHQGNYMENGTCIPNRKFGFLALPIVGWFPSSVITRTNDNANHLRKLQHIKNQYFRRHGGLLLYEEMKSKQGLAFKIFSEEELQQATNKFDEHQVLGQGGNGIVYKGHLKDNLEVAVKRCMTIDEQKKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNDTLYHLIHGNYNGWHIPLVTRLRIAHESAEALAYLHSCASPPILHGDVKSSNILLDSNLSAKVSDFGASILAPTDETQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKPFNLDALEHEKSLSMRFLSAMKENKLSDLLDDQIKNNENMGFLEEIAELARQCLEMSGVDRPSMKEVRDKLDRLRKVIEHPWTHDNPEELESLLGESSCVVISEVESTGNFSIERKVVKGLESGR >ORUFI09G14610.1 pep chromosome:OR_W1943:9:15633523:15635008:-1 gene:ORUFI09G14610 transcript:ORUFI09G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMPRIVILSRHCLRKGLIANEILQIPINRFGDEDFTSWPHDKHGTYTEIKQRYDIKLKRRGIMHLRNWIFDFLEQNSSFQHTVLIVTFWHIREARNNARNGISALHPRRVAQKVFGYVDMIMQHCSKSPLLHSKVDSTAGRNSNDQYRCCSFPIYSSSGNQIRDHTGICLLVLNHAANE >ORUFI09G14620.1 pep chromosome:OR_W1943:9:15635534:15642981:1 gene:ORUFI09G14620 transcript:ORUFI09G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKRGRTQRRHFKQGRENVWKHNPQRPPAAGGEGAEGGAAEGREGNPSWQPFATENPAFEDYYKAQQIIPEGEWDDFMNMLRKPLPATFRINASCQFYQDICSQLENDFRKSLETEVSDEHEEDAIRPLPWYPGNLAWHLNFSRMQLRRNQALEGFHEFLKRENEVGNITRQEAVSMVPPLFLNVQPDHHILDMCAAPGSKTFQLLEMIHQSTKPGMLPNALVVANDVDVQRCNLLIHQTKRMCTANLIVTNHEAQNFPGCNLAKFSSETCTDESKLQRLEFDRVLCDVPCSGDGTVRKAPDMWRKWNAGMGNGLHRLQVEIAMRGIGLLKVGGRIVYSTCSMNPVENEAVVAEILRRCGDSVELLDVSNELPELVRHPGLSTWKVRDRGSWFGTHEDVPRYRKNVISPSMFPSGKGTMDSHVAIGSVEINTDVIDADMKDSTNMVEGEQETKTASDDVNNGGDPNTEETSKLESNEVPNDSDKKSNSTSIRTEHSNFPLHRCMRIVPHDQNSGAFFIAVLQKISPINENQEAELIKGEHNISKDRAEKLEKGLGSDKVPHKENTVQQQGVDDGNVMDEQQNGDVDNETSNGKSSEEAKVIVNEAENDQAGPRDRRRKPQNPGRWRGVDPVIFFQDEATIRSIVSFYGIKDTFPLEGHLVTRNPDAGHVKRIYYVSKSVQEVLELNVKVGERLKITSLGLKIFERQSSKDGSPCTFRLSSEGLPLLLPYITKQILYASAIDFQHLLQYRTIKFPDFVDAKFGEEASALLPGCCVVVLWEGHQNIDSIAMDPSAIAIVCWKGKTNLCVMVSPLDGKELLERICLRYGLKIPKADDVKPSMKIDGSDEQPDLSTEAVDPEAVPESKASDMEIADAKEVE >ORUFI09G14630.1 pep chromosome:OR_W1943:9:15656618:15657753:1 gene:ORUFI09G14630 transcript:ORUFI09G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQHQPTVPRWKPSPPRPQGREADDVDQPPQFDAVSDMSASVRSTDGFPFGSGRSSFAPPPFLPARQPSLEISAAENGGGGGVAREPSLRRPDQGVVLAWEDLWVSAAGGKSGRVPILCGLNGYARPGEVLAIMGPSGCGKSTLLDALAGRLGSSVSQKGDILINGRRQALAFGTSAYVTQDDVLMNTLTVREAVRYSAQL >ORUFI09G14640.1 pep chromosome:OR_W1943:9:15660573:15663904:-1 gene:ORUFI09G14640 transcript:ORUFI09G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITNCKLGRRIERARARLSEVQGKPRRERVPHDLQSCTSYGPAAWSAGGFVDGPEAFAAARAKALKSPRSPINDSALSDGLDVFAATSGPGHLTGWRWMRSLQMGGGVKPELSGAEMDERLTGDCKHKGGARKVFYATRRGTRMLNMSDFCDRSRTDAWDFSAFVRTYAAYLDDRLEYRMQAKHGGAAHQGRPLREQLYASPGNRFNYDDFIMRDDEATNAEADKAMALVARETPTSEMTLEQLLAKAQLLLAPHCLLLPVAMVVVVLALEKKLLVVVLGGELVEAGGVGGHQASRGEGIRAVVVGDGEAIGVGRQLRLLPTIEMPMRP >ORUFI09G14650.1 pep chromosome:OR_W1943:9:15664242:15665600:1 gene:ORUFI09G14650 transcript:ORUFI09G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSCRTNLLDTLILYSSFQIHPELITMTTTMPKVTSVVMAAVVGLAMVSLVAGISGTATFYTPPYTPSACFGFQEQGTMIAAASDVFWNGGAACGKRYVVTCTGATNQGVPRPCTGRSVTVKIVDHCPSGCQGTIDLSQEAFAIIANPDAGKIKIDYRQV >ORUFI09G14660.1 pep chromosome:OR_W1943:9:15673456:15674497:1 gene:ORUFI09G14660 transcript:ORUFI09G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMAKVITSVVIAAVVALAMVSLVAADSGTATFYTPPYTPSACYGFEDQGTMIAAASDVFWNGGAACGQQYVVTCTGPTNQGVPQPCTGQSVTVKIVDHCPSGCAGTIDLSQEAFAIIANPDAGKVFIDYQQV >ORUFI09G14670.1 pep chromosome:OR_W1943:9:15678587:15679392:1 gene:ORUFI09G14670 transcript:ORUFI09G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKIGSVVVAIVVSLAMVSLVAGSSGTATFYTPPYTPSACFGFQEQGTMIAAASDVFWNGGAACGKRLAVTCTGATNQGVPQPCTGRSVTVKIVDYCPAGCRGTIDLSQEAFAAIANPDAGKILVEYHEFIHDKLCSFAGSKIKIRMA >ORUFI09G14680.1 pep chromosome:OR_W1943:9:15680293:15685274:-1 gene:ORUFI09G14680 transcript:ORUFI09G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVAPMVDMRALSQSDLVALAAGSPYSADPRRGRDADVLPPPKIDRAVFNESAGSRKQTFSRHRVATNLSHSLTPATASAAAAPAPAPADEDSENRLIAFHLQRLLAGEDPSFASPPQIAPQPQPQTLITPAIAAAVTPAPSLPTPPPSNADMEVMNPNGVAVDLARLAELVDPYEEEMRRRTAGLGAESELLGFMNGLEGQWGSRRRRRKFVDASMFGDHLPRGWKLLLGLKRKERVAWINCRRYVSPSGHQFATCKEVSTYLMSLLGYVEAKPTAIQSSDAEVLELNAVNSVGHCQPNSTEEKQSAPPVTSVPFSSHHGDPQRQLDKNETQVEANGKECQKCNLTFQDQSAYVQHQLSFHQRKAKRRKVNKSGEVGANKNVTIVTQECHITSEDKLGNIDHSLATTKSQGQTPEKMPDETISGELGGRPSMAPEPVGFQETNGLTEQGKESSAGELLSGHCDPLHNMAGVPEKEKGSAGEPVTGNHEDPIDNFSDHKIHDGACHNAEEPHAVEAASEFNIGNSANLQQTDSTKDLVLSNADCTQNDNITKDLAPNPTIPQGESKCIDDPMECTDMKPSKKVSEPCDLLDDKFSSFPEGANFNGQEENSPLSAALNEPDLNSIDMEVDNDNVECKYGNAGDSTSPENGKHIEDQIIDCRMTALKDHEINTDVRIRDVNLNSCLDAMSPPVSGANYETSNAIDDNNRSSIIAQCFGANSADDNACKEENFVNNQSSVSKAESFNQNNDMMYQSNLTMDPISPAQINVDCFTSCSMTSEIKNNSNRREDNAKEQLVNPRNITSNDAGFDVEAYSNIFNGAITESSLAQLNNAINMKADYSSCYSLSDLNTLTGGPATDEIDIHSMRNSFVNSSTSRNEPNEHCTLDFDIKGSMLEALEKSDSDLENQYNGSSRPCGSLPTAGTSGSIDDFMSLQTNFGSLTSLVRSVEDGPMSRIIQDQCDLQLGFGVQKPQMYPTFEEQLRMASAGAPQFGTMNRHNHVPVPEPTLMLGYAPHIGSCPPVQLGWDMSMSKMVGGCVLQSSMCVWCNTQFQHFGTVADQQADSLGFICPACKEKISGHLSMLNNSSSQL >ORUFI09G14690.1 pep chromosome:OR_W1943:9:15685543:15689575:1 gene:ORUFI09G14690 transcript:ORUFI09G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLFSATRALLRAVPSPSPSSSAAAAAAAASLLPLLPCKRRRKLRKKLSSPRVAPIEPEAARRVPELDAVLDREAAFRFLARARSFLASLPPPHRIPLTEAGKLYRELGFPRGRSVSRAAARHPLLFHLPRVDSVPHLALTPLMCSLLEEERRLHDQLLPTRVRAVRKLLMLADHRRIPLAKLHHCRAVLGLPDDFRDRVREFPGDFRVAVDPDGRDVLELARWDPALAVSALERDFVVDERRVRRTFRFAVPHSRSMPLDAEDADRLDAATTFPLVSPYTSGALLRPWTPEAEKYRVGVVHEFLSLTLEKRALIHHIFEFKEELGLTRHMHASLRKQSRAFYLAGTEMNWAVFLRDAYGGDGDLREKDPLVLFNEKLRRYACMTKMDSSEARRS >ORUFI09G14700.1 pep chromosome:OR_W1943:9:15692245:15692706:1 gene:ORUFI09G14700 transcript:ORUFI09G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRLIEDDGEECNSNESGWTMYLASPTHSDDVRAIVSEGSNVEDGSGFSNERRRGKENKGHANDDGDYDSLASDASTGPAEVKMQEGKEEKDHQMNGGNRHQHAKDEQDEIPTKLSTSYSKKVGKIKKGDEKTSRRGQNKRRSSSRTSFFW >ORUFI09G14710.1 pep chromosome:OR_W1943:9:15695683:15703793:-1 gene:ORUFI09G14710 transcript:ORUFI09G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVEFTPERPQLVQTVLGFTPFRCTVMFSLWKAITVVSNLVTQFYACKLYMNEYDEFKWMTSLATRSIQADIVGALRRGDRQQASLLLSNLQQTNRALTSEDFSHILEYCATAPDPLFVTEALELMEENAVHMSQRVYRSVTRALSKGGYSKEAIHWLTLLVEKESSHNYLPIFNIFLSGCGSTTKQSDIEGCLEKMETYFLGKSEITYCELLKLAVSQRNLSAVHDIWKDCTRNYNPSIILQRKFVRALTTLGDLRSAYRIMQHMVVLAGRNTDHLRGKGRYQRSRLDIPVPALTELEDLKILLGCDLPSSFQGKVEESEKCSTDTQPELSQEENISFENLQLKGYAEFISTGDNLSDKSVLDNGRMARPLRLVPATVKKFLLWSFNDIVHACVQLNNCQIAEQLLLEMQRIGLQPSKFTYDGFIKAVMVGKGVAYAIKVIEAMERRGIEPYNDTLAALSVCSSRSLQLNLAEDFLARISKPQPKYIHAFNALLAGCDMMNEPERAVRVLAEMRHLNLKPNLRTYELLFSLFGNVNVPYEEGNVLSHADVSKRISIIETDMLNNEIQHSFVCMKNLIRAFGAEGMTEEMLKYLNVAENVLWNMDPYQKSDLYCIALHALVKAKDTHKAIKTFMTIRSYGLPANVAIYNIMIECCKLLPCVKSASAVLSLMLRDGFYPTILTFTSLVKVVLAREDFEGALDLLDACIIEGIQPDIEIFNTVLLEAFEKGQIHVVEYIVECIHRAKIRPDQSTLWYTFCAYVDQELYNTAIEALQVLSVRMISEEADVLKEKRVIVEDLILSEEPDAELKIMKTFEATEHLATALLNLRWCATMGSTISWSPEDSLWARRLASSYDGNRRPHIFTSIVPKQFVV >ORUFI09G14720.1 pep chromosome:OR_W1943:9:15705827:15710885:1 gene:ORUFI09G14720 transcript:ORUFI09G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPWPPTTGAASAAAAAASPAPPEGAAGGAATPAAAPATTSEQRPEGGDAAAAGAAAAVQQQEEEEVEAKPQLLREDDSETEIQVHEQKINKYQAILAARLKAKYFSNKDFDGGNVFEEITVEGETIQSSRWPCTRSFADPVNFFRDKNSHERSDSPSLTADSAAKNNSPRTDSSPKNSASALATENNLTPGKRQPSKKI >ORUFI09G14720.2 pep chromosome:OR_W1943:9:15705827:15710885:1 gene:ORUFI09G14720 transcript:ORUFI09G14720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPWPPTTGAASAAAAAASPAPPEGAAGGAATPAAAPATTSEQRPVTKRIHKIFEKDQVGTYFLRFTIETEIQVHEQKINKYQAILAARLKAKYFSNKDFDGGNVFEEITVEGETIQSSRWPCTRSFADPVNFFRDKNSHERSDSPSLTADSAAKNNSPRTDSSPKNSASALATENNLTPGKRQPSKKI >ORUFI09G14720.3 pep chromosome:OR_W1943:9:15705827:15710885:1 gene:ORUFI09G14720 transcript:ORUFI09G14720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPWPPTTGAASAAAAAASPAPPEGAAGGAATPAAAPATTSEQRPKINKYQAILAARLKAKYFSNKDFDGGNVFEEITVEGETIQSSRWPCTRSFADPVNFFRDKNSHERSDSPSLTADSAAKNNSPRTDSSPKNSASALATENNLTPGKRQPSKKI >ORUFI09G14730.1 pep chromosome:OR_W1943:9:15711826:15712347:-1 gene:ORUFI09G14730 transcript:ORUFI09G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHHYHGEVASLHCLSPPSLPFSSHYHSNMITMAPSPFHFPAATCEPIQELLPVVAGNRPAGSGSTDDAYQMAAEEERRRRRMISNRESARRSRMRKQRQLSELRGQVVHLRDANRRLLDELNQAMRGCSDVHCENARLRKERAELQTKLEHLMQAQKNNTSPSSSQPCENI >ORUFI09G14740.1 pep chromosome:OR_W1943:9:15723547:15725304:1 gene:ORUFI09G14740 transcript:ORUFI09G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTVPFFLTSTTLAAAAAKPQRPGPPSPPAQKQQPREARDGSRDACASYTARMRLNPQLALRLFDHLLRSGADPDHVAYALALGRCARGRDRRAAAQLHAHAAKRGAASHRRVCNGLIHAYAVCGSLLDARKVFDRGHEGDAVAWNSLLRGYAAAGDVNALREFFVGMQARDTVSWNTIIAWCVENGEYEEAIAVFREMLASMECLPDRVTLVSVISAITYLGALAQGLWAHAYVCRKGIEVEERLSSALINMYSKCGCIEGAVHVFENLGAQMNVDTWNAMLAGFTANGCSEKALELFARMEITGLVPNKITFNTVLNACSHGGFVEEGMGCFERMTKVYGIEPDIAHYGCMVDLFCRAGLFDKAEKMIQMMPMKPDAAVWKALVGACKTHRNFELGRKAGHMLIEAAPNDHAGYVLLSNIYALDGNWTGVHKVRKLMLDRGVQKVPGSSSIEIDGVIHEFISGDKSHSSKEDIYEMLSEMCQQLKVAGYVPDTSHVLLDIDDEDVKESSLALHSEKLAIAFGLISTAPGTPIRIAKNLRVCGDCHNAVKLLSKIYGRCIIVRDANRFHHFREGSCSCGDFW >ORUFI09G14750.1 pep chromosome:OR_W1943:9:15728202:15732242:1 gene:ORUFI09G14750 transcript:ORUFI09G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSESSEKGMESNASSGPGNGIPVEWQSQFSSAFACQPSVAAQHQQHAMMDSFAAASAGLWASSDVVSAMSSAAPPRGAGFLAPVPGFLQQGLGHFPVDSGFIERAARSTCFGGGMMAGGPYGAADQAMGDAFGGTAEGLMDHHRNVGNDKAEEFAGNGHDEVPSSEVAGGDCSSKGSDSKKRRRPNEVMGTDQVHSSNLPSDSANESVHSKDKGEESSPATTNGGKSKGKGAKETSESQKEEYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDLNIEGLLSKDVCQQVATSLYFHYFSF >ORUFI09G14760.1 pep chromosome:OR_W1943:9:15739908:15744274:-1 gene:ORUFI09G14760 transcript:ORUFI09G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSRSLGASSVAALRPTPSRGRGPTLRSAVAVQGRGAAAVAARGVRWEAGRRKGKGRMVGVRCEAAVTEKPAGEEEAAGEQFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTDSSVLSDGGELEIRIKPDPEAGTITITRSHCFASYSDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKADKQYVWEAMADSSSYVIKEETDPEKMLTRGTQITLFLRDDDKYEFADPGRIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEEEEEEPKEGEEATEGEKKKKKKTITEKYWDWELANETKPIWMRNPKEVEKTEYNEFYKKAFNEFLDPLAYTHFTTEGEVEFRSVLYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEIAEKEDKEDYKKFWESFGKFVKLGCIEDTGNHKRLAPLLRFYSSKNETDLISLDQYVENMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEDKENESKQEYTLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIVKDLSAACKNEPESTEAKRAVELLYETALISSGYTPDSPAELGGKIYEMMTIALGGRWGRPEESEAATSESNVEVESSEGSATEVVEPSEVRPESDPWKD >ORUFI09G14770.1 pep chromosome:OR_W1943:9:15745450:15747467:1 gene:ORUFI09G14770 transcript:ORUFI09G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPPDEQTFLSMLKSERRSVGKQVHVHVEVSGLHSSVYLRNSLIKMYLDAGDVEAEEAMFRCTPTADTVSCNIMLSGYVKGGCGGKALRFFCGMVSRGIGYTAVALLACCGRLKKAVLGRSVHGIIVRRIGIVDRGLILSNALLDMYAKCGEMNMAMRVFDEAGKRKTNSGWQEENKLSLSSRRSIAKKKRASSSREDPHRRRFCLRRRRVSHHQHAKPTATGTSGYADPSDRYWTGAIEQASSCGPYKKNRPTKRLHRRPPDNRSGAGGLGFVRKTCPPRQGSRARPHVVSKAKVPVRRCGWTSGAQVPKACVPDVAGGGVGLHCGTGDAGALHGIAPVCASVAPAAAAAAAAS >ORUFI09G14780.1 pep chromosome:OR_W1943:9:15748786:15749079:-1 gene:ORUFI09G14780 transcript:ORUFI09G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRNMLRPAASGLCDEGDGEDEGSKGGEHLGLRDEEGGNEVGVEEPGGDVGDERGVEGEEAKLGVEKVELGERVDNDGEGGEGEADDKFGDEGGAT >ORUFI09G14790.1 pep chromosome:OR_W1943:9:15752127:15753268:1 gene:ORUFI09G14790 transcript:ORUFI09G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAGVLLLLLLLSLSALSASASEANEERLLRENAMPITGRKWLRGRKAMAAAGRLGHGGVVVVEGKGGGEEKNKKNTGANTAHVHGNGSERAVDVAVVGKSGGKHGIKSPLNEGLKCVISVVPGAANQEADASAKAVNHEEPSKHDDTAAAVSRMMSMDYKTQDARHHRPINNDAPLDHELVEKP >ORUFI09G14800.1 pep chromosome:OR_W1943:9:15758346:15759339:1 gene:ORUFI09G14800 transcript:ORUFI09G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDFGTVGASQGEWDGLIPGEKYSSQIRDQSILQNLADELVPPGTGSRREARRFTPFTRSHCPLSLTSSHSARAPPPTVSDDDGGLERQQAARATTTNGGLATTDSVMGRTAAANPEAGRAATEDLEEGRAAAADPEARRATATTGEGECFDGGGGRRLGNGGFGGAATTTTTSECECSGCGSVDDDGR >ORUFI09G14810.1 pep chromosome:OR_W1943:9:15762338:15766216:-1 gene:ORUFI09G14810 transcript:ORUFI09G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLDCPVQTQMAVAVLDRSFSSEYPASSRTEGRSCSWKRVFVQTENGYVLGIELERGENAHTVKKKLQVALKVPTEESSLTFGDLVLNNDLSSIRNDSPLLLRKNQMHRSSSTPCLSPTAHDVQEQDHSEPIEILGCLSPSSRMKQLAKDVVEAIRNGVDPVPVNSGMGGAYYFKNIYGERVAIVKPTDEEPFAPNNPKGFVGKTLGLPGLKRSVPVGETGLREVAAYLLDHDNFANVPPTMLVKITHSVFNVNDTVSCKSKVFHNKLQAVSKLASLQQFIAHDYDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLGPGPDNFGVQTELIPIDHGLCLPESLEDPYFEWIHWPQASIPFTEEELEYIANLDPVKDAEMLRLELPFIRGACLRVLVLSTIFLKEAAAFGLCLSEIGEMMSRQFTGKEEEPSELELLCMEARKWVKKRELFLPEAGVEDDNDGITQFSIDSEDGSDASELPSFSKFGLMNASHRNPLSKLDECDEEDGEEEEDDDGDEEDDDEDMFKDDAGNLKNPFSKHIPSVSKLSASFKGLGFIGKARAYHKGVPKNKVTAKTNYSGKGSEHQSGSRSANELLPPSASFVKLSDMGSDEWSAFLDKFQELLPSAFRARKHAAADGPRPLQRLGTSCQF >ORUFI09G14820.1 pep chromosome:OR_W1943:9:15767655:15768697:1 gene:ORUFI09G14820 transcript:ORUFI09G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLETTVPPESTHMGSPPPSHSPSPPPPLQGDHSLPTDAPPEPSPQPHHATPISPPSQGQATKERPRVEEPQPPIDGTPGAAGPPAQPSFFSSLELGTSAAPPAPAATRQPDSPSPHPSAEPSVEFYLGLAASSPSSSSYETAQDDWPAPPPRAHSPTTGLLAGFTLHRVFPCTRSLRRGGGLARRTRAAAADTIGDKAKGYLRPTEPKNSLSSATSASQVERRRREIKY >ORUFI09G14830.1 pep chromosome:OR_W1943:9:15787801:15795243:1 gene:ORUFI09G14830 transcript:ORUFI09G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGNKATTREHDFLSLYTTAAKDPSLQLHDAKPPPPSQGFFLRTHDFLQPLEKPTPAPAPPPTSQLQQQQQQAFPGGIGTFSISHVAGARPVAAAVVKAEPTPFVLWGQPAAAAAAHPVAALGHHHHHHHQWTLPFAGVGQVAATAARQQQERKGRVGGGGFMDSGSRSSGGAGFDDDDGVAARREVSSSLKELTVRVDGKGGSCSGSGTDQRPSSPRSKHSATEQRRRSKINDRFQILRELLPHSDQKRDKATFLLEVIEYIRFLQEKVQKFEASVPEWNQENAKILPWSKGQNPGDDLPDPSQFIRNGSSSGYNFTGKPDDNHNMVTSAAASGAQELVETDHAASVSYRSAETPTNITNNVTSQAQAQWASPAGVDDCAMNSEMLNNQQLAIDEGTISLSSQYSQQLLGTLTHALESSGVDLSQASISVQINLGKRAVKRPGADGSSSSKELPSTSANNENMGHQLTMLGGGTEELPHPTKRHKSGNS >ORUFI09G14840.1 pep chromosome:OR_W1943:9:15798235:15801207:-1 gene:ORUFI09G14840 transcript:ORUFI09G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGVKAAAAAEKAREAARAKVWAARASTTVVLWLCCALLLATSRELGRWSGCLTQPLIVVERRFEAVAAAGSERAAASASAAAAARGERAESSASEAAVAALPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWADPSEFKDIFDVDYFISSLRDEVRILKELPPRLKRRVELGYVHSMPPVSWSDISYYQNQILPLIRKYKIVHLNKTDARLANNGLPMEIQKLRCRVNFAALRFTPEIEELGRRVVRILRRNGPFLVLHLRYEMDMLAFSGCTHGCSNEEAEELTRMRYAYPWWKEKVIDSNAKRNDGLCPLTPEETAMVLKALDIDSSYQIYIAAGEIYGGQRRMAALTSAYPNVVRKETLLPSDLRFFQNHSSQMAALDYIVSLESDIFIPTYDGNMAKVVEGHRRYLGFKKTVLLDRKLIVELVDQYKNGTMSWNHFSSAVKASHSSRMGAPSRRQMIPDKPKEEDYFYANPHECLHQPEELSVL >ORUFI09G14850.1 pep chromosome:OR_W1943:9:15805679:15811256:-1 gene:ORUFI09G14850 transcript:ORUFI09G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRHHLPAVLRLFSTSCRRGHPTQPPQHPSPAPLPLPPPVAKKVPFTASAHGRSWSDPYHWMRDTSDPDLAALLEAENAYADAFVDSAGEGGGGLRARLAAEMRARLPPSAASPPQPWGPWLYYQYVPEGKEYPVLSRRLRSSGGLARAALDFISGSKKEQVLLDWNEIAEKFGVCYIYAVLSGLCMLNKLSYSLLIIIVYVMESGHVRGGLWPVQKRSDKVQYFLEHHNGFFYILTNAPLEGTETANGGYYLARCRAEKSEMDKWQVVALPGSYYTFQDMDIFHEQLVLFIRKSGLPLICSINLPIDVDFQEQKELDDLDPWFFPVPSDLCSIVPGSNNDFMSSTYRLVLSSPVLPDLTVDYNMRMRTFAILHQEEVTGLSSNLCTVGLQSNITGIQQNLQLIEDSQSWSDLSKLFSCERVQVISHDGVSVPLVILYSREAHRRGESPGVLYGYGAYGEDLDKSWCSDRLSLLARGWVVAFADVRGGGDSSWHLAGTKANKINSIKDFAACGTHLIKEGFVHKNRLCAIGCSAGGLLVGAVINMLPDLFSAAVLKVPFLDICNTMMDSTLPLTILDYEEFGDPNISTEFDTIRSYSPYDNLSPDICYPPVLVTASFNDTRVGVWEAAKWVSKVRDITCQSCSWSVILKTNMQSGHFGEGGRFMHCDETAFEYAFLMKALGLDDNDSCKIV >ORUFI09G14860.1 pep chromosome:OR_W1943:9:15816225:15816917:1 gene:ORUFI09G14860 transcript:ORUFI09G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAGRRPAPQFAGVDLRRPKGYPAAAQLTPAAEEAAAGVGDPCPRCESRDTKFCYYNNYNTSQPRHFCKSCRRYWTKGGSLRNVPVGGGSRKSSTSSSSAAAAAASSSSSPSSPAKSPKRSKNSKRRRVSPPPPQPVPAPPPPTTADAADVAAPTAPEATTKKAPEDLTAAAATQPAVALGLGVADGGGGGKEHLDTSPFEWPSGCDLGPYWPTGVFADTDPSLFLNLP >ORUFI09G14870.1 pep chromosome:OR_W1943:9:15818633:15821746:1 gene:ORUFI09G14870 transcript:ORUFI09G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAVGHGPRTRIRGGGLAAAPTAPSAAARRLSAVSYTAAPNLTKKVPDPKVVKPARRTTPVKKRPQVDQAQKQREELAALQEQLSGLQKKLLEKDEALRSSEHLISRISAANAAVDELRGQLTEKESQIESTGSELHGAKIQLAEKQAALEKLEWEAKVSSTKVEELQVDVASMDVEISALMKLFRKITENDRAPYSRERADDSSLECEPVQLDDMVGDIDMEKMEQEMSAYATALAAAKDNPTDEFLKAVTEARLRLQAFVL >ORUFI09G14880.1 pep chromosome:OR_W1943:9:15824649:15826457:-1 gene:ORUFI09G14880 transcript:ORUFI09G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGDGSFHRNEAISAVQDVDQYYGDDDDYDDLYNDVNVGDGFLQSSHPPPQPPPPPQQQQQPPPISQQPPPLQAPPPPPQQQHQQQQLQAPPSLPPPPPQRQPEKVHIPGVAAVPPAPVPDRPNPVHLPPQPQPPVAAAPPPPPHNQIQPGGGDGFHRQGGGNYGGGPIVVGNGGGGDGPGGTTLFVGELHWWTTDADLEAELSKYGQVKEVRFFDEKASGKSKGYCQVDFYDPGAAASCKEGMNGHLFNGRPCVVAFASPHTVRRMGEAQVKNQQSMAQQNSGVQKGGRGGGAAGGPGGAQVGGNYGGGRGGGGGGPGGGGGGGGGGNWGRGGGGMGGRGQAGNMRNRMGPVGGRGLMGNGGMVAPPPPMLHPGGMLGQGFDPTGYGAAMGRMGGGFGGFPGGPGAAPFPGLMQPFPPVVAPHVNPAFFGRGGGMGAGGVGMWPDPSMGGWGGEEQSSYGDDAASDQQYGEGGSHGKERPPEREWSGASDRRREREKDLPPPPDWPERRHRDERDAGRERERDRDRERERDRDRERERERDRDRERERYRDDRDRHGDYHRHGKRESDRNEDWDRGRSSGRRSRSREVDHSKRRRMSPE >ORUFI09G14890.1 pep chromosome:OR_W1943:9:15829912:15830673:1 gene:ORUFI09G14890 transcript:ORUFI09G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPALAGVVLLLAVLLAMACAVHAASSQPPSPAASPESSEAESPESEESEWAAEGPGMLSEASEELGLGAGPLKTMGMDMDMLDDDDDGAAPSKSPAATAPAGAAAAPAEEGDEEEDASTASPASAPGASEEAEGEEEAPAGAPDAEAEEAASGPSEASSEEPSAAAAAAPEESGGGEEAEPASGEAPTAEAATAADVSPAAVTVSEGPAEGPGPSAADEEEDESGASATTQRGSLAATAVLLVGAAVFAL >ORUFI09G14900.1 pep chromosome:OR_W1943:9:15831098:15831769:1 gene:ORUFI09G14900 transcript:ORUFI09G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARLLPSAARLSLREGAARNARKAARWRGVVATNLAPPPPDLAPSRLDPPTPPPDLGRGDTGAASEARRRRRRRRRGAAWQPRRHDIGSEGMGAGDSGRPWKPWRHGVGSKGAGCRRQRAAVAAVEAQRRQRRRGRWRQRRRHGRPWPTAWWLWLAGRASAGGNYGRGLRWQRRWLAVRRQRLLQRWRQRLVGDSRWLDDGSSGYYGDRGYGERRHGGDCG >ORUFI09G14910.1 pep chromosome:OR_W1943:9:15838680:15839501:1 gene:ORUFI09G14910 transcript:ORUFI09G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRLAAAAAAPHGVLLLLLILLPLVAAAGPAAAKAPAAPPAPPNVTTAMAKGGCKAFADLIAASPDASSTYQSAAGGGITVFCPTDDAVRAFLPRYKNLTADGKAELLLFHAVPVYYSRGSLKSNNGVMNTLATDGAAKNYNFTVQNEGDAVTIKTAASGDAARVKSTVVDADPVAIFTVDAVIEPVELFKPAPSPTPAPSPAPAADAPKASKPAHHPAPVVADAPGPAATDSPPADQKKEAKKSAAAGAPPCVRWFAAALAAVAMASTLA >ORUFI09G14920.1 pep chromosome:OR_W1943:9:15850656:15850901:1 gene:ORUFI09G14920 transcript:ORUFI09G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAALFLAALLFVAMVVVPVARAAEESSAESPSSESSSESSSSSSADAPAEGPDGPAAAPGPGEGIDGLSDDNDDDSSN >ORUFI09G14930.1 pep chromosome:OR_W1943:9:15854182:15854406:1 gene:ORUFI09G14930 transcript:ORUFI09G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALIALASAVLLLVAAVAPPLAAADDGGAGVPGEGKLESAGSAIKSAAANAFGVGSDIGGVPVNPSPGGANA >ORUFI09G14940.1 pep chromosome:OR_W1943:9:15857151:15857381:1 gene:ORUFI09G14940 transcript:ORUFI09G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQQAAPPLALASALLLLLAAAAAVAPLGAAADGGLVQGGGEVARSAANTLAVGADPDPASADGIPADRAPDAHG >ORUFI09G14950.1 pep chromosome:OR_W1943:9:15860514:15861049:-1 gene:ORUFI09G14950 transcript:ORUFI09G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAELVWRWSISALAVDSQVGAISSMSFCWSKWRSVTLSGGRSGASLLLGLCVGDVGEWVVVFFSFFLVTTLQGCNLVIFPCSINRTSHRLVRVVQKKFENPMEWTIL >ORUFI09G14960.1 pep chromosome:OR_W1943:9:15862918:15869206:1 gene:ORUFI09G14960 transcript:ORUFI09G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELFMQVFERRDWVAAQMRQQVESYDQSLACALLAAGRPPPPWLLPSRPAAPQGLNGKPAPSEFVFTGSHITTPAINRTVYQPSAVPSTSLRNVGLPSGYSHLWTACNSLDTDQHQEVQQEQTKVNEEFVNTRAEANMFSRIQRSRSRQRNIEDRLRERDEAANGGSSDGLQDRMERSKIAGVRLNRTTTSSSSEPCGGDANNSGATHPFRGQENDIYTNKRNSVEFLKCSKEGGLGSGVHLDCSPSLVLENKIVSSDSLFKVPNDCSARDSSRTQVADSVCHPLPETHLFVEPKILQFEGVESVCMNFSSEKMGQPLESAHLDLAEAHPLNEDPSSTGCYHVPCSVGSSLVDGVELGLLSTDSATLKQHLQCGSPDLSPTHSRNKDPCPTISSEVPNYTSEPLVEQDTYCNPEINSLEGPCSKVSQLLEKEETKACPDANPLLKTDALHTIGSTERIRNLASRNSTPLEQRSSDPHVLPCQRSRSVQPADSSSRPPLSTGILPDSLLEAVGLDHLPHSNDTNSQCSPSRSAASPDLLPLRLVNSGDVYQPSFSCCKSQNNKDSNGCAVEGTTVSIEKPPSQEQYLLDRPPMELNGFADEDTPLGHTLGTHNEMLKGKKADDLVNCHSGKLNSSQKKPKGLTEASGFSSGKNESAGQKVESNISTGVMHTTERSRGFCAMNCTEDLQQDGTEQETSPFDNAVQINANRCTADNNKQIKSLRPSVRYSLRSLMSHEKINLLQSEGRSAACGQKRSDADGVQVNGGPSSKRRRIKRQSNAALSSSPNTNSLSVVHQVDIDNHVLPLGNFSGKSQPSGRYFLRDLGSSGSMSLKSEERNAVSHGNISVSSIHNKTSSSPERYNKASLDNENGNSPGQLQNTLDVVKTTAALPSCYGTLIDNEKSCAEEENPCLEGKHANDTCSSVVHQQMTLQIDNIASQSVILNSENYSRENSITISASYVSDQNGDQAHAPSALVRENLSYGSSVELDRRCKSNGSKGSLLSGAAITMQDGDESVDCDATMPEFERFDVPIQFDSPCAETKTSEALCESRKLVTLSSKFSNYDTNTASGVSHLLSAMSGKPINFPDDLQQYRANNDRSITDIFGACGLGLDDSFSIYDVTASCSSNGSSAKENNDNPLTPSVEKYGLGKLSARSGSSSEHMGSIPELECFRIDEHSSIAEENEYQGMLHGSAGLSYSHQLPSGRKALQDITGLCQNTVNSASLSSIFLDTGNELNHQTDLINGHANDKPKNSLAASTKRERKISDSLHPRLRRTELHNRNGRHQSEANIDKQSKPSNIVANVTSFIPLVKPKLQPTTACVKKDVRVKALEAAEAAKRLEEKKQNEREMRKAAAKLERERLKQEKELKQKQEEQKKKRDADVAAKKRQRGEEERKEKQRKRKCTEEARKQQKQPTEKSLAVNDEKDVCRKTSDNIELTKPDGRTTEPAMTNIPNSLEESYQMSPYKDSDEEDDDDFEHEQESRRRRKFIPSWARLYLRKSCVFDVMH >ORUFI09G14970.1 pep chromosome:OR_W1943:9:15872205:15873536:-1 gene:ORUFI09G14970 transcript:ORUFI09G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSSSSQQLAGDGELILAACHGRPVTAYDAVSGHVVAEFPAPVNTSRHGLAVVAAPGAAAPFVAASHVCPVTGAGSVLLLHWWSRAPARSLPVPEPVAPLVAAPRGGSHSHLLAGGLSGRVHAIALPSGDVARSFRAHGGSAPVSCLELSDDGSLLVSGGYDGEVAVFVLLSVLDVDADADDASVSADLSLYRVPAHAAPVTCVACGRGGCDAVVATASMDGTCKVWTLKDGSHLRTLTLPCTAFSLTLDHLAARLFAGGSDGRVHVASLSPAAITSSSWHASGNTNAALVGVGMANGSKNLVTCTEDGEVSVWDIPSGLLLDASFRISGAVTDVMVIKKSAAADAAAGDMVRPRDGGVGFTGVRDGEAWRRAGEVARMEQTLRESEVEKARSVELVEMAVGGYRRCLRLMLREVTATVAGGGRRPNDVSSSDGHVSD >ORUFI09G14980.1 pep chromosome:OR_W1943:9:15874506:15891216:1 gene:ORUFI09G14980 transcript:ORUFI09G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGALALPHFRSRVGIGSPILLSADPGAARRSSGASGRSRVSRVNPGAYPRSAGRGRTWKRDSPEAPPRRSGITRVPNRSPEMPTERAGRTKVSNVNLEVSHHRAVAAVRLLRIEKGKAFVDLLNEKGNSSGENEMSYVERTLGFSIRCLDNRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLNVPAYAAVDENVRLAKVALRPGAGNLVNAILRKLLLLKEANSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFLGKEEALKLMKWNNSDPHFSIRVNTANGYTRADLIDRLESLQVHYEKSTMDEFVRIQEGMQNYLNLFHVQTVLQAGLLKEGMCAVQDESAGKFPCLSDSGTSHLSKHLILMLRHEESIVGLVVSVVDPQPGETIIDCCAAPGGKTLFMAARLSGQGKIWALDINKGRLRILMEAAKLHNLDAMISDIHADLRLYAKETTATFDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELMCLQDELLDSASMLVKPGGILVYSTCSIDPEENEHRIAAFVQRHPDFVLQSVHGYVPAEFVTDEGFYSSSPTKHSIDGAFAARLVRSVL >ORUFI09G14980.2 pep chromosome:OR_W1943:9:15874506:15883116:1 gene:ORUFI09G14980 transcript:ORUFI09G14980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGALALPHFRSRVGIGSPILLSADPGAARRSSGASGRSRVSRVNPGAYPRSAGRGRTWKRDSPEAPPRRSGITRVPNRSPEMPTERAGRTKVSNVNLEVSHHRAVAAVRLLRIEKGKAFVDLLNEKGNSSGENEMSYVERTLGFSIRCLDNRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLNVPAYAAVDENVRLAKVALRPGAGNLVNAILRKLLLLKEANSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFLGKEEALKLMKWNNSDPHFSIRVNTANGYTRADLIDRLESLQVHYEKSTMDEFVRIQEGMQNYLNLFHVQTVLQAGLLKEGMCAVQDESAGKFPCLSDSGTSHLSKHLILMLRHEESIVGLVVSVVDPQPGETIIDCCAAPGGKTLFMAARLSGQGKIWALDINKGRLRILMEAAKLHNLDAMISDIHADLRLYAKETTATFDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELMCLQDELLDSASMLVKPGGILVYSTCSIDPEENEHRIAAFVQRHPDFVLQSVHGYVPAEFVTDEGFYSSSPTKHSIDGAFAARLVRSVL >ORUFI09G14980.3 pep chromosome:OR_W1943:9:15874506:15882487:1 gene:ORUFI09G14980 transcript:ORUFI09G14980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGALALPHFRSRVGIGSPILLSADPGAARRSSGASGRSRVSRVNPGAYPRSAGRGRTWKRDSPEAPPRRSGITRVPNRSPEMPTERAGRTKVSNVNLEVSHHRAVAAVRLLRIEKGKAFVDLLNEKGNSSGENEMSYVERTLGFSIRCLDNRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLNVPAYAAVDENVRLAKVALRPGAGNLVNAILRKLLLLKEANSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFLGKEEALKLMKWNNSDPHFSIRVNTANGYTRADLIDRLESLQVHYEKSTMDEFVRIQEGMQNYLNLFHVQTVLQAGLLKEGMCAVQDESAGKFPCLSDSGTSHLSKHLILMLRHEESIVGLVVSVVDPQPGETIIDCCAAPGGKTLFMAARLSGQGKIWALDINKGRLRILMEAAKLHNLDAMISDIHADLRLYAKETTATFDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELMCLQDELLDSASMLVKPGGILVYSTCSIDPEENEHRIAAFVQRHPDFVLQSVHGYVPAEFVTDEGFYSSSPTKHSIDGAFAARLVRSVL >ORUFI09G14990.1 pep chromosome:OR_W1943:9:15882581:15902894:-1 gene:ORUFI09G14990 transcript:ORUFI09G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTERRRLFATEKVGGRAVYRLQAATVAAGILLVLYYRATRVPAAGEGRAAWLGMAAAELWFAVYWVITQSVRWCPVRRRTFKNRLAERYKENLPGVDVFVCTADPHAEPPSLVISTILSVMAYNYPSEKISVYLSDDGGSILTFYALWEASMFAKKWLPFCRRYNIEPRSPAAYFSESEGHHNLCSPKEWSFIKNLYEEMRERIDSAVMSGKIPEEIKLKHKGFDEWNSEMTSKNHQPIVQVLIDGKSQNAVDDDGNVLPTLVYMAREKSPQYHHNFKAGALNALIRVSALISDSPVILNVDCDMYSNNSDSIRDALCFFLDEEMSHKIGFVQYPQNYNNMTKNNIYGNSLNVINHVEMRGLDSAGGCLYIGTGCFHRREILCGKKFSKDYKEDWGRGIKERGHENIDEIEEKAKSLATCTYELRTQWGNEIGVKYGCPVEDVITGLAIHCRGWESVYMEPQRAAFVGVAPATLAQTILQHKRWSEGNFTIFLSKHNTFLFGHGKISLQLQMGYCIYGLWAANSLPTIYYVMIPALGLVKGTPLFPEIMSPWATPFIYVFCVKTLYSLYEALLSGDTLKGWWNGQRMWMVKRITSYLYGFIDTIRKLLGLSKMSFEITAKVSDGDEAKRYEQEILEFGSSSPEFVIIATVALLNFVCLVAGLSKIMAGVWNVFLPQVILCGLIVITNIPIYEAMFVRKDKGRIPLPVTLASIGFVMLAFLLPIRSLLTAYCSLLHLSNKAIRESVGGGGGGRPLFTTEELGGRAVYRVQAATVAAGILLVLYYRATRVPAAGEGRAAWLGMAAAELWFAVYWVIAQSVRWRPFRRRTFRDRLAERYEQNLPGVDIFVCTADPQSEPPSLVISTILSVMAYNYPSEKISVYLSDDGGSILTFYALWEASIFAKKWLPFCKRYNIEPRSPAAYFSESKVHHNLCIPKEWALIKNLYEEMRERIDTATMSGKIPEEMKLKHKGFDEWNSDFTLKNHQPIVQILIDGKNRNAIDDDRNVLPTMVYVAREKRPQYHHNFKAGALNALIRVSSVISDSPVILNVDCDMYSNNSDSIRDALCFFLDEEMGQKIGFVQYPQIFNNMTQNDIYGNSFNVSYHVEMCGLDSVGGCLYIGTGCFHRREILCGRIFSKDYKENWNRGIKERGKENINEIEEKATSLVTCTYEHRTQWGNDIGVKYGFPAEDIITGLAIHCRGWESAFINPKRAAFLGLAPSTLAQNILQHKRWSEGNLTIFLSKYCSFLFGHGKIKLQLQMGYCICGLWAANSLPTLYYVTLYGLYEALLSGDTLKGWWNGQRMWMVKSITSYLYGFIDTIRKCVGMSKMSFEVTAKVSGHDEAKRYEQEILEFGSSSPEYVIIATVALLNFVCLVGGLSQIMAGVWNMPWNVFLPQAILCGMIVIINMPIYEAMFLRKDNGRIPTAVTLASIGFTTTAAVGEGEYGRQGGVQIPFQAATVAAGKLLVLYYRATHMPAGGEGRAAWVGMEAAEVMYTVGRPVVCPVRRRTFKDRLAERLPTFKQLFTTISLNTFNMSFGACMKKKLDIFVCTADPHSEPPSLVISTVVSVMAYNYPSKKLRVYLSDDGGSILTFYALWEASVYNIEPRPPAAFFVESEGHHNLCTPKEWSFIKILIDGKSQNVVDDDGNVLPSLVYMAREKRPQYHHNFKSWAMNALICNNPIILNVDCDMYSNNSDSIREMGHKIGFVQYPQNYNNMTKNNIYGNSLLVLNEADIYEIEEKAKSLATCTCEHRTQWGNEIEIKYGCTIIFTELAINCRGWESVYINPQRAALLGVGPATLAQTILQRKRWGHPSIPRDYESMGYTLRICIILYEALLSGDTLKGCWNGQRMWMVRRITSYLYDLIDTIRKLLGLSKMTFAVTAKVSNRDEAKRYKQEIIELGSSYPEYVIIVIVALLNLVCLVEVLSQIMTGVILCGMIVITSIPIYEAMFLRKDKGRIPSSVTLASLGFVMLAFLIKY >ORUFI09G15000.1 pep chromosome:OR_W1943:9:15912746:15914290:-1 gene:ORUFI09G15000 transcript:ORUFI09G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSALRRGGAAVRHAASSSSAAAAAADGSPGALLRRKVAERERARRRPRDPSRDEFFVATPESLAWLDSASLPMVLTAAAIALFTKLLMMEHDATDQERGERKIKNSHPDQGKVRMLTREEWDEIQEVRPRTPFESKLARPHARIRTGEPVRLEDVKDWATDMIMDAFTRAEESAKKK >ORUFI09G15000.2 pep chromosome:OR_W1943:9:15912744:15914290:-1 gene:ORUFI09G15000 transcript:ORUFI09G15000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSALRRGGAAVRHAASSSSAAAAAADGSPGALLRRKVAERERARRRPRDPSRDEFFVATPESLAWLDSASLPMVLTAAAIALFTKLLMMEHDATDQERGERKIKNSHPDQGKVRMLTREEWDEIQEVRPRTPFESKLARPHARIRTGEPVRLEDVKDWATDMIMDAFTRAEESAKKK >ORUFI09G15010.1 pep chromosome:OR_W1943:9:15921577:15925469:1 gene:ORUFI09G15010 transcript:ORUFI09G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSPLQSMPGQAAKPKGTGEQGGDAEVVSEERTPSDQQQGSPASTLDKELSGLSSESGILDDSLVAEEEDSGELKEIQNLDCNGNQEKKTSQKSSTSDSFASAKVSDGTNSLRKTSGSAKTSDRANFTESGKSSMCRASTSSDISDESSRSSMSSATTKPHKGNDSRWEAIQTVKTKDGILGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKASLASRKKLLRSQTELEILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAANIISLTFLWFLGVEVFLVLIGRYNTSTKRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVIKSANPGLDALQRNNAAYCVQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKKEKSKPEAANQASLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELDCRPKQVPSTNGKVAPASNQKGSDNYLEFEFF >ORUFI09G15010.2 pep chromosome:OR_W1943:9:15921580:15925990:1 gene:ORUFI09G15010 transcript:ORUFI09G15010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSPLQSMPGQAAKPKGTGEQGGDAEVVSEERTPSDQQQGSPASTLDKELSGLSSESGILDDSLVAEEEDSGELKEIQNLDCNGNQEKKTSQKSSTSDSFASAKVSDGTNSLRKTSGSAKTSDRANFTESGKSSMCRASTSSDISDESSRSSMSSATTKPHKGNDSRWEAIQTVKTKDGILGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKASLASRKKLLRSQTELEILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAANIISLTFLWFLGVEVFLVLIGRYNTSTKRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVIKSANPGLDALQRNNAAYCVQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKKEKSKPEAANQASLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELDCRPKQVPSTNGKVAPASNQKGSDNYLEFEFF >ORUFI09G15010.3 pep chromosome:OR_W1943:9:15922565:15925990:1 gene:ORUFI09G15010 transcript:ORUFI09G15010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSPLQSMPGQAAKPKGTGEQGGDAEVVSEERTPSDQQQGSPASTLDKELSGLSSESGILDDSLVAEEEDSGELKEIQNLDCNGNQEKKTSQKSSTSDSFASAKVSDGTNSLRKTSGSAKTSDRANFTESGKSSMCRASTSSDISDESSRSSMSSATTKPHKGNDSRWEAIQTVKTKDGILGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKASLASRKKLLRSQTELEILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAANIISLTFLWFLGVEVFLVLIGRYNTSTKRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVIKSANPGLDALQRNNAAYCVQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKKEKSKPEAANQASLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELDCRPKQVPSTNGKVAPASNQKGSDNYLEFEFF >ORUFI09G15010.4 pep chromosome:OR_W1943:9:15922122:15925990:1 gene:ORUFI09G15010 transcript:ORUFI09G15010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSPLQSMPGQAAKPKGTGEQGGDAEVVSEERTPSDQQQGSPASTLDKELSGLSSESGILDDSLVAEEEDSGELKEIQNLDCNGNQEKKTSQKSSTSDSFASAKVSDGTNSLRKTSGSAKTSDRANFTESGKSSMCRASTSSDISDESSRSSMSSATTKPHKGNDSRWEAIQTVKTKDGILGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKASLASRKKLLRSQTELEILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAANIISLTFLWFLGVEVFLVLIGRYNTSTKRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVIKSANPGLDALQRNNAAYCVQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKKEKSKPEAANQASLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELDCRPKQVPSTNGKVAPASNQKGSDNYLEFEFF >ORUFI09G15020.1 pep chromosome:OR_W1943:9:15933647:15934144:-1 gene:ORUFI09G15020 transcript:ORUFI09G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSMPAPKDSLVLYVLYNAVVSVAALAGVVRAALVFLGLPTPPSLLLLLGGEEGGEDAAVAVSVSAAAAAVGPSLADTFRARFRPARFGRRRCGGGATADCRVCLVRFEAEAVVNRLPCGHIFHRACLETWLDYDHATCPLCRSRLLADSSSPPAAAPALART >ORUFI09G15030.1 pep chromosome:OR_W1943:9:15943437:15950489:1 gene:ORUFI09G15030 transcript:ORUFI09G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATRCALLFFSRESVSSEHPSDSVLIDEAFSQSTLAVPVIGPDDFLCGSSNIGLVCLYTQTTTIKIINLATGGCLHLEKPAKNLAGDYSSLYSFGFHPVTKKYKVTHFLGDSRKAHPRAKDSFYAIQVHTLGDEKWKDVGSPEALSLNCVKNSGVVNVDGIMYWLTEDQGASWQHAVISFDLSRESFGRIQLPTVVLEDFAFYGPHQYWIKEIDGKVCIATSQTTQNQPRELIGEIQIWTLHIHLEQRWIQKYMIQSSPQCILGPNIFHGDKILSQQYGSILYSYELLGKNLEVKMSNADRLLDFTPRKPGNMQSYTFVKSLVRLDAYKKASIVRRPKRQEGWELKKWEAWESNRCVLEDTWKDVTRKNFHTVTNWLLLRQPDDEALKCISMRIDQMLRCLSDCPNQLTCLSASNQEGGDLLRMITSYLRDQISFPFETTETHQRRGERTPRRRRGRSGRSQEQTMASKKALAMQPSKKICMTLLPQDIVELILLRLPVSTLLRCRGVCKQWDGIIRDPQFAMAHIQRAPRRPLFFFQRENLVHLLYPSEAILFDEAWSPPKWVVPVIEPDDFLCASCNGLICLYSDKSTIKIANLATGECMHLVKPVRNSKTDHFSYYSFGFHPVTKQYKVMHFLRDEHLHVGTSFSIIQVYTLGDEKWRDVRTPQALSLRCVERSGVVNVDGAMYWLTEDEESVWKHAVVTFDLSEELFQWLQLPAVDPANYVLGDPDQWLITEVDSNVSVSYYETGKLHIWTIDSKIEQSWSQKYNIRLSMLEVPGPHWICGDKIILHDFNKNLYFYELMGKNSEIESSKLVKQLRFSPRNNMQCFMFVKSLVRLDAFRKAGVVRRPKRREGWKLKKWEENADKMGMEIKLDLQQTPDLDSSLRLINWLEYRRVLEILCVNLDNMHEVLTVMNNTTGAAHNKESHVADQGTSSSAVGISGS >ORUFI09G15040.1 pep chromosome:OR_W1943:9:15957644:15961455:1 gene:ORUFI09G15040 transcript:ORUFI09G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPVAAAAAAARHALLLASVAVAVALLLVSPCHGVSEQGQALLRWKASLRPSGGALDSWRASDATPCRWLGVSCDARTGDVVGVTVTSVDLQGPLPAASLLPLARSLRTLVLSGTNLTGEIPPELGEYGELATLDVSKNQLTGAIPPELCRLSKLESLSLNSNSLRGAIPDDIGNLTALAYLTLYDNELSGAIPASIGNLKRLQVLRAGGNQGLKGPLPPEIGGCANLTMLGLAETGMSGSLPDTIGQLSRIQTIAIYTTLLSGRIPASIGNCTELTSLYLYQNSLSGPIPPQLGRLAKLQTLLLWQNQLVGAIPPELGRCRQLTLIDLSLNSLTGSIPATLGDLPNLQQLQLSTNQLTGAIPPELSNCTSLTDVEVDNNQLTGAIAVDFPRLRNLTLFYAWRNSLTGGVPASLAECPSLQAVDLSYNNLTGVIPKQLFALHNLTKLLLISNELSGPIPPEIGGCGNLYRLRLSGNRLSGTIPAEIGGLKSLNFLDISDNHLVGAVPSAISGCSSLEFLDLHSNALSGSLPETLPRSLQLIDVSDNQLAGALSSSIGLMPELTKLYLGKNRLAGGIPPEIGSCQKLQLLDLGDNAFSGGIPPEIGTLPSLEISLNLSCNRLSGEIPSQFAGLEKLGSLDLSHNELSGGLDSLAALQNLVTLNISYNAFSGELPDTPFFQRLPLSDLAGNRHLIVGDGSDESSRRGAISSLKVAMSILAAVSAALLVAATYLLARMRRGGGAGGGGRVVHGEGAWEVTLYQKLDISMDDVLRGLTSANVIGTGSSGVVYKVDTPNGYTFAVKKMWSTDETTTAAFRSEIAALGSIRHRNIVRLLGWAANGGARLLFYGYLPNGNLSGLLHGGGAAAGKGGAPASDSEWGARYDVALGVAHAVAYLHHDCVPAILHGDIKAMNVLLGAAYEPYLADFGLARVLSKLDSAMPAPPRIAGSYGYMAPEYASMQRITEKSDVYSFGVVMLEMLTGRHPLDPTLPGGAHLVQWVRDHLQAKRDAAELLDARLRGAAGAGAGADADVHEMRQAMSVAALCVARRADDRPTMKDVVALLKEIRRPAPSAAGDDAKPPPPPQPTPPPSLPTTVTATPASPVSSCSFAAVTDYSV >ORUFI09G15050.1 pep chromosome:OR_W1943:9:15962933:15966241:1 gene:ORUFI09G15050 transcript:ORUFI09G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPPEVGPAAAAAVAGGKKEVRALDGASAISEEEEVEVEVEEEEEAEEEREDEEEGEEDGGDEEEEEEEGVKWLKHYSSMQSILVVGDGDFSFSRALAVAFCSGENLVSTSLDSYEALRGKYANAESNIMVLKLMGATTLHGVDAKTMKHHTDLKMRRFDRIVFNLPHAGFKAKEGDMRMINLHKDLVRGFFRNARCLLRPSGEIHVSHKRGKVYENWEIEKLASESSLIMVEKVDFHIEDYPGYNHKRGDGPRCDEPFPLGPCCIFKFSIRNHKKQKKCHSKKIGSIPSLGGSHVHPEILASDWSPSQPFRPVNAVNMPVTFDPYSLRIAQSHQPGFPVNFVGLWTAAACSLQHCNIHPMLNIVRPSLHLLPIASIIAPQMGRITSTSLFAPQEQPKPVLRPLQSVSSYDLAREHQMNLRREFEMRGQTMPAGTSLDYFEFLEYLFRDPAEKEKWLQTMITLHATRW >ORUFI09G15060.1 pep chromosome:OR_W1943:9:15969366:15974582:1 gene:ORUFI09G15060 transcript:ORUFI09G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDENAAAPAAEGDTVAAAKGEAPAAEGDMVVAAKGAAHAAAEGDTVAAAAVAGENEAAHAPAAGGNTVAAAADVKGEALQAIPVADEAAAVAEGVNAIAAAEREEDDEGVKWLKHYSSLQSILTVGDGDFSFSLALATAFGSGDNLVATSLDTIEDLRGKYSKAESNIMELKRMGATVLHGIDAKRMKDHTNLKLRRFDRIIFNFPHAGFKGKEDDLHMINLHRELVWGFFQNARHLLRPYGEIHVSHKIGLPYDRWCIEHLAYESSLTMIAKVDFRKEDYPGYNQKRGDSAKCDQPFELGACCTFMFMRDLTRLKRARRNRINASSLGIQAQHDMPFHPRPLVPAYPQPHFPSQVNAAHRQVPPEHYPLGIAHGQEPGFLDNFGGIERYPYQRGAIGTVIGMPGTPSPMRGITRSSFPAPQEQPWRQERYIMDPEVRDDHYHFAREYPRNLQEYEMERQVMPGGTRLRYVDFLENRYEESVRRQEHLRRLIAEYGGYD >ORUFI09G15070.1 pep chromosome:OR_W1943:9:15974093:15977731:-1 gene:ORUFI09G15070 transcript:ORUFI09G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIIVLFVIKKIGIAVAGETLKLAKPLLANKTELKKAELVTALPVNMKLIKDELEVINAFLKELGMNGCKGEVVETWVRQVRRLAHDMEDVVDEFMYVIGKNKERESRAYVKKIIKKPKPLFSLDEIATKADRINRQLMELSKRLGRWTQPILSGGSIPATKYDTEQQQLYLPGHDYSITDAELVGIDKNRQTLIESLCLEDCSLRIIAVWGMGGLGKSTLVNNVYKKEATVSNFNYRAWLSISQSCRVLDIWRNMLKELCGKESREFDAENMSSTELKVELTKILDQKRYLIILDDVWLATDFLKIREVLVDNGLGSRVIITTRIEEVASIAENGCKISLEPLDNHDAWLLFCRKAFPKIEDHICPPELEQCGMDIIDKCDGLPLALVAIGSLLSFKSKNNKDWRLFYNQLISEVHNNENLNRVEKILNLSYKHLPNHLKYCFLYCAMFPEDYLIHRKRLIRLWISEGFIEQKGACSLEDVAEGYLAELVQRSMLQVVACNSFDRVQCLRMHDIVRELAIFQSKKESFCTIYDDTHGVAQVGLDSRRVSVLRCNNDIRSSIDPSRLHTFIAFDTTMALSSWSSFIFSESKYLNVLDLSGLPIETIPYSVGELFNLRFLCLNDTNVKEFPKSVTKLSNLQTLSLERTQLLNFPRGFSNLKKLRHLLVWKLVDATYKSLNNWESMEPFEGLWDLKELHYLNEVRATKAFVSNLGNLSQLRSLCITYVRSSHCVQLCNSLSKMQHLTRLNIRARNEDELLLLDDFTLSNPLEKLELVGQLSEGTLESPFFSIHGYKLLQIELSWCKLTVNPVARLAEFSDLTELRLTRVYTGPWLYFPANWFPKLKKAVLWDLQQVKQIFIQEGALANLHYLHIDSLMELRDIPVGIEFLSSVKEAYFTRMHSDFVRNLRTGKISHIPKVHWSTQGVSTDLTGLANLPGASNMTNTNPEWRILGGSGWSNSETSFCDHALFPPLDGSIKNSR >ORUFI09G15070.2 pep chromosome:OR_W1943:9:15974487:15977731:-1 gene:ORUFI09G15070 transcript:ORUFI09G15070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIIVLFVIKKIGIAVAGETLKLAKPLLANKTELKKAELVTALPVNMKLIKDELEVINAFLKELGMNGCKGEVVETWVRQVRRLAHDMEDVVDEFMYVIGKNKERESRAYVKKIIKKPKPLFSLDEIATKADRINRQLMELSKRLGRWTQPILSGGSIPATKYDTEQQQLYLPGHDYSITDAELVGIDKNRQTLIESLCLEDCSLRIIAVWGMGGLGKSTLVNNVYKKEATVSNFNYRAWLSISQSCRVLDIWRNMLKELCGKESREFDAENMSSTELKVELTKILDQKRYLIILDDVWLATDFLKIREVLVDNGLGSRVIITTRIEEVASIAENGCKISLEPLDNHDAWLLFCRKAFPKIEDHICPPELEQCGMDIIDKCDGLPLALVAIGSLLSFKSKNNKDWRLFYNQLISEVHNNENLNRVEKILNLSYKHLPNHLKYCFLYCAMFPEDYLIHRKRLIRLWISEGFIEQKGACSLEDVAEGYLAELVQRSMLQVVACNSFDRVQCLRMHDIVRELAIFQSKKESFCTIYDDTHGVAQVGLDSRRVSVLRCNNDIRSSIDPSRLHTFIAFDTTMALSSWSSFIFSESKYLNVLDLSGLPIETIPYSVGELFNLRFLCLNDTNVKEFPKSVTKLSNLQTLSLERTQLLNFPRGFSNLKKLRHLLVWKLVDATYKSLNNWESMEPFEGLWDLKELHYLNEVRATKAFVSNLGNLSQLRSLCITYVRSSHCVQLCNSLSKMQHLTRLNIRARNEDELLLLDDFTLSNPLEKLELVGQLSEGTLESPFFSIHGYKLLQIELSWCKLTVNPVARLAEFSDLTELRLTRVYTGPWLYFPANWFPKLKKAVLWDLQQVKQIFIQEGALANLHYLHIDSLMELRDIPVGIEFLSSVKEAYFTRMHSDFVRNLRTGKISHIPKVHWSTQGVSTDLTGLANLPGASNMTNTNPEWRILGGSGWVFI >ORUFI09G15080.1 pep chromosome:OR_W1943:9:15984487:15987625:-1 gene:ORUFI09G15080 transcript:ORUFI09G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAVLFVIKKIGIAVAGDTLKLAIPLFAKKTELKKVELVTALPVNMRQIKKELEIINAFLKELGMNGYKGEVVETWIRQVRRLAHDMEDVVDEFMYVVGKNKHKKSWACVKKIIKKPKPLFSLDEIATKADMINTELVELSKRLDRWTRPLSSGIYVPPTNYNSEQQLYLPGYDYSINDNELVGIDKNRQTLIESLRLEDCSLRIIAVWGMGGLGKSTLVNDIYKNEAIVSNFNCHAWLCISQSSKMHDIWQNMLKELCGEDNRGVDAENMNNRELRLELAKILRQKRYLIILDDVWLAADLLKIREVLVDNGLGSRVIITTRIEEVASIAEDGCKIRLEPLNNHDAWLLFCRKAFPKTENHMCPPELHQCGMDIVNKCGGLPLALVTIGSLLSLKPRNKKECRLFYNQLISEVHNNENLNRVEKILNLSYKHLPNYLKNCFLYCAMFPEDYIIQRKRLIRLWIAEGFIEQKGTCSLEDVAEGYLTELVRRSMIQVVARNSFNRIQCLRMHDILRELAIFQSKKESFSTVYDDTHGVVQVGSDSRRVSVLQCNSEIRSTVDPSRLRTFLAFDTSMALSSASYFIFSESKYLAVLELSGLPIETIPYSVGELFNLRYLCLNDTNVKEFPKSITKLLNLQTLSLERTQLLNFPRGFSNLKKLRHLLVWKLVDATYKSLNNWESLEPFEGLWNLKELQSLCEVRATRDFVSKLGNLSQLRSLCITYVRSSHCAQLCNSLSKMQHLTRLHIRAMNEDEVLLLDDLMLPNPLEKLDLLGQLSKGTLESPFFTTHGNELLQLELSRCQLTVNLVAWLSKLSNLTELRLTRVYTGQQLSFHANCFPNLKKALLWDLQQVNQIYIQEGALSSLQYLHIDSLMELRDVPTGIEFLRSVKEAYFTMMHSDFVRNLRTGKGCLRNLLTCLENPAPTHNGAC >ORUFI09G15090.1 pep chromosome:OR_W1943:9:15993378:15994775:1 gene:ORUFI09G15090 transcript:ORUFI09G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGETPMPSLELHKLPTLAGAAIPNPIARHPLYHPSPSFFISPTDVVLRDILFDASPASAAGERRRRHVAAYHRAGPRREVAFDPATVRAAIFTCGGLCPGTNTVVRELVVGLSELYGVRGGVFGVRNGYRGFYSDEVVPLDPAAVEHWHKAGGAALGTSRGGFDLARIVDAIERHGFNQVYAVGGDGTMRGAARIHREVRRRGRLAVAVAGIPKTVDNDVGVVDRSFGFHTAVEAAQQAIAAGHVEAESAANGVGLVKLMGRSAGHIALHATLSSRDVDCCLIPEEDFYLRGAGGLFDFLYRRIKDNGHAVVVVAEGAGQRLIPRTTTTSASGACAGADESGNETFLDVGAWLKAEMRAWWEEEHAGEVFTVKYIDPTYMIRAVPANAGDNLYCTLLAHAAIHGAMAGYTGFVSGTINGNYAYIPMDEVAEAKNPVDTKDHKWAWVRSITNQPDFIRAGPTS >ORUFI09G15100.1 pep chromosome:OR_W1943:9:15995928:16004387:1 gene:ORUFI09G15100 transcript:ORUFI09G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSLKNHPPAPLLALALLIGSLVLLQRPAYAEKKSFVVYLGGHSHGRGGAALASNQERAKNSHHEFLGSFLGSKEKARDAIFYSYTKYINGFAATLEEEEAMEISKHPSVISVFPNRGHRLHTTRSWEFLGMEKDGRIRANSIWAKARFGEGVIIGNLDTGVWPEAGSFSDDGMGPAPVRWRGICQDQASDDAQVPCNRKLIGARYFNKGYLSTVGQAANPASTRDTDGHGTHTLSTAAGRFVPGANLFGYGNGTAKGGAPGAHVAAYKVCWRPVNGSECFDADIIAAFDAAIHDGVDVLSVSLGGAPAGYLRDGVAIGSFHAVRRGVTVVCSAGNSGPGAGTVSNTAPWLVTVGASTMDREFPAYLVLGNNKKIKGQSLSPVRLAGGKNYPLISSEQARAANATASQARLCMEGSLERGKVEGRIVVCMRGKNARVEKGEAVRRAGGAGLVLANDEATGNEMIADAHVLPATHVTYSDGVALLAYLNSTRSPSGFITVPDTALDTKPAPFMAAFSSQGPNTVTPQILKPDITAPGVSILAAFTGQAGPTGLAFDSRRVLFNAESGTSMSCPHVAGVAGLLKALHPDWSPAAIKSAIMTTARVKDNMRRPMSNSSFLRATPFSYGAGHVQPGRAADPGLVYDMNDTDYLGFLCALGYNSSVIATFMASGSGAQPPYACPPARRPEDLNYPSFALPHLSPSGAARTVTRRVRNVGAAPAAYVASVTEPRGVSVAVRPSRLEFTAAGEELEFAVTFRAKKGSFLAGEYVFGRLVWSDAAGGGRHRVRSPLVVRVVDKKGKNGLPIS >ORUFI09G15110.1 pep chromosome:OR_W1943:9:16005985:16006182:-1 gene:ORUFI09G15110 transcript:ORUFI09G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCPSKACCICTLIVLVLVAVGIVFGFGVYTRGFHKLTSSIHDASSTAAGSLRAHQFFAPPPPY >ORUFI09G15120.1 pep chromosome:OR_W1943:9:16012833:16015895:1 gene:ORUFI09G15120 transcript:ORUFI09G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLYPSSNLVQFFPKPAVLLATLPVLLLAELMVVLYVTIFRLIGYKSWEWLANEVKVERGCVARCVHWLKVIVVPLSISPTSTFSYLLPGEELVQEGQHSTSVLTTLLEQSKDEEKQHKINNGQII >ORUFI09G15130.1 pep chromosome:OR_W1943:9:16022452:16026845:1 gene:ORUFI09G15130 transcript:ORUFI09G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVARGRRCRGVVLLLLLASVLAPLVLYGGSPVSVSTLPDSTVASGVLDRDGEYDLVVAASDVSLTKDLTIERLGEHKNRVLSATEDWQVVEAASKNPAFEKPDASVSRKDPGSGDANVVITEGNGAAQSGRDGVIWEVVSRDRGSDGFTQPWEINGGEERDGERVDRVKLGVSVEEQNDGTGETGVNNIAGTHTSGNLNSSLEKERSTGRLSEQVTKAIPKESYTPTTNSNSALPTSVSAGHSTTSPDATIRTIKDQLTRATTYLSLVASRGNHGFARELRARMRDIQRVLGDATSGGQLPQNVLSKIRAMEQTLGKGKRILDSCSGALNRLRATLHSTEERLQSHKKETNYLAQVAAKSLPKGLHCLPLRLTNEYYYTNSNNKKFPHIEKLEDPKLYHYALFSDNVLAAAVVVNSTIIHAKKPADHVFHIVTDRLNYAAMKMWFLANPLGEAAIQVQNIEEFTWLNSTYSPVMKQLESQSMIDYYFKSGQARRDENPKFRNPKYLSMLNHLRFYLPEIFPKLSKVLFLDDDTVVQQDLSAIWSIDLKGKVNGAVETCGETFHRFDKYLNFSNPLIASNFDPCACGWAYGMNVFDLSEWRRQKITDVYHNWQRLNENRILWKLGTLPAGLVTFWNRTFPLHHSWHQLGLGYNPNINEKDIRRASVIHYNGNLKPWLEIGLSRYRKYWSKYVDFDQVFLRDCNINP >ORUFI09G15140.1 pep chromosome:OR_W1943:9:16027437:16027793:1 gene:ORUFI09G15140 transcript:ORUFI09G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGLGRPWFMALCMVVVCSLTVSFAVFLCGHSSGVHDGDLLRKKKPMKPPPPSSKKKKVSTSMSGTVVDTTGMYTAAYGVAVVGGHGGHGGGGGGGCCGGGGGGGCGGGGGGGGC >ORUFI09G15150.1 pep chromosome:OR_W1943:9:16030012:16030302:1 gene:ORUFI09G15150 transcript:ORUFI09G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAEMGAPWPVEFMGAAEGGFGGEAVYCAVILWLSVVAWIIFTSVGDGDEGGGRGSRRRRRRRSSPVFVGAAGICDGTGPGCSGGFGPCGTCVD >ORUFI09G15160.1 pep chromosome:OR_W1943:9:16033250:16034061:1 gene:ORUFI09G15160 transcript:ORUFI09G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTKSSTPPPVMGAPVGFPPGAYPPPPPAGAAAAAYAQQLYAPPAAAAAQQAAAAQQQLQMFWAEQYREIEATTDFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAIARTEVFDFLVDIVPRDDGGGRHPPPRRRACLNLSDSVIR >ORUFI09G15170.1 pep chromosome:OR_W1943:9:16035700:16036732:1 gene:ORUFI09G15170 transcript:ORUFI09G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATLSLLPLLSLPLSLSLAFLLYQASRRVVRSPGREGRGEGPTAGDRRRGGGGDAGAGRLGRRPATQRPPCGPPPGTSPRPPSSGRSRTSSSTATPSCSSPSCCCRTGHNSGKKSWEFPLFAGGCASGHRSVLIQNSDVAYLCNQMMLKLRDFYDPSKVQKGALLCFFSSIFQHKHKHNKSTMAVVGDGGAVAVIERRRLGLFWTGEGRRG >ORUFI09G15180.1 pep chromosome:OR_W1943:9:16035769:16036016:-1 gene:ORUFI09G15180 transcript:ORUFI09G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARSRMVSPCWTTCVSAQTTAAVEMSLAAARTAYGLCVAGLLPNRPAPASPPPPRRLSPAVGPSPLPSRPGDLTTRRLAW >ORUFI09G15190.1 pep chromosome:OR_W1943:9:16038437:16039646:1 gene:ORUFI09G15190 transcript:ORUFI09G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRAHLYKYGLLALLLYLHTRTSCKVLKANGLHLLLPAPPMASLVAIAIAMALMVVQPGRQMTAFAARTSPAAAAEAFWRAAMPGAPMPDAIVELLHHGESVNLIVYTTLNDTSISDGHY >ORUFI09G15200.1 pep chromosome:OR_W1943:9:16046378:16053643:1 gene:ORUFI09G15200 transcript:ORUFI09G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHGEELEISPCDPNSEDDRRRRGMGSSLRRKAIRALRKRGGRRRRRRVDFRYPAAMSIEDVRDAEEELAVAAFRDRLAVHALLPDKHDDYHMMLRFLKARKFDSEKAMQMWAEMLRWRKEFGADTILEEFEFDELDDVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVHGVGLKNFSKTARELVHRMQKIDSDYYPETLHQMYVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGTNYQSRLLEVIDKSELPEFLGGSCTCSEGGCLGSNKGPWNDHVILKGMMSDISNAESESDVDEFSLSAVLRSTDYSFLTPVSEEVKGSDSSTFCSCESCDRKGLPDVTPESSQSVQQSSEMVPNQLVSHEHSSTTRWMNNLGNMAISFHGTLTGRTLSNFVRVVGTLMIKILAVFSLFVSRRGNMLENVHPSNVEDEPQPRSATEDNMSACLQRLEKLESLCNHLMSKPPDMPKEKECLLLQSFDRIKTIESDLERTKRVLHMTLVKQMEMMETLEAMQHHYQSSSVRRRLCCS >ORUFI09G15210.1 pep chromosome:OR_W1943:9:16052948:16055812:-1 gene:ORUFI09G15210 transcript:ORUFI09G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGNSVNSAVESVAESPAPASPASNPTAPAAVTKGRGLRRWRRIPREHHEEGSPGSGGGGGGGSVAAAAADEDLAQLHKRRHPLGADAPKGKEEAAAAAAAVEEVGSESPVASVESSFAPQEAPPSPPVQTKLDPDLGFLIASAGFSVGAGGADSDNSDDRASKSSTNAAAPRHDFSFGGGFGRERDRPRSRAPGAAAHAKGIRTARTRGAHGARAATPTPSIVEPENSRSSVESNLRSSAAAHARQSSAGISSNGVHKVLYDDDDDDDDDAEQSDGEPPSEEAARSGAGGFYRENGSVVGRLVKGSSDSDADDHGYDERSIGKGENGEIHSGLDPYVQSIAMLRSAEEAIENEIQKFIEMRNETCENSANNHSETEWSSSCHFDESTEELSEKLKLLESRLNEASTLINDKDSEILELDVLNHKQPKQHVLCNTELLSLQSDMDQLFLEKMEAETQCFILTRASQAWNPLTEDQAAIFDIQKSLPEDHKQLEAKLRHTENRALMLEEMVEKLEAQCKDLARTSEILKLQARASRASLFCSVQFVLLFIAVGTFLVRLWPSSSEFVPT >ORUFI09G15220.1 pep chromosome:OR_W1943:9:16057273:16058439:-1 gene:ORUFI09G15220 transcript:ORUFI09G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGAGEGKETAAVAGGGGGGSLHSKTLLKSEPLYQYVLESTVFPREPDCLRELRLATANHPMAVMAASPDQVQLFGLLIELISAKNAIEVGVFTGYSLLATALALPDDGKIVAIDVSRESYDEVGAPVIDKAGVAHKVDFRVGLAMPVLDELVAEEGNKGRFDFAFVDADKVNFLGYHERLLQLVRVGGLIAYDNTLWGGSVAAPPAAADEAVPSGRDRSLAALAREFNAAIAADRRVKPCQLAIADGVMLCRRVA >ORUFI09G15230.1 pep chromosome:OR_W1943:9:16066020:16069007:1 gene:ORUFI09G15230 transcript:ORUFI09G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEKVSPFLDVPKDIPIATKSLTIKTTNTNGGRGGGDWSNPISPAISFSPYLNSPSPPSSAFVSALQSPYVSPRLADPPPPQQPQPQTPRQRQHRETKASDVAAAAASTPTSCTDVSHSEDTDAPSASRGGGGGAPPRGSFTFPVPRVSFTRGMVASPMSTTKLRSCDVYIGFHGGAGAGAGAALTRFCKWLKSELELQGIASFMADRARYSDAQSHEVADRIICSVTFGVVVVTMASFLNPFSLEEIRFFAQKRNLVPILFDTEVLDIAGLFDDDKFEGNKEGVEAFEGLMRCHEFKLETDESNWRGCVSRTAAVLQSKLGRRCIGEKESHGGECLPFPRNKHFVGREKELSEIEGMFFGRADDAGEDFGCPRGAMTTGESSVGASDGFADEDSDTVRTSNGRFISLDLRKCKQPMLEAFVDPVIGKFSGKGRSILRQRSKNKKSRFRCSSKSHGNAGVICINGASGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSMYLGLDISAEAEKERGRIRSFEEQEHDAFQRVKRELFRDVPYLLIIDNIDNERDWWEGKDLHDFIPRNTGASHVIVTTRLPVVMNIEPMQLLQLSFPEAVILMKRKMKEDYPSEEIEVLRKFDERLGGLSFGLWIVSSLLSELMIAPSTLFEAVDQISLSDTMLALGANDESLWQNNLFLIKVLVFCFALMDRVKGGSLALRMITAGSWLAPAPMSSTLLATMASKLPTKANSIQLWGESLKTALLCGTHCFLAPQAKKAEVESSLLLVKLGLARRTTHHPGFWIQFHPIMQLFGKIRGGLAPATAAVSGVIRSRNISVYSDHMWASAFLVFGFKSEPPVVQLKPGDMVLFIKKMALPLAIQAFMTFSRCGSALELLKVCTNILEDAEKSLASRIQDLKQGPLCWKKKLQTNSHADEFIWQEVTLLKATLLETRAKLLMRGGLFDSGEELCRTCISIRTVMLGHDHSQTLAAQETLAKLVRYRSKI >ORUFI09G15240.1 pep chromosome:OR_W1943:9:16070121:16070699:1 gene:ORUFI09G15240 transcript:ORUFI09G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFVCAGEESFKMEVGFFDTVHDIKQKLQSRRGWPAAAVSLFHNGDALADAGGGEAAGGGAERYGIVEGSVIHVELGVGVAGRQQQLQQNEHKGRSKRRDDGGGAAAVRVNVVSRCGRGRAEVAVGARRAVAALRRELEERAFPLPRDGAYFFIHRQSVMDESRSFEWHGVAAGDEVVVFEGSVTRPPTY >ORUFI09G15250.1 pep chromosome:OR_W1943:9:16071519:16075085:-1 gene:ORUFI09G15250 transcript:ORUFI09G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICERKQSFGGDGPAHLSSDHGSLMEDWMPPPTPSPRTLMSSFLNEDFVSGSFSNIFSDHESNKPQDQFERNRELVDLSKEVPSQSARPAFQRDASLDHSLVSPTQRSNSHGGLAERRAARAGFSVPKIDTSRGGSSTVIRSPVAIPPGLSPTTLLESPVFLYNAMAQPSPTTGTLPFLMASNAKSTIPSATKMDEDCTFGNDTFSFQPHVGSRRPNFSAAEKGPNACHQNQSLLNIHQRESSLQSSFTAVKDITDEKNIKTKTSDSMFGDNHSSDEQDDETNQNGENSMPPPNHRSGVPLSHTNDPEVNVLENRGSQTCHNSASLWDNAKNDCLQDVQSEVIETRTAACLPVSTNCDTSIMESQDAVDVSSTLSNEEDDRATHGTASIECNGDGDETDSKRRKLDALTAATAAITTTSNIDMGAAASRGVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHQGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHGSSGSGNAPSAPQSNGSQRRQEQGQASFSQFGGAAPFSSFVLPPRNQFGPSASNFPFGMVPPGMAIPMPSLGSLAPAKMAGHPSTMQGYQGLMIPEGEIKTEPMSQLGFPAVNQSSSSFQQMMNRPPSFGPQM >ORUFI09G15260.1 pep chromosome:OR_W1943:9:16082793:16085067:-1 gene:ORUFI09G15260 transcript:ORUFI09G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTVHARLAAAASSASPPLRSAASCTRLRALSTVTGTRLSIRHQQRRRWRRPRRAAAIAASLDLNEDNLGQLFDTSVGITGQVDLAELDGPFVKLRLKGKFWHTRATVLARIGNYLKNRIPQ >ORUFI09G15270.1 pep chromosome:OR_W1943:9:16086152:16088578:-1 gene:ORUFI09G15270 transcript:ORUFI09G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTSSASAAARGSAGAGDTLRNSCHDFARSLARLPASIMDGLSRSMARRSRRPRDTQPHPPLPPPLLPEELFFAEFERRYGGGAPFYGCRLAEALGIARREGRLVFVYLHDAGGGGNPYADQFCTGTLCSDVVVEFLDANFMSWGAVAGRGEGAAMVAALRPGSFPFCAVVSPVSDESIVEGPVSPSELVDILQRTIDEQRASSRQSWPDEQLAAAVRASRADEEERMRSVALRLRQEQDAAYLESLRKDQEKERSRKSVQEGSAKPKASNVLRPRYPGQSAREPNKAAQARAPAQNQNGTVAAHRAEANTKIMIRFPNGERRQQAFHHTDTIREIYRYVDSLGIPGIGNYQLVRSYPRKTYGRQQLEMSLQDAGFYPSVTLYIEQLQ >ORUFI09G15280.1 pep chromosome:OR_W1943:9:16089278:16092422:-1 gene:ORUFI09G15280 transcript:ORUFI09G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGRGTKITLYLKDDQLEYLEERRLKDLVKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDAEEGKSGDELTSLKDYVTRMKEGQSEIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRQEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMIMKAQALRDSSMAGYMSSKKTMEINPENSIMDELRKRADADKNDKSVKDLVMLLFETALLTSGFSLEDPNTFGTRIHRMLKLGLSIDEDESAEADADMPPLEDDAGESKMEEVD >ORUFI09G15290.1 pep chromosome:OR_W1943:9:16096005:16098227:1 gene:ORUFI09G15290 transcript:ORUFI09G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLLVRGRAFALVVAVALVAAPRRINGGAAGPAARTNDPNWHVFSVSSLLPSSACTASKAASNSSALGVVHRHGPCSPVQARRRGGGGAVTHAEILERDQARVDSIHRKVAGAGGAPSVVDPARASEQGVSLPAQRGISLGTGNYVVSVGLGTPAKQYAVIFDTGSDLSWVQCKPCADCYEQQDPLFDPSLSSTYAAVACGAPECQELDASGCSSDSRCRYEVQYGDQSQTDGNLVRDTLTLSASDTLPGFVFGCGDQNAGLFGQGEGVAAVAGRAELRPWPGGRPSLPLGGPPPANAQFTALADGATPSFYYIDLVGIKVGGRAIRIPATAFAAAGGTVIDSGTVITRLPPRAYAPLRAAFARSMAQYKKAPALSILDTCYDFTGHRTAQIPTVELAFAGGATVSLDFTGVLYVSKVSQACLAFAPNADDSSIAILGNTQQKTFAVAYDVANQRIGFGAKGCS >ORUFI09G15300.1 pep chromosome:OR_W1943:9:16100205:16100857:-1 gene:ORUFI09G15300 transcript:ORUFI09G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYMSSKKTMEINPENAIMDELRKRADADKNDKSVKDLVMLLFETALLTSGFSLEDPNTFGTRIHRMLKLGLSIDEDESAEADADMPPLEDDAGESKMEEVD >ORUFI09G15310.1 pep chromosome:OR_W1943:9:16102880:16103291:1 gene:ORUFI09G15310 transcript:ORUFI09G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTSRAVMGGLHRHGALTKHVMPAQDGKIDTVATLNDLSLLDMQMGSSAASPLSAGKLRGKRGERKRDGEEREEVRSQLTCETTSAKTDIYIILELDLNGFAKIKSEEYGIAI >ORUFI09G15320.1 pep chromosome:OR_W1943:9:16105032:16110330:-1 gene:ORUFI09G15320 transcript:ORUFI09G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTGSGRGERTRDDRVGGEEEEERSIDQARGGVGAAAGEMVAAAAAAVAAAPAPPHDAVAAVAVGMVGAAGTVDEQKAAGVGILLQISMLVVSFVLGHVLRRRKVYYIPEASASLLIGMIVGGLAKISNTQRSISLAPKPFFSNFGAIITFAILGTFIASIVTGLLVYVGGLIYIVYKLPLVECMMFGALVSATDPVTVLSIFQELGTDVNLYALVFGESVLNDAVMKRYTFYNLSEDSQRFTARFFHLLSSLAEAFVAANVFSCAYILNLARPVHCQIPRQYQLALWYSGLRGAMAFALALQSIHDLPEGHGETIFTATTSIVVLTVLLIGGSTGTMLESLQHNFDGNNAGYPGQRYGEETSTSSNFTMKLKELQRRYSSSHLILKLYLLIALL >ORUFI09G15330.1 pep chromosome:OR_W1943:9:16111963:16115434:1 gene:ORUFI09G15330 transcript:ORUFI09G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPVILVMAALFLQAATMAATTALTVSLPGCPSSCGSMVIPYPFGVGAGCHLAGFAVTCNRSYHPPKLFLRDADAAEVLEISLLNSTVIVSSAVRYDAAKGEGAWGRGLAGAFRLRERRNRLVVVGCNLQAVLLDGDDIAAACTTICGGAGATWPAAADGELADYSCAGVGCCQASIYLGLTSYGVRLSPFGTSTGPPPPPPTSSASSSNQSDSALVFVADNEWFGGNASKLGSAAATMRPGGGGMPVALAVLDWAIGKSGCPPHGPDDTACSSSNSYCRNSTSTSLGGYSCQMVQNFSKFSLSDIDECALPEEYPCYGECTNKPGSFSCMCPGGTHGDAMNEGGCEPTTLLVAIGGTIGIGIPSVFVIGMAMTNMIKARRAKKLRAVFFKQNRGLLLQQLVDKVIAERMVFTLEELEKATNRFDEMRKLGSGGHGTVYKGTLPDRRVVAIKKSNITVRKEIDDFINEVVILSQINHRNVVRLFGCCLETQVPLLVYEFISNGTLSDHLHVEGPTLLSWKNRLRIALEAASALAYLHSSASVSIIHRDVKSANILLDGRLTAKVSDFGASRGIPVDQGGVTTVIQGTFGYLDPEYYQTSRLTDKSDVYSFGVILVEMLTRKKPTVFESSDNVSLIALFNLLMVQDNIYEILDPQVISEGMENVKEVAALASACLRLKGEERPTMRQVEIRLERLLGGDILQGLSAELHCLPPQLSNTDTTSKLYNMELERDFLLSSSFPR >ORUFI09G15330.2 pep chromosome:OR_W1943:9:16111963:16115434:1 gene:ORUFI09G15330 transcript:ORUFI09G15330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPVILVMAALFLQAATMAATTALTVSLPGCPSSCGSMVIPYPFGVGAGCHLAGFAVTCNRSYHPPKLFLRDADAAEVLEISLLNSTVIVSSAVRYDAAKGEGAWGRGLAGAFRLRERRNRLVVVGCNLQAVLLDGDDIAAACTTICGGAGATWPAAADGELADYSCAGVGCCQASIYLGLTSYGVRLSPFGTSTGPPPPPPTSSASSSNQSDSALVFVADNEWFGGNASKLGSAAATMRPGGGGMPVALAVLDWAIGKSGCPPHGPDDTAYIDECALPEEYPCYGECTNKPGSFSCMCPGGTHGDAMNEGGCEPTTLLVAIGGTIGIGIPSVFVIGMAMTNMIKARRAKKLRAVFFKQNRGLLLQQLVDKVIAERMVFTLEELEKATNRFDEMRKLGSGGHGTVYKGTLPDRRVVAIKKSNITVRKEIDDFINEVVILSQINHRNVVRLFGCCLETQVPLLVYEFISNGTLSDHLHVEGPTLLSWKNRLRIALEAASALAYLHSSASVSIIHRDVKSANILLDGRLTAKVSDFGASRGIPVDQGGVTTVIQGTFGYLDPEYYQTSRLTDKSDVYSFGVILVEMLTRKKPTVFESSDNVSLIALFNLLMVQDNIYEILDPQVISEGMENVKEVAALASACLRLKGEERPTMRQVEIRLERLLGGDILQGLSAELHCLPPQLSNTDTTSKLYNMELERDFLLSSSFPR >ORUFI09G15340.1 pep chromosome:OR_W1943:9:16119778:16124995:-1 gene:ORUFI09G15340 transcript:ORUFI09G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLPLICFVLALLLAAGASGGGGAAAGGGNGGGGERRGVYVVYLGAVPPRTSPNILQQTHLRLIGAVLKRGQPVESVVVQQYKYAFSGFAARLSAAEAAALRRKPGVVSVFADPVYHLHTTRSWDFLQQQTTAAVDVKTGGSARRRRRSPRARAAAASASTSSSPTADTIIGLLDSGVWPESPSFDDAGFGPVPARWKGVCMAGDDFNSSSCNRKLIGARYYDVGGEAKRQSARSSGSSPRDEAGHGTHTSSTAAGNAVTGASYYGLAAGTAKGGSASSRVAMYRVCSGEGCAGSAILAGFDDAVADGVDVISVSLGASPYFRPDFSDDPIAIGSFHAVAKGIMVVCSAGNAGPDAATVVNAAPWILTVAASTIDRYFQSDVVLGGNNTAVKGGAINFSNLNKSPKYPLITGESAKSSSVSDTESASHCEPGTLDASKIKGKIVLCHHSRNSDTPKTEKVGELKSAGAVGAVLVDDLEKAVATAYIDFPVTEITSNAAADIHKYISSTSEPVVTITPTITVTEYKPAPVVAYFSSRGPSPQTPNILKPDVAAPGVNILASWIPTSTLPAGEEKPSQFNLVSGTSMACPHVAGAAAAVRAWNPAWSPAAIRSAIMTTAAQLNNDGAAVTTDSGSPATPYDHGAGQVNPAAALDAGLVYELGEEDYLQFLCDYGYDASQIKLVAASLPGGFSCGAGGNASDSKDLISGLNYPSIAVTGLGKAGGTRTVSRVVTNVGAQQEATYTVAVAAPAGLDVKVVPGKLEFTKSVKKLGFQVSFSGKNAAAAAKGDLFGSITWSDGKHTVRSPFVVTI >ORUFI09G15350.1 pep chromosome:OR_W1943:9:16125743:16130335:-1 gene:ORUFI09G15350 transcript:ORUFI09G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLLSLPSLRLTHRLLVPASSSAPASRSQFQTLAAKKAAVATGTGEGGGGGRGAGGGLLSVLDRAMADEEEYRRARAQVHRKGVEVEGYAIEGVSVGGHETCVTVPSLNVAFDIGRGPLFAVSQDYLFITHAHLDHIGGLPMYIATRGLYNLKPPVVFVPPCIKDDVEDLLQIHRRMSQVDLKVELVALDLGETFEIRNDLVARPFETHHAIPSQGYVIYSVRRKLKKQYAHLKGNQIMKMKQSGAEITDTILYPEVAFTGDTKSDFILDPRNADALRAKVLITEATFLDDQIDVDHAREHGHMHLSEIMEHSQWFRNKAIVLTHFSNRYSLEDIRQAVSKLQSKLSSKVVALTEGFKSDYRYGATFRIFACGNDEYVRFIRLGACSREGEIAH >ORUFI09G15350.2 pep chromosome:OR_W1943:9:16127524:16130335:-1 gene:ORUFI09G15350 transcript:ORUFI09G15350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLLSLPSLRLTHRLLVPASSSAPASRSQFQTLAAKKAAVATGTGEGGGGGRGAGGGLLSVLDRAMADEEEYRRARAQVHRKGVEVEGYAIEGVSVGGHETCVTVPSLNVAFDIGRGPLFAVSQDYLFITHAHLDHIGGLPMYIATRGLYNLKPPVVFVPPCIKDDVEDLLQIHRRMSQVDLKVELVALDLGETFEIRNDLVARPFETHHAIPSQGYVIYSVRRKLKKQYAHLKGNQIMKMKQSGAEITDTILYPEVAFTGDTKSDFILDPRNADALRAKVLITEATFLDDQIDVDHAREHGHMHLSEIMEHSQWFRNKAIVLTHFSNRYSLEDIRQAVSKLQSKLSSKVVALTEGFKSDYR >ORUFI09G15360.1 pep chromosome:OR_W1943:9:16126225:16126689:1 gene:ORUFI09G15360 transcript:ORUFI09G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSCCHVKALFNRMKPRCLQEEQQHNKTRTVKAEIRQNTLQDILYSPQSFQGEAIGAPSPRRHSPKVCPINPDCSYENNSPNIRDSFSIDRISIRSQNSMRRVSFRLPDESDIFIIPAREDPESCSTDDESVEHVSEQDIDARKIRYAKTRY >ORUFI09G15370.1 pep chromosome:OR_W1943:9:16130525:16135115:-1 gene:ORUFI09G15370 transcript:ORUFI09G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPLPTLPLLLLLLTFLSAASASPHISAVISQSGLDFVKDLLVSRAAEAIVPLEVPDIERSVSIPVIGTVDMVASGIVLHGVAVDDSTVAVGDDGIVVAASLSSVNLTMGWSYSYSAWVVTISDSGNASIQVDGMDVGISMGMKNQNGSLKLFVTECGCNMKSLDISLNGGASWFYQGFVDGFSNHIRSSVENAITKKIMEGASKLDSFLGSLPKKIDVDSIAAMNVTFVSDPLFKSSSVEFDIDGLFIPSDKTAVSRHMHFRGVKYVPPLGSSSKMLWISLDEDVFNSVSALYFKKYPNDDMLLNISATSPPSVRINVGRIDAAVDLDVTVNVLDFDEIVPVACISVSVTVSGAAVVSGNNLAGRVELDYFSFTLKWSKVGKLHTFIVQSVMQILLKKLFVPYVNSYLKRGFPLPIIKGFSISDAYILTSQSRIIVSSDVAFIGGSSLRP >ORUFI09G15380.1 pep chromosome:OR_W1943:9:16137465:16141805:1 gene:ORUFI09G15380 transcript:ORUFI09G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTGFATSKVLILVGAGLTSSIVLRNGRLSDVLAELQELMKGVNQGEGSSAYDIALLQSQIRNLAQEVRDLTISRPITILSGNSDSGGSLSSYILPAAAVGAMGYCYMWWKGLSLSDVMFVTKRNMTKAVESMSKQLDQVSSALAATKRHLSQRLENLDGKMDEQVEVSKIIRNEVNDVKDDLSQIGFDIAAIQQMVAGLEGKIELLDNKQDATNAGVWYLCQIAGGLKDGINAKFFQEANEKLKLTELAQSERKAVKGLESVLESRKEQKAIDSKQNTTAIIDAEKPVKTVDGPVKSGAVHRCSRISFRKEGLAL >ORUFI09G15390.1 pep chromosome:OR_W1943:9:16168056:16168859:-1 gene:ORUFI09G15390 transcript:ORUFI09G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKAAMFAAAVVAVLLSSPSPALAQKKSPPAAPSPVSLPPSLAPAPAPAPHYVDLAELLSVAGPFHTFLNYLEKTNVIETFQSQANKTKEGVTIFVPKDSAFAAIKQSTFSNLTGDQLKTLLLYHAFPKFYSLAEFKNLSELNPVNTFAGAPYTLNLTDDMGTISVQSMWSRPKISSSVYATRPVAVYALNKVLLPMQIFSKDPPLAPAPAPAPVSGASDLAPGPASGKTGAGGGKADSTSAACGVGAGVVNGLVMALAGSLMLLW >ORUFI09G15400.1 pep chromosome:OR_W1943:9:16174664:16175077:1 gene:ORUFI09G15400 transcript:ORUFI09G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGRTVESFVEDEGAFNSTVDDRFAALDGDRDGRLSYADMAGELMSLRVLETHFGVDGAAATDAELVDLYRGLFARFDRDGDGAVDREEFRAEMKEVMLAVASGLGFLPVQMVVEEGSFLKRAVERELAKAA >ORUFI09G15410.1 pep chromosome:OR_W1943:9:16175365:16175607:-1 gene:ORUFI09G15410 transcript:ORUFI09G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVEMEGWLEGRVTAGEVEAKVRLVMESEQGRKLRDRVEAHREATAMAWKDGGSSRAAFAQLLSDIDDARGKQSSVSV >ORUFI09G15420.1 pep chromosome:OR_W1943:9:16177179:16177939:-1 gene:ORUFI09G15420 transcript:ORUFI09G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCAAQAARANVAATEQSATRGRARARREGAAKFEKAAAGIGAEGSSSGEREREKQRERERERGWGQGGRGALPPLFGRTRLGAADRG >ORUFI09G15430.1 pep chromosome:OR_W1943:9:16187553:16187966:1 gene:ORUFI09G15430 transcript:ORUFI09G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVLSFVEDEGAFNSSVDGRFAALDTNRDGLLSYAEMANELMSLRVLDKHFGVDEAAMGADELVELYHGLFLRFDRDGSGAVDLEEFRAEMKEVLLAVANGLGFLPVQMVVEEGSFLKVAVDRELAKAA >ORUFI09G15440.1 pep chromosome:OR_W1943:9:16188359:16189768:-1 gene:ORUFI09G15440 transcript:ORUFI09G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTVVLYPGLAVGHLNPMMELADVFLDHGYAVAVALIDPSVMENEANLAAAVARAVSSKSSTISFHTLPGIPDPPSLAFNDQFFKNYFDLVRRHNEHLHDFLRSVRGLHAVVIDASCAHAHEAARKLGVPVLMFYPSNAGHLAVNLQAPLLVDGFKKHLGGDSTSPVEFLGVRPMSASHLAGLFGPISEVNKDFEAMIFAGARMKAEFDGILINTSVSLEERALRALADPRCCPDGVVIPPVYAVGPLVDKAAAAAGDESSRHQCLVWLDGQPDRSVVFLCFGSIADACEQSDQQLKEIAAGLDKSGHRFLWVVRATSTQHLDALLPEVFFARTSGRGLVVNSWVPQPSILRHRATAAFVTHCGWNSVLEGITAGVPMLCWPLYAEQRMNKVLMVEDMGVGVEMEGWLEGLVTAEEVETKVRLVMESEHGRKVRERVEAHRDGVAMAWKDGGSSRVAFARLMSELLNV >ORUFI09G15450.1 pep chromosome:OR_W1943:9:16203547:16204980:-1 gene:ORUFI09G15450 transcript:ORUFI09G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTVVLYPGLAVGHFNPMMVLADVFLDHGYAVAVALINPSVKDDDAAFTAAVARAVSSKSSATVSFHMLPRIPDPPSLAFDDDKFFTNYFDLVRRYDEHLHDFLCSVQGLHAVVVDASCGFAIQAVRKLGVPAYELYPCDAGALAVNIQIPSLLAGFKKLGGGEEGSAPLELLGVPPMSASHVTDLFGRSLSELISKDPEATTVAAGARVMAEFDGILINTFVSLEERALRALADPRCCPDGVVLPPVYAVGPLVDKAAAGAGDETSRRHESLVWLDGQPDRSIVFLCFGSIGGNHAEQQLREIAAGLDKSGHRFLWVVRRAPSTEHLDALLPEGFLARTSGRGLVVNTWVPQPSVLRHRATAAFVTHCGWNSVLEGITAGVPMLCWPMYAEQRINKVLMVDDMGVGVEMEGWLEGWVTAEEVEAKVRLVVESEHGRKLRERVEAHRDGAAMAWKDGGSSRVAFARLMTELDNAQR >ORUFI09G15460.1 pep chromosome:OR_W1943:9:16206505:16206916:-1 gene:ORUFI09G15460 transcript:ORUFI09G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPNTSTRSYRRSSRSVPQLDVLCHLTTDTFVTHYGWNLVQKGIITGMPMLYWPLYVELTINKVLKVDYMGIDVEMEGWLVGLVIPEEVKVKVRLIIESEH >ORUFI09G15470.1 pep chromosome:OR_W1943:9:16208828:16209244:1 gene:ORUFI09G15470 transcript:ORUFI09G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVRSFVEDEGAFNSSVDGRFAALDANRDGLLSYTEMAGELMSLRVLEKHFGVDDEAAMGADELVELYRGLFARFDRDGNGAVDLEEFRAEMKEMLLAVANGLGFLPVQMVVEEGSFLKVAVDRELAKAA >ORUFI09G15480.1 pep chromosome:OR_W1943:9:16209907:16214091:1 gene:ORUFI09G15480 transcript:ORUFI09G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVRSFVEDEGAFNSSVDGRFAALDANRDGVLSYAEMAGELMSLRVLEKHFGADEDEAAMGADELAALYRGLFARFDRDGSGGVDLEEFRAEMKEVLLAVANGLGFLPVQMVVEEGSFLKVAVDRELAGAAA >ORUFI09G15480.2 pep chromosome:OR_W1943:9:16214097:16217071:1 gene:ORUFI09G15480 transcript:ORUFI09G15480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQFWDFGLHQFRSGCVSYFPFLFLFIFMGYFAFVAAAFPRPYIRAGDHDCGAAHHSTTTTTTPPIGESAGRSFDGGKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGSRGGYTIQEPTLRALALKYREKKKVCRKCYARLPIRSHHCRKKKCGHSKELRLKKKFINSLSIA >ORUFI09G15480.3 pep chromosome:OR_W1943:9:16214097:16217071:1 gene:ORUFI09G15480 transcript:ORUFI09G15480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQFWDFGLHQFRSGCVSYFPFLFLFIFMGYFAFVAAAFPRPYIRAGDHDCGAAHHSTTTTTTPPIGESAGRSFDGGKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGSRGGYTIQEPTLRALALKYREKKKVLCTPSHQVSPLPQEEVWPQQGAEVEEEVHQLAFDSVRHWKVFTS >ORUFI09G15490.1 pep chromosome:OR_W1943:9:16217716:16218129:1 gene:ORUFI09G15490 transcript:ORUFI09G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTIRSFVEDEGAFNSSVDGRFAALDTNRDGLLSYSEMAKELMSLRVLEKHFGVDEAAMSSDELVEMYRGLYARFDHDGNGTVDLEEFRAEMKEVMLAVANGLGFLPVQMVVEEGSFLKVAVDRELAKAA >ORUFI09G15500.1 pep chromosome:OR_W1943:9:16218975:16220061:-1 gene:ORUFI09G15500 transcript:ORUFI09G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVRELWAESRDLLGLHSPDDAAAAAADAAMPRAEMPPTPLAFLRDHVSPGRPLLVSSAATSHWPAASLWPTDSYLTDALRSTAVSLHLTPDGRADALAPHPRPSHPGAKCFASAHVRQVDFPTAVRLIRSSDPASGLVAYAQQQDDCLRGEYAAVAGDVDAHVPWASDALGCLPEAVNLWIGSACSQTSFHKDHYDNIYVVVSGEKHFLLLPPTEHHRLYVRDYPAAHYAAEDEAELRLKLELEEPERIVPWSSVDPYPPSPEEAAAQASSFPLYFEGPRPIRCTVRAGEMLYLPSMWFHHVSQSPGPNGLTIAVNYWYDMQFDIKYAYFNFLRSLEIDGSSSKKTDALEDDLEETND >ORUFI09G15510.1 pep chromosome:OR_W1943:9:16225009:16226989:1 gene:ORUFI09G15510 transcript:ORUFI09G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTTLGGGGGGRAGGFSDPPSPLSPPLSPASAAAAALANARWTPTKEQIAVLEGLYRQGLRTPTAEQIQQITARLREHGHIEGKNVFYWFQNHKARQRQKQKQQSFDYFSKLFRRPPPLPVLHRPLARPFPLAMAPTAMPPPPPPPATTTTAACNAGGVMFRTPSFMPVATNNASYYPQQQTPLLYPGMEVCPHDKSTAQPPATTTMYLQAPPSSAHLAAAAGRGAAEAEGHGRRGGGAGGRETLQLFPLQPTFVLPDHKPLRAGSACAAVSPTTPSASASFSWESESSDSPSSEAPPFYDFFGVHSGGR >ORUFI09G15520.1 pep chromosome:OR_W1943:9:16239672:16241237:1 gene:ORUFI09G15520 transcript:ORUFI09G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLVTTLSMENGGGGGGGGHHSLCTLLSMDPAGHLDDRAVGVMVQPRIGDGAGRAHAVSLSGAHPPDINQPWQTDLCDMLDVSLGPQIQVYDAEALAVLSSAPKAGNRKAAKRGDSIWGAWFFFTFYFKPLLADKCKGKVTRDANGVSGFDKTDLRLDMFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRPGEPQFPFSVDRGFVRSHRMQRKHYRGLSNPQCIHGIEVVRSPNLASITEVDRRRWVELTGRELNFAIPQEACDFGTWRTMPNTEIELDRPHPVMKGNVHQHPKKLLNGSGLNLSSPSNHSGEDGMDLSPVSSKRRKEVFPHAMDEECFLPLNSCGERTQQDVEMHSVVQPSWQHEFSGVMKKARGPVTAAKSIYEDDQGYLIMVSLPFVDQQKVKVSWRNSLTHGIVKILCVSTAQTPYIRRHDRVFKLADPMPEHCPHGEFVREIPLATRIPEDAKLEAYFDEAAAVLEIMVPKRGNEPEEHEVRVSMRPPHLAANDLLLT >ORUFI09G15530.1 pep chromosome:OR_W1943:9:16242750:16245667:-1 gene:ORUFI09G15530 transcript:ORUFI09G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDPSVQSDMKLWPFKVIPGPGDKPMIVVQYKGEEKQFSAEEISSMVLIKMREIAEAYLGSNIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNTLENYAYNMRNTIKDDKIASKLSADDKKKIEDAIDGAINWLDSNQLAEADEFEDKMKELESICNPIIAKMYQGAGADMGGAAGMDEDAPAGGSGAGPKIEEVD >ORUFI09G15540.1 pep chromosome:OR_W1943:9:16253523:16254266:1 gene:ORUFI09G15540 transcript:ORUFI09G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKLLLLVATSLLSCGVILADYAPMTLTIVNNCPYPVWPGIQANSGHDVLEGGGFFLPALSHRSFAAPAHPWSGRIWARTGCTGAGAQLHCATGDCGGRLQCAGLGGAAPATLAQVSLHHGNDQTSYGVSVVDGFNVGLSVTPHEGRGNCPVLACRKNLTETCPSELQLRTPAGSVVACKSGCEAFRTDELCCRNMYNSPRTCRSSKYSEFFKRECPQAFTYAHDSPSLTHECAAPRELKVIFCH >ORUFI09G15550.1 pep chromosome:OR_W1943:9:16260862:16267154:1 gene:ORUFI09G15550 transcript:ORUFI09G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATISQASLLLQKQLKDLAKHPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMSFPQNYPNSPPTVRFTSEMWHPNVYPDGRVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSGPNDESPANIEAAKEWREKRDDFKKKVRRLVRKSQEML >ORUFI09G15560.1 pep chromosome:OR_W1943:9:16269752:16270171:1 gene:ORUFI09G15560 transcript:ORUFI09G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPVEEKAEKKPKAEKRVPGAKEGGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >ORUFI09G15570.1 pep chromosome:OR_W1943:9:16271326:16272696:-1 gene:ORUFI09G15570 transcript:ORUFI09G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRDVVSATAAIGALTRSGRHRDAVALFSGILGDGIVPNEFTFGTILQSASALRDLRVGAQLHACVAKLGLCSNVFVGSALVDHYAKMGSVREAQGALQDTREPNVVSYTALIAGFLKNGMSGDAARLFRCMPERNVISWNAMIGGSSKAGLNEEAVNLFLEMCREGVRPNESTFPCLLTSVANAGALGVGRSIHASAIKFLGKLDVFAGNSLVSFYARCGSLDDSVLAFKKIKIKNVVSWNALICGYAQNGRGEEALDAFRRMKATGLKPDRVTLLGLLFGCNHAGLVDEGYSLFRTAEMEQPGVLRPEHYACVVDLFSRAKRFDDAKRFLENLPFEPGIGFWKSLIGGCQIHWNRELVKSVAKHIHALDPKDTSSYILLSNVYSAAGSWQDVSMIRREIKEKGLKRITGCSWIEVQNQVHVFFNGDRRHPKSDDIYMMLESCLNSEEDEDCLV >ORUFI09G15580.1 pep chromosome:OR_W1943:9:16274666:16276857:-1 gene:ORUFI09G15580 transcript:ORUFI09G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRKLGRHAAHRVSMLRTMVSQLVKHERIETTVAKAKEVRRKADQMVQLGKEGTLDAARRASAFVRGDDVVHKLFTELAYRYKNRAGGYTRLLRTRIRVGDAAPMAYIEFVDRENELREAKPATPQPPQRSPLDPWAKSRASQQWAGPKISEGSRKEGL >ORUFI09G15590.1 pep chromosome:OR_W1943:9:16279232:16282127:1 gene:ORUFI09G15590 transcript:ORUFI09G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVAGSRGKGEQQRLPWREAQQEARCPDMAPPRPPRPRPGPARAAVVYYLSRNGHLEHPHFMEVAVASPDGLYLRDVIDRLDALRGKGMARMYSWASKRSYRNGFVWHDLADDDYIYPVAGREYVLKGTERLHPIQLPLLDAAAASSCSSGSQETATSSPPGWENGTGEARQKKGAGINTSELCEYRVYKAEDPAAAAADAATQTEDGYRSSRGGHQRHAQRAAAAAAQEELSREETSPPTASTSPETLEALIKADGRVMAAVTGGGRTRASSVLMQLISCGSVSVKSTLASPVMARTAAHYRPRPPRPPTLASTTTEIPNYRQKIVEDKEYFSGSLVETKRSSPADTSQDIAVLRRSSSYNADRVQKVEPSTEAVDMHDRCIPRRPRGKKDGGAYLISGGNAQYGSKRHGG >ORUFI09G15600.1 pep chromosome:OR_W1943:9:16282510:16283337:-1 gene:ORUFI09G15600 transcript:ORUFI09G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTIAERLCKTQGQPRSAGLSPRAGDESQRQRRRRTRGGGPRCCGRLRAYGCTPARCSHCRHPRGHVDGTDVVVDAGGQQGAGGGGGVRVQDVQQVVPVAPGAGRAPDKPHAAAGEAAERPRRRSGREGQGTHPRVRRVRGVEFSMGQALGGHMRRHRGETGTTTVVFADADDSGGATVPQPPEPMPDLNYPPLEDAGDGSEPELLNLLV >ORUFI09G15610.1 pep chromosome:OR_W1943:9:16285319:16285728:-1 gene:ORUFI09G15610 transcript:ORUFI09G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRHRLVEDEVELKLCIRRRLVKLEFHRWSHGAPGGGRRGGPLSLCSSVVGAEGKAGDVLELRRRNEFPAPRPPISCSAPPLLSVWEEEGWREKGKRRWGKKKWLLTYFHIIDT >ORUFI09G15620.1 pep chromosome:OR_W1943:9:16292710:16294741:1 gene:ORUFI09G15620 transcript:ORUFI09G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVETPTTVLAEEAPVEATPAAAAAEVEAPKEETPAAPAEAVAEEAAPAEAEVAETKEAEPAAAEPAAEEVKEAEPEPAEPEAEPAKEEVAPEPAAAAEAEAKEAEPAAQVAEEVKEEEAAPAPAAEEVKVEEAAPVAEPEAEKASE >ORUFI09G15630.1 pep chromosome:OR_W1943:9:16295554:16301488:-1 gene:ORUFI09G15630 transcript:ORUFI09G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDADGFGCEHRRPSKRRRDGPDHALLPVSREVLMGHPDDPAAAAAAVGAESSSASSARGVGAPAASASASAAGGFSCGAHFFVRATDSRTVSMHAAWGDTVGAVLAHLADRGYGRDLRLVYAGRQLAPETALADLRLPPDSTLHLLSRLRSTPYPDAWQLASYIASTAAAAKSDPAHTSSAANINELVKEFILCAHRANMRQRHDRDSPLFDAQPTGDHAAQYLEIFRQAGAPFALVRLYAANPSSASHHHAENAIKCFLTMDPSALPPDVLPVMAPVLLEFCGLLSFSVGKRDELYISSRSMLATVLSLPSGLPPCIKSPSKLIEQVLPFAEEIVGVVMDELASLDMTVSSKNLEDLSNFFKVLRQQALRWVPNGGPLPKNLYNSERGHNDTWVWKLHEMSMNLLNRVDECLKRLEMDLSLSSENRGVNISQSRWVARSHMLVMLTQLDFISMIYEDLAHNLRLVLLAHRDPLNALVRCSKRNEHLHWLVKHKDLLCFESRRNLVLMMLPEGKDEYGELHEMLIDRQHLLDESFEYIIQARPSELRSGLFMEFKNEEATGPGVLREWFCMVCQALFSPQQVLFSPCPSDRQRFFLNGTSAVDPLHLKYFIFSGRIIGLALMHRVQVGITLDRTLFLHLAGRSIKLEDISAADPVMYASCKRILEMDAAVVDGLELTFSRDVHELGSRRTIELCSGGKDLHVNIRNRELYIDLLIKSTFVDSISVQLTHFVRGFSDILVDPELQKVFFEFLDLEDFDGMLGGSNKTINLEDWKLHTQYNGYKEKDRQIIWFWKAVESLSIEQQRQLLFFWTSVKYLPSDGFGGLASKLYIYKVSESADRLPSSHTCFYRLCLPAYPSLKVTRNQLQKITQEHASIFHILSGEVWWEHLHRAMLTSSGSQLRLKPCHKVPAGSRNFLGISQKPVSQQLTSDMDHTLPEHMDSNDVFGI >ORUFI09G15640.1 pep chromosome:OR_W1943:9:16308079:16308321:1 gene:ORUFI09G15640 transcript:ORUFI09G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVGAAEGFHADTAWAGGLLERCGAIYITAAAAANHRCEPPCLEQQQQPPRHHLRIHQRVGGERERVGKWNDVKRDEE >ORUFI09G15650.1 pep chromosome:OR_W1943:9:16314284:16316750:1 gene:ORUFI09G15650 transcript:ORUFI09G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSMEKPRQVVRKFLARPQHEGVGAVVRRSIGRFELRYFDPFLVLDEFSVSAPAGFPDHPHRGFETVTYMLEGAVTHEDFEGHRGTIKAGDVQWMTAGRGIVHSEMPAGPGTSRGLQLWVNLSSHNKMIEPGYQEIQSKDIASTTSDGVTVRVIAGQSMGARSPVRTRTPTMYLDFTVRPHAAARQPVCATWNAFAYVLEGEGVFGGGGGDKAGAHHLLLLGQGDGVEVWNRSDKPLRFLLIAGEPIGEPVAQLGPFVMNTEEEIDMTINDFEFSINGFEKAKHWKSQALVALGLE >ORUFI09G15660.1 pep chromosome:OR_W1943:9:16322105:16325473:1 gene:ORUFI09G15660 transcript:ORUFI09G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRSHWESSSEDVTRPLLPLHDDDGAAGRRSCAALRSLLANKYLAVASGPVACALICGLVDLGGHRAARNMLGVLAWVFLWWITDAVPLAVASMAPLFLFPVFGISSSDAVAKAYMDDVISLVLGSFILALAIEHYNIHRRLALNITSLFCGDPVKPPLLLLGICGTTMFISMWIHNTPCTVMMMPVATGILQRFPRVDGASSSASSAADAREVQRFSKAVVLGVVYASAIGGMATLTGTGVNIILVGMWSTYFPEQPPITFSSWMSFGLPLALVLFVALWATLCVLYCSKNTGRALSAYLDRSHLRRELSLLGPMAFAEKMVLAVFGGLIVLWMTRSLTDDIPGWGSLFHGEVGDGTVTIMMATLLFIIPSGKNDGEKLMDWGKCRRLQWNIILLLGAGFAIADGFRASGLTDILSEGLGFLRGAPALAIAPVACVFSGAITEFTSDDATATLVLPLLAELGKSIGVHPLLLMVPGAVGAQLSYLLPTGSPGNVVGFSTGYISIKDMVIAGTPLKIVGVAALTILLPTLGSVVFGMDQKL >ORUFI09G15670.1 pep chromosome:OR_W1943:9:16345358:16346337:1 gene:ORUFI09G15670 transcript:ORUFI09G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPYYASFLKNHHRRYCFSTPPSPSPSPAGAYSSSSFPFFPTAGVTSTTAATPPTTTAPPSPPLREALPLLSLTPASRGGTTAAQERARQRGEEDCTDDDDGAEEEGADEEDFCRNSRLISD >ORUFI09G15680.1 pep chromosome:OR_W1943:9:16349440:16350482:1 gene:ORUFI09G15680 transcript:ORUFI09G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPCYCCAAGCRNNIDHPRAKPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHGALGGAAALDDDDDGAYGVHTVDDGGGVAIWWDRV >ORUFI09G15690.1 pep chromosome:OR_W1943:9:16351456:16354341:-1 gene:ORUFI09G15690 transcript:ORUFI09G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVWTASSPALTPSASRSAPSPRATSCRSWACLSPASGSSPSPTTPPACRAASWRPSSTSCHTWSSSSPSTASSGQWGRSSQRADGDSLSSATPLWPWTFRRLPRRRRATWPPRRRRLESEVGAEAIRSDE >ORUFI09G15700.1 pep chromosome:OR_W1943:9:16360034:16362812:1 gene:ORUFI09G15700 transcript:ORUFI09G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPALAPTRTVPVLPPRGPSRHRNAAAPPCRPATARIGASPGDGAAAAAAAAVEQGSRSEEKDRKRCLRCGSLYLDEDNSPTDCAFHGHGIDGEWSDKSGVIVYRWNDRGSRPNTGRDNWKGRWSCCQERDEGAPPCRRGRHVSYDDGFTLY >ORUFI09G15710.1 pep chromosome:OR_W1943:9:16363347:16364773:-1 gene:ORUFI09G15710 transcript:ORUFI09G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMEIPEGVTVQVAAKVVTVEGPRGKLTRNFKHLNLDFQLLEGGRKLQVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGTITEDA >ORUFI09G15720.1 pep chromosome:OR_W1943:9:16365294:16365851:1 gene:ORUFI09G15720 transcript:ORUFI09G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSYTQEHVYRHPWHRVTAAAWRKFTDADARAAPLSHILEVHTLSRRVDAGSGRIDAVRAIAGRAPPLPLLLRGLVAAADVVLCVEHTTVDGPARAMRVVSRNANLRALVHVEESCSYRPHPERPDEWTLFRQETSIRCAPLAAVAAWAAEMVERRCAERFRQNASQGREVVETICERLALADH >ORUFI09G15730.1 pep chromosome:OR_W1943:9:16371041:16371600:1 gene:ORUFI09G15730 transcript:ORUFI09G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRDKKDQEPTELRAPEITLCANSCGFPGNPATQNLCQNCFLAATASTSSPSSLSSPVLDKQPPRPAAPLVEPQAPLPPPVEEMASALATAPAPVAKTSAVNRCSRCRKRVGLTGFRCRCGHLFCGEHRYSDRHGCSYDYKSAARDAIARDNPVVRAAKIVRF >ORUFI09G15740.1 pep chromosome:OR_W1943:9:16381830:16383197:1 gene:ORUFI09G15740 transcript:ORUFI09G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQPRMADIDLDAVRAVRVLGRGAMGTVFLVAAAADDAAGGGACYYALKVFDKRSVVASAARQGDAARRARWEVSVLSGLAHPHLPSLLGRAETGDLVAWAVPYCHGGDLNELRHAQPDRVFSPAAIRFYVAELVSALAELHAAGIAYRDLKPENVLLRADGHVTLTDFDLSRLLPPVSPSASTSTSSSSCSATSSPPPQLQGHGRSQLRRIFARSESSVAATTSTSSPGQYTHNLAWFLKRSDGGGAAADHLKKAKSARVSPVSRGKKQASFCSAASASGGAAAACERSFSFVGTEEYVAPEVVRGEGHEFAVDWWALGVLVYEMAYGRTPFRGRSRKETFRNVLLREPEFSADSRRRWPELTDLIARLLDKEPTKRLGFAGGADEVRAHPFFAGVAWDLLGELSRPPYIPPPADDIAACEGFSVVEYFNKLHEPSPEPEEEELTEFLPEF >ORUFI09G15750.1 pep chromosome:OR_W1943:9:16392033:16394300:-1 gene:ORUFI09G15750 transcript:ORUFI09G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSGEEARTVSLSFPRLSSAPSLKREKQEARCLRQGCRRGLHQPHPRYLPTPTPPLDPHCCIVLLGEIWKLQWHGYHVRSSLLVDAPCSSVPDVHATGRHELLLPALHRLACIDEEYLRTPGVKKGVAFGSLAARSAVSSGPARPRHPSCRGLGCCDVVLPWSPFGISIYSVHSCRWMHILMETRKEHEKIMPVVMVPLEWHPRHVLRQRSSPAANTKGQTRTTTPQLMTGFGGRRCDALWIVLFFWVPGVYVFVTMMARSIVKTKSIIPVFVQ >ORUFI09G15750.2 pep chromosome:OR_W1943:9:16392035:16394300:-1 gene:ORUFI09G15750 transcript:ORUFI09G15750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSGEEARTVSLSFPRLSSAPSLKREKQEARCLRQGCRRGLHQPHPRYLPTPTPPLDPHCCIVLLGEIWKLQWHGYHVRSSLLVDAPCSSVPDVHATGRHELLLPALHRLACIDEEYLRTPGVKKGVAFGSLAARSAVSSGPARPRHPSCRGLGCCDVVLPWSPFGISIYSVHSCRWMHILMETRKEHEKIMPVVMVPLEWHPRHVLRQRSSPAANTKGQTRTTTPQLMTGFGGRRCDALWIVLFFWVPGVYVFVTMMARSIVKTKSIIPVFVQ >ORUFI09G15760.1 pep chromosome:OR_W1943:9:16395615:16398663:-1 gene:ORUFI09G15760 transcript:ORUFI09G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVENMEGREERSTDVERDGKQGKEVESDYEPARDSVSSQGEANSNEDTRAKRVSRVPKKLVKKDSKENSPRSGRINSNRQVQTKLQYISSNNLQSKSPKPNKTSDGAKTIEITKPDTVTVPSCPSSEVSEEMDDKPIENIVTDDKSIEDVADDKATEGTASYDKATEGKAADDTTVEDNTTDERSIESGTDDRTIAGIAADVKSSEEAKEIDILDEAPNCDQSTATDEEIADTEESIAYDGKSAAYEKSEELESKCERLEQELREVAALEISLYSVVPEHGCSSHKLHTPARRLSRLYVHASKFWSSDKKASVTKNFVSGLVLVAKSCGNDVSRLTFWLSNTVVLREIIAQTFGITRQPSLAMKAFSTNVNAKMLGKNSSPTRRKNNYSGKHARPAIWPLPDDWQETGTLVAALEKIESWIFSRIVESVWWQALTPHMQTLVKDISSPKAGSLLGPALGDQQQGNFSIHLWKTAFQDAFSRICPLRAGGHECGCLPVLAKLVMEHCVARLDVAMFNAVLRESANEVPSDPISDPIVDSRVLPIPAGDFSFGSGAQLKNSIGNWSRWLQDKFGMVAAAPEKHGQAGDESDDRSGAADFYSFKLLNELSDLLMLPKDMLLEKSIRKEVCPSIGIPLVTRILCNFTPDEFCPEPVPGMVLEELNAESLLERFTEKDVITTFPCVAAPVVYCPPSPEDVAEKVADAGGNAEPDLRASMVQRRGYTSDDDLDDLGNPLASLYDRSSPPSPCNGASRSTTRQGGSMSNARYELLREVYGRRGLV >ORUFI09G15770.1 pep chromosome:OR_W1943:9:16406225:16407164:1 gene:ORUFI09G15770 transcript:ORUFI09G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEKHTPPRRRRRPRAAGAADNSPAKSSSLPPPTATTTEGEEKSEDPSTLTGKEAAGAAGKDSGTSGSREAGDGDLGEEHEGGGERPRRRQRRGACARRRRRSGRRKASRRRGEITPDAPLTSPTTPQK >ORUFI09G15780.1 pep chromosome:OR_W1943:9:16412552:16412905:-1 gene:ORUFI09G15780 transcript:ORUFI09G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAISSAAGGMLRARLRGGARVRGGGGHGEGGGRWTTPGHEEQPKGYLFNRPPPPAGESRKWEDWELPCYVTSFLTVVILGVGLNAKPDLTLETWAHQKALERLQQQELASGEAQAE >ORUFI09G15790.1 pep chromosome:OR_W1943:9:16414429:16416381:-1 gene:ORUFI09G15790 transcript:ORUFI09G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLLLLPLAVSSLLLPFAAAARVFSVADYGAAGDGARYDTGAIQAAVDACAAAGGGRVLLPAPGDYLTATVHLRSRVVLDVAPGARLLAGTRQADYPPESRRWYVVLAENTTGAGVTGGGEINGQGGAFVVTPNPQKNIMVSWNATGDCEGDECRPRLVGFIDSKDVTIHYITLNQPAYWWCDNTMIHNVSIYGDFDTPNNDGIDIEDSNNTAITHCHIDTGDDAICPKSTTGPVYNLTATNCWIRTKSCAIKFGSASFFDFKKLVFDNITIVDSHRGLGMQIRDGGNVSDVVFSNIKMSTRYYHPLWWGRAEPIYITTCPRHPDSKEGTISDIQFINISSVSENGVFLAGSKHGLLRNLKFKNVDLTYKRWTNYSGGLYDYRPGCQKMVKHRTGGMMLEHISGLEIDNVRMRWSRGSLKGWDVDPLLFQPSTVDKLSFHDWQSLAVSR >ORUFI09G15800.1 pep chromosome:OR_W1943:9:16418033:16422475:1 gene:ORUFI09G15800 transcript:ORUFI09G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGALRGQQAVAGEAKTARVAEFGESYIDYDFPPCRPATADRYHIRRVHLNRPIYHARWISIRRRLIVFLLACGGGGGGGAPVAVTDSAPAAAAACTGESPRGNGGLKPLPPPLSLPLRLTLPSPITSAFVSSILAGVSPRLRSLRTVRRLSLYLVARCCLKQMAIYTPTLQGGLTQGMALWKYSRSQAVGYHVKIRLVGLPPKMNIKSLRTCFASSGKQLCGRRPARDSILKLKLDEPSRQKLYSILWDSRSIGHKVGATGTGLFLSFAVPAKANAEGPVDNNTDSPQTTESSTSYAHGKKVCTDYSVTGIPGDGRCLFRSVAHGACIRSGKRPDDDLQRKMADDLRAMILNVALEATQPFLVADEFIKRRAETEWFVEGDFDAYVSRIRKPHVWGGEPELLMASHVLRMPITVYMHDKEAGGLIAIAEYGQEYGKEDPIQVLFHGFGHYDALQIPGKGGPRSRL >ORUFI09G15800.2 pep chromosome:OR_W1943:9:16418033:16422475:1 gene:ORUFI09G15800 transcript:ORUFI09G15800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGALRGQQAVAGEAKTARVAEFGESYIDYDFPPCRPATADRYHIRRVHLNRPIYHARWISIRRRLIVFLLACGGGGGGGAPVAVTDSAPAAAAACTGESPRGNGGVSPRLRSLRTVRRLSLYLVARCCLKQMAIYTPTLQGGLTQGMALWKYSRSQAVGYHVKIRLVGLPPKMNIKSLRTCFASSGKQLCGRRPARDSILKLKLDEPSRQKLYSILWDSRSIGHKVGATGTGLFLSFAVPAKANAEGPVDNNTDSPQTTESSTSYAHGKKVCTDYSVTGIPGDGRCLFRSVAHGACIRSGKRPDDDLQRKMADDLRAMVADEFIKRRAETEWFVEGDFDAYVSRIRKPHVWGGEPELLMASHVLRMPITVYMHDKEAGGLIAIAEYGQEYGKEDPIQVLFHGFGHYDALQIPGKGGPRSRL >ORUFI09G15800.3 pep chromosome:OR_W1943:9:16418033:16422475:1 gene:ORUFI09G15800 transcript:ORUFI09G15800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGALRGQQAVAGEAKTARVAEFGESYIDYDFPPCRPATADRYHIRRVHLNRPIYHARWISIRRRLIVFLLACGGGGGGGAPVAVTDSAPAAAAACTGESPRGNGGLKPLPPPLSLPLRLTLPSPITSAFVSSILAGVSPRLRSLRTVRRLSLYLVARCCLKQMAIYTPTLQGGLTQGMALWKYSRSQAVGYHVKIRLVGLPPKMNIKSLRTCFASSGKQLCGRRPARDSILKLKLDEPSRQKLYSILWDSRSIGHKVGATGTGLFLSFAVPAKANAEGPVDNNTDSPQTTESSTSYAHGKKVCTDYSVTGIPGDGRCLFRSVAHGACIRSGKRPDDDLQRKMADDLRAMVADEFIKRRAETEWFVEGDFDAYVSRIRKPHVWGGEPELLMASHVLRMPITVYMHDKEAGGLIAIAEYGQEYGKEDPIQVLFHGFGHYDALQIPGKGGPRSRL >ORUFI09G15810.1 pep chromosome:OR_W1943:9:16424692:16425857:-1 gene:ORUFI09G15810 transcript:ORUFI09G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVRAAISAGHDRSNTEFILLMMQWRPSAHHKLFPGDTFVLILGPYLAAVLLVALEEHMKFTAISCGFSRISVGLKFENSEMRGRKKVSKEKRKAGSVAPSAFVGEPTRDKNMATLWRVWEGGLSRHKVLKKEGIGGDTVGFDTGWAAKKQPLVLPDLARRVFIKRLTLPLG >ORUFI09G15820.1 pep chromosome:OR_W1943:9:16426633:16430215:1 gene:ORUFI09G15820 transcript:ORUFI09G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAPVSKDLSLQPAGVRTPPQMSSPGLLRYRSAPSTLLGEVCGDFVLPGGGGGGGQLQLQQQRPGSPDHAADTVLARFLAGHGGHDNKPPRPAAHFAPPEDSMASHQQQLMYQSHQQQQQMASAMEGLYRTVSSGGTESTAAAAGNSLLRQSSSPAGFLNHLTMDNGYGRNMLRAGMGGGGGGGDPRLKGQLSFSSRQGSVMSQISEMGSEDEELAGGGGSPEAGSNGGGAARGGYGGGYAMGSSAWEEPSPPATSLLPDSSLPSKRPRDDLPRQLSLPAASKNSSKPPSSASAAASPEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERIRKLQELVPNMEKQTNTADMLDLAVDYIKELQKQVKVLNDSRSSCTCSASKQKHFAG >ORUFI09G15830.1 pep chromosome:OR_W1943:9:16439784:16440287:-1 gene:ORUFI09G15830 transcript:ORUFI09G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLPTAAAEAAAAGGDGDGEAYRIRPLELADISRGFLGLLNQLSPSPPLTEEAFRARFEELAALGADHLVLVAEDAATGRLAAAGAVLVERKFIRRCGRVGHVEDVVVDAAARGRGLGERVVRRLVEHARGRGCYKVIINCTPELTGFYAKCGFVEKNVQMGLYF >ORUFI09G15840.1 pep chromosome:OR_W1943:9:16454703:16458085:1 gene:ORUFI09G15840 transcript:ORUFI09G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVGEATPPPGGPPSRVSVSSSSSTPRRRCAALTSRFREPASPRRHAWVSLQGRLVGAEEATSAASAAPGLPPDEAVAWELFSPLHRVLLVATVAAASSRSHEARRIEQLQRSIHIRDEVLQSMQQKLDDLFDEMNSLQQQYVKCNTFISSEQGKSELVGSKKVGDYDGARCCACAKPEIAATPHKTKDICGMDDAKSDVVDRSSVSHMDHEERRMSDLSDFCWSVVSSVDNHVNGDNQLSSLAAEQELYNLQKECEEKDAIIKELAATAHTSSTADSKRIAELQEILKRKNMVISKLKKDMSALKQMVVELTRAKRTSSVNLSTSCSELPVMSSNVLYDMSSTSPSSSDSESPVAPREYLDDHLKVDDGIAGDSEYKGSSRFSMESACFPTKNSSAGKLRSTSPLKENRIDPNVETSLVGRQKQRISTNGDFKRTRRQSQQDSRNRATKRWM >ORUFI09G15840.2 pep chromosome:OR_W1943:9:16454703:16456962:1 gene:ORUFI09G15840 transcript:ORUFI09G15840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVGEATPPPGGPPSRVSVSSSSSTPRRRCAALTSRFREPASPRRHAWVSLQGRLVGAEEATSAASAAPGLPPDEAVAWELFSPLHRVLLVATVAAASSRSHEARRIEQLQRSIHIRDEVLQSMQQKLDDLFDEMNSLQQQYVKCNTFISSEQGKSELVGSKKVGDYDGARCCACAKPEIAATPHKTKDICGMDDAKSDVVDRSSVSHMDHEERRMSDLSDFCWSVVSSVDNHVNGDNQLSSLAAEQELYNLQKECEEKDAIIKELAATAHTSSTADSKRIAELQEILKRKNMVISKLKKDMSALKQMVVELTRAKRTSSVNLSTSCSELPVMSSNVLYDMSSTSPSSSDSESPVAPREYLDDHLKVDDGIAGDSEYKGSSRFSMESACFPTKNSSAGKLRSTSPLKENRIDPNVETSLVGRQKQRISTNGDFKRTRRQSQQDSRNRATKRWM >ORUFI09G15850.1 pep chromosome:OR_W1943:9:16459581:16462855:1 gene:ORUFI09G15850 transcript:ORUFI09G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEDARRKMAAAAGERGQVVVLECVAGSSKAEEWGGGGGVVQEGDVVEAVRVGRGGGPGPGAAVLEAPFKGGRAALHKAMHAAFKRGDTSVEVRVRGGSELQACILPHSGGGGGGGGGGGGGGGGGKKQYVLRSLHDPNYVLGFVDRLESECLLLQGTRSTRVVSALSRAQLQDGYVAYPWEKKMRDSLRMPNSSSYLSMLVLPKALDLNSCRYESFEDTLARANAWIYSSQVSGIPIEFMNVQSEALLTKISGETASATVNSGSLSDLSNVTNATLYGFEDYHGVDIGVVKAARLWYSSIAEEMPLEIPLEEGDTRLGFAISRTEEGFIFISSVVDNDKDNEAPSTRSGLRDLFNQAREASKLLVISRVSNEKVLPWMISSSGAIRCFDTISLSQKLSLHRLAVRPIQLHLLMWEKPSGPVERIIRSPKLPPQSELPQVPWNLIESIEPRVDVDEDYVGDLSFRIDDLSVGTSWV >ORUFI09G15860.1 pep chromosome:OR_W1943:9:16462851:16465258:-1 gene:ORUFI09G15860 transcript:ORUFI09G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAAAEAFGTAAATSTPPVQATRQDVQAAIAKAVELRALHAALLQRGGGGGGGGASASRSPAIIRLPPAASPALSRAGAAAAAAVATVDEDYPVFTPAYDEEQMAGLSHICQDNRSRSENWSGIALGGGGSGDDDDDAAFSDYDNLNAFSSSNSELRFPSSTDHHRRHKVHPAFLHSAPSADRFLASAGRATMAGTAELLKAPATCGSAFRPATIGRDHGIDVGALKFLASSGAPLSAAQPRPAKHRGAQILSWLFQRAKKKAKPMSPSAIERENMSQLLKEWGLLSLDSLRRELADANAHRDAALQEAAEMRSSLGELTTKLAGLEAYCSELKKALRLATSSTSNAQPSSSSMSRRSTRSIGASRELPGPVSHEAMVEGFLQIASEARLSVKQFCKALIQQVEEPDNGLIEKLNILLQPYQLMITDNKHCSKLVLYHLEALMNQAMYQDFENCTFQKNGSPRCLDPKQGSQESFASFVALRNLSWNEVLRKGTKYYSEDFSRFCDQKMSCIVSTLKNWSRPWPEQLLQCFFVAAKCVWLLHLLAFSFTPALTIMRVEESRVFDQMYMENILPDKQQLHNPCQVKIMVMPGFYVQYRVLKCRVLTT >ORUFI09G15870.1 pep chromosome:OR_W1943:9:16472965:16477197:-1 gene:ORUFI09G15870 transcript:ORUFI09G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEPPAPATEAEEGRRAALLREITEEGGFAFVASAEKAACGDLRAAEAAREMAWEQLHSGPWSEVGAAWRDAYALACLHVARLRRLGAAAADRRAALRALDMGLIMGGNLLRADLEAAIARIVADPGGGGDAEAVDEETRRWREGLERNRDVADYFFQALNILPAKSLSCKKVERRSCISLEEFICDYFLRESPVIISGSIDHWPARTKWKDIQYLKKIAGDRTVPVEVGKNYVCSEWKQELITFSQFLERMWSAGCPSNLTYLAQHPLFEQIKELHEDIMVPDYCYAGGGELQSLNAWFGPHGTVTPLHHDPHHNILAQVLGRKYIRLYPASISEDLYPHTETMLSNTSQVDLDNVDLKEFPRMYIVTSPVMTKHVVATIPNVTMTMYLLVTSDA >ORUFI09G15880.1 pep chromosome:OR_W1943:9:16478502:16487036:-1 gene:ORUFI09G15880 transcript:ORUFI09G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSESQQEREHRQQQEMNISFGMMMNHGHHHYGQHQQQHHHHPPPSSSSSSSMHAAAASFMSSKEASAGAYDHLGELDQALFMYLDHGSHAASHQEQRQTLNIFPSQPMHVEPSPKGEISLVLSPAAVGTKMARSPDHHHHHQQQAAAAMEELATGSRRQDHHHLQHQPFAAEPAGINRDVKPVTAKKDHRRGVSTGERDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLAQLEQELHTARAQGVFFPGSGGLIGEGGGGKGVLLGGIDGVGGGGGGGGGLSSEAAMFDVEYGRWQEEHHRLMYELRAALQQQLPEGELQVYVESCLAHHDEVAAIKDGAIRGDVFHLISGVWMSPAERCFLWLGGFRPSEVIKMLVAHVEPLTEQQIVGVYGLQQSALETEEALTQGLDALYQSLSDTVVSDALSCPSNVANYMGQMAVAINKLSTLEGFVRQAENLRQQTLHRLQQVLTTRQMARSLLAVSDYFHRLRTLSSLWVTRPRHPPHDHQPPPHSAAPHT >ORUFI09G15880.2 pep chromosome:OR_W1943:9:16478502:16484367:-1 gene:ORUFI09G15880 transcript:ORUFI09G15880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAETLNIFPSQPMHVEPSPKGEISLVLSPAAVGTKMARSPDHHHHHQQQAAAAMEELATGSRRQDHHHLQHQPFAAEPAGINRDVKPVTAKKDHRRGVSTGERDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLAQLEQELHTARAQGVFFPGSGGLIGEGGGGKGVLLGGIDGVGGGGGGGGGLSSEAAMFDVEYGRWQEEHHRLMYELRAALQQQLPEGELQVYVESCLAHHDEVAAIKDGAIRGDVFHLISGVWMSPAERCFLWLGGFRPSEVIKMLVAHVEPLTEQQIVGVYGLQQSALETEEALTQGLDALYQSLSDTVVSDALSCPSNVANYMGQMAVAINKLSTLEGFVRQAENLRQQTLHRLQQVLTTRQMARSLLAVSDYFHRLRTLSSLWVTRPRHPPHDHQPPPHSAAPHT >ORUFI09G15880.3 pep chromosome:OR_W1943:9:16485407:16486954:-1 gene:ORUFI09G15880 transcript:ORUFI09G15880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTDSISSSTIIIRLRHRLPLPPCMPLPQASFLQRSSKEASAGAYDHLGELDQALFMYLDHGSHAASHQEQRLIIA >ORUFI09G15880.4 pep chromosome:OR_W1943:9:16485407:16487036:-1 gene:ORUFI09G15880 transcript:ORUFI09G15880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSESQQEREHRQQQEMNISFGMMMNHGHHHYGQHQQQHHHHPPPSSSSSSSMHAAAASFMSSKEASAGAYDHLGELDQALFMYLDHGSHAASHQEQRLIIA >ORUFI09G15890.1 pep chromosome:OR_W1943:9:16482317:16486186:1 gene:ORUFI09G15890 transcript:ORUFI09G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGRKPPSQRKQRSAGLIQTPEMRWKTSPLMAPSLMAATSSWCARQLSTYTCSSPSGSCCCSAARSSYISRWCSSCHLPYSTSNIAASELSPPPPPPPPPTPSIPPSRTPFPPPPSPISPPLPGKNTPCTMYRSVHIFIHNT >ORUFI09G15900.1 pep chromosome:OR_W1943:9:16494255:16496090:-1 gene:ORUFI09G15900 transcript:ORUFI09G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLAIIATELGDSSDFEVEGIQNLTENDVSDEEIEAEDLARRMWKDRIKLRRIKERQDRLALALQQAELDKSKGKPISDQAMRKKMSRAQDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIAKYESENLASADAPSSGIKSQHSLMDLQDATLGSLLSSLMQHCDPPQRKYPLERGTPPPWWPSGNEDWWIALGLPRGQIPPYKKPHDLKKVWKVGVLTGVIKHMSPNFDKIRNHVRKSKCLQDKMTAKESLIWLGVLQREERLVLSIDNGMSEVTHHGALEYRNGDTHSSSNEYDVDGFEEAPLSTSSRDDEQDLSPAAQLSEEHAPTRRERAKVKRPNQVVPKKAGTKEPPKRKRPRHSVTVIEQEVQRADDASEHSRNMIPDMNRLDQVEIQGMANQITSFNEEANTSEALQHRGNTQELAHLPADFNHYGNAQATIATPVSICMRGQAVPYESSDNSRPKTGNIFPQDSDSGFNNMPSSYQTIPPKQPLPLSIMEHHVVPLGIRTPADNSPYSDQIIGSGNSTSVPGDMQLIDYPFYGEQDKFAGSSFAGLPLDYISISSPIPDIDDLLLHDDDLMEYLGT >ORUFI09G15910.1 pep chromosome:OR_W1943:9:16496162:16496501:-1 gene:ORUFI09G15910 transcript:ORUFI09G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIRFDSREEGSTAISSLSFAVAFASRRAAHNPIRCCPRLVSRIRIGADSLPIGTGRYEFSLGFRWADC >ORUFI09G15920.1 pep chromosome:OR_W1943:9:16500203:16504012:-1 gene:ORUFI09G15920 transcript:ORUFI09G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLGIGMGRQIVPVLVFVAVLCSGVDASFNRYSFPKDFIFGTGSAAYQYEGAAKEGGKILNGDTGDVADDFYHRYKEDVNLLKDMNMDAFRFSISWSRILPNGTLSGGVNKEGVAFYNNLINEIIAKGMKPFVTIFHWDTPQALESKYGGFLSENIIKDYVDFAEVCFREFGDRVKFWATFNEPWTYCSQGYGTGIHALGRCSPYVSTSCAGGDSSREPYLAAHHVILAHATAVHLYRTKYQPTQHGQIGITAVSHWFVPYNDTAADRRAVQRSLDFMYGWFLDPIVHGDYPGTMRGWLGARLPAFTAEQAAAVRGSYDFIGVNYYTTYYAKSVPLPSSNRLSYDTDIRANTTGFRNGKPIGPQVRVHPIFFNYPPGLRELLLYTKRRYNNPIIYVTENGIAEGNNKSLPITEALKDGHRIEFHSKHLQFVNHAIKNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIYIDRLNNLKRYHKQSSYWIANFLKRKKY >ORUFI09G15930.1 pep chromosome:OR_W1943:9:16512521:16513302:-1 gene:ORUFI09G15930 transcript:ORUFI09G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQRVAASAAYLLAVLVLSLVVSDLSSAGVAPTPPEVLSSPAAAGEAEALLAVKAAPHDTANVLADWNAGFGVSDGGPCNWSMVACSEAGHMDGSTGMPRWASARFLGYDIDLSHAGSDDLRALRRGAGDGVHDAPAARRAWAQLYCSRVGSTGPSRDNVMRHQGILGNLKILSPLFL >ORUFI09G15940.1 pep chromosome:OR_W1943:9:16515278:16518038:-1 gene:ORUFI09G15940 transcript:ORUFI09G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMGRRLLFTLFLGALFCNGVYAKFTRYSFPKDFIFGTGSAAYQYEGAYKEGGKGPSVWDNFTHIPGKILNNDNGDVANDFYHRYKEDVSLLKDMNMDAFRFSIAWTRILPNGSLSGGINKEGVAFYNSLIDDVIAKGMIPFVTIFHWDTPLALESKYGGFLSEDIVKDYVDFAEVCFREFGDRVKYWTTFNEPFTYSAYGYGKGVFAPGRCSSYVSKSCGAGDSSREPYLVAHHIHLSHAAAVQLYRTKYQPKQKGQIGMVVVTHWFVPYDNTDADRGAVQRSLDFIYGWFMDPIVHGDYPGTMRGWLGNRLPEFTPEQSAMVKGSYDFIGVNYYTTYYAKSIPPPNSNELSYDLDNRANTTGFRNGKPIGPQEFTPIFFNYPPGLRELLLYTKRRYNNPTIYVTENGIDEGNNSTLPEALKDGHRIEFHSKHLQFVNHAIRNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIYVDRKTLKRYRKESSYWIEDFLKRH >ORUFI09G15950.1 pep chromosome:OR_W1943:9:16526759:16527496:-1 gene:ORUFI09G15950 transcript:ORUFI09G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGGGGNGDTGGEGSTWTPWSGVDAAPPRRGGNGDRGSEGSTWTPWSGADATPPRRGGNGDTAGEGSTWTPLSGVDATPPRRGGNEDAGGEGSSWAPWTGVDATPLRHGIDATVDEIREALGLAPVSAAMARRRRAAEHLRRAAEYVELRARGGCHHDDARCRRVQSALAAQVRGACARCGSNFCVVPVVESTNVSGEQCVRCGCCGERVDLAAAAAPVMAPPAATRRQQGWQPWHQGYNM >ORUFI09G15960.1 pep chromosome:OR_W1943:9:16527989:16531314:-1 gene:ORUFI09G15960 transcript:ORUFI09G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGSGGGGGGGGGGDDVHGLKCQVEGCGVDLSGVKPYYCRHKVCYMHAKEPIVVVAGLEQRFCQQCSRFHQLPEFDQEKKSCRRRLAGHNERRRKPTPGPLSSRYGRLAASFHEEPGRSRSFVVDFSYPRVPSSVRDAWPAIQPSDRMSGSIQWQGGHELHPHRSAVAGYSDHHAFSSHGGSAAGAPMLHHPAFELTSGGCLAGVATDSSCALSLLSTQPWDTTQSTSSHNRSPPMSSTASAFGGGNNPVSPSVMASNYMAASPGWNSSSRGHDGARNVHLPPPHGVVLNEVPPGSVHHGHFSGELELALQGGAPSNRPEAEHGSGSGAFSHSTNAMNWSL >ORUFI09G15970.1 pep chromosome:OR_W1943:9:16548688:16551237:-1 gene:ORUFI09G15970 transcript:ORUFI09G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREISLAPTAAVAAAEPAAAPARVRRRRQLHLFSVATDAHEVSAGERRERGGGTSSVSPPMHTRFHDTEKDRETSQVSHDSTNVSSMKPTGTMIAVKILTVLMVLLSFTDFYCLSPRFLNRAFSTCCPPTGVKFIDASFLCQTLYYFDCKIISLQNTILRMFHQEAEEPADDEGAAGKKQGMARDSDEVSPGCRGADGRCSRRWKEARNRALSRKIRHDGEKPVDGARAGGRKRVIARGGERFRGGFAEMRGSRRLASAGRHSHFAAMHAGGG >ORUFI09G15980.1 pep chromosome:OR_W1943:9:16551877:16552419:-1 gene:ORUFI09G15980 transcript:ORUFI09G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGGKGRKSTEAAKADDGSSSGGGGEEVIPAYKRRGRPQQQKHHHLKDDHAAGDDEDEDDDSVAKTEEEEEEEEHDDIAKIDDDAAKTTAAAAAAPQASKAGSSKAAAQNPGRKRRRQLKRGSDNSNSNSASAIERRRRKDGGEPSSSRQQNGFRQHGSRRKNSTPRRAAEAGVECK >ORUFI09G15990.1 pep chromosome:OR_W1943:9:16557135:16558154:-1 gene:ORUFI09G15990 transcript:ORUFI09G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATVVASSWIPLLCLVVVVLSACTAVSSAVECNGDDRAALLRVKAQLGDPDLDITGTSISGPVPASYLAGATNLRTLVIADSRLAGPIPPSLAGDHPNLRYLDLSGNFLTGAIPPGLVHGSFRFLILSHNQLTGEIPRCYGDVDTVDLSHNRLTGDASFLFAAGRPIGKVEWNELAFDMTGVRFPHHLRYLDLSHNRITGKVAKSLMDVRLEHLNVSDNELCGEIPAGRFMAAHGADCYARNRCLCGAPLPPCCDGGL >ORUFI09G16000.1 pep chromosome:OR_W1943:9:16560789:16563445:-1 gene:ORUFI09G16000 transcript:ORUFI09G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSDGPDVSPAAAAAAGGGGGGEIWGTLEELLLACAVSRHGTGSWDSVAMEVQTRSPLAARPGLTPTSCRLRFRHLHRRFSVGGAAEEDDDDEEAEEGGPDASAADGWMDELRRLRVAELRREVERCDLSIGTLQTKVKRLREEREQSIHGGGGGEGKPETANGDERLSSEEPGRSCRESNSTDLKPAARAGDHSVKAEEEDEDAAAAKQQASGESVAASKESSDLRSSASLRRRRRHKPGADEDADGEEASALRPPSQSPSSSSSSLAALLDTFAARFGPLLERLHESQVGERRVPWRDQAPRGHRDGAAEAGRVAGGGGGGGEFYRDLLLLCANALVFFPRAGPERGAAAEARALVYSSLRLREPKQEPGTAAAAAVAAAAGSPPAEDTRRAEGVVSVGGGGAGIVGSLIEKGGKPLIVCRKRSSIAKAAAAAKKEESAEKGEAAEEGEGSDDGEKKVSVSASASKDKAWGLRTKKGRGPGKNSASVGGRKMAKLSEATEAATDGSKKPDKKIAADAATPAKKRNAVDFLKRLNQGSSPSKKKKKGSPMGTRKRAAAATSPEQPQKTRKGPGRKDAGRGGSKKGGKSATPKRSVGRPPSKRGAAAATTPPPSKRAKVNRSEKTAATATAAKRGGRR >ORUFI09G16010.1 pep chromosome:OR_W1943:9:16564960:16566063:-1 gene:ORUFI09G16010 transcript:ORUFI09G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDDDRPLVRAKVPVGVLGLPFLTGVSAGGGGDSRDLRFDLSTAFASGPALRLSYRPNDPLQPFALSVRTGLGPLGSPARAPFAISAEFNLLSSSPPAFSLLFKPRIGDFSLANSVISPPASASPAPPLPPPPPSHKLTDLANGGDDHRAFSFSGNGFAANVAAAGKSGGGVGALLSGMRLTTRSVLPLWSKASLRFQWGLRVPPELKAALADDGYGRKAGNLAINKLPLLVMNKITIEHTLRNPPHSDADKGKKKDAPEFQTEGFSLVKRQLEVLNAESIMLRRTVEDLRAEIGGNRAASMPGKGDARRMPSSLAPPPPQPFLAKPDRHGNGKEMVDSGPKPVSNEASEELKKALEARRK >ORUFI09G16020.1 pep chromosome:OR_W1943:9:16567672:16568067:-1 gene:ORUFI09G16020 transcript:ORUFI09G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIAYRRLTACESRRRGGGDGTAVAVAVAAAAAAARAWWAAVRRAAAAGLYCAAGRRRRAARRRLAAPARYEYDSDSYARNFDDGVWKAEEGVVWSAGAAAAAVASSSLAACRHAAIVPCPVNSELLIPL >ORUFI09G16030.1 pep chromosome:OR_W1943:9:16569164:16574509:-1 gene:ORUFI09G16030 transcript:ORUFI09G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTQVRASSEMAGGREPFVLPKSPPAAAPAPPPPSSGGMQSVRMAYTADGTPIFAPVNSAPAPAPAATYPPAGGNGAAALDAGEPVVKKKRGRPRKYGPDGSMSLALVPVSTAAVAASGPFSPAAAAKSPDAVSSAPPPGAKKRGRPKGSTNKKHVPSFGIGDIGSAGAGFTPHVIFVKAGEDVSAKIMSFSQHGTRGVCVLSANGAISNVTLRQAATSGGTVTYEGRFEILSLSGSFLLSENGGHRSRTGGLSVSLAGPDGRVLGGGVAGLLTAASPVQIVVGSFNTEGKKGPKLHAPSDPMSAPLKMVPMSGTGPSSPPSRGTLSESSGGPGSPLNQACGSVLLPSGMLSLCEAEKCSAHFSFFSVADANPFVTSKAYGPRRVADSAEAGLSPATKRAAHENFAAPRPHRSPSPAAGFRRQRRRGGEVRGREMSVRIKAVVDRFVKELQEALDADIQDRVMKEREMQSYIQEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >ORUFI09G16040.1 pep chromosome:OR_W1943:9:16585926:16589231:-1 gene:ORUFI09G16040 transcript:ORUFI09G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLELFITACVPVLNMLLVTGVGSFLATDFVGILNKDARKYLNNIVFYVFNPSLVATYLAQTITLEGLAKLWFMPVNILLACTFGLILGWIVVHVTRAPARLRGLILGCCSAGNWGNIFLIIIPALCKEKGSPFGAPDVCHTYGLAYSSLSMALGAVFLWTVAYNIMRATSKVADEGNARTNDTKVSNSGSSTGTASEENLSIPNDNNQCTLPLISNSSVPSSKTKVTLSERAKRFVSSMFGAIDFKKIFAPSTIAVIIGFIIGGTPLIRNAIIGENAPLRVIHESADLIGGGAIPSVTLIMGGNLLNGLRGEASVQPSVIASVIVVRYILLPSLGTLLVKSAVHLGLIHPDPLYQFILLLQYAVPPAMNIGTITQLFGVGESECSVIFVWVYALASVAVTVWSAFFMWTLS >ORUFI09G16050.1 pep chromosome:OR_W1943:9:16589244:16590842:-1 gene:ORUFI09G16050 transcript:ORUFI09G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHTLESPSWRRREEKAATESSAAATSAAGAELRRYRPGGSSPLSESKASARFNFFISLHLLHWRRRRRFCGGACRPARTKLRTGNSDCLLN >ORUFI09G16060.1 pep chromosome:OR_W1943:9:16593527:16594399:1 gene:ORUFI09G16060 transcript:ORUFI09G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPSVSPTLLLSNMYLRPDMITPGIDAQGNPIDPEKIQADFEDFYEDIFEELSKYGEIESLHVCDNFADHMIGNVYVQFREEDQAARALQALTGRYYSGRPIIVEFSPVSDFREATCRQYEENSCNRGGYCNFMHVKEIGRDLRKRLFGHLHRSRRSHSHGRSRSPSPYHYRRDYDRRSSSRSRDHDDYYRGGSHDYYRGGSRRSSERHRSSYDSDGSRRRHRSRTRSPVRDGSEERRAQIEQWNREREAAQV >ORUFI09G16070.1 pep chromosome:OR_W1943:9:16595600:16598421:-1 gene:ORUFI09G16070 transcript:ORUFI09G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSLIASRLARSTGHALASAASQVPPLSALLSFLGEVAPMARHAAASPLLSRLGSVARAFSSKPAAADVIGIDLGTTNSCVSVMEGKTPRVIENAEGARTTPSIVAKNQNGDLLVGITASRQAVTNAQNTVRGSKRLIGRTFDDPQTQKEMKMVPYKIVRGPNGDAWVEMGGQQYSPSQIGAFVLTKMKETAEAFLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLEVMRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDGALLDYLVSEFKKSDNIDLSKDKLALQRLREAAEKAKVELSSTMQTEINLPFITADATGAKHFNITLTRSKFESLVQSLIERTRIPCVNCLKDAGVSAKDIDEVLLVGGMTRVPKVQDIVSQIFNKTPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMATDNKLLGEFQLEGIPPAPRGMPQIEVTFDIDANGIVKVSAKDKSTGKEQEITIKSSGGLSESDIEKMVREAELHSQKDQERKSLIDLKNSADTTIYSIEKSVSEYKDKVPAEVTNEIQSAVSDLRAAMAEDDLEKIKQKLEAANKAVSKIGEHMQQGGGGGSGSGGSSSSGGDQTPEAEYQDAAKEAKM >ORUFI09G16080.1 pep chromosome:OR_W1943:9:16599794:16601026:-1 gene:ORUFI09G16080 transcript:ORUFI09G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEMMVPAVKGTKNVLEACSASETRHGLLHWCCVLYPSWPQDRVRDETCWSDKEFCRENKNWYSFAKTEAEEIALEYSEKNGLHVITVCPALVFGPLLHTMQLNTSSRVLLYIMKGRPDVMNNNFWPIVDVRDCIMYDKSGPCERYICAQDQMDMKDLVDLMKSMYPNCSYSFKLVDVGNKVELTSEKPTKLGWKPRKLEETLADSVESYKKAGLVDDEPCRLPHVYRMPDTQE >ORUFI09G16090.1 pep chromosome:OR_W1943:9:16601068:16641506:-1 gene:ORUFI09G16090 transcript:ORUFI09G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPPRVCVTGGGGFIASWLVKLLLSRGYAVHATLRDPCDPKNANLERLQDASQAAPANLRLFTADVLDLDALTHAVQGCDGVFHLATPVPEDKIVDPEAKVIDPAVKGTLNVLKACSVAKVQKVVVMSSNAAVDVNPDWPPNRLKYESCWSDLALCEKNEDDDEDDARALAAAEVARAAVDGAEEEVVLRVSHPTVNISSKFLIYVMKGPLLIPGGPDVMNNKLWHIVDVRDVADALLLLYEKPESSGRYICSSDHICTRDLVNLLKMYPKYNYPEADIPDVEHKASLTSQKLMSLGWAPRRLEETLSDSVDCYENAGILKILDGHPCRLPHLFSDLDFDMAGQQEQPEMAPPPPRRRVVCVTGAGGFVGSWLVELLLSRGYAVHATNAHLKQLDGASEMLSLFKADVLDAGELSAAIAGCEGVFHVASPVPGDKIVDPELEVMAPAVKGTLNVLEVCSSSKKVQKVVVVSSTAAVHYNPNWPPGKPKDESCWSDRKICMEKKEWYSASKVIAEKMALEYAEKKGLNVVTVCPCLVFGPQLQPTVNTSNELLIYITKGGPNVMRNMLLHIVDVRDVAEALILSLGWKPRKLEETLTDSIEYYEKTGILQDAGGRPCLTLHTEVQWWQPEMTPPPPPPRRLVCVTGAGGFIGSWLVKLLLSRGYAVHATVRDPHDPKNAFLKQLENAPENLRLFKADVLDGGSLTAAFAGCEGVFHPATPVPEEKTKEMLDPAVKGTRNVLEACSAAGVQKLVVVSSIGAVCFNPSLPRDRIIDETCWSDKKSCKENENWYCLAKTEAEEMALEYSEKNGLHVITVCPALVLGPTVADCATQHQQQRGPDAIGNTFFPIVDVRDVADALLLVYDKAGPSERYICSQEQMDTKDFLDLMKSMYPNYSYTFKVVDVDTRVGLTSEKLKKLGWKPRKLEETLVDSVESHEKAGLVDDEPCRLPYLYRMTTSTSPPRRRVVCVTGAGGFVGSWLCLDMDPGNLHLFKADELDGDAMTVAFAGCEGVFHIGTPVPKYERVDPQAIGVTDMWWQPEMTPPPPPPRRPVCVTGAGGFTGSWLVKLLLSRGYAVHATLRDPDDPKNAFLKQLENAPENLRLFKADVLDGGSLTAAFAGCEGVFHPATPVPEHKTVDPEKEMLAPAVKGTRNVLEACSAASVQKLVVVSSICAVCFNPSLPRDRLIDETCWSDKKSCKENENWYCLAKTEAEEMALEYSEKNGLHVITVCPGVIFGPLLQTVLLNTSSKVLLYIMKGGPDALSNKFFPIVDVRDVADALLLVYDKAGPSERYICSQEQMDMRDLLDLMKSMYPNYSYTAKVVDVDMTTSVELTSEKLKKLGWKPRKLEETLVDSVESYKKAGFVDDEPCRLPHLYRAPDAQDWTLAPGNLHLFKADELNGDALTVAFTGCEGVFHTGTSVPEDETMLDKKYPSLKKYIFVQ >ORUFI09G16090.2 pep chromosome:OR_W1943:9:16601068:16621501:-1 gene:ORUFI09G16090 transcript:ORUFI09G16090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASPPPPTRVCVTGAGGFIGSWLVKLLLSRGYAVHATLRDPCDPKNAHLKQLDGASEMLSLFKADVLDAGELSAAIAGCEGVFHVASPVPGDKIVDPELEVMAPAVKGTLNVLEVCSSSKKVQKVVVVSSTAAVHYNPNWPPGKPKDESCWSDRKICMEKKEWYSASKVIAEKMALEYAEKKGLNVVTVCPCLVFGPQLQPTVNTSNELLIYITKGGPNVMRNMLLHIVDVRDVAEALILSLGWKPRKLEETLTDSIEYYEKTGILQDAAVDLICCSLQLTLHTEVQWWQPEMTPPPPPPRRLVCVTGAGGFIGSWLVKLLLSRGYAVHATVRDPHDPKNAFLKQLENAPENLRLFKADVLDGGSLTAAFAGCEGVFHPATPVPEEKTKEMLDPAVKGTRNVLEACSAAGVQKLVVVSSIGAVCFNPSLPRDRIIDETCWSDKKSCKENENWYCLAKTEAEEMALEYSEKNGLHVITVCPALVLGPTVADCATQHQQQRGPDAIGNTFFPIVDVRDVADALLLVYDKAGPSERYICSQEQMDTKDFLDLMKSMYPNYSYTFKVVDVDTRVGLTSEKLKKLGWKPRKLEETLVDSVESHEKAGLVDDEPCRLPYLYRMTTSTSPPRRRVVCVTGAGGFVGSWLCLDMDPGNLHLFKADELDGDAMTVAFAGCEGVFHIGTPVPKYERVDPQAIGVTDMWWQPEMTPPPPPPRRPVCVTGAGGFTGSWLVKLLLSRGYAVHATLRDPDDPKNAFLKQLENAPENLRLFKADVLDGGSLTAAFAGCEGVFHPATPVPEHKTVDPEKEMLAPAVKGTRNVLEACSAASVQKLVVVSSICAVCFNPSLPRDRLIDETCWSDKKSCKENENWYCLAKTEAEEMALEYSEKNGLHVITVCPGVIFGPLLQTVLLNTSSKVLLYIMKGGPDALSNKFFPIVDVRDVADALLLVYDKAGPSERYICSQEQMDMRDLLDLMKSMYPNYSYTAKVVDVDMTTSVELTSEKLKKLGWKPRKLEETLVDSVESYKKAGFVDDEPCRLPHLYRAPDAQDWTLAPGNLHLFKADELNGDALTVAFTGCEGVFHTGTSVPEDETMLDKKYPSLKKYIFVQ >ORUFI09G16090.3 pep chromosome:OR_W1943:9:16601068:16621501:-1 gene:ORUFI09G16090 transcript:ORUFI09G16090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASPPPPTRVCVTGAGGFIGSWLVKLLLSRGYAVHATLRDPCDPKNAHLKQLDGASEMLSLFKADVLDAGELSAAIAGCEGVFHVASPVPGDKIVDPELEVMAPAVKGTLNVLEVCSSSKKVQKVVVVSSTAAVHYNPNWPPGKPKDESCWSDRKICMEKKEWYSASKVIAEKMALEYAEKKGLNVVTVCPCLVFGPQLQPTVNTSNELLIYITKGGPNVMRNMLLHIVDVRDVAEALILSLGWKPRKLEETLTDSIEYYEKTGILQDAGGRPCLTLHTEVQWWQPEMTPPPPPPRRLVCVTGAGGFIGSWLVKLLLSRGYAVHATVRDPHDPKNAFLKQLENAPENLRLFKADVLDGGSLTAAFAGCEGVFHPATPVPEEKTKEMLDPAVKGTRNVLEACSAAGVQKLVVVSSIGAVCFNPSLPRDRIIDETCWSDKKSCKENENWYCLAKTEAEEMALEYSEKNGLHVITVCPALVLGPTVADCATQHQQQRGPDAIGNTFFPIVDVRDVADALLLVYDKAGPSERYICSQEQMDTKDFLDLMKSMYPNYSYTFKVVDVDTRVGLTSEKLKKLGWKPRKLEETLVDSVESHEKAGLVDDEPCRLPYLYRMTTSTSPPRRRVVCVTGAGGFVGSWLCLDMDPGNLHLFKADELDGDAMTVAFAGCEGVFHIGTPVPKYERVDPQAIGVTDMWWQPEMTPPPPPPRRPVCVTGAGGFTGSWLVKLLLSRGYAVHATLRDPDDPKNAFLKQLENAPENLRLFKADVLDGGSLTAAFAGCEGVFHPATPVPEHKTVDPEKEMLAPAVKGTRNVLEACSAASVQKLVVVSSICAVCFNPSLPRDRLIDETCWSDKKSCKENENWYCLAKTEAEEMALEYSEKNGLHVITVCPGVIFGPLLQTVLLNTSSKVLLYIMKGGPDALSNKFFPIVDVRDVADALLLVYDKAGPSERYICSQEQMDMRDLLDLMKSMYPNYSYTAKVVDVDMTTSVELTSEKLKKLGWKPRKLEETLVDSVESYKKAGFVDDEPCRLPHLYRAPDAQDWTLAPGNLHLFKADELNGDALTVAFTGCEGVFHTGTSVPEDETMLDKKYPSLKKYIFVQ >ORUFI09G16090.4 pep chromosome:OR_W1943:9:16623718:16641506:-1 gene:ORUFI09G16090 transcript:ORUFI09G16090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPPRVCVTGGGGFIASWLVKLLLSRGYAVHATLRDPCDPKNANLERLQDASQAAPANLRLFTADVLDLDALTHAVQGCDGVFHLATPVPEDKIVDPEAKVIDPAVKGTLNVLKACSVAKVQKVVVMSSNAAVDVNPDWPPNRLKYESCWSDLALCEKNEDDDEDDARALAAAEVARAAVDGAEEEVVLRVSHPTVNISSKFLIYVMKGPLLIPGGPDVMNNKLWHIVDVRDVADALLLLYEKPESSGRYICSSDHICTRDLVNLLKMYPKYNYPEADIPDVEHKASLTSQKLMSLGWAPRRLEETLSDSVDCYENAGILKILDGHPCRLPHLFSDLDFDMAGQQEQPEMAPPPPRRRVVCVTGAGGFVGSWLVELLLSRGYAVHATVRDPDDPKNAFLKQLENAPENLQLFEADVLDCGSLTAAFAGCEGVFHLATPVPEEKIKEMMAPTVEGTRNVLEACSAASVQKLVVASSIATVCLNPSWPQDMPKDETSWSDKKLCIENEDWYSVAKIEAEEMALEYGKKNGLHTVEINTSSKVLLYMIKGGDGPHVMNNKFWPMVDVRDVADALLLAYHKAGPSERYLCTLEQMDLKHLLDLMKNMYPNYNYADKMVDVDYKVEVTSEKLKNLGWNPRKREETLADSIEFFEKAGLLDGRPCRLPYFAMPPRRVCVTGAGGFIGSWLVNLLLSCGYFFHGTKEMMAPAVKGTRNVLEACSAAGVQKLVVVSSIAAVFFNPSWPHDRPKDETSWSDKKLCMETENWYSLAKTEGEEMALEYGNRNGLHVVTTVQLNTTTKALLYIIQGGHGPDTMNNKFLSMVDVRDVADALLLHMKR >ORUFI09G16100.1 pep chromosome:OR_W1943:9:16665152:16669993:1 gene:ORUFI09G16100 transcript:ORUFI09G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFRTRRRHYKSARVRGKPNPDLISSRPVFDPQTPPPRRSPPCSDEPRRAAPMAVEGRRRVPLPLPPPTRRGKQQQQQGGERARRVQAGDALPLPIRHTNLIFSALFAASLAYLMRRWREKIRTSTPLHVVGLAEILAICGLVASLIYLLSFFGIAFVQSVVSNSDDEEEEEDFLIDSRAAGPVAAQATPPPAPAPCSLLGSACAAPKKMPEEDEEIVAEVVAGKIPSYVLETRLGDCRRAAGIRREALRRTTGREIRGLPLDGFDYASILGQCCELPVGYVQLPVGVAGPLVLDGERFYVPMATTEGCLVASTNRGCKAIAESGGATSVVLQDGMTRAPVARFPSARRAAELKGFLENPANFDTLAMVFNRSSRFARLQRVKCAVAGRNLYMRFSCSTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKSAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTNVQSLVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSQCITMLEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNARLLAAVVAGAVLAGELSLISAQAAGHLVQSHMKYNRSSKDMSKVAS >ORUFI09G16110.1 pep chromosome:OR_W1943:9:16674048:16675888:-1 gene:ORUFI09G16110 transcript:ORUFI09G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSREFSGRLPPPPSSSSDQQEQYYCSGQHQQRGEDGGGGGDGEMVHFSHPEHRLARFDFPYLFMCMGCKEYGAGKRFMCQLCGFQLHEFCALAPPSLHDHPFHPKHQHLLFFCDICGKSVKGFSFRCTSCSFAMHPCCAAMSRRMDLPVAHEHPLMLAPSPPTPPMATPTSDVVGVGDGGVGTSFVCQMCRRCRRPAGQYVYQCMPCGYYLHARCAKDVVNGLYVHGVAPPEKGSALAAVARVTINALFSVIGGLIEGIGEGIGEAFVDNIGRSRGRSSFR >ORUFI09G16120.1 pep chromosome:OR_W1943:9:16676918:16677520:1 gene:ORUFI09G16120 transcript:ORUFI09G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQSQTVNFLVSYEDTLLSLRQQPDDLKGKGPILNCNERLSRSGACYRLRIKRCPNVALPSSPARSSGVLKGPKSRSAIGAHVTKEFPARSSWKMMMTDAKGVIQWLCLVHAKIGSLVEIETMRRKSWKFEEKVWN >ORUFI09G16130.1 pep chromosome:OR_W1943:9:16679953:16680504:1 gene:ORUFI09G16130 transcript:ORUFI09G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSRSTAAAAVERRQVVAVDATAAMVMDLDGTMARLEAPVAARVALGGDAYSCFVCGADELDYGAPARAMGDDEALQPGQLYFVLPVSALRRPLSGHDMAALAVKASAALSSIGVPTSSATRRKDDRDGAAASGKRRRTSRVAPLAVVSGIDAHATPLMAKTRKCGRRRACVRRLSVASE >ORUFI09G16140.1 pep chromosome:OR_W1943:9:16684740:16685345:1 gene:ORUFI09G16140 transcript:ORUFI09G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSRSTAAAASAITTTTAAKVVFRDGSMAQFAAPGSTVRDALGGERASSSASTCFVCCSDELRFDAPPRAMAAHDALRPGQLYFVLPVSALRRPLSGQDMAALAVKAIAALGASATAAGSSSGVSSRGKNARPAGKQRPQATARVAPLVAAGADHVYGGYDSQKTVRGDRTARINGGGSIARQRTGLQRLSAISEGDE >ORUFI09G16150.1 pep chromosome:OR_W1943:9:16694990:16700372:1 gene:ORUFI09G16150 transcript:ORUFI09G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASRSGVLSETASFADTPRSIYSSCNLQHLQSQSIRKIHEGALDISPRFSYCKPNASQDKILHRRFSLNLPEHMPGHYSRTVTERNQKAASKSITDLVVEIAALEQEVVRKELHLLSLYRKAFDQYLSESGSVTSEEVSRSPSRHSSLVNFLSASISEYVPKISCKLSEDILSCIAAVYCKLGSVPLQDSEYITSPSPSVSSSSTFSPRNRNDSWSPRYNFDITPSPRQYGYEKENNEQKNIGMIIVPRIRIDADKFDYASKMLETIRSLIQRLEKIDPTKMTHEEQLCFWINIHNALVMHAFLAYGLHDKRMKSTDMILKAAYNVGGQSVNAQIIQNSILGCQSHRPSLWVRALFAPTKRSMAGTARHPYALQHPEPVAHFALSTGAFSDPPVRLYSAKKIHQQLEVARTEFIQANVVARRQALMLPKVLHYYAKDAALELRHVVELVCESISEAQQREIQLCLRRRIDKCVEWLPYKSSFRYVVHRDLAE >ORUFI09G16160.1 pep chromosome:OR_W1943:9:16706759:16709790:-1 gene:ORUFI09G16160 transcript:ORUFI09G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRILHGYGGHGGRGFEQTYRCYSAAAFNKPQLEGGDKVIMPASALHRLASLHIDYPMLFELSHHGDAAAHRVTHCGVLEFVADEGTVIMPRWMMRGMRLDDGGLVVVRSASLPKGSYAKLQPHTGDFLDTANPKAVLEKTLRSFTCLTTGDTIMVAYNNKEFLIDIVETKPASAVCIIETDCEVDFAPPLDYKEPEKVQQKPSVPSSKAASEDQDQIKDEPEFRAFTGSGNRLDGKASKPLAAGISSNPAAASSAISDSNKKVNQETAASGVSNSTRQKKGKLVFGSNKSSSSSKEPEKAPPVKVDELAKKEEPKFQAFSGTSYSVQHHHFQFEKMVISSKGKVCVTGASGFVASWLIKRLLEAGYHVIGTVRDPSNRDKVSHLWRLPSAKERLQLVRADLMEEGSFDDAVMACEGVFHTASPEEMLVPAINGTLNVLKSCKKNPFLKRVVLTSSSSTVRIRDESKHPEISLDETIWSSVALCEKLQLWYALAKISAEKAAWEFAKENNIDLVTVLPSFVIGPSLSHELSVTASDILGLLQGDTDRFISYGRMGYVHIDDVASCHILVYEAPQATGRYLCNSVVLDNNELVALLAKQFPIFPIPRSLRNPYEKQSYELNTSKIQQLGFKFKGVQEMFGDCVESLKDQGHLLECPL >ORUFI09G16160.2 pep chromosome:OR_W1943:9:16706759:16709790:-1 gene:ORUFI09G16160 transcript:ORUFI09G16160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRILHGYGGHGGRGFEQTYRCYSAAAFNKPQLEGGDKVIMPASALHRLASLHIDYPMLFELSHHGDAAAHRVTHCGVLEFVADEGTVIMPRWMMRGMRLDDGGLVVVRSASLPKGSYAKLQPHTGDFLDTANPKAVLEKTLRSFTCLTTGDTIMVAYNNKEFLIDIVETKPASAVCIIETDCEVDFAPPLDYKEPEKVQQKPSVPSSKAASEDQDQIKDEPEFRAFTGSGNRLDGKASKPLAAGISSNPAAASSAISDSNKKVNQETAASGVSNSTRQKKGKLVFGSNKSSSSSKEPEKAPPVKVDELAKKEEPKFQAFSGTSYSLKRNRDKVSHLWRLPSAKERLQLEEMLVPAINGTLNVLKSCKKNPFLKRVVLTSSSSTVRIRDESKHPEISLDETIWSSVALCEKLQLWYALAKISAEKAAWEFAKENNIDLVTVLPSFVIGPSLSHELSVTASDILGLLQGDTDRFISYGRMGYVHIDDVASCHILVYEAPQATGRYLCNSVVLDNNELVALLAKQFPIFPIPRSLRNPYEKQSYELNTSKIQQLGFKFKGVQEMFGDCVESLKDQGHLLECPL >ORUFI09G16170.1 pep chromosome:OR_W1943:9:16710010:16712900:-1 gene:ORUFI09G16170 transcript:ORUFI09G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAIQTHALVCAPPGAAAAALAPWPRRAAGGGGGGPLLHHHRLRSDLPHTRSLPCRARSPSSSSSSNVNSGRGDDADNLLEDLLSKHGEVVYNAGGAPGIDADDDAECLSFAVSLAKVASEVKAADIRVLFVKPLVYWTRFFIILTAFSNAQIDAISSKMRDIGEKQFSIVASGDTKPNSWTLLDFDSTATGGMAQPETLSLAGRRVAFTTPQTDAGGGGYGGRLHAILRQRGARPVPVPTIAIRAHDPDILRPFVAPGGLDAFAALAFTSRSGISAFSRALLPSSSSSPARRPRHPVSDAATALPFTVAALGSDADLLDAAFLSRLCGDAGGRVSVLVPDVPTPAGLVEALGSGSGRRVLCPVPDVVGLREPPVVPGFLAGLEAAGWVAVRAPAYVTCWAGPRCAEALVDAAAPDAVVFTSTAEVEGLLKGLDAAGWSWPRLRARWPRMVVAAHGPVTADGVRRLGIEVDVVGARFSSFHGVLDALAAKLESD >ORUFI09G16180.1 pep chromosome:OR_W1943:9:16714073:16716902:-1 gene:ORUFI09G16180 transcript:ORUFI09G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDPEGVELTRHFAISSRGCCASHQPIPAAAAEETEMGMENPPLRWPPGFRFSPTDEELVLYFLKRRIATGRPTPYIADVDVYKSHPSHLPERSALRTGDKQWFFFSRMDRKYPNGSRASRTTGEGYWKATGKDRSICNGGGGGTASGRAVGSKKTLVYHHGRAPRGERSDWVMHEYTLLADALPPAARDREAYALYKLFHKSGAGPKNGEQYGAPFREEDWLDDDDHHHDQLPAEAALPAPATTSGRAATTEEHADFELPGGDLDVLLAQIENDQDIIEAQLDFSTHVTSQVQIQHRVHQGWLSDDGGKSDVADATTSGSALLMAENTCAELPIDGLEQLLMQISDDQQTVEMLSGFSASVPQSQLQHDYHQGCLGVHREEVGVADSTTVSSAVVTEECTVRELQDIEGLLMQIENDQENAESLPDFSTPVHLHDCHQAAFGDFQGSQRATFNIANLSTMVQESPNFDLQTGPSNQITESILTTEPMNGETNAVEETSPLRSMSVLGSYDRQDGDDEFLEINDFFDPEDLEQILGSTRSQNLIPADDGVFDSLQYSDAPMFLPGSFDRTGVVAENHYVEFGASGIQNQGFQHTTELLAHNQVALNVRNHMKDNHVVFSHSSDATIIHTVNEQPPNRSSNASQSWFNGALSALLDSVPSSPAMAAENIGLNRTLQRISSFRSQQPAREEVSSTLINTRRRGGGLIFISLMVLLVAIMWTFSNGSAVKLSKGLWKFPST >ORUFI09G16190.1 pep chromosome:OR_W1943:9:16717546:16721421:-1 gene:ORUFI09G16190 transcript:ORUFI09G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETGYYDVLGVSPTATEVEIKKAYYMKARKVHPDKNPNDPLAAAKNWERHTKYSVTPHNVKRMTHMGNLVFQPIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDIFGDEEEIDARMLQEKMRVVQKEREEKLAETLKNKLHLYVQGNKEEFVQFAEAEVSRLSNAAYGVDMLSTIGYVYSRQAAKELGKKAIYLGVPFIAEWFRNKGHYIKSQVTAATGAIALMQLQEDLKKHLSAECHYTEEELEAYMETHKSVMVDSLWKLNVADIEGTLSHVCQMVLQDSTIRREELRARAKGLKTLGKIFQRVKLGSSEGEVTTINNTINNSDDNDGSSPDSSPMSPREHPYDPNPPYYQSPYVEAPQFVGGYPSLNFPMPTAPPGAQRDPIP >ORUFI09G16190.2 pep chromosome:OR_W1943:9:16717546:16721421:-1 gene:ORUFI09G16190 transcript:ORUFI09G16190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETGYYDVLGVSPTATEVEIKKAYYMKARKVHPDKNPNDPLAAAKNWERHTKYSVTPHNVKRMTHMGNLDLVRCRDDYLLTCLSSTFCREAIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDIFGDEEEIDARMLQEKMRVVQKEREEKLAETLKNKLHLYVQGNKEEFVQFAEAEVSRLSNAAYGVDMLSTIGYVYSRQAAKELGKKAIYLGVPFIAEWFRNKGHYIKSQVTAATGAIALMQLQEDLKKHLSAECHYTEEELEAYMETHKSVMVDSLWKLNVADIEGTLSHVCQMVLQDSTIRREELRARAKGLKTLGKIFQRVKLGSSEGEVTTINNTINNSDDNDGSSPDSSPMSPREHPYDPNPPYYQSPYVEAPQFVGGYPSLNFPMPTAPPGAQRDPIP >ORUFI09G16190.3 pep chromosome:OR_W1943:9:16717546:16721421:-1 gene:ORUFI09G16190 transcript:ORUFI09G16190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETGYYDVLGVSPTATEVEIKKAYYMKARKVHPDKNPNDPLAAAKFQASIGCIILMCFPPSMLQWIELGEAYQVLSDPTQRQAYDSYGKSGISTEAIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDIFGDEEEIDARMLQEKMRVVQKEREEKLAETLKNKLHLYVQGNKEEFVQFAEAEVSRLSNAAYGVDMLSTIGYVYSRQAAKELGKKAIYLGVPFIAEWFRNKGHYIKSQVTAATGAIALMQLQEDLKKHLSAECHYTEEELEAYMETHKSVMVDSLWKLNVADIEGTLSHVCQMVLQDSTIRREELRARAKGLKTLGKIFQRVKLGSSEGEVTTINNTINNSDDNDGSSPDSSPMSPREHPYDPNPPYYQSPYVEAPQFVGGYPSLNFPMPTAPPGAQRDPIP >ORUFI09G16200.1 pep chromosome:OR_W1943:9:16733201:16733506:1 gene:ORUFI09G16200 transcript:ORUFI09G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEKRSVTTCNLRQYATKSSADQHSQATTNPRSNKVVSCQVFNHPLDLFVKTTNNRAPCPGEKKGPGPLAYKNYGTPQSSLWQRKDARFL >ORUFI09G16210.1 pep chromosome:OR_W1943:9:16733592:16734305:1 gene:ORUFI09G16210 transcript:ORUFI09G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVNLWQSVTTEAILPPFHQLHLSRQPTMIVITLSPSWEHAQQEECTSKIHQRQSVSLIKSATWLERLCLLKLHQFLYEVVRSSPMTLERGVLPIRDSSILQHRLQSMSQALDFNVVINISHASHATPTQMSGK >ORUFI09G16220.1 pep chromosome:OR_W1943:9:16739976:16744308:1 gene:ORUFI09G16220 transcript:ORUFI09G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTRNKIILTTLLVSAAAILIGGTVALILTAGTWKVKMKESREKICDKGWECSGSKYCCNDTITDFFKVYQFENLFSKRNSPVAHAVGFWDYQSFITAAALFEPLGFCTTGGKQMQMMELCAFLGHVGSKTSCGFGVATGGPTAWGLCYNHEMSPKEDYCDKTNLQYPCVEGAEYYGRGAIPVFWNYNYGAAGDGIHEDLLHHPEYLEQNATMAFMAAMWRWMTPMKKKQPSAHDVFVGNWKPTKNDTLAKRLPGFGATMNVLYGDQICGKGYIDDMNVIISHYQYYLDLMGVGREHSGDNRDCAEQAAFNPSYKKPDDQQQQS >ORUFI09G16230.1 pep chromosome:OR_W1943:9:16742820:16746483:-1 gene:ORUFI09G16230 transcript:ORUFI09G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSKTPQPSKKSRIMLSDTDGHQLDNDEFSSESASNQMVLFNPETVAKGQDELGENHSPSLQKSANNPNRGMPSIGAFTVQCAKCFKWRLIPTKEKLWAIDKPNIALPPPGWERQIRIRGEGGTKFADVYYTSPTGRKLRSLVEIDRYLLENPDYVAQGVTLTQFSFQIPRPLRQDYVKKRPKIVNPNDEASVVTTKSVKPEEVSPIAWAAPSVHQEGEAGERASHADEPPEAEELELTRKRKAESPLFEEAHSNHVSDEPKTKLEDTQNGGPSA >ORUFI09G16230.2 pep chromosome:OR_W1943:9:16742820:16746483:-1 gene:ORUFI09G16230 transcript:ORUFI09G16230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSKTPQPSKKSRIMLSDTDGHQLDNDEFSSESASNQMVLFNPETVAKGQDELGENHSPSLQKSANNPNRGMPSIGAFTVQCAKCFKWRLIPTKEKYEEIRECIIQEPFECERAREWRPDVTCNDPEDISQDGSRLWAIDKPNIALPPPGWERQIRIRGEGGTKFADVYYTSPTGRKLRSLVEIDRYLLENPDYVAQGVTLTQFSFQIPRPLRQDYVKKRPKIVNPNDEASVVTTKSVKPEEVSPIAWAAPSVHQEGEAGERASHADEPPEAEELELTRKRKAESPLFEEAHSNHVSDEPKTKLEDTQNGGPSA >ORUFI09G16230.3 pep chromosome:OR_W1943:9:16746491:16747867:-1 gene:ORUFI09G16230 transcript:ORUFI09G16230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERSRPYEPTAKDRIDETTPVCATHLSRLGPVNYIFARSRRELRPPFAPLLYRCCQAHHRKSRPPCSSSSSSSSSWCDPAPSNLRRAGVAGGGGPRLAARTPPPTAANLVRTRGASPSLRSSSVPRGFPRRRLLASEFARPVACADLHPRELSRGRAPPPSSSSVLLLPPHPRQIL >ORUFI09G16240.1 pep chromosome:OR_W1943:9:16750179:16751426:-1 gene:ORUFI09G16240 transcript:ORUFI09G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAAPALKRKDADPPELWMGGGAAAAASGFPVSSRATKIRRLDAEVPPVVPGVCVPPAPPTQQQPVAGLGAGDVRVFGDQVPVGMAPAAAAAAAAKRKGEDAPELWLDDGGAASGFPVSSRATKIRRLDADVPPPVVPELCAPPPPPQPVAEVQMRGEEVPVIAVPAPNEERAIVLYKPDDAARNLLLGPLRPEFPLRVSPDWIHGLKSTALREASEHRALFEELAMDETSNLAMVPWVPVPSNSQEASTSAAATATTTTEMMDAEDTSMEVEQDGGSGGSHLAAAGEAPYYQWPQHCMAPPPQPPLPAVSYQPSPVTWSW >ORUFI09G16250.1 pep chromosome:OR_W1943:9:16757872:16759902:1 gene:ORUFI09G16250 transcript:ORUFI09G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRDSFLDLILIPLSLLVPMAYHVWLWRAVRLTPLRTAAGINSATRRLWAISMTKDNEKKAVLVVQSLRNVIMGSTLVATTAILFCTGIAAVLSSTYTIKKPLSDAVFGAHGEYMMALKYVALLLLFLVAFLSHSLAICFLNEASFLINTSPTLLAGGDGAGDDGGRRLLGLPSTRDYMEEALEKGFTLNFVGNRIFFAGVPLLLWIFGPLLAFLSSLVMIPILYNLDVVNVKSHRGGDCGCGCGNGKSSVDKNGAAAAMDCTLV >ORUFI09G16260.1 pep chromosome:OR_W1943:9:16765424:16766404:-1 gene:ORUFI09G16260 transcript:ORUFI09G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAPPMLLGPHLALRVGVGVGVTPRRAPAAAAAATSSSTRGHRYPTRVRLAAAAAARTAPSVVVPLPAAAAAAARARRRARAAGASLCTCGPIVDLDRPAREAVRDAAAYVLRPLVDNFNRLFSLKTAFDIEDYNIGMPTGALIACVGCYQLLKMNPSLFVDAVLGYAFYKLSVLSSQVRKQGFPNEYITRIKTIIALIFIAKDFHKNFVPLDYIK >ORUFI09G16270.1 pep chromosome:OR_W1943:9:16766616:16768310:1 gene:ORUFI09G16270 transcript:ORUFI09G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVLSSLPYSLAVTRFGTAPPPRRAAARVSLRRQQTSRDGALLLRSLTTTRSRCAPAAEGGGGAKEAAEAAGDPDDELWPWDEFPEDAVFVKDDFATVQAKFSCESGEAAAALKDAAADVLRPLLDNFNHLRSLNTVFDTEDYHVGMPFGMLIACIGCYNLFKMNPTTFIDAALGYTFYRLCIVSSQLRRRGFSNDLIIRVKFVVMLVMAINDINNCIYWLDAIRSWTSL >ORUFI09G16280.1 pep chromosome:OR_W1943:9:16770616:16770999:1 gene:ORUFI09G16280 transcript:ORUFI09G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERARRPAQRGEMQXSRSSAPPKKYNATRCSVLFDAVAHADPAARPWSIRMPTEHSAYCIADRLMARIALLAVLRAPSNDLWKGSRMRLALQLCVRRHTSWCMLLYSDTTTYGLNVTAPSGACCSK >ORUFI09G16290.1 pep chromosome:OR_W1943:9:16771626:16776151:1 gene:ORUFI09G16290 transcript:ORUFI09G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARRAGAGGAAGKTSPARPCNPGYPRRSSVPMTGGDATRPAVARALRLPGSGGGAGFQRREPHRWLRPCSSSRSGDGAAAVLASRSGSSDTGLGVSSFSPCSLPRRVDAPRRRPVWCSPAAPPPPPPPPRVEVQSVRKRRMSEEWEALKAAIADMFRPLLRNLADICSLRSAYDFEDYQIGMLFGAFLGYVGCYQLWKAAPSVFVDAALAFVFYKLSVVSSELHRQRKTNSLITRLKFGTILIMVMKDIKKNYVLLDIIRMPVFFLYICAFVFDVAGMKKYARRSLISLFNLLKSRGGIQEIYRIMWYPGYISPYDDSADW >ORUFI09G16300.1 pep chromosome:OR_W1943:9:16774649:16785056:-1 gene:ORUFI09G16300 transcript:ORUFI09G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWGPSQEVVAEFYATDFSKAAVRERFARESREAAAAAGVFRPLADNFGDMRGFEYAHGTEEYHLGMPFGALMACIAVYQLWKAAPWLCLDAALAFAFYXLILFFITFRDFRNMISPLDFIRLPMFYIYYLSFMWDLSGMKKYAKYALPALMEDLPASAAAVDGAAALLAAARPTPPLRPHLAAAACAGRTVTTGFQRRIRAVPSPRRLHLAAAAVASAAGAGRTVTTGFQRRIRAAPPPLLLRLAAAGTGKGRTATMPLYCKKEGVAFDSPASSPQNTVEPVEAVSDPSAPPLGFGGWLRLFLKKYLNSGVLSICIFVGFLAFKEDKKATVVVTVCDKAHKVYNILSKIAPIALDLIGKFCISSPAAAAALACTAPRPRVSTPPAHARLIHPPRSARTHREGILPRTSSILPRTSS >ORUFI09G16300.2 pep chromosome:OR_W1943:9:16774649:16785056:-1 gene:ORUFI09G16300 transcript:ORUFI09G16300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWGPSQEVVAEFYATDFSKAAVRERFARESREAAAAAGVFRPLADNFGDMRGFEYAHGTEEYHLGMPFGALMACIAVYQLWKAAPWLCLDAALAFAFYXLILFFITFRDFRNMISPLDFIRLPMFYIYYLSFMWDLSGMKKYAKYALPALMEDLPAWRTVTTGFQRRIRAVPSPRRLHLAAAAVASAAGAGRTVTTGFQRRIRAAPPPLLLRLAAAGTGKGRTATMPLYCKKEGVAFDSPASSPQNTVEPVEAVSDPSAPPLGFGGWLRLFLKKYLNSGVLSICIFVGFLAFKEDKKATVVVTVCDKAHKVYNILSKIAPIALDLIGKFCISSPAAAAALACTAPRPRVSTPPAHARLIHPPRSARTHREGILPRTSSILPRTSS >ORUFI09G16300.3 pep chromosome:OR_W1943:9:16774649:16785056:-1 gene:ORUFI09G16300 transcript:ORUFI09G16300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWGPSQEVVAEFYATDFSKAAVRERFARESREAAAAAGVFRPLADNFGDMRGFEYAHGTEEYHLGMPFGALMACIAVYQLWKAAPWLCLDAALAFAFYXLILFFITFRDFRNMISPLDFIRLPMFYIYYLSFMWDLSGMKKYAKYALPALMEDLPASAAAVDGAAALLAAARPTPPLRPHLAAAACAGRTVTTGFQRRIRAAPPPLLLRLAAAGTGKGRTATMPLYCKKEGVAFDSPASSPQNTVEPVEAVSDPSAPPLGFGGWLRLFLKKYLNSGVLSICIFVGFLAFKEDKKATVVVTVCDKAHKVYNILSKIAPIALDLIGKFCISSPAAAAALACTAPRPRVSTPPAHARLIHPPRSARTHREGILPRTSSILPRTSS >ORUFI09G16310.1 pep chromosome:OR_W1943:9:16785865:16787760:-1 gene:ORUFI09G16310 transcript:ORUFI09G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGIRSGVLRSVAGVDGLAAAAAARPTPLLPRVHLAGDGTGRMATTIGFCPRLRAAPPSCKKAEADPAPSLQDAVVAVDAVLRAPPPPQEHGGKMAAILEFLKKHSLNLLILALCLFVGALAFKGEEKVIVAVTDEEKAIIAVKVCDKANKIYNILSKIAPIVVDFIRIFGI >ORUFI09G16310.2 pep chromosome:OR_W1943:9:16786085:16787760:-1 gene:ORUFI09G16310 transcript:ORUFI09G16310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGIRSGVLRSVAGVDGLAAAAAARPTPLLPRVHLAGDGTGRMATTIGFCPRLRAAPPSCKKAEADPAPSLQDAVVAVDAVLRAPPPPQEHGGKMAAILEFLKKHSLNLLILALCLFVGALAFKGEEKVIVAVTDEEKAIIAVKVCDKANKIYNILSKIAPIVVDFIRIFGR >ORUFI09G16320.1 pep chromosome:OR_W1943:9:16789599:16791166:1 gene:ORUFI09G16320 transcript:ORUFI09G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAAAAAGLSLAPAARVWSSSRATPSGRPPCGAGRVAVLATGRTAAPRRRLLLPRSSSSAMPTRAHARAPSCVASSNDHNQHSSSSSVEADERLVSWAGMSVDELAEVRKLMPPWLPSIEEVMEFDTTDFSPAAMRARFRRESAEAAAALRAAAAAAVRPLLELARDVRGLASVFHVEEFHVGMPFGAAMTCLALWQLRRAAPSVCLDAALAYAFYKLSVMAADLRRQGFCPDLLIRLKLVIMVVMYFKDINKNIIPLDYIRSV >ORUFI09G16330.1 pep chromosome:OR_W1943:9:16794189:16794470:1 gene:ORUFI09G16330 transcript:ORUFI09G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEETAELRGEMPTWFPSLEEFLEYEATDFSPAAQRERFRRESGDAAAALRGAGAGAVRPLRELARDVRGLESALHVEEFHVGMPFHYAGPS >ORUFI09G16340.1 pep chromosome:OR_W1943:9:16794909:16795298:-1 gene:ORUFI09G16340 transcript:ORUFI09G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPPPTALAAGSDLHEAGDAQILPPPSPPTGHLAAVECLFETLRCLPGGCERGASTCISCSWFIMEDDELSASVPLL >ORUFI09G16350.1 pep chromosome:OR_W1943:9:16796363:16798325:1 gene:ORUFI09G16350 transcript:ORUFI09G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLALWQLWRAAPAVCLDAAMAYEFYKLSVMASDLRRRGFSPDLLIRLKFVWWEMKGLKKYAKYCIPLLFKGFDFETSEEYTA >ORUFI09G16360.1 pep chromosome:OR_W1943:9:16798727:16816382:-1 gene:ORUFI09G16360 transcript:ORUFI09G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARRVQLADRWRGIQEAEEADDDGGGGEPSAARQRRLNQAKEEWFSHCFNFLGSLPKEEHIWCGYADIMGPFLETFLGYFDDQEENSPPRTIWKRISEELNVCAQCVCEHHQAQKDFDSEYRSGVDALLKVLRLLDEERVTEHLRQMNAKAQLKEDKPSCHDAEVSIMFEVLMYPILLDDLSLANQFQTFIERIDEIFEVSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRKAVDLDPLQPLLQKYINFLEAEVLPSTSESPRPRVQLKRADIWLGFKSLLGFLDAPAFEDGILERYPIFLNIVLNHVSDDRSDLSCAVSCLKASFEMLGCKLWLRTTLSPNSLEALQDGDHEKQRRNILYFLLYQVTRSSNFSSLMRKTATKIALLIVQRGYTMNPPCPASECLEPSELPMAFSKAVFWALSHISVLEPGVSTESSVPVNDWLSSHAGEVLPTFSWQVPNGADDGGVGKECINTLKVSQSCTLLLKIFKRFAIHVIMQIEQRGLLKQWAWESMMAESLILTLVDHNDNLRQVGRAVLELASQGRGLTSGLQFLCSSASSLTATFLGLRYAVQSVETKSVLADFPSLHHLFFVICKLLKDVVVQQPSVALQAKPFEGGFLRQSFSNVSVNLPQHSVDIISWEKFSTLLSGALWPFIFTCLRKGDDLINTKQCQISCVRLLELVPLVYERVSSYSSAKSCGVPTMVLDPTDITWLFHLINWGKSSLLVIIRHWKQCMLSLIKILKGSLGGTVQHYIEDLGSIISHDAVNIDELSEKISDLKLALSKEASAKSERRVVAGVSMFTEPIAGIPSPATQTAQERNTGRDNVETMKSSRSTCTEHIILLSDSEENSLTADVSGEDVLSSVKDSDGSGTSDMQKEVEHSEPRMPTEDRHVSLKQQICSPASDIVASSKPVSKDRSIIAAKEGLGRAKIPTVPVNTNDTSLLPKKIKPPASTISQPSRSNLSSGAEKFKSIFRDLSDDEDDPLEHALDSCRKPQIRLTKSCLLVPKRQVVQLPLSAEKRHTSGRPDASSRRLKPPKLDSWFKNILEMDYFAVVGLPSSEIIKKLALKEIPVCFDSQAQYVEIFQPLVLEEFKAQLQNAYVETPAEDMNCGSISILSVERVDEFLVVRGRPDKNDCLKSKNCMENDLILLSKDPLNSSGQQVHVLGKVDRRESDKTKALILVIKFFLSNENARLNKVKRLLVERSKWFLNRIMSMTPQVREFSALSSLNDIPVLPVILNPVSCKSIHHGSGKVHLDKLSHPMRKVLKSSYNDSQLEAVSIAIRSTSLKAKFDLSLIQGPPGTGKTRTIVAIVSALLSLHAANSSQRNESFASAEFNKPRPRLSQSVAVTRAWQDAALAKQLINDSQREVPTDRLSKGRVLVCAQSNAAVDELVSRLSEGLYDTDGKLYKPYIVRVGNAKTVHSNSVPFFIDTLVEQRLADELKKNNDSKSLSDTESSSSLRANLEKIVDRIRYYELRRKLLEADKTENDSLVPSEYETDEVSDDAIGAKLNFLYAQKRKVSAELATAHAREKKIADENRFLKHKVRKSILGEAEIVVTTLSGCGGDIYSVCSETASANKFVNFSEHALFDVVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPEISRFPSLHFYENKLLDGAQAADKSAPFHGHDCLGPYMFFDVADGREQCGKNAATQSLCNQFEAEAALEILGFLKNRYPSEFSCRKIGIITPYRSQLSLLRSRFNSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSSDSRHHTGEARSIGFVADVRRMNVALTRARFSLWIVGNAKTLQTNSHWASLLQNAKERNLFISVNRPYRSLFEKVRSHSEDIHGSRHTYYTSHCKKKESGKNSMTNSQKIDARPHKEHARHTVRTVETANERLPNDQAKRASRWDRKSPKAHEPFHRKSSKEKEPVIQDTDQDNAVRKEKEGEGLTIHNDNSLELANVIRQRELNKPVKPNIHTDAGKTLCNQDSLQNSEVRKDVNKKYDNGNVKGSQNHDTKATVMKIDASPPAQDMQKLIQKAKGARKFSEKPRFGNSSQVDSSVKHEATLESANKNGGACTPTNSEMKKMAGKAKKARRFSEHPRSGNSNKVDHSLPSFDEESSQMPELKENNLTATRQNHLTASRKRQREDIESLLSSALISSKKPSSKQGTAFSSVPKRPMGHQTVSSVPKRPMGHQVNCVGHDQACSQHMRQVHYPISGKKLGA >ORUFI09G16360.2 pep chromosome:OR_W1943:9:16798727:16816382:-1 gene:ORUFI09G16360 transcript:ORUFI09G16360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARRVQLADRWRGIQEAEEADDDGGGGEPSAARQRRLNQAKEEWFSHCFNFLGSLPKEEHIWCGYADIMGPFLETFLGYFDDQEENSPPRTIWKRISEELNVCAQCVCEHHQAQKDFDSEYRSGVDALLKVLRLLDEERVTEHLRQMNAKAQLKEDKPSCHDAEVSIMFEVLMYPILLDDLSLANQFQTFIERIDEIFEVSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRKAVDLDPLQPLLQKYINFLEAEVLPSTSESPRPRVQLKRADIWLGFKSLLGFLDAPAFEDGILERYPIFLNIVLNHVSDDRSDLSCAVSCLKASFEMLGCKLWLRTTLSPNSLEALQDGDHEKQRRNILYFLLYQVTRSSNFSSLMRKTATKIALLIVQRGYTMNPPCPASECLEPSELPMAFSKAVFWALSHISVLEPGVSTESSVPVNDWLSSHAGEVLPTFSWQVPNGADDGGVGKECINTLKNLRQVGRAVLELASQGRGLTSGLQFLCSSASSLTATFLGLRYAVQSVETKSVLADFPSLHHLFFVICKLLKDVVVQQPSVALQAKPFEGGFLRQSFSNVSVNLPQHSVDIISWEKFSTLLSGALWPFIFTCLRKGDDLINTKQCQISCVRLLELVPLVYERVSSYSSAKSCGVPTMVLDPTDITWLFHLINWGKSSLLVIIRHWKQCMLSLIKILKGSLGGTVQHYIEDLGSIISHDAVNIDELSEKISDLKLALSKEASAKSERRVVAGVSMFTEPIAGIPSPATQTAQERNTGRDNVETMKSSRSTCTEHIILLSDSEENSLTADVSGEDVLSSVKDSDGSGTSDMQKEVEHSEPRMPTEDRHVSLKQQICSPASDIVASSKPVSKDRSIIAAKEGLGRAKIPTVPVNTNDTSLLPKKIKPPASTISQPSRSNLSSGAEKFKSIFRDLSDDEDDPLEHALDSCRKPQIRLTKSCLLVPKRQVVQLPLSAEKRHTSGRPDASSRRLKPPKLDSWFKNILEMDYFAVVGLPSSEIIKKLALKEIPVCFDSQAQYVEIFQPLVLEEFKAQLQNAYVETPAEDMNCGSISILSVERVDEFLVVRGRPDKNDCLKSKNCMENDLILLSKDPLNSSGQQVHVLGKVDRRESDKTKALILVIKFFLSNENARLNKVKRLLVERSKWFLNRIMSMTPQVREFSALSSLNDIPVLPVILNPVSCKSIHHGSGKVHLDKLSHPMRKVLKSSYNDSQLEAVSIAIRSTSLKAKFDLSLIQGPPGTGKTRTIVAIVSALLSLHAANSSQRNESFASAEFNKPRPRLSQSVAVTRAWQDAALAKQLINDSQREVPTDRLSKGRVLVCAQSNAAVDELVSRLSEGLYDTDGKLYKPYIVRVGNAKTVHSNSVPFFIDTLVEQRLADELKKNNDSKSLSDTESSSSLRANLEKIVDRIRYYELRRKLLEADKTENDSLVPSEYETDEVSDDAIGAKLNFLYAQKRKVSAELATAHAREKKIADENRFLKHKVRKSILGEAEIVVTTLSGCGGDIYSVCSETASANKFVNFSEHALFDVVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPEISRFPSLHFYENKLLDGAQAADKSAPFHGHDCLGPYMFFDVADGREQCGKNAATQSLCNQFEAEAALEILGFLKNRYPSEFSCRKIGIITPYRSQLSLLRSRFNSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSSDSRHHTGEARSIGFVADVRRMNVALTRARFSLWIVGNAKTLQTNSHWASLLQNAKERNLFISVNRPYRSLFEKVRSHSEDIHGSRHTYYTSHCKKKESGKNSMTNSQKIDARPHKEHARHTVRTVETANERLPNDQAKRASRWDRKSPKAHEPFHRKSSKEKEPVIQDTDQDNAVRKEKEGEGLTIHNDNSLELANVIRQRELNKPVKPNIHTDAGKTLCNQDSLQNSEVRKDVNKKYDNGNVKGSQNHDTKATVMKIDASPPAQDMQKLIQKAKGARKFSEKPRFGNSSQVDSSVKHEATLESANKNGGACTPTNSEMKKMAGKAKKARRFSEHPRSGNSNKVDHSLPSFDEESSQMPELKENNLTATRQNHLTASRKRQREDIESLLSSALISSKKPSSKQGTAFSSVPKRPMGHQTVSSVPKRPMGHQVNCVGHDQACSQHMRQVHYPISGKKLGA >ORUFI09G16360.3 pep chromosome:OR_W1943:9:16798727:16816382:-1 gene:ORUFI09G16360 transcript:ORUFI09G16360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARRVQLADRWRGIQEAEEADDDGGGGEPSAARQRRLNQAKEEWFSHCFNFLGSLPKEEHIWCGYADIMGPFLETFLGYFDDQEENSPPRTIWKRISEELNVCAQCVCEHHQAQKDFDSEYRSGVDALLKVLRLLDEERVTEHLRQMNAKAQLKEDKPSCHDAEVSIMFEVLMYPILLDDLSLANQFQTFIERIDEIFEVSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRKAVDLDPLQPLLQKYINFLEAEVLPSTSESPRPRVQLKRADIWLGFKSLLGFLDAPAFEDGILERYPIFLNIVLNHSLEALQDGDHEKQRRNILYFLLYQVTRSSNFSSLMRKTATKIALLIVQRGYTMNPPCPASECLEPSELPMAFSKAVFWALSHISVLEPGVSTESSVPVNDWLSSHAGEVLPTFSWQVPNGADDGGVGKECINTLKNLRQVGRAVLELASQGRGLTSGLQFLCSSASSLTATFLGLRYAVQSVETKSVLADFPSLHHLFFVICKLLKDVVVQQPSVALQAKPFEGGFLRQSFSNVSVNLPQHSVDIISWEKFSTLLSGALWPFIFTCLRKGDDLINTKQCQISCVRLLELVPLVYERVSSYSSAKSCGVPTMVLDPTDITWLFHLINWGKSSLLVIIRHWKQCMLSLIKILKGSLGGTVQHYIEDLGSIISHDAVNIDELSEKISDLKLALSKEASAKSERRVVAGVSMFTEPIAGIPSPATQTAQERNTGRDNVETMKSSRSTCTEHIILLSDSEENSLTADVSGEDVLSSVKDSDGSGTSDMQKEVEHSEPRMPTEDRHVSLKQQICSPASDIVASSKPVSKDRSIIAAKEGLGRAKIPTVPVNTNDTSLLPKKIKPPASTISQPSRSNLSSGAEKFKSIFRDLSDDEDDPLEHALDSCRKPQIRLTKSCLLVPKRQVVQLPLSAEKRHTSGRPDASSRRLKPPKLDSWFKNILEMDYFAVVGLPSSEIIKKLALKEIPVCFDSQAQYVEIFQPLVLEEFKAQLQNAYVETPAEDMNCGSISILSVERVDEFLVVRGRPDKNDCLKSKNCMENDLILLSKDPLNSSGQQVHVLGKVDRRESDKTKALILVIKFFLSNENARLNKVKRLLVERSKWFLNRIMSMTPQVREFSALSSLNDIPVLPVILNPVSCKSIHHGSGKVHLDKLSHPMRKVLKSSYNDSQLEAVSIAIRSTSLKAKFDLSLIQGPPGTGKTRTIVAIVSALLSLHAANSSQRNESFASAEFNKPRPRLSQSVAVTRAWQDAALAKQLINDSQREVPTDRLSKGRVLVCAQSNAAVDELVSRLSEGLYDTDGKLYKPYIVRVGNAKTVHSNSVPFFIDTLVEQRLADELKKNNDSKSLSDTESSSSLRANLEKIVDRIRYYELRRKLLEADKTENDSLVPSEYETDEVSDDAIGAKLNFLYAQKRKVSAELATAHAREKKIADENRFLKHKVRKSILGEAEIVVTTLSGCGGDIYSVCSETASANKFVNFSEHALFDVVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPEISRFPSLHFYENKLLDGAQAADKSAPFHGHDCLGPYMFFDVADGREQCGKNAATQSLCNQFEAEAALEILGFLKNRYPSEFSCRKIGIITPYRSQLSLLRSRFNSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSSDSRHHTGEARSIGFVADVRRMNVALTRARFSLWIVGNAKTLQTNSHWASLLQNAKERNLFISVNRPYRSLFEKVRSHSEDIHGSRHTYYTSHCKKKESGKNSMTNSQKIDARPHKEHARHTVRTVETANERLPNDQAKRASRWDRKSPKAHEPFHRKSSKEKEPVIQDTDQDNAVRKEKEGEGLTIHNDNSLELANVIRQRELNKPVKPNIHTDAGKTLCNQDSLQNSEVRKDVNKKYDNGNVKGSQNHDTKATVMKIDASPPAQDMQKLIQKAKGARKFSEKPRFGNSSQVDSSVKHEATLESANKNGGACTPTNSEMKKMAGKAKKARRFSEHPRSGNSNKVDHSLPSFDEESSQMPELKENNLTATRQNHLTASRKRQREDIESLLSSALISSKKPSSKQGTAFSSVPKRPMGHQTVSSVPKRPMGHQVNCVGHDQACSQHMRQVHYPISGKKLGA >ORUFI09G16370.1 pep chromosome:OR_W1943:9:16819680:16823545:1 gene:ORUFI09G16370 transcript:ORUFI09G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHDAPSRGGAGGGKLSLLASVGLAGAGGGGSGGVGGGGGGGGGGGGYKELLVMALPKDDDLDAGKVADVLGFRLPDVEGAVRAFFRSREVREFASGALAGAMSKAVLAPLETIRTRMVVGVGSRHIGGSFVEIIEQNGWQGLWAGNTINMIRIIPTQAIELGTFECVKRTMAEAQEKWKEDGCPKIQIGKVKIEFPLQFLSPVAVAGAAAGIAGTLVCHPLEVIKDRLTINREVYPSISVAFSKIYRTDGIRGLYAGLCPTLIGMLPYSTCYYFMYDTIKTSYCRLHKKTSLTRPELLVIGALSGLTASTISFPLEVARKRLMVGALQGKCPPHMIAALAEVIQEEGLPGLYRGWGASCLKVMPNSGITWMFYEACKDILLADKDKRKA >ORUFI09G16380.1 pep chromosome:OR_W1943:9:16824629:16830150:-1 gene:ORUFI09G16380 transcript:ORUFI09G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESARPLSGGTGAGKWPPSKDTGSYDSIPASLSEDELAELAFMPNSGGIFGKWRGSVLERSGSAPPTMEGSLVALGHLTGQPSGNLGAILPNLGTEANNSESKENIYYDSACVKYYMSKVNLNPRFPPPLVSRNQFGKSEERKPFSLDDSSSRSLLLGHPTLPTHKEEPEDEKSPSLDSSSADDAQCDSAQSTSNLGGHSPNLVDSIKENFHRSNGLYDNSSDLLNANSGDGGSIYSGISSSKNSSLYVVQSSDLNGFPPDAHQRSPRPIRTPVSTKLTSDSLPASSPPTSSCSDYSTITEACQQRNPSMAVKPGEPVGTMLDSSDFSLKNLNISPDILSSSYVMQQWQKNAPVWNGLSNVVHGDHVPMIPPGINLPQVPFVDNSGFGHMKFPGDVQLMSQIGMATPFCTPNSFGIPCYPNLQSPSVWVPPFGIGGYGLPGPFVPPVITNFTPQLPGFPSAVNLAAATDLFHPYKMYEHLGVPMPSPVPDQSLTHYFQHPPIHPYGVGNPYDTMVSSNNFVGNPAGVFGSPIIDPSEQKFQIPVTTVAANASTPIKGGKAIGNYETASPYFGVPMPYPAGPTLHGQPASGTSPRDKRNDVKGFQPPRKNMPVSSEIQGQKGREKFDDPKAHFSVEELISSRTHRVELADIKGQIVKYSSDQNGSRFIQQKLENCTIEEKDLLFAEVLPHALELMTDVFGNYVIQKALEVIDLEQKIVLVGELDGHVLRCVHDQNGNHVIQKCIECIPLEHIGFLVSSFQCQVAKLSMHTYGCRVIQRILERCSNNSECLCIIDEILQSACILAQDQYGNYVVQHVLEKGNEHERGQIITKLAGQVVPMSQNKFASNVIERCFEHGGSAERELLVKEILKQTEGNNYLLVIMKDQYANYVVQKMLTTCNEQHKEILLSRVKIHLPLLKKYTYAKHIVSLVERLCGDGAVQSESKKTVTKGC >ORUFI09G16390.1 pep chromosome:OR_W1943:9:16838108:16841724:1 gene:ORUFI09G16390 transcript:ORUFI09G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELVLDTAIRDWVLVPLSVVMVLIGVLRYFVAKLMRSPSASPSPDPKLVKEGQVVIRARNLRINSQYIPAKAFKSRKVYYTNEENGLLHVPKEEAQKAQAAMFSDPNMAMDMMKKNLSMIVPQTLTFAWVNFFFSGFVAAKIPFPLTPRFRGMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENANDDAQKMMQMGGGFGGNPTMGLAAEKDNLDIIQHDWALPKMEHHAEEVLRKLLKK >ORUFI09G16400.1 pep chromosome:OR_W1943:9:16841901:16853288:1 gene:ORUFI09G16400 transcript:ORUFI09G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIARLLLLLALVAGAAVAQDAGLGDVAAEETTAARAKEEAALAAELGQLRAKISALESSITKQTQELKSKDDGIQKLEKLIEEKSKKIATLQSEITSLETKRSLAAEEQAGKANARAIELEKQIEKLKKDIEAQNSKKSTVEARAGDADKKVQELNAKLEKLQKTSDEQKRRIQKTEHALKVAEEELMRVQLETTTQLNQLKEVHGAWLPPWLVTHTARAKEMMLSHWNEHGKPAVNSLLQKASEKSVQAKKWAEPHVEAAKTKWIPVIKEKWVTMKTNAEPYVQKVSAKSIELYQASKDVVLPHVVKAHKIADPYFQEAKKVSKPYIDQVAKATKPHVEKIRIYLKPYTKRAVHIYGNFLEKATAYHQQAQATVLDYLHQHELTKEFATEELACSKKKKKATRNVNANHGHRKHKRRHADK >ORUFI09G16400.2 pep chromosome:OR_W1943:9:16841901:16852810:1 gene:ORUFI09G16400 transcript:ORUFI09G16400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIARLLLLLALVAGAAVAQDAGLGDVAAEETTAARAKEEAALAAELGQLRAKISALESSITKQTQELKSKDDGIQKLEKLIEEKSKKIATLQSEITSLETKRSLAAEEQAGKANARAIELEKQIEKLKKDIEAQNSKKSTVEARAGDADKKVQELNAKLEKLQKTSDEQKRRIQKTEHALKVAEEELMRVQLETTTQLNQLKEVHGAWLPPWLVTHTARAKEMMLSHWNEHGKPAVNSLLQKASEKSVQAKKWAEPHVEAAKTKWIPVIKEKWVTMKTNAEPYVQKVSAKSIELYQASKDVVLPHVVKAHKIADPYFQEAKKVSKPYIDQVAKATKPHVEKIRIYLKPYTKRAVHIYGNFLEKATAYHQQAQATVLDYLHQHELTKEFATEELACSKKKKKATRNVNANHGHRKHKRRHADK >ORUFI09G16410.1 pep chromosome:OR_W1943:9:16842409:16843629:-1 gene:ORUFI09G16410 transcript:ORUFI09G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSLLLLLLPLLIFSIGAALARADLMLDRFEQWMIRHGRAYTDAGEKQRRFEVYRRNVELVETFNSMSNGYKLADNKFADLTNEEFRAKMLGFRPHVTIPQISNTCSADIAMPGESSDDILPKSVDWRKKGAVVEVKNQGDCGSCWAFSAVAAIEGINQIKNGELVSLSEQELVDCDDEAVGCGGGYMSWAFEFVVGNHGLTTEASYPYHAANGACQAAKLNQSAVAIAGYRNVTPSSEPDLARAAAAQPVSVAVDGGSFMFQLYGSGVYTGPCTADVNHGVTVVGYGESEPKTDGGGAAKGGEKYWIVKNSWGAEWGDAGYILMQRDVAGLASGLCGIALLPSYPVM >ORUFI09G16420.1 pep chromosome:OR_W1943:9:16844268:16846207:-1 gene:ORUFI09G16420 transcript:ORUFI09G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRNGPFGRLPEHLLVEIFIRLPTCEWVQISCVSKHWASIFQGECMWQTAIARNWPSAGLRKRWPGPIPRGSARRRFQALYVSQNLVSSGGDIDELVGHTYLYLKEQLERPVVAPSSILHGTIIDQFIACGRTGEKAHELASKIWLAVIDNLEENQQTFLLLKHLSQEGEFFLPFPYSRSYKVLWRVFDKLFTDFRDCFSRVDYHDALAGAKSRFQPVPSAWLGH >ORUFI09G16430.1 pep chromosome:OR_W1943:9:16859699:16861849:-1 gene:ORUFI09G16430 transcript:ORUFI09G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMEGSGGGGSAKKKEESLPPGFRFHPTDEELITYYLRQKIADGGFTARAIAEVDLNKCEPWDLPEKAKMGEKEWYFFSLRDRKYPTGVRTNRATNAGYWKTTGKDKEIFTGQPPATPELVGMKKTLVFYKGRAPRGEKTNWVMHEYRLHSKSIPKSNKDEWVVCRIFAKTAGVKKYPSNNAHSRSHHPYTLDMVPPLLPALLQQDPFGRGHHPYMNPVDMAELSRFARGTPGLHPHIQPHPSYINPAAPFTLSGLNLNLGSSPAMPPPPPPPPQSILQAMSMPMNQPSTTNQVMVTEQMIPGLANGVIPQGTDGGFTTDVVVGGTGIRYQNLDVEQLVERYWPGSYQM >ORUFI09G16440.1 pep chromosome:OR_W1943:9:16872210:16874078:1 gene:ORUFI09G16440 transcript:ORUFI09G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRVMAADSRSPRDGKHLEVLGYYNPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVQRILFRAGLLPPPPMLAMARKGGPRDRRPIHPMTGRPLDLEGVTVVDDSNVPEGGAEEPVSEE >ORUFI09G16450.1 pep chromosome:OR_W1943:9:16875019:16876689:1 gene:ORUFI09G16450 transcript:ORUFI09G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWSCSSMAMTFTIANYCSHPIWPGTLAGAGTPQLSTTGFRLDPGQTAQLAAPAGWSGRIWARTGCVFDADGAGVCQTGDCGGRVECRGAGAAPPATLFEVTLGRGGGEDFYDVSLVDGYNLPVVAIPRAAAACNATGCMADLNRCKCTHERAPRRRHFAIAGADDDDVECGGGGAIACRSACEAFGQDRYCCAGEYGTPAACRPTAYSAIFKTACPRAYSYAYDDSTSTFTCKAAYDYTIAFCLPTSGIKKSDAVFLGAQIIDGDGGNAPPAYRGGGGGGGSRPPIYYNGGGGAHEPETMTASSASTRCTQPWLLLLLLLLLLLVFLF >ORUFI09G16460.1 pep chromosome:OR_W1943:9:16878758:16881992:-1 gene:ORUFI09G16460 transcript:ORUFI09G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANNGGEGGEGGDGFDVIVVGAGIMGSCAAYAASTRGGARVLLLERFDLLHHRGSSHGESRTIRATYPQAHYPPMVRLAARLWDDAQRDAGYRVLTPTPHLDMGPRADPALRASIVNGAATEVSSDAAAPWPWSGVFRLPEGWTAATSEIGGVMKATKAVAMFQSLAAKNGAVVRDRTEVVGIAKQGDGSIVVKTSSGEEFHGAKCIITVGAWASKLVRSVAGVDLPVQPLHTLICYWRARPGREHELTPESGFPTFASYGDPYIYSTPSMEFPGLIKVAAHGGPPCDPDRRDWLAGAGAGLVEPVARWIDEVMPGHVDTAGGPVIRQPCMYSMTPDEDFIIDFVGGELGKDVVVGAGFSGHGFKMGPAVGRILAEMALDGEARTAAEAGVELRHFRIGRFEDNPEGNLAENKVKN >ORUFI09G16470.1 pep chromosome:OR_W1943:9:16886426:16892640:1 gene:ORUFI09G16470 transcript:ORUFI09G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPNSAAAAAAHPAAAPASSSTSSPSAPKRLTTTLRILCPSSRASALRGASRDLHVDQPPVGDEAVLSISGPDAPAVAVRAWERVVGHRVGGDEAAGEEEREVPGVVGCRMLAASGQVGCVLGKGGKTVERMRQESGAQIRVFRNRDQLPPWAAPVDELIHISGNFSAVRKALLLVTTCLQDNPRPDASNFPPGRFGPPGPVGIDPHSQRGYLPPSMPDYHARNYSSNMAAPGPRFFVEQEIVFRMICLNEMVGSIIGKGGSTIRALQSETGASIKIIEPNSDSEERVIVISAHENSEMMHSPAQDAVLRVHSRISESSMDKSSAVTARLLVPSQHIGCLLGKGGSIIAEMRKITGAGIRIFGNEQIPRCAQRNDELVQVTGSFQSIQDALLHITGRIRDVIIPMKPHPGGGMPPYPPGGNAPPHHPRQEPAPPHPTGGMPPYPMPSFRADRPMGPFDMVDHRPPPPHSMEHMGADRMPYSYGCEQGGGPRPFLDQPSPSAWAPEAPNSEAPRNMPETVPPADFRKGAVAGTNQVATPSNATEVIIPRKYIGFICGANGSDLAEIKKMSGATITVRHPKPGDANALVIICGDPDQTKKAQSLLHAKLISGHWSQMQLFFCAIWCSNV >ORUFI09G16480.1 pep chromosome:OR_W1943:9:16893477:16896254:1 gene:ORUFI09G16480 transcript:ORUFI09G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAIEEELETVGDDRISALPDDILLQILERLDLPMAIRTSTLSRRWLQLPRLLSHLIIDITHFMPAPPRRAVNFNVDQIMAAYTAAVNNLLLSSPSSSNSNTRRIIKRMQLSFFLSEDPSYLCSVGHAVGAIVDAGKTDLLEFSLWSDVGKLTLEHCQLLRQRFMSFSHSCPVAFRWLTNLALRNLAFQESDVSHILNTCHNLKFLALCSCVSDFVVLKIDAPHSELLTLEIVTCGFDRVDLIHLPNLRRVVCWDWCLPNPPIRFGNVTRLHNMSLSCSATYDQMPFRLTELISSATNLTILYLDFQDQMIWIEPQGPKLLYPVFSNVRDVYLCNIFYECDLNWTVFVLEAAPRLSNFYLKHPCERNRCEDSAEKVNLLWDQMSSDFKHRHLNLLEITGFVMDDKMINYTRLIMERAVNLKRIRLLDQVPCDKGNAMNGMGSTSSNKWRFPVDQGEKSLIKQKLIDGFSSSAEITIG >ORUFI09G16490.1 pep chromosome:OR_W1943:9:16899587:16902443:-1 gene:ORUFI09G16490 transcript:ORUFI09G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRQVVAGFLTLSMFVMLGNMIKHDHFTPVGAGQEELGLEATGIESNEIKIADTTEMTKVNKAGVDLPKETAEEIRPCWSKPRSNVQESKGFVTFSLTMGPEYHISQITDAVVIARYLGATLVLPEIRGNELGKRRKFEDMYDVDKFMTSLDGVVKVVHSLPNAVSSKKPAVVRVPNRVTEEFITGTIEPIFQRNNYLRLATIFSSVSLKQKESGNKDLDSTACLAMFSGLQLKPEFSAVAKHMLDKLKEISEKSDGMVIAIDLQTELLEKKICKTNGGARRGGCYYPQEVVHFLKKDDIMPAANKGEFLKSGDSYLARALDLKICSESDVFVPAIPGLFYGHVAGKRIAAGLTNIIVPAPVSSSSALASEFVSTYVSKKSHLAYSCYC >ORUFI09G16500.1 pep chromosome:OR_W1943:9:16909942:16911453:-1 gene:ORUFI09G16500 transcript:ORUFI09G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVADVPPAAAYGFPGSAKRGKPEEVVVLMGKRRNEGFFIEEEEEEEEEEEVLTESSSIGAPSPASSSIGENSGEEEGGDDEEEVESKLKAEDEQVGLGCLDALEESLPIKRGLSNFYAGKSKSFTSLAEATASPAAAANELAKPENPFNKRRRILATWSRRASCSSLATATYLPPLLAPDHAVAEGDEGEEEDDDSDDDERQHRGKNGGRRESAAPPLPLPPPRLTLHTQMGGMVRRNGTFRSPRSLSLSDLQNSGGSC >ORUFI09G16510.1 pep chromosome:OR_W1943:9:16928963:16929331:1 gene:ORUFI09G16510 transcript:ORUFI09G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPADDALPALPPIRTALSTPSPPPTTVEAEVSASPSPPKEEVVAEADAEEEEPSTPTSEESRLRPPAVCPPAPRKPLPPRRLAAAAAGKRKSSPVVFVDVPRDLAAVFRSLPPKKRIRAW >ORUFI09G16520.1 pep chromosome:OR_W1943:9:16929713:16930815:1 gene:ORUFI09G16520 transcript:ORUFI09G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHDLVDFYVFKNDQGNLGRILVLIVLKNFCKKIVLNGLLFSGFSSANMALSLKEQKEKGLDQDRDR >ORUFI09G16530.1 pep chromosome:OR_W1943:9:16937718:16939502:-1 gene:ORUFI09G16530 transcript:ORUFI09G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANPILLLGPPIAVPDGGGAAAAVQVTWLSGRPLTSGDPCLDFFFQIVPGDTEVARVGDLLDAAWVRDPLTALKLVCHLRAVRGLGKADREGFYAAALWMHDHHPRTLAANLAAFAEFGCFKDLLEIVYRVLHGPRDEHKEEEEEGDDQTESEDGRRRRPRLRFKRRCIDHAEAAKARLQKEAQLAQAVLSRYGSDVSFRFLYDGVADTFAELLKSDVEHMRAGENAKIGLAAKWCPSLRSSYDRATLLCEAIARRMFPRESSQEYLFLSDEHYAYRVRNRLRREVLVPLRKVLELPEVYMTAGKWEQMPYARVPSVAMRQYKGAFEKHDKSGVAGFLDEVRTGHARLHVGAAMPHELVAAALKGEHDEAAELQWRRMVSALAAGGRLSNCIAVCGLSSGGDVAKPPGAAAVALGLLISELSQDPWKGRVITFDATQQLHKVCGATLVEKLRSLAAPVRAAPKGSSLNLQGVFDRILTVATYGGLAKDMMVRRVFVLSDMELNASAWRVQDELKTIRSKFTAEGFTAPEVVFWNVGAPASAPVVATEANAAVVSGYSKNLVRLFLEWDGQLTPAAVMADAISGPEYDSLEVVD >ORUFI09G16540.1 pep chromosome:OR_W1943:9:16940608:16942737:1 gene:ORUFI09G16540 transcript:ORUFI09G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAATVAAARLLGPPVIRAARPPHDAADAASHPFLQLLDANFNPPPPGPPAAFGSKTKATPRKARTENDSATYANSGNPCLDLFFQVVPDTPADRVRGLVAAAWAHDPLTALKLVCNLRGVRGTGKSDKEGFYAAALWMHEHHPRTLACNVAALAEFGYLKDFPELLFRLIHGNDVRKLGKAKAAAHNMRKAREKKAATLAGRKRSRGYGGGSILDAMTPSKPLLSDFVSAELSKSKTKSKSKVKPETSSSNPGAAMEIEKPQEAAQPVAMEVDGKPEKEKGVGGKPEKKEVSKKARKAGKFAVQSLERYYGDRAYRFLFDCVAEFFAELLASDLEQLAPGGKRRKIGLAAKWCPTPGSSFDRTTLLCEAIARRLFPRDSSPDYAQLSDEHYAYSVLRRLRREALVPLRDVLQLPEVYMSARRWSELPYTRVASVAMRRYKALFKKHDEDRFAQYLAAVEEGKAKIAAGALLPHEIASAAMRGEEDDVSELQWRRMVDDLRAKGSLRNCISVCDVSGSMSGTPMDVCVALGVLTSELSEEPWAGRVITFSARPQLHMIKGKTLAEKLRFVQRMDWCMNTNFQAVFDQILRTAVDGRLPPEKMIRTVFVFSDMEFDEASTNHWETDYEAICRKFGSAGYGDAVPQIVFWNLRDSTSTPVTSTQPGVAMVSGFSKNLLKIFLQNDGVVNPEAVMAAAIAGEEYQKLVVFD >ORUFI09G16550.1 pep chromosome:OR_W1943:9:16943682:16946885:-1 gene:ORUFI09G16550 transcript:ORUFI09G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGFSPDSAQSPPGFPLSELAEQICRLESGEHKEEEAADADADAVGLYHLMVDERNLHKHRDAIDPISIDNIDVLDEWVSEEPSLLCRDDLNWERIDAPFAEPTSEDEEFVAIDDEEAPTASLSWPAAAAEDSYCPPPDQDPYQYVTQEDGILPF >ORUFI09G16560.1 pep chromosome:OR_W1943:9:16947452:16955710:-1 gene:ORUFI09G16560 transcript:ORUFI09G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLALTRLMATQPPRRRRRRRNDAQAQARNGVITSMAKRKGTLLQGGGSSQGGKRLRYSGPDLPEDIWRHIHFLMPLRDAARAACISQAFLRSWRHHPNLILRKKTMGLEHKAYRRVGMARDFTSTVHSILKNHSGIGVKRLKLDIIYDHRNLNICYLNNWLQIAITPGIEEITLLLPSKYTFPCSLLSGGIGRSLQYLKLVRCAFRPTASLGFLSSLTKLHLCEVRIKDDELTCLISKSLALKQLELLNCRQIICLKIPCLLEQLSCLNVSLCENLQMIESKAPNLSTFSYISNLVVELSLKQSAQVKTLDIDCYDESNFLCHVITKFPNIVPNLETLTLHSIDERINTPMVASKFLHVKHLEIYFEIFGDASNLRTMPGHKHESLKDVEIIGFCSATSMVELTCYLLKNAISLEYITLALRREMILEAHRGVMAIERYIRGKVPSKVELTVLELCTWCHDLEHGVITSMAKRKGAFLQGDDTSQGGKRLRYSEPYLTEDIWRHIHSLMPLRDAARAACISQAFLRSWRRYPNLILTAGTLGLELRKNWKVHMARYFDRVDHILKNHSGIGVKRFSVVAASSYIGIASGIEEITLSLPPEYSFPCSLLSGRSLQHLELVNCAFRPVAGLGCSRNLTKLHLHSVLITDDELACFLSESFALKHLDLSDCREMVYLKIPCSLEQLSYLDVSSCRLQMIESKAPNLSSLSYSGNLVELSLGQSSQVKTLDIEFYDKANFLCYVITKLQNIVPNLESLTIHSDVERINTPMVDAKFLHLNYLEIYFDVLDASPVLDTFILSQGGMKHDSVSGDTTTILRTMPGHKHERLKELTCHILENATSLETITLDTVCDVDDLEDVGRCCTTTLRKTGSCYPLRREMILEVHRGVMAIERYIRRKVPSNVELIVHEPCTWCHDLERLDALEKENGHIIPHLILSLRTFHYC >ORUFI09G16570.1 pep chromosome:OR_W1943:9:16956910:16960696:-1 gene:ORUFI09G16570 transcript:ORUFI09G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQLVSRLLGMFRSRAQVGADKFGNRYFTRVEEVDGVMKEKRWVEFKGSDQDPTTVPVEWICWLNGQRKKAPTPEELAELEARRERVKQNIELLKKKEEEEKKTGVRPVKTVGKFESPNLKSFIQQFPDTSLDQRKGHDEVSRSKDGTDTEDATIDTDRSSEPTGTGTHI >ORUFI09G16570.2 pep chromosome:OR_W1943:9:16958001:16960995:-1 gene:ORUFI09G16570 transcript:ORUFI09G16570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNLERLHVGPDGQLVWWAHLSGRKPPSPTPFLPVPQIRISTLAAGGQAPPPTTAVPRDLAVVDHDERWPPPPPPSSSSTPFISSVVLREITLHRCLGRCRSSWCRGCWGCSARGRRWAPTIRLPRAQVGADKFGNRYFTRVEEVDGVMKEKRWVEFKGSDQDPTTVPVEWICWLNGQRKKAPTPEELAELEARRERVKQNIELLKKKEEEEKKTGVRPVKTVGKFESPNLKSFIQQFPDTSLDQRKGHDEVSRSKDGTDTEDATIDTDRSSEPTGTGATFKPGTWQPPT >ORUFI09G16570.3 pep chromosome:OR_W1943:9:16958001:16960696:-1 gene:ORUFI09G16570 transcript:ORUFI09G16570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQLVSRLLGMFRSRAQVGADKFGNRYFTRVEEVDGVMKEKRWVEFKGSDQDPTTVPVEWICWLNGQRKKAPTPEELAELEARRERVKQNIELLKKKEEEEKKTGVRPVKTVGKFESPNLKSFIQQFPDTSLDQRKGHDEVSRSKDGTDTEDATIDTDRSSEPTGTGATFKPGTWQPPT >ORUFI09G16570.4 pep chromosome:OR_W1943:9:16958001:16960696:-1 gene:ORUFI09G16570 transcript:ORUFI09G16570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQLVSRLLGMFRSRAQVGADKFGNRYFTRVEEVDGVMKEKRWVEFKGSDQDPTTVPVEWICWLNGQRKKAPTPEELAELEARRERVKQNIELLKKKEEEEKKTGVRPVKTVGKFESPNLKSFIQQFPDTSLDQRKGHDEVSRSKDGTDTEDATIDTDRSSEPTGTGATFKPGTWQPPT >ORUFI09G16580.1 pep chromosome:OR_W1943:9:16963225:16977368:1 gene:ORUFI09G16580 transcript:ORUFI09G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDEALREVAAAVARAQPPQRRGISYSQPLSRDAASARRAALRNHSLDDDHILPASHSLNYVHHDPSAGVPNPGGGGYHPPLPPHGHQPQHHHHPSASYSTGSRRSVGGASDGSMTLERAMSEYGGGHGTLPEYVGAGGGKGIFRVPLRAAMHPARPPPLEVRPHPLRETQAGSFLRTLAAEPERRQLWAGAESGIRVWALDEVFAGWGAGARRGDEESAPFREGMPAPPALCVAVDRANRLLWTGHKDGRIRSWRMDLDAAATAPAPPPGGAGDGGGSVGGSNHGGPSNAPVFKEALTWQAYGRTPVLSMVVTSYGEIWSGSEGGVIKAWPYDAIAKSLSLSPEERHMAALLVERAYIDLRNHCTVGNVCSLPASDVKHMLADYSRAKVWTVTSMTFAIWDARTRELLKVFGMDGQVESARLETPVMPEQPIEEEVKVKPSKKDKSQGSLNFFQKSRNALIGAADAVRRVATKGTFVEDNRRTGAVAQAMDGTIWSGCTNGSIILWDGNGNRVQEFQHHTSSVQCIKALGERVWAGYASGIVQVMDVEGNLLAGWTGHSCPVIRMAIGGSYIYTLAHHGGIRGWPLTSPGPLDDILRTELTNKELSYTRMEKINIMVGSWNVAQGKASAESLKSWLGSVSSDVGLVVVGLQEVEMGAGFLAISAAKETVGLEGSANGQWWIDNIGKALDEGTSFHRVGSRQLAALLIAAWARKSLKPYVGDVEAAAVPCGFGRAIGNKILLQGGVGLRIRVYDRKMCFVSNHFAAHLEAVNGNQVDEVRPDLAEADMIVFLGDFNYRLYGITYDEARDMVSQRSFDWLREKDQLRAEMKAGKVFQGMREGLIKFPPTYKFQKHAPGLGGYDSGEKKRIPAWYVACMDVTESDHKPVRCTFSVDIARVDELIRRQEYGEIIETNEKVRSMLEESSFVPDTTVSTSEIILENQENIVFRITNICETSKAAFEITCEGQSSKKEDATKSEILPRASFGFPLWLEVQPAVGLIKPGETAEITIHHEDFYTQEEFVDGIPQNWWCEDTRDKECVLTVNIRGSTSTETKSHAISIRHHCPATSAPPLIISNPLSSSAAPPINALASEGPPSKRSSKKRESNHHKREQQQQDYAQFGSSEVAEKPSIALSIASALSGGRGFEAFGWSSTSLRGRVSSRDLGNSKMVDTERIRFTDMTVGIIATVGVASGTGKIQGYYRADRIGWTDMMSTRKGSTDVHEFDGMFQGSHAFFKVTSVIGHVLRHLAQEARGCTYLVLWLDCDREGENICYEVIDCTGIPKSEVGRRIFRAKFSSVTEKDIMDAMNNLVLPSKDEALAVDARQEIDLKNFVLQFFPLFYIRYGPCQTPTLGFCVQRYQQITTFKPEKFWSLKTYVIKDGNEIQLEWDRKKLFDFDVTVMFQKMVASDGILKVTDISVKEECKARPPGLNTVNLLKVASSALGIGPQTAMHLAERLYTQGFISYPRTESTAYPSSFDFRSALAALAHNPLWSNDVRTLLDTGFVKPKQGHDAGDHPPITPMRLATEEALGTDAWRLYQYICQHFIGTVSPDCRYTRTSIEFTSGGETFHCVGNRVTSKGFTSIMPWLAVSENNIPAYKKGDAVSIHKVDIYEGSTTPPDYLSESELISLMEKNGIGTDASIPVHVNNICERNYVQVNSGRRLVPTPLGTTLIRGYQCIDADLCLPDIRRFIEQQITLIAKGEADHLQVVQHVLQQFMKKYSYFVKKIENMDALFEAQFSPLADSGRLLSKCGKCARYMKYISTQPMRLYCVTCEEVYYLPQNGSIKLYKEIICPLDGFELLLFSMVGPDAKSFPLCPFCYNSPPFEGIDKLFGALKLDDTGKVGKGAGMPCFLCLHPTCKQSMITQGVCACPECTGTLILDPVSAPKWRLYCNRCNCIVLLPHAAHKISTTDKKCPTCESTIIEVDFNKKTTPLKDGATLHEGCILCDELLHSLIEMKHGKSFFMRRGPTVARVGEILTVENFWVVMRLPTTQHEANCYPTNA >ORUFI09G16590.1 pep chromosome:OR_W1943:9:16979100:16980436:1 gene:ORUFI09G16590 transcript:ORUFI09G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNAHGKKICRPKNSQDRKSMICYSTHHNEHCHSTNKSPKKELQKKSHMARQVAIGGAVEGLALGIAPLHHHAPCDDLKDDGGDAEEAEDGDVGRLPPLLDAKDGHPLEDVGDPQYDHRVADGVVGAKSKDNDADVAVESVGDPIGHVAEVDAGGPAGDADAIADQLAAHMEVEPCRVRRSEGLEIPRQDRPHGQQDSPAHGVEQSMDLLMSKEIKMRLIFEGFSNVVW >ORUFI09G16600.1 pep chromosome:OR_W1943:9:16979371:16981368:-1 gene:ORUFI09G16600 transcript:ORUFI09G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVVLALLLLLAAMAAASVAAAGGCAGEAFSANRAYAACSDLPRLGASLHWTYDRGAGGELSVAFVAAPAAPGGWVAWGLNPAGDGMAGAQALVAVPSSSGAWEVRTYNISGYALGEPGPIAFPASDLAAELGADGRVRVFGTLSLAAYGGAGVLNQVWQIHGLLNAVSWGILLPMGAILARYLKTFRSADPAWFYLHVSCQLIGYGVGVAGWATGINLGNMSNGITYTLHRNIGIIVFALGTLQIFALFLRPKKENKYRVYWNMYHHSVGYTVIILGITNIFKGMTILGVEQRWKTAYVAVLCLLGVAAIILEVVTWGMVVKRRNAESKTFNSASNGHLPRHV >ORUFI09G16610.1 pep chromosome:OR_W1943:9:16983799:16985083:-1 gene:ORUFI09G16610 transcript:ORUFI09G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGLVRLVARAVLLTIVVFSLVSLRLALSPATAVADNGELYLPGRGRRFARGTTSSSSEPASSGRSPTSPSTSSSSTAMRFRKAEAELPRATGGGGDARPGGLQRRGTSAKKRGGGGGGAQGWEAGGSAGTGDGLEEARRWHLRVETELPRAAEAELARATGGGGEARPGGRWLRQWRPTTEVQADQALAVVVMVPSVVAAAAVGRAGRTGWGAKPHMSVRAVG >ORUFI09G16620.1 pep chromosome:OR_W1943:9:16990402:16991193:1 gene:ORUFI09G16620 transcript:ORUFI09G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATHLRAVLLAVALLVASPAAAAASVCEGEKFPAGRSYATCADLPALGATLHWTYDGKASTLSLAFVAKPPASGGGGWVSWAINPTGDGMKGAQALVAFKGGAGAAAYVVNTYNVTGYKPFPAASTPIAFNATDLAADESAATGKLRLYGKLQLPRGMETVNHIWQVGSTVTGGVPMKHAFAQENLDAKGRLSLAGHGAAVAQEPAPAPAAGGPSSTEAENAVTAASPSPSGKNAAANTHAPAPAALAAALALAGFLAFV >ORUFI09G16630.1 pep chromosome:OR_W1943:9:16993367:16995510:1 gene:ORUFI09G16630 transcript:ORUFI09G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPLLTKKIVKKRVKQFKRPHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDIVVTNKLARLRSQEDE >ORUFI09G16630.2 pep chromosome:OR_W1943:9:16993485:16995510:1 gene:ORUFI09G16630 transcript:ORUFI09G16630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPLLTKKIVKKRVKQFKRPHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDIVVTNKLARLRSQEDE >ORUFI09G16640.1 pep chromosome:OR_W1943:9:17000915:17002638:-1 gene:ORUFI09G16640 transcript:ORUFI09G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYVAGILMSAAAAGLDLGVLDGGGGAFLETLCGGPGFAERAARLCGGGAGLFGLPAVGNAERGGCSREGSSVSDPAWAHATGGGGDNARKRKAPASAAAGKDKDAVVGGGSSPCEVGEAKAPDSKKCKAEVNPKVEEAASDGSVGDRVQKQGKGKNSSKPAAEPPKDYVHVRARRGQATDSHSLAERVRREKISQRMKVLQDLVPGCNKVVGKALMLDEIINYVQSLQQQVEFLSMKLATVNPQLDFGNLSTLLQKDMFQSCGPSVNSVFPLESAGTAFPFCDQADFFQSFGLGAMENQCSLDLANTALPHTGSTQYAFQKQQRDLWEDNTFQYNDEQSQEDAVSAPNFDGQLQAADHTEIEF >ORUFI09G16650.1 pep chromosome:OR_W1943:9:17007780:17008967:-1 gene:ORUFI09G16650 transcript:ORUFI09G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKQFKRPHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRMYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >ORUFI09G16660.1 pep chromosome:OR_W1943:9:17010041:17012331:-1 gene:ORUFI09G16660 transcript:ORUFI09G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQLLLVVAAAALLLVVAASAKKSGDVTELQIGVKHKPESCSIQAHKGDRVKVHYRGKLTDGTDFDSSYERGDPIEFELGTGQVIKGWDQGILGMCVGEKRKLKIPSKLGYGAQGSPPTIPGGATLIFDTELVAVNGEPASKSDEDDDDSEL >ORUFI09G16670.1 pep chromosome:OR_W1943:9:17012431:17015516:-1 gene:ORUFI09G16670 transcript:ORUFI09G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKQFKRPHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDERNITLLREEE >ORUFI09G16680.1 pep chromosome:OR_W1943:9:17019668:17025232:-1 gene:ORUFI09G16680 transcript:ORUFI09G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGRKGKEALSGGHLCHVCGYQYPNANPSAKLRRSHRKNCGKAPAADEREEGEEVDAAMERNAGEGLLPVRAGGGESEGNAGSPSLGSARGDADLVEVKEIAERLKKAKSMAAFCSSVPPLERDRKLTWHSEMVLFWGTGVKSFVSFHAFIGNTFNEHASPNVTGVQVITGYCSEAGVINCASHSDEISKEVGRPAEREDSLDEYQDASPFLHQPDSEVGAAVAHKSDFSIEEIKNLDSVSPAASVAANEISVEMDGVSKDQLSGQPNMTNLSGESIVGKEVEPTVMLESSDEFSVNVHSDNTYIVDSKPDKTSEFIGDVNGSTSFISDLTSQSTSPIMVESLMEDSMDALHIISEVSPSLEEKAGSANAESVTENSRIDFVQTEDQLKLTNAVNTLTDCSSQYKCVKDTLDAQLPVENPFLGNSVCSLDGHQSDHVVTNMDSMWGSDDEDICSEGIKAKGSELGFSCEENPQHVELVDKADENPSVEKPNGLSEEVVCSKEIGPEVPIIGQVSASQHVALLMDQVSTKNPFILDDTRSDDLFELPTENYHSEAQNVAESKLQVDFTPLPLDQLIIVDQTSIAEGQQFVISGDRVPAISSTCGNEPAVGTEDVSVSSTSDPAKNISLHDASVNNSRQEDGEPTSGINFVPSEVFLPAEFSTMPTSQDINALKNDGNEKTPLEDISTKDMTASLSEDNVEEKKETEGTSVKEMNSILKADNVEEEKLTDDTSAERNAMQHIDDAEKKQAADTVSRETSALQNIEERENVEGTGAKGVPAVGSLENADAENQTEDTSAKTECKSDNADNKKQSYDTSTEEMNAKNQAQDTSSKEMNTIQNTSNAEEKNQTEDPAVQEGNKQKEGISPTVAKQSSERVHVPLKVLLAEASVETKEKKTTAKERVLSFRRRVSKDDSSSAKSGSPKPGADDNKFWSSPARLPENNAEKKSKARKQPWMPFICCHSVH >ORUFI09G16690.1 pep chromosome:OR_W1943:9:17028572:17032060:-1 gene:ORUFI09G16690 transcript:ORUFI09G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAALLVVVFLAGCHVVAQGRSTAAAHGIGVNYGRVADDIPPPARSVELLRAVGAGSVKIYDANSSVLRALAGTRMRVSIMVPNEIIPGLAASAAAADRWVAENLVPYYPETRVKYLLVGNELLSDYSIANSTWPRIVPAMENLHVSLRRRRISSVKISTTLAMDALTSGSFPRPPSAAAFRPDIAGDVVRPLLRFLNGTNSYYFVDAYPYFVWAGNNDTVPLEYALFQGGGGGGRYVDPGTGLTYTNMLDEMLDAVVHAMAKLGYGGVKLGIAETGWPNGGDYEQIGCNAHNAAIYNRNLAARMARSPGTPARPGAKMPVFVFSLYNEDLKPGPGTERHWGLYYANGTAVYPVDLAGARPLRSYPLLPPPENDAPYKGPVWCVLAGRRGEKLNETAVGDALAYACGQGNGTCDAIQPGGECFRPNTTAAHASYAFNSYWQQLRKTGATCYFNNLAEETTKDPSHGSCKFHSSLD >ORUFI09G16700.1 pep chromosome:OR_W1943:9:17034049:17035379:-1 gene:ORUFI09G16700 transcript:ORUFI09G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLCAPCSLLLSLPAEREREAVLDCRRAEVGEDVGAAGEATAPGAPRGRRGARRPRARRRGRRRAAPPYSGGGAAAFEESGASVAPIAVDLAPIVKSLRGLDVDCQDLPRAGLRGVDGAMWKRRRTAPQFFSCNLFSQFDVHSECSDHEVSVETTKSQKHHLKKIKHNDVKEPGMRIHLPVSVAEGEIRDEIEKACHPQAWMCLQQQLCYMEMKKVQNWFIGSGRPSSSLLLSGVATGAGVVAGDLALRVTPIPAHKMAPLQGLRGDRNGEEGDDGERREKEEGDLTSGPSLFIIIIFG >ORUFI09G16700.2 pep chromosome:OR_W1943:9:17034049:17035379:-1 gene:ORUFI09G16700 transcript:ORUFI09G16700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLCAPCSLLLSLPAEREREAVLDCRRAEVGEDVGAAGEATAPGAPRGRRGARRPRARRRGRRRAAPPYSGGGAAAFEESGASVAPIAVDLAPIVKSLRGLDVDCQDLPRAGLRGVDGAMWKRRRTAPQFFSCNLFSQFDVHSECSDHEVSVETTKSQKHHLKKRARLEMRLRRPVIHKDSAILVLNKPTKVPMKGNLPVHNSMDVLAAAALFIGSGRPSSSLLLSGVATGAGVVAGDLALRVTPIPAHKMAPLQGLRGDRNGEEGDDGERREKEEGDLTSGPSLFIIIIFG >ORUFI09G16710.1 pep chromosome:OR_W1943:9:17037421:17039167:1 gene:ORUFI09G16710 transcript:ORUFI09G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSRRMRAVQYDKYGGGAQALKHVEVPIPTPKKGEVLIKMEAGSINQVDWKFQKGVARPFMPNKFPFIPVYDLAGEVVELGRGVSSFKVGDKVIAINFPGGGGLAEYAVAQASRTAPRPPEVSAAVGACLPIAAVTALVALRTAGVSLDAGDGGGGGAKKNVLVTAASGGVGHFAVQLASAAGHRVTATCGARNAGLVGGLGADEVLDYATPEGAALRSPSGRRYDAVVHCAAVAGLPWSAFAPVLADAGVVVDLTPGAAAFATALRQRVTFSRKRLVPLFVSPTKEDMELVAGMVAEGKLRAVIESRHPLSRAEEGWARSMAGHATGKIIVEMGDEQ >ORUFI09G16720.1 pep chromosome:OR_W1943:9:17039829:17042243:-1 gene:ORUFI09G16720 transcript:ORUFI09G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALNRLISIRRERRRRRNKARSKRFPLGLSALHLSEGGSITKSVRRKYSPCQQDDDSQGGKMMTNSGVTLPEDIWSYILSLMPMRDAARAACLSRAFLRSWRCHPNLTFNEDALGLNDNACETDFTSKVDHILKNHSGIGVKRFKLSIQCKLDNCDYVDSWLQFAITPGIEEITVMLSGNKPQFNFPCSLFSDKIAYSIRCLELGNCAFHPTIELGPLRNLKRLHLSCVRISGDELACLLSNSFVLEQLELKYCKKIVSLKMPCVLQRLNCLNVLECKRVQVIESKAPNLSSFSFNGNKVKLSLVESSQVKNLYMCSSNIICYARSNLPSIVPNVETLAVASHCEMVDTPMLPTKLLYLKHLTISLFAWTFSRAYDYFSLVSFFDASPLLEVFVLDIYQESMEHESIFESSSHLRQMPEYHHEHLKSVTISGFCSAKSLVELTCHIVENTTSLERLELDTTHGNARCSEDSSDECFPVSQGVLTESPRAVLAIRGYIEGKIPTNVKLNVLEPCSRCHAGGG >ORUFI09G16730.1 pep chromosome:OR_W1943:9:17042325:17056828:-1 gene:ORUFI09G16730 transcript:ORUFI09G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITLEMNRVMPTRRRRQRRRRRRNRPRYRSIAKLGKRKFSPSQQDDDSQGAKRMKNSGMLLSKDIWRRIHCLMPMRDAARVACVSRAFLNSWLCYPNPTFNKDTLGLDEHVCETDFISKVDHILKRHSGTSVKTFKLEVPYELDVCDHVDRWLQFAITPAIEELTLTLYGTAQKYNFPCSLLSDGMADSIRILDLGHCAFCPTIEPGSWRSLKRLCLSFVRITEDDLGCLLLNSLALEGMELRHCDEIVSLKIPCTLQQLSYITVSECSRVRVIENKAPNVSSFYFTGNKVKLSLGEWLQVKKLNMRSSRIVRYARATLPSMMPNVETLSIGSLREVFNTPMLPTKFPYLKYLSISLIGLTNSPAYDYLSLVSFLAASPLLETFFLAISQQQAEQESIFGSSSLMRQIPEHRYEYLKSVTINGFCSAKSMVELTCHILENAASLEHLTLNTNLGLANRSEQSPGILKEVPKALSAIQKYIAGKVPSTVRLSVLDASGLIAKSAKRKRSHCQQDDDDSQGGKRMRNSVPTLPEDIWCHIHSLMPMRDAARVACVSRAFLSSWRCHPNLTFNERTLGLDEYVFETDFISKVDHILEKHSGIGVKTFNLQVPYELDVCDHVDHWLQFAITPRIEELNLMLYGTVQEYNFPCSLLSDGIANSIRFLDLGHCAFRPTVELGSWRSLKRLCLSFVHITGDELGCVLSNLFALEWLELIYCDKIASLKIPCTLQQLSYLKVSECSRMRVIESKAPKVSNFYFTGYKLKLSLGEWLRVKELCMLSSHLVCYACANLPSMMSNLETLSICSLDEVVNTPMLTTKFLYLKYLSISLSGLTVSPSYDYFSLVSFLDASPFLETFFLAISKEQMKRESKFRDSSHMRQIQEHRHEHLKSVTIIGFCSAKSLVELTCHILENAVSLECLTLNTTLGFASRSEHSPGTCFPMGKAVLMGVPKALSAIQTYIVGKVPSTVRLNVMEPCNLCNAATAPASAAPHSSSSGGGADRSRDRARVDALDLYPLPDLAPRSS >ORUFI09G16730.2 pep chromosome:OR_W1943:9:17042325:17056828:-1 gene:ORUFI09G16730 transcript:ORUFI09G16730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGITLEMNRVMPTRRRRQRRRRRRNRPRYRSIAKLGKRKFSPSQQDDDSQGAKRMKNSGMLLSKDIWRRIHCLMPMRDAARVACVSRAFLNSWLCYPNPTFNKDTLGLDEHVCETDFISKVDHILKRHSGTSVKTFKLEVPYELDVCDHVDRWLQFAITPAIEELTLTLYGTAQKYNFPCSLLSDGMADSIRILDLGHCAFCPTIEPGSWRSLKRLCLSFVRITEDDLGCLLLNSLALEGMELRHCDEIVSLKIPCTLQQLSYITVSECSRVRVIENKAPNVSSFYFTGNKVKLSLGEWLQVKKLNMRSSRIVRYARATLPSMMPNVETLSIGSLREVFNTPMLPTKFPYLKYLSISLIGLTNSPAYDYLSLVSFLAASPLLETFFLAISKEQMKRESKFRDSSHMRQIQEHRHEHLKSVTIIGFCSAKSLVELTCHILENAVSLECLTLNTTLGFASRSEHSPGTCFPMGKAVLMGVPKALSAIQTYIVGKVPSTVRLNVMEPCNLCNAATAPASAAPHSSSSGGGADRSRDRARVDALDLYPLPDLAPRSS >ORUFI09G16740.1 pep chromosome:OR_W1943:9:17043444:17044007:1 gene:ORUFI09G16740 transcript:ORUFI09G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATSASVLSVAVSTFADFEPVFFSNVEPHPHAVKVLAVRELKHAPALLHLLESASSDHGCKIVGLRLVENLSKLDALLAVVSEGVIPLLLNDLRDPDANRELRRCAQSIHRVGRLIGGYARRKSKALALVLRRHVSHVFKGTRGDGWLLKNLQATVPSRIATMRTHRIDLARAPPPDHLARSRRGR >ORUFI09G16750.1 pep chromosome:OR_W1943:9:17058724:17060771:1 gene:ORUFI09G16750 transcript:ORUFI09G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPSPPPSATTMRAVQYDGYGGGAEGLKHVEVPIPSPKKGEVLIKMEAASINPIDWKIQKGMLRPFLPWKFPSIPACDLAGKVAAVGGGVRRFELGDKVIAINFPSGGGFAEYAVAQASLTVERPPEVSAAEGACLPLAAVTALQALRAAGAGLDDAPPPKNVLVTAASGGVGHFAVQLARLGGHRVTATCGARNLALVAGELGADEALDYATPDGAALRSPSGRRYDAVVHCAPHLPWQVFDRVLAEGDTGGVVVDITPSPAALATALLHRVTFSKKRLTPFMFSPSEADMELLVAMARQGKLKPAVDSCHPLSDATGKVVVKIGEEE >ORUFI09G16760.1 pep chromosome:OR_W1943:9:17063736:17065953:1 gene:ORUFI09G16760 transcript:ORUFI09G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCHGRTVGDLSGEVVELGGGVSGFKPGDKVVSMSFPNCGGLAEYAVAPASLTVARPPEVSAADGATLPAAAGSALQQLKAAGVRFDADADAAAAAGGPKNVLVTAASGGVGHYAVQLAKLAGLHVTATCGARNLAFVRDGLGADEALDYRTPDGAALRSPSGRRYDAVAHCAPPAPWPVFRDALADAGGVVVDLTPGVAATVRSFLHRVTFSKKRLVPLILMPKKEEMEWLVDMAKQGKLKTTIDSKYPLSRAQEAWAKSMEGHATGKIVVEMGGTE >ORUFI09G16770.1 pep chromosome:OR_W1943:9:17066646:17075131:1 gene:ORUFI09G16770 transcript:ORUFI09G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPDGGRSFARRDILLKIQSDAQKWWEESKVFEAEPGNKPPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYQRLRGSNALLPFAFHCTGMPIKASADKLSREAQQYGYPPAFPEVEDDSSAEVADSSQADNAASVVPDKFKSKKSKAASKVGMQKFQWEIMRGFGLSDEEIAKFQDPYHWLTYFPPLAKEDLKAFGLGCDWRRSFITTDMNPFYDAFVRWQMRKLKKMGKVVKDMRYTIYSPLDGQPCADHDRASGEGVQPQEYVLIKMEVVPPFPPKLKTMEGRNVYLAAATLRPETMYGQTNCWVLPDGKYGAFEINDTDVFIVTSRAALNLAYQNLSRVPEKPTCLMELSGCDLIGLPLKSPLAFNEIIYALPMLTILTDKGTGIVTSVPSDSPDDFMALQDLVAKPALRQKYGVKDEWVLPFKVVPIINIPEFGDKSAEKVCLDLKIKSQNDKEKLAEAKRMTYLKGFTDGTMIVGEFNGRKVQEAKPLIKNKLLEEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGETEWKQKAVQCLEKMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHLLQNGNMYGKEISSIRPEQMTDEVWDYVFCDGPAPNSDIPPALLSKMKLEFEYWYPFDIRVSGKDLIQNHLTFSIYNHTALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFRTLRQAIEEFSSDATRFALADAGDGMDDANFVFETANAAILRLTKEIAWMEEVIAAESSLRAGPPSTYADHVFANEINIAVIETEKSYNAFMFRDALKLGFYDLQLARDEYRLSCGAAGMNRELLWRFMEVQTRLITPICPHYAEHVWRNILRKEGFAIKAGWPIAGTPDPTLRIANKYLQDSIVKFRKLLQKQESGSKKPKKGTAPPPSEQNKLTVGLVYVNENYYGWKEQCLRVLQSKFDSQARLFAPDEEINEALKNCSIGQETNFKQVQKLCMPFIKSKKDEARSVGPHALNLKLPFGEMSVLEENLELIKRQVGLEHAEVLSASDEAARAKAGVHASMLDKTPPSPGEPVAIFMSKLDLEARKVLSILSSSEAAELHGNGCKAQQVQTSL >ORUFI09G16780.1 pep chromosome:OR_W1943:9:17073128:17076167:-1 gene:ORUFI09G16780 transcript:ORUFI09G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTTDGLTESVAKRKGSPCQQDDDCQDDKRIRSGADLLEDIFWYIHSLMPLRDAARAACVSHSFLRSWRCYPYLMFSEELLRLQESAFSDDERTRNLISKVNHILQNHSGIGVKKLELVFLDSTDVDLSYIDSWLHKAVTRGIEELTLILPINSNAEYSFPCSLLSDGNDHSSILCYALTNLLSIVPNVEKLRISSQTQIVSTQTVPGKYLRLKHLHISLNRSPNFDYLSLVSFLDASPSLETFILHIWDIYIPLGHMGHPWTLGDSEQLRQMPGHRHDSLKKFEVVGSCYAKGLVELTCHILETTSSLDRIKLDTCGYVGLCASGSGRCYPHYTEQIMEACNSVLAIRTYIMGKVPPTVKFELVEPCSRCPVQ >ORUFI09G16790.1 pep chromosome:OR_W1943:9:17080736:17082232:-1 gene:ORUFI09G16790 transcript:ORUFI09G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADAAAKGMKLERYASGAGAMLLLRRAASGKVVSASSHLLFRATVLATMALVFLFTFHYPSLLSRSFTLSSGAGAGEGGAAAHASHRSLLMSSSSASASAASVYGGAAWEKEVRRSAKPRKDGGIAVLVTGAAGFVGTHCSLALRARGDGVLGLDNFNAYYDPELKRARQRLLAGRGVLVLDADINDALLLEKLFDLVPFTHVLHLAAQAGVRYAMEAPQTYVASNVAGLVTVLEVAAKHADPQPAIVWASSSSVYGLNTDAPFSEEHRTDRPASLYAATKKAGEAIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFAKSIVSGEPITLFRAADGADARRDFTYIDDVVKGCLGALDTSGKSTGSSKSGKKSGPAPLRVYNLGNTSPVPVTRMVAILEKLLGKKANKRIVAMPSNGDVPFTHANVTHAAHDFGYRPTTSLDAGLRHFVDWFADYYKLKLDVPKIAAKVAGAGKPSSSSASKKKKKAAAMSASS >ORUFI09G16800.1 pep chromosome:OR_W1943:9:17093179:17105083:1 gene:ORUFI09G16800 transcript:ORUFI09G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHCEGSKQLLDQEDVDKVPQADSDRGITPEEFRLVKIHMSFLLQIKANHLMISDIWRLAQQVKVRQRVIATAVTYFRRVYTRKSMTEYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKMCASDEKYRFEIKDILEMEMKLLEALDYYLVVYHPYRPLLQCFDFRLLQDAGITDLTQFAWGIVNDTYKMDLILIHPPYMIALACIYIASVLKDKDITLWFEELRVDMNIVKNISMEILDFYDTYKIDPQRGLPEDKIAPVMNKLPSKA >ORUFI09G16800.2 pep chromosome:OR_W1943:9:17101254:17103407:1 gene:ORUFI09G16800 transcript:ORUFI09G16800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRSRLVGRPGAWARWFSGSGWGRGSRKVASRAVWLEEAGAAEVVDSKGKLWLTTGVNRDGKLYYNVEEIGFLAERGALVLLDYEGETIGMEEIYGKIAGGKYGCSWDAFQAYKHLKLLGYIIGRYGVPWTVKRSHTYSVTDASTSVVETDQIQSLNRVGGASNDITKLLKEMCIDDMHPSFEVYLPNSKFKKTSPGDPSFVLCLLSNKPPSREELETVENKFEGIPLKFCHVDNGRNPSSRYTSFQTPEFSSS >ORUFI09G16810.1 pep chromosome:OR_W1943:9:17097035:17101255:-1 gene:ORUFI09G16810 transcript:ORUFI09G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGRWGPPARGRSPLRLVLYPLLVSLLNRRRRMGNDLSSSSLFTRVEIEINRGQDKKKRKKKKKKIAVSSSSSRAAGSRIRAVSMSTVSGTRRAPRRQSQDGPGDKVVVNLDAISSPVVGSRRAVPTSTGARASPIDVEALDDEVQTLSASQVPPPRRNRRTRRQPVAVVDLEVDASREGNKRQRVAPVIHCLSPERGEGSSLKTSNEPPKAKEPVFNCPVCWNKLEEPSTTICGHIFCTTCIKQAIQIQKKCPTCRKSLRANNFHRSLDVPKELLAAAAASSNLIYSEEQEFSHNMKWPVMNELGITGGLKDAIMQFSKEGDTYFLLYEHKVI >ORUFI09G16810.2 pep chromosome:OR_W1943:9:17097377:17100300:-1 gene:ORUFI09G16810 transcript:ORUFI09G16810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSGTRRAPRRQSQDGPGDKVVVNLDAISSPVVGSRRAVPTSTGARASPIDVEALDDEVQTLSASQVPPPRRNRRTRRQPVAVVDLEVDASREGNKRQRVAPVIHCLSPERGEGSSLKTSNEPPKAKEPVFNCPVCWNKLEEPSTTICGHIFCTTCIKQAIQIQKKCPTCRKSLRANNFHRSLDVPKELLAAAAASSNLIYSEEQEFSHNMKWPVMNELGITGGLKDAIMQVERI >ORUFI09G16820.1 pep chromosome:OR_W1943:9:17103745:17106777:-1 gene:ORUFI09G16820 transcript:ORUFI09G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKEGEGKVVVVEEEEEERRLRGALRSLQQEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDVRLLLAAGLGDVLTAVFPVLASRKPANTILAVNRVRRSLVQTIVTMRGFWVSHACYQSDSCFASKSEGSGSTVYNKASDLIDRKQSVKISIGGAQAFREYYPSSNDARTFLECVWVKDKFVLHENTKGNCEKTQAEDQKSCASESAVLYETLGQVSEDMENAEGLNSPMKLPDATLANQPEKTHCHRDEDSQSRRQLVNDNNSNSLSDAVATHVHSTPCPDVKPETKKRVAFIARLEVIPRATAEPEDLYGKFSEDTDKSIF >ORUFI09G16820.2 pep chromosome:OR_W1943:9:17103745:17106777:-1 gene:ORUFI09G16820 transcript:ORUFI09G16820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKEGEGKVVVVEEEEEERRLRGALRSLQQEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDVRLLLAAGLGDVLTAVFPVLASRKPANTILAVNRVRRSLVQTIVTMRGFWVSHACYQSDSCFASKSEGSGSTVYNKASDLIDRKQSVKISIGGAQAFREYYPSSNDARTFLECVWVKDKFVLHENTKGNCEKTQAEDQKSCASESAVLYETLGQVSEDMENAEGLNSPMKLPDATLANQPEKTHCHRDEDSQSRRQLVNDNNSNSLSDAVATHVHSTPCPDVKPETKKRVAFIAVGNPKATASSIGTTLTKKQRLDVIPRATAEPEDLYVKPETKKRVAFIAVGNPKATASSSGTTLTKKQRLEVIPRATAEPEDLYGKFSEDTDKSIF >ORUFI09G16820.3 pep chromosome:OR_W1943:9:17104239:17106777:-1 gene:ORUFI09G16820 transcript:ORUFI09G16820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKEGEGKVVVVEEEEEERRLRGALRSLQQEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDVRLLLAAGLGDVLTAVFPVLASRKPANTILAVNRVRRSLVQTIVTMRGFWVSHACYQSDSCFASKSEGSGSTVYNKASDLIDRKQSVKISIGGAQIWKMLKD >ORUFI09G16820.4 pep chromosome:OR_W1943:9:17103745:17104230:-1 gene:ORUFI09G16820 transcript:ORUFI09G16820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPDATLANQPEKTHCHRDEDSQSRRQLVNDNNSNSLSDAVATHVHSTPCPDVKPETKKRVAFIARLEVIPRATAEPEDLYGKFSEDTDKSIF >ORUFI09G16830.1 pep chromosome:OR_W1943:9:17113838:17114137:-1 gene:ORUFI09G16830 transcript:ORUFI09G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEQAERQWTTAAAGDASRRRVADDHAAAAEAGTNKGFAGAAAGVGGRCCVCMARGKAAAFIPCGHTFCRACARELRVGRGRCPLCNAAIHDVLNLF >ORUFI09G16840.1 pep chromosome:OR_W1943:9:17116962:17121600:-1 gene:ORUFI09G16840 transcript:ORUFI09G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRVKAKAKNPRKAQQQQEPTAAAPSDAGSGDAAAAAAQDSGNSTEEAAAAAAAAASASGREQCGHYGGDSARLDKVLLEIMTSKHFASCEHCRDDAPRKKGGGKEKGGKQQQKKKGGGTKGSAAKAKVEKSDMWVCLDCGRHFCGGEVDVTKPYGHARRHAKQDRHWWAARFDDPTVAFCLSCEKEVSIEMPRIETVAAVPTEVAGAADRDLGLVNSHGSVIRGLPNLGNTCFFNAVMQSLLALDRLRSKMLGPDVPTGALLMSLKKLFMETSASNDVGGALSPKNLFSNICSKYPQFRGFQMQDSHELLRCFLDGLHTEENEARKLADEASSATIPTIVDSIFGGQLSSTVSSTECTHSSVKHDQFLDLSLPVPSRRPPAKSVSSPPAKRNKQSLRDRNKNRRYGKISTRVTPTIEVSNKEKIQTVAEGNNSLIPGSESGQVVSEKEPEPSECSESCASVPNLEQTGTSNVEDGTCWLDYIDDADEAKSEILDSADSIEAGQIWEDKGVTYGPFLPQDDALSKEQVLGSEHSGENPIDDATSSQPVILLPYKEFGSTADEMDGTTENSQKPEDAVAPPAVSPLPEDNAQPASVGDGDQDDYVGLGDMFNEPEVTSEVKKETGTVEDIDVMAWSSNSAEDEVDDSNAPVSVEGCLALFTEPELLSEPWHCELCSDSIACPNTNDGKDDEMATSVNERKDGEEMMAGGDETQDGDKLIANCTKKEGIDQIMATDGCSDNLNSDMNSKEGGCANSSLVGADNSVDANFPENGKVALLKTGSSLVDTTEQADSKAYCREIRDLNNSAVEYTSSSKQPHDSAQHKDEHNVDVASEETTAPECSCDNESASCSTTNKNEAECGVGAEEIVTSSLPSETQRILPGEKDNEDVVTRNHGRRKRMKMVGKAHQGQDNQNEQKENGKKVFRSAMRRILISKAPPVLTINLNRFSQDSHGRFKKLKGHVRFKETLDVRPFMDPRSKENDNTTYRLVGVVEHLGTMAAGHYVAYVRTGKIGGRQQRSTGSKSWFYASDAQVREASLEEVLNCEAYILFYERVGD >ORUFI09G16850.1 pep chromosome:OR_W1943:9:17125857:17134421:1 gene:ORUFI09G16850 transcript:ORUFI09G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARSRSGSARAARARPGARLKVGDGRAGGAGRRSGGGGGVPSPPLRACDWMKRGGERSSSLGFRARFGEASHILRRRRRRRPTTASRSGKEFILRVLSQIWGGLHILLSRSAADSSRAATGILKMASSISVMASAGRNRGVGFAKLQGEDFEYFMQTYSIILGRDSKREKIGHKKFYFLLPTRSIFGTSSNQHGPSASAAFQPANNGTAADEHNLTASAAAQPAHIGTAAPPPHIGTAQPGQIGIVTLPPAHIQNNAENENIAGIETQEEFMNQNKMPFGELDTCSSHHITIEPTLAPGGQPVNNLAIRPADNNKDQQEVLLKEEEYVLASIGIVISDLCGLKKMIPIEKLHSELVACYSATWPQRQVQMHLAPEAGSSAAGTECKPWLKLMYLLRKYPERFTVMNSSCDEEGRQHRCMLR >ORUFI09G16850.2 pep chromosome:OR_W1943:9:17125988:17134421:1 gene:ORUFI09G16850 transcript:ORUFI09G16850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISVMASAGRNRGVGFAKLQGEDFEYFMQTYSIILGRDSKREKVDLDISGGDLTISRHHAHIFYDFECKRFSLLVLGKCGCTVEGVLHLPGGSPIKLDSQDLLQIGHKKFYFLLPTRSIFGTSSNQHGPSASAAFQPANNGTAADEHNLTASAAAQPAHIGTAAPPPHIGTAQPGQIGIVTLPPAHIQNNAENENIAGIETQEEFMNQNKMPFGELDTCSSHHITIEPTLAPGGQPVNNLAIRPADNNKDQQEVLLKEEEYVLASIGIVISDLCGLKKMIPIEKLHSELVACYSATWPQRQVQMHLAPEAGSSAAGTECKPWLKLMYLLRKYPERFTVMNSSCDEEGRQHRCMLR >ORUFI09G16850.3 pep chromosome:OR_W1943:9:17125857:17134421:1 gene:ORUFI09G16850 transcript:ORUFI09G16850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISVMASAGRNRGVGFAKLQGEDFEYFMQTYSIILGRDSKREKVDLDISGGDLTISRHHAHIFYDFECKRFSLLVLGKCGCTVEGVLHLPGGSPIKLDSQDLLQIGHKKFYFLLPTRSIFGTSSNQHGPSASAAFQPANNGTAADEHNLTASAAAQPAHIGTAAPPPHIGTAQPGQIGIVTLPPAHIQNNAENENIAGIETQEEFMNQNKMPFGELDTCSSHHITIEPTLAPGGQPVNNLAIRPADNNKDQQEVLLKEEEYVLASIGIVISDLCGLKKMIPIEKLHSELVACYSATWPQRQVQMHLAPEAGSSAAGTECKPWLKLMYLLRKYPERFTVMNSSCDEEGRQHRCMLR >ORUFI09G16850.4 pep chromosome:OR_W1943:9:17126158:17134421:1 gene:ORUFI09G16850 transcript:ORUFI09G16850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISVMASAGRNRGVGFAKLQGEDFEYFMQTYSIILGRDSKREKVDLDISGGDLTISRHHAHIFYDFECKRFSLLVLGKCGCTVEGVLHLPGGSPIKLDSQDLLQIGHKKFYFLLPTRSIFGTSSNQHGPSASAAFQPANNGTAADEHNLTASAAAQPAHIGTAAPPPHIGTAQPGQIGIVTLPPAHIQNNAENENIAGIETQEEFMNQNKMPFGELDTCSSHHITIEPTLAPGGQPVNNLAIRPADNNKDQQEVLLKEEEYVLASIGIVISDLCGLKKMIPIEKLHSELVACYSATWPQRQVQMHLAPEAGSSAAGTECKPWLKLMYLLRKYPERFTVMNSSCDEEGRQHRCMLR >ORUFI09G16860.1 pep chromosome:OR_W1943:9:17135394:17138659:-1 gene:ORUFI09G16860 transcript:ORUFI09G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCHTPPQCRLGAGAGVLLRQRSEVAVRCRAQQVSGVEAAAGTPAARAAVEGGERTSLAERLRLGSLLEDGLSYKESFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTTTMRKLGLIWVTNRMHIEIYKYPAWGDVVEIETWCQEDGKIGTRRDWILKDLANGEVIGRATSKWVMMNQNTRRLQRVSDDVRDEVFVHCPKTPRLAFPEENNGSLKKIPVLTDPAQHSRLGLVPRRADLDMNQHVNNVTYIGWVLESIPQDIIDTHELQTITLDYRRECQHDDIVDSLTYIEEGEEKSSNGSAFAAPHPEEQRQFLHCLRFAGNGNEINRGRTVWRKLAR >ORUFI09G16870.1 pep chromosome:OR_W1943:9:17143283:17143751:-1 gene:ORUFI09G16870 transcript:ORUFI09G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFVAGPAATAVGAFALGLRGDLLRLAIIQAYTHLHEIHSPSSFFLLSPEICLNSNGCMHDTSPHDETARDEQAALPQSITTFVFAKEYGLHAEILSTAVIFGTLASLPVLIVYYIVLGFIR >ORUFI09G16880.1 pep chromosome:OR_W1943:9:17146323:17148327:-1 gene:ORUFI09G16880 transcript:ORUFI09G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYFALGLGYGSVRWWRLFTADQCDAVNRLVACFAVPFFAFDFAARIDPFALSYRVLAADALSKLAVALALAACAAAASTRCCGSGGGKRGGGGGGGFSWCITGFSLATLNNTLVVGRSIVSKAEFSWFMT >ORUFI09G16890.1 pep chromosome:OR_W1943:9:17147334:17148390:1 gene:ORUFI09G16890 transcript:ORUFI09G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYFALGLGYGSVRWWRLFTADQCDAVNRLVACFAVPFFAFDFAARIDPFALSYRVLAADALSKLAVALALAACAAAASTRCCGSGGGKRGGGGGGGFSWCITGFSLATLNNTLVVGVPLLDAMYGKWARDLIVQISVVQTIVYFPLLLLAFEQRHADDERVVQRRQREPSDAPREPAAAAAAALASAGAAAAGGGGGGAGGEGERDGELGERVGGEDAVAEGEGVDAGGEVEGEEGDGEAGDEAVHGVALVGGEEAPPPHGAVAEAQREVERRHGRHYLVHVAPPDHLDWLSSS >ORUFI09G16900.1 pep chromosome:OR_W1943:9:17151716:17155513:1 gene:ORUFI09G16900 transcript:ORUFI09G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLAKKPIKNHGPAHARSISRPNTKLGQGPWPRGSRIKGGEKRNAARRVLSNTLSPPPPPLRRLLRRIPSKQAAAADDLAPRSRPCRGAATGSTRTTAGKPPYPIPARRRGFLRLSLTTAAGCCWCVCACRTCVAIAGADYCVVAADTRLSVGYNILTRDHSKICELADKCALASSGFQGDIKALHKNLAARELLYQHQHNKRMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGSALIMPVLDNQLKSPSPLLLPARDAVTPLSETEAVDLVKDVFASATERDIYTGDKLEIVVINKAGTKREYIDLRKD >ORUFI09G16910.1 pep chromosome:OR_W1943:9:17156011:17158728:1 gene:ORUFI09G16910 transcript:ORUFI09G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKIAPSMLSSDFANLAAEADRMVRLGADWLHMDIMDGHFVPNLTIGAPVIQSLRKHTKAYLDCHLMVTNPSDYVEPLAKAGASGFTFHIEVSRDNWQELIQSIKAKGMRPGVSLRPGTPVEEVFPLVEAENPVELVLVMTVEPGFGGQKFMPEMMEKVRALRKKYPSLDIEVDGGLGPSTIDVAASAGANCIVAGSSIFGAAEPGEVISALRKSVEGSQNKS >ORUFI09G16920.1 pep chromosome:OR_W1943:9:17159634:17165409:1 gene:ORUFI09G16920 transcript:ORUFI09G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKAVDDVMDSAVGAHFSGLRLEALRLSSPSAPSSPSSAKAAAAAAAHSNGAVYANGVAADAAELVSPSALRQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTAEESAHAQDYNFDHPDAFDTEQLLECMGQLKRAQPVNVPIYDFKNHRRSSESFRKVNASDVIILEGILVFHDQRVRNLMDMKIFVDTDADIRLARRIRRDTVERGRDVSSVLEQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPHVYVVQTTFQIRGMHTLIRDRDITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQIITPTGSIYMGVEFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLIYHKLPMDIAERHVLLLDPVLGTGNSANQAIELLIRKGVPEERIIFLNLISAPEGIQCVCKRFPRLKIVTSEIDTGLSEEYRVIPGLGEYGDRYFGTDN >ORUFI09G16930.1 pep chromosome:OR_W1943:9:17167220:17170861:1 gene:ORUFI09G16930 transcript:ORUFI09G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARGVLQAALLLAAAAAAFLLVSPAAAAAVNSTSATLDNIQPLSTLNMAAARVAMDAGSAIRASPELLGTNVSITQPRAMQAYEISESHLFFFSPAAGRARIRPGSPSTSRRRRPPTATGSRSSPLPISSKLVIHLKIKIDQLNIYKFANISPSFMSSGSGDTSFLLINQRYDYAFGLFSGGKDNPKLVAVSNKISFANPKAPVFPRLSQGKGWNEMAVTWTSGYNVDEAYPFVEWTMNEKENARARRSPADTLTFTRNHLCGKPANAEGYRDPGFIHTAFLKNLWPNREYSYQIGHELLDGTIVWGKSSTFRASPSPGQASLQRIVIFGDMGLGQSDGSNELAGFQPGAQVTTERLIKDLPNYDAVFHIGDLSYANGFLAQWDQFTAQISPVASRVPYMVASGNHERTSRDTGGFYGGGDSHGECGVPAETYFRAPAAANRGKPWYAADHGMFRFCVGDTEHDWRPGTAQHAFLDGCFAAADRKHQPWLVFAAHRPLGYSSNEYYAREGSFSEPMGRTLQPLWQKHRVDLAVYGHVHNYERTCPVYENTCTAAPAAAGGGGNGSSPAAAYTGALGGTIHVVAGTGGARLRGYAGGEWPQWSAARSESYGYVKLTARDHSRLELEFIRSDDGEVLDAFSITRGYKDVLACAVDACDPHTLAN >ORUFI09G16940.1 pep chromosome:OR_W1943:9:17171464:17175776:-1 gene:ORUFI09G16940 transcript:ORUFI09G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLGGILLLFLVLLAAAAAGGGGGVWAFSSSSSSSSYSRIGEQPLSLIGIHSATVGIDAAASVQASPRLLGVKGEDTAWVTVDFAAPHASDGDWIGVFSPSNFNASTCPGPSGSDSGPVICSAPIKYQLANYSSDYGKTGKGTLKFQLINQRQDFSFALFTGGLSNPKLIAVSNKIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDIKEAYPFVEWGMKWSPPTRTAAGTVTFDRESLCGEPARTVGWRDPGFIHTAFLTDLWPNKEYYYKIGHMLPDGKIVWGKFYSFKAPPFPGQKSLQRVVIFGDMGKAERDGSNEYSNYQPGSLNTTDTLIKDLDNIDIVFHIGDITYANGYISQWDQFTQQVEPITARVPYMIASGNHERDWPNSGSFFNGTDSGGECGVLAETMYYTPTENRANYWYKTDYGMFRFCVADSEHDWREGTEQYAFIESCLATVDRKKQPWLVFIAHRVLGYSSGFFYGAGGAFAEPTARQSLQRLWQRHRVDLAFYGHVHNYERTCPVYDGRCASPERSRYSGAVGGTIHAVVGGGGSHLSNFTAEAPPWSVYREMDYGFVKLTAFNYTSLLYEYRRSSDGEVHDSFTVHREYRDVLACVADSCPPTIPPAT >ORUFI09G16940.2 pep chromosome:OR_W1943:9:17171464:17177718:-1 gene:ORUFI09G16940 transcript:ORUFI09G16940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGGGAAWLAWLLVVVAAIAAVGHGGGVQPLSRVAIHRARVALDASAAVRASPSLLGAQGEDTAWVTVDFAAPHASDGDWIGVFSPSNFNASTCPGPSGSDSGPVICSAPIKYQLANYSSDYGKTGKGTLKFQLINQRQDFSFALFTGGLSNPKLIAVSNKIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDIKEAYPFVEWGMKWSPPTRTAAGTVTFDRESLCGEPARTVGWRDPGFIHTAFLTDLWPNKEYYYKIGHMLPDGKIVWGKFYSFKAPPFPGQKSLQRVVIFGDMGKAERDGSNEYSNYQPGSLNTTDTLIKDLDNIDIVFHIGDITYANGYISQWDQFTQQVEPITARVPYMIASGNHERDWPNSGSFFNGTDSGGECGVLAETMYYTPTENRANYWYKTDYGMFRFCVADSEHDWREGTEQYAFIESCLATVDRKKQPWLVFIAHRVLGYSSGFFYGAGGAFAEPTARQSLQRLWQRHRVDLAFYGHVHNYERTCPVYDGRCASPERSRYSGAVGGTIHAVVGGGGSHLSNFTAEAPPWSVYREMDYGFVKLTAFNYTSLLYEYRRSSDGEVHDSFTVHREYRDVLACVADSCPPTIPPAT >ORUFI09G16940.3 pep chromosome:OR_W1943:9:17175987:17177718:-1 gene:ORUFI09G16940 transcript:ORUFI09G16940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGGGAAWLAWLLVVVAAIAAVGHGGGVQPLSRVAIHRARVALDASAAVRASPSLLGAQYPPNIRTR >ORUFI09G16950.1 pep chromosome:OR_W1943:9:17179226:17182588:1 gene:ORUFI09G16950 transcript:ORUFI09G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSLHYPDAMYYAHAKLPSNAPNLETLTLECSTDKMVDTPILPSKFLHLKCLTIDHTIISSSPAYNYLYLVYFLDACPSLETFLLGISQNHMEHDSIIGDSSHMRQMPGHRHDNLRSVEITGFYSAKSLIELTCYILDNTVALKYLTLDTTRGFFSCSTGEHDRCFPMDKIMITEANRAVLAIQTYIERKVPSTVKLNVVKPCSRCHAVESYL >ORUFI09G16950.2 pep chromosome:OR_W1943:9:17179218:17182588:1 gene:ORUFI09G16950 transcript:ORUFI09G16950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKDAARAACVSRAFRHSWRCHPNLICCIGILGSDFINKFDRIMKNHSGIGINFPCSLLADGRASSMRHLYLGNCGFHPTINLDLRNLTRLHLINVQLLLGESLRMKRLSLHYPDAMYYAHAKLPSNAPNLETLTLECSTDKMVDTPILPSKFLHLKCLTIDHTIISSSPAYNYLYLVYFLDACPSLETFLLGISQNHMEHDSIIGDSSHMRQMPGHRHDNLRSVEITGFYSAKSLIELTCYILDNTVALKYLTLDTTRGFFSCSTGEHDRCFPMDKIMITEANRAVLAIQTYIERKVPSTVKLNVVKPCSRCHAVESYL >ORUFI09G16950.3 pep chromosome:OR_W1943:9:17179330:17182588:1 gene:ORUFI09G16950 transcript:ORUFI09G16950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMLDPKRLMSARQERHRRRRRRQLRPRNSEGAKRTRYSVPSLPEEIWCHIHSLMSFKDAARAACVSRAFRHSWRCHPNLICCIGILGSDFINKFDRIMKNHSGIGINFPCSLLADGRASSMRHLYLGNCGFHPTINLDLRNLTRLHLINVQLLLGESLRMKRLSLHYPDAMYYAHAKLPSNAPNLETLTLECSTDKMVDTPILPSKFLHLKCLTIDHTIISSSPAYNYLYLVYFLDACPSLETFLLGISQNHMEHDSIIGDSSHMRQMPGHRHDNLRSVEITGFYSAKSLIELTCYILDNTVALKYLTLDTTRGFFSCSTGEHDRCFPMDKIMITEANRAVLAIQTYIERKVPSTVKLNVVKPCSRCHAVESYL >ORUFI09G16950.4 pep chromosome:OR_W1943:9:17179218:17182588:1 gene:ORUFI09G16950 transcript:ORUFI09G16950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKDAARAACVSRAFRHSWRCHPNLICCIGILGSDFINKFDRIMKNHSGIGIKSVKFQYNSFYNTRRSTSISHHFDSWHQIAITPWIEELTISLSLSSFNMEYSFPCSLLADGRASSMRHLYLGNCGFHPTINLDLRNLTRLHLINVHITGDELGCLLSNSHCLEQLELMYCNGIICLKIPSLLQCLSHLEVFDCRILQVQLLLGESLRMKRLSLHYPDAMYYAHAKLPSNAPNLETLTLECSTDKMVDTPILPSKFLHLKCLTIDHTIISSSPAYNYLYLVYFLDACPSLETFLLGISQNHMEHDSIIGDSSHMRQMPGHRHDNLRSVEITGFYSAKSLIELTCYILDNTVALKYLTLDTTRGFFSCSTGEHDRCFPMDKIMITEANRAVLAIQTYIERKVPSTVKLNVVKPCSRCHAVESYL >ORUFI09G16950.5 pep chromosome:OR_W1943:9:17179218:17182588:1 gene:ORUFI09G16950 transcript:ORUFI09G16950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKDAARAACVSRAFRHSWRCHPNLICCIGILGSDFINKFDRIMKNHSGIGIKSYSFPCSLLADGRASSMRHLYLGNCGFHPTINLDLRNLTRLHLINVHITGDELGCLLSNSHCLEQLELMYCNGIICLKIPSLLQCLSHLEVFDCRILQVQLLLGESLRMKRLSLHYPDAMYYAHAKLPSNAPNLETLTLECSTDKMVDTPILPSKFLHLKCLTIDHTIISSSPAYNYLYLVYFLDACPSLETFLLGISQNHMEHDSIIGDSSHMRQMPGHRHDNLRSVEITGFYSAKSLIELTCYILDNTVALKYLTLDTTRGFFSCSTGEHDRCFPMDKIMITEANRAVLAIQTYIERKVPSTVKLNVVKPCSRCHAVESYL >ORUFI09G16950.6 pep chromosome:OR_W1943:9:17180910:17182588:1 gene:ORUFI09G16950 transcript:ORUFI09G16950.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKDAARAACVSRAFRHSWRCHPNLICCIGILGSDFINKFDRIMKNHSGIGIKSVKFQYNSFYNTRRSTSISHHFDSWHQIAITPWIEELTISLSLSSFNMEYSFPCSLLADGRASSMRHLYLGNCGFHPTINLDLRNLTRLHLINVHITGDELGCLLSNSHCLEQLELMYCNGIICLKIPSLLQCLSHLEVFDCRILQVQLLLGESLRMKRLSLHYPDAMYYAHAKLPSNAPNLETLTLECSTDKMVDTPILPSKFLHLKCLTIDHTIISSSPAYNYLYLVYFLDACPSLETFLLGISQNHMEHDSIIGDSSHMRQMPGHRHDNLRSVEITGFYSAKSLIELTCYILDNTVALKYLTLDTTRGFFSCSTGEHDRCFPMDKIMITEANRAVLAIQTYIERKVPSTVKLNVVKPCSRCHAVESYL >ORUFI09G16950.7 pep chromosome:OR_W1943:9:17179226:17181727:1 gene:ORUFI09G16950 transcript:ORUFI09G16950.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMLDPKRLMSARQERHRRRRRRQLRPRNSEGAKRTRYSVPSLPEEIWCHIHSLMSFKDAARAACVSRAFRHSWRCHPNLICCIGILGSDFINKFDRIMKNHSGIGIKSVKFQYNSFYNTRRSTSISHHFDSWHQIAITPWIEELTISLSLSSFNMEYSFPCSLLADGRASSMRHLYLGNCGFHPTINLDLRNLTRLHLINVHITGDELGCLLSNSHCLEQLELMYCNGIICLKIPSLLQCLSHLEVFDCRILQVVENKAPNLCSFDFGGRQVQLLLGESLRMKRLSLHYPDAMYYAHAKLPSNAPNLETLTLECSTDKVLKKHK >ORUFI09G16960.1 pep chromosome:OR_W1943:9:17193973:17198504:1 gene:ORUFI09G16960 transcript:ORUFI09G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVLKRLMSVQQERRHIQARNFFIASVAKRKGSVCLQLANSEGGKIMGYSGLNLPEDIWSHIHSLMPLRDAARAACVSRAFRSFWRYHPNLIFRIETPDLNFIKKVDCILKNHSGIGIKSLRFESGIFYNASTSYYLDSWLQIAVTPSIEELTLGILSYNTNYFDSKYDDEYNFPCSLLSDGRGSSMRHLYLSRCSFHPTINLELRNLTRLHLAFVHITGNELGCVLSNSYALERLELNYCYGIICVKIPCLLQRLSHLEVFECRMLQVIENSAPNLGSFHFGINHVQLLLGESLQMKSLSMCYPGAVYYACAELPSNVPNLETLTIGSPHEMVDTPMLPSKFLHLKCLTISLVGMVTFSPAYDYFSLVSFLDASPSLETFFLDVSQERMGHVSIFGDSLQLRQMPEHHRHGNLQSVKITGFCSAKSLIELTCYILDNTTSLKCLTLDTTRGVSSCSTGEHKKCFPIGKMLTEANRAVLAIETFIERKVPSTVKLAVTKPCSRCHVKS >ORUFI09G16970.1 pep chromosome:OR_W1943:9:17201108:17209184:1 gene:ORUFI09G16970 transcript:ORUFI09G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAQKTKEAEITEQDSLLLTRNLLRIAIYNISYIREMKIKKLMPMDTESRRLIDWMEKGVYDALQKKYLKTLLFCICEKEEGPMIEEYAFSFSYPNTSGDEVAMNLSRTGSKKNSATFKSNAAEVTPDQMRSSACQMIRTLVSLMRTLDQMPEERTILMKLLYYDDVTPEDYEPPFFKCCADNEAINIWNKNPLKMEVGNVNSKHLVLALKVKSVLDPCDDNNVNSEDDNMSLDNESDQDNDFSDTEVRPSEAERYIVAPNDDTQDPVHEEELTAQVREWICSRDTESLEVSDVLVNFPDISMIADPTTPHIKKEVIMQNVSPTEGTKNSNGDLMYMKALYHALPMDYVSVGKLHGKLDGEASQNMVRKAVIHSEVTNRKLLEIKKILEVDIAEQMAIDTNAEPGEPERKDHLSGHEMRDGSTMGCLQSVGSDLTRTRELPEPQQNVSMQSGQEASTVDKDPSRTPTSVREQASVCSLESGVLGQKVRKSLAGAGGTQCSQDKRFRKASTVKEPILQYVKRQKSQVQVQVQ >ORUFI09G16980.1 pep chromosome:OR_W1943:9:17210836:17215153:1 gene:ORUFI09G16980 transcript:ORUFI09G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRGAAPAAAFEYCELCRRNHDQGRRHRYFPAHRAALAAALSRFRSKLLDLRRALRHPSSAARSRLWCPFCSADLVDLDSRFACSNAIYHLASQDHLNGVKAFLQKHGGGMDQWEKCCESSSTEQETSTEGSNRETLVEIPVRA >ORUFI09G16990.1 pep chromosome:OR_W1943:9:17224209:17224694:1 gene:ORUFI09G16990 transcript:ORUFI09G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKMPVSWDLAELEHNAVPNMAAAASAAEPGIAAVAASRGAPGRPECSVDLKLGGLGEFGAADALKEPAAAAKAPVSSAAAAASVAKVPPSTSTLKRPRGGGGGGGGQCPSCAVDGCKADLSKHRDYHRRHKVCEPHSKTPVVVVSGREMRFCQQCSR >ORUFI09G17000.1 pep chromosome:OR_W1943:9:17228500:17228967:1 gene:ORUFI09G17000 transcript:ORUFI09G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTTAHHHHHHHQVLDSDCALSLLSSPANSSSVDVSRMVQPSTAAAAGAEHHHHHHHHHHQIPMAQPLVPNLQQQFGGSSPWFASSPAAAAVAGGGFACPSMDSEQQQQQQLNAVLVPGSNENEMNYHGMFHVGGEGSSDGTSPSLPFSWQ >ORUFI09G17010.1 pep chromosome:OR_W1943:9:17234067:17240344:1 gene:ORUFI09G17010 transcript:ORUFI09G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSGQSMTRTLERYQKCSYGGPDTAIQNKENELVQSSRNEYLKLKARVENLQRTQRNLLGEDLGTLGIKELEQLEKQLDSSLRHIRSTRTQHMLDQLTDLQRREQMLCEADKCLRRKEKLEESNQLHGQVWEHGATLLGYERQSPHAVQQVYSRADEQLMRDCLHADMATLNS >ORUFI09G17020.1 pep chromosome:OR_W1943:9:17243687:17246146:1 gene:ORUFI09G17020 transcript:ORUFI09G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWRLAVLACLCAAAAAAPAEAKTHHHTWNITYQYKSPDCFRKLAVTINGESPGPTIRAAQGDTLVVTVHNMLDTENTAIHWHGIRQIGSPWADGTAGPGTYMYHAHYGMQRVAGLDGMLVVSVPDGVAEPFAYDGEHTVLLMDWWHQSVYEQAVGLASVPMVFVGEPQSLLINGRGVFNCSPPAASNGGGAACNAFGGECGWPTLFTASPGKTYRLRIGSLTSLASLSFEIEGHTMTVVEADGYYVTPVVVKNLFIYSGETYSVLVTADQDPSRSYWAASHVVSRDPTKTAPGRAVVRYASAAVDHPRTPPPTGPRWNDTASRVAQSRSFAALPGHVEPPPARPDRVLLLLNTQSKIDNHTKWAINGVSLSFPATPYLVAMKHGLRGEFDQRPPPDSYDHGSLNLSSPPASLAVRHAAYRLALGSVVDVVLQNTAIPPPNGRSETHPWHLHGHDFWVLGYGEGKFVPEVDGPGLNAASARGGAVMKNTVALHPMGWTAVRFRASNPGVWLFHCHLEAHVYMGMGVVFEEGVDVLPRLPASIMGCGRTKGHHY >ORUFI09G17030.1 pep chromosome:OR_W1943:9:17252123:17254584:1 gene:ORUFI09G17030 transcript:ORUFI09G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSATAAAEAAEADQSRAMYELCALLLTVLRAWPEEGGGRGAAAWPRQVTAAGVASMLLGASVALMLCGSVTFMLGFFLMPWVIGLACVFLLVGFVTNLSVIWRAILWPASCSSSPKVASTFREDIIPNNVKLNLNFPANLDNWRVLFLQGILDMT >ORUFI09G17050.1 pep chromosome:OR_W1943:9:17259647:17261033:-1 gene:ORUFI09G17050 transcript:ORUFI09G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLRLGFLLVLCILAFLRPAAAIRFVIDREECFSHNVDYEGDTVHVSFVVIKAETPWHYTEDGVDLVVRDPNGNQIHDSRDKISDKFEFIVHRRGVHRFCFTNKSPYHETVDFDVLVSHFSYYDQHAKDEHFSALFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAVHKAFFESAALIAASVVQVYLLHRLFERKLGTSRV >ORUFI09G17060.1 pep chromosome:OR_W1943:9:17262878:17263702:1 gene:ORUFI09G17060 transcript:ORUFI09G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRCRKSCCLHWMNYLSPDLKCSNFHRRRLRAHHQAPCPSRQQVEQHIKRKLMSQGIDPQTHQPVSAGTSVAAASELTTTTSTVSFPSLRGRRREGARGGRREGWEGSSSKSSSPAEAESAAEVSLSSASRSTAKSSLPLDDDEEDGDGDLAAGLMAEGKGCALVGWGGLDAPPCSPTSAPLPCSPSTAPPAAALALRFSARGRARAPLLGLPRLRPPPLRWRLPSAAPPASACVAPPATGLPH >ORUFI09G17070.1 pep chromosome:OR_W1943:9:17264968:17266879:-1 gene:ORUFI09G17070 transcript:ORUFI09G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGRAPVPAKKKTEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKAREKLLAKEAAQRMT >ORUFI09G17080.1 pep chromosome:OR_W1943:9:17272266:17276836:1 gene:ORUFI09G17080 transcript:ORUFI09G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKHSTACLHRPTTGRRGQAGRRGRAAGRRSALWRRGRTSSPPRRRRPPSPPPPPPRSAPAPWRTRRRRTAAAAAPPPRTRTPPRPPPAAAGTAGGSRSPSGRRTAAAPRRRTPRGTPPPASSTPAAGTGPASS >ORUFI09G17090.1 pep chromosome:OR_W1943:9:17272611:17273030:-1 gene:ORUFI09G17090 transcript:ORUFI09G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKLAMAERGRRGLIMKTLDRCRPPAWRRPAEGCLSVYVGAARQRFVVRTASVNHPLFRPLLEEAEEAFGYAAAGPLQLPCDAAVFARVLEQIEEEEEEETAAAGDVAARRCGLAARGHSAYRLLVPGGRPALAGRS >ORUFI09G17100.1 pep chromosome:OR_W1943:9:17274780:17276679:-1 gene:ORUFI09G17100 transcript:ORUFI09G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAAAAAMAVFLAMALVLSGTEARFLSNNITVVGSVYCDACSNNTFSKHSFFLKGARVLIQCSFKVNSTMAEELSLEAERTTDQNGVYKLDVPAAGGFDCREGHDLRSACRATLVRSSSAACNVPGLRGSTQHIALRSRATNACFLNLNALNFRPAKRDAALCHGGDGAGAGGGAAFGSSLFFWPFLPLFWPPYRLPGGGGGTVSFPWPFPVPDWLVPFLRPPFLPFTLYQPAPAGSAPPPFYRFPPSQEASPSQP >ORUFI09G17110.1 pep chromosome:OR_W1943:9:17277595:17279746:-1 gene:ORUFI09G17110 transcript:ORUFI09G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLWASVFGILGNIVSFLVFLAPMPTFLRVYRKKSTEGFSSVPYVVALFSCTLWILYAMVKTNSSPLLTINAFGCVVEAAYIAVYLVYAPRPARLRALTSFLLLNVAAFSLVVVVTVAAVAQPHRVRVLGSICLAFSMAVFVAPMSVIMVVIKTKSAEFMPFSLSFFLTLSAVAWFFYGLFTNDLYVTLPNVGGFFFGCVQMALYFKYRKPNTAAGGVMILPTTAAAAAVDGAVAEPAAAAQQLAEELEMELAAAGAHAVAVLPASALPVLAELHKMEQEIGTPRKGATKTV >ORUFI09G17120.1 pep chromosome:OR_W1943:9:17283514:17287350:-1 gene:ORUFI09G17120 transcript:ORUFI09G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPPSRGGGGGAAGGGEQPRVYQVWRGSNEFFLQGRFIFGPDVRSLFLTIFLILAPVLVFCIFVARHLINDFPDHWGVSVMVVVVVFTIYDLTLLLLTSGRDPGIVPRNTHPPEPESIDGGSDMGNGQTPQQLRLPRTKDVFVNGVIVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGRRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRDAENSTIWKAMLKTPASIVLIIYCFICVWFVGGLSVFHFYLMSTNQTTYENFRYRYDRRANPYNRGMVNNFLEIFCTAIPPSKNNFRARVPVDQGLQQTRTPARGFMSPNMGKPVGDLELGRKPVSWDEPRSAADIRDLEVGLGGLLDEKEGRIAHASPDLSLPGELVEGRAGMHSRRSSWGHRSGTSESMDSIAVQMGTEANWGSISSGHGTTSSTH >ORUFI09G17130.1 pep chromosome:OR_W1943:9:17287408:17287893:-1 gene:ORUFI09G17130 transcript:ORUFI09G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSTTGIILPRFYFIFKNRAGLPGGDSTRQPASTPTETATRAPPPHTAAKKLLPRAHHHPPGLPPLPLPLLRVPRQRALPLLLSSPIRLLLLTTLASPSRLRITRRRRRLLLVVAAALPVLQEVLRGGDLGGVCCLLLEVVAVEEEEEVAVVGEVGWGLE >ORUFI09G17140.1 pep chromosome:OR_W1943:9:17291851:17294061:1 gene:ORUFI09G17140 transcript:ORUFI09G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFSLPSYVCTREGPDHAPRFKATVTFNGETFDGPSNCTTLRQAEHAAAEVALARLSLRGPSSSLTARVLDETGVYKNLLQETAHRAGLKLPVYTTVRSGPGHSPVFSSTVELAGMSFAGDPAKTKKHAEKNAAMAAWSSLKQMPEARKEPGGGGGEEQEHVVVARVLAALKPRDDCGGGGGEGKAAAASLPKKHLAGASCSSATSLYRHQWGRPSPPPPAAGPKILPPLHLLQQQQAAASGSRAAAAELEQERRKAAELVHMLHAVMLRDRAADAMPPPTPCYYAPYYHHGGGVAPTRPFAGAAGFHAPPAVSVRSVIPVCAAPPSPRPPPRKEDDPATSSKRA >ORUFI09G17140.2 pep chromosome:OR_W1943:9:17291851:17294061:1 gene:ORUFI09G17140 transcript:ORUFI09G17140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFSLPSYVCTREGPDHAPRFKATVTFNGETFDGPSNCTTLRQAEHAAAEVALARLSLRGPSSSLTARVLDETGVYKNLLQETAHRAGLKLPVYTTVRSGPGHSPVFSSTVELAGMSFAGDPAKTKKHAEKNAAMAAWSSLKQMPEARKEPGGGGGEEQEHVVVARVLAALKPRDDCGGGGGEGKAAAASLPKKHLAGASCSSATSLYRHQWGRPSPPPPAAGPKILPPLHLLQQQQAAASGSRAAAAELEQERRKAAELVHMLHAVMLRDRAADAMPPPTPCYYAPYYHHGGGVAPTRPFAGAAGFHAPPAVSVRSVIPVCAAPPSPRPPPRKEDDPATSSKRA >ORUFI09G17150.2 pep chromosome:OR_W1943:9:17307793:17313836:-1 gene:ORUFI09G17150 transcript:ORUFI09G17150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRWRGLRAVGASGWRMSSAAVNGLAGAGGGIIAQIITYPLQTVNTRQQTERSAKKKKANGAAIANTSTLFQMLQLFQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVEDLAVARGKKGLGDGTVGMFSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAEKKIMESKKELLLKDVARANSIEVSILKDRLYKLDSEKPRPYGTIQAVREVYRESGIRGFWKGLIPTLIMVCNPSIQFMIYETLAKRLRSKRSGKELPKKNLTAMESRLQAKQEIGRNVMSRYTGTIDAIIKMIRYEGLHGFYKGMGTKIVQSVFAASVLFM >ORUFI09G17150.3 pep chromosome:OR_W1943:9:17307796:17312815:-1 gene:ORUFI09G17150 transcript:ORUFI09G17150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVNGLAGAGGGIIAQIITYPLQTVNTRQQTERSAKKKKANGAAIANTSTLFQMLQLFQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVEDLAVARGKKGLGDGTVGMFSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAEKKIMESKKELLLKDVARANSIEVSILKDRLYKLDSEKPRPYGTIQAVREVYRESGIRGFWKGLIPTLIMVCNPSIQFMIYETLAKRLRSKRSGKELPKKNLTAMESRLQAKQEIGRNVMSRYTGTIDAIIKMIRYEGLHGFYKGMGTKIVQSVFAASVLF >ORUFI09G17150.4 pep chromosome:OR_W1943:9:17313636:17315188:-1 gene:ORUFI09G17150 transcript:ORUFI09G17150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRDGEDGGGEVARSRGWRTSGGAGSGRWALAVGGARCSAAGSRSRKVAMPCGCLSPLGLATIWVDAAIWVGLKTWGRVIDY >ORUFI09G17160.1 pep chromosome:OR_W1943:9:17317141:17319964:-1 gene:ORUFI09G17160 transcript:ORUFI09G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRFLLLSRLPAAAASSTSRLLRPLAAAGSLLPAALAPSAPRAAAAAARCFATQPATSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVVEPPPGDPSNPEPTRDEIIDGYIKTLAQVVGSEEEARHKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVRNKDYGGEPFINGEAVPYDPKYHEEWVRNNARANERSRRNDRPRNFDRSRNFERRRENMQNFQNRDVPPGQGFNSPPPPGQGPVPPRDAPPMHHAQGNVPPPPPPNAGPPNYQPHAPNPQGYTNYQQGGAPGYQGGPPGYQGSNQGYQGPPPPPPSAYQGNNPGYQGGGPGYQGGNPPPYQGGNPGYAPGYHGQGGNPSYQQGGDNYNAGAPAYERDGQGRNYQ >ORUFI09G17170.1 pep chromosome:OR_W1943:9:17328606:17331339:1 gene:ORUFI09G17170 transcript:ORUFI09G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGQQLPPGFRFHPTDEELVVQYLRRRALCRPLPAAVIPDVHDAAVLDPWDLPGAGDGEAYFFSFRQLAAASGGGGWRRRRAGSGYWKATGAEKPVFLRGFGCGGGGGGGGQHLVGVKTTLLFLRAKPPSRTHWVMHEYRLAAAGAVAVAAAGQTKRGNHSCMAQPGEWVVCRIFLKNNRSSRRRAGDADGETPVTGVHGHRRRQPSPSPSSSSCVTAEVSDGEGEEEVSSGSINGAPSASQREA >ORUFI09G17180.1 pep chromosome:OR_W1943:9:17332457:17338856:1 gene:ORUFI09G17180 transcript:ORUFI09G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAARRQLGSGPMLGQVLRRLRPATAAAADAARAYSAAAKEMTVREALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPERVLDTPITEAGFTGIAVGAAYQGLRPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLVPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPISAEVLDSSFALPIGKAKIEREGKDVTITAYSKMVGYALQAADILSKEGISAEVINLRSIRPLDRATINASVRKTNRLVTIEESFPQHGIGAEICMSVVEESFEYLDAPVERIAGADVPMPYAANLERMAVPQVDDIVRAAKRACYRAVPMAATA >ORUFI09G17190.1 pep chromosome:OR_W1943:9:17340030:17346363:1 gene:ORUFI09G17190 transcript:ORUFI09G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRKLRGFALQRHEQRVDRRGGHSPAAAAAANELLAASQDMADMRSCYDNLLSVAAAIANSAYEFSEALQEMGTCLLKRVTPNKDGINDKVLLLLGKAQFELRKLVDSYRVHVLNTITTPSQSLLNELQTVEEMKHQCDEKRELFEFLLNAQKEKGRSKNAKSDIGASEQLKQAQDDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGLKSLEAVEPHVRLAAEQQHIDHQFSALDEEDYSVDEENEDDYNDSHEDLSFDYGENKEGTEAGHASRSPTEELLDRSKAEYSSFPGERQRSGSQSAPLFPEKKLEAAERIKELRRSATRKLYTYVLPTPNDVRDTSQTVTANPTSGSPLGNKGAFYSSPLQPSTNVGDLRDNKLPSPTRLSNAHSVLKESNTNTTDTRTMLVLPLGDLSLPGYHDSKASDNKKVKRGSFSGPIVPRSRSTENIDVVSVPPRHSSSHQPSIHVRVSPNTSPPLLSSPKIKELHELPRPPANASKHTTFPSLVAHSAPLVPNSAPLAPRGQDHFRPRQTPPSAPQTASPLPTPPGPISRSFSIPSRGMRTSGISDGKETEDLQDKGPARMSLSSLPSAQTSLEDHRPLSGATESVSKT >ORUFI09G17200.1 pep chromosome:OR_W1943:9:17349396:17355485:1 gene:ORUFI09G17200 transcript:ORUFI09G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVPAIKEEVLPTMSASLIVRPHFAGNSTCHLGKLPAESSSNRASPCSYISDFKRNDVLDSLNGFDGNFRASHAAYGPAGFQGLKPDTGDVGSRSGPKLGSNVQMPAMRIVGFESGFANSTGGPDTMVADNTDSPLVIDNCHSLIEQHGPHARKRVLSPLNNALPGHFRGDALNIGSGDAKIQHSDCARRLYTSGFQDRKKANTAILDSFEAPTWPASRYSNWSTEQGVDKFSGSTFTDGPLLESRESFPCSDHLEALESVAVPLAKLAHPPLLNLSPLGPTWMHGTNTVGSHGESLRETEGSTCEGYSEGHGRSRIRDAFEKTNILHDDFDMRIPKKSSDRKSQNWGPESASVSPRIGCIRSIGLLPVRRSLIGSFEESLLSGRYSCGKDNQNIDGFLAVLNVTGGNFSPPTQKLPFSATSIDENSSLLYYSSIDLVGRLPMSSSKSPKLKRSLSNHDSRSAKSRLRIPVKGRVQLVVSNPEKTPLHTFFCNYDLSDMPAGTKTYTLVVTANVSALSWSSYDGIFIIAYMDNAASHLIHAGHIDVLYTIHELLISGCKGCRPGQNCNLIDDSEKGGRKNMTCCSMECDIRESNDSSSLETSENGSSTNVCCCQSDTFPLGEKKYCCRSSKINDPAGGALRYALHLRFLSPFAKKPSRSMQRSKSDVSSEPYNHSSGPEEHRRFYLYNDVRVVFPQRHSDADEGELRVEHDFPADPKYFDISN >ORUFI09G17200.2 pep chromosome:OR_W1943:9:17349929:17355485:1 gene:ORUFI09G17200 transcript:ORUFI09G17200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVPAIKEEVLPTMSASLIVRPHFAGNSTCHLGKLPAESSSNRASPCSYISDFKRNDVLDSLNGFDGNFRASHAAYGPAGFQGLKPDTGDVGSRSGPKLGSNVQMPAMRIVGFESGFANSTGGPDTMVADNTDSPLVIDNCHSLIEQHGPHARKRVLSPLNNALPGHFRGDALNIGSGDAKIQHSDCARRLYTSGFQDRKKANTAILDSFEAPTWPASRYSNWSTEQGVDKFSGSTFTDGPLLESRESFPCSDHLEALESVAVPLAKLAHPPLLNLSPLGPTWMHGTNTVGSHGESLRETEGSTCEGYSEGHGRSRIRDAFEKTNILHDDFDMRIPKKSSDRKSQNWGPESASVSPRIGCIRSIGLLPVRRSLIGSFEESLLSGRYSCGKDNQNIDGFLAVLNVTGGNFSPPTQKLPFSATSIDENSSLLYYSSIDLVGRLPMSSSKSPKLKRSLSNHDSRSAKSRLRIPVKGRVQLVVSNPEKTPLHTFFCNYDLSDMPAGTKTYTLVVTANVSALSWSSYDGIFIIAYMDNAASHLIHAGHIDVLYTIHELLISGCKGCRPGQNCNLIDDSEKGGRKNMTCCSMECDIRESNDSSSLETSENGSSTNVCCCQSDTFPLGEKKYCCRSSKINDPAGGALRYALHLRFLSPFAKKPSRSMQRSKSDVSSEPYNHSSGPEEHRRFYLYNDVRVVFPQRHSDADEGELRVEHDFPADPKYFDISN >ORUFI09G17210.1 pep chromosome:OR_W1943:9:17355169:17360216:-1 gene:ORUFI09G17210 transcript:ORUFI09G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPATVAAAPRPLLPGLPRRGTAPPRGRWSASAASAASRGVAAKAARRGVREYVEAAREMVRRPDGGPARWFSPLECGGGGGRLPGAPTMLYLPGIDGVGLGLIRHHERLAKMFDMWCLHIPVEDCTSFEGLVEYVESAVKSEGQRARDRPVYLVGESVGACIALAVAARNPDIDLVLILVNPGTSFHKSQLQSLSVFLDLVPEPFHLTTPQLLNFLTGNFMKIPSTIVGRGFSFQEAGQALSEITTSLLPSLMSLLDVLPKESIVWKLKMLRTASSFVNSRLHAVKAQTLVLASWNDELLPSREEAERLRDALEKCRIRNFKDNGHKILLEAEFDLATAIKGAGYYRRSLETDFVSDYLPLTPDEFQKATDHIRMLQYIANPVMLSTLPDGKIVRGLSGLPKQGPAVIVGYHMLLGFELGPLVTGVLRSSGIHIRGLAHPFMFDKKKEKIMPDPSYYDMHRIMGAVPVTAGNFYKLLAEKHFVLLYPGGAREALHRKGEEYKLFWPEQSEFVRMASRFGATIIPFGVVGEDDICDMLLDYDDLMKIPFYDILDRMLNEDGVKLRTDSTGELKYQRIHPVVAAPKIPGRFYFIFGKPIETRGREKELRDKENAQHLYLNVKSEVESCMKYLKEKREKDPYRNILARLLYQMVHGLDAEVPTFEP >ORUFI09G17210.2 pep chromosome:OR_W1943:9:17355169:17360216:-1 gene:ORUFI09G17210 transcript:ORUFI09G17210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPATVAAAPRPLLPGLPRRGTAPPRGRWSASAASAASRGVAAKAARRGVREYVEAAREMVRRPDGGPARWFSPLECGGGGGRLPGAPTMLYLPGIDGVGLGLIRHHERLAKMFDMWCLHIPVEDCTSFEGLVEYVESAVKSEGQRARDRPVYLVGESVGACIALAVAARNPDIDLLQSLSVFLDLVPEPFHLTTPQLLNFLTGNFMKIPSTIVGRGFSFQEAGQALSEITTSLLPSLMSLLDVLPKESIVWKLKMLRTASSFVNSRLHAVKAQTLVLASWNDELLPSREEAERLRDALEKCRIRNFKDNGHKILLEAEFDLATAIKGAGYYRRSLETDFVSDYLPLTPDEFQKATDHIRMLQYIANPVMLSTLPDGKIVRGLSGLPKQGPAVIVGYHMLLGFELGPLVTGVLRSSGIHIRGLAHPFMFDKKKEKIMPDPSYYDMHRIMGAVPVTAGNFYKLLAEKHFVLLYPGGAREALHRKGEEYKLFWPEQSEFVRMASRFGATIIPFGVVGEDDICDMLLDYDDLMKIPFYDILDRMLNEDGVKLRTDSTGELKYQRIHPVVAAPKIPGRFYFIFGKPIETRGREKELRDKENAQHLYLNVKSEVESCMKYLKEKREKDPYRNILARLLYQMVHGLDAEVPTFEP >ORUFI09G17210.3 pep chromosome:OR_W1943:9:17355169:17360216:-1 gene:ORUFI09G17210 transcript:ORUFI09G17210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPATVAAAPRPLLPGLPRRGTAPPRGRWSASAASAASRGVAAKAARRGVREYVEAAREMVRRPDGGPARWFSPLECGGGGGRLPGAPTMLYLPGIDGVGLGLIRHHERLAKMFDMWCLHIPVEDCTSFEGLVEYVESAVKSEGQRARDRPVYLVGESVGACIALAVAARNPDIDLVLILVNPGTSFHKSQLQSLSVFLDLVPEPFHLTTPQLLNFLTGNFMKIPSTIVGRGFSFQEAGQALSEITTSLLPSLMSLLDVLPKESIVWKLKMLRTASSFVNSRLHAVKAQTLVLASWNDELLPSREEAERLRDALEKCRIRNFKDNGHKILLEAEFDLATAIKGAGYYRRSLETDFVSDYLPLTPDEFQKATDHIRMLQYIANPVMLSTLPDGKIVRGLSGLPKQGPAVIVGYHMLLGFELGPLVTGVLRSSGIHIRGLAHPFMFDKKKEKIMPDPSYYDMHRIMGAVPVTAGNFYKLLAEKHFVLLYPGGAREALHRKGEEYKLFWPEQSEFVRMASRFGATIIPFGVVGEDDICDMLLDYDDLMKIPFYDILDRMLNEDGVKLRTDSTGELKYQRIHPVVAAPKIPGRFYFIFGKPIETRGTSLKNYARREKELRDKENAQHLYLNVKSEVESCMKYLKEKREKDPYRNILARLLYQMVHGLDAEVPTFEP >ORUFI09G17220.1 pep chromosome:OR_W1943:9:17361982:17362269:1 gene:ORUFI09G17220 transcript:ORUFI09G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFITDAVADPLAAGHGGCRRNRTPFRLHRTPLPLSLLLTALAAELLAVAASLAAAAAGSGEQWATATGRVAVPSNLGEKSEVRGGDGEACNAV >ORUFI09G17230.1 pep chromosome:OR_W1943:9:17363701:17366546:-1 gene:ORUFI09G17230 transcript:ORUFI09G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLHAHTHPPTAPAARPSPPPPARAPWAWSPEPEGWMTWRSCDYCGEAAAALHCRADAARLCVACDRHVHGANALSRRHVRAPLCARCEARPAAARVAAVAGAGGCGGGGEARFLCAGCADDDGAEAARVPVVGFSGCPGAAELAASWGLDLGGGGGRDEFEEDPFFPEAGYPMLAADRVLRDMYVPCDPPPEVAAGGRGRRLKGDSLCHQLAELARREMESAPAQANSGSISPSARRGSAAAIRHEAAAAAAAQRATLPYKSTPVTEAAGCGDVGNGEQFTDDNELVWQRTAPSDPPCQIWDFNLGKSRDHDEHSALELHFGPKDGGFMIKSYNDMIEEVSSSSRKDLQYIYDSTYSFATEDIVSANIYQLTPKQLSTATSGNRRHKNEQHGLTNDGPSSSRIVDVDRTLNSSPEEVAAVLAGENCITDQTVTGADQRNSLKIDSKTIAMNRDNAMQRYDKHIRYESRKMRADTRTRVKGRFVRATDIFNVGGGDGG >ORUFI09G17240.1 pep chromosome:OR_W1943:9:17368873:17369218:1 gene:ORUFI09G17240 transcript:ORUFI09G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSASDKPREINQQMQARSRLQRCVLPELPVDEDEQTKLVIDRCSDDSHSCRLQLMHWCIC >ORUFI09G17250.1 pep chromosome:OR_W1943:9:17376350:17378568:1 gene:ORUFI09G17250 transcript:ORUFI09G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTRSHTRRHRPAGSTHLRSTTRRRTSLLRGTRATSARGSSRHTTTRPRMIPTTTMVTTTTTRTITTTATTTMATTTMTAALASSEDGWPFFAAAACWRNVAAAAADGLNALKLLVINYS >ORUFI09G17260.1 pep chromosome:OR_W1943:9:17378904:17382404:1 gene:ORUFI09G17260 transcript:ORUFI09G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGTKPLQIWDKEVVDGHIKRPHDEDIQSNVLEIIGTNVQSTYITCPADPAATLGIKLPFLALIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQSVTRVKPYICTMPLKLEDGWNNIQLNLSDLTKRAYGTNYVETLRVQVHANCRLRRIYFADRLYSEEELPPEFKLYLPIQKA >ORUFI09G17270.1 pep chromosome:OR_W1943:9:17394066:17396098:-1 gene:ORUFI09G17270 transcript:ORUFI09G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSHLPQQMEHGLITNNGFLFCHGSHGGAATTTAPAIPEDASMETSSVVLDTSPQDKKRKPREEDTASLNSAHSKEAKENGRKRGGKKHSRDQMEEEAPQGFIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASLSPVLYGFGIDSDAFSDHSQKMEGMFHEAVAIPASVLNRGSSPAQSHAIMDTSNTSPTPYTLQVQGGSNNNSLSQDNGSYIMQTVGEPRQELFNQVVLNNYMRE >ORUFI09G17270.2 pep chromosome:OR_W1943:9:17394560:17396098:-1 gene:ORUFI09G17270 transcript:ORUFI09G17270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSHLPQQMEHGLITNNGFLFCHGSHGGAATTTAPAIPEDASMETSSVVLDTSPQDKKRKPREEDTASLNSAHSKEAKENGRKRGGKKHSRDQMEEEAPQGFIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASLSPVLYGFGIDSDAFSDHSQKMEGMFHEAVAIPASVLNRGSSPAQSHAIMDTSNTSPTPYTLQVQGGSNNNSLSQDNGSYIMQTVGEPRQELFNQVVLNNYMCSFQ >ORUFI09G17280.1 pep chromosome:OR_W1943:9:17405745:17412565:-1 gene:ORUFI09G17280 transcript:ORUFI09G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTRLDDSPMFRKQIQSLEEGSELLRERCLRFHKGCRKYTEGLGEAYDGDIAFASSLEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVENMLNDKLLQFVDIDLHDVKDARKRFDKASLLYDQARERYLSLKKGTRTDVATAVEDELHSARSSFEQARFNLVTALSNIEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLAYAQQSRERSNYEQAALVERMQEFKRQIDRESRWSPNGINDSPNGDGIQAIGRSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNSRPSSGYTNQRSSAPSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPTKNYTLQAESAMDQMDWIEKITGVIASLLSSQSPERRLLSSPKGSGHNRTASESSSFSSSTELDHSISEDCMLERNSGSGYFDHSGRAIQHHRTSMKPDKPIDLLRKVVGNNICADCGAAEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVRVWEASVINLFQSLGNTFANTIWEDMLPSSSSVDHGDNSRADGLENTSHNLIFSKPKHSDHIAVKEKFIHAKYAERDYVRKLNMDDSLVAQQMWENVSSNNKKGVYSLIVGSNADVNLTYGQTSFNSALTLGKALLLQEQPTSPSDGSSRCFDRSSLERISPRDSLSLASTSARIDELDDCVEGLSLLHLACRVADVGMVELLLQYGANVNSADSRGRTPLHHSILKGRHMFAKLLLSRGADSQATDRDGRTALQYAIDSGTIDDEEILVLLEDPSR >ORUFI09G17290.1 pep chromosome:OR_W1943:9:17428796:17430676:1 gene:ORUFI09G17290 transcript:ORUFI09G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEMAFLPPSPLPSFLEVEAVNGALTTLCRGAARGVASWAADAWPAIWRAGDGGDSTTSSSSLKSQSTFSWLPEEEPQEH >ORUFI09G17300.1 pep chromosome:OR_W1943:9:17430002:17430478:-1 gene:ORUFI09G17300 transcript:ORUFI09G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEPGELSPPAIGHSGHGDLNSPPPAAPAGASSPAAASAQCSCGSSSGSQEKVDWDFSDDDEVVESPPSPARQMAGQASAAHEATPLAAPRQSVVSAPFTASTSRKEGSGEGGRKAISSAITPASARCLFPVGGKPKEASKTKISYCCSGDEKVKRV >ORUFI09G17310.1 pep chromosome:OR_W1943:9:17431493:17432976:-1 gene:ORUFI09G17310 transcript:ORUFI09G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRLPPSHRQASERDTAEEREGETAVRGRRTWRRGDAGAGGVGAVQRRLVLALVRLADTPCIKRGLESPSAWKSPWFVDMQFQGSYFVSPADRTYNALGFVKQINVQTAAALAEAREVLVSGGQSENINSGKENLETTVMNSTLPSSKQPMSLKCSSSPATGMRVLLPRTAVSPSRSSAVSRSLACRWLGGSLEVVVVVVVRAPAPPTSLG >ORUFI09G17320.1 pep chromosome:OR_W1943:9:17436030:17439500:-1 gene:ORUFI09G17320 transcript:ORUFI09G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGYVLLKRLQRERRRRRRSRHALVPVVADESIASPEKRKGSCYQQDDSPRSGKKMRYSGPYLPEEMWQHIHSLMPMKDAARAACLSSAFLYSWRNRPKLSFSTETMGIVEGTTDFIRKIDRVMKKHSGIGVKALTIEFNGLFSTKARSYLERWLQIAVTPRIEELSLSMSKGKAYYDFPCSLLSDGSGSSIRLLDLYCCTFHPTAEIGCFQSLTRLHLEYVRITGDELGCVFSTSFALEWLKLRLCRHIKYMKLPCVLQRLTYVEVRGCSRLRVIENKAPNLHSLHIFYQAYHPIQLSFGESSLVKNLSIGYSSVLNHACAELPYIFPNLETLTIRSLGEMVSTPMVPNTFLHLKYLCITLSAVTLSPSYDYLSLVSFLDACPSLDTFIVDVSAKHPENDSIFENPSHLRQLPEQRHDNLRNVKITGFRSVKSLLELTYHILENTSVECLTLDTSFESFRCSPGKLGRCSRMPKDDLMEASKALFAIRTYIEGKVPSTVRLNVVEPCSRCHVMEPFTVETRK >ORUFI09G17330.1 pep chromosome:OR_W1943:9:17442275:17448166:1 gene:ORUFI09G17330 transcript:ORUFI09G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPELSPRAVVEDGEVVDRIEGSTREAPPVTDDPICHSENLCCLMFSLPALLIGACAFAAAAVAASGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVLVPFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGGDTYDAVAANFANLTTAAHTYDAVAANYADLTTAAWLEATNAYPPGRIPGGDGRVNVTINCSCGDERVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVFIPVKDPNGSYHPLKSGVGIVLLFCELLCIYAKFRKATSRPSPEETSHLDDASQAEGIKVERSIEFSYEEIFNATQGFSMEHKIGQGGFGSVYYAELRGEVGSTFFSSWTTYQSAFTHKSIRRTQHFDKTAIKKMGMQATQEFLAELKVLTHVHHLNLVRLIGYCVENCLFLVYEFIDNGNLSQHLQRTGYAPLSWATRVQIALDSARGLEYLHEHVVPVYVHRDIKSANILLDKDFRAKIADFGLAKLTEVGSMSQSLSTRVAGTFGYMPPEARYGEVSPKVDVYAFGVVLYELLSAKQAIVRSSESVSESKGLVFLFEEALSAPNPTEALDELIDPSLQGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYHPF >ORUFI09G17330.10 pep chromosome:OR_W1943:9:17442275:17448166:1 gene:ORUFI09G17330 transcript:ORUFI09G17330.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPELSPRAVVEDGEVVDRIEGSTREAPPVTDDPICHSENLCCLMFSLPALLIGACAFAAAAVAASGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVLVPFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGGDTYDAVAANFANLTTAAHTYDAVAANYADLTTAAWLEATNAYPPGRIPGGDGRVNVTINCSCGDERVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVFIPVKDPNGSYHPLKSGVGIVLLFCELLCIYAKFEEALSAPNPTEALDELIDPSLQGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYHPF >ORUFI09G17330.11 pep chromosome:OR_W1943:9:17442275:17448181:1 gene:ORUFI09G17330 transcript:ORUFI09G17330.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPELSPRAVVEDGEVVDRIEGSTREAPPVTDDPICHSENLCCLMFSLPALLIGACAFAAAAVAASGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVLVPFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGGDTYDAVAANFANLTTAAHTYDAVAANYADLTTAAWLEATNAYPPGRIPGGDGRVNVTINCSCGDERVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVFIPVKDPNGSYHPLKSGVGIVLLFCELLCIYAKFEEALSAPNPTEALDELIDPSLQGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYHPF >ORUFI09G17330.2 pep chromosome:OR_W1943:9:17442275:17448166:1 gene:ORUFI09G17330 transcript:ORUFI09G17330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPELSPRAVVEDGEVVDRIEGSTREAPPVTDDPICHSENLCCLMFSLPALLIGACAFAAAAVAASGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVLVPFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGGDTYDAVAANFANLTTAAHTYDAVAANYADLTTAAWLEATNAYPPGRIPGGDGRVNVTINCSCGDERVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVFIPVKDPNGSYHPLKSGVGIVLLFCELLCIYAKFRKATSRPSPEETSHLDDASQAEGIKVERSIEFSYEEIFNATQGFSMEHKIGQGGFGSVYYAELRGEKTAIKKMGMQATQEFLAELKVLTHVHHLNLVRLIGYCVENCLFLVYEFIDNGNLSQHLQRTGYAPLSWATRVQIALDSARGLEYLHEHVVPVYVHRDIKSANILLDKDFRAKIADFGLAKLTEVGSMSQSLSTRVAGTFGYMPPEARYGEVSPKVDVYAFGVVLYELLSAKQAIVRSSESVSESKGLVFLFEEALSAPNPTEALDELIDPSLQGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYHPF >ORUFI09G17330.3 pep chromosome:OR_W1943:9:17442275:17448166:1 gene:ORUFI09G17330 transcript:ORUFI09G17330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPELSPRAVVEDGEVVDRIEGSTREAPPVTDDPICHSENLCCLMFSLPALLIGACAFAAAAVAASGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVLVPFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGGTPTTPSPPTTPTSPPPRGWRPPTRTRRGGSPAAMGGVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVFIPVKDPNGSYHPLKSGWQKFRKATSRPSPEETSHLDDASQAEGIKVERSIEFSYEEIFNATQGFSMEHKIGQGGFGSVYYAELRGEKTAIKKMGMQATQEFLAELKVLTHVHHLNLVRLIGYCVENCLFLVYEFIDNGNLSQHLQRTGYAPLSWATRVQIALDSARGLEYLHEHVVPVYVHRDIKSANILLDKDFRAKIADFGLAKLTEVGSMSQSLSTRVAGTFGYMPPEARYGEVSPKVDVYAFGVVLYELLSAKQAIVRSSESVSESKGLVFLFEEALSAPNPTEALDELIDPSLQGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYHPF >ORUFI09G17330.4 pep chromosome:OR_W1943:9:17442275:17448181:1 gene:ORUFI09G17330 transcript:ORUFI09G17330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPELSPRAVVEDGEVVDRIEGSTREAPPVTDDPICHSENLCCLMFSLPALLIGACAFAAAAVAASGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVLVPFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGGDTYDAVAANFANLTTAAHTYDAVAANYADLTTAAWLEATNAYPPGRIPGGDGRVNVTINCSCGDERVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVFIPVKDPNGSYHPLKSGVGIVLLFCELLCIYAKFRKATSRPSPEETSHLDDASQAEGIKVERSIEFSYEEIFNATQGFSMEHKIGQGGFGSVYYAELRGEVGSTFFSSWTTYQSAFTHKSIRRTQHFDKTAIKKMGMQATQEFLAELKVLTHVHHLNLVRLIGYCVENCLFLVYEFIDNGNLSQHLQRTGYAPLSWATRVQIALDSARGLEYLHEHVVPVYVHRDIKSANILLDKDFRAKIADFGLAKLTEVGSMSQSLSTRVAGTFGYMPPEARYGEVSPKVDVYAFGVVLYELLSAKQAIVRSSESVSESKGLVFLFEEALSAPNPTEALDELIDPSLQGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYHPF >ORUFI09G17330.5 pep chromosome:OR_W1943:9:17442275:17448181:1 gene:ORUFI09G17330 transcript:ORUFI09G17330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPELSPRAVVEDGEVVDRIEGSTREAPPVTDDPICHSENLCCLMFSLPALLIGACAFAAAAVAASGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVLVPFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGGDTYDAVAANFANLTTAAHTYDAVAANYADLTTAAWLEATNAYPPGRIPGGDGRVNVTINCSCGDERVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVFIPVKDPNGSYHPLKSGVGIVLLFCELLCIYAKFRKATSRPSPEETSHLDDASQAEGIKVERSIEFSYEEIFNATQGFSMEHKIGQGGFGSVYYAELRGEKTAIKKMGMQATQEFLAELKVLTHVHHLNLVRLIGYCVENCLFLVYEFIDNGNLSQHLQRTGYAPLSWATRVQIALDSARGLEYLHEHVVPVYVHRDIKSANILLDKDFRAKIADFGLAKLTEVGSMSQSLSTRVAGTFGYMPPEARYGEVSPKVDVYAFGVVLYELLSAKQAIVRSSESVSESKGLVFLFEEALSAPNPTEALDELIDPSLQGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYHPF >ORUFI09G17330.6 pep chromosome:OR_W1943:9:17442275:17448181:1 gene:ORUFI09G17330 transcript:ORUFI09G17330.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPELSPRAVVEDGEVVDRIEGSTREAPPVTDDPICHSENLCCLMFSLPALLIGACAFAAAAVAASGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVLVPFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGGTPTTPSPPTTPTSPPPRGWRPPTRTRRGGSPAAMGGVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVFIPVKDPNGSYHPLKSGWQKFRKATSRPSPEETSHLDDASQAEGIKVERSIEFSYEEIFNATQGFSMEHKIGQGGFGSVYYAELRGEVGSTFFSSWTTYQSAFTHKSIRRTQHFDKTAIKKMGMQATQEFLAELKVLTHVHHLNLVRLIGYCVENCLFLVYEFIDNGNLSQHLQRTGYAPLSWATRVQIALDSARGLEYLHEHVVPVYVHRDIKSANILLDKDFRAKIADFGLAKLTEVGSMSQSLSTRVAGTFGYMPPEARYGEVSPKVDVYAFGVVLYELLSAKQAIVRSSESVSESKGLVFLFEEALSAPNPTEALDELIDPSLQGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYHPF >ORUFI09G17330.7 pep chromosome:OR_W1943:9:17442275:17448166:1 gene:ORUFI09G17330 transcript:ORUFI09G17330.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPELSPRAVVEDGEVVDRIEGSTREAPPVTDDPICHSENLCCLMFSLPALLIGACAFAAAAVAASGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVLVPFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGGDTYDAVAANFANLTTAAHTYDAVAANYADLTTAAWLEATNAYPPGRIPGGDGRVNVTINCSCGDERVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVFIPVKDPNGSYHPLKSGWQKFRKATSRPSPEETSHLDDASQAEGIKVERSIEFSYEEIFNATQGFSMEHKIGQGGFGSVYYAELRGEVGSTFFSSWTTYQSAFTHKSIRRTQHFDKTAIKKMGMQATQEFLAELKVLTHVHHLNLVRLIGYCVENCLFLVYEFIDNGNLSQHLQRTGYAPLSWATRVQIALDSARGLEYLHEHVVPVYVHRDIKSANILLDKDFRAKIADFGLAKLTEVGSMSQSLSTRVAGTFGYMPPEARYGEVSPKVDVYAFGVVLYELLSAKQAIVRSSESVSESKGLVFLFEEALSAPNPTEALDELIDPSLQGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYHPF >ORUFI09G17330.8 pep chromosome:OR_W1943:9:17442275:17448166:1 gene:ORUFI09G17330 transcript:ORUFI09G17330.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPELSPRAVVEDGEVVDRIEGSTREAPPVTDDPICHSENLCCLMFSLPALLIGACAFAAAAVAASGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVLVPFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGGDTYDAVAANFANLTTAAHTYDAVAANYADLTTAAWLEATNAYPPGRIPGGDGRVNVTINCSCGDERVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVFIPVKDPNGSYHPLKSGWQKFRKATSRPSPEETSHLDDASQAEGIKVERSIEFSYEEIFNATQGFSMEHKIGQGGFGSVYYAELRGEKTAIKKMGMQATQEFLAELKVLTHVHHLNLVRLIGYCVENCLFLVYEFIDNGNLSQHLQRTGYAPLSWATRVQIALDSARGLEYLHEHVVPVYVHRDIKSANILLDKDFRAKIADFGLAKLTEVGSMSQSLSTRVAGTFGYMPPEARYGEVSPKVDVYAFGVVLYELLSAKQAIVRSSESVSESKGLVFLFEEALSAPNPTEALDELIDPSLQGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYHPF >ORUFI09G17330.9 pep chromosome:OR_W1943:9:17442275:17448166:1 gene:ORUFI09G17330 transcript:ORUFI09G17330.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPELSPRAVVEDGEVVDRIEGSTREAPPVTDDPICHSENLCCLMFSLPALLIGACAFAAAAVAASGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVLVPFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGGDTYDAVAANFANLTTAAIPGGDGRVNVTINCSCGDERVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVFIPVKDPNGSYHPLKSGWQKFRKATSRPSPEETSHLDDASQAEGIKVERSIEFSYEEIFNATQGFSMEHKIGQGGFGSVYYAELRGEKTAIKKMGMQATQEFLAELKVLTHVHHLNLVRLIGYCVENCLFLVYEFIDNGNLSQHLQRTGYAPLSWATRVQIALDSARGLEYLHEHVVPVYVHRDIKSANILLDKDFRAKIADFGLAKLTEVGSMSQSLSTRVAGTFGYMPPEARYGEVSPKVDVYAFGVVLYELLSAKQAIVRSSESVSESKGLVFLFEEALSAPNPTEALDELIDPSLQGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYHPF >ORUFI09G17340.1 pep chromosome:OR_W1943:9:17450206:17450799:1 gene:ORUFI09G17340 transcript:ORUFI09G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGKAPELLKKAATIVRSKASTVRARLLIVASLRRRMAMIGAMSHRIHALMVEKEKARVDYYIKNKNKNKNQHALRKVVVHDEMVITDHDRHLSELAMFDQEDHHGYSTDHWTHSLFNDDDACYSDDQDDCGDDDDDDVHGVLFGAFDDGDDEPSVIDVIRSNREDEGLEFNIDDEIDQAADMFIRRIRNRMNRSV >ORUFI09G17350.1 pep chromosome:OR_W1943:9:17456729:17457289:1 gene:ORUFI09G17350 transcript:ORUFI09G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGKAPELLKKAATIVRSKASTVRARLLIVASLRRRMAMIGAISHRIHALIVEKEKARVDYYHKNKNHDGNKALVMRKVVVHDEMVIADDHDRHLSELAMFDQEDHHGYSTDHWTHSLFNDDDTCYSDDQDDCGDDDGDDDEPSVIDIIRSNREDEGLEFNIDDEIDQAADMFIRRIRSRMSRSV >ORUFI09G17360.1 pep chromosome:OR_W1943:9:17459423:17459678:1 gene:ORUFI09G17360 transcript:ORUFI09G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCGPCKSPAIRLLLDLSLLHEKNYTVQYRYSQRTRTYPYEHTHANPTSMSIFED >ORUFI09G17370.1 pep chromosome:OR_W1943:9:17460352:17464110:1 gene:ORUFI09G17370 transcript:ORUFI09G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAEPMDLEVSPDSSPAAAAAAVCSICLDAVACGDGVAARSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRNIEKGHWLYGNESQPCSHSDTGDWLNGETFDYPFSFEFGWCPFNTPLTSVFGESESEPNPCFPVYEKWTVLEYIGSLHGFHHPMYAPSSSTASTESIPFHQRPTGTEGHATTDLRNTQVFNESEPRNHEREQQYLGSVQMPGTLNHSTAPFGIGMPRYDGGNQQRLRPHMHDNSLFHRPTARRASNLAHLRSLTAASETRGHGHGMTSHAVQQTIPSSMASNPQPPATRRVRPRALSITSFIAASSSAEIRAPHDFPLTETASTTNGNIRNGVGAPRHANQSYSWSSETFWPQTGEPHWWSPMAPVHNRSYDNFSGRSATELLSIYGAQNGLPTPRFL >ORUFI09G17380.1 pep chromosome:OR_W1943:9:17464970:17469859:-1 gene:ORUFI09G17380 transcript:ORUFI09G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARVVFICCVVLLAAAAAAASSSTAAGITRADFPPEFIFGAGSSAYQVEGAFAEDGRKPSIWDTFSHSGYSVDGATGDEDVKLLQDMGVDAYRMSISWSRLIPDGRGAVNPKGLEYYNNLIDELLSHGIQPHVTIYHFDFPQALQDEYNGILSPRFVEDFTAYADVCFKNFGDRVKHWSTVNEPNIEPIGGYDQGILPPRRCSFPFGVLSCDNGNSTTEPYIVAHHLLLAHSSAVSLYREKYQATQGGQIGLTLLGWWYEPGTQDPEDVAAAARMNDFHIGWYMHPLVYGDYPPVMRKNVGSRLPSFTAEESKRVLESYDFVGFNHYVAIFVRADLSKLDQSLRDYMGDAAVKYDLPFLKSNNEFPLGLTSDFMTSTPWALKKMLNHLQEKYKNPIVMIHENGAAGQPDPSGGNTYDDDFRSQYLQDYIEATLQSIRNGSNVQGYFVWSFLDVFEYLFGYRLRFGLYGVDFASPERTRYQRHSARWYAGFLRGGELRPAAAALAGGGAYSQ >ORUFI09G17390.1 pep chromosome:OR_W1943:9:17480057:17487543:-1 gene:ORUFI09G17390 transcript:ORUFI09G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPGALGTAAEPAAMAAGARALVPSPFIVVVFLLLAAAARDASALTRHDFPEGFVFGAGTSAFQVEGAAAEDGRKPSIWDTFTHQGYSPGGAIADVSADQYHHYKEDVKLMYDMGLDAYRFSIAWPRLIPDGRGEINPKGLEYYNNLIDELIMHGIQPHVTIYHFDLPQALQDEYGGILSPRFIEDYTAYAEVCFKNFGDRVKHWVTVNEPNIEPIGGYDAGVQPPRRCSYPFGTNCTGGDSSTEPYIVAHHLLLAHASAVSIYRQKYQAIQGGQIGITLLGWWYEPYTDAVADAAAAIRMNEFHIGWFMNPLVHGDYPPVMRSRVGARLPSITASDSEKIRGSFDFIGINHYFVIFVQSSDANHDQKLRDYYVDAGVQENGGGGFDKEHYQLHPWALGKMLHHLKLKYGNPPVMIHENGDADSPETPGKIDYDDDFRSDFLQSYLEVLHLSIRNGSNTRGYFVWSLLDGFEFLSGYGNRFGLCGVDFTAPARTRYVRSSARWYSDFLNGGELRPVKPFVAL >ORUFI09G17390.2 pep chromosome:OR_W1943:9:17480057:17487543:-1 gene:ORUFI09G17390 transcript:ORUFI09G17390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPGALGTAAEPAAMAAGARALVPSPFIVVVFLLLAAAARDASALTRHDFPEGFVFGAGTSAFQVEGAAAEDGRKPSIWDTFTHQGYSPGGAIADVSADQYHHYKEDVKLMYDMGLDAYRFSIAWPRLIPASIGLDGRGEINPKGLEYYNNLIDELIMHGIQPHVTIYHFDLPQALQDEYGGILSPRFIEDYTAYAEVCFKNFGDRVKHWVTVNEPNIEPIGGYDAGVQPPRRCSYPFGTNCTGGDSSTEPYIVAHHLLLAHASAVSIYRQKYQAIQGGQIGITLLGWWYEPYTDAVADAAAAIRMNEFHIGWFMNPLVHGDYPPVMRSRVGARLPSITASDSEKIRGSFDFIGINHYFVIFVQSSDANHDQKLRDYYVDAGVQENGGGGFDKEHYQLHPWALGKMLHHLKLKYGNPPVMIHENGDADSPETPGKIDYDDDFRSDFLQSYLEVLHLSIRNGSNTRGYFVWSLLDGFEFLSGYGNRFGLCGVDFTAPARTRYVRSSARWYSDFLNGGELRPVKPFVAL >ORUFI09G17390.3 pep chromosome:OR_W1943:9:17480057:17493429:-1 gene:ORUFI09G17390 transcript:ORUFI09G17390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGELALVSSLFIVVVFLLLGAVAREASALTRHDFPEGFVFGAGSSAFQVEGAAAEDGRKPSIWDTFINQGYMPDGSNADVSADQYHHYKEDVKLIGEINPKGLEYYNNLIDELIMHGIQPHVTIYHFDLPQALQDEYGGILSPRFIEDYTAYAEVCFKNFGDRVKHWVTVNEPNIEPIGGYDAGVQPPRRCSYPFGTNCTGGDSSTEPYIVAHHLLLAHASAVSIYRQKYQAIQGGQIGITLLGWWYEPYTDAVADAAAAIRMNEFHIGWFMNPLVHGDYPPVMRSRVGARLPSITASDSEKIRGSFDFIGINHYFVIFVQSSDANHDQKLRDYYVDAGVQENGGGGFDKEHYQLHPWALGKMLHHLKLKYGNPPVMIHENGDADSPETPGKIDYDDDFRSDFLQSYLEVLHLSIRNGSNTRGYFVWSLLDGFEFLSGYGNRFGLCGVDFTAPARTRYVRSSARWYSDFLNGGELRPVKPFVAL >ORUFI09G17390.4 pep chromosome:OR_W1943:9:17480057:17493429:-1 gene:ORUFI09G17390 transcript:ORUFI09G17390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATGELALVSSLFIVVVFLLLGAVAREASALTRHDFPEGFVFGAGSSAFQVEGAAAEDGRKPSIWDTFINQGYMPDGSNADVSADQYHHYKEDVKLMYDMGLDAYRFSIAWPRLIPDGRGEINPKGLEYYNNLIDELIMHGIQPHVTIYHFDLPQALQDEYGGILSPRFIEDYSAYAEVCFKNFGDRVKHWATFNQPNIEPIGGFDAGDRPPRRCSYPFGTNCTGGDSSTEPYIAIQGGQIGITLLGWWYEPYTDAVADAAAAIRMNEFHIGWFMNPLVHGDYPPVMRSRVGARLPSITASDSEKIRGSFDFIGINHYFVIFVQSSDANHDQKLRDYYVDAGVQENGGGGFDKEHYQLHPWALGKMLHHLKLKYGNPPVMIHENGDADSPETPGKIDYDDDFRSDFLQSYLEVLHLSIRNGSNTRGYFVWSLLDGFEFLSGYGNRFGLCGVDFTAPARTRYVRSSARWYSDFLNGGELRPVKPFVAL >ORUFI09G17390.5 pep chromosome:OR_W1943:9:17480057:17493429:-1 gene:ORUFI09G17390 transcript:ORUFI09G17390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATGELALVSSLFIVVVFLLLGAVAREASALTRHDFPEGFVFGAGSSAFQVEGAAAEDGRKPSIWDTFINQGYMPDGSNADVSADQYHHYKEDVKLMYDMGLDAYRFSIAWPRLIPDGRGEINPKGLEYYNNLIDELIMHGIQPHVTIYHFDLPQALQDEYGGILSPRFIEDYSAYAEVCFKNFGDRVKHWATFNQPNIEPIGGFDAGDRPPRRCSYPFGTNCTGGDSSTEPYIVAHHLLLAHASAVSIYRQKYQAIQGGQIGITLMVRWHEPYTDKTADAAAAIRMNEFHIGWFLHPLVHGDYPPVMRSRVGVRLPSITASDSEKIRGSFDFIGINHYYVIFVQSIDANEQKLRDYYIDAGVQGEDDTEHYQLHPWALGKMLHHLKLKYGNPPVMIHENGDADSPETPGKIDYDDDFRSDFLQSYLEVLHLSIRNGSNTRGYFVWSLLDGFEFLSGYGNRFGLCGVDFTAPARTRYVRSSARWYSDFLNGGELRPVKPFVAL >ORUFI09G17390.6 pep chromosome:OR_W1943:9:17488084:17493429:-1 gene:ORUFI09G17390 transcript:ORUFI09G17390.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATGELALVSSLFIVVVFLLLGAVAREASALTRHDFPEGFVFGAGSSAFQVEGAAAEDGRKPSIWDTFINQGYMPDGSNADVSADQYHHYKEDVKLMYDMGLDAYRFSIAWPRLIPDGRGEINPKGLEYYNNLIDELIMHGIQPHVTIYHFDLPQALQDEYGGILSPRFIEDYSAYAEVCFKNFGDRVKHWATFNQPNIEPIGGFDAGDRPPRRCSYPFGTNCTGGDSSTEPYIVAHHLLLAHASAVSIYRQKYQAIQGGQIGITLMVRWHEPYTDKTADAAAAIRMNEFHIGWFLHPLVHGDYPPVMRSRVGVRLPSITASDSEKIRGSFDFIGINHYYVIFVQSIDANEQKLRDYYIDAGVQDSPDIFGKINYNDDFRSANGSNTRGYFVWSMFDMFEFLYGYRLRFGLCGVDFTAAARTRYLKNSARWYSGFLRGGELRPEKSYATL >ORUFI09G17400.1 pep chromosome:OR_W1943:9:17494971:17497795:1 gene:ORUFI09G17400 transcript:ORUFI09G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRSKFWRLAALVPEVQCPDQPISPRQKFKYTAIVLFIFVTASQVLLYGIQHQPRTIEPDPLHWLHLILASSRSTLLSHGIVAILVPEVLVKIWVYLKIITLDTSAPETGVLMNRAQRLLGILVAILGAVNFYVRSQHFTVNTVLIMLQILCSDIIVIYLDDVLRKGYGFVNILWKAFSPMSVMYPEQSPEFEGAVIAWVHLLMTRTDKLSAMSKAFYRQNLPNIINFLATCLFVPLAIFFQGFYIVLPVRTRRNFQAYCHIKLSHFLYGPVVLHRLLLPLPYVASKVLYKKYSGNTLVNLLGKWDGLNHFGQSIPVGGIVYYLRTPPILADLHRDPFHAFIYVAFVLISCVFISMGLMVCASSKGVFNGFVVLNMQEERRLRLAQPDSIHANEIRRHVMRAACVGGFCAGVLIIFADLIGVFCSGTGIMLAVTASYPYVDGRASEVGSFGF >ORUFI09G17410.1 pep chromosome:OR_W1943:9:17498575:17499007:-1 gene:ORUFI09G17410 transcript:ORUFI09G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDIAARDAAGFVEVDHGAGAGSGILRWAVGSVFAVFWVALIIGLVGGEKDPVPAVRAVTRSPHDITHLGLYLAGALRT >ORUFI09G17420.1 pep chromosome:OR_W1943:9:17500060:17503055:1 gene:ORUFI09G17420 transcript:ORUFI09G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVVGAEANLGRMTPPASGGGGEGRTRWRWRSRAASTWGGLGRAGEGAAVVVVVTHRGGAGGEGSSDRGRVVGSKKSSISWQWRNKKQQSAVGEERRGEGRRERGLAVVEERRRLIFGSSGAGLAHSHPSLRPHPRVPPPPPPSSLSAFRRRHATPHLHRPATRDGGGGGGGVDGRGVVAVARISGAEVSSLLNAAKLR >ORUFI09G17430.1 pep chromosome:OR_W1943:9:17513307:17514282:1 gene:ORUFI09G17430 transcript:ORUFI09G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLATCIVLLATVFLEGFRVMLPLRSRDARGRQLALPIKLLYTSTMPVVLHSAAVSSLYTVSQLLHYSRFAGSLLGTWKKTGYAAVPVPVGGGAYYVTPPAGLSHAAAYAASLLASCTLFSGAWVEVSRSSAQDVARQLSVQRLALHGARERDAALRSHLSRYISTAAALGGLCVGALTILADMTGAIGSGTGILLAATVQLILRNKKL >ORUFI09G17440.1 pep chromosome:OR_W1943:9:17516280:17521265:1 gene:ORUFI09G17440 transcript:ORUFI09G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARARYSRQPNSHRLLAVVVLTAILLLPVASAAAAVVAAVAEGDGVENRSAARQWATGKDEGEVVSGEESRGGGSVVEDEFAGGFGSLDSMLQWAIGNSDPDKLKEQAEGVQKLSADELLKRRMEIKELMEKLKMPSDADLMKIAIADLNNSSISLEDRQRALQELLILVEPIDNANDLDKLGGLVAVIQDLNNANEEIRTTSAWVLGKASQNNALVQNQILGYGALARLVKMGYATSAEEATKALYAISALVRDNINGQEAFHSENGSAMLQHILASNSVDVRLQKKAVFLVTDLADFQLNSGNSGLPFLSDRIFLKSLVDMLSRFDLDLQEKVLLAIKSLLKLSSTEATDFESSDLSSVLYRLGVQLEELPSEEQKEYAGEVDDLRREVQILFQDKLKEGTKTAL >ORUFI09G17450.1 pep chromosome:OR_W1943:9:17522893:17526329:1 gene:ORUFI09G17450 transcript:ORUFI09G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRLSGPAAPPFVPAARVAAAWGARRRGASRSSSSVVAKMEGGGGGKGVPTTNYVVPLDKATGMTRPLVEILRDLNKRVPDKIIDPDTNTVPWYHANRMLSFYAPGWCGEVRNVIYSDNGTVTVVYRVILRGTDGEAYREATGTAPVHEGRNDDAVAAAEEAAFCKACARFGFGLYLYHQDEIP >ORUFI09G17450.2 pep chromosome:OR_W1943:9:17522745:17526329:1 gene:ORUFI09G17450 transcript:ORUFI09G17450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVGYYSWDARGVDGWLPRPLPRTLHASPLLSPSPSSNPAPLLLLLFLLPLPTHHHHLSPRHRSPSAFRMEALRLSGPAAPPFVPAARVAAAWGARRRGASRSSSSVVAKMEGGGGGKGVPTTNYVVPLDKATGMTRPLVEILRDLNKRVPDKIIDPDTNTVPWYHANRMLSFYAPGWCGEVRNVIYSDNGTVTVVYRVILRGTDGEAYREATGTAPVHEGRNDDAVAAAEEAAFCKACARFGFGLYLYHQDEIP >ORUFI09G17460.1 pep chromosome:OR_W1943:9:17527865:17530446:1 gene:ORUFI09G17460 transcript:ORUFI09G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPQLFGNGMPVPFYGEMFVLARDGVEFHVDKIPSAPGGHAKTKGTIYLSNIRMVFVASKPVGNFFAFDMPLLYVHGEKFNQPIFHCNNISGFVEPVVPENQNRALYSTHTFKILFKEGGCGTFVPLFLNLVASVRRYNQFEAQSAASMAPRVDPLQAVQTPVDDMMRHAYVDPNDPTKIFLQQPAPESQLRRRNYHGPADNAY >ORUFI09G17470.1 pep chromosome:OR_W1943:9:17533350:17536706:1 gene:ORUFI09G17470 transcript:ORUFI09G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQKKNAKPEGSSGSQRGAPPAPDAGLPNPFDFSQFSNLLNDPSIKEMAEQIASDPVFTQMAEQLQKSAHVTGEQGGPALDPQQYMETMTQVMQNPQFMSMAERLGNTLMQDPGMSSMLESLTNPSHKELLEERMSRIKEDPSLKGILDEIESGGPSAMVKYWNDPEVLQKIGQAMSINFPGDAATSTTLSGPEETEEDGGDDDESIVHHTASVGDAEGLKKALEDGADMDEEDAEGRRALHFACGYGELKCAEILLEAGAAVNALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTPQNLDGKTPIEVAKLNNQDEVLKVLEMDAFL >ORUFI09G17480.1 pep chromosome:OR_W1943:9:17537513:17542420:1 gene:ORUFI09G17480 transcript:ORUFI09G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGVGAAVRLRLRVLGRHLRLRGRRRTRRRRGGGGVEDEEEGGREAVVLVSGMGGSVLHARRRSNPRFDLRVWVRILRADADFRKYLWSLYNPDTGYVEPLDDDVEIVVPEDDHGLFAIDILDPSWFVEILHLSMVYHFHDMIDMLVDCGYKKGTTLFGYGYDFRQSNRIDKVMVGLRAKLETAYKVSGGKKVNIISHSMGGLLVSCFMSMNRDIFAKYVNKWICIACPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWVMHQLLVECPSIYEMLPNPHFKWKKAPVVQVWRKNPEKDGIAELVLYEATNCLSLFQEALRNNELKYNGKTIALPFNMSVFKWATETRRILEDAELPDTVSFYNIYGTSYDTPYDVCYGSESSPIGDLSEVCHTMPVYTYVDGDGTVPIESTMADGFAAKERVGIEADHRGLLCDENVFELLKKWLGVKEESTRRRRLSKSKVTDFAPS >ORUFI09G17490.1 pep chromosome:OR_W1943:9:17543159:17547478:-1 gene:ORUFI09G17490 transcript:ORUFI09G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAARWLRREVFVGLALGQFVSLLITSTGFSSSELARRGVNAPTSQSLLNYVLLALVYGGILIYRRQHLTIKWYYFLILGIVDVEANYIVVKAYQYTSLTSVMLIDCWAIPCVILLTWVFLKTKYGLRKFIGVVICVAGIILVVFSDVHASDRAKGPNPLKGDLFVISGAMLYAVSNVTEEYFVKKSSRIEVMAMLGVFGAVISARKYASISHFLNTATEAYLNDKNFGQPNGMLILPFIGFAAAMFLFYSTVPIILKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFVAFAGTAAGLVIYSYKGSKKVAEETAQVAGATDEEAATRVAGAGDDEPASTNKEVSSLAATTSSVIAGSLVHMGKRDFYGGSDVQSISGSQFQHCASQSIEWAWLVKRVEVIQNITNIKLASAK >ORUFI09G17500.1 pep chromosome:OR_W1943:9:17550353:17550749:-1 gene:ORUFI09G17500 transcript:ORUFI09G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFLRAMPGESSDNILPKSVDWRKKGAVVEVEYQEDCGSCWAFSAVAAIEGINKNGELVSLSEQELVDCDDEAVGCGGGYMSCSSSSSATTASPVTTEAS >ORUFI09G17510.1 pep chromosome:OR_W1943:9:17551563:17560539:1 gene:ORUFI09G17510 transcript:ORUFI09G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGLVARAARSPPQGLSPPRGRDLTPPPPAAAASSSMSSPWRPAEPYLRRRGSRVQVWRQATPFSLSHDDRRLLPPPHLAVVVVDDFALPPSNQIPDSAGPQARTRRRRAVPRSWRCRASVPFPTFSPVAMSSMQLGIQSSDQMSISTRALHGCNQKS >ORUFI09G17520.1 pep chromosome:OR_W1943:9:17552863:17558728:-1 gene:ORUFI09G17520 transcript:ORUFI09G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRDPLALSQVIGDVLDPFIKSAAMRINYGEKEITNGTGVRSSAVFTAPHVEIEGRDQTKLYTLVMVDPDAPSPSKPEYREYLHWIGMQPSRKGGGLVTDIPEAIDARNEIVPYEAPRPPAGIHRLVFVLFKQEARQTVYAPGWRQNFNVRDFSAFYNLGPPVAALYFNCQKESGTKLKAGCNIIQDYKI >ORUFI09G17520.2 pep chromosome:OR_W1943:9:17552863:17558728:-1 gene:ORUFI09G17520 transcript:ORUFI09G17520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRDPLALSQVIGDVLDPFIKSAAMRINYGEKEITNGTGVRSSAVFTAPHVEIEGRDQTKLYTLVMVDPDAPSPSKPEYREYLHWIGMQPSRKGGGCFLSNHQQLKNSCSICYWVGDRHPRGNRCTFWWFRTKLHGHQPEFIGLFLCYSNRKHDKQFMLQDGGKISTSEISLHFTILDHLLLHYTSTARRRVVLVAEGTKLKAGCNIIQDYKI >ORUFI09G17520.3 pep chromosome:OR_W1943:9:17552863:17558728:-1 gene:ORUFI09G17520 transcript:ORUFI09G17520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRDPLALSQVIGDVLDPFIKSAAMRINYGEKEITNGTGVRSSAVFTAPHVEIEGRDQTKLYTLVMVDPDAPSPSKPEYREYLHWLVTDIPEAIDAPRQTVYAPGWRQNFNVRDFSAFYNLGPPVAALYFNCQKESGTKLKAGCNIIQDYKI >ORUFI09G17520.4 pep chromosome:OR_W1943:9:17552863:17558728:-1 gene:ORUFI09G17520 transcript:ORUFI09G17520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRDPLALSQVIGDVLDPFIKSAAMRINYGEKEITNGTGVRSSAVFTAPHVEIEGRDQTKLYTLVMVDPDAPSPSKPEYREYLHWLVTDIPEAIDARNEIVPYEAPRPPAGIHRLVFVLFKQEARQTVYAPGWRQNFNVRDFSAFYNLGPPVAALYFNCQKESGTKLKAGCNIIQDYKI >ORUFI09G17530.1 pep chromosome:OR_W1943:9:17564155:17568492:1 gene:ORUFI09G17530 transcript:ORUFI09G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRWFCCTQFHAPYREHENEFPDIPEEKEGNGFAPKSDDPTKAPPPIEVPELSFDELKEKTDNFGSKALVGEGSYGRVYYATLDNGKQVAVKKLDASTEPEVDNDFLTQVSIVSRLRHENFVEMLGYCVEGNQRLVAYEFATMGSLHDILHGRKGVPGAQPGPALDWMQRVRIAIDAAKGLEYLHEKVQPSIVHRDIRSSNVLLFEDYKAKIADFNPSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDTVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLQHKPPPPPAVAPET >ORUFI09G17530.2 pep chromosome:OR_W1943:9:17564126:17568492:1 gene:ORUFI09G17530 transcript:ORUFI09G17530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYCVEGNQRLVAYEFATMGSLHDILHGRKGVPGAQPGPALDWMQRVRIAIDAAKGLEYLHEKVQPSIVHRDIRSSNVLLFEDYKAKIADFNPSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDTVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLQHKPPPPPAVAPET >ORUFI09G17530.3 pep chromosome:OR_W1943:9:17564106:17568492:1 gene:ORUFI09G17530 transcript:ORUFI09G17530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRWFCCTQFHAPYREHENEFPDIPEEKEGNGFAPKSDDPTKAPPPIEVPELSFDELKEKTDNFGSKALVGEGSYGRVYYATLDNGKQVAVKKLDASTEPEVDNDFLTQVSIVSRLRHENFVEMLGYCVEGNQRLVAYEFATMGSLHDILHGRKGVPGAQPGPALDWMQRVRIAIDAAKGLEYLHEKVQPSIVHRDIRSSNVLLFEDYKAKIADFNPSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDTVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLQHKPPPPPAVAPET >ORUFI09G17540.1 pep chromosome:OR_W1943:9:17569485:17572545:1 gene:ORUFI09G17540 transcript:ORUFI09G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGAASAVFYAEKYHPIQAGSIDGTDVAPHDNAVLRALLCSTAGLYDPFGDPKATGDPYCTVFVGRLSRYTDDETLRKEMSRYGRVKSMRLVRDIVTGASRGYAFVEYETDREMRRAYEDAHHSIIDGSEVLVDYYRQQLMPGWIPRRSGGGLGGKKESGQLRFGGRERPFRAPLFPHHQDEKAVISTGKSHLPREDPKTGLIAVTTEDIVAQPKTTTARSAPIGGKVAMTGEKKPRGGQEHISAERPAATVSGAQPKTMVIVVRGEEAESLENYLHTRRMMITAKEEEVQWSQASALISLITTGITGSVMTAAAILATVNVGIKTTVETTPGTGDQRAGTTATRGVESMVGS >ORUFI09G17550.1 pep chromosome:OR_W1943:9:17573406:17579140:1 gene:ORUFI09G17550 transcript:ORUFI09G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDEDELLDDEEYYYYCSDGECSGGGSGSDEDEEFGGRGSDEGCEADEVVSTREQRYVVLTEDDIRERQEEMISRVSAIFSVPRESACVLLRHYKWSISKLSDDWFADEENVRRSVGLPSNVVHVPDCPELTCGICFEGCAANAMSCAGCSHFYCHECWEGYISAAVNDGPGCLVLQCPEPSCDAIVLEDMINSLTKDEDKVKYARFVLWSYIGVNNKCAEEAHRPVSCDTVSKWILKNSAESENMNWLCLGAWSDHGDGTGGFYACNRYQSAKMGGMYDEAEARRERAKNSLERYMHYYERWASNQTSRQKAQADLQKVENEDLTKLSDVVGIPETQLKFIPEAWSQIIECRRVLKWTYAYGYYLHNKAKSDFFVYLQGEAESGLERLHKCAEKDMREFLPTADSTQPSLSLQDFGEFRVKLSGLTSVTRNYFENLVQTLEAGLQDVRATDQSASVSTSSSKKPPTNTKGKSGRSKVARTSQERSGDRWPCDRCTFINPSSTNSCNMCGRNKPRRR >ORUFI09G17560.1 pep chromosome:OR_W1943:9:17581615:17583299:-1 gene:ORUFI09G17560 transcript:ORUFI09G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRFQNLIVDSSYAGAQWLRSIDLTRHLFGNTAAAAPAADSYNPKNKRGLRIQIERIRFPRPMLRLKCTYMPYDQRNIDCFPLADRKVVMVDHTGITRLCDVDARSSDPISLFVPSSGGGSLYVMERYPDPEDGTQLRLYTLINLRPLFGASPLATATSSHHRHSSTLIAVGVFVGSLIVFTLEVGARGNGSYCLDTEMNTWLQLAKEMPLPFFSGKLEYVPELKLWFGLSAEPSRRLLAAADLISSDSQPQLIGDWNEFAPPEGWLEYQEPQLVNLGSARFCISRFFHIRSMDNDNEVIDSVVVFTGVEVMPVGHNGDGNGKVKLRMEKHKSRCCVSGSTMICSIF >ORUFI09G17570.1 pep chromosome:OR_W1943:9:17584031:17591041:1 gene:ORUFI09G17570 transcript:ORUFI09G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAFASAPNPSHAPSSSSSLSASYSRVLRFSVRDPWRRRRGQRLPLHALRSQRPEPAPASASHHDVVVVGAGIIGLSIARHLLLHTPLSVAVADAAVPCTGATGAGQGYLWMSHRTPGSDTWELAVRSKQLWEELAAEVDGLGGGGARERLGWMRTGSLLVGRTSEEMATLEERTKALSQAGIRAECLSAASLHALEPELYVGHDGGAMFLPEDCQIDAFQAVSLIEKTNGSYSSEGRYMEIYNDPAMSLVRSETTGTVQGVQTSKHILYGRKAIVIASGAWTRTLLRSFLEPNPTLDIPVMPRKGHLLVLDKFDKLKLNHGLMELGYVGHQVAKSSGTPLSSESSEDEHGALSISMTATMNTKGNLILGSSREFKGFSREVDMSILKCIWDRAAEFFPTLKNVHLDIDENTEIRIGHRPFMPDGKPVIGSVPDLPNVLIATGHEGSGLALALGTAEMVTDMILGNPGKVDFSPFSIKDRFSEQAEKAWMVRFTSPERRNSAEQDVEDDAGAPDICFWAIASVENLWCHVVCAPNHLGESFSCSQEPVSSFLPGLKNTERPKSMALRGESSDLLANRKFSGFRSLCITPFSWHSCMQHALIHALHQVTLMVEQDARINGDVDG >ORUFI09G17570.2 pep chromosome:OR_W1943:9:17584031:17590201:1 gene:ORUFI09G17570 transcript:ORUFI09G17570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAFASAPNPSHAPSSSSSLSASYSRVLRFSVRDPWRRRRGQRLPLHALRSQRPEPAPASASHHDVVVVGAGIIGLSIARHLLLHTPLSVAVADAAVPCTGATGAGQGYLWMSHRTPGSDTWELAVRSKQLWEELAAEVDGLGGGGARERLGWMRTGSLLVGRTSEEMATLEERTKALSQAGIRAECLSAASLHALEPELYVGHDGGAMFLPEDCQIDAFQAVSLIEKTNGSYSSEGRYMEIYNDPAMSLVRSETTGTVQGVQTSKHILYGRKAIVIASGAWTRTLLRSFLEPNPTLDIPVMPRKGHLLVLDKFDKLKLNHGLMELGYVGHQVAKSSGTPLSSESSEDEHGALSISMTATMNTKGNLILGSSREFKGFSREVDMSILKCIWDRAAEFFPTLKNVHLDIDENTEIRIGHRPFMPDGKPVIGSVPDLPNVLIATGHEGSGLALALGTAEMVTDMILGNPGKVDFSPFSIKDSF >ORUFI09G17570.3 pep chromosome:OR_W1943:9:17590486:17591041:1 gene:ORUFI09G17570 transcript:ORUFI09G17570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFTSPERRNSAEQDVEDDAGAPDICFWAIASVENLWCHVVCAPNHLGESFSCSQEPVSSFLPGLKNTERPKSMALRGESSDLLANRKFSGFRSLCITPFSWHSCMQHALIHALHQVTLMVEQDARINGDVDG >ORUFI09G17580.1 pep chromosome:OR_W1943:9:17588413:17592015:-1 gene:ORUFI09G17580 transcript:ORUFI09G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPGPSMGPPRPIRPTRRSAETNGQPRSLLDPCGFPFALRARREEAGEGEATRGKRGEEAGGSKASICGVGVGVGDAMGGCSSAFAVSTRMIRFSRGRVPAAILPVTSNDEPCCSCSPENNNKNNDGGGGGCDGGEHQKGKSWRRWQYRRCGGGGGGGGGRKNAILGDAADVKTAAGFAERYRLGAELGRGEFGVTRRCSDAATGEALACKTIRRKRLRRCRGDAEDVRREVEILRRISALGAGADSVVRLRDACEDSDGVHLVMELCEGGELFDRIFARGHYTERAAAKLARTIVGVLCHENGVMHRDLKPENFLFANKSEDSPLKAIDFGLSVFFKPGERFTQVVGSTYYMAPEVLNRSYGPEADVWSAGVILYILLCGVPPFWGDNDEKTVTAILQGGINFQREPWPKVSPHAKDLVSKMLDPDPSTRLTAKEVLEHPWLKNADRAPNVSLGEIVRSRLMQFSAMNKFKKKALGVVAKNLPVEEMDKYTQMFHKMDKDNSGNLTLEDLKLGLQINGHPVPETEIEMLLEAGDIDGNGTLDCEEFVTVLLHIKKMSNEEYLPKAFKFFDKDGNGFIEMEELMDALGDELGPTEQVVKDIIRDIDTDKDGRISYQEFESMMISGSDWRNASRRYSKANFSSLSRKLCKGNS >ORUFI09G17580.2 pep chromosome:OR_W1943:9:17588413:17592015:-1 gene:ORUFI09G17580 transcript:ORUFI09G17580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPGPSMGPPRPIRPTRRSAETNGQPRSLLDPCGFPFALRARREEAGEGEATRGKRGEEAGGSKASICGVGVGVGDAMGGCSSAFAVSTRMIRFSRGRVPAAILPVTSNDEPCCSCSPENNNKNNDGGGGGCDGGEHQKGKSWRRWQYRRCGGGGGGGGGRKNAILGDAADVKTAAGFAERYRLGAELGRGEFGVTRRCSDAATGEALACKTIRRKRLRRCRGDAEDVRREVEILRRISALGAGADSVVRLRDACEDSDGVHLVMELCEGGELFDRIFARGHYTERAAAKLARTIVGVLCHENGVMHRDLKPENFLFANKSEDSPLKAIDFGLSVFFKPGERFTQVVGSTYYMAPEVLNRSYGPEADVWSAGVILYILLCGVPPFWGDNDEKTVTAILQGGINFQREPWPKVSPHAKDLVSKMLDPDPSTRLTAKEVLGKNNLHPLRLFLLLQKTEHPWLKNADRAPNVSLGEIVRSRLMQFSAMNKFKKKALGVVAKNLPVEEMDKYTQMFHKMDKDNSGNLTLEDLKLGLQINGHPVPETEIEMLLEAGDIDGNGTLDCEEFVTVLLHIKKMSNEEYLPKAFKFFDKDGNGFIEMEELMDALGDELGPTEQVVKDIIRDIDTDKDGRISYQEFESMMISGSDWRNASRRYSKANFSSLSRKLCKGNS >ORUFI09G17580.3 pep chromosome:OR_W1943:9:17588413:17592015:-1 gene:ORUFI09G17580 transcript:ORUFI09G17580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPGPSMGPPRPIRPTRRSAETNGQPRSLLDPCGFPFALRARREEAGEGEATRGKRGEEAGGSKASICGVGVGVGDAMGGCSSAFAVSTRMIRFSRGRVPAAILPVTSNDEPCCSCSPENNNKNNDGGGGGCDGGEHQKGKSWRRWQYRRCGGGGGGGGGRKNAILGDAADVKTAAGFAERYRLGAELGRGEFGVTRRCSDAATGEALACKTIRRKRLRRCRGDAEDVRREVEILRRISALGAGADSVVRLRDACEDSDGVHLVMELCEGGELFDRIFARGHYTERAAAKLARTIVGVLCHENGVMHRDLKPENFLFANKSEDSPLKAIDFGLSVFFKPGERFTQVVGSTYYMAPEVLNRSYGPEADVWSAGVILYILLCGVPPFWGDNDEKTVTAILQGGINFQREPWPKVSPHAKDLVSKMLDPDPSTRLTAKEVLEHPWLKNADRAPNVSLGEIVRSRLMQFSAMNKFKKKALGHLPMKVVAKNLPVEEMDKYTQMFHKMDKDNSGNLTLEDLKLGLQINGHPVPETEIEMLLEAGDIDGNGTLDCEEFVTVLLHIKKMSNEEYLPKAFKFFDKDGNGFIEMEELMDALGDELGPTEQVVKDIIRDIDTDKDGRISYQEFESMMISGSDWRNASRRYSKANFSSLSRKLCKGNS >ORUFI09G17590.1 pep chromosome:OR_W1943:9:17591994:17599647:1 gene:ORUFI09G17590 transcript:ORUFI09G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELAMRSPSILSQCLAGFLSHEKAAAHCVNVVPERESHPPSPAVEIVPSKNVHPYKYAGENIEMHGMNIFKGKVSVVDIVGLSGSEVITPKGEGPLKCCESSIDLVNVLKNEIRDGLLTFRSKQLGCGYGLPGIFACLKGASTVHFQDPSAEIIRCKTIPNVLANLEHAQDKHGQQQGSPLTPSRQQLPQDIHFYAGEWEELHTVLSVIQEDEVDTSSGVALEFCEDDFLDGCSSQDASNICHETSSRRSRKLSGSRAWERGNETTTGDGGYDILLVNEIPYSASSLQNLYLLVKKCLRPPYGVMYLAARKNYIGSSSAVRQLRSLVDEEGAFGAHLVSEPPEREIWKFFFNASNPPPPSSARRLLDDDPRPPPRRPPRRRRRLRRI >ORUFI09G17590.2 pep chromosome:OR_W1943:9:17596294:17599647:1 gene:ORUFI09G17590 transcript:ORUFI09G17590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSTSSEGASDEWLPPSRRPELADVVPVTQDDGPHPVVAIAYRDEFREVMDYFRALYFAGERSVRALHLTAEVIDLNPGNYTVWHFRRLVLEALDADLREEMDFVDRIAECNPKNYQIWHHKRWLAEKLGPDIANKEHEFTRKILSMDAKNYHAWSHRQWVLQALGGWETELQYCNQLLEEDVFNNSAWNQRYLVITSSPLLGGLAAMRDSEVDYTVGAILANPQNESPWRYLKGLYKGENNLLMADERISDVCLKVLKHDSTCVFALSLLLDLLQIGLQPSDELKGTIEAIKNSDPEADEAVDADLATAICSILQRCDPLRINYWSWYRTTISSQT >ORUFI09G17590.3 pep chromosome:OR_W1943:9:17596865:17599647:1 gene:ORUFI09G17590 transcript:ORUFI09G17590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSTSSEGASDEWLPPSRRPELADVVPVTQDDGPHPVVAIAYRDEFREVMDYFRALYFAGERSVRALHLTAEVIDLNPGNYTVWHFRRLVLEALDADLREEMDFVDRIAECNPKNYQIWHHKRWLAEKLGPDIANKEHEFTRKILSMDAKNYHAWSHRQWVLQALGGWETELQYCNQLLEEDVFNNSAWNQRYLVITSSPLLGGLAAMRDSEVDYTVGAILANPQNESPWRYLKGLYKGENNLLMADERISDVCLKVLKHDSTCVFALSLLLDLLQIGLQPSDELKGTIEAIKNSDPEADEAVDADLATAICSILQRCDPLRINYWSWYRTTISSQT >ORUFI09G17600.1 pep chromosome:OR_W1943:9:17604499:17613060:1 gene:ORUFI09G17600 transcript:ORUFI09G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERRGGGEVNGVVEMEDAVGILVDYLVRPALRKGSRMTPENQADVARQVHMAVILYNYYHRKQFPQLAFADAMRFFKCASLTLGDSLLAYSNMVHQHEKSSGSPGEGVNLSVTDKAVVDACGIAEALDANQDSPDMAMWPISKVAVLLLDSTRKRCLLESGSVGKNVRSLLEKEIDTSSTSEHGSNKPEGQDLENEETGPYVLQKLAFSEVERRTEIKRSSLRLLDEHLAYSLTKKGTTTKLFILQYEQTAKGNFAEMPIEELIKRMIGPVVEKHPYPTTTVVAESYHILPYKDILFDCLHRKWHFDSSLSMPKEETRRNGKSSSHSEIDENSKEQEYNIKGSTQKKIKRDIKVLGSSDKNYSCTSRNKRSSNVNSKRKSEVFRATAADGAEGLDSEIPRVKNALPPVVDVSTMKFVSCSVNAKETAAANAGFVDMEADFHQGGGAKDNKDLKFASFKSYLKKRDDLHRKQRMIEDETVQFDMDIQSVFAGGEWTPEAMSLLEKYGILVDSLDMVEVNGSSYSGDGYETLTIERKKLTVERLLRNKCQLMQELDEVCRENNWILPRYKVMPSLTDGMYVANVDIACLEFSQMTFGDPKTNPRDARESAAANLLAELLKKADANREHT >ORUFI09G17600.2 pep chromosome:OR_W1943:9:17604499:17610231:1 gene:ORUFI09G17600 transcript:ORUFI09G17600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERRGGGEVNGVVEMEDAVGILVDYLVRPALRKGSRMTPENQADVARQVHMAVILYNYYHRKQFPQLAFADAMRFFKCASLTLGDSLLAYSNMVHQHEKSSGSPGEGVNLSVTDKAVVDACGIAEALDANQDSPDMAMWPISKVAVLLLDSTRKRCLLESGSVGKNVRSLLEKEIDTSSTSEHGSNKPEGQDLENEETGPYVLQKLAFSEVERRTEIKRSSLRLLDEHLAYSLTKKGTTTKLFILQYEQTAKGNFAEMPIEELIKRMIGPVVEKHPYPTTTVVAESYHILPYKDILFDCLHRKWHFDSSLSMPKEETRRNGKSSSHSEIDENSKEQEYNIKGSTQKKIKRDIKVLGSSDKNYSCTSRNKRSSNVNSKRKSEVFRATAADGAEGLDSEIPRVKNALPPVVDVSTMKFVSCSVNAKETAAANAGFVDMEADFHQGGGAKDNKDLKFASFKSYLKKRDDLHRKQRMIEDETVQFDMDIQSVFAGGEWTPEAMSLLEKYGILVDSLDMVEVNGSSYSGDGYETLTIERKKLTVERLLRNKCQLMQELDEVCRENNWILPRYKVMPSLTDGMYVANVDIACLEFSQMTFGDPKTNPRDARESAAANLLAELLKKADANREHT >ORUFI09G17610.1 pep chromosome:OR_W1943:9:17612384:17612860:-1 gene:ORUFI09G17610 transcript:ORUFI09G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAARALRRIPLHLAPSLSRAFCALSPAAPAPAAASAKVADRIVRLLAIDPDGARREVVGLSGQTVLRALANAGLIEPESHRLEEIDACSAECEVHIAQEWIDKLPPPSYEERYVLTRASRNRELNKHARLGCQVVLTPELQGMVVAVPEPKPWDIP >ORUFI09G17620.1 pep chromosome:OR_W1943:9:17615725:17624487:1 gene:ORUFI09G17620 transcript:ORUFI09G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYLTREVASRRAVTVQSRQKPQAPKAAKQKSSRRKSSALPPGAAARAVSHSPPLHSRSLPPPSPPPAPGRPPPTSPSPASASADAVNLMGLEESQKHLLGLNYHELQSLCKQYNLPANKSHSQLASSLALFLEKGRINPSPEKKAAASLVALPSLLSNAKEVSTRCQGSHKRGPQSERDDGDRPLLHVKHHKGPQTPTDETLKKNDSGTRVSSTPVSINNGKVDCFSHSPPGQVIASNVHSQSADGIGKNLGTQEHPIHLDSTAKVDDEISPETSYQAPNVVETVTDIGSGSSHKISENAKSSFEFFVMSDEGLDLVVDLNSTPSMLLDSLKKEVFIPSSTCRSEPGNFSHFISSLTTKDDSNNSISSSGNITVDIQNKGDDSIAPCTNSSLGSTGGDNSHSEPYLPDATAVNSMSFASTLPGTSLEISGSQEGVPVVSSSCLTSMTANALNNEVLPQESVVLSKCPERNHAPLAYDSTHPTGNKDTVNPVKIGCTQNVVADTDRAGAFSSGGVVRSASNENFCPTSEEKHETLNVPGAQLTPNGNTHEVILENEPVEAVPVDEDRGCHDRLSMSCQLARQTVTKLPVTDAQSEASSADHCIAGSFKPTSPTPSPAASGKKEGKLGNAFSSKHDAESAQSSDELEELESKTPSSFEPPRNILLSLRSASAKQTKPTLPRSNRFKCKERYMAKNQ >ORUFI09G17620.2 pep chromosome:OR_W1943:9:17615725:17624487:1 gene:ORUFI09G17620 transcript:ORUFI09G17620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYLTREVASRRAVTVQSRQKPQAPKAAKQKSSRRKSSALPPGAAARAVSHSPPLHSRSLPPPSPPPAPGRPPPTSPSPASASADAVNLMGLEESQKHLLGLNYHELQSLCKQYNLPANKSHSQLASSLALFLEKGRINPSPEKKAAASLVALPSLLSNAKEVSTRCQGSHKRGPQSERDDGDRPLLHVKHHKGPQTPTDETLKKNDSGTRVSSTPVSINNGKVDCFSHSPPGQVIASNVHSQSADGIGKNLGTQEHPIHLDSTAKVDDEISPETSYQAPNVVETVTDIGSGSSHKISENAKSSFEFFVMSDEGLDLVVDLNSTPSMLLDSLKKEVFIPSSTCRSEPGNFSHFISSLTTKDDSNNSISSSGNITVDIQNKGDDSIAPCTNSSLGSTGGDNSHSEPYLPDATAVNSMSFASTLPGTSLEISGSQEGVPVVSSSCLTSMTANALNNEVLPQESVVLSKCPERNHAPLAYDSTHPTGNKDTVNPVKIGCTQNVVADTDRAGAFSSGGVVRSASNENFCPTSEEKHETLNVPGAQLTPNGNTHEVILENEPVEAVPVDEDRGCHDRLSMSCQLARQTVTKLPVTDAQSEASSADHCIAGSFKPTSPTPSPAASIKLLTFNFLVQGNAFSSKHDAESAQSSDELEELESKTPSSFEPPRNILLSLRSASAKQTKPTLPRSNRFKCKERYMAKNQ >ORUFI09G17620.3 pep chromosome:OR_W1943:9:17615725:17624487:1 gene:ORUFI09G17620 transcript:ORUFI09G17620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYLTREVASRRAVTVQSRQKPQAPKAAKQKSSRRKSSALPPGAAARAVSHSPPLHSRSLPPPSPPPAPGRPPPTSPSPASASADAVNLMGLEESQKHLLGLNYHELQSLCKQYNLPANKSHSQLASSLALFLEKGRINPSPEKKAAASLVALPSLLSNAKEVSTRCQGSHKRGPQSERDDGDRPLLHVKHHKGPQTPTDETLKKNDSGTRVSSTPVSINNGKVDCFSHSPPGQVIASNVHSQSADGIGKNLGTQEHPIHLDSTAKVDDEISPETSYQAPNVVETVTDIGSGSSHKISENAKSSFEFFVMSDEGLDLVVDLNSTPSMLLDSLKKEVFIPSSTCRSEPGNFSHFISSLTTKDDSNNSISSSGNITVDIQNKGDDSIAPCTNSSLGSTGGDNSHSEPYLPDATAVNSMSFASTLPGTSLEISGSQEGVPVVSSSCLTSMTANALNNEVLPQESVVLSKCPERNHAPLAYDSTHPTGNKDTVNPVKIGCTQNVVADTDRAGAFSSGGVVRSASNENFCPTSEEKHETLNVPGAQLTPNGNTHEVILENEPVEAVPVDEDRGCHDRLSMSCQLARQTVTKLPVTDAQSEASSADHCIAGSFKPTSPTPSPAASGNAFSSKHDAESAQSSDELEELESKTPSSFEPPRNILLSLRSASAKQTKPTLPRSNRFKCKERYMAKNQ >ORUFI09G17620.4 pep chromosome:OR_W1943:9:17615725:17624487:1 gene:ORUFI09G17620 transcript:ORUFI09G17620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYLTREVASRRAVTVQSRQKPQAPKAAKQKSSRRKSSALPPGAAARAVSHSPPLHSRSLPPPSPPPAPGRPPPTSPSPASASADAVNLMGLEESQKHLLGLNYHELQSLCKQYNLPANKSHSQLASSLALFLEVAKVAIKEVRKVKEMMDSGTRVSSTPVSINNGKVDCFSHSPPGQVIASNVHSQSADGIGKNLGTQEHPIHLDSTAKVDDEISPETSYQAPNVVETVTDIGSGSSHKISENAKSSFEFFVMSDEGLDLVVDLNSTPSMLLDSLKKEVFIPSSTCRSEPGNFSHFISSLTTKDDSNNSISSSGNITVDIQNKGDDSIAPCTNSSLGSTGGDNSHSEPYLPDATAVNSMSFASTLPGTSLEISGSQEGVPVVSSSCLTSMTANALNNEVLPQESVVLSKCPERNHAPLAYDSTHPTGNKDTVNPVKIGCTQNVVADTDRAGAFSSGGVVRSASNENFCPTSEEKHETLNVPGAQLTPNGNTHEVILENEPVEAVPVDEDRGCHDRLSMSCQLARQTVTKLPVTDAQSEASSADHCIAGSFKPTSPTPSPAASGKKEGKLGNAFSSKHDAESAQSSDELEELESKTPSSFEPPRNILLSLRSASAKQTKPTLPRSNRFKCKERYMAKNQ >ORUFI09G17620.5 pep chromosome:OR_W1943:9:17615725:17624487:1 gene:ORUFI09G17620 transcript:ORUFI09G17620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYLTREVASRRAVTVQSRQKPQAPKAAKQKSSRRKSSALPPGAAARAVSHSPPLHSRSLPPPSPPPAPGRPPPTSPSPASASADAVNLMGLEESQKHLLGLNYHELQSLCKQYNLPANKSHSQLASSLALFLEVAKVAIKEVRKVKEMMDSGTRVSSTPVSINNGKVDCFSHSPPGQVIASNVHSQSADGIGKNLGTQEHPIHLDSTAKVDDEISPETSYQAPNVVETVTDIGSGSSHKISENAKSSFEFFVMSDEGLDLVVDLNSTPSMLLDSLKKEVFIPSSTCRSEPGNFSHFISSLTTKDDSNNSISSSGNITVDIQNKGDDSIAPCTNSSLGSTGGDNSHSEPYLPDATAVNSMSFASTLPGTSLEISGSQEGVPVVSSSCLTSMTANALNNEVLPQESVVLSKCPERNHAPLAYDSTHPTGNKDTVNPVKIGCTQNVVADTDRAGAFSSGGVVRSASNENFCPTSEEKHETLNVPGAQLTPNGNTHEVILENEPVEAVPVDEDRGCHDRLSMSCQLARQTVTKLPVTDAQSEASSADHCIAGSFKPTSPTPSPAASGNAFSSKHDAESAQSSDELEELESKTPSSFEPPRNILLSLRSASAKQTKPTLPRSNRFKCKERYMAKNQ >ORUFI09G17630.1 pep chromosome:OR_W1943:9:17626559:17630705:1 gene:ORUFI09G17630 transcript:ORUFI09G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLLRRVLQERETAPQDPAAAAAAAEVEREEEEEASPPRVAARNPFDLLDEEEEEEEEKEDEVYSEQPVSYNEQKHSVNKKPGNAVPETNKKSKKKKKKSKADKQVSTKSRDEKSLDSILEDLSIEKKPMQQRVNQNERASGKEIEIDETTPGTSSILSIDPKHLKAENEMRRIFGSKVVDSLENQRNVPSSSTSRLRGVRRVAHNPRKTLLVTPSSYWPPWDKSMSMDIVETKSGFNYFRYIYDPSVSHVQDLFEVAKSANDLNAIAAILAKYPYHPESLLTFAELFKYSGEHQSSADAVEKCLFALECAWHPLFSPLHSNCQLKYSHDTNKPFFTALFSHMKNLDRRGCHRSALEVCKFLLSLDSDDPKGALFCIDYFALRSQQYKWLEQFAEEYQCDNSLWLFPNFSFSLAIARFYVERDATNGEASDHSDKSTSVDLMKQALMLHPLVLRKIVDKAPLKDSSWTQILRNVFFGSAKPGSPSLEHMISIYVERHYIMWRFPELQNLLKDAALLVIESLKQDNREAQDWACVRKEAFSSDKNEYSHLLVSDFSDTTPSLPPEELRPFMVAPGMAHEMPPAEQELGIEVRPRAPREVAGRNPALVFLESLLPWVDYGDNHHDENDQNNDD >ORUFI09G17640.1 pep chromosome:OR_W1943:9:17632486:17642444:1 gene:ORUFI09G17640 transcript:ORUFI09G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMEGKGDASVTPVRTSDRLRKRPKYFGRNYMYYNPAIRKKMKSKKRAAASQIAKKLLRKSAARAPPADSALEIIERVDRVILDLLGIIVSVWSNLRRSTRKRRMSVNLEDYDTDSSSMEDDDLMRPRYRSSKNKVDDEVSARPKRKKLSNSSSIPRREGLRPRRSIRGQRLHPYQESEDDQESSEEQPAQDRRENGNDIEEDGNEEEVDGGDEAEADGDDEDGEEEQEGRRRYDLRDRSEVRRPSPRKEGKHRTQSPRRVLVHGIGPKNSKYLKKGGSRMHKRPRFSLPDDSDDSLLVDEPDEGPSMPWMRGGRGGMPWFLGGLDMHCPGAWGLNVGASGWGHQGDNTVSTSSLMPGIQTAGPSSKGGADIQPLQVDGSVSFNDIGGLSDYIDALKEMVFFPLLYPDFFANYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQKNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFFFPLPGYEARAEILDIHTRKWKDPPPKELKTELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVRVEKYHFLEAMSTITPAAHRGSIVHSRPLSPVIAPCLKRHHEKIMERIADIFPFLSSVDVSKFSALSYGSSIPLVYRPRLLMCGGVSVGLDHVGPAVLHELEKFSVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYLPQFHLWWDTAHEQLRAVLLTLLNELPSNLPVLLLGTSSVAFGDLEEECASIFSSRNVYEVDQPSDDDRMRYLHALFESLLSFQMEESRSKSKDQKSSVDLPKAPKEVDGPKLSELKAKAEAEQHAVRRMRMCLRDICNRILYNKRFNVFHFPVSEEEVPDYRSVVHNPMDMATVLQQVDSGQYLTRASFMKDIDLIVSNAKTYNGSDYNGSRIVSRACELRDVVQGMLSQMDPSLVSFCDKIAEQGGPLQVTDDGDSSILQAAPVAQLVSGTRMSARLRNVQPEVNLSRSYEALKRQKKSTETEQGMVKESTTRDDKSLGDVDLSKPISPEEAPKEPDSNGVLKETDNPPTELPELPELNPEPMVTDNGENAAMPASDDIPEQLEVVKRRFMELTTGYGVPQLERLCTRVMKGMIELSGKESNEDHRRLVVRYLLTFVENSDNF >ORUFI09G17650.1 pep chromosome:OR_W1943:9:17640066:17642275:-1 gene:ORUFI09G17650 transcript:ORUFI09G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQQPMDGSHASGSKAAAAVADGPGTQRTQYPYVTGSSIIALKYKDGVIMASDTGASYGSTLRYKSVERIKAVGKHSLIGASGEFSDFQEILRYLDELTLSDHMWDDGNSLGPKEVHSYLTRVMYNRRNKFDPLWNSLVIGGVKKGPKGEEKYLGMVNMIGTHFEENHIATGFGNHMAIPILRTEWREDMTFEEAVKLVEKCLLVLLYRDRSSINKFQIAKITTEGATIYPPYSLKTYWGFAAFENPAQGAVGSW >ORUFI09G17660.1 pep chromosome:OR_W1943:9:17643847:17646895:-1 gene:ORUFI09G17660 transcript:ORUFI09G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPRGCHRIRRFFGAHRHPEEKREREQVTLGFFARRPIAIAIAAGAMDNSMAARGQSSQQPQLLLSLTTTNRTVHLALTWDRTVSIGRCLTRLFPSGPLISDRTPRWSSLVKVELDAAAEVEMAAAAAATAEEYEEMLRVVEAIATRIRWRLRPHSKRRLLNDILFLCSGLRPVVLMDYGGTMPELQDNLCSLLHHARQESSMLSPLRLMLLSETEENGALHEFLSIQDLFSANFPVDADVDLPIIQPEAKGQMSEIPEGTTMDNFGFRIADGTSLVIDLSTFLESAQIALPSLNGWLLGYPVTYLFCNESAETATQNLSKHSLHIYRIYAVRCRQSAAKQSEQELMSFSVPCDMSTKRDEEPWAKSFLAHMNEKIKQCNHVWASVRMEIEVFHSQSGLIVL >ORUFI09G17660.2 pep chromosome:OR_W1943:9:17643847:17646895:-1 gene:ORUFI09G17660 transcript:ORUFI09G17660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPRGCHRIRRFFGAHRHPEEKREREQVTLGFFARRPIAIAIAAGAMDNSMAARGQSSQQPQLLLSLTTTNRTVHLALTWDRTVSIGRCLTRLFPSGPLISDRTPRWSSLVKVELDAAAEVEMAAAAAATAEEYEEMLRVVEAIATRIRWRLRPHSKRRLLNDILFLCSGLRPVVLMDYGGTMPELQDNLCSLLHHARQESSMLSPLRLMVIKDMLYLIHAKDLAEHASPSARSQHKLAFVDLEKSCSKVFAFSDPLLSETEENGALHEFLSIQDLFSANFPVDADVDLPIIQPEAKGQMSEIPEGTTMDNFGFRIADGTSLVIDLSTFLESAQIALPSLNGWLLGYPVTYLFCNESAETATQNLSKHSLHIYRIYAVRCRQSAAKQSEQELMSFSVPCDMSTKRDEEPWAKSFLAHMNEKIKQCNHVWASVRMEIEVFHSQSGLIVL >ORUFI09G17660.3 pep chromosome:OR_W1943:9:17643847:17646895:-1 gene:ORUFI09G17660 transcript:ORUFI09G17660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPRGCHRIRRFFGAHRHPEEKREREQVTLGFFARRPIAIAIAAGAMDNSMAARGQSSQQPQLLLSLTTTNRTVHLALTWDRTVSIGRCLTRLFPSGPLISDRTPRWSSLVKVELDAAAEVEMAAAAAATAEEYEEMLRVVEAIATRIRWRLRPHSKRRLLNDILFLCSGLRPVVLMDYGGTMPELQDNLCSLLHHARQESSMLSPLRLMVIKDMLYLIHAKDLAEHASPSARSQHKLAFLLSETEENGALHEFLSIQDLFSANFPVDADVDLPIIQPEAKGQMSEIPEGTTMDNFGFRIADGTSLVIDLSTFLESAQIALPSLNGWLLGYPVTYLFCNESAETATQNLSKHSLHIYRIYAVRCRQSAAKQSEQELMSFSVPCDMSTKRDEEPWAKSFLAHMNEKIKQCNHVWASVRMEIEVFHSQSGLIVL >ORUFI09G17660.4 pep chromosome:OR_W1943:9:17643847:17646895:-1 gene:ORUFI09G17660 transcript:ORUFI09G17660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPRGCHRIRRFFGAHRHPEEKREREQVTLGFFARRPIAIAIAAGAMDNSMAARGQSSQQPQLLLSLTTTNRTVHLALTWDRTVSIGRCLTRLFPSGPLISDRTPRWSSLVKVELDAAAEVEMAAAAAATAEEYEEMLRVVEAIATRIRWRLRPHSKRRLLNDILFLCSGLRPVVLMDYGGTMPELQDNLCSLLHHARQESSMLSPLRLMVIKDMLYLIHAKDLAEHASPSARSQHKLAFVDLEKSCSKLLSETEENGALHEFLSIQDLFSANFPVDADVDLPIIQPEAKGQMSEIPEGTTMDNFGFRIADGTSLVIDLSTFLESAQIALPSLNGWLLGYPVTYLFCNESAETATQNLSKHSLHIYRIYAVRCRQSAAKQSEQELMSFSVPCDMSTKRDEEPWAKSFLAHMNEKIKQCNHVWASVRMEIEVFHSQSGLIVL >ORUFI09G17670.1 pep chromosome:OR_W1943:9:17646229:17649703:1 gene:ORUFI09G17670 transcript:ORUFI09G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSIAPAAMAMAMGLLAKNPKMINHRYASDMQLQHRLSPACSVMFNKQCSYRITRKACSVLGAVSPIQCTETSTESLVSFKDFLVSVQTEEDGLIKLRVTVADTMTESIFEKVFSKNVAAAQPLPGFRRMKGGKTRDIPKEIALHLIGPSKVKKETIKNIISLTIAEYVQKEDLDASKNLKVLQTYEELEAAFEPGKEFCFDATFHLQ >ORUFI09G17670.2 pep chromosome:OR_W1943:9:17646229:17649703:1 gene:ORUFI09G17670 transcript:ORUFI09G17670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSIAPAAMAMAMGLLAKNPKMINHRYASDMQLQHRLSPACSVMFNKQCSYRITRKACSVLGAVSPIQCTETSTESLVSFKDFLVSVQTEEDGLIKLRVTVADTMTESIFEKVFSKNVAAAQPLPGFRRMKGGLLLYLGKPYFVSISVQIELDEHAIPKEIALHLIGPSKVKKETIKNIISLTIAEYVQKEDLDASKNLKVLQTYEELEAAFEPGKEFCFDATFHLQ >ORUFI09G17680.1 pep chromosome:OR_W1943:9:17651048:17658172:1 gene:ORUFI09G17680 transcript:ORUFI09G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRMLRRKDFHTGLVNLASRVDHGGAKNFSSGTFGKLADFVLSDTHTPIVKGAANCTAYKHCTIRNFHAGVYMLAWSRKREEVAGLKAPKKEKRVKRETRTQPPVEAPYVAPKQKIAIKSSPDKTVDIFDGMTLLDLSKRTGASIGALQDILTDLGEKVESEFDAISIDLAELVAMELGVNIRRMHTGEGTLEPRPAVVTIMGHVDHGKTSLLDSLRQTSVAAKEAGGITQHIGAFVVEMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPIVVAVNKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISAVTKLGLDKLEEALLLQAEIMDLKARIDGPAQAFVVEARVDRGRGPLATAIVKAGTLVSGQHIVVGAEWGRIRSLRDTAGKITESAKPAMPVEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDEDMTEEAEIGEETPERVEMPIIVKADVQGSVQAVTDALRSLNSPQVFVNIVHVGVGPISQHDIDLAQACRAYIVGFNIRTPPSAITLAATQANIKILLHKVIYHLLEEMGREIVEKAPGTPETQVSGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHLSKTGTMRLLRSGDVVFEGPCASLKREKQDAETVDKGNDCGLVIQDCNDFQVGDIVQCLEQVIRKPKFISTQSGAVRIEC >ORUFI09G17680.2 pep chromosome:OR_W1943:9:17651048:17658172:1 gene:ORUFI09G17680 transcript:ORUFI09G17680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRMLRRKDFHTGLVNLASRVDHGGAKNFSSGTFGKLADFVLSDTHTPIVKGAANCTAYKHCTIRNFHAGVYMLAWSRKREEVAGLKAPKKEKRVKRETRTQPPVEAPYVAPKQKIAIKSSPDKTVDIFDGMTLLDLSKRTGASIGALQDILTDLGEKVESEFDAISIDLAELVAMELGVNIRRMHTGEGTLEPRPAVVTIMGHVDHGKTSLLDSLRQTSVAAKEAGGITQHIGAFVVEMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPIVVAVNKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISAVTKLGLDKLEEALLLQAEIMDLKARIDGPAQAFVVEARVDRGRGPLATAIVKAGTLVSGQHIVVGAEWGRIRSLRDTAGKITESAKPAMPVEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDEDMTEEAEIGEETPERVEMPIIVKADVQGSVQAVTDALRSLNSPQVFVNIVHVGVGPISQHDIDLAQACRAYIVGFNIRTPPSAITLAATQANIKILLHKVIYHLLEEMGREIVEKAPGTPETQVSGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHLSKTGTMRLLRSGDVVFEGPCASLKREKQDAETVDKGNDCGLVIQDCNDFQVGDIVQCLEQVIRKPKFISTQSGAVRIEC >ORUFI09G17680.3 pep chromosome:OR_W1943:9:17651048:17658172:1 gene:ORUFI09G17680 transcript:ORUFI09G17680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRMLRRKDFHTGLVNLASRVDHGGAKNFSSGTFGKLADFVLSDTHTPIVKGAANCTAYKHCTIRNFHAGVYMLAWSRKREEVAGLKAPKKEKRVKRETRTQPPVEAPYVAPKQKIAIKSSPDKTVDIFDGMTLLDLSKRTGASIGALQDILTDLGEKVESEFDAISIDLAELVAMELGVNIRRMHTGEGTLEPRPAVVTIMGHVDHGKTSLLDSLRQTSVAAKEAGGITQHIGAFVVEMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPIVVAVNKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISAVTKLGLDKLEEALLLQAEIMDLKARIDGPAQAFVVEARVDRGRGPLATAIVKAGTLVSGQHIVVGAEWGRIRSLRDTAGKITESAKPAMPVEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDEDMTEEAEIGEETPERVEMPIIVKADVQGSVQAVTDALRSLNSPQVFVNIVHVGVGPISQHDIDLAQACRAYIVGFNIRTPPSAITLAATQANIKILLHKVIYHLLEEMGREIVEKAPGTPETQVSGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHLSKTGTMRLLRSGDVVFEGPCASLKREKQDAETVDKGNDCGLVIQDCNDFQVGDIVQCLEQVIRKPKFISTQSGAVRIEC >ORUFI09G17680.4 pep chromosome:OR_W1943:9:17651048:17658172:1 gene:ORUFI09G17680 transcript:ORUFI09G17680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRMLRRKDFHTGLVNLASRVDHGGAKNFSSGTFGKLADFVLSDTHTPIVKGAANCTAYKHCTIRNFHAGVYMLAWSRKREEVAGLKAPKKEKRVKRETRTQPPVEAPYVAPKQKIAIKSSPDKTVDIFDGMTLLDLSKRTGASIGALQDILTDLGEKVESEFDAISIDLAELVAMELGVNIRRMHTGEGTLEPRPAVVTIMGHVDHGKTSLLDSLRQTSVAAKEAGGITQHIGAFVVEMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPIVVAVNKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISAVTKLGLDKLEEALLLQAEIMDLKARIDGPAQAFVVEARVDRGRGPLATAIVKAGTLVSGQHIVVGAEWGRIRSLRDTAGKITESAKPAMPVEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDEDMTEEAEIGEETPERVEMPIIVKADVQGSVQAVTDALRSLNSPQVFVNIVHVGVGPISQHDIDLAQACRAYIVGFNIRTPPSAITLAATQANIKILLHKVIYHLLEEMGREIVEKAPGTPETQVSGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHLSKTGTMRLLRSGDVVFEGPCASLKREKQDAETVDKGNDCGLVIQDCNDFQVGDIVQCLEQVIRKPKFISTQSGAICDSFLLIDLVLIVKRPGSKN >ORUFI09G17680.5 pep chromosome:OR_W1943:9:17651048:17658172:1 gene:ORUFI09G17680 transcript:ORUFI09G17680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRMLRRKDFHTGLVNLASRVDHGGAKNFSSGTFGKLADFVLSDTHTPIVKGAANCTAYKHCTIRNFHAGVYMLAWSRKREEVAGLKAPKKEKRVKRETRTQPPVEAPYVAPKQKIAIKSSPDKTVDIFDGMTLLDLSKRTGASIGALQDILTDLGEKVESEFDAISIDLAELVAMELGVNIRRMHTGEGTLEPRPAVVTIMGHVDHGKTSLLDSLRQTSVAAKEAGGITQHIGAFVVEMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPIVVAVNKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISAVTKLGLDKLEEALLLQAEIMDLKARIDGPAQAFVVEARVDRGRGPLATAIVKAGTLVSGQHIVVGAEWGRIRSLRDTAGKITESAKPAMPVEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDEDMTEEAEIGEETPERVEMPIIVKADVQGSVQAVTDALRSLNSPQVFVNIVHVGVGPISQHDIDLAQACRAYIVGFNIRTPPSAITLAATQANIKILLHKVIYHLLEEMGREIVEKAPGTPETQVSGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHLSKTGTMRLLRSGDVVFEGPCASLKREKQDAETVDKGNDCGLVIQDCNDFQVGDIVQCLEQVIRKPKFISTQSGADPRIESFIISNRQAAKPEERSYYVPKVPRMVREIGNERNNEHFSSESLSDLMLALIQQREKKDRTDDLNVQVQDEIGMVREDSKVRILAVEMILWHKRWHMV >ORUFI09G17690.1 pep chromosome:OR_W1943:9:17656088:17656336:-1 gene:ORUFI09G17690 transcript:ORUFI09G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKSVRVILLAVVLAVFAVLLAGAAAARPAPAAERSGGEAAAAAYVAVNPAAAVVEKARETVEMLMARLPAGPSPKGPGH >ORUFI09G17700.1 pep chromosome:OR_W1943:9:17659808:17663139:-1 gene:ORUFI09G17700 transcript:ORUFI09G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGGGGGGRRTTRNGASTRRSATMLKGRSFPGKVLLTRRSEPLSPPEYSPRYENDRDEYEQNEGSQEGKGQASGNTADSMSAKKSNPPSTSSTNSLPDAQGLVSGARATDSARIAKFTNELSRPAVILDKLRELSWSGVPPYMRPNIWRLLLGYAPPNADRREGVLTRKRLEYVECVSQYYDIPDTERSDEEINMLRQIAVDCPRTVPDVTFFQHPQIQKSLERILAIRHPASGYVQGINDLLTPFLVVFLSEHLEGNMDTWSMEKLSPQDVSNIEADCYWCLSKFLDGMQDHYTFAQPGIQRLVFRLKELVHRIDEPVSKHMEEQGLDFLQFAFRWFNCLMIREIPFHLVTRLWDTYLAEGDYLPDFLVYISASFLLTWSDKLKKLDFQEMVMFLQHLPTRNWAHHELEMVLSRAYMWHTMFKSSPSHLAS >ORUFI09G17710.1 pep chromosome:OR_W1943:9:17664361:17665193:1 gene:ORUFI09G17710 transcript:ORUFI09G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVATNLQHITHAPRSNRLGLAGRASRRAWTENAEEECGGGVSFGAAGEDGHGGDGDGDGVNAYLRFPGPTLTGSGDGGVVGGEVDGDATLLLMAGLI >ORUFI09G17720.1 pep chromosome:OR_W1943:9:17665952:17666431:-1 gene:ORUFI09G17720 transcript:ORUFI09G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPVSSRYVPLAAAPEQRHDGEAEECCYAATTTRYVPLRRRRGEQDQAEYAARRALFLQSYRFTTAAVSAGERDDGGVGGGGLRGRVARRVREAVARAVSRARGAARWWVGGGVGVARAWPRVGWWWRPPSPRARLGCFGGGGGGHSRSKLHYLHHFA >ORUFI09G17730.1 pep chromosome:OR_W1943:9:17667712:17670863:-1 gene:ORUFI09G17730 transcript:ORUFI09G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKAEELVGASPGTEGMSSAEAKKAVSAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLALLQRDTSGLTTENSELKLRLQTMEQQVHLQDALNDTLKSEVQRLKVATGQMANGGGMMMNFGGMPHQFGGNQQMFQNNQAMQSMLAAHQLQQLQLHPQAQQQQVLHPQHQQQQPLHPLQAQQLQQAARDLKMKSPMGGQSQWGDGKSGSSGN >ORUFI09G17740.1 pep chromosome:OR_W1943:9:17678633:17687317:-1 gene:ORUFI09G17740 transcript:ORUFI09G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPPQPQPQEAAGREASSSLSPAKESAAGGGGGGGSGAPETNTLWVGNLPAQAAEDDVMASFSPHGALDCVMARAGPRSYAFVLFRSVPEARAALDALQGSKVKGSVVRLEFARPARAVKNLWVGGISSSISKEELEEEFKKFGKVDGIAFSRDQTSAYIDFDKLEDAISAHRALNGRVLGGQELCVDFQRSRGRAEWLETGSFNGRTGPAKGYGVRNRESNPTNVLWVGFPNTAKINEEALRQAMAVHGAVTNTKVFPTRQYAFVEFATVGEASNAKKNLDGRLFNDQRIQILFSNSELAPNKLDNPTAVSGFPKSEMYYDDGQYGASDYFDPRRGRSRYFEYSGVPVSGGILPSPESGNPLLTGRSAQSTFDPREAKRLRLDAAADPYDTRAGSEGLYSAGYSQRESARSERSSSPAIRIHGTVHRTSYLEHFWRGSIAKGGSPVCRARCLPIRKGVEIPLPDVVNCSARTGLDMLAKHYRDASGFDIVFFLPDSEDDFVSYTEFLRYLGSKSRAGVVKVDGGTTLFLVPPSDFLRNVLQVDGPERLYGVVLHIPQMSAAAPASAPTPAVQRPQLTAPESQPYYDEREIPLQRRYSMITPSNNHHRDADHRGSLREDSLHQLGQILARPRVDEGQVVQPNLAGIPTNAGLQVQPSLQPDMIATLAKLLPSGQSSALVTGQLPLSSTDRPALTQMNDASTLAKVWRPENQAMASTSSLEQIGNFQHSGQQFSKQAGAVHLPNYGNLAGAQEHPTQHSAYNPEMTLNLPPPPPPPTLPPSSAILSSQLAVLIFRRTINKLLRLLLHKLLLLRNSLLLCRLLLPHKLLLLHKLLLTRQNGTGSIRRLFSWLSACWVSYNRSLEINLKAILEINLQAKLLIQI >ORUFI09G17740.2 pep chromosome:OR_W1943:9:17683245:17687317:-1 gene:ORUFI09G17740 transcript:ORUFI09G17740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPPQPQPQEAAGREASSSLSPAKESAAGGGGGGGSGAPETNTLWVGNLPAQAAEDDVMASFSPHGALDCVMARAGPRSYAFVLFRSVPEARAALDALQGSKVKGSVVRLEFARPARAVKNLWVGGISSSISKEELEEEFKKFGKVDGIAFSRDQTSAYIDFDKLEDAISAHRALNGRVLGGQELCVDFQRSRGRAEWLETGSFNGRTGPAKGYGVRNRESNPTNVLWVGFPNTAKINEEALRQAMAVHGAVTNTKVFPTRQYAFVEFATVGEASNAKKNLDGRLFNDQRIQILFSNSELAPNKLDNPTAVSGFPKSEMYYDDGQYGASDYFDPRRGRSRYFEYSGVPVSGGILPSPESGNPLLTGRSAQSTFDPREAKRLRLDAAADPYDTRAGSEGLYSAGYSQRESARSERSSSPAIRIHGTVHRTSYLEHFWRGSIAKGGSPVCRARCLPIRKGVEIPLPDVVNCSARTGLDMLAKHYRDASGFDIVFFLPDSEDDFVSYTEFLRYLGSKSRAGVVKVDGGTTLFLVPPSDFLRNVLQVDGPERLYGVVLHIPQMSAAAPASAPTPAVQRPQLTAPESQPYYDEREIPLQRRYSMITPSNNHHRDADHRGSLREDSLHQLGQILARPRVDEGQVVQPNLAGIPTNAGLQVQPSLQPDMIATLAKLLPSGQSSALVTGQLPLSSTDRPALTQMNDASTLAKVWRPENQAMASTSSLEQIGNFQHSGQQFSKQAGAVHLPNYGNLAGAQEHPTQHSAYNPEMTLNLPPPPPPPTLPPSSAILSSQLAVLIFRRTINKLLRLLLHKLLLLRNSLLLCRLLLPHKLLLLHKLLLTRQNGTGSIRRLFSWLSACWVSYNRSLEINLKAILEINLQAKLLIQVRFMCCSAIVLSVPFTTSLL >ORUFI09G17750.1 pep chromosome:OR_W1943:9:17688503:17688832:1 gene:ORUFI09G17750 transcript:ORUFI09G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVVAAKPCLSPTAVPALDVAVVSSRHCRLQPPSSPPPAATPMPRPPHLLPPRPARTFPPLDVLARTRAHPVGFRNRGIMLTIIHPAPPMPLLGRLPTCTRPPPLFL >ORUFI09G17760.1 pep chromosome:OR_W1943:9:17688892:17689514:-1 gene:ORUFI09G17760 transcript:ORUFI09G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFVCLPIFLFVVVLKHSAPLALVDAHLSPEGRGGGSGVVAIVSYVLTVHLGPFCLHAPLHHLHGGAPGRDRALARGPHRQGCPGASLWLDLCLPTALFGCSSLTRLHIGVWRLPDTRDILQGAAFPHLHEMVLSCIVMEYRDLAFLLDRSNALEVIAIITCQTNMAELVCVRLASCILRIFQVCLTIVN >ORUFI09G17770.1 pep chromosome:OR_W1943:9:17690742:17694517:-1 gene:ORUFI09G17770 transcript:ORUFI09G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEPGPGPGPRKPACCAVTFGRSTLLGRHLAAALAASGRWSTVAVLDPSPPTTTTTTSPPPGSPLAHHHLAVDLCDPASLARALAGVEAVFHVDPTGDGSSFLQLHRLAVEGTRRLLAACCRSGVRTVVYTGSADVVVAGARDVVDADEDALPYPDKFGNAAIELRAQVEMMVLSADGKNGMRTCVLRPSNMFGPGDSSLVRFVAGYARSSLGKFVVGSGANMCDFTYVENVAHANICAEQALCSNASSVAGKPFFVTNDEPIETWEFMSCLMEAMGCQRPKFNLPAKILSSAALFSNMMYHKLGLQILSSPLLHPDMVYFLSCTRTLSISRARKLLGYHPIVSLEDGIMRTVGSLSELPDKLDLSRKRGSFGSSKAEKLLGSGITADILLWRDEKKTFSYVTVLFLLFYWFLLSDRTFVSSAAKILLVISLALFIHGVLPPQVFGFTVEKVTSDYFEVSQETLKNTLVWMASIWNGGIYKLRVLAEGDDWTTFLKAFAFLYCVKVMLNLQFRMLMGLVLAFMFVVFIVYEQCEEEIDSLVAFASVKVKSLVGKVIGNLPDALKAYIS >ORUFI09G17780.1 pep chromosome:OR_W1943:9:17695681:17700092:-1 gene:ORUFI09G17780 transcript:ORUFI09G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLSKACSLVASSLPRCSSSAAPTIRGQPSLLPSVRKEWLGKPLLYGIGTLLVMPLRTLHGVGRMFGAGRFLCNMTSVSSSLQIELVPCLQDNYAYILHDVDTGTVGVVDPSEATPIINALEKRNQNLTYILNTHHHYDHTGGNLELKAKYGAKVIGSAKDRDRIPGIDITLSEGDTWMFAGHQVLVMETPGHTSGHVCYHFPGSGAIFTGDTLFSLSCGKLFEGTPQQMYSSLQKIIALPDETRVYCGHEYTLSNSKFALSIEPGNKDLQEYAANAADLRKRNTPTVPTTIGREKQCNPFLRTSSPEIKNTLSIPDHFDDARVLEVVRRAKDNF >ORUFI09G17790.1 pep chromosome:OR_W1943:9:17701382:17705701:-1 gene:ORUFI09G17790 transcript:ORUFI09G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLVKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEDQHDLILSDKIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGNPEDLVVTSSSNFLGNKKAQPTNGLKTTNGLKTTNGLKDTSSLSLEKEKGKLGKINASHKTIGAENKKPMLTKSNSSLSKQALNGLGDKKEAIKSKAKPAGTRSTPSSPTSVYSLPASFDRFSNDLKQRNKVKGAEKASSSRLSLLEKAASVLKVTTAGRKSSAGPKALRRSWEGKVDTKGKTNSDSKMTKLEKKPENRGTMATIPRRKPPVDEKVQHKDDSKLQNPAKKTTANAPSDDADKAMKKHPPTVKRTSGISNPNVTNLVKVPPNSKKLTDASNSWTTLPPSLAKLGKELLKYRESAQMAAVEAIQEASAAESLLRCLRLTNMLNTTGHGDSAPGKVASVCDSDLINVVTFLLACVGRSSSYAEVSSTAEEQNPQPAVERFLALHTALSRAAVITDTLARSSAASVSSPDRSAASDAGTVVSVTDEETAAVAAERRRRATSWVSAALATDLSAFGLYNLKPVPATISSPLAVLVVDESAKPAASAAANAVKSSPAKSRMSPAKGKARTGPAATAAATAAPPPLPEWEKGVGAEERVELARRLGDESRGWFLGFVERFLDADVAAAAAPWDRERAARMLPQLKRVNDWLGEIGKRGEATPPPPPEADGEAAAATIAAAPANGGSAVPEETIERLRKKIYEYLLTNVDSAAAVLGGGASAPAPAAPANAKKG >ORUFI09G17800.1 pep chromosome:OR_W1943:9:17718447:17718626:-1 gene:ORUFI09G17800 transcript:ORUFI09G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRLASRGEEGTRTGFRGRMVEAARSPAAATVGESAARVRRGGRGRDGGEEGEMRWTE >ORUFI09G17810.1 pep chromosome:OR_W1943:9:17720272:17726962:-1 gene:ORUFI09G17810 transcript:ORUFI09G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVQAGAAPASPSAAAALGFLLPTCWEIEVTCAAAMILVALYAAYELLAPRPASAAGGSSAAGDDLLLVRDLDGADKYKGGSSGPSAYVVKLELLAAKNLIAANLNGTSDPYALITCGEEKRFSSMVPGSRNPMWGEEFNFFVDSLPVKINVTIYDWDIVWKSTVLGSVIVPVESEGQSGPVWYTLDSTSGQVCLHIKAIKVHESSSRALNSSAEAGARRRISLDKQGPTVVHQKPSHLQTIFGLPPDEVVEHSYSCALERSFLYHGRMYVSSWHICFHSNVFSKQIKVVLPLRDIDEIRRSQHAVINPAITIFLRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHTFRALQRAVKNFHTMIEAEKQERAQSALRAHSSSRKSSMKEINVPEDCADLTGQLQPFVKEEVLVTVFNGSFPCTAEEFFNTLLSDDSSYITEFRTARKDKDINLGQWHHADEYDGQVRELNCKSICHSPMCPPYSAMTEWQHMVLSADKTDLVFETVQQVHDVPFGSFFEVHCRWSVKTTSSDSCSLNISAGAHFKKWCIMQSKIKSGAVDEYKKEVQEMLEFAESYMRKVRTSNQDNGTPTEQDNGAVTEQENVAPDNTPNDE >ORUFI09G17820.1 pep chromosome:OR_W1943:9:17729102:17730321:1 gene:ORUFI09G17820 transcript:ORUFI09G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTSQSTATAAAMIAMKPPAPAKNIATLLPPGAKCRRAFLRGLIAAGAGGSLLVAGDGGGIASAASKRRAPPAAAAPEERKDPSVSGVQAKVLASKKRKEAMKEFVAKMREKGKPVSQ >ORUFI09G17830.1 pep chromosome:OR_W1943:9:17730766:17740460:-1 gene:ORUFI09G17830 transcript:ORUFI09G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSVLDGVLNRAGSAVADEAALLLGVRREVEFIRDELDMMRSFLKVATANPDADDTVRTWVKQVRDLAYDVEDSLLDFALFADTLSSSSSSSWWLPWRIAERHRVAARIRELKASVEELNQRFLRYRIVVEHPRASRGGGASDDQQQLHDHDGQYYSAELAFQESDIIGRAREKAEVTALVLSGCGGGDVVGGGALGVVSVWGMGGMGKSSLVRMVYNDPELLDAFDCDAWVTVPHPLDSADEFVRRLRRHLAVGKDQDVHAYLREKRYVIIVDDLHSREEWEHIWPVLHVDGGKGSRVVVTTRREDVARHCAGLVREGHGHVYELRPLGREESKDLFCQKVYKSTEYILEKEMEDLAGPILKRCRGLPLAISTIGGLLANRPKTGIEWIKLDEHLGAELESSDLRNITKVIVSSYDGLPYYLKSIFLYLSIFPENHEIRCTRLLRRWMAEGFIAKNRDMPVEEVGQRFYNELINRSMIQPSKKRISPSVSVDRCRVHSMVLQIILSKSIEENQLFIIKKHCNEVPQSKIRHLVVSRWKRRDERLENINFSYVRSLTVFGDCPASLISPKMRLLRVLDLEDSLNLKNEDLRHVGELHHLRYLCLRGTEISKLPSFQNLRYLETLDIQDTKVTQLPDGIAKLEKLRYLLAGVNFSKELLHKVEQPETDNRKANQLGNMLSCLYCNSSDYCGISSLDRVSVRAPEGVEKLRDLHMLGVINVGHGNGVVGKIKKLTNLRRLGVSGVLKEEGQDLCKSIEKLSRLQRLELRSDSLKFLAESEFAAPKHLLSLRLYGNLVRLPKWIGSLNDLAKLKLLGTQLKQGEIMHLGKLRNLAFLGLWDNSYVGYSLHFGPGTFPKLKFLDIDGLKNIETVAIENGAMPELEQLWVNDCKGLLDSKDGLSGVPHLTNLNELLVKKCGEKENLMEILQTQVSEHSKRPKFLIEYFVWLVTEESKAEQSKARREMEATAVSLARTVLDGVLGGAGSAVADEAALLLGVPREVDFIRSELEMMQSFLRATSGCAGDTARTWVKQVRDLAYDVEDCLLDFALHAHAHASSSSCAPPLWLRPWRLAERHRVAARIRELKASVEELNQRNHRYHVVPVLAAGDQQQQQHEPPAAPPPARGEQHHLRFRDWQVIGRGEEESELAKLISSGGDDDAETRRRVVSVWGMGGMGKSSVARSVYNDPAIVDGFDCRAWVTVPHPLDSAGEFKRRLVAQLETEVDGGGGGDDVSAWLRQKRYLIVVDDVRSLEEWEHIEPCLVESDAGGGRVIVTTRQVDVAQRCVRGMEHAYELKTLAAPHDMRLLCTALADALLRLHLLLHPRLAGRRTPSCAVVFIFLPHGSCARTVVKLHSPRLRLAHAVLWFARAVYKDPEYTLQLHMLEEANKILGRCRGLPLAIATIGGLLANRPKTSAEWKNLRIHLGSELEFDQDINSINRVITSSYDGLPYHLKSCFLYLSIFPENHEIRYTRLVRRWIAEGYIAKRRDMTVEEVGQKHYNDLMNRSMIRPMKKKIGASMAVERCQVHGMVLQIILSKSIEENQLFIIDKHCNEVPQSKIRHLVVTRWKRSEEKMATNINLSLVRSLTVFGECPASLISPKLRLLRVLDLENAVDLENDDLKHIGDLHHLRYLGLRGTNISRLPSSLQNLKCLETLDVQDTKVTHLPDGTAKLEKLRYLLAGINFAEDLAEKMQTNAKNKATSVAPEGIEKLRNLHMLGVVRIERDSGVAQKLGKLISLRRLGVDLDATGEEGKALCNSIQKLARLERLEVRSKSLLFLNDLNGLAPKHLLSLRLYGHLEKLPDWVSSLNDLAKVKLLETQLEQKDINLLGNLSNLTSLGLWGKSFAGVSLHFSRDMFKNLKSLHIQGLENLETLNFEKSAADRLEKLLVKKCFALSDNERGISDILFLKNIVEITLISKGDKPHLQKGLQRQVSEFELVNKRRPKLQIVNSMSGRSPRANTIVG >ORUFI09G17830.2 pep chromosome:OR_W1943:9:17730766:17740460:-1 gene:ORUFI09G17830 transcript:ORUFI09G17830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSVLDGVLNRAGSAVADEAALLLGVRREVEFIRDELDMMRSFLKVATANPDADDTVRTWVKQVRDLAYDVEDSLLDFALFADTLSSSSSSSWWLPWRIAERHRVAARIRELKASVEELNQRFLRYRIVVEHPRASRGGGASDDQQQLHDHDGQYYSAELAFQESDIIGRAREKAEVTALVLSGCGGGDVVGGGALGVVSVWGMGGMGKSSLVRMVYNDPELLDAFDCDAWVTVPHPLDSADEFVRRLRRHLAVGKDQDVHAYLREKRYVIIVDDLHSREEWEHIWPVLHVDGGKGSRVVVTTRREDVARHCAGLVREGHGHVYELRPLGREESKDLFCQKVYKSTEYILEKEMEDLAGPILKRCRGLPLAISTIGGLLANRPKTGIEWIKLDEHLGAELESSDLRNITKVIVSSYDGLPYYLKSIFLYLSIFPENHEIRCTRLLRRWMAEGFIAKNRDMPVEEVGQRFYNELINRSMIQPSKKRISPSVSVDRCRVHSMVLQIILSKSIEENQLFIIKKHCNEVPQSKIRHLVVSRWKRRDERLENINFSYVRSLTVFGDCPASLISPKMRLLRVLDLEDSLNLKNEDLRHVGELHHLRYLCLRGTEISKLPSFQNLRYLETLDIQDTKVTQLPDGIAKLEKLRYLLAGVNFSKELLHKVEQPETDNRKANQLGNMLSCLYCNSSDYCGISSLDRVSVRAPEGVEKLRDLHMLGVINVGHGNGVVGKIKKLTNLRRLGVSGVLKEEGQDLCKSIEKLSRLQRLELRSDSLKFLAESEFAAPKHLLSLRLYGNLVRLPKWIGSLNDLAKLKLLGTQLKQGEIMHLGKLRNLAFLGLWDNSYVGYSLHFGPGTFPKLKFLDIDGLKNIETVAIENGAMPELEQLWVNDCKGLLDSKDGLSGVPHLTNLNELLVKKCGEKENLMEILQTQVSEHSKRPKFLIEYFVWLVTEESKAEQSKARREMEATAVSLARTVLDGVLGGAGSAVADEAALLLGVPREVDFIRSELEMMQSFLRATSGCAGDTARTWVKQVRDLAYDVEDCLLDFALHAHAHASSSSCAPPLWLRPWRLAERHRVAARIRELKASVEELNQRNHRYHVVPVLAAGDQQQQQHEPPAAPPPARGEQHHLRFRDWQVIGRGEEESELAKLISSGGDDDAETRRRVVSVWGMGGMGKSSVARSVYNDPAIVDGFDCRAWVTVPHPLDSAGEFKRRLVAQLETEVDGGGGGDDVSAWLRQKRYLIVVDDVRSLEEWEHIEPCLVESDAGGGRVIVTTRQVDVAQRCVRGMEHAYELKTLAAPHDMRLLCQKVYKDPEYTLQLHMLEEANKILGRCRGLPLAIATIGGLLANRPKTSAEWKNLRIHLGSELEFDQDINSINRVITSSYDGLPYHLKSCFLYLSIFPENHEIRYTRLVRRWIAEGYIAKRRDMTVEEVGQKHYNDLMNRSMIRPMKKKIGASMAVERCQVHGMVLQIILSKSIEENQLFIIDKHCNEVPQSKIRHLVVTRWKRSEEKMATNINLSLVRSLTVFGECPASLISPKLRLLRVLDLENAVDLENDDLKHIGDLHHLRYLGLRGTNISRLPSSLQNLKCLETLDVQDTKVTHLPDGTAKLEKLRYLLAGINFAEDLAEKMQTNAKNKATSVAPEGIEKLRNLHMLGVVRIERDSGVAQKLGKLISLRRLGVDLDATGEEGKALCNSIQKLARLERLEVRSKSLLFLNDLNGLAPKHLLSLRLYGHLEKLPDWVSSLNDLAKVKLLETQLEQKDINLLGNLSNLTSLGLWGKSFAGVSLHFSRDMFKNLKSLHIQGLENLETLNFEKSAADRLEKLLVKKCFALSDNERGISDILFLKNIVEITLISKGDKPHLQKGLQRQVSEFELVNKRRPKLQIVNSMSGRSPRANTIVG >ORUFI09G17840.1 pep chromosome:OR_W1943:9:17751974:17755411:1 gene:ORUFI09G17840 transcript:ORUFI09G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARWLLVLLLLLPVSWCHQDRHGRRHYPRRWRSSGSRRELHEPLFPLENAPALPPPPPPPPAPFFPFLPDSAPPQLPPPVTTPAPAGGAGDGGTDAGAAATGDASSSSSSSASPHPTAPANISYMAMPIYHSAPLRSFLSSHRLLTVLLPVAAVLAAVLAAALVYLLTRRRRCSKGEPHAAHTKAVLLSPGNSTALYDGDHDQHGRGSTATAASSASSPELRPMPPLPRQFQQTRTSMPSTSQTIHEAGAEDKRAPPPQSVRPPPPPPPPPPPPPMPPRTDNASTQAAPAPPPPLPRAGNGSGWLPRRDTERAAPTVIRASAGAVHPEESPARASPEEKAADAAARPKLKPLHWDKVRPASSGRPTVWDQLKASSFRVNEEMIETLFVSNSTRRAFKNGVKEANAACCNQENKVLDPKKSQNIAIMLRALDATKEEVCKALLDGQAESLGTELLETLLKMAPSREEEIKLKEFREDAVSKLGPAESFLKAVLAIPFAFKRVEAMLYIANFDSEVDYLKTSFKTLEAACEELRGSRLFHKILDAVLKTGNRMNTGTNRGNASAFKLDALLKLVDVKGADGKTTLLHFVIEEIVKSEGASILATGQTSNQGSAIADDFQCKKVGLRIVASLGGELGNVKKAAGMDSDTLASCVAKLSAGVSKISEALQLNQQLGSDDHCKRFRASIGEFLQKAEAEITAVQAQESLALSLVRETTEFFHGDSVKEEGHPLRIFMVVRDFLTVLDHVCKDVGRMNERTAIGSSLRLENAPVLARFNAVQPSSSEEESSSS >ORUFI09G17850.1 pep chromosome:OR_W1943:9:17755850:17758564:-1 gene:ORUFI09G17850 transcript:ORUFI09G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRSTATAALALSRTLARRPAASSSSRRISLELSAPRGTNPFQSAAFSSTTTGDPPPPTMDSPIKVVSHIGGSGGDGGGGAIDAGRSARKPLSLWPGMYHSPVTNALWEARSSIFERMIDAGAAGKQQQQPPQTELLTKTPAGSRTSIVYKFATDDILREQYRDPWNEVRIGKLLEDLDALAGTIAVKHCSDEDSTTRPLLLVTASVDKMELKKPICVDTDLKIAGAVTYVGRSSIDIQIEVTQVDQDSDMQSDPIALTANFTFVARDSMTGKSAPVNRLSPETEKEKQLFAEREARDKLRKRKREEQKGVFENGINKLHVEAERLNSLLAEGRVFSDLPALADRDSILLKDTRLENSLICQPQQRNLHGRIFGGFLMHRAFELAFSTAYAFVGQRPCFLEVDHVDFLKPVDVGDFLRFKSCVLYTQLDNAEQPLVNVEVVAHVTRPELRKSEVSNTFHFTFTVCSDALKNGLKIRHVVPSTEEEARRILERMDAEGLFD >ORUFI09G17860.1 pep chromosome:OR_W1943:9:17758826:17763945:1 gene:ORUFI09G17860 transcript:ORUFI09G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADMVSEKAKSNKRKKLECIISRLPRDLIEQVFLSLPVKTLLNCIGVCKQWRSIIQDPKFVTSHLQLAPHCALLFFPRELVSSCGLYPSEAILIDEAWSQSIWDVPVIGPDDFLCGSSNGLVCLYTHTTTIKIANLATGECLHLAKPAKNLTDDHFSFYSFGFHPLTKEYKVTHFLASSHETRIRAKVDSFDGVQVYTLGDEKWKYIGAPEALSLNCVKNSGVVNVDGTMYWLTEDQGTSWHHAVMSFDLNKESFGRIQLPTAALEDSAFYGPRRYWIKEIDGKVCIATCQTSDNQPILLRGEIQIWALDINLEQKWIQKYIIQPSAQHIPGPNIVHRDKIVLQHDARNLCSYELLGKNVEVKLSNMEKLLDFSPRKPGSMQVYTFVKSLVRLDSYKKASITKTEGWELKKWEAWESQRRKIEDIWKKVLQSEQYSIVTTKNLRTTINRLMQRLPDDEALKCIGMKIDQMLHYLPEDCPNQHPRSLRRLNWVAQNQDMDNLEARVDKLNKLRVLRFPPLMLHFGSTSNIFYSVAAVRDDGVDLGGSRQLGGSDVAVPVPRRFPRAVGGRAGKRAGADVRAKRMRMTVSAAEATGCSYSQRRRHCCWWHEQEAEAMPRAAATRAGKGGGGRIGGSDDGGGNWHMRQKSAVTTTLRILSCCSRHRDVAATAMVDPQLHPCPRHCSEAIEKAAEPETQIGVGPTIWLVSLPLHIWPGGGGVWPAIRLAIF >ORUFI09G17860.2 pep chromosome:OR_W1943:9:17758826:17763945:1 gene:ORUFI09G17860 transcript:ORUFI09G17860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADMVSEKAKSNKRKKLECIISRLPRDLIEQVFLSLPVKTLLNCIGVCKQWRSIIQDPKFVTSHLQLAPHCALLFFPRELVSSCGLYPSEAILIDEAWSQSIWDVPVIGPDDFLCGSSNGLVCLYTHTTTIKIANLATGECLHLAKPAKNLTDDHFSFYSFGFHPLTKEYKVTHFLASSHETRIRAKVDSFDGVQVYTLGDEKWKYIGAPEALSLNCVKNSGVVNVDGTMYWLTEDQGTSWHHAVMSFDLNKESFGRIQLPTAALEDSAFYGPRRYWIKEIDGKVCIATCQTSDNQPILLRGEIQIWALDINLEQKWIQKYIIQPSAQHIPGPNIVHRDKIVLQHDARNLCSYELLGKNVEVKLSNMEKLLDFSPRKPGSMQVYTFVKSLVRLDSYKKASIVRRPKRKVTTKNLRTTINRLMQRLPDDEALKCIGMKIDQMLHYLPEDCPNQACDDVFRTARSWLSDQGTSISTADASFWFDLQHFLLGGGVDLGGSRQLGGSDVAVPVPRRFPRAVGGRAGKRAGADVRAKRMRMTVSAAEATGCSYSQRRRHCCWWHEQEAEAMPRAAATRAGKGGGGRIGGSDDGGGNWHMRQKSAVTTTLRILSCCSRHRDVAATAMVDPQLHPCPRHCSEAIEKAAEPETQIGVGPTIWLVSLPLHIWPGGGGVWPAIRLAIF >ORUFI09G17870.1 pep chromosome:OR_W1943:9:17768953:17794003:1 gene:ORUFI09G17870 transcript:ORUFI09G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHGDDGGGGGAHVLLLPYPSQGHVHPMLQFAKRLAFHGMRPTLAVTRYILATCASPDAAAAGACCDDDGGGGVTAYLSRLESAGAATLDKLLRDEASDSGGRRPVRVLVYDAFLPWARPVAARHGAAAVAFFTQPCAVNVVYGHVWCGRLRVPVEAGDGEDGGGGAVALPGLPALSPEGLPWFIKVGPGPYPAYFDLVMKQFDGLELADDVLVNSFYELEPEEAAYMASAWRAKTIGPTVPAAYLGDGRMPGDTKYGFHLFELTTAPCVAWLGAHPPRSVVFASFGSLSDLDPAEMREVALALLDAGAPFLWVVRSSESHKLPAGYAAAAAAANGMVVSWCPQLEVLAHPAVGCFLTHCGWNSTAEALVAGVPMVALPQWTDQPMNAEYVEAVWGAGVRVRPAAAGGLAARAEVARGIEEVMRGERSGEYRRNAAAWMEKARAASREGGSSDRNIAEFVAMCTRHLFVQRSRHDLYIKHRTLALLSTISSKSQPRKSSTRESKLEAMVVQSGEGSVHVVLVPYPSQGHINPVLQFGKRLAGHDGVRCTVAVTRFVVGSTTKPCSLGSSPVRVAVFSDGCDEGGPAELGGHRGPYFQRLEEAGSVSLDELLRGEAERGTPATVVVYDTFMPWVPRLARRHGAACAAFLTQTCAVDVVYTHARSGRLPVPVGEADGPLRLPGLPVELDAGDVPTFLAAHDTHHPSMRALLMNQFVGLDNVDHVFVNSFYELEPQEAEYMAATWGARTIGPTVPSAYLDNRLPDDASYGFHLHTPMAAACREWLDARPAGSVVYASFGSIAAPGPETMAEVAEGLYSSGSPFLWVVRATETGKLPAGFAARAKNTGLIVPWCPQLEVLAHAAVGCFVTHCGWNSTVEALSAGVPMVAVPQWSDQTTNARYIEDVWRVGVRVRGGGGGDGGAVVRREEVERKVREVMEGERSKEFMRNAASWSSKARSAMGEGGSSDRNIAEFLSKYRIPLKLLYDNCHPLAFLLNYCNKEAQMSLVTKIESPMTSLAPYLALNWSRKLIDARNKNMEIRQCYCYPICDSSRFSNGNKSMIQLYRSQKQHRASLVAAMASSERGGGGGGGIHVVLLPYPSQGHINPILQFGKRLAGHGGVRCTLAVTRFILRQGEPPSTGAVHVAAYSDGYDAGGFHEAGSAGEYLSRLESRGSDTMDALLRAEAEQGRPVDAVVYDSFLSWAPRVAALHGAATASFFTQACAVNAAYESVFTGRVELPLAADGEEPLRLPGISVGLTLDDVPTFMANTEDSPAYLDLLVNQFKGLDMADHVLVNSFYELQPQEAEHMASAWRAKTVGLTVPSAYLDNRLPDDTSYGFHLFSPTTETKAWLEARPPRTVAYVSFGSVATPSPAQMAEVAEGLYNTGKPFLWVVRASETSKIPEGFAAKAAKQGRGLIVTWCPQLEVLAHPAVGCFVTHCGWNSTTEGLSAGVPMVAVPQWSDQTMNAKYIEDVWRVGVRVRPDGEGVVRKEELERCVREVMEGERSKEFMENANGWKEKARNAMCEGGSSDKNIVEFIAKIGVDRKFK >ORUFI09G17870.2 pep chromosome:OR_W1943:9:17795491:17804025:1 gene:ORUFI09G17870 transcript:ORUFI09G17870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRCLAVAEPSRLGLATVTRKNWEKKRRERKNNSDGSVHVLLLSYPAQGHVNPLLQFGKRLAAHRRVRCTLAVTRSLLNSCCRAPPSPGGGGGVHVATYSDGCDARGYDELGDEGAYLSRLESAGSATLDELLRGESGEGRPVRAVVYDAFLPWAAPVARRHGASCAAFFTQACAVNVAYAHAWAGRVELPLPTSAPAPPLPGVPPELEPAYFPTFLTAPAAGRSAYLDLLLRQCQGLEVADHVLTVGPTVPSAYLDGRLPGDASYGFDLHTPMAAESKAWLDERAASSVVYVSFGSLATPSAAQMAELAHGLRDSGRFFLWVVRSSETGKLPDGFAGETAAKNTTGLIVPWCPQLEVLAHGAVGCFVTHCGWNSTVEAVSAGVPMVAVAQWSDQPTNARYVEEAWRVGVRARADGEGVVRKEEVARCVAGVMDGETGMEFRTNAARWSAMARAAMSQGEKDPKQCLGIGSAQNIDLPVAVRKNSAGKKDDLAVKAITKSGAETKNQVEFSIGSGLGHNCKSVRKIRCYGTAAGTGTARYQNPGRWIWPDPTAGRVWYRAVPLLSLCSTTRRTEVEGQKRNFMCNNRIGRDGRAARTLEALGFSIDRRRRLLEVNSVVAAAASGKDDIDAATVAGNDEFAAAVACFNVAAATVAGKDDIDAAAAGKEDIDAAATGNDEFATAAAYFNAAAAGKDEFVAAAAACFNACRNPPLAAT >ORUFI09G17870.3 pep chromosome:OR_W1943:9:17768953:17785869:1 gene:ORUFI09G17870 transcript:ORUFI09G17870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHGDDGGGGGAHVLLLPYPSQGHVHPMLQFAKRLAFHGMRPTLAVTRYILATCASPDAAAAGACCDDDGGGGVTAYLSRLESAGAATLDKLLRDEASDSGGRRPVRVLVYDAFLPWARPVAARHGAAAVAFFTQPCAVNVVYGHVWCGRLRVPVEAGDGEDGGGGAVALPGLPALSPEGLPWFIKVGPGPYPAYFDLVMKQFDGLELADDVLVNSFYELEPEEAAYMASAWRAKTIGPTVPAAYLGDGRMPGDTKYGFHLFELTTAPCVAWLGAHPPRSVVFASFGSLSDLDPAEMREVALALLDAGAPFLWVVRSSESHKLPAGYAAAAAAANGMVVSWCPQLEVLAHPAVGCFLTHCGWNSTAEALVAGVPMVALPQWTDQPMNAEYVEAVWGAGVRVRPAAAGGLAARAEVARGIEEVMRGERSGEYRRNAAAWMEKARAASREGGSSDRNIAEFVAMCTRHLFVQRSRHDLYIKHRTLALLSTISSKSQPRKSSTRESKLEAMVVQSGEGSVHVVLVPYPSQGHINPVLQFGKRLAGHDGVRCTVAVTRFVVGSTTKPCSLGSSPVRVAVFSDGCDEGGPAELGGHRGPYFQRLEEAGSVSLDELLRGEAERGTPATVVVYDTFMPWVPRLARRHGAACAAFLTQTCAVDVVYTHARSGRLPVPVGEADGPLRLPGLPVELDAGDVPTFLAAHDTHHPSMRALLMNQFVGLDNVDHVFVNSFYELEPQVRPKLVANGVCSPSPRVPDILFVAQEAEYMAATWGARTIGPTVPSAYLDNRLPDDASYGFHLHTPMAAACREWLDARPAGSVVYASFGSIAAPGPETMAEVAEGLYSSGSPFLWVVRATETGKLPAGFAARAKNTGLIVPWCPQLEVLAHAAVGCFVTHCGWNSTVEALSAGVPMVAVPQWSDQTTNARYIEDVWRVGVRVRGGGGGDGGAVVRREEVERKVREVMEGERSKEFMRNAASWSSKARSAMGEGGSSDRNIAEFLSKYRLPPHGTK >ORUFI09G17870.4 pep chromosome:OR_W1943:9:17785932:17794003:1 gene:ORUFI09G17870 transcript:ORUFI09G17870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLAMNLEQFHNKEAQMSLVTKIESPMTSLAPYLALNWSRKLIDARNKNMEIRQCYCYPICDSSRFSNGNKSMIQLYRSQKQHRASLVAAMASSERGGGGGGGIHVVLLPYPSQGHINPILQFGKRLAGHGGVRCTLAVTRFILRQGEPPSTGAVHVAAYSDGYDAGGFHEAGSAGEYLSRLESRGSDTMDALLRAEAEQGRPVDAVVYDSFLSWAPRVAALHGAATASFFTQACAVNAAYESVFTGRVELPLAADGEEPLRLPGISVGLTLDDVPTFMANTEDSPAYLDLLVNQFKGLDMADHVLVNSFYELQPQEAEHMASAWRAKTVGLTVPSAYLDNRLPDDTSYGFHLFSPTTETKAWLEARPPRTVAYVSFGSVATPSPAQMAEVAEGLYNTGKPFLWVVRASETSKIPEGFAAKAAKQGRGLIVTWCPQLEVLAHPAVGCFVTHCGWNSTTEGLSAGVPMVAVPQWSDQTMNAKYIEDVWRVGVRVRPDGEGVVRKEELERCVREVMEGERSKEFMENANGWKEKARNAMCEGGSSDKNIVEFIAKIGVDRKFK >ORUFI09G17870.5 pep chromosome:OR_W1943:9:17793915:17795692:1 gene:ORUFI09G17870 transcript:ORUFI09G17870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVKYYSLRFTITPSNGAAILEIGIIFSNSGRRAPSLISPSPKLSHHRSSKVKRRRRDIPRTIVDDQALPTSSVRRAAAVRHRRRQ >ORUFI09G17880.1 pep chromosome:OR_W1943:9:17806399:17811320:1 gene:ORUFI09G17880 transcript:ORUFI09G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSSSRLGALEKLKSFRGIEKQRSFKFLSMEKQQSFKRNKDSPGKRGDTELHLAARAGSVPHVQKIFAASDPELVGELAARQNQDGETALYVSAEKGHTEVVSEILKFCDLQSAGLKATNSFDAFHIAAKQGHLDVLKELLQAFPALAMTTNSVNATALDTAATQGHIDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVTALLNKDPGIGFRTDKKGQTALHMASKGQNAEILLELLKPDLSVIHVEDNKGNRALHVATRKGNTVIVQTLISVKEIVINAVNRAGETAFAIAEKLGNEELSNILREVGGETAKEQVNPPNSAKQLKKTVSDIRHDVQSGIKQTRQTKMQFQKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFTIPGNFLEDMKDPHDPSMTLGQAFVASNPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVMNKLMWMACLCISAAFIALTYVVVGRDDRWLAWCTMAIGTAIMLATLGSMCYCIIAHRMEEKNMKKIRRSSTSQSWSISVDSDTELLNNEYKKIYAL >ORUFI09G17890.1 pep chromosome:OR_W1943:9:17810045:17813216:-1 gene:ORUFI09G17890 transcript:ORUFI09G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLKRVTGMAKGLSAVPAQKNEDESLVLFGELYRHEKEKDVNLLEPMYSVEFEAIQGTSRMFKLPSGKKDYLLPDGGKHDYDWLKTPPATPLFPSLEMEANSSQMVFQRELPILQPVKTSRFSIKPEPTSTSTRTESPTSSSSKSATPTARSSSSSSKKNFTKGDPALSEVTTAYKMDKRSSYTPLKNIQQLAAPTTKSTAASKAAKKTSASKKPEFPGSTNAVNKMAKLGIPDKPLKKTTATAPKARSKDPAIGMKDLKMDAGTARRMPCPPAATVGSNNELNKVAGKGRRRTGGEPAPGNGSRATEATTNGRRRAVAEKEHGQRLGSLAKNSVSESTDMDHDWLVDDLLIFFMFFSSIL >ORUFI09G17900.1 pep chromosome:OR_W1943:9:17813990:17818753:-1 gene:ORUFI09G17900 transcript:ORUFI09G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMRVTTDTWPRRAAQEPLLLLLLRSSLMKSASLQALNPNRAMAAMGRSVRVVLDSSVLLEPSGVTAEEEEVVVALRPGAEALLRRLRYSNLRVAICHPEGLPTNESGFLEKTAKLYSFGYMPLTSPSGSNLLNELMLEWSGTNFCFYVTSGVHEGLLSELQNHNWEVIAMGNEDVIKNSGVIHISMLQELLITLATSIKKEIGNSSAFVRGAFPIYPSKNDLIFVPLSFELPLASQLQEVDLVLHKITDEIINIDPNSSISFPKGISFSPGMSEIIRFVEEHCDFCVIDPFKNIYPLLDRIQIQEILIRLEGLSAEGRPKLRAPCFLKIESFCGSELQKQLAEAKLSFPLIVKPQVACGVADAHNMALIFKIEEFSNLSVPLPAILQEYIDHGSKIFKFYAIGDKIFHAIKNSMPNASHLKSSSGGKPLTFNSLKTLPVATKEQLLQNEVQDSKLLDINLVEEAAKLLKELLGLTIFGFDVVVQESSGDHVIVDLNYLPSFKEVPDNVAMPAFWDAIKQSYESRKQMTQT >ORUFI09G17900.2 pep chromosome:OR_W1943:9:17813990:17818753:-1 gene:ORUFI09G17900 transcript:ORUFI09G17900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMRVTTDTWPRRAAQEPLLLLLLRSSLMKSASLQALNPNRAMAAMGRSVRVVLDSSVLLEPSGVTAEEEEVVVALRPGAEALLRRLRYSNLRVAICHPEGLPTNESGFLEKTAKLYSFGYMPLTSPSGSNLLNELMLEWSGTNFCFYVTSGVHEGLLSELQNHNWEVIAMGNEDVIKNSGVIHISMLQELLITLATSIKKEIGNSSAFVVGYVMKQSREEDFAKAIFVHSVTDYYRGAFPIYPSKNDLIFVPLSFELPLASQLQEVDLVLHKITDEIINIDPNSSISFPKGISFSPGMSEIIRFVEEHCDFCVIDPFKNIYPLLDRIQIQEILIRLEGLSAEGRPKLRAPCFLKIESFCGSELQKQLAEAKLSFPLIVKPQVACGVADAHNMALIFKIEEFSNLSVPLPAILQEYIDHGSKIFKFYAIGDKIFHAIKNSMPNASHLKSSSGGKPLTFNSLKTLPVATKEQLLQNEVQDSKLLDINLVEEAAKLLKELLGLTIFGFDVVVQESSGDHVIVDLNYLPSFKEVPDNVAMPAFWDAIKQSYESRKQMTQT >ORUFI09G17910.1 pep chromosome:OR_W1943:9:17819844:17820188:-1 gene:ORUFI09G17910 transcript:ORUFI09G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVFGVIKKRRRRRRRPPGRCYERLHSAGGGGGGVYRSQSCRFPVRAPADEEEELELLYYDDGGRRRASPAGALSGEMPASAVGCSERGFLSRSLRFSSMRVLACVSGA >ORUFI09G17920.1 pep chromosome:OR_W1943:9:17822528:17822865:1 gene:ORUFI09G17920 transcript:ORUFI09G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCKGLAMELVKCLSETDCVKVQKRPYKECAGEKVPNITSECVGLRETYFNCKRGQACVPPPALNHCRNYQLC >ORUFI09G17930.1 pep chromosome:OR_W1943:9:17829121:17830155:-1 gene:ORUFI09G17930 transcript:ORUFI09G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRPSPAAASSGGVPARLRPHLTRLTVFLIVFSAGYSVGIMSSSIRPPASKPSQTVIRPRAAHLSGTASSTDVPASNGSAAAAANYPRSPPHDLFRFREECGEAIPSDAVVRTLLDKLFDGESPYESFPPPHTAALLHPAAARPRGWGSTGAVFAELIEEVRPDVIVELGAFLGASALHMAAVSKNLSLSPAILCVDDFRGWPAFRDRFRRDVPPPRHGDALLLPQFMSNVAAAGADATARVLPLPFSTASALAALCHWGVYADLIEVDAGHDFHSAWADINLAWAVLRPGGVMFGHDYFTAADDRGVRRAVTLFARVKGLTVRPHGQHWVLSPKPPLRRDGR >ORUFI09G17940.1 pep chromosome:OR_W1943:9:17839161:17840015:-1 gene:ORUFI09G17940 transcript:ORUFI09G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLSPCSSFSPPSPSSMFSTGAAAAAAHAVLEFTSCEVPDEWLMGDVVMAKNEEDVGGGELWPVFAGGSLSPDSELSELPRSFEAAAAQRPAKRRGRKPGPRPDGPTVSHVEAERQRREKLNRRFCDLRAAVPTVSRMDKASLLADAAAYIAELRARVARLESDARQAAAARFEPSSCGGGGNASYHGGGGGGGAAPGLDEAVEVRKMGRDAAAVRVTTTGARHAPARLMGALRSLELPVQHACVMRVHGATTVQEVLVDVPAALQDGDALRAALLQRLQDS >ORUFI09G17950.1 pep chromosome:OR_W1943:9:17844172:17844812:-1 gene:ORUFI09G17950 transcript:ORUFI09G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIISLSPCVTLSFFLSQPSFLFSLSVRLQRSGVAAGRRATVGRDPRQVGGEGIDDFFPSHTHPVVSPAPLLRGSSTGDWIPSSLWLLAAAVAFPTVSGHGDSLHYFRWVDPAVTVAAAVAAAKGGSGGSRHWDQVHELYSD >ORUFI09G17960.1 pep chromosome:OR_W1943:9:17880153:17881944:1 gene:ORUFI09G17960 transcript:ORUFI09G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDGVLCLDGRGSRSVARQSDGFASQGSGWMDLLQNGSINIFAVTFMHSSVIRNGDLRVDTICCFLNLSNDRAPRSTKKVNTER >ORUFI09G17970.1 pep chromosome:OR_W1943:9:17888246:17888725:-1 gene:ORUFI09G17970 transcript:ORUFI09G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGMKDFYRQKKKGGPTKASSSSKKKTQHYTGGASVGASDTAQTSALISHGNLDLKDDFSEQEEQLRLFDMDMKFGPCIGVTRLQRWERASAMGLHPPPHLRDLLLNNTHAGNHNNNGPSLECLWEGKV >ORUFI09G17980.1 pep chromosome:OR_W1943:9:17891071:17891478:-1 gene:ORUFI09G17980 transcript:ORUFI09G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRFSAAMMRSVEVGIQRSADGHALPHLDPAAGAAVAVASAAAAAVDIPSAAILVVVVTVTAAAAARRMRSSNPPPSSACHSRRLFFSAEFLVALPAAESSQPRASFYGKKKRKKKKHAAPSRRAEPSRAVVT >ORUFI09G17990.1 pep chromosome:OR_W1943:9:17891166:17895658:1 gene:ORUFI09G17990 transcript:ORUFI09G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAAAAVTVTTTTRMAAEGMSTAAAAAEATATAAPAAGSRWGRAWPSALRWIPTSTDRIIAAEKRLLSIVKTGYVQEQVNIGSSPPGSKVRWFRSSSDEPRFINTVTFDSEENAPTLVMVHGYGASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALQHPEHVQHLILVGPAGFSSETEHSSEWLTKFRATWKGMLVNHLWESNFTPQRIVRGLGPWGPGLVQRYTSARFGSHSTGELLTEQESTLLTDYIYHTLAAKASGELCLKHIFSFGAFVRKPLLQRSYEHPGDLESICTIGGHFVFIDNPSGFHSAVFHACRKFLSGDGEEGLSLPEGLTSA >ORUFI09G18000.1 pep chromosome:OR_W1943:9:17896195:17898231:1 gene:ORUFI09G18000 transcript:ORUFI09G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPKSSPPAKSAIDGNLRGKSTDLHPAAHTWIVLAISAPVSLTLALTSMSAAAAARDGGLAAATSRIASHGRAGDAAAARAVFDAMPRRDAVAWNAMLTAYARAARPRAALALFARMRAPDAFSLTAALAAAAALRSPAAGAQLHGRLLRLGLRAPLPVGNALVSMYAKCARAADAARAFREMPERNALSWCSLLHAFVVSGHMELAHELFDEMPSKSNVAWNTLLMGHSRSGNAKQCLALFNQMWMSGLTCDDATLCILVDACAELPDPSTGFAIHKVVVQSGWNGIPEVNNSLISFYTKFSLLDCAVQIFESMKTRTTASWNSLIDAHARFGYIEQAALLFESAPETNIISWTAMIGGFARNSLTSEALAHFVKMLTQEYIQPDDFTFGAVLHACASAPCLASGRMVHSCAFQGGFASYLYVANNLVDMYAKCGDVEGANNVFDAIHQKDLVSWNTMLFGFAINGLPKEAFEVYEIMTYHNVSPDEVTFTGLLTACSHSGLLEQGRAFFESMMSVHGVQPKPEHLSCVLDMYARSGNIAKAIEMMEQYPEIVKSPGSGLSEALLSFCSSENLDFWVGRKVGDDVVARAPARDTGYVMLSNLLCVSGRWDEAERVRRAMAEQGIKKSPGCSWIEVKGKVKVFVSSEQAIDLTDTVYGLIYLLDYEMRNSMLLCDV >ORUFI09G18010.1 pep chromosome:OR_W1943:9:17900876:17904917:1 gene:ORUFI09G18010 transcript:ORUFI09G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDPTRRLSLLGGSSGEHQPPMVSPCISALVDLASHRRSLPSSVLLPPFHPMPVCFCSSRGPGFFHYHMPPTLTPTVGGVGDGSHHIYPFSDFPFFSMDSIALPTNAHLAGSTVIPLTSSLIGFNEGLPGQPPLWEGYRRLQSDLNVGFPQPNLQMLPLAPVKLEPVTEEHQSRGKSVIIADNLVDSNMGSNMLLGSSSNANQDQIHQLGSQDRILQEHRRIETTENEANDSMCGSSSMPHRRRNSSVGSSRMTRNLDPGAGSSSSHGGNGPVVGDTAAAAANLGDGEFSEADKKTIMASEYLSQLVLSDPKKVKRVLCNRRSAARSKERRLNYKLELESKVLVLKIEIEKLSEKLATAQRTFNELLAQNNELKIKIQETGRERQMKEAIFKSIGYESLQVVVDGEFVMPNGTHEETVARLIELLEPETQAGPSQIQGYQP >ORUFI09G18020.1 pep chromosome:OR_W1943:9:17905546:17910285:-1 gene:ORUFI09G18020 transcript:ORUFI09G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIRSRRRRLHASSSPGRLTRARGRGEEKPQRRWPRRKRREEELVDEDWERSSRPGLQHLLGDGHDAGLELRVEDGAQGLERPLLRNGSTSWWSDVLVALQGALTVIGNITMCIAAFRIFKASQESSKMVMVSQVHESWTGQPEGSSIGMKPPLCLDMDRMSGLRERDVSRIGTLLDSIGRCSSLAPRQDNQQQQQRKQPAHAPMALRRKRLRLRRRRETMRRSDGMEMEMVNLKLYLENRCILEENERLREKASALHRENLALRADLRNTSSPATTAAAASSC >ORUFI09G18020.2 pep chromosome:OR_W1943:9:17905546:17910862:-1 gene:ORUFI09G18020 transcript:ORUFI09G18020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCENAKLPIPPMAHLRLIAAVACATAAAAAPTSSRGLPASARRAGRRPAGERALAAHLGRPRLQRRRVGDGHDAGLELRVEDGAQGLERPLLRNGSTSWWSDVLVALQGALTVIGNITMCIAAFRIFKASQESSKMVMVSQVHESWTGQPEGSSIGMKPPLCLDMDRMSGLRERDVSRIGTLLDSIGRCSSLAPRQDNQQQQQRKQPAHAPMALRRKRLRLRRRRETMRRSDGMEMEMVNLKLYLENRCILEENERLREKASALHRENLALRADLRNTSSPATTAAAASSC >ORUFI09G18020.3 pep chromosome:OR_W1943:9:17910634:17910862:-1 gene:ORUFI09G18020 transcript:ORUFI09G18020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLCENAKLPIPPMAHLRLIAAVACATAAAAAPTMLAALAAAQPANALSLPTWAVHVSSVAEWYCYYPT >ORUFI09G18030.1 pep chromosome:OR_W1943:9:17912049:17914846:-1 gene:ORUFI09G18030 transcript:ORUFI09G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNAAVLVRPHIAGVHHLPTGRRLPRLAPPQAVSPPFSRQKGSVVAASGRVWASASGSFEKDRIGDDDVLASPQIVEESKVDLLKILKSANTIIPHVVLGSTILALVYPPSFTWFTTRYYAPALGFLMFAVGVNSSVKDFIEAIQRPDAIAAGYVGQFIIKPFLGFLFGTLAVTIFNLPTALGAGIMLVSCVSGAQLSNYATFLTDPHMAPLSIVMTSLSTATAVFVTPTLSYFLIGKKLPVDVKGMMSSIVQIVVAPIAAGLLLNRYLPRLCSAIQPFLPPLSVFVTALCVGSPLAINIKAVLSPFGLATVLLLFAFHTSSFIAGYHLAGTWFRESADVKALQRTVSFETGMQSSLLALALANRFFPDPLVGVPPAISVVLMSLMGFALVMVWSKRTKE >ORUFI09G18040.1 pep chromosome:OR_W1943:9:17917208:17921678:1 gene:ORUFI09G18040 transcript:ORUFI09G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPVAAAEPMAVDESASKKSKRKLKAAEVEVEASSRKKEKKEKKRKAKEPSPSSSSSSEEEERSSTSSDEPAPAAKKAKKEKTKEKVVVEEEEDDDDEGELTASGDEDPADPNALANFRISESLREKLKSKGIKALFPIQATTFDLVLDGHDLVGRARTGQGKTLAFVLPILESLVNGTHKASRRTDYGRPPTVLVLLPTRELAKQVHTDFAFYGATFGLSACCVYGGSDYRSQEMAIRKGVDIVVGTPGRVKDFVEKGTLNFRSLKFRVLDEADEMLNMGFVDDVELILGKVEDVTKVQTLLFSATIPEWVKKLSLRFLKSGKKTVDLVGDEKLKASASVRHLALPCNRAARAQVIPDIIRCYSRGGRTIIFTETKESASDLSGLIAGSRALHGDVAQAQREVILAGFRSGKFLVLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLFEPRYKFNVNRIERESGVKFEHISAPQPTDVAQSAGTEAAEAISSVSDSVIPVFREQAEQLLNSSGMSAVDLLAKALAKAVGYTDIKKRSLLSSMDNHTTLLLQTGRSVYAAGFVLSTLKRFMPEERLADVKGITITADGTGAVFDVPSAEVEDYIQGAQNAAMVTVEEVKQLPPLQEREQSGGSRGGGRFGNRRFSGGGGGRGGGGRGFGGGRGRGGGGGNRFNKRY >ORUFI09G18050.1 pep chromosome:OR_W1943:9:17923466:17925055:-1 gene:ORUFI09G18050 transcript:ORUFI09G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRCASPRCALAAARGGGGAPAPPPLPVLPVPTAAQLRWQRREVIMFFHFGMNTFTDSEWGTGREPPAAFRPAALDASQWMDAAAAAGASLVVLVAKHHDGFCLWPSAHTAHSVRASPWRGGRGDVVREFADAARARGLDIGIYLSPWDRHDKRYGREVAYNEYYLAQLHELLTGYGSVSEIWFDGAKGKNATNMTYHFQEWFQTVRQLQSSINIFSDDGPDLRWVGDENGSAGSTCWSTINRSKITIGEAGIEKYLNTGDPRGKDWVPPECDVSIRPGWFWHKNETAKPLPELLEVYYNSVGRNCVLLLNAPPNTTGLVDAADIARLREFRAAVTAIFGTDLAAGSAARASSERGGRFAAANVLDGRDDTYWAPAAAEAEDGGGYWIELRRPASAAARKFNVVRIQEHVAMGQRVERHEVYVDGGGAAVASGTTVGHKRLHRLGAPVAGRTVRVWLASRRGGAPSLGAGDE >ORUFI09G18060.1 pep chromosome:OR_W1943:9:17927834:17928046:1 gene:ORUFI09G18060 transcript:ORUFI09G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDGIPSPNGILLLHRCDSHPYDAAEGINACPSPAAAITAAPAPTLPSPSLTAAARRDAPLPNGMSSYI >ORUFI09G18070.1 pep chromosome:OR_W1943:9:17931376:17932839:1 gene:ORUFI09G18070 transcript:ORUFI09G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDMAHRDHLLAAAHGALAAATLVACVLAEAAVLALRRGDGAAGMLCYYLVPVSAMLLLYRSRRRAAAARVGLVDFACLRPPPRLRIPVAGLLEHFKLIGCFDDGSVEFMTKVIEASGMGNETYFPPSLHHIPPAATHGEAIREAHMLFFPALDDLFAKTGVPPSSVGAVVVNCSGFCAAPSLSAIIANRYGMPSDVRTCNLSGMGCAAGAIGVDVAAGLLRAHAAMSYAVVVSAEIVTVGWYSGKDQSKLLLNCYFRTGCSAALVTTKRGGGGVKYRLVSVTRTNQTANDRSYRSGYRDEDDEGITGFTLGHGVGRMVSELLRAHLLTLSLSILPWREKLRYVAALLRHRRHDKKAGSGGGIPMPDFRAAAEHFCLPSSGRPMIWRLGQGLGLGEGEMEAALMAFHRFGNQSAASLWYQLAYMEAKGRVRRGDTVWQLAVGSGLKANSLVWERVADDDHFATERHGRTTLGPWADCIHKYPVTEG >ORUFI09G18080.1 pep chromosome:OR_W1943:9:17937829:17938851:-1 gene:ORUFI09G18080 transcript:ORUFI09G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRKTSSLLISFRRSGQAGAAAQRVGRRARRRRDGGGGANSGDKLDCETIRWLTRELGHKSDSETIRWFMQQSEPAIDTATGMGTVPAITTIVDGILRIPTESPSAVAAAARGDEPTPKRRSKLQPTRAATDDPVEALAMAHPPRGLAPASSATPPTATGGGAIPFIAMPATSDGGKQAMSPATVWMVPPGGAGAVNQPIQYWAFQPNPDHANFAGASSYNVGQNPGVHEASAADHAASTGGGGGGEDDEYEGMTDSSSDEE >ORUFI09G18090.1 pep chromosome:OR_W1943:9:17939888:17942555:-1 gene:ORUFI09G18090 transcript:ORUFI09G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRPPAGPPLPPPLLHKNARLPDPVPGRVGLGHRRGNATAAHRRRSEREGKKSTPLVVVAAGAALSGAAAVLLVVLVVFLACRRFQRRAMPGADQSGTNKVSFDPGPDVFYLDAVKPYVEADHGGGGGVVKTAPELAGPKEEPRCEEEDSGVALSDDGADSVHSSCCFHSSHFSYSELRDTKPGSNGVSPSPSGRSRRRSSAPVTPSEKNKAASPYSPQCPRTPSNRERSSRAHSPSSSVSDLTSVSTSVVKDHEVRRAVHSLMFPEAQSGGAGHVKEDEAESGNMRPPPPPPPPPPPPPPPPPPPPPPPPAVTQQQDVKTSCGPAVPPPPPPTPPPPPPLLAPKQQSSGGPILPPAPAPPPLFRPWAPAVGKNGAPLPKLKPLHWDKVRAAPNRRMVWDRIRSSSFEYVHPKPTPSPLRLRTSSRLDEKMIESLFGYNARCSTKHEEVQSRSPSLGHHVLDTKRLQNFTILMKAVSATAEQIFAALLHGNGLSAQQLEALIKMAPAKDEADKLSAYDGDVDGLVPAERLLKVVLTIPCAFARVEAMLYRETFADEVGHIRKSFEMLEEACRELMSSKLFLKLLEAVLKTGNRMNVGTARGGAMAFKLDALLKLADVKGTDGKTTLLHFVVQEMTRSRAAEAADIAAGLGAELTNVRKTATVDLDVLTTSVSGLSHGLSRIKELVGSDLSGDERNQCFVAFMAPFVAHAGEVIRELEDGERRVLAHVREITEYYHGDVGKDEASPLRIFVIVRDFLGMLERVCKEVRGAKNCHGGNPALNLNNV >ORUFI09G18100.1 pep chromosome:OR_W1943:9:17949611:17951311:-1 gene:ORUFI09G18100 transcript:ORUFI09G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARTLALAFPLPLCAVSSPRRATPLALPRRGAARPLASLAQCPARGHSPSPRRAAGRALAPCAAMRGSGRGGGGGGEEFASDDGGGARALLRATLWGAEAAYILWLFLLPYAPGDPVWGISQATISDLIGLSLNFFLILPLLNSAGVHLLESPVLHPVAEGLFNFVIAWTLLFAPLLFTDSRRDRFKGSLDLLWGFQMFLTNTFLIPYMAIRLNDPDKDKSPPQTSKLGSVMVRGAPIVGLTGGLVCILSIAWALFGRADASFGGIAERWRYVQSYVFSERLAYAFLWDILLYSIFQPWLIADNIQNVKASATEFVNSVRFLPVIGLVAYLFCLEDRDD >ORUFI09G18100.2 pep chromosome:OR_W1943:9:17943191:17951311:-1 gene:ORUFI09G18100 transcript:ORUFI09G18100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARTLALAFPLPLCAVSSPRRATPLALPRRGAARPLASLAQCPARGHSPSPRRAAGRALAPCAAMRGSGRGGGGGGEEFASDDGGGARALLRATLWGAEAAYILWLFLLPYAPGDPVWGISQATISDLIGLSLNFFLILPLLNSAFLIPYMAIRLNDPDKDKSPPQTSKLGSVMVRGAPIVGLTGGLVCILSIAWALFGRADASFGGIAERWRYVQSYVFSERLAYAFLWDILLYSIFQPWLIADNIQNVKASATEFVNSVRI >ORUFI09G18100.3 pep chromosome:OR_W1943:9:17949611:17951311:-1 gene:ORUFI09G18100 transcript:ORUFI09G18100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARTLALAFPLPLCAVSSPRRATPLALPRRGAARPLASLAQCPARGHSPSPRRAAGRALAPCAAMRGSGRGGGGGGEEFASDDGGGARALLRATLWGAEAAYILWLFLLPYAPGDPVWGISQATISDLIGLSLNFFLILPLLNSAFLIPYMAIRLNDPDKDKSPPQTSKLGSVMVRGAPIVGLTGGLVCILSIAWALFGRADASFGGIAERWRYVQSYVFSERLAYAFLWDILLYSIFQPWLIADNIQNVKASATEFVNSVRFLPVIGLVAYLFCLEDRDD >ORUFI09G18110.1 pep chromosome:OR_W1943:9:17948570:17949469:1 gene:ORUFI09G18110 transcript:ORUFI09G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVVAACVVVVAAALLLVTAPGAAAQPGGASSGSGCNAGLIRLLPCLGFVGGNDAAPSNTCCANLGSMVHDEPLCLCQALSQSGGGGAIPVPVNRTRAVQLPLLCRLDLPPAAAACPGFDLGGAAPSPPVSVPRSTPNSTAPSTPTPVTVTRAPPQQMTPSPKTSSQTPEYSSGLKLIADCVPVALGFMALVSALTF >ORUFI09G18120.1 pep chromosome:OR_W1943:9:17953758:17955934:-1 gene:ORUFI09G18120 transcript:ORUFI09G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRDVPQRHRHGRRRRAQLAVRHGSPRMGAGDGGAGGVVGDDAVHAAAADRAARVRARGAVRPVPRPRRARAGPAPGALARRAAAAHRAARLRRGVHGHRREMPHEVRRVGVVVVARAAAAPPVLLDLHLRRLPVPPLPAPQPRLHHRRLPRRRRHVRRLLDDIMGGVLGEGYSRGGGGRRWGGELRVQGRDGGGLGVPGVQLAGAGGIRVRRARGGAGDPGDDPVHADEAVEGGHVEGRRGGVPGHRALLLPRRDRGLLGVRPRRLRQRARRAAAAAVARRRRQHDGRRPRPRQLPGVRHAHLRDPRDDSDHQDQAPSRGAPPPRRPISLRRVHAVRRGDVPVLRRPARLLRRLRVHADVLLPPLYSVAEDQEASEVQRVVVCQLGLHRRWSAVDDRFHHRRATKHHPGCLDRAGHRSLRNV >ORUFI09G18130.1 pep chromosome:OR_W1943:9:17960140:17961255:-1 gene:ORUFI09G18130 transcript:ORUFI09G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLQGKGAETAAAGERVAPGTNAAAFAGLGYPPIQSPVALQEEEGPRDAAFAGYAPIRSPVVSRLQEKGEGEGEEEEVDKREEAGMAADGSAFAAGMALVPKPEPVAVEFLRGLAVAKPPPRNRDRHVKVEGRGRRIRMPVNCAARIAQLTRELGHKSDGETIRWLMQQSEPAIVAATGTGTVPAIATTVDGVLRIPTESPSAAARGDEPAPKRRRKLQPTRAAAGGPVEALAAAPPPAESINKTSLPYRSSWHELNSAFPHPHSCPKSCFGGLPPR >ORUFI09G18140.1 pep chromosome:OR_W1943:9:17963588:17967392:1 gene:ORUFI09G18140 transcript:ORUFI09G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKDVGILAMDIYFPPTCVLQESLEAHDGASKGKYTIGLGQDCMAFCSEVEDVISMSMTVVTSLLKKYKVDPKLIGRLEVGSETVIDKSKSIKTWLMQIFEECGNTDIEGVDSSNACYGGTAALLNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPKAPIAFESKYKASHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYRQFCKKYEKLAGKQFSISDADYFVFHSPYNKLVQKSFARLYYNDFTRDCSSVDNDAKEKLQPFSNLTGEESYQSRDLEKASQQVAKPLYDIKVQPSTLLPKQIGNMYTASLYAALASVLYNKHASLDGQRIVMFSYGSGLTSTMFSLKLNNGQDPFSLSNIASVLNATEKLESRHMTLPEKFVETLKLMEHRYGAKDFETSKDTSLLPPGTFYLTRVDSMYRRFYERKADEEIAAAKAKYSNGHATNGYANGH >ORUFI09G18150.1 pep chromosome:OR_W1943:9:17968155:17971195:-1 gene:ORUFI09G18150 transcript:ORUFI09G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGDGAMPDPTVRNLLEQESLKWVFVGGKGGVGKTTCSSILSILLASARQSVLVISTDPAHNLSDAFQQRFTKFPTLVRGFNNLYAMEIDPKVENDDFANEGMEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKMMALKNKFGGLLNQATRLFGLGDELNEDAMLGRLEGMKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDAHNIIINQVLFDEEAVESKLLKARIKMQQKYIDQFHMLYDDFNITKLPLLPEEVCGVQALQNFSRHFLTPYKAALKRGTVEEVEQRVSLLKSALQEAESELDRLRKGKQVA >ORUFI09G18160.1 pep chromosome:OR_W1943:9:17972929:17983919:-1 gene:ORUFI09G18160 transcript:ORUFI09G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGRVNEYTEQTKEGTQYRRRVLKDFSKLLDDEIEKIVLFMIEQQGLIAARLEDLGKRRARLQDIPLLQEITELREDYRSVGLDLVTLLKFVELNANAVRKILKKFDERLGYKFTDYYVRSRSNHPYSQLQQLLTTIIDLVISELLKKDPIIDLITATADKLTNSTNFLRFLGQHALIAQADSTAGTEDEQHVGEDKYHLMSLVLNLANTFLYMVNTYIVVPTADGYATSLGAAATACGAVIGSMAVAQVFSSVYFSAWSNRSYFRPLLFSSVVLLLGNVMYAMAFDLGSLTILLLGRVLCGMGSARAVNRRYISDCVPPRIRMQASAAFVSASALGMACGPALAGLLQTNFSLYGLTINQITLPGWIMAFGWLVYLIWLWISFQEPDLGPDAKDFYEGSSSSTSTRYMEQEKMEQGFTEHLLPSEQDEEDDNGDEEHNETLSSSTTTLRPASSVASAYTLLTPSVKVQLLIYFMLKYAMEILLAESSVVTGYYFGWDIGTVSVFLAVLGLSVLPVNAIVGTYISNMFEDRQILVASEMALLAGVMLSFKLTVEYTAAQYVCSAVLTFVSAEVVEGVNLSLLSRVMSARLSRGTYNGGLLSTEAGTVARVVADGTITAAGLLAGEGRLLNATLLPALLVCVASIAATLSTYNSLFY >ORUFI09G18170.1 pep chromosome:OR_W1943:9:17980311:17981052:1 gene:ORUFI09G18170 transcript:ORUFI09G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAACRLRRLTKLASVWSSMMTVSRYAPRRHCHLLRLAPMAGDARTLIEIFGIRAKIRFLK >ORUFI09G18180.1 pep chromosome:OR_W1943:9:17986019:17992355:1 gene:ORUFI09G18180 transcript:ORUFI09G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGSFWDLLKPYARHEGAGYLRGRRVAVDLSFWVVSHSAAIRARSPHARLPHLRTLFFRTLSLFSKMGAFPVFVVDGQPSPLKSQVRAARFFRGSGMDLAALPSTEAEASADALVQPRNAKFTRYVEDCVELLEYLGMPVLRAKGEGEALCAQLNNQGHVDACITSDSDAFLFGAKTVIKVLRSNCKEPFECYNMADIESGLGLKRKQMVAMALLVGSDHDLHGVPGFGPETALRFVQLFDEDNVLAKLYEIGKGVYPFIGVSAPNIDDLPSPSTKSLPRARSPHCSHCGHPGNKKNHIKDGCNFCLVDSLENCVEKPAGFICECPSCDKARDLKVQRRNENWQIKVCKRIAAETNFPNEEIINLYLNDDNLDNENGVPLLTWNKPDMEILVDFLSFKQNWEPAYIRQRMLPMLSTIYLREMASSQSKSFLLYDQYKFHSIQRIKIRYGHPYYLVKWKRVTRSMISNDSPSKQTELEGKNDKVEVLDGDDEVVDEEEEEPTMISETTELLDEPDVPQVLDDDKDCFLLTDEDIELVNAAFPDEAQRFQEEQRLKEAKSIARKSKLNVAGFETPKGPRPSGVQLSIKEFYRSKKGLSGDSGKDGSRKSSDVDLSKNLPKSVRRRLLFD >ORUFI09G18190.1 pep chromosome:OR_W1943:9:17991615:17992271:-1 gene:ORUFI09G18190 transcript:ORUFI09G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEAAYRTVWSEPPKRPAGRTKFRETRHPVYRGVRRRGGRPGAAGRWVCEVRVPGARGSRLWLGTFATAEAAARAHDAAALALRGRAACLNFADSAWRMPPVPASAALAGARGVRDAVAVAVEAFQRQSAAPSSPAETFADDGDEEEDNKDVLPVAAAEVFDAGAFELDDGFRFGGMDAGSYYASLAQGLLVEPPAAGAWWEDGELAGSDMPLWSY >ORUFI09G18200.1 pep chromosome:OR_W1943:9:17995213:17995953:-1 gene:ORUFI09G18200 transcript:ORUFI09G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAGHEVNSSSSSSGAESSSSSSGRQQYKKRPAGRTKFRETRHPVYRGVRRRGGAGRWVCEVRVPGKRGARLWLGTYVTAEAAARAHDAAMIALRGGAGGGGAACLNFQDSAWLLAVPPAAPSDLAGVRRAATEAVAGFLQRNKTTNGASVAEAIDEATSGVSKPPPLANNAGSSETPGPSSIDGTADTAAGAALDMFELDFFGEMDYDTYYASLAEGLLMEPPPAATALWDNGDEGADIALWSY >ORUFI09G18210.1 pep chromosome:OR_W1943:9:17999596:18000312:-1 gene:ORUFI09G18210 transcript:ORUFI09G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIKQEMSGESSGSPCSSASAERQHQTVWTAPPKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDTAEGAARAHDAAMLAINAGGGGGGGACCLNFADSAWLLAVPRSYRTLADVRHAVAEAVEDFFRRRLADDALSATSSSSTTPSTPRTDDDEESAATDGDESSSPASDLAFELDVLSDMGWDLYYASLAQGMLMEPPSAALGDDGDAILADVPLWSY >ORUFI09G18220.1 pep chromosome:OR_W1943:9:18009132:18009788:1 gene:ORUFI09G18220 transcript:ORUFI09G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGGVVAAKARRGRRFVGVRQRPSGRWVAEIKDSAQRVRLWLGTFDTAEEAARAYDEAARVLRGEHARTNFVARGGDAAAAPARARLSRNLRHVMARAAAGGRASAPCAAAGVGAGGEQFALAAVFRRCMQPAAATQQQCGAADTTVHVKNAVQPSFVVPRRTEAPPPPTTPMLLAEDVLVDFDDDGLGSAGVETAFMVSSSLIVPSSFGIDDDF >ORUFI09G18230.1 pep chromosome:OR_W1943:9:18020037:18022097:1 gene:ORUFI09G18230 transcript:ORUFI09G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWVYHPQLELLAVPQQPESCPMAAAVTQPESPAMAGVDRLRLDGAMPPSYPSSWSVLPREVVVVDEIDDGEELRRWNILRCDRRAGYGVCEAVVEGVKLGARIVSDRGFSALCIIVSKAARAGTRGQVCAAVLAIKNHVIVLSVAFPRGDLFHSLKCACSSFYLVYDASDASITMIPDLQFSFHHHKVSSAVTKEPLPIACGVAHYLVLLGRAMTIKGMDQHIEDRVCLSPLQQSSLAHPSPSSSTNCSLWMTKSAIFPKEVVTRGFSANKMLSFDGLAMWVDLHQGILFCQHYDLFSNSNDSGSVPFYFVDLPPGCCNDDITTRPLSDSYPPEMYRSIVCVGDSIKFVTIEGYLRDSTAPIEDRMVTMWSLRPQESWSWRKDRDLSIGGICAQLYKKIPICATMLEPMPNMAPQSPILSTEDGSLHLLIVNDNSNEMLENQNIMVTVDMSKGYVISACLLPTDFGDQLPGLYGVMLPRMLGSNFFRF >ORUFI09G18240.1 pep chromosome:OR_W1943:9:18025220:18029608:1 gene:ORUFI09G18240 transcript:ORUFI09G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTVSLPLPSTPPLPDLAEGRGVGGAHGLAAPTIPFQIWPRREGGGGSGHPMQWRSSPARLLALGSACEDPNCCMDRQPQLLLWLFLAANFVWVMKWETIMKCMRIMKRFFAPVEVESRV >ORUFI09G18240.2 pep chromosome:OR_W1943:9:18025220:18029608:1 gene:ORUFI09G18240 transcript:ORUFI09G18240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTVSLPLPSTPPLPDLAEGRGVGGAHGLAAPTIPFQIWPRREGGGGSGHPMQWRSSPARLLALGSACEDPNCCIFCMGHEMGDNYEVYENYEAFLCTGGS >ORUFI09G18250.1 pep chromosome:OR_W1943:9:18030141:18030984:-1 gene:ORUFI09G18250 transcript:ORUFI09G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPWGPPPVSSLHRDGEAAKSKLKQADDPPSPSPPPNTDDDHWHRDSGNWRYKRRSREDEAAPPPLGEDLLLAVMAYALQLPQSFLDVQQNAQVLEISRNPGFFTFWSF >ORUFI09G18260.1 pep chromosome:OR_W1943:9:18032455:18036145:-1 gene:ORUFI09G18260 transcript:ORUFI09G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTLRLRLTAGARGHQHQPLSRRREGRDGGPTGTPAAHHSLRSPPPDGHEGALVSNQASSPDVVRQPAAGRQDAAAAAVVFEASSVPSPREGADTTAAPVSIRGPSPLTALVSHEVGEQEAFLEVEPASCSSTLPAPFTTFRTIEVALAFFEYQHRHQQHDEQHNPVRAGVCNNSTISTISVRPRIRGGLLSFDSYLAAIKDHLLVTRVLPQKLWHWDQGYDHFVVELSPVGLRALRLLFELIERMHLNGMSLDGKFGLGDIMYNSEFDRLQFSSSVNFVQYRGPELFNAEFYQNDMFNIASILLEHFRWKHPTDGNEYLPVYMDQLVKYIYNMDSNCGRTRKGRSVIFNHCCMMTATERAALIQSLRDYERGLESFAWFALRTALPNEKEEWFKQMKIGYSTYQVLYYSRINQSGQRVLLKQYLPLCPLSHLDFSRCIIVHAIKSGEDSMEQAENYLAIVDPLFLPYLLERITNMYNQTQLAADALDIDNILGWRRKFDHKDADA >ORUFI09G18270.1 pep chromosome:OR_W1943:9:18047234:18047732:1 gene:ORUFI09G18270 transcript:ORUFI09G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGSREVGLPAAPASDAREGEGIVSSGRWPEEEVAGERRADAAELGRATAAPMRRGAAGGGSARAWLCGGAGPGAGRGRGGAAGVVVIPPSCGHRIQFKLYALDDEVIKDKLMDAIEGHVLGEAQLMAVF >ORUFI09G18280.1 pep chromosome:OR_W1943:9:18066298:18067932:-1 gene:ORUFI09G18280 transcript:ORUFI09G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHSDEATQCHQQLLVMPAATASYPKLHDRPRLAGAAAGVLGEVASILCLAGPMVGAGILLYLRSLVSMVFLGRLGQLPLAGGSLALGFANITGYSVLSGLAGGMDPVCGQAFGAGRTDLLRAALRRTVVLLLAASVPISALWVAMHRVLVATGQDPDIAATAYAYILCSLPDLAVQCFLHPIRIYLRAQSVTLPLTYAAAAALLLHVPINVVLVDRLGLGIRGVALGAVCTNLNCLLFLAAYVCLSGMYGGRAKACASAAAPAAGEEDDDGGVREWWSLVRLSVHSCMSVCLEWWWYEIMVLLCGVLADPKAAVAAMGVLIQTTSLIYIFPHSLGCAVSTRVGHELGAGRPERARLVARVGVGLGAALGIVAFGFAVSVRAAWARMFTAEDAILKLAAAALPLLGAAELGNCPQTAGCGVLRGSARPERAARINVAAFYGVGMPVALALAFWPAGLDFRGMWGGMLAAQLVCAWLMLRAVLGTDWAEQAERARELTGGGGGGDGYAAVAAVIVDDDKAKQHAEMDKPQQVDNTLLMAIDCV >ORUFI09G18290.1 pep chromosome:OR_W1943:9:18082159:18083313:-1 gene:ORUFI09G18290 transcript:ORUFI09G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRPRGEGELLLHGGGAAVALCRDRAPLLADAIRHRYALADAHRAYAASLRDAAAALHDFLRGVQTTFVLRLTSVLGARTAATAAAPPWGGNPDSTLVADVEREMVGVEAGEVGHAVGDVGGDDAAAE >ORUFI09G18300.1 pep chromosome:OR_W1943:9:18093218:18094788:-1 gene:ORUFI09G18300 transcript:ORUFI09G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAKAKGTFPPAAAAMKESSAPPPPAAAAAAAREDEWEVRPGGMLVQKRSPDGDAPAAPVPTIRVKVKFNGVYHEIYINSQASFGELKKQLSAPTGLHPEDQKIVYKDKERDSKAFLDMAGVKDRSKMVLLEDPTAQAKRLLEQRRTDKAERAAKSISRISLDVDKLATKVTALEAIVGKGGRVVDADVVTLTEALMNELVKLDAIAAEGEVKVQRRMQEKRVQKYVESLDAIRAKNAASHNKASGNGHAKPRAPHLPPRPPPVSQRRQFQAPPPAAPTTTKTAAAPAPPPTASWESFDLLSSMPSTSSSTVTTTMAAATTTTTTSPIPRISTKTKKKKHHG >ORUFI09G18300.2 pep chromosome:OR_W1943:9:18093483:18094788:-1 gene:ORUFI09G18300 transcript:ORUFI09G18300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAKAKGTFPPAAAAMKESSAPPPPAAAAAAAREDEWEVRPGGMLVQKRSPDGDAPAAPVPTIRVKVKFNGVYHEIYINSQASFGELKKQLSAPTGLHPEDQKIVYKDKERDSKAFLDMAGVKDRSKMVLLEDPTAQAKRLLEQRRTDKAERAAKSISRISLDVDKLATKVTALEAIVGKGGRVVDADVVTLTEALMNELVKLDAIAAEGEVKVQRRMQEKRVQKYVESLDAIRAKNAASHNKASGNGHAKPRAPHLPPRPPPVSQRRQFQAPPPAAPTTTKTAAAPAPPPTASWESFDLLSSMPSTSSSTVTTTMAAATTTTTTSPIPRFDWELF >ORUFI09G18310.1 pep chromosome:OR_W1943:9:18115988:18122249:1 gene:ORUFI09G18310 transcript:ORUFI09G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSSRGHAAASTSSRREAEDEDPATASDESGDDEEVSSSSGSESESDSDAERELERALADVPFGELQRARADGSLGGRGFSAAAAAQKKARRASKKRPMEISTKVRPPRFREIIQVPKKEQQPVGFSSIDYYSYLVVENWSNVYIDTPTSNLMPVQVRPIKPVEIGHFFAASTWLYINAAARGIFMRKFRKRYNFLFDDELPAEKEKLQKSIKKSKDPNAIEEMKSRITWIDKQLRSHPKKNVESEILREHIKKEREATKTGKRPYYLKKSEIRERKLMNKYNELKEAGKLDAFMEKRRRKNASKDHRYMPYRRNGDGA >ORUFI09G18320.1 pep chromosome:OR_W1943:9:18119764:18121689:-1 gene:ORUFI09G18320 transcript:ORUFI09G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSSAGGGGGGETALGDLPESCVAEVLRRLDPPEICRMARLSRTFRGAASGDGVWEAKLPRNYARLLAVAADGEAAALEAIPKKEVYARLCRRNRLDGGTKEFWLDKGGGGVCMTISSRALSITGIDDRRYWNFIPNDESRFHAVAYLSQIWWFEVRGEVEFCFPEGRPLKRLGRRVYSSEHIHGWDIKPVRFQLSTSDGQQAQSKCYLTDPGVWINHHVGDFVVKSSNELVKIQFAMVQIDCTHTKGGLCVDSVAVKPQYLAKKKASRIYV >ORUFI09G18330.1 pep chromosome:OR_W1943:9:18123494:18129322:-1 gene:ORUFI09G18330 transcript:ORUFI09G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEQLQALGEAVGNQSRGLSDDLICYLVPFKNKCSFFSRKKNDEECVICKSTYKSRQKLIRLPCSHCYHADCITRWLKINKACPVCNEEDWTMDVASYLKLKGNHD >ORUFI09G18340.1 pep chromosome:OR_W1943:9:18131226:18131647:1 gene:ORUFI09G18340 transcript:ORUFI09G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRTALLVVLVAGAMTMTMRGAEAQQPSCAAQLTQLAPCARVGVAPAPGQPLPAPPAECCSALGAVSHDCACGTLDIINSLPAKCGLPRVTCH >ORUFI09G18350.1 pep chromosome:OR_W1943:9:18132684:18137213:-1 gene:ORUFI09G18350 transcript:ORUFI09G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPQEAIDTFVSITGADEALAVRKLEEHGGDLNTAINAHFNEGDSTVNRASQNNIPESHDDMMDLDGPLDNAFRRSLFPETLRDPFALMDTNFQQNYFDRVGSTDTFGHGPQVSHPREVREIPIEVKDSNPQTGPSGQAPIIEDVTGHESSYGPEVRGAIVIDDDDDEQPSAPSLHANIDSSLQPNPSIPTAPPLVHVTDYDNDIEEEMIRAAIEASKRDAEAMTITAEQGITQPPEGVNITEHSFDEEDKGTASGTAGRQGLATEKVGSSRQPIDEDTLQEETEDVEEQPLVRRRSRRIPSGNTESAQPVYTVDSPPSSSQPQGNLNDRQNNGDEFPSEWGGISSEEHDEAVMLEAAMFGGIPEGPMYPFSMPSHRSPSLYPHVEHAPSPALTEQRLLREQQDDEYLASLQADQEKELKALQEAELRRLEETAAREAALEKQKQEEEERRKKQLEEEELESSLASKQASLPSEPAADEEGAVTLVVRMPDGSRQGRRFLKSHKLQFLFDFLDIGRTYKPGTYRLVRSYPRRAFTTGEGDMSFSDLGLTSKQEALFLEKITE >ORUFI09G18360.1 pep chromosome:OR_W1943:9:18138811:18145625:-1 gene:ORUFI09G18360 transcript:ORUFI09G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRRRAARSSRPLLLALLAAAVNNFAPAGGVEVLAKSRLESCARGGSDDGRDRLTCDSKIVVDLAVPSGSASLVARVAEVEENGTEAGEMPIRDPLIITINKSEVYALYDLTYLRDVAYKPEEKFVKTRKCEPEAGANVVKSCESLFAALVGLTAVSLHLVETFLIKWRKEKLTQLTVYVFQMIVWDKRASQPLCYYGYFIRFHVFDIGRRSLWFSIRVQVKKGSSESEVIVGPENRTVVSEDSSLRVNLVGDFAGYTSLPSLENFYLVTPRKIGVGYEAFRSQPNFCSSPLDSCLGDQLSKFWEIDKNRVNNSQPPQYVVLGKFERINQYPNAGVHTFSVGIPEVLNTNLMIELSADDIEYVYQRSSGKIISINISSFEALSQVGSARVKTKNIGRLEASYSLTFDCLSGINPVEEQYFIMKPDEKLIRTFDLRSSTDQASNYTCQAILKASDFSELDRKESQFSTTATVLNNGTQIGSSENHTKGGIWGFFEAIKAWCAKMWHMLINFFTGTTCSTRCWSFLKFVIHGLLLVAVLWLLHRKGLFDPLYYWWDGVVGSEAQERARRRHKRAHSHRHSHHHDAHKRHKTELAGHRRHHVLHIHDDDDPVAAAAAAEHVILRRHGRHEAALGVQHRDGLKLNKHRRHGGKAVALLPPGEIIVRDGGGCGGVEHGDRRHHAWH >ORUFI09G18360.2 pep chromosome:OR_W1943:9:18138811:18145625:-1 gene:ORUFI09G18360 transcript:ORUFI09G18360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRRRAARSSRPLLLALLAAAVNNFAPAGGVEVLAKSRLESCARGGSDDGRDRLTCDSKIVVDLAVPSGSASLVARVAEVEENGTEAGEMPIRDPLIITINKSEVYALYDLTYLRDVAYKPEEKFVKTRKCEPEAGANVVKSCESLFAALVGLTAVSLHLVETFLIKWRKEKLTQLTVYVFQMIVWDKRASQPLCYYGYFIRFHVFDIGRRSLWFSIRVQVKKGSSESEVIVGPENRTVVSEDSSLRVNLVGDFAGYTSLPSLENFYLVTPRKGVGGGQLEVLGDDFSRWMLLERVLFTLDGLECNKIGVGYEAFRSQPNFCSSPLDSCLGDQLSKFWEIDKNRVNNSQPPQYVVLGKFERINQYPNAGVHTFSVGIPEVLNTNLMIELSADDIEYVYQRSSGKIISINISSFEALSQVGSARVKTKNIGRLEASYSLTFDCLSGINPVEEQYFIMKPDEKLIRTFDLRSSTDQASNYTCQAILKASDFSELDRKESQFSTTATVLNNGTQIGSSENHTKGGIWGFFEAIKAWCAKMWHMLINFFTGTTCSTRCWSFLKFVIHGLLLVAVLWLLHRKGLFDPLYYWWDGVVGSEAQERARRRHKRAHSHRHSHHHDAHKRHKTELAGHRRHHVLHIHDDDDPVAAAAAAEHVILRRHGRHEAALGVQHRDGLKLNKHRRHGGKAVALLPPGEIIVRDGGGCGGVEHGDRRHHAWH >ORUFI09G18360.3 pep chromosome:OR_W1943:9:18138811:18145625:-1 gene:ORUFI09G18360 transcript:ORUFI09G18360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRRRAARSSRPLLLALLAAAVNNFAPAGGVEVLAKSRLESCARGGSDDGRDRLTCDSKIVVDLAVPSGSASLVARVAEVEENGTEAGEMPIRDPLIITINKSEVYALYDLTYLRDVAYKPEEKFVKTRKCEPEAGANVVKSCESLFAALVGLTAVSLHLVETFLIKWRKEKLTQLTVYVFQMIVWDKRASQPLCYYGYFIRFHVFDIGRRSLWFSIRVQVKKGSSESEVIVGPENRTVVSEDSSLRVNLVGDFAGYTSLPSLENFYLVTPRKGVGGGQLEVLGDDFSRWMLLERVLFTLDGLECNKIGVGYEAFRSQPNFCSSPLDSCLGDQLSKFWEIDKNRVNNSQPPQYVVLGKFERINQYPNAGVHTFSVGIPEVLNTNLMIELSADDIEYVYQRSSGKIISINISSFEALSQVGSARVKTKNIGRLEASYSLTFDCLSGINPVEEQYFIMKPDEKLIRTFDLRSSTDQASNYTCQAILKASDFSELDRKESQFSTTATVLNNGTQVEKYSCLIIADLEFIVLLLLLKCNEIGSSENHTKGGIWGFFEAIKAWCAKMWHMLINFFTGTTCRSFSCGSTRCWSFLKFVIHGLLLVAVLWLLHRKGLFDPLYYWWDGVVGSEAQERARRRHKRAHSHRHSHHHDAHKRHKTELAGHRRHHVLHIHDDDDPVAAAAAAEHVILRRHGRHEAALGVQHRDGLKLNKHRRHGGKAVALLPPGEIIVRDGGGCGGVEHGDRRHHAWH >ORUFI09G18360.4 pep chromosome:OR_W1943:9:18138811:18145625:-1 gene:ORUFI09G18360 transcript:ORUFI09G18360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRRRAARSSRPLLLALLAAAVNNFAPAGGVEVLAKSRLESCARGGSDDGRDRLTCDSKIVVDLAVPSGSASLVARVAEVEENGTEAGEMPIRDPLIITINKSEVYALYDLTYLRDVAYKPEEKFVKTRKCEPEAGANVVKSCESLFAALVGLTAVSLHLVETFLIKWRKEKLTQLTVYVFQMIGFMFLILGEDPFGSEVIVGPENRTVVSEDSSLRVNLVGDFAGYTSLPSLENFYLVTPRKGVGGGQLEVLGDDFSRWMLLERVLFTLDGLECNKIGVGYEAFRSQPNFCSSPLDSCLGDQLSKFWEIDKNRVNNSQPPQYVVLGKFERINQYPNAGVHTFSVGIPEVLNTNLMIELSADDIEYVYQRSSGKIISINISSFEALSQVGSARVKTKNIGRLEASYSLTFDCLSGINPVEEQYFIMKPDEKLIRTFDLRSSTDQASNYTCQAILKASDFSELDRKESQFSTTATVLNNGTQIGSSENHTKGGIWGFFEAIKAWCAKMWHMLINFFTGTTCSTRCWSFLKFVIHGLLLVAVLWLLHRKGLFDPLYYWWDGVVGSEAQERARRRHKRAHSHRHSHHHDAHKRHKTELAGHRRHHVLHIHDDDDPVAAAAAAEHVILRRHGRHEAALGVQHRDGLKLNKHRRHGGKAVALLPPGEIIVRDGGGCGGVEHGDRRHHAWH >ORUFI09G18360.5 pep chromosome:OR_W1943:9:18138811:18145625:-1 gene:ORUFI09G18360 transcript:ORUFI09G18360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRRRAARSSRPLLLALLAAAVNNFAPAGGVEVLAKSRLESCARGGSDDGRDRLTCDSKIVVDLAVPSGSASLVARVAEVEENGTEAGEMPIRDPLIITINKSEVYALYDLTYLRDVAYKPEEKFVKTRKCEPEAGANVVKSCESLFAALVGLTAVSLHLVETFLIKWRKEKLTQLTVYVFQMIGFMFLILGEDPFGSEVIVGPENRTVVSEDSSLRVNLVGDFAGYTSLPSLENFYLVTPRKIGVGYEAFRSQPNFCSSPLDSCLGDQLSKFWEIDKNRVNNSQPPQYVVLGKFERINQYPEFIPFLWESQKSSGKIISINISSFEALSQVGSARVKTKNIGRLEASYSLTFDCLSGINPVEEQYFIMKPDEKLIRTFDLRSSTDQASNYTCQAILKASDFSELDRKESQFSTTATVLNNGTQIGSSENHTKGGIWGFFEAIKAWCAKMWHMLINFFTGTTCSTRCWSFLKFVIHGLLLVAVLWLLHRKGLFDPLYYWWDGVVGSEAQERARRRHKRAHSHRHSHHHDAHKRHKTELAGHRRHHVLHIHDDDDPVAAAAAAEHVILRRHGRHEAALGVQHRDGLKLNKHRRHGGKAVALLPPGEIIVRDGGGCGGVEHGDRRHHAWH >ORUFI09G18360.6 pep chromosome:OR_W1943:9:18138811:18145625:-1 gene:ORUFI09G18360 transcript:ORUFI09G18360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRRRAARSSRPLLLALLAAAVNNFAPAGGVEVLAKSRLESCARGGSDDGRDRLTCDSKIVVDLAVPSGSASLVARVAEVEENGTEAGEMPIRDPLIITINKSEVYALYDLTYLRDVAYKPEEKFVKTRKCEPEAGANVVKSCESLFAALVGLTAVSLHLVETFLIKWRKEKLTQLTVYVFQMIGFMFLILGEDPFGSEVIVGPENRTVVSEDSSLRVNLVGDFAGYTSLPSLENFYLVTPRKGVGGGQLEVLGDDFSRWMLLERVLFTLDGLECNKIGVGYEAFRSQPNFCSSPLDSCLGDQLSKFWEIDKNRVNNSQPPQYVVLGKFERINQYPNAGVHTFSVGIPEVLNTNLMIELSADDIEYVYQRSSGKIISINISSFEALSQVGSARVKTKNIGRLEASYSLTFDCLSGINPVEEQYFIMKPDEKLIRTFDLRSSTDQASNYTCQAILKASDFSELDRKESQFSTTATVLNNGTQIGSSENHTKGGIWGFFEAIKAWCAKMWHMLINFFTGTTCRSFSCGSTRCWSFLKFVIHGLLLVAVLWLLHRKGLFDPLYYWWDGVVGSEAQERARRRHKRAHSHRHSHHHDAHKRHKTELAGHRRHHVLHIHDDDDPVAAAAAAEHVILRRHGRHEAALGVQHRDGLKLNKHRRHGGKAVALLPPGEIIVRDGGGCGGVEHGDRRHHAWH >ORUFI09G18370.1 pep chromosome:OR_W1943:9:18147500:18150383:-1 gene:ORUFI09G18370 transcript:ORUFI09G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGEEKSRVAAGYGGGGYGYGGYEGRDDRKWWPWLVPTVIVACIAVFIVEMYVNNCPKHGSALGGCVAGFLRRFSFQPLRENPLLGPSSATLQKMGALDWNKVVHQHQGWRLISCIWLHAGLIHLVVNMLSLLFIGIRLEQQFGFVRIGAIYLLSGFGGSVLSALFLRNNYISVGASGALFGLLGSMLSELIMNWTIYSNKAAAIITLLFIIAINLAIGILPHADNFAHIGGFVTGFLLGFVLLARPQFGWMERHELPQTNQPPKYKAYQYVLWVVAFVLLLVGFVVSLVMLFKGKNGNDGCHWCHYLNCVPTSKWKCNT >ORUFI09G18380.1 pep chromosome:OR_W1943:9:18155431:18156013:1 gene:ORUFI09G18380 transcript:ORUFI09G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPFSRLGASSASRPSTPAVSSCATRTRSTASSTPRLTPRAATALRLEADTIASSLPASIIRIPPPPPAHNRLRLRLEHILLLGETRKANLDRMFTSTGRGWDELGGGRRGGAELREEFLGEASDRRANIKADGDYGGDCGHDSGVESLYIE >ORUFI09G18390.1 pep chromosome:OR_W1943:9:18155762:18155980:-1 gene:ORUFI09G18390 transcript:ORUFI09G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISTIITISLNIRSPVTRLTKELLPQFCSPTTTATKLIPSTTRASEHPVQIRLLRVQFHMSSSYIVRLAA >ORUFI09G18400.1 pep chromosome:OR_W1943:9:18157657:18158840:1 gene:ORUFI09G18400 transcript:ORUFI09G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCSWDGVPGLRIAATDPVFCDYGICSSEYHLKPLNFNLHDIVNPLIEQREVIYGLYIALIILEISSLSFMLPILAGFFWPTGMLTSHKHLIPN >ORUFI09G18410.1 pep chromosome:OR_W1943:9:18166014:18171757:-1 gene:ORUFI09G18410 transcript:ORUFI09G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGMFDGAGSGVFSYDAGGGGGGGVHNSRLLPTPPVPKPGGGFAAPGLSLGLQTMDGSQLGDVNRSLAMMGNGGSGSGGDGDSLGRGREDENDSRSGSDNLDGASGDELDPDNSNPRKKKKRYHRHTPQQIQELEAVFKECPHPDEKQRMELSRRLNLESRQVKFWFQNRRTQMKTQIERHENALLRQENDKLRAENMTIREAMRNPMCASCGGAAVLGEVSLEEQHLRIENARLKDELDRVCALAGKFLGRPISSISSPGPPSLQACSGLELGVGSNGGFGLGALGASAAMQSIPDLMGGSSGLTGGPVGSAAMRLPAGIGGLDGAMHAAAADGGAIDRAVLLELALAAMDELVKVAQMDEPLWLPSLDGGFETLNYDEYHRAFARVVGQCPAGYVSEATRESGIAIISSVDLVDSLMDAPRWSEMFPCVVARASTTDIISSGMGGTRSGSIQLMHAELQVLSPLVPIREVVFLRFCKQHAEGLWAVVDVSVDAVLRPDQNGGGGSSSSSYMGCRLLPTGCIVQDMNNGYSKVTWVVHAEYDETAAHQLYRPLLRSGQALGARRWLASLQRQCQYLAILCSNSLPARDHAAITPVGRRSMLKLAQRMTDNFCAGVCASAAQKWRRLDEWRGEGGGGGGGGGGDGEDKVRMMARHSVGAPGEPPGVVLSATTSVRLPGTLPQRVFDYLRDEQRRGDWDILANGEAMQEMDHIAKGQHHGNAVSLLRPNATSGNQNNMLILQETCTDSSGSLVVYAPVDVQSMHVVMNGGDSAYVSLLPSGFAILPDGHNNGASPSPAEVGSGASPNSAAGGGGGSNNTGSLVTVAFQILVNNLPTAKLTVESVDTVSNLLSCTIQKIKSALQASIISP >ORUFI09G18420.1 pep chromosome:OR_W1943:9:18171968:18172282:1 gene:ORUFI09G18420 transcript:ORUFI09G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANMEANYCSVADMEGNKPDTPEARAKKQQLIRRRRQLYTHTPQARSPPASVLADPCLNQESYYYYHFRRTVRQEKEKLVVVASMREEATRGEELRRRKDGR >ORUFI09G18430.1 pep chromosome:OR_W1943:9:18182013:18182594:-1 gene:ORUFI09G18430 transcript:ORUFI09G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTMTLEVTVVSAEEVVLPPTRRPLGRGAYAVVRTAASASSPAAAVCTRVDEESGGDCNGYPYWKETLRVALPEGARWLDVEICRRRPNGQVEAVAAASVPVGDFTVGPPGHLHCLSYRLFDASGCRTRRNGIVNITVRRTDVKYTAPPPPVKAPAYAGASGSGGSCYGVPPAGAAMGFPVGFTANGKACA >ORUFI09G18440.1 pep chromosome:OR_W1943:9:18187350:18189192:-1 gene:ORUFI09G18440 transcript:ORUFI09G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGAGEADAGGGEPPPAAVMTAAAEALAGQRSLPTPFLTKTYQLVEDPAVDDVISWNEDGSTFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGEKRLLCDIHRRKVVAAAAAAPPPPSPGMATAAAAVASGAVTVAAAPIPMALPVTRAGSPAHSSEEQVLSSNSGSGEEHRQASGSGSAPGGGGGGSASGGDMGEENERLRRENARLTRELGHMKKLCNNILLLMSKFAATQHVEGSAGISSIANCSGESSEAVPPPPPLPPAILDLMPSCPALATAAAAAGLAIDGEPDPSARLFGVSIGLKRTRDDAAAAADEDGGGEDQAEHGGADVKPEAADPHPAGGGGGSSTEASPESHPWPIYRPTPMYHAVRPTCNGPDRAGSDQDGSSSSVRSIRMPVHSLVSFTSVLGVSAKNGGDIGRKH >ORUFI09G18450.1 pep chromosome:OR_W1943:9:18187400:18187786:1 gene:ORUFI09G18450 transcript:ORUFI09G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSFPQQAANTLVNPGCNYRLTGILMDLTLMIYNNTIKRKRQTDYKAIDRETRGGAIK >ORUFI09G18460.1 pep chromosome:OR_W1943:9:18214839:18216925:-1 gene:ORUFI09G18460 transcript:ORUFI09G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGGVAAENGEMVGNGEGRKGAGASVLVTGGAGYIGTHTVLRLLEKGFAVTVVDNFHNSVPEALDRVRLIAGAALSARLDFIAVRDSPKQHACSSSCTVELNRAGEVEIEIHVCVRFQGDLKSKDDMEKVFTAKRYDAVIHFAGLKAVGESVAHPQMYYENNVAGTMNLYSAMTKYGCKKIVFSSSATVYGQPEKTPCVEDSKLSALNPYGTTKLVLENYFRQVQAADPEMRVILLRYFNPIGAHRSGDIGEDPRGIPNNLLPYIQQVAVGRRPELNVYGVDYPTRDGTAIRDYIHVVDLADGHIAALEKLFATPDIGCVAYNLGTGCGTTVLEVVKAFEEASGKKIPIKICPRRPGDCTEVYASTDKAKKELGWSARFGIEDMCRDQWNWAKKNPYGYSANAEQN >ORUFI09G18470.1 pep chromosome:OR_W1943:9:18220289:18223002:-1 gene:ORUFI09G18470 transcript:ORUFI09G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRVERSEIRPGDHIYTWRAVYAYSHHGIYVGGSKVVHFTRKKEAEGSDSSNSTSSLLLEPSSECPTFPDCGFQLPDSGVVLTCLDCFLRNGSLYCFEYGVPSAVFLAKLRGGTCTIAQSDPSEVVVHRAMYLLQNGFGNYDIFENNCEDFALYCKTGLLPVEEPGIGTSGQASSAIGVPLAALLSTPLKLFAAGPLGMATVTAGMYCAGRYITDIGVRKDVAKIEVENLSSHLGRRLIEDEGSVNVRSEKPKTLLPMKRKRER >ORUFI09G18480.1 pep chromosome:OR_W1943:9:18223576:18224629:1 gene:ORUFI09G18480 transcript:ORUFI09G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLHGDEKVKNNQARFSCSSAVQDVMGWDEPTFKGVWFRGEWDGLVPGQEYSSQIRNQAIQKNLVDELVPPGTSARREARRFATLVRSHCRTPLARPSHSHLAPPPIVSDDDGGRSGGGEGGGSESEGREGGEGSSSAANPEAGMAAVANPEAGRAVVANLVARRAAAVWRAPMAATVMAGKGECSGHHFRIVVASDSLLLPPAPMVAAPPSARAAGRPSSFRLRH >ORUFI09G18490.1 pep chromosome:OR_W1943:9:18227672:18240078:1 gene:ORUFI09G18490 transcript:ORUFI09G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRWSCCLCAQAFHLIDMVLQPQQAGPMMPLLAQPPLAMALLYSSFNIWTTLMSYVAGTNQSAIPLGGMARYSVGMDSGNHGATRTESRTLYVEGLPSNCTKREVAHIFRPFSGFREVRLVNKESRHAGSCNLLCFVDFSSPPEARAALKTLQGYKFDEHDHESSNLRTQFSLTPRRRSIGGPCGNSLFHEAMSPHYVHRLNNQMSYAAGANQSAIPLGGMATYSAGMDSGNHGATRTE >ORUFI09G18490.2 pep chromosome:OR_W1943:9:18227672:18240078:1 gene:ORUFI09G18490 transcript:ORUFI09G18490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRWSCCLCAQAFHLIDMVLQPQQAGPMMPLLAQPPLAMALLYSSFNIWTTLMSYVAGTNQSAIPLGGMARYSVGMDSGNHGATRTESRTLYVEGLPSNCTKREVAHIFRPFSGFREVRLVNKESRHAGSCNLLCFVDFSSPPEARAALKTLQGYKFDEHDHESSNLRTQFSLTPRRRSIGGPCGNSLFHEAMSPHYVHRLNNQMSYAAGANQSAIPLGGMATYSAGMDSGNHGATRTE >ORUFI09G18490.3 pep chromosome:OR_W1943:9:18227672:18240078:1 gene:ORUFI09G18490 transcript:ORUFI09G18490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRWSCCLCAQAFHLIDMVLQPQQAGPMMPLLAQPPLAMALLYSSFNIWTTLMSYVAGTNQSAIPLGGMARYSVGMDSGNHGATRTESRTLYVEGLPSNCTKREVAHIFRPFSGFREVRLVNKESRHAGSCNLLCFVDFSSPPEARAALKTLQGYKFDEHDHESSNLRTQFSLTPRRRSIGGPCGNSLFHEAMSPHYVHRLNNQMSYAAGANQSAIPLGGMATYSAGMDSGNHGATRTE >ORUFI09G18490.4 pep chromosome:OR_W1943:9:18227672:18240078:1 gene:ORUFI09G18490 transcript:ORUFI09G18490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRWSCCLCAQAFHLIDMVLQPQQAGPMMPLLAQPPLAMALLYSSFNIWTTLWAWTVETMALQELNQELSMWKDYLRTAQRERLHAGSCNLLCFVDFSSPPEARAALKTLQGYKFDEHDHESSNLRTQFSLTPRRRSIGGPCGNSLFHEAMSPHYVHRLNNQMSYAAGANQSAIPLGGMATYSAGMDSGNHGATRTE >ORUFI09G18490.5 pep chromosome:OR_W1943:9:18227672:18240078:1 gene:ORUFI09G18490 transcript:ORUFI09G18490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRWSCCLCAQAFHLIDMVLQPQQAGPMMPLLAQPPLAMALLYSSFNIWTTLMSYVAGTNQSAIPLGGMARYSVGMDSGNHGATRTESRTLYVEGLPSNCTKREVAHIFRPFSGFREVRLVNKESRHAGSCNLLCFVDFSSPPEARAALKTLQGYKFDEHDHESSNLRTQFSLTPRRRSIGGPCGNSLFHEAMSPHYVHRLNNQMSYAAGANQSAIPLGGMATYSAGMDSGNHGATRTE >ORUFI09G18490.6 pep chromosome:OR_W1943:9:18227672:18242095:1 gene:ORUFI09G18490 transcript:ORUFI09G18490.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRWSCCLCAQAFHLIDMVLQPQQAGPMMPLLAQPPLAMALLYSSFNIWTTLMSYVAGTNQSAIPLGGMARYSVGMDSGNHGATRTESRTLYVEGLPSNCTKREIFFAPFLVFVRWKVYNLLCFVDFATPSEARAALETLQGQRGIALRSYVNLRFSVLYVNAKEMARAGLTHKQEPEEGGQLIGVVRRRGRERHALGDAVGSGSGAEKSWAWGRGGGSRVRRRLLQLVPHSHASRCSAIAPAAAPARVRHRVARRPAMHRRPPASRRTVAASRAIRYSTFALAAAPAQVRLMPCAAGCSYRPMPFTGRSHRPLPYAEQPRRSLLRHRADRRSCTSASLRRAPAACITPHGRRKPRQPLLHLRAGRCS >ORUFI09G18500.1 pep chromosome:OR_W1943:9:18244128:18248603:1 gene:ORUFI09G18500 transcript:ORUFI09G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESYWQYQYAAVDPRQQAPAPVPVPTPRQQAPAPVPVPTPALMWQQQQQQAGYQPAVAPVAPPMAAPPLPAGPPPSFKRQRPEYFDMPSGQGNSLFHEAMSPHYVHRLNNQMSYAAGANQSAIPLGGMATYSAGMDSGNHGATITESRTLYVEGLPSNCTKREVAHIFRPFSGFREVRLVNKEIRHAGSCNLLCFVDFSSPPEARAALETLQGYKFDEHDHESSNLRIQFSLTPRRRPIGGPRVRN >ORUFI09G18510.1 pep chromosome:OR_W1943:9:18251872:18254026:1 gene:ORUFI09G18510 transcript:ORUFI09G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEEEVVVVWEGEKEGEIDYVFKVVVVGDSAVGKTQLLGRFTKDEFFLDSKSTIGVEFQTRTLSLHRKRVKAQIWDTAGQERYRAVTSAYYRGALGAMVVYDITRRWTFENVARWVEELRAHADGSTVVALIGNKADMPAGRREVAADEAARFAEEQGLFFSEASALSGDNVDRAFLTLLEEIFAVVSRRALELDEARRMRDGGAGGGEVLLLKGTTLDVGSIMETSAMKKSSQCSCS >ORUFI09G18520.1 pep chromosome:OR_W1943:9:18256260:18257436:-1 gene:ORUFI09G18520 transcript:ORUFI09G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGDDGVELTELTLGPPGASARRARRGRKNGHPPPSSSMIQAAYFVKVSMDGTPYLRKVDVAAYGDYLELVEALNDMFYCSTIGLMDGYGEWEHAVVYEDGDGDWMLVGDVPWEMFVSSCKRMRVMRACEARGLSSNALTSAL >ORUFI09G18530.1 pep chromosome:OR_W1943:9:18261027:18263981:-1 gene:ORUFI09G18530 transcript:ORUFI09G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTICDVCESAPAVLFCVADEAALCRSCDEKVHMCNKLARRHVRVGLADPNKVQRCDICENAPAFFYCEIDGTSLCLSCDMTVHVGGKRTHGRYLLLRQRVEFPGDKPGHMDDVAMQQKDPENRTDQKKAPHSVTKEQMANHHNVSDDPASDGNCDDQGNIDSKMIDLNMRPVRTHGQGSNSQTQGVDVSVNNHDSPGVVPTCNFEREANK >ORUFI09G18540.1 pep chromosome:OR_W1943:9:18268931:18273456:1 gene:ORUFI09G18540 transcript:ORUFI09G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEERPERIVVSVRLRPVNAREAERGDGSDWECAGPTTLTFRGAVPERAMFPASYSYASIFAYGQTSSGKTYTMVGITEYSMSDIYDYIEKHPEREFILKFSAMEIYNEAVRDLLSSDATPLRLLDDPEVTFFLDMKGTVVEKLTEETLRDKGHLLELLAVCEAQRQIGETAMNEASSRSHQILRLTVESSAKQFLGKGNSSTLIACVVLMKYLHLNFVDLAGSERASQTASAGMRLKEGSHINRSLLTLGKVIRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHCHIEQSRNTLLFANCAKDVVTNAQVNVVMSDKALVKHLQREIARLENELKFPASASCTSHAEILREKDELIKNLEEQLKELMEQKDTVQSQLDNFRKVASDGDINNHLARRWSRSSDSIPRIVSEGAFSSSDTQDIDYQDQTMDELSVPHSFPPSSQISDITEEHEAQRVAHRAESEPPEEHCKEVQCIETNKLRSRRSQEFFQTPEKKTHTDDQKHSESMSNSAENAIKLYACDFEPSFDLEKPETEESLALKRCVVSSRDSALTRSRSCRASFMVIPNSWFDDSASTTPSCETFRYSTRRPEKVRKSLSPDEIADKSTGNAEEDKSTCNAEEETAVNDIGCVTEVKQKTEMNHAPQSSEQHQPKIAKEVATVSLSKWHIDFERKQQEIIELWHDCNVSIVHRTYFFLLFKGDQTDSIYMEVEHRRLSFIKNSLIADGELHATTASSLRNLRHERDMLYRQMVRKLHLAEKERLYGKWGIDMSTKQRRLQLSRRIWTQTGMDHVRESAALVAKLVEHLEKGQAIREMFGLSFSFKPRRSFSWVGVYSRD >ORUFI09G18550.1 pep chromosome:OR_W1943:9:18274016:18274264:1 gene:ORUFI09G18550 transcript:ORUFI09G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLAAAAAFVRRLVPARNPVISAEAEAVTCGRGDKKTKRGKRFKGSYGNARPKREKKIERIKDRVEVPRSTPWPLPFKLI >ORUFI09G18560.1 pep chromosome:OR_W1943:9:18286272:18287115:1 gene:ORUFI09G18560 transcript:ORUFI09G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEDSEWMMMDVGGKGGKGGGGGGAADRKKRFSEEQIKSLESMFATQTKLEPRQKLQLARELGLQPRQLEKLAEMLQEPRGKYGDNAGDDARSGGVAGMKKEEFVGAGGAATLYSSAEGGGTTTTTTAKLMPHFGSDDVDAGLFLRPSSQHHPPPPHAGAGFTSSEPAADHQSFNFHSSWPSSTEQTCSSTPWWEFESE >ORUFI09G18570.1 pep chromosome:OR_W1943:9:18290608:18292791:-1 gene:ORUFI09G18570 transcript:ORUFI09G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAATNASGVAAAAAAAGNGVQAGGGGERAEDASKQNLALMMASIQRTLGLLHQLNLNVSSFSSASQLPLLQRLNSLVAELDTMQKHAEGCNIQVPMEVVNLIDDGKNPDEFTRDVLNSCIAKNQVTKGKTDAFKSLRKHLLEELEQAFPEDVEAYREIRATAAAESKQLAQSQSALPNGDVKVKPEH >ORUFI09G18580.1 pep chromosome:OR_W1943:9:18305522:18307552:1 gene:ORUFI09G18580 transcript:ORUFI09G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRWRRPASPASWVRRGLRRRWSGYMSAALVLPRRAGVGEVVVDAAAASGVAVRCGGCSWAEGAAGDRQHVAHDRAGAPEARRGGGGGGGGAADGAVARGDAGGRGGAPGRGEGDPARRGVRRPPREGVRVRAAVPPRHRVRAPRRVLARAAAGGVHAPLLPVAGRGVRAPARGHRAPDGPRHQAAAAEPERRFRRRRRRRGPPRPAPRVAPQRDVVGVRPAVRAAAGPRQGERRGPGAEGPRRRRLRPSRPAQLVRPPPMARPLRPAEHPRPLLPPRPPRQPLRHPHHRRAPLICSRRSRHRLHRRLALPAGQRQARRLRHGRRSLGDGVSRDGHGGRADRVGLSPARAAAGRAGSGARRAGPGGWAGPGRDRVRHGLTRLPPRRHQGDAEAAPTGPTPLMGPPGHVGRTRGRVPDPRWHHRDGEHVGHSTRPRRVGRADGVSARAVHREGGGVQCNGFGSQARAVRIGSAELPREEPRHGHGGILACHAVARVRPPPLARPGTRRRLVGGAKAVVRDGHPAGGDSVASACGVMTCPSYVIRDAPYKDYLMVPCTPSVLKKKLNIGGDVTPYKTTNLD >ORUFI09G18590.1 pep chromosome:OR_W1943:9:18322381:18326694:-1 gene:ORUFI09G18590 transcript:ORUFI09G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSSTSSSPSSSSSSPTAASSPPSSSWIHLRSLLVAAASSSSSASSAAAAGSSVAMVSASAPAASSSPSPSPAPAPAPSSPHSDRGGIKSPWSRRKRKRVLSRQQWEGLFSANGKLRDRGKKFLKKVRSGGIEPGIRAEVWPFLLGVYDLNSTEDERNTIKIKKRKEYEKLRRQCQQILNCYKGNGLKVINENNEECSGIEFSAEGSESPCFEDVNIARASVSHEELKPESEPEQPDNSMCAVTECMEEDTGELICLDPCIAESESSDSESSDEDDPGRISMSGEENCDPDPKFTRTTSFKADFFKSSKTSEDFATWQRIIRLDAIRANTEWILFSRNQAEISRERALQCAESVGLRDYDHLDPSMIYHAARLVGLLEAYAVYDPEIGYCQGMSDLLSPIIAVMEEDDEAFWCFVGFMRKARHNFRLDEVGIRRQLKIVSQIIKRKDSHLYKHLQKLQAEDCFFVYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWAKIRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNFHDKI >ORUFI09G18600.1 pep chromosome:OR_W1943:9:18330885:18333636:-1 gene:ORUFI09G18600 transcript:ORUFI09G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSAAVAAASTSRTLVLARHRSPPASRVAATSRGRPFSSGPHPLAVSPATRAPAMATDGAAAAAAAGSKKKKEVLIFDAEEDLAVSLAKYTAELSAKLAAERGAFTVVLSGGSLIKNIRKLAEPPYLDSVDWSKWHVFWVDERVVPKDHEDSNYKLALDGFLSKVPIPTGQVYAINDALSAEGAADDYETCLKQLVKNGVIAMSQSTGFPRFDVMLLGMGPDGHIASLFPGHPLVNENKKWVTYIKDSPKPPPERITFTFPVINSSAYVAMVVTGAGKAGAVQKALSDKQTSSDLLPVEMAVLQDGEFTWFTDKPAVSMLQNK >ORUFI09G18610.1 pep chromosome:OR_W1943:9:18335897:18348395:-1 gene:ORUFI09G18610 transcript:ORUFI09G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEAKRRRHHSPPASIAAGGDSSTPTSAAPADSSSPSLDLIPDIARRLTSLEDFFSLRASCRAYRALLPASRRLLASQSPLLLVSLYPSFAEALFHPRLRRLHRFRLPWGHHLPPSRYTLLYAHGFLVTSTTAANNYPPRLLLLCLFTGEQLRLPRVFAPFSRVILTADLLVVIFLPGRATVQHCRPGDALWRVASAPAPHVFDDLISVNGTLYALVGLRLATLQLSESSLELSFLGGEHDDANRPEGDRFMLGECGGEVLLISVEHEERVVYRVFRWASEKRKWEMITNLGGRSLFLGLDGFAACVDQDHPGVRGDCLYAAGRRLGEWHEYSLADGTCDVCNADYPGAPPLNNSSLIRPSQSSLPRRTHTMQHLALLRPLIHPSPLPAASPLAARCRGGRGRGRGRGVRWRCATGGGAGGGEVEEGEGEGKREAAAWLSSAVGEKVDELLLREENRAGGRAALADIERQEAAARLASEEVRRLERRRDEITESQRELLQAREMIDEAQRSLSSSLEDQSFGDVPSGDIDEDSERLESVKAAAVSSVVGVLASLPISFYEAHDFPQLFVQLSVIFISCALFGVTFRYAIRRDLDNVQLKTGAAAAFAFVRGLAMVESGRPFELSTDALISLALDGAVFNWLNRKKHSNVEYCTINENKAMEEKEDSLRASVTEQDTEALLLRDVLINGILAIGTLGHNVNSLCPESCIEQDEPIIMCDEKVEQEKCEEEKAEAKQDTPVTAPSEPASALEPAKMHSSSMKEDNFMCFVKEEILMHGMEVEDVPNIQERPLLMLEKVEKVRTTLADLFAAEAFSSSDAEDKCYPKIVIVAGASTSKPTSCMEKMHHKKPTKPTSKPLKATRKLSRVMRKMLGKKIHPEQLNGRSNAEGPLPPLEAAKGCSRWQWRLHRCDSRGLAGDEQRVKTQPGLGRTDNDDSFPPPKGVVVPSRPSRVVAGRGSFEFLTDGAAVFRRFYPWRRRLGIPLLKPLERLVQARSR >ORUFI09G18610.2 pep chromosome:OR_W1943:9:18335897:18345273:-1 gene:ORUFI09G18610 transcript:ORUFI09G18610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLALLRPLIHPSPLPAASPLAARCRGGRGRGRGRGVRWRCATGGGAGGGEVEEGEGEGKREAAAWLSSAVGEKVDELLLREENRAGGRAALADIERQEAAARLASEEVRRLERRRDEITESQRELLQAREMIDEAQRSLSSSLEDQSFGDVPSGDIDEDSERLESVKAAAVSSVVGVLASLPISFYEAHDFPQLFVQLSVIFISCALFGVTFRYAIRRDLDNVQLKTGAAAAFAFVRGLAMVESGRPFELSTDALISLALDGAVSVVENILTFLPAAIALDYCFKMRFLSPFPTRKQEMALKVFNWLNRKKHSNVEYCTINENKAMEEKEDSLRASVTEQDTEALLLRDVLINGILAIGTLGHNVNSLCPESCIEQDEPIIMCDEKVEQEKCEEEKAEAKQDTPVTAPSEPASALEPAKMHSSSMKEDNFMCFVKEEILMHGMEVEDVPNIQERPLLMLEKVEKVRTTLADLFAAEAFSSSDAEDKCYPKIVIVAGASTSKPTSCMEKMHHKKPTKPTSKPLKATRKLSRVMRKMLGKKIHPEQLNGRSNAEGPLPPLEAAKGCSRWQWRLHRCDSRGLAGDEQRVKTQPGLGRTDNDDSFPPPKGVVVPSRPSRVVAGRGSFEFLTDGAAVFRRFYPWRRRLGIPLLKPLERLVQARSR >ORUFI09G18610.3 pep chromosome:OR_W1943:9:18335897:18345273:-1 gene:ORUFI09G18610 transcript:ORUFI09G18610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLALLRPLIHPSPLPAASPLAARCRGGRGRGRGRGVRWRCATGGGAGGGEVEEGEGEGKREAAAWLSSAVGEKVDELLLREENRAGGRAALADIERQEAAARLASEEVRRLERRRDEITESQRELLQAREMIDEAQRSLSSSLEDQSFGDVPSGDIDEDSERLESVKAAAVSSVVGVLASLPISFYEAHDFPQLFVQLSVIFISCALFGVTFRYAIRRDLDNVQLKTGAAAAFAFVRGLAMVESGRPFELSTDALISLALDGAVFNWLNRKKHSNVEYCTINENKAMEEKEDSLRASVTEQDTEALLLRDVLINGILAIGTLGHNVNSLCPESCIEQDEPIIMCDEKVEQEKCEEEKAEAKQDTPVTAPSEPASALEPAKMHSSSMKEDNFMCFVKEEILMHGMEVEDVPNIQERPLLMLEKVEKVRTTLADLFAAEAFSSSDAEDKCYPKIVIVAGASTSKPTSCMEKMHHKKPTKPTSKPLKATRKLSRVMRKMLGKKIHPEQLNGRSNAEGPLPPLEAAKGCSRWQWRLHRCDSRGLAGDEQRVKTQPGLGRTDNDDSFPPPKGVVVPSRPSRVVAGRGSFEFLTDGAAVFRRFYPWRRRLGIPLLKPLERLVQARSR >ORUFI09G18610.4 pep chromosome:OR_W1943:9:18337544:18345273:-1 gene:ORUFI09G18610 transcript:ORUFI09G18610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLALLRPLIHPSPLPAASPLAARCRGGRGRGRGRGVRWRCATGGGAGGGEVEEGEGEGKREAAAWLSSAVGEKVDELLLREENRAGGRAALADIERQEAAARLASEEVRRLERRRDEITESQRELLQAREMIDEAQRSLSSSLEDQSFGDVPSGDIDEDSERLESVKAAAVSSVVGVLASLPISFYEAHDFPQLFVQLSVIFISCALFGVTFRYAIRRDLDNVQLKTGAAAAFAFVRGLAMVESGRPFELSTDALISLALDGAVSVVENILTFLPAAIALDYCFKMRFLSPFPTRKQEMALKVFNWLNRKKHSNVEYCTINENKAMEEKEDSLRASVTEQDTEALLLRDVLINGILAIGTLGHNVNSLCPESCIEQDEPIIMCDEKVEQEKCEEEKAEAKQDTPVTAPSEPASALEPAKMHSSSMKEDNFMCFVKEEILMHGMEVEDVPNIQERPLLMLEKVEKVRTTLADLFAAEAFSSSDAEDKCYPKIVIVAGASTSKPTSCMEKMHHKKPTKPTSKPLKATRKLSRVMRKMLGKKIHPEQLNGRSNAEGPSNWEQLLLEATKFLADNTS >ORUFI09G18610.5 pep chromosome:OR_W1943:9:18345549:18348395:-1 gene:ORUFI09G18610 transcript:ORUFI09G18610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEAKRRRHHSPPASIAAGGDSSTPTSAAPADSSSPSLDLIPDIARRLTSLEDFFSLRASCRAYRALLPASRRLLASQSPLLLVSLYPSFAEALFHPRLRRLHRFRLPWGHHLPPSRYTLLYAHGFLVTSTTAANNYPPRLLLLCLFTGEQLRLPRVFAPFSRVILTADLLVVIFLPGRATVQHCRPGDALWRVASAPAPHVFDDLISVNGTLYALVGLRLATLQLSESSLELSFLGGEHDDANRPEGDRFMLGECGGEVLLISVEHEERVVYRVFRWASEKRKWEMITNLGGRSLFLGLDGFAACVDQDHPGVRGDCLYAAGRRLGEWHEYSLADGTCDVCNADYPGAPPLNNSSLIRPSVWIFPSFSFVDV >ORUFI09G18620.1 pep chromosome:OR_W1943:9:18349134:18349955:1 gene:ORUFI09G18620 transcript:ORUFI09G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATTRWASIHHPPTGELNPGAIKIGNSGGHHNPKIQSIPIHIPELHIHGRRAAAAALGSLASWRGRLRRRRRAKKTCVFSMDSACSSSTSASKREDAAELTGMKEMDSPGPTGRFPTSGVGHELGALEEEVEVRGNQRESEGGTERRPEVADGGGGGSSPSPPPMPSPAPALSSSPPPCSPDPASSPLPPPPRRRPPDLLSRLAPADLLPRLAASPRRRLAQSQRREREEEGREEGKERGRHMGPTLSQQPRKTKPGSKPLRNLL >ORUFI09G18630.1 pep chromosome:OR_W1943:9:18350695:18352753:-1 gene:ORUFI09G18630 transcript:ORUFI09G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQLATFRTQLEEFARKHKAEGFVTVEQVERKFSWSTGRAIDVLETLLKEGLAMIDDGHRDGKRRYWFPCVTLSSDSTGADAKS >ORUFI09G18640.1 pep chromosome:OR_W1943:9:18353512:18354072:1 gene:ORUFI09G18640 transcript:ORUFI09G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPSAFACVRRGRLGRGCYLGSRQPPLRRGAERIVSGSVGCGLNRSAGAESIPLASTSTRQLGRRRRMGCVLGDRGLWRGGTRWRQSSGGAGERRRRRWPVGPRVDDGLWIGD >ORUFI09G18650.1 pep chromosome:OR_W1943:9:18356255:18360581:1 gene:ORUFI09G18650 transcript:ORUFI09G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGPGGVPEALACLRALDAARTPAVIRLPEAGPIWAKKALDLGPAGLMVPAVESPAAAAAAVSHCRYPPRGVRGAAHPIVRASAYGLDDSYLSRCEDETLIICQVETAAGIAEVDAIAAVDGVDVVQMGPLDLSASMGYLWDPGNRKVRARLREAEKKVLDARKKNVTASDGNVAYLGGFAMPNDPAEQLKLRGYHMVSGAVDIGMFRKAALEDVKRFKEAVMEIGEEEGEEDDEKKDKEDDGYWSE >ORUFI09G18660.1 pep chromosome:OR_W1943:9:18362817:18363257:1 gene:ORUFI09G18660 transcript:ORUFI09G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNIFEDDEIEAAFAAGAMPPEWRRRLVASGQLDERGVDKIAADIAAAGTTSRPSSGFAWSKGAMAFAAFDVVVGALLLCLGVAGILSAGEHHHGDGKNAVVGGLLVLAMTAVVARVCEYERRRGKMRRLQARIVLERSLLPPV >ORUFI09G18670.1 pep chromosome:OR_W1943:9:18365979:18366556:-1 gene:ORUFI09G18670 transcript:ORUFI09G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRPARSFDLHNVLQLTGTATSSFPAGGGRLISLALWPIRTSIAGSESSSETAAPVRSSSSLQLWCPSNRWWPMAEYIDMHCSASTRTTHEFQ >ORUFI09G18680.1 pep chromosome:OR_W1943:9:18371780:18375836:1 gene:ORUFI09G18680 transcript:ORUFI09G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSAPVRRRRSRVRVLLVCCCLLLALAAPSAAAAAAGHDYGDALAKSILFFEGQRSGRLPAAGQRAAWRGDSAVSDGGAAGVDLEGGYYDAGDNVKFGFPMAFTATMLAWGVVEFGDAMPPAERAHAADAVRWATDYLLKTISHPGVGDPTKDHGCWERPEDMDTARTVYNISAARPGSDVAGETAAALAAASMVFRDDDPAYAARLLAGARSAFEFADEHKGAYSDDPELRAGGCPFYCDFDGYQVRDELLWGAAWLRRASKEGTYLDYIQNNGKTLGAEDSTNEFGWDNKHAGINVLVSKEFIDGEVLSLQSYKEFADGFICTLIPESSSPHITYTPGGMIYKPGGSNMQHVTSISFLLLTYAKYLSNSSRTVNCGNVSVGPATLQQLARKQADYILGDNPMKMSYMVGYGDRYPQRIHHRGSSLPSIKSHPQRIACNDGTPYYNSSSPNPNPLIGAVVGGPGEDDVYEDDRADFRKSEPTTYINAPLVGVLAYLVGNPDPGQGHVRH >ORUFI09G18690.1 pep chromosome:OR_W1943:9:18376200:18378505:1 gene:ORUFI09G18690 transcript:ORUFI09G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYVVVAAALLVFVVVVVAAIKNLGKGKLPPSPPSLPFVGHLHLVGELPHRSLDALHRRYGSDGGLMFLRLGRAGALVVAERLFYGGRNMSFAPLGDAWRRTKKLAVAHLLSPRRAAALAAPARAAEAAALVARARRAAEAARAVQLRELLYAYTNGVITRVAAGGSGATAERFRKMMADTSELLAGFQWVDRLPEAAGWAARKLTGLNKKLDDMADESDRFLGEILAAHDDEKAEGEEEDFVDVLLRLRRQGAAAAGGLELAEDNVKAIIKDIMGAATDTSFVTLEWIMTELIRNTQVMSKLQNEIIQVTGSKPTVTEEDLTKLDYLKAVIKEVLRLHPPAPLLIPHHSTMPTIIQGYHIPAKTIAFINVWAIGRDPAAWDTPDEFRPERFMGSVVDFRGNDYKFIPFGAGRRLCPGIILALPGLEMVIASLLYHFDWELPDGMDVQDLDMAEAPGLTTPPMNPVWLIPRCRTI >ORUFI09G18700.1 pep chromosome:OR_W1943:9:18381793:18383825:1 gene:ORUFI09G18700 transcript:ORUFI09G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHYVFLAAVALLAVVGYGVKNRRRRSAKLPPSPPSVPFLGHLHLLGPLLHRSLHELHLRYGTDGGLLLLQLGRRRTLVVSTAAAAADLYRNHDLAFASRPLVAAAHKLSYGSKNITFAPFGEQWRRAKKTAVVHALSPRRVEAFAPVRAAEAAALVAATRRAADAAADGGAVELRDLLYSYTNAVVTRAATGAAGTTAEKLKQLLGNATSLVAGVQADDLLPGMAAKAVRWATGLEKQYDASMEEWDKFLSPIMAEHAEKKKKKREDIGAGEEDFIDVLLRLKEEDTELTDTHVKSRVVDLIAAATETTSVTLEWTMAELAANPRVMAKLQDEIARAAGGKPAITEAEVGGMEYMKAVVKEVLRLHPPAPILVPHESTAAAAVQGYEIPARTSLFVNAWAIGRDPAAWGSPEEFRPERFLAGGPAVDFRGNDYQLVPFGAGRRICPGISFAVPVLEMALVALLHHFDWELPAGLRAAELDMSEAPGLTTPLRVPLRLVPKRKAPLA >ORUFI09G18710.1 pep chromosome:OR_W1943:9:18385690:18394808:1 gene:ORUFI09G18710 transcript:ORUFI09G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIAEEETVGVAEDPGLADVGKADETKEEGVGAGVHLDETRVAVDDPTEVRASQIDDGTAMVSVLGGDGDSFVEQDGQDTSGGGACNEGNEPEEDARVQVRLDDTSAAVDEAGASLIDDVMNTLPVGGVASFDDGPQTDKNAQDEGALIDVVSSTVLNDASIDLVKASDSVSEEGTGMDILAQPGEDIKEMVTIACDAATDDEGRKVDAVSSSSDRNEEVVGAAGLDETDEDMRLGTVGLSGDDNVANEAAAAAAGGADDEDLKMDGVITAGDKDVENGKADNADGVPEVNVVAISRDNSAENEAAAAGDDGADEEGIQMNVLNTTRGEGEEDDEAAQNVVEYAVDGTEELETIGLTGDDKAEKEAAPAGNNSADEEGMQMDAVTTTGAEDEDGKADENVVEDDDGVSEEAVADTVGEDIPEEDAVQIDEDEDDDDVPPPLTRKGGGRRKRGHASSKAQVVVKPPVRKKDDEEVCFICFDGGDLVVCDRRGCPKAYHPSCVNRDDEFFKSKGRWNCGWHICSNCQKPAFHMCYTCTYSLCKKCIKETKFVSVRGNKGFCETCMNTVMLIENKEEATEQMDVDFDDKTSWWYLFKDYWLNLKTKLPLTFEEISAAKSQKNGSSLVIRDNDLSEPHDTNDEEEGNSDSSSVRHLEGNSKRKGRKRSKQAANDDSSVVKDSTRKSTKRGLTGGRDTKSSTGRKVRKLSKRALSSDHRPRESESVGTSTSSAEETSWASKELLDFVANMKNGDKSVLSQFEVQSLLLDYIKRENLRDPRRKSQIICDSMLKSLFGKARVGHFEMLKLLESHFLMSEVSPVEIDDNHGGVVDPDPSLDADGNSEASMVMSSEKRKKSRKYDQKALQTNLDDYAAIDNHNISLMYLRRNLLEELISEVDTFDEKVLGSFVRIRISGTGQRQDIYRLVQIVGTGIAPEQYKCGKKSTDITLEILNLDRREVITIDITSNQEFTEEECKRLRQSIKCGFIPRLTVFFWHMCTVSLFLNYLTIQGEVYEKAKVLQSLKVNDWIESEKMRLGHLRDRASDMGRRKEYPSSLFTLRECVEKLKLLSTPEERVRRLNEEPEVHADHTMDPDYESPEEQEQDTGRSSFNKSRGSFSKKDNNPVSPGKGEGRSPAQRDLKTNWESNRNTWGESSTHIESPLGRRPAFSSHGESAGYTSKSDSPNIGTHAVKVGATAGANIGSGGTHASQSVINESEKIWQYMDPTGKIQGPFSIVQLRKWNGSGYFPPNLKIWKSTEKQDDSILLTDALLGRFEKDLPPWEPPVGSSSDVDGRPRNDSLLEEGTRAGEQPSKSAVLSSTQSFSGRAGQGNDAANLGPATIQSSTQGYYGMQNSQAAYAVQQSLSGSWNASSQFGTAINPVTLSQPAMGSFVVGQNAALGSAGQLTPVPGPATVSAEVVNSQLQSQNQIASFLSQSDGRLADGNDSKLGEDASRERMRSSGEDLGLAGAQPGGVQSNTQQLEDARNQLQTDASNSVKPSQLISTPSAEAVQPSSTAMAGGDNQNTAWAQLASTSGQSQPQAAGNMTWGATLQGNANMGWGMVGQNNMNMSWGGTAQSATGYNMGLAMQAQPNAVPNMGWVTPNPGNTNMNMMWATQGQGTPNAAAMVGTQMQGVAMAPWGAIAQGNTNSYPGWGGQVGNMNQNVGWGAPMQVNPGPSTGNGTGQDNNNMNWNSPSGNPNWNNQQRDNGGRHSGHGGDFNGGDSGGRSWRSQSGGDGGSWRPKRGVCYSILDKGYCKNGEHCNFSHSIPNDGYPSRNSRHFDRQNSGNERRYDRQNERTDRQFDRQSSGNERRDDRHNGRDSDRHDDRLTDTRSQSRERQ >ORUFI09G18710.2 pep chromosome:OR_W1943:9:18385690:18394921:1 gene:ORUFI09G18710 transcript:ORUFI09G18710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIAEEETVGVAEDPGLADVGKADETKEEGVGAGVHLDETRVAVDDPTEVRASQIDDGTAMVSVLGGDGDSFVEQDGQDTSGGGACNEGNEPEEDARVQVRLDDTSAAVDEAGASLIDDVMNTLPVGGVASFDDGPQTDKNAQDEGALIDVVSSTVLNDASIDLVKASDSVSEEGTGMDILAQPGEDIKEMVTIACDAATDDEGRKVDAVSSSSDRNEEVVGAAGLDETDEDMRLGTVGLSGDDNVANEAAAAAAGGADDEDLKMDGVITAGDKDVENGKADNADGVPEVNVVAISRDNSAENEAAAAGDDGADEEGIQMNVLNTTRGEGEEDDEAAQNVVEYAVDGTEELETIGLTGDDKAEKEAAPAGNNSADEEGMQMDAVTTTGAEDEDGKADENVVEDDDGVSEEAVADTVGEDIPEEDAVQIDEDEDDDDVPPPLTRKGGGRRKRGHASSKAQVVVKPPVRKKDDEEVCFICFDGGDLVVCDRRGCPKAYHPSCVNRDDEFFKSKGRWNCGWHICSNCQKPAFHMCYTCTYSLCKKCIKETKFVSVRGNKGFCETCMNTVMLIENKEEATEQMDVDFDDKTSWWYLFKDYWLNLKTKLPLTFEEISAAKSQKNGSSLVIRDNDLSEPHDTNDEEEGNSDSSSVRHLEGNSKRKGRKRSKQAANDDSSVVKDSTRKSTKRGLTGGRDTKSSTGRKVRKLSKRALSSDHRPRESESVGTSTSSAEETSWASKELLDFVANMKNGDKSVLSQFEVQSLLLDYIKRENLRDPRRKSQIICDSMLKSLFGKARVGHFEMLKLLESHFLMSEVSPVEIDDNHGGVVDPDPSLDADGNSEASMVMSSEKRKKSRKYDQKALQTNLDDYAAIDNHNISLMYLRRNLLEELISEVDTFDEKVLGSFVRIRISGTGQRQDIYRLVQIVGTGIAPEQYKCGKKSTDITLEILNLDRREVITIDITSNQEFTEEECKRLRQSIKCGFIPRLTVFFWHMCTVSLFLNYLTIQGEVYEKAKVLQSLKVNDWIESEKMRLGHLRDRASDMGRRKEYPSSLFTLRECVEKLKLLSTPEERVRRLNEEPEVHADHTMDPDYESPEEQEQDTGRSSFNKSRGSFSKKDNNPVSPGKGEGRSPAQRDLKTNWESNRNTWGESSTHIESPLGRRPAFSSHGESAGYTSKSDSPNIGTHAVKVGATAGANIGSGGTHASQSVINESEKIWQYMDPTGKIQGPFSIVQLRKWNGSGYFPPNLKIWKSTEKQDDSILLTDALLGRFEKDLPPWEPPVGSSSDVDGRPRNDSLLEEGTRAGEQPSKSAVLSSTQSFSGRAGQGNDAANLGPATIQSSTQGYYGMQNSQAAYAVQQSLSGSWNASSQFGTAINPVTLSQPAMGSFVVGQNAALGSAGQLTPVPGPATVSAEVVNSQLQSQNQIASFLSQSDGRLADGNDSKLGEDASRERMRSSGEDLGLAGAQPGGVQSNTQQLEDARNQLQTDASNSVKPSQLISTPSAEAVQPSSTAMAGGDNQNTAWAQLASTSGQSQPQAAGNMTWGATLQGNANMGWGMVGQNNMNMSWGGTAQSATGYNMGLAMQAQPNAVPNMGWVTPNPGNTNMNMMWATQGQGTPNAAAMVGTQMQGVAMAPWGAIAQGNTNSYPGWGGQVGNMNQNVGWGAPMQVNPGPSTGNGTGQDNNNMNWNSPSGNPNWNNQQRDNGGRHSGHGGDFNGGDSGGRSWRSQSGGDGGSWRPKRGVCYSILDKGYCKNGEHCNFSHSIPNDGYPSRNSRHFDRQNSGNERRYDRQNERTDRQFDRQSSGNERRDDRHNGRDSDRHDDRLTDTRSQSRERQ >ORUFI09G18720.1 pep chromosome:OR_W1943:9:18395212:18398212:1 gene:ORUFI09G18720 transcript:ORUFI09G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESPAAGGGAAAAAAGKPSGTALPRRGKSCKGCLYYSSMLRSRGFNPVCVGIPRSIPQVPSYVVDEPREEAAAQGHDLRQFKYACAGYSMFVVDNKDGRSGEKEGKTLLPYCQGLERPPALGNKDKDPGNLQDRISMRGKLAFRFPLPVPFLFRKSAGLVASGVAKNLNKTAIYIKENVADILYPDRRPPK >ORUFI09G18720.2 pep chromosome:OR_W1943:9:18395212:18397738:1 gene:ORUFI09G18720 transcript:ORUFI09G18720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESPAAGGGAAAAAAGKPSGTALPRRGKSCKGCLYYSSMLRSRGFNPVCVGIPRSIPQVPSYVVDEPREEAAAQGHDLRQFKYACAGYSMFVVDNKDGRSGEKEGKTLLPYCQGLELLVDSRLVEKKSPNNEPATASYRKEGVAKNLNKTAIYIKENVADILYPDRRPPK >ORUFI09G18730.1 pep chromosome:OR_W1943:9:18402531:18406707:1 gene:ORUFI09G18730 transcript:ORUFI09G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPLLFIVFLLMLLEPCSSSRSNVYIVYMGERHHGLRPELVQEAHHGMLAAVLGSEQAAMDAILYSYRHGFSGFAAVLTGGQAARLSDWPGVVRVVRNRVLDLHTTRSWDFMGVNPSPSGGGILLESRFGEDSIIGVLDTGIWPESASFRDDGIGEVPRRWKGQCVAGEKFNASNCNRKIIGAKWYVKGYEAEYGKMNTSDIYEFMSARDAVGHGTHTASTAAGALVANASFRGLAKGVARGGAQRARLAVYKVCWATGDCTAADILAAFDDAIHDGVDVISVSLGQAPPLPAYVDDVLSIGSFHAVAKGVVVVCSAGNSGPYSETVINSAPWIVTVAAGTIDRIFLAKIILGNNSTYVGQTLYSGKHPSKSVRIVYAEDISSDNADDTDARSCTAGSLNATLVKGNVVLCFQTRAQRSASVAVETVKKARGVGVIFAQFLTKDIASSLDIPCVQVDYQVGTAILAYTTSMRNPVAQFSFPKTIVGELVAPEVAYFSSRGPSSLSPSILKPDIAAPGVNILAAWSPAAAISSAIGSVNFKIDSGTSMSCPHISGVVALLKSMHPNWSPAAVKSALVTTANVHDAYGFEMVSEAAPYNDANPFDYGGGHVNPNRAAHPGLVYDMGVSDYMRFLCSMGYNTSAISSMTQQQTTCQHTPKSQLNLNVPSITIPELRGKLTVSRTVTNVGPALSKYRARVEAPPGVDVTVSPSLLTFNSTVRKLPFKVTFQAKLKVKGRYTFGSLTWEDGTHTVRIPLVTSQTQRTQPLPQQSPGSGFSGGSGAGAGAGLFVVAPPASAIDAAGGGGGGRRWLLRRRRRGRAGEGSDEGIGAGTRRAATSMRKRREEKSRFSHLVFWKAESNCHKVKPTN >ORUFI09G18740.1 pep chromosome:OR_W1943:9:18407726:18410910:-1 gene:ORUFI09G18740 transcript:ORUFI09G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAAAASTSLRPASQSALRLAGSPRRWCWGAPALSPARRAFHADTRRRKTLLYATDKGPEESLKKTIEVDRLIEMLRDANPRELDQIVVENVLAFDEGFWKDYEELAENVMNIVDRLVHKTDEKIEQSTDVLKAIISPVMHEGENATWPPRDPEALKLMEKEISNREKEGQLDEGFLSEVNAQLRQAKQDGDKPGLQAMLQKVLQLYASNFLQKRSYAYKGGEVIVPESFLESVIKAPENEWNKLLLDGLTVGKGNVSPEEFYAVIKKRIERVLIRTEGGSYQQRILVEYLKEIQARAEEVVKVLQGPTI >ORUFI09G18740.2 pep chromosome:OR_W1943:9:18407726:18410910:-1 gene:ORUFI09G18740 transcript:ORUFI09G18740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAAAASTSLRPASQSALRLAGSPRRWCWGAPALSPARRAFHADTRRRKTLLYATDKGPEESLKKTIEVDRLIEMLRDANPRELDQIVVENVLAFDEGFWVRLAARIDLCKSDDDKAWFSQLDFFFKDYEELAENVMNIVDRLVHKTDEKIEQSTDVLKAIISPVMHEGENATWPPRDPEALKLMEKEISNREKEGQLDEGFLSEVNAQLRQAKQDGDKPGLQAMLQKVLQLYASNFLQKRSYAYKGGEVIVPESFLESVIKAPENEWNKLLLDGLTVGKGNVSPEEFYAVIKKRIERVLIRTEGGSYQQRILVEYLKEIQARAEEVVKVLQGPTI >ORUFI09G18750.1 pep chromosome:OR_W1943:9:18422243:18423538:-1 gene:ORUFI09G18750 transcript:ORUFI09G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSRLNASAAPWEPPVARAMAVEQYCPPPQSLLPPPPLPPVCRVPPVAVPTTCGCAACLQGCFVPVGVQAAFPHAAAGWAPPPPVMPVMIVYRVVQPPPPAAHATRCQITEIEDGGGVETAKAVDGDEQQPFIRTVRSTRRRKAAAIRLPKAFRAALLPPPPPPCALGFTATTTSLMIRNIPNKFLKARLMAILDQHCADENGKCHRRGGRSVVKSEYDFFYVPIDFKTGFNKGYAFVNMTTATAARRLRAFLQDHLWDAAMSGKVCDVVPAAIQGLDAFVAHFSASCFPCRTKEFLPVWFEPPRDGEQQTKAHVVGRLVVRPR >ORUFI09G18760.1 pep chromosome:OR_W1943:9:18425651:18426408:1 gene:ORUFI09G18760 transcript:ORUFI09G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCTLLAVFYYKFGGWARQAPQAIAAAWCRRLSPARATARCTPPTGHGSRVPLVYLCTVVFGELLAMSQEEFGFAGDDGRITLTCDASVIEYVMCLISRDASEEVERAFLSSMAIFHCVGEWRAARDRMPRPAEVGLHAAAGGGTAEEVGVSVDAAVLEREACGAGLGGDGVLLAAEGEACDDVAVLEDGGGVTEDELDIDKL >ORUFI09G18770.1 pep chromosome:OR_W1943:9:18446309:18447332:-1 gene:ORUFI09G18770 transcript:ORUFI09G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGGGSHSRDNPAPPVPPVHPADAASFLYATRGGSFQLWQQQEQQPFYASNIIRFADDAPPAPSLAGASSSSSSRGMRSSGGGGGGGGGGISCQDCGNQAKKDCTHMRCRTCCKSRGFACATHVKSTWVPAAKRRERQQQLAALAASAAATAGGAGPSRDPTKRPRARPSATTPTTSSGDQQMVTVAERFPREVSSEAVFRCVRLGPVDQAEAEVAYQTAVSIGGHVFKGILHDVGPEALAVAGGGGASEYHFRLTGDGSSPSTAAAGEAGSGGGGNIIVSSAVVMDPYPTPGPYGAFPAGTPFFHGHPRP >ORUFI09G18780.1 pep chromosome:OR_W1943:9:18451489:18452158:-1 gene:ORUFI09G18780 transcript:ORUFI09G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDGTWGARERRAHRQEETGGTWHSGGGKGSGSTRLARYGCKSPEQSGGSACTAPAFAYSGL >ORUFI09G18790.1 pep chromosome:OR_W1943:9:18459760:18474132:-1 gene:ORUFI09G18790 transcript:ORUFI09G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKRLPYSTAGGGGGGGGGGGRRGGASGSGVVAPLVVLVFLFVLAPSIFFVARNGGHVHVASDPKDREGNQETDWQKQLATNNLKSILSKEMIDALASSQQEAGTLSVDFFRKRASPSWKTDDLVNDLSNASLDVDDKVKSENSSAEHELSLTDKTPKDDTAEHQVDAAAKNARRKLREKRREKRAMDLVRKDDEARVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQIIMARVYSVLAKSKNKNDLYQELQTRIKESQRAVGEATADSDLHHSAPEKVRVMGQLLSKAREDVYDCKAVTQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPRSENLENPELYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLKGLWDVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHKWQSMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYRPYWTRYIKYDHPYIRGCNLAERRGKRAARDVRALSGEVDPRGSYPSLEMANSKRLPYSTAGGGGGGRRGVVAPLVVVVFLFVLAPSIFFVACNGGHVGSGSGGGGDWGVMCSDPMDMEGTQETEWQKQLPTNNLKSILSKEMFDALASSQQEAGALSVDFFIKRASPSWKTDDLVNDLSNASLDIDDKVCKKINFLSVQMNIKSTRLQKMFEGIWRKENENENSDLTVRLMRDQIIMARVYSVLAKSKNKNDLYQELQTRIKESQRAVGEATADSDLHHSAPEKVRVMGQLLSKAREDVYDCKAVTQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPRSENLENPELYHYALFSDNVLAASVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKIFFLDDDIVVQKDLTGLWDVDLNGKVTGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLNEWKKKDITGIYHRWQNMNEDRVLWKLGTLPHGLLTFFKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYDQVQTILDKIMTGKLTDFTSEGNNIVNLKQFVACLLFFIRILSAWPAGSIDCCEEMINRCFCCVTGGDSDPEPAATSSRRRTNPARASKNRTSVDYPWETYTLKELLQATGNFSESNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAVEVEILGRVRHRNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGTPSSHHHVPLEWPRRVAIAVGAAEGLSYLHHEASPHIIHRDIKASNVLLDAEFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRRWERLADPRLAGRFDAAQLRAVVETAMLCTQSSAESRPAMAEVVDMLRFSGGERRTKEIVPVAATVAGSSDEITMTTDQDDVTAGSSEPLDRRNWKLTRLR >ORUFI09G18790.2 pep chromosome:OR_W1943:9:18459760:18474132:-1 gene:ORUFI09G18790 transcript:ORUFI09G18790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKRLPYSTAGGGGGGGGGGGRRGGASGSGVVAPLVVLVFLFVLAPSIFFVARNGGHVHVASDPKDREGNQETDWQKQLATNNLKSILSKEMIDALASSQQEAGTLSVDFFRKRASPSWKTDDLVNDLSNASLDVDDKVKSENSSAEHELSLTDKTPKDDTAEHQVDAAAKNARRKLREKRREKRAMDLVRKDDEARVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQIIMARVYSVLAKSKNKNDLYQELQTRIKESQRAVGEATADSDLHHSAPEKVRVMGQLLSKAREDVYDCKAVTQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPRSENLENPELYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLKGLWDVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHKWQSMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYRPYWTRYIKYDHPYIRGCNLAERRGKRAARDVRALSGEVDPRGSYPSLEMANSKRLPYSTAGGGGGGRRGVVAPLVVVVFLFVLAPSIFFVACNGGHVGSGSGGGGDWGVMCSDPMDMEGTQETEWQKQLPTNNLKSILSKEMFDALASSQQEAGALSVDFFIKRASPSWKTDDLVNDLSNASLDIDDKVCKKINFLSVQMNIKSTRLQKMFEGIWRKENENENSDLTVRLMRDQIIMARVYSVLAKSKNKNDLYQELQTRIKESQRAVGEATADSDLHHSAPEKVRVMGQLLSKAREDVYDCKAVTQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPRSENLENPELYHYALFSDNVLAASVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKIFFLDDDIVVQKDLTGLWDVDLNGKVTGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLNEWKKKDITGIYHRWQNMNEDRVLWKLGTLPHGLLTFFKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYDQVQTILDKIMTGKLTDFTSEGNNIVNLKQFVACLYDSWPAGSIDCCEEMINRCFCCVTGGDSDPEPAATSSRRRTNPARASKNRTSVDYPWETYTLKELLQATGNFSESNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAVEVEILGRVRHRNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGTPSSHHHVPLEWPRRVAIAVGAAEGLSYLHHEASPHIIHRDIKASNVLLDAEFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRRWERLADPRLAGRFDAAQLRAVVETAMLCTQSSAESRPAMAEVVDMLRFSGGERRTKEIVPVAATVAGSSDEITMTTDQDDVTAGSSEPLDRRNWKLTRLR >ORUFI09G18790.3 pep chromosome:OR_W1943:9:18459760:18474132:-1 gene:ORUFI09G18790 transcript:ORUFI09G18790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKRLPYSTAGGGGGGGGGGGRRGGASGSGVVAPLVVLVFLFVLAPSIFFVARNGGHVHVASDPKDREGNQETDWQKQLATNNLKSILSKEMIDALASSQQEAGTLSVDFFRKRASPSWKTDDLVNDLSNASLDVDDKVKSENSSAEHELSLTDKTPKDDTAEHQVDAAAKNARRKLREKRREKRAMDLVRKDDEARVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQIIMARVYSVLAKSKNKNDLYQELQTRIKESQRAVGEATADSDLHHSAPEKVRVMGQLLSKAREDVYDCKAVTQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPRSENLENPELYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLKGLWDVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHKWQSMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYRPYWTRYIKYDHPYIRGCNLAERRGKRAARDVRALSGEVDPRGSYPSLEMANSKRLPYSTAGGGGGGRRGVVAPLVVVVFLFVLAPSIFFVACNGGHVGSGSGGGGDWGVMCSDPMDMEGTQETEWQKQLPTNNLKSILSKEMFDALASSQQEAGALSVDFFIKRASPSWKTDDLVNDLSNASLDIDDKVCKKINFLSVQMNIKSTRLQKMFEGIWRKENENENSDLTVRLMRDQIIMARVYSVLAKSKNKNDLYQELQTRIKESQRAVGEATADSDLHHSAPEKVRVMGQLLSKAREDVYDCKAVTQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPRSENLENPELYHYALFSDNVLAASVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKIFFLDDDIVVQKDLTGLWDVDLNGKVTGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLNEWKKKDITGIYHRWQNMNEDRVLWKLGTLPHGLLTFFKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYDQVQTILDKYDHPYIRGCNLAKLFFIRILSAWPAGSIDCCEEMINRCFCCVTGGDSDPEPAATSSRRRTNPARASKNRTSVDYPWETYTLKELLQATGNFSESNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAVEVEILGRVRHRNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGTPSSHHHVPLEWPRRVAIAVGAAEGLSYLHHEASPHIIHRDIKASNVLLDAEFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRRWERLADPRLAGRFDAAQLRAVVETAMLCTQSSAESRPAMAEVVDMLRFSGGERRTKEIVPVAATVAGSSDEITMTTDQDDVTAGSSEPLDRRNWKLTRLR >ORUFI09G18790.4 pep chromosome:OR_W1943:9:18459760:18474132:-1 gene:ORUFI09G18790 transcript:ORUFI09G18790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKRLPYSTAGGGGGGGGGGGRRGGASGSGVVAPLVVLVFLFVLAPSIFFVARNGGHVHVASDPKDREGNQETDWQKQLATNNLKSILSKEMIDALASSQQEAGTLSVDFFRKRASPSWKTDDLVNDLSNASLDVDDKVKSENSSAEHELSLTDKTPKDDTAEHQVDAAAKNARRKLREKRREKRAMDLVRKDDEARVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQIIMARVYSVLAKSKNKNDLYQELQTRIKESQRAVGEATADSDLHHSAPEKVRVMGQLLSKAREDVYDCKAVTQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPRSENLENPELYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLKGLWDVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHKWQSMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYRPYWTRYIKYDHPYIRGCNLAERRGKRAARDVRALSGEVDPRGSYPSLEMANSKRLPYSTAGGGGGGRRGVVAPLVVVVFLFVLAPSIFFVACNGGHVGSDPMDMEGTQETEWQKQLPTNNLKSILSKEMFDALASSQQEAGALSVDFFIKRASPSWKTDDLVNDLSNASLDIDDKVKSANSSTDKTLKDDTDSAVLGKYSIWRKENENENSDLTVRLMRDQIIMARVYSVLAKSKNKNDLYQELQTRIKESQRAVGEATADSDLHHSAPEKVRVMGQLLSKAREDVYDCKAVTQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPRSENLENPELYHYALFSDNVLAASVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKIFFLDDDIVVQKDLTGLWDVDLNGKVTGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLNEWKKKDITGIYHRWQNMNEDRVLWKLGTLPHGLLTFFKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYDQVQTILDKIMTGKLTDFTSEGNNIVNLKQFVACLLFFIRILSAWPAGSIDCCEEMINRCFCCVTGGDSDPEPAATSSRRRTNPARASKNRTSVDYPWETYTLKELLQATGNFSESNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAVEVEILGRVRHRNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGTPSSHHHVPLEWPRRVAIAVGAAEGLSYLHHEASPHIIHRDIKASNVLLDAEFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRRWERLADPRLAGRFDAAQLRAVVETAMLCTQSSAESRPAMAEVVDMLRFSGGERRTKEIVPVAATVAGSSDEITMTTDQDDVTAGSSEPLDRRNWKLTRLR >ORUFI09G18790.5 pep chromosome:OR_W1943:9:18459451:18474132:-1 gene:ORUFI09G18790 transcript:ORUFI09G18790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKRLPYSTAGGGGGGGGGGGRRGGASGSGVVAPLVVLVFLFVLAPSIFFVARNGGHVHVASDPKDREGNQETDWQKQLATNNLKSILSKEMIDALASSQQEAGTLSVDFFRKRASPSWKTDDLVNDLSNASLDVDDKVKSENSSAEHELSLTDKTPKDDTAEHQVDAAAKNARRKLREKRREKRAMDLVRKDDEARVKLENAAIERSKAENENENSDLTVRLMRDQIIMARVYSVLAKSKNKNDLYQELQTRIKESQRAVGEATADSDLHHSAPEKVRVMGQLLSKAREDVYDCKAVTQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPRSENLENPELYHYALFSDNVLAASVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKIFFLDDDIVVQKDLTGLWDVDLNGKVTGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLNEWKKKDITGIYHRWQNMNEDRVLWKLGTLPHGLLTFFKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYDQVQTILDKIMTGKLTDFTSEGNNIVNLKQFVACLLFFIRILSAWPAGSIDCCEEMINRCFCCVTGGDSDPEPAATSSRRRTNPARASKNRTSVDYPWETYTLKELLQATGNFSESNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAVEVEILGRVRHRNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGTPSSHHHVPLEWPRRVAIAVGAAEGLSYLHHEASPHIIHRDIKASNVLLDAEFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRRWERLADPRLAGRFDAAQLRAVVETAMLCTQSSAESRPAMAEVVDMLRFSGGERRTKEIVPVAATVAGSSDEITMTTDQDDVTAGSSEPLDRRNWKLTRLSKQLINGGVGREKSSEF >ORUFI09G18790.6 pep chromosome:OR_W1943:9:18459451:18474132:-1 gene:ORUFI09G18790 transcript:ORUFI09G18790.6 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKRLPYSTAGGGGGGGGGGGRRGGASGSGVVAPLVVLVFLFVLAPSIFFVARNGGHVHVASDPKDREGNQETDWQKQLATNNLKSILSKEMIDALASSQQEAGTLSVDFFRKRASPSWKTDDLVNDLSNASLDVDDKVKSENSSAEHELSLTDKTPKDDTAEHQVDAAAKNARRKLREKRREKRAMDLVRKDDEARVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQIIMARVYSVLAKSKNKNDLYQELQTRIKESQRAVGEATADSDLHHSAPEKVRVMGQLLSKAREDVYDCKAVTQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPRSENLENPELYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLKGLWDVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHKWQSMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYRPYWTRLFFIRILSAWPAGSIDCCEEMINRCFCCVTGGDSDPEPAATSSRRRTNPARASKNRTSVDYPWETYTLKELLQATGNFSESNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAVEVEILGRVRHRNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGTPSSHHHVPLEWPRRVAIAVGAAEGLSYLHHEASPHIIHRDIKASNVLLDAEFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRRWERLADPRLAGRFDAAQLRAVVETAMLCTQSSAESRPAMAEVVDMLRFSGGERRTKEIVPVAATVAGSSDEITMTTDQDDVTAGSSEPLDRRNWKLTRLSKQLINGGVGREKSSEF >ORUFI09G18800.1 pep chromosome:OR_W1943:9:18476622:18478301:-1 gene:ORUFI09G18800 transcript:ORUFI09G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSTMSLLPPITQQQRWHAADSLVVLASRCHNSRRRRRCRYVVPRARLFGPAIFEASKLKVLFLGVDEEKHQHPGKLPRTYTLTHSDVTARLTLAVSHTINRAQLQGWYNKLQRDEVVAEWKKVQGHMSLHVHCHISGGHVLLDLIAGLRYYIFRKELPVVLKAFVHGDGNLFSRHPELEEATVWVYFHSNLPRFNRVECWGPLRDAGAPPEEDDAVAAAAAEEAAAEQMPAAGEWPRRCPGQCDCCFPPYSLIPWPHQHDVAAADGQPQQ >ORUFI09G18810.1 pep chromosome:OR_W1943:9:18488407:18489063:-1 gene:ORUFI09G18810 transcript:ORUFI09G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRKARFCEAHHRARRFRHLATAALAVAALAAAAAAALVLYLVYRPVMPQASVPRAAVYRLALANASSSAHALAASVQFTLVLHNPSDRASLLYDGLVAYASYRGEPVMPPAPLPPVAQDRGADVAMSPLLGGAAVPVSPDAARALAADCAARRVQLRLVVMGRVKYRSGPFRSGWRDLYVRCNVVVGLSTEAAVAGGGGGGDVPLLEYPRCAVDA >ORUFI09G18820.1 pep chromosome:OR_W1943:9:18491240:18491683:1 gene:ORUFI09G18820 transcript:ORUFI09G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPELSRKRHRRTFTSSQSCRVPCALSWSTPPPSFAEDGKRRLGVAPTADEVQAARCSSPFARLPSQTADVVARLCVALGCAPSRRSKSRSAVVAVRTHQLAGPSSFRWLVVEEKWDQERAIWELGFCPSLPVGASSEAGTIGAVH >ORUFI09G18830.1 pep chromosome:OR_W1943:9:18493081:18497149:-1 gene:ORUFI09G18830 transcript:ORUFI09G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVEERKVVDLEDGDGEEGEDAAAVAAGSSRETRMLPRMPVRVLLAEGDDSTRHIICALLRKCGYRVAAASDGVKAWDILKEKSFNIDLVLTEVELPLMSGFLLLSTIMEHDACKNIPVIMMSSNDSVSMVFKCMLKGAADFLVKPIRKNELRNLWQHVWRKQLSSGVLDVQHTQQEDNLTERHEQKTGVTKAEHVTENVVHKNMECSEQESDAQSSCTRSELEADSRQTNNLLEYKQPMGRHFSKPDHKNTEKNGGTKIHASNDGNLIPRREEDASLRRMTCSNDINCEKASRDMELVHIIDNQQKNNTHMEMDVARANSRGNDDKCFSIPAHQLELSLRRSDYSRLESQEKNERRTLNHSTSSPFSLYNCRTASSTINAGDAQACSTSATHIDLENKNGDSKTPSQDKRETNQPPIRVVPFPVPVGGLTFDGQPFWNGAPVASLFYPQSAPPIWNSKTSTWQDATTQAISLQQNGPKDTDTKQVENVEEQTARSHLSANRKHLRIEIPTDEPRHVSPTTGESGSSTVLDSARKTLSGSVCDSSSNHMIAPTESSNVVPENPDGLRHLSQREAALNKFRLKRKDRCFEKKVRYQSRKLLAEQRPRVKGQFVRQDHGVQGS >ORUFI09G18840.1 pep chromosome:OR_W1943:9:18503104:18504680:1 gene:ORUFI09G18840 transcript:ORUFI09G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRGIPADPLAYTTVLNALCRKKQLREAYRLLCLMRGREVSPDIVHYNTVIVGMCREGRPLDACKVFRDMRESGCAPNAVAYTAVVNRLCVSGLYDKAEAYLDDMLGKGLLPHFSVFHSVIKGCCAVGKVNEAAGMMTRMLDLGMVPHAETWSSVIRSVCSDEDNVEVRRYCLTSTKQELTQLSEGSAIQHGDHLTANMGSSLDVG >ORUFI09G18850.1 pep chromosome:OR_W1943:9:18505265:18505629:-1 gene:ORUFI09G18850 transcript:ORUFI09G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVAEDGCSEISMEVSVAAVIPRRGPVGLPRNETEPQEETHTNRTVIARLSRAEFVSKFRHGLIN >ORUFI09G18860.1 pep chromosome:OR_W1943:9:18505714:18511309:1 gene:ORUFI09G18860 transcript:ORUFI09G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALRLGHHHHHLGLSAPRDPRRLLRRRLVVASSGAVKAPAAAAVVWFKHDLRVDDHPGLAAAVAAEPRRPVLPLYVFDRRILAGSLPTLEELKGFLKESRTSENNWVPLKGTSARSILKKTLSQINVKTGVASSGSDGGEDTTAYYAMSGRKIQNSMFTSESSTEVRGGTEITLDALAAYLKYLEGTGKASWQELHDKVRLTETRDGASFCTLFGPALQLGVISKRRVYHETIQYERDRNAGFISPFGYSTPTYTSVGHEGPSVLLVHGFGASLQHFRDNIGAIADQGCQVWAITLLGFGKSEKPNINYSELLWSELLRDFIVDVVKEPVHLVGNSIGGYICSITASLWPSLARSLILLNTAGSVVPSYSFIPLSEARLLLLFLRSRAGGILKEYYPTSYDPGAATVIESIFSFNLSIPLNFLFDSFGGKILIVQGMKDPLTKSKSFVSMLREHCSKVHIRELDAGHAPHDEVPDEVNSLLCEWIKETGA >ORUFI09G18860.2 pep chromosome:OR_W1943:9:18505714:18511309:1 gene:ORUFI09G18860 transcript:ORUFI09G18860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALRLGHHHHHLGLSAPRDPRRLLRRRLVVASSGAVKAPAAAAVVWFKHDLRVDDHPGLAAAVAAEPRRPVLPLYVFDRRILAGSLPTLEELKGFLKESRTSENNWVPLKGTSARSILKKTLSQINVKTGVASSGSDGGEDTTAYYAMSGRKIQNSMFTSESSTEVRGGTEITLDALAAYLKYLEGTGKASWQELHDKVRLTETRDGASFCTLFGPALQLGVISKRRVYHETIQYERDRNAGFISPFGYSTPTYTSVGHEGPSVLLVHGFGASLQHFRDNIGAIADQGCQVWAITLLGFGKSEKPNINYSELLWSELLRDFIVDVVKEPVHLVGNSIGGYICSITASLWPSLARSLILLNTAGSVVPSYSFIPLSEARLLLLFLRSRAGGILKEYYPTSYDPGAATVIESIFSFNLSIPLNFLFDSFGGKILIVQGMKDPLTKSKSFVSMLREHCSKVHIRELDAGHAPHDEVPDEVNSLLCEWIKETGA >ORUFI09G18860.3 pep chromosome:OR_W1943:9:18505714:18511129:1 gene:ORUFI09G18860 transcript:ORUFI09G18860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALRLGHHHHHLGLSAPRDPRRLLRRRLVVASSGAVKAPAAAAVVWFKHDLRVDDHPGLAAAVAAEPRRPVLPLYVFDRRILAGSLPTLEELKGFLKESRTSENNWVPLKGTSARSILKKTLSQINVKTGVASSGSDGGEDTTAYYAMSGRKIQNSMFTSESSTEVRGGTEITLDALAAYLKYLEGTGKASWQELHDKVRLTETRDGASFCTLFGPALQLGVISKRRVYHETIQYERDRNAGFISPFGYSTPTYTSVGHEGPSVLLVHGFGASLQHFRDNIGAIADQGCQVWAITLLGFGKSEKPNINYSELLWSELLRDFIVDVVKEPVHLVGNSIGGYICSITASLWPSLARSLILLNTAGSVVPSYSFIPLSEARLLLLFLRSRAGGILKEYYPTSYDPGAATVIESIFSFNLSIPLNFLFDSFGGKILIVQGMKDPLTKSKSFVSMLREHCSKVHIRELDAGHAPHDEVPDEVNSLLCEWIKETGA >ORUFI09G18860.4 pep chromosome:OR_W1943:9:18505714:18511309:1 gene:ORUFI09G18860 transcript:ORUFI09G18860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALRLGHHHHHLGLSAPRDPRRLLRRRLVVASSGAVKAPAAAAVVWFKHDLRVDDHPGLAAAVAAEPRRPVLPLYVFDRRILAGSLPTLEELKGFLKESRTSENNWVPLKGTSARSILKKTLSQINVKTGVASSGSDGGEDTTAYYAMSGRKIQNSMFTSESSTEVRGGTEITLDALAAYLKYLEGTGKASWQELHDKVRLTETRDGASFCTLFGPALQLGVISKRRVYHETIQYERDRNAGFISPFGYSTPTYTSVGHEGPSVLLVHGFGASLQHFRDNIGAIADQGCQVWAITLLGFGKSEKPNINYSELLWSELLRDFIVDVVKEPVHLVGNSIGGYICSITASLWPSLARSLILLNTAGSVVPSYSFIPLSEARLLLLFLRSRAGGILKEYYPTSYDPGAATVIESIFSFNLSIPLNFLFDSFGGKILIVQGMKDPLTKSKSFVSMLREHCSKVHIRELDAGHAPHDEVPDEVNSLLCEWIKETGA >ORUFI09G18870.1 pep chromosome:OR_W1943:9:18513081:18516206:1 gene:ORUFI09G18870 transcript:ORUFI09G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPPAPPPISPARLHKLVTSQSDPLLALELVTVTAPTTAPHPSTLHALALRLSRRREHLPHALALLRRLPSPPSPRILLPLLLSALRLRRQPHLFLSTFNSLFVSGPSPLPLHPELLLRLLSLLLRLLSVLSSTASYFPCALHLLRDVSTRLPLPEPLVLASHNLLIEAAARSGHLAVSISLFHRLRSLHVSPNAETYRILTQSLCRRGQVHTAATLLDEMLHRGIPADPLAYTTVLNALCRKKQLREAYRLLCLMRGRGVSPDIVHYNTVIVGVCREGRPLDACKVFGDMRESGCAPNAVTYTAVVNGLCVNGLYDKAEAYLDDMLGKGLLPHFSVLHSVIKGCCAVGKVNEAAGMMTRMLDLGMVPHAETWSSVIRSVCSDEDNVEVVLLQVMKGIKHRSNINSRSYSDTMLELLLFALEDLKMVLKSQESDLLIGLGNAEDVVLKLVNEVQAGLIFTEEEVEYRVRNVLASVESSLSNASFLSGNPPEIVVWSASLYDYKNPRELSTSHNQFLKEKLPMNTPLAAPSLPALNIEIET >ORUFI09G18880.1 pep chromosome:OR_W1943:9:18524417:18529447:-1 gene:ORUFI09G18880 transcript:ORUFI09G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPWGTEVAKPSATPDCSFCVVEESVDRGTVSRPGGVHAALQVVVLMSFILTNGHCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLTDAEEQLVIDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLDRKQESPATTSQSTVTAESSKSGEATRQQSRQLDDAVVRDMSVSAGGDSPPESSTNTASTAGGSSSSSSSHHQDPLVKWLLEEDLLPTGDEPWLNFTASNDVDEFSSIAATGATPALPWDVGMTTDWLLDYQDFGMGDSSLVVDASMVNSSNGSNF >ORUFI09G18880.2 pep chromosome:OR_W1943:9:18528452:18529447:-1 gene:ORUFI09G18880 transcript:ORUFI09G18880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPWGTEVAKPSATPDCSFCVVEESVDRGTVSRPGGHCKWWWCLALWAQLSQRAASVAAVAITGD >ORUFI09G18880.3 pep chromosome:OR_W1943:9:18524417:18528129:-1 gene:ORUFI09G18880 transcript:ORUFI09G18880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFILTNGHCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLTDAEEQLVIDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLDRKQESPATTSQSTVTAESSKSGEATRQQSRQLDDAVVRDMSVSAGGDSPPESSTNTASTAGGSSSSSSSHHQDPLVKWLLEEDLLPTGDEPWLNFTASNDVDEFSSIAATGATPALPWDVGMTTDWLLDYQDFGMGDSSLVVDASMVNSSNGSNF >ORUFI09G18890.1 pep chromosome:OR_W1943:9:18529591:18534109:-1 gene:ORUFI09G18890 transcript:ORUFI09G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSAAGQFCSSPRPEEGDTLTDRIGMADTRRCLAAAVVRRVFFPGPEVAVVQSMYPFRPSGHTAERGCLLMATVYDVDDDATCSEEVGWAAC >ORUFI09G18900.1 pep chromosome:OR_W1943:9:18534439:18534741:-1 gene:ORUFI09G18900 transcript:ORUFI09G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRHRATAAGLTARRLAVSPSSHRRRSGDSPFASALTIPASTACHSAADAGGLAAVPLLQTTRPNAWRRRRGRHGGDVSNSALPSSSSLASRPLPPRGR >ORUFI09G18910.1 pep chromosome:OR_W1943:9:18537273:18547242:1 gene:ORUFI09G18910 transcript:ORUFI09G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNNSDPILDEGGGGGVKHEAVGEAGEGKGGGGGAAATQAPAAMLPRSGSRPQLDLSGAAIHGNLEDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHAEHSSEDKRKLSTKRRLGMLNGGRRSYPVLGGRLHFVKFETGKLNECLDFISSKQLHRGGVDSPSWRSGAQPDNIVIKATGGGAFKYADLFKERLGVSLEKEDEMDCLVAGANFLLKSIRHEAFTHMDGQKEYVQIDQNDLFPFLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTKCKSFDELLELSQRGDNSTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISDDKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVNFWSKGEAKAMFLRHEGFLGALGAFMSYEKHGLDDLRIHHLVERFPMGAPYVGGKIHGPPLGDLNEKASTSTLDHGNLNGFISWMEKFVQKGTQITAPVPVGFPVTTGMGGFERPTAKGDILRSDASAALNVGVLHLVPTLDVFPLLEDPKMYEPNTIDLDLNEYKYWFKILSDHLPDLVDKAVASEGGTDDAKRRGDAFAHAFSAHLARLMEEPAAYGKFGLANLLELREECLREFQFVDAYVSIKQRENEASLAVLPDLLMELDSMNEEARLLALIEGVLAANIFDWGSRACIDDFDMFKKRMLADKKGQPYKRALLFVDNSGADVVLGMIPLARELLRNGTEVVLVANSLPALNDVTANELPGIVAEAAKHCGILRKAAEAGGLIFDAMAGIQDDLKDEPVSVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRSLHTNLNARFKCDTLKLAMVKNQRLAEKLFNGNIYDCICKFEPVHLT >ORUFI09G18910.2 pep chromosome:OR_W1943:9:18537273:18547242:1 gene:ORUFI09G18910 transcript:ORUFI09G18910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNNSDPILDEGGGGGVKHEAVGEAGEGKGGGGGAAATQAPAAMLPRSGSRPQLDLSGAAIHGNLEDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHAEHSSEDKRKLSTKRRLGMLNGGRRSYPVLGGRLHFVKFETGKLNECLDFISSKQLHRGGVDSPSWRSGAQPDNIVIKATGGGAFKYADLFKERLGVSLEKEDEMDCLVAGANFLLKSIRHEAFTHMDGQKEYVQIDQNDLFPFLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTKCKSFDELLELSQRGDNSTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISDDKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVNFWSKGEAKAMFLRHEGFLGALGAFMSYEKHGLDDLRIHHLVERFPMGAPYISWMEKFVQKGTQITAPVPVGFPVTTGMGGFERPTAKGDILRSDASAALNVGVLHLVPTLDVFPLLEDPKMYEPNTIDLDLNEYKYWFKILSDHLPDLVDKAVASEGGTDDAKRRGDAFAHAFSAHLARLMEEPAAYGKFGLANLLELREECLREFQFVDAYVSIKQRENEASLAVLPDLLMELDSMNEEARLLALIEGVLAANIFDWGSRACIDDFDMFKKRMLADKKGQPYKRALLFVDNSGADVVLGMIPLARELLRNGTEVVLVANSLPALNDVTANELPGIVAEAAKHCGILRKAAEAGGLIFDAMAGIQDDLKDEPVSVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRSLHTNLNARFKCDTLKLAMVKNQRLAEKLFNGNIYDCICKFEPVHLT >ORUFI09G18920.1 pep chromosome:OR_W1943:9:18547430:18548482:-1 gene:ORUFI09G18920 transcript:ORUFI09G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAALCWRHVAVFAAALLAAAAVVVAGDPGKIGICHGRVGSNLPPPAAAAALLRQNGITKARLFLPDPAVLPAFAAAGIDLMVGVPNENLTFLSAAGPDGALRWLQSAVLAHAPADRVRYLAVGNEVLYNNQFYAPHLVPAMHNLHAALVSLGLGDKVKVSSAHASSVLASSYPPSAGAFDAASLDVLRPMLRFLADTGAPFMVNTYPFISYVNDPVNVQLGYALFGAGAPAVSDGALVYTNMFDATVDALAAALDREGFGAVPIAVTETGWPTAGHPAATPQNAAAYNAKIVERVARGAGTPRRPGVPVEVFLFDLYDEDGKPGAEFERHFGIFRADGSKAYNINFA >ORUFI09G18930.1 pep chromosome:OR_W1943:9:18551188:18558706:1 gene:ORUFI09G18930 transcript:ORUFI09G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAHRRLLLLLLHLLLLVAAAAEAAAAGAGRKEKGIGGGGGLRFRGGSGTFKVVQVADMHYADGRRTGCLDVLPSEAAGCSDLNTTAFLYRLFRDEDPDLVVFTGDNIYGFDATDAAKSMDAAIAPAINMNLPWAAVIGNHDQEGTLSREGVMRHLVGMKNTLSRFNPEGIEIDGYGNYNLEVGGVEGTLLANKSVLNLYFLDSGDYSTVPSIGGYGWIKASQQFWFQQTSSNLQTKYMKEEPKQKAAAPGLVYFHIPLPEFSSFTSSNFTGVKQEGISSPSINSGFFASMVEAGDVKAAFIGHDHVNDFCGKLNGIQLCYAGGFGYHAYGKAGWSRRARVVSVQLEKSDGGEWRGVKSIKTWKRLDDPHLTTIDSEVLWNRGSNGRRKKNPDGKMR >ORUFI09G18940.1 pep chromosome:OR_W1943:9:18555230:18561586:-1 gene:ORUFI09G18940 transcript:ORUFI09G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVELPGRLAILPFRNKVLLPGAIVRIRCTNPSSVKLVEQELWQREEKGLIGVLPVHDSEAAGSLLSPGVGSDSGEGGSKAPGGSAGESTKQDTKNGKETIHWHSRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVQELSARGSYHVARVSRLDMTKTELEHAEQDPDLIALSRQFKATAMELISVLEQKQKTVGRTKVLLETVPVYRLADIFVASFEISFEEQLSMLDSVDLKVRLSKATELVDRHLQSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVAALERKMQNAGMPANIWKHAQRELRRLRKMQPQQPGYSSSRTYLELLAELPWQKVSEERELDLRAAKESLDRDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTPEEKLKIAMKHLIPRVLEQHGLSSTYLQIPEAMVRLIIERYTREAGVRNLERNLAALARAAAVKVAEQDSALRLGKEIQPITTTLLDSRLADGGEVEMEVIPMGQDISNTYENPSPMIVDEAMLEKVLGPPRFDDSEAADRVASPGVSVGLVWTSFGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQLALTWVRARAADLNLSPTSDINLLESRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSHRKVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNMKDLAEVPAPILSGLEILLVKRIEEVLDHAFEGGCPLRPHSKL >ORUFI09G18950.1 pep chromosome:OR_W1943:9:18565615:18567239:-1 gene:ORUFI09G18950 transcript:ORUFI09G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTNLPRLVAAVVDDDGGHLGRRRRDLRPPSSPAGFWISNGMHLHQPWTWAQVLEMLPWSHGARAVYFRAACQVKPSTYR >ORUFI09G18960.1 pep chromosome:OR_W1943:9:18568203:18568609:-1 gene:ORUFI09G18960 transcript:ORUFI09G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLTFQNRQRSEGDSNDEGALAGPGSVWRGNRAEDGADNIHAAGAGDGATIRRESRGTGAAGENHGFHNAAMRVNTASAISPHFFVWADADAAAGGGRHLEDHRAHQAVLE >ORUFI09G18970.1 pep chromosome:OR_W1943:9:18571061:18576023:-1 gene:ORUFI09G18970 transcript:ORUFI09G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLGWFKKRRSSKSKESSGRRGSTTTTVSAVSTSRSDDSGAVRPASKSTGSTSSHRSISSLYEERGHGQLRDFDYDELQAATNGFSRAQKLGEGGFGSVYKGFVRSSPADGKAADRLAVAVKCLNQRGLQGHKQWLAEVQFLGVLEHPNLVKLLGYCAVDGERGPQRLLVYEYMPNKSLEDHLFVRAYPPLSWNRRLQIILGAAEGLAYLHEGQVQVIYRDFKASNILLDKDFRAKLSDFGLAREGPTGANTHVSTAVVGTHGYAAPDYIETGHLTVKSDVWSFGVVLYEILTGRRTLDRHRPQGEQKLLEWVAQFAPDSRNFRMIMDPRLRGEYSVKAARDIAKLAESCLLKNAKERPTMSEVVDVLRRAVQSQPDPPPPPAAAAAASGKGKRVDVAPQPARRR >ORUFI09G18980.1 pep chromosome:OR_W1943:9:18578496:18579516:1 gene:ORUFI09G18980 transcript:ORUFI09G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAVAMKREREGEGESGEDRVHGAGDLAERRWQRKEDKTAMRGDNSSIMKSTGGEEDGDASDEENPSSFLLPGQTHGLQVWNDGEPNNPLNPTLTCASVRLWAPAVRLWGPGQRLDRDATVAQ >ORUFI09G18990.1 pep chromosome:OR_W1943:9:18580380:18583719:-1 gene:ORUFI09G18990 transcript:ORUFI09G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGEAKLALVLYLWYPKTRGAKHVYESYLQPVLARHEADIDRGLLQLRASAKDATASHLQAAVSLGRACFAEVAGRVSSQLQAARSSGGGGRAGQADQLQKRQKINPEEEEEDEDATVSKTRR >ORUFI09G19000.1 pep chromosome:OR_W1943:9:18585519:18589179:-1 gene:ORUFI09G19000 transcript:ORUFI09G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTKGCCGWLIVALVASLVATAAVVAIMKKKAGGGSGRKLKPLPVPGPPGAIDSKYGDALGVALQFFQVQKAGKLENNQIPWRGDSALDDGKPAGLDLSKGMYDAGDHIKFSFPMAFTATVLSWSILEYGDQMSAAKQLDPALDALRWITDFLVNAHPSDNVFYIQVGDPDLDHNCWERPETMSEKRPLTQINTKSPGSDVAAEAAAAMASASIVFKSRDTTYSDSLLQHAQKLFTFADTYKGLASDTYPKLQNYYNSTGYQDELLWAASWLYHATGDQTYLSYVTVENGKAFADWGRPTWFSWDDKLAGTQVLLSRLNFFGSKQTSNAENVGLKMYRDTAEAVICGLLPDSPSATASRTGGGLVWISGWNSLQHATNAAFLAVVYSDYMLTSQTAAVQCSGKYYSPTDIRNFAISQANYILGDNPMKLSYLVGYGSSYPQQVHHRGASIPADAKTGCKGFQYLHSTSPNPNVAMGALVGGPFQNDTFVDSRDNAVQTESSTYNSGTLVGLLSGLVTTSSVAQSFT >ORUFI09G19010.1 pep chromosome:OR_W1943:9:18592908:18595338:-1 gene:ORUFI09G19010 transcript:ORUFI09G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEPAAAAASTSLDSDDSFFDALDSLPSPPSPPHTPSSSTLRRRRPRRGWSLKQHEDDTAASPTFSDSSTITVVDEAVKPDSEETSSHRPPPPPEEEDEEDAAEAAVEGEVEGEVEARDAKLNPAPAPAPTPTPPPPGILESLAMLVIKAVVFQVSALISCLTFPIRLLQWWFLLVTDPLGLVRRARGWALEVAGHATGAAAARLGGGEGVGRMVARLAWGSLWAVYVCVVLCSILVMAFLGGGLLVGKVVEEPIQVTETLNFDYTKPSPVAFVPVQRLVPPNQRMQLEVFLTLPESDYNRRLGVFQVRAEFLSADGKVISTSSQPCMLKFKSAHMHFIETFLRSVSLLSGYSSESQVIRLKMRGITEASEPVMGIRIILEQRAEFSPGAGIPEIYAASLKLEAELPLLKRILWNWRWTLFVWSSMGFFVFELLLALICCRPCIFPRSGHNAATP >ORUFI09G19020.1 pep chromosome:OR_W1943:9:18598942:18602171:1 gene:ORUFI09G19020 transcript:ORUFI09G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQKPIWLRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKQNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNNKTW >ORUFI09G19030.1 pep chromosome:OR_W1943:9:18603294:18607842:1 gene:ORUFI09G19030 transcript:ORUFI09G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAKRPLGAVMAWVRQQPPKVKAFLAVVTGMAALVFIRFIVHDHDNLFVAAEAAHALGIAVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTVLDTATLAATLFVIYMIRFKLRPTYMVDKDNFALYYVVVPCAVLALLIHPSTSHNIVNRISWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSLVGGQLVLRLPSGVV >ORUFI09G19040.1 pep chromosome:OR_W1943:9:18608728:18609669:1 gene:ORUFI09G19040 transcript:ORUFI09G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPAGIRWRVPQIEGAAEGWCMPPDQLMVMSKSPSTSDSDDVDVLDLQDVLQRFGFHTICMVAFGHDLPCLADGGVMEDARSDFMHSFGEAQNLVVGRFFDLIEVSWKIKKWLNVGTIRRLRKAIADVHTFAMDIVRARRQSASVQDRDDVLSRFVASDEHSDEVLRDIVLSFLIVGRDTTASGLSWFFCLLSSRPDVVARIADEVRAVRKPTDTRLGEPFRFDALWEMHYLHAALTESMRLYPPASVVRGGRHTPRRHARPRRLVRDVQRIRHRARTAWSTGRSGGSARTACSSRRAREREMPASQRKRD >ORUFI09G19050.1 pep chromosome:OR_W1943:9:18610172:18610867:-1 gene:ORUFI09G19050 transcript:ORUFI09G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSGSGTSNIKDEIDAAFAAGAMPPEWRPRLLASQRLGEGDVDRIAAAIAEVHATYQYVGSTKGNIGYVAFLFVLVFFL >ORUFI09G19060.1 pep chromosome:OR_W1943:9:18619434:18629441:1 gene:ORUFI09G19060 transcript:ORUFI09G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREREPRELVNLSAGGATVTGAHSPAPLVTPTRRPPHNRAHLPQSRLRPKQVRPRHCYPSALIGERMPRRMRTTSLLRSYTNVQRRHSIRRPPKHAKQLLHLRRVRTRPAWRERLWFAPRPLLLQNGSTQNILISLCIGGEDGEEGDAVGGGGGGGVLLLTMDGGGVAFYYTGVLYDIRFFVPLDRFIAYSSSGVSSDFRRHAEDWAITRRPGSTFAVTFVAKDTGKPSAAYHYEQVAAAEASGAAVVRIERRRWPAGRRNWSPRGAWRLTVEVRPTAARPAAGPPAHQATATFFQQYGSIFGGTISIFQAAIPIIYGALKGRYLLTLELWNASITIINLVGNTTWMIYSAVNKGVELSMLMTNSVACGLNIYHLLSIYRHNKERKKWYSTLSLEIHVFILFYVPNILFAASELVLIVYKQYSMKIYVQLSYILNTIFYVIELPVMIRAMITNNPYSDNEAIDIENQAIVKIDGQKKHHNERQEDRAEKKTTFTEVYLLPAPPGLFGQGKRKHRYCFNQKKKNNNLTKKATMDRAEGQGNSFFKEDEKSYLFKIYQKRISPH >ORUFI09G19060.2 pep chromosome:OR_W1943:9:18624524:18629746:1 gene:ORUFI09G19060 transcript:ORUFI09G19060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSEDSYEPEYVEEHRLKDLVKNSEFISYPISLNEKNIEKEICDDEEVDAEEGKVEDVDEEKEKKGEKIKEVSHEWHSSNKQKPLSDSKEITSENEEAKEGKVEDVDEEKEEKKKQGKKIKEVCHECNLINKQEAIWMRKSEVITKEAAFYKSLTNDWEEHLAVKHFSVEGQLEIKAILFVPKGAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVEGVVDYEDLPLNISFELLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYKAFSKNLKLGIHEDSTNRTKIAELLRYHSTKSGDELTSLKDYVARMEGQRDIYYITGESKKAVENSPFLEKLKDYEVLYMVDATDEYAVGQLMEFEGKKLISATKGLKLDEKFDNLSIVMKEVLVDTVERDVFSDRVVDSPCCPVTGDEEREVNTKFSVTGICGDGRCLSRSIVHGAYIKLMMIPPDDNLEKDMADDLRKKVCDALEKECADKPWMPITVFVVEKTGGLRVFTKYGKEYGRNAIQVLFDGCMKAASGCLPCKTSLPRESASAWWTQVDTVSGQGCGESSPLCRPPAYPPEPPVVLSLVGGQLVLRLPSGVV >ORUFI09G19070.1 pep chromosome:OR_W1943:9:18631819:18632429:-1 gene:ORUFI09G19070 transcript:ORUFI09G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSREGKKTTDRYKQSPDIIIHSSSLQFSSAASITMSSSIKDEIDAAFAAGAMPPEWRPRLLASPRLGERDVDRIAASIAEIHWNLQLDGSTQLSVACVAFWFVVGVLVLCVAGLFFLENDYMSGPFAVVGAVPCVVITPIVTVAYERRRRRAKMLMVRTRTVLEHILLPPI >ORUFI09G19080.1 pep chromosome:OR_W1943:9:18634503:18637741:1 gene:ORUFI09G19080 transcript:ORUFI09G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSFCPDCKKHTEVAFDHSAGDTVCTECGLVLEAHSVDETSEWRTFANESSDNDPVRVGGPTNPLLTDGGLSTVIAKPNGAQGEFLSSSLGRWQNRGSNPDRSLILAFRTIANMADRLGLVATIKDRANEIYKKVEDLKSIRGRNQDAILAACLYIACRQEDRPRTVKEICSVANGATKKEIGRAKEFIVKQLEVEMGQSMEMGTIHAGDFLRRFCSTLGMNNQAVKAAQEAVQRSEELDIRRSPISIAAAVIYMITQLSDDKKPLKDISLATGVAEGTIRNSYKDLYPYASRLIPNTYAKEEDLKNLCTP >ORUFI09G19090.1 pep chromosome:OR_W1943:9:18639081:18641988:-1 gene:ORUFI09G19090 transcript:ORUFI09G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSLASSHLSRLADLRRAGVAAAAPAHPQQLRLGCSRRRAQRVVAMAGSGKFFVGGNWKCNGTKDSVSKLVTELNAATLEPDVDVVVAPPFIYIDQVKNSLTDRIEVSAQNVWIGKGGAYTGEISAEQLVDIGCQWVILGHSERRHVIGEDDQFIGKKAAYALSQNVKVIACIGELLEEREAGKTFDVCFKQMKAFADSITNWADVVIAYEPVWAIGTGKVATPEQAQEVHAAVRDWLKTNVSPEVASGIRIIYGGSVNAANCAELAKKEDIDGFLVGGASLKGPDFATIINSVTSKKVAA >ORUFI09G19100.1 pep chromosome:OR_W1943:9:18643770:18647304:1 gene:ORUFI09G19100 transcript:ORUFI09G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKGSGGAAGDKPGADASPATNPAPPAAAVAVAAAAADAGGDDDVAAAAEARRPFTALSQVDADLALARVLQEQERAYMMLRMGGGVGEGSDYGSSDAGSYEYDDEAEEDYEEELEHHLRVHHHEHAVGEGRGEGERDGEGAEGSEFEEEGFDEEYDEEEVEPELDPAEYEDDEAYARALQDAEEREVAARLMALAGISDWRPVEPVEEHANDPQELVALGEAVGTGHRGLSAATLASLPSVTYKAEGVQDGNTEQCVICRVEFEDGESLIALPCKHSYHPECINQWLQINKVCPMCSAEVSTSDSNQA >ORUFI09G19110.1 pep chromosome:OR_W1943:9:18652058:18652273:1 gene:ORUFI09G19110 transcript:ORUFI09G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDANKNPAQQGDANESPARQGLPPRHLIIPYAIAAAMANRPIRLASQARLLGGGGGAAAQQPPTQHAIPA >ORUFI09G19120.1 pep chromosome:OR_W1943:9:18652442:18655452:1 gene:ORUFI09G19120 transcript:ORUFI09G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIATTFVWPVPPRGWTVSPATGRYRFGYGYGGASSSSTAPAAPTITRGPTPLLLLAVPPVPAPLVPAPPAPAPHLVVPRGGWTVSPTTGNDRFGDGGVSSSTAAPLTPIAHSAPPETTPYVPALPMPTPSTVALVGLTVSPTNARYSFSYGGASSSSATPRAPTASLALRAPPHLRAPCGLAPPVPTPPALATHVPMPPAPAPPVPTPPAPAPPADVPPRFTVSLTTIRHNFGYDGASSSSTMPRVRSTSLALRVPAPHLRAPSCTLAPPAPTPPAPTFHVPTPPTPATPAPPADVPPGFTMSPTTTCHSFGYDGASSSFATPRMRTISLALRAPAPHLRAPRTLAPPAPTPPTPATPVPMPPTPTRLVPTPPAPGPPADVPRGFTVSPTTTRPSFGYDGASSLSATPRMHTTSLALRALAPHLRVSRASAPTVPTPLVPAPPVPTPHAPAPPTDVPPGFIVSLTTARYSFDYDGVSSSSSTPHVPTTSLALRSPAPHLRVRRMPAPPVPTPPAPAPPVSTLPSPAPSVHTPPVTAPPATAPPMIAPPVATPPDSASSPSTVPRMPSAPLALRALAPHLRALRVSVPHPRASSAPAPPVAAPRGWTVSPTTARYSFSDSGASSSSAAPRASTAPLALHAPAPHLRAPPVATPPPAAPRGWTMPTTIGRYSFSYGGVSLSAHFSTCCEPTPPAAAAAAPAAPPALPSGLPSWPVLVRPPTGPARARLVPAAPAGAFEEYLVQRRAIEATVDDTPWEMIGSSRRTGGPMFAVAGGGRDRVELEARERRKNRMDKSKTAAASRAQQPPPPADAPGSSGGGSKKRGGGMKKKQA >ORUFI09G19130.1 pep chromosome:OR_W1943:9:18656067:18659519:1 gene:ORUFI09G19130 transcript:ORUFI09G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGDGYVGTAQDAVKIRRLEKQREAERRKIEELKNKSSDGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRTKIEEEEKEKLQKLQQEEEELQMQKRKKRRVRGDPRLSFCDEIENGSDEDEFENQEPQKKHGPVKLGKDPTVETSFLPDREREAEEQAERERLKKQWSREQELIKNEPLTITYSYWDGTGHRRVIQVRKGDSIGEFLRAVQQQLAPEFREVRTTSVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATKEKDEIYDPTKKWERYTIHGD >ORUFI09G19140.1 pep chromosome:OR_W1943:9:18660010:18661107:1 gene:ORUFI09G19140 transcript:ORUFI09G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLAVLLLVMRLAAAMAASPTSYISRTTEQQVIATVAPAVDVGQSAQPFLTSPSGSYAAYLRRAVDSSAGGPGADACYVQIQQAGGGAGGGGSVWESECTLVGGADACDLAFSPVGLELFAGGHSLWDTGIDAEPGTLSLDDGGDMRIVSKDGVSVWQASXDQPPVDTMPDQPLLPPPPPPPPADATPATPDLPLPPPPPADTYPVSPDQPLYSSPPPAPTAFVPHTPLPPVDVPALSPPLPHGKTPSGAPGGIALPPAAPAGGGMPQQHGSPHHLPLGASPPPEAAAVPDALAPSAGHGAAAGGLPIGGQGQQQGAFGQHQVLNGAGQPLEDSSGERPRGAHAAVVVTSGLVSLLIALGFGF >ORUFI09G19150.1 pep chromosome:OR_W1943:9:18661997:18662563:-1 gene:ORUFI09G19150 transcript:ORUFI09G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAQRAHMVRALGVTTTVLFVASVSYIALTALYACFCDGGGRRRREDGGSSSVRPEPSEETKRALDGIPVHVVQMPPRDGGGGGADEEGGSGDCAVCLAEYAAGDEVRVLPACGHGFHRECVDRWLLTRAPTCPVCRAPVVARVEGPDDDAKEDYCGDGESVERHGGGGGDIGFLSVAGESRVLPAI >ORUFI09G19160.1 pep chromosome:OR_W1943:9:18668034:18675300:-1 gene:ORUFI09G19160 transcript:ORUFI09G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQETKLSRQDLSGDVIMAEGYEAFISCNRSLKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQETAKNSETIGDFVLVTPVEEEGLGEVTKEELLKVDNEGRCVITDHGHFVLFNIYGPAVEEDDIERVRFKLLFYKILQRRWEHLLALGKRVFVVGDLNIAPSSIDRCDAQPGFEKQTFRKWLRSMLREHGGPFFDAFRSKHPERVGAYTCFNQKVGAEVYNYGSRIDHILISGACFHHCGSVDDHSIFPCHVEECEIMDHFRRGNSENMSMWKGGRSSKLEGSDHIPVYIVLNEIPELPVHNTPSSAARYLPEIRGRQQSIVSFLRKGMIYEHKDAMSMDRADESCCGGGLERKAIYKEEPPTDIAKFSKGNDLHSVIKRKIRDQLLNEGSSGNSHNSTAALLATQSRKASFSCSKAVSNKKNKHNLSSQPTIKSFFQQPKSKPGDSSTNSIVTPPDTLHGMDELHDPKNDCLPESIQCTTPATEDQGNSDVPCSLSTDKCNEATLEWQRIQQRMKMTLPLCKGHHEPCIPRSVKKGSNIGRLFYVFNFRINCSIHIRGTIIKGPASNQEANCGHFQWATVKSKEKRRHT >ORUFI09G19160.2 pep chromosome:OR_W1943:9:18666753:18675300:-1 gene:ORUFI09G19160 transcript:ORUFI09G19160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQETKLSRQDLSGDVIMAEGYEAFISCNRSLKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQETAKNSETIGDFVLVTPVEEEGLGEVTKEELLKVDNEGRCVITDHGHFVLFNIYGPAVEEDDIERVRFKLLFYKILQRRWEHLLALGKRVFVVGDLNIAPSSIDRCDAQPGFEKQTFRKWLRSMLREHGGPFFDAFRSKHPERVGAYTCFNQKVGAEVYNYGSRIDHILISGACFHHCGSVDDHSIFPCHVEECEIMDHFRRGNSENMSMWKGGRSSKLEGSDHIPVYIVLNEIPELPVHNTPSSAARYLPEIRGRQQSIVSFLRKGMIYEHKDAMSMDRADESCCGGGLERKAIYKEEPPTDIAKFSKGNDLHSVIKRKIRDQLLNEGSSGNSHNSTAALLATQSRKASFSCSKAVSNKKNKHNLSSQPTIKSFFQQPKSKPGDSSTNSIVTPPDTLHGMDELHDPKNDCLPESIQCTTPATEDQGNSDVPCSLSTDKCNEATLEWQRIQQRMKMTLPLCKGHHEPCIPRSVKKGSNIGRLFYVCARAQGPASNQEANCGHFQWATVKSKEKRRDSGKKGGNPLEAMGAFFSSQVNRRKLVTSEKQALATRLSAGGEAFPGSEHRPADRKTWMAELGPERLRVHQLVWPGTHDSATNKIGIPFVTRPFAQCQSLSVYEQLAAGARVIDVRVQEERRVCHGVLATYSVDVVLDDVRRFLGETASEVVILEVRTEFGHDDPPEFGRYLVEQLGEHLIPQDEAVFHKTIAELLPRRLICVWKPRKSPAPKPGEPLWSAGYLRDNWIDTDLPETKFESNVKFLGEQPPVADRRFFYRVENTVTPQADNPVLCVRPVTRRIHGYARLFIAEVFAKGLGDKLQVFSTDFIDGDFVDACAGVTKARVDGAA >ORUFI09G19160.3 pep chromosome:OR_W1943:9:18668034:18675300:-1 gene:ORUFI09G19160 transcript:ORUFI09G19160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQETKLSRQDLSGDVIMAEGYEAFISCNRSLKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQETAKNSETIGDFVLVTPVEEEGLGEVTKEELLKVDNEGRCVITDHGHFVLFNIYGPAVEEDDIERVRFKLLFYKILQRRWEHLLALGKRVFVVGDLNIAPSSIDRCDAQPGFEKQTFRKWLRSMLREHGGPFFDAFRSKHPERVGAYTCFNQKVGAEVYNYGSRIDHILISGACFHHCGSVDDHSIFPCHVEECEIMDHFRRGNSENMSMWKGGRSSKLEGSDHIPVYIVLNEIPELPVHNTPSSAARYLPEIRGRQQSIVSFLRKGMIYEHKDAMSMDRADESCCGGGLERKAIYKEEPPTDIAKFSKGNDLHSVIKRKIRDQLLNEGSSGNSHNSTAALLATQSRKASFSCSKAVSNKKNKHNLSSQPTIKSFFQQPKSKPGDSSTNSIVTPPDTLHGMDELHDPKNDCLPESIQCTTPATEDQGNSDVPCSLSTDKCNEATLEWQRIQQRMKMTLPLCKGHHEPCIPRSVKKGSNIGRLFYVCARAQGPASNQEANCGHFQWATVKSKEKRRHT >ORUFI09G19170.1 pep chromosome:OR_W1943:9:18675962:18679224:1 gene:ORUFI09G19170 transcript:ORUFI09G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGVGRRRSGKGKSGAGVGLGGGVGLGGVVGLEWRRGAALLDSPPDDPYNDDREALRRCAYGTFLGRIDGTGPVSLWAVVIVSRGRGRERLGVSNDSGPLSRV >ORUFI09G19180.1 pep chromosome:OR_W1943:9:18679349:18684434:1 gene:ORUFI09G19180 transcript:ORUFI09G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASALGSPPEHTPEAMAALASLGSPPVTALGGLEVDVISDVVSSPMLAPEGPETVTILGAPVEHASPNEALISIETVVMGPGSLTRPASAPAKLVVDPHVRESLGVGLARQISFEEPAGDLLSSVAFTSSPPLPAVAPLAVVEGANLTFNPPGERESVPLSTGALEMVLGPWPEVHVASPQIVYRKRHLLPTSGRKWPTHRAALGLTQDGSPGVPLSLAHSERTSQVIDGSLALPSAAFPAAAASLSPGSTTSIPKSV >ORUFI09G19190.1 pep chromosome:OR_W1943:9:18687478:18691051:1 gene:ORUFI09G19190 transcript:ORUFI09G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKQILARPIQLAEQVIKWSDEAYTFRQECMELKAKVERLAGQLRQAARADLYERPARRIFDDTEKALDKAMALVDKCRAHGVVRRVFTIIPAGSFKKMANQLDNSIGDLSWLLRVSSSASDDDDFDAHIGLPPIAQNEPILFLIWEQIAVLYTGNLDARADAAASLVSLARDNDRYSKLIIEEDGVPPLLRLVKEGKLEGQENAALAIGLLGRDPECVEQMVHAGACTAFAKVLKEGPMKVQATVAWAVSELAANHPKCQDAFASHNVIRLLVGHLAFETVQEHSKYAVTSSKMSIHSVVMDKKNSTRGALIPDLMDAGEHGGTRHPGGHVSQSKNEMYSLVHSTMAAKPNGSSGKVSNGGVVASKQHNVSLSGATTRGREFEDPETKASMKANAAKALWHLAKGNAAICKSITESRALLCFAVLLEKGEGDVQYNSAMALMEICSVAEQNSDLRRSAFKPTSPAARAVVDQLLRVVDKAEYDDLLIPCIISLGCLSRTFRATETRIIGPLVKLLDEREADVSREAALSLTKFACTENYLRVDHSKAIISAGGAKHLVQLVYFSEQVVQLAALALVCYIAHNVPDSEELAQAEILTVLEWASKQSFMMQDPLIESLLPEAKIRLELYQSRDAITGSSLFISQLHQRLTLYWQSISVAVHHCFLYILIYYEAADHIPMTLYLSSGDGGMAGSSNFSLILCAQPLPKPASVKARRINIGGAGRQKDGRACN >ORUFI09G19200.1 pep chromosome:OR_W1943:9:18693904:18697269:1 gene:ORUFI09G19200 transcript:ORUFI09G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARRLLPVTLLLISLSRALCTTFTLTNSCAYTVWPGLLSSAGSPPLATTGFALAPGESLAVDAPAAWSGRVWGRTLCGADPGGSGRFACATGDCGSGAVECGGGGAAPPATLAEFTLDGAGGNDFYDVSLVDGSNLPMVVVPQGGGAACGATGCLVDLNGPCPADLKVAGSDGAGIACRSACEAFGTPEYCCNGAFGTPATCRPSAYSQFFKNACPRAYSYAYDDATSTFTCASGTASYLVVFCPIISSLKSSVGGGATNPSASGTGLPLINDTVSFLNRGGGGNGGYYDASSSASLTAPSPLPVAGQAAAAVLAWLCTARGRHWLPW >ORUFI09G19210.1 pep chromosome:OR_W1943:9:18694929:18696287:-1 gene:ORUFI09G19210 transcript:ORUFI09G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGRQPCKREQELGWEGFHPSSVHQLFDGMPSQPEMTKEDQRISKHVPINSIMNKEEKWLDEALDRILEKVEQIETKRRCEEKIDLILEKLNEVEANRSKFFKEMSTSIKATVAVLEAASYPPLQDPPSSTPTTCSTACPNSGITWVMRGSSRSDEDTTPMVAMELGDNKDKEQAPYIVTKDLLKVTPTKCSTKCSSFNAKPDPIVAAVVTCATSIESSMELVATDSTTGGTHIDTPHSTKATLAKCSTVGLDVNGGTRKDVVAFPAIIGVSKTVPASLASLDVVQVVQGVDLAMDTKAKATVISEMHANCLELSHGVHTRNPDVLSIPISEMASVKAANNITIEPVVQYVNKELDKASNRDKGAKGNRVGTRR >ORUFI09G19220.1 pep chromosome:OR_W1943:9:18704729:18708179:1 gene:ORUFI09G19220 transcript:ORUFI09G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWIITRSTWIMSVFFVILSFFQGLVMVGGVTFTFTNRCGGTVWPGVLSNSGSSALGTTGFALGAGETRSLAAPAGWSGRFWARTGCTFDDDGKGTCATGDCGSGEVECRGAGAAPPATLVEFTLGSGGGGGKDYYDVSLVDGYNLPMVVEAAAAGCPATGCVVDLNQRCPAELKAGHGQACRSACEAFGTPEYCCSGDHGNPDTCHPSVYSQMFKRACPRSYSYAYDDATSTFTCTGTDYSITFCPRPGNPNSQKSSNDPSPRPKDPQLEDDSWLASLATGEVDGAAPASTSLLLQATLAVAVMALLVLH >ORUFI09G19230.1 pep chromosome:OR_W1943:9:18717316:18720692:-1 gene:ORUFI09G19230 transcript:ORUFI09G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRWGRWLGLVTAVWVQCISGNNYTFSNYSHSIKTLMGLTQLQLNGLSVAKDVGKAFGLLAGLASDRVPTWLLLAVGSLEGLLGYGAQWLVVSRAVAPLPYWQMCVFLCLGGNSTTWMNTAVLVTCIRNFRRSRGPVSGLLKGYVGLSTAIFTDVCSALFADDPASFLVMLAVVPAAVCAVAMVFLREGEVGGGGADGREEEEEDGWCFAAINTLAVAIALYLLAADLTGVGGGGGVVSAVFVAVLLVLLASPAAVPAHVAWKSWMKTRKLANADVEEAEECASAPLLVAKATAAAAAEARGPGEKPVLGEEHTIAQALMSLDFWLMFASFLMGVGTGLAVMNNLGQMGVAMGYSDVSLFVSMTSIWGFFGRIASGTISEHFIKTRAIPRPLWNAASQILMAVGYVVMAVGMPGSLFVGSVVVGICYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDAQATKVPGGGNTCVGAHCYRLVFVVMAIACVVGFGLDVLLCFRTKRVYAKIHESKRQSRSAVVQRLEMANLPAAVAVADSTQARSSLCRRWRGTSRCWCG >ORUFI09G19240.1 pep chromosome:OR_W1943:9:18737931:18738424:1 gene:ORUFI09G19240 transcript:ORUFI09G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEEEHPCFHMAGAADGSEADAIPPELTTLFVRPGACNNSELLLAPGIDVSHSSFFNRADAPGAHGAPAGFLDTFDVAINGALRAAPAAASPAFLLPNLNDDATATLHAQAVAVLNHDRRFGWRCHGRWRRTGGGAVGIGDYRGTDSEVEQKSARTEGVGG >ORUFI09G19250.1 pep chromosome:OR_W1943:9:18739670:18741403:1 gene:ORUFI09G19250 transcript:ORUFI09G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADNGKWALEKARALQDLEEEFNQQIARILSCYQLPDHIRLDLHEQHRNDYKVPDDLRLKFVNAVFEGKSGMLDQDEELRVQARKESEKFWVEAAGAAKKAQALQDMEERNRQLFFKHYPGVQDMPDHIREYCFRKFMEDARDEVEVRFGIRAWEESQQFLIKTMADGRAAKKVQALQDEEKRYVQGVKKTFDSENISEYFQQAFLQQGLLDNIRLLFIDDIEEKFNMPDDEEEPKGYISEDYNRLKAQALQDLEYKFNQQTARILKRYDLPEHIRLDLQEQHYNNYKVPDNLRIKFINAVFNGNPRILDHKRELKVQARKEAEKFWIEAAATAKKAQALQDLEERYKQQFIKPSYDREDISEHMQEYFLRERKITDKAYLEYKNNVEDKFAIRNHEMQLKFLAWEKTQQFRIKMMADERAAKKVKALQDMEERYVQDYINKVERLDVPDYIKQGVIQEYKVPDGTRLRYINYIEEKFRMLDDQEERKVHIWENFKKLKIPLTIKSPITVAIMFSIGIMILFSGFLVPKMPKSLKIMCWATSIVICFAAVLSYDNESSKTTAPTEMHDLENPPSI >ORUFI09G19260.1 pep chromosome:OR_W1943:9:18747012:18747651:1 gene:ORUFI09G19260 transcript:ORUFI09G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAVVIGEEDLLESGGGLPLAVTVRARSRYRMVGSLVRLSYRHDAQCVVRLRRTPRRSNAIDASGARWERRSGGRRCGGGDDAGAGEQGGGGCGEMSMVRYWRRSSVGVGRGSTTADDGHGIASRPHVGASAGVARPCEISPTAVMNYNAPRAEGRCGGGGVTDGCRRGGPGEAAEEGEIEDDGAVLTACPASPSRERS >ORUFI09G19270.1 pep chromosome:OR_W1943:9:18747670:18749155:1 gene:ORUFI09G19270 transcript:ORUFI09G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLAILACRADVDAFLASLGVDPGELAGLELPATVDVMRERVEFLQSLGLSNEGLAAYPLALGCSVRKNMVPVLDYLGKLGVRQDALPDLLRRYPQVLHASVVVDLAPVVKYLQVMDVRPHEVPRVLERVEFLHSLGLSARCI >ORUFI09G19280.1 pep chromosome:OR_W1943:9:18750977:18753999:1 gene:ORUFI09G19280 transcript:ORUFI09G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDGVVMPSPAPTSPPSPPPPPPPPPRPSAPAATGGVAGRVGDSPSSPLESGPLLPASKSELLRLPHLPDAGSRRRPRLAVDMSDAAGTNGRVIPFRGFSGRPRLSCHSASRKPAAAEGPPSPTPPSPGRGKGRHRRCQLAVAALLAASEPLHLPSLPNAALARGRGHHRRRQLAVAALLGGSGALHLAASEPLNLAASELLHLPSLPNAVAILGVKARIDKVHTQVEKDEEELEKLRLVERQAMSRADKIRRDAEIAKANANEFEKKANQLQIIADIETEREQSAKKKEQAAHDRLRDASTARIVAIDHTKCINGRSKDIEDWTEAIEERQKRLEEEKNRCKRLISIFWALGIVHFCLFWVKFGLKKHEKELGSSVGWIEGFCYVLVLSLFVFCKSFIDTRLKFKPDRRAEWASVTLHALSRFIFEGILNTAMGECTGCTVALVVAHLCAFGVEVIGAMIFQLKFKIGSSFESFDVCMEVGRKLLKGSTVDHALYLKVDWMHIYYSTLAPNNVHAVYACISEFRNDDLQSASYFFG >ORUFI09G19290.1 pep chromosome:OR_W1943:9:18756672:18759282:1 gene:ORUFI09G19290 transcript:ORUFI09G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKVAVAFLACAALYLAFAAYSRRESLGEVRLPAVTNRVYLDVEIDGQHIGRIVIGLYGDVVPKTVANFRALCTGEEGIGHKGKSLHYKGSRFHRIIPGFMIQGGDIVRGDGKGSVIAMANSGPDSNGSQFYITTIKTSWLDGEHVVFGRVIQGMDYVYAIEGGAGTYNGKPRKKVVITDSGEIPKEKWAEEV >ORUFI09G19300.1 pep chromosome:OR_W1943:9:18761159:18762828:-1 gene:ORUFI09G19300 transcript:ORUFI09G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRKFLLCLILGLLATSGPAKTVNADSPFDFYYLILMWPGAYCTDSEYGCCVPKYGYPSEDFFVKSFMTFDSSENTAVVRCNSDNPFDINKLDSIENNLNHYWSNIKCPRTDGVNSWKSEWNSYGVCSGLKELDYFKAGLQLRKNADVLSALAEQGIKPDYQLYNTAFIKWAVNQKLGVTPGVQCRDGPFGKKQLYEIYLCVDKDAKSFIDCPVLPNLSCPAEVLFHPFHTWMLNTTSAANIVMPTETVLA >ORUFI09G19310.1 pep chromosome:OR_W1943:9:18767362:18767586:1 gene:ORUFI09G19310 transcript:ORUFI09G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVQEFMDRDSLLVFGEGEYGVTDMFYAAARGGNAEVFGLLLDHAMSPTCSTNCPNGEGAAAVVAAPRCSGWK >ORUFI09G19320.1 pep chromosome:OR_W1943:9:18771107:18773076:-1 gene:ORUFI09G19320 transcript:ORUFI09G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRIALLCLLGLLLVAASPAAIAAKDDKIFYQITFMWPGAYCAQTKAGCCMPKTDVAPASDFYVAGFTVYNATTNSSLSSCSNTPFDMNQVPQQERPEGLEERVVHFDTALALRDKINPLSRLVSNGIKPDFGLYSVKKIKEVIEEGIGAPALIQCSKGPFNKFQLYQIYVCVAEDAKTFVECPSPRKPYTCGDDILFHPFKKWMLKTNSTKSYAAADAIDQLLEAVMEI >ORUFI09G19330.1 pep chromosome:OR_W1943:9:18777345:18779896:1 gene:ORUFI09G19330 transcript:ORUFI09G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGSDTVVLGVEKKSTPKLQDSRSVRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDAVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTDKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLEVVESGGKNIEIAVMTQKDGLRQLEEAEIDEYVAEIETEKAAAEAAKKGAPKET >ORUFI09G19340.1 pep chromosome:OR_W1943:9:18780167:18780968:1 gene:ORUFI09G19340 transcript:ORUFI09G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKVQLFLCLLLLLFMPIFFAPSCGNKEVCEEWTSDMYRMLFLCRSTRCNQYCVSEGATRGRCGFSSDPSATALKNATECLNFVQACNRN >ORUFI09G19350.1 pep chromosome:OR_W1943:9:18795440:18796352:-1 gene:ORUFI09G19350 transcript:ORUFI09G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDDRLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLSRGIDPVTHRPINDSASNITISFEAAAAAARDDKAAVFRREDHPHQPKAVTVAQEQQAAADWGHGKPLKCPDLNLDLCISLPSQEEPMMMKPVKRETGVCFSCSLGLPKSTDCKCSSFLGLRTAMLDFRSLEMK >ORUFI09G19360.1 pep chromosome:OR_W1943:9:18799517:18802792:-1 gene:ORUFI09G19360 transcript:ORUFI09G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGPVWCLTKCRSAARHGEVGASSLYAPIILGSGQQAMSASYASTAAGLMGVWVESGCLSSCGANETNTTPNPLLANAWKKNAKDW >ORUFI09G19370.1 pep chromosome:OR_W1943:9:18803185:18805946:1 gene:ORUFI09G19370 transcript:ORUFI09G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSRAQAAPPPPPPLSSAAAASSSSVLGSTATMMQQQQQQETWLAAAAAVGLRPTKSAPCSPIKPAESSASAAAAAAMLRTNSDSFHVAHKVPVGDTPYVRAKRVQLVDKDPEKAIALFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQISLLKHKLQLIHQGHAFNGKRTKTARSQGRKFQVTLEQEATRLLGNLGWALMQKENYTEAEGAYRRALLIGPDNNKMCNLGICLMKQGRVLEAKDVLKQVRPAGVDGLRGADSHLKAYERAQEMLRDLEAKLVGRRLPRAGDQLVDKSWLFDALLLGSSSSIWQPQPCIDHMLPPPPPPPRDQFADENAAAAAANKKAAAAALQPNILRVDAQPFYSLRMPPLATKPQNIQQKPPTPQPQVHDPMGNLKRTRSGNAMDKAAAAAAGPVEKEPINDENSGRRKSLSAEERWPELPDHSAFDEALVAAVLAPVLDDSAAAAAERNDNCCKPAPPAGCDTSPAMKEKIGKRLRIFQDITQTLNTF >ORUFI09G19380.1 pep chromosome:OR_W1943:9:18805565:18810649:-1 gene:ORUFI09G19380 transcript:ORUFI09G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVDAEYLRQVEGARRDLRALIASKGCAPIMLRLAWHDAGTYDAKTKTRGANGSIRHEEEYTHGSNAGLKIAIDLLEPIKRKHPNITYADLYQFAREKDVFLMRRKGKAHPERSGFDGAWTKEPLKFDNSYFLELLREESEGLLKLPTDRALLEDPEFRRFVDHYAKDEDAFFKDYAESHKKLSELGFAPRSSAKSDGSTAVATLAQSAFGVAVAAAVVIAGYLYESSKKTK >ORUFI09G19390.1 pep chromosome:OR_W1943:9:18815051:18818926:1 gene:ORUFI09G19390 transcript:ORUFI09G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCQSRLERQEAVSRCKARRRYTKQLVQARRDMAAAHALSPAPRRTTRTRTTTSPRRRXPLSPTPTTTSWTTNSSSISASPILPPPPPPPMPSSWDFWDPFAPSSSRSATEDAEWDDAATTIVDAPNAPPVVTVAAAAAPPPSVVTATTTTSTASELTVVAVPRGGGGAGKKDLAEIATELDEYFLKAADAGARVLNYGKNLRPMGWSWGGSGYSKGSNGFSRFGRGDEGMGNGGSSGILSHSSTVEKLYAWEKKLFLEVKSYEGLKQEHDKKIGLLRKQEVKGVDYLKMEKNKMEIESLDSKMLVATQSIETTTSEIMRLRESELFPQLLELVAGLMSMWRGMYECHQVQTHMVQQLEYLNNSLSTNPTSNVHRQAALQLEIEVDRWYSAFCSLVKSQRDYVYSLTGWLRLSLFQSYHDPYNKAHQNSDIYSLCEEWQLAIDRIPDKVASEGIKTLLTVIHAVVVQQAEEQKQKKRSESAFKELEKKAEELRSLESKYGPYSGAEGYGDMSRKSPVSDKRAKVEALRCRADEEKSKYEKSIGVTRAMTLNNLQTGFPNVFQAMTGFASVCMEAFESVYNFKSSDRILDSKRLLT >ORUFI09G19400.1 pep chromosome:OR_W1943:9:18819668:18823381:-1 gene:ORUFI09G19400 transcript:ORUFI09G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISTVLGFSGFGFGFSAGIVIGYYFFIYFQPTDVKDVKVRPLVEYDSISLDGILPEIPLWVKNPDYDRIDWLNRFLEMMWPYLNKAICRTALDIAKPIIEENRKTYKLESIEFESLTLGSLPPTFQGMKVYVTEEQELIMEPSLKWAANPNVTVVVKAYGLKATVQIVDLQVFASPRITLKPLVPTFPCFAKILVSLMEKPHVDFGLKLFGADLMAIPGLYRFVQETIKKQVASMYLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLRKKDLLGKSDPYVKLKMSDDKLPSKKTTVKRSNLNPEWNEDFKFVVTDPETQALEINVFDWEQVGKHEKMGMNNILLKELPADETKVMTVNLLKTMDPNDVQNEKSRGQLTLEVTYKPFKEEDMEKEGIDNADVVEKAPDGTPAGGGLLYVVVHEAQDLEGKHHTNPYAKIIFKGEEKKTKVIKKNRDPRWEDEFEFVCEEPPVNDKLHIEVLSKASKKGLIHGKETLGYIDISLADVISNKRINEKYHLIDSKNGQIQIEMQWRTS >ORUFI09G19410.1 pep chromosome:OR_W1943:9:18823426:18828822:-1 gene:ORUFI09G19410 transcript:ORUFI09G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSCIAPTSGACCCTAISSASLNTLRQAAVDLDAATAAAAAARALSAVCAASALAARRLSGIDPAKSFLLLAPQAPLDPGEREKERGGSESGSRRQRRSSPAARTLLLYRPRATPSPQPVCSSSPRCRLVGGCRRP >ORUFI09G19410.2 pep chromosome:OR_W1943:9:18823421:18828822:-1 gene:ORUFI09G19410 transcript:ORUFI09G19410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSCIAPTSGACCCTAISSASLNTLRQAAVDLDAATAAAAAARALSAVCAASALAARRLSGIDPAKSFLLLAPQALG >ORUFI09G19420.1 pep chromosome:OR_W1943:9:18830924:18831466:1 gene:ORUFI09G19420 transcript:ORUFI09G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAFKSLSSSAKSPSFMASNKHYHGVVNHQPPFPQPPATPPQPPLLPLPARPHAPPPQQHAAWPAPQRSKKPSHATATAAAAAAALGPKKTAPVPIPVQAAPSKKRAAAASQQEAAEWTTTTDSLYSVSPPPSCVPMPTSLLVGAAAGRKAATACAVEVAGGGGVDVGATDELRRLLRL >ORUFI09G19430.1 pep chromosome:OR_W1943:9:18832271:18835322:-1 gene:ORUFI09G19430 transcript:ORUFI09G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSLLAAASSSSRAAAVSARRAPSASPAAAASLPSPSRASCAPPLRASAARTLRSRVVASAAPAMQPPPASRVSTVVDVDLGDRSYPIYIGAGLLDEPDLLQRHVHGKRVLVVTNTTVAPLYLEKVTWALTHNNPNVSVESVILPDGEKYKDMGTLMKVFDKAVESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTLMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTETLNTLPDRELASGIAEVVKYGLIRDAPFFEWQEKNMPALLAREPSALAYAIKRSCENKAEVVAQDEKESGLRATLNLGHTFGHAIETGTGYGAWLHGEAVAAGTVMAADMSHRLGWIDESIKKRAIDILEKAKLPITPPEAMTVEKFKSIMAVDKKVADGLLRLILLKGPLGSCVFTGDYDRNALDETLRAFCDC >ORUFI09G19440.1 pep chromosome:OR_W1943:9:18836231:18842106:1 gene:ORUFI09G19440 transcript:ORUFI09G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAAAVAAALLAAALLLPGAAAEWTLTKKGTVVSYDERSLMIDGKRDLFFSGAIHYPRSPPEMWDKLVKTAKMGGLNTIETYVFWNGHEPEPGKYYFEGRFDLIRFLNVIKDNDMYAIVRIGPFIQAEWNHGGLPYWLREIGHIIFRANNEPFKREMEKFVRFIVQKLKDAEMFAPQGGPIILSQIENEYGNIKKDRKVEGDKYLEWAAEMAISTGIGVPWVMCKQSIAPGEVIPTCNGRHCGDTWTLLDKNKPRLWTENWTAQFRTFGDQLAQRSAEDIAYAVLRFFAKGGTLVNYYMYHGGTNFGRTGASYVLTGYYDEAPMDEYGMCKEPKFGHLRDLHNVIKSYHKAFLWGKQSFEILGHGYEAHNYELPEDKLCLSFLSNNNTGEDGTVVFRGEKFYVPSRSVSILADCKTVVYNTKRVFVQHSERSFHTTDETSKNNVWEMYSEAIPKFRKTKVRTKQPLEQYNQTKDTSDYLWYTTSFRLESDDLPFRRDIRPVIQIKSTAHAMIGFANDAFVGTGRGSKREKSFVFEKPMDLRVGINHIAMLSSSMGMKDSGGELVEVKGGIQDCVVQGLNTGTLDLQGNGWGHKARLEGEDKEIYTEKGMAQFQWKPAENDLPITWYKRYFDEPDGDDPIVVDMSSMSKGMIYVNGEGIGRYWTSFITLAGHPSQSVYHIPRAFLKPKGNLLIIFEEELGKPGGILIQTVRRDDICVFISEHNPAQIKTWESDGGQIKLIAEDTSTRGTLNCPPKRTIQEVVFASFGNPEGACGNFTAGTCHTPDAKAIVEKECLGKESCVLPVVNTVYGADINCPATTATLAVQVRCKVSGAQAA >ORUFI09G19450.1 pep chromosome:OR_W1943:9:18845811:18848965:1 gene:ORUFI09G19450 transcript:ORUFI09G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAADDLDLLLSLDADGGEAVLETPPSSPRRDAATAAAFTPPRAVRPGGTDMSVFRDAVKDYLDATPAAVATSLPKGKRPPKSTETIVDAHSGLRIRSLTASPLEITNRFADIRFVRISAIRNLAGGDSFSGCWATAGVVLDKGAPRVSAQGKEYSIWKMGALDDADVSVFLFGDAHAHHSGAAVGAVFALFNGNVRMDNGGRGFSVSVASVGQMMKMGVSADFGICKGKRKDGMGCTMAINKRKGSYCKFHSSKSSQKYSTGRVELKGGNFKFASKLRSEGIYMVNPLERSNSRNPLQPVKVMSIDGLKRALSNADRVTAKSQSQGIRFLSHVTGNIESNLSSNGSTNPQTSRFSSNKRSTSCSTKSMPKPGLQKQEQDNKKMKMTCPPKKTIELDDVSSDDEISIVLRR >ORUFI09G19460.1 pep chromosome:OR_W1943:9:18849515:18851773:-1 gene:ORUFI09G19460 transcript:ORUFI09G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAETKAMITLRSCEGQVFEVAEAVAMESQTIRHMIEDKCADTGIPLPNVSAKILSKVMAAAAADGDAPAPAAVEANKAVEDELKTFDAEFVKVDQSTLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >ORUFI09G19470.1 pep chromosome:OR_W1943:9:18857671:18858270:-1 gene:ORUFI09G19470 transcript:ORUFI09G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKKARREEELVEAALAAAAAALFVSGVKKLVPAVLVARWWPAAMLATAPSPVLFLLLNVIIASIVVVAAPAAAAEHDAAKRCGEGAKKVKRRRSKRREESAEGSTLTTLAAVVPVAAADSCCMALAVVDDGADQTLPPTTSTAPETQQEEADGNAAAEEVNKRAEEFISAFRRHLRVDSFSSGSRRAGGARIEACF >ORUFI09G19480.1 pep chromosome:OR_W1943:9:18860148:18862407:-1 gene:ORUFI09G19480 transcript:ORUFI09G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTTTAAGSAVAFARPAKAINVSSVSFAGLRKNNVAFTLQPVTQRFAVLRAAKKETVEKVCDIVKKQLVLPEGTDVTGASKFTDLGADSLDTVEIVMGLEEAFKISVDESSAQSIATVEDAAELIDKIVSNAK >ORUFI09G19490.1 pep chromosome:OR_W1943:9:18865841:18867568:-1 gene:ORUFI09G19490 transcript:ORUFI09G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPLLPSFLFPLSLSLISPSFSLLSFTGWHSREDRRRQGGRGRRRGRRAAPLPPAGARRCLASSPADWCAPLSPLPVAGARCCLALSPTGRRAGIPASRHDLAHAAGIQSRRLPDLEKSKAGGSGTFSSPSCLALASHRKNPEKIVKPTKKKKKILKTTTPTSSLCGAKDSDLVIPSRSVVGAWCRRPLPTASSCLPRRWFHGSDGSVGGRCDVNAVNIATSSGRKALYPTSAV >ORUFI09G19500.1 pep chromosome:OR_W1943:9:18869499:18869865:1 gene:ORUFI09G19500 transcript:ORUFI09G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLIFLLLSLLHPTHSQPQGTNKPRRSQPTNQPTNHRRRVGRPRPVLLRERPAPHHRVPPCVRVVGAADRADAFASTRDDTRVPTSPERDEEAEKAKKPPRSHAALPPRPGQLAEK >ORUFI09G19510.1 pep chromosome:OR_W1943:9:18872418:18873836:-1 gene:ORUFI09G19510 transcript:ORUFI09G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDGQLLGRMEQGISDLWASPAARGDGGGDDEAFTIVRLPSHVHAQNKSLYEPRVVSVGPYDLGSGSTRAMQGHKWRFLRDFLLQNAGDGGGGGGGGGHLDACLREARAVEARARRCYGEPLEMGSDEFVQMVNQIPFFVVAKLFNLAGGGGGAAAMGDDDDDAVDQRLLDLIYKFFSLHEPLCQVPAPSQLTVHHLLHLQYQRMVMPPERRSTTSRLSSRQSASPCNKYSIAGGATAATPLAIPCVTELQEFGVAFREKASPVSQFDVTFRGGTMEIPRLALSSGARILLANLLALEQTTGDWEGEGIVTSYLVLMNALVNTGADVAVLQRRGVLDNMLSNEEAAAAFFNRFGGCALFDPRGHHYARLFADANEYRNHRWNRYIAVLKRDHLRTPCSIISLLAAATLLCISVMSAGFIICHYRHACS >ORUFI09G19520.1 pep chromosome:OR_W1943:9:18876939:18878637:-1 gene:ORUFI09G19520 transcript:ORUFI09G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSVKLLLLVVFCVSPWQVAATTTANGTGGGGRPRVPAVLVFGDSIVDTGNNNAVLTLTRSNFRPYGKDLNGGEPTGRFSNGRIPPDFLASRLGLKDLVPAYLGTDLTDGDLLTGVSFASAGSGYDPLTSTLVAVLPMQEQLNMFAEYKEKLAGIAGEAAAARIVSESLFLVCAGSDDIANNYYLAPVRPLQFDISSYVDFLANLASDFVKNLFMFSLFVLADVGSGTQQLHRQGARRIAVLGMPPIGCVPSQRRSVAVDAAGGGRECDAAQNRAARLFNAKLEQEIGCLRETLQLQSIGYVDIYGVLDDMIADPGKYGFDVSTRGCCGTGEFEVTLLCNQLTATTCADDRKFVFWDSFHPTERAYSIMVDYLYQRYVDKLL >ORUFI09G19530.1 pep chromosome:OR_W1943:9:18881125:18882369:-1 gene:ORUFI09G19530 transcript:ORUFI09G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSHHPSPARLGLTASSPSLPPNPAAGNPTSSPPQGNPSAAAAVGAAAAAAPTLTTSPSLLPLLPPLPRAQALLQLISALASNLFELSPSRAAWISAYRGSLPTFLPSPSSAPPPPLPAPISSTKEALSLLNTLQTQLFEAVAELQETLDLQDARARLAREARAKDASILAFAKKLREAHHVLDRLVDDYADYRRDPKRPRGAAAADDPEPVSDGDFGASLHSKLNLDDVLTYAHRISYTTFAPPEHGAGLPLRGALPPAPQENEMRMSQLYQFADLDVGVPKSQEAKERTAAEGDATPLFQPSPTQEAAVLPITVPHPHGWRNGALPLEIPLPPPGWKPGDPITLPPDGILAGVKGEEPRASVQQMPVVVPAMVPKAQEPIQVRHVDLDINNSSSSDEYSSDVGSSEEDDED >ORUFI09G19540.1 pep chromosome:OR_W1943:9:18882544:18886774:1 gene:ORUFI09G19540 transcript:ORUFI09G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSSTAARSSAAEMEEHQNWKKNAPVLYDLVISQPLEWPSLTVQWLPSHSRSPGSARSHRLVLGTHTSDETPNHLLLADAALPLPPRLAAAAAAAGGAVPAPSVSISRSVPHKGEVNRARCMPQRPYTVATKTCVDEVHVYHLGDGGEKGGADVVLRGHEAEGYGLAWSPMKEGLLLSGSYDKKICLWDLAAGSGASSLDAHHVFEAHDDVVEDVAWHLKDENLFGSAGDDCKLMMWDLRTNKPGQSIVAHQKEVNSLSFNPFNEWILASASGDATIKLFDLRKLSRSLHVFDSHEGEVFQVEWNPNLETVLASSAADKRVMIWDVSRIGDEQAEEDANDGPPELLFVHGGHTAKISELSWNPTQKWVMASVAEDNILQIWEMAESIYCDDNYLHDNDDDSCPAT >ORUFI09G19550.1 pep chromosome:OR_W1943:9:18898359:18899819:1 gene:ORUFI09G19550 transcript:ORUFI09G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDDEDMWANTSSPSASPPRPRGFISTALSLNSTHLQGLLPSSFVDAAASPCHASGNNNGGGDGRNAAPMSSIFFASASYHQQQHHLPAPAPLDGAILPARRFGLDMCAAAAAAPAGVPAAGDRRKRRMIKNRESAARSRARKQARVNNLETEVEQLKQENKMLRVKYEQLRKTVEVPVPVRRTLQRVLSAPF >ORUFI09G19560.1 pep chromosome:OR_W1943:9:18906185:18910961:-1 gene:ORUFI09G19560 transcript:ORUFI09G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAGGAAPTQLPPPPVAASPSPPPLAAAAAAAAIAGPEGDRAPPPQGNRRDPAGSAEGADPGNAAARKTAWNVPAPPLAAAAAAGAGVGVPGGGIMGGGPGSWPALAESAAARGSWPKSASSDSLKSLSDGSAPSASEDLIVPSVQPHPVANPISGGSNPTSSPPPPNATVVVTSEQNGNTDQSNPVRHSSGGHCAGSNSSRDGNTSDGGDGSWNDGGLGSGSGSNSSYGHGNSTNGAGGANMNNIIHSSGTSSSANDSSRRISGNNNWNNNGRSAGSNHNAAGSGDGSNRNLWNNNGRNGGGSSNGFVGRGGHRNRRDHERGGSFSPRNYPRHTPMPPQQQQPGIYQPGPFPRPPPPPPPGHFMVPQPFVPYVPHFAYPADVQGYPFYLPPMEQFQNMHLVRPQMQPLWVPQDQQNLQEDIRTQIEFYFSTNNLCHDTFLRRQMDDQGWVHIDVITKFNRMRRFTNLVDTNYILDAVRGSELVEVQGNTVRRRNNWAEWSWKQTVSMAYKKREEGQ >ORUFI09G19570.1 pep chromosome:OR_W1943:9:18916752:18919278:1 gene:ORUFI09G19570 transcript:ORUFI09G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEEVAVETVEGGAAAAKAPYWDPPPAPLLDTSELGKWSLYRALIAEFMATLIFLYVSIATVIGYKNQRATVDACTGVGYLGVAWSFGATIFVLVYCTGGVSGGHINPAVTLGLFFGRKLSLVRTVLYVVAQCLGAIAGAGIVKGIMKRPYDALGGGANTVSDGYSAAGALGAEIVGTFILVYTVFSATDPKRTARDSFIPVLVPLPIGFAVFVVHLATIPITGTGINPARSLGAAVLYNQHAAWKDHWIFWVGPVIGAFLAAAYHKLVLRGEAAKALSSFRSTSVTA >ORUFI09G19580.1 pep chromosome:OR_W1943:9:18920055:18920726:-1 gene:ORUFI09G19580 transcript:ORUFI09G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKAACLPSAAAVALLLLAAAAAAGFAGATEYTVGDSEGWTIGPSYLAWSTKYNFTAGDTLGQFVRSLAVDSLMISISSTSGSIRSPDVVASCAVFSYVQRQHDVLRVSQDAFRTCDPANQTVQRWASGRDVVELAAPGSYYFICNVSGHCLGGMKFSVAVGEPLPPPSPPPPPPRAPFLAPPPPPPVGSGAAAASSTWRRRRVALMVQVSCLALIIIGMWN >ORUFI09G19590.1 pep chromosome:OR_W1943:9:18924346:18924750:1 gene:ORUFI09G19590 transcript:ORUFI09G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCAPQQLACGLFGIGGVFTAAELAVADQLVQLSCSSGGDEAAASSSSSSSSTTSSPRSVNTCAATTAAGEEIEEFTGMAAEMELDRRARKRYRLLSELYAATAPKRAAAASSSSSSRKRKRDDESPEIAVSY >ORUFI09G19600.1 pep chromosome:OR_W1943:9:18929246:18929650:1 gene:ORUFI09G19600 transcript:ORUFI09G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLRREPRPPAPEEKEKAPAAWPAGWSFTPRELDAAEQLVLLSGSSTSTTGTTPSAAASGSSSTASSSRSVNAPPPPPTPTAAPPPLPRPAAAESTVVVREERREHPEEDWEQRPGRRYRLIAEIYAVTEEIE >ORUFI09G19610.1 pep chromosome:OR_W1943:9:18936411:18936866:1 gene:ORUFI09G19610 transcript:ORUFI09G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKMKAMVKRKMKAMGKKKPKVPMKKTKAQRKKQPKASTKMLKTPAPAPAPAVVGAFTACELFAAKRLVLLSGSNKSSSGGSRSAIFASSGSSVNAPPVIAQVMPRPSEDYLSDEELEDDSQEVPGIPRRTRLYRYIFEIYQVTQPMKK >ORUFI09G19620.1 pep chromosome:OR_W1943:9:18939446:18943619:-1 gene:ORUFI09G19620 transcript:ORUFI09G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAARMKELMRKYGKVAIGVHLSVSCASITGLYVAIDNNVDVDAIFRRIGISPSGGVAGDEAAETPTPSAAVPEEAPPRNRTRELVASSGGALALALMCNKALLPVRVPVTLALTPPVARFLARWKLICTRARPSGPTTDLTSTPTVVDSSSREFRGNNAPPSAVRRPPSPATDRPSTLAGNMIVCVAVVGHQNNPLYLQSFTEADDALKLHHVVHCSLDVIDERVNNPKRNAPALNETFLGLLYPTENYKVYGYLTNTKVKFIMVTTDLDVKDADARNFFRKFHAAYVDAVSNPFHVPGKKIASRSFGARVSTIVKSFGSGTTA >ORUFI09G19630.1 pep chromosome:OR_W1943:9:18945003:18947082:1 gene:ORUFI09G19630 transcript:ORUFI09G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASSLLPAPPASVAPELASFLPPQAKRGAVSLAATRRRGARIGVRAEVNESGSALAADAFAQVKHVLLPVTDRNPYLSEGTRQAAATSASLAKKYGANITVVVIDDKPKEEFPEHDTQMSSIRWHLSEGGFTEFGLMERLGEGKKPTAIIGEVADELELDLVVLSMEAIHSKHVDGNLLAEFIPCPVLLLPL >ORUFI09G19640.1 pep chromosome:OR_W1943:9:18947638:18950640:-1 gene:ORUFI09G19640 transcript:ORUFI09G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDVEMNDSQPQPAAAPPPAASTLQHLKEIASVIEAGSLTKEVRRISRAVRLTVALRRRLAARDVAAFLAFALPHSSEAFARLSSLLPKEDGCEMDVDSAAPAAQVSIKHGLPEIEIYCYLLVLIFLIDNKKYDEAKACASASITRLKNLNRRTVDVLASRVYTYYSYVHELTSSLAEIRGTLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTTARGFRVQCNKWAIIVRLLLGEIPERTVFMQKGMKAALAPYFELTNAVRVGDLELFRAVAEKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSENPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKALRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >ORUFI09G19650.1 pep chromosome:OR_W1943:9:18952572:18959355:-1 gene:ORUFI09G19650 transcript:ORUFI09G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGVVVVRAVLLLLAAVAAAEALSLDVHHRYSAAVRRWAAAAAPPHGTAEYYAALAGHDGLRRRSLGVGGGGGGAEFAFADGNDTYRLNDFGFLHYAVVALGTPNVTFLVALDTGSDLFWVPCDCLKCAPLQSPNYGSLKFDVYSPAQSTTSRKVPCSSNLCDLQNACRSKSNSCPYSIQYLSDNTSSSGVLVEDVLYLTSDSAQSKIVTAPIMFGCGQVQTGSFLGSAAPNGLLGLGMDSKSVPSLLASKGLAANSFSMCFGDDGHGRINFGDTGSSDQKETPLNVYKQNPYYNITITGITVGSKSISTEFSAIVDSGTSFTALSDPMYTQITSSFDAQIRSSRNMLDSSMPFEFCYSVSANGIVHPNVSLTAKGGSIFPVNDPIITITDNAFNPVGYCLAIMKSEGVNLIGENFMSGLKVVFDRERMVLGWKNFNCYNFDESSRLPVNPSPSAVPPKPGLGPSSYTPEAAKGALPNGTQLRRGGMDRYQRVEKPREEAPIKENEIRITTQGRMRNYITYATTLLQDKGSDEVVFKAMGRAINKTVMIAELIKRRIVGLHQNTTTGSTDITDMWEPLEEGLLPLETTRHVSMITITLSKKELDTISIGYQSPLPADKVKPLVEYENEEDAPSPAGRGRGRGGRGRGRGRGRGTRGNGYMDYADGGWEDDHAPPAYAGNGYTRGRGRGFRGRGRRGGGYGAQPDYQQDGGYYDEAPVHAPPRGGYMIC >ORUFI09G19660.1 pep chromosome:OR_W1943:9:18964053:18966482:-1 gene:ORUFI09G19660 transcript:ORUFI09G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRAPLLRRMLLLCAVAASCSYYLLVLHAQASVPPRYDGFAYGDAATAAWKDTILVEAFLDPLCPDSRDAWAPLRLAVDRYAPRVSLIVHPFPLPYHTNSFLACRALYIANKLNSSSTYPLLELFFKSQGKFYNAATSSLSSTVISGEMSKLAARVVGNSVSEFQSGFSDIRTDLAARVSFKYGCTRGVAGAPFFFVNGFLQPGGGSPIDYSTWVSILDPLAGQHGDRLEMFTSM >ORUFI09G19670.1 pep chromosome:OR_W1943:9:18976780:18980862:1 gene:ORUFI09G19670 transcript:ORUFI09G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAASRLAGGGGGGGCGDPVAVCRDRKRLIKAAADRRFALAGAHAAYAAALRSVADAVDVFVARHTAPAPILITLPTPTGSPPASPAPAPAPAALASVAQGEEEEEGKAEVDDGGGARTPDLGCPYYYAPPETATATPPPPPPAASAVGGWDFFNPFYGTEEVAAAAISDEEMRAVREREGIPELEEAEEEDDEGAKSAAAANAKTPKAAETSLGVTKQEEAKDVCEVASNNGGRGGGLEVAVSQPGRELLAALKEIEELFARAAEAGKEVTAMLEAASRVPELKENSSKIIHAITWHRSPSSVSSSYRSELGASSNSLSWTDKSETKSDIFDDYGGMKSGSHSQTLGRLYAWEKKLYEEVKAIDQIRQTYEKKCVQLRNQDAKGSELRCAEKTRTTVRDLYTRIWVSLRAAESISDRIQKLRDEELQPQLVELLQGLTRTWKIMVDSHETQRQIMFEVNSFTCPAYGKFCNDAQRHATLKLEAELRNWRSCFMIYVSAQKAYIEALDGWLSKFILTDTIRYSRGISSIAPDRSSAPPLVVICHDWYTTLSKFQNKRVAFTMRNFIRSVRVLWLKQGEEQQQKRKVDSLAKEMDKKISAYKRAENKVIETKLLEHRPEQDAKQRMEHLSEKKEMLNVLRKRVEAEKAKHHACMRDTHDVTLNGFKIGLASIFESLTEFSKDSVKLYEDLLTHAEPKGSEDATEKRPCVEGPYSQISVDAT >ORUFI09G19680.1 pep chromosome:OR_W1943:9:18981206:18982668:-1 gene:ORUFI09G19680 transcript:ORUFI09G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLATRLRRVAGKLARCLATCVFATAGMMLGAIAGVIAGFVSEDGLLQGTLIGAISGAFIAMEVVDSLAKIWCYEEYSIATRAHLMLNAVPSRHRRAEVSGDLTGRSYPVVMGMRLAAVDQLPVIKLTAAQTDATGACPICLHDFKAGEIARRLPACCHIFHLGCIDNWLLWHALCPMCRRPVN >ORUFI09G19690.1 pep chromosome:OR_W1943:9:18986106:18988629:-1 gene:ORUFI09G19690 transcript:ORUFI09G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAEEAVVVVRGAPPPPSSGKRRSTTLLHLFQLEKPDVVVGAMLLPPPSPEPEEDRLITKIESCSRVAERALYVWNNERFVAMACAAGPAAMEERILPAFVASMEANLERHWSRCVQQVTASVRALLDRVAPGAYARCAAGLAARLAEADADAAARRARWRRLELAADADADAK >ORUFI09G19700.1 pep chromosome:OR_W1943:9:18991867:18993498:-1 gene:ORUFI09G19700 transcript:ORUFI09G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLKPKRHLFPSSARHLRRLCDAAPTLAPPPEPEPEPELEPEPALAPSLTTAETKLLDALHAALVDHRRAHPAAPVPATAPSEPPLPELSSALSGLLASPPSPQLPLGLLRRLLALRRGVPLPEAVAFFHHVLPSLPPDSLPALYAAMIDLLAKHHHFPLARHLLDEMRERSVPISAQLILALIRRYVRAEMPSEASDLFRRMEEYGAGAPDPATLASLLGALSKKRLASEAQAMFDSYKSVFTPDVVLYTTLVHAWCRSGRLDEAERVFAEMQQAGVTPNVYTYTAVIDAMYRAGQVPRAQELLCQMIDSGCPPNTATFNAIMRAHVKAGRSEQVLQVHNQMRQLGCEPDIITYNFLMETHCGKGQSNLDAAMKMLTRMIAKGCIPDCHTFNPMLKLVLVLGNVNAARKLYERMQELQCKPNVVTYNLLMRLFNLEKSMDMVLRIKKDMDAQGVEPNVNTYAALIEAFCGRGNWKRAHMTLREMVEEKALKPTKPVYDMVLALLRKAGQLRRHEELVEMMVDRGFISRPANDALWRAISA >ORUFI09G19710.1 pep chromosome:OR_W1943:9:18996284:18997042:1 gene:ORUFI09G19710 transcript:ORUFI09G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKHRHARSRSFSGGAIVSFLKSTAASFTATTTTASAPPPPHGRSSFNHRNAFSGPIVSIVPPEARGGGGGSRREHRSGYRTPEPSSPKVSCIGQIKKANAKKVKASCKNGACPLPPRPPADAAAARRQKSSLVRRMLFRRSRSRKASSSSSRDGGFFKGRTAGRAGAAVAAAPAPAGLGQMKRFTSGRAAFEDFDWREAERMASDDDDDVLVAHSAPLVLGGGLVASEPRKEVNLWSRRPMAPPTPLRLP >ORUFI09G19720.1 pep chromosome:OR_W1943:9:19002487:19008391:1 gene:ORUFI09G19720 transcript:ORUFI09G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAGGGGESPPPAKPVLLHGDLDLWVVEARLLPNMDMFSEHVRRCFAACKPPTSCATARQPRHARGHHRRKIITSDPYVTLSVAGAVVARTRVIPNDQDPVWDERFAVPLAHYAAALEFHVKDNDTFGAQLIGTVTIPADRVASCQEVDDWFPIIGNNGRPYKPDTALRLRLRFNPAADNPLYRRGIPGDPDHQGIKDSYFPLRHGGRIELGEGDKVFDHNACWEDICHAILEAHHMIYIVGWSVYDKVRLVREPSPSRPLPEGGDLNLGELLKFKSQEGVRVCLLVWDDKTSHDKLFIKTGGVMATHDEETRKFFKHSSVICVLSPRLQVVGTLFTHHQKCVLVDTQAWGNKRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFDNDYHNPTFPSGAKGGPRQPWHDLHCRIDGPAAYDVLKNFEQRWRKATKWRERFRKVSHWKDDALIKLERISWILSPSPTIPNDHISLRVSKEEDPENWHVQVFRSIDSGSLKGFPSDCKEASKQNLICRKDLIIDKSIHTAYVQAIRSAQHFIYIENQYFLGSSYAWPSYVNSGADNLVPIELALKIASKIRAGERFAVYVVIPMWPEGVPTAASVQEILFFQAQTMEMMYRIIAQELKAMNIENAHPQDYLNFYCLGNREESSSSNGSPESNDKSAAALARKYRRFMIYVHAKGMIVDDEYVILGSANINQRSLAGSRDTEIAMGAYQPHHAWSTKGGHPRGQVYGYRTSLWAEHLGMVDDLFKDPSSLECVNYVNEIAEENWRRFTAEQIITLQGHLLKYPVKVEADGKVGPLPEHECFPDVGGKILGAPTSLPDTLTM >ORUFI09G19740.1 pep chromosome:OR_W1943:9:19020259:19021503:-1 gene:ORUFI09G19740 transcript:ORUFI09G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSPMQAVLMAPGVKDKKVLAFKRGKGKDADAGVTALIRDIVAGGARSAFHVFDLAKVVDLHRGWRRALPDVRPCYAVKCNPDGAMLAALAALGAGFDCASRAEIEAVLALGVRPATIVYANPCKPEAHLEYAAEVGVNLTTYDSEEEVAKVRRCHPRCELLLRIKAPDSGDAKVDLGLKYGANPDEVLPLLRAAQREGVAVAGVSFHVGSGASLADVYRGAIEAAREAFDAAAALGMPPMRVLDIGGGFMAGRTFDEAAAVINRALERHFGDLPCVEVIGEPGRYFAETAFTLAARVIGKRTRGELREYWIDDGLYGSLNCILMDHYVPRPRPLAAAAAGEDTTAATTHASTVFGPTCDSLDTVVTGYQLPEMSVGDWLVFDDMGAYTTAAGSNFNGFATSAIKIHLAYSS >ORUFI09G19750.1 pep chromosome:OR_W1943:9:19029292:19029693:-1 gene:ORUFI09G19750 transcript:ORUFI09G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSIGVSSPIGSTGASSPIGSTSFDDDSGADDDGTTSNRGARDGGAGAGGCRGARPDGRGGAGDGCRVGDDGRRCSAAAGRDAARRRRCGGRRRRCGTAAGGDAARRRVEMRRSGDVAALVLALERARRAD >ORUFI09G19760.1 pep chromosome:OR_W1943:9:19036192:19036734:-1 gene:ORUFI09G19760 transcript:ORUFI09G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARNGSVAGILGGVGGSQ >ORUFI09G19770.1 pep chromosome:OR_W1943:9:19046763:19048076:1 gene:ORUFI09G19770 transcript:ORUFI09G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSKLVKPAYNGGVAAAPDVEYIPLSIFDKVTYKMQMAIIYAFPPPAPSTAAIEKGLAAVLAQYRAFAGQLGESPDGEAAVVLNDRGARLVEAAVDADLVDMAPAKPTPELLRLHPDLEGELQEVVLLQLTRFRCGSLAVGFTSNHVVADGHATSNFLVAWGRATRGLPMGAPPVHHHAALFKPRPSPHVEHDHRNREYYLPAAGDDSHGHGDGGAADNIVIHKAHFTKDFIAGLRAAASEGRGRPFSRFETILAHLWRTMTRARGLSPDEASTIRLSVDGRHRLGAPAEYFGNLVLWAFPRATVGDLLTRPLKHAAQVIHDEVARVDGAYFRSFLDFALSGAGGDKEGLAPSAVLKDVLCPNAEVDSWLTFPFYELDFGTGSPTYFMPSYFPTEGMLFLVPSYLGDGSVDAFVPVFNHNLEAFKECCYSME >ORUFI09G19780.1 pep chromosome:OR_W1943:9:19059361:19060719:1 gene:ORUFI09G19780 transcript:ORUFI09G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSRLVRPSYPASAAAPEEEFVPSSMFDKVTYDMQMAIIYAFRPPGPSVADIEKGLAAVLGVYRLFAGQVVRGGGGELRGVVLNDHGARLVEACVDGNLADIAPAKPSPVVLRLHPSLEGEIEEVVQVQLTRFACGSLAVGFTANHAVADGHATSDFLVAWGRAARGLAVAATAAAPPHHHPGMFRPRDPPLVEFEHRGVEYYRPPPPAAGVDGDVGGDHKQQHGHGGEEASHGIVIHKAHFTKDFIARLRAAASEGRGRPFSRFETILAHVWRTMTRARGLGNPLQSSTIRISVDGRQRLSAPAGYFGNLVLWAFPRATVGDLLGRPLKHAAQVIHDAVARADAAYFRSFVDFASSGAVEGEGLAPTAVLKDVLCPDLEVDSWLTFPFYELDFGGGCPTYFMPSYFPTEGMLFLVPSYLGDGSVDAFVPVFDHNLEAFKQSCYSIE >ORUFI09G19790.1 pep chromosome:OR_W1943:9:19072369:19080719:1 gene:ORUFI09G19790 transcript:ORUFI09G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEAAESCGSHAAASAAGRRGGGGGGGGGATSSSSSASAGAAAAAAARKQQQQQRHKLEVYTEVLRRLHDSGVPEARREGFDDELWNHFNRLPARYAMDVNVERAEDVLTHKRLLEQAKDPAQRPAFAVRAVQVSPILDGNQTDADSNTAGEEVASRLLNRQQSIHPPPAFGSSTNLEALALEASKSQGQDHDSTSDNVNYRPMHEITFSTIDKPKLLSELTSLLGELGLNIQEAHAFSTNDGYSLDVFVVVGWHDEETEDLIESVRKEIGKIDETQGWSTTHSWSSPVENMQIGENSAADHVEIPRDGASEWEIDVKLLKFGNKVASGSYGDLYRGTYCSQDVAIKVLKPERINADMQREFAQEVYIMRKVRHKNVVQFIGACTKPPNLCIVTEYMSGGSVYDYLHKHKGVFKLPALLGVVMDVSKGMSYLHQNNIIHRDLKTANLLMDENGIPYEYLTPLQAAVGVVQKGLRPTIPKNAHAKLSELLQKCWQQEPAERPDFSEILETLQRIAEEVGDEHDGKHKEKILGGLFSALRGRGH >ORUFI09G19800.1 pep chromosome:OR_W1943:9:19081326:19097778:-1 gene:ORUFI09G19800 transcript:ORUFI09G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWILEQRMLAWAHHVVMQSEVEIQEAAVDELIQGTSFGMAKVCYENQLLLALGGKQQLAEHLKVALWMDSSFGMALLCYKNQLLLALEGKQELAEHLKGTSFGMAKVCYENQLLLALGGKQQLAEHLKVALWMDTSFGMAQLCYESQLLLAFEGKQQLAEHQKGTSFGRAKVCYENQLLLALGGKQQLADNLKIKNQQEEAALPEEPWETLRQYQEEEPILSETLCGRSGAKPCHEGKSLCCQC >ORUFI09G19810.1 pep chromosome:OR_W1943:9:19081356:19098803:1 gene:ORUFI09G19810 transcript:ORUFI09G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGTGAPIVKVYHEKSMILPDVSRVLTCLYEKDVKFEPCTTSYKSLLRLQASTHAPVPFYDGPTFLEESREICRYIAETYEHHGYPFLLGKDALERASIEQWLHHEEHAFNPPSRALFCHLAFPLDQEDDLEMQKAKLDEVLEVYEQRLSDSEFLAGNKFTLADLVHLPNSHYIKASGKFAYLYDSRKNVQRWWNAISTRHSWDQVLSYMTKVEQDNKLEELEKQKQQEWQREYHTATGRRTRLYSRKHTRTKSQTILVPPPDTVSASPMAPQGEQPPPADSLSEKASVFSSQSTTHKSIASPSKKTTSSTPSLGNFPSTADKPPRIHADMSSIRDVSVPPDTTGTEHPTRSMLSSSKEVGTYIEPTPQKPPASLDNFSESDGPISGASHSQISPRTAKEDSDRLHASDLYRSDRKSTGHSYEATYGKSPTRGATDSSPNKLHSTEAHQMLQAEKWHAVQAGLRNLQGDIDNSVPSRQVKPSKEVQQYPSQDSEQVSIRPVAQEPLSMDGQLVQGPEGTAQTPHTDQTMGVSSPHWQHAADARRISEDEASSDRRIKGAHWSKHAADEDAQAIPFQTARDDSQDTTQRARETDSVTRSTRDQDAHDSRQGIMTYDSAPSRLQPMDASHDAPLPSKKSTSQTPYTAAHIPTGYQGAQEFIQQGRERPKPRSVVPYDAQGSTEEEKMAESPPSKAQILGSRGLDVSPPKEVLNEDGYGATGPLQTRYGDDQDDWIQARDSTTPRHMNQDAIEETEMADSEPSKARTLDSQQAFPQKQPPAEDLRFAASTSNRRYFDDQDSTKKSKHTASIPRRMEAQGSLEETKAGESGLPREQPFLQAQAKDARSLTPPSQEGYAGPQAVSKQRGDPGSISRRIRAQDARVTFVESKADDSTFTREQPSDAWQATGPLPEHKVYDAQSSTSPFQDATMKSEDTTPQQRRGQGAKETKLSDSASLQVQPSDYQRSDSHFQNQEEIEDPHGAISPHKRSYTDVEDMTKHPGDKILGEDAQDVSEETKALDSTIVRGRAHPQDTHQAAIPPRRQAATKDALGVTPHFPTRYPTAEDTSRQLRRTASTPTEKAVQDGRDAFREWKSVDSTSSREQPSDVQRAEASFPKQEEADSHSTTVPFQRRYPDIEDTTKEPIDKPKEMVGEDAQAGFEETKALDAATEPRDTPRAAITPSKQVIAKDALSVTPLPPTRYPTAEDTSRQPKRTGSTPTEKAVQDGRDAFRESKSVDSTSSTQQPSGYRRAAASLPKQEAADSRSTPLPFQRRYPDVEHTTKEPRDKPKESVGEDAQDGFDETKALDSAIIRGRAQPQETPRAAITPSKQEAAKDALSGTPLSPTRYQTAEETVGEDSQDGFEETKAFDSAIFRGRAQPQDTRRSAITPPKLEEAKDALGATPHPPTRYPTAEDTSRQPTRTASTPIEKAVPDGRDAFRELKIVDSTSSREQPSDVRRAAASLRKQEAADSHSTTVPFQRRYPDIEDTTKEPRDKPKEKVSEEAQDGFEETKDLDSSILRGRAQSQGTQRAAITPPKLDAAKDAPGATPLPPTRYPTGEDASRQPRRTASTPTEKAVRGGRDDFTESKSVDSTPSREQPSDVRRAAASLPKQEAADSRSTPLPFQRRYPDVEHTTKEPRDKPKESVGEDAQDSFEETKALDSSMFRGRAQPQDTRPSAITPSKLEDVRDALGATPLPPRRYPTAEDTSRQPTRTASTPIEKAVPDGRDAIRELKIVDSTSSREQPSDVRRAPASLPKQEAADSYSTTVPFQRSYPDNEDTKEPRDKPKEKVSGEAQDGFDETKALDSSILRGRAQPQDTQRAAITPPKLDAAKDAPGATPLPPTRYPTGEDASRQPRRTASTPTEKAVRGGRDDSTESRSVDSTPSREQPSDVRRAAASLPKQEAADSRSTPLPFQRRYPDTRDTTKESRDKLKEAVGEDGQDGFEETKALDSAIIGGRAQPQETPRAAVTPSKLEGAKDALGVTPIAPTRYPTAEDTSKQSTRTASTPSEKAVPYGRDAFIESKSVDSTSSREQPSDVRRAAASLPKQEAADSRSTTMPFQRRYRDIEDTTKEPREPRDKPKETVGQDVQDTYEERVTTDSVLFTKQPSDMPRAAITPSKKAANDDAPSVSPPLPTRYPSAEDTSKQSIRPQDSRDVFREQKAVDSTSSPGPSDSLRASASLPNQEVDGTRRTTVPFQKREPELQNSTKPVNDSISTSWEMTAQDTQDTFEETKVPDSAAFSMPEVDSQRTDAEAQAEAQDTRDGGSRSRWWHASKTLPDGTPISGDDVTGLSPGDQMPTRMDQDAIPSTQIANGITERSIKQTAEPPAPVAPQTIFHQQARPSAPITKEVQASDNQGAISKIQQVSPDNHPTDYSAVPRVAAQEQVSHAPQTSPGREGITPAQREMDSPISDALPASAKVQEPAPDKSTMPFVSSVKQGSHVGHDVEPHEGPLPDTYGAVVDKETTKSLSWQDRSLEASPDSTPTHGYVHPTSRDEPAILPGQGAPQEPTHPDALDSASTRDVTADSLVGPKKFKQRSTDQEDISFASNQTSGMGAQPYSSLDKVARAEQKSDLSDQDPSHSAQETVLAAAERTKALPKPIDQQETPDSRKPPTPDTQYASQIIPSQEKVAPDVPSQEKVSQAELSLKPHEGSTPHMHGSIVDEKKTRPLASPTKSSNDVLDLTPAGADVHPTSSTEPPRSALPVQAQTPSATQTPPPFASHKSVRTEDIRADANGKVKSVKPSASPDAPHATAPGEVALSEQKLASAGRDSSRAAQLPSSDEPRNEQIKDSDHTAQPFSSAAPDQAKDLQRTFGQPDISRAPGTSESPYSDVYDGARKVDPDYQLIDKTIPSQEQVSHPDLASKTNEGPTETPGPAPLMDVYDSISTQYIQDGDLDKDQDSANFAQKYSSSEPKEEESTVAAPDQTKEIQTTVGQQDILPAVSKEKDPSSGVQYDSMEVQEVAPKDQHIDGSIPSHEQAPNVEEPMTHITGPTSALDTQHDQDSYQYPELPSSAKSRKETDVAEADKTKAKQMTFGQPVPVPSDATRSVTDQGAQQPQRPAKIESPPFLETIKNYEYTQNVSDETLDKNKSSGKPSADQEVMSPKVVPATFLDPQRVTVPDDEQKIAKPDLTRSAQPPFSAEPTKRKTVVGASDQAKASETIVDREGMMTAPYREKSLCPDTQHALRNVLEMSPSDNLTDNPFAGQEHGSFSEGSTTDSRDAITDESATTSTSGSEKVLDASILTATHDDAHPPTVRNLPEHETQAPASTQSASVEASDKAKSTDQEDMKPMASQASILDTQRGTNGDKPIARFLHDQGAQSPELTHPQQPPESPPHSASQHDAPTDVLDKTKMTKLTSTNQEGMAPTAGSRSTLESQPDGTFAAEVVHDEQKSTLSDQESARATQPLSSVERSKEDTNVSAADQPEVPQTIFHQKARSSVPVTREVQFPDSLGAISKIQEVSPDNQSTDYSAVQPVPTKEQVLHAPQTSPDQEGITPAQGEKDSPIPDAQLVPHALQDKGSPRSTQPPPPIESSEKESQIAEDGQTVLLQSSVVQESTPSLAGPRESSSSDSPYPSAENQVSTPKEVEPLPPTTGMMDRVQPSSEATPGVVAGISATPGDNQPSPDRESVEVAEEGTDQQKADQASVQSPQDNMGQTKVHLALHNRLPLLNPVKKSLKLPKIVKPFYRKHPLFKNPHHLQQALESSHHIHQWKIKDQPLRRRNRFLLRQDNMDQVKETEEQDTGTGETYQATVQPPQDIKEQRDETEQQDTRTGEAYQDAPAPDAPQDKGLPRSTQPPPPIESSQKESEIAEDSQTLLPQASAVQESTPSSASPRDSLSLDSSYSLMENRGSVPNVGKPLPPSTDMMDRVQPSLGPSLEVSSDEKTTVPLDGLANNLSNVSPSVTASQVLGRSENGSGTGALSGETVPSNSQENSEGTPSEEISKQQPQTNMSSTKLSKDDNKEADGSANDTKPGDSEDNPSR >ORUFI09G19820.1 pep chromosome:OR_W1943:9:19099260:19101298:-1 gene:ORUFI09G19820 transcript:ORUFI09G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLLYPPPLLSHEEVANDRAAFMDTLRRFHSLMGTKFMIPVIGGKEMDLHALYVEVTSRGGLAKVMEERKWREVMARSSFPATTTSASYVLRRYYLSLLHHYEQVYFFRAHGALLRPAASALTKTPRRKMRGTSDQSPAAAEAGKRMALPERLGGEPCSFSVTGSIDGKFEHGYLVTVKIAAETLRGVLYRVAPPPPPPAAPPPPPPPARGRRRRGRRQRDPAQPRPNRSAYNFFFKEKHPELKATHPHREREYSRMIGDAWNRLAADDKMMYYYFPVGKLILELKLLVQFCVSLAALCLCSFQ >ORUFI09G19830.1 pep chromosome:OR_W1943:9:19103436:19106059:1 gene:ORUFI09G19830 transcript:ORUFI09G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVKETEEQDTGTGETYQASVQSPQDIKEQRDETEQQDTRTGEAYQDAPAPDAPQAFLILFGSHCEPLPSECCHPLPLLGQLSNSPGTDHGWFLVLGFQLET >ORUFI09G19840.1 pep chromosome:OR_W1943:9:19105369:19106020:-1 gene:ORUFI09G19840 transcript:ORUFI09G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISARRVAQLAKKWQRMAALGRKRLTVRAKQDQECCSSMAGKGHCAMYTADGSRFEAVALLSNSDASALYRCGIRVYQDTLYAKSNRQFYRDCRISGTVDFIFGDATTVFQHCDVVARLPLRGQQNTITAQSLATAASATGFSFQDCNIYADDDLLRGAPAGGVETYLGR >ORUFI09G19850.1 pep chromosome:OR_W1943:9:19107857:19109054:-1 gene:ORUFI09G19850 transcript:ORUFI09G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAANDTTPAKAAGPQLKLLVDKRSRRVLYAEARKDAVDFLIGLLRVPAGLAARVLASHGVAAPGSLATLYAAARALDDVFFLASPPSPGPDRRDAVLAPAVLPSAALPLLGERPPPPPPPPPKRYYRCNAYAMPCRSNPLNVTDTAGLACPGCRQPMTVEMKWAAGGGSKPAEEEEAAAGGEGGYVKEVVTYLVMDDLSIEPMSTISAVMLLKKFDVKDCSALDEMTVDLGPKECVKLLKASLESTTALTDVFSGGVSIDRLE >ORUFI09G19860.1 pep chromosome:OR_W1943:9:19109964:19113168:-1 gene:ORUFI09G19860 transcript:ORUFI09G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASEDDAGSERCCGSYSPSADVSESETSSDCSAPTTTTTTRRFASSSSRGVASSSSSSLLPTPPPSSAAAFFLSAKPAADLSEVDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLSATVFGELWRLEPMASARKAMWTREMDWLLSVADSIVELTPSIQELPDGGGQFEVMVPRPRSDLYMNLPALKKLDAMLLAMIDGFKETEFWYVDRGIVVDDSGGPFSSSSSSCGRPSVRQEEKWWLPCPRVLPKGLSEDARRKLQQDRDCANQILKAAMAINSDVLAEMEIPEVYLESLPKSGKSCLGEIIYRYITAEQFSPECLLDCLDLSSEHHTLEVANRIEAAIHVWRLKGQKKSTPQAKSKKSWGGKVKGLVGDTEKSHVLSQRADGLLQSLRLRYPGLPQTSLDMNKIQYNKDVGQSILESYSRVLESLAFNIIARIDDVIYVDDATKKSAAADSVSIFNRGIGVPVQKRISPSPFSIQHTPYASPFATPTFCSSTPVTGSPGRVQPPLNKDNLPTKQEVKVEKLFSGDIEKVWTYAGNLSARKDAGDAPERD >ORUFI09G19870.1 pep chromosome:OR_W1943:9:19121433:19126338:-1 gene:ORUFI09G19870 transcript:ORUFI09G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSGPPAAAVDPEAVLSHSFPEVSFAYDERDVALYALGVGACGADAVDEKELHLVYHRDGQPHIKALPTFASLFPFKNSNGLGIVDVPGLNFDASLLLHGQHYIEIYKPIPSRANVVNKTKIAGLHDKGKATILEIETTTHVKDSGEVLCMNRSTIYLRGAGGFSDPSRPYSYASYPTNQALLYRLSGDYNPLHSDPMVAQVAGFTRPILHGLSSLGFAIRAVIKSFCNGDPTAVKSIFGRFLLHVYPGETLVTEMWLQGQRVLYQTKVKERNRAVLSGYVLLKHIPSSLFDRKRWGRM >ORUFI09G19870.2 pep chromosome:OR_W1943:9:19121433:19126338:-1 gene:ORUFI09G19870 transcript:ORUFI09G19870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSGPPAAAVDPEAVLSHSFPEVSFAYDERDVALYALGVGACGADAVDEKELHLVYHRDGQPHIKALPTFASLFPFKNSNGLGIVDVPGLNFDASLLLHGQHYIEIYKPIPSRANVVNKTKIAGLHDKGKATILEIETTTHVKDSGEVLCMNRSTIYLRGAGGFSDPSRPYSYASYPTNQVSRISIPNSAPSAVYEDQTQQSQACCSFGMLFYALLYRLSGDYNPLHSDPMVAQVAGFTRPILHGLSSLGFAIRAVIKSFCNGDPTAVKSIFGRFLLHVYPGETLVTEMWLQGQRVLYQTKVKERNRAVLSGYVLLKHIPSSLFDRKRWGRM >ORUFI09G19870.3 pep chromosome:OR_W1943:9:19121731:19126338:-1 gene:ORUFI09G19870 transcript:ORUFI09G19870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSGPPAAAVDPEAVLSHSFPEVSFAYDERDVALYALGVGACGADAVDEKELHLVYHRDGQPHIKALPTFASLFPFKNSNGLGIVDVPGLNFDASLLLHGQHYIEIYKPIPSRANVVNKTKIAGLHDKGKATILEIETTTHVKDSGEVLCMNRSTIYLRGAGGFSDPSRPYSYASYPTNQALLYRLSGDYNPLHSDPMVAQVAGFTRPILHGLSSLGFAIRAVIKSFCNGDPTAVKSIFGRFLLHVYPGETLVTEMWLQGQRVLYQTKVKERNRAVLSGYVLLKHIPSSL >ORUFI09G19870.4 pep chromosome:OR_W1943:9:19121731:19126338:-1 gene:ORUFI09G19870 transcript:ORUFI09G19870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSGPPAAAVDPEAVLSHSFPEVSFAYDERDVALYALGVGACGADAVDEKELHLVYHRDGQPHIKALPTFASLFPFKNSNGLGIVDVPGLNFDASLLLHGQHYIEIYKPIPSRANVVNKTKIAGLHDKGKATILEIETTTHVKDSGEVLCMNRSTIYLRGAGGFSDPSRPYSYASYPTNQVSRISIPNSAPSAVYEDQTQQSQACCSFGMLFYALLYRLSGDYNPLHSDPMVAQVAGFTRPILHGLSSLGFAIRAVIKSFCNGDPTAVKSIFGRFLLHVYPGETLVTEMWLQGQRVLYQTKVKERNRAVLSGYVLLKHIPSSL >ORUFI09G19880.1 pep chromosome:OR_W1943:9:19127743:19130306:-1 gene:ORUFI09G19880 transcript:ORUFI09G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAVNCAVQNVSLDTLFLIVIQGAAVIVLGKFIHLSLRRHNLPSAISQIVAGVAVGSLGLHDMVVHVEVQNVEDTYGWYVSEARIFYMFYVGLDADLAALWNDAHRCTVVTYASVATCLLLAAFVSGGIYGSMMHTPVRSPELLSAVLMLTLANTASVDVSRMAAELDLTATGGGRLAVSTAIATNIICIVGEGVFSCMKLASSRTPGYSASERLGMGVLALLKVGVTMALLRPVAAYMNRRNAGRHRIGNWELVLLLVAVSFVGNFPEHAGFDGVPASLLLGLAFPREGPVARSVMDAIAYPLHALALPFYFGAMGMRINFGAMSGAIVVPAVLLTLLGLFGKCAGTMAAARYLKMPLPDALRLGVLLNIKGHVNMIDMSFASSEGIWAEQALMAMVVGSIISTVIAGPVFAVLFRKEKDAYACSDQALEHMPPDKELRMLACVHGARGAPAMLSLLELLATTPRAQPTIHVLHLFDASRKHVGPKRYHQRVQDSDKHIDRRIDDATQVNWAVDVFTSVTGLAIRQFDVGDRGAAMKNAKNIHRRLEEVRAGLLLLPYHKEQRYDGKMVCRRDDRCELNRKVLELAPCTVGVFADRPFWRGGASFRLPTKISTSEETTAARSQGDQKVGTQIAAVFLGGPDDREAVVFACRLAKNDGAIRLTVIRLVLSVATNDDHRIPTTSAADHIGIYDDDDGDGGEEEVLSVVVQDDDPDERCVSELRREYVAKERAEYVERAVSGAVDVAAALRATAGAFALVVVGRGGRQPPELVVGLEGWAQMIECPEVGPVGEMLASEESLEMGSVLVVQQRTAPPPPFHLNIPPAI >ORUFI09G19890.1 pep chromosome:OR_W1943:9:19137981:19138418:-1 gene:ORUFI09G19890 transcript:ORUFI09G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPKRLVHLAKKWQHMAALGRRRLTITGTTKEGNLRCSSAIADKGHCIIYTADGERFGVPLTYLSTTVFGELLRLSEDEFGFTGEEKITLPCEAAVMEYVMCLLRRKPSEEVEQAVVSSVVMPCNYKSSTSMVSVNLSQSLAIF >ORUFI09G19900.1 pep chromosome:OR_W1943:9:19138294:19141475:1 gene:ORUFI09G19900 transcript:ORUFI09G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQRRLPSLVVPVIVSLRLPRAAICCHFFARITAQRSELELQTVNCWCKATGGTPQLESWQDITTELRNALSTSSDAFLLSKHMTYSITTASQGSVILPSPANPNSSCDILRSSPKTVVLRYGNGTLNRLPSAVYTAQWPLSATGEFVQQASSSLAAVVLTLCSLLAS >ORUFI09G19910.1 pep chromosome:OR_W1943:9:19140007:19140423:-1 gene:ORUFI09G19910 transcript:ORUFI09G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKRLAQLARRLQRVKTTAAREDDACCTNSPVADKGHCAVYTADGRRFKVPLPYLRTTVFGELLRMSQEEFGFAGDGRITLPCDAVVMEYVMCLLRRNASEDVERAFLSSVVMSCQDSSCGVPPVALHQQFTVCSS >ORUFI09G19920.1 pep chromosome:OR_W1943:9:19144511:19147798:-1 gene:ORUFI09G19920 transcript:ORUFI09G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILDSNPPPAADAVVAADGSGNYTTIAAAVAAAPLKSTKRYVIYIKKGTYNELITIGQNTWNLTLVGDGMDATIITGNQSVGGGVSSTSKTGTVTVDGIGFVAIDLTIENTAGAENEQAVALLSNSDASALYRCXRGDLPRPAPIPDSPPFSRVVFMECAMSDVVDPKGWLPWEGRTDVSNVYYGEYKNTGDGADVSGRVTWTSFHVIQDASEAAKYTVENFIQGDKWIPGTGVYFEPSHSSMNTPSLTIVYHNPMHGWELFKSSSNQ >ORUFI09G19930.1 pep chromosome:OR_W1943:9:19149703:19157929:1 gene:ORUFI09G19930 transcript:ORUFI09G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGHQNRQERRRATMISAKRLAQMAKKWQRIAALGRKRITWTTPKATDECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFAELLRMSQEEFGFTTDGGITLPCDAEVMEYVLCLLRRNASEEVVRAFLSTIVKSCHYGNGFTQSLGLHTITFHQLIHKAKHCITPHSTSHQLKTQDQEPAMISARRVAQLAKKWQRMAALGRKRLTVRAKQDQECCSSMAGKGHCAMYTADGSRFEVPLVYLGTAVFSELLRMSQEEFGFSIDGRIMLPCDAAVMEYAMCLLRRNASVEVEKALLSSMVASCHYTGSMVPIYGINQQISCL >ORUFI09G19930.2 pep chromosome:OR_W1943:9:19148998:19156261:1 gene:ORUFI09G19930 transcript:ORUFI09G19930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGHQNRQERRRATMISAKRLAQMAKKWQRIAALGRKRITWTTPKATDECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFAELLRMSQEEFGFTTDGGITLPCDAEVMEYVLCLLRRNASEEVVRAFLSTIVKSCHYGNGFTQSLGSVQQVAASSF >ORUFI09G19930.3 pep chromosome:OR_W1943:9:19148998:19157929:1 gene:ORUFI09G19930 transcript:ORUFI09G19930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAKKLAQLAKKLQQRMVSAGGSGQKAVTADDCCSTASSSLAGKGHCAVYTADGARFEVPLPYLGTPLFGELLTMSREEFGFAGDDGRITLPCDASVMEYVMCLISRDASEEVERAFLSSMARPCRNVGVISHQFAVLFALLAPPPAAAATATRAPFPTLRPPPWRRPDGGDGRGVSVRGSVLAAASHRVDSRARTYPLLWQERRRATMISAKRLAQMAKKWQRIAALGRKRITWTTPKATDECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFAELLRMSQEEFGFTTDGGITLPCDAEVMEYVLCLLRRNASEEVVRAFLSTIVKSCHYGNGFTQSLGLHTITFHQLIHKAKHCITPHSTSHQLKTQDQEPAMISARRVAQLAKKWQRMAALGRKRLTVRAKQDQECCSSMAGKGHCAMYTADGSRFEVPLVYLGTAVFSELLRMSQEEFGFSIDGRIMLPCDAAVMEYAMCLLRRNASVEVEKALLSSMVASCHYTGSMVPIYGINQQISCL >ORUFI09G19930.4 pep chromosome:OR_W1943:9:19153983:19156261:1 gene:ORUFI09G19930 transcript:ORUFI09G19930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGHQNRQERRRATMISAKRLAQMAKKWQRIAALGRKRITWTTPKATDECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFAELLRMSQEEFGFTTDGGITLPCDAEVMEYVLCLLRRNASEEVVRAFLSTIVKSCHYGNGFTQSLGSVQQVAASSF >ORUFI09G19930.5 pep chromosome:OR_W1943:9:19148998:19153285:1 gene:ORUFI09G19930 transcript:ORUFI09G19930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAKKLAQLAKKLQQRMVSAGGSGQKAVTADDCCSTASSSLAGKGHCAVYTADGARFEVPLPYLGTPLFGELLTMSREEFGFAGDDGRITLPCDASVMEYVMCLISRDASEEVERAFLSSMARPCRNVGVISHQFAVLFALLAPPPAAAATATRAPFPTLRPPPWRRPDGGDGRGVSVRGSVLAAASHRVDSRARTYPLLWIR >ORUFI09G19930.6 pep chromosome:OR_W1943:9:19152029:19153165:1 gene:ORUFI09G19930 transcript:ORUFI09G19930.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAKKLAQLARKLQPKMVSARGGRHTASATDDCCSTSSLAGKGHCTVYTADGARFEVPLPYLGTMVFGELLMMSQEEFGFAGDDGRITLPCDASVMEYVLCLLRRDASEEVERAFLSSMARPCHNVRVFSHQLAVSL >ORUFI09G19940.1 pep chromosome:OR_W1943:9:19152052:19152387:-1 gene:ORUFI09G19940 transcript:ORUFI09G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNALSTSSEASLLSRHKTYSITDASHGNVILPSSSPKTIVPRYGSGTSNRAPSAVYTVQWPLPAREDVLQQSSVALAVCRPPLADTIFGCNFLAN >ORUFI09G19950.1 pep chromosome:OR_W1943:9:19154006:19154335:-1 gene:ORUFI09G19950 transcript:ORUFI09G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSALSTSSEASLLIKHITYSITEASQGNSSQKIVVLRYISGTSKRAPSVVYNTQWPLSATYAVAVLQHPSMLAVCRPLDATILCHFLPN >ORUFI09G19960.1 pep chromosome:OR_W1943:9:19154845:19157505:-1 gene:ORUFI09G19960 transcript:ORUFI09G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWSWVFSWKPDEMCYEECPDNFLGCISSKQAQDILHDLCITRQCDPSIGCEAKLLLRHPEELCKDRRGEERQRDLKPPPIGSVHDAMALHCHRTAAFIGCFGCRPCDPLPPEGCYSLPLLCHLSEPLGTDHGLRLAGAIIELGWSYWTTLINKT >ORUFI09G19970.1 pep chromosome:OR_W1943:9:19159140:19159577:-1 gene:ORUFI09G19970 transcript:ORUFI09G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKKKLAQLARKLQRIKTAASTREDDDAGCTSTSPSPVADKGHCAVYTSDGARFEVPLPYLGTTVFVELLRMSQEEFGFAGGDGRITLPCDAAAMEYVMCLLRRNASEEVERAFLSSVATMPCQNSGCTMPPVALHHQFAVCS >ORUFI09G19980.1 pep chromosome:OR_W1943:9:19160471:19170814:1 gene:ORUFI09G19980 transcript:ORUFI09G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVCCMHVLVVEFSTPDAIVTCLVDEGHEDPILIGFNMWWREWQFHPLLCNEIIADSISCGIEFSASSLGFPVGLQPAANSGSYKQEICWLTPTVGTILPVQWQRISIELSNASTTSTDGFLLSKHMAYSISAASQGNTILPSLVKPNSSWDILRSSLKTTVPRYANGTSNHLPSAVYMTQWPLTATEVAVALP >ORUFI09G19980.2 pep chromosome:OR_W1943:9:19160471:19170814:1 gene:ORUFI09G19980 transcript:ORUFI09G19980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAMFKPTGYWLGDLLKNRVQLQEKTYGSSSGSYKQEICWLTPPVGTIRLVQWQDIGIELSNAFTTSTEGFLLSKHMAYSISAASKGNTILPSLVKPNSSWDILRSSLKTAVPRYANGTSDRLPSAVYMTQWPLTATEGKPYGSSSGSYKQEICWLTPTVGTILPVQWQRISIELSNASTTSTDGFLLSKHMAYSISAASQGNTILPSLVKPNSSWDILRSSLKTTVPRYANGTSNHLPSAVYMTQWPLTATEVAVALP >ORUFI09G19980.3 pep chromosome:OR_W1943:9:19160471:19167066:1 gene:ORUFI09G19980 transcript:ORUFI09G19980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAMFKPTGYWLGDLLKNRVQLQEKTYGSSSGSYKQEICWLTPPVGTIRLVQWQDIGIELSNAFTTSTEGFLLSKHMAYSISAASKGNTILPSLVKPNSSWDILRSSLKTAVPRYANGTSDRLPSAVYMTQWPLTATEVEHSSVSWAFPMILFLPRAPRAAILCHFFAN >ORUFI09G19980.4 pep chromosome:OR_W1943:9:19161859:19172501:1 gene:ORUFI09G19980 transcript:ORUFI09G19980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVCCMHVLVVEFSTPDAIVTCLVDEGHEDPILIGFNMWWREWQFHPLLCNEIIADSISCGIEFSASSLGFPVGLQPAANSGVENLDEKSERKMEYSYAFEHVQAYWLLPSLKNCRTDCTDLL >ORUFI09G19980.5 pep chromosome:OR_W1943:9:19161859:19170433:1 gene:ORUFI09G19980 transcript:ORUFI09G19980.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVCCMHVLVVEFSTPDAIVTCLVDEGHEDPILIGFNMWWREWQFHPLLCNEIIADSISCGIEFSASSLGFPVGLQPAANSGVENLDEKSERKMEYSYAFEHVQAYWLLPSLKI >ORUFI09G19990.1 pep chromosome:OR_W1943:9:19160584:19169012:-1 gene:ORUFI09G19990 transcript:ORUFI09G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLVQLAKWQRMAGPWKEEDHGIMGKAQETEECSTSVAVKGHCVMYTADGRWFEVPLAYLGTVVFSELLRMSQEEFGFTSDGRIVLPCDAAEMEYAMCLLKRNPSVEVVDALLSSMLMRCHCTESETEHHTSYHSYSNFQLKKNYQSTMISAKRLIQLAKKWQRIAALGALGRKRIMGKAQETEECSTSVAVKGHCVMYTADGRRSEVPLAYLGTAVFSELLRMSQEEFGFTSDGRIVLPFDAAEMEYAMCLLKRNPSVEVVNALLSSIPMSCHCTSRMHTYSNFQLKNKTTSSRPTMISAKRLVQMAKKWQRMAALGRKRVMAAAQETEECSTSVAVKGHCVMYTADGRRFEVPLVYLGMRVFIELLRMSQEEFGFTSDGRIVLPCDAVEMEYAMCLLKRNASADVVNALLSSMLTSCRYTASALQKKESTMISTKRIAQLAKKWRRMAAKGRKRLTMMAPQEAEGCSTTVAGKGYCIVYTADGMRFEVPLRYLGTMVFGELLRMSQEEFGFTSDGKITLPCDAMVMEYVMCLLRRNASVDVEKAFLSSMAISCHYANTTAPSLGTVGIDVTPDSTGEPA >ORUFI09G19990.2 pep chromosome:OR_W1943:9:19161780:19169012:-1 gene:ORUFI09G19990 transcript:ORUFI09G19990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLVQLAKWQRMAGPWKEEDHGIMGKAQETEECSTSVAVKGHCVMYTADGRWFEVPLAYLGTVVFSELLRMSQEEFGFTSDGRIVLPCDAAEMEYAMCLLKRNPSVEVVDALLSSMLMRCHCTESETEHHTSYHSYSNFQLKKNYQSTMISAKRLIQLAKKWQRIAALGALGRKRIMGKAQETEECSTSVAVKGHCVMYTADGRRSEVPLAYLGTAVFSELLRMSQEEFGFTSDGRIVLPFDAAEMEYAMCLLKRNPSVEVVNALLSSIPMSCHCTSRMHTYSNFQLKNKTTSSRPTMISAKRLVQMAKKWQRMAALGRKRVMAAAQETEECSTSVAVKGHCVMYTADGRRFEVPLVYLGMRVFIELLRMSQEEFGFTSDGRIVLPCDAVEMEYAMCLLKRNASADVVNALLSSMLTSCRYTASALQKKESTMISTKRIAQLAKKWRRMAAKGRKRLTMMAPQEAEGCSTTVAGKGYCIVYTADGMRFEVPLRYLGTMVFGELLRMSQEEFGFTSDGKITLPCDAMVMEYVMCLLRRNASVDVEKAFLSSMAISCHYANTTAPSLGVNMQVAICSY >ORUFI09G20000.1 pep chromosome:OR_W1943:9:19171154:19171579:-1 gene:ORUFI09G20000 transcript:ORUFI09G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISARRLAQLAKKWQRMAALGRKRLTMKAKENEECCTSVAGKGHCVMYTADGSRFEVPLAYLGTAVFSELLRMSQEEFGFTSDGRIMLPCDAVVMEYAMCLLKRNASAEVEKALLSSMVAPCHYTGCMVPIVGVNQHISCL >ORUFI09G20010.1 pep chromosome:OR_W1943:9:19173261:19173695:-1 gene:ORUFI09G20010 transcript:ORUFI09G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLAQMVKKWQRMAAFGRKRLTWTAPKATDECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFAELLRISQEEFGFTSDGGITLPCDAEVMEYVMCLLKRNASEEVVRAFLSTIVKPCHYGSGFAQSLGFVQQVAASSF >ORUFI09G20020.1 pep chromosome:OR_W1943:9:19175137:19175469:-1 gene:ORUFI09G20020 transcript:ORUFI09G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSRKLAQLAKKWQMMVASSGRQTANIDGCCSTATVYVADKGHCVLYTTDGARFEVPLMYLNTVVFCELLRMSQEEFGFTSDYKITLPYDAAVMEYVMCLIRRDASEEV >ORUFI09G20030.1 pep chromosome:OR_W1943:9:19177465:19177887:-1 gene:ORUFI09G20030 transcript:ORUFI09G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAKKLAQLARKLQQKMVSASAGSGRHTAGTSHDCCSTASLAGKGHCAVYTADGARFEVPLPYLGTAVFGELLTMSHEEFGFASEDGRITLTCDKSVMEYVMCLLRRDASKEVERAFLCSMAMPCHNVGVLNHQLAVCT >ORUFI09G20040.1 pep chromosome:OR_W1943:9:19182086:19182878:1 gene:ORUFI09G20040 transcript:ORUFI09G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILDSNPPPAADAVVAADGSGDYTTIAAAVAAAPSKSTKRYVIYIKKGTYNELITIGQNTWNLTLIGDGMDVTIITGNQSVGGGVSSTSKTGTLSRTRPEQRTSRRWRCCPTPTPRRCTAAXVETYLGRPWQPIPDSPPFSRVVFMECGMSDVIDPKGWLPWEGRTDVSNVYYGEYENTGDGADVSGRVKWTSFHVIQDASEAAKYTVENFIQGDKWIPGTGVYFEPSH >ORUFI09G20050.1 pep chromosome:OR_W1943:9:19183313:19183735:-1 gene:ORUFI09G20050 transcript:ORUFI09G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLAQLAKKLQQRMVSSGGGRHTAGTTGDCCSTASSSLAGKGHCAVYTADGARFEVPLPYLGTPLFGELLAMSQEEFGFAGDDGRITLTCDASVMEYVMCLISRDASEEVERAFLSSMSSSCHNVGVISHQFSICT >ORUFI09G20060.1 pep chromosome:OR_W1943:9:19185964:19186398:1 gene:ORUFI09G20060 transcript:ORUFI09G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKKLAQLARKLQRIKTAAAREDDDAGCSTSTSPSPVADKGHCAVYTSDGARFEVPLPYLGTTVFVELLRMSQEEFGFAGGDGRITLPCDAAAMEYVMCLLRRNASEEVERAFLSSVVTMPCQNSGCTMPPVALHHQFAVCS >ORUFI09G20070.1 pep chromosome:OR_W1943:9:19187340:19187765:-1 gene:ORUFI09G20070 transcript:ORUFI09G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISARRVAQLAKKWQRMAALGRKRLTVRAKQDQECCSSMAGKGHCAMYTADGSRFEVPLAYLGTAVFSELLRMSQEEFGFSIDGRIMLPCDAAVMEYAMCLLRRNASVEVEKALLSSMVASCHYTGSMVPTVGVNQQISCL >ORUFI09G20080.1 pep chromosome:OR_W1943:9:19189798:19191973:1 gene:ORUFI09G20080 transcript:ORUFI09G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKKLAQLARKLQRVKTATAREDDDAGCTSTTSPVADKGHCAVYTSDGAQFEVPLPYLGTTVFVELLRMSQEEFGFAGGDGRITLPCDAAAMEYVMCLLRRNASEEVERAFLSSVVAMPCQNSGRTMPPVALHRREMAMISAKRIAQLAKKWRRMAALGRKRLTMSSTAMATEEAQGCSTAVAGKGHCAIYTADGARFEVPLAYLGTAVLGELLTMSREEYGFSGDGKITLPCDAMVMEYVLCLLGRNASAEVEKAFLSSMVMPCHYASCVTPSLGACQQVAVCSN >ORUFI09G20090.1 pep chromosome:OR_W1943:9:19192542:19195125:-1 gene:ORUFI09G20090 transcript:ORUFI09G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGSKSPGRALRRIAGAAVAAVLLRGSFSASKCKTEARMAAARMKLLRNRRGGAGGGQMRRDIAALLRDRQEDTARIRVEHVIREQNIMAANEIIDLFCELIVTRLPIIAKQKECPADLKEGICSLIFAAPRCSELPELGRIRDLFEKKYGKDFVSAAVDLRPDACVNNLLIEKLSVKKPSGQTKLKILKEIAKEHQIDWDMTETEQELLKPSEELIQGPNTFVEATNFPVKTTMSAAHAVQINPSNYSSGYADEYDDERTMQFKDAASAARAAAESANRAASAAKAAADLVNKKTHSSDEVEDQGLNSETEDESDTEIQSIERPAPRSEPYPGSRHSEDEEKENHELPDLPKANLSSRVHPNMPLDYETLTARFEALKSGKLP >ORUFI09G20100.1 pep chromosome:OR_W1943:9:19214220:19214411:1 gene:ORUFI09G20100 transcript:ORUFI09G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGIHRGVEEAEAAAGGATPRGAPRWHPHQQKEKILATTTPTDPLFFLLVRLPSPEPEEEEE >ORUFI09G20110.1 pep chromosome:OR_W1943:9:19214519:19220067:1 gene:ORUFI09G20110 transcript:ORUFI09G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKMEEEYAVRHCRERSELLALAIRHRYALADTHRAYAESLAAVGAVLHDFLRGVQSLPPPPLEPTLRLPAHRKGDNLPTASPVPANPAIASSSAAQPLPPVAKQGGGHIKFHSDDEGDAPAHRRPEIVRSAAPPVAPPPQMGPPPPYGSGYAPPPPYGSGYGYGYGPAPDYGGGMAVANGGYDPGYGGMGGASGGGGGGGYAPGYGGMGVGDGGSGGGYEPAYGGMGSYGQSFFNISYARSQPPPPSVSYEHRLQATDARVHYYAGEGNPQAPPLGYGGGYGYPPQGSSSYNQYAYGGYYGGASPPPPADIPSTSRGEVTPPAPPSPPRVSTWDFLNPFETYESYYEQPTAAQASYTPSRSSKDVREEEGIPDLEDEDMEVVKEAYGDEKHAANGYSGKGKMAKEEGGRSSTGDELPHESKLSEASSSGSNQEHDVHVVEKSVVGEQVQRSEPRQHVAGLPPIGSEKTYFDDAEVVLEIRTQFERASKSAIEVSKMLEVGKMPYYPKSSGFKVSAMMICGIPTMEEEFLRFEEDKAMGCGNLSSTLQKLYMWEKKLLEEVKAEEKMRALYDRQREELKILDEKGAEADKLEATERSIRKLSTKISIAIQVVNTISDKISKLRDEELWPQTCELIQGLMRMWSTMLECHQIQLHAISHAKNIDSMINGAKFGEAHMDLIKRLELQHLDWIASFASWVNAQKSYVGTLNDWLRKGVTYEPEVTDDGVPPFSPGRLGAPPIFVIYNNWAVGVGRISEKEVVEAMQAFASNVLGLWERHRSEQRQGLMANKGMDKDLRVMERDEQSMRKALEAQNKKLVLISNQSGVSLSAQAQALQDGGSHGDTGSLQLSLKNIFEAMENFTANSANTYKDLHLRAEEEKARVAQESCRVS >ORUFI09G20120.1 pep chromosome:OR_W1943:9:19228113:19229817:1 gene:ORUFI09G20120 transcript:ORUFI09G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISSPHTSHFTSIDRSPAVVSESDRSMEEAAAAADMNGGVHQSRFRRVCVFCGSSSGKRRSYRDAAVELGKELVARKVDLVYGGGSLGLMGEVAEAVRNGGGHVIGVIPTTLMGKEVTGETVGEVREVGSMHERKAEMARRSDAFVALPGGYGTLEEVVEVIAWAQLGIHAKPVGLLNVDGYYDFLLAFVDKAVADGFIPPSHRHLFVSAPDAPSLVHKLEEGDPETPKLRWEIEQQAAVQVVGYSSSLHAQLAIAD >ORUFI09G20130.1 pep chromosome:OR_W1943:9:19233893:19234501:1 gene:ORUFI09G20130 transcript:ORUFI09G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPVRRHGCRRSRRPGRNALPTNRPARAAEAPSVVVLLPGGVGARGARRRVRPRRGARPPPLHHQQPPRRRRRAVEESRGGGGARRVRSPRTPSRPPNSTSARRRRPRDAAGTSLFASTEAQRLLRCLTKVTRNASNAKKKVVAGKRSLAAQNETPPAVKALSEAMAATVAVLRGVATSLYGRIVDTKKRRWLVVTLTPSV >ORUFI09G20140.1 pep chromosome:OR_W1943:9:19235238:19237334:1 gene:ORUFI09G20140 transcript:ORUFI09G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGGASGKDWFDCLPDDLVHHVLSFLPALDAVRTSVLSRRWRDFWVSMPRLNVDVGDFRDDGQFENFTVHALPLLDSSVPLRSLRLRSSLHYLSALWVNHAVKRKVAVLEYSGRAELCSSVDASLSLASSYLTKVVLKHFDFDYGQFWPLIDACPALENLSLKHLRIVSCLFYNGFRINAPNLLTMCLDDVNVNGPLGHDSLVLENLSSLMTASVSVYHCFYPKHYVKTELHFFHGLSHARNLKLIAPLYEALFEEGLPTCPVFNNLKCLVLGDWCMAFDLYPLRCILRQSPMLEELCVELGEEECENCKNRKPAFSYGEISPFWCDRLKTIKIKCTEHDERFVALLQLFCKILVCIEEVDIDRQWVSAQPPDSSEL >ORUFI09G20150.1 pep chromosome:OR_W1943:9:19238172:19246554:1 gene:ORUFI09G20150 transcript:ORUFI09G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGASQAQQRKDAEAAEQLDRLMREASSPRKEAREALLLDRSRRHDEAIARVDELAAKHPESAAVAHLAGLLHYHATSRAMAAKDRQGVEAHCNTARDFYIKAKRLAPNCVEIAVRLALARLRCFNDGEAEPEIERALAIPFPTDPAENNVAYDNALGTTSSRDRVEKARRVALARRPEILSYVRNRSIPGDVRTVLDYADSDGVAKAVKPAKEVALRYPYSARAHLIYAYIRLKFAQGMAPGIDNRTFLSRILADLDKVASQFKTSLVLAMFRAKLSFLLGMYIPMTVECIRASTMEWPADPWDDDVPVKSVLGEKPEDRVASIRKEFGRLQKKLDVVAIDHMQSLTIEERDSVLSVGLNSMLQHYTNEKIDEATKIVSEALSFVQKSGSWRYWICPYCVGKKIPNTDALLQHMRNKHPEGSVWPKLLSVLDPNLISDTSRGDHFSDDMTVYKDSEEQYVFHFKRILPPAVTDQRPFSEIRENKCTEGIKILEKIKLKLKNAPADILSTKFNEACAEIRDLWHDFLEISVLDFRVVILPHVMVFIWERFLQRMSEKAASESVNAADIGVVFPYVDTPDIDEILPNVDDALDNSAADNDAICPNVPDASDSDAANIDEILPNVNDALDNNSADNDAICPNVPDAPDSDAANIDEILPNVDDALDDNSADNDAICPNVPDASDSNAANIDAIHPNVVDASSSNASNTDAVCHGIDDAQGRDAAVCPNVDDAPENNADDMDAVIPDTADAPENNADDIDAVIPNTADAPENNTDDMDAVIPNIADAPENNADDMDAVIPNTADAPDRNSDIKDGSNLSHANKVQEDEANQKPENTTLSCSDGTSTDVIDKQSDAHVKDEDYGATVNENESNSPTEMVEYGNELDATPGNMEADLKCDSLKRTSLPSLSDQIYSAANTASHLLGKFDHSTEEIASISCYQKSIDDLKKNNADEDLYFLNVIIQLLWNLRHFRNEFLRGRSTFDIVHEDLCIAEKLYRIFSAWEKNEHSKTVLLLTDVKTTLCGIVNDSNMFQTAGRNFASEIMAIILRSLDKFENSVCVGSMRIVLDAPCRHCVWYTLGLFGTRLKQLMSCRCGEWFGEEYILLFHKLDASSPHSTKINCFDELPMLMDYQSDWERRCNNCSGSVKQIGCFLSKGPHFFTIVMKDWLGSDGSQAILSEALFGIGSPLDITLLYKGVTLPHIGGHSATKYRLASVICYVEHGYVCFARDQDDKWLKYDTTTVKTVDTWGELLELYREINIQPEVLVYEVIK >ORUFI09G20150.2 pep chromosome:OR_W1943:9:19238172:19246554:1 gene:ORUFI09G20150 transcript:ORUFI09G20150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGASQAQQRKDAEAAEQLDRLMREASSPRKEAREALLLDRSRRHDEAIARVDELAAKHPESAAVAHLAGLLHYHATSRAMAAKDRQGVEAHCNTARDFYIKAKRLAPNCVEIAVRLALARLRCFNDGEAEPEIERALAIPFPTDPAENNVAYDNALGTTSSRDRVEKARRVALARRPEILSYVRNRSIPGDVRTVLDYADSDGVAKAVKPAKEVALRYPYSARAHLIYAYIRLKFAQGMAPGIDNRTFLSRILADLDKVASQFKTSLVLAMFRAKLSFLLGMYIPMTVECIRASTMEWPADPWDDDVPVKSVLGEKPEDRVASIRKEFGRLQKKLDVVAIDHMQSLTIEERDSVLSVGLNSMLQHYTNEKIDEATKIVSEALSFVQKSGSWRYWICPYCVGKKIPNTDALLQHMRNKHPEGSVWPKLLSVLDPNLISDTSRGDHFSDDMTVYKDSEEQYVFHFKRILPPAVTDQRPFSEIRENKCTEGIKILEKIKLKLKNAPADILSTKFNEACAEIRDLWHDFLEISVLDFRVVILPHVMVFIWERFLQRMSEKAASESVNAADIGVVFPYVDTPDIDEILPNVDDALDNSAADNDAICPNVPDASDSDAANIDEILPNVNDALDNNSADNDAICPNVPDAPDSDAANIDEILPNVDDALDDNSADNDAICPNVPDASDSNAANIDAIHPNVVDASSSNASNTDAVCHGIDDAQGRDAAVCPNVDDAPENNADDMDAVIPDTADAPENNADDIDAVIPNTADAPENNTDDMDAVIPNIADAPENNADDMDAVIPNTADAPDRNSDIKDGSNLSHANKVQEDEANQKPENTTLSCSDGTSTDVIDKQSDAHVKDEDYGATVNENESNSPTEMVEYGNELDATPGKFDHSTEEIASISCYQKSIDDLKKNNADEDLYFLNVIIQLLWNLRHFRNEFLRGRSTFDIVHEDLCIAEKLYRIFSAWEKNEHSKTVLLLTDVKTTLCGIVNDSNMFQTVKLGAICQLQAGRNFASEIMAIILRSLDKFENSVCVGSMRIVLDAPCRHCVWYTLGLFGTRLKQLMSCRCGEWFGEEYILLFHKLDASSPHSTKINCFDELPMLMDYQSDWERRCNNCSGSVKQIGCFLSKGPHFFTIVMKDWLGSDGSQAILSEALFGIGSPLDITLLYKGVTLPHIGGHSATKYRLASVICYVEHGYVCFARDQDDKWLKYDTTTVKTVDTWGELLELYREINIQPEVLVYEVIK >ORUFI09G20150.3 pep chromosome:OR_W1943:9:19238172:19246554:1 gene:ORUFI09G20150 transcript:ORUFI09G20150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGASQAQQRKDAEAAEQLDRLMREASSPRKEAREALLLDRSRRHDEAIARVDELAAKHPESAAVAHLAGLLHYHATSRAMAAKDRQGVEAHCNTARDFYIKAKRLAPNCVEIAVRLALARLRCFNDGEAEPEIERALAIPFPTDPAENNVAYDNALGTTSSRDRVEKARRVALARRPEILSYVRNRSIPGDVRTVLDYADSDGVAKAVKPAKEVALRYPYSARAHLIYAYIRLKFAQGMAPGIDNRTFLSRILADLDKVASQFKTSLVLAMFRAKLSFLLGMYIPMTVECIRASTMEWPADPWDDDVPVKSVLGEKPEDRVASIRKEFGRLQKKLDVVAIDHMQSLTIEERDSVLSVGLNSMLQHYTNEKIDEATKIVSEALSFVQKSGSWRYWICPYCVGKKIPNTDALLQHMRNKHPEGSVWPKLLSVLDPNLISDTSRGDHFSDDMTVYKDSEEQYVFHFKRILPPAVTDQRPFSEIRENKCTEGIKILEKIKLKLKNAPADILSTKFNEACAEIRDLWHDFLEISVLDFRVVILPHVMVFIWERFLQRMSEKAASESVNAADIGVVFPYVDTPDIDEILPNVDDALDNSAADNDAICPNVPDASDSDAANIDEILPNVNDALDNNSADNDAICPNVPDAPDSDAANIDEILPNVDDALDDNSADNDAICPNVPDASDSNAANIDAIHPNVVDASSSNASNTDAVCHGIDDAQGRDAAVCPNVDDAPENNADDMDAVIPDTADAPENNADDIDAVIPNTADAPENNTDDMDAVIPNIADAPENNADDMDAVIPNTADAPDRNSDIKDGSNLSHANKVQEDEANQKPENTTLSCSDGTSTDVIDKQSDAHVKDEDYGATVNENESNSPTEMVEYGNELDATPGKFDHSTEEIASISCYQKSIDDLKKNNADEDLYFLNVIIQLLWNLRHFRNEFLRGRSTFDIVHEDLCIAEKLYRIFSAWEKNEHSKTVLLLTDVKTTLCGIVNDSNMFQTAGRNFASEIMAIILRSLDKFENSVCVGSMRIVLDAPCRHCVWYTLGLFGTRLKQLMSCRCGEWFGEEYILLFHKLDASSPHSTKINCFDELPMLMDYQSDWERRCNNCSGSVKQIGCFLSKGPHFFTIVMKDWLGSDGSQAILSEALFGIGSPLDITLLYKGVTLPHIGGHSATKYRLASVICYVEHGYVCFARDQDDKWLKYDTTTVKTVDTWGELLELYREINIQPEVLVYEVIK >ORUFI09G20160.1 pep chromosome:OR_W1943:9:19248670:19256306:1 gene:ORUFI09G20160 transcript:ORUFI09G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTSESAITSAGSASASGFDCLPDDLVHHVLSFLPAPDAACTSLLSRRWRNLWVSMPCLDIDVSDFHDASQFDRFMDHVLHLLDDSVPLRSFRLRSCWIDDSAVSWLRYAVKRKVPVLEYAERQGYFIHGCHDLISASSYLTKVVLEHVVLHDCHFGPLNNGCPALENLELLEVNIQFTEISSTSLKHLRIVNCMMDCKFWIRTPNLLTMCLDGVECKSSLYWTVLNNRSLFTVLEDWRYQIPAVHSGRSNGQDASVPRDYEDEDLSEDEDEDLSDGHHIEYNILGVLSHARSLKLVAPLREALFEGCLLTCPVFNNLKCLVFGDWCMDFDLYPLRCVLKQSPILEELCVELREKECEYCKEKAPPFSYSYGEILPFKCHRLKTVKIKCGERDERFIALVKLFFKISVCIEKFDLDRWFIAFVTVSSSSSEERNERVAPIDLWGSKLASNFFGCSNSSGKFLDSSVTTQPDRYLIIVTSGGLNQQRTGIVDAVVAARILNATLVVPELDQRSFWKDSSNFSEIFDINWFISFLAKDVNIIKEPPEKGGKAVKPYKMRVPRKCTPKCYLNRVLPALLKKHLFLWLKFRKILSHIAHTTFSLVIQVIRLTKYDYRLSNKLDKDLQKLRCRVNYHALRFTDPIQELGEKLIKRMREKSRHFIALHLRFEPDMLAFSGCYYGGGEKEKRELGSIRKRWKTLHIGDPEKGRRQGRCPLTPEEVGLMLRALGYKSDVHIYVASGEIYGGEDTLAPLKLLFPNYHTKETLSTEEELTPFLAHSSRMAAIDFIVCDGSDAFVTNNNGNMAKILVGRRRYFGHKRTIRPSAKQLYPLFMNRSNISWDAFSSQVQTIQKGFIGEPMEITPGRGEFHANPAACICEKTGIKSVVGSDSRSNRETVNSTEISNKPIGGPTYPIYTDEEADRPDTEDDPSGIGEMIDMEAEDDSLASRVDSVLEEILSD >ORUFI09G20170.1 pep chromosome:OR_W1943:9:19259402:19262256:1 gene:ORUFI09G20170 transcript:ORUFI09G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAMAPPQLVSVLVALLCVAAASPAGVGAARFVCNATAPRASTCQALVAYAPPNATTLAAVRALFQLRSHRALLASNGLPLSTPPSAPAPSPLRVRLPCLCSGGAGATFQRPTYRIRAGDTLDAIARGVFAGLVTYQDIAAANNVSDPNKIAVGQELWIPVPCSCDPVAGQPVVHYTYVVPPGASVAAIAQDFATTEATVLALNRMPDAKSLLAGQVLDVPLRACSSAISSTAIDRNLLVPNGSYILTANNCIMCGCSSYTWQLDCQPTQGISSSFCPASKCGDMFLGNTTTSPTSSCESTACSYAGYTNSTSFTILANLTTSSTCNAAAMSPMAQQAHSSAFRLASTWLRWTELIVCLHVIFLCVSFLNHV >ORUFI09G20180.1 pep chromosome:OR_W1943:9:19263033:19269236:1 gene:ORUFI09G20180 transcript:ORUFI09G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGGGTGVVRQRAEPLGAGLLLRGGGRSVGGGGIGCARRATLRGLALSPLARRAVSAAGGHFLPRRAVRAAAAAGDGGFYGEEDAASDQPFPARASPSDDANDSTAVRLGGDHPGEIKKELLNLALPAIVGQAIDPVAQLLETAYIGRLGPVELASAAVGVSVFNIISKLFNIPLLSITTSFVAEDVARHDSDQFTSEGNMSSESGGRKRLPSISSAILLAAAIGVIEASALILGSEILLSIMGVSHASTMHSPAKLFLSLRALGAPAVVVSLAIQGIFRGLKDTKTPLLYSGLGNISAVLLLPFLVYSLNLGLNGAALATIASQYLGMFLLLWSLSKRAVLLPPKIEDLDFVGYIKSGGMLLGRTLSVLITMTLGTAMAARQGTIAMAAHQICLQVWLAVSLLSDALAVSAQALIASSFAKLDYEKVKEVTYYVLKVLSSIVGYYTLSMYRSNLQLNSIFQTGLLVGAVLALLLFASFGRIAELFSKDPMVLQIVGSGVLFVSASQPINALAFIFDGLHFGVSDFSYSASSMITVGAISSLFLLYAPKVFGLPGVWAGLALFMDWVREQVLGGFCTRKNQHTNYTQVHVDVGLQGINRKIENGSDQSIAIKQDDMAKEKL >ORUFI09G20180.2 pep chromosome:OR_W1943:9:19263033:19269236:1 gene:ORUFI09G20180 transcript:ORUFI09G20180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGGGTGVVRQRAEPLGAGLLLRGGGRSVGGGGIGCARRATLRGLALSPLARRAVSAAGGHFLPRRAVRAAAAAGDGGFYGEEDAASDQPFPARASPSDDANDSTAVRLGGDHPGEIKKELLNLALPAIVGQAIDPVAQLLETAYIGRLGPVELASAAVGVSVFNIISKLFNIPLLSITTSFVAEDVARHDSDQFTSEGNMSSESGGRKRLPSISSAILLAAAIGVIEASALILGSEILLSIMGVSHASTMHSPAKLFLSLRALGAPAVVVSLAIQGIFRGLKDTKTPLLYSGLGNISAVLLLPFLVYSLNLGLNGAALATIASQYLGMFLLLWSLSKRAVLLPPKIEDLDFVGYIKSGGMLLGRTLSVLITMTLGTAMAARQGTIAMAAHQICLQVWLAVSLLSDALAVSAQALIASSFAKLDYEKVKEVTYYVLKTGLLVGAVLALLLFASFGRIAELFSKDPMVLQIVGSGVLFVSASQPINALAFIFDGLHFGVSDFSYSASSMITVGAISSLFLLYAPKVFGLPGVWAGLALFMDWVREQVLGGFCTRKNQHTNYTQVHVDVGLQGINRKIENGSDQSIAIKQDDMAKEKL >ORUFI09G20190.1 pep chromosome:OR_W1943:9:19268267:19280100:-1 gene:ORUFI09G20190 transcript:ORUFI09G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKKRVAIVGAGVSGLAACKHALERGFRPVVFEADGAGAVGGVWARTIASTRLQTPRPYFEYSDFPWPPGVTDLYPDHDQVTAYLRSYAERFGVLECVRFGCRVAGMEYAAAGGEEEVMAWEHWAGNGEAFGTGRGEWRLTVQSGHNIEASTNNYSYSLHVADFVVLCIGRFSGFPNMPRFPPGKGPEAFAGTAIHSMDYSNMGAAKAAQLVKGKRVAVVGYQKSAVDIAAECADANGASHPCTIVLRTKRWIVPDLYAWGVPVPVFYINRLSQILLHKPGDGLILSFLAILLSPLRWLFAKFVESYYKWALPMEKHGMVPDEDFMEAMCSCSVMKLPDKFYDKVEEGSIVLKKSKRFSFCKEGLVVEGDSSSETIKSDVVIFATGFNGDQKIREMFKSPLFREIVAGPPSSIVPHFRQCIHPRIPQLAIIGYAESWSNLCVSELLSKWLAHFLHGSFRLPSVKEMEEDIDEWDKYMKRYSPGRFRRSCIGPVSVLCSDRLCQDMGVQRRRKKWLLADWLSKKMDRKRVGIIGAGVSGLAVCKHSLDKGFNPIVFEADDTIGGVWAHTLESTRLQAPTTAFRFSDLAWPATVTEKYPSHRKVMEYLRSYASEFDLLKCIRFNSQVLGVEYLGATEGEIMQWEHWSGNGEAFGAQKDGVWRLTVKDLKIGNIEVFLVDFLIVCIGRHSGSPNIPEFPANSGLELFKGKILHSIDYSYMDNAAEFVKGKKVTIIGSGKSAFDIAAEVAKVNGETQPCTMIYRTRHWLVHKSSICGVDLSYFYLNRISQLLVHKPGEGFLYYVLATALSPLRWAISKVIETYFKRSIPLQKHGMVPDYSFSFAMSSCLIAMLPEGFYDKVDEGSIILKKSKRFSFFNDGIILEDGNEHIKSDIVILATGFRGDQKLRDIFTANWCKEKVAGSSATAVPLYRECIHPRIPQLAIVGYSESLTNIYASERMANWVTHFLGGRFKLPSIRCMEESVAEWAKYKDLYNGKYFRRSCISTVNIWFNDILCQDIGCNPKRKKGVLAEWFQPYGPADYASLY >ORUFI09G20200.1 pep chromosome:OR_W1943:9:19274155:19276459:1 gene:ORUFI09G20200 transcript:ORUFI09G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMLMLLVGEAMAPLLTRLYYNSGGNSLWMVTLAQSAGAPLLVIPFLLTPRAAASAGAPLLVIPFLLTPRAAAVGEPRPAPAASKMVAICVALGLVVGCDNLMYSYAMLYLPVSTFSLLAATQLAFNAVTSRLINAQRFTPLVVNSVVVLTFSAALLGRGKHAAGVVLTLSASAVYALILSLFEATFDKVIGAATPRWVLKMQISTNAVAATVSATALFASGEWRTIGGEMAAFKGGKAAYAATVVGVAVGWQAATLGAVRLIARVSSLFANVTGTLALPMVPVLAVALFGDKMMGTKVVAMLMAVWGFLSYVYQHYLDGRRAAAREGRVHAAAGCGICTDQMNYS >ORUFI09G20210.1 pep chromosome:OR_W1943:9:19290335:19290739:-1 gene:ORUFI09G20210 transcript:ORUFI09G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHADPKLDTWTSPPSRACGVGRKVGRCVVEVVASSLCGFAYSPPVFSSCANNSRNGDGRENERSSGKKAVRRGRRGAYRRPRSTTIGIHRVAAKATPPRGPHQWRLLPIRADQSSMATSPPDPRSPELFGHTG >ORUFI09G20220.1 pep chromosome:OR_W1943:9:19295869:19296495:-1 gene:ORUFI09G20220 transcript:ORUFI09G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGARSAPRCGMLSCRLVPLSSFCRLLQPADHQPRQGRRLVSAYGQDPAGSVFAFQVSVGLAGTTNKTVKLPTNFTPIGPWPGCTCGPALERWCRRCNPGPDCRCWTQADSHLHLLPAACVALPDLLRLLRLLLYNNTIVSCACACDHDGHRGNGGGGRNARGGIGGHSAGAATRTAAAS >ORUFI09G20230.1 pep chromosome:OR_W1943:9:19301314:19301865:-1 gene:ORUFI09G20230 transcript:ORUFI09G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHADPKLDTHTSPPSRACDAGRKVERCVVEVVASSLRGFAYSPPVLSSHANNSCKGDGRENERSSGKKAAQRERRGAYRRPRSTTVGIHRVGAEATPPRPSLVAPPPYPRRPELAGYVSPPRSVQPGALRPRRSELADHAASQSAASRSSPTALTGARRSATPPLEARGERWWWPLASDGRE >ORUFI09G20240.1 pep chromosome:OR_W1943:9:19303582:19303977:1 gene:ORUFI09G20240 transcript:ORUFI09G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVAQWLDRRWLGAAGTTVADGDAGERREDEEKARRRRVARGTRRRRRAARTRRRIPLRARLRRRRLLLLPARAVSPLPLNTAAAAAPPASRVHRVAAAAAAAPPAPHARRSAVVSATIAGFRCCCCSM >ORUFI09G20250.1 pep chromosome:OR_W1943:9:19306304:19315075:-1 gene:ORUFI09G20250 transcript:ORUFI09G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACLLPPPPFPPSLRLPVYLGGPSLTPCTASEVHLPPLWSSPSDRWSPHIGTPGSHIGAVQAPASSLSSCSETAVSFLPAPALPTSMESTFPSPRPPSTPSSSTPPSSPVPPPSARSPTVPAPADADDDDQGGGSGQEAGGGNGEDEAGGGDDSNGHGDEQDGDYSSDVQRRDVPRHESADGSEIHDEHHVDITGEEPPVSPVPREPPVAPVRNRRPISLLCAAPMIVLYGAYHFTIGGSAFGIDKHVTHDRLMAGGILAGIWLFLLPFLILGHVYFSHRIRVRVLRNNAPSAGEAQVPATEVQVQDEGYFPRKVKASVSLMVLKEDPLFLDPAPPSPTPSPPRDPLDQTTGAAPANPPHQVMVHGGDAARGAPNSSSVAPPSPPGGVPSPSDGSSPRPPSTPSSSTPPSSPVPPPSARSPTVPAPADADDDDQGGGSGQEAGGGNGEDEAGGGDDSNGHGDEQDGDYSSDVQRRDVPRHESADGSEIHDEHHVIITGEEPPVSPVPREPPVAHVRNRRPISLLCAVPMFFLYGAYHFTIGGSAFGIDKHVTHDRLMAGGILAGIWLFLLPFLILGHVYFSHRIRVRVLRNNAPSAGEAQVPATDVAEPGK >ORUFI09G20260.1 pep chromosome:OR_W1943:9:19323770:19327567:-1 gene:ORUFI09G20260 transcript:ORUFI09G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRTKRVAIVGAGTSGVAACKHLLARGFRPVVFDAGASVGGQWTRTLASTRLQSPHVAYRFSDFPWPDSVDWYPRHDQVVDYLAAYARRFAVDERVRFRSTVLAAEFVGAGDGDDAAAGWERWNGNGEAFGDGSGAWRLTVRHDDTDTTQVYEFDFLILCIGRFSGVPNIPAFPPGGGPDVFRGRVIHSMEFSDMDDADAAALVKGKRVVVVGSGKSAFDIAAECAEANARSTKVVHVGVVSLMSGVEQPCTMICRSPRWLLHDTNVWGKVDIGYIYFTRFAELMFSDMDDADAAALVKGKRVVVVGSGKSAFDIAAECAEANGVEQPCTMICRSPRWLLHDTNVWGKVDIGYIYFTRFAELMVRKPGAGVASNLLATFLSPLGWLISKLTEAYYKKEIPMEEHGMSPEYGLSKSLSSCLIGMLPERFYDKVKEGSVVIKRSAKSFTFRDDGLVLDDDGGGGGERVVQADLVILATGFRGDEKLRRMFASRRVRDIVAGSPETAAPLYRECVHPRTAAPLYRECVHPRVPQMAVIGYSESATNIHTCEMLAKWVARLLDGAFRLPPVRRMEASVAEWGRYMRRSAGEEHFRRSCLGGVGIWYSDELCRDMGCDTRRKKGLLAEWFQPYGAVDYADIHDELCRDMGCDPRRKKGLLAEWFQPYGAVDYADIQ >ORUFI09G20270.1 pep chromosome:OR_W1943:9:19329778:19333246:1 gene:ORUFI09G20270 transcript:ORUFI09G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPSLRSGAPSSLPLSLRPGGCLVRGIMPAGDNPHSISEKKAALRESPKEPKNVGNQQPRTSPFPKDKAAGTVGIKRPQPNGPLNPANPGTNGHLVYVRRRLETDHSKVSSSASADSISSLSSKKTVVDRPQEQGLKHQNSSLQTPLAPAAAAATSPASPSGGSPPQNSLRKQSLGKVVVQPSIIVTASPPPRNVVSTTSVPQNSIAAKLACSSVAAASPPPRNLVSTTPVPRNSIAANLASSSVAAASPPPRNLVSTTPVPHNSIAANLASSSVAAASPPPRNLVSTTPVPRNPIAANVASSSVAAASPPRNLASTTKVSQNSIAANLASSSVSATSTSSRGAAPACYPVDPQRSSNQDWKERFIRLQAFLRNNEQSGQEEYIHMLRSLSSVGRSKLAIELENRAVKLLIEEGKELQKMKVLNVLNKLSPTDALPLPTQPASVRHLAFPPR >ORUFI09G20280.1 pep chromosome:OR_W1943:9:19333935:19337184:-1 gene:ORUFI09G20280 transcript:ORUFI09G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLETDSSRMKSSLAIPWIVKNTLRMMYMTEASDGNAEELNSQLYSAQHCLKLRRKVLDTCRM >ORUFI09G20290.1 pep chromosome:OR_W1943:9:19337149:19342401:1 gene:ORUFI09G20290 transcript:ORUFI09G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDPQPSISLARTPSEGTAAAVDLDLLEQLLSADNAWLEVAANTSRSPNFFATPSNCLTDASVATTTPANSWWIQPSGASTSVRERFDQALAYIRETQSDADVLVQLWVPVKGNDGQLVLTTSGQPFTLDQRSNSLIQFREVSTKYQFSADVASGSSPGLPGRVFIGRLPEWSPDVRYFTSYEYPRISHAQYLDVHGTMGLPVFERGNYSCLGVIELIMTKQKLNFTSELNTICSALQAVNLTSTEVSSIPRTKLNSASYKDALPEILEVLRAACITHKLPLAQTWVTCAQQGKRGSRHSDENYKYCISTIDAACYVNEPQMQSFHEACSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFNLKGAVAIRLRCTRTGIADFVLEFFLPTDCEVLEEQKAVLDSLSGTMRSVCQTLRVVTDKEMEDEAMREMNELNSFSPRGKNKVEELSFGDNTREDREETSWTTLVRTSQKGSDLAELHTHGGQGSSQAGDQTSKEGSKVKRRTKTEKTVSLQVLRQYFAGSLKDAAKSLGVCPTTLKRICRQHGINRWPSRKIKKVDHSLRKLQQIIDSVHGAETAFQLNTLYKDLTNTSVSSDNNLSGSVTVPLANQNNLDFEKHQHHRLSSNIPSTSLSHSSCSQSSDSSPSCSGGATKHSPQVGADQVRSGCLPQHSPVQTLQTEAASINEHFSGQEAPIDLLQDVAEKANGEQHMSQSPSSPKQTANVGMRVKVTFGSEKVRFRLKPECDFQELKQEISKRLGIVDMNSLIVKYLDDDSEWVLMTCDADLHECFHVYKLADIQTIKISVHLAASPTTRITIGHTGFS >ORUFI09G20290.2 pep chromosome:OR_W1943:9:19337149:19342401:1 gene:ORUFI09G20290 transcript:ORUFI09G20290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDPQPSISLARTPSEGTAAAVDLDLLEQLLSADNAWLEVAANTSRSPNFFATPSNCLTDASVATTTPANSWWIQPSGASTSVRERFDQALAYIRETQSDADVLVQLWVPVKGNDGQLVLTTSGQPFTLDQRSNSLIQFREVSTKYQFSADVASGSSPGLPGRVFIGRLPEWSPDVRYFTSYEYPRISHAQYLDVHGTMGLPVFERGNYSCLGVIELIMTKQKLNFTSELNTICSALQAVNLTSTEVSSIPRTKLNSASYKDALPEILEVLRAACITHKLPLAQTWVTCAQQGKRGSRHSDENYKYCISTIDAACYVNEPQMQSFHEACSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFNLKGAVAIRLRCTRTGIADFVLEFFLPTDCEVLEEQKAVLDSLSGTMRSVCQTLRVVTDKEMEDEAMREMNELNSFSPRGKNKVEELSFGDNTREDREETSWTTLVRTSQKGSDLAELHTHGGQGSSQAGDQTSKEGSKVKRRTKTEKTVSLQVLRQYFAGSLKDAAKSLGVCPTTLKRICRQHGINRWPSRKIKKVDHSLRKLQQIIDSVHGAETAFQLNTLYKDLTNTSVSSDNNLSGSVTVPLANQNNLDFEKHQHHRLSSNIPSTSLSHSSCSQSSDSSPSCSGGATKHSPQVGADQVRSGCLPQHSPVQTLQTEAASINEHFSGQEAPIDLLQDVAEKANGEQHMSQSPSSPKQTANVGMRVKVTFGSEKVRFRLKPECDFQELKQEISKRLGIVDMNSLIVKYLDDDSEWVLMTCDADLHECFHVYKLADIQTIKISVHLAASPTTRITIGHTGFS >ORUFI09G20290.3 pep chromosome:OR_W1943:9:19337149:19342401:1 gene:ORUFI09G20290 transcript:ORUFI09G20290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDPQPSISLARTPSEGTAAAVDLDLLEQLLSADNAWLEVAANTSRSPNFFATPSNCLTDASVATTTPANSWWIQPSGASTSVRERFDQALAYIRETQSDADVLVQLWVPVKGNDGQLVLTTSGQPFTLDQRSNSLIQFREVSTKYQFSADVASGSSPGLPGRVFIGRLPEWSPDVRYFTSYEYPRISHAQYLDVHGTMGLPVFERGNYSCLGVIELIMTKQKLNFTSELNTICSALQAVNLTSTEVSSIPRTKLNSASYKDALPEILEVLRAACITHKLPLAQTWVTCAQQGKRGSRHSDENYKYCISTIDAACYVNEPQMQSFHEACSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFNLKGAVAIRLRCTRTGIADFVLEFFLPTDCEVLEEQKAVLDSLSGTMRSVCQTLRVVTDKEMEDEAMREMNELNSFSPRGKNKVEELSFGDNTREDREETSWTTLVRTSQKGSDLAELHTHGGQGSSQAGDQTSKEGSKVKRRTKTEKTVSLQVLRQYFAGSLKDAAKSLGVCPTTLKRICRQHGINRWPSRKIKKVDHSLRKLQQIIDSVHGAETAFQLNTLYKDLTNTSVSSDNNLSGSVTVPLANQNNLDFEKHQHHRLSSNIPSTSLSHSSCSQSSDSSPSCSGGATKHSPQVGADQVRSGCLPQHSPVQTLQTEAASINEHFSGQEAPIDLLQDVAEKANGEQHMSQSPSSPKQTANVGMRVKVTFGSEKVRFRLKPECDFQELKQEISKRLGIVDMNSLIVKYLDDDSEWVLMTCDADLHECFHVYKLADIQTIKISVHLAASPTTRITIGHTGFS >ORUFI09G20300.1 pep chromosome:OR_W1943:9:19338628:19344953:-1 gene:ORUFI09G20300 transcript:ORUFI09G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRTKRVAIVGAGTSGVAACKHLLARGFRPVVFDAGASLLFAESGQGYVVEDILTSPDQLQPGSK >ORUFI09G20310.1 pep chromosome:OR_W1943:9:19347473:19348661:-1 gene:ORUFI09G20310 transcript:ORUFI09G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKPGAGVASNLLAMFLSPLGWLISKLTEAYYKKEIPMEEHGMSPEYGLSKSLSSCLIGMLPERDIVAGSPETAAPLYRECVHPRVPQMAVIGYSESATNIQTCEMLTKWVARLLDGAFRLPPVRRMEASTNMSLTIV >ORUFI09G20320.1 pep chromosome:OR_W1943:9:19351048:19351836:-1 gene:ORUFI09G20320 transcript:ORUFI09G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRQQPGSDSDGEDDSFLYRYPLPSAAAPGASGPSSHGGKPGGGGGGSGGLAPSKSTVYVSNLDFALTNSDLHTLFSRFGRVARVTVLKDRDSRRSRGVAFVLFVRREDAAAAAAEMHGKVLNGRTLSASIAEDNGRAAEFIRRRVYRDKSRCYECGEEGHLSYECPRNQLGPRERPPPSKKSRRGGGSGGGGGGGGGGRGASWQSDDEDSAAAFEDDRWASVVDTRGEEEKAAGKGEGKAMKKEKRKGYFSDESDEDED >ORUFI09G20330.1 pep chromosome:OR_W1943:9:19352521:19353635:1 gene:ORUFI09G20330 transcript:ORUFI09G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDGVKQFRLQIDMQCRCMGCIRKIEKAMVCIGSVTGVETSVADVDTGIVAVAGKVNPTMLSHWLKRRIRKDVKIVYPDQQVQNSKQKLIMVLGSSSNAKGAHNTPSALPIQDHMSWDSVPPIVQSNHQSLPLIEQKIGELEKVRDMLKIQNLETELGAVRCELKQSREAINGSKKAVMDSALNQLEAYHKLEALFESCYPSQ >ORUFI09G20340.1 pep chromosome:OR_W1943:9:19354793:19355215:1 gene:ORUFI09G20340 transcript:ORUFI09G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSSSNVVDGEIEAAFAAGEMPPEWRRRLMASGLNGNDVDVIAASIANTHLIILRTTCSSTENMAFIALGVMGGLFLCLVAVVVFRETTGLMVTLLAVGVFYVAMALVAVSDECKRRRATAIREVARTVLRHYLLSPV >ORUFI09G20350.1 pep chromosome:OR_W1943:9:19358609:19359643:-1 gene:ORUFI09G20350 transcript:ORUFI09G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHHAFADDDACTSSARLSSCCSRQMRTAASRRTAAPASRPGTKASYMTTRRRKTPYMRTETATQQETANLSEMSSSASVASYSSPRACCSSLSGGDVGFRRGHSAALSTSSSKYSTAKRTFVSD >ORUFI09G20360.1 pep chromosome:OR_W1943:9:19359830:19365007:-1 gene:ORUFI09G20360 transcript:ORUFI09G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQPQPPPAAMSAPPRKRKKKGRPSLLDLQKRTLRLEKLQEPPPPPPPPQPRRSTRRNPAGVDSGDEGTAPGGRREKKLRLVMGLPDGSAKGEKTRKATDGSEEPSDSGPTTPLPDKKLLVFVLDRLQKKDTYGVFSDPVDPEELPDYHDIIKHPMDFSTIRKKLNKGAYGNLEQFEDDVFLLTSNAMCYNSPDTIYYRQARAIQELAKKDFENLRQDSDASEPEPEPEIKPDPEPKPQPRRGRPPNKNTIKQKVGKPPVERATADFSGATLASVGNSGHRTQPPFDLQRQVMNGSFIADVLRASFASRNNGYNWSNERKLERIEDYSGSIGKWSAKSGRKPILTEESSRSTYCQPQPSSSIYELPVSSSYNETRKLLVGVQLQQSYPRSLARFAAQLGPVAWEIASKRIERALPPGTKFGRGWVGDGEAPNATQPPVLTTSSTALIHPSSTETSSEQPTHNGTASTSHSAGPQPSSAPYASSTITTHRVNCQSLPSQQHGSVPQVSAERGEHGAEVKGNHNNLHERPAIQHTVNGFSAVSGSNIFPSAAQMVANRMQTHTAD >ORUFI09G20370.1 pep chromosome:OR_W1943:9:19365982:19367176:-1 gene:ORUFI09G20370 transcript:ORUFI09G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGVVLLAVVVAVAVMSVSVASAPVDGGVLPAPAPVTGAAAVGRGVSGAGRGGLFIYSDDEKHLSEEDEEEEEGFSSSDDDEAADAILNAQLVGCFSQAQ >ORUFI09G20380.1 pep chromosome:OR_W1943:9:19369255:19402571:-1 gene:ORUFI09G20380 transcript:ORUFI09G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSDALACITSVLILLAPPCASDDRLVPGKPLSPGATVVSDGGAFALGFFSPSNSTPEKMYLGIWYNDIPRRTVVWVADRGTPVTNSSSSAPTLSLTNSSNLVLSDADGGVRWTTNITDDAAGGGSTAVLLNTGNLVVRSPNGTTLWQSFEHPSDSFLPGMKMRVMYRTRAGERLVSWKGPDDPSPGSFSFGGDPGTFLQVFLWNGTRPVSRDGPWTGDMVSSQYQANTSDIIYSAIVDNDDERYMTFTVSDGSPHTRYVLTYAGKYQLQSWDNSSSAWAVLGEWPTWDCNRYGYCGPFGYCDNTARAPAVPTCKCLAGFEPASAAEWSSGRFSRGCRRTEAVECGDRFLAVPGMKSPDKFVLVPNRTLDACAAECSSNCSCVAYAYANLSSSGSKGDMTRCLVWSGELVDTEKEGEGLSSDTIYLRLAGLDLDAGGRKKSNAIKIVLPVLGCILIVLCIFFAWLKIKGRKTNQEKHRKLIFDGEGSTVQDFELPFVRFEDIALATNNFSETNKIGQGGFGKVYMAMLGGQEVAIKRLSKDSRQGTKEFRNEVILIAKLQHRNLVRLLGCCVEGDEKLLIYEYLPNKGLDATLFDGSRKMKLDWTTRFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIFGDNQQDANTQRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEIVTGIRRSSTSNIMNFPNLIVYSWNMWKEGKSKDLVDSSIMDSCLLHEVLLCIHVALLCVQESPDDRPLMSSIVFTLENGSSVALLPAPSCPGHFTQRSSEIEQMKDNTQNSMNTFTLTNIEGRILLKKVLMDRSAAALACITSVLLLLLPPPCASDDRLVTGKPLSPGATIVSDGGAFALGFFSPSNSTPEKMYLGIWYNDIPGRTVVWVADRGTPVTNSSSSLPTLSLTNSSNLLLSDADGRVRWTSNITDDAAGSGSTAVLKNDGNLVVRSPNGTTLWQSFEHPTDSFLPGMKLGVTFKTRTCERLVSWKGPDDPSPGSFSFGGDPDTFLQVFIWNGTRPVSRDGPWTGYMVSSQYQANSSDIFYFSIVNNEEKRYITFSVSEGSPYTRYVITYAGKYQFQRWNISSSAWDVAEWPRWDCNYYNYCGPNGYCDNTARAPAVPTCKCLFGFEPANAAEWNSGRFSQGCRRKEAVQCGDRFLAVPGMISPDKFVLFPNRTLDACAAECSSNCSCVAYAYANLSSSISKGDKTRCLVWSGELIDAEMKRKREKHRKLFLDGACTSEEIEDGSPIQDLELPYVRFEEIALATHNFSEENKIGQGGFGKVYMGGQEVAVKRLSKDSRQGTEEFRNEVILIAKLQHRNLVRLLGCCVEGDEKLLIYEYLPNKSLDATLFDVSRKLKLDWRTRFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIVGDNQQNTNTRRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEVVTSIRRSSTSNIMGFPNLISWNMWKEEKMKDLADSSIMDSCLLHEVLLCIHVALLCVQENPDDRPLMSSVVFFLDNGSNTALPAPNSPAYFAQRSSEIEQLKDNIQNSMNTFTLTDIEGRSEDEDQCRTQGKKRNREKHMKLIFDGANASEEIGQGNPVKDLELPFVRFEDIALATHNFSEANKIGQGGFGKVYMAMLGGQEVAVKRLSKDSRQGTEEFRNEVILIAKLQHRNLVCLLSCCVERDEKLLIYEYLPNKSLDATLFDVSRKLKLDWRTRFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIFGDNQQNANTRRVVGTYGYMAPEYAMEGIFFTKSDVYSFGVLLLEVVTGIRRSSTSNIMDFPNLIVYSWNMWKEGKMKDLADSSIMDSCLLHEVLLCIHVALLCVQENPDDRPLMSSVVSTLENGSTTALPTPNCPAYFAQRSSEIEQLRDNIQNSMNTFTLTDIEGRVQKGIQILVMDSTACTTIVVFLLLLPRLCSSAGDKIELGEQLLPGQTRASDGGAFVLGFFSPSNSTPERQYIGIWYNITDRTVVWVANREAPAIAAGRSIAPRLALTNDSNLVLSDADGRVLWSTNVTAGVAAGRSTSPPVAELLNNGNLVIRSNGAILWQSFDHPTDTLIPEMKIQLNKRTRRGARLVSWKDAGGDPSPGSFSYGMDPETSLQLVMWNGSRPYWRTTVWTGYLTSGQYLAATGTTIYLDVVDNDDEIYVKLRVSDGASPTRYVMTSSGEFQLLGWDKSSSEWITFSSFPTHHCTTYGYCGPNGYCDITTGAAAACKCLDGFEPASGGEWSAGRFSGGCRRKEAPPCGGGDGFLALPRMKVPDKFSTLAGNMTFDECAARCATNCSCEAYAHADLSSSSARGDIGRCLVWAGELIDMVMIGQTTWGRAGETLYLRVPASSTGSRGRGNVVKIAVPILASALVLTCIFFVYFCKSRENRRKRESQKTLVPGSRNTSSELLEENPTQDLEFPSIRFSDIVAATDNFSKSCLIGRGGFGKVYKVTLENGQEVAIKRLSKDSDQGIEEFKNEAILIAKLQHRNLVRLLGCCTEGSEKLLIYEYLANKGLDAILFDGARKSQLDWPTRFGIIKGVARGLLYLHQDSRLTVIHRDLKASNILLDAEMRPKIADFGMAKIFGENQQKANTKRVVGTYGYIAPEYSTEGSFSVKSDVYSFGVLLLEIVSGIRISSTDIMEFPSLIVYAWSLWKEGKAKNLVDSSIAESSSLDEVQLCIHVGLLCVEDNPNSRPLMSSVVSILENGSTTFLAMPNQPAYFAQTTSEMDKMTDGSSRNTMTMTVLQGRIILLVTLWRIKTGRNQFREYRHNLLHNCKVQGQVSSSSMDWPASASTCIAILLFVFLISWPSLCASDDRLAIGKTLSPGATLVSDGGAFAMGFFSPSSNSTNATSSGLYLGIWYNNIPKLTVVWVADQAAPIADHPSSPASTLAVASDGNLVLSDGATGRVLWRTNVTAGVNSSASSGGGVGAVAVLANSGNLVLRLPDGTALWETFENPGNAFLPGMKIGVTYRTRGGVRLVSWKGATDPSPGNFSFGGDPDRPLQVVIWKGSRVYWRSNPWKGYMVVDSNYQKGGRSAIYTAVVSTDEEIYAAFTLSDGAPPMQYTLGYAGDLRLQSWSTETSSWATLAEYPTRACSAFGSCGPFGYCGDVTATASTCYCLPGFEPASAAGWSRGDFTLGCRRREAVRCGDGFVAVANLKLPDWYLHVGNRSYEECAAECRRNCSCVAYAYANLTGSSTRDATRCLVWGGDLVDMEKVVGTWGDFGETLYLRLAGAGRKPRTSALRFALPIVLASVLIPICILICAPKIKEIIKKKYGENNKRRALRVLSISDELGQEIPAKDLEFPFVEYDKILVATDNFSEASLIGKGGFGKVYKGVLDGREVAVKRLSSWSEQGIVEFRNEVVLIAKLQHRNLVRLVGCSIEGDEKLLIYEYMPNKSLDASLFKGKRKSVLDWSTRFKIVKGVARGLLYLHQDSRLTIIHRDLKASNILLDAEMNPKISDFGMARIFGNNQQKEVTKRVVGTYGYMAPEYAMGGIFSMKSDVYSFGVLLLEIVSGSKISSIDLIEDSPNLPVYAWNLWNEGKADIMIDSTITANCLLDEVILCIHVALLCVQENLNDRPLMSDVVLILEKGSKSLPAPNRPAYFAQRNNNEVEQVRNGSQGAQNSNNNMTLTDLEGR >ORUFI09G20380.2 pep chromosome:OR_W1943:9:19369255:19402571:-1 gene:ORUFI09G20380 transcript:ORUFI09G20380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSDALACITSVLILLAPPCASDDRLVPGKPLSPGATVVSDGGAFALGFFSPSNSTPEKMYLGIWYNDIPRRTVVWVADRGTPVTNSSSSAPTLSLTNSSNLVLSDADGGVRWTTNITDDAAGGGSTAVLLNTGNLVVRSPNGTTLWQSFEHPSDSFLPGMKMRVMYRTRAGERLVSWKGPDDPSPGSFSFGGDPGTFLQVFLWNGTRPVSRDGPWTGDMVSSQYQANTSDIIYSAIVDNDDERYMTFTVSDGSPHTRYVLTYAGKYQLQSWDNSSSAWAVLGEWPTWDCNRYGYCGPFGYCDNTARAPAVPTCKCLAGFEPASAAEWSSGRFSRGCRRTEAVECGDRFLAVPGMKSPDKFVLVPNRTLDACAAECSSNCSCVAYAYANLSSSGSKGDMTRCLVWSGELVDTEKEGEGLSSDTIYLRLAGLDLDAGGRKKSNAIKIVLPVLGCILIVLCIFFAWLKIKGRKTNQEKHRKLIFDGEGSTVQDFELPFVRFEDIALATNNFSETNKIGQGGFGKVYMAMLGGQEVAIKRLSKDSRQGTKEFRNEVILIAKLQHRNLVRLLGCCVEGDEKLLIYEYLPNKGLDATLFDGSRKMKLDWTTRFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIFGDNQQDANTQRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEIVTGIRRSSTSNIMNFPNLIVYSWNMWKEGKSKDLVDSSIMDSCLLHEVLLCIHVALLCVQESPDDRPLMSSIVFTLENGSSVALLPAPSCPGHFTQRSSEIEQMKDNTQNSMNTFTLTNIEGRILLKKVLMDRSAAALACITSVLLLLLPPPCASDDRLVTGKPLSPGATIVSDGGAFALGFFSPSNSTPEKMYLGIWYNDIPGRTVVWVADRGTPVTNSSSSLPTLSLTNSSNLLLSDADGRVRWTSNITDDAAGSGSTAVLKNDGNLVVRSPNGTTLWQSFEHPTDSFLPGMKLGVTFKTRTCERLVSWKGPDDPSPGSFSFGGDPDTFLQVFIWNGTRPVSRDGPWTGYMVSSQYQANSSDIFYFSIVNNEEKRYITFSVSEGSPYTRYVITYAGKYQFQRWNISSSAWDVAEWPRWDCNYYNYCGPNGYCDNTARAPAVPTCKCLFGFEPANAAEWNSGRFSQGCRRKEAVQCGDRFLAVPGMISPDKFVLFPNRTLDACAAECSSNCSCVAYAYANLSSSISKGDKTRCLVWSGELIDAEMKRKREKHRKLFLDGACTSEEIEDGSPIQDLELPYVRFEEIALATHNFSEENKIGQGGFGKVYMGGQEVAVKRLSKDSRQGTEEFRNEVILIAKLQHRNLVRLLGCCVEGDEKLLIYEYLPNKSLDATLFDVSRKLKLDWRTRFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIVGDNQQNTNTRRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEVSWNMWKEGKMKDLADSSIMDSCLLHEVLLCIHVALLCVQENPDDRPLMSSVVSTLENGSTTALPTPNCPAYFAQRSSEIEQLRDNIQNSMNTFTLTDIEGRVQKGIQILVMDSTACTTIVVFLLLLPRLCSSAGDKIELGEQLLPGQTRASDGGAFVLGFFSPSNSTPERQYIGIWYNITDRTVVWVANREAPAIAAGRSIAPRLALTNDSNLVLSDADGRVLWSTNVTAGVAAGRSTSPPVAELLNNGNLVIRSNGAILWQSFDHPTDTLIPEMKIQLNKRTRRGARLVSWKDAGGDPSPGSFSYGMDPETSLQLVMWNGSRPYWRTTVWTGYLTSGQYLAATGTTIYLDVVDNDDEIYVKLRVSDGASPTRYVMTSSGEFQLLGWDKSSSEWITFSSFPTHHCTTYGYCGPNGYCDITTGAAAACKCLDGFEPASGGEWSAGRFSGGCRRKEAPPCGGGDGFLALPRMKVPDKFSTLAGNMTFDECAARCATNCSCEAYAHADLSSSSARGDIGRCLVWAGELIDMVMIGQTTWGRAGETLYLRVPASSTGSRGRGNVVKIAVPILASALVLTCIFFVYFCKSRENRRKRESQKTLVPGSRNTSSELLEENPTQDLEFPSIRFSDIVAATDNFSKSCLIGRGGFGKVYKVTLENGQEVAIKRLSKDSDQGIEEFKNEAILIAKLQHRNLVRLLGCCTEGSEKLLIYEYLANKGLDAILFDGARKSQLDWPTRFGIIKGVARGLLYLHQDSRLTVIHRDLKASNILLDAEMRPKIADFGMAKIFGENQQKANTKRVVGTYGYIAPEYSTEGSFSVKSDVYSFGVLLLEIVSGIRISSTDIMEFPSLIVYAWSLWKEGKAKNLVDSSIAESSSLDEVQLCIHVGLLCVEDNPNSRPLMSSVVSILENGSTTFLAMPNQPAYFAQTTSEMDKMTDGSSRNTMTMTVLQGRIILLVTLWRIKTGRNQFREYRHNLLHNCKVQGQVSSSSMDWPASASTCIAILLFVFLISWPSLCASDDRLAIGKTLSPGATLVSDGGAFAMGFFSPSSNSTNATSSGLYLGIWYNNIPKLTVVWVADQAAPIADHPSSPASTLAVASDGNLVLSDGATGRVLWRTNVTAGVNSSASSGGGVGAVAVLANSGNLVLRLPDGTALWETFENPGNAFLPGMKIGVTYRTRGGVRLVSWKGATDPSPGNFSFGGDPDRPLQVVIWKGSRVYWRSNPWKGYMVVDSNYQKGGRSAIYTAVVSTDEEIYAAFTLSDGAPPMQYTLGYAGDLRLQSWSTETSSWATLAEYPTRACSAFGSCGPFGYCGDVTATASTCYCLPGFEPASAAGWSRGDFTLGCRRREAVRCGDGFVAVANLKLPDWYLHVGNRSYEECAAECRRNCSCVAYAYANLTGSSTRDATRCLVWGGDLVDMEKVVGTWGDFGETLYLRLAGAGRKPRTSALRFALPIVLASVLIPICILICAPKIKEIIKKKYGENNKRRALRVLSISDELGQEIPAKDLEFPFVEYDKILVATDNFSEASLIGKGGFGKVYKGVLDGREVAVKRLSSWSEQGIVEFRNEVVLIAKLQHRNLVRLVGCSIEGDEKLLIYEYMPNKSLDASLFKGKRKSVLDWSTRFKIVKGVARGLLYLHQDSRLTIIHRDLKASNILLDAEMNPKISDFGMARIFGNNQQKEVTKRVVGTYGYMAPEYAMGGIFSMKSDVYSFGVLLLEIVSGSKISSIDLIEDSPNLPVYAWNLWNEGKADIMIDSTITANCLLDEVILCIHVALLCVQENLNDRPLMSDVVLILEKGSKSLPAPNRPAYFAQRNNNEVEQVRNGSQGAQNSNNNMTLTDLEGR >ORUFI09G20380.3 pep chromosome:OR_W1943:9:19369255:19402571:-1 gene:ORUFI09G20380 transcript:ORUFI09G20380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSDALACITSVLILLAPPCASDDRLVPGKPLSPGATVVSDGGAFALGFFSPSNSTPEKMYLGIWYNDIPRRTVVWVADRGTPVTNSSSSAPTLSLTNSSNLVLSDADGGVRWTTNITDDAAGGGSTAVLLNTGNLVVRSPNGTTLWQSFEHPSDSFLPGMKMRVMYRTRAGERLVSWKGPDDPSPGSFSFGGDPGTFLQVFLWNGTRPVSRDGPWTGDMVSSQYQANTSDIIYSAIVDNDDERYMTFTVSDGSPHTRYVLTYAGKYQLQSWDNSSSAWAVLGEWPTWDCNRYGYCGPFGYCDNTARAPAVPTCKCLAGFEPASAAEWSSGRFSRGCRRTEAVECGDRFLAVPGMKSPDKFVLVPNRTLDACAAECSSNCSCVAYAYANLSSSGSKGDMTRCLVWSGELVDTEKEGEGLSSDTIYLRLAGLDLDAGGRKKSNAIKIVLPVLGCILIVLCIFFAWLKIKGRKTNQEKHRKLIFDGEGSTVQDFELPFVRFEDIALATNNFSETNKIGQGGFGKVYMAMLGGQEVAIKRLSKDSRQGTKEFRNEVILIAKLQHRNLVRLLGCCVEGDEKLLIYEYLPNKGLDATLFDGSRKMKLDWTTRFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIFGDNQQDANTQRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEVVTSIRRSSTSNIMGFPNLISWNMWKEEKMKDLADSSIMDSCLLHEVLLCIHVALLCVQENPDDRPLMSSVVFFLDNGSNTALPAPNSPAYFAQRSSEIEQLKDNIQNSMNTFTLTDIEGRSEDEDQCRTQGKKRNREKHMKLIFDGANASEEIGQGNPVKDLELPFVRFEDIALATHNFSEANKIGQGGFGKVYMAMLGGQEVAVKRLSKDSRQGTEEFRNEVILIAKLQHRNLVCLLSCCVERDEKLLIYEYLPNKSLDATLFDVSRKLKLDWRTRFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIFGDNQQNANTRRVVGTYGYMAPEYAMEGIFFTKSDVYSFGVLLLEVVTGIRRSSTSNIMDFPNLISWNMWKEGKMKDLADSSIMDSCLLHEVLLCIHVALLCVQENPDDRPLMSSVVSTLENGSTTALPTPNCPAYFAQRSSEIEQLRDNIQNSMNTFTLTDIEGRVQKGIQILVMDSTACTTIVVFLLLLPRLCSSAGDKIELGEQLLPGQTRASDGGAFVLGFFSPSNSTPERQYIGIWYNITDRTVVWVANREAPAIAAGRSIAPRLALTNDSNLVLSDADGRVLWSTNVTAGVAAGRSTSPPVAELLNNGNLVIRSNGAILWQSFDHPTDTLIPEMKIQLNKRTRRGARLVSWKDAGGDPSPGSFSYGMDPETSLQLVMWNGSRPYWRTTVWTGYLTSGQYLAATGTTIYLDVVDNDDEIYVKLRVSDGASPTRYVMTSSGEFQLLGWDKSSSEWITFSSFPTHHCTTYGYCGPNGYCDITTGAAAACKCLDGFEPASGGEWSAGRFSGGCRRKEAPPCGGGDGFLALPRMKVPDKFSTLAGNMTFDECAARCATNCSCEAYAHADLSSSSARGDIGRCLVWAGELIDMVMIGQTTWGRAGETLYLRVPASSTGSRGRGNVVKIAVPILASALVLTCIFFVYFCKSRENRRKRESQKTLVPGSRNTSSELLEENPTQDLEFPSIRFSDIVAATDNFSKSCLIGRGGFGKVYKVTLENGQEVAIKRLSKDSDQGIEEFKNEAILIAKLQHRNLVRLLGCCTEGSEKLLIYEYLANKGLDAILFDGARKSQLDWPTRFGIIKGVARGLLYLHQDSRLTVIHRDLKASNILLDAEMRPKIADFGMAKIFGENQQKANTKRVVGTYGYIAPEYSTEGSFSVKSDVYSFGVLLLEIVSGIRISSTDIMEFPSLIVYAWSLWKEGKAKNLVDSSIAESSSLDEVQLCIHVGLLCVEDNPNSRPLMSSVVSILENGSTTFLAMPNQPAYFAQTTSEMDKMTDGSSRNTMTMTVLQGRIILLVTLWRIKTGRNQFREYRHNLLHNCKVQGQVSSSSMDWPASASTCIAILLFVFLISWPSLCASDDRLAIGKTLSPGATLVSDGGAFAMGFFSPSSNSTNATSSGLYLGIWYNNIPKLTVVWVADQAAPIADHPSSPASTLAVASDGNLVLSDGATGRVLWRTNVTAGVNSSASSGGGVGAVAVLANSGNLVLRLPDGTALWETFENPGNAFLPGMKIGVTYRTRGGVRLVSWKGATDPSPGNFSFGGDPDRPLQVVIWKGSRVYWRSNPWKGYMVVDSNYQKGGRSAIYTAVVSTDEEIYAAFTLSDGAPPMQYTLGYAGDLRLQSWSTETSSWATLAEYPTRACSAFGSCGPFGYCGDVTATASTCYCLPGFEPASAAGWSRGDFTLGCRRREAVRCGDGFVAVANLKLPDWYLHVGNRSYEECAAECRRNCSCVAYAYANLTGSSTRDATRCLVWGGDLVDMEKVVGTWGDFGETLYLRLAGAGRKPRTSALRFALPIVLASVLIPICILICAPKIKEIIKKKYGENNKRRALRVLSISDELGQEIPAKDLEFPFVEYDKILVATDNFSEASLIGKGGFGKVYKGVLDGREVAVKRLSSWSEQGIVEFRNEVVLIAKLQHRNLVRLVGCSIEGDEKLLIYEYMPNKSLDASLFKGKRKSVLDWSTRFKIVKGVARGLLYLHQDSRLTIIHRDLKASNILLDAEMNPKISDFGMARIFGNNQQKEVTKRVVGTYGYMAPEYAMGGIFSMKSDVYSFGVLLLEIVSGSKISSIDLIEDSPNLPVYAWNLWNEGKADIMIDSTITANCLLDEVILCIHVALLCVQENLNDRPLMSDVVLILEKGSKSLPAPNRPAYFAQRNNNEVEQVRNGSQGAQNSNNNMTLTDLEGR >ORUFI09G20390.1 pep chromosome:OR_W1943:9:19382285:19385656:1 gene:ORUFI09G20390 transcript:ORUFI09G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEQFQKVVLPFSKMDTTDDMSGLLFGLSSTHKSPTWMQSWTSSNELLSAMDESTRNTESVSPARPHVVWPIITMSMSSPAHTKHRPMSPRALLLLRSAWAYASHEQFVAHLAAHSSNVMFPASVENLSGTFIRGSAKKPSPPPHGGASFRRQPPENLPALHSPPLAGSKPSRHLHAAAAPVPYVVQWCVGNELKVIHSDELLSQPRSWNSPELVITYRVGEAPSETLSFT >ORUFI09G20390.2 pep chromosome:OR_W1943:9:19382285:19389022:1 gene:ORUFI09G20390 transcript:ORUFI09G20390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEQFQKVVLPFSKMDTTDDMSGLLFGLSSTHKSPTWMQSWTSSNELLSAMDESTSNFLTT >ORUFI09G20400.1 pep chromosome:OR_W1943:9:19408037:19413408:1 gene:ORUFI09G20400 transcript:ORUFI09G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRELSTSSLVGPLRPKAQGPVRESDFAKLGWADPARPIWKARSFSRRPSVKTGGSLLSSLLAARVLGFFFSLLLPIRRQASAPTRRRSPPMAKKGAPRRQQPAPAARQLAVAKKGKAPPKAAKRAPKKKQELLEPSSDDSELEQQQERLQEVEEDESGSDLDAPSDSGAEELSDSDDASLEGGDSGDEEDDDEEEEEDDDDPLADDFLAGSSDDESAEGDDSGVDSDESDDLEAKSRAIDEAKGKAEEEAEEELKLNIRSESDEFRLPTKEELEEEALRPPNLPHLKRRISEIVRVLSNFSKLRQKDVPRKDYVDQLKTDVMSYYGYNDFLVEAFIEMFPAVELVELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISAGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKGIEDIRDCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSLMIPENEAVIDYALKKRNVKLVPCGLDFGRPGFIRFREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKLSNTIPVTSESSKVPEEAIEKTDPSSDDLQEQPIQSKIHKDVKKMNEETTILDGVTEDKQQTHDRPEKTLKNHKKGEKKRNGPGSGKKETHNEKEEPTSEKKQPVSAKIKKTVPKRTSGTKEKKPDTDQGGKRKRNWMVRREWEAYKKSRSKKK >ORUFI09G20400.2 pep chromosome:OR_W1943:9:19408037:19413419:1 gene:ORUFI09G20400 transcript:ORUFI09G20400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRELSTSSLVGPLRPKAQGPVRESDFAKLGWADPARPIWKARSFSRRPSVKTGGSLLSSLLAARVLGFFFSLLLPIRRQASAPTRRRSPPMAKKGAPRRQQPAPAARQLAVAKKGKAPPKAAKRAPKKKQELLEPSSDDSELEQQQERLQEVEEDESGSDLDAPSDSGAEELSDSDDASLEGGDSGDEEDDDEEEEEDDDDPLADDFLAGSSDDESAEGDDSGVDSDESDDLEAKSRAIDEAKGKAEEEAEEELKLNIRSESDEFRLPTKEELEEEALRPPNLPHLKRRISEIVRVLSNFSKLRQKDVPRKDYVDQLKTDVMSYYGYNDFLVEAFIEMFPAVELVELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISAGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKGIEDIRDCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSLMIPENEAVIDYALKKRNVKLVPCGLDFGRPGFIRFREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKLSNTIPVTSESSKVPEEAIEKTDPSSDDLQEQPIQSKIHKDVKKMNEETTILDGVTEDKQQTHDRPEKTLKNHKKGEKKRNGPGSGKKETHNEKEEPTSEKKQPVSAKIKKTVPKRTSGTKEKKPDTDQGGKRKRNWMVRREWEAYKKSRSKKV >ORUFI09G20400.3 pep chromosome:OR_W1943:9:19408037:19413633:1 gene:ORUFI09G20400 transcript:ORUFI09G20400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRELSTSSLVGPLRPKAQGPVRESDFAKLGWADPARPIWKARSFSRRPSVKTGGSLLSSLLAARVLGFFFSLLLPIRRQASAPTRRRSPPMAKKGAPRRQQPAPAARQLAVAKKGKAPPKAAKRAPKKKQELLEPSSDDSELEQQQERLQEVEEDESGSDLDAPSDSGAEELSDSDDASLEGGDSGDEEDDDEEEEEDDDDPLADDFLAGSSDDESAEGDDSGVDSDESDDLEAKSRAIDEAKGKAEEEAEEELKLNIRSESDEFRLPTKEELEEEALRPPNLPHLKRRISEIVRVLSNFSKLRQKDVPRKDYVDQLKTDVMSYYGYNDFLVEAFIEMFPAVELVELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISAGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKGIEDIRDCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSLMIPENEAVIDYALKKRNVKLVPCGLDFGRPGFIRFREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKLSNTIPVTSESSKVPEEAIEKTDPSSDDLQEQPIQSKIHKDVKKMNEETTILDGVTEDKQQTHDRPEKTLKNHKKGEKKRNGPGSGKKETHNEKEEPTSEKKQPVSAKIKKTVPKRTSGTKEKKPDTDQGGKRKRNWMVRREWEAYKKSRSKKV >ORUFI09G20410.1 pep chromosome:OR_W1943:9:19414331:19415628:1 gene:ORUFI09G20410 transcript:ORUFI09G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQKWAAAKAQALQDLETRFIQQTATILSCYDDLLPEHIRLDLQEQHCNDHKVPDDLWLKFINAAFDGNPETLDREGGEQLKVHARKEADKFWIEAAGAAKKAQALKEMEERFRQEFIKPGLDKILLELVESLPEDIREDFFRVRYEIVDEVQEILNERVEQNFGVGDHEKRLKIRAWEESQRFRMDAAADKRAAKKLQALQDMKKGFILDRLDRFLRGSPKYVKQHLIREHTEYSVPANMQLRFIDDIERKFRKLDYQEVIKARIWEGYERSKMPLIKREYTVSCEYGWDAQIAEDGVLGDFGCHLLCGHAQPAKYNHGSSEKLLLATSPIETPDIENPAPTAY >ORUFI09G20420.1 pep chromosome:OR_W1943:9:19420080:19424035:1 gene:ORUFI09G20420 transcript:ORUFI09G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSDAFIYVIIMSVVVLLIPQPCSANDRLVPGKPLTSDGTVVSDGGAFAMGFFSPSNSTPDKLYLGIWYNDIPVRTVVWVANQETPVTNGTTLSLTESSNLVVSDADGRVRWATNVTGGAAGNGNTTAVLMNTGNLVVRSPNGTIFWQSFEHPTDSFLPGMKLGMMYETRAADRLVSWRGPGDPSPGSFSYGGDTDTFLQVILWNGTRPVMRDGPWTGYMVDSQYQTNTSAIVYLAIIDTDEEIYITFSVADDAPHTRYVLTYAGKYQLQRWSSGSSAWVVLQEWPAGCDPYDFCGPNGYCDSTAAEAPLPTCRCLDGFEPASAAEWSSGRFSRGCRRKEAVRCGDGFLAVQGVQCPDKFVHVPNRTLEACAAECSGNCSCVAYAYANLSNSRSKADSTRCLVWSGELIDMAKVGAQGLGSDTLYLRLAGLQLHAGGRTKSKAVKIVLPVLASTCKKRNREKHRKQILFGMSAAEEVGEGNPVQDLEFPFVRFEDIALATNNFSEAHKIGQGGFGKVYKGMLGGQEVAIKRLGRNSQQGTEEFRNEVILIAKLQHRNLVRILGFCVEGDEKLLIYEYLPNKSLDATLFNGSRKLLLDWTARFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNILLDAEMKPKIADFGMARIFGDNQQNANTQRVVGTYFGVLLLEVITGMRRNSVSNIMGFPNLIVYAWNIWKEGKTENLADSSIMDSCLQDEVSLCIHLALLCVQENPDDRPLMTFVVFILENGSSTALPTPSRPAYFAQRSDKMEMDQLRHNIENSMYALTLTDVEGR >ORUFI09G20430.1 pep chromosome:OR_W1943:9:19425412:19429074:-1 gene:ORUFI09G20430 transcript:ORUFI09G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSDAFTYIIVSVVVVLLLPPPCSSDDRLVPGKPLTSDATVVSDGGAFAMGFFSPSNSTPDKLYLGIWYNDIPVRTVVWVANQETPVTNGTTLSLTESSDLVVSDADGRVRWTANVTGGAAGAGNGNTTAVLMNTGNLVVRSPNGTALWQSFEHPTDSFLPGMKLRMTYSTRASDRLVSWRGPADPSPGSFSYGGDTDTLLQVFMWNGTRPVMRDGPWTGDVVDGQYQTNSTAINYLAILSRDDEVSIEFAVPAGAPHTRYALTYAGEYQLQRWSAASSAWSVLQEWPTGCGRYGHCGANGYCDNTAAPVPTCRCLTGFEPAASAGCRRTVAVRCGDGFLAVEGMKPPDKFVRVANVATLEACAAECSGNCSCVAYAYANLSSSRSRGDTTRCLVWSGDLIDTAKRRNRQKHIELILDVTSTSDDVGKRNLVQDFEFLSVKFEDIALATHNFSEAYKIGEGGFGKVYKAMIGGQEVAVKRLSKDSQQGTEEFRNEVILIAKLQHRNLVRLLGCCVERDEKLLIYEYLPNKGLDATLFDGSRKPKLDWTMRFNIIKGVARGLLYLHQDSRLTIIHRDLKASNVLLDAEMRPKIADFGMARIFCDNQQNANTRRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEVITGIRRSSTSNIMDFPNLIIYAWNMWKEGKTKDLADSLIIDSCLLDEVLLCIHVALLCVQENPNDRPLMSSTVFILENGSSTALPAPSRPTYFAYRSDESEQSRENIQNSMNTFTLTNIEGR >ORUFI09G20440.1 pep chromosome:OR_W1943:9:19438973:19441081:1 gene:ORUFI09G20440 transcript:ORUFI09G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARSRSSNGDSRLSVRKTKAEKDPNKPKRPPSAFFVFMEQFRKDYKEKHPNVKQVSVIGKAGGDKWKSMTDADKAPFVTKAEKLKAEYTKKIDAYNNKQAGGPATSGDSDKSKSEVNDEDEGSGDE >ORUFI09G20440.2 pep chromosome:OR_W1943:9:19438973:19441323:1 gene:ORUFI09G20440 transcript:ORUFI09G20440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKARSRSSNGDSRLSVRKTKAEKDPNKPKRPPSAFFVFMEQFRKDYKEKHPNVKQVSVIGKAGGDKWKSMTDADKAPFVTKAEKLKAEYTKKIDAYNNKQAGGPATSGDSDKSKSEVNDEDEGSGDE >ORUFI09G20450.1 pep chromosome:OR_W1943:9:19442232:19450058:-1 gene:ORUFI09G20450 transcript:ORUFI09G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAADEDFATPPPPARAPGGRVYQVGGVPVEFPYKPYGTQLAFMGRVIATLDRARRQGRSHALLESPTGTGKSLSLLCSALAWQRHYPLRAPPAAAAAAAPDPFLHGGGFVPDDTQKQATPGVPEKATRKKNVPTIYYATRTHAQITQVVREYRKTSYRVRMAILASRKHYCVNKNVCMSGNIDELWNAQKLSRHPSLQIGGCYEVHDIEDLIRVGRKVKGCPYFAAQTMAEAAQLVFCPYNYLISPIVRRAMDIDIRGSIIVLDEAHNIEDIARDAGSFDVDEESLLFLRQELEGLVTDEAVAKIYEPLHEVIQGLNGWIDEQGNNLQKNEFEHPASFWTGEEAMKELKYAGITPVNFPVLQECATKAIKAASDAESEGVHLSGGSAMTLESLFSSLSYFFAENGRHSNDYQLALQRFAKCEGNSVTSFIGWKCVMSLWCLNPAVVFRDIADLTLSVILTSGTLSPMGSFASELGVQFEACMEAPHVINADSQVFATVLSSGPTGRRLNASYRTANEYSFQDELGATLEKICRVVPGGALVFFPSYNLLEKLQRRWYQTGQWARLEAQKHVCIEPRGSTEELEPVLKGYYNAILGKVPPKKGRGGAKQIVKNRVTKDSSQESAKGGAAFLAVCRGKVSEGIDFSDDKARVVLKKRYNDSYKSSKDLLSGNEWYCHQAFRALNQAAGRCIRHKFDYGGIILIDERYQEERNIVYISKWLRNSIRNCGTFQETMDGLTRFFQNAEEQIKIKAQGVSPKDRLDACVLPSQGDKRKLPWPEPNFSNQTVLQNNKDVKSESHIDGAGVDRMKLSDTSSESWHISSKHSGLVKKQISPEPENVPMACQLPPSYKVQYNLEGEADNGTNYEVNINFIDLEECDTKPRYAKLTIFNPSEHITQQSTVMEETYAEVPIASPSNRNGENASTVMNEGDPVLDLPISLSAANRNISCVSTSAATPERSGSISHLEHESWTNRSVNSHCQKRRKLSSPMSCCTYTERSCSPSKPYLHGGCDVSMLPGDLRTDGVCCKSMKMSRCENVKVERNSKLAEFPSRESTQEKLFICCARCKTALGLQEDGFLVSCSSSLSSKFYLTYLWRHGPSADILPGKDFLASPPLKIKVMVCNVSSVNKMMLGNLSNEGSAHNSSFWSEKDGCVYKPVTCQTCSCKNACVTTLGAQVVATDSSNQQFCDKVLLFDDLLHVKHGPSKDQAASAPIGTVKPISPPPVIDLESFAYKPLKKDPVPVNTRSLGYLAQPNPGMVHNMKDSSAICSERTGKSSTLHKRMECQLLWVLFVLFSPFCKEIWACLFWVNLFMDEISMFLVLLLKG >ORUFI09G20450.2 pep chromosome:OR_W1943:9:19442232:19450058:-1 gene:ORUFI09G20450 transcript:ORUFI09G20450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAADEDFATPPPPARAPGGRVYQVGGVPVEFPYKPYGTQLAFMGRVIATLDRARRQGRSHALLESPTGTGKSLSLLCSALAWQRHYPLRAPPAAAAAAAPDPFLHGGGFVPDDTQKQATPGVPEKATRKKNVPTIYYATRTHAQITQVVREYRKTSYRVRMAILASRKHYCVNKNVCMSGNIDELWNAQKLSRHPSLQIGGCYEVHDIEDLIRVGRKVKGCPYFAAQTMAEAAQLVFCPYNYLISPIVRRAMDIDIRGSIIVLDEAHNIEDIARDAGSFDVDEESLLFLRQELEGLVTDEAVAKIYEPLHEVIQGLNGWIDEQGNNLQKNEFEHPASFWTGEEAMKELKYAGITPVNFPVLQECATKAIKAASDAESEGVHLSGGSAMTLESLFSSLSYFFAENGRHSNDYQLALQRFAKCEGNSVTSFIGWKCVMSLWCLNPAVVFRDIADLTLSVILTSGTLSPMGSFASELGVQFEACMEAPHVINADSQVFATVLSSGPTGRRLNASYRTANEYSFQDELGATLEKICRVVPGGALVFFPSYNLLEKLQRRWYQTEPRGSTEELEPVLKGYYNAILGKVPPKKGRGGAKQIVKNRVTKDSSQESAKGGAAFLAVCRGKVSEGIDFSDDKARVVVSFVFSSYVFYAPERINDVQVKLKKRYNDSYKSSKDLLSGNEWYCHQAFRALNQAAGRCIRHKFDYGGIILIDERYQEERNIVYISKWLRNSIRNCGTFQETMDGLTRFFQNAEEQIKIKAQGVSPKDRLDACVLPSQGDKRKLPWPEPNFSNQTVLQNNKDVKSESHIDGAGVDRMKLSDTSSESWHISSKHSGLVKKQISPEPENVPMACQLPPSYKVQYNLEGEADNGTNYEVNINFIDLEECDTKPRYAKLTIFNPSEHITQQSTVMEETYAEVPIASPSNRNGENASTVMNEGDPVLDLPISLSAANRNISCVSTSAATPERSGSISHLEHESWTNRSVNSHCQKRRKLSSPMSCCTYTERSCSPSKPYLHGGCDVSMLPGDLRTDGVCCKSMKMSRCENVKVERNSKLAEFPSRESTQEKLFICCARCKTALGLQEDGFLVSCSSSLSSKFYLTYLWRHGPSADILPGKDFLASPPLKIKVMVCNVSSVNKMMLGNLSNEGSAHNSSFWSEKDGCVYKPVTCQTCSCKNACVTTLGAQVVATDSSNQQFCDKVLLFDDLLHVKHGPSKDQAASAPIGTVKPISPPPVIDLESFAYKPLKKDPVPVNTRSLGYLAQPNPGMVHNMKDSSAICSERTGKSSTLHKRMECQLLWVLFVLFSPFCKEIWACLFWVNLFMDEISMFLVLLLKG >ORUFI09G20450.3 pep chromosome:OR_W1943:9:19442232:19450058:-1 gene:ORUFI09G20450 transcript:ORUFI09G20450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAADEDFATPPPPARAPGGRVYQVGGVPVEFPYKPYGTQLAFMGRVIATLDRARRQGRSHALLESPTGTGKSLSLLCSALAWQRHYPLRAPPAAAAAAAPDPFLHGGGFVPDDTQKQATPGVPEKATRKKNVPTIYYATRTHAQITQVVREYRKTSYRVRMAILASRKHYCVNKNVCMSGNIDELWNAQKLSRHPSLQIGGCYEVHDIEDLIRVGRKVKGCPYFAAQTMAEAAQLVFCPYNYLISPIVRRAMDIDIRGSIIVLDEAHNIEDIARDAGSFDVDEESLLFLRQELEGLVTDEAVAKIYEPLHEVIQGLNGWIDEQGNNLQKNEFEHPASFWTGEEAMKELKYAGITPVNFPVLQECATKAIKAASDAESEGVHLSGGSAMTLESLFSSLSYFFAENGRHSNDYQLALQRFAKCEGNSVTSFIGWKCVMSLWCLNPAVVFRDIADLTLSVILTSGTLSPMGSFASELGVQFEACMEAPHVINADSQVFATVLSSGPTGRRLNASYRTANEYSFQDELGATLEKICRVVPGGALVFFPSYNLLEKLQRRWYQTEPRGSTEELEPVLKGYYNAILGKVPPKKGRGGAKQIVKNRVTKDSSQESAKGGAAFLAVCRGKVSEGIDFSDDKARVVLKKRYNDSYKSSKDLLSGNEWYCHQAFRALNQAAGRCIRHKFDYGGIILIDERYQEERNIVYISKWLRNSIRNCGTFQETMDGLTRFFQNAEEQIKIKAQGVSPKDRLDACVLPSQGDKRKLPWPEPNFSNQTVLQNNKDVKSESHIDGAGVDRMKLSDTSSESWHISSKHSGLVKKQISPEPENVPMACQLPPSYKVQYNLEGEADNGTNYEVNINFIDLEECDTKPRYAKLTIFNPSEHITQQSTVMEETYAEVPIASPSNRNGENASTVMNEGDPVLDLPISLSAANRNISCVSTSAATPERSGSISHLEHESWTNRSVNSHCQKRRKLSSPMSCCTYTERSCSPSKPYLHGGCDVSMLPGDLRTDGVCCKSMKMSRCENVKVERNSKLAEFPSRESTQEKLFICCARCKTALGLQEDGFLVSCSSSLSSKFYLTYLWRHGPSADILPGKDFLASPPLKIKVMVCNVSSVNKMMLGNLSNEGSAHNSSFWSEKDGCVYKPVTCQTCSCKNACVTTLGAQVVATDSSNQQFCDKVLLFDDLLHVKHGPSKDQAASAPIGTVKPISPPPVIDLESFAYKPLKKDPVPVNTRSLGYLAQPNPGMVHNMKDSSAICSERTGKSSTLHKRMECQLLWVLFVLFSPFCKEIWACLFWVNLFMDEISMFLVLLLKG >ORUFI09G20460.1 pep chromosome:OR_W1943:9:19450703:19458763:-1 gene:ORUFI09G20460 transcript:ORUFI09G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIKRLPKSVHSSLRSSIVLFDLSRVVEELVYNSIDANASKIDISVNARACYVKVEDDGCGITRDELVLVGEKYATSKFHNVMVDGEPSSRSFGLNGEALASLSDISVVEVRTKARGRPNSYCKIIKGSKCSHLGIDEQREVVGTTVIVRELFYNQPVRRKQMQSSYKRELHLVKKSVLRVALIHPQVSLRLFDIESEDELLYTIPSSSPLPLVSNILGKNVSSCLHEIATSDKHFALSGHISRPTDVFCNKDWQTVMYFFERTITDYWKKHAPQLPEVKAIGNDTCVPLERDVKSSQELLRHHGVQKKEDVAELYQTALQKNTVRDMNFDTAAPAEPKDNYLSLDMEPSTWRACYDQISDASHTDDVARNGRKFGHKQICSLQSYSYQWLEDGSSLLEDSDLSSANPTICKMQKTEDIFHGHAYSGKFGLLQDAEIEIGPEIKLQEYCFESPNKPNGMTCDFVQKQTNIEAHISGRDGFYVDFDKLNEDCLLNEISKTITDVSCPQMPHFNDGLCPEDVGSSKSSCSVRKKSSKRQNSANAIAQMKFHDMQAVCESDYMDRSFIKDTCGLHFFHPFSLADTPRSHSRATIDLELHGRSNESINSWNCENIGTDFGFTSDRFNIDSSMIFEGSKHLNNFGNGTQSPSYFNHEYCSVGQFASKQDRIPLKSKHDARMSYDISPEKSSTGCHLNVSFSQVAKSSKLTEDQYGCSQRPRLSRGRYRSRSAPPFYRGKRKFPRLNEPLTKLTTEGGKYTTVNDSGDADITPVQEYTSHMNATQPIPETFSNDFSDLNFSLKGNVKMCEEKCSDELEDSTASDEITKWRDDSDHHAVEHFVPRVISLCTIFYAHILSLCVGFGVATWIPETCWIFSFFKQEEFISYVHILYEVVSVYMVTKHAADERIRLEELRRKVLSDDGRGITYLDSEEDLVLPETGFQLFQKYMQQIQSWGWIINSTNSCESFKKNMNVLRRQSRRLTLAAVPCILGVTLTGKDLMDFIQQLDDTDGSSAIPPAVIRILNFKACRGAIMFGDPLLPSECSLIIEELKATSLCFQCAHGRPTTVPIVNVASLRGELARLGAVNGRQEETWHGLSHHGPSLERARTRLRELRKLRGGL >ORUFI09G20470.1 pep chromosome:OR_W1943:9:19461000:19463504:1 gene:ORUFI09G20470 transcript:ORUFI09G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPIDQINSYLFLFDLFSLLNLKNIHFVFDKFSSRNLKNTSQKNDRDKRRSMAMAMAKLFITGLSTVITCSSAVVFKGAAMAVVLLLMLVTARITDKNCSSKQTATEHAGSEPMMRVSTVCSMKTELHQKNQALQASRKLAEGKEPRP >ORUFI09G20480.1 pep chromosome:OR_W1943:9:19463600:19466337:-1 gene:ORUFI09G20480 transcript:ORUFI09G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGNGYCSSGSEGEDEDEGMEGYRKGGYHAARPGDRFAGGRFVAQRKLGWGNFSTVWLAYDTLLNRFVALKIQKSARDYAQAALHEIELLSAIAKGDPTNSKNVVQLLDHFKHAGPNGHHVCLVTEFLGDSLLRLIRYNRNKGIGLSRVKEICRSVLVGLDYLHRELGIIHTDLKPENVLLVSTINPSKDPVRSGFTPILERTVSNQYSGSVISFSEKMLKMRARRAVAKISLRRESLGGVAAEMEKERSLDGISLKCKIVDFGNACWGSQQLAGEIQTRQYRAPEVIIGAGYSYSADMWSFACMAFELATGEVLFAPKTCQGCSEDEDHLALMMETLGKMPKKIASSGTRSKDYFDRHGDLKRIRRLKFWPLERLLVQRYNFTEPDAQGLADFLRPILDFTPENRPTAAACLKNPWLN >ORUFI09G20490.1 pep chromosome:OR_W1943:9:19469269:19470936:-1 gene:ORUFI09G20490 transcript:ORUFI09G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDMSPKAGKPLVQNDAGSYLAWSGKDQPALAGEKLGCGLLVLKPLGFALPHYADSGKFGYVLGGSAVVGVLPVGVDARERVVRLEAADVIAMRAGEVTWCPGDFSYFILAGPMGVLGGLDAGLLATASGLTSPEQAATAFRSQPAALLTRLSRKLHGVRPREHDRHGIVVNAARVPPDSTGGKTVTAAHLPALAQLGLSVGLALLDAGAAVRGPWVLRDAAAQAVYVARGSGRVQVASAGGASTLLDAEVAAGSLLVVPRYAVALVAADDAGGMELVSLIKSSRPAMEHFTGKGSVIGGLTPEIVQAALNVSPELVEQLRTK >ORUFI09G20500.1 pep chromosome:OR_W1943:9:19473975:19475122:-1 gene:ORUFI09G20500 transcript:ORUFI09G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPKAGKPLVENDAGSYLAWSGKNQPALAGEKLGCGLLVLKPLGFALPHYADSGKFGYVLGGSAVVGVLPVGLDARERVVRLEAGDVIAMRAGEVTWWYNDADGEDVTIVFMGDTARAASPGDISYFVLAGPMGVLGGLDAGLLATASGLTSPEQAATAFRSQPAVLLTRLSRKLQDVRPREHDRHGIVVNAARMPADSSTGGAAAGTKIVTAAHLPVLGQLGFSVGLTPLDAGAAVRGPWVLRDAAAQAVYVARGSGRVQVAGAGGASTLLDAEAAAGSLLVVPRYAVALVGVDAGGMELVSLIKSPRPAMKQFTGKGSVIGGLTPEIVQAALNVSPELVEQLRMTK >ORUFI09G20510.1 pep chromosome:OR_W1943:9:19477672:19478820:-1 gene:ORUFI09G20510 transcript:ORUFI09G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDMSPKAGKPLVENDAGSYLAWSGKDQPAVAGEKLGCGLLVLKPLGFALPHYADSGKFGYVLGGSAVVGVLPAGVDARERVVRLEAGDVIAMRAGEVTWWYNDTDGEDVTIVFMGDTAGAVSPGDISYFVLAGPMGVLGGLDAGLLAKASGLTSPEQAATAFRSQPAALLTRLNGKLHGVRPREHDRHGLVVNAARVPADSNTGGAAAGTKTVTAAHLPVLAQLGFSVGLTRLDAGAAVRGPWVLRDAAAQAVYVARGSGRVQVAGAGGASTLLDAEVAAGSLLVVPRYGVSLAAADDAGGMELVSLIKSPRPATEHFTGKGSVIGGLTAEIVQAALNVSPEFVEQLRTKY >ORUFI09G20520.1 pep chromosome:OR_W1943:9:19480738:19481535:-1 gene:ORUFI09G20520 transcript:ORUFI09G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVWEVESRPGGGARLGAATVARRRDAARGGGGDPAAGSGTWQGGGGSGGAPPGSGVPAARSDSRMARVVMAGVAGDGRTARAEGRRMCDGRTPASRSMRAAPCSRPTRQWLAPAMLAAGPRG >ORUFI09G20530.1 pep chromosome:OR_W1943:9:19484968:19492188:1 gene:ORUFI09G20530 transcript:ORUFI09G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVQASCEGDQGICKRGAKGGHPIDQNLVGGMTMSTGVPTTVPPTPALAALKNLLIRVVAAAAGKGLSSSAEEARCLWAKLPAPEEEEEAGQQPERPPSSYRRPPTSTLMAPVGLPPGFRFHPTDEELVNYYLKRKIHGLKIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVVHQHGGRAIGMKKTLVYYRGRAPQGVRTDWVMHEYRLDDKDCEDTMPIKDTYALCRVFKKNAICTEVEELQGQCSMALLEGACQQLLASGGGGSQEQYETPSPPDVPVGSTSGGADADAEDDPDKDDSWMQFISDDAWCSSTADGGAEESTSCRIAGVGGLMPEARKEEANWEAMAPVGLPPGFRFHPTDEELVNYYLKRKVHGLSIDLDIIPEVDLYKCEPWELEEKSFLPSKDSEWYFFGPRDRKYPNGCRTNRATRAGYWKSTGKDRRINYQNRSIGMKKTLVYYKGRAPQGIRTSWVMHEYRIEESECENAMGIQDSYALCRIFKKNVVLGEFDKKGECSSSQAKGNEEQVTDFGDAGQSSGANENDKDNSWMQFIAEDLWCTNKLK >ORUFI09G20540.1 pep chromosome:OR_W1943:9:19486981:19495229:-1 gene:ORUFI09G20540 transcript:ORUFI09G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKETSRKHGSLAMGAEGRESSRVGDDPAHRPAGAGIRLWDETTGEKRVIVLRRLEEEEALGVAATSCNPWAARVRVLTLGPEFVRLVPPVGLFYIGIMGRFQKLALASHQPARATQEVLSSAPPSAVLEHQASSEMNCIQESSLSGSSSASASAPPEVDPTGTSGGDGVSYCSWLPPPPLASSCWQAPSSSAMLHCPCSSSTSVQIAFFLKTRHNA >ORUFI09G20550.1 pep chromosome:OR_W1943:9:19501017:19506705:1 gene:ORUFI09G20550 transcript:ORUFI09G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAADVKLEGLRAATDKLDQISENEKSGFISLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLSAAPEDLNETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCNVPLLLMNSFNTHDDTQKIVEKYSNSNIEIHTFNQSQYPRIVTEDFLPLPSKGKTGKDGWYPPGHGDVFPSLNNSGKLDTLLAQGKEYVFVANSDNLGAIVDIKILNHLIHNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEAEALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFEKAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNPARTNPSNPSIELGPEFKKVANFLARFKSIPSIVELDTLKVSGDVWFGSGVTLKGKVTITAKSGKLEIPDGAVLENKDINGPEDL >ORUFI09G20550.2 pep chromosome:OR_W1943:9:19501017:19506705:1 gene:ORUFI09G20550 transcript:ORUFI09G20550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAADVKLEGLRAATDKLDQISENEKSGFISLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLSAAPEDLNETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCNVPLLLMNSFNTHDDTQKSQYPRIVTEDFLPLPSKGKTGKDGWYPPGHGDVFPSLNNSGKLDTLLAQGKEYVFVANSDNLGAIVDIKILNHLIHNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEAEALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFEKAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNPARTNPSNPSIELGPEFKKVANFLARFKSIPSIVELDTLKVSGDVWFGSGVTLKGKVTITAKSGKLEIPDGAVLENKDINGPEDL >ORUFI09G20560.1 pep chromosome:OR_W1943:9:19506501:19510011:-1 gene:ORUFI09G20560 transcript:ORUFI09G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVRSHHLLLHRHHHAARLSPRLHRLLLRHPPPLPRAASAASRLRPPRMSSASSSNASSPAPSPPPPVVPKSKIRFCPSCGSPTKLAIPDGDEKMRAVCSSCGRVHYENPKMVVGCLVEHDNKVLLCRRKIEPAYGLWTLPAGYLEVGESAAEGASRETLEEACADVEILSPFAQLDIPLIGQSYIIFRARLKTPNFSPGPESLECALFALDDIPFDSLAFSSIIVTLRMYLEDVKSGNIKFHYCTINKRIGTGASDLRSFDIDNHLAHQQPQGMKTLTEAEESTSSCQPAQATRKPWSLAD >ORUFI09G20570.1 pep chromosome:OR_W1943:9:19512875:19515447:-1 gene:ORUFI09G20570 transcript:ORUFI09G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGVVRHDQGADERPHEQHDPAGVLGAGQHEEVDEREADAERQAGGDAEQDHPHRRPQVHPPPSLGRLVHQVARPPVRHPEFGRQPCKREQELGWESFHPSNTHQLFDEMSSPLEEFEEDVLLVMSEENITWDEALHLLQEELKDAQCRFDEKLDRFLEVFGLMGDKSNQSEEDKRSNESEEFSASIKELTPTTEAAAFQSPQASPSSAPTKCSIICFGLDTMSDLNMAAAVVCATTSLASMELVAGGNATCEPYVDTPGHPKETHVKCSMVGLEVKGGTDHTRVTCQTMMGVPDGVLVPDASSKVFSPWLIVEMDLVPLLLTVCSMKCSKDKKKLLLGNAKRNSWTPSWVGGVIRRWELQPLPWPGSKLYWEGLPLMPPWPPPTRVSFLACETFDIGALVIGTMILTQAMVEIKPWPPPSEVSGLPLLPIQSIGAFHTERKVTDLHWAELKAWSLFDENGTSHILTDEPCELYLGCVIFTAGNTRNLEKLEFIRWTKWQQQLFAERDYPNSAEGNQLIAWNFKQYIDGGVLSFVAIKLSIQPTNVEQISQYIVQYSSIGRELDFYHKLHTLAQVIGRKDSLLQLSEPQLTSCKVGQNTEKGGWCLIMDKRCSDFFKLLALLDGKWEAIRHAMVYLITKLIHISPRNAPFRSTINYLLGGNNLVVLHKSIVGIHLGWWVFPCDTGVRPLEKLVRRLAQFWQHKGQAKPSLSFLNSNPRTSRDMILHGPWDPGGGINSILFHFYRLEGKPNVKERGLLGT >ORUFI09G20580.1 pep chromosome:OR_W1943:9:19515145:19516465:1 gene:ORUFI09G20580 transcript:ORUFI09G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLAAERRYKEEHRKLMAYGMADGWARDLMDKATEARWRVDLGSSVWVILLCVAACLALGVGFPLVDFLVLPSSENAGRIMLLVWALIGALIMAYYAWSHYRKRAAAQDVLAKAQDVFNQAGVSWPLPVYWL >ORUFI09G20590.1 pep chromosome:OR_W1943:9:19517084:19521393:1 gene:ORUFI09G20590 transcript:ORUFI09G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAAAPPNPNVLQLAPRLRGLVSFPSSYSSSSPFSNRLRLRLPRAASMSAEARVPVAPPAHPTYDLKAVINLALSEDAGDRGDVSCLATIPSDVKAEATFIAKEDGVVAGISLADMIFKQVDPSLKVEWFESDGNYVHKGLQFGRVYGCARNIIVAERVVLNFMQRMSGIATMTKAMADAAHPACILETRKTAPGLRLVDKWAVLIGGGKNHRIGLFDMVMIKDNHISVAGGITNAMKFVDRFLAKEKLALPVEVETRTLQEVKDLLEYAAENNTSLTRIMLDNMVVPLGNGDIDVSMLKDAVELINGRFETEASGNVTVDTVKKIGETGVTYISSGALTHSVKALDISLKIDTELALQVGRRTNCA >ORUFI09G20590.2 pep chromosome:OR_W1943:9:19517084:19521388:1 gene:ORUFI09G20590 transcript:ORUFI09G20590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAAAPPNPNVLQLAPRLRGLVSFPSSYSSSSPFSNRLRLRLPRAASMSAEARVPVAPPAHPTYDLKAVINLALSEDAGDRGDVSCLATIPSDVKAEATFIAKEDGVVAGISLADMIFKQVDPSLKVEWFESDGNYVHKGLQFGRVYGCARNIIVAERVVLNFMQRMSGIATMTKAMADAAHPACILETRKTAPGLRLVDKWAVLIGGGKNHRIGLFDMVMIKDNHISVAGGITNAMKFVDRFLAKEKLALPVEVETRTLQEVKDLLEYAAENNTSLTRIMLDNMVVPLGNGDIDVSMLKDAVELINGRFETEASGNVTVDTVKKIGETGVTYISSGALTHSVKALDISLKIDTELALQVGRRTNCA >ORUFI09G20600.1 pep chromosome:OR_W1943:9:19523739:19524599:-1 gene:ORUFI09G20600 transcript:ORUFI09G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPMMRPPAQQPPLQYQMWPPPPPPVMELPVVFVGVKPVRPAWKRVARQPGWKQRKAAASAAAVGARWGGAAAPRNTTSYLIRAKRAGGVASLVSPCPVTPAVLPTPQLSPAREVVVEMAKEKWGVDGYGSMKGLIRLRSQAADAGGLPGEDSGSGESDVEEHVEVERRLDHDLSRFEMVQLPVAAGDCEDDEDDDGDEARTARLEEENLTLRERLFLMERDMDDLRRRLLAVETLCRDRHRDGCVVDAAGVVAEETVLSESVAGADLAGVGDDDGDTAADAMKA >ORUFI09G20610.1 pep chromosome:OR_W1943:9:19528178:19530453:1 gene:ORUFI09G20610 transcript:ORUFI09G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKPDTQLFQLLSDLLQQVESMSNQEEVELRAKIEALGLEVTKVPEQTPKQLDELEIAAELDKLSARLDNVDKMISSAMASDPEVKSLLSTTADIWMPVITASADERRGFAGTSGESNQEEQESSKQ >ORUFI09G20620.1 pep chromosome:OR_W1943:9:19530895:19533546:1 gene:ORUFI09G20620 transcript:ORUFI09G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGKSSNQILQELDALSHTLYQAHTNRRTASLALPRSASEVNGGGADVVRAESRPRSRRLSLSPFRSRPKQDKNAIVDDDDDDDGDDDGDKGARRAPSKSQSFAAVTTPGGEAAAVAGEKKGIWGWKPIRALSHIGMNRLGCLFSVEVVAAQGLPPSMNGLRLAVAVRKKETRDGAMQTMPSRVQQGAADFEETLFVRCHLYCSGGAGTGKPLRFEPRPFLLSAVAVEAPELDFGRSAVDLSLLVKESTDKSQQGERVRQWDMALPLAGKAKGGELVVKLSFQIMDDGGVGLFNQTGAATKINSSSSSSSLFARKQSKLSFSITSPKVSRSEPKLTPTKGSPSPDLRGIDDFKLDEPSLPSLAEAKQEQKEPEPPEPEEKVDDSEFPEFDVVDKGVEGQEENVVEAKGAAEEEAKEEKAAAEEAPTSAAGDEVVKEVVHDSAHAWRINELEAITNQIKALESMMLGDAPAAGKTEDTRDGDAAALDTDEEEVTREFLQLLEQGDGKATLAKSVSSLKSGAKRDTGGAADASAACYISDLGKGLGPIVQTRDGGYLAATNPFDIPVERKELPKLAMQLSKPVILRDQRLPGGGAELFQQLCAGGCEALFEKLAALVGTDEVVGKTAEQIAFEGMATAIISARSAALGASSSAAQTVSLLRTMSSAMSDGRQERIDTGIWNAHETPVTVDEILAFSLQKIEAMAIKALKVQADMADEQSPFDVSPASEKRGGGHLLDAAVPPEDWALACVGADTVTMLLVAQLRDPLRRYEAVGAPSIVIIQAVRIAGNDDDDEPKFKVANMHVGGLRLKSADRRNVWDGEKQRLTAMHWLVAYGLGKAGRKGRTAAAAGKSGHDVLWSMSSRVMADMWLKPLRNPDVKIPLK >ORUFI09G20630.1 pep chromosome:OR_W1943:9:19534323:19537052:-1 gene:ORUFI09G20630 transcript:ORUFI09G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMGARGGEAGAARVANGGGGGGTGGMRLFSPEYYALCTGGGMLAAGATHLAITPLDVLKVNMQVNPMKYNSIFSGLNILVKEEGASSLWRGWAGKFFGYGFQGGCKFGLYEYFKKKYSDVLVDRNKSTIYFISSASAQIIADVALCPFESVKVRVQTQPMFAKGLIDGFPRVYATEGLSGFYKGLLPLWGRNLPFSMLMFSTFEHTVDILYRNVIQKKKEDCSTMQQLGATCLAGYISGAVGTVVSNPADNIVSSLYNKKAKNIIDAVKSIGFRGLFTRSLPVRITLVGPVITMQWFFYDTIKILTGLPTSGGLPRELEEV >ORUFI09G20630.2 pep chromosome:OR_W1943:9:19534323:19537052:-1 gene:ORUFI09G20630 transcript:ORUFI09G20630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMGARGGEAGAARVANGGGGGGTGGMRLFSPEYYALCTGGGMLAAGATHLAITPLDVLKVNMQVNPMKYNSIFSGLNILVKEEGASSLWRGWAGKFFGYGFQGGCKFGLYEYFKKKYSDVLVDRNKSTIYFISSASAQIIADVALCPFESVKVRVQTQPMFAKGLIDGFPRVYATEGLSGFYANHTVDILYRNVIQKKKEDCSTMQQLGATCLAGYISGAVGTVVSNPADNIVSSLYNKKAKNIIDAVKSIGFRGLFTRSLPVRITLVGPVITMQWFFYDTIKILTGLPTSGGLPRELEEV >ORUFI09G20640.1 pep chromosome:OR_W1943:9:19538561:19539108:-1 gene:ORUFI09G20640 transcript:ORUFI09G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIPMFTGVLCVCSCRRPLRLDGLAVSTLDARGVLNGGRGADFFNGRSDISRCRFRLGDELLLGGAELVVLDAVIRGRQLHTSLLHAGGRRGEKFTGAEMEMEREAASDETIEVDKTESAMRKYRNTLPPPHPNTVPPQGRVGTSRYPAMTAVGSYPLNGDDLVPLWSAVR >ORUFI09G20650.1 pep chromosome:OR_W1943:9:19541444:19542049:-1 gene:ORUFI09G20650 transcript:ORUFI09G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLQSSEHLTAATATAAASGGRGVHTDTFLILAAVLCFLLCVVGLALVARCSRLCNPSSFAVEAEEAMPPAPCKGLKRKALLSLPTVSFAEAAAAEEEEERPECAICLAEFARGDEVRVLPPCGHGFHAACVDVWLVSTSTCPSCRRAIVVLAAPSPAVTAAATDPPPPCCAADAAQASSQPPQPTGASDRGGCRTSVP >ORUFI09G20660.1 pep chromosome:OR_W1943:9:19548443:19552255:1 gene:ORUFI09G20660 transcript:ORUFI09G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLLLVASMPIVQVLLIGVIGAFLASGYSKILTSSALRDMNKVVFTVFTPSLMFASLAKTVTLSDVISWWFMPVNIGITFIVGGTLGWIACKILKPPQHFRGMIIAFCSAGNLGNLLLIIVPAVCDEDGNPFGKDRSLCRSRGLSYSSLSMALGGLFIWTHTYSLMQKAGKMYHKMQSKSIQCPADSDEEHHPAQGHDQVKLDGETAYADEEAALLVSAKLAPEHNEENQMEAPLLTCEREIANKGGFWTNLKETVHQVVEELMAPPTVSAILGFVVGLVPWLKSLVIGNGAPLRVIQESLQLMGIFQVFPQPLLTVRLSFSNGTIPCITLILGGNLTQGLRKSVLKRTVIITIVCIRYVIQPLIGMAVVHAAYGVGFLPHDPLYRYVLMMQFALPPAMNIGSP >ORUFI09G20670.1 pep chromosome:OR_W1943:9:19556312:19556629:1 gene:ORUFI09G20670 transcript:ORUFI09G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLLPIRSGAASYAAAERGRLTRRRWRGAGVRGGEPREGRVAALFRRGLAEWSSLRRVFGVDAVESDSFPFRLRDVCAATCSGGRPHSHHRGFRQGGGHGQLHR >ORUFI09G20680.1 pep chromosome:OR_W1943:9:19557433:19559071:-1 gene:ORUFI09G20680 transcript:ORUFI09G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADKWALEKAQALQDLEEEFNQQTAKILTCYQLPKHLRLDLHEQHRNDYMVPDDLRLKFVNAVFEGNPRMLDHEEKLKAQARKEADKFWTEAAGAANKAQALQDMKEWYMQLLVNHAFDIEGIPERIKEAYIREIKLDDEELMFKNHVEKKFGICNHETRLRVRAWEESQQFRIKTMADYWAAKKLHALQDLEKAHIQRFMNILDKIDIPDYVQQAYFQKYKVPDDLRLRYINHVEIKFRRMPDDEEEPPKGYISEDYNKLKAQALQDLDVMTYLSTSGWVSQEQHCNDYKVPDNLRVKFITAVFKGNSRILDHKGELKVQARKEAEKFWIEEAATEKKTQALQDMEERFKQQFIKLGYARKGIPEHIQEYYLTDCKLHEDTLLKFRNDVEEKFEMRNHEMQLKIRAWEKTQQFRIEMMADKRAAKKTKALQDMEERYIQDFMNIVDKLDVPEYFQQAYFQKFKVPDDIRLRYINDIEEEFRMLGDKEGYKVHIWDSFKKLKSLITCHLLCGRAQLKTRILGKNLISNKKKQ >ORUFI09G20690.1 pep chromosome:OR_W1943:9:19579845:19582565:1 gene:ORUFI09G20690 transcript:ORUFI09G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLALLLVASMPVVQVLLIGVVGAFLASGYSNILTSSALSDMNKVVFTVFTPSLMFASLARTVTFSDVISWWFMPINIGITFMAGGTLGWIACRILKPPQHFRGMIIAFCSAGNLGNLLLIVVPAVCDEDGNPFGKDSSRCRSLGLSYSSLSMALGGLYIWTHTYSLMKKKRDQMYHQPNSTQCLDDSDEEHHSKKFKANGEAAYADEEATLPVSAKLAQHNEENQMEAPLLSCESKVAKKCSWTTTNLKDTIHHVVEELMAPPTLSAILGFVFGLVPWLKSLVIGDGAPLRVIQDSIQLMGNGTIPCVTLILGGNLIKGLRKSELKRTVIIAIVCIRYVILPLVGIAVVHGAYWVGFLPHDPLYRYVLMMQFALPPAMTIGTMAQLFDVAQEECSVLFLWTYLVASISLTTWSTIFMSILS >ORUFI09G20700.1 pep chromosome:OR_W1943:9:19586232:19587401:1 gene:ORUFI09G20700 transcript:ORUFI09G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAAAEAKAAIGVATPTPTAAPCGSTTTPRDADVDDKMTIVGHPAASLPLETRWPPFPLRRLGGFWMPESLLPAVAALHTSFAPAPDGVLLASFPKSGTSWLKALAFAAANRAAHPPSDADHPLRRRNPHDCVEFFEMRPDEHTGATSDGIAVDAASPPPPPRVLATHLPYSLLPKRITAGDGCRIIYICRDPKDTLVSFWHFSKKMAATMAVDAGAFTFDEAFELFCDGNCTGGPQWRHVLEYWEASRRCPGKVLFLRYEDMLRRPASGLRKMAEFMGCPFAAAEEAAGVADAIVELCSLDELRSLEVNRNGTDVLGLKNESYFRKGVAGDWRNHMTPAMAARLDKIVDDATRGSGLSLANATPSPPMHENEIKGNLTIYHSYI >ORUFI09G20710.1 pep chromosome:OR_W1943:9:19591323:19604927:1 gene:ORUFI09G20710 transcript:ORUFI09G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPAMEEPSLEVKMPEADLKAVKFSLMTSSDMEKLSSASIIEMCDVTNAKLGLPNGAPQCATCGSQSVRDCDGHFGVIKLAATVHNPCFIEEVVQLLNQICPGCLTLKQNGDTKKTDGTTIQTTCKYCSKDGAKLYPSVIFKMLTSPRVTLSRSKLHRNTSVMDKISIIAEVAGGVTHNSKNKAPHETLPQDFWDFVPDDNQPPQSNVAKKILSPYQVFHMLKNLDPELINQVTPRRELLFLSCLPVTPNCHRVAEMQYGHSDGPRLAFDDRTKAYKRMVDVSKRIDDCRQHPQFSVFASSVVTSRLYSRKSDGEDPTSPDTYGTKWLKDIILSKRSDNAFRSIMVGDPKINLNEIGIPTDLALNLVVSEQVSFYNFETINLKCNLHLLTKEVLLVRRNGKLIFVRKANKLEIGDIAYRLLQDGDLVLVNRPPSVHQHSLIALSAKLLPIQSAVAINPLCCDPFKGDFDGDCLHGYVPQTLQSRVELDGLVSLSGQMLNAQDGRSLVSLTHDSLAAAHQLTSADVFLQKAEFQQLQLLCSSISPTPEPSVVKSANFQGSLWTGKQLFGMLLPSGMNISFDQKLHIKDSEVLTCSSGSFWLQNNTSSVFSVMFKEYGSKALEFLSSTQDVLCEFLTMKGLSVSLSDFYLFSDHYSRKKLSEEIHLALDEAEEAFQIKQILLNTVSIPNLKHYDGPDNLSNSHGQSDFTQVSLPIIKSSITGFKSVFNDLLKMVLQHVSKDNSMMAMINSGSKGSVLKFVQQTACVGLQLPASTFPFRIPSELSCVSWNRQKSLNCEITNNTSECMAGQNMYAVIRNSFLDGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDTYVAYDGTVRSSYGRQIVQFSYDTADGMNNDHDLEGEPGAPVGSWAACSISEAAYGALDHPVNALEDSPLMNLQEVLKCHKGTKSAVHTGLLFLSKYLKKYRYGFEYASLEVKDHLERVDFSDLVDTVMILYGSSDMQRTQGNPWITHFHLSEETMKIKRLRLGFIVRELIDQYNALRKKLNNTIPSVCISYSKCSVGNECVKNRSCCVTMVAQVESNSTSQLDIIKERVIPSILATLLKGFLEFENVKVECQQDSELVVKVGMSEHCKTGKFWATLQNACIPIMELIDWERSRPERVYDIFCSYGIDSAWKYFVERPAYSFINAAKRDSVDNLSGALDAIAWGKEPCAGTSGPFKVLYSGKSQKTKQNKNIYDFLHNPEVQALEKNFMDTYKQRTEKPSKQRSAFSSKGNATINGGTISVNQKFLDSKVGIWENIIDMRTCLQNMLREYTLNEVVTEQDKSCLIEALKFHPRGYDKIGVGIREIKIGVNPGHPNSRCFIVQRSDDTSADFSYNKCVLGAANSISPELGSYIEKILSNRAIRPHQL >ORUFI09G20710.2 pep chromosome:OR_W1943:9:19591323:19604927:1 gene:ORUFI09G20710 transcript:ORUFI09G20710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPAMEEPSLEVKMPEADLKAVKFSLMTSSDMEKLSSASIIEMCDVTNAKLGLPNGAPQCATCGSQSVRDCDGHFGVIKLAATVHNPCFIEEVVQLLNQICPGCLTLKQNGDTKKTDGTTIQTTCKYCSKDGAKLYPSVIFKMLTSPRVTLSRSKLHRNTSVMDKISIIAEVAGGVTHNSKNKAPHETLPQDFWDFVPDDNQPPQSNVAKKILSPYQVFHMLKNLDPELINQDDRTKAYKRMVDVSKRIDDCRQHPQFSVFASSVVTSRLYSRKSDGEDPTSPDTYGTKWLKDIILSKRSDNAFRSIMVGDPKINLNEIGIPTDLALNLVVSEQVSFYNFETINLKCNLHLLTKEVLLVRRNGKLIFVRKANKLEIGDIAYRLLQDGDLVLVNRPPSVHQHSLIALSAKLLPIQSAVAINPLCCDPFKGDFDGDCLHGYVPQTLQSRVELDGLVSLSGQMLNAQDGRSLVSLTHDSLAAAHQLTSADVFLQKAEFQQLQLLCSSISPTPEPSVVKSANFQGSLWTGKQLFGMLLPSGMNISFDQKLHIKDSEVLTCSSGSFWLQNNTSSVFSVMFKEYGSKALEFLSSTQDVLCEFLTMKGLSVSLSDFYLFSDHYSRKKLSEEIHLALDEAEEAFQIKQILLNTVSIPNLKHYDGPDNLSNSHGQSDFTQVSLPIIKSSITGFKSVFNDLLKMVLQHVSKDNSMMAMINSGSKGSVLKFVQQTACVGLQLPASTFPFRIPSELSCVSWNRQKSLNCEITNNTSECMAGQNMYAVIRNSFLDGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDTYVAYDGTVRSSYGRQIVQFSYDTADGMNNDHDLEGEPGAPVGSWAACSISEAAYGALDHPVNALEDSPLMNLQEVLKCHKGTKSAVHTGLLFLSKYLKKYRYGFEYASLEVKDHLERVDFSDLVDTVMILYGSSDMQRTQGNPWITHFHLSEETMKIKRLRLGFIVRELIDQYNALRKKLNNTIPSVCISYSKCSVGNECVKNRSCCVTMVAQVESNSTSQLDIIKERVIPSILATLLKGFLEFENVKVECQQDSELVVKVGMSEHCKTGKFWATLQNACIPIMELIDWERSRPERVYDIFCSYGIDSAWKYFVERPAYSFINAAKRDSVDNLSGALDAIAWGKEPCAGTSGPFKVLYSGKSQKTKQNKNIYDFLHNPEVQALEKNFMDTYKQRTEKPSKQRSAFSSKGNATINGGTISVNQKFLDSKVGIWENIIDMRTCLQNMLREYTLNEVVTEQDKSCLIEALKFHPRGYDKIGVGIREIKIGVNPGHPNSRCFIVQRSDDTSADFSYNKCVLGAANSISPELGSYIEKILSNRAIRPHQL >ORUFI09G20710.3 pep chromosome:OR_W1943:9:19591323:19605216:1 gene:ORUFI09G20710 transcript:ORUFI09G20710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPAMEEPSLEVKMPEADLKAVKFSLMTSSDMEKLSSASIIEMCDVTNAKLGLPNGAPQCATCGSQSVRDCDGHFGVIKLAATVHNPCFIEEVVQLLNQICPGCLTLKQNGDTKKTDGTTIQTTCKYCSKDGAKLYPSVIFKMLTSPRVTLSRSKLHRNTSVMDKISIIAEVAGGVTHNSKNKAPHETLPQDFWDFVPDDNQPPQSNVAKKILSPYQVFHMLKNLDPELINQVTPRRELLFLSCLPVTPNCHRVAEMQYGHSDGPRLAFDDRTKAYKRMVDVSKRIDDCRQHPQFSVFASSVVTSRLYSRKSDGEDPTSPDTYGTKWLKDIILSKRSDNAFRSIMVGDPKINLNEIGIPTDLALNLVVSEQVSFYNFETINLKCNLHLLTKEVLLVRRNGKLIFVRKANKLEIGDIAYRLLQDGDLVLVNRPPSVHQHSLIALSAKLLPIQSAVAINPLCCDPFKGDFDGDCLHGYVPQTLQSRVELDGLVSLSGQMLNAQDGRSLVSLTHDSLAAAHQLTSADVFLQKAEFQQLQLLCSSISPTPEPSVVKSANFQGSLWTGKQLFGMLLPSGMNISFDQKLHIKDSEVLTCSSGSFWLQNNTSSVFSVMFKEYGSKALEFLSSTQDVLCEFLTMKGLSVSLSDFYLFSDHYSRKKLSEEIHLALDEAEEAFQIKQILLNTVSIPNLKHYDGPDNLSNSHGQSDFTQVSLPIIKSSITGFKSVFNDLLKMVLQHVSKDNSMMAMINSGSKGSVLKFVQQTACVGLQLPASTFPFRIPSELSCVSWNRQKSLNCEITNNTSECMAGQNMYAVIRNSFLDGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDTYVAYDGTVRSSYGRQIVQFSYDTADGMNNDHDLEGEPGAPVGSWAACSISEAAYGALDHPVNALEDSPLMNLQEVLKCHKGTKSAVHTGLLFLSKYLKKYRYGFEYASLEVKDHLERVDFSDLVDTVMILYGSSDMQRTQGNPWITHFHLSEETMKIKRLRLGFIVRELIDQYNALRKKLNNTIPSVCISYSKCSVGNECVKNRSCCVTMVAQVESNSTSQLDIIKERVIPSILATLLKGFLEFENVKVECQQDSELVVKVGMSEHCKTGKFWATLQNACIPIMELIDWERSRPERVYDIFCSYGIDSAWKYFVERPAYSFINAAKRDSVDNLSGALDAIAWGKEPCAGTSGPFKVLYSGKSQKTKQNKNIYDFLHNPEVQALEKNFMDTYKQRTEKPSKQRSAFSSKGNATINGGTISVNQKFLDSKVGIWENIIDMRTCLQNMLREYTLNEVVTEQDKSCLIEALKFHPRGYDKIGVGIREIKIGVNPGHPNSRCFIVQRSDDTSADFSYNKCVLGAANSISPELGSYIEKILSNRAIRPHQL >ORUFI09G20710.4 pep chromosome:OR_W1943:9:19591323:19605216:1 gene:ORUFI09G20710 transcript:ORUFI09G20710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPAMEEPSLEVKMPEADLKAVKFSLMTSSDMEKLSSASIIEMCDVTNAKLGLPNGAPQCATCGSQSVRDCDGHFGVIKLAATVHNPCFIEEVVQLLNQICPGCLTLKQNGDTKKTDGTTIQTTCKYCSKDGAKLYPSVIFKMLTSPRVTLSRSKLHRNTSVMDKISIIAEVAGGVTHNSKNKAPHETLPQDFWDFVPDDNQPPQSNVAKKILSPYQVFHMLKNLDPELINQDDRTKAYKRMVDVSKRIDDCRQHPQFSVFASSVVTSRLYSRKSDGEDPTSPDTYGTKWLKDIILSKRSDNAFRSIMVGDPKINLNEIGIPTDLALNLVVSEQVSFYNFETINLKCNLHLLTKEVLLVRRNGKLIFVRKANKLEIGDIAYRLLQDGDLVLVNRPPSVHQHSLIALSAKLLPIQSAVAINPLCCDPFKGDFDGDCLHGYVPQTLQSRVELDGLVSLSGQMLNAQDGRSLVSLTHDSLAAAHQLTSADVFLQKAEFQQLQLLCSSISPTPEPSVVKSANFQGSLWTGKQLFGMLLPSGMNISFDQKLHIKDSEVLTCSSGSFWLQNNTSSVFSVMFKEYGSKALEFLSSTQDVLCEFLTMKGLSVSLSDFYLFSDHYSRKKLSEEIHLALDEAEEAFQIKQILLNTVSIPNLKHYDGPDNLSNSHGQSDFTQVSLPIIKSSITGFKSVFNDLLKMVLQHVSKDNSMMAMINSGSKGSVLKFVQQTACVGLQLPASTFPFRIPSELSCVSWNRQKSLNCEITNNTSECMAGQNMYAVIRNSFLDGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDTYVAYDGTVRSSYGRQIVQFSYDTADGMNNDHDLEGEPGAPVGSWAACSISEAAYGALDHPVNALEDSPLMNLQEVLKCHKGTKSAVHTGLLFLSKYLKKYRYGFEYASLEVKDHLERVDFSDLVDTVMILYGSSDMQRTQGNPWITHFHLSEETMKIKRLRLGFIVRELIDQYNALRKKLNNTIPSVCISYSKCSVGNECVKNRSCCVTMVAQVESNSTSQLDIIKERVIPSILATLLKGFLEFENVKVECQQDSELVVKVGMSEHCKTGKFWATLQNACIPIMELIDWERSRPERVYDIFCSYGIDSAWKYFVERPAYSFINAAKRDSVDNLSGALDAIAWGKEPCAGTSGPFKVLYSGKSQKTKQNKNIYDFLHNPEVQALEKNFMDTYKQRTEKPSKQRSAFSSKGNATINGGTISVNQKFLDSKVGIWENIIDMRTCLQNMLREYTLNEVVTEQDKSCLIEALKFHPRGYDKIGVGIREIKIGVNPGHPNSRCFIVQRSDDTSADFSYNKCVLGAANSISPELGSYIEKILSNRAIRPHQL >ORUFI09G20710.5 pep chromosome:OR_W1943:9:19591323:19604927:1 gene:ORUFI09G20710 transcript:ORUFI09G20710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPAMEEPSLEVKMPEADLKAVKFSLMTSSDMEKLSSASIIEMCDVTNAKLGLPNGAPQCATCGSQSVRDCDVAESDMSRLSHSEAKWGHKEVAGGVTHNSKNKAPHETLPQDFWDFVPDDNQPPQSNVAKKILSPYQVFHMLKNLDPELINQDDRTKAYKRMVDVSKRIDDCRQHPQFSVFASSVVTSRLYSRKSDGEDPTSPDTYGTKWLKDIILSKRSDNAFRSIMVGDPKINLNEIGIPTDLALNLVVSEQVSFYNFETINLKCNLHLLTKEVLLVRRNGKLIFVRKANKLEIGDIAYRLLQDGDLVLVNRPPSVHQHSLIALSAKLLPIQSAVAINPLCCDPFKGDFDGDCLHGYVPQTLQSRVELDGLVSLSGQMLNAQDGRSLVSLTHDSLAAAHQLTSADVFLQKAEFQQLQLLCSSISPTPEPSVVKSANFQGSLWTGKQLFGMLLPSGMNISFDQKLHIKDSEVLTCSSGSFWLQNNTSSVFSVMFKEYGSKALEFLSSTQDVLCEFLTMKGLSVSLSDFYLFSDHYSRKKLSEEIHLALDEAEEAFQIKQILLNTVSIPNLKHYDGPDNLSNSHGQSDFTQVSLPIIKSSITGFKSVFNDLLKMVLQHVSKDNSMMAMINSGSKGSVLKFVQQTACVGLQLPASTFPFRIPSELSCVSWNRQKSLNCEITNNTSECMAGQNMYAVIRNSFLDGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDTYVAYDGTVRSSYGRQIVQFSYDTADGMNNDHDLEGEPGAPVGSWAACSISEAAYGALDHPVNALEDSPLMNLQEVLKCHKGTKSAVHTGLLFLSKYLKKYRYGFEYASLEVKDHLERVDFSDLVDTVMILYGSSDMQRTQGNPWITHFHLSEETMKIKRLRLGFIVRELIDQYNALRKKLNNTIPSVCISYSKCSVGNECVKNRSCCVTMVAQVESNSTSQLDIIKERVIPSILATLLKGFLEFENVKVECQQDSELVVKVGMSEHCKTGKFWATLQNACIPIMELIDWERSRPERVYDIFCSYGIDSAWKYFVERPAYSFINAAKRDSVDNLSGALDAIAWGKEPCAGTSGPFKVLYSGKSQKTKQNKNIYDFLHNPEVQALEKNFMDTYKQRTEKPSKQRSAFSSKGNATINGGTISVNQKFLDSKVGIWENIIDMRTCLQNMLREYTLNEVVTEQDKSCLIEALKFHPRGYDKIGVGIREIKIGVNPGHPNSRCFIVQRSDDTSADFSYNKCVLGAANSISPELGSYIEKILSNRAIRPHQL >ORUFI09G20720.1 pep chromosome:OR_W1943:9:19607001:19608755:1 gene:ORUFI09G20720 transcript:ORUFI09G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALQRSGSNSLAALLRADPPPNAAIADQDDAKRPGRRRRRRRRSCLRLPLGVGAGGCRVCACDEMDPATAAPRRRAPEEKDDDEEEEEAVPPAALQCFSWKKGAAAARTSGVGDGDRVMVEEVEAAAASLSVLPDDLMEMVLGRLPLASLLAARCACRRWRDLTVAPQFMRMRRVEARPHRTPWLFLFGVEGDGWGATAAATAVHALDVDAQRWRRVGADGLRGRFLFSVAGVGDELYVVGGRSGDAGSVKTKTHKGVLVYSPLAGAWRKAASMRSARSRSVLGVFEMGTISRSILLARADKHVHRHANTGGGKFRLGGTSAVYEDPHRLSLRRLRLRDVLNDDADSSEFAATDAKVAGQEEERRAQQRLALIAVGGRGRWDEPLVSGEIYDPVTDKWFEIAGFPADVGLACSGAVCGQMFYVYCESDTLVAYHLDKGFWSVIQTSRPPPRLRDYAPTLLCCSSRLLMLCVSWCDRAGNGSASRRERVMRKLFELDLGSRRWGEASSHPDAPMDLNAAFAAGADTVYAVEMFRVFGKVLDFVTACRVSDTDDHRWRRLARNNAAADADAMSSKLKSMAVLHL >ORUFI09G20730.1 pep chromosome:OR_W1943:9:19611150:19617449:-1 gene:ORUFI09G20730 transcript:ORUFI09G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPCSVRAAGSNPIGCLEVAEPWSGAAPPALPPLPGHLHVAAPAAEDDDDALAAAAAAVPSEQRVHDVVLKQAALAAAAPEMRRPAQLAERERVAGGLNAAFDRCGEVCKEYAKTFYLATQLMTPERRRAIWAIYVWCRRTDELVDGPNASHMSALALDRWESRLDDIFAGRPYDMLDAALSHTVATFPVDIQASTTNTMKSQEYSQYAPCVQPFRDMIEGMRLDLTKSRYRSFDELYLYCYYVAGTVGLMTVPVMGISPDSRANTETVYKGALALGLANQLTNILRDVGEDARRGRIYLPMDELEMAGLSEDDIFDGRVTDRWRCFMRDQITRARAFFRQAEEGASELNQESRWPVWASLLLYRQILDEIEANDYNNFTKRAYVPKAKKIVALPKAYYRSLMLPSSDSKPEILCPSYSDDILHYLKSGCRCRALHAHLVVHGVDDVTSQILASYCALPAGGGVWYARQLFDRIPDPDRFVYNSLIRAYCNSHCPQEALPLLRGMIRRGILPNEFTLPFLLKACARVQAWEHVMVTHGVVVKLGFVGQVFVGNALLHSYASAGSLGDSRRFFDEMVDRNVVSWNSMINGYAQAGNTREACSLFEGMRRQGLLADEFTLVSLLFACSAEGNLEFGKLVHSHLLVRGCRIDLILANALVDMYGKCGDLLMAHTCFDMMPFKNAVSWTSMLCALAKRASIDAARDWFEQIPEKSIISWNAMISCYVQGGQFHEALDLYNRMKLLGLAPDEFTLAAVLSACGQLGDLASGKMIHDCIRDNFHNPGVALFNSLLDMYARCGQVDTAISLFSEMPSKNVISWNAIIGALAMHGRAQDALMFFRSMVSDAFPPDEITFVALLSACNHGGLLEAGQYYFQAMRHVYNVKPGVEHYACMVDLLGRGGQLAKAVDLIKDMPMRPDVVVWGALLGACRIHGHIQIGKQVIKQLLELEGMSGGLFVLISNMLYETHQWEDMKRLRKLMREWGMKKNMGVSSIETNSNIHESGAEGIGHESSDDMYVGDDRLPHHLVFPNALAVPPDQLNVEERKSILKTS >ORUFI09G20740.1 pep chromosome:OR_W1943:9:19613143:19614505:1 gene:ORUFI09G20740 transcript:ORUFI09G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIITATREPTVIDLNQSFLVRYERINNGGRRCASQHVQLGTGIQTSDNVVYHLNMTGTRSLVCYPPRRTHKQFSVHLKEEQVRDLSPLQQVKQKEKLGERSTRCSNKIHVTLCYTRHVAKLVYKLNYYLCTARYSD >ORUFI09G20750.1 pep chromosome:OR_W1943:9:19620373:19629422:-1 gene:ORUFI09G20750 transcript:ORUFI09G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRGLALSPPPLVAPPTCAPSRRLVPSPRSRSGYGVRVAAAADGAPRPSDPVEVVGVGSRKDAVIDFCLGSRTLSSTPIRFWTMHVVDNCTVQLIQKSHGEDVVVRDLETPLSLQPCPPAVASAGQDADHITAMELLSEVKSAGKLAASIFLKPFCFEGQRRQLEATDLIDKLQMCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGLNQTFRSSINAQIMEVHPDELGQLLRSYGEARIGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSITSSRIFSESDMVSTLHIFRRVTGFTEDIIFSRNCEPDLEPKLIVVSLLTVRNSTDENVASVKEGFLSGLALHFPFISSLMGGDIPEQKQATLKHSYSKLPSNGLSLAEQEFSRLSSAFTNVVVNNLFPEETDIMKSERESKEKTQTQSQEAKIEPDGEISKDREREHLDSEQEHKFWSNSPGIGIAQLWAKVRMASDGGTQNNDINIITLPVGVKSPEVQCGADTRPEAHSGSSSTSAASGHAAFGVSFSDIGLEKVTEMYSSAMTFLKGGMDRSRKRGSVANRAALMLDAERELEKTWSPIVEIQFGGGIYRGRCQEGVPEGKGRITFSDGSFYDGLWRYGKRSGLGTLYYSNGDVFHGTWRDDLFHGKGWYYFHSGDRWFANFWKGRANGEGRFYAKDGSVFFGNFQNGWRHGEALLIDANGSSKCHDHNTFRNSGQKSDINELMCDCLTHHVAVYRGCDSRVNK >ORUFI09G20760.1 pep chromosome:OR_W1943:9:19637464:19642241:-1 gene:ORUFI09G20760 transcript:ORUFI09G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKEARRRVYLCPEPSCVHHDPSRALGDLTGIKKHYCRKHGEKKWRCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQENARMPPIGAGVYGGAGNMTLGLTGMAAPQLPAGFPDQAGQPSASAGDVLRLGGGSNGASQFDHLMASSSGSSMFRSQGSSSSSFYLANGAAHHAPAQDFGPEDGQSQAGQGSLLHGKPAAFHDLMQLPVQHQQSGNGNLLNLGFFSGSNGGVDQFNGGAGNGGQGSIVTSSGLAGNHGGGGGGFPSLYNSSEPAGTLPQMSATALLQKAAQMGATTSSYNAGGAGGASSLLRGASSHGISAGEGPANERASYQNLIMGSMASGGGGAGFAGSFSGASGFGGAVDDGKLSTRDFLGVGVVQGISGSAAMGPPRHGAAGLHVGSLDPANMN >ORUFI09G20770.1 pep chromosome:OR_W1943:9:19642615:19643415:-1 gene:ORUFI09G20770 transcript:ORUFI09G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKLASIYWRDIYQLATTPTTTTTTIVYYSPARDILNSKADQKRPEERQIDKQCQPCRLLCDLNWTELIRWLRLT >ORUFI09G20780.1 pep chromosome:OR_W1943:9:19655358:19657355:-1 gene:ORUFI09G20780 transcript:ORUFI09G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPKRDANYVPLSPITFLHRAASVYADRTSVVYGATSFTWRQTHHRCLRLAAALQSLAVSKNDVVSVIAPNTPALYEMHFAVPMAGAVLNAINTRLDAANVAAIVRHAEPKVLFVDYQFIRVATDALKAVMGDGDGDGGEVRAPLPLLVVIDDADKPTGARVGELEYEQLVARGDPARYPPRPVEDEWDAVALNYTSGTTSAPKGVVYSHRGAYLNTMGLLLQWGVGHEPVYLWSLPMFHCNGWTFTWGVAARGGTNVCIRAPTADAMYAAFAAHGVTHMCAAPVLFNILLDGACREPLRRPVEVLTGGAPPPAALLERVERLGFHVTHAYGMTEATGVVMVCEWREQWDALPPSERARLKARQGVSALTLADADVKDLKTMESVPRDGATMGEVVLRGSNVMKGYFKNPRATADAFRDGWFLTGDVGVVHPDGYVEIKDRSKDVIISGGENISSVEVEAALYQHPAVREAAVVAMPHPHWGETPCAFVALKREFAGAGEVSEQEVVSFCRNRMAHYMVPRKVVFVDELPKNSTGKVQKLALRDMARRLRLRAPDKARPVAAPARHGPLTQTARL >ORUFI09G20790.1 pep chromosome:OR_W1943:9:19662689:19663768:1 gene:ORUFI09G20790 transcript:ORUFI09G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAANRRRRRGGVVYAVVLDDKEGSLVFRFRRKDLFSDDDDPPPAARRRFPRPVAHFPRGYYHYVFHSFTVSGKQILGVSPFGATVVIEDDGRPGGSGAMRAGPELGTTTCQPILLPIRDDMVLVMAYLPQPAGRSNFAVMRRLPDGGGGGGGWRVVPVPEPPLGGPGDYYCRKPAPVVTAYMTIGRRTWVSIAGEGTFSLDAGGAAAWRKEGSWELPLHGQAMYVRELGAAIGLGRGPGPYGGVVLWLCACDVEARPPVIRRSWNETFPRELVAAHSQYDCPGNLVYVGDGRFCICWVAGVEHDRPETNDMVARTAVVTMAVRLRRSAGGELQLTKLRYHLMSPQGRRAYFVQPHIP >ORUFI09G20800.1 pep chromosome:OR_W1943:9:19664946:19667646:-1 gene:ORUFI09G20800 transcript:ORUFI09G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGHRANAVATFAVTILAAMCFAASFSDHFNSPSPTASVKILNINWFQKEANGNDEVSMTLNISADLSSLFTWNTKQVFVFVAAEYETPQNALNQVSLWDGIIPSKEHAKFLIHTTNKYRFIDQGSNLKGKDFNLTMHWHIMPKTGKMFADKIVMTGYRLPEQYR >ORUFI09G20810.1 pep chromosome:OR_W1943:9:19668502:19669797:-1 gene:ORUFI09G20810 transcript:ORUFI09G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKLPLIFALLSSATLISVAPSVRAARLPSSVLVRGAGEFLEHIGKEIANQLSGSRDYPNEGDQSNSTRRGLSSTPGGVQEKHVEPHVFLGIGTPAMNLTLVFDTTSDLLWTQCQPCLSCVAQAGDMYDPNKTETYANLTSSSYNYTYSKQSFTSGYFATETFALGNVTVANITFGCGTRNQGYYDNVAGVFGVGRGGRGGVSLLNQLGIDRFSYCFSSSGAPGSSAVFLGGSPELATNATTTPAASTPMVADPVLKSGYFVKLVGVTVGATLVDVAGASSAEGGGRALVIDSTSPVTVLDEATYGPVRRALVAQLAPLKEANANASAGVGLDLCFELAAGGATPTPPNVTMTLHFDGGAADLVLPPASYLAKDSAGGLICLTMTPSSSNGVPVLGSWALLDTLVLYDLAKNVVSFQPLDCAAFLAATG >ORUFI09G20820.1 pep chromosome:OR_W1943:9:19670764:19675148:1 gene:ORUFI09G20820 transcript:ORUFI09G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSLKKKSGGGKSGEHGGGGKPALDRSGSKVLDGDETIFTDMAQELKEEGNKLFQRREHERALLNYEKAIKLLPRGHPDVAYLHSNLAACYMQMSPPDHYRAINECNLALDASPRYSKALLKRARCFEALGRLDLAYRDVAKVLAVEPNNLTAIDVGDRVKKAMDEKGIVMDDKEAMPSPEEVVAAAPKQKPRKKKGRKAAAKAAAAAVEEEEEAKVVEPVKEVEEPPRQVKLVFGEDIRWAQVPASCSMAQLREAVRSKFPGLKAVLVKYKDKEGDLVTITNQDELKWAEDLAEPGSSLRLYVTEANPEHEPYLDDTNSGPLERNVNSDNGSTRSNRQDEDRSTVTCIDDWIVQFARLFKNHVGVSSDEYLDLHEVSMKLYTEAIEDTITTEEAQEVFQLAESNFQEMAALAFFHWGNVHMSRARKRLLLPGDSPQESVLEQVKEAYEWAKEEYNKAGRRYEEAVKAKPNFFEGFLALAHQHFEQAKLSWYYAIGSSVDLDTWPSSEVLELFNKAEDNMERGTEMWEEMEEQRLKNRSKPSQENVVLEKMGLDEYIKDVSTDDAAEQASNMRSQINILWGMLLYERSVVEFKLGLPMWEDCLMAAIEKFKLGGASATDIAVLVKNHCANETAQDGLGFKIDEIVQAWNEMYDIKRWLRGVPSFRLEPLFRRRVPQLHTALEHI >ORUFI09G20830.1 pep chromosome:OR_W1943:9:19676921:19677526:1 gene:ORUFI09G20830 transcript:ORUFI09G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRPQNPSARGEPPSRAAPRATQTPSPKTLTPPLLKPPREETKTPPPPRDDASARTPARAAASASLPVHSSRTVSRDGGGGGSVWNREGRRGVGVGVGGGLCGLRCRRGGEIPGCARARGFDLKISSN >ORUFI09G20840.1 pep chromosome:OR_W1943:9:19680157:19686130:-1 gene:ORUFI09G20840 transcript:ORUFI09G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASASALQAERCLLVGVGAGPRRHRLPLRMPPPLHAPPALLLLPHRRRRRWPPAVRASPGEGGGGGGGGGGGGGLAGALEKRPVMGVVAEEEDGEERGAKVVEEGEGDVAAAAALEMRWPPWEGLAERYKLIGATSLAFVICNMDKVNLSVAIIPMSHQYGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLFGGRRVLEIGVVAWSLATAIIPAVAGSIPVQERSRAVAVVFGGLSFGSVLGLLFAPPIIQNLGWESVFYIFGLLGIIWCLGFQSLKEQQLRGNEDIQVIQDLGQSPSGSSDLISSSVSPKSSESSLGELMNSLKDVPWREFFKSKAVWAMIYAHFCGSWGHYTCLSWLPTFFSEELDLNLTEAAWVSVLPPLGSMIITSIAAPFADNLISNGVDTTKVRKICQTIAFLSPATFMMLSSVDLGVPPWEIVAFLTSGLALSSFALSGLYCTHQDISREYASILLGITNTVGAVPGIVGVALTGYLLDTTHSWSISLFAPSIFFYLTGTAVWLAFASSEPQEFSKSEPESS >ORUFI09G20840.2 pep chromosome:OR_W1943:9:19680157:19686130:-1 gene:ORUFI09G20840 transcript:ORUFI09G20840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASASALQAERCLLVGVGAGPRRHRLPLRMPPPLHAPPALLLLPHRRRRRWPPAVRASPGEGGGGGGGGGGGGGLAGALEKRPVMGVVAEEEDGEERGAKVVEEGEGDVAAAAALEMRWPPWEGLAERYKLIGATSLAFVICNMDKVNLSVAIIPMSHQYGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLFGGRRVLEIGVVAWSLATAIIPAVAGSIPVQERSRAVAVVFGGLSFGSVLGLLFAPPIIQNLGWESVFYIFGLLGIIWCLGFQSLKEQQLRGNEDIQVIQDLGQSPSGSSDLISSSVSPKSSESSLGELMNSLKDVPWREFFKSKAVWAMIYAHFCGSWGHYTCLSWLPTFFSEELDLNLTEAAWVSVLPPLGSMIITSIAAPFADNLISNGVDTTKVRKICQTIAFLSPATFMMLSSVDLGVPPWEIVAFLTSGLALSSFALSGHVVKLPMSIPFSVFARSKAKYSGLYCTHQDISREYASILLGITNTVGAVPGIVGVALTGYLLDTTHSWSISLFAPSIFFYLTGTAVWLAFASSEPQEFSKSEPESS >ORUFI09G20850.1 pep chromosome:OR_W1943:9:19687009:19692289:-1 gene:ORUFI09G20850 transcript:ORUFI09G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRAAGLLPLLLSSPSRARLPHRQALALTPPLLRPHRLYSHSPKPSSSAAFSAFASASNGAPAGRARELHLYNTKSRRKELFQPRVPGGEVGMYVCGVTPYDDSHIGHARAYVAFDVLYRYLRYLDHKVRYVRNFTDIDDKIIARANQLGEDPFSLSKRYSDDFLSDMANLHCLPPSVEPRVSDHIDQIINMIKQIIDNDCAYAIGGDVYFSVENFPEYGDLSGRKLDDNRAGERVAVDERKKNPADFALWKAAKDGEPSWDSPWGPGRPGWHIECSAMSAHYLGHSFDIHGGGEDLIFPHHENEIAQSRAACCDSSINYWIHNGFVNVNSQKMSKSLGNFVTIRKVTELYHPLALRMFLLGTHYRSPINYTIEQLNVASDRLYYTYQTLQDCEESCQQHQSKAGDPLPVNTTNCIQKLHDEFETSMSDDLHTSVALAAISEPLKVMNDLLHTRKGKKQEKRLESLSAMEEKIRMVLSVLGLLPSSYYEALQQLREKALRRASMTEEQVLQKIEERTSARKAKQYEKSDEIRKELAAVGIALMDGPDGTTWRPSVPLSEQGVVAST >ORUFI09G20860.1 pep chromosome:OR_W1943:9:19696573:19707200:1 gene:ORUFI09G20860 transcript:ORUFI09G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFYCVRVQIDSASLRHILRPFAAQGAAPPEQLAASHPSSQYGQPARAAPSTSLLAQVAGNHPHATHVSDRKALFGMLNAGNAANVIDLTRASPLGGAEPLPKHPRHGLEASSSVEQPSCLGPLFQNTSANVQGSFPGECSVNNGISQGAIQFQDSSTCAVQKLPSQSTPRHHPALLGDQIRVSCLNVGGEFFVGEAGIFGVRCFCHRLRMSVAKFCEHSGGPAEKAGEIVIMDNGMTIVQWLKYCMGVGASISDTKWDWPEWAYMRYSSEEYWTKSLLTTNNNMEKTGLFSGHGKSTGHINNPVYSSDIHNEVGRFTSVEKLVNKPDETFYRKSVGLHEAFSKNPAIQQSSKINLANHMIHDMNMNSISRPSERTYSTANMGITYSRNHLAHDYANFLEKNLNNLSRSPGPSSTRVLSNDSRACMPDVPHKIIQDGSESLGADAYPKQTTKANKTIENIEPSFGTGNRKRSLDVSNGTSHSELNEITDDAAKNSFISLFLSHLERNSTSESIDDVLNSNEHYLLKAPDVAYSSDRLKTASTQVETRANDNQLKLAPAIIHTKRISDSRSLPVPVASKGYVHQDVLHANSQEPLINGDCLPHLLPSQPNAGISKICAEVSSPVNCRCCNHVADKSHLAHSETGAPCFYDRTENQCIDAVAKLKKILPNLVLELAISADLISVMMALQFQPIGLDEVRTRSTFIPRSSLCSRELMLQSCCHACPIDGYYRSSMGHTANSLTKNTLLDAPNNTECSPYRDGKCCCSLAPKCLAGYGFTKHCVARIDQTDHTVQKSKDDGMQAAARCCTLGESEKLICQCSSEIIARKSDSKASFQNEVSTEVLNRPCVPTLQQLKNVTEASAVGGHWPYETVKEKASACRDSGIFKELKSGFSSGFSSDVVTKFSASPELNKYGLEHKNLVFDEGSRIEKCSSSSYLPISTGCEEAQNSFSRFHLEPSLVKHKNNQISEGSTRKEHENEGQCSEMSKKTRTLRCCANKSESDDCTRKIDLSSREGDSQPQHKAGPFSRRVSKTKRKHPPTHLNKHVKRLHSNCKVLNVDNERSDDEGIYVGESNSSDRKKQEDNMTTLDRTKCQQQGSRLLVRKLPKYVSLNCIVNETNSEDACSGSASIDSSLIATGITNDNRKSPKIVPLNLILKKAKRCHAIKPLSKTENIHFSEEKSSDGSADKSSSGDRSFSPQDELWSPKKNRYSSNVSRPHVKTDCQSPCCVLEEDEPLSLADMGTSQLSASRSRGSKNQRACISLNRMERYIQRPSLDASCCVCGISNLEPSNQLIECSKCFIKVHQACYGVLKVPRGQWFCKPCKINTQDTVCVLCGYGGGAMTRALKAQNILKSLLRGIATAKRSDKYVYSSGNVNSECTSKLHGEYVRHDSFNGHRSRSFNAISSFGIKEASIGSARGDIISKSWTSNRNSSLLGPRTRQWVHVVCGLWTPGTKCPNTITMSAFDISGASPAKRNTECSMCNRTGGSFMGCRDVNCSVLFHPWCAHQRGLLQSEPEGEHNENVGFYGRCLDHAMLDPNHVNPKKECLRSNDWTCARTEVFRGRKGDSFGANRSRKPEEKFGECSVSQEQINAWIRINGSKSCMRGQKEYVHYKQLKGWKHLVVYKSSIHGLGLYTSEFIPRGSMVVQYVGEIVGQCVADKREIEYQSGKRQQYKSACYFFKIGKEHIIDATRKGGIARFINHSCQPNCVAKIISVRNEKKVVFFAERHINPGEEITYDYHFNREDEGQRIPCFCRSRGCRRYLN >ORUFI09G20870.1 pep chromosome:OR_W1943:9:19707384:19709309:-1 gene:ORUFI09G20870 transcript:ORUFI09G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTYTPTYYSGLHDTIASLCKSILPFGGFRSGRRLTADQAAARRHADALKWQQESFHRILHLSALHREGIVPPSDVDAFRADMLATLAAAPPPPAHPDQPAILRDKLLFLQELLYAKCISAAEYNSTKSPLVQRLAAFGVVVDCPDADVGDGGAASAAAPASSSSMEEWSEIDLRDPPPAAAASDKPKHKAFVPPWKSRGKKELDASRPPLAPVDQNNSKNAPSVLMAESSPSEAMPTAKTDKGKRRHLTAMFHNGGNGSENKEPPAASMEGTDQEKDASKSKKKSSWGFDGLKKWKKASNDEATAGGERPEHAAPRSSYSECRLEASPAVAKDAKRAKKKLNTATGDDDSASDLANDKVLVENTKKELSRIQAELSSTNRNLNFSDQQIEAISTRLPVDKSDLKTFFPKAWCDEHGDNVINVAKKEFKEHVEEMEKQRDITGGGDGWVAFGDSHDENFNPRAFSQHQAAVKGNVHDSLSSSQHFTNPFYDEKNPFLTPSYD >ORUFI09G20880.1 pep chromosome:OR_W1943:9:19720370:19724443:1 gene:ORUFI09G20880 transcript:ORUFI09G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMATVDRYMWNKTEHLTLRPPCHGGDPLDAHQPLPWILLDVRAYIADRRNATTAAADLGNGHGIEITIFTAPPPQVSYICAWCPTGDPARIFANEPLVGCVNADLVFLRLYSEVVYDLVYRAGGRPSLTLIRDPVDVDAASLQRDYLRSLHNIALLRRALWIWTSIESHHLRQLTNSASTSPPSMAMATGNPHLQRARPWLSWKLPATAARAHQLSNELLCRDIAIVNGLLTIVGLRTFFDSDTDCWSWDLSTWSKPVAHLDNSKDWQKGFMVDSSDITVDATCCNVELLPKLEGRPAMAKLQVALPTLSLTDANVVYVMGKVDASDEKAVVLMVDMANKRLQMVSVYDAERIINDFDYSYTQSTISQYFTTAAGVKGNLKRPLKFHMQYPHKRLGETISRSDNPIDLHEPLQLDTGSGMGTKDETEDSVIPMDLE >ORUFI09G20880.2 pep chromosome:OR_W1943:9:19710892:19718214:1 gene:ORUFI09G20880 transcript:ORUFI09G20880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVFPYRWTKTKHLTERPPTHGHPPDAHPWIVLDVRAYIADRQNATTATTSLTSGRKLQITICAAPPPLVSYVCAWSPNADPALVFAKEPVVACVNADLVFLRVHSDQVYHLVYHAGGGGDSRRRRPSLTLVRHPDRPFHRCHYLSELGSIAILSRRRAGAGDDHDDDSTGFYVCSLDQELWYGLPGQRGHFKLCLYDSIDGEWSQETLRLDQLRNPQDKDTVFHYTEKVITLHDEQVVAFVDLWRGMVICNVNDGTCNNVELLPKLNGLPAMDKLRIARPMLSLMDSHVVYIMGKVNLSGEKAVVLTVDMANKRLQGVSLRDAERIVYDDNGCSYKQYTISQYFTTSAAMATAFPYSFTRTKHLTLCPPSSHGGDAADHGPLPWILLDVRAYIADRRNSTTATIVFSNGRKIQITFCIAPPPLVSYICAWSPATDPAVFFAKEPAVGFVNDNLVFLRVHSDQIYDLIYHASSRPSLKLIHNPYSPYNPYDYLRRIDNVVILPDRRHAAGADDDDNNSGHFYVSSLDRDHRFDIGHFKLCLYDEDDSMDCKWSNNILLLDQLRNAPDKDIVLHLTEKVLILDDEQPLVAFVDPWRGMVICNVLDNSSTPGGSSYMPMPSEIFNIHNTYTSSISRDIAIVNGRLTVVRLCLYLDSDDDSDDDDDDDCCAWDLTTWSKPVTCLDDEWREDLKIKSSDVSIDDSTRNACLLPKLDDGCPTTETLQLAHPTLSLVDAHIVYIMGKVDVSDEKALVLTVDMANKRLQEVSMYDAERIVNDFDYAYTHSTISQYFTTAAADPILSTSDR >ORUFI09G20880.3 pep chromosome:OR_W1943:9:19718132:19720434:1 gene:ORUFI09G20880 transcript:ORUFI09G20880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFPYSFTRTKHLTLSPPSSHGGDAADHRPLPWILLDVRAYIADRRNSTTATIVLSNGRKIQITFCIAPPPLVSYICAWLQEVSVYDAERIVNDFDYAYTHSTISQYFTTAAAGLKRNLKRPLKFHMQYPHKRQGGPGSKIRDETEDGNNPMELD >ORUFI09G20890.1 pep chromosome:OR_W1943:9:19725621:19728201:-1 gene:ORUFI09G20890 transcript:ORUFI09G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVRRALSLAAAASSPAKPSFSTAVAPLRSPMDDRLLRLLRSEINYISERRPPYPPPKAFKSFGVEDRPGEQWVRLRATRGAQDEEVKVDATMFDGAAAPPPDAPLFRRVESLERGPRLHLSLIVEVSRADRVLGFICSAWHDELVVRHVLTLRDADGSSTSSGGRDFVKLEARERESVRKFLQEREVDDELAEFLHDYMANKEKMELLRWLKTVESFVEKNGEEEFLAGIRMTLDLFQSSNYGN >ORUFI09G20890.2 pep chromosome:OR_W1943:9:19727343:19728201:-1 gene:ORUFI09G20890 transcript:ORUFI09G20890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVRRALSLAAAASSPAKPSFSTAVAPLRSPMDDRLLRLLRSEINYISERRPPYPPPKAFKSFGVEDRPGEQWVRLRATRGAQDEEVKVDATMFDGAAAPPPDAPLFRRVESLERGPRLHLSLIVEVSRADRVLGFICSAWHDELVVRHVLTLRDADGSSTSSGGRDFVKLEARERESVRKFLQEREVDDELAEFLHDYMANKEKMELLRWLKTVESFVEK >ORUFI09G20900.1 pep chromosome:OR_W1943:9:19729617:19730687:-1 gene:ORUFI09G20900 transcript:ORUFI09G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSSEAADRSLRQKAAAMVASSMETYRSKSMSFWLLLVLSAGAMLTAFPASSLLSRLYYNNGGQSKWILSWSAVAGWPLPALLLLPCYLAGKAAPTPLSPKLCAWYALLGLLSAADNLMYAWAYAYLPASTASLVAASSLAFSALFGCAIAKNRLRLSSLNAVVVITAGVVIIALDSGSDRYPGITGRQYALGLVWDVLGSALHGLIFALSELVFVRVLGRRSFHVVLEQQAMVSLCAFAFTTVGLAVSGGGFPAMRREAAAFRHGEASYAMVMVWSAVTFQLGVLGGTGVLFLASTVLAGVLNAVRVPVTSIAAVIWFHDPMSGFKILSLLITVWGFGSYMVGHSSTKKASTN >ORUFI09G20910.1 pep chromosome:OR_W1943:9:19730699:19732796:-1 gene:ORUFI09G20910 transcript:ORUFI09G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLRHTKNINKIRPSERATCTNQTRVQTYHQEAVCWLGCSSKSSIIISSSSLGDPESVASSLAVRDSATDGGGGRWRGTSTATATATVRDAIIMSSRSQRQQ >ORUFI09G20920.1 pep chromosome:OR_W1943:9:19733501:19736167:-1 gene:ORUFI09G20920 transcript:ORUFI09G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRLAVLAVAIGALVASSSAAVCPHANHTAPFAADFTMLQHQLRGTVRLADDGSCALRLTRFDLLAASPSARFWAARGDSLADLAAGRAFSPHPLNSTFRNATLLLPFSAPLPPLLALFDPDTSSDLGHVFLPVSASNATDDSGVASPSPSPEPVPTMFDNCLPLAENKYRLRWTLNASAGTVEIGLEAAVGSEYYMAFGWADPKANSPAMIHSDVAVAGFTEEGMPFAEDYYITDYSECTLGTDESPVSGVCPDKVYDEGKNDSLLVYGHRRDGVSFVRYQRKLDTGDTKYDVLVSATEEMAVVWAIGKLRPPDTLRPHYLTQNHGGPRDTTYGFARVNLSETVDSCNGPLDADNKEDQERIIADAKTPLVVTSAPAVRYPNPPNPDKVIYINKKEAPLLKVERGVPVKFSVQAGHDVALYITSDPIGGNATLRNKTEVIYAGSRDAHGVPATPTELLWLPDRNTPDLVYYQSLYEPKMGWKVQVVDGGLSDMYNSSVLLDDQQVTLFWTLSHDSISIAARGEKKSGYLAVGFGSGMLNSYAYVGWVGNDGVGRVKSYWIDGKSAAGIHPTSENLTYVRCRSENGIITFEFTRPLRPSCSGRVECKNIIDPTTPLKVVWAMGASWSGNSLTDSNMHSITSSRPIRVLLLRGSAEAEQDLRPVLAVHGFMMFVAWGILLPGGILAARYLKNLKGDGWYQIHVYLQYSGIAIMFLGVLFAAAELRGFYVSSVHVKFGVTALLLAGLQPLNAYFRPKRPANGEASSWNRVLWEYLHVITGRSAIIVGIVALFTGMKHLGHRYDSENVEELTWALMLWVLSAIVITLYLEYKEVKRRSGDTSSRGHWVLGNTEEDDSVDLLHPDSTDRNSESSPSGVMEVQLEPLAR >ORUFI09G20930.1 pep chromosome:OR_W1943:9:19741041:19745124:1 gene:ORUFI09G20930 transcript:ORUFI09G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRRAMRAPEMLRWLAAAAALVALLAAAPAAGFYLPGVAPTDFGKGDSLPVKVNKLTSVKTQLPYAYYSLPFCKPETIVDSAENLGEVLRGDRIENSPYVFQMREPKMCQIVCKLTVGEKEAKELKEKIEDEYRVNMILDNLPLVVSVLRQDKNIAYQGGYHVGVKGQYTGSKEEKYFIHNHLSFLVKYHKDDDSELSRIVGFEVKPYSIKHQLDDKWDGVNTRLSTCDPHANKLVTSSDSPQEVEAGKEIIFTYDVRFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETQEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLVWVLMGLLAGYASSRLYKMFKGSEWKRITMRTAFLFPGIAFVIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPALEPPVKTNKIPRQIPEQAWYMNPIFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILIITCAEITVVLCYFQLCSEDYMWWWRSYLTSGSSALYLFLYAAFYFFTKLQITKLVSGILYFGYMLLASLAFFVLTGTIGFCACFWFTRLIYSSVKID >ORUFI09G20940.1 pep chromosome:OR_W1943:9:19745234:19747045:1 gene:ORUFI09G20940 transcript:ORUFI09G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCSGQRLNKKGSRFLTRTAHKSNQIARASLSPPLTLPLAPWVELGGGAMACHLLLVAVVAGFAVSLAGATDHIVGANHGWNPNIDYSLWSGNQTFYVGDLISFRYQKGTHNVFEVNQTGYDNCTMAGVAGNWTSGKGFIPLNDSRRYYFICGNGFCQAGMKVAITVHPLKHNATGDGAKNHGGDGAAQEAAAAAMPGAAVWMAVLAVAAAAVAILP >ORUFI09G20950.1 pep chromosome:OR_W1943:9:19746587:19750906:-1 gene:ORUFI09G20950 transcript:ORUFI09G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKYSGEGGNDRLRYGLASMQGWRTTMEDAHTALPRLDECTSFFGVYDGHGGKAVSKFCAKHLHLQVLKNEAYSSGDLATSVLKSFFRMDEMMKGQRGWRELAELGDKGQKFTGMLEGIIWSPKPGESDKPEDTWTEEGPHSHFPGPTSGSTACVAIIRNDELIVANAGDSRCVLSRKGRAYDLSKDHKPDLDAEKERILNAGGFIVAGRVNGSLNLARAIGDMELKQNEFLPAERQIVTAEPELNTVKLSEDDEFIVLACDGIWDCMSSQEVVDFVHKEMNTEDSLSAVCEKLLDHCLAPVSGGDGCDNMTVIIVKFKKPSKSAATSSTNQSVSSEEMRPNELDDGPSDPNK >ORUFI09G20950.2 pep chromosome:OR_W1943:9:19746730:19750906:-1 gene:ORUFI09G20950 transcript:ORUFI09G20950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKYSGEGGNDRLRYGLASMQGWRTTMEDAHTALPRLDECTSFFGVYDGHGGKAVSKFCAKHLHLQVLKNEAYSSGDLATSVLKSFFRMDEMMKGQRGWRELAELGDKGQKFTGMLEGIIWSPKPGESDKPEDTWTEEGPHSHFPGPTSGSTACVAIIRNDELIVANAGDSRCVLSRKGRAYDLSKDHKPDLDAEKERILNAGGFIVAGRVNGSLNLARAIGDMELKQNEFLPAERQIVTAEPELNTVKLSEDDEFIVLACDGIWDCMSSQEVVDFVHKEMNTEDSLSAVCEKLLDHCLAPVSGGDGCDNMTVIIVKFKKPSKSAATSSTNQSVSSEEMRPK >ORUFI09G20960.1 pep chromosome:OR_W1943:9:19754179:19756561:1 gene:ORUFI09G20960 transcript:ORUFI09G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRCCTFLEILLAIILPPLGVFLRFGCCSMEFCICLLLTILGYVPGIIYAVYVLVALDSDQYQREYHTLA >ORUFI09G20970.1 pep chromosome:OR_W1943:9:19760714:19764439:1 gene:ORUFI09G20970 transcript:ORUFI09G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALPDAADAAQLAAAAAPPQKRDEWSESGIVRLLEAYEAKWLLRNRAKLKWSDWVDIAHEVSAHCAMENAAATGKPGSSTAKTPNQCKNKIESMKKRYRAESAAAARAGPAAAGAGPSWRFFARMDGLLKGPAGSGQPQAELSNSIDLRAPPPAKVEVDVDADFVSQLADAGPGALSELVSAYANGSIQEKLDKVENSGHVEGRAAESDVNVSSPRIKEANEDAEEVDKVWDMSKKRKNTEFDIAKSIELLASSFLKIERARMDLYRETERMRVEAEIKKGEMELKRTEIMAKTHLQIAKLFAKRLKECSSKTGGSSSVTAEVDNHAKKGENGSG >ORUFI09G20980.1 pep chromosome:OR_W1943:9:19765236:19769884:1 gene:ORUFI09G20980 transcript:ORUFI09G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRVQDEVEMQRRTTNRIFPDERQDQFKLPFQAARADRFGVNRIDAKTTEKIKVISEGNIPWHRRILDPGSSMVLMWNRVFLGSCLFALFIDPFFYYLPLVHVLDESTNRSCIAKDRRLSITITVLRTFADLFYMLNIMVKFHTAYVDPKSRVLGKGELVLDLKKIQRRYLRTDFFIDLLATIPLPQVTVWIIMPSIKNSDYNIRNTTFALVIMIQYIFRMYLIVPLSNQIIKAAGVVAKSAWLGAAYNLLYYMLASHITGAIYYLLSIERQITCWNQQCLNESCSFNFISCDNTGSSSYLTWGKNTSIFDNCDPNRNSSANPPPFNYGMFSTALSKGAVSAPFLEKYFFCLWWGLLQLSSSGNPLQTSAYIAENTFAIAIGALSLVLFAQLIGNMQTYLQSISKRLEEWRLRQRDMEEWMRHHQLPDELQDRVRRFVQVKWLATRGVEEESILQALPADIRRDVQRHLCLDLVRRVPFFSEMDYQLLDAICERLVSFLCPERTYISREGDPVNEMLFVIRGKLESSTTNGGRSNFFNSIILRPGDFAGEELLTWALLPKTNVHFPLSTRTVQSLTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRQHQRRKLAESLSRWESYSWWPEEHPPADKPKQEGTSSSTKTIAESAIAQMHKFASASRRFRADDTAIRRLQKPDEPDFSADHFD >ORUFI09G20990.1 pep chromosome:OR_W1943:9:19771051:19773476:1 gene:ORUFI09G20990 transcript:ORUFI09G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRRLWKTKHLTLRPPCHGGGDGDGDGGHPDWILVDVQAYIADRRNATTATAMLSNGGHQIQVTICVAPPPLVSYICAWSPTTHPAELFDTEPTVEAVDADLLLLRIHVSLNHVHDLVYQASMLPSLTLMPSQDPYLHEPNCIALIPRSSHGFYISTLDTDLRSGIGRYNLCLFDSTNSKWSHESLSLDQLRNPPDKNEVLHITEKVITFINDPHLVAFVDLWRGIIICDILDTKTQTASYVPMLKEIINLRRTRASSITRDIAVVNGRLTVVRLTTVFDPDINGWDWDLSTWSRAVDCLEQEDWREDSLVEASDILIDHNICNVELLPKIQGQPTMAKLHVALPTLSLTDAQVVYVMGKVNESDEKAVLLSIDMANRRLDAVSVYDAARILHYFDVCYTQSTIFRYSAPSSGLNGNLKRPGKFPMPYPRKQQAVNEPFLPDAGRGLETEDRDTMDWE >ORUFI09G21000.1 pep chromosome:OR_W1943:9:19776965:19777462:-1 gene:ORUFI09G21000 transcript:ORUFI09G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEPAQVHGGVNLNLRVLETSPRRRSANGGGGGAAPAAAAAVDPREAFSCNYCHRKFFSSQALGGHQNAHKLERTLAKRSRDIVMTAAVSPSPSSSSSSRAVHGFDGVAGGFFWTAPPYGHAAAAEAAEEDHDVAPPAPPPADGGCGGGRYGRNGEEIDLSLKL >ORUFI09G21010.1 pep chromosome:OR_W1943:9:19781062:19787070:-1 gene:ORUFI09G21010 transcript:ORUFI09G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGALRPSALDLVAALLTGRGRPEEEGWPPSLAENRHLLVLLTTSLAVLVGCGVALLVRRSSISAPAARAQEPQPRAPAPAKRKQEAEPDPDDGRQRVAVFFGTQTGTAEGFAKALAEEAKSRYDKAVFKVLDLDEYAADDEEYEQKLKKEIIALFFVATYGDGEPTDNAARFYKWFGEGNERGEWLSNLRFGVFGLGNRQYEHFNKVGKVVDQLLAEQGGKRIVPLGLGDDDQCIEDDFNAWKELLWPELDKLLRVEDDKSAAPTPYTAAIPEYRVVLVKPEEAMHINKSFSLSNGHAVYDIQHPCRANVAVRRELHTPASDRSCIHLEFDISGTGLTYETGDHVGVYAENCTETVEEVENLLGYSPDTLFSIHADQEDGTPLFGGSLPPPFPSPCTVRTALARYADLLSFPKKSALIALASHASDPKDAERLRHLASPAGKKEYSQWIVSSQRSLLEVMTEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPRMTPTRIHVTCALVYGQTPTGRIHKGVCSTWMKNSIPLEESQECSWAPIFVRQSNFKLPTDPTVPIIMIGPGTGLAPFRGFLQERLALKETGVELGHAVLFFGCRNRKMDFIYEDELNNFVETGALSELIVAFSREGPSKEYVQHKMAEKAPEIWSIISQGGYIYVCGDAKGMARDVHRTLHTIVQEQGSLDNSNTESYVKSLQMEGRYLRDVCMVPAVANAAEYISIANPTLTD >ORUFI09G21010.2 pep chromosome:OR_W1943:9:19781062:19787070:-1 gene:ORUFI09G21010 transcript:ORUFI09G21010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGALRPSALDLVAALLTGRGRPEEEGWPPSLAENRHLLVLLTTSLAVLVGCGVALLVRRSSISAPAARAQEPQPRAPAPAKRKQEAEPDPDDGRQRVAVFFGTQTGTAEGFAKALAEEAKSRYDKAVFKVLDLDEYAADDEEYEQKLKKEIIALFFVATYGDGEPTDNAARFYKWFGEGNERGEWLSNLRFGVFGLGNRQYEHFNKVGKVVDQLLAEQGGKRIVPLGLGDDDQCIEDDFNAWKELLWPELDKLLRVEDDKSAAPTPYTAAIPEYRVVLVKPEEAMHINKSFSLSNGHAVYDIQHPCRANVAVRRELHTPASDRSCIHLEFDISGTGLTYETGDHVGVYAENCTETVEEVENLLGYSPDTLFSIHADQEDGTPLFGGSLPPPFPSPCTVRTALARYADLLSFPKKSALIALASHASDPKDAERLRHLASPAGKFWLHMSFIWQKEYSQWIVSSQRSLLEVMTEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPRMTPTRIHVTCALVYGQTPTGRIHKGVCSTWMKNSIPLEESQECSWAPIFVRQSNFKLPTDPTVPIIMIGPGTGLAPFRGFLQERLALKETGVELGHAVLFFGCRNRKMDFIYEDELNNFVETGALSELIVAFSREGPSKEYVQHKMAEKAPEIWSIISQGGYIYVCGDAKGMARDVHRTLHTIVQEQGSLDNSNTESYVKSLQMEGRYLRDVCMVPAVANAAEYISIANPTLTD >ORUFI09G21020.1 pep chromosome:OR_W1943:9:19790134:19791725:-1 gene:ORUFI09G21020 transcript:ORUFI09G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGYSDVDDDYFGDSDDYGDDGDYMDDDGDDYGGQVVEETEAPAMEKTYVVLTEDDVRARQEEDIAKVCEVLSLSPGAAAVLLRLYRWRAVLLQEEWFLDERRIRDAAGLLPADGGGGAVPARVNRRRLTCAICFDVFAAGGMRSAGCSHYYCVACWRGYVRAAVGDGARCLSLRCPDPSCPAAVVRELIDAVADGEDRERYGWFALRSYVEESAGMRWCPGPGCSRAVEFVGGGGDGEESSEVFCSCGHGLCWRCGEEAHRPVSCKTVAKWVEKNSSESETATWLLAHTKHCPKCRLPIEKNLGCMHMTCRPPCLHEFCWICLKPWRGHAACSRYQPNGTVVALAGANADDERRRQAKASLEYLYHYERWDANLKSLRVALRDMESLERSELEAMASAAGVPATEMGFVTEAYEQVGEGRRVLGWAHAYGYYLDPDRDFTKRQLFEYLQEDANASLERLGGRAGRERERRELFAAGADDKAAVDFDKYRAYREKLAGLTRVTRQYFGNLVKAFETDLAEVSSSS >ORUFI09G21030.1 pep chromosome:OR_W1943:9:19792774:19794570:-1 gene:ORUFI09G21030 transcript:ORUFI09G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGEDDAQSYYTDEGDDYTDEVDSNVDDDDDGESWDLEEEEVDDDDDDEEAKKKKAATAVDLTKIDRRYRNLSEEQVRARQDADTANVGELFAIPPGFAAVLLRHYKWSLVELQDRLFSDGDRAGAATGVALGGAPVSRNGLPLVCAICFDEHPAGEMRSAGCSHFYCVGCWRGYVHAAVGDGARCLSFRCPDPACSAAVVRELVDEVAGDADRARYATFLLRSYVEEGTRIKWCPGPGCTLAIEFVGGGGGEEKQDDVECKHGHGFCFRCGEEAHRPVSCETVRAWTDKNAMESETASWVLANTKHCPKCRLPIEKNRGCMHMTCRPPCLHEFCWLCLGPWSDHRSSEYYNCNVYDAAKANGEASDDKRRREQGMASLDRYMHFYERWAAHGKARQSAVDDMAGLDACAEKLSAAVAMPVTELCFLAEAYQQIAECRRLLRWTYAYGYYHLGTGLDGDEERRTMVECAQGEAERQLEKLHDCAEHEREELLAEVERTIKLNAILKDNDGEESKKKMEEKAGEMVDMVVAYRQKLAGLTGVCKIFFRNLVKTFQDGLSEVGPAVAAAAAAAVATAPAESSDDAVDPLQPLHQDDSS >ORUFI09G21040.1 pep chromosome:OR_W1943:9:19795081:19796389:-1 gene:ORUFI09G21040 transcript:ORUFI09G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGHNKQPAPPVASTGCSALASCLSFHRRAPPPPGSANVIDGAATATTRASAEQYRRRVQLLEEEVRRLGMRLAADAEHGRSVNGGAMAARDRVSSACSGIGAAVANKCVSVGGHGGVQEMVRLEGGGYLHEIKRVVGMPWERLALQVSQPVVAENAATASEVLDKMTETSADDLCKFLSKMMPMKDIAGRKNPGKVIRRSARLSSGDDFLEALLFMEMDKMEGLVQQGLKIRMASTADSASSMAAGDDDDDRRHQATKDSMVSVVLIQVRDPEQGYAAIGDPMIGVMEASLEKKDGRVKLEMQGMHVAGILFGASRKRRSNGRAMMWSACLGQCKGSHNGRGGAGDGCQCGYVRNTNRVFRR >ORUFI09G21050.1 pep chromosome:OR_W1943:9:19797363:19799831:1 gene:ORUFI09G21050 transcript:ORUFI09G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPPSALPLEGLSSWPEDNREPLTAPGYIKMPGRPRTERRREAHEAPKQTRVSRFGTKIRCRTCKQAPNDQLAVVRVNARAKVSTQAGGSARVDPQANVAGSSSSTSAAVTVTFGKASISLSAQEPAKQTTRKKTGVPLLLIPPWESAKL >ORUFI09G21060.1 pep chromosome:OR_W1943:9:19802893:19803288:-1 gene:ORUFI09G21060 transcript:ORUFI09G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRCRVKAARWISWSVDNPGQWYFKCRNARGLTARVFCAQEGGCDFFAWHDGPTSSFLRKVLNDLRDVVVSLRMEKAYRVKEIEECRAKVEEQRHEVDSVRRELASAQELVCE >ORUFI09G21070.1 pep chromosome:OR_W1943:9:19819041:19819283:-1 gene:ORUFI09G21070 transcript:ORUFI09G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSEPWKLMKPAVERMASGLKSEEAEVCTISVDRFNMLGRLLRVEALPTFVLVKRHRAVARVVGVNRDDLHSSIDKHL >ORUFI09G21080.1 pep chromosome:OR_W1943:9:19825298:19838461:-1 gene:ORUFI09G21080 transcript:ORUFI09G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVSAAVQWWDEWQLRILVLCSLGIQWFLLLAAPMRKYTIPHWFRTFIWLAYISSDALAIYALATLFNRHANTTAAAKRHCVNGSALEVLWAPVLLIHLGGQQEMSAYTIEDNELWRRHTVTLVSQVTVAIYAFYKSWPANGGDRRLLVSAVLLFVIGVLSFTEKPWALRRASINRLAAVSSMVQGRKEVSKWRYCFTELEKDKRDIFDRCCQLKKEKETPPPGVGTDGVKRSQQHILTDRDKVLLILSDMSLLAADSDLKQEQRRRRQRQSLMGEDDSKEEVEGDLLGTLSPRAERGSKRWLRRAFALIYTRANVVLTPAYLAYHILLAPFLHITAIVLFAASSKRHHNTIDVKITYVLLCLTAALDILAEPIRQLLFKLMSMADVAALCETVPQYNNLIRSALQRTQPAGVLLKCAAHVGYTQGFFVCQRKNLYHMLAGLIFSDLVEANAKGLDFTSYRSFAPGRRNWVLNENLRKVCGPEVQGSLRGSFDKGVILWHIATDLCMRRMMAENTIDEIDRKFLECTEAISDYMAHLLNLRPDMLMTGSRQHLFTQAMEEVELILKDIESQQQQPHSLKKLGRDILAKKIIDKAKAEVNAAIDIERVSEQGREIRVDEPPPPKYPLVHDACRLAEELMDKMGRRTRCQVMYRVWVGMLFYSASMCRGYLHAKSLGEGGEFLSFVWLILSLKGAKTLADKLQMPEPEREPEPKSGPYSPGQEIVQGEPTATVATTADEGEDLSFLLPHSPQPLYSYRAKRPRIKGKQVHTKAESLVLIIDNQQWGGERRGEDGSTTWGAGGRCRSWGWWWAIIDDVEALGGCERRPCAAPRRRGASAAARGWRRQPPLRRRRGGRRRPTKGRRSFLPARCPKPLSATDDWRRRRRGGGDCRHDLALLDEFPFNVDFTSGSDFCWWRRPTSGEMSGGRCKKDVARLAASMLRAGRGAEVEMDAAELRILVLASLGIRWFLLLVAPTRQFTIPGWFRTCIGIAFSSSDAVAIYALATLFNRHGGNAISSSHALSNGSVLQVLWAPVLLIHLGGQEGMTAYRLEDNDLWTRQAVTVVSQVMIALYSLYKSWPSYGDMRLLASTILLFIIGILSYSQKPWALRRASINKLQAVASARLTQAVRGGEKVTSGWDFCFTELGKKKQTTLLTETDLVRMILYDMSLLAADSDMKREQRRRHQRKSLLGEDEGTVEVEGDFLGTLSHRADKKISSNRWLCRAFELIYTRANLMLTPAYLAYHLLLVSSLHITAIALFAASRKRDYSTTDVKITYVLLSFTAALDILAEFIRQLLFKLMSMAGVPGLGETVSQDSNLIRSALRRTQPTPIGFLLKLATRVGYTYTEGLFTCSPRRNLYRMLEGLNFSDLLEANAKGLDFTSYRTFAPGHRNWVLNENLRKACGQEVQDSLRGSFDRGIILWHIATDLCIRRMTTDNIIDDIDRKFLECTEAISDYMAHLLNLHSDMLMTGRKEVRVDEPRTLFEYPLVHDACKLSQELMVMDRKTRYQVMYHVWMGMLFYSASMCKGYLHAKSLGEGGEFLSYVWIILSLKGGKTLADKLQMPEPEPKPGPYDYGEDLSFLLPGGSPSDPFFFN >ORUFI09G21090.1 pep chromosome:OR_W1943:9:19834244:19841848:1 gene:ORUFI09G21090 transcript:ORUFI09G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMQQAWWGAWKMQRGMVGWWLEQNASSSSTMMARPGAVKNIESWDEFTKHFVKSEYKLVVLVFMAPWSEPWKLMRPAVEKMASGLKSEEAEVCTISVDRFNTLGRLLRVEALPTFVLVKRHRAVARVVGVNRDDLHSSINKHLAPPSSSPQPINIS >ORUFI09G21090.2 pep chromosome:OR_W1943:9:19840379:19841848:1 gene:ORUFI09G21090 transcript:ORUFI09G21090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTMMARPGAVKNIESWDEFTKHFVKSEYKLVVLVFMAPWSEPWKLMRPAVEKMASGLKSEEAEVCTISVDRFNTLGRLLRVEALPTFVLVKRHRAVARVVGVNRDDLHSSINKHLAPPSSSPQPINIS >ORUFI09G21090.3 pep chromosome:OR_W1943:9:19834244:19841848:1 gene:ORUFI09G21090 transcript:ORUFI09G21090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGPSRRRHMHRGAAAEERIGRHGRLPPPSISLPRTLPMAHGSSAGLSAECSEQAQQLVCGRPPWGCTRPSLASPEGFDVIDDRPPPTPTPAPTTGSPSASSSSTMMARPGAVKNIESWDEFTKHFVKSEYKLVVLVFMAPWSEPWKLMRPAVEKMASGLKSEEAEVCTISVDRFNTLGRLLRVEALPTFVLVKRHRAVARVVGVNRDDLHSSINKHLAPPSSSPQPINIS >ORUFI09G21090.4 pep chromosome:OR_W1943:9:19840985:19841848:1 gene:ORUFI09G21090 transcript:ORUFI09G21090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMARPGAVKNIESWDEFTKHFVKSEYKLVVLVFMAPWSEPWKLMRPAVEKMASGLKSEEAEVCTISVDRFNTLGRLLRVEALPTFVLVKRHRAVARVVGVNRDDLHSSINKHLAPPSSSPQPINIS >ORUFI09G21100.1 pep chromosome:OR_W1943:9:19843112:19843917:-1 gene:ORUFI09G21100 transcript:ORUFI09G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLVAAAALVAAGACVQANGGRRPPPPPAAVELAASTIVLSPTTTTTTSGSGVNLQADAVVPETETKRAVRGVVVVGVAVDQETDYGYVDPPPDTYRRGGGGAPIPHGQPMKLGIKIIHLNT >ORUFI09G21110.1 pep chromosome:OR_W1943:9:19845016:19849115:1 gene:ORUFI09G21110 transcript:ORUFI09G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGDDLHLSGFLSFLFGEKKEKKKRYGTTTESSLVVVLETLAATPVAAAPPYQPRLASMEDGVSTYTVDEALISMGFGKFQAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAESLITSVVFTTMGEGRVGFNFTALVTGGAGLLSAFAPNYLSLIVLRFMVGVGLGGGPVLSSWFLEFIPAPNRGTWMVIFSAFWTIGTIMEASLAWAVMPSLGWRWLLAFSSLPSFALLLFYPLTLESPRYLCMKGRIADAVQVLETMARLNRVALPSGHLMSGHRMELHEMTDSSETSQLLSAKKTNPAAHSSKTEIGGRNAILKLLSPNLIRSSLLLWTVFLGHAFLYYGLVLLTSELSHGNKICGSEGIVTMQTNHSNDANLYRNVFITSFGEVPGLILSAAIVDKIGRKLSMSSMLYISCLCIAPLMVPQTESLTTIFLFGARICISASFIVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVGLVHACHQTAAILIFITVMLVSGVAVSYFPLETSGRKLSDHIAA >ORUFI09G21110.2 pep chromosome:OR_W1943:9:19845016:19849115:1 gene:ORUFI09G21110 transcript:ORUFI09G21110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGDDLHLSGFLSFLFGEKKEKKKRYGTTTESSLVVVLETLAATPVAAAPPYQPRLASMEDGVSTYTVDEALISMGFGKFQAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAEVGFNFTALVTGGAGLLSAFAPNYLSLIVLRFMVGVGLGGGPVLSSWFLEFIPAPNRGTWMVIFSAFWTIGTIMEASLAWAVMPSLGWRWLLAFSSLPSFALLLFYPLTLESPRYLCMKGRIADAVQVLETMARLNRVALPSGHLMSGHRMELHEMTDSSETSQLLSAKKTNPAAHSSKTEIGGRNAILKLLSPNLIRSSLLLWTVFLGHAFLYYGLVLLTSELSHGNKICGSEGIVTMQTNHSNDANLYRNVFITSFGEVPGLILSAAIVDKIGRKLSMSSMLYISCLCIAPLMVPQTESLTTIFLFGARICISASFIVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVGLVHACHQTAAILIFITVMLVSGVAVSYFPLETSGRKLSDHIAA >ORUFI09G21120.1 pep chromosome:OR_W1943:9:19849472:19854322:1 gene:ORUFI09G21120 transcript:ORUFI09G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVGDDPLPAGRLLRPCSPRCAAMARRWPPLVVGLALLLLLSVAASSVVAKTDQPDVAALNVMFESMNKPSELLGWKASGGDPCGDDDEWKGIECSDSSVTEINLSGLGLSGTLGYQLSSLKSVTKFDVSKNNLNGEIPYQLPPNVVQLNLRGNAFSGGVPYSISQMTDLETLNLGKNQLSGQLTDMFSQLPKLTTMDLSFNSFSGNLPPSFQYLKNLKTLDVESNQFSGHINVLAKLSLEDLNVKNNKFTGWIPSKLKSIDNLETGGNSWSSGPAPPGMEKESSAGSSNGRDDSGINGFAIGAMVIAVLLAALILLSVLRRNHSSPVSAHYYTDESGRRNSSVVNMKSLEHSPSMGCKTPPAVPRKSMSDNEFENKLNHSRRSTDPISLMNHSSSDLLAATGNFSSSRQLGQGTTGCVFRAKYADGRVLAVKKFDPLSFSGSSDFMDTVNGIAKLRHTNISELVGYCSEPGHYMLVYDYHMNGSLYDFLHLSDAYSRPLTWDTRVRIAVCTAHALEYLHEVCSPPVLHKNIKSSNVLLDADLNPHLSDCGLSFFYEDASENLGPGYSAPECSRPSAYVMKSDVYSFGVIMLELLTGQKPYDSSKPRTEQCLVKYVAPQLHDSDALGSLADPALRGLYPPKALSRFADCIALCVQSLLRCVQRTISNRGMAGYLSNSQRSDISDW >ORUFI09G21120.2 pep chromosome:OR_W1943:9:19849472:19854322:1 gene:ORUFI09G21120 transcript:ORUFI09G21120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVGDDPLPAGRLLRPCSPRCAAMARRWPPLVVGLALLLLLSVAASSVVAKTDQPDVAALNVMFESMNKPSELLGWKASGGDPCGDDDEWKGIECSDSSVTEINLSGLGLSGTLGYQLSSLKSVTKFDVSKNNLNGEIPYQLPPNVVQLNLGKNQLSGQLTDMFSQLPKLTTMDLSFNSFSGNLPPSFQYLKNLKTLDVESNQFSGHINVLAKLSLEDLNVKNNKFTGWIPSKLKSIDNLETGGNSWSSGPAPPGMEKESSAGSSNGRDDSGINGFAIGAMVIAVLLAALILLSVLRRNHSSPVSAHYYTDESGRRNSSVVNMKSLEHSPSMGCKTPPAVPRKSMSDNEFENKLNHSRRSTDPISLMNHSSSDLLAATGNFSSSRQLGQGTTGCVFRAKYADGRVLAVKKFDPLSFSGSSDFMDTVNGIAKLRHTNISELVGYCSEPGHYMLVYDYHMNGSLYDFLHLSDAYSRPLTWDTRVRIAVCTAHALEYLHEVCSPPVLHKNIKSSNVLLDADLNPHLSDCGLSFFYEDASENLGPGYSAPECSRPSAYVMKSDVYSFGVIMLELLTGQKPYDSSKPRTEQCLVKYVAPQLHDSDALGSLADPALRGLYPPKALSRFADCIALCVQSLLRCVQRTISNRGMAGYLSNSQRSDISDW >ORUFI09G21130.1 pep chromosome:OR_W1943:9:19854984:19860274:-1 gene:ORUFI09G21130 transcript:ORUFI09G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRWGRSRSLSPLDRRGEERRRRPRAREASDSSKEIPLLQPDQVMGGESTGNTTSIPQWEKPLFPSAAKMKSSAVTSKGKAVFELKHRLVQAINKIADRDTYQIGLDELEKAADTLPPDMVGPFLSCVVDTDAEQKSAVRKECIKVIGTLARSHGSLVAPHMTKMVTSIVKRLKDADSVVRDACVDTCGTLALCARDYGDGGAALVALVRPLFESLGEQNRYVQAGAASCLAKVIDESNYFPGPVLPQMLVRVVKLLKNPHFMAKPAVIELIRSIIQAEGASTEQSLTSALTSIMDALKSSDWTTRKAASIALSSIALSSGYLVASFRTSCLRSLERSKFDKVKPVRDAITQAIQLWKAIPGSDTPEPSEAGSSTKENFFGDHHDGRSINDGGSRDTSFRRVDPASSVSAISGNSITSSKKRSPLSINKIALNNAANQQRSKLSDWHVEISVPKQNMIPLVDFEEKVSGNGSMLKGSNRSPYEIVDNDSKYEYDPMDDKQDCSSMSEVASRSCETKHVTSAQECVEDCDSARDIEQFPRAQKSKSIDSTVTDITSHGTHTCCLSAMKELSHIRKQLQEMERKQANIFDMLQQFMSNSVENMSVLSMKVHNLENAVDKTVYTITQSESRYHLPGSKFIKNQSATSSPRVSTSTPRSSVDANYKPPPIPHLKQEKKWMQDQPSKGLNMGVKEGEFLKSHTHDKTRKPGVVRSESTLGRYVPSSARTRASGVKGHFPVSLTNSCEQPELQNALRASKEFDGNDDMESAYVEALNSGDCDYLIDVMDRTGPVLEKLSRETTSELLRVIAGQFLNKKMFDLALPWLQQVADLSMIYKPSQLFVSVRAQKEFLALLEEAATSGSTEPAIRIAIAQLAFKLTKVCEVAPCRKVLSRVCRGNETIMATAM >ORUFI09G21140.1 pep chromosome:OR_W1943:9:19871445:19876140:1 gene:ORUFI09G21140 transcript:ORUFI09G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALRMQAVALAAAVFLLSCLASAQQQPPAPGCLDKCGDINITYPFGVGGAHCFRDKSFQLECNGSYSPPRLIIPTYNQQLLSLSPDGEALAALDVVKTETLSNSTVYRFHVSTCFGGYEGNPYLDAGCRDIDECQRRNEHPCFGVCINTLGSYQCSCPHGTRGNATIENGCVKTNQGLTTGSIIGIGVGSGTGLLVMALCAAFLTRNIKNRRERILRQKFFKHNRGHLLEQLVSQNADIAERMIIPLAELEKATNNFDESRELGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINEVAILSQINHLSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPT >ORUFI09G21150.1 pep chromosome:OR_W1943:9:19876192:19881368:1 gene:ORUFI09G21150 transcript:ORUFI09G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYYTGHLTEKSDVFSFGVVLIELLTRKKPYSYRSPQDDSLVSHFTALLTHDNLGDILDPQVKEEGGKEVNEVAMLAVACVKLKADERPTMRQVEMTLETVRSSSLRQELVPSVAAEESKEKHVSWSYPVSEGTSIESSRQYSNDEEYLLSSRMSEMAVALAILLIGLAPATPSSSAQQLPGCPATCGNISIPYPFGIGAGCARDEGFQLECNHTDSPPQLIIVSNSTGRRHRQQLLSLSLADGEARTFLTAKRRCYNSSTGDMVGENDQNATEMSLSGTPYRFSKSRNRLVALGCPNLAYLVDGRGSYISSCTSICRTPESVAAGSTVGFTGEGCCQSSIPYSVDIYKPDIIGFKKGDSVLLNSTASAASILQSSTVCRYMYLAEDRWIDAAYRDGAVDFNRSDDFAVHVVLDWAVRNAGNCSAARRNLAAANYACRSADSVCVDTGDGDGYRCNCSKGYEGNPYLDGGCKDINECERAKEYPCFGVCTNTLGSYHCSCPPGTSGNATIQTGCVKTNQALTTGSIIGIGVGSGAGILVMALGATFLTRRIKNRRARMLRQKFFKQNRGHLLEQLVSQKADIAERMIIPLAELEKATNNFDESRKLGGGGHGTVYKGILSDLHVVAIKKSKVAVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYDHLHVEGPTSLPWEYRLRIATETARALAYLHLAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEQNGVTTAIQGTLGYLDPMYYYTGRLTEKSDVFSFGVVLIELLTRKKPYSYRSPEDDSLVAHFTALLTHGNLGDILDPQMNEEGGKEVKEVAMLAVACVKLKADERPTMRQVEMTLETIRSSSLQQEVVPSVAAEESKEKHVSWSYPVCEGTSIESSRQYSYEEENLLSSRYPR >ORUFI09G21160.1 pep chromosome:OR_W1943:9:19881416:19895276:1 gene:ORUFI09G21160 transcript:ORUFI09G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVPMAFVISMHFIAISMVMLFPSPATAALLVLVVLLLQLQLWSAEAQVAVGSGPPAGCPNRCGNVSVPFPFGIREGGAGTWGGVAAAGPYVVSGELNELLVTGCNIQVTLVGSGGNVISGCSSFCSSNGTYTGGVFRSPGNKCAGIGCCQTPISIGRPSYRRVDLTNLDCARDSAVGCPEAVRIAELGWFDGLAADLLNESLADTSCRKPVPVVLDWAVASTGLDAILNAGQNKQEPANNWSCPTPGEARRSACISSNSKCVNVTGNYRSGYVCQCEDGYTGNPYVPGGCQCINLLGSFLCQCPPGATGDRCVVKSKLGLIIGIGVGSGAGLLVVALGAVFLALKAKKRRARMRRQKFFKQNRGHLLEQLISQKADIAERMIIPLAELEKATNNFDESRELGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYDHLHVEGPTSLPWEYRLRIATETARALSYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEQNGVTTAIQGTLGYLDPMYYYTGHLTEKSDVFSFGVVLIELLTRKKPYSYRSPENDSLVAHFTALLTHGNLSDILDPQVKEEGGKEVKEVAVLAVACVKLKADERPTMRQVEMTLETVRSSSLQQEVVPSVATEESKEKLVSWSYPMSRITTVPAPLHVHVFHHRFAPLPVPPHLLVQHGHQRRLLLHAIVAEVVELALAVAAGARPTGCVTGPLGISQPPLMVIVIDRRRHPNFVGGHARVDAMHVAQLTGEVRKMVVVVAGRAAWRGRDRVKRLVSQAEPQQLPAMVMFPSPATAALLVLVLQLWSAEAQVVVGSGPPAGCPAPDRCGNVSVPFPFGIRDGCSLAGFGLTCNTRSNPPRLMIGNSTLQVVSISLANSTLRAVDIAGAVNITYGEINGNGTWVGVAATSSNPYIVSETLNQLLVTGCNIQITLVGSGGNVITGCSSFCSINDMYTGGVFRSPGNKCAGIGCCQQQVSIGRPSYRVELTNLDKDREFSGRVPEAVRIAELGWFDGLAADLLNESLADTSLRKPVPVVLDWAVASTSLTVTLNAGLDKQAANNWSCPTPGDGEARKSACLSTHSLCHNVTDNYRSGYVCRCDKGYDGNPYVTGGCQDINECERAKENGCFGDCINTPGSFICQCPHGARGNATIPNGCTKSNLGLTIGVGIGSGAGLFILALCSVFLTRKIKQRRARTLRQKFFKQNRGHLLQQLVSQKADIAERMIIPLAELEKATNNFDESRELGGGGHGTVYKGILSDLHVVAIKKSNVTVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHAEGPTSLLWEDRLRIATETARSLAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEQTGVTTAIQGTLGYLDPMYYYTGRLTEKSDIYSFGVVLMELLTRKKPHSYRSAEDESLVAHFTTLHAQGNLGNILDAQVMEEGKKEVNEVAVLAVACVKLKAEERPTMRQVEMTLESIRSSSLQQEVLHSVSTKKSKELHVSWSHAISEGTSLDSTRQYSLEEENLLSSSLKSVYIKSRRQFSDDGSSGNLCGGAVIGRNRFPR >ORUFI09G21160.2 pep chromosome:OR_W1943:9:19895276:19898149:1 gene:ORUFI09G21160 transcript:ORUFI09G21160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIQPPAMAMALLLLLLLQLWSVEAQVAAPPPASCPDRCGDVSVPYPFGIRDGCHLPGFRLTCDATRTPPRLMLGNGTLQVVDISLANSTVRALDLAGAVNFTYDVSKVAPSGSGTWASLGTVAGAGPYVVSEQRNRLVVTGCNVQATLAGENTNIIGGCSSFCPVSEMFTSVVATTPVVPGDGGDNATDGGFTCSGTSCCETPIAIGRPSYLVQFLSLDQNQELTGKLPVAVRIAERGWFEGVAGELLNSSSSDAAAASLRTPVPVVLEWVVSPTLEAVLQGVTGQFADDRNWSCPADAARSACRSSDSFCSNVTGNYRRGYVCRCRRGYGGNPYVAGGCQDIDECKLAGRCYGECTNTPGDYECRCPRGARGDPRIPNGCVKTNLGLSVGIGVGSGAGLLVMGLGAAFLKRKVKKQRARMLRQKFFKQNRGHLLQQLVSQKADIAERMIIPLPELEKATNNFDKSRELGGGGHGTVYKGILSDLHVVAIKKSKEAVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHCEGPMSLPWEDRLRIATETARALAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEQTGVTTAVQGTLGYLDPMYYYTGRLTEKSDVFSFGVVLIELLTRKKPYSYRSFDDESLVVHFTALLTQGNLGDILDPQVKEEGGEEVKEIAVLAMACAKLKAEERPTMRQVEMTLESVRLSSQQQEHGVGAKKSRENHVSWSYPVSEGTSTQSTRQYSLEEEYLLSSRFPR >ORUFI09G21170.1 pep chromosome:OR_W1943:9:19889220:19889822:-1 gene:ORUFI09G21170 transcript:ORUFI09G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCNLATQELVVLLPGSGSGPCPRSTKSTAAVGFDPWRNRYVVVRCFYRKSHNDPPVYNIGHKIFTLDKGASDGWQRMQDPSHAISPDGRRPAACTRGGSFYYLRDEAFDAVPSSPGCTACDNDDHLADLAGELCYVHRVHTSVATHEVWMAAAVDDDDHEWWLRYTEWTCDTTRGACAGGNGQR >ORUFI09G21180.1 pep chromosome:OR_W1943:9:19898379:19900006:-1 gene:ORUFI09G21180 transcript:ORUFI09G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNMVLFDDDDDYWDKEEEEDDERVEVPKSGGVYGYDVPFPNMDFLDDPEENDLYLFKVSLCPPSHPPPPADPGCCSWVLLDRTAYVADHANATTANTFFTTRDRRRREIRVTFFPAPPPRVSHFCVHCPSMKPECFAVEPLIIATHEELVLLRVAIGRRHASYFHELHDFFVYRADSSTTNNNGGRHPSLDLLPHPGDCYSFDCQVGITHCDGGGGGGHEHYIIAALMFDSSRKVYDLHRFHSKNGSWSTKALHLGTMEPSPGLTFFFHDTYKVITLGGGFMAWVDLRRGILLCDVLLDDPEPHFIPLPPPLKDDKELIGDAREFRDIAVVHGYIKYVEIQSYISDGWVAATWSRKITLEDSWEGDWRKDCELHVSDISGSLPELLGDEEARTAQLNLQSLHTGNPTISLQDDDVVYFLAKVGLRDDKSYVLAVNMRSKTLQGAACFGAERVLDMNFTCTQSRISHYLRNTPGKS >ORUFI09G21190.1 pep chromosome:OR_W1943:9:19903231:19911726:1 gene:ORUFI09G21190 transcript:ORUFI09G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDSGVVLALPVPLPVGAIFLSRNAPARCLSALPAGRSPRYQFPKSSSSSSSSGEAENPRSSTTPMAPRILCRDAGLDDDSEDDLPAGFLGGGGGGGGGAVAGPWRSSRPKRQPSPSMSPAASRANTSR >ORUFI09G21200.1 pep chromosome:OR_W1943:9:19911744:19913461:1 gene:ORUFI09G21200 transcript:ORUFI09G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVINFPRLYREFDRICVLEFPFVDAPHLLETILEVGKPGEEKTWLIGNLPELIEITRFRVAFLRVSSSLERLLSLWKTHGILEIDWDFIGAVEHAVAALHPLSDHQPEALRNGVLGLLERLKLLFPVQPDDADSTDVIASTKLVSDVELIVKDMSLLGLIPIKYPALRDQSAFLPVSVVENQPEVQESPYIRIRSYNELLLTMDSQFPIDICIVSFKLSDATKVSHRVDKAIEKGWWVGNIDILHTTSHFRSIISRISESISNLQMTLLEVPPIPADIITNQMEYPNELVKGIPAKLDKLLFEANTIQGLKVQYPDPEGLKVNGLSDITPVANSPQLYLHLKHMCILGVTFAHAPYMLEQVLKVGKEREVNRWLVGNLAELKEIASFRTAFLCLVSSLESLSKKHQILEDDWNMIAAVKDAVARLPPLSDRQPEALRAEVLKLLERLESTLPVQPGGSDSSGANSLEVLSSTLTSDVGAILKDMYEVGLRHIEYTQVDLPAFMELEDEEENQPEYPDTDHLPPSP >ORUFI09G21210.1 pep chromosome:OR_W1943:9:19914745:19914981:-1 gene:ORUFI09G21210 transcript:ORUFI09G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSESQTRAGRVFELQEEEQGLQLLELVLQLKNQVRSITPSRRISCRRKRITSSRSSKMAESRSRNQTEKNQRSTK >ORUFI09G21220.1 pep chromosome:OR_W1943:9:19915681:19916689:1 gene:ORUFI09G21220 transcript:ORUFI09G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXRAVPPVWPPLAALEWRPRWAGPSGCRPPRPPPTARSPASAASAARHLPPPPLAAAATTRTPAADPARSSASRRTKPPSSTTPSKSTTPSTLRRRWLARQLNLKPPQVEVWFQTGGRERS >ORUFI09G21230.1 pep chromosome:OR_W1943:9:19916755:19924716:1 gene:ORUFI09G21230 transcript:ORUFI09G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFSSEPMTNNEGEPVEDASCRSVRRRRYREQTSTNSAPLRQQGDISEGAQNTMTGFDFDRLPQDILCHIHSLIPLRDAACLACLSRRFLRSWRCFPNLTFNQETFSLNVYEGTSYEKEKKPVDIIDSILQNHSGRPMKILTSDSSHLRYMTLHGTFFSGMIQYARTELHSIASNLQTLTLASSKEEFITPMLPVKFLHLRNLNVYFDGIRFQSYDYFSLASFLEACPALETFYIWAGEYDLAWKDPALQDSNADSLQIRRIPEIHHANLKKVSINRFFPSKSLIELTYLIIENASSLQCLKLDAGYGFDTSGMCKRMNKLDVLHALSAVEVAKKYIEGKVPSSVKLNILEPCERYCCKCADHGRFMSLYSNKQFVYIGTFFRNCTAERRKNLFEFVAVPPTTISIRRAAVPACECERSSGRRRPSAADRASMSHVTSAPVDDGNRTVLPLADVSTEAFNLNVCEGTSNEQAKKLVDRIGNILQNHSGTGVKTLKLDVSTCFKLITDDCINNWLHAAVKPGILEIAVKFSHDKPMFNLSCSLLSCAGSSLQSVSFFSCGFHPTLRTSYFKNLRSVYFKFVHITSEELGCLLSSTVSLEKLEIAGCDQLTFLSIPSHLQQLTVLHMIEIYAPKLTTFYFRGPPKILTGDSSCLKYMTLHGTYLSGIIQYARTKLHSLASNLQTLTLFSSKEAGEYDDVWQDPALEDSNADSLHIRRIPEFSHANLRRVSINRFFPSKSLIELTYLIIENASYWIHY >ORUFI09G21230.2 pep chromosome:OR_W1943:9:19916755:19924716:1 gene:ORUFI09G21230 transcript:ORUFI09G21230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFSSEPMTNNEGEPVEDASCRSVRRRRYREQTSTNSAPLRQQGDISEGAQNTMTGFDFDRLPQDILCHIHSLIPLRDAACLACLSRRFLRSWRCFPNLTFNQETFSLNVYEGTSYEKEKKPVDIIDSILQNHSGTGVKTLKLDVSNYFKPITVDHINNWLNAAVKPGIIEIAVKFPVHNRPMFNLSCSLLSCAGSSLQSISLFFCAFHPTLRTGCFKSLRSVYFKFVHITSEELGCLLSSTVSLEKLEISNCDQLTSLNIPSHLQHLTVLNVLFCTNLKMIEIYAPKLTSFDFRGRPMKILTSDSSHLRYMTLHGTFFSGMIQYARTELHSIASNLQTLTLASSKEEFITPMLPVKFLHLRNLNVYFDGIRFQSYDYFSLASFLEACPALETFYIWAGEYDLAWKDPALQDSNADSLQIRRIPEIHHANLKKVSINRFFPSKSLIELTYLIIENASSLQCLKLDAGYGFDTSGMCKRMNKLDVLHALSAVEVAKKYIEGKVPSSVKLNILEPCERYCCKCADHGRFMSLYSNKQFVYIGTFFRNCTAERRKNLFEFVAVPPTTISIRRAAVPACECERSSGRRRPSAADRASMSHVTSAPVDDGNRTVLPLADVSTEAFNLNVCEGTSNEQAKKLVDRIGNILQNHSGTGVKTLKLDVSTCFKLITDDCINNWLHAAVKPGILEIAVKFSHDKPMFNLSCSLLSCAGSSLQSVSFFSCGFHPTLRTSYFKNLRSVYFKFVHITSEELGCLLSSTVSLEKLEIAGCDQLTFLSIPSHLQQLTVLHMIEIYAPKLTTFYFRGPPKILTGDSSCLKYMTLHGTYLSGIIQYARTKLHSLASNLQTLTLFSSKEAGEYDDVWQDPALEDSNADSLHIRRIPEFSHANLRRVSINRFFPSKSLIELTYLIIENASYWIHY >ORUFI09G21230.3 pep chromosome:OR_W1943:9:19916755:19924716:1 gene:ORUFI09G21230 transcript:ORUFI09G21230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFSSEPMTNNEGEPVEDASCRSVRRRRYREQTSTNSAPLRQQGDISEGAQNTMTGFDFDRLPQDILCHIHSLIPLRDAACLACLSRRFLRSWRCFPNLTFNQETFSLNVYEGTSYEKEKKPVDIIDSILQNHSGTGVKTLKLDVSNYFKPITVDHINNWLNAAVKPGIIEIAVKFPVHNRPMFNLSCSLLSCAGSSLQSISLFFCAFHPTLRTGCFKSLRSVYFKFVHITSEELGCLLSSTVSLEKLEISNCDQLTSLNIPSHLQHLTVLNVLFCTNLKMIEIYAPKLTSFDFRGRPMKILTSDSSHLRYMTLHGTFFSGMIQYARTELHSIASNLQTLTLASSKEEFITPMLPVKFLHLRNLNVYFDGIRFQSYDYFSLASFLEACPALETFYIWAGEYDLAWKDPALQDSNADSLQIRRIPEIHHANLKKVSINRFFPSKSLIELTYLIIENASSLQCLKLDAGYGFDTSGMCKRMNKLDVLHALSAVEVAKKYIEGKVPSSVKLNILEPCERYCCKCADHGRFMSLYSNKQFVYIGTFFRNCTAERRKNLFEFVAVPPTTISIRRAAVPACECERSSGRRRPSAADRASMSHVTSAPVDDGNRTVLPLADVSTAGEYDDVWQDPALEDSNADSLHIRRIPEFSHANLRRVSINRFFPSKSLIELTYLIIENASYWIHY >ORUFI09G21240.1 pep chromosome:OR_W1943:9:19924961:19927721:1 gene:ORUFI09G21240 transcript:ORUFI09G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQAGGFISMAWSMPPLALFAAVLALQQAVAGAAAAGDCPTTCGDVAVPFPFGIGAGCYHSPGFNLTCDRSTDPPRLLLGDAAAFQVLYVSIVNATVRAARVGGINITYGGGNTSSADEGRGAWRGLGDGGPFALSEDRNELVVVWGCDVVALLTDGGGSGNSSNVTISGCASFCPGTDAGGQAIAAPAGSTMSLTEDRRCTGVGCCQMPISVGRDSYQVRLRRLNPSPPQPPPPQGAGDPTVVLIAEQGWVAEASRSTRGYPLPVTFDETAVPVLLGWMIASTRVGADGEVPVNSTCPADAARSACKSSHSSCRNVSSSARAGYVCDCDAGFHGNPYLATGCQDINECERAEEHGCFGECINTAGSFLCRCPAGMQGNYTQRNGCFRPPLPARSSTGLSIGVGVSSAASLILIVIMAIFIIRKQKRRRAKKIRQKYFKQNRGQLLQQLVAQRADIAERMIIPLGELKKATNNFDRARELGGGGHGTVYKGILSDLHVVAIKKSKIAVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFVSNGTLYSHLHVSGPRSLPWSDRLRIATETAKAIAYLHSSVSIPIIHRDIKSTNILLDDTLTSKVSDFGASRCIPVDQTGVTTKVQGTLGYMDPAYYYTQRLTEKSDVYSFGVILVELLTRKKPFSHLTPEGEGLVAHFVTSFTEGNLVGVLDLQIMEEADMKVVEVVATLAVTCVNLRGEDRPTMRQVEMALEGIQASRENASGNLSAEKLGESNNVARDFMPSQEGRSMTEGTRQYSLEEEFLLSSRYPR >ORUFI09G21250.1 pep chromosome:OR_W1943:9:19928336:19929990:-1 gene:ORUFI09G21250 transcript:ORUFI09G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFCSKRSLPCLLLILAVFFLDCSSPATARRVTEGEPATTTTMVERFRRWKAEYNRSYATAGEERRRLRVYARNVRYIEATNAAAGLAYELGETAYTDLTNDEFIAMYTAPPPLRSSDGDDDDAATTIITTRAGPVDEHNLQPEVYFNESAGAPASVDWRASGAVTEVKDQGRCGSCWAFSTVAVVEGIQKIKKGKLVSLSEQELVDCDTLDSGCDGGVSYRALEWITANGGITTRDDYPYTAAASAACDRAKLGHHAATIAGLRRVATRSEASLANAAAAQPVAVSIEAGGDNFQHYRKGVYDGPCGTRLNHGVTVVGYGQEEAAADGGAAGGDKYWIIKNSWGKNWGDQGYIKMKKDVAGKPEGLCGIAIRPSFPLM >ORUFI09G21260.1 pep chromosome:OR_W1943:9:19931451:19933476:-1 gene:ORUFI09G21260 transcript:ORUFI09G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDVWSAVRWWDEWQLRILVLGSLGLQWFLLVAAPMRKYTIPRLLRTCIWLAYVSSDALAIYALATLFNRHAKARSGASCGGTNANGGQAGVLEILWAPVLLIHLGGQRELTAYNIEDNELWTRHAVTLVSQVAVAVYAFYKSWPNSTDKRLWVSAILMFVIGVLSFSEKPWAFKRARIQKLAAVSSLVQGTTRHDGKWEKAYRFCFTDLEEQSARKRGLTTRNRVHMLLSDMSLFAAVSELKRRGVLDSVDQEGTAILSRAIGAERFSKRWLQNAFGLIYTRAKVTWTPAYLAYHLLLVPALHVASITLFAVSHKRGRYNATDVKITYILLCFTAVLDISAFFFRGLIHLVMFVAKVPSLCEWIAQYNLIDAALRRLQPTGWLIKCATRIGCYEGYFDTKHDKLYSKVAGYLVFDLLRSDQIEATTCGEGTEVRSTLLGSFDRSVLFWHIATDLCFTYKPPTFPAHPREVITEAISNYMAHLLNFRPDMLLTGSRQHLFAEAMQQVEAILKLRAGRHFKRPSIQDDMAMVDTIFMRSTSGPGPNEYPLVHEACRLTQELLLLDDETRCELMYHVWVGMLFYSAAMCRGYLHAKSLGEGGEFLTFVWLLLSIKGTKTLSDKLQMPDQPNAPVQQHAQGSQQGKVFQKQEDWELI >ORUFI09G21270.1 pep chromosome:OR_W1943:9:19934559:19940932:1 gene:ORUFI09G21270 transcript:ORUFI09G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYQRYSKKKKKKKKKKKKKKKKKKKKKKKKRKQEEKINYRIRNLLPRAALTLAAQGRNPSRPHRHPQSPQPPRHRRSPPSKIAQPAGKVAAGKTRRRRSLSSTWEEKRCSLGRRRRLERPAEWIHRRQIRPLGGGSAPLPSPGQIRRAHGSATRRQRATGGSDGGWPADSGSAPAEAQPVTAEAGEARPVVEEVAAVRGGIAEVPVRHDEAGQRGGGGWRSRR >ORUFI09G21280.1 pep chromosome:OR_W1943:9:19942187:19946738:-1 gene:ORUFI09G21280 transcript:ORUFI09G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRTNEYSRKGAMWELERNLDQPMDAEAGRLRNMYREKTYPTILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGIEDTEQVIGALSLIIYSLTLIPLVKYVFIVLRANDNGQGGTFALYSLLCRHAKINIIPNQHRTDQDLTTYSRRTYEEKSLAAKIQRWLEGHQFRKNLILILVLFGTCMAVGDGILTPAISVLSATGGIQVEEGRMRNDVVVIISVLILIGLFSMQHYGTDKVSWLFAPIVFVWFILIGILGAVNICKYDHSVLKAFNPVYVYRYFKRGKTSWTSLGGIMLSITGTEALFADLSYFPVQAIQIAFTVVVFPCLLLQYTGQAAFIAANTNQVSHAFYISLPAPILWPAFAVATAAAIVASQATISATYSIIKQALALGCFPRVKIIHTSKKYLGQIYSPDINWILMVFCIAVTAGFKNQSQIANAYGTAVIMVMLVTTFLMIPIMLLVWRSHWTLVVAFTVLSLLVEIPYFSAVVRKIDQGGWVPLVFAAGFMIIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPPDERFLVKRIGPKNFHMFRCVARYGYKDIHKKDDDFEKMLFDSLILFVRLESMMEEYSDSDEYSTLMMSLPNNPGISNGGVTTTGTNNVMEVMSCTSTHDSIVPVNSRSDDTGSSQVMPASGQMAFQSVGDEIAFLNACRDAGVVHILGNTVIRARRDSGFVKKIAINYMYAFLRKICRENSAIFNVPHESMLNVGQVFYV >ORUFI09G21290.1 pep chromosome:OR_W1943:9:19951064:19956726:1 gene:ORUFI09G21290 transcript:ORUFI09G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKHGWQLPAHTLQIVAIVVFFLLVVAFYAFFAPFLGKQILEYVAIGVYTPVAFAVFILYIRCTSINPADPGIMSKFEDGFINVPANSDGLQGINLPQKGNSTIGTQSPTSTCRSSLDGHSNQRGLSTRDANVNLSSQLPKKRSSCYFLGGLLCALFVMEDCRKPDESEQAANGEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRLAIEFGVGIAVIVLCFVDKNALSNIQDKLGNGMTRAPFAVIVGLFTLLSLVACIPLGELFFFHMILIRKGITTYDYVVAMRAMSEAAPEDDEEAHITYSPSNSATTGFSVGSSLGLHHKGAWCTPPRIFIDQDEVIPHLDPGMVPSTVDPDAAGYAERANKSKKPVKISARSLAKLDRNEVMKAAAKARASSSVLRPVDARRGHEGDLSSSGNASVRSSMSVDYSGTKESRGEMRLSPLQNSYPQSLASQDDYETGTQTASSLSSPVHIHKLASHSQFHAPPHQPPPPERPVPGIVPGIVRPPVPTTQITNPMFQSATSYVRENRRASVVWDQEAGRYVSVPAQTRAVPGLDLPARTPRFLANPTGESSNHGKNLAPANASSSAISSGQPSERLTYTGQSIFFGGPILSTSGTNAQRSEAGTRARPDGSSDPPNAFQRDTRGERARTGSFPVFAPGTFQKNPPFDK >ORUFI09G21300.1 pep chromosome:OR_W1943:9:19957152:19961262:1 gene:ORUFI09G21300 transcript:ORUFI09G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTVSFSYPAKPLPKWPCTLPKPPPRARCRFVVRADVKVISSGEACRRGLAAGIDKLADAVAVTLGPKGRNVVIDQDDVPKVINDGITIAKAIELPNAVEHAGAMLLQEIASKTNSSVGDGTTTAIILAREIINLGLLAVATGANPVALRKGIDKAVHELIGILKTKCIPVSTKEDIKAVASISAGNDEYVGDLIADALEKIGPDGIIKIESSSSIYTSVEVQEGMKIDKGYISPHFITNQDKAIVEFENARVLLTDQRVDDVQEILPLLEKTTQLSVPLLIIAEDVSHTVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTGADFFASDLGWCLQGATSDQLGMAQKITITSDTTTIIAHPSMRPEIEARIQQLKKDLEETTSAYLKERFSSRIAKLSRGIAVIKVGAATEAELEDRKLRAEDAKNATFAAISEGITPGGGVTYVQLSKYIPSIMDLVDDSEEKIGVNIVGKALLVPAMTIARNAGADGPAVVEKLLASEWRVGYNAMTDKFEDLVDAGVVDPCRVARCVLQNSASIAGLILMTQAMMFDKIKKKKSTIPQIPGIPPLQINQNA >ORUFI09G21310.1 pep chromosome:OR_W1943:9:19961982:19963666:1 gene:ORUFI09G21310 transcript:ORUFI09G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDEGFPPPADTSRSSDPTLSAAPCSGSIPAAGEARHLRDIPPVDSRPVAWFFFADVIQAQLPALLAVATSIALDDTRPDGFVIAGHEGDAFAVPVRRDAVLGSIPGGEARGISWRAVVCTTTTTSRGQDDDAAGRALSLPAGPYVVLTKRARGSWPALLRTVADDGSLVRPSGYAVDALGEYVASSLRGFLGTVGGGYRALAVPDGREGDGRTWRIFLESGQAAGADSWPAHRRHSSADLLGHRRLERTAAEGGTSRRRRRFQEQRQNRAVPVPGVVWSRSSAPSRQRQRQRRTRWDEPPSPAVATPPPPPRCSSCDGGEERDDIDMVMTCCGAVLCRGCAEVNPCGCPEWQNRRGFAVRIPTDYHDVDVDGDCFVEGAVMLQRPRWHQFMAMRIGMDVFYGFYSVEDVYHSSSGVTYQIHRRYPLQFQFPLVC >ORUFI09G21320.1 pep chromosome:OR_W1943:9:19965595:19967103:1 gene:ORUFI09G21320 transcript:ORUFI09G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPLHKRLRRSSESNGERRPPRGELLVDEILSRLPIAAAVRFRAVCRQWNAALTSDHFILAHRARAAAARHRHPELLFFAPGAAFAGGRATSFYACSLRDGDCEAPPPPAAARELLTVAGITAAHAVLSPTPCRGLTLIFDTYRSEYYLFNLSTGDHVALPPCQPAAAANLDSTLTLPTMNPTSYPPAWPAPWIELSTTGLGYDTATGEHKVVRLFKRRDGGEYSCEVYTQGAGGWRRGVGRVPPCAANLLPALPPVFVDGYLYWLLRPAGPGEEPIHRILSFSMGAEQFGWVYVPPRARGCLPASATSPTSTARCGPSSTTASSAASTGSSRGAAADLDGSLWAIFDNRLFGRVYGLFTWSGRSSSPSPSWSVRCSINLQTLPEQVSEELAGERVIVPLCSAGGAGSSKIMLATGGHKVFVYDVERNAVERVFRMQDMVAVPRGYLQAPLLLSVGLHDERIADVVHRRAGAGDGERRLKVKLGRRRDSTLVKASGGVL >ORUFI09G21330.1 pep chromosome:OR_W1943:9:19971988:19976569:1 gene:ORUFI09G21330 transcript:ORUFI09G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQELVKRSFREDASMAGAIFMSNTATRELCFRSNIFGLPIEYQPFVRNIRQGMPLFLFDHTERKLYGVFEATSDGGFNIIRSAFSSIGCSYPAQVCFKIIWKCRPLTEDEFSPAIKENYYMPWKFYFDLSYQQVVCLYQLFDEKRVEHPIHNHSKSANLENDPFRKGTQERKSLSPNIPHFPADQPGLFMPASTPRFSTVEASYCASTSMHQAPHPNMSMPLGTNPFGVQIAPVHNSHHDQAELPYNNNMLFPGYLPSGHVARDTTQELGLSANHSYPPSMGYAYGCLPPPGHRPQDAIAGDVNYAPPYPQFPLPNEQGSATNRRDYYDVHCKQCQFEDIYESEHQHFSKAKVLAPPILNQQDVPVYPAIAESAFDQRKECFTEEDSENARQKQSFNHTDMVFSGLGNSNRAYMPDHLNKNPDIRSESNTIAVGQHAQSSVFSRLSRIPPPLHQEIPGPSLNKLVLSLSQRAEHWGNQDKIITNDVCEQLVSEQVMDTPYPLAELNQQSGLIEEEIEGLAFMNFKRRSETRNLDANLGKEIRGQVKRRKLVRPSFGEVNNAGSSGKELEAKVLEGEKHSNDEHDENKFSIDLNKPAAIDGDVAKEDDTTTALPHPSVAIKMHKEKPSEENMSKPNSPNTTEEMKKQDPSLDSATHTEKISLELDVADLNTIDQSKLQAILSSSLLQALDKLRREKLNNSEEAEEVKITT >ORUFI09G21330.2 pep chromosome:OR_W1943:9:19972211:19976569:1 gene:ORUFI09G21330 transcript:ORUFI09G21330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQELVKRSFREDASMAGAIFMSNTATRELCFRSNIFGLPIEYQPFVRNIRQGMPLFLFDHTERKLYGVFEATSDGGFNIIRSAFSSIGCSYPAQVCFKIIWKCRPLTEDEFSPAIKENYYMPWKFYFDLSYQQVVCLYQLFDEKRVEHPIHNHSKSANLENDPFRKGTQERKSLSPNIPHFPADQPGLFMPASTPRFSTVEASYCASTSMHQAPHPNMSMPLGTNPFGVQIAPVHNSHHDQAELPYNNNMLFPGYLPSGHVARDTTQELGLSANHSYPPSMGYAYGCLPPPGHRPQDAIAGDVNYAPPYPQFPLPNEQGSATNRRDYYDVHCKQCQFEDIYESEHQHFSKAKVLAPPILNQQDVPVYPAIAESAFDQRKECFTEEDSENARQKQSFNHTDMVFSGLGNSNRAYMPDHLNKNPDIRSESNTIAVGQHAQSSVFSRLSRIPPPLHQEIPGPSLNKLVLSLSQRAEHWGNQDKIITNDVCEQLVSEQVMDTPYPLAELNQQSGLIEEEIEGLAFMNFKRRSETRNLDANLGKEIRGQVKRRKLVRPSFGEVNNAGSSGKELEAKVLEGEKHSNDEHDENKFSIDLNKPAAIDGDVAKEDDTTTALPHPSVAIKMHKEKPSEENMSKPNSPNTTEEMKKQDPSLDSATHTEKISLELDVADLNTIDQSKLQAILSSSLLQALDKLRREKLNNSEEAEEVKITT >ORUFI09G21340.1 pep chromosome:OR_W1943:9:19977976:19986158:-1 gene:ORUFI09G21340 transcript:ORUFI09G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSPLIGVMYPGMSFPLLVNKEKIGIFKVLGTLPMTTGQITSGTKIMIWKGSDEKTVGETLYPPILHPVIATPLQMKMVDDPALSNVVGRASKMIGNFMSYYTHLPHEATKGKMTFLLHGPCDKKAVVEGIGRTLGLPVASVDASSLIKDFPSDSVSQINTCIQRPESRDGPLLIFLDRFDELVPHNRCGSTVMTDITTTQLKLLLDDPWVIFGHRITILVVSVRWINLICPTILSRIRTRFYCGNDEKEGDWDGVGMSLLKLLETNKSIDPNSRWVVTNAILYGNTSLSKSDVTKLFAATQSFDSIVIDAMQVLKDFKNTGSKAVSDLFLRATARRPCIILIQQLDAINNETLISLILHEMNNMVRDLHVFASTRWWQLIHHMFLESRLFTRRVFCGVDSKKDECDFVGQALFEIQQRMGTASDKSTSS >ORUFI09G21340.2 pep chromosome:OR_W1943:9:19977976:19986451:-1 gene:ORUFI09G21340 transcript:ORUFI09G21340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVGEPPNTKTPSTSTPAPWLEERGQTRKRRRLRPQRRRADSYLLSPPLRLHPHHASSCHPVAPNLHRDRCDVSRDVIPFVSEQRKDRDLQGQITSGTKIMIWKGSDEKTVGETLYPPILHPVIATPLQMKMVDDPALSNVVGRASKMIGNFMSYYTHLPHEATKGKMTFLLHGPCDKKAVVEGIGRTLGLPVASVDASSLIKDFPSDSVSQINTCIQRPESRDGPLLIFLDRFDELVPHNRCGSTVMTDITTTQLKLLLDDPWVIFGHRITILVVSVRWINLICPTILSRIRTRFYCGNDEKEGDWDGVGMSLLKLLETNKSIDPNSRWVVTNAILYGNTSLSKSDVTKLFAATQSFDSIVIDAMQVLKDFKNTGSKAVSDLFLRATARRPCIILIQQLDAINNETLISLILHEMNNMVRDLHVFASTRWWQLIHHMFLESRLFTRRVFCGVDSKKDECDFVGQALFEIQQRMGTASDKSTSS >ORUFI09G21350.1 pep chromosome:OR_W1943:9:19990939:19992186:-1 gene:ORUFI09G21350 transcript:ORUFI09G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDATTCVSRWPDLPADLIREISGRLREAADYVHFHAVCKPWRDAVVSPPPLFFPWLVRCLDESTPPWREPCWADEDKLLFRSVSGHKQATFRVSRASCLGEKFAVRDTDGPGGRVLAVGSGGGRLVNPLTGAATHLPRCFPENMAGYLGSVDGVVTGDGTVLLYYLSMSSCTFYRAAILRAGDDAWTSVHTCIDSETMSFWQQWSATYHDGKVINAGRQFYRVGMLSIAPGDVFTGRLEKRSLPQLYDEPASYSYFFELGGELMWAYVHVAAAALLDHGHYPLKGGDLVGSGAVSLWVYSREKKSGRWVKREGRRLLGSSVLFLGWTSSFAVEAGQLAGEVDGGCAYLMIDNAGRGLGRRGFLLLDRCAVYRYRLEDDTITMLDELPPGWLASCCTWFLPRPTIQPKLSKPYA >ORUFI09G21360.1 pep chromosome:OR_W1943:9:19993473:19995285:-1 gene:ORUFI09G21360 transcript:ORUFI09G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKALVVGVLAVLMATAMAASAPQPHVPFTDEDLESEESMWSLYQRWRGAVHTSSLDMDVAETESRFEAFKANARYVSEFNKKEGMTYKLALNKFADMTLEEFVAKYTGTKVDAAAMARAPQAEEELELAGDVAALWDWRQHGAVTPAREQESCWAFSAVGAVEGANAIATGKLVTLSEQQVLDCSGAGDCIGGGSYFPVLHGYAVKQGISPAGSYPPYEAKDRTCRRNTPAVPVVKMDGAVDVPASEAALKRSVYRAPVAVSIEATQSLQLYKEGVYSGPCGTTVNHGVLVVGYGVTRDNIKYWIIKNSWGKEWGDNGFGHMKRDVIAKEGLCGIAMYGVYSVKNGHKNCSYLASPAVVASY >ORUFI09G21370.1 pep chromosome:OR_W1943:9:19995470:20004400:-1 gene:ORUFI09G21370 transcript:ORUFI09G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLSLTSKRDEMRERGETIQALTHMEMKNPLAVGLLALLVAAMAVAAAAHDDDDVPFTDEDLESEQSMWNLYDRWRAVYASSSSHLGGDIESRFEAFKANARYVSEFNKKEGMTYELGLNKFADMTLEEFVAKYAGAKVDAAAALASVPEAEEEVVGDVPAAWDWRQHGVVTPVCVTKTKRLTDELNKGSCWAFSSVGAVESAYAIATKKLLRLSEQQVLDCSGGGDCGGGYTSTVLSEFAVKKGIALDASGNPPYYPPYQAKKLACRTVAGKPVVKMDGAASVPSSNEVALKQSVYKQPVSVLIEANSNFQLYKQGVYSGPCGTSINHAVLAVGYGATPDNTKYWIVKNSWGTGWGEKGYIRMKRDIAAKSGLCGIALYGMYPIKKTAAISMK >ORUFI09G21370.2 pep chromosome:OR_W1943:9:19995470:20004400:-1 gene:ORUFI09G21370 transcript:ORUFI09G21370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLSLTSKRDEMRERGETIQALTHMEMKNPLAVGLLALLVAAMAVAAAAHDDDDVPFTDEDLESEQSMWNLYDRWRAVYASSSSHLGGDIESRFEAFKANARYVSEFNKKEGMTYELGLNKFADMTLEEFVAKYAGAKVDAAAALASVPEAEEEVVGDVPAAWDWRQHGVVTPVKDQGSCWAFSSVGAVESAYAIATKKLLRLSEQQVLDCSGGGDCGGGYTSTVLSEFAVKKGIALDASGNPPYYPPYQAKKLACRTVAGKPVVKMDGAASVPSSNEVALKQSVYKQPVSVLIEANSNFQLYKQGVYSGPCGTSINHAVLAVGYGATPDNTKYWIVKNSWGTGWGEKGYIRMKRDIAAKSGLCGIALYGMYPIKKTAAISMK >ORUFI09G21370.3 pep chromosome:OR_W1943:9:19995470:20001881:-1 gene:ORUFI09G21370 transcript:ORUFI09G21370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKNPLAVGLLALLVAAMAVAAAAHDDDDVPFTDEDLESEQSMWNLYDRWRAVYASSSSHLGGDIESRFEAFKANARYVSEFNKKEGMTYELGLNKFADMTLEEFVAKYAGAKVDAAAALASVPEAEEEVVGDVCVTKTKRLTDELNKGSCWAFSSVGAVESAYAIATKKLLRLSEQQVLDCSGGGDCGGGYTSTVLSEFAVKKGIALDASGNPPYYPPYQAKKLACRTVAGKPVVKMDGAASVPSSNEVALKQSVYKQPVSVLIEANSNFQLYKQGVYSGPCGTSINHAVLAVGYGATPDNTKYWIVKNSWGTGWGEKGYIRMKRDIAAKSGLCGIALYGMYPIKKTAAISMK >ORUFI09G21370.4 pep chromosome:OR_W1943:9:19995470:20004400:-1 gene:ORUFI09G21370 transcript:ORUFI09G21370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLSLTSKRDEMRERGGSCWAFSSVGAVESAYAIATKKLLRLSEQQVLDCSGGGDCGGGYTSTVLSEFAVKKGIALDASGNPPYYPPYQAKKLACRTVAGKPVVKMDGAASVPSSNEVALKQSVYKQPVSVLIEANSNFQLYKQGVYSGPCGTSINHAVLAVGYGATPDNTKYWIVKNSWGTGWGEKGYIRMKRDIAAKSGLCGIALYGMYPIKKTAAISMK >ORUFI09G21380.1 pep chromosome:OR_W1943:9:20004901:20042774:-1 gene:ORUFI09G21380 transcript:ORUFI09G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPLVVGVVVAAMAVAASAQDVPFTDEDLESEQSMWNLYERWRAVYASSSSHLGDIESRFEAFKANARYVSEFNKKEGMTYQLGLNKFADMTLDEFVAKYTGAKVDAAVLASIPEAEEEEVVIGDVPTTWDWRQRGAVTPVKDQKNCGSCWAFSAVGVVESAYAIVTGKLYTLSEQQVLDCSGAGDCSGGYPSKIDMKKRTLLSLVGLWAVLVVAAAQGVDLTVTDKDLESEESMWNLYERWCSVYYASSSSRYLGDVESRFEAFKANARYVSEFNKKNDMTYELDLNKFADMTLEEFVAKYAGAKLDAAVLLASIREAEEEEVLGDVPTAWDWRQHGVVTPIKDQNPCGSCWASSAVGVVESAYAIVTGKLYTLSEQQVLNCSGAGDCSGGYPSKVLTDFAVKKGIALSQYYPAYSATKQACRTAAGTPVVKMDGAAWVPASNEAALKQSVYKQPVSVAIEANSNFQLYRCIHWSLQDGALEPWRGGGGYYPGQDKILTRGAKGGYIRMKRDVGTPGGLCGITTYATYGVYNGPCGTSVNHAVTTVGYGVTQDNINYWIARNSWGPRWGESGYIRMKRDIAAKEGLCGISIYTEMKRTILVVGVLVAAMAVAAVQADDHVPFTDKDLETEESMWNLYERWRAVYASSSSSSGDLADKVSRFEVFKENARYIHESNKKEGMTYKLGLNQFSDMTMEEFTAKYTGAKPGPRISGSEPLPAVGDDCCVPKSWDWRNYGAVTPVKDQGSCGSCWAFSVVGAVESTYKIKKGKLLTLSEQQVLDCSGPGNCSGGSTYLAFDYVIKTGITVNGTGKPPYYPPYEAKKDKCRFDPKKPPVVKISGKSFVPYGDEEALKRAVYHQPVSVLIEASNDFHSYSGGVFTGSCGTSLNHAVLLVGYGKVHHGPRYWIVKNSWGPKWGENGYIRMARDIDFEEGICGIATYAMYPTGIAASSPVATYMEMKPLVVALLAVVVAAMAVAAVQDDDDDVPFTDKDLESEESMWSLYQRWSRVHGLTSRDLAEKQGRFEAFKANARHVNEFNKKEGMTYKLALNRFADMTLQEFVAKYAGAKVDAAAAALASVAEVEEEELVVGDVPASWDWREHGAVTAVKDQDGCGSCWAFSAVGAVESINAIATGNLLTLSEQQVLDCSGDGDCNGGWPNLVLSGYAVEQGIALDNIGDPAYYPPYVAKKMACRTVAGKPVVKTDGTLQVASSETALKQSVYGQPVSVLIEADTNFQLYKSGVYSGPCGTRINHAVLAVGYGVTLNNTKYWIVKNSWNTTWGESGYIRMKRDVGGNKGLCGIAMYGIYPTKTKTSTFSAAARAASLLLATYLMDMRKPLVLAVALSAILLLAAAAADVDVTVRDSDLESEETMWDLYERWRRVYASSSQDLPSSDMMKSRFEAFKANARQVNEFNKKEGMSYTLGLNKFSDMSYEEFAAKYTGGMPGSIADDRSSAGAVSCKLREKNVPLTWDWRDSRAVTPVKDQGPCGSCWAFSVVGAVESINKIRTGNLLTLSEQQVLDCSGAGDCVFGYPKDAFNHIVNTGVSLDSRGKPPYYPPYEAQKKQCRFDLEKPPFVKIDGICFAQSGNETALKLAVLSQPVSVIIQISDIFHSYHGGVFDGPCGTETKDNHVVLVVGYGVTTDNIKYWIVKNSWGEGWGESGYIRMKRDITDKNGICGITTWAMYPVKKYIVAHDDADIDMKKTTLSLVVGLWAVLLVATAAAQDVDLTVTDKDLETEESMWNLYKWWCSVYYASPSSRDLADVESRFEAFKANARHVNEFNKKEGMTYRLGLNQFSDMTFEEFAGKFTGGRTGSIAGDLRDGAVTYCKPPAVGYVPPSWNWTKYGVVTPVKNQLTCGSCWAFSVAAAVESINMIRTGNLLTLSEQQILDCSGAGDCNGGYPYDAFDYVIKTGISLDNRGNPPYYPPYENQKQKCRFDPANSGLKGVIEN >ORUFI09G21380.2 pep chromosome:OR_W1943:9:20004901:20042774:-1 gene:ORUFI09G21380 transcript:ORUFI09G21380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPLVVGVVVAAMAVAASAQDVPFTDEDLESEQSMWNLYERWRAVYASSSSHLGDIESRFEAFKANARYVSEFNKKEGMTYQLGLNKFADMTLDEFVAKYTGAKVDAAVLASIPEAEEEEVVIGDVPTTWDWRQRGAVTPVKDQKNCGSCWAFSAVGVVESAYAIVTGKLYTLSEQQVLDCSGAGDCSGGYPSKIDMKKRTLLSLVGLWAVLVVAAAQGVDLTVTDKDLESEESMWNLYERWCSVYYASSSSRYLGDVESRFEAFKANARYVSEFNKKNDMTYELDLNKFADMTLEEFVAKYAGAKLDAAVLLASIREAEEEEVLGDVPTAWDWRQHGVVTPIKDQNPCGSCWASSAVGVVESAYAIVTGKLYTLSEQQVLNCSGAGDCSGGYPSKVLTDFAVKKGIALSQYYPAYSATKQACRTAAGTPVVKMDGAAWVPASNEAALKQSVYKQPVSVAIEANSNFQLYSKGVYNGPCGTSVNHAVTTVGYGVTQDNINYWIARNSWGPRWGESGYIRMKRDIAAKEGLCGISIYTEMKRTILVVGVLVAAMAVAAVQADDHVPFTDKDLETEESMWNLYERWRAVYASSSSSSGDLADKVSRFEVFKENARYIHESNKKEGMTYKLGLNQFSDMTMEEFTAKYTGAKPGPRISGSEPLPAVGDDCCVPKSWDWRNYGAVTPVKDQGSCGSCWAFSVVGAVESTYKIKKGKLLTLSEQQVLDCSGPGNCSGGSTYLAFDYVIKTGITVNGTGKPPYYPPYEAKKDKCRFDPKKPPVVKISGKSFVPYGDEEALKRAVYHQPVSVLIEASNDFHSYSGGVFTGSCGTSLNHAVLLVGYGKVHHGPRYWIVKNSWGPKWGENGYIRMARDIDFEEGICGIATYAMYPTGIAASSPVATYMEMKPLVVALLAVVVAAMAVAAVQDDDDDVPFTDKDLESEESMWSLYQRWSRVHGLTSRDLAEKQGRFEAFKANARHVNEFNKKEGMTYKLALNRFADMTLQEFVAKYAGAKVDAAAAALASVAEVEEEELVVGDVPASWDWREHGAVTAVKDQDGCGSCWAFSAVGAVESINAIATGNLLTLSEQQVLDCSGDGDCNGGWPNLVLSGYAVEQGIALDNIGDPAYYPPYVAKKMACRTVAGKPVVKTDGTLQVASSETALKQSVYGQPVSVLIEADTNFQLYKSGVYSGPCGTRINHAVLAVGYGVTLNNTKYWIVKNSWNTTWGESGYIRMKRDVGGNKGLCGIAMYGIYPTKTKTSTFSAAARAASLLLATYLMDMRKPLVLAVALSAILLLAAAAADVDVTVRDSDLESEETMWDLYERWRRVYASSSQDLPSSDMMKSRFEAFKANARQVNEFNKKEGMSYTLGLNKFSDMSYEEFAAKYTGGMPGSIADDRSSAGAVSCKLREKNVPLTWDWRDSRAVTPVKDQGPCGSCWAFSVVGAVESINKIRTGNLLTLSEQQVLDCSGAGDCVFGYPKDAFNHIVNTGVSLDSRGKPPYYPPYEAQKKQCRFDLEKPPFVKIDGICFAQSGNETALKLAVLSQPVSVIIQISDIFHSYHGGVFDGPCGTETKDNHVVLVVGYGVTTDNIKYWIVKNSWGEGWGESGYIRMKRDITDKNGICGITTWAMYPVKKYIVAHDDADIDMKKTTLSLVVGLWAVLLVATAAAQDVDLTVTDKDLETEESMWNLYKWWCSVYYASPSSRDLADVESRFEAFKANARHVNEFNKKEGMTYRLGLNQFSDMTFEEFAGKFTGGRTGSIAGDLRDGAVTYCKPPAVGYVPPSWNWTKYGVVTPVKNQLTCGSCWAFSVAAAVESINMIRTGNLLTLSEQQILDCSGAGDCNGGYPYDAFDYVIKTGISLDNRGNPPYYPPYENQKQKCRFDPANSGLKGVIEN >ORUFI09G21380.3 pep chromosome:OR_W1943:9:20004901:20042774:-1 gene:ORUFI09G21380 transcript:ORUFI09G21380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPLVVGVVVAAMAVAASAQDVPFTDEDLESEQSMWNLYERWRAVYASSSSHLGDIESRFEAFKANARYVSEFNKKEGMTYQLGLNKFADMTLDEFVAKYTGAKVDAAVLASIPEAEEEEVVIGDVPTTWDWRQRGAVTPVKDQKNCGSCWAFSAVGVVESAYAIVTGKLYTLSEQQVLDCSGAGDCSGGYPSKIDMKKRTLLSLVGLWAVLVVAAAQGVDLTVTDKDLESEESMWNLYERWCSVYYASSSSRYLGDVESRFEAFKANARYVSEFNKKNDMTYELDLNKFADMTLEEFVAKYAGAKLDAAVLLASIREAEEEEVLGDVPTAWDWRQHGVVTPIKDQNPCGSCWASSAVGVVESAYAIVTGKLYTLSEQQVLNCSGAGDCSGGYPSKVLTDFAVKKGIALSQYYPAYSATKQACRTAAGTPVVKMDGAAWVPASNEAALKQSVYKQPVSVAIEANSNFQLYRCIHWSLQDGALEPWRGGGGYYPGQDKILTRGAKGGYIRMKRDVGTPGGLCGITTYATYGVYNGPCGTSVNHAVTTVGYGVTQDNINYWIARNSWGPRWGESGYIRMKRDIAAKEGLCGISIYTEMKRTILVVGVLVAAMAVAAVQADDHVPFTDKDLETEESMWNLYERWRAVYASSSSSSGDLADKVSRFEVFKENARYIHESNKKEGMTYKLGLNQFSDMTMEEFTAKYTGAKPGPRISGSEPLPAVGDDCCVPKSWDWRNYGAVTPVKDQGSCGSCWAFSVVGAVESTYKIKKGKLLTLSEQQVLDCSGPGNCSGGSTYLAFDYVIKTGITVNGTGKPPYYPPYEAKKDKCRFDPKKPPVVKISGKSFVPYGDEEALKRAVYHQPVSVLIEASNDFHSYSGGVFTGSCGTSLNHAVLLVGYGKVHHGPRYWIVKNSWGPKWGENGYIRMARDIDFEEGICGIATYAMYPTGIAASSPVATYMEMKPLVVALLAVVVAAMAVAAVQDDDDDVPFTDKDLESEESMWSLYQRWSRVHGLTSRDLAEKQGRFEAFKANARHVNEFNKKEGMTYKLALNRFADMTLQEFVAKYAGAKVDAAAAALASVAEVEEEELVVGDVPASWDWREHGAVTAVKDQDGCGSCWAFSAVGAVESINAIATGNLLTLSEQQVLDCSGDGDCNGGWPNLVLSGYAVEQGIALDNIGDPAYYPPYVAKKMACRTVAGKPVVKTDGTLQVASSETALKQSVYGQPVSVLIEADTNFQLYKSGVYSGPCGTRINHAVLAVGYGVTLNNTKYWIVKNSWNTTWGESGYIRMKRDVGGNKGLCGIAMYGIYPTKTKTSTFSAAARAASIDMKKTTLSLVVGLWAVLLVATAAAQDVDLTVTDKDLETEESMWNLYKWWCSVYYASPSSRDLADVESRFEAFKANARHVNEFNKKEGMTYRLGLNQFSDMTFEEFAGKFTGGRTGSIAGDLRDGAVTYCKPPAVGYVPPSWNWTKYGVVTPVKNQLTCGSCWAFSVAAAVESINMIRTGNLLTLSEQQILDCSGAGDCNGGYPYDAFDYVIKTGISLDNRGNPPYYPPYENQKQKCRFDPANSGLKGVIEN >ORUFI09G21390.1 pep chromosome:OR_W1943:9:20050504:20051897:-1 gene:ORUFI09G21390 transcript:ORUFI09G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTGGRPGGGGGPALQRLRRHAAGEVASRSLARCREGARRRGRQAAGQRHSGRQGSNESTTQSQPTVTSKFKNFDLALY >ORUFI09G21400.1 pep chromosome:OR_W1943:9:20054533:20060538:-1 gene:ORUFI09G21400 transcript:ORUFI09G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIAMSLRSLAATDAFLPKPSPSSAPFLLLLSPSTPRLRLHLHLRSTRRLPLAPLAASDSFESASSSSAAALDFAEPGAAEESDVPEESDQEEEGYAPEAEEEAEADEEVEEEAVEASAEVAEEAEDVEEVGEYVEPPEEAKVYVGNLPYDIDSERLAQLFEQAGIVEVSEVIYNRETDRSRGFGFVTMSTVEEAEKAVEIFHRYDVDGRLLTVNKAAPRGARVERPPRQFGPSFRIYVGNLPWQVDDSRLVQLFSEHGKVVDARVVYDRETGRSRGFGFVTMATQEELDDAIAALDGQRSDHRAEAFNGIAGFVGPQCPALLPWLVARGWPGCFSRSHLRSIFSNATPAWHSPRPTTRDMWLASTDGAGVWVLTADGDRLVDPLTGATVRRLPRLPRTSNNDHVSYIDGVVCGDGTIVAYATSDGFKHSSQIEAAILRPGDTAWTTVESKGMMHAAFGYGCCTTYHQGGVVFADLYQTFVVRVLVDDDDAAGGGRVVESRTRRWPPDYGFLHKRLLSTYTFEFRGKLMSACVEIPWQSSAYQIDAAALSVSLYTLETTAAAGDGGELAHLWVRAADGGRLLGEHALFLGCPTSFAVDAARFGAGGEVSGGCAYFVIWTMPDVCHVYKYSFHDDLLDLHPLLAVEFAEQRPRQEWILTEAALAKAPTAVHSFSPKDFSIYVGNLRWDVDRLRLLKFFGEHGRVLVAQVVCDRQTGRSRGFGFVSMATLREPDDVIASLNGQVRRHN >ORUFI09G21410.1 pep chromosome:OR_W1943:9:20060974:20066305:-1 gene:ORUFI09G21410 transcript:ORUFI09G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEPKKRTSARRARRRASAGLTKASEEEEHVVVVVPPWADLPFDLLADISRRLHATADFARFHAVCKSWRRTLPPHPPTFLPWLLSPGDATGHRTARCVFSKSSRHPAAAPIRIPTKSQLAIGYDGEPCSLYYPLIMTGGAAATTPLPSCPDEMKTWADHFFFSVSGDGTVFVYALGETYWDQYDHAYRCHFHAAILRPGDEAWMLMDRHLIVNFPYLLRDVCRVLYTDGGKMLLHNGKDYWCVVTTGAATTAGEGKWSRWWPEEPGKEIQSSHLLEYRGELLWAFVLADSGYCSDVRGCRVAGRPLASALSVSVYALEAEGGGSWVWVRKDGRSMDDRALFLGRPVSLAMDAAQLGVGRGSGCAYFVHRWAWATAAGRERCRVLRYSFGDATSEVVELLPRAVAQWWSEGGDGCIWLASPPPPAIALAPTTIEEIKERGLQVVEPNVQLMRIHVGNLPRKVDSHGLRRFLMSKHGHGHDGFVVVTDARVMCERSSRGRFSRGFGFATMAIAADAEPADVVTMLNGQILDGRPLRVKFADKDQRGSSAHHATVKRLHLRAELFLHSLLLSSRIGMFFSTFLLLFWTIYSWTVRLNRDEIGNLRINNSWDSQRYDTLDQVKEALEKVGLESSNIIIGVDFTKSNDLHHISEDSLNPYEQAISIIGKTLSTFDEDNRIPCFGFGDTSTHDQNVFSFYSGRRQYCNGVSEVLRGYREIAPRVRLSGPTSLAPIIETAMRITQDSGYQYHILLIIADGQVPRCCGANSANNRDENYLEERTLQALVQASHFPLSIVLVGVGDGPWDEQLMHCQEDRQLFDNFQFVDFTKIIMSREMPETEKEEQFALEALKKIPSQYAAIISKRIRCAFKDASSSPSSKACYFYLIIFRTGNASLV >ORUFI09G21410.2 pep chromosome:OR_W1943:9:20060974:20066305:-1 gene:ORUFI09G21410 transcript:ORUFI09G21410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEPKKRTSARRARRRASAGLTKASEEEEHVVVVVPPWADLPFDLLADISRRLHATADFARFHAVCKSWRRTLPPHPPTFLPWLLSPGDATGHRTARCVFSKSSRHPAAAPIRIPTKSQLAIGYDGEPCSLYYPLIMTGGAAATTPLPSCPDEMKTWADHFFFSVSGDGTVFVYALGETYWDQYDHAYRCHFHAAILRPGDEAWMLMDRHLIVNFPYLLRDVCRVLYTDGGKMLLHNGKDYWCVVTTGAATTAGEGKWSRWWPEEPGKEIQSSHLLEYRGELLWAFVLADSGYCSDVRGCRVAGRPLASALSVSVYALEAEGGGSWVWVRKDGRSMDDRALFLGRPVSLAMDAAQLGVGRGSGCAYFVHRWAWATAAGRERCRVLRYSFGDATSEVVELLPRAVAQWWSEGGDGCIWLASPPPPAIALAPTTIEEIKERGLQVVEPNVQLMRIHVGNLPRKVDSHGLRRFLMSKHGHGHDGFVVVTDARVMCERSSRGRFSRGFGFATMAIAADAEPADVVTMLNGQILDGRPLRVKFADKDQRGSSAHHATVKRLHLRAELFLHSLLLSSRIGMFFSTFLLLFWTIYSWTVRLNRDEIGNLRINNSWDSQRYDTLDQVKEALEKVGLESSNIIIGVDFTKSNDVASRHGLISGWKFSGKHCFNGRSLHHISEDSLNPYEQAISIIGKTLSTFDEDNRIPCFGFGDTSTHDQNVFSFYSGRRQYCNGVSEVLRGYREIAPRVRLSGPTSLAPIIETAMRITQDSGYQYHILLIIADGQVPRCCGANSANNRDENYLEERTLQALVQASHFPLSIVLVGVGDGPWDEQLMHCQEDRQLFDNFQFVDFTKIIMSREMPETEKEEQFALEALKKIPSQYAAIISKRIRCAFKDASSSPSSKACYFYLIIFRTGNASLV >ORUFI09G21410.3 pep chromosome:OR_W1943:9:20061235:20066305:-1 gene:ORUFI09G21410 transcript:ORUFI09G21410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEPKKRTSARRARRRASAGLTKASEEEEHVVVVVPPWADLPFDLLADISRRLHATADFARFHAVCKSWRRTLPPHPPTFLPWLLSPGDATGHRTARCVFSKSSRHPAAAPIRIPTKSQLAIGYDGEPCSLYYPLIMTGGAAATTPLPSCPDEMKTWADHFFFSVSGDGTVFVYALGETYWDQYDHAYRCHFHAAILRPGDEAWMLMDRHLIVNFPYLLRDVCRVLYTDGGKMLLHNGKDYWCVVTTGAATTAGEGKWSRWWPEEPGKEIQSSHLLEYRGELLWAFVLADSGYCSDVRGCRVAGRPLASALSVSVYALEAEGGGSWVWVRKDGRSMDDRALFLGRPVSLAMDAAQLGVGRGSGCAYFVHRWAWATAAGRERCRVLRYSFGDATSEVVELLPRAVAQWWSEGGDGCIWLASPPPPAIALAPTTIEEIKERGLQVVEPNVQLMRIHVGNLPRKVDSHGLRRFLMSKHGHGHDGFVVVTDARVMCERSSRGRFSRGFGFATMAIAADAEPADVVTMLNGQILDGRPLRVKFADKDQRGSSAHHATVKRLHLRAELFLHSLLLSSRIGMFFSTFLLLFWTIYSWTVRLNRDEIGNLRINNSWDSQRYDTLDQVKEALEKVGLESSNIIIGVDFTKSNDLHHISEDSLNPYEQAISIIGKTLSTFDEDNRIPCFGFGDTSTHDQNVFSFYSGRRQYCNGVSEVLRGYREIAPRVRLSGPTSLAPIIETAMRITQDSGYQYHILLIIADGQVPRCCGANSANNRDENYLEERTLQALVQASHFPLSIVLVGVGDGPWDEQLMHCQEDRQLFDNFQFVDFTKIIMSREMPETEKEEQFALEALKKIPSQYAAIISKRISDLAADAPSRMPLPPPPPRPVIST >ORUFI09G21410.4 pep chromosome:OR_W1943:9:20061235:20066305:-1 gene:ORUFI09G21410 transcript:ORUFI09G21410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEPKKRTSARRARRRASAGLTKASEEEEHVVVVVPPWADLPFDLLADISRRLHATADFARFHAVCKSWRRTLPPHPPTFLPWLLSPGDATGHRTARCVFSKSSRHPAAAPIRIPTKSQLAIGYDGEPCSLYYPLIMTGGAAATTPLPSCPDEMKTWADHFFFSVSGDGTVFVYALGETYWDQYDHAYRCHFHAAILRPGDEAWMLMDRHLIVNFPYLLRDVCRVLYTDGGKMLLHNGKDYWCVVTTGAATTAGEGKWSRWWPEEPGKEIQSSHLLEYRGELLWAFVLADSGYCSDVRGCRVAGRPLASALSVSVYALEAEGGGSWVWVRKDGRSMDDRALFLGRPVSLAMDAAQLGVGRGSGCAYFVHRWAWATAAGRERCRVLRYSFGDATSEVVELLPRAVAQWWSEGGDGCIWLASPPPPAIALAPTTIEEIKERGLQVVEPNVQLMRIHVGNLPRKVDSHGLRRFLMSKHGHGHDGFVVVTDARVMCERSSRGRFSRGFGFATMAIAADAEPADVVTMLNGQILDGRPLRVKFADKDQRGSSAHHATVKRLHLRAELFLHSLLLSSRIGMFFSTFLLLFWTIYSWTVRLNRDEIGNLRINNSWDSQRYDTLDQVKEALEKVGLESSNIIIGVDFTKSNDVASRHGLISGWKFSGKHCFNGRSLHHISEDSLNPYEQAISIIGKTLSTFDEDNRIPCFGFGDTSTHDQNVFSFYSGRRQYCNGVSEVLRGYREIAPRVRLSGPTSLAPIIETAMRITQDSGYQYHILLIIADGQVPRCCGANSANNRDENYLEERTLQALVQASHFPLSIVLVGVGDGPWDEQLMHCQEDRQLFDNFQFVDFTKIIMSREMPETEKEEQFALEALKKIPSQYAAIISKRISDLAADAPSRMPLPPPPPRPVIST >ORUFI09G21420.1 pep chromosome:OR_W1943:9:20066737:20070040:-1 gene:ORUFI09G21420 transcript:ORUFI09G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGDAVLSDVDEEDPLPPPPPPSTSSSQKSPSPSQPQPQPHAQAQRLHDLAAELEEERRLRRKAEESLAEAEKRSERVKALAQNVLRKHDDLKTEASTASSMLTSGFERISAKASPSAAASPAPLPTSQKYSSGLPAIAYGVLKRANDIVDDLLSQIDAANRDRDRAREQMEHRNYQIAIEVSELEASLASRSAHCDSLSKSLSDKDAEISDLRNNLAFLETKLDAQRPVLADQIACASKVYDEIREVVKLVDADAASALSDSVFVWKETDVEESLKVSLEGTKMAYDLATTALHKVGAWVDKKESKVRDLEARVDELLREKEHIGVLLRSALQSNTSEVLKVAEDGLREAGIEVGLKERREHRPGSMEKDEVYTLAGELENSMKESQVKIVELQHLVEALRAESGLLRTRLEGQEKEIAQLRKQIKHLEEKERVANESVEGLMMDVTAAEEEIKRWKMAAEEEAEAGKAIEQEFETQLSSLNKELDEAKQAMLELENKLKFKEETAAAAMAARDAAEKSLKLADMRSTRLRERLEEINRQLEESDNIRDSSNRNGHRYMCWPWQWLGLNYVRLPPTEADQTSNEMELSEPLI >ORUFI09G21430.1 pep chromosome:OR_W1943:9:20072437:20088757:1 gene:ORUFI09G21430 transcript:ORUFI09G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAAAAVAAAVVMMAAAAAALCFSAAAAASPVGGPHMADLSVLLPPRMTKPVEHRLIGFDGCFTWAWDHHDIISVKPEYNDSSRCSTSARLASIAPYSGRKETSVYATDIISGITIHCKVFVDRISRIRIFHHAVKIDLDEVATLRVHAFDDEDNVFSSLVGLQFLWQLTPRWVDTNSHHLVHIPLKETHLSDCSGFCGDMNIRFELEDRNLGSDLFVVKGIEIGQEVVNAQLFEPQFEHVNDTITLTVAEAMSLEPPSPVLVTVGAMVKFKLKVFRQKVPQDTRVSGHAQVSSLHVVIPQALFLYLVPVVDDSAHFHGITSIPSSEVWYVFPGRKYVVLAKAFAEGFDFKEMFITEENELKLASSTVEFWNLSQVPDSSAGSYEVQTSRLLTPISKGKGYLDAFLTYRTEASGPAKVLKLQQEVNVCSKVKAIWDEEMDNSRTIYLPWVPGAYQEVELKAVGGCGKMPEDYKLSSSDESVASVSDSLIVRTKRPGRAVIKVVSVFDALNFDEVTVEVSTPSAQAILPNFPVEVPVGTQLQAAVTLKTSNADALSTETLKHYAGSWAQYGNPCAWVSLNASAAGQATLVATFSFDSESYSEIFSGPIFLKSTSKISAYYPLVVLQAGSGNRFGGYWVDLSRIHSGIQNMVNNSPKELYLVPGSTMDVFLSGGPEQWDQLVDFVETVDVIGESKNYVVSSTAVQKLSSRLYRVSCPSKGNFKLLFSRGNMIGKDHPVPAVSQSELAVVCDFPSAITLIANENESRLVILEAASRAERKHNRLQASPVVISNGRNMRLAAAGVHGNGRFFANSSSLCLSWEVTECEGLAYLDEDKDMLDDSSWERFLVLQNSTGMCTVRATVIGFSSRVDGRTREEEHMFLQSARDTLTDAIQLQIVSSLRVTPDYVLIVFHPEAQETLAVSGGTCFLDASSNDTQVVQILQHPGKALCSQLILGARGLGTATVTIQDIGLSPRALTDSLVRVANVDWIKINSEEHISLMEGSTEDFHISAGTQDGQVFRDSQVPPMPPCSCISDLYTLIACISVVFVFLIVKILFSFHLVILYDNFLYKYMGIEVHLGDETLELINSHELLDGPKFSVKAAKIGTTSLYWLIWPASFTTFTLQVTAKQYSGQRVLSQVVKVEVYKPLQIHPEYIYLTPGASFVLSVKGGPKVGVVIEYTSLNVETVEVQNSTGKLSAKTVGNSTMRAVAFSNEGTFICEAFGRVEVDIPVAMILSTQSDRLCVGCSMPIYPSLPKGDLFSFYETCQSYTWVIEDDKVAMFQLARSWQYGLDQGLYSEGKNYPWFSNGSSNAFINHVIGRSAGKTKISVSITCDFLMTGSSGSIAYSASKTILVVPDPPLALGLPITWLFPPFYTTTDLLPRSVDPDSDDLESTIGYSLLRNIGKSDLVLQNANIIDGSKIRTGESNAIDCIQAKDHSTGRTEIASCLRVAEVAQAQIAAAESSIHIAYLSVHDKVELDIKYSDELGYTFSEALGIVPVKIETNHPDVVSILMPKEGNGTHGTHERFVLQARSHGTALVRLQISHIPKKADFIMVSVGAQMYPRDVVLRSGQQLNFTIIGDRMDVRGSSQWLSSNEKVVHINRITGEAQARGEGIAEVIFKGPNTKLHTTVTVLKVNQIVVNAPAETLTNAAGPPGGYKFSVKLRSDSTGHSADSSINHINVPFDCKVEPSFVGFVEPWSDDAAKKSYCLFHPYSPAQLLPVKLNLKEGFLHIVVHANLKEDPKVTGSAHALFVKGFYIKEPRKLNLTPSCNHSIITIGGNTDVELFWNAKDLLSASRVDTNGRGVPSQISYQVEALKRQSFYDKITIILPATGQTEEIEVIYDTGERREPSTSGLTTLAAIVTCIVVPIATIALFMKLLEKKPIREAPPRHATPAPASAPAAAMADPASPATGELSPRTPQPFMEYVRRTIDDTPYYKRDARRRFNPQNTY >ORUFI09G21440.1 pep chromosome:OR_W1943:9:20089618:20093871:1 gene:ORUFI09G21440 transcript:ORUFI09G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVAASLVPSWSAVLVLFSYLGYLAAAGAVLPGKLVPGAVLPDSSRLHYRCNGLLSLLLLLVLSALGVYMGWMSPTVIADRGIELLSTTFIFSVIVTFLLYYSGLRSHHKSSSLKPHITGNFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLAGSANLSVILYQFFCAWYIVDYFVHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNKVELSLLAAVVNCFIFVIGYLVFRGANKQKHIFKKNPKALIWGKPPKLVGGKLLVSGYWGIARHCNYLGDILLALSFSLPCGTSSVIPYFYPTYLFILLIWRERRDETRCSEKYKEIWVEYCKLVPWRIFPYVY >ORUFI09G21450.1 pep chromosome:OR_W1943:9:20096534:20097340:1 gene:ORUFI09G21450 transcript:ORUFI09G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQGQIPFVQRLASVFRSVSKSLERLGAAPPTKSFWTRNYLLKLQNSLDIAWQSLSLMERTFHRLETKQADKVASLAAIAAAAIDAANRDRLESKQVDKAADKACVHSVVVFLAVSNERAAYGALSAYRSFLEICRANMIVFNDIQISVNDRLLDVAGAGEKLQANIRLASGMTRILAIFLGPWIQRINGAEGIPPTMRIAFSFCREHMWSIKTCADTPFLLLGCGAFSLRRRLKLSMAAMRCLQLQSSEASETFYDWDAWEALPSL >ORUFI09G21460.1 pep chromosome:OR_W1943:9:20100535:20105058:-1 gene:ORUFI09G21460 transcript:ORUFI09G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWCTVVRNVPEDYLGMGPRSTRGRVLMFLMMAVGANRDSTAAGFARTVSKAEVLASPPPISPAVNAVIIIRNRYWILMILFALVVCCGMGWLVWHPNIIMSERTQDILKKSTKSAGITGIVLFGLVNFLPFFDLWTITKSNSTDFSLTTPIVINTMLAGVLSAFWALYAWIFDPKSPNYFMIANSLAAGMSSIQFVWLLVLRNSHREVSGQIVEVESLRCVLLSVAKSLERLGAAAPTEEFLQQTKYLLRLQEGLSIGLQSLDLMESAFLRLEQELVKNVARTANHVLEMQDKQDAAANFVARSDDNNANDVLRACRILREGCTTNKNAFDSMQEAIQHKIANAEGGENNLKDRINAAAAAIRGLAISMGYNMQTINGRGIPPTVKIAFNFCCEQILSVKTCADAPFVWLGFGGFSLRRRLNLRFDNVNWPDVPL >ORUFI09G21470.1 pep chromosome:OR_W1943:9:20112659:20116725:1 gene:ORUFI09G21470 transcript:ORUFI09G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAAAGNSRGISHRGLRGESNDGGGQRRRCRQGREARRHRRPPLPICCRRPEEKRIEERKEAPPTPATSSPFLSRRIGSKRWPPGKQLGMAGHLLPFARGHVHLRELEDLEQRHEPLAPEPVADTVGVVLVGEEELQGGREAALSRHPPLAVAVRRMMETLPAPRPTQIPRSTAIRPPCATPFSRAHWQTTCAAILATTTTTNAKAYGAPRVNGDSIKPALSDHAAPPLDLDLLPLRVAYQGVPGAYSEAAAAKAYPSCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRRDLLTRVISHPQALAQCELTLNAMGLNVAREAFDDTAAAAEHVAAAGLRDTAAIASSRAAELYGLQVLADGIQDDAGNVTRFEFTSFLRVLGSYPMDMTPWEYSSSGQTAGDTSSS >ORUFI09G21480.1 pep chromosome:OR_W1943:9:20115380:20116238:-1 gene:ORUFI09G21480 transcript:ORUFI09G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRVGDDAGEEVPADAREREEAVVDGELDLADDVEAVAEEEVVVPVDAAAEGVLDGEDGAVGDPELDGLEGHLELVAGDGVAGGVGLGGGGLAVCPRDTLVSDAQLAAVHGRGGEVGDGERAREVGDGEQIQVERRRGVVGEGGLDAVAVHPRGAIGFGVGGGLPVGAGEGGGARRADGRGSGDLGGARRGQSFHHPADGDGEGWVAGKGGLPPSL >ORUFI09G21480.2 pep chromosome:OR_W1943:9:20115380:20115688:-1 gene:ORUFI09G21480 transcript:ORUFI09G21480.2 gene_biotype:protein_coding transcript_biotype:protein_coding VGDGEQIQVERRRGVVGEGGLDAVAVHPRGAIGFGVGGGLPVGAGEGGGARRADGRGSGDLGGARRGQSFHHPADGDGEGWVAGKGGLPPSL >ORUFI09G21490.1 pep chromosome:OR_W1943:9:20118638:20123903:-1 gene:ORUFI09G21490 transcript:ORUFI09G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAAPMETSASARRSAAPDPKKPRLAQHPAPRDPRSYPAAANGNAPAVDQAQVDELVAQYRTALGELTFNSKPIITNLTIIAGENLHAAKPIASLICANILEVPSEQKLPSLYLLDSIVKNIGKDYVKHFSARLPEVFCKAYKQVDSSIHNSMRHLFGTWKGVFSPTSLQVIEKELGFQSSTNGSSGAAPSKPDSQSNRPSHSIHGQGILGAGAKTTTISDSGDDIERTSRTAVDRGAGRRLDALNPRTNVQRAQRDPFSNPIHEKQDRDMRVLGFSNISQQAVVGTGLVRAKPKGQDGSGGPYYTAGVGSSEEQFDRRSNFYANKDVRPSGSVRLDGALLPTPVSNSDRIGRPSSNKSWKNSEEEEYMWDDVRSQGADYGGTSSTRKREWIPDDGNVGSFQRVKWAEAGGPLDPDQHKLDSFQRFGNATGQDRRITPYMDHEEYLHGKHEVEPRIDREMLPEGQPFSSSRGSSLWLSHEKPLPDIVSDPRISAFSNQPADRPTIYAGTLSTSITSSVPVGLSAAYAGRSSLESAATRSTETIGQQKNRYWSTSSPPVQSPSASFARQSSPSPVELDYSSKPFSQLGQNSLEDYNQRTHALAQNLALSQGRPNLLGAPSHASQQIEKHPSLLQSKPHLRTLDQPQANFSPENSSSVFKSSIQLPISVGVGHRQPEEVSLSSDSTLMSSDHLSASSLLAGLIKSGFKPNDPNDLASLRAQPPLPSGPPPHVSTSFSAASSSLHLPASDTLKSQAPNSLRPPLPPGLPLSSPFVCPTTQTSEKAAPLSSLLSSLVAKGLISSPSADSTVAIPQQPCKSELNTPDDTASAPSLPFVQPSVKKETSNQNSSAPSKVLVHQPVEIKKEPAEIKMVDLIGFDFKPEMLRKYHAHVISTLFDDQSHQCNTCGLRFSLEEELSVHTACHGSKQSETRKTGIAPEKWYPSKNNWVDRSHEVQNSALESASSVADLSSEEEVCEFMVPADESQIICALCGESFDDIYSIEKDNWMYKDAVYFDSSKTEGSSGDSAESKERVPIVHARCMSISSNDGMEVD >ORUFI09G21500.1 pep chromosome:OR_W1943:9:20127815:20129916:-1 gene:ORUFI09G21500 transcript:ORUFI09G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDESDGWSDWEEKTIMDESLRPPTHVATAAMTTPPWVLLECDAYIATNHGNATTATSRTRTGHPIEPTIIATEADLVLLRLALGPRDDSFKTSRQDYFIYHASSTAPKLDNRFIDKQVGILRCLDDGTYIVAALCSAFKRGDHLYRSGADAWTCHPLSIHGLVFDPSFSHVTSKVITVGGEAGTMGWVDINDGILFCDLLRDTAELRYLPLPPPLEHKDDMIVGCPGPLRDFALVQGRIKYIEMQVHVRPGSAINGTYASQGWIAATWSAPPTNPWKQGWRQDCQISASHLAVDHNTMNFELLPELGTPQQTLERLHVGLPKLSLHSDDIVCFMAKVDLWDDQNAWVLAVDMKNKRLKDVAEFGAGRTLGISSAYISSRISDYLPTAPGLKGNLKRQGVVLTVPSHKKQTRVVLSNPSWKGGDQQNSGTSMDDKEDNMDLDLDMFFG >ORUFI09G21510.1 pep chromosome:OR_W1943:9:20140193:20153227:-1 gene:ORUFI09G21510 transcript:ORUFI09G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPAVTGRRTTSYSLLSQFPDDAAVLQRQSSGSSYGAGSSLSASSDFPFHLPSAAAPAAGAPGGSPCKSWAQQAEETYQLQLALALRLCADAASAADPAFLDPGHSATATAGPFPLPPPTPSADSLSHRFWVNGSLSYSNTIPDGFYLIHGMDPFVWSLCTDLLEENRIPSIDSLKSVRPDDSSMQAILIDRRTDFDLGMLENYASSFLSSSADMKDVINQLAKLVSSRMGGTTSNEESFLPRWKECSDAIKSSTGSIVLHLGKLPIGFCKHRSLLFKMLADKVNVPCRVVKGCKYCKSDDATSCLVRFGLEREYLVDLIGDPGQLSDPDSFVNGPYSLSVPSPLRPPKFRSLEITSNFSSVAKQYFSDCHSLNLLFNEASTGANSNAAVAMDQPYSTRKHDTRDDIMSSWVPVKAYIHIMAQQSQAAVSSDAILPEAPREVLPLITSSNLKAEKKKEFKLIEGNQYLRSTVSDLSLAVDDLIIPWNELILKEKIGAGSFGTVHRADWNGSDVAVKILMEQDFHPDRFREFMREVAIMKSLRHPNIVLFMGAVTEPPNLSIVTEYLSRGSLYKLLHRSGAKEVLDERRRLNMAFDVAKGMNYLHKRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSLAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTMQQPWCNLNPAQVVAAVGFKGRRLDIPKDLNPQVAALIESCWAKIILSGYRLLAKLSSVQNGLFVVTEMRVRARSGRRWSSWVLLDYVAFISNSNHHGNATTARSKTRTGQPIEVSFWTAPPPRVSYMCVHCPRLDPYKFATEPTIMATEADLVLIRVTIGPRGNCFNTKCSDLFIFFDREVGLLRCGSVRRRHDPTRLGLHAHTGPDDGTYIVAVLCNTFQSGNLEYALYLYRAGADAWTCHPLSLHGLVDPTSFIHVNTNTITVGGEAGTMAWVDLNRGILFCDLLPCPYTPLLLRYFPLPPPLRLSAHTKLTGCPRFSHDIALVQGRFNFTQMRIHVKPGSITNGTYISQGWTLATWSAPATNPWKQGWRQDCNLSASDLSVDANTMNFQLLPKLSDHQQGTPQQTLERLHVGHPTLSLQSNDIVCLMAKVDQWDDHAWVLAVDMKNRRLKDVAQFGAERTLGISLVYISSMISEYLRTAPEGKGRYESYYLVVIEPVFTKYFAGCRSIYEGYCCSPNPPPYPNSSSPDPIRLHSISLLRIPNAQGVELNSGEIDGVGGRRSSMAGGDDWSKRTIMEESLQPPAHGAAATTPWVLLDIRAFMANHRNATTAMSETRSGHPIEVSSWTAPPPRVSYICVHCPGLDSKKFATEPTIIAAEADLLLIRVAMGRRNIRFKSSFHDYFVYQATTPTPKLTLLPPPPIDRFIDHELGLLRCCASPDYIVAALCNTFESGYFEYDLHIYCSGADAWTCHPISLHGLVDTSFTHINTKAITIGGKAGTMGWVDLYRGILFCDLLRDTTKLRYFPLPPPLSPNNSLTGSPRPHRDIALVQGRIKFIETRLHVKPGSIINGTFISQGWTAATWTAPDTNPWNQGWRQDCKLTASDISVDDSKMNFELLPKLFDDQGTPQPTLERLHVGHPTLSLHSDDIVCFMAKADQWDDDAWVLAVDMKNKRLKVVAEFGAERTLGIGYAYMSSNISGYLRTAPGIEGSLKRQGVC >ORUFI09G21520.1 pep chromosome:OR_W1943:9:20155325:20159821:1 gene:ORUFI09G21520 transcript:ORUFI09G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGTSVARIAAAATTRVSEVEPPPATPSRASTPVPSPVPSPSSTFPDFAEEYIRHSFLVRLTQSSDARVAERFMQFLVNYTAPGDQLPFYPTEARALLQRKNSDCHELLVHFDHLREMDKILAFHMCNNQNRITTLKEFLVKNQDALVEKVRLFSKDNVLVPAKLGSSLFQCCILHLIANHKMGVSWNGEFELTDWEISDDMVSLKKVHHGPLPDDSKVADLEKLVDLLAPFFLKKGSPLFFKQLKDDVTLTSRTLVSASDWEWFWDYLGSHVFYMPPVARLHLIKDLFVAIKTFKLTWVKPFSTVLLDFTEFGDWTIGPMSKTDGQESIYYKVFWHKVKPEDIDPKNPKYWPNYGDLLSFIRNLIEHLDEHKHEENITDIVDAELFASNSYQDFLPQFLQRVSSACLMQGKFKSSWDAFKGSGNVNLAA >ORUFI09G21530.1 pep chromosome:OR_W1943:9:20159840:20167941:-1 gene:ORUFI09G21530 transcript:ORUFI09G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVQPSSSSMASVQSPQTHLDPLKLSGDNVDATQHTYCITGSVSSALRKLLDTTTLVGWTSTAYSDSGHHLVLVKTIPGYQTPPPTLKYSYPINIKVQVAGGGAQRRAPRSSIDKVAHELAAYGCNLQTVSSWAGCPPGLERLKNAL >ORUFI09G21540.1 pep chromosome:OR_W1943:9:20167696:20172622:1 gene:ORUFI09G21540 transcript:ORUFI09G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTWGARRAPAAVPTWVTWEGSCDCCGFTEDCKAPYIAGMRAPYERIEVGLRALHGRHRRRAWLHLFAHFAGGGARPRAAPSSAASDESPPRHCWRPRARTGLIFVVFLLLLRRRLAGPVTGRRREGIDWKGKI >ORUFI09G21540.4 pep chromosome:OR_W1943:9:20168320:20172443:1 gene:ORUFI09G21540 transcript:ORUFI09G21540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCTEPTQFPIATTTSQATWTTTSSATSSVHAKFRDITSATLDKPLWLLMKMVSSFGVSRHSIPRLS >ORUFI09G21550.1 pep chromosome:OR_W1943:9:20176237:20178268:-1 gene:ORUFI09G21550 transcript:ORUFI09G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMGVMDASLCPPFHGRSVDKGTRRRPRWVLLDKLAYFAGADVRNATTATSKTREGHEIQVTLCTAAQPQLVSYVCVHSPTLNPASDDYAMEPQIIAAHDDLLLIRLILGRGNRFTVSLADYFVYQASIADDIPPSLTRITHPGPMITFTNHEVAILHYIPEDTHHHPYALRPHNPLSSSSKQHYIVTAFSVNRYRPPGEYKLHLYHSHTQQWTTTHFNLAATMPDLSPFHHRTTNVINLTHQSPGLMAFVDLWQGLLLINVLDKVQPAAPRYIPLPPPLKQGKVISGRVDPTDVRDIAVDAKGHINFVELEVDAIQHESDRTGYISQGWTVAKWSCSNTESDDCCWHMDCKLNASDISHLMPPELPNYCHPTPTLERLHIGHPLLSLDNNGDVVYFMAKVDHRDYKAWVIPVDMRKRLIHEPAVFEGAPRTLGIGSTFIQTTISNYPQPAPGKKRKQKQPGMLLGSSSKRKSETPYLTNVVLPLPTRHGVQKQPDAEGGSMEAEFSDNMLL >ORUFI09G21560.1 pep chromosome:OR_W1943:9:20180410:20182202:1 gene:ORUFI09G21560 transcript:ORUFI09G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVSHSCLPPSSPDARLPSSSSSSTSGHRRLPSSSSSSRRTSPLPLSFGRRRLPSSSSSGRRATPPPTPAATPLFPLAAALPSAGRGRRASVLFAGWGGTCRATSLGGVSRGVGSPPTGVPSLQIEAQGDVIPCGGANRLQPARGLSRSPDPARRRAVVVAAGGFARRSHAARGRRPELRVVAGVEDTKVTCTK >ORUFI09G21570.1 pep chromosome:OR_W1943:9:20183555:20185247:-1 gene:ORUFI09G21570 transcript:ORUFI09G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSCFGDGAVSLAASAAAAGAGAALDRSLQAATATVYKAALSSRKEILVRVMWTRTVAGAAPGGATGLAVAVDEASRSSPSPAAGSASAATPRRSAVALASSPQFLHKKRGTRSFVTEAGTVVAIYWDTTDAKYPAAGSSSPEPTRDYYLAVVADGELAVLLGGGEAARELARRFAAAPRRALLSRREQLRAAPASPAAMAAAAAASIADDIPPSLTRITHPGPMITFTNHEVSILHYIPEPQDINEPLISNKQRYIVTALSVNRFRPPGEYELHLYNSHT >ORUFI09G21580.1 pep chromosome:OR_W1943:9:20183872:20184054:1 gene:ORUFI09G21580 transcript:ORUFI09G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMGIMYTSLYPPVHGRSLGKRKRRRRRMPRWVLLDKLAYFSDADVRNATTDLQNKGGP >ORUFI09G21590.1 pep chromosome:OR_W1943:9:20184152:20184350:1 gene:ORUFI09G21590 transcript:ORUFI09G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQIIAAHDDLLLIRLILGIGSPIPRRLLRRSNRASIAIGIGIESDGVRKGKWRASLYRGLN >ORUFI09G21600.1 pep chromosome:OR_W1943:9:20189245:20197299:1 gene:ORUFI09G21600 transcript:ORUFI09G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKHFKYVILGGGVAAGYAAREFAKQGVKPGELAIISKEAVLLLTGTIPVLVVAPYERPALSKGYLFPQNAARLPGFHVCVGSGGERLLPEWYSEKGIELILSTEIVKADLASKTLTSAVGATFTYEILIIATGSSVIKLSDFGTQGADSNNILYLREVDDADKLVAAIQAKKGGKAVIVGGGYIGLELSAALKINDFDVTMVFPEPWCMPRLFTADIAAFYESYYTNKGVKIVKGTVAVGFDADANGDVTAVNLKNGSVLEADIVVVGVGGRPLTTLFKGQVAEEKGGIKTDAFFETSVPGVYAVGDVATFPMKMYNELRRVEHVDHARKSAEQAVKAIKGKESGESVVEYDYLPYFYSRSFDLGWQFYGDNVGDTILFGDSDPTSAKPKFGSYWIKDGKVLGAFLEGGSPDENKAIAKVAKTQPPVANIEELKKEGLQFASKI >ORUFI09G21600.2 pep chromosome:OR_W1943:9:20189245:20197299:1 gene:ORUFI09G21600 transcript:ORUFI09G21600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKHFKYVILGGGVAAGYAAREFAKQGVKPGELAIISKEAVAPYERPALSKGYLFPQNAARLPGFHVCVGSGGERLLPEWYSEKGIELILSTEIVKADLASKTLTSAVGATFTYEILIIATGSSVIKLSDFGTQGADSNNILYLREVDDADKLVAAIQAKKGGKAVIVGGGYIGLELSAALKINDFDVTMVFPEPWCMPRLFTADIAAFYESYYTNKGVKIVKGTVAVGFDADANGDVTAVNLKNGSVLEADIVVVGVGGRPLTTLFKGQVAEEKGGIKTDAFFETSVPGVYAVGDVATFPMKMYNELRRVEHVDHARKSAEQAVKAIKGKESGESVVEYDYLPYFYSRSFDLGWQFYGDNVGDTILFGDSDPTSAKPKFGSYWIKDGKVLGAFLEGGSPDENKAIAKVAKTQPPVANIEELKKEGLQFASKI >ORUFI09G21610.1 pep chromosome:OR_W1943:9:20198278:20201794:1 gene:ORUFI09G21610 transcript:ORUFI09G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRDQLTALLSSMFSQGLVDEQFQQLQMLQDEGGTPGFVSEVVTLFCDDADRIINEIATLLEQPVVNFDKVDAYVHQLKGSSASVGAQKVKFTCMQFRQFCQDKSRDGCLMALAVVRNDFYDLRNKFQTMLQLEQQIQAYDPKQQ >ORUFI09G21620.1 pep chromosome:OR_W1943:9:20205377:20210004:1 gene:ORUFI09G21620 transcript:ORUFI09G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTMDEARIIEYFKNKSILITGSTGFLGKILVEKILRVQPDVRKIYLPVRAVDAATAKQRMQTEVIGKELFGLLKEQHGKGFQSFIDEKVVPLAADMMHQNLGLEESTLQELAKDLNIIVNGAATTNFYERYDVALDVNVMGVKYLCQLAKKCANLEVFLHVSTAYVCGERSGVVQERALREGETLREGTYLDIETELRLVGEPRQQLEDAGDAKAERKAMKDLGLARARHFGWPNTYVFTKAMGEMMLQEQLVAGAGRRHGIPVVIARPSIITSVHRDPLPGWIEGTRTIDAIIIGYAKQSLSCFLADLDLIMDVVPGDLVVNAMMAAMVAHSRGSSSEMAVYHVTSSMRHPAAYAVLYRTGWRYFLENPRVGKDGVAVRTRPVYFFRTIASFRAFMAVAYALPLQLLRLLSLLCFGLLFARRYADLSRKYSFVMQLVDLYGPFALFKACFDDLNMEKLRLSMATPPSSAAAALFNLDPKNIDWDDYFYRIHIPGVMKYVLNK >ORUFI09G21630.1 pep chromosome:OR_W1943:9:20211041:20216056:1 gene:ORUFI09G21630 transcript:ORUFI09G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITEKPSWIRHEGLQIFSIDIQPGGIRFATGGGDQKIRIWSMKSVAKDNDSDDSSQRLLATIRDHFGTVNCVRWAHHGRYLASGSDDQVIQIHERKAGTGTSEFGSGEPPDVENWKVVMTLRGHTADVVDLNWSPDDSTLASGSLDNTVHIWSMANGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTEGHWSKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNHSMFRKHLSSGQDAKAAPAGWANGASKASSKEHQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEAKELGYRLRDAELDELKKNRYGDVRGRQSNIAESPAQLLLEEASAKQSASKKVSSVQQFQSPPKVSTDAPNPSTSVPNQKAPEALPEDEKKTAGSTADDINKAPRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDMSNRSQNQGVDFSSLDQRMILGENGTRPSYSASGNCNNCGVRERSGITARTNISESLVIQKASAGAGSDGRLSIEQSGSVVPGSLASCSSLSIHVFNKKDNEDSLPVRLEAKPVERSAGDMIGLGGAFSTKETEITCTRGTETLWSDRISAKVTVLAGNANFWAVGCEDGCLQVYTKCGRRAMPAMMMGSAAVFIDCDECWKLLLVTRRGLMYIWDLYTRTCVLHDSLASLVTSPDEAAGKDTGTVKVISAKFSRCGSPLVVLASRHAFLYDTSLKCWLRIADDCFPASNFASSFSSTQGGELGKLQIDIGKFMARKPIWSRVTDDGVQTRSHLETQLAASLALKSPQEYRQCLLSYIRFLAREADESRLREVCESFLGPPMGMVDAASSADLKNPSWDPDVLGMKKHKLLREDILPSMATNRKVQRLLNEFMDLLSEYEAAETNVEQMDVTPTPPPPPPAAATEGNNNGAS >ORUFI09G21640.1 pep chromosome:OR_W1943:9:20216118:20219891:-1 gene:ORUFI09G21640 transcript:ORUFI09G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVLLLLLCCGVEVVVATADESSPAPVGKGGSDHGSCPGGDGDGEGYRKQLWVFGDSYADTGNLGNLGRELTHAWYYPYGITFPRHPTGRFSDGRVLTDFVASAVGIATPVAYKLRRRGGHGGEVASRGMNFAVGGSGVLDTGYFQRNISSQIDLFQKQLRGCGPTGVALVVVSGNDYSAVVDKNNGTSEAAIAYIPTVVRGLREQLRRLRDEVGMKKVVVTNLHPMGCTPYFTRLLNYSGCDTLANAGSDQHNAALRSVLHDLDPANTTFLLLDLHTPFLNLITAAADDKFPVRLRPCCETFTADGHCGQEDEAGNKQYTVCDDPERHFYWDDVHPTQAAWAAYY >ORUFI09G21650.1 pep chromosome:OR_W1943:9:20222410:20224797:-1 gene:ORUFI09G21650 transcript:ORUFI09G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQEAAMEARNGHRIPPTEEKVIIDTDPGIGTLILISPLLLPASVTVIHPSILTITNSYQFPDDSVAIMMAFEAPGVKCDRAGRPEVPVAEGSAEPLKGGKPHVADFVHGSDGLGNTSFPDPTTTNKVEQSAAEFLVDKVSESPGEISVLALGPLTNIALAMKKDSSFASKVKRIVVLGGAFFAAGNATPSAEANIHSDPEAADIVFTSGADIYVVGLNITTQVYFTDKDMLELRNSKGKHAQFLCDICKFYRDWHVHSYGVDGPHMKHLSFPSYKFRLWEINVPAHICVISSALFLHDPVSFTALVHPEYFTFKKGVVRVETQGICKGHTSMDMGLKKWNSDNPWTGYSPISVAWTVDVPKVLAYAKELLFNAQ >ORUFI09G21660.1 pep chromosome:OR_W1943:9:20226003:20227043:1 gene:ORUFI09G21660 transcript:ORUFI09G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGVGSKGELDNHELNLEDLEDAKMQQSRRPKISRPFAPSARPQPKAITGLGLTFTIRDAVLRLNCPWTERGDIENV >ORUFI09G21670.1 pep chromosome:OR_W1943:9:20227121:20232510:1 gene:ORUFI09G21670 transcript:ORUFI09G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPPPPDSWETADLDEPMSRLHLPSARRVSSSPDLADADADDPQHPSSGRPDSVDQLDQFLREALEKPRERLSVLRMEQDILKFIHDPTQTEFDFHGLPTSYLRLAAHRLAQHYFLQSIALQDNGLPDGSGSRIILRKTSAECRLPAVRLSDIQVNLPQDESNSIAKVAIKQRPQKHYHNNSSSGAHSSRANLQKSVEERKEEYNKARARIFNNNSSSGNAADGRPGDEVTLPNTLHRSSSLELSSGNRLGEGPEITLERSLTTASSSSRVNRNKTEKDPAFNRNRQNNRVAIFRDRESDRKDPDYDRSYDRYMQRFDPGFGFNGGSYTIQPLYAPAVNYNTEFPQLGSHGSPVPVEQQPQSIAQHIPGPWSPAQSPNAIGYRPPDGSMPPPYSPGHAGAPIRSSVFMHTPQQFAMPSRPGVTYVHPQEPMRPFAQTHQQQPEASLRLARPR >ORUFI09G21670.2 pep chromosome:OR_W1943:9:20227121:20232510:1 gene:ORUFI09G21670 transcript:ORUFI09G21670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPPPPDSWETADLDEPMSRLHLPSARRVSSSPDLADADADDPQHPSSGRPDSVDQLDQFLREALEKPRERLSVLRMEQDILKFIHDPTQTEFDFHGLPTSYLRLAAHRLAQHYFLQSIALQDNGLPDGSGSRIILRKTSAECRLPAVRLSDIQVNLPQDESNSIAKVAIKQRPQKHYHNNSSSGAHSSRANLQKSVEERKEEYNKARARIFNNNSSSGNAADGRPGDEVTLPNTLHRSSSLELSSGNRLGEGPEITLERSLTTASSSSRVNRNKTEKDPAFNRNRQNNRVAIFRDRESDRKDPDYDRSYDRYMQRFDPGFGFNGGSYTIQPLYAPAVNYNTEFPQLGSHGSPVPVEQQPQSIAQHIPGPWSPAQSPNAIGYRPPDGSMPPPYSPGHAGAPIRSSVFMHTPQQFAMPSRPGVTYVHPQEPMRPFAQTHQQQPEASLRLARPR >ORUFI09G21670.3 pep chromosome:OR_W1943:9:20231980:20234374:1 gene:ORUFI09G21670 transcript:ORUFI09G21670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVNSELVLQNCYIMEQNQRLKKAAELLHKERQRLLSELKAQLLSNQHRQPPANGHGHGDDDKPAAGGEPIQAQPSRLDWPEEAMGDEARKAVENALGWKKTGLPKLGMRIERRQQRLPPSAGGGGWSGGGGWFRWFSSGGFWDAAKQTLLTIVGIIAAFFLIANFNVLVAAIVNSLLAVLRQIRRALSFVAQCILQGVQSSAPRRSSPSLDTGNQAAVVVKDRVGKSAKERVVRKWGNGV >ORUFI09G21680.1 pep chromosome:OR_W1943:9:20235014:20236366:-1 gene:ORUFI09G21680 transcript:ORUFI09G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETGEGGGSHSQPEKQPGQAAAAASMAEAEVEELPKAIVRRLVKEKLAQVAAGGAEVIVNKDAMSAFAESARIFIHYLSATANDMCKESKRQTINADDVLKALDEMEFPEFVEPLNTSLQEFRNKNAARRSETTQKKAEKRRKIDKESLPQEQNDAADHGKTDED >ORUFI09G21690.1 pep chromosome:OR_W1943:9:20237253:20239568:1 gene:ORUFI09G21690 transcript:ORUFI09G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIKN >ORUFI09G21700.1 pep chromosome:OR_W1943:9:20239872:20242852:-1 gene:ORUFI09G21700 transcript:ORUFI09G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPDILTDYVIPANGNPMNITGDFFTFTGFRKVFNTSSAPEPNSFTVTKATMAEFPALNGQSVSYATLVFPPSTVNPPHTHPRSAELLLVVDGALSVGFIDTTNKLYTQDLAAGDMFVFPKGMVHFQFNSGNQPAMALSAFGSAAPGVVPVPVTVFGTGIDDAVLAKSFKTDVPTILKLKANLTPPNNRSSVSLGVLLLLAVILSAGAADPDILTDFVVPSDTDPSGIDGAFFTYKNLVTGNSGDPAKLTVTKATHAEFPALLGQSVSYAALVFGAGTVNPPHIHPRASELLVVVQGPLLVGLVDAARNGTVYTQTLQTGDMFVFPKGMVHFQFNNGTDVVARAFSAFGSATPGTISLPAALFGSGIDDPILDKSMHTDQATVDQLKQDQAPPSPRPSPGSSSSAAAALLPSRWAITLLLCFAASYYFYF >ORUFI09G21710.1 pep chromosome:OR_W1943:9:20244711:20245355:-1 gene:ORUFI09G21710 transcript:ORUFI09G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILLLVVLAVVSAPVALVMAGDPDILTDYVIPAGSNAENITGDFFTFTGFRNPLSMNMSMPMPNANFTVTKATMAEFPALNGQSVSYAVLMYPPATLNPPHTHPRSAELLLLVDGALSVGFVDTTNKLYTQDLAAGDMFVFPKGMVHFQFNSGNQPAMALSAFGSAAAGLVSVPVTVFGTNIDDAVLAKSFKTDVPTIQKLKAGLTPPKKA >ORUFI09G21720.1 pep chromosome:OR_W1943:9:20245924:20250336:1 gene:ORUFI09G21720 transcript:ORUFI09G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARAPSRAAARFVQRRLFSSGGKVLGEEEKAAENVYIKKMEHEKLEKLAHKGPNPGEQASTAGAAANAVKTGSGSTESRSAGVSTDKNRNYAVLAGTVAALSGLGWYLLSKPKKSEDIVD >ORUFI09G21730.1 pep chromosome:OR_W1943:9:20246419:20247745:-1 gene:ORUFI09G21730 transcript:ORUFI09G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDLPTSQVIYLQPQPAPPRRRHPCLRLRLLPPPHRVVEMAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAMKDLMDRGLIRMVSVHCSQQIYTRATNT >ORUFI09G21740.1 pep chromosome:OR_W1943:9:20251846:20254187:1 gene:ORUFI09G21740 transcript:ORUFI09G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVDTVSASTSLVAHHLFDQRSKANHHLRRTLHVVSCRPLPTHFAGRRLVARAPRQHQPRLADWTVKALAMGVTKEASPHREYRGIPGEGADMGDIGITNPKTTWPPRNRADDPKLHNPLLRLERMGCGWLGVIFEWEGVIVEDDAELERQAWFTLAQEEGKSPPLAFVLKRIEGMKSEQAISEVLCWSRDPSELRRLSSRKEEIRCNLRGTAFYQMRNGSREFMSTLANYKIPLAVATTRPRKVIEEAIDAVGVRSFFDAVVAAEDVYRGKPDPEMFLYAAQLLSFIPERCIVFGNSNSAVEAAHDARMKCVAVASKHPIYELNAADLVVKQLDELSVVDLKNLADIESPEFGMEPEPEMEEEEDEDSSPSTSVGVDDLFW >ORUFI09G21750.1 pep chromosome:OR_W1943:9:20256559:20261125:1 gene:ORUFI09G21750 transcript:ORUFI09G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQAMEEDGVKEEEEEYYLEEEDEGEEETRPPLQQQQQVGLGRRGRAREEKERTKLRERQRRAITARILAGLRRHGNYNLRVRADINEVIAALAREAGWVVLPDGTTFPSSSSSVSQPPPTQQQLQVPDLLPPRPPERDFAGTPYVPVYVMLPLGVVNGNGEVVDADVLVGQLRVLKAAGVDGVMVDCWWGNVEAHRPQEYNWTGYKRLFHMIRELKLKLQVVMSFHECGGNVGDDVSIPLPHWVTEIGRSNPDIYFTDRAGRRNTECLSWGIDKERVLQGRTGVEVYFDYMRSFRVEFDEYFEDGIISEIEIGLGACGELRYPSYPAKHGWKYPGIGEFQCYDRYLQKSLRRAAEARGHTIWARAPDSAGHYNSEPNLTGFFSDGGDYDSYYGRFFLNWYSQVLVDHADRVLMLARLAFEGSDIAVKVSGVHWWYKTASHAAELTAGFYNPCNRDGYASIAAVLKKHGAALNFTCVELRTMDQHEVFPEAFADPEGLVWQVLNAAWDAGIPVASENALPCYDRDGFNKILENAKPLNDPDGRHLLGFTYLRLTKVLFERANFLEFERFVKRMHGEAVLDLQV >ORUFI09G21760.1 pep chromosome:OR_W1943:9:20261410:20264176:-1 gene:ORUFI09G21760 transcript:ORUFI09G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACGLPLLECVYCLGCARWAWKRCLHSGDRDSATWGLASADDFAPVPRLCRLIMANYDHHPCHPLDARCVVRRRTYADTRGRVTPYLLYLDHPNSDIVLALRGLNLARETDYALLLDNRLGKRRFHGGYVHNGLLRAAAWVLDAECDLLRDLLADHPDYTLTFTGHSLGAGIAAMLTVVVLLNLDKLGTNLHRSRIRCYAMAPARCMSLNLAIRYADVINSVVLQDDFLPRTATPLEDIFKSILCLPCLLCIRCLRDTCIPEDAMLKDPRRLYAPGRIYHIVERKMFRCGRYPPVVKTAVPVDGRFEHIVLSCNATMDHAIIWIEREAQKALDLMLEKEKTMEVPSEQRMERNESLQREHVEEHKAALRRAVTLSVPDARSPSAYGTFGEQPERSESFPPVSAMARQRMSWNDLIERVFDRDESGHIVLRSSPSP >ORUFI09G21770.1 pep chromosome:OR_W1943:9:20265037:20272098:-1 gene:ORUFI09G21770 transcript:ORUFI09G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPAHVQFFCISLSSGPTTTLGLFLSHSTSCSPPPPPPPPAKGIDRMGTSVQVTPLSGAYGEGPLCYLLAVDGFRFLLDCGWTDLCDPSHLQPLAKVAPTIDAVLLSHADTMHLGALPYAMKHLGLSAPVYATEPVFRLGILTLYDYFISRRQVSDFDLFTLDDIDAAFQNVVRLKYSQNHLLNDKGEGIVIAPHVAGHDLGGTVWKITKDGEDVVYAVDFNHRKERHLNGTALGSFVRPAVLITDAYNALNNHVYKRQQDQDFIDALVKVLTGGGSVLLPIDTAGRVLEILLILEQYWAQRHLIYPIYFLTNVSTSTVDYVKSFLEWMNDSISKSFEHTRDNAFLLKCVTQIINKDELEKLGDAPKVVLASMASLEVGFSHDIFVDMANEAKNLVLFTEKGQFGTLARMLQVDPPPKAVKVTMSKRIPLVGDELKAYEEEQERIKKEEALKASLNKEEEKKASLGSNAKASDPMVIDASTSRKPSNAGSKFGGNVDILIDGFVPPSSSVAPMFPFFENTSEWDDFGEVINPEDYLMKQEEMDNTLMPGAGDGMDSMLDEGSARLLLDSTPSKVISNEMTVQVKCSLAYMDFEGRSDGRSVKSVIAHVAPLKLVLVHGSAEATEHLKMHCSKNSDLHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVISKKLGEHEIAWVDAEVGKTDDKLTLLPPSSTPAAHKSVLVGDLKLADFKQFLANKGLQVEFAGGALRCGEYITLRKIGDAGQKGSTGSQQIVIEGPLCEDYYKIRELLYSQFYLL >ORUFI09G21770.2 pep chromosome:OR_W1943:9:20265037:20272098:-1 gene:ORUFI09G21770 transcript:ORUFI09G21770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPAHVQFFCISLSSGPTTTLGLFLSHSTSCSPPPPPPPPAKGIDRMGTSVQVTPLSGAYGEGPLCYLLAVDGFRFLLDCGWTDLCDPSHLQPLAKVAPTIDAVLLSHADTMHLGALPYAMKHLGLSAPVYATEPVFRLGILTLYDYFISRRQVSDFDLFTLDDIDAAFQNVVRLKYSQNHLLNDKGEGIVIAPHVAGHDLGGTVWKITKDGEDVVYAVDFNHRKERHLNGTALGSFVRPAVLITDAYNALNNHVYKRQQDQDFIDALVKVLTGGGSVLLPIDTAGRVLEILLILEQYWAQRHLIYPIYFLTNVSTSTVDYVKSFLEWMNDSISKSFEHTRDNAFLLKCVTQIINKDELEKLGDAPKVVLASMASLEVGFSHDIFVDMANEAKNLVLFTEKGQFGTLARMLQVDPPPKAVKVTMSKRIPLVGDELKAYEEEQERIKKEEALKASLNKEEEKKASLGSNAKASDPMVIDASTSRKPSNAGSKFGGNVDILIDGFVPPSSSVAPMFPFFENTSEWDDFGEVINPEDYLMKQEEMDNTLMPGAGDGMDSMLDEGSARLLLDSTPSKVISNEMTVQVKCSLAYMDFEGRSDGRSVKSVIAHVAPLKLVLVHGSAEATEHLKMHCSKNSDLHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVISKKVEFAGGALRCGEYITLRKIGDAGQKGSTGSQQIVIEGPLCEDYYKIRELLYSQFYLL >ORUFI09G21780.1 pep chromosome:OR_W1943:9:20272148:20275279:1 gene:ORUFI09G21780 transcript:ORUFI09G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASGSGEPTANGEKKPDEQHFDPSRMIGIIKRKALIKELAAAYHAECVACCKELLQLQKKWEEEQYVEFKMSDEAPRTLTVKSSKRRKRSSTSPAKLLASWVSLTFGVASCLSMCFMTNLRQATSHCLHESGIGIDEGNASDVRDIAIDLEGCINYVEFEFKGLPHPQRDTLLMAGRPLNGVGCWRMDCRLRSSQISHHMMPTLPNYDTARRPQPSLQKLHVGHPVLSLHDAHLVHLMAKINR >ORUFI09G21790.1 pep chromosome:OR_W1943:9:20276565:20277176:1 gene:ORUFI09G21790 transcript:ORUFI09G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVHVTLSLFPLLSLPHLSLYSASWPGRCGRAAPRRRPVEAAFSASSSRSADVGALAGEHVGPISGAGDAYARWDAAVGCRAIDPGCGPGRPCILTVPAAIAIAVLRARPLVLPDQEACQDHAGVDSDGQTAQSMNLQSSQCSGAVVSTAMADDGIAAVMRRSAARFIIQDITTQTMHVLLLQIRFLRQDKERNYSCRRREK >ORUFI09G21800.1 pep chromosome:OR_W1943:9:20278878:20279415:1 gene:ORUFI09G21800 transcript:ORUFI09G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVDLWLGIVLVNLLQEEPAPSYIQLPPPLRTCLLHASDVSNLMPKLPNYFPILEKLHVGHPVLSLHIDHLAHKAWILPIDLRNGVIQQPIDFVGADRTVGICETPSPYIRAGRGDTAAQGLHFSIAS >ORUFI09G21810.1 pep chromosome:OR_W1943:9:20280062:20287001:1 gene:ORUFI09G21810 transcript:ORUFI09G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASARSSLPSSSSSPLPPLSPQPVVPAGVERMLVRAGGGTRSLREIDEEEDDDDDDGGKTYVSVGKDLKDGKANIQWAARKLQPQQGDVNKLLVLLHVHQPADRIMSGLCKVPAKQLEEKELRAYRKIEKDDMNKLLEQYLSYCRAFPKVQAEKLVIEKNSVANGIVELIDQHHITKLVMGTSSFSVKRQVPKSKVAAIVHQQAKPYCQILYICKEALACTREASQFADKGDSPRSSSGSSLSDKSEFPPRSVSLPSWYSGFLGSPDQQSLPRRSNSISHPFPFSRQLENSVENISPIRPNSVDVAPKGCSPNSSHQSKGSSPTLTDLDTVDGLSVPVSSSSSEEHQHFMVEANMQNEMFEQWQQVRNELERSRKEASEGRQKAEKELFEASKMFRARENSLCKEKIAVEERLTREKVSLEKEHLQIYNELQKANEQIMELERKLMHANSLMEELQTVQGELQRQKDNAVKEAEKMSQINCNNVSCSTSAVALTEFTYTEIKEATNDFDESKMIGHGGCGSVYKGFLRHTTVAIKKFNREGITGEKEFDDEVEILGRMRHPNLVTLIGVCREAKALVYEFLPNGSLEDRLQCKHQTDPLPWRMRIKIAADICTALIFLHSNKPKGIAHGDLKPDNILLGDNFVGKLGDFGISRSLNLTNTTITPYHQTNQIKGTLGYMDPGYIASGELTAQYDVYSFGVVLLRLLTGKSPLGLPSEVEAALNNEMLQQVVDASAGEWPPEYSKKLAILALRCCRYDRKERPDLAKEAWGVLQAMVNYPDNKCKIPSFFICPMTQEIMKDPHIAADGFTYEGEAIKDWLQRGHKTSPMTYLSFTHYELIPNNALRFAIQEWQMKQQL >ORUFI09G21820.1 pep chromosome:OR_W1943:9:20280749:20287689:-1 gene:ORUFI09G21820 transcript:ORUFI09G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPPDPELIEFTMACKTPQASLARSGRSFLSYRQHLSARIANFLLYSGGHSPAEASTTCCSISLFRAASTSLGRPSGLLPLAYKVVSKENIIRLQITMGNAFWFVGMKEDKLVDMQQHKKLVDITLLRLEFPSCPLDVGLAVLEVLADRHGGNGDDDDDGNEERALATAMQRKQLKLTALPPHNRQNKKQTTGISAAAAAGGDGNNKANKRIESLTKGTFGFACMSSGNFYKLICFSYSTKERKISVKSILHTPY >ORUFI09G21830.1 pep chromosome:OR_W1943:9:20287771:20300728:-1 gene:ORUFI09G21830 transcript:ORUFI09G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENREICCGSCSSGYLPVDSLFSFFSEAAAAFCLDAKDSALPPYPMLPIHNTSKNIIQQKQARLERKKIETRTNGIVPAEGSEFSKKFLSKTLSYITEGMVRQKITHLRPTRDNCSACIRGQSPADESNIACKQPFATARCTSFKRPNPDLPVKSRRSMIPKEYTSDRGVRSPEIAYSGSMYTKVPFGWVWRYRVVVALNSSVNIRHTPKPLSLATLFPSRRMLDAFKSLQAPG >ORUFI09G21840.1 pep chromosome:OR_W1943:9:20291787:20296765:1 gene:ORUFI09G21840 transcript:ORUFI09G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGSPSPYPGDSPEPSFSGEKVYVAVGEESSRGTLLWALHKFPQGTAFVLLHVYSPPNFLPILGAKIPAGQLREQELIAHKKMNLQRISDNLDQYQLICAQQKVQAEKLVVESDDVAYGLVDVISEHNVSMLVMGAADDKHYTKKAAPFGHDVMQDCRQSATSAQCSVERSSSLSEIWCVSNTWLHKLNLEPHIETTSSDRYSDKEKEDTKERGESDNELQHIPMQLERVRQEAYEEKCRREKAEQELFEALQKVQVSENLYFGELKQKNEIEVKLATTMEEVDRLARTADELAAKFQEQCEKILVLEKRSAHSDRIIKDLMLQRDKAVREAEAIRVKNGESTAIADRTIPITELSISEIKEATSNFDHSSKVGESVYGSVYKGLLRQTNVAVKKLNPESTESLSQFSHEVEILSRVRHPNLVTLIGACKDARALVYEYMPNGSLDDRLACKDNSKPLSWQLRTRIASNICSALIFLHSNKPHSIVHSDLKASNILLDGNNVAKLSGFGVCRMLTDEFKATTTLYRHTHPKGTFVYIDPEYAISGDLTPLSDVYSFGIILLRLLTGRSGFGLLKDVQRAVAKGCLQAILDSSAGDWPLMHAEQLSRVGLRCCEIRRKNRPDLQTEVWTVLEPMLRSASSMLCSLSFKSVSEDFGNVPSYFICPIQQDVMRDPLIAADGFTYEAEAIREWFDSGHYTSPMTNLDLPHRDLLPNHALRSAIQEWLQSNAD >ORUFI09G21850.1 pep chromosome:OR_W1943:9:20297713:20302047:1 gene:ORUFI09G21850 transcript:ORUFI09G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEIHIAVGKNFRKEKANILWAAARFPRATIVLVHVHWPSKWMPFMGGKVLYKFADEKEKEMHRAKETDAMVKMLSQYKNLCGSRKVRAHYLSHDDVLAGVVNLIKKLKIKRIIIGSSNKFMDEIILKCSNDHLEHTGSIGYGGSAESLASVHELSDDSNGYTTPPSDFADEIMYDDGVIQMDGADELATETETKDEESIETGELNSYEEEGEHSSGETAHRTDEIQSFRSTTERAEELMEEIDKLQRKLKELQEEDDRSILSPRQKAAAASLKKEKRLSTGRYPELQLPQHISRFSMSMISKATGNFCSGNLIGEGGYGPVYKGKLGGVAVAIKLLRPHGRQGFPEYKQEVVVLSRMEHPHIVRLMGVCPESCGLVYEHLPNGTLLDILSNSKSLSWKDRVRILGEQRSALAYLHSCRPHAIIHADLKLTNILLDAANSSRLGDFGTARAVHVKPLQDQADTICRRTNPMGTTGYMDPVFFVTGELTAESDVYAFGVVVLQVLTGLLDLNIADQVREALKMDAVHSVLDASAGSWPEVQAEKLLRLALRCCSLERKRRPAITCDAEWRSLDIMLRMANSPSKSRKWTSISIHAT >ORUFI09G21850.2 pep chromosome:OR_W1943:9:20297867:20302047:1 gene:ORUFI09G21850 transcript:ORUFI09G21850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEIHIAVGKNFRKEKANILWAAARFPRATIVLVHVHWPSKWMPFMGGKVLYKFADEKEKEMHRAKETDAMVKMLSQYKNLCGSRKVRAHYLSHDDVLAGVVNLIKKLKIKRIIIGSSNKFMDEIILKCSNDHLEHTGSIGYGGSAESLASVHELSDDSNGYTTPPSDFADEIMYDDGVIQMDGADELATETETKDEESIETGELNSYEEEGEHSSGETAHRTDEIQSFRSTTERAEELMEEIDKLQRKLKELQEEDDRSILSPRQKAAAASLKKEKRLSTGRYPELQLPQHISRFSMSMISKATGNFCSGNLIGEGGYGPVYKGKLGGVAVAIKLLRPHGRQGFPEYKQEVVVLSRMEHPHIVRLMGVCPESCGLVYEHLPNGTLLDILSNSKSLSWKDRVRILGEQRSALAYLHSCRPHAIIHADLKLTNILLDAANSSRLGDFGTARAVHVKPLQDQADTICRRTNPMGTTGYMDPVFFVTGELTAESDVYAFGVVVLQVLTGLLDLNIADQVREALKMDAVHSVLDASAGSWPEVQAEKLLRLALRCCSLERKRRPAITCDAEWRSLDIMLRMANSPSKSRKWTSISIHAT >ORUFI09G21850.3 pep chromosome:OR_W1943:9:20297867:20302047:1 gene:ORUFI09G21850 transcript:ORUFI09G21850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEIHIAVGKNFRKEKANILWAAARFPRATIVLVHVHWPSKWMPFMGGKVLYKFADEKEKEMHRAKETDAMVKMLSQYKNLCGSRKVRAHYLSHDDVLAGVVNLIKKLKIKRIIIGSSNKFMDEIILKCSNDHLEHTGSIGYGGSAESLASVHELSDDSNGYTTPPSDFADEIMYDDGVIQMDGADELATETETKDEESIETGELNSYEEEGEHSSGETAHRTDEIQSFRSTTERAEELMEEIDKLQRKLKELQEEDDRSILSPRQKAAAASLKKEKRLSTGRYPELQLPQHISRFSMSMISKATGNFCSGNLIGEGGYGPVYKGKLGGVAVAIKLLRPHGRQGFPEYKQEVVVLSRMEHPHIVRLMGVCPESCGLVYEHLPNGTLLDILSNSKSLSWKDRVRILGEQRSALAYLHSCRPHAIIHADLKLTNILLDAANSSRLGDFGTARAVHVKPLQDQADTICRRTNPMGTTGYMDPVFFVTGELTAESDVYAFGVVVLQVLTGLLDLNIADQVREALKMDAVHSVLDASAGSWPEVQAEKLLRLALRCCSLERKRRPAITCDAEWRSLDIMLRMANSPSKSRKWTSISIHAT >ORUFI09G21860.1 pep chromosome:OR_W1943:9:20303433:20307049:1 gene:ORUFI09G21860 transcript:ORUFI09G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVRSSPVADLPGWPLFSPPKLQLQKCTKCPREFCSSINYRRHTRVHRRTLQIEKDFLKNRDNIAAFWDKLTLDQAKTILSLADVDIEGVTGPSILAALSTWMCKPGYASLPLPYARAGNQLLDLIETTASRLPVSSNELFSMLDEASENTFLSTNPTACIQKFIFNGEADKVAPELKNAVACTSYMLEQTLVEAWSADKAAEALRCQKLLVEEEEAAQKRQAELIERKRMKKLRQKEQRLKDLKDEDVTDRFPGSVDGTTDSSGILSLKEATSDPGLYEQEDTQLPTPVASEDNSSFADLPVEHDIHDPGHEVNPSVTLNQQVFSRHRVGRTENFAQNSFASGGSAIGSKHPASVRHSHYRGANAGAVSNRNKTWTWKVRTEIEEHSPKDELNIDDGQEIVLNKKSRVLIGSISVAIEDGSECLEDNQYSKEYPTPASQLNIGNHPVTKVMQPFNHGEEGNGYNAHNDVEVSITPTAQDHSSSGVMTDGNNCSSCCNAGLAEGGGLRGAIFSSKEAAAFLSQRWKEAINADHVKLVLCPEG >ORUFI09G21860.2 pep chromosome:OR_W1943:9:20303433:20307049:1 gene:ORUFI09G21860 transcript:ORUFI09G21860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVRSSPVADLPGWPLFSPPKLQLQKCTKCPREFCSSINYRRHTRVHRRTLQIEKDFLKNRDNIAAFWDKLTLDQAKTILSLADVDIEGVTGPSILAALSTWMCKPGYASLPLPYARAGNQLLDLIETTASRLPVSSNELFSMLDEASENTFLSTNPTACIQKFIFNGEADKVAPELKNAVACTSYMLEQTLVEAWSADKAAEALRCQKLLVEEEEAAQKRQAELIERKRMKKLRQKEQRLKDLKDEDVTDRFPGSVDGTTDSSGILSLKEATSDPGLYEQEDTQLPTPVASEDNSSFADLPVEHDIHDPGHEVNPSVTLNQQVFSRHRVGRTENFAQNSFASGGSAIGSKHPASVRHSHYRGANAGAVSNRNKTWTWKVRTEIEEHSPKDELNIDDGQEIVLNKKSRVLIGSISVAIEDGSECLEDNQYSKEYPTPASQLNIGNHPVTKVMQPFNHGEEGNGYNAHNDVEVSITPTAQDHSSSGVMTDGNNCSSCCNAGLAEGGGLRGAIFSSKEAAAFLSQRWKEAINADHVKLVLCPEG >ORUFI09G21870.1 pep chromosome:OR_W1943:9:20307253:20316148:1 gene:ORUFI09G21870 transcript:ORUFI09G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAVLSSRTFASPLSSSGKQHPPQNNKCTCSSPPTRDKFSRLTTRTTIFQVSNYSRSTSMERFQLSARFHQPVVDSSTNYLTRWFYNANLKRRRIECFLTSDPINTGWLKPRRWDNFTSLDTACVQPDYKIPVRTRADCKAEQYEITGSPLSPSDVPAEAVLIGDTNEISPWWQQFPKRWTVVLLCFFSFLLCNMDRVNMSIAILPMSSEFGWSPATVGLIQSSFFWGYLLTQILGGIWADRFGGKVVLGFGVVWWSIATVLTPLAAKIGLPFLLVMRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPLLISRFGWPSVFYAFGSLGSVWFALWQRKAHSSPSEDPELSKAEKRYILGGSTLKEPVTSIPWKLILSKPPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAIFANIGGWIADTLVGRGVSITNVRKIMQSIGFLGPALFLTLLSKVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDSVFQVAVVLYIVGTVVWNVFSTGEKVLE >ORUFI09G21870.2 pep chromosome:OR_W1943:9:20307253:20316148:1 gene:ORUFI09G21870 transcript:ORUFI09G21870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSWLFRRSGPSGFSWASTADQVTAGLSAAGLTAIVTGASSGIGAETARVLAIRGAHVVMAVRNLAAAQPVRDAILADAPAASLDLMELDLASMDSVRAFASDFAAKGLPLNILINNAGVMATPFSLSKDGIELQFATNHVGHFLLTHLLLETMKKTSRESNVEGRIVNVSSEGHRFAYREGIRFAKINDESEYNSIGAYGQSKLANILHANELARRFKDEGVNITANSLHPGSIITNLLRHHSILDVLHRTLGKLVLKNAQQGAATTCYVALHPQVKGVSGKYFSDSNVNEASEKGNDMELAKRLWEYSIELIT >ORUFI09G21880.1 pep chromosome:OR_W1943:9:20317892:20322085:1 gene:ORUFI09G21880 transcript:ORUFI09G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPPPPRLMTRRQSDSDWDWDGSSREGSPDPASASAVRRAARRWPDDPGPAQISLHMYMASRDRDRDDDPPPDHARLRIRGRQARLELVMRMAADRHAELHRLSHHRAVSDFPHRNRIHALLRGRFLRNGDDDRRPPSTAATELGQLRQRHSVSGLREEFRFRLENVVRGHAVSQSDDSSAQNVELSINGHTESSPSSSEYNLERHQRTRLNIGLQQIEGTATVSESGSNTPSIAEGLYEPHSQAESWQDDLEQERRDWEQFSHAITGEESEINWHENTYNGSSHEGTEVEGGQDAHIPESHDELASDNLPPQSHGEQQDNSHLPEENEELHDSDLQQSRGEWNEGSNPFIPTEVHNEWHSDDHFQGVNEEWHDDDESNDTADNWHDDNSDQPIDHDSALIRRANTFVPADDDNVYSTELRELLSRRSVSNLLHSAFRENLDRLIRSYVERQGRAPLSWDLEGAPPAPDSPEQSQEQHRDEEEQELHDNVVRPPLVIPPPPIPPRQPLWHSELHRNNWIRQNIHRSDIEWEAINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRFIGEQGESKETIDDGSKWIHVRKGTCCICCDTPIDSLLYRCGHMCTCSKCANELVRSGGKCPLCRAPIIEVIRAYFIM >ORUFI09G21890.1 pep chromosome:OR_W1943:9:20323156:20328165:1 gene:ORUFI09G21890 transcript:ORUFI09G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEDTTRSPPPSPPAVFSMPSSSSESTHVTRACRMSRVGGVGSPVYTMLRPKPLDYEQRTTLVHVFNNIANQIFGNNNGFPVVEAFGSFTMDLFTPRSDLDLSVNFTANTDDQYARKKKISAIRKFTKVLYSHQRNGIFCGVLPVVTARVPIVNVIDRGTGIECDITVENKDGMTRSMIFKFISSLDPRFQILSYLVKFWAKIHDVNSPRERTLSSMSIVSLVAFHLQRRPGILQYYPHGSDFESVERNTLAFKGFGRTNKETVAELFVSLISKLLSAESLWEHGLCASNFEASWISKTWKKGIGNLNVEDFLDRSQNFARSVGKKEMQKICRCLRDCALNLLDFMRGKLDTSKLKTLLFGCLKPDELVSKPRLKRGKRKRKPQTSPDSRYGLGKGKHAVHLVGSDQHANSTTAEAPQVVHRHPTQAKASTQCAHKPTPPFVIIPSGFGYSLSLQLPVAPQLSRGLLGRPPPVNLVHLNNGAQLPQQGLLLSLPSQQAAGSNSGVTYAGAQQLQRNEN >ORUFI09G21890.2 pep chromosome:OR_W1943:9:20323156:20327352:1 gene:ORUFI09G21890 transcript:ORUFI09G21890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEDTTRSPPPSPPAVFSMPSSSSESTHVTRACRMSRVGGVGSPVYTMLRPKPLDYEQRTTLVHVFNNIANQIFGNNNGFPVVEAFGSFTMDLFTPRSDLDLSVNFTANTDDQYARKKKISAIRKFTKVLYSHQRNGIFCGVLPVVTARVPIVNVIDRGTGIECDITVENKDGMTRSMIFKFISSLDPRFQILSYLVKFWAKIHDVNSPRERTLSSMSIVSLVAFHLQRRPGILQYYPHGSDFESVERNTLAFKGFGRTNKETVAELFVSLISKLLSAESLWEHGLCASNFEASWISKTWKKGIGNLNKICRCLRDCALNLLDFMRGKLDTSKLKTLLFGCLKPDELVSKPRLKRGKRKRKPQTSPDSRYGLGKGKHAVHLVGSDQHANSTTAEAPQVVHRHPTQAKASTQCAHKPTPPFVIIPSGFGYSLSLQLPVAPQLSRGLLGRPPPVNLVHLNNGAQLPQQGLLLSLPSQQAAGSNSGVTYAGAQQLQRNEN >ORUFI09G21890.3 pep chromosome:OR_W1943:9:20323156:20328165:1 gene:ORUFI09G21890 transcript:ORUFI09G21890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEDTTRSPPPSPPAVFSMPSSSSESTHVTRACRMSRVGGVGSPVYTMLRPKPLDYEQRTTLVHVFNNIANQIFGNNNGFPVVEAFGSFTMDLFTPRSDLDLSVNFTANTDDQYARKKKISAIRKFTKVLYSHQRNGIFCGVLPVVTARVPIVNVIDRGTGIECDITVENKDGMTRSMIFKFISSLDPRFQILSYLVKFWAKIHDVNSPRERTLSSMSIVSLVAFHLQQLSHSELMMRFLADGSDFESVERNTLAFKGFGRTNKETVAELFVSLISKLLSAESLWEHGLCASNFEASWISKTWKKGIGNLNVEDFLDRSQNFARSVGKKEMQKICRCLRDCALNLLDFMRGKLDTSKLKTLLFGCLKPDELVSKPRLKRGKRKRKPQTSPDSRYGLGKGKHAVHLVGSDQHANSTTAEAPQVVHRHPTQAKASTQCAHKPTPPFVIIPSGFGYSLSLQLPVAPQLSRGLLGRPPPVNLVHLNNGAQLPQQGLLLSLPSQQAAGSNSGVTYAGAQQLQRNEN >ORUFI09G21890.4 pep chromosome:OR_W1943:9:20323156:20327352:1 gene:ORUFI09G21890 transcript:ORUFI09G21890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEDTTRSPPPSPPAVFSMPSSSSESTHVTRACRMSRVGGVGSPVYTMLRPKPLDYEQRTTLVHVFNNIANQIFGNGIFCGVLPVVTARVPIVNVIDRGTGIECDITVENKDGMTRSMIFKFISSLDPRFQILSYLVKFWAKIHDVNSPRERTLSSMSIVSLVAFHLQRRPGILQYYPHGSDFESVERNTLAFKGFGRTNKETVAELFVSLISKLLSAESLWEHGLCASNFEASWISKTWKKGIGNLNKICRCLRDCALNLLDFMRGKLDTSKLKTLLFGCLKPDELVSKPRLKRGKRKRKPQTSPDSRYGLGKGKHAVHLVGSDQHANSTTAEAPQVVHRHPTQAKASTQCAHKPTPPFVIIPSGFGYSLSLQLPVAPQLSRGLLGRPPPVNLVHLNNGAQLPQQGLLLSLPSQQAAGSNSGVTYAGAQQLQRNEN >ORUFI09G21900.1 pep chromosome:OR_W1943:9:20335056:20336192:1 gene:ORUFI09G21900 transcript:ORUFI09G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILERAEEANIGEGSGSSEWELGVRQLCDSGITTLPARYVLPPADRPARYVTPPALLPVVDLAALRARDPCQLAALHAACRDYGFFQLLNHGVPPDAMLYAARRFFFDLPLPARKRYMSADIRAAVRYGTSFNQLNDAVLSWRDFLKLLIRDTRRLADVLPSWPDAPDDLRPAAAAYATACQRLFRELMEAALDALGIVRCRRQLLEECDAGSQMMMVNCFPACPEPELTLGMPPHSDYGLLTILLQDEVRGLEVSYGDGGGWAVVEPLPGAVVVNVGDHLEILSNGLYRSVLHRVRVNGRRARVSVASLHSLAAERVIGPAAELVDEQRGRPRRYMDTDMAAFLAYLASAEGNHKSFLHSRRINTISSSGLTQPSN >ORUFI09G21910.1 pep chromosome:OR_W1943:9:20336624:20337208:-1 gene:ORUFI09G21910 transcript:ORUFI09G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQKEAANKKKKKKEVAVLVKTKTKVVQLTTTTAELELEPTVTVQVDDNKTGAAADETPPVVPLQSQETQDPNEPKAAAAKKKKRAGHGRKRSRRRRGGALEYGGYKRYVWRVLKQVHPDLGASAQTMDVLDMMMADMFERLADEASRLSKLSGRLTLTSREVQSAVRLVLPADLANHAISEGTKAISNYLS >ORUFI09G21920.1 pep chromosome:OR_W1943:9:20337953:20341898:-1 gene:ORUFI09G21920 transcript:ORUFI09G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPNPSDEVVQVRHGDVAGEPTVVTISCPDKTGLGCDLCRLVLLFGLNIVKGDMSTDGRWCYIVLWVVARPGRAMAMRWDLLKDRLIQLCPVAAPFGLDNHHLAAAGLHLHDHDAPAPSPNIFLLKFFCYDRMGLLHDVTRVLCELELTIRRVKVSTTPDGRVLDLFFITDARELLHTKSRREETYDKLQSVLGDSLTSCEIESATEEMSSCLQASTLLPHSAVEEMFNVEVVEEQSRSCGGLSVAMDNSLSPAHTLIQIQCGDHKGLVYDIMRTLKDCNIQISYGRFYASQNGSCEVDLFAVQSDGKKIVDQHKQRALCCRLRTELHRPLRVALVNRGPDTELLVANPVEVSGKGRPLVFYDITLALKKLHKRIFLAEIGRHVVGDREWEVYRVHLGEGDHSSLRSKIVDGVTNMLMGWDDTPPS >ORUFI09G21920.2 pep chromosome:OR_W1943:9:20340660:20341898:-1 gene:ORUFI09G21920 transcript:ORUFI09G21920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPNPSDEVVQVRHGDVAGEPTVVTISCPDKTGLGCDLCRLVLLFGLNIVKGDMSTDGRWCYIVLWVVARPGRAMAMRWDLLKDRLIQLCPVAAPFGLDNHHLAAAGLHLHDHDAPAPSPNIFLLKFFCYDRMGLLHDVTRVLCELELTIRRVKVSTTPDGRVLDLFFITDASN >ORUFI09G21920.3 pep chromosome:OR_W1943:9:20337953:20338969:-1 gene:ORUFI09G21920 transcript:ORUFI09G21920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTLMHVISYDVCNDLRELLHTKSRREETYDKLQSVLGDSLTSCEIESATEEMSSCLQASTLLPHSAVEEMFNVEVVEEQSRSCGGLSVAMDNSLSPAHTLIQIQCGDHKGLVYDIMRTLKDCNIQISYGRFYASQNGSCEVDLFAVQSDGKKIVDQHKQRALCCRLRTELHRPLRVALVNRGPDTELLVANPVEVSGKGRPLVFYDITLALKKLHKRIFLAEIGRHVVGDREWEVYRVHLGEGDHSSLRSKIVDGVTNMLMGWDDTPPS >ORUFI09G21930.1 pep chromosome:OR_W1943:9:20344739:20346529:-1 gene:ORUFI09G21930 transcript:ORUFI09G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLSQAEAGGGGRSKKSAAGELTTTSEKKKKTRRRKVAVVYYLCRSRQGGLEHPHLMEVEVGDGEEQVHVQLRLRDVTRRLDALRGKGMAAMYSWSCKRSYRGGYVWHDLSHPDDLLLPTGPHDYVLKASLLHLHHLIDPPPRHHPLITSTSSSAHHSLPPHAAAAAHVSLVSSSSTDANANSVVVGDDQCTSSCRTQPHSSSSSSSSSSSSASSSGSSSSHNNNSKEKEDEKQVVGEARRPAAAVVVASAATQTDDDSSFTVTGSIAAPSAQKQGAAGGRGSSSSSSRSLESLIMAEYSGFRSMLEDDEEESAGGADNSSSRRRSCSMSIYRVKPANLLMRLIACGCGSSIPIPAAAAAAAKQQLQQVESLPLSPVLSPLSHLVNKQQLPHEQDRFSGGTISTSNANAAGKLKVADDNAPPLVQSQIECSNAPRPEDFVSSAAAAAGNTTNELAHSRPVVVAFRLDKHDDKVIKIEERLASGARVTISSSTVHPAAGGLACSSNYQLHRRRHSGGLA >ORUFI09G21940.1 pep chromosome:OR_W1943:9:20347689:20348078:-1 gene:ORUFI09G21940 transcript:ORUFI09G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEESTASAEAEGSGARGCARWREIASPWLPSPFLRCCAALPHADAGLLRRLNDLLDLLESELGLLDGVRGTHEHRARVPRRRPPDLLSRLAPTISSPPDGRLAQRERERERRRKGEEGEEVCADVVS >ORUFI09G21950.1 pep chromosome:OR_W1943:9:20348265:20348525:-1 gene:ORUFI09G21950 transcript:ORUFI09G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENSTPHTAHSWTCALSFSAAAAAGSLSSFACSRVWLVMYPPSAWNDGNLLLHVLHSNTNSAARRQRGPSASTTTSVLSARVTTA >ORUFI09G21960.1 pep chromosome:OR_W1943:9:20349098:20350312:1 gene:ORUFI09G21960 transcript:ORUFI09G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKCRSSSSRALYALLLTSLILTVIILYLPPPPDPLSQSQFEFDQLKLDDHPPPPQLHRRRTKRCAEHLRWAASLASQHNATLLLTVDRNQAAGCANFTTIQKAVDAVPDYAATRTLIAVDAGIYREKVVVWSNKTALTLHGRGNLNTTVAWNATSNSTGGSTVYSATFTVLAPAFVAYNITFQNTSPPPEPGDAGGQAVALRVAGDEAAFHWCGVYSAQDTLLDESGRHLFRGCYIEGSIDFIFGNARSLYVGCTISSVAMASATGNKEVTGSVTAQGRASAAERTGFAFVRCSVVGTGQVWLGRAWGPYATVVFAETYLGDVVAAEGWNDWGDPGRRQQVWFAEYACWGPGSATAATGRVSYARQLDQRQAAPFMDVSYIDANQWALPPSTPELYGSRYTPS >ORUFI09G21970.1 pep chromosome:OR_W1943:9:20350451:20351294:-1 gene:ORUFI09G21970 transcript:ORUFI09G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRYEVEVTVASARDLKNVNWRNGDLKPYAVVWIDDGAKCSTRVDLDNADNPTWDDKLTVPLPPSTRLDDAVLYLDVVHANATDGVKPLVGSARLPLRDVLADTGIGARASRSLRLKRPSGRPHGRLEVRVAVREPKRYYDPSPAYPAPYHQQSSRDPYAYGNTTTGGYGYAYGGAPPAPYGAPPVDAKKKSKMGMGGGLAVGAAAGVLGGLALAGGASYLENKFEDRVAERVEEDRYGGGGGGYDDYGDDDY >ORUFI09G21980.1 pep chromosome:OR_W1943:9:20352421:20353112:1 gene:ORUFI09G21980 transcript:ORUFI09G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIIWVPIINGNARGRDTIPQRDSSSSNAIPAHSLPSPFRVAIAATPIPHRRHCCTLPPPRPSPVDTATATAPIPHRRRCCPPPSPRLSPAAAAAAVSVLVCKGRLQGTDCACRDAPSPTECPAISSRNHLIPGRYHPIPRKYHAIYGRNRLILDKYHMIPREYHAIRGRNHLIHDRFHMISREYHAIRGRNRMIPDKYHMILASIT >ORUFI09G21990.1 pep chromosome:OR_W1943:9:20354604:20355143:1 gene:ORUFI09G21990 transcript:ORUFI09G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNPKVFFDILIGKARAGRVVMELFADTVPKTAENFRCLCTGEKGLGASGKPLHYKGSAFHRIIPNFMCQGGDFTRGNGTGGESIYGDRFADENFKLRHTGPGVLSMANAGPNTNGSQFFICTTRTTWLDGKHVVFGKVVDGYTVVEKMEQVGSGSGGTAERVLIEDCGQLADDHAN >ORUFI09G22000.1 pep chromosome:OR_W1943:9:20355830:20358417:-1 gene:ORUFI09G22000 transcript:ORUFI09G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCSSSLEERRGEGKENGTLDGTAASTVRGAVEMAAKRLLLLSRCRLIAHRPSCHIPFLPPALARYLGSPSSPNPNPKNTSSMGTASSGEEEEDDEGKAFPWRRWRPDVAWLPKALEPALQLYNQYKPFLTSAPTDNIPASTRTFSEILSDLQRSKVSIKDWSLTDLTIGLYLIYLSQASAKDAQAFKGCYKGNATGLARHSMLRKRNVLKFVKDSSILRPGYYIAIDPRTKLVILGIRGTHTVYDLVTDLIALSDKKVSPKGFSTHFGTYEAARWYLRHELGLIRKCLEKHKDYKLRLVGHSLGGASAALLAIMLRKKSKEELGFSPDVISAVGYGTPPCVSREIAQSCASYVSTVVLQDDIIPRLSAASLARLRAEILKTDWVSVLEKEDWKHIVDIVTNAKLVVSSIQDVARKLADYAKIVTVSTSSDAIKDQDRPLSTSEVLSPDSKEDVFVPEDLFLPGTLYYLKRDIEDINGVEDESYTLWRGDAGENFQRILLSGNLISDHKCESIYYALRDVLKTLPPQESG >ORUFI09G22000.2 pep chromosome:OR_W1943:9:20355830:20358417:-1 gene:ORUFI09G22000 transcript:ORUFI09G22000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWCSSSLEERRGEGKENGTLDGTAASTVRGAVEMAAKRLLLLSRCRLIAHRPSCHIPFLPPALARYLGSPSSPNPNPKNTSSMGTASSGEEEEDDEGKAFPWRRWRPDVAWLPKALEPALQLYNQYKPFLTSAPTDNIPASTRTFSEILSDLQRSKVSIKDWSLTDLTIGLYLIYLSQASAKDAQAFKGLHISCNNKASPPSLLFPITISQFIILLDFCCYKGNATGLARHSMLRKRNVLKFVKDSSILRPGYYIAIDPRTKLVILGIRGTHTVYDLVTDLIALSDKKVSPKGFSTHFGTYEAARWYLRHELGLIRKCLEKHKDYKLRLVGHSLGGASAALLAIMLRKKSKEELGFSPDVISAVGYGTPPCVSREIAQSCASYVSTVVLQDDIIPRLSAASLARLRAEILKTDWVSVLEKEDWKHIVDIVTNAKLVVSSIQDVARKLADYAKIVTVSTSSDAIKDQDRPLSTSEVLSPDSKEDVFVPEDLFLPGTLYYLKRDIEDINGVEDESYTLWRGDAGENFQRILLSGNLISDHKCESIYYALRDVLKTLPPQESG >ORUFI09G22010.1 pep chromosome:OR_W1943:9:20359948:20360193:-1 gene:ORUFI09G22010 transcript:ORUFI09G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSLGGSIFLEQRSRREVKSSDLGYLGGGGGGGSGDGRRCSTCVRAGVCGGGGVRAVFGVLAAAVEVASASAPCSAFQC >ORUFI09G22020.1 pep chromosome:OR_W1943:9:20362332:20362751:1 gene:ORUFI09G22020 transcript:ORUFI09G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEHVRARHEDSHQRSDMAAIVAATVDHDASRDNMAHRGRKTIDEMMISSSLAPSPPSFTAMDRLPHRVPPLSYGGRDCKLKFTLLHSCCYRVRRQPAPLLQPCSTTEGLPHRNNKRSQKWRKEEKERGRIEEDYMFH >ORUFI09G22030.1 pep chromosome:OR_W1943:9:20366112:20366507:-1 gene:ORUFI09G22030 transcript:ORUFI09G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHQQQQQEGELVAKYRGVRRRPWGKFAAEIRDSSRHGVRVWLGTFDTAEEAARAYDRSAYSMRGANAVLNFPADAHIYARQLHNNNAAAGSSSSSSAAAAAARPPPIEFEYLDDHVLQEMLRDHTTNK >ORUFI09G22040.1 pep chromosome:OR_W1943:9:20391175:20391825:1 gene:ORUFI09G22040 transcript:ORUFI09G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRDVDMHILTALMADMADSSSSSSSSSSSSSSDDSDMRASAAAAEPEHRRSPAPPPPPRQQQLIGVRKRPWGKFAAEIRDSTRKGARVWLGTFDSPEAAAMAYDQAAFSVRGASAVLNFPLHRVQESLQALALGAAGGSPVLALKRRHSIRKRRKPTKHMLLMQQQQQEPTVVELEDLGADYLEELLRLSESSSSSSSSSISNFTTTPNRHCQS >ORUFI09G22050.1 pep chromosome:OR_W1943:9:20396990:20398057:-1 gene:ORUFI09G22050 transcript:ORUFI09G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSRSDAVPVSSVASRSRRSSATTSSSSSSSRRPGPASSSISGSTASAASSSSSSLAAARASLPDPPVLYPFQEVAGATNNFLAKRAPASTYWPCTLRGRHAALFQLRRAPPPRDTVTGKLLAATARYHHTSLAPLLGGCVAGAHLYIAYELPPGAATLSACLRSPRNPSFTALRTWLSRVQVAADVAQGLDYIHHHAAAVHGRVSSSTVLVSDPGLRARLTHMGAAQLAELEDEEEPSREADVRAFGLLLLELLSGEQATTYRVREAVVETAAAARANGRVRSWVDRRLGDSFPQAVAERLLDVGLRCASASPPPEMTWVAGKISKAYLDSRAWDHSLQRPQAHLSSVSLAPR >ORUFI09G22060.1 pep chromosome:OR_W1943:9:20398944:20402960:1 gene:ORUFI09G22060 transcript:ORUFI09G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQGQGQGKLLYIVVVDDDGATFRYTRSLLHSTLQLMGCKPRHAFEISGRVFDEIRGHMGGDMAMGGGGGVQRYELAADAEAASPRQFQFELYKRRTTLLIPRPLFLRLVCHALALYKIRERKESVTILLCGTSGCGKSTLSTLLGSRLGITTVVSTDSIRHMMRSFVEEKQNPLLWASTYHAGECLDPVAVADAKARRKAKKRSGISTTSTIDFDKTRPLNDKPDGKPIGKKQMAIEGYKAQSEMVIDSLDRLITAWEDRKESVVVEGVHLSLNFVMGLMRKHPSIIPFMIYISDEGKHTERFAVRAKYMTLDPTKNKYVKYISNIRTIQEYLCSRADKYLVPKVNNTNVDRSVASIHATVFSCLRRRAAGDQLYDPATNTVAVVNEEYKNQCVANSMSSKGMFKLIQRLGSSRKLMAIVNVDGSVSKAWPVESSSGDGKGGSENGSKKYVGDPIYGPLNIGRAESVNLQFGAFGISAWPTDAGCTSQAGSVNESWDNANEGTGSHVPSSSGSPKKLDGHCKEIKESAAASGSDDDEEEEEEAADVPPNSGSEEDLSEEDIRAIHEEMEGSVDEDCNRSDEEYDDLAMRDCMENGFLTDDGVVHTVFDGNGQKHSTLRKRQVNLRTLSKIDLDSPDTARSSSALPISASSKRNGTRRWKRSLSESFRSRPRSAPSLVELTPKHKGSAVPEVAPDK >ORUFI09G22070.1 pep chromosome:OR_W1943:9:20407605:20410028:-1 gene:ORUFI09G22070 transcript:ORUFI09G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYRSATPLPHYINYEITSHAYGSPYIVSRSYNSASCDVNDYGRISENSASKHLPPHNSTTYSLSPAQPQSFGIEHIPLPKPSVNNFQQNGSFVQSTTHKAEETHRTNDTKLASSAGGQFVEDFGSKIDNLIEEICRDVEEKFNAYILSKGSKPIFNQQRKPINLELSANEKEVAMLDLCGNIGPFVLPTKFRAKDDDEHLDDGARNRDDKVNILESHQRTNLGITQVKKSECFSNISNQSGGSSTRKQIWVLKSRGQEKGLAAGARTKVIEPGGHARVQQLENLHNVSMKRESSSADLVTNQNPPKSFVGNGSQPRHSCSLSNWQKKQLHKLSAEKLRDRGMAWVPKGSVQVHNEKDVKREVEAKEEKGVTEACTKSMVCIQSSGSFATTLFIFFTYATDTYIMESILRYVCLSLMVLFLSLDIICVFILWRDVTV >ORUFI09G22080.1 pep chromosome:OR_W1943:9:20419034:20422659:-1 gene:ORUFI09G22080 transcript:ORUFI09G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGDKAKAAITLSERTCTAVLTSHPLSRDIHIESLSLTFHGHDLLLDTDLELNYGRRYGLLGLNGCGKSCLLKAIGCRELPIPPHMDIYHLTHEIEASDMSALQAVISCDEQRLQLEKEAEILAAQDDGGGEALDRVYERLEAIDASTAEKRAAEILFGLGFNKHMQAKKTCDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQNRKLKLYTGNYDQYVQTRAELEENQMKQYRWEQDQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMEHGGLTEKVVRDKVLTFRFTDVGTLPPPVLQFVEVTFGYTPDNLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLIPLDGMVRRHNHLRIAQFHQHLAEKLDLDMSALQYMMKEYPGNEEERMRAAIGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAWREPHMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCEKQAVTRWEGDIMEFKEHLRSKAGVDD >ORUFI09G22090.1 pep chromosome:OR_W1943:9:20431359:20436810:1 gene:ORUFI09G22090 transcript:ORUFI09G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGLAWAWRAVRCGVVLPTLQLAVYVCVAMSIMLFLERLYMALVVAALWLIRRRRRRSNRREQDDDGAENDQLLQDPEAANSPMVLVQIPMFNEKQVYRLSIGAACGMTWPSDKLVIQVLDDSTDPAIREMVEGECGRWAGKGVSIRYENRRNRSGYKAGAMREGLRKAYARECELVAIFDADFQPDADFLLRTVPVLVADPGVALVQARWRFVNADECLLTRIQEMSLDYHFRVEQEVGSACHGFFGFNGTAGVWRVRALEEAGGWKERTTVEDMDLAVRASLRGWWFVYVGHVGVRNELPSTLRAYRYQQHRWSCGPANLFRKIFLEVLSSPTARVSPWKKLHLLYDFFFLRKLVAHLLTFSFYCVVIPACVLAGSDHVRLPKYVALYVPAAITLLNAACTPRSCHLLIFWILFENVMSMHRTKATLIGLLEATRANEWVVTDKRGNANPKHQQPANTTTRPGRKTTTSSSRTSFFNNDVHVAEILLGACLLYCALYDIAYGRDSFYIYLLLQSAAAFIVGFGYVGT >ORUFI09G22100.1 pep chromosome:OR_W1943:9:20436968:20439026:1 gene:ORUFI09G22100 transcript:ORUFI09G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFCCFQSEDRGGDGDGDGDGAPPSTSSSGCSNSSSSSKKKNLASERSLGGSSRDNNSNLVNLVNEIVAESVTYRHKRVADEILKIGKGKVTARAFTYGELSEATGGFRAESLLGEGGFGPVYRGRLSIKGTVTEAAVKQLDRNGMQGNREFLVEVLMLSLLAEHPNLVTLLGYCTDGDHRILVYEYMARGSLEDHLLDLPPGAAALDWTTRMRIAQGAARGLEHLHDAARPPVIYRDFKASNILLDSSFQARLSDFGLAKVGPVGDKTHVSTRVMGTYGYCAPEYALTGKLTTCSDVYSFGVVFLEIITGRRAIDMARPHDEQNLVQWAAPRFKDKKLFADMADPLLRGAYPTKGLYQALAIAAMCLQEDATMRPAISDVVTALEYLTVAGASSEPAPRPQKLQPPEDDDDDQRPAA >ORUFI09G22110.1 pep chromosome:OR_W1943:9:20437388:20438836:-1 gene:ORUFI09G22110 transcript:ORUFI09G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYAAGRWSSSSSSGGCSFWGRGAGSEEAPATVRAHGGVLLEAHGGDGEGLVEALGGVGAAEQGVGHVGEELLVLEARGGPLDEVLLIVRAGHVDGAAAGDDLEEDDAEAVDVGAGGELAGESVLGGAVAVGAHDAGGDVGLVADGADLGEAEVGEAGLEGGVEEDVGGLEVAVDDGGTSCVVQVLKAAGGALRDAHPSGPVQSRGARGQVKQVVLQGAAGHYPSSVTRFGCSASRLSISTSTRNSRFPCIPFRSSCFTAASVTVPLMLSLPRYTGPNPPSPSSDSALNPPVASDSSPYVNARAVTFPLPIFRISSATRLCRFTRLLLLSLLLPPRLRSDAKFFFLLLLLLLLQPLEEVEGGAPSPSPSPSPPLSSDWKQQKMPIIFMVCWALHQRQQERILEPEG >ORUFI09G22120.1 pep chromosome:OR_W1943:9:20441993:20445653:1 gene:ORUFI09G22120 transcript:ORUFI09G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGVAVVLVGMAAMLVGMASAATYNVGEPGGAWDLTTNYTNWVAQKRFHPGDQIVFKYSAQRHDVVEVNKAGYDSCSTSTSIATHTTGNDVIPLTSTGTRYFICGFPGHCTTTGTGNMKIQIDVVQADSSSAPAPVATTTPPSPPSSAATSLKATAAAAVLLAALLIMA >ORUFI09G22130.1 pep chromosome:OR_W1943:9:20445448:20451813:-1 gene:ORUFI09G22130 transcript:ORUFI09G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSGDSRPRRKREAWRATPAAAAAAAEEESESARRAQAARSVVGVGAGVGEHGAVERDGEVGAGPAGEGANGGVVGEGGGVGAEDGECVGEGGEGGGGSEGARRGGVGEEGAGAEEVGVEGLWTRGGGGHGEETRRAALSLSWASRPKISRDRRRKRELGKWAPEGSDQTTGGVEFKVFYWSRFYETQIRQERSSLKAGRQAMASMEGLIGLMNRIQRACTALGDHGGGEGANLPTLWESLPTIAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHQIDKGAHDYAEFLHLPKTRFSDFALVRQEIADETDRVTGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESVVHDIENMVRSYVEKPNCIILAISPANQDIATSDAIKLSKEVDPSGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQYPWVGIVNRSQADINRKVDMIVAREKEREYFENSPDYAHLASKMGSVYLAKLLSQHLEAVIKARIPSITSLINKTIDELESELDTIGKEVAADPGAQLYTILELCRAFDRVFKEHLDGGRSGGDKIYGVFDHKLPAAFRKLPFDRYLSVQNVKKVVSEADGYQPHLIAPEQGYRRLVEAGLAYFKGPAEATVDAVHVVLRDLVRKSIGETEPLRRFPTLQAAIATAANEALERFREDGRSTALRLVDMEAYLTVEFFRKLPQDPDSGSKVGNNTNESNGSGSGSVTVDRYGDGHYRNIASNVSQYIKMVGDQLLHKIPKAVVHCQVREAKRSLLNHFYVHIGKKEASQFGHLLDEDPAMLERRQQCWKRLELYKSARDEIDSVAWTRSSFVHVHPDPCHAGNK >ORUFI09G22140.1 pep chromosome:OR_W1943:9:20451324:20462404:1 gene:ORUFI09G22140 transcript:ORUFI09G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATATCPKALHAHLLRSGALFADPSAAGPLAAAASLASLPYALSILRAHPTTFSYNSAIRALARGPRPHLAISLYRSMLSHSRSHPNNYTYPPLLAACARLADSDSSSAAAAAAAGVALHASLFRRGLESPDRFIRASLLSLYAAAGDLPAARQVFDLSPPNHRDLPLWNSLLHAYLSRAHYVQVLRLFRTMRTADHVTLLALLSACAHLGALHTARWAHAYLATTCSFPITTNLATALLNMYMRCGDVQTACSLFHSTPTRHKDVHTWTVMIAGLALNGFSTDALHLFTHMKDHNIQPDSVTLTAVLSACTHAGMVDEGKRILRRMPLDYHLQPTIEHYGCTVDLLGRAGLLEEALALIRAVPFKADVALWGALLVACRCHRNFEMGQMVAMEILRLDPQHAGAWVFLSNVYAAAGKWDLVQEVRSSMKQHRIHKPPGSSVVELDGVVYEFLSGDHSHPQSDQIYAMLDEIGKTLSLKGHKPATKLVTFDIDEEDKEVCISQHSEKLAVAFGLINTRRGAVIRIVKNLRICEDCHSVMKECICLRLTVFYPTLWKTVIASHSQECENIIVLRKMLELRTGDIFGAVFIACLIALQIMGSQRGKQSEKKWLNIKPKLNDFSEDEFDTDGGDEDFSDCAEDASDNFIEIHENNHTINRSSGDKIMPLRRLQRRKSESLRVNYISNKDMRVMIGTWNVAGRAPSEDLDLDQWICSQEPADIFQEVVPLSVGNVLGAEDSRTVPKWEGIIRRALNKSQQPKANCKSYSAPLSPLRVPIPSDDGHDDTKREYDKMTENLSPQQQCRDKQTSISKCSCDWLDGTSSLDWPECPLDIPAKISVSNRGLRRVMSMGLFNTDYLENAQGFDLHGVALQDGIRRSYRSSGNLGMSWSEQQEKVDVLSSVDYMSDWTSDDTTSVVGPDERATFAKGESLKPPGNYVRVVSKQMVGIYVSVWVSRKLRQHVNNLEVASVGVGLLGYMGNKGSISISMSLFQTRMCSVCSHLASGHKCGDQQKRNSDVDEILQRTRFSSLFAAGQPQKIPSHDQIFWFGDLNYRIDMPDAEIRDLVSMKRWDDLLKSDQLTKELTNGNTFAGWKEGLINFPPTYKYETNSSKYVGEKPDEVGNKRSPAWCDRILWLGKGIKQLSYWSSGLNLSDHRPVSSTFIVEVELFLFIIVIVTITVTEMISRWLRCHGHYKTI >ORUFI09G22140.2 pep chromosome:OR_W1943:9:20451324:20462404:1 gene:ORUFI09G22140 transcript:ORUFI09G22140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATATCPKALHAHLLRSGALFADPSAAGPLAAAASLASLPYALSILRAHPTTFSYNSAIRALARGPRPHLAISLYRSMLSHSRSHPNNYTYPPLLAACARLADSDSSSAAAAAAAGVALHASLFRRGLESPDRFIRASLLSLYAAAGDLPAARQVFDLSPPNHRDLPLWNSLLHAYLSRAHYVQVLRLFRTMRTADHVTLLALLSACAHLGALHTARWAHAYLATTCSFPITTNLATALLNMYMRCGDVQTACSLFHSTPTRHKDVHTWTVMIAGLALNGFSTDALHLFTHMKDHNIQPDSVTLTAVLSACTHAGMVDEGKRILRRMPLDYHLQPTIEHYGCTVDLLGRAGLLEEALALIRAVPFKADVALWGALLVACRCHRNFEMGQMVAMEILRLDPQHAGAWVFLSNVYAAAGKWDLVQEVRSSMKQHRIHKPPGSSVVELDGVVYEFLSGDHSHPQSDQIYAMLDEIGKTLSLKGHKPATKLVTFDIDEEDKEVCISQHSEKLAVAFGLINTRRGAVIRIVKNLRICEDCHSVMKECICLRLTVFYPTLWKTVIASHSQECENIIVLRKMLELRTGDIFGAVFIACLIALQIMGSQRGKQSEKKWLNIKPKLNDFSEDEFDTDGGDEDFSDCAEDASDNFIEIHENNHTINRSSGDKIMPLRRLQRRKSESLRVNYISNKDMRFGLYEFIFNPQCIPCHASGDFIIVVTTRVMIGTWNVAGRAPSEDLDLDQWICSQEPADIFQEVVPLSVGNVLGAEDSRTVPKWEGIIRRALNKSQQPKANCKSYSAPLSPLRVPIPSDDGHDDTKREYDKMTENLSPQQQCRDKQTSISKCSCDWLDGTSSLDWPECPLDIPAKISVSNRGLRRVMSMGLFNTDYLENAQGFDLHGVALQDGIRRSYRSSGNLGMSWSEQQEKVDVLSSVDYMSDWTSDDTTSVVGPDERATFAKGESLKPPGNYVRVVSKQMVGIYVSVWVSRKLRQHVNNLEVASVGVGLLGYMGNKGSISISMSLFQTRMCSVCSHLASGHKCGDQQKRNSDVDEILQRTRFSSLFAAGQPQKIPSHDQIFWFGDLNYRIDMPDAEIRDLVSMKRWDDLLKSDQLTKELTNGNTFAGWKEGLINFPPTYKYETNSSKYVGEKPDEVGNKRSPAWCDRILWLGKGIKQLSYWSSGLNLSDHRPVSSTFIVEVELFLFIIVIVTITVTEMISRWLRCHGHYKTI >ORUFI09G22140.3 pep chromosome:OR_W1943:9:20451324:20462404:1 gene:ORUFI09G22140 transcript:ORUFI09G22140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPATATCPKALHAHLLRSGALFADPSAAGPLAAAASLASLPYALSILRAHPTTFSYNSAIRALARGPRPHLAISLYRSMLSHSRSHPNNYTYPPLLAACARLADSDSSSAAAAAAAGVALHASLFRRGLESPDRFIRASLLSLYAAAGDLPAARQVFDLSPPNHRDLPLWNSLLHAYLSRAHYVQVLRLFRTMRTADHVTLLALLSACAHLGALHTARWAHAYLATTCSFPITTNLATALLNMYMRCGDVQTACSLFHSTPTRHKDVHTWTVMIAGLALNGFSTDALHLFTHMKDHNIQPDSVTLTAVLSACTHAGMVDEGKRILRRMPLDYHLQPTIEHYGCTVDLLGRAGLLEEALALIRAVPFKADVALWGALLVACRCHRNFEMGQMVAMEILRLDPQHAGAWVFLSNVYAAAGKWDLVQEVRSSMKQHRIHKPPGSSVVELDGVVYEFLSGDHSHPQSDQIYAMLDEIGKTLSLKGHKPATKLVTFDIDEEDKEVCISQHSEKLAVAFGLINTRRGAVIRIVKNLRICEDCHSVMKECICLRLTVFYPTLWKTVIASHSQECENIIVLRKMLELRTGDIFGAVFIACLIALQIMGSQRGKQSEKKWLNIKPKLNDFSEDEFDTDGGDEVTAQRMLATTSLRYMKTTTPLTDHQEVVPLSVGNVLGAEDSRTVPKWEGIIRRALNKSQQPKANCKSYSAPLSPLRVPIPSDDGHDDTKREYDKMTENLSPQQQCRDKQTSISKCSCDWLDGTSSLDWPECPLDIPAKISVSNRGLRRVMSMGLFNTDYLENAQGFDLHGVALQDGIRRSYRSSGNLGMSWSEQQEKVDVLSSVDYMSDWTSDDTTSVVGPDERATFAKGESLKPPGNYVRVVSKQMVGIYVSVWVSRKLRQHVNNLEVASVGVGLLGYMGNKGSISISMSLFQTRMCSVCSHLASGHKCGDQQKRNSDVDEILQRTRFSSLFAAGQPQKIPSHDQIFWFGDLNYRIDMPDAEIRDLVSMKRWDDLLKSDQLTKELTNGNTFAGWKEGLINFPPTYKYETNSSKYVGEKPDEVGNKRSPAWCDRILWLGKGIKQLSYWSSGLNLSDHRPVSSTFIVEVELFLFIIVIVTITVTEMISRWLRCHGHYKTI >ORUFI09G22150.1 pep chromosome:OR_W1943:9:20460154:20462887:-1 gene:ORUFI09G22150 transcript:ORUFI09G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAGDEAEMVEVTLRAVGPSRPTTLRLPPFISVADLRRHIAHDRHLPQDRLRLVLRGRNLPCQDDAHVNLRHGDSLIVAVAPKPPANHLRDGDGDDDDDEEEELKFKIPETTTWWKRKFFIFLRDKLRLPDILLMVLFSLGIKAWVLIAMWFLFAPIAQMYDVGPLFILGTGFLVILCNLGRRQQGDVSAYSIFNEDFRELPGTLNAERIDRDIRAGQF >ORUFI09G22150.2 pep chromosome:OR_W1943:9:20460154:20462887:-1 gene:ORUFI09G22150 transcript:ORUFI09G22150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAGDEAEMVEVTLRAVGPSRPTTLRLPPFISKSFLLTAPRPLPAPRLPICAATSLTTDISHKTASAWSCEEGISRAKTTPILIVAVAPKPPANHLRDGDGDDDDDEEEELKFKIPETTTWWKRKFFIFLRDKLRLPDILLMVLFSLGIKAWVLIAMWFLFAPIAQMYDVGPLFILGTGFLVILCNLGRRQQGDVSAYSIFNEDFRELPGTLNAERIDRDIRAGQF >ORUFI09G22160.1 pep chromosome:OR_W1943:9:20463905:20464348:1 gene:ORUFI09G22160 transcript:ORUFI09G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEETRGFPNHPLLTSSGSPLASATSTAAPALLVVGTYFVPFLLPILPRSSSSDDHLVIGELCVVSPCVQVDLDALKGTHLGMYEQRNVTVLVEAGESASEVVAAEAYFVHTSYAKA >ORUFI09G22170.1 pep chromosome:OR_W1943:9:20468200:20473251:1 gene:ORUFI09G22170 transcript:ORUFI09G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPHQVVAPQPQSQAQAGGGGGGGGGTAEQFWSLLDKADRRFARVRDLPLFGRREPDEYGKAFRIYTQLWRMQQEHRHRLLDAGLRRWQVGEIAARIAHLYYSQYQRTSDTALLSEAFVFYHAVLDRGYFLADAADHLFAPTKHLRFLARFLLVALLLARRADTVPRLTTHIRTLLDDSKKTLQEADYKEWKHVVQEIARFLRADSPFINMRPLRYSYAFDPPPDTLPTVPPTVKKRGLVLSDAMLCSYYQNEIKFTDLTIDVFRMLQCLEWEPCGSFALTNGYSTRDESGQNHPNLLKDLRDAALPPNPLKTILYRPSVTHFLTVLATKCEELPSNGMMLIYLSAAGEVGSSGFCPDTNEMVVSSLNKFDISNTSTINVNEDNGPRLWLGCREGEGSNCIYPCDLIPFTRRPLFLVIDSNASYSFKAALQSIHGFEKGETTAMLLSPSCRSSSAGFSGDSVRQIGSQFTMFLTAPLQAFCHLIGNNGVDIDRDTYNKAEELLSLSLNEWATTLVASSSLHPVWVEVLGDPLLRRLLLRFIFCRAAHSIFKPTYHKVDFLPTCTPPLPESVDAESMLSQCCLLRVASFFGATNQFSFSEVTTWPEVDVEEAAVVNPSI >ORUFI09G22170.2 pep chromosome:OR_W1943:9:20468200:20473250:1 gene:ORUFI09G22170 transcript:ORUFI09G22170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPHQVVAPQPQSQAQAGGGGGGGGGTAEQFWSLLDKADRRFARVRDLPLFGRREPDEYGKAFRIYTQLWRMQQEHRHRLLDAGLRRWQVGEIAARIAHLYYSQYQRTSDTALLSEAFVFYHAVLDRGYFLADAADHLFAPTKHLRFLARFLLVALLLARRADTVPRLTTHIRTLLDDSKKTLQEADYKEWKHVVQEIARFLRADSPFINMRPLRYSYAFDPPPDTLPTVPPTVKKRGLVLSDAMLCSYYQNEIKFTDLTIDVFRMLQCLEWEPCGSFALTNGYSTRDESGQNHPNLLKDLRDAALPPNPLKTILYRPSVTHFLTVLATKCEELPSNGMMLIYLSAAGEVGSSGFCPDTNEMVVSSLNKFDISNTSTINVNEDNGPRLWLGCREGEAMPAIHSRQSIHGFEKGETTAMLLSPSCRSSSAGFSGDSVRQIGSQFTMFLTAPLQAFCHLIGNNGVDIDRDTYNKAEELLSLSLNEWATTLVASSSLHPVWVEVLGDPLLRRLLLRFIFCRAAHSIFKPTYHKVDFLPTCTPPLPESVDAESMLSQCCLLRVASFFGATNQFSFSEVTTWPEVDVEEAAVVNPSI >ORUFI10G00010.1 pep chromosome:OR_W1943:10:35889:48867:-1 gene:ORUFI10G00010 transcript:ORUFI10G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAKATISLSPPSYAGCCMAACPYRSTRHLRRGGGCSARSISSLRHAPSARVYAAAAAAATPESKSTKENDLVFIAGATGKVGSRAVREFIKLGFRVRAGVRSAQRASSLVQSVEQLKVDDDATSPAERLEIVECDLEKQAQSDIVSAIGNAAIVVCSIGASEKDILDVTGPYRIDYMATNNLVQAATAAKVEHFILVTSLGTNRIGFPAFLLNLFWGVLCWKRRAEEALIGSGLPYTIVRPGGMERPTDAFKETHNLVVAVEDTYVGGLVSNLQVAELIACIASNRRTAYCKVVEAIAETTAPLLPTEDQLANIPSKRLTQQPPPEPEVVQQGETPPKPIQQSQRPLSPYTAFVDLKPPSSPSPCPPSAAAPAPTSTDTAAAGSSSTLNSSATGTPISVDQPKQQQRPLSPYTRYEELKPPSSPSPTPPSAASSASVSASPDTPPAAAASSAALDSSANGTPITGDQLNQQQSPLSPYTSYMMIKLRKFGFQYMMINLGKSITERLFGDGEGGTVRTRGKGIGGGKGEGGGRNPCARGGLVQQVDDDDERGEAGGGKSVHMRVDGDGEG >ORUFI10G00020.1 pep chromosome:OR_W1943:10:57517:63409:1 gene:ORUFI10G00020 transcript:ORUFI10G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPSPPPSPGPASASASASGSAMDSFIHRGAGWHFPRRDNVDARVHVAVGRSPEKTLGLLRWAFRRFACAQVVLVHVHQPSPLIPTLLGKIPAAQATEELVLSHRKSEKDEMNKILLTYLTFCHRAQVQASLLVTENEQIHDGIITLVKDHGITKLVMGSTPDTCFKLKASYGKASFMARNAPSFCEIWFVWRGRHIWTREAAAAIGNNISVYNEDDVMIRKRIRFSSTSNNAESILDEGYISYEAQTPADRYEITISDNGQPNDYESLVDANHFCNIIVPNLQNAQSAFNSTFQPGSSVDMESLVLYPQEILDKNFKQVILEAERSRKDAFVELLKRKDTESRVAGVIARAKASEFAQKQEMKMREELEALLTATKKQHEDLAENKEKATEGLDSSMRKLAILDARAKSIAFRMNEAVAELKLIQSSIGTLNQEIPKREKLELVHTDQVESSTVCADDLYNFRELTLSDIKAATCKFSDSLKVLPRGLGCVYKGEIMNRSVMIYKLHSCIIQSSMQFQQEVHLISKVRHPHLVTLIGACPDALCLVYEYVPNGSLHDRLWSKCGIPQLPWKIRARIVAEISSALFFLHSCKPQMIVHGDLKLENILLDANLHCKIADCGISQLFMEDAKDADPEYRRSKPLTPKSDIYSFGIVILQLLTGKQAAGLPSEVRRAMSSGKLWSLLDPTAGEWPLEVARRLAELGLKCSEAASPELLTPETVRDLEQLHLMRDNRQEVMHDPQVGADGLTYEGRAISELMDNGPPITPNHALRFAIHDWLSQRSTPF >ORUFI10G00020.2 pep chromosome:OR_W1943:10:57517:63409:1 gene:ORUFI10G00020 transcript:ORUFI10G00020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPSPPPSPGPASASASASGSAMDSFIHRGAGWHFPRRDNVDARVHVAVGRSPEKTLGLLRWAFRRFACAQVVLVHVHQPSPLIPTLLGKIPAAQATEELVLSHRKSEKDEMNKILLTYLTFCHRAQVQASLLVTENEQIHDGIITLVKDHGITKLVMGSTPDTCFKLKASYGKASFMARNAPSFCEIWFVWRGRHIWTREAAAAIGNNISVYNEDDVMIRKRIRFSSTSNNAESILDEGYISYEAQTPADRYEITISDNGQPNDYESLVDANHFCNIIVPNLQNAQSAFNSTFQPGSSVDMESLVLYPQEILDKNFKQVILEAERSRKDAFVELLKRKDTESRVAGVIARAKASEFAQKQEMKMREELEALLTATKKQHEDLAENKEKATEGLDSSMRKLAILDARAKSIAFRMNEAVAELKLIQSSIGTLNQEIPKREKLELVHTDQVERELTLSDIKAATCKFSDSLKVLPRGLGCVYKGEIMNRSVMIYKLHSCIIQSSMQFQQEVHLISKVRHPHLVTLIGACPDALCLVYEYVPNGSLHDRLWSKCGIPQLPWKIRARIVAEISSALFFLHSCKPQMIVHGDLKLENILLDANLHCKIADCGISQLFMEDAKDADPEYRRSKPLTPKSDIYSFGIVILQLLTGKQAAGLPSEVRRAMSSGKLWSLLDPTAGEWPLEVARRLAELGLKCSEAASPELLTPETVRDLEQLHLMRDNRQEVMHDPQVGADGLTYEGRAISELMDNGPPITPNHALRFAIHDWLSQRSTPF >ORUFI10G00030.1 pep chromosome:OR_W1943:10:65807:66700:-1 gene:ORUFI10G00030 transcript:ORUFI10G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDDHEGPLEDALEAAVLEEVCKITRNGGGGGDGSKGGGGGGGGGVIKSMAAAARVLSREADKKAWGPDLAPELGTSIAARRRSGEE >ORUFI10G00040.1 pep chromosome:OR_W1943:10:71630:72571:-1 gene:ORUFI10G00040 transcript:ORUFI10G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGTDVVALYGGANGLSHKSGSFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRSVTIPVMAKARIGHLVEAQILEAIGVDYVDESEVLTLADDAHHINKNNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGDIRALRSMDDDEVFSYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPALRARAIVQAVTHYSDPKILAEVSSGLGEAMVGINLSDPKIHVERFAARSD >ORUFI10G00050.1 pep chromosome:OR_W1943:10:83822:86266:1 gene:ORUFI10G00050 transcript:ORUFI10G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLLLLLLLPALAAAQAQAQQMRTFSANDTNWSPAESNRTLVSNNGDFAAGFRPSPSSPAKFWFAVWVSANANESRPVVIWYAHNDDHSAVEGDANSVLSIDAAGKLSWSDNGNSTTLWSRNFNSTSAPLSLNDSGSLDHGAWSSFGEPTDTLMASQAIPSISNGTTTTTSITLQSQNGRFQLFNALTLQHGSSAYANITGNTALRNLTADGTLQLAGGNPSQLIASDQGSTRRLRRLTLDDDGNLRLYSLQSKKGQWRVVWQLVQELCTIRGACQGEANICVPQGADNTTCVCPPGYRPQGLGCAPKLNYSGKGNDDKFVRMDFVSFSGGADTGVSVPGKYMTSLTPQNLADCQSKCRANASCVAFGYKLGGDRTCLHYTRLVDGYWSPATEMSTYLRVVESNNDPNNFTGMTTMIDTVCPVRLALPVPPKQGRTTIRNIAIITALFAVELLAGVLSFWAFLRKYSQYREMARTLGLEYLPAGGPRRFSYAELKAATKEFSDLVGRGAYGKVYRGELPDRRAVAVKQLDGVGGGEAEFWAEVTIIARMHHLNLVRMWGFCADKEQRMLVYEYVPNGSLDKYLFAPGTGTQGDEEESNKRPLLDLHTRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLTSKKEKVTMSRIRGTRGYMAPEWVIHREPITAKADVYSFGMVLLEIVSGRRNYGFRQDSVGSEDWYFPKWAFEKVYVERRIDDIIDPRIVQAEAYDDDPASLATVERMVKTAMWCLQDRADMRPSMGKVAKMLEGTVEITEPVKPTIFCVQDD >ORUFI10G00060.1 pep chromosome:OR_W1943:10:89692:99365:-1 gene:ORUFI10G00060 transcript:ORUFI10G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGTCGLCVRHMAWRMAPALDIQTCAKEGRRGQTGKLARQSIIFALQNEGIDHLTNKNVRDEGQGQEIGEAIYFSMHLCTEAAVTRRRLLLLLLLLVTCSCLSARERSNSSSSSSRRVVRHLPGFDGALPFELETGYVEVDRIAGVRLFYYFIRSESSPADDPLLLWLTGGPGCSAFSGLVYEVGPLTFDVHGHGHGQLPRLLYKPESWTKRTNVIFLDSPVGTGFSYADTDAGFRTGDTIAVHHILVFLNNWFQEVHPDFLSNPLYIAGDSYSGMIVPAVTFGIATSSPKPSLNLKGYLLGNPVTDHNFDAPSKIPFAHGMGLISDQLYQAYKKSCSVKHNTQQQSCVKDIYGNHILEPYCTFASPHNPRIDKPFTSGTAEYTMSRIWANNDTVREALGIHQGTVPSWQRCNYDILYTYDIKSSVRYHLDLTTRGYRSLIYSGDHDMIIPFIGTQAWIRSLNFSVVDEWRPWFVDGQVAGYIRSYSNNLTFATVKGGGHTAPEYMPKQCLAMLARWVSGNPL >ORUFI10G00060.2 pep chromosome:OR_W1943:10:89692:99365:-1 gene:ORUFI10G00060 transcript:ORUFI10G00060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGTCGLCVRHMAWRMAPALDIQTCAKEGRRGQTGKLARQSIIFALQNEGIDHLTNKNVRDEGQGQEIGEAIYFSMHLCTEAAVTRRRLLLLLLLLVTCSCLSARERSNSSSSSSRRVVRHLPGFDGALPFELETGYVEVDRIAGVRLFYYFIRSESSPADDPLLLWLTGGPGCSAFSGLVYEVGPLTFDVHGHGHGQLPRLLYKPESWTKRTNVIFLDSPVGTGFSYADTDAGFRTGDTIAVHHILVFLNNWFQEVHPDFLSNPLYIAGDSYSGMIVPAVTFGIATSSPKPSLNLKGYLLGNPVTDHNFDAPSKIPFAHGMGLISDQLYQCVKDIYGNHILEPYCTFASPHNPRIDKPFTSGTAEYTMSRIWANNDTVREALGIHQGTVPSWQRCNYDILYTYDIKSSVRYHLDLTTRGYRSLIYSGDHDMIIPFIGTQAWIRSLNFSVVDEWRPWFVDGQVAGYIRSYSNNLTFATVKGGGHTAPEYMPKQCLAMLARWVSGNPL >ORUFI10G00070.1 pep chromosome:OR_W1943:10:99403:100618:-1 gene:ORUFI10G00070 transcript:ORUFI10G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKGPLKFDVAGYTEGFPQLFYFQDSWTKVSNVIFLDAPVGTGFSYAREEQGYNVTLTQTGQQLVVFLTKWLGDHPEFASNPLYIGGDSYSGYTVPVTALQIANDDDARARLNLKGYLVGNAATDVKYDSGGKVPFMHGMGLISDEMYEAARSSCRGDYVSTPTNADCANALQAISMATFAINPVHILEPICGFALRGRAMPETTMDQRLRLGLPVECRDNGYRLSYLWADDPEVRATLGIHEGSIASWSRCTALPLFRHDVDSAIPYHAELTQRGYRALVYNGDHDLDMTFVGTQQWIRTLGYNVVTAWRPWYSNRQVAGFTTVYDHNLTFATVKGGGHTAPEYRPKECLDMLDRWTSPAGEL >ORUFI10G00070.2 pep chromosome:OR_W1943:10:99403:105453:-1 gene:ORUFI10G00070 transcript:ORUFI10G00070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRATSTLLLLLLLVSATWAASAPTTSRARNVITHVKGFPGRLPFHLETGYVEVDNTNTVELFYYFIQSERSPADDPLILWITGGPGCSALSGLLFEIGPLKFDVAGYTEGFPQLFYFQDSWTKVSNVIFLDAPVGTGFSYAREEQGYNVTLTQTGQQLVVFLTKWLGDHPEFASNPLYIGGDSYSGYTVPVTALQIANDDDARARLNLKGYLVGNAATDVKYDSGGKVPFMHGMGLISDEMYEAARSSCRGDYVSTPTNADCANALQAISMATFAINPVHILEPICGFALRGRAMPETTMDQRLRLGLPVECRDNGYRLSYLWADDPEVRATLGIHEGSIASWSRCTALPLFRHDVDSAIPYHAELTQRGYRALVYNGDHDLDMTFVGTQQWIRTLGYNVVTAWRPWYSNRQVAGFTTVYDHNLTFATVKGGGHTAPEYRPKECLDMLDRWTSPAGEL >ORUFI10G00070.3 pep chromosome:OR_W1943:10:101107:105453:-1 gene:ORUFI10G00070 transcript:ORUFI10G00070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRATSTLLLLLLLVSATWAASAPTTSRARNVITHVKGFPGRLPFHLETGYVEVDNTNTVELFYYFIQSERSPADDPLILWITGGPGCSALSGLLFEIVVIAG >ORUFI10G00080.1 pep chromosome:OR_W1943:10:107150:107560:1 gene:ORUFI10G00080 transcript:ORUFI10G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDVAATWSGEELVATAGRSPSRLSRGRIGCPRPSSDYVVVAAASLPYRAPPSSWRRIRPPPVVVAAAPASPVIVATDLATHVVAAADPATPVIAAADPASPIDAAVVAMAALGGGGDGSPRRWRKEREKERRRD >ORUFI10G00090.1 pep chromosome:OR_W1943:10:113633:115252:-1 gene:ORUFI10G00090 transcript:ORUFI10G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTGYCRSPSPEHCRTNPRATGLALMSRPISHYSHFFYRPEQAFAAAVPASYLTSTADLCRPRMRVDGEHVMLPTSPRFGHRRAGAPLPGKTQTQGRSAAPSTLRLDAYNDRSFTIPSTSTARRTVSSLALCDPSRSAATHGCRSNTSTPLNLHKSPIPLVSLFYFGWQPVLFRGSDNQVAYHGFICIGARQVIIPLL >ORUFI10G00100.1 pep chromosome:OR_W1943:10:139892:140182:1 gene:ORUFI10G00100 transcript:ORUFI10G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQSAAAARPHWRRREPSDGEVYVVHPTHFRTVVQQLTGAPPPVANNNANVAAQHNNRPSQQHMSSNNNNNGSVTTLGQMHQECMAWAAQDDQH >ORUFI10G00110.1 pep chromosome:OR_W1943:10:145170:145965:1 gene:ORUFI10G00110 transcript:ORUFI10G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDRFDKCVSIYEELRDGLSTASSPAVERRPDRHGDELGEARGARTYREMEEQRRSVSRWWSGEEGEARPQGREGRTSSWGLGGVGREGEAWVYIGGGGERWPVQCCSIGRAQRAGLEASGDGTGAQAQRGARRDDGDGAAAGGSGAGLETSGDAMATRGDATVTVTARQRRGARRGGGDGDAAAVRWDGARHGSTRGARARRRQQSTVAATARQRCGTVMVT >ORUFI10G00120.1 pep chromosome:OR_W1943:10:166165:167223:-1 gene:ORUFI10G00120 transcript:ORUFI10G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALASRRHRLLLAAAAAAAGGYGLYRLYRHHRRRVAAVLSLADAVSQVGSDLADFLRSDSDHVPRTLLQLSKLAASDPISSAASSLSHSLASGLLRALSDSTSTSTTTTPAQIGLTDRILDRLLSPAGTGFASAVVGSFARNLVLSYHAAAAPRPPSAHPLPDWLCSDRGKDAAADLVRVFVSTAVAAYLDRTASVPRTSHQLLAAFTDPKHEAKLKDLLVSVCNGAVETLVRTRRQVAVAPPPTPIVVVSEAQSPHGCVMDTVSSTLAVPSNRRFVLDITGRVTAETVRSLLDFLAQRVSDGARKSIATARNEGFLAIKHITSKSMAIFTICFALCMHISMGTRFLLPP >ORUFI10G00130.1 pep chromosome:OR_W1943:10:184334:184861:-1 gene:ORUFI10G00130 transcript:ORUFI10G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSLSLFLFLFPSLSGFSPHIFQTVDTEGNGRDGGSHEAEARSERWRGGRRRRGRSSGAADGVGARRGGAADGVGEVGAVAWRTASTRSERWRGGRRRRSEGRRGGRCRRGRRARRTASAVGRGGAVDGVGVVGRGGVVDGVDVVGVEARWRGNGQRSLLVPAAVLLPLSFLP >ORUFI10G00140.1 pep chromosome:OR_W1943:10:205889:207708:1 gene:ORUFI10G00140 transcript:ORUFI10G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPEGRGEVEVAVSGIADQSHERSKKDPGDSFRVFVHRAASAASKLAKHAYEAASTNKRFDHELLPLKCCLMSVSLPWDYIAHDLLHKETPPLDL >ORUFI10G00150.1 pep chromosome:OR_W1943:10:219695:221588:-1 gene:ORUFI10G00150 transcript:ORUFI10G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRPGGQRAAKEEVEIDSSSSRGPAAACGCGFFRRRGSCQTRCGDVDIPYPFGIGPNCSRGVGFEIECNTRNGSGDLVPTLAATSLSIIECNTRNGSGDLVPTLAATSLSIVQNLSVESPPMAKVMLPVAYKCYDDPTKTQDFNGEVELNKTGVYRISDELNMLVVLGCNTMVYTKNGNSEGGLYPYLYYTGCIAYCNDSRSAQDGKCAGAGCCHVDIPGGLTDNTLVFDSWNRTNPCDYAFLVAKEEYVFQRSDLNKDLNRNMPVWLDWAIRDDDSALWLDWAIRDDDSACPPLALGKKPPAGSYACVSDNSECVNSTNGPGYFCRCLPGYHGNPYEYYDDKQGKGCLGTYPLYTPSISKYLIPLTF >ORUFI10G00160.1 pep chromosome:OR_W1943:10:228639:229033:1 gene:ORUFI10G00160 transcript:ORUFI10G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAYRNTNARKQLKRKRGQRWQRERSVVIPRSSCHCQRVKLRWFCEKSGLILFTADYRCYTLNLETMEVDMVAGFGIQGDVDN >ORUFI10G00170.1 pep chromosome:OR_W1943:10:243222:244756:-1 gene:ORUFI10G00170 transcript:ORUFI10G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDEDVGLALGLSLGSGGHRRQRESRDEAPSSAAASLLTLRLPAESGGQPQVVVKREVVRAEEEEYEYEYERALYSSSAAAADDDEGCNSRKKLRLSKEQSALLEDRFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCELLKRCCETLTEENRRLHRELQQLRALTHSTAAGFFMATTLPVPAATLSICPSCERLATAAAAGASPTAAADRTNKPTAPHLFSPFAKSAAC >ORUFI10G00180.1 pep chromosome:OR_W1943:10:260301:265973:-1 gene:ORUFI10G00180 transcript:ORUFI10G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHEEASEDKEREVEEAPDLMPLSPPLTAAATAAVVAVAGQRLVVGYALTKKKVKSFLQPKLLSLARKKSIHFVSIDETRPLSEQGPFDIILHKLTDKEWQQVLEDYREEHPEVTVLDPPNAIQHLHNRQSMLQEVADLNLSNAYGEVCTPRQLVIMKDPLSIPSAVAKAGLTLPLVAKPLVVDGTSKSHELSLAYVETSLSMLDPPLVLQEFVNHGGILFKVYVVGETIRVVRRFSLPDVNIYDLENNDGIFRFPRVSCATNTAEDAEVDPSIAELPPKPLLEKLGRELRRRLGLRLFNFDMIREHGRKDRYYVIDINYFPGYGKMPGYEHIFIDFLLSLVQNKYKRRLSGS >ORUFI10G00190.1 pep chromosome:OR_W1943:10:279225:279398:-1 gene:ORUFI10G00190 transcript:ORUFI10G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVMALYMATGGDMMLMQLVLAEVAHLMSLLVWGWWRELRGALAVAGVEEEDGVWV >ORUFI10G00200.1 pep chromosome:OR_W1943:10:311602:314362:1 gene:ORUFI10G00200 transcript:ORUFI10G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAKQWLAELENDDDPRALEHLDPLSMQQLAESLANELFNQPQEQQEEQHGYHNPSLRVLPFVGDINKPEGHTPAAAAIRDSFFSLTNGSSSSLNFSALEQQQDSGPMTKFCSPLSEMKRGGRRATSSMQEHTDKVSVLGSTIEYVHHLRERVKILQDIQSMGSTQPPISDARSRAGSGDDEDDDGNNNEVEIKVEANLQGTTVLLRVVCPEKKGVLIKLLTELEKLGLSTMNTNVVPFADSSLNITITAQIDNASCTTVELVKNLKSTLRNF >ORUFI10G00200.2 pep chromosome:OR_W1943:10:310763:314362:1 gene:ORUFI10G00200 transcript:ORUFI10G00200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSTNQWSYGGSGPGLHKLQLNMDSSAKQWLAELENDDDPRALEHLDPLSMQQLAESLANELFNQPQEQQEEQHGYHNPSLRVLPFVGDINKPEGHTPAAAAIRDSFFSLTNGSSSSLNFSALEQQQDSGPMTKFCSPLSEMKRGGRRATSSMQEHTDKVSVLGSTIEYVHHLRERVKILQDIQSMGSTQPPISDARSRAGSGDDEDDDGNNNEVEIKVEANLQGTTVLLRVVCPEKKGVLIKLLTELEKLGLSTMNTNVVPFADSSLNITITAQIDNASCTTVELVKNLKSTLRNF >ORUFI10G00210.1 pep chromosome:OR_W1943:10:315519:324045:-1 gene:ORUFI10G00210 transcript:ORUFI10G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPNQNQQQESSSGSSVMCQLVSPEGDHLGAALYLPHNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRIVYQPQAIFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLSTQTPLFTCKGHKNWVLCIAWSPDGNHLVSGSKSGELILWDPKTGKQLGTPLTGHRKWITAVSWEPVHLQSPCRRFVSTSKDGDARIWDMTTRKCVIALTGHTNSVTCVKWGGDGLIYTGSEDCSIKVWETSQGKLVKTLQGHGHWVNSLALSTEYVLRTGAYDHTGKTYSTAEEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISRLLLSGSKDSTLKVWDIRTRKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMN >ORUFI10G00210.2 pep chromosome:OR_W1943:10:315519:324045:-1 gene:ORUFI10G00210 transcript:ORUFI10G00210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPNQNQQQESSSGSSVMCQLVSPEGDHLGAALYLPHNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRIVYQPQAIFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLSTQTPLFTCKGHKNWVLCIAWSPDGNHLVSGSKSGELILWDPKTGKQLGTPLTGHGHWVNSLALSTEYVLRTGAYDHTGKTYSTAEEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISRLLLSGSKDSTLKVWDIRTRKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMN >ORUFI10G00220.1 pep chromosome:OR_W1943:10:338534:340240:-1 gene:ORUFI10G00220 transcript:ORUFI10G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPESSPSSANSTTPSAPSPSSSLSKSPSPPSPSSPPPPASTNAPPKSAGGVSSSTQAQPSSESSSESTTTPSAPAERKSGGSSRGESGRYRGDVTAGVFVGLFVVAMVAVLAGVVAIVVCCCIKITKKKKRPPPPNMPFFTDEKGNVYYATGGLPPMWQQHGSSNYSIPPPPPPGWHMSSSAGGFSGEMGMGYSSGPYGPALPPPSPNVALGFSKSSFSYEELAAATSGFSAANLLGQGGFGYVYKGVLAGNGKEVAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAANQRMLVYEFVPNGTLEHHLYRGGNGDRVLDWSARHRIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDANYEAMVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASTGKLTEKSDVFSFGVMLLELLTGRRPVDTSNYMEDSLVDWARPVLARLLVAGGEEGGLIRELVDSRLGGEYSAVEVERMAACAAASIRHSARQRPKMSQIVRALEGDASLSLDHHHDDDFSASSEISRHRQVAFDSGDYTDDYSTTSTSTHSSRLPPKR >ORUFI10G00230.1 pep chromosome:OR_W1943:10:343354:351054:-1 gene:ORUFI10G00230 transcript:ORUFI10G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPSSAAAPTRTSTRKRAASASASAKATDEPSTKRTRRPKAETKPRKKKDEVKEEEKPPMEDDACGEEPDAEEMALGEEAEAEEAEAEQKQLDAPAPGVARKRVAQPSRVRHGSDGDHDPEFVGDPFPAKEARDKWPQRYQRNAATRRPDEEEDIKARCHYSSAKVDGTLYCLHDDVYVKAEEDKADYIGRITEFFEGTDHCHYFTCRWFFRAEDTVISSIMMENADDEKHDLKRVFLSEEKNDNVLDCIISKVKIVYIDPNMESEAKARRLADCDLYYDMSYTVAYSTFANIPLGKVVSDSEASSVGKATLLDLYSGCGGMSTGLCLGAALAGLNLETRWAVDFNSFACESLKYNHPRTEVRNEKADEFLALLKGWHSLCDEYVKKDIDFSSAGASENEEDDDEPLEKDEFVVEKLAGICYGGSGREDGLYFKVQWKGYGREEDTWEPIENLRDCPLKIKEFVQEGYRRKILPLPGDVDVICGGPPCQGISGFNRFRNRKEPLKDEKNKQMVTFMDIVAYLKPKYVLMENVVDILKFADGYLGRYALSRLVAMKYQARLGMMVAGCYGLPQFRMRVFLWGALPTMVLPKYPLPTHNVVVRGGAPNAFSVNNHQPNEVMEYGSSPKTEFQRYIRLSRKEMLDSSFEGKDGPDLGKLLDHQPLKLNKDDHERVQQIPVKKGANFRDLKGVRVGANNIVEWDPDVPRVYLSSGKPLVPDYAMSFIKGRSLKPFGRLWWDETVPTVVTRAEPHNQIILHPNQARVLTVRENARLQGFPDYYKMFGPIKEKYIQVGNAVAVPVARALGYSLGLAYQRESEGSSPLFVLPDSFTEVGRQAAPARASSVGIPVGEVVEQ >ORUFI10G00240.1 pep chromosome:OR_W1943:10:352093:352851:1 gene:ORUFI10G00240 transcript:ORUFI10G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLGEAPTRMERWERRGGPTAGDRRPAVEKSSGEAGAGWGEERWRPGESARRAAADKGERRRSMSLPLCCLPPPGVHLHRHRHRRCRLLLSHRAPPCPPPMGPPLWMRSGGAYDSGLVGGGRSGQGKGRRLLASRITPRLLAIPFADLATASLPRAAQRRASMDSGAATRATASSSRPPRHRRRRLPAARPRRRWRLRASIAPPRQSQLPLPPPCSPAPASPLCHRLRTSSPASPRLPTAVACPKPQRED >ORUFI10G00250.1 pep chromosome:OR_W1943:10:371681:380636:-1 gene:ORUFI10G00250 transcript:ORUFI10G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMLADAAAAAPCSSSSCSSNSSSSSSSSAIWSRRRDEITFDRLDKFWSALSPQARHELLRIDKQTLIEHARRNLYCSRCNGLLLESFTQMVMHGKLLQQKGPGVVQDDSWGGLSTTKDGLLTLLDCFINTNSLHVLQNIFDNARAREREREMLYPDACGGGERGWISPVIANYGRGHGTRDTCALHTARLSCDALVGYWFDLCEETRSSLLRMREEDFIERLMHRFDSKRFCRDCRRNVIREFKELKELKRLRREHHCTSWFCITDTAFRCEVFEDAVLVDCRQSFLDQDKSYNRFEFAVGTEKGKSDILGFEAVGMNGQVHRKGLDLDQFEDYFVTLRAHYADNKNTDFYVKAHALKGQSCVHRRLIVGDGFVTITKGESIQSFFEHAEEAEEEDEDDAMDRDGNDTDVDGVHPQKHAKSPELAREFLLDAAAVIFKEQVEKSLREATAQQNAHSVFVSLALKLLEERVHVACKEIITLEKQTKLLEEEEKEKREEEERRERRRTKEREKKLRRKERLKEKEKEKEKIPVQLKPYIGTSSSPLSNSATPINDQSPDIAHSKYSASDDEDKDSIVVTESFSPDTCVDQSLTRESDGQSNEFHCSTTLEFIPSDCNGSFMCEQSTSSRRKLRFRRDSLQEQTTGFWYEDCQDDTGGVGNIHWQSRERARNAGRGCNSLFSANNRTRERYEYNACSCGQQEDYGYFSPTARSSREMKMSRKTMVEKPWLQYRRCYPLDSFIVSKGSRVGSTPNKNAAPKQVWEPMDARKKASLGSSNGSSETVSGVDRSNQVGCSKDIVNCSQILGSEHEELAEASSDRSEEACKSITDQPCESSENNQAACNSEPPVVNKPDSCFTKDGGQTANMTSSDSSSCLSEGDRDSSMSSMTSLSAQNPESSSTSDSEGSSERNNSNPGNPPTKNGSRSLLEMCAGNGFREYQPQNIHPSDGNQFGFGVTPFQEQLLHQQKIHAAPYPSTLMGFHNHHMSVPTNGYLAYPQPGHFYPNAVGYGVAGNQCVDFPMQYSNVHPYAGPEFGYVPAQPVHKTPVNFNAMVPTAALFRNGAPEVINPVIVKPDRQHRHTLPPEPKRVDPDPQNGCSEDNKKPQDGSVPFSLFHFNLPISSPAQASSEDEVSGGCLASRSPTPSAQKAQPCSREETNIKEYNLFSARTGVEFPFF >ORUFI10G00260.1 pep chromosome:OR_W1943:10:387980:388721:-1 gene:ORUFI10G00260 transcript:ORUFI10G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCQRQTGARRPVNPAELRRRYRTDATRHCSHLSSPFPTAPPGVHHGFALLGCGGSGRRWERRPAALAGEGKRRAERGAERPAVEDRSRRELASRGIQDRDGGWWQSHESAAKADWLLGDGVGGGWCQRQGGQ >ORUFI10G00270.1 pep chromosome:OR_W1943:10:390437:393678:1 gene:ORUFI10G00270 transcript:ORUFI10G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLNSEEVSCNDHHQVDVVAAAGLQCSGDMLGDKQLVSQVILEGLEIEEPPADEMEAAEKKAGISRLMAGYVQHLQHRSAYHLGYPLNFDYDFSPLAPFLNFSLNNAGDPFAKVNNSVHSRQFEVAVLNWFANFWDVQRDQFWGYITSGGTEGNLYGLLVGRELFPDGILYASNDSHYSVFKAAKMYRVKCIRIATTVSGEMNYADLKSKLQHNTNSPAIINANIGTTFKGAVDDIDQIISTLEKCGFQNRYYIHCDSALSGMMTPFMKQAPKVSFKKPIGSISVSGHKFLGCPMPCGVVITRLEHAEVLSTDIEYIASRDSTITGSRNGHAPIFLWYTLSKKGYKGLLKEVHICMGNARYLEVLLKQVGISASCNTLSNIVVFERPKDERIVCRWQLACEGNLAHIVVMPNVTFEKLTVFVEELAEKRKDWYQDKGFDIPCLAVDIGKENCYCNLHAKKLRIPKM >ORUFI10G00280.1 pep chromosome:OR_W1943:10:395114:401194:-1 gene:ORUFI10G00280 transcript:ORUFI10G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFTARRSNAEMVMPARPTPRETKTVSDMDDHPGHLVYIPLLEFFRCRCCHNSSSRAVPPARAVKAALAEALVWYYPVAGRLREIAGGKLVVDCTAEGVAFVEADADVRLEELGEPLLPPFPCVEVLLCDAGDIGVVVGKPIVFLQVTEFKCGGFVMGFYISHCIADGFGMIQFIKAIVDIARGEQAPMVLPVWERHILTSRSPPPTIGATNTNTVKFSSVLKDSTSIDDDIMLSTPQESMVGNYFLFRPNHISALRSHVHEHGATTATRFELITAVIWRCRTVALGYKTDHRVHLLFAANSRRHRGDGTLRIPEGYYGNALTYHVAAATAGELCGTTLARTVALIREAKLDGTTEERVRSTVAFLASLRLRRSGGRFPALAFDKAYAVSDFTRLGEDGLDFGWAERVGGGVATPSFVSFHSRWKLVSSDGDGEEEEAVAALMLLPKPAMDRFDKELALCTAMVTFKANRSDPELVPPALATPREMKALSDVDTQPALRFYATGVEFFRHHPIVDDGHDQPENQAKVVKDAVAKALTYFYPVAGRIRELPGGELVVECTGEGVVFVEADADVWLDEFGNPIMPPYPCVDEFLCDPGDTSVIIGKPLVFMQVTRLKCGGFVIGTYSCHNIVDAFGHTQFLKAIVDIARGDDHPTVLPVWGRELMAARNPPNVSLLQHLTPSKLSPDHPVEPNSAAQHVSSSTDHMVGDYFFFGPREIAALQHHAQLQYSSTAFEVITAAMWKCHTVALGYVPDQNKKACLLMTMNARGKWKRDPPLPQGFYGNGFVYLVTDASELCKQSLGHAVKLVQKAKLDMTEEFTKSMVDFIALHGGPPYVAGWMFVVSDITRIGEDALDFGWAQRVAGGVPMVGDVKCKQVSYQMRCINDSGEDCVVASMFLPKSAMEIFAKEILVLSSKEIE >ORUFI10G00290.1 pep chromosome:OR_W1943:10:407646:408671:-1 gene:ORUFI10G00290 transcript:ORUFI10G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADNGGLPEESRLQPMETIPTPTVINENNEVEFIAADMAGFVPRIKISARQRWRTLATTMVVDLMGCYAPRRSDNQLAI >ORUFI10G00300.1 pep chromosome:OR_W1943:10:420310:435473:1 gene:ORUFI10G00300 transcript:ORUFI10G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFAEEIAGLMTTSSSSHPNRQLPSRLYGFPIYASRSYLHHILEGYYGNALTYSIADASASDLCGGTLGQMVELSIADASASDLCGGTLGQMVELVCEARLRVREEYVRSTVDLMALLRGRGMVFDGVYVVSNLTRLFAELDFGRGEWVVSGMAQPMLATFLVTCRNGDDEDAVAASMLLPPPVKLRFAEELAGLMMSMPHGGAALCPAPASTYLPLSMRGRRWLHIPEGYYGNALAYSITDASASDLCGATLAQMMELVCEARLRVTEEYGRSTVDLMASLRGHDTVFDGVYVVSDLGAGSGWSAAWPSRCWRRTPTARTRWWRRCCCLLGDGEGRRWRLGGGSDGVLSSARSGGRGGGGDDSALPSTRSGGKGDSGGSVATASPHRLQMAGNPRLSPPLSPLISIAGSSHIYDVVSATSTSARRSKPELVAPSRPTPHETKLLSDLDDFRNHYEYTPLVAFFRSSGSGNDVPSPPTMTIRTAIGEALVYYYPLAGRLRELPCGKLVVDCTEEGVVFVAAEADLRLADLGEPLLLPFPCSGELLVCDNARSDSLHVAVVDKPLIFMQVTEFKCGGFAIAMQGNHCVADGFGASQFMNAIADLARGEPRPLVLPVWERHLVMARAPPSVAAAYPAFKPLIDGASSNDVMLSTPLDTMVTRHFLFGRREMAALRRLLPARLGRRCTDFQLLAATLWRCRTAALPYAPHRRVHAYLPLSMHGRRWLHIPEGYYGNALAYSIADASAGDLCGGTLGQTVEQVCEARLQVTGEYVRSTVDLMASLRGRGMVFDGVYVVSDLRRLFAELDFGCGEWVVSGMAQPMLATFLVRCRNADGEDAVAASMLLPPSVMERFAEELAGLMMSKEEYEDERVHHGVASLHVRDPRCAAGDAQPRAQQAKVPVQYGCACVEHSTLDQLVGSCLIPSPTPWLTLFRPGPPPRVRIASPAVRWEDYSEALSENISVSALWN >ORUFI10G00300.2 pep chromosome:OR_W1943:10:411776:420310:1 gene:ORUFI10G00300 transcript:ORUFI10G00300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSARRSRAELVAPSRPTPRDTKILSDLDDFPNHHEYTPVLFFFRVSGDDDQPPPPDQTKWATTVFRTALAEALVYLYPMAGRLRMLPSGKLAVDCTEEGVVLVAAEADLRLADLGEPLLPPFPCVGELVCHNMTEFKCGGFAIGLHMNHCIADGFGLTLFVKAIADLACGEPRPLALPVWERHLLMVRAPPSVAAAYPAFKPLIDGGASSGDDDVMLTTPLDTMVTRHFLFGRREMAALRRHLPAHLSRRCTDFELLAAVLWRCRTAALFYAPHRQVCLYLPSNARGRRMRRRHGVHVPEGYYSNALAYTIVHASAGELCGGTLGHTVEVVCEAKLRMTEEYVRSTVDLLVSLRQRGRALVFDGVFVVSDATRLVGELDFGRGGEWVGAGVAQPMRATFLVRCRDADGEDAVAASMLLPPPAMDKFAEDIAEALLITSRLLVARRSKPELVAPSRRTPHDTKLLSDLDDFRNHYEYTPLVAFFRTSSTGNIPSAPPPEMTIRRAIAEALVYYYPLAGRLRELPCGKLVVDCTEEGVVFVAAEADLRLADLGEPLLLPFPCSGELLVCDNVGDSQVAVVAKPLIFMQVTEFKCGGFAVAMQWNHCVADGFGASQFMNAIADLARGEPRPLVLPVWERHLVMARAPPSVAAAYPAFKPLIDGASSNDVMLSTPLDTMVTRHFLFGRREMAALRRLLPAPLGRRCTDFQLLPAALWRCRTAALPYAPHRRVRAYLPLSTRGRRWRSQGLHIPEGYYGNALAYSIANASAGDLCGGTLGQTVELVCEARLQVTGEYVRSTVDLMASLRGRGMVFDGVYVVSDLTRLFAELDFGRGDWVISGMAQPMLATFLVRCRNTDGEDAVAASMLLPLR >ORUFI10G00320.1 pep chromosome:OR_W1943:10:478136:479389:1 gene:ORUFI10G00320 transcript:ORUFI10G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLLAFEHPIIHHHQPTADTIKMALAQALVHYYPIAGRLSCNDDEDGGGDFYIDCTSELGVMFVAASADCTMEELMRVADNQPTDDETAVVQQLAFNCTPDVGDDGPPPLLWVQVTTLSCGGFVVGVTWSHGLADGVGIAQFIQAVGELARGLPSPSIVPVRQDDIVATQVVPPFTMALLQFLPGLKPLDLTFNNVTVPTSLINHIRRFRGRRTNDDGGQHSTTTITAFEAVAAVLWKCRTRAVMASPEAPAILVFVVNARKYLAGVNDGYYGNCSMMHMAMAKSGAVANGDIMDVVEIIRRAKERIPEQFGEGSDRMVRELSDGQQVDGYESLLYLTSWRNIGLEEVDFGSGKTARVMTYPQRMLFSLLEKTTPICFMLMPTKEGARVMSGCVTPDHVDAFQQQILKLNANYTAN >ORUFI10G00330.1 pep chromosome:OR_W1943:10:480381:480563:1 gene:ORUFI10G00330 transcript:ORUFI10G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYISTAKLGDGGELALEPPYHATMGGSGEPRLTKGVGVGMVMASVRAAMTLTTRSLGSN >ORUFI10G00340.1 pep chromosome:OR_W1943:10:481486:485315:1 gene:ORUFI10G00340 transcript:ORUFI10G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTTAARRRWLSGHSCFPFSFVSRFPLPEILRRLLMVSWSLWPAAAFPSSSRSRSRCLPLKLAEPAVAAAVRSQWLLSSSSQRASGGCCPLLASSMSTVAAISSLSPSHENLVLQDLFRIERLQARGNQQVEKDMDGLDPSRKADFLFISETNAIDSGGAA >ORUFI10G00350.1 pep chromosome:OR_W1943:10:488016:494817:1 gene:ORUFI10G00350 transcript:ORUFI10G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPNMKGDLWCRVWCQYKFTAPTLARGVRINCTRKRPRLAENTWMGTGVLHRYLTTSTASASRESLQTFGNSHSSLPKERVDVPPLHMSYEEPSISYSYDTSHFNAFSPQQIDCAYTGIMAEQNSDTKSIGGQFVEEFNVKIDSLTEKICRDVEEKFNSYILNEFCAKEVDEQQDDRARNLENTEEMLEGHKGTNQDIIQVNKSPCFSNTSDKKIQSANNEGRLHFATPRKSYAKDNRFDKRNRSRWSSRQKSSAWVGGSSIRKQIWVLKSRGQDMGLAAHDLQADALVGVQMA >ORUFI10G00360.1 pep chromosome:OR_W1943:10:531953:541604:1 gene:ORUFI10G00360 transcript:ORUFI10G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNCGSLAGGRRQTCSTRTHATVRGAKRGLLDLWLLDRLGPEAEGRRREESVPAQLQFDRRNGLKVAQRKRRSELEPRHRESMSIVVSKSAPVVVRPSQPPVKTTSGSKIVLSPMDKPSSMMPTTVLLAFDHPTIQSECTAETIKRGLAQALVPYYPIAGRLSCDDDGDFYIDCTGEELGVTFVAASANCTMEELMCCVDDQPPDAETAVVQQLAFNCTPDDLHHRLLWMQVTTLSCGGFVVGVTWNHGLADGFGMAQFIQAVGELTRGLPSPSVVPVRLDDDNNATQAIPPFAMAVYQFMSSSSHKASIDHTFNNITVPSSLIDHIRFRGRRTNDDVTVFEAVAAVLWQCRTRAVMKNPEAPAVLLFAVNARKYLGAKDGYYGNCSTMHVAVAKSGAVANADINDIVDIIRRAKERIPEQLKMTGGSDMTMLRELADDHRLDGYESLLYLTSWRNIGFEDVDFGSGKTARVMTYPQRVVLSMSMMVKAMPICVMLKATEQGARVMSACVTAHHVDAFHDEIAKLNATA >ORUFI10G00360.2 pep chromosome:OR_W1943:10:531953:533473:1 gene:ORUFI10G00360 transcript:ORUFI10G00360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVSKSAPVVVRPSEPATSTADKILLSTLDKPVATIPVTVLLAFDHPIHDATAETIKTALAQSLVHYYPIAGRISCDNDDGGHFYIDCTGEDLGVTFVAASANCTMEELMCLVDDQAPDDETAVVQQLAFNCTPDDLHHRLLWVQVTTLNCGGFVVGVTWSHGVADGPGIAQFIQAVGELARGLPSPSVVPVRLDDKIATQAVPPFTMAVHRFISGLKPVSNLDVRNVTVSSSLINHIIVGARRRATVFEAVAAVLWQCRTRVVMTDPEAPAVLLFAVNARKYLGAKDGYYGCCTAMHMAVSKSGTVANGDIMKLVGIIRRAKEQIPEQLKADDGEMMLRTMVGEKQVNGYESLLYLTSWRNIGFEDVDFGSGKTARVMTYPPRMLSMMPRIAPICFMLKATEEGVRVMSDCVTADHADAFYQEIAKLKATT >ORUFI10G00370.1 pep chromosome:OR_W1943:10:580907:582049:1 gene:ORUFI10G00370 transcript:ORUFI10G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASDHPAFRCRPRRNTSREVSLKHLSTTILSPAAFPATTTAAIFTSTAPARSSERRSRVIDNQPTDAETAVVQQLAFNCTPDDDHLPHCLLWVQVTTLSCGGFVVGVTWNHAVADGFGIAQFIQAVGELARGLPSAPSVTPVRLDDQNNAVSPFTMAFMQLADRHKVPDLTFNNVTVPSRLMDHIIRGRTTNVTVFEAVAAVLWQCRTRAVMTNPEAPAVLLFAVNARKYLGAKDGYYGNCSTMHVAVAKSGAVANADINDIVDIIRRAKERIPEQLKMTGGSDMTMLRELADDHRLDGYESLLYLTSWRNIGFEDVDFGSGKTARVMTYPQREVFSKKMPICFMLKNTPQGARVISGCVKAHHADAFHQEIAKLNATT >ORUFI10G00400.1 pep chromosome:OR_W1943:10:624406:627634:-1 gene:ORUFI10G00400 transcript:ORUFI10G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHEEFTEWHVGTECLGQLAFFGVQYSLVTFLTTQLRQGNAEAARNFSMWQGTCYIAPLAGAIVADSCLGRYRTILAFFSIYIIGMGTMALSGASPAVISRSTQPAVFSLGLYLMAIGAGCIKSCVGPFGADQFDGGDAMERPKKSSYFNWFYFAMYVGALVSGSAVVWLQDNFGWLLGFGVPALCTVLAMASFLLGSAMYRYHQPRGSQVVRACQVVVAAVRKRNVVLPHDGFVLYDGPAEEGRRMAHTDQFSFLDKAAVAVAVPSSAAAQPWRLCTVTQVEELKAIVRMLPVWATGIVYCMVLVQQPLFPVQGRAMRRRLGVAFAVPAASLNSVYAAAMLVLVPLYDAAVVPAARRLTGSERGLTELQRIGAGMALSVAAMAAAATVEGRRLAAAGEVSIAWQVPQYVLLGASAVLAHIGQLEFFYNQAPDSMRSLCSALGHMTCSLGSYLSSVVVTVVSHATARGGSPGWIADDIDDGHLDRFFWLVAGLSSINLVVFICCAKRYKYKDSIN >ORUFI10G00410.1 pep chromosome:OR_W1943:10:633763:640060:-1 gene:ORUFI10G00410 transcript:ORUFI10G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSITDAKLPLLPLLNGTPSLQEEGPYTGNGSVDVKGNPASKTHTGKWKACYSILGGEFCGALAYYAVGTNLVSYLTKVQGQSNVTAASNIAAWQGNCYLTTILGAFLADSYWGRHRTIVVSLTTFTFGMVLLTLSAVVPPNMHRSMATFPQEALSSLGLYMTALGLGGIWPCVPTFGADQFDDTDVSEKAQKELFYNWYYFAVNGGFFVASTVIVWVQDNCGWGLGFGIPTLFSVIGVVGFLASMRFYRYQKPGGSALTRICQVVVAAFRKVHVDVPSDSSLLYEMPGKESAIVGSRKLMHTDGLRFFDRAATITASDEASASRPWKLCTVTQVEELKIFARMLPIFLTGVIFNTAEACFPLFVEQGGAMDNHVAAAFALPPASLTTFTCVCILVLAPTYDRVLMPAVSRLTGVKRGLSELHRIGVGMVFAVLALAAAAAVETARLRSVEADAPAVSILWQAPQYVLVGVAKVFGVVGYIEFAYEQSPDAMRSLCQACSLIMVTPGSYLLSAMLTIISSVTGGGGGHGGWIPENLNEGHLDRFFWLMAALQLINLIAFVCCAATYKRKLPTT >ORUFI10G00420.1 pep chromosome:OR_W1943:10:703897:707591:-1 gene:ORUFI10G00420 transcript:ORUFI10G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNLREQKAYHMEGADEERPLIHHLPPQEQCSQYTCDGTVDIDRRPALKHSTGNWRACFFILGAEFTQCLCFSAVVKNLVRYLTSVLQESNVNAARSVSTWIGTCFFTPLIGAFLADTFWGRYRTIVICLSVYSIGMLILTTSASLPFLLHDSYNNGDDIRRVVAYLGLYLIALGAGGIKPCMSALGADQFDGADPVERVTKGSFFNYYYFSNNMGTLLSTTVLVWVQDNIGWGIGFATPMLLMGFGLSMFVAGRRVYRYRKLGRSPLTRVSQVVVAAARNHRLKLPDDSSLLHELPSLTEGGYRIQHTTRFRFLDKAAIPSDSDDNSPVQPDPWRLCTVSQVEELKMLLRVFPVWASLLVFFVVTAQMSSTLIEQSAAMDGRIGPFTVPPASLATFNVVAVLIWVPVYDAVLVPLARRATGNDRGLSHLQRIGVGLALSAVAMAYSAQVERRRRRPAAEEEAMSIMWQAPCYLVLGMAEVFTSIGMLEFFYERSPGSMKSLGTSLAHLAVATANYLNSGVLGVVVAATTRGGGAGWIPDNLDEGHLDYFFWMMALVSVLNLLQFLHCSIRDRGQ >ORUFI10G00430.1 pep chromosome:OR_W1943:10:713059:728718:-1 gene:ORUFI10G00430 transcript:ORUFI10G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYNEEGAGSEGDGSVDIHNQPALERNTANWRACFMILGDVLSECLAFYGISKNLVTCYLEPTPTGGQRRGWLRAAGEEPRLEGDVEYEVNKMVLRFISPSCKTPPAKEHRALHPLDLFRKSLLSGQHHRPRGDQGRGGGGAARRDDRRHDDDEEEANGGIIRSAAELYEAGIRFRRPHHPVGGGALRGERRERRGRKMGIGAYMEAGAADEETPLIQQLPPEEQCSQYTCDGTVNSDKKPALKQSTGHWRACFFILGAQFAETLCFFMVSKNLVTYLTSALHESNIDAAQSVSIWIGTSFFTPLIGAFLADTYWGRYWTTVISLFIIIIGMLIVTVSSSPLFLNSSYYNWNICRATVYTGLYLTAVGSGCMKPCIPAFGADQFDSADPVERLAKGSFFNWYYFSMNVGSLLSTTLLVWVVANIGWSVGFAIPMLLSGFGIALFFAGRKVYRYKKQGGSPLTRVSQVVVAAVRNHRLKLPDDSSLLHEVSKVTEDDYRTQLTTQFRFFDKAAILSDEISPAQWSPWRLCTVSQVEELKMLLRMFPVWVSMVVFFVVTAQITSTLIEQGMAMDGRVGPFTLPAASIATFDVISVLVWVPVYDTVLVPLARRVTGKDRGISHLQRIGVGLALAAVAMAYSAVVEARRLGTAPAPVSIMWQAPSYLVLGVAEAFSVIGMMEFFYEQSPESMKSLCTALGQLAIAVANYLNSGVLVVVAAATTRGGGAGWIPDNLDEGHLDYFFWMMAVVSVLNLLHFLHCSIRYRANNNTLSS >ORUFI10G00440.1 pep chromosome:OR_W1943:10:728947:731816:-1 gene:ORUFI10G00440 transcript:ORUFI10G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAADEERAPLLQPQPQPSRGDADSEYTGDGSVDVNNQPALKRSTGNWRACFMILGVEFSENLAYYGISKNLVTYLTKILHESKVNAARNSSAWSGACYLTPLFGAFLADTYWGKYRTVLTFLPIYILGLLTLMASTSLPSSMTSSDAGHQLHSVAVYLGLYLVAFGNGGVKPCTSAFGADQFDGGDAAELRRKGSFFNWYTFMINSGSLLASTVLVWLQDNVGWGISFVIVVVVMAFFLAVFFAGSRVYRYRPVRGSPLTGVCQVVVAAVRKWHLELPDDSSLLYEAQVADHRIKHTDQFRFLDKAAIVVTPSSLSGEKGNVAAAAAPVSRWRQCTVTQVEEVKMLVRMCPIWACLVLFFSVSSQMSSTLVEQGTAMDNRVGPFTIPPASLATFHSIGVLLWIPVYDVALVPLARRATGKPKGITQLQRIGVGLAVAALIMAYSALVEERRLAAARAGAARTSILWQVPAQLMHGMAVVFTSIGKSEFFYDQAPRSMRSMCTALGQLAIAAGNYLSAFLLAVVASATTRGGDPGWIPDDLNKGHLDYFFWLMAALLLLDLLLFVFCAMRYKGSTAAS >ORUFI10G00450.1 pep chromosome:OR_W1943:10:738179:742679:1 gene:ORUFI10G00450 transcript:ORUFI10G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWLLAGLLLLSSAAEIIVFGRSAGCQARCGDVDIPYPFGIGGGCFRSEGFEIACNTSNGDDGDLVPTLAAANDTIRVQRLLVDPRPEVKVMLPVAYQCYNSAGNVTRDFYGDVELNKNGVYRISDERNVFVVLGCNTVAWTQNGDSGGRGIYSSLYYTGCVTYCSDSGSAMDGKCAGIGCCHVDIPPELTDNVVTFQQWPRDLRLDIAVESAEGLRYMHSSISHTIRHGDIKPANILLTDKFIAKISDFGTSKLLTADKEFTMVVAGSMGYIDPVFYMTGHLTQKSDVYSFGVVLLELISRKPTIYDKNYSLIIEFQKAYDRANSGRALFDKDIAIEEDVLILEEIGRLAMDCLNEKIEEQPDMKEVAARLMMLRRSRNDRQKNYNASPQQYFEEISIEENCKNFGADIRTNSSRTLLLHSV >ORUFI10G00460.1 pep chromosome:OR_W1943:10:745763:746748:1 gene:ORUFI10G00460 transcript:ORUFI10G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIQEMHQENTFATLSHRSQPRSYSRYCRCLHPSSSAAGFWGPLGGAVFSLSVCHVKSELLGVVR >ORUFI10G00470.1 pep chromosome:OR_W1943:10:756475:756832:-1 gene:ORUFI10G00470 transcript:ORUFI10G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFVIARNLVTFLTTVLHESMVDAAKNVSACIGACFHTPVVGAFAFLADAYWGRYRTIVVYLPVYITVRHSECSDVSFS >ORUFI10G00480.1 pep chromosome:OR_W1943:10:763758:768127:1 gene:ORUFI10G00480 transcript:ORUFI10G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWLLVVSAADNVVAGRPAHCPSRCGDVDIPYPFGIGAGCFRSGGFEIVCNTSNGKGDLVPTLGGARQAIQVRKLTVFPQPELKVMLPVAYQCYNSLGNITRVFSGDVELNKNGVYRISDQRNTLVVLGCNTVAWTQNGDSEGKGYYRNLYYAGCVTYCRDSLSAKDDECASVGCCHVDIPPELTDNGVYFEQNWPRGDQVDFSPCDYAFLVAKDKYRFRRSDLKMKLNQRMPGSKPNLEGLRYMHSSVSNTIRHGDVKPANILLTDKFIAKISDFGTSKLLTVDKEFTVVVTGSMGYIDPVFYLTGHLTQKSDVYSFGVVLLELISRRPTIYGKDCSLIIEFQEAYDQVNSGRALFDKEIIVEEDVLILEEIGRLAMECLKDKIEERPDMKEIVARLVMLRRSRKLTQENYNISPEQYFEENSIDELPKCCGDDSTTSSAASAYNTIQSSRKELSNP >ORUFI10G00490.1 pep chromosome:OR_W1943:10:786714:787920:1 gene:ORUFI10G00490 transcript:ORUFI10G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQIIRNPPRCSTARRDHCDSAKHERTKTRTLSTAMMVRDAGGIANEPTWLSMAIPCSMNVEDICPLTAKKITKDAQVGNIRSRIFSSSTCVTVQSDHELMGLLHMALPNSCNNHLTEALEGAKSQVPKPVNS >ORUFI10G00490.2 pep chromosome:OR_W1943:10:785993:787920:1 gene:ORUFI10G00490 transcript:ORUFI10G00490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQIIRNPPRCSTARRDHCDSAKHERVEYAGVCHRILIGAATLWSAKPLDCTIASRFISSNAAYTIMIMDSERPIPMRCACEKPLSCPVKCFTSGTDMISYTGTKTRTLSTAMMVRDAGGIANEPTWLSMAIPCSMNVEDICPLTAKKITKDAQVGNIRSRIFSSSTCVTVQSDHELMGLLHMALPNSCNNHLTEALEGAKSQVPKPVNS >ORUFI10G00500.1 pep chromosome:OR_W1943:10:786613:789780:-1 gene:ORUFI10G00500 transcript:ORUFI10G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLCPQSLKCVTRKRAIVYDNKLVVFKLSAHSLCLNLLSFSPFCAPSLSPAAMDATDEERPLLPLQSQDVGSEYTRDGSVDINKEPALKHSTGNWRACFLILGVEFCENMTYFVISRNLVTFLTTVLHESKVDAARNVSAWVGACFLTPVVGAFLADTYWGRYWTIVVFLPVYITGMLIVTVSASLPMFSTSSEHGNVHRSVVYLGLYLAALGSGAMKPCTSSFGADQFDSTDLEELPKKASFFSWSFYMTTVSTLLSSTVLVWLQDNVGWGVGCAIPTVFMIISFPVFIAGSRVYRFRNLGFSPLKSLCQVIVAAVRKCHLQLPENKSLLYEPSNSSSTTEASHKIQPTNQFRFLDKAAIVLPPSDETCNKPMSSWSLCTVTQVEELKILLRMFPTWASFVIFFAVNGQMSSTFIEQGMAMDNHVGSFAIPPASLTIIAVLSVLVLVPVYEIISVPLVKHFTGQDKGFSHAQRIGIGLSLSMIMMVYAALLEMKRLAIVQSSGLADHNVAAPMSILWQTPAYFLQGVSEIFSCIGAYFNTFVLGAVAVITTSSGAPGWIPDNLNEGHLDYFFWMMATLSLLNLAMFVYSSTRHRENTAS >ORUFI10G00500.2 pep chromosome:OR_W1943:10:786613:789780:-1 gene:ORUFI10G00500 transcript:ORUFI10G00500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLCPQSLKCVTRKRAIVYDNKLVVFKLSAHSLCLNLLSFSPFCAPSLSPAAMDATDEERPLLPLQSQDVGSEYTRDGSVDINKEPALKHSTGNWRACFLILGVEFCENMTYFVISRNLVTFLTTVLHESKVDAARNVSAWVGACFLTPVVGAFLADTYWGRYWTIVVFLPVYITGMLIVTVSASLPMFSTSSEHGNVHRSVVYLGLYLAALGSGAMKPCTSSFGADQFDSTDLEELPKKASFFSWSFYMTTVSTLLSSTVLVWLQDNVGWGVGCAIPTVFMIISFPVFIAGSRVYRFRNLGFSPLKSLCQVIVAAVRKCHLQLPENKSLLYEPSNSSSTTEASHKIQPTNQFRFLDKAAIVLPPSDETCNKPMSSWSLCTVTQVEELKILLRMFPTWASFVIFFAVNGQMSSTFIEQGMAMDNHVGSFAIPPASLTIIAVLSVLVLVPVYEIISVPLVKHFTGQDKGFSHAQRIGIGLSLSMIMMVYAALLEMKRLAIVQSSGLADHNVAAPMSILWQTPAYFLQGVSEIFSCIGMSQFFYDQAPDSMKSVCAALGQLAIASGAYFNTFVLGAVAVITTSSGAPGWIPDNLNEGHLDYFFWMMATLSLLNLAMFVYSSTRHRENTAS >ORUFI10G00500.3 pep chromosome:OR_W1943:10:786613:789780:-1 gene:ORUFI10G00500 transcript:ORUFI10G00500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLCPQSLKCVTRKRAIVYDNKLVVFKLSAHSLCLNLLSFSPFCAPSLSPAAMDATDEERPLLPLQSQDVGSEYTRDGSVDINKEPALKHSTGNWRACFLILGVEFCENMTYFVISRNLVTFLTTVLHESKVDAARNVSAWVGACFLTPVVGAFLADTYWGRYWTIVVFLPVYITVSTNAAHKYGLHYQLPSADSEYGMLIVTVSASLPMFSTSSEHGNVHRSVVYLGLYLAALGSGAMKPCTSSFGADQFDSTDLEELPKKASFFSWSFYMTTVSTLLSSTVLVWLQDNVGWGVGCAIPTVFMIISFPVFIAGSRVYRFRNLGFSPLKSLCQVIVAAVRKCHLQLPENKSLLYEPSNSSSTTEASHKIQPTNQFRFLDKAAIVLPPSDETCNKPMSSWSLCTVTQVEELKILLRMFPTWASFVIFFAVNGQMSSTFIEQGMAMDNHVGSFAIPPASLTIIAVLSVLVLVPVYEIISVPLVKHFTGQDKGFSHAQRIGIGLSLSMIMMVYAALLEMKRLAIVQSSGLADHNVAAPMSILWQTPAYFLQGVSEIFSCIGMSQFFYDQAPDSMKSVCAALGQLAIASGAYFNTFVLGAVAVITTSSGAPGWIPDNLNEGHLDYFFWMMATLSLLNLAMFVYSSTRHRENTAS >ORUFI10G00510.1 pep chromosome:OR_W1943:10:815355:816708:-1 gene:ORUFI10G00510 transcript:ORUFI10G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPDPSKSGESSAAAEVPPLAPAPEPVTGIPVGMFYPAPPMERVVSCRMAPAAGGAWTTALCDCSDDCNTCCMACWCPCIPVGQIAEIVDRGSSSCALNAVLYCLVFHVSAGMCQWVYSCAYRARLRAAYDLPETPCSDCLVTFCCQTCSIAQMHRELKNRGLDPNLGWEVNSRRTMTMTPPQHQAMEGMTTRS >ORUFI10G00520.1 pep chromosome:OR_W1943:10:824125:826189:1 gene:ORUFI10G00520 transcript:ORUFI10G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAAEDEEKRPLLLHLRRPNAGGKDDDSGCGGWRACLLILGTELSDCLAFAGIARNLVSYLTGVVGESNVVAARDVSAWTGTCFLTPLVGAFIADSYLGRRTTILLFLSIYTMGMITLTVSASFATSHLDTSSDGVLRATVFLGLYLVALGVGGIKPCASPLGADQFDDDAAPAARASFFNWYYFCINVGSLLAATVLVWVQERAGWWLGFGIPAAVMAVALAAFLFCSSLCGLRASHTPPGSPLTRLCQVVVAAVRNRGVELPGDSSLLHQLPDGDHRIIEHTDQFAFLDKAAVVASPPAVAMASPWMLCTVTQVEEVKMLLRLSTVWPTVVFFFAATAQMSSTFVEQGQAMDTRVGPLDVPPATLSTFEVVSILLCVPAYDAVLMPLARRVTGDRRGLSQLQRLGVGLALSALAMAYSALLEASRRRRRAATSIVWQAPSYMALGAAEVFTSVGLLEFFYDQAPGTMKSLCTAVSLVAVAAGSYLNSAIVAVVAWATAPEKGDGGGWIPDDLNRGRLDCFFWLMFGLSCVNLLAFVYSSTRYSYKVAN >ORUFI10G00530.1 pep chromosome:OR_W1943:10:827510:830849:-1 gene:ORUFI10G00530 transcript:ORUFI10G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKLLLPLLGLVMLVGCAAADSHRYRPGDAVPLYANKVGPFHNPSETYRYFDLPFCAPEKVKDKIEALGEVLNGDRLVDAPYKLDFRVDFDAKSVCSRRLSKDDVVKFRHAVFKDYYFQMYYDDLPFWGFIGTKPEKADAGDKYYLYRHIIFDILYNKDRVIEINVHTDQNAVVDLTEDKELDVEFLYTAKWKETQIPFEKRMEKYSSSSVMPHHLEVHWFSIVNSCVTVLLLTGFLATILMRVLKNDFVKYSHDEEEPDDQEETGWKYIHGDVFRFPTNKSLFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYSATSFYCQLEGKNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYNSTAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKNEFQDPCRTTKFPREVPPLAWYRRTIPQMAMAGFLPFSAIYIELYYIFASIWGHRIYTIYSILFIVFIILLIVTAFITIALTYFQLTAEDHEWWWRSFLCGGSTGFFVFAYCLYYYRERSDMSGFMQTSFFFGYMACICYAFFLMLGMVGFRAALLFVRHIYKSIKCE >ORUFI10G00530.2 pep chromosome:OR_W1943:10:827510:829307:-1 gene:ORUFI10G00530 transcript:ORUFI10G00530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYSSSSVMPHHLEVHWFSIVNSCVTVLLLTGFLATILMRVLKNDFVKYSHDEEEPDDQEETGWKYIHGDVFRFPTNKSLFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYSATSFYCQLEGKNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYNSTAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKNEFQDPCRTTKFPREVPPLAWYRRTIPQMAMAGFLPFSAIYIELYYIFASIWGHRIYTIYSILFIVFIILLIVTAFITIALTYFQLTAEDHEWWWRSFLCGGSTGFFVFAYCLYYYRERSDMSGFMQTSFFFGYMACICYAFFLMLGMVGFRAALLFVRHIYKSIKCE >ORUFI10G00530.3 pep chromosome:OR_W1943:10:829315:830849:-1 gene:ORUFI10G00530 transcript:ORUFI10G00530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKLLLPLLGLVMLVGCAAADSHRYRPGDAVPLYANKVGPFHNPSETYRYFDLPFCAPEKVKDKIEALGEVLNGDRLVDAPYKLDFRVDFDAKSVCSRRLSKDDVVKFRHAVFKDYYFQMYYDDLPFWGFIGTKPEKADAGDNQVEGDTDTV >ORUFI10G00540.1 pep chromosome:OR_W1943:10:835591:840265:-1 gene:ORUFI10G00540 transcript:ORUFI10G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTEICGIIFIAQENKIMTGNITQCYDKLKFKVDINQNIKVFTEDEIKRITSNFSIPIGQGGFGEVYKGTLDDDYDLVAVKRYISKDLRKEFMEEVSIHSQMSHRNVVELIGYCIGESTLMIVTKYISKGNLDDILHNSDISIPLDVRLGIAIGCADALSYMHSMHLSNGSLICHGDIKPANILLDSNLTSKLSDFGVSRLLSGGVTQYTVHIKGSVSYMDPIYFHEGCLTPRSDVYSFGMVLLELIARKRVRKGDINLIGGGEIFDAEIANRSNMKILKEMRKLAIECLTLDIHKRPQMNVVAKRLRTLKKELKDLHGRYSEHILASHRSWRKNDNQGPSYNSRMQLKKSLSIFKRNLSNSSKILLGLGNMRIFTQEELNEITQNYSCLLSGGTSGKVYKGTLEDNTVVAVRIFSEELEGFEEAFINGGMILSQIVHKNIIRLLGYCLNADCPAFVYEYAARGTLSDILDGRDDFPLHLRVKIAVETAEALEYLHSSAAGMIRHGYVAPSKTLVDDSFTPKLTGFSWAQRLNNDDSAIHDHDKYCVSLKLKTDVYQFGVLVLTLISRKNFVFYADHEHLVSQFLAAYKADNSGRAFCDDDITTRTEDVALLEEIGRLLLKCICLEIDQRPTMKQVAQHLRIIRRCWKNNCTADGASLDPFGGPPSGRHQKSSSCVAEGPYLTARTLHLPLGWAEGYGAFGGSTPVEWSQPVEGEGRILQPTPNSCLSRAQALMDGKAYQRVTIFLSKR >ORUFI10G00550.1 pep chromosome:OR_W1943:10:848965:852044:1 gene:ORUFI10G00550 transcript:ORUFI10G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPEVPASELIQTMPRVGMGTAAFPFTSSEDTTAAMLRAIELGYRHFDTARIYATEGCVGEAVAEAVRRGLIASRADVFVTSKLWCSDLHAGRVVPAARETLRNLGMDYVDLLLVHWPVSLTPGNYDFPFPKEVILPSFDMEGVWRGMEECHRLGLARAIGVSNFSAKKLEQLLSLAAVRPAVNQVEVNPMWQQRTLREVCRREGVQLCGYSPLGAKGTPWGSAAVMDSGVLQEIAGAKGKTLAQICLRWLYEQGDVLLVKTYNEKRMKENLDIFNWELTDEERERISQLPQLRGLPGLEFISDHGPYKSVEDLWDGDV >ORUFI10G00560.1 pep chromosome:OR_W1943:10:856734:858425:1 gene:ORUFI10G00560 transcript:ORUFI10G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATIPEVPASALLPTMPRIGMGTAAFPFTSSEETTAALLRAIELGYRHFDTARLYATEGCVSEAVAEAVRRGLVASRADVFVTSKLWCSDLHAGRVVPAARETLRNLGMDYVDLLLVHWPATVVPGSYDFPFPKEEMAPAFDMEGVWRGMEECHRLGLARAIGVSNFSAKKLEQLLSFAVVRPAANQVEMNPMWQQRTLREVCRREGVQLCGYSPLGAKGTPWGSAAVMDSGVLHDIAQTKGKTLAQICLRWMYEQGDVLLVKTYNENRMKENLDIFDWELTEEERDKISKLPQQRGLTGMQFVCDNGPYKCVEDLWDGA >ORUFI10G00570.1 pep chromosome:OR_W1943:10:865173:865391:-1 gene:ORUFI10G00570 transcript:ORUFI10G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVAPACTYGDDAVAEEMLKNLYHLLLWPLCNTIARCHAEQGHIRVAAAVLSLATSLPPPLPWLCSFANP >ORUFI10G00580.1 pep chromosome:OR_W1943:10:867737:870644:1 gene:ORUFI10G00580 transcript:ORUFI10G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVCRNLQVEYIDLYLVHFPVSLGVPEGPPSAVFAKEDLAVMDMERVCLRWVYECMSKVTA >ORUFI10G00590.1 pep chromosome:OR_W1943:10:875750:881185:1 gene:ORUFI10G00590 transcript:ORUFI10G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRGMMPEAALSSGKPMPRVGMGTASFPFGATDPSTVKDVVLRAIEAGYRHFDTAAVYQTEAILGDAVAEAVRAGLVASRDELYITSKLWVAHAHPGHVLPSLRRALRKMQMEYLDLYLIHFPVSMRLAEDPESVTYSKDDLVMMDMEGVWKEMEECQRLGLTKAIGVSNFSCKKLETLLSFATISPAANQLKVRLFQIVEVEVHPYCRQNKLREFCKEKGIQLCAYSPLGGKGTPWGNNAVMDCPLLKQIAMERGKTIAQVCLRWVYEQGDCVIVKSFNKSRLRENLGLFDWELTNDDRHKISTLPEWRGTLDIFVHKTGPYKTVDEFWDGEITGDK >ORUFI10G00600.1 pep chromosome:OR_W1943:10:881757:882013:-1 gene:ORUFI10G00600 transcript:ORUFI10G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALELTGEVVQDPVRLFWDAVETGDPLHGGGGEVGGLAAAVWPWTWKDVFEKELSRRIQKRFCK >ORUFI10G00610.1 pep chromosome:OR_W1943:10:882832:884900:-1 gene:ORUFI10G00610 transcript:ORUFI10G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAEGGALGCGRGDATRTSLGRTTRHRARSIAGQNGQRRHRDTVATVPVPSRHRACRLRQRPWPCPRRLLDSFHSSWVRFRVAYITPLLQLLVDACVVLLLVQSADRLVQCLGCLYIHLNRTGEASAAGTASSGTCSGGHLPPASNVELAPPPTPAAQLLSTSSSSTGADLASPAAVAAAPSSCRSLPRHRWSPELASTTAARRPSYASASGHLSERPPPKTTTTTPKSGRPTI >ORUFI10G00620.1 pep chromosome:OR_W1943:10:887868:891730:1 gene:ORUFI10G00620 transcript:ORUFI10G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVGASAAAAATIPEAALRSGKPMPLVGMGTASFPLDAPQLPATVRDAVLRAIDAGYRHFDTAAAYGTEAPLGEAVLEAVRAGMVASRDDLYITSKLWISDTHPGRVLPALRRTLRNLQMVYIDLYLIHWPIRLRVEQETPSPVYDNDFVMMDMEGVWKDMEECQRLGLTKAIGVSNFTCKKLNTLLSFATIPPAANQVEINPYCRQNKLREFCKEKEIQLCAYSPLGASGTIWGSNAVLDCPVLKHIAVQKGKTVAQVCLRWLHEQGDCIIVKSFNERRMRENLEIFDWELTDADRQEISALPEFRGNRDFYVHESGPYKTTDEFWDGEITGPQLKTC >ORUFI10G00630.1 pep chromosome:OR_W1943:10:890650:893982:-1 gene:ORUFI10G00630 transcript:ORUFI10G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILIPLLLSSIYVSSSSAAAETDAAALLRFKAFVHKDPRGVLSSWVDPGPCRWRGVTCNGDGRVTELDLAAGGLAGRAELAALSGLDTLCRLNLSGNGELHVDAGDLVKLPRALLQLDLSDGGLAGRLPDGFLACYPNLTDVSLARNNLTGELPGMLLASNIRSFDVSGNNMSGDISGVSLPATLAVLDLSGNRFTGAIPPSLSGCAGLTTLNLSYNGLAGAIPEGIGAIAGLEVLDVSWNHLTGAIPPGLGRNACASLRVLRVSSNNISGSIPESLSSCHALRLLDVANNNVSGGIPAAVLGNLTAVESLLLSNNFISGSLPDTIAHCKNLRVADLSSNKISGALPAELCSPGAALEELRLPDNLVAGTIPPGLSNCSRLRVIDFSINYLRGPIPPELGRLRALEKLVMWFNGLDGRIPADLGQCRNLRTLILNNNFIGGDIPVELFNCTGLEWVSLTSNQITGTIRPEFGRLSRLAVLQLANNSLAGEIPRELGNCSSLMWLDLNSNRLTGEIPRRLGRQLGSTPLSGILSGNTLAFVRNVGNSCKGVGGLLEFAGIRPERLLQVPTLKSCDFTRLYSGAAVSGWTRYQTLEYLDLSYNSLDGEIPEELGDMVVLQVLDLARNNLTGEIPASLGRLRNLGVFDVSRNRLQGGIPDSFSNLSFLVQIDISDNNLSGEIPQRGQLSTLPASQYAGNPGLCGMPLEPCGDRLPTATMSGLAAAASTDPPPRRAVATWANGVILAVLVSAGLACAAAIWAVAARARRREVRSAMMLSSLQDGTRTATTWKLGKAEKEALSINVATFQRQLRKLTFTQLIEATNGFSTASLIGSGGFGEVFKATLKDGSCVAIKKLIHLSYQGDREFMAEMETLGKIKHKNLVPLLGYCKIGEERLLVYEFMSHGSLEDTLHGDGGRSASPAMSWEQRKKVARGAARGLCFLHYNCIPHIIHRDMKSSNVLLDGDMEARVADFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTVKGDVYSFGVVLLELLTGRRPTDKDDFGDTNLVGWVKMKVGDGAGKEVLDPELVVEGADADEMARFMDMALQCVDDFPSKRPNMLQVVAMLRELDAPPPATAI >ORUFI10G00640.1 pep chromosome:OR_W1943:10:903532:904968:-1 gene:ORUFI10G00640 transcript:ORUFI10G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDASMSIRWGGFFESPARNLGLQLMSSVPAERDTKQLLSGSPFLHHQHQQHVPHHHHQPHHPRDCGANGNANGGAMPPPPATEAPPSMPMNFARSDMWMHPQQQQQHHHPREHKALHNLTVGHGSSHIAHHDPVGYGMIPGTHTLQMMQQQTEPQLQPPPPPQQPKEECISSPLIEENVPVIDEPPPPKKRQQGRQPKVPRAKKPKKSAAPREDGAPPNAPAPRRRGPRKNIGMVINGIDLDLSRIPTPICSCTGAPQQCYRWGAGGWQSACCTTTISTYPLPMSTKRRGARIAGRKMSHGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTIR >ORUFI10G00650.1 pep chromosome:OR_W1943:10:960151:961204:-1 gene:ORUFI10G00650 transcript:ORUFI10G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDASMSSMGLRGWGAFYEPPARNLGLQLMSSVPADRDTKHLLSATPFLHHHQHQQYVPHHHHQPHHPRDCGTNANANGNGNGVGYGMMPATHTLRMLQHQPEPQPQLQHPPSPPHPKEECISPPLMEENVPVKPPPPKKRQQGRQPKVLRPKKPKKSAAPCEDGAPPSAPAPRRRGPRKNIGMVINGIDLDLSRIPTRICSCTGAPQQRYRWGAGGWQSACCTTTVSTYPLPMSMKPRGARIAGRKMSHGAFKKVLEKLASEGYNLNNPIDLKTFWAKHGTNKFVTIR >ORUFI10G00660.1 pep chromosome:OR_W1943:10:962276:965303:1 gene:ORUFI10G00660 transcript:ORUFI10G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRKENGREIGGGVDEVAPTSGRRRVEVSGQKNEAVYHLFGGEGSLTKNECPGKCSYRCSATSHTTVCMTYCNYCCERCLCVPSGTYGNKEECPCYNNMKTQEGKPKCP >ORUFI10G00670.1 pep chromosome:OR_W1943:10:967896:972636:-1 gene:ORUFI10G00670 transcript:ORUFI10G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYGSGMMRGNPDGGGMQSRTKGQRVNVQQLKLMGQGHPTGLTPNLLKLFEPRPPLDFKPPVEKRKLPAYTGMAQFVSQFAEPGDPEYAPPVPTCETKAEKKDRIRKSKLDQGAAKVAEELQKYDPQSDPNATGDPYKTLFVARLNYETSEHRVKREFEAYGPIKRVRLVTDKETNKPRGYAFIEYMHTRDMKNAYKQADGRKVDNRRVLVDVERGRTVPNWRPRRLGGGLGSSRIGGENAEQKLSTRDQQHAGRPRSEEPRRDERRADRDREKSRERPRERDRDERTRERSHDRTRERDSKEDRHHHRDRDRTRDRERGRDRERDHGRDRDRERDRRDRDRDRDRGRDYERDRDRGHDRHRERGRDRERDYERASHERDRGHMHERDAEFANGEPKHDQEYGYNYEQHKSHDAYETERSKRHEHEYYQMQPNNTEPEGPEEGEAYDEGDYQYHQAADEHNN >ORUFI10G00680.1 pep chromosome:OR_W1943:10:976652:977142:-1 gene:ORUFI10G00680 transcript:ORUFI10G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVNKNISLELNKKEAMSGTVTARPLPVGSSARGKVDKQCALFYGVTISEEQARSGIVIRVTSAAQSKFKLLFFEQEIDGGY >ORUFI10G00690.1 pep chromosome:OR_W1943:10:993985:995248:-1 gene:ORUFI10G00690 transcript:ORUFI10G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFINWWEITKTELRMLQDKGNMWHCMRSAISLFRDVHVTLSVKTVDEKGPGVFRLAPQGLG >ORUFI10G00700.1 pep chromosome:OR_W1943:10:995395:998184:-1 gene:ORUFI10G00700 transcript:ORUFI10G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRVAAASTSHASHCADLLTRLLRRGRLREARAVASRLALADAPDPAVSDALVACHSRLGDISSALSHFHRLVQSGAAPSPASTAALLRAMCSASMSTEAMDVLVLSMGNPSPLPVSDFALLIPGLCSEGAVDKARFLFDAMLRSGLTPPVRVYRSLAFAYCKARRSLDASDMCQLMLIKGMYLDRELSTALIRVFCREGRLEPALDVFRRMKGDEHVQLDAYAYTTMIWGLFEHGRVDHGLQMYHEMIDRGIQPDAATYNVMIRWYCKSKWVGAAMDIYKVMIRTGVAPDLRCYTILMASLCKDGKLGEAENLFDKMLESGLFPDHVMFISIARFFPKGWVVLFVRKALKAVAKLDCGAKLLELSSLAGGCSNMSLQKEADHLLDEIVTSNVLPVNIVLNLMIIAMCSEGRLDVSYYLLGKLVAYGCEPSVLTYNIVIKCLCEQNRMDDARALITIMQSRGVRPDMSTNSIMVTAYCKIGEIESALHLFGEMAKDGIEPSIAVYDSIIVCLCRMRRLKEAEATLRQMIREGLAPDEIIYTSLINGYSLTRQTRNVCRIFDEMLKRGLQPGPHAYGSLINGLVKGNKIRKALGYLKRMLEEGIAPQTVIYTMLINQFFRKGDVRLGLDLVVLMMKTNVAPDLITYGAIVTGICRNIARRGMRPSLAKKLKEARYMLFRMLPQIIDTRNGKQKDNQICTEEMIQVAQGIIQDLEENGMVPDLHIYNGMINGLCRANKMDDAYSLLSVMDQTGILPNHVTYTILMNNQIRLGDINHAIQLFNSLNSDGCVFDKITYNTFIKGLSLAGRMKEALSFLLMMHKRGFVPSKASYDKLMELLLAENAIDIVLQLFEDMLFQGYTPRYANYTSLLLVLAKDGRWSEADRIFTMMLKKRKYLDKKTKKCLEELCYKQGELDLAFEMEGSVPLYAVG >ORUFI10G00710.1 pep chromosome:OR_W1943:10:1002086:1004649:-1 gene:ORUFI10G00710 transcript:ORUFI10G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSAGGGSSLRRVVKPQQRYMPTPQEALLSKIQALYRDTCARLAVDHSAAAGICCVGLLDPGSNIVANTVLSVSDEVAAVVDDADLARRSLDGLVAFLLYFFPYLADWDAVRYLLLADADLLVAARLVVASRGMTAFSITSAASAKAFQPALRLAAQVAGHPQPERLVRVWMSLSSRLHQAVTVLSAEPTNLQGLAADEETPPAPDLVQSWSLAASRQAYHNITAAPCHHTSSLMRVLLHAFRGFYLRALARLPAGELRNRYRQVIVKAGHCYGPMDPVSNIILNTVWYDAAFPAAAPPPVLDMIGPHILTRIESRSMYGIISFLQSRYHHLSEHEIVQCLVACRGDLPLAADEAMVIKAGQQSPCAGLQEAYEAAATAAWHPNPTAQAAFLTSCKAKLQESPAAMLLLQQGGDRVLSPEDVRYLAGVLLAEQKPSPQPIRKKSTWPVSDGKMRSMATQRRISRNVKATLNQHFLRDRKPTYSLLVICGANDSVCGPEYYCSKQEDYLSFAPCEYRYTHVNFLATEKTDCSPSSPVLFFAEFDNKKAEGEPAIMCCKVDMPLPFAEHVRCLYCEVEGAKIVHPALEKFHGGDKEFEEVIRGKHSLTNSRIICLNEYAVQRLYAHDEDFMYVDVA >ORUFI10G00720.1 pep chromosome:OR_W1943:10:1020325:1024039:-1 gene:ORUFI10G00720 transcript:ORUFI10G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGLRRVVRPQTQYKALTSEQEEERSRLLSRMGAFYVDACGRLGVRGSVVTLARLFDAGLCFGLLDPVSNIVVNTLATTDIRPDDGTNTKAAAPLPLPSLQDKLPELGRRALDGLSAFLLRFFPYLAAWEAVRYLLRADADLLVAARLVVADRGAGEEQRQHPRYHQGRSSCYLSSTNLPGLCSAIRKVEQQTPCTSTQEAYEAAAVAAWHPNPEAQALFLSSVQTVLEESVLSMLQGRDSLTSEDVCYIADLLSPNHIPVPEEIKSDFYPVIAGKMRFEAKHERICRKVKFALDTCLDPMYELHIICGLNEFVCGPEHCKDKDDALSFSPCKYCYTHVNFLVTRKNFPSAGKCPILFFAEFGNKDDDVPLCAAMWMRRLHLLAQGARIVHPSLEKFCGGEEFGEVIQGERYFTNDRLICKSEYFVQSLGGNEEDFIQQHLSQFSSNCHRQVMHRNMIRWPSGTGSSGNRAAVGCGMYGDPAGTSL >ORUFI10G00720.2 pep chromosome:OR_W1943:10:1020325:1024039:-1 gene:ORUFI10G00720 transcript:ORUFI10G00720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGLRRVVRPQTQYKALTSEQEEERSRLLSRMGAFYVDACGRLGVRGSVVTLARLFDAGLCFGLLDPVSNIVVNTLATTDIRPDDGTNTKAAAPLPLPSLQDKLPELGRRALDGLSAFLLRFFPYLAAWEAVRYLLRADADLLVAARLVVADRGMTNFSIASPTSVLAFEGALGLAARLAGHPDPHRLLCIWVSLSTRLPEAVNMISQVQGYRPHDTITYRLRDWLLQKPRPSPSPPAALDLMQSWDLAAAPHGGNSITTDDMFSYKHLRALRMMLLNTVHVFYLRALARLPRNELRRRYHRSLHMAGSCYGPFDPVSNIILNTIWYHVNFPAAELPMLDMVGPLSLNRIESRSFFGLVSFLQTRYTSLSEHETLQCLTASNADLSRADPKLNVAGAGEEQRQHPRYHQGRSSCYLSSTNLPGLCSAIRKVEQQTPCTSTQEAYEAAAVAAWHPNPEAQALFLSSVQTVLEESVLSMLQGRDSLTSEDVCYIADLLSPNHIPVPEEIKSDFYPVIAGKMRFEAKHERICRKVKFALDTCLDPMYELHIICGLNEFVCGPEHCKDKDDALSFSPCKYCYTHVNFLVTRKNFPSAGKCPILFFAEFGNKDDDVPLCAAMWMRRLHLLAQGARIVHPSLEKFCGGEEFGEVIQGERYFTNDRLICKSEYFVQSLGGNEEDFIQQHLSQFSSNCHRQVMHRNMIRWPSGTGSSGNRAAVGCGMYGDPAGTSL >ORUFI10G00730.1 pep chromosome:OR_W1943:10:1029298:1036731:1 gene:ORUFI10G00730 transcript:ORUFI10G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRGLRSCNDAKAWKCRACGTINRPTKHLFFKLPAFHCTNSDCGKKFCGSFKFCLGEINAQEKKVVGEVHDQGEQSLCVAFAYSKAVEIMERVFSISEGKDPDLVQCIDPFELHKKFEDKFPEVLSINCLTRDYGLHRVLHTALILRSEGITKEKSGNRYVARDVSTIPRDDFETICQNLAEGIPMVATYIPGKRRSLLRYCQIYKSPRSKSGEKQLHAQIGHAVVLIGAGMKRGRVFFYFLNSWGEKFCPRKNNQGEIVTGGIGKLREDDLTKNAVRLSPPGETGVTRRLDDQFELEISDPNYLLMMATRNQYSEMMMMKLRQKNLQEFRKDGLDDHLFRDDEALFDLCVGIQGHKELEQAFPGRSCSDEDMGVALWSKKLMCRTKATVTMSDGKGGLRRVVRPQTRYRPLSVEQDDERSRLLSNIQSFYHHASARHTAAAICVGLLDPVSNILANTLLSDEVAPPVDDADLARRSLDGLVAFLLYFFPYLADWDAVRYLLLADADLLVAARLIVASRGMTAFSIASAASEPALRLAAQVAGHPEPERFVRAWMSLSSRLHQAVTVLSAEPTNLQGIQTLLAADEEPPPAPDLEQSWSLAGSRQAYHNITNTPRHHTSSLMRVLLHAFRGFYLRALARLPAGELRTRFHEVIVKAGHCYGPMDPVSNIILNTVWYDAVFPAAAPPPVLDMIGPRILTRIESRSMYGLVSFLQSRYHQLSEHEIVQCLVAYCGDLSLADPNLFATNEAMVIKAEQQSPCAGVQEAYEAAATAAWHPNPAAQAAFLTSCKAKLQESPAAMSLLVQVDGDGTLSPEDVRYLAGVLLAEQKPSPQPVVEASVWPVIDGKWRSMGEQRRITGKVKAALNQQHFLHDDGESMYKLHVICGANYSVCGPEYISKKEDGFSVAPCKYRYTHVNFLATYKLASPPSPPVLFFAEFDNNKAESEPPILCCKVDIPLPCAEHARCLYCEVEGAKVVHPGFEKFHGGDKEFEEVIRNKHSHLTNDRILCRNDYAVQRLVGHDEDFMYVDTGDSDECSPFQDDY >ORUFI10G00740.1 pep chromosome:OR_W1943:10:1051002:1052416:1 gene:ORUFI10G00740 transcript:ORUFI10G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSRQTDTPPHKPRLAGPPLRHRRRSLGRHDWEEKGLPLLPSAFHLARIRFEPSKRSDGSDSSRVGPPPPPNMQPFGPHSAHALAHVNQAGSIVGPAVRVDGPQRATSPKCCTRTKTGRPKFGPLQTIVPFDGSNYTDWKNAVLLNLAMLDYDLALREDIPKEPQTAEELDMNEEDYENVEWNYKEKLAAWEKSNKMSLMYIKNHIAKDIIGGIVDSDNAKTYLANIEENFKSSSKTYASTIISKMITSSYNGKGSVRKHILEMTHMAHQLKSMDMDVSEGFLVHFIMSSLGPDFGPFKINYNTQKESGPYRS >ORUFI10G00750.1 pep chromosome:OR_W1943:10:1056796:1057222:1 gene:ORUFI10G00750 transcript:ORUFI10G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAELQHDHATASAGSICVGLLDPVSNIVANMLLSDKVLAVVDDTELVAISQRPRRVPTLLVPLPRRDAVRYLLLADSDLLVAACLIVASRGMTAFSIASAASAQAFQPALRLAA >ORUFI10G00760.1 pep chromosome:OR_W1943:10:1057265:1057597:1 gene:ORUFI10G00760 transcript:ORUFI10G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSRLHQAAQVPNLHGIQTLLAVDEETPPAPDLVQSWSLAASRQAYHNITAAPCHHTSSLMRVLLHAFRGFYLRALARLPVDELRNRYHQVIVKAAHCYRPM >ORUFI10G00770.1 pep chromosome:OR_W1943:10:1057779:1063845:1 gene:ORUFI10G00770 transcript:ORUFI10G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQRRISRNVKVTLNQHFLRDGKPMYKLHVICGTNDSVCSPEYYCSKQEDYLSFAPCDYKYTHVNFLATEKDEGEPAVMCCKGDMPLPFAEHVRCLYCEVEEAKVVHPALEKFHGGDREFEEVIRGKHSLTNSWIICLNEYAVQRLYAHNEDFMYVDVIFMSSYWLHFWSTMLSQEEHDTMRNGATLLKSDRDGTLPPEDVGFLASVLLTEQKPSPQAVRKKYTHVNFLATEKSASPSSPVLFFAEFDNEKAEGESPVLCCKVDMPLPCADNLESMSVKNIGNVEYNTTKHLMTFKFTPGKSNTKS >ORUFI10G00780.1 pep chromosome:OR_W1943:10:1064372:1066548:1 gene:ORUFI10G00780 transcript:ORUFI10G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALALLAAMSALSSCTSPATAELTRLEHPVVDGAPLRLLVVGDWGRKGGYNQTRVAEQMGKVAEETEIDFVVSTGDNFLENGLAGVDDMAFHGSFMDVYTAKSLHKPWYLGIVDFFFVDTTPFQLQYWTDPGEDHYDWRGVAPRDAYIANLLEDVDAAMKKSTATWKIAVGHHTMRSVSAHGDTQELLELLLPVLKENGVDFYINGHDHCLEHISSRNSPIQYFTSGGGSKAWRGIFQQNEDKLQFFYDGQGFLSLELSENRARFAFYDVFGEALYHWSFSKANLQKVQSSASVTEE >ORUFI10G00790.1 pep chromosome:OR_W1943:10:1064399:1071044:-1 gene:ORUFI10G00790 transcript:ORUFI10G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQIAPPCSEPADDGRAGAGVDGAAAAAAAAAAADGRDGLVAWLRGEFAAANAIIDLLLAHARDAADPAGFDAVAAAVQRRRHHWAPVLHLQHYFPVTEVALALHHAAARQGPPPPPPPPRPPSGSAGAEGDDAAIASGGVKEVETSAEATQNSQLVSHISHATEAQPQKGLHVISNVVPVPTCFVVNEVIDGRMVNVLEGLKLYKGYVDLTEIGKVLSFVNEAKTMRRKPGLEGQTVVVAKRPMKGHGREIIQLGLPITEGPPEDEHLREVKVDPIPGVLQNLFDSLVHQKVVPSSPDYCVIDIFNEGDYSHPHHHPPWYGRPICTLCLTDCDMVFGHVIAADSRGDHAGPLKLSLSTGSVLVFEGKSADIAKRALPATSKQRILLSFGKSVSRKHVQSESSLLITPPLTPPPMPWAAPLRPGNIAIHPSSPKQLVYNPSNRVPAVSTPGLHHIPSNGIQTVFVAPLPITPKAVPFASAVTLPNSTAAWIAEAAPRPASPRLPLQGTGVFLPPGSGNPPPAQKLGVKHADAKPFFPQESSASSSGVSARAHKANGSVSSKPTRKDDMAEAKPKCNGSSDGGSSVAHAKATGGLEEQNCYLEDRKEKLKELLGVSMGTHTPHAAFPAPVADDEEAQRRAVDHRVLEPCELCGGRTCARRERRHGSQERECNSHG >ORUFI10G00800.1 pep chromosome:OR_W1943:10:1074686:1078248:-1 gene:ORUFI10G00800 transcript:ORUFI10G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRCFLLLLLLLLSPLLASAGEEEEEAVLAMSARLRRPAAASFREGYTQLFGDSNLALHGDGKRVRISLDERTGAGFASQDAYLHGFFSASIKLPPDYAAGVVVAFYMSNGDVYEKTHDELDFEFLGNIKGREWRVQTNVYGNGSTSVGREERYGLWFDPTEDFHRYAILWSHDWIVFYIDETPIREVQRTKSMGVQFPSKPMSLYATIWDGSSWATSGGRYKVNYKYAPFVAEFSELMLHGCAMDTLTRAPMCTPDIANIHNAVAMSGRQRSAMERFRTKYMTYGYCYDRLRYPTPPSECNVGPEAELFLPTGEARSIDRHGRARRHRRGPADSAF >ORUFI10G00810.1 pep chromosome:OR_W1943:10:1088525:1089692:1 gene:ORUFI10G00810 transcript:ORUFI10G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATLDLVRADLRVEAGRSCAVVEAGGVQGARATPAGGHGGDRRRKGAGLAAAGGDGRRRGGVWAAVVKAAATESATSGITGSASAIAGSGARCGRGGGWRRGCSGGSKWRGCWRGRRGCRRGSGGGGAWRIVEAGPAVWRPVQPVEMRPAAWRGGRCGLWSVEAGSVAWNRVRHVERGGRLNSVEMLPTAGGRRWRKPCRAFGRFDDDDAVGAVSLLEGVIMALSHLPHKSPGENLAPVRTSGGGVTRHVLLGGVASGKFLYIDDY >ORUFI10G00820.1 pep chromosome:OR_W1943:10:1089303:1089638:-1 gene:ORUFI10G00820 transcript:ORUFI10G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNAAAACPNRSKVFTWRFVGQMGERHDNAFKKGNGANGVIVIEPAKGTARLSPASAHYPHKYPHIDGHTIVHDVSQAVNPRRNLPSPTLLCCIDQNRPRQPRDRSSKLG >ORUFI10G00830.1 pep chromosome:OR_W1943:10:1097184:1098582:1 gene:ORUFI10G00830 transcript:ORUFI10G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIMYSAWTRPHERIEKRLAARNPAPSPSPAAKSPAPSPSPAPSLRLAAPTPTRRSPVEATSPNGSERIEKRPAARNPAPSPSPAAKSPVPSSSPAPSLRLAAPTPTRRSPVEATSPTPTPTRRSPVEATSPSPATPPTRPALPPSSSLAPPPWLCERER >ORUFI10G00840.1 pep chromosome:OR_W1943:10:1100182:1101113:-1 gene:ORUFI10G00840 transcript:ORUFI10G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRHVVGFFPSPPLPFSHSSPWPAAGRRWGGGRGTRRSDGRPAMRRREHGGDRARRRAEWGDGEAERHRTKPAHVCHSVDERRRRREEGGGGGKRAAVEELRRRFDRGRYELRRRRSEVAAAMEELRASSSSSNLSPPPSSSSAGAPPRSVPRVSCATALPALSACRRLGVGSQIMAQLRFAVLAVPSSIASLTRWRRGRLEDELWLEGAIRCGPLRLDEALWSRLSILSPFSYGSIGNMTT >ORUFI10G00850.1 pep chromosome:OR_W1943:10:1104452:1111693:1 gene:ORUFI10G00850 transcript:ORUFI10G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPAPPPPPPPPPPPDPPSAQPPAETAWVRALRKLLPAGAPVPDEEQLDYSFVSVDVAGPAAERRPPPRSSADGPPLPPLARHRRRISRLLRPSPPRRRHSPPPPPPLQCEPSPPSSSPDATSPASSPPRCSSSSPPAPPPPPPPPEAPLNQGSKRRGACARCGKGGIGVGILGEREECLACGARYCAGCVLRAMGSMPEGRKCVGCIGRPVADARRRARLGKGSRLLARLLAPAEVRQVMRAERGCAANQVRPGEILVNGRGLSQGELDLLLGCAVPPERLAAGRYWYDKDSGLWGKEGERPDRIVSSKLSIGGKLQTDASNGTTQVFINGREITKTELRMLKLANVQCPRNTHFWLYDDGSYEEEGQNIIKGNIWQKASTRLIATLFSLPIPRGLKEDTTLYSSRFVPEYLEQKKVQKLLLVGLEGSGSSTIFKQAKFLYGTEFSPEEILNLKLMIQSNVYKYLSTLLEWRECFEDEALEEEKELGMSNHKGDENVTECELPTGEPKAVQSTSSLYSLNQRLMHFANWLLEIVALGNLDAFFPAATREYAPIVEEVWKDPAIQATYKRKNELHFLPDVASYFLDRVVEISSNEYEPTETDILYAEGVNQWNGLSTLEFSLDDRGPLSDSYADKAGNPAIQTKYQLIRMNSKGLTGGFKCLGMLEDIRAIIFCISLADYDQTWVQSSGEPCNKMIASRDLFEDVIRHPSFEDTPCVLLLNKYDAFEEKISRVPLTVCEWFADFSPVRPHHTSQTSLASHAYYYVAVKFKDLYSSVADGRKLFVFQTKALERRTVDDAFRYIREVLRWDDVKNSDAGYCSADESSYSVDMTTSPS >ORUFI10G00850.2 pep chromosome:OR_W1943:10:1104452:1111693:1 gene:ORUFI10G00850 transcript:ORUFI10G00850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPAPPPPPPPPPPPDPPSAQPPAETAWVRALRKLLPAGAPVPDEEQLDYSFVSVDVAGPAAERRPPPRSSADGPPLPPLARHRRRISRLLRPSPPRRRHSPPPPPPLQCEPSPPSSSPDATSPASSPPRCSSSSPPAPPPPPPPPEAPLNQGSKRRGACARCGKGGIGVGILGEREECLACGARYCAGCVLRAMGSMPEGRKCVGCIGRPVADARRRARLGKGSRLLARLLAPAEVRQVMRAERGCAANQVRPGEILVNGRGLSQGELDLLLGCAVPPERLAAGRYWYDKDSGLWGKEGERPDRIVSSKLSIGGKLQTDASNGTTQVFINGREITKTELRMLKLANVQCPRNTHFWLYDDGSYEEEGQNIIKGNIWQKASTRLIATLFSLPIPRGLKEDTTLYSSRFVPEYLEQKKVQKLLLVGLEGSGSSTIFKQAKFLYGTEFSPEEILNLKLMIQSNVYKYLSTLLEWRECFEDEALEEEKELGMSNHKGDGEPKAVQSTSSLYSLNQRLMHFANWLLEIVALGNLDAFFPAATREYAPIVEEVWKDPAIQATYKRKNELHFLPDVASYFLDRVVEISSNEYEPTETDILYAEGVNQWNGLSTLEFSLDDRGPLSDSYADKAGNPAIQTKYQLIRMNSKGLTGGFKCLGMLEDIRAIIFCISLADYDQTWVQSSGEPCNKMIASRDLFEDVIRHPSFEDTPCVLLLNKYDAFEEKISRVPLTVCEWFADFSPVRPHHTSQTSLASHAYYYVAVKFKDLYSSVADGRKLFVFQTKALERRTVDDAFRYIREVLRWDDVKNSDAGYCSADESSYSVDMTTSPS >ORUFI10G00860.1 pep chromosome:OR_W1943:10:1120169:1121379:-1 gene:ORUFI10G00860 transcript:ORUFI10G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRVQEEDEQMTSTDDLIQAEIELYHHCFAFIKSTALRAATDLCISDAIHRNGGAATLSDLALNIGLHPTKLSHLRRLMRVLTVSGIFAVEDHNGEAMYTLTRVSRLLLNGDGERTHALSHLVRVLVNPLTVASHFSIHEWFTIEQAAAMTPFEVAHGCTRWEMIANDAKDGSVFNTAMVEDSRVAMDIILKESCGVFQGISSLVDVGGGHGAAAAAIATAFPNIKCTVLDLPHIVAEAPTTHSNIQFVGGDFFEFIPAADVVLLKYILHAWQDDDCVKILRRCKEAILARDAGGKVIIIEVVVGIGSKEIVPKEMQILFDVFMMYVDGIEREEHEWKKIFLEAGFSDYKITPVLGARSIIEVYP >ORUFI10G00870.1 pep chromosome:OR_W1943:10:1131961:1132977:-1 gene:ORUFI10G00870 transcript:ORUFI10G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRAASSCCRRPCMLRAVLRGVTLCAGVAARATVRSVERFARRLAVGSVTGGITLSDVLVYMTMTEAEGLSPEPAAAAAAPDHLPPQLEENLVVAVLGGMVILTGAVIVGRRIADEVKNRSYWRRFDSYEYDKRTLAAGDVAAWLEACHFI >ORUFI10G00880.1 pep chromosome:OR_W1943:10:1139667:1140874:-1 gene:ORUFI10G00880 transcript:ORUFI10G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRVQEEDEQMMSTDDLIQAQIKLYHHCFAFIKSTALWAAIDLRIADVIHRNGGAATLSDLALNVGLHPTKLSHLRRLMRVLTVTGVFAVEDRNGEAMYTLTRVSRLLLNSDGEGTHALSQMARVLANPLAVISHFSIHEWFTTEKATTMTPFEVAHGCTRWEMIANDAKDGSVFNAGMVEDSRVAMDIILKESCGIFQGISSLIDVGGGHGAAAAAIATAFPNIKCTVLDLPHIVAEAPATHSNIQFVGGDLFKFIPAADVVLLKCLLHCWQDDDCVKILRLCKEAIPARDAGGKVIIIEVVVGIGSEEIVPKEMQLLFDVFMMYIDGIEREEYEWKKIFLEAGFSDYKITPVLGARSIIEVYP >ORUFI10G00890.1 pep chromosome:OR_W1943:10:1148016:1160217:1 gene:ORUFI10G00890 transcript:ORUFI10G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVSLSTGVLEVVLGKLGNILMDEYELQTGAKDGIRYIREELESMQTALEKVSKVPPDQLDKQVKIWARKVREMSYSIEDTIDSFMLHVDDSDDGEYSGSSAACCPCVSAKSMLPRSYKARRDIAAEINRIKEEVDEVSKRRERYKVDSIFVAPASYDPRLLALYEDKEKLVGIDHSTDEIIKLLSMEGEGASEQKLKLVSIVGPGGMGKTTLANAVYKKLEEQFDCTAFVSVSLQPNVKNILSGLLRQVTASKVKDDLEEGKDKDKKSLHRRESQKHDGNTETWSEKELIDKIRHVLEKRRYLIVIDDIWEEQPWKLIKCALFENKLGSKVITTTRNTEIAKLCSADEVDGIIHQLQPLSDGDSEQLLYYKIFKNEGCPTELKDVSQKILKKCKGWPLAINAIASLLANKPTQTQGQWYSVLNSISTGLENNHGVKDMRLILSLSYRDMPAQLRDCLLYLSIFPEDHIIGRDDLIQRWIAEDLVHGRQDDYLYELGNKYFNELINRSMIQPIDVDAFGRAQACKVHDLVLEYINSLSAEEDFVTIFNGLQSFPQSDSIHRLSLRNSEGEHGIPKAIKRLPHVRTLVVSSCFFYSTPSLSIFPVLRVLELQRCTESNIKGVENLVHLRYLRLTQAYYFFYDGDADHCINLPERIGNLQLLQTLDLKDAMIKELPHTVVQFSQLRVLEISLRKFDKRCETLLLQCLCNVKQLEALCINAPDLSLDFMLQVDWAPTHLRRFTASPREQSKHMLRSGWVELSPFSRLPRWINSSLLLSDLSIMVRTLAQEDLEILEVLPVLRSVDLEVIQATGTRLEFNGSVGGNGHATAFQCLGNLKFASRAVGLVFKPGAVQELQKLYLCFDVAETKDVHGDFDFHSLENIASLKTLDVDIDCRCARLWEVEAVEAALSNATKLNPNCPTLGLTRHFPDLILHDQEEEIPEHLQAKKKEDALLSRVGPFGGYGGRARDIRVTPHRLEDVTIHSANVVHSLAFSYADHNGQQHSTGPWGSRDARVSTIHLGPSECLIKVSGSIGSSSEAAKLITSLIFVTNEASYGPFGKCIGDPFEFHVPSNSSIVGFFGRAGTRLDAIGFYFRPL >ORUFI10G00900.1 pep chromosome:OR_W1943:10:1174856:1175344:1 gene:ORUFI10G00900 transcript:ORUFI10G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEERRGSGEVSEEEAVEGHRLGEERRGSVYKAALLLISQWKDDSQDDGHVKHEDGKTRKVDNKRFAHIAIDMEDEPC >ORUFI10G00910.1 pep chromosome:OR_W1943:10:1175216:1175428:-1 gene:ORUFI10G00910 transcript:ORUFI10G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTDYASGHNLFSIIIILMAVCTAETGLTRFIFHINGYMSKAFVVNFAGLAILMFDMAVILAVILPLRY >ORUFI10G00920.1 pep chromosome:OR_W1943:10:1177806:1178186:1 gene:ORUFI10G00920 transcript:ORUFI10G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDWPAVAMWTLTLGRRSAEPKQVLIHVVTDMEEVYMDAVAVSVVVPAPLRCARQMAPLQRRLTSFPRPRRERVRGGLPSPRDQPARGHGIPARWGQEPAATMSRGRSGTMTKATTGITRKSTAE >ORUFI10G00930.1 pep chromosome:OR_W1943:10:1180485:1190674:-1 gene:ORUFI10G00930 transcript:ORUFI10G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRMLFDLNELPTEAEEEEAAVVVSQPQKTLPVPTAGPSLFPQQEVTQSQGILNNNAFKHAPSGSGFQPFVRSKDSQITKEPIKAEDNLNASVASTSMLTNHSSDGVAKMIGSSNQVSQAVEREEGEWSDADVASDTAGSSVSNKEELAGTATTQVKRDSQESEPTAVKSGNMIKDEAAAEPSDTEMMDVPKDPVVRGPTGLESTKNLENKGNQLGDDSDLSNKSKDVRGVEANYALKFASNPSKRPKLDEHKEAMLGKKRARQTVFINVEDAKQAGTMKTSTPRRQSSFPAPIVTRTVKEAFRSGGGIAERAAERQSQPTIRDQRQSEMLGSERSNSADPIDQISESNGDAETGSQGRPKKMNAEEAPADGYQQPMPRQLKGRQISSQRSVVTGQNTVDQKPVNKRSLVSKKQTPANNMQYQDTSVERLIREVTSDKFWHNPEEAELQCVPKSFESAEEYIRVFEPLLFEECRAQLYSSYEESLESVSRDAHVMVRVKTVERRERGWYDVVVLPMHEYKWTFKEGEVAVLSFPRPGSASQSSRSNRRNVGSNEDTESECGRLVGTVRRHTPIDTRDPIGAIIHFYLGDSFDSSSETNVLRKLQPRSTWYLTSLGSLATTQREYVALHAFRRLNVQMQNAILQPSPEHFPKYEEQPPAMPDCFTPNFADHLHRTFNGPQLSAIHWAAMHTAAGTSNGAVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYKQVSGNTSNSSETVAAGSIDEVLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTDQLLMKGRDEVIGWLQQLKCREQQLSQEIALLQRELTMVAAAGRSQGSVGVDPDVLAQRDRSRDFLLQKLAASVESRDKVLVEMSRLLILESRFRVGSNFNMEDARASLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQLSGCPTILLSVQYRMHPQIREFPSRHFYQGRLTDSESVVKLPDEAYYRDALMAPYIFYDISHGRESHRGGSSSYQNVHEAQFVLRLYENLQKFLRANGGKKASVGIITPYKLQLKCLQREFEEVMSTEDGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNAGALMQSEDWALLIADAKARKCFMDLDTIPKDFLAMKISNTPGRNTSNNIRNMRTGGPRLRHLEMLPDSRVSMRPDEDERSNSVPRNGSYRNLDDLGRPGDRSRDNLPFGMPRRPNSSNGSRREPWTVLDGQGDVYSEDMHLALPVDGMVMGRMVMGRCWYSQHQTIDYETKPLVTMGCKPQIYMHGHFHFENMPGEKQLSLALEQAWSISEFLLFGRMLVLSSIFASSLDAGFYGCHLRSQGLWCLLVNAVVIQVDARTDRTAMLAHPLFMVIGLVICTGEAIMAYRIMLGSREVKKAVHLLLHLVALAFAAVGLYAAFKFHHDLRAPDIRSLHAWLGITTAALYALQWLVGFVYFVFPGAVMTMRADYAPWHILLGIVIFLMAICTAETGLARFIFPFHGYPSEAFVVNFTGLAILMFGVAVVVAVILPSRY >ORUFI10G00940.1 pep chromosome:OR_W1943:10:1205736:1206382:-1 gene:ORUFI10G00940 transcript:ORUFI10G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGESGENGVVATRGRHSVATLERARKEKRSNWRKFSLKRGEIPPSARPASRPPDPGGESWAAWEPNALVGLHPCRPAFSAQPLGFYIDDLFPKASMSPSRWLWVRKGRGLDPELGFPTSSSDIRRFGGSAREIWRVEEKKRDHRSYAKVVMDRHPLQPRVDQELYKRRMMNLDAEKRTIASENGPLPAARIRQPPAKIRFHWQPM >ORUFI10G00950.1 pep chromosome:OR_W1943:10:1215529:1227247:1 gene:ORUFI10G00950 transcript:ORUFI10G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVYLTGILTDLHEEHSQSSFLGINFRDGDKRSQLADVLREYNVPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSTGNNQNIILLHCERGGWPSLAFMLSCLLIFKKLQSAEHKTLDLIYREAPKGFLQLFSALNPMPSQLRYLQYVARRNISPEWPPMERALSFDCLILRAIPSFDSDNGCRPLVRIFGRNIIGKNASTSNMIFSMPKKKTLRHYRQEDCDVIKIDIQCPVQGDVVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDDIDIVWGSKDQYPRNFRAEMLFCELGGISPARPPTATLNGDMKGGLPIEAFSAVQELFNGVDWMESSDNAAFWLLKEFSANSLQEKFQKLILSDMEELSKFQAKVGLQIPLMSPLDSDEEKYSVASDSVSSSEHEKVQPGGNSSDSENINHDLTTEDTASMGNVLVNTPSVLPPTTPPPCGSLSILSTDENQLPPEVQCESPSDRKLPSPSPTAAAPPPPPPPPPPPSGNKPAFSPPPPPPPPPPPLPQTSPTATTTTLAKLFSPTSTSTSTTATNLAKPFSPTTSTATTALAKSFSPATSTPTTATTILAKPFSSTTSSSGNRTPTGAATSSKGPPPPPPPPPPPANRTNGPGVPSAPPPPPPPPPANRSNGPSAPAPPPPPPLPAAANKRNPPAPPPPPLMTGKKAPAPPPPPPQAPKPPGTVPPPPPLHGASGRPHPPSSKGLNAPAPPPLLGRGREATGSAKGRGIGLAQQSNPPKKASLKPLHWVKVTRAMQGSLWEDAQKQGNQARAPDIDLSELESLFSTAVATNASEKGGTKRGSAISKPEIVHLVDMRRANNCEIMLTKIKMPLPDMINAILALDTSVLDNDQVENLIKFCPTKEEIEMLKNYNGNKEMLGKCEQFFLELMKVPRVESKLRVFAFRITFSTQVEELRTNLTTINDATKEVSVTSCKISSYDFSFWLAYSVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLSEKLPELLDFDKDLIHLEAASKIQLKLLAEEMQAINKGLEKVEQELAASVNDGAISVGFREALKSFLDAAEAEVRSLISLYSEVGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARTAELEKKKLEKDKEKATLSAKKVLE >ORUFI10G00950.2 pep chromosome:OR_W1943:10:1215529:1227247:1 gene:ORUFI10G00950 transcript:ORUFI10G00950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVYLTGILTDLHEEHSQSSFLGINFRDGDKRSQLADVLREYNVPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSTGNNQNIILLHCERGGWPSLAFMLSCLLIFKKLQSAEHKTLDLIYREAPKGFLQLFSALNPMPSQLRYLQYVARRNISPEWPPMERALSFDCLILRAIPSFDSDNGCRPLVRIFGRNIIGKNASTSNMIFSMPKKKTLRHYRQEDCDVIKIDIQCPVQGDVVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDDIDIVWGSKDQYPRNFRAEMLFCELGGISPARPPTATLNGDMKGGLPIEAFSAVQELFNGVDWMESSDNAAFWLLKEFSANSLQEKFQKLILSDMEELSKFQAKVGLQIPLMSPLDSDEEKYSVASDSVSSSEHEKVQPGGNSSDSENINHDLTTEDTASMGNVLVNTPSVLPPTTPPPCGSLSILSTDENQLPPEVQCESPSDRKLPSPSPTAAAPPPPPPPPPPPSGNKPAFSPPPPPPPPPPPLPQTSPTATTTTLAKLFSPTSTSTSTTATNLAKPFSPTTSTATTALAKSFSPATSTPTTATTILAKPFSSTTSSSGNRTPTGAATSSKGPPPPPPPPPPPANRTNGPGVPSAPPPPPPPPPANRSNGPSAPAPPPPPPLPAAANKRNPPAPPPPPLMTGKKAPAPPPPPPQAPKPPGTVPPPPPLHGASGRPHPPSSKGLNAPAPPPLLGRGREATGSAKGRGIGLAQQSNPPKKASLKPLHWVKVTRAMQGSLWEDAQKQGNQARAPDIDLSELESLFSTAVATNASEKGGTKRGSAISKPEIVHLVDMRRANNCEIMLTKIKMPLPDMINAILALDTSVLDNDQVENLIKFCPTKEEIEMLKNYNGNKEMLGKCEQFFLELMKVPRVESKLRVFAFRITFSTQVEELRTNLTTINDATKEVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLSEKLPELLDFDKDLIHLEAASKIQLKLLAEEMQAINKGLEKVEQELAASVNDGAISVGFREALKSFLDAAEAEVRSLISLYSEVGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARTAELEKKKLEKDKEKATLSAKKVLE >ORUFI10G00970.1 pep chromosome:OR_W1943:10:1245357:1245848:1 gene:ORUFI10G00970 transcript:ORUFI10G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLVAAGVMHRRRDIKPDNAPVTYGGGLKLAVRLRGGHAGEVAGEAVRGVSGRHAALDLHLARAARRQRMVRPGGGHVGAGVHHIVAENLTGGPLFDDSSDETMLKEMADMHARPARGHGDVFDERPELSPAGREVLDRWDAGLQPRREDDGNGGAGPQVVH >ORUFI10G00980.1 pep chromosome:OR_W1943:10:1248714:1252982:1 gene:ORUFI10G00980 transcript:ORUFI10G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGTKLSGSLAAVQWRPAQRRHLVPPEEGHRRRHAFAMDIVRARRQSASMQDRDDVLSRFVLRDIVLSFLIAGCETRSSGLSWFFWLLSSQPDVMARIADVVRVVRKATGACPSELFGRCGCTRRRQACTADDTLPDGTLVHTGWSVTYNAYAMGRLAAIWGEDCLEYRPER >ORUFI10G00990.1 pep chromosome:OR_W1943:10:1261571:1263049:1 gene:ORUFI10G00990 transcript:ORUFI10G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSKSSSSGPLHLVIFPWLAFGHLLPYLELAERVASRGHRVSFVSTPRNIARLPPVRPAATARVDLVALPLPRVDGLPDGAECTNDVPSGKFDLLWKAFDALAAPFAEFLGAACRDAGDGERRPDWIIADTFHHWAPLVALQHKVPCAMLLPSASMMVGWAIRSSEPAGASMFEVLGAVEERRMDMPCYEWEQKAALFVADGASGMSIMKRCSLAMERCTVAAMRSCPEWEPEAFQQVAAGLKKKNKPLIPLGLVPPSPDGGRRRAGSMSTDNSTMQWLDAQPAKSVVYVALGSEVPLRLEQVHELALGLELAGTRFLWALRKPAGVDAADDVLPPGYRERTNGHGHVAMGWVPQIAILAHAAVGAFLTHCGRNSLVEGLMFGNPLIMLSIFTDQGPNARLMEGNKVGLQVRRDDTDGSFDRHGVAAAVRAVMVEEETRRVFVANALRMQKIVTDKELHERYIDEFIQQLVSHVADSSCNIATPVPSSSS >ORUFI10G01000.1 pep chromosome:OR_W1943:10:1279963:1281604:1 gene:ORUFI10G01000 transcript:ORUFI10G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVVTKSPPEIVRPSEPVTTTAATSKVIFSPLDRPLAIVPIVVLQVFEHPIHEPVETIRRGLSRALVHYYPLAGRLAGDDYDDVHIDCTGEGVTFVAANADCTVKELVRDTDCRSPDAAKAVIRELIVDYPANGFGRADPLVLMQVTAFACGGFVVGVTWNHGAADGFGIAQFLQAVGELARGLPTPAVTPVRWDGWAQAVAPSTVMASKRFMFGVKAPTRLALHSITIPARTIDGVRGATACTMFEAVAAALWRCRTRVVMSDPDAPTVLAITVNSRKYIGVKDGYYGNCATVHMAVARSGAVAGGDMTEAVRAIRRAKEEIPERLKKGDVIGELSKEQLGGYESVLLVTCWRNIGFEAVDYGGGRTARVMTTYEQGRVRPMCVVCLPWQGEEEEGARVLSGFTYEY >ORUFI10G01010.1 pep chromosome:OR_W1943:10:1302098:1308831:1 gene:ORUFI10G01010 transcript:ORUFI10G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVVTKSPPEIVRPSEPVTTTAATGKIIFSPFDKPLATVPVVVLQVFEHPIHEPVETIRRGLSQALVHYYPLAGRLAGDDYDDVHIDCTGEGVTFVAASANCTVKQLMRDIDGRLPDPSTAVQRELIVDDNPAYGFGRADPLILMQVTTFTCGGFVVGVTWNHGAADGFGIAQFLQAVGELARGLPTTSVIPVRSDKSLQAMSSSTVMAAKQFMFGVKPTTLALHSITIPARVINGVRGPTPTCTVFEAVAAVMWRCRTRVVMSDPDAPTVLAITVNTRRYMGVEDGYYGNCATVQMAVARSGVVADGDMMEVVRTIRRAKEEIPERLKKGDAIAELSKGQLGGYESVLLVTCWRNIGFEAVDFGGGRTARVMTTYEQSGVRPLCVVCLPWQGEEEEGARVLSGCSPPQIVRPSEPVTTAAANGKIILSPLDRPFAILPEVVLQVFEHPIHEPVETIRRGLSRALLHYYPLAGHLAAGEGNDDVHIDCTGEGVTFVAASANCTIKQLMLDIDGRSPDAATAVQRELIVDYPANGFGRADPLVLMQVTAFACGGFVVGVTWNHGAADGFGIAQFLQAVGELARGLPTTSVIPVRCDESIQAMSLPPSLLMVAKQFMYGAKPPTKLALHSITIPSRMINGIRGPSCTVFEAVAAALWRCRTRVVMSDPDAPTVLAITVNSRKYIGVKDGYYGNCITAHMAVARSGAVAGGDMMEVVRAIRRAKEEIPERLKKGDVIGDLTTEQVTGYEGVLLVTCWRNIGFEAVDFGGGRTARVMTTYEQSGVRPMCVVCLPWQGEEDEGARVLSSCVTAHHADAFLREIATL >ORUFI10G01020.1 pep chromosome:OR_W1943:10:1310448:1316745:-1 gene:ORUFI10G01020 transcript:ORUFI10G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLSRPLEHRLSSATLDGHYEEKRKSNVEYSEDEKKAKIMSLKKKAMSASQKLRHSMKKGRRSSKVMSISIADERDPEEVQAVDAFRQLLILEELLPSQHDDYHMMLRFLKARKFDVEKAKQMWADMLRWRKEFGADTILEDFEFEEAGKVAECYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFIKNHVREFEKNFAVKFPACSIAAKCHIDQSTTILDVQGVGMKQFSKAARDLIGQLQKIDGDNYPETLCRMFIINAGPGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDDEVMKMVQSGAGWCGNLNLNHLEAEEKMMICEDDTMYTKKQESFKDEGHTLSRKISRARIEHPTLSPVREELPPMMLPTPGSPYSCDVPMVEKAIDAICQSKGSRDENVVITKAIVNASNGSNPPLFGGVMALVMSIATMLRVSRNMPKKVLGATLGAQSTSKIQAQQLSKISMEAVSAAEYASSTKRLSDIEEKVIAILTKPAEMPADKEEMLKTAVSRVSALEEELAATKKALQETLERQEEIMAYIEKKKKKKSKVTKKKGKVKGILLL >ORUFI10G01030.1 pep chromosome:OR_W1943:10:1323711:1324862:1 gene:ORUFI10G01030 transcript:ORUFI10G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWSYVSVAGVGEWLNASADMGFSCLVVSVRLIGGRARGYGQNGGSGFGSTWPPASMGFDDATGVILLAPSPLHHQRWMISAHIYSEICWALHWHIGYLIVFCA >ORUFI10G01040.1 pep chromosome:OR_W1943:10:1327745:1329145:1 gene:ORUFI10G01040 transcript:ORUFI10G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPSLLSRRSTAELELPVPPEFRCPISLELMRDPVVGPTGITYDRAGIEAWLLAAGAGKTAAASSTCPVTKGDLRADDLVPNHALRRVIQAWCVANRCRGVERIPTPRVPVTPAQAGEVLGEVEAAARAGDAARCVAAVREVGRLARESDRDRRCLASAGAARALAAAVASFAAASDSASASASASASSVLLDDVLAALVLVMPLDEEAIVAIGSSAASVALLANVAKHGDLQRRLQAVVVIREIVALSSCCSRNGAAATAIDLSDNLDGIIEVLVSTIRDPISPQATKASLVAAYHLALADDRAAARLAEAGLVPSLVELLIDGDRSTAEKALAALDATLASEAGRARARADALAVPVLVKKMFRVSDTATELVVSALHRICKKWHDGDDDEVGSPAARRSAVVEAVQVGAFQKVMMLLQVGCRDATKEKATELLKLMIKYETRGGGDCIDAMDFRGLKRVS >ORUFI10G01050.1 pep chromosome:OR_W1943:10:1339975:1343116:1 gene:ORUFI10G01050 transcript:ORUFI10G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDSEARHRPRSCSPETSPPPRSPADDDDLLSEILLRLPPQPSSLLRASLVCKRWRRLVAGPVFLRRFRAHHHRSPPLLGFFIDDYGDALFTPTLDPPNRITADRLSLRQGPGERLSFLGCRHGLALLLNRPRLEALVWDPVTGRRRAVAFPPEFAINQGDFVRGGAVLCAAAAADDGHVHVHGDCPFKLALVFIDDGRTQISVCLYDSECGTWGDIASTALVTQWTSSVGTSTMVGNVLCWLIHRPICILEFNLDKQILSVIGGLAHVPDNSRPSSSFIFPMEDSKLGIGILGGQRIRLWERMANSEWLLRRTLELEKILSLKPQAEPWRPVVLGFAEESNAVFVLTAIGVSPGFDLHLEDEEISN >ORUFI10G01060.1 pep chromosome:OR_W1943:10:1351895:1356337:-1 gene:ORUFI10G01060 transcript:ORUFI10G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATRKRSAPEQDEVSGVKKRLRLGSIYDYKKLAVLGEGWDGVVFKAEHLRTSDMWVRAATDHHAFIREAGCLAACRGHRNIVEVRDVVDDASTGDMFIVMDFVGGRTLRLDLWMTHLDPEEKARSVMRDLVAAAGALHAAGVMHRDIKPDNVLVTYGGGLKLCDFVRSSHLPYRVMILLAGLIINNAANENRFSLIAVLACNQRKSIFVGTHLAVPPEQDDATTGGVKKRLRLGSIYDYRKLTVLGEGRDGVVFKAEHLRTGDMVAIKWVRAAADQRAFIREVGCLAACRGHRNIVVVRDVVEDASTGDMFIVTDFVGGRTLRLDLWMTHPDPEERARSVMRDLVAAAGALHAAGVMHRDIKPDNVLVANGGGLKLCDFGAATPVKPPGKPYEESRVGTLLYTSPEQLADSEFYGPAVDMWALGCIMAEILTGGPLFDDSSEERMHKEMADMRHRLESTGTCKLFDELPELSAAGREVLAGMLAFNPDERMTAAEALDHRWFTGKPERRS >ORUFI10G01070.1 pep chromosome:OR_W1943:10:1359191:1360210:-1 gene:ORUFI10G01070 transcript:ORUFI10G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPLYRQPSPYYSPYQAQSTFLPPHVYLPQPPINATTYNFAQAPAAPAPPPHVNVPQPAMHAAAAPAPPLWLRTMTAANLDSEMGLIGEMMVQYPYVTIDVEFAGVVHHPPYTGSRPTPDEIYAAVKSNVDEVPAVQIGITLSDAEGNLPTRSPSSSSPEQEIAWEVVFSDFDASRDPHVVDSVEFLRNQGIDFDLARRIGVTSTAFGEKLLAILPPPSRRGELTWSAFGGAYDMGYLVKMLTGGQPLPETRQQLMQLVKSRLGGGRIFDSKYLVEHDRQDLRNAGLRHTADVLGVRQQEGVKMLAGHKSVVAAAIFATIRSQGVHLLHEGVIDGIL >ORUFI10G01080.1 pep chromosome:OR_W1943:10:1364798:1366635:1 gene:ORUFI10G01080 transcript:ORUFI10G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVNPKAYPLADSQLAQAIQELVSQAANYKQLKKGANEATKTLNRGIAEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEASNLRDPINNLKVAIEKLLI >ORUFI10G01090.1 pep chromosome:OR_W1943:10:1367227:1370716:1 gene:ORUFI10G01090 transcript:ORUFI10G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCFPYTRNPVAESMSSAAAVEPGIDKFILAGSSCSLFEVCKFCCHFALFDSTMGACLHFVLLQKEREMMQKKEKKERKKEKRRQKKAAQLGEKYETDDHHSKHGHKKRKHEGCETVGQETRKVYNVVMEHLEKSSLSEEHEAPSYSQALRCTPESSLDSSKRLRTEVSSSPSQTRNGVNIRVKFTPTNQRRDPEATTGMSMKPRVTEQSPVKETGMDLSMANRKREFQPHVNTVSVVKQVVSQQKNMSIRNGNCLGESRRVSQQHDAKSMQRINMVQRVSTESTPIAAMQRVDLPPSEKVVMQRANPAPTKVMQGVEVAPVKAMQRANPAPTKMMQGVESAPVKSMQRANPASTKVMQEVEATPVKMQIAGHITRSKVFNRESTQVQLGKETGAPLLGGQLNTKRPTLLNKPKVCADPPILLSKPKMLCVEPPGLLNKPKAHVEPPVVKQQQQIVPRAQEEPCSVGSILAAASPVTEAQQSSSDRKSRKAEKKERKLADLFLNWEPSPTQMEDTDVGDQDWLFSCRATPKNNCGTFDGSARCQLTEQLFSLQPRAVHLPDLHMHQMPFVVPF >ORUFI10G01100.1 pep chromosome:OR_W1943:10:1373312:1374202:1 gene:ORUFI10G01100 transcript:ORUFI10G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMQPVSEVLIRRVTADNLAVEMLTIRSHLPYFPYITIHADYPVDNATARDGRRRRRRRGGGGRGNKRESEADERCYRLAKARVDELDVLQLGITLCDHHGSLPATAIARADGAAIAVEMAWQVGFSDFDVSQSAVDTLRAAGVDLEHLRARGVPAAVFGQALRVFDIVSAANLGRLTWVAFGGLYDFGFLLKMLDGGRPLPETAEGFASRLRGHLGVVYDAKYVAARLPVDGVELRGGLVRVARVLGAPAAAVEEPRQAGEKSLVASQVFMRMTGLFFAYHDVAVHAGKIDGLQ >ORUFI10G01110.1 pep chromosome:OR_W1943:10:1374290:1388336:-1 gene:ORUFI10G01110 transcript:ORUFI10G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAGPLGALLPKLTKLLQDEYNLEKHVREGIKSLEIELKMMNAALHKVAEVPLDQLDDQVKIWASNVREISYDMEDAVDAFMVRVEDDSHSRPNTFKKRVNWSIKMISKLFKKAKELHQIADAIKEAQALAQQMAGLRERYSGLELQNSGVAATIDPRLTALYIDATDLVGIDHAREELIKILTEGEDSSKQQLKIISIVGFGGLGKTTLARAVHEKIGAQFDCAAFVSVSRNPDIRMIFKKILHQLEKEKYTNINESSWDETQLIDELREFLQDKRYFIIIDDLWDVRVWDYIKCAFPKDNLGSRLIMTTRNVNVSKACCSANNDIIYKMKPLSDDDSKKLFYKRIFPHGNGCPCELEEVSNEILKKCGGVPLAIITIASLLANKEIQTKDQWYTLHNSIGRGLTEGRNVEDMQKILSFSYYDLPSHLKSCLLCLSVFPEDYEISRDRLIWRWIAEGFVQQTQKDGSLFEQGENYFNELINRNMIQPIDIDAEGKAKACRVHDMVLDLICHLSSEHNFITVFDDIGNITSSGKKIRRLSLQYSMTECNTTWCTMTTLQVRSLTIFSPSINLMPSLSSFKMIRVLDLEGCDLGKSNQLNLMHVGCLLHLRYLGLRDTLSIKWSSKHGERSIGTYVIRELPTQIGKLEFLQTLDLVRSGIKELPVSVVQLRRLMCLHVDYHTRLPNGLGKMTALEELSYISTSHFVDIVKELRQLTRLRVLAIRWEELGEKQDKAFVDCLGSLHKLQSLEIDALGGGMNLMKECWVPPVSLRRFLPREPTNSFSTLPAWINPSLLITCLDIWVDQVRSGDIQILGELPALCSITFRAIGSIEESVVERFVVSTNAFQRATECTFFNFVTVPSMFPRGAMPRVRYLDFTLLAWDNNMAIGNGSSGDLDLAMGHLPSLECVSVMLWCKKASRAELAGGIFLEIDITIDNSQYDRNWMDALHSSGDDVETKLTISTYFDMLFVGSIYFVSVLSLQVAQSLLVTAGAMRALLPKLAKLLKDEYNLEKHVREGVKSLEIELTMMHAALRKVAEVPLDQLDDQVKIWASKVREISYDMEDAVDAFMVRVEDDSHSGPSTFKNRVKRSIKKISKLFRKAKELHQIADAIKEAQALAQQMAGLRERYSGLELQNSGVAATIDPRLTALYIDATDLVGIDHAREELIKILTEGEDSCKQQLKIISIVGFGGLGKTTLARAVHEKIAAQFDCAAFVSISRNPDIRMIFKKILHQLEKEKYANINESSWDDTQLIDELREFLQDKRAIGSIEERVVERFVVSTNAFQRATECTFFNFVTVPSMFPRGAMPRVRFLHFSLLAWDNNMTIGNGSREVEAVEAALRRATDVHPNNPTLVVVRYHAGHLREQENNLADVEDEKESSPPSLAGSIFLEIDITIDNMSMYAELAVIGFVSNDRNRMDKLHSSGDDVETKLTISTYFEMVFVGSIYFVSVLLLQVAQLHIEIYI >ORUFI10G01110.2 pep chromosome:OR_W1943:10:1374290:1388336:-1 gene:ORUFI10G01110 transcript:ORUFI10G01110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAGPLGALLPKLTKLLQDEYNLEKHVREGIKSLEIELKMMNAALHKVAEVPLDQLDDQVKIWASNVREISYDMEDAVDAFMVRVEDDSHSRPNTFKKRVNWSIKMISKLFKKAKELHQIADAIKEAQALAQQMAGLRERYSGLELQNSGVAATIDPRLTALYIDATDLVGIDHAREELIKILTEGEDSSKQQLKIISIVGFGGLGKTTLARAVHEKIGAQFDCAAFVSVSRNPDIRMIFKKILHQLEKEKYTNINESSWDETQLIDELREFLQDKRYFIIIDDLWDVRVWDYIKCAFPKDNLGSRLIMTTRNVNVSKACCSANNDIIYKMKPLSDDDSKKLFYKRIFPHGNGCPCELEEVSNEILKKCGGVPLAIITIASLLANKEIQTKDQWYTLHNSIGRGLTEGRNVEDMQKILSFSYYDLPSHLKSCLLCLSVFPEDYEISRDRLIWRWIAEGFVQQTQKDGSLFEQGENYFNELINRNMIQPIDIDAEGKAKACRVHDMVLDLICHLSSEHNFITVFDDIGNITSSGKKIRRLSLQYSMTECNTTWCTMTTLQVRSLTIFSPSINLMPSLSSFKMIRVLDLEGCDLGKSNQLNLMHVGCLLHLRYLGLRDTLSIKWSSKHGERSIGTYVIRELPTQIGKLEFLQTLDLVRSGIKELPVSVVQLRRLMCLHVDYHTRLPNGLGKMTALEELSYISTSHFVDIVKELRQLTRLRVLAIRWEELGEKQDKAFVDCLGSLHKLQSLEIDALGGGMNLMKECWVPPVSLRRFLPREPTNSFSTLPAWINPSLLITCLDIWVDQVRSGDIQILGELPALCSITFRAIGSIEESVVERFVVSTNAFQRATECTFFNFVTVPSMFPRGAMPRVRYLDFTLLAWDNNMAIGNGSSGDLDLAMGHLPSLECVSVMLWCKKASQVEAVEAALRRATDVHPNNPTLVVVRYHAGHLREQENNLADVEDEKESSPPSLAGSIFLEIDITIDNMSMYAELAVIGFVSNDRNRMDKLHSSGDDVETKLTISTYFEMVFVGSIYFVSVLLLQVAQLHIEIYI >ORUFI10G01110.3 pep chromosome:OR_W1943:10:1374290:1379244:-1 gene:ORUFI10G01110 transcript:ORUFI10G01110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAGAMRALLPKLAKLLKDEYNLEKHVREGVKSLEIELTMMHAALRKVAEVPLDQLDDQVKIWASKVREISYDMEDAVDAFMVRVEDDSHSGPSTFKNRVKRSIKKISKLFRKAKELHQIADAIKEAQALAQQMAGLRERYSGLELQNSGVAATIDPRLTALYIDATDLVGIDHAREELIKILTEGEDSCKQQLKIISIVGFGGLGKTTLARAVHEKIAAQFDCAAFVSISRNPDIRMIFKKILHQLEKEKYANINESSWDDTQLIDELREFLQDKRAIGSIEERVVERFVVSTNAFQRATECTFFNFVTVPSMFPRGAMPRVRFLHFSLLAWDNNMTIGNGSREVEAVEAALRRATDVHPNNPTLVVVRYHAGHLREQENNLADVEDEKESSPPSLAGSIFLEIDITIDNMSMYAELAVIGFVSNDRNRMDKLHSSGDDVETKLTISTYFEMVFVGSIYFVSVLLLQVAQLHIEIYI >ORUFI10G01110.4 pep chromosome:OR_W1943:10:1379248:1388336:-1 gene:ORUFI10G01110 transcript:ORUFI10G01110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAGPLGALLPKLTKLLQDEYNLEKHVREGIKSLEIELKMMNAALHKVAEVPLDQLDDQVKIWASNVREISYDMEDAVDAFMVRVEDDSHSRPNTFKKRVNWSIKMISKLFKKAKELHQIADAIKEAQALAQQMAGLRERYSGLELQNSGVAATIDPRLTALYIDATDLVGIDHAREELIKILTEGEDSSKQQLKIISIVGFGGLGKTTLARAVHEKIGAQFDCAAFVSVSRNPDIRMIFKKILHQLEKEKYTNINESSWDETQLIDELREFLQDKRYFIIIDDLWDVRVWDYIKCAFPKDNLGSRLIMTTRNVNVSKACCSANNDIIYKMKPLSDDDSKKLFYKRIFPHGNGCPCELEEVSNEILKKCGGVPLAIITIASLLANKEIQTKDQWYTLHNSIGRGLTEGRNVEDMQKILSFSYYDLPSHLKSCLLCLSVFPEDYEISRDRLIWRWIAEGFVQQTQKDGSLFEQGENYFNELINRNMIQPIDIDAEGKAKACRVHDMVLDLICHLSSEHNFITVFDDIGNITSSGKKIRRLSLQYSMTECNTTWCTMTTLQLAGGIFLEIDITIDNSQYDRNWMDALHSSGDDVETKLTISTYFDMLFVGSIYFVSVLN >ORUFI10G01110.5 pep chromosome:OR_W1943:10:1374290:1388336:-1 gene:ORUFI10G01110 transcript:ORUFI10G01110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAGPLGALLPKLTKLLQDEYNLEKHVREGIKSLEIELKMMNAALHKVAEVPLDQLDDQVKIWASNVREISYDMEDAVDAFMVRVEDDSHSRPNTFKKRVNWSIKMISKLFKKAKELHQIADAIKEAQALAQQMAGLRERYSGLELQNSGVAATIDPRLTALYIDATDLVGIDHAREELIKILTEGEDSSKQQLKIISIVGFGGLGKTTLARAVHEKIGAQFDCAAFVSVSRNPDIRMIFKKILHQLEKEKYTNINESSWDETQLIDELREFLQDKRYFIIIDDLWDVRVWDYIKCAFPKDNLGSRLIMTTRNVNVSKACCSANNDIIYKMKPLSDDDSKKLFYKRIFPHGNGCPCELEEVSNEILKKCGGVPLAIITIASLLANKEIQTKDQWYTLHNSIGRGLTEGRNVEDMQKILSFSYYDLPSHLKSCLLCLSVFPEDYEISRDRLIWRWIAEGFVQQTQKDGSLFEQGENYFNELINRNMIQPIDIDAEGKAKACRVHDMVLDLICHLSSEHNFITVFDDIGNITSSGKKIRRLSLQYSMTECNTTWCTMTTLQLAGSIFLEIDITIDNMSMYAELAVIGFVSNDRNRMDKLHSSGDDVETKLTISTYFEMVFVGSIYFVSVLLLQVAQLHIEIYI >ORUFI10G01120.1 pep chromosome:OR_W1943:10:1392157:1392690:1 gene:ORUFI10G01120 transcript:ORUFI10G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEIIGAPGPMIFGTPALIHGNLHLHWKKRRGTHYNRILVFDTVAESFRQLRPPAVNPRNYTRLLAMDGMLAMSVSKERVMDMSIFMLEDYDHEVWAFRYKIKLPTMEIRRFQDQGDWWADVVSEEGDILVSCFGWLLHCDNKGNLVSKFQYNDDLPAITPHRIKESLVQHAFFKR >ORUFI10G01130.1 pep chromosome:OR_W1943:10:1396843:1397622:1 gene:ORUFI10G01130 transcript:ORUFI10G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAATPPPASAAVSPNEAAEAALRLAENMFLRGDLAGARREAARARALCPAPSPLHPAAARAMAAYRVHVVAAAAAAAGNARGRGVDWHTLLGVRRGDGLDAAKKQFKLMRLLTHPDKNRSAAADGAFKLVTEAWEAISSGHAPFFSGDDVERDVPKPPRPPRPPRRQSPAPPPQHGQRRATRDYGEEHVRHDGCCRENYRSTYRRGRRRPSPAVAAAASKMYFAFCPFCGAKATQPKNAQWLDMDPLAFCSKFHRF >ORUFI10G01140.1 pep chromosome:OR_W1943:10:1398368:1400634:-1 gene:ORUFI10G01140 transcript:ORUFI10G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRIHHGFLVPRAPPVRRNAPVTPAATRAPPATRASTRTTCSTERHRTDGRVMMEPAHAPGRDRLSALPDNVLRRIMSFLNARQSVQTCVLSRRWRHLWRSLPRINADYTEFCFACLDEKKEKVQEARFKKFVSTLLLRRDPVPLLDKFWLRYQVSDGNNNEKASAEAGLWISHALQLQTPVVEVLTFQFPLMLDHAVFTSDYLRKLGLSNAYLRMGFFEQLNRGCPQLEDVFLNDCIILDDEISSTTLKTLNIYASRFSEDYRASISTPSLTSLTLYKPDASVPSLKDMKSLVSASIILDDNTDIHELLMSLSGVRNLDLECPQKMVTIAKNTQWCPEFKDLVNLSLGQFCLGSKLYALTEFLKNSPKLEKLTLDPPEVIIDKLEERSFECEHLKIVEVICSEDDSTLLKLVEDIFVTCGMSSLQINRKSSYKQYYSDDFFRFDYDSTTAQEHAE >ORUFI10G01140.2 pep chromosome:OR_W1943:10:1398368:1400584:-1 gene:ORUFI10G01140 transcript:ORUFI10G01140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPAHAPGRDRLSALPDNVLRRIMSFLNARQSVQTCVLSRRWRHLWRSLPRINADYTEFCFACLDEKKEKVQEARFKKFVSTLLLRRDPVPLLDKFWLRYQVSDGNNNEKASAEAGLWISHALQLQTPVVEVLTFQFPLMLDHAVFTSDYLRKLGLSNAYLRMGFFEQLNRGCPQLEDVFLNDCIILDDEISSTTLKTLNIYASRFSEDYRASISTPSLTSLTLYKPDASVPSLKDMKSLVSASIILDDNTDIHELLMSLSGVRNLDLECPQKMVTIAKNTQWCPEFKDLVNLSLGQFCLGSKLYALTEFLKNSPKLEKLTLDPPEVIIDKLEERSFECEHLKIVEVICSEDDSTLLKLVEDIFVTCGMSSLQINRKSSYKQYYSDDFFRFDYDSTTAQEHAE >ORUFI10G01150.1 pep chromosome:OR_W1943:10:1407174:1430567:-1 gene:ORUFI10G01150 transcript:ORUFI10G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVKSITTKYDKEAVGTDVTTAKEIRNELILQIAQLLEKSCEIMLKKYIAGDLFSESKKNIAKALSNEDNPTSSVDDVIIPSLKTFDYVCQDLEKTYRASVEENRAKLKDDLRNYAVKKANNIECVDHV >ORUFI10G01160.1 pep chromosome:OR_W1943:10:1452447:1464375:-1 gene:ORUFI10G01160 transcript:ORUFI10G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQQVPINDRLSNLSNDLICRIISNLDSRQAVQTSLLSRRWRNLWCSLTSIKVDFCEFDGETDSWEGDQARFRKFVNNLLLRRDPVPVLDKFCLRSYIPHGANDQEASADANLWISHALQLKAPVVEVDQDIQTRDTLELGGHAVFASQYLTRLVLSAVSFTQGFFKQLEIGCSKLEHLSIYDSIVCVDISSKTLKVLIIDNSEFSYDYNTSISTPSATSLTLIDLGGRLPLLKDMGSLVSASVYLTREAIPLDTAINIDQWFMGLSGVRHLALDFPVEVIKIKDNMQWCPKFNNLVNLTLGRWCLDSKLYALTVFLQNSPKLEKLRLEIDEGYTAKDIKGELKERSFTCEHLKIVEVDCVEDDPLEVECLEDEPDPLVNRVKKFFRNSGMTSIQINITHLDYHLPYEYNIEDNMQWCSKLNNLVNLTLGRWCLDSKLYALTVFLQNSPKLEKLRLEIDEGYTAEKITCELQERSFTCEHLKIVEVACVEDDPLEVTCLEDDDDDDPLVDRVEKFFRDSGMTSLEYPAAFYDSWRVLSEFQDLLSLLIAFDFGKRPPFYNAKWLSKGSLHHPRPPPVRRNASAPLGSPGWLMEPEKAPGRGRLMLSDLPDDLIRRIMSFLYARQAVRTCVLSRRWRDLWRSLTRINADFCEFKGDTRTWVGDKARFEKFLNALLLRRDPVLLVDKFWLRCPSCSFGVYSLDANLWISHVLQLQAPVLDVRAVGISRLNQAVFTSQYLRRLALSSVVLSKGFFNQLKMRCPELECLFLRDCHIHDHHISSQTLKILTINISDFSFVDKYDCCISTPSVTALTLFGPKGRVPLLQDMASLVSASVYLANDFSNFGTAVDVHRLLTSLSGVKYLALDFDGVNEVQITNENNIQWCPEFIDLVSLTLGSWCLESNFYGLTVFLQNSPKLEKLTLKLNKVHTRRIVGKLKEKSFTCERLKVVEVICIGDDPLVNCVEEFFFNSGMTSLQIRINHLDGYELYEPRLYRDEYRRRQYMG >ORUFI10G01160.2 pep chromosome:OR_W1943:10:1452445:1464375:-1 gene:ORUFI10G01160 transcript:ORUFI10G01160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQQVPINDRLSNLSNDLICRIISNLDSRQAVQTSLLSRRWRNLWCSLTSIKVDFCEFDGETDSWEGDQARFRKFVNNLLLRRDPVPVLDKFCLRSYIPHGANDQEASADANLWISHALQLKAPVVEVDQDIQTRDTLELGGHAVFASQYLTRLVLSAVSFTQGFFKQLEIGCSKLEHLSIYDSIVCVDISSKTLKVLIIDNSEFSYDYNTSISTPSATSLTLIDLGGRLPLLKDMGSLVSASVYLTREAIPLDTAINIDQWFMGLSGVRHLALDFPVEVIKIKDNMQWCPKFNNLVNLTLGRWCLDSKLYALTVFLQNSPKLEKLRLEIDEGYTAEKITCELQERSFTCEHLKIVEVACVEDDPLEVTCLEDDDDDDPLVDRVEKFFRDSGMTSLEYPAAFYDSWRVLSEFQDLLSLLIAFDFGKRPPFYNAKWLSKGSLHHPRPPPVRRNASAPLGSPGWLMEPEKAPGRGRLMLSDLPDDLIRRIMSFLYARQAVRTCVLSRRWRDLWRSLTRINADFCEFKGDTRTWVGDKARFEKFLNALLLRRDPVLLVDKFWLRCPSCSFGVYSLDANLWISHVLQLQAPVLDVRAVGISRLNQAVFTSQYLRRLALSSVVLSKGFFNQLKMRCPELECLFLRDCHIHDHHISSQTLKILTINISDFSFVDKYDCCISTPSVTALTLFGPKGRVPLLQDMASLVSASVYLANDFSNFGTAVDVHRLLTSLSGVKYLALDFDGVNEVQITNENNIQWCPEFIDLVSLTLGSWCLESNFYGLTVFLQNSPKLEKLTLKLNKVHTRRIVGKLKEKSFTCERLKVVEVICIGDDPLVNCVEEFFFNSGMTSLQIRINHLDGYELYEPRLYRDEYRRRQYMG >ORUFI10G01170.1 pep chromosome:OR_W1943:10:1472493:1479321:1 gene:ORUFI10G01170 transcript:ORUFI10G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALGTAGWVGRNVVHSPALAELLRQLSHLAEDADNVLDKVDYYRIRDHVKRTHEAVDDDQVVDGRLVRRSILHARHAVGKCRRSLASSLSCRGRAAVDVEFFNRSIVSERIKSLMAQMQPLCAKISDFLKLELMDPRTTAANTTAAAAFSERVTTTTSTSLEAKLYGRYAEFYAAINEITGDRDGLAVLPVVGPGGIGKTTFTQHLFHDQRVKKHFHVRIWVHVSLRFDVLRLTKEIFNNMVASEVSWRRRWGNEREPHNLEQLQTLVERRLQSRRFLLILDDMWPCDSEYKWDKFLAPFRKTSAKGSTVIVTTRSEETADMVKSETNLLIRLGGLDSRPIWAFFLACALGDERAEHHKELLDLGREIVKKLKFSPLAAKTVGRLLKKDLTRRHWSRVLDSKEWEHADSVNDIMPALKLSYDCLPFHLQKCFTYCALFPDDYQYQDSELTHLWSALGVINCSGQDDRIQDIGLKYINGLVNNGIFQKVDGVKFSHKKGREVKHTYYVMHGLLHELARIVSSRECLSIDCSNPRFAYTPPSIRHLSIRTSCTSDTVGLDHYQNFKEEIRNLKEQISVANLHTVMFIGEYDERFSEAFKEILQDVKHVRVLRLFQTTLEFLPSKLIHLRYLRIQASKKTMNTQLKLNRSVTRKWDKFRLMMGETQTPATNDHLTSLPSSLPEYYHLRFLDLQDWTGMTTVPKHMQISHLIYLRQFLASKELQSSVAKIGKLKLLQELSKFQVNREERAGFELQQLGELRDLGGALTISNLHKVKTRTEAEKAKLTLKRNLVRLKLVWDETGREQTEEEANSIEGLQPPANLRELCIKNHKGNSCPSWFDSTISLKRIEVLHLHGVSWNTLPPFGQIPYLQKLKLENIAIEKFEVRYESLETLKSIEFNGMLSMVEWVSGNTWHLFSQLEQVKVSNCPVLKELPFSHDLKLLQTPDAQERHIFRPDLQILWVIGWRTSQASPVEHKCMTLKTNLRELVVRDCPQLSLPLMPYTTKLELAKVASRPYKLLYDIHMLEIRGVDNILLNLGNLDNVLAFHDMEWLVRVTIKVCSSVPLATLQKLTSLETLAIEDCISLSSGRGESDAIQIPIKHLMLRNCYITGKELSEILACCPCLSHLEMEDCKGITGLCMQQSSHEMDDDDNDIDGMLQFPSQFTSSLSRLGIFSRDHLTMNVKDEVLKKLMSLHWLQLGGCVLSCAAMQAVHDDLPLMNNLKALRAYGYDIYDLEEDRLMTRMARTVVAGSKELEELDIGSISGVLAAPICQRLSASLHRLTFRNDTMVQHFTEEQETALKLLTSLQELIFYGCNRLQSLPSSLRSLRSLKRLEVSYRQLQGYSPELEEQCNNLRQHIPEVGIQEEQTVADRYRQEGGLTSVELLEGPARLQI >ORUFI10G01180.1 pep chromosome:OR_W1943:10:1473675:1476040:-1 gene:ORUFI10G01180 transcript:ORUFI10G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRICKSASGENLSFFTISLPKSSNSLWCSALSSPSAHAKKKAQIGRLSRPPRRMSKLVSDLTMSAVSSDRVVTMTVLPLALVFLNGAKNLSHLYSLSHGHISSKMSKNLLDCSLRSTSVWSCSRLCGSLSLPHLRLQLTSLATMLLKISLVRRSTSKRRETCTQILTWKCFFTLWSWNRCWVKVVLPIPPGPTTGRTARPSRSPVISLMAA >ORUFI10G01190.1 pep chromosome:OR_W1943:10:1479608:1479796:1 gene:ORUFI10G01190 transcript:ORUFI10G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLNHQYIHAWRARARFPALAARCAWAVPPTSRRLTRPRLASRRVAPAVSPAVAERWSPAA >ORUFI10G01200.1 pep chromosome:OR_W1943:10:1480309:1480533:-1 gene:ORUFI10G01200 transcript:ORUFI10G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPGKRLRYTASRRVGSTLSDLPEGVLHHIMSFLDSRQAVQMCVLLQRWRNLWRSMPRINIDCKEFEVTNKI >ORUFI10G01210.1 pep chromosome:OR_W1943:10:1486782:1494935:-1 gene:ORUFI10G01210 transcript:ORUFI10G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVLIESWECVVCVASVLAEETASSGRACGSRRRQAGSAERDTMAGMEASSRQDTGAIGSAAFVAIKSIPHNHLVLVQLTIQNSLQWCPKFFNLVSLTLGHWCLHGNFYTLIVFLQNSPRLEKLTLILGNDHWKTFEASIGDKLDERSFTCEHLMSVKVRCSEDDPMLWWRGRKLDDGVAELTKSGLGLSPLAAAIFPSSDLRLSPDRRHQLVKLRVAMHSFNAPLRAMPHLALTNPNEKRGTQLGSTVFTWDADPLPLAIKVTPFQSAHDTYSTNGLRGRGSDTMFKRDAPSTDMISGLPEGVLHRIMSFLTLREAVQTCVLSRRWRNLWLSMPLINADYKQFFEMTDTKAGYDEALAVAVPMFKRFVNRLLELRDPVASIDKFCLWYSISDDNEDDTESQDAAANRWISQALQKKARVVEVYGDLVFADLYPLVIDHSVFTSSYLTKVVFSSVLLEDGFFKQLESGCPALEDLSLDDCVISGDEISSQTLKVLTIKDTKFSMEHKTSINTPSVTSLTLWRPAHGIVVLKDMASVVTASVKPSEFIDEFDARGLRQYLWALSGVKNLEFYYLGELTIEGNLQLCPKFNNLVNLTLGRWCLDANFYALILFMQNTPRLEKLTLKLHPFRYQQQRIIGELTERSFTCGHLKIVEVICSENDPLINHLVDFFRYDHCSDSYQTLKPDAASRASNFLA >ORUFI10G01210.2 pep chromosome:OR_W1943:10:1486782:1494935:-1 gene:ORUFI10G01210 transcript:ORUFI10G01210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVLIESWECVVCVASVLAEETASSGRACGSRRRQAGSAERDTMAGMEASSRQDTGAIGSAAFVAIKSIPHNHLVLVQLTIQNSLQWCPKFFNLVSLTLGHWCLHGNFYTLIVFLQNSPRLEKLTLILGNLWWRGRKLDDGVAELTKSGLGLSPLAAAIFPSSDLRLSPDRRHQLVKLRVAMHSFNAPLRAMPHLALTNPNEKRGTQLGSTVFTWDADPLPLAIKVTPFQSAHDTYSTNGLRGRGSDTMFKRDAPSTDMISGLPEGVLHRIMSFLTLREAVQTCVLSRRWRNLWLSMPLINADYKQFFEMTDTKAGYDEALAVAVPMFKRFVNRLLELRDPVASIDKFCLWYSISDDNEDDTESQDAAANRWISQALQKKARVVEVYGDLVFADLYPLVIDHSVFTSSYLTKVVFSSVLLEDGFFKQLESGCPALEDLSLDDCVISGDEISSQTLKVLTIKDTKFSMEHKTSINTPSVTSLTLWRPAHGIVVLKDMASVVTASVKPSEFIDEFDARGLRQYLWALSGVKNLEFYYLGELTIEGNLQLCPKFNNLVNLTLGRWCLDANFYALILFMQNTPRLEKLTLKLHPFRYQQQRIIGELTERSFTCGHLKIVEVICSENDPLINHLVDFFRYDHCSDSYQTLKPDAASRASNFLA >ORUFI10G01220.1 pep chromosome:OR_W1943:10:1494969:1499095:-1 gene:ORUFI10G01220 transcript:ORUFI10G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICKRNIGDEQLETGCPALEDLSFHDCFIFDGEVSSQTLKISISTPSVTSLTLDNPMDGIVVLKDMKSLVRASVRLNRQWPHDDFDARDLRNYLWTLSGIENLKFYCGRRKS >ORUFI10G01230.1 pep chromosome:OR_W1943:10:1499299:1501601:1 gene:ORUFI10G01230 transcript:ORUFI10G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQTTAAGEEAVDDSEKMVDSNKTGGSPAGTSKQTLSAEEMDDQLEQFTSLMQQKFLSGEDFGHMDYSRINNDEMLDDHWSKEANYDAGEKYFEED >ORUFI10G01240.1 pep chromosome:OR_W1943:10:1506724:1507675:1 gene:ORUFI10G01240 transcript:ORUFI10G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSANDDSSADTTTRRNAENFLAILLKVVSSPEVAGIDASGVANGGGLRSNGKDSPAVVDNVGFTATARLGGGMLREGAWMWIKRDGVKRRFAQHDVGSMERERFGDGDDSSATASVVFFATDDENVGDGEA >ORUFI10G01250.1 pep chromosome:OR_W1943:10:1508509:1509009:-1 gene:ORUFI10G01250 transcript:ORUFI10G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPGKRVQPTSPGSAPCTDRLSDLPEGVLHHIMSFLTSRQATCVLSKRWCYLWRSVPCINADYKQFEVTHSEAGDGEAPALFKRFVNRLLELRDPVASLDKFCLRYSISYDNDDDTDPQDAVANRWISHAFQKKARVVEVYVDLVYADLFPLAINHSVFTSS >ORUFI10G01260.1 pep chromosome:OR_W1943:10:1508611:1509072:1 gene:ORUFI10G01260 transcript:ORUFI10G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPIRDCILRVSVIVVVIRYGIPETELVQRGDWVAEFQQPVDKSLEQRRRLTVSRFRMRHLELLVIGVDAGHGAPEVAPPLGQHARLHCLARGEEGHDVVEDSFREVAEPVSTGGAPRGRGLHALARRSFHGGRARRGLSSNRWRASKLQK >ORUFI10G01270.1 pep chromosome:OR_W1943:10:1511965:1521436:-1 gene:ORUFI10G01270 transcript:ORUFI10G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRPGRRRRRRVKAPTRAAGMDWISGLPDEILHHIMSFLNARQAVQTCVLSRRWSDLWRTVPCINADFNEFDFIDYQGDDEDYNDEVAFKRFVNRMLELRDPATMMDKFWLKYKISDGYNEYKDSNVDANRWISHALQKQARVMEVVVFSFPLELDHSVFTSCYLRKIGFSCVSLHQGFFKQLDAGCPELEELFLHDCTIADEEIFSQSLKVLTIDDTEFSKANKAYISIPSVTSLTLSSPENSTPMLKDMALLTTTSVSVKFYTFSYGFDANDLRQCLWSLSGVTNLELNYEGTELTFENNLQWCPEFINVVNLTLGQWCLDANFYALIVFLQNSARLEKLTLNLAKLPRAPPVRRKASERVLSASVAMEEPPGKKGPAMDPAQDSGRDWLSGLPEGVLHRIMSFLDSRQAVRTCVLSRRWRDLWRSVPRVHADICDLIPDRIIDVEGEKAKMVVFNSFVNRLLERRDPTASIETFFCRCCIPDEDGHGSADANRWISYGLQKNAWFLEVVMLLKPLELDPSVFSSIYLRRIAFDNVFMDQGFFKQLQMGCPALERFDLDGCIVADDEISSNTLKVLTFDTTKFCYEYRISISTPTVTTLGLRNTIGGKPVLKDVASLVSASVVLYCVETSDFDANDLRHYLWSFSHVKDLIFSYQGKKPHNHSSLVQLTIENNLQWCPKFFNLVGLTLGKWCLNANFYALIVFLQNSPRLEKLTLILAEDNWKTTEVFIGDLEERSFTCEHLTSVEVKCWEDDPLVNVVDFFVGSGMSSAQIHIEYEDNDEDQFHIESDNMVGFELEDEDEDEDEDEDEDEDEDEDGDEDEQRSLFQSKDV >ORUFI10G01280.1 pep chromosome:OR_W1943:10:1525770:1548518:-1 gene:ORUFI10G01280 transcript:ORUFI10G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILNNKIIHKSTKTSKENLQETWEGEGLALGLHAPPSASLLVRSGDIASLFGNHEAKTMEIPESSSSSNVFIGDEAPVPEEEPRQVPTDAFPRAPPVRRNASSVSATMDRRPGRHKLRRVKAHVRAARMDRISGLPDKILHHIMSFLNTRQAVQTCVLSRRWRNLWRTMPCINADFDEFDFIAYQGDDEDYNDEVAFKRFVNQMLELRDPTAMIDTFWLSYIIWDGYNEYKDSNVDANRWISHALQKQARVIEVVVFAFPLELDHSVFTSCYLRKIGFSCVSLHQGFFKQLDTGCPALEELFLHDCIIADEEILSQSLKVLTIDGTEFSMANKASISIPSVTSLTLSIEDSTPMLKDMELLTTASVSVKFNTFIFSYGFDANDLRQCLWSLSGVTNLEFNYEGTELTFENNLQWCPEFIDVVNLTLGQWCLDANFYALIVFLQNSPRLEKLTLNLAKCIADKSPRIVGELMERSFTCEHLKIVEVKCLEDDPQVISVEDFFASNGMASVQFDIKHWGQYKDELPAFIRINSLSATFTVPHAHHLFDETPLRAWPPWRGVLAVARRKVKTPAHAAGMDWISDLPDEILHRIMSFLNARQAVQTCVLSRRWRNLWRTVPCINADCKEFDFFGFRRSEVEFKRFVNRLLELRDPIAMMDAFWFRYHKLDTDTTSSADTNRWISHALQKQARVLEAVMYPCHLLDLDHSSFTSRYLRRIGFSGVRLDQGFFKQLEAGCPALEDLFLHHCTIEDDKISSQTLKVLTIDRTYFLIAINATDVQKKSISAPSVTSLTMYSPEGSLHILKDMTSLVTASVSFSEFRVHFDANDFYQYLWSLSGVTNLEFNYQGPKLKIENNLQWCPEFVNVVNLTLGKWCLDANFYALTVFLQNSPKLQKLTLKLAKCTSEIHQRIIGELTERSFTCEHLKIIEVICLENDPQVIRVKDFFASSGITSVQFHIKHWSQLKEDNQVSKADASQSRIRASTHAHYLFDETPLRRARLPARAMEAPPVKSGWRVMAPAHAGGREDRLSDLPEGVLHRVMSFLDSRQAVRTCVLSRRWRDVWRTVPRVHADFCDFTLNWTSDDDEVDEAAVAEDEVVFNRFVNRLLELRDPNASIRSFFLRFCRSDGGDDGSAEGNRWISYALQKNVRILEVSVLSYALELDHSVFSSRYLRTMDFSNVVMNQGFFKQLEMGCPELEELFLDECFIVDDEISSQTLKVLTLDATHFCCGFKTSISSPSITSLALHYPMSGKPVLNDMEALVSTSMLLCHVKDDDFAANDLRDYLWSLYNVEILDFSYHGKKSDSRSMVSGCQLLWTNRLPSELTNIGEANPRTRNGKLQRIIGQIEERSFTCEHLTSVEVICLEDDPLVNDVVNFFVNSGIFISNNGARASMSNIHFSSLRTYETSKDHTFGAGFHARHLFDERRLSGCSAAMEEPPGKKGPAMDPAQDSGRDWLSGLPEGVLHRIMSFLDSRQAVRTCVLSRRWRDLWRSIPRVHADIYDFTPDGTIDGEGEEDVEEAEVVVVFNRFVNRLLERRDPTASIETFFFRCCIPDEDDDGSADANRWISYGLQKNAWFLEVVVQLNSLELDRSVFNSIYLRRIAFGNVFMDQGFFKQLQIGCPALERLYLDDCIVADDEISSNTLKVLTFDTTEFCYEHRISISIPTVTTLALRNTICGKPVLKDVASLVSASVVLYCVESGNFDAYDLRHYLWSFSHVKDLIFSYQGRKLTIENNLQWCPKFFNLVGLTLGKWCLNANFYALIVFLQNSPRLEKLTLILAEDNCKTSEVFIGELEEKSFTCEHLTSVEMKCWEDDPLVINVVDFFVGSGMSSSQIHIEYEDDDEDQFHIESDDMFGFEFEYEDEDEDEDEDEDE >ORUFI10G01280.2 pep chromosome:OR_W1943:10:1525768:1543533:-1 gene:ORUFI10G01280 transcript:ORUFI10G01280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISGLPDKILHHIMSFLNTRQAVQTCVLSRRWRNLWRTMPCINADFDEFDFIAYQGDDEDYNDEVAFKRFVNQMLELRDPTAMIDTFWLSYIIWDGYNEYKDSNVDANRWISHALQKQARVIEVVVFAFPLELDHSVFTSCYLRKIGFSCVSLHQGFFKQLDTGCPALEELFLHDCIIADEEILSQSLKVLTIDGTEFSMANKASISIPSVTSLTLSIEDSTPMLKDMELLTTASVSVKFNTFIFSYGFDANDLRQCLWSLSGVTNLEFNYEGTELTFENNLQWCPEFIDVVNLTLGQWCLDANFYALIVFLQNSPRLEKLTLNLAKCIADKSPRIVGELMERSFTCEHLKIVEVKCLEDDPQVISVEDFFASNGMASVQFDIKHWGQYKDELPAFIRINSLSATFTVPHAHHLFDETPLRAWPPWRGVLAVARRKVKTPAHAAGMDWISDLPDEILHRIMSFLNARQAVQTCVLSRRWRNLWRTVPCINADCKEFDFFGFRRSEVEFKRFVNRLLELRDPIAMMDAFWFRYHKLDTDTTSSADTNRWISHALQKQARVLEAVMYPCHLLDLDHSSFTSRYLRRIGFSGVRLDQGFFKQLEAGCPALEDLFLHHCTIEDDKISSQTLKVLTIDRTYFLIAINATDVQKKSISAPSVTSLTMYSPEGSLHILKDMTSLVTASVSFSEFRVHFDANDFYQYLWSLSGVTNLEFNYQGPKLKIENNLQWCPEFVNVVNLTLGKWCLDANFYALTVFLQNSPKLQKLTLKLAKCTSEIHQRIIGELTERSFTCEHLKIIEVICLENDPQVIRVKDFFASSGITSVQFHIKHWSQLKEDNQVSKADASQSRIRASTHAHYLFDETPLRRARLPARAMEAPPVKSGWRVMAPAHAGGREDRLSDLPEGVLHRVMSFLDSRQAVRTCVLSRRWRDVWRTVPRVHADFCDFTLNWTSDDDEVDEAAVAEDEVVFNRFVNRLLELRDPNASIRSFFLRFCRSDGGDDGSAEGNRWISYALQKNVRILEVSVLSYALELDHSVFSSRYLRTMDFSNVVMNQGFFKQLEMGCPELEELFLDECFIVDDEISSQTLKVLTLDATHFCCGFKTSISSPSITSLALHYPMSGKPVLNDMEALVSTSMLLCHVKDDDFAANDLRDYLWSLYNVEILDFSYHGKKSDSRSMVSGCQLLWTNRLPSELTNIGEANPRTRNGKLQRIIGQIEERSFTCEHLTSVEVICLEDDPLVNDVVNFFVNSGIFISNNGARASMSNIHFSSLRTYETSKDHTFGAGFHARHLFDERRLSGCSAAMEEPPGKKGPAMDPAQDSGRDWLSGLPEGVLHRIMSFLDSRQAVRTCVLSRRWRDLWRSIPRVHADIYDFTPDGTIDGEGEEDVEEAEVVVVFNRFVNRLLERRDPTASIETFFFRCCIPDEDDDGSADANRWISYGLQKNAWFLEVVVQLNSLELDRSVFNSIYLRRIAFGNVFMDQGFFKQLQIGCPALERLYLDDCIVADDEISSNTLKVLTFDTTEFCYEHRISISIPTVTTLALRNTICGKPVLKDVASLVSASVVLYCVESGNFDAYDLRHYLWSFSHVKDLIFSYQGRKLTIENNLQWCPKFFNLVGLTLGKWCLNANFYALIVFLQNSPRLEKLTLILAEDNCKTSEVFIGELEEKSFTCEHLTSVEMKCWEDDPLVINVVDFFVGSGMSSSQIHIEYEDDDEDQFHIESDDMFGFEFEYEDEDEDEDEDEDE >ORUFI10G01280.3 pep chromosome:OR_W1943:10:1525770:1548518:-1 gene:ORUFI10G01280 transcript:ORUFI10G01280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNILNNKIIHKSTKTSKENLQETWEGEGLALGLHAPPSASLLVRSGDIASLFGNHEAKTMEIPESSSSSNVFIGDEAPVPEEEPRQVPTDAFPRAPPVRRNASSVSATMDRRPGRHKLRRVKAHVRAARMDRISGLPDKILHHIMSFLNTRQAVQTCVLSRRWRNLWRTMPCINADFDEFDFIAYQGDDEDYNDEVAFKRFVNQMLELRDPTAMIDTFWLSYIIWDGYNEYKDSNVDANRWISHALQKQARVIEVVVFAFPLELDHSVFTSCYLRKIGFSCVSLHQGFFKQLDTGCPALEELFLHDCIIADEEILSQSLKVLTIDGTEFSMANKASISIPSVTSLTLSIEDSTPMLKDMELLTTASVSVKFNTFIFSYGFDANDLRQCLWSLSGVTNLEFNYEGTELTFENNLQWCPEFIDVVNLTLGQWCLDANFYALIVFLQNSPRLEKLTLNLAKCTSEIHQRIIGELTERSFTCEHLKIIEVICLENDPQVIRVKDFFASSGITSVQFHIKHWSQLKEDNQVSKADASQSRIRASTHAHYLFDETPLRRARLPARAMEAPPVKSGWRVMAPAHAGGREDRLSDLPEGVLHRVMSFLDSRQAVRTCVLSRRWRDVWRTVPRVHADFCDFTLNWTSDDDEVDEAAVAEDEVVFNRFVNRLLELRDPNASIRSFFLRFCRSDGGDDGSAEGNRWISYALQKNVRILEVSVLSYALELDHSVFSSRYLRTMDFSNVVMNQGFFKQLEMGCPELEELFLDECFIVDDEISSQTLKVLTLDATHFCCGFKTSISSPSITSLALHYPMSGKPVLNDMEALVSTSMLLCHVKDDDFAANDLRDYLWSLYNVEILDFSYHGKKSDSRSMVSGCQLLWTNRLPSELTNIGEANPRTRNGKLQRIIGQIEERSFTCEHLTSVEVICLEDDPLVNDVVNFFVNSGIFISNNGARASMSNIHFSSLRTYETSKDHTFGAGFHARHLFDERRLSGCSAAMEEPPGKKGPAMDPAQDSGRDWLSGLPEGVLHRIMSFLDSRQAVRTCVLSRRWRDLWRSIPRVHADIYDFTPDGTIDGEGEEDVEEAEVVVVFNRFVNRLLERRDPTASIETFFFRCCIPDEDDDGSADANRWISYGLQKNAWFLEVVVQLNSLELDRSVFNSIYLRRIAFGNVFMDQGFFKQLQIGCPALERLYLDDCIVADDEISSNTLKVLTFDTTEFCYEHRISISIPTVTTLALRNTICGKPVLKDVASLVSASVVLYCVESGNFDAYDLRHYLWSFSHVKDLIFSYQGRKLTIENNLQWCPKFFNLVGLTLGKWCLNANFYALIVFLQNSPRLEKLTLILAEDNCKTSEVFIGELEEKSFTCEHLTSVEMKCWEDDPLVINVVDFFVGSGMSSSQIHIEYEDDDEDQFHIESDDMFGFEFEYEDEDEDEDEDEDE >ORUFI10G01290.1 pep chromosome:OR_W1943:10:1543134:1544459:1 gene:ORUFI10G01290 transcript:ORUFI10G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPVGIYIGILVFIVPIPYYVAEPECVYHSGGIAELQHLVNEPLECHLVIVVLIVPLVSNEIKLIEVGIDAGHGAPEIAPPPGQHARLHSLARVQEGHDVVEDFVGEATDPVHSGSAHMGLDPPELVPPRTPIHGGRYRRGVSSNRRCTWKLHKSGRPKEAMNSYESTAQCFVDLFVTWAEWWSAGSVETWSSQARELEKAGGQAAPSHQAGNPQPARRRGGLEESLSQRRGPRLGGLDGGDGADDGGDGAAPAWTTMAAWSRGAAPGGGGLDSLQP >ORUFI10G01300.1 pep chromosome:OR_W1943:10:1558917:1569098:-1 gene:ORUFI10G01300 transcript:ORUFI10G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMNRTRDLLMEGFEGLVREGSFSWALPRRGASPVDDADDPDSSSSSSSGKQPSISGLSPKANAVVSRCSRVLGTSTDELQYDFDMQASDSIKQPRNYARNFLEYCCLRALAHASQVAGYLSDKSFRRLNFDMMLAWEVPSSSSELTVKVEVDNTVSLEAFSRIAPAIPTITDVVTCSNLFDVLSSSSGGRLTFPVYDKYLTGLDRAIKKMKGQSESSLLSAQRSQRGERIVEVDGTLTTQPVLEHVGISTWPGRLTLTDHALYFEALRVVTYDKPKAYELAEDLKQSVKPELTGPWGSRLFDKAVMYKSTTLTEPVIIEFPELAGHFRRDYWLAIISEILYVHRFVRKFDISGVDKEETILKAVLSIMRLQAIEELAIPVSNRFESLLMFNLCDKLPGGDVILETLAGSISSRRSTQVNQPGTSSGRHSMSPFTVLSNLGVVSPINKGERLFVGEIVVGEMSALQKVVNESMNNYKKVELAQATVDGVKVDGLDTNLAVMKELLSPVSELWRFLVLLASWDEPIKSMVFCFSSSYIIISIFRKFLAIITCICTCTHPQSTDEAFPSLRKPISNCLFQYNELHVLRGWLVYFLVLVLLFSAAFMFLTRLTSHGKPMTEVKVTSPPPMNTMEQLLAVQNAISKVEELVQDANIVLLKIRALLLASPSQATDRAILALVVMALSLAFVPTRLLVLMMFLEAFTNHSPPRRASTERWTRRLREWWFSIPAAPVVVEKDKEDKKTK >ORUFI10G01310.1 pep chromosome:OR_W1943:10:1573264:1585909:-1 gene:ORUFI10G01310 transcript:ORUFI10G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRARPPMEDAAGRDRLSDLPDEILHRIMSFLNARQAVQTCVLSRRWRNLWHTVPCINADFVEFDSIGYQGPVVPFKRFVNRLLEFRDPASVIDTFLLKYAMPDRLDGYKASNEEANRWIGHALQKQARILEVAVFFFPLDLDHSVFTSFYLRRIEFSHVYLRKGFFEQIETGCPLLEDLLLHQCFIWDGEISSQTLKVLTVDATELYTVKEMSISTPNLTSLTLSGLEYPKAVLKDMPLLVTASVSVTFDALNFDGYYDANDLRQYLWGLSAVRNLEFHYEGAELMIANNSQWCPEFVDVVNLTLGEWCLDANFHALIVFLQNSPRLVKLTLKLAKDRWTTPQRIIGELEERSFTCEHLKIVEVICLENDPQVIGVEDFFVRSGMTSVQFHIKHWRKDEEYNFPHPHAHQLFDEMPPRALPPVEDDAGRDWLGDLPEEVLHHIMSFLDARQAVRTCVLSRRWRNLWRTVPCINADFDEFDLVFYQGDDEDYDDVLAFKRFVNRLLELRDPTAMIDTFWLRYTTRPEGNTNSNEDAYGWISHALQKQARVLEVVVFCCLFELDHSVFTSCYLRRIAFSGIVLCKGFFAQLEAGCPALEDLFLHQCGVHDDEISSHTLKVLTFDSVFFYMPMDTVEFTLLNKTSISLPSVTSLTISTPEGFTPILKDTASVVTASVSVSVTMSSFRFRFDANDLGQYLQSLSGITNLEFNYQGSKLTIENHLQWCPEFLNVVNLTLGQWCLDSNFYALIVFLQNSPRLQKLTLKLEKSNMRISRRIIGELTEISFTCEHLNTVEVICSENDPQVITVQDFFVSSGMTSVQFHIKHWSPYANDLPAFIRSI >ORUFI10G01320.1 pep chromosome:OR_W1943:10:1575815:1585920:1 gene:ORUFI10G01320 transcript:ORUFI10G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWWRTSSGRSPSQSLPASSSTGGRARGGISSNSWCACGWGKLHKALYPSNLSGMAYLSKNVSITEAGSRNSSSRLTNLLNGTTGPWYPMESNSTKSALMHGTVCQRLRHRRDSTHVCTAWRAFRKDMMRWRISSGRSLSLSLPAASSIGGRARRGISSNRWCAWGAAQ >ORUFI10G01330.1 pep chromosome:OR_W1943:10:1594371:1595246:1 gene:ORUFI10G01330 transcript:ORUFI10G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSMASSQPRMMEQSLFYTQPPARAVHTRKVTAVNLHREMSLIRSLMPTFPFVAVDTQFPGVVHPHPRGAGVTADDRYAAVRANADELCLLQLGITLSAADGRLPVDGALVEFMWDFDFAGFDARYHRHAPESVQFLRAQGFDFEAARLAGVPALAFAAELAASGILGLRGVTWVAFGGMYDVAFLLRLATGGAPLPATRLGFLAQVGAVFGTQVFDAKHMASLLHMHGGLAAVGGMLRLPPQLPRRHMAGQNSVMAIQLFMELRRRFNDLGGSLHSCSLKIEGLT >ORUFI10G01340.1 pep chromosome:OR_W1943:10:1596440:1601770:1 gene:ORUFI10G01340 transcript:ORUFI10G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPHFRPQIVFFDKTAACPPPHRWPRLPTTGTIGHTSLPLVAAPPYRRRGRRRLPLKFRRWLRLSTAGAGAVACPPTSPRLTAASARAPPRTCLPHRQCPEVQPGRSIRCRTAHAKEGLLSCVKGTVRQRLRHRDSTHVRTAWRVVRKVMMWRTMSSGSALNQSLPSACAGAMAVSP >ORUFI10G01340.2 pep chromosome:OR_W1943:10:1596440:1600486:1 gene:ORUFI10G01340 transcript:ORUFI10G01340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPHFRPQIVFFDKTAACPPPHRWPRLPTTGTIGHTSLPLVAAPPYRRRGRRRLPLKFRRWLRLSTAGAGAVACPPTSPRLTAASARAPPRTCLPHRQCPEVQPGRSIRCSRKAPEILAEITRGLFDMKYQQ >ORUFI10G01350.1 pep chromosome:OR_W1943:10:1599054:1616192:-1 gene:ORUFI10G01350 transcript:ORUFI10G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSSFSGSTAGPVPFFYYDIQQCPHQSVAVAKEAYLPFPGALEGSESRFRVSTRATCSTKRLPERAISSFHARHLFDETTLRACSATMEGSPGKIRLSTLPDDIVFRIMSFLTMRQAVRMCVLSRRWRNLWRTLSTDGLATLCRSSHFLDIVLLCDKLELNHSAFNCRYLRRIDFTNVILMQGFFKQLEMGCPAMRGLFLDECSVEDVEISSQTLKVLTIKNTHVSYGNKTTISTPSVTYLKLWSPLNGTYVLNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFDYVGRRKQPDSRSMVSGCKLLWIDGLPSDLTKKKLTLKLEKEKPQRIIGKLEDRSFTCEHLTRVEVVCSEDDPLVEDVVNFFVNSGLTSAQWTDLCSGSYHTPAVDQVHVSTLRVLKSYARRMIPWSKTCFHARHLFDETPACAVSRFHARHLFDETPLRACSAAMEGSPSKSRGVTGPAHTDDGDWFSDVPDDIILNIMSFLTTRQAVQTCVLSRRWRNLWRSVPCINSDIDEFTRDSDSEGYYDEKTELAFIMFMERVMELRDPAALISTFQFRCKFELDEGFDDISDPEDINAWISHAVQKQARVLDIVVLCDKLYLDHSEFASRYLTRIEFTSVVLMEGFFKQLEMGCPAWESLFLDECAVNDVEISSQTLKVLTIKNTLFSSDKTTISTPSVTYLKLWRPVDSCVFNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFNYIGKELTMENNLQLYPKFNNLVRLTLGQWCLDANFYGLMVFLQTSPKLEKLTLKIEKEKTQRIIGKIEDRSFTCEHLTRVEIVCSEDDPLVKDVVTFFVNSGLTSAQVHIIRRISHALHLFDETPARAVSSFYARDLFDETPLRACSAAMEGSPSKRRGVTAPAHTDDGDWFSDVPDDVILNIMSFLTTRQAVQTCVLSRRWLNLWRSVPCINADVGEFQRSDTEWEEYDQERESAFKMFMDRVLELRNPAAPIRTFRFRCCRLDGFEGTSDEADMNRWITHAMQKQPWVLDILVLYDALKLDHSAFTCRYLTRIKFINVLMMPGFFQQLEMGCPVLENLFLDESIVADVEISSRTLKVLTIKSTQFSYKFRTTISTPSVTYLKLWRPVNGIYVFNDMPLLVTSILVLYDVQDSSDFCQNLRSLSAAKRLEFDYFGRKLTMENNLQLYPKFNNLVSLTLGQWCLDANFYGLVVFLQNAPKLEKLTLELEKNTPERIIGKLEDRSFTCEHLTRVEVVCSEGDPLVKDVVNFFVNSGLTSAQEYISTHATCSTKRLWACACAHGLTAMAPAHADGKDWFSALPDDIVLHIMTFLTTRQAVRTFLDKVLKLRDPAASIRTFCFKFYRLTRIEEAYARVLDIVVLSGKLELNHYSAFACRFLTAINFVSVAMKQGFFKQLEKGCPSLENLSLDECIITLKVLSIIDTWFPWKYKTTISTPSVTIFSLWWPLSGTIVFNNMPLLVNSLLVIMFINGLLQHSHFNIVQLTIENNLQRYPKFINLVSLTLGQWCLDANFYGLIVFLRNSPILEKLNLELEKHRWGKTSQRMIGELEERSFTCEHLTSVKVKCLADDPLVNGVVNFFVKMGLNSAQVHIEHWSQPALG >ORUFI10G01350.2 pep chromosome:OR_W1943:10:1601804:1616192:-1 gene:ORUFI10G01350 transcript:ORUFI10G01350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSSFSGSTAGPVPFFYYDIQQCPHQSVAVAKEAYLPFPGALEGSESRFRVSTRATCSTKRLPERAISSFHARHLFDETTLRACSATMEGSPGKIRLSTLPDDIVFRIMSFLTMRQAVRMCVLSRRWRNLWRTLSTDGLATLCRSSHFLDIVLLCDKLELNHSAFNCRYLRRIDFTNVILMQGFFKQLEMGCPAMRGLFLDECSVEDVEISSQTLKVLTIKNTHVSYGNKTTISTPSVTYLKLWSPLNGTYVLNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFDYVGRRKQPDSRSMVSGCKLLWIDGLPSDLTKKKLTLKLEKEKPQRIIGKLEDRSFTCEHLTRVEVVCSEDDPLVEDVVNFFVNSGLTSAQDDPLVKDVVNFFVNSGLTSAQVHIITFVAPVESESTYKHGAVKKPFPGALEANREFDISSFHARHLFDETPACAVSRFHARHLFDETPLRACSAAMEGSPSKSRGVTGPAHTDDGDWFSDVPDDIILNIMSFLTTRQAVQTCVLSRRWRNLWRSVPCINSDIDEFTRDSDSEGYYDEKTELAFIMFMERVMELRDPAALISTFQFRCKFELDEGFDDISDPEDINAWISHAVQKQARVLDIVVLCDKLYLDHSEFASRYLTRIEFTSVVLMEGFFKQLEMGCPAWESLFLDECAVNDVEISSQTLKVLTIKNTLFSSDKTTISTPSVTYLKLWRPVDSCVFNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFNYIGKELTMENNLQLYPKFNNLVRLTLGQWCLDANFYGLMVFLQTSPKLEKLTLKIEKEKTQRIIGKIEDRSFTCEHLTRVEIVCSEDDPLVKDVVTFFVNSGLTSAQVHIIRRISHALHLFDETPARAVSSFYARDLFDETPLRACSAAMEGSPSKRRGVTAPAHTDDGDWFSDVPDDVILNIMSFLTTRQAVQTCVLSRRWLNLWRSVPCINADVGEFQRSDTEWEEYDQERESAFKMFMDRVLELRNPAAPIRTFRFRCCRLDGFEGTSDEADMNRWITHAMQKQPWVLDILVLYDALKLDHSAFTCRYLTRIKFINVLMMPGFFQQLEMGCPVLENLFLDESIVADVEISSRTLKVLTIKSTQFSYKFRTTISTPSVTYLKLWRPVNGIYVFNDMPLLVTSILVLYDVQDSSDFCQNLRSLSAAKRLEFDYFGRKLTMENNLQLYPKFNNLVSLTLGQWCLDANFYGLVVFLQNAPKLEKLTLELEKNTPERIIGKLEDRSFTCEHLTRVEVVCSEGDPLVKDVVNFFVNSGLTSAQYPFLL >ORUFI10G01350.3 pep chromosome:OR_W1943:10:1599054:1616192:-1 gene:ORUFI10G01350 transcript:ORUFI10G01350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSSFSGSTAGPVPFFYYDIQQCPHQSVAVAKEAYLPFPGALEGSESRFRVSTRATCSTKRLPERAISSFHARHLFDETTLRACSATMEGSPGKIRLSTLPDDIVFRIMSFLTMRQAVRMCVLSRRWRNLWRTLSTDGLATLCRSSHFLDIVLLCDKLELNHSAFNCRYLRRIDFTNVILMQGFFKQLEMGCPAMRGLFLDECSVEDVEISSQTLKVLTIKNTHVSYGNKTTISTPSVTYLKLWSPLNGTYVLNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFDYVGRRKQPDSRSMVSGCKLLWIDGLPSDLTKKKLTLKLEKEKPQRIIGKLEDRSFTCEHLTRVEVVCSEDDPLVEDVVNFFVNSGLTSAQWTDLCSGSYHTPAVDQVHVSTLRVLKSYARRMIPWSKTCSHALHLFDETPARAVSSFYARDLFDETPLRACSAAMEGSPSKRRGVTAPAHTDDGDWFSDVPDDVILNIMSFLTTRQAVQTCVLSRRWLNLWRSVPCINADVGEFQRSDTEWEEYDQERESAFKMFMDRVLELRNPAAPIRTFRFRCCRLDGFEGTSDEADMNRWITHAMQKQPWVLDILVLYDALKLDHSAFTCRYLTRIKFINVLMMPGFFQQLEMGCPVLENLFLDESIVADVEISSRTLKVLTIKSTQFSYKFRTTISTPSVTYLKLWRPVNGIYVFNDMPLLVTSILVLYDVQDSSDFCQNLRSLSAAKRLEFDYFGRKLTMENNLQLYPKFNNLVSLTLGQWCLDANFYGLVVFLQNAPKLEKLTLELEKNTPERIIGKLEDRSFTCEHLTRVEVVCSEGDPLVKDVVNFFVNISTHATCSTKRLWACACAHGLTAMAPAHADGKDWFSALPDDIVLHIMTFLTTRQAVRTFLDKVLKLRDPAASIRTFCFKFYRLTRIEEAYARVLDIVVLSGKLELNHYSAFACRFLTAINFVSVAMKQGFFKQLEKGCPSLENLSLDECIITLKVLSIIDTWFPWKYKTTISTPSVTIFSLWWPLSGTIVFNNMPLLVNSLLVIMFINGLLQHSHFNIVQLTIENNLQRYPKFINLVSLTLGQWCLDANFYGLIVFLRNSPILEKLNLELEKHRWGKTSQRMIGELEERSFTCEHLTSVKVKCLADDPLVNGVVNFFVKMGLNSAQVHIEHWSQPALG >ORUFI10G01350.4 pep chromosome:OR_W1943:10:1599054:1616192:-1 gene:ORUFI10G01350 transcript:ORUFI10G01350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSSFSGSTAGPVPFFYYDIQQCPHQSVAVAKEAYLPFPGALEGSESRFRVSTRATCSTKRLPERAISSFHARHLFDETTLRACSATMEGSPGKIRLSTLPDDIVFRIMSFLTMRQAVRMCVLSRRWRNLWRTLSTDGLATLCRSSHFLDIVLLCDKLELNHSAFNCRYLRRIDFTNVILMQGFFKQLEMGCPAMRGLFLDECSVEDVEISSQTLKVLTIKNTHVSYGNKTTISTPSVTYLKLWSPLNGTYVLNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFDYVGRRKQPDSRSMVSGCKLLWIDGLPSDLTKKKLTLKLEKEKPQRIIGKLEDRSFTCEHLTRVEVVCSEDDPLVEDVVNFFVNSGLTSAQVHIIRRISHALHLFDETPARAVSSFYARDLFDETPLRACSAAMEGSPSKRRGVTAPAHTDDGDWFSDVPDDVILNIMSFLTTRQAVQTCVLSRRWLNLWRSVPCINADVGEFQRSDTEWEEYDQERESAFKMFMDRVLELRNPAAPIRTFRFRCCRLDGFEGTSDEADMNRWITHAMQKQPWVLDILVLYDALKLDHSAFTCRYLTRIKFINVLMMPGFFQQLEMGCPVLENLFLDESIVADVEISSRTLKVLTIKSTQFSYKFRTTISTPSVTYLKLWRPVNGIYVFNDMPLLVTSILVLYDVQDSSDFCQNLRSLSAAKRLEFDYFGRKLTMENNLQLYPKFNNLVSLTLGQWCLDANFYGLVVFLQNAPKLEKLTLELEKNTPERIIGKLEDRSFTCEHLTRVEVVCSEGDPLVKDVVNFFVNISTHATCSTKRLWACACAHGLTAMAPAHADGKDWFSALPDDIVLHIMTFLTTRQAVRTFLDKVLKLRDPAASIRTFCFKFYRLTRIEEAYARVLDIVVLSGKLELNHYSAFACRFLTAINFVSVAMKQGFFKQLEKGCPSLENLSLDECIITLKVLSIIDTWFPWKYKTTISTPSVTIFSLWWPLSGTIVFNNMPLLVNSLLVIMFINGLLQHSHFNIVQLTIENNLQRYPKFINLVSLTLGQWCLDANFYGLIVFLRNSPILEKLNLELEKHRWGKTSQRMIGELEERSFTCEHLTSVKVKCLADDPLVNGVVNFFVKMGLNSAQVHIEHWSQPALG >ORUFI10G01350.5 pep chromosome:OR_W1943:10:1601804:1616192:-1 gene:ORUFI10G01350 transcript:ORUFI10G01350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSSFSGSTAGPVPFFYYDIQQCPHQSVAVAKEAYLPFPGALEGSESRFRVSTRATCSTKRLPERAISSFHARHLFDETTLRACSATMEGSPGKIRLSTLPDDIVFRIMSFLTMRQAVRMCVLSRRWRNLWRTLSTDGLATLCRSSHFLDIVLLCDKLELNHSAFNCRYLRRIDFTNVILMQGFFKQLEMGCPAMRGLFLDECSVEDVEISSQTLKVLTIKNTHVSYGNKTTISTPSVTYLKLWSPLNGTYVLNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFDYVGRRKQPDSRSMVSGCKLLWIDGLPSDLTKKKLTLKLEKEKPQRIIGKLEDRSFTCEHLTRVEVVCSEDDPLVEDVVNFFVNSGLTSAQWTDLCSGSYHTPAVDQVHVSTLRVLKSYARRMIPWSKTCFHARHLFDETPACAVSRFHARHLFDETPLRACSAAMEGSPSKSRGVTGPAHTDDGDWFSDVPDDIILNIMSFLTTRQAVQTCVLSRRWRNLWRSVPCINSDIDEFTRDSDSEGYYDEKTELAFIMFMERVMELRDPAALISTFQFRCKFELDEGFDDISDPEDINAWISHAVQKQARVLDIVVLCDKLYLDHSEFASRYLTRIEFTSVVLMEGFFKQLEMGCPAWESLFLDECAVNDVEISSQTLKVLTIKNTLFSSDKTTISTPSVTYLKLWRPVDSCVFNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFNYIGKELTMENNLQLYPKFNNLVRLTLGQWCLDANFYGLMVFLQTSPKLEKLTLKIEKEKTQRIIGKIEDRSFTCEHLTRVEIVCSEDDPLVKDVVTFFVNSGLTSAQVHIIRRISHALHLFDETPARAVSSFYARDLFDETPLRACSAAMEGSPSKRRGVTAPAHTDDGDWFSDVPDDVILNIMSFLTTRQAVQTCVLSRRWLNLWRSVPCINADVGEFQRSDTEWEEYDQERESAFKMFMDRVLELRNPAAPIRTFRFRCCRLDGFEGTSDEADMNRWITHAMQKQPWVLDILVLYDALKLDHSAFTCRYLTRIKFINVLMMPGFFQQLEMGCPVLENLFLDESIVADVEISSRTLKVLTIKSTQFSYKFRTTISTPSVTYLKLWRPVNGIYVFNDMPLLVTSILVLYDVQDSSDFCQNLRSLSAAKRLEFDYFGRKLTMENNLQLYPKFNNLVSLTLGQWCLDANFYGLVVFLQNAPKLEKLTLELEKNTPERIIGKLEDRSFTCEHLTRVEVVCSEGDPLVKDVVNFFVNSGLTSAQYPFLL >ORUFI10G01350.6 pep chromosome:OR_W1943:10:1599054:1616192:-1 gene:ORUFI10G01350 transcript:ORUFI10G01350.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSSFSGSTAGPVPFFYYDIQQCPHQSVAVAKEAYLPFPGALEGSESRFRVSTRATCSTKRLPERAISSFHARHLFDETTLRACSATMEGSPGKIRLSTLPDDIVFRIMSFLTMRQAVRMCVLSRRWRNLWRTLSTDGLATLCRSSHFLDIVLLCDKLELNHSAFNCRYLRRIDFTNVILMQGFFKQLEMGCPAMRGLFLDECSVEDVEISSQTLKVLTIKNTHVSYGNKTTISTPSVTYLKLWSPLNGTYVLNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFDYVGRRKQLTMENNLQLYPKFNNLVRLTLGQWCLDANFYGLMVFLQTSPKLEKLTLKIEKEKTQRIIGKIEDRSFTCEHLTRVEIVCSEDDPLVKDVVTFFVNSGLTSAQEYISTHATCSTKRLWACACAHGLTAMAPAHADGKDWFSALPDDIVLHIMTFLTTRQAVRTFLDKVLKLRDPAASIRTFCFKFYRLTRIEEAYARVLDIVVLSGKLELNHYSAFACRFLTAINFVSVAMKQGFFKQLEKGCPSLENLSLDECIITLKVLSIIDTWFPWKYKTTISTPSVTIFSLWWPLSGTIVFNNMPLLVNSLLVIMFINGLLQHSHFNIVQLTIENNLQRYPKFINLVSLTLGQWCLDANFYGLIVFLRNSPILEKLNLELEKHRWGKTSQRMIGELEERSFTCEHLTSVKVKCLADDPLVNGVVNFFVKMGLNSAQVHIEHWSQPALG >ORUFI10G01350.7 pep chromosome:OR_W1943:10:1599054:1601226:-1 gene:ORUFI10G01350 transcript:ORUFI10G01350.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHADGKDWFSALPDDIVLHIMTFLTTRQAVRTFLDKVLKLRDPAASIRTFCFKFYRLTRIEEAYARVLDIVVLSGKLELNHYSAFACRFLTAINFVSVAMKQGFFKQLEKGCPSLENLSLDECIITLKVLSIIDTWFPWKYKTTISTPSVTIFSLWWPLSGTIVFNNMPLLVNSLLVIMFINGLLQHSHFNIVQLTIENNLQRYPKFINLVSLTLGQWCLDANFYGLIVFLRNSPILEKLNLELEKHRWGKTSQRMIGELEERSFTCEHLTSVKVKCLADDPLVNGVVNFFVKMGLNSAQVHIEHWSQPALG >ORUFI10G01350.8 pep chromosome:OR_W1943:10:1599054:1601226:-1 gene:ORUFI10G01350 transcript:ORUFI10G01350.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHADGKDWFSALPDDIVLHIMTFLTTRQAVRTFLDKVLKLRDPAASIRTFCFKFYRLTRIEEAYARVLDIVVLSGKLELNHYSAFACRFLTAINFVSVAMKQGFFKQLEKGCPSLENLSLDECIITLKVLSIIDTWFPWKYKTTISTPSVTIFSLWWPLSGTIVFNNMPLLVNSLLVLHVEQASSDFCQNLRSLSAAKNLTCHFYYDGKKVIMFINGLLQHSHFNIVQLTIENNLQRYPKFINLVSLTLGQWCLDANFYGLIVFLRNSPILEKLNLELEKHRWGKTSQRMIGELEERSFTCEHLTSVKVKCLADDPLVNGVVNFFVKMGLNSAQVHIEHWSQPALG >ORUFI10G01360.1 pep chromosome:OR_W1943:10:1606752:1607186:1 gene:ORUFI10G01360 transcript:ORUFI10G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSFSSSSSPSATSAAPASSYYWCYSCDPFVHAAPHEDSIVACPDYGGVILEEMAAAASDRSPFNPVIVPHRSPAAVAAGDDDGAGSGLRPLPETMSDFLMGYAFERLLD >ORUFI10G01370.1 pep chromosome:OR_W1943:10:1611348:1612001:1 gene:ORUFI10G01370 transcript:ORUFI10G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSSFSSSPSAAGAAPASSSYWCYNCDPFVRAAPHKDSAVAYPNYGGGILEEMGAPPPCTAYLRHPCAHHAKDLRLRRTCCADAVAAADDRSPFNPVIVLCRSPAAVAAGDDDSLATVTSFELFYDDGVGSGLRPLPETMSDFLMGSAFERLLDQLTQIEAGGLSRARENPPASKASISMPRGRPLAQFNRRRGDRKSDGSGMVLILEISSDM >ORUFI10G01380.1 pep chromosome:OR_W1943:10:1620239:1621114:1 gene:ORUFI10G01380 transcript:ORUFI10G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGLPVREGVSSAKLTLIVACFLLPFLVEAESRAPAPLSFSFDFSNSASYRLEDLLFEGNASEPSNKLVDLTCNEFAETIHKCKGRMSYAHAVKFYDATTGEVASFSTRFTFAIAIRSDISNPTDTKGDGLAFFLAAYPSTIPSNSDGGNLGLLATNHSKAYGTDRFIAVEFDTYNNIWDPNKTYDHMGVDISAIESANTTSLPSYSLNGTMTASISFNSSTRMLLANLHFDDHPSFQPAEVSAILPDPVTLLPQEVSVGFSAATGGSGSELHQILSWSFNSTLGLALYC >ORUFI10G01390.1 pep chromosome:OR_W1943:10:1632096:1633883:-1 gene:ORUFI10G01390 transcript:ORUFI10G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHHCRPTSSRLAFPCPLSTAVCGAPPGVVGVVAVRLQSSLAASSAPLRPRPSVKYMHDSNQQSMPCLDPLVSIGNVASRSISTVSVHTICFSNQLVALHNICQTKNHSEFRPHDHVRLKEKESDFHACLDNKFSFKVCTGSFRLYFMHYSFVSDSLTSYQQSNNKPDHNEIPAGCIQHLLTFPLSIGLCSVEEFGTGTRGDSIYNYCTMSLIAG >ORUFI10G01400.1 pep chromosome:OR_W1943:10:1646482:1648847:1 gene:ORUFI10G01400 transcript:ORUFI10G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGYEALFIVGVLALVVIIVSCFMWTRRSNDDLDLKEIGGPRQFIYRDLNVATNKFLNVIGRGAFGVVCRGSLGGYEVAVKTLINGRKDFIAELSSIGGVKHKNLVRLIGWCRQNRFNIVDFIFWWRHDKKNKLFLVYELDIANALLYLHEECHPYILHRDIKPDNILLDNNFNAKLADFGLSRIADPDNNIVKTTAHGTKGYIDPLCMRDATIEFDRSSDMYSFGMVLLVVACTQGTSREQVWQLYQDKSLLQAADDNLRGQYDETQMERVLILGLCCSRLDDATKRPTIRQALAFLEHGGPMPGLESLINPRSNL >ORUFI10G01410.1 pep chromosome:OR_W1943:10:1657399:1663383:-1 gene:ORUFI10G01410 transcript:ORUFI10G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIIGVGGGGWTRLRSIGHGASGATVSLAADDASGELFVVKSAGDAVAATARQQLRREWSVMSGLSSPHVLRCLGFVQAAAGAGGEHQLLLEYAPGGSLADVVARNGDRLDESAFRAYAADVLRGLDYLHEKLVVHGDVKGSNVLVGADGRAKLADFGCARVVMPGGSKQPVLGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWSDMDDVLAALRMIGYTDAVPDLPPWLSPEAKDFLRRCMQRRAGDRPTAAQLLQHPFVSKSCGLKKEVVKATWVSPTSALDAAAALWESETSSSTDDEEADDMSNSPTGRIIAMASSGGQTLPDWDSDDHGWIEVLGTVSINIAKKKTAAAEDYEASESPAKRVRAMACSPSSVPDWDSDNHGWIDVLSASPADDNGGEGNAPEEFDVVAAADQIFGEAVGSIVVGVGSEQSVVVENQEDDVGRGASGAVVSLAANDVSGELFVIKSAGEGAARQQLRREWSVMSGLSSPHVLKCLGFVQASGGCGGGEHQLFLEYAPGGSLADVVARNGGRLDEGAVRTYAADVLRGLDYLHGKLVVHGDVKGSNVLVGADGRAKLTDFGCARVAMPGGSKQPVLGGTPAFMAPEVARGEEQGLAADVWALGCTVIEMATGRAPWSDMDNVLPALHKIGYTDAVPDLPRWLSPEAKDFLRGCLQRRAGDRPTAAQLLQHPFISKSCGLNNKETVKATWVSPTSALDATLWESESSSTDGEEVDDMSSNSPTGRIRAMACSCQTLPDWDSDDHGCSWIEVLGSVSINVANKTAAIEQRVTSMACSPSSVPDWDSGNQGWIDVLSSVSISIANKLETATAADNVSSECPAKWVRAMACSPSSVPDWDSDQGWIDVLGASPDVVAAEEFDVAAAADQISGQAVGSIVVGVGSSEQSVVVENQEDEFTSLSSCSERVLLVGVHAADNNAASRKAGIKRCSNFSC >ORUFI10G01420.1 pep chromosome:OR_W1943:10:1667374:1668453:1 gene:ORUFI10G01420 transcript:ORUFI10G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKTSPLHRVIGAARWDAERPLGRLLILAHAAFLDAGFVPAAAAADDNSIRLPRKVGRTASSLPLRYAAPQLLHWPDDAAAVQLRLCAHGRHLVLYVSMARCSMFREWLDTYWVCLDALAAAALLGGALDDTARALRRDARLAALWGALADRLCRRVLVDVCARNGVTLEPTFMSLPDDVKAAILARLPDGDDLARAECTCAGLRRLVADRDRDAALWKPRYEKLPFLLQLIGGGDDDDGEPTTEVSWKKKYVAARLWPFGELFASMRETRRLPIYAPLLDLDFDSFTRFWVFDDKPSPLPEEITVPRHRRRRRRWRAMPRDAGHGLAARGHGGDKKPRHGAGAVHSPSSRFRWKHR >ORUFI10G01430.1 pep chromosome:OR_W1943:10:1670352:1671446:1 gene:ORUFI10G01430 transcript:ORUFI10G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSPLHRIIGAAMWDAEPLLGRLVILAHAAFLDAGFVSTGAANDDGAQSSVRLPRQVGATASALSLRYTAPQLLHRHRQDAAAAAAATVALRVCAHGRRHVVFYVCVRFANPWLDTYWICLDAPAAAALLAGGLDDTARALARRERGARLAALWSALADRLCRRVLVDLCAKNGVPVEPEHELMSLPDDVKVAILARLAAGEDLARVECTCVGLNLLVAEHDSTLWKPMYTKLRSQLRRRLRFLGVSYGEPTAVSWKARYVAVRRRRVPAAHDVFMGEILLPVMTEWMRVPWIRRYPFVPPPPPESPEEEETVVPRRRRRRRAMPRDAGHGRAAPGHGGDKKQWRGAGAVHSPSSGFRWKHR >ORUFI10G01440.1 pep chromosome:OR_W1943:10:1699843:1704369:-1 gene:ORUFI10G01440 transcript:ORUFI10G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYLVGPLLSKVLEKASSFLVDMYKVMDGMEDQRETLERLLPAILDVIQDAEEKKNHRSGLVCAWLKSLKKVSYEAIDVFDEFKYESLWREAKKKGHRNHTMLGMDSVSLFPSRNPIVFRYRMGKKLRKIVEKIKELVSEMNSFGLVHQQETPKQWRKTDSIMVDFDKDIVIRSRDEEKKKIIRILLDKANNTDLTVLPIVGMGGLGKTTFAQLIYNDPEIEKHFPLRRWCCVSDVFDVVTIANNICMSTERDREKALQDLQKEVGGKKYLIVLDDVWERDYDKWGKLKTCLKKGGMGSAVLTTTRDAEVARIMVTGEVEVHNLENLGEIYMKEIILRRALTLPNNDEHFGILCKIVHRCHGSPLGAKAFGSMLSTRTTMQEWNDVLTKSNICNEGEDKIFPILRLSYDDLPSHMKQCFAFCAIFPKDYEIDVETLIQLWLAHDFIPLQEEDHLETVAQNIFKELVWRSFFQDVNKISQREENVYRSQLRDRTTCKIHDLMHDISQSVMGKECLSIIGSSNLKNLMREHPLYHVLIPYTSIALPDDFMGNEAPALRTLLFRGYYGNVSTSHLFKYNSLQLRALELPRREELPIRPRHLQHLRYLNLSDNSNIHELPADISTMYNLQTLNLSDCYNLVRLPKDMKYMTSLRHLYTNGCSKLKCMPPDLGQLTSLQTLTYFIVGASASCSTLREVHSLNLSGELELRGLENVSQEQAKAANLGRKEKLTHLSLEWSGEYHAEEPDYPEKVLDALKPHHGLHMLKVVSYKGTNFPTWMTDLSVLENLTELHLEGCTMCEEFPQFIHFKFLQVLYLIKLDKLQSLCCEEARDGKVQIFPALKEVKLIDLERFESWVETEGKQENKPTFPLLEEVEISNCPKLSSLPEAPKLKVLKLNENKAELSLPLLKSRYMSQLSKLKLDVLDKEAILQLDQIHESSLSNMELRHCNFFFSTIPSEPIIGIWKWFRQLVYLEIKSSDVLIYWPEEEFLCLVSLKMLAIFGCVNLIGRTTLVKGEPTRCATDQFLPCLTSLSICCCDNLRELFVLPPSVTHIHVSGCRNFEFIWGKGDIESENVHVEHHDTFTSSEHCNDLEYRSVPEQSSSAVNHPLPCLEMIHISFNDKMVELQNLPPSLTSLEFHSCPKLQSLSGQLHALKFLDIRCCNKLESLNCLGDLPSLERLCLVSCKRLASLACGPESYSSLSTIAIRYCPAMNMKPLYERLRPRLDILKERDLSHAHAKCPYGGVIHFSLGTEHKRPTLWDPKSWKYAIPGCRWLQV >ORUFI10G01450.1 pep chromosome:OR_W1943:10:1712128:1717685:-1 gene:ORUFI10G01450 transcript:ORUFI10G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLVRPLLSAVTNKASSYLVYQYKVMEGMEQQRKALERMLPLILSVIQDTEEKRSKKPELSAWLDELKKVSYEAIDVFDEFKYEALRREAKKKGHDATLGKGIVSLFPHRNPIVFRYRMEMDYSGLIKQQQETPKQWRQTDSIMVDTEKGIISRSRDEEQKKIIKMLLDEARGKDLIVLPIVGMGGLGKTTFAQLIYNDPEIEKYFPLRRWCCVSDVFDVVTIANSICMSTERDREKALQDLQKEVGGKKYLIVLDHVWNRDSDKWGKLKTCFKKGGMGSVVLTTTRNAEVARIMVIGEVPVHNLEKLGEAYLMEIIQSKAFSLSKKSDEHFEVLRKIVQRCDGSPLAAQSFGSVLFNRTTLQEWKDILAKSNICNEGEDIIFPILRLSYDDLPLHIKRCFAFCAIFPKDFEIDMETLINLWLAHDLIPLQEDDNIEMVAKHIFNELVWRSFFQDVQKFPLQTTCKIHDLMHDIAQSAMGEECVSIVGRSDYRSKSLEHPRYHFYSLDDDNTILLDDFMRKQSSTLRTLLFDRDYIHISTSLLSKSSSLRALRLRYLNTESLPIRPRHLLHLRYLDISRNYHVKVLPEDICTLYNLQTLILSDCKILVGLPKDMKYMTSLRHLYTNGCLRLKCMPPELGQLTSIRTLTYFVVGASSGCSTLRELHSLNLCGELELRGLENVSQEDAKAANLRNKEKLARLSLVWNSECCVEEPNCNGKVLDALKPHHGLLMLNVISYKSTHFSSMDDRSKYTAKLGGAQIRGLLDKLQTLCCKEVRQGKEQTFHLLQNVVIKSCPKFLTLIPDMASVTFPSLKKIKLHDLEALERWVATGGRQENEPVFPLLEKVEIEKCPKLQTLCCEMAFTPFPALKKIKLYDLEGLERLVENESTFPLLEKADIRNCPKLRSLPEAPKLKIFTLNENKAELFHYLKKSKLILDVDDKEGRVQLDQIHESSLSELDLKHCNFFFPTSPSQPIIMFWKCLRQLVCLEIWFCGVLIYWPEEEFLCLVSLKTLGIEGCDKLIGRPTLVKGEPPCCARDQLLPRLTSLEISNCDSLRELFVLPPSLTYVYNQRCASMLLNKKAHENQSSPEHRSTPCSKLEFIWGKGDIVSESVQVDHHNTFTSSKHCNYRACTSLPEQSPSAADHPLPCLERLDVWVCPKMVALENLPSSLKRLNIYSCPELHSV >ORUFI10G01460.1 pep chromosome:OR_W1943:10:1721759:1726487:1 gene:ORUFI10G01460 transcript:ORUFI10G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVVSVSHGALGPLLGKLNTLLVDECARLKGVHREIRSLRSELSNMHAALHKYTSLEDPDIQVKTWTSELRELAYDIEDCIDKFMHQLGANDDQHHTSNGVKDFFGKSAKRLKTLGSRHNIAAEIEELKMRVISVRDQKNNYKLDDIFCSSSSNTNAFVDPRLAALFAEENHLVGIDSPRDELVNWLDADSRLIKHRKVLSIVGFGGLGKTTLANEVYRRVKIHFDCPAFTSVSQKPDMKKIFKDIIYHMPTKDAFLKDIDTWNEKKFIEKLRELLVDKRYLVIIDDVWSISAWKAISVVFPENGSSIIIVTTRISDVGRSCCLNGIDRNFEMEPLSEIHSRRLFCQRIFSTDEDGCPDILQEVSTDILKKCGGIPLAIISISGLLSNRPIIKEEWEKVKESIGFVLDKNQNLEGMKSILSLSYNDLPNYFKACLIYLCIFPEDYIIETNMLLRRWIAEGFVSEDCGMNLEDVAESYFCELVNRSLVQPVDIRFDSKARACRVHDIMLELITSKATEENFITLLRGQTRKTNLHGYVRRLSIQDTDNDLSSLLVNKDLSHVRSLTCFGGNMNLLPQLARFEAIRVLEFEGSMNLEQYDLENTDKLFQLKYLSLRGSDISHIPRQIAKLQNLLTLDISETFVEELPTELCLLKKLLHLFGNSLKLPDGIGNMRNLQVLTGINISNSSASTVPELGELTSLRDLKISLSDKLSKCKTKEEMLLASLCKLSSYKLQSLHIIYNSSDDLLERWFPIPCFLRLFRMSTNHFLPQLPKWIKPSLTKMAYLNINLREIKEEDMETLGDLPALLCLEIWLEPNPKKQLTVQSTGFPCLKEFLLVCGDHDGGAYLTFGKGAMPKLEKLEIPFHVLMAKSHGKGSPKGGRATEDYGHGPSASRRLQYGFNKVLASSTASNALRGKEAPKGGGLLNNVAKTTRGLYVLIPSLRWLQSREKQVQIPTILDLPSSEQMQKRSKRIIAKRVIITGVQRMTMLLTPDIVASHDLSGNP >ORUFI10G01470.1 pep chromosome:OR_W1943:10:1728346:1733289:-1 gene:ORUFI10G01470 transcript:ORUFI10G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVIGPLVSMVKEKVSSYLLDQYKVMEGMEQQREILERKLPAILDVIEDAEEKGAFRPGVSAWLRALKKVAYEANDVFDEFKYEALRRDARKKGQFNMLGMDVVSLFPSYNPIMFRNKMGKKLQKIVGSIEVLVSEMNSFGFIHRQQAPPSNQWRQTDSIMADSEKDIIRRSRDEEKKKIVKILHNHASSNRDLLVLPIVGMAGLGKTTFVQLIYNEPEIKNHFELWRWCCVSDDFDVGNIANSICNSTEKDHEKALQDLQEAISGKRYLIVLDDVWNREADKWEKLKTCLKLGGKGSAILTTTRDSQVARIMITGVVEAYNLEKLGEEYTKEIIQTRAFSLAGSDELSEIVQKFVDRCQGSPLAAKAFGSMLSTKTSILEWKNIIAKSDICNEKTGILPILKLSYADLPSHMKQCFAFCAIFPKNYEINVENLIQLWMAHDFIPLEEKYHFETTSGEEIFKELAWRSFFQDVKQTPLVCSNNGDRVQLRYTTTCKIHDLMHDIALYVMGKECVTITDRSYRKELLSNRSTYHLLVSRHRTGDHFDDFLRKQSTTLRTLLYPTWNTYGSIHHLSKCISLRGLQLYEIKELPIRPIKLKHLRYLNLSENCDIKELPEDISILYHLQTLNVSHCIRLRRLPKDMKYMTSLRHLYTNGCKNLEYMPPDLGHLTSLQTLTYFVVGAISGCSTVRELQNLNLCGELELCGLENVSEAQASTVNIENKVKLTHLSLEWSNDHLVDEPDRQKKVLDALKPHDGLLMLRIAFYKGNGFPTWMTDLSVLQNLAELYLVGCSMCEEFPQFCHLNVLKVLCLTSLDNLASLCSYTTSNFFPALRELQLHRLERLERWSATEGEEVTFPLLESASIMNCPMLKSLPKAPKLRILKLVEEKAELSLLILRSRFSSLSKLTLSVSDGNAGLELDQNYEAPLSEMELCGCAFFFPLGPSRPTVGIWKWFGQLVDLKIESCDVLVYWPEEEFICLVSLKNLAIEKCNNLIGHRHVSGESTRVPSDQLLPYLTSLSIRQCKSLEEIFRLPPSLTSISIHDCRNLQLMWREDKTESESVIQVERRSEHCNDLASTIVPDQQSPSLRNNSLPCLESLTIGRCHRLVTLNHLPPTVKSLGIGQCDNLHSVQLDALNHSLKKLLIFGCEKLCSVSGQLDALKRLIIDHCNKLESLDCLGDLPSLRILRLEGCRRLQSVAGCHGRYPLLQDITIKYCPAINVKPLYERLGQRIDSLEIRELSDVHSRNPEEGERRQKDCRNILDEIKVIYRQENNKNTKTKKSIKEVGKPRVFDQYLEELGWPPYQPLWDFFGRYAYSVPTE >ORUFI10G01480.1 pep chromosome:OR_W1943:10:1754934:1756091:-1 gene:ORUFI10G01480 transcript:ORUFI10G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFALNHFQLIIYKGGCSEMGSKLFRELHKKHINTSDGGRVKEFVVFLARCECMCRRPYDAYLYTDNCVFAHHVEKQEIINFLLQNPGNQGARLVLPIIGGCRVGKKSLISHVCNDERIRSYFSSILYINGDSSCGSIAHAKFKMERTLIVREYFTDIDENDWVNFYSTVSQMTAGGSKVVIISRIENLARFGTAKAVHLNSLSQEEYSYLFKMLATDQKDHPKMVSVANDLAVVLGGSLITANMISDMLRRNHNVHFWLRILRRFERMVKNNFLKYGEHPKDIIEKEQPVDSTEFMTSYPTHACILVKPPRVERDDIPNYKKPSISFKEVIARSVAISGGDFEIATWESRISPYTKYVSSATALFHDKNGSTTTTRKRRSTS >ORUFI10G01490.1 pep chromosome:OR_W1943:10:1760199:1766587:1 gene:ORUFI10G01490 transcript:ORUFI10G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQKRKKEKGNRSDWHGSTKDTIPFMGDADFNHADEFGVVDGVACEGTQMAPALLLLCPHQQLMQIQSKCCYYTSSASSTGGGRGQRAWAMRRQKGSRWRPWAEERQAPFVVELASRSRRRDGLSPVPTTRSSYSLLLTGQEALWQEYRQKVSAWVTESRSLIGSSLARIQAESFCSGRNRNGKGSKVGTEEMLVHGSTVKKKLVSSTIEDHVSCNSKNVNTVGKVHQMEPIQSVPLVHRVSPSASAHQNRFLT >ORUFI10G01500.1 pep chromosome:OR_W1943:10:1770683:1776702:-1 gene:ORUFI10G01500 transcript:ORUFI10G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVGASTATMDSLLGKLGNLLAQEYDLIRGVRGDIQYISDELASMKAFLLDLAREDPDNRKKHWMKQIRDMAYDCEDCIDDFAHRLPNDSLDAKCCPWIVTLVYDLWTFGPRREIASSIAELKVRAQLIADRRIRYGVENPNTQKGKGPPDATSYDIAEDQLASHELGMNEPVGMEKAMKDLEEWVDGTACQEPAVVSIVGFGGVGKTTIAMALYKKVMYQFDCRAWVTVSQNYDLDAVLNDILKQIDPDYRQQCSSKTGTSENIKTLARFGSKLKRDGRSSGAGSGHPRAPVAGGKEREEEVASGLPTLSLPVEEVEKEVRGNGRRRRGKVRGKARLRMSGGGRYIILVDDIWSAKTWKTISDYLLLTDNKERSRIIVTSRFQAVGSTCCRPENKDLLYPISFLSPRDSKELFNRSVSESKSTKDRHKVQNNVPGDLWKRCGGQPLAIVTMAGLVACNQDQPKSYWAGLHKLLPEEVSITAGAQEQETSLNLDGVTRILDCCYNNLPGYLRTCLLYLAIFPKGWKISRKCLSRRWIAEGFVNAKQGLTAEEVAESYFNHILRRKLIRPVEHSSNGKLKTFQVHDMVLDYIVTKAREENFITVVGGHLMMIAPSNNKVRRLSMQSSSSKHGDSTKGMNLSQVRSLTVFGSLTQLPFHAFNDRIIQVLDFQGLKGFKNRHMKHICKMFVLKYLSLRGTDITHVPPTIVKLEYLETLDIRETRVKELPKEVEQLKLISRILGGSKNKNPRKGLRLPQEKSKKQQHKSMLTQDKEKEGMKALRILSGIKIDETTAVAGLHQLTGLKKLTIYKLKLNPEEPGTRKILTELRSSIEYLCSCGLQTLAINEEGQSNFINSLGNMSAPPRYLVALELSGMLKKPPGWIKTLRTLSKLTLSLTVLRTDTLEHLRALPLFSLTFSFSFGEMEKDQDKKMKDIIEDNKSLSDGEIFVPGEGFKSLKLLRFFAPLVPKLGFCHNAMPALEMIEMQFQAFEGLFGIDTLGNLKGVRLREAKPREEDKQTAQINDLLVRDLKDSTEGLKVIIDHTFTS >ORUFI10G01510.1 pep chromosome:OR_W1943:10:1809192:1811191:-1 gene:ORUFI10G01510 transcript:ORUFI10G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSGFLHPREQVEASPRISDTRLPLDQTNLISMVEIFPKCVCLHIRSWKSVEAIRDSGNRVEGVDQSPSTELLGPPPLAGCTWPILGGRRHAAKAEKVSMRRQHGRKPCGESRGMKHMGFAKDGPCSRAIRAKTAGQKPCREGHGFHQEPDLTGGRPSRPSRLPGVSPLIGPGYLAH >ORUFI10G01520.1 pep chromosome:OR_W1943:10:1820974:1821505:-1 gene:ORUFI10G01520 transcript:ORUFI10G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSQLTENCDIAVAPLRLESITVKHGDVVDCLSFSYRDREKLPHTAGPWGGRGGQEITPCELHASLPRTQINLGPSEFVTEVHGEYGSYYGHNSIANLTFVTNRGRHGPFGEVDTSGWDRFSVPIKNNSSIVGFFARTGDSYLSAIGVYVRPF >ORUFI10G01530.1 pep chromosome:OR_W1943:10:1836540:1844759:1 gene:ORUFI10G01530 transcript:ORUFI10G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGAGEAVAMTAAATGALGPVIEKLGDLLRNEHMAALEGSRGDIEFIKSELEPLRSLLLRIWDKEDRLDAACKEWMAEARMLSYDMEDAIDGFRFMLAVEAAAATSPFEGMRNQVQELVNRCCEKQWITEAIIDADAPAALSSSPSLPRKNASELVEVDEKKAELIKLLKHKERVCIHGSAGMGKTTLAGLAYQAVSEQFDCRTFVSVCPSQSMMHVLTSITSEVIASAIIKAISDKQRELTVNNNTAPTTQGTGEADEQCLIDSILELLTYRRQVTDSSAPVAGTGIADGAKTDGSALPTTDTATLASTGIADTKTDGSSVALAGTGGIGDAKTESAPHAATGADNTKKALIDRISTRFVTNKRKLNASAQLAGAGVDDDKQYLVNIVLKLLGEKRKVTDCAPVAGTTDVEDDEQYLVDILSQFLADQRYLVIVDDIWHCQQWEVIRKSLVKNDRGSRIIMTTRVNSVVEKCCKDDHAVVCEVTALSMDAAVALSEKIFNVHTAPSDKKSCSSIAKLSGRMPLAIICISAAVAQLLSPPSATNRFDVALCQALKGFAEIPCMKPLVESLVLGYHCLPPHLKTCLLECSIYTPNQRFERDDLIRIWMDEGFADEEQAPGYFEELVKWGYISISPAEGRRHSRVAEYEISAVVLAFLRFQAEEHGFVASAGYFSNIESLCGRRHSRISVQGGLGSWVVSRLDFSCMRTLVVFGRASLIPFDRLSHLRVLHLDEEDTSLEGAADLYNFPDLGDDDLVDICELLLLRYVKLKGCKITMLPPQIGQLKLLETLDVRGTGVRELPREIGELQRLKTLNVSNTAVTQVPKEIGKLHMLKTLDVSDTNVRELPAEIRELENLETLDVSNTMVAKLPREIRALQLLKTLHVSGIDVTETELAEEIGQLQHLETLDVSNTKVAKLPMEIWNLQQLKTLNISNTNVRELPWEAGQRSNSISVVAGNKDSPKVVNLLEGAVDNYGHICSRENISITLFDRFGSSWEPIPVARFKIPGKHISLPDWLNKETLSDISSLEINLWKLREDDLKILQEMPKLQVLALRVEVLPRTAITGAGFSRLESFCVDCRVPRLSFQSEAMPVLKHLQFKFYAFRATKQEPMGIVHLSSLRSVDFRCASGYTTDAPGIREIINQVRKEAKEHRNRITLCINTKEIVHDIVAGSTGTAGSSAGVALMGDDKKPIDGTSKEGVMIQRVIREVGGARGSSYPVLTKTNLYLY >ORUFI10G01540.1 pep chromosome:OR_W1943:10:1881173:1884137:1 gene:ORUFI10G01540 transcript:ORUFI10G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSVSHGALGPLLGKLSTLLADKYACLKGVRCEIHSLRSELSNMQAALHKYASLEDPDIQVKAWITELRELAYDIEDCIDKFMHQLGANGEQHSTSNSIKDFFRKSIQRLKTLGPRHNIAGEIEELKARVISVRDQKNSYKLDDIFCSSSINTNASVDPRLATLFAEENHLVGIDGPRDELVNWLDAESRLIKCRKVLSIVGFGGLGKTTLANEVYRRVRVHFDCHAFVSVSQKPDFKNIFKDIIYNMPTKDGFLKDIDTWNEKKFIEKLRELLVDKRYLVIIDNVWSISAWKAITVAFPENDCSSTIIVTTRVSDVGWSCCLNGIDRNYQMEPLIEVHSRRLFCKRIFSTNEDGCPDILQEVSTDILKKCGGLPLAIISISGLLANRPVIKEEWEKVKESIGFALDKNQNLEGMKIILSLSFNDLPNYLKTCLLYLSIFPEDCIIERNMVVWRWIAEGFISKDCGQKLEDVAESYFYELINKSLVQPVDIGFDGKARACHVHDIMLKFISSKATEENFVTLLGGQTRKTNSHHYVRRLSIQDTNNHLSTLLANKNLCHVRTLHCFGGNIIFLPQLSRFEILRVLDFHGCRGLEQYLENIDKLFQLEYLSLCCSDISRIPTQIAKLQNLVTLDLRRTCVEEFPTEFCRLIKLQHLLGDRMLKLPDGIGNMRNLQVLSGINISSSSASAVAELGELTSLRDLKICLFDELSKCKTKEEMLLASLCKLSSYKLQSLHIIDDSDDDYLERWFPIPCFLRLFHVITNYYFPQLPKWVKPSLTKMAYLNINLREIKEEDMKTLGDLPALLSLEIWLEPDPKEQLTVQSTRFLFLKEFVLVCSDHNGGAYLTFEKGAMPKLEKLEIPFHVLMAEPHDFYFGINNLQHLKEVEVRIYRVGAEDSDAEAAVAAIRSEANANPNHPRLAIKEASVSNKECDDNKDAEDQQGGVTDN >ORUFI10G01550.1 pep chromosome:OR_W1943:10:1885693:1886685:-1 gene:ORUFI10G01550 transcript:ORUFI10G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTRRHPLHDAIDAARWDAEPPLGRLVVVAHAAFLHAGFVPYSAGGSSSASRRPLPDEIGAVASSLSLRYTVPELLRRTTTQRRRSRAETAVLRLCAHGDHVVFYGYLTGDANQVQRLQTTRHWACIDALSVASVLLSGDLDATAHALADDGAGLWKKLAGGLARRLFVDMCRKNSRLLPPRLTSLPPDLQEDILRRLAVEDIAAMYFTCTGLRDLIAGSEVLNNNFQWGELWMNFAWSQGYLRRWLPSPARVVVGRRSQTTSFVAGDGEDRCRWRDPTKQMIERFVEKRSKVLAAGGDGGRRRPVMAARGRRRGDKGAASSRQKWMHH >ORUFI10G01560.1 pep chromosome:OR_W1943:10:1888404:1889915:-1 gene:ORUFI10G01560 transcript:ORUFI10G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHIKLLVAGFLANTHLKIVQATPIFMDVVISALVSDIISRFMSFLISKYGHNSCLKNKLERLQHLLLRVHMVVEEAEGRYITNAGMLMQLKMLTEAMYKGYHVFDTYGPLELIKEAGEVSDSYALDFHYVRRFHLSGGTIVSREVKSSLENLETVLDNLKEFVSLLNGCERIFRNPYSTYLYIDNFMFGRQVERQQIMSILMLDDHPKIPAVLPIIGGCRVGKKTLVWSVCSDERIRSHFSTILHFGGDDIKKFDERKVMPLKTLITVEFISDISDCEWLNFYSLVASSGNGSKVIIISRLEKLARFGTVNPIELRNFSHEEYSYLFNVLAFGSSNPLDHPRLAIIGKEIARTLQGSLVAINIYANVLRNNFSVPFWIRVLNLYRGMMESNLSLYGEHPKSLLQKDGTIIDITAFCPSLATNSLRITLLTGEKFKYDNKRELPVMGFGDIIAGSVTMPMKFQLVWESRLAPYTVISATCGAEELLSTTSTRKKRKIVCTS >ORUFI10G01570.1 pep chromosome:OR_W1943:10:1898583:1901943:-1 gene:ORUFI10G01570 transcript:ORUFI10G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGTRCMKYEEQWLAKDDVRFADEVAAEELLHARVRRAQRLAVDELLQPHVGVGLHENHPFRRALHNQLTSSSSSTCDDGELPEAAGQWVEAHQRLRQRCSDDTHQVTAIVVVTTGEDGRSVMAATEELDSGGVELQSTLGVNVGEDLVLVRGWPRRCHELRLSPVRGEDGHYSLIEMIDGILACWVPKREEATSRVLIDEHTIET >ORUFI10G01580.1 pep chromosome:OR_W1943:10:1912009:1916278:1 gene:ORUFI10G01580 transcript:ORUFI10G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRQRAKAVLWGEEDVGSDVTLWDEEEARLQWIEGALRARSEVWRESGEIDGTREVELSHIMVIFFTARRRKAELVAPARPTPHEHKPLSDIDSQRGLELYAAGVEFFHHRHAATTAPPVFSGGDDPVGIIRAALAEALLVVECTAEGVVFVEADADVRLQELGHGQPLGPPYPCVEELLCSNDLVGEPDVVVGKPLIFMQVTRFRNNEGFCIGYHYCHSITDAFGMAQLLHTVCRLARGDDDGESLNDPPVWERDLLAVAPRRASPRIRHVVEHPAYDPLPVSSAAAKDVVWTTPREQMVTRYFHLGPTEMAAMRAHVPSSATVFELVTAALWRCRTAALGYAAAQRVRVLVMSSARWSWKRHPPLPRGFYGNLLVPQVAEATAGELCSRPLAHAVELVRRRKFAVTDEYMRSMLDMLARRGRPFFNLDWTFVVADAGGLGRSLGVVGRWERAGGGLTPVGQATAASMYSYYERCKIGAGEEAAVVSMCLPAPAMERFVREITGCSNTRSAKSAM >ORUFI10G01580.2 pep chromosome:OR_W1943:10:1899807:1912005:1 gene:ORUFI10G01580 transcript:ORUFI10G01580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFTAHWRKAELVAPARPTPHEHKVLSDIDTQRGLQFYTAGVEFFRRRHHAAAVFSGGDDDNSGDLVGIIRAALAEALVSFYPLAGRLRELPVVAGGGGGGGKLVVECTAEGVVFVEADADVRLQELVHGQPLGPPYPCVEELLCSNLVGEPDVVLGKPLLFLQVTRLRNNEGFCIGYHYCHTITDAFGMAQLLDTIYRLARGDGDPLTNPPVWERERLVVAPSASPRITHYEHPAYEPLPISSSAAAAQDDVVRMTPREQMVTRYFYLGPTEMAAMRGHVRSSATVFELVTAALWRCRTAALEYAAKQRVRVLVMSSARWSWKRDPPLPRGFYGNMLVPQIAEATVGELCGLPLAHAVELVRRRKFTVTDEYMRSMLDMLARRSRPFFNLDWTFVVADAGGLGRSMGEAATATVGRWERVGGGITAAGQVIAASLYSCYERCRRGAGEEAAVVSMCLPAPAMERFAREITRGREKGESRERRRCCWLGGELGTAHGGFAPRL >ORUFI10G01590.1 pep chromosome:OR_W1943:10:1920871:1922304:-1 gene:ORUFI10G01590 transcript:ORUFI10G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALSAIASDLINRLTSFLMKKYMESTSIDDKMKRLKELLLRVHIVVEEADRRCITNPMMLMQLKMLAESMYRGYYMLDTIKYKSPKDEEVRKLCTMSVSLKRSRTIFDTPGSPADDNELEIVLNNLEAAISNINEFVVLLVGCEHVCRRPYDTYLYTDNFMFGRHAEKQQIINILLQNPCQQGGPLVLPIIGGCRVGKKTLVSHVCGDERIRSYFRSILYINGDNMWGMEHTKFKRERTLIVGEFFTDIDEDDWVKFYCTVSQMTDRGSKVIIISRIGKLARFGTVKTVRLNSLSQEEYSYLFKMLAFGSIDEKDHPKMAMVANDLAVVLGGSLITANVVADLLRRNHDFQLWNSVLQRFKEMVKSNLSKYGEHPKDIIEKEHPIDITRFGSSYRTRLHLMPPRVERDDSPNRKKPSLLFRDLIAGCAAIPDGDFELVTWESRIPPHTKYVQSAVAFVNGKNGCTTSTRKRRSNA >ORUFI10G01600.1 pep chromosome:OR_W1943:10:1923752:1924613:-1 gene:ORUFI10G01600 transcript:ORUFI10G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMLVFSTKFCRDVWNDPSCGYVAKKPEVMSQECCLAGDRHFSPAKPLNFMC >ORUFI10G01610.1 pep chromosome:OR_W1943:10:1931068:1932376:-1 gene:ORUFI10G01610 transcript:ORUFI10G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAISAIASDLTSRFMSFLIKKYTVTTVKDDKIKRMNELLLRVHAVVEEADGRCITNPKMLTQFKMLAEIMYRGPYDTYLYTDNFMFARHAEKQQIINILLQNPCHHSAPLVLPIIGGFRVGKKALISHVCNDERIRSYFSFILYISGDSMRRIEYAKLKKETSLIVCEYFTDIDEDDWINFYSTISQMTAGGSKVVIISRIENLARFGTVKAVRLNSLSKEVYNYLFKKLAFGSIDEKEDPKMASVANDLAVVLGGSLITANVIADLLRRNHDVHFLLHILQRFEGMVKNNLSKYGEHPKDIIEKEQPIDATRFVSSYPTRLHIMPPRVERDDLPNIGMPTIFFGDLIAGSVAIPNGDFEIVTCKS >ORUFI10G01620.1 pep chromosome:OR_W1943:10:1942968:1943978:1 gene:ORUFI10G01620 transcript:ORUFI10G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFVILLAGCERISQKPYDTYIYIDNFMFGRHVEKQHLINFLLENNIAGPPPVLPIIGGRGVGKRTLVTHVCNDERVSSQFNFILHINGANLGQITENGNLSARTLVIEFFSDVDDNDWKKFYSHVTNLSRENKVIILTTIEKLERFGTVKPMTLNRLVYEEYRYLFKTLAFGSTDPVDHPQLIPIVEEFAELLEGRLIPANILADVLRKNLNVHFWLCKLRQVQITAKKNMTMSGAHPHKLFDQGHPAHFTGYILSPPTIITPNVPQNDLPQLRFGDLLTGHIFPPNGDFKLISWESRIPPYTSFVHTARFCLSLSQDQPESPLSGRKHRRPFS >ORUFI10G01630.1 pep chromosome:OR_W1943:10:1949041:1951913:1 gene:ORUFI10G01630 transcript:ORUFI10G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIISSITGDLTSRFISFLINKFLYNLFSEEKVKRLEQLLRRVHMVVEEADGRYITNRCMLAQLKTIVAAMYSGYHVLDAIKYMKNNEGANDLVNDSSSLFFATPLKRSRTTTVCPETKKKFSMELQGALQNIETIIGDINEFVILLARCERMSRRPYDAYLYIDNFMFGRHVEKHHLINFLLENNILGPPAVIPVIGGHKVGKRTLVTHVCNDERIRSHFPLIFHISGANLSRITENGNLSARTLIIVEFISDVDDNDWITFYSSMANLNRGNKVIILTKIQKLERFGTVKPITVDRLVYEEYRYLFKTLAFGSANPMDHPQLVPIVEEFAMLLRGRLIQANILADVLRKNLNVHFWLFALKGVQITVKKYLSMYGTHPHELFDQGHPVHLTDYVLYPADTTENAPNNDLPKLTFGDLITGRIFPPKGNFNLVSWESRIPPYTSFVHMARFSPSLAEDKPESHLSGRKRPRSWEALASAGIIVIIPELATTRRIKPPLLALVLASWAASS >ORUFI10G01640.1 pep chromosome:OR_W1943:10:1953409:1953744:-1 gene:ORUFI10G01640 transcript:ORUFI10G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMDSDHIGGHNFLLDGWPVVRCWRGGCASKVCCWGWLLFPTGELDTRGSLAHSVRQRRHLLALLSLWGIVVKTMAWIPWTGCGGTFSVVSFLKEHFL >ORUFI10G01650.1 pep chromosome:OR_W1943:10:1965370:1966861:1 gene:ORUFI10G01650 transcript:ORUFI10G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIVSSITGDLTSRLISFLMNKFLDNLYSGEKVKRLEQLLQRVHMVVEEADGRYITNRCMLTHLKTIVAAMYSGYHVLDTIKYMKNNKGANDLVNNSSALSFATPLKRSRTTTICPETKNKFSMELQGALKNIETVIGDINEFVILLTGCERMSHRPYDTYLYIDNFMFGRHVEKQHLINFLLENNNIGPPPVLPIIGGRGVGKKTLVAHVCNDDRVRSHFFFIFHINGENLGEITENKNMSERTLVIVEFVSDVDDNDWGTFHSSLMSLNRGNKVIILTRIKKLERFGTVRPITLDRMVHEEYRYLLKTLTFGSANPMDYPQLIPIVEEFAVLLGGRLIPANILGYVLRNNLNVHFWLSRLKGIRFVVKKNLSMSGSHPNELFDQGHPAHLTDYILYPASTSTDSPKNDLPKLTFGDMLAGQNFPPKGDFNLVSWESRIPPYTSFVHMARFFPTFAQDHLESPLSGRKHARPFSVSYDE >ORUFI10G01660.1 pep chromosome:OR_W1943:10:1969403:1982971:1 gene:ORUFI10G01660 transcript:ORUFI10G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPYDAYLYTDNFMFGRHTEKQRLLNFLLEYNSQGLPPVLPIIGSLAVGKKTLVAHVCADERVQSQFSSILHLNEDDLLRLAHSDTLLSGKMLVVVEFVSDLNEKNWEEFYTSLTQMNQGSKVITISRFRKSEKLGTVKPIALDIHSYEELSYLFKTLAFGSVNPKDHPRLLQIAEEFAMQLQLKSSLVAANFLADVLRRNLDVNFWLCMLNRCITVAEKNFSLYGEQTRLLLEQGHRVDITNFDSSPAAPLHIVPCVGANSTGKDLPRVKFTELLLDPSVRPKDDLLRIAHSDTLLSGKMLVVVEFVSDLNEKNWEEFYTSLAQMNEGSKVITISRFRKSEKLGTVKPILLDIHSHEELTYLFKTLAFGSANPMDHPRLLQVAEEFAMQLQLRGSLISVNICADVLRWNLDVNFWISILNRCITVAEKNFSLYGKHVRSLFEQGHRLDITNFASSSAAPLHIIPFAGHSSALKDLQRVTLRELLLDPSVRPKGDFVHRFISFLIKKCESQENLETKMERLHNLLLKAHMIVEEAEGRYITNSKMLLQFKKIVETMYQGYHILDIIKHRTLCSSRPEEEVSNSNTLSTRTCYVDPFHTSQSYTIRHDQLQSTLDSLETIVSSMTEFVILLGGCERMSPKPYDTYLYFDNFMYGRQVEKQQVISILLQENIPHFAPTVLPRSLALVELVREL >ORUFI10G01660.2 pep chromosome:OR_W1943:10:1968953:1982971:1 gene:ORUFI10G01660 transcript:ORUFI10G01660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVSAVTGEIVSRFISFLLSKYSSHEISEEKQLERLQQLLLRVSTVVEEADGRYITNSGMLMQLKGLADAMYRGHHVLDMFRCRNKIQENSIKEVSSPFPPLKRFRAIVDAAGNNKARYLELHKTLRILEAAVDHMAEFVVILGGCDRMSRRPYDAYLYTDNFMFGRHTEKQRLLNFLLEYNSQGLPPVLPIIGSLAVGKKTLVAHVCADERVQSQFSSILHLNEDDLLRLAHSDTLLSGKMLVVVEFVSDLNEKNWEEFYTSLTQMNQGSKVITISRFRKSEKLGTVKPILLDIHSHEELTYLFKTLAFGSANPMDHPRLLQVAEEFAMQLQLRGSLISVNICADVLRWNLDVNFWISILNRCITVAEKNFSLYGKHVRSLFEQGHRLDITNFASSSAAPLHIIPFAGHSSALKDLQRVTLRELLLDPSVRPKGDFVHRFISFLIKKCESQENLETKMERLHNLLLKAHMIVEEAEGRYITNSKMLLQFKKIVETMYQGYHILDIIKHRTLCSSRPEEEVSNSNTLSTRTCYVDPFHTSQSYTIRHDQLQSTLDSLETIVSSMTEFVILLGGCERMSPKPYDTYLYFDNFMYGRQVEKQQVISILLQENIPHFAPTVLPRSLALVELVREL >ORUFI10G01660.3 pep chromosome:OR_W1943:10:1968818:1969382:1 gene:ORUFI10G01660 transcript:ORUFI10G01660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVSAVTGEIVSRFISFLLSKYSSHEISEEKQLERLQQLLLRVSTVVEEADGRYITNSGMLMQLKGLADAMYRGHHVLDMFRCRNKIQENSIKELLITWQNLW >ORUFI10G01670.1 pep chromosome:OR_W1943:10:1983052:1994865:1 gene:ORUFI10G01670 transcript:ORUFI10G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECETFTERRDLFVIEFTADIDDENWKKFYVSCTHMGRGSKIIIISRTERISRFGTVRPIHLNSLPLEEYSYLFKVLAFGSTNPKEHPQFDFIADSVVIPKENFELVTWESRIPPYRRFVNIAIVSAIASDFVNRFISFLMKKCGSQQNLETKMERLQNLLLKVHMIVEEAEGRYITNSKMLLQFKKIVKAMYQGYHVMDIIKHRTLCGSRHEEENAAIRHDQLQNTLDSLETIASSITEFVFLLGGCERMSPKPYDTYLYFDNFMFGRQMEKQQVINILLQENLPHFSPTVLPIIGPTRVGKRTLVAYVCNNEMVRSHFSSILHLNGENIRKMECESFSKKRDLVVVQFTADTDDENWKKFYASCTHMGRGSKIIVVSRIERISRFGTVRPIHLNSLSHEEYSYLFKVLAFGSTNPEEHPQMVSIANELSVLLGGSFVAVNVFADIFRKNHVHLWLHVLKKYRKMIRKNFSEFKEHPKLLLDKEHHIDITKLASSSSPLHLMPPNCEDNQSKRSLTKVMFSDFIADSVVIPKENFELVTWESRIPPYRKFVNIVSNYDDEMNFHHTEVVVSAIAGDVVNRFISFLIKKYESQENLERKMERLQNLLLKVHMIVEEAEGRHITNSKMLLQFKKIVDAMYQGYHVLDIIKNSILCKSRPEEQVSSANTISAPTCYVNPFRTSQSSTIRHDQLKSTLDSLETIVSSMTEFVYLLGGCERMSPRPYDTYLYFDNFMFGRQVEKQQVINILLQENLPPFAPTVLPIIGPSRVGKRTLVAHVCNNEIVRSHFSSILHLNGENIMKMECETFTERRDLVVVEFTADTDDDNWKKFYASCTNMGRGSKIIIVSRIEKISRFGTVRPIHLNSLSHEEYSYLFKVLAFGSTNPEEHPQLVSIANELTVLLGGSFITANVFADIFRKNQNVHLWLHVLKKYRNTVLKNFSEFSEHPKLLLEKEHLIDITKLASSSSPLRLMPPHCEGYGSKRRLTKVMFSDLIADSIVVPKEDFELVAWESRIPPYRRFVNIVLYCDDEKNFQHADSLHKKRQKNT >ORUFI10G01680.1 pep chromosome:OR_W1943:10:2000277:2004023:-1 gene:ORUFI10G01680 transcript:ORUFI10G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWRDSGGGGSGGGRDLNGGGTPCGQVRVLVVGDSGVGKSSLVHLILKGSAIARPPQTIGCAVDVKHITYGSPGSSSNSINSIKGDAERNFFVELWDVSGHERYKECRSLFYSQINGVIFVYDLSQRKTKTNLNKWAVEVAESGTFSAPLGSGGPGGLPVPYLVIANKVDIAPRDGKRVSSGNLVDVARQWVEKQGLLPSSEELPLAESFPGNSGLLTAAKVARYDKEALVKFFRMLIRRRYFSNELPAPSPWSLTPREDTILPVETTNDDDLFQRKSYAGQSYKYSGVTPLPAQRNLTPPPTLYPQQPMSSSSENYRYHRFSSSAIPDASSSRTNRADINI >ORUFI10G01690.1 pep chromosome:OR_W1943:10:2006437:2020506:1 gene:ORUFI10G01690 transcript:ORUFI10G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLARELDRPAPLISTGYSVQRVGELTNQAMKQDLPRPKGGRSSFGCEDLSDTMQDQLLLVPEVAVAGTAGIHSPPLDPIHSPPPVAGAAAGMACSLLLTILPEELVVEILIRLTDLADLARAASACKPLRRLITSRAFLARLHALHAKPLLGLLLLERDRCGFLPAATAVAAAVARASDFAFSFLPDHAAGWRLRDVRHGLALLSSSSSSSYLSPLGDRGFFPDVVVCDPMRRRHVRVPPIPDDLTAGVRRIAVEHFDYLLAPAGRDGSSFRVVCRPKLPKQCDVTVFVFSSGAAFWRAAVLDACAATEKLFLPQSVHGYVYWRTHSSGTLLMLDTRDMDFFFVNIQTNKCVIGEAEEVGRLAVFNTIVDVGVHKVEILSKAIRGGADEPWRHDRTIPLLPGYKWRAARMAEGYLLLHGIVTTPGLLLVFSYSISHWTSRRLSLRVCVTRYPEEGIIILNSTCTEASRHHYRFQAYDNKLVVEILIRLDDLADLARAASACRALRRLITSRAFLRRVHALHPRPLLGLLHLEHHGSRCRFLPAEPPHPSAATAAAVARAFDSDSDSDSSFSFLPGRSGDWRLRDVRHGLAVLSTRHAVTDDGCFSFPDVVVCNPLRRRYARIPPISDDLAAPIRSLGVGVEDFDYLVAPAGREGLSFRVICRPQLPMGCDVTVFVFSSSAVIWRAATLHACAATAQLVSPQYAHGYAYWRLIRSATRLLLLDTRDMDFFFVDFEQRSVPWQAIGEAGEVGRLAMFNIAHANHTVELLSGAIRGSADEHWRHDKTIPLLPGYKWRILKLAEGYLLLQGRILGDGTSQFTPGDQLQYFTLDINTFKLERLCASTPQGINASLHRYRFQRLAMRDGSLGMKEVAVSAAIAAAGGSMGGVEEEIWELFVG >ORUFI10G01690.2 pep chromosome:OR_W1943:10:2006691:2014480:1 gene:ORUFI10G01690 transcript:ORUFI10G01690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRPRRLLLTLPDEVLEEIFLRLDALPDLARASAACATFRRLITARAFLRRLHSLHPRPLLGFFKREGPSCEFFPAAPPHSSSAAASAVARGAADLTFSFLPATPGGWRLRNIRRGLALLSTRDGGGGCFFPDVVVCDPLHRRYAQIPQIPDDLAAPIRRSGSLPKGFDYLLAPARREEEEEEEEDSSFKVVCRPRLTEECDITVFVFSSGAGIWRAATLGSSLATAISVTSRPRCVHRCVYWLTRFLDRLLILDTDEMELSMFDNFPPSTGFVLNHTTAAIAEAGEDRLGVFNLDVHNVNLLSRAIRGSADEQWRHDKTIPLLPGYSIWRFVNHADVDGYILLGGVLGSGLQSDPITDGLQYFSLDLKTFRLERLCPMTIYQARNSPTEDAIGTGVGQARPTHFYRLYFHVVVNGLSEQQPNDLPRPKGGRSSFGCEDLSDTMQDQLLLVPEVAVAGTAGIHSPPLDPIHSPPPVAGAAAGMACSLLLTILPEELVVEILIRLTDLADLARAASACKPLRRLITSRAFLARLHALHAKPLLGLLLLERDRCGFLPAATAVAAAVARASDFAFSFLPDHAAGWRLRDVRHGLALLSSSSSSSYLSPLGDRGFFPDVVVCDPMRRRHVRVPPIPDDLTAGVRRIAVEHFDYLLAPAGRDGSSFRVVCRPKLPKQCDVTVFVFSSGAAFWRAAVLDACAATEKLFLPQSVHGYVYWRTHSSGTLLMLDTRDMDFFFVNIQTNKCVIGEAEEVGRLAVFNTIVDVGVHKVEILSKAIRGGADEPWRHDRTIPLLPGYKWRAARMAEGYLLLHGIVGNNSWSTPGIQLQHFTLDLKTLKLESLCDSISRGRHHHPQFDLYRSFPPPLSLSSI >ORUFI10G01690.3 pep chromosome:OR_W1943:10:2013207:2020506:1 gene:ORUFI10G01690 transcript:ORUFI10G01690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTITCQGGLSNFGCQAVSDALRRMPWKRKTSCYTAVVELVVEILIRLDDLADLARAASACRALRRLITSRAFLRRVHALHPRPLLGLLHLEHHGSRCRFLPAEPPHPSAATAAAVARAFDSDSDSDSSFSFLPGRSGDWRLRDVRHGLAVLSTRHAVTDDGCFSFPDVVVCNPLRRRYARIPPISDDLAAPIRSLGVGVEDFDYLVAPAGREGLSFRVICRPQLPMGCDVTVFVFSSSAVIWRAATLHACAATAQLVSPQYAHGYAYWRLIRSATRLLLLDTRDMDFFFVDFEQRSVPWQAIGEAGEVGRLAMFNIAHANHTVELLSGAIRGSADEHWRHDKTIPLLPGYKWRILKLAEGYLLLQGRILGDGTSQFTPGDQLQYFTLDINTFKLERLCASTPQGINASLHRYRFQRLAMRDGSLGMKEVAVSAAIAAAGGSMGGVEEEIWELFVG >ORUFI10G01690.4 pep chromosome:OR_W1943:10:2006437:2014480:1 gene:ORUFI10G01690 transcript:ORUFI10G01690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLARELDRPAPLISTGYSVQRVGELTNQAMKQDLPRPKGGRSSFGCEDLSDTMQDQLLLVPEVAVAGTAGIHSPPLDPIHSPPPVAGAAAGMACSLLLTILPEELVVEILIRLTDLADLARAASACKPLRRLITSRAFLARLHALHAKPLLGLLLLERDRCGFLPAATAVAAAVARASDFAFSFLPDHAAGWRLRDVRHGLALLSSSSSSSYLSPLGDRGFFPDVVVCDPMRRRHVRVPPIPDDLTAGVRRIAVEHFDYLLAPAGRDGSSFRVVCRPKLPKQCDVTVFVFSSGAAFWRAAVLDACAATEKLFLPQSVHGYVYWRTHSSGTLLMLDTRDMDFFFVNIQTNKCVIGEAEEVGRLAVFNTIVDVGVHKVEILSKAIRGGADEPWRHDRTIPLLPGYKWRAARMAEGYLLLHGIVGNNSWSTPGIQLQHFTLDLKTLKLESLCDSISRGRHHHPQFDLYRSFPPPLSLSSI >ORUFI10G01690.5 pep chromosome:OR_W1943:10:2006691:2009689:1 gene:ORUFI10G01690 transcript:ORUFI10G01690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRPRRLLLTLPDEVLEEIFLRLDALPDLARASAACATFRRLITARAFLRRLHSLHPRPLLGFFKREGPSCEFFPAAPPHSSSAAASAVARGAADLTFSFLPATPGGWRLRNIRRGLALLSTRDGGGGCFFPDVVVCDPLHRRYAQIPQIPDDLAAPIRRSGSLPKGFDYLLAPARREEEEEEEEDSSFKVVCRPRLTEECDITVFVFSSGAGIWRAATLGSSLATAISVTSRPRCVHRCVYWLTRFLDRLLILDTDEMELSMFDNFPPSTGFVLNHTTAAIAEAGEDRLGVFNLDVHNVNLLSRAIRGSADEQWRHDKTIPLLPGYSIWRFVNHADVDGYILLGGVLGSGLQSDPITDGLQYFSLDLKTFRLERLCPMTIYQARNSPTEDAIGTGVGQARPTHFYRL >ORUFI10G01700.1 pep chromosome:OR_W1943:10:2022554:2027938:1 gene:ORUFI10G01700 transcript:ORUFI10G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVQSAPAAATAAGMSAASAPAPRRWEGVDQALERMVLRACLDQAPERRRVRDAFKDVQLSIDHCLFKGQYSDIGTKESYEKNSRGVEIFSKCWYPENHRIKAIVCLCHGYGDTCTFFLDGIARKIASAGYGVFALDYPGFGLSEGLHGFIPSFDTLVDDVAEHFTKVKENPEHRGLPSFLFGQSMGGAVALKIHFKQPNEWDGAILVAPMCKIADDVIPPWPVQQVLIFMARLLPKEKLVPQKDLAELAFKEKKKQEQCSYNVIAYKDKPRLRTALEMLRTTKEIESRLEEVSLPIIILHGEGDLVTDPAVSKALYDKAKSSDKTLRLYKDAYHAILEGEPDEAIFQVLDDIISWLDQHSTKKVPSS >ORUFI10G01700.2 pep chromosome:OR_W1943:10:2022554:2027938:1 gene:ORUFI10G01700 transcript:ORUFI10G01700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVQSAPAAATAAGMSAASAPAPRRWEGVDQALERMVLRACLDQAPERRRVRDAFKDVQLSIDHCLFKGQYSDIGTKESYEKNSRGVEIFSKCWYPENHRIKAIVCLCHGYGDTCTFFLDGIARKIASAGYGVFALDYPGFGLSEGLHGFIPSFDTLVDDVAEHFTKVKENPEHRGLPSFLFGQSMGGAVALKIHFKQPNEWDGAILVAPMCKIADDVIPPWPVQQVLIFMARLLPKEKLVPQKDLAELAFKEKKKQEQCSYNVIAYKDKPRLRTALEMLRTTKEIESRLEEVSLPIIILHGEGDLVTDPAVSKALYDKAKSSDKTLRLYKDAYHAILEGEPDEAIFQVLDDIISWLDQHSTKKVPSS >ORUFI10G01710.1 pep chromosome:OR_W1943:10:2033577:2034457:1 gene:ORUFI10G01710 transcript:ORUFI10G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPTVRSARPRASHAQEKTAWAQATFDLLAVAASSPEGEKWRCVWKGDPTEMSETRVRELRAVVRPYLESGFFGWAVVAEEDSKERRSPEAQDASFPWLLHRPQDTDATGPCANGSRRVSAEEMIRMPPPRIEVTREGG >ORUFI10G01720.1 pep chromosome:OR_W1943:10:2041501:2042684:-1 gene:ORUFI10G01720 transcript:ORUFI10G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAAPALPDEMLVEIFLHLDDPVDLARASAACATFRRLISGRAFLRRIRARHAPALLGLIGHFASPCGRFLPAEPPHRSAPPPHRSARAAPTADFAFSFLPAPPTGSRWLPLDVRDGRFLVSTSSAPGGDVVVCDPLHRRAGSWRAVTIDGYRPRFTLCRCQYAHGCFYWFTSLRGKSLMLNARDMEISIVEFPQSCFGIGQHAIVEAGEGRLGLFTIGDCNLDLHSKAIGAGGIAGDNEWRHDKTIPLLPRYKWDIINAAEGFLLLKGFPLDHYPPRWIPTEIPCFTLELKTLLLKRLCMLHLGVVCDALLLYRSFPPPLSLPSI >ORUFI10G01730.1 pep chromosome:OR_W1943:10:2048527:2051310:-1 gene:ORUFI10G01730 transcript:ORUFI10G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVILLVVKKIGAALGNEVINQASSLYRNLFAQLAELQGSMSRICRELRLMHEFLCRMDVRNRNDQAYEIWVDEVRKLAHGIEDIVDEYLHLVRQRHDKGWSFYLKKGINQPEALRSLNRMVCLIKEAESSLVHLFQVKDRWIPNASPGYANNSGYIVEKSQHLASTSRSICEDLVGIEENRDTLFNWMREDGMACSTIVLHGMGGLGKTALTANVYKHEQEYYDCHAWVSVSQTYSLMELLKKLSVQLFHEENIQSNIGSIDIINLQEILRRFLEEKKYLIVLDDVWTPEVIIDMSRALAQNFKGSRLLITTRIGNVAEFASEGRVLTLEGLSEGKSWELFCKKAFRREANHECPTELKNLATQMLNKCKGLPLAIVSVGSLLSVREKNPTEWRRIYDQLSWELNNNPGLDHVRNILYLSFIYLPTYLKSCFLYCTLFPEDYILHRKMLLRLWIAEGFIEEKGENTFEDVAEGYLIELVHRNMLQLMECNSFGRIKSCKMHDIVRELAIDLSQKQSFGLAYYEYGNRCSTMDTSIRRLAVAKCSNNILSSICLPRLRSCIVFDKAMPSLRIIKSISDKSKYIVVLELRGLAIEKVPDAVGCLFNLRYLGLRHSKVKFLPKSVERLSNLLTLDIFNSYIQELPQGIVKLKSLRHLLVERINDPSWRDFRSRHGVCIPKGLSNFTNLQTLHAIEAQDRTVKDLGELTQLKSLRVWNVKEIHCERLCVSILKMRFLYHIHIAACDESEVQLNKLDPPPLSLQKLCLRGRLAEGTLESPLFQTGGQKLRGLFLVWSQLKQDPLPPISRLCNLTQLNLTRAYVGELLIFRSGWFPSLKFLLLRDLPNLHRLEIEEGAGIGIRVLQLRHLDKLMDIPPGIEFLPSLQRLCFVHISEDFLALLNRCSRLKHIQWWYSTHDQPLTKKCTSLN >ORUFI10G01740.1 pep chromosome:OR_W1943:10:2051368:2052575:-1 gene:ORUFI10G01740 transcript:ORUFI10G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGWVPGSGAEVCWGGHRLAAGWIQCGWGLCSYRHAAAAATDDGGVSPLPALPSTAKAAIS >ORUFI10G01750.1 pep chromosome:OR_W1943:10:2060392:2067064:-1 gene:ORUFI10G01750 transcript:ORUFI10G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRRQRRRRRTSPAAPAPPPVLPPDNDDLIQEILLRLPSHPSSLPRASLVCKQWRRLVSDPAFLRRFRARHRDPPLLGVFKDELHHPVFRSVLDPPDLIPPDRFALRLDDYRAASLLGCRHGLVLIFNYNTCEFLVWDPVSGDRRRVAVPQELDGGERSVMNGAVLCAAGDDGHVHGGGFRSCHFKVVLIGASKMDGRIFASIYSSVTGEWGDAIFTGPVSTIYYFGSPAILVGNSLYWLLSVWGPHILEFNLETSTLAVIDGNWPQMNFSSDCHYCIMRGEDGNVGLAILSYRGFQMWERKVTLGGAAKWVLWKTVKLHDILGLSSAVQREKTDIVGYSEDPNAFILVVDTDFYMFRVDSMQSKKLFDCNVVTRCHPFTSFYTAGLDMEHVVSAVTGELVTRFISYLMSKYSRRHEISEEKQLKRLQQLLLRVSMVVEEADGRYITNSGMLMQLKALADAMYRGHHVLDMFRCRTLIQEDPIKEVSNPFPPLKRFCKIVDASGKDKARHLELHRTLEILETAVDHMAEFVVLLGGCDRMSRRPYDTYLYIDNFMFGRHSEKQRLLNFLLEYNPPGVQPAVLPIIGALGVGKKTLVAHVCADERVQSQFSSILHLNEGDLLGIAHNHTLLAGNILMVVEFVSDVNEMNWEEFYKSVAQMNEGSKVVTISRLRKSEKLGTVKPMFLNNHSDEELSYLFKMLAFGSANPKDHPRLVQISEENCHADAVHRDTCRSKCYCRCIEREFGCQFLAWQIKNDDNVSLAILSYSGFQMWERKVTLGGAAKWVLRKTVKLHGILGLSSAVQREKIDIVGYAEDLNAFILVVDMAFYMVQVDSMQFKKLFDCNVITCCHPFTSFYTADAAFEGIGAEDKLRLDC >ORUFI10G01750.2 pep chromosome:OR_W1943:10:2060392:2067064:-1 gene:ORUFI10G01750 transcript:ORUFI10G01750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRRQRRRRRTSPAAPAPPPVLPPDNDDLIQEILLRLPSHPSSLPRASLVCKQWRRLVSDPAFLRRFRARHRDPPLLGVFKDELHHPVFRSVLDPPDLIPPDRFALRLDDYRAASLLGCRHGLVLIFNYNTCEFLVWDPVSGDRRRVAVPQELDGGERSVMNGAVLCAAGDDGHVHGGGFRSCHFKVVLIGASKMDGRIFASIYSSVTGEWGDAIFTGPVSTIYYFGSPAILVGNSLYWLLSVWGPHILEFNLETSTLAVIDGNWPQMNFSSDCHYCIMRGEDGNVGLAILSYRGFQMWERKVTLGGAAKWVLWKTVKLHDILGLSSAVQREKTDIVGYSEDPNAFILVVDTDFYMFRVDSMQSKKLFDCNVVTRCHPFTSFYTADAAFEGIGAEDKLRLDC >ORUFI10G01760.1 pep chromosome:OR_W1943:10:2070586:2073410:-1 gene:ORUFI10G01760 transcript:ORUFI10G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLLLLSIMPLAAGELLAQLCGNGGNYTANGTYQSNLARLAAALPSNASSSPDHFATATAGQAPDAAYALALCRGDVANATACGDCVAASFQDARRTCPSDKSATIYYDDCLLRFAGDDFLAAPNITENATLFQAWNQQNITGDAAVAAANVRELLTVTARTAAAAARRFATGFMDGSSESKQTLYSLAQCTPDLAAGDCLACLQRLIAMVNSTTSVRLGGRVLLLRCNLRFEAFVFYAGEPTRRVSPPGSTPAPDSIAPTKNRKKSKSWVIAAIAAPVAAVVLCLIVCYYCRWSRRFRKDRVRLREKRSRRFRGDELICEMEGEISEFSVFEFREVIKATDNFSEENKLGEGGFGPVYKGLFSEGLEIAVKRLASHSGQGFLEFKNEVQLIAKLQHRNLVRLLGCCSQGEEKILVYEYLPNKSLDFYIFDERKKDLLDWNKRLVIIEGIAQGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIFGSNSNEGTTRRVVGTYGYMAPEYSSEGLFSPKSDVFSFGVIILEIISGKRNASLDQCEDFINLLGYAWKLWSEERWLELLDASLVTNWQSSCMLRCINIALLCVQENAVDRPTMSNVVAMLSSESMVLDEPKHPAYFHVRVTKNDESSTVGTCSTINDVTINY >ORUFI10G01770.1 pep chromosome:OR_W1943:10:2078669:2083798:1 gene:ORUFI10G01770 transcript:ORUFI10G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVVGVLLILGLMPMPFEVIMAAADDGGGVFCDNLKFVSATLPNKTSSSPHHYATAAAGQAPDVVYVLALCRGDLNDTACGESVAYTFARLINESCVANYTAGAYYGDCTGVYSFQNFLDPSDATEDEEPFERWNVNNITGDGENVRFIAGLIQQLLSETVERAAGAAGRFATGVVDTGRTFPLVYSLAQCTPDLSAGDCLACLRRLTGMINSTMAVRMGAQIHVTRCYFRYEAYVFYDSKPMLHLTGAPAPAIPKRHKSKLWVIPIVIIPVVAFFCFIVYCGWRRGHRKGIMGLQARRTDNLQGEEELVWDLEGKNPEFSVFEFDQGHFPDGIEIAVKRLASHSGQGFIEFKNEVQLIAKLQHRNLVRLLGCCSHEEEKILVYEFLPNKSLDLFIFDENKRALLDWYKRLEIIEGIAHGLLYLHKHSRLSVIHRDLKPSNILLDSEMNPKISDFGLARIFSSNNTEGNTTRRVVGTYGYMAPEYASVGLFSIKSDVFSFGVLFLEIISGKKNSGSHHSGDFINLLGFAWSLWGEGRWLELIDESLVSKYPPAENEIMRCINIALLCVQENAADRPTMSDVVAMLSSKTMVLAEPKHPGYFNVRVANEEQSVLTEPCSVNDMTISAISAR >ORUFI10G01780.1 pep chromosome:OR_W1943:10:2099773:2100667:-1 gene:ORUFI10G01780 transcript:ORUFI10G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRRRQRPTSPAPPLDNDDLLSEILLRLPPQPSSLPRASLVCKRWRRLVSDHGFLRRFRARHHFSLDLWKNKTNCDDATGWVLERTIQLDKLLSVEPGPRITSPCLGFVEEHNMLFVSTRIGAFLVHLE >ORUFI10G01790.1 pep chromosome:OR_W1943:10:2100278:2100945:1 gene:ORUFI10G01790 transcript:ORUFI10G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILLSSRDAGGISTAPVAGDGVPQHESAAVDEVEENGAAVAEAEEGRLTVAGAEAAEEAVVGDEAAPALADEGGAREGGRLRREAEEDLGEEVVVVQRRRRRGGALAAAVHHRRVGVFLFVCLFSFFFELQNTGAVYSLYQLLYATSVVYGLKRYGNGRKSSLSFSFSYFFRNRNRNWKPGYENGIEYYRNRKRSENEPARIR >ORUFI10G01800.1 pep chromosome:OR_W1943:10:2110418:2121810:-1 gene:ORUFI10G01800 transcript:ORUFI10G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLRHRRAISLAPPVTLPDDDDLLSEILLHLPPRPSSLPRASLVCKRWRRLVTDPAFHRRFRARHRNPPPLIGVFEDYLGYPFFRSVLDPPDLIPRECFRLRLAEDEGGQWHFYGCRHGRLLLFNRAKNEIVVWVPDTGDHRQVAVPPEIDGKEKIIWNGAVLSAATADDGPFRVVLVGVAGNNTQMFACVYCSESGKWSDLISVAAPFLVFFFRDPGILAGNALYWMAYGERWLTVLQFDLDKQTLSVIEWPYDSEPYSQTWLTEGDCLGAATLSRSSLQMWERKVCSGGVAKWVLQKTYELKNVLNPEFRLKIGYLTKLGYAQDIKVMFLWADHSVFMLQLDTLQAKKVWESCVIAPIHPYASTYVAVYHNIGMGTPKGRFSMRLGEDEVRKERMFCGCRHGRVLLLDRKQNEIVLWDPDTGDHRRVGIPPEIDGKEKIVWNEAALCAAADDDGHVHGGFSCCPFNVALVGVASNNTQMFACFYTSETGRWSNLIFTPAPFLVFAFVDPGILVGHSLYWFPTGLGSAILQFDLDRQTLAVIEWPSNPNCYSHYMSQIFLAEGGYLGLVTLSYDSLQIWERKVCSEGVTRWVLQRTAELNKVLELGSGVKTSHLVRLGYAEDVKVMLLCADSSVFMLQIDSLQSRKLWETNIMSSLHPYASTYVAANYGLRKFGKVSRLLTVIGKVQRWITGA >ORUFI10G01800.2 pep chromosome:OR_W1943:10:2113093:2121810:-1 gene:ORUFI10G01800 transcript:ORUFI10G01800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLRHRRAISLAPPVTLPDDDDLLSEILLHLPPRPSSLPRASLVCKRWRRLVTDPAFHRRFRARHRNPPPLIGVFEDYLGYPFFRSVLDPPDLIPRECFRLRLAEDEGGQWHFYGCRHGRLLLFNRAKNEIVVWVPDTGDHRQVAVPPEIDGKEKIIWNGAVLSAATADDGPFRVVLVGVAGNNTQMFACVYCSESGKWSDLISVAAPFLVFFFRDPGILAGNALYWMAYGERWLTVLQFDLDKQTLSVIEWPYDSEPYSQTWLTEGDCLGAATLSRSSLQMWERKVCSGGVAKWVLQKTYELKNVLNPEFRLKIGYLTKLGYAQDIKVMFLWADHSVFMLQLDTLQAKKVWESCVIAPIHPYASTYVAVYHNIGMGTPKGRFSMRLGEDEVRKERMFCGCRHGRVLLLDRKQNEIVLWDPDTGDHRRVGIPPEIDGKEKIVWNEAALCAAADDDGHVHGGFSCCPFNVALVGVASNNTQMFACFYTSETGRWSNLIFTPAPFLVFAFVDPGILVGHSLYWFPTGLGSAILQFDLDRQTLAVIEWPSNPNCYSHYMSQIFLAEGGYLGLVTLSYDSLQIWERKVCSEGVTRWVLQRTAELNKVLELGSGVKTSHLVRLGYAEDVKVMLLCADSSVFMLQIDSLQSRKLWETNIMSSLHPYASTYVAGTYVFTMQ >ORUFI10G01800.3 pep chromosome:OR_W1943:10:2120659:2121810:-1 gene:ORUFI10G01800 transcript:ORUFI10G01800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLRHRRAISLAPPVTLPDDDDLLSEILLHLPPRPSSLPRASLVCKRWRRLVTDPAFHRRFRARHRNPPPLIGVFEDYLGYPFFRSVLDPPDLIPRECFRLRLAEDEGGQWHFYGCRHGRLLLFNRAKNEIVVWVPDTGDHRQVAVPPEIDGKEKIIWNGAVLSAATADDGPFRVVLVGVAGNNTQMFACVYCSESGKWSDLISVAAPFLVFFFRDPGILAGNALYWMAYGERWLTVLQFDLDKQTLSVIEWPYDSEPYSQTWLTEGDCLGAATLSRSSLQMWERKVCSGGVAKWVLQKTYELKNVLNPEFRLKIGPRKFGKVVSSRQFIPTQVLMLQVLMFSAFNCITD >ORUFI10G01810.1 pep chromosome:OR_W1943:10:2138341:2139224:1 gene:ORUFI10G01810 transcript:ORUFI10G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAEKYIIDHVPYSSISTQQVYYGVLSQSIKPISGFEYRYSVDVFLPCVACNDLLYQYK >ORUFI10G01820.1 pep chromosome:OR_W1943:10:2157506:2159966:-1 gene:ORUFI10G01820 transcript:ORUFI10G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRHRPTSPAPPLDNDDLLSEILLRLPPLPSSLPRASLVCTRWRRLVSDRGFLRRFRARHRKPPLLGVIQVCAYPIFAPALDPPDRIPAARFSWRLDNRHDLNDLLGVRHGRALVHVNTCRYSQRRLIVWDPVAGDRRAVAIPGGFRDRGVVVRAGEVRCVAGDGDPGHVHGGCHSSPFEVVILGTNKNRTHAFACVYSSETGIWGNVISAAVNFGDCICNFTTLVGNSLYCLLLGEQRTSFFQFDLDKQITAQIDVPPDMHPDGNGHHRFGDTICRFAPAENGGLLFLVVTHYTLNVWKSETNADGVAGWVLEKTIELDRLLSLEPGPQKTAPMLLGFSEEHNVAFVCTYIGVSMIHLESKEFKSVSQRMSLIYHPFTSFYTKELSPH >ORUFI10G01830.1 pep chromosome:OR_W1943:10:2159436:2160529:1 gene:ORUFI10G01830 transcript:ORUFI10G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVHVARVAVAGDAAHLPGADDHPPVTEPAGNSHGAAVAGDGVPHDEPALRIAAGVDVDKGAAVADSQQVVEVVAVVEPPGEARGGDPVRRVQRGGEDGVGADLDDAEEGRLAVAGAEAAEEAAVGDEAAPAGADEGGAGEGGWQRREAEEDLGEEGFEDRKKVCTIWVAQRKHSLLERNEDIFERDEDTFGVSDRFMVKLAM >ORUFI10G01840.1 pep chromosome:OR_W1943:10:2167381:2171177:-1 gene:ORUFI10G01840 transcript:ORUFI10G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGRPRLPPWPRPRLQPDAERDHRVGPCHRPPQLRGRPAGARRRQGEDRLQRRRDLPRRLRLRPRPWRLPLQPFQVVLIGIHTDYKPVYASLYSSQADVWGDLITLECPPVYDTCLPSTLIGYSLYWLFSGEEEGILEFDLGRQSLATIEMPSEFLHYNSHRSFQIMPAEDGGICLAILSYQIMELWERKISSDGVGVAEWTMLKKIELGVILGLGHMGGWQNLIVAYDEDYQLIFVRTINGVFMIHLESMQFKNLGKDNFDGILHAYSAFCTAVGDLPRAERRVGTISEIMGFDEDDSNSADPPKGAGTVEGNAAAPSCETIPDEGMVDNENLDYVWNHGERIGEGFKCKYCKMTRKSGRGTRLKEHLAGRRHNVIACSGVPPKVRKAMRISLNKVKQRTKAAKNRRAKMKKPNTQNMVRHGVHNNSKEQQMQMAKQLSLEEFHYRQKMEKRGSTFEYGGGSDSRSAPDACCNVAGSCVGGGVVLPQPSSKTRLKLHGMDADDVYRGASAQTEIGTSELRKAWAEWFHNNGIPGIKADCPYFRRAMELTQQLGFNVAVPTGAEIDGAYLDADEEEINVDAINAEKSCEAVLDMPLITWTEKHIGKNHKANKKYHEMANTLTQDLGSPGSKRKRVEVKQGKQPMNNKEEFMGSDDEMGIPSDMSNMPR >ORUFI10G01850.1 pep chromosome:OR_W1943:10:2175776:2185691:1 gene:ORUFI10G01850 transcript:ORUFI10G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAVVAVNGERYEAVGVDPSMTLLEFLRTRTPFRGPKLGCGEGGCGACAVVVSKYDAAADEVTSFSASSCLTLLGSLHHCAVTTSEGIGNSRDGFHPVQRRLAGFHASQCGFCTPGMCVSIFSALANADRAASAAPPPPPTPPGFSRLTAADAERAVSGNLCRCTGYRPILDACKSFAADVDLEDLGLNSFWKKGERADITKLPAYSCTADVATFPEFLKSEIRSSGGAPAVAVTGDGCWFHPRSIEEFHRLFECNLFDEMSVKIVASNTGSGVYKDQDLHDKYINISQIPELSAINRSSNGIEIGAAVSISKAIEILRSDGGDAVVFRKIAYHLGKVASPFVRNTATIGGNIIMAQRMSFPSDIATVLLAAGSTVTIQQVASKRMCLTLEEFLKQPPCDSRTLLISISIPDWCSYDGITFETFRAAPRPFGNAVSYVNSAFLARSSLDAASGSHLIEDVRLAFGAFGSEHAIRASKVEEFLKGKLVSASVILEAVRLLKGVVSPAEGTTHPEYRVSLAVSYLFRFLSSLANGLDDKPENANNVPNGSCTTNGTTNGSAESTVDSFDLPIKSRQEMVFSDEYKPVGKPIKKVGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHIKGVNFRSSLASQKVITVITAKDIPTGGENVGSCFPMLGDEALFADPVAEFAGQNIGVVIAETQKYAYMAARQAVIEYNTENLQPPILTVEDAVQHNSYFQVPPFLQPKPIGDFNQAMSEADHKIIDGEVKLGSQYYFYMETQTALAFPDEDNCITVYCSAQMPEVTQDIVARCLGVPFHNVRIITRRVGGGFGGKAMKATHVATACAVAAFKLRRPVRMYLDRKTDMIMAGGRHPMKAKYSVGFKSDGKITALHLDLKINAGISPEFSPAIPYAIVGALKKYSWGALAFDIKVCKTNVSSKSAMRAPGDAQGSFIAEAIVEHVASTLSVATNTIRRKNLHDLESLKVFFGDSAAGEASTSSYSLVIIFDRLASTPEYQRRAAMVEQFNGSSRWKKRGISCVPITYSVTLRPSPGKVSILNDGSIAVEVGGVEIGQGLWTKVKQMTAFALGQLCDDGGEGLLDNVRVIQADTLSMIQGGWTAGSTTSETSCEAVRKSCAALVERLKPIKEKAGTLPWKSFIAQASMASVKLTEHAYWTPDPTFTSYMNYGAATSEVEVDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEEYATNADGLVIHDGTWTYKIPTVDTIPKQFNVELINTARHHSRVLSSKASGEPPLLLASSVHCAMREAIRAARREFAAVGGGTGGSDQVTSFQMDVPATMPAVKELCGLDVVERYLESFSATTA >ORUFI10G01850.2 pep chromosome:OR_W1943:10:2175776:2176334:1 gene:ORUFI10G01850 transcript:ORUFI10G01850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAVVAVNGERYEAVGVDPSMTLLEFLRTRTPFRGPKLGCGEDAAGTY >ORUFI10G01860.1 pep chromosome:OR_W1943:10:2189150:2189944:-1 gene:ORUFI10G01860 transcript:ORUFI10G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPTGESVGSGYGRIHRMPWQWLPRLDPPNAPTATPTGGSGGSGGGGSHDSDGSRAWGSSRGNLHVPSKLILKISLPFAKVCLNTIKDQVISPTPEMPATDCQNFIKKG >ORUFI10G01870.1 pep chromosome:OR_W1943:10:2191583:2195602:1 gene:ORUFI10G01870 transcript:ORUFI10G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPPVQIVAAKDDVPPPYLTDNVVHNLLLCLAPEPAYLAVATAVSTKWRSVVHSEACFGRRFRLDYDGPTPLLGFFSNNAAGPFFTATGAGVVGLAPPEEAVSAGDGSVQHIYDARHGRVLMDGREDKELLVWDPLSRRKDFIPMPPGYFVGEGYGGGALICEADHGAGDDCHAAPYRVVFVYCGSDRPPTTMASVYSSRTNTWGPVATMDARVTFELKQPAVLDYTVYWLVNGRTQIIEFEFDTNSLALFRTPVDLPDIVVFPMEDGRLGYTGMMGPIVRVFAIEDIYEDGDATWTKVTTLHLDAMRPSQSYQQVLDSDTDSDSDDEEEVVLLLAHQFGPKAKKDSKIIPSHPPTIKSDNDEYNHVVIRPRVIGFIEDPNSILVRNELGVFMVDIESNEYEQLSQRIYFTTVYPYESFYTTVGKANFNDPVLIDHENNDEQGLQQLEPLNDTILPDQENIGGGISASGDGDEQ >ORUFI10G01880.1 pep chromosome:OR_W1943:10:2200413:2206842:1 gene:ORUFI10G01880 transcript:ORUFI10G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSVDAVDGEQEQQQRLQQEELQLADLPNDALRSILLRLPSEPGYLAVAAAVTKNWRRQVLGSNGSFLRAFRAAHGGVPPLLGFFCNRRNLPCPFFTSTVDAGVVDLSPPAGKQRPFIHDVRHGRVLLDDGEDGQLLVWDPLARRRDIIPTPRCYFTNDDSCGAAIICGCDGLEHVVSASVGGGDCHLAPYRVIVAFNDRPNYRSDEWNHECICTRVWISETKEWSEVYSMRGSCDFDFMPSALVAGAIHWLVGDTNSVLQFNLITKKLALIQTPLDISEFMLFPTKDGKLGFTGVLGSHIIFFHMDIAGDALTTMRTWSIQNVIQVDHFLPPYINILRTRRSLASPWVVDYYVSDSDEGEEEHGVDDDDEPREILPTMQHDNEASGSHSPQWSESWYDEDFDQEKDALIPTVSENVNVIGFVTEANAVLLYAAGRGVYTIDVETKHTQRVAACANYSHVFPYTSFYTAGGGIDAADDDGQHTDGNKSH >ORUFI10G01890.1 pep chromosome:OR_W1943:10:2214527:2215034:-1 gene:ORUFI10G01890 transcript:ORUFI10G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIADDTIVVGRTWNILSIIPMDHYFPPPRQHSWDLWFFSFSLVDYYVYNSDDGEEDRDDDDYGDKTRAISPIMQHNNEASGSQSPWWSDLWCDKDFHQDNDAPIPVISANVNVIGFVEEANAVLLHAAGRGVHVIDIETKDTQRVAACAYYSHVFAYISFNTAGIP >ORUFI10G01900.1 pep chromosome:OR_W1943:10:2215486:2218236:-1 gene:ORUFI10G01900 transcript:ORUFI10G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWANQSYHQVLDTDSHNEMEVVLMLARMIGPKEKKDSNIIPSHPRAIKSDNGEYNHVVIRLRVIGFIDDPNSILVRTELSVFMVDIESNGYQQLSWRINFATVYPYVSFYSTGEISGFGFSHHRQDVIPMPGSYFTNDDSYHTAMIGSCNSPEHAGTDDCDCQSMKFHITIALTTYPTSVWMTAT >ORUFI10G01910.1 pep chromosome:OR_W1943:10:2222514:2223062:-1 gene:ORUFI10G01910 transcript:ORUFI10G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGRLGYAGMMGPIIKVFSIKDIYEDAKSIISPSSYSDSDSNSDDEMEVVLMLARKFGPKEKKDSNIIPSLPPTIKSDNDEYNYVMIRLRVIGFIDDPNSILVRTELGVFMFDIELNEYEQLSQRINFAAVYPYQVQTLYVFHMVNS >ORUFI10G01920.1 pep chromosome:OR_W1943:10:2223848:2224084:-1 gene:ORUFI10G01920 transcript:ORUFI10G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSTSRHTSCTRSWCPLMPSTTFTSNLSIVVPKNGSVGLTAVSGYTLQLWTLRNYTHGASTWDLRKIVMLDLSVV >ORUFI10G01930.1 pep chromosome:OR_W1943:10:2225131:2226246:-1 gene:ORUFI10G01930 transcript:ORUFI10G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSHMSPRDLKQPTVLDYAIYWLVEGRTQIIEFESDTNTLALLRTPVDLPDFLVFPMEDGWLGYAGMMGPIIRVFAIKDIYEDDFDSDDEMEVVLMLAQKFGPKEKKDSNIIPSHPAVIKSDNDEYNHIVIRPRVIGFIDDPNSILVRTELGVFMVDIESNEYKQLNQRINFATVYPYMKEWWEIKYMKCSCDFGFMPSTIVA >ORUFI10G01940.1 pep chromosome:OR_W1943:10:2226700:2227143:-1 gene:ORUFI10G01940 transcript:ORUFI10G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHDELHPHLFRVVFVSSNATTKRSTAFIYNSATFQRIKVATTEMPSVIDGRQNVLIGQILYWHLISHGIVVFNLDTNELHEILVPADALDDVHEANLSIVVPKNGGTGLIAVSGYILQLWTLHNYTLGASTWDLHKIVMLDLCVV >ORUFI10G01950.1 pep chromosome:OR_W1943:10:2229911:2230310:-1 gene:ORUFI10G01950 transcript:ORUFI10G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLERYSGGPLLSIPRQHSWDSSSLASPWVVEYYVSDSDEGGEEEQGQCCQHCNEVSGSHLPRWSESWSDEDFDQEKDALILVISANLNVIAFIAEANAVLLHAAGREAYTIDIETKHVK >ORUFI10G01960.1 pep chromosome:OR_W1943:10:2230590:2240256:-1 gene:ORUFI10G01960 transcript:ORUFI10G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAISCCGCPPRRRAGAADDAAAGLTDDILAEIFLRLPPHPACLRRVSLVSRRFRRVVTSRRFLRRFSDLHGGAPGAPLVGFFSNHNHGPWADTRFIPVGVDGTGDSRRSRCRSRRATAARNPGGVLALGDDAEWHVIGCRGGRVLLLSPTRLRLLVLEPMLGRRQYIPAPPAPEYRPAYFSNAAVVSAAGGHDELRLRPHLFRVVFVSSNAATKRSTAFVYNSATFRWTKAAATEMSSVIDGRPSVLIGQTLYWHLISHGLVAFNLETHELHEILVPADAFDDVHDANLSIVVPRSGGGGVGLAAVSGYILQLWTLRDYTHGASTWDLRNIVVLDALLPLRNARLPPPPQLPASAKPMPLVWLMALDEDENVGYVWTAAGVFAVQLDTMNYHKTLTHMYSAPYRVLVTFNDQPSYCQMSGTYRIHFAQEYGA >ORUFI10G01970.1 pep chromosome:OR_W1943:10:2243898:2246412:1 gene:ORUFI10G01970 transcript:ORUFI10G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSPPHSAPDLFGPTCSLLLISTSSRSLRAREADAAVGPVPAVSRARGAPPALVLKLLRAWVSLNGLSGWGPTKGRCAGRLLCASSFAQALLRSFDAVVNHLQAALDATDAAAASLLRDHAALDDGNTRLGARLDRTLASNLIVLIQAHAEKSKVKCQESQPSKAFNGCKQPLILRELTMDFQWVQGTIDSEGTDRGHVEGG >ORUFI10G01980.1 pep chromosome:OR_W1943:10:2252248:2261990:1 gene:ORUFI10G01980 transcript:ORUFI10G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGWMLEKTIELDRLLSVEPGRHGAAPTILGFVEEHNEVLLCTDIGAFMVNLHSMQFKKLSQTMEPGFYHPFTSFYTKRYSTDRNPTFRQTEMSTSPSPAPPQPLDNDDLLSEILLRLPPQPSSLPRASLVCTRWRRLLSSAASAPATGGHHSSASSRKIQYTPSSSPRWTRRTASRPRASHGGSPAAAARTTTSCSDAAMAARRRRLLMVWDPLTGDRRAVDIPALFHRWDMVVYHGSVRCVDGDGCYSNPFEVAVVGTDTSGTVAFICVYSSKTGNWGNVVSAPISPGDYMSFSSILDGDFLYWLLGNHGCPILQFNLVKQTATLVNAPPDLRTNSYGGFHIAPAEDGGGLVILAVTHFSLNVWKGKTNRDGIAGWVLEKTIELDRLLSFGTGPETWAPVILCFAEEHDVVFLSTHVGFFMVNMQSMQFKNIPQILKGGLYYPFSSFYTKEAAELLPPCDMSKKPKVPFAGALPDIEEYGSNIGEISCY >ORUFI10G01990.1 pep chromosome:OR_W1943:10:2267662:2269254:-1 gene:ORUFI10G01990 transcript:ORUFI10G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTWLLLLATLLLSTTLLVFLFHGGSSATGGEKRRRLPPGPATVPVLGNLLWATNSGMDIMRAVRRLHARHGPMLGLRMGSRLEVIVADRRLAHAALVESGAAMADRPEFASRALLGLDTATISNSSYGPLWRLFRRNFVAEVAHPARLRQFAPARAAVLEELTDKLRRRQEDAGAGTILETFQYAMFFLLVAMCFGELLDERAVRDIAAAQRDLLLHSSKKLRVFAFLPAITTRLFAGRMKAMIAMRQRLKGMFMPLIDARRARKNLVDDHGDATAPPPPAASATTLPHSYVDTLLNLRINDNGGERALTDDEMVALCSEFLNGGTDTTSTALEWIMAELVKNPTIQDKLHGEIKGAITSNSGKVSEEDVQKMPYLKAVVMEGLRRHPPGHFVLPHAPAEDMELGGYTIPKGTLVNFTVADMGMDGAAWDRPREFLPERFMAGGDGEGVDITGTREIRMMPFGAGRRICPGLGVATLHLEYFVANMVAAFEWRAAEGEAVDVDGEKLEFTVVMEKPLRARLLPRAVTV >ORUFI10G02000.1 pep chromosome:OR_W1943:10:2271639:2272182:1 gene:ORUFI10G02000 transcript:ORUFI10G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVTAELLLESSRYLTMEHRRRAPPRIADSPPLESSHKEIQTATTVKGERPDAVRTLCRASVNTTTSPAHATHLQDQGGEVGEHEFNGGGGRAIARGGGKRRSLSSPVGLCMEEDEEPPFSHIATNDVEMEELLGGGGGGCCARKVVAMKELYEEKDWI >ORUFI10G02010.1 pep chromosome:OR_W1943:10:2281146:2282020:-1 gene:ORUFI10G02010 transcript:ORUFI10G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVRLIHTPQDALKGAGEYINHALGPAAAVHSLKPPLLAASAVADDLPGYLNVLSRFEEALHFLSDNCGIASQWLTDIVEYLEDRSLAAALAFSHLTTAAATAYSSPASSPRPTNREGGGCTCRTIVVEGAAIGAGPVGVLSEVASQLLLPLPTLFPRGSSRTVGPSGSDWVSELGVRLERDGRPANYVVRRGDGSPSPRRRDGAGRTRDGCRGEHAVVDEEAGEEGVDGGDGGGGGGGERGRVGLPLRRRFRWSDLGKGWR >ORUFI10G02020.1 pep chromosome:OR_W1943:10:2286742:2299341:1 gene:ORUFI10G02020 transcript:ORUFI10G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSALVVVVAVLALAEAAVAFSGYNTSAGAVAGKLNVHLVPHSHDDVGWLKTIDQYFVGTNNSIQGACVMNTLDSVVDALILDPARKFVFAEQAFFQRWWAEKSPKIQAIVHKLVDSGQLEFINGGWCMHDEAAVHYIDMIDQTTLGHRVIKKQFNKIPRAGWQIDPFGHSAVQGYLLGAELGFDSMHFARIDYQDRAKRKGDKGLEVIWRGSRTFGSSSQIFTNAFPVHYSPPDGFGFEIFDDFVPVQDDMLLFDYNLKERVNDFVAAALKQANVTRTNHIMWTMGDDFNYQYAESWFRNMDRLINYVNKDGRVHALYSTPSIYTDAKHASNESWPLKYDDYFPYADAKNAYWTGYFTSRPTFKRYIRMISGYYLAARQLEFLVGRSSLGLFTSSLEDPLGIAQHHDAVSGTAKQHTTDDYSKRLAIGVSQVEKGVNTALSCLTSSKGTCTATKFSQCQLLNISYCPSTEEGISSAKSLVIVVYNPLGWERSDFVRVPVNDANLIVKTSDGTSLESQLVEVDIVTARLRKLYIKAYLGITSDKPPKYWLVFQASVPPLGWNTYFISKSTGTGSNGMGYVSTMVSPSNDTIEIGPGPLKMSYSSKSGQLKRMFNSISAVDLPIQQSFLWYASSTGDSEDSQASGAYIFRPNRTTPTIVSGMAPLKVIHGPLVDEVHQQFSSWIYQVTRLYKNKEHAEVEYTIGPIPVDDDDDIGKEVVTRLTTNMATNKIFYTDSNGRDFLERVRNHRDDWDLNLSQPVAGNYYPVNQGIYVADGKYELSVLVDHAVGASSIQDGQIEVMLHRRLSADDGRGVGEPLNEVARATYYINVNKKGHGAHWRRTYSQQVYSPFLVAFAHESLDDGTTLLRLAHLFQAQEDTQYSVMAKVELRKLFGKRIIKDLTETSLSANQKKSEMKKLNWRVTGESKTDPAPLKGGPVDSHALVVELGPMEIRTFLLKF >ORUFI10G02030.1 pep chromosome:OR_W1943:10:2302037:2305420:1 gene:ORUFI10G02030 transcript:ORUFI10G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIISYLLVLVLAGAVAVEAILGAPPAPGTSAAKVPAVLAFGDSIVDTGNNNYLPTIVRSNFPPYGRDFPGGKATGRFSDGKISIDLLGCAPEDTPRTMCQINSIHVNSIICMHVWIQQWRAASALGVKEMVPPYLNKSLSTEELKTGVSFASAGSGYDNATCRTMMTPLTVERQLQLFDEYKARLAGAAVPDRALYLLCWGTNDVIQHFTVSDGMTEPEYADFMAARAVAAVRGLVARGARLLVVVGAPPVGCVPAQRIIAGGVRRQCATPRNQVALLYNRKLGQEIGRLNAKLAGVKIVLVDLYNILADVMHRYQALGFKNGKDACCGYIGLAASVLCNFASPLCNDPPQYVFFDSYHPTERAYKLMVDEVIKRYLRFL >ORUFI10G02030.2 pep chromosome:OR_W1943:10:2302037:2305420:1 gene:ORUFI10G02030 transcript:ORUFI10G02030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIISYLLVLVLAGAVAVEAILGAPPAPGTSAAKVPAVLAFGDSIVDTGNNNYLPTIVRSNFPPYGRDFPGGKATGRFSDGKISIDLLASALGVKEMVPPYLNKSLSTEELKTGVSFASAGSGYDNATCRTMMTPLTVERQLQLFDEYKARLAGAAVPDRALYLLCWGTNDVIQHFTVSDGMTEPEYADFMAARAVAAVRGLVARGARLLVVVGAPPVGCVPAQRIIAGGVRRQCATPRNQVALLYNRKLGQEIGRLNAKLAGVKIVLVDLYNILADVMHRYQALGFKNGKDACCGYIGLAASVLCNFASPLCNDPPQYVFFDSYHPTERAYKLMVDEVIKRYLRFL >ORUFI10G02040.1 pep chromosome:OR_W1943:10:2315892:2316473:1 gene:ORUFI10G02040 transcript:ORUFI10G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVLLIGQVTGGGFDDYFGRRLAVAPPPPPRRSIQGSFTFAAPSPPPFQYTTYEAASLYSSLSLPLHLPYTYYAAAAAASAPATATPLLPRMLPPLPPSATVVRRRIKKPRTPRSGEGQARAPQRRRPLERAAPLPPPAAVAEALDDLEREVTRGFVEDLLHALAPPPSSLPLPTFSLVRAAAAKAAASCAV >ORUFI10G02050.1 pep chromosome:OR_W1943:10:2318047:2318438:1 gene:ORUFI10G02050 transcript:ORUFI10G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEETPLAAAAADDGGGNELSALAILDDDAALGAHPDQRRRILLQRSKQLALVAVDDLQQRPTVAAS >ORUFI10G02060.1 pep chromosome:OR_W1943:10:2319509:2319724:1 gene:ORUFI10G02060 transcript:ORUFI10G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSYTPTARLRRLVLFLAALSLLSPKPWPHPHGLGGRGLQSLGYIPRVRQGEQGPAVPRATLPPGPGLRR >ORUFI10G02070.1 pep chromosome:OR_W1943:10:2324731:2327267:-1 gene:ORUFI10G02070 transcript:ORUFI10G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTILLKVIGCANPVENAKTLPPIENRVGVGIASFMLRSFSALVPLSPGTARAATTERHRQPLLLLPSASPLPKMVAAGLLASSLGHGGSGRCQDGGRIPSGGRRRPASTTVGTDLGLSWPDPASQLVCRRRWSLCAWRRPATHGEEVDDVSGRPRRWWPSAR >ORUFI10G02080.1 pep chromosome:OR_W1943:10:2326103:2328344:1 gene:ORUFI10G02080 transcript:ORUFI10G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKIDLPENILRDYIPDVDKVKWIPDNNHNITYFTEDDIKRITNNYSTKLGKGAYGEVYKGVIGDNRFVAVKKFIRVDKLDEFAKEVIVHTQVNHKNVVRLVGCCKDNNAPMIVFEYAANGNLSDLLYCGDTPISLGTRLSIVIQCAEALGCMHSMYNPIVHYDFKLSNILVDENFHAKISDFGISRILSTDNTNSDFGISRILSTDNTNLTVNPTNMEKNVTRRFIQAPQKRKGAKELFDVDIAKESNMKILEGIWKIAKDCIKEDIDKRPEMNDVAARLRELRKTLELGGKRQNFSTALSKSSSSDVYLGDIDDNTRVAVKVFTNVSESREEFVLQLIIQSQVQHQNIVKLFGCCLEMDHPISVCEYVPNGALCNYLVVEKGEETGERSLLDMNTRHFIALGVANAIACLHEKWLDTLNGSITPWDILLDGNFCSKLSKPTPIIINESTIMTTEVVPGNYMYMAPERLFFSRGFITAKADVYSFGQLLLDIVFGIRDTMFWEELVGRKSFDFVNIVYQEVYLKQRIVDWLDPCIIQAEAYDSARSMATAEHMVKTALWCMQFSADHRPSMQKVVEMLQGTIDIDEPPNPSSSNLYDSASYSSCEPVMKMSFNYRHNLPYPSSDEGEFKLL >ORUFI10G02090.1 pep chromosome:OR_W1943:10:2332109:2332591:1 gene:ORUFI10G02090 transcript:ORUFI10G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLGLPENKLRDFIQGADKAKWIAKNNHNIKYFTEDEIKRTTSNYSTSLGNGSFGKVYNGIIDDNTAVAVKRYSRIDSEEEFAKEVIVHSQVNHKNVVRLIGCCTEKNAPIMVFEYVSNGTLCENPHGSNVPVYLDKRLSIAIQCAEALEIVLHILPT >ORUFI10G02100.1 pep chromosome:OR_W1943:10:2333194:2339663:-1 gene:ORUFI10G02100 transcript:ORUFI10G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELEATVICVDDSEWMRNGDYPPTRLQAQEDAANLVVGTKMTSNPENTVGVLAMAGDRVRVLLAPTSDPVKFLACMHGLEASGEANLTATLNIAELVLKNRPDKRLSQRIVVFVGSPVKDEKLETIGKKLKKYNVSLDVVEFGESDDEKPEKLEALVAAVGGSSHIVHIPPGEDLRAVLANTPIITGDEGGGAAAGGASRYEYNVDPNVDPEFAEALRLSEIARQEAAADGASRYEYSVDPNADPELAETFRLAAGEPSTSNTDTVLLESDSDTYVPFHEFIQNNPFVTGAESASDRPADDERATEEGFRMIREALARSANAAHAEISGNSSSGQELELDPQRTGEICFQFEVEDIINLQEEEEEGDEQDEADDDVSCPSTRTRKLGHPRSRAEDARAALVGDRSRGGGGAAPGRLRWLRCAEARGAMEAADARMAGGGGGATRGRAGLVEARGAGRGGRVARGLALVHRLVANGDPRSTPWSRRARSSPLMSPSCSPSSLTASSTWSTAKQIDDLLAFYGWCDDVGLAR >ORUFI10G02100.2 pep chromosome:OR_W1943:10:2333194:2339663:-1 gene:ORUFI10G02100 transcript:ORUFI10G02100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELEATVICVDDSEWMRNGDYPPTRLQAQEDAANLVVGTKMTVRLLASLPPLTRRWIGLDWIFFLFGWGFDSGILGASCALQSNPENTVGVLAMAGDRVRVLLAPTSDPVKFLACMHGLEASGEANLTATLNIAELVLKNRPDKRLSQRIVVFVGSPVKDEKLETIGKKLKKYNVSLDVVEFGESDDEKPEKLEALVAAVGGSSHIVHIPPGEDLRAVLANTPIITGDEGGGAAAGGASRYEYNVDPNVDPEFAEALRLSEIARQEAAADGASRYEYSVDPNADPELAETFRLAAGEPSTSNTDTVLLESDSDTYVPFHEFIQNNPFVTGAESASDRPADDERATEEGFRMIREALARYAGKGGSNANTGEEEEEGDEQDEADDDVSCPSTRTRKLGHPRSRAEDARAALVGDRSRGGGGAAPGRLRWLRCAEARGAMEAADARMAGGGGGATRGRAGLVEARGAGRGGRVARGLALVHRLVANGDPRSTPWSRRARSSPLMSPSCSPSSLTASSTWSTAKQIDDLLAFYGWCDDVGLAR >ORUFI10G02110.1 pep chromosome:OR_W1943:10:2342722:2345920:1 gene:ORUFI10G02110 transcript:ORUFI10G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEISAVDVGSDVVEVDVLTGETTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGIGFFTNEEYTTNSDGLVINDGTWTYKIPTVDTIPKQFNVELINSARDHKRVLSSK >ORUFI10G02120.1 pep chromosome:OR_W1943:10:2355711:2356266:-1 gene:ORUFI10G02120 transcript:ORUFI10G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGVLELQQAGIELLGTGWGLGSSRRCRAPAAALGEGRQRRAPTAGRYVGDRVGLQPASSGSSRSNGKGRRRRVHLARWGKGGGGGVGSSSRDGERAAASVLARFNILRSHMST >ORUFI10G02130.1 pep chromosome:OR_W1943:10:2359566:2363004:1 gene:ORUFI10G02130 transcript:ORUFI10G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMLPDDALIEILLHLPKHPTCLLRASLVCKHWRYLIRDNKFIKRFRAFHQTPPVLGIFTNSTSIPRFLPIGNPPECVTVGAFSLPDPYWHVLGCRHSRVLLISSSWNSLQVWNPMTGNRYAVPVTPDVNPRINYGRVPESHAAVLCAAGHNDHGDCSSCPFFIVWVFTNIGYAYISRYSSEKDTWDMMASSPAPSEVDSRPSILVGNVIYWPLKSKHILAFELATSRLYHIECPPETHSVYRRNVHIMKAEDGGLGLATMTGFNLQLWALEINSGGVTGWVLRKTIELGAVLPLEVPSVPLTDSHLVRRPPVRILGLVEEDDLFFIWTAVGVFAVQLKSLQFKKVFEADVSATFYPYTAFYTTGAA >ORUFI10G02140.1 pep chromosome:OR_W1943:10:2364429:2369880:1 gene:ORUFI10G02140 transcript:ORUFI10G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAVTKVCGGGAKARAGMLQIAGSNIETPALLLSTRKGLPAFVSRDLLASLSLPDSLLLHVCPTHFMEGPPSKTISNIGGLHHMLGLPDHILIAAAGDSIESLPTSEASNKFGASFETPAGRRLVKPSDYMELISCMKPNLWASLADEVPAWVTEKRNKVSVERTLRWLDACIALDEDSGANTLGVVVGGSSIELRKLCATEVSKRNVSGFWIGGLGFGDSPEERCSILDAAVGCLPPEKPRVVSRLGLPEEVLEGVAAGIDLFDSTYIYQLTMGGFALIFPIDMVGEKMQNGSLNSSDGDFTKINLRATTYRKDTSRIVDSCSCFTCQNHTRAYLNHLLNVHEMLAQILLEIHNTHHYLCFFRLIRDTIKTGQFDRFRQQFVQDRRAYLAAAVI >ORUFI10G02140.2 pep chromosome:OR_W1943:10:2365443:2369880:1 gene:ORUFI10G02140 transcript:ORUFI10G02140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAVTKVCGGGAKARAGMLQIAGSNIETPALLLSTRKGLPAFVSRDLLASLSLPDSLLLHVCPTHFMEGPPSKTISNIGGLHHMLGLPDHILIAAAGDSIESLPTSEASNKFGASFETPAGRRLVKPSDYMELISCMKPNLWASLADEVPAWVTEKRNKVSVERTLRWLDACIALDEDSGANTLGVVVGGSSIELRKLCATEVSKRNVSGFWIGGLGFGDSPEERCSILDAAVGCLPPEKPRVVSRLGLPEEVLEGVAAGIDLFDSTYIYQLTMGGFALIFPIDMVGEKMQNGSLNSSDGDFTKINLRATTYRKDTSRIVDSCSCFTCQNHTRAYLNHLLNVHEMLAQILLEIHNTHHYLCFFRLIRDTIKTGQFDRFRQQFVQDRRAYLAAAVI >ORUFI10G02140.3 pep chromosome:OR_W1943:10:2365433:2369880:1 gene:ORUFI10G02140 transcript:ORUFI10G02140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAVTKVCGGGAKARAGMLQIAGSNIETPALLLSTRKGLPAFVSRDLLASLSLPDSLLLHVCPTHFMEGPPSKTISNIGGLHHMLGLPDHILIAAAGDSIESLPTSEASNKFGASFETPAGRRLVKPSDYMELISCMKPNLWASLADEVPAWVTEKRNKVSVERTLRWLDACIALDEDSGANTLGVVVGGSSIELRKLCATEVSKRNVSGFWIGGLGFGDSPEERCSILDAAVGCLPPEKPRVVSRLGLPEEVLEGVAAGIDLFDSTYIYQLTMGGFALIFPIDMVGEKMQNGSLNSSDGDFTKINLRATTYRKDTSRIVDSCSCFTCQNHTRAYLNHLLNVHEMLAQILLEIHNTHHYLCFFRLIRDTIKTGQFDRFRQQFVQDRRAYLAAAVI >ORUFI10G02150.1 pep chromosome:OR_W1943:10:2373000:2377806:1 gene:ORUFI10G02150 transcript:ORUFI10G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPICIPHIGAHNPRILGRGKCTKLSSSSLSPPLPHATARRRRRLSFSSSSAAAAAVLGAVRRREAEGHQQNPASTIVAIDKNLDTSTPDTYRAPPTPLPYDVGLVLKDNPDLEKTGIKRKIHEHKESLMMDDNESLQKCVSEDKPDEEDVCPICLEEYDEENPRSMTKCEHHFHLCCILEWMERSETCPVCDQITMINAMYE >ORUFI10G02160.1 pep chromosome:OR_W1943:10:2389438:2390597:1 gene:ORUFI10G02160 transcript:ORUFI10G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEMDEMPLSDDPLFRATLDAPDRVPPERFSLRLHDDTELGGNWYYHGCRHGRLLLLNWKSGLGCRQILIWDPVSGDLIHLSPPPQLDALKGVFFQATVICAATTAAGDHVHGDNCKSNPFKVVLVGTDRSTAFAFVYSSETGDWGDHAAETPVGNCISLGCRCIQIGDFLYWMLFGYDNNILEFNLVNHSLSVVYVPTHIHEDHDGLYPITLQEGTELGLIVMSRSCMQIWQWMIDLDGLPGWLPLEPIYLDNLLHLSAGECVNPTKVLGFSQDYNELFVASSTRIFMVNLESLRFKELCKMDEFLDSPDSRPIYAVYPIASFYDADSSSSSA >ORUFI10G02170.1 pep chromosome:OR_W1943:10:2394560:2406382:1 gene:ORUFI10G02170 transcript:ORUFI10G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPENKHKESIERAKRIEDNISKIRAFTEEDIKVITSDYNTSLGNGKFGKVYKGVLDDNRFVAVKKYIHMDSEEEFAKEVIVHSQINHKNVVRLIGYCIEKNALMMVMEYISRGNLDYHLHVKNSLDSLDIRLDIAIECADALGYLHSMCNPVLHGDVKPDNILLGDNFNAKISDFGISRPLSYERTHTSNVKGCMGYMDPLYYWEGRLTPKSDVYSLGIVLLELITKKRVKSLTQALAEGKGVTELLDPKIANESNMKVLVEIEKLVKECLTEDIHRRLDMCDVAGHLRMLRKFCLRQPASLENFGWHVFPETQNEVKQQSQQGTNNVRSSSMVFPKMAGIFNWNMYKSRNKRAPLYISGKRMFTIREIKAMTDNYSTIIGRSAITASYLGILQDGRKVVVKKHIKGFEHGEDQNANELNLPELIHKNIIQLLGFCCEQDTVILVQEFANKGSLDIILHGTRNPLPLDLRLNIAIGSANGLAYMHSRSKPILHGDVRTYRILLDDNIVPKISYFGSSQIGEDDKNKWAVAVDKNYIDPVYEETGIFTRKSDVYSFGVVLLELITRKRSKKCSLVVDYFNVYEKENSGRIMFDNEITADENIATLEAIGILAMRCLSYAVDERPEMREVAEQLAMLKIARKQGRTQTQGKLGHGPGPRMKGPLRKNKHKESIERAKWIEDNISKIRAFTEEDIKVITSDYSTSLGNGNFGKVYKGVLDDNRFVAVKKYIQMDSEEEFAKEVIVHSQINHKNVVRLIGYCIEKNALMMVMEYMCSGDLDYHLHVKNSLDSLDIRLDIAIECADALGYLHSMCNPILHGDVKPDNILLGDNLNAKISDFGISRPLSLERTHTKNVKGCEGYMDPLYRRDGRLTPKSDGYSLEIVLLELITKKRVASLAQAHAEGKGVTELFDPQIAKESNMKVLAEIEKLVQECRAEDIHRRPDMCDVAGYLRMLRKFCLRQPALLQNFGWHIFPETQNEVNEQSLQGTNNVSSNLMAFPKMAGIFNLSMNRSRKKGAPLYISGKRMFTSREIKVIINNYSKNIGRGAFNDVYRGILENDRKVAVKKHRKGIEHREDRNGNELNLPELIHKNIIQLLGFCCELDAVILVHEFANRGSLYSILHDTSNFSLPLHLRLDIAIGLAEGLAYMHSRSKPIIHGNINPGNILLDDNIVPKISSFGSS >ORUFI10G02180.1 pep chromosome:OR_W1943:10:2434668:2437777:-1 gene:ORUFI10G02180 transcript:ORUFI10G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILLYRDRRYRFIHSPKSSVPKSMAIFIGCICSLALLLLCSHVFQLLSDARRRLLPGPRPLPVIGNLLDVAGELPHRSLARVAERYGPLVTLRLGTMLAVVASSPATARDVLHRHGASITDRGTPDAWRTDGHETNSIFALPTRHHRWRALRRLGAEQLFSPRRVEQQRPLRRDAVRGLLRHVSELAASSGGGGAAAVDVGRAAFAAMASLLFGALFSAGIDAATSCRFRDTAREFALLTLTSNVSEFFPVVAMANLQGLRRRTARHITWMHQLIDGHVERRMRGRETAGGCGAAHGEKEKDLLDVMLDMSEKEEQNDDSSLTINRGVIRAFMTDLLMAGSETSSAVIEWAMAELLQNPQTMTKLQEELKKVIGSKTCIDEEDIDQLPYLQAVIKETHRLHPAIPLLMYKAAVPVEIQGYKIPKETTVIVNTWAIHQNSEVWIEPDKFIPERFLQKEISLSSGSTNMELIPFSAGRRFCLGYPVANRMLHVMLASLVHQFQWTLPEVVKKNGGVDMAEKLGITLSMATPLHAIAKNIV >ORUFI10G02190.1 pep chromosome:OR_W1943:10:2448717:2480201:-1 gene:ORUFI10G02190 transcript:ORUFI10G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATAGHSSAAAAESAPDDVIAEILLRLPPHPSFLSRASLVCNRWRRLARDPGFLRRLRAFHRTPPVLGFFHNSPDLPRFVPAEGVPGRVAAEAASLRRDGDDGMWWCVDCRHGRALLRSRDWAELLVWDPMTGERRCITVSSQIQEGALDLNAAVFCAASGGGDQDCHSSPFHVVVVFTTGQCHGRVFACVYSSGIDAWGDPISTPVTSPCELYEEPPVLVGEALYWLLDGSRILEFEFGNQCLCLALIDHPVENHAILKRNIRLVRMEDDDVLGLAFVKDFSLHLWAREVADDGASQWIPRRAIELDMILPLEGYRCRAMPIWICGFAEDGDVVFIRTVAGVFLVWLDTLKFKKVSGSLLMKTVYSYASFYVPNEGVEGSLHAEAAAVESLPDDVVAEILLCLPPHPSFVSGASLVCKRWLHLIRSPSFLRRVCAFHRTPPVLGFFHNYRDLPSFVPAEGVPGRNRMDGVDDGGDARMFIDCRHGRALLRRYDWADLVVWDPMTGERRRIAGPNQKMQGGGAGTSRRSAALFCSCDVSGGGGDQDCHSSPFHVVVVFTGGCRAFACVYSSLTDAWGDLISTPAPLPCELCDTPPALVGEASYWLSYGGLILEFQFGSQSLTLMKRPLEMLADVRLVRLEEDGLGLAFIKDSTLHLWAREVADDGAPKWKWIPRRAIELDKFLPMPRVLTGKWCGEMFVSISGFSEDGNVVFIRTLAGVFLVWLEALKFKKMSDPLYMMTVHPYSSFYVPNGYATDLTMADVTGGHSSPPAAAAETLPDDVLAEILLRLPPHPSFLSSASLVSKRWLRHTRNPSFLRRFREFHRTAPVLGFFLNSSHGALFFPTDAPLCRIADQVASLRRNTGDGLWWLVGCPPNLLVWDPMTEGFVCFPAPIQMVQADADRDAAVFCAASAGDEDRRSGAFNVAVVFVSGDHVFGCVFSSAIGAWGDVISTPVTLPLLMIYDEPAALAGEALYWIVNGSSLLEFNCGSQSLALISRPSDMPATHRWNIRPVSLEDDLLGLAFFNDFCLHLWVREVADDGATNWVPRKSVEMDKLLSLPVATEDSRACLDMWVLWGWKCEKGGKQESAIVGNQVSEAGGKNQVASEMYGAKPGWSSGDHRFPLLLPVKIIGVAEEGDHAKSCHFHYYSCKQSRFPTSNPPRLPVISSASPPLLPPLTVAVAVTVVEEASEVVKRTKDLLATFSHLEAILPPFNKRLTCFKLPPTHPTSLPSPSTPSRQPPTPSPSHLPWPSTSSGGTVLEIMVRLPALPQALARASAVCPEWRRVVLDAAFLRRHRELHGGVPVAAGFFHNTVDVGGAPGGARFVCAGAGPLALSVPPSVRRQGVPCCHTHVNPGDAWTVLDCRGGRVLLGCCRFSCYFLVYNPITGKRYLVKAASHKRLHLHHSIRCNATLICDDDADDADADGPFRVAAVYTTISDGGRLFGAAFSSRTGRWTTAPQVFVDLPRGIDLRGEPSAVVGSTAYHSAYSYLVLAFDVEHWTMATFQRPPRCGNARLMKTRGDGVLGLVGALELTLRLWAREAGGWVLRSTVELSDMGLLRDLPSAPVPSSDARFPLLPPVKIIGVAEEGDTVFLWTMLGIFMFCPGSMELKKVCEETRDIEMVHPYASFYVPTCNSHRYIYIYVYILSLLSAIQI >ORUFI10G02190.2 pep chromosome:OR_W1943:10:2448717:2480201:-1 gene:ORUFI10G02190 transcript:ORUFI10G02190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATAGHSSAAAAESAPDDVIAEILLRLPPHPSFLSRASLVCNRWRRLARDPGFLRRLRAFHRTPPVLGFFHNSPDLPRFVPAEGVPGRVAAEAASLRRDGDDGMWWCVDCRHGRALLRSRDWAELLVWDPMTGERRCITVSSQIQEGALDLNAAVFCAASGGGDQDCHSSPFHVVVVFTTGQCHGRVFACVYSSGIDAWGDPISTPVTSPCELYEEPPVLVGEALYWLLDGSRILEFEFGNQCLCLALIDHPVENHAILKRNIRLVRMEDDDVLGLAFVKDFSLHLWAREVADDGASQWIPRRAIELDMILPLEGYRCRAMPIWICGFAEDGDVVFIRTVAGVFLVWLDTLKFKKVSGSLLMKTVYSYASFYVPNGMKNYASAEAAAVESLPDDVVAEILLCLPPHPSFVSGASLVCKRWLHLIRSPSFLRRVCAFHRTPPVLGFFHNYRDLPSFVPAEGVPGRNRMDGVDDGGDARMFIDCRHGRALLRRYDWADLVVWDPMTGERRRIAGPNQKMQGGGAGTSRRSAALFCSCDVSGGGGDQDCHSSPFHVVVVFTGGCRAFACVYSSLTDAWGDLISTPAPLPCELCDTPPALVGEASYWLSYGGLILEFQFGSQSLTLMKRPLEMLADVRLVRLEEDGLGLAFIKDSTLHLWAREVADDGAPKWKWIPRRAIELDKFLPMPRVLTGKWCGEMFVSISGFSEDGNVVFIRTLAGVFLVWLEALKFKKMSDPLYMMTVHPYSSFYVPNGYATDLTMADVTGGHSSPPAAAAETLPDDVLAEILLRLPPHPSFLSSASLVSKRWLRHTRNPSFLRRFREFHRTAPVLGFFLNSSHGALFFPTDAPLCRIADQVASLRRNTGDGLWWLVGCPPNLLVWDPMTEGFVCFPAPIQMVQADADRDAAVFCAASAGDEDRRSGAFNVAVVFVSGDHVFGCVFSSAIGAWGDVISTPVTLPLLMIYDEPAALAGEALYWIVNGSSLLEFNCGSQSLALISRPSDMPATHRWNIRPVSLEDDLLGLAFFNDFCLHLWVREVADDGATNWVPRKSVEMDKLLSLPVATEDSRACLDMWVLWGWKCEKGGKQESAIVGNQVSEAGGKNQVASEMYGAKPGWSSGDHRFPLLLPVKIIGVAEEGDHAKSCHFHYYSCKQSRFPTSNPPRLPVISSASPPLLPPLTVAVAVTVVEEASEVVKRTKDLLATFSHLEAILPPFNKRLTCFKLPPTHPTSLPSPSTPSRQPPTPSPSHLPWPSTSSGGTVLEIMVRLPALPQALARASAVCPEWRRVVLDAAFLRRHRELHGGVPVAAGFFHNTVDVGGAPGGARFVCAGAGPLALSVPPSVRRQGVPCCHTHVNPGDAWTVLDCRGGRVLLGCCRFSCYFLVYNPITGKRYLVKAASHKRLHLHHSIRCNATLICDDDADDADADGPFRVAAVYTTISDGGRLFGAAFSSRTGRWTTAPQVFVDLPRGIDLRGEPSAVVGSTAYHSAYSYLVLAFDVEHWTMATFQRPPRCGNARLMKTRGDGVLGLVGALELTLRLWAREAGGWVLRSTVELSDMGLLRDLPSAPVPSSDARFPLLPPVKIIGVAEEGDTVFLWTMLGIFMFCPGSMELKKVCEETRDIEMVHPYASFYVPTCNSHRYIYIYVYILSLLSAIQI >ORUFI10G02190.3 pep chromosome:OR_W1943:10:2448717:2480201:-1 gene:ORUFI10G02190 transcript:ORUFI10G02190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATAGHSSAAAAESAPDDVIAEILLRLPPHPSFLSRASLVCNRWRRLARDPGFLRRLRAFHRTPPVLGFFHNSPDLPRFVPAEGVPGRVAAEAASLRRDGDDGMWWCVDCRHGRALLRSRDWAELLVWDPMTGERRCITVSSQIQEGALDLNAAVFCAASGGGDQDCHSSPFHVVVVFTTGQCHGRVFACVYSSGIDAWGDPISTPVTSPCELYEEPPVLVGEALYWLLDGSRILEFEFGNQCLCLALIDHPVENHAILKRNIRLVRMEDDDVLGLAFVKDFSLHLWAREVADDGASQWIPRRAIELDMILPLEGYRCRAMPIWICGFAEDGDVVFIRTVAGVFLVWLDTLKFKKAEAAAVESLPDDVVAEILLCLPPHPSFVSGASLVCKRWLHLIRSPSFLRRVCAFHRTPPVLGFFHNYRDLPSFVPAEGVPGRNRMDGVDDGGDARMFIDCRHGRALLRRYDWADLVVWDPMTGERRRIAGPNQKMQGGGAGTSRRSAALFCSCDVSGGGGDQDCHSSPFHVVVVFTGGCRAFACVYSSLTDAWGDLISTPAPLPCELCDTPPALVGEASYWLSYGGLILEFQFGSQSLTLMKRPLEMLADVRLVRLEEDGLGLAFIKDSTLHLWAREVADDGAPKWKWIPRRAIELDKFLPMPRVLTGKWCGEMFVSISGFSEDGNVVFIRTLAGVFLVWLEALKFKKMSDPLYMMTVHPYSSFYVPNGYATDLTMADVTGGHSSPPAAAAETLPDDVLAEILLRLPPHPSFLSSASLVSKRWLRHTRNPSFLRRFREFHRTAPVLGFFLNSSHGALFFPTDAPLCRIADQVASLRRNTGDGLWWLVGCPPNLLVWDPMTEGFVCFPAPIQMVQADADRDAAVFCAASAGDEDRRSGAFNVAVVFVSGDHVFGCVFSSAIGAWGDVISTPVTLPLLMIYDEPAALAGEALYWIVNGSSLLEFNCGSQSLALISRPSDMPATHRWNIRPVSLEDDLLGLAFFNDFCLHLWVREVADDGATNWVPRKSVEMDKLLSLPVATEDSRACLDMWVLWGWKCEKGGKQESAIVGNQVSEAGGKNQVASEMYGAKPGWSSGDHRFPLLLPVKIIGVAEEGDHAKSCHFHYYSCKQSRFPTSNPPRLPVISSASPPLLPPLTVAVAVTVVEEASEVVKRTKDLLATFSHLEAILPPFNKRLTCFKLPPTHPTSLPSPSTPSRQPPTPSPSHLPWPSTSSGGTVLEIMVRLPALPQALARASAVCPEWRRVVLDAAFLRRHRELHGGVPVAAGFFHNTVDVGGAPGGARFVCAGAGPLALSVPPSVRRQGVPCCHTHVNPGDAWTVLDCRGGRVLLGCCRFSCYFLVYNPITGKRYLVKAASHKRLHLHHSIRCNATLICDDDADDADADGPFRVAAVYTTISDGGRLFGAAFSSRTGRWTTAPQVFVDLPRGIDLRGEPSAVVGSTAYHSAYSYLVLAFDVEHWTMATFQRPPRCGNARLMKTRGDGVLGLVGALELTLRLWAREAGGWVLRSTVELSDMGLLRDLPSAPVPSSDARFPLLPPVKIIGVAEEGDTVFLWTMLGIFMFCPGSMELKKVCEETRDIEMVHPYASFYVPTCNSHRYIYIYVYILSLLSAIQI >ORUFI10G02200.1 pep chromosome:OR_W1943:10:2492483:2496176:-1 gene:ORUFI10G02200 transcript:ORUFI10G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQMDRDNLHREPGHVHKTVKFWIVRDAFRGHSVLIRIAVPGLILQQELAWTPSFNHWNLEQAPKLNSCWLQLYW >ORUFI10G02210.1 pep chromosome:OR_W1943:10:2505369:2506040:1 gene:ORUFI10G02210 transcript:ORUFI10G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRPGGRMTWMQSNAQVGLRDLHFLDKTSGRQEGGIEVCRSLLGRDEPQRPPPKESSGKCIGESAASLLSLAFGLTV >ORUFI10G02220.1 pep chromosome:OR_W1943:10:2512364:2515025:-1 gene:ORUFI10G02220 transcript:ORUFI10G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMLPSPQRACPGAPPPSLPPAEDLLFEILLRLPPDPDCLHRAALVCRRWGRLIHGPAFLPRLRAFHRTPPVLGFYHNSRSLGPSFVALAAPAGPSLVFGDGDWSLLGCRHGRVLLRSGPGWLQLLVWDPVTGHRSSVRLGRLAGHVRACNAAVLGDQDTRRHGSFRVAFVFTGEGRASACLYSSETAAWGRLITAGTARCGDVGKKPSALAGDALYWALDDGRRRHPRARHGQGDPRRGRAAAARRSGSLWAREAGGADGVASTSSWVLLKSIDLDVFAPMPLPCAGGRVILVPPVRLLGVDEGGISAFIWTIEGIFMLHLEDEMLMKKVAASRVVDFVYPYSSVYVAGGGGEGEDAGAVHGSGRL >ORUFI10G02230.1 pep chromosome:OR_W1943:10:2526906:2534309:-1 gene:ORUFI10G02230 transcript:ORUFI10G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASRSPTLECRRTLATGRPTPRSPFSLPLSRLLTEEKEEVMGGRRRGGERKKRKRKERLTGGPHYSTISHFLDLIQIPIFRSPYLLNRRSDSNETWT >ORUFI10G02240.1 pep chromosome:OR_W1943:10:2554346:2556007:-1 gene:ORUFI10G02240 transcript:ORUFI10G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGWLPRSATAVLVLFLVLWRDWGVEAATFTFVNRCTDTVWPGVLSNAGSARLATTGFELPPGVARAVPAPAGWSGRMWARTGCAVVQDGGAGGGRMVCATGDCGSGGAECNGAGAAPPATLAEFTLDGSGGLDFYDVSLVDGYNLPVLVEPSSSGGGGGGGGSLTSAATCAAAGCAADLNAMCPAELRAGGGAACRSACDAFGRPEFCCSGAFANPSTCRPTAYSQVFKSACPRSYSYAFDDPTSTFTCSGGPDYTLTFCPASSPSGSQKSTTATPTPAAMMPGTGTPTTPTTATAMPGATMPGTATATTMPGTTFTDAVPDTSMPIPMGGDAGGGGEEGVVLSGSETWIANMATGELTAAASLSRPSPAAALALFLVHALRLLVLR >ORUFI10G02250.1 pep chromosome:OR_W1943:10:2561129:2561537:1 gene:ORUFI10G02250 transcript:ORUFI10G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTSIFFLLSLILSLLLFLLSSPLFPIPSSATDDGKMAYGHREDRIRAGPDILEALGRLDAMGLGVSP >ORUFI10G02260.1 pep chromosome:OR_W1943:10:2572755:2573009:-1 gene:ORUFI10G02260 transcript:ORUFI10G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPALLLAALCIGRRSQAPPSALAAAAKPRPPRATAAPAAASCGPQAPPFASRRGGGEGGRGEGDTLCEEKGRRIRRERGREG >ORUFI10G02270.1 pep chromosome:OR_W1943:10:2574391:2575113:1 gene:ORUFI10G02270 transcript:ORUFI10G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRARFGGGAGRGRFGGGAGLGRVRRRRFFGGVGLGGLRRRRRWQARAPVGAQHGRRRKYPGKNGGCKLRQWYEPGTTPYLKQVLNDLVSTVREVKTENSKIRASLANSRAVIDGLVTECNVYEAKSMGQKEECGSFAEMACRIKMLEESRRFMLSVIVGFVVVIFALXVISHIHHNTKFLAFSSISSTTFSEHMSGITKFPCSITK >ORUFI10G02280.1 pep chromosome:OR_W1943:10:2587012:2589400:1 gene:ORUFI10G02280 transcript:ORUFI10G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGMSSRSSSLLAMVFVAVAVLARAQDERAATFTITNNCAYTVWPGLLSSAGSAPLSTTGFALAPGASQAVPAPSGWSGRMWGRTLCAADGAGAKFSCATGDCGSGDVQCNGGGAAPPATLAEFTLDGSGGLDFFDVSLVDGYNLPMLVTPSATSGSGKCAATGCVAELNGACPADLRVASASGPAVACRSACEAFGSAEYCCSGAYGNPNTCRPSAYSEFFKAACPRAYSYAYDDSTSTFTCAAGATDYAITFCPAAPTSVKSSGQNPQAAGLQQLNDTMVYFGGGGGSPQSSGATTTSAYSSIAAAVFSVAAVALAALL >ORUFI10G02290.1 pep chromosome:OR_W1943:10:2601139:2602721:1 gene:ORUFI10G02290 transcript:ORUFI10G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPHGGVGPAAGAGLPYVFPRRRVASPSSPPQLPNCSPLNSRPLLSTRNTMTVAAEVDASPDGEERRRQACNRTTIYRFLPSFSFFFLSFFRFPLSHVRGKLWWWWWPERRMAAAAVTAAGTTRPGWTPASTCSTRRIRWRRWSGCTPSAPSPASVAASSRQHRAQAEDQGLVPKTEAYKCLTRRG >ORUFI10G02300.1 pep chromosome:OR_W1943:10:2607326:2613083:-1 gene:ORUFI10G02300 transcript:ORUFI10G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSGGGYADEKGPGAATMQALGLQQQHGGGGEVEEESSEMGEKTAARTRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFAQLGMASGLLFQLFYGLLGSWTAYLISILYLEYRTRKERDKVDFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIGCASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYIAVEGVAHSGPTSIVLYFTGATNILYTFGGHAVTKFKAIYLLATVYVLTLTLPSASAAYWAFGDALLTHSNALALLPRTPWRDAAVVLMLIHQFITFGFACTPLYFVWEKLVGLHGCPSLCKRAAARLPVVLPIWFLAIIFPFFGPINSAVGSLLVSFTRPPRFAGGWTGAYVINSFVVAWVLVVGFGFGGWASITNFVHQVDTFGLFAKCYQCPPHPAAAALSPPGAIAPAPASMLPPFNSTAAGIFAAPVPSPAPAPAPMHFVLGHHHHHRHHRHGL >ORUFI10G02310.1 pep chromosome:OR_W1943:10:2639192:2639539:1 gene:ORUFI10G02310 transcript:ORUFI10G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLKSSSLAALLIFLLAVFTTAAAAAGTECQNDVEVLKTTCYKFVEKDGPKLQPSPDCCTSMKGVNVPCVCTYLGSPGVRDNINMDKVFYVTKQCGIAIPGNCGGEQASLDWPH >ORUFI10G02320.1 pep chromosome:OR_W1943:10:2641291:2643273:-1 gene:ORUFI10G02320 transcript:ORUFI10G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVKAMLARPIQLADEVAKQCGAARCFRAECGELKARADKLAALLRQAARADLYDRPAARIMAGAQQALLKASSLAARCASGHPRLRRLFTLSPAAGFPRTVALLDTALEDVAWLLRISSPRSGGGGGGGGGGGDDDGDGDDGDLRGLPNIAQNEPILFLIWDHVARLHTGGLAARADSAANLASLARDSQHFAKLIIEEDGVPPLLRLLKEGTDDGQEAAARALGLLGCDDESIDKLVQAGVCSVFAAALKDPPMRVQAAVADAIGTLADRSATCQELFAQNNAVRYLVGHLASGTIQEHSRYSVGSSSSKNSAAAPQHMTSLHSVVLAKTLSMRHGGDRGTSSSTDEPPRVSNEQDTKRNQMQSVVQSAMAAKTKTNGSLVPPFRPQLGTSGSSGRGAVREVEDPETKARLKAMAARALWKLARGHLGVCKSITDSRALLCFAVLLEKGDGGMGTSVQYFSAMAIMEISRVAEHSLALRQSAFKPSSPAAKAVVDQLLHIVSKGDYDDLLLPCITALGCLARTFTASENRVIAPLVELLDEREPPVIKEAVLALTKFACNENHLHVNHCKAIVDSGGARHLVQLVYLGDEVQIEALILLCFIALHVPESEELAQAGVLAVLLWASKQAHMIQDMRVDALLPDAKGRLELFQSRASR >ORUFI10G02330.1 pep chromosome:OR_W1943:10:2651555:2652660:-1 gene:ORUFI10G02330 transcript:ORUFI10G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPRALVLGVCAAVLLVNVLAVAADGDAAAAASMVVGLAKCADCTRKNMKAEAVFKGVRVAIKCKNSNGEYETKATGEVGKSGAFAVPLAADLLGDDGELRQQCFAQLHSAASNQPCPGQEPSWIVNAAADKKKTFVAVAGDTHFPSSECASAFLCDPFHKKDFFFHYKNPSPPAPAAYHKPPPSYTHPAPPVYSYPTPAYSHPTPVYKQPLPTPSPPIYHPPAEEKKVAMQDDAEADPELFKKLLPLIKKNPFLKFPKLPPVEVEAKP >ORUFI10G02340.1 pep chromosome:OR_W1943:10:2666557:2668388:-1 gene:ORUFI10G02340 transcript:ORUFI10G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGFLARRPEPCAAAADPDDGDARRGGWRAAFFLVVVGFLERIGFFGVQGNLMLYLTGPMAMSTAAAATAANAWGGTVLVLTLAGGLAADSSGLGRYRAVIVASALYLLSLGMLTASSSSMAAQRATSPPSSSAGGAVVVVFYAALYLLALAQGFHTPCAEAFGADQFEREGDDDGGGGGGARRPASRSSYFNWYHFSISWGYVISTTLLSYVDENVGWTVGFAACWATMVLYLAVFLLGTGTYRRAERPAIDGAAAARRAWTARFFFFFSRNRKDAAEQLLEPQEEVVVVVDGHGDGGRGFFLVKLLPIWLSSIVFAVVVSQVSTLFTKQSSTMDRRVGSGGGGGLVLPSAGLQCLVSFTYIAVLPVYDRMVVPLARRLTGGGGGITMLQRIGAGMATGCLAMAVAALVEARRLRVARDAGLARRLRVARDAGLVNRPGATVPMGVWWLVPQHVLIGVAEVLAVIGLEEFFYDQVAGELHSVGLAVSQGVMGVGSYASGALVAAIDWATAARSGGGPTAISPVSLMSADDLNRAHLDYFYWLLAALAALEVAVFVYLAQRYDYKNKSKP >ORUFI10G02350.1 pep chromosome:OR_W1943:10:2675751:2677352:-1 gene:ORUFI10G02350 transcript:ORUFI10G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASWLLLHGAALMILMAAAAAGLKVAIKCKNGSSEEYESKAVGELDGAGAFAVPLAADLRGADCVAQLHSAATDAPCPGQEPSKIEPLSSEGETGTFVAVAGKTHLPSSTSSSPECSSVAICFPCHRRHRMFHRKPMPEYQPPPSPVYGTPAPGCSCSPPSTPPGYGQPAPECPPADPGYGQPAPECPPPPTPAPECGQPAPEYPPSTPAYGTPAPECPPSTPEYGTPATACPPPTAPGYGSPSPFWPPVSPAYGTPSPTPIYRPPGSH >ORUFI10G02360.1 pep chromosome:OR_W1943:10:2679315:2687784:-1 gene:ORUFI10G02360 transcript:ORUFI10G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGLVNAALPDDLLAEVFRRVAAAGGKADLDSCALVCRRWRGVERASRRAARVPVDGPDGDAVVRCVADRFPGLADVFLDHGLYIAAGASAAAAERSRAQGWDNENPKLDEQHMQCSTLSEDTQKENGSDGVNPTSFTDAGLLHLIEGCKGLEKLTLNWFLHISEKGLVGIANRCRNLQSLALSGGYVQNHGLITLAEGCNLSELKLCGVQELTDEGLVEFVKIRSKSLVSLDISFCNGCITYRSLYAIGTYCHNLEVLSVESKHVNENKGMISVAKGCQYLKSLKMVWLGVGDEALEAIGSSCSALENLSLDNLNKCSDRSLFSIANGCKQLKSLIIKSSVKFTDRSIERVSQNCKMLQHMEINMCHIMESAALEHIGQRCINLLGLTLNSLWIDNNAFLGFGRCCFLLKSVCLANCCKISDEAISHIAQGCKNLRELSIISCPQIGDEALLSVGENCKELRELTLHGLGRLNDTGLATVDQCRFLERLDICGCNQITDYGLTTIIRECHDLVHLNISDTKKIGDTTLAKVGEGFRKLKHLMMLRCDAISDVGLEDIARGCLQLEACGVFRCSQVTPAGVAALAGGSSRLQRIIVEKCKVPEEATGKCRMINDPILISYY >ORUFI10G02370.1 pep chromosome:OR_W1943:10:2695513:2696577:-1 gene:ORUFI10G02370 transcript:ORUFI10G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVARALLFAAIVMAVTAAADGEAAAIVVGQAKCGENPKDLNPSRTPSRRHCRRTVVVAVQETVMAAPRGTVAELRRLPALRLSTTEKFIHSINPHMLDGDVDLLLLLLLRGRGRGSHGRWRRALEEVEQQAAAVMEKQESEAGEERHGWCGFVVGSRDRGRPPSPLASLPPRPVR >ORUFI10G02380.1 pep chromosome:OR_W1943:10:2708876:2709525:1 gene:ORUFI10G02380 transcript:ORUFI10G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTQESQTAELQEKEIPDGKEKTTLKTSILRGQGDNLEISKYDKQPRRSSSSTKRVCTTGN >ORUFI10G02390.1 pep chromosome:OR_W1943:10:2711224:2711816:-1 gene:ORUFI10G02390 transcript:ORUFI10G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARALLFAAIVCAALVMAVTAAADGEAAAIVVGQAKITTPHLSTLCATCAQRTPTCQGERTLVYPKLGRQARASSNTVETTDAKQLRI >ORUFI10G02400.1 pep chromosome:OR_W1943:10:2717498:2719658:-1 gene:ORUFI10G02400 transcript:ORUFI10G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQDAFKGLQVAIKCKNSDGEYESKAVGDLDGDGAFSVPLAADDLHGAADCFAQLHSATSSTPCPGQEPSKIVPLSSTTDNGGDKANTFVVVAGKRMHSSTSPAECTSAFLYDYFHKHPFFDYFHKKPQGPEPKPDPKPTPLPANGGGGGAGNGGGGAQGNSGGAAPSPSSPPNRNATP >ORUFI10G02410.1 pep chromosome:OR_W1943:10:2722837:2723920:-1 gene:ORUFI10G02410 transcript:ORUFI10G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDTDSASAAVSRPAVPVVAEATTVLLLPVVHEGDGDEALAHAPRRTKTDEVTEEDKGEKMEHDPFVFSSQVSQVFYVQEPKAQGWHVAQPYRPRDLYDMGTEPSDSDEDDPTS >ORUFI10G02420.1 pep chromosome:OR_W1943:10:2730664:2732223:1 gene:ORUFI10G02420 transcript:ORUFI10G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNGMRSISKVGAVMRRYTHGPCRTGVCGGCGQGGNRAAGQGKIPSLSVNPDLLMRLLLRRGSTSLNLIPCISSSLLLRL >ORUFI10G02420.2 pep chromosome:OR_W1943:10:2730664:2732223:1 gene:ORUFI10G02420 transcript:ORUFI10G02420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNGMRSISKVGAVMRRYTHGPCRTGVCGGCGQGGNRAAGQGKIPSLSVNPDLLMRLLLRRGSTSLNLIPCISSSLLLRL >ORUFI10G02430.1 pep chromosome:OR_W1943:10:2734233:2734949:-1 gene:ORUFI10G02430 transcript:ORUFI10G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARALVLGAVVVCAALVMAVTAAADGEAAVALVVGLAKCGGCSRKNIKAQDAFKGLQVAIKCKNSDGEYESKAVGDLDGDGAFSVPLAADDLHGAAGCFAQLHSAASSAPCPGQEPSKIVPLPSTTDNGGNKANTFVAVAGKRMHYSSSAECTSAFLCPFFDYFYNRPQGPKPTPANGGGAANGGGAAAPAPSPPAGISQLNF >ORUFI10G02440.1 pep chromosome:OR_W1943:10:2743400:2744138:1 gene:ORUFI10G02440 transcript:ORUFI10G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARALVLGAVVVCAAVVMAVTAAADGEAAAAVVVGLAKCGGCSRKNMKAQDAFKGLQVAIKCRNGDGEYESKAVGDLDGDGAFSVPLAADDLHGAADCFAQLHSAESSTPCPGQEPSKIVPLSSTTDNGGDKANTFVAVAGKRMRYSSSAECNSAFLCPFFDYFHKRPQGPKPTPLPKPTPANGGGAANGGGAAAPSPSPPAGISQLN >ORUFI10G02450.1 pep chromosome:OR_W1943:10:2745792:2746007:-1 gene:ORUFI10G02450 transcript:ORUFI10G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGSGLPQLPPSGPFIVVARRPAPSSRRRIRPPPSSRRPDPASLVVAWPAAERWRPCPAAAPEALPVGQ >ORUFI10G02460.1 pep chromosome:OR_W1943:10:2748143:2752065:1 gene:ORUFI10G02460 transcript:ORUFI10G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPISQITSSTSRLSNAAAAATANRRTPWPSRVRLICAASEPELRRDPSTRIPKVGVVEFLNGVGKGVETHAAKVEEAVGGDLQSLLHARTLRLKKLGIPCKQRKLILSFAHKYRLGLWKPQAESKKTQLGEY >ORUFI10G02470.1 pep chromosome:OR_W1943:10:2752381:2753948:-1 gene:ORUFI10G02470 transcript:ORUFI10G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRVLALLAVLTAVAAHGEAASVVVGLAKCGDCTRKNMKAEAAFKGLRVAIKCKNGADGEFETKAAGKLDGAGAFRVPLAADLRGADCVAQLHSAAHNNAACPGQEPSRVMQLSERTFVAVAGKTHYASPVCASATICEPIKKHFFDHFHHNKPAPAAPSTKPAPKPHPDQPPHPKPTPTPSYGTPSPYHPPARN >ORUFI10G02480.1 pep chromosome:OR_W1943:10:2772824:2773624:-1 gene:ORUFI10G02480 transcript:ORUFI10G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLVLLGVCAILMAVAVGGEAASVVVGTAKCADCTRKSMKAEAAFKNLQVAIKCKNGNGEYESKATGKLDGTGAFSVPLDADLHSSDCIAQLHSANNEPCPGQEPSKIVPMSEGTFVAVAGKTHYPSALCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPIPQPKPQPAPEYHNPSPPAN >ORUFI10G02490.1 pep chromosome:OR_W1943:10:2776542:2794798:1 gene:ORUFI10G02490 transcript:ORUFI10G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAAADGEAAAIVVGQAKCGECTRKNMKAQDAFKGLQVAIKCKNSDGVYESKAIGDLDGDGAFSVPLAADDLHGAADCFAQLHSAASSTPCPGQEPSKIVPLSSTTDNGVDKANTFVAVAGKRMYSSTSPAECTSAFLTQNGRCSPRTSSPRRLRRPDGARRKWRSGVGHLQVAIKCKNINGEYESKAAGKLDGTGAFSVPLDADLDSSDCIAQLHSANNEPCPGQEPSKIVPMSEGTFVAIAGKTHYPSALCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPKPEPKPQPAPEYHNPSPPAKNSVVADYSLYQPKPLDRAPRGLVLLGVCAVLMAVAVGGEAASVVVGTAKCADCTRKNMKAEDAFKNLQVAIKCKNGKGEYESKATGKLDGTGAFSVPLDADLHSSDCIAQLHSATNEPCPGQEPSKIVPMSEGTFIAVAGKTHYPSALCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPKPEPKPQPAPEYHNPSPPAKN >ORUFI10G02490.2 pep chromosome:OR_W1943:10:2784460:2794798:1 gene:ORUFI10G02490 transcript:ORUFI10G02490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLVLLGVCAVLMAVAVGVEAASVVCKNINGEYESKAAGKLDGTGAFSVPLDADLDSSDCIAQLHSANNEPCPGQEPSKIVPMSEGTFVAIAGKTHYPSALCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPKPEPKPQPAPEYHNPSPPAKNSVVADYSLYQPKPLDRAPRGLVLLGVCAVLMAVAVGGEAASVVVGTAKCADCTRKNMKAEDAFKNLQVAIKCKNGKGEYESKATGKLDGTGAFSVPLDADLHSSDCIAQLHSATNEPCPGQEPSKIVPMSEGTFIAVAGKTHYPSALCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPKPEPKPQPAPEYHNPSPPAKN >ORUFI10G02490.3 pep chromosome:OR_W1943:10:2776542:2781857:1 gene:ORUFI10G02490 transcript:ORUFI10G02490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAAADGEAAAIVVGQAKCGECTRKNMKAQDAFKGLQVAIKCKNSDGVYESKAIGDLDGDGAFSVPLAADDLHGAADCFAQLHSAASSTPCPGQEPSKIVPLSSTTDNGVDKANTFVAVAGKRMYSSTSPAECTSAFLMAGAPRGLVLLGVCAVLMVLAVSGEAASVVIGTAKCADCTRKNMKAEDAFKNLQVAIKCKNGNGEYESKAAGKLDSTGAFSVPLDADLHSSDCIAQLHSATNEPCPGQEPSKIMPLSEGTFIAVAGKTSYPSALCASATICGPIKKKIIDHFHKKPVPAKPDPKPELPKPKPEPEHPILDHFHKKEKHFFDHFHKKPIPPKPEPKPEPKPQPKPQPAPEYHNPSPPAKR >ORUFI10G02500.1 pep chromosome:OR_W1943:10:2843396:2847883:1 gene:ORUFI10G02500 transcript:ORUFI10G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLLADLLILLASAAESVAGQPAASCQARCGDIDIPYPFGIGPNCSRGKGFEIACNPRNDSGEMVPTLAAANGTIHVQSLLVAPIPEVKVMLPVAYQCYYSNNNITDSFYGEVDLNNTGVYRISDSRNMFVVIGCNTLSYTQNGNSGGKGPYAGLYYTGCVSYCNDSSSARDSMCAGVGCCHVDISPGLSDNVVSFGPWKRGFQVDFSPCDYSFLVDKNEYEFRSADLKMDLNRTMPVWLDWAIRDSVTCPPLEVQEKKPAGYACMSDNSECVNSTNGPGYYCKCKQGYDGNPYVDKDQGCKDINECDVSNKKKYPCYGVCNNIPGDYECHCRVGYQWSGEGPKKQECSSKFPLAARLSLGITLGFSFLIVAVLFTLMMHQKRKMNEYFKKNGGSVLQKVDNIKIFTKDELKKITKNNSEVLGQGSFGKVYKGTLEDNTPVAVKTSIEVNEARKDDFTNEVIIQSQMMHNNIIKLLGCCLEVDVPMLVYEFAAKGNLQDILHGDANIPLPLGLRLDIAIESAEGLRYMHSSTSRTIRHGDVKPANILLTDKFIPKISDFGTSKLLNVDKDFTMFVVGSMGYIDPVFHKTGHLTQKSDVYSFGVVLLELICRKPTIYGENCSLIIEFQNAYDQENSGRIMFDKEIANEEDILILEEIGRLAMECLKEKVEERPDMKEITIEGTPKHFGASISARSSAMLSAPATPLN >ORUFI10G02510.1 pep chromosome:OR_W1943:10:2872500:2879859:1 gene:ORUFI10G02510 transcript:ORUFI10G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLLADLLILLASAAESVSGRPAAGCQTRCGDVSIPYPFGIGPNCSRGKDFEIACDTQTRNGSGELVPTLAAANGTIHVQSLLVAPIPEVKVMLPVAYQCYDDSSDNVTESFYGAVDLNNNGVYRISDKRNKFVVLGCNTMAYTNNGNSHGKGPYAGVYYTGCISYCNDSSSAQDGMCAGVGCCHVDISPGLSDNVVSFGEWDRSFQVDFNPCDYAFLRSDLQKDLNRTKPVWLDWAIRDGGNSSASSSCPAPEVREKMPAEYACVSDNSECVNSTNGPGYYCKCSKGYEGNPTYIYADIDECARSDEYPCHGDCRNTVGDYDCKCRTGYQPRGGGPKIDECSQKFPLPAQIALADSKNADLLELDGAEERLSLCRADVLNAGSLRAAFSGCHGVFHVASPVSNDHKVDNIMIFSKDDLKKITKNNSHVIGQGGFGKVFKGTLEDNTMVAVKTSIEVNQARKEDFTNEVIIQSRMMHNNIIKLLGCCLEVDVPMLVYEFAANGSLQDILHGDANRSLPLTLDIRLDIAIESAEGLKYMHSSTNCTIRHGDVKPANILLTDKFAPKISDFGTSKLLTVDKDFTMFVVGSMGYIDPIFHKTGRLTQKSDVYSFGVVLLELISRKPTIYGENFSLIIEFQKAYDEVHSGRAMFDKEIAVEEDIFILEEIGKLAMECLKEKVEERPDMKEVAERLVMLRRARKHGQGSYNLSPRHHEEISIETTPTSFGADFSTNSSVSLSATCTPERKEVYNL >ORUFI10G02520.1 pep chromosome:OR_W1943:10:2888722:2889736:1 gene:ORUFI10G02520 transcript:ORUFI10G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLLADLLILLASAAESVAGRPAAGCQTRCGDVSIPYPFGIGPNCSRGKGFEIACNPRNGNGHLVPTLANSSIHLQNLSVAPIPLAKVMLPVAYKCYDSSDNVTHWFNGTVDLNNNSVYRISDRFNKFVVLGCNTMAYTTNGNSGGKGRYAGLFYTGCVSYCNDSRSAQDGRCAGVGCCHVDISPGLTDNVVSFGPWTHFRPCDYSFLVDKKEYEFRSADLKMDLNRTMPVWLDWAIRDSVTCPPPEVQEKKSAGYACVSDNSECVNSTNGPGYYCKCKQGYEGNPYYDKDQGCKVVYINPVGV >ORUFI10G02530.1 pep chromosome:OR_W1943:10:2912432:2925436:-1 gene:ORUFI10G02530 transcript:ORUFI10G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNSECVNSTNGPGYYCKCKQGYDGNPYVDKDQGCKDINECDVSNKKKYPCYGVCNNIPGDYECHCRVGYQWSGEGPKKQECSSKFPLAARLALGITLGFSFLIVAVLFTLMMHQKRKMNEYFKKNGGSVLQKVDNVKIFSKDELKKITKNNSEVLGQGGFGKVYKGTLEDNTTVAVKTSIEVNEARKDDFTNEVIIQSQMMHNNIIKLLGCCLEVDVPMLVYEFAAKGNLQDILHGDANIPLPLGLRLNIAIESAEGLRYMHSSTSRTIRHGDVKPANILLTDKFIPKISDFGTSKLLTVDKDFTMFVVGSMGYIDPVFHKTGHLTQKSDVYSFGVVLLELICRKPTIYGENCSLIIEFQNAYDQENSGRIMFDKEIAKQEDILILEEIGRLAMECLKEKVEERPDMKEVAERLVMLRRSRKCGQGNYSLSPQRCEEITIEGTPKNFGASISASRVLEEAVLARPGPFWHEAMKHDVANSPSKTPLSSSSPGFGMADRQQSEEPEEQVDLEGDDDIMDDDDGYRRHRREDSDDPEEEDPDERQGEGDGRREDAEGPGGAGGDPAAGGEGGADVMDKVGGDAGPEDEEEKRKWDELLALPPQGSEVFIGGLPRDTTEDDLHELCEAFGEISEVRLMKDKETKENKGFAFVTFTGKDGAQHAIEDLHDKEHKGRTLRCSLSQAKHRLFVGNVPKGLSEDELRNIIQGKGPGVVNIEMFKDLHDPSRNRGFLFVEYYNHACADYAKQKLSAPNFKVDGSQLTVSWAEPKGSSSSDSSSAAAQVKTIYVKNLPENASKEKIKEIFEIHGEVTKVVLPPAKAGNKRDFGFVHFAERSSALKAVKGNEKYEIDGQVLEVSMAKPLGDKKPDHSFKPGGAPNFPLPPYGGYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGNNQVESHLRPHRDGVTGGMVAAEVAKGVMAGDIALTSFFVPLVLPPSSHHAM >ORUFI10G02530.2 pep chromosome:OR_W1943:10:2912432:2917574:-1 gene:ORUFI10G02530 transcript:ORUFI10G02530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRQQSEEPEEQVDLEGDDDIMDDDDGYRRHRREDSDDPEEEDPDERQGEGDGRREDAEGPGGAGGDPAAGGEGGADVMDKVGGDAGPEDEEEKRKWDELLALPPQGSEVFIGGLPRDTTEDDLHELCEAFGEISEVRLMKDKETKENKGFAFVTFTGKDGAQHAIEDLHDKEHKGRTLRCSLSQAKHRLFVGNVPKGLSEDELRNIIQGKGPGVVNIEMFKDLHDPSRNRGFLFVEYYNHACADYAKQKLSAPNFKVDGSQLTVSWAEPKGSSSSDSSSAAAQVKTIYVKNLPENASKEKIKEIFEIHGEVTKVVLPPAKAGNKRDFGFVHFAERSSALKAVKGNEKYEIDGQVLEVSMAKPLGDKKPDHSFKPGGAPNFPLPPYGGYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGNNQVESHLRPHRDGVTGGMVAAEVAKGVMAGDIALTSFFVPLVLPPSSHHAM >ORUFI10G02530.3 pep chromosome:OR_W1943:10:2918056:2925436:-1 gene:ORUFI10G02530 transcript:ORUFI10G02530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNSECVNSTNGPGYYCKCKQGYDGNPYVDKDQGCKDINECDVSNKKKYPCYGVCNNIPGDYECHCRVGYQWSGEGPKKQECSSKFPLAARLALGITLGFSFLIVAVLFTLMMHQKRKMNEYFKKNGGSVLQKVDNVKIFSKDELKKITKNNSEVLGQGGFGKVYKGTLEDNTTVAVKTSIEVNEARKDDFTNEVIIQSQMMHNNIIKLLGCCLEVDVPMLVYEFAAKGNLQDILHGDANIPLPLGLRLNIAIESAEGLRYMHSSTSRTIRHGDVKPANILLTDKFIPKISDFGTSKLLTVDKDFTMFVVGSMGYIDPVFHKTGHLTQKSDVYSFGVVLLELICRKPTIYGENCSLIIEFQNAYDQENSGRIMFDKEIAKQEDILILEEIGRLAMECLKEKVEERPDMKEVAERLVMLRRSRKCGQGNYSLSPQRCEEITIEGTPKNFGASISARRPEGWQRWTPIWILGRLQAVSLKRPCWRGPARFGTKQ >ORUFI10G02540.1 pep chromosome:OR_W1943:10:2925579:2926199:-1 gene:ORUFI10G02540 transcript:ORUFI10G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCWLLASLLLLASAAGDESVVTGRPAGCQARCGDVDIPYPFGIGPNCSRGEGFEIACNTRNGSGDLVPTLAAANGSIHVQSLSVEQLPEVKVMLPVAYKCYDAGDNVTRRFYGEVDLNNNGVYRISDSRNMFVVIGCNTLSYTQNGNSGGSNTHYSGLFYTGCVSYCNDSRSAQDGRCAGVGCCHVDISPGLTDNVVSFGPWTA >ORUFI10G02550.1 pep chromosome:OR_W1943:10:3039317:3048602:-1 gene:ORUFI10G02550 transcript:ORUFI10G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAGKPYDLCRPGTLPYTSPEQLAGNRCYGPAVDMWALGCIMGELLTGAPLFGGDMTEKELLADLTANLCDQLKELLYDVLPELSPAAREVLSGLLAFDPEKRMTAVEALEHRWFADEPKKAEFPGFA >ORUFI10G02560.1 pep chromosome:OR_W1943:10:3050045:3050491:-1 gene:ORUFI10G02560 transcript:ORUFI10G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVLSPSRRAHGRRYYPESIPTCPRNPAHAEAATILASPRIERIICYPSALLRLSLSPPPSPRHPRALRRIWWWCRSSRTAWCADADTDTDAEVEVEVERAVLLSLLPNITASAATAAEPMGVTGTRVAPGQRVGVVDVGIAGST >ORUFI10G02570.1 pep chromosome:OR_W1943:10:3051213:3053291:1 gene:ORUFI10G02570 transcript:ORUFI10G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVASRKRAAPYDLEPRACCGSSPASGPKRRRYNFGSADDYERLDVDIVRAMMRPLVDAAKKMHASRVIHRDIKPENILVSFSGQLKICDFGAATLMKPAGKPYDLCRPGTLPYTSPEQLAGNRCYGPAVDMWALGCIMGELLTGAPLFGGDMTEEELLADLSANLDDQLNELFYDVLPELSPAAREVLSGLLAFDPEKRLTASEALEHRWFAEEPKKAEFPGFVPLFEIIS >ORUFI10G02580.1 pep chromosome:OR_W1943:10:3055310:3057178:-1 gene:ORUFI10G02580 transcript:ORUFI10G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVYAVVARGTVVLAEFSAVSGNAGAVARRILEKLPPDAESRLCFAQDRYIFHVLRSPPPAAADGLTFLCMANDTFGRRIPFLYLEDIQMRFIKNYGRIAHNALAYAMNDEFSRVLHQQMEYFSSNPSADTLNRLRGEVSEASILFIYCLPHNFLSFLLYNQMLILPTCIVQIHTVMVDNIEKILDRGERISLLVDKTSTMQDSAFHFRKQSRRLRRALWMKNAKLLAVLTAVIVLLLYLIIAAFCGGLSLPSCRS >ORUFI10G02590.1 pep chromosome:OR_W1943:10:3062984:3063628:-1 gene:ORUFI10G02590 transcript:ORUFI10G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVASRKRVAADDPEPRSCCGSSQASGPKRRRYNFGSADDYERLDVVGQGAFGVVVRARDRRTGKVVALKRLIGADEGGRFAPNFDALRVEAACQHACRGHPNIVQIKDVVADAKTGDLFLVLEFVGGSLRDEFPRARPEDIVRAMMRPLVDAAKKMHASRVIHRDIKPENILVSFSGQLKVCDFGAATLMKPAGKPYDLCRPGTLPYTSPX >ORUFI10G02600.1 pep chromosome:OR_W1943:10:3064843:3065097:1 gene:ORUFI10G02600 transcript:ORUFI10G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTFSGKQRMMASIAWQRCVVYGLRRLDDVPGNSDEMACDVAGASDALRAPSSDAPASILLKQPADEFEEDGNVDESERTSVM >ORUFI10G02610.1 pep chromosome:OR_W1943:10:3071963:3072613:-1 gene:ORUFI10G02610 transcript:ORUFI10G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVASRKRAAPEDPEPRACCGSSPADGAKGRRYNFGSADDYERLDVVGQGAFGVVLRARDRRTGKVVALKRLIGADEGGRFSRDFDALRVEAACQHACRGHPNIVQIKDVVADAKTGDLFLVLEFVGGSLRDEFPRARPEDIVRAMMRPLVYAAKKMHASRVIHRDIKPENILVSFSGELKICDFGAATLMKPAGKPYDLCRPGTLPYTSPEQX >ORUFI10G02620.1 pep chromosome:OR_W1943:10:3081141:3109938:1 gene:ORUFI10G02620 transcript:ORUFI10G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGASSAPATASTPAAAAATTTTTSKTASASLWWDPFIDLSDDLDRAAAASPSVPDALAERIKAHHAWLRGSVSMFVKPSDASRGALDASEVVVGEHRLAVKPELKAAALRLSKCMNLDEVQSYILVKRTSENTPTALVADTEEFLRLVSVQYYLERQCLLKCIRRIFVHANDCSDSIDAVREEASVLVREEVEQRLLSIVRDSLASTFSVKGDMLSGSYDVGKFAVSVEAKNSFHYAKAQLLFILIQTLDFESLLRMVRDEVPFSGGYSTFSVVDILEMDVEVSKLPEFAAVESGPLILAWAVFLCLVMSLPGSNTNLDIDHTSYAQRSFEFAPFNYLQGVLCSSIFKESDGPVSGFRGILRTFISAFVASYEISYQTEDSSLGMILNILCEVYDGEESLCMQFWDKDSFIDGPIRFNYLERMNGVTTLYAVPRSDTDNVNYHDQIEIHSPISIFGIEGTTIPGGSHGYILKVLEDDVALVRWEFPHSGVLFLLVILAQDLHACNYEEACAIMDLLYKMVSSNRDLCLALLHADKSLAVQASQNLGYIDKHCSIPCVQCGVGLWLLHYTIWWCFKVLRKGAAADDIISSFIIFSVQYIMVNHMNWKYKSYSRWKITLKCSFAYFVHVNAITGRVGSKSAFRYYGFVVLVKTIAFRHSCNIIDIWRLQTSISCILDEVDKVNEVVAIFNLLSSAARYQEAILDLLSKSWVILDDPLSLWIGVLDLLKALWESGAQFIYILEKLRSSRTFWENLSCCIRAAFASYPIDSVETVDEKKSLRYCCLGTIFEIMSYELFLQGKLLTETKTSDPAPVGSKEQKEPSVAPCPSDIVLKWFDSTTMEDLVNHLSSNGYQNDLLHRAKLSSGDTGSLSFSLVKKIQLISSKLLQHRAFVALLSQYALHGYSGEQDITNLIISDLYYHIHGELEGRPITPGPFQELLCFLLEFKVFEHNPSEQLQKSFPAANGVSLFDVPHIRDELGLELWNHSDWKTYKEVAEKMLDIMHKANLMKCQVDAKLCALRSFITFLSVCTGTSSYKKFGLPGGGISITTTQSAVRCACKSLQSAVDSLPPEVDNSGVLFPPLSGQVELLLTITRILLDHAKQSKSSRHLYPVIVLLIKTSGASTSFLFNLMPSSPALKQPVKSLLVLLLSLFEFIYKKVDMKDGSEDVNIFGELSLLSMSLLPVLCKLAESREYFDLAIASMDIILKGFLPSNVWVPILQKHFRLQVILQKCQSGALLCTQVILNFLLTMGRTKDGAKILQSANIFAFIKVLLSQMSLDDSCLRNSLSTQTKDVKIWGLGLAIVSSLNHCMDDDISRNSVANSTISFLSGQVPLMSSYLSAQSVNTHQSKKRTLLQKSQTSLSALSLTENILTLLCILAKYHFPRDTGMKEVDSELREIIIHLLAFISRGSERTGDSPNWNLSFGCPPIIKEEMKLNEEPPLIRSKYGWFRFAASCTLSTPSVSGPPNAGLSLVIRDKNPADSDSMKQTRFTEMLAVQIYRIAFLIMKFLCSQAKEAVRRAEELEFLDLAHFPELPMPDILHGLQANVSTALNTETERVCQLLLVILETSLYMELCVSQSCGIRPVMGRFEYFSKGIKAMVHASEKHSSFKPLVRSLAQITTLLYPGIVQNNNLIYDNEICWLTIIDGMAAHISLSM >ORUFI10G02620.2 pep chromosome:OR_W1943:10:3081141:3109938:1 gene:ORUFI10G02620 transcript:ORUFI10G02620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGASSAPATASTPAAAAATTTTTSKTASASLWWDPFIDLSDDLDRAAAASPSVPDALAERIKAHHAWLRGSVSMFVKPSDASRGALDASEVVVGEHRLAVKPELKAAALRLSKCMNLDEVQSYILVKRTSENTPTALVADTEEFLRLVSVQYYLERQCLLKCIRRIFVHANDCSDSIDAVREEASVLVREEVEQRLLSIVRDSLASTFSVKGDMLSGSYDVGKFAVSVEAKNSFHYAKAQLLFILIQTLDFESLLRMVRDEVPFSGGYSTFSVVDILEMDVEVSKLPEFAAVESGPLILAWAVFLCLVMSLPGSNTNLDIDHTSYAQRSFEFAPFNYLQGVLCSSIFKESDGPVSGFRGILRTFISAFVASYEISYQTEDSSLGMILNILCEVYDGEESLCMQFWDKDSFIDGPIRFNYLERMNGVTTLYAVPRSDTDNVNYHDQIEIHSPISIFGIEGTTIPGGSHGYILKVLEDDVALVRWEDLCLALLHADKSLAVQASQNLGYIDKHCSIPCVQCGVGLWLLHYTIWWCFKVLRKGAAADDIISSFIIFSVQYIMVNHMNWKYKSYSRWKITLKCSFAYFVHVNAITGRVGSKSAFRYYGFVVLVKTIAFRHSCNIIDIWRLQTSISCILDEVDKVNEVVAIFNLLSSAARYQEAILDLLSKSWVILDDPLSLWIGVLDLLKALWESGAQFIYILEKLRSSRTFWENLSCCIRAAFASYPIDSVETVDEKKSLRYCCLGTIFEIMSYELFLQGKLLTETKTSDPAPVGSKEQKEPSVAPCPSDIVLKWFDSTTMEDLVNHLSSNGYQNDLLHRAKVASCLCIIRLLTKLSSGDTGSLSFSLVKKIQLISSKLLQHRAFVALLSQYALHGYSGEQDITNLIISDLYYHIHGELEGRPITPGPFQELLCFLLEFKVFEHNPSEQLQKSFPAANGVSLFDVPHIRDELGLELWNHSDWKTYKEVAEKMLDIMHKANLMKCQVDAKLCALRSFITFLSVCTGTSSYKKFGLPGGGISITTTQSAVRCACKSLQSAVDSLPPEVDNSGVLFPPLSGQVELLLTITRILLDHAKQSKSSRHLYPVIVLLIKTSGASTSFLFNLMPSSPALKQPVKSLLVLLLSLFEFIYKKVDMKDGSEDVNIFGELSLLSMSLLPVLCKLAESREYFDLAIASMDIILKGFLPSNVWVPILQKHFRLQVILQKCQSGALLCTQVILNFLLTMGRTKDGAKILQSANIFAFIKVLLSQMSLDDSCLRNSLSTQTKDVKIWGLGLAIVSSLNHCMDDDISRNSVANSTISFLSGQVPLMSSYLSAQSVNTHQSKKRTLLQKSQTSLSALSLTENILTLLCILAKYHFPRDTGMKEVDSELREIIIHLLAFISRGSERTGDSPNWNLSFGCPPIIKEEMKLNEEPPLIRSKYGWFRFAASCTLSTPSVSGPPNAGLSLVIRDKNPADSDSMKQTRFTEMLAVQIYRIAFLIMKFLCSQAKEAVRRAEELEFLDLAHFPELPMPDILHGLQANVSTALNTETERVCQLLLVILETSLYMELCVSQSCGIRPVMGRFEYFSKGIKAMVHASEKHSSFKPLVRSLAQITTLLYPGIVQNNNLIYDNEICWLTIIDGMAAHISLSM >ORUFI10G02630.1 pep chromosome:OR_W1943:10:3112160:3112414:-1 gene:ORUFI10G02630 transcript:ORUFI10G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEVLACKRAAKLAMEMGTDKVIIGIDSLEVVRTIVQLLEDRLKRFPEIKIKWVQQSANKAYPFSSKERTLNRCNIDLVIGFT >ORUFI10G02640.1 pep chromosome:OR_W1943:10:3116203:3116748:1 gene:ORUFI10G02640 transcript:ORUFI10G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASSKSNPRVFLDISIGGEWVGRVVIELLADKVPDTAENFRRLCTGERTGRSGKSKLHYKGSAFHRVVPGFMCQGGDITAGNGTGGESALDGGGRHFADEGFAVKHDGPGVVSMANAGPNTNGSQFFITVDKAPWLDGRHVAFGRVVAGMDAVRAIDRTGTWSGKTVKPVVIADCGVL >ORUFI10G02650.1 pep chromosome:OR_W1943:10:3126969:3127374:1 gene:ORUFI10G02650 transcript:ORUFI10G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLSRAGSVVIEQFADKVPKTTENFRLMCTGGCDIGPAPLQGLNVPPGGARVHVPERRHRDGESALDGAWRLIANPAREHIADEGFESHAT >ORUFI10G02660.1 pep chromosome:OR_W1943:10:3128652:3129239:-1 gene:ORUFI10G02660 transcript:ORUFI10G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTALTRFRLQRQSWQSTWSTTLTAFADGLSATTPTTPSTSAAALADVSRGTPRCRARPGRTVDAAAEEEENAGHRAVAGSGGDEGDDDADEKERIPSGGACAGHADADDHHAHC >ORUFI10G02670.1 pep chromosome:OR_W1943:10:3128664:3130167:1 gene:ORUFI10G02670 transcript:ORUFI10G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGVGVPRARSSRRDPFLLIGVVVPLVPAGSRHGAVAGVLLLLRRRIDGNPPAVIGAPSYAPGRLTSASAAALVDGVVGVVADRPSAKAVKVVLHVLCQLCLWSRNRVKAVDASAMSALVSLLLNKGAAATGAPASSSWWRRPHLRLRGGKPIAGGAPDGARSGGVRGDAAVRRRHQERRARSARRGKALGDAGGAAGDAGRRCGGEAALPGAENHEL >ORUFI10G02680.1 pep chromosome:OR_W1943:10:3132515:3133639:1 gene:ORUFI10G02680 transcript:ORUFI10G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPCIAIAVLLSSSLVAAVTGPPGTIERVVKQQILASIPPGGHGAGDVHPPVLFLTSPSGKYAAYFVRSHTVPGAGGLGADFCYVEVVVNKGGEGDAAVAAGGGMSVWESECRPVSTVNTCTLLFSWHGLEVFDGSQEVWHGETNTDGTNFLQRLELVDDGDMRIRDKDGELAWRASDEPRHAQHCGAPGSPGLATAFPPFAEPIGAHSSDLPFGMFPGGNGRAAELPQAADAAAGALGGVGAVAPLPGAVGGAGAVAPLPGAAGGDAAAAPLPGDMGGDAALAPLPGALGGVDPAFSPLPGDLPDPAAAAAAGGAAAGVGGVGAGALGAGAVGAFGSQPLVDNSPYDSGAWKVDGHLVAIVVALGVVLGAI >ORUFI10G02690.1 pep chromosome:OR_W1943:10:3134169:3134522:-1 gene:ORUFI10G02690 transcript:ORUFI10G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEMAVVVLRCFDGTTVAAPAGVVAGRSGLVAEAVAGGAGGGGGGGGRVVVDVPGNVSGVDVAAVVAYMEARAAAADGDAFDGEFIGGLTHDARIDLIHAAHHLADKALFNLLA >ORUFI10G02700.1 pep chromosome:OR_W1943:10:3137675:3138814:1 gene:ORUFI10G02700 transcript:ORUFI10G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEETLHHHHHRRRLPSTAPSPSPSPLDDDDLLQEILLRLPPDASTLPTASAVCKRWRRLALEPGFRRRFVARHEPPFLGFFFPYDFDPVFSFNAGFRSTTAQHHLPAHRFLPEREIGLRWEIVNCCKGLALFRITFRGGCKCMEFMVVDPISGDRRLLPFPLVDGKFLSATVVPAAADRRSFRVVAVFAERNTFTSVFASVYSSDAGVWSDYVSRLSLPWEVWVLRPSVLAGNAVHWFLDGYNVLMFDLESQKLGFSELPLDAKDDEDFPHRCRCQIIPAGDGRLGLAVIVGSTMQLWEREIGDGSDATWLLRRTLQLNFLPLEAEGRKLIVGVAEENSSILLWTRVGLFMVHLKFLQVRKVYGEISVDNYYPYSSF >ORUFI10G02710.1 pep chromosome:OR_W1943:10:3141761:3145197:1 gene:ORUFI10G02710 transcript:ORUFI10G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSAAPARCLLLLLLLAVAGAAFDLEEATVDSIRRAFADGELTSRGLVELYLRRAAALDPSLHAVVELDPDGALAAADRADDARRLFASAGGGALPPPLLNGIPVLVKDNIAAAGGGGALNATCGSLALVGSRPAGDAGVVERLRRAGAVVLGTASLSEWCNFRAPGIPAGWSPRAGQGKNPYVPSATPCASSSGSAIAAAANMVAVTIGTETDGSIMCPSSYNSVVGIKPTVGLTSRAGVIIISPRMDTVGTVSDAVHVLEAIVGYDPRDAEATCMALQYIPEDGYRQFLNIDGLRGKRLGILRKDLFRFPSGSVQQKMTMTTSTVQSYAYSSLKMNEIKFLSFLLIPGCYETESKMGAILVDNLEIPNMNIINDAVQSGERALMLAEFKLSLNSYLSELASSPVRSLSDIIDFNNKHPVEERMAEFGQSYLLQSEATDGTGPTEKKAIAKLNELCESGLEKIMRVNQLDAIVSPGASAHSLLAIGGYPAITVPAGYASNGVPFAICFGGLKGSEPRLIEIAYSFEQATKVRRPPTLQHSII >ORUFI10G02720.1 pep chromosome:OR_W1943:10:3144971:3147784:-1 gene:ORUFI10G02720 transcript:ORUFI10G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLIASSSRDKTESDFSESRQVRLAVAAMAAEEILELSNGRITAKIAAWGATITSLIVPDAHGNLADVVLGFDTLEPYMKGISPYFGCIVGRVANRIKEGKFILNGVEYSLAINNGPNSLHGGLKGFDKVVWDVVERKSGECPSITFQYHSKDGEEGYPGDVTVRATYSLPDSTTLRLDMEAVAENKATPINLAQHTYWNLAGHNSGDTLDHSIQIWGKHITPVDENTIPTGEIMPVKGTPFDFTTEHKIGARINDVPGGYDHNYVLDSGEEKNGLKHAAKLKDSSNSRTLDLWTDAPGMQFYTANYVDGITGKGGAVYGKHSGVCLETQGFPNAINQPNFPSVVVQPETK >ORUFI10G02720.2 pep chromosome:OR_W1943:10:3145434:3147784:-1 gene:ORUFI10G02720 transcript:ORUFI10G02720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLIASSSRDKTESDFSESRQVRLAVAAMAAEEILELSNGRITAKIAAWGATITSLIVPDAHGNLADVVLGFDTLEPYMKGISPYFGCIVGRVANRIKEGKFILNGVEYSLAINNGPNSLHGGLKGFDKVVWDVVERKSGECPSITFQYHSKDGEEGYPGDVTVRATYSLPDSTTLRLDMEAVAENKATPINLAQHTYWNLAGHNSGDTLDHSIQIWGKHITPVDENTIPTGEIMPVKGTPFDFTTEHKIGARINDVPGGYDHNYVLDSGEEKNGLKHAAKLKDSSNSRTLDLWTDAPGMQFYTANYVDGITGKGGAVYGKHSGVCLETQGFPNAINQPNFPSVVVQPGEKYKHTMLFEFSA >ORUFI10G02730.1 pep chromosome:OR_W1943:10:3172695:3176373:1 gene:ORUFI10G02730 transcript:ORUFI10G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHLLLLLALLLLLLLSPLATSAQPPPPSNTSASTSAAAVLLSFLDSLPPASQRLLLPSWRRSRSSSSSGNATAPPPHCAFLGVTCSDTGAVAALNLSGAGLTGALSASAPRLCALPASALPVLDLSGNGFTGAVPAALAACAGVATLLLGGNNLSGGVPPELLSSRQLVEVDLNGNALTGEIPAPAGSPVVLEYLDLSGNSLSGAVPPELAALPDLRYLDLSINRLTGPMPEFPVHCRLKFLGLYRNQIAGELPKSLGNCGNLTVLFLSYNNLTGEVPDFFASMPNLQKLYLDDNHFAGELPASIGELVSLEKLVVTANRFTGTIPETIGNCRCLIMLYLNSNNFTGSIPAFIGNLSRLEMFSMAENGITGSIPPEIGKCRQLVDLQLHKNSLTGTIPPEIGELSRLQKLYLYNNLLHGPVPQALWRLVDMVELFLNDNRLSGEVHEDITQMSNLREITLYNNNFTGELPQALGMNTTSGLLRVDFTRNCFRGAIPPGLCTRGQLAVLDLGNNQFDGGFPSGIAKCESLYRVNLNNNKLRGSLPADLSTNRGVTHLDISGNLLKGRIPGALGLWHNLTRLDVSGNKFSGPIPHELGALSILDTLLMSSNRLTGAIPHELGNCKRLAHLDLGNNLLNGSIPAEITTLSGLQNLLLGGNKLAGPIPDSFTATQSLLELQLGSNNLEGGIPQSVGNLQYISQGLNISNNRLSGPIPHSLGNLQKLEVLDLSNNSLSGPIPSQLSNMISLSVVDISFNELSGQLPDGWDKIATRLPQGFLGNPQLCVPSGNAPCTKYQSAKNKRRNTQIIVALLVSTLALMIASLVIIHFIVKRSQRLSANRVSMRNLDSTEELPEDLTYEDILRATDNWSEKYVIGRGRHGTVYRTELAVGKQWAVKTVDLSQCKFPIEMKILNTVKHRNIVRMAGYCIRSNIGLILYEYMPEGTLFELLHERTPQVSLDWNVRHQIALGVAESLSYLHHDCVPMIIHRDVKSSNILMDAELVPKLTDFGMGKIIDDDDADATVSVVVGTLGYIAPEHGYSTRLSEKSDVYSYGVVLLELLCRKMPVDPAFGDGVDIVTWMRSNLNQADHSNIMRFLDEEIIYWPEHEKAKVLDLLDLAMTCTQVSCQLRPSMREVVSILMRIERSNHVQFFEEAP >ORUFI10G02740.1 pep chromosome:OR_W1943:10:3186482:3190760:1 gene:ORUFI10G02740 transcript:ORUFI10G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAPRFLLFLLQLLAASVVARPPPPERADSAAVLRSFLASLPPPSRRVLRPSWRRGGGGGAPHCAFLGVTCDAAGAVAALNLSGAGLAGELAASAPRLCALPALAALDLSRNGFTGSVPAALAACSRVATLVLSFNSLSGAVPPELLSSRRLRKVDLNSNALTGEIPTTGLAAGSSVLEYLDLSVNSLSGAIPPELAAALPELTYLDLSSNNLSGPMPEFPPRCGLVYLSLYSNQLAGELPRSLTNCGNLTVLYLSYNKIGGEVPDFFASMANLQTLYLDDNAFVGELPASIGELVNLEELVVSENAFTGTIPEAIGRCRSLTMLYLNGNRFTGSIPKFIGDLTRLQLFSIADNGITGEIPPEIGKCRGLVEIALQNNSLSGMIPPDIAELNQLQKLSLFDNILRGPVPLALWRLSNMAVLQLNNNSFSGEIHSDITQMRNLRNITLYNNNFTGELPQELGLNTTPGLLHIDLTRNHFRGAIPPGLCTGGQLAVLDLGYNQFDGGFPSEIAKCQSLYRVNLNNNQINGSLPADFGTNWGLSYIDMSSNLLEGIIPSALGSWSNLTKLDLSSNSFSGPILRELGNLSNLGTLRMSSNRLTGPIPHELGNCKKLALLDLGNNFLSGSIPAEITTLGSLQNLLLAGNNLTGTIPDSFTATQALLELQLGDNSLEGAIPHSLGSLQYISKALNISNNQLSGQIPSSLGNLQDLEVLDLSNNSLSGIIPSQLINMISLSVVNLSFNKLSGELPAGWAKLAAQSPESFLGNPQLCVHSSDAPCLKSQSAKNRTWKTRIVVGLVISSFSVMVASLFAIRYILKRSQRLSTNRVSVRNMDSTEELPEELTYEDILRGTDNWSEKYVIGRGRHGTVYRTECKLGKQWAVKTVDLSQCKLPIEMKILNTVKHRNIVRMAGYCIRGSVGLILYEYMPEGTLFELLHRRKPHAALDWTVRHQIAFGVAQGLSYLHHDCVPMIVHRDVKSSNILMDTELVPKLTDFGMGKIVEDDDLDATVSVVVGTLGYIAPEHGYYTRLTEKSDVYSYGVVLLELLCRKMPVDPAFGDSVDIVTWMRSNLTQADRRVIMECLDEEIMYWPEDEQAKALDLLDLAMYCTQLACQSRPSMREVVNNLMRMDK >ORUFI10G02750.1 pep chromosome:OR_W1943:10:3187902:3188262:-1 gene:ORUFI10G02750 transcript:ORUFI10G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVPRLLRLPSSRSIGPEKLLLERSSFVRLLHEPSALGIIPSSRLLLISMYDNPQLVPKSADQELQAGLPCTNQVELRHGNGCE >ORUFI10G02750.2 pep chromosome:OR_W1943:10:3187609:3188262:-1 gene:ORUFI10G02750 transcript:ORUFI10G02750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVPRLLRLPSSRSIGPEKLLLERSSFVSTAMLDNRHNARGTGPRSMLSNRLNFCSWLSSAISGGIIPERLLF >ORUFI10G02760.1 pep chromosome:OR_W1943:10:3197370:3198343:-1 gene:ORUFI10G02760 transcript:ORUFI10G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKRPAPDGGDAFLAAASPCCKKPRPLFTSIFNYEYLHKLGAGSYGVVYKARDRRTGETVAVKWVRPRRGLDHGQPANLAAFASERDCLAACRGYPSVDVASNPSNWDVFIVMEFVGANSLRDFIAGCPFSEGETRALMRRLLAGVRAMHRAGMAHRDIKPGNILVGPGFALKICDFGMATTAPPPYEPYMVGTLHYNSPEQLTESGLNGKYDAKVVDMWAAGCVMAELLTGGRAFTSETAKEHLLELVELRDYDIGSRNSLAFGGLRWLSPAGREVLAGLLAFDGDKRMTAEAALEHRWFTEEADSPAVLSCLAAIAS >ORUFI10G02770.1 pep chromosome:OR_W1943:10:3198757:3212314:1 gene:ORUFI10G02770 transcript:ORUFI10G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPAPAPPASRKRAAAPDHEPTASRSSTPAAAAGAKRPRRYALASVDDYEQLDVVSEGASGVVIMARHRRTGNKAACQHACTGHPNIVQIKDVVADAKSGDVFLVMEFVGGSLRDELPRARPEKQVRFMMRQLVGAAKKMHASHVIHRDIKPENILNSFGDLKVCDFGSATFVNPTGKPYEECLVGTLPYTSPEQLAGNHCYGPGVDMWPLGCIMGELLTGAPLFGGDMTEKELLADLSANLDDQLNELFYDVLPELSPAAREVLFGLLAFDPEKRMTAAEALEHRWFAEEPKKANFAGFAPLFGAHALVLFLFMFAAVLSPAARREAATEEMHAANARRRRSSGRPDGKAIDQGIGYILMALALVLTYRAAAPDDEPTATGSTTPAAKRPRRYALASVDDYEQLDVVGEGASGVVIMARHRRTGNKVALKHLPHGARDFDAVRVEAACQHACTGHPNIVQIKDVVADPKSGDVFLVMEFVEGSLRDELPRARPEKQVRFMMRQLIGAAKKMHASHVIHRDIKPENILNSFGDLKVCDFGSATFVNPAGKPYEECLVGTLPYTSPEQLAGNHCYGPGVDMWALGCIMGELLTGAPLFGGDMTEKELLADLSANLDDQLNELFYDVLPELSPAAREVLSGLLAFDPEKRMTAAEALDHRWFAEEPKKANFAGFAPLFDVRIFKNQDAANGKQ >ORUFI10G02780.1 pep chromosome:OR_W1943:10:3213512:3213828:-1 gene:ORUFI10G02780 transcript:ORUFI10G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIPWSIVLPSGRPELCRRRAFAACIASAAASLRAAGERTAANMNKKSTKACAPEDAITD >ORUFI10G02790.1 pep chromosome:OR_W1943:10:3221962:3224337:1 gene:ORUFI10G02790 transcript:ORUFI10G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTGKMPARVKRIRFADSQNECEVVLPQTLPSGGASSSRAVGEAAQSKPKRRRRATSAGEGPSVDEPYETKGPNLTRCSAAIAAKACRALSPVHHEKLEEIGLDAVACMSLESLEQPDLIRWLMDRTDPDTMCISINDDMKIQITPRIVRLVLGTPLGGNDIVLPSHKVVRTVHESITDELGMHKKARLSAKQLIEVIKSQKDDHRAVRYFIMVLMSKLLVRTTDFYVPKGDVGVASNLDRVAAIDWSKAVFRALSDSIRCWRQNPASSIASCVVFLAVLYLDNILPPRDIGLDLTFTPRIQMFTKDIVDKLVAADQEAGGDGTLSFGNLPLRPLESTCYANKPAGRAKGPMVEDIRAPAYTFPNMSTIIGPHFAGLPPDQRLGLLESIAEYDRQAKESAMEIERQFRLVVDKQHMLCQSVIDALQANRVPHPPTVAPLSRPEIH >ORUFI10G02800.1 pep chromosome:OR_W1943:10:3250185:3252757:1 gene:ORUFI10G02800 transcript:ORUFI10G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDALRAVDQEQHRTELLAETNEDTDDVNSTPWSQPKRFIQKPARFVSPVVVGPSIMPSDVSLSVQLRDFLLNNGGRIVKLMEIDSYVAYGNDVVNSFSTGNQTEGLFIDAFSSILIKDDRRNRPDTFGKRIFFSTSISIMVPVLHHDHWSLYAINIAHRRVDIMDSNNYNLIGTLESDHHRALSKRIVKRLSDALHEVAPKSFCRFGGFRKNMWHHCYITI >ORUFI10G02810.1 pep chromosome:OR_W1943:10:3259341:3259598:-1 gene:ORUFI10G02810 transcript:ORUFI10G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGIIYAEDLGYMSTPCPSPPSDVDDLNPPEDPKNRIILHPAFVYDDGDLDIIQEDIYNFRYDQTPPRDAQSPATRFKRHKRD >ORUFI10G02820.1 pep chromosome:OR_W1943:10:3264473:3270041:1 gene:ORUFI10G02820 transcript:ORUFI10G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIGKMPAKVKRIRFADSQTECEVVLPLTMGGGATSSAIAPDKPAQKKGKRKRRSKPVAGAATGDEAAGSKGPNLTRCSAALASDACRALSNTHHEKLEEIGLDAVACMTLASLEKPDLIRWLMDRTDPDTMCISLDDDRKIQITPRIVQLVMGTPLGGKDIVIPPKKVVRIVHDRIVEELGLARNVRLTPKMLIEAIKQRKDDPMAVRFFVMVLMSTLLIPTTDFYIPKDYVWLAADLDRVAAIDWSKVLYLDNILPPREVVMERMFTPRIQMFTKDIVDQLVNEDQNAGGDGTPPFGNLPLRPISTTCYANKQNVKGKGPMSAVIRASSLTFPNMSAIISTHLAGLPEEKRICLMDALGEYDKQAKESATEIERQFSMVVDKQNLLCQRLIDALQSDSSASPRPYAGQSSGCQQISNATVVQECMTPQMHPIVAQQHHKQMPNEQQQQHRLDEQHQRQDHQPKQNHPHDELQHQKQQPEQQQQDQVQQQQQQEQPHDQHLQQVQMHDQQQPLHHEERQEQHDQIAGSPKSAGDGMANVDHVDTTPQARHGVTVQSPTANIENPLLGLTRQLTEESAIPVEHEGADGPSKKADEMDVSADPMDVHRIDEPNLQQHTPTVMKETAPEDTAIIVTTDAGVAHGFYSVPAGDARLHLPRPDQGTHTVPSIANPPQDVGAAGVHHTDTSPATHSSVRSDDSHLDSLNEALSITPTPSLVLPPDDEMTDTQVYDKIEEICLREGAPSLSELMSDTNVDIEGVKSTPWSQPKRFISRPARFVSPVVVGPSHHTSNIDASVQLRDFIINNQDRINSHWSLYAINIPHKRIDIMDSNNYPMIGTQFSDHHRHLSKRIVKRLSDALQTALPKKFCRFGGFRKTPMPCAKMAICSNDCAFYIMRFMEAYDGNREPIETMIIPGDLTIIRSSILHQLIFSEHNIAVPHHPDIVPFSGPSSD >ORUFI10G02830.1 pep chromosome:OR_W1943:10:3271116:3271568:1 gene:ORUFI10G02830 transcript:ORUFI10G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENLPPRTTKRDHVDPPKPSLTPTPTTPPQRENNEETYELPAEFRVTSPPPPSPPYPFPISPSMEEDGMIFAEDLGYMSTPCPSPPSDVDDMNPPERHPINYPNRNHPAYDDDDDFELLNEDWLFFRDHQTPPKDVHSPSTRFKRHKRD >ORUFI10G02840.1 pep chromosome:OR_W1943:10:3281104:3282102:1 gene:ORUFI10G02840 transcript:ORUFI10G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATIASKHPAADGGGDACSGASHCSTLTNIYNYDSLGTLGAGACSVVRKARDRRTGDTVAIKCFHPPGGDLDDGQQQQQHDAVALAGRERDCLAACRGSPSVVQLLDVAADPWNSGDVYLVMEFVGTRTLRDLTVGRPFSEAETRALMRQLLAGAAAIHGAGLIHRDVKPANILVGPGCVLKYCDFGDATPVMPPYEEFLVGTLRFTSPEEVAGDRFYGQGVDMWALGCVMAELLTGRFVFTSSETCEDHVLDLLDLRECDVGAEDSPAFGGLPGLSPAGREVLAGLLAFDHRERMTAEAALEHRWFTAAADSPAVLRRLADLAAGKNNM >ORUFI10G02850.1 pep chromosome:OR_W1943:10:3286487:3286705:-1 gene:ORUFI10G02850 transcript:ORUFI10G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAHAFVLFLFMFAAVLSPAARREAAAEAMHAANARRRRSSGRPDGKTIDQGIGYILMALALVLTYVLH >ORUFI10G02860.1 pep chromosome:OR_W1943:10:3289406:3289906:-1 gene:ORUFI10G02860 transcript:ORUFI10G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASHVIHRDIKPENILNSFGDLKVCDFGSATFVNPAGKPYKECLVGTLPYTSPEQLAGNHCYGPGVDIWALGCIMGELLTGAPLFGGDMTEKELLADLSANLEDQLNELFFDVLPELSPAAREVLSGLLAFDPEKRMTAAEALEHRWFAEEPKKANFAGFAPLFG >ORUFI10G02870.1 pep chromosome:OR_W1943:10:3296205:3297049:1 gene:ORUFI10G02870 transcript:ORUFI10G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILEFQAGLSPETPLKDARPPRNQSKSTESYCCPAYRLNLIHTTKDKTHRSKLGTTAGSLRHRTLKAQTRPKSPDDKQTGPTSRTNTSPHPPKDSTTMKGGASRKVRSAMPEEEPKHEDRAAETTESSMFLTRTEAEASPPRIVGLRGKPPFTTTTTTSNFTTNKDKSWGKQSSRKHLGGRKGGREVATAMGVGGGGGRRRRAPCRKPPHRTDEETNPRRFASTSLLPTRASHRRARRPRPPMCAAEETSAAGHSPHRRAAILYDWI >ORUFI10G02880.1 pep chromosome:OR_W1943:10:3297991:3298212:-1 gene:ORUFI10G02880 transcript:ORUFI10G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGAHALVLLLFMFAAVLSPAARREAAEAMHAAANTRRHRSSGRLDGKTIDQGIGYMLMALAFVLTYVLH >ORUFI10G02890.1 pep chromosome:OR_W1943:10:3319765:3324308:1 gene:ORUFI10G02890 transcript:ORUFI10G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVWKGFNRSNVIGKVFCGAVWKGHSHELQIQGSYYKLIDLSHYELKPAEPTKGEIPLAEVLRFNTKVDCLILNRREYLS >ORUFI10G02890.2 pep chromosome:OR_W1943:10:3319765:3324875:1 gene:ORUFI10G02890 transcript:ORUFI10G02890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDIGENKRLAHGAVDGVCHVCVLLQSLQCLRSENFTKRPAASRVIRSRYFTCRPLKRPDIPCKGVKNLTGQDGHC >ORUFI10G02890.3 pep chromosome:OR_W1943:10:3319751:3324308:1 gene:ORUFI10G02890 transcript:ORUFI10G02890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVWKGFNRSNVIGKVFCGAVWKGHSHELQIQGSYYKLIDLSHYELKPAEPTKGEIPLAEVLRFNTKVDCLILNRREYLS >ORUFI10G02900.1 pep chromosome:OR_W1943:10:3327373:3328168:-1 gene:ORUFI10G02900 transcript:ORUFI10G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFVSEEPGIRVIVIVAIIRLFEKDQLTLRNRTSASAEFGQINLLIIVLDRSITLEFGFHQNHVYTVSENLQTGEVRRGCSTIFYC >ORUFI10G02910.1 pep chromosome:OR_W1943:10:3328402:3329838:-1 gene:ORUFI10G02910 transcript:ORUFI10G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSWVVMLALAAAAAYGLFDVAALYAVDLVGCAAWLCAAALLVAVWYARRPRPVYLVEFACYKPDGDEHEISKDGFLEMTESTGFFNGEAVAFQTKITRRSGLGDRTYLPPGIQARPPRLSMAEARAEAEAVMFGCLDKLFAATGVDPSRDVGILIVNCSLFNPTPSLASMVVNRYRMREDVKSFNLGGMGCSAGLIAVDLARDLLQANAGALAVVVSTENITLNWYFGNDRSMLLSNCIFRMGGAAALLSSRGGDARRAKYRLLHTVRTHKGAADGCFGSVYQREDERGRVGVSLARELMAVAGDALKTNITTLGPLVLPLAEQLKFLRSLVLRRVLRRGARRPYIPDFRRAFEHFCVHAGGRAVLEEVQRSLGLGDGDMEASKCALHRFGNTSSSSLWYELAYAEAKGRVRRGHRVWQIGFGSGFKCNSAVWRALRDVPPVSSGAGDGEEERRRVSCNPWVDSVESYPPKAYI >ORUFI10G02920.1 pep chromosome:OR_W1943:10:3339975:3340393:1 gene:ORUFI10G02920 transcript:ORUFI10G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTWAIATTSGCSTSYICTSYSMWLAAEDMVESAGDGDNGWLLLRNVELISIPHRYILT >ORUFI10G02930.1 pep chromosome:OR_W1943:10:3365024:3367022:1 gene:ORUFI10G02930 transcript:ORUFI10G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGATTAAIVDSRRGTQHSEGPATILAIGTANPENIMFQDNFADYYFGLTKSEHLTELKEKMKRICHKSGIEKRYIHLDAELISVHPEIIDKHLPSLETRVDIVATEVPKLAESAARKAIAEWGRPATDITHLIFSTYSGCRAPSADLQLASLLGLRPSVSRTILSLHGCSGGGRALQLAKEIAENNRGARVLVACSELTLICFSTPDESKIIGHGLFGDGAGAVIVGADPSVDGECPLFEMVAASQTMIPGTEHALGMQATSSGIDFHLSIQVPTLIKDNIHQCLLNAFRSVGNTDPNWNDLFWAVHPGGRAILDNIEDKLQLHPCKLAASRQVLSEYGNMSGATIAFVLDELRRRREKEQDIQQQPEWGVLLAFGPGVTIESIVLRNPLSRGLKEN >ORUFI10G02940.1 pep chromosome:OR_W1943:10:3384556:3385250:1 gene:ORUFI10G02940 transcript:ORUFI10G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGDSLRLKTSHGLKIKIRIKEAASTLYMTTGWKEFAEATGLETGETILFRMSSRSKARVMLLNRQCLIRCPVKTPSTTSSDKNRSLSPSDQLTRASTSAHPSTSKSIPPLRNGTGSTKRSIADTSFCHQLKLTAEN >ORUFI10G02950.1 pep chromosome:OR_W1943:10:3399651:3400771:1 gene:ORUFI10G02950 transcript:ORUFI10G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAPPVEVLINDAYRQILLRLPPTAPSCCIASPPTWRSLLTDPAFLRRYREFHGLPHLIGYVHDGADGRDLVVARFVTTADTTFRPRVPEKGVDLHVLDSRHGRVIFRRIGKGWLDESSLIIWDPVADHHQEVPLPEAFAQEEFNLTATVLCDALGCDHLDCHGGPFRVVFVGVRDEEGASATSAFNYTSSSGSWTASPAAAAAVADEDDWGFRMPAPSILVGGTTLYFRSPGRILRYWFGDEMEHLSYVDIPPFITQETRGTVLMPAADGRLSFAAMYGDMTISFWETEVSADGAVDWVHTQNALVSIPLPGVLIGAAASLLFVRTEDGGIVSVQVGNGRFQMLPQPAPQRQQISALIPFMSFCTP >ORUFI10G02960.1 pep chromosome:OR_W1943:10:3417346:3417905:-1 gene:ORUFI10G02960 transcript:ORUFI10G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAEEEAASASATVHGDCVLAAACRVFDGEPVELSAKVLLLRLRSAEAIAGIHGGYWCTRMGAEFISPDHWPFVQLVRAMERPEEAHEDDLPAAGAGGRLAVPSSGRAAEDATAKQVQTV >ORUFI10G02970.1 pep chromosome:OR_W1943:10:3427235:3429179:-1 gene:ORUFI10G02970 transcript:ORUFI10G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLQIWEEEGKEKKGKEGSGGNRGEDGNVMPLRNATRVHLIISEGKYLLLICLREGMGLATLLHDMISLSHDYCNMLIGLLVFRHNGLIKH >ORUFI10G02980.1 pep chromosome:OR_W1943:10:3451583:3453189:-1 gene:ORUFI10G02980 transcript:ORUFI10G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVVASYAPASRSPVTRASSGARRVSHTQSWRPPPPPFFPASAAVKCRRPTLPVARSVGPPEKHRPVLNIPPTERWEIKDDEGNVQLWLQVPGLTEDDLEITTTDELLEIKRKAGRGDPRRLDDVQGVGSFHLRLLLTKEFVSSQVTAELKAGMLEVTIPKNTNLRRTVVRIGQQSQSPAAVRTAQPKVVDPPPANSPPKNNNLVRNTSVQSRIDPPARESPKNDLGVGISVQPNDSPAREPPKNNLAGGRNVPTKDDPPARELPKNNLAGGRNVPPKDDPPAREPPKNNLAGGRNVAPKDDPPANEAPRNNLAGGTGVPTKDPPRNANEPPKNPPGTREANLG >ORUFI10G02990.1 pep chromosome:OR_W1943:10:3457685:3459016:-1 gene:ORUFI10G02990 transcript:ORUFI10G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTSCTFLSIRPTVSSAGSLLSKKPAAFVSLASERKSRPLSPCFAISSNKPGDPKIDVSPFSISPVVLVNPVPVDGERWQVAENKDEVSLWFDVPGLSPADLIVEIDEDVLVIKKKKKASPKSNYNTPTSGAIADHQEATADEFSGGGIYARLLLPAGYSREGVQAKLTSGELKLTIGKVKESVRRKINVDISVK >ORUFI10G03000.1 pep chromosome:OR_W1943:10:3465763:3475263:-1 gene:ORUFI10G03000 transcript:ORUFI10G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAIGNGALHSNGAGADTKGKPIKCKAAVAHGPGEALVMEEVEVAPPARMEVRLKVLFTSICHTDLSAWKGENELQRKFPRILGHEAAGVVESVGEGVEDLAPGDHVVPIFTGECGACTYCESSKSNLCKTYRVNPFKSTMVTDGGTRFTMIDRSSGARNPVYHFLNTSTFAEYTVIDSACAVKINPKAPLEKMRVGAAWNTANVSKGSTVAIFGLGAIGLAVAEGARLRGASRIIGVDINPEKFSKGKEMGITDFINSKASGKPVHEVIMEMTDGGVDYSFECTGITDVLREAFVSTHDGWGLTVVLGIHATPRMLPLHPMELFDGRRITGCVFGDFKGKSQLPEIVEKCMQGEININFDGFITQELPFADINKAFQLLEEGKSLRCLLHV >ORUFI10G03010.1 pep chromosome:OR_W1943:10:3490280:3491726:-1 gene:ORUFI10G03010 transcript:ORUFI10G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVASGGSAALGKEGRDECGHVEGLLPHATPSIYKWLASICNWWACLLHMIRGCTAIPILFV >ORUFI10G03020.1 pep chromosome:OR_W1943:10:3505765:3514962:-1 gene:ORUFI10G03020 transcript:ORUFI10G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSAEGFLHAASCLPCTAEEERDLVVALTREAEENVKDGDLRYLVSHSWWLNWQSYVGLIKPDENDADMLPQAPSRPGEIDNSKLVSEESSSIGDEPELQRTLREGDDYALVPQEVWRKLHEWYKGGPELSRRAKVSELYSLVCSLLSVEQSKIDIWDFYQKTKGKKLINLNETVEEAQLMMDQEIIIEAKADDAWCSDLGTRSNNELALIPLEPSTSSFSIAGGPAFSNGFSSGFGSSFSQDNSFSPLLRDAEDGYSFSNGTKDDIHGLSGLHNLGNTCFMNSAIQSLVHTPPLVEYFLQDYSREINTENPLGLQGELATAFGELLRKLWSAGRTSIAPRPFKTKLSRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKKKPYIEAKDADGRPDEELAEECWNYHKARNDSIIVDKFQGQYKSTLVCPDCKKISVTFDPFMYLSLPLPSTVTRMINVTVFSGTGDALPMPYTVKVYDHRIYRYWNPSEPLCHVKDEDKLVAYRLPVGSENLLRVEILHRVVDRYTSESMFNLSRKLIGSPLVTCIPSDSTRKADIYATVSSLLAPFVRAKVHTLDESATKLNSNGPSLDGIVLTDNGVTCEEDVSTSNVDEEAADEEVLPFQLWLTDDKANKREHIDADSNGVPGSTMRLLMDWSDREHEVYDIKYMDELSVVFKPGFMSKKNRQEAVNLFSCLDAFLKDEPLGPDDMYCPRCTEHKQASKKLDLWRLPEILVVHLKRFSYSRFMKNKLDTFVNFPIHDLDMSRYANHSRGDQPPIYELYAVINHYGGMGGGHYSAYAKLVEEDSWYHFDDSHVSSVGEEDIRTSSAYLLFYRRVGSSSCSVSKNVAVDTDMVDSLDT >ORUFI10G03030.1 pep chromosome:OR_W1943:10:3523887:3525101:-1 gene:ORUFI10G03030 transcript:ORUFI10G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLILVMAALLLPAAADATSSVLLGINYGRVGNNLPPANAVPPMLSSLGVGRVRLYDADPATLRAFANTGVELVVGVPDECLAAVSTPSGAASWVRSVVQPALPATKIAVLTVGNEVLTGANSSSLSRSLLPAMQCLHDALAQLGLDKQVAVTTAHNLGVLATSYPPSSAYFRKDLLPLLCPILDFHARTGSPFLVNAYPYFAYAEDPTGVELEYALLEPTYAGVADPSSGLHYPNLLVAQVDAVYHAIAAANTAAARAVEVRVSETGWPSAGDANETGATPQNAARYNGNVMRLVADGKGTPLRPSVALRAYMFALFNENMKPGPTSERNYGLFKPDGTPVYELSYRLPKDNTNSGGGGGGIGGGSGTIGGGGEYNGHGEDGGYYSISASAKPAVSSPLLSC >ORUFI10G03040.1 pep chromosome:OR_W1943:10:3537167:3537615:1 gene:ORUFI10G03040 transcript:ORUFI10G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRSFLLAPSTLVLKSFLSAAIWLVKSPCHIFAPTIMRRDQYTILRQCCREVERRPRGSHVCR >ORUFI10G03050.1 pep chromosome:OR_W1943:10:3538614:3549699:1 gene:ORUFI10G03050 transcript:ORUFI10G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATMICVDDSVWMLKEDYPPTRLQAQADAANLVFATKMASNQANTAGCRPWLGTECACSSRSPATPSATARGQTVLVDRICCRRQRLVLPGLTGERWDLVLLHPQRRWYSRVHYAHVNTTNPVKDERLGDIGTVLKKNNVSLDVVEFEALVAAVGGNSHIVHIPPGEDLCVVLSKSPIITGDEGGGAALLSLLRSPLLSKKDYEVDYKKEAESTSDTPTDNEKAKKGSIRYKKLFQGLKMQNMQEYPTIPHPGRSWN >ORUFI10G03060.1 pep chromosome:OR_W1943:10:3564237:3568724:-1 gene:ORUFI10G03060 transcript:ORUFI10G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAKTAIGLPNGVHHRTALLTEPPELDRGRPRRPEVWYSDVHSAQGFNLDFGGGEGLLMGFGLELGRRGRLCGLWKLFFELSGRMVGPTEMGLEQWVPWQESAGSDPNGVGRRAPEGRAPGCARVEGGSSGTVGDATRAAVLGGRGNDRTAVALGRRRSLVRLRRWRGASSTHAVRFFEISESDTGIAGAVMNDAVMNDGRRSDGRKITWVKKM >ORUFI10G03070.1 pep chromosome:OR_W1943:10:3569541:3572509:-1 gene:ORUFI10G03070 transcript:ORUFI10G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPYPQRHIPPSPPLLAFEASLRSHTDTRQLDRQRGTTAREWLAGDERWRGWLGLRPGKAGVGERHGRWCQRF >ORUFI10G03080.1 pep chromosome:OR_W1943:10:3581527:3583941:-1 gene:ORUFI10G03080 transcript:ORUFI10G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLLSSFAVSIIGKIILFVSEHGLMGIKSDRSVHQELGKLQNSLQAISGVLLDAERKQSTSSALKEWLRKLKDVMYDIDDILDDASTETLKRRVSKDVVTQTNCVHISRLKLRRKLLKRKKKWSSRIREVHEKLNEIAASKKDFGLTDWTVGGQCSEEPERESYSFVYQPDIIGRDDARDEIVSKILRAAEHHDIFVLPLLGLGGIGKTELANMVYHDQQIRERFSKMMWACVSNKFNLKNILQDIIESASGESCKHLNLEHLQNKLRGILQNGNYFLVLDDLWTRDVNEWRELRNLLSSGARGSVIIVTTRENVVASMVGTSDPYKVGALPFHECMQIFTRVAFRQGEENKYPWLLKIGESIVKKCAGVPLAIKSLGSLLFTMRDETQWLRVKEDHLCKIVQGDRDIMPVLKLSYNALPAALKPCLSYLSIFPKDFEYYRRCIIMFWMAHGLLNSNKLSEEIDVGNQYIIELIGSSLFQDSLITFDGSMPHCKLHDIVHDLGRYVLDTDLAVVNCEGQQVSETVRHLVWDLKDFTHEQEFPRHLIKARKARTFISSCNHGSLSKKFLEVLLSKLLLLRVLIISGVRIDELPDSIGNLKHLRYLDLTWNKTIKYLPNSLCKLINLQTLDLYRSDQLIELPRDVNKLISLRYLSLTSKQKQLPEAGLRGWASLTSLQLHSCSELTSLSEGIGSLTALQMLWISDCPKLPSLPASMTHLSSLRELFIDNCPELDLMHPEEAMDGLWSLRSLQIIGLPKLERLPDTLCSASGSLRYLLIEQCPNLRELPSFMQDLTNHQRQGGIH >ORUFI10G03090.1 pep chromosome:OR_W1943:10:3594165:3599300:-1 gene:ORUFI10G03090 transcript:ORUFI10G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMEMPSLGIRMYDLTDQHIEAALTNLIAGLESEYKFEVNPVLIKVILGGAMSVDEVQDSALLGLELGCCVQPSGGHRILKMMYPHVPC >ORUFI10G03100.1 pep chromosome:OR_W1943:10:3603427:3605347:1 gene:ORUFI10G03100 transcript:ORUFI10G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQEELDLLRRQMGSQNGGFSLVFVLVIAILRILLGFLIKR >ORUFI10G03110.1 pep chromosome:OR_W1943:10:3606050:3608718:1 gene:ORUFI10G03110 transcript:ORUFI10G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSGLPGDLLRLFSGRLHDPLDFLRFRAVCRAWRAATAAAAASPPPFLPWLLARPAPINPTAGPGPSLSFYSLSSAALRSVAAPSATCSLLGHTNSHLLFSDHGPLLLLVNPLTGADLPLPPSPFDAFSPITQGYYLPGPDSPVVLYDTRRIFFHHPAGGGGGGTTVPGAGGGPRRREHVFVCNDRGHLTIFDAATLAVLGDAAPPPPPPVTLHRDAFKCSSFVPSGDDLLCVIRYFRRKNTEQAGELLEDCRALEVHRLEIAGEKSRWVQMRSIGDRMLFVGLYQGFSLRAADFAGLEGNCVYFFKMDRASRSFIYRFSMEDGQIEELPGPSMHACTWSKNSEQAGELLEDCCALEVHRLEIAGEKSRWVQMRSIRDRMLFVGLYQGFSLRAADFAGLEGNCVYFFKMDRASRSFIYRFRMEDGQIEELPGPSMHACTWFVPSLS >ORUFI10G03120.1 pep chromosome:OR_W1943:10:3614618:3615003:-1 gene:ORUFI10G03120 transcript:ORUFI10G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGIAAAVASAAAVAAASGAELLACDCADQPPQQQEAAAAAVGRCDAFLVRHNQGSSLSRDAERAAAAAAGEQRVEGKKFAPRFDGLRFIETLVTAHR >ORUFI10G03130.1 pep chromosome:OR_W1943:10:3622770:3623078:-1 gene:ORUFI10G03130 transcript:ORUFI10G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWAAAAAATASAAATAVASAATAAATERCVTPCSNPTARSIPRPLFLVAGCGLLTLARVCLLLLQGSPASRGNGRRSAAEDRFAPRFDGLRFIETLVTAHR >ORUFI10G03140.1 pep chromosome:OR_W1943:10:3627284:3627538:-1 gene:ORUFI10G03140 transcript:ORUFI10G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVVRSALKTMMLMVLFCLFLLMDIYWKYEVRPTYNDEHHCTPSEHLRHQKSIMKPQRSALLIATALVLYWILLPCHLPRRQA >ORUFI10G03150.1 pep chromosome:OR_W1943:10:3631570:3637818:-1 gene:ORUFI10G03150 transcript:ORUFI10G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMRPRSSSASSTSPVAGKKEKTATKPAGGGARDPPKGRSSSFACRVCGKRFPSQQAMAGHEDHCRRHEDHVREAAAATAAAVVVVATATASGGCSNRATCGALLASYTCATQLLAAAAVADWSQVAAGIPLSKEQLVVAVAIVLADDGQGQAAEVFLLDLAAEELNLGPSMNNEATSIPKALPALSGQFIVDGATGLADDLGRVEAEGLAYVEGLEEVEEDSGRHQPCTVHGIDARHRARLMSSSCPSGMSKWYIAQTHVRLVTIEDPDIPEIANVDTLYPMQSAPVTHLTGDIVEELYSDTTSLWEKLRDNIAGSLEEMMSALDRMRQKCKRIMRGHSTGMHQKSTVPQDTGLRTPYRNNRLPLLGLPHRQDPQLPHVLDVGKACGTVYDKPIGQCRCTLS >ORUFI10G03160.1 pep chromosome:OR_W1943:10:3644725:3652779:1 gene:ORUFI10G03160 transcript:ORUFI10G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGEERRGRRKGGRQVDKKGKARGGVKVVEESEGIQSNPVLIDPSRVRACACVVSSSSPDWEQSRVEQECARSKAGGGATDTDRIPHSAAAAHARSGWFEASSSSLAKRWLLRGMDRRSWPWKKKSSDKSSAGDVLKSSGQAEQDEQVPKFVQISPERYTNLTESEEQVKILCDKVNVLNEKLSAAQSDITTKDSLVKQHVKVAEEAVSGWEKAEAEALALKHQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEESEQKLQDVVFAKTKQWEMMKAELEAKLASFEHELIRAGAENDALSRSLEEREHLMMKVGGEKEQAESQIEVLKGTIQSGEKEISSLKYELHVLSKEFEIRNEEKNMSVRSADVATKQHVEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKLEVDSWGRDHADNRLRRSPSRSSNFHHPLSPSPDNSLENLQHMQKENEFLTARLLSMEDETKMLKEALSKRNNELQVSRNTCAKTAGKLRSMDVHMVSARQYKNPTNSNLDVHHDGALSLNGSNPPSLTSMSEDGVDDATSCAESWANALISELSHIKKDNGGKGSLTENSNQMVLMDDFLEMERLACLSPEGKECGSFIDKKKATKVHTTLTTITKRESDRDSWPSSQFPDTPSSSEHLPENSPLSKLHSRISSLLGSHSPQNNVGKVLEGIRNILRDIKEEAESVSAKKNQPDNMNGLADNGSLTKQSKNLRDVDHGLRHAILEILDFFQPFKRQLSEVQGKSSHQNTILEKIEKFSTIADKVVLNENALAEMVIALAEILAGNSAIKLTLPRDSITETESNNLDYVDKVTLLENKVHHEPIKDSLADICSLAPHSSSDPDFEGSRDAFVVKTTVQMCSMEEYEQLKSEKRKLEMELAKCNETIECRKLEFSLMEKNMEELTSKLSACEKSNSLTETQLKCMAESYKSLELHKLKLESEIEVMHRQINTLRTELADERQNHQDDLAKYRDLKEKIERYENEKNTSSVDEDAGVKIKQDKEIAAAAEKLAECQETILLLGRQLQTLRPPPAEPLGSVLNQQPVGVFSEDQARTTQGLHFKKLSGQFDTDHTFSSAPGTGNVSPLNGYRTHKSPSNLDGNTYFASPNNSKRPKHRSRSSSSSSFTNQFTEKQSRGFSRLFSKSKSEY >ORUFI10G03160.2 pep chromosome:OR_W1943:10:3644251:3652779:1 gene:ORUFI10G03160 transcript:ORUFI10G03160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKSSAGDVLKSSGQAEQDEQVPKFVQISPERYTNLTESEEQVKILCDKVNVLNEKLSAAQSDITTKDSLVKQHVKVAEEAVSGWEKAEAEALALKHQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEESEQKLQDVVFAKTKQWEMMKAELEAKLASFEHELIRAGAENDALSRSLEEREHLMMKVGGEKEQAESQIEVLKGTIQSGEKEISSLKYELHVLSKEFEIRNEEKNMSVRSADVATKQHVEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKLEVDSWGRDHADNRLRRSPSRSSNFHHPLSPSPDNSLENLQHMQKENEFLTARLLSMEDETKMLKEALSKRNNELQVSRNTCAKTAGKLRSMDVHMVSARQYKNPTNSNLDVHHDGALSLNGSNPPSLTSMSEDGVDDATSCAESWANALISELSHIKKDNGGKGSLTENSNQMVLMDDFLEMERLACLSPEGKECGSFIDKKKATKVHTTLTTITKRESDRDSWPSSQFPDTPSSSEHLPENSPLSKLHSRISSLLGSHSPQNNVGKVLEGIRNILRDIKEEAESVSAKKNQPDNMNGLADNGSLTKQSKNLRDVDHGLRHAILEILDFFQPFKRQLSEVQGKSSHQNTILEKIEKFSTIADKVVLNENALAEMVIALAEILAGNSAIKLTLPRDSITETESNNLDYVDKVTLLENKVHHEPIKDSLADICSLAPHSSSDPDFEGSRDAFVVKTTVQMCSMEEYEQLKSEKRKLEMELAKCNETIECRKLEFSLMEKNMEELTSKLSACEKSNSLTETQLKCMAESYKSLELHKLKLESEIEVMHRQINTLRTELADERQNHQDDLAKYRDLKEKIERYENEKNTSSVDEDAGVKIKQDKEIAAAAEKLAECQETILLLGRQLQTLRPPPAEPLGSVLNQQPVGVFSEDQARTTQGLHFKKLSGQFDTDHTFSSAPGTGNVSPLNGYRTHKSPSNLDGNTYFASPNNSKRPKHRSRSSSSSSFTNQFTEKQSRGFSRLFSKSKSEY >ORUFI10G03160.3 pep chromosome:OR_W1943:10:3644725:3646747:1 gene:ORUFI10G03160 transcript:ORUFI10G03160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGEERRGRRKGGRQVDKKGKARGGVKVVEESEGIQSNPVLIDPSRVRACACVVSSSSPDWEQSRVEQECARSKAGGGATDTDRIPHSAAAAHARSGWFEASSSSLAKRY >ORUFI10G03170.1 pep chromosome:OR_W1943:10:3654868:3655072:1 gene:ORUFI10G03170 transcript:ORUFI10G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEVPRGAVDAAGKARQLRDFSTRIGSSRVARSSPQALQDLVSCVAARTPQPMRRGGPRRG >ORUFI10G03180.1 pep chromosome:OR_W1943:10:3661048:3662687:-1 gene:ORUFI10G03180 transcript:ORUFI10G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVVVSASGSGSGGGGGGGGGGAGGGGGGGPCGACKFLRRKCVQGCIFAPYFDSEAGAAHFAAVHKVFGASNVSKLLQQIPAHRRLDAVVTICYEAQARLRDPVYGCVAHIFHLQHQVAGLQSELNYLQGHLSTMELPSPPPYVAGPTLAPPQPQPLMPMTAAANFNFSDLPSSSAANIPVTADLSTLFDPLPAAQPQWGLYQQQQHHHQQLHHHPYDRMGDGSSSSRGGDDDGSDGGDLQALARELLDRHGRSSSSSKLEPPPHTQ >ORUFI10G03190.1 pep chromosome:OR_W1943:10:3673750:3674388:-1 gene:ORUFI10G03190 transcript:ORUFI10G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGRNHNGAPSLAWERWHGTQQAYELDETMAGGAPPAREVADLELPQPDPVPPRLDLTSPSRIGPGFLVCTVEGGGQRRAWMLTVPNCSDMGDDSRDGGTVSYRRRQCCRMGDTAKAGLG >ORUFI10G03200.1 pep chromosome:OR_W1943:10:3693152:3697089:1 gene:ORUFI10G03200 transcript:ORUFI10G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGIIILKLGLALATDTSRVGRNWLCHEASALARIFSQIRDMKEELESMQSFLQGAERFKDTDNTTANFIKKIRCIAFEIEDVVDEFTSKMEVKQGGLASKIKQRICHIKTWHRLAFKFQDIKLKLENVDRRKVRYDMTGLVKNAEQSDANCRYTDHTSYFPTEEDLVGIDDNKKLLMNWLRCDSQLQSVITSVCGMGGVGKTTLVAHVYNNVKVDFDSAAWITVSKAYQVEELLRQIIKGFNSNDLKSELRVDIVDMEKRTLVEIIRDYLKRKRFLLVLDDVWGVDMWFKIREAFPANSIGRFVITSRVHDIALIATGNHKIELKPLEAHHSWEICPLDLQNLAQRFVDKCNGLPIAIACIGRLLSCKSPCYSEWENLYKELELQLSNNAILDVNIVLKLSLDDLPYILKNCFLHCTIFPEDYLIKRKRLIRHWVTAGFIAVTEHKTMEDVAEGYLYELVNRSLLQVVERNESGRVRSCRMHDIIRILALTKSNEESFCSVYDGSRTTSKQNTRRLSIQSSDIEKFTVSSEVHLRAIYAFNELVTSDSLKFFLKSFNLLSTLDLQGTQIRKLPKELFKLFNLHFLCLRDTFVEDIPETVGRLQKLEVLDAFNARLVSLPQSIANLHKLRYLYVATDPRKGTKGVVPWIGIQVPNGIRNLKSLQALQLVEANSETLCHLGALTELRTFAITQVRREQCSDLCNAIMNMNHLASLSIMAINETETLELDGLRLPPSLSKLELGGKLDKESMPRIVSSFSDLGNLTLLTLALSKLDENSFSCLLLLNGLRGIWLDKAYEGKKLHFNAMSLPSLRLLAISDAPELNDVVIEQSALQNLIRLTLIDCPELKTLPDGIEHLITLEELYMRGASKELTKKLKQKEDSNYSNTYLMKINHIRRL >ORUFI10G03200.2 pep chromosome:OR_W1943:10:3693152:3697089:1 gene:ORUFI10G03200 transcript:ORUFI10G03200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGIIILKLGLALATDTSRVGRNWLCHEASALARIFSQIRDMKEELESMQSFLQGAERFKDTDNTTANFIKKIRCIAFEIEDVVDEFTSKMEVKQGGLASKIKQRICHIKTWHRLAFKFQDIKLKLENVDRRKVRYDMTGLVKNAEQSDANCRYTDHTSYFPTEEDLVGIDDNKKLLMNWLRCDSQLQSVITSVCGMGGVGKTTLVAHVYNNVKVDFDSAAWITVSKAYQVEELLRQIIKGFNSNDLKSELRVDIVDMEKRTLVEIIRDYLKRKRFLLVLDDVWGVDMWFKIREAFPANSIGRLLSCKSPCYSEWENLYKELELQLSNNAILDVNIVLKLSLDDLPYILKNCFLHCTIFPEDYLIKRKRLIRHWVTAGFIAVTEHKTMEDVAEGYLYELVNRSLLQVVERNESGRVRSCRMHDIIRILALTKSNEESFCSVYDGSRTTSKQNTRRLSIQSSDIEKFTVSSEVHLRAIYAFNELVTSDSLKFFLKSFNLLSTLDLQGTQIRKLPKELFKLFNLHFLCLRDTFVEDIPETVGRLQKLEVLDAFNARLVSLPQSIANLHKLRYLYVATDPRKGTKGVVPWIGIQVPNGIRNLKSLQALQLVEANSETLCHLGALTELRTFAITQVRREQCSDLCNAIMNMNHLASLSIMAINETETLELDGLRLPPSLSKLELGGKLDKESMPRIVSSFSDLGNLTLLTLALSKLDENSFSCLLLLNGLRGIWLDKAYEGKKLHFNAMSLPSLRLLAISDAPELNDVVIEQSALQNLIRLTLIDCPELKTLPDGIEHLITLEELYMRGASKELTKKLKQKEDSNYSNTYLMKINHIRRL >ORUFI10G03210.1 pep chromosome:OR_W1943:10:3701194:3704097:-1 gene:ORUFI10G03210 transcript:ORUFI10G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPSVSLLFPSSLPSTRAAPPVSCCFLAAASSPFCIGECLGWRMGHFLIGWCLNFGQHAGTTLDIPRISGHQELYHSRQSVNSCSCCMKAVQCTYTHHLDKVLEEAAATFHPHVECPKYPGFCLTRQKNEYPFIEVFYNPEQAASPGKAVDPNVTKYSVKVLPFNYDQSVYGFREYFKKHGFKYFETN >ORUFI10G03210.2 pep chromosome:OR_W1943:10:3701192:3704218:-1 gene:ORUFI10G03210 transcript:ORUFI10G03210.2 gene_biotype:protein_coding transcript_biotype:protein_coding LKSVAEGAAGCFPASKAHRRQIRRERRQPPSPRELRETISDVRALRQSPLSLVAPVHASGAACILLLPRCRILARDHPYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTHHLDKVLEEAAATFHPHVECPKYPGFCLTRQKNEYPFIEVFYNPEQAASPGKAVDPNVTKYSVKVLPFNYDQSVYGFREYFKKHGFKYFETN >ORUFI10G03220.1 pep chromosome:OR_W1943:10:3709781:3712468:-1 gene:ORUFI10G03220 transcript:ORUFI10G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGVGSWRGRWCGGGSNRSSGCDGGSRRRLREMVLVEVPAGSAACLSDDDDGARAWLLGNLVQKPLSVIPVNLANSGSEQRSKKLRKEAEMSKSGRKRLCKVLNCRRLSDKACTHAAALAGGHAEATELPSNNDVVAAPAAFFSGAGGYGPPRRRRLAN >ORUFI10G03230.1 pep chromosome:OR_W1943:10:3714073:3718458:-1 gene:ORUFI10G03230 transcript:ORUFI10G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRQENIAISTSTTPLIVLVLQLLLIASADSSRSATAGESVTMMAGCPGNCGGVGIPYPFGIGAGCFRKGFEIICHDDDAAPFLAGSGNSLIPVSDLSFDPPEARVMLPIGWQCFNSSDKVDGYRGPRVDFNRDGVYRVSHTRSHLVVLGCNTLAYVGSQHRPGVVDSDYDHAEYTGCLCYCNDSSSAVSGDCDGVGCCQVDIPPDITDNTVSFDGTYSHERNLDYSPCDYAFLVEKDNYTFSTADLRMNKNRTMPAGWAIRDNLTCSQARKTAAQVGGYACVSDNSDCHDSTNGPGYVCKCNNGYDGNPYLPNGCIGVVGGLFIAAVFIFIALLRREKQKMKEFFKKNGGPILEKVNNIKLYKKEDLKPILKNANVIGKGGFGEVYKGHIGDSNQLVAVKKPIHVSLEKRDQFANEVIIQSRVIHKNIVKLIGCCLEVDIPILVYEFVSKGSLEDILHGSNRVPLNLDQRLHIAAESAEGLAYMHSKTSTTILHGDVKPANILLNDDLLPKISDFGISRLLAMDHDHTMSIIGDTSYMDPVYCQTGLLTDKSDVYSFGVVLLELITRKKASHSDNNGLRQNFIDAYTSGKTVTELVDEEIATTNDVDILVNLAGMVVQCLNREVDQRPEMTDIAERLHNMAKRVHSN >ORUFI10G03240.1 pep chromosome:OR_W1943:10:3732471:3732790:1 gene:ORUFI10G03240 transcript:ORUFI10G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFNLPANFIDNLDKYVTQALGTQTSYLHTRSLAAHAQRTHREYSAPSALSVPVGASINTGDANFEIKMGLITMVHSSPFCGKSNEDANPI >ORUFI10G03250.1 pep chromosome:OR_W1943:10:3739378:3745408:-1 gene:ORUFI10G03250 transcript:ORUFI10G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTPLIIALVLQQLLLLMAPSAVVGETTMAGCPGNCGGVGIPYPFGIGAGCFRRGFEIICNDDAAPFLAGNGNSLIPVSDLSFDPPEARVTLPIGWQCFNSSDKVDVHRDPNVDFNRDGMYRISHTRNHLVVLGCNTLGYVGSQRRSGVVGSDYDHAEYTGCLCYCNDSSSAVSGDCDGVGCCQVDIPPDISDNMVSFDYYPHERNLNYSPCDYAFLVEKTNYTFSTADLRMDKSRTMPVTLDWAIRDNLTCSQARKTAAQVGGYACVSDNSDCHDSTNGPGYVCKCNKGYEGNPYIPNDIDECQLPNTCYGRCRNKPGSFECWCPKGHSSADPFKERCTPNFPLPAQIVVGVLGGLFIIALLVFIALLRREKRKTKEFFEKNGGPILEKVNNIKLFKKEDLKPILKNANVIGKGGFGEVYKGHIGNNNQLVAVKKPINVNLAKKDQFANEVIIQSRVIHKNIVKLIGCCLEVDIPILVYEFVSKGSLEDVLHGSNRLPLNLDKRLQIAAESAEGLAYMHSKTSTTILHGDVKPANILLNDDLLPKISDFGISRLLAIDNDHTMSVIGDMSYMDPVYFQTGLLTDKSDVYSFGVVLLELITRKKASHSDNNSLLRNFLDAYTRGKTVTEFVDEEIAAANDHELLVNLAGMIAQCLNLEVDQRPEMTDIAERLHYMAKRARSN >ORUFI10G03260.1 pep chromosome:OR_W1943:10:3771346:3774090:1 gene:ORUFI10G03260 transcript:ORUFI10G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVQALIKIGSAFGETALPLLQDFIKKEAALLQELPELAKCIRGELDMISSFLLQVRSKIHSTDNEVLKRWVVRVRQVAYHVEDIIDEYTHNVALLQDQSYLIRKMREAYNVTTFHAIATGLKDVSNEIKQLSEMKTKYAEYFGELLSNTSANTQAHLSRDGSLHTVKEGIVGMTVEMDLLNSWLAPNDLSRVVLSVWGLFGLGKTTLVRKVYQSMKEQKSFDCYSWIEVPHTYNNDVILRQLIRDLSEDQSQVPGSLESMYGSKLVDILSGVLTNKRYLIVLDNVWDAAAFHGISSFLMDSGNASRIIITTRTSDVASLAQETYKLKLKPLEDDDAMELFCRRAFHNSNKVCPPHLEDLCKQIVRKCGGLPSAIYAIGNVLAVREKTEVAWKIMNDQFQCMLEDNPGLGEVRSALSVSILFLPRHLKNCFLYCSLFPQNYQLSRESLVKLWTAEGFITKRGSSTLEEVADEYLMELIRGSLLQLLETDEIGRVAFCKMHDIVRDLALSYSRKEMFGLSDGDLQTDQKEDVRRLSISKCNKNVGSILEFPRLRTFITTNGGAESDLLHSLIQKSKYLAVLELQDSPIDIIPANIGELFNLHYLGLRRTNVKSLPKSIEKLTNLETLDLKYTGVDVLPKEICKLKKLRHLFAEKLIDRNRQVFRYFKGMQLPHGFSHMNEIQTLETVEATKDSIELLGKLTALRTLWVENVHRADCTKLFDSLSEMENLSSLLVSASDEYEVLNFDAFSPSEMKLQKLIIRGCLENDTFDKLMFKNLGSHMKYLSLSSSRLGNDPFPLLAKNMKNLIYLSIRKWCCAEEVALREGWFPRLTTLFLGDMKQVYTVVIEPSAVESLEALYLVSLTAMTAVPTGLELVGSLRKLVVWGQSDDFKLEWKRENWETKLRHVPEIRI >ORUFI10G03270.1 pep chromosome:OR_W1943:10:3784137:3784839:1 gene:ORUFI10G03270 transcript:ORUFI10G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLKKDARVLLLTAIAVMAVILSPCNAEDKCYTVYRCTLPACNDYYFKLGVKNPQVTCKLSFPPSDYYDTCCCGTWDDKSGGARRLLSH >ORUFI10G03280.1 pep chromosome:OR_W1943:10:3787350:3804127:1 gene:ORUFI10G03280 transcript:ORUFI10G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWHGQRSNVLARMRASRGGGDPDAAEMDKRAAGQCSYAEYCAMFPRIEMGWDAARWDHKIGEDEAFAGSLVSFDKTP >ORUFI10G03290.1 pep chromosome:OR_W1943:10:3810343:3812826:-1 gene:ORUFI10G03290 transcript:ORUFI10G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPQAMAPLFTVCVSEAEVIDLETMPSVGVPGGEVIDLESAECRSRATMAKSMEIPTWVRPSMALPDAAGCVPAGRRRVRCGSP >ORUFI10G03300.1 pep chromosome:OR_W1943:10:3828125:3830887:1 gene:ORUFI10G03300 transcript:ORUFI10G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVALLILKLGLALGRETSILGAKKLFHEATALSRLFQGIREVKEELEGMQSFLRGAERFKDTDETTANFIKKIRDLAFEIEDIVDEFTYMLEDRSHGGLASQIVQSIRHIKAWRHLASKLECIKLKIESADRRKVRYNMRGISRVAGTIDDCSISSGNFAREEDLVGIGKNGELLTQWLKNNLEQQRSIITTVWGMGGVGKTTLVAYVYYAVKTEFDAAGWVTVSKSYQIEDLLKQIIRGYINNDPQGDLYNHIDFSTMTITNLFEHIRNYLHGKRYVLILDDVWAVDVWFKIRAAFPSDSTGRFVITSRIHEVALLATGNCIIQLEPLGPQHSWELFCKEAFWKNEEKVCPPELEIVAQKLLDRCSGLPIAIACLGRLLSFKEPSNDVWENLYKDVQSQLTNNVILDINVVLKVSLEELPHDLKNCFLHCTMFPEDYLMPRKRLVRHWLTAGFIRETNNKTMEDVANDYLHKLINRSLLQVVERNRNGEVNTCRMHDIIRILGLAKSEEECFCRVYDGSRAFLAEGIRRLSIQSSNVNQLSQSGAPHLRHLYIFGSGLSIDSLTPFLKSFSLLSSLDLQGVNMKSLPHVVFKLYNLRFLGLRDTDIAIIPRSIGRLRHLEVLDARNTKLMTLPKDIVQLQKLRYLNVDTIPEEADRKVVFFGGIRVPTGIEQLTRLQTLQLVEASTETLCHIGSLTQLRAFAVNKVRNEHCVHLCNAIMKMSHLVQLKIKGIDEKEILQLEELHIPPTLSTLSLGGQLSGKSLPHLILSHKSSSNITRLSLTFSKLSEDSFSCLLNLDSLYVLHLLKAWEGNRLYFHATSFPKLKRLLIWDAPYLNEIEIEQGAMPRLVKLVLRDLPKLKTLPHGIEHLRVLEELELRDTSEELIEKLQQKGQHMACEAHKSIDHIKSISIHGTR >ORUFI10G03310.1 pep chromosome:OR_W1943:10:3838972:3839714:-1 gene:ORUFI10G03310 transcript:ORUFI10G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCGDPCKTPPPLCDFEQWIDTEIKPQNKERLEYMRQWDAERKEKIEQRRKEEAAEKEHKAEAERRRVAAYREERERKLERARRAKAAMEENLDAQRKGKWPRCTQ >ORUFI10G03320.1 pep chromosome:OR_W1943:10:3853392:3856004:1 gene:ORUFI10G03320 transcript:ORUFI10G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPTPQRPSVLYYLRTNAAAAAGSSTFPRTPPVRRKASACSATITVALADVQGTVKLSDLPGHIILRIMSFACMRAVLSNLRRSVPCMNIDFDVFNVTVTKNQAGYQARFERFVNQLLELPNLSDSMVTFCLGYSLLGGEDCKAYLADAHRWIRQTDTLWRIGQCFLCTGA >ORUFI10G03330.1 pep chromosome:OR_W1943:10:3856052:3857742:1 gene:ORUFI10G03330 transcript:ORUFI10G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLDKGFFKRIKTGCPALEDLLLHDCIIMDDEISFSKTLQILTSHEEVPPGLQDFYFYSLVSILKNIKGELKERSFTCENLKIVEVTCLEEDPLVNRQENFFFNSGITSLKINITFWNYN >ORUFI10G03340.1 pep chromosome:OR_W1943:10:3864888:3865435:1 gene:ORUFI10G03340 transcript:ORUFI10G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRKYYGSIHFVPSLGYKAHGYAIKQVDNCTVKCQKQNGNNVPWAAESIMMAPEAKVIEFFALTMVSPGMFCPCTLYFKHVFLVGKKIHISFYGI >ORUFI10G03350.1 pep chromosome:OR_W1943:10:3872834:3876081:1 gene:ORUFI10G03350 transcript:ORUFI10G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLRLPRQHSHRPVFHPFRRPSSPHLRRRVAVPKPQPLAACHTSTSRCGRTLPAAYNGTTSLEAPSSPHPPGPEPLCHVLPYPRYKPRLAEQPGRPVATNNQKVHLQSTPSPLRLQGSGVERRSQGPGLLRDLREDRGILRSRSLVKKGSKRPNEDLCAARLTYTAAWSSGNVTGYFNGQCRKLYTTIVCNDRQMMIERRQSSQRSRTLLPTCKNSPSEIVGYSSVKPCVAYLVYHFVQGHGPGSAHAS >ORUFI10G03360.1 pep chromosome:OR_W1943:10:3878684:3879784:1 gene:ORUFI10G03360 transcript:ORUFI10G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPTLGKAVCCPATVVSKPNKKIYSAKESKLSKFFSKVQIRQSQRKTEFCCFTVNIRAVLFKTRF >ORUFI10G03370.1 pep chromosome:OR_W1943:10:3886287:3887633:-1 gene:ORUFI10G03370 transcript:ORUFI10G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRARPHLLAVTPYTGGRGRNSRDNDNADLTALPYDVLFEILPRVFSDADDVARFASTCPRWCSFVATHATTVSRLLPQPARLPTRFLPSLALGCFHQENDVARCAWGRRRLAASSSPQPRFFPAAAAASASRTFFLGPLADGGDPMFDYAQPVASRNGRVVFELRRNARSDGLTLVVSNPMTGYTAVLPSLSGVDCPGYYACTILTDDDLDTPASRSTTDHHLFFRLLIIYNRPGFTAMRCYSSDAGSWGPERRKPGRKIRDHRLRRLGHAVVVGGVAYWPFHWEAFGVRLSDSSMEVCSVPYTYAGYWPDLRILGVSPDGRELRYINAGFCSPASLVLSSLKTQFDNDGDMHDVHVEVPDLIRTTTTTPIKLRWFGEKSGTVIFTIGEAGGGVFAVNMAEGTVNKLAEGTTAEKLADGGGYNACRNIYGYEMDRAALFASLAD >ORUFI10G03380.1 pep chromosome:OR_W1943:10:3902495:3902838:1 gene:ORUFI10G03380 transcript:ORUFI10G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCCRVVEQHAESIHHILASCSESRQLWWLILSAIWPVIVHPFGKPFLLCLGGVKAERGSARLITRERNNMVFNNVLARNCQPTAEEATLWHSTGIVV >ORUFI10G03390.1 pep chromosome:OR_W1943:10:3905970:3906488:1 gene:ORUFI10G03390 transcript:ORUFI10G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMSMPGMHACCAVKSPTFCALHEHRLRRVQCDRYREPSRVSGAVWEICQPAAGTPQLADSMVSFCLGYSLLAAKIAKLTRQTRTGGSDRRTRSGESGIALYALELDHSVFTSC >ORUFI10G03400.1 pep chromosome:OR_W1943:10:3906509:3906905:1 gene:ORUFI10G03400 transcript:ORUFI10G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLDKGFFKRIKTGCPALEDLLLHDCIIMDDEISFSKTLQILTSHEAVPPGLQGFYFYSLVLPVLKGHEISSNTVTASVVLDGSPNYDIHASDFCHYSWSLSGVKHLEFDYLAGKVYN >ORUFI10G03410.1 pep chromosome:OR_W1943:10:3928571:3929962:1 gene:ORUFI10G03410 transcript:ORUFI10G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWRGKEKAERRPGKAGRRRLVRWLGAAAVGSAACHQVAAGQEEAGVTARDTAGWRVLQRCGLGRGGAARLVHGSACAGHGARPGGGRAWRLPGVGSAWATPVKTGAGRAAAAARVGLGLEACAAGKIKGVGGDGFGTSVTWLQSGKNGVVNRLRNFTVPLALAAA >ORUFI10G03420.1 pep chromosome:OR_W1943:10:3942569:3945683:1 gene:ORUFI10G03420 transcript:ORUFI10G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASTVKAPWGKVVLETLEWLVRKVGDAGGGGQGILWEGSLGVGSVDVVRTIALQSHGGRVIKTMAEVLLSGFVMSILRKAALFAIEYGMNEIKSDRNVPKELGKLQSSLQSIRAVLQEAERKQSISSALKEWLHNLKDAVYDIDDILDNVSTEALKRQVDKGLATQAKSANKKDFGLTEWEVADQCSEEPERESYSFVYQPDIIGRDHVRDEIVQDILRAVEGDDDLSVLPLVGLGGMGKTALAKMVYLDQQVRERFSNMMWTCITNKFNLKRIVQDIIESATGESCKHLNMEHLQSKLRGILQNGNYFLVLDDLWTDNVNEWEELRHLLSSGARGSVIMVTTRKYTVASMVGTSEPYKMGALPFEECMKIFTRIAFRHGEEKNYPQLLKIGECIVKKCTGVPLAIKSLASLLFRMREEAKWLRVKEDDLWEIEQGDDDILPKLKLSYNALPPALKPCLSYLSIFPKGYEYYRRCIIMDDKFSAEQEFPKHIMTARKARTFASSYNHGTVSKQFLEVLFSEFLLLRVLIIAEVSIEELPDSIGNLKHLRYLDLTWNRTLKFLPNSLCKLINLQTLDLYRSDHLVKLPRDVKKLISLKYLSLTCKLKHLPETGLRGWASLTSLQLHSCSELTSLTEGIGYLTSLEMLWISDCPKLPSLPASMKNLSALREMLIDNCPELDLMHPEEAMDGLQSLRSLQIIGLPKLECLPETLSSASASLQYFLIEQCPLLRELPNFMQHLCNDTDHQRVFIKDCPAINSRWVKEDYHLSSCRSNKACSRKQPRKEY >ORUFI10G03430.1 pep chromosome:OR_W1943:10:3972497:3974578:-1 gene:ORUFI10G03430 transcript:ORUFI10G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYGHVLVLLLLPFVSPAGSCTEQEMRSLLQFLAGLSQDIGLSASWHNSTDCCSWEGITCSREGTVAEVSLASRSLQGHISPSLGDLTSLVCLNLSHNSLSGGLPLELVSSSSIVVLDVSFNRLTGGLGELPSSTPHRPLQVLNISSNLFTGLFPSNTWEMMNNLITLNASNNSFTGPIPTSFCASAPSFAVLELSYNQFSGRIPLGLGNCSMLTLLSAGHNNLIGALPDDIFDITSLKHLWFPNNQLEGSIIGITKLKNLVTIDLGENRLNGSIPNSIGQLKTLEKLNLEYNNMFGELPLTLGNCTKLMTMNLGSNNLSGDLDNVNFSTLGNLRSLDLIWNNFTGTVPESIYSCRNLTALRLSYNRFHGQLSEKIGNLKYLTFLSLVGISLRNITNALQILQNCRTLTTLFIGYNFIHETMPKDDEIYGFENLRVFSLNDCSLTGKIPHWLSKLTNLEMLFLYNNKLNGPVPYWVSSLNFLFHIDMSNNSLSGEIPLALVEMPMLQTGNVATKTFELPISRSHSLQYRITSSFPKVLNLGINNFTGMIPNEIGHLKALLLLNLSSNRLSGKIPDSIYNLTNLQVLDLSRNNLNGTIPDALNELHFLSVFNISNNDLEGSVPNVGQLSTFPSNSFEGNPRLCGPMLTQHCDATETPFVSTKHTNSMIVFMISFGTFFGVGVLYDQIVLSRFF >ORUFI10G03440.1 pep chromosome:OR_W1943:10:3974235:3975556:1 gene:ORUFI10G03440 transcript:ORUFI10G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDDTNSSGKPPDSELWDKLRHTKLKDVSRYTNANRAVHRRVIKPVMEFVQMDTAGVARVTL >ORUFI10G03450.1 pep chromosome:OR_W1943:10:3977646:3979634:-1 gene:ORUFI10G03450 transcript:ORUFI10G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGATTAAIGDNRRGTQHSEGPATILAIGTANPENIVCQDNFADYYFGLTKSEHLTELKDKMKRICHKSGIEKRYIHLDAELISAHPEIIDKHSPSLETRVDIVATEVPKLAESAARKAIAEWGRPATDITHLIFSTYSGCRAPSADLQLASLLKLRPSVSRTILSLHGCSGGGRALQLAKEIAENNRGARVLVACSELTLICFSTPDESKIIGHGLFGDGAGAVIVGADPSADGERPLFEMVAASQTMIPGTEHALGMQATSSGIDFHLSIQVPTLIKDNIHQCLLDAFRSIGNTDPNWNDLFWAVHPGGRAILDNIEDKLQLQPWKLAASRQVLSEYGNMSGATIAFVLDELRRRREKEQDMQQQPEWGVLLAFGPGITIESIVLRNPLSRGLKEN >ORUFI10G03460.1 pep chromosome:OR_W1943:10:3986336:3988576:1 gene:ORUFI10G03460 transcript:ORUFI10G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGATTAAIVDSRRCTQHSEGPATVLAIGTANPENIILQDDFADYYFGLTKSEQLTELKDKMKRICHKSGIEKRYIHLNAEIIRAHPEIIDKHVPSLETRVDIVATEVPKLAESAARKAIAEWGRPATDITHLIFSTYSGCRAPSADLQLASLLGLRPSVSRTILSLHGCSGGGRALQLAKEIAENNRGARVLVACSELTLICFSTPDESKIVGHGLFGDGAGAVIVGADPSADGEHPLFEMVAASQTMIPGTEHALGMQATSSGIDFHLSIQVPTLIKDNIHQCLLDVFRSVGNTDPNWNDLFWAVHPGGRAILDNIEDKLQLQPWKLAASRQVLSEYGNMSGATIAFVLDELRRHREKEEDMQQQPEWGVLLAFGPGVTIETIVLRNPLSRGLKEN >ORUFI10G03470.1 pep chromosome:OR_W1943:10:4002635:4005041:1 gene:ORUFI10G03470 transcript:ORUFI10G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAQPRLDPDHPKWIWPGGGRPSRMRRDDASSLEAASGGKEGYSGGVGGADVGAVEGSGCHRQDRVRGGQVARLGERRAEAVRLCRHGTARPPGYGGRGQARRGCKLARQCGYGSDPTRMVMAQPSGVVAGRGAVEQHGPAVRRRGGGAVCAILAIQRAVWCGMARWPDVARRPGCSGRSLPCDDDDGKGVFDLVFSTDGRLIASVSNERGLSLVQPVFTSKTARGHFGTFNALSFSSSARNNKIMEGVSSS >ORUFI10G03480.1 pep chromosome:OR_W1943:10:4010496:4011926:1 gene:ORUFI10G03480 transcript:ORUFI10G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTFVLFPSLGVGHLNPMVELAKHLHRQGLGVVVAVIDPRDDDATSADATARLAAANPSVTFRILPAPATASPDPGPHRVRRSLDTLRLANPVLLEFLRSLPAAVDALLLDMFCVDALDVAAELAIPAYFFFPSPASALAVFLHLPHYYANGTSFREMGKAALLRFPGIPPIRTVDMMATMQDKESETTKIRLYQFKRMMEGKGVLVNSFDWLEPKALKALAAGVCVPDKPTPSVYCVGPLVDTGNKVGSGAERRHACLVWLDAQPRRSVVFLSFGSQGALPAAQLKEIARGLESSGHRFLWVVRSPPEEQATSPEPDLERLLPAGFLERTKGTGMVAKNWAPQAEVVQHEAVGVFVTHCGWNSTLEAIMSALPMICWPLYAEQAMNKVIMVEEMKIAVPLDGYEEGGLVKAEEVEAKVRLVMETEEGRKLREKLVETRDMALDAVKEGGSSEVAFDEFMRDLEKSSLENGVCS >ORUFI10G03490.1 pep chromosome:OR_W1943:10:4013672:4014094:-1 gene:ORUFI10G03490 transcript:ORUFI10G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRGARGGNDGEAAWPGMLHYCRNAEVAVAVVLGACSQATVARSRSDAVTARNGVGLGGMEMALKGDDGMDRDDRDSMAMTAFVFNTADREDEGEEDEMEHPASVSSQWSGE >ORUFI10G03500.1 pep chromosome:OR_W1943:10:4015252:4016497:1 gene:ORUFI10G03500 transcript:ORUFI10G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAWAVEVKALLRPHCRTLGRTLTSKEANSNNQRLLHVGESDRTSKSYICTSCSMWLAAEDRVESGGDEGMASYDVKAFMRVNLLLLNEQMWEAESK >ORUFI10G03510.1 pep chromosome:OR_W1943:10:4018536:4019582:-1 gene:ORUFI10G03510 transcript:ORUFI10G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALPHLHDSEPAALVGGFGQRLCPRSCHGARVAEAAVDSKLHDVALLQRDAAAVEEDLAARRRSMRRFEWGSVKTNSAAARTMPRRAMWRATWGGGGIDENSSFYVGGVNAHLSPNSLHFADRCPRSSWSFSTIKASRRLIKILICVFVKPMPSVEIMKKLAIPSLETI >ORUFI10G03520.1 pep chromosome:OR_W1943:10:4021692:4022583:1 gene:ORUFI10G03520 transcript:ORUFI10G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGHSRCRGVGFPVAKKPSNAAAAPSHPLAAATRYPRRLIGCALGRNPHLQVRRNQWLLDSIIFFIPQLLRLYT >ORUFI10G03520.2 pep chromosome:OR_W1943:10:4021693:4025611:1 gene:ORUFI10G03520 transcript:ORUFI10G03520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVIGSLILKLGDALGNESCQLGSSLLVYEASALKGLFGEIRMIKEELESMQAFFCTAERFKDTDETTVAFVKQIRGLAFDIEDVIDEFTYKLGEDREGMFLLKAFRRIRQIKTWYRLANSLQDIKVSLKSAAERRCRYDLKGVRRERKLMRLGSLNQRSTESVHFKREADLVGIAENKQLLMDWLKDEEQQHMIITVWGMGGVGKTTLVAHVYSAIKTDFDTCAWITVSNSYEADDLLKQIVAEFRKNDRKKEFPKDVDVTDYRSLVETIRLYLEKKRYVLVLDDVWSVNVWFDIKDAFSGGKHGRIIFTSRIYEVALLAPESQKINLQPLQNHYAWDLFCKEAFWKSENRSCPVELHPWAQRFVDKCKGLPIAIVCIGRLLSFKSANLLEWENVYRNLEMQFTNNYILDMNIILKVSLEDLPHNMKNCFLYCSMFPENYVMQRKWLVRLWIAEGFIEESEHKTLEEVAEDYLTELINRCLLVEVKRNESGYIDDFQMHDIFRVLALSKAREENFCFVLDYTKTHLIGKARRLSIQRGDISQIAENVPHLRSLLVFHNSLSFNSLRLFARSVKLLSVLNLQDSSIESLPNDVFDLFNLRFLGLRRTNIAYISRSIGRLQNLVVLDAWKSKIMNLPEEIIRLSKLTHLIVTVKPVITSMNFVPSVGIPAPTGLWSLGCLQTLLLMEASSEMVFYLGALVNLRSFRISKVQGRHCAKLFVAITNMFHLVRLGIHANDNQEVLQLEALKPSPLLQKLILQGALDKESLPQFFMSISKLKSLTILRLVWSKLDEEDFYYLEELQQLVKLQLYDAYNGKRLSFQATSFPKLRILKIWGAPHLSLIKIERGAMSSMVDLKLLLCPELKLLPRGIEHVTTLEEMTLDSTAEELVGRVRKKNEARISHVKRVYVGFIRNGELAAERIQ >ORUFI10G03530.1 pep chromosome:OR_W1943:10:4030540:4030860:-1 gene:ORUFI10G03530 transcript:ORUFI10G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVVPPGKPPRHRDRCLHCYTKFLAKTKGTATNAIVKKLLSLYDGLWINRSTNFFQRLQNLKDRLGEAMVVQNAETTLRPYCHYLAAFHRLWLLPRRYPTQMNS >ORUFI10G03540.1 pep chromosome:OR_W1943:10:4036602:4037203:-1 gene:ORUFI10G03540 transcript:ORUFI10G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAGHGELVLAHKGKANAYGSISHICRIRYKSLLAHIVVALMRWKISRSSARQAVMGDSGGETAVALHCPQASRLAGQAQLEASLQRLATRCRLGDLDARH >ORUFI10G03550.1 pep chromosome:OR_W1943:10:4038762:4043509:-1 gene:ORUFI10G03550 transcript:ORUFI10G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPKGKTTQTAFKWPWRGESQLSAHLLIDIPPEIELSDYRRLPSPGNESPSGLLHGEDFKEEVIPDLDIFFERLYEYFCAKGLRCIITKWIIEILNVTFMVCCIGFFFLFVDWPALGDLKCGVEALESGAKPCDLMKLIKYRPLDPFTFTKFITIGSMVILSTYGIINFVKFFVKLRSTLKVRDFYCNSLKVTDLEIQTISWPRVVEKVVLLQKSQRLCVVKDLTEHDIIMRIMRKQNYLIGMVNKGIIALPIPSWLPGVGPTVSSRMHGKKSYLMLPKALEWTLNWCIFQTMFDRKFCVRKDILTSPSLLKKRLVFMGIAMFLLSPCLVIFPLVYLFLRYAEEFYNHPSTASSRKWSNLSKWILREYNEVDHFFKHRLNNSSVNSLNYLKQFPTPLVSIIAKFISFVSGGLAGILLILGFLGESILEGHVFGRNLLWYTIVFGTIATVSRNVVVDELQVIDPEGAMSFVLQQTHYMPKRWRGKEGSELVRRDFESLFQYTITMLLEEMASIFITPYLLIFVVPKRVDDILRFISDFTVYVDGVGDVCSLSMFDLRRHGNRNYGSPHNAVKSMRSSQGKMEKSLLSFQSTYTSWEPNADGKKFICNLQKFKEKQIRQHTFQTTESSQLGLSCRGQTAVFHRLLPRNIYPGNGVIFNFDPLGLLDTDQRACPYILDWYYTHQHTNREAGSSSHLNEASPEQQEEIWPPLSKPLTEIEDEQIWDSDLYRRARSYLEASTSSAFFRQATTFKRHGREQNSTSHQWWAQASRQQADPRNSFQGPPQDSFLEPPDFRNHLEASHDSSHQSDCRLTSRRSTDPQDSFVEPPDFGDYMSCHSSSYHGDETSDGNSELDQSNNSWRSPHALSKTRYMGDDDLDLEQGPSFHFTDAPQKDSGSEGDGHGVANIYSSTPASLPVRIIPRSSDPV >ORUFI10G03560.1 pep chromosome:OR_W1943:10:4043544:4044338:-1 gene:ORUFI10G03560 transcript:ORUFI10G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQSFSDENTRSIDRSSSPSIPIPPSAFLPPPLLLLLLTPPPKQSPRGGLPDSDSGGLLLLFLRRPRVPPGLRFRCVLWHGR >ORUFI10G03570.1 pep chromosome:OR_W1943:10:4048241:4052110:-1 gene:ORUFI10G03570 transcript:ORUFI10G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEIIPDGGGGGAVLAAESVMLPASMVLVQLFSVVLVLLSKLALSTGMRPFALLAYRNLVGAVAVAPLAFIFERKNRKIPSIVEWCWISLNATFGVILSMGLYYYGLRSTSATYSVIFLNLIPIVTSIIAIIFGAEKLVFTDWPGKIKLFGIITCVGGTMVVSLYKGKLLHHPWPSHLLKFHTQKASGYAYHHNLLAGTLFLCGSCLSYAFWFIIQVRLASVFPYRYWATTLTCLSGSLQAFVIGILISPTKSAWTLKWDMQLLTVGVFNTGISFVLMSLAVKHRGPIYPSMFNSLSLIVMVIMDSVLLGTSIFLGSILGTAFIIVGLNAFLWGKGKELKQAVAQHTSHKQNTDHNEQVGDEIA >ORUFI10G03580.1 pep chromosome:OR_W1943:10:4054104:4054506:1 gene:ORUFI10G03580 transcript:ORUFI10G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDDAVRTAEHQVMRATADAHEEHGGGAAIDASCDHHHLSIHEEEVNMVAGAWIRPPQSLHEEEVVKMEVTLLADNAHLDAASSELSRGGGLDPASLELAQ >ORUFI10G03590.1 pep chromosome:OR_W1943:10:4067251:4082485:1 gene:ORUFI10G03590 transcript:ORUFI10G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAPTPSPSLPLWRTSPGGGDGAAVRGFLRSPFRTVLAALRGRRAAPRGDTAPPRPLHPAAAAATTEHAAASGFDSIGIDVVGAARGEKRLDDGDGGGVFLTWEDVWVTAVDSRGHAAAILNGVGGCARPGEVLAIMGPSGCGKTTLLDTLAGNPTRTLCSRLLNPRLRAPGSGSMRRKELHGGGGRSSTAAARHGGRSSMPSSIQGLGAAGLPNGLPMEDLPMQDLNESPPDPPSASRNLAPLLARLSVRSPPTTAATSALPSTAAAQQIWSSHVPPSLIRTPQPFLHRASPSSSSSTALDLNLKMRGQILINGRSQKLAFGTSAYVTQDNVLMTTLTVREAIYYSAQIQLPDTMSTAEKLARGDDTVREMGLTGALDIRIGGRSSKGISGGQQKRLSICLDILTCPRLLFLDEPTSGLDSAASFHVMSRITSLAAREGMTIVAVVHQPCSEVFELFHGLCLLASGSTIFFGPASTAAEFFASNGYPCPPMRNPSDHFLRTVNKDFDKESEEGLPCMPEEEAIDILVNSYKSSNTSEVANQEMRYDRAMIGRNRPGFVTKTLVLTRCSFVNMYRDIGYYWLRLAIYVCITVCLGTIFYHVGYGPDSIQARSHMLMFIATLLTFMAIGGFPSFVEDMKIFRKRLNGHYGVAAFVISNTLSSIPYLLLNAVVPGAIAYYLTGLQGKIEHFVYFALVLCACTMLVEALMMIVATIVPDFLMGIITGAGIQGIMMLTSGFFQIPNNLPKIVWKYPMYYISFHKYALQGFYKNEFSGLVFQSNLGGQETVSGEKVIVELFQVETGHSRWVDLAVIDVVKPMLLGLTFRCNTKCICGIENLCSTS >ORUFI10G03600.1 pep chromosome:OR_W1943:10:4088460:4088801:-1 gene:ORUFI10G03600 transcript:ORUFI10G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRAPAVPTTCINGVGVPTTEKLVYWDGDFSQAPEIVYGDGDGVVNSASILALDTVIGEDPRQGYYKSVKIAGTSHDGVVSDGAALERLVSEIPRENFVQASKEEDSRVAQL >ORUFI10G03610.1 pep chromosome:OR_W1943:10:4088864:4089340:-1 gene:ORUFI10G03610 transcript:ORUFI10G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVEALEKVGYRDGETLFGAPYDFRQAPAAPGKPCRAFSRFRRQLRALVEHASRTNGDQPVVLVSHSQGGYFALEFINRSPMAWRRRHVKHFVMASTGAGGFVLGLQSLVSGVSDASPMGLAGRSLACKFTSLPSPKVFDRDTPLVVTRDKNYRSS >ORUFI10G03620.1 pep chromosome:OR_W1943:10:4089413:4096299:-1 gene:ORUFI10G03620 transcript:ORUFI10G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSPLHTPFLIAISSPLDPISVLNQTHHNISSSTTMSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENVEDNRRAFRELLFCTPGALQYISGVILFDETLYQKTKDGKPFVDVLKEAGALPGIKVDKGTIEVAGTDKETTTQGHDDLGKQCAKYYEAGARFAKWRAVLKIGPNQPSQLAIDLNAQGLACYAIICQENGLVPIVEPEILVDGPHDIDRCAYVSEVVLAACYKALNEHHVLLEGTLLKPNMVTPGSDAKKVAPEVIAEYTVRTLQRTVPPAVPAIVFLSGGQSEEEATLNLNAMNKLSAKKPWSLSFSFGRALQQSTLKAWAGKTENVEKARAAFLVRCKANSEATLGTYKGDAVLGEGAAESLHVKDYNCIGCCRSSSSSHSSTSPADGGTPPPPRRAGATRPPVVSPRSCCCRGAPAASWRHASRTPTCRRRRNAPRTTTRPTAGGSGCGRTPRSWTTPSSRAPCVADQLRLVFDHAAGDYHGVPGVETRVLDFGSTRGFLADVPANSSARRDYCLP >ORUFI10G03630.1 pep chromosome:OR_W1943:10:4096451:4116735:-1 gene:ORUFI10G03630 transcript:ORUFI10G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLECHLHIHIVSSRPKQTNQASQLSARHNGRTAIPPPAPPALMCPVLPALMCPVVNGSALLPLHDAAVDLHPIVLLPGNGCSQLDAELTEHYEPSPWAPASCGGAAAAGKGKGRRRWFRLWKNSTALGDPAVALCYADQLRVVYDRAVADYRNVARVWTRVVSFGTTRGFGSDDDPSDPESLRVSQQAEALGRISYKEGENLFGAPYDSRYVAAPPGMPAMAFDAYTADLRCLVEHASRKNGGKPVIPVTHSKGSLMAAEFLTRSATPRFVKHLVMVSTGAGGIVVAMQSLAASAYAAPGSLARTERSYGTVFAALPSPNVFGGAPLVVTRRRNYSAHDISEFLPVVRFSGEEVKLYRTRALPVNSGLRAPRVTMTAVYGASVPTPEQLVYWDGDFSKAPEVSIWRASRSMATQLPRLLPLLLFLPFFHIAASTSPSGLHPVVLLPDTTCSQLEARLTDAYVPPSPQCAAHHKDHDGRWFRLWKNTTELDDPAVAPCVADQLRLVFDHVAGDYRNVPGVETRVLDFGSTRGFLADEPANRNRCMGRLVEALEELGYRDGENLFGAPYDFRQSPVALGQPCRAFSRYRQRLRALVEHASRANGDRPVVLVSHSEGGYFALEFLNRSPLPWRRRHIKHFVMASTGAGGFVRFMEVVASCVSDVSPLARVRRSVPSKFTPLPSPKVFDRDTPLVVTRDKNYTAHDMPAFLAAAGLPEFEVTLYETRELPMAMNFRAPVVPTTCINGIGVPTAEKLVYWDGNFGEAPEIVYGDGDGLVNSASILALDTVIGDDPMQQYYKSIKIAGMYHAGVISDGVALERLISEILRESFVQDSKKVDYRRVAQL >ORUFI10G03640.1 pep chromosome:OR_W1943:10:4147786:4148076:-1 gene:ORUFI10G03640 transcript:ORUFI10G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILPFLLLLLLCCPLLPHRRQRPPTAPPRRRLLHHAVVDIHPIVLLPGNGCSKFDAELTKHYKPSPWAPVPRERGRDGGSGCGRTALCSSFAHIP >ORUFI10G03650.1 pep chromosome:OR_W1943:10:4150522:4151300:-1 gene:ORUFI10G03650 transcript:ORUFI10G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAGGIHRRCAVTLSTRRQRWPGCTSARRYYSSPPVWTKNDGWPEVQDHPIMQSGFLRQARCMTNTPWMVANTGQYIRMNG >ORUFI10G03660.1 pep chromosome:OR_W1943:10:4183388:4183927:1 gene:ORUFI10G03660 transcript:ORUFI10G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCQPPPCRTILVVNRAAQAAPITSPQARSTGWASSNGGERRHTRAGTPRRGGWHGTCAVMALERILADIRAQAASPTCPTRCSSTTSSASSPSQSWPRPALSTLWRPGSWRPSARHNFRVLFVCGCRDLGEALRRIREGAAIIRTKGEAPLTWPTQGRKGCGDGEKRDERAGPSVF >ORUFI10G03670.1 pep chromosome:OR_W1943:10:4186004:4186948:1 gene:ORUFI10G03670 transcript:ORUFI10G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLPWLPWLLAALLSVYLLDLLAHSRRLLPPGPRPLPLIGSLHLLGDQPHRSLAGLAKMYGPLMSLRLGAVTTVVVSSPDVAREFLQRHNAAFASRSVPDATGDHATNSVAWLPNSPRWRALRRIMAAELFAPHRLDALRRLRREKVQELVDHVARLAEREGGAAAVDVGRVAFATSLNLLSSTIFSRNLTSLDDHGESMEFKEVVVEIMEAGGCPNVSDFFPAIAAADLQGWRRRMAGLFARLHRVFDAVVEERLSERDAGEARKGDFLDVLLDVAARDNDSAGLDRDTLRSLFTVTRTRACLLLSVPLIP >ORUFI10G03680.1 pep chromosome:OR_W1943:10:4218196:4219410:1 gene:ORUFI10G03680 transcript:ORUFI10G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKDTSKQLLPTRASSSSRFGGKVGSNIAVVLLLVSLGFVLGLTSSNAMFLKSFYPSSLMPSSMAPLRLVLSSTSTSPSPPSPPPPPPPPPPQQPAPMHSMGDEELFWRASMAPKSRRRLPDGVVPKKVAFMFLVRGELPLRPLWEKFFEGQRTDHYSIYVHAHPSYSFTGSPESVFHGRYVPSKAAKWGDASLVEAERRLVANALLDAGNSRFVLLSEACIPVYDFATVHAYLTGANTSFVDSFENGGSRSRYREFFAGRNITLARWRKGAQWFEMDRALALEVAADDELCFPAFRDFCVGRRECLIDEHYLATLVTMLGWGRRNANRTLTYADWSRPVNRHPHTYTAEEVTEKVIGGIRADKRCSYNGASSGGICNLFARKFPPETLQPLLRLAPKVMGFG >ORUFI10G03690.1 pep chromosome:OR_W1943:10:4232872:4238793:1 gene:ORUFI10G03690 transcript:ORUFI10G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWILGWLLWLPVFLISLYLVDILAHSCRRLPPGPRPLPFIGSLHLLGDQPHRSLAGLAKKYGPLMSLRLGAVTTVVVSSPEVAREFVQKHDAVFADRSIPDSIGDHTKNSVIWLNPGPRWRALRRIMATELFSPHQLDALQQLRQEKVAELVDHVARLAREGAAVDVGRVAFATSLNLLSRTIFSRDLTSLDDRGASREFKQVITDIMEAAGSPNLSDFYPAIAAVDLQGWRRRCARLFTQLHRLFDDEMDHRKLHSRHGGPGENGKEKDDFLEVLLRLGARDDDIAGLDGDTLRSLFIDLFAAGSDTSSSTIEWAMVELLKNTLSMGKACDELAQVVGSRRRIEESEIGQLPYLQAVIKETLRLHPPVPLLPHRAKMAMQIMGYTIPNGTKILINVWAIGRDKNIWTEPEKFMPERFLDRTIDFRGGDLELIPFGAGRRICPGMPLAIWMVHVVLASLLIHFKWRLPVEVERNGIDMTEKFGLTLVKAIPLCALATPT >ORUFI10G03700.1 pep chromosome:OR_W1943:10:4244138:4244725:1 gene:ORUFI10G03700 transcript:ORUFI10G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAPSSSSRQRRHRSSRRRTRVVAVVVVVVVVASSPSSSSSSAPSSPASGEESRRRIRRPRRRGGGSRRRRRSSEPPPLKEASSSFVGVRRPRRRGGEPAADPAPEEARRGEPPAPSSFVGAAAAQGGVVVIRRRSRRRGAEPPPLVGAVAAAVLPCRRRSSELSSAVHLCCARGR >ORUFI10G03710.1 pep chromosome:OR_W1943:10:4252920:4256557:1 gene:ORUFI10G03710 transcript:ORUFI10G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIFICNLLPLSSHLLSLSLSLQSVAATAAAAAAPPLAAASLLLLPPLAAAPPLLPYIQTLNWFHFQEVKSRVALPTTLLLPPPLAAASLRLLLPPPRCRSQPRTSIAEAIL >ORUFI10G03720.1 pep chromosome:OR_W1943:10:4275408:4279864:1 gene:ORUFI10G03720 transcript:ORUFI10G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEGRGAVVPLQTGPFLALSSLGPSVRDAAPSAAGKTSPPPPPSATTPQPPSAPTPRCARRRSFVHALPPPLSLPPPPSASTPRYPCWRPAAPHRVNTGIRFLAIVSTQIWEFHITVCFRHGSVTPPDGWRRRGQACPQSTLHATAARSPIGAIAASLHRHPDLEVEPASSPSCCH >ORUFI10G03720.2 pep chromosome:OR_W1943:10:4275408:4278007:1 gene:ORUFI10G03720 transcript:ORUFI10G03720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEGRGAVVPLQTGPFLALSSLGPSVRDAAPSAAGKTSPPPPPSATTPQPPSAPTPRCARRRSFVHALPPPLSLPPPPSASTPRYPCWRPAAPHRVNTGIRFLAIVSTQIWEFHITVCFRHGSVTPPDGWRRRGQACPQSTLHATAARSPIGAIAASLHRHPDLEVEPASSPSCCH >ORUFI10G03720.3 pep chromosome:OR_W1943:10:4275408:4276802:1 gene:ORUFI10G03720 transcript:ORUFI10G03720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEGRGAVVPLQTGPFLALSSLGPSVRDAAPSAAGKTSPPPPPSATTPQPPSAPTPRCARRRSFVHALPPPLSLPPPPSASTPRYPCWRPAAPHRVNTGIRFLAIVSTQIWEFAWTPHHRLFPPWQRDSARRLEEARSGLPSIDAPRHRSPQPHRRHRCLNRLRVPRAATDPRAPQPCKPSILPANKIRVLLDPVPVRGGIRGMVCFIHRDA >ORUFI10G03720.4 pep chromosome:OR_W1943:10:4275408:4276802:1 gene:ORUFI10G03720 transcript:ORUFI10G03720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEGRGAVVPLQTGPFLALSSLGPSVRDAAPSAAGKTSPPPPPSATTPQPPSAPTPRCARRRSFVHALPPPLSLPPPPSASTPRYPCWRPAAPHRVNTGIRFLAIVSTQIWEFAWTRDSARRLEEARSGLPSIDAPRHRSPQPHRRHRCLNRLRVPRAATDPRAPQPCKPSILPANKIRVLLDPVPVRGGIRGMVCFIHRDA >ORUFI10G03730.1 pep chromosome:OR_W1943:10:4312234:4312695:-1 gene:ORUFI10G03730 transcript:ORUFI10G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKDGFRSSVGSVHPGLGGVKVGEGEGEVINFFIGLPEQEGGCSQSLTPPQAPHLPVSFSLREAEGDGIGGAQARALKR >ORUFI10G03740.1 pep chromosome:OR_W1943:10:4315476:4315703:-1 gene:ORUFI10G03740 transcript:ORUFI10G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWWHSVGAGRDGSRGVAGRCTEGYGVGGFDQDGRERDGGSAADGGGDQKGVWQTWTRLGRGWLVRGCREHLRP >ORUFI10G03750.1 pep chromosome:OR_W1943:10:4328874:4329998:-1 gene:ORUFI10G03750 transcript:ORUFI10G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDDTVAWLASGGQRQLRRRHCFGPRYRTLGSTLTSKEVFTWANTNNQQLLHVCDIDRTKKSYICTSCSMWLDTEDRVESAGDGGMASYDVEAFM >ORUFI10G03760.1 pep chromosome:OR_W1943:10:4331279:4334661:1 gene:ORUFI10G03760 transcript:ORUFI10G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLWLSWLLLSLLSIYLLDLLAHSRRCLPPGPRPLPLIGSLHLLGDLPHRSLAGLAKTYGPLMSLRLGAVTTVVASSPEVAREFLQKHDAVFATRSTPDATGDHARNSVAWLPPGPRWRELRKIMATELFSTRRLDALHELRQEKEFQRLITDVMEAVGSPNLSDFFPALAAVDLQGWRRRLSGLFARLHRLFDAEMDHRRLHGMKEKDGDFLEVLLRLAARDDDTARLDGDTLRSLFTDLFTAGSDTSSSTVEWAMAELLQNPISMAKLCDELRRVVGSRRRIEESEIGQLPYLQAVIKETFRLHSPAPLLLPRQATRTIQIMGYTIPKGTRVLINVWAMGRDEDIWPEAGKFIPERFLERTIDYKGGDLELIPFGAGRRICPGMPLAVRMVHVLLASLLIHFKWRLPAEVEGNRIDMTEKFGVTLAKANHLCAMAAPT >ORUFI10G03770.1 pep chromosome:OR_W1943:10:4336667:4336953:-1 gene:ORUFI10G03770 transcript:ORUFI10G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLARDLAPLPSPSFPPQIRRRGGASAAVRSEGSVDGRPAGGGADDDEVGAAVDDEEEAVDGCCGADLSAGDISTRM >ORUFI10G03780.1 pep chromosome:OR_W1943:10:4351649:4352215:-1 gene:ORUFI10G03780 transcript:ORUFI10G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLGHDLWLSEQDGGMRHGPREFDDLYNRKGITDIRYFTNSKMHFAEDFLMPTLNLCITNAYIYNDRATAATSMASSKLMLLLERINSLTTNINPLFG >ORUFI10G03790.1 pep chromosome:OR_W1943:10:4359070:4359785:1 gene:ORUFI10G03790 transcript:ORUFI10G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGGQYDGDGYGGGISWSRRWRLPLVPSFSPSWRCLIHSSRHRYSCDHAADRVLEIHCSHSPCNGVETTPATATMTPAAAAATTPATAAITPAALVRTPVGATLPERLRICICLRPCGRAADRVDLHLDFFLPCSAAALDAGEGKARDIEARTLFGPHAIAPHRTPQQSTAGWRLFYDVF >ORUFI10G03800.1 pep chromosome:OR_W1943:10:4360751:4367094:1 gene:ORUFI10G03800 transcript:ORUFI10G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALFLWLSWLVLSLLSIYLLDLLAHSRRRLPPGPRPLPLIGSLHLLGDQPHRSLAGLAKTYGPLMSLRLGAVTTVVVSSPDVAREFLQKHDAVFATRSAPDAAGDHTRNSVPWLPPGPRWRELRKIMATELLATHRLDALHELRQEKVSELVDHVARLARDGAAVDVGRVAFTTSLNLLSRTIFSRDLTSLDDRGASKEFQQVVTDIMGAAGSPNLSDFFPALAAADLQGWRRRLAGLFERLHRVFDAEIEHRRRVAGEEHGKVKDDFLRVLLRLAARDDDTAGLDDDTLRSVFTIRPNVTWMAHGWWARLRTLISGIIAQFLPQYCNGGFVSLAFMACALSLLSVYLLDLLAQSRRRLPPGPHPLPLIGSLHLLGDQPHRSLAGLAKTYGPLMSLRLGAVTTVVVSSPDVAREFLQKHDAVFATRSAPDASGDHARNSVALLPNSPRWREIRKIMATELFSTSRLDALHELRQEKVVELVDHVARLAREGAAVDVGRVAFTTSLNLLSHTIFSRDLTSLDDHGASKEFQQVVTDIMGAAGSPNLSDFFPALAAADLQGWRRRLAGLFERLRRVFDAEIEHRRRVVGKEHGKVKDDFLRVLLRLAARDDDTAGLHDDALQSIFTDLFAAGSDTSSSTVEWAMAELLRNPLPMAKACDELQRVIGSTRRIEESDIGRLPYLQAVIKETFRLHPPVPFLLPRQATTTIQILGYTIPKGAKVFINVWAMGRDKDIWPEAEKFMPERFLERATDFKGADFELIPFGAGRRICPGLPLAVRMVHVVLASLLINFKWRLPVKVERDGVNMTEKFGVTLAKAIPLCAMATST >ORUFI10G03810.1 pep chromosome:OR_W1943:10:4367168:4368264:1 gene:ORUFI10G03810 transcript:ORUFI10G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETDCQVSLQLLQSKEKDRSDLAYVINAVKDLMGGDREILFSKVCRSQNRVSQCLANKARCESLSGLWPDNSSAAATTATNLTILPSPRVRKSKCEEAHVMPTTAKLHTPATTKHGLTDYVASCDNEAHIQDNKWPPS >ORUFI10G03820.1 pep chromosome:OR_W1943:10:4371472:4375762:-1 gene:ORUFI10G03820 transcript:ORUFI10G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHRNPTLLAPTPSPSSIPPPLEPSRSSPPPPMAATIVSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVDNVNSVIAPALIGKDPTSQAELDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAICKAGAIIKKIPLYQHIANLAGNKQLVLPVPAFNVINGGSHAGNKLAMQAEFMILPTGAASFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWEHYAKMTAEIGEQVQIVGDDLLVTNPTRVAKAIQEKSCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLATGQIKTGAPCRSERLAKYNQASVNESMHMLKLLLRIEEELGAAAVYAGAKFRAPVEPY >ORUFI10G03820.2 pep chromosome:OR_W1943:10:4371470:4375762:-1 gene:ORUFI10G03820 transcript:ORUFI10G03820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHRNPTLLAPTPSPSSIPPPLEPSRSSPPPPMAATIVSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVDNVNSVIAPALIGKDPTSQAELDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAICKAGAIIKKIPLYQHIANLAGNKQLVLPVPAFNVINGGSHAGNKLAMQAEFMILPTGAASFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWEHYAKMTAEIGEQVQIVGDDLLVTNPTRVAKAIQEKSCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLATLLRIEEELGAAAVYAGAKFRAPVEPY >ORUFI10G03820.3 pep chromosome:OR_W1943:10:4371472:4375762:-1 gene:ORUFI10G03820 transcript:ORUFI10G03820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHRNPTLLAPTPSPSSIPPPLEPSRSSPPPPMAATIVSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVDNVNSVIAPALIGKDPTSQAELDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAICKAGAIIKKIPLYQHIANLAGNKQLVLPVPAFNVINGGSHAGNKLAMQAEFMILPTGAASFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWEHYAKMTAEIGEQVQIVGDDLLVTNPTRVAKAIQEKSCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLATLLRIEEELGAAAVYAGAKFRAPVEPY >ORUFI10G03830.1 pep chromosome:OR_W1943:10:4383522:4390286:1 gene:ORUFI10G03830 transcript:ORUFI10G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAAEAEISPPPPAADGGAIADTAEAGVAAEKESDGMEVEDRGEVVSQEATAVKPDEAEEPEEDPEESGPVAMEAGDGEPALEVAITAHEPVEEEPKDVMETGEEAIEPEEDEEPEEAEVEEDEEPEELEMEEEEPEDADSEESMEEEAGAEVSEEEAAADDMKEIADKDSEEAQDEDKHGDNHKGEVADQLSNDEDVGGRENDESPNDELDTSLLVLDSVPDGNDKTLELFVGGLPKDCVEEDIRVVFSQCGEVESIRIVKKRKRIAFVRYADISATKKALTEFKDGIKVNGKSVRVSVAEPHRKSSEQKALTKVKTVYLEHFPRSWDERNIEECCEGYGNIQKVNILRSKKKVVSFVEFSSRKSALACVEGISKAKINDREVKLAASLARPQSKVQLANESSKGGFNVHSGATSKSPDKSKMKKDQTDETIVKKSQLKLLKGDESKLPSKDDVEVPQTSTHSKGKAKVGKRQNTSIDERPSKKSRESGESKLTSQDEGEVGKSKSKSVNERPLKKASKNRDDVKLPSQSGLEEPQTSNRSKRKRKVRKNRSTTVNERPVEKAWKNRNMKHPAGSRYVTSNQAYPTVGATSRSKPHSHDLAPHAGFIPPSSRVQRTPARDHQRTAPYNIRQISGSPYARERVAPRPAYSVHTSNAAGYEAGYAYTYLPPPPPPPPSISYHPPPPASISYHPGSGPYIIRRYY >ORUFI10G03830.2 pep chromosome:OR_W1943:10:4383522:4390286:1 gene:ORUFI10G03830 transcript:ORUFI10G03830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAAEAEISPPPPAADGGAIADTAEAGVAAEKESDGMEVEDRGEVVSQEATAVKPDEAEEPEEDPEEVGEGAEEAPAAGPGDVAAAAAESGPVAMEAGDGEPALEVAITAHEPVEEEPKDVMETGEEAIEPEEDEEPEEAEVEEDEEPEELEMEEEEPEDADSEESMEEEAGAEVSEEEAAADDMKEIADKDSEEAQDEDKHGDNHKGEVADQLSNDEDVGGRENDESPNDELDTSLLVLDSVPDGNDKTLELFVGGLPKDCVEEDIRVVFSQCGEVESIRIVKKRKRIAFVRYADISATKKALTEFKDGIKVNGKSVRVSVAEPHRKSSEQKALTKVKTVYLEHFPRSWDERNIEECCEGYGNIQKVNILRSKKKVVSFVEFSSRKSALACVEGISKAKINDREVKLAASLARPQSKVQLANESSKGGFNVHSGATSKSPDKSKMKKDQTDETIVKKSQLKLLKGDESKLPSKDDVEVPQTSTHSKGKAKVGKRQNTSIDERPSKKSRESGESKLTSQDEGEVGKSKSKSVNERPLKKASKNRDDVKLPSQSGLEEPQTSNRSKRKRKVRKNRSTTVNERPVEKAWKNRNMKHPAGSRYVTSNQAYPTVGATSRSKPHSHDLAPHAGFIPPSSRVQRTPARDHQRTAPYNIRQISGSPYARERVAPRPAYSVHTSNAAGYEAGYAYTYLPPPPPPPPSISYHPPPPASISYHPGSGPYIIRRYY >ORUFI10G03840.1 pep chromosome:OR_W1943:10:4391266:4394862:-1 gene:ORUFI10G03840 transcript:ORUFI10G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSVSPPRTAPGPANPSPAHPSRVRVIHPGGGKPGGPVVYWMLRDQRLADNWALLHAAGLAAASASPLAVAFALFPRPFLLSARRRQLGFLLRGLRRLAADAAARHLPFFLFTGGPAEIPALVQRLGASTLVADFSPLRPVREALDAVVGDLRREAPGVAVHQVDAHNVVPVWTASAKMEYSAKTFRGKVSKVMDEYLVEFPELPAVVPWDREQPEGVDWDALIARVCSEAENVPEIDWCEPGEEAAIEALLGSKDGFLTKRIKSYETDRNDPTKPRALSGLSPYLHFGHISAQRCALEAKKCRHLSPKSVDAFLEELVVRRELADNFCYYQPQYDSLSGAWEWARKTLMDHAADKREHIYTREQLENAKTHDPLWNASQLEMVHHGKMHGFMRMYWAKKILEWTSGPEEALSTAIYLNDKYEIDGRDPSGYVGCMWSICGLHDQGWKERPVFGKIRYMNYAGCKRKFDVDAYISYVKRLAGQSKKRNAEESPNPVVKLSKSQH >ORUFI10G03850.1 pep chromosome:OR_W1943:10:4396665:4398029:-1 gene:ORUFI10G03850 transcript:ORUFI10G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDVPSALTSPCVMNSNVPLINSIHFSLRFNYTIIFIAHMCSLRATKLGAEITRFAGREWLAERIDATRMVEFLVTNIVGEEREVANKLSNHSVHEGGSRRIRQGTPDAQVRHRLWLGLRYALPGQ >ORUFI10G03860.1 pep chromosome:OR_W1943:10:4399130:4401498:1 gene:ORUFI10G03860 transcript:ORUFI10G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGGRLEEGGDNLDDGCTHQRWSGSRVATGQYSDIGTKDYTSKLSAIGNVVLHCEPSSYHPLMGWRYRIEMIAKNIPG >ORUFI10G03860.2 pep chromosome:OR_W1943:10:4399205:4401498:1 gene:ORUFI10G03860 transcript:ORUFI10G03860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGGRLEEGGDNLDDGCTHQRWSGSRVATGQYSDIGTKDYTSKLSAIGNVVLHCEPSSYHPLMGWRYRIEMIAKNIPG >ORUFI10G03860.3 pep chromosome:OR_W1943:10:4398028:4399418:1 gene:ORUFI10G03860 transcript:ORUFI10G03860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRPCHLPRSVTPASSLSIYVCPLVGDVGYGSEGSEEEHAAHLRRIWTPLCGDLSPQAAAFGSAPQQT >ORUFI10G03870.1 pep chromosome:OR_W1943:10:4402824:4406167:1 gene:ORUFI10G03870 transcript:ORUFI10G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRRFLNLIVENGADPGIRSLSCIDLTRYPLFHPPLPTATTMEACSSSSIISQQQQTADAFNTKNKHADGAAFMVQEKFQLPDPIFSFKAQAADPDYGWNIDCFPLADRKVVCVDQSGRAFLFDADTDQVVTMPSLNKPKWRPFSLFVPGTDNDGGDGEGNSLYIMEKSPKSEAGCSARCIDQFEAFVYRKPTVTASFMSWYCQLLPPPPYVRDYAYSQRRHRITSYAVVAGDDDGSWRILVSAEDAGTYCLDVASNMWSRVGEWTLPFLGKVEYVPELKLWFGLSAEDQLLAAADLSAMDSQPELVSSWKELEQNRVWQVTQDPQLVNLGSGRFCIARFIEKLELGGDFDNKLTWQDFVILTGVEVTKVVNHGNCSGNRNGRVELQMTTHKSRFHLANGAYIDAVSEPFDLPAEAPRNQRTSMEQSKERISKAKRSEPEQGNDG >ORUFI10G03880.1 pep chromosome:OR_W1943:10:4408784:4410760:1 gene:ORUFI10G03880 transcript:ORUFI10G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATTAAVVDSRRSAQRAEGPATIIAIGTANPANILPQDNFADYYFGLTKSEHLTELKDKMKRICKKSGIEKRYIHLDEEIIRAHPEIIDKHQPSLEARVEIAAAEVPKLAESAARKAIAKWGRPATDITHLIFSTYSGCRAPSADLQLASLLGLRPSVSRTILSLHGCSGGGRALQLAKELAENNRGARVLVALSELTLVCFSTPDESKIVGHGLFGDGAGAIIVGAGPFSDGECPLFEMVAASQTMIPGTEHALGMQATSTGIDFHLSVQVPMLIKDNIQQSLLESFQSVGYTDPDWNNLFWAVHPGGRAILDNIEGKLQLQPWKLAASRQVLREFGNMSGATIAFVLDELCHRREKDEDESQQHEWGVMLAFGPGITIETIVMRNPLARGLKQN >ORUFI10G03890.1 pep chromosome:OR_W1943:10:4413531:4414454:1 gene:ORUFI10G03890 transcript:ORUFI10G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFNSGGVPRLLPRVAASRRRAADLITTLSRRCSSAAASILQDGYENASPDGRGDRYLSTGRT >ORUFI10G03900.1 pep chromosome:OR_W1943:10:4426310:4427836:1 gene:ORUFI10G03900 transcript:ORUFI10G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATTAVVDSRRGTQHAEGPATILAIGTANPTNIVPQDEFTDYYFGLTKSEHLTELKDKMKRIPALLETRVEIAATEVPKLAESAARKAIAEWGRPATDITHLIFSTYSGCRAPSADLQLASLLGLRHSVSRTILSLHGCSGGGRALQLAKEIAENNHGARVLVALSELTLVCFSTPDESKIVGHGLFGDGAGAIIVGAGSLADGERPLFEMVAASQTTIPGTGHALGMQATGSGIDFHLSIQVPTLIKDNIQQMLDTFRSVGNNNPNWNDLFWAVHPGGRAILDNIEGKLQLQPWKLAASRQVLHNYGNMSGATIAFVLDELRRRREKEEHELQQHEWGVMLAFGPGITIEAIVMRNPQLSGLKEN >ORUFI10G03910.1 pep chromosome:OR_W1943:10:4435100:4435588:1 gene:ORUFI10G03910 transcript:ORUFI10G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATTAVVGSRRGTQHAEGPATIIAIGTANPANIVPQDEFADYYFGLTKSEHLTELKDKMKRIYVN >ORUFI10G03920.1 pep chromosome:OR_W1943:10:4447721:4448476:1 gene:ORUFI10G03920 transcript:ORUFI10G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASQTTIPGTENALGMQATSSGIDFHISIQLPTLIRDNIQESLLHSFCSVGNTDPKWNDLFWAPWKLAASRQVLREYGNMSGATIAFVLDELRQCREKEKQEPEQPEWGVMLAFGPGITIETMILRNPLPHGLMEN >ORUFI10G03930.1 pep chromosome:OR_W1943:10:4455185:4459383:-1 gene:ORUFI10G03930 transcript:ORUFI10G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVGRAVPTRLILGRAPVPGHAHAGPGWVAHLAIIVQGDLVREAKTLWEKFRDGIVGTNHEVMATVDFLREKNVYKKDVRANAGAAVYLFPAVHLSTPLSLHTIINCCSCRMFTGTSMEIPRMSNEAGPSQVVLPCIHQQMVPTHGKDNTWIMLATTQN >ORUFI10G03940.1 pep chromosome:OR_W1943:10:4466528:4470124:1 gene:ORUFI10G03940 transcript:ORUFI10G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIPKLTANELRRQRDRERYAALSVEEKAARVQKNRENRKRKKSASTSGTAIISTCAFLHTRVFLHTCLQKPTNLRKYFLRHTCRILLHAAQAMSQACPSLSRGNGLRVLQSASLHA >ORUFI10G03940.2 pep chromosome:OR_W1943:10:4466528:4470552:1 gene:ORUFI10G03940 transcript:ORUFI10G03940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIPKLTANELRRQRDRERYAALSVEEKAARVQKNRENRKRKKSASTSGTAIISTCAFLHTRVFLHTCLQKPTNLRKYFLRHTCRILLHAAQAMSQMIDYEISSPVVELESPVKTSSRRREASQLRRDARHPLTAAVLPFNAPDVELAAGFRPYDVILFSGEPRSSTC >ORUFI10G03940.3 pep chromosome:OR_W1943:10:4468961:4470552:1 gene:ORUFI10G03940 transcript:ORUFI10G03940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDAAAAVSSKAAAVLAELAIPIAKHHHWRRQPQSASKKMMIDYEISSPVVELESPVKTSSRRREASQLRRDARHPLTAAVLPFNAPDVELAAGFRPYDVILFSGEPRSSTC >ORUFI10G03940.4 pep chromosome:OR_W1943:10:4466575:4467170:1 gene:ORUFI10G03940 transcript:ORUFI10G03940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSRSSSPRFSSPRLSPTVLQPMLLLLHPIPPRIPRSRRRRVVPFRRRRHTPPMPSLEPISSPSAGTAIHITGSQVLAGTDFFPFRRATVAAIHFTDHWRL >ORUFI10G03940.5 pep chromosome:OR_W1943:10:4466575:4467429:1 gene:ORUFI10G03940 transcript:ORUFI10G03940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSRSSSPRFSSPRLSPTVLQPMLLLLHPIPPRIPRSRRRRVVPFRRRRHTPPMPSLEPISSPSAGTAIHITGSQVLAGTDFFPFRRATVAAIHFTGCNS >ORUFI10G03950.1 pep chromosome:OR_W1943:10:4479836:4480156:1 gene:ORUFI10G03950 transcript:ORUFI10G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAMRRKMAVVVMSVLMMAAAAAANYAAEPEEDCATQTTYFTNCLRRGIREGCCGVVKNHWCLCQVKREAEVKCIPGRRCDVPKALKIADMDLPCMRNLRCSKHA >ORUFI10G03960.1 pep chromosome:OR_W1943:10:4483135:4485022:1 gene:ORUFI10G03960 transcript:ORUFI10G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLNQRGSHKSGRPRQPPLYPFFSPFVLSLTTAGQRAGRTPTGEEGVGGRERAADESCSERAGGGAERRWQASERSSDGELLRSLHRLARDLSAVDTPAPFLRALFASISRRSKLLAAALDDLRGAAEELPQPAVCGAAGELI >ORUFI10G03970.1 pep chromosome:OR_W1943:10:4485072:4485542:1 gene:ORUFI10G03970 transcript:ORUFI10G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGAPGAAAVQRHRRRLLGAKPDVAAAGVGRDGGGAAGAQPRPGHAARPLAGRRAGRLGLADDMLDVLALVSRQCWRCSPAPEAEQALKASVLSLIQEIEPPAPPSDFRCPISLDLMRDPIVVASGQTYDRDSSGRWSGDERGRERRGERESVC >ORUFI10G03980.1 pep chromosome:OR_W1943:10:4490337:4490773:-1 gene:ORUFI10G03980 transcript:ORUFI10G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGDGVRLGAAAQGGRVGLGRVERRHEVAAIGKVPQRREAAAWSRGAAAGQAGRRGAGSKKSKFEEFGTGALQAQVLMQAEMLQ >ORUFI10G03990.1 pep chromosome:OR_W1943:10:4493459:4498960:1 gene:ORUFI10G03990 transcript:ORUFI10G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGAAAASAAPGDYVYFKSVVPLHKISIGPKLWRYYDFGPKTVPPLVCIPGIAGTADVYYKQIMSLSMKGYRVMSIDVPQVWNHQEWIHSFEKFLDSMNIHHVHIYGTSLGGFLAQIFAQHRPRRVKSLVLSNTFLETHKFAAATPWSPVVNWTPSFLLKRYLLTGIRDGPHEPFIADSVDFVVSQVETLSRDDLSSRLMLNVNVASVGSLMLPDSLITIMDTNDYSAVPQQLKDQVNERYPGARRAVLKTGGDFPFLSRPDEVNLYLQLHLRRVGVEPRPDLVQGFTRNGSAGSSKDQKDGGNNFDNHPGDNGGPGSGGHDHETQNSGSESHDSDESIPTSTMLANTILELAL >ORUFI10G03990.2 pep chromosome:OR_W1943:10:4493459:4498960:1 gene:ORUFI10G03990 transcript:ORUFI10G03990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGAAAASAAPGDYVYFKSVVPLHKISIGPKLWRYYDFGPKTVPPLVCIPGIAGTADVYYKQIMSLSMKGYRVMSIDVPQVWNHQEWIHSFEKFLDSMNIHHVHIYGTSLGGFLAQIFAQHRPRRVKSLVLSNTFLETHKFAAATPWSPVVNWTPSFLLKRYLLTGIRDGPHEPFIADSVDFVVSQVETLSRDDLSSRLMLNVNVASVGSLMLPDSLITIMDTNDYSAVPQQLKDQVNERYPGARRAVLKTGGDFPFLSRPDEVNLYLQLHLRRVGVEPRPDLVQGFTRNGSAGSSKDQKDGGNNFDNHPGDNGGPGSGGHDHETQNSGSESHDSDESIPTSTMLANTILGTVSSTLQASLCVLLIHHYYESALYISSRQPLDV >ORUFI10G04000.1 pep chromosome:OR_W1943:10:4500954:4502756:-1 gene:ORUFI10G04000 transcript:ORUFI10G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGAGAGGGGRPWPRLGKMPAAALFAKQVVTGRWFMMLACMVIMSASGGTNIFSIYSGALKSSLGYDQRTLNTLSFFKELGANAGIVSGLVAEVAPPSAVLAVGACMSLAGYLVVYLAVAGRVARPPLWLMCACISAGADSQAFANTGALVTCVKSFPESRGVVVGLLKGFAGLSGAVLPQLYLAIYGGGHDAGSLILLIAWLPAAISLVFLRVVRVMPHRPTNGRVGGGGSNGPIFSFLYISFAVASYLLVMIVLQKTISFSHAAYAATAIVLLLILLLLPLAVVIRQELRIRREADVQETLPAAAPPPQPVVETPPPPPASTCGVGSCLKRTFNPPAHGEDYTIPQAALSVDMVVLFVCVICGAGGSLTAIDNMGQISQSLGYPARSVNTFASLINIWMYAGRAGVGSLSELLLSRYRFPRPLMLTLVLVVSSAGYLLIALGVQHGLYAASVVVGFSFGGLYTLLFSIVSEVFGLKYYATLYNLGMVASPIGAYIFNVRVAGALYDAEAARQNGGGAAAGHRACAGVRCFRASFLIVTAATFFAVIVSLVLVWRTRGFYRGDIYARFKAAAPAPAAEGHRGEVTPEEASGTKLHGST >ORUFI10G04010.1 pep chromosome:OR_W1943:10:4516534:4518760:1 gene:ORUFI10G04010 transcript:ORUFI10G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMRSPMNQTPNICIFYYIFTLYLGSLTEVSVDGLFEAFSHCAELNPDPNAESDEENGWAHRDEGDEDMTGGSDAECEFSDVNPIGQTDEHDITHAVVELQINDQRFEDAEEAEHETHGNGH >ORUFI10G04020.1 pep chromosome:OR_W1943:10:4520104:4523669:1 gene:ORUFI10G04020 transcript:ORUFI10G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYGAGGAMKGGKLGMEEARELQLNRIRITLSSKNVKNLEKVCADLVKGAKDKQLRVKGPVRIPTKVLHITTRKSPCGEGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGVEVEVTIADV >ORUFI10G04030.1 pep chromosome:OR_W1943:10:4524420:4530796:1 gene:ORUFI10G04030 transcript:ORUFI10G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSHARRRAWPLLFLAVLMFHSLAIYLFTRGFLLTRTELDVHSHRDDRTGISPGCSSWPPPAVDRLVIVVLDALRFDFVAPSTFFQERQPWMDKLQVLQKLAADEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQFAKNGKRVVMMGDDTWIQLYPEHFNKSYPYPSFNVKDLDTVDNGVIEHLLPSLHKNDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNRILEDVIDTLKSLSTSGGPHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPKTPPNAVLSVLGKNLCNADLHGKEVCVSTMQQLDFAVTIAALLGIPFPFGSIGRVNPELYALSAGTWDNQQIGANDCTQQNDLEAWMRRYAEALCINCWQVKRYIDRYSATSVIGFRAEDLNHVADLYSKAQANWSSVLRSTCPLETSSQDELKECANKECTSSALRLQIDAYSDFLESFAKLARSAWTEFDLWLMGIGLSVMILSVSTQALAEGRVANFLLATSCIASVWHSATKGKFIIEEFVFLLLNIFIRFGIEFGMSKQISGSIISNDHPVSIICGLFGSSFCSDLMEIFPIISLTLVAYIILKCISYAISHRFLKYSVMSGSILSYIFIAIHWASESTLLSHTKAIRETGISLAPRLVYTIGGLSLAISAFYRLFGSTDHLKMNERITSLSAVMLCSWSPTILILLGRQGPFVALICMTIAWCIIKLQQKNQRELKLDKGIHAADSVSVTQWSFLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPVLSLPFIAICWYNSASKNSKVNDATVTRLIQVWGLFAPKYVFDAIGLLLTDLLVVLASIYYS >ORUFI10G04030.2 pep chromosome:OR_W1943:10:4524420:4530796:1 gene:ORUFI10G04030 transcript:ORUFI10G04030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSHARRRAWPLLFLAVLMFHSLAIYLFTRGFLLTRTELDVHSHRDDRTGISPGCSSWPPPAVDRLVIVVLDALRFDFVAPSTFFQERQPWMDKLQVLQKLAADEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQFAKNGKRVVMMGDDTWIQLYPEHFNKSYPYPSFNVKDLDTVDNGVIEHLLPSLHKNDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNRILEDVIDTLKSLSTSGGPHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPKTPPNAVLSVLGKNLCNADLHGKEVCVSTMQQLDFAVTIAALLGIPFPFGSIGRVNPELYALSAGTWDNQQIGANDCTQQNDLEAWMRRYAEALCINCWQANWSSVLRSTCPLETSSQDELKECANKECTSSALRLQIDAYSDFLESFAKLARSAWTEFDLWLMGIGLSVMILSVSTQALAEGRVANFLLATSCIASVWHSATKGKFIIEEFVFLLLNIFIRFGIEFGMSKQISGSIISNDHPVSIICGLFGSSFCSDLMEIFPIISLTLVAYIILKCISYAISHRFLKYSVMSGSILSYIFIAIHWASESTLLSHTKAIRETGISLAPRLVYTIGGLSLAISAFYRLFGSTDHLKMNERITSLSAVMLCSWSPTILILLGRQGPFVALICMTIAWCIIKLQQKNQRELKLDKGIHAADSVSVTQWSFLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPVLSLPFIAICWYNSASKNSKVNDATVTRLIQVWGLFAPKYVFDAIGLLLTDLLVVLASIYYS >ORUFI10G04030.3 pep chromosome:OR_W1943:10:4524420:4530844:1 gene:ORUFI10G04030 transcript:ORUFI10G04030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSHARRRAWPLLFLAVLMFHSLAIYLFTRGFLLTRTELDVHSHRDDRTGISPGCSSWPPPAVDRLVIVVLDALRFDFVAPSTFFQERQPWMDKLQVLQKLAADEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQFAKNGKRVVMMGDDTWIQLYPEHFNKSYPYPSFNVKDLDTVDNGVIEHLLPSLHKNDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNRILEDVIDTLKSLSTSGGPHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPKTPPNAVLSVLGKNLCNADLHGKEVCVSTMQQLDFAVTIAALLGIPFPFGSIGRVNPELYALSAGTWDNQQIGANDCTQQNDLEAWMRRYAEALCINCWQVKRYIDRYSATSVIGFRAEDLNHVADLYSKAQANWSSVLRSTCPLETSSQDELKECANKECTSSALRLQIDAYSDFLESFAKLARSAWTEFDLWLMGIGLSVMILSVSTQALAEGRVANFLLATSCIASVWHSATKGKFIIEEFVFLLLNIFIRFGIEFGMSKQISGSIISNDHPVSIICGLFGSSFCSDLMEIFPIISLTLVAYIILKCISYAISHRFLKYSVMSGSILSYIFIAIHWASESTLLSHTKAIRETGISLAPRLVYTIGGLSLAISAFYRLFGSTDHLKMNERITSLSAVMLCSWSPTILILLGRQGPFVALICMTIAWCIIKLQQKNQRELKLDKGIHAADSVSVTQWSFLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPVLSLPFIAICWYNSASKNSKVNDATVTRLIQVWGLFAPKYVFDAIGLLLTDLLVVLASIYYS >ORUFI10G04040.1 pep chromosome:OR_W1943:10:4531059:4531415:-1 gene:ORUFI10G04040 transcript:ORUFI10G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSSKKPKAKPKQRDGAAAASGEERVAASAPSPPAPPSPPRCRRGAPLSPPRGRPPLTPFAEIASGSSAFGSRAVSPPAGRQIRRGRGTPAEGERGDGGVWEAPAAH >ORUFI10G04050.1 pep chromosome:OR_W1943:10:4531410:4532010:1 gene:ORUFI10G04050 transcript:ORUFI10G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTTTRGRMNSTTTRARENDETRSRPPQCAQVPVPHAARPGFGRGTRDQSWTRGLEKSVPEANVARNLX >ORUFI10G04060.1 pep chromosome:OR_W1943:10:4547639:4551088:1 gene:ORUFI10G04060 transcript:ORUFI10G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEEGEVPFELAQMTPYESGHAVPRAIVAMACPYPATIIPHASWLPRKKASNISPAATAHGAVQQPVHHNSATGASLPVSICNTLDKAIDRFIDPPERRPLVDPQRVLSGNFKHREAGVPVFPNFIAGFQGVAGLARMAVMFARALAGQISMNMGFGLANTSILFFAKHLYALCESDLPYTMCINPATGEVTTLSRCDFDGRRMIGMTAHPKKDPPFLTYIWFDKAGNKADDVPIFSLQQPSMLHDFAITEHYAIFPESQLVMCPMNMALRGGSLIGLDSAMVQRIGVLPRYAKDESEMRWFKVPGFNMLHTANAWEEANGEEIILVSTNNLSVTHAWRHGTHASSG >ORUFI10G04070.1 pep chromosome:OR_W1943:10:4560734:4561006:-1 gene:ORUFI10G04070 transcript:ORUFI10G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGRRGRLASGCPPLEGAQSEGAGGHSSLEPVRVAAAGYVVHGVPHGRFREHASRWPAAVVALKQRSSRKGGEGCFPPCAAATVFRPGD >ORUFI10G04080.1 pep chromosome:OR_W1943:10:4605884:4622947:-1 gene:ORUFI10G04080 transcript:ORUFI10G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLPLAFSLFLAVISAYVLQLLADARRRLPPGPWPLPLIGNLHQLDHLPHRSLARLAARHGPLMSLRLGTVRAVVASSPEMAREVLQRHNADIAARSFGDSMRAGGHCENSVVCLPPRRRWRALRRLSTVGLFSPRRLDAMRALLEEKVAELVRRVSGHAAHVAALGVLSRTMFSVDLDPEAAREVSDIVDEASVLGTGPNVSDFFPAIAPADLQGVRRRMARLVKRMYAIIDEQIERRMHGRTAGEPRKNDLLDVMLEEGESKEDSNEINRDAIRGLFTDLFTGGETTSHTMECAMAELLQCPNSMRRVQEELKSVIGTIQQMDEHDITKLPYLQAVIKETLRLHPPVPLPPYEAEATVEIQGYTIPKGAKVLINLWAINRCANAWTEPDKFMPERFYDSDITFMGRDFQLIPFGAGRRICLGLPLAHRMVHLMLGSLLHRLTWTLPAKAGKNGVDMRERFRMMAFFHLCISSLLLVFIISYIFQPLLDARRRFPPGPHQLPVISNLHNIGKNPHHAFARLADRYGPLMSIRLGGVRAVVATSADATREILQRNNADITGRGGMDSWHACSHHANSSIALMFSEDMDAATTRQLRDVIEEAVVVAGAPNLSDYFPVIAAADVMGVRRRMDNLVGWVYGIIDVQIDRRRCRRIVCEPRKNDLLDVAFDMEGEVESEGWVMNQDTMRGMFMDLLVAGSGSTSSTIEWAMAELLQNPKSMIQLPEELKGLIGTKTHVAESDISQLPYLQAVIKETLRLHPTVPIAFNKAEPTVEIQGYKIPQGTTVYVNIWAICRRAKIWDDLDKFMPYRFLGRDINFLGTNFEFIPFGAGRRICLGMPLAEGMLHACI >ORUFI10G04090.1 pep chromosome:OR_W1943:10:4626354:4626545:-1 gene:ORUFI10G04090 transcript:ORUFI10G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEDDCLPNSTLCTDHEGFLFWDHVHPSQRSAQLTAATFYDGMSHFTTPFNFKQLVAKKMTD >ORUFI10G04100.1 pep chromosome:OR_W1943:10:4640150:4642440:-1 gene:ORUFI10G04100 transcript:ORUFI10G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLAAKAIRRLLLVSIHVLGAVAFAGAGAGRRSAVRPSEITQQVPAVFVFGDSTMDVGNNNYLSGENVPRANKPYYGVDYPTSRPTGRFSNGYNVADFIAKALGFNESPPAYLSLAPERSNSLVVAAVSRGVSYASAGAGILDSTYAGENIPLSKQVRYFESTMAHVEASNGSRATSKFLSRSLFLFGIGSNDLFAYAEGQSGINDVATLYASLISNYSAAITDLYKGGARKFAIINVGPLRCVPVVRLLSGTGGCDDDLNQLAIGLDDAIKPMLTGLTSRLHGLVYSLGNFYDQAMDNFAHPKAFADTHPRYPWYQINIPSITS >ORUFI10G04100.2 pep chromosome:OR_W1943:10:4640150:4642440:-1 gene:ORUFI10G04100 transcript:ORUFI10G04100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLAAKAIRRLLLVSIHVLGAVAFAGAGAGRRSAVRPSEITQQVPAVFVFGDSTMDVGNNNYLSGENVPRANKPYYGVDYPTSRPTGRFSNGYNVADFIAKALGFNESPPAYLSLAPERSNSLVVAAVSRGVSYASAGAGILDSTYAGENIPLSKQVRYFESTMAHVEASNGSRATSKFLSRSLFLFGIGSNDLFAYAEGQSGINDVATLYASLISNYSAAITDLYKGGARKFAIINVGPLRCVPVVRLLSGTGGCDDDLNQLAIGLDDAIKPMLTGLTSRLHGLVYSLGNFYDQAMDNFAHPKAFGKSFILQHIVIWYPPLSADTHPRYPWYQINIPSITS >ORUFI10G04110.1 pep chromosome:OR_W1943:10:4650233:4654320:1 gene:ORUFI10G04110 transcript:ORUFI10G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARSSAFPIPSLLMANLIYYSLLIILPFLLLINFYKAMFSSRKQAGRLPPCPWQLPIMGSIHHLIGDLPHRSLRDLSRRYGPVMLLKFGQVPFIIVSSPEAAKDIMKTHDSIFATRPQSEIMKIITKRGQGLVFAPYDDQWRQLRKICIRELLCAKRVQSFCAIREEEAARLVKSISSDQAHLVNLSKKLADYATDAAIRIITGTRFENQEVRDKFQYYQDEGVHLAASFCTANLCPSLQLGNTLSRTARKAEIYREGMFAFIGGIIDEHQERRAQDMYHKEDLIDVLLRIQQEGSLESPDILAGGSETVTTVLQWAMTELMRNPTVMSKAQDEVREVFKWKKMFVIKETVRLHTPGPLFMRECQEQCQVMGYDVPKGTKFLLNLWSISRDPKYWDDPETFKPERFENDARDFKGNDFEFIPFGAGRRMCPGMLFGLANIELALANLLFYFDWSLPDGVLPSELDMTENFGVTVRKKEDLLLHASLYAQLSC >ORUFI10G04110.2 pep chromosome:OR_W1943:10:4650225:4654320:1 gene:ORUFI10G04110 transcript:ORUFI10G04110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGVGAWRRAAVAGDSRLSHGVWRWRLRRGSSARAWLGASAAGGGTSAAAAACASAAGGGASAAAACSCRPAAEIRNRPRSRPEVGAGPDILAGGSETVTTVLQWAMTELMRNPTVMSKAQDEVREVFKWKKMFVIKETVRLHTPGPLFMRECQEQCQVMGYDVPKGTKFLLNLWSISRDPKYWDDPETFKPERFENDARDFKGNDFEFIPFGAGRRMCPGMLFGLANIELALANLLFYFDWSLPDGVLPSELDMTENFGVTVRKKEDLLLHASLYAQLSC >ORUFI10G04120.1 pep chromosome:OR_W1943:10:4652077:4652379:-1 gene:ORUFI10G04120 transcript:ORUFI10G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGGRDGRGRPRRRRRMGPRSDPAATVGKKPDLAAAAEDVGVGSGSGEDAVAVRAGRSSGDGEDAAALHAGRRRSERGGEAKSTRGGGGAKSSRVEKEE >ORUFI10G04130.1 pep chromosome:OR_W1943:10:4657991:4662805:-1 gene:ORUFI10G04130 transcript:ORUFI10G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEYKSIWKWNYIINLGLSPKISNVDDVAQTVMWPSTLMRILYLSPSTTLCLQIDSHHARERGSEEGTGGQQKKGGGGGDSALPSTQRSRRGGVERWRWQPGGGGCSRDSALPSARCSRRGGGEPRRLPGDGPVEAIAAWEGHRRHPSSSPSHHHPIGARAARSSLPHTHRCSRTAKSGIAPPHRRLGVARSRGGGRGGWAQGTLAVVKAGRGRRLPQRCSGLPPQ >ORUFI10G04140.1 pep chromosome:OR_W1943:10:4663034:4663582:1 gene:ORUFI10G04140 transcript:ORUFI10G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLLPPLRRGVGVCGGCFLAGASLSQLYAATTTECTQCSCIHRLWWWSLQLLRRWGFYPAATTTLSTAAQCVLVVGGILLARATVRQEHGQRPPRLPSGSGRHQLRLPSNVPAGNQLRRRQLRREDRARRHYVWGHGGTSAHLLGGGATAILDVYLVGGLVKDASNRPRHRAIHGLAKPS >ORUFI10G04150.1 pep chromosome:OR_W1943:10:4715732:4722089:-1 gene:ORUFI10G04150 transcript:ORUFI10G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSMILVLLMLLSLGCIAAMAEQQPAALPGCPDKCGDITIPYPFGIKPGCYLAGFEVICNQTFNPPRAFLAGDPPLFGDKWPPDVWSSNRTFMLTGNFYYSGTDAGMPSKIVNYTRAPLELLDVLVNQSKVRVYAAISSDCSTNGTNHVLFEQSIKLQPSGPFTLSANENTLVGVGQNVVATFADSFTGEEYSNICLSFLSSVSKARNGSCEDATGLGCCQQTLPPGINTTLVRFQHKNNSKWETYPCSYAMLVQKSWYNFSTEDLYGHLGFPKKYNRGVPLVLDFAIRNGSCPQENGSHACVSGNRTCINAGNDQGYKCNCMDGYDGNPYIVNGCQDIDECALRSKNNCSSNSVCINTAGSYNCRCKRGMTGGGKTGPCTEKFPPAAKAALYEQNGGPVLKGMRNIKIYTKKELKQITRNYSSAIGEGAFGKVYMGTLKGGQQVAIKKSKTVNQERKNEFTQEVILQSEMKHKNILRLFGCCLEVDVPMLVYEFATEGSLYDVLFKCNDRIAVDKLLGIAIGSAEGLSYMHTARETPIRHGDLKSGNILIDNNFIPKISDFGTSRLLAAGDKNGPDKFIPADPNYIDPVYMEDHILTEKSDVYSFGIVLIELITRKPAKYDEKKSYVGNFVQAYVDKQERGIGDNEITADNILEMISKVAVACLENLTRINALT >ORUFI10G04160.1 pep chromosome:OR_W1943:10:4723495:4726346:1 gene:ORUFI10G04160 transcript:ORUFI10G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSWIPDGIMGKTCMPEFPGGFSFKWPIDADVTNFKDFLGDICEKYPWGLNETVSLHYIHASSKELIPICKDQDLTTMFECFHHSKRGKVVITLGNQINLFQAIVLCTPSLSVPSQTFFTLEGSTSQASKSDAYLENPFPHYEHVGVDDEKQYTDDSSSESDDSIAETDNLPEVEGGDDLSIIDSDDEELWMRSKFSTHSKVDYITNNLVECFNNWIRKHKGLMPYELVDKIRKKVMDKFRVRKKVAAKLEGNIILPCVMKELLEKSRGLNFDLDRSDDFIAEVTDKDLGDKRHVVDLEEKTCTCREWQVSGKPCAHALCFITSIRGVQVHDYVDECYSVARF >ORUFI10G04170.1 pep chromosome:OR_W1943:10:4726456:4727239:1 gene:ORUFI10G04170 transcript:ORUFI10G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDGQVAKKADPKAKEAYALVAKQRKSKPKSTSAATVATENIDPTAICHVKSWLAYQALWPLCHYNKLLHLLPRGRGRGSGRRMRRRTKTRKSVQPTTPPAKRRKNNEVPQDSPAMRTRSKKSSPAMGKQEAMGTRSKRGLEARVVTCIV >ORUFI10G04180.1 pep chromosome:OR_W1943:10:4732608:4733015:-1 gene:ORUFI10G04180 transcript:ORUFI10G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSDLQWRQVWLAVGLPPAPVVAVSAIVGFFLYLTWQMDEYEEQLRRRTQAGLWVLLVLGAVALVLLGSHALVDAGGRVAVPVSWRWGGGYGGSAEDGGGASPWAVAAVVAVLLVLASHKPSFQMFRPPWHYK >ORUFI10G04190.1 pep chromosome:OR_W1943:10:4776362:4782398:1 gene:ORUFI10G04190 transcript:ORUFI10G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMLLHLHLRVVTVLMVMQAMSPVTTVAAAAQRPITLPGCPDKCGNISIPYPFGVKEGCYFDMTFSIACNLSTTPPAMFYAPLVLQAIGNYFEGQQNLEGIRPNMDGTTNELLDIDIARAEVRVTVPISSDCSMNESYHELNFSSITSPSISISSTKNVLVGIGQRFSALIDGETSATNYSASCNSLFDTPETAQNGACMGLGCCEAELAPGLDSIRVWMYKQSSNSMWKTFPCSYSMAVEKSWYNFSLQDLYGYGALERKFPRGVPLVLDFAIRNDSCPADGMTLPTACRSDNSRCVNATYGPGYLCKCKDGFDGNPYIPNGCQDINECELRDEQPALRDQYRCYGICMNTIGGYDCRCKFGTEGDAKTGTCTPMFPLAAMVATVGIIGVTFIMVTVLLFKLLFEERRKTKEFFVKNGGPILEKVDNIKMFKKEELKPIIQSCNVIGKGGFGEVYKGLLDNKLVAIKKSINVDKLQEKQFTNEIIIQSKVIHKNIIKLVGCCLEVDIPMLVYEFVPRGSLHDILHGSTKECLPLQKRLNIAAGAAEGLAYMHSKTSTTILHGDIKPGNILLDDNFDPKISDFGISRLIAIDKTHTKCVIGDMCYMDPVYLQSGLLTNKSDVYSFGVVLLELLTRQKASSSEDTRLVKRFLDAYTEDHKGAIDLFDREILLEGNTEVFHNLAILVVECLKFEVETRPEMTDVEERLQTMKRSYVPKSISDASSSIDT >ORUFI10G04200.1 pep chromosome:OR_W1943:10:4783905:4784241:-1 gene:ORUFI10G04200 transcript:ORUFI10G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREGTVVFAAMTLGSFPPQKLAIEVRGSPTYILGPLLFHNQCGTIQQSPPSHIGVPQPFTAPSPFHHGPSGIQAPQAPTVHQAFTQYIHWARNVKLAWL >ORUFI10G04210.1 pep chromosome:OR_W1943:10:4794489:4795055:1 gene:ORUFI10G04210 transcript:ORUFI10G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAATTACMPRLVRGGCRASTARPAASVSLLERIRDVVLRLIMLSAVSKASVKRTGGGKASSSSSPRSAPPPPVMTSCSRDDSIRNEAVEDCIEFLKRSSAEGDAAKLSSVTAAETVAVARDLAMATTKPSSPSCAITTDDAVTAHGAAPVKQPTILASTSPHLRQPRLSPPAPSTSPAAASSSPRLV >ORUFI10G04220.1 pep chromosome:OR_W1943:10:4803009:4803422:-1 gene:ORUFI10G04220 transcript:ORUFI10G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLFNVRNCFCFAAGKSDAARGGDRKKNVRANKKEINQPPATSTGGKRRLATTPAAATTRADVRPKLQPTTSSRPAAGAAAVRADTKPRKHPAAATARAHRGVEHRRRAASRQTTPAKDESANFMLMTFTTLIFLM >ORUFI10G04230.1 pep chromosome:OR_W1943:10:4803894:4806984:1 gene:ORUFI10G04230 transcript:ORUFI10G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAHEREEAKRYADKLGWPNSIVMAQSSGPLPTLTHSHRSGGDLGLGAFGVGAGGWWRLGAADADGRRESQELEASSCRLQRRASGARKPAGCRRSGRAEKRQQAPTRRGSARSSTTAAASTSRAAGSGSAGGVAARSSQQQQDKLASAVVYPVRRSVAPPAVQHLGDVLGQCNCGAPGCPEMIPAQAQFCCLRCKAAD >ORUFI10G04230.2 pep chromosome:OR_W1943:10:4804569:4806982:1 gene:ORUFI10G04230 transcript:ORUFI10G04230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLYLEVKPTLFFYSGFATAVVYPVRRSVAPPAVQHLGDVLGQCNCGAPGCPEMIPAQAQFCCLRCKAAD >ORUFI10G04240.1 pep chromosome:OR_W1943:10:4830604:4834265:-1 gene:ORUFI10G04240 transcript:ORUFI10G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAESNGGNSSSVATTASNGGRRRHELVPKLRLSPGYHFVPSDEELVDFYLRGKIEQRRLPMDFINEVDIMSFDPVKLIEKYKGYGEKRWYFFTVRKPSKTKKKDEPNRKVVVDGVEEGSWSATGSVAYICGKDHETVIGTKRVLTYKSARSAEEDKWSMHEYVMLDKSQLKQTYEAEKKAQEEEERGVKRKRTATRKWRKGDIDQTTSQEQEDQQQEAPPSGDPHDQSVVDAPYYSMQMTLGGEEEVAPVPWCADCMAQPDRIEYPAVWYNQQEQQPLQLGDRSMMTQGYIGDLSYIQNQFDQQQAHDHGSINAFDEALDQCHDTNFAWDNAGIYPGNNLLDGNLDDDTQDQFGNQATLGALTGELEYGTGYQFHDALQATPGSDDASAQSMGIQPAAGHSMGDDDETCCNDDLSSLLADISRILLDGNGVINKR >ORUFI10G04250.1 pep chromosome:OR_W1943:10:4843992:4848172:-1 gene:ORUFI10G04250 transcript:ORUFI10G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKMENGQQQQQEVRRRRNGEVVVDGSEILQLVENKEAFGKFVEQKFRLLDADGDGRLSVRELQPAVADIGAAIGLPARGSSAQADHIYSEVLNEFTKGKKESVSKSEFQRVLSDILLGMAAGLKRDPIVILRINGEDLNEFVESPRYEPEMAAIFSQVESGNSTLQQCMLAAIRQLTVDHGMPPASDSWVMENIIEPALQELHGDNLEQPVTQEVFFQEFRKFLAIVTQRLQGHPVIVAHTENTFDGNGIKKLLSNKLELDKLLDCVWRGVPKEKDRTAKQYIRVAFDRMADSINLPPYGAVEQVDAVVDEAFKMAKAEDGKAVDETEFKKLLTEILGAVMLQLDGNPISVSTNSVLHEPMSTSSTLLSPSPPSPMMLGNGKLGDQ >ORUFI10G04260.1 pep chromosome:OR_W1943:10:4853211:4856297:-1 gene:ORUFI10G04260 transcript:ORUFI10G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAGRGGPTDDGASFMNLRVAEEAHGAKQLRNVSDYGGVSYYSDAEGNGLTAALAMPKSMAHLMACDLMKLIASAHVLPLPSAMPGAATAAVVDSRRCTRHAEGPATVLAIGTANPANICPQDEFTDYYFGLTKSEHLTELKDKMKRICQKSGIEKRYIHLDDQLIRAHPEIIDKNLPSLKLVPKLAESAARKAITEWGRPATDITHLIFSTYSGWRAPSADLQLATLLGLRPTVSRTILSLHGCSGGSRALQLAKEIAENNHGARVLVALSELTLVCFSTPDESKIVGHGLFRDGAGAIIVGADPLADGERPLFEMVAASQTMIPGTEHALGLQATDKGIDFHLSIQVLTVIKDNIHQCLLDAFQSVGNKDPNWNDLFWAVHPGGRAILDNIEGKLQLEPGKLAASRHVLSEYGNMSGAMIAFVLDELHRRREKEEGVQQQPEWGVMLAFGPGITVEAMVLRNPFSTGIN >ORUFI10G04270.1 pep chromosome:OR_W1943:10:4856366:4856658:-1 gene:ORUFI10G04270 transcript:ORUFI10G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRGRYRMLQLICSNSCAIACVSIVPWPLGAHIDQLVNLSKTYPQEHLEEVATKESLTTIFSTEFSLSISHCVKPCTTMNRDPTAFYLLLN >ORUFI10G04280.1 pep chromosome:OR_W1943:10:4857231:4857984:-1 gene:ORUFI10G04280 transcript:ORUFI10G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQKSGIESIHLDEELIHTHPQIIDKYLPSLEAHLVSVEFAVWKAIAEWGRSATDITHLIFSTYFGCRALSMDLQLVTLLGLHPSVSRIILSTHGCSDSGRALQLAKEIAENIYTYRQPSIKALLDFS >ORUFI10G04290.1 pep chromosome:OR_W1943:10:4859734:4865022:-1 gene:ORUFI10G04290 transcript:ORUFI10G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDFLSRVLLLAFGYAYPAYECYKTVELNKPEIEKLIFWCQYWILVALLTVLERFGDFAISWLPLYSEAKLMFFIYLWCPRTKGTSYVYETFFRPYISQYENDIDCSILDLRVRAGDMLVVYWQKVAIIGQTTFFNILKYASAQSPAHSSRSRSTQQQSYPQKQQQAQPQQPKQSLPQQQQQQMPHKQPTTLRRAASAAARTAGIMQQSEDTKIAPSNPKTRRLLPTKSAPTASTRSTVAAMKPVEDLKSSGMKLATEEAPSPSSNAAMPGSEPSAPPLPKSAEDDMSIDEVDIPIEDMDEPVATPEETPMEEAIRVTRGRLRKRIAAVSTADGGAAN >ORUFI10G04300.1 pep chromosome:OR_W1943:10:4870978:4871799:1 gene:ORUFI10G04300 transcript:ORUFI10G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTESSASIASGGLAAPAPPTNPRNAIPAANPAANPISGAAHSAPANAPPPATECPVPPPPPLPRWSEVDEERILEILCDHLRRHDDLPQGVDLLMAVYGRLTRTDYSLAELEGLVTVLRRRFEENDAVLCSGSGGPAPGHQLRLYTLSLEVWGAAPTAVAPPKPAAAATPTTAPAKNAPGTSYPARQAGRPRQAAAPPAKKVRYEEMRVQYPRLAAKVDEMARKALEGVSDMTAWSLELRLQNQQLAGGSPAARTDDRAKELTGLISTLI >ORUFI10G04310.1 pep chromosome:OR_W1943:10:4874378:4874680:-1 gene:ORUFI10G04310 transcript:ORUFI10G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRLAEVAAAGTPPMAGGWLAEVAAAGRQWGGGGLPSHDRRLAGRGGGGGGGRSGGARPEGMARSGARGASGEAAGSRLVEAAAAQLGDGSSRTLPMR >ORUFI10G04320.1 pep chromosome:OR_W1943:10:4875725:4876111:1 gene:ORUFI10G04320 transcript:ORUFI10G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSAALLAIVITMSLLSVEVANGCGDTSCSNPSPPPPPAVPTPTGGTCPINVLNLAVCANVLSLNVPSSQCCTLLQGLADLDAALCLCAALKANILGVINVDALVDVTLILNSCNRTCPPGFTCPL >ORUFI10G04330.1 pep chromosome:OR_W1943:10:4884079:4885736:1 gene:ORUFI10G04330 transcript:ORUFI10G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEREYLEKLICSHVDGRHKASGFRFDCPPSFFFLKTSISSFPTSKANQSPLRINAMDESDEQSNGGGGGGHRGYEWKLPAALSANTTSVHVTALDGVVNVNSLFTVAVFVGLSLADPGELRSLAGDASCDAGQGVARSLLVLEVVAFSSFLFSSLVAQGLKLALNLINSKDPHDTLHAHIDARLLRLGMLASAVGSVVGCVFLMVSMVMVVQIRLGTLGCATNRAAAKAAAGLVGLVTTALAVYVGTVFYTFTH >ORUFI10G04340.1 pep chromosome:OR_W1943:10:4897247:4898833:1 gene:ORUFI10G04340 transcript:ORUFI10G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAKELHFLLVPLVAQGHIIPMVDLARLLAGRGARVTVVTTPVNAARNRAAVEGARRGGLAVELAEIAFTGPEFGLPEGVENMDQLVDIAMYLAFFKAVWNMEAALEAYVRALPRRPDCVVADACNPWTAAVCERLAIPRLVLHCPSVYFLLAIHCLAKHGVYDRVADQLEPFEVPGFPVRAVVNTATCRGFFQWPGAEKLARDVVDGEATADGLLLNTFRDVEGVFVDAYASALGLRAWAIGPTCAARLDDADSSASRGNRAVVDAARIVSWLDAQPPASVLYVSFGSLTHLRATQAIELARGLEESGWPFVWAIKEATAAAVSEWLDGEGYEERVSDRGLLVRGWAPQVTILSHPAAGGFLTHCGWNATLEAISHGVPALTWPNFSDQFSSEQLLVDVLRVGVRSGVTVPPMFLPAEAEGVQLTSDGVVKAVTELMDGGDEGTARRARAKELAAKARAAMEEGGSSHADLTDVIGYVSELSAKKRQERDAGETAQQPPPSPAELGDISGDKVEADPALSVQS >ORUFI10G04350.1 pep chromosome:OR_W1943:10:4902380:4902694:1 gene:ORUFI10G04350 transcript:ORUFI10G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAAIDVKTLLPARSYSSCCRPQPRPPMAETTAELPDLCGCRVFSSIREVALVMPRLSSANLDKGSEVSISSLWTDSDERSHLIVGCNGDLTTHPTKRKKKR >ORUFI10G04360.1 pep chromosome:OR_W1943:10:4910720:4912670:1 gene:ORUFI10G04360 transcript:ORUFI10G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPREAGGGSGIGGGCGAPMWMTPAAGSDGLGRVVDDDGPAEVEANPVGDGEAVSATASKRRRERRSRWQGDPVAGSGRTGNGGGEIRHARRSPHAMPPPCPSPAAPIAGCSRQLLRERERRGEREEREEEGEEEYDSTLRFYRKHHGAVKDPERTKLHCFKQPSSTNLCGYYMLEMLRVNRRYTTNINHTPEISYVANRFDNTTIMKVCADICHFIRCDCCNALGQSYDNESQLALEDKFKPLREWGKNICSKNLLFSLC >ORUFI10G04370.1 pep chromosome:OR_W1943:10:4917223:4923988:-1 gene:ORUFI10G04370 transcript:ORUFI10G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLSFVLLGIAQVKRSFALSPVLSYGHLITPYPEVMTRRLERRFLLDHGTNPSPSNASLPSTSTLARCPKRCGKFSFDYPFGIGQGCFRHVDFSLTCDMATQPPKLFLNNGSTEVLGDIGVDGLNIYFIHFNLIPITSTHVIPINSGGDVYNFSWKTPGDSFTIARQGMTFVVTSCDLDVYMVDQEKSTPILLGTIACPSKEISEMVYRQDSEGPGWYTIDSLPARTVQLQFVRHKTGNTQKYFNLTMLWDRINITVKANLAWNIVDQTRCLNNMEDDRKNHACISNHSSCVSSQYIDVGYACRCNDGYVGNPYIMDGCKLDDGYNPRPQKHNCSRQCGTIVVPFPFGLEEGCAAREVFQLSCLGKPNSVLQYNDFIGVQYINVSEGIVGIKYNSSFVEILFNMFSQFRFSSQGVVSNIFGKGPNLYVDPTESASVQWAVANLTCQQAQQNTSTYACVSTNSSCTRVISTMQGYVGYRCTCLPGYDGNPYIPDGCKGIIIGLTIGFGVLILGTIVIAIIRRWKRDVHKKMRRKYFQKNQGLLLEQLISSDENASEKTKIFSIEELKKATNNFDSTRILGRGGHGTIYKGILSNQHVVAIKKAKVIKEGEINDFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFELLHHDSSNTFPLSWGDRLRIAAEAAGALCYLHSAASISIFHRDVKSSNILLDANYTAKVSDFGASRSVPVDQSHVVTNVQGTFGYLDPEYYQTGQLNEKSDVYSFGVVLLELLLRMQPIFTTMSGMKQNLCTYFLSEIKTRPIIDLVDPPVLEEANEEDIRQVASLAEMCIKLKGEERPTMRQVEITLQLLRTERMTPSHVSPDRNQEIESLLTQGAIDQVMHALVNVDRANVASQRSQTSCYSLEKEFLSSASLPR >ORUFI10G04380.1 pep chromosome:OR_W1943:10:4944807:4945028:1 gene:ORUFI10G04380 transcript:ORUFI10G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAWQRCVVYGLRRLDDIPGNSDEMACNVAGAGDALQAPSSDAPAGILLEQPADEFEEDGNVDKSERTSVM >ORUFI10G04390.1 pep chromosome:OR_W1943:10:4946511:4947303:1 gene:ORUFI10G04390 transcript:ORUFI10G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQRRIAQGSEDMSQGSSFRAPGRQLSRFLLGPSGLPLVKCPRLVECKSWRQGGRVFFKCEKNEQYVPDACTFFKWYDSYQRMVEGMELDFNEEVATPVAIAAAGEADKVDEGKMDKLTKWMQLLVLINIGQGIL >ORUFI10G04400.1 pep chromosome:OR_W1943:10:4949961:4962888:-1 gene:ORUFI10G04400 transcript:ORUFI10G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAGDVGRHVRSAAGYVGRSAWRAEKETIAGAYRHACTTRRRGEFLVMPGMMEIASSPPVKGTAGRRSRRSLSSETSVDGDTSTSAAKLEEEPERSLDVVVGDAGAELKPFSMSHLMELSNQRHLMGQLLMHLLLWIGIA >ORUFI10G04410.1 pep chromosome:OR_W1943:10:4965347:4973751:-1 gene:ORUFI10G04410 transcript:ORUFI10G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVQLLLPVAQGANPCIALVTAGMAVLATACWLVIRLVFVKGNTDRRPCEADGMRMVPGSRGLPIIGETLEFLALSPSLQIPAFFQRRLDRYGPLFKTNMIMEDLIVSLDPEVTNFVFQQEERLFQMWYPDSFIRIIGTDSLIRTHGLLHRHIKNLALRLFGPENLRREIIHDVQKTVEASLSSWLNQPSIELKEAVSSMIFKVTAKKLISYDSSTSDGKMWKQYDAFTQGLFAFPLCIPGTAFYKCMQGRKNVIKMLKEILDERKNTEEHHESVDFFDVIEEVKAENPDQMTENAALDLLFLLLFASFETTSSGITAMLIFLTDNPEALQELIEEHNNIRKRKADKNSEITWEEYKSMKFTSHVIHEALRLASIAPVMFREAIEDVHIKGFAIPKESKIMICPYTVHLNPVVYKDPNTFNPWRWKISKNRIKECRLYSINSLHKVLICCMHVAIYVRNIPEPASGASKDFMAFGGGLRLCVGAHFANLQMAVFLHCLVTKYSWKAIKGGRMALSPGLRFPEGFHIQLFPKA >ORUFI10G04420.1 pep chromosome:OR_W1943:10:4974651:4975353:-1 gene:ORUFI10G04420 transcript:ORUFI10G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDERLQFLLLIWRASWHLRNDSLFGSGKSPIQASVVFLQGLWESVVQIRSNGAVDSKDLCKQSETKWQAPPSGWAKINVDGAFVSQTSKGSVGIVIRDHECNVLLSAWSVMRHCTSAEEVEAAACRDVMVLIKLANEWIKMPLILETDCANVSSVLKATGEDRSQLWNIFQEARLALALSHKYQIVSVPIRPRYGVPRPQGVCLSCWLWIVIMLT >ORUFI10G04430.1 pep chromosome:OR_W1943:10:4984444:4987403:-1 gene:ORUFI10G04430 transcript:ORUFI10G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRSARARARPPLAMPLAVLLLFACSSGVAAAAAQGIERIKDDPVGKLKVYVYELPPKYNKNIVAKDSRCLSHMFATEIFMHRFLLSSAIRTSNPDEADWFYTPVYTTCDLTPWGHPLTTKSPRMMRSAIKFISKYWPYWNRTEGADHFFVVPHDFAACFYFQEAKAIERGILPVLRRATLVQTFGQKNHACLKDGSITVPPYTPAHKIRAHLVPPETPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPMFDISTDHPQTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFSDAIPWEEIAVFVAEDDVPQLDTILTSIPTEVILRKQAMLAEPSMKQTMLFPQPAEPGDGFHQVMNALARKLPHGRDVFLKPGQKVLNWTEGTREDLKPW >ORUFI10G04440.1 pep chromosome:OR_W1943:10:5005175:5006602:1 gene:ORUFI10G04440 transcript:ORUFI10G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRRGRAAHSADAAPPCHHKGWLSVVSHSRRHGGTRKERRGGGGEGTLSLLPDDVLGAILASGFLTAVDVVRCAASCRRWRRVVGTRSAYISRGLPPLGGYLSGLAVGVFTAPIARARRRTPQFVSTAAGALLLGDRRISLVDGIQVDGELLEHARPVASRNGRLVLQLRPTGGDLALCVCNPMTGELTMLPPLSGDDKTRRGWFAYGCALLTGDDFDTPRPPYASFRLLLLYNHGASTTVLRCYSSSSGRWGKEVDITGVASISGEKMRQIGPAAVRRGGAAFWPLDDGALGVRLDVERPDAMDVHLLPYTSPHYWPEKRLLGVTAADNRLFFVSFGIWEGCLSGAVSYFDIDGDDIGTGRENSDRDGEVLYPMFDIKMRRRHDQSTLKLRWFCEKSGLVLFTLGEGSGYPGTFALDVRSPAVEKAVNGYSVSWRDVHLESPAMVKVADGHSWSSFVGYEMDMATYLAALAA >ORUFI10G04450.1 pep chromosome:OR_W1943:10:5043695:5046123:1 gene:ORUFI10G04450 transcript:ORUFI10G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEQAPAYPLPPSAPRPTFLHSFLAHDFSGTCCPVIFCFLCARSFCRSCCQGHSSKHHPGRRPSIVEVTQFRRDWVVSAEDVDGVGYNWNGIQRVKNHGKKVLYIRRLLVKPQHNMPLTCKCGDRMQCRASFCCIGCRLNNVLSGQRRDVVAVLVATNFSEARLANQFCTICRKSFSSSCCTDHMGCHHPGIEDENNEHVIGIERHPVNGYILTPRHGALADVIFDHIQTLDLEGQLLIAIHRYSHGIIQGTMCPCSRIIALGFLYCSLECKDNHFWN >ORUFI10G04460.1 pep chromosome:OR_W1943:10:5046976:5055698:-1 gene:ORUFI10G04460 transcript:ORUFI10G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSSSSTPHALTLLTSSPRFLHLPLCSPSPRRRHAGGGALLLRPPHRRRAEPGGVRHGVRGEPPWRWVGRRLPRRLRSSPPPCSWSGYGGTAGILPRRRRIVNNKALGTSTDLLDDSSYSSRRTHENVFSEPSVSGRMTVDRTDCQTDVFSGVDTVNNTTQVGSVISTYRSLDTFEGVYGPANTSSIEKVQDILPQSRSVSDGQHQSRHFANRRAQLGGLPHQFLSLSKQNEQTKNGQGPPDNKTDSEGANLLGCHQSDQGDYVDFTSLSSFKRINEHPLNFIPEAHASNLFEPRKASEFTNSYAGGSYLRAGRLVPVACLRDGPVSKQKKAMNDHDDAKLIGWSISNLLSKENPENSTSANRAGLRGTKDVPDYLRRYNSLLIDGRLKDSVDLLESMEQKGLLDMNKIHHASFLNACKKQRAVPEAVRFCKLINNPKMSTFNMLLSVCSNSQDFDGALQVMVLLKEAGLKPDCKLYTTLISTCAKCGKVDAMFEVFHEMVSAGIEPNVNTYSALIDGCAKAGQVAKAFGAYGIMSSKKVKPDRVVFNALISACGESGAVARAFDVLSEMTAEASESKGSKPILPDHVTVGALMKTCIQAGQADRAREVYKMLQEYNIKGTPEVYTIALRSCSLTGDLGFALKIYEDMNKIGVQPDEMFLSALVDVAGHARRADAAFEIMKDARAKGYQVGTIAYSSLMGACCNAKDWKKALQLFEEIKSIKLMPTVSMMNALITALCDGDQVLKSFEVLSEMKRLGVCPNMITYSVLFVACERNAEAQLGLDLFEQLKIDSIDLNPTIVGCLTGLCLQMFDNDLSLGNIVVTFNLGKPQIENKWTSSAIKVYREAISTGLLPSSDVLSQVLGCLRFPHDNTLTNTFIENMGISCDIPHHPNVNSLLEGFGEYDIRAFSILEEAASLGAVESISMKDTRILVDARKSKIYTAEVSVLTTLRSLKHRLAAGARLPNVTILLPTEKKQVGLDEREKTLKLAGRVGQAVGSLLRRLGIKYHGEESHGKMRINGLTLRRWFNPKLTSTSSTGTPADLLPLPSRLAKGIADQQRNIRNLSLE >ORUFI10G04460.2 pep chromosome:OR_W1943:10:5046976:5054734:-1 gene:ORUFI10G04460 transcript:ORUFI10G04460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSHEHDKRIVNNKALGTSTDLLDDSSYSSRRTHENVFSEPSVSGRMTVDRTDCQTDVFSGVDTVNNTTQVGSVISTYRSLDTFEGVYGPANTSSIEKVQDILPQSRSVSDGQHQSRHFANRRAQLGGLPHQFLSLSKQNEQTKNGQGPPDNKTDSEGANLLGCHQSDQGDYVDFTSLSSFKRINEHPLNFIPEAHASNLFEPRKASEFTNSYAGGSYLRAGRLVPVACLRDGPVSKQKKAMNDHDDAKLIGWSISNLLSKENPENSTSANRAGLRGTKDVPDYLRRYNSLLIDGRLKDSVDLLESMEQKGLLDMNKIHHASFLNACKKQRAVPEAVRALQVMVLLKEAGLKPDCKLYTTLISTCAKCGKVDAMFEVFHEMVSAGIEPNVNTYSALIDGCAKAGQVAKAFGAYGIMSSKKVKPDRVVFNALISACGESGAVARAFDVLSEMTAEASESKGSKPILPDHVTVGALMKTCIQAGQADRAREVYKMLQEYNIKGTPEVYTIALRSCSLTGDLGFALKIYEDMNKIGVQPDEMFLSALVDVAGHARRADAAFEIMKDARAKGYQVGTIAYSSLMGACCNAKDWKKALQLFEEIKSIKLMPTVSMMNALITALCDGDQVLKSFEVLSEMKRLGVCPNMITYSVLFVACERNAEAQLGLDLFEQLKIDSIDLNPTIVGCLTGLCLQMFDNDLSLGNIVVTFNLGKPQIENKWTSSAIKVYREAISTGLLPSSDVLSQVLGCLRFPHDNTLTNTFIENMGISCDIPHHPNVNSLLEGFGEYDIRAFSILEEAASLGAVESISMKDTRILVDARKSKIYTAEVSVLTTLRSLKHRLAAGARLPNVTILLPTEKKQVGLDEREKTLKLAGRVGQAVGSLLRRLGIKYHGEESHGKMRINGLTLRRWFNPKLTSTSSTGTPADLLPLPSRLAKGIADQQRNIRNLSLE >ORUFI10G04470.1 pep chromosome:OR_W1943:10:5056392:5065000:1 gene:ORUFI10G04470 transcript:ORUFI10G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAILARAGATAAGGLRSGGDVRRRRNMVAIVAATTTTGAGPAVPKEGSLERPAWSGETPLSRLVGALIAFKPLYSLMKLASREVIIRTAEKSNVPWRELTKQVLESDVYEVFDRIRDLNLVYPDYYLNPFHAYDEGNLSWLAAAEAEPATMSIAKRAIPDAGSIEEANQIVRGNWLNAIEEHHLKYSGNCQINDILDIGCSVGVSTRYLAEKFPSARTVGLDLSPYFLAVAAHKEEKLSRQNPIRWVHANGEATGLPSNSFDLVSLAYVCHECPARAITGLVNEAFRLLRPGGTIALTDNSELSPVLFTLMKSTEPFLDEYYMLDLEETMRQAGFVNVHSILTDPRHRTVTATVPF >ORUFI10G04470.2 pep chromosome:OR_W1943:10:5056392:5065000:1 gene:ORUFI10G04470 transcript:ORUFI10G04470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAILARAGATAAGGLRSGGDVRRRRNMVAIVAATTTTGAGPAVPKEGSLERPAWSGETPLSRLVGALIAFKPLYSLMKLASREVIIRTAEKSNVPWRELTKQVLESDVYEVFDRIRDLNLVYPDYYLNPFHAYDEGNLSWLAAAEAEPATMSIAKRAIPDAGSIEEANQIVRGNWLNAIEEHHLKYSGNCQINDILDIGCSVGVSTRYLAEKFPSARTVGLDLSPYFLAVAAHKEEKLSRQNPIRWVHANGEATGLPSNSFDLVSLAYVCHECPARAITGLVNEAFRLLRPGGTIALTDNSPKSKVLQELSPVLFTLMKSTEPFLDEYYMLDLEETMRQAGFVNVHSILTDPRHRTVTATVPF >ORUFI10G04470.3 pep chromosome:OR_W1943:10:5056392:5065000:1 gene:ORUFI10G04470 transcript:ORUFI10G04470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAILARAGATAAGGLRSGGDVRRRRNMVAIVAATTTTGAGPAVPKEGSLERPAWSGETPLSRLVGALIAFKPLYSLMKLASREVIIRTAEKSNVPWRELTKQVLESDVYEVFDRIRDLNLVYPDYYLNPFHAYDEGNLSWLAAAEAEPATMSIAKRAIPDAGSIEEANQIVRGNWLNAIEEHHLKYSGNCQINDILDIGCSVGVSTRYLAEKFPSARTVGLDLSPYFLAVAAHKEEKLSRQNPIRWVHANGEATGLPSNSFDLVSLAYVCHECPARAITGLVNEAFRLLRPGGTIALTDNSPKSKVLQELSPVLFTLMKSTEPFLDEYYMLDLEETMRQAGFVNVHSILTDPRHRTVTATVPF >ORUFI10G04470.4 pep chromosome:OR_W1943:10:5062003:5065000:1 gene:ORUFI10G04470 transcript:ORUFI10G04470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAILARAGATAAGGLRSGGDVRRRRNMVAIVAATTTTGAGPAVPKEGSLERPAWSGETPLSRLVGALIAFKPLYSLMKLASREVIIRTAEKSNVPWRELTKQVLESDVYEVFDRIRDLNLVYPDYYLNPFHAYDEGNLSWLAAAEAEPATMSIAKRAIPDAGSIEEANQIVRGNWLNAIEEHHLKYSGNCQINDILDIGCSVGVSTRYLAEKFPSARTVGLDLSPYFLAVAAHKEEKLSRQNPIRWVHANGEATGLPSNSFDLVSLAYVCHECPARAITGLVNEAFRLLRPGGTIALTDNSELSPVLFTLMKSTEPFLDEYYMLDLEETMRQAGFVNVHSILTDPRHRTVTATVPF >ORUFI10G04480.1 pep chromosome:OR_W1943:10:5066811:5079494:-1 gene:ORUFI10G04480 transcript:ORUFI10G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMAGGTGGAGGGGGRALDCRSFWKAGAFESASAPSREFHDALEAGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDEICNGATFIKVDKSVNLKDNSTMLVFQDDGGGMDPEGVRRCMSLGFSTKKSKKTIGQYGNGFKTSTMRLGADAIVFTRANRGSNVTLSIGLLSYTFLRRTMKDDIVVPMLDFKIQDGHIVPLVYGSQGDWDSSLKIILEWSPFSSKEELLQQFKDIVSHGTKVAIYNLWMNDDGLLELDFEDDDEARCFYDILLRDQDKASGGVTKAQKEIVQQHISHRLRFSLRAYTSILYLKKFENFQIILRGKPVEQIRIADELKFKKLVTYKPQVAHDSQVVSVKVDVGFAKEAPVLGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVVGVLEANFIEPAHDKQDFERTPLFIRLEAKLRQIILDYWKEKCHLIGYQPVDPQLRSQYKAALKDSGNPGPKSQQKASTSRRTGGHTSNLLPETYDDTEVIGLTANGSGSRVRSFGQAQENSMEPVGLDENSVDIGSEGALDPYIMEKLSEENIGLFTRREELRQRDTQLRQTVGELEQQLAETKRKCAQLADDLRIRKSQQQQQPPYI >ORUFI10G04480.2 pep chromosome:OR_W1943:10:5066811:5079494:-1 gene:ORUFI10G04480 transcript:ORUFI10G04480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMAGGTGGAGGGGGRALDCRSFWKAGAFESASAPSREFHDALEAGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDEICNGATFIKVDKSVNLKDNSTMLVFQDDGGGMDPEGVRRCMSLGFSTKKSKKTIGQYGNGFKTSTMRLGADAIVFTRANRGSNVTLSIGLLSYTFLRRTMKDDIVVPMLDFKIQDGHIVPLVYGSQGDWDSSLKIILEWSPFSSKEELLQQFKDIVSHGTKDILLRDQDKASGGVTKAQKEIVQQHISHRLRFSLRAYTSILYLKKFENFQIILRGKPVEQIRIADELKFKKLVTYKPQVAHDSQVVSVKVDVGFAKEAPVLGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVVGVLEANFIEPAHDKQDFERTPLFIRLEAKLRQIILDYWKEKCHLIGYQPVDPQLRSQYKAALKDSGNPGPKSQQKASTSRRTGGHTSNLLPETYDDTEVIGLTANGSGSRVRSFGQAQENSMEPVGLDENSVDIGSEGALDPYIMEKLSEENIGLFTRREELRQRDTQLRQTVGELEQQLAETKRKCAQLADDLRIRKSQQQQQPPYI >ORUFI10G04490.1 pep chromosome:OR_W1943:10:5102933:5113779:1 gene:ORUFI10G04490 transcript:ORUFI10G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVRRACCLLRLSSSSHPPATRVLPAAIYLTSSSSAAASAPAPPAMAASTEWPASRVRETFISFFESKSHTRWPSSPVVPVDDPTLLFANAGMNQFKPVFLGTAAPDAPLGRLRRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKEEAIGFAWELLTQVYKLPTDRIYATYFGGDEKFGLAPDTESKNIWLKYLPKEKVLPFGCKDNFWEMGDTGPCGPCTEIHFDRVGNRDAASLVNNDDPTCIEIWNLVFIQFNREADCTLRPLPAKHVDTGMGFERLTSILQNKMSNYDTDVFMPIFDAIHQLAGNGIQSYSGKVGPEDVDKVDMAYRVVADHIRTLSFAIADGSQPGNEGREYVLRRILRRAVHFGHQKLKAKQGFFSSLVHVFVQLMGDVFPELKDNEKKIKDIIKDEEESFENTLAKGFEKFKKAADAVKESGGTDAFVLWDTYGYPVDLTEVMGVDYGLSVDREGFDAAMEEARQKARNARFKAGENSIVLDANATAQLRNQGLASTDDSPKYGYKDNDSVVKAIYTGSEYVSAASGDEDFGLVLESTSFYAEQGGQIYDTGKIEGSFGSFNVTNVQVFAGYVLHMGSFTKGSKALSVGDKVACKVDYARRALIAPNHTCTHMLNFALREILGDHVDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIESIVNQQIKDKLEVFAREIKLADAKRINGLRAVFGEIYPDPVRVVSVGRKVEDLLANPESKEWLSISTELCGGTHIKNTSEAEAFALLSEEGIAKGVRRITAVTAKHASDAIKDASSIDSEINEASKLEGAILEKTIASIKSKLDTALIPAARKADLKGRVSKLEDELRKAKKKMGEENIQKAVKFAIDAAQTALSEGKRFCVAHVDVGLDTSAIREAVIKDLPIMLFSTDEATNKAVIYAGVPPSAANSLKVLDWLTPSIAPLKGKGGGGKNGIAQGQGTEASQLKEAMEVATQIASMKLV >ORUFI10G04500.1 pep chromosome:OR_W1943:10:5115920:5116807:-1 gene:ORUFI10G04500 transcript:ORUFI10G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEAIPEAWKELVRSLGTEQLVGAIYVALDDFNARERDTIPPELWRRLGDRSAAYRNPFVSDGGSSSGSGSGEVERIKVKLVAVGGEDGSGGGGGGTSADSSEDAVVDLLRDLQAVPMTFETLEASKIGKTISGLRKHSSSEKVRRLAAALYKSWKAIVDEHLSRSSSKPPTPTKTASAPAAADHAKKANTAAAGHVKTPAAAPKTAACSKRKEAPAPPEMDEAKLEAARKKLRERYTEEETAKKQRKIQIINNALGKAKQRPAVVEQRRRVVRDTVVAVASRAPVRSSLRM >ORUFI10G04510.1 pep chromosome:OR_W1943:10:5117172:5117975:1 gene:ORUFI10G04510 transcript:ORUFI10G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEAMAAAVREMVRSMGAEQLDEAIAFATMELAGRDIPFEDVFRLCDEQELRRAKKSSMAEEVERIKGKLVGGEDGGRPSSDSSEETVVELLRALRSTPMTFETLEASRIGKTISGLRRKHSSEKVRGLAAALYKNWKAIVDEHLTRSSSKPPAPAPTKTASASDHAKKTDMAAAHKPAPAPSPRKTASNKHEAAPARADDAKLAAARRKLQDGYKEAASAKKQRVIQVIDTPKKVNRRPVAVVERRRIMPGVATVAPLRMCRAV >ORUFI10G04520.1 pep chromosome:OR_W1943:10:5118889:5122270:-1 gene:ORUFI10G04520 transcript:ORUFI10G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECVLDEYSTKHRISINRFLQLKIFVKVHDRGCLHVKSGSQFPVLESNSVHGNEISLSCESEVHPWYLRYIMFQPLKESCIHSGDSNFLDLEWLST >ORUFI10G04530.1 pep chromosome:OR_W1943:10:5133682:5134823:-1 gene:ORUFI10G04530 transcript:ORUFI10G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARIKEFLALLIPFLGVHLGAATIILTRRRLSGSILARADPLLPWIRKPWPR >ORUFI10G04540.1 pep chromosome:OR_W1943:10:5141455:5147125:1 gene:ORUFI10G04540 transcript:ORUFI10G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQMFTVRDVLYMYTDARTAYDRFVGIGSNPEQARNAVALLVWLDQCNVPAIQHLPGLSPTAVSLVAAEANSVLDCLRRPEPVVPAIPLISALCQDGDVDPRFFAFHQDLVVRGVADILDGVGSLIFDDHLNKMLRRYQTGLVGNPPELMATYSCLPVAVPEDCRSMFITFSRGAPIDREEIFDYFRQKWGDCVVRVLMEKTAGGSQPMYGRIIFRSEAFVQLVLNGERLVKVTIRHRQIWLRKYEESSVLLVLNLKCPDLPVDLVQQATVCPQFSSAGPSISRVCTQTIGDATAGAAAARRRFSFASQKSIRDSAALAAPRHCHVPTTGAACWHHRPAAADLMEDNINTDNMADSSHAVAK >ORUFI10G04550.1 pep chromosome:OR_W1943:10:5150388:5152031:-1 gene:ORUFI10G04550 transcript:ORUFI10G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGCWTAGPHQRQPLLRKKLRELRHRCQEGSLRDIVFCMRADLLRNLGNTCNSKIRRFCTNLNLYYASMFLFQNAGRFNTQIFERRPKLAKQRGMDTSVKEGGSLLAALDQPCLQSFAVMPVLEGHAVVPHVHRLRTWLSAASGCGLLRDVAVGRVAMRQMNGLKQQYV >ORUFI10G04560.1 pep chromosome:OR_W1943:10:5163480:5167073:-1 gene:ORUFI10G04560 transcript:ORUFI10G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRLGDLVIQEATFLSDVPRQVSSMKAELSEMQCFLNVVDAKCLEGNSMMKNLASNIQDVAYRVEEVIDNAHFIFRRRKTSVSKYTHIFGDSIDLREVGKNIQVIRKEISEIFERYNRYNAVNSSTSTEAQPIFREDEDFYAQRIVPPGLDQGMDIVGFDHEIAQIKSYLLDQNNMNLTVISIVGQAGAGKSTLAKLAYSSVITEGYFHKYGWVSISPKYSALEVLRDLVRQIRGTGKISERKSMHLNFYGETEVSKLIFDFLKEERYLIVLDDIWTTDTWDKIKLDFSHFGPKCEKSSKIKSVFPDKGNGSRIILTTRDMEVGQHPKTKVQIHTPDLLDEDKSWELFQKKAFPHDVQFTELEVVGKKLSKKCNGLPLALVVLGCFLSRNHNIHTWEKMVASVDWEIMKKEGDVGRILALSYHNMSNNLKACFLYTASFPEDYPITVHVLKKMWIAEGFVPNIRGYTQEEVAYRYVEELAQRCMIQIEERIHDVLREWGIGQARKEGFLKVCSSGTDVETYYADEQRCYRVAFHGYFDNEVGKSVLNLRSVLAFNPDGKRLFSFNGLHLLRVLHFCSSLKTCTLPEEINKLVHLRYLGLEGSTVFMFPSYMKGLRNLQILEASTATVKALPSSLWSIAALKHVHVYQVLHWKAQEIRTKRSLQTLYCDALTWKRTIRSLQKMSQHVSWCLGIASTKRVKEKETQEHEEYNLDIRVDALESKVDGLELSGCFKERHVLNDVLPHHNLFPNFLLQLKISCPNILNDDPMPILERLPRLEVLEIVNSSYTGKRITCSSEGFLALRSLVLMDIGLEEWNLQQGSMAFLAVLTLKCTMLRSISNVLHQLDDLVELRLICMPQLSVDDHEPARGRGCRVMISVDEEQTSDT >ORUFI10G04570.1 pep chromosome:OR_W1943:10:5172861:5206838:-1 gene:ORUFI10G04570 transcript:ORUFI10G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRKARAPPAADATAAAALLDYRLLAHILLRIRSPAALARAATVCRLWRRVASSPTFLRLFRQLHHPPPLLGFFVCNNGSAVSRSIVDGELVGEVVDPTFLPRLTPRGFLGAVNRCVDFSLDSLPYDERWALADTHDGLLLLRTNFADRMDIPDSFAVCDPVSGRSVLLRVAPVTGSAYLGAALHTDDSDAGGVVCSFEFEVILVTCYNMWEPRLYVFSLRSGQWTVHAYIPMLPLLSAFSGDMHANGSVYWLIDDGGDGGAYLLALDARTKQFSIIKLLSSMRTRYDGNMRVIRFDDGELRVVAFAAAAAPLEFWHLDKSRSSRGRWVLESRVELAHVDGVMELCVDADDDVTRIMDAGEGFVFLKHYGSEWVFALDLQAMVLFRLPHRRYYFGPALPYRMVLKPPLPALAGLLREEDKKPWTVDSVDAYACALLTADDLDDPPPSSPTFFRVLVVYNRRTFTALRSYSSDTGRWSAEARRSSGPKMSSYTLHNLRQSVVHGGHWALADTHDGLLLLCTKFADRMDIPDNFAICDPVSGRSVLLHVAPVTNSAYLGAALRTDDSDGGGVVCSFEFEIILVTCYNMIMDAGEGFVFLKHYGSEWVFALDVQAMVLFRLPHRRYYFGPALPYRLGLKPPLPASCGGEXIHLLFNEVDLGAFTNCSSALGTLKPSRLVLRLFIDSEMLTMMEGPSQTVLRTFIDLIKDLHKLLFSPSLSDDDVNSDGEMKMAGNGKRKARSPPAMDATAELDDRLLAVILLRLPSAAALARAATVCRRWRRVASSPTFLRLFRRLHRHATPLLGFFVCNNGFAVSRKVGGELVGEVVDPAFLPTFHPVPREFEGAIRRCGHFSLASLPDVDRWALADTRDGLLLLCSTFSDRMSIPRNFVVANPVSGRSVLVRDARFYQLDAESAYLGAALRIDDDNDGGAGGVLCFEIIVVTYFMPGPRLCVFSSRSGAWTVHPYSDAGTAIMPMLGAFSDDMHTNGSVYWLIDDDDDDDDNPYLLALDARTKQFSNIKLPRAMRTRYRGNMCVMRSDDGELRVVAFAAAALDFWHLDKSRSSRGRWVQESRLDLVRAHGAPLFFADADGYPTRIMDAGEGFVFLKHYGSGWVFALSLETMMFIDLPHRRFYSGPALPYRMALHPPLPALAD >ORUFI10G04570.2 pep chromosome:OR_W1943:10:5178803:5206838:-1 gene:ORUFI10G04570 transcript:ORUFI10G04570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRKARAPPAADATAAAALLDYRLLAHILLRIRSPAALARAATVCRLWRRVASSPTFLRLFRQLHHPPPLLGFFVCNNGSAVSRSIVDGELVGEVVDPTFLPRLTPRGFLGAVNRCVDFSLDSLPYDERWALADTHDGLLLLRTNFADRMDIPDSFAVCDPVSGRSVLLRVAPVTGSAYLGAALHTDDSDAGGVVCSFEFEVILVTCYNMWEPRLYVFSLRSGQWTVHAYIPMLPLLSAFSGDMHANGSVYWLIDDGGDGGAYLLALDARTKQFSIIKLLSSMRTRYDGNMRVIRFDDGELRVVAFAAAAAPLEFWHLDKSRSSRGRWVLESRVELAHVDGVMELCVDADDDVTRIMDAGEGFVFLKHYGSEWVFALDLQAMVLFRLPHRRYYFGPALPYRMVLKPPLPALAGLLREEDKKPWTVDSVDAYACALLTADDLDDPPPSSPTFFRVLVVYNRRTFTALRSYSSDTGRWSAEARRSSGPKMSSYTLHNLRQSVVHGGHWALADTHDGLLLLCTKFADRMDIPDNFAICDPVSGRSVLLHVAPVTNSAYLGAALRTDDSDGGGVVCSFEFEIILVTCYNMIMDAGEGFVFLKHYGSEWVFALDVQAMVLFRLPHRRYYFGPALPYRLGLKPPLPASCGREENKKPLILDSMDGVD >ORUFI10G04570.3 pep chromosome:OR_W1943:10:5178803:5206838:-1 gene:ORUFI10G04570 transcript:ORUFI10G04570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRKARAPPAADATAAAALLDYRLLAHILLRIRSPAALARAATVCRLWRRVASSPTFLRLFRQLHHPPPLLGFFVCNNGSAVSRSIVDGELVGEVVDPTFLPRLTPRGFLGAVNRCVDFSLDSLPYDERWALADTHDGLLLLRTNFADRMDIPDSFAVCDPVSGRSVLLRVAPVTGSAYLGAALHTDDSDAGGVVCSFEFEVILVTCYNMWEPRLYVFSLRSGQWTVHAYIPMLPLLSAFSGDMHANGSVYWLIDDGGDGGAYLLALDARTKQFSIIKLLSSMRTRYDGNMRVIRFDDGELRVVAFAAAAAPLEFWHLDKSRSSRGRWVLESRVELAHVDGVMELCVDADDDVTRIMDAGEGFVFLKHYGSEWVFALDLQAMVLFRLPHRRYYFGPALPYRMVLKPPLPALAGLLREENKKPLILDSMDGVD >ORUFI10G04570.4 pep chromosome:OR_W1943:10:5172861:5178788:-1 gene:ORUFI10G04570 transcript:ORUFI10G04570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSLEAHNPSLGQKMAGNGKRKARSPPAMDATAELDDRLLAVILLRLPSAAALARAATVCRRWRRVASSPTFLRLFRRLHRHATPLLGFFVCNNGFAVSRKVGGELVGEVVDPAFLPTFHPVPREFEGAIRRCGHFSLASLPDVDRWALADTRDGLLLLCSTFSDRMSIPRNFVVANPVSGRSVLVRDARFYQLDAESAYLGAALRIDDDNDGGAGGVLCFEIIVVTYFMPGPRLCVFSSRSGAWTVHPYSDAGTAIMPMLGAFSDDMHTNGSVYWLIDDDDDDDDNPYLLALDARTKQFSNIKLPRAMRTRYRGNMCVMRSDDGELRVVAFAAAALDFWHLDKSRSSRGRWVQESRLDLVRAHGAPLFFADADGYPTRIMDAGEGFVFLKHYGSGWVFALSLETMMFIDLPHRRFYSGPALPYRMALHPPLPALAD >ORUFI10G04580.1 pep chromosome:OR_W1943:10:5214720:5220309:1 gene:ORUFI10G04580 transcript:ORUFI10G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIQKKRHGGGAGGGGGGGGGGARLQGGIPFEKSKGQHILRNPALVDSIVEKAGLKPTDTVLEIGPGTGNLTKRLLQAGVKAVVAVELDPRMVLELNRRFQGDPLASRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPIFRCAVIMFQREFAMRLVAQPGDSLYCRLSVNVQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRLCFNRKNKTLGAIFKQKRVLELLEKNYKTMQSLQLTSDAEKGEEKMSPDDVALLSSMVDDMNMESGYENDDDDEMEMDDADMVVESRACFKEKIMGILQQGDFAEKRASKLSQVDFLYLLSLFNKAGIHFS >ORUFI10G04590.1 pep chromosome:OR_W1943:10:5231024:5238700:1 gene:ORUFI10G04590 transcript:ORUFI10G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPSFPALHGGSASPLLPAYGRGGARDSPTPLAAAALPDPAVGFQCLVLASFSLQVFLHFFSSIRKGNTSRLLSSLLWLAYLLADYVATFTLGRLTLHVDDPRHQLVLFWTPLLLLHLGSQETISAFSIEDAMLWKRHLLGLVSQVALAIYIVAKSWRPDKQLLGPLVLMFISGTIKYAERTWALMTASSSMSPGSDSMADHVLGVQDDVILDAKSYFDELHSIFPGKNVLDIEGHNGGRRTDDDGYEGLVMAAGKGFRLCLDFLTDMTPFLVWSNTDTIIDSAIKKLRTSNPETQVQMAYKLVENQLSLIYDYLYTKYGALQFRLGLVSSGIERLITFFSTLAALGLFVGANLKGPFNYSREDVMVSYVLLAGAITLDISSIFMLISSYWLQLHRRGGLFGCSFSLAKCVHAGSKLLWSEKIAQYNLIDACIQEERGGIICGWVMRRTGIVSDINMSNTVSPELKKLVLDKLFEVASTRSVSDYWDWDFSKYRGMWLQWWLQEGRIQADIAQGILTDGITDTDLYFPMTVIVWHIATEMCWFADEDDYSPCRAPSMELSRYVMYLVAKRDVMSGSNGHFELGKARRQVKRILEGRGISDERGLLKYARQATGQVTEPCFGRARAISEHLLKISNRALRWELISMLWIEMLCYLGPNCGAQFHAKHLSTGGEFVTHVRILLVVLGIPFLSSLTRALQLWNEWEIQCLVLASFSLQVFLHLFSSTHKANTSRVLSLLLWLAYLSADYVATFTLGRLALYVGDPHHHHQLVLLWTPLLLLHLGSQETISAFSIENAMLWKRHLLGLITQVTLAIYIMVKSWHPDKQLLAPLVLMFISGTIKYVERILALMAASRAMEPGGDSVADHVMDVQDDVIIDAKSYFRQLHSIFPGKDVQDLDVRDGRIREADEAYQGLVMAAGEGLRICLGFLTDMTPFLVWSSKEDTIIERTVEKLRSSDPDTLVEMAYKLVEIQLSLIYDYMYTKYGALQFRLGLVYSVIARLITFCSTSVALRLFVGTDLKGPFNYRREDAMVSYVLLVGAVTLDISSIFKLISSYWLQLHQTGGLFGCVFSLVRFVNPWSKPLWSEKIPQYNLIDACIQEERGSIICGWVVRKTGIMSDIDMSKTVSPELKKLVLDKLIEVATTRSVSDYWDWDSSKYSGMWLQWWLQEGRIQDDIAQGILTVGITDTALYFPMTVIVWHIATEMCWFADEDDRSPCRVPSMELSRYVMYLVAKRDMMSGTNGHFRLGKARRLLKRILRASTVHDEKTLLRYVRQSPGVTEPCFSRGRVITDHLLKISNGAQRWELISMVWIEMLCYLGPNCGAQFHAKHLSTGGEFVTHVRILLVILGIPFLRSDMKPGKF >ORUFI10G04600.1 pep chromosome:OR_W1943:10:5252011:5260724:1 gene:ORUFI10G04600 transcript:ORUFI10G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGGGCCPSMDLMRSEAMQLVQVIIPAESAHLAVSYLGDLGLLQFKDLNADKSPFQRTYASQIKRCGEMARKLRFFREQMSKAAIATSTQFSGTSLEIDDLEVRLGELEVELTEVNANNDKLQRTYNELVEYNIVLQKEMVTDPSKQVKLGSLSGLVPKEKAMAFERILFRATRGNMFLRQEPVDETVTDPLSGEKVIKNAFVIFYSGERAKSKIVKICDAFGANRYPFPEDLGKQLQTIQEVSGKISELKATIEIGLAHRDSILKNISSEFEQWNTLVKKEKAIYHTLNMLSLDVTKKCLVAEGWSPVFATSQIQDALQRATVDSKSQVGSIFQVLNTQESPPTFFQTNKFTSAFQEIVDAYGIAKYQEANPGVFTIVTFPFLFAIMFGDWGHGICLLLATLYLIIREKKLASQKLDDIMDMMFGGRYVILMMSLFSIYTGLIYNEFFSVPFELFGKSAYACRDPSCGDATTEGLIKVRPAYSFGVDPVWHGSRSELPFLNSLKMKLSILIGVAQMNLGIMMSYFNAKFFRNAINVWYQFIPQLIFLNSLFGYLSLLIIIKWCTGSKADLYHVMIYMFLSPTDDLGENELFPGQKLVQLVLLLLALVSVPWMLIPKPFFLKKQHEQRHQGQQYTMLQATDESVTELEEHQDDPHHHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLVLSWGYNNIFILIIGAVIFLFATIGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFVPFAFASIIEEED >ORUFI10G04610.1 pep chromosome:OR_W1943:10:5262549:5263203:1 gene:ORUFI10G04610 transcript:ORUFI10G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGAEDRVESAVMEMKDGCYCATSSPSLYHAATYFHDSIIDYSSPPGCPLYYQSQDTDQPLHPYCKV >ORUFI10G04620.1 pep chromosome:OR_W1943:10:5266629:5267084:1 gene:ORUFI10G04620 transcript:ORUFI10G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGTWVAWRRRRRWPIRWSARQSVEEEATANPIVVEVEQPMRRPTRAGSQSSAVREASLRATLAEAAPSSSSLAAGVLSRQEKLPPGNAFPGASSGLRVPLPLSLLFTAPVAELLVVASTPVAVGQRRQGQRWAPAPTSVLHAQCATPHS >ORUFI10G04630.1 pep chromosome:OR_W1943:10:5290107:5290433:-1 gene:ORUFI10G04630 transcript:ORUFI10G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKVPTMTPTFLPLHLHGRWRVAGRPRGESATAVVLGGSESSFGGRALGKEEEVSMGLTPGAANAYRSPINDPSVRRTANTFSSASDERRMREGWKHGVGGGGEGG >ORUFI10G04640.1 pep chromosome:OR_W1943:10:5294310:5300670:1 gene:ORUFI10G04640 transcript:ORUFI10G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTFVYHALGSFSCVCLLCFASSLVSLADAGGAPVLQALECTSTAAGNYTQDGAYAANLGRLLAMLPNETVSKNGGFFNGTVGNGTATVYGLAMCAADFSRADCMDCLVVAAGISAGGVVKRCPGSTTVSAMFDQCLLRYSDSSFFGTAHISGELAGAVRGERDEPVHAGAQCTWDLPPDACKGCLDALAANVSATFPATARGERKSYSCRVRYDVNTSFMVVPFNLSTGSAGTPTSSLAGPGSVNSAKNNGPVMIGSIVAAVVFVVLVSVVVWLCVRHRAIKKVALAGPRSYSYEELYTATNGFSDERKLGQGAFGAVYRGVLSDPSQTLVAVKKIQRMSEAAWQEFVAVITIVTQLKHRNIVDLMGWCDDRNNLCLYNIILDMANGLQYLHTARNECVLHRDIKPSNVMLDENLSCAKLCDFGLVKQINHDEVTPGRQTTVIGTRSYLDPECIRTSIVSAASDVYSFGLVLLEIACGRQPTMLAAAWPQQQEQPRRDSFRHRKSVADMADERLKGDFDEEQIERVIRVGFLCVLPEPDKRPDMATVVGTT >ORUFI10G04640.2 pep chromosome:OR_W1943:10:5294310:5300670:1 gene:ORUFI10G04640 transcript:ORUFI10G04640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTFVYHALGSFSCVCLLCFASSLVSLADAGGAPVLQALECTSTAAGNYTQDGAYAANLGRLLAMLPNETVSKNGGFFNGTVGNGTATVYGLAMCAADFSRADCMDCLVVAAGISAGGVVKRCPGSTTVSAMFDQCLLRYSDSSFFGTAHISGELAGAVRGERDEPVHAGAQCTWDLPPDACKGCLDALAANVSATFPATARGERKSYSCRVRYDVNTSFMVVPFNLSTGSAGTPTSSLAGPGSVNSAKNNGPVMIGSIVAAVVFVVLVSVVVWLCVRHRAIKKVALAGPRSYSYEELYTATNGFSDERKLGQGAFGAVYRGVLSDPSQTLVAVKKIQRMSEAAWQEFVAVITIVTQLKHRNIVDLMGWYNIILDMANGLQYLHTARNECVLHRDIKPSNVMLDENLSCAKLCDFGLVKQINHDEVTPGRQTTVIGTRSYLDPECIRTSIVSAASDVYSFGLVLLEIACGRQPTMLAAAWPQQQEQPRRDSFRHRKSVADMADERLKGDFDEEQIERVIRVGFLCVLPEPDKRPDMATVVGTT >ORUFI10G04650.1 pep chromosome:OR_W1943:10:5306132:5306788:-1 gene:ORUFI10G04650 transcript:ORUFI10G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASATQATAAEAAAPCSTTKTESTSSSSFLRARCATTRYPDVCYDSLLPYASTFQTSHVKLAVAAADVAAAHLRAFSARVKDMLLHRGGGSEEAAASSGGGAARVDAALHDCASTISAAANLAKRSSAELTRLDADTAASTETSTSGGSRQARWQLSNAKTWLSAAMTNEGTCSDGFEDAGAAATASPAGKEVAAGVASVTQHTSNALALVNGIPL >ORUFI10G04660.1 pep chromosome:OR_W1943:10:5310293:5311019:1 gene:ORUFI10G04660 transcript:ORUFI10G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDKVDAWMAKKTMSTMFLGPKGNTTTTTSTQLPIDVVVGGPLAASLNMDHELGHYGPRDRCRDPPSARRIEELGDSVEHGGYSAEIIEGSTYNNCHGSSYDRWHDLEKSTKM >ORUFI10G04670.1 pep chromosome:OR_W1943:10:5314352:5316229:1 gene:ORUFI10G04670 transcript:ORUFI10G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEELTQLSESMRQVASLLADDDPCDDSAPRRLSTFVNAVALGNVKYCIWAELYGLKKSVELCGLKKLYGLEKYMG >ORUFI10G04680.1 pep chromosome:OR_W1943:10:5327428:5335771:-1 gene:ORUFI10G04680 transcript:ORUFI10G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRAGPRHVVLVMLFFAAAVAARGADAASPVTTRPCGAAAAASFLCSRCATTVYPAVCYDSLLPYAGAFQDSRVRLARAAADVAAARLRDFSASLDELVHGSGDVGAVTTPPRVAAAVRDCVGTVSSAAGLARRSSAALDRLDAGAAAGGGGSRLARWEVSNAKTWLSAAMANVATCADGFADADSWSAAGIEEAVAGEAANVSKYTSNALALVNGIPFSAGKGAAAAAAVALSVNGAGEEAVGSGSGASSLPTGGVVKIRRGASKQTPLMAPLPPRAISLVFLFLFFTAASATRRLDDAGGQPTAASSATAFLRSRCATTRYPDVCYDSLLPYASTFQTSHVKLAVAAANVAAAKLRAFSARINDLLAQGGAARVDAALKDCKSTISDAGDLARQSSAELGQLDAGAAAAGVSSRQARWHVSNVQTWLSAAITDEGTCTDGFEEAGEAAAGSPAGKEVAAGVARVKQHTSIALALVNGIPL >ORUFI10G04690.1 pep chromosome:OR_W1943:10:5358887:5359531:1 gene:ORUFI10G04690 transcript:ORUFI10G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRPMTAVFFVFVVALLSSASPATAKATPAAPPCVAPPAAVAFLRASCASTLYRLTCYDALIPSGCAFQTSTVKLARAAADVNAASLKNLTARAKELVAHGVPGEAPAIAAEIRDCASASSSASGHAKETAAELARLDAMGDAAKGSQARWAVSNAKTWLSAAMTNEANCADALSSTGAAVSPAARELIAGVVMAKQYTSIALSFVNTIPVS >ORUFI10G04700.1 pep chromosome:OR_W1943:10:5367889:5369878:1 gene:ORUFI10G04700 transcript:ORUFI10G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLVSIMLSLLLVLFSHLLQRIAAARRRLPPGPCPLPLIGNLLDIGDLPHRSFARLAERYGPLMTVRLGAATCVVASSPATARAVLQTHNASLAGRGRQDAWHAGGHAENSVFVLPPGRKWRLLRKLGAAHLFSRRKLAELAPLRDEIVGGLLRRVAERADHRGGAPVNVGRLALAANVELLWRSVFSTRLDAATLDVLCDVAREAAVLLGTPNVSDFFPAVAALDLQGLRRRLAELMKNTYRLVDAQIDHRMRCRELRGGRGGEAMDLLDVLLDMSEQEREDGDDEVINRDLMRALLTDLFVGGSDSTATTVEWAMAELLQNPEIMKTLQQEIKMVLGTRSQVEESDIGQLPYLQAIVKETLRLHPIVPLRLYEAERTVEIEGHNVPKGSKVIVNAWAIHQSVKVWIQPEKFLPERFITKDIDFAGRHFEFIPFGSGRHICIGLPLANRMLHMILGSLMHQFKWTMPQMVNRNGLDMAEKFGLAVSMATRPNIIARKM >ORUFI10G04710.1 pep chromosome:OR_W1943:10:5387323:5387862:-1 gene:ORUFI10G04710 transcript:ORUFI10G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDKLTAAMVAAVSPLAHSLHPCRFTREEGGAGSADAPPATAPDPRRRSRIHAHGAQSGGQIRRRIASRPQSPIDAAHANIAPVLAPQHHRIRRSSSSSLLSSPNHHRCSSSSPSHHRNRLAATPHPLITVAVPPLCTRNHKSSLIRKMWRGRGKSGWTVSMMGIRNIYIPDRGSMSS >ORUFI10G04720.1 pep chromosome:OR_W1943:10:5387865:5388593:1 gene:ORUFI10G04720 transcript:ORUFI10G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWRASMETEAPSMPLSEFSIFIFFTGGRSGHRPLFPFSIWKPPRATFFIRRIQKEKKAARINNAINQLFFLILVGRCPFLDRRIRRHGVDFYPVN >ORUFI10G04730.1 pep chromosome:OR_W1943:10:5390135:5390675:-1 gene:ORUFI10G04730 transcript:ORUFI10G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLGEGVPRPWRCLLLSESIGKLQLQYGIDPSAGHCYHLASGAIVHRYRGTSRASINQPACICHMVCGDGGGPPSSSRAETELIYISGSILDSLLSGVLFACPVIHAFGASLKNQYLGI >ORUFI10G04730.2 pep chromosome:OR_W1943:10:5390135:5390675:-1 gene:ORUFI10G04730 transcript:ORUFI10G04730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLGEGVPRPWRCLLLSESIGKLQLQYDLASGAIVHRYRGTSRASINQPACICHMVCGDGGGPPSSSRAETELIYISGSILDSLLSGVLFACPVIHAFGASLKNQYLGI >ORUFI10G04740.1 pep chromosome:OR_W1943:10:5394384:5394820:-1 gene:ORUFI10G04740 transcript:ORUFI10G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAAVAVGASSPASSGEHHKPTVEEGGTASASRRVVTCSRSRRWRKADPCRRILLLSTSGRCGRTEEWFCATVHCMATGDRSEVMNRSLQKFDIHF >ORUFI10G04750.1 pep chromosome:OR_W1943:10:5408576:5409217:-1 gene:ORUFI10G04750 transcript:ORUFI10G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPCTSVAPVDTGTTPLLHRARTTSIRELSTAAPLLLEEEDKERQELIEDDKGDEQEEHHQPGEKLRTTAEAPPYGHGVAEDYWWRDVPVRLPGVSCGAVPTVVGCEATPTWWSSG >ORUFI10G04760.1 pep chromosome:OR_W1943:10:5413936:5417824:1 gene:ORUFI10G04760 transcript:ORUFI10G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQPPPPPPPPPPRPDVARRRSVAVAAAAGAAGAGAVAVLVVVALLWWLRRRRGKGKGEADEVVAAAGRLQRLSYRKLRRATGGFAAGSKLGQGGFGPVFRGALPPTTTAAGASRGDGAGRPVAVKVMDAAGSLQGEREFHNEIAVASHLLASSSAPGSPPVPDAAAKPGGKGWDSILLPFAYSMSSAARGEGRPRRMMLVYDLMPNGSLQDALLGRRCPELVAEWPRRLAVARDVAAALHYLHSVVKPPVVHGDVKPSNVLLDTDLRARLADFGLSRINSDADADGKPESGAIAEGCDVDGGCDDDASVIAESTVTTTVNGEGNPKSPEDDDGFTSASPAEAASTSGFDRTSVESGMNSRSCNGGGSRTGGTMGSGTGSDWWWKQDNGGGSNGVKDYVMEWIRSEIKKERPKNDWIAGAAITNPAADRKKPKRRAREWWREEYADELAKKQKRRALAKSRSEQAGLQWWERDIDDDLDAKGRSKWSMMKSWSRRSNGSTSNGNGNGNGSINWWVNGARSTRDWASGEFVPKSSGAVSSTPSMRGTVCYVAPEYGGGGPLSERCDIYSYGVLLLVLISGRRPLQVTASPMSEFEKASLISWAKHLARVSRLIDLVDPALQDVNRDEVLLCITVALLCIQRSPARRPSSEEVLRMLSGEGEPPHLPLEFSPSPPGGFPFKSRKKVRIAIADLKYEGQPGHYVFWKGFIEYYQRY >ORUFI10G04760.2 pep chromosome:OR_W1943:10:5413936:5417824:1 gene:ORUFI10G04760 transcript:ORUFI10G04760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQPPPPPPPPPPRPDVARRRSVAVAAAAGAAGAGAVAVLVVVALLWWLRRRRGKGKGEADEVVAAAGRLQRLSYRKLRRATGGFAAGSKLGQGGFGPVFRGALPPTTTAAGASRGDGAGRPVAVKVMDAAGSLQGEREFHNEIAVASHLLASSSAPGSPPVPDAAAKPGGKGWDSILLPFAYSMSSAARGEGRPRRMMLVYDLMPNGSLQDALLGRRCPELVAEWPRRLAVARDVAAALHYLHSVVKPPVVHGDVKPSNVLLDTDLRARLADFGLSRINSDADADGKPESGAIAEGCDVDGGCDDDASVIAESTVTTTVNGEGNPKSPEDDDGFTSASPAEAASTSGFDRTSVESGMNSRSCNGGGSRTGGTMGSGTGSDWWWKQDNGGGSNGVKDYVMEWIRSEIKKERPKNDWIAGAAITNPAADRKKPKRRAREWWREEYADELAKKQKRRALAKSRSEQAGLQWWERDIDDDLDAKGRSKWSMMKSWSRRSNGSTSNGNGNGNGSINWWVNGARSTRDWASGEFVPKSSGAVSSTPSMRGTVCYVAPEYGGGGPLSERCDIYSYGVLLLVLISGRRPLQVTASPMSEFEKASLISWAKHLARVSRLIDLVDPALQDVNRDEVLLCITVALLCIQRSPARRPSSEEVLRMLSGEGEPPHLPLEFSPSPPGGFPFKSRKKVRKTPFDSQYYQRY >ORUFI10G04770.1 pep chromosome:OR_W1943:10:5421465:5424628:1 gene:ORUFI10G04770 transcript:ORUFI10G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAVKRKLIVDKSPTHRLLHSPLSPITLVRRRRVPSDLESSEGSSTSLLGPFFVHITAFCVDGFFGAAGDAVLGAVAINAVGDDAATGDGEGDGVGDDDDDAGDGEAYGDGDDEGGDGAGDGHDDYGDDGDGGAAGYGGTGYVGALYWGWDLEVMIYLVMQCFDLMPIGPTARSFRMMSVSELELFERVVCPNNFARLRLEQRNCFVLLSV >ORUFI10G04780.1 pep chromosome:OR_W1943:10:5451628:5452729:1 gene:ORUFI10G04780 transcript:ORUFI10G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHRRRTTSPSPAQAQPLEDDDLLSEILLRLPAKPSSLPRASLVCKRWRRVVSDAVFLRRFRSHHGKPPLLGFFKVSYRNPIFIPTLDPPDRISAARFSLQLPLPGGGGGSPPVFGHFYHMFAFRHGRALIYDRSLLQITVWDPVTGDRRAVDIPEPFGRRPAYVSNWAMRCVDGHVHGGCYSSPFEVVVIGFNKYRRRLFTCVYSSDTGNWGKIDVLPEMHGDGGDQIAPAEDGGLLFLAVRDFSLNLWKHKINSDSAAAGWVLEKTIELDRLLPFEPRPDTDTPAPMNILGFAEEHNVVFLATAIGVFMVNLESAI >ORUFI10G04790.1 pep chromosome:OR_W1943:10:5462947:5470114:-1 gene:ORUFI10G04790 transcript:ORUFI10G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADLVLKAACEGCGSPSDLYGTSCKHTTLCSSCGKSMALSGARCLVCSAPITNLIREYNVRANATTDKSFSIGRFVTGLPPFSKKKSAENKWSLHKEGLQGRQIPENMREKYNRKPWILEDETGQYQYQGQMEGSQSSTATYYLLMMHGKEFHAYPAGSWYNFSKIAQYKQLTLEEAEEKMNKRKTSATGYERWMMKAATNGPAAFGSDIKKLEPTNGTEKENARPKKGKNNEEGNNSDKGEEDEEEEAARKNRLALNKKSMDDDEEGGKDLDFDLDDEIEKGDDWEHEETFTDDDEAVDIDPEERADLAPEIPAPPEIKQDDEENEEEGGLSKSGKELKKLLGKAAGLNESDADEDDEDDDQEDESSPVLAPKQKDQPKDEPVDNSPAKPTPSGHARGTPPASKSKQKRKSGGGDDSKASGGAASKKAKVESDTKTSVAKDETPSSSKPASKATAASKTSANVSPVTEDEIRTVLLAVAPVTTQDLVSRFKSRLRGPEDKNAFAEILKKISKIQKTNGHNYVVLRDDKK >ORUFI10G04800.1 pep chromosome:OR_W1943:10:5478613:5479428:-1 gene:ORUFI10G04800 transcript:ORUFI10G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSNDENERREQAEKALQRAEELFAAGNVRSAHLQAGRAKRLCPSLPGVASAAAAYEVHAAARPGKGNNWRAVLGMRYGDAATLDTIKDQFQRLSLLLLHHPDDDNNNNAGRRAAVEGAVELLRRACEDALSAVAAGSSMEDDDDDGTSRRPHAAAAPHNYKMPPPAMQLHVPREAIVIYCPSCKSEFAGKVGRLEQQVGMKCARCTEWLSPPWQKKPQAKKEPPAGPGRPAVFQCPAKCPECGEQYVHGVRRPVVPPVQGVHQESHG >ORUFI10G04810.1 pep chromosome:OR_W1943:10:5481081:5483739:-1 gene:ORUFI10G04810 transcript:ORUFI10G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNDDRVPSAVAGGLVHQCMCCRGGEVPRWLGSHGVVGQGPATEALAAAATRWSWSRQTSDAAVGAFAAAVARWSWSCRRRQLGR >ORUFI10G04820.1 pep chromosome:OR_W1943:10:5485047:5492162:1 gene:ORUFI10G04820 transcript:ORUFI10G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSGGAGGGGGGGPHPSASPSAAAGVPSSSSSEASLDGGFLLRILQNPPPQTRHQAPPPVAAAAAVAGPQQVFVDPAVAAVGPAFPSAAPQLQHGGGFAWPSPASNPQPQPQPQLRFPDPRLAQPLDPYVALGYGGSGAVDGVAGSRAVKPREQRREPSHQHPRGFGRALEKEQRVVQPSAGGHEALGVAPPRELHTMQTTGGRDMAAGTMYREHQQWQDHFLSRTPPDGNGPGPFGRMPRGEQHMHSATGGRMHHGEQHVARAVTGGRLPHIGQRPQDHSLSNLPRREQRWQGHGDLKGHASLKPPNTNVHGMFSMMSVKESHQAPMPTSGSVAMDVREDRGKKTVAEANGLEDGVVGEVGFEHIVEGGVALEARKFEVSYTKNDFRSIGQDEEVDDGNKNDDATIEQLMETLVIDDNGEAKSTVVQINGSRSKNFRSDSRGKNVSSQSVRFQRRIRPCRYDIDQFTPSFMSIFESLVPSDEEISKQKQLLATLNRLINKEWPNSKLYLYGSCANSFGFSNSDIDLCLYIDEKEMSKVDIILKLAHILHAGNLRNIQALTRARVPIVKLMDPNTGLSCDICVNNLLAVVNTKLLRDYSQIDKRLRPLAFIVKHWAKSRCVNETYQGTLSSYAYVIMCIHYLQSQRILPCLQEMEPTYYVTVDNNICAYFDQVDKLNGFGAQCKDTLSRLLWGFFRYWAYAHNYTKDVISIRTGRTISKNMKDWTRRIGNDRHLICIEDPFETSHDLGRVVDNRSIWALREEFERAAEILHLDPNPSITLFEPYVPSEAET >ORUFI10G04820.2 pep chromosome:OR_W1943:10:5485649:5492162:1 gene:ORUFI10G04820 transcript:ORUFI10G04820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNREQRREPSHQHPRGFGRALEKEQRVVQPSAGGHEALGVAPPRELHTMQTTGGRDMAAGTMYREHQQWQDHFLSRTPPDGNGPGPFGRMPRGEQHMHSATGGRMHHGEQHVARAVTGGRLPHIGQRPQDHSLSNLPRREQRWQGHGDLKGHASLKPPNTNVHGMFSMMSVKESHQAPMPTSGSVAMDVREDRGKKTVAEANGLEDGVVGEVGFEHIVEGGVALEARKFEVSYTKNDFRSIGQDEEVDDGNKNDDATIEQLMETLVIDDNGEAKSTVVQINGSRSKNFRSDSRGKNVSSQSVRFQRRIRPCRYDIDQFTPSFMSIFESLVPSDEEISKQKQLLATLNRLINKEWPNSKLYLYGSCANSFGFSNSDIDLCLYIDEKEMSKVDIILKLAHILHAGNLRNIQALTRARVPIVKLMDPNTGLSCDICVNNLLAVVNTKLLRDYSQIDKRLRPLAFIVKHWAKSRCVNETYQGTLSSYAYVIMCIHYLQSQRILPCLQEMEPTYYVTVDNNICAYFDQVDKLNGFGAQCKDTLSRLLWGFFRYWAYAHNYTKDVISIRTGRTISKNMKDWTRRIGNDRHLICIEDPFETSHDLGRVVDNRSIWALREEFERAAEILHLDPNPSITLFEPYVPSEAET >ORUFI10G04820.3 pep chromosome:OR_W1943:10:5485265:5489339:1 gene:ORUFI10G04820 transcript:ORUFI10G04820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSGGAGGGGGGGPHPSASPSAAAGVPSSSSSEASLDGGFLLRILQNPPPQTRHQAPPPVAAAAAVAGPQQVFVDPAVAAVGPAFPSAAPQLQHGGGFAWPSPASNPQPQPQPQLRFPDPRLAQPLDPYVALGYGGSGAVDGVAGSRAVKPRSAAPPPGFAKASHPPSSSSRETLNAFGGMHNREQRREPSHQHPRGFGRALEKEQRVVQPSAGGHEALGVAPPRELHTMQTTGGRDMAAGTMYREHQQWQDHFLSRTPPDGNGPGPFGRMPRGEQHMHSATGGRMHHGEQHVARAVTGGRLPHIGQRPQDHSLSNLPRREQRWQGHGDLKGHASLKPPNTNVHGMFSMMSVKESHQAPMPTSGSVAMDVREDRGKKTVAEANGLEDGVVGEVGFEHIVEGGVALEARKFEVSYTKNDFRSIGQDEEVDDGNKNDDATIEQLMETLVIDDNGEAKSTVVQINGSRSKNFRSDSRGKNVSSQSVRFQRRIRPCRYDIDQFTPSFMSIFESLVPSDEEISKQKQLLATLNRLINKEWPNSKLYLYGSCANSFGFSNSDIDLCLYIDEKEMSKVDIILKLAHILHAGNLRNIQALTRARVPIVKLMDPNTGLSCDICVNNLLAVVNTKLLRDYSQIDKRLRPLAFIVKHWAKSRCVNETYQGTLSSYA >ORUFI10G04830.1 pep chromosome:OR_W1943:10:5493082:5497181:1 gene:ORUFI10G04830 transcript:ORUFI10G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPALEPEIGPDGLARENPVIAYTEKVILEEQLQLKKEECGIELYECALWYGLTFTKGARFYSLETGNTLAMSILLGAYSKMCMVASTLEHLRKKIEISTERIRLARVKEEQAKKAWEAAAQIVKDEENAKQNLCDDLNRLVQESAASQFSRLEELKKRLESLNPSRASVDVSGMNTAQHATTSSVPQQAAAQNPQNAPSPANNANHDSSGLSQQRRPADAERKRRPSQMGRGRGGVMILPKGRGSSGSGWTGAGFDVDGRT >ORUFI10G04840.1 pep chromosome:OR_W1943:10:5517444:5523434:1 gene:ORUFI10G04840 transcript:ORUFI10G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTVVGEEAQLKALEETLSASASPAQVGLVVGKLSASSDRALAYSLIPTPPTDSGAPACSLLRAAPNPNPNPKAAKAASSDASSSLDFDVDWVAEHARQVSRMLLGGMTVIGIYIWASEASFKATSPVVLSQVLRAVSQVAPLYGTGVDERLLIHISYSPRRWACRICDMSSGRLRPCDFKNSKLLASLQTFRCTYNFEIRLPVVQSEPFKKVISKAISHLTKQVQNAKALIDGVLILDGMDNTLEGPHNVEFLVPFKNNLPAEEGVAGLLLFAGSVSALAYLGPKESIAEVISDLKLDIITSLRSRLDIILDEADDDSTTNNLENSLSQKATQVVFHELRVPYSFPFPRRILIPWMAGSYICDYLQQSETTEDAMERCKEVMSLEADMGNYSIVEPESASAATLGSFWDVVPGALSKAPSEPGLKEMNSGQNGSRKTHGSSFSILMPFVVLLIAVLVGCIFTLSATLKT >ORUFI10G04850.1 pep chromosome:OR_W1943:10:5560654:5567741:-1 gene:ORUFI10G04850 transcript:ORUFI10G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHALRLHPLLFSAAAARPASLAARPGGGGARRVHRRHSLAVVRCSSSAAQALKVKVFQQENYLANWIQALFNSLPPEDYVGGTLVLGGDGRYFNKDAAQIITKIAAGNGVGKILVGRNGLLSTPAVSAVIRKRQANGGFIMSASHNPGGPDNDWGIKFNYSSGQPAPETITDQIYGNTLSISEIKTADIPDVDLSSLGVVSYGDFTVEVIDPVLDYLELMENVFDFQLIKGLLSRPDFRFVFDAMHAVTGAYADPIFVEKLGADPVDYILNGVPLEDFGNGHPDPNLTYAKELVFTMFGSGAPDFGAASDGDGDRNMILGRRFFVTPSDSVAIIAANAQAAIPYFQSGPKGLARSMPTSGALDRVAEKLNVPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSILAHRNKDKKAGERLVSVEDVAREHWATYGRNFFSRYDYEECESESANKMMVHLRDVIAKSKPGEKYGNYTLQFADDFSYTDPVDGSTVSKQGLRFVFTDGSRIIFRLSGTGSAGATIRVYIEQFESDASKHDLDAQIALKPLIDLALSVSKLKDFTGRDKPTVIT >ORUFI10G04860.1 pep chromosome:OR_W1943:10:5576707:5581245:-1 gene:ORUFI10G04860 transcript:ORUFI10G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRSNCRSQSTRHSHPRTTAPALQTRTNLVPPALPMPCQPLFRTVLNLQSWTKRTILNDGNGIASRECDGCGTCTGHLVVEGLLIAAILFQLSRKSYKPPKKPLTEREVEELCDEWQPEPLCPPIKEGARIDAPTLESAAGPHTTVDGKEVVNFASANYLGLIGNEKIIDSCVGSVEKYGVGSCGPRGFYGTIDVHLDCESKIANFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMASLASILEKITHGNKRTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPVEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLATAAISAVNHLEENPSVLANLRSNIALVHKELSDIPGLELASNILSPIVFLKLKTPTGSAVADLELLEIIAEKVLKEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTKSDIFKVSASLKRVAASVV >ORUFI10G04860.2 pep chromosome:OR_W1943:10:5576707:5580574:-1 gene:ORUFI10G04860 transcript:ORUFI10G04860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLPVANATAAALARVSAVFNAPLARAVVFGIHIDGHLVVEGLLIAAILFQLSRKSYKPPKKPLTEREVEELCDEWQPEPLCPPIKEGARIDAPTLESAAGPHTTVDGKEVVNFASANYLGLIGNEKIIDSCVGSVEKYGVGSCGPRGFYGTIDVHLDCESKIANFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMASLASILEKITHGNKRTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPVEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLATAAISAVNHLEENPSVLANLRSNIALVHKELSDIPGLELASNILSPIVFLKLKTPTGSAVADLELLEIIAEKVLKEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTKSDIFKVSASLKRVAASVV >ORUFI10G04870.1 pep chromosome:OR_W1943:10:5593233:5599025:1 gene:ORUFI10G04870 transcript:ORUFI10G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLIKIKDKKKEQAASAAGKAPVKKQSAGELRLHKDISELNLPKSTSISFPNGKDDLMNFEIIVRPDEGYYLGGTFVFTFQVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLNLLFTQPNDEDPLNHEAAAVLRDNPKLFEANVKRAMAGGYFASVRT >ORUFI10G04870.2 pep chromosome:OR_W1943:10:5593233:5599686:1 gene:ORUFI10G04870 transcript:ORUFI10G04870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLIKIKDKKKEQAASAAGKAPVKKQSAGELRLHKDISELNLPKSTSISFPNGKDDLMNFEIIVRPDEGYYLGGTFVFTFQVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLNLLFTQPNDEDPLNHEAAAVLRDNPKLFEANVKRAMAGGYVGQHYFPRCA >ORUFI10G04880.1 pep chromosome:OR_W1943:10:5600806:5602922:-1 gene:ORUFI10G04880 transcript:ORUFI10G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPLADPEDEDHDELIATLPCKPPTPLMRRMRLYRGGWFPEKWLPAIMAFRRRFEARDGDVVVASLPKCGTTWLKALAFATAVRGTYPPPPVAGSDDEGNRRHPLLRLNPHECVPFLESVYSTMEEESKLDATPSPRLLSTHLPYSVLPASITDSSRCKIIYVCRQPKDMLISFWHFINRDKSRDVSSSYVWESVRECTYFGSPIWDHILGYWNASKYEDMKRNPTENVEKIAEFIGQPFSNSEKEASIVDNIVELCSFEKMKALGASMAGSQKVISSEFPNDSFFRKGAIGDWVNHVTPEMAESLDKFLSEKFDGSGFTFM >ORUFI10G04890.1 pep chromosome:OR_W1943:10:5620154:5622889:-1 gene:ORUFI10G04890 transcript:ORUFI10G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGVLQLWNEWGVQMLVLASFALQVFLLIFGGIRRHSSSSVLMFFLWSAYLLADSTAIYTLGHLSVDGRSDEHELVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAVYVIYTYIVGSGTDLLMASVSMFIAGLLKYGERIWALKCGNISSIRSSISTRKFKTDPYELLALGTSEEELLLGAHSQFDICKGVFADIIMLPNPSLLSRSKRRSVISYLGMDLYKLVEMELSLMYDFLYTKAAVIHTWYGFCIHFVSLFGTATTFLLFQLIISSSRGDGYSREDVVISYVLLVGALVLEIISVCRAVLSTWTCSLMHRRGRAWEWPLHIITSFSRRVHPASRRLWSGSIGQYNLFHLCARNTNEIGSRLATRLGLQDWWNMMHFSGTFSNTGSFSIQDLKKLVLQALEEKERALQYKDTDLNSRGSFILKSMKAYDDFARWSVNIDFDESILVWHIATELYIRRSKARHAKELVEATEVLSNYMMFLLVVKPNMLPGAARHNIHLPSCEQIEGHCRMGFGGEKDNPVAASPISWNPYCMLKELLHHDGPSCSSIPRREKLAEIVWSFCQFALGSVKAPNPHGESIRDSANMYSVLLANELLGIESRWCEQRDTLELILGVWVEMLLYAANHCSQESHARQLSNGCEFITIVSLLAHHFKYYSGASRGADELYESNPSMRTVRSWSRSLS >ORUFI10G04900.1 pep chromosome:OR_W1943:10:5631243:5637573:1 gene:ORUFI10G04900 transcript:ORUFI10G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRHHPASLRRRVRLDSAIHRLAMSTAASASAPPASSAASDYHCRTKHSLTAGYARGPGRLDWANQPNPFLRFSPPSQIPLPNPPPGIAGIPYPSLFHSPPPPPSPQPLSVDSLSALLFHSLALSAWKSAGVSTWSLRVNPSSGNLHPTEAHLLFEHPRDPGRLVVSHYAPRDHLLEVRSAAPLADFPALLPPPATAVLALSSIFWRESWKYGERALRYCNHDVGHALAAVAVAAAALGWDVRLLDGLSDEDLGYLVGVEKGAPPPPDGLPDKMVRGKAPWVERQHPDCAVLLFPAGSEPKVDYGVMSAALRGLDRLEWVGKANALSKDHVVWDVIYHTAEAVKKHGPAPGESFSVNPWRRNAALSDDLYKELTVQEVVRRRRSAVDMDGVHVMGRDTFYQIMLHCLPSGDVSPEELQGPPSALPFRVLPWDAEVHATLFVHRVSELPKGLYLLVRNEEHFDMLRRAMRQDFEWERPEGCPDGLPLYRLMKGDCQQIAMQISCFQDIASHGCFSLGMIARFELVLHEKGEWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCYFDDAVHEVLGLKDLEFQSLYHFTVGAPRWWRPPLGQIRLEGTWRAPAASGELPTCNGPLPFARSGWRGDCGGLLSAVADSPQAAGAGSIWR >ORUFI10G04900.2 pep chromosome:OR_W1943:10:5631243:5635446:1 gene:ORUFI10G04900 transcript:ORUFI10G04900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRHHPASLRRRVRLDSAIHRLAMSTAASASAPPASSAASDYHCRTKHSLTAGYARGPGRLDWANQPNPFLRFSPPSQIPLPNPPPGIAGIPYPSLFHSPPPPPSPQPLSVDSLSALLFHSLALSAWKSAGVSTWSLRVNPSSGNLHPTEAHLLFEHPRDPGRLVVSHYAPRDHLLEVRSAAPLADFPALLPPPATAVLALSSIFWRESWKYGERALRYCNHDVGHALAAVAVAAAALGWDVRLLDGLSDEDLGYLVGVEKGAPPPPDGLPDKMVRGKAPWVERQHPDCAVLLFPAGSEPKVDYGVMSAALRGLDRLEWVGKANALSKDHVVWDVIYHTAEAVKKHGPAPGESFSVNPWRRNAALSDDLYKELTVQEVVRRRRSAVDMDGVHVMGRDTFYQIMLHCLPSGDVSPEELQGPPSALPFRVLPWDAEVHATLFVHRVSELPKGLYLLVRNEEHFDMLRRAMRQDFEWERPEGCPDGLPLYRLMKGDCQQIAMQISCFQDIASHGCFSLGMIARFELVLHEKGEWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCYFDDAVHEVLGLKDLEFQSLYHFTVGAPVLDKRIMSLPAYPGPGIDA >ORUFI10G04910.1 pep chromosome:OR_W1943:10:5639435:5645652:1 gene:ORUFI10G04910 transcript:ORUFI10G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAMEEPLIAGSSGGAAEKNGGEEEGLVVTEIKKQLYLAGPLVVGMLLQNVVQMISVMFVGHLGELALSSASMATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQHHMLGVYKQRAMLVLALVSVPIAAVWAFTGEILLVVGQDPEIAAGAGSYIRWMIPTLFVYGPLQCHVRFLQTQSAVVPVMLSAGATAANHVLVCWLLVHRLGLGAKGAALANAVSFLTNLSVLAIYVRLSPACRRTWTGFSGEAFRDVLGFLRLAVPSALMVCMEWWSFELLVLLSGLLANPKLETAVLSICLNTNSFAFMVPLGLGAAISTRVSNELGAGRPQAARLATRVVMLLAFLVGTSEGLVMVIVRNLWGYAYSNEEEVADYIAKMMPILAVSILFDAIQCVLSGVVRGCGRQQIGAFINLGAYYLAGIPVAFFFAFVCHLGGMGLWFGILCGLVVQMLLLLTITLCTNWDKEALKAKDRVCSSSLPKDLAT >ORUFI10G04910.2 pep chromosome:OR_W1943:10:5639351:5645652:1 gene:ORUFI10G04910 transcript:ORUFI10G04910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAMEEPLIAGSSGGAAEKNGGEEEGLVVTEIKKQLYLAGPLVVGMLLQNVVQMISVMFVGHLGELALSSASMATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQHHMLGVYKQRAMLVLALVSVPIAAVWAFTGEILLVVGQDPEIAAGAGSYIRWMIPTLFVYGPLQCHVRFLQTQSAVVPVMLSAGATAANHVLVCWLLVHRLGLGAKGAALANAVSFLTNLSVLAIYAWRPVL >ORUFI10G04910.3 pep chromosome:OR_W1943:10:5639351:5641339:1 gene:ORUFI10G04910 transcript:ORUFI10G04910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAMEEPLIAGSSGGAAEKNGGEEEGLVVTEIKKQLYLAGPLVVGMLLQNVVQMISVMFVGHLGELALSSASMATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQHHMLGVYKQRAMLVLALVSVPIAAVWAFTGEILLVVGQDPEIAAGAGSYIRWMIPTLFVYGPLQCHVRFLQTQSAVVPVMLSAGATAANHVLVCWLLVHRLGLGAKGAALANAVSFLTNLSVLAIYELQKAS >ORUFI10G04920.1 pep chromosome:OR_W1943:10:5647792:5650945:-1 gene:ORUFI10G04920 transcript:ORUFI10G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVLVVAFAAVVVVQAAMAQRPGMPPAVVPPSLPTTTPPAPTVVAPPLPTTPPPAVVAPSPPLPPLTPPPAIVPPALPPPPPLPAIVVPPALPPTPAIAVPPALPPIPAIVPPSLPPTPEANLPCVAELAPCSEFYRNATAKPTGACCAPLKKAYESELGCLCSVLTNPAMAATVGVDTKKGLDLFGRCDVKVPADVCSSHAPAPAPASSPPTASPNSDSSAAPHGAQWMLPQIFTLSPPTDAKEAAQPLPPCCMNDAAACRSPIASPSPMAGAPYQCTIATYPLSWSLISLRLSSVKRQERYSSRVLNCSILNHSFPDFLNFLHSGLVLHAFILMSKNMIWYSINVDPY >ORUFI10G04930.1 pep chromosome:OR_W1943:10:5675162:5677813:-1 gene:ORUFI10G04930 transcript:ORUFI10G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPALALSSPRCPPPRYPRPPKTLPPAYSISKEAPGPLVWLASEIRSSVMIHEVQGITQRFFYT >ORUFI10G04940.1 pep chromosome:OR_W1943:10:5691773:5692303:1 gene:ORUFI10G04940 transcript:ORUFI10G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKVSLAAVLAVAISLAMAATTTTSAQNTPQDYVNLHNSARRADGVGPVSWDPKVASFAQSYAAKRAGDCRLQHSGGPYGENIFWGSAGRAWSAADAVASWVGEKKNYHYDTNTCDPGKVCGHYTQVVWRKSVRIGCARVVCAANRGVFITCNYDPPGNFNGERPFLTLDAAAK >ORUFI10G04950.1 pep chromosome:OR_W1943:10:5696093:5707766:-1 gene:ORUFI10G04950 transcript:ORUFI10G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIRTLRDMDDDEVFAYAKRIAAPYDLVMQTKQLGRLPVVLFAAGGVATPADAALMMQLGCDGIFVSSSIFKSVNYVGNTSMCGGGRDGERRLHRRDDETRTD >ORUFI10G04960.1 pep chromosome:OR_W1943:10:5716359:5717366:1 gene:ORUFI10G04960 transcript:ORUFI10G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPEKDGVEDNQEDDTFSRLQLLAQQRHAMEKFWRMSQEQIEESAGNEELILPISRVKNIIHAKEGGMMLSADTPAFVTKLCELFVQELILRAWVCANSHNREIILGTDIAEAITTTESYHFLANVVHGHQALGSNIPEIGVSAWKRHKLDEMTSLCHPPQAVQVTDLANHPPNIPVCPPIGQSGTQHTTSTHVLMMQGESIHKASKEKSPLKEVMVPTNKVGMTNSSYGVPNGGGATSSKVVIDSPKGETAQVFSSQHACPSLEDNYVIPIPAGHGDSFRTLDEANIPQLHQEQKNFISQDAIVGENIPLNESLEKSKHKDEDLLFPDKDLPE >ORUFI10G04970.1 pep chromosome:OR_W1943:10:5779253:5781664:1 gene:ORUFI10G04970 transcript:ORUFI10G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIRSFLLAALAVVVAAATAAAAGLPPLPSTMPADVPQPEIPPCLNDLMPCASVYDDSSMLGPCCDALGKVFKSDRACLCQIWEMARNDTRQVGSNALDGDQQMFARCKIPGASSTICDNGQAGSQARNASPHSRLTEAFRIFLLLQILFILGV >ORUFI10G04980.1 pep chromosome:OR_W1943:10:5791839:5805484:1 gene:ORUFI10G04980 transcript:ORUFI10G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIGPFLLAAMAVAAAVAAASETATTVPVAALPPLPALPVTAAASTLQPGTASCMDDLMPCATVSDDPTMLTPCCEAVAEVLKSDPECLCKVAEMSRNNTRKLASVSNNLDSDQQLFAQCKITGVSSDVCHKDKGHQGGHNETDTPAGDSLTDSQAKNASPPSRLSEAFRILFLLQILFIFGL >ORUFI10G04990.1 pep chromosome:OR_W1943:10:5803817:5804056:-1 gene:ORUFI10G04990 transcript:ORUFI10G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLWPAKVFGWRIEVQLAGEGEQGDGWRRRLWPAKVLSRRSWLSRWPEKLLAGGVLVVMVDGRWYCRLERSSLGWSVGR >ORUFI10G05000.1 pep chromosome:OR_W1943:10:5807942:5808316:-1 gene:ORUFI10G05000 transcript:ORUFI10G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLCSSSRFDLVFEMKGRWRREGAAAMAMRLNEAVWHATGGSVWRRRQELAFLWADCESGYDGPAAVSPFSSSKSGNGSSDGEGGGWWPGRRWRWSSLKDLRCQIRRLAARSMTSSMRGEVRN >ORUFI10G05010.1 pep chromosome:OR_W1943:10:5836054:5839535:1 gene:ORUFI10G05010 transcript:ORUFI10G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECGSGNCDAWAARDPSGILSPYKFNRRAVQSDDVSLRITHCGVCYADVAWTRNILNNSMYPLVPGHEIAGVVTEVGADVKSFKVGDHVGVGTYVNSCRDCENCNSSLENYCSQHVFTFNGVDTDGTVTKGGYSTHIVVHERYCFKIPDGYPLEKAAPLLCAGITVYSPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVISTSESKRKEAIDLLGADNFVVSSDENQMETLKSSLNFIIDTASGDHPFDPYLTLLKVGGVMALLSFPSEIKVHPANLNLGGRSLSGSVTGGTKDIQEMINFCAANKIYPDIEMIKIDYINEALQRLVDRDVRFRFVIDIENSFK >ORUFI10G05010.2 pep chromosome:OR_W1943:10:5837027:5839535:1 gene:ORUFI10G05010 transcript:ORUFI10G05010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECGSGNCDAWAARDPSGILSPYKFNRRAVQSDDVSLRITHCGVCYADVAWTRNILNNSMYPLVPGHEIAGVVTEVGADVKSFKVGDHVGVGTYVNSCRDCENCNSSLENYCSQHVFTFNGVDTDGTVTKGGYSTHIVVHERYCFKIPDGYPLEKAAPLLCAGITVYSPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVISTSESKRKEAIDLLGADNFVVSSDENQMETLKSSLNFIIDTASGDHPFDPYLTLLKVGGVMALLSFPSEIKVHPANLNLGGRSLSGSVTGGTKDIQEMINFCAANKIYPDIEMIKIDYINEALQRLVDRDVRFRFVIDIENSFK >ORUFI10G05020.1 pep chromosome:OR_W1943:10:5842358:5843048:-1 gene:ORUFI10G05020 transcript:ORUFI10G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTLSQSNLTNKQLHDHSDEKKAFKVIFEWMCDGDLEYGPRWSSPIKRTMKIFKDRKLLQRSMPHAGAGAGSGAQMASVAVEDGWFSWCGDV >ORUFI10G05030.1 pep chromosome:OR_W1943:10:5847650:5850238:-1 gene:ORUFI10G05030 transcript:ORUFI10G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSDDGGDPSFLPIPLLSRVTTLPPPAPSSPPHPIPPLSFRHLSPCARWSAWVAAALRDPAFAPLLRSAGIADAVGASAATVNPDRGALAALLSFWDPASHAFRLPAGAATFSLEDALLLAGLPPTGAPLDRPLTPEEDDLRIRLVIEKEKIRELHPCARDARRVSAELWLEWFDSSIRPGEDDELRRLGFLAYWLAFFVTPRLRPRSGELPDCTFALAARLSLGERIALGPAMVANLYADMDRIVASGVMEGVSGRVETWGPLLLLQVWIWERFDCLRPPPLKAPPFPVSNARVHLWSRRKRTTTSEEAQQVFQDEACFLWRPYQYNSLNWTQPEWFNEKTTTASSESKHKPKWLDDYSAMITQAVLTGWFGDGMANSVMYNPHLVARQFGYDQDFPVSIIHGSDSSGIEVWVPSIGRHGVASKDYAAWWNARFERHQEGNQHGCGMMLNKENKTSALPLNTGLISVVQMAVDHFRKGTKQENSKCMTKRQLTQLGNVAPNNEWNQVVLGLSAYDFDRSQNAVKRKDAIKKIRDKSTDVNRKKKKNKVFANEGGECPQFYDWVPLTVSNNENNSLQLDVQERSGPQEDSNSSSKRCDELAQLNNDECIVLEPPAKNCEVINLDDEEEQSVPNPKHHDRQLVLELEEFVRSGLLSQREECSDEDEEDRRNRDILKDNKDDPFSEAARREYPLFFEFIPQKPHYRGLLNNDEALGDLAYSGLWFLLVGLAKEVLKTSCDTDASEIVCLMKKAQELEQLGFNVKHLIARLKEPQSRLRLLQDSITRLEDARKKEHEANRLQSLSSHLSKLKHNIQTMEWHLDAKNQASSSSIFSLEKEVEAAEKYCQAMKDEVVALKMNHSNL >ORUFI10G05040.1 pep chromosome:OR_W1943:10:5871618:5875981:1 gene:ORUFI10G05040 transcript:ORUFI10G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQGLVSRSWQESKLLWRVAFPAVLVELLQFSIGFVTASFVGHLGVVELAAVTAVESILEGFAYGVLFGMGCALDTLCGQAVGAGRLDVLGLYVQQSWIVCGATAVALTPTYAFAEPILRSLLRQPADVAAVAGPYALWSLPRLFAHAANFPLQKFFQTQSRVWALAAISAAVLAVHAALTYAAVVRLRYGLRGAAVAGNLSYWLIDAAQFVYLVSGRFPDAWKGFTMTAFSNLAAFVKLSLVSAIMICLEFWYYAALLILVGLLKNARLQLDIMSICINYQFWTMMVAMGFSEAISVRVSNELGARRPKEAKFSVAVASLTSAMIGAIFMSIFFIWRTSLPSLFSDDKEVVDGAARLGYLLAVTVFFGNIGPVLSGVAVGAGWQILVAFVNIGCYYLVGIPFGVLFGFKLKLGALGIWMGMLTGTLLQMAILFFIIMRTKWEAQAILAEKRISELGETTAND >ORUFI10G05070.1 pep chromosome:OR_W1943:10:5944363:5949918:1 gene:ORUFI10G05070 transcript:ORUFI10G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTFTSRRSEPVLLRPARPTPRETKQLSDLDDQRTLRYYETVVGFFRRCDGGAAGAVGAPADPAKAIRAALAEALVYYYPVAGRLREVADGGGAGNRLVVDCTAEGVVFVEADADVRLEDFGQPLLPPYPCVGELLCDAGDTRAVVGKPLLLMQVTQLKCGGFVLGFHICHNIADGFGMAQLIMAIADLARGEPAPTILPVWRRDLLTAARLGSGAVARTPFASAAAASASASSPALQNGARRAAAAADAMLSTPPDRMVVEYFLFGPREVSYLRGQLPAHLADSTTVFELLTAVMWRCRTAALGYGPDLRVRLMITMNARGRWNAHTPLPRGFYGNAHVSPVAEAAAGDLLGRPLADTVELVRRTKRGMTRERMSAMVETVAQLREWPPSSMDRVYEVSDIKWTTVNLLKFGWAEFAGGGIPLAGDLTSKLGSDHTRCKNSAGEVSTVVSMLLPRVAMARFKKEMAVLLNKDDKKSLTIMSSL >ORUFI10G05080.1 pep chromosome:OR_W1943:10:5975423:5978961:1 gene:ORUFI10G05080 transcript:ORUFI10G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLYINPPSLWPTIKNHFAHPWTPRRCSRWRLPLLPSRSPSFLIFNRIRLGRLSPGPRAWPVVGNLFDITLVRCRCFMEWAGKYGPIMTVWLGTSPTIVVSTSELAREVFKNLADRPRNHSAERLSRGGTDLIWADYGPHYVKVRKLCNLELFAPRRMEALRPISEDEVNAMVESIYRAVTAPEGKPMVMRKHLSMVAFNNITRLTFGKRFIDAAGELDEQGSELKAIVNNGIKIGASLTIAEHIRVLRWLNPVDEELYNAHSARRDRFTRRIMDEHARELERHGAKQHFVDALFTLRDKYDLSDDTVIGLLWDMITAGTDTTVITVEWAMAELVRNPRVQMKAQEELDRVIGHGRVMLEADIPNLPYLQAVVKESFRLHPPTPLMLPHKASTSVKIAGYDVPKDASVVVNVWAVARDPGVWDNPLEYRPERFLEESIDIKGSDYRVLPFGAGRRVCPGAQLGISLVASMIGHLLHQFTWALQDGTRPEDLDMMESPGLVTFMATPLQVVAMPRLDKEELFKRVPVDMS >ORUFI10G05090.1 pep chromosome:OR_W1943:10:5990448:5992240:1 gene:ORUFI10G05090 transcript:ORUFI10G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVCRHLVAADAALSVTVVVTEEWHALLESAGVPAALPDRISFATIPNVIPSEHGRGADHIGFIVAVHTRMAAAVERLLDRLLLEQKWRPDAIVADTYLAWGVAVGARRGIPVCSLWTMAATFFWALYHFNLWPPVDGSESEQELSCRSLEQYVPGLSSVRLSDIKTFRASWERPMKIAEEALVNVRKAQCILFTSFHELEPEIINRIAETVPCPIYPIGPSIPHLPRNGDDPGKIGNDDHHSWLDARQENSVLYVSFGSYVTSESNHKN >ORUFI10G05100.1 pep chromosome:OR_W1943:10:5997393:6000326:-1 gene:ORUFI10G05100 transcript:ORUFI10G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPNCPPGQRIYQPSFKRDYPLAQEVSEYRSRLLHKIHLSYCKALERLSLMVRPRMAASFVGGGGGFCLGLLDPVSNIVANTLFSYGRAVGDTRSECDELVYIPQEKLRDLEHRSLDGMVAFLTRFFPYLADAEAVRFLLYAEADLLVAARIVAFDIGMRRFGSSGPDIVNEALEMVLKCAALAAKHPNPDRLVADWLATITRLDDAVRHLADVHRRSPQSSLDKLAELLDEGSPPAVDDDRWGPWRLMDSRLPPPRSVPYRQSPALKATLQDAIHGFYLKALARLPAGELRRRFHRSLLEAGHCYGPFDPVSNIIINTIWYDAAFPPTFELELDMVTYSSLISTWMMRQLGSPQLLIPPRSPVWRKHSWLPLPLPVTLTLMLKQRLLCPEATCSRQPLRPFPRPEYLFAHTRMSEKEPMYELHTICGVNNCVSGPVGTDAKCFRSHVNFLATPKGTPFSTYSNPVLFFAEVSNDNKAEAGTQSFCCLVSVPLPCAERVRCLYCDDTGIKIVHPIGVDFHGRKLEFEKMVCGEDPCNDDFDPESMQPYYTNMSIIEHSSLTTDRVNGRVEEDRLYSDEYDSDDLSLMSDEYDSDLCSMTDEYDILYPKIVSCCRHY >ORUFI10G05100.2 pep chromosome:OR_W1943:10:5997393:6000326:-1 gene:ORUFI10G05100 transcript:ORUFI10G05100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPNCPPGQRIYQPSFKRDYPLAQEVSEYRSRLLHKIHLSYCKALERLSLMVRPRMAASFVGGGGGFCLGLLDPVSNIVANTLFSYGRAVGDTRSECDELVYIPQEKLRDLEHRSLDGMVAFLTRFFPYLADAEAVRFLLYAEADLLVAARIVAFDIGMRRFGSSGPDIVNEALEMVLKCAALAAKHPNPDRLVADWLATITRLDDAVRHLADVHRRSPQSSLDKLAELLDEGSPPAVDDDRWGPWRLMDSRLPPPRSVPYRQSPALKATLQDAIHGFYLKALARLPAGELRRRFHRSLLEAGHCYGPFDPVSNIIINTIWYDAAFPPTFELELDMVTYSSLISTWMMRQLGSPQLLIPPRSPVWRKHSWLPLPLPVTLTLMLKQRFCFSVHLDASSLLHGGGQLSSEDVQLLVRLLCPEATCSRQPLRPFPRPEYLFAHTRMSEKEPMYELHTICGVNNCVSGPVGTDAKCFRSHVNFLATPKGTPFSTYSNPVLFFAEVSNDNKAEAGTQSFCCLVSVPLPCAERVRCLYCDDTGIKIVHPIGVDFHGRKLEFEKMVCGEDPCNDDFDPESMQPYYTNMSIIEHSSLTTDRVNGRVEEDRLYSDEYDSDDLSLMSDEYDSDLCSMTDEYDILYPKIVSCCRHY >ORUFI10G05100.3 pep chromosome:OR_W1943:10:5997393:6000326:-1 gene:ORUFI10G05100 transcript:ORUFI10G05100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPNCPPGQRIYQPSFKRDYPLAQEVSEYRSRLLHKIHLSYCKALERLSLMVRPRMAASFVGGGGGFCLGLLDPVSNIVANTLFSYGRAVGDTRSECDELVYIPQEKLRDLEHRSLDGMVAFLTRFFPYLADAEAVRFLLYAEADLLVAARIVAFDIGMRRFGSSGPDIVNEALEMVLKCAALAAKHPNPDRLVADWLATITRLDDAVRHLADVHRRSPQSSLDKLAELLDEGSPPAVDDDRWGPWRLMDSRLPPPRSVPYRQSPALKATLQDAIHGFYLKALARLPAGELRRRFHRSLLEAGHCYGPFDPVSNIIINTIWYDAAFPPTFELELDVIGTMGLHRIENRSLYGLVSFLCTRYHHIDFNQAIKYLVNADGHLLLADLYLDDETAGFTTAVDSPPLTGLEEAFMAAATAACHPDPDAQAKVLLLCSSGQMLEDASSLLHGGGQLSSEDVQLLVRLLCPEATCSRQPLRPFPRPEYLFAHTRMSEKEPMYELHTICGVNNCVSGPVGTDAKCFRSHVNFLATPKGTPFSTYSNPVLFFAEVSNDNKAEAGTQSFCCLVSVPLPCAERVRCLYCDDTGIKIVHPIGVDFHGRKLEFEKMVCGEDPCNDDFDPESMQPYYTNMSIIEHSSLTTDRVNGRVEEDRLYSDEYDSDDLSLMSDEYDSDLCSMTDEYDILYPKIVSCCRHY >ORUFI10G05100.4 pep chromosome:OR_W1943:10:5997393:6000326:-1 gene:ORUFI10G05100 transcript:ORUFI10G05100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPNCPPGQRIYQPSFKRDYPLAQEVSEYRSRLLHKIHLSYCKALERLSLMVRPRMAASFVGGGGGFCLGLLDPVSNIVANTLFSYGRAVGDTRSECDELVYIPQEKLRDLEHRSLDGMVAFLTRFFPYLADAEAVRFLLYAEADLLVAARIVAFDIGMRRFGSSGPDIVNEALEMVLKCAALAAKHPNPDRLVADWLATITRLDDAVRHLADVHRRSPQSSLDKLAELLDEGSPPAVDDDRWGPWRLMDSRLPPPRSVPYRQSPALKATLQDAIHGFYLKALARLPAGELRRRFHRSLLEAGHCYGPFDPVSNIIINTIWYDAAFPPTFELELDVIGTMGLHRIENRSLYGLVSFLCTRYHHIDFNQAIKYLVNADGHLLLADLYLDDETAGFTTAVDSPPLTGLEEAFMAAATAACHPDPDAQAKVLLLCSSGQMLEDASSLLHGGGQLSSEDVQLLVRLLCPEATCSRQPLRPFPRPEYLFAHTRMSEKEPMYELHTICGVNNCVSGPVGTDAKCFRSHVNFLATPKGTPFSTYSNPVLFFAEVSNDNKAEAGTQSFCCLVSVPLPCAERVRCLYCDDTGIKIVHPIGVDFHGRKLEFEKMVCGEDPCNDDFDPESMQPYYTNMSIIEHSSLTTDRVNGRVEEDRLYSDEYDSDDLSLMSDEYDSDLCSMTDEYDILYPKIVSCCRHY >ORUFI10G05110.1 pep chromosome:OR_W1943:10:6005117:6007366:1 gene:ORUFI10G05110 transcript:ORUFI10G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALRLSLSPDPHHRLATAHGGGRRHALVAAGRRRASADGRRRGASQQQPAGRGAGRREGQQQAATASEGGDRPWLRRTVGGKAAPFLSPQILIPISPNQESGESNGPNGKDRTYALGDRGSGENSCCRVVEEWSGWLEAWSDRGGLQAWRLGAVGRGGRWDGGGESATVLGLNQRARASVIGGGWDGGAEKCGGRRACDDGGADLGEGEGEGEQGTGFSSNVRSDTKHRTPRTSSLLYLLVSPFSLLPSEKCCKSVACGLDEPLSV >ORUFI10G05120.1 pep chromosome:OR_W1943:10:6009356:6011036:1 gene:ORUFI10G05120 transcript:ORUFI10G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSSVKMEWGSAACMVLIELFTTGQMLLTKVVVDAGLFVFALLTYRFFLGTIHVLPLAFILESCPGRSSTCCRLSEVAGPLK >ORUFI10G05130.1 pep chromosome:OR_W1943:10:6025351:6035173:-1 gene:ORUFI10G05130 transcript:ORUFI10G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASGVAAMFAVGVCHVSLLNAAAQRCMIPSIAYLWEFSSDGPTQVRCAKNDSHALLSS >ORUFI10G05140.1 pep chromosome:OR_W1943:10:6037370:6040475:1 gene:ORUFI10G05140 transcript:ORUFI10G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSSSLKEWLPAIFMVMLQIFTAGSLMLVKVVVDSGLFVCTLLTYRYLLGAVLVVPFAVSFEKFTVPGLYYIGLGDTSPGYAINFYNIVPIAAFILAVLFRKEPLNMRSIVGIIKVVGALVCVGGTIIISLYKGKVLHLWPTIIIGYHPSKAATAFGHHHIRGTILLAISCLSLAVWYTAQMLKVFPYKYWSTVATCFVGCIQMAIIGVAMNREKATWKLKWNMSLLTIIYSAILNTAAKFVMISWVVTQRGPTYPSMFCAVSVLFTTILDSLLLGHDLSVGSILGMLLILAGLYLFLWGKRKEVVPETTEKPKEEVQFQTGDRTSELPSNV >ORUFI10G05150.1 pep chromosome:OR_W1943:10:6040778:6042121:-1 gene:ORUFI10G05150 transcript:ORUFI10G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGCHQSPYCGGGRGWLSPRCRTSGGFREYDTGRGGASLTDDVLAAIFTRLPNAADVVRCAATCRRWASVVAKEADALSRALPPLPGLALGLFHQDRQDTAGAATTNTRKRKRRSTGLECSAPPCFVPTASGARLLGFNLPSTTALRSGGQHGHGVLDLSHSRPIASRNGRLVLELQSEGHVDRSLRLCVCNPMMGDVAVLPTLLGNDRPKIYACTLLTGADLDLDRPRHASSDFFRVLIIYNRDRFTAFRSYSSDTCSWSMETKKTSGPKLTNWDLGKLGQGIVLHGVAYWPLKRTALAVRFDTPAPAQVRMPPDGVPNPLQQLRLLSVTPDGKLCLLDAGNGAGYASFVRTVFEASTGEWVRECSVTSTRLKVKSAADINLRWFCENSGILLFTLGRGSSNPGTFAMSLATKEVEKLHDSVDCSSWRNFVGYEMDGVTYLKSIA >ORUFI10G05160.1 pep chromosome:OR_W1943:10:6045076:6049821:-1 gene:ORUFI10G05160 transcript:ORUFI10G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLSSSSSSGPLLLCSTGGAALPVTGVVRDHHARGIERVNEAMRAGWVSRGQYLPRESLDTRRRVHARRRSMFAAARRGGSSASTISFTPAIRSQDAIGCGYNLTGIQRIKMNGALWIPILPPPVVDSRYQGLCLQCGRRIKGRSNLCSISCRLLRVHTGARRGMAQNLVEFAESVGQPIHQLDQLCGQCLRSFCGVSCPNHLVHPHPQGNHAAGPDIITIERLNGWLVIDQEQLPVEFGQDIHVMVGEDGRHMLPIKRLPAEHGDGHDGLVEPDWNLCARAGCNEMFNGNAVCCCMSSA >ORUFI10G05170.1 pep chromosome:OR_W1943:10:6052830:6055311:-1 gene:ORUFI10G05170 transcript:ORUFI10G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQSNPYPPILCSLIPSRAPPPQTSARFARFALISPPDALVRGLSAATTGYGLAGPGRSHGAREFHPELEEAEDYAFLGREVDEARHRRGVRPQHGCGGRRAFLPESRDEVDVTMTSYFYPSVPSLYPLRLHLLRPRGLSSIENMEMQLRK >ORUFI10G05180.1 pep chromosome:OR_W1943:10:6063605:6064481:1 gene:ORUFI10G05180 transcript:ORUFI10G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPKLPTSSLCLSLHPVFHWPHSLQPPASSLPLLSCHKSALLHSGLRSAHEIGGGQGDGGGERLWRGSLELHALRPSPLVTPPNELQAPLLPLPGKRKVRLGEIGLGS >ORUFI10G05190.1 pep chromosome:OR_W1943:10:6085273:6086114:1 gene:ORUFI10G05190 transcript:ORUFI10G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDLSSLSAVKFHPDPEQKQDIELRADQFTCLVPPSQSILRITRDKMIINTPVQEGV >ORUFI10G05200.1 pep chromosome:OR_W1943:10:6090622:6100958:1 gene:ORUFI10G05200 transcript:ORUFI10G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLQGCYAVSSWPRLPRLPPWPCASHAQRRRVLRLLPPRRRCAGAVRVVAEAGPALAIDRVAEEADVRFPGDVEGVPGQQQQREEEEDAVDERERLRRMRISKANKGNTPWNKGRKHTPETLQRIRERTRIAMQDPKVKKKLMHLGHAQSEETRIKISMGVRRGWNLRLQKLMIQDGCFVEWRDMIADAARKGFAGGISLQWNSYKILTEQMRQEWLEKVQKRRSMPRPTGNRRAPKSPEQRRKIAEAIAAKWLDKEYRERVCSGIASYHGTSSGTKVPRKPRSAREPGSKRDTAKKKPIQSRSAGLEDACGTTPTVKRKKSATPYKDPMAGEKLEMITKIRAQRAALEIEKKEAIKRARSLIAEAEKAANALETVASTSPFAQASLIEARKLVTEARLSLQHVDDEGPADSASDDASQDSGASDLHNHDMANQNDVIKQENKPVNGMELPPSNVNGRDFYFDVSTLTETDHLRDYQRIENSMERAYLLPSASSAIQDVNENHRMKDFNAHQLMVNDESITIDQIASEVAEIYPDEPQEDDTLPVQKSKMRWVRGRLVEVEE >ORUFI10G05210.1 pep chromosome:OR_W1943:10:6118799:6132464:1 gene:ORUFI10G05210 transcript:ORUFI10G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVGAGTSPAAGWKAPASMVLVQLFNSGMILLSKVCINGGMFVFALLSYRSVFGAIFILPFALIFERGKWRDIDWSATGWIFFNAFIGYAVPMSLYYYGLKDTTPSYSVIFTNIIPLFTFVLSLVFRSKAGLLKIVGVLVSVGGTMLVSLYKGKSLHLWNSILQHQKEQLTKSATNQLRGTIFLAGSSLTFACWYLVQSKVLKVYPYKYWSSMVTCLVGGFQTAFVGIILRRDKNAWMLGWDLNLVTVVYSGALATAGKYSLNSWAVAKRGPAYPPMFNPLSVIFTVVFDSILMGDDLTVGSLIGTAMV >ORUFI10G05220.1 pep chromosome:OR_W1943:10:6150735:6151872:1 gene:ORUFI10G05220 transcript:ORUFI10G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCETAHTVRISEDSAVLKNKTPQEEKDYIERLHKRKMAEVGNYLATSSLAHSDKQVIMVPYHFGEHYILFFVYPTDQTVIVLDPADHDKDAYMEFLCLLNLAHGRYKKHGGYVKNPSREKLYIRGHWPCYKQPSLTNLCGYYVCEMLRVNGRYRTEFTYLPSIPYSASRFDQRTLINLCADLCRFIRRNICNHLEEFHDPHSELATDPKFKNLREWERQHAVD >ORUFI10G05230.1 pep chromosome:OR_W1943:10:6163700:6170510:1 gene:ORUFI10G05230 transcript:ORUFI10G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDGAGTKAAAAGWKAPASMVLVQLFITGMIMLSKVSIGGGKFIFSLLAYRSLFGAVFILPFALIFERGKWRDMDWRALGWIFFNAFIGYAVPMSLYFYGLKDTTASYAVIFINIIPLFAFILSLMFRLETFEIGSIVGVLKIVGVLLSVGGTMLSKVLKVYPYKYWSSMITCLVGGFQVAFVGIILRRHKSAWKLGWDLNLVTVVYSGALATAGKYSLNSWVVAKRGPAYPPMFNPLSVVFTVVLDSVLMGDDVTVGSIIGTAMVIVGLYLFLWAKA >ORUFI10G05230.2 pep chromosome:OR_W1943:10:6163700:6170510:1 gene:ORUFI10G05230 transcript:ORUFI10G05230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDGAGTKAAAAGWKAPASMVLVQLFITGMIMLSKVSIGGGKFIFSLLAYRSLFGAVFILPFALIFERGKWRDMDWRALGWIFFNAFIGYAVPMSLYFYGLKDTTASYAVIFINIIPLFAFILSLMFRLETFEIGSIVGVLKIVGVLLSVGGTMLVAFVGIILRRHKSAWKLGWDLNLVTVVYSGALATAGKYSLNSWVVAKRGPAYPPMFNPLSVVFTVVLDSVLMGDDVTVGSIIGTAMVIVGLYLFLWAKA >ORUFI10G05240.1 pep chromosome:OR_W1943:10:6222144:6222596:1 gene:ORUFI10G05240 transcript:ORUFI10G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVLGRGRKGGRRRELARAAASSVAANREGGGGAQSRATGRAAAELGRGRKGGRRRGLAQAAANSGEGNREDGGGWRRFGVGGKAGFAAAVPLGGRNRRGDKAAATVRDKPNAGGATPRPGLPSHHLPRSAPIRSCASIRHIFVDAAI >ORUFI10G05250.1 pep chromosome:OR_W1943:10:6225976:6233354:-1 gene:ORUFI10G05250 transcript:ORUFI10G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGVCGRTRRKPAKIPDHCLRIVYLLYAKGKMAWRMKLQHLKDITDQFSPGRELGKGGFGVVYKGILANGKPIAVKRLQVMPGIQDRQFNNEVHHLMGLKHQNIVQLIGYCDERQEKVIYDEYQKKNICAEVQERLLCYEYMANGSLDKLVYDQSHVLEWHDRYAIIKGICQGLCYLHEELENKPIIHLDLKPSNILLDDNLLPKIADFGLSRLFGEEQTRTCTTMVTGSIGYMAPEYCHKGEISTKSDIYSLGILILEIVTGEKNHQSSVDLSGQRFIHSVRNKWSRMSKITSRYPLLDTHSLQQVHSCFKIGLNCVEIDPKRRPPARKIVNMLPWECKKAEAMASMLLPNVSNGRFTSSVVDKESNVIGLPAHQVDSNMKEILSVNPLELWFPMKAQEEFSCSMLLKNKTHHYVAYKINAQKLNIYRIEPCSGLISPQFTCNISVRMQAQQGVSPNMQLMDRILVQSVVVSDDLIDIAQDLSCKQKGKLVLKGPDKIMSKIYVNIWKTGALDENKPICGTTEGIGNSHHDNKLSGLSIMKNSSRDDYVIDGMRIYVKIPSIAKTIKLIVKNSNSVADVKVEIERKEGILQDNQMLMYAGRQLDDRQILSHFGLSDDQILHVLICPFEKLRIFVNISNRRTVRLDVESWYTVADVKVMIEALLGFPACTQLLMWTNPSVDIELMDTETLKEQNVKNNTVLLLHPNVQIFIKSWEGRTLTTLVSMFDTAEEIWKKIKKRSQIKAEKYYLCYRGHVLPPGVSLDMYKIESNSTISIRLRNSYRKEEPGRITRGTSSFTLQSSLMEWYQERKYARQAKATAEDTILHA >ORUFI10G05260.1 pep chromosome:OR_W1943:10:6251944:6252894:-1 gene:ORUFI10G05260 transcript:ORUFI10G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAEAAVWRGHGSSNITRQILKCTRWQLEETTDFITCPYHYYCDSAYPGDYSPAVGALVAAFAAYCLVSAAAFAVLDIGRAGGGGGRNRRKYMVPSGPFLLPVVLLALAKGQRINAVFPLAQLGPALLLTLQASALAFRNEADGDLRYAVLEASTVSGILHASLYLDAVVLPYYTGTDALRWSRFSGECATCLCRMDPLVVGGRTVLYRGLSKTALAIIFALCSRMVCRIYGEERVSAWTRSALEAASWVFVAGDAVYLAGWAFAEGAAASVAAYALVAGLVFLCIFGKVYKFLAWMETRQVQWKPSVSCHSNVV >ORUFI10G05270.1 pep chromosome:OR_W1943:10:6258077:6276783:-1 gene:ORUFI10G05270 transcript:ORUFI10G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHLYTTYPRLIPQFTESSSKKFLKAAARGLLGLPIGGAFLNAFGAIVLGAPIGIKYWAATTYWSLLMSLFTIVPAACVFGASKVNWQAVLSHSIYCGSTDAVDYMISAPAHGAVIGAWLGAWPMPLDWERPWQEWPISVTYGSVAGHLIGMAISLALIVAHKRRGRAKAD >ORUFI10G05280.1 pep chromosome:OR_W1943:10:6277739:6278322:-1 gene:ORUFI10G05280 transcript:ORUFI10G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKARKPSARSALHPLHRAHQISRAAPACPRPFRRRLRLCGRWKSKCGGNGNHVWRSLGSGGRNADVVAVAAALAAQIEQIGGRREAERLAVFFHFSQIGGGAVEGEPAIGSTGGGDRRGCGAVVGRRRRGRRKNHVAYGREAI >ORUFI10G05290.1 pep chromosome:OR_W1943:10:6349310:6351022:-1 gene:ORUFI10G05290 transcript:ORUFI10G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLLEDVPLCRCWCDPRRPRTNGIDGDRFVQHRLLVSTIVPGLVVALARQGGHQMQTVNLVSHAGGRRSFLLPVMGNTPSSARPASTTS >ORUFI10G05300.1 pep chromosome:OR_W1943:10:6355070:6355734:-1 gene:ORUFI10G05300 transcript:ORUFI10G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAPSTPYRHMLETLHGLGRAPAASPASGRSHGCGSRTLVTSRTWTRCGSNVVVDSALVDMYLKCSSPEDARWVFAAVAARNITMWTTVMSGHSQHGCVAEALALFDQMMRFEGLANLSNDGALVMQTGAGGRYSLLVHHSVEELRVFGLTDDMIEDQRFAWTPSSSVLLNRWR >ORUFI10G05310.1 pep chromosome:OR_W1943:10:6356456:6356918:-1 gene:ORUFI10G05310 transcript:ORUFI10G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWIADEDAALLLSESIRLNPCGCTTGATGTGYMSVTSPANPSNAAGAPATSSGSVSATPLCSVSCRRSSVLGHLLLEDYMTGHDNDEFDDESISNTETTKLYGHEEVVTWFARPFKGKNIVESDHSPIPSLLDPIVTLFA >ORUFI10G05320.1 pep chromosome:OR_W1943:10:6378261:6378965:1 gene:ORUFI10G05320 transcript:ORUFI10G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTSSTAPVESTISCLGRQNLMKPKATSFSDEHISQDNNKSSGGHRNDGQREMVQLESAQEKKPVKKMNKFNLKELPESMDDDYL >ORUFI10G05330.1 pep chromosome:OR_W1943:10:6389616:6392234:-1 gene:ORUFI10G05330 transcript:ORUFI10G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQGRESLGIAGTQAPASAPASPRRYRLPSDETPTGNAASSTSFASARTPPPLSSPSIRCLGSIVGEAPPLTPLAVGAEHRPRSSPHRFHPNRARGNEMPSDEYFAPGTGGFEDGSF >ORUFI10G05340.1 pep chromosome:OR_W1943:10:6392111:6392314:1 gene:ORUFI10G05340 transcript:ORUFI10G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTVSLEAHQREVGSVVGSPVPTRALAFRLSQGTPFPVFAWNVKRRARRECWRKGRLKGGVWTRCS >ORUFI10G05350.1 pep chromosome:OR_W1943:10:6392365:6392583:1 gene:ORUFI10G05350 transcript:ORUFI10G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGWACQELCFLSRKSNGGRDLVGARRFGRNDFALSQWRHTHATIGENGVRWTMGTETRCLGPPAATSNG >ORUFI10G05360.1 pep chromosome:OR_W1943:10:6401959:6406156:-1 gene:ORUFI10G05360 transcript:ORUFI10G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPNRSDSKKKQPGTTHSAIVAPSSSSAVDVSDSNSGSSAMAKKQRREAAARRRREQQQQGREQRHHHHHRRRPLLLQPRDERCVSCTTFNILAPIYKRMDSENCRESQYRAYWFSRNEKIIDRLLADCSSIICLQEVWLGNDELVDMYEKRLGDANYSLFKLARTNNRGDGLLTAVNKNYFHVLNYRELLFNDFGDRVAQLLHVESAMPFWQNRSSSCIQQQSLIVNTHLLFPHDHSLSIVRLKQVYKILQYIEAYQEEHKLGPMPIILCGDWNGSKRGQVYKFLRSQGFVSSYDTAHQYSDSEEDAHKWVSHRNHRGNICGVDFIWLLNPNKSRKPLKTSWNEAVFGIIKYLLLQVASLSEENAFALLKADSPDDQITYSSFCQALCQLGMVHPDRLNSEEIKDLWSEADHDGDDIVDYKEFQRCIWSPTCCSQEEEDDTEIDISDGSLVTFEANDEAFGFTVKEAVLFPPEVEKGMWPENYSLSDHAPLTVVFSPVRMPCSPRTPRTP >ORUFI10G05370.1 pep chromosome:OR_W1943:10:6409187:6409651:-1 gene:ORUFI10G05370 transcript:ORUFI10G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIEGTMRTTGSAGWRQEIEAAQDQCSVELDGWSMVLVEVAANRELNVGAGRATSSMASCGSLNPTQRFEITFHGGELDLT >ORUFI10G05380.1 pep chromosome:OR_W1943:10:6449755:6459805:1 gene:ORUFI10G05380 transcript:ORUFI10G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHGWRCLGESVYVLSTFADTKERTDQCTVNVEVKLGRNDDIEFAVSPDALRFTTPKFSDFVSSDEMETFENGKEVILDYCNFRTACTTLPTLQEGHVIGFSKTLPTGQCLDKFMQLCSLKHGLEADYSHYAAVRFGYESSHEIWLPCSFVLQGSGLQPAPKSSRASRAMCALQSFMGLLNAWNFFGQNQLVIKEQLLLNSTATLPTWDKAMSSARTNNSEDLRLVHTNILTNDQSLALDFRTPKPAVLCSTSIKPWNTKVQMTSLSLDNDDTGNRKGSINYDCQTQSIVRTNLCKSQVALLKPSFSRGKSEQGHKRKHSSEHSDADNSDKLRHTSLTNSTLVSGGHKRKHAESLDRNCQEVSKVHLQDYSQKENLDTSRKSKDCIPQVPDETRAIPGVKNDMLSTKVMKPTLKCVVSNDEKTAPTNSKTKQKVRKDVLTAVTKPTIRTEDVKDHFTKKVVDNQKDELMKKATKAKGRRVVNSTELTSMNSKTNSDVLNDDIVRKVTDHQKRGELRLLTVADLKCFLSARKVKVGGTKEMLIKRVAELIG >ORUFI10G05390.1 pep chromosome:OR_W1943:10:6479144:6483267:-1 gene:ORUFI10G05390 transcript:ORUFI10G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMGSSGGHRRRNNGHGRHHHHGQPTAPPPPPQQQQQEVAPNRYVFAAASPYPPQYPNPNLPQYYPQYGNFYPPPPPSMPGPLPAPYDHHHRGGGPAQPPPPPPPPQPIHAAGEFPPAMLQQHPHYHGWGGNFSYGPPTQPPAPAPPYVEHQKAVTIRNDVNLKKETLRVEPDDECPGRFLITFTFDATVAGSMTVYFFAKEELNCNLTATKEDLLKPVTVTFKEGLGQKFRQPSGTGIDFSLFEDAELFKEGEMDVYPLAVKAETTFSIGQFSEGEEQKSQTPNSQITQAVFERKENGDYHVRVVKQILWVNGTRYELQEIYGIGNSVEGDTEGNDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTNRCPICRQPVERLLEIKVNNKGEEQQQQQIPQPPPPPSTAPPHQQQESQA >ORUFI10G05400.1 pep chromosome:OR_W1943:10:6487566:6488097:1 gene:ORUFI10G05400 transcript:ORUFI10G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCNDHKTHHQRNWMYRNSAYNLEKPKPKPKAKKKKRNVEKTVEEEEQLNHRLRLAAIENTKRKRNEERELRKMAREFAEKNERAKERAGKVDRAQHAKEAGPEATSKAQCTRCT >ORUFI10G05410.1 pep chromosome:OR_W1943:10:6500791:6506659:1 gene:ORUFI10G05410 transcript:ORUFI10G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHVTKKFTKGHYMVGRSTTFLDRFSGSYNNTSLLKMLLYIPDAWWLSLVFKALTRKFTEKLSTYSGLYHQTAATTFNIHEGVIALAYLIKGVQVVNSSLPVDQKGMLTCPTTDHLLFHP >ORUFI10G05420.1 pep chromosome:OR_W1943:10:6502524:6507672:-1 gene:ORUFI10G05420 transcript:ORUFI10G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKDGQAWLGTNGYGSRREEDGVCHDDSATPVRANTVDELHSLQRKPQVVEDRHRLQLQSISASLASMTCGIGPKLVNGDPARKKEMAGKAVTHHQHHITVPTITVSDSDLKFTHVLYNLSPSELYEHAIKYEKGSFITSSGALATLSGAKTGRSPRDKRVVKDETTDDLWWGKGSPNIEMDEQTFLINRERAVDYLNSLDKVFVNDQFLNWDPNNRIKVRIISARAYHSLFMHNMCIRPTYEELENFGEPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLKRREMVILGTQYAGEMKKGLFSVMHYLMPKKQILSLHSGCNMGRGGDVALFFGLSGTGKTTLSTDRNRILIGDDEHCWSDNGISNIEGGCYAKCIDLSQEKEPDIWDAIKFGTVLENVVFDEHSREVDYTEKSVTENTRAAYPIEYIANAKIPCVGPHPKNVILLACDAFGVLPPVSKLSHAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTRYAAMLADKMNKHGATGWLVNTGWIGGSYGVGERISLAYTRKIIDAIHSGELLATSYKKTDVFGLDIPTKVEGVPSELLEPINTWEDKDSYKLTLLKLADLFKRNFKVFANYKKGGVSDLADEIAAAGPNF >ORUFI10G05430.1 pep chromosome:OR_W1943:10:6556104:6559325:1 gene:ORUFI10G05430 transcript:ORUFI10G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDKGTKSVVGEIPLLSTRAGPRDGEAWRQRLKEEYRALIAYTSVNKSKDNDWFRISAANPEGTRWEGTCWYVHNLRRYEFPLQFDIPVAYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPILVDSGMVKHKDDEAAPADAAAAASGSAAAS >ORUFI10G05430.2 pep chromosome:OR_W1943:10:6556104:6557585:1 gene:ORUFI10G05430 transcript:ORUFI10G05430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDKGTKSVVGEIPLLSTRAGPRDGEAWRQRLKEEYRALIAYTSVNKSKDNDWFRISAANPEGTRWEGTCWYVHNLRRYEFPLQFDIPVAYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPILVDSGMVKHKDDEAAPADAAAAASGSAAAS >ORUFI10G05440.1 pep chromosome:OR_W1943:10:6558416:6563577:-1 gene:ORUFI10G05440 transcript:ORUFI10G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPCPPRRPISAPCFLLCFLLGFVAGLFPFAHRHLHLDLHLPLPPPATAILVREDPPSVVVDVDTPLPAAAEERKLLLVVTPTRARPLQAYYLRRLAHTLRLAPSPLLWLVVESGAATRDTAALLRGCGVMYRHLSSPVPDAPQDRPRRRGRRQDRPAVDSRARQRNTALDHIEHHRLHGIVYFADEDNVYSLDLFYHLRDIRSFGTWPVATLAPGKSKTILQGPVCEGSRVVGWHTTDRSKNQRRFHVDMSGFAFNSSKLWDAKNRVKLETAFIEQLVEDETHMEGVPPGCSKIMNFHLHLEDKNAIYLNGWQTTQNLDKLYEAH >ORUFI10G05440.2 pep chromosome:OR_W1943:10:6558416:6563577:-1 gene:ORUFI10G05440 transcript:ORUFI10G05440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPCPPRRPISAPCFLLCFLLGFVAGLFPFAHRHLHLDLHLPLPPPATAILVREDPPSVVVDVDTPLPAAAEERKLLLVVTPTRARPLQAYYLRRLAHTLRLAPSPLLWLVVESGAATRDTAALLRGCGVMYRHLSSPVPDAPQDRPRRRGRRQDRPAVDSRARQRNTALDHIEHHRLHGIVYFADEDNVYSLDLFYHLRDIRSFGTWPVATLAPGKSKTILQGPVCEGSRVVGWHTTDRSKNQRRFHVDMSGFAFNSSKLWDAKNREQLVEDETHMEGVPPGCSKIMNFHLHLEDKNAIYLNGWQTTQNLDKLYEAH >ORUFI10G05450.1 pep chromosome:OR_W1943:10:6564883:6566651:-1 gene:ORUFI10G05450 transcript:ORUFI10G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLMNQDNPWYAQLPPAPAFPHWLPDPEHYSCSPMVLGIGEATHCKLALLDATKRVVEVGGDLTIDYDKTRRRLAYPWHTSWRSTRRTWWLLSTMPVTARGLHAPIISRPNSTEDNSHRIARTAISSVFLVSDYTRQNREKARRNWSNLEHGIDDCGGRGYPSHHVGVKDRPMAIGRTTVVAFETHRGGKILLTLGEAFSIRNHSEEFKGDRGSHDGFLLQLKKKVIWL >ORUFI10G05460.1 pep chromosome:OR_W1943:10:6568007:6579951:1 gene:ORUFI10G05460 transcript:ORUFI10G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAGGIDHHVAVDVEGEEESRRRAVAEEADLLWAAFERLPSAKRRSHAVVLPDPDGLGGGDGGGRGEGQLVDVRKLDRPGLQRVLRHALATSELDNANLLHGIKARFDAVGLEVPRVEVRFQNLTVSTDVHVGRRALPTLVNYVHDIAERILISSHLLRPDKHKLVILDDVSGVIKPGRMTLLLGPPASGKSTLLLALADKLDSQLKKSGEVAYNGMALDQFCVQRTSAYISQTDNHIGELTVRETLDFAAKCQGASENWQECLKELVNLEKERGIRPSPEIDAFMKTASFRREKHNLVSDYVLRVLGLDICADTPVGSDMERGVSGGQKKRVTTGEMIIGPRKTLLMDEISTGLDSSTTFQIVNCMRNFVHEMEATVLMSLLQPAPETFELFDDLILLSEGKIIYQGPIKHVVDYFKSLGFSLPPRKGIADFLQEVTSKKDQAQYWSDQSKQHIFVSASEMAAVFKESQYGTYLEANLSSSCGNKDSALVLPRSKFAVPKFSLVRACFARELILISRNRFLYTFRTCQVAFVGIITSTLFLRTRLHPVDEQNGNLYLACLFFGLVHMMFNGFTEMTMTISRLPVFYKQRDNFFHPAWAFSLPNWILRIPYSFIEAVVWSCVVYYTVGFAPTVDRFFRFMLLLFSIHQMALGLFRMMGAIARDMTIARFIKPWWDWAYWISPLMYAQRAVSVNEFSASRWSKVSVSGNMTVGTNILISHSLPTDDHWFWIGVGVLLAYSIFFNIMFTLALAFLNPLRKPQSMVPSDAGDGRDVHINTDSNKNTIGEIYENNDGFEGQTKCKSKKGMILPFQPLTMTFHNVNYYVNMPKEMQAKGVPEKRLQLLSEVSGIFRPRVLTALVGASGSGKTTLMDVLAGRKTGGYIEGDIRISGHKKEQRTFARIAGYVEQNDIHSPQAFVEEVMALVELDQIRYALVGKQGLTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGSLGVNSVDMINYFQGIPRVVPITEGYNPATWMLEVTTQASEERLGIDFATVYKNSYQFRNVENLIVELSIPASGTEPLKFSSEFSQNRLTQFMVCLRKQSLVYWRSPEYNVVRLFFTSVAAIIFGSIFWNVGMKRESTEDILLIMGALYAACLFLGVNNASSVQPVVSVERTVYYRERAANMYSSFPYAAAQGLVEIPYIAVQTLIFGLITYFMVNYERNISKFFVTRNVSNPYGLAEILMRFQSSTHNAIGISLWKIGLVPYLHVPYFYLLHVLWNGGSRLDTYSTHGICGILSRIPGWWIWFYYICPVAWTLRGVITSQLGDVDTRIVGPGFDGTVHEFLQQNLGFEQGMTGATVAVLVAFSVFFFSIYAISIKMINFQRR >ORUFI10G05460.2 pep chromosome:OR_W1943:10:6568007:6579951:1 gene:ORUFI10G05460 transcript:ORUFI10G05460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAGGIDHHVAVDVEGEEESRRRAVAEEADLLWAAFERLPSAKRRSHAVVLPDPDGLGGGDGGGRGEGQLVDVRKLDRPGLQRVLRHALATSELDNANLLHGIKARFDAVGLEVPRVEVRFQNLTVSTDVHVGRRALPTLVNYVHDIAERILISSHLLRPDKHKLVILDDVSGVIKPGRMTLLLGPPASGKSTLLLALADKLDSQLKKSGEVAYNGMALDQFCVQRTSAYISQTDNHIGELTVRETLDFAAKCQGASENWQECLKELVNLEKERGIRPSPEIDAFMKTASFRREKHNLVSDYVLRVLGLDICADTPVGSDMERGVSGGQKKRVTTGEMIIGPRKTLLMDEISTGLDSSTTFQIVNCMRNFVHEMEATVLMSLLQPAPETFELFDDLILLSEGKIIYQGPIKHVVDYFKSLGFSLPPRKGIADFLQEVTSKKDQAQYWSDQSKQHIFVSASEMAAVFKESQYGTYLEANLSSSCGNKDSALVLPRSKFAVPKFSLVRACFARELILISRNRFLYTFRTCQVAFVGIITSTLFLRTRLHPVDEQNGNLYLACLFFGLVHMMFNGFTEMTMTISRLPVFYKQRDNFFHPAWAFSLPNWILRIPYSFIEAVVWSCVVYYTVGFAPTVDRFFRFMLLLFSIHQMALGLFRMMGAIARDMTIARFIKPWWDWAYWISPLMYAQRAVSVNEFSASRWSKVSVSGNMTVGTNILISHSLPTDDHWFWIGVGVLLAYSIFFNIMFTLALAFLNPLRKPQSMVPSDAGDGRDVHINTDSNKNTIGEIYENNDGFEGQTKCKSKKGMILPFQPLTMTFHNVNYYVNMPKEMQAKGVPEKRLQLLSEVSGIFRPRVLTALVGASGSGKTTLMDVLAGRKTGGYIEGDIRISGHKKEQRTFARIAGYVEQNDIHSPQVTVEESLWFSSTLRLPNDISRETRHAFVEEVMALVELDQIRYALVGKQGLTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGSLGVNSVDMINYFQGIPRVVPITEGYNPATWMLEVTTQASEERLGIDFATVYKNSYQFRNVENLIVELSIPASGTEPLKFSSEFSQNRLTQFMVCLRKQSLVYWRSPEYNVVRLFFTSVAAIIFGSIFWNVGMKRESTEDILLIMGALYAACLFLGVNNASSVQPVVSVERTVYYRERAANMYSSFPYAAAQGLVEIPYIAVQTLIFGLITYFMVNYERNIRKLVLYLIYMFLTFTYFTFYGMVAVGLTPTQHMASVRIPGWWIWFYYICPVAWTLRGVITSQLGDVDTRIVGPGFDGTVHEFLQQNLGFEQGMTGATVAVLVAFSVFFFSIYAISIKMINFQRR >ORUFI10G05460.3 pep chromosome:OR_W1943:10:6568007:6579951:1 gene:ORUFI10G05460 transcript:ORUFI10G05460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAGGIDHHVAVDVEGEEESRRRAVAEEADLLWAAFERLPSAKRRSHAVVLPDPDGLGGGDGGGRGEGQLVDVRKLDRPGLQRVLRHALATSELDNANLLHGIKARFDAVGLEVPRVEVRFQNLTVSTDVHVGRRALPTLVNYVHDIAERILISSHLLRPDKHKLVILDDVSGVIKPGRMTLLLGPPASGKSTLLLALADKLDSQLKKSGEVAYNGMALDQFCVQRTSAYISQTDNHIGELTVRETLDFAAKCQGASENWQECLKELVNLEKERGIRPSPEIDAFMKTASFRREKHNLVSDYVLRVLGLDICADTPVGSDMERGVSGGQKKRVTTGEMIIGPRKTLLMDEISTGLDSSTTFQIVNCMRNFVHEMEATVLMSLLQPAPETFELFDDLILLSEGKIIYQGPIKHVVDYFKSLGFSLPPRKGIADFLQEVTSKKDQAQYWSDQSKQHIFVSASEMAAVFKESQYGTYLEANLSSSCGNKDSALVLPRSKFAVPKFSLVRACFARELILISRNRFLYTFRTCQVAFVGIITSTLFLRTRLHPVDEQNGNLYLACLFFGLVHMMFNGFTEMTMTISRLPVFYKQRDNFFHPAWAFSLPNWILRIPYSFIEAVVWSCVVYYTVGFAPTVDRFFRFMLLLFSIHQMALGLFRMMGAIARDMTIARFIKPWWDWAYWISPLMYAQRAVSVNEFSASRWSKVSVSGNMTVGTNILISHSLPTDDHWFWIGVGVLLAYSIFFNIMFTLALAFLNPLRKPQSMVPSDAGDGRDVHINTDSNKNTIGEIYENNDGFEGQTKCKSKKGMILPFQPLTMTFHNVNYYVNMPKEMQAKGVPEKRLQLLSEVSGIFRPRVLTALVGASGSGKTTLMDVLAGRKTGGYIEGDIRISGHKKEQRTFARIAGYVEQNDIHSPQAFVEEVMALVELDQIRYALVGKQGLTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGSLGVNSVDMINYFQGIPRVVPITEGYNPATWMLEVTTQASEERLGIDFATVYKNSYQFRNVENLIVELSIPASGTEPLKFSSEFSQNRLTQFMVCLRKQSLVYWRSPEYNVVRLFFTSVAAIIFGSIFWNVGMKRESTEDILLIMGALYAACLFLGVNNASSVQPVVSVERTVYYRERAANMYSSFPYAAAQGLVEIPYIAVQTLIFGLITYFMVNYERNIRKLVLYLIYMFLTFTYFTFYGMVAVGLTPTQHMASVRIPGWWIWFYYICPVAWTLRGVITSQLGDVDTRIVGPGFDGTVHEFLQQNLGFEQGMTGATVAVLVAFSVFFFSIYAISIKMINFQRR >ORUFI10G05470.1 pep chromosome:OR_W1943:10:6589864:6590804:-1 gene:ORUFI10G05470 transcript:ORUFI10G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATARMHAWQLLLVAVVISCFHCHLAAHHGGKNVRQPPSSVVVVVGSVHSGSDATKAAVSGAPVAVRCHDGNGRDVFRQQALTDRRGEFRVHLPPEAKSRLASVTSCSVKLLASNAARPCDDAAATSAATARGFRLAATKHNGGDGARVFNAGAFAVHPELCDDKGIFFPPLPLVPEPPNIGGVPIPPNPITPAPPSLVPPVFPTPSPPSILPPLTPQPPPSSLIPPVLPLPLLNPPPPPPPPPSLLPPVPLLPPLIPGVPPASASRSGRPVSTP >ORUFI10G05480.1 pep chromosome:OR_W1943:10:6598907:6604286:-1 gene:ORUFI10G05480 transcript:ORUFI10G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGVILSLFSKAPKNSDSRSAKPGSQCTSCRCEAALPVMVVLSASDFCFKNCNLHKASRVLMIPVLYQLLQGIFANRAKYFRIQIWFSSSSSQDGWTPLHLAIQSRNRDITMILLVNGADETRRNKC >ORUFI10G05480.2 pep chromosome:OR_W1943:10:6598622:6604286:-1 gene:ORUFI10G05480 transcript:ORUFI10G05480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGVILSLFSKAPKNSDSRSAKPGSQCTSCRCEAALPVMVVLSASDFCFKNCNLHKASRVLMIPVLYQLLQGIFANRAKYFRIQIWFSSSSSQDGWTPLHLAIQSRNRDITMILLVNGADETRRNKMPAFSNYAIIVAI >ORUFI10G05480.3 pep chromosome:OR_W1943:10:6599506:6604286:-1 gene:ORUFI10G05480 transcript:ORUFI10G05480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGVILSLFSKAPKNSDSRSAKPGSQCTSCRCEAALPVMVVLSASDFCFKNCNLHKASRVLMIPVLYQLLQGIFANRAKYFRIQIWFSSSSSQKNMNAAVHAGRISSKDAAARRQTIRGGGGASEEEKRSLRVWSDVLAGGGGAKYSAWRGWMDRAVVKSSAQMDGWVDTVAFSYTE >ORUFI10G05480.4 pep chromosome:OR_W1943:10:6599508:6604093:-1 gene:ORUFI10G05480 transcript:ORUFI10G05480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVGAACRRPIGIPAGSSPDLSVTKAQLLSRSQCTSCRCEAALPVMVVLSASDFCFKNCNLHKASRVLMIPVLYQLLQGIFANRAKYFRIQIWFSSSSSQKNMNAAVHAGRISSKDAAARRQTIRGGGGASEEEKRSLRVWSDVLAGGGGAKYSAWRGWMDRAVVKSSAQMDGWVDTVAFSYTE >ORUFI10G05480.5 pep chromosome:OR_W1943:10:6598624:6604093:-1 gene:ORUFI10G05480 transcript:ORUFI10G05480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVGAACRRPIGIPAGSSPDLSVTKAQLLSRSQCTSCRCEAALPVMVVLSASDFCFKNCNLHKASRVLMIPVLYQLLQGIFANRAKYFRIQIWFSSSSSQDGWTPLHLAIQSRNRDITMILLVNGADETRRNKMPAFSNYAIIVAI >ORUFI10G05480.6 pep chromosome:OR_W1943:10:6599508:6603133:-1 gene:ORUFI10G05480 transcript:ORUFI10G05480.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSASDFCFKNCNLHKASRVLMIPVLYQLLQGIFANRAKYFRIQIWFSSSSSQKNMNAAVHAGRISSKDAAARRQTIRGGGGASEEEKRSLRVWSDVLAGGGGAKYSAWRGWMDRAVVKSSAQMDGWVDTVAFSYTE >ORUFI10G05490.1 pep chromosome:OR_W1943:10:6616293:6624379:1 gene:ORUFI10G05490 transcript:ORUFI10G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLLLLAAVLVAAEPAAAISTMPMELYFSPGELARIAGYGEEPVSTVVVSGQVACELCLCPGSDLLTFELPGAKVEVACETEGPNTQANSVFTATDEFGNFTFHLPSRLHATPSLENACVVKVLQLPPDSACGLRHRPAASYRIRPSSSLSSSADGFRAYTAGVIRLQHGGTPSGECVQVEDRVDK >ORUFI10G05500.1 pep chromosome:OR_W1943:10:6623660:6624130:-1 gene:ORUFI10G05500 transcript:ORUFI10G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGGGSTGDQGDESDPAHGPGHPTEATADCASEPGCSGTLWMHKVCRTMAAMLLRFIYDMSPAEAKCPCSVGELLEAETEVGGRGKADPPPLTSLSPPLRRHRRPSVCSWTPEKKGRLER >ORUFI10G05510.1 pep chromosome:OR_W1943:10:6632800:6639309:1 gene:ORUFI10G05510 transcript:ORUFI10G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGESLLDRSSSADAAMDDAVPGHRHHHPLSVFLRDARLAFRWDELGREIMGIAVPGALALMADPVASLVDTAFIGHIGPVELAAVGVSIAVFNQVSRIAIFPLVSVTTSFVAEEDATSSDREKYEINGENEFNVSDSEMEELVSHEEASAAPSKSSFETDSSDVKIEHKRKNIPSVSTALLLGGVLGLLQALLLVICAKPLLGYMGVKQGSAMLMPALKYLVVRSLGAPAVLLSLAMQGVFRGLKDTKTPLYATVAGDATNIVLDPIFMFVFQYGVSGAAIAHVISQYFIASILLWRLRLHVDLLPPSFKHMQFSRFLKNGFLLLARVIAATCCVTLSASMAARLGSVPMAAFQICLQIWLASSLLADGLAFAGQAILASAFARQDHSKAAATASRILQLGLVLGLLLSIFLGIGLRLGSRLFTDDQDVLHHIYLGIPILVAIVSIIFIVTLASYNGFVGIWIALTVYMSLRMLAGFLRIGTARGPWTFYAAQRMHSHEVVRLC >ORUFI10G05520.1 pep chromosome:OR_W1943:10:6651596:6655098:1 gene:ORUFI10G05520 transcript:ORUFI10G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFPECISAVVLISLSTMIAASSGLSMSNSSNTDLTALMAFKAQLSDPLGILGRNWTVGTPFCHWVGVSCRRHRQRVTAVELPDVPLQGELSPHIGNLSFLSVLNLSNTGLMGSVPDDIGRLHRLKILDLGHNDMLGGVPATIGNLTRLDVLDLEFNSLSGPIPVELRLSHNLRSINIQMNYLTGLIPNGLFNNTPSLKHLIMGNNSLSGPIPSCIGSLSLLERLVLQCNNLTGPVPPSIFNMSRLHVIALASNGLTGPIPGNKSFILPILQFFSLDYNYFTGQIPLGLAACRHLKVFSLLDNLFEGPLPSWLGKLTKLNVISLGENLLVVGPIRDALSNLTMLNFLDLAMCNLTGAIPEDLGQIGHLSVLRLSTNQLTGPIPASLGNLSALSVLLLDDNHLDGLLPTTIGNMNSLTELIISENGLQGDLNFLSAVSNCRKLSVLCINSNRFTGILPDYLGNLSSTLESFLASRIKLSGKLPATISNLTGLKLLDLSENQLFSALPESIMEMENLHMLDLSGNNLAGSIPSNTAMLKNVVMLFLQNNEFSGSIIEDIGNLTKLEHLRLSNNQLSSTVPPSLFHLDSLIELDLSRNLFSGALPVDIGHLKQIYKMDLSSNHFLGSLPDSIGQIQMITYLNLSINSFNDSIPNSFGNLTSLQTLDLSHNNISGTIPKYLSSFTMLASLNLSFNNLHGQIPGGGVFSNISLQSLVGNSGLCGVVRLGFAPCKTTYPKRNGHMLKFLLPTIIIVVGAVACCLYVMIRKKVKHQKISTGMVDTVSHQLLSYHELVRATDNFSNDNMLGSGSFGKVFKGQLSSGLVVAIKVIHQHLEHAVRSFNTECRVLRMARHRNLIKIVNTCSNLDFRALVLPYMPNGSLEALLHSEGRMQLGFLQRLDIMLDVSMAIEYLHHEHCEVILHCDLKPSNVLFDDDMTAHVSDFGIARLLLGDDSSMISASMPEYGALGKASRKSDVFSYGIMLLEVFTGKRPTDAMFVGELNIRLWVSQAFPAELVHVVDSQLLHDGSSSTTNLHLHGFLVHVFELGLHCSADYPEQRMAMRDVVVTLKTIRKDYVNWMVLMGSTAQQ >ORUFI10G05530.1 pep chromosome:OR_W1943:10:6675766:6676471:-1 gene:ORUFI10G05530 transcript:ORUFI10G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCTTMRLASSVTIILLLLVASQALVVSGESSSSAMQSKTLNMNKLLNISEDHSPNGGRHWMQRMQPDSCSEQNVVVYQNNAEHLPSGIPTYSVEIINVCTACTVYDVHISCGEFASAELVDPSQFQRIGFNDCLVKGGGRLGPSEAVSFQYSNSFAYPLAVANVACE >ORUFI10G05540.1 pep chromosome:OR_W1943:10:6698443:6699290:-1 gene:ORUFI10G05540 transcript:ORUFI10G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKESSLVITVDLCCCRSLTKIRKTLCKLQVLQLKLHVTSLSSWHNAFAESEDIRAIMYDDKAGTVVISGGFDPLVLPCKLRRKAGSVIKDIHLKKEREKNRVPPPPPPPPPQPAPAARAAFGTICGAGVAPRGGGLRLPLLRRGTAVLLRADRRRRLLRRPVHL >ORUFI10G05550.1 pep chromosome:OR_W1943:10:6723741:6725695:-1 gene:ORUFI10G05550 transcript:ORUFI10G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTYAYRFKFVTIGDAGVGKSCLLLQFTDKRFREVEDLTTGVEYGACVVTVDGKNTKLQIWDTAFILAARTVHKKIEDGVINIRRVHGGGKAL >ORUFI10G05560.1 pep chromosome:OR_W1943:10:6733512:6746176:1 gene:ORUFI10G05560 transcript:ORUFI10G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETALATALDIAELPFSDLLLLISPDLPDDGRRGRLLTTVATSLGRGGSGLLAITNVPPAAALRRRLLPLARRLAVMDHPSRSQLLKKHGLGSDVPLKKLDRRVSSFARLLRHSGEFQLLESMKEIESIKNDPDYLEKALDGVVIGEPMGDGTEKLGELVEELGLCMMELGILVARACDTVTGGNQLEKSITDFGTAKARLIHYHSELDNIIIKNSSSKRKVPINKIAKATAYESCSRRSASSQGSCIRSECAMTDTLKDSNDKSIHGQGSVVSLTNLWQEWHYDYGVLTVLTAPLFLCSTMGEDCSISKECSPPDEHTYLQLFNRRKVFSVRCSPESFIVQVGETADILSGGKLRSTLHAVSRPYGSTNISRETFVVFLQPSWDKKLPYSGHCFAGDDEPSEGDDSTFSDGSDMFSSEHTLMQDILKKMPPLSSRVKEGMTFAEFSRQTTKQYYGGGGIQQNN >ORUFI10G05570.1 pep chromosome:OR_W1943:10:6744517:6750782:-1 gene:ORUFI10G05570 transcript:ORUFI10G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLPGTGRASSLSSSLLLLLLLGAAMAAASEAAAVMPMEAYFSPAELVRIAGYGEELVSTVIVSGKVVCELSLRPPGSDLLSIELPGRREKMVKSGSLMYYQIDANILYDCEQYQIMCITKILTCWHCQNILVAPCIPVNKTDNYVTKYFVFHVIRRATVGVACETGGIKTMANSVFTVTDENGNFTIELPSRLHATPNLEKACSVKVLQLPLDSACWPRRSPSYYHGIQLSSSEDGIRSYTTGVIRLQHHDTKSDMSMHHMSGLRLTYVN >ORUFI10G05570.2 pep chromosome:OR_W1943:10:6744517:6750782:-1 gene:ORUFI10G05570 transcript:ORUFI10G05570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLPGTGRASSLSSSLLLLLLLGAAMAAASEAAAVMPMEAYFSPAELVRIAGYGEELVSTVIVSGKVVCELSLRPPGSDLLSIELPGATVGVACETGGIKTMANSVFTVTDENGNFTIELPSRLHATPNLEKACSVKVLQLPLDSACWPRRSPSYYHGIQLSSSEDGIRSYTTGVIRLQHHDTKSDMSMHHMSGLRLTYVN >ORUFI10G05580.1 pep chromosome:OR_W1943:10:6767033:6770618:-1 gene:ORUFI10G05580 transcript:ORUFI10G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPDLKERIHCKWHNSFDHCTSDCNIFRQKIQSAIDEGRLHFATPRKSYAKDDRFDKRNRSRWSRKKSSAQAGGSSTRKQIWVPKSRGQEKSLAAGAHVSVQNASVQKKAIVPGGHARVQQVENVHHVNMKREASSAELVTSQNQPKSSGGNGSQSRHSHGLSNWQKKQLHKLSAKKLRERGMAWVPKESVQVQNEIDVKVEVEAKNEKGVRRRLAVDGYVAYH >ORUFI10G05590.1 pep chromosome:OR_W1943:10:6794586:6794927:1 gene:ORUFI10G05590 transcript:ORUFI10G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSRFPMMAQVERPVAPVDLSPTQPMEAGLRRSWQRDGSLAAENGGGEKEPARTPTMFCRRRSGTVRRRLTSRATLIWWHQRSKAWRRDGGMAMENDGGEEDFARARAVRV >ORUFI10G05600.1 pep chromosome:OR_W1943:10:6798230:6802482:-1 gene:ORUFI10G05600 transcript:ORUFI10G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEPIARLISHVILDLDGTLLNTDCVVSQVLKPFLVKNGKKWDSKKAHKLVGKTHLMKQQLLWCNIKALPGANRLIKHLKSNGVPAALASNSPGSNIEAKISCHQGWKESFSAIVGGDEVEKGKPSPDMPGVAAGKAAGMHVIAVPSVPKRTAEFSSADEVINSLLDVRPEKWGLRPFRIDDTLPIEPWFIGGPVIKGFGRGSKVLGIPTANLPAENFSDVLSEHTSGVYFGWAGLSTRGIYKMVMSIGWNPYFDNTEKTIEPWLLHDFGEDFYGEELRLVIVGYIRPEANFPSLESLIERIHEDARIAEKALNLPLYAKYTDSPYLRNSLKEDNSANGNQSVIDSK >ORUFI10G05610.1 pep chromosome:OR_W1943:10:6879843:6882037:1 gene:ORUFI10G05610 transcript:ORUFI10G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEELKESVKEEQAEKKEEAAEEKPDEPQEIVLKVDMHCEGCAKKVEKSLLRFEGVENVKADSRSKTVVVKSRAADPSKVCERVQRKTKRRVELIFPLPPPPEEEKKEEAPAPPPEEKKEEPPKTITVILKVQMHCDACAQILQKRISRTEGVESVETDLLNGQVVVKGVMDPAVLIESIQRKTRRPAVIVEEVKPREEEKKAEEEEKKPDEDKKADGIEEVKKYDFWPPVQYYVEYVYPYPLPPPPTALVSEEFSDENPNACTVA >ORUFI10G05620.1 pep chromosome:OR_W1943:10:6909115:6912644:-1 gene:ORUFI10G05620 transcript:ORUFI10G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNGKWRKAMPYMAMVFLQFGFAGLFLISVASLRQGMSHYVLVVYRNAVAAVVMAPFALWFERPVLDQNFFYMGAKNTSASFSSALTNVLPAVTFVNAIILRMERISIKERRSQAKIAGTLITVGGAMLMILFKGPVINFPWTKNANHNISDSSDHNNGHWLMGIFMILLSCFCWSAFFILQSYTLRSYPAELSLTTLICAMGVAQSGAVALVMERDIKAWLIGFDMRLFTAVYSGIMCSGVAYYVQGMVIKERGPVFVTAFSPLCMIIVTILGSFILCEVVTLGRVIGAIIIVVGLYALIWGKNKDHGNQVDQDDNFEKQKTFELPLSTTNVNEARSPNHI >ORUFI10G05620.2 pep chromosome:OR_W1943:10:6909115:6910151:-1 gene:ORUFI10G05620 transcript:ORUFI10G05620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERISIKERRSQAKIAGTLITVGGAMLMILFKGPVINFPWTKNANHNISDSSDHNNGHWLMGIFMILLSCFCWSAFFILQSYTLRSYPAELSLTTLICAMGVAQSGAVALVMERDIKAWLIGFDMRLFTAVYSGIMCSGVAYYVQGMVIKERGPVFVTAFSPLCMIIVTILGSFILCEVVTLGRVIGAIIIVVGLYALIWGKNKDHGNQVDQDDNFEKQKTFELPLSTTNVNEARSPNHI >ORUFI10G05620.3 pep chromosome:OR_W1943:10:6910675:6912644:-1 gene:ORUFI10G05620 transcript:ORUFI10G05620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNGKWRKAMPYMAMVFLQFGFAGLFLISVASLRQGMSHYVLVVYRNAVAAVVMAPFALWFERPVLDQNFFYMGAKNTSASFSSALTNVLPAVTFVNAIILRQNCKQGGVYASGTTVWKG >ORUFI10G05630.1 pep chromosome:OR_W1943:10:6935048:6935970:1 gene:ORUFI10G05630 transcript:ORUFI10G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHEQFVLALVHPQLPEEQWEEHRNEIRGFLEQVRHVQILESYPHPNAVAMFQVRSPVHRDALVLGLPLDYDGVHQVRFVRHDQGPNWRNAPYNHRGWVMMLDFPLDYINLHNVNQVVFTFGDLDWWFDEDPLKGRVLARVWFRDLDSVPQFVVWEQPNVPDGQSWTIYVYTLKGKFADVIPPDDGIPPGEGPVDPNINLEDAPAWQFGNLQQQGGDGHGWGNWDAAEHNEDFEPPVPVPDEVNAISSSVSSYPAASSDSSVVSLISVSSSENEDLPSAENAIVAQKIPSDYV >ORUFI10G05640.1 pep chromosome:OR_W1943:10:6972155:6977264:1 gene:ORUFI10G05640 transcript:ORUFI10G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEETAGFGDHGVDGKASPEIKTWRRTKERVAGVELFTAKPREATAQIGDDRRGGATRLELADGGGERSRTWGVTLYWREETDFLEKREEERFLEEGST >ORUFI10G05650.1 pep chromosome:OR_W1943:10:7003444:7004753:1 gene:ORUFI10G05650 transcript:ORUFI10G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRLLRGSCRKPCGDQGRQQRGTSFLHPCPLRQGEGRHGDVPRGAEMAMSMMVGDDMVASEMWPKKEMAAKTVAMEATARVGENGQIRLPYGHIQSPLGQIRGVGWLRRGSGSGEATPVLAAERLLVSGEVAARRWYGGVGGDRRGRQWAWQCGDFGTGPRGGRRAGWTVIARTLSSWRWQSSVLAGSLMEGLLHSCRQPPNLPVELLTSFCKELHWESWAAKASPPRSWLILARSGEVAGRIRSETTHKGGAAGVEAVAGLQGKTLVATAMVGYGEESDGQRGEGSLGQRTGDKAKWQSCRWHDWKSTGRGVSVACGIGHVLPMFEWWYWSWWGMDHGDRGYNGYDFGRGNPPGGTIEVPLLPHEEL >ORUFI10G05660.1 pep chromosome:OR_W1943:10:7008679:7019430:-1 gene:ORUFI10G05660 transcript:ORUFI10G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLASAVRLSALKMWLCMGGHSAATAGNGGGNPGLHQYVKPCGHCTRHRRRRCRRAQLHCGRKKEEDGRRKEEYGKGREWMENVTTVVRNQSAQAPQTLGILGRRGVASSCRIAPPAHPLSAPPSPSTSTVTKSDRRRRCACSCRLPRGAGCRVRCLGRRRRTAGAAPLPRGMGTGGVDGIGADPPTPPHEKVGLLLQDGREASPQLLGGVEALHHQDDNEDGEVEAIQVPLPSHVSEQNNLIEKQKEEGDKKRRQKEAELKLLEEELARRVEESIRKNVEDRLNSEDIKNEIKRRVEEGIKQLFDEVDAQLQKEKETALREARHKAEQERREREELDRMLEENRRKVEEAQRKEALEQQQKELERFLELERIQKQREDAMRRKKIEEEEDRANQMKLLGKNNR >ORUFI10G05670.1 pep chromosome:OR_W1943:10:7043017:7045175:-1 gene:ORUFI10G05670 transcript:ORUFI10G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPACSGCPPRVRPHLAPPKAKAETRRRREPAFFAHGLHRLPFRCRLELLPTPSRLALADLLRVGELYGGANVLRPRRRPPFRLRPLAAARSPPSSTLVWQLIPHLQIYPRWRVHLRYRPETSPRLLRAPGINSTTHRICSLFLLSSDGGKQRGRDLRGALRHAGSGKELGLWGQPGRGKRAGRLGGQMGAEQRSASPCINSFGSNSESNSTNVWKN >ORUFI10G05680.1 pep chromosome:OR_W1943:10:7050464:7050955:-1 gene:ORUFI10G05680 transcript:ORUFI10G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLHPLFPATAPGVSTTSTPSRDILRRGTRRLTTTCKAEPSGGNSTLELAAGAAGLASSSVVAWSLYTLKTTGCGLPPGPGGALGAAEGVSYLVVAALIGWSLTTKVRTGSGLPAGPFGLLGAAEGVSYLAAAAIAVVFGFQFFEVGSLPGPLPSDQCFG >ORUFI10G05690.1 pep chromosome:OR_W1943:10:7106815:7107168:-1 gene:ORUFI10G05690 transcript:ORUFI10G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGRRRRRGKVPRVFGQRGGAADDEDNEMKPTTATVQRAGSPASREDRRRKKTTVSSLIARKDELPAIFGLREGDAGYKHSEGRPSAATARAGTARLDREGLPKDEDVGDVTTAHQ >ORUFI10G05700.1 pep chromosome:OR_W1943:10:7132243:7141948:-1 gene:ORUFI10G05700 transcript:ORUFI10G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDRTPSATGNHNIEEEEQPAAPAIPVLADLFCFDIKDYFDDTTRNMTFCDKFPVTSELFVISTFNFMTISMRWGGLVTKKRCSSLIPTRNRHKIAAFIAEPVMGAGGVIAPPKTYFEKVTRITC >ORUFI10G05710.1 pep chromosome:OR_W1943:10:7191253:7210660:-1 gene:ORUFI10G05710 transcript:ORUFI10G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGYALLSSVLLVLLALSIITLVPRMPSPQSFFRSPPPRLINSRVPHPSDASDCIFSDGKWVRDAAAVTAYREDCPFLDPGFQCISNGRSNSSFRYWRWQPHGCQLPKGNYFMVGDRLNMSMDIKEAFRWSLETVKDWEISSTRVPNSYFFFRSYSPSHYRFNATDMLERSRNGRIVFAGDSIGRNQWESMVCMLAASVPAGKSRIYEQSGKPISRHKGYLAMVFAEYNLSVEYYRAPMLVMIDRFPASSGAVRGAVRLDLLPRHANRWAGADVLVFNTGHWWNEHKTIKSGNYFMVGDRLNMSMDIKEAFRWSLETVKDWEIRVILLLIIEAELAKTEEEKCASASSASEYEKTSQSSTGSVAFHGAADAGGDGHKLSKLSLNAKTCQGNGTWNTGGSCADHRDPVTSSDQFDEEYSWINAMISNAIDGIRSHGRRKAHFLNITYMTELRRDGHPSRNREPRTPQDAPEDCSHWCLPGVPDTWNEVLYAHLMSMGYDIRIH >ORUFI10G05710.2 pep chromosome:OR_W1943:10:7190757:7210660:-1 gene:ORUFI10G05710 transcript:ORUFI10G05710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGYALLSSVLLVLLALSIITLVPRMPSPQSFFRSPPPRLINSRVPHPSDASDCIFSDGKWVRDAAAVTAYREDCPFLDPGFQCISNGRSNSSFRYWRWQPHGCQLPKGNYFMVGDRLNMSMDIKEAFRWSLETVKDWEISSTRVPNSYFFFRSYSPSHYRFNATDMLERSRNGRIVFAGDSIGRNQWESMVCMLAASVPAGKSRIYEQSGKPISRHKGYLAMVFAEYNLSVEYYRAPMLVMIDRFPASSGAVRGAVRLDLLPRHANRWAGADVLVFNTGHWWNEHKTIKSGNYFMVGDRLNMSMDIKEAFRWSLETVKDWEISSTRVPNSYFFFRSYSPSHYSSDQFDEEYSWINAMISNAIDGIRSHGRRKAHFLNITYMTELRRDGHPSRNREPRTPQDAPEDCSHWCLPGVPDTWNED >ORUFI10G05710.3 pep chromosome:OR_W1943:10:7191255:7210660:-1 gene:ORUFI10G05710 transcript:ORUFI10G05710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGYALLSSVLLVLLALSIITLVPRMPSPQSFFRSPPPRLINSRVPHPSDASDCIFSDGKWVRDAAAVTAYREDCPFLDPGFQCISNGRSNSSFRYWRWQPHGCQLPKGNYFMVGDRLNMSMDIKEAFRWSLETVKDWEISSTRVPNSYFFFRSYSPSHYRFNATDMLERSRNGRIVFAGDSIGRNQWESMVCMLAASVPAGKSRIYEQSGKPISRHKGYLAMVFAEYNLSVEYYRAPMLVMIDRFPASSGAVRGAVRLDLLPRHANRWAGADVLVFNTGHWWNEHKTIKSGNYFMVGDRLNMSMDIKEAFRWSLETVKDWEISSTRVPNSYFFFRSYSPSHYSNGTWNTGGSCADHRDPVTSSDQFDEEYSWINAMISNAIDGIRSHGRRKAHFLNITYMTELRRDGHPSRNREPRTPQDAPEDCSHWCLPGVPDTWNEVLYAHLMSMGYDIRIH >ORUFI10G05720.1 pep chromosome:OR_W1943:10:7234184:7243494:1 gene:ORUFI10G05720 transcript:ORUFI10G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHKAVFISLQELFPQVDPRILKAVAIEHHNDVDSAVVAILDEVMPSVTSTSPPTVSSVRQEIAPCCIATSSASDGTSETGDSSSAGHGKQVEVDENVHSTQCKSSMEITNDRQRNVVDEVESHSSYPWMNEQLHLPIRNVPEPVDISYVGHDGHLLSEYLDAILNGESGNSSTQPNVAYVHKQDSDNPIPADGCVTKDNSITLPLDYVDINDVNYSLKSSAGVSNSEDSFGTCGTYQFAHVLNIPIPDARKSSKGLGGEQDTNSIGKADLLPDLNLNHLATIASTHSVSIESLDDSISDAKSNKNDLLPSLELVSKLIQDVEVLEEKAEVAKHESSIAGTSILTKVGKLKEMLNHAKEANDMHACEVFGEKSILTTEARELQSRLQRLSDERKNYLVVIEEIRQTLEHRLVAAQQEIDAAEEKKIQKEASAQALLDEQEKEMNLAVEESRKLQKEAEENLKLKAFLVERGQIVDTLQGEMTVICEDVSQLKQIVDERLSFCKLQRSKMSSLSSSLQSSLHKSGSSADRAIEAVESTDKHTVAEGANAAVGDDPNGSKRIIRVWNGSGMADKDNGTGGDTNEDGWEFC >ORUFI10G05730.1 pep chromosome:OR_W1943:10:7234619:7235550:-1 gene:ORUFI10G05730 transcript:ORUFI10G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNGGEMGRHKRSRSLSVTSSATPSSDATKLDFAAADVACPFGRVDALGPVELRETAYEIFMSCRSSSGGNTAGAAEVSSPVAGPRGGGGSRIKKALGLKARRLSSLAVAATQPMMVRTLSQTLGPALPWRGRQLVTSAEIMRQQIRVTEQNNARLRRMLMRAIVGQEYHQWQFRQVKLLEAGLILHPSLPLDRLNSAVLRFREVMRATEIHAIDTAKNSNAMRTLTSAVHALAWRSGVGSGGGDACHWADGYSLNVLLYVSLLHTPSHLEPFVSCPRGNQVILLLRYHR >ORUFI10G05740.1 pep chromosome:OR_W1943:10:7308588:7308922:-1 gene:ORUFI10G05740 transcript:ORUFI10G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCSNDCAFYVMRYMERYHGNPDKLADDFQLPESRVLRAQILHQLIFHRFNLAPCIHSVIEDLCPVDDDEGSSHQ >ORUFI10G05750.1 pep chromosome:OR_W1943:10:7309111:7313722:-1 gene:ORUFI10G05750 transcript:ORUFI10G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNDLEQPDLTCWLMDRTNPKSMTIDISENKKIVITPWMVKTVLGVPLGGDPLQLPDQDIMSDALSDLAIELDLPPKLDITASRLIEEIKNRPKDRTTVRYFIMVIINKMLLPSTGLYIRPKDAWIGSDLNKVARINWSKAVFDALCDSVVLWHKNKTGPRPQTYIRCCVAFLVLLYIDNLKVPKDSFIVDRCETPRIQLYTKHLVEDISQEDRVTDPSGNYVFGNLPMNGIILGSCYSHPNYDKEKEPCGDNSGTPFAGELISAVEISFPSMFDTVYPHLSGLQDEHKQRVLDALGEYDRQSKLSADAIAKQIRLVQTCHARASDHIISIIRGESRTQPPLQPQPQPISHSQPDSQQGPIASPIIDEAQDHHTHSTPDTPPTNSPAPQPSRIRTLDAALNATPHITSTEPHSQLPGELFPTMDKTATGDETQVDTPQPDADFQRGSDVGISMQGILAITMTSEGTYRTQSHTGDGTEGHHDLPDADVEHGIEINISMQGNTAINVTTEGTNSAKSHFGDQIEGHHHQPDADVEQNSDIDIPTQGIIQPTAPAVDPALPDFGVPNTLLALMANVQDDTPEHNMQEVNTCFSSPIYHSYTTLSPSYVYHMQVNKEADDGAEQHVSPVKHCVKKAGRYVPPASQTVPKDDNVAIQLLDLILSDPTKFGRFIPFLPISCTLQLHNCFISIQPHLLTQSNIIPHSPPLIEVDCHSVDATNIAASFKVGSMTEGIFIDAFASLLFKDEMRDNPETFGKKIFIPTSVSYLINIENVTRLAKKDEFSPHALVEHLSECLKHVDFSKAEQFLLPIINNDHWTPYIV >ORUFI10G05760.1 pep chromosome:OR_W1943:10:7316945:7319253:1 gene:ORUFI10G05760 transcript:ORUFI10G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLITPSSAKFVLMGQMVHGNRTRFYPPVSLLAARGAASPLTIVTRQPAPPNGQSQDE >ORUFI10G05760.2 pep chromosome:OR_W1943:10:7316945:7319253:1 gene:ORUFI10G05760 transcript:ORUFI10G05760.2 gene_biotype:protein_coding transcript_biotype:protein_coding SASNLRPPPSAIPTRPRRSPYPAATSDPRVAAVSAAPRRSEPLAASLPLLRARRFLPPPRARPPVPHSLLHLFTISSRSQPPGHLRPRRPPLTGGRPAAPPPCHSAQRPHLPPPATPRPHLSARSHCRRALALNPLASAGDSCGDDCRTATLYLTNCIYAFNHSIFCQICIDGSDGAWKQDAVLSASELACCARRSLAVDHRYSSASAAKWPIAR >ORUFI10G05770.1 pep chromosome:OR_W1943:10:7340447:7350520:-1 gene:ORUFI10G05770 transcript:ORUFI10G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFRPSQHGSDSDAQMWQRQMLYKQLQEFQRQQQQQQLDHGGRMQNSFGQFQAPAKQSLADQFPTMMNEMPMNEPSSYAWSNGISLGGSRLGSNSQILNNGNPHWEQHHGASLGTSSFANGAVYSNIQNLMRPMGSVNNKVNQSSHSLPASMSKGSGNQYSQFPGFPANSHNAMARAGSDQSDMTARFASSINSFQNEHGLCEQVTSNNLQNFCENGSVLSDSSTQSQGGNLKTGSPVLVNHLQHGFHVQHFNGWMNQVECQSAPHVGPASSAASLDPTEEKILYGDDNNFTGLLDEDDSSDGVPGHDNSSGNGNSSIPVSAQGGSWSALMQEALQSTSSKNGLQEEWSSVNFQNRDQAFTNKMTSPDLEQRQHATLNSMNLHSAPPSAQPSPSHDGSSGTMNNLKFTSFQRATKSVYDHQEKFSYGSTSTAINNHTTTGANDGLFQPSLKQSHFGDCGSPEHVNLSIGVWAQQKPMLLKRNLNSGGERFMPQNAQGLGVLQQSSYNHNFNRESSNNQSNWNGRNSNCVNTYSINNFQQSKPDVNTVRVPNDGYSSKNTVLTSSSTGMFSPGQHQMMLGQSGGNFGSNNAPGQRPLPETSCSQGNNAEYGLAGFSQMYTNAISAEGHNSNNGQHLGISFSARGNSFSGMDAHNLGQSDQKTMGPSALLNHLSATSGISTGHFPTNSLCNNKLLSESIQPPNNQENLLGGSCQLAGHVGSTNEKIAMVEEQLTQHSTASKYSNEPPFRGYDGTLLQNPNKIVQTSQHMLQQFLQKVDSTNSVVSSNMPIRSDITPNQLNQPPLQGFGLKLAPPMQQQLTSGNLWTSHTSVDIKPADNSVPGEDQRQLPSTPGSTTSSGYPSRSSPFYSSDADNTGLSSGCLPQTKSLGQQYPVAEPKSAPVNSLPQQSLQGTAATMLKNVWTNISAQRLGGIQHNKITPNILQSMMFPSTIGDSTLRGCPKDDYQRMRVANLSDDATTTTNSGRQEIKRVVENDGSDIPNMDQMGDILLGKKNALQRPLMQHGIINSSQGENMAANIPNMGSSFNKVSTYGGISLHGSLAPSNSQQINYSLLHQIQAIKPVDSDPENTSGKRLKTTDMSCNASQVEWPGAERAPHGENNPLRLCTDKTEVPRISNLLPSDQMLRFAPRNSEDVTSTMPSQVQLRELTSTSNDMATARTDLQNQCSSLGTSSTENLIESGDKLMINPQISPWFQHGSNRNGHNLAMYSVRKTASPYNHPKVPWSMDTSSVSGHGLECSTSVRPEMPSGLKVSSAVRRPKKRKFKAPVLVSWNQIIDGHQKLADMSTLGMDWPEATNRLIEVEDEADIQEDALILYLPRKRLIMTSRLIQQLLPSIPAAILRAQAISMYQSVTYTIAKLTVGDACSMPSNSSLDTGTLISSGDKSYEQIENDKMRDRFTKAVEFFIPRFKKMENDFVSLNKRSSMLDIRLECQDLERISIVNRLGRFHARNYHAAGVEASSTDLAPRRIYRDRHVMTFAVPVNLPDGVLLHP >ORUFI10G05780.1 pep chromosome:OR_W1943:10:7422900:7424046:-1 gene:ORUFI10G05780 transcript:ORUFI10G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINMNMLKGTKAFSNMFNTKLREVKYPENAPVDNEALVLPPLILTFSPVPSFHPPPGVPSFPPTPVALMSVEDIVM >ORUFI10G05790.1 pep chromosome:OR_W1943:10:7440467:7443143:1 gene:ORUFI10G05790 transcript:ORUFI10G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGIVRLHRRLSICQTAASVTASRSPTTRLHRQLQPRTHDGFSSHTNILASGVAVQQCHIFLQREPSKLSG >ORUFI10G05800.1 pep chromosome:OR_W1943:10:7458285:7459658:1 gene:ORUFI10G05800 transcript:ORUFI10G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDNLAIRIAKAIVYTRTKTKTKFEIFKINSVSRTRTDEVSAIVMKTVGEAVHDLQLQGEIKLHSQE >ORUFI10G05810.1 pep chromosome:OR_W1943:10:7461787:7476081:1 gene:ORUFI10G05810 transcript:ORUFI10G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAMEISTSLLLTTVALSVIVCYALVFSRAGKARAPLPLPPGPRGWPVLGNLPQLGGKTHQTLHEMTKVYGPLIRLRFGSSDVVVAGSAPVAAQFLRTHDANFSSRPRNSGGEHMAYNGRDVVFGPYGPRWRAMRKICAVNLFSARALDDLRAFREREAVLMVRSLAEASAAPGSSSPAAVVLGKEVNVCTTNALSRAAVGRRVFAAGAGEGAREFKEIVLEVMEVGGVLNVGDFVPALRWLDPQGVVARMKKLHRRFDDMMNAIIAERRAGSLLKPTDSREEGKDLLGLLLAMVQEQEWLAAGEDDRITDTEIKALILNLFVAGTDTTSTIVEWTMAELIRHPDILKQAQEELDVVVGRDRLLSESDLSHLTFFHAIIKETFRLHPSTPLSLPRMASEECEIAGYRIPKGAELLVNVWGIARDPAIWPDPLEYKPSRFLPGGTHTDVDVKGNDFGLIPFGAGRRICAGLSWGLRMVTMTAATLVHAFDWQLPADQTPDKLNMDEAFTLLLQRAEPLVVHPVPRLLPSAYNIA >ORUFI10G05820.1 pep chromosome:OR_W1943:10:7477513:7477893:1 gene:ORUFI10G05820 transcript:ORUFI10G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLRDSPPPPRFSSLRTHPPSSRRASAAAPPDQRRHPKSQTGKPHQADPDQRRYASGLPPTTPVLPPVPARYGRRYSSHLLAAGRESPRLAPPCRTPRDPPRFTPKLRPTVSSRLSRHETTHFIP >ORUFI10G05830.1 pep chromosome:OR_W1943:10:7489274:7490109:1 gene:ORUFI10G05830 transcript:ORUFI10G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGARSKENMENKSLRFHSYTLPSPVVAGQGLILAFSRRFGKEKIRRELSSWKNRQGRKKGEEDERVSLHDLEVGWGLVESLGGAKSSWVHGTDKGRLET >ORUFI10G05840.1 pep chromosome:OR_W1943:10:7524378:7527121:-1 gene:ORUFI10G05840 transcript:ORUFI10G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSNQCFRHCRSFQSGRRICNKKSIRLEKRNVSRHRPPSSSASEDVRSLPLASVLFLRQDLIAFTTAVVQSNLSNGKRSAGGVQNQHMELVGIWISRYYNDISVFFHEAGEIYSCSNI >ORUFI10G05840.2 pep chromosome:OR_W1943:10:7524327:7527121:-1 gene:ORUFI10G05840 transcript:ORUFI10G05840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSNQCFRHCRSFQSGRRICNKKSIRLEKRNVSRHRPPSSSASEDVRSLPLASVLFLRQDLIAFTTAVVQSNLSNGKRSAAHTNVLFSKRHVWLCKCSLRRSATTSSAKLVCLAIHAMAAWRSQGLLAAHELVGIWISRYYNDISVFFHEAGEIYITYKGLLGHESCMHFVHWQ >ORUFI10G05840.3 pep chromosome:OR_W1943:10:7524378:7527121:-1 gene:ORUFI10G05840 transcript:ORUFI10G05840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSNQCFRHCRSFQSGRRICNKKSIRLEKRNVSRHRPPSSSASEDVRSLPLASVLFLRQDLIAFTTAVVQSNLSNGKRSAAHTNVLFSKRHVWLCKCSLRRSATTSSAKLVCLAIHAMAAWRSQGLLAAHELVGIWISRYYNDISVFFHEAGEIYSCSNI >ORUFI10G05850.1 pep chromosome:OR_W1943:10:7528568:7532031:1 gene:ORUFI10G05850 transcript:ORUFI10G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWLRRHTMEESWRLMSSAL >ORUFI10G05860.1 pep chromosome:OR_W1943:10:7535045:7535951:1 gene:ORUFI10G05860 transcript:ORUFI10G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEGSGRPSREANSLSCPAATRGEALGRQGPTLPPRPGARGGGQPLGLTARWRRRAAAQPEPDAWRRGCHGKVAQDQDVYGIMDLMGRLMGGAWEEVRRSPQTQPPVTPTLDEDGRWCHLSPATKWAADRCGLWMQARTLKSIVESRPPKSGRAARQEQRSLLHALDSGWWAVHLHQHIIRPGGRPPPRAPGRGGLAPLWDHSSGAGASPLAAGPTAWRFSGRGGGRTRAR >ORUFI10G05870.1 pep chromosome:OR_W1943:10:7542005:7542178:1 gene:ORUFI10G05870 transcript:ORUFI10G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGIGAVAAVGFHRIREREAPVEAGEEKAAGGRVAAAAAARQRRPWWAPPDLDPLG >ORUFI10G05880.1 pep chromosome:OR_W1943:10:7554938:7555318:-1 gene:ORUFI10G05880 transcript:ORUFI10G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVTQLRQSLRKMVPKSRCSQTVKDEMSR >ORUFI10G05890.1 pep chromosome:OR_W1943:10:7565258:7567383:-1 gene:ORUFI10G05890 transcript:ORUFI10G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMRAVDDKECYSHSIHGESNGRPQRKNNPASRPKPTPSKWDDAQKWLVSLSNGGGGGVDGIHSGKVRPRNSNADDRRLLSSSSQNGRVSCSSVDGALEYNLVAAPPTPPQLGEAAADDVSETKKIDYCMVQPQHGSPAAVLRSVCLRDMGTEMTPIASKEPSRSATPLRASTPVSRSPVPSRPSTPGRRRYDVAVGVTAVVESRTAEPVAVGSVSDGGGFGGGCAVDESSSGGFGNHAQSTTTGLESRAVAWDEAERAKFTARYKREEMKIQAWENHEKRKAELEMKKIEMKAEQMKARAHEKLANKLAAARRMAEEKRATAEAKLNEHAARTTQKADYIRRTGHLPSFFSFKMPSLCG >ORUFI10G05900.1 pep chromosome:OR_W1943:10:7570342:7572457:1 gene:ORUFI10G05900 transcript:ORUFI10G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANYCLGDHAAGMVEPPFLASSAPTSTFPARIGAQVSLLDLGFLRRTRLSFRCPHLSVHSYLADRRSKSRLRRHELTAEGTKREAVLRSIACIATSAQLTGSERTLQAGKQPPHASPHGRLDARPRCQQPPHCVLCQHYVAKTPPAPPSWLLTPMFMRPLQSYSSSL >ORUFI10G05910.1 pep chromosome:OR_W1943:10:7601623:7602155:1 gene:ORUFI10G05910 transcript:ORUFI10G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXAPFSPPSELFELCLSLSLPQDRLPPPSADLSHQSTTNQILSPPTLPYSGKPIFPLFPFSFVPYQAPPKLSLSCRFTVFCTREHHWPPASLPLHYRSPDAPLLLLSVRLKRRRRKRREEEEEKEKEKEKPDRWVPCIVSLLIFSI >ORUFI10G05920.1 pep chromosome:OR_W1943:10:7636974:7638961:1 gene:ORUFI10G05920 transcript:ORUFI10G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVPLPLLLGSLAVSAAVWYLVYFLHGGSGGDAARKRRPLPPGPRGWPVLGNLPQLGDKPHHTMCALARQYGPLFRLRFGCAEVVVAASAPVAAQFLRGHDANFSNRPPNSGAEHVAYNYQDLVFAPYGARWRALRKLCALHLFSAKALDDLRAVREGEVALMVRNLARQQAASVALGQEANVCATNTLARATIGHRVFAVDGGEGAREFKEMVVELMQLAGVFNVGDFVPALRWLDPQGVVAKMKRLHRRYDNMMNGFINERKAGAQPDGVAAGEHGNDLLSVLLARMQEEQKLDGDGEKITETDIKALLLNLFTAGTDTTSSTVEWALAELIRHPDVLKEAQHELDTVVGRGRLVSESDLPRLPYLTAVIKETFRLHPSTPLSLPREAAEECEVDGYRIPKGATLLVNVWAIARDPTQWPDPLQYQPSRFLPGRMHADVDVKGADFGLIPFGAGRRICAGLSWGLRMVTLMTATLVHGFDWTLANGATPDKLNMEEAYGLTLQRAVPLMVQPVPRLLPSAYGV >ORUFI10G05930.1 pep chromosome:OR_W1943:10:7640451:7641881:-1 gene:ORUFI10G05930 transcript:ORUFI10G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGTNTYPLRGTGHQILSAKHPPPQKQSAGLEAYSPIDLVELLSIRIASVISEFNYHHTNVPQYPQNTVYGYPPSTVTCQGGELGAQGFAKMTSSYSDNYIFTNFGSGDPTRTPLVWTQVGSIVFPVYAATPISSIPSSLVRDIDKLRECMMVRSSGSDDMDACRLERHLAGGWRHVVPKQERVRKNMFKVQPDTVTRMLVWFRPLSPLHRTNLNRRRTKIVSTRGWNS >ORUFI10G05940.1 pep chromosome:OR_W1943:10:7651708:7657140:1 gene:ORUFI10G05940 transcript:ORUFI10G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALRREGRRVLLSSTPSPAAAMAARSPAAAHQEIAPLGARSVSTQVVRTRMKSVRNIQKITKAMKMVAASKLRAVQIRTENSRGLWQPFTALLGDVPSVDVKKNVIVAITSDKGLCGGINSTSVKVSKALHKLTSGPEKESKYVILGEKGKVQLIRDSKDNIEMTVSELQKNPINYTQIAVLADDILKNVEYDALRVVFNKFHSVISFKPTMTTILSPEVMEKESESGKVGDLDSYEIEGGYV >ORUFI10G05950.1 pep chromosome:OR_W1943:10:7689092:7693238:1 gene:ORUFI10G05950 transcript:ORUFI10G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHANVAKGSAHVQEQEAVERCMHAMNSNEQPPASCCSRSLPPFTATAGGRELQCLPLPPSRSTSFRSVLKTAWPSATLNVVSSEHGGRCPACSNRAGPSPSSSSGTGGSTSEFVGRKRGERRKKG >ORUFI10G05960.1 pep chromosome:OR_W1943:10:7691718:7701542:-1 gene:ORUFI10G05960 transcript:ORUFI10G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKLFIGGISYGTDDQSLKEAFANYGEVIEARVIVDRTTGRSRGFGFVTYTSTDEAAAAITGMDGKDLQGRIVRVSYAHDRGSRAGGYGGGGYGGQGTYGGGGGYGGGGYGGQDAYGGRGVGGYSEGGRGYVGGGYGDGNNYGGYNTSGGYNSEGGRGGYSVFEGGHGYGSGGTGYTGGSGGYNSAPGNYSSDNFNQGGAAPGAYEGANYGGGNNYMNNATSDDSTGKLDELLNDLKVDGDGKEDGEGKADGAGLVNEDLKGDDGQDELLQNDFKDEDVSDDYANKRPICDMPLDTPSLCLSKILDQNILVFYIHPFFLLSPLFRPTNSDVLPPVPLEELGEGPARLEQAGQRPPCSEDTTFRVADGHAVLSTDRKDAASGDKRNMRLVST >ORUFI10G05960.2 pep chromosome:OR_W1943:10:7692431:7701542:-1 gene:ORUFI10G05960 transcript:ORUFI10G05960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKLFIGGISYGTDDQSLKEAFANYGEVIEARVIVDRTTGRSRGFGFVTYTSTDEAAAAITGMDGKDLQGRIVRVSYAHDRGSRAGGYGGGGYGGQGTYGGGGGYGGGGYGGQDAYGGRGVGGYSEGGRGYVGGGYGDGNNYGGYNTSGGYNSEGGRGGYSVFEGGHGYGSGGTGYTGGSGGYNSAPGNYSSDNFNQGGAAPGAYEGANYGGGNNYMNNATSDDSTGKLDELLNDLKVDGDGKEDGEGKADGAGLVNEDLKGDDGQDELLQNDFKDEDVSDDYANKRR >ORUFI10G05970.1 pep chromosome:OR_W1943:10:7720329:7726871:1 gene:ORUFI10G05970 transcript:ORUFI10G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAYCLIDRGFAVTFVNTEHNHRRVVAAAAGAGGVQAPGSRARRLRLVAVADGMGDGDDRDNLVRLNAVMEEAIPPQLEPILDGAGGEGQLGKVTCVVVDVGMSWALDAVKRRGLPGAALWAASAAVLAVLLGAQKLIRDGVIDDDGAPLKLENNSFRLSEFTPPMDATFLAWNFMGNRDAERMVFHYLTSSARAAAAKADILLCNSFVELEPAIFTLKSPATILPIGPLRTGQRFAHQVEVVGHFWQTNDDTCLSFLDEQPYGSVVYVAFGSLTIMSPGQLKELALGLEASGHPFLWVVRPGLAGNLPTSFLDATMGQGKGIVVEWAPQEQVLAHPAVGCFVTHCGWNSTVESIRNGVPMLCWPYFTDQFTNQIYICDIWRIGLKMVQTCGEGIVTKEIMVERLKELLLDEGIKERVQRLMEFAETNMSEEGESTRNLNAVVELMTRPMS >ORUFI10G05980.1 pep chromosome:OR_W1943:10:7771247:7773785:1 gene:ORUFI10G05980 transcript:ORUFI10G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDQQRSCKSYAEVSSKHGARSGRCSLSTSLRLTAHVTSSIVHEQSSNIRYGENRKHGQRYAYHEPLPPEIVARCNGHDGKHLTLVTRNSKPVNAGKNLLNLLTYVVRFSVSSPSTLDLLILDKHGTSLAIPPSKRDLKLKSKRSTHQDSKGHPSNTDPGPSRIINRRVTKSANNKPKFADLCFCAENYFSKRYSIDHLEQLMTGRTEDIEVQILVGPSVNMVLHTSTDHRCNLKKGWTDFALSNGIKLNTVCIFHFYKTTHLGVIVDIF >ORUFI10G05990.1 pep chromosome:OR_W1943:10:7780906:7781194:-1 gene:ORUFI10G05990 transcript:ORUFI10G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWATITLNAFALASLEVLQLLRVMGKSPAWPLSMTSDIDACGCRLLLEGENQVKFWMGVGSGIVVASILGGYGLKVLLFPRSLM >ORUFI10G06000.1 pep chromosome:OR_W1943:10:7783747:7790209:1 gene:ORUFI10G06000 transcript:ORUFI10G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGRCMVEVVILLVLMAMSQGCNAQNTTGGLTRKSFPNGFVFGTASSAYQYEGAVKEDGRGPTIWDKFAHTFGKIIDFSNADVAVDQYHRFEEDIQLMADMGMDAYRFSIAWSRIFPNGTGEVNQAGIDHYNKLINALLAKGIEPYVTLYHWDLPQALEDKYTGWLDRQIINDYAVYAETCFKAFGDRVKHWITFNEPHTVAVQAYDSGMHAPGRCSVLLHLYCKKGNSGTEPYIVAHNMILSHATVSDIYRKKYKASQNGELGISFDVIWYEPMSNSTADIEAAKRAQEFQLGWFADPFFFGDYPATMRSRVGSRLPKFTEKEAALVNGSLDFMGINHYTTFYTKDDQSTVIEKLLNNTLADTATISVPFRNGQPIGDRANSIWLYIVPRSMRILMNYVKDRYNKPTVYITENGKCTYVICDLFLPFISLKNALKDDKRTKYHNDYLTNLADSIREDGCDVRGYFAWSLLDNWEWAAGYTSRFGLYYVDYKNRKRYPKNSVQWFKNLLASSS >ORUFI10G06010.1 pep chromosome:OR_W1943:10:7791539:7792953:1 gene:ORUFI10G06010 transcript:ORUFI10G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCEIEGHHLTSAAIVGHDGTVWAQSAAFPQFKPEEMTNIMKDFDEPGFLAPTGLFLGPTKYMVIQGEPGAVIRGKKGSGGITVKKTGQALVVGIYDEPMTPGQCNMVVERLGDYLVEQGL >ORUFI10G06020.1 pep chromosome:OR_W1943:10:7799844:7801435:-1 gene:ORUFI10G06020 transcript:ORUFI10G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEKNGIWVAEMICDYYEWGHIEVPKKLDQIGTIFRIGKKKSEPRGIKEVGDVVAGGLGTRGGRCWQRCGGGDIDANGGVDLMVTTVGGGSQVATRRNNSRSGVPRLKRTLTKLAVAPIDFPNFCGKMKPMSGHIELPAAKVAKNCRTGKFCSSCRNKLRRPRAQDWEWKRSPCLASYVESEDYRIGTPKVKEQSRESLGAAFKLKS >ORUFI10G06030.1 pep chromosome:OR_W1943:10:7802180:7802811:-1 gene:ORUFI10G06030 transcript:ORUFI10G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCEIEGHHLTSAAIVGHDGTVWAQSAAFPQFKPEEMTNIMKDFDEPGFLAPTGLFLGPTKYMVIQGEPGAVIRGKKGSGGITVKKTGQALVVGIYDEPMTPGQCNMVVERLGDYLVEQGL >ORUFI10G06040.1 pep chromosome:OR_W1943:10:7804520:7807106:-1 gene:ORUFI10G06040 transcript:ORUFI10G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEIEDWIDEAMHHLTKDDSNSGFISKIIFRLNRMRTQNRMANQINEVKTRVVEMSHRHKRYKLDASISTSDYTAIDPRLCALYADAEALVDQQLMVVSVLGIGGLGKTALANKVYKKIGGQFDCHAFISISQKPDIVRILSNILSQLGKETFTPSCEIHGVLNNLRENLQDKRYLIIIDDLWDKSAWDIFRCALPKNNHASRVITTTRIEKVAMECCSYRCEFIYKMKPLNEHDSRRLFFNRIFGSENACPERFKGVSTGILQRCGGLPLAIVSVSSLLANPATSVDRQWEYVSNSLSDKFGIMPALDGMRNILHLSYKNLPYHLKTCFLYLGIYPEDYIIRKSDVVRQWITEGFVHKAQVQDAEDVAGSYFNELVNRSMILPTDIDYQNNVVSCKLHDMMLDLILYEAAEEKFFTVTDNFSTLLGLHNSVHRLSLQYDNGNHDTAAATTSLTYLRSLAIFGNSKYMHMHHLSDFKFLRVLITVFSDAVHQMSLDLTGIRQLFQLRYVKIEANIHVQIQLPAQIQELKLLESIDIEWGSVCIPPDIVHLPHLIHLVIPEGTGLPDGIGNLKSLITLRSFDLGENSLHNIRSIRELTNLRDLNLCYSGKNVVSNMETWIDVLRSSLEKLSNLKYLHLYWPGTCENGLCSLNPPSRHLQRLEMAYWWFSKVPKWIGGLHELHVLKLAVKEVSDDDITLLAQLPSLTNLGLRMRGAPKQKIIIYKKAFPVLRYFKFWCSTPCLVFEASVMSEELRN >ORUFI10G06050.1 pep chromosome:OR_W1943:10:7807116:7807316:-1 gene:ORUFI10G06050 transcript:ORUFI10G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSTGVMNCLLAKLATLIDEEHVKFSNIPKEVGFLRDELRTMKAFLEILADKDNLDPLTKEWMN >ORUFI10G06060.1 pep chromosome:OR_W1943:10:7836430:7837615:1 gene:ORUFI10G06060 transcript:ORUFI10G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACCSWRVALTKTEPPAPTPPPALPWLLLPKVDGEHGLTFSCALSGWRRTHPFFLPHAPRCASYFGSYDGAWLFLAVDGHGPRGLDHVLVNLNNFEYIDLPSAIFHFDCMDPENVDIVAATLSRAPTEQGCIVAGIINSFLSHHQIAFWPMGDRLTDRVFSEAEQTVWLSPLEQVEDLLYLDEDFLFLTKEEHIRVCPELTIFHESPERILWRFQPRRRRDDDEEEEQVLARYLMESRGSLLMIVRQASWRRQNLPTSEVRVTAGDQRQASWMRRCPGSRRRVASCSHRRRRVASCSRCCHRRVVNRPDDLLPTRPGLPERRDVRERWERRGERGSG >ORUFI10G06070.1 pep chromosome:OR_W1943:10:7838925:7842637:1 gene:ORUFI10G06070 transcript:ORUFI10G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALLEILEDIDELDPLTREWRNQVREAAYDIEDCVNNFIHSPTKNEAKVEFIQEIIQRFKSLRARSKIAKQIDELKAQVMEISNRHDRYKLDDYISMSSYVAIDPRVSALYTDATNLVGIKGHVEELIKWLMDGNKKLKVVSIVGIGGISKTTLANQVYCKLDGQFDCKAIVSVSQKPDIKNLLNNILLEFGEQRYFVIIDDLWDTSAWEVIKCAFPENHRQSRVMTTTRIRSVAAACCNYNYEDIYNMIALTDQDAKRLFFNRIFGSGGTCPPHFKSVSTEILKKCGGMPLAIITIASLLASQPNNLKEQWEYIRNSLGTSHGENPTLDGMRKILNLSYTNLPHCIKACFLYVGIYPEDFTIKKDDLIKLWVAEGFVRTAHNDHDAYCVARGYFNELINRSMIQSILLDHNDDVLTCRLHDMILDLIISKSTDENLFTIVNDSKFMRRLCGKVRRLSFHSWINMEKYNKIVATICLSQVRSLVMLSYGFIPNVLDFRSLQVLILENSDQHDLTGICTLTQLRYFKIRTMEQFKLPSQIRGLQHLETFEIEKVPHFGFNSLHHLDIILSSDIIHLPRLLHLIIPDQTIMPNGIGNLKNLQTLKYFDLGMNSLHNIASLQELTNLKDLHLSCSRGSSFVGYKDVLFQSLARLADCNLKDLHINHHLSTMCCDSLNGLFKSAHHLQRLFLSDWQFSRVPSWIGELNVLHSLELSLGKLLKDDILILAEMPSLALLYLHVRSAAKERIVISGTTFIVLKHFKLICKRIPLTFEAGAMSKLQILELEFNARGMERQMELPFGIGHLSSLK >ORUFI10G06080.1 pep chromosome:OR_W1943:10:7854843:7859758:1 gene:ORUFI10G06080 transcript:ORUFI10G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGAAASHAAAAGPPPAADPHHPHYPHPYAGYPYPYAAYNPAAPASEPATAASSSYYYPTAVSAAASAGQYDPYAAYQYYADPAAAGPGSGGAGGLPGYYFGAGEAFQAPASSASQGAPAATAAAGKEAGKHFGFDPQRYAQKAAKAKVEASLILQSDLSYLNFAYAPQTATTVVPIIDVFSGGPNKFKWFWSLSAIVSAESKSCDLFKVMYGTTIDPRSTLDLKIAAAARSSNGVAPAIAAPGMHPAQWNAHFGHPVPKIVSRKHIKKKPKVVQPLTCEVCKIQCDTPEVLRIHKTGKKHKKNLERLQDSITPKPVKPPSTPNTVALAANMAPDPVTTSVTTSVMPAAQTKKKKSAAATPEELEVKRRRVLDAGAAQGEVKICTVCNVVVNSQKVYEFHIIGQKHKAMVQKQQAQPPIA >ORUFI10G06090.1 pep chromosome:OR_W1943:10:7859892:7861350:-1 gene:ORUFI10G06090 transcript:ORUFI10G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPISEYKHKVSLEIEGTKEKHKMKENAGTISNAESNENSNSMTQGTDIHQLQDIRGSLKEPEKDKTETKHIQERSSTITQNSGITATQIPQSPALLHDELNMLNSQATPVAQLSYISLMHPVINSPRINIQPNMS >ORUFI10G06100.1 pep chromosome:OR_W1943:10:7870391:7875399:1 gene:ORUFI10G06100 transcript:ORUFI10G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKNHPDGEEEEAPAAAGEEEAPVEMDEEGEMEEEEEEEQGEGEGEERDEGEEEEEWEDAEEVEGGEESEQAAAEEEDSPLVVVEAEAAAPVVDGSPPKLAEGYYEIEDIRRRRLRKGKLQYLVKWRGWPESANTWEPLENLSACSDIIDAFEMRLQSPRPGRKRKRKITTTPVAGSNPSHGKRGRPRLDAKSHTRAPAPEPKQLPCRTSCRRATNCSSKTVAGLDASGSVVRNQLAQNIVQEGSSSVISRTPCQELPLSIRLTDQQNEHHLVNGSSNSENLVKVPPSQGGQVTGAKKRKSGNVRRFEQNKPTQGQGECGALVVAEDVGSTEGETGDKKKTEGCPNRVHITKIIKPVRFAAAVNNDVQQVSITFKALRSDGQEVMVDDKELKANNPLLLISYYEQCLRYNPTS >ORUFI10G06100.2 pep chromosome:OR_W1943:10:7870391:7875514:1 gene:ORUFI10G06100 transcript:ORUFI10G06100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKNHPDGEEEEAPAAAGEEEAPVEMDEEGEMEEEEEEEQGEGEGEERDEGEEEEEWEDAEEVEGGEESEQAAAEEEDSPLVVVEAEAAAPVVDGSPPKLAEGYYEIEDIRRRRLRKGKLQYLVKWRGWPESANTWEPLENLSACSDIIDAFEMRLQSPRPGRKRKRKITTTPVAGSNPSHGKRGRPRLDAKSHTRAPAPEPKQLPCRTSCRRATNCSSKTVAGLDASGSVVRNQLAQNIVQEGSSSVISRTPCQELPLSIRLTDQQNEHHLVNGSSNSENLVKVPPSQGGQVTGAKKRKSGNVRRFEQNKPTQGQGECGALVVAEDVGSTEGETGDKKKTEGCPNRVHITKIIKPVRFAAAVNNDVQQVSITFKALRSDGQEVMVDDKELKANNPLLLISYYEQCLRYNPTSPYPSHNS >ORUFI10G06100.3 pep chromosome:OR_W1943:10:7870391:7875485:1 gene:ORUFI10G06100 transcript:ORUFI10G06100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKNHPDGEEEEAPAAAGEEEAPVEMDEEGEMEEEEEEEQGEGEGEERDEGEEEEEWEDAEEVEGGEESEQAAAEEEDSPLVVVEAEAAAPVVDGSPPKLAEGYYEIEDIRRRRLRKGKLQYLVKWRGWPESANTWEPLENLSACSDIIDAFEMRLQSPRPGRKRKRKITTTPVAGSNPSHGKRGRPRLDAKSHTRAPAPEPKQLPCRTSCRRATNCSSKTVAGLDASGSVVRNQLAQNIVQEGSSSVISRTPCQELPLSIRLTDQQNEHHLVNGSSNSENLVKVPPSQGGQVTGAKKRKSGNVRRFEQNKPTQGQGECGALVVAEDVGSTEGETGDKKKTEGCPNRVHITKIIKPVRFAAAVNNDVQQVSITFKALRSDGQEVMVDDKELKANNPLLLISYYEQCLRYNPTS >ORUFI10G06110.1 pep chromosome:OR_W1943:10:7878872:7880990:1 gene:ORUFI10G06110 transcript:ORUFI10G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCLTYKLILNIECHRPRNKIERSDFLVQEQEDQGGNQTIRMQPQQGRFFGREEMSNGVEYDAAYAATVAAVAYAIAAKEEEKQATEETRVKKKLTSEKKPVANDEPSTTPTLKLPPNRQGILKRPRQTEGSRITRRFSGKEIVPDEEDDGLEANVSVRRPVRTAQKIPEGGISGQNMVGKVLDSVPSIRKAPSFAKPLPEKKGSMKFEQEQAIPTVPPNVRPTALFPREKKESKKFDQDQAIPRVPPDVRPTASFSREKKESKKFEQDKANQMPSLASALTSSYSSEAEAMADTWEKEKMAKIKKQYNMTMDTIVEWEAEKKAKAKRQMELKEGDNSERKREKALEEYNDEITRINKVAAASRLTAEEKRRSAERKVREKAERIRVTGKLPGACGCF >ORUFI10G06120.1 pep chromosome:OR_W1943:10:7899958:7901396:-1 gene:ORUFI10G06120 transcript:ORUFI10G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSAWGRGGGGRVGAEAKKAVLAKRRAEAWWWSRGRGAGCGPDDDGGRQRQQPRWGDNEREATTTRRHMNKKRRTERLVWYSAVHFVMLYISIRYIDCNFNFHSCMTYHEQNELNCQL >ORUFI10G06130.1 pep chromosome:OR_W1943:10:7902993:7903358:1 gene:ORUFI10G06130 transcript:ORUFI10G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLVFLLLAAMSAAVESITSTAVKTGCQERCGGVDIPYPFGIGPGCSRHGFELSCVSNGSGAGPIAVLAGTSIQVTRLSVEPAESQVMLPVGWQCYNTSQPTRTYPDWSRAKTEMNRG >ORUFI10G06140.1 pep chromosome:OR_W1943:10:7903386:7910952:1 gene:ORUFI10G06140 transcript:ORUFI10G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVLGCNTVGYTESLRSEGGAYSSTYYIGCMSYCNNSASAQDGQCAGVGCCHVDIPPGLTDSSVNFRVYDHTADLIKMDKNRNVPVWLDWAIRENGSMSCAEAKGKPGYACVSVHSECVDSTNGPGYNCKCTAGYEGNAHAPDGCTNINECDRPSDYPCHGICQDTDGSYDCKCHRGYQNSGDPKEQPCSPKFPLAAQIALGITLGISFLIVGLLFILMMRQKRRMNEYFRKNGGSVLQKVENIKIFTKDELKKITKNNSEVLGQGGFGKVYKGILEDNTLVAVKASIEVNDARKEDFTNEVIIQSQMIHTNIIKLLGCCLEVDVPMLVYEFAANGNLQDILHGDNNRRVPLPLDLRMDIAVEAAEGLRYMHSSANRTIRHGDVKPANILLNDKFKPKISDFGTSKLLTVDKDFTMFVVGSMGYIDPVFHKTGRLTQKSDVYSFGVVLLELITRKPTIYDANCSLLIDFQKAYEQENSGRAMFDKDFTIEEEIFILEEIGRLAMECLKEKVEERPDMKEVAEQLVILRRSRKSRQGNYNISPQQFEEMSTEGTPLSLETAVSVSSSVLSAPSTPANNDFSNA >ORUFI10G06150.1 pep chromosome:OR_W1943:10:7916009:7917439:-1 gene:ORUFI10G06150 transcript:ORUFI10G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPPRSVAASGDGWLSLSVSTESTGSGESNKRLKRGGGGGSVEDDGFPLHDEILLLVFAASSLDLHDLVRCTATCRRWRRLVTGNAEYICRSMLPSSRLIRDLAVGFFHQSHEDESSSSVVPPRFVPLPSASSRFGGGELDRVLDNPGLFKNSRLVASRKGRLVVELRRASRAAALRLVVCNPMTGDMSVLPVLSGKDRPGLYACALLTADDLQDSADPLPPGPAAFRLVVLYKRRSFTACRSYSSDTKAWSTERKLSGVKIGGKRLGDMAAGVTFRGRVFWLVNSVVFVLHLDTLVATTENIPWHWGWNGKPCFCLGDPVPNRRLAVSPDGRLCVVQVGRNLRTYNPVINVFARHDSGGCNGSTAQKIRWKVEEAHDVELSHLIPLANVKRVCLRGVCEKSGLIFLAIGADMYAKKPDLALYALDMEKKEARLVPAPPGRCCVRRSSWSFFGYELDRVDYLASLAGGDSTAR >ORUFI10G06160.1 pep chromosome:OR_W1943:10:7929016:7929267:1 gene:ORUFI10G06160 transcript:ORUFI10G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAGGRRGGATSTAERRWALRQANRAHHGCEHAATDDCGREARRRRIRRLLRAPHMRIRLRWGVSGTDTDDATSDPARILHR >ORUFI10G06170.1 pep chromosome:OR_W1943:10:7932499:7933938:1 gene:ORUFI10G06170 transcript:ORUFI10G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPPPPCPAAACDDGWLSLSVSTVSGESNQKRLKRGGGGGGGGVGGGAVEDDGCPLHDEVLLLVFAECSLETDDLVRCAATCRRWRRLVAGDAEYICRRKPPSRRYVGALAVGFVQQRRQENSSSSSGAPPPPRFIPLPSYSSRFAGGGELDKVFDSGLLSNSRLIASRKGLLVLELRRSSRAAAVRLVVCNPMTGDMATLPILAGKDRPGHYACALITFDDHEGAPDRLGFVHDPAAFRLLLVYKRRNFTACRSYWSDTKAWDAEGKLSGAKIGGRRLGEMTGAVAVRGSVFWLLKNLLFVVRLGALKATTETFPSKWCSKLCFCYGSPVQNRQLAVTPDGRLCAVQVDRHVTSNNTVRINVISRHDGYGQPTWECDNARDVELNRVLPMANVRRVCLRGVCERSGVVFLAIGTDLYNQQPDLALYALDMDKKEARKVAAPPGHCRRLSSSFFGYEMDRVAYLASLSGGESIAS >ORUFI10G06180.1 pep chromosome:OR_W1943:10:7932502:7932917:-1 gene:ORUFI10G06180 transcript:ORUFI10G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGGAPDEIVCLQRTFREHEEEDLVVEGAPIILDRSAADPSTTSSASSLEALLIALSRHRRDGQGEPPVVARRSRTRRRRRRRR >ORUFI10G06190.1 pep chromosome:OR_W1943:10:7942307:7958996:-1 gene:ORUFI10G06190 transcript:ORUFI10G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVVLWPTLLPLVLLANLLPLPRHGRALELMNWSCNNGSSYAANTTYHSNVRAVLTALSAITPNSTARFATASAGRGGADAVWGLALCRGDTDRAGCASCLAAVPAVAFGECRGDRDVAVFYDRCLARFSYADFTARPDNMEVLIGSPSENRVTVDAGRFDALVARLAGALADWAAYNSTRSYAAGLMASGDGFTSTTEDMVHNIYGVVQCTPDQAAAACRACLEALRVDMPKVFAGRIGGRFDAVWCNLRYETFLFYDGDPTVRLAASPSPGSSSSPLPSPSPSLPPLEGKRRNRPKNAAIVVVSVLASLVVLLSLLSFYLWRKLQAKQYTDDNDIYSGSLLFDLATLRKATASFAEHNKLGHGGFGAVYKGFLPDGREIAVKRLDKTSGQGLEQLRNELLFVAKLRHNNLAKLLGVCIKGEEKLLIYEYLPNRSLDTFLFDPEKRGQLNWETRYQIIHGIARGLLYLHEDSQIKIIHRDLKASNVLLDANMNPKISDFGLARLFDGTKTASITNHVVGTLGYMAPEYAVLGHVSVKLDVYSFGILVLEIVTGRRNTDVSGEVEESNNLLSYVWDHWVKGTPLEIADASLLGDGRGLSDMELLKCVHFGLLCVQENPVDRPTMLDILVMLHDVDTNSFVAPSKPAFTFAHGGNTTSSSQDAMATRVLLSSKVILALVLASLFSLPRHGRALELMNWSCNNGSAYAANTTYDTNVHSILATLTARTPNTTTGFATATTGRGTDTEAWGLALCRGDTDRVGCASCLAAVPAVAFNECRGDMDVTVFYDRCLARFSYVDFTARPDNTEVLIGSPSADRITADAGHFDALVADLAGALADWAAYNSTLRYAAGVMTSGDGFMSTTEDMVHNIYGVVQCTPDQAAAACRACLEALRVDMPKVFAGKMGGRFNAVWCNLRYETFVFFDGDPSVKLVAPPVVPEDGKRRSSPENAAAVVGAVLDAADKDVDSGSLLFDLAIIRKATANFAEHNKLGHGGFGAVYKGFLPDVGEIAVKRLDRTSGQGLEQLRNELLLVAKLRHNNLAKLLDPQKREQLSWETRYQIIHGTARGLLYLHEDSQIKIIHRDLKASNVLLDSNMNPKISDFGLARLFSGTKTTSITSQVVGTLGYMAPEYAVLGHLSVKVDVYSFGILVLEIVTGRRNTDVFDADEESSNLLSYVRPIDQLFYDFLKVWDHWQKGIPLEITDTLLLLSGSRGLQDMELLKCVHIGLLCVQENPADRPTMLSVLVMLQDIDTTNFAAPSKPAFTFANVRNTTSSSPSAAALSANEVSISEFHPR >ORUFI10G06200.1 pep chromosome:OR_W1943:10:7976880:7982583:-1 gene:ORUFI10G06200 transcript:ORUFI10G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRTYSSLWMMAGFVFLIYMGHLYIWAMVVVIQIFMASELFNLLRKANEDRQLPGFRLLNWHFFFTAMLFAYGRFLSRQLVNTVTSDKLLYKLVSGLIKYQMFICYFLYIAGFVWFILTLKKKAYKYQFSQYAWTHMILLMVFAQSSFTVANIYEGMFLLPASLIAINDVAAYFFGFFFGKTPLIKLSPKKTWEGFLGASVTTMLSAFVLANFMGHFQWLTCPRKDLSTGWLHCDPGSIFTPESYDLPGWIPWREVAIMPIQWHALALGLFASIIAPFGGFFASGFKRAFKFKDFGDSIPGHGGFTDRMDCQDLSVETIMEQILRNLTFEEQHDLYEQLGKLLTRGN >ORUFI10G06210.1 pep chromosome:OR_W1943:10:7993995:7994818:-1 gene:ORUFI10G06210 transcript:ORUFI10G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEAESAVREMVRSMGAEQLDEAISFATMELAGRDIPFEDMFRLCDEQELRRAKKPAMAVISGSGEEVERIKSKLEIGEDGSPTSNSSEKTVVELLRALQTVPMTVPDAGGEQDRQDDQRPEEALVGAGSRRRRRPRLPLHSPRPIAPRRQTRRPAAQKPAPTAPPKKTASNKREEAPALVDEAKLAVAKRKLQEGYEDAASAKKQRMIQVIDAPRKKVKNWRPVAVVEPRRRIAPAVAAAPPLRMCRAA >ORUFI10G06220.1 pep chromosome:OR_W1943:10:7995138:7995968:1 gene:ORUFI10G06220 transcript:ORUFI10G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGEAILEERWKELVRSLGTEQLVDAIYVAIDDLSARERDTISPELWRRLGDRRAAYKNPFARDGLGSGCSAGEDEVEKIKTKLVAVVGEDGGNPRSDSSSSEAVVELLRALQAVLMTFETLEASKIGKAISGLRKHSSEQVRDLAAALYKSWKALVDEHLTRKPPAPPTKTASALGAADHANKANTAAPRKAACNKRKEAPALAPEMDEAKLEAARKKLRERYRDEETAKKQRKIQVIDAPGKARERPAVVERRGVVRRTVASHAPVAAFVRA >ORUFI10G06230.1 pep chromosome:OR_W1943:10:8014363:8020914:1 gene:ORUFI10G06230 transcript:ORUFI10G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSRSTLLGLVRRLRLSSPPSPAPQPRRLLLTASPPPPPPPTTTVCCSRPAAAPGRDARTMAVALAASPDRSMGRESRFRHGWRSLTSSSEEKGALKDVPAAAMLKNRNDNEKKRSRRRKPGITILKNSGHRDGSIFKGNCGWKIDFRIANPDETQFEAMMLSDPGDCKPDEIACVMHQPCPMLQIFSLKLAKTSIDRFPVELYGYIAVRDLMDPLRNYVVRRSRDDTIAVKPGSLIGMTGPKRGIKFCSSALIEYDMRIKTGEQEEDDIQLIDGVLGIFDDLSKPSCKPFRSRIDGVGGAVDITVGLLPSAVEATFEVSISEVQSCFDLTVCSYAGGLSQQFKIFQGTIGESCGLRRSVVAVMLDGMLHLRFIARRKGSKRDHEIACSIRAKKHGSSTHQLNTELASFLVKVNWSTLPM >ORUFI10G06240.1 pep chromosome:OR_W1943:10:8023022:8024036:-1 gene:ORUFI10G06240 transcript:ORUFI10G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKAQRFDLALAVVAIHLVDDLSNAKQETRTRLGLPRSEVKLITSNLAVGDGLEWDGAAQAQACVGGATQRREAHTQARVGGNHHDNASATTMTSTPSSDLNRTTREGYTGSALVLLVAAHRESGRERGTRKERSKKKKRGKNTYVFWAHWQ >ORUFI10G06250.1 pep chromosome:OR_W1943:10:8026793:8027239:1 gene:ORUFI10G06250 transcript:ORUFI10G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDSTRMQLVMAKATRNRPETTAAAWIWSAVVAAARMRHAAATAAPMQFVVAMATRIWSAAVAASRMRPVAGADAQMRPAAVAAAPMLPAATAAAAMLPAAAAVWMWPAAATAAPLLPATATAAPMQAVTVSVVWMCLAMAAWMRLG >ORUFI10G06260.1 pep chromosome:OR_W1943:10:8027369:8027792:1 gene:ORUFI10G06260 transcript:ORUFI10G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLSFGLSPDWICNLGLQSELLRFNDELRGNLLQIPVMLTPKSTASHQTSHMCHSRGGSRRGLPVRQAEYTSIEALGCNRRGIAAVPFDLSLVKDGPSLRFILGDVLGNSIPLNAVV >ORUFI10G06270.1 pep chromosome:OR_W1943:10:8040674:8048360:1 gene:ORUFI10G06270 transcript:ORUFI10G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHQLQTMQFTDPASRSPRPVGGGVHGQPPPTPMSSPFSSRKPRMQEGHPTCVNLTPIPHTDGHLWRKYGEKKIKNSSFPRRWCKTVAIYRLYYRCSYRDDRNCMATKVVQQENDADQPLYRVTYIHPHTCNPSPPAPTPAHVFTEPPPAKAEVHHAVLFRFSSTAGGHTANNAVHRQQWQPAAATMAAGAQAQLSMTMSDDEREQPPAAIRSAPPARRLSMFRAVVDGLRQMRSSAPPTPSSSMVVDDGWDTFSSFDLDTCEFSVDDELFCGDHMYFPDSMQQ >ORUFI10G06280.1 pep chromosome:OR_W1943:10:8066757:8068892:1 gene:ORUFI10G06280 transcript:ORUFI10G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTPRRVKNKAPAPVQLTAEHLIREARELHGDGSVTVCLPAESKKRRIVDADELAEHRLERRARFEAIVRRAGSGRGGCGDASSEWMRYARWEESPGGGGGDPARARSVYERALAGGAPAYRDHGVWIKYAQFEARGGRVGHARNVLDRAVAILPRADRIWSEYLRMEDLLGATDNARVVFDRWTSWRPGADAWAAYAAFELRHGELDRARAVHERHVAALPCADAFILFAEFETKLKNLDRAPRVYEHAGSLLAAAGDNDDTAVLLAAFADFEERCGEPDRARAIYQHALRGEPPEPRAEELREKLLSLEKRFGDRHGVEDSIVTKRRSQYERAVTTNPLCYDAWFDLIRLEESANTGDANRIRDLYRRAVANVPPAAAAAEKRHWRRYIYLWINYALFEELDAEDVARARGVYRECLRTIPHKKFSFSNICVMAAELEIRDKNLAAARRLLGNAIGVAPRPKLSRRYIEIELQLGNVGRCRILSQKFIEHAPSSSHVWRSYAALEKKLGETDRARSVYDLAVSQPALDAPELVWTDYIQFEIDAGELDRARQLYERLLGKTQHLNVWVSYAEFEATACSGGAAIAGNAAEKAERVRRCRAVCRRADEHFRGCADDPAMKEARAMLLQQWLAKEAAFGDLGEVEPVEKKTPRRVKRKRSLLADGNGGGGGCEEFFDYIFGDEEDATAAAGFKLMKAAYEWKNSGHVITY >ORUFI10G06290.1 pep chromosome:OR_W1943:10:8074608:8074937:-1 gene:ORUFI10G06290 transcript:ORUFI10G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRILPLLLVLLLTVAVATSSASAYGADDGVTVMRRVLDTAAPAPAPGPAPGGAMANTTTSGYISYDALFADRVPCSLRGASYYNCHPGAEANPYTRGCSAITQCRG >ORUFI10G06300.1 pep chromosome:OR_W1943:10:8087942:8089081:-1 gene:ORUFI10G06300 transcript:ORUFI10G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMNLKAPTAIREPHGGVLVGTAKEGLPIGRKSLAKEMREKRDMEDGEQGSLGLTCGGEEWGCGSTAASTTGGLCRRLAHSQGSLDGGGGIGIGIGGEDSDGGGGGGDGERERAGRGEPTLKV >ORUFI10G06310.1 pep chromosome:OR_W1943:10:8095034:8100278:1 gene:ORUFI10G06310 transcript:ORUFI10G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCAARAPGKIILAGEHAVVHGSAAVAAAIDLYTRCSLCLMPLADDEAAATLELDLKDPGLTFSWPCGRLREVLLTDEAAGAREARPCSPDRLASIARLLEEHEIPEAKIWLSAGLSAFLFLYTSILGPGKVTVSSDLPMGSGLGSSAAFCVSMSGVLLTAAGVVTAVGGISGEGMGWELVGKDDLELVNRWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGELTNLKSSNPVKMLITDTRVGRNTKALVAGVSERASRHSDAMASVFNAVNSISEEVSSIVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCVLTLIPTMLSNLVLEKVIAELESHSFRCFKVEVGGQGLQVCQGGCSYFNGDVV >ORUFI10G06310.2 pep chromosome:OR_W1943:10:8095034:8100278:1 gene:ORUFI10G06310 transcript:ORUFI10G06310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCAARAPGKIILAGEHAVVHGSAAVAAAIDLYTRCSLCLMPLADDEAAATLELDLKDPGLTFSWPCGRLREVLLTDEAAGAREARPCSPDRLASIARLLEEHEIPEAKIWLSAGLSAFLFLYTSILGCRPGKVTVSSDLPMGSGLGSSAAFCVSMSGVLLTAAGVVTAVGGISGEGMGWELVGKDDLELVNRWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGELTNLKSSNPVKMLITDTRVGRNTKALVAGVSERASRHSDAMASVFNAVNSISEEVSSIVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCVLTLIPTMLSNLVLEKVIAELESHSFRCFKVEVGGQGLQVCQGGCSYFNGDVV >ORUFI10G06310.3 pep chromosome:OR_W1943:10:8095501:8100278:1 gene:ORUFI10G06310 transcript:ORUFI10G06310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCAARAPGKIILAGEHAVVHGSAAVAAAIDLYTRCSLCLMPLADDEAAATLELDLKDPGLTFSWPCGRLREVLLTDEAAGAREARPCSPDRLASIARLLEEHEIPEAKIWLSAGLSAFLFLYTSILGPGKVTVSSDLPMGSGLGSSAAFCVSMSGVLLTAAGVVTAVGGISGEGMGWELVGKDDLELVNRWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGELTNLKSSNPVKMLITDTRVGRNTKALVAGVSERASRHSDAMASVFNAVNSISEEVSSIVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCVLTLIPTMLSNLVLEKVIAELESHSFRCFKVEVGGQGLQVCQGGCSYFNGDVV >ORUFI10G06310.4 pep chromosome:OR_W1943:10:8097579:8100278:1 gene:ORUFI10G06310 transcript:ORUFI10G06310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCAARAPGKIILAGEHAVVHGSAAVAAAIDLYTRCSLCLMPLADDEAAATLELDLKDPGLTFSWPCGRLREVLLTDEAAGAREARPCSPDRLASIARLLEEHEIPEAKIWLSAGLSAFLFLYTSILGPGKVTVSSDLPMGSGLGSSAAFCVSMSGVLLTAAGVVTAVGGISGEGMGWELVGKDDLELVNRWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGELTNLKSSNPVKMLITDTRVGRNTKALVAGVSERASRHSDAMASVFNAVNSISEEVSSIVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCVLTLIPTMLSNLVLEKVIAELESHSFRCFKVEVGGQGLQVCQGGCSYFNGDVV >ORUFI10G06320.1 pep chromosome:OR_W1943:10:8104506:8109232:-1 gene:ORUFI10G06320 transcript:ORUFI10G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRSHRLFTRCTPLSGEPGGSHIRASHSAVNRPAAFQDSHSTSMAAQSKEDDVATPSKTRPFPLPVPFALLARAVKTIGTFLSTDSDAARGLARRKCYSNNHEWRGSG >ORUFI10G06330.1 pep chromosome:OR_W1943:10:8111905:8112615:1 gene:ORUFI10G06330 transcript:ORUFI10G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARANAAGAEDSGELKGKGGSAVWRKRNGGEALPLPEWEGNESLAQVARLGWVLQGCRAGGVQQGNQAQWEHFQHEVETTRTMRGELVDALKLTLQLLFLGFREEGGMWA >ORUFI10G06340.1 pep chromosome:OR_W1943:10:8114895:8122577:-1 gene:ORUFI10G06340 transcript:ORUFI10G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAMTVPTMLWSTLVPLVLLAPLFSIPRHCRAQVLMNYSCNNGSSYAENSTYDSNVRAVLATLSASTPNATTGFATASAGRGADTVWGLALCRGDTDHAVCASCVAAVPAIAFHQCRGVRDVTVFYDRCIARFSYGDFTARPDNTEVLMVSPSKYQATVNAGHFDALVTRLAGALADWAAYNSTLRYAAGVMASSDGFPSTTGYMVHNIYGLVQCAPDLAPPACRACLQALIVDMPQAFGGRIGGQFNAVWCNLRYETSVFYDGDPAVRLVASPSLEGPNINGSTTLIIGNRRRRPNAATVVVSVLAAVIIALLSVLSIYLWRKLQAKQYTADQDVEAGSLLFDLATLRKATANFAEVNKLGHGGFGAVYKGFLRDGEEIAVKRLDKASGQGIEQLRNELLLVAKLRHNNLAKLLGVCIKGEEKLLVYEYLPNRSLDTFLFDPEKRGQLIWETRYHIIHGTARGLVYLHEDSHIKIIHRDLKASNVLLDSSMNPKISDFGLARLFDGNKTASVTSHVVGTLGYMAPEYAVLGLLSVKLDVYSFGVLVLEVVTGRRNTDVFGAVEESNNLLSYVWDHWVKGTPLAIVDASLLGDGRGPPESEMLKCIQLGLLCVQENPADRPTMLHILVMLHDVDATSFAAPSKPAFTFVNGGHTTGSSSNVAALSLNEVSISEFHPR >ORUFI10G06350.1 pep chromosome:OR_W1943:10:8127943:8128134:1 gene:ORUFI10G06350 transcript:ORUFI10G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGDAHPGRVVSSKGGEGDGWNDNTKSSAPAGYGGGGGGLTKRWRERGKGKNELSSVRQSRW >ORUFI10G06360.1 pep chromosome:OR_W1943:10:8149525:8150023:1 gene:ORUFI10G06360 transcript:ORUFI10G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENKSGSGDLMASGKVVAEATMSVFQQKSVEGVDKKEVAGAAADLLALGLDDKPVGQYIDKAEGYLKDFSSGSGGAAPPPPAAGDAAAPKPAAEEPPKEPAPAEKEEGKPPSSSEGFGLDDVMKGAESLMEKKGGGEESAGSGGAAGGLFKMAQGFMK >ORUFI10G06370.1 pep chromosome:OR_W1943:10:8164009:8172559:1 gene:ORUFI10G06370 transcript:ORUFI10G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQNAALDHISTPSESGMHKSNTSSCPTITCEEALKRELEYRQKIERSHPHLLVGLNGSPALLNEVGSGSSPDLSMRNSAHDSYMPSPQACFVGSTVQRPPANWYPSKKKLKVLQHPSQALQAPRPNLIPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNNARPATGNQCTGNRNPRPNGNAGSGSRNNEPNVFNSNIAQPSSDTFSGSQTNGTIGNPHKEMSSDGDGISNSKLEQNRTLPQLAMETSCFLTSNASPVKSMVMPSPAGVVKARPHVLFGGRRAASSSVTTCCSYNGEGAAPAIDPDWRSFRAQLYFNEQYAKSVNPAVAAVRATATTPEPVKIGDKWAHPLVEPEKGCLLIATEKLDGSHIFERTVVLLLSAGVLGPVGVILNRPSLMSIKEAQAVFAETDIAGAFSGRPLFFGGPLEECFFLLGPRAAAAGDVVGRTGLFDEVMPGVHYGTRESVGCAAELVKRGVVGVRDFRFFDGFCGWEREQLRDEVRAGLWRVAACSPAVLGLATVVKGGLWEEVQGLVGERRVW >ORUFI10G06370.2 pep chromosome:OR_W1943:10:8164380:8172559:1 gene:ORUFI10G06370 transcript:ORUFI10G06370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPAFKSMIPQNAALDHISTPSESGMHKSNTSSCPTITCEEALKRELEYRQKIERSHPHLLVGLNGSPALLNEVGSGSSPDLSMRNSAHDSYMPSPQACFVGSTVQRPPANWYPSKKKLKVLQHPSQALQAPRPNLIPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNNARPATGNQCTGNRNPRPNGNAGSGSRNNEPNVFNSNIAQPSSDTFSGSQTNGTIGNPHKEMSSDGDGISNSKLEQNRTLPQLAMETSCFLTSNASPVKSMVMPSPAGVVKARPHVLFGGRRAASSSVTTCCSYNGEGAAPAIDPDWRSFRAQLYFNEQYAKSVNPAVAAVRATATTPEPVKIGDKWAHPLVEPEKGCLLIATEKLDGSHIFERTVVLLLSAGVLGPVGVILNRPSLMSIKEAQAVFAETDIAGAFSGRPLFFGGPLEECFFLLGPRAAAAGDVVGRTGLFDEVMPGVHYGTRESVGCAAELVKRGVVGVRDFRFFDGFCGWEREQLRDEVRAGLWRVAACSPAVLGLATVVKGGLWEEVQGLVGERRVW >ORUFI10G06370.3 pep chromosome:OR_W1943:10:8164380:8172559:1 gene:ORUFI10G06370 transcript:ORUFI10G06370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPAFKSMIPQNAALDHISTPSESGMHKSNTSSCPTITCEEALKRELEYRQKIERSHPHLLVGLNGSPALLNEVGSGSSPDLSMRNSAHDSYMPSPQACFVGSTVQRPPANWYPSKKKLKVLQHPSQALQAPRPNLIPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNNARPATGNQCTGNRNPRPNGNAGSGSRNNEPNVFNSNIAQPSSDTFSGSQTNGTIGNPHKEMSSDGDGISNSKLEQNVIAYFRNIFPVVPMCVSCHKCSQGFEHHTLGKETGGCSYNGEGAAPAIDPDWRSFRAQLYFNEQYAKSVNPAVAAVRATATTPEPVKIGDKWAHPLVEPEKGCLLIATEKLDGSHIFERTVVLLLSAGVLGPVGVILNRPSLMSIKEAQAVFAETDIAGAFSGRPLFFGGPLEECFFLLGPRAAAAGDVVGRTGLFDEVMPGVHYGTRESVGCAAELVKRGVVGVRDFRFFDGFCGWEREQLRDEVRAGLWRVAACSPAVLGLATVVKGGLWEEVQGLVGERRVW >ORUFI10G06370.4 pep chromosome:OR_W1943:10:8164009:8172559:1 gene:ORUFI10G06370 transcript:ORUFI10G06370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTLLADIQLALPNRCYLLPSGMHKSNTSSCPTITCEEALKRELEYRQKIERSHPHLLVGLNGSPALLNEVGSGSSPDLSMRNSAHDSYMPSPQACFVGSTVQRPPANWYPSKKKLKVLQHPSQALQAPRPNLIPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNNARPATGNQCTGNRNPRPNGNAGSGSRNNEPNVFNSNIAQPSSDTFSGSQTNGTIGNPHKEMSSDGDGISNSKLEQNRTLPQLAMETSCFLTSNASPVKSMVMPSPAGVVKARPHVLFGGRRAASSSVTTCCSYNGEGAAPAIDPDWRSFRAQLYFNEQYAKSVNPAVAAVRATATTPEPVKIGDKWAHPLVEPEKGCLLIATEKLDGSHIFERTVVLLLSAGVLGPVGVILNRPSLMSIKEAQAVFAETDIAGAFSGRPLFFGGPLEECFFLLGPRAAAAGDVVGRTGLFDEVMPGVHYGTRESVGCAAELVKRGVVGVRDFRFFDGFCGWEREQLRDEVRAGLWRVAACSPAVLGLATVVKGGLWEEVQGLVGERRVW >ORUFI10G06370.5 pep chromosome:OR_W1943:10:8164011:8169990:1 gene:ORUFI10G06370 transcript:ORUFI10G06370.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTLLADIQLALPNRCYLLPSGMHKSNTSSCPTITCEEALKRELEYRQKIERSHPHLLVGLNGSPALLNEVGSGSSPDLSMRNSAHDSYMPSPQACFVGSTVQRPPANWYPSKKKLKVLQHPSQALQAPRPNLIPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNNARPATGNQCTGNRNPRPNGNAGSGSRNNEPNVFNSNIAQPSSDTFSGSQTNGTIGNPHKEMSSDGDGISNSKLEQNVADTGSSEHK >ORUFI10G06380.1 pep chromosome:OR_W1943:10:8175586:8176658:-1 gene:ORUFI10G06380 transcript:ORUFI10G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAEQVQALVALSAEQAMSLGATERSDRQVVMFLRPRQHNFKRRLRGASSRKKMSRLAVNFKPKMAAPRGRLPGALLLILAETRSGGVVLGMASTTAPLPKPPNKADRTRSWEIQPIQHSITPLGLAMASSPLAPSTEAAKTTASSTSTATQHGLGVRFSSAISRRCDAVSAVSGANFADGWSRAMGGGAVSLSAWFGTIYSRTACFAGLAHFVRSMGRGVEAAQIAPSPSARSLQLVARSGVVSGRKGRYGFCPLLVGLVGFHVGPYVKVDHYHILGQGFLVKASVGIYWWAVLVVNWTGDWVTIRALLSLKVLGWGPPVHAACIVAWQ >ORUFI10G06390.1 pep chromosome:OR_W1943:10:8182022:8189759:1 gene:ORUFI10G06390 transcript:ORUFI10G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTMAAAATCLVALLVVVLAEAAGVGCTTVAYNDRSLVIDGERRIIISGSIHYPRSTPEEGGLDAIETYVFWNGHEPHRRQYNFEGNYDIIRFFKEIQNAGLYAILRIGPYICGEWNYGGLPAWLRDIPQMQFRMHNAPFENEMENFTTLIINKMKDANMFAGQGGPIILAQIENEYGNVMGQLNNNQSASEYIHWCADMANKQNVGVPWIMCQQDSDVPHNVVNTCNGFYCHDWFPNRTGIPKIWTENWTGWFKAWDKPDFHRSAEDIAFAVAMFFQKRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNLRQPKYGHLKDLHSVIKSIEKILVHGEYVDANYSDNVTVTKYTLGSTSACFINNRNDNKDLNVTLDGNTHLLPAWSVSILPDCKTVAFNSAKIKAQTTIMVKKANMVEKEPESLKWSWMRENLTPFMTDEKGSYRKNELLEQIVTSTDQSDYLWYRTSLDHKGEASYTLFVNTTGHELYAFVNGMLVGKNHSPNGHFVFQLESAVKLHDGKNYISLLSATIGLKNYGPLFEKMPAGIVGGPVKLIDNNGTGIDLSNSEYRQIHLDKPGYRWDNNNGTVPINRPFTWYKTTFQAPAGQDTVVVDLLGLNKGVAWVNGNNLGRYWPSYTAAEMGGCHHCDYRGVFQAEGDGQKCLTGCGEPSQRYYHVPRSFLKNGEPNTLILFEEAGGDPSQVIFHSVVAGSVCVSAEVGDAITLSCGQHSKTISTIDVTSFGVARGQCGAYEGGCESKAAYKAFTEACLGKESCTVQIINALTGSGCLSGVLTVQASC >ORUFI10G06400.1 pep chromosome:OR_W1943:10:8195489:8201063:1 gene:ORUFI10G06400 transcript:ORUFI10G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYVPSVYAWNTDASGAEAPSNDKIKNSLAAVLARFPHLAGRFFGVDEHGRRYFDLNDAGALVLEATASASLADALSHDVPAHVNELYPKADKVVYQNGNNVTAHHHVADGKSMSVFFVAWAAAVHTRETLLPTPFHDRGVVVVPSRLPQPAFDHRNIEIGNFSVHYPEEFVARLKARVGTRCSTFRCLLAHAWKITAVRGLSLEEFTQGQDRGELPWLC >ORUFI10G06410.1 pep chromosome:OR_W1943:10:8201077:8201510:1 gene:ORUFI10G06410 transcript:ORUFI10G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFGNMVLWAFPRMRVRDLLSSSYATVVGVISDAVARVDERYILSFINFGEVAAGAEYTDGGEARTVLCPDLEVDSWLGFRFHELDFGCGPPCAFLPPDVPVEGILMIFVPSCAAKGGIEMFVALDDSHVKAFSQICYSMD >ORUFI10G06420.1 pep chromosome:OR_W1943:10:8210158:8211586:1 gene:ORUFI10G06420 transcript:ORUFI10G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAFSTSGGSSFVVVGLAKRVHRRQPEVSDGARDGRILLEPHVSRRPTTVMRVPGLGSSSILMSFRASVVTHVGQQKSPRRILQYMRKHGRRKHHRRCTSPWTTCFCQSSNFAPQFSVGGVHRSVVHAGAPEHNIDVRLAGHYGGGVVRVIVGQGGGESDGVDVDDDGVLVAPAGVDAVAESCREGDTRAGAEVEQRVAARLGELGGEPAAREGAVESRDAGVGEEAGTVREGRRPRDKGEILWIDGSTTTDEEANLVSREDVGVLVMVGTGMG >ORUFI10G06430.1 pep chromosome:OR_W1943:10:8214403:8215391:-1 gene:ORUFI10G06430 transcript:ORUFI10G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVLAASSFLLALVLWIWRALPLESQSWRGSVRRHQRHQRCAAGGAAAGSPTGGGATARRDVLVHSCS >ORUFI10G06440.1 pep chromosome:OR_W1943:10:8217111:8217665:-1 gene:ORUFI10G06440 transcript:ORUFI10G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPSQADEEDVGPTWQRQEAKHRQSQLRGNLNVEVPVAAPTGCFAGCFRPSPTSSRSSPPSCNSQADRPASPSLIRSPSAWIRARGQSFASSARHARRRSGDFQYDARSYARNFDEGTDGEASGDEQAGLAAGDTLKYRSFASRLPPSPTPALSPSAAPVCDGGNSAKDSQTAREKGRDFD >ORUFI10G06450.1 pep chromosome:OR_W1943:10:8218782:8222436:-1 gene:ORUFI10G06450 transcript:ORUFI10G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLIRLVAVPDGMGPDDDRNNLLRLTVFMQEHMAPRVEELIRRSGEEEAAVDGDGDGWGRIRCVVADYDVGTWALDVARRTGVKSAAVWPASAAVMASLLSVPELIRDKIIDAHGKRKRQMNWSALTQEAFQLSPDMPMMQPAHLAWNCIGNDQGQELLFSCVLAGVRAVDECDYILCNSFRDAEAATFARFPKILPIGPLLTGERPGKPVGHFWLYVAFGSFTVFDRRQFQELALGLELTGRPFLWVVRPDIVHGDAHEYPDGFLDRVVASGNDGGRGKVVAWAPQQRVLAHPAVACFVSHCGWNSIMEGVRNGVPFVAWPYFADQFVNRAYICDIWRVGLPAVADKKSGMVTKEHLAGRVEEVMGDASMRERIEAMMVVAHESVQEGGCSHGNFDMFVESIMS >ORUFI10G06460.1 pep chromosome:OR_W1943:10:8222479:8232635:-1 gene:ORUFI10G06460 transcript:ORUFI10G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKEHIAGRVVEVMGDAGMRKRIEVMMAVAHESIQEDAEAAIAGRWEEWEEEGDREREEWVEEADRERGVGRRGRGRWEEGAAASMASPPPARPHALVIPFPAQGHVIPLMEVAHALADRGVAVTFVNTEFNHGRVVAAMPSPPRRNGVTENGGSGKLGMGRNRIRLVAVPDGMGPDEDRNNLVRLTVLMQEHMAPPVEELIRRSGDEEAAVDGGDGWGRITCVVADYNVGTWALDVARRTGVMSAAVWPASAAVVASLLSIPELVRDKVIDAQDGSALTQEAFQLSPDMPMMQPAHLAWNCIGNDEGQELLFRYLLAGVRAVDECDYILCNSFRGAEAATFARFPKILPVGPLLTGERPGMPVGNFWRPEDGACMSWLDAQLARSVVYVAFGSFTMFDRRQFQELALGLELTGRPFLWVVRPDIVRGDVHEYPDGFLDRVVASGNGGGRGKVVAWAPQQRVLAHPAVACFVSHCGWNSTMEGVRNGVPFVAWPYFADQFVNRAYICDIWRVGLPAVADEKLGVVTKKHIAGRVEEVMGDSGMRKRIEAMMAVAHESVQEGGCSHGNFDMFVSWWRLSTCDSYTSGGGGGVRCPGRRRRLVEVSALGDSVADRHGGVGRGGQHDRLGGEWPDQGVGVGEEEEGGDADKERGRRRVPPHGGRAAALPGQRRVASRRVTSSHRTLVASELLGAAAPGMAVVGRAGEPASMASPLPARPHALVVPFPAQGHVIPLMEVAHALADRGVAVTFVNTEFNHGRVVAAMPSPPR >ORUFI10G06470.1 pep chromosome:OR_W1943:10:8232639:8233340:-1 gene:ORUFI10G06470 transcript:ORUFI10G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMHPAHLAWNCIGNDEGQELLFSCVLAGIRAIDECDYILCNSFRGAEAATFARFPKIIPVGPLLTGERPGKPVGHFWLPEDGACMSWLDAQPVRSVVYVAFGSFTVFDRRQFQELALGLELTGRPFLWVVRPDIVHGDVHEYPDGFLDRVVASGINGGGRGKLVAWAPQQRVLAHPAVACFVSHCGWNSTMEGVRNGVPFVAWPYFADQFVNRAYICDIWRIGLPAVADEK >ORUFI10G06480.1 pep chromosome:OR_W1943:10:8279106:8279693:-1 gene:ORUFI10G06480 transcript:ORUFI10G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPPPPARPHALVIPFPAQGHVIPLMEVAHALADRGVAVTFVNTEFNHSRVVAAMPALPRRNGETAAGGGGKLGMGRNRIRLVAVPDGMGPDEDRNNLLRLTVLMQEHMAPRVEELIRRSGEEEAAVDGDGDGWGRITCVVTDYNVGIWALDVARRTAVKSAAVWPASAAVMASILSVPELIRDKIIDAQDGK >ORUFI10G06490.1 pep chromosome:OR_W1943:10:8308290:8310457:1 gene:ORUFI10G06490 transcript:ORUFI10G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLGGDGDEAEKAPTLSPAAVACARGRMQRRRRTAAMASDGLGGAVPRSNPVTTVVLCPDPVAAGVPRLTSHGSTGGADDHGGGCNPSEAGGCCPGGWPRLDDSIDHTWAEEAVDSMMGLLAASSLGGAFSCGAFACHGKASKMG >ORUFI10G06500.1 pep chromosome:OR_W1943:10:8312150:8313147:1 gene:ORUFI10G06500 transcript:ORUFI10G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVAVAGDELRCGHPRQAPGIADSGDELQRGVRHGRRRTWPRRRARHGERRWRTRPPATWSSDCHLELQHCRPPSTAPPLTPTSGSIRVAGRCALRRRPNSIAGPLRSASLPTVDPTASPGFHPIHLLLQGSKETRWDAKNLLTWYHLIPLKYHLLRGIIAWIPGRYQDLIHRKHHPPRGKNRMIPDRYHPVPRKYHLIYGRNARYHLWNLMILDMIEMRIMIPPRCHMILPKYHL >ORUFI10G06510.1 pep chromosome:OR_W1943:10:8313166:8313834:1 gene:ORUFI10G06510 transcript:ORUFI10G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRRIIIPPRFNKILLKYHLIPRNYHLPRGKNRMIPYKYHLITSWYRVIPTRYHAILPRIRYRTIPITYQAILVRYHVIAIRYHIPEVGHRGIVAGGRVLPRRRSTLNPNLVTGSCIRSRPRSTSELVANDRVLCRELVTGGRVHRPRLSRCLRPPHRSSSPVPPPPPRRILRRPLPTPELVDGAVSVTTQDYLPSM >ORUFI10G06510.2 pep chromosome:OR_W1943:10:8313837:8315725:1 gene:ORUFI10G06510 transcript:ORUFI10G06510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAGACRRPRPSRWSSSPAAASSTPELGGGGCVRATGVSLVCAAPMVAYALVSEGGGPWIIRAWGQQASSIGAMPPWQWMRS >ORUFI10G06520.1 pep chromosome:OR_W1943:10:8345255:8346731:1 gene:ORUFI10G06520 transcript:ORUFI10G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYEIAPVECPLQQNELYMHLYLRQADRGPNRDQEVILNPKVRPNDFGLTAATAWTISDSLDPSAKIVARAEGFHMQTSYNNTSWYASFNIVFEDDRFLALPMGSPGHSGLKTKSYFHAWFCAVPVCYFVL >ORUFI10G06530.1 pep chromosome:OR_W1943:10:8369465:8373559:1 gene:ORUFI10G06530 transcript:ORUFI10G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSFSVNLVKHVLRENEITMQLYLDQVYSGQNHNQENMVPSTHPASFGLIVVHDWPIYDGPDPKSSTIVAHARVTPENGEWAIVGGTGEFNMAYGTIVHTMIKHNVGTEALRKLDIHAFYTPKTAQTFL >ORUFI10G06540.1 pep chromosome:OR_W1943:10:8383363:8384536:-1 gene:ORUFI10G06540 transcript:ORUFI10G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYSSSALFILFLLPTFLSMAASTYYDICPVECGCPDQNEVTMHLYLHQFVAGANHPNRNEEFVIASSYPNGFGTTLVDDWYLTATTNPNDNIVARVQGMHVQAGQSNANMWYTSHNIVFQDDRFKGSTLQVMGIIAASSGEWSVIGGTGEFSMAHGSIKFTTDPSSTSEDAVRELNIRAIYTADNPQAARGTASVANATRLP >ORUFI10G06550.1 pep chromosome:OR_W1943:10:8408105:8408410:-1 gene:ORUFI10G06550 transcript:ORUFI10G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCPRRSGVCRGVVASGRAEAGAPLVLALGLGVTVVPAFAPPGWTPAACVDLQQVWPSTSSSAPPQDFSNSLARASTLTSSCLLDVILRRCGPPDVSSSF >ORUFI10G06560.1 pep chromosome:OR_W1943:10:8416470:8417855:1 gene:ORUFI10G06560 transcript:ORUFI10G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFFKVIPVRPVLRDQCEITMRLYLHQVVTGPNHNQETMVPSSHPASFGMIVINDWPIYDGPDFNTSTIVAHARGMHVQVDQVNNTWYTSMNIEFVDARFLWRIIILKWYRFNGSKLQVMGTTPETGEWAIVGGTGQLAMAYGTIQHNIVKNNPGIETTRQLDIHAFYSTPQTQADVMTTTNTIDQQAE >ORUFI10G06570.1 pep chromosome:OR_W1943:10:8422200:8423558:1 gene:ORUFI10G06570 transcript:ORUFI10G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKFQRMIRNAFCPQYTQVSRKTTKNNIVVLYLGGCGGCFHTNSDAMGLDYSKAYQHARDELFRVFRLYQTELSVARRVPKKTPQKKKQSKSSAMNLWKKIRGKEQASSSGSRSNWNSDVELNHYLNTNPHRTWSNTGS >ORUFI10G06580.1 pep chromosome:OR_W1943:10:8424485:8429878:1 gene:ORUFI10G06580 transcript:ORUFI10G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPRRDLDGEGTGGGSSAAREKERPRSFDEKTRSACWRKAAVVMGRHPERWRQDAAGNVVCRRFWSCHGCLCYEYDHIVPFSKGGESTVDNCQILQTRVNRSKSDKAWIEQAEMQGFSCDIKFTDKELDVIEMAVYGDVIRPGKQCRCRTVAEMLGKVKSKNQMAACELPHNDAS >ORUFI10G06580.2 pep chromosome:OR_W1943:10:8424485:8428748:1 gene:ORUFI10G06580 transcript:ORUFI10G06580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPRRDLDGEGTGGGSSAAREKERPRSFDEKTRSACWRKAAVVMGRHPERWRQDAAGNVVCRRFWSCHGCLCYEYDHIVPFSKGGESTVDNCQILQTRVNRSKSDKAWIEQAEMQGFSCDIKFTDKELDVIEMAVYGDVIRPGKQCRCRTVAEMLGKVKSKNQMAACELPHNDAS >ORUFI10G06590.1 pep chromosome:OR_W1943:10:8457581:8461010:1 gene:ORUFI10G06590 transcript:ORUFI10G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAQRLQLPHVFTTIYMLHLGKATTVQPISSSTDLYALLSFKSLATEDPSGAMSSWSSNETMFGFCHWKGVTCSSHAHPGRVTALRMRDLGLVGAISPQLSNLTYLQALDLSNNRLQGEIPHDLGSCVALRAINLSVNSLSGQIPWSIGNLPKLAVLNVRNNKISGNVPASLGNLTALTMLSIADNYVNGRIPPWIGNMTNLTDLNVAGNVFHGYVPSNIAGLTNLLALSLLGNKLQGVFPPELFNITSLEIMYIGLNMLSGFLPMDIGPKLPNLVFLSTIYNQFEGPIPDSLSNISKLEYLQLHGNKFQGRIPPNIWSSGTITRLNLGNNILEAKTPNDRDFLTSLTNCSELVTLDLQFNRLSGFIPNTLVNLSQELIWIGLGGNQIFGTIPAGIGRFRKLTVLELAECPSSLAHNSHSKHQVQLILIICVVGGFTIFACLVTFYFIKDQRTIPKDIDHEEHITSLLIKKYPRISYVELYAATDSLSSENLIGRGSFGYVYKGNLTSGVNSATVAMKVLDLRQKGQTQGFFAECDALRRIQHRKLVKVVTVCDSLDYNGNEFKAIVLEFISNRSLDTWLKTGNKVGTLSLIQRLNIILDVAQALEYLHNHIEPPIVHCDIKPSNILLDEDMVAHVSDFGLAKIMSVDASRQSLGESISNGVRGSIGYLAPEYGMGAEISARGGVYSYGVLVLQMLTGKEPTDAIYDGTTSLPKYVEMTYPDKLSPIVDAAIIANSGGGQETINMFIVPVAKIGLACCRDNASQRMNFGEIVKELVPLNKLCQDYFLTQGASSGNTSDIGITL >ORUFI10G06600.1 pep chromosome:OR_W1943:10:8485341:8486111:-1 gene:ORUFI10G06600 transcript:ORUFI10G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIERPRRWHEMQRAQAEGIDWSVRFSWLPEWRRGGAGLWYPLIVRLVEPVAYTLRTQLHRLRLEIEILCGTLEYLRATPTRRPEPCRPQTSCSPSAHGAVQDQKNRMFRGVAGRAGEGPGDGLGGKEEANCGPSVDGIGGDGIWASPSRGAVACCVIEERSGRLGATGEGSALREAINSMAWTGPQRLGVTGDSSGWAVWR >ORUFI10G06610.1 pep chromosome:OR_W1943:10:8485477:8486322:1 gene:ORUFI10G06610 transcript:ORUFI10G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQATAPLDGDAQIPSPPIPSTDGPQFASSFPPKPSPAPWAEGLQEVCGRQGSGRLVGVALKYSKVLSWPLTSKQSVLRLEVAEHWYRLYKTDNQGVPQSGSTSTPFWQPRKPHAPIYALRLCSLHLVPPSRPLDRHGLNYSNSSLQVFFFNDLGYHFGAETNMTPIKQCS >ORUFI10G06620.1 pep chromosome:OR_W1943:10:8492446:8496554:1 gene:ORUFI10G06620 transcript:ORUFI10G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPPPPGTPPAAATPAASVGDASRRHGGRPTAAAQAAAAAGDDSQHRFPSASRRGRRRRRGSASSTRGGARGLFGKGGRIGQSRAATTPCGRTGHALRCTCYGRHGCVSNCLLVGLCETEHQSPIGRRLPPGSRGFPIIGETLEFLTESPANQLPAFFKRRLDR >ORUFI10G06630.1 pep chromosome:OR_W1943:10:8515029:8516060:-1 gene:ORUFI10G06630 transcript:ORUFI10G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAKTDDDNCDIQEESDLRKHVDIFNRLLVKAKHDNEVETSKGKEKRTMNIMKDGKAVMIGERMIMFAQAISYMEDGYVSVAVHDPEGGEPSVGSSGGSA >ORUFI10G06630.2 pep chromosome:OR_W1943:10:8516065:8520431:-1 gene:ORUFI10G06630 transcript:ORUFI10G06630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVGRYTCRLAVGGEARRIYNGHSPSDNDQQVDAGIWGGSRSNGRRHHGPLLGSKDTTTGNAPWSCRSTLPQAPSVAPPSTCLEDPPKRSQRRVDQVESTLLRRVVVQVEEARWRLPTNGTDVGRGGAWGGCVWTGLLAVVAALTGGGEGYVGLTGDIQCS >ORUFI10G06640.1 pep chromosome:OR_W1943:10:8521582:8530180:1 gene:ORUFI10G06640 transcript:ORUFI10G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRWWRIIAESSKKKGWGEGRPLVVHRHSGSRQYGEAESERMIFSVTAKKLISYDSSTSDGKMWKHYDAFAQGLITLPLCIPGTAFYKCMQGRKNVMKMLKEILNERKKIEGRHESIDFLDVLIEEVKEDNPSMTENTALNLLFSLLFGSFDTTSSGITGMLKFLTDNPEALRELTEEHNNIRRRRADLNSEITWEEYKSMKFTSHVLLFQKNQKL >ORUFI10G06640.2 pep chromosome:OR_W1943:10:8521582:8529637:1 gene:ORUFI10G06640 transcript:ORUFI10G06640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRWWRIIAESSKKKGWGEGRPLVVHRHSGSRQYGEAESERMIFSVTAKKLISYDSSTSDGKMWKHYDAFAQGLITLPLCIPGTAFYKCMQGRKNVMKMLKEILNERKKIEGRHESIDFLDVLIEEVKEDNPSMTENTALNLLFSLLFGSFDTTSSGITGMLKFLTDNPEALRELTEEHNNIRRRRADLNSEITWEEYKSMKFTSHVLLFQKNQKL >ORUFI10G06650.1 pep chromosome:OR_W1943:10:8538364:8541806:1 gene:ORUFI10G06650 transcript:ORUFI10G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRLLLQLLALPSTVIFLFLAPASRSIDAGDDLHALLSFRSHIAKDHSDALSSWSVVSNGTSDGTNGFCSWRGVTCSSGARHRRVVSLRVQGLGLVGTISPLVGNLTGLRELDLSDNKLEGEIPPSLARCLALQRLNLSVNFLSGVIPPSIGQLSKLEVLNIRHNNISGYVPSTFANLTALTMFSIADNYVHGQIPSWLGNLTALESFNIAGNMMRGSVPEAISQLTNLEALTISGNGLEGEIPASLFNLSSLKVFNLGSNIISGSLPTDIGLTLPNLRYFIAFYNRLEGQIPASFSNISVLEKFILHRNRFRGRIPPNSGINGQLTVFEVGNNELQATEPRDWEFLTSLANCSNLIYINLQLNNLSGILPNTIANLSLELQSIRLGGNQISGILPKGIGRYAKLTSLEFADNLFNGTIPSDIGKLTNLHELLLFSNGFQGEIPSSIGNMTQLNQLLLSGNYLEGRIPATIGNLSKLTSMDLSSNLLSGQIPEEIIRISSLTEALNLSNNALSGPISPYIGNLVNVGIIDLSSNKLSGQIPSTLGNCLALQFLYLQANLLHGLIPKELNKLRGLEVLDLSNNKFSGPIPEFLESFQLLKNLNLSFNNLSGMVPDKGIFSNASAVSLVSNDMLCGGPMFFHFPPCPFQSSDKPAHRSVVHILIFLIVGAFVFVIVCIATCYCIKRLREKSSKVNQDQGSKFIDEMYQRISYNELNVATGSFSAENLIGRGSFGSVYRGNLTCGSNVITVAVKVLDLHQTRAARSFMSECNALKRIRHRNLVRIITVCDSLDNNGDEFKALVLEFISNGNLDTWLHPSTENTSYIPGKLSLMQRLNIALDVAEALEYLHHHISPSIAHCDIKPSNVLLDKDMTAHIGDFSLARIMSAEAEGQCLGESSSVGIKGTIGYLAPEYGMGTEISREGDIYSYGVLLLEMLTGRRPTDTMFHDDMSLPKYVEMAYPDNLLEIMDNAIPQDGNSQDIVDWFIAPISRIGLACCRDSASQRMRMNEVVKELSGIKEVCESKFEEFYLCSV >ORUFI10G06660.1 pep chromosome:OR_W1943:10:8551659:8557415:1 gene:ORUFI10G06660 transcript:ORUFI10G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGWQLPYHPLQVVAIAVFLALGFAFYVFFVPFVGRSELQYVLMGLYTPLITCVVTLYIWCAATNPGDPGIFKAKKHPKLGKDGKQIQEISEHESCQGGKSFSDGCSIVNNSERLSNMFEGNDSSSRPGLHGVLCLICNPFFCLWKRFFHSDDQSSEQHMSEEGMFFCSLCEVEVLQHSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKRFFILMASAVLLWLVGILVLILCLLKRGEFSGQIISKLGSSFSTAAFVIVVMTCTLLAMLATIPLAQLFCFHVLLIKKGISTYDYIVALREQEEQQEVTEHQSPQMSIISSVTGFSTTSSFAPLQRGSWCTPPRLFLEDQHVIPPEMPQNSSSKKAKHADVTKRKPSGPVKISPWTLARLNAEEVSKAAAEAKKKSKVLQPIARHEDPKHDKRRPDKRGQFLPELSVDHTTRTSDSCTDSNCSDMDMETCGSLAPLQHEARSVFQPSIASSIRNLTSSPQSSLDSPDLHPFRVSMSGADELRSFMSLAASESTAPKSIALSRSTSGGYEASGGEESDRIPSKIVHRSSNWANAILNSGRREMAADLNLPTSERFLTNTRFS >ORUFI10G06670.1 pep chromosome:OR_W1943:10:8558164:8562543:-1 gene:ORUFI10G06670 transcript:ORUFI10G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDLQKIGIGLTGFGVFFSFLGIIFFFDKGLLAMGNILFLSGLGLTIGLKSTLQFFTKPKNYKGTISFGAGLLLVLIGWPFFGMLLEAYGFIVLFSTLEAIEEKEFQCRSYPK >ORUFI10G06670.2 pep chromosome:OR_W1943:10:8558164:8561463:-1 gene:ORUFI10G06670 transcript:ORUFI10G06670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDLQKIGIGLTGFGVFFSFLGIIFFFDKGLLAMGNILFLSGLGLTIGLKSTLQFFTKPKNYKGTISFGAGLLLVLIGWPFFGMLLEAYGFIVLFSTLEAIEEKEFQCRSYPK >ORUFI10G06680.1 pep chromosome:OR_W1943:10:8564807:8565289:1 gene:ORUFI10G06680 transcript:ORUFI10G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSLQNVCKRLLAADAAHQTALLLCSPTPTHGHELPRAGSASPPPPFSFAVWPPTRRTRDAVVRRLVAVLSGDTTTALRKRYRYGAVPAADAERAARAVEAQAFDAASASSSSSSSVEDGIETLQLYSREVSNRLLAFVRSRSSAAGAPPASAAAGEVA >ORUFI10G06690.1 pep chromosome:OR_W1943:10:8568993:8569187:1 gene:ORUFI10G06690 transcript:ORUFI10G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDDRRRAPKGNEVLVDDDNGVPAIFGRGKPADGLHLGAAMPKKATTTSRNHEVDGKALPEAE >ORUFI10G06700.1 pep chromosome:OR_W1943:10:8570327:8573924:1 gene:ORUFI10G06700 transcript:ORUFI10G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARMHRAPAPPMLPPHLPRLPRLRAIPTKRPTTDAVATNHSHITKHKMEEKDGDSIPRQIARTSSTESVSAVYLVVGHGSVFKVNPPAVVGGGGDDDGDTPVPLPQHLARLPSKHCMSFVPVRSRRHAPWIVGVGGNTGIRDYGPETIVFDTNACKVVSGPKLLSTKLCPILVPMGERIYALAGMPCVTGDINFVPWFEVLDLSMARVIDNASGCCLLDCEWKPLPRPPFFPWDLTPTDYIFPPVDTVKSHVAVGSYILLSITGHGQKGTHMFDTETQQWAKLDDKDLPFIGRAIPLQGTLLFLGSSNTSDEITAYKIDVSVSSVASPSTITAGHMYSLSIVEIQMLTYLEDEEEIVTGCKLISFDYPAGNPGLCSLNWVNNDPHISFDFPQHVGELVTIRAYSNVDYLESTRALVISNQWKQVYSIYDPLRRLSSPCLAGILKSLDQNLTAEII >ORUFI10G06710.1 pep chromosome:OR_W1943:10:8583938:8584208:-1 gene:ORUFI10G06710 transcript:ORUFI10G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGDDGERSSTGSTGIHDSGATETDSFFALFANDTSSEDVNGVVANPFGSSEEAQAQVV >ORUFI10G06730.1 pep chromosome:OR_W1943:10:8602399:8602920:-1 gene:ORUFI10G06730 transcript:ORUFI10G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEAKPQYVTMDTLSLEWHVVTDERHVSNARAALRVYNAAVPAGGDTFFLEDLCKMTTLTEPPPPESSGLTYCHYNFIASCEATGSAARFFAEVDHEGAAVGGGEDGVVACCILQISRDYGVNCNACFRQRSFLMHPSDRNKFIAGHRLLPEHKEDDCEIEYDYDYPYFD >ORUFI10G06740.1 pep chromosome:OR_W1943:10:8602465:8608886:1 gene:ORUFI10G06740 transcript:ORUFI10G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDELVPITRVHEEAPLTETSIAVDAIITRNLEDAARDDAILPTTDGGAFVVDLHLREEPGGRSGGLAGGDEVVVASSGHPSIHYYMAWARARIGVGEGVAMYSEPAAACGWRREETAWRRTDVDGDCFPSDVRALRCLLAVFRFPSLLSDSDSAAACISPHLPQLLSILGRVHFSVPVKIYLDLPYVFS >ORUFI10G06750.1 pep chromosome:OR_W1943:10:8603335:8603916:-1 gene:ORUFI10G06750 transcript:ORUFI10G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCICLAECQRVHRSALDYFLAVLIVVAIVVAARLLICAVARCLVHNVAGAAHHHRSPTPDDHRRRHRDAGRRRPGPRIEENQEAARAPPRARRRAAVAPAPPPPPPPPSAPGAPPRRAPAAESAARTPARTLAGTKEDDGDALPARRQRRARTSDGKQSPSTSVRRHAVSSRRQPHAAASRQDWSRRVGGGD >ORUFI10G06760.1 pep chromosome:OR_W1943:10:8604564:8605660:-1 gene:ORUFI10G06760 transcript:ORUFI10G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAESESDRREGKRKTRRGTDPSPNQSRVALFGRQISNPGQELIQARIEWIRDFITNQSGFALLRSLWQQSINIWEYQLIIRCSHSKEILRLNYPELKKKMVLFQEQM >ORUFI10G06770.1 pep chromosome:OR_W1943:10:8618231:8618581:-1 gene:ORUFI10G06770 transcript:ORUFI10G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFTEPPPPESSGLTYCHYNLIASCEATGSAAWFFAEVEVDDGGQVRGGEDGVVACCILQIPRDYGVNCNACFRQRSYLMHPSDSNKFIAGCRLLQEPKEDDCEIEYDYDYPYFD >ORUFI10G06780.1 pep chromosome:OR_W1943:10:8620804:8621319:-1 gene:ORUFI10G06780 transcript:ORUFI10G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVAKPQYVTLNTISLEWQVVTDERHVSNARAALRAYNAAVPAGGDTFFLEDLCCMTTFTEPLPPESSGLTYCHYNLIASCEATGSAAWFFAEVEVDDGGQVCGGEDGVVACCILQNPRDYSVNCNACFRQRSYLTHPDRNKFIAGHRLLPEHREDDCEIEYDYDYPYFD >ORUFI10G06790.1 pep chromosome:OR_W1943:10:8621268:8626144:1 gene:ORUFI10G06790 transcript:ORUFI10G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWAIADQRATEMEKELVGSRAAIHRSIIIWPGRAQADWSRRGSSDVFRGGLQLRGSDSEFRTRICSSSFLFPSLLFDSTRTRPPPALHQPRSTGFLPLYSIHFSGLSPSAMYDQGKDQTDVTGEEKP >ORUFI10G06800.1 pep chromosome:OR_W1943:10:8622910:8629348:-1 gene:ORUFI10G06800 transcript:ORUFI10G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGWVWVRRPADDDEEERPLKVVFDSPAEHFTDAAPIGNGSLGAMVWGSVASEKLQLNHDTLWTGVPGNYTDPNAPYALAVVRKLVDGEKFVDATEAASGLFGGPTEVYQPLGDINLEFDSSSLGYTSYKRELDLRTATVCISYNIGEVQYSREHFCSNPHQVFATKISANKSGHVSFTLSLNSQLNHNVRITNANEMIMQGTCPGRRPALHHNGANDAIGIKFATAVGLQIGGTSAKVTIIDDQKLRIDAADWVVLLVAAASSFDGPFVNPSESKLNPEVAALNTLNISRNATFSQLKAAHLEDYQGLFHRVTLQLSQASMLEKDILEEVDHDVKTTAERINSFRSDEDPSLVELLFQYGRYLLISSSRPGTQVSNLQGIWNQDFAPAWEASPHLNINLEMNYWPTLPCNLSECQEPLFDLIGSLAVNGTKTAKVNYQASGWVTHHVTDIWAKSSAYYVDAMYALWPMGGTWLCTHLWENYQYSLDKEFLEKRAYPLLEGCAMFLIDWLIKGPGDYLETNPSTSPEHPFIAPGTGGHLASVSYSTTMDISIIREVFLAVISSAEVLGKSDTNLVERIKKALPMLPPVKISKDGTIMEWAQDFEDPEVHHRHLSHLFGLYPGHTITMQKNPEVCKAVANSLHKRGEDGPGWSTTWKMALWARLLNSENAYRMILKLITLVPPGGKVDFEGGLMILKLITLVPPGGKVDFEGGLYTNLWTAHPPFQIDANFGFTAAIAEMLLQSTHGDADLYLLPALPREKWPKGYVKGLRARGNVTVNISWEKGELQEATVWSSNPKCTLRLHYGEQVAMVTVLGGNVYRFNGGLQCVETYMAP >ORUFI10G06800.2 pep chromosome:OR_W1943:10:8622910:8629348:-1 gene:ORUFI10G06800 transcript:ORUFI10G06800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGWVWVRRPADDDEEERPLKVVFDSPAEHFTDAAPIGNGSLGAMVWGSVASEKLQLNHDTLWTGVPGNYTDPNAPYALAVVRKLVDGEKFVDATEAASGLFGGPTEVYQPLGDINLEFDSSSLGYTSYKRELDLRTATVCISYNIGEVQYSREHFCSNPHQVFATKISANKSGHVSFTLSLNSQLNHNVRITNANEMIMQGTCPGRRPALHHNGANDAIGIKFATAVGLQIGGTSAKVTIIDDQKLRIDAADWVVLLVAAASSFDGPFVNPSESKLNPEVAALNTLNISRNATFSQLKAAHLEDYQGLFHRVTLQLSQASMLEKDILEEVDHDVKTTAERINSFRSDEDPSLVELLFQYGRYLLISSSRPGTQVSNLQGIWNQDFAPAWEASPHLNINLEMNYWPTLPCNLSECQEPLFDLIGSLAVNGTKTAKVNYQASGWVTHHVTDIWAKSSAYYVDAMYALWPMGGTWLCTHLWENYQYSLDKEFLEKRAYPLLEGCAMFLIDWLIKGPGDYLETNPSTSPEHPFIAPGTGGHLASVSYSTTMDISIIREVFLAVISSAEVLGKSDTNLVERIKKALPMLPPVKISKDGTIMEWAQDFEDPEVHHRHLSHLFGLYPGHTITMQKNPEVCKAVANSLHKRGEDGPGWSTTWKMALWARLLNSENAYRMILKFTAAIAEMLLQSTHGDADLYLLPALPREKWPKGYVKGLRARGNVTVNISWEKGELQEATVWSSNPKCTLRLHYGEQVAMVTVLGGNVYRFNGGLQCVETYMAP >ORUFI10G06810.1 pep chromosome:OR_W1943:10:8640098:8641163:1 gene:ORUFI10G06810 transcript:ORUFI10G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAEVLENAARHIQGRFRTFITRKEFVKTREASISIQSYCRGCLARKMYMVKREMAAAIIVQKYVRRWRLHRTYQQAHSASLLIQSCIRGFIARRYFSVIREQRAALVIQAANEADALREAKNKLEKKLDDLTLRLTLERRLRVSVVYHFXT >ORUFI10G06820.1 pep chromosome:OR_W1943:10:8648162:8697835:1 gene:ORUFI10G06820 transcript:ORUFI10G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQLEQDQEIAGLRRKKPVKPKPKDCGRFVRFYQSLETGREENSSGEATTSRNSEINQHIEYDLVFARQLQAMDNLTIETPADEDDDISCVPSPSDSETDEPAEGNNEEENHEILLRCIKENLGFKDGKPVTACIIYSCLLHWRAFESERTAIFDHVIEAINNVLKITVLAEKCLPRDTDEDLGGGHVDDMTKLTYLNEPGVLYNLKRRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPHVFAVADASYRAMVNDSRSQSILVSGESGAGKTETTKFIMQYLTYVGGRAAIDDRTVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEMQFDANGRISGAAIRTYLLERSRVVQINDPERNFHCFYQLCASGKDAELYKLGHPGSFHYLNKSKTYELEGTNNEDEYWKTKRAMDIVGISRNDQDAIFRILAAILHLGNIEFSPGKEIDSSKIKDPTSNFHLQMAAKLFMCDPDLLVSTLCTRAINTLEGAIIKALDCSAAAANRDALAKTVYARLFDWLVENINKSIGQDVDSKVQIGILDIYGFESFKNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKSEKIDWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFATKMFRNFSSHHRLEKTKFSETDFTISHYAGKVTYQTESFLEKNRDYIVAEHCNLLSSSRCPLVSGLFGTLPEESLRSSYKFSSVASRFKQQLQALMETLNSTEPHYVRCVKPNSVNQPQIFENQSVLHQLRCGGVLEAVRISLAGYPTRRTYAEFVDRFGVLVPELMLGSYDERALTKGILEKMKLDNFQLGSTKVFLRAGQIAILDMRRAEVLENAARHIQGRFRTFITRKEFVKTREASISIQAYCRGCLARKKYMVKRETAAAIIVQKYVRRWRLHRTYQQSHSAALLIQSCIRGFIARHYFSVIKEQKAALVIQSLWRKRKVIILFQQYRQATVAIQCAWRQKVARRELRRLKMAAGEEAKSVEILKRDKLIESLSAKCAAAKSAAQSEHDKNLLLQRQLDDSLREITMLRSSKIMTAEAERENSNLKNLVESLSKNNSSLEYELTSARKGSDATMKKLKDVEGKCNHLQQNLDKLQEKLTNMENENHVLRQKALNMSPLNNMPMTTKAFPQKFATPIGLPNGEQKHGYETPPPAKYLASLPQSLTRSRRTRMPVERQEENHEILLRCIKENLGFKDGKPVTACIIYSCLLHWRAFESERTAIFDHVIEAINNVLKTLRSPSKLMGRSDNLGQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLSVCIQAPKSSRAQPGKATKSPGIGAQPPSNSHWDNIVKFLDLLMDTLHENYVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKAGLSLLEKWITDATDEFAGTSMHELNYIRQAVGFLVIHQKRKKKLEEIRNELCPNLSVRQIYRICSMYWDDKYNTQGISNEVVSAMREEVNKDTQNLVSNSFLLDDDLCIPFSTEDLSIAIPAIDYVDIELPESLHHYASVQLLLKHHDPQPV >ORUFI10G06820.2 pep chromosome:OR_W1943:10:8643605:8697835:1 gene:ORUFI10G06820 transcript:ORUFI10G06820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSDNLGQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLSVCIQAPKSSRAQPGKATKSPGIGAQPPSNSHWDNIVKFLDLLMDTLHENYVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKAGLSLLEKWITDATDEFAGTSMHELNYIRQAVGFLVIHQKRKKKLEEIRNELCPNLSVRQIYRICSMYWDDKYNTQGISNEVVSAMREEVNKDTQNLVSNSFLLDDDLCIPFSTEDLSIAIPAIDYVDIELPESLHHYASVQLLLKHHDPQPV >ORUFI10G06820.3 pep chromosome:OR_W1943:10:8643605:8647656:1 gene:ORUFI10G06820 transcript:ORUFI10G06820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRGGVDWPRWRLGGAAQRRQQRQPQLGAVAALARNVKAVALVRRSSSAAEATTNFVARARGEVPRDSI >ORUFI10G06830.1 pep chromosome:OR_W1943:10:8676524:8677783:-1 gene:ORUFI10G06830 transcript:ORUFI10G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAYCATWIGLWFSSLSTSSSSSSSSATSASGSNAKRRSRKEPNELIKKPPLPGPGSDQGKASMCGLYNSSRGRGSATQFQSSVFSMEEILHTTNNFSPALKIGQGDFGAVYRGVLPDGIFVVVKCAKLRAPGAFSPCFTTASKATLPLALTVSARIFEPLFSSRRPMPSGHCATWISGKALPSPKSSGRRGTNPPRFPYDGTGLEGPEGFAAAAASDCADSPPYRSGPHLRAAAARSRRPPLASAARHRPKGRRGEKERGEREEEGRRTDTWAPYFFLCE >ORUFI10G06840.1 pep chromosome:OR_W1943:10:8701395:8711443:-1 gene:ORUFI10G06840 transcript:ORUFI10G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGEWVWVRRPAEAEAVAAAAGWPTAEEEARPLEVVFASPSRYFTDAAPIGNGSLGALVWGGVASEKLQLNHDTLWTGGPGNYTNPKAPAVLSKVRDLVNRGQYAKATAVAYGLSGDQTQVYQPLGDIDLAFDEHVEDTNYKRNLDLRTATVNVSYTIGEVVHSREHFSSNPHQVIVTKISADKPGNVSFTVSLTTPLNHQIRVTNANEIIMEGYCPGERPTEYGNASDHPVGIKFSAILYLQMSGSNGTVEILNDKMLKLVGADSAVLLLAAATSFEGPFVNPSESKLDPTASALTTLTVARNMSYSQLKAYHVDDYQNLFQRVSLQLSRDSNDALGGNGLVNLPENSLQETSVSDYAVQMVECSRFQGFNNSGKPTVDRILSFRDDEDPSLVELLFQFGRYLLISCSRPGTQISNLQGIWNDETSPPWDAAPHPNINLQMNYWPALPCNLSECQEPLFDFIGSLSVNGAKTAKVNYEASGWVSHQVTDLWAKTSPDAGDPMWALWPMGGPWLATHLWDHYSYTMDKQFLEKTAYPLLEGSASFLLDWLIEGNGDYLETNPSTSPEHYFIAPDGRKACVSYSTTMDMSIIREVFSAVLMSSDILGKSDSDMVQRIKKAIPRLPPIKVARDGTIMEWAQDFQDPEVHHRHVSHLFGLYPGHTMSLEKTPDLCKAVANSLYKRGDEGPGWSTSWKMALWAHLHNSEHAYKMILQLITLVDPKHEVEKEGGLYCNLFTAHPPFQIDANFGFPAALSEMLVQSTGSDLYLLPALPRDKWPQGCVKGLKARGGVTINIRWEEGSLHEALLWSSSSQNSRIKLHYGDQVGTISVSPCQVYRFSKDLKCLKTWAL >ORUFI10G06860.1 pep chromosome:OR_W1943:10:8726724:8727228:-1 gene:ORUFI10G06860 transcript:ORUFI10G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTGTLLFLMLIVLLGNSSIHAEEHCNSYDFAKLVCVKPLCYLNCKIFFRKHLRSYSCEGTWPQRKCVCYACDDN >ORUFI10G06870.1 pep chromosome:OR_W1943:10:8737442:8740255:-1 gene:ORUFI10G06870 transcript:ORUFI10G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDRDKLTIPSSQIESCIFNSARLVTCFPPFCKVACLADAKAHHAKYKDGWCDGFVNGICVCRLCFDS >ORUFI10G06880.1 pep chromosome:OR_W1943:10:8740598:8744526:-1 gene:ORUFI10G06880 transcript:ORUFI10G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARAATFFFLLLVVFQGNPCSADDTCIYTSAHLVTCVTPVCKFACVVDARAHHAKYRNGWCKGFFNGNGCESCNHLVASPTYLSSKSIFCRGVLHYHWCKDVNVYATDMLLRQCIMHSSKIPGVKDFLEAFADVNFAITVE >ORUFI10G06890.1 pep chromosome:OR_W1943:10:8754667:8755408:1 gene:ORUFI10G06890 transcript:ORUFI10G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRFPPCLFPLPISSPLRSLLSPLILSHPGTDVHLLKVAHDGIGQRRMRKEEAATHGGGCVDLLHCAMHEEGGGSGWDKASKQNFYGCWHMRHRRLRFVLRRSNWSSNI >ORUFI10G06900.1 pep chromosome:OR_W1943:10:8759582:8760089:-1 gene:ORUFI10G06900 transcript:ORUFI10G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRHVILGLLIALVFVGNASFVIGECWETTSSSPICVGFMCKATCWIGAKATNGKVVEATCTGSVIKSECYCRYCDKN >ORUFI10G06910.1 pep chromosome:OR_W1943:10:8760388:8761755:-1 gene:ORUFI10G06910 transcript:ORUFI10G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRHATLCFLLALVLIGNASFAAGECWETTSYSPICLGLLCKVTCWIGAKAINAKVMEATCKGSVVKWRLF >ORUFI10G06920.1 pep chromosome:OR_W1943:10:8766339:8767345:-1 gene:ORUFI10G06920 transcript:ORUFI10G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCRGRGNSEAPTTIRIKAWGDRSSTSTLGLVFAGLYNRQSDVRDSKPRTGERGRRGAGSSVVGRRRSRCRVVFPSTAHPWKAVAGREGEGGCWNGDNKSSLRPAMVGGGDGWWRWLKERGKGKNGFNSPRQGRWREGLGCGATEREIGWGRQWPDMAKRERARGARLVWRKGEGLLAIYRSRRGGFRRRL >ORUFI10G06930.1 pep chromosome:OR_W1943:10:8774365:8774805:-1 gene:ORUFI10G06930 transcript:ORUFI10G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSLNLCFLLVLVLLMSPAPTAVAFSPEDCLDDVGWILICTKPTCKFSCWTSRSVNKGRKMQDYWCSDSNTCHCVFCTGD >ORUFI10G06940.1 pep chromosome:OR_W1943:10:8796227:8801203:-1 gene:ORUFI10G06940 transcript:ORUFI10G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMCSLGACLAVMLVVLAAAVAGVGCSIVSYDGRSLILDGERRIVISGSIHYPRSTPEMWPDLIKKAKEGGLNAIETYVFWNGHEPRRREFNFEGNYDVVRFFKEIQNAGMYAILRIGPYICGEWNYGGLPVWLRDIPGIKFRLHNKPFENEMEAFTTLIVKKMKDANMFAGQGGPIILAQIENEYGYTMLQPENIQSAHEYIHWCADMANKQNVGVPWIMCQQDNDVPPNVVNTCNGFYCHEWFSNRTSIPKMWTENWTGWYRDWDQPEFRRPTEDIAFAVAMFFQMRGSLQNYYMYHGGTNFGRTAGGPYITTSYDYDAPLDEYGNLRQPKYGHLKELHSVLMSMEKILLHGDYIDTNYGDNVTVTKYTLNATTSACFINNRFDDRDVNVTLDGTTHFLPAWSVSILPNCKTVAFNSAKIKTQTTVMVNKTSMVEQQTEHFKWSWMPENLRPFMTDEKGNFRKNELLEQIVTTTDQSDYLWYRTSLEHKGEGSYVLYVNTTGHELYAFVNGKLVGQQYSPNENFTFQLKSPVKLHDGKNYISLLSGTVGLRNYGGSFELLPAGIVGGPVKLIDSSGSAIDLSNNSWSYKAGLAGEYRKIYLDKPGNKWRRHNSTIPINRPFTWYKTTFQAPAGEDSVVVDLHGLNKGVAWVNGNSLGRYWPSYVAADMPGCHHCDYRGVFKAEVEAQKCLTGCGEPSQQLYHVPRSFLNKGEPNTLILFEEAGGDPSEVAVRTVVEGSVCASAEVGDTVTLSCGAHGRTISSVDVASLGVARGRCGSYDGGCESKVAYDAFAAACVGKESCTVLVTDAFANAGCVSGVLTVQATC >ORUFI10G06950.1 pep chromosome:OR_W1943:10:8822854:8829725:1 gene:ORUFI10G06950 transcript:ORUFI10G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTIRKRSIDAVGAASTAAPTSDDGATGEERGKSGGRDLDGTMGVVSVSFLVWSREAKVSSSRCALSRAGKHPSLWLLCWERVELACVRSVTCHQRPHFRRDDSTSAPALVNDSPPLTSL >ORUFI10G06950.2 pep chromosome:OR_W1943:10:8822854:8829880:1 gene:ORUFI10G06950 transcript:ORUFI10G06950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTIRKRSIDAVGAASTAAPTSDDGATGEERGKSGGRDLDGTMGVVSVSFLVWSREAKVSSSRCALSRAGKHPSLWLLCWERVELACVRSVTCHQRPHFRRDDSTSAPALVNDSPPLTSL >ORUFI10G06950.3 pep chromosome:OR_W1943:10:8822854:8829880:1 gene:ORUFI10G06950 transcript:ORUFI10G06950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTIRKRSIDAVGAASTAAPTSDDGATGEERGKSGGRDLDGTMGVVSVSFLVWSREAKVSSSRCALSRAGKHPSLWLLCWERVELACVRSVTCHQRPHFRRDDSTSAPALVNDSPPLTSL >ORUFI10G06950.4 pep chromosome:OR_W1943:10:8822854:8827546:1 gene:ORUFI10G06950 transcript:ORUFI10G06950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTIRKRSIDAVGAASTAAPTSDDGATGEERGKSGGRDLDGTMGVVSVSFLVWSREAKVSSSRCALSRAGKHPSLWLLCWERVELACVRSVTCHQRPHFRRDDSTSAPALVNDSPPLTSL >ORUFI10G06960.1 pep chromosome:OR_W1943:10:8833469:8839665:1 gene:ORUFI10G06960 transcript:ORUFI10G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARGNKKLQERVPIRRTAWRLADLAILFLLLALLLHRVLHDSGAPWRRAALACEAWFTFMWLLNVNAKWSPVRFDTFPENLAERIDELPAVDMFVTTADPVLEPPLVTVNTVLSLLALDYPAAGEKLACYVSDDGCSPLTCYALREAARFARTWVPFCRRHGVAVRAPFRYFSSTPEFGPADGKFLEDWTFMKSEYEKLVHRIEDADEPSLLRHGGGEFAEFLDVERGNHPTIIKVLWDNNRSRTGDGFPRLIYVSREKSPNLHHHYKAGAMNALTRVSALMTNAPFMLNLDCDMFVNNPRVVLHATCLLLGFDDEISCAFVQTPQKFYGALKDDPFGNQLEVSLMKVGRGIAGLQGIFYCGTGCFHRRKVIYGMRTGREGTTGYSSNKELHSKFGSSNNLKESARDVIYGNLSTEPIVDISSCVDVAKEVAACNYEIGTCWGQEVGWVYGSLTEDVLTGQRIHAAGWRSTLMEIEPPAFMGCAPNGGPACLTQLKRWASGFLEILISRNNPILTTTFKSLQFRQCLAYLHSYVWPVRAPFELCYALLGPYCLLSNQSFLPKTSEDGFYIALALFIAYNTYMFMEFIECGQSARACWNNHRMQRITSASAWLLAFLTVILKTLGFSETVFEVTRKDKSTSDGDSNTDEPEPGRFTFDESTVFIPVTALAMLSVIAIAVGAWRVVLVTTEGLPGGPGISEFISCGWLVLCFMPLLRGLVGSGRYGIPWSIKMKACLLVAIFLLFCKRN >ORUFI10G06970.1 pep chromosome:OR_W1943:10:8846344:8848746:-1 gene:ORUFI10G06970 transcript:ORUFI10G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLCFLLFSLYPPRISAATDTVSPGHALTGSDRLVSNNSKFVLGFFKTESKNSSYASHNSYLCIWYSKLPMITPLWSANGENPVVDPASPELAISSDGNMVILDQVTKNIIWSTHVNTRTNHTIVVLLNNGNLVLQSSSNSSKVFWQSFDYPTDSLFAGAKIFRNKVTGQKNRLVSRKNSIDQAAGLYSVEFDINGTGHLLWNSTVVYWSTGDWNGHFFGLAPEMIGATIPNFTYVNNDREVYLSYTLTKEKITHAGIDVNGRGLAGIWLDSLQNWLINYRMPILHCDVYAICGPFSVCNDSNNPFCDCLKGFSIRSPKNWDLEDRSGGCMRNTPLNCGSTMNKKGFTDKFYCVQNIILPHNAMSVQTAGSKDQCSEVCLSNCSCTAYSYGKGGCSVWHDALYNVRQQSDGSADGNGETLYIRVAANEVQSVERKKKSGTVIGVTIAASMSALCLMIFVLVFWMRKQKWFSRGVENAQEGIGIRAFRYTDLQCATKNFSEKLGGGSFGSVFKGYLNDSIIIAVKRLDGACQGVKQFRAEVNSIGIIQHINLVKLIGFCCEDGKRLLVYEYMTNRSLDVHLFKDNDKVLEWNIRYQIAIGVAKGLAYLHDSCRDCIIHCDIKPENILLDASFVPKIADFGMAKVLGREFSHALTTVRGTIGYLAPEWISGTVVTSKVDVYSYGMVLFEIISGRRNSNQEYCRGHSAYFPMQVARQLINGGIENLVDAKLHGDVNLEEVERVCKVACWCIQDSEFDRPTMGEVVQFLEGLLELKMPPLPRLLNAITGGSHSTSPLPIDLQ >ORUFI10G06990.1 pep chromosome:OR_W1943:10:8862870:8868670:1 gene:ORUFI10G06990 transcript:ORUFI10G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPSRYLGPDPRGEERLDKPKGCPHVSPYYNAADPIIINGKGIDPFELSEGNQFHDVHRFGQKHDHAMRYNDANFKSSNGF >ORUFI10G07000.1 pep chromosome:OR_W1943:10:8865023:8867443:-1 gene:ORUFI10G07000 transcript:ORUFI10G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLGFLLLSLHPPTSYATTDTVSPGQTLAGGDRLISNNSKFALGFFKMDSKNSSYTSRNSYLCIWYNKLPMITPLWSANGENPVVDPASPELTISGDGNMVIMDQATKSIIWSTRVNTTTNGTVVVLLNDGNLVLQSSSNSSMVFWQSFDYPTDSLFADAKIGWNKVTGLNRRLVSRKNSIDQAAGLYSLEFDINGVGHLVWNSTVTYWSSGDWNGQFFGSAPEMFGATIPNFTFVNNDREVYLTYTLNNEKAITHAAIDVNGRGLAGVWLDSLQDWLINYRMPLLHCDVYAICGPFTVCNDNNDPFCDCMKGFSIRSPKDWEIEDRTGGCMRNTPLNCGSTMNKTGFSDKFYYVQNIILPRNAMHVQEAASKDECSDVCLSNCSCTAYSYGKGGCSVWHDELYNVRQQSDASAVGNGDNFYIRLAANEVHEVQSAERKKKSGVIIGVAIGASTAAFCLMILLLMFWRRKGKLFARGAENDQGSIGITAFRYIDLQRATKNFSEKLGGGSFGSVFKGYLNESTPIAAKRLDGTCQGEKQFRAEVDSIGMIQHINLVKLIGLCCEGDKKLLVYEYMPNGSLDVQLFKDNDKVLDWNLRYQIAIGVARGLAYLHDSCRDCIIHCDIKPENILLDESFVPKIADFGMAKILGREFSHALTTMRGTIGYLAPEWISGTVVTAKVDVYSYGMVLFEILSGRRNSSQEYFKDGDHSAYFPMQVARQLINGGIGNLVDAKLHGDVNLEEAERVCKIACWCIQDSEFDRPTMGEVVQFLEGVLELKMPPLPRLLNAITGGSHSTPLSSLDLP >ORUFI10G07010.1 pep chromosome:OR_W1943:10:8896613:8903686:1 gene:ORUFI10G07010 transcript:ORUFI10G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDRWEKDPFFPAAEEVQESADRMESVYRRWLQERKEVGGGAVETAAERGGGGWGRAAGDLRRELHTALGTAKWQMDYALICLDFRYGLDTNSLDELQRAIKSNYSVVLAGKDTRARHDDFVSAIGHRILEVEKFLKESNTTEGRGPLSWVRLDEGEREELAHFLSAGTYQKRDEVVTITSAGDIEVGSNARRVKKGVSIDSSNDSSGSAESGLVSTKEETAPGHRRTASAYADIGSWTITIPDEANGIDEQSFDDLPKVPLVKSPSSSVLMNAFQSKTRMKTKNGAKKLAGADQQDVVETLPLTNSRSCQGFDGLFQRSKSCLSTSDDEDNCNKKLYGCLGAFRRLLQRSQYQVQYGRPIQLLILAIVVLLVLIYAMKAIL >ORUFI10G07020.1 pep chromosome:OR_W1943:10:8901001:8903274:-1 gene:ORUFI10G07020 transcript:ORUFI10G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRPVVHPVEAPPPAALAVAAAAVAVEAGVGAGGGAAAHGGENAQPRGVRMKDPPGAPGTPGGLGLRLVQAFFAAAALAVMASTDDFPSVSAFCYLVAAAILQCLWSLSLAVVDIYALLVKRSLRNPQAVCIFTIGDGITGTLTLGAACASAGITVLIGNDLNICANNHCASFETATAMAFISWFALAPSCVLNFWSMASR >ORUFI10G07030.1 pep chromosome:OR_W1943:10:8906748:8908878:-1 gene:ORUFI10G07030 transcript:ORUFI10G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFVTTADPDGIAALDDDALLPAMDVFVTTADPDKEPPLATANTVLSIYPRRGLPRRQGAEVTRNAVVEAARFPALWVSFCRKHGVEPRNPEAYFNAGEGGGGKAKVVARGSYRGMAWPELVRDRRRVRREYEEMRLRIDALQAADARRRRCGAADDHAGVVQVLIDSAGSAPQLGVADGSKLIDLASVDVRLPALVYVCREKRRGRAHHRKAGAMNALLRASAVLSNAPFILNLDCDHYVNNSQALRAGICFMIERRGGGAEDAGDVAFVQFPQRFDGVDPGDRYANHNRVFFDCTELGLDGLQGPIYVGTGCLFRRVALYGVDPPRWRSPGGGVAADPAKFGESAQFLASVRAEQSHSRDDGDAIAEASALVSCAYEDGTAWGRDVGWVYGTVTEDVATGFCMHRRGWRSAYYAAAPDAFRGTVPINLADRLHQVLRWAAGSLEIFFSRNNALLAGDRRRLHPLQRAAYLNTTVYPFTSLFLIAYCLFPAIPLIAGGGGWNAAPTPTYVAFLAALMVTLAAVAVLETRWSGIALGEWWRNEQFWMVSATSAYLAAVAQVALKVATGKEISFKLTSKHLASSATPVAGKDRQYAELYTVRWTALMAPTAAALAVNVASMAAAGGGGRWWWWDAPSAAAAAVAALPVAFNVWVVVHLYPFALGLMGRRSKAVRPILFLFAVVAYLAVRFLCLLLQFHTA >ORUFI10G07040.1 pep chromosome:OR_W1943:10:8923953:8925451:1 gene:ORUFI10G07040 transcript:ORUFI10G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTAAKKLPPGFRFRPTDEELVVHYLRRRALGSPLPPAVDIPDVRLLAHDPSDLLPPGWSEQERYFFTCKEAKYVKGRRANRATGAGYWKATGKEKPVAVSVAAAPRSQAAAVVVGMKRSLVFYRGKPPTGKKTDWVMHEYRLAGAGLAPCRRAATADHPARPAEGWVLCRVFRKKGSAAASTASPTADADDDDATTERADDAAAGVRFIDFFARADARRRRAASPVSSSCVTDASAEHCREQETTSRNGGAAAGDASD >ORUFI10G07050.1 pep chromosome:OR_W1943:10:8940591:8947329:-1 gene:ORUFI10G07050 transcript:ORUFI10G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGMFGGAGAFWATRALEVVKRNDSPGLLWKRIKLTTTRKNNAKKRLKRLWQNEAVIRACGEAESSSSSTSNTASASGKQQ >ORUFI10G07060.1 pep chromosome:OR_W1943:10:8949871:8958852:-1 gene:ORUFI10G07060 transcript:ORUFI10G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAALTAAAAREHVERIRRERFYIGREERNPLAEDIHQAVTYLSQELYSKDLHFLMELIQNAEDNEYPSDVEPAPEFVIIKKDITATGAASTLLVFNNERGFSAANVESICRIGKSTKKGNRHLGYIGEKGIGFKSVFLVSSQPHIFSNGYQIKFNEEPSEDCDIGYIVPKWVDEKPSIDDIHAVYGYSKRLPTTTIILPLKSDKILPVKNELSSTHPEILLFLSKIRQLSVREINDDPKASKLSQISISSEVDYKTQKDINAESYTLHLAMQENKRGDKEECTYYMWKQKFVVKPECRDKKRMEVDKWVITLAFPHGQRLSRGARSPGVYAFLPTEMVTNLPFIIQADFLLASSHESILLDSQWNRGILDCIPYAFVSAFEALLKSSSNAPSFALPPIFRFLPVATSSIPVFDSVRLSIKNNIATKDIMPCESFDTQKVFCKPSEVARLDGAFWRILIMAKKRGINLQNLCSHGTSVLNSYLDSKEYNDVLGFLGVGYVNHEWYGKFIDGSSLVTQAPEDIYLEILSFIADNWWLKFSNTSMSDVPLIKYVTGADCPSYCSVSGANMHHKRICIASNVNDLPWIIGWSKELSTTSNMFFLPLNTQKSLNTFCRRTQIIEWLQKIAKLEIRNLYEYAFAASKTIYKASSAIAYCHFLYHSHANKYITEGSIINLSHAMPLVDKCGSVIKQKNALLVPAEGSNWFTLIGTNPWRSQKYIDLSADYMSSGTYAGNYTPEGQLITFLRTYAQAMDVPFMHPPNASFPSVSSPLARENALLLLQWIKNIRSSNISLPHNFLSCIRNGKWLKTSVGYKSPSESFLSSVGWGSKLQIQFIFSGVPIVDEEFYGSKLRGYVEELRAIGVQFEFANANLHIADQPLSMENVILLLQWIKDLRSIGVQLPHNIRSYIRNGSWLKTSIGYSSLSRSFMLPAHSGNLGQIISVFSDVAIIDQEFYENKISAYKDELHGIGVQFEFADASVHIVNYLMSKSSNGALSRVNMFAMLQFIRNLTENNLTSVNFIEKIKKGCQFKTCLGNRLPVNSILFNSEWENASVISSLPFIDTLFYGEDILEYKPELELLGVHVAFKQNYQLLVDNFSLIRDQITPDVTILMLKCLRYAEIPQHFAKKLKELKWLKTCLGFRAPPGTFLVNDDWKCLLNIVDDVPLLDLKFYGDEIRVYAGELRKVSVIVGFIEASKAIACRVTKLLCSSLFTEERGVAMLECYRELSTKHGKLPVDLANCMKYERWLHTSLGFRAPQEAIIFGSEWEHVSKISNLPFIDDYYYSEYGQGKGISIYRDELMALGAKAELKHGAPFVISGLKIPHDASAITPEAVISLLKCIRSWKMLGSALPDNFMSSINLRWVKTTAGYRHPKNCLLFGPACSSLHRDDGPFVDEVFYGQEILSYESELHTLGVIVDARAGCALMAQCLKSCSNGDAISRIYSYLEALRWKPRNANDNWIWVPQGSDNGQWVSPDRCVLYDRNSLFGSQLHVLVTWYDYKLLRFFKTVFGVKGHPTIGDYCRLWIMWQNSKSTPTPKDCAAFFEFVDKNWNTEIGKYLAGSITKVPVCSEDRILLLPKQDVFIPDDLLLEDLFRMQAEQPLFVWYPPASLSLLSPAKLNEIYSTVGVQKISKVVTRDESEDLKLDHSLTMVQKGTVIKPGLLRIILAFLADPALDFPAEKRHEMVSCLTNVVVYETAMPLTASYQVGLSSGRSLNVKSARIFRWEREESRIFMTRNYGSVSLENAERVQCAAYFAEEISKGLLFERTDQVPALAELIMAGFLLDFDVPAVRFLLKFKNVRLLEDDEQFCSYLA >ORUFI10G07070.1 pep chromosome:OR_W1943:10:8960177:8968144:1 gene:ORUFI10G07070 transcript:ORUFI10G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHWQGEVRTILAGIAEEEDEREEGEREEDVCHRDTMLLHFKMSKHNLGLSIHVIYIMFAAHQILGGGFHLTNQDVNMTMFGKSLQSKVGDATKSYMAMHQTYEGTYFGFVATLDVYGFEINYSQRIISSVWIVNRGPNDNLEENAIRIGWQVFPELYGDSHTHFFTYWTRDSYRTTGCYNMRCPGFQLTLGSKITPGDVISPVSDVDGARQKITIKEKSTGDWWIYYGFNSAPTVVGYFPANLFTNLSEKATSILFGGSVLAVDGASTPPMGSGLLPSILSDKAASIEDILLVDEDGKIAPFDVKTIKDETSDLCYAMTPIFGESTSRCLYGGPGGCVVG >ORUFI10G07080.1 pep chromosome:OR_W1943:10:8971161:8971906:1 gene:ORUFI10G07080 transcript:ORUFI10G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIARGRPPVFKAPSANEAERERVDVVSIMGTAPLSLAALGPPPLGLHEAPQARPRTAEVDCSFAMAQHARVAQVQGRPNRWPEE >ORUFI10G07090.1 pep chromosome:OR_W1943:10:8972814:8974443:-1 gene:ORUFI10G07090 transcript:ORUFI10G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKDSTTTPAPAPAPAPAPAAEPQTTGNAPPPPPQQQQQPPEAAKWGTRQMGPPAAPGAHPENQQAARWTAARGDQELPPYVIMGEAAAAPPRGRPERGDSPMEHILDFFNTWSRKAEELASNIWFNLKTAPSMSDAAMGKLSLGAKALSEGGFDKLYKQTFAGAGADERLRKTFACYLSTATGPVAGTLYLTDRSVAFCSDRPLSFAAPSGQTAWSYYKVMIPVAKVAAAEPVTMKESPPEKYVHVVTVDSHDFWFMGFVSYEKAVHHLVQAVSSQQRGGAAADTAAAVPGDGK >ORUFI10G07100.1 pep chromosome:OR_W1943:10:8976833:8977487:-1 gene:ORUFI10G07100 transcript:ORUFI10G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGRVGGGAVAVAHARRKTQPTEAWRWGVGSGRPAENCLGPRVWIWTTLIGVVEIGPLGCLLASIGLMGYWAILFVRFVGLFGRGEEWGAGAPVAGRWRRFFLHTLLVKTTMRKRSARRRGP >ORUFI10G07110.1 pep chromosome:OR_W1943:10:8983559:8989341:1 gene:ORUFI10G07110 transcript:ORUFI10G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARGPDLTPRSVVASFCDLIISSKLPYVYYLYSGSLASRLQENPFHDHHYIDRDERIAGRRAVHGGGGGGATNCTTRCGDISFEYPFGVEPGCYHPGFNLTCSSNDTRLFMGDGTVQVLDISIPNSTVRVNATAMAFDPADDVQRGVINATTTWRAAAAADDDGGPFVVSMRNTIALMGCNARVDLRGGDRRHSDNLVISFTAVCPPVGGGDDAAAHDDGDGGDGHTIAIIDAWNGKCSGVGCCQANIMLGYPSYTIQIKQLQEKNLHSFDFQYIAYITDETLNFTEEIAGRIATPAALPATLDFVIRSNSSCSTPANSTAGGECRSEHSFCEDYKGGGNTLLGYSCVCSEGYRGNPYVADIDECHSPGYCYGDCKNTEGGYLCQCPLGLTGNASIPNGCKDIDECMHPESYSCYGQCVNTFGSFQCHCHSGTEGDPTIRGGCIKIKHSVSIQKIKLHKVKRMKEIFFKQNHGLLLQQLMSQNANIGERMILTLRELEKATDNFDKTREVGGGGHGILLGCCLETEVPLLVYEFISNGSLDDHLHVDGPISLSWVDRIRIALEVSRALVYLHSAATTPIFHRDIKASNVLLDDNLTAKISDFGASRYILIDQTGVTTVVQGTIGYLDPMYYYTGHLTDKSDVFSFGVLLIELLTRKKPIYRTDRGDSLVPHFASLLEEGKLVEIIDPQVKEEENGEVEEVATLAAMCTKLNGQDRPTMREVEIRLENLRAKTKHAPYNKEPSRYEVSRVAGHCISARGDIEEVLISRQYSMEDEMLSLESEDIDDAGYEVAIYGKTVKGKQGSATMDHAGRGLAPMDRIR >ORUFI10G07120.1 pep chromosome:OR_W1943:10:8991031:8991413:-1 gene:ORUFI10G07120 transcript:ORUFI10G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSPLQAELTHCSTIGLHMIHLTDYSTIASTFSKGDFQEYPRHWSLRPILSEMQCAVQGNHLQVNWIPRKINRKADRRMMLGTN >ORUFI10G07130.1 pep chromosome:OR_W1943:10:8994278:8994710:1 gene:ORUFI10G07130 transcript:ORUFI10G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLLERLRLKSGPPPSPPPGCWIGGGARWWQAEGTRVRRTAPPRRLGLHIARSRRTASRRTASSSPSPGRDAHGRPQRRLLLYHFE >ORUFI10G07140.1 pep chromosome:OR_W1943:10:8998189:9000208:-1 gene:ORUFI10G07140 transcript:ORUFI10G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLMTILISMMEKAMKTLMTIKQVRCLLDVELRGLATVCIPTLTVDNNTWRFLRNLMALEQQSPRLGVHVTAYCLFMSQLAGTARDVDLLARKKIIVHFMGCDEDVAEGFADLCNGVSINLKDADRNYLQGTWEKMERRYNSQAINWMMLLRSKHLSNPLVAIALLAAIVAFVCEVVQAVFAIKGYRA >ORUFI10G07150.1 pep chromosome:OR_W1943:10:9008161:9009096:-1 gene:ORUFI10G07150 transcript:ORUFI10G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGPGMGSVGNGAAVPTEYHISIVKSNIRDVDPWQYKPYAVMVGPYHHDAKQRMEKVKLSKLLDALPSDEQKRLSVLEDYLIAISGLLRKVRPYYGDGARSWDDKTLSRILLVDGFYILHVFGVGSFGGGDGLGAEDSIEHIRDVFYLLENQIPFFVLVKIYDLIFPQTDGVSSSATTVTVVLQGLKNSVQGLKNSVRLLLKRLGYLLLEETDGVPPLGDSPWHLLHMLYTHFKPTAMSDVTPATVSVEKISRTPPNLCWQWQWHLLSRLGIRVTPAATPADPVAGGDDTPHPVYRWRGAMQYITTRTPL >ORUFI10G07160.1 pep chromosome:OR_W1943:10:9011911:9018021:-1 gene:ORUFI10G07160 transcript:ORUFI10G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLTIFVRIAIFVLFFKWRITYAARAISSTDAGGIGMSKAATFWTASIAGELWFAFMWVLDQLPKTMPVRRAVDVTALDDDTLLPAMDVFVTTADPDKEPPLATANTVLSILAAGYPAGKVTCYVSDDAGAEVTRGAVVEAARFAALWRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAADKMDAAEDDYLLVV >ORUFI10G07170.1 pep chromosome:OR_W1943:10:9022495:9022677:-1 gene:ORUFI10G07170 transcript:ORUFI10G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAGLATESLPAATCPAKKDAYAAAASPESETKLAAGDERAPLVRTTRISTTTIKLYR >ORUFI10G07180.1 pep chromosome:OR_W1943:10:9026680:9027475:-1 gene:ORUFI10G07180 transcript:ORUFI10G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRRRRIVQSNEEYLSEEQTEEENTLPQLATPSEQETVCDDFGEGNEDTNDDFDINDGEGNEDTNDDVDIDDEGNEDTDVDINVRVENGAPETCGKTKLKDVWNLPKGLRIVVQCNDLNQAVGEEAGILGKFLGMIARNGSLCSLGYTDWRYVIGKREKNTNELKVKKDILKQVKMRFLYAPRMEEFILKKIVER >ORUFI10G07190.1 pep chromosome:OR_W1943:10:9035666:9036025:-1 gene:ORUFI10G07190 transcript:ORUFI10G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQPCVPPTNEVRSYATSFHGTGKAEYCCFTFIRHDVLASQWSSTDDEFAIHCDVAVVEEAAAAATMSTELGPDDLHGLMMICKCSVDNDDEPCKSGTRQNLKEAFRKQFLGCFGPK >ORUFI10G07200.1 pep chromosome:OR_W1943:10:9047407:9049386:-1 gene:ORUFI10G07200 transcript:ORUFI10G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRYLQELGALLPRARGVTLPQVFVGGCHLGGAEEVRRLHESGELRRVVAGAGATALAACSRCGGERYVLCGSCNGSHKRYSLKEATTSTIGREDIRVSEDCNIIGSWQRLPAMLFV >ORUFI10G07200.2 pep chromosome:OR_W1943:10:9047149:9049386:-1 gene:ORUFI10G07200 transcript:ORUFI10G07200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRYLQELGALLPRARGVTLPQVFVGGCHLGGAEEVRRLHESGELRRVVAGAGATALAACSRCGGERYVLCGSCNGSHKRYSLKEATTSTIGREDIRVSEDCNIIGKEILIGCNGGHITITSDNDVAGTI >ORUFI10G07210.1 pep chromosome:OR_W1943:10:9065799:9066144:1 gene:ORUFI10G07210 transcript:ORUFI10G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRLSMSVWPVAPRSAAEGGLGGARADVECSATPLGWACSRSSRSRTPQGLSATTDAITREAADDVGDNVGYGIQGPKVEVAVEDGG >ORUFI10G07220.1 pep chromosome:OR_W1943:10:9066918:9087985:-1 gene:ORUFI10G07220 transcript:ORUFI10G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPTVEEPLLAVRRGGDGEDGAMASTAAEVKRLLRLAGPLMAGFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLASVTGFSLLSGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVAHVAVCWALVHKAGMGSKGAALSGAVTYWTNFAVLAFYARLSGACKTTWTGFSMNAFRELRRFTELAVPSAMMVCLEWSSFEILVLLSGILPNPQLETAVLSISLSTASLLIMVPRGIGSSLSTRVSNELGGGHPRAARMAVRVATAMTVLVCLVLVIAMILLRNVWGYAYSSEEEVVAYIASMLPILAVSFFVDGINGALSGVLTGCGKQKIGAHVNLGAFYLVGIPTAVLLAFVLHLNGEGLWLGIVCGSISKVGMLLFITLHTDWGKEVQKASPCKHFGYHGERKGLQFKYSNSMKNSRNVGVVFCNEDVLNAHQPKKRLTVGDSIDMDEPTVEEPLLAVRRGGDGEDEAMASTAAAAAAEVKRLLRLAGPLMASFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLANVTGFSFLFGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVGHVAVCWALVHKAGMGSKGAALSGAVTYWTNLAVLALYVRLSGACETTWTGFSIDAFRELRRFTELAVPSAMMVCLEWWSFEILVLLSGILPNPQLETSVLSICLSTSSLLFMVPRGIGSSLSTRVSNELGGGHPRAARMAARVAIAMTVLVCLVLVIAMIFLRNVWGNAYSSEEEVVAYIASMLPVLAVSFFIDGINGALSGVLTGCGKQNIGAHVNLAAFYLVGIPTAVLLAFVLHLNGEGLWLGLVCGSISKVGMLLFITLRTDWGKEVRKPSPYKHFGYHGKRKGLQFKSSNSMKNSRNVGVVFSNEDVFNVVL >ORUFI10G07220.2 pep chromosome:OR_W1943:10:9066918:9087985:-1 gene:ORUFI10G07220 transcript:ORUFI10G07220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPTVEEPLLAVRRGGDGEDGAMASTAAEVKRLLRLAGPLMAGFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLASVTGFSLLSGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVAHVAVCWALVHKAGMGSKGAALSGAVTYWTNFAVLAFYARLSGACKTTWTGFSMNAFRELRRFTELAVPSAMMVCLEWSSFEILVLLSGILPNPQLETAVLSISLSTASLLIMVPRGIGSSLSTRVSNELGGGHPRAARMAVRVATAMTVLVCLVLVIAMILLRNVWGYAYSSEEEVVAYIASMLPILAVSFFVDGINGALSGVLTGCGKQKIGAHVNLGAFYLVGIPTAVLLAFVLHLNGEGLWLGIVCGSISKVGMLLFITLHTDWGKEVQKASPCKHFGYHGERKGLQFKYSNSMKNSRNHQPKKRLTVGDSIDMDEPTVEEPLLAVRRGGDGEDEAMASTAAAAAAEVKRLLRLAGPLMASFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLANVTGFSFLFGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVGHVAVCWALVHKAGMGSKGAALSGAVTYWTNLAVLALYVRLSGACETTWTGFSIDAFRELRRFTELAVPSAMMVCLEWWSFEILVLLSGILPNPQLETSVLSICLSTSSLLFMVPRGIGSSLSTRVSNELGGGHPRAARMAARVAIAMTVLVCLVLVIAMIFLRNVWGNAYSSEEEVVAYIASMLPVLAVSFFIDGINGALSGVLTGCGKQNIGAHVNLAAFYLVGIPTAVLLAFVLHLNGEGLWLGLVCGSISKVGMLLFITLRYHGKRKGLQFKSSNSMKNSRNVGVVFSNEDVFNVVL >ORUFI10G07220.3 pep chromosome:OR_W1943:10:9066918:9087985:-1 gene:ORUFI10G07220 transcript:ORUFI10G07220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPTVEEPLLAVRRGGDGEDGAMASTAAEVKRLLRLAGPLMAGFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLASVTGFSLLSGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVAHVAVCWALVHKAGMGSKGAALSGAVTYWTNFAVLAFYARLSGACKTTWTGFSMNAFRELRRFTELAVPSAMMVCLEWSSFEILVLLSGILPNPQLETAVLSISLSTASLLIMVPRGIGSSLSTRVSNELGGGHPRAARMAVRVATAMTVLVCLVLVIAMILLRNVWGYAYSSEEEVVAYIASMLPILAVSFFVDGINGALSGVLTGCGKQKIGAHVNLGAFYLVGIPTAVLLAFVLHLNGEGLWLGIVCGSISKVGMLLFITLHTDWGKEVQKASPCKHFGYHGERKGLQFKYSNSMKNSRNHQPKKRLTVGDSIDMDEPTVEEPLLAVRRGGDGEDEAMASTAAAAAAEVKRLLRLAGPLMASFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLANVTGFSFLFGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVGHVAVCWALVHKAGMGSKGAALSGAVTYWTNLAVLALYVRLSGACETTWTGFSIDAFRELRRFTELAVPSAMMVCLEWWSFEILVLLSGILPNPQLETSVLSICLSTSSLLFMVPRGIGSSLSTRVSNELGGGHPRAARMAARVAIAMTVLVCLVLVIAMIFLRNVWGNAYSSEEEVVAYIASMLPVLAVSFFIDGINGALSGVLTGCGKQNIGAHVNLAAFYLVGIPTAVLLAFVLHLNGEGLWLGLVCGSISKVGMLLFITLRTDWGKEVRKPSPYKHFGYHGKRKGLQFKSSNSMKNSRNVGVVFSNEDVFNVVL >ORUFI10G07220.4 pep chromosome:OR_W1943:10:9066918:9087985:-1 gene:ORUFI10G07220 transcript:ORUFI10G07220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPTVEEPLLAVRRGGDGEDGAMASTAAEVKRLLRLAGPLMAGFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLASVTGFSLLSGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVAHVAVCWALVHKAGMGSKGAALSGAVTYWTNFAVLAFYARLSGACKTTWTGFSMNAFRELRRFTELAVPSAMMVCLEWSSFEILVLLSGILPNPQLETAVLSISLSTASLLIMVPRGIGSSLSTRVSNELGGGHPRAARMAVRVATAMTVLVCLVLVIAMILLRNVWGYAYSSEEEVVAYIASMLPILAVSFFVDGINGALSGYHGERKGLQFKYSNSMKNSRNVGVVFCNEDVLNAHQPKKRLTVGDSIDMDEPTVEEPLLAVRRGGDGEDEAMASTAAAAAAEVKRLLRLAGPLMASFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLANVTGFSFLFGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVGHVAVCWALVHKAGMGSKGAALSGAVTYWTNLAVLALYVRLSGACETTWTGFSIDAFRELRRFTELAVPSAMMVCLEWWSFEILVLLSGILPNPQLETSVLSICLSTSSLLFMVPRGIGSSLSTRVSNELGGGHPRAARMAARVAIAMTVLVCLVLVIAMIFLRNVWGNAYSSEEEVVAYIASMLPVLAVSFFIDGINGALSGVLTGCGKQNIGAHVNLAAFYLVGIPTAVLLAFVLHLNGEGLWLGLVCGSISKVGMLLFITLRTDWGKEVRKPSPYKHFGYHGKRKGLQFKSSNSMKNSRNVGVVFSNEDVFNVVL >ORUFI10G07220.5 pep chromosome:OR_W1943:10:9066918:9087985:-1 gene:ORUFI10G07220 transcript:ORUFI10G07220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPTVEEPLLAVRRGGDGEDGAMASTAAEVKRLLRLAGPLMAGFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLASVTGFSLLSGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVAHVAVCWALVHKAGMGSKGAALSGAVTYWTNFAVLAFYARLSGACKTTWTGFSMNAFRELRRFTELAVPSAMMVCLEWSSFEILVLLSGILPNPQLETAVLSISLSTASLLIMVPRGIGSSLSTRVSNELGGGHPRAARMAARVAIAMTVLVCLVLVIAMIFLRNVWGNAYSSEEEVVAYIASMLPVLAVSFFIDGINGALSGVLTGCGKQNIGAHVNLAAFYLVGIPTAVLLAFVLHLNGEGLWLGLVCGSISKVGMLLFITLRYHGKRKGLQFKSSNSMKNSRNVGVVFSNEDVFNVVL >ORUFI10G07230.1 pep chromosome:OR_W1943:10:9091268:9094097:1 gene:ORUFI10G07230 transcript:ORUFI10G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGRPASSPRRSPTSPASAFSAPWRCSRWPASPYVAFVWANDGEIRQRVDQDADIAAEAGANARWTILSLVQSVVVPVMASSAATAICHVAVC >ORUFI10G07240.1 pep chromosome:OR_W1943:10:9094119:9095461:1 gene:ORUFI10G07240 transcript:ORUFI10G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGAAGAEHCHLVLHVCINLAMLALYAPSCRETWTGFSMDAFRELRRFTELAIPSAMMVWSGLDYSSMEWWSFELVVLLSGLLPNPKLETSALSICLNTGSLMFMVPFGLCTAISTRVSNELGAGKP >ORUFI10G07240.2 pep chromosome:OR_W1943:10:9095304:9095813:1 gene:ORUFI10G07240 transcript:ORUFI10G07240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSEGFIITCTMILLRNLWGYMYSNEAEVVKYIARSHDILFHDFYCVSREYRSVTLIENTTSKSNLKSCKFWITGCGSRFVLFFSNSGTGEPKLR >ORUFI10G07250.1 pep chromosome:OR_W1943:10:9111738:9112334:-1 gene:ORUFI10G07250 transcript:ORUFI10G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLQGLTWDDTVNKSPGVFKGFANFEVKNGLDFDGRNQELPVSSKPYFFFGTIITQKCNFV >ORUFI10G07260.1 pep chromosome:OR_W1943:10:9115182:9117862:1 gene:ORUFI10G07260 transcript:ORUFI10G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAASVEEPLLVGAGEKKGESAAAAELKRLLRLAGPLVASGVLRNVVQMVSVMFVGHLGELPLAGASLATSLANVTGFSLLFGMASALDTLCGQAYGARQHHLLGVYKQRAMLVLAVAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWLIPSLVPFVPLVCHIRFLQAQSAVLPVMASCGVTAASHVAVCWALVRKAGMGSRGAALANAVSYGVNLTIMSLYVRLSRSCEKTWTGFSMEAFRELRQYAELAIPAAMMVCLEWWSFEFLVMLSGLLPNPKLETSVLSICLNTGALLVMVPIGLSTAISTRVSNELGAGNPQAAKLATRVVICMAMTEGSVVAFTMILLRNSWGHMYSDEAEVVTYIARMIPVLAISFFIDGMHSALSGVLTGCGKQKIGARVNLGAFYLAGIPMAVFLAFVLHLNGMGLWLGIVCGSLSKLILLFWITMSINWEKESTRAKELVFSSSLPVA >ORUFI10G07270.1 pep chromosome:OR_W1943:10:9124073:9126351:-1 gene:ORUFI10G07270 transcript:ORUFI10G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVHEPLLAAAPPTPGKAADGDGPEEGRRLASAEAKRLLRLAGPIVASCILQCVVNMVSVMFVGHLGELPLAGASLATSLANVTGYSLLTGMATAMDTLCGQAYGARQYHLLGVYKQRAMVVLAAACVPIALVWASAGRILLLLGQDAGIAAEAGAYARWMLPSLAAYVPLQCHIRFLQTQTVVLPVTASSAATALLHPLVCWLLVFRAGMGSKGAALANAISYAVNLAILAVYVRASNTCKGRWSGFSGEAFKELRQFAALAMPSAMMICLEWWSFEILVLLSGLLPNPQLETSVLSICTRVSNEIGAGQPQAAKRATRVVMYMALSEGLVISFTMFLLRNVWGYMYSNEQEVVTYIARMLPILGISFFIDGLHSSLSGVLTGCGKQKIGAAVNLGAFYLVGIPVAVLLAFYLHLNGMGLWLGIVCGSIIKLLVLIIVSCCIDWEKEAILAKDRVFSSSLPVA >ORUFI10G07280.1 pep chromosome:OR_W1943:10:9152207:9153790:-1 gene:ORUFI10G07280 transcript:ORUFI10G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCIVVYLDYFLLINNHAEKVMICLAYVPWQALLHAYLHGRPKARMELGLLLEPGPGASNADLAAADMSKVLQVKITAEQAEMASLVDKPFTNKPFGLFSGEFARRHGFHLLGTTSTWLLDAVLLLSRHLLAAFLSSSLTTAAVEAVQLQRHSGQPVAT >ORUFI10G07290.1 pep chromosome:OR_W1943:10:9159411:9164849:1 gene:ORUFI10G07290 transcript:ORUFI10G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLAQEPRHHPSRPPLAPAAAHAPNSAAAACSTPRRGKTSPHASSRHASSSSSSSSLPSCSAARVAVTPAPHATATAPVTMRMRSLSVSFQGESFVYETPRAAAPRRAPAAAAARPRPTTRRRGEAENERPSPPPASKATDALARSLDCSLHRKESILAAVRLLRSSISPGNAAAAAAPDADAATDTDTDAAPPSIPTQTRFWQETNSRLRRLPESGLPHPISTSRKPFLDGPISPTLLETSPANAPSIISFATAVRRANKGEDKIEEAHRLRLLDNRHLQWRCLNAHADAAAVARSCAAEKALHSAWKDISTLRDNVSFKRSKLQLQKQKLKLFGILKGQILYLEEWSDVENNHSSSMSEAIKALEASTIRLPIVCGAKADAQGVKEVVSSALTKMDTMASSMWSLLSKVEGMSSMVFELAKVVSQEQMLLDQSRDLFSAVAVMHSS >ORUFI10G07290.2 pep chromosome:OR_W1943:10:9159411:9164849:1 gene:ORUFI10G07290 transcript:ORUFI10G07290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLAQEPRHHPSRPPLAPAAAHAPNSAAAACSTPRRGKTSPHASSRHASSSSSSSSLPSCSAARVAVTPAPHATATAPVTMRMRSLSVSFQGESFVYETPRAAAPRRAPAAAAARPRPTTRRRGEAENERPSPPPASKATDALARSLDCSLHRKESILAAVRLLRSSISPGNAAAAAAPDADAATDTDTDAAPPSIPTQTRFWQETNSRLRRLPESGLPHPISTSRKPFLDGPISPTLLETSPANAPSIISFATAVRRANKGEDKIEEAHRLRLLDNRHLQWRCLNAHADAAAVARSCAAEKALHSAWKDISTLRDNVSFKRSKLQLQKQKLKLFGILKGQILYLEEWSDVENNHSSSMSEAIKALEASTIRLPIVCGAKADAQGVKEVVSSALTKMDTMASSMWSLLSKVEGMSSMVFELAKVVSQEQMLLDQSRDLFSAVAVMHVKLCSLQACILQRN >ORUFI10G07300.1 pep chromosome:OR_W1943:10:9165813:9166064:-1 gene:ORUFI10G07300 transcript:ORUFI10G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLDMHGRLVLHQVGGEVDRTDIVIVNQGGLRRWRVELMEELVELGDFSDLVGDSAVLSTVCPLTHY >ORUFI10G07310.1 pep chromosome:OR_W1943:10:9171998:9177577:1 gene:ORUFI10G07310 transcript:ORUFI10G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSAACFLLVVLVLLGTPTSADECRDISTKDLFCLKYLCKSFCLDEARNWGGTAGYVDQYWCKGQRLVFKPIRIACQQLKLNGRAKKRCNNVSL >ORUFI10G07310.2 pep chromosome:OR_W1943:10:9171998:9176778:1 gene:ORUFI10G07310 transcript:ORUFI10G07310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSSCSSFSSRQRVASQLMGALPGGTLSRPLANPSIFSASGDLASYRLSVSPIFASSSAGMLNLCIFLLCLTKWQNKTCDISQSAATLCSS >ORUFI10G07310.3 pep chromosome:OR_W1943:10:9171998:9176778:1 gene:ORUFI10G07310 transcript:ORUFI10G07310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSSCSSFSSRQRVASQLMGALPGGTLSRPLANPSIFSASGDLASYRLSVSPIFASSSAGMLNLCIFLLCLTKWQNKTCDISQSAATLCSS >ORUFI10G07310.4 pep chromosome:OR_W1943:10:9172093:9176778:1 gene:ORUFI10G07310 transcript:ORUFI10G07310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLAVSTPLQVSVTSHPLCCQGPKALPPNRSHSPAPPPQPEPANGLSFFLLLFLESTASGLPIDGCASRWYPLSPSRKPFHLQRQRMKAVRSK >ORUFI10G07310.5 pep chromosome:OR_W1943:10:9171998:9174983:1 gene:ORUFI10G07310 transcript:ORUFI10G07310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSSCSSFSSRQRVASQLMGALPGGTLSRPLANPSIFSASGDLASYRLSVSPIFASSSAVLYVYLLAADQKGFAMVAWLSKLATAAKRGGTS >ORUFI10G07320.1 pep chromosome:OR_W1943:10:9179513:9180623:1 gene:ORUFI10G07320 transcript:ORUFI10G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSAVCFLLVLVLLGTPTASAAICEHFSTKDLFCIKYLCRGFCHDEAVNLRGKHARVMRAWCHGRRCNCNVCH >ORUFI10G07330.1 pep chromosome:OR_W1943:10:9181920:9183071:1 gene:ORUFI10G07330 transcript:ORUFI10G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFAGVCFLLVLLLLANPTSADELDPGTCGTEVDPLDPCIQTLCKWNCELVAMKRGGHLTSYECGDRECKCDFCASSIGADEHGLHV >ORUFI10G07340.1 pep chromosome:OR_W1943:10:9191693:9197449:1 gene:ORUFI10G07340 transcript:ORUFI10G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATRVYCFVHKVPVCGECICFPEHQLKIMLNGLLILIMTGHNTVHLAILFWKLEAKKLHDWVACIWPPSTIKDTGSRLHSKLKEAIAQTGLEKNVFGNHFVTMPKADTRTPPAFASDPLKRVSISGDRESNGANIINSAIDANVQSGGMYSSATVGSGTPSHVEPEIVEIDGPSPITTQFPEQESNFIRSPSPHGLSAMTRKGANYVERQNSEISYYADDEDANRKKYTKRGTFRHKFLRMLLPFWSSALPTLPVTAPPRKESDAPEGRSRHQKSSRMDPTKILLALAIMRW >ORUFI10G07350.1 pep chromosome:OR_W1943:10:9200144:9205406:-1 gene:ORUFI10G07350 transcript:ORUFI10G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCQSSRPTAAVAAVVAAVSMIIVLVSGTAIPSAAAAAAVEHTFVVSQVNMTHLCKEMAFTVVNGQLPGPTIEVTEGDSVTVHVVNKSPYNLTIHWHGVYQLLNCWNDGVPMITQRPIQPNHNFTYRFDVAGQEGTLWWHAHDAFLRGTVHGALIIRPRHGAASYPFPRPHREVPIIIGEWWEKDLPQVDRNMTNGYFDDYSSGSTINGKLGDLFNCSGVLEDGYVLDVEPGKTYLLRIINAALFSEYFLKIAGHRFTVVASDANYLTPYSTDVVVIAPGETLDAIVVADAPPSGRYYIAAQPIQAPPPDTQTPEYATRGTLQYSSNSRNSSAAAMPEMPHQHDTMRSFYFRGNLTAGARLHRHGRRRVPARADESLFVTLGLGSVCRHGGASCKRGGNLKESIVVANVNNVSFHIPAAAATPILEAHYYHRLHAGAGEEEEELAERPPRAYNYTDQALTPFGPEEMRLEATSRAVVTRRFRHGATVDVVFQSTAMLQGDSNPMHLHGHDVFLLAQGIGIYDAARDEGKFNLVNPPRKNTVLVPNLGWAAVRFVADNPGAWLMHCHFEFHLSMGMAAVFIVEDGPTVDTSLPPPPEDF >ORUFI10G07360.1 pep chromosome:OR_W1943:10:9221202:9228065:-1 gene:ORUFI10G07360 transcript:ORUFI10G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPAGLPLPLVVAVALAAAAVMVVEARFVVEKNSLMVTSPTSLRGRHDSAIGNFGVPQYGGSMAGTVVYPKDNADACEAYDGDRHFRAKPGALPNFLLIDRGNCLFAKKVWNAQNAGASAVLVVDDKDEPLITMDLPREDDEAAKYIQNITIPSALIDKKFGEQLKKAIKDGEMVNVNLDWREAVPHPDDRVEYELWTNSNDECGPKCDMLMNFLKEFKGAAQLLEKGGYSQFTPHYITWYCPQAFVVSKQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVVENLRQLCVFQVAKESKKPWIWWDYVTDFHIRCPMKDKKYNKKCAETVIKSLGLDVKKVDKCMGDPNADSDHPLLKMEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLERKAVLKAICAGFEETTEPNVCLSDEMETNECLNDNGGCWQDKAANVTACRDTFRGRVCECPTFNGVQFKGDGYSNCEPAGPGKCLINHGGCWHETRNGKTFSACQESGNGKCQCPAGFRGDGVKKCEDINECKEKKACQCPECSCRDTWGDYECTCSGDLLYIKEHDTCISKTAVQGKAAWAAVWGILIVLVVVAAGSYVVYKYRLRSYMDSEIRAIMAQYMPLDSQGEVPNHTNDEEHH >ORUFI10G07370.1 pep chromosome:OR_W1943:10:9237543:9241205:-1 gene:ORUFI10G07370 transcript:ORUFI10G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVAQALLLLLVVVSNSLLLPSLGLAGQEAHEVAMAGLHDQQPPSPAAVAARVSVAQADLPMVASSVLGAESWLRAHVLAHYPSNHVTAIAVAVACARGGSRHGQDLRASRAAKNLHHALVRWGLVDEIKIDASSAPCAEEVGGGALKRRLYGMHHLPPPLPPTSVASPPPPGVPLSFAPNAPPEVVPSVPPAAAPPSTPVVVVPAPATSPPMSMPATPPEAAAGGMAPCSAPPTAAMSPQPCSGEGGNGGGQWCVAKPTVPLDRLQEAMDYACSQDGVDCQEISGGGSCFYPDNIAAHASYAFNSYWQKMKHIGGSCSFGGTAVLINSDPSSLSEAKIWIS >ORUFI10G07380.1 pep chromosome:OR_W1943:10:9280619:9281493:-1 gene:ORUFI10G07380 transcript:ORUFI10G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALDLAAGFFLLLPPSSRAVNVPPAAVDALPSPCRNGEGPPAAGRRSSRRKLGRGESSAGRPGEGRPDTDVLGRGVSRHATRFPRWLGGAMVLSGGVCWWWSMSASVGGPQQLHDDKVRYGGCDGGCRIW >ORUFI10G07390.1 pep chromosome:OR_W1943:10:9288740:9292661:-1 gene:ORUFI10G07390 transcript:ORUFI10G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTLALLLFLALSAVAAVGGAGDVRRVLHEPLFPIEWTPPPSSTASPSPPSPDFSSDPSTPATPVDNGGPALLPPPPPNTVAADVSSSRSGPDPRARGGGGGGTPKAAIVVASAAAAAVLALLAFAAAFLLTGRLARHPAAAAAQAHKPPGHAHAGAGSVAGAHADVAGCSTAVSPYRKVRPERARRGMCRDVDTVPSPELRPLPPLRRGASALTQGSSDEDAAYYTPGQRSDGSGGGGGAEGGGTWSEASASSPRTTTASRRSLPSLTSDFFPTTPAAAPVPAPAAAAPPPAPPAPRSRRTPPRTRFSAGSGAEMIKQMASPPSNPPPAPPPPPPPPSRFNNTTPKPPPPPPPPEPPTGPVSARRLLRPLPAEGPSIVMPRAPAMAVTKDNDATAATMSVRTRGEAAGDEPRPKLKPLHWDKVRASSDRDMVWDRLKLDEDMIEVLFMNNSTAAVAPRMDTPKKVGMPQFKQEERVLDPKKAQNIAILLRALNVTLEEVTDALLDGNAECLGAELLETLVKMAPTKEEELKLRDFTGDLSKLGSAERFLKAVLDIPFAFKRVDVMLYRANFENEVNYLRKSFQTLEAACDDLKGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGADRKTTLLHFVVQEIVRSEDAKSEKAPENHITNIAKVEQLRRQGLKVVSGLSTELGNVKRAATMDFDVLHGYVSKLEAGLGKIKSVLQLEKQCSQGVNFFATMREFLKEAEQEIEQVRHDEKAALGRVKEITEYFHGNAVKEEAHPLRIFMVVRDFLSMLDHVCREVSQQDRTFVGSARSFRISAANALPILNMQGQKGGRESSSDGDSPSM >ORUFI10G07400.1 pep chromosome:OR_W1943:10:9334032:9336206:-1 gene:ORUFI10G07400 transcript:ORUFI10G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNFNPVNDSDWLIRAAMLLSFTLQVILFFVAPTRKRISHPLPHLAVWFSYLVAGWVAVVGLGLLLYSLSISGSNNGSSSIFAFWTPFLLLHLGGPDTITAYSLDDNELWLRHLAGMLFVVFAALVVFFSSVTSNPMVTATVLVFVAGVIKYGERIYSLYSGSVRGFRDKMLGEPNPGPNYAKLMTEFESKKNAGLMVEIIVVDGEPNEALEQAEVMKNSGKSLEAVAYELFAMFRVLFVNLILSYKERRISQAYFLDRGDVMTAAAAFEVVEAELGFLYDMAYTKATVSSTRRGCLLRFVATACLVVAVVLFVLIDDKAGVRPVDRGVTYALLLGGVALDVAGYLMLLSSDRTLAFLDGKPKVAWLARVARAVRLPTRRWSERITKMNLISYSLGKPEEDAGRRCWCCRWTTIPRVVRCLTWAADMVGVWEILDDFFFIRHEPVSCRKIKDSKKGKKSIDVLNYVFDGLRKTASEARYSGGNDMKEVCDYRGEGVINELVGDIQLMELNVNDVMRDSVRREFDESLLLWHVATDLCSHRRRDMEVTRNGDIQGLMSISETLSEYMLYLLARRPEMLPATAAGIGLLRYRDTRAEARRLFRSAAAWDPSHHDAQRMLLEVDTSKKPAVVKGDESKSVLFDACILAKALLQLGDDTMWRVVAGVWREMLVHAAGRCHGSTHVRQLGRGGELITLVWFLMAHMGIGDMYRTQVGDANAKLVVLDQ >ORUFI10G07410.1 pep chromosome:OR_W1943:10:9367687:9380351:-1 gene:ORUFI10G07410 transcript:ORUFI10G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMARWCALVDGGSDDLSSGMEGEGTVVGACGWMWGWRQRQRRPSFGMEVESAVVGARGLRRRSIEVGGRGGGDSDGRSWMEALRTRARGGGRWRSWWRQELGVFFIGFTNGPVTINSMASTVVAPFLALSLLLFAVVAHGCTPNCAGEQAVPATPVAVPVQSHHGQHDEHGRCPINALKLRECINVLNGLVVASFLALSLLLFAVTAHGCTSNCSSEKVIPTPPEAVPAPLHPGGHSDHGRCPINALKLRVCANIGHGPDDCCSLLSGIADLDAAICLCTAVKANVLGIRVNLPVDLGLILNNLLLFAVIVQGCTPNCSGEHVVPTPPVAVPTPLHHGGHGEHGRCPINALKLRVCANVLNRLVDVKIGHGPDDCCSLLSGIADLDAAVCLCTAVKANVLGIRVNLPVDLSVILNKCGKSCPCHCHLPCRPELRFSLHCSGLLSNSLYLPPLSSDAKKKGTHSIADYADSRSSEHQRPPLTPMASKVVAPFLALSLLLVAVIVQGCTPNCSGEQAVPTPPIAVPTPSHHGGHGEHGHCPINTLKLRVCANVLNGLVDAKIGHGTDDCCSLLSGIADLDAAVCLCTAVKANVLGIRVNLPVDLSIMLNKCGKTCPSDFTC >ORUFI10G07420.1 pep chromosome:OR_W1943:10:9382958:9383236:-1 gene:ORUFI10G07420 transcript:ORUFI10G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGEKGLYDGIFRKLLLSMDEVLIVGFGWKGHAVGDIPGVRLKVVKVSVVSLFALFKEKKKQRS >ORUFI10G07430.1 pep chromosome:OR_W1943:10:9414502:9419289:-1 gene:ORUFI10G07430 transcript:ORUFI10G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTVFSSPHRWWDTCGLRGRRGCQIGVGERERESRGNKREVGERRRAPDHQAGAGSAPPVAVADGSTRVGRQRGGGIYRREGGQIRAGAPTAGRRPRRHRRWRALWRCGCLPRTQIQAAWRKTCGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAICKCARVQLVKNGKKIAAFVPNDGCLNFIKENEVAYVDGIYGIRVLVDALSSSRLRGRDGSGGDHGDATAAAAASREVHRPPQAAAGMDAGGGRAAAAPRQGERLPPLEPGRQEHATPLREVVPRQVAPPPRPRDVYHRPFTARDDDELLRLHYRLGDRWKEIGRAVYGRTSRVMKHRWRELRRGGFLAAAARKEQAALDMADDTVETSEVEEPADQSLPSLELQRSTLADTLASSFGSCSLATDHVMDPLAGSLALGFACLASLVKENGFRRWSRVTRSMPRRSARLCRDRWCHHLARDVYHRPFTARDDDELLRLHYRLGDRWKKIGHAVYGRTSRVMNHRWRELRRSSFLAAAARTEQTLDMADDTVESEMEESDQSLPTTRKSIIASGRKGSLQAGRPSACKPTTVKIADLRRWRGRPPAKIIFAGGRWLVRSACENRNRPPTKKKKTSACEDR >ORUFI10G07440.1 pep chromosome:OR_W1943:10:9423433:9426106:-1 gene:ORUFI10G07440 transcript:ORUFI10G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSLQDLPTFSRIDALERGSSTGSDLVSGRAKPIRTLQRDGAVASFSKEKTPPSSPTNRKKCMRAAGCAIALFLLVFFIYASLRYFHVFLSEGSPEYYVILDCGSTGTRVYVYEWSVNHDDGNTFPIALKPLGNAPKKKSGKLTGRAYQRMETEPGLNKLVHNETGLKMTIEPLLRMAEKLIPRRAHKHTPAFLYATAGVRKLPSADSEWLLDKAWDILKNSSFLCSRDRVKIISGMDEAYYGWIALNHHLNMLGTSSSKMTYGSLDLGGSSLQVTFETDNSIQDETSMSLRIGSISHQLSAYSLSGYGLNDAFDKSVAHLVKKLGGAAGNGKVQVKHPCLQTGYKEDYFCSYCHPLKLDGSPSVGGKTTGKEKQGMAVELIGMPQWNECSALAKLTVNLSEWSNASSVDCNTKPCALPSTFPQPHGQFYAMSGFYVVFKFFNLTADATLIDVLNRGQEFCEKTWKVAKSSVPPQPFIEQYCFRAPYITSLLREGLQIKDNQVIIDSGSITWTLGVALLEAGQVLSTRIDIQGYRILHREINPNILIVLFLISIVLVICAILCVSNSIPRSFRKSYLPLFRQNSAGSPVLSMGSPFRFHLWSHITSGDARTKTPLSPTVAGSEPHPFSMSHGLGGSSVQLMESSRQSLGVYHSYSVGSLGQMQFSSGMWKPGQTTLQSRRSQSREDLTSSLADLHLPKV >ORUFI10G07450.1 pep chromosome:OR_W1943:10:9434534:9435727:-1 gene:ORUFI10G07450 transcript:ORUFI10G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVGRSSRGGCRSRCQVIVVARSWLHLCRNPTGSFPAPPRPPRWCHASPSRRQVVVTRSRWLLRRHLHESPLLMAISSLFSSLHESPYLIPLSSSLCFLRALPLCSVLLISSQGYVRKVIPMVQCMLTTARRSIQYRSTSSSPVRD >ORUFI10G07460.1 pep chromosome:OR_W1943:10:9438824:9440496:-1 gene:ORUFI10G07460 transcript:ORUFI10G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPPTPAASPAARASVVFPTMADIMSASRAQGLRVRLTTLGPFFRVTAARRGGGSGGEGETEEVELGRAQGVVRPWPGGAVLHLDSMRMSRATLQVPDRPLFGLGVFLGAVAVRHGFDAGCKRAELLAINDTDLYHSKLVRFYSRMGFKTVHEVDGSSMIDLAHMLVWGGAQEWMLTLNSFLSNGARGSDLKTRKKIGVAAFDIILCVQLNGMITDRSIYLFIS >ORUFI10G07470.1 pep chromosome:OR_W1943:10:9444425:9445898:1 gene:ORUFI10G07470 transcript:ORUFI10G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSEGRKMSSFKEERNEGKVPATAIDPTNEKKKRTKMVRYTQDQIQYCFANSVELSDDDEDDFKLTEVLSKECLGRMSQEYLAKLYAMEIAEEKEKANLKKIQDVLRNERENIFNIRDKPEDVLKQYYTKGYAEYEVVVDDDKGDEDNKVHARVAPPGRRRFRNGVAMKKNQSGGGSIIRKIN >ORUFI10G07480.1 pep chromosome:OR_W1943:10:9447893:9451689:-1 gene:ORUFI10G07480 transcript:ORUFI10G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLVCMCSLLLMFLISYALQLFGDARRRLPPGPTPLPLIGNLLDIASDLPHRSLARLAGRHGPLMAVRLGTVVAVVASSPSTAREVLQTHNGSLTGRVPPDAWHGVGHAANSVFVLPPRRKWRALRRIGAEHLLSARQLDGRRLLPLLRDAVLDLLRRVSEMSAASGGGAGAPVQVGHAAFAAMMDMQWRAMFSAGLEDDDARVLQDAAREAVALSLKPNLSDFYPALAAVDLQGLRRRFAGRVGTVYHLVDEQIERRMRRRREAAGDDGEARSEDDLLDVLLDMSEHGKDDGKVAIDRDLIRTFLTDIFLATVDTIASTLEWAMAELLQDRETMRKLQEELKKVLGSKTHAEYADMDRLPYLRAVIKETLRLHPVVPIVPNVAEEMVEIHGHVVPRGSTILVNLWAVHRDAEAWPEPNRFLPERFMLRQHGQEAAGRALGTATTEFGLIPFSAGRRVCLGLPLATRMLHAMLGSLLHRFEWTLPLEVEENGVDMSENLGLTMTVATPLQAIAKSI >ORUFI10G07490.1 pep chromosome:OR_W1943:10:9472579:9475233:1 gene:ORUFI10G07490 transcript:ORUFI10G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPMPLPHLTVLLVLFATSAAQAAADPSSSSSCGGGERCGDLLLPFPFHLNSSCVSSTTNSSSRFRLSCDTTNATLTLPLGSATFRVLGFLPSGSLLLDYAPAASPSPSSPCDPAYAAFSRPSSPAAALDAAAAFLAVTPANVLRLYACEDSSLCRAGCEDVATCGRGGAAAGAKSGCCYPLSDGSVWKPGDGLGAFAEFGCRGFSSWVKNRSAAAPGVVRGIEVEWAVPKGSEMAACADGAVAVNATAVRGGVRCACAAGLVGDGFAHGTGCSKGTSCSNPGQASDGRECCQGRFCSKKSVALAGFFVSLFFLAAAVSFWLFLRQPSKDTLWDIDPACIPKILGGVCNARQFTYEQLDEATKRFDDSEKTAAVNVQVDGGGTVHAGVLDDGTVVAVQRIGYDTAEKLRLVLDRVELLSEVSHRNIARVVGFCCAAAAADSGTGNALLLVHEHFAGGTLEDHLRQVKGRVLSWYHRVNIAIELASALTYLQAHDTAPTFLHDLKSSDVFLDDNLTAKIAGYKLARPVAYYYYASPSYDHDVVRNFGHLLIELLTGMRHQHPFDSVAPKVREGRLHEVIDVTLLAGKQLPAPNEEVRKVFELAVACLLSAENGLCMLGVAKELMQIVRNNIGSSSKIEISLEETFSSSSLLQMISMSPEALHHHLP >ORUFI10G07500.1 pep chromosome:OR_W1943:10:9486813:9488897:1 gene:ORUFI10G07500 transcript:ORUFI10G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLYSGGVIKNSEFNVGLTDWTVPLGVQATVNSSSSGNKFAEARTDGQPSRTVYQTVQIQPNTHYSLSAWLQVSAGTANVMAVVRTPDGQFVAAGATVAKSGCWSMIKGGMTSYSSGQGQLYFEADAAVAIWVDSVSLQPFTFDEWDAHRQQQSAGRARRSTLGVVVARGTDGAPVPNATVTAELLRPGFPFGNAMTREILDNPAYEQWFASRFTVATFENEMKWYATEGRQGHEDYRVPDAMLALAERHGVRVRGHNVFWDDQSTQMAWVRSLGPDELRAAMDKRLRSVVSRYGGGRVIGWDVVNENLHWSFYERKLGPDASPAIYHQVGKIDGETPLFMNEFNTVEQPVDMAAMASKYVAKMNQIRSFPGNGGLKLAVGLESHFGATPNIPFMRATLDTLAQLKLPIWLTEIDVANGTNQAQHLEEVLREGYGHPNVDGMVMWAAWHATGCYVMCLTDDEFRNLAVGDVVDKLIAEWRTHPVAAATTDADGVVELDLAHGEYNVTVTHPSLVSSAVRTLTVDASSSSSENAIDIRV >ORUFI10G07510.1 pep chromosome:OR_W1943:10:9504081:9507728:1 gene:ORUFI10G07510 transcript:ORUFI10G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVLVLSLICISLCQGWVVQSLEYDHTASIECLRDPMKPLYNGGIIQNGEFNSGLMGWSTHRDIKAGLSSSPSGNKFAVVQRADSLSGAAVPSRSVYQKIQLQGDTHYSLSAWLQVSAGAAHVKAFVKTPNGERVVAGSVSAQSGCWSMLKGGMTAYSSGPGQIFFESDAPVDIWLDSVSLQPFTFDEWDAHRQQSAAKVRRSTVRVVVRGADGAPMANATVIVELLRAGFPFGNALTKEILDLPAYEKWFTSRFTVATFENEMKWYSNEWAQNNEDYRVADAMLKLAQKYNIKLKAAMQKRLKSVVTRYAGKVIHWDVVNENLHFNFFETKLGPNASPMIYNQVGALDKNAILFMNEFNTLEQPGDPNPVPSKYVAKMKQIQSYPGNSALKLGVGLESHFSTPNIPYMRSALDTLAQLKLPMWLTEVKFLEQVLREGYAHPSVNGMIMWAAWHAKGCYVMCLTDNSFKNLPVGTLVDKLIAEWKTHKTAATTGADGAVELDLPHGDYNLTVSHPSLGTNATVRAMTVDAAPLASERLINIKV >ORUFI10G07520.1 pep chromosome:OR_W1943:10:9521199:9522171:-1 gene:ORUFI10G07520 transcript:ORUFI10G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAADAAAVVIQHPSSSSSSGNGGGGGGGREPRPLRRRGRSSRCHRAGGDGGDGVGACGEAAAEAAPARRHASARRRLPPRHLRRCAEAVRVEAERHDEQRHDRHRCRGRRWSPATGSPRRRGGGGGASEGRP >ORUFI10G07530.1 pep chromosome:OR_W1943:10:9551581:9552135:1 gene:ORUFI10G07530 transcript:ORUFI10G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEIAAVPDISEGLAEISKKMMDLAAQLRAWAVQSVEHAASLKQVTGASLKPRGHAFKQRTSCDVQGEPQAAGPTAVCFPCEAAAAPYAVDIHHGETMPFVKPTDNTTTGVLWNMADGSGMSETTPKLGLAMAPSLPLATPTEAAFFPAAAGTAALSPPLPSTEMEEKTTIFVAVAAQHEVGF >ORUFI10G07540.1 pep chromosome:OR_W1943:10:9577393:9584993:1 gene:ORUFI10G07540 transcript:ORUFI10G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAFRFSRILNPRLNPSTNLGLVLASSFSSSSRPEQPELPGARGGGGGFRATIREGRAEIFADDSNSVFYNKAQVPPLRTFSPLFVAVNNRDLSIAVLRSFISRRREEHDIQLRRGSHAELPPKHHAEGLGHIRGSSEDKALSEEISYRAPKVLEALAASGLRAIRYALEVDGIGEVIAVDNNEGNNYNLATHTIYKKLVFKVDLDPYGSPAAFLDSAVQCVADGGILMCSATDMAVLAGGNAEVCFSKYGSYPLKGKHCHEMALRILLACIESHAIRHKRYIVPIISVHMDFYIRDKRNIALPNFSPLVPQECAECGHNFVMGGPIWSDPMHDKEWAASILSGIQAMSCAYSAYAKISAIMTSVSEELPKASLFVSLHNLCATLKCTNPTIATFQSAIRNAGYQISGSHVDPLALKTDAPMSVIWDIMRCWVKIHAVKYRPGNHPGTRILSQEPKLQAKFSHVPGGLAVQKSPRFVPNPEKYWGPKTKAGRQPKRLPVDNL >ORUFI10G07550.1 pep chromosome:OR_W1943:10:9585611:9597422:1 gene:ORUFI10G07550 transcript:ORUFI10G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMACGGSGGAAPASGIRRAAANPRTDLAAAVVPTRIRRSAALSPAGSRSPPLPLPSQAEPAPVLPCADPSPCSRPAHADPRTPHARTRAHEDERQTNARTTPPASRFQNADVGPVVEASEISAPGGDSLYDYIEPVDHEEEDACEDPVDPLEWDNYENADVGPVVEASEISAPGGDGLYDYIEPEDHEEEDACENPVDLLKWDNYGF >ORUFI10G07550.2 pep chromosome:OR_W1943:10:9585611:9597422:1 gene:ORUFI10G07550 transcript:ORUFI10G07550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMACGGSGGAAPASGIRRAAANPRTDLAAAVVPTRIRRSAALSPAGSRSPPLPLPSQAEPAPVLPCADPSPCSRPAHADPRTPHARTRAHEDERQTNARTTPPASRFQNADVGPVVEASEISAPGGDSLYDYIEPIMRRKMLVKILLTQNADVGPVVEASEISAPGGDGLYDYIEPEDHEEEDACENPVDLLKWDNYGF >ORUFI10G07550.3 pep chromosome:OR_W1943:10:9585611:9597422:1 gene:ORUFI10G07550 transcript:ORUFI10G07550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMACGGSGGAAPASGIRRAAANPRTDLAAAVVPTRIRRSAALSPAGSRSPPLPLPSQAEPAPVLPCADPSPCSRPAHADPRTPHARTRAHEDERQTNARTTPPASRFHHEEEDACEDPVDPLEWDNYENADVGPVVEASEISAPGGDGLYDYIEPEDHEEEDACENPVDLLKWDNYGF >ORUFI10G07550.4 pep chromosome:OR_W1943:10:9585611:9597512:1 gene:ORUFI10G07550 transcript:ORUFI10G07550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMACGGSGGAAPASGIRRAAANPRTDLAAAVVPTRIRRSAALSPAGSRSPPLPLPSQAEPAPVLPCADPSPCSRPAHADPRTPHARTRAHEDERQTNARTTPPASRFQNADVGPVVEASEISAPGGDSLYDYIEPIWRRGEASSVVRSEGSAEAGG >ORUFI10G07560.1 pep chromosome:OR_W1943:10:9586590:9586919:-1 gene:ORUFI10G07560 transcript:ORUFI10G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGWGGAGRGDSVPVVGGSAGGGGEAGDGGLKQEAAAAMAAATPAISGVGSSYVKQGQRGGCGGSACGGRERRRAVQPAAAEQSSGAGDVPATDWMGK >ORUFI10G07570.1 pep chromosome:OR_W1943:10:9614186:9616987:1 gene:ORUFI10G07570 transcript:ORUFI10G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVILLAVKKIGVALGNETINQATSYFRKFVTQLTELQGSMGRIKRELRLMHEFLSRMDVRNRNNQTYEIWVEEVRMLVHRIEDIVDDYLHLVGHKQDTGWGTYLKKGFKRPNVLFSLNRIASSIKDAEANLVHLFQAKERWVWMAGGRATGSKSSSYIIETSRHLANISRSLDEDLVGVDENIRKLHEWLTSDELQREVIALLGMGGLGKTALAANVYKNERENFECHAWVSISQAYSIKDVLKCLITELDLEKKIQGNIGDMDTGTLQNELKKFLMNQKYLIILDDVWVPEAVHDLFGILVSNHKGSRVLVTTRTDVVAHLASPNKRIILKPLSNEASLELFCKTTFSRDTKHECPAKLTKLAQQIVSKCEGLPLAIVSIGRLLFVRDKTEEEFRCIKNQLDWELINNPSLEHIRNILYLSYIYLPTHLKSCFLYCSLFPEDYLLRRKKLIRWWVAEGFVEERGGNTMEEVAEEYLKELVHRNMLQLIERNRFGRIKSFRMHDIVRELAIDLCHREHFGRSYNCENKHGKFLEGKDERRVVIHKLDKNINQAISSECHSLRCLITLDEATPPSPSLLHLVADKCRYMSVLELTGQPIEKVPDAIGDLFNLRYLGLRDSKVKHLPNSIERLSNLLTLDLCRSEIQEVPSGIVKLKKLRHLFVEKMNELYGRDFRCRTGVRIHRGLEKLTELQTLQGLEVQDEGSLRRLGELRQMRSIRIWGVKESYCESLCESLQQMEFLSNLDINASRKEDVLKLDGLNPLPPNLQTLSLSGRLAEVDMLLGSPAAGDQNNHLLYSVHLGWSQLKEDPLPSLSRWSSLTDLTLTRAYVGEQFVFHQGWFPNLKELVLRDMPDLKRLEIHDGAMTSLQDLTLVNLSGLTEVPSGIELLSTLKNLGFWEITQDFLAALRQCHRIHHMQWWYCVRGETDGAL >ORUFI10G07580.1 pep chromosome:OR_W1943:10:9641111:9645364:1 gene:ORUFI10G07580 transcript:ORUFI10G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAVGRGPEGWGEDEMSVLPRHTKVVVTGNNRTKSVLVGLHGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEPPTGNEDDDKFDCENMQWNSSDLASDDAQSPKPQRSRSRQHRGFQTKSFSRSMSCDSHSKASFSSSSRAHTVIVGFVQAAKRLKTNVKVA >ORUFI10G07590.1 pep chromosome:OR_W1943:10:9645582:9650347:-1 gene:ORUFI10G07590 transcript:ORUFI10G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSRHFLPPRPRRRPRPRREAPAAEPPTPTYTRDVVRRVDAILRGHPWSAARPLLLSLPGLAWDSHTVARVLKAHPPLHKAFLFFRLAAGAGGGFRHDRFTYTSMLHLLGEAGRVPAMMRLLAEMLRAGVDPDAATFTTVMHWLAHAGDVDAAMRVWEEMRARKGKCRPTLVSYTACVKILFDAGRPAEAREVFQEMVAEGLRPSCKTYTVLIEHLANVGKFEATMEIMDKMQEAGVEPDKALCNILVQKCSRAGETSVMTRILQYMKENFIVLRRPIFLEALEALKANGESDNLLREVNPHLAFEGIECDPAFTDLGYITVRSTILYLLASRNWSAVEHMINEMTPKNIKVESHILSDIIQASCANCRPSCGLAVLRYSLRIGNELDRSAYGSLLGHYIRNGSFDLVFEIVEILIKSGCNLGTYLSSILIIKLACAGHSSTAVRIFGLLTTDKNVVTYTALMSAYFQDGKVDKALQLFLQMSANGVSACPGTYEVLIHGLQMAGRKQESEHYRRERMEMQWHLQYRNEHSPEDSLLTHNEVWTVHSSAGDN >ORUFI10G07600.1 pep chromosome:OR_W1943:10:9655347:9655805:-1 gene:ORUFI10G07600 transcript:ORUFI10G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLLQRLPLPLWPPPAATAAPAASARCPFCSSDEDVEHLFLRYTGVATIWHAFGLDEQQIASLPQLEGVWDIPPPGQPATPRVWHTILLAVMWNIWKRRNNKVFNSVDDPASLVLQRCASDIDLCSHRCKNAESKQQLRNWASYLYVIIS >ORUFI10G07610.1 pep chromosome:OR_W1943:10:9659533:9662127:-1 gene:ORUFI10G07610 transcript:ORUFI10G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFRGVEFAALRLWPCGSFLHADEDGRSVYHGSVRDGDAWLPNAVWAVEELVAGASHTRYVLLRGAYGRYLGAGAPDARDRDQERCACPLPSCPLPCCSLQAAQRDRDDAEPDDIMWRPIGCSGTDIAGSVVLLQDRSGRYLRGNQGFLSRHHGVSVDVNIGNEMTLRWEVVRVRVPTRPERPIVPHLPCWPLLNREIQFVTVDDADNFGFGSVRFTGRSVELLREDLMRRIGYDDFTMCVRAGRHGRLTPLFIDLPHSRETLCIVLIRPSTPVNDRLLTSILKAEDDATKAAAVELQHRQELLWEREEALRVRTETALRRWEGRLQGRERATRVREDASLQRWMEGLGRRELALTQREERVSGMEATHRAATSRDKPSAPLLKKEDNIWEKRQMSLSISLLTPLALLFSVRPLIPAEYDHYILMAFIAIWGLGSLAFQFGLFGSNSGEKSFSRFVFISFTALVLYTLHLEMMEAKGYSAAPLSPLADVSNVTLFPVVLDDQTWTVIFWIYFVLVLSGHLYAWATAYITGSDKDLE >ORUFI10G07620.1 pep chromosome:OR_W1943:10:9679296:9681457:1 gene:ORUFI10G07620 transcript:ORUFI10G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRHGIMRPRRLASGRSAAEEEEDGEGEPGSYEAACSADPELGTFDTALRRRASRAITASLHRARDSQLVLHLALQRHHHEPPAAASASELYASTLGELRQFKAAGEPFTDEFFAAFQTVYRQQMSMVGKLRRRKRRLDRRLRSVRVWRRVSGIVFLTSFAALLVCSVVAAAIAAPPVAAALAAAASMPVGSAGKWMDSLLKKYQDALHGHKEVVSAMQVGTFIAIKDLDSIRVLVEHLEVQISSMADSVEFAERDEEAVRFGIDEVKKKLELFMKSVDDLGEQADRNNMRMCHILPEYVFFINLANGNGMSESLFEMMNAFHDICRKDIKFKTSHYYLNVCSTNY >ORUFI10G07630.1 pep chromosome:OR_W1943:10:9686683:9686904:1 gene:ORUFI10G07630 transcript:ORUFI10G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKLEADDGGGATGGTDDALIDGSGVDINGVAGTTRWPRRAGSGGGRALTGSSRRPRRRLLRLRWLRMRVER >ORUFI10G07640.1 pep chromosome:OR_W1943:10:9688740:9692088:-1 gene:ORUFI10G07640 transcript:ORUFI10G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKIGSIFSRTIMSSKVPGLALLNTSISKFWSDEELVRFLAERKEAHSLPENVFVGMNISLIDPRNSDDPQSPKNGENAIIKSKTGYWKVVGTVRIPTSTVIVGMKVSLDHYEGEAPSGKRTGWVMDEYLIEQNDEANLPQDYKNLCTIFFQGDDILNAGDKQICLNANVPNERKEFYLQYLAELEEQNAAWSNQAVSVNEQDVSSSKGLDGQKTSAADDQSVNHAPSREGYIELNDFLNSDSSASTSEYSSQRTMISEEYFDSDAFLREIRNDHNAADEEHTDSKFSVAAASKSDCVVISPPEQGFVNNLDNHATIAGDSPQKSVKNDKVDEHSRSMTLRHAILIRTGRLNRFMPDLLIEHSQRRLQVLKQQ >ORUFI10G07650.1 pep chromosome:OR_W1943:10:9704209:9704511:1 gene:ORUFI10G07650 transcript:ORUFI10G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAEQGKRRSLEWREKGEEVDKEAGVVMVLVAGMMDGSARRRSQSMVWPSNLWLRSQMMGHADATAMVDDLAVAILGGRLADGEDGTIGVGGGGDEVLL >ORUFI10G07660.1 pep chromosome:OR_W1943:10:9704359:9704792:-1 gene:ORUFI10G07660 transcript:ORUFI10G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKGEEEAAGGKSILSQRNAAAVTHPNSYWRSLKEGLCEVQETGVGEALVMVVGIGGSRVAAEGEVGIVPRQFHGGGSSGTALEKHLVPATSNTDGTVLTVRKPPSKDSHSKVIDHGRRIRMPHHLRSQPQV >ORUFI10G07670.1 pep chromosome:OR_W1943:10:9705772:9707563:-1 gene:ORUFI10G07670 transcript:ORUFI10G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKQNDERKNKYAVGCSIIGSIISVLMGYDTGVMSGAMLFIKEDLKTNDTQVQVLAGILNVCALVGSLTAGRVSDCVGRRLTISLAACIFLVGSVLMGLAPNFATLLAGRCVAGVGVGYALMIAPVYAAEIASADIRGSLTSLPEICISFGILIGYVANYLLAKLPLVYGWRAMLGLGALPSAALALGVLAMPESPRWLVVQGRAEEALSVLRRVCDRPSEADARLAEIKAAAGLADDDGAAANAGSGGKGVWRELFLHPTPPVRRIVIAALGIHFFQHLTGIEAVVLYSPRIFKAAGIASRNSVLAATIGVGVTKTAFILTAILLVDRIGRRPLYLSSLAGIIASLACLGMGLTVIERSPPHHSPAWAVVLAIATVFTFVASFSIGVGPITWAYSSEVYPLRLRAQGASVGVAINRVMNAGVSMTFVSLYKAITIGGAFFLFAGLAVAAATFFYLLCPETQGKPLEEIEEVFSQGWRARRRASAAAVEMPASGGGGGGGAPMA >ORUFI10G07670.2 pep chromosome:OR_W1943:10:9705774:9707526:-1 gene:ORUFI10G07670 transcript:ORUFI10G07670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKQNDERKNKYAVGCSIIGSIISVLMGYDTGVMSGAMLFIKEDLKTNDTQVQVLAGILNVCALVGSLTAGRVSDCVGRRLTISLAACIFLVGSVLMGLAPNFATLLAGRCVAGVGVGYALMIAPVYAAEIASADIRGSLTSLPEICISFGILIGYVANYLLAKLPLVYGWRAMLGLGALPSAALALGVLAMPESPRWLVVQGRAEEALSVLRRVCDRPSEADARLAEIKAAAGLADDDGAAANAGSGGKGVWRELFLHPTPPVRRIVIAALGIHFFQHLTGIEAVVLYSPRIFKAAGIASRNSVLAATIGVGVTKTAFILTAILLVDRIGRRPLYLSSLAGIIASLACLGMGLTVIERSPPHHSPAWAVVLAIATVFTFVASFSIGVGPITWAYSSEVYPLRLRAQGASVGVAINRVMNAGVSMTFVSLYKAITIGGAFFLFAGLAVAAATFFYLLCPETQGKPLEEIEEVFSQGWRARRRASAAAVEMPASGGGGGGGAPMA >ORUFI10G07680.1 pep chromosome:OR_W1943:10:9724189:9724860:-1 gene:ORUFI10G07680 transcript:ORUFI10G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDGADGEARLGDALRDGEAGGATADDKVGHATNAEASETARRVCLCSALGNSREKNGAISSHGYSVGPIEWWSGVGVGAQRFTEHAQLMAALGSAGRDDNTTRASHAAAAAAAATAVSSMGAGDKQMDLELHL >ORUFI10G07690.1 pep chromosome:OR_W1943:10:9727690:9728423:-1 gene:ORUFI10G07690 transcript:ORUFI10G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTAALRPAPRLGPTRLRPSQLAPLRRPQMQPQIQGVHHVNAANAAAESDYPRSTFLIMSNLSVN >ORUFI10G07700.1 pep chromosome:OR_W1943:10:9738096:9741777:1 gene:ORUFI10G07700 transcript:ORUFI10G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAAIHIAVVAMLVSLTALAIADESDNNQREALLCIKSHLSSPEGGALTTWNNTSLDMCTWRGVTCSSELPKPRLVVALDMEAQGLSGEIPPCISNLSSLTRIHLPNNGLSGGLASAADVAGLRYLNLSFNAIGGAIPKRLGTLRNLSSLDLTNNNIHGEIPPLLGSSSALESVGLADNYLTGGIPLFLANASSLRYLSLKNNSLYGSIPAALFNSSTIREIYLGENNLSGAIPPVTIFPSQITNLDLTTNSLTGGIPPSLGNLSSLTALLAAENQLQGSIPDFSKLSALRYLDLSYNNLSGTVNPSVYNMSSITFLGLANNNLEGIMPPGIGNTLPNIQVLIMSDNHFHGEIPKSLANASNMQFLYLANNSLRGVIPSFGLMTDLRVVMLYSNQLEAGDWAFLSSLKNCSNLQKLHFGENNLRGDMPSSVAELPKTLTSLALPSNYISGTIPLEIGNLSSISLLYLGNNLLTGSIPHTLGQLNNLVVLSLSQNIFSGEIPQSIGNLNRLTELYLAENQLTGRIPATLSRCQQLLALNLSCNALTGSISGDMFIKLNQLSWLLDLSHNQFINSIPLELGSLINLASLNISHNKLTGRIPSTLGSCVRLESLRVGGNFLEGSIPQSLANLRGTKVLDFSQNNLSGAIPDFFGTFTSLQYLNMSYNNFEGPIPVDGIFADRNKVFVQGNPHLCTNVPMDELTVCSASASKRKNKLIIPMLAAFSSIILLSSILGLYFLIVNVFLKRKWKSNEHMDHTYMELKTLTYSDVSKATNNFSAANIVGSGHFGTVYRGILHTEDTMVAVKVFKLDQCGALDSFMAECKALKNIRHRNLVKVITACSTYDPMGSEFKALVFEYMANGSLESRLHTKFDRCGDLSLGERISIAFDIASALEYLHNQCIPPVVHCDLKPSNVLFNNDDVACVCDFGLARSIRVYSSGTQSISTSMAGPRGSIGYIAPEYGMGSQISTEGDVYSYGIILLEMLTGRHPTNEIFTDGLTLRMYNGHLCSTTP >ORUFI10G07700.2 pep chromosome:OR_W1943:10:9738096:9741777:1 gene:ORUFI10G07700 transcript:ORUFI10G07700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAAIHIAVVAMLVSLTALAIADESDNNQREALLCIKSHLSSPEGGALTTWNNTSLDMCTWRGVTCSSELPKPRLVVALDMEAQGLSGEIPPCISNLSSLTRIHLPNNGLSGGLASAADVAGLRYLNLSFNAIGGAIPKRLGTLRNLSSLDLTNNNIHGEIPPLLGSSSALESVGLADNYLTGGIPLFLANASSLRYLSLKNNSLYGSIPAALFNSSTIREIYLGENNLSGAIPPVTIFPSQITNLDLTTNSLTGGIPPSLGNLSSLTALLAAENQLQGSIPDFSKLSALRYLDLSYNNLSGTVNPSVYNMSSITFLGLANNNLEGIMPPGIGNTLPNIQVLIMSDNHFHGEIPKSLANASNMQFLYLANNSLRGVIPSFGLMTDLRVVMLYSNQLEAGDWAFLSSLKNCSNLQKLHFGENNLRGDMPSSVAELPKTLTSLALPSNYISGTIPLEIGNLSSISLLYLGNNLLTGSIPHTLGQLNNLVVLSLSQNIFSGEIPQSIGNLNRLTELYLAENQLTGRIPATLSRCQQLLALNLSCNALTGSISGDMFIKLNQLSWLLDLSHNQFINSIPLELGSLINLASLNISHNKLTGRIPSTLGSCVRLESLRVGGNFLEGSIPQSLANLRGTKVLDFSQNNLSGAIPDFFGTFTSLQYLNMSYNNFEGPIPVDGIFADRNKVFVQGNPHLCTNVPMDELTVCSASASKRKNKLIIPMLAAFSSIILLSSILGLYFLIVNVFLKRKWKSNEHMDHTYMELKTLTYSDVSKATNNFSAANIVGSGHFGTVYRGILHTEDTMVAVKVFKLDQCGALDSFMAECKALKNIRHRNLVKVITACSTYDPMGSEFKALVFEYMANGSLESRLHTKFDRCGDLSLGERISIAFDIASALEYLHNQCIPPVVHCDLKPSNVLFNNDDVACVCDFGLARSIRVYSSGTQSISTSMAGPRGSIGYIAPEYGMGSQISTEGDVYSYGIILLEMLTGRHPTNEIFTDGLTLRMYVNASLSQIKDILDPRLIPEMTEQPSNHTLQLHEHKKTGIMDICALQLLKLGLQCSEESPKDRPFIHDIYSEVTSIKEAFFATSI >ORUFI10G07710.1 pep chromosome:OR_W1943:10:9744002:9747927:-1 gene:ORUFI10G07710 transcript:ORUFI10G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDACVEDKVHRIFLDFMTKVARYDELVDAGKKVLLKFHQEVEHFWRPKLLTESSAIIEIVKSNYSDRMRSYLEAGCTHHNEIIQNMNRLHAYQEKLNDHINKAKLLLEELQFLEEDVYSAALTASLSSSRNTDDCPDHDNLTNVCSEDEQQPEDWLDGAVSFASVIVLVHNMLKMDYMMQEKIVKAFWVKTSSSEIEGYCQMWDLRPYIDDNVMQLAWQLVP >ORUFI10G07720.1 pep chromosome:OR_W1943:10:9759954:9760448:1 gene:ORUFI10G07720 transcript:ORUFI10G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTMKLSIALACFLFFLAAATTASAQCTFEILVKTDGRRNAGTDARVSLQVRAASGPTLTITNLESWGQMAAGHDYFEKGNLDRFRGAGDCMPSEPCNMVLTSDGSGNKPGWYVSYVMVTQLGQGSLPSMTHRWAVDQWLAIDEAPHMLTAERRGCGIGAAAP >ORUFI10G07730.1 pep chromosome:OR_W1943:10:9766737:9769381:1 gene:ORUFI10G07730 transcript:ORUFI10G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKMLASSSMKQMVKIVGGSTPSSTKSGTGGRRGRRCGRRHSRRHARCGGRKAFGAAVREGAAAARDTDAATTREAEAAAANSASATGRDGARDEGGGWWRRRLEAELGMRRGYGGEVGSASTIEEARRQRKSVAAEEVRRRRRRRRLFH >ORUFI10G07740.1 pep chromosome:OR_W1943:10:9769552:9769866:-1 gene:ORUFI10G07740 transcript:ORUFI10G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAKGRLLDRATMEEDLFWAIRGGGGRNFGIVLSWKLRLVPIPATVTVFTVHRSRNQSATNLLIKWQHVASSLPNDAFLRVVVPLYRVPASSPPWPTPSWSST >ORUFI10G07750.1 pep chromosome:OR_W1943:10:9774057:9774548:1 gene:ORUFI10G07750 transcript:ORUFI10G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTMKLSVAFAFFFFLAATTTAFAQCTFEILVKTDGRWYAGTNARVSLQVRAASGPTLTITNLESWGQMAASHDYFEKGNLDRFRGTGDCMPSEPCNMVLTSDGSGNMPGWYVSYVMVTQLGQGSLPSMTHRWAVDQWLAIDEPPHMLTAERKGCGIGAVVP >ORUFI10G07760.1 pep chromosome:OR_W1943:10:9780351:9780952:1 gene:ORUFI10G07760 transcript:ORUFI10G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLNNIEAKFSEIHDYVLRCMLFHQHKEYIMFPYNQGGNHTYHPPSNLMQESCNSYVRQGGKHKTGRDSLGLIEKFKCPQQPETGNTCAFYVFHHMNMLTLTLQVVNSDLEERSWNMEGI >ORUFI10G07770.1 pep chromosome:OR_W1943:10:9793825:9798552:-1 gene:ORUFI10G07770 transcript:ORUFI10G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRPIILLCERLCHRGRGLQGHQHASTSTAIAPAAAVLPPSGKDLKNLDADFVKQATLFDLIVGDSF >ORUFI10G07780.1 pep chromosome:OR_W1943:10:9830476:9830979:1 gene:ORUFI10G07780 transcript:ORUFI10G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTMNKLSLALASYLILFLAAAATAATTRCTFEIVVKTDGRRNAGTDARVSLQVRAARGPTLTVANLESWGQMAAGHDYFEKGNLDRFRGAGDCMPSEPCNMVLTSDGSGNKPGWYVSYVMVTQLGQGRLPSMTHRWAVDQWLAIDEAPHMLTAERRGCGIGAAAP >ORUFI10G07790.1 pep chromosome:OR_W1943:10:9838007:9847933:-1 gene:ORUFI10G07790 transcript:ORUFI10G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVGECGGGGGCGARRGKAAHAGAGAVAGFLGCLLLVWAMGGCGRGCGGGGGEGVRDRVEEVAAQFNLSMSKLQALASLLSSPERECICKSGTINDDNPAHSMPDMSNCRLKNKPSGGNQNRLDNVIIQDCCANEDNYDKNNHENNLLQNAMQQDIGSPTTLWNLCLCDLCIVINGLNVQQNNALSFNHGMIFSLSASLGIVVILVVITIFKRGKQANELCQHEKLLQTPSVKISRKWSKRALLLGVLVGLCSSVWIFSSMHADVVARRIENLENMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKNPSAIDQKTFEDFTARTTFERPLMSGVAYALKVLHSERELFEQKLGWKIKKMETEDQSLVHDYNPEKLQPSPVQDEYAPVIFSQETVKHIISVDMMSGKEDRDNILRSRATGKGALTAPFPLLKSNHLGVVLTFTVYKYDLPPDATPEERIEATLGYLGASFDVPSLVERLLEQLASKQKIVVRLYDITNHTYPTKMYDSDVIASDDLHISNIDFGDPTRKHVMHCRFKHAPSLPWSAIMISSAVAIIVLLVGYIIYATLNSLEEAEDNYTTMRDLKGRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDTTQRDFVVTAQESGKSLINLINEVLDLAKIESGKIELEAVRFDVRDILDNVVSLFSEKSWAKGIELAVLVSDQVPDVLIGDPWRFRQIITNLVGNSMKFTEQGHIFIRVHLIEEVKRKMEALDDTSPENIEVTANSKNTMPYNTLSGLEVANNRKTLESFRMFKDSSDAIDSVNLLVTVEDTGIGITKDAQTRIFTPFMQADGSTSRTYGGTGIGLSITKRLVELMGGEIGFVSKPGVSSTFSFTAIFKENRKDPGDIKRYCPEPTPPDFQGMRALVVDGRCARAEVTMYHLRRLGIQCDLAATSESALSALLESCNSSVKSSLNMVLVDKEAWGEDSGLAFFRCLIDLRLKGTLKSWQTMPKFFLLAGSITPADSDCLRLAGYSNSIRKPLRLSTVAACLSKALGVGLTGRRSRDNSLVLRSVLTGKNILVVDDNAVNRIVAAGALKKYGAIVTCVDSGKEAISRLQPPHKFDACFMDVQMPEMDGFEATRLVRSVESKINDTIQAASAKNPFGDNNIAKQKSYAVNREQKFDWTAIYVSFGKFQLNTLNVQALVT >ORUFI10G07790.2 pep chromosome:OR_W1943:10:9838210:9847933:-1 gene:ORUFI10G07790 transcript:ORUFI10G07790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVGECGGGGGCGARRGKAAHAGAGAVAGFLGCLLLVWAMGGCGRGCGGGGGEGVRDRVEEVAAQFNLSMSKLQALASLLSSPERECICKSGTINDDNPAHSMPDMSNCRLKNKPSGGNQNRLDNVIIQDCCANEDNYDKNNHENNLLQNAMQQDIGSPTTLWNNNALSFNHGMIFSLSASLGIVVILVVITIFKRGKQANELCQHEKLLQTPSVKISRKWSKRALLLGVLVGLCSSVWIFSSMHADVVARRIENLENMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKNPSAIDQKTFEDFTARTTFERPLMSGVAYALKVLHSERELFEQKLGWKIKKMETEDQSLVHDYNPEKLQPSPVQDEYAPVIFSQETVKHIISVDMMSGKEDRDNILRSRATGKGALTAPFPLLKSNHLGVVLTFTVYKYDLPPDATPEERIEATLGYLGASFDVPSLVERLLEQLASKQKIVVRLYDITNHTYPTKMYDSDVIASDDLHISNIDFGDPTRKHVMHCRFKHAPSLPWSAIMISSAVAIIVLLVGYIIYATLNSLEEAEDNYTTMRDLKGRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDTTQRDFVVTAQESGKSLINLINEVLDLAKIESGKIELEAVRFDVRDILDNVVSLFSEKSWAKGIELAVLVSDQVPDVLIGDPWRFRQIITNLVGNSMKFTEQGHIFIRVHLIEEVKRKMEALDDTSPENIEVTANSKNTMPYNTLSGLEVANNRKTLESFRMFKDSSDAIDSVNLLVTVEDTGIGITKDAQTRIFTPFMQADGSTSRTYGGTGIGLSITKRLVELMGGEIGFVSKPGVSSTFSFTAIFKENRKDPGDIKRYCPEPTPPDFQGMRALVVDGRCARAEVTMYHLRRLGIQCDLAATSESALSALLESCNSSVKSSLNMVLVDKEAWGEDSGLAFFRCLIDLRLKGTLKSWQTMPKFFLLAGSITPADSDCLRLAGYSNSIRKPLRLSTVAACLSKALGVGLTGRRSRDNSLVLRSVLTGKNILVVDDNAVNRIVAAGALKKYGAIVTCVDSGKEAISRLQPPHKFDACFMDVQMPEMDGFEATRLVRSVESKINDTIQAGEVSSEIYGNKAHWHVPILAMTADVIQATFEGCMECGMDGYVAKPFEEQQLYSAVAHFLEADATDPLT >ORUFI10G07790.3 pep chromosome:OR_W1943:10:9838210:9847933:-1 gene:ORUFI10G07790 transcript:ORUFI10G07790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVGECGGGGGCGARRGKAAHAGAGAVAGFLGCLLLVWAMGGCGRGCGGGGGEGVRDRVEEVAAQFNLSMSKLQALASLLSSPERECICKSGTINDDNPAHSMPDMSNCRLKNKPSGGNQNRLDNVIIQDCCANEDNYDKNNHENNLLQNAMQQDIGSPTTLWNLCLCDLCIVINGLNVQQNNALSFNHGMIFSLSASLGIVVILVVITIFKRGKQANELCQHEKLLQTPSVKISRKWSKRALLLGVLVGLCSSVWIFSSMHADVVARRIENLENMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKNPSAIDQKTFEDFTARTTFERPLMSGVAYALKVLHSERELFEQKLGWKIKKMETEDQSLVHDYNPEKLQPSPVQDEYAPVIFSQETVKHIISVDMMSGKEDRDNILRSRATGKGALTAPFPLLKSNHLGVVLTFTVYKYDLPPDATPEERIEATLGYLGASFDVPSLVERLLEQLASKQKIVVRLYDITNHTYPTKMYDSDVIASDDLHISNIDFGDPTRKHVMHCRFKHAPSLPWSAIMISSAVAIIVLLVGYIIYATLNSLEEAEDNYTTMRDLKGRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDTTQRDFVVTAQESGKSLINLINEVLDLAKIESGKIELEAVRFDVRDILDNVVSLFSEKSWAKGIELAVLVSDQVPDVLIGDPWRFRQIITNLVGNSMKFTEQGHIFIRVHLIEEVKRKMEALDDTSPENIEVTANSKNTMPYNTLSGLEVANNRKTLESFRMFKDSSDAIDSVNLLVTVEDTGIGITKDAQTRIFTPFMQADGSTSRTYGGTGIGLSITKRLVELMGGEIGFVSKPGVSSTFSFTAIFKENRKDPGDIKRYCPEPTPPDFQGMRALVVDGRCARAEVTMYHLRRLGIQCDLAATSESALSALLESCNSSVKSSLNMVLVDKEAWGEDSGLAFFRCLIDLRLKGTLKSWQTMPKFFLLAGSITPADSDCLRLAGYSNSIRKPLRLSTVAACLSKALGVGLTGRRSRDNSLVLRSVLTGKNILVVDDNAVNRIVAAGALKKYGAIVTCVDSGKEAISRLQPPHKFDACFMDVQMPEMDGFEATRLVRSVESKINDTIQAGEVSSEIYGNKAHWHVPILAMTADVIQATFEGCMECGMDGYVAKPFEEQQLYSAVAHFLEADATDPLT >ORUFI10G07800.1 pep chromosome:OR_W1943:10:9889229:9889627:1 gene:ORUFI10G07800 transcript:ORUFI10G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGGNCEVNSSIALLQERFRNLQKVREMREGREQLLQTPPSPTATATAIAGATTTGAAASSSGGEQPRWFSHPELVRPSSRPAAAQRATADDDAAAVRQPPAVSVGRAAAMVLQSSGCRSDVEVDTSLHL >ORUFI10G07810.1 pep chromosome:OR_W1943:10:9890699:9891136:-1 gene:ORUFI10G07810 transcript:ORUFI10G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRRRRPRMGGEQGWASVDLAASVASHDGSSGDHDRSSFLWRILGRLWCQWRPTTVVVEKEAHPSSPPFHLATAVMVEKAGEEGEVMAGIEDKTLVLDVHVFMVFLMFLFVRSVHVFLDTGDGSCSMHRIDSSRCIELAFLLHF >ORUFI10G07820.1 pep chromosome:OR_W1943:10:9895686:9900348:1 gene:ORUFI10G07820 transcript:ORUFI10G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVATNRSRNFSNKVRASYNIWRLQFHHTNTDSTLRLMKDQIIMAKVYATIAHSQKQPDMYALLMMCIKLCQEAIGDAHMDYELDSSALERAKAMGHALSSARDVLYNSDEVSRRLLVMLQSTELNIDSVKKQNSFLVQHAAKTVPMPLHCLHMQLTTDYYFRDGMIKEYFHDAALKEEEDKAKREDRSLYHYAIFSDNVLAASVVVRSTVTHAKEPEKHVFHIVTDRLNFAAMTMWFIRHPPLPATVHVENIDNFKWLNSSYCSVLRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDVVVQKDLTPLWDVDLKGMVNGAVETCKESFHRFDTYLNFSHPKIAENFDPRACGWAFGMNMFDLKEWKKQNITGIYHYWQDLNEDRKLWKLGTLPPGLITFYNLTYPLNRNWHVLGLGYDPAVDLAEIENAAVVHYNGNYKPWLDLAVSKYKPYWSKYVDLDNSHIQHCYMSEQ >ORUFI10G07830.1 pep chromosome:OR_W1943:10:9917398:9929942:1 gene:ORUFI10G07830 transcript:ORUFI10G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYQMNGILNGMSNSRHPSSPSEVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLKINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTHVSAVWPGWGHASENPELPDALKEKGIIFLGPPSAAMAALGDKIGSSLIAQAAGVPTLPWSGSHVKIPPESCNSIPEEMYRSACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVAPSETVKELEQAARRLAKCVHYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVVVGMGVPLYNIPEIRRFYGMEHGGGYDAWRKISAVATKFDLDNAQSVKPKGHCVAVRVTSEDPDDGFKPTSGRVEELNFKSKPNSGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNAAEYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSSVVTDYVGYLSKGQIPPKHISLVNLTVTLNIEGSKYTIETVRRGPRSYTLRMNGSEIEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVADGSHVDADTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMQVLYLYFTLLLFVCILFAYIFYKAADLIARLDLDDPSSVRRAEPFHGTFPKLGPPTAVSGKVHQKFAASVNSAHMILAGYEHNINEVVQDLLNCLDSPELPFLQWQELMSVLATRLPKDLRNELDGKYKEYELNSDFRKNKDFPAKLLRGIIEANLAYCSEKDRVTNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLSVEELFSDNIQSDVIERLRLQHAKDLEKVVYIVFSHQGVRTKNKLILRLMEALVYPNPSAYRDQLIRFSGLNNTVYSELALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVGAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQPYLVKGSVRMQWHRSGLIALWEFSEEHIKQRNGQDAMSLKQQVEDPEEKRWGVMVVIKSLQYLSSAIDAALKETSHYKAGAGNVSNGNSASSSHGNMLHIALVGINNQMSTLQDSGDEDQAQERINKISKILKDSTVTSHLNGAGVRVVSCIIQRDEGRPPMRHSFQWSVDKIYYEEDPMLRHVEPPLSTFLELNKVNLDGYNEVKYTPSRDRQWHIYTLIKNKKDQRSNDQRLFLRTIVRQPGVTNGFLSGNVDNEVGRAQASSSYTSSSILRSLMAALEEIELHAHNETVRSSYSHMYLCILRVQQLFDLIPFSRTIDNVGQDEATACTLLKNMALNIYEHVGVRMHRLSVCQWEVKLWLDCDGQASGAWRVVVTNVTGHTCTVDIYREVEDSNTHKLFYHSVTPSLGPLHGIVLDEPYKPLDAIDLKRYSARKNETTYCYDFPLAFETALKRSWKSTLSVVAEANEHNKSYAKVTELMFADSTGSWGTPLVPVERSPGINDIGIVAWIMKLSTPEFPSGREIIVVSNDVTFKAGSFGPREDAFFDAVTNLACERKLPLIYLSATAGARLGVAEEIKACFNVGWSDDESPERGFHYIYLTEQDYSRLSSSVIAHELKLESGETRWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYKETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSYVPPYVGGPLPIMKPLDPPDRPVTYFPENSCDARAAICGVQDSQGKWMGGMFDRESFVETLEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSNIVENLRTYNQPAFVYIPMGGELRGGAWVVVDSKINPEHIEMYAERTAKGNVLEPEGLVEIKFRPKELEECMLRLDPELIKLSTRLREMKKENAGLSEMDTTRRSIIARMKQLMPIYTQVATRFAELHDTSARMAAKGVIGKVVDWEESRSFFYRRLRRRVTEDALAKEIREAAGEQLSQKSALDYIKKWYLSSNGSDGNSEKWNNDEAFFAWKDDPTNYENQLEELKAERVSKWLSRLAESPDVKALPNGLSIVLNKMNPSKREQVIDGLRQLLG >ORUFI10G07830.2 pep chromosome:OR_W1943:10:9917398:9929942:1 gene:ORUFI10G07830 transcript:ORUFI10G07830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYQMNGILNGMSNSRHPSSPSEVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLKINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTHVSAVWPGWGHASENPELPDALKEKGIIFLGPPSAAMAALGDKIGSSLIAQAAGVPTLPWSGSHVKIPPESCNSIPEEMYRSACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVAPSETVKELEQAARRLAKCVHYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVVVGMGVPLYNIPEIRRFYGMEHGGGYDAWRKISAVATKFDLDNAQSVKPKGHCVAVRVTSEDPDDGFKPTSGRVEELNFKSKPNVWAYFSVKSGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNAAEYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSSVVTDYVGYLSKGQIPPKHISLVNLTVTLNIEGSKYTIETVRRGPRSYTLRMNGSEIEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVADGSHVDADTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMQAADLIARLDLDDPSSVRRAEPFHGTFPKLGPPTAVSGKVHQKFAASVNSAHMILAGYEHNINEVVQDLLNCLDSPELPFLQWQELMSVLATRLPKDLRNELDGKYKEYELNSDFRKNKDFPAKLLRGIIEANLAYCSEKDRVTNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLSVEELFSDNIQSDVIERLRLQHAKDLEKVVYIVFSHQGVRTKNKLILRLMEALVYPNPSAYRDQLIRFSGLNNTVYSELALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVGAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQPYLVKGSVRMQWHRSGLIALWEFSEEHIKQRNGQDAMSLKQQVEDPEEKRWGVMVVIKSLQYLSSAIDAALKETSHYKAGAGNVSNGNSASSSHGNMLHIALVGINNQMSTLQDSGDEDQAQERINKISKILKDSTVTSHLNGAGVRVVSCIIQRDEGRPPMRHSFQWSVDKIYYEEDPMLRHVEPPLSTFLELNKVNLDGYNEVKYTPSRDRQWHIYTLIKNKKDQRSNDQRLFLRTIVRQPGVTNGFLSGNVDNEVGRAQASSSYTSSSILRSLMAALEEIELHAHNETVRSSYSHMYLCILRVQQLFDLIPFSRTIDNVGQDEATACTLLKNMALNIYEHVGVRMHRLSVCQWEVKLWLDCDGQASGAWRVVVTNVTGHTCTVDIYREVEDSNTHKLFYHSVTPSLGPLHGIVLDEPYKPLDAIDLKRYSARKNETTYCYDFPLAFETALKRSWKSTLSVVAEANEHNKSYAKVTELMFADSTGSWGTPLVPVERSPGINDIGIVAWIMKLSTPEFPSGREIIVVSNDVTFKAGSFGPREDAFFDAVTNLACERKLPLIYLSATAGARLGVAEEIKACFNVGWSDDESPERGFHYIYLTEQDYSRLSSSVIAHELKLESGETRWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYKETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSYVPPYVGGPLPIMKPLDPPDRPVTYFPENSCDARAAICGVQDSQGKWMGGMFDRESFVETLEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSNIVENLRTYNQPAFVYIPMGGELRGGAWVVVDSKINPEHIEMYAERTAKGNVLEPEGLVEIKFRPKELEECMLRLDPELIKLSTRLREMKKENAGLSEMDTTRRSIIARMKQLMPIYTQVATRFAELHDTSARMAAKGVIGKVVDWEESRSFFYRRLRRRVTEDALAKEIREAAGEQLSQKSALDYIKKWYLSSNGSDGNSEKWNNDEAFFAWKDDPTNYENQLEELKAERVSKWLSRLAESPDVKALPNGLSIVLNKMNPSKREQVIDGLRQLLG >ORUFI10G07830.3 pep chromosome:OR_W1943:10:9917398:9929942:1 gene:ORUFI10G07830 transcript:ORUFI10G07830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYQMNGILNGMSNSRHPSSPSEVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLKINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTHVSAVWPGWGHASENPELPDALKEKGIIFLGPPSAAMAALGDKIGSSLIAQAAGVPTLPWSGSHVKIPPESCNSIPEEMYRSACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVAPSETVKELEQAARRLAKCVHYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVVVGMGVPLYNIPEIRRFYGMEHGGGYDAWRKISAVATKFDLDNAQSVKPKGHCVAVRVTSEDPDDGFKPTSGRVEELNFKSKPNSGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNAAEYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSSVVTDYVGYLSKGQIPPKHISLVNLTVTLNIEGSKYTIETVRRGPRSYTLRMNGSEIEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVADGSHVDADTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMQAADLIARLDLDDPSSVRRAEPFHGTFPKLGPPTAVSGKVHQKFAASVNSAHMILAGYEHNINEVVQDLLNCLDSPELPFLQWQELMSVLATRLPKDLRNELDGKYKEYELNSDFRKNKDFPAKLLRGIIEANLAYCSEKDRVTNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLSVEELFSDNIQSDVIERLRLQHAKDLEKVVYIVFSHQGVRTKNKLILRLMEALVYPNPSAYRDQLIRFSGLNNTVYSELALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVGAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQPYLVKGSVRMQWHRSGLIALWEFSEEHIKQRNGQDAMSLKQQVEDPEEKRWGVMVVIKSLQYLSSAIDAALKETSHYKAGAGNVSNGNSASSSHGNMLHIALVGINNQMSTLQDSGDEDQAQERINKISKILKDSTVTSHLNGAGVRVVSCIIQRDEGRPPMRHSFQWSVDKIYYEEDPMLRHVEPPLSTFLELNKVNLDGYNEVKYTPSRDRQWHIYTLIKNKKDQRSNDQRLFLRTIVRQPGVTNGFLSGNVDNEVGRAQASSSYTSSSILRSLMAALEEIELHAHNETVRSSYSHMYLCILRVQQLFDLIPFSRTIDNVGQDEATACTLLKNMALNIYEHVGVRMHRLSVCQWEVKLWLDCDGQASGAWRVVVTNVTGHTCTVDIYREVEDSNTHKLFYHSVTPSLGPLHGIVLDEPYKPLDAIDLKRYSARKNETTYCYDFPLAFETALKRSWKSTLSVVAEANEHNKSYAKVTELMFADSTGSWGTPLVPVERSPGINDIGIVAWIMKLSTPEFPSGREIIVVSNDVTFKAGSFGPREDAFFDAVTNLACERKLPLIYLSATAGARLGVAEEIKACFNVGWSDDESPERGFHYIYLTEQDYSRLSSSVIAHELKLESGETRWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYKETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSYVPPYVGGPLPIMKPLDPPDRPVTYFPENSCDARAAICGVQDSQGKWMGGMFDRESFVETLEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSNIVENLRTYNQPAFVYIPMGGELRGGAWVVVDSKINPEHIEMYAERTAKGNVLEPEGLVEIKFRPKELEECMLRLDPELIKLSTRLREMKKENAGLSEMDTTRRSIIARMKQLMPIYTQVATRFAELHDTSARMAAKGVIGKVVDWEESRSFFYRRLRRRVTEDALAKEIREAAGEQLSQKSALDYIKKWYLSSNGSDGNSEKWNNDEAFFAWKDDPTNYENQLEELKAERVSKWLSRLAESPDVKALPNGLSIVLNKMNPSKREQVIDGLRQLLG >ORUFI10G07830.4 pep chromosome:OR_W1943:10:9917398:9929942:1 gene:ORUFI10G07830 transcript:ORUFI10G07830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYQMNGILNGMSNSRHPSSPSEVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLKINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTHVSAVWPGWGHASENPELPDALKEKGIIFLGPPSAAMAALGDKIGSSLIAQAAGVPTLPWSGSHVKIPPESCNSIPEEMYRSACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKEGPITVAPSETVKELEQAARRLAKCVHYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVVVGMGVPLYNIPEIRRFYGMEHGGGYDAWRKISAVATKFDLDNAQSVKPKGHCVAVRVTSEDPDDGFKPTSGRVEELNFKSKPNSGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNAAEYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSSVVTDYVGYLSKGQIPPKHISLVNLTVTLNIEGSKYTIETVRRGPRSYTLRMNGSEIEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVADGSHVDADTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMQAADLIARLDLDDPSSVRRAEPFHGTFPKLGPPTAVSGKVHQKFAASVNSAHMILAGYEHNINEVVQDLLNCLDSPELPFLQWQELMSVLATRLPKDLRNELDGKYKEYELNSDFRKNKDFPAKLLRGIIEANLAYCSEKDRVTNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLSVEELFSDNIQSDVIERLRLQHAKDLEKVVYIVFSHQGVRTKNKLILRLMEALVYPNPSAYRDQLIRFSGLNNTVYSELALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVGAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQPYLVKGSVRMQWHRSGLIALWEFSEEHIKQRNGQDAMSLKQQVEDPEEKRWGVMVVIKSLQYLSSAIDAALKETSHYKAGAGNVSNGNSASSSHGNMLHIALVGINNQMSTLQDSGDEDQAQERINKISKILKDSTVTSHLNGAGVRVVSCIIQRDEGRPPMRHSFQWSVDKIYYEEDPMLRHVEPPLSTFLELNKVNLDGYNEVKYTPSRDRQWHIYTLIKNKKDQRSNDQRLFLRTIVRQPGVTNGFLSGNVDNEVGRAQASSSYTSSSILRSLMAALEEIELHAHNETVRSSYSHMYLCILRVQQLFDLIPFSRTIDNVGQDEATACTLLKNMALNIYEHVGVRMHRLSVCQWEVKLWLDCDGQASGAWRVVVTNVTGHTCTVDIYREVEDSNTHKLFYHSVTPSLGPLHGIVLDEPYKPLDAIDLKRYSARKNETTYCYDFPLAFETALKRSWKSTLSVVAEANEHNKSYAKVTELMFADSTGSWGTPLVPVERSPGINDIGIVAWIMKLSTPEFPSGREIIVVSNDVTFKAGSFGPREDAFFDAVTNLACERKLPLIYLSATAGARLGVAEEIKACFNVGWSDDESPERGFHYIYLTEQDYSRLSSSVIAHELKLESGETRWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYKETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSYVPPYVGGPLPIMKPLDPPDRPVTYFPENSCDARAAICGVQDSQGKWMGGMFDRESFVETLEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSNIVENLRTYNQPAFVYIPMGGELRGGAWVVVDSKINPEHIEMYAERTAKGNVLEPEGLVEIKFRPKELEECMLRLDPELIKLSTRLREMKKENAGLSEMDTTRRSIIARMKQLMPIYTQVATRFAELHDTSARMAAKGVIGKVVDWEESRSFFYRRLRRRVTEDALAKEIREAAGEQLSQKSALDYIKKWYLSSNGSDGNSEKWNNDEAFFAWKDDPTNYENQLEELKAERVSKWLSRLAESPDVKALPNGLSIVLNKMNPSKREQVIDGLRQLLG >ORUFI10G07830.5 pep chromosome:OR_W1943:10:9917398:9929942:1 gene:ORUFI10G07830 transcript:ORUFI10G07830.5 gene_biotype:protein_coding transcript_biotype:protein_coding METGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVVVGMGVPLYNIPEIRRFYGMEHGGGYDAWRKISAVATKFDLDNAQSVKPKGHCVAVRVTSEDPDDGFKPTSGRVEELNFKSKPNVWAYFSVKSGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNAAEYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSSVVTDYVGYLSKGQIPPKHISLVNLTVTLNIEGSKYTIETVRRGPRSYTLRMNGSEIEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVADGSHVDADTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMQAADLIARLDLDDPSSVRRAEPFHGTFPKLGPPTAVSGKVHQKFAASVNSAHMILAGYEHNINEVVQDLLNCLDSPELPFLQWQELMSVLATRLPKDLRNELDGKYKEYELNSDFRKNKDFPAKLLRGIIEANLAYCSEKDRVTNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLSVEELFSDNIQSDVIERLRLQHAKDLEKVVYIVFSHQGVRTKNKLILRLMEALVYPNPSAYRDQLIRFSGLNNTVYSELALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVGAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQPYLVKGSVRMQWHRSGLIALWEFSEEHIKQRNGQDAMSLKQQVEDPEEKRWGVMVVIKSLQYLSSAIDAALKETSHYKAGAGNVSNGNSASSSHGNMLHIALVGINNQMSTLQDSGDEDQAQERINKISKILKDSTVTSHLNGAGVRVVSCIIQRDEGRPPMRHSFQWSVDKIYYEEDPMLRHVEPPLSTFLELNKVNLDGYNEVKYTPSRDRQWHIYTLIKNKKDQRSNDQRLFLRTIVRQPGVTNGFLSGNVDNEVGRAQASSSYTSSSILRSLMAALEEIELHAHNETVRSSYSHMYLCILRVQQLFDLIPFSRTIDNVGQDEATACTLLKNMALNIYEHVGVRMHRLSVCQWEVKLWLDCDGQASGAWRVVVTNVTGHTCTVDIYREVEDSNTHKLFYHSVTPSLGPLHGIVLDEPYKPLDAIDLKRYSARKNETTYCYDFPLAFETALKRSWKSTLSVVAEANEHNKSYAKVTELMFADSTGSWGTPLVPVERSPGINDIGIVAWIMKLSTPEFPSGREIIVVSNDVTFKAGSFGPREDAFFDAVTNLACERKLPLIYLSATAGARLGVAEEIKACFNVGWSDDESPERGFHYIYLTEQDYSRLSSSVIAHELKLESGETRWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYKETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSYVPPYVGGPLPIMKPLDPPDRPVTYFPENSCDARAAICGVQDSQGKWMGGMFDRESFVETLEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSNIVENLRTYNQPAFVYIPMGGELRGGAWVVVDSKINPEHIEMYAERTAKGNVLEPEGLVEIKFRPKELEECMLRLDPELIKLSTRLREMKKENAGLSEMDTTRRSIIARMKQLMPIYTQVATRFAELHDTSARMAAKGVIGKVVDWEESRSFFYRRLRRRVTEDALAKEIREAAGEQLSQKSALDYIKKWYLSSNGSDGNSEKWNNDEAFFAWKDDPTNYENQLEELKAERVSKWLSRLAESPDVKALPNGLSIVLNKMNPSKREQVIDGLRQLLG >ORUFI10G07840.1 pep chromosome:OR_W1943:10:9933811:9938973:1 gene:ORUFI10G07840 transcript:ORUFI10G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHGLLPRVVSCNVFISAALGLRRPEIALSFFREMRRCRISPNIYTANMVLRAFCDLGRTADAAEVLDKMPEWGVGRTTVSFNTLIAAYCRDGVDAGPALQLKMKMEQEGLVPDVVTYDTIIHGLCKEGRMGKANQVLSEMRVKGVMPNTVTYNTLIHGYVALGDNAMAGRVHEEMVKNRVELDIVTFNALILGLCNEGKMKKVEHLLRELDRAKLEPNASTFSALIVGWCKMQNSERALQLLNVMKKSGFHPNYTTYKMVISSFCKNKDLEGAVDVMGDMLGRCIAPDKALLNEFFDGLWKAKKLHLAENLRSLNNGLKFIPDVYYTGDYRNKDELEMLWAVAN >ORUFI10G07850.1 pep chromosome:OR_W1943:10:9945197:9947897:1 gene:ORUFI10G07850 transcript:ORUFI10G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNYPEKKTGAKTDPKQPQLPLIHTTPQLPQHARNPALRLHTSQPARSSSSPALRLHNATASRRHSGEEEVRQGGEVLKMGALLSSPNSKNQPWEHGEASKADSSKKLRMSAPPLSGGYDHPGLIPGLPDEISLQILARMPRMGYLNAKMVSRSWKAAITGVELYRVRKELGVSEEWLYMLTKSDDGKLVWNAFDPVCGQWQRLPLMPGISHGGECKRGIPGLWLGDLLSAGIRVSDVIRGWLGQRDSLDRLPFCGCAIGTVNGCIYVLGGFSRGSAMKCVWRYDPFVNAWQEVSSMSTGRAFCKASLLNNKLYVVGGVSKGKNGLAPLQSAEVFDPRTGIWVEVPDIPFSKAQALPTAFLAELLKPIATGMTSFGGKLYVPQSLYSWPFFVDVGGEIFDPETNSWAEMPVGMGEGWPARQAGTKLSAVIDGDLYALEPSTSSDRGKIKIYDPQEDAWKVAIGQVPVGDFAESECPYLLAGFLGKLNLIIKDVDSKINIMQTDVLKPVELSAPGNGPTCQNQQLSSEQETNLWKVIVSKNLAAAELVSCQVLNI >ORUFI10G07860.1 pep chromosome:OR_W1943:10:9949947:9961615:-1 gene:ORUFI10G07860 transcript:ORUFI10G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLVLNLRSATSLSGSGGLIAVHYTFLALNLVLGARVRVFAGKSQVLMASIIHGAFGYGTVLIFSGKMEAFWRVPKWKIEKAKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVSAKTNKANVRNGSCKWPDPIYEATRLLQDSRTKTYDDKLYKIVVAMGTSRSSILGELDVNLAEFAEALKPVSIALPLRGCEFGTILHVWEFEQQRETGAKSTQQLVNQRSHDPSEIGVASSDIYSHKANARIKLKETSSGFPLAEDSAGSTEDYENSSHNSDGLFAEKIDSYGGHEVSSFRATMSGDLSLSSQSPTPEKGSLRSKHLSPQGSNEWTYGWSPELSTGHDLAAAHEENNQLRTRLEVAESAFSHLKSEATSLQDFTDKLGTETQGLAQQLGVELMSRNQLSAEVSSLRTECSNLKRELQEMKSAKLLQQKANGEDIMMAAGQGNISSKFGNDILADTSVHDLQTEWLQGLLLLESKLQQTRNNALHGLQAADLDFLLADLGALQRVIENLKQGVQNGQMKENNYLEHLVPPTNAAHQPSLGRDHDSNKKTSGSAGTMEEKMCELLQKLEDSKTEKENLLEKMSQMERYYESFIHKLEERQKQTEIELENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFVEDRTTLEAQNKEFERRAVATETALKRVRWNYSAAVERLQKDLELLSFQVLSMYESNETLAKQSIVEDFESSPEEQSAVADLGANKERRLYMSDHESQAFSAENGRPDNLTYKMDGQKSLLRALKMEEIRNRSEFQVRSNTNLQVDYSKLDKLEQTPSTTESEVLETYMANIEWQVFSDVLREAHCTALGTIKLMQERLHMLEIQLRDSNDARDSLVLKLNAALDQAKSVKETEAEYILKCDDFMVKNKILEAKLQDMSAENALLMEKLTESERYVQEHESCESKYKACTEDRKRFEDLLMKESLQTSHLKDELRSVVENFEAMKDELHKQSTLNTDMQTVSALLQEQMNNVCNGIISSSKDIGISGLDEASLLHELQRRNYIAVMASLEFFQKQSCQEVVRLRQEKEAAEEMCEALRSRQDKSELELLDMKQKYQLDFDAMKEKLNFSEEHMEKLEKELQDMTHKFKISSEAQEKYSIINADLTSRLAEMEGQLQHITSENEALVEKLKDIAAIVEEHERTKVTLAESEEENKTLTLSLQSKDEAMMQMENEIRSLQDELRSSDDNLLREKRLMEELQSTLASLTSQLGHKDQALLSFDEHKTELNRLRDQVLDMERANSLMQDALSQSEQIQMDLNCKNISLQSQLSNVEDRLATVMKDTVATETEASYMRNLVEELTGQLDSLRNDHEKLQLKNKDADDLLRVHMSTEAELADRVAALEAAIHSLEIDLARVNEEKEELEELIKSNEEQFVQVGTDKSRDIVESIDSSERVLKYQDDILQLKVLLTNLEEQVDDLRSTKDEVEILNMVLKSKLEEQRTEILSLLQNSGHELANFKEQNKDLTQKLAEQTLKAEEFKNLSIHLRELKEKAEAGRKEKEGSLFAMQESLRIAFIKEQYETKVQELKGQVFVSKKYAEEMLLKLQSALDEVETGRKNEIALAKRIEELSMRISEMELEMQDASVDKRDLSNAYDSIVTELECTKLNFDCCMEEKQKIEDTLQECTEERNRIRVELDLVKKLLENMALTDNPTVPDNSGSCTSGATSIGQILGDAKPGSASSKTTKNTPEVDSGLQQDEDRIQSTNASSTLAAGEDVRRFSEQGEHARSVPSKNLEECEPSLENHSTGKTSIEDISMEHRKLAVDLNHFHQELERLKNENLSPLLPLDINLIDPSLSGLERALSQLDMANEHLRSIFPSFKELPGSGNALERVLALELELAEALQAKKKTDILFQSSFLKQHNDEAAVFQSFRDINELIQDTIELKRRQVAVESELKDMQGRYSELSVQFAEVEGERQKLEMNLKNRSPMRS >ORUFI10G07860.2 pep chromosome:OR_W1943:10:9949947:9961615:-1 gene:ORUFI10G07860 transcript:ORUFI10G07860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLVLNLRSATSLSGSGGLIAVHYTFLALNLVLGARVRVFAGKSQVLMASIIHGAFGYGTVLIFSGKMEAFWRVPKWKIEKAKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVSAKTNKANVRNGSCKWPDPIYEATRLLQDSRTKTYDDKLYKIVVAMGTSRSSILGELDVNLAEFAEALKPVSIALPLRGCEFGTILHQRETGAKSTQQLVNQRSHDPSEIGVASSDIYSHKANARIKLKETSSGFPLAEDSAGSTEDYENSSHNSDGLFAEKIDSYGGHEVSSFRATMSGDLSLSSQSPTPEKGSLRSKHLSPQGSNEWTYGWSPELSTGHDLAAAHEENNQLRTRLEVAESAFSHLKSEATSLQDFTDKLGTETQGLAQQLGVELMSRNQLSAEVSSLRTECSNLKRELQEMKSAKLLQQKANGEDIMMAAGQGNISSKFGNDILADTSVHDLQTEWLQGLLLLESKLQQTRNNALHGLQAADLDFLLADLGALQRVIENLKQGVQNGQMKENNYLEHLVPPTNAAHQPSLGRDHDSNKKTSGSAGTMEEKMCELLQKLEDSKTEKENLLEKMSQMERYYESFIHKLEERQKQTEIELENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFVEDRTTLEAQNKEFERRAVATETALKRVRWNYSAAVERLQKDLELLSFQVLSMYESNETLAKQSIVEDFESSPEEQSAVADLGANKERRLYMSDHESQAFSAENGRPDNLTYKMDGQKSLLRALKMEEIRNRSEFQVRSNTNLQVDYSKLDKLEQTPSTTESEVLETYMANIEWQVFSDVLREAHCTALGTIKLMQERLHMLEIQLRDSNDARDSLVLKLNAALDQAKSVKETEAEYILKCDDFMVKNKILEAKLQDMSAENALLMEKLTESERYVQEHESCESKYKACTEDRKRFEDLLMKESLQTSHLKDELRSVVENFEAMKDELHKQSTLNTDMQTVSALLQEQMNNVCNGIISSSKDIGISGLDEASLLHELQRRNYIAVMASLEFFQKQSCQEVVRLRQEKEAAEEMCEALRSRQDKSELELLDMKQKYQLDFDAMKEKLNFSEEHMEKLEKELQDMTHKFKISSEAQEKYSIINADLTSRLAEMEGQLQHITSENEALVEKLKDIAAIVEEHERTKVTLAESEEENKTLTLSLQSKDEAMMQMENEIRSLQDELRSSDDNLLREKRLMEELQSTLASLTSQLGHKDQALLSFDEHKTELNRLRDQVLDMERANSLMQDALSQSEQIQMDLNCKNISLQSQLSNVEDRLATVMKDTVATETEASYMRNLVEELTGQLDSLRNDHEKLQLKNKDADDLLRVHMSTEAELADRVAALEAAIHSLEIDLARVNEEKEELEELIKSNEEQFVQVGTDKSRDIVESIDSSERVLKYQDDILQLKVLLTNLEEQVDDLRSTKDEVEILNMVLKSKLEEQRTEILSLLQNSGHELANFKEQNKDLTQKLAEQTLKAEEFKNLSIHLRELKEKAEAGRKEKEGSLFAMQESLRIAFIKEQYETKVQELKGQVFVSKKYAEEMLLKLQSALDEVETGRKNEIALAKRIEELSMRISEMELEMQDASVDKRDLSNAYDSIVTELECTKLNFDCCMEEKQKIEDTLQECTEERNRIRVELDLVKKLLENMALTDNPTVPDNSGSCTSGATSIGQILGDAKPGSASSKTTKNTPEVDSGLQQDEDRIQSTNASSTLAAGEDVRRFSEQGEHARSVPSKNLEECEPSLENHSTGKTSIEDISMEHRKLAVDLNHFHQELERLKNENLSPLLPLDINLIDPSLSGLERALSQLDMANEHLRSIFPSFKELPGSGNALERVLALELELAEALQAKKKTDILFQSSFLKQHNDEAAVFQSFRDINELIQDTIELKRRQVAVESELKDMQGRYSELSVQFAEVEGERQKLEMNLKNRSPMRS >ORUFI10G07860.3 pep chromosome:OR_W1943:10:9957363:9960072:-1 gene:ORUFI10G07860 transcript:ORUFI10G07860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVPKWKIEKAKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVSAKTNKANVRNGSCKWPDPIYEATRLLQDSRTKTYDDKLYKIVVAMGTSRSSILGELDVNLAEFAEALKPVSIALPLRGCEFGTILHQRETGAKSTQQLVNQRSHDPSEIGVASSDIYSHKANARIKLKETSSGFPLAEDSAGSTEDYENSSHNSDGLFAEKIDSYGGHEL >ORUFI10G07860.4 pep chromosome:OR_W1943:10:9949947:9957355:-1 gene:ORUFI10G07860 transcript:ORUFI10G07860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDLSLSSQSPTPEKGSLRSKHLSPQGSNEWTYGWSPELSTGHDLAAAHEENNQLRTRLEVAESAFSHLKSEATSLQDFTDKLGTETQGLAQQLGVELMSRNQLSAEVSSLRTECSNLKRELQEMKSAKLLQQKANGEDIMMAAGQGNISSKFGNDILADTSVHDLQTEWLQGLLLLESKLQQTRNNALHGLQAADLDFLLADLGALQRVIENLKQGVQNGQMKENNYLEHLVPPTNAAHQPSLGRDHDSNKKTSGSAGTMEEKMCELLQKLEDSKTEKENLLEKMSQMERYYESFIHKLEERQKQTEIELENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFVEDRTTLEAQNKEFERRAVATETALKRVRWNYSAAVERLQKDLELLSFQVLSMYESNETLAKQSIVEDFESSPEEQSAVADLGANKERRLYMSDHESQAFSAENGRPDNLTYKMDGQKSLLRALKMEEIRNRSEFQVRSNTNLQVDYSKLDKLEQTPSTTESEVLETYMANIEWQVFSDVLREAHCTALGTIKLMQERLHMLEIQLRDSNDARDSLVLKLNAALDQAKSVKETEAEYILKCDDFMVKNKILEAKLQDMSAENALLMEKLTESERYVQEHESCESKYKACTEDRKRFEDLLMKESLQTSHLKDELRSVVENFEAMKDELHKQSTLNTDMQTVSALLQEQMNNVCNGIISSSKDIGISGLDEASLLHELQRRNYIAVMASLEFFQKQSCQEVVRLRQEKEAAEEMCEALRSRQDKSELELLDMKQKYQLDFDAMKEKLNFSEEHMEKLEKELQDMTHKFKISSEAQEKYSIINADLTSRLAEMEGQLQHITSENEALVEKLKDIAAIVEEHERTKVTLAESEEENKTLTLSLQSKDEAMMQMENEIRSLQDELRSSDDNLLREKRLMEELQSTLASLTSQLGHKDQALLSFDEHKTELNRLRDQVLDMERANSLMQDALSQSEQIQMDLNCKNISLQSQLSNVEDRLATVMKDTVATETEASYMRNLVEELTGQLDSLRNDHEKLQLKNKDADDLLRVHMSTEAELADRVAALEAAIHSLEIDLARVNEEKEELEELIKSNEEQFVQVGTDKSRDIVESIDSSERVLKYQDDILQLKVLLTNLEEQVDDLRSTKDEVEILNMVLKSKLEEQRTEILSLLQNSGHELANFKEQNKDLTQKLAEQTLKAEEFKNLSIHLRELKEKAEAGRKEKEGSLFAMQESLRIAFIKEQYETKVQELKGQVFVSKKYAEEMLLKLQSALDEVETGRKNEIALAKRIEELSMRISEMELEMQDASVDKRDLSNAYDSIVTELECTKLNFDCCMEEKQKIEDTLQECTEERNRIRVELDLVKKLLENMALTDNPTVPDNSGSCTSGATSIGQILGDAKPGSASSKTTKNTPEVDSGLQQDEDRIQSTNASSTLAAGEDVRRFSEQGEHARSVPSKNLEECEPSLENHSTGKTSIEDISMEHRKLAVDLNHFHQELERLKNENLSPLLPLDINLIDPSLSGLERALSQLDMANEHLRSIFPSFKELPGSGNALERVLALELELAEALQAKKKTDILFQSSFLKQHNDEAAVFQSFRDINELIQDTIELKRRQVAVESELKDMQGRYSELSVQFAEVEGERQKLEMNLKNRSPMRS >ORUFI10G07870.1 pep chromosome:OR_W1943:10:9994561:10010836:1 gene:ORUFI10G07870 transcript:ORUFI10G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGFSAWTGAMGSLLRKLDSPPQAGDGGHMEAAYDGIHSFRDDIEESHGFLRKLSQLEETTMADKCWMKEVRELSYDVDDFLDEIALAAADNADAILIAGKSVQLLWETMNIFGSVIESLVNLKSLALAAGFLNGTSSTAHFSSATTRMSWQMCTPPRLLQRIDLSPHVCTFSTLPNWIRELGSLCILKIAVRKLGDGDMDVLGGLSELTVLSLHVSDAPEERIIFHEAGFPVLSYLKLRCSVANLAFDERAMPRLRRLVLGFRAAGIAEQ >ORUFI10G07880.1 pep chromosome:OR_W1943:10:10021315:10026549:1 gene:ORUFI10G07880 transcript:ORUFI10G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGRGRGREWEDDDLGVGGGQPPHLAAPVVCLARSAGDLAAGAFVGSLVGYGQGLLTNKGMKGSLSSAGSSAKTFAALSGVQSFILCLLRRLRGKDDMINAGVAGCCTGLALSFPGAPQAMFHSCVTFAAFSCIMDGLNKQQAAMAATLASKPSTIKHQEGDVLPPFTLPPLLNASDALASCCQAFLKPKD >ORUFI10G07890.1 pep chromosome:OR_W1943:10:10028600:10029104:1 gene:ORUFI10G07890 transcript:ORUFI10G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGFSYAQIHVQQDRCRRTNEEKMKKMMAEEEEKSKKGMCEGEEEEKNKFMAADEKSCHSWTSERVHPCSSPASKIGR >ORUFI10G07900.1 pep chromosome:OR_W1943:10:10030925:10034831:-1 gene:ORUFI10G07900 transcript:ORUFI10G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVPDLPAAIELGEVIAAGQLFSTNRRPSTNQQPPPVSFARRRTGEMGKREENVELFLGRREYRLGTIFVPALKNEGHVLSGFVVQGNLFKFDVKVAAMAVRLKGLIWCTLTPKISMGTWLNMKVRSTLDYLKTYNFLCTRCLAGCARLVSTQVNNTTAIFSNISFSLSSGISESMTGHHAIRALLAWLCSAHHCHALHFLLSANPFWICLSSNPERTIAFS >ORUFI10G07910.1 pep chromosome:OR_W1943:10:10036536:10037282:1 gene:ORUFI10G07910 transcript:ORUFI10G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGGGSPDHELKLLGSTNPSPFVTRVELALALRGLTYDLVAVDLDRKTDLLLAANPVHAKVPVLIHRGRPVCESRVILEYIDDAFPFPGGGGAPLLPPADDPLARAAARFWAAHVDDEFVASWRPAYLGSTEGERAEGMARMAAAVGALEGALAAAEGKPFFGGDAPGLVDVTLGSVIPRTRANEALTGTRVLDAARTPLLAAWAERFGELDAARKVLPAVGDVVEYLETRLRRSNVVIARKQ >ORUFI10G07920.1 pep chromosome:OR_W1943:10:10042854:10045166:1 gene:ORUFI10G07920 transcript:ORUFI10G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPIIGHSPEANTLSPTSSPLPLPPITIHAPPFTRALHHAASSFPLLSQHRSHRWKGAAVARIGIEPAMGRRQQPEEPDSSNPDEEDLNINTIIFYQVRCTLQLSASPVDEKPELLPAVLDGGFCFGPLDPVSNIITNAIRHLTTGEDSGADVDEIEMRRGLKTKARGSLKALVGFMTSCFRYLPTLEALNFLCAAEGDLLAAVHLVEAERCMSCAFDIGSCTARTALRCAAGAAGHRDPSRVASEMLSLFSKAYKIASHLLSRTADRLTCSAVEHLRHLLLEKKAMTKFILVLVPPRLPPELAAFSPLASLGVTVKDERTLERCTKSLQSVLVDKIHGFYLEALALLPQHLLRGRYHRSVVMAGHCYGPLDPVSNIILNTIWYDAAFPVPKEQHLDLDMIGRWALVRAERYSVAGLVAGLIAFAGDYNLSELQAIRCLLYANGDFATAMSVLQQALLNQELECCLTPSCAA >ORUFI10G07920.2 pep chromosome:OR_W1943:10:10045166:10045737:1 gene:ORUFI10G07920 transcript:ORUFI10G07920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMANKRLELYSVMAIAAQHPSADGLLDFLVSERARAMLPRESRRFSREDVRSVIESLLQEPPPSLGMPSELFPGATKRFWADMSSFHSKAKAALENYVQVPPGQTVPRLGILLSQFLSMRTMWLHVRLGLMAYEVKS >ORUFI10G07930.1 pep chromosome:OR_W1943:10:10045872:10055182:1 gene:ORUFI10G07930 transcript:ORUFI10G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHIHHHLTRFTHRTTHQPRCPGSGLGLPGTGWKGREVALAPWPKEKGGRKQKREEEEEEEKKKKKKKKKKKKKKKRSGKGRERKEKGIREKFSVISLANRNPPNPNPPISRRGRRPMASKRVGPGQPVPDAGGGGDKSVLKRCIIGFYTRAIERLPVEEKPALLAAILDGGLCFGPLDPVSNIVANAIWHLSTGEDSSEHVEEVEMRQCLKTMARGSLKALVGFMTSYFRYLPTMEAMHFLRAAEGDLLAAVHLVEAERCTSAFDIGSCTTKTALRCAAGASGHPDPDRLATAMLSLSSKAHKIAHLLSRKGRLTCSDVDHLSYLLLEEGTNDQICTQQLMALVPPRLPPELADAAATFSPLAPQGVTVKDERTLERCTKSLQCVLVDKIHGFYLEALALLPQHLLRGRYHRSVVMAGHCYGPLDPVSNIILNTIWYDAAFPVPKEQHLDLDMIGRWALVRAERYSVAGLVAGLIAFAGDYNLSELQAIRCLLYANGDFATAMSVLQQALLNQERTMLSDSELCRFMDVMAKRLQLYSVMAIAAQHPSADGLQEFLISERTRAMLPMEYRRFSREDVHSVIESLLHEPPPSLGMPPELVRLSLAAERTIDQFPDATKRFWADMSSFHSKAKAALESYVLENGGPQYVIHVICGANESVADRNGPELSRINWPRSHNKFHYSHINFLASPTGPSAVGVLPTLFFAECVNHNEESDRARKNNCYPVVVPPTNAEKVRCFYCEYKGINIIHPADGNYHGCDTDFEKMARRKHVLTNSIESVFNNGLLVSNFRGAVQEDFFYFDHARDHAKGPSSCSDG >ORUFI10G07930.2 pep chromosome:OR_W1943:10:10045872:10056658:1 gene:ORUFI10G07930 transcript:ORUFI10G07930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITHIHHHLTRFTHRTTHQPRCPGSGLGLPGTGWKGREVALAPWPKEKGGRKQKREEEEEEEKKKKKKKKKKKKKKKRSEKVRCFYCEYKGINIIHPADGNYHGCDTDFEKMARRKHVLTNSIESVFNNGLLVSNFRGAVQEDFFYFDHARDHAKGPSSCSDECGLDSNDSANPPGLKITWAGESLNNFTSCVCIGFIQMIWIG >ORUFI10G07940.1 pep chromosome:OR_W1943:10:10047500:10047871:-1 gene:ORUFI10G07940 transcript:ORUFI10G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDVGVEAVEAPSTTQRGALARQLRASTAASSVGASAAVQEGYGGGGATQSVAGLANPLCLMGGSAPGGRMRA >ORUFI10G07950.1 pep chromosome:OR_W1943:10:10057808:10059437:-1 gene:ORUFI10G07950 transcript:ORUFI10G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAKEVNGGFHRKQRTYLRWEQWIKKLQFITIGSRQNPRYHFSIFVQCGFHWDHRKSLNYVGKRCGNPMIYATENSNASVPPKMTTFAGGVSSMQAHGPTDHHIHPPFKDGFGPSMNQTRVKPERLVQGLSPRYCALSLVVEPNMYPEINVLIVDLHRRHISTFLVSNAQFPDKIKTLKPINHVIFELRYVLAFKCSKQQALKISVYMVATVCQCGRSYKGNLENCR >ORUFI10G07960.1 pep chromosome:OR_W1943:10:10074351:10075547:-1 gene:ORUFI10G07960 transcript:ORUFI10G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGSPVEGRFVFGDITNRLNVRQTTPCNEVENKKGETSSKKREYRARKKVEANNPYHEVPIPDKHIAERNIKQREYRARKNSVIEDAHNPITPAKPTEPTACVSVNHETGNSQPTKMSNEQREERNRKQHEYRKWKRDEMNNVDINGVTQEETIHVQDAKVHIPDDTYVEFDSGLFEPPLIDFVDEGEL >ORUFI10G07970.1 pep chromosome:OR_W1943:10:10100692:10104481:1 gene:ORUFI10G07970 transcript:ORUFI10G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEGGVRLPTLDRVRRGVRSSCDTESWECFQCGSINLPVEKLLFDLPAFHCRGCEAPFLGEMNFCYDLVKANKRSLIGGLDNIKNQYDNPECIAYSIASCLEIADRIKTVLQGKNPDSVKEIDPTAIVDMFDGKCLANCSDGTSGIGKLVTMALAVQTDGIQSADHSRLYTAVAVETIDKYDFEGICATLADGIPLVGAFYCGSRLEKLEYCQIYRVPKLSKFLDRNLIPTGHAAVIIGAGMRCGIQYLYFLNSWGNFFCPRYDKDGNLVKAGVGKLRFYDLLCNPIMFITDSAKRVGLNRQLLPMGTGKLSDHNKSMLMGRKQTDVIPEDLSIGVTSEFVGNQPQISSKRKMANATLDGDGQTQKVIIDSCPIDIIIKKMRCEVMGMKNPADILLLCEKGPDVFCSESW >ORUFI10G07980.1 pep chromosome:OR_W1943:10:10104820:10105977:-1 gene:ORUFI10G07980 transcript:ORUFI10G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGISSSYFALSKYSLFDYQAMIFYALSIRRSFQGGDPSVSSKRPSNVESNRKGPAGTSKKKKRGCCVDIPSSESDEEDWAPTPTREDNQPNRNMMVDDTEVAKDVHLPTAKCVGRITLDIEDWRPEVLTVCPSSPDTDSP >ORUFI10G07990.1 pep chromosome:OR_W1943:10:10111472:10112381:-1 gene:ORUFI10G07990 transcript:ORUFI10G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGRFVGCFGGEGRRRNGCFGGGGGLWRRRLASAAGDSGSGYGGWLAAIMTVRRWRCRRMRQRLATSCRRSPATASGGREGVVNSGCGGGDRDYGHWRHDGFGRLAEGVADGYFWLVRHHLDEGEAQPWPAGALVQGSHMSAELEWWWSIGALAVDSVVAGRKPSLGSFEPRRMASAILPPLLFLKTRSVTLSGGRSGACLLVGLCVDIVDVWVVIYFFLFPGYDPPGL >ORUFI10G08000.1 pep chromosome:OR_W1943:10:10112406:10112660:-1 gene:ORUFI10G08000 transcript:ORUFI10G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVHGRRRPAWWRWCTIGGGRHDGTGGSGVMASGGGLVMGAKEATVAPGGGRGGAVLGDDGGGVPQIRATWLDLEGGRRRWM >ORUFI10G08010.1 pep chromosome:OR_W1943:10:10161932:10162836:-1 gene:ORUFI10G08010 transcript:ORUFI10G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGDVASTIELLLNLIGNMDDTDVSDAHVFNFRFLFRLARPPFLMLLPSIGWLFGLTGASSALCLSEPVIVSSPAPFEGVSGESPAWYSRIGDIDACGCRILIEDSIIWAGIAAAPVVASLLGGFALKVLLFLRSLSWFIVVLPQAFCFVLCVGFCRFFYSKLCSCMVFGPGFLIKRANSLLIISGRSPTVDSYRKKTTSYLKLFVCHGW >ORUFI10G08020.1 pep chromosome:OR_W1943:10:10176484:10182107:1 gene:ORUFI10G08020 transcript:ORUFI10G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLLASNPVHKKVPVLIHNGKPICESRIILEYIIDEVFPVDGAALLPADPYDWAVARFWAAYIDDKAMCPFAITHAMADNVHAVHFVAPWAPMFKGKTEEEKAEGIKQILAAVETLEGALKGCSKEKPFFGGGTVGLVDIMLGAHIPGVRATEVLTGAKIFNAAITPLLASWTERFGELDAPKKVLPDVDGMVEYVKRRQAQWAAAGEHTSEHYNGYVHRTFLAGKRHLDQILQLCKKRSAYTEIPCPGQV >ORUFI10G08020.2 pep chromosome:OR_W1943:10:10176484:10182107:1 gene:ORUFI10G08020 transcript:ORUFI10G08020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLLASNPVHKKVPVLIHNGKPICESRIILEYIIDEVFPVDGAALLPADPYDWAVARFWAAYIDDKASTQANTTMAMSIEHFLQEKDILIKYCNFARKEVHIQKYHVQGKCD >ORUFI10G08020.3 pep chromosome:OR_W1943:10:10176484:10177853:1 gene:ORUFI10G08020 transcript:ORUFI10G08020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLLASNPVHKKVPVLIHNGKPICESRIILEYIIDEVFPVDGAALLPADPYDWAVARFWAAYIDDKGKTEEEKAEGIKQILAAVETLEGALKGCSKEKPFFGGGTVGLVDIMLGAHIPGVRATEVLTGAKIFNAAITPLLASWTERFGELDAPKKVLPDVDGMVEYVKRRQAQWAAAGAAAAAASKS >ORUFI10G08020.4 pep chromosome:OR_W1943:10:10176484:10177853:1 gene:ORUFI10G08020 transcript:ORUFI10G08020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLLASNPVHKKVPVLIHNGKPICESRIILEYIIDEVFPVDGAALLPADPYDWAVARFWAAYIDDKAMCPFAITHAMADNVHAVHFVAPWAPMFKGKTEEEKAEGIKQILAAVETLEGALKGCSKEKPFFGGGTVGLVDIMLGAHIPGVRATEVLTGAKIFNAAITPLLASWTERFGELDAPKKVLPDVDGMVEYVKRRQAQWAAAGAAAAAASKS >ORUFI10G08030.1 pep chromosome:OR_W1943:10:10179305:10179715:-1 gene:ORUFI10G08030 transcript:ORUFI10G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWLIHLPSEDHRTPIDISSLTELQIRRLQTPSSNTHSTAVEDDSRKRATKSSSSTYGGGGGGGSGGGVLRRRQRHRDEATPSGEAELAEDTCAGRSSSAMTTASLAHPFVELGGSKLELYSMSSGHASIRLSTS >ORUFI10G08040.1 pep chromosome:OR_W1943:10:10182502:10185098:-1 gene:ORUFI10G08040 transcript:ORUFI10G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVVMVNKQGQTRVAQYYEHLSVDERRALEGEIVRKCLARTDHQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPIHLMEKTS >ORUFI10G08050.1 pep chromosome:OR_W1943:10:10194371:10198427:-1 gene:ORUFI10G08050 transcript:ORUFI10G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCMIRSRRCTRAMLIVEKGDDEEIQQLMVVRGIINNSSKIFRVVKFCCKAIRVLNRAFEMYFVVIDDMSAERWCDIEPAFPVDDGISITIVVTTNIQSIDNACSTADGYVYKMGNSTLNIRKICSSKVLLLRIVHQTWREAQNNFGRNSMEYKLLQVLDLEECDGFMDGYLDSICKLLLLKYVSIGGAVTTLPKTIAGLKLLETLDFRRNKVEVVIISVEVLVLPKLIHLFGKFELPGSVSNKIQKKIEPGKSNLQILAGFIAANNHGFVKLMCHMKNLRKVNIWCKSITKGNKLNSLSIAIEKFIENSNDPNDARTLSVDFSQCSEDILQISI >ORUFI10G08060.1 pep chromosome:OR_W1943:10:10211641:10215496:-1 gene:ORUFI10G08060 transcript:ORUFI10G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHHPPRLPPSAAGAAATSWPELLTPFDLSRLRATLASRPLTPRRLGRLLALPLSPATSLLLLTWYASSHPALSSLPLRPLLAGGDPDRALSLLDSLPPGFLPLRESLLLPLLRSLPPGRALHLLDQLPRRFGVQPSFRSYNVVLSVLARADCHADALALYRRMVHRDRVPPTTFTFGVAARALCRLGRADEALALLRGMARHGCVPDAVLYQTVIHALCDQGGVTEAATLLNEMLLMGCAADVNTFDDVVRGMCGLGRVREAARLVDRMMTKGCMPGVMTYGFLLQGLCRVRQADEARAMLGRVPELNVVLFNTVIGGCLAEGKLAEATELYETMGLKGCQPDAHTYSILMHGLCKLGRIGSAVRLLREMEKKGFAPNVVTYTIVLHSFCKNGMWDDTRALLEEMSAKGLTLNSQGYNGMIYALCKDGRMDEAMGLIQEMRSQGCNPDICSYNTIIYHLCNNEQMEEAEHMFENLLEEGVVANGITYNTIIHALLRDGRWQDAVRLAKEMILHGCSLDVVSYNGLIKAMCKDGNVDRSLVLLEEMAEKGIKPNNVSYNILISELCKERRVRDALELSKQMLNQGLAPDIVTYNTLINGLCKMGWMHAALNLLEKLHNENVHPDIITYNILISWHCKVRLLDDAAMLLNRAMAAVCPVGDRRIMQILPDKNFKLYLHTKGDDFQHSLGCVKPSCPAGKDRCERKTGRCWRAARRRPGQGGEQGNVGTLEDENASHQN >ORUFI10G08070.1 pep chromosome:OR_W1943:10:10220655:10223784:-1 gene:ORUFI10G08070 transcript:ORUFI10G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLSFSQDSPPISIISAAKVAGVSLSIDPSLAAGSAPVLCFSSGESLRGINPILEYIAQSSPSLHGRDAIESGHVVEWLEYAPTFLLGSEFEVACSFVDGYLMSRTFLVGHVLTIADITVWSNLAGIGQRWESLRKSKKYQNLVRWFNGIDSDYKDTLNEVIAAYVGKRGIGKSPAPNLKEKVNDSKDPSAPEVDLPGAKFGEVCVRFAPEPSGYLHIGHAKAALLNKYFAERYQGRLIVRFDDTNPSKESNEFVENLLKDIETLGIKYDAVTYTSDYFPKLMEMAEKLIKQGKAYVDDTPKEQMRSERMDGVESKCRNNTVEENLSLWKEMINGSERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRIGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGMRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALIQFILQQGASKNLNLMEWDKLWTINKKIIDPVCARHTAVLKDQRVIFRLTNGPEKSFVQILPRHKKFDGAGKKATTFTNRIWLDYADASAISKGEEVTLMDWGNAIIKEIKMENGVITELIGELHLEGSVKTTKLKVTWLPDIDDLVPLSLVEFDYLISKKKLEEDENFLDNLNPCTRKETLALGDANMRNLLRGEVIQLERKGYYRCDAPFVRSSKPVVLFAIPDGRQQASLN >ORUFI10G08080.1 pep chromosome:OR_W1943:10:10228560:10231069:-1 gene:ORUFI10G08080 transcript:ORUFI10G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAPTSSTTNVSLPSSLSSTPCSRIACLAKRFLDDPGFLAGWPQEKAYKENEKYKEGKSILEKTRMWKEAR >ORUFI10G08090.1 pep chromosome:OR_W1943:10:10235076:10237938:-1 gene:ORUFI10G08090 transcript:ORUFI10G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVELLPRHGDGGGPASAVLKCRRCRVDAASADAILSRDFRGRFGRAYLFDHVVNISLGPNEDRYLMTGLHTVKDIYCSCCQQILGWRYEKAYEESEKYKEGKFILEKARMWKEAR >ORUFI10G08100.1 pep chromosome:OR_W1943:10:10243970:10247339:-1 gene:ORUFI10G08100 transcript:ORUFI10G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRINSLLSTIPQDFTRGNGRFYITQNHQDAHYASSDDGSQKIGSSPQAFEAPYCTLESSSANGAHPAHSSASSHSISPISGSPLSHHDSHSDHTYNSPPSASCVTEITDLQIKLRELENAILGPELDIAYDSPESALQPNIMATPENWRQLLGINTGDLKQVIIACGKAVAENDVRLTELLISELGQMVSVSGDPLQRLGAYMLEGLVARLSSSGSKIYKSLKCKEPTSSELMSYMHLLYEICPFFKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWMTLIQALAARPGGPPFLRITGIDDSNSAYARGGGLDIVGMRLYKVAQSFGLPFEFNAVPAASHEVYLEHLDIRVGEVIVVNFAYQLHHTPDESVSTENHRDRILRMVKSLSPRLVTLVEQESNTNTRPFFPRYLETLDYYTAMFESIDVALPRDDKRRMSAEQHCVARDIVNLIACEGAERVERHEVFGKWKARLTMAGFRPYPLSSVVNSTIKTLLHTYNSFYRLEERDGVLYLGWKNRVLVVSSAWC >ORUFI10G08100.2 pep chromosome:OR_W1943:10:10243970:10246930:-1 gene:ORUFI10G08100 transcript:ORUFI10G08100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDSQMPYYNNSVPSGGNGRFYITQNHQDAHYASSDDGSQKIGSSPQAFEAPYCTLESSSANGAHPAHSSASSHSISPISGSPLSHHDSHSDHTYNSPPSASCVTEITDLQIKLRELENAILGPELDIAYDSPESALQPNIMATPENWRQLLGINTGDLKQVIIACGKAVAENDVRLTELLISELVIVVNFAYQLHHTPDESVSTENHRDRILRMVKSLSPRLVTLVEQESNTNTRPFFPRYLETLDYYTAMFESIDVALPRDDKRRMSAEQHCVARDIVNLIACEGAERVERHEVFGKWKARLTMAGFRPYPLSSVVNSTIKTLLHTYNSFYRLEERDGVLYLGWKNRVLVVSSAWC >ORUFI10G08100.3 pep chromosome:OR_W1943:10:10243972:10246930:-1 gene:ORUFI10G08100 transcript:ORUFI10G08100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDSQMPYYNNSVPSGGNGRFYITQNHQDAHYASSDDGSQKIGSSPQAFEAPYCTLESSSANGAHPAHSSASSHSISPISGSPLSHHDSHSDHTYNSPPSASCVTEITDLQIKLRELENAILGPELDIAYDSPESALQPNIMATPENWRQLLGINTGDLKQVIIACGKAVAENDVRLTELLISELGQMVSVSGDPLQRLGAYMLEGLVARLSSSGSKIYKSLKCKEPTSSELMSYMHLLYEICPFFKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWMTLIQALAARPGGPPFLRITGIDDSNSAYARGGGLDIVGMRLYKVAQSFGLPFEFNAVPAASHEVYLEHLDIRVGEVIVVNFAYQLHHTPDESVSTENHRDRILRMVKSLSPRLVTLVEQESNTNTRPFFPRYLETLDYYTAMFESIDVALPRDDKRRMSAEQHCVARDIVNLIACEGAERVERHEVFGKWKARLTMAGFRPYPLSSVVNSTIKTLLHTYNSFYRLEERDGVLYLGWKNRVLVVSSAWC >ORUFI10G08100.4 pep chromosome:OR_W1943:10:10243970:10247339:-1 gene:ORUFI10G08100 transcript:ORUFI10G08100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRINSLLSTIPQDFTSMSARASNHAYICSDDSQMPYYNNSVPSGGNGRFYITQNHQDAHYASSDDGSQKIGSSPQAFEAPYCTLESSSANGAHPAHSSASSHSISPISGSPLSHHDSHSDHTYNSPPSASCVTEITDLQIKLRELENAILGPELDIAYDSPESALQPNIMATPENWRQLLGINTGDLKQVIIACGKAVAENDVRLTELLISELGQMVSVSGDPLQRLGAYMLEGLVARLSSSGSKIYKSLKCKEPTSSELMSYMHLLYEICPFFKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWMTLIQALAARPGGPPFLRITGIDDSNSAYARGGGLDIVGMRLYKVAQSFGLPFEFNAVPAASHEVYLEHLDIRVGEVIVVNFAYQLHHTPDESVSTENHRDRILRMVKSLSPRLVTLVEQESNTNTRPFFPRYLETLDYYTAMFESIDVALPRDDKRRMSAEQHCVARDIVNLIACEGAERVERHEVFGKWKARLTMAGFRPYPLSSVVNSTIKTLLHTYNSFYRLEERDGVLYLGWKNRVLVVSSAWC >ORUFI10G08100.5 pep chromosome:OR_W1943:10:10243972:10246930:-1 gene:ORUFI10G08100 transcript:ORUFI10G08100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGNGRFYITQNHQDAHYASSDDGSQKIGSSPQAFEAPYCTLESSSANGAHPAHSSASSHSISPISGSPLSHHDSHSDHTYNSPPSASCVTEITDLQIKLRELENAILGPELDIAYDSPESALQPNIMATPENWRQLLGINTGDLKQVIIACGKAVAENDVRLTELLISELGQMVSVSGDPLQRLGAYMLEGLVARLSSSGSKIYKSLKCKEPTSSELMSYMHLLYEICPFFKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWMTLIQALAARPGGPPFLRITGIDDSNSAYARGGGLDIVGMRLYKVAQSFGLPFEFNAVPAASHEVYLEHLDIRVGEVIVVNFAYQLHHTPDESVSTENHRDRILRMVKSLSPRLVTLVEQESNTNTRPFFPRYLETLDYYTAMFESIDVALPRDDKRRMSAEQHCVARDIVNLIACEGAERVERHEVFGKWKARLTMAGFRPYPLSSVVNSTIKTLLHTYNSFYRLEERDGVLYLGWKNRVLVVSSAWC >ORUFI10G08110.1 pep chromosome:OR_W1943:10:10262595:10268110:1 gene:ORUFI10G08110 transcript:ORUFI10G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEGFRVESPRVRYGDGEIESEYRYDTTEVVAPPSPEKGWVVRPKSVTYHFKTTTTVPKLGVMLVGWGGNNGTTLTAGVIANREGISWATKEKVHKANYFGSLTQSSTIRVGSYNGEEIYAPFKSLVPMVNPNDIVFGGWDISSMNLADAMTRARVLDIDLQKQLRHHMESMVPLPGVYNPDFIAANQGSRANNVIKGTKKEQVEQFKEKSKVDKVVVLWTANTERYSNVVAGMNDTMDNLLASLDKDEPEMSPSTLYAIACVMEGVPFINGSPQNTFVPGLIELAIKKNSVIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIASYNHLGNNDGMNLSAPQTFRSKEISKSGVVDDMVSSNAILYEPGEHPDHVIVIKYIPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEDQVPPGTPVVNALAKQRAMLENILRACVGLAPENNMMLEYK >ORUFI10G08120.1 pep chromosome:OR_W1943:10:10268265:10272524:-1 gene:ORUFI10G08120 transcript:ORUFI10G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPLAAGLLDLRPCKLAPPPPPPLPVSPSPRHHRRPHSTATACRAAPDLHSSTELADGSIVFRFARPRDDDDEEQQQRRADAVAPEAAAVVESGLDGDAAAAAEPEARDGGGEGEVTATATGLDAEEVVASGGAEATATSGLEDAGEEASDGSTARDSDTDVDTESSASTAADDDQPAEFAVPPPPAEEVCNKVDWEKDTSEVKNTDRMVPVASSTLVLASGAAILPHPSKAATGGEDAYFIACDGWFGVADGVGQWSFEGINAGLYARELMDGCKKFIMENQGAADIKPEQVLSKAADEAHSPGSSTVLVAHFDGQFLNASNIGDSGFLVIRNGEVYQKSKPMVYGFNFPLQIEKGDNPLKLVQNYTIELEDGDVIVTASDGLFDNVYEQEVATMVSKSLQADLKPTEIAEHLAAKAQEVGRSAAGSTPFSDAALAVGYLGFSGGKLDDIAVVVSIVRKSEI >ORUFI10G08130.1 pep chromosome:OR_W1943:10:10287828:10297253:-1 gene:ORUFI10G08130 transcript:ORUFI10G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGNEKLLLCLAPIRLWSLRPSPVLFQLPSSDSWVRIFIGLRFSRYRMEAPPLAAPICASQGAMGSLLGKMEELLVAPDGSRLPKGVKDRMLLLGGDLGVVAAYLADLWELEDPPPTAKRWMREVRELSYDIEDYIDEFCAAPRPGRRANTMARFVCRIGRVNVARLPKRLKRHQQMGKMVSQFRIYVEEAIERHGRYGLDCCDHRRRYVSFGPMLPSRPYGEEDAQLVIDGRVSEFIERLANDEDQKLKVVSVVGSSGIGKTTLAKLLYNRIGGQFDCRAFVRISRKPDMKRVFREMFFQLQRKQPPDDYKELALIDSIREYLQDRRYLIIIDDLWAASIWDLINQAFPETCLLYLNMYPEGYKICKDDVVEKWVAEGFIDQIEGRDLEKVAGSYFDELIDRRFLQPSRLNYNNEVSTCTIHDEVRDLIAYKSVEENFVLVLDFYRKDVELSDKVRRLSVYFGDIKYAKIPTNIRTSEVRSLTFFGLCKCMPSLTEFKLLRVLNLQLSGHVGDELLDLSGISELFQLRYLKIACDIRTELPSQMRGLKYLETLQMDTTLTAVPWDIIFLPCLLHLHLRFDMNLIDLMGHMTPLSELGSSSSNSSPSGGIISNLNNVRDIHLTFCALPSKHLERNMEILGSLLGRLGNLKNLTLVSSSSQKKIAASGASEVTILWDNLAPPPLLQRFEWLLHSCIFYRVPKWIGELGNLCILKIAVRELVKNSVDILRGLPALMALSLNVHRASVENIFFDKVGFSVLKYFKYNCSVPWLKFEAGAMPNLRKLKLGFSALGDLYGTAPIRIGHLLGLKEISVKIHGASVDAESALTSAVSNHPSNPRINVQLVDKIFYGDRVTKEKDHGSEEEQNAIMSANFDEYHQTQDKESSDANKQVGSIVPLPREQEHGILEHDEYAPKDPDKYKQSDIRSVSSMYPWHLKFLGALSIHGGTRLATAPRKAEDAYISYAMKGNMQDSVHMPDSSCYLSMLVLPMALDMKSHLYESFEVTLARANTWLYASQASGVPIKLMSVQSDDLLTKISRVGDTTSATVNSGLLPDLSNATLEDYQGYNTEVVKAARLWYSSIGGEMPLEITPKVGDTKLGFAISRTEEGFIYISSVLQDDNDSETPSTRSGMHNLFNRAREASKLLVISRVSNEKVLPWMISSSGAIRCFDTLSISQKLSLSRYPLCSFQLHLLMWEKPIHPAERSIHRPKMPFFF >ORUFI10G08130.2 pep chromosome:OR_W1943:10:10287828:10297253:-1 gene:ORUFI10G08130 transcript:ORUFI10G08130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGNEKLLLCLAPIRLWSLRPSPVLFQLPSSDSWVRIFIGLRFSRYRMEAPPLAAPICASQGAMGSLLGKMEELLVAPDGSRLPKGVKDRMLLLGGDLGVVAAYLADLWELEDPPPTAKRWMREVRELSYDIEDYIDEFCAAPRPGRRANTMARFVCRIGRVNVARLPKRLKRHQQMGKMVSQFRIYVEEAIERHGRYGLDCCDHRRRYVSFGPMLPSRPYGEEDAQLVIDGRVSEFIERLANDEDQKLKVVSVVGSSGIGKTTLAKLLYNRIGGQFDCRAFVRISRKPDMKRVFREMFFQLQRKQPPDDYKELALIDSIREYLQDRRYLIIIDDLWAASIWDLINQAFPEVILSSRIVIITQVEDLALTCCCYDTKYIFEMKPMDDEHSTTLFLQRLFGFVSDCPQQFKEVSNKIVQICGGLPLATISLASLLASQPVILMDLCLYIRDSLSSAFRTNSSIEGTRQVLNLSYNNLPHYLKTCLLYLNMYPEGYKICKDDVVEKWVAEGFIDQIEGRDLEKVAGSYFDELIDRRFLQPSRLNYNNEVSTCTIHDEVRDLIAYKSVEENFVLVLDFYRKDVELSDKVRRLSVYFGDIKYAKIPTNIRTSEVRSLTFFGLCKCMPSLTEFKLLRVLNLQLSGHVGDELLDLSGISELFQLRYLKIACDIRTELPSQMRGLKYLETLQMDTTLTAVPWDIIFLPCLLHLHLRFDMNLIDLMGHMTPLSELGSSSSNSSPSGGIISNLNNVRDIHLTFCALPSKHLERNMEILGSLLGRLGNLKNLTLVSSSSQKKIAASGASEVTILWDNLAPPPLLQRFEWLLHSCIFYRVPKWIGELGNLCILKIAVRELVKNSVDILRGLPALMALSLNVHRASVENIFFDKVGFSVLKYFKYNCSVPWLKFEAGAMPNLRKLKLGFSALGDLYGTAPIRIGHLLGLKEISVKIHGASVDAESALTSAVSNHPSNPRINVQLVDKIFYGDRVTKEKDHGSEEEQNAIMSANFDEYHQTQDKESSDANKQVGSIVPLPREQEHGILEHDEYAPKDPDKYKQSDIRSVSSMYPWHLKFLGALSIHGGTRLATAPRKAEDAYISYAMKGNMQDSVHMPDSSCYLSMLVLPMALDMKSHLYESFEVTLARANTWLYASQASGVPIKLMSVQSDDLLTKISRVGDTTSATVNSGLLPDLSNATLEDYQGYNTEVVKAARLWYSSIGGEMPLEITPKVGDTKLGFAISRTEEGFIYISSVLQDDNDSETPSTRSGMHNLFNRAREASKLLVISRVSNEKVLPWMISSSGAIRCFDTLSISQKLSLSRYPLCSFQLHLLMWEKPIHPAERSIHRPKMPFFF >ORUFI10G08130.3 pep chromosome:OR_W1943:10:10287828:10296969:-1 gene:ORUFI10G08130 transcript:ORUFI10G08130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPLAAPICASQGAMGSLLGKMEELLVAPDGSRLPKGVKDRMLLLGGDLGVVAAYLADLWELEDPPPTAKRWMREVRELSYDIEDYIDEFCAAPRPGRRANTMARFVCRIGRVNVARLPKRLKRHQQMGKMVSQFRIYVEEAIERHGRYGLDCCDHRRRYVSFGPMLPSRPYGEEDAQLVIDGRVSEFIERLANDEDQKLKVVSVVGSSGIGKTTLAKLLYNRIGGQFDCRAFVRISRKPDMKRVFREMFFQLQRKQPPDDYKELALIDSIREYLQDRRYLIIIDDLWAASIWDLINQAFPETCLLYLNMYPEGYKICKDDVVEKWVAEGFIDQIEGRDLEKVAGSYFDELIDRRFLQPSRLNYNNEVSTCTIHDEVRDLIAYKSVEENFVLVLDFYRKDVELSDKVRRLSVYFGDIKYAKIPTNIRTSEVRSLTFFGLCKCMPSLTEFKLLRVLNLQLSGHVGDELLDLSGISELFQLRYLKIACDIRTELPSQMRGLKYLETLQMDTTLTAVPWDIIFLPCLLHLHLRFDMNLIDLMGHMTPLSELGSSSSNSSPSGGIISNLNNVRDIHLTFCALPSKHLERNMEILGSLLGRLGNLKNLTLVSSSSQKKIAASGASEVTILWDNLAPPPLLQRFEWLLHSCIFYRVPKWIGELGNLCILKIAVRELVKNSVDILRGLPALMALSLNVHRASVENIFFDKVGFSVLKYFKYNCSVPWLKFEAGAMPNLRKLKLGFSALGDLYGTAPIRIGHLLGLKEISVKIHGASVDAESALTSAVSNHPSNPRINVQLVDKIFYGDRVTKEKDHGSEEEQNAIMSANFDEYHQTQDKESSDANKQVGSIVPLPREQEHGILEHDEYAPKDPDKYKQSDIRSVSSMYPWHLKFLGALSIHGGTRLATAPRKAEDAYISYAMKGNMQDSVHMPDSSCYLSMLVLPMALDMKSHLYESFEVTLARANTWLYASQASGVPIKLMSVQSDDLLTKISRVGDTTSATVNSGLLPDLSNATLEDYQGYNTEVVKAARLWYSSIGGEMPLEITPKVGDTKLGFAISRTEEGFIYISSVLQDDNDSETPSTRSGMHNLFNRAREASKLLVISRVSNEKVLPWMISSSGAIRCFDTLSISQKLSLSRYPLCSFQLHLLMWEKPIHPAERSIHRPKMPFFF >ORUFI10G08140.1 pep chromosome:OR_W1943:10:10304948:10305154:1 gene:ORUFI10G08140 transcript:ORUFI10G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVASVVAAGDVKRPAREESDISAALASLPAKMARLLGAASIHQSSGTQGELSCGGNGASQRHFS >ORUFI10G08150.1 pep chromosome:OR_W1943:10:10305974:10312383:-1 gene:ORUFI10G08150 transcript:ORUFI10G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFELLLFLLLCSPCLFSVSDGRTVRPAKRGAPSPPIRAVNLGGWLVTEGWILPSLFDDIPNKDLLDGTQLQFKSVTQNMYLCAEQGGGTILVANRTSASGWETFKLWRIDEDTFDLRVFDNLFVTVAGDGVTVVATVASPGPGEAFQIVRNGDKTRARIRAPNGMFLQAKTSDSVTADYDGETNWGDDDPSVFVVTRVGGLQGEYQICNGYGKAKATQVLREHWRTYIVESDFKFISTSGLNAVRIPVGWWIASDPNPPAPFVGGSLQALDNAFKWAEKYNLGVIVDLHAAPGSQNPFEHSASRDGSQDWGTTDANIAQTVQVIDFLTHRYASSPSLLAVELLNEPLAPGVTLPALMRYYKDGYNAVRKYTSTAYVVMSNRLSASNTELLGFAAGFPGTVLDVHYYNLFTSSFNGLTVDQNIDYVRTNRSDELSTVTRPNGPLTFVGEWVAEWNVQGASNQDYQRFAQAQLDVYGRATFGWAYWTYKNVNNHWSMQWNIQNGIISLKS >ORUFI10G08160.1 pep chromosome:OR_W1943:10:10311782:10312525:1 gene:ORUFI10G08160 transcript:ORUFI10G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGALMRARVLSPLRTIWNASPGPGDATVATTVTPSPATVTNRLSNTLRSNVSSSILHSLKVSHPEALVRFATRMVPPPCSAHRRSLFGMSSKRDGRIQPSVTSQPPRFTARMGGDGAPRFAGRTVLPSETEKRHGEQRRRKRRSSKWRMMKTKIFQLLMTILAQLANVYIYTT >ORUFI10G08170.1 pep chromosome:OR_W1943:10:10317690:10321858:-1 gene:ORUFI10G08170 transcript:ORUFI10G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERQPHHLHLHLPPRPTSHRRFCPSLSNRPSLTLLRVIGFLPTKLLKKPEAQMEASDEERPLIHHLPPQEQCSKYTCDGMVNIDSKPALKQSTGNWRACFFILGVEFTECICFYGVSKNLVTYLTSVLHESNVNAAQSVSIWIGSCFFTPLIGAFLADTYWGRYWTVVMSILVIILGMIVLTVSASPLFLNASFYNGGISRLTVYLGLYLFALGTGGIKPNIPAFGADQFDGADPVERVTKGSFFNWYYFSINVGSLLSSTVVVWVQDNIGWSVGFAGPMLLLGFGLAMFIAGRRAYRYKKLGGSPLTRVFQVLVAAVRNHRLNLPDDSSLLHELPGVTEGDYRTQHTYQFRFLDKAAILSDKNCAPAAPSSPWRLCTVSQVEELKMLLRTFPVWASLVGFFMVTAQMTSTLIEQGVAMDGRVGRFTVPPASLATFDVVAVLALIPVYDAALVPLARRVTGRDRGVSHMQRIGVGLALSAVAMAYSALVEARRLAMAAAAAGTRMSIAWQVPSFFVLGAGEVFAVIGMLEFCYEQSPASMKSLGTALVQLAVAVANYLNSGMLRVVAAATARGGGAGWIPDKLDEGHLDYFFWMMAALSVLNLLQFLHCSIRFRGNNTLSSS >ORUFI10G08180.1 pep chromosome:OR_W1943:10:10326095:10340139:-1 gene:ORUFI10G08180 transcript:ORUFI10G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSSSPPVPPIRSVNLGGWLVTEGWILPSLFDDIPNNDLLLWRVDENTFNLKAIDDSAVHFVGVDGNGVVVATAATPGPSETFVIVRSDRDNSRIRIRASNGKFLQAKTMASVTADHGEGTSWGDDDPSVFVINRGEKLQGEYQLCNGYGVKKATEVLREHWSTYIVENDFKFISSNGLNAVRIPVGWWIASDPNPPAPFVGGSLEALDNAFRWAEKYNLGVIVDLHAAPGSQNPWEHSGSRDGSQTWGTTDETIIQTVQVIDFLASRYAKSPSLLAVELLNEPLAPKVSAGMLKKYYQDAYNAVRKYTSDAYVMMSNPISADYSNEILQFAGGFFGAVFDVHYYNMFNGSFDNTTAEWNIQFVRNDRSAELRSVTKQNGPLTYVGEWVAEWKVNNASEEDYKRFAQAQLDVYSQATFGWAYWSFKHVQNHWSLEWMIKNGYISLNQPKLPIRAVNLGGWLVTEGWIKPSLFDGISNKDLLDGTQLQFKSVTNNMYIATENGGGSAIVANREKASGWETFKLWRINETTFNLRVFNNQFVSIGGNGAVIATATVPGPNETFQIIRLDSDKSRMRIRASNGKFLQVKAMGSVTADHGASTNWGNDDPSVFVVNNIYGLQGEYQICNGYSAGNATEVLREHWNTFIVEDDFKFISSNGLNAVRIPVGWWIASDPNPPAPFVGGSLQALDNAFKWAEKYNIGIIVDLHAAPGSQNRLDHSASRDGSLEWGTSAANIAQTVDVIDFLASRYARSSSLLAIELLNEPLAPDVPVDTLAKYYQDAYNAVRKYTLQAYVILSTRMSGDPTEFLSVASSLFGAVIDVHYYNLYNSMFDIYTVEQNINFVRNNREWVAEWYVDNASKEDYQNFAQAQLDLYGKATFGWSYWTFKNVKNHWSMEWMIKNGYISLNNLPPSSPPIRSVNLGGWLVTEGWILPSLFDGIPNNALLDGTTLHIKSVIQDKYLAAEQGGGQTIVANRAVASDWESFTLWRIDETTFNLRVFKKQFMGIDSNGTVIATATTPGLSETFQIVCSDTDKNRVRIRAPNGSFLQAKTANSVTADYGESTNWGNDDPSVFIVDMVGGPQGEYQICNGYGAEKASQVLREHWSTYIVESDFKFISSSGLNAVRIPVGWWIASDPNPPAPFVGGSLQALDNAFKWAEKYNVGVIVDLHAAPGSQNHWEHSATRDGSLEWGTTDTSITQTVQIIDFLASRYANSPSLLAIELLNEPWGPDVPLEKLKKYYEDAYNVVRKYTAKAYVIMSNRLAGESNTELLDFASRFPGAVIDVHYYNLFNDDTFKNLNVEQNIEFVKNSRKAEFSNINKQKSPLTFVGEWVAEWKVNGASKEDYQRFAQAQLDVYGRATFGWAYWNFKNVNNHWSLEWMIKNGYISLKI >ORUFI10G08190.1 pep chromosome:OR_W1943:10:10343195:10344509:-1 gene:ORUFI10G08190 transcript:ORUFI10G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAQNKLAEYGDTATEKLERGYLTQLYPSCSTFLPSRVGCREGPQPLHDATFAKRSIGKGERKPAGVGGHEGPRLMKEELDPEAEATTTLLVGELWPGPGDSSQAEPRDGCVEEKDGVTEDLSV >ORUFI10G08200.1 pep chromosome:OR_W1943:10:10350952:10353883:1 gene:ORUFI10G08200 transcript:ORUFI10G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRALSLLLVLLGMALASFPSAASASRDLRPRRAGFVVRGRVWCDTCLAGFETPASTYIAGAKVKVECRSKSTGAKTCSFEGQTDHTGTYNIPVNDEHEHELCESVLVSSPDAKCGKIVAGRERAPVFLTNNNGVTSNVRLANALGFQKDAPLAACAQILKMYEEVDDRV >ORUFI10G08210.1 pep chromosome:OR_W1943:10:10359118:10360083:1 gene:ORUFI10G08210 transcript:ORUFI10G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQWRCLAAAASTSGNSKLPPLPMALGGAVEYGQLVHGAAAPVAPFFVDAEQQSLSPATAMVLGAGWYNYNLVTPSQAAQLHHRLRRAVGAAPCSMKRCGGMAAAAAGRLALVGPAPVQAKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTYDTAEDAALAYDGAAFRLRGDAARLNFPELRRGGRHHAPALSASVDAKILQATTTTTADTAAAAAPASTNTTPPPSPRVVKTEPGCCSVSEASTTTTADAADVSSTGSSPSPTSSNQAATATPPAPRPPPPLPETIQQLDFTEAPWDEADGFALRRYPSWEIDWDAILS >ORUFI10G08220.1 pep chromosome:OR_W1943:10:10364743:10368224:-1 gene:ORUFI10G08220 transcript:ORUFI10G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFPDRPRARGRGHGRRLAVESALVPVGSRPWRPWPRKAGMGMAVRIDGWMDVQFIGMRQAQELPVEKVES >ORUFI10G08220.2 pep chromosome:OR_W1943:10:10364743:10368224:-1 gene:ORUFI10G08220 transcript:ORUFI10G08220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFPDRPRARGRGHGRRLAVEVLHLCRSAAGPGDPGHAKQAWGWRSGSMDGWMFNSVEKVES >ORUFI10G08220.3 pep chromosome:OR_W1943:10:10364985:10368224:-1 gene:ORUFI10G08220 transcript:ORUFI10G08220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFPDRPRARGRGHGRRLAVESALVPVGSRPWRPWPRKAGMGMAVRIDGWMDVQFVAVGCLSRCSWVMDICRWET >ORUFI10G08230.1 pep chromosome:OR_W1943:10:10371822:10372638:-1 gene:ORUFI10G08230 transcript:ORUFI10G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAAVSGSVPVGLAAKNPKLMHEGAQDLNLAFPHHHGHALQSPEFTAFPSLKSSTMCNPGGNLAAANGAGAAWARVLGDGVAEEHRLLRSSASDGAARDAGGICSCGVPSWRVPHAATATVASATSSDCARFLPGHAWRVQAAAPGCLARAALGCKRARWGRLLFPFEDLKLVASATASDANSSGDHQYDHGKNQGGGGGVIGGHETPGFWNSSMLGNGSSNGGGDSW >ORUFI10G08240.1 pep chromosome:OR_W1943:10:10382676:10383732:1 gene:ORUFI10G08240 transcript:ORUFI10G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTFDGSYNMSSSVSHPAATHHRALAPAGARAVGGGGTGRCEGRRRRWPGRVLGPDVEAAPASAPVGGQELGVAASFAGDKRRPHRAKDEDNATAQRHRQAPPSIAAYLQSTTSFCIPTWPPLDSPSNHEPGGSRSGHPELEGRGSSHPEFGCSRSVREESSPPSTPLRVVEVNLPRGEQASAIPFHPIVGSHCLLIYFT >ORUFI10G08250.1 pep chromosome:OR_W1943:10:10394152:10394619:-1 gene:ORUFI10G08250 transcript:ORUFI10G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRPSSSTEYECGLCQGKKNNVFEENHAMLANKVEAGGAYRHTPNAWRFAYQPPSLQVTGDQPATSTAPQPEKQITIKDDNDDDHHINNVNIALNSYNYNMANESIFNSGLLHPNTQDYISGCKDLWYGPYEDDEKKDWLSSFELLVDELWKNK >ORUFI10G08260.1 pep chromosome:OR_W1943:10:10394974:10395683:1 gene:ORUFI10G08260 transcript:ORUFI10G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDTDTSTSTTSNIHGSMAHASRRARRWRCTCRRSANCKGKSKFVLEHQAMWEKEGRNKGYDTTVHRWRFATVPEGKILAGWEKSDILPLSKRDFLVADDAPSLQHLGCDGRGLAVLVCLTDDELKVQDKVYYDGLLESRWAEDTGSSYAPRTAAVASSVTGRRHDQRGQRHDGGDEEHSGGKVPQGQDSGEARI >ORUFI10G08270.1 pep chromosome:OR_W1943:10:10397897:10398313:1 gene:ORUFI10G08270 transcript:ORUFI10G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGASQMQPSSSLHHSATARGSSSTSAGRRRAGRLGRAWGRRSQRGGGPEQDEDGGGRGGDSSGRRWRLEVLTVMVAKRQWRAGGARAGDVAARGGSGRAWQRLWRGGREVKEVAGGGGEWPDGRPFFFSDVA >ORUFI10G08280.1 pep chromosome:OR_W1943:10:10399892:10403213:-1 gene:ORUFI10G08280 transcript:ORUFI10G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARDLLRSPLRWPSHDSGWRREEETLAAGRRLPPHLRSALSPLEVRPPPPPSHAASPLRSLPGGYESIPARGDQVGTRKQWQMVLGLQWQVFSNKIMHWQLGCIFFGGWGKLAPILSVPPPTSTKIKTDLVMVIVLTTKQLELDSYTATITECTSGSPLFLLDFPLPIILVENSGRCKTNEHGEKHSDPFLPIVKWDGKPVGDGKCYLYSS >ORUFI10G08280.2 pep chromosome:OR_W1943:10:10399892:10403213:-1 gene:ORUFI10G08280 transcript:ORUFI10G08280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRARDLLRSPLRWPSHDSGWRREEETLAAGRRLPPHLRSALSPLEVRPPPPPSHAASPLRSLPGGYESIPARGDQVGTRKQWQMHWQLGCIFFGGWGKLAPILSVPPPTSTKIKTDLVMVIVLTTKQDFPLPIILVENSGRCKTNEHGEKHSDPFLPIVKWDGKPVGDGKCYLYSS >ORUFI10G08280.3 pep chromosome:OR_W1943:10:10399892:10403213:-1 gene:ORUFI10G08280 transcript:ORUFI10G08280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRARDLLRSPLRWPSHDSGWRREEETLAAGRRLPPHLRSALSPLEVRPPPPPSHAASPLRSLPGGYESIPARGDQVGTRKQWQMVLGLQWQVFSNKIMHWQLGCIFFGGWGKLAPILSVPPPTSTKIKTDLVMVIVLTTKQDFPLPIILVENSGRCKTNEHGEKHSDPFLPIVKWDGKPVGDGKCYLYSS >ORUFI10G08290.1 pep chromosome:OR_W1943:10:10406833:10408112:1 gene:ORUFI10G08290 transcript:ORUFI10G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSSLAAAASASAASPSLAKAVDTYRKAVGTAATLTAYTVLARGMARELVPHDLRAAVAWAASLVRARFEPRPAERRTVIIRRRRPNRVFADAHSYLATKIDPRSMTRFCLSGGASGGERRARSSVVISMVPGDSMTDVFEGVEFTWTSVPGEGGGGGGRSNGGGTAAESDSRELSFDAEHTDTALDRYVPFIRDEVERARRRDRELEISMNEGSSWNGIVHHHPATFDTVAMDPALKKQFDFNKSQNILLTLNEEEKEKLTLSGLLNFIDGLWSTSGEERVIVFTTNYRERLDPALLRPGRMDKHVYMGHCGWDAFTTLARNYFLVDDHPLFPEIRRLISQAEVTPAEVSEMLLRSEDAGAALAGLAEFLEVKKKKMNQAAV >ORUFI10G08300.1 pep chromosome:OR_W1943:10:10411351:10413457:1 gene:ORUFI10G08300 transcript:ORUFI10G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAPLPHLLPSFGSPATTPTTPRHHLAELRIERAHSAVAFSAPCDAGKKRRCLLPPSSPRKKVLLELHPFGSPTPTPSPATAPPLLSSRAGTRGSCPDSDFSFPLAVGGTGGGGGGGGGGNMFAFLEDAPRAPTTPTGSSGSSALSFLVSPAAPETPTGSTASGGFAFVASPKEPTAPPGAFSFFASPKQAAATTGPTANGGFTFLGAPEQPLTPTGSNASGGCGSLSPKELHGGSAIAPLPSPTPASTDSTGSGGFSFFPSPGPAFGTAASPGLAAANQSSPTGGTSPSPPFVFTAWPAHKSGGRSSSNRRSRRNLRVATPRRGTPRPRDEQQPATPRPRKAAKTAAGEASRSSILSGSAGTPCCAFFASPAKAAKQESKNSSSEASRSGATSPEKTITPEREVEVSSAEREAPRPSSPAAAAACTGGELVVRVTCKCGVHKEFSFDHSH >ORUFI10G08310.1 pep chromosome:OR_W1943:10:10416165:10418994:1 gene:ORUFI10G08310 transcript:ORUFI10G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIHARASTRGAVKECQGKSKFFLEHQAKWEKEGNKGYDTNAHGWRFAYELTFPEGEIPSDWGYSKPLWDEHAKDEARRRHREAKQRKNEALQRQQRIEQVRTRWREKYAAGKAPRKEQLQKEAMDDMFDWQVLAEKRHTKNVQMALNIINRKHPGRNYELWEISAKSTTVEMELSYCHYNFTAYSPSSGFGFFFAETSDDVKCEDQVHSWCSIETGEIGCCVRCMSYEIYLVHPSSDKFLFGDESLHCCCADH >ORUFI10G08320.1 pep chromosome:OR_W1943:10:10421057:10430230:-1 gene:ORUFI10G08320 transcript:ORUFI10G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSRVLPPLGIAMGDSWSDTEIIMFLAERKTWDPLPQNILVGVDHTMIDPRDSPGTLWFLNWPDNQLCYDDENNVFRKAKNGYWKCVDACRIQTGTSILGVKLCLEFHEGQTPCGTRSGLMMYEYLIEQNDELNLPQAYKSLCRMFLQEDRKIVEDEHISLNLGVHHNFLGSYSQYLAEIQRQNMVMNSQAVSSDKKSIFIRELDERKSNKCSTKITRSSTRLYRQKIPHIWCLRHSVSRKWFRESMFRVIDKLAKLLESVLLDLATVRQKINKGKKRIWKMEGKLEKAQIQHLTDFNNKYGPSIRQSVETAEHNNCALRCLNNYLELMIEEPRFSSIAYVRLDSQVKKLDKENTSAMDLSSSLHQMLQKLNLRVQDEEVWVSQLGSNLQASFRKIMDTSSEIGKLEDEVLQLKVDIVEFAPGEVTSCAVYEMIKTLQIVELFMNNSTRHLKLIDGINSEMREFGSIFNGKVRCLVRFARYQQTIMEKSTQINLFQIINFLGDIRAKVDKMTQMYGLSEVVPIVSNQFIEGSFNADAYAFESLKEHSTDLCFSWRTRKFHNRLILPPVRQQTSGTCSYYATLSSVESLYKREYASYPTILKDKIGQPDEFLVNLSRAQLEDIVEDFYTRHKVKGSKRLNVCLDKMKNEGVISEESYMNPQAQSTERYKIKQYEELNLEIAMRERDKEEVSIVDKKCIGKKKKRRFRKKMRMKEHIFLTKIKKVIESHIMDGKVLIASFRVTTGYFRLLPHQIYKIPEDPQYELNKKGNPCSHCVVVVGFGIRDGECYLIYLNSYGTSWGHDGFGRIYLDSVRKLFLAQV >ORUFI10G08330.1 pep chromosome:OR_W1943:10:10438859:10440057:1 gene:ORUFI10G08330 transcript:ORUFI10G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPTPSIFGVDDLCTIFAANLDCQMVKSRSRVPPREELRRISDERDYNSLRIALKAYISRSSEKTPELRVVSVLERNLIVEHGQGFLHFNFLVQPVDLESSTLKMFFAEVHLDCKGDEDVYVCCPLHVHDNGHCFGCSVRAKFLRHPSSHVFLGGHKDVDFPFTDSSSNDHSNGTEDSLRDEESENSKIETDDKDSNSDTEEETESKDD >ORUFI10G08340.1 pep chromosome:OR_W1943:10:10441938:10442904:1 gene:ORUFI10G08340 transcript:ORUFI10G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPHHSVVSPRLPEASRSRAASSPRLASRKLREAPARPEPWRSRSRQATTPASSSRCAAPGRRCSSPRSAIPARRRRGGRRHHGDRAPLPRVVACSGTPASSARSSLRRGARPRCTRRTPGRRFSSSSPWSPSSCSSSGSSRQRRWRRSREGVRSAAPA >ORUFI10G08350.1 pep chromosome:OR_W1943:10:10455157:10455734:-1 gene:ORUFI10G08350 transcript:ORUFI10G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVATPSVSLRAPGRRFASWREKKVVLSVNILSSDYFKELYRLKTYHEVINVIYNQVDHVEQWMTGQLLWPLQRLLPPLQVLHHEAHG >ORUFI10G08360.1 pep chromosome:OR_W1943:10:10455753:10457614:1 gene:ORUFI10G08360 transcript:ORUFI10G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLEKEEELAFEVVWSDGGRGLQATAILVASNRTMQRDRESEAMGLQALQEVWRRSRRVRGWGGDWGGGEVRLCCRRISKSNPMVCCNAALLLLDVFVFDQVHVFCKHSCILCYCLHHGKVVIVEHVLATLGIGGMGLLLVLVGPDSVAVLADNEFSAINMGIDDSEPDNEFSAINMGVDDSGGSDIFGFVPAWLDGIIVLIDEIASAAAA >ORUFI10G08370.1 pep chromosome:OR_W1943:10:10459342:10459735:1 gene:ORUFI10G08370 transcript:ORUFI10G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTTPILAVREARPCGLAVAGAKPPTSCFTPARDSDAKTNNLMEAWISDF >ORUFI10G08380.1 pep chromosome:OR_W1943:10:10476916:10478337:-1 gene:ORUFI10G08380 transcript:ORUFI10G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPEFQDVQSRAAGAVAFLGNVKARFRPRPAVDPAAPAAPVLRRTAELLRGHPDLVAEINAVIYPRNRVELVPAADDDDGDHAAAAAAARTRRRSDAQRRRPDDAAVAESSAAAERRAKVSKAEQFLASLKIVGGVELHDRVEHVIHDVNKDKGLDAHQVYARLEEVLAAEHPYLLHGIDEFFPRPKHQPPPHAAADGEPDVGHRPSSSSSKCAAAVDINQNGDATRPSKARATQLLTAAIFDLQINHVDLHVNKNSDAVRPKKKPRAADPQTSKSALDGGGDDDDDDDGAVLPSRAAKKPRAADIKIKRRHPLDDGEESDACWQVTTTDNPHDAARTFRKTLEFIAWYSKLVTTMRRAEELERREPHPHGALKDLFPSSDCHEILEELYGGGWRTVQVALDDGGGGRAGRTTLAAMLVSLRQRENAAVELARRRADKTRYGEEPAAAWGSRRRRRQP >ORUFI10G08390.1 pep chromosome:OR_W1943:10:10479068:10479812:1 gene:ORUFI10G08390 transcript:ORUFI10G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASPEPLPMCWAEHCFEALIHCLPPAPPPSRTGTGAPSQRRQARRIAAHAVVGDSQIWVSTERHSMFSFDTASDAWPNFGDWVLPFRGRIEHVPVDNLSFGFSPHDDGHLRASDLTATPPLLPPHKVCPAPVASYLIPLGSSMPRRRRPSTGDSRPPRRKMRRRRR >ORUFI10G08400.1 pep chromosome:OR_W1943:10:10489528:10489806:1 gene:ORUFI10G08400 transcript:ORUFI10G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVAASCSEASTAEGNSMPYDHQSMPAPCPPPRATSGATYSCVPTNELDRACTGSARDAHHVQILEREEHLSGVEPGERDWESTTGHALAL >ORUFI10G08410.1 pep chromosome:OR_W1943:10:10489846:10490049:1 gene:ORUFI10G08410 transcript:ORUFI10G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKGWSSQRRMRFSAAAWPSLPFLESARQSTTFMAYSHEDDEPTHSPSDDVPGADVVDEGEVPRA >ORUFI10G08420.1 pep chromosome:OR_W1943:10:10493064:10496331:1 gene:ORUFI10G08420 transcript:ORUFI10G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTALKLLLLPYLLLLASASCTQGLPFSNNTDLDALLGFKAGLSHQSDALASWNTTTSYCQWSGVICSHRHKQRVLALNLTSTGLHGYISASIGNLTYLRSLDLSCNQLYGEIPLTIGWLSKLSYLDLSNNSFQGEIPRTIGQLPQLSYLYLSNNSLQGEITDELRNCTNLASIKLDLNSLNGKIPDWFGGFLKLNSISVGKNIFTGIIPQSLGNLSALSELFLNENHLTGPIPEALGKISSLERLALQVNHLSGTIPRTLLNLSSLIHIGLQENELHGRLPSDLGNGLPKIQYFIVALNHFTGSIPPSIANATNMRSIDLSSNNFTGIIPPEIGMLCLKYLMLQRNQLKATSVKDWRFITFLTNCTRLRAVTIQNNRLGGALPNSITNLSAQLELLDIGFNKISGKIPDGINNFLKLIKLGLSNNRFSGPIPDSIGRLETLQYLTLENNLLSGIIPSSLGNLTQLQQLSLDNNSLEGPLPASIGNLQQLIIATFSNNKLRDQLPGDIFNLPSLSYILDLSRNHFSGSLPSAVGGLTKLTYLYMYSNNFSGLLPNSLSNCQSLMELHLDDNFFNGTIPVSVSKMRGLVLLNLTKNSLLGAIPQDLRLMDGLKELYLSHNNLSAQIPENMENMTSLYWLDISFNNLDGQVPAHGVFANLTGFKFDGNDKLCGGIRELHLPSCPTKPMEHSRSILLVTQKVVIPTAVTIFVCFILAAVVFSIRKKLRPSSMRTTVAPLPDGMYPRVSYYELFQSTNGFNVNNLVGTGRYGSVYKGTMLLKKSETTVAIKVFNLEQSGSSKSFVAECNAISKIRHRNLIGVITCCSCSGLNQNDFKAIVFKFMPHGNLDKWLHPEVHSSDPVKVLTLVQRLSIASDIAAALDYLHNSCHPTIVHCDFKPSNILLGEDMVAHVGDLGLAKILTDPEGEQLINSKSSVGLMGTIGYIAPEYAECGQISPSGDVYSFGIVLLEMFTGKAPTNDMFTDGLTLQKYAEMAYPARLIDIVDPHLLSIENTLGEINCVMSSVTRLALVCSRMKPTERLRMRDVADEMQTIMASYVTEIDKVSL >ORUFI10G08430.1 pep chromosome:OR_W1943:10:10493816:10499344:-1 gene:ORUFI10G08430 transcript:ORUFI10G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREESAARASSTPSRDSRSDNDALGTAWSHGSGARLGGGRLRQSSRWLRRIWTVRAATEAATGATTADLSAMATGARLSPIPSTSPRAAVHADRRPRRCHHLKLPLHHDPSVPLPPPPGVPSHPRPPLLAVDWNPQHTPRVDRDRPCSPPAPSRAAESGRRARLGECASPVGGFRPPGLPHPSALSWDDESLFLFRCPGVFRAFDPVGGSACRPRLSPGAAENELQLVDFFQRCHIRRGSQEGTNENLGKAKVSNMRNHVLPKKNVAGLEITVAMISCCRFPMLAGNGPSKLLLSRESCCSCVKFPSEDGMIPDNRLFSSVKYCSVSSLPMLSGIGPLKRLLERPNLISLRKLLIPSGILPEILLNPISRSSSCADRLVIEFGSAPPSLLFCIVTARSLVQFVKNVMNLQSLTLVAFSWFLCSIRYFRQSIPISGGMIPVKLLEERSMERMLVAFAIEGGMLPVKWFKATMKYWIFGRPLPKSEGNLPCNSFSCRPMWISEERLRSVLGMVPDR >ORUFI10G08440.1 pep chromosome:OR_W1943:10:10499446:10499835:1 gene:ORUFI10G08440 transcript:ORUFI10G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAGATTARLSTMDASARLSPIPSTSPRAERRPAPARRCPSHPLAMKKKNRQREEEEEEQRKRY >ORUFI10G08450.1 pep chromosome:OR_W1943:10:10506591:10512923:1 gene:ORUFI10G08450 transcript:ORUFI10G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTILKLLPMLYMLLMASTEYAQAFSNETDLDALLAFRAGLSNQSDALASWNATTDFCRWHGVICSIKHKRRVLALNLSSAGLVGYIAPSIGNLTYLRTLDLSYNLLHGEIPPTIGRLSRMKYLDLSNNSLQGEMPSTIGQLPWLSTLYMSNNSLQGGITHGLRNCTRLVSIKLDLNKLNREIPDWLDGLSRIKIMSLGKNNFTGIIPPSLGNLSSLREMYLNDNQLSGPIPESLGRLSKLEMLALQVNHLSGNIPRTIFNLSSLVQIGVEMNELDGTLPSDLGNALPKIQYLILALNHLTGSIPASIANATTMYSIDLSGNNFTGIVPPEIGTLCPNFLLLNGNQLMASRVQDWEFITLLTNCTSLRGVTLQNNRLGGALPNSIGNLSERLQLLDLRFNEISNRIPDGIGNFPKLIKLGLSSNRFTGLIPDNIGRLTMLQFLTLDNNLLSGMMASSLGNLTQLQHLSVNNNNLDGPLPASLGNLQRLVSATFSNNKLSGPLPGEIFSLSSLSFVLDLSRNQFSSSLPSEVGGLTKLTYLYMHNNKLAGALPDAISSCQSLMELRMDGNSLNSTIPVSISKMRGLELLNLTKNSLTGAIPEELGLMKGLKELYLAHNNLSLQIPETFISMTSLYQLDISFNHLDGQVPTHGVFSNLTGFQFVGNDKLCGGIQELHLPSCRVKSNRRILQIIRKAGILSASVILVCFILVLLVFYLKKRLRPLSSKVEIVASSFMNQMYPRVSYSDLAKATNGFTSNNLVGTGRYGSVYKGTMRFKNSVSDVAVKVFDLEQSGSSKSFVAECKALSKIQHRNLVGVITCCSCPNLNQNDFKALVFEFMPYGSLDRWIHPDIDPSSPVEVLTLMQRLNIALDIGAALDYLHNNCQPAIVHCDLKPSNILLGDGMVAHVGDFGLAKILTDPEGEQLINSKSSVGIMGTIGYVAPEYGEGGQISPYGDVYSFGILLLEMFTVENASGEINSVITAVTRLALVCSRRRPTDRLCMREVVAEIQTIRASYVEEINKIVSD >ORUFI10G08460.1 pep chromosome:OR_W1943:10:10510243:10510446:-1 gene:ORUFI10G08460 transcript:ORUFI10G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQSCTLLAINWFPLSNRKFGQSVPISGGTMPVKLLPERSMEYIVVAFAIEAGMLPVKWFRARIRY >ORUFI10G08470.1 pep chromosome:OR_W1943:10:10520459:10539530:1 gene:ORUFI10G08470 transcript:ORUFI10G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPNIAASCSPSCLLLAVAVTFFSATASISHGVPAPPAACVARERDALLAFKQRVTARDPASAISSWRRGEAAADCCQWDGVECDSRTGRVIGLDLANREFDGRTGVLDDQVSLVGDISRSLLSLEHLSDLQLGWNFLEGRTGRLPDFLGSFKRLESLGLTGIPFSGTVPPKLGMPATLVTLDLGYNALDDVITAEHLSHLNRLKHIDLSYTSLKIQIVSEWQPPFRLESASFQFCQMGPRFPAWLQSQKSIDSLDMSSTGLSGKLPHWFATTFSRASELNFYNNSITGELPKKMRNMSLQRLFLGSNQLKGRIPHLPVNLTQLDLSRNYLSGPLPSNLPNLSEVVLFSNNISGRIPKSICQSQDLATLDLANNRLEGKFPRCFNPKNIVSVLLSNNRFTGKFPSFLERCTQLVFLDLGWNEFHGRLPVWIGDLVRLEVLALDHNKFFGGIPDKITNISCLIHLNLAANNISGAMPRHLSNFTSMSGSINGCGDIPDNNSPSEKDNVSVVTKGKDLYYDDAEILDMVTIDLSSNYLTGDIPQEITSLLSLRCLNLSGNHLSGKIPNKIGILQSLESLDLSRNNLSGEIPSSLSNLTFLSDLDLSFNNLRGTIPSGSQLDSLYTEHPRMFDGNGGLCGPPLGKNCYVPQKGHMRRKENFSKIQPFHVGILLGFIAGLWVVFCIMLFKKSWRIAYFRLFDSMYDKVYVLVVVSWGKFAQENY >ORUFI10G08480.1 pep chromosome:OR_W1943:10:10548897:10550679:-1 gene:ORUFI10G08480 transcript:ORUFI10G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDMDSGKPPSGVAIDQACPKQCHCHRSGSLHATKVALELIVVWINLILGSNGVVRPHLGSGGNVQAYPSVYGCITPPKILYILGRKELIVPIAVKPPKLHKHKLGSQNINCHETSLCVVDLWVCGSTFLNRHIT >ORUFI10G08490.1 pep chromosome:OR_W1943:10:10552170:10559879:1 gene:ORUFI10G08490 transcript:ORUFI10G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADARRFAVVPQLDIAQILKEAQQRWLRPAEICEILKNYKSFRIAPEPPNRPQSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKSGSIDVLHCYYAHGEENENFQRRTYWMLEEDFMHIVLVHYLETKGGKSRTRGNNDMHQAAVMDSPLSQLPSQTIDGESSLSGQFSEYEEAESGGTGYHSFTQMQQQQNGIGPVTDASMFSSRVSASSIGNYQGQHAMGHTTNFYSSSQHDSPLVLSDPNLELANNGHESLWNGVMKPDEGTVQMTHLQPPVHPEQGMFTTEGQGVEYLTFDEVYSDGLSLKDIGAAGADVEPFWQFSSNSYLTEAIAFQLSSATADISATENSVQQNDGSLGAAIGFPFLKTQSSNLSDILKDSFKKSDSFTRWMSKELLDVEDSQIQSSSGAYWNTEEADSIIEASSREPLDQFTVAPMVLQDQLFSIVDFSPSWTYAGSKTKVLVTGRFLHANEVTERCKWSCMFGEVEIQAEISADGTLRCYSPPHKPGRVPFYVTCSNRLACSEVREFEFRPSDSQYMDAPSPLGATNKVYFQIRLDNLLSLGPDVYQATITNPSKEMIDLSKKISSLLANNDEWSKLLKLADDNEPLSHDQQDQYAENLIKEKLHVWLLHKVGDGGKGPSVLDDEGLGVLHLAAALGYDWAIRPTVTAGVNINFRDFHGWTALHWAAFCGRERTVVALIALGAAPGALTDPHPNYPAESTPADLASANGHKGISGFLAESSLTSHLQALNLKEANMSEISGLPGIGDVTERNASQPAIGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAVQYEGDKGGISDEHALSLLSMKPSKSGQLDPLHAAASRIQNKYRGWKGRKEFLLFRQRIVKIQAHVRGHQVRKHYRKIVWSVGIVEKVILRWRRRRAGLRGFRPTEGAIESSSGGTSSNLVKDKPAGDDYDFLQEGRKQTEERLQKALARVKSMVQYPEARDQYQRILNVVSKMQESQTVQEKILDESTEMDEGDFMSEFKELWDDDTPLPGYF >ORUFI10G08500.1 pep chromosome:OR_W1943:10:10562364:10566502:1 gene:ORUFI10G08500 transcript:ORUFI10G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPLSAAGAGGGGGRRRWQKRLSPTLARDRCYTRAFRSAGLRPTAVPLPDGAVVHLWLPPAAPPAAALQPVLLLHGFGARATWQWAPFLRPLIAAGLAPFVPDLVFFGGSASPAADRSPAYQAACVAAAMAAVLPGAPQAQAQRYAVVGVSYGGFVAYHLAHAFPAAVERLVLVAAGVCLEEADLAAGLFAVEDIAEAASLLLPQRPEDLRRLVGLTFCRPPRFMPSCFIRDYIRVMCTENVKEKTELLHALINGKKLSDLPKINQQTLIIWGEQDRVFPLELGLRLKRHLGDTSELVIVKNAGHAINREKPAELCRLIKNCIADPSVKYRDGHKGSWKSAIKRFAGSSLRKVDSTRPLL >ORUFI10G08510.1 pep chromosome:OR_W1943:10:10577021:10577257:-1 gene:ORUFI10G08510 transcript:ORUFI10G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRAECRRESDLRLAALRHNHGLQSADAASLPPWPSTDDADDSADLAEPSPASADSITVGVELAMLFLGESRGCS >ORUFI10G08520.1 pep chromosome:OR_W1943:10:10595825:10601200:1 gene:ORUFI10G08520 transcript:ORUFI10G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMRCIPGLLAGRRKKRVVADAKKASGDCPKVKPVEFIDSPAAECGDGKVAPCDVKVVVDDTVVTALAATKGGGGGDDHGGDMALAKRGSMSSDFEFEFHAHEKPDGHGVPAGASPDAVIASGKEVVVADASPKLKRSCSNIETKRPGAHDAAAEAAPARSRSYGDLGNLPGGGGGGISLETTPRGAAPQAEASPASVRTSRTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPSPAARPAAAACTPAGHHGGGGGYTSDTLEEGPATAAADRKNKKVMVDDSPPIPNQWVAFSADNSLHDRISAWVNSIDNDTFRIAEEDDDDHHHHHHYHGDADDDDGEHAMEHGDCVARAPRALEIGESSGKGHGKSKRSTAADEVAQANTIIQSLNAFSSVAHISGMGLKVVPMIAPFSSLRAINLSGNFIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHGLSGCTALRELYLAGNKISDVEGLHRLLKLAVLDLGFNKVTTARALGQLVANYHSLRALNLVGNPVQANVGDDALRRAVTGLLPHLAYLNKQPVKPRGAAPADGAVSRAALEAGGAGGGSRSARKRSSAAAASRRLGQRGEGSGSGRSRSKGRQPSSSLPARR >ORUFI10G08520.2 pep chromosome:OR_W1943:10:10595825:10601200:1 gene:ORUFI10G08520 transcript:ORUFI10G08520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMRCIPGLLAGRRKKRVVADAKKASGDCPKVKPVEFIDSPAAECGDGKVAPCDVKVVVDDTVVTALAATKGGGGGDDHGGDMALAKRGSMSSDFEFEFHAHEKPDGHGVPAGASPDAVIASGKEVVVADASPKLKRSCSNIETKRPGAHDAAAEAAPARSRSYGDLGNLPGGGGGGISLETTPRGAAPQAEASPASVRTSRTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPSPAARPAAAACTPAGHHGGGGGYTSDTLEEGPATAAADRKNKKVMVDDSPPIPNQWVAFSADNSLHDRISAWVNSIDNDTFRIAEEDDDDHHHHHHYHGDADDDDGEHAMEHGDCVARAPRALEIGESSGKGHGKSKRSTAADEVAQANTIIQSLNAFSSVAHISGMGLKVVPMIAPFSSLRAINLSGNFIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHGLSGCTALRELYLAGNKISDVEGLHRLLKLAVLDLGFNKVTTARALGQLVANYHSLRALNLVGNPVQANVGDDALRRAVTGLLPHLAYLNKQPVKPRGAAPADGAVSRAALEAGGAGGGSRSARKRSSAAAASRRLGQRGEGSGSGRSRSKGRQPSSSLPARR >ORUFI10G08520.3 pep chromosome:OR_W1943:10:10595825:10601200:1 gene:ORUFI10G08520 transcript:ORUFI10G08520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMRCIPGLLAGRRKKRVVADAKKASGDCPKVKPVEFIDSPAAECGDGKVAPCDVKVVVDDTVVTALAATKGGGGGDDHGGDMALAKRGSMSSDFEFEFHAHEKPDGHGVPAGASPDAVIASGKEVVVADASPKLKRSCSNIETKRPGAHDAAAEAAPARSRSYGDLGNLPGGGGGGISLETTPRGAAPQAEASPASVRTSRTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPSPAARPAAAACTPAGHHGGGGGYTSDTLEEGPATAAADRKNKKVMVDDSPPIPNQWVAFSADNSLHDRISAWVNSIDNDTFRIAEEDDDDHHHHHHYHGDADDDDGEHAMEHGDCVARAPRALEIGESSGKGHGKSKRSTAADEVAQANTIIQSLNAFSSVAHISGMGLKVVPMIAPFSSLRAINLSGNFIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHGLSGCTALRELYLAGNKISDVEGLHRLLKLAVLDLGFNKVTTARALGQLVANYHSLRALNLVGNPVQANVGDDALRRAVTGLLPHLAYLNKQPVKPRGAAPADGAVSRAALEAGGAGGGSRSARKRSSAAAASRRLGQRGEGSGSGRSRSKGRQPSSSLPARR >ORUFI10G08520.4 pep chromosome:OR_W1943:10:10596397:10601200:1 gene:ORUFI10G08520 transcript:ORUFI10G08520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMRCIPGLLAGRRKKRVVADAKKASGDCPKVKPVEFIDSPAAECGDGKVAPCDVKVVVDDTVVTALAATKGGGGGDDHGGDMALAKRGSMSSDFEFEFHAHEKPDGHGVPAGASPDAVIASGKEVVVADASPKLKRSCSNIETKRPGAHDAAAEAAPARSRSYGDLGNLPGGGGGGISLETTPRGAAPQAEASPASVRTSRTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPSPAARPAAAACTPAGHHGGGGGYTSDTLEEGPATAAADRKNKKVMVDDSPPIPNQWVAFSADNSLHDRISAWVNSIDNDTFRIAEEDDDDHHHHHHYHGDADDDDGEHAMEHGDCVARAPRALEIGESSGKGHGKSKRSTAADEVAQANTIIQSLNAFSSVAHISGMGLKVVPMIAPFSSLRAINLSGNFIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHGLSGCTALRELYLAGNKISDVEGLHRLLKLAVLDLGFNKVTTARALGQLVANYHSLRALNLVGNPVQANVGDDALRRAVTGLLPHLAYLNKQPVKPRGAAPADGAVSRAALEAGGAGGGSRSARKRSSAAAASRRLGQRGEGSGSGRSRSKGRQPSSSLPARR >ORUFI10G08520.5 pep chromosome:OR_W1943:10:10596678:10601200:1 gene:ORUFI10G08520 transcript:ORUFI10G08520.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMRCIPGLLAGRRKKRVVADAKKASGDCPKVKPVEFIDSPAAECGDGKVAPCDVKVVVDDTVVTALAATKGGGGGDDHGGDMALAKRGSMSSDFEFEFHAHEKPDGHGVPAGASPDAVIASGKEVVVADASPKLKRSCSNIETKRPGAHDAAAEAAPARSRSYGDLGNLPGGGGGGISLETTPRGAAPQAEASPASVRTSRTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPSPAARPAAAACTPAGHHGGGGGYTSDTLEEGPATAAADRKNKKVMVDDSPPIPNQWVAFSADNSLHDRISAWVNSIDNDTFRIAEEDDDDHHHHHHYHGDADDDDGEHAMEHGDCVARAPRALEIGESSGKGHGKSKRSTAADEVAQANTIIQSLNAFSSVAHISGMGLKVVPMIAPFSSLRAINLSGNFIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHGLSGCTALRELYLAGNKISDVEGLHRLLKLAVLDLGFNKVTTARALGQLVANYHSLRALNLVGNPVQANVGDDALRRAVTGLLPHLAYLNKQPVKPRGAAPADGAVSRAALEAGGAGGGSRSARKRSSAAAASRRLGQRGEGSGSGRSRSKGRQPSSSLPARR >ORUFI10G08530.1 pep chromosome:OR_W1943:10:10603531:10604535:1 gene:ORUFI10G08530 transcript:ORUFI10G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSHHLLAAAVAAVVVVVMGWSARPCEASLYQPPPPAMAYHDGAVLEGAVPVSVLYYGAFPPHHRAVVADFLMSLSPRGRDHQPHTFGAPGPAPPPTVARWWGTVERYVRKAGRGGGAGVARVVLASQVDDEGCSLGRRLSRAQVERLAARLGVAPGGVAVVLTAADVAVEGFCSSACGAHGSSAPGGGAVHVWVGDASAQCPGRCAWPFHAADYGDADAGRHRRAHGHDVALRAPNGDAGVDGVVINLAALMAGAVTNPYGRGYFQGDAAAPVEVAGACPGVYGRGAYPGYPGAVRVDAATGAGYNVVGRNGRRYLVPALVDPDNYSCLIMT >ORUFI10G08540.1 pep chromosome:OR_W1943:10:10607789:10610985:-1 gene:ORUFI10G08540 transcript:ORUFI10G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGQRAKEAVGGAWGCLDPVVPSHLKTGYSAQEFGAAERRQAVTLGWRAKEAAAGAWGVQIRWLVYGVLKSGDASENNTNSPEELQIRDELEADIEEDLEREIIDDMCRLTRHLQRLYQQRDLRQLTGSATSYQMPLYHTTTEVLSEINIRINLDGQCNINITKIEQDDDTENQRKTCPNAYQSDKRQGHVKARQTYTVSRRKQQNHPVALWR >ORUFI10G08550.1 pep chromosome:OR_W1943:10:10615323:10615601:-1 gene:ORUFI10G08550 transcript:ORUFI10G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVAKAKEVTTMTLIGGDGVAWQAARKEGTMAGRLSKEEVMGQMWRGMVACPLVHEGGGWRWRKKPSSSSPHGGWWRLRPVTELELTHEGK >ORUFI10G08560.1 pep chromosome:OR_W1943:10:10616492:10623355:-1 gene:ORUFI10G08560 transcript:ORUFI10G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGVRLLPACENLFSQADLKKSACENTFIFAGGPLKSSVCENIFLQSELLRGSKPAAGECSWRCTLLLFNHCTAGDKPLPQQQWRPTKFGDQPPQPSLGWSPNLNLPYPLPPTQPTVVSLPAPRSGGPALPLLPHHHHVRSKVAFRFPNDQGFEGGTNGGVFVEQAHHPSASAVLGALERHTTLLLYSNRLVGSLPPSLGGLAALRMLHISDTSVMSSPSLVVLSRLANLTVFELASCNLNNTILRSLGRLTKERGGRGDWKDDRRHRRDQWLRQQWDQEDKKPSKKENLSEIIQVNKA >ORUFI10G08570.1 pep chromosome:OR_W1943:10:10629663:10631601:1 gene:ORUFI10G08570 transcript:ORUFI10G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVYSHGGHFGGYGGGLMGGAPAAPWDVFAAAGGGGSWDDPGLFAAEASLDVIQGVDEWEVDQDQHASSSSKVAARPPVKAAAAAGKRKRRRAKAAKNREEIESQRMTHIAVERNRRRQMNEYLAVLRSLMPPSYAQRGDQASIVGGAINYVRELEQLLQTLEARRTIKDHIDGGAGESPSPFAGFFAFPQYSTATSGHGGGGDAHSRIVVKPAETTTTAAGGGAGTAIADIEASMVEGHASVKVQARRRPRQLLKLVAGLHQLGLTTLHLNVTTVAAMAMYSFSLKVEDGCKLGSVEEIATAVHEILERMQEEQAFADAKTSL >ORUFI10G08580.1 pep chromosome:OR_W1943:10:10635676:10638268:1 gene:ORUFI10G08580 transcript:ORUFI10G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVRSVASLYCQGLRRTVRLGGGATAGTPAARAPALDQRRPFLSRVDRIEATTGGGGGGAADTGGSPRRHGKEGTAPLFTWARLVVGSVLAAMAPFLQSKWATLLRIQSEVEMVKDAAETAAEVVEEVAAAVEKASAEVAEAEQLPEHGALRRAAALVERASREVAEEAHLAHDIIHKVDEIEEDVKTMIEPIIDNHKHGTRGTIKKH >ORUFI10G08580.2 pep chromosome:OR_W1943:10:10636173:10638575:1 gene:ORUFI10G08580 transcript:ORUFI10G08580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEFIKLFQIMFYEITSLIFYEACNDNHFRLKKNPNNISFSINLPLGLELIKQIKNGEKNENRVAVEYKYQQQRRRSRGYLKILNLEHDGGGGQRTGDSDEAEGGGDGAGGALPGRGGRECGRGHAAGRGRRGAGVGGARRRSRRVGGRRGRGHRRGRRRGRRHRRRRRGGGGLGRRGRQGRHLRRRRQRGHLRGSARALRCHRHGGEDGDERGGGGGDGEAGH >ORUFI10G08590.1 pep chromosome:OR_W1943:10:10644149:10645545:1 gene:ORUFI10G08590 transcript:ORUFI10G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEENDVTSAKPFIQTAIEPNLNGFMKMEGADFYYCGGGARPAAGGAAADAPAAQACRRTGRQRGERPADRADGQWAGTLWERKMAPTGRARTLPPSSSMAADLAPAPSTVWPVGVCERFSSYPFLSLYLFSHDGDGRRCGEEGQQAGARGRGRRRHRARPAIPIFSPRNVQEGRSPLLVNSQELGESGGGGGLPRPPDELAPSRRHDSRLFR >ORUFI10G08600.1 pep chromosome:OR_W1943:10:10655547:10656970:1 gene:ORUFI10G08600 transcript:ORUFI10G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPSCRGSSMAIIHDTSDQQEDNMRSYMDGGGAAAYEEEEEETKQIERDFAALRSRHDALRLECDALRRDKDALAAEIADLRDRVDGQMSVKLEAVAADEHQPPPPPPPPLLAYNSKVVDGSTDSDSSAVFNEEASPYSGAAIDHHHHQTPASYDTAGFTSFFAPSTTLTSSLSFPSMFHASSHFDGHQELLVGGGGAGAVADADLGGAGFFAGDEHAGGLSWYGAEGW >ORUFI10G08610.1 pep chromosome:OR_W1943:10:10662520:10666776:-1 gene:ORUFI10G08610 transcript:ORUFI10G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEKVDYVFKVVLIGDSAVGKSQILARFARNEFSIDSKATIGVEFQTRTLLIDHKYRAVTSAYYRGALGALLVYDITKRQSFDHIPRWLEELRGHADKNIVIMLVGNKSDLEDERAVSTEDAKEFAEKENLFFLETSALQATNVENAFQTVLSEIFKIHSKKNMAADPKANGAAPSLAGKKVVVPGPAQEIPKSKCCSSM >ORUFI10G08620.1 pep chromosome:OR_W1943:10:10681463:10686865:-1 gene:ORUFI10G08620 transcript:ORUFI10G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVAASALLRNNKKSTAAACFSLAMPFLLSSPTPPPPPPHSSSLPRSPSPRPRLPLPPPRRAALVTAAQDPRWRRAMASLAVSASASASGEEVTHLAQREAAEIDEQLMGPLGFSVDQLMELAGLSVAAAVAEVYKLGEHTRVLVICGPGNNGGDGLVAARHLHHFGYKPSVCYPKRTPKPLYSGLCTQLESLTIPFVPVEDLPANLSEEFDIIIDAMFGFSFHGTPRPPFDDLINRLVSLSAIDNSAKRPAIVSVDIPSGWHVEEGDINGGGFKPDMLVSLTAPKLCAKKFTGPHHFLGGRFVPPPIVSKYKLHLPPYPGTSMCVRIGKAPSVDISSLRENYISPELLENQVMPDPFDQFVRWFDEAVTAGLREPNAMALTTADKEGKPSSRMVLLKGVDKQGFVWYTNYGSQKAHDLSENPNAALLFYWNEMNRQVRVEGSVQKVPEEESEKYFHSRPRGSQLGAIVSKQSTIIPGREVLQQAYKELEQKYSDGSVIPKPDYWGGYRLTPKLFEFWQGQQSRLHDRLQYSLREVDRSTVWHIERLSP >ORUFI10G08630.1 pep chromosome:OR_W1943:10:10690837:10711101:-1 gene:ORUFI10G08630 transcript:ORUFI10G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATADWAWWLGLVAGAVPLLALAVWHCTDAFHSAAFAFRRRGTRARLPPGHMGLPFVGETLALIWYFNLARRPDAFIEAKRRRYCYGDGDDDGGIYRTHLFGSPAVLVCSPASNGFVFRSAPPGSFGVGWPVPELVGASSLVNVHGGRHARLRRFVLGAINRPGSLRTIARVAQPRVAAALRSWAAKGTITAATEMKNVTFENICKIFVSMEPSPLTEKIHGWFTGLVAGFRSLPLDMPGTALHHARKCRRKLNSVFREELERRKVKMVTGEGGDDDDDGDLMSGLMHVEDEQGRRLDDDEVVDNIVSLVIAGYESTASAIMWATYHLAKSPSALAKLREENLAIAKEKNGDGFITLEDVSKMKYTAKVVEETIRLANIAPMAHRVALRDVEYRGYTIPKGWKVIVWIRSLHVDPAYYDNPLSFNPDRWDKSAELGTYQVFGGGERICAGNMLARLQLTIMLHHLSCGYKWELLNPDAGIVYLPHPRPTDGATPVVAVGATDWAWRLGALVAGAVPLLALAVWHCTDAFHRAAFAFRRRGTRRARRLPPGHMGLPFVGETLALIWYFNLARRPDAFIEAKRRRYCYGDGDDDGGIYRTHLFGSPAVLVCSPASNGFVFRSAPPGSFGVGWPDPELVGVSSIVNVHGGRHARLRRFVLGAINSPNSLRSFAEVVQPRVAAALRSWAAKGTITAATEIKKVTFENICRMFVSMEPSPATAKIDEWFAGLVAGFRALQLDIPGTAFHHARKCRRKLNSVFREEVKRRKLKAKLEEHDDVMSGLMRMEDEQGRRLGDDEVVDNIVSLVLGGYKSTSSAIMWATYYLAKLPAVLAKLREENLAIAKEKNGASFITLDDISKMKYTAKVVEETIRLANISPMLYRVALRDVEYRGYTIPEGWKVIVWIRSLHVDPKYYDDPLSFNPDRWDKAAKPGTYQVFGGGERICAGNMLARLQLTIMLHHLSCGYKWELLNPDAGVVYLPHPRPTDGAAMSFSKL >ORUFI10G08640.1 pep chromosome:OR_W1943:10:10702559:10702762:1 gene:ORUFI10G08640 transcript:ORUFI10G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGKMMPIGVVNIATARRPGVMLSPWALKTVDYRCLAHHLAQQLNFATTQDDTTLEEQTLLIHPW >ORUFI10G08650.1 pep chromosome:OR_W1943:10:10714627:10720743:1 gene:ORUFI10G08650 transcript:ORUFI10G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGRSSQKMPLLPSPLGSLSAAATAAPRRAAAAAGLSPLLLRRRAPIAGALLFLSLGAFAGVRSLSSSASARMESTSTTVPSIVVYVTVPNKEAGKRLAGSIISEKLAACVNIVPGIESVYWWEGKVQTDAEELLIIKTRESLLDALTEHVKANHEYDVPEVIALPIKGGNLKYLEWLKNSTRES >ORUFI10G08660.1 pep chromosome:OR_W1943:10:10721526:10721834:1 gene:ORUFI10G08660 transcript:ORUFI10G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQVGRVAPPSETSSPSSPSQSSSAAQATREGREEEEEATLSAVAAARAGKDAPSSRARRSGGKTEASTACGVEREERGKRRRCGCEKVEGAEG >ORUFI10G08670.1 pep chromosome:OR_W1943:10:10725077:10725475:-1 gene:ORUFI10G08670 transcript:ORUFI10G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAAGDASAAAFWTACPHCCYVHSYPXAPTPSPPPATAAAPAPAPARAGGPTSRRKMCVSLKGRARVEAEQEEEEEEAAAAATIIDLEPEVQEGGIGEKGFTGINLNESVELSELGFRDDEIELFTAMS >ORUFI10G08680.1 pep chromosome:OR_W1943:10:10738540:10739474:1 gene:ORUFI10G08680 transcript:ORUFI10G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVAFNSKTVMNRAPEKISMEILAIRQNMVTLAANEEGQREYCHRQCSSGDRVGQGGLVAAYAPTLLVDATQDLVSSSISTRDAAPMVNQEETEVTTSQDSMIFDVRIAGVNTVIELAADGAQLDAAHTIIAKAKVQVEAGGKHPSQEVRQRSRQPIDPTPTRRSELQKTMLNEKTD >ORUFI10G08690.1 pep chromosome:OR_W1943:10:10754304:10755353:-1 gene:ORUFI10G08690 transcript:ORUFI10G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRLKHVSEGYGEAAWRRRGSERIRGRRRLYAMRKVEKPWKRRLEAVSIISGRMKWKDEMLDTGVWTHLAPAPIHAGHLQAVAPLGGFPTAAPQAIMQDRAAGVVGNAQVDIRVMQQLKWLEKMVYVCTFLLFMLFSRSSWVFV >ORUFI10G08700.1 pep chromosome:OR_W1943:10:10772961:10774661:-1 gene:ORUFI10G08700 transcript:ORUFI10G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTVEITRSEVLKPSPASAGGGEMVPLTVFDRAATDGYIPTMFAWDAAAAAALSNDAIKDGLAAVLSRFPHLAGRFAVDERGRKCFRLNNAGARVLEASAAGDLADALAHDVAAHVNQLYPQADKDRVDEPLLQVQLTRYTCGGLVIGAVSHHQVADGQSMSVFFTEWAAAVRTAGAALPTPFLDRSAVAAPRIPPAPAFDHRNVEFRGEGSRSHSYGALPLERMRNLAVHFPPEFVAGLKARVGGARCSTFQCLLAHAWKKITAARDLSPEEYTQVRVAVNCRGRAGPAVPTDYFGNMVLWAFPRMQVRDLLSASYAAVVGVIRDAVARVDERYIQSFVDFGEVAAGDELAPTAAEPGTAFCPDLEVDSWLGFRFHDLDFGGGPPCAFLPPDVPIDGLLIFVPSCAAKGGVEMFMALDDQHVEALRQICYSMD >ORUFI10G08710.1 pep chromosome:OR_W1943:10:10787948:10789336:-1 gene:ORUFI10G08710 transcript:ORUFI10G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVEITRSEVLRPSAAGGGGKRSPLTVFDRAATDWYIPAVFAWDGAAAPSNDEVKGGLAAVLAKYPHLAGRFDVDERGRRCFNLNDAGVRVLEATVAADLADALAHDVAAHVNELYPKADMENADEAVFQVQLTRYACGGLVIGTACNHQVSDGQSMSFFYVAWAAAVRSAGATLPTPFVDRAAIAVPRGPPAPAFDHRNIEFKGEHSWTHSYGSLPLERIRNLAVHFPDEFVAGLKSHVGARCSTFQCLLAHAWKKITAARDLSPEEYTQVRVAVNCRGRASPAVPMDYFGNMVLWAFPRMRVRDLLSSSYAAVVGVIRDAVARVDEPYIQSFVDFGEVAAGDELTPTAAPPGTVFCPDLEVDSWLGFRFHDLDFGRGPPCAFLPPDLPVEGMLIFVPSCAAKGGVEMYMALDDLHVDAFRHICYSMD >ORUFI10G08720.1 pep chromosome:OR_W1943:10:10814526:10823892:1 gene:ORUFI10G08720 transcript:ORUFI10G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQENADEAVFQVQLTRYACGGLVIGTACNHQVSDGQSMSFFYVAWAAAVRSAGATLPTPFITAARDLSPEEYTQVRVAVNCRGRASPAVPMDYFGNMVLWAFPRMRVRDLLSSSYAAVVGVIRDAVARAMAVAVEITRSEVLRPSETSAAGGGGKRSPLTVFDRAAMDWYIPAVFAWDGAAAPSNDEVKGGLAAVLAKYPHLAGRFDVDERGRRCFNLNDAGVRVLEATVAADLADALAHDVAAHVNELYPKADMENADEAVFQVQLTRYACGGLVIGTACNHQVSDGQSMSFFYVAWAAAVRSAGATLPTPFVDRAAIAVLRSPPAPAFDHRNIEFKGERSWTHSYGSLPMERIRNLAVHFPDEFVAGLKSHVGARCSTFQCLLAHAWKKITAARDLSPEEYTQVRVAVNCRGRASPAVPMDYFGNMVLWAFPRMRVRDLLSSSYAAVVGVIRDAVARVDEQYIQSFVDFGEVAAGDELTPTAAPPGTVFCPDLEVDSWLGFRFHDLDFGRGPPCAFLPPDMPVEGLLIFVPSCAAKGGVEMFMALDDVHVEAFRQICYSMD >ORUFI10G08730.1 pep chromosome:OR_W1943:10:10840050:10841753:-1 gene:ORUFI10G08730 transcript:ORUFI10G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPSHCHATNGNNGAIAAADTPVKTQHCARLLDADEFRRQGRLVVDLIADYYAGMGEYPVHPTVSPGFLRHRLPAEPPSRREPDAFAAAMQDVRDVILPGLTHWQSPRHFAHFPASSSTAGALGEALAAGINVVPFTWAASPAATELEMVVVDWLGKALHLPERLLFAGGGGGTILGTTCEAILCALVAARDRKLAAIGEGRIGDLVVYCSDQTHFAFCKAARIAGIRREHCREIPTYRDDAFALSPAALRAAMRRDADAGLVPLFVCATVGTTQTTAVDPVGELCAAAAPHGAWVHVDAAYAGSALVCPELRGAVAGGVEAVDSFSMNAHKWLLANNDCCVMWVRTPSALVAALGTDQEYILKDAAAETAAAADGGAAVVDYKDWGITLTRRFRALKLWLVLRCYGVEGLREHVRSHVGMAAAFEGMVRADARFEVVTPRRFALVCFRLRSPNKKKTANELNRRLLEEVNAASSGPYMSSANVGGVYMLRCAVGSTLTEERHVREAWRVVQDRATSILAKMDIIMSSVNNISLQEKAFPRRGNGFSSEADAGSTCTKAEASYAS >ORUFI10G08740.1 pep chromosome:OR_W1943:10:10862083:10866583:1 gene:ORUFI10G08740 transcript:ORUFI10G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADLLPDDVLEEILRLLAPSPRSLAACRVVCKAWRAIMDTRCPPPRPDLLPLSLAGIFFANFYCTIDNLLGFFAQRGRHHRARIFPKLDYLDDAPIDKLEAHDHCNGLLLMDNQPSHAAVDTHGCPRRRSGAPRGSDLEAMVTDSCCKEYLVFDPTVSPHYEVFSIPELVFCIGDDKENAESVVRQHEWPPSPFVVQVYSSATGRWEKRSLVRQREAAGTIADVHYSSWMASHHLYSVYWRGALHVQMKNNDVIRITLLDDKYQVIKSPSDINLNNHPYIYLGRSKKGVCCASIDHKQHQRRQVWLLHEVLLGGDQMEWMLIHDVSLEQIMADFRWNPEAVKPWIKHNTYRGDNKNNEEISEDESPGWDSEDDNIIVYTEDMVRWDMNGYTCILGLHPFREIIFLFNSYQDRVYDWLWASCTWRRYMGRDDDGVL >ORUFI10G08750.1 pep chromosome:OR_W1943:10:10879860:10880771:1 gene:ORUFI10G08750 transcript:ORUFI10G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMILAGVPSLNNDDHRRRGHGRSDLITVKVMAILLLLISTPAHSIGGVDDDDDDVEYVEITCYIDTQPFDYCFEQAYLLLSDNEPRKTVHGNHPVLAPKRKGQDAITAPPRKWLKMHLVGRKPRIDRCTIALRFDTIYLMAFSTNQNQWYSMYSGFPIAHTRLPFDEDYFALAGGTSNLVTVPLGKESALDAIHTLATFDGESANDLKIPLVKLRIMFSEALKLKPVRLAFSRDWNEETHITKQDTGYIGCWPLMSFNLVAWKNSGYVYWKSEKKLENCLVNTPWNASEVGDLLMRPHDEAI >ORUFI10G08760.1 pep chromosome:OR_W1943:10:10887063:10896587:1 gene:ORUFI10G08760 transcript:ORUFI10G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPPPPPPPTQSSPPVNWDALDALVLDFARSDRLLLPPASPSPPSSPSSSSTTTSSSTPSPSSSYRSRLLIRRARTALEEGDVDAALALLRAHAPAALLDHRLLFHLHKQRFVELVRRGTEADREAALDCLRTALAPCALDAYPEAYEEFKHILLVLIYDKDDQSSPVANEWSIKKRFELAGLLSSILRAHLQAYDPILSMTLRYLISIHKLLCSRQGISSPISNLTERLLFDDRDPPAVPQECSLEAPPFDEVDVQALAHAVELTRQGAVDSLKFAKGDLFQAFQNELCRMKLDLPLLDKLIHEYCIYRGIVEGGSHVLPGLQSNNESNDVNFVDKQEDSTETRIDFEMTNNQNGNCSTSDTSHHDSWSRRLRRVRSSASGQRRRKRWRGRVDDLDYGCETPLDANKHAILCSALDMDEVDMIVKPDLMADTGLPDSRCNQDQKYEVILEMRDLTRKGMASKVVEEINNMDPDFFLKNPILLFQLKQVEFLKLVASGDHGAALKVASTHLGPLAASNQALLKPLKETLVTLIQPCEDVLTKSVSLPVLASSLQIAMSRRLGIEEPQLMKIIRTTLHTHSEWFKLQMCKDRFEHFLKIDSLKEVDPSAGSHNMSKVLTDECANGSSQITTCSSGKVLDEGSSPQESSEVTCDENAILKVMEFLALPRADAIQLLMQYGGNAEAVIQQIFS >ORUFI10G08760.2 pep chromosome:OR_W1943:10:10887538:10896587:1 gene:ORUFI10G08760 transcript:ORUFI10G08760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRYLISIHKLLCSRQGISSPISNLTERLLFDDRDPPAVPQECSLEAPPFDEVDVQALAHAVELTRQGAVDSLKFAKGDLFQAFQNELCRMKLDLPLLDKLIHEYCIYRGIVEGGSHVLPGLQSNNESNDVNFVDKQEDSTETRIDFEMTNNQNGNCSTSDTSHHDSWSRRLRRVRSSASGQRRRKRWRGRVDDLDYGCETPLDANKHAILCSALDMDEVDMIVKPDLMADTGLPDSRCNQDQKYEVILEMRDLTRKGMASKVVEEINNMDPDFFLKNPILLFQLKQVEFLKLVASGDHGAALKVASTHLGPLAASNQALLKPLKETLVTLIQPCEDVLTKSVSLPVLASSLQIAMSRRLGIEEPQLMKIIRTTLHTHSEWFKLQMCKDRFEHFLKIDSLKEVDPSAGSHNMSKVLTDECANGSSQITTCSSGKVLDEGSSPQESSEVTCDENAILKVMEFLALPRADAIQLLMQYGGNAEAVIQQIFS >ORUFI10G08760.3 pep chromosome:OR_W1943:10:10887063:10887939:1 gene:ORUFI10G08760 transcript:ORUFI10G08760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPPPPPPPTQSSPPVNWDALDALVLDFARSDRLLLPPASPSPPSSPSSSSTTTSSSTPSPSSSYRSRLLIRRARTALEEGDVDAALALLRAHAPAALLDHRLLFHLHKQRFVELVRRGTEADREAALDCLRTALAPCALDAYPCMKVAGLQLLVNQ >ORUFI10G08770.1 pep chromosome:OR_W1943:10:10900835:10902900:-1 gene:ORUFI10G08770 transcript:ORUFI10G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELLPDDVLELILCRLKPSPRSLAACRGVCRAWRAVVDTRCPPPQPDLLPLSLAGIFFSGFYFAHEYLPGFFARRGRRHHRARISPKLDYLDDAPISQLEAVDHCNGLLLMQEYIVNPATRRWARLPPTPEWSPAAMVIADSCPEEYLVYDPTVSPHYEVLSIHHLDECFRTDSTTTAESVVWPPSPFVVQVYSSATGRWEKRSLVRRGEAAGTIADVQNSPGMATNHLYGYQVIKSPGDINLNNNPYIYLGRSKNGVYCASIDLKQHQRLQVWQLHELHGGGYHMEWMLIHDVSLDQIMADFRWNPEAVRPWIEHDMYSDDSKNDREISQEESTGWDSEDDSIVYTEDMVGWDMNGHTCILALHPFREIIFLFNKYLDRVWAYHLPNSKFEFLGKLCIGSGEEIGQSFSYTPCWIGDLS >ORUFI10G08780.1 pep chromosome:OR_W1943:10:10907906:10908823:-1 gene:ORUFI10G08780 transcript:ORUFI10G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMLAGVSSLNKGGGRRRGHGRSDLTIKVMVMLLLLLSTPAHSIRGVDDDDDDDVEYAEITCYIDTQPFDYCFEQAYLVLSDNEPRKIVYGNHPVLAPKRKGQDTITSPPRKWLKMHLVGRKTNIDRCTIALRFDTIYLMAFSTNQNQWYSMYSGFPIAHTKLPYAEDYYALAGGRSNLVTVPLGKESALDAIHTLATFDGDLSRVNDLKMPLVKLMIMFSEALRLKPVRLAFSKDWNTETHLTKQDVCYIGCWGLMSYNLVTWKNSGYVYWKSEKKLKKCLVNTPWNASDVSDLLMRPNDEAM >ORUFI10G08790.1 pep chromosome:OR_W1943:10:10910898:10911287:1 gene:ORUFI10G08790 transcript:ORUFI10G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAITRPQEPPTKSPPLSAFFSYAFHRTPCDHPLTTARDHHCEAPRPELEVGGSGGRAVLPPSWGKPGSRWTSRNAVEHLTSLPSAPVLHRVELHFAAFRRLAVSRYTLVPIASSIHLIASAGSKIGD >ORUFI10G08800.1 pep chromosome:OR_W1943:10:10942737:10944211:1 gene:ORUFI10G08800 transcript:ORUFI10G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVAMNMKRKEHAEVANNGLSIFLDPKRLKLQDGEIPDMMEEEKPSAETPPLRADQAAAAEPMTLRFSSGSGSLRRRRAAVSAGSFLVRFSLSDEFRVFFCEKFHKYPKKTMLSVTMLLNGQKPGKP >ORUFI10G08810.1 pep chromosome:OR_W1943:10:10944804:10948732:-1 gene:ORUFI10G08810 transcript:ORUFI10G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGLLAFESNQGLWNSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFAPYFWPYLGQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLLMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEAGGMETTTDANQNTGATCDKVKSQLSNFLPTSRRIMQFSNGQAPSPGACIVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVHDDQAIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVTEGSSVVGIDPYTVPKSMGIFQTITSPKPITTVSVATRIIDNHEAYKRRNLKKKASEDRYYTQKKFVAGD >ORUFI10G08810.2 pep chromosome:OR_W1943:10:10943415:10947582:-1 gene:ORUFI10G08810 transcript:ORUFI10G08810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAFESNQGLWNSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFAPYFWPYLGQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLLMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEAGGMETTTDANQNTGATCDKVKSQLSNFLPTSRRIMQFSNGQAPSPGACIVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVHDDQAIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVTEGSSVVGIDPYTVPKSMGIFQTITSPKPITTVSVATRIIDNHEAYKHRLLFTNLTRNEPADTAARRRLRLPLPELNLNVIGSAAAAWSALSGGVSEDDISYAVFIVSWVVSWP >ORUFI10G08810.3 pep chromosome:OR_W1943:10:10943415:10947582:-1 gene:ORUFI10G08810 transcript:ORUFI10G08810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAFESNQGLWNSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFAPYFWPYLGQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLLMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEAGGMETTTDANQNTGATCDKVKSQLSNFLPTSRRIMQFSNGQILRSARQLGDFLLVGVHDDQAIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVTEGSSVVGIDPYTVPKSMGIFQTITSPKPITTVSVATRIIDNHEAYKHRLLFTNLTRNEPADTAARRRLRLPLPELNLNVIGSAAAAWSALSGGVSEDDISYAVFIVSWVVSWP >ORUFI10G08820.1 pep chromosome:OR_W1943:10:10973977:10974595:1 gene:ORUFI10G08820 transcript:ORUFI10G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLIEDSAENCTLVDMGHFYNKKRHLTCLLSGDKFLNDDIFIPINMKDNHWYLAVVNTEKKQIQVLDSMCMTFNRADLANT >ORUFI10G08830.1 pep chromosome:OR_W1943:10:10977661:10978599:-1 gene:ORUFI10G08830 transcript:ORUFI10G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLSTYRCAGSTPNRRCPLPLISTFLSASLTPPKLDLGAPDPAPPLPPLLPRPTSISPALSPWGTLDPPPGAPDPSRRCCLRSPWSHYAVCRSDLPRRGQASLGFVVPTTTFLVGRSAFRIWKEITKTIGANFVDKLEANAETKFQQEAPPVVIASKSWKDRAVENPYSARQNSKSIQKRLGGKARTQVKAVWGKETVNKIWEEINQK >ORUFI10G08840.1 pep chromosome:OR_W1943:10:10981047:10981561:-1 gene:ORUFI10G08840 transcript:ORUFI10G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRCEAAGGSKVSAGGGEVVAEGAVVTAMASASSSSLSSRRRRLFVLLITCPSCNVKTIVTCTAKTYANRGHGFGCNFWYWEEGYINYLKRNGYIAGEDGAYGKTAQNVDLDEDAFVRQDEIEKKLIAKLGGV >ORUFI10G08850.1 pep chromosome:OR_W1943:10:10982922:10983216:1 gene:ORUFI10G08850 transcript:ORUFI10G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSSQQERSSSGILELVEQEIGGDGAGDSLQRRSSSTSLVFPFARTAGEPELKVCLSCRLQELALFSP >ORUFI10G08860.1 pep chromosome:OR_W1943:10:10992175:10993216:1 gene:ORUFI10G08860 transcript:ORUFI10G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGCSESDESPPVGPSPPPPALMPPAAPAPPPPTPCNCPMVPSPASHFRWVTKLRDGFIMAACTIGGWLFLIGLVRVCTMIRPHLPSWFPDMLHPSLTREILRLADWAKEHSAEDSTPALIIQAYAL >ORUFI10G08870.1 pep chromosome:OR_W1943:10:11001002:11006506:-1 gene:ORUFI10G08870 transcript:ORUFI10G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPVVIQENTAQLDLSPLLKVLNSPTTKKTQYQSGDKYFHRHTQEYTGMICQHHPTNVGMQKPK >ORUFI10G08880.1 pep chromosome:OR_W1943:10:11013789:11014803:-1 gene:ORUFI10G08880 transcript:ORUFI10G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWIREQSSMERKWKKQQRKKIKWGEPHMEGDFLPSATIIIMDGGRRAAAAPHGRTRSFASVFVPATPGDIGTRAILESAGFSNSLFEKSKTVSSSNAAKRGKLENVGYKREKKNINNITSKSSGTKEADQKANQELGECRQGAEQ >ORUFI10G08890.1 pep chromosome:OR_W1943:10:11028326:11032720:-1 gene:ORUFI10G08890 transcript:ORUFI10G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRRPSTAESDPSTYDYLPQDYVLTNQDATAQDIILVSSENETVVSMGGFSVKKHHLSCLLSKNEWVNDDVISAYIHCIKEAQSKTDKKVYYENPFLIAMLQRDAVYIQGVQKHLDIITRRQDLPSHEWIDLNVVTWPIIEQLQVKIQLDGSSCGLFMLKFMEFFTGDKFSHPVTQKDIELFRYKLAGILLCWKTNMAAEASDVEQVEDTDNEDDVVIVGSRQRERWDMKESKVHSATNDHKYSSLLSVVSAMSLQELIGGLFQYIQQINCAETLENIWVQSSRPHTIKLSLKTLQSVLNDGPLDRNCFNMAIRKFMYENVQSLHKTSEAITKHCLDLQFWTATGFGISPMFHKDIDLAGSVGSWSKIHHEVAKCKSILIPVCAVGSYILVILDQESRTLYLLDPNPLNPIYENNPTMRYTKKLLNITNYFNRAMCVACPGSRWTEDIDLWRHIYVTNPVADSNLSGCLVHLFMRSWKDGALHLPSFKDVDELRKQFLLHLLMYEQNECASNVPSGARDFLHCIANAKH >ORUFI10G08890.2 pep chromosome:OR_W1943:10:11028326:11032720:-1 gene:ORUFI10G08890 transcript:ORUFI10G08890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRRPSTAERFELENTYDYLPQDYVLTNQDATAQDIILVSSENETVVSMGGFSVKKHHLSCLLSKNEWVNDDVISAYIHCIKEAQSKTDKKVYYENPFLIAMLQRDAVYVIDEHINNFITNIVKNYLFHELIQGVQKHLDIITRRQDLPSHEWIDLNVVTWPIIEQLQVKIQLDGSSCGLFMLKFMEFFTGDKFSHPVTQKDIELFRYKLAGILLCWKTNMAAEASDVEQVEDTDNEDDVVIVGSRQRERWDMKESKVHSATNDHKYSSLLSVVSAMSLQELIGGLFQYIQQINCAETLENIWVQSSRPHTIKLSLKTLQSVLNDGPLDRNCFNMAIRKFMYENVQSLHKTSEAITKHCLDLQFWTATGFGISPMFHKDIDLAGSVGSWSKIHHEVAKCKSILIPVCAVGSYILVILDQESRTLYLLDPNPLNPIYENNPTMRYTKKLLNITNYFNRAMCVACPGSRWTEDIDLWRHIYVTNPVADSNLSGCLVHLFMRSWKDGALHLPSFKDVDELRKQFLLHLLMYEQNECASNVPSGARDFLHCIANAKH >ORUFI10G08890.3 pep chromosome:OR_W1943:10:11028326:11032720:-1 gene:ORUFI10G08890 transcript:ORUFI10G08890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRRPSTAERFELENTYDYLPQDYVLTNQDATAQDIILVSSENETVVSMGGFSVKKHHLSCLLSKNEWVNDDVISAYIHCIKEAQSKTDKKVYYENPFLIAMLQRDAVYIQGVQKHLDIITRRQDLPSHEWIDLNVVTWPIIEQLQVKIQLDGSSCGLFMLKFMEFFTGDKFSHPVTQKDIELFRYKLAGILLCWKTNMAAEASDVEQVEDTDNEDDVVIVGSRQRERWDMKESKVHSATNDHKYSSLLSVVSAMSLQELIGGLFQYIQQINCAETLENIWVQSSRPHTIKLSLKTLQSVLNDGPLDRNCFNMAIRKFMYENVQSLHKTSEAITKHCLDLQFWTATGFGISPMFHKDIDLAGSVGSWSKIHHEVAKCKSILIPVCAVGSYILVILDQESRTLYLLDPNPLNPIYENNPTMRYTKKLLNITNYFNRAMCVACPGSRWTEDIDLWRHIYVTNPVADSNLSGCLVHLFMRSWKDGALHLPSFKDVDELRKQFLLHLLMYEQNECASNVPSGARDFLHCIANAKH >ORUFI10G08890.4 pep chromosome:OR_W1943:10:11028326:11032475:-1 gene:ORUFI10G08890 transcript:ORUFI10G08890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDNWIAFDVSDGLADYVLTNQDATAQDIILVSSENETVVSMGGFSVKKHHLSCLLSKNEWVNDDVISAYIHCIKEAQSKTDKKVYYENPFLIAMLQRDAVYIQGVQKHLDIITRRQDLPSHEWIDLNVVTWPIIEQLQVKIQLDGSSCGLFMLKFMEFFTGDKFSHPVTQKDIELFRYKLAGILLCWKTNMAAEASDVEQVEDTDNEDDVVIVGSRQRERWDMKESKVHSATNDHKYSSLLSVVSAMSLQELIGGLFQYIQQINCAETLENIWVQSSRPHTIKLSLKTLQSVLNDGPLDRNCFNMAIRKFMYENVQSLHKTSEAITKHCLDLQFWTATGFGISPMFHKDIDLAGSVGSWSKIHHEVAKCKSILIPVCAVGSYILVILDQESRTLYLLDPNPLNPIYENNPTMRYTKKLLNITNYFNRAMCVACPGSRWTEDIDLWRHIYVTNPVADSNLSGCLVHLFMRSWKDGALHLPSFKDVDELRKQFLLHLLMYEQNECASNVPSGARDFLHCIANAKH >ORUFI10G08900.1 pep chromosome:OR_W1943:10:11034339:11035379:-1 gene:ORUFI10G08900 transcript:ORUFI10G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLIDGLPNEVALQCLARVPFLSHPVLQMVCHSWRASVRNGELSKVRNQISVTEDLLCVLAFEPENMWQLYDPLRDKWITLPVMPSQIRNIARFGVASVAGKLYVIGGGSDRVDPLTGDHDRIFASNEVWSYDPLRRVWAQRAPMLVARAMFACCALDGNIIVAGGFTNCRKSISKAEIYNPEADTWEPLPDLRQAHSSACSGLVIKGKMHVLHKGLPTVQILEDGNAWAVEDYSWLQGPMAMVRGELYVLSNSCIMKQRGVNFPDKMVSCASEFQSRIGFGMIGLGDNIYLVGGVIGPGPRNQCIKPLSDVDILNVTSERPTWLPGSPMTHCRGSISGCALLRI >ORUFI10G08910.1 pep chromosome:OR_W1943:10:11046758:11049256:1 gene:ORUFI10G08910 transcript:ORUFI10G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAVVVQPWRSLFLHSIVSSVDGLCNAIISIVRFHDIQMDHIHWKWWIVRARVIKKGHLQENYYGDLQIRLILIDELGTKMEAIVYRRQAEHFNQLLRCGSVYDFYNVGFDPTEMIVHLRFKIRSQFCMILNNATTTRTPHGPVHMLHVIGLVVHVGDIEFRNVIGLVVHVGDIEFRSLLQIIFVRVWDQQLTRNLTRWRSARTHFDCFVATLTRVDRRADELSTTYESDIIFNPDSASANEFNVLRQALAVSPSNVQEQ >ORUFI10G08920.1 pep chromosome:OR_W1943:10:11052853:11053182:-1 gene:ORUFI10G08920 transcript:ORUFI10G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWSRSTTRTRGATAPCASPSCARRCTRGGVSVIGSMLQAGRKMAYDLDENTLTFDFETPSSSMRSSSSSSPSPTASRSSAAAAAAALMPSVFLSAAWVVLLLLAVVM >ORUFI10G08930.1 pep chromosome:OR_W1943:10:11062703:11065779:1 gene:ORUFI10G08930 transcript:ORUFI10G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCPLHSTAVAIYLAAVAALPSPSSSAPFSVDIDLAAVAALPSPSTGAPFSTAPVPPASPCIRRPLLQRVGSRRSPPRHQQSHVDLLRVPLWQPPPWLVDVEAAANTTCEEMEDKSMDMDPREARLKLNRSLFQDVDDK >ORUFI10G08940.1 pep chromosome:OR_W1943:10:11072544:11074177:-1 gene:ORUFI10G08940 transcript:ORUFI10G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPAAAEFSDALPSPTSPAATPSHPSSGRHFYLAVDRLQFKMRTLLELLGVVADRRGALPIAVGVSSRDELDLVCADVASLPFVSLSPLYSDQAESERASVLDKFRQATIQWNHTKAAAADIADSPKTESADSKLTIVVATDACLPQATLGEAPLMARVLINYELPTKKEAYFRHGIVINMVVGGEVATLKALEETSGLLIAEMPIHVRYMQEYHHFTCYMTCSCGLNRKHLLWQVSEIL >ORUFI10G08950.1 pep chromosome:OR_W1943:10:11083628:11085753:-1 gene:ORUFI10G08950 transcript:ORUFI10G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASKYRGYYHDEASSGPRGGGGGQKRKEIKEAFDLFDTDGSGTIDPKELNVAMRALGFEMTPEQIHQMIAEVDKDGSGTIDFDEFVHMMTDKMGERDAREELNKAFKIIDKDNNGKISDVDIQRLAIETGEPFTLDEVREMIEAADENGDGEVDHEEFLKMMKRIGFGAGFF >ORUFI10G08960.1 pep chromosome:OR_W1943:10:11091565:11093566:-1 gene:ORUFI10G08960 transcript:ORUFI10G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPEHHLRSPPATSPAHSTISYPPLRHRGAFRLPRAAPPQLRGAVRACAPASASSVPGATAPLEVEVAVAHREVARELASRAEARLGARLLPSAVPPDVAKFRSGGGGAGNAVGSLDVRRGAPGSTRLCLIRDLFLPLKDFFLLSKEKIDFMLQSSLHCKVPNGAIDITSLLIFLNASTDAPHFLMEFIQAILMSIDCGQGGEGTLEEIVRGQLATAARALLQIWLDSCADHTSEMEEGERENMIKRDQIVRSKSIEVDLTSNLPRMFGPDVADRVIAEIQKAFGLLGSTEAEQKMQLFNL >ORUFI10G08960.2 pep chromosome:OR_W1943:10:11091565:11093566:-1 gene:ORUFI10G08960 transcript:ORUFI10G08960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPEHHLRSPPATSPAHSTISYPPLRHRGAFRLPRAAPPQLRGAVRACAPASASSVPGATAPLEVEVAVAHREVARELASRAEARLGARLLPSAVPPDVAKFRSGGGGAGNAVGSLDVRRGAPGSTIDFMLQSSLHCKVPNGAIDITSLLIFLNASTDAPHFLMEFIQAILMSIDCGQGGEGTLEEIVRGQLATAARALLQIWLDSCADHTSEMEEGERENMIKRDQIVRSKSIEVDLTSNLPRMFGPDVADRVIAEIQKAFGLLGSTEAEQKMQLFNL >ORUFI10G08970.1 pep chromosome:OR_W1943:10:11098882:11100608:-1 gene:ORUFI10G08970 transcript:ORUFI10G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLRSPPPATSSPSSAVSFPTLAPRLLPLRRRRRGAGSQLGGKTSSAVRASSAAAPGATEPEVMVEVAHREVARALASLAEARLGARLLPSAVPPDVAEFRSGGGAGNAVGSLDVRRGAPGSTIDFMLQSSLHCKVPNGAIDITSLLIFLNASTDAPHFLMEFIQGSPTSIVVLLDLLPRKDLALHPEYIERYYENTQVDKQREKVEELPQARPYRSRSLFVRSTFSLTAILMSIDCGQGGEGTLEEIVRGQLATAARALLQIWLDSCADHTSEMEEGERENMIKRDQIVRSKSIEVDLTSNLPRMFGPDVADRVIAEIQKAFGVQEA >ORUFI10G08980.1 pep chromosome:OR_W1943:10:11105008:11107435:-1 gene:ORUFI10G08980 transcript:ORUFI10G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAPTSCCRAAPPPSRPSCLSPAAAAAARRRRMAAVRSAAASASRVSREEAVARMPPLAHREVMLAVAGEAEARLGARLLPSEVPADVAWFGNAAGDAVGSVDVRRGAPGSSIAFMLEAWFHRELPGGGGGAIDITALIVNLNGATDAPHLVMEFIQGGPASLIVLLDLLPRVDLPLHPSYIHRYYAATGLDARARRRVAGLVPQSRPYVSPSLLVRSLWSPAAVVADVQCGEGPGGAAALDGIVRGELAATAMDVLGVWLEHCAGGGGGGEMEAAERERMVARDRKVAAAELEVNLAANLPRMFDAGVADRVVAEIRKAFMGS >ORUFI10G08990.1 pep chromosome:OR_W1943:10:11112491:11114613:-1 gene:ORUFI10G08990 transcript:ORUFI10G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSLNPPINAEPLTKGDQFLDLMSDGWTNERHSLYISSMEASFMEQLYRHDHHGLDRNRSHAGGAIGFRVHREGVCDNLRSERNDAHAHDGGMSCFPENPWIRRFRPRDAGVNRKNDAVGFSVDDDESGTDMVRQRVRVHGREAKSCAGGILADKSTGCSIRKITRSDKKPAKTRSFVETERKIGEHGLGWNLAQLVVVMGTCAAASPQLTN >ORUFI10G09000.1 pep chromosome:OR_W1943:10:11136102:11140988:-1 gene:ORUFI10G09000 transcript:ORUFI10G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWAWPLVFLVSCCCSWTQRRILVAATTDANDVTVLNALFTSLNSPGQLRGWQVNGGDPCGASWQGITCSGSSVTAIKLPSLGLSGNLAYNMNTMESLVELDMSQNNLGGGQNIQYNLPNKKLERLNLAGNQFAGNVPYSISTMPKLKYLNLNHNQLQGNMTDVFSNLPSLSTLYLQNNQFTGSINVLANLPLDNLNVGNNRFTGWIPNELKKINSLQTDGNSWSTGPAPPPPPFTAPPPSRNRKKSPGRHSNGSGSSSSSGGNSGLRAGAIAGIIVALLVIGAVVAFFLIKRKRKGTRQEHVEQRQPFNSYPSNEVKDVKPIPESTKIEVEPLPSPVAVSLKPPPKIERNQSFDDDDDDFSNKPVAKKSNSASVKATVYSVADLQMATDSFNMDNLVGEGTFGRVYRAQFSDGKVLAVKKLNSTVLPSQSSDDFFDLVSNISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDMLHLPDEYSKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDTEFNPHVSDAGLASSVPDSEFQASDQGSGYSAPEVDMTGQYTLKSDVYSFGVVMLELLTGRKPFDSARLRTEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMTRRMIDGEEGSRRPDDQDQEFV >ORUFI10G09010.1 pep chromosome:OR_W1943:10:11150697:11151599:-1 gene:ORUFI10G09010 transcript:ORUFI10G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSCHGRATHDPQHGLSKITDESTKHQQGNISGSQAAAAAAKSKPWKKRYLTFLSKFQNKMKKHKKPDNIKAHHTRSHKNRSILLSSSQIMEECINLVQVIRHTAADCFAAAATAVAAAVDYEEDDHHQPYMQLDQVNYGVMRREAFGPVYLCCLEQQCDHLIETGKAFLNAFQDVIHLPCLKKEEEFLNAFLPCLFSVVF >ORUFI10G09020.1 pep chromosome:OR_W1943:10:11153924:11158674:-1 gene:ORUFI10G09020 transcript:ORUFI10G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFGSKALRRKGDKQRCLPEGDLDDSLPMRRGRKDKSEKPRKGGCSSSNRPSAEKAKHGRKKSGDGKKSKGHGKGRHSDSSIEMNPGHMKNDNTLLPSKASKPVTNVLRKRVDPETAKYFLEISNLFDNKEIDLEERATICANALEETKGKELELSTDGPISHTLQILVEGCELEQLCVFLHNSIESFHIIAVDKFGSHVAEAALKSLATHLEDEASRGIIEDILIRICKVIAGDAANVMSSCYGSHVLRTLLCLCKGVPLQSLQDFHTTKRSAVLAERLGCGSTRSGGSDPKNQGFGFSDIFKSFVREMLQNAKDDIATLETDKNSSLVLQTALKLSAGDDHELNYIISILLGFDEDDTAQKKDCSEQKNEIIALLEDTAYSHLLEVIVEVAPEELRNNMLTGTLKGALFAISSHHCGNYVVQALVASAKTSDQMEQIWDELGSRINELLELGKAGVVASILAACQRLETKRLESSQVLSAALSSNSESSDSIVAHMLFLENYLHQKSSWEWPLGAKMSVLGCLMLQSILQYPHEHVVPLFFMILSHCHLTEIAYFLNSSIQYIRPYVASLLAMDDNKILQISKDSGGSRVLEAFLCSSATAKRKFKVFAKLQGHYGEIAMNPSGSFLVEKCFTASNFSHKEAIVVELLAVQTELSRTRHGFHLLKKLDVDRYSRRPDQWRASQTSKETTHREFQVEFGLSSKGAGQNIEELLTSRSPAKKRKQKDKTDVVTEDASTNKPDLSHVGKTKRIKSEKTTSEKESRNNKPTNKDSGSSMAFLKNSAKRKSPGFLSDKPSFKKQKHHKPNAGNSSGKMFVRDSAGTPFVRNSGKQKRSIAELADLAGKEKLSASEVRKLLKTEMPGKS >ORUFI10G09030.1 pep chromosome:OR_W1943:10:11171470:11178047:1 gene:ORUFI10G09030 transcript:ORUFI10G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSVAVDNLNPKVVILRLLMPVLNCEYAVRGEIVIHAQRLQQQLQTQPGSLPFDEILYCNIGNPQSLGQKPVTFFREVIALCDHPCLLEKEETKSLFSADAISRATTILASIPGRATGAYSHSQGIKGLRDAIAAGIASRDGYPANADDIFLTDGASPGVHMMMQLLIRNEKDGILCPIPQYPLYSASIALHGGALVPYYLNESTGWGLEISDLKKQLEDSRLKGIDVRALVVINPGNPTGQVLAEENQRDIVKFCKNEGLVLLADEVYQENIYVDNKKFNSFKKIARSMGYNEDDLPLVSFQSVSKGYYGECGKRGGYMEITGFSAPVREQIYKVASVNLCSNITGQILASLVMNPPKAGDASYASYKAEKDGILQSLARRAKALENAFNSLEGITCNKTEGAMYLFPQLSLPQKAIDAAKAANKAPDAFYALRLLEATGIVVVPGSGFGQVPGTWHIRCTILPQEEKIPAIISRFKAFHEGFMAAYRD >ORUFI10G09030.2 pep chromosome:OR_W1943:10:11171454:11178047:1 gene:ORUFI10G09030 transcript:ORUFI10G09030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSVAVDNLNPKVVLNCEYAVRGEIVIHAQRLQQQLQTQPGSLPFDEILYCNIGNPQSLGQKPVTFFREVIALCDHPCLLEKEETKSLFSADAISRATTILASIPGRATGAYSHSQGIKGLRDAIAAGIASRDGYPANADDIFLTDGASPGVHMMMQLLIRNEKDGILCPIPQYPLYSASIALHGGALVPYYLNESTGWGLEISDLKKQLEDSRLKGIDVRALVVINPGNPTGQVLAEENQRDIVKFCKNEGLVLLADEVYQENIYVDNKKFNSFKKIARSMGYNEDDLPLVSFQSVSKGYYGECGKRGGYMEITGFSAPVREQIYKVASVNLCSNITGQILASLVMNPPKAGDASYASYKAEKDGILQSLARRAKALENAFNSLEGITCNKTEGAMYLFPQLSLPQKAIDAAKAANKAPDAFYALRLLEATGIVVVPGSGFGQVPGTWHIRCTILPQEEKIPAIISRFKAFHEGFMAAYRD >ORUFI10G09040.1 pep chromosome:OR_W1943:10:11181171:11187743:1 gene:ORUFI10G09040 transcript:ORUFI10G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMHLLIRGKKDGILCPIPSHSLYTDSMVLRGATLVPYYLDESRGWSVNISDLKKQLDGARAKGIDVRGLVVVNPGNPTGQVLVEENQCEIVELCKNECLVLLADEVYQENIYTDQKKFNSFKKVARSIGYGEGDISLVSFHSVSNGYYGECGRRGGYMEVTGFSSEVRGEVYKVASLSACSNISGQILMSLVMNPPKVGDESYLSYRAERDSILSSLSCCAEAMVSTFNSMEGMTCNKAEGGISVFPSVRLPPRAIEAAEAMNTEPDVFYALRLLESTGIVVVPGSVFGQVPGTWHFRCTILPQEEKTRQIISRFNVFHEAFMEEFRS >ORUFI10G09040.2 pep chromosome:OR_W1943:10:11181171:11187743:1 gene:ORUFI10G09040 transcript:ORUFI10G09040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDETSSLLFIHPWPPSLEAHHRHTGSLLHSAFLARSPHLTSLTYIAGSIAPSPAANEMLRAAARALTVSSLNPKVLALADHHLGGLVARRAQSMQQELDANPASHPFSEVLALCNHPHLLDRSEASFMFSSDAITRAREIGIEGLRDAIAAGIASRDGLPSYSEDIFLTDGAAAPVHMMMHLLIRGKKDGILCPIPSHSLYTDSMVLRGATLVPYYLDESRGWSVNISDLKKQLDGARAKGIDVRGLVVVNPGNPTGQVLVEENQCEIVELCKNECLVLLADEVYQENIYTDQKKFNSFKKVARSIGYGEGDISLVSFHSVSNGYYGECGRRGGYMEVTGFSSEVRGEVYKVASLSACSNISGQILMSLVMNPPKVGDESYLSYRAERDSILSSLSCCAEAMVSTFNSMEGMTCNKAEGGISVFPSVRLPPRAIEAAEAMNTEPDVFYALRLLESTGIVVVPGSVFGQVPGTWHFRCTILPQEEKTRQIISRFNVFHEAFMEEFRS >ORUFI10G09040.3 pep chromosome:OR_W1943:10:11181246:11187743:1 gene:ORUFI10G09040 transcript:ORUFI10G09040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDETSSLLFIHPWPPSLEAHHRHTGSLLHSAFLARSPHLTSLTYIAGSIAPSPAANEMLRAAARALTVSSLNPKVLDLSVFFFSVSGGSGSLIFFRCRCSRWRITTSADSSPAARSMQQELDANPASHPFSEVLALCNHPHLLDRSEASFMFSSDAITRAREIGIEGLRDAIAAGIASRDGLPSYSEDIFLTDGAAAPVHMMMHLLIRGKKDGILCPIPSHSLYTDSMVLRGATLVPYYLDESRGWSVNISDLKKQLDGARAKGIDVRGLVVVNPGNPTGQVLVEENQCEIVELCKNECLVLLADEVYQENIYTDQKKFNSFKKVARSIGYGEGDISLVSFHSVSNGYYGECGRRGGYMEVTGFSSEVRGEVYKVASLSACSNISGQILMSLVMNPPKVGDESYLSYRAERDSILSSLSCCAEAMVSTFNSMEGMTCNKAEGGISVFPSVRLPPRAIEAAEAMNTEPDVFYALRLLESTGIVVVPGSVFGQVPGTWHFRCTILPQEEKTRQIISRFNVFHEAFMEEFRS >ORUFI10G09050.1 pep chromosome:OR_W1943:10:11193154:11193456:-1 gene:ORUFI10G09050 transcript:ORUFI10G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWPQPTFPFSQEQDRAPPPLGQGRDRAQAPHPLPPSQACVPRPQALSPPPNSTSPSSSSSAGELDFMVKTELLEVAGEANLELVLAGGRRGREGWGYA >ORUFI10G09060.1 pep chromosome:OR_W1943:10:11195866:11197212:1 gene:ORUFI10G09060 transcript:ORUFI10G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTQSNSNQTHLPTPNPSRARAMCGGAILADLIPSPRSGGHTKKNKRRRISDDEDFEAAFEEFDAGDDDSESEEVDEYDVIVDDDDSEDGVVVLPPPPPPVIPHERHGARRFRGVRKRPWGKWAAEIRDPVRGVRVWLGTFPTAESAARAYDAAARRLRGAKAKPNFPSAPPPSAAAHRRKKRRAHAATRSPSSPPATSEVTAASASASSDVPAPAFASFVGEPGHGGAKSMPTTSHTSQPAPPATVASENVDDPEVFDPYDVHGGLASYFAGGAYESLESLFAHGGDSAAVDQAASDHWPAALWSFADDGSFCF >ORUFI10G09070.1 pep chromosome:OR_W1943:10:11201494:11203850:-1 gene:ORUFI10G09070 transcript:ORUFI10G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYIVKRRERDETWWVLKIDRTEPTSLEIEDLVEVIEKKPRESLQRVQYAKAIDHINNGLSNDKQLMVIHMDLKTWSCELFPCLLMVGFASLAHSDFLHCQLTTTSLPDHTLREQNDVTSASSSTSMNEPKFGIIEILPIVLQKGVLRTNCIDSRDRTNRAQIVDGLVGLGRQLKALVQTKGLEIHIEEPLSSTLMLFYEEMGDALALQFTGSAAQNKEFWKQKGQWSAMNKLTRNIQHFVSNAYMVSEKQNSPNMS >ORUFI10G09080.1 pep chromosome:OR_W1943:10:11210409:11219188:1 gene:ORUFI10G09080 transcript:ORUFI10G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFKLSKVGVRVHPAARVAAPAPAAVAAEKAAEKEAKREDGVVERASDANGITISPACSRIILPEHEVSFTFSLYDRGYLIAKSAAMDPCQPSIQDGKTLHPYDKASEKLFSAIESGRLPEDILDEIPSKYYNGSVICEIRDYRKHASNQAPAPSAELGLPVVNKVRLQMTFENVVRDIPRLSDDSWSYRDFMEAEARIVKVLQPALCLDPTPKLDRLCQDPVPHKLNLGIGKKRRLRQNPEVVVTSNNMSHGKKVCIDRVSENMKSDEMGISGGNAVHQGLDNTAIQNMSGGSQTFRPANFSMLSQTSIQQTVNYPAIGNDRGAGTPMNYAGINSSISSPQNLMAYNETTNGLLSVKREMADAPLQDPKRVKTTVSVDDMQQQQQTRHQPAGLGGQEMQWKNQQLQQLDVKGMQYAASVGQRYTHPHVQEPASIYSNQLGMRYGAKQEQMDGMDKSKDTLQAMAPENSVLDQQQPQAPHLSQQAGPRNMQQWQNPRFSGEKDLKKEEMLQRRKIAATSRVSSVPMVQSPVSSKSGEISSSSMSAQFGAAVTSAVMGSQKDKFPANSNPAVVGYPPVASSPSDSMHRMQQPSVAPSKRKSNSVPKTQPPVSGVGSPASVSNMHAVLNASSPSIGTAPMGDQAILERFVKIDAISQRCKLHSKKNKVDNIPQRKPIINASQEKVATVLSNCFHAEDFRDEIKPLCNSMLGGTMNSFKTRILNFVVNNRMYQGPTKPFRIIFKEKHDGTVAMQYGDPEDFDNQNSYECTLILPTKYHADLLAKQLIIRMDREGHTKADDQVALSTPPGNLSALSGILPDNTVNDVKQEGGISHQLNAAAHANMTPGTPLQQHPANRMLPSVNNQALMQQGYMQGANMPPRSQQLDQNLIQQQQQQPPQLQQNAQAQLQQPASLPLNQMQRPQLLPTNPLSQMLGNTGSNLPMASSHMGNKVAPNSVQLQMMQQQQQSRKMMMGLGSPANMGNMVNNVVGLNNIGNVMGMGNVRPMSSPMGNMSGLGNNPNQMSLGMVSSLSAPGIRPGMTHAAIAKMRMGLIQQQRAAGIYPQTSMVGMPGSSSPILPGSANLSMMNQLNRSNINPLQRAMMGPPKMPGSNYPLTPQQQMQLQQQFQQNPLQQQQLQQLQQQQQQQQQQQIQQQQQQQQQQQQQQQIQQQQQQMGSPLQQAAQVGSPAGSQQSLVMSQHQQISPQQMAAMSPQLSSGTMQQVNNNVINHVATPGPPPSPQLSSQTHGSVNSIANSPMEQLQGANKGGPGSM >ORUFI10G09090.1 pep chromosome:OR_W1943:10:11220574:11222064:-1 gene:ORUFI10G09090 transcript:ORUFI10G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPAAAAAAAVVEELRRLYRELPRRPSAEEVEAAAAAVLASEAEAEGDAARLARAEAAAAEGGVSGELLDLLREARANAVLPAIGLLRQRKEAEIVMEVERRFKVFDGLLARASRVAEAGEERVDSAPSEPVEEAVRRTPRGFTGGLDDEMVLCEILERLPARSVLRCRAVCTAWRRLTFDPAFLRAHHRRQPDLPLIYFRRGGSDRVGAIDLHAAQLRPVVDYTWSPLGYTVIASCDGLLLLSSGRFYICNPATNHWAEIPQLVDADFLGLYPHNPSGEYRVLYGEFHGEEECAYHILALGSDEPRRITMTMGSETVEQPLAREFLMHARGDRSVLVRGNLHWYLRHRDEGCKIMVFDTVSESFQWMRHPVIPGWVSLLEMDNTLVFSAVECTTRIDLWVLQDYERSTWACEHRIELPMAQIRRFPECNLEHLGWSAMVVSVEGDVLVRCSNRIFHCDRKGNVLASFQFDGQLPMNCLHRLKENLVVHPFFQM >ORUFI10G09100.1 pep chromosome:OR_W1943:10:11223168:11227740:-1 gene:ORUFI10G09100 transcript:ORUFI10G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAADDDDHRTALPREEDDGEEEEEVESDDEEEEEGEGDGWSEEEDDPEGASLADICDPDAGSDDDPAADRDLEVDAVLRSRMARMSLSSARKDRKGSRMPKMGKDEMDLLAMVDKLMHDGQLEKLKVYECKAYLRMHKLRLSGNKEVLLTRIREHIEKKGAPRGVKGHLCGQRTNAGRIIKESYGTKKQQHTFTGYKPWPPLHPLLIKGRNLYKDKTMRQPWLDEEERNRALQEKHARGYVARKTREVRIKEKENERMRRLNRNKENKSKGQDSMNKKSSQEVLPQHTVTMNTVQKRAEKIIPSLQHGESGNSSQQHLSSKQTPTEQLLHYLPQFPHPQQHNEVLLQKGTSRTSTAQLTNHQAPSLQHAVKAETTQQQQQLPKSIKPAPIQQSSAYPQQYPKNQHHNQELPRVPPSQEQRAAVSQTSAARQDFTNHKAPPSRQHGGSENMRRQEISSRPTLTPTPQQAVSYTQQQPPNHQYRNEAFRQQGGTSTSGTGFMDRQSNNWGSTDHDKPAFQPRMTFTQKAKTYQHGSNGSGHHQARVDRETHQPLRSRNQDYYWEDQSYHHQQNHHQNYYYGHRQMSQDQYHHQQNHHQNYNGRQGMNRNQYHDRQNQNPQRFRPWKPCFIYQQQGWCPYGENCKFVHDLR >ORUFI10G09110.1 pep chromosome:OR_W1943:10:11239846:11254649:-1 gene:ORUFI10G09110 transcript:ORUFI10G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDHHCGGGRSWRFAVACGVLSRCVKAEAAAAAAANGRHHHHHHHHPTMLLMPGADVEPDVREEAAAAAAAAQLKIMYGGRMLVFDDFFPAGGAAVELVRAAARAGQDVRRAGAARRRVGDGRGLDAGLPVVRKVSMQRVITYRGRGRSIMAVSDHRCSGGGGRSWRFAVACGVLSRCVKAEAAAAANGRHHHHHHHPTMLLMPGADVEPDVREEAAAAAQLKIMYGGRMLVFDDFFPAGGAAVAGARRAGRRRRRTRGHEHDAGLPVVREVSLQRFVEKRRRRRRLGATAPYVRARHSPPIMLPARTEEGDEAAAAAAGGGSGSGTSARLTIMYGGRAVVFDDYPAESAAEVMRVAARAATKEQHQEDDAYDAANHGGGGGLAADLAVARKDSGSPQRSFVVEKRRRAAARISATAPRNKPEIFSEHLDDPTESKQRLVDFDRSIDRSPVMAAEAAATSRFAAACGALSQYVRAADSVHRARTAAAVRPLPLMPGADVAGDEREEEGGGAAASSSAAAAQMTIFYGGRVLVLDECPADRAAALLRLAASSRAVPRDDLAGTAAAAESADLPVARKASLQRFMEKRKGRLAARGQPYRRHDAAAAAAARGDHLALAL >ORUFI10G09120.1 pep chromosome:OR_W1943:10:11277885:11278613:-1 gene:ORUFI10G09120 transcript:ORUFI10G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSSSRFAVTCGLLSQYMRERQQPQPPVTVLEAVAEEEEEDARTMQLFPPRAAAAADGVATPSAGTAPLTIFYDGRMVVVDDVPAEKAAELMRLAGSACSPPPPPPQPAHAAALPEMPIARKASLQRFLQKRKHRITTTSEPYKKAAVASPAPEKSFAVAPVKDEPATWLGL >ORUFI10G09130.1 pep chromosome:OR_W1943:10:11295966:11297973:1 gene:ORUFI10G09130 transcript:ORUFI10G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKKQVEESLPEWRDKFLAYKRLKKLVRLVSSSSGDVGGGGGGEAEFVRLLDGEVDRINAFFLEQEEEFVIRQRELQETVEKVAGGGGGGRRPAAAEMRRVRKEIVDLHGEMVLLLNYSAVNYTGLAKILKKYDKRTGRLLRLPFIEKVLRQPFFTTELISRLVRDCEATMEAIFTSSVATTAMAGDRRTWKGCSGDAGMAPMADQQGIFRNTVAALATMKELRSGSSTYGRFSLPPMAAPASPESDVLQSIQIADPIPI >ORUFI10G09140.1 pep chromosome:OR_W1943:10:11298355:11303521:-1 gene:ORUFI10G09140 transcript:ORUFI10G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVQPLVSEFVLKLKRRKVEGSHAVARQTAELLRSVVSQHRMGSTNQAAALADAVRGVGEQLIAANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTAESDDEYDSGNDDHPTLSAAVLAAHARNALRAPSLQTLLEDIPVSTALSRSASSAGDSDGKSGDKSSKSRKLKHDVIAAIGDLIDEIDTCYDQISEQAVEHIHQNEVILTLGRSRTVKEFLYAAKEKKRSFRVFVAEGAPRYKGHVLAKELVEKGVQTTVITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGEFSSCMNFSTQDGTPLLNVVNPTFDYVPPKLVSLFITDTGGHSPSYMYRLIAEYYSADDLVVRRKSTSS >ORUFI10G09140.2 pep chromosome:OR_W1943:10:11298355:11303521:-1 gene:ORUFI10G09140 transcript:ORUFI10G09140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVQPLVSEFVLKLKRRRVLHIIKEEDISSTAVGIEGLSVTAESDDEYDSGNDDHPTLSAAVLAAHARNALRAPSLQTLLEDIPVSTALSRSASSAGDSDGKSGDKSSKSRKLKHDVIAAIGDLIDEIDTCYDQISEQAVEHIHQNEVILTLGRSRTVKEFLYAAKEKKRSFRVFVAEGAPRYKGHVLAKELVEKGVQTTVITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGEFSSCMNFSTQDGTPLLNVVNPTFDYVPPKLVSLFITDTGGHSPSYMYRLIAEYYSADDLVVRRKSTSS >ORUFI10G09150.1 pep chromosome:OR_W1943:10:11311948:11314183:1 gene:ORUFI10G09150 transcript:ORUFI10G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQRLLVALLRVLVAGEHAAGDAMPSPASGGGGAAVNVEARYARVFCFGNSLTDTGNNPLLPATAGGPSTSPPYGMTFFHRPTGRSSDGRLLIDFIAADLLAGANFAVGGATALEPAVLESRGIVSVVPVSLSNETRWFKDTLQLLASTTNVNDYFLALASNHTVEQAAATLVPDIVGVIRSAVIDAIVAGARTVVVTGMIPLGCEPQLLALFPAASAADDDPDTGCDARFNELAEVHNRELIRMLRRLRRAFPAVAVHYADFYRPVTAIIASPAKYGFGDTPLAACCGGGGNAYNFDFAAFCTLPASTVCADPSKYVSWDGIHYTEAVNKFVARSMLRGVLPMPNPIPSPSLSIPLSSSHEHTGQETSRELATL >ORUFI10G09160.1 pep chromosome:OR_W1943:10:11317789:11319723:-1 gene:ORUFI10G09160 transcript:ORUFI10G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWKLSYLLEPASLALILTAVSVAYASASRALDHGREMERNLDFSEASITLDRSQALMIPLASSCSLLLMFYLFSSVSHLVTAFTAVASAMALFFCLSPYVNCVRSRLGVGDPFVSRCCSKPFTRLQGLLVAICVGTVVAWLVSGHWLLNNLLGISICIAFVSHVRLPNIKICALLLVCLFVYDVFWVFFSERFFGANVMVSVATQKASNPVHTVANKLSLPGLQLITKKLELPVKLVFPRSLMGGLAPGSSPGDYMMLGLGDMAIPGMLLALVLSFDHQKIKDMSVSQDMPPSKQRKYVWYALTGYGVGLVTALAAGILSQSPQPALLYLVPSTLGPVMYMSWLRNELWELWEGSRPIINDKAHLLEV >ORUFI10G09170.1 pep chromosome:OR_W1943:10:11325924:11326267:-1 gene:ORUFI10G09170 transcript:ORUFI10G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHCCLSDIQSDDVKGKGLVLCTYNDVKKKAEQASTIPRVRKRSYAKINVDASSERKLELKRQLALGLRMDSHAYNLGDG >ORUFI10G09180.1 pep chromosome:OR_W1943:10:11327975:11347855:1 gene:ORUFI10G09180 transcript:ORUFI10G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPFPRRLLLSLLLGVLVVAVVAAADVESSAGGGGGRRHSPRRTRYSRVFSFGDSLTDTGNAAILPATAGGPFTRPPYGMTFYHHPTGRASDGRLVIDFLVKALGLPEPTPYLAGKTAADFRRGVNFAVGGATALDPAFLKSRGMTSSVPVSLSNETRWFQDVLQLLGASAHEKHTIAASSIFYFGEIGFNDYSFALSAGNGTVDVAASLVPDIIAVIRSAVTAVIAAGARTVVVAGMIPIGCEPEMLALFPGGAGNYYDPASGCITRFNDLAELHNRFGSSPLAACCGSGGEPYNFNVNFTGFCATPGSTVCADGPSSSVSWDGIHYTEATNKLVARAILTRPGQLEWDLSQVSMHGTWKAWLHLGSSRRLSPSRAELAEADGAVPLVVVESAGGGRHPPLPRYSRMFSFGDSLTDSGNAAILPVTAGGPFTRPPYGMTFFHHPAGRASDGRLVIDFLVEALGLPEPTPYLAGKAAAEFRRGANFAVGGATALDPAFLKSRGITSFVPVSLGNETRWFEDVLHLLAGASAHQKRMIAASSVFYFGEIGFNDYSFALSAGNGTVDAAASLVPEIIAVIRSAVAAVIAAGARTVVVAGMIPIGCEPEMLALFPGGAGDYYDPASGCIARFNRLAELHNRELQRALHELRRAHPGAAAAINDLRTRDESIIVIVSLLIWVDVDLAGFGSSPLAACCGSGGEPYNFNVNFTGFCATPGSTVCADGPSSSVSWDGIHYTEATNKLVARFGSSPLAACCGSGGEPYNFNVNFTGFCATPGSTVCADGPSSSVSWDGIHYTEATNKLVARAILTRPTLAEPVTTGSISMTPFSPHLVAAAAALLGLLATAVAGGGTGAYTRVFSFGDSLTDTGNALHLPSTGGGGGPASRPPYGETFFRRPTGRASDGRLAVDFIVEALRLRHPAPYLAAGGETAAEFRHGVNFAVGGSTALPPEFYEGRGLKPFVPVSLANQTAWFYKVLQILGSSDHGRRKIMASSLFIVGEIGVNDYLVSLVGNLTVGEVETSVVPHIVAAIRSTVNEVIAAGATTVVVPGMIPLGCEPQLLALYQGGGGVAGDDYDPESGCMTRLNGLAEHHNRELSRAVAELRGAHPGASVVVAYADLYRAVADIVASPGRHGFGGAPLAACCGAGAGAYNFDMAAFCGAAGSTACADPSAYVSWDGVHFTEAANRHIACAVLEAGGGAPPAVATPLATWSAAAEAGRSRIGCS >ORUFI10G09180.2 pep chromosome:OR_W1943:10:11347539:11359065:1 gene:ORUFI10G09180 transcript:ORUFI10G09180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIASTWRPTARKHTSMAPGAILRVTALLRFILLVAGAATATATAARFSRLFSFGDSLTDTGNLVLLPAGRDVPERRLPYGQTFFHRATGRASDGRIAIDFIAEALELPRLKPYLAGEGADGFRHGANFAVGGATARDAGFFQRRGLRSVPVSLATEMGWFKELLPLLASSCPQEQRKITASSLFFVGEMGGNDYLNAIFQNRTLDEAKTFVPGIIDAIRSSLAELIGVGAKTVLVQGMLPIGCEPRVLELFKLKHGRSTAGDDSDYDAATGCLKSFNELAEQHNRALTAALDELRRAHPGTAIVYADLYRAVTDIAVSPRRYGFGGEPLFACCGGGGGPYNVRLAARCGDEGTAACGEPSEYVSWDGIHYTEAANRVIARGIVEGRYTVPPISLSVSSSD >ORUFI10G09190.1 pep chromosome:OR_W1943:10:11365220:11368328:-1 gene:ORUFI10G09190 transcript:ORUFI10G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPPPVRVAAAAAATTFGLSTSPAAATPAGCRRCGPRGRAAVHVAISASGRASFSRGAAGDGGGGRPAITVAGDPPTFVTAPGRRIVAVGDLHGDLNQTRAALVMAGLLSSESDGHVWTGGQTVLVQVGDILDRGEDEIAILSLLSSLNMQAKSQGGAVFQVNGNHETINVEGDYRYVDPGAFDECIRFMEYLDECDGNWDDAFLNWVNVCERWKEEYPMSPNGDWRPWNFVKKQKGIAARSSLFKRGGPLACELARHPVVLSVNDWIFCHGGLLPHHVEYGIERMNREVSVWMKSSSGDSDDELDIPFIATRGYDSVVWSRLYSQGPTEMTRHSWKLSSVVAERTLKSVGAKGMVVGHTPQTRGVNWYLLFSLG >ORUFI10G09200.1 pep chromosome:OR_W1943:10:11376781:11380378:1 gene:ORUFI10G09200 transcript:ORUFI10G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHLVLLLLLLLAAAAHPIAFADAGAAGNATTTTYIVFMDPARLPAAGHAAHLQSLAIDPDRHLLYSYSAAAHGFAAALLPHHLPLLRASPGVLQVVPDEVFDLHTTRTPEFLGLLSPAYQPAIHGFEAATHDVVIGVLDTGVWPESPSFAGGDLPPPPARWKGVCEAGVDFSPSVCGRKLVGARSFSRGLRAANGGGGGGARGGVGRKGFVSARDRDGHGTHTATTAAGAVVANASLLGYATGTARGMAPGARVAAYKVCWPEGCLGSDILAGIDAAVADGVGVLSLSLGGGSAPYFRDTVAVGAFGAAAAGVFVACSAGNSGPSGATVANSAPWVATVGAGTLDRDFPAYVTLPTGARLAGVSLYAGPSPSPRPAMLPLVYGGGGDNASRLCLSGTLDPAAVHGKIVLCDRGVNARVEKGAVVKAAGGAGMVLANTAASGEELVADSHLLPAVAVGKLAGDKIREYASRRAAGGAGAPMAMLSFGGTVLGVRPSPVVAAFSSRGPNTVVPEILKPDMIGPGVNILAGWSGVAGPTGLVKDGRRTHFNIISGTSMSCPHISGVAALLKAAHPEWSPAAIKSALMTTAYTVDNTNSSLRDAAGGLLATPFAFGAGHVDPQKALSPGLLYDISTKDYVSFLCSLNYTTPHIQVITKMSNITCPRKFRPGDLNYPSFSVVFKKKSKHVMRFRREVTNVGPAMSVYNVKVSGPASVSVKVTPAKLVFNKVGQKQRYYVIFASTVDASNAKPDFGWISWMSSQHVVRSPIAYTWKI >ORUFI10G09210.1 pep chromosome:OR_W1943:10:11388990:11399525:1 gene:ORUFI10G09210 transcript:ORUFI10G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMILDALASYLGEQFAKMVKDEAGMLLGASGEVEKLTGTLSRLKKFLANAERRHITDAKEGEYVHEWVRKLKDAMYDATDIVDDVHLKSEQRRERCSNSSLLWCLQDPLFTHRIGSRVKELNERMDGLFKQAEADQQADTDRLKSSSTPGNPHSGNPRRTAPGIIHEDIVGDKIEEDKRMLVDWLINHDKKYLVVAIQGVGGIGKTTLAKKIFHDQAIQDTFDVKIWLSVTQDFNEAHLLKTAIAMAKSDKQQIPAVEDMALLEDALVEALRGKMLLLVMDDMWTEKAWDNGLRVPITKACAPGTCVLVTTRNEDVAKVMKAAHTHQVTKLRLDDSWTLLQKQAALSVSEIEIVQECGMKIAEKCDGLPLAIKVIGVVLCKKNATKNAWEEVLRNQIWSKMGLPEELNKAIYLSYEDLSHNLKQCFVYYSLFPKDEIIGIDKIVSMWIAEGFIGKDGYSAQSAGLDYYKELIKRNLLEPQNDYYNEEHCIMHDVVHSFAQHVARDEALVLRDPQNNGILSSSKFRRLSISAEQIEWSNLQNQHCLRTLILFGNIKLKPGDSLRILPSLRTIHDSLCHLKHLRYLELRYTDISALPRNIGRMKFLEHIGVRGCHRLSKLPSSIIKLDNLRHLSIDETKIRAIPRGFSRLLNLDVLWGFPVHGVAQGTAKHYCTLEDVGPLSQLRKLKLKGLENAPSKSAALAELGTKSRLTCLELWCSNDETKDAIVTVEQEQIKELFDLLRPAECLEELTIGGYYGDTVPDWIKMPEAAIFKDLRRLNLQNLVSCIQLPDGLGQLPNLDFFVVDDAPCIKQIGHCLLFEQGQRNMDNKKSSRHVAFPKLHELHLKGMMEWNEWTWEKHVEAMPVLSVLHVKDCNLSHLPPGLPYQARALKRLCVINARNLNSVEGFSSVVKLEVYGDPNLERIVDLPSLQNLTIVNCPKLMLLDGVASVQIMELGDHGMETLPEYLRHLTLRHLKIVCSLNLLRLMSTKHDASRSEWEKISHIMHVEGFASDNGDGILRWALLLFYTALHHDWQQCSVTSGVIPE >ORUFI10G09220.1 pep chromosome:OR_W1943:10:11424755:11430643:-1 gene:ORUFI10G09220 transcript:ORUFI10G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTTTSSSPSWSSLFGLGCFTSSHSDGGSAAAKNPGTPLPARPSSCNSNDGVAAAVMPSPEDLSQSLAGSGVEAFTVEELRRATRDFSVSNFVGEGGFGPVYKGYVDERLKPGVRAQAVAVKLLDLEGSQGHKEWLAEVIFLGQLRHHHLVKLIGYCYEDEHRLLVYEFMARGSLEKHLFKKYSASLPWSTRLKIAIGAARGLAFLHEAAKPVIYRDFKTSNILLNSDYEAKLSDFGLAKDGPQEDETHVSTRVMGTQGYAAPEYIMTGHLTTKSDVYSYGVVLLELLTGRKAVDKKRPPREQNLVEWARPCLHDSRRLNRVIDKSLNGQYSTRAVQKAAAIAYQCLSVSPKSRPRMSAVVEALEPLLAMDDGIVEPFVYMAPPESK >ORUFI10G09230.1 pep chromosome:OR_W1943:10:11435963:11459467:-1 gene:ORUFI10G09230 transcript:ORUFI10G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRPGTAVWVEHPDHAWAEAVVTSPASSSPSSVTVTLAGGAKAVVDGKKVLPRDTEADLGGVDDMTKLVYLHEPGVLCNLARRYGFNEIYYRGVQFGELSPHVFAVTDASYRAMVSEDRSQSILVSGESGAGKTETTKLIMRYLTFVGGRSTGDIRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGQDADKYKLAHPRNFNYLNQSHTYELEGVNEAEEYLKTRRAMDIVGISFSHQEAIFRTVAAILHLGNIEFSPGKEFDSSAIKDEKSKFHLQMAADLLMVDGSLLLSTLCYRTIKTPEGNIVKAVDSSAAAISRDALAKTVYAQLLVDNINMSIGQDMESRALIGLCINFANEKLQQHFNKHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKKPIGIVSLLDEACMLGKSTHETFAMKLFQNFKAHPRLEKPKLSKTDFALSHFAGKACPVIYQTELFLEKNRDYVNLEHQNLLCSSKCSFLSRLFALQQDDPSKSSYKFSSIASRFKQQLQALMETLSSTEPHYIRCVKPNSLNYPQKFENGSGVLEAIRISLAGYPTRRTYTEFIDRFGLLVPEHMDERFDEKSLTEKILRQLHLENFQLGRTKVFLRAGQIAVLDSKRTEILEKAARIVQGRFRTFVACKEFHSTKKASVSLQAYCRALVIQSGVRYMLAIQKLLQLKNNKAATIIQALWRMKKLYDFHRQYRHATILIQCCWRQKLAKRAFRNLKQAAYETGALREAKGKLERSLEDLTLRFTLERRQRVAAEESKALEVSKLLKIVESLKCELEAANEEKINGCKEVASMQQQLGLSIKDQELLHSNLAQIEELKRENTLLKGKNAEMEQELLKAQKCSHDNMDKLHGVERNYLHLRDNLKNLEDKISNLEDENHLLRQKALSLSPRHSRTMSHPIGSSPCSPKSLIESSPVKIVPLPHNPTELRRSRMNSERHEEYHELLQRCIKDDMGFKKGKPVAACVIYKCLLHWGVFEAERTTIFDFIIQNINTVLKTENENDILPYWLANASALLCLLQRNLRSKGFIAAPSRSSSDPHLCEKANDALRPPLKAFGQRNSMSHIDAKYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQAPKLARGGSGRRSRSPDVTLQQPISAHWDRIIKFLDSLMDRLHKNFVPSFFIRKLVTQVFSFINVQLFNSLLLRRECCTFSNGEYVKTGLCVLEKWILDATEEHAGAAWDELKYIREAVEFLIIAQKSKRTLEQIKKNICPVVAKMRDMVSSDAQNPVSNSFLLDDDLSIPFTTEEIAEEVPDIDMSNIEMPSSLRHVHSAQFLMQHLQTTYPLR >ORUFI10G09230.2 pep chromosome:OR_W1943:10:11435963:11459467:-1 gene:ORUFI10G09230 transcript:ORUFI10G09230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRPGTAVWVEHPDHAWAEAVVTSPASSSPSSVTVTLAGGAKAVVDGKKVLPRDTEADLGGVDDMTKLVYLHEPGVLCNLARRYGFNEIYYRGVQFGELSPHVFAVTDASYRAMVSEDRSQSILVSGESGAGKTETTKLIMRYLTFVGGRSTGDIRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGQDADKYKLAHPRNFNYLNQSHTYELEGVNEAEEYLKTRRAMDIVGISFSHQEAIFRTVAAILHLGNIEFSPGKEFDSSAIKDEKSKFHLQMAADLLMVDGSLLLSTLCYRTIKTPEGNIVKAVDSSAAAISRDALAKTVYAQLLVDNINMSIGQDMESRALIGLCINFANEKLQQHFNKHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKKPIGIVSLLDEACMLGKSTHETFAMKLFQNFKAHPRLEKPKLSKTDFALSHFAGKACPVIYQTELFLEKNRDYVNLEHQNLLCSSKCSFLSRLFALQQDDPSKSSYKFSSIASRFKQQLQALMETLSSTEPHYIRCVKPNSLNYPQKFENGSGVLEAIRISLAGYPTRRTYTEFIDRFGLLVPEHMDERFDEKSLTEKILRQLHLENFQLGRTKVFLRAGQIAVLDSKRTEILEKAARIVQGRFRTFVACKEFHSTKKASVSLQAYCRALVIQSGVRYMLAIQKLLQLKNNKAATIIQALWRMKKLYDFHRQYRHATILIQCCWRQKLAKRAFRNLKQAAYETGALREAKGKLERSLEDLTLRFTLERRQRVAAEESKALEVSKLLKIVESLKCELEAANEEKINGCKEVASMQQQLGLSIKDQELLHSNLAQIEELKRENTLLKGKNAEMEQELLKAQKCSHDNMDKLHGVERNYLHLRDNLKNLEDKISNLEDENHLLRQKALSLSPRHSRTMSHPIGSSPCSPKSLIESSPVKIVPLPHNPTELRRSRMNSERHEEYHELLQRCIKDDMGFKKGKPVAACVIYKCLLHWGVFEAERTTIFDFIIQNINTVLKALRPPLKAFGQRNSMSHIDAKYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQAPKLARGGSGRRSRSPDVTLQQPISAHWDRIIKFLDSLMDRLHKNFVPSFFIRKLVTQVFSFINVQLFNSLLLRRECCTFSNGEYVKTGLCVLEKWILDATEEHAGAAWDELKYIREAVEFLIIAQKSKRTLEQIKKNICPVVAKMRDMVSSDAQNPVSNSFLLDDDLSIPFTTEEIAEEVPDIDMSNIEMPSSLRHVHSAQFLMQHLQTTYPLR >ORUFI10G09230.3 pep chromosome:OR_W1943:10:11435963:11459467:-1 gene:ORUFI10G09230 transcript:ORUFI10G09230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRPGTAVWVEHPDHAWAEAVVTSPASSSPSSVTVTLAGGAKAVVDGKKVLPRDTEADLGGVDDMTKLVYLHEPGVLCNLARRYGFNEIYYRGVQFGELSPHVFAVTDASYRAMVSEDRSQSILVSGESGAGKTETTKLIMRYLTFVGGRSTGDIRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGQDADKYKLAHPRNFNYLNQSHTYELEGVNEAEEYLKTRRAMDIVGISFSHQEAIFRTVAAILHLGNIEFSPGKEFDSSAIKDEKSKFHLQMAADLLMVDGSLLLSTLCYRTIKTPEGNIVKAVDSSAAAISRDALAKTVYAQLLVDNINMSIGQDMESRALIGLCINFANEKLQQHFNKHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKKPIGIVSLLDEACMLGKSTHETFAMKLFQNFKAHPRLEKPKLSKTDFALSHFAGKACPVIYQTELFLEKNRDYVNLEHQNLLCSSKCSFLSRLFALQQDDPSKSSYKFSSIASRFKQQLQALMETLSSTEPHYIRCVKPNSLNYPQKFENGSGVLEAIRISLAGYPTRRTYTEFIDRFGLLVPEHMDERTKVFLRAGQIAVLDSKRTEILEKAARIVQGRFRTFVACKEFHSTKKASVSLQAYCRALVIQSGVRYMLAIQKLLQLKNNKAATIIQALWRMKKLYDFHRQYRHATILIQCCWRQKLAKRAFRNLKQAAYETGALREAKGKLERSLEDLTLRFTLERRQRVAAEESKALEVSKLLKIVESLKCELEAANEEKINGCKEVASMQQQLGLSIKDQELLHSNLAQIEELKRENTLLKGKNAEMEQELLKAQKCSHDNMDKLHGVERNYLHLRDNLKNLEDKISNLEDENHLLRQKALSLSPRHSRTMSHPIGSSPCSPKSLIESSPVKIVPLPHNPTELRRSRMNSERHEEYHELLQRCIKDDMGFKKGKPVAACVIYKCLLHWGVFEAERTTIFDFIIQNINTVLKTENENDILPYWLANASALLCLLQRNLRSKGFIAAPSRSSSDPHLCEKANDALRPPLKAFGQRNSMSHIDAKYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQAPKLARGGSGRRSRSPDVTLQQPISAHWDRIIKFLDSLMDRLHKNFVPSFFIRKLVTQVFSFINVQLFNSLLLRRECCTFSNGEYVKTGLCVLEKWILDATEEHAGAAWDELKYIREAVEFLIIAQKSKRTLEQIKKNICPVVAKMRDMVSSDAQNPVSNSFLLDDDLSIPFTTEEIAEEVPDIDMSNIEMPSSLRHVHSAQFLMQHLQTTYPLR >ORUFI10G09230.4 pep chromosome:OR_W1943:10:11435963:11459467:-1 gene:ORUFI10G09230 transcript:ORUFI10G09230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRPGTAVWVEHPDHAWAEAVVTSPASSSPSSVTVTLAGGAKAVVDGKKVLPRDTEADLGGVDDMTKLVYLHEPGVLCNLARRYGFNEIYYRGVQFGELSPHVFAVTDASYRAMVSEDRSQSILVSGESGAGKTETTKLIMRYLTFVGGRSTGDIRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGQDADKYKLAHPRNFNYLNQSHTYELEGVNEAEEYLKTRRAMDIVGISFSHQEAIFRTVAAILHLGNIEFSPGKEFDSSAIKDEKSKFHLQMAADLLMVDGSLLLSTLCYRTIKTPEGNIVKAVDSSAAAISRDALAKTVYAQLLVDNINMSIGQDMESRALIGLCINFANEKLQQHFNKHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKKPIGIVSLLDEAWLFALQQDDPSKSSYKFSSIASRFKQQLQALMETLSSTEPHYIRCVKPNSLNYPQKFENGSGVLEAIRISLAGYPTRRTYTEFIDRFGLLVPEHMDERFDEKSLTEKILRQLHLENFQLGRTKVFLRAGQIAVLDSKRTEILEKAARIVQGRFRTFVACKEFHSTKKASVSLQAYCRALVIQSGVRYMLAIQKLLQLKNNKAATIIQALWRMKKLYDFHRQYRHATILIQCCWRQKLAKRAFRNLKQAAYETGALREAKGKLERSLEDLTLRFTLERRQRVAAEESKALEVSKLLKIVESLKCELEAANEEKINGCKEVASMQQQLGLSIKDQELLHSNLAQIEELKRENTLLKGKNAEMEQELLKAQKCSHDNMDKLHGVERNYLHLRDNLKNLEDKISNLEDENHLLRQKALSLSPRHSRTMSHPIGSSPCSPKSLIESSPVKIVPLPHNPTELRRSRMNSERHEEYHELLQRCIKDDMGFKKGKPVAACVIYKCLLHWGVFEAERTTIFDFIIQNINTVLKTENENDILPYWLANASALLCLLQRNLRSKGFIAAPSRSSSDPHLCEKANDALRPPLKAFGQRNSMSHIDAKYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQAPKLARGGSGRRSRSPDVTLQQPISAHWDRIIKFLDSLMDRLHKNFVPSFFIRKLVTQVFSFINVQLFNSLLLRRECCTFSNGEYVKTGLCVLEKWILDATEEHAGAAWDELKYIREAVEFLIIAQKSKRTLEQIKKNICPVVAKMRDMVSSDAQNPVSNSFLLDDDLSIPFTTEEIAEEVPDIDMSNIEMPSSLRHVHSAQFLMQHLQTTYPLR >ORUFI10G09230.5 pep chromosome:OR_W1943:10:11435963:11459467:-1 gene:ORUFI10G09230 transcript:ORUFI10G09230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRPGTAVWVEHPDHAWAEAVVTSPASSSPSSVTVTLAGGAKAVVDGKKVLPRDTEADLGGVDDMTKLVYLHEPGVLCNLARRYGFNEIYYRGVQFGELSPHVFAVTDASYRAMVSEDRSQSILVSGESGAGKTETTKLIMRYLTFVGGRSTGDIRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGQDADKYKLAHPRNFNYLNQSHTYELEGVNEAEEYLKTRRAMDIVGISFSHQEAIFRTVAAILHLGNIEFSPGKEFDSSAIKDEKSKFHLQMAADLLMVDGSLLLSTLCYRTIKTPEGNIVKAVDSSAAAISRDALAKTVYAQLLVDNINMSIGQDMESRALIGLCINFANEKLQQHFNKHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKKPIGIVSLLDEACMLGKSTHETFAMKLFQNFKAHPRLEKPKLSKTDFALSHFAGKACPVIYQTELFLEKNRDYVNLEHQNLLCSSKCSFLSRLFALQQDDPSKSSYKFSSIASRFKQQLQALMETLSSTEPHYIRCVKPNSLNYPQKFENGSGVLEAIRISLAGYPTRRTYTEFIDRFGLLVPEHMDERTKVFLRAGQIAVLDSKRTEILEKAARIVQGRFRTFVACKEFHSTKKASVSLQAYCRALVIQSGVRYMLAIQKLLQLKNNKAATIIQALWRMKKLYDFHRQYRHATILIQCCWRQKLAKRAFRNLKQAAYETGALREAKGKLERSLEDLTLRFTLERRQRVAAEESKALEVSKLLKIVESLKCELEAANEEKINGCKEVASMQQQLGLSIKDQELLHSNLAQIEELKRENTLLKGKNAEMEQELLKAQKCSHDNMDKLHGVERNYLHLRDNLKNLEDKISNLEDENHLLRQKALSLSPRHSRTMSHPIGSSPCSPKSLIESSPVKIVPLPHNPTELRRSRMNSERHEEYHELLQRCIKDDMGFKKGKPVAACVIYKCLLHWGVFEAERTTIFDFIIQNINTVLKTENENDILPYWLANASALLCLLQRNLRSKGFIAAPSRSSSDPHLCEKANDALRPPLKAFGQRNSMSHIDAKYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQAPKLARGGSGRRSRSPDVTLQQPISAHWDRIIKFLDSLMDRLHKNFLAPTTGVLHIFKWRICENWALCTGEMDSGCYRRGAAWDELKYIREAVEFLIIAQKSKRTLEQIKKNICPVVAKMRDMVSSDAQNPVSNSFLLDDDLSIPFTTEEIAEEVPDIDMSNIEMPSSLRHVHSAQFLMQHLQTTYPLR >ORUFI10G09230.6 pep chromosome:OR_W1943:10:11435963:11459467:-1 gene:ORUFI10G09230 transcript:ORUFI10G09230.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRPGTAVWVEHPDHAWAEAVVTSPASSSPSSVTVTLAGGAKAVVDGKKVLPRDTEADLGGVDDMTKLVYLHEPGVLCNLARRYGFNEIYYRGVQFGELSPHVFAVTDASYRAMVSEDRSQSILVSGESGAGKTETTKLIMRYLTFVGGRSTGDIRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGQDADKYKLAHPRNFNYLNQSHTYELEGVNEAEEYLKTRRAMDIVGISFSHQEAIFRTVAAILHLGNIEFSPGKEFDSSAIKDEKSKFHLQMAADLLMVDGSLLLSTLCYRTIKTPEGNIVKAVDSSAAAISRDALAKTVYAQLLVDNINMSIGQDMESRALIGLCINFANEKLQQHFNKHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKKPIGIVSLLDEACMLGKSTHETFAMKLFQNFKAHPRLEKPKLSKTDFALSHFAGKACPVIYQTELFLEKNRDYVNLEHQNLLCSSKCSFLSRLFALQQDDPSKSSYKFSSIASRFKQQLQALMETLSSTEPHYIRCVKPNSLNYPQKFENGSGVLEAIRISLAGYPTRRTYTEFIDRFGLLVPEHMDERFDEKSLTEKILRQLHLENFQLGRTKVFLRAGQIAVLDSKRTEILEKAARIVQGRFRTFVACKEFHSTKKASVSLQAYCRALVIQSGVRYMLAIQKLLQLKNNKAATIIQALWRMKKLYDFHRQYRHATILIQCCWRQKLAKRAFRNLKQAAYETGALREAKGKLERSLEDLTLRFTLERRQRVAAEESKALEVSKLLKIVESLKCELEAANEEKINGCKEVASMQQQLGLSIKDQELLHSNLAQIEELKRENTLLKGKNAEMEQELLKAQKCSHDNMDKLHGVERNYLHLRDNLKNLEDKISNLEDENHLLRQKALSLSPRHSRTMSHPIGSSPCSPKSLIESSPVKIVPLPHNPTELRRSRMNSERHEEYHELLQRCIKDDMGFKKGKPVAACVIYKCLLHWGVFEAERTTIFDFIIQNINTVLKALRPPLKAFGQRNSMSHIDAKYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQAPKLARGGSGRRSRSPDVTLQQPISAHWDRIIKFLDSLMDRLHKNFLAPTTGVLHIFKWRICENWALCTGEMDSGCYRRGAAWDELKYIREAVEFLIIAQKSKRTLEQIKKNICPVVAKMRDMVSSDAQNPVSNSFLLDDDLSIPFTTEEIAEEVPDIDMSNIEMPSSLRHVHSAQFLMQHLQTTYPLR >ORUFI10G09240.1 pep chromosome:OR_W1943:10:11463113:11463901:-1 gene:ORUFI10G09240 transcript:ORUFI10G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSSSAPPRLHLAATPACFSSSSGHGVSRLSVTSACSAAPGSLVSMRRQKARSLTVRCEQGAKGGGGGGGGGLDVWLSRGAMLGFVAAITVELTTGKGVLQNVGLTSPVPTVALALTGVVGVATAFLIFQSASQD >ORUFI10G09250.1 pep chromosome:OR_W1943:10:11468522:11470179:-1 gene:ORUFI10G09250 transcript:ORUFI10G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEGEGVRLLGGRMSPFTMRARMALALRGVEYELVEEALHPRKSGRLLAANPAYGRIPVLLLPGGRAVCESAVIAQYVDDAWGGAGAGAAILPVDPYERAMHRFWTAYIDDKFWPALDAISLAPTPEARATATASTRAALKLLEEAFAARSNGGAFFSGGGAAASPGLLDVALGCFLPALWACENLNGLRLLDDDATPLLRAWSARLAATPAAMAVMPETEEVVAFTRFLQTKFGVAGSK >ORUFI10G09260.1 pep chromosome:OR_W1943:10:11470361:11474071:1 gene:ORUFI10G09260 transcript:ORUFI10G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMNSVQPNAQFPVPHKSLSLDINGNKTDIVISKYEDNFMVMVTQIGCMGTILAARKDESVFSDPTYNVSVLFGKRDEPLLLACARQLIEHISGSGSARSLMISLGLKDHSQATMKYIVSTIIENRLW >ORUFI10G09260.2 pep chromosome:OR_W1943:10:11470361:11474071:1 gene:ORUFI10G09260 transcript:ORUFI10G09260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMNSVQPNAQFPVPHKSLSLDINGNKTDIVISKYEDNFMVMVTQIGCMGTILAARKDESVFSDPTYNVSVLFGKRDEATMKYIVSTIIENRLW >ORUFI10G09270.1 pep chromosome:OR_W1943:10:11475396:11484514:-1 gene:ORUFI10G09270 transcript:ORUFI10G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGFYFVPKDLELLAILMCKLVRGKVPGALNNVFKHIRILNSTPPSSMRQFATKARNKRRPMRVADGGTWKASGGSKKVGGIDVSQKFTMVFYERRFEGDRNPLEDLAVYRVYKIRRKEDTEPVNAAAAVSSTDEPSTPAALPLTTPPPRPLPDMAGSSSATSLLPLQLPGLAGSSSTMPLALPLQLPGLPRSSSVMSLPGLAGEMTSMGHGETGEHGLDVTGINTVVGAPTRLELTDGTDGDGAMADGDTQLDAAAAAAEFDSERVAEIVSQIMDGEFEFKFDDDTIVSFNEVVAAPMLIDGDGDGDGDGADGAATAVVSILEGTAGGVSYGGVCQRLPVSNFFGAGVSELRARRDGGEGEGGAEQPPEGRGGGGNNNGKWKGKEKVVPEYGKNRHGMSVGWYFVPKDLELFAILRCKLVRGQLPGALNNVFEHIRILEFHPALLHETYIRNEEDGYIYFFSKRQFTTKAGNKRRPTRVTKDGTWKASGGSKTVRSKKVGGIDVGQKLTMVFYERRFEGDRNPIKTNWGMHEFTKIIDGTKNQLEDLAVYRLYKIKRKEDEEPSNTAAAASSTDEPATSSALPPPTPPRPLPDMAGPSSPAPPPAVDHHHRLSPPHDAAGSNYNHPELADYNGGVQAQHEHQYHPQEPQASLVDAEDGYSAMAGGDDAQLGGAELDTERIAEMVNHIMDGEFEFKFEDNTVLKYNEVFPDNDEVVAAPMMIDGGRDGDGADGGDGDDPFDN >ORUFI10G09280.1 pep chromosome:OR_W1943:10:11486598:11487113:-1 gene:ORUFI10G09280 transcript:ORUFI10G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGRQRRLPGAAAAPPLTCSARSPGGSPRRGQRGSPVREQPLACGGLSSSAARPRWLLGVSGRAAGMPPRWPGEVRQPDNGGTGEWRRSGAAAPPAARWQCKGSWRRWVVFLVAGSTHQRGKLRLSKQCHPVPGSPSAKTGEVAGGW >ORUFI10G09290.1 pep chromosome:OR_W1943:10:11487137:11487349:-1 gene:ORUFI10G09290 transcript:ORUFI10G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGRHSLTSMVRGGHGSEVWGGGGSGAPKAGSGHPSLGSGAAAVAAARLARLGHGGGRLLRLSVEAAGA >ORUFI10G09300.1 pep chromosome:OR_W1943:10:11488650:11489927:-1 gene:ORUFI10G09300 transcript:ORUFI10G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAASRAYLPDDLVADILTRLPARSVCRFSAVCRSWRALATERQFVLAHAARDRAAAVPMNHHHRKVHPPFSPSPRRGGGEPTVMLAFVMICRRNEPDRSFYLESREDGACKLLGCWDGMMCIDVRRDSPACRDGIVVVNPISMAYAVVRSPMPDGGEFIAGYAHPDTFAFHLMYCCHNQGKVIFQVIKAGDSQWREIAADRLAISGIDFDKQGISSVALHGGLHWQLRTNSGQWVMLVYDMVTEKFRSIAAPQCATTWVRGLSVLSGRLCSIVIPESMTAEIWVLEDYHEHRSWHCIREIDMAASAERINLENFWDSDLRMFLKVDVEQGIEHEVQEIIIHHGNKIISQPCSVYELRRNEAVYNVRHNVWHKSTMCFNGESIMYKESIVPYQMSFGMKSQFCERKRGALQFSEGQHVYHLPL >ORUFI10G09310.1 pep chromosome:OR_W1943:10:11492226:11493023:1 gene:ORUFI10G09310 transcript:ORUFI10G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKLDKEDWEKIGLSYTEPNTDLFLTPGIGARRRHYRAGVGRRAPAAVATAPIKESDVDPTMERWGMETKEVKSSGKVVDLAYPEWRGGRDR >ORUFI10G09320.1 pep chromosome:OR_W1943:10:11494590:11497064:-1 gene:ORUFI10G09320 transcript:ORUFI10G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGSKNQKTFRPKKNAPSGNKGVQLKKHIDATLGSGNLRDAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPTTCPTMSAGPKFEYRWADGIQIKKPIEVSAPKYVEYLMDWIEVQLDDESIFPQKLGTPFPQNFREVVKTIFKRLFRVYAHIYHTHFQKIVSLKEEAHLNTCFKHFTLFTWEFKLIDKAELAPLIDLIESIVSVC >ORUFI10G09320.2 pep chromosome:OR_W1943:10:11494590:11496680:-1 gene:ORUFI10G09320 transcript:ORUFI10G09320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGSKNQKTFRPKKNAPSGNKGVQLKKHIDATLGSGNLRDAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPTTCPTMSAGPKFEYRWADGIQIKKPIEVSAPKYVEYLMDWIEVQLDDESIFPQKLGTPFPQNFREVVKTIFKRLFRVYAHIYHTHFQKIVSLKEEAHLNTCFKHFTLFTWEFKLIDKAELAPLIDLIESIVSVC >ORUFI10G09330.1 pep chromosome:OR_W1943:10:11499380:11508141:-1 gene:ORUFI10G09330 transcript:ORUFI10G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGRMKGVEGGEGGSGAGEGAEGRDGEAREELELALSLGRRGWHLPAARREPPPPPAAMRWTMPPHSWDHDAAGSSRAATHVPPLRCRDIWHGDNDAGGAIEGAEEGDEEDEEGDEDGDRDLQSKRPKVRGFGEESPQHSGVNASFFGLESTHFPGSDEHGHFKLSHCPENELDFGLSLFPNDGVNENPGDGNVGDVEISGGENSEDVEIRMDLSDDLLHLIFSFLGQRDLCKAGASCKQWRSASMHEDFWKCLKFENTRISLQNFVDICHRYQNVTYLNLSGVPHAELLVMEAITCLRHLKTLIMGKGQLGEAFFQLLSECPLLTTLTVSDASLGSGIQEVTVNHDGLRELQILKCRALRISVRCSQLQILSLRRTGMAHVSLNCPQLVELDFQSCHKLSDNAIRQAATACPLLASLDMSSCSCVTDETLREIANSCPNLSVLDASNCPNISFESVRLPMLVDLRLLSCEGITSASMAAIAYSRLLEALQLDNCSLLTSVSLDLPHLKNISLVHLRKCSVLHRVSITSNALQKLVLQKQESLSSLSLLCNNLIDVDLSDCESLTNAVCEVFSDGGGCPLLRSLILDNCESLSTVELNSSSMVNLSLAGCRSMTLLKLSCPNLQNVNLDGCDHLERASFCPVGLESLNLGICPKLSDLHIEAPKMSLLELKGCGVLSQASINCPRLTSLDASFCRKLMDDSLSQTAEACPLIENLILSSCVSIDLNGLSSLHCLHKLALLDLSYTFLTNLKPVFDSCPQLKILKLSACKYLSDSSLDALYREGALPMLVELDLSYSSIGQTAIEELLSCCTNLVNVNLNGCTNLHQLVCGSDDCSSGDMPVDVCPPDSAPVRSEEISERSDRLLEVLNCTGCPNIKKVIIPSMTTYLRLSKINLNLSTNLKEVDLTCSNLYTLNLSNCSSLEVLKLDCPRLTNLQLLACTMLQDEELESAISRCSALEILNVHSCPKINVLDFSRLRVVCPSLKRIQSSLIT >ORUFI10G09340.1 pep chromosome:OR_W1943:10:11536861:11537223:-1 gene:ORUFI10G09340 transcript:ORUFI10G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALPGAQHGRRRPQSLHGQGRRRPRRGLAGESTVLRARSYGCIRVFLILSLLLLAVKVAAYLQGWHLEEVVSLFAIDGLFAASYAWWMRLRLDYLASPLQFLTNACVVLFMVQSIDHEG >ORUFI10G09350.1 pep chromosome:OR_W1943:10:11551800:11555960:-1 gene:ORUFI10G09350 transcript:ORUFI10G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDSLSKFKQQQERCQSSLASIAASTSKPKHRAQPVNAPSAPARPLQPIKFSNDTERLQHINSVRKSPIGAQIKLVIELLYKTRQAFTAEQINETTYVDIHGNKSVFDSLRNNPKVHYDGRRFSYKSKHDLKGKDQLLVLVRKYPEGLAVVEVKDAYPTVMEDLQALKAAGEVWLLSNMDSQEDIVYPNDPKAKIKVDDDLKQLFREMELPRDMVDIEKELQKNGIKPMTNTAKRRAAAQINGVQPKAKPKKKQREITRRTKLTNAHLPELFQNLNT >ORUFI10G09350.2 pep chromosome:OR_W1943:10:11551802:11555025:-1 gene:ORUFI10G09350 transcript:ORUFI10G09350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWEMDLKDSLSKFKQQQERCQSSLASIAASTSKPKHRAQPVNAPSAPARPLQPIKFSNDTERLQHINSVRKSPIGAQIKLVIELLYKTRQAFTAEQINETTYVDIHGNKSVFDSLRNNPKVHYDGRRFSYKSKHDLKGKDQLLVLVRKYPEGLAVVEVKDAYPTVMEDLQALKAAGEVWLLSNMDSQEDIVYPNDPKAKIKVDDDLKQLFREMELPRDMVDIEKELQKNGIKPMTNTAKRRAAAQINGVQPKAKPKKKQREITRRTKLTNAHLPELFQNLNT >ORUFI10G09360.1 pep chromosome:OR_W1943:10:11558434:11561672:-1 gene:ORUFI10G09360 transcript:ORUFI10G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQEPLVRPKRKKVLVDYLVKFRWILVIFVVLPISALIYFNIYLGDVWSAMKSEKRRQKEHDDNVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDRERMVAKVEPLVNMGQITRATCPMNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAVEVVLADGRVVRATKDNEYSDLFYGIPWSQGTLGFLVSAEIKLIPIKEYMRLTYTPVKGSLKEIAQGYCDSFAPRDGDPAKVPDFVEGMVYTENEGVMMTGVYASKEEAKKKGNKINCVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLLGWLMPPKVSLLKATQGESIRNYYHDNHVIQDMLVPLYKVGDALEFVHKEMEVYPLWLCPHRLYKLPVKTMVYPEPGFEHHHRQGDTSYAQMFTDVGVYYAPGAVLRGEEFNGALAVHRLEQWLIENHSYQPQYAVSELNEKDFWRMFDASHYEHCRQKYGAVGTFMSVYYKSKKGRKTEKEVQEAEAAILEPAYADEA >ORUFI10G09370.1 pep chromosome:OR_W1943:10:11574067:11576374:1 gene:ORUFI10G09370 transcript:ORUFI10G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSRTHASKSIIPLRISLLLLLLSTPPCSSSTIIQHGEAESLLRWKSTLSAAASASPLTTWSPATSSSACSSWRGVTCDAAGHVAELSLPGAGLHGELRALDLAAFPALAKLDLRRNNITAGVVAANVSTRASNLTYLDLSDNAFAGHILDVLPLSPATLQQLSYLNLSSNGLYGPILRSLSAMGKMTVFDVSRNRLNSDIPSELFTNWVELTQFRVQNNSITGSIPPTICNTTKLKYLRLAKNKLTGEIPAEIGRLASLQALELADNFLTGPIPNSVGNLTDLLVMDLFSNGFTGVIPPEIFNLTALRTIDVGTNRLEGEVPASISSLRNLYGLDLSNNRFSGTIPSDLGTTASRENFLLTFCQLDSLEILDLSNNHLHGEIPSCLWHLQDLVFMDLSYNSFSGEVPPMSAYPNSSLESVHLANNNLTGGYPMVLKGCKWLIILDLGGNHFTGTIPSWIGTCNPLLRFLILRSNVFNGSIPKELSQLSHLQLLDLAMNNLVGSIPRSFGNFTSMIQPKTELNLPWKVQHHILDGRVDYTYTDRIGINWKRQNQTFQGTVALMAGIDLSSNYLSNEIPSELCNLESMRFLNLSRNHLSGIIPKEIGNLKILESLDFSWNELSGSIPSSISNLMSLSSLNLSNNHLSGEIPSGYQLRTLADPSIYSNNFGLCGFPLNISCSDGSNSTSALIGGSTDSQELEILSWFYSVLAGLVFGFWLWFGVLLLFEPWRFAFFGQVDHLQKKIMQKICCMYAKSE >ORUFI10G09380.1 pep chromosome:OR_W1943:10:11577402:11580282:-1 gene:ORUFI10G09380 transcript:ORUFI10G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAAVSVPFAVTPLRRLSSSTTTRAFSFRVSAAAMAAAAKTAVQPAVVVGGGRVGQALLSMGPPGADVLVGRGEKVPEDAPGPILVCTRNDDLDAVLDATPRSRWRDLVFFQNGMLDPWFESKGLVDANQVLAYFAVSKLGEPPVDGITDTNPEGLTAAFGNWAPAVATRLQNGGLTCKVLEKEAFQKQMLEKLIWISAFMLVGARHPGATVGAVEKEYRSEVASLIAELASAAAEERGLTFDAGIEERLCAYSRAVAHFPTAFKWRNGWFYSLTEKALAQGKPDPCPLHTAWLKEIKVI >ORUFI10G09390.1 pep chromosome:OR_W1943:10:11582844:11583857:1 gene:ORUFI10G09390 transcript:ORUFI10G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMEMRWAAPAPAARGRGRARRRAPDQPSFSSTLLDAICDSMDEGGEDGRTRNAASAAAKKRQEAANSYHYSYCYKPSLAASYRAAPALGSTADCPGRGYFSSSEVEYSLRRLRPIRTSAAGGAGDGAAVARKQRHEQPDVEKTAKTKPGSASARACRRPASPGARLASLLNSIFSGKRPSAQRPACSPDYPEPACSTAPPSSSSSYARRPCHAKTPRTPPTTTTTARARPSRSRTVRFLDIDGKVAVAAAVAGCRRIPVMEVEADTDDGGEESSDASSDLFELDSLAAIAPAGGRDGSYGDELPVYGTTGVGIRRDIGRRRPYGHAPCRSWSRAV >ORUFI10G09400.1 pep chromosome:OR_W1943:10:11593504:11594496:-1 gene:ORUFI10G09400 transcript:ORUFI10G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGAVDVDDGGAAATAAASGGEEVRAMPAEVSWEMLDKSRFFLLGAALFSGVSAALYPAVVLKTHLQVSPPPAAAASTTAAAILRRHGPRGFYRGFGASLAGTVPARAVYMAALEATKSAVGSAAVRLGVAEPAASAAASAAGGVSAAVAAQVVWTPVDVVSQRLMVQTAAAGPPYRGGADALRRILRADGVRGLYRGFGVSVLTYAPSSAAWWASYATAQRLIWRALGPAHHDSRASVVAVQGASAAAAGGAAALVTMPLDTVKTRLQVMDGGGASLASEARALVREGGWGACYRGLGPRWASMSLSAATMVTAYEFLKRLSTKDTSL >ORUFI10G09410.1 pep chromosome:OR_W1943:10:11598770:11608566:1 gene:ORUFI10G09410 transcript:ORUFI10G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGESTQGNQRKLDGPGKVSTELSLVNLEAKNLHPKPECNQPIEHIPTKGMKCTPLLPLPTEHADDEPIYVNAKQYHAIIRRRQRRKIVGSEDKVAAIRKRILVEARQKQAKLRHRGKGGRFISIEHPLELSMDDQISKNGGSASPSSSTVSENSSNLGMPCKLTSLPAIVE >ORUFI10G09410.2 pep chromosome:OR_W1943:10:11598770:11608247:1 gene:ORUFI10G09410 transcript:ORUFI10G09410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGESTQGNQRKLDGPGKVSTELSLVNLEAKNLHPKPECNQPIEHIPTKGMKCTPLLPLPTEHADDEPIYVNAKQYHAIIRRRQRRKIVGSEDKVAAIRKRILVEARQKQAKLRHRGKGGRFISIEHPLELSMDDQISKNGGSASPSSSTVSENSSNVNGFTGDL >ORUFI10G09420.1 pep chromosome:OR_W1943:10:11608719:11609365:-1 gene:ORUFI10G09420 transcript:ORUFI10G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLAPRLAVPLLLVLAAAAAADGVADAGAGAGMTHLHFFFHEVFTAGPNGTTATVAPPARSGDGSSLGFVGVVDDMLREGADPASRLVGRAQGVTAGTSLAAADGAGAITTMLSLAFTEEGPYAGSTLQVFGRAVLGTVMERPVVGGTGKFRMARGHTLSRRVNSSDPDNLLVIEYDAYVTTSPI >ORUFI10G09430.1 pep chromosome:OR_W1943:10:11625552:11626096:-1 gene:ORUFI10G09430 transcript:ORUFI10G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHRAILALILLFSSSAATIAAAQPAAADGGRTTHLHFYMHEFFNGGPNGSMARVAPPARSGGSLFGFVSVVDDALREGADPASRLVGRAQGLAAGTSLADGSVTTMLDFVTVAAFGVGLPLPGGAAMERPVVGGTGAFRMARGYTLSRTVKTADPNSQLILEYDVYISH >ORUFI10G09440.1 pep chromosome:OR_W1943:10:11640650:11646772:1 gene:ORUFI10G09440 transcript:ORUFI10G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLPRRQRMDRPRRSPAAVQLVAGVDAADDMFGSLAGCPPRPLEYPVVPDLDDYDVDDAPVAGRPAPASDKTLAVHAGEKLGSGAAAAETDSIATPIVGGTTHWFRDSADLIAFREGRRRSFEYGRYGNPTVKVLEEKISALERAEATLVTSSGMNAIVATLLALVPPGGHVVATADCYSEARAFIRDKLSNMGITSTFVDLDDDMEALESVLDEGEVTMFYADSMTNPHLKVVDVTRVAELCHRRGALVCIDSTLASPINQKPLALGADVKPLALGADVVLHSATKYIAGHHDVIAGCVSGSEALVSRIRTWHHDLGGAISPNAAYMIIRGLKTLALRVQAQNRTALRMARLLEKHPKIERVYYPGLESSPWHGVATRQMAGAGGVVGLEVASDMRGAMRFVDALELPLIATSLGGCESLKITHECEYGRTEGKGKCDEEKAKNGIKDNFVRFSFGIEKFEDLRDDILQALGKI >ORUFI10G09450.1 pep chromosome:OR_W1943:10:11651802:11653530:-1 gene:ORUFI10G09450 transcript:ORUFI10G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSLVTKVSVQRRRRVHRSRRSPTKPERAAAADTFGSLAGCPSSPEYPVVPDLDDCVVDTAVDDAPVVAAEAAGRRASDETLAVHAGEKLGSGAAETDSIATPIVSGTTHWFRDSADLIAFREGWRRSFEYGRYGNPTVKVLEEKISALERAETTLVTSSGMNAIVATLLALVPPGGHVVVTADCYSEARAFIHDKLSKMGITSTFVDLDDDMEALESVLDEDEVTMFYADSMTNPHLKVVDVARVAELCHRRGALVCIDSTLASPINQKPLALGADVVLHSATKYIAGHHDVIAGCVSGSEALISRIRAWHHDLGGAISPNAAYMIIRGLKTLALRVEAQNRTALRMARLLEKHPKIERVYYPGLESSPWHGVATRQMAGAGGVVSFEVASDMRGVMRFVDALELPLIATSLGGCESLVQQPAVMSYWGKSEEEKAKNGIKDNFVRFSFGIEKFEDLRDDILQALEKI >ORUFI10G09460.1 pep chromosome:OR_W1943:10:11662665:11664198:1 gene:ORUFI10G09460 transcript:ORUFI10G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSLVTKVSAQRRRRVHRPRRSPTKPERSAAAVALSHEKILLAGVDAAADMFGSLAGCPSSPEYPVVPDMDDRYVDGAVDDAPAAAAGRRASDETLAVHAGEKLGSGAAETDSIXRHRRLLQRGPRIHPRQALQHGHHEHGIACNLSLNNGVRPLYLQSTFVDLDDDMEALECVLDESEVTMFYADSMTNPHLKVVDVTRVAELCHRRGALVCIDSTLASPINQKPLALGADVVLHSATKYIAGHHDVIAGCVSGSEALISRIRAWHHDLGGAISPNAAYMIIRGLKTIALRVEAQNRTALRMARLLEKHPKIERVYYPGLESSPWHGVATRQMAGAGGVVSFDVASDLRGVMRFVDALELPLIATSLGGCESLVQQPAVMSYWGKSEEEKAKNGIKDNFVRFSFGIEKFEDLRDDILQALEKI >ORUFI10G09470.1 pep chromosome:OR_W1943:10:11686658:11690646:1 gene:ORUFI10G09470 transcript:ORUFI10G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKEAVVPVEGRRNVLVTSALPYVNNVPHLGNIIGCVLSADAFARYCRLRGHNVLYVCGTDEYGTATETKAMEEGCSPREICDKYHAIHKEVYEWFDISFDIFGRTSSPQQTEVCQDIFLKLLDNNWLSENTMQQLYCNSCQRFLADRLVEGYCPTEGCNYDSARGDQCEKCGKLLNSTELVCGSTPCVRDTDHLFLELPLLREKLEKYIDETSLTGSWSQNAIHATNAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYISITACYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKTISVTEYLNYESGKFSKTKGIGVFGNDAKSTNIPPEASDTLFTWTDLQAKCNNELLNNLGNFINRVLSFIAKPEGTGYGSVVPDSPDVDSHALTQSLAETVGKLIDQYIDAMDKVKIKQGLKIAMAISSEGNAYLQESQFWKLYKQDPASCATVMKTSVGIVYLLACLLEPFMPTFSKDVLQQLNLSPEEHLSFCDEKGEVEKAKRPWDLIPSGHRIGKPAPLFKGLENEAVKGLREKFAGSQAERKLRTQVAAQLEATSI >ORUFI10G09480.1 pep chromosome:OR_W1943:10:11691469:11697680:-1 gene:ORUFI10G09480 transcript:ORUFI10G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSSRLLALLSARRPLPPLRRLLQIHAHLLAAGLLQDFSSLLAAAYALSTTATATDARTSPPSPLRHALALLSSLPASAYNAAIRALSLSDDGDRHGHGVVRRCLPLYRALLRSGTARPDHLTFPFLLKACARLREWGYGDAALAHVLRLGLDSDVFVVNAATHFLSIRGPMENARRLFDRSPVRDLVSWNTLIGGYVRRGNPAEALELFWRMVAEDAVVRPDEVTMIAAVSGCGQMRDLELGRRLHGFVDSDGVSCTVRLMNALMDMYIKCGSLEMAKSVFERIEHRTVVSWTTMIVGFAKFGLMDNARKVFDEMPERDVFPWNALMTGYVQCKQCKEALSLFHEMQEASVVPDEITMVNLLTACSQLGALEMGMWVHRYIEKHRLVFSVALGTSLIDMYAKCGNIEKAIHIFKEIPEKNALTWTAMICGLANHGHANEAIEHFRTMIELGQKPDEITFIGVLSACCHAGLVKEGREFFSLMETKYHLERKMKHYSCMIDLLGRAGHLDEAEQLVNTMPMEPDAVVWGAIFFACRMQGNISLGEKAAMKLVEIDPSDSGIYVLLANMYAEANMRKKADKVRAMMRHLGVEKVPGCSCIELNGVVHEFIVKDKSHMDSHAIYDCLHEITLQIKHTADLLSISAAAQQLLGQSTSQWQSSRRGSPRGCRFDRLQAFEPIRSVRSQAGTTEFFDVSNELFQCTGVSVVRRVIEPRGLLLPHYTNGASLVYIIQGRGITGPTFPGCPETYQQQFQQSGQAQLTESQSQSHKFKDEHQKIHRFRQGDVIALPAGVAHWCYNDGEVPVVAIYVTDINNGANQLDPRQRDFLLAGNKRNPQAYRREVEEWSQNIFSGFSTELLSEAFGISNQVARQLQCQNDQRGEIVRVERGLSLLQPYASLQEQEQGQMQSREHYQEGGYQQSQYGSGCPNGLDETFCTMRVRQNIDNPNRADTYNPRAGRVTNLNSQNFPILNLVQMSAVKVNLYQNALLSPFWNINAHSIVYITQGRAQVQVVNNNGKTVFNGELRRGQLLIVPQHYVVVKKAQREGCAYIAFKTNPNSMVSHIAGKSSIFRALPTDVLANAYRISREEAQRLKHNRGDEFGAFTPLQYKSYQDVYNVAESS >ORUFI10G09480.2 pep chromosome:OR_W1943:10:11691469:11697680:-1 gene:ORUFI10G09480 transcript:ORUFI10G09480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSSRLLALLSARRPLPPLRRLLQIHAHLLAAGLLQDFSSLLAAAYALSTTATATDARTSPPSPLRHALALLSSLPASAYNAAIRALSLSDDGDRHGHGVVRRCLPLYRALLRSGTARPDHLTFPFLLKACARLREWGYGDAALAHVLRLGLDSDVFVVNAATHFLSIRGPMENARRLFDRSPVRDLVSWNTLIGGYVRRGNPAEALELFWRMVAEDAVVRPDEVTMIAAVSGCGQMRDLELGRRLHGFVDSDGVSCTVRLMNALMDMYIKCGSLEMAKSVFERIEHRTVVSWTTMIVGFAKFGLMDNARKVFDEMPERDVFPWNALMTGYVQCKQCKEALSLFHEMQEASVVPDEITMVNLLTACSQLGALEMGMWVHRYIEKHRLVFSVALGTSLIDMYAKCGNIEKAIHIFKEIPEKNALTWTAMICGLANHGHANEAIEHFRTMIELGQKPDEITFIGVLSACCHAGLVKEGREFFSLMETKYHLERKMKHYSCMIDLLGRAGHLDEAEQLVNTMPMEPDAVVWGAIFFACRMQGNISLGEKAAMKLVEIDPSDSGIYVLLANMYAEANMRKKADKVRAMMRHLGVEKVPGCSCIELNGVVHEFIVKDKSHMDSHAIYDCLHEITLQIKHTADLLSISAAGASYNNMASINRPIVFFTVCLFLLCDGSLAQQLLGQSTSQWQSSRRGSPRGCRFDRLQAFEPIRSVRSQAGTTEFFDVSNELFQCTGVSVVRRVIEPRGLLLPHYTNGASLVYIIQGRGITGPTFPGCPETYQQQFQQSGQAQLTESQSQSHKFKDEHQKIHRFRQGDVIALPAGVAHWCYNDGEVPVVAIYVTDINNGANQLDPRQRDFLLAGNKRNPQAYRREVEEWSQNIFSGFSTELLSEAFGISNQVARQLQCQNDQRGEIVRVERGLSLLQPYASLQEQEQGQMQSREHYQEGGYQQSQYGSGCPNGLDETFCTMRVRQNIDNPNRADTYNPRAGRVTNLNSQNFPILNLVQMSAVKVNLYQNALLSPFWNINAHSIVYITQGRAQVQVVNNNGKTVFNGELRRGQLLIVPQHYVVVKKAQREGCAYIAFKTNPNSMVSHIAGKSSIFRALPTDVLANAYRISREEAQRLKHNRGDEFGAFTPLQYKSYQDVYNVAESS >ORUFI10G09480.3 pep chromosome:OR_W1943:10:11691469:11697680:-1 gene:ORUFI10G09480 transcript:ORUFI10G09480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSSRLLALLSARRPLPPLRRLLQIHAHLLAAGLLQDFSSLLAAAYALSTTATATDARTSPPSPLRHALALLSSLPASAYNAAIRALSLSDDGDRHGHGVVRRCLPLYRALLRSGTARPDHLTFPFLLKACARLREWGYGDAALAHVLRLGLDSDVFVVNAATHFLSIRGPMENARRLFDRSPVRDLVSWNTLIGGYVRRGNPAEALELFWRMVAEDAVVRPDEVTMIAAVSGCGQMRDLELGRRLHGFVDSDGVSCTVRLMNALMDMYIKCGSLEMAKSVFERIEHRTVVSWTTMIVGFAKFGLMDNARKVFDEMPERDVFPWNALMTGYVQCKQCKEALSLFHEMQEASVVPDEITMVNLLTACSQLGALEMGMWVHRYIEKHRLVFSVALGTSLIDMYAKCGNIEKAIHIFKEIPEKNALTWTAMICGLANHGHANEAIEHFRTMIELGQKPDEITFIGVLSACCHAGLVKEGREFFSLMETKYHLERKMKHYSCMIDLLGRAGHLDEAEQLVNTMPMEPDAVVWGAIFFACRMQGNISLGEKAAMKLVEIDPSDSGIYVLLANMYAEANMRKKADKVRAMMRHLGVEKVPGCSCIELNGVVHEFIVKDKSHMDSHAIYDCLHEITLQIKHTADLLSISAAGRGITGPTFPGCPETYQQQFQQSGQAQLTESQSQSHKFKDEHQKIHRFRQGDVIALPAGVAHWCYNDGEVPVVAIYVTDINNGANQLDPRQRDFLLAGNKRNPQAYRREVEEWSQNIFSGFSTELLSEAFGISNQVARQLQCQNDQRGEIVRVERGLSLLQPYASLQEQEQGQMQSREHYQEGGYQQSQYGSGCPNGLDETFCTMRVRQNIDNPNRADTYNPRAGRVTNLNSQNFPILNLVQMSAVKVNLYQNALLSPFWNINAHSIVYITQGRAQVQVVNNNGKTVFNGELRRGQLLIVPQHYVVVKKAQREGCAYIAFKTNPNSMVSHIAGKSSIFRALPTDVLANAYRISREEAQRLKHNRGDEFGAFTPLQYKSYQDVYNVAESS >ORUFI10G09490.1 pep chromosome:OR_W1943:10:11712884:11713219:1 gene:ORUFI10G09490 transcript:ORUFI10G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTLAAVTALLVAPAGGGGYTTAEDVSSDFIKQVGKFAVTVYKLARGVSLYYVSTSQCWSKPAGGGADDYWMVLTATNGAGAAGSYVATIWGIPGSESKTWKLLSFNATS >ORUFI10G09500.1 pep chromosome:OR_W1943:10:11716356:11717864:-1 gene:ORUFI10G09500 transcript:ORUFI10G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPLDALQPLDPDTFAADSSAVVDFLAGYYRDVDKYPVRAADLEPGRLRRLLPEAAPEFGEPAERILADVRRDVLPGLTHWQSPSFFAYFPMNASAAGFAGEMLSVGLNVVPFMWVASPAAVELEAVVVDWMARLVGLPDRFLFSGSGGGGGGGGGGVLQGSTCEAVVCTLAAARDRALGRIGHEGIVKLVVYASDQTHATFQKGARLVGITPANFRVVPTAAASGYALTGAAVRAAVEGDVARGLVPLYLCATVGTTGIGAVDPVRELGEVARRHGMWLHVDAAYAGSAAICPEYQGYLDGAELADSVSMNPHKWFLTNMDCCCLWVASPTALTAALSTDPEYLKNAGGGKPQAAAGAGAIDYKDWQISLSRRFRAMKLWFVLRRYGAAGMRAHIRRHVAMAEWFERAVSADERFEVVAKRRFSLVCFRLRGGGGGGDAMNRELLAAVNASGRAFMTHFVVEGKFVIRLAVGGAMTEMRHVGDAWELVQRTAEQLLQRR >ORUFI10G09510.1 pep chromosome:OR_W1943:10:11730349:11734691:-1 gene:ORUFI10G09510 transcript:ORUFI10G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEACLLAHLNSGGEVPDSRSYASSLRVTHDELEAVIKSLSAFRIVDTTDITKETWVLTDEAKGYVAGGSPEAQLVAAIPPEGASKDVLREKLGSVFDIGMKTAAKNKWIGFEKGNKDLVLRKVNTIKDDLQEQLKSLESGEVLSDKVIDDLKRRKLITKEKSIWYALKKGPQFVAKRKKLATDVTQEHLRSGDWKDLEFKDYNFGAQGQPIAIGYVQPLLEVVREEIQNIFLQMGFTEMPTNNYVESSFWNFDALFQPQQHPARDSHDTFFLEDYLEKVKEVHQRGGYGSKGYGYDWKRDEAEKNLLRTHTTAVSTRMLYKLAQEKPFAPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLIGVLEDFFSSLGMSKLRFKPAYNPYTEPSMEIFSYHEGLKKWVEVGNSGMFRPEMLLPMGLPEGVNVIAWGLSLERPTMILYGIDNIRDLFGPKVDFNLIKSNPLCRLGLQ >ORUFI10G09510.2 pep chromosome:OR_W1943:10:11730349:11734691:-1 gene:ORUFI10G09510 transcript:ORUFI10G09510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEACLLAHLNSGGEVPDSRSYASSLRVTHDELEAVIKSLSAFRIVDTTDITKETWVLTDEAKGYVAGGSPEAQLVAAIPPEGASKDVLREKLGSVFDIGMKTAAKNKWIGFEKGNKDLVLRKVNTIKDDLQEQLKSLESGEVLSDKVIDDLKRRKLITKEKSIWYALKKGPQFVAKRKKLATDVTQEHLRSGDWKDLEFKDYNFGAQGQPIAIGYVQPLLEVVREEIQNIFLQMGFTEMPTNNYVESSFWNFDALFQPQQHPARDSHDTFFLEGKGPVSLKIKAPHFICSSSAPPAATKQLPEDYLEKVKEVHQRGGYGSKGYGYDWKRDEAEKNLLRTHTTAVSTRMLYKLAQEKPFAPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLIGVLEDFFSSLGMSKLRFKPAYNPYTEPSMEIFSYHEGLKKWVEVGNSGMFRPEMLLPMGLPEGVNVIAWGLSLERPTMILYGIDNIRDLFGPKVDFNLIKSNPLCRLGLQ >ORUFI10G09520.1 pep chromosome:OR_W1943:10:11735835:11744606:1 gene:ORUFI10G09520 transcript:ORUFI10G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERNPAVASSALARILAACASQAKDYGRCIAEKVPEIEQNMCAKEFLALRSCMQTVMQGGLGSPGALSHAYVQHPPLRCDIPDIRGLFYDDANKFLIAPTADRILYWKIVPSTPAGPPNSDPVNDGPVLSVRYSLDLKAIGIQRSNHEVEFINRETGQTCNKKCRADSETILGFFWTDCPTCDVIIIKTSGLDLFAYEPQSNALHLVDSKKINVSWYFYTHESRLILLASGMQCTLFTGYQFSAGGIVKLPKFEMTMTKSEANNKPVLAADDVHTLDRVSMTLNLYRFYRDAVVQQGTLPTYSSRIAVSAVDNIIMVHQIDAKVVILYDVFMDSYAPISAPLPLLVRGLPSNNKQSAQPPDSQSSAYGGTLYGEGWSFLIPDLVCDVENGLLWKLHLDLEAIAASTSDAPSILEFLQRRKSDPSMVKTLSLAIVRTIILERRPITMVAKAMDVVLDSYSRLMKMGGGLPAVRRTSEQNQQPGVQPGVNPDSASGDGNRPVQSNSEVEHGIANLAEHVDRTLLNTSSDSDDIIDASGASDAPDRKPQVLGQDSRPLASGTSTQHGSHVASVAVSPSEMFESVFVLVEDEMMADPAYLISIIMEFLRSVSRAGLKAPPNLFVMMTTLLARSNRYPEIALFVSNKILEPSKELAMQLMELGRQHSPTRKLGVDMLRERGLHHDYVTALLQDGYHLEALRYARKYKVITVQPVLFLEKAVAINSAQNLAAMLSFFSEFTPTFKMTSDYGRYRHILSEMI >ORUFI10G09520.2 pep chromosome:OR_W1943:10:11735835:11744621:1 gene:ORUFI10G09520 transcript:ORUFI10G09520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERNPAVASSALARILAACASQAKDYGRCIAEKVPEIEQNMCAKEFLALRSCMQTVMQGGLGSPGALSHAYVQHPPLRCDIPDIRGLFYDDANKFLIAPTADRILYWKIVPSTPAGPPNSDPVNDGPVLSVRYSLDLKAIGIQRSNHEVEFINRETGQTCNKKCRADSETILGFFWTDCPTCDVIIIKTSGLDLFAYEPQSNALHLVDSKKINVSWYFYTHESRLILLASGMQCTLFTGYQFSAGGIVKLPKFEMTMTKSEANNKPVLAADDVHTLDRVSMTLNLYRFYRDAVVQQGTLPTYSSRIAVSAVDNIIMVHQIDAKVVILYDVFMDSYAPISAPLPLLVRGLPSNNKQSAQPPDSQSSAYGGTLYGEGWSFLIPDLVCDVENGLLWKLHLDLEAIAASTSDAPSILEFLQRRKSDPSMVKTLSLAIVRTIILERRPITMVAKAMDVVLDSYSRLMKMGGGLPAVRRTSEQNQQPGVQPGVNPDSASGDGNRPVQSNSEVEHGIANLAEHVDRTLLNTSSDSDDIIDASGASDAPDRKPQVLGQDSRPLASGTSTQHGSHVASVAVSPSEMFESVFVLVEDEMMADPAYLISIIMEFLRSVSRAGLKAPPNLFVMMTTLLARSNRYPEIALFVSNKILEPSKELAMQLMELGRQHSPTRKLGVDMLRERGLHHDYVTALLQDGYHLEALRYARKYKVITVQPVLFLEKAVAINSAQNLAAMLSFFSEFTPTFKMTSDYGRYRHILSEMI >ORUFI10G09520.3 pep chromosome:OR_W1943:10:11735835:11744606:1 gene:ORUFI10G09520 transcript:ORUFI10G09520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKERNPAVASSALARILAACASQAKDYGRCIAEKVPEIEQNMCAKEFLALRSCMQTVILYWKIVPSTPAGPPNSDPVNDGPVLSVRYSLDLKAIGIQRSNHEVEFINRETGQTCNKKCRADSETILGFFWTDCPTCDVIIIKTSGLDLFAYEPQSNALHLVDSKKINVSWYFYTHESRLILLASGMQCTLFTGYQFSAGGIVKLPKFEMTMTKSEANNKPVLAADDVHTLDRVSMTLNLYRFYRDAVVQQGTLPTYSSRIAVSAVDNIIMVHQIDAKVVILYDVFMDSYAPISAPLPLLVRGLPSNNKQSAQPPDSQSSAYGGTLYGEGWSFLIPDLVCDVENGLLWKLHLDLEAIAASTSDAPSILEFLQRRKSDPSMVKTLSLAIVRTIILERRPITMVAKAMDVVLDSYSRLMKMGGGLPAVRRTSEQNQQPGVQPGVNPDSASGDGNRPVQSNSEVEHGIANLAEHVDRTLLNTSSDSDDIIDASGASDAPDRKPQVLGQDSRPLASGTSTQHGSHVASVAVSPSEMFESVFVLVEDEMMADPAYLISIIMEFLRSVSRAGLKAPPNLFVMMTTLLARSNRYPEIALFVSNKILEPSKELAMQLMELGRQHSPTRKLGVDMLRERGLHHDYVTALLQDGYHLEALRYARKYKVITVQPVLFLEKAVAINSAQNLAAMLSFFSEFTPTFKMTSDYGRYRHILSEMI >ORUFI10G09520.4 pep chromosome:OR_W1943:10:11735835:11744621:1 gene:ORUFI10G09520 transcript:ORUFI10G09520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKERNPAVASSALARILAACASQAKDYGRCIAEKVPEIEQNMCAKEFLALRSCMQTVILYWKIVPSTPAGPPNSDPVNDGPVLSVRYSLDLKAIGIQRSNHEVEFINRETGQTCNKKCRADSETILGFFWTDCPTCDVIIIKTSGLDLFAYEPQSNALHLVDSKKINVSWYFYTHESRLILLASGMQCTLFTGYQFSAGGIVKLPKFEMTMTKSEANNKPVLAADDVHTLDRVSMTLNLYRFYRDAVVQQGTLPTYSSRIAVSAVDNIIMVHQIDAKVVILYDVFMDSYAPISAPLPLLVRGLPSNNKQSAQPPDSQSSAYGGTLYGEGWSFLIPDLVCDVENGLLWKLHLDLEAIAASTSDAPSILEFLQRRKSDPSMVKTLSLAIVRTIILERRPITMVAKAMDVVLDSYSRLMKMGGGLPAVRRTSEQNQQPGVQPGVNPDSASGDGNRPVQSNSEVEHGIANLAEHVDRTLLNTSSDSDDIIDASGASDAPDRKPQVLGQDSRPLASGTSTQHGSHVASVAVSPSEMFESVFVLVEDEMMADPAYLISIIMEFLRSVSRAGLKAPPNLFVMMTTLLARSNRYPEIALFVSNKILEPSKELAMQLMELGRQHSPTRKLGVDMLRERGLHHDYVTALLQDGYHLEALRYARKYKVITVQPVLFLEKAVAINSAQNLAAMLSFFSEFTPTFKMTSDYGRYRHILSEMI >ORUFI10G09530.1 pep chromosome:OR_W1943:10:11745460:11745702:-1 gene:ORUFI10G09530 transcript:ORUFI10G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIALLVVEEFEKMTKKLKQQRQQQGRISEEVPAGDAAAASRAGEWGSWAAAAVRTRVAALKEPAAAQGLAAVDGFFSA >ORUFI10G09540.1 pep chromosome:OR_W1943:10:11751129:11753989:1 gene:ORUFI10G09540 transcript:ORUFI10G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKYKSCHAEKFENNLNKKTFKIMTYNVWIREDIELHRRLGALGDLIQLHNPDFICFQEVTPYIYQLMEKSDWWQEYKCLLSHEMAMRKSHFCMQMSKLPVSESNPIPFSKSIMKRELCVAVVKTGEIHLAVGTSHLESPCPLPPLWDLKYSEKRVAQAKQSLEILGQLRNAIFCGDMNWEDKVDGPFPLPDGWIDAWVELKPGDNGWTYDTKANAMLSANFKQQKRPDRFVCKLSDFKIDDIEMIGKEAIPGVVYYKEKIVRKEFHKLELPVLPSKHFGLVLTITLQDDIL >ORUFI10G09550.1 pep chromosome:OR_W1943:10:11754175:11754621:1 gene:ORUFI10G09550 transcript:ORUFI10G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTCHFTLSTSLYPISFPNPPSLLPPLSSIAHWWRRRRGAEDGNSRGQSRLGAAEGSQGRRRAGANAARTTRSASASTVGHRLCLHYWSLELVASIVTTRARSAAGGGLPSSLHLRLHRRPPELIPSPLSACRARSASTSIVGHSSY >ORUFI10G09560.1 pep chromosome:OR_W1943:10:11754634:11755441:1 gene:ORUFI10G09560 transcript:ORUFI10G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGGNGEKEAGDEDGTWEIGGGDCAVEIGSEDGEHAEDTGGSGEHERKGERDQELCFTVASSNLDLNSITHWRRRAEDGSGKNSYLENSNMFFAVCSHA >ORUFI10G09570.1 pep chromosome:OR_W1943:10:11756023:11756382:1 gene:ORUFI10G09570 transcript:ORUFI10G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVEAVAENSRREPEWWALPPSPPLSSPFVALVIMVLAATTVVTVLECTVGGCPMAECTKWDAKGRRMLVSNFFGASVSELYARRGGGEGGGGAGCIWRVRAPTPLGGSHYGSLSTC >ORUFI10G09580.1 pep chromosome:OR_W1943:10:11778301:11784848:1 gene:ORUFI10G09580 transcript:ORUFI10G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWLPQLEIAAEQPPEGGGDGGGNNNGKWKGKEKVVVPEYGKNRHGMPVGFYFVPKDLELFAILMCKLVRGEVPSALNNVFEHIRILEFHPALLRETYIENMEDRCIYFFSRRLFAAKARNKRRPMRVANGGTWKASGGSKTVRSKKVGGIDVGQKLTMVFYERRFEGDQNPIKTNWAMHEYTKIIPGSKNQLEDLAVYRLYKIRRKEDTEPVNAAAAASSTDKPSTPEALPPPTPPPRPLLNMAGSSSATSLLPPQLPGLAGSSSTMPLALPLQLPGLPGSSAAMSLCRAWLER >ORUFI10G09590.1 pep chromosome:OR_W1943:10:11778551:11778790:-1 gene:ORUFI10G09590 transcript:ORUFI10G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAPADDADSRAAPGCPQELQVLGDEVAADKHAVPVLAVLGHHLLLPLPLPIVVATAVAAAFRWLFCNGGRVSWLEL >ORUFI10G09600.1 pep chromosome:OR_W1943:10:11779466:11779948:-1 gene:ORUFI10G09600 transcript:ORUFI10G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMSLWWMQTKVAAAVDKGKGDDDGCGGRGRRTRVWTVGRTWASAAEDEDSGMEASNGNGYERGWRDGCEGQGRRPQARMAGWPRATTAVVVDEDNDRGGHGCGCGMNVPCRAEDEDGGTNASNGCGHEGRGWRLQARVVGWPWATSVATKDENDRGRW >ORUFI10G09610.1 pep chromosome:OR_W1943:10:11781973:11782224:-1 gene:ORUFI10G09610 transcript:ORUFI10G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLTLADHHEGETDGGLAAAAAAAATGGGREGRVDLAVVVIHGDRGGSVARDAAPVSMAMAVVEAGRRGESRRSPTAKGTI >ORUFI10G09620.1 pep chromosome:OR_W1943:10:11785847:11791378:-1 gene:ORUFI10G09620 transcript:ORUFI10G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPGEAPLTAATNIEPFYVLHKGGAAASSSSSSAPSLPSSGRARRRIDVSGPASPNPKPGKRSRDDDAAEDDDDDELYERLRLDAFHRVWSKIQSTINEVLRGISLKLFDQVLRWVQESFSAVRSIARPSAAEVRQPYPLLTDVICRKIPTAFVLTKNAEFVDDITTFRDLAEYLESNGCHLAKLSATELSEKNGVGCCFRSLLRQLLSDVPDVADIFALASWYSAAENYDQPIVVVIDDLEQCSGDVLGELVMMLSEWVIKIPIFFVMGIATTLDAPRKLLSSEDLQRLEPCKLTLGSPSDRMNALVEAILVKPCAGFCISHEVAVFLRNYFFKHDGTITSFISALKLACSKHFSVEPLSFLCMGMLEEDRENFWHDKFNALPQELRKYASGLPSCTREKDSTKSGDNMVDGLSELMNIQKDWSSVLLCLYEAGKHGKVQLLDIFCEAVNPDLHTQKAPNLPNEKSGTSRRFIDQVMDTIRYLPVETLFCLLEVWSIHLNGMDKITNKVKELQSTTISTDSVRITKDKWPRRSTNSTGNSTVALNDKVAMLLDDVTRKFLVSVECLPFHEIVCFKNALIGNPRRMVQLDLVKSHKHLKCSCCRKNGIAVSASMHDTSIMCNLAQEYGDVINLHDWYISFDGIINSVHSKIKRKPHTSPSKKKSKPVAAESEAMIQAVTELQITGLLRMPSKRRPDLVQRIAFGL >ORUFI10G09620.2 pep chromosome:OR_W1943:10:11785847:11791378:-1 gene:ORUFI10G09620 transcript:ORUFI10G09620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPGEAPLTAATNIEPFYVLHKGGAAASSSSSSAPSLPSSGRARRRIDVSGPASPNPKPGKRSRDDDAAEDDDDDELYERLRLDAFHRVWSKIQSTINVQTQFPHIPSIRLLLTRLIPQQLQNLTSAISHLGIDPIFDCSCGQEVLRGISLKLFDQVLRWVQESFSAVRSIARPSAAEVRQPYPLLTDVICRKIPTAFVLTKNAEFVDDITTFRDLAEYLESNGCHLAKLSATELSEKNGVGCCFRSLLRQLLSDVPDVADIFALASWYSAAENYDQPIVVVIDDLEQCSGDVLGELVMMLSEWVIKIPIFFVMGIATTLDAPRKLLSSEDLQRLEPCKLTLGSPSDRMNALVEAILVKPCAGFCISHEVAVFLRNYFFKHDGTITSFISALKLACSKHFSVEPLSFLCMGMLEEDRENFWHDKFNALPQELRKYASGLPSCTREKDSTKSGDNMVDGLSELMNIQKDWSSVLLCLYEAGKHGKVQLLDIFCEAVNPDLHTQKAPNLPNEKSGTSRRFIDQVMDTIRYLPVETLFCLLEVWSIHLNGMDKITNKVKELQSTTISTDSVRITKDKWPRRSTNSTGNSTVALNDKVAMLLDDVTRKFLVSVECLPFHEIVCFKNALIGNPRRMVQLDLVKSHKHLKCSCCRKNGIAVSASMHDTSIMCNLAQEYGDVINLHDWYISFDGIINSVHSKIKRKPHTSPSKKKSKPVAAESEAMIQAVTELQITGLLRMPSKRRPDLVQRIAFGL >ORUFI10G09630.1 pep chromosome:OR_W1943:10:11796777:11799155:-1 gene:ORUFI10G09630 transcript:ORUFI10G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPSVRTRSVTSVALPTHGDGGGCRRVPLSPFDAYWVALPPVRRVFLFPSPPPFGDVVRALRDSLEAVLPAFYPFAGALVYSPEEESLSIVVEAGGGVAFVEAETDLDLGRLVDEGEEHDEDALRQLVPDIRRDELPAPVMAAQVTEFVGGGGGVAVGVAMHHAAADGRGLWRFLEMWSAAAAAAVATSVREALPPPLHDRTLVRFDGDGELARLFLRQIAPDLPKIVHAPLRQCPLSRRTFTFAAPAVKLLKQRATVGGGKTPSTFAAMAAHGWVSIARASGLADDGGGPVFAVFLADARALMSPPAPGAYAGNCVAVCAASLEGAELAAGADAHAHARAAAAVGGAVDAARRDPLGDRARWHDKFARIPPGRAMIMAGSPWFPAYAVEFGLGRPAARAELASMNHDGEVVLVAGREAGSVQASVAIAADKMPAFREMFVVGCGWGEPDSN >ORUFI10G09640.1 pep chromosome:OR_W1943:10:11845060:11846816:-1 gene:ORUFI10G09640 transcript:ORUFI10G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATATASSCVDATWWAYALPALLGADTLCAHPALLAGAVLLAFATAAVLAWAASPGGPAWAHGRGRLGATPIEGPRGLPVFGNIFALSRGLPHRALDAMSRDAAAPRARELMAFSVGETPAVVSSCPATAREVLAHPSFADRPLKRSARELLFARAIGFAPSGEYWRLLRRIASTHLFSPRRVAAHEPGRQADATAMLSAMAAEQSATGAVVLRPHLQAAALNNIMGSVFGRRYDVSSSSGAADDEAEQLKSMVREGFELLGAFNWSDHLPWLAHLYDPNHVARRCAALVPRVQAFVRGVIRDHRLRRDSSSSTAADNADFVDVLLSLEAHENLAEDDMVAVLWEMIFRGTDTTALVTEWCMAEVVRNPAVQARLRAEVDAAVGGDGCPSDGDVARMPYLQAVVKETLRAHPPGPLLSWARLATADVGLANGMVVPAGTTAMVNMWAITHDGEVWADPEAFAPERFIPSEGGADVDVRGGDLRLAPFGAGRRVCPGKNLGLATVTLWVARLVHAFDWSLPDGSPPVSLDEVLKLSLEMKTPLAAAATPRRRRAA >ORUFI10G09650.1 pep chromosome:OR_W1943:10:11857718:11860407:-1 gene:ORUFI10G09650 transcript:ORUFI10G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQRGGSSQEEEKVAAATSAGRGGCWRRRRSGSANGGAAAAAGAGQVGDGRGMGGGRCGRRGTTRLGEGVTGAGWAADGTAVVAPRGSAKERRVRRRPGETTPRHGGGWSDSGRCDTASSSTTAMSSSPIRPCLCHGGGGHGNDDPGGPCYSHSYAPLAHPPHVPLSSPGRCTDLKKKKEKEEREGEESGRKGHWRAASLVVDLLLCCLALDGAHAAGAAGGRGEQRRSELRWAAVEVDAERAMAVTGGGRPSWAARRWCGSAQRWWAACSPPAPA >ORUFI10G09660.1 pep chromosome:OR_W1943:10:11874880:11875537:-1 gene:ORUFI10G09660 transcript:ORUFI10G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQSGSRPEARPKRTLWQVMLVRPMATSTTGHHRASANRHRHRKLYRSASPLPPLLYYCRPVAAAPPRRPAVPVSATPSLDLSLGMERSEACGDGVATGRSSLSSELADFGSGRRRCRLTLRREEEDRKEEYRWKKVTAVA >ORUFI10G09670.1 pep chromosome:OR_W1943:10:11876954:11882846:1 gene:ORUFI10G09670 transcript:ORUFI10G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSAASPPPPPPAEQRRPDPVRFGIMGCASIARKLARAMLLAPGAAVAAVGSRSEAKARAFAEETGLLLRHAPRLHGSYEALLADPGVDAVYLPLPTSLHVRWATAAAAAGKHVLLEKPTALCAADLDAILAACDAAGVQFMDATMWMHHPRTAKMRELVADEATTGDVRVINSLFSFRANEEFLQNDIRVKPDLDALGALGDAGWYSIRAILWAVDYELPKTVIALRNPVRNQAGVLLACGATLYWADGKIATFNCSFLTNLTMDMTIVGTNGTLHVTDFVIPYEEKYAAFNMASKSKFAELHIGWDPLPSKHVVSTDLPQEALMVQEFSRLVQNIRDAGGKPEGKWPAITRKTQVVMDAR >ORUFI10G09670.2 pep chromosome:OR_W1943:10:11876954:11882880:1 gene:ORUFI10G09670 transcript:ORUFI10G09670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSAASPPPPPPAEQRRPDPVRFGIMGCASIARKLARAMLLAPGAAVAAVGSRSEAKARAFAEETGLLLRHAPRLHGSYEALLADPGVDAVYLPLPTSLHVRWATAAAAAGKHVLLEKPTALCAADLDAILAACDAAGVQFMDATMWMHHPRTAKMRELVADEATTGDVRVINSLFSFRANEEFLQNDIRVKPDLDALGALGDAGWYSIRAILWAVDYELPKTVIALRNPVRNQAGVLLACGATLYWADGKIATFNCSFLTNLTMDMTIVGTNGTLHVTDFVIPYEEKYAAFNMASKSKFAELHIGWDPLPSKHVVSTDLPQEALMVQEFSRLVQNIRDAGGKPEGKWPAITRKTQVVMDAVKTSIDNRFGPVDISS >ORUFI10G09680.1 pep chromosome:OR_W1943:10:11888473:11888789:-1 gene:ORUFI10G09680 transcript:ORUFI10G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESHRTYPRIQGRPIAVSPFAVHRISLLGKVNRISAQLITRGDVVLRLQGTNCVDQKPDRVCVMFPLNQ >ORUFI10G09690.1 pep chromosome:OR_W1943:10:11891841:11892194:-1 gene:ORUFI10G09690 transcript:ORUFI10G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRASGRITDDEINELISKLQSLLPESSRRRGATSRSPATKLLKEMCSYIKSLHREVDDLSERLSELMATMDSNSPQADIIRSLLR >ORUFI10G09700.1 pep chromosome:OR_W1943:10:11913462:11914348:1 gene:ORUFI10G09700 transcript:ORUFI10G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPLGGHYIVIPPNKVVRSVHDRITQELGIARNGRISAKMLIEVIKNQKDDPTAVRFLVMVLMSKLLLPTTDFYIPKSDVWVAADLDRVAAIDWSKAVFQALSDTIRCSVYILTTSSTSESGGLRTSMSDGGDGHGVDTYPKSSANIVSSASIEGGSGNGSGEGGGGSDIRNSSGELTYIPRHGAPTWY >ORUFI10G09710.1 pep chromosome:OR_W1943:10:11914962:11915320:-1 gene:ORUFI10G09710 transcript:ORUFI10G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIGDSAMTPARSIGDSATTLSQAYENRINHGRGFRASGHNLEANRPTMAAAHTDPRSTMVSSQ >ORUFI10G09720.1 pep chromosome:OR_W1943:10:11931176:11937306:1 gene:ORUFI10G09720 transcript:ORUFI10G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDGEKASKLCKEAGGPSLDSNLAPTIVLALTAVPMGWDNRRRKQASTMMNAHGRGARNGNGRNRGREGGRAHSDVVFGANTTVLATRRWVGLEIDSVPGDEGQRDIVNYYLRRATGVGSGERELAVVGTHRSNRRVTYVVHEPFLQSLKELQVAAVVGVERLVERLKVEVEEGCGALAQHAHLRIFTICANVWPNQLITTVESSLRIFAYLAFADTNSVSSITDVTSNEVAICNNDGKDAKLANISPTKDSSSSTAGNDSGDFKWLGPESHSKKGKSYKSFWRRGFTFMVHDFVYILVQHGNKLVAYVEELYEDNHANNMVHIRWFRTLNSAGIQLSPGVNDIEILLSDNLQDIGVECIDGLASVLNEEHFEKFQAIANNTNRQPYLCIRHIDNNSNVKTFDIAQLQGYSEQEIFRTISGTPPVTGHPDASEGNKNTPRSSARGHHHHQTVENPTAGDETNVQATTINVLACNAAPTESASGLINSALEKYLEQYFSPGCLVECLSQDSGIRGCWFIGSVIRRRGDRIKVRYQHLQDPETPRANLENKNSSPQYVGEGEPLYDQCWHRQPSLNGWLYDGWWEGIVLKVNDARRLLAYLPGEKKMVLFHRDQLRHSLEWIGNEWKNFAHQEDIAHRIPSAEDLRIRVITARKVPTREEVMRQLEGLQTNKGGSNSAKPAAEKGSSSSATKKTTPDLIRHATNDLGSSNFKHVGVPASEEIRTDNKGSQVNLENVLKLDSLKWTERKARGSFGPRM >ORUFI10G09720.2 pep chromosome:OR_W1943:10:11936863:11938246:1 gene:ORUFI10G09720 transcript:ORUFI10G09720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSRSRAPEEILRCLPEPSGRRGSYQVPLLPLLLPTHSGVPPAVAVIAGFRCCCSAVAATGCQREKGGDGAVASAVAARTTAVATSAHTCEGRDGAGSSTWKEKGCRGSRAVAPTRRAEGAGARRDGAAVLAPALRERMEGMAAPAWLPLLQARQLGSERRRCRRKVAADGLAQQWNSVAVMPEQQQRNPAVTATACGTPARVGSGSRSSRTWRLL >ORUFI10G09730.1 pep chromosome:OR_W1943:10:11948761:11949413:1 gene:ORUFI10G09730 transcript:ORUFI10G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSRSRASSAARITDEQIGDLVSKLQALLPEARLRSNDRVPSARVLQETCSYIRSLHREVDDLSERLAELLAAADVSTAQAAVIRGLLM >ORUFI10G09740.1 pep chromosome:OR_W1943:10:11953841:11960257:1 gene:ORUFI10G09740 transcript:ORUFI10G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDMELDGGGDGKGKAPPQISLSGLFLACMVAGGVQYGWALQLSLLTPYVQTLGIPHALTSVMWLCGPIAGLIVQPCVGLYSDKCTSSLGRRRPFILTGCIIICISVIVIGFSSDIGYALGDTTEDCKGPRYHAAAAFILGFWLLDFSNNTVQGPARALMADLSGRHGPSAANAIFCSWMALGNILGYSSGSTNDWHKSWNCIACGRRWFPFLMTRACCEACANLKAAFLVAVVFLGLSTAVTMVFAREVALDPVAAAKRNEGEASGLLAVFKGMKNLPVGMPSVLIVTGLTWLSWFPFILFDTDWMGREIYHGRPDGSPAEVTAFQEGVRQGAFGLLLNSIVLGISSFLIEPMCRRLGARAVWVMSSAVVCVAMAAVSVLSAWSLGDFGGSVQDAARAPAEEGGVRASALALFVFLGLPFAVLCSVPFAVTAQLAASRGGGQGLCTGVLNISIVVPQMAIALGAGPWDELFGEGNIPAFAMASVFAAAAAAAGVVLLPKVSVRSVSMAGGH >ORUFI10G09740.2 pep chromosome:OR_W1943:10:11953841:11960257:1 gene:ORUFI10G09740 transcript:ORUFI10G09740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDMELDGGGDGKGKAPPQISLSGLFLACMVAGGVQYGWALQLSLLTPYVQTLGIPHALTSVMWLCGPIAGLIVQPCVGLYSDKCTSSLGRRRPFILTGCIIICISVIVIGFSSDIGYALGDTTEDCKGPRYHAAAAFILGFWLLDFSNNTVQGPARALMADLSGRHGPSAANAIFCSWMALGNILGYSSGSTNDWHKWFPFLMTRACCEACANLKAAFLVAVVFLGLSTAVTMVFAREVALDPVAAAKRNEGEASGLLAVFKGMKNLPVGMPSVLIVTGLTWLSWFPFILFDTDWMGREIYHGRPDGSPAEVTAFQEGVRQGAFGLLLNSIVLGISSFLIEPMCRRLGARAVWVMSSAVVCVAMAAVSVLSAWSLGDFGGSVQDAARAPAEEGGVRASALALFVFLGLPFAVLCSVPFAVTAQLAASRGGGQGLCTGVLNISIVVPQMAIALGAGPWDELFGEGNIPAFAMASVFAAAAAAAGVVLLPKVSVRSVSMAGGH >ORUFI10G09750.1 pep chromosome:OR_W1943:10:11960867:11961544:1 gene:ORUFI10G09750 transcript:ORUFI10G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTADLARVAGCWIRAPEAPAPSAAATPQGGGATSTSTTARLRPSAAYTSAHHRSEGREVSLPAAVKGATPASRRSVTTAGRFTNASTNGTATNRFMAVELDAPKQRVCIAVCLSSLSLPPLSDPGADNPPSTNATESLSERRSAPRYDAANSANSSAHAASLVPAFDVNGI >ORUFI10G09760.1 pep chromosome:OR_W1943:10:11964481:11968012:1 gene:ORUFI10G09760 transcript:ORUFI10G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGSRVGRTRAGQPIAMRPKQTEGSSIQAVASATPGGIERSFGLGGAEAPGGGGDLGGRMELIGEYCSNAPCKWLLDLGKGGAHDTNAHNLFDGMPSQSEIPKEDQRTSKPVPINSTMNKEEKWLDKALDRILEKFEQMEAKRMQEEKINQIFQKLEDIEVLRSKASEEIIEAIRATTAVLKAKSPIAPIAPPTPAPTKCLTECPNNNFTWATTSSSHIGEDTAPTATWELGDNKDKGHAPCIVAKDSPEVTPTMPKQTEGSSIQAAASATPGGIERSFGLGGAEAPGGGGDLGGRVSRKQKYTTAALALGDVNHEIRRPLISYVACIWKANTCLHGNLGNQKARQLRMKISCHEQCAHAGGARYVERHTSLYRALRPWLSDPRADNPPSTNATESLNERRCAPRYNTANSANSSAHAASPTPAFDFNGI >ORUFI10G09770.1 pep chromosome:OR_W1943:10:11979841:11981761:1 gene:ORUFI10G09770 transcript:ORUFI10G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAMASNGAAAGAMAPFFPPNFLLQMQQPLPLHHQHLQDHAHGGHGGHHLLPPPPPSLSPFLPDLAMDAPPPPMYEASGGDGGGGGAASEDEEDGCGGGGGGGGGEKKRRLSVEQVRTLERSFESGNKLEPERKAQLARALGLQPRQVAIWFQNRRARWKTKQLEKDFDALRRQLDAARAENDALLSLNSKLHAEIVALKGGAAAAGGGGSSCRQEAASELINLNVKETEASCSNRSENSSEINLDISRPAPPPPPPPANESPVNRGIPFYASIGRGGAGGVDIDQLLLRGGHSPSPAAVTTPPPPKMELGITGNGGGADAAAAGAGSFGGLLCGAVDEQPPFWPWADGHHHFH >ORUFI10G09780.1 pep chromosome:OR_W1943:10:11987117:11988598:-1 gene:ORUFI10G09780 transcript:ORUFI10G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMVDSSGGGGPSFSGHSLSSPDAESAKPEPPHDSGARASLEQVTVDHTKPPDSGSAKPVTVDHKSATSDSSTGTESDGGGEPSSASSSLPAAAHPFARALAVVDGVASVAVSSDELATNEEGSKIDGEQKPPVPTNFAKFVGRSVTSGLDDASMIVRFLLGKTRVNSGWWPGKIRRLEGPWLFSFAGAARPGSAKSICSELIAGVGGGAATVDVLGFGSGCGGGSAVWGAGERPRSRTCAAPHSSMPRSGPSSASPLRRPPAPAPAAVAALRSSSSALPRRPPPQPPDGLDRQEEKRRERERRKGER >ORUFI10G09790.1 pep chromosome:OR_W1943:10:11998956:12004868:1 gene:ORUFI10G09790 transcript:ORUFI10G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDTAAAAAAAPDTNNADPSKAASKTTYSSYPSTTKSGSSWTVPSYKDRSDLPTPRTEGEILSSSNLKAFTLSELKNATKNFKPDSLLGEGGFGYVYKGWIDEQTLAPARPGSGMVVAVKKLKPEGFQGHKEWLTEVDYLGQLHHENLVKLIGYCSDGDNRLLVYEYMPKGSLENHLFRRGADPLSWGIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYVATGRLSVKADVYSFGVVLLELLTGRRALDKSKPASEQNLVDWTRPYLGDKRRLYRIMDMKLGGQYPKKGAHAIATIALQCIRSEAKMRPQMSEVLEKLQQLQDPKYNVTSPQVDTRRRSSSGSVPRSPMRMQPSPRRLSASASPLPAAGSPLPACRTAQVH >ORUFI10G09800.1 pep chromosome:OR_W1943:10:12008523:12012174:1 gene:ORUFI10G09800 transcript:ORUFI10G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKEEEQHGGGGAVGWMTVPAFGEWDMKNGAVPDYSMDFSKIREMRKQNKRELSRASLGGDDDLLAAQQHKAAAPQPAPNASAAADDHRRPLHAAHDDSPTSLKNCDPARRVGGTASGVNSRSKQFSLSSSGYDQVFWSDEYFKKQILHGDQCPIRISHSV >ORUFI10G09800.2 pep chromosome:OR_W1943:10:12008523:12011804:1 gene:ORUFI10G09800 transcript:ORUFI10G09800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKEEEQHGGGGAVGWMTVPAFGEWDMKNGAVPDYSMDFSKIREMRKQNKRELSRASLGGDDDLLAAQQHKAAAPQPAPNASAAADDHRRPLHAAHDDSPTGRKKFLSYFQCCIRA >ORUFI10G09810.1 pep chromosome:OR_W1943:10:12016021:12016557:1 gene:ORUFI10G09810 transcript:ORUFI10G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFVLTVSAVWGRKSSAAVAKVAALVEAAAVVDEAEEAAGVATVLAMVAALAEVVVAVLAEVATLVEAAAGVEARRLPDLRGARRCSRRWRRSRRWRSRCSRRWRRSWRRRRCSRRWRRLWTSGDAHGGDGGRGGGGGGGARGRAEVAVGVDEAAALREAATLADARRSSRRWRMAR >ORUFI10G09820.1 pep chromosome:OR_W1943:10:12018396:12025280:1 gene:ORUFI10G09820 transcript:ORUFI10G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELTNIQKATSSDYWSLASNQYPCGKFPKVSVGVTIPRTSSVSRGRDAASTAAFEKNLSQGTDGRSRPPKMDNASLQVSPEAANHGGSAKEVPKPVPAKVSVSQPDDNAIEQTGTFSFGTRREQDSHLDQLDRPPLVSSQGKRQVESADKNKPNSEMLRMKLWEILGGTSQNKEAVASPNPEDIETPCQPKSQIANGPSSGRQKVFTSPVPYNIKTPAQFNSQTANKPSSDPIESDSDSPQVVEVRPITRSLGRKKAPTGSTHQDKSGSAKKPLSTHRSTPKQKILDNVFAFNDKCTPKTVGKSANGESGSLRNLRSLSRRAKVEPKKAHCSDRISDKTTQDDMERKVPSKYIPSEKKGEKTNSFSSLSRTGKTAESCSRSPKRERRVNTMANVGARKMQLSENLLVKTLNDGEHKLSSPQLTSFKSKGKCSSISPQQKENDNTHIPEASDRTAARNSFNSTPSPAANPSPVLRKYSWEHDESPAINGKSGQKDASPLADRFSDMPDDFASPTFAANIKISPHRSKMLDDDLFSSKYPKGVNRSRSTSFTSDPESEPLDKMEKTNELPGSESPNSQEERQNRKQPHLSPLSPIESEGAQISIPSFRKGYKSHKWLSDVDSPDKSSIEHLGRKSHLKEGRKGKRQLTSPTHFATSGTQETMSDKEPEKVPENYLTRAFDQLVVVLGRFQTKIKSETRNKSSKILAATGEIIRQHLEGVEGQMQADVDKLVNAGKSKRKRLESTFEEQQEKLRILHEKFKEEVNQQLLGCKNSVEDFEAYHAELKGVADKQKASHKKLLQNAEKTVGAQLSDAETKIAEVQKRARKRMKGLKFVLKELIAETAE >ORUFI10G09820.2 pep chromosome:OR_W1943:10:12018396:12025280:1 gene:ORUFI10G09820 transcript:ORUFI10G09820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELTNIQKATSSDYWSLASNQYPCGKFPKVSVGVTIPRTSSVSRGRDAASTAAFEKNLSQGTDGRSRPPKMDNASLQVSPEAANHGGSAKEVPKPVPAKVSVSQPDDNAIEQTGTFSFGTRREQDSHLDQLDRPPLVSSQGKRQVESADKNKPNSEMLRMKLWEILGGTSQNKEAVASPNPEDIETPCQPKSQIANGPSSGRQKVFTSPVPYNIKTPAQFNSQTANKPSSDPIESDSDSPQVVEVRPITRSLGRKKAPTGSTHQDKSGSAKKPLSTHRSTPKQKILDNVFAFNDKCTPKTVGKSANGESGSLRNLRSLSRRAKVEPKKAHCSDRISDKTTQDDMERKVPSKYIPSEKKGEKTNSFSSLSRTGKTAESCSRSPKRERRVNTMANVGARKMQLSENLLVKTLNDGEHKLSSPQLTSFKSKGKCSSISPQQKENDNTHIPEASDRTAARNSFNSTPSPAANPSPVLRKYSWEHDESPAINGKSGQKDASPLADRFSDMPDDFASPTFAANIKISPHRSKMLDDDLFSSKYPKGVNRSRSTSFTSDPESEPLDKMEKTNELPGSESPNSQEERQNRKQPHLSPLSPIESEGAQISIPSFRKGYKSHKWLSDVDSPDKSSIEHLGRKSHLKEGTQETMSDKEPEKVPENYLTRAFDQLVVVLGRFQTKIKSETRNKSSKILAATGEIIRQHLEGVEGQMQADVDKLVNAGKSKRKRLESTFEEQQEKLRILHEKFKEEVNQQLLGCKNSVEDFEAYHAELKGVADKQKASHKKLLQNAEKTVGAQLSDAETKIAEVQKRARKRMKGLKFVLKELIAETAE >ORUFI10G09830.1 pep chromosome:OR_W1943:10:12024644:12028712:-1 gene:ORUFI10G09830 transcript:ORUFI10G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNINLKNGSKITSQKDPCCFGVPGCNPRCVRYNKKSRTCRLVTRAISVDRPQLDFSNSDWKKQFQEDFDRRFSLPHLKDVIDVEPRPTTFSLKSRTPLENVNGSMQGSWNGYVNDDDRALLKVIKFASPTSAGADCIDPDCSWVEQWVHRAGPRKQIYFEPQYVKAGIVTCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIQHGFRGFFEDHLAEVPLNRQVVQNINLAGGSFLGVSRGGANISDIARRLDMLFVLGGNGTHAGANLIHEECRKRKLKVSIVGVPKTIDNDILLMDKTFGFDTAVEAAQRAINSAYIEAHSAFHGIGLVKLMGRSSGFITMHASLSSGQVDICLIPEVPFTLDGPNGVLQHLEHLIETKGFALICVAEGAGQEHLQQSNATDASGNMILGDIGVHLHQKIKAHFKEIGVHSDVKYIDPTYMVRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGICNTHNVYLPISEVIKSTRFVDPNSRMWHRCLTSTGQPDFH >ORUFI10G09840.1 pep chromosome:OR_W1943:10:12038261:12038944:1 gene:ORUFI10G09840 transcript:ORUFI10G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGTGDDDDVHARVKYKGVARTADGRWRGFITNKFGRRYGVGDHGTPEEAALAHDRAILAILGAHASPAALNFRAAFSDTELRFLRGPHAPSRRAAGVVAAVMRGAAFDAALERFAARAYDAHTDPELALDVAAFRLATRDAGTPLAQPDAERAAFVAAARNKVHDAAWLRSYLRRRRLVGATFEDENRWPPVVPPAVADVGDGFAGNELVYLPYGSCHVDEMMI >ORUFI10G09850.1 pep chromosome:OR_W1943:10:12042112:12043484:-1 gene:ORUFI10G09850 transcript:ORUFI10G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKTPCLNERILSSLSKRSVAAHSWHDLEIGPGAPQVFNVVVEITKGSKVKYELDKKTGMIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQGEKDDKIIAVCVDDPEFRHFNDLKELSPHRLAEIRRFFEDYKKNENKEVAVNDFLPPATAQEAIKYSMDLYAEYILHSLRR >ORUFI10G09860.1 pep chromosome:OR_W1943:10:12044987:12049237:-1 gene:ORUFI10G09860 transcript:ORUFI10G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCCVASRPHGASTASREWSSIGRSDPLWRTNAGFSPPLSRRWEYRINSEGLSYGSQGDSGAAAHYGSSLSSNSKEPSRSWERSDVPPDHHRYSTSEGAISYFNSPDVTFQNHHIMLPMLQDSGIDEYMRVSVAEPIGALLLSEGISGQQNSGGSTSSRSDGSEYDIVPKSYSSTPRNFPSRRSFLSKPIHPLSFPEHALEGQETDSPVANASSSSPMPSEFKAIGEIRPSGLMDYAYASGSHGESTNWSAASSMDLTDLSERHDAERSGPLRSNNIMDRTRCDLCERLLSKRSPWGSRRIIRTGDLPVAGVLPCCHVYHAECLERTTPKGQKHDPPCPACDRLSGKDTEQWSICRLRNGFPRLRSLGEGPSRVWSCAQAGDCVAGAVQIPRASSISLLSRSGHKRHHAASKGESGKDWAETSSSSRTACM >ORUFI10G09870.1 pep chromosome:OR_W1943:10:12059073:12061245:-1 gene:ORUFI10G09870 transcript:ORUFI10G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECRGGGGDGLIKLFGKTIPVQPDAKDVQQHSGSSSSSTESDVQETAAVAVADPSPRSEVVDGESPPQPGGEAASHQQQQKEMKLKKPDKILPCPRCSSMDTKFCYFNNYNVNQPRHFCKHCQRYWTAGGAMRNVPVGAGRRKNKNATAAAAAHFLHRVRACAAAMPTAPHDATNATVLSFGGGGGGHDAPPVTLDLAEQMTRLSKEGLVAHARNADAAAGACSEVSSNRDDEQIGNTVAKPANGLQQQHPPPPHHPHHSAMNGGGIWPYYTSGIAIPIYPAAPAYWGCMIPPPGAWSLPWPATVQSQAISSSSPPTTTSAAPSVSSFTLGKHPREGGGDHEARDHHGNGHGKVWVPKTIRIDNADEVARSSIRSLFAFRGGDKADDNNDDDGTSVHKLATTVFEPKRDGKTAKHPAITSLPLLHTNPVALTRSATFQEGS >ORUFI10G09880.1 pep chromosome:OR_W1943:10:12072302:12076705:-1 gene:ORUFI10G09880 transcript:ORUFI10G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLPAAWAAAVRAGAVAPALQAAVWACLAMSAMLVAEAAWMGLASLAAAAARRLRGYGYRWEPMAAPPDVEAPAPAPAEFPMVLVQIPMYNEKEVYKLSIGAACALTWPPDRIIIQVLDDSTDPFVKELVELECKEWASKKINIKYETRWEFVNYNVCLMTRIQKMSLDYHFKVEQESGSFMHAFFGFNGTAGVWRVSAINQSGGWKDRTTVEDMDLAVRASLKGWEFLYVGDIRVKSELPSTFQAYRHQQHRWTCGAANLFRKMAWEIITNKEVSMWKKHHLLYSFFFVRRAIAPILTFLFYCIVIPLSAMVPEVTIPVWGLVYIPTAITIMNAIRNPGSVHLMPFWILFENVMAMHRMRAALSGLLETARANDWVVTEKVGDQVKDELDVPLLEPLKPTECAERIYIPELLLALYLLICASYDFVLGNHKYYIYIYLQAVAFTVMGFGFVGTRTPCS >ORUFI10G09880.2 pep chromosome:OR_W1943:10:12072302:12076705:-1 gene:ORUFI10G09880 transcript:ORUFI10G09880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLPAAWAAAVRAGAVAPALQAAVWACLAMSAMLVAEAAWMGLASLAAAAARRLRGYGYRWEPMAAPPDVEAPAPAPAEFPMVLVQIPMYNEKEVYKLSIGAACALTWPPDRIIIQVLDDSTDPFVKELVELECKEWASKKINIKYEVRNNRKGYKAGALRKGMEHTYAQLCDFVAIFDADFEPESDFLLKTIPYLLHNPKIALVQTRWEMFLTWPLPGDFAVNYNVCLMTRIQKMSLDYHFKVEQESGSFMHAFFGFNGTAGVWRVSAINQSGGWKDRTTVEDMDLAVRASLKGWEFLYVGDIRVKSELPSTFQAYRHQQHRWTCGAANLFRKMAWEIITNKEVSMWKKHHLLYSFFFVRRAIAPILTFLFYCIVIPLSAMVPEVTIPVWGLVYIPTAITIMNAIRNPGSVHLMPFWILFENVMAMHRMRAALSGLLETARANDWVVTEKVGDQVKDELDVPLLEPLKPTECAERIYIPELLLALYLLICASYDFVLGNHKYYIYIYLQAVAFTVMGFGFVGTRTPCS >ORUFI10G09880.3 pep chromosome:OR_W1943:10:12072302:12076705:-1 gene:ORUFI10G09880 transcript:ORUFI10G09880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLPAAWAAAVRAGAVAPALQAAVWACLAMSAMLVAEAAWMGLASLAAAAARRLRGYGYRWEPMAAPPDVEAPAPAPAEFPMVLVQIPMYNEKEVYKLSIGAACALTWPPDRIIIQVLDDSTDPFVKELVELECKEWASKKINIKYEVRNNRKGYKAGALRKGMEHTYAQLCDFVAIFDADFEPESDFLLKTIPYLLHNPKIALVQTRWEFVNYNVCLMTRIQKMSLDYHFKVEQESGSFMHAFFGFNGTAGVWRVSAINQSGGWKDRTTVEDMDLAVRASLKGWEFLYVGDIRVKSELPSTFQAYRHQQHRWTCGAANLFRKMAWEIITNKEVSMWKKHHLLYSFFFVRRAIAPILTFLFYCIVIPLSAMVPEVTIPVWGLVYIPTAITIMNAIRNPGSVHLMPFWILFENVMAMHRMRAALSGLLETARANDWVVTEKVGDQVKDELDVPLLEPLKPTECAERIYIPELLLALYLLICASYDFVLGNHKYYIYIYLQAVAFTVMGFGFVGTRTPCS >ORUFI10G09890.1 pep chromosome:OR_W1943:10:12081100:12081372:-1 gene:ORUFI10G09890 transcript:ORUFI10G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPTRAEALSLFRSLLRTARQFSDYNIREYARRRAADAFRENRALGDAAAAAAVFADGKKQLEVAKRQAVVYSLYAPKAKSIMEMKLQ >ORUFI10G09900.1 pep chromosome:OR_W1943:10:12081442:12082539:-1 gene:ORUFI10G09900 transcript:ORUFI10G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSSREYIAKEKKKSPVWSRSRCLLRSLRRRQDESRLNRGGPGGDLHLASRAAEGRGSWFRGISSFLSLAAI >ORUFI10G09910.1 pep chromosome:OR_W1943:10:12095281:12101464:1 gene:ORUFI10G09910 transcript:ORUFI10G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELDELLGFLSSPQPNVRGAAAGIVRGLTGDADGLRALSARADRALPALLRLLASAGGELGTGGAAADSLVNLSQDGALSARLVSLGAVVAAMDVVAKRGGEQPGLARSLVMLLANLTQVDSGVAALLQVGDEKMQGLYVAKLVRSFCRSSSESEEEDIFEHVASILVNISKVEAGRRILMEPKRGLLKQIIRQSDSTNQLRKKGVVSTIRNCCFEADTQIQNLLSLAEYIWPALLLPVAGKKIYSKEDRSKMPPELANALSHEREAVENSEIRQQALEAIYMIVLQDEGRRAFWSVNGPRILQVGYEDEEDPKVMEAYELIGSLLVGKGDDEQDQEQGGQKP >ORUFI10G09920.1 pep chromosome:OR_W1943:10:12103789:12105006:1 gene:ORUFI10G09920 transcript:ORUFI10G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRNGDVTVTYEHQLFGRRRNVAGGGGGFATYLDLVREEGDAGKMPPRRPLPAPPTHGAASRRRTYADGELDVFAAERYFKGAMDGADGYNKVDLASPVMAAAAARPAVAVSRPAPWTTRASVASAGSSGSTANSQAVLLREQRRRDKCCAHVGGILRSCSGKRSVHVGGAAVAATEPAGDPGDELPPATASRIEWYRDLRLDKAGDGVSHGGVVAAGLPPNLNSIGAARVAAIGREEATAATSEYSSSSFRSNFTLLAPVKVTIPASGGDDDDDDVGSESSSDLFEIKSLMIDDCRGYEPSEASVQWSVVTASAADVSVAASGRGGGGGGKGRPAAAVAVRQQQHRRQADRPVGLLAGCVSHRAVDVSAVAAVRRPPPPPGAPATATRRRSDLSRFARSGHL >ORUFI10G09930.1 pep chromosome:OR_W1943:10:12106450:12106941:-1 gene:ORUFI10G09930 transcript:ORUFI10G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVGVLIPAISAIYSVWVKGYGRQRLGNDQNGKPLGSKHSDCDQPAAGVHDFGIGDIFGGSRG >ORUFI10G09940.1 pep chromosome:OR_W1943:10:12108464:12110593:-1 gene:ORUFI10G09940 transcript:ORUFI10G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLPRRVLRVAPPGRGGARAEAERGEEGEAVFATVQAAVDAVPVGNRVRTVIRLAPGTYREPVYVAKAKNLVTLSGEAGSPEATVITWDNTATRIKHSQSSRVIGTGTFGCGTVIVEGEDFIAENITFENSAPQGSGQAVALRVTADRCAFYNCRFLGWQDTLYLHYGKQYLRDCYIEGNCDFIFGNSIALLEHCHIHCKSAGYITAHSRKSSSETTGYVFLRCIITGNGEAGYMFLGRPWGPFGRVVFAHTFMDRCIKPAGWHNWDRSENERTACFFEYRCSGPGFRPSNRVAWCRQLLDVEVENFLSHSFIDPDLDRPWLIQMMAIKVPVSA >ORUFI10G09950.1 pep chromosome:OR_W1943:10:12113106:12115775:-1 gene:ORUFI10G09950 transcript:ORUFI10G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASSGWLRRAAGTFPRIPCGLVPALVPTPPPPPAAAVVSEAPALALPSHAAAAAMELMAVPKKKVSKYKKGLRNGPKALKPVPVIVRCKCCGRVKLPHFYCCSGERGNPGSESS >ORUFI10G09960.1 pep chromosome:OR_W1943:10:12116842:12117703:-1 gene:ORUFI10G09960 transcript:ORUFI10G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRRGLEHLERRYAFYAAYHSNPANVLVHAVCVWPILLTAMLPLRYAPPLPLLRFYCPLCRQYLPVQLGFPVALALGAYYALMDRRAGAAAAALCVAGWAAGTLLADAAGLWTLRDAWRPLLTAQAVLWSAQFFSHAFFEVTSRRLASPPPPRRRAAHLCFDLMKRRPALVDGPVQAVVTAPLFVFIEVLHRLFGYEPTPGFYKRVQARVAAMHNGPPAPAPAPEKKEEEKENVSKATQEESTEKDS >ORUFI10G09970.1 pep chromosome:OR_W1943:10:12122823:12134721:1 gene:ORUFI10G09970 transcript:ORUFI10G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTSMEEGEGSSRVMVEEEPKIAFFDVETSMPRGPRERRTLLEFGSIFLCPRQLVEVAEPFVTLVRPSDLGVVTEELERKGITRGALEDAPPFYDVADNIHNALHGRIWAGHNIISFDSEIIREAFAEIGRSPPEPKGMIDTLPLLTQTFGRRAGNMKMANLADYFNLGPQIHRSLYDVRMNLDVLKCCSTVLFLEDNFPELLSGGLRHFPELLSGGFLNPNDISLEFIQVSISFSSCLGKRSLNSGLRTNPFPYEFERSLCIKHNDDPLQLHCIGLRVHYEVSLNQNSEGRPKLSIVVDIPKNLRQVLEFCDEIAKTTFREFGSTSEWRQVIKEYGNRPCVRLNGNIRKKDFSKADVAELEFMFFPGDMVDAFFSVELYNYKNNAGIRLVAKKLVVHCRHPDTAAMEMATSAGEGTSGGGGGGGGAVPEAGGPEIAFFDVETSVPQRAGQGYALLEFGAILVCPRRLVVVGSYATLVRPGDLGVVSAASVRCNGITRDAVAAAPAFRDVADAVYSVLHGRVWAGHNIVRFDLARIREAFAEIGRPPPEPKGMIDTLPLLTQKFGRRAGDMKMASLANYFGLGRQSHRSLDDVRMNLEVLKYCATVLFLEASLPGVLTVENLVERAITRSQANGAASPEVPKPVARSSPDSSKRQRTISRVDNAIQAGGNQQSIDPATNKEPIELISNIEEMTLGSGIQIDASSSGFSGFLEPDDVSTESIQISVPSSYRLTRKTSIKHKGSPIQLCCAGLRIQFGVSTKFLDSAGRPKLNILVDIPENLSKILEFCDGIAQKSSQDSGSTSEWRPLIKKYGYVNRPTVRLHIPTIVSGEAATYGTDIYQKEASGNIQKLVFSKVDVAELDSWFVRGNMVDAFFSLELYDYEENAGIRLVAKKLVVQSK >ORUFI10G09970.2 pep chromosome:OR_W1943:10:12122823:12134721:1 gene:ORUFI10G09970 transcript:ORUFI10G09970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTSMEEGEGSSRVMVEEEPKIAFFDVETSMPRGPRERRTLLEFGSIFLCPRQLVEVAEPFVTLVRPSDLGVVTEELERKGITRGALEDAPPFYDVADNIHNALHGRIWAGHNIISFDSEIIREAFAEIGRSPPEPKGMIDTLPLLTQTFGRRAGNMKMASLANYFGLGRQSHRSLDDVRMNLEVLKYCATVLFLEASLPGVLTVENLVERAITRSQANGAASPEVPKPVARSSPDSSKRQRTISRVDNAIQAGGNQQSIDPATNKEPIELISNIEEMTLGSGIQIDASSSGFSGFLEPDDVSTESIQISVPSSYRLTRKTSIKHKGSPIQLCCAGLRIQFGVSTKFLDSAGRPKLNILVDIPENLSKILEFCDGIAQKSSQDSGSTSEWRPLIKKYGYVNRPTVRLHIPTIVSGEAATYGTDIYQKEASGNIQKLVFSKVDVAELDSWFVRGNMVDAFFSLELYDYEENAGIRLVAKKLVVQSK >ORUFI10G09970.3 pep chromosome:OR_W1943:10:12122823:12134721:1 gene:ORUFI10G09970 transcript:ORUFI10G09970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTSMEEGEGSSRVMVEEEPKIAFFDVETSMPRGPRERRTLLEFGSIFLCPRQLVEVAEPFVTLVRPSDLGVVTEELERKGITRGALEDAPPFYDVADNIHNALHGRIWAGHNIISFDSEIIREAFAEIGRSPPEPKGMIDTLPLLTQTFGRRAGNMKMASLANYFGLGRQSHRSLDDVRMNLEVLKYCATVLFLEASLPGVLTVENLVERAITRSQANGAASPEVPKPVARIPTIVSGEAATYGTDIYQKEASGNIQKLVFSKVDVAELDSWFVRGNMVDAFFSLELYDYEENAGIRLVAKKLVVQSK >ORUFI10G09980.1 pep chromosome:OR_W1943:10:12153968:12154809:-1 gene:ORUFI10G09980 transcript:ORUFI10G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQDGRSRLSELEMMIQLRWELMMTKHDELPKDSSRMLGCILATTIVSNQQAKGKYGKKE >ORUFI10G09990.1 pep chromosome:OR_W1943:10:12168433:12169070:1 gene:ORUFI10G09990 transcript:ORUFI10G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDYSTISPFTRWCPSVLHRPFTHWCPSALQCPFTGVPQPFTAPSPIGVPQPFTAPSPIGVPQH >ORUFI10G10000.1 pep chromosome:OR_W1943:10:12207921:12209876:1 gene:ORUFI10G10000 transcript:ORUFI10G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALRGESRSISVKYMKEKRRIEGIRWIKQSHTGYNDGSNSFVQVQMDPQHNMPQLQETIIVVYPSLRYELEVTKEWGYKQFRYFCNGSLRYKDRRREHAI >ORUFI10G10010.1 pep chromosome:OR_W1943:10:12227823:12228134:1 gene:ORUFI10G10010 transcript:ORUFI10G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAAHRLCGRHGHVHPGDGEALPHMLGMYEALIDVKSDLKSLFTGYARDVVAQLGNTIRHLSMQFSTRIREGEGRRQRREGGFVGAPVSPPSSCRHAITGCC >ORUFI10G10020.1 pep chromosome:OR_W1943:10:12228174:12228365:1 gene:ORUFI10G10020 transcript:ORUFI10G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRLRPPAAPSCSPAGHQPRPAAPPLPPAPAGAPAAPQSRPCRGKERSRKVERGGKDEDDA >ORUFI10G10030.1 pep chromosome:OR_W1943:10:12245385:12245666:-1 gene:ORUFI10G10030 transcript:ORUFI10G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINHSFGKVHIAVLPALDQGTDVGCPWPIRKALQEPRALGLSRSYEPPTHSVIGTQLLLRNEDAVMFLPALILNL >ORUFI10G10040.1 pep chromosome:OR_W1943:10:12246282:12246567:-1 gene:ORUFI10G10040 transcript:ORUFI10G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRITKLMRIKQRLAIDDEILKPLLPSSTPEEEVNVNGASAVIVARRRRLPVTLGQSAKEEVAGAWGHLDPVVSNHLEIGSGTKELEVTER >ORUFI10G10050.1 pep chromosome:OR_W1943:10:12256319:12268182:1 gene:ORUFI10G10050 transcript:ORUFI10G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVVMAVGEEDDDGDGGGGGGGGCGGGERDGGDGDDPRTLSWQSRGRCRGPRQRWWSSMDSRSRWSQSGTRGASWTRRVVRCSC >ORUFI10G10060.1 pep chromosome:OR_W1943:10:12281492:12282664:1 gene:ORUFI10G10060 transcript:ORUFI10G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFGLWSYGEGDRPTVAAAGHEEERERKRGRERPRICGRKRDGLPVFIGFGGRFSKEVVVLVTAAMS >ORUFI10G10070.1 pep chromosome:OR_W1943:10:12302362:12303328:-1 gene:ORUFI10G10070 transcript:ORUFI10G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGERVRKIKRGAGKCFKVTGDSQVVRSEVQPQQQQMDNEGDRDELRKKPFDSWDHRFIDN >ORUFI10G10080.1 pep chromosome:OR_W1943:10:12331106:12332462:-1 gene:ORUFI10G10080 transcript:ORUFI10G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFLFSLILLLITALSLPFPLHASSVDPLSAGATTVRYWNRKIPNNAPHPDFFLSLLSPLPASVSSSLSSPLSISPSICRSARLLCPNSTYFQSLSSTVFIDGCTFSYSCTFTYEHTNITIKPGIFFREQELKEGNVVRMPDIANELTTARSSFLPRSIADRIPFKAEAVKSLFGLEPNTTLAKAVDETVAQCQSSPSKGETKRCVTSAEDMIDFAVAMLGDDIVVRSTVLPNGPGESIMIGKVKGINGGKITSSVSCHEYLFPYMVYYCHSVPKIRVYEAEILSVQTKEKINSGVAICHIDTSAWNAGHPAFVALGGKPGQNEVCHWIFNGSMTWVIADKS >ORUFI10G10090.1 pep chromosome:OR_W1943:10:12376372:12376622:1 gene:ORUFI10G10090 transcript:ORUFI10G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPRRKPSPVIHRTDSGYTFGRSNLLGAFVGDGGILDVVTILVASFSSRLCGGAVGLAAFGHA >ORUFI10G10100.1 pep chromosome:OR_W1943:10:12389871:12392890:-1 gene:ORUFI10G10100 transcript:ORUFI10G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAARASQSAAPPPPGAGVRVRAPLVESVSCYCRLDTGLKTVVDARKFVPGAKMCMQPDVKPNKRKSRGSRKERSRTQAPLLPGLPDDLAIACLIRVPRVEHPNLRIVCKRWNRLLSGNYYYSLRKRNGMAEEWVYVFKRDREGKISWHAFDPLHQLWKSLPPVPAEYSEALGFGCAVLSGCYLYLFGGKDPLRGSMRRVVFYNARTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIQRTLPSAEVYDPNRNRWACVAEMNNGMVPFIGVVYDGKWFLKGLDSHRQVTSEVYLPSSNLWSTIDDEMVTGWRNPSITFNGKLYSSDCRDGCKLRVYDPNTGTWAKFMDSKHHLGSSRAFEAAALVTLNGKLCIVRNNMSITLVDISDPTMSIETDSARMWETVARKGQHRSFVANLWSTIAGRNLKSHIIHCQVLQV >ORUFI10G10110.1 pep chromosome:OR_W1943:10:12398613:12401072:1 gene:ORUFI10G10110 transcript:ORUFI10G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHTLFSAAGSAPAISIALHILSAATFTMGSDLGTMASRICSRGCGGAQMEMKANDRRRVAGAEAAARMHLDHWVHLDL >ORUFI10G10110.2 pep chromosome:OR_W1943:10:12398613:12419226:1 gene:ORUFI10G10110 transcript:ORUFI10G10110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHTLFSAAGSAPAISIALHILSAATFTMGSDLGTMASRICSRGCGGAQMEMKANDRRRVAGAEAAARMHLDHWVHLGGESYLDSLRSLFQMM >ORUFI10G10110.3 pep chromosome:OR_W1943:10:12398613:12401072:1 gene:ORUFI10G10110 transcript:ORUFI10G10110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHTLFSAAGSAPAISIALHILSAATFTMGSDLGTMASRICSRGCGGAQMEMKANDRRRVAGAEAAARMHLDHWVHLW >ORUFI10G10110.4 pep chromosome:OR_W1943:10:12398613:12399272:1 gene:ORUFI10G10110 transcript:ORUFI10G10110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHTLFSAAGSAPAISIALHILSAATFTMGSDLGTMASRICSRGCGGAQMEMKANDRRRVAGAEAAARMHLDHWVHLVLFLPIHSQLFFFLLFFCYHDASCSTQ >ORUFI10G10120.1 pep chromosome:OR_W1943:10:12401347:12401838:-1 gene:ORUFI10G10120 transcript:ORUFI10G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNSAHAIFKKENKDKPFTLEYMWREVKDLPKWCRIVQEDSGNKRTKVSSSEAYTSSSNQDTEEETISKEKHPDGQKKAKARLKGKGKDVAPSPLGNQPSQNMILYHEAMSLKATAMIKSAKEKKYQTYLKLLEKDTSNFSEAQHKRHEGVLDQLAKELAGE >ORUFI10G10130.1 pep chromosome:OR_W1943:10:12438309:12439700:1 gene:ORUFI10G10130 transcript:ORUFI10G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSKHDSLVQKHVDFGILENNIQDPTATPMYLPMEFLKTITCDFSKEQELGRVVARNFLAVGTKHDGRQLAKDKRAKFINVPILLVMLTLHQIMTQIVFQIKRTILEVAHLLGVQKVENLIKTYLATHENIAAMLKGRPLDSIKEYVAQWVIQNYPAAM >ORUFI10G10140.1 pep chromosome:OR_W1943:10:12440165:12446439:1 gene:ORUFI10G10140 transcript:ORUFI10G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRPLSEPEVKTLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIDNLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL >ORUFI10G10140.2 pep chromosome:OR_W1943:10:12440157:12446439:1 gene:ORUFI10G10140 transcript:ORUFI10G10140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVGSRGGGGGGLDAQIEQLMECRPLSEPEVKTLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIDNLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL >ORUFI10G10150.1 pep chromosome:OR_W1943:10:12445994:12448311:-1 gene:ORUFI10G10150 transcript:ORUFI10G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHLRRRLLCTAAAPPIRVALTESSGRGVFATRPISAGEVLHSAQPLVSHPSPPLIHEVCYSCLKRKSGSGGGSSGSCYFCSDACREHAKGFHGVEKKADWSLFDDHCSSRGLKYPYMAKRLACMVISGAVSADCLDILQPARLHQGTLTEMEEEFALLDSTFRKAGFQEEITTFLTKEWYINVLARIRINAFRIELVASSYEDLLSSAVASVSCDAAVGNAVYMLPSFYNHDCDPNTHIVWLASADARLKALRNIEEGEELRICYIDASMDVDARQRILADGFGFECRCQRCLSGD >ORUFI10G10160.1 pep chromosome:OR_W1943:10:12453011:12453436:1 gene:ORUFI10G10160 transcript:ORUFI10G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSKHDSLVQKHVDFGILENNIQDPTATPMYLPMEFLKTITCDFSKEQELGRAHGGELAHRSEKRIAHKGEPNRLASDGKLLA >ORUFI10G10170.1 pep chromosome:OR_W1943:10:12454650:12464891:1 gene:ORUFI10G10170 transcript:ORUFI10G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDMGPKIADFGMSRLFGHEQSRIITGSRKGTLGYMAPEYLANGLISTKSDIFSLGVIIIEVITGHRNYPRICTRESFQHFIEDALQMFTMKSANLLDDLTIARTGLSTSNTAKQVIAATYVDSMLGARSSTSNTESTAAVSCVPARRSMIEIMAFGVAKILVRGSNMMKSDGAASGERKIGILAFEVANTIVSGSNLMKSLTEESMSHLNEVVLQSEGVRTLISEQYYQLLIIHQADIRLELLYKSREYVILESELACSKEEAVSAMQYLLKRAQYTMELYKEMCVLDKFEQGKPTVIVQKLVDIVLLIYLEINKVFLHTGEDHYVEAVGNLLGETLGSTGLALQYSKALAFEKTDPSVLKSVPKEAKDALYQMLPPCIKLVFYRKLKPFLSIDKTSEEEVRAEMNRMLQWLVPIAESTRMEDADDVQDFHCNIIRSRSNNSADSRVSKIETLFYANKERTGSHILCLVKALHQLVCYEREAAGQHEAGY >ORUFI10G10170.2 pep chromosome:OR_W1943:10:12454803:12455245:1 gene:ORUFI10G10170 transcript:ORUFI10G10170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVKKLLEIHMLKDDKFQKEVTFLMDLKHPNIVRFIGYCAESRWEVLQVNGKKYVMVEMPRRLLCFEYLHNKSLDKYISAESYGLGWHMRYKIIRGIS >ORUFI10G10180.1 pep chromosome:OR_W1943:10:12470647:12474962:-1 gene:ORUFI10G10180 transcript:ORUFI10G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLATLAEEPGGGEEAARARRKTGLHAALHRWARARIPLRFLSGGGGGGGVGGGGGGGRPSPDLRVLLSVLACPLSPVPVLPRHPRNVASSAQYILEQFRATTGCAKIEGAAKSMYAAGRVRMAMAPEPGGGIGIGGGGGGGHEGCFVVWQLVPDMWLVEMAVAGHAVAAGCDGRVAWRRTPWLDAHAARGGGARPLRRALQGLDPVTIASVFSAAEHVGEKAVDGEDCFALRLDVAPSVLSAWGDGAAEVIRHGMTGYFSQRSGLLARLDDSQLTRIQTPGAPAMYWETTVSSRLGDYRAADGAVVAHAGTSVAHLARFGADVGAARAVTRMEEAWTIDDVAFNVAGLCPAAPPAATTAAAALSPRRSDVTDRMFF >ORUFI10G10190.1 pep chromosome:OR_W1943:10:12492615:12493115:-1 gene:ORUFI10G10190 transcript:ORUFI10G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRWPEPESRGRGRWWLPEPRERTAAVARAEGGSAPVAGDRGGSGDGGRSQEQRRWPEPDPGEGGGGGGAAPEPAEEGSAAVAGAREEGALGRQWSSASMSVSPDRYRLIPDRYHLIPHKYHLLCGKNCMITDRYHLIPRKYHLKRGRIT >ORUFI10G10210.1 pep chromosome:OR_W1943:10:12499416:12500120:-1 gene:ORUFI10G10210 transcript:ORUFI10G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPRWVAKPVDRWIGLVVVVRMDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >ORUFI10G10220.1 pep chromosome:OR_W1943:10:12502662:12506057:-1 gene:ORUFI10G10220 transcript:ORUFI10G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEAAALPQLQLQLLSLVSEHRLLRERERAAREELHAASQRWKEAEEGHRRETRELRAEVAARDDALRRLESRDSACSLQWTIQMKDKQIAVISEKLNAHLALFSSVGKEVAAVKQVLGNVKCLVGDKENVVSDLKGKVEKISVLEKDFVEKLRFFEEKINDYQLELRNRARLIYELRERLEAEKLNTKFQPKLEEISISTELKDEIIERLTSEKQAMLMELHNMEIALHKFQDIFDSIGHEVIKRSSPVSNSQDVTEDVNREKLESIPGSQCEPANEHTVIPVFDEAATTPNIEGQSEIDPGRKQHSALPSPEPANANAETADCLHGSEDIDMDNSSPGR >ORUFI10G10220.2 pep chromosome:OR_W1943:10:12502662:12506057:-1 gene:ORUFI10G10220 transcript:ORUFI10G10220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEAAALPQLQLQLLSLVSEHRLLRERERAAREELHAASQRWKEAEEGHRRETRELRAEVAARDDALRRLESRDSACSLQWTIQMKDKQIAVISEKLNAHLALFSSVGKEVAAVKQVLVSDLKGKVEKISVLEKDFVEKLRFFEEKINDYQLELRNRARLIYELRERLEAEKLNTKFQPKLEEISISTELKDEIIERLTSEKQAMLMELHNMEIALHKFQDIFDSIGHEVIKRSSPVSNSQDVTEDVNREKLESIPGSQCEPANEHTVIPVFDEAATTPNIEGQSEIDPGRKQHSALPSPEPANANAETADCLHGSEDIDMDNSSPGR >ORUFI10G10220.3 pep chromosome:OR_W1943:10:12502662:12506057:-1 gene:ORUFI10G10220 transcript:ORUFI10G10220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEAAALPQLQLQLLSLVSEHRLLRERERAAREELHAASQRWKEAEEGHRRETRELRAEVAARDDALRRLESRDSACSLQWTIQMKDKQIAVISEKLNAHLALFSSVGKEVAAVKQVLGNVKCLVGDKENVEKLRFFEEKINDYQLELRNRARLIYELRERLEAEKLNTKFQPKLEEISISTELKDEIIERLTSEKQAMLMELHNMEIALHKFQDIFDSIGHEVIKRSSPVSNSQDVTEDVNREKLESIPGSQCEPANEHTVIPVFDEAATTPNIEGQSEIDPGRKQHSALPSPEPANANAETADCLHGSEDIDMDNSSPGR >ORUFI10G10230.1 pep chromosome:OR_W1943:10:12506411:12506836:1 gene:ORUFI10G10230 transcript:ORUFI10G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILPSKRLRNKVAGFTTHLMRRIQRGPVRGISLKLQEEERERRMDFVPEKSALEVEEIRVDKETMEMLAALGMADLPGVERQQEVSAPTYSRPPYGGPRRDRV >ORUFI10G10240.1 pep chromosome:OR_W1943:10:12508301:12511436:-1 gene:ORUFI10G10240 transcript:ORUFI10G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLKTITCDFSKEQELGRGGYGVVYKGAFGSKASRGLAWPGKDSLARNFLAVGTKHDGRQLVFKEVHHEECAKQINGKFDFFRRWRLVYLDLQAKDKRAKFINVPIRWYDEMEFIFQDKHATGEFN >ORUFI10G10240.2 pep chromosome:OR_W1943:10:12509339:12511436:-1 gene:ORUFI10G10240 transcript:ORUFI10G10240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLKTITCDFSKEQELGRGGYGVVYKGAFGSKASRGLAWPGKDSLARNFLAVGTKHDGRQLVFKEVHHEECAKQINGKVFESPKIKNKNNHMYLD >ORUFI10G10240.3 pep chromosome:OR_W1943:10:12508301:12508961:-1 gene:ORUFI10G10240 transcript:ORUFI10G10240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLSRFAVARNFLAVGTKHDGRQLVFKEVHHEECAKQINGKYHTNFTSRQVYHKFHKLKAQWKVIMEAKNLSGANFDDVEKKILYDEIEVVRMTNAKDKRAKFINVPIRWYDEMEFIFQDKHATGEFN >ORUFI10G10250.1 pep chromosome:OR_W1943:10:12518160:12529628:-1 gene:ORUFI10G10250 transcript:ORUFI10G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRIKEMVRVATARLGGEPSPRGGAGNSAFGRGESSRTARLGGGGASLRRQPQPQAPSVRTICCNDREANAPVGYKGNSVSTTKYNVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNAHVDVLQGQKWETTPWKRLQVGDIVRIKQDGYFPADLLFLSSTNPDGVCYIETANLDGETNLKIRKALEKTWDYKNPEKAFEFKGEIQCEQPNNSLYTFTGNLIVDKQTMPLSPNQGCSLRNTEYIVGVVIFTGHETKVMMNSMNVPSKRSTLEKKLDKLILALFATLFTMCVIGAIGSGVFINEKYFYLGLRGKVEDQFNPKNKFVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCTQFINNDLHMYHAESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIAGEIYGTGITEIEKGGAERAGIKIDGDEGKRSGAAVHEKGFNFDDARIMCGAWRNEPNPEACKEFFRCLALCHTVLPEGEETPEKISYQAASPDEAALVAASKNFGFFFYRRTPTTVIVRESHVERMGSIQDVAYEILNVLEFNSTRKRQSVVCRFPNGRLVLYCKGADNVVYERLADGNNDIKKISREHLEQFGSAGLRTLCLAYRDLSREQYESWNEKFIQAKSSLRDRCTAIEDKLQEGVPACIQTLSAAGIKIWVLTGDKMETAINIAYACSLVNNDMKQFIISSETDVIREAEDRGDPVEIARVIKESVKQSLKSYHEEARGSLISTPGQKLALIIDGRCLMYALDPTLRVDLLGLSLICHSVVCCRVSPLQKAQVASLVKKGAHKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRYLTDLLLVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIMVGLFDKDVSASLSKKYPKLYQEGIRNTFFKWRVIAVWAFFAFYQSIVFYYFTAAASRYGHGSSGKILGLWDVSTMAFTCVVVTVNLRLLMSCNSITRWHYISVAGSITAWFMFIFIYSAIMTSFDRQENVYFVIYVLMSTFFFYLTLLLVPIIALFGDFLYLSIQRWFFPYDYQVIQEMHRDEPHEYSRIQLPETSHLSPEEARSYAISMLPRESSKHTGFAFDSPGYESFFASQQGVGVPHKPWDVARRASMKQRQKTGGS >ORUFI10G10250.2 pep chromosome:OR_W1943:10:12518160:12529628:-1 gene:ORUFI10G10250 transcript:ORUFI10G10250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRIKEMVRVATARLGGEPSPRGGAGNSAFGRGESSRTARLGGGGASLRRQPQPQAPSVRTICCNDREANAPVGYKGNSVSTTKYNVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNAHVDVLQGQKWETTPWKRLQVGDIVRTANLDGETNLKIRKALEKTWDYKNPEKAFEFKGEIQCEQPNNSLYTFTGNLIVDKQTMPLSPNQGCSLRNTEYIVGVVIFTGHETKVMMNSMNVPSKRSTLEKKLDKLILALFATLFTMCVIGAIGSGVFINEKYFYLGLRGKVEDQFNPKNKFVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCTQFINNDLHMYHAESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIAGEIYGTGITEIEKGGAERAGIKIDGDEGKRSGAAVHEKGFNFDDARIMCGAWRNEPNPEACKEFFRCLALCHTVLPEGEETPEKISYQAASPDEAALVAASKNFGFFFYRRTPTTVIVRESHVERMGSIQDVAYEILNVLEFNSTRKRQSVVCRFPNGRLVLYCKGADNVVYERLADGNNDIKKISREHLEQFGSAGLRTLCLAYRDLSREQYESWNEKFIQAKSSLRDRCTAIEDKLQEGVPACIQTLSAAGIKIWVLTGDKMETAINIAYACSLVNNDMKQFIISSETDVIREAEDRGDPVEIARVIKESVKQSLKSYHEEARGSLISTPGQKLALIIDGRCLMYALDPTLRVDLLGLSLICHSVVCCRVSPLQKAQVASLVKKGAHKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRYLTDLLLVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIMVGLFDKDVSASLSKKYPKLYQEGIRNTFFKWRVIAVWAFFAFYQSIVFYYFTAAASRYGHGSSGKILGLWDVSTMAFTCVVVTVNLRLLMSCNSITRWHYISVAGSITAWFMFIFIYSAIMTSFDRQENVYFVIYVLMSTFFFYLTLLLVPIIALFGDFLYLSIQRWFFPYDYQVIQEMHRDEPHEYSRIQLPETSHLSPEEARSYAISMLPRESSKHTGFAFDSPGYESFFASQQGVGVPHKPWDVARRASMKQRQKTGGS >ORUFI10G10260.1 pep chromosome:OR_W1943:10:12533427:12538621:-1 gene:ORUFI10G10260 transcript:ORUFI10G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKGPISSCRCSIPIFSNLQIHRRRPPAASRPSTPKFQREPHALFRDATTGRHGTRKRGESESEIEFEFYAQNPSKLPRPAPRLQYLPEASNPPPPTTRKSVLGSRGGGNAGGAQGGGGGGGGGGAGAGEKRRRAAEGRMLSVVRVHLPSEIPIVGCEITPYVLLRLPTGVVSTDDVPEAAPADGYFMRYRIQSDRKVAICSVHPMEQATIQCLGCVKSKIPVAKSYHCSAKCFSDAWQHHRVLHERASSALNENGAEEEELFGRFGSTGSGVLSTTGSGSMSNLGQSPGLNNGPVPLYPSGTDKNSGETWYEVGRTRTYTPTADDIGHVLRFECVSVDAEKKVPVGPPTSIMTSRVIPAPTPTPRRLIQVNGDVLSHLDLDSQTSFGTFSVLSYNILADAYATSDAYSYCPTWALSWTYRRQNLMREIIGYHADIICLQEVQLNHFEDFFSPELDKHGYQALYKKRTTEVYTGAPHAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPSTQRRVALSRLIKDNVALIAVLEAKFGNHGTDNPGKRQLLCVANTHVNVHQDLKDVKLWEVQTLLKGLEKIAVSADIPMLVCGDFNSVPGSSPHGLLAMGKVDQLHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGVGYDLEHQRRRMDPATNEPLFTNCTRDFTGTVDYIFYTADSLSVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRRSRIDG >ORUFI10G10260.2 pep chromosome:OR_W1943:10:12533429:12538045:-1 gene:ORUFI10G10260 transcript:ORUFI10G10260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVRVHLPSEIPIVGCEITPYVLLRLPTGVVSTDDVPEAAPADGYFMRYRIQSDRKVAICSVHPMEQATIQCLGCVKSKIPVAKSYHCSAKCFSDAWQHHRVLHERASSALNENGAEEEELFGRFGSTGSGVLSTTGSGSMSNLGQSPGLNNGPVPLYPSGTDKNSGETWYEVGRTRTYTPTADDIGHVLRFECVSVDAEKKVPVGPPTSIMTSRVIPAPTPTPRRLIQVNGDVLSHLDLDSQTSFGTFSVLSYNILADAYATSDAYSYCPTWALSWTYRRQNLMREIIGYHADIICLQEVQLNHFEDFFSPELDKHGYQALYKKRTTEVYTGAPHAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPSTQRRVALSRLIKDNVALIAVLEAKFGNHGTDNPGKRQLLCVANTHVNVHQDLKDVKLWEVQTLLKGLEKIAVSADIPMLVCGDFNSVPGSSPHGLLAMGKVDQLHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGVGYDLEHQRRRMDPATNEPLFTNCTRDFTGTVDYIFYTADSLSVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRRSRIDG >ORUFI10G10260.3 pep chromosome:OR_W1943:10:12533826:12538045:-1 gene:ORUFI10G10260 transcript:ORUFI10G10260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVRVHLPSEIPIVGCEITPYVLLRLPTGVVSTDDVPEAAPADGYFMRYRIQSDRKVAICSVHPMEQATIQCLGCVKSKIPVAKSYHCSAKCFSDAWQHHRVLHERASSALNENGAEEEELFGRFGSTGSGVLSTTGSGSMSNLGQSPGLNNGPVPLYPSGTDKNSGETWYEVGRTRTYTPTADDIGHVLRFECVSVDAEKKVPVGPPTSIMTSRVIPAPTPTPRRLIQVNGDVLSHLDLDSQTSFGTFSVLSYNILADAYATSDAYSYCPTWALSWTYRRQNLMREIIGYHADIICLQEVQLNHFEDFFSPELDKHGYQALYKKRTTEVYTGAPHAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPSTQRRVALSRLIKDNVALIAVLEAKFGNHGTDNPGKRQLLCVANTHVNVHQDLKDVKLWEVQTLLKGLEKIAVSADIPMLVCGDFNSVPGSSPHGLLAMGKVDQLHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGVGYDLEHQRRRMDPATNEPLFTNCTRDFTGTVDYIFYTADSLSVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRR >ORUFI10G10270.1 pep chromosome:OR_W1943:10:12551439:12553440:1 gene:ORUFI10G10270 transcript:ORUFI10G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHKPFRPSLVSGAPEADEMLQWPLNPAKLVVPLVLQAPAIDNIYTSRKPLHVPLNISFWVHALYACYAKPNLNHLNKADEDKVLDIQTILVKYNIFHIDQ >ORUFI10G10280.1 pep chromosome:OR_W1943:10:12554579:12555449:-1 gene:ORUFI10G10280 transcript:ORUFI10G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVNHTHLRPVALVRGVTFRVVNKCPFPVWPAAAPNAGHPVLAGGGFLLPPGQSRRVSAPPTWNGRFWGRTGCNFTSTATNHHGNAAASCLTGDCGGRLACNGTAGAPPATLVEVDLHEDQSKGSSYDVSLVDGYNLPVAVWTKPPTPGAAAADRKCVIPGCAKNVNAVCPPELQVTAAAAVVACKSACVAFGTDAFCCRGAHGTAETCRGSAYSRVFRDACPAYVSYPYDTAAARCYAEVYVLTFCPSRWGAGADRVAQA >ORUFI10G10290.1 pep chromosome:OR_W1943:10:12558375:12564647:1 gene:ORUFI10G10290 transcript:ORUFI10G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSTATAARAKFFSIRLRSRAPRSSSSRVLLLCWSRGLPHTTSSPVAVGAEVVPFRLRHYRTAPLPPPPPPWRRVLLQLPLEPCSTLLLACIAAAAGALSVAGAEAPPPLPLPSKLRSSHPATPLQSTAALNGAELWQAAALWRSAVVTSRRGNRATRSEQQEIRPSLHEGHQTTRGKQQQLAEQAVSPSPVPVFVLLRKGVSPKYVVMIPESKATQEPKKFCHEAVVFLESLLLHKKKSVAVDSRITLNLEKISRLLGHFVAATSTVDHMNLCGHTVGPVGPIYISLVIILASSSHYTATL >ORUFI10G10290.2 pep chromosome:OR_W1943:10:12558375:12564647:1 gene:ORUFI10G10290 transcript:ORUFI10G10290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSTATAARAKFFSIRLRSRAPRSSSSRVLLLCWSRGLPHTTSSPVAVGAEVVPFRLRHYRTAPLPPPPPPWRRVLLQLPLEPCSTLLLACIAAAAGALSVAGAEAPPPLPLPSKLRSSHPATPLQSTAALNGAELWQAAALWRSAVVTSRRGNRATRSEQQEIRPSLHEGHQTTRGKQQQLAEQAVFVLLRKGVSPKYVVMIPESKATQEPKKFCHEAVVFLESLLLHKKKSVAVDSRITLNLEKISRLLGHFVAATSTVDHMNLCGHTVGPVGPIYISLVIILASSSHYTATL >ORUFI10G10300.1 pep chromosome:OR_W1943:10:12575737:12576508:1 gene:ORUFI10G10300 transcript:ORUFI10G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAAGSAEEGRAEDELRVNGDEATKAVALLLLPSLEMMVRARRVPRAAHAVGRARRAAGGTEDIGPAPEAAVVLIPHLRLMLPRRLADVAEQQEPHWTNLVVVEELGVSSPLISMAIWAPYDSLCFCRWPPSIFCCALYFLSRSHDTGGDADEAEEDYEEELRASIRLYRRPPSPPSLAIDAKQEREGERREAGGMGLGRDWCEERDDMWA >ORUFI10G10310.1 pep chromosome:OR_W1943:10:12578509:12582262:1 gene:ORUFI10G10310 transcript:ORUFI10G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAEAVAAAVAAGVEPFPAVDKCDASGREAHAVAADLEGTLLRSRSAFPYYALVAFECGGVPRLALLLLLAPLAAALRAAVSEAAAVRVLVFAATAGARVREIESAARAVLPRFYAADVHPGAWRVFAACARRRVVLTATPRVMAEPFLVDCLGADAVAGTELATWRGRATGLVDDRRGGVLVGRRKAQALLDMFAGGDVPDVGLGDRRSDYPFMSLCKEGYIVPRSPAVEAVPMDKLPRPVIFHDGRLARRPTPLAALLAVLWFPVGFALACVRIAAGALLPMPWVYYAFWALGVRVVVRGAPPPRAERAAGRRGVLFACSHRTLLDPIFLSAALGRPVAAVTYSLSRLSEFLSPIRTVRLTRDRAADAAMIGELLDEGDLAICPEGTTCREPFLLRFSALFAELTDEVVPVAMESRMGMFHGTTARGWKGMDPFYFFMNPSPAYVVTFLGKLPPEHTCGAGGRSSHEVANYIQRLIAATLSYECTSLTRKDKYRALAGNDGVVDNATGKLPSPATATANNSKDKAC >ORUFI10G10320.1 pep chromosome:OR_W1943:10:12582567:12586625:-1 gene:ORUFI10G10320 transcript:ORUFI10G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSRRRHGSLRLLAVALLVLAGVASSAAAAGSGRGAFDPSRVVQLSWRPRAFLHKGFLTDAECEHLISLAKDKLEKSMVADNESGKSVMSEVRTSSGMFLEKKQDEVVARIEERIAAWTFLPPDNGESIQILHYQNGEKYEPHYDYFHDKNNQALGGHRIATVLMYLSDVGKGGETIFPEAEGKLLQPKDDTWSDCAKNGYAVKPVKGDALLFFSLHPDATTDSDSLHGSCPVIEGQKWSATKWIHVRSFDISVKQGASTDGCEDENVLCPQWAAVGECAKNPNYMVGTNEAPGFCRKSCNVCAHCRIEWNTYALAYV >ORUFI10G10330.1 pep chromosome:OR_W1943:10:12588737:12589495:-1 gene:ORUFI10G10330 transcript:ORUFI10G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAARTLRSPPAERFLGMFTSPTPSLPTSPTAAGDELLEGDLLFAPAPSSDPPPPPPPDPSGKPARVQGGHVGLLAALHEGDRKLSGRGGAAAVATAGAAGALLRRKATIAAAEAAASSSAQTQSPPSAARAIPSAPRVRFHLPEQPPAVPYHQSAPVKVPVRPPPPRRSGWDHLAGVPGDGYDDDDDEELLRGDAAMLPPHEMVARASAGGGFGGPVKPSSMLEGVGRTLKGRDLRRVRDAVLRQTGFLD >ORUFI10G10340.1 pep chromosome:OR_W1943:10:12591158:12593133:1 gene:ORUFI10G10340 transcript:ORUFI10G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGDGEEKKAGSGRNKHGFPRGFRFVPRDQELLDILDDKLRGAPLDRALDAVFHDTRILDFHPAKLYGMYAEDEENGYIYFFSTIEFKAAKPKQKKWPRRAAQGGRWKAVLGSSQMVEVGGVPVGRKLSMEFYVKGVRTNWGMHEFVRIIGPNIEVADLAVYRLHKLWTNGEEKPGDLAADVAKSTNQSGQASAADYYQTYQNAVSQAYAYAPPYVLQPGWSQGYPYDVAAAPPTAPWPVCWAPPSAPGSYDCCYASTFSRPPPPPPIAASTLDKAPITSTDHGASTNTSAATPVANNKPPPPPVAATATTLGKKGEGKGKAPTTTSTDHAGSTNTSAPPAANYQPPPTTTTPPLQGTQHVFAPGVVVGHEDEEGYLIVDEVNTWRNTQQLVLEDDDDDDDGRAAGAGAGEGGASASGR >ORUFI10G10350.1 pep chromosome:OR_W1943:10:12598270:12599784:1 gene:ORUFI10G10350 transcript:ORUFI10G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEGQNKFAGVRFLPKDLELLAILDAKLRGSPLGPVEAIFHDTQILDFHPYKLYEMYAEDEEEEGYIYFFSTMQFRCRKIVERAAQGGRWKVNNCETLEVGGVAVGRKFTMNFYEHIGGDNDLIWTNWGMQEFARIIGPNKELADLALYRLYKKKITRGTGEEKPEDIAAASDGDTESSMNKRRRVEASAAAMALPPPPPSPGLPGTMMFMAADQANVASTSQEWHGQFANGAAAAPSPSGCWPWAPPPTPSAVEPFSFWASASAATPPAAANYHPSPQPQPLPPQGGEYYSRHGAFSVAPVPASACSTPSPEAATSCLLATTSPLPAAGTEGSDSQQQEPPCELMEF >ORUFI10G10360.1 pep chromosome:OR_W1943:10:12600830:12602951:1 gene:ORUFI10G10360 transcript:ORUFI10G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEDGEDKNFGKNKHGLPIGFYFAPTDQELLAILEAKRLGRPLSRAHDAFFHDIRILDFHPAELYEKYAKDEEKGYFYFFSKREFPTSSKKRPLRVAEGGAWNSSGAVYKVVKSSKSGGGYDVGHKKTLVFHQRFPGDKEAVKTNWAIQEFTRIIGPQNEVPDLAVYRLYKMRKEGRETPADLAADEAAAAAAMNNRGQQASAAAMALPPPATGLPGGRMMSMADKANMASTSKAYGPSKSSSSQLQQDAAAAAAPPNAAGASNWAPRPCNCRECAPAAGHYGYFAAAAAMNNRGGQASAAAKALPLPAPGLPGVRRMSMADKANMASTSKAYGPSKSSSSQLQQGAAAVAAPPNAAGPSNWAPRPCNCRECAPAAGQYGYFASMVPRPSLDRKGKGKAPMDCAEQAGGGGGCHAESTSTPAPPKGAEYYGCSVAVEDDDEELLKFLQAMVRGEEVEGDGDHAMADERGPQQGSSPVAAAAASGSAPAGHDGRRGSLQGGHHGSSSPTSLAAAAATGDDVTSAASAGDDVSGSQQEDHPAR >ORUFI10G10370.1 pep chromosome:OR_W1943:10:12603999:12604529:1 gene:ORUFI10G10370 transcript:ORUFI10G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGDDDQRFDLPDDPPPAHPPARPIQGGRVDRRALAAAGLALAAPSTSPRASTSTAGSAAASASTNGRRPSPPAATPSSAPRQAAFAAAAPPEATAAAAASPGSPSTCRRTALQLLDLQVPEQWRRDDGEVDVVDLVVSHPAARRVEDLRVRVVPCRYRSYSDDDEEKEAR >ORUFI10G10380.1 pep chromosome:OR_W1943:10:12615204:12616307:-1 gene:ORUFI10G10380 transcript:ORUFI10G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNTGNSGGCIPLLHRVVFTGSREQESGGGKEHAPDDTMTMLWSGTYRHGGCGSEADGNNL >ORUFI10G10390.1 pep chromosome:OR_W1943:10:12623330:12623740:1 gene:ORUFI10G10390 transcript:ORUFI10G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESIAENRSNGFVFAVVVVVVVLAVLTLICGSTTSPLPCAGSAAVVAAASCVLAARRMLAVLSPWFYVGGGPVIRRGHEWSPPFYMYARACDDDLSGVALTLGAAALLLALALLFLRRRRSVGLKKVDKVEGKSE >ORUFI10G10400.1 pep chromosome:OR_W1943:10:12624431:12624987:-1 gene:ORUFI10G10400 transcript:ORUFI10G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALPCDSAHSGVRAQAGRLPRLPRHQEFLCFHPHRRVYHAQVIAASVYKVVGL >ORUFI10G10410.1 pep chromosome:OR_W1943:10:12629065:12633051:-1 gene:ORUFI10G10410 transcript:ORUFI10G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRLQALALNLTAPRRLPFLPLRVTTATAPLAGRLSTAAASGSSPESPASEPDLDSGLYLVATPIGNLEDITLRALRILKCADVILSEDTRHSGKLLQHYNIKTPLLSFHKFNEREREPNILKRLHEGEAVALISDAGTPGISDPGMELARLCATEGIPVIPIPGPSAAIAALSASGLPTDEFTFVGFLPKHARSRKERLEISACQAATQIFYVPPHGIHQFLSDAASSFGDSRSCVIAREITKLHEEFWRGTIGEANEAFATRQPKGEITVLIEGKLISADETPSEDFLEHELRELMTQGHPLSAAVKMVSEATSAKKKDVYALALRFTFIEIIIMELMGRIRREWTTKQASVNELSLRSFLGAGN >ORUFI10G10420.1 pep chromosome:OR_W1943:10:12642470:12647653:1 gene:ORUFI10G10420 transcript:ORUFI10G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYCDKKEVTVAFSKTKRQEEDEVIWRMVEHGATDDEECGGTNCSAGENRDPGWPGTSVFRMLIPATKVGAVIGHSGERLRRLCEETKACVRVIGGHFAAAERAVIIFAKEQPDEPKPPAIDALLRVYECTINDDGLDVRYNNIVVARILTPSEQAASLIGDQGSVINYIKKASKTNIHVIGNFLTLMHLLEPLVPSIDKFDISGLQLSIYTDADGDLPPVALEDDMIIEIWGLPARVHQALELVACHLRKYLVHRSVIPLFDPHVSIPISPVDMPPFHYSDHHEGLLHEASPGYYSLYAEAFQLEHPWTDTSYSRYPMENFTHADIFEYRQEAPVFFGRYRSVTPPHYGHEAEAYLSSPMELCLHNNLNTYGWQATPPIGRSDTVERIRSLISVYGKQAHPHPLRQTYQSTKMEKHPHSGISLYRRDDHPTRVSPSPATELPPSPAVSAYKWQVSPSLKMYPSTNVENLQHCRVSACAPEELPNVVVPSLTSQSPAVTSQVIMKMQVPIFYAEAVIGPTGARIDYIRQASGSSVVIKDLDDSAMSIEITGSAATDVQIAEQLIKNFMAEAAAASPDHSYDFIPSHLPAPRSPEPDIPTTSLTRRASCFTEPRLQATY >ORUFI10G10430.1 pep chromosome:OR_W1943:10:12647759:12651489:1 gene:ORUFI10G10430 transcript:ORUFI10G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNHARSQDFWRRFWSMLSYACSELCLIILLHVAAGASYLATRLARIHKLKMPCILCTRMDHALHGKPWFSSDLVCAAHRSEISSLAYCSSHNNLAQCDDLCKRCTVATNDVVDTRRSKSRQLCSCCSEPFTKARNAHRISETASVSGEINREQIPADHSKDKAFVVGIEEVNESDSSPRTYEQSTKNNGASGNAGTAKLAPSGSTVPMRVFVDRNSSVKNGFISRANLSSPRPSQIISAKDSNSTTQQEVKAFLSQMSTVRGIDSSWSDGAPSPGINAQTDESNANGRRPSLERNYSVIEPSDANLADEVEGESSPENLKRLLELNKKSMSALYKELEEERSASAIAASQAMAMINKLHEEKAAMQMEALQYLRMMEEQADHDHEAIQNLHDLLTEREKELLDMDAELENFRRLVQNEQFNGGKHDIAGIMNETDMPFEVLNDLGYTKNTMSGFEDEMAYILESISRLEDKLCVSTNRLASDDAKINQEGLIGGADFGSSPTHGESTSDQQDDGNKSVQNHKDNCSCSHPEDGKTSDANLKDEVSLLHTRLQALEADQEFLKHVLNSLRCSPDGLQCVQEIASHLLELRRIATQR >ORUFI10G10440.1 pep chromosome:OR_W1943:10:12653061:12655286:1 gene:ORUFI10G10440 transcript:ORUFI10G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARGGTPEEEGGGADVEKAAAETGGRGTWRHAAFHVATTIATPAAYAPLPFALASLGWPLGVCSLVTGTLVTWCSSLVVASLWQWNGDKHTSYKLLAKSIFGPWGYWYVSFFQQVASIGNNIAIQIAAGSSLKAVYKHYHTTDDGAMTLQQFIILFGAFELLLSQLPDIHSLRWVNAACTASTIGFAGTAIGVTIYDGHRIDRKEVDYSLQGSAASKIFRAFNALGTIAFSFGDAMLPEIQSSVREPVRMNMYKGVSTAYSIIVMSYWTLAFSGYWAFGSGVQPYILSSLTFPRWTIVMANLFAVIQITGCFQIYCRPTFAQFEQRIQAKDAGYRARMWRLVYTSAYMVVITLISAAMPFFGDFVSVCGAVGFTPLDFVLPALAFLKAGKLPENPGLRHAVKVITSAVAVLFSIVGALACIGAVRAIALDVKTYKFFHDM >ORUFI10G10450.1 pep chromosome:OR_W1943:10:12655672:12658704:-1 gene:ORUFI10G10450 transcript:ORUFI10G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVEIEELCRVHYEEFILAVDELRGVLVDADELKGTLSGENLRLQEVASSLLLKLDELLELYSVNKNVEEALGMLKICLQVTSLCQMCNKDIAEARLHSALKSLELIEKDFLQNIPLKLLKKAVQKQIPIVKLYIEKKVCNEFNEWLVYIRRTAKEIGKVSISQASLARQKNEGIRSQQREAEDCSRIGFDEHAYALDLDLIGEEEVLEFDLTPVYRANYIHTCLGLGEKFREYYYNNRLMQLNLDLQIPTTQPFMESHQHFLAQIAGFFIVEDRVLRTADGLLSDSQVETMWGTAISKVTSILEEQFSRMDATNHLLLVKDYVTLLGATMKKYGYQTTSLLEILEKNRDKFYQLLLSDCRKKIDGIFTKDSYEQMIIKKENEYHMNVSAFQLEPIGVVPDFPYVAPFSSSVPDACLIVRSFIEDSVNYLSYDPMMDAYDVVKRYLDKLLIEVLNDGLLNLIHGGCLEITQMVQIAGNIAILEKSCDMFLFHAAQLCGVPRRLLDKPHSGLTARAVLKASQNAAYNGLIALANSKIDEFMLLLTSINWTPEETPEHVNDYMNEVVIYLHTLVSTAQNVFPREALYKVVCGAFSHISDSIMTVFLSDRVKRFNANAAAGIDIDLKKLEEFADDKFHSTGLSELRKETTFRDCLVEIRQLTDLLLSNQPENFMNPVIREKNFGSLDHKKVSIICDKFRDAPESLFGSLSGRSTVQSARKKSLDVLKRRLKDFS >ORUFI10G10460.1 pep chromosome:OR_W1943:10:12660966:12664420:-1 gene:ORUFI10G10460 transcript:ORUFI10G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATLPSSSSSAAAAVQTISRALSFPRRGGGGFLHLRRHPLAAAAAATRGRGPLLRLGPRRPFSASASAGDNGAAAGGDGGGCDYDYDLFTIGAGSGGMRASRVAASLYGARAAVCEMPFATVASDSLGGVGGTCVLRGCVPKKLLVYASKYSHEFEESHGFGWRYGTEPKHDWSTLMTNKNLELQRLVGVQTNMLKNSGVTIIEGRGKVVDPHTVSVDGKLYTAKNILIAVGGRPSMPDIPGIEHVIDSDAALDLPSRPEKIAIVGGGYIALEFAGIFNGLKSGVHVFIRQKKVLRGFDEEVRDFVADQMSLRGITFHTEETPQAVMKSDDGLLTLTTNKGSINGFSHVMFATGRKPNTKNLGLEEVGVKMDKHGAIVVDEFSRTSVDSIWAVGDVTNRVNLTPVALMEGGALARTIFGNEPTKPDYSAVPSAVFSQPPIGQVGLTEEKAIEKYGDVDVYTSNFRPLRATLSGLPDRVYMKVIVCANTNKVLGVHVCGEDAPEIIQGIAIAVKAGLMKQNFDATIGVHPTTAEELVTMRSPIRKVRRDAVDEAKMKDEATSQK >ORUFI10G10460.2 pep chromosome:OR_W1943:10:12660968:12664420:-1 gene:ORUFI10G10460 transcript:ORUFI10G10460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATLPSSSSSAAAAVQTISRALSFPRRGGGGFLHLRRHPLAAAAAATRGRGPLLRLGPRRPFSASASAGDNGAAAGGDGGGCDYDYDLFTIGAGSGGMRASRVAASLYGARAAVCEMPFATVASDSLGGVGGTCVLRGCVPKKLLVYASKYSHEFEESHGFGWRYGTEPKHDWSTLMTNKNLELQRLVGVQTNMLKNSGVTIIEGRGKVVDPHTVSVDGKLYTAKNILIAVGGRPSMPDIPGIEHVIDSDAALDLPSRPEKIAIVGGGYIALEFAGIFNGLKSGVHVFIRQKKVLRGFDEEVRDFVADQMSLRGITFHTEETPQAVMKSDDGLLTLTTNKGSINGFSHVMFATGRKPNTKNLGLEEVGVKMDKHGAIVVDEFSRTSVDSIWAVGDVTNRVNLTPVALMEGGALARTIFGNEPTKPDYSAVPSAVFSQPPIGQVGLTEEKAIEKYGDVDVYTSNFRPLRATLSGLPDRVYMKVIVCANTNKVLGVHVCGEDAPEIIQGIAIAVKAGLMKQNFDATIGVHPTTAEELVTMRSPIRKVRRDAVDEAKMKDEATSQK >ORUFI10G10460.3 pep chromosome:OR_W1943:10:12660966:12664420:-1 gene:ORUFI10G10460 transcript:ORUFI10G10460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATLPSSSSSAAAAVQTISRALSFPRRGGGGFLHLRRHPLAAAAAATRGRGPLLRLGPRRPFSASASAGDNGAAAGGDGGGCDYDYDLFTIGAGSGGMRASRVAASLYGARAAVCEMPFATVASDSLGGVGGTCVLRGCVPKKLLVYASKYSHEFEESHGFGWRYGTEPKHDWSTLMTNKNLELQRLVGVQTNMLKNSGVTIIEGRGKVVDPHTVSVDGKLYTAKNILIAVGGRPSMPDIPGIEHVIDSDAALDLPSRPEKIAIVGGGYIALEFAGIFNGLKSGVHVFIRQKKVLRGFDEEVRDFVADQMSLRGITFHTEETPQAVMKSDDGLLTLTTNKGSINGFSHNLGLEEVGVKMDKHGAIVVDEFSRTSVDSIWAVGDVTNRVNLTPVALMEGGALARTIFGNEPTKPDYSAVPSAVFSQPPIGQVGLTEEKAIEKYGDVDVYTSNFRPLRATLSGLPDRVYMKVIVCANTNKVLGVHVCGEDAPEIIQGIAIAVKAGLMKQNFDATIGVHPTTAEELVTMRSPIRKVRRDAVDEAKMKDEATSQK >ORUFI10G10460.4 pep chromosome:OR_W1943:10:12660968:12664420:-1 gene:ORUFI10G10460 transcript:ORUFI10G10460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATLPSSSSSAAAAVQTISRALSFPRRGGGGFLHLRRHPLAAAAAATRGRGPLLRLGPRRPFSASASAGDNGAAAGGDGGGCDYDYDLFTIGAGSGGMRASRVAASLYGARAAVCEMPFATVASDSLGGVGGTCVLRGCVPKKLLVYASKYSHEFEESHGFGWRYGTEPKHDWSTLMTNKNLELQRLVGVQTNMLKNSGVTIIEGRGKVVDPHTVSVDGKLYTAKNILIAVGGRPSMPDIPGIEHVIDSDAALDLPSRPEKIAIVGGGYIALEFAGIFNGLKSGVHVFIRQKKVLRGFDEEVRDFVADQMSLRGITFHTEETPQAVMKSDDGLLTLTTNKGSINGFSHNLGLEEVGVKMDKHGAIVVDEFSRTSVDSIWAVGDVTNRVNLTPVALMEGGALARTIFGNEPTKPDYSAVPSAVFSQPPIGQVGLTEEKAIEKYGDVDVYTSNFRPLRATLSGLPDRVYMKVIVCANTNKVLGVHVCGEDAPEIIQGIAIAVKAGLMKQNFDATIGVHPTTAEELVTMRSPIRKVRRDAVDEAKMKDEATSQK >ORUFI10G10470.1 pep chromosome:OR_W1943:10:12668081:12673847:-1 gene:ORUFI10G10470 transcript:ORUFI10G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILDCAFDAFFGSNFLAMFATCISNIVSWEESIAALGPAKGNALPLVGGIKVGYCSERQTRGPLVAKMWWILDYTASLPGLTTMNCPSMSSQPKPQLGKPS >ORUFI10G10480.1 pep chromosome:OR_W1943:10:12674568:12675053:-1 gene:ORUFI10G10480 transcript:ORUFI10G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLNGRPDGFLRSSAWLTRCKVDASVASVGARAWQGSGVRSPPPSHFHLPILNCSPLPSLPCRRRLHQIPYGHHHSFGVFSDGGLAADLELPRPTPVEKLQPLLHPLPSPSPASSPLPAPPLFSVATIAFSDRQATRSGDHGGVEQLGAAGSAGEASQR >ORUFI10G10490.1 pep chromosome:OR_W1943:10:12690339:12706550:1 gene:ORUFI10G10490 transcript:ORUFI10G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLATGLSLTPRFYSRSSIVVAASLLLSAAPSSSSPRARAAAPASGYSPWRGSISRISSHPTAMATTQASEAATEKGLPLGMDVSMVDEYASQSKLLQEFVKIPTIGNAWIFNSKTGMCCYCYLVLLNLGFLLFFSCCAENTSRAIVSVGQTDLLANKKRSFLLNSHISKNSSNSVDFQWSPFPIEMSGVSAVIPSPSGRKLLLIRNSEDDSPTKLEVWGPCQLENEIHIAQSVHGSLYVDEWFEGISWNQEETLVAYVAEEPPQPKPEFNDSGYKKAGSSEKDCKSWKGKGDWEETWGETYSKKRIPALFVVNISSGEVRAVKGIPRTLSVGQVIWAPSSSHSLVFVAWSSDNGYQKTPRKLGIKYCFNRPCALYAVPDPFMEEADKPSLNVSKGETAPTTKLTSELSSAFFPRFSPDGKYLVFISAKSAIDSGTHNATNSMHKIDWPADGKLEGLSVADVVPIVMCPQDGCFPGLYCSGILRNPWLTDGQTMILSSIWGSKEVILSVNVVSREVSRVSPQDSDYSWNVLALDKDNILAVSSSLITVPQIYYGSEVCQTGKPNQWEWQEIATPFPSPSDKISAILADHKFSILKIPISNSSNKLADGAKLPFEAIFVSWKDSATRPTIVVLHGGPHTVYPSSYSKSLAFLYSQGYNLLVVNYRGSLGFGEEALQSLPGNIGSQDVNDVLTALDFVIKKGLIDASKVAVVGGSHGGFLTTHLIGQAPGTFVAAAARNPVCNLSLMVGTTDIPEWCFVEIYGKEGKNCFSEYPSFDDLCQFHQKSPISHISKVSTPTLFLLGAQDLRVPVSNGLQYARTLKEMGVETKIIVFPEDMHGLDKPQSDFESFLNIGVWFKKHMSK >ORUFI10G10500.1 pep chromosome:OR_W1943:10:12706484:12712509:-1 gene:ORUFI10G10500 transcript:ORUFI10G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLAAPSPSHSGATSGGGASHRKRKLPPSSLSDATADEDDDTTAPSSPSTSPSSPSRPSSPSSSHSDDDDDDSLHTFTAARLDGAPPSSSGRPPKPESSTVSAAAAAAAAAAAPKPDSASAAAGDGKEDPKGLFTDNIQTSGAYSAREEGLKREEEAGRLKFLCYSNDGVDEHMIWLVGLKNIFARQLPNMPKEYIVRLVMDRTHKSMMVIRNNIVVGGITYRPYTSQKFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFVKQGFTKEITLDKERWQGYIKDYDGGILMECRIDQKLPYVDLATMIRRQRQAIDEKIRELSNCHIVYSGIDFQKGKLGGRQISGGIPNQDQPFLLITNMNEHPDAWPFKEPVDSRDVPDYYDIIKDPIDLKTMSKRVESEQYYVTLEMFVADMKRMFSNAKTYNSPDTIYYKCASRLESFFSNKVASQLAQASTKN >ORUFI10G10510.1 pep chromosome:OR_W1943:10:12716098:12717021:1 gene:ORUFI10G10510 transcript:ORUFI10G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAKLIAVVLLPALLAFQAPMATAVNSNLFRDYIGAIFNGVKFTDVPINPKVRFDFILAFIIDYTTETNPPTPTNGKFNIFWQNTVLTPSAVASIKQSNPNVRVAVSMGGATVNDRPVFFNITSVDSWVNNAVESLTGIIQDNNLDGIDIDYEQFQVDPDTFTECVGRLITVLKAKGVIKFASIAPFGNAEVQRHYMALWAKYGAVIDYINFQFYAYGASTTEAQYVDFFNQQIVNYPGGNILASFTTAATTTSVPVETALSACRTLQKEGKLYGIFIWAADHSRSQGFKYETESQALLANATISY >ORUFI10G10520.1 pep chromosome:OR_W1943:10:12717902:12722755:-1 gene:ORUFI10G10520 transcript:ORUFI10G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRELVRTVKLATKNHAGVLFRRAVRHLPHIVAVTALVAAAPRLSTLLAAAAAGGSTMRWARALWSDLAGELGPSAPALAVACWAAALAAYTYAASRPRPVYLIDLAGYKAPREHEASRAKTIAHFGRCGRFSGESMAFQKRMLERSGLGEATHFPTSLISLPVDMCLRTAREESHAVIFGVVDEVLRKSGVAAADVGVLIFNSSLLSPTPSFTSLIVNRYGMRPGVVSHNLSGMGCSAGIIAIDLAKRLLQVHENTYALVVSTENITLNAYMGNNRPMLVTNTLFRVGGAAILLSNRAADRRGRAKYQLIHTVRTHRGAHDQSFGCVTQEEDDAGEVGVSLSKELMVVAGEALKTNITTLGPLVLPISEQLRFLATVVLKRVFRADVKAYLPDFKLALDHFCIHAGGRGVLDELEKSLKLSPWDMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRIKRGDRVWQIAFGSGFKCNSAVWRALRTVDAAGLDAGDNPWMKEVDMLPVDVPKVAPIDETSYQIPN >ORUFI10G10530.1 pep chromosome:OR_W1943:10:12747117:12747977:1 gene:ORUFI10G10530 transcript:ORUFI10G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGYLFREYIGAQFTGVRFSDVPVNPGLSFHFILAFAIDYFMATQSSKPAPANGVFAPYWDTANLSPAAVAAAKAAHPNLSVILALGGDTVQNTGVNATFAPTSSVDAWVRNAADSVSGLIDAYGLDGVDVDYEHFAAGVDTFVECIGRLLTELKARHPNIATSIAPFEHPVVQRYYQPLWRRYAGVIDYVNFQFYGYGANTDVATYVMFYDEQAANYPGSKLLASFKTGNVTGLLSPEQGIAGAKELQRQGKLPGLFIWSADSSMVSSYKFEYETKAQEIVANH >ORUFI10G10540.1 pep chromosome:OR_W1943:10:12749929:12750459:-1 gene:ORUFI10G10540 transcript:ORUFI10G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVGEVGEAEEGKGKDGCGGMVRGDDEGGWAPLASSERCIGGATDAEEGSPAPAMAAAAAQPPQRRLPAHRLYRSHPHFRHRQPLQELHNSCNTRSRWPRRGARCGGRDPRVARRASASICSTSTAVRRFRRLCIRGSTPTTVCILAHLIAAWAHAGELEDHFHVSVGVQRPRR >ORUFI10G10550.1 pep chromosome:OR_W1943:10:12757002:12757498:1 gene:ORUFI10G10550 transcript:ORUFI10G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLANGDSSADTTTRRNAEDFLAILLKVVSSPEVAGIDASGVASGGGAHWNLIAAWRGLGNSGNGKDSPVVVDNVGFTATARLSGGMLREGAWVRGIGDGTRRPELEKMTAISLVCA >ORUFI10G10560.1 pep chromosome:OR_W1943:10:12757556:12757825:1 gene:ORUFI10G10560 transcript:ORUFI10G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLEMDHVGRNSDDFMAAAPGASSGALVGKVAFPLLQWIKRDGVKRRFARHDVGSLERERFGDGDDSSATASVIFFAIDDENVGDGEA >ORUFI10G10570.1 pep chromosome:OR_W1943:10:12759000:12759866:1 gene:ORUFI10G10570 transcript:ORUFI10G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYLFREYIGAQFTGVRFSDVPINPNLSFNFILSFAIDYTSPAGGATPAPTNGVFSPYWDTANLSPADVAAVKAAHPNVSVMVGLGGDSVQDTAKVFFSPTSVDSWVANAVASVSGIIDAYGLDGVDVDYEHFNDDGGAGVDTFVECIGRLLTELKARHPNITTSIAPFEDAVVQRYYQPLWRRYAGVIDLVNFQFYGYGANTDVATYVMFYDEQAANYPGGKVLASFKTGDVAGLLSPEQGIAGAKELQRQGKLPGLFIWSADSSKVSSYGFEYEIKAQEIIANH >ORUFI10G10580.1 pep chromosome:OR_W1943:10:12773722:12774048:1 gene:ORUFI10G10580 transcript:ORUFI10G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYVEMLDMGVRVAARFHSHCPHTARMYYKPPQTTADHGGRGDGGAAAGKQVAAGFRFEAVTSSSAGAMAAAAAWEDRGFGATAPSGFDFEFDTAQAVVVYDHVAVV >ORUFI10G10590.1 pep chromosome:OR_W1943:10:12778001:12778419:1 gene:ORUFI10G10590 transcript:ORUFI10G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRYVEMLDMGVRIAARFHSHCPHTARMYYKPPQTTADHGGLQQASRFEAVSSSSAGAMAAAAAWEDRGFRATAPSGFDFEFDTAQAVVVYDDVAAV >ORUFI10G10600.1 pep chromosome:OR_W1943:10:12796130:12799509:1 gene:ORUFI10G10600 transcript:ORUFI10G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEKNGTAYGEYTYAELEREQYWPSEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTNGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKAQTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIILSFEDRELPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRFTYFWIKEQIEKEKTQGVDIAGYGSSKVVSTQAPVQLGSLRAADGKE >ORUFI10G10610.1 pep chromosome:OR_W1943:10:12814676:12815693:-1 gene:ORUFI10G10610 transcript:ORUFI10G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAPDPSPAPARSMLKRLFDRQLLRVSPAERIVAVGGGEKDEVEPSSVCLDGMVRSFLEDGSGVGAAVERAGGHGARRCNCFHGGGSSDDDDDEDDAAASSDVAETIKGLVHCATLRERNLLADVCGHVERHRAGGARRRELLGLVAASLRAAGHDAAVCVSRWDKSPTHPAGEHAYVDVLLPPASDRGARERVLVDVDFRSAFEVARPTKAYRALLQRLPAVFVGKDDRLRLLVAASADAARASLRKRGLHLPPWRKPEYMRAKWLSPYDREPAPPDEASASAAAAEVAGEEAPAAA >ORUFI10G10620.1 pep chromosome:OR_W1943:10:12835355:12836846:1 gene:ORUFI10G10620 transcript:ORUFI10G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSWKFSMTSLLGD >ORUFI10G10630.1 pep chromosome:OR_W1943:10:12839541:12842648:-1 gene:ORUFI10G10630 transcript:ORUFI10G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECADYFIGSGRRCSPSTSTSTSREAWRPEKQWRKATNVIRGCHRLLRLGVLSAAAGIMRRNPSYVEIKVHDEGELDVSSGGDGEAPVAFTVAADDESFKGLVKNKREDCFRLLGGGAGVAAVLASGAERGIRGDDADVARRKKAFGSNTYPKPKPKGFFRHVWDALADVFLIVLLVCAAVSLAFGIKEHGIKDGWYDGVSIFLAVFLVAAVSAVSNHSQGKRFDKLARESENIMVSVVRAARRQEVSIFDVVVGDVVVLKIGDVVPADGVFLDGHALQVDESSMTGEPHPVEVDAVKSPFLASGVKVVDGYGKMVVTAVGTDTAWGEMMRTITRENTDPTPLQERLEGLTSSIGKVGIAVAVLVFAVLTARHFTGSTRDEQGNALFDKRNVTFNAVFSGLVGIFQQAVTIIVVAIPEGLPLAVTLTLAFSMKRMVRENALVRRLSACETMGSVTAICTDKTGTLTLNQMKVTEFWVGADRPRSAAAVNGGVVRLLCQGAGLNTTGSVYKPDNVSPPEITGSPTEKALLSWAVEELPMDADALKRKCKVVRVEAFNSDKKRSGVMLRDAATGAVTAHWKGAAEMVLARCTVYVGADGAARELGVEQRRKLEQVINDMAAASLRCIAFAYKQVVDGGDSDNAKIDDEGLTLLGFVGLKDPCRPEVKSAIEACTKAGIAVKMVTGDNVLTARAIAKECGIISGNDDDAAGVVIEGHEFRAMSEQEQLAIVDNIRVMARSLPLDKLVLVQRLKQKGHVVAVTGDGTNDAPALKEADVGLSMGVQGTEVAKESSDIVILNDNFDTVVTATRWGRCVYNNIQKFIQFQLTVNVAALVINFVSAVTTGRMPLTTVQLLWVNLIMDTMGALALATDTPTAGLMRRPPIGRAAPLISNAMWRNLAAQAAYQVAVLLALQYRGFGGAGAGERANGTMIFNAFVLCQVFNEFNAREIERRNVFAGVHRNRMFLGIVAVTVALQVVMVELLTKFAGTERLGWGQWGACVGIAAVSWPIGWAVKCIPVPERPFHEIITARRRRRRST >ORUFI10G10640.1 pep chromosome:OR_W1943:10:12850690:12851387:-1 gene:ORUFI10G10640 transcript:ORUFI10G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADVHQIWPLVGGGGGRRRRLAVRRRGRWLRTLAVGGWSAGEAAAARSVSSKFVEVGSGGSRRRGRWPRTPAVDGRSAGEAAAAGSTSPKFVEVGSGGRRRRLTVRRRGRWPQTPAVAGSASSKLVEAGSGDRLLGDGGRRRRPRAPGGRRRAKETATRSWEGGGRPRQPVVVGGSDSRWRVDRATADGGWVEARRREKREERRKREDREEEERREEEIRLVGGWWAS >ORUFI10G10660.1 pep chromosome:OR_W1943:10:12868263:12870508:-1 gene:ORUFI10G10660 transcript:ORUFI10G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPATAAGGSSGGSSLPPTCLPPAACSWFGSNPVKPLNQPRPNRSAGYTWVGLGYSKTRLNRIKYRKVDEAWDGAIAGVHAVAVREGNVVAVVAFGDGEVDAAKPGGDSAEIGRDERHEPQQEQYQPLASLLPWLGLVDRQWWLRRRRRNHRAAPRTA >ORUFI10G10670.1 pep chromosome:OR_W1943:10:12875555:12876192:-1 gene:ORUFI10G10670 transcript:ORUFI10G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATSGRVLAIMLLMAIIAALMIINSPVAECRVAPDQVGVDPNGHCYFDPSSCRSPGAP >ORUFI10G10680.1 pep chromosome:OR_W1943:10:12883285:12888515:1 gene:ORUFI10G10680 transcript:ORUFI10G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLSQKKKSSLCSSSERVHGLTVPLLLSWRLGDQSMEHVQIPRVKLGTQGLEALKQLPREKVQVATKFGIAGFDANGMLVKGTPDYVRACCEASLERLAVDYIDLYYQHRIDQSVPIEETMGELKKLVEEGKVKFVGLSEASADTIRRAHAVYPITAVQMEWSLWTRDIEEEIIPLCRELGIGIVPYSPIGRGFFAGRAAVQSIPSESWLTRHPRYNGENLEKNKVFYTRIEELATKYGCSPAQLALSWVLHQGDDVVPIPGTTKVKNLDDNIGAVKVKLSKEDLKEISAAVPAGEVAGSRLIGVLEPYSWRVANTPPPKSL >ORUFI10G10690.1 pep chromosome:OR_W1943:10:12891782:12892864:1 gene:ORUFI10G10690 transcript:ORUFI10G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEVVAPRLVHRRQPAEVLPAAGGEVGGAAGGGGGGGEVATLAGGGGGGQISRQLIKLELVDLAGVVIDAEEVPSEPAPSDVAGVHGHGAFRGKPEADTVTTCSHHDIAGDRAIVVVAAAAGDDAAAAAECEVIEVGRRRGGWLLELRKKQLVVSCLVLLQEQELIRVVVVMLLLLFAAARSLALSSARASQKALCVSRLSFLQA >ORUFI10G10700.1 pep chromosome:OR_W1943:10:12891791:12894841:-1 gene:ORUFI10G10700 transcript:ORUFI10G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSDLSSDQEATGSNSHGGGGGDRMVVGSHGAAHVVLSNLFLPPAAAAAATMLLPAAPVMVRPAAMAAAQEPRAKKKRSLPGNPDPEAEVIALSPRALVATNRFVCEVCNKGFQRDQNLQLHRRGHNLPWKLRHRAAAVSAVTTAAPAPRKRVYVCPEPTCVHHDPARALGDLTGIKKHFSRKHGEKRWRCERCGKRYAVHSDWKAHVKNCGTREYRCDCGILFSRKDSLLTHRAFCDALAEESARLLAAANNSSSITTTTCNNSNISSNNNNNNINSISNSNNLLITSSSSSPPLFLPFSTTPAENPNPNQLLFLQQHQAAHHQLLLPQFQQPPSSPPAYFDHLAFGGGGGVITGSSCNDDNSSIAGDVMVAAGGDSVSFGLTSEGSVTMHAGDVGRRRLTRDFLGVDHDAGEVDELELDELPADLSTTAAACQGCNFAAATTAACCATDFTTGSRQYLGRLPPVNETWSHNF >ORUFI10G10710.1 pep chromosome:OR_W1943:10:12898908:12901657:-1 gene:ORUFI10G10710 transcript:ORUFI10G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAGIVKEEMLESQQQQRQEDGGAAPRPMEGLHEVGPPPFLTKTYDLVEDPATDGVVSWSRAGNSFVVWDPHVFADLLLPRLFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKTIKRRKPPSNAPPSQQQSLTSCLEVGEFGFEEEIDRLKRDKNILITEVVKLRQEQQATKDHVKAMEDRLRAAEQKQVQMMGFLARAMRNPEFFQQLAQQKEKRKELEDAISKKRRRPIDNVPFYDPGETSQTEQLDSPYLFDSGVLNELSEPGIPELENLAVNIQDLGKGKVDEERQNQANGQAELGDDFWAELLVEDFTGKEEQSELDGKIDGIDELAQQLGYLSSTSPK >ORUFI10G10720.1 pep chromosome:OR_W1943:10:12904061:12906094:-1 gene:ORUFI10G10720 transcript:ORUFI10G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEFQDGKTEVIEAWYMDDSEEDQRLPHHREPKEFIPVDKLTELGVISWRLNPDNWENCENLKRIREARGYSYVDICDVCPEKLPNYETKIKSFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRIALKKGGMIVLPAGMYHRFTLDTDNYIKAMRLFVGDPVWTPYNRPHDHLPARKEFLAKLLKSEGENQAVEGF >ORUFI10G10720.2 pep chromosome:OR_W1943:10:12904061:12906094:-1 gene:ORUFI10G10720 transcript:ORUFI10G10720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENEFQDICDVCPEKLPNYETKIKSFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRIALKKGGMIVLPAGMYHRFTLDTDNYIKAMRLFVGDPVWTPYNRPHDHLPARKEFLAKLLKSEGENQAVEGF >ORUFI10G10730.1 pep chromosome:OR_W1943:10:12909285:12911352:-1 gene:ORUFI10G10730 transcript:ORUFI10G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVWMLGENGEEKSFENPNELLPLSRLEEIGVLYWHLDPKKSESEEELTKIRRERGYSYFDLTEICPDKLENYEEKLKSFYCEHIHADEEIRYCLEGSGYFDVRDKDDKWIRIWIKEGDMIILPAGIYHRFIVDSNNYIKLMRLFIGEPVWTAYNRPQEDHPVRQEYVKNVKGDTGFALAAH >ORUFI10G10740.1 pep chromosome:OR_W1943:10:12915510:12916849:-1 gene:ORUFI10G10740 transcript:ORUFI10G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFAAQILAFCLLLLLLLLLQLQTTMAGDSSFSGVFDHGSHGVTLVKVDEAPRKCSSAAAAKKTDDDTAPAGGAPPKPLLVAAPCDAGVYPVVVFLHGYLAYNSFYSQLFEHVASHGFVVVGPQLYTMSGPDTTDEINSAAAVINWLAAGGLTSKLPPNVRADATKISISGHSRGGKVAFALALGHANVSLRGGAGGATIAALVAVDPVDGFAAGKQTPPPILTYGGANSLRVPAPVMVIGTGLGGLARAAPLLPACAPPGVSHGEFYGECAAPACHLVARDYGHTDMMDDVTPGARGLATRAVCRSGGARAPMRRFVGGAMVAFVKRWVEGEPELLDCVRARPETAPVVLSAVEFRDEAIANHSY >ORUFI10G10750.1 pep chromosome:OR_W1943:10:12919689:12921126:-1 gene:ORUFI10G10750 transcript:ORUFI10G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLLVAAAALIAVVTATVAAGAGEGPACDTAHCGRGQCVEQPGPLGLDTFRCDCDAGWSNMFAFLPASPCTIPKCTFDNACFNITFNFPRGFPLTDPCVAINCGSGGECVKEEGLSYHCACSPGFVNMFNLTMFPCIKNCAFGKDCSAQGLSPPGSPPPPPPPSPSSSSPATPGNDSHDSSGHPSCPKGNASTVATSTTSLGYTITRFFT >ORUFI10G10760.1 pep chromosome:OR_W1943:10:12924701:12924961:1 gene:ORUFI10G10760 transcript:ORUFI10G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWCNYRRSRKRSTMTTRTPLPHAPAVLGDEAGKRVRDPTTRKKMSGGRCDRGGEDRVASSLRSLNHCRSSPQSPPPPSPPKEE >ORUFI10G10770.1 pep chromosome:OR_W1943:10:12925076:12927590:-1 gene:ORUFI10G10770 transcript:ORUFI10G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLIPASPLRCGQLRAGRAVREGGRVQLPLRLLAGIRQHAQPHRAPLHQELCIRQGLRRSGTLPGEHTGTGADTGCC >ORUFI10G10780.1 pep chromosome:OR_W1943:10:12928561:12930788:-1 gene:ORUFI10G10780 transcript:ORUFI10G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKTTQESSAAAAPPLRSLADYAVGPIPTLLYVPGFISDAEQSQLLHHIYQAPAPKWKSLKNRRLQNWGGVVHEKGLLPQALPSWLTKITDRICQWTGLFPSAINHVLINEYHPNQGIMPHQDGPAYFPVVAIISLASPVVIDFTPHQRLKGEDFTDPQNAHSGESQATTTESNGSHNLEGANETDPASSSLLLMPCSLLIFKDQAYTDYLHGIQDNELQNLDKVANMLQCPEFKHLNSGDGQGNTDESCSLEQSGVYRRTARRVSLTCRLVLKVHKKLFKM >ORUFI10G10790.1 pep chromosome:OR_W1943:10:12938708:12940048:1 gene:ORUFI10G10790 transcript:ORUFI10G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFLAGKKDGGGRRSGERQQHGGGDATPAVEVAAASTREKKRWSFRRSSASASAAAMGKPAAVTAPSTPEPSVSGLASVSERARDVADLEGQSKHAMAVAAVATAAEGDDVSASAVEVVAAVMIQATYRGYLARKALCALRGLVKLQALIRGNLVRKQATATLRRMQALLVAQARLRAQRMRMLEEEEDDDVHGHGHHHHRRSSPHHPRHRRSYEMDRSGEEQAKIVEVDVGEPAPPRRGRSSCSVAASESRERRMAEYGYYAQCSPAPSSSAFTAAAAXRTEPHLPQPPPPPPHFERQPSRRRGGGVPRSVKMQRSSSHVGVPAAHGYHHHHLYSYGQYPWSVKQLDRSSASLKDSECGSTTSSVLTAATTVGYCRSLVGLDLHRGHY >ORUFI10G10800.1 pep chromosome:OR_W1943:10:12950057:12954130:1 gene:ORUFI10G10800 transcript:ORUFI10G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQQQVVDAAAAAAAATTRVPVPPPKPLLRTIGGNLMETFFPDDPFRAVARESGGRRALAALRYVFPFLEWLPSYSLAALWSDVVAGVTIASLAVPQGISYAKLGDLPPIMGLYSSFVPPLVYAVMGSSRELAVGTTAVASLLFAATLGKEAPPGEKPELYAALAFTATFFAGVLQAGLGVLRLGFLVDLLSHAAIVGFMAGAATIVCLQQLKGMLGLAHFTTSTDVVAVVRSVVTQSHQWRWQSIVVGCCFLIFLLFARYISKRKPKWFLLSAMAPLASVIAGSVLVYLIHGDRHGIPVIGYLKKGINPPSARDLLLSSPHTMVALRTGIITGIIGLAEGIAIGRSFAMLKSYNVDGNKEMIAFGAMNIVGSCTSCYLTAGPFSRAAVNHNAGCKTPMSNAVMAVAVMLTLQFLTPLFHYTPLVVLSAIIISAMIGIIDYKAAVRLWKVDKIDFCVCVGTYLGVVFGDIQIGLAIAVGISILRILLFIARPKTTVLGKMPNSTNFRRMDQYTVAKAVPGLLVLRIDSPIYFANSGYLRERIMRWIDHEEDRIKAEGLESLKCVVLDMGAVASIDTSGTKMLEDLKKNLDRSSIQIALANPGSEIMRKLDKSNVLGLIGEEWIFLTVSEACYYAQQNCKIGVGMGVVQCVVDPEHMV >ORUFI10G10810.1 pep chromosome:OR_W1943:10:12956375:12965679:-1 gene:ORUFI10G10810 transcript:ORUFI10G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFSRAAPNQYSAAEFDYNGVGSEQNYEEFYYNGVSGVQNIVPHNMDNLTANAFSFATPAHYSANIEEFYYNGASTEQNMAFPTMDTHAANIFSFDASINHSAEEFRQISAGGELNTVCPNIDTPLAANAFSFVAPVHYSDANADLHLHVVDAGGEQDTVAAIVDVIGIPASPPSPAPASITNATVKSVWRENYREQFKLVVDALHQPRRHLYIAVDMEFAADATTNIRRRPVTSTGCYHHLREFVNRGDIVQMGLAFVFVGGGEQSSSSSSPPPITLEINFKINIKARKYNKKSIAFLSRQGHDLREHRRRGVSPRRFYEGLLRHLPFGDGRSVTWLAYHSDYDLGFLLRLLQCGGRRRGGGDLPRQLAAFLRRLRENFPAFYDVRVIRQMLEDHGFSGKLTGLAEHLGIRRTGGAAHHAGSDALLTLSCFFKIFRSLSGQQLHQLDARRGLLAGLEEWNMAIKCARHIDDHTRNIKVIEVVAENLDEEARRIGELVDSNFSIIGVDVNQVVIHPRLGRKGYEMIIAFMNPEGMLAYGRAWKFCISRFTSDNNGNVLNLKQLVELMQSCGATNNPDVSWVTFQGSDVIYRLIRSANGGVIPSLISGESYFPSLYDVALIVGGFHGIGTLATTDRKVGIFDVARALKLKAIKADKEAERVLLTLRCFMRLAELIP >ORUFI10G10820.1 pep chromosome:OR_W1943:10:12966521:12969127:1 gene:ORUFI10G10820 transcript:ORUFI10G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPPSPRVPGQLFQSPPSPLLPRSSDSFRHEFLLFYQQQVLCAKHRARSKQDADPAPSMAVSEELINPDIRCMARRAKLTIYLKPKEIHDKCGTINTCKIDTKLQGGEDCIYQGLFYNLHNIQSSLPKNDRSAKSSLRPFCNILKKSDGTVGMPILRSRRVPPSEMMVIGDEGDP >ORUFI10G10820.2 pep chromosome:OR_W1943:10:12966522:12969127:1 gene:ORUFI10G10820 transcript:ORUFI10G10820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPPSPRVPDIRCMARRAKLTIYLKPKEIHDKCGTINTCKIDTKLQGGEDCIYQGLFYNLHNIQSSLPKNDRSAKSSLRPFCNILKKSDGTVGMPILRSRRVPPSEMMVIGDEGDP >ORUFI10G10820.3 pep chromosome:OR_W1943:10:12966522:12969127:1 gene:ORUFI10G10820 transcript:ORUFI10G10820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKQMQQRRRREDRAGATSGWEERTPPDPRRWRTPAVRTSWRDGCTAAAIAKGSRYKMHGKKSKGGEDCIYQGLFYNLHNIQSSLPKNDRSAKSSLRPFCNILKKSDGTVGMPILRSRRVPPSEMMVIGDEGDP >ORUFI10G10830.1 pep chromosome:OR_W1943:10:12966689:12967027:-1 gene:ORUFI10G10830 transcript:ORUFI10G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLHLVCSSPCVLHITLAAGRTTRTHGGKNQRTEEEEGKEETGKADLEPLAMAAAVHPSRHDVLTAGVLHRLGSGGVLSSHPDVAPARSSLLRRCCICLTTIETFGRTHR >ORUFI10G10840.1 pep chromosome:OR_W1943:10:12969804:12970043:1 gene:ORUFI10G10840 transcript:ORUFI10G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVALVAGSAPSPSRGRRRRLRLLPTAVCLPPTPSDEAEGGRAKLWWGSRVHEPRARATHSPKRWLKKRSLSHPSPA >ORUFI10G10850.1 pep chromosome:OR_W1943:10:12970104:12982902:-1 gene:ORUFI10G10850 transcript:ORUFI10G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAGGEDGWRRRRRRSAAIGGISCTVRRAKLTICLEGNQTNKVFQTIWNEKPDGTVQRDIKIQKGSTIRDLIDFNDVKPASFLRASPMRVSSP >ORUFI10G10850.2 pep chromosome:OR_W1943:10:12970104:12982876:-1 gene:ORUFI10G10850 transcript:ORUFI10G10850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPTQRGHWWSASPSPSLLALSPFSSVPSHGYARKAGEVWRRRISCTVRRAKLTICLEGNQTNKVFQTIWNEKPDGTVQRDIKIQKGSTIRDLIDFNDVKPASFLRASPMRVSSP >ORUFI10G10850.3 pep chromosome:OR_W1943:10:12979593:12982902:-1 gene:ORUFI10G10850 transcript:ORUFI10G10850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAGGEDGWRRRRRRSAAIGGISCTVRRAKLTICLEGNQTNKVFQTIWNEKPDGTVQRDIKIQKGSTIRGMC >ORUFI10G10850.4 pep chromosome:OR_W1943:10:12979593:12982876:-1 gene:ORUFI10G10850 transcript:ORUFI10G10850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPTQRGHWWSASPSPSLLALSPFSSVPSHGYARKAGEVWRRRISCTVRRAKLTICLEGNQTNKVFQTIWNEKPDGTVQRDIKIQKGSTIRGMC >ORUFI10G10860.1 pep chromosome:OR_W1943:10:12983599:12985842:1 gene:ORUFI10G10860 transcript:ORUFI10G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHPFAKAANGFVMQADAPDAKLADVQAVADAIHGNTKLANIQAVADVIHGNAKLADVQAVPDAIHGNTKVFDIQAVADAKPDVQAVPGAIHGNTKDADNSVVADAIQGNTKLTDVHPFMEGDCSIKLADAQVRNKAVDGFDATNMSPLVARCLAHHLAKVSVQRVWQGNYPEMFKPVLDAFGQPHRRIYIAFDFEFAADAFTNMHCWPGCTKTNYEYLRRYVNGGDVVQMGLAFVFEDEVDEEPTFTAMALEINFDFTVELRKYNGEAISFLSEQGHDLTEHRDRGVVPHFVYTGLLSHLPFGNSSVTWIAYHGDYDFGFFLRLLQGGCRGSSHLPLELPTFLHQLRLNFPRLYDIRVLGQLVQHGFRGSLTAIADLLGVNRFGRGHHAGVDALLTLSCFFQIVSCLSASGDHQLHRLDSRQGLLAGIAQVNKAIKDARHIGDRTSNIDVIKVQAGNLDEEAQRIQELVPSNFNIIGVEVMHPQLGNRSYAIGAQQNYESMKTYLKDADSFEIVIAFVNSEGMLAYDCVWKFCISSTPRSGCLHPRQFTRLMASCGATSNPNVSWVTFHGAHGIASLISSFSAPQDLPSDWPSYVEQRRAYFPGMYDVALIVHRYPDIGILPTTGCKGGLFDVARALDLNFIKDDNPVTRVLLTLRCYMRLADRGDFPDKQSAVQGQLMENCCWNCPAKRMEHA >ORUFI10G10870.1 pep chromosome:OR_W1943:10:12992214:12992552:-1 gene:ORUFI10G10870 transcript:ORUFI10G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRRWIGKMTAGGSIDQKLETFLREVEAADDEMEALCDELSCLLVSAYRLRVWLAPMDRHAPALVSAATTAGMRSRLLGSARCLRARLAPMDRCAPAPASAATTAGHDVPD >ORUFI10G10880.1 pep chromosome:OR_W1943:10:12994473:12996860:-1 gene:ORUFI10G10880 transcript:ORUFI10G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLNHIGAGDKLYGDNGTLAVVLHHGGASCKKNSSIPQTGTLSHIGAGSGVSSDESMLYDAVIAKPGAGSGDELYGDNSALAVVLHHGGASCKKNSSIPQTATLSHIGAGSGVSSDESMLYDAVIAKPGAGLDDELYHDNGTLAVVLHHIGAGHEKNKAYDVIDHTATINHIGADGKDSMVNDHVGAGGDQNKVFDNIGALGMPAAQQAPPSTGKVNHYQSSDHLGNNYHHDESSVRQLLHTRGRLCTRPGRILVPGGRGAHLYAAPSYTLAAADQIHNLQLFGVNNFAATGIIDYFGAGADDLGTASSVFDAADDITLAHYLAMATVQSVWQENCMEQFKLVLEALHQPHRNLYIAVDMEFTADAATNMSHRPVTSTSCYQHVRRYVNGGDIVQMGLTFAFVGDVEGEQAPSPPIALEINFDFNVNSPKYHGESIHFLSSQGHDLTQHSKRGVTPEFVYEGLLRHLPFGDGSVTWVAYHGDYDLAFLLRLLQGGDHGSDALLTLSCFFKIVSLSGHQMHRMDARRGLLAGLEEWNMAIKCARHIDDHTGSIYVVKMLPHKLDEEARRIEELTFLKDSDSYEIIVTFMNAEGMLAYSRAWKFCISSRADNGCVHPQQFAKFMASCGALGDPNISWVTFHGAHGIARMIRSFLSPQDLPSQWCSYIGHRRAFFPAIYDVALLVRRSFDIVTIPWIECKGGLFDVAQALNLKEIEADMEAARVLLTLRCYMRLAERPDFPGTKMAVQGLLKESCCWKCLANC >ORUFI10G10890.1 pep chromosome:OR_W1943:10:13007284:13007562:-1 gene:ORUFI10G10890 transcript:ORUFI10G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGMRQQGGSAVLVAATSAELVTVKLFAASPLVGSGSAHTISGDVWARRWPDIEGGRRWEEEGDAAASWIRRAPLPLAELVFAMSIAGGK >ORUFI10G10900.1 pep chromosome:OR_W1943:10:13011515:13015493:-1 gene:ORUFI10G10900 transcript:ORUFI10G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAVWWYLSLSNHGHLLFKPNRGDVGVGGESAAQPETVEDAGGEGIMAGAAAAAAKGSRYKLHGKKSKVEQLIHVRLRQILNFMGGKTAYIKKFDGTVGVPILRSKRVPPSEMMDIGGEGDPVFDQE >ORUFI10G10900.2 pep chromosome:OR_W1943:10:13011515:13015493:-1 gene:ORUFI10G10900 transcript:ORUFI10G10900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAVWWYLSLSNHGHLLFKPNRGDVGVGGESAAQPETVEDAGGEGIMAGAAAAAAKGSRYKLHGKKSKVEQLIHVRLRQILNFMGGKTAYIKKFDGTVGVPILRSKRVPPSEEDSDAMESVFDQE >ORUFI10G10900.3 pep chromosome:OR_W1943:10:13012497:13015493:-1 gene:ORUFI10G10900 transcript:ORUFI10G10900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAVWWYLSLSNHGHLLFKPNRGDVGVGGESAAQPETVEDAGGEGIMAGAAAAAAKGSRYKLHGKKSKVEQLIHVRLRQILNFMGGKTAYIKKFDGTVGVPILRSKRVPPSEEDSDAMES >ORUFI10G10910.1 pep chromosome:OR_W1943:10:13016148:13018957:1 gene:ORUFI10G10910 transcript:ORUFI10G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYQPGRCRRLAADHHRRGILAAGSASCYPYAANPYRMAPAASLLRHVGAGGGAMNTAFTETTTNGGGDASYSIGPSLSLRQHHTGVGGAGDRTTVFAGGDALAANYPYSYAIPVPYSAQGWHRIGAGGGRNTALPNFDVAPYFATADLHHIGGGGDHNTAFFPNIDDLAMNAFSLAAPNQYSAAEFYYNSAGGEQNIEEFYYNGASGVQNMVPSTVDTLTANTFSFTTPGHYSATEFYYNGAGDEQNIEEFYYNGVGGEQNTVSPNMGTLTANAFSFATPAHYPAVEFYYNGNIEEFYYNGASGEQNMAFPTTDTHAVNIFSFATPAHYSVEEFHQISAGAEQNMVSPNTDNLAMNAFSFATPFNHSAAEFFHHIGASGERNTVCPNNDTHLAANAFSFVAPVHYSDANADLLLHVVDAGGEQDTVAANVDVLGIPPAPASITNATVKSVWRENYREQFKLVVDALNQPRRHLYIAVDMEFAADATTNIRRRPVTSTGCYHHLREFVNRGDIVQMGLTFVFVGDGEQSSSSSSPPPITLEINFKINIKARKYNKKSIAFLSRQGHDLREHRRRGVSPRRVYDGRSVTWLAYHGDYDLSFLLHLLQRGGRRRGGGDLPRQLATFLRRLRENFPAFYDVRVIRQMLEDHGFSGKLTGLAEHLGIRRTGGAAHHAGSDALLTLSCFFKIFRSLSGQQLHQLDARRGLLAGLEEWNMAIKCARHIDDHTRNIKVIEVVAENLDEEARRIGELVDSNFSIIGVEVNQVVIHPQLGRKAYEMVIAFMNPEGMLAYGRAWKFCISRFTSDNGNVLNLKQLAELMQSCGATNNPDVSWVTFQGSDVIYRLIRSANGGVIPSSISGESFLPSLYDIALIVRRFPGIGTLPTSERNGGIFDVARALELKAIEADKEAERVLLTLRCFTRLAEMESAVQW >ORUFI10G10920.1 pep chromosome:OR_W1943:10:13031904:13033871:-1 gene:ORUFI10G10920 transcript:ORUFI10G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFFCIHSSLVMMKDITDSIKPYIFCSLGDSSNRSSLEAVGTVRKQITSQHGLVAITMPKNQQQRSGS >ORUFI10G10930.1 pep chromosome:OR_W1943:10:13033889:13037540:-1 gene:ORUFI10G10930 transcript:ORUFI10G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVCCCSGVLGGSPPSSRTAGVSSPGLSASRPSKRRIGRARVQPPPRDERRAAEDVIHALRSADGPAEALERFRSAARRPRVVHTTASCNYMLDLMRDHGRVGDMAEVFDVMQRQIVKANVGTFAAIFGGLGVEGGLRSAPVALPVMKEAGIVLNAYTYNGLVYFLVKSGFDREALEVYKVMMVDGVVPSVRTYSVLMVAFGKRRDVETVLWLLREMEAHGVKPNVYSYTICIRVLGQARRFDEAYRILAEMENEGCKPDVITHTVLIQVLCDAGRISDAKDVFWKMKKSDQKPDRVTYITLLDKFADNGESQSVMEIWNALKADGYNDNVVAYTAVIDALCQVGRVFEALEMFDEMKQKGIVPEQYSYNSLISGFLKADRFGDALELFKYMDIHGPKPNGYTHVLFINYYGKSGESIKAIQRYELMKSKGIVPDVVAGNAVLFGLAKSGRLGMAKRVFHELKAMGVSPDTITYTMMIKCCSKASKFDEAVKIFYDMIENNCVPDVLAVNSLIDTLYKAGRGDEAWRIFYQLKEMNLEPTDGTYNTLLAGLGREGKVKEVMHLLEEMYHSNYPPNLITYNTILDCLCKNGAVNDALDMLYSMTTKGCIPDLSSYNTVIYGLVKEERYNEAFSIFCQMKKVLIPDYATLCTILPSFVKIGLMKEALHIIKEYFLQPGSKTDRSSCHSLMEGILKKAGTEKSIEFAEIIASSGITLDDFFLCPLIKHLCKQKKALEAHELVKKFKSFGVSLKTGSYNSLICGLVDENLIDIAEGLFAEMKELGCGPDEFTYNLLLDAMGKSMRIEEMLKVQEEMHRKGYESTYVTYNTIISGLVKSRRLEQAIDLYYNLMSQGFSPTPCTYGPLLDGLLKAGRIEDAENLFNEMLEYGCKANCTIYNILLNGHRIAGNTEKVCHLFQDMVDQGINPDIKSYTIIIDTLCKAGQLNDGLTYFRQLLEMGLEPDLITYNLLIDGLGKSKRLEEAVSLFNEMQKKGIVPNLYTYNSLILHLGKAGKAAEAGKMYEELLTKGWKPNVFTYNALIRGYSVSGSTDSAYAAYGRMIVGGCLPNSSTYMQLPNQL >ORUFI10G10940.1 pep chromosome:OR_W1943:10:13040739:13047950:-1 gene:ORUFI10G10940 transcript:ORUFI10G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVGHDARQYSWWWVSHISPKNSKWLQENLNDMDSKVKAMIKLLNEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDQATGALRQAHKSISEAFPNQMPPMSDESPASSGQEVEPHTPDLPTFTRLPFDLDDLQKDGVGVSPQQFTSKRNGTHPEEASALPNRKGFDVKVRKGLSFGSPEVKGSDAISNEMVNLQQEISRLLAESNSMKQQILSESERANKAENEIQVLKDTVLKLNSDKDTSLLQYNQSTERLSTLESELSKAQDDLKKLTDEMATEVQKLSSAEARNSEIQSELEALDQKVKMQQEELEQKQKELKSFNLTFQEEQDKRMQAESALLSEGKELAQCQEEVQRLTKEIQMANEKLNELKQTKVNLENAVSELKKEVENLTEQNRSSELLIQELRDEINSLKDSKNELQNEIQSLRSTISQLNTEKDATLFQHQQSVERVSDLESQLLKLQPELEEIEQKVQMLMQDLEQKRQEADSAHAQLQDECNRHTQTEADLHRFKNLHSQLEEEVIKLTENLDRSTKELEELENAKLDLENTSRELKSTILDLNSEKDAVLLQQQQSLAKISELELQLSKTQLELKNSEQKMQLLELEITQKSESMDSLTLSLKDETEKRVQAETSLMSMESMYSQSQEEVNRLHLEIEKLNFKLNELENLSSELNSTILLLNAEKDATDLKNQQSLVRISDLESELSKLQAQLEKIEGKVQMLEQELKHKKEEVDSLQISIQDEAHKRSEGEAALLAMTNLNSESQEEVNRLTLETEKLKVKLSEVENSNTDLENIVAKHTQDIHVLREKNVSTELMIKELHHELDALKELNVKLESEMGLHIGEKEALQRDFACQKEEKQNLEGIHHSLAEEMSTLKSRSAANQKLIEDLQIMNLKLKEVCAKNEVEKALLSEKVQEVEKLSEEFSLMENSLSDANAEMDSLREKIKVLETSEGSLKDVISSHVSEKAILTSDLETLGKSYADISEKNSNLDILISDMKAEIENLRTKLTDSEETCQAHLANNSALSDEKNNVFSQLESVTVVMKALESKHADLEDKSSSLSREMNLAYDQVRELQDQLRVKDEEYEAFVKSHQTQVNDFEEQISSLQKKSYYMNELLEQEQENHMSASINVVILENCLADLKDKNVDLFNECQKFAEANHAAEMLISQMKDEARYHQDERKFLLIHTEKLREGISQHMKILNICKDLGPANIAEDKIILQTVSDEASNIMKLKEQSEDANRLMYTELTVLATVMLQVGLELRDLNLQKRALEKELETRAAEFITLQNNNVQMLEWNEQLKQELQQGCEREEVLKAEILVLQEKLSCSRESYQTSQNEIVSLTEKNETLCKEYQSLIENYNALEDENGTLLSECMRLEHLSLFLRGHNNEVATALGSLTDEMALLSVGKDELDCEVQELSRRGMMLESENNNLKEYFIYLIEILSAQLALSEFDLNINKSICQELASELESCMAQLSQKDDELLEAEDKVHLLQGKNRELCGVVGSLQVAIEGAKIVKEELEKKITTLTEEGNTKDGEISLLRQANERLQVEADILKDKEDSLTSSHELLSKEVEQHEGEFVVLMDDAISSSVNAAVYEEKALELMTENTELKANLSTHVALIASLSDHVNELEENTLSLSKPYSTESKKEDAEVPFMQERNHGPESHPLPEGTPELQRLIARMGALQVAIRNAKDLHDQESTKSAATLAAAHRDIQELKARGGSQMEAREIYSDNEKLNNVEGSKGKQVQMMKDIELDQISTCPPYGTGAALYPLKNGANAGMDDEMLQLWEAAERSCKNQTSKSSSAEHDIEAVEEVKSEYPSSELARGRDLGINKLEVSTSSVEPHEQWSNNVLEKLSSDAQRLQSIQVSIEELKRKMGSPSNGKSPMNSEYNTVSTQLLDTEGCVLEQINYNNKLTKRVENYPALSDSMNAEQEGYPSRRKISGQVQKGSENVGRLELELQKIQYVLLKLEEEHEYRRLKVSDKRTRVLLRDYLYGRKEKRGGAQKKKKRAPFCGCVQSRTET >ORUFI10G10950.1 pep chromosome:OR_W1943:10:13047981:13049238:-1 gene:ORUFI10G10950 transcript:ORUFI10G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKKSFPFFILLAQFFFLSCWDLPPPSVVRQPFFLVASKQALHSAEQEARLQTVREGEAGWVEEEEEEEERRRLGGSKEATAFALLLLGFHLGVATCQCIFLPFQLIGELEPNIALLHNSSAEEVRS >ORUFI10G10960.1 pep chromosome:OR_W1943:10:13056275:13056559:1 gene:ORUFI10G10960 transcript:ORUFI10G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCVEKVPMARREEHATTATTPHRKEARAAVAPRGEEASAAGAWYEQEVMARLSGEGSIWRGSDSDISAARRREGDDENGGSVLGVGGHGST >ORUFI10G10970.1 pep chromosome:OR_W1943:10:13058114:13061249:1 gene:ORUFI10G10970 transcript:ORUFI10G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQGEYHADMMAEFLRGSGGAAVIDGGLATELEANGADLKDALWSARCLFTCPDLIRKVHLDYLEAGASVLITGSYQATIQGFLSKGFSQEESESFLRRSVELACEARAIYLEKCSNGSDEAKDVTKYRKRPILIAASVGSYGAYLADGSEYSGDYGNEGTLEFLKNFHLRRLQVLAEAGPDVIVFETIPNKIETQAYVELLEECKLRIPAWFGFTSKDGVNVVSGDSLIECASIADSCKEVAAVGINCTPPRFIHELVLSIRKVTSKPILIYPNSGESYDPIRKEWVECSGISNEDFVSYVKKWHEAGASLIGGCCRTSPDTIRGISKALHGV >ORUFI10G10980.1 pep chromosome:OR_W1943:10:13062206:13065111:1 gene:ORUFI10G10980 transcript:ORUFI10G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARRSMVALGRRALCSGSDLEAAAREVVCSGAGSLDEVGGALDRLGVAVSPAMVARVIDACSERMGSGRRLLRFLSWCRSKDAGGIGDEALDSAIAALARMGDLTAMRIAVADAEKDGRRMSPETFTVVVEALVKLGKEDEAVRLFRGLERQRLLPRRDAGDGGEGVWSSSLAMVQALCMKGHAREAQGVVWHHKSELSVEPMVSIVQRSLLHGWCVHGNAKEARRVLDDIKSSCTPLGLPSFNDYLHCLCHRNLKFNPSALVTEAMDVLSEMRSYGVTPDASSLNILLSCLGRARRVKESYRILYLMREGKAGCSPDWVSYYLVVRVLYLTGRIIRGKRLVDDMLESGVLPTAKFFHGLIGVLCGTEKVDHGLDMFRLMKRCQLVDTHTYDLLIEKLCRNGRFENGKELWDDAKKNGFMLGCSEDLLDPLKTERVFVSTFVGRKCVIKERFSKKYRHPLLDSKLTLKRLNAEARCMTKARKLGVPTPVLYAVDPLLHTLTFEYVDGLSVKDILLGFGSNGINEEQLIDIATQIGNAVGKLHDGGLVHGDLTTSNMIIKNNTNQLVLIDFGLSFISTIPEDKAVDLYVLERALISMHSSCGDVVRNCINDSPK >ORUFI10G10990.1 pep chromosome:OR_W1943:10:13074128:13078854:1 gene:ORUFI10G10990 transcript:ORUFI10G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGAKKSKLSWSKSLVLKWFNIRGKSYDFHGDDAAAAFGRRGGGGEDEWRSSSFSRRESCTVKKSRTERASRRSHERSRRSKIDLDAAEATVTLDYRIFVATWNVGGRAPPGSLSLDDWLRTSPPADIYVLGFQEIVPLNAGNVLGAEDNGPARKWVSLVRRTLNSLAGTGGGGGGGGGGGMRTPSPAPDPVVEMDDDFEGSSSRQNNPAAFFHRRSFNAGLSRSLRMDGDILGGGGGAQPRLERRYSVNDRVMYGSRPSDYEANCRWGHPSDDGEIDDGGGESPSAVFSPMSYGYGAPPYMEESNGGAAHSRYCLVASKQMVGLFLMVWARREIKSDIRNLKVSCVGRGLMGYLGNKGSISVSMLLHQTSFCFVCSHLTSGQKDGDEHRRNSDVMEILRKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRSEQRGGRVFPGWNEGRIYFPPTYKYSNNSDRYAGDDMNQKEKRRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSMFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >ORUFI10G10990.2 pep chromosome:OR_W1943:10:13074128:13078854:1 gene:ORUFI10G10990 transcript:ORUFI10G10990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGAKKSKLSWSKSLVLKWFNIRGKSYDFHGDDAAAAFGRRGGGGEDEWRSSSFSRRESCTVKKSRTERASRRSHERSRRSKIDLDAAEATVTLDYRIFVATWNVGGRAPPGSLSLDDWLRTSPPADIYVLGFQEIVPLNAGNVLGAEDNGPARKWVSLVRRTLNSLAGTGGGGGGGGGGGMRTPSPAPDPVVEMDDDFEGSSSRQNNPAAFFHRRSFNAGLSRSLRMDGDILGGGGGAQPRLERRYSVNDRVMYGSRPSDYEANCRWGHPSDDGEIDDGGGESPSAVFSPMSYGYGAPPYMEESNGGAAHSRYCLVASKQMVGLFLMVWARREIKSDIRNLKVSCVGRGLMGYLGNKGSISVSMLLHQTSFCFVCSHLTSGQKDGDEHRRNSDVMEILRKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRSEQRGGRVFPGWNEGRIYFPPTYKYSNNSDRYAGDDMNQKEKRRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSMFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >ORUFI10G11000.1 pep chromosome:OR_W1943:10:13086184:13089821:-1 gene:ORUFI10G11000 transcript:ORUFI10G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALCSRVARHRVPPSPRPLLSGFSPPPRPPARPSPVRSASPPRAPWQGEGCSLPALACPHWAPAERHPARAPSLQMLRVGRICSRSSTRAVSSFLVGPRHLIGHESPHFLGSKCCWTNRTGAIGFLNTKLNIGSYGPCLSTLTETILVQAHDPSLLALEIENAIDQQRFDDAWRAYEKHIHMDGVPRKSVLSKLITGFAETCDAHWLNQSYNVVNHAFEEKSKLLEKEPLIFLSLALARSSLPNLSINVVRKLIKMEAYPPVAAWSAIVAHMCQTATGAFLAADMVMEIGYLFQNNRVDPRKKSNRPLLLMKPNSLTFNIILTASLLFGTTKKAEQLLELMPRIGLKPDVSSLIVMARIYEQNGHRDEIQKLRRHVNEACGLSESELRQFYDCLLSCHLKFGDLDSAVDMILDMLKKGKNTKRSLEAAKAVLEAVENNKIYLPYEKTKPVNSGSSNKSVCTDSQMLNYISFFKDKSFARLELDARELLKLLSDKLQEQVGLVKSEYGILYPTETMYAKLVKAFLEANKISALASFLVKASKEDSPVSVESSFVVQVINACISLGWLEQAHDLLDEMRFSGIRVGSTIYSSLLKAYCKEGHHEDDIAALLKDAQQAGIQLDPSCYEDLIQSRAHHNNTTGALNLFKELKSLNILKAGQNEFEMLVQGCNNNGAALTTKLVEEVRSGHPVNHAIHDWNNVIHFFCKKRLMHDAHKALGKMKALGHTPNAQTFHSLVTGYAAVGGKYVEVTDLWGEMKVLATSSSMNFDQELLDSLLYCFVRGGFFLRAMEVIEMMEKGKMFIDKYKYKSLWLKYHRTLYKGKAPKVQTEAQLKRREAALHFKRWIGLT >ORUFI10G11010.1 pep chromosome:OR_W1943:10:13090901:13092404:-1 gene:ORUFI10G11010 transcript:ORUFI10G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRAKPSSSSGGSNKQQHEIAFDHGGGGGGAAAISAPKLLVPSSSGEASPEAVLMSPTSTLQTASATSPCSGRTTGAAATAVPFSRRRRHGGGGVGRGPADGDGQCRTHRPWDATRPVGLGLVGALNDDEDDDDGEVDAAPGDAAASSVLTGQIRLRVQTNYYAPCTEFGVKSGGAAVQYAPPPRRRWMSPREMMEEMSEDYTCVIARGANPRTTHIFDNRVVESSGDGEYFPPELWPPSAAGKGDDDGDGDFLRYCHGCSKDLGLGKDIFMYRGEKAFCSHECRYHEMLFDEGIEEL >ORUFI10G11020.1 pep chromosome:OR_W1943:10:13110098:13110415:1 gene:ORUFI10G11020 transcript:ORUFI10G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEAAKCECCGLREDCTVEYIAGVKADFGGRWLCGLCSEAVRDEVAKKGGGGGGGGRQLEDAVRDHMSFCGKFCRKNPAFRVADGMRQMLRRRSSDISAPSGAS >ORUFI10G11030.1 pep chromosome:OR_W1943:10:13114921:13115496:-1 gene:ORUFI10G11030 transcript:ORUFI10G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVVISQQRNHHNQHSGGRGKMTGPHFSSPPSSHGFRGMNCRSFHSGVCAGLLPSPPPPPARTYSSPEPKTPKQQQQLQRRGGKRSRPISISPSTSPPSRPELWAGPAFSNSPPPSSLPIPKFSLRQNRSISLELPLFERSDEVEVKPHAKSAPSSPVGGSGFDFFNDNETAVATENLRRILHLDISDH >ORUFI10G11040.1 pep chromosome:OR_W1943:10:13120700:13122618:1 gene:ORUFI10G11040 transcript:ORUFI10G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAAATTGSSDGGRPPHYSSASAIVGGTVTGHHILQIDGYSYTKEKLPSGKFIQSRSFKVGDHQWRLSYFPNVKGSDYADYISVYLCLVEGQPVKARATFSLLDRAGQPAPASASYYTRDMPMGRFAVSDIGFGYHQFIKRELLEKSGHVRDDGFAIRCDVTVVTELRTEDRTPPLVEVPPPDLRRHLGGLLESGDGADVTFHVAGEEVRAHRYILAARSPVFKAELFGQMKESSSSNTVVNVDDMEAEVFRALLVFIYTNALPETKTKANQEDELVIAQHLLVAADRYGMERLKLLCEEKLVEYIDRGSAVMLMALAEQHHCHRLKEVCFRFLESKETLSAVMATDGFLHLMQSCPPDGLALASSQLEEGMVYHGDG >ORUFI10G11050.1 pep chromosome:OR_W1943:10:13123433:13136351:-1 gene:ORUFI10G11050 transcript:ORUFI10G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQWHFWPPPPYSSASAIVGGTIDGYSYTKEKLPHGKLKYSRMFNVGDHQWHLRYYPNGQGSANADYISVYLGLDAAAAGHAKEQPMKARATFSLLDRAGKPLRTEDRTPPLVEVPPPDLHRHLGGLLESGDGADVTFHVAGEEVPAHRYILAARSPVFKAELFGQMKESSSSNTIVKVDDMEAEVFRALLAFIYTDALPETKTKANQEDELVIAQHLLHLLVAADRYGMERLKLLCEEKVVEYIDRGSVATLMALAEQHHCQALKEACFRFLESKETLNAVMATDGFLHLMQSCPSLVPCRQLLRPPPPYSSASAIVGGTVTGHHILQIDGYSYTKEKLPNGKYILSSSFKVGDHQWQLSYFPNGANRYGDADFVSVFLYLVEGQPVKARATFSLLDRAGKPVPSYKRDTGMRDFAVGGSGFGPGDFIKRKLLEKSGHVRDDGFAIRCDVTVVMELRTEDRTPPLVEVTPPDLXKTKAKQEDELVIAQHLLVVADRYGMERLKLLCEEKVVEFIDRGSVATLMALAEQHHCHGLKGACFRFLESKETLNAVMATDGFLHLMRSCPSLVKDLIFRVADSHFQ >ORUFI10G11060.1 pep chromosome:OR_W1943:10:13136362:13137549:-1 gene:ORUFI10G11060 transcript:ORUFI10G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPEPSTSASAIVSGTVTGHHVLTIDGYSRTKAKLPTGRFTASRPFTVGGHSWSIHYYPSGDRSDTAGFISVFLELNPAADAAAAAGSGGSEPVDARVTFSLLDQAGRSVPSHTMATDLHDFAATGFGFGRFIERSYLEQSEHLKNDRFAIRCDVVVFSDELRAEARTADAAALSVAVPPSDLSQHLGGLLAAKELGADVTFLVAGETFTAHRCVLAARSPVFRAELFGPMKESAATAVITVDDIEPDVFRNLLTFMYTDTLPETNPQELEEEEEDDDDDYEDDQAQAAAMVEHLLIAADRYNLERLKLICEDRLCKHIDGESVATILALAEQHSCDGLKEACFQFLSSRSALNSLVATDGIEHLARWCPSVLNQLMSKVAALVPVDFVVRETR >ORUFI10G11070.1 pep chromosome:OR_W1943:10:13151428:13153712:-1 gene:ORUFI10G11070 transcript:ORUFI10G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGREPRLPLESIPPSHIASPGSACRCRRHTKGSHGGARPTPAPPVASPLALLTARWLAPHTLIGSCNAMREKAHHYIGMVRENSLNILEFVPGRSIQSLLGGLGSKADYLVNSMEGHGDLLEQHGTIEVIVAVTR >ORUFI10G11070.2 pep chromosome:OR_W1943:10:13151428:13153712:-1 gene:ORUFI10G11070 transcript:ORUFI10G11070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGREPRLPLESIPPSHIASPGSACRCRRHTKTLIGSCNAMREKAHHYIGMVRENSLNILEFVPGRSIQSLLGGLGSKADYLVNSMEGHGDLLEQHGTIEVIVAVTR >ORUFI10G11070.3 pep chromosome:OR_W1943:10:13151428:13153712:-1 gene:ORUFI10G11070 transcript:ORUFI10G11070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGREPRLPLESIPPSHIASPGSACRCRRHTKGSHGGARPTPAPPVASPLALLTARWLAPHTLIGSCNAMREKAHDYLVNSMEGHGDLLEQHGTIEVIVAVTR >ORUFI10G11070.4 pep chromosome:OR_W1943:10:13151428:13153712:-1 gene:ORUFI10G11070 transcript:ORUFI10G11070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGREPRLPLESIPPSHIASPGSACRCRRHTKTLIGSCNAMREKAHDYLVNSMEGHGDLLEQHGTIEVIVAVTR >ORUFI10G11080.1 pep chromosome:OR_W1943:10:13154518:13168431:1 gene:ORUFI10G11080 transcript:ORUFI10G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRATGRSWIFTVSLLDELGRPGWSYRSSSCTCKCSGSSGEEYSMWGGERFIRRDQLEQSEYVRDDRLAIRFDVAVMDKLRTTEEIAGGGGGAVPPSEMSRQFADLLASGDGADVEFRVGGETVAAHRAVLAARSRVFRAELFGPMKEGVAANGTIQVDDMDAEVFRSLLHFVYTDSLPPETGTPREGAAMAQHLIVAADRYDLERLKAEANLRGEAVRAHSLQQNHLIGVATAATTLELAERHHCHGLKRACMEFLSSPTNLKAAMETDGFEQLSCPAVGQGSIAGVKTGHHVLRIDGYSRTKNVVPNGQFITSRSFRAAGHSWHVFYYPNGFDDESIEYISLYLLLEDAATATTATTTTVQLTVTLLDKDGRQVPSQKANSGVFTYSSEIQKYGFTQFISRDELEQSEHLDGDRFALRFDITVVGKFRAEEIAGPVGEPSWRGDGADVEFRVRGAGGEEETVAAHRVVLAARSPVFKAELLAGVPAKDGGGAVIQIDDMDAEVFRSLLHYMYTDSLPPEKGTTREEAAMAQNMIVAADRYSMETLKLMCEDRLRKHIGASSVATMLTFADRHHCHGLRAACTEFLSSPTNLKAAMATDGFGQLSCPTIAATLSVSYFRSIATMSSSSSTSSTGNNNGGIPSRSSSSSSAIVVSKVSGCHFLNIDGYSHTKEMLSHGHCSRSCTFRVGTHSWYLEYYPNGRSFLHNASDHMAICLVRDDNGDAGDGGAYEQMTARFHLLDHHAGKPVPGHTRGVTSPLLSGKIWECSNLVTRKELEEHVLDGDCLAVRCDITIVTVPRRAAPAPAVVVDVPAAAPDLQSQMGALLLSKEGADVTLQVGGGETTTFAARLSVFRSELFSATATSKTGSGGRVHVVDDGIDARAFEALLRFIYTDAPPELDEEDDDFSSMAWLLVAADRYKVERLKMICENELCKRIDGNNFEATLALAEQHHCSCPWFNLSFSAFVSEGADIPKFRKFRKFRDKGHVDSGHPRSDAAPMTSGKAGSNAGARMELGIHYSGGEVA >ORUFI10G11090.1 pep chromosome:OR_W1943:10:13185198:13202827:1 gene:ORUFI10G11090 transcript:ORUFI10G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSSASNNGIPSRSSSSAIVVSKVSGCHVLKIDGYSHTKEMLSQGDCSRSCTFRVGTHSWYLEYYPNGRSLHNASDHIAICLVRDDDDGGDLGYGGAAREQMTARFHLLDHHAGKPVPGHTRGVTSPLLSGKVWACSNLVTRKELEEHFLDGDCFAVRCDITIVKVPRRAAPAPAVVVDVPPAAAAAAPDLPSQMGALLLSMEGADVTLQVGGGEVETTTFAAHRCVLAARSSVFRSELFGATATSKAGSGGLVHVVDDGIGARAFEALLRFIYTDAPPELDEEDDDASSMARLLLGAADRYNVERLKMICENELCKRIDVNTVATTLALAEQHHCSSLKKACMDLVDANPRAVEAAGGFEYLSNKCPSILRELIARLADFDLKNDGYPWNSDPLLWSRKCIGATVPAAFLGADVTLQVGGGETETTTFVAHRCVLAARSSVFRSESFGATATSKAGSGGQVHVVDDGIDARAFEALLRFIYTDAPPELDEEDDDASSMARDLLVTADRYNVERLKMTCQNELCKRIDANTIDTTMALAEKHHCSSLKKAVLVSPAGMSSSTAGVPSRSSSAIMVSTVRGYHLLKIDGYSRTKTVLSFVHKIAQLPCRQPFMAHGSDTIRTAAPTSSCTAAASPSLSSSNLKITATLSRRWERSDSAFLIAPAILMKEPSAVADPPAVVDVAVPLPELNRDMEALLQSEEGADVTFEVGGESFAAHRCVLAARSSVFRAELFGAMKESTAGGGKARVDGVEARALKLICEDKLCKRIDVSSAATTLALAEQHRCPSLKKACMDFLYSPGNLKAVEATDGFEHLATSCPVILRELIAKLLKIVEYSRTKAVPNGCSMKYPAFTAAGHTWHVGYFPNGVIGAEEAEADYVAFFLYLNDNDAAEEAVKAQAIFSLLVIEGNPVSSYTFTTVLVNFSEKKYWGYKNFIKRESLENPLYLKDDCFSIRIDLAVTPPLTVVVPPSDMHRHYGRLLISKEAADVEFQVGKKVFDAHRLVLAARSPVFKAELYGRMKESTTKSAIAIDDMEEEVFEAMLTFIYTDSLPKMKRRDEAAMAQHLLVAADRYNLERLKLICEDKLSKNIDTGSIANILLLAEKHSCHALKEACFEFLRTSRSLNAVMETDEFEYLIDTCPGVIKELMSKLIVNLGQSNEWRRDGALKKEKQKKK >ORUFI10G11090.2 pep chromosome:OR_W1943:10:13201184:13213903:1 gene:ORUFI10G11090 transcript:ORUFI10G11090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSRASASASDGASSSAIVAGTVNGYHVLKIVGYSLTKAVPNGKSIKSRPFRAGGHTWHVAYYPNGQNAEKAEYMAFFLCLDDTASKGVEAKAIFSLLDMEGNSVSSHSFTTRVVNFSEERSWGYSEFMKRGSLEKSEYLKDDCFKIRIDVSVIADFHEEETPLIVVPPSDMHRQFGDLLLSKQGVDVEFQHLLVAADRYNLERMKLICEDKLSKHIDAGSVANILALAEQHSCHTLKEACLEFLRSSRSLKAVVETDGFRGQQKH >ORUFI10G11090.3 pep chromosome:OR_W1943:10:13201184:13213903:1 gene:ORUFI10G11090 transcript:ORUFI10G11090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSRASASASDGASSSAIVAGTVNGYHVLKIVGYSLTKAVPNGKSIKSRPFRAGGHTWHVAYYPNGQNAEKAEYMAFFLCLDDTASKGVEAKAIFSLLDMEGNSVSSHSFTTRVVNFSEERSWGYSEFMKRGSLEKSEYLKDDCFKIRIDVSVIADFHEEETPLIVVPPSDMHRQFGDLLLSKQGVDVEFQVEVFAAMLTFIYTDALPEMKQQEEAAMAQHLLVAADRYNLERMKLICEDKLSKHIDAGSVANILALAEQHSCHTLKEACLEFLRSSRSLKAVVETDGFRGQQKH >ORUFI10G11100.1 pep chromosome:OR_W1943:10:13215317:13223574:1 gene:ORUFI10G11100 transcript:ORUFI10G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSLAAAASDGASSSSASAIVAATVNGHHVLKIVGYSLTKAVPNGKSIRSRPFRAGGHTWHMLYYPNGNRAEKADFVAFYLCLDDAEACSEAVEAKAIFSLLDMEGNPVSSYRFTTRLVNFMEHKKDFHTEEETPLIVVPLSDMRRQFGDLLLSKQGADVKFQVGKKKFDAHRSVLAARSPVFKAQLYGRMRESTTRGAIRIDDMEEEVFRAMLTFVYTDDLPEIKQQDEAAMAQHLLVAADRYNLERMKLICEHNLSKHIDTDSVANILVLAEQHSCHMLKEACLEFLRLSRSLKAVMETDGFGHLISSCPEAAPERESCRSPSSIATPTSYIRRAGAMSRTTTGGKPALSSSSIVSDTVRAHHHLKIDGYSRIKDDLPNGECVSSCPFAVGGHLWRIDFYPNGKRAGRQITISGGGTFTCDTNCMSFYLVLVDEHVPKPVKAQFEFSFKKPKPPPTRSLFGKSKPPPLASAVRSFDCHGSCGGKATEVSKSSVERQIRDDSFTIWCDIAVLNEFRAEGATAASSSSSVAAAASPSYVSVPPSDLHRHLGELLASGDGADVTLEAGGETFKAHRYVLAARSSVLKAELLGPMARSTAAATPTRINDIEAPVFRAMLHFIYTDHLPETARNEEEEEEEEEEEEAAAAMAQHLLEAADRFNLERLKLVCEDKLCRGIGTATVATTLALAEQHGCHGLKEACVEFLRIPGNLSSAMATDGFEHLTTSCPAILKELMSKLAVVSMVNGCFLFRINDYSRKADNVVESTLFSAGGYSWRIRCSSSPPIYSPRCGGPIFFELVLNLGFGGGCGSPIRARCKLTLLDKAGKPAVPSRIRASPVLDWSVDMKWSCSDLVTPEELLQRRRAELLGDRDRLAVRCDIVFTDVLGGAAATARPLPPSDLHQHLGKLLSEKVGADVTFQVAGRGETFAAHRCVLAARSPVFRAQLFGPMKEGSTDSGVIAIDDMEPEVFSSLLNFIYTDSLDDDGDGDDDDGVMAQHQLAAADRYGLDRMKLVCEEKLRRHIDGSSVGSLLVLAERHHCRGLKEACFDFLSSGVKLEEFAGADAFEQLMESSPAVVKELVANLATLLIS >ORUFI10G11100.2 pep chromosome:OR_W1943:10:13215428:13223574:1 gene:ORUFI10G11100 transcript:ORUFI10G11100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSLAAAASDGASSSSASAIVAATVNGHHVLKIVGYSLTKAVPNGKSIRSRPFRAGGHTWHMLYYPNGNRAEKADFVAFYLCLDDAEACSEAVEAKAIFSLLDMEGNPVSSYRFTTRLVNFMEHKKGWGFDFMKRESLEESEYLKDDCFKIQIDVVIITDFHTEEETPLIVVPLSDMRRQFGDLLLSKQGADVKFQVGKKKFDAHRSVLAARSPVFKAQLYGRMRESTTRGAIRIDDMEEEVFRAMLTFVYTDDLPEIKQQDEAAMAQHLLVAADRYNLERMKLICEHNLSKHIDTDSVANILVLAEQHSCHMLKEACLEFLRLSRSLKAVMETDGFGHLISSCPEAAPERESCRSPSSIATPTSYIRRAGAMSRTTTGGKPALSSSSIVSDTVRAHHHLKIDGYSRIKDDLPNGECVSSCPFAVGGHLWRIDFYPNGKRAGRQITISGGGTFTCDTNCMSFYLVLVDEHVPKPVKAQFEFSFKKPKPPPTRSLFGKSKPPPLASAVRSFDCHGSCGGKATEVSKSSVERQIRDDSFTIWCDIAVLNEFRAEGATAASSSSSVAAAASPSYVSVPPSDLHRHLGELLASGDGADVTLEAGGETFKAHRYVLAARSSVLKAELLGPMARSTAAATPTRINDIEAPVFRAMLHFIYTDHLPETARNEEEEEEEEEEEEAAAAMAQHLLEAADRFNLERLKLVCEDKLCRGIGTATVATTLALAEQHGCHGLKEACVEFLRIPGNLSSAMATDGFEHLTTSCPAILKELMSKLAVVSMVNGCFLFRINDYSRKADNVVESTLFSAGGYSWRIRCSSSPPIYSPRCGGPIFFELVLNLGFGGGCGSPIRARCKLTLLDKAGKPAVPSRIRASPVLDWSVDMKWSCSDLVTPEELLQRRRAELLGDRDRLAVRCDIVFTDVLGGAAATARPLPPSDLHQHLGKLLSEKVGADVTFQVAGRGETFAAHRCVLAARSPVFRAQLFGPMKEGSTDSGVIAIDDMEPEVFSSLLNFIYTDSLDDDGDGDDDDGVMAQHQLAAADRYGLDRMKLVCEEKLRRHIDGSSVGSLLVLAERHHCRGLKEACFDFLSSGVKLEEFAGADAFEQLMESSPAVVKELVANLATLLIS >ORUFI10G11110.1 pep chromosome:OR_W1943:10:13245526:13247138:-1 gene:ORUFI10G11110 transcript:ORUFI10G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQSTAGPATPSSLLLPPPLSRVNARRSPSLDQRRRYRASTAGPPQSLHASSRVPRLLDSTREMLQSTAATDGAGRGQSRCCPQSLPKLRIHGRMALPANIVDKDRSIYLLTVEGYIVGLGLTACSLFTIQL >ORUFI10G11120.1 pep chromosome:OR_W1943:10:13265263:13265883:-1 gene:ORUFI10G11120 transcript:ORUFI10G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSGSPKLPYQPFPRQRTTRHWWKGERKRKASSLITYAELDLSKMGIEIGDLPEEVEELQLWQRKRDGCCFSCGQFGHYAIGCTQDANEEQETLPSQISPEENRVPDPSKEVSKIKACSRCGEIGHYGSNCVTQCPYCDEDHQNGECPTTKIACFLCEKTDHVPQDCQLSPLLTKTAEVQRVSLRFAHRLMTSRSHEDPGFPTFD >ORUFI10G11130.1 pep chromosome:OR_W1943:10:13265844:13268577:1 gene:ORUFI10G11130 transcript:ORUFI10G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTEVLDSLNRALALAFVGIELVLCHWKLGEDLQRVTWAGCYNHRRSCLSNDMPSSAVIIAAKPANTLGSLLLGLCLGRLPRQLVSLLQQTGSSSTAIAGVTPECGARNQILRHYNRVDHDDTASRVCSMDARAPTGGAGGSRASRCRCMLASSPPAHPPCGLEAAASTSAVDPSSSCTW >ORUFI10G11140.1 pep chromosome:OR_W1943:10:13268955:13270046:1 gene:ORUFI10G11140 transcript:ORUFI10G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAARRGNPSRSASAIVADTATGYHLLKVDGYSLTKATPTGSSLTSTQFTVGGHRWRIKYYPNGDSADSADYISIYLLLDEKASLDLKVEAKYLISFADQVKTQPSLKYRTVRTFHRQGSWTWGYGKFIKREDFEKSDHLRDDSFTIRCDILVVHKIHTKETAEILPVETFVSVPPSDMNQQFGDLLETEKGADVVLEVGGQTFAAHRCVLAARSPVFRAELYGLMKEGDTAGVVCIEEMEAQVFKVLLRFLYTDSLPEMKEEEDVMCQHLLVAADRYNLERLKLICEEKLCKYISVGTVSNILALADQHHCDGLKKACFNFLGSPANLSAVVAGDGFKHLSKICPSLMEELVVVLALPGSS >ORUFI10G11150.1 pep chromosome:OR_W1943:10:13273987:13280511:1 gene:ORUFI10G11150 transcript:ORUFI10G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSLKYIMVRTFIRGCSWTWGYKKFIKREDFEKSDDLRDDSFTIRCDILILRKIRAEETTEILPAAESFVSVPPSDMNQQFGDLLETEKGADVVFEVGGQTFAAHRCVLAARSPVFRAELYGLMKEGDTAGVVRVEEMEAQVFKVLLRFLYTDSLPEMKEEDVMCQHLLVAADRYNLERLKLICEEKLCKYISVGTVSNILALADQHRCDGLKKACFNFLGSPANLSAVVAVSPAMSPAACRGNPSRSASASAVVVDTATGYHLLKIEGYSLTKGIPTSLSLKSSQFTVGGYRWRIDYFSNGDCADSADYISLFLSLDERANKDVKVRASWRFQIGYTGHVDKPPSLSTAKACTTFGVGPDGSWSWGYDRFIRREDFEKSDNLRDDSFTIRCDIAVVRRFRAEETTEILPVEAFVSVPPSDMNQQFGDLLETEKGADVVFEVGGETLKRYTTNIRHTILSLRGLIYM >ORUFI10G11160.1 pep chromosome:OR_W1943:10:13280674:13288869:1 gene:ORUFI10G11160 transcript:ORUFI10G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGDTAGVVRIEDMEAQVFKLLLRFVYTDSLPEMGNDDEDVMCQHLLVAADRYNLERLKLICEEKLCSYISVDAVSNILALADQHHCDGLKKACFHFLASPGNLNAVITSDGLKHLSRSFPSLMEELVAMLAPLLSHALIDGYSFTKETPTGTPIASGEFTVGGYRWRIEYYPNGRGKKSAEYIPVDIMVPLYLSLDKNTSGEVKVKYQIELADRVKKKKKQPSLISKPVRTFGRADSWSWGFPKFMRRRKFEKSKYLRDDCFTIRCDIVVMREIRTEEATFVSVPPSDLKQQLGYLLETGKGADVVFEVGGGETFAAHRYVLAARSPESDAAAGGVVRIEEMEAQVFKLLLRFVYTDSLPKMKEEDVMCQHLLVAADRYNLKRLKLICEKKLCKYIGVGTVASILALADQHYCDGLKKACFNFLGSSENPPVQARLKFRING >ORUFI10G11170.1 pep chromosome:OR_W1943:10:13288889:13289080:1 gene:ORUFI10G11170 transcript:ORUFI10G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVKTFCEGCMSWGHSNFIEREDFEKSKNLRDDSFTVRCDIVVVCKIRVARRRRRSPSPARP >ORUFI10G11180.1 pep chromosome:OR_W1943:10:13295892:13321288:1 gene:ORUFI10G11180 transcript:ORUFI10G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGGCKHSRSASAIVAGAASGYHLLKIDGYSRIKGLPTGEALKSCAFTVGGYRWRIHCYPNGSKSDYSDFISLFLHLDDGQVTKQVKAQYLFRFLDELDDKPPPSLTSEQRRLGETKFIKREALEKSEHLKKDSFTVRCDIIVTTGFRAEEETAEAQRPRKANFVSVPPSDLQRHLGDLLHNEKGADVVFEAGGETFAAHRCVLAARSPVFSAELFGSMKESDAAGVVRIDDMEAQRLKLICEDMLCKYIDVGTVTTILTLAEQHHCEGLKKACFDFLSSAVNLKAVASGDGIEDLSKSCPSLMKELIAMLGNFTTPCSSPANNGAAAGSTYGYHLLVISNYSHTKETISTGDSIESGQFMLGGHTWHAEYCPNGDDSTNSDCVSFWLVRDDDDDDDDDGDDAVKVQPLKVKFEFSFAEQAAKHEARRSFGRLLGREEGADVTFEVGGETFTAHRCVLAARSKVFEAELFGPMREGAAASVVRIEDMDAEVFRGLLSFIYTDVLPDQGDLGDEAHEWHDDDDDDDEREEEIATWLQKLTVAADRYDLQRLKLLCEEEMYDYISERTVESMLILAEHHHCRVLKDACLDFLSSHGTLREVMEPDGGYGLDHVIENFPSLTKELIGKFAIVMSNISDSSNVSNVHTMGASASSSAGRSKPPPPPPCSSSGVAVSTSRGYHLFEIRNHSRIKSMTPLGRGETAEAADYAAVYLDYVIDSYPPKSVTAYFLLRLVDKVSNDLMDPPPDDDVRAAAVHEFSAGQNSWGYYAFWKKDELESSGRIVDDVLTIRCDVGVVGRYATAKTDAAAAAASVASSVHVPPADLGHQLGALRSRAVGADVTFQVGAGSGAHRCVLAARSPVFEAELYGPMVERDAGRVIRIDDMDPQVFDALLDFMYTDALPGMRKRDAVAMSQQLLVAADRYDLKRLRLLCEHELCKHVNKGTVASMLALVEQQRPSCQGLKKACFEYLRKTPKVLREIMATEAFDHLVNELLLSNKLAIRATAAAAARRGGSGDGARVAGRRPRARARRRPRIDDKKGKRPMSEELTEKVHANAVQIAAGFRKTLMLEGVAPPEGVAKV >ORUFI10G11180.2 pep chromosome:OR_W1943:10:13289083:13295995:1 gene:ORUFI10G11180 transcript:ORUFI10G11180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPSNMNQQLGDLLETGKGADVVFEVAGQTFAARSPVFSVELYGPMKESEPTGVVCVQDMEAQVFKALLRFMYTDSLPKMEEEEGVMCQHLLSPSSSAITSGATSGYYLLVVEGYSRTKDTVPNGDFIRSRPFRVGGYRWVIDYYPNGESSDDADSISVSLQLDQDSERPFMAHYEFSFIDETERQKSTHICSEALFDFSDDNRWGYTNFIRREELEKSKHLKDDCFTIRCDIILKKDGSNTTGDDVAAPLVAVPPSDMHRQFTDLLLTKVGADVTFQVGGETFAAHRCVLAARSTVFMVELFGPMKEGATTASVHISEMVPEAFKAMLAFIYNDTPPPETEEDEDGKVAMWQHLLVAADRYDLPRLKLICEEKLCGHIGVGTATTILLLADKHHCRGLKEACLEFLSSPANLEEVMEHGGLEDVVGTCPSVLVELIAKLALLRTQEATPSSSTSRFRSIFPQFFAKP >ORUFI10G11190.1 pep chromosome:OR_W1943:10:13290819:13291994:-1 gene:ORUFI10G11190 transcript:ORUFI10G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCGVSFVGGGGSAPSAVTAGDVATGFHLLVVNGYSYAHNTPVGECIESRRFTVGGYRWFIEYYPNGKSSSVSNCISVYLVLDDDGVAEPVQAQYQFRLVNQLEKEQLPSIPEVMNYTYFSNSYPSWGRLIRKDVLEQSKFFWDDNFTIRCSVIVAKKLRSKNKESIVVPPSDIRRDFGDLLRTEDGADVTFQVAGELIAGHRCVLAARSSVFKAQILGETNDGSQKADASRHGATEETESDRDEEEDQESEADGVHGEDYDDDDDDDDDDNGGDEMWSPLLVAADRYDLQRLKLICAKKLCERIDASTVADNLGLAEKQHCRLLKEACLEFLKAPANLKVVLASDGLDHITATCPSVLKELLAKFAS >ORUFI10G11200.1 pep chromosome:OR_W1943:10:13300353:13302181:-1 gene:ORUFI10G11200 transcript:ORUFI10G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGVSFICDGVHVCSSPANGAAGSAAYGYHLLVINNYTRTKQAIPNGFRIKSGKFKLGGHTWHIKYCPNGDRSTISGYVSFHLVLDCDGGDGAVAAEPVNAKFEFSFADQVAKHQATRLRATKVCEFSRDCSAWHVGRFVRREALERSRYLVDDCFTVRCDIMVVHAGAGANGVAAATAAPSMAGAVESFGRLLDTKLGADVAFEVGGETFAAHRCVLAARSKVFDAELFGPMKEGTAASVVRIDDMDADLFRGLLSFIYTDELPEREDHGGEKETSSDDDDDDDDDDNGAQSDQKHKQFTWLQQLIVAADRYDLQRLKLLCEEEMYDHIGEKTVETMLILADHHHCRVLKDACLGFLGSHGNLQKMMAADGLDRDTVTSTVIKGGDHL >ORUFI10G11210.1 pep chromosome:OR_W1943:10:13321863:13322534:1 gene:ORUFI10G11210 transcript:ORUFI10G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASSSGERRRRCGSAAIVADTVSEHFDLTIDGYSHIKAMLPTGKCATSPPFTAGGHEWCVDFYPNGKLAAAGDADMIQFFLRLRRAAKAKAAAAAVVAQVRFDLLGDGGSPQAGVCSGTGRVLSFAVDGRGQSMVIRRDAFEQAGCAKDDRFTVRFAVTVFRGCRTAEAAPESRPRRPAPSPASPRLPMCSYTCTVDCQTRNVRSAPTRPSSSTDGGCQV >ORUFI10G11220.1 pep chromosome:OR_W1943:10:13323431:13328136:-1 gene:ORUFI10G11220 transcript:ORUFI10G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAASAAAGEEQQAVGLAARDSSGHLSPFAISRRSTGDDDVAIKILFCGICHSDLHCIKNEWKHSIYPLVPGHEIAGVVTEVGKNVTRFKAGDRVGVGCMVNSCRSCESCNNGFENHCPEGVFTYNSVDKDGTVTYGGYSSMVVVHERFVVMFPEAMPLDVGAPLLCAGITVYTPMKYHGLNAPGKHVGVLGLGGLGHVAVKFARAFGLKVTVISSSPGKKREALERLGADAFVVSSSAEEMEAARSTMDGVINTVSANTPMAPYLALLKPNGKMILVGLPENPLEVPPFSLVHGNRTLAGSNIGGMADTQEMIELAAKHGVTADIEVIGADDVNTAMERLAKADVRYRFVIDVGNTLHAAAAE >ORUFI10G11230.1 pep chromosome:OR_W1943:10:13340793:13354344:1 gene:ORUFI10G11230 transcript:ORUFI10G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGPYLAHSEPKLTPPPLLRPRVISSAFSSIPQYTRPCLQRGGGRETAAMVMPRLQELKEIFDVARGKVSPVILDLEESLLAKGNMTLEEEVTIRVSKMTASVFKVFATAAFGASSYYALGLGPKIGEPPIPRFPRIGVSTGIAWFGGKFVYYTALRAGAEFILKRGEERMKMELANIILNKHSDEKTLVEAVKENFFAEHLFSDQYQDRQLFRWHLRNTYVDSAFMERVKEIEVKNSDDGSGSISGHRTTNTRSFGDLMEDPLACILGSSDSNIQSNKSAEHTGTTVKRREVRAHRRSHRHHHHHHHRHADKFSALCVNARIVLRTSSRRRPIGVDFWDEYFASTSKMKLIWMFDVSSMSRNMPLHMLADLHMGRYPSGPRCFRPNLWPAEHRNMGLSAHFAAPTPIPNYPSSSCDLRRFSSPPFRTPRKAKGGERERGGDETAAMVMQQVQVLKEVSALLRGKETPVILDLEESLRAKGDMTQGEEVKIYASKVTAVLCKAFATATSALVGYHVLGVGAKLLGNPPLPRIPRIGMAAGSAWIVGKFAYYTALQASPIFILEGGEERMKMELANIILTKHNDEKTLVEAVKQHFFAEHLFSDQYQDMPLFRWRLRHTYVDSTFMERVKEIEVKNSSNGSGSISGHRTTNTRSFGDLMEDPLACILGSPDGDIENKKSAENTGTIVKRREDYPSDFQSQATDRSRFSGMNISVHEQNEFHRDDDCYLDRNWGSKPSHAFADY >ORUFI10G11230.2 pep chromosome:OR_W1943:10:13338562:13350255:1 gene:ORUFI10G11230 transcript:ORUFI10G11230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSQQPASAIKAPTTSGYHRLRIDYYRSLGSPTGWALSSRDFVVGGRQWRISYYPNGNRPENAEFISVFLCLDSSSPKPAMLQVTITFDDEAKKQSQLRKAPVITIAPGACWGYHRFVKRDDLARSKRIRPDGFFTIRCDVSLIDHFTAQEDEPVFVSVPPSELRRDLGGLLDTGSGGDVVFQVGGEAFTAHRGLLAARSPVLAAALYGPMMEGGGLQGGVAIKIDDMDPLVFKALLRYAYTDSLPPQMQQGELEEEGRAMAQHLLAAADRYGMERLRLLCEAQLCKHIEVASVASILILADQHGCSGLKNACFEFLKSPGKFAAAMATQEYDYLKTNHCALADEVVKGNQAKWMLATVETFMRHRLNSKSILETATREIALHASTSNETPPVFLDLEESLRAKGDMTLREEATLKVLKVVATLGKAISTGAIAAVGYYVPGIVGAKFGEPSLPRLPRFAMAAVTSWFAGKVMYYAILQGSTEFILKHGEERMKMELANIILNKHSDDKTLVEAVKTQFFAEHLFSDQYQDRTLFRWHLRRTYVDSAFMERVKEIEVKSSNDGPGLISGQRIISTRPFGDLMEDPLACILGSPDSNMESNKSAEHTGTIVKRREIACPPAVLVEPIRLQPNVPICSLLARSRTGSNLEVSPVILDLEESLLAKGNMTLEEEVTIRVSKMTASVFKVFATAAFGASSYYALGLGPKIGEPPIPRFPRIGVSTGIAWFGGKFVYYTALRAGAEFILKRGEERMKMELANIILNKHSDEKTLVEAVKENFFAEHLFSDQYQDRQLFRWHLRNTYVDSAFMERVKEIEVKNSDDGSGSISGHRTTNTRSFGDLMEDPLACILGSSDSNIQSNKSAEHTGTTVKRREVRAHRRSHRHHHHHHHRHADKFSALCVNARIVLRTSSRRRPIGVDFWDEYFASTSKMKLIWMFDVSSMSRNISTHGPIS >ORUFI10G11230.3 pep chromosome:OR_W1943:10:13338562:13354344:1 gene:ORUFI10G11230 transcript:ORUFI10G11230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSQQPASAIKAPTTSGYHRLRIDYYRSLGSPTGWALSSRDFVVGGRQWRISYYPNGNRPENAEFISVFLCLDSSSPKPAMLQVTITFDDEAKKQSQLRKAPVITIAPGACWGYHRFVKRDDLARSKRIRPDGFFTIRCDVSLIDHFTAQEDEPVFVSVPPSELRRDLGGLLDTGSGGDVVFQVGGEAFTAHRGLLAARSPVLAAALYGPMMEGGGLQGGVAIKIDDMDPLVFKALLRYAYTDSLPPQMQQGELEEEGRAMAQHLLAAADRYGMERLRLLCEAQLCKHIEVASVASILILADQHGCSGLKNACFEFLKSPGKFAAAMATQEYDYLKTNHCALADEVVKGNQAKWMLATVETFMRHRLNSKSILETATREIALHASTSNETPPVFLDLEESLRAKGDMTLREEATLKVLKVVATLGKAISTGAIAAVGYYVPGIVGAKFGEPSLPRLPRFAMAAVTSWFAGKVMYYAILQGSTEFILKHGEERMKMELANIILNKHSDDKTLVEAVKTQFFAEHLFSDQYQDRTLFRWHLRRTYVDSAFMERVKEIEVKSSNDGPGLISGQRIISTRPFGDLMEDPLACILGSPDSNMESNKSAEHTGTIVKRREIACPPAVLVEPIRLQPNVPICSLLARSRTGSNLEVSPVILDLEESLLAKGNMTLEEEVTIRVSKMTASVFKVFATAAFGASSYYALGLGPKIGEPPIPRFPRIGVSTGIAWFGGKFVYYTALRAGAEFILKRGEERMKMELANIILNKHSDEKTLVEAVKENFFAEHLFSDQYQDRQLFRWHLRNTYVDSAFMERVKEIEVKNSDDGSGSISGHRTTNTRSFGDLMEDPLACILGSSDSNIQSNKSAEHTGTTDYPSDFQSQATDRSRFSGMNISVHEQNEFHRDDDCYLDRNWGSKPSHAFADY >ORUFI10G11230.4 pep chromosome:OR_W1943:10:13338562:13354344:1 gene:ORUFI10G11230 transcript:ORUFI10G11230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSQQPASAIKAPTTSGYHRLRIDYYRSLGSPTGWALSSRDFVVGGRQWRISYYPNGNRPENAEFISVFLCLDSSSPKPAMLQVTITFDDEAKKQSQLRKAPVITIAPGACWGYHRFVKRDDLARSKRIRPDGFFTIRCDVSLIDHFTAQEDEPVFVSVPPSELRRDLGGLLDTGSGGDVVFQVGGEAFTAHRGLLAARSPVLAAALYGPMMEGGGLQGGVAIKIDDMDPLVFKALLRYAYTDSLPPQMQQGELEEEGRAMAQHLLAAADRYGMERLRLLCEAQLCKHIEVASVASILILADQHGCSGLKNACFEFLKSPGKFAAAMATQEYDYLKTNHCALADEVVKGNQAKWMLATVETFMRHRLNSKSILETATREIALHASTSNETPPVFLDLEESLRAKGDMTLREEATLKVLKVVATLGKAISTGAIAAVGYYVPGIVGAKFGEPSLPRLPRFAMAAVTSWFAGKVMYYAILQGSTEFILKHGEERMKMELANIILNKHSDDKTLVEAVKTQFFAEHLFSDQYQDRTLFRWHLRRTYVDSAFMERVKEIEVKSSNDGPGLISGQRIISTRPFGDLMEDPLACILGSPDSNMESNKSAEHTGTIVKRREIACPPAVLVEPIRLQPNVPICSLLARSRTGSNLEVSPVILDLEESLLAKGNMTLEEEVTIRVSKMTASVFKVFATAAFGASSYYALGLGPKIGEPPIPRFPRIGVSTGIAWFGGKFVYYTALRAGAEFILKRGEERMKMELANMWRLRHTYVDSTFMERVKEIEVKNSSNGSGSISGHRTTNTRSFGDLMEDPLACILGSPDGDIENKKSAENTGTIVKRREDYPSDFQSQATDRSRFSGMNISVHEQNEFHRDDDCYLDRNWGSKPSHAFADY >ORUFI10G11230.5 pep chromosome:OR_W1943:10:13338562:13354344:1 gene:ORUFI10G11230 transcript:ORUFI10G11230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSQQPASAIKAPTTSGYHRLRIDYYRSLGSPTGWALSSRDFVVGGRQWRISYYPNGNRPENAEFISVFLCLDSSSPKPAMLQVTITFDDEAKKQSQLRKAPVITIAPGACWGYHRFVKRDDLARSKRIRPDGFFTIRCDVSLIDHFTAQEDEPVFVSVPPSELRRDLGGLLDTGSGGDVVFQVGGEAFTAHRGLLAARSPVLAAALYGPMMEGGGLQGGVAIKIDDMDPLVFKALLRYAYTDSLPPQMQQGELEEEGRAMAQHLLAAADRYGMERLRLLCEAQLCKHIEVASVASILILADQHGCSGLKNACFEFLKSPGKFAAAMATQEYDYLKTNHCALADEVVKGNQAKWMLATVETFMRHRLNSKSILETATREIALHASTSNETPPVFLDLEESLRAKGDMTLREEATLKVLKVVATLGKAISTGAIAAVGYYVPGIVGAKFGEPSLPRLPRFAMAAVTSWFAGKVMYYAILQGSTEFILKHGEERMKMELANIILNKHSDDKTLVEAVKTQFFAEHLFSDQYQDRTLFRWHLRRTYVDSAFMERVKEIEVKSSNDGPGLISGQRIISTRPFGDLMEDPLACILGSPDSNMESNKSAEHTGTIVKRREIACPPAVLVEPIRLQPNVPICSLLARSRTGSNLEVSPVILDLEESLLAKGNMTLEEEVTIRVSKMTASVFKVFATAAFGASSYYALGLGIAWFGGKFVYYTALRAGAEFILKRGEERMKMELANMWRLRHTYVDSTFMERVKEIEVKNSSNGSGSISGHRTTNTRSFGDLMEDPLACILGSPDGDIENKKSAENTGTIVKRREDYPSDFQSQATDRSRFSGMNISVHEQNEFHRDDDCYLDRNWGSKPSHAFADY >ORUFI10G11230.6 pep chromosome:OR_W1943:10:13338562:13354344:1 gene:ORUFI10G11230 transcript:ORUFI10G11230.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSQQPASAIKAPTTSGYHRLRIDYYRSLGSPTGWALSSRDFVVGGRQWRISYYPNGNRPENAEFISVFLCLDSSSPKPAMLQVTITFDDEAKKQSQLRKAPVITIAPGACWGYHRFVKRDDLARSKRIRPDGFFTIRCDVSLIDHFTAQEDEPVFVSVPPSELRRDLGGLLDTGSGGDVVFQVGGEAFTAHRGLLAARSPVLAAALYGPMMEGGGLQGGVAIKIDDMDPLVFKALLRYAYTDSLPPQMQQGELEEEGRAMAQHLLAAADRYGMERLRLLCEAQLCKHIEVASVASILILADQHGCSGLKNACFEFLKSPGKFAAAMATQEYDYLKTNHCALADEVVKGNQAKWMLATVETFMRHRLNSKSILETATREIALHASTSNETPPVFLDLEESLRAKGDMTLREEATLKVLKVVATLGKAISTGAIAAVGYYVPGIVGAKFGEPSLPRLPRFAMAAVTSWFAGKVMYYAILQGSTEFILKHGEERMKMELANIILTKHNDEKTLVEAVKQHFFAEHLFSDQYQDMPLFRWRLRHTYVDSTFMERVKEIEVKNSSNGSGSISGHRTTNTRSFGDLMEDPLACILGSPDGDIENKKSAENTGTIVKRREDYPSDFQSQATDRSRFSGMNISVHEQNEFHRDDDCYLDRNWGSKPSHAFADY >ORUFI10G11230.7 pep chromosome:OR_W1943:10:13338562:13354344:1 gene:ORUFI10G11230 transcript:ORUFI10G11230.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSQQPASAIKAPTTSGYHRLRIDYYRSLGSPTGWALSSRDFVVGGRQWRISYYPNGNRPENAEFISVFLCLDSSSPKPAMLQVTITFDDEAKKQSQLRKAPVITIAPGACWGYHRFVKRDDLARSKRIRPDGFFTIRCDVSLIDHFTAQEDEPVFVSVPPSELRRDLGGLLDTGSGGDVVFQVGGEAFTAHRGLLAARSPVLAAALYGPMMEGGGLQGGVAIKIDDMDPLVFKALLRYAYTDSLPPQMQQGELEEEGRAMAQHLLAAADRYGMERLRLLCEAQLCKHIEVASVASILILADQHGCSGLKNACFEFLKSPGKFAAAMATQEYDYLKTNHCALADEVVKGNQAKWMLATVETFMRHRLNSKSILETATREIALHASTSNETPPVFLDLEESLRAKGDMTLREEATLKVLKVVATLGKAISTGAIAAVGYYVPGIELNLVNHLFLGYQDSPWLLVMYYAILQGSTEFILKHGEERMKMELANIILTKHNDEKTLVEAVKQHFFAEHLFSDQYQDMPLFRWRLRHTYVDSTFMERVKEIEVKNSSNGSGSISGHRTTNTRSFGDLMEDPLACILGSPDGDIENKKSAENTGTIVKRREDYPSDFQSQATDRSRFSGMNISVHEQNEFHRDDDCYLDRNWGSKPSHAFADY >ORUFI10G11230.8 pep chromosome:OR_W1943:10:13338562:13344386:1 gene:ORUFI10G11230 transcript:ORUFI10G11230.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSQQPASAIKAPTTSGYHRLRIDYYRSLGSPTGWALSSRDFVVGGRQWRISYYPNGNRPENAEFISVFLCLDSSSPKPAMLQVTITFDDEAKKQSQLRKAPVITIAPGACWGYHRFVKRDDLARSKRIRPDGFFTIRCDVSLIDHFTAQEDEPVFVSVPPSELRRDLGGLLDTGSGGDVVFQVGGEAFTAHRGLLAARSPVLAAALYGPMMEGGGLQGGVAIKIDDMDPLVFKALLRYAYTDSLPPQMQQGELEEEGRAMAQHLLAAADRYGMERLRLLCEAQLCKHIEVASVASILILADQHGCSGLKNACFEFLKSPGKFAAAMATQEYDYLKTNHCALADEVVKGNQAKWMLATVETFMRHRLNSKSILETATREIALHASTSNETPPVFLDLEESLRAKGDMTLREEATLKVLKVVATLGKAISTGAIAAVGYYVPGIVGAKFGEPSLPRLPRFAMAAVTSWFAGKVMYYAILQGSTEFILKHGEERMKMELANIILNKHSDDKTLVEAVKTQFFAEHLFSDQYQDRTLFRWHLRRTYVDSAFMERVKEIEVKSSNDGPGLISGQRIISTRPFGDLMEDPLACILGSPDSNMESNKSAEHTGTIVKRREVRAHRRSHRHHHRHADKFSALLLAHLQFWLNQSGCNLMCPSAVC >ORUFI10G11230.9 pep chromosome:OR_W1943:10:13350198:13354344:1 gene:ORUFI10G11230 transcript:ORUFI10G11230.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLADLHMGRYPSGPRCFRPNLWPAEHRNMGLSAHFAAPTPIPNYPSSSCDLRRFSSPPFRTPRKAKGGERERGGDETAAMVMQQVQVLKEVSALLRGKETPVILDLEESLRAKGDMTQGEEVKIYASKVTAVLCKAFATATSALVGYHVLGVGAKLLGNPPLPRIPRIGMAAGSAWIVGKFAYYTALQASPIFILEGGEERMKMELANIILTKHNDEKTLVEAVKQHFFAEHLFSDQYQDMPLFRWRLRHTYVDSTFMERVKEIEVKNSSNGSGSISGHRTTNTRSFGDLMEDPLACILGSPDGDIENKKSAENTGTIVKRREDYPSDFQSQATDRSRFSGMNISVHEQNEFHRDDDCYLDRNWGSKPSHAFADY >ORUFI10G11240.1 pep chromosome:OR_W1943:10:13354418:13362804:-1 gene:ORUFI10G11240 transcript:ORUFI10G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPFVTKWSHRSHEPAAPSNPAAQQQPPPPSPAGVGVSSAAAAAVVEEAEMETGGDDFITQEEEYQIQLAMALSASASVSAPSGGGGSGDTEGEQIRKAKLMSLGRGDLSAAADRGVGDSAEALSRRYRDYNFLDYNEKVIDGFYDIFGLSAESARQGKMPSLAELQTSIGDLGFEVIVVDHKFDSALQEMMEVAQCCMLGCPDTTVLVRRIAEVVAGHMGGPVIDATEMFTKWLGKSIEQRTSHQTSLLPIGRIDIGLSRHRALLFKILADSVGIPCKLVKGSHYTGVEDDAINIVKMNNEREFLVDVMAAPGTLIPADVFISKGTPFNLTKPLVQNQVVELASNIENDPSAAHSEHVGNRLHMFGNGNSLSENQSGCEKTMIAGSEVSQLWTLAPQMQSDQQSTSAGAHSMQKEDLKLTPDSQENEESKKQISETDSFRGIELGKSSLAFKGLNNRNNEYQRRRENIAPAPGRSQQPLVMKNWSACNDISNKQYNIAERLVRRRNTSDNAASSSQLAWSTAKHYNPNGRERNDRLCAAPGRNYDNRKVGASTTATASATGERLDRPNLAPVHYYDDKPNGISSVNAASTSGIVKVAEKGPHDLEKVPIYSRFDSQIYSSMQGYSPEVKENKENYDRHDNMRLHPDPRRSPLDRFMDTSRQNSESVSPPQAGSSTVDMVLGEVSECEILWEDLLIGERIGLGSYGEVYHADWNGTEVAVKKFLDQEFYGDALAEFRCEVRIMRRLRHPNIVLFMGAVTRPPHLSIVSEYLPRGSLYTIIHRPDCQIDEKCRIKMALDVARGMNCLHTSVPTIVHRDLKSPNLLVDNNWTVKVCDFGLSRLKHGTFLSSKSTAGTPEWMAPEVLRNEQCDVYSFGVILWELATLQMPWSGMNPMQVVGAVGFQDRRLDIPMEVDPLVASIIQDCWQKDPNLRPSFSQLTSYLNTLQRLVIPCHQETAGSYVPQEISLYR >ORUFI10G11240.2 pep chromosome:OR_W1943:10:13354418:13362804:-1 gene:ORUFI10G11240 transcript:ORUFI10G11240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPFVTKWSHRSHEPAAPSNPAAQQQPPPPSPAGVGVSSAAAAAVVEEAEMETGGDDFITQEEEYQIQLAMALSASASVSAPSGGGGSGDTEGEQIRKAKLMSLGRGDLSAAADRGVGDSAEALSRRYRDYNFLDYNEKVIDGFYDIFGLSAESARQGKMPSLAELQTSIGDLGFEVIVVDHKFDSALQEMMEVAQCCMLGCPDTTVLVRRIAEVVAGHMGGPVIDATEMFTKWLGKSIEQRTSHQTSLLPIGRIDIGLSRHRALLFKILADSVGIPCKLVKGSHYTGVEDDAINIVKMNNEREFLVDVMAAPGTLIPADVFISKGTPFNLTKPLVQNQVVELASNIENDPSAAHSEHVGNRLHMFGNGNSLSENQSGCEKTMIAGSEVSQLWTLAPQMQSDQQSTSAGAHSMQKEDLKLTPDSQENEESKKQISETDSFRGIELGKSSLAFKGLNNRNNEYQRRRENIAPAPGRSQQPLVMKNWSACNDISNKQYNIAERLVRRRNTSDNAASSSQLAWSTAKHYNPNGRERNDRLCAAPGRNYDNRKVGASTTATASATGERLDRPNLAPVHYYDDKPNGISSVNAASTSGIVKVAEKGPHDLEKVPIYSRFDSQIYSSMQGYSPEVKENKENYDRHDNMRLHPDPRRSPLDRFMDTSRQNSESVSPPQAGSSTVDMVLGEVSECEILWEDLLIGERIGLGSYGEVYHADWNGTEVAVKKFLDQEFYGDALAEFRCEVRIMRRLRHPNIVLFMGAVTRPPHLSIVSEYLPRCDVYSFGVILWELATLQMPWSGMNPMQVVGAVGFQDRRLDIPMEVDPLVASIIQDCWQKDPNLRPSFSQLTSYLNTLQRLVIPCHQETAGSYVPQEISLYR >ORUFI10G11250.1 pep chromosome:OR_W1943:10:13369057:13369569:1 gene:ORUFI10G11250 transcript:ORUFI10G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTQPQLVESEPVKKLQRLPPVFSRVLELPFPRDTNVRKLFTTNADLFFVPHGVGGEPDVVKVHIVRLERWDMTRVVVHIGPGEPDLRNDLVYDKWRFPLAETSILSMVMAGYVNGQLIVVVPRMDASGDGGNEGIPMWPNIDKRGGGGGGGGGGGFGLLAGASYIPTK >ORUFI10G11260.1 pep chromosome:OR_W1943:10:13372683:13382300:1 gene:ORUFI10G11260 transcript:ORUFI10G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSARDYDSIPIEGQFISVANLKERIFESKHLGRGTDFDLMISNAQTDEEYADEATMIPKNTSVLIRRIPGRPRKPIVTEPEETKAMEGRVEETMPSGSAFLADASMKYPEESEWDDEFGNDLYVSDSVPSQLGSQAVDASENKVDEDSKIKALIDTSALDYSQIPDGYGGGRGYGRGMGGRMMGGRGFGRGGLERRTPPAGYVCHRCKVPGHFIQHCPTNGDARFDMKRMKPPTGIPKSMLMATPDGSYALPSGAGAVLKPNEAAFEKEIEGLPTTRSLGDLPPELRCPLCKEVMKDAVLTSIRDYIINKSMCVCGATSILADDLLPNKTLRETISRILEAPPTSTVSAASKEEPKRTPAPVEESPDVESHSEVKTTNVDMSSSDKKVPALPDVVEGTMESKILKEKTPEATPVVKESQEKMPVVGEQVVKKKKKKKVRAPGNAEDWKPYQDFGAENFAGMPMGPAGGFNPYWGGGMPLPMDYMGAPFPGPMPYMGYPPGPFDPFGGGVLPQDPFMPPGYMMPAVPRDLSELAVNSMGMNMGPPVVSRDEFELRKPDNRRRREMERFNERERERGHSRERERERERERERERERERERERDRDRNRDRDRDQERDRDRERDRERESRREARESSGANNDSTTSMRPKARSRSSQPADRSAPPPPASPDRHSRRSPHRSSGSGKKRSSSDRYDDLPLPPPPPPASRHEAAEHAHAKAAAAAAAAADARSKAKGSVFSRISFPGGDGNPSDAKRSRRSSSDKPPASSSSSSKKAAAAVAEDNDGRHHRRHHREAAAAAEERRRPAAGDYYGEEEEDDESEEEQHFKRRPSSSSRREREHQEAQEEPRRSRERRGGGSDGNHHHNHGGGGGHKRR >ORUFI10G11270.1 pep chromosome:OR_W1943:10:13382776:13383399:1 gene:ORUFI10G11270 transcript:ORUFI10G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQEERPPAVADGTKITIGEALEAAALSAGDQPVEPSDAAAIEAAEARAAGRLQDDDDDDDDDADAAAAPAGLAARARAAADANARAERDEDKTTLGDVLADAAAKLGGADKEVEREDAVRVVGVEVRSKPDAAARPGGVAASIAAAARLNRGRQ >ORUFI10G11280.1 pep chromosome:OR_W1943:10:13400357:13401118:1 gene:ORUFI10G11280 transcript:ORUFI10G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCLPTAGLRRALTLPSPSPAAAVGHEEGSSTSAASTSVGTGSPPSSSSASTSSPAFLDDLDPPLYLDDVEAEADAGGLSTAIASRRLFFESPGRSNSIVDSAEHPAAAAAAVVPRGNGGASTSSSSSSGRPAAAPSRAAAAATGKGVRVCGDEQARPVPVSTAAPREEFLKSMTEMVDAMGLDVARRGGDRARLHELLLSYIALNDRDALPDILGAFTDLLLALNAHGPAATPADGVVRERGGGGDARRKA >ORUFI10G11290.1 pep chromosome:OR_W1943:10:13411273:13413962:-1 gene:ORUFI10G11290 transcript:ORUFI10G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFRGSLIVNRVSSNAKPETPKIQSPSERDRSDESKLPSNAKEVEAMRLDSSARNPLVAFSFEELRAVTSNFRQDSLIGGGGFGRVYKGAVAASAAGDGDGAEPQPVAVKVHDGDNSFQGHREWLAEVIFLGHLSHPNLVRLVGYCCEGDHRLLVYEYMPRGSVESHLFSRVMAPLSWATRMKIALGAARGLAFLHEAEKPVIYRDFKTSNILLDEEFNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYVMTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPPREQTLADWALPLLTHKRKVMSIVDPRLSAAAAAAGAGGELPARAVHKAAMLAYHCLNRNPKARPLMRDIVASLEPLQADDEARDAAGA >ORUFI10G11300.1 pep chromosome:OR_W1943:10:13415616:13415955:1 gene:ORUFI10G11300 transcript:ORUFI10G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNALSFLLRLLAGAASGGCGCGCGCRHTDQDVEIRVAFSQRLLARFCIVSVPGGDVTTAKMGVSV >ORUFI10G11310.1 pep chromosome:OR_W1943:10:13417185:13419429:1 gene:ORUFI10G11310 transcript:ORUFI10G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGASSIILGWLAGAQQLLLSCSAALVIVVVVIGVVAIVVVGQLEQKGQRSYDQQRTST >ORUFI10G11320.1 pep chromosome:OR_W1943:10:13427291:13429865:1 gene:ORUFI10G11320 transcript:ORUFI10G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAVEGTRAGSENGAQRNRKEAMFGPFHGTRLQPQRSQDAMRCREVINATADNLLSYPTATKNKGKKKE >ORUFI10G11330.1 pep chromosome:OR_W1943:10:13429807:13432016:-1 gene:ORUFI10G11330 transcript:ORUFI10G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVDPMALGLGTSGGGGGGESAVGGDGAEPVDLVEHPSGIVPTLQNIVSTVNLDCRLDLKKIALQARNAEYNPKRFAAVIMRIRDPKTTALIFASGKMVCTGAKSEDHSKLAARKYARIVQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKYRKEIYAAFENMFPVLTEYRKTQQRWRATA >ORUFI10G11330.2 pep chromosome:OR_W1943:10:13430046:13432016:-1 gene:ORUFI10G11330 transcript:ORUFI10G11330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVDPMALGLGTSGGGGGGESAVGGDGAEPVDLVEHPSGIVPTLQNIVSTVNLDCRLDLKKIALQARNAEYNPKRFAAVIMRIRDPKTTALIFASGKMVCTGAKSEDHSKLAARKYARIVQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKYRKEIYAAFENMFPVLTEYRKTQQRW >ORUFI10G11340.1 pep chromosome:OR_W1943:10:13432812:13436261:-1 gene:ORUFI10G11340 transcript:ORUFI10G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRGGAQGRALRAGTSSTAVAFTPPPSSPNSPGRRSPPSLPSAGGVLSSHAPARRPLRRRFLLRVARWVEELGSGVRGLGNSWASVTNPYDAAASPLADPSGVTPHRPSGPTSLLWREKAAVMEVMKESVRRKRECVQHVLNAKTENVSFLFPSPLPLISTTIATSTPLHQRPILHLDTLPEFEFKYTSLATHDTGEEKTGF >ORUFI10G11350.1 pep chromosome:OR_W1943:10:13441045:13442516:-1 gene:ORUFI10G11350 transcript:ORUFI10G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAGDRGEEEKTGTNKHGLVPEDLGLLDILDDKHTQARPRTRHEFSSRRVPAPIGANPDDHLSISVGGAAALATRRLLIEEAKAWRRLPREIAGEKKSGMNKHGFPRVFRFVPDDLELLNILDDKLRGVPIDRADDAVDFHETRILDFHRPTSYRCPFFFSGDGLRAPGDCMRICGHLLLQHEEEEGDRSFGGDLARSRRQHLLLRRGANARARDLDRISRLAASRPQSAPTPTTSSVSGAAARVSTADRVLDRMKLVRAARSGRWKSLGSCKKVGVRRRRRPEEHVFDGDKNPVVLERGHGGTGRRVRQDAVGARGSERPVESLRQLQLPLAGISPCRSTNTCGGHGAAIAHRAPARGRGPVTMMFMANQANVAPHRTQSSMSELHQEWHQTTSTPTMPRGGAEF >ORUFI10G11360.1 pep chromosome:OR_W1943:10:13443080:13443556:1 gene:ORUFI10G11360 transcript:ORUFI10G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAIPPPVRGQGRGAPRPPSIVPAAPRGGAAWRGVPLSIGVDAASPLVPATASTHRREIVLDDVDKRPHVDDDAALLLHIGVRLPATATPPPEDVAGDDMIDAPPPPPPPPMEVQLGADVLPPQPAVEIDDDDVRDAPLPPATEVFHDDGAAAAKAP >ORUFI10G11370.1 pep chromosome:OR_W1943:10:13448134:13448998:1 gene:ORUFI10G11370 transcript:ORUFI10G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVFLSPPGGKPERTGTGVFIPRQGGAPTEPKKKLSAHLL >ORUFI10G11380.1 pep chromosome:OR_W1943:10:13455953:13456228:-1 gene:ORUFI10G11380 transcript:ORUFI10G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEDGHEQDAVGARGSERPVESLRQLQLPLGWACASARLSGWRHRRRRRSASTSRDREATNWTSCFTDTKNHLAFLFKDDDGNARRRCT >ORUFI10G11390.1 pep chromosome:OR_W1943:10:13457542:13458333:1 gene:ORUFI10G11390 transcript:ORUFI10G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIGVGAGAPLPVDGRGKGRAPRPSSVRFPLKREFAGEATLRMAPRRVRVTASDPPINDSLEGVRELFAEADDPNTAPTGGNGSDKPEDAAPVEDHAHVPAALEATPSTTTAMATEVLLLGDARAGEEAVDDDEGLVEEPQAAENVGAAAPAAP >ORUFI10G11400.1 pep chromosome:OR_W1943:10:13460423:13467779:1 gene:ORUFI10G11400 transcript:ORUFI10G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWPITKGKEERKYRGVSENRTSTPSNVYGAIKDEIFGLEGCGTNCQPDEFTQHDECEGR >ORUFI10G11410.1 pep chromosome:OR_W1943:10:13469224:13470336:1 gene:ORUFI10G11410 transcript:ORUFI10G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTMTLAASAGDGSPSSRSTVTTIAAQAYHVLKINGYSNTLEAGRHHALSSCPFSAGGHTWHVSYYPNGGRDSNKNCISIFLVLKDIVTEEDVMAKVTFSLLDRYGNPVPSYTYHTQLRNFSTSPSRAKGFENFIRRDELERSEYLNDDCFAVAVHVIVPKEKPSIVVPPSNMHLHFVDLLVSKEGTDVKFLVGGEMFAAHRLVLAARSPVFKAELFGPTKKGTIDVIQIDNMEARVFKALLDFIYTDIWPEIGHGEDNVAMAQRLLAAADMYGLQRLKFVYEDKLCNHIDTGSASTMLVLAEKHHCCKLKEACFTFLSSMSPPIVEDLNSSIFGSESEKTVSSSDNHGSQINRIDIRIQPWQNADV >ORUFI10G11420.1 pep chromosome:OR_W1943:10:13473370:13475415:-1 gene:ORUFI10G11420 transcript:ORUFI10G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATAGLVEAQRRPARSKAVRRRQGSCGGLDVYGQASVEVEASAPTSPSDVGLDAGMTTTTTAAAAALTSSVGDGPPPSRSTTTITAGRTYHILKIEGYSSTLKAGRGQALRSSPFSAGGRTWYISYYPNGGRETNKHCISFFIHLDDDTVNDDVMAQVTFSLLDRHGNPVRSHTVTTTLYNFSVASSNALGFENFIRRDDLQRSEYLNDDCFAIAVRLVITEESPSFTVPPSNMHLDYGDLLSSKEGTDVEFVVGGETFAAHRLVLAARSPVFKAELFKPMEEGTTDVIKIDNMDAQVFKALLVFIYTDTWPEIGQDETTMVQQLLVAANKYSLSRLKIMCEDKLCSYIDTSSVVTMLMLADKYQCHGLKKVCFNFLASSRALSLVMKADNFWCLIQSHPTMLKDLIYNIVTHQLEIKLSV >ORUFI10G11430.1 pep chromosome:OR_W1943:10:13474204:13477478:1 gene:ORUFI10G11430 transcript:ORUFI10G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEERDAVLVGFSPSVWVVADIPGATSRTERRGTEGLATTGFQASTPMYLPPRARVPLNRHPSRPQSPPLLRASLTRRRNRRPPSASQAAAPLRRFPTIIDLLRPLSHRRQGGDAPTEPHCRDTDAHPVHSPAPLRRAAGSTSVACLLPGLLCAIIDIRQVLLFPPPEAPPPSRAGTSTPALLIPFHPVLHCRSTRPPLRRHGSQPPDPLPSPSSAPPLTSTSTDACPYTSSPPQLPCRRRTALLLAGLLCASTSPAVAFIVDSLISKAQAGMVEQPPQIRSVRHLGLRRVWNASVHTSVFAQLRSSSPPPVHGTGVADPSSANCHPSTTDLLSKPPPQALAIPYPSHHLVADPPSPEPHHAASMFLRSSSRA >ORUFI10G11430.2 pep chromosome:OR_W1943:10:13474204:13477478:1 gene:ORUFI10G11430 transcript:ORUFI10G11430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEERDAVLVGFSPSVWVVADIPGATSRTERRGTEGLATTGFQASTPMYLPPRARVPLNRHPSRPQSPPLLRASLTRRRNRRPPSASQAAAPLRRFPTIIDLLRPLSHRRQGGDAPTEPHCRDTDAHPVHSPAPLRRAAGSTSVACLLPGLLCAIIDIRQVLLFPPPEAPPPSRAGTSTPALLIPFHPVLHCRSTRPPLRRHGSQPPDPLPSPSSAPPLTSTSTDACPYTSSPPQLPCRRRTALLLAGLLCASTSPAVAFIVDSLISKDHASTGWDGGAASTDPFCQASWLTVCRA >ORUFI10G11430.3 pep chromosome:OR_W1943:10:13474204:13477478:1 gene:ORUFI10G11430 transcript:ORUFI10G11430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEERDAVLVGFSPSVWVVADIPGATSRTERRGTEGLATTGFQDHASTGWDGGAASTDPFCQASWLTVCRA >ORUFI10G11440.1 pep chromosome:OR_W1943:10:13479562:13480167:1 gene:ORUFI10G11440 transcript:ORUFI10G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTTARLAVAVQRMQADGDAAQGGARRSSARVQERRPARRRSSGHAKTEQRPARWWHGCTARGSVSAYDLQTPCPHARLFSAPLPNPMASRWTRTSNRVGNDHHLRAVLLASFPPSPPGSAAFLADLVNTGFVTDGLSYDRAASRLFPHEPRCLVPLSPLLTSPGMEGLIDSRRHVSCVASLACSDSPPICSPTGRGRVG >ORUFI10G11450.1 pep chromosome:OR_W1943:10:13491527:13495987:1 gene:ORUFI10G11450 transcript:ORUFI10G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATPTPNVVDGDCGGTPPSRSASTIIAARTLHVLTIHGYSDTLKSNVDPSQHLLLSSPFSAGGHTWCIRYCPIGCTEESKDFISIYLVLEDTITDVVSAQVTFSLLDQQGNPMPSHTLTTPLLKFSLQGTLPKALGYNSFIRRDDLERSGHLKDDCFAIGVHVVVTKEAEPSSITVPPSDMHLHYGDLLSSEERYATDVEFLVGGETFTAHRLVLAARSPVFMVELFGPMKEGTTVNKIHIFDMEAQVFRALLKFIYTDMLPEMDQEDETAMAQHLLVAADKYGLHRLKMICVEILSNHIDAYSVATILVLAEKHYCYGLKEACFEFLNSSAILSAIVNTSDFLYLIQSCPDVLEDISFNIVARQLERAIFLSENQEGQINSVEIRINSAARGKESVGATTDGGVRGHAENRQVAREQGTLLIND >ORUFI10G11460.1 pep chromosome:OR_W1943:10:13497162:13499446:1 gene:ORUFI10G11460 transcript:ORUFI10G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCAATAHWAFYPPSKQLPMVVPAPLGIWDGLRSVGGGCRRDDGAWIYGTTAAGLHRRLSSSSQASSYQCCLDALCGGGFSLRRRCLVHLHRRKEQKGGRRLNSRRPLFPFFLSRHHREQPIFISSPRVHVLFLFLFFFPPCV >ORUFI10G11470.1 pep chromosome:OR_W1943:10:13503937:13507039:-1 gene:ORUFI10G11470 transcript:ORUFI10G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELFGLIKEGTTVNKIPIFDMEAQVSGLYLYMLPEMDQEDEAAMAQHLLAAADKYGLHRLKMICLEILSSHIDANSVATILVLAEKHYCYGLKEACFEFLNSLAVLSAIVSTSDFQYLIQSCADILEDISFNIVARQLERAIFLSKNQEGQINSVEIGIWQQEARRVWVRRPTPGCGGMQRTCNIASNVAGGGCPTPSRSAAASTVVTTQAYHVLKIDGYSRTLQVHCYRSLSSFPFNAGGRTWYICYYPHGKNDISKDFISIYLVLYDAIAEAVMVQATFSLLDQHGKPVPSHTHATRLLSTSNQDDMANNLGFETFIAKGDLEKSGHVQDDCFAIRVHVVITKETPPPIIAVPPSSDMHLHYGDLLSSKRCADVEFLVGGETFAAHRLVLAVRSPVFVAEHFGPMKEGVNVNDVIEINDMDAQVFKALLNFIYTDTLLEMDQEEDATMAQHLLVAADKYGLERLKVKCEERLSNHIDADSVATLLVLTDKHNCRGLNKACIEFFSSPTALAKIIETDEFQYLTQSHPNILED >ORUFI10G11480.1 pep chromosome:OR_W1943:10:13507052:13508300:-1 gene:ORUFI10G11480 transcript:ORUFI10G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHTLTTPLLKFSLYGTLPKTLGYNSWYNSFIRRDDLERSGHLKDDCFAIGTFTAHQLVLAA >ORUFI10G11490.1 pep chromosome:OR_W1943:10:13508326:13509889:-1 gene:ORUFI10G11490 transcript:ORUFI10G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQRREEAGSGGAGGAGKRSWMAVAAPVARSLVHSASAAVKGSECGMSTATPVPNIVDGECGVTPPSRSASTIIAARTLHVLTIDGYSNTLKSNDPSQHLFLSSPFSAGGHTWCIHYCPIGCTKESKGLHLHLPRSLGHHRRHSVGKGYV >ORUFI10G11500.1 pep chromosome:OR_W1943:10:13512264:13513343:1 gene:ORUFI10G11500 transcript:ORUFI10G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTITSSVCAGPPPSRSNTTITAERTYHIIKIPGYSSTLKVGHGQALRTSPFSAGGRTWYISYYPNGGRETNKHCISFFIHLDDDTVNDDVMAQVTFSLLDRHRNPVRSHTITTTLYNFSVPNSSALGFENFIRRDELQRSEYLNDDCFAIAVRLVITEEPSSFTVPPSNMHLDYGDLLSSKEGTDIEFVVRGETFAAHRLVLAARSLVFKAELFRPMEGGTTDVIKIDNMDAQVFKALLVFIYTDTWPEIDQDETTMVQLLVAANKYSLSRLKIMCEDRLCSYIDTSSVVTMLMLADKYQCHGLKKVCFNFLASSRALSLAMKADNFRCLIQGCPTMLKDLIYNIVTHQLEIKLSV >ORUFI10G11510.1 pep chromosome:OR_W1943:10:13521107:13522174:-1 gene:ORUFI10G11510 transcript:ORUFI10G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTSTVTTIAAQAYHVLKINGYSNTLKAGRHHPLSSCPFSAGGHTWHVSYYPHGCRDSNKDCISIFLVLEDIVTDEDVMAKATFSLLDRYGNPVPSYTYHTKLRNFSTSSGRARGFENFIRRDELERSEYLNDDYFAVAAHVIIPKKKPSVVVPPSNMHLHFGDLLVSKEGTDVKFLVGGEMFAAHRLVLAARSPVFKAELFGPTKKGTIDAIQIDNMEARVFKALLEFIYTDIWLEIGHGKDNVAMAQQLLAAADRYGLQRLKFVYEDKLCNHIDTCSVSTMLVLAEKHHCCKLKEACSTFLSFMSPPIVEDLNSSIFGSELEKTVSSSENHGSQINRTDIRIQPWQNADV >ORUFI10G11520.1 pep chromosome:OR_W1943:10:13522530:13523743:-1 gene:ORUFI10G11520 transcript:ORUFI10G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMLSTTENVEQGFGIIKLDITASLLSKTRIFFKKEMQYSRGVSQTISTNCQPDEFTQHD >ORUFI10G11530.1 pep chromosome:OR_W1943:10:13533831:13534411:-1 gene:ORUFI10G11530 transcript:ORUFI10G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVAVDDRSTAVAATARWPGCSTTSSSPATGDGGEEEQVPRPDHEAAAPELVLVHAMEPLHHVMFPVGPGQSVSRDGEANARLSQRWGWSAVYGAASMMEAVRAAQAENARNLLVRARLVCERRGVAAATVAVEGEPREALCRAAEDAGAGLLVVGSRGLGALKRYAHAVTHTPHAHAHTTS >ORUFI10G11540.1 pep chromosome:OR_W1943:10:13538576:13539404:1 gene:ORUFI10G11540 transcript:ORUFI10G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSERGMLDAAVSAAPWMLEDKLTAAKVAAVSPPARSLHPCRIHAGGRGRRIRGRRSPQPRRIRAAIAGSARMGRKVAAGSAAASPPADSHPSTSNMPPSRPSTHLGATGSAAPPRHSSSSLNRHRRSSSSPSHHRNRLAATPHPLIAVAIPPLCTRNHKSSLIRKMWRGKNGWTIEFAWGWRGGGSLS >ORUFI10G11550.1 pep chromosome:OR_W1943:10:13541015:13549463:-1 gene:ORUFI10G11550 transcript:ORUFI10G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPNVVDSDSPPATAAPPRRHASTIIAARTLHVLTIDGYSDTLKSNVDPSQHLLLSSPFSAGGHTWCIHYCPIGSTEESKDFISIYLVLEDTTADVVSAHVTFSLLDQQGNPVPSHTLTTPLLKFSLQGTLPKGLGYNSFIRRDDLERSGHLKDDCFAIGVHVVVTKEAIPSSITVPPSDMHLYYGDLLSSEERYATDVEFLVGGETFVAHRLVLAARSPVFMVELFGPMKESTTVNKIQIFDMEAQVFRVLLKFIYIDMLPEMDQEDEAAMAQHLLVAADKYGLHRLKMICVEILSNHIDANSVATILVLADKHHCYGLREACFDFLNSSAILSAIVNTSDFQYLIQSCPDILEDISFNIVAPAVSTVVTMQAYHVLKIDGFSGTLQVHRYRSLSSFPFKVGGRSWYICYHPHGKNNISKDFISIYLVLQDDIAEAAIVQATFSLLDQHGKPDDLEKSGHVQNNCFAIGVHVVITKEVPPPPPPIVVVPPSSDMHLHYGDLLSSKRCADVEFLVGGVTFTAHRLVLTVRSPVFVAEHFGPMKEGANVNYVVEINDMDAQAFKALLNFIYMDTLLEMDQEDTTMAQHLLVAADKYGQERLKVICEERLSNHVDADSVATLLVLTDKHNCRRLNKACIEFFSSPTALAKIIETDEFQYLTQSCPNILEDIISNIVACQLEKAIFSPENEGGKINKVDIRIWPEPWQNSNARCG >ORUFI10G11560.1 pep chromosome:OR_W1943:10:13550556:13552715:1 gene:ORUFI10G11560 transcript:ORUFI10G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGVDEALHPRRGRPRRKGHLAARSMGEEPADGAVIGEAISGEAGVDEVRREGDESRRRRWERGEQCRTEVVFSADAVGGAGPPRCRGVGEQGEEEPDMRARGSEAGALQEEPGKQAEQLHGTAYIGLISDYLPLRNRGSSRQSRIRSNSASSC >ORUFI10G11570.1 pep chromosome:OR_W1943:10:13589344:13590466:-1 gene:ORUFI10G11570 transcript:ORUFI10G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTATTLAASAGDGSSPPSRSTVMTIAAQAYHVLKINGARGFKNFIRRDELERSEYLNDDYFAIAAHVIIPKEKPSVVVPPSNMHLHYGDLLVSKEGTDVKFLVGGEMFAAHRLVLAARSLVFKAELFGPTKKGTIDAIQIDNMKARVFKALLGFIYTDIWPEIGHGKDNVAMAQQLLAAADRYGLQRLKFVYEDKLCNHIDTYSVSTMLVLVEKHHCCKLKEACSTFLSSMSPPIVEDPNSSIFGSELKKTVSSSENHGSQINRTDIRIQLWQNADV >ORUFI10G11580.1 pep chromosome:OR_W1943:10:13597439:13606885:1 gene:ORUFI10G11580 transcript:ORUFI10G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQPPASTVAGAPSSSSAPRDAPEAEASGEAAGGRRRLRLYIVFYSMYGHVEALARRAAAGVAAVDGVEAVLRRVPETLPPGVLEKMQAPAKDPAVPVIAAAADLEEADGVLFGFPTRYGAMAAQMKAFFDSTGSLWEKQKLAGKPAGFFVSTGTQGGGQETTAWTAITQIVHHGMLFVPIGYTFGSGMFKMDEIRGGSPYGAGVFAGDGSRQPSETELALAEHQGKTLFLYPELCFCIMNEGNAHACTVCTDEYQSEFLILHSGVASGYSLQGGKPQQQRGEFGRLRFLPRHAGVARRRRRRGGRSPFRLVSPSHPPPLSKTEPMMDTLELASAIPEAGDEAFQIHRLKRSAYAAVLRAFYAQPDLLSGAKEGSLAKLRSEFTIFDTEHREYLMKAISGSQSLSVGLNKASICNIEVTKDSLDLVPMITDAQDTAFQIHCLERSAYASVLRAFFAQSELLSRSQAKLLTELRKKLRISDAELREVLMNVTSNEYIKSLRNCSLANNSGLKDPTFDARAMVPDKLVKDGQSFTSFTNCISLSQESQISPRSMLSVRSVDILRSSHRTKKGPCLYPHAIVPAKKYSGNEYTLSYLKSSPAEQLPVAVSSVQVKRSTDDPLDTKTLPCEVKTGCTLSPIQLKHIQANAGHVPLCIHQDMKASMKRKTEVPEVTGSKSLTVIVSTAGNIEHDFDIMKLDLTANLLSKVEQLFREKPNPDDLETAKAILKEQEKVLLDAVLKLSEVSYVEECFSTNCQPDEFNQHYEYESDDEMPQKTASTNDAETPPKPVSPSDKEAPPQPVPGRGGGATSKGKAKPRDSGRSSGGGSGSGGRLDAADDQPTSASTCTSSSTLSPRSEREGEAKAQRARGRVFEPPAKRARRPSVRLSRSEWLWW >ORUFI10G11580.2 pep chromosome:OR_W1943:10:13597490:13606885:1 gene:ORUFI10G11580 transcript:ORUFI10G11580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQPPASTVAGAPSSSSAPRDAPEAEASGEAAGGRRRLRLYIVFYSMYGHVEALARRAAAGVAAVDGVEAVLRRVPETLPPGVLEKMQAPAKDPAVPVIAAAADLEEADGVLFGFPTRYGAMAAQMKAFFDSTGSLWEKQKLAGKPAGFFVSTGTQGGGQETTAWTAITQIVHHGMLFVPIGYTFGSGMFKMDEIRGGSPYGAGVFAGDGSRQPSETELALAEHQGKTLFLYPELCFCIMNEGNAHACTVCTDEYQSEFLILHSGVASGYSLQGGKPQQQRGEFGRLRFLPRHAGVARRRRRRGGRSPFRLVSPSHPPPLSKTEPMMDTLELASAIPEAGDEAFQIHRLKRSAYAAVLRAFYAQPDLLSGAKEGSLAKLRSEFTIFDTEHREYLMKAISGSQSLSVGLNKASICNIEVTKDSLDLVPMITDAQDTAFQIHCLERSAYASVLRAFFAQSELLSRSQAKLLTELRKKLRISDAELREVLMNVTSNEYIKSLRNCSLANNSGLKDPTFDARAMVPDKLVKDGQSFTSFTNCISLSQESQISPRSMLSVRSVDILRSSHRTKKGPCLYPHAIVPAKKYSGNEYTLSYLKSSPAEQLPVAVSSVQVKRSTDDPLDTKTLPCEVKTGCTLSPIQLKHIQANAGHVPLCIHQDMKASMKRKTEVPEVTGSKSLTVIVSTAGNIEHDFDIMKLDLTANLLSKVEQLFREKPNPDDLETAKAILKEQEKVLLDAVLKLSEVSYVEECFSTNCQPDEFNQHYEYESDDEMPQKTASTNDAETPPKPVSPSDKEAPPQPVPGRGGGATSKGKAKPRDSGRSSGGGSGSGGRLDAADDQPTSASTCTSSSTLSPRSEREGEAKAQRARGRVFEPPAKRARRPSVRLSRSEWLWW >ORUFI10G11590.1 pep chromosome:OR_W1943:10:13610470:13611720:1 gene:ORUFI10G11590 transcript:ORUFI10G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSATSAAAAPPAARVLIGASVNVAFLLLLAFFFFISPRGGSPPEVVSSSKEVGIRGRRDGGGSGGGGVFRVESYGERCEHVGEEDGLDRRRFPRGYVDYLYLFDCVFGEERRIRSAFWSVLRALELPLWLPRRLTIPDASKERWSKPAAVTAVTMAPVLLSHLCSRATGITSPLAVLLGVLAGASLGAVAFFTTSPDAPPADHLAAWLAAGFVMSVAWAYAVATELLALLVSAAHVMGVDSAALGLTVLAWGNSLGDLVANLAVASRGGGGGGAQVAVAGCYGGPVFDVLVGLGVSMLLSSWASHPRPVAMPAEAGPFQTLGFAAAGICWAVVVMSRRGMRVDRTLGFGLLAIYLCFLCINISQALGPV >ORUFI10G11600.1 pep chromosome:OR_W1943:10:13617067:13620568:1 gene:ORUFI10G11600 transcript:ORUFI10G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPVGRREVVVSGVFTALLVLSILSLPSLLLTGPAYKSWPFLAAARDDSTTGSGGGAGYYPVSFAYLISASTGDAARAARLLAALYHPANCYLLHLDREAPAEEHRRLAELVSGQPVYARAGNVWIVGRPNLVTYRGPTMLSTTLHAVAMLLRLGRRWDWFVNLSASDYPLVTQDDLMDVFSRLPRDLNFIQHTSHLGWKIKKRARPVILDTALYEADRSELIRPANLTTNRRNLPTAFKLFTGSAWTMMSRQFAEYFTVGYDDNLPRTLLLYYTNFVSSPEFYFQTLACNSRRFRNTTVNHDLHFIRWDSPPKQHPLYLGPRDYRRMLLSAAPFARKFREDDPVLDRIDRDILRRDGAAPGRAFAYGGWCSEGGVRLCSNPQEAGRKGMIKAGAGSRRLRAMLNKMMNARNFRRQQCR >ORUFI10G11600.2 pep chromosome:OR_W1943:10:13617067:13620568:1 gene:ORUFI10G11600 transcript:ORUFI10G11600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPVGRREVVVSGVFTALLVLSILSLPSLLLTGPAYKSWPFLAAARDDSTTGSGGGAGYYPVSFAYLISASTGDAARAARLLAALYHPANCYLLHLDREAPAEEHRRLAELVSGQPVYARAGNVWIVGRPNLVTYRGPTMLSTTLHAVAMLLRLGRRWDWFVNLSASDYPLVTQDDLMDVFSRLPRDLNFIQHTSHLGWKIKKRARPVILDTALYEADRSELIRPANLTTNRRNLPTAFKLFTGTRKNISTKSTSIALRKPLTHFRDDTEKKTCILPPSQNATI >ORUFI10G11610.1 pep chromosome:OR_W1943:10:13625479:13631532:-1 gene:ORUFI10G11610 transcript:ORUFI10G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLSWQDLQASGSAIATRLSLQDLQCLVRRKPACSAADRAESSAAGAPAGGGKGRHLAKALSVPDLIAIGVGSTIGAGIYVLVGTVAREHAGPALTLSFLIAGIAAALSALCYAELSCRFPLAGSAYHYSYICIGESVAWLIGWALILEYTIGGSSVARGISPNLALFFGGHEKLPFFLTQIHVKWFETPLDPCAAILVLIVTALLCLGIKESSFVEGIITIANVIVMLFVICAGGYLAFQNGWSGYNDEQGYFPKGVAGVLSGSATLFFAYIGFDAVASTAEEVKNPQRDLPWGMCLTLSLCCFLYMMVSIVIVGLVPYYALDPNTPISSAFAKYGMQWAVYIISTGAVFALIASLIGAILPQPRIVMAMARDGLLPPLFSAVDPTTQVPTLSTILSGICAAILALFMDVSELAGMVSVGTLLAFTMVAISVLIVRYAPPNEIATKVALPGSSESLTSDSGYSEPDEENSEDLLGNVQDIPTANEANKIRRQKAIACIILIFLGVVTIVSSVSFSFFPLFLRSIAGAFGSLLLVSATIALWFIGQDKSSLRQTGGFMCPFVPILPVCCILINVYLLMNLGIHTWIRVSMWLAVGAIIYVFYGRKYSSLTGVAYQRISPA >ORUFI10G11620.1 pep chromosome:OR_W1943:10:13633613:13640075:-1 gene:ORUFI10G11620 transcript:ORUFI10G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADDAPPPWRPSKPAAAIDGSSGPLAAAAARLSGRSRALPSSRDFHFYNNFPSFRSPVGAAAARAGASLAALGAAPFYPKRHPPFPGDDLDDAQDWVVGVIDDLAEQFGASMDEFKAAREEEEATGRRATEEDGFQVVYGKKKKKVMGGGGGEEGVGRGGEAFGGGSGSVKMATRDKSAAPGAKAKVPFHIPTIPRPQDVHRILVDNSSKPFEHSWLEKSDDGSRVVHPLEKIPMEQLVDRDFPESEPIKPPALDDTPFTHVEDLKSLEVLATKLKSATEFAVDLEHNHYRSFQGLTCLMQISTRTEDFIVDTLKLRKYLGDYLREIFKDPTKKKVMHGADRDIIWLQRDFGIYVCNLFDTGQASRILQMDRNSLEHLLHHFCGVTANKEYQSADWRLRPLPDEMIKYAREDTHYLLYIYDLMRLRLVKESSDENDLLLEVYKRSKEICLQLYEKELLTHSSYLYIHGLKENEFDARQLSVLANIYKWRDSVARGEDESTGYILPNKTLLEIAKQMPATTGRLKRIVKSKNSYLERHLGHVISTIRSAIANCDAFESIAEQLKKGRLEELAVANMKSNDGDTEMVPADDGNNDDDNVGPSDEHGAVASVENVGAASHCTGNVTSGASSVNVQLENPAETKSLGILSGVSGQDMEVLSNGDRKQVAKATVQVSKRPTAFGALLGKPTSGRRQNLFSGFSSGQNMVDKIKSSVALPFHNFCGGAKSPATSIPLEESVRPEPESIQYSDPACQTEDVIQLGTETDGPQPPENHNKDGQGHLVPDDMEMSRSPPEHSSAGAKQRFQSLIESRNQQQNHKPHQEPEFNHQLKPFDYAEARKNITFGERKAERIKDNAVARAINKDSGDKGRTSNQFGAGENEGNRQNPRGRQAFPPSGNRSATYH >ORUFI10G11630.1 pep chromosome:OR_W1943:10:13644624:13644929:1 gene:ORUFI10G11630 transcript:ORUFI10G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLATTISLQITETNYTRLCHKKSILTVNGQFPGPTIYARKGDLVIVNVHNNGNKNITIHW >ORUFI10G11640.1 pep chromosome:OR_W1943:10:13646309:13649660:1 gene:ORUFI10G11640 transcript:ORUFI10G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFFGGAVVVMSARMVGGYGGRRLTLAPAASSASWPQPVMTREPLVGLRDELQREKKVEEEEEVCLPIMPSQVNLACDLELCPKDSFSAPTKHFASETRGETRPRAIGSRFWVLDGEDSEEEEEQVCHREEEVVGSVQKGSIVRKAMAEGFTLDEILSAGEYLLCTNSSPKAHSFPGSTRTKGKNHLVDRLVAAVCRKKVSMCKPWKGPLPSRRISQPRKLETFLSAALEDWQRKRTAGLEKTPAKFSKREDVNASTFSGNLDKAMLGRLLSHAGRHSLARKERNKGEIERPRRQSQLGLNIRNREGRQRGSEEGGLCVGGELQVRAEEEKEVRKGGMARRKDDYDGCSNKDWGQRGSFREEEEGFGTGFQGSRMGFDPGYGFGQQGSFGQRWQRHGYRPRRARGFGPRCGGFAGRPGRGSARGFGSQRPAFERKMDDMGRKGEEKGGAASSERNGGAGRVKVGEVEVLVLARKEKQPMVEKKEENGDFVEMECDPSLFEDQQLGVEKGKDHVNHRCPVLKLPKPAVQVVGYSVEGLGFHHIPHNPLPRSKKGTTKMALVSCVGVELQRAIAFGGADVKDNGLATGMRLQFEEWFEEEEGYLLPKVWVKVYGLRKKLREYLTLWAVGSLLGATQMVDMKTTRKNTFGRIFMAVLSPKLVPRRVDVVIGDHYFELEFELEKKGYDESGDEVEIEQGGDGGDDKEDGGQEEDELREKETKRSKSDDMVLDDKEEGKMEGNFSGDNGNQGMDGVQEKFFGEMASKIIDGAVVSLLEEVCDKVMHEEGQPAMHEGEIGQREVEGVDDIEQGDGEEEVGKMIEEKIVRAAMVKEVATTPTRASVRLASSEGEHSVKKATKRKARKNLEPQSGSIVSLKNVEETRLRNYVAPKADLVGNLEGELESEEDEMVDNLVLGHLCGGLVDEVMDEEPDHLSCDLKKGFKVYKSKSSFKRNKGQKVKVILKNKKSMS >ORUFI10G11650.1 pep chromosome:OR_W1943:10:13655142:13656990:1 gene:ORUFI10G11650 transcript:ORUFI10G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAVAGHCLTVVSIDARYTKPLTVDYIMIAPGQTMDVLLEANRTLGSNNRYYMAARAFITLPVDERMLIDIDVNFLPCDTTNATNKLCKGPQGNQFAASLNNVSFESPAIDVLDAYYYGSGRGVYEEDFPNKPVNAFVNPTGDNGGRPLLTKRGTKVKVVEYGTVVEVVFQDLSSENHPMHLHGFAFYVVGRGSGTFDERRDPATYNLVDPPFQNTVSVPKSSWAAIRFRADNPGVWFMHCHFDRHVVWGMDTVFIVKDGKTPQAQMLPRPPNMPEC >ORUFI10G11660.1 pep chromosome:OR_W1943:10:13659026:13660175:1 gene:ORUFI10G11660 transcript:ORUFI10G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVAVDDSRGSHRALSWVLDHLFFPAAATGDGGEEEQVPRPAPELVLVHAIEPLHHVMFPVGPGSAVYGAASMMEAVRAAQAENARNLLVRARLICERRGVAAATVAVEGEPREALCRAAEDAGAGLLVVGSRGLGALKRAFLGSVSDYCAHRASCPIIGRCSVSDYCAARTARAARSWSSSRLPTPATKTTAATARATELMMDRHYIRKTSFRGGKRIKRETLVLPLLFLLSAEFVLPKFLP >ORUFI10G11670.1 pep chromosome:OR_W1943:10:13668717:13679236:1 gene:ORUFI10G11670 transcript:ORUFI10G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVSSLLAPSGSCYSPGCHSCWGPGPGGGRRLPSPRRRPITAAARPTWAVPRRSRLEWGRVEAQNSGARTSCRAALQWLSSTARSHVNVGYGSPLVFPGLTKPGSSRCLCVVGMVGNAGNQVGDDSDDGIKVTNEKLRAVIRKSKEVLEIHRNLLEKISASERKKITSIIEDSSIYNEQDPFGQRDSSFYHLDEVPDDDEFSYDLQMYLDRHPDQSEVVATQDYEAQLSQISEMGQSVAEGTSDDPSASAAVDLINIILVAAECAPWSKTGKIIIATYLLIFSVSMKGHCGFNLVPVSGLGDVAGALPKALARRGHRVMVVVPMYKNYAEPQQLGEPRRYQVAGQDMEVIYYHAYIDGVDFVFIDNPIFHHVENDIYGGDRTDILKRMVLLCKAAIEVPWYVPCGGYCYGDGNLVFLANDWHTALLPVYLKAYYHDNGFMIYARSVLVIHNIAHQGRGPLDDFSYLDLPVDYMDLFKLYDPFGGDHLNIFAAGIKAADRLLTVSHGYAWELKTAEGGWGLHGIINESDWKFQGIVNGIDTTDWNPRCDIHLKSDGYTNYSLETVQAGKQQCKAAFQKELGLPVRGDVPVIAFIGRLDHQKGVDLIAEAMPWIAGQDVQLIMLGTGRQDLEDTLRRLESQHYDRVRGWVGFSIRLAHRMTAGADILLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVEHYNPYEESGLGWTFEKAEANRLIDALGHCLNTYRNYRTSWEGLQKRGMMQDLSWDNAAKLYEEVLLAAKYQW >ORUFI10G11670.2 pep chromosome:OR_W1943:10:13668717:13679236:1 gene:ORUFI10G11670 transcript:ORUFI10G11670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVSSLLAPSGSCYSPGCHSCWGPGPGGGRRLPSPRRRPITAAARPTWAVPRRSRLEWGRVEAQNSGARTSCRAALQWLSSTARSHVNVGYGSPLVFPGLTKPGSSRCLCVVGMVGNAGNQVGDDSDDGIKVTNEKLRAVIRKSKEVLEIHRNLLEKISASERKKITSIIEDSSIYNEQDPFGQRDSSFYHLDEVPDDDEFSYDLQMYLDRHPDQSEVVATQDYEAQLSQISEMGQSVAEGTSDDPSASAAVDLINIILVAAECGLGDVAGALPKALARRGHRVMVVVPMYKNYAEPQQLGEPRRYQVAGQDMEVIYYHAYIDGVDFVFIDNPIFHHVENDIYGGDRTDILKRMVLLCKAAIEVPWYVPCGGYCYGDGNLVFLANDWHTALLPVYLKAYYHDNGFMIYARSVLVIHNIAHQGRGPLDDFSYLDLPVDYMDLFKLYDPFGGDHLNIFAAGIKAADRLLTVSHGYAWELKTAEGGWGLHGIINESDWKFQGIVNGIDTTDWNPRCDIHLKSDGYTNYSLETVQAGKQQCKAAFQKELGLPVRGDVPVIAFIGRLDHQKGVDLIAEAMPWIAGQDVQLIMLGTGRQDLEDTLRRLESQHYDRVRGWVGFSIRLAHRMTAGADILLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVEHYNPYEESGLGWTFEKAEANRLIDALGHCLNTYRNYRTSWEGLQKRGMMQDLSWDNAAKLYEEVLLAAKYQW >ORUFI10G11670.3 pep chromosome:OR_W1943:10:13677288:13678994:1 gene:ORUFI10G11670 transcript:ORUFI10G11670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGGRSYEDFKPPHKMEHIKVQLVRSRRRLVVSGECPVAGETNRWSRFRLQFPVPDGCDLKAIQARLHDGVIRVTLPGVKPQQQPPPAKTAAAAAAVVGGDQRGGGERCQFLRERGKLATTLLGVVLVLFSFVIYIRYSVKP >ORUFI10G11680.1 pep chromosome:OR_W1943:10:13679644:13680357:-1 gene:ORUFI10G11680 transcript:ORUFI10G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFHLVAAWLFPCAVCATTTMTTPAAADDGEGGRAAEQPPIGDRLQLGTSSSRQQRSRSASPPCFGARTRRQTAAATNGEAVVANDEPTTAEHHPRKSGRRPAPARNAIATDSPAVSSASYSSPTSSPPSSLRDRLRLGLTASPPRRAHDGSGMSRSPEAEKGGAVGGYLRRISKRLMTTRGATAAADAAAPSVTMIPRSYPLVGEGRRKGGSAIATEDEIRAFVIANGSRAIPLV >ORUFI10G11690.1 pep chromosome:OR_W1943:10:13684376:13685513:1 gene:ORUFI10G11690 transcript:ORUFI10G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAAAAAASGSRSRVLSEIDPHSEWIHGDEFDTLVLDVTGFSKDHLKVQVEASGSLRISGERAVNGGGRHWLHFLKRFDLPPGAAGDASAIKVQLDKGVLYVQVPRPGAPAAGGGSDGEQQQQEEEGEIAGGRRRSAAARRGGHPAWQLAGALSRHRQVVLNVVIAVVLLWLVTFANKPTKNE >ORUFI10G11700.1 pep chromosome:OR_W1943:10:13685890:13688604:-1 gene:ORUFI10G11700 transcript:ORUFI10G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPVLLNIGGSRYETTADTLTQRDPGSLLAAALSGAAAHGLPTTEDGAVFVDRDGELFRHVLNWLRDGAVPALADAEYRQLLREAEYYRLPVYEQCLLPPWLCVWGDFDPNAGLIDCISERIEDWDDKIGRSSEAELTRKDVIKCIQADKVRFRGVNLSGLDLSKLDLSEVDFSCGCIEETKFSLANLYKAKFREVQASRSSFNYANLRECEFVGANLQESTLDRTNLQSANLQDLRSAHLQRADLTGANLTGANLEGANLKGAKLSGSNLQGANLQRAYLREVDLRETQLTGAKLGGANLLGAIR >ORUFI10G11710.1 pep chromosome:OR_W1943:10:13691331:13692707:1 gene:ORUFI10G11710 transcript:ORUFI10G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAAAEDHKGKRPLPPEEADEAAAAPPPAAAEEEGEKLVLVSDDGVEVLASVAAARVSKTLRGMIEDECATGAIPIAGVHSDVLALLVEYCERHAPHYDPEASDRDRYPFPPFPVELPPTASSIKPVTFVDPDADPHGLKAFDKKFLDVDNSTLFEIIMAANYLNIEELLDDACTAVADKMRGKKPEEIRDIFEIENDYTPEQEAEVRRENAWAFED >ORUFI10G11720.1 pep chromosome:OR_W1943:10:13694565:13696308:-1 gene:ORUFI10G11720 transcript:ORUFI10G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSNPTPLLLPPPPPQEPAPLSPPPPPPLPTPKPIPTVADNFRSLLRSGEALLRFAFRGNSGQLTHRHPPPPRPPPQQQHPHHHNRPAEIMKRLQREKFADMIKHMDGHEQIDRLVALYTSSAKGLHLPELPVRVKVALDAAGALLLVDGDELEQARDRLAKARNTTGLGSRFVFESSTRGGKDTVAAELATGLGAAAAGGRPLELTRLQYCAHVSDLLSMTLVPFGAQCNNFLHGSSLIQSIQSRALSGGPPSYSERHDCGAGVSIKGSRFRASIAELIFGSPGEHGGGGGGGNGDHEVPNRLTTFGKVSYETADDIKLSLSGLWQVRSPASRFSDLGALAVPLGSLKTRRAIAPPPSPPATTHPPPSPDLMVQVPAPPAPPTPMMGIGSTVAVQGSVAAAAAAATAPSSHTVAVMVDCDMYDTLRAEGWVEMETAAAATPARRRGPVARWGVCVSDCPEHELGWGVRIGGTAERNAHRPHVEGFLSFDLGKGGRVQPGLVIAMDGDKRTPALVLRSSWLM >ORUFI10G11730.1 pep chromosome:OR_W1943:10:13699066:13699480:-1 gene:ORUFI10G11730 transcript:ORUFI10G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPIGAVSLSSRRSLVTLAGRLLCCDSRLCLGVPAPSARRDSGAFRRACNGVIELIKPGRWAPLALPRLLRPFFKSIGDGTTWRRASRTNHASDRSRDE >ORUFI10G11740.1 pep chromosome:OR_W1943:10:13708558:13712062:1 gene:ORUFI10G11740 transcript:ORUFI10G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRDESEFGAKTPRKIFGFPPLSPSLSSQYKTISSILSLSSPTTSSTSPLCYSICVRERKRGGERDLWRRGCSVAAVTAGRRRELGCWGFGSRASIGWELLAPELRRALPSDIMGTGSVTVKQKKRVKHTKNKYLKPGALAQIRYSRSTSRDIGKKRILLNVDKDELPQEEVAFENTEPMMSPKRLNFEPFSGTKGQVMPITPKTPQSDELSDGHSRLESLPLELLIKIICCLHHDQLNIVFHVSKRIRKAVELARQYHFNYTTPDRSRQELLQHTTPLPTEHWPFMRIDGKDVRISTPRTPKAPKHAPRLARLELLDFKPITAVLFPDTFPSKRLRRSMPPGLPRPVSKAASSTRVLLYEEELCEAVAQNKLL >ORUFI10G11750.1 pep chromosome:OR_W1943:10:13712536:13714924:-1 gene:ORUFI10G11750 transcript:ORUFI10G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSSLVVAMAVVILHRWCCAAAPAAAAANRTRTPALFVFGDSIVDAGNNNAITTLIRCNFAPYGKDFPGHNATGRFSNGKVPGDILATQMGIKQYLPAYLGAELSDFDLLTGVTFASGGCGFDPLTAELVSVLTMDNQLDLFKEYKEKLRRVAGDARAGEIVSESLYMVVTGTDDLANTYFTTPFRRDYDLDSYIDFVVRCASGFVRKLLGMGARRVNVAGAPPIGCVPSQRTNAGGLDRDCVALYNQAAVVYNARLEKEIERLNVTAAPPGTVLKYIDLYTPLLDMIQRPAAYGFEVTNRGCCGTGVFEVTLTCNRYTADVCRDVDKFLFWDTYHLTERGYNILLSQIITKYGF >ORUFI10G11760.1 pep chromosome:OR_W1943:10:13715602:13718865:1 gene:ORUFI10G11760 transcript:ORUFI10G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTAASLLRHSGIGGGGGGSGGGIPQALADALVCPLSKKPLRYCEDSGSLVSDAVGVSFPIVDGIPYLVPKDGKLLDHDQDKSEDCGY >ORUFI10G11760.2 pep chromosome:OR_W1943:10:13715602:13717759:1 gene:ORUFI10G11760 transcript:ORUFI10G11760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTAASLLRHSGIGGGGGGSGGGIPQALADALVCPLSKKPLRYCEDSGSLVSDAVGVSFPIVDGIPYLVPKDGKLLDHDQDKSEDCGAKDSSHRH >ORUFI10G11770.1 pep chromosome:OR_W1943:10:13719947:13720348:1 gene:ORUFI10G11770 transcript:ORUFI10G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVSHVMALLSSALSGKKAAAEGDGDDDGGQCRCWRDGDGVGGGQAAAAGCCVCISGFRDGEEVRRLPCGHAFHRDCVNRWLALYCRRRTCPLCRLHVGGAVVAAAVAGLDELQLGDDLVIWFSSLFVAGF >ORUFI10G11780.1 pep chromosome:OR_W1943:10:13721936:13723868:-1 gene:ORUFI10G11780 transcript:ORUFI10G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIRRIVATVGEATMVGMDRSTSSVSSRRHHIILLSPPPSFLTSPYFGAFHEPAIGYAPHSEHYGSMIGHAHPRFAFPLPQQKHNASHTVFGASTYGATGSTIDRRRAENNLCSDVYLKGWMNQQGWVPLTLVAGFPRVQALTTDYETVQRSVLSSTGVELQDSKIRRRMGWEKYILPISFTHEG >ORUFI10G11780.2 pep chromosome:OR_W1943:10:13721936:13723868:-1 gene:ORUFI10G11780 transcript:ORUFI10G11780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIRRIVATVGEATMDLPFHSHNKNIMPLIPFSVPPHMEQPEAPLTAEEREKKIREQIEYYFSENNLCSDVYLKGWMNQQGWVPLTLVAGFPRVQALTTDYETVQRSVLSSTGVELQDSKIRRRMGWEKYILPISFTHEG >ORUFI10G11780.3 pep chromosome:OR_W1943:10:13723868:13724519:-1 gene:ORUFI10G11780 transcript:ORUFI10G11780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTRSLARWFFALRWWRAAACARDRRGGELVGDGDVLRRERLTLRIRLGLDQYSIGDFDLEYHTDFNFTFAPIYTIILHVRFFSRKAVTTCPVQTQVLFLASSPLSSTGDFSPVRPTFVGKKQRRRVVAAATAVVPRGADRSTGRVWSDGCRLGSIDIID >ORUFI10G11790.1 pep chromosome:OR_W1943:10:13726066:13726478:1 gene:ORUFI10G11790 transcript:ORUFI10G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCMAAFRAPTVMSSTACRCTYNRRSTTRSSFIFVEPEPEERPKVGAAAAAHGEAAEEKVVFPMTWTDDDESCPEGTVPVRRTTKRDVLRSNSSLCFGMKQPRVGVPLVSSA >ORUFI10G11800.1 pep chromosome:OR_W1943:10:13730410:13732098:1 gene:ORUFI10G11800 transcript:ORUFI10G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMAENLLVLCTILAARMALVAADDWIPATATFYGGNDGSGTMGGACGYGNLYDQGYGLENAALSTALFNDGAACGQCYLIVCDTDKAGRWCKPRGAVTVTATNLCPPNWALPSDGGGWCNPPRRHFDMSQPAWERIGVYRAGIVPVLYRRVRCWRRGGVRFTVGGFDHFELVLVANVAGSGSVAAVSVRGAGTGWLQMSRNWGANWQSLAGLAGQPLSFGVTTTGGQYILFQDVAPAGWKFGQTFSTSKQFDY >ORUFI10G11810.1 pep chromosome:OR_W1943:10:13733398:13734388:-1 gene:ORUFI10G11810 transcript:ORUFI10G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIRFFAVLAAALCITSASAAAAAAAGGWVSGTATFYGGKDASGTMGGACGYGNLYTQGYGVYNAALSAALFNGGASCGQCYLIMCDASKTPEWCKAGTAVTITATNLCPPNWALANDDGGWGNPPRPHHLPRRHRPRPLPTVRHTIIYLLRVKCWRQGGVRFTVSGFNYFELVLITNVAGSGSVQAMSVKGSKTGWIPLARNWGANWQCNSALVGQALSFRVTSTGGQTLQINSVVPEWWEFGTTFTSNQQFDY >ORUFI10G11820.1 pep chromosome:OR_W1943:10:13746184:13748086:-1 gene:ORUFI10G11820 transcript:ORUFI10G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLASGAGDGPAPSSASTAVTTRASHVLKIDGYSSTLSANRYRSLSSFPFNAGGHTLVEKPSLVGRPKSTIVPRFSTSDTWHINYRRLGSSDSSRDCISFYLVLEDQVVEDDTVTAQITFSLLDQDRKKPVPAHTHIPPDRSASHLIFILRKDLEESEHLKDDCFAIGVDVVIVTKDEVSSVKVPPPSDMHRHYGDLLSSKLGTDVEFVVGGETFTAHRLVLAARSPSSLGQRKSAPPPNAIPINDTDAQVFRAMLSFIYTDTWPEIDKENEAAMAQHLLIAAERYGLDRLKLMCEDRLCNGIIDMGSVTTNLVLAENHYCHSLKKACLELLGSWTTLAEFMATDDFRYLTKSCPGILNDLICIVAARERERTIFFLGE >ORUFI10G11830.1 pep chromosome:OR_W1943:10:13748792:13750921:1 gene:ORUFI10G11830 transcript:ORUFI10G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTLASSAGDVPPPRSASTIVAARANHVLKIDGYSSALEANYKLRSFPFSAGGHTWHINYSMNIIKTDLRSRMSDKWLNDLTSCYIEKEILEGLILKNVLMKQISPPHHDFNPVSATGCTDYISLYLFLEDAVDEPVMGQVTFSLLDQDGKPVLSRTHTTRMFSFSLNSSFGFHKFIRREDMEQSKHLKDNCFAVSVHLVITKGAPSVKVPPSNLHSHYGDLLSSKQGADVEFMMFEALLIFIYTDMLPKMDQEDEVAMAQHLLVASDTYGLQRLMLICEDRLCNHINTDSVAIMLVLAEKHHCIRLKEVCFEFLSSSTALVEFMESSDFLYFIRSCPTVLKDLIYNVAAHGK >ORUFI10G11840.1 pep chromosome:OR_W1943:10:13756614:13764220:1 gene:ORUFI10G11840 transcript:ORUFI10G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEYEYLEKTVEPSAPSTNGSGEKDRAGSRRRSSGGGRDDEERGSKRSRSGEDRDRDRDRHRGGGGGREHRDRDDGKEKERSSRSRGKDAEKDRGKDGEKDRSKEAEKDRSRDRDSERDRRRERDSGRERRSSSRPERRRTEEEEMVRELQKERERSDRNRDYRDRDVRRRKDDGAEPEADPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALTGQVLLGQQVMVKPSEAEKNLVQSNVASGGIASGGARKLYVGNLHSNITEDQLRQVFEPFGQVELVQLPFARLEDAKAAQSLNGQLDIAGRVIKVSAVTEQAGLQVGGATTGDLDDDEGGGLALNASSRAMLMRKLDRSGTATSITGGIGIPGVNTSVELPSASVTGAPLPTTSLIQPTIPAIGTVPGIQIPGTQSADIGSPTEFLLLKNMFDPAVETDPDFDLDIKDDVQDECSKFGAVNHIFVDKNTAGFVYLHFDSVAAATAAQRALHGRWFAGKMITATFMTAQQYKMKFPN >ORUFI10G11850.1 pep chromosome:OR_W1943:10:13765820:13789269:-1 gene:ORUFI10G11850 transcript:ORUFI10G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLLLLLLALAVSVLVAVVISKLVSYATKPRLNLPPGPWTLPVIGSIHHLVGSHPIHRSMRALAEKHGRDLMQVWLGELPAVVVSSPEAARDVLRSQDLAFADRYVSTTIAAIYLGGRDLAFAPYGERWRQLRKLCTQRLLTAARVRSFRCVREEEVARLVRDLAAAEAAGEAVDLTARVAELVNDVVVRCCIGGRRSRYRDEFLDALRTALDQTTWLTVADVFPSSKLARMLGTAPRKALASRKKMERILEQIIQERKQIKERSTGAGAGADDEAAAAGNECFLDVLLRLQKEGDTPIPITNETMMLLLHNMFSAGSETSSTTLNWIMAELIKSPRVMAKVHDEVRQAFQGKNTITDDDVAKLSYLKMVTKESLRMHCPVPLLGPRRCRETCKVMGYDVPKGTIVFVNAWAICRDSKYWKSAEEFKPERFENISIDYNGNNFEFLPFGSGRRICPGITLGMANVEFPLASLLYHFDWKLPNQMEPEEIDMREAPGLVGPKRTSLYLHPVTRVAPSSDKLPLALTVLSVSVLIAVVISKLVSYATKPRLNLPPGPWKLPVIGSLHHLVGSHAIHRSMRALAEKHGRHHLMQISLGEVFAVVVSSPEAAEEILRNQDVTFADRFLSTTIGVITFGGNDMAFAPYGERWRQLRKLCTLELLSAARVRSFRRIREEEVARLVRDLAASAAAGEAVNLSGRIAKLINDVVVRCCVGGRSEHRDEFLDALRTALDQTTWLTVADVFPSSKLARMLGTAPRKALASRKKIEHILEQIIQERKRIMDRSSHGGDGDGEAMNTSECFLDVLLRLQKDGNTPIPITNEVIVVLLFDMFSGGSETSSSTLIWTMAELIRKPKVMAKAHVEVRQAFQGKNTITEDDGVNELTYLKMVIKESLRMHCPVPLLGPRKCRETCKVMGYDIPKDTTVFVNAWAICRDPKYWDDAEEFQPERFENKSIDYKGSNFEFLPFGSGRRMCAAMNLGIANVELPLASLLYHFDWKLPDGMMPEDVDMQDAPGILVGKRSSLIMCPVTRVAPSNPQLLLLALAVSVVSIVTISKLVYRATNKPRLNLPPGPWTLPVIGSLHHLVMRSPSIHRSMRALAEKHGPLMQVWLGEVPAVVVSSTEAAEEVLKNQDARFADRFITTTLGAITFGGGDLAFAPYGERWRHLKMLCTQQLLTAARVRSFRRIREEEVARLVRDLAESAAGGSEVAVNLSERVARLVNDIMVRCCVGGRSKHRDEFLGTLCTALSQTSWLTVADLFPSSRLARMLGTAPRRALASRKKMELILEQIIQEREEMTTDRSGDGEAGPTNECFLDVLLRLQKEGDTPIPITMELIVMLLFDIVSGGTETSTIVLNWTMAELIRTPRVMTKAHAEVRQTFQAKSTITEDDDISGLTYLKMVIKESLRMHCPVPLLGPRRCRETCKVMGYDILKDTTVSVNAWAMCRSSIYWNDAEEFKPERFENKCIDYKGSNFEFVPFGSGRRMCAGMNLGMADVEFPLASLLYHFDWKLPDGMSPEDIDMQEAPGLFGGRRTSLILCPITRVAPSDPQVIV >ORUFI10G11860.1 pep chromosome:OR_W1943:10:13791404:13796111:-1 gene:ORUFI10G11860 transcript:ORUFI10G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGAAHSASTSGGGGGSEGSPTGRAAPGMQGGGSAATPAASASASTPASETTVARRLDGLDIQGDDAPSSQPATSKKKKRGPGTRATGPDKGGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPNNNFASPDPDNPNTPQFDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSMSDVEELKTEIIGLKGRIDKKNAYLQELEDQFVGLQNLAQRNEQLYGSGNAPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSFVLKALGFSGKEPDDTQARVGNGGECSTTPIYHQSPQVARPNGVRLPTSPPIPGILKGRVKHEH >ORUFI10G11870.1 pep chromosome:OR_W1943:10:13799380:13799919:1 gene:ORUFI10G11870 transcript:ORUFI10G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATVILEMEVHCNGCARKIEKTIKKISGVALATASLGSPGTVVVHGTADAAAIQARLKAKIKRDVAIVSITAGAVEPPQQAPPAAAPPQQAAPPAAPPHQYGGDYRQHGSGNSFRYPPSYFSDENPSGCSIQ >ORUFI10G11880.1 pep chromosome:OR_W1943:10:13801056:13802383:1 gene:ORUFI10G11880 transcript:ORUFI10G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARFYKDLPVNGRDYDETIHTTCAAAGRRWPPPSVSSVKEKLDDAAAENAAVAGGAGGSGNGASTSESSPAVAVLQIDMDCWGHSKMVRKLVMDYPGVDKVTVDIPARRVMVAGKFDVQCLELLLQVRSKKKVNIISAPALAIAGR >ORUFI10G11890.1 pep chromosome:OR_W1943:10:13801990:13802370:-1 gene:ORUFI10G11890 transcript:ORUFI10G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGALMMLTFFFDLTWRSNSKHCTSNFPATITRLAGMSTVTLSTPGTATAGEDSDVEAPLPLPPAPPATAAFSAAASSSFSFTEETDGGGHRRPAAAHVVSDQ >ORUFI10G11900.1 pep chromosome:OR_W1943:10:13805350:13806864:1 gene:ORUFI10G11900 transcript:ORUFI10G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKDKASGKDAGEKKDAAGGGEKAAAAAPGPIVLKVELHCAGCASKVKKAIKRAPGVETVVTDTAGNKVVVTGAADAAELKERIEARTKKAVQIVSAGAGPPPKKDKEEKKDKDKKGGGDDKKAEKEKGGGGGDKKAEKEKGGGDKPKEEKKAKEPKEETVTLKIRLHCEGCIDRIKRRIYKIKGVKDVAVDAAKDLVKVTGTMDAAALPGYLKDKLSRQVEVVAPGKKDGAGGGDKKDGGGGDKKDKKEGGGGGGDKKDAGGEKTDKDKSAAASASVAPVPLADAGMFQMPPQYGFNPYHVHPGAAYYGGAPPPHPAAFYHHPNAAAAAAYQPYPYNVHAPQMFSDENPNACSVM >ORUFI10G11910.1 pep chromosome:OR_W1943:10:13836711:13837803:-1 gene:ORUFI10G11910 transcript:ORUFI10G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHEPSRPPPSPSTATIRSGGTQAERCVRGWESERTIDLRQQEDGMAKLQYDAQDGSGGDGELATVVAALRVYRTDSGV >ORUFI10G11920.1 pep chromosome:OR_W1943:10:13838409:13838864:1 gene:ORUFI10G11920 transcript:ORUFI10G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLALFILLAAGVAAAAATHPGDDVAMRSLANTTGTAKTLQWGASSPDPCGGTWVGVTCNAEGRVTAINASRGGLTGHLVGADLSTLASLSDLDLSFNALRDDLPVLPQPLGGLRALDLRSNSFFAITDGFFAAFPALETSTSTTTRCRP >ORUFI10G11930.1 pep chromosome:OR_W1943:10:13839150:13839341:1 gene:ORUFI10G11930 transcript:ORUFI10G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVEAHLQRNVFKGPLPDATSLANLRVFDASDNDLCGVAKFPAGVTVNVAGNPGVGTPCPS >ORUFI10G11940.1 pep chromosome:OR_W1943:10:13839868:13840134:-1 gene:ORUFI10G11940 transcript:ORUFI10G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRIEMSSNSCSIIRSRWQQPWHMSPLGFDWRGSSSRVEIPPGTVGSAVLDLQYANMIITTERQLLETEAEERWSGTTRRRALQAML >ORUFI10G11950.1 pep chromosome:OR_W1943:10:13847402:13851773:1 gene:ORUFI10G11950 transcript:ORUFI10G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVPEQLSHRSSCNLRAETHLHPVAGLPPPPPPLALLRLTRPPAPPDPPAMGCSSSLPANNAGGVGTISNENSGTDLKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTTVKFEIWDTAGQERYAALAPLYYRGAGAAIVVYDITSSESFNKAQYWVKELQKHGSPDMIMALVGNKADLHDNRSVSSQDAQEYAERNTMFFIETSAKTADNINQLFEEIAKRLPRPTPS >ORUFI10G11960.1 pep chromosome:OR_W1943:10:13852445:13857740:1 gene:ORUFI10G11960 transcript:ORUFI10G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDPSMHFKPHSIVSFLVLLLLFHAAAAGGDGDQFRYDGFAGAALDLDGMAVVEPDGKLMLTNVTSQMKGHAFHPAPLRFHHPPPANGTAAAARSFSTAFVFAIAADYVTVSGNGLAFFVAPSKNMSTASPSQFLGLFNSENNGNASNRVFAVELDTILNPEFRDINSNHVGVDVNGLVSVAAEPAGYYDDATGGAFKNLTLFSGAAMQVWVDYDGRAAVVNVTLAPVEVAKPRRPLISVAVDLSPVVNGTAYVGLSSSTGPFHTRHYVLGWSFAMDGPAPPLDYAKLPKMPVVSAKRRSKALDVVIPVAAPLLALAVVAGVSFLVWRRLRYAELREDWEVEFGPHRFAYKDLFVATAGFDGKRLLGVGGFGRVYRGVLPASGTEVAVKIVSHDAKQGMRQFVAEVVSIGRLRHRNVVPLLGYCRRRGELLLVYDYMPNGSLDRWLHDHGAPPLGWAQRLHAVRGVAAGLLYLHEDWEQVVVHRDVKASNVLLDGEMNARLGDFGLARLYDRGADPQTTRVVGTMGYLAPELAHTRRVTPATDVFAFGSFVLEVACGRRPIERGGAMTAAADEDGQLVLADWVLDRWHKGDIAAAADARLCGDYDAKEAALVLKLGLLCSHPVAAARPTMRQVVHFLDGDAPLPEPEPTYRSFTTLAMMQNADGFDSCAVSYPSTATSIDGASSVLSGGRERAFFAMVSLPCLVVLLLAAAVGVEAAGGGGGGGVEFVYDGFGGAALALDGMATVTPGGLLLLTNDTDMNKGHAFHPDPVRFVGGGGGGGGGVVASFSTTFVFAIVSEFLDLSTSGFAFLVAPSRDLSAAMPQQYLGMFNASGNGDARNRIFAVEFDTVRNPEFADINNNHVGVDVNSLNSSAAATAGYYDDATAAFQNLSLISRQPMQVWVDYDAAAAEVTVAMAPARRPRPKKPLLSTAVNLSTVVADAAYVGFSSASSIVLCKHYVLSWSFRLGGGGAAPALDYAKLPKLPRIGPKPRSKALTVALPIVTTAIVLTAVAVGFLLLRQRLRYAELREDWEVEFGPHRFSFKDLYDATGGFKDKRLLGAGGFGRVYKGVLPRSRTEVAVKRVSHESRQGMREFIAEVVSIGRIRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLHGCDEKPILDWAQRIYIIKGVASGLLYMHEDWEQVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPEMVRSGKATTRSDVFAFGAFLLEVTCGRRPIEEEEEVAGAGADDDDRFVLVDWVLGHWREGAITDAVDAKLGGEYDAAEAELVLRLGLTCLHPSPAARPSMRQVMQYLDGSAPLPELPPTYVTFNMLAAMDTHQNVFGAWSVRRSSAMSVATVSDIGLSGGR >ORUFI10G11970.1 pep chromosome:OR_W1943:10:13864716:13873176:-1 gene:ORUFI10G11970 transcript:ORUFI10G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGLIGYGLRPLRSRCRLSAGLAASPISQGNDGRVGCPHFSAVIWFSDSSASVRGARKDGLMQKACSVQLLRPSRSRRRESWPQLGGSVPPQSASVVHLEEEDKYSCIDHSTSYLHVQSVRDFPIEKLNAEVVLVRLDSELLCNPLGSCNLSLERTVSTIKYLHKAGAKVLLVTSWTPVLQSVYPVLKSTETFADYMSSLLQVKVVPVNGVPGLTSFNPERWMQNDIILFENLFNFKGENANCNDFSQKLASGAAIFVNDSFSLSHKMLASTVGITRFCHASLAGFHFEEELMQLRKITDTTRRPFIAIIGGSNFLGKAPALNLLASLCDGLFFVGKLSLQIMNGFGIPVPSCFVEKNSTKEVLQLIQTAHNRNIPIYYPTDTWCLNNKNNNHEKLEILDSAELLPGWTPADIGPSTLEKISSLIPLYKKVLWIGPTGFDLTEEFSGGAAQLGRILDKASHDSCDVILVGSAACKAVKGISGSSSKYTTFKNASVVLEFLKGKILPGVAALDKSYPYQIPWNAIFSDSSQPLVVDIGSGNGLFLFQMARNWEGSNFLGLEMNKKLVVRCLRDVASVDKRNLYFVSTNATSTFRSIVSSYPGQLALVTIQCPNPDFNIEQNRWRMVRRMLVEAIADLLQPNGKSDVESVLLGMKEQFMTHGKGQLVVDDDGGGDHQMDNPFGAASDWERHVLARGAPMYRTMLRKVSCKITKDETQS >ORUFI10G11970.2 pep chromosome:OR_W1943:10:13864857:13873176:-1 gene:ORUFI10G11970 transcript:ORUFI10G11970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGLIGYGLRPLRSRCRLSAGLAASPISQGNDGRVGCPHFSAVIWFSDSSASVRGARKDGLMQKACSVQLLRPSRSRRRESWPQLGGSVPPQSASVVHLEEEDKYSCIDHSTSYLHVQSVRDFPIEKLNAEVVLVRLDSELLCNPLGSCNLSLERTVSTIKYLHKAGAKVLLVTSWTPVLQSVYPVLKSTETFADYMSSLLQVKVVPVNGVPGLTSFNPERWMQNDIILFENLFNFKGENANCNDFSQKLASGAAIFVNDSFSLSHKMLASTVGITRFCHASLAGFHFEEELMQLRKITDTTRRPFIAIIGGSNFLGKAPALNLLASLCDGLFFVGKLSLQIMNGFGIPVPSCFVEKNSTKEVLQLIQTAHNRNIPIYYPTDTWCLNNKNNNHEKLEILDSAELLPGWTPADIGPSTLEKISSLIPLYKKVLWIGPTGFDLTEEFSGGAAQLGRILDKASHDSCDVILVGSAACKAVKGISGSSSKYTTFKNASVVLEFLKGKILPGVAALDKSYPYQIPWNAIFSDSSQPLVVDIGSGNGLFLFQMARNWEGSNFLGLEMNKKLVVRCLRDVASVDKRNLYFVSTNATSTFRSIVSSYPGQLALVTIQCPNPDFNIEQNRWRMVRRMLVEAIADLLQPNGKVYLQSDVESVLLGMKEQFMTHGKGQLVVDDDGGGDHQMDNPFGAASDWERHVLARGAPMYRTMLRKV >ORUFI10G11970.3 pep chromosome:OR_W1943:10:13864857:13873176:-1 gene:ORUFI10G11970 transcript:ORUFI10G11970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGLIGYGLRPLRSRCRLSAGLAASPISQGNDGRVGCPHFSAVIWFSDSSASVRGARKDGLMQKACSVQLLRPSRSRRRESWPQLGGSVPPQSASVVHLEEEDKYSCIDHSTSYLHVQSVRDFPIEKLNAEVVLVRLDSELLCNPLGSCNLSLERTVSTIKYLHKAGAKVLLVTSWTPVLQSVYPVLKSTETFAEKNSTKEVLQLIQTAHNRNIPIYYPTDTWCLNNKNNNHEKLEILDSAELLPGWTPADIGPSTLEKISSLIPLYKKVLWIGPTGFDLTEEFSGGAAQLGRILDKASHDSCDVILVGSAACKAVKGISGSSSKYTTFKNASVVLEFLKGKILPGVAALDKSYPYQIPWNAIFSDSSQPLVVDIGSGNGLFLFQMARNWEGSNFLGLEMNKKLVVRCLRDVASVDKRNLYFVSTNATSTFRSIVSSYPGQLALVTIQCPNPDFNIEQNRWRMVRRMLVEAIADLLQPNGKVYLQSDVESVLLGMKEQFMTHGKGQLVVDDDGGGDHQMDNPFGAASDWERHVLARGAPMYRTMLRKV >ORUFI10G11980.1 pep chromosome:OR_W1943:10:13873889:13886947:-1 gene:ORUFI10G11980 transcript:ORUFI10G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQPQDAVAANGNGGGKRPHAVVIPYPLQGHVIPAVHLALRLAARGFAVTFVNTESVHRQITSSGGGHGVGGGDDIFAGAGGGAMIRYELVSDGFPLGFDRSRNHDQYMEGVLHVLPAHVDELLRRVVGDGDAAAATCLVADTFFVWPATLARKLGVPYVVSDGLPVGFDRSLNHDDFMGSLLHAFGAHVEALLCRVVVDAAATFLVADTFFVWPATLSKKLGIPYVSFWTEPALIFNLYYHINLLTEHEPRKDTITYVPGVEAIEPGELMSYLQDTDTTTVVHRIIFRAFEEARGADYVVCNTVEELEPSTIAALRRERPFYAVGPILPAGFARSAVATSMWAESDCSRWLAAQPPRSVLYVSFGSYAHVTRRELHEIARGVLASGARFLWVMRPDIVSSDDPDPLPDGFAAAAAADGRGVVVPWCCQVEVLAHPAVAAFLTHCGWNSILESAWAGVPMLCFPLLTDQFTNRRLVVREWRAGVAVGDRGAVDAGEVRAKIEGVMRGEEGEVLREQVGKMRATLHAAVAPGGSARRGFDELVDELKRRCGGGGRH >ORUFI10G11980.2 pep chromosome:OR_W1943:10:13873889:13886947:-1 gene:ORUFI10G11980 transcript:ORUFI10G11980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQPQDAVAANGNGGGKRPHAVVIPYPLQGHVIPAVHLALRLAARGFAVTFVNTESVHRQITSSGGGHGVGGGDDIFAGAGGGAMIRYELVSDGFPLGFDRSRNHDQYMEGVLHVLPAHVDELLRRVVGDGDAAAATCLVADTFFVWPATLARKLGVPYPRKDTITYVPGVEAIEPGELMSYLQDTDTTTVVHRIIFRAFEEARGADYVVCNTVEELEPSTIAALRRERPFYAVGPILPAGFARSAVATSMWAESDCSRWLAAQPPRSVLYVSFGSYAHVTRRELHEIARGVLASGARFLWVMRPDIVSSDDPDPLPDGFAAAAAADGRGVVVPWCCQVEVLAHPAVAAFLTHCGWNSILESAWAGVPMLCFPLLTDQFTNRRLVVREWRAGVAVGDRGAVDAGEVRAKIEGVMRGEEGEVLREQVGKMRATLHAAVAPGGSARRGFDELVDELKRRCGGGGRH >ORUFI10G11990.1 pep chromosome:OR_W1943:10:13895511:13900171:1 gene:ORUFI10G11990 transcript:ORUFI10G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGEPSSSADPKGKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKIRMNKVVRKNLRVRLGDVVSVHQCQDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPTEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKARSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLELIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQEPIYVLEFLQTVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRSKENPEAMEEDEVDDIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFERTEAGAGAAADPFASAAAVADDDDLYS >ORUFI10G12000.1 pep chromosome:OR_W1943:10:13902130:13903611:1 gene:ORUFI10G12000 transcript:ORUFI10G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPHSPPLPPHLLRHLDGRALSTPLLDPLIRAASASASAPHHAFSLFLLLLRSALRPSHLTFPFLARAAARLATPRLARAVHAQPLRRGLLPHDLHVSNSLVHMYAACALPGLARRLFDEIPRPNHVSWNALLDGYAKCRDLPAARRVFARMPQRDVVSWSAMIDGCVKCGEHREALAVFEMMEATAARHDGVRANDVTMVSVLGACAHLGDLVRGRKMHRYLEEHGFPLNIRLATSLVDMYAKCGAIVEALEVFQAVPVENTDVLIWNAVIGGLAVHGMSRESLQMFQKMEHAGVVPDEITYLSLLSACVHGGLVDEAWSFFRSLEAQGLRPHVEHYACLVDVLGRAGRLEEAYGVVKSMPMKPSVSVLGALLNACHLHGWVELGEAVGRQLVHLQPDHDGRYIGLSNIYAVARRWQEAKKARKVMEERGVKKVPGFSEIDVGRGICRFIAQDKTHPGSAEIYALLKLIAMDMKMKDDVTVPDYTCMYC >ORUFI10G12010.1 pep chromosome:OR_W1943:10:13904972:13907695:-1 gene:ORUFI10G12010 transcript:ORUFI10G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRAGEASSSSPGTMSKAMQCFGFAGWEREERRGRSSAVAAAAATTRSLSARSNSSTSTDRDARRSGSECSLNVSSEISAESFGRYRQLSLPQRASNNLRIFTFQELKSATRGFSRSLVLGEGGFGCVYRGTIRSVLEPRRSVEVAIKQLGRKGLQGHKEWVTEVNVLGVVDHPNLVKLIGYCAEDDERGMQLLLVYEFMPNGSLADHLSSRSPRPASWAMRLRVALDTARGLKYLHEESEIKIIFRDLKPSNILIDENWNAKLSDFGLARLVSQDGSHVSTAVVGTIGYAAPEYIHTGRLSSKNDIWSYGVVLYELLTGRRPLDRNRPRGEQNLIEWVKPYSTDSKKLEIIMDPRLEGSYSLKSAAKLASVANKCLVRHARHRPKMSEVLEMVQKIVDSTDLGTPEHPLISKSRELTRDEKKRKGLDLKRRFADIKAGGDQRWFTWQRWRPKLVRTQ >ORUFI10G12020.1 pep chromosome:OR_W1943:10:13914551:13926611:1 gene:ORUFI10G12020 transcript:ORUFI10G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQLLAAAVAAAVFLAALLVPPARCQQQQVAIPPPASTSPPVRLPPSAPPPLVGVPPGVLMARIDAVRDELAAEVQAKYGFCMANVQEDFTQAFSFSNASFVSDCMEETQGQMTGMLCEKVEIEIYVKSLGKKPSTRVSRNCDQNSWALGCQPGWACARQDSSSSGREVPSRAVNCRPCCPGFFCPRGLTCMIPCPLGAYCPLATLNDTTGLCDPYSYQITPGSNTACGTADSWADVITTDDVFCPPGHHCPTTTQKFNCTIAVKAPQRNVSVFGKTHVRKIQQKKRRLYLVVILSVVLLLVYNCSDQFIKIRAKILSKSRRKAATIAQESATARGRWKLAKELVLSHELEMSESNQLAASSNEARHATEGNGKRSKNRKKLAHARTERFRRAYSQIGRERVLQPDNDKITLSGVVALAAENRSRRPMFEVVFKGLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTTGYKKDGLVLINGKSGSMQSYKKIIGFVPQDDIVHGNLTVEENLWFSACCRSSKGMSKSDKIIVLERVIGSLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRHEALQGVNVCAVIHQPSYTLFNMFDDFVLLARGGLIAYLGPISEVETYFSSLGIKVPERENPPDYYIDILEGITKTKMRGHATPKHLPLLWMLRNGYEVPEYMQKDLEDINNVHELYTVGSMSREQSFGDQSENADSVHQNVREPYSLLDRKTPGVLAQYKYYLGRVTKQRLREATLQAVDYLILCIAGICIGTIAKVKDDTFALLCQLAALRSFSPERLQYWRERESGMSTLAYFLARDTIDHFNTLVKPVAFLSTFYFFNNPRSEFKDNYLVFLALVYCVTGIGYTFAIWFELGLAQLCSALIPVVLVLVGTQPNIPNFIKGLCYPKWALEALIIAGAKKYSGVWLITRCGALLKGGYDINNFVLCIVIVMLMGVLFRFISINMNEEYARMTYIVKRREYHGIAPLFSW >ORUFI10G12020.2 pep chromosome:OR_W1943:10:13914673:13926611:1 gene:ORUFI10G12020 transcript:ORUFI10G12020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALQGITVQQQPKNLTVLRGTIAVKAPQRNVSVFGKTHVRKIQQKKRRLYLVVILSVVLLLVYNCSDQFIKIRAKILSKSRRKAATIAQESATARGRWKLAKELVLSHELEMSESNQLAASSNEARHATEGNGKRSKNRKKLAHARTERFRRAYSQIGRERVLQPDNDKITLSGVVALAAENRSRRPMFEVVFKGLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTTGYKKDGLVLINGKSGSMQSYKKIIGFVPQDDIVHGNLTVEENLWFSACCRSSKGMSKSDKIIVLERVIGSLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRHEALQGVNVCAVIHQPSYTLFNMFDDFVLLARGGLIAYLGPISEVETYFSSLGIKVPERENPPDYYIDILEGITKTKMRGHATPKHLPLLWMLRNGYEVPEYMQKDLEDINNVHELYTVGSMSREQSFGDQSENADSVHQNVREPYSLLDRKTPGVLAQYKYYLGRVTKQRLREATLQAVDYLILCIAGICIGTIAKVKDDTFALLCQLAALRSFSPERLQYWRERESGMSTLAYFLARDTIDHFNTLVKPVAFLSTFYFFNNPRSEFKDNYLVFLALVYCVTGIGYTFAIWFELGLAQLCSALIPVVLVLVGTQPNIPNFIKGLCYPKWALEALIIAGAKKYSGVWLITRCGALLKGGYDINNFVLCIVIVMLMGVLFRFISINMNEEYARMTYIVKRREYHGIAPLFSW >ORUFI10G12020.3 pep chromosome:OR_W1943:10:13914551:13926613:1 gene:ORUFI10G12020 transcript:ORUFI10G12020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQLLAAAVAAAVFLAALLVPPARCQQQQVAIPPPASTSPPVRLPPSAPPPLVGVPPGVLMARIDAVRDELAAEVQAKYGFCMANVQEDFTQAFSFSNASFVSDCMEETQGQMTGMLCEKVEIEIYVKSLGKKPSTRGITVQQQPKNLTVLRGTIAVKAPQRNVVILSVVLLLVYNCSDQFIKIRAKILSKSRRKAATIAQESATARGRWKLAKELVLSHELEMSESNQLAASSNEARHATEGNGKRSKNRKKLAHARTERFRRAYSQIGRERVLQPDNDKITLSGVVALAAENRSRRPMFEVVFKGLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTTGYKKDGLVLINGKSGSMQSYKKIIGFVPQDDIVHGNLTVEENLWFSACCRSSKGMSKSDKIIVLERVIGSLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRHEALQGVNVCAVIHQPSYTLFNMFDDFVLLARGGLIAYLGPISEVETYFSSLGIKVPERENPPDYYIDILEGITKTKMRGHATPKHLPLLWMLRNGYEVPEYMQKDLEDINNVHELYTVGSMSREQSFGDQSENADSVHQNVREPYSLLDRKTPGVLAQYKYYLGRVTKQRLREATLQAVDYLILCIAGICIGTIAKVKDDTFALLCQLAALRSFSPERLQYWRERESGMSTLAYFLARDTIDHFNTLVKPVAFLSTFYFFNNPRSEFKDNYLVFLALVYCVTGIGYTFAIWFELGLAQLCSALIPVVLVLVGTQPNIPNFIKGLCYPKWALEALIIAGAKKYSGVWLITRCGALLKGGYDINNFVLCIVIVMLMGVLFRFISINMNEEYARMTYIVKRREYHGIAPLFSW >ORUFI10G12020.4 pep chromosome:OR_W1943:10:13916036:13926611:1 gene:ORUFI10G12020 transcript:ORUFI10G12020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALQGITVQQQPKNLTVLRGTIAVKAPQRNVSVFGKTHVRKIQQKKRRLYLVVILSVVLLLVYNCSDQFIKIRAKILSKSRRKAATIAQESATARGRWKLAKELVLSHELEMSESNQLAASSNEARHATEGNGKRSKNRKKLAHARTERFRRAYSQIGRERVLQPDNDKITLSGVVALAAENRSRRPMFEVVFKGLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTTGYKKDGLVLINGKSGSMQSYKKIIGFVPQDDIVHGNLTVEENLWFSACCRSSKGMSKSDKIIVLERVIGSLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRHEALQGVNVCAVIHQPSYTLFNMFDDFVLLARGGLIAYLGPISEVETYFSSLGIKVPERENPPDYYIDILEGITKTKMRGHATPKHLPLLWMLRNGYEVPEYMQKDLEDINNVHELYTVGSMSREQSFGDQSENADSVHQNVREPYSLLDRKTPGVLAQYKYYLGRVTKQRLREATLQAVDYLILCIAGICIGTIAKVKDDTFALLCQLAALRSFSPERLQYWRERESGMSTLAYFLARDTIDHFNTLVKPVAFLSTFYFFNNPRSEFKDNYLVFLALVYCVTGIGYTFAIWFELGLAQLCSALIPVVLVLVGTQPNIPNFIKGLCYPKWALEALIIAGAKKYSGVWLITRCGALLKGGYDINNFVLCIVIVMLMGVLFRFISINMNEEYARMTYIVKRREYHGIAPLFSW >ORUFI10G12020.5 pep chromosome:OR_W1943:10:13914551:13917093:1 gene:ORUFI10G12020 transcript:ORUFI10G12020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQLLAAAVAAAVFLAALLVPPARCQQQQVAIPPPASTSPPVRLPPSAPPPLVGVPPGVLMARIDAVRDELAAEVQAKYGFCMANVQEDFTQAFSFSNASFVSDCMEETQGQMTGMLCEKVEIEIYVKSLGKKPSTRDQTLHAALQILGQM >ORUFI10G12030.1 pep chromosome:OR_W1943:10:13925362:13928215:-1 gene:ORUFI10G12030 transcript:ORUFI10G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDCCGAGAGGAAEARAAGAGGGRKRGCVGTALALVALAAAAAVAVLEGTAGGVSYVGDGWLHEYADGRRLLVSNFFGAGVSELRTKTKGKEKEEERVVLADPDVAGRVALGLTVDAPRGRLLIVYADRIPRFGYSAVAAYELTSWRRLFLTRLDGPGDSTLADDVAVDDEGNAYVTDAKGNKIWKVSPEGEPLSVIKNATFSQRPGWINNLVGLNGIVYHPSGYLLVIHTSGGDLFKVDPKTGSVRVVEVKGSLKAGDGLALLSPARLWSPPACRLVESDDDWETAAVTGRYVGPAHRIGSSATVKDGDVYINHIIGFGLGKKTHVISKAAFAPL >ORUFI10G12040.1 pep chromosome:OR_W1943:10:13941779:13945893:1 gene:ORUFI10G12040 transcript:ORUFI10G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAATATAALRLRAAFLSPPPPPAASAAAASRLLPRRPTASILLLPLRRLCSVPPHAVGAAGTGSSSQPSPVMDAQFESFRAQLDESSTLRDRIRAVVSEVESASRVACAALLLVHQPVPLADVLGKAKAQVEVIKGLYSRLAEILKECPGQYYRYHGDWRSETQAVVSMLAFMHWLETGGLLMHAEAQEKLGCMPGPCDGGVVHIDCVLCLMKLKGLCFMSNDFPRYVVNRVTAGDYDCPRKVLTFLTDLHASFRMLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLVPGESKQEAA >ORUFI10G12050.1 pep chromosome:OR_W1943:10:13953212:13953746:-1 gene:ORUFI10G12050 transcript:ORUFI10G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSLSTRRNRLVVPLIVCPSCNVKTIVRCTAKTDANRGRIFYTCPDHEKDGTGCNFWYWEEGYINYLKRNGFIAGEDGTYGKTAQHLDLNQDAFGRQDEIEKKLIAIVPIVREILLAVKCMLVVGLFGVLILVCVLVVVLMK >ORUFI10G12060.1 pep chromosome:OR_W1943:10:13958899:13968667:1 gene:ORUFI10G12060 transcript:ORUFI10G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLSIGGPAGAASSSHRGGGGGIVLAGGYGGAAGFWVEVGCGGRAGMDDMLYLGCEGEIQQLLQGPRDETDIYKCLAGESYLLTTKETVTIQTPPNPILNLLDFIPKYGSRCGRRCGGKREPFSLKPLQLETTIFRRHHLRKNNEHWTLKEITELVKGVSKNGVGSWTKLKRDFFSTSIRTAVHLKDKWRNLLKACGIKFTSKRKVAVHALHL >ORUFI10G12070.1 pep chromosome:OR_W1943:10:13970792:13971979:-1 gene:ORUFI10G12070 transcript:ORUFI10G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWGAYTEVARQKAVGRGRKVAAPPPNFPLVKTMPRLLGIDGSGVLVVIFLEAPFEGPFPFNISPDDRPLCGGAYDFHKMTTLAGVSEGKRIHLFLALFLSNPGADAG >ORUFI10G12080.1 pep chromosome:OR_W1943:10:13981315:13984410:1 gene:ORUFI10G12080 transcript:ORUFI10G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAAAACGWRKGLEEGEPEWEAMELEAAAPAIFPADGGGGGGRRSPAAWRIYFNQHMRMTSTICYKGPKMKQTWTNDFIPKDGCKRGPRGARKRQTFPSRGARTRRAFPLQQLCFGTTRDKRCRLRKNNDHWTIKEVTNLVQGVSKHGVGRWTELKRDFFSTSIRTSVHLKDKWRNLLKACGIDFTSTAKGNAQKTMLWPLDKRLIEQITQLAYKHPYPRQKY >ORUFI10G12090.1 pep chromosome:OR_W1943:10:13992493:13997274:1 gene:ORUFI10G12090 transcript:ORUFI10G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRSPAAATASSGPVAAAASAAMAAPGVGGVEPAVTLDQVPRWSDPDQRLYAPSSSSAAAAGGVEAGEGGGSEPAASAFLSFSDPLTGDDGGGVSAGDRGGASRFPVDHEINSRIYLWRGHPWNLEVDAVVNSTNESLDESHSSPGLHAAAGSGLAEECSTLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKGKIAGVVFCTVSSSDTEIYKRLLPLYFPRDRQEEEIAVSKLPADVGDENGETVIDERKIRIRPLPAGATDRAATTAPIDLPFDSGLASKRSSFKLDSYLDPSFMSLIKDPDLRRKEQWEKSAQAQKGFNYAKLLGYGDLACPSLSAAEEYSLHSRYLAKANSLNLSEIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLHVVKEFEPLIQKPYSIVYFHSAASLQPQPDLGFMKRLQQILGRKHQRNLHAIYVLHPTLGLRTAILAMQMFVDGEVWKKVVYVDRLVHLFRYVPREQLTIPDFVFQHDLEVNGGRGLIVDPRTKHIYQRPSG >ORUFI10G12100.1 pep chromosome:OR_W1943:10:14003763:14005771:1 gene:ORUFI10G12100 transcript:ORUFI10G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQLKVLTTLDHARTQWYHFMAIVIAGMGFFTDAYDLFCISLVSKLLGRIYYTDIASDTPGSLPPNVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLVLMVVCSVASGLSFGRTAKGVVATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILFGAIVALVVSAGFRNAYPAPSYADGRAASLVPEADYVWRIILMFGTVPAALTYYWRMKMPETARYTALIARNAKQAAADMSKVLDTEIQEDADRAEAVAAGGASNEWGLFSRHFVRRHGVHLVATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPARTMNAVEEVFRIARAQALIALCGTIPGYWFTVAFIDVAGRFAIQLMGFAMMTVFMLGLAAPYHHWTTPGNHTGFVVMYGFTFFFANFGPNATTFIVPAEIYPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQDPHKPEAGYKPGIGIRNALFVLAGTNFLGMLMTLLVPESKGMSLEEVSKENVADDEEATA >ORUFI10G12110.1 pep chromosome:OR_W1943:10:14007421:14008383:-1 gene:ORUFI10G12110 transcript:ORUFI10G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISKIANHWVGYLGVNGEEVEVKPMAWWLGLQRERRGHPHRSQHERLGGGDNPSRHCPTPPPLPCHRQSEQPGYSSVRKDGGSGVESCEAAGPILCEGEGDDAP >ORUFI10G12120.1 pep chromosome:OR_W1943:10:14012445:14014070:-1 gene:ORUFI10G12120 transcript:ORUFI10G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQEQQQHLQVLSALDAAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYTDLAKENPGSLPPNVAAAVNGVAFCGTLAGQLFFGWLGDKLGRKSVYGMTLLMMVICSIASGLSFSHTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVTLIISSAFRAGFPAPAYQDDRAGSTVRQADYVWRIILMLGAMPALLTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVEIQEEQDKLEQMVTRNSSSFGLFSRQFARRHGLHLVGTATTWFLLDIAFYSQNLFQKDIFTSINWIPKAKTMSALEEVFRIARAQTLIALCGTVPGYWFTVFLIDIVGRFAIQLLGFFMMTVFMLGLAVPYHHWTTKGNHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGSFGFLYAAQDPHKPDAGYKPGIGVRNSLFVLAGCNLLGFICTFLVPESKGKSLEEMSGEAEDDDDEVAAAGGAAVRPQTA >ORUFI10G12130.1 pep chromosome:OR_W1943:10:14017152:14021267:-1 gene:ORUFI10G12130 transcript:ORUFI10G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWTEPYYNCVFIVSEAESMHHVATRKCQIPDLVPSVPNMMPRKYHDDVSIVPGGNCRRRWEDRWDVGIATRQQRYLQLQQVLNMRKPNSSSMWSTNSSSRWPAAAPIELRRPSQLSAPKTRAPQLFAQHQKLNLTAAKKSRSNLLFALMICNHLAHNDDSHHADDDHDDNEGGAARKQQLLRAGEPAADGLHHGPALERHHSGGSRHKLRRDGGGDGLAAIAGLGIPRRRSWPSPPSLAGCCCRRHCWSPAAVVSRREMGKGEERGWRKRMELTCGSHIRVTAMDGKCDGSGMGPISQSSSGTQQIS >ORUFI10G12140.1 pep chromosome:OR_W1943:10:14039648:14040022:-1 gene:ORUFI10G12140 transcript:ORUFI10G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNRSKDTATKYRGVRRRPWGKFAAEIRDPERGGARVWLGTFDTAEEAARAYDRAAYAQRGAAAVLNFPAAAAAGRGGGAGGAASGSSSSSSAQRGRGDKIEFEYLDDKVLDDLLDDEKYRGK >ORUFI10G12150.1 pep chromosome:OR_W1943:10:14061247:14063062:-1 gene:ORUFI10G12150 transcript:ORUFI10G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFQDSVKALEADIEHANELASEFLRDYDGAVIQMRMAYSAVAHFLVQWIDCKLAGALGLLKIMIYKVYADGTTALPEWEREASIRQFYGVIFPSLLQLPSGITELDDRKQRRLCLQKFRKVEERVSEVDLERELECGICLEVNAKIVLPDCAHSLCMRCFEDWNTKSKSCPFCRACLKKVNPSSLWLYTDDRDVVDMDTLTRENIRRLFMFISKLPLVVLHVVDLDIYEYRIK >ORUFI10G12160.1 pep chromosome:OR_W1943:10:14069327:14075189:1 gene:ORUFI10G12160 transcript:ORUFI10G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTTSLRPRFATTSTRSASADRHGSVRPSASSITTASPATSATGPHPGTTAHRVHPLSTSTMPRSASVLVRLGAWASASPPSPSRTTGSSSTVVASATSPYMSRTTGTAGRDAAAAALAAPSSSSTSSPAAFLLARSSSASTRALAGSVSTTSSARSSSATESSASMASRDWRNRAKARQSPGLSRVKASHPSSPRRCGKSFMERASSRTPRGSRRRSMACLAASARCLALRNRSS >ORUFI10G12170.1 pep chromosome:OR_W1943:10:14073724:14075121:-1 gene:ORUFI10G12170 transcript:ORUFI10G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSHPHPHPASTTAAAAARHHHRRNAPFAPHHRRRRRFAHLTTSAVILGPDGRPIGGGPRDNKLPFTPPPTAPPDQLYQPFHPPPSPLPDKYKDLDLGQRLAVLRDRLGLWHEYAPLISALSREGFTPSSIEEATGISGVEQNSVVVATQVRDSLVADEGGFPAELLRYFDSYGGPELLYELRFLNARQRADAARHAIDRRLEPRGVRELARSMKDFPQRRGDDGWEAFTRDNPGDCLAFARFRQSREAIDAEDSVAELERALEVVDTEPARARVEAELDRARRKAAGEEVDDEDGAANAAAAASRPAVPVVRLMYGEVAEATTVLLLPVVREGDGGEALAHAPRRTRTDADLGMVEVDKGWTRWAVVPGWGPVAEVAGEAVVIELADGRTLPWRSAEAERVLVVANRGRREVVEDGIYVVEREGRLVVEKGRKLAAEGVGEAAAKVLIVVRPSSGRPGTRTT >ORUFI10G12180.1 pep chromosome:OR_W1943:10:14079272:14079641:1 gene:ORUFI10G12180 transcript:ORUFI10G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALGAEEDNDDSCTTRAARCREAGAPASQTAATGGAAGVGRRRRGQWQPLRWPEGAAATPVVAATVAEAEASELVAAWLDDGSSGCCGTVRGGTVVRSAAAAAVAVTAVTEGMAASDG >ORUFI10G12190.1 pep chromosome:OR_W1943:10:14082475:14084579:1 gene:ORUFI10G12190 transcript:ORUFI10G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRTPVRAASPSPGPSPPPPPPPAQLKVRRLCALCPFDTFCFRPLLGFCLPSRRPGDPPPLTCDSLQGGRPPVVHADEEEEEKKKKKKKKKKKQGSMVVGGDREEEEEEEDDDDDLVLVASTTSERDVTGAAAAGRCSRNDGKRWRCKSAAVPGYVFCDRHIAWSTRKRKPRKRSHSSIVDPPQPKEDPAAAAKAEDDDGGGGGVEEEEESKNHGANLRCNDDDDDEEFHYYGGLQHGGRKRAKSGGGGGPA >ORUFI10G12200.1 pep chromosome:OR_W1943:10:14086693:14088422:-1 gene:ORUFI10G12200 transcript:ORUFI10G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKCASRLLGSSYSASAAAPPPPVTGAGAAAPGFELLPLALATPPPLPYPGSHESGAGATCFAAAAASGEPCELSRSPWDLIGELNISDPQEEDVVEKYFVHVASRASWLFPTSMPAAVKQKGLAAAGEFSTKIPNKKFAKKTAKKPSPATAKKKEAAAAAVKEEDEPTKKPKLKKEEDDSNSAISAAGGAQVWTCKKNDGKRWHCQRRVRQPNSLCDYHSDQKRGYYNPLYDDPTDETYAPPASPPSSVVPATASSKTSTSSSKARKKKVADSGEGFYYYAGFGPFRTKRHCRSSSSSNNYNMQDQPPPVEQEQHEEEEARSPEIADPHPSAGNKSRSGNGDDATTTTDRQTTLASTTTAVAARDDFSSSDDDDDDIAGIAGGDEESSDDAARIGRLRAGINGDARKNKSQQARKRWRKPVKARSLKSLM >ORUFI10G12210.1 pep chromosome:OR_W1943:10:14091408:14091793:-1 gene:ORUFI10G12210 transcript:ORUFI10G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNQWARSPSRLIDHNVKRNRIAVRCAQTTEPPLLAASESQNTQQYNITVAVWHNGRAMTKEAVPLDPKCF >ORUFI10G12220.1 pep chromosome:OR_W1943:10:14097633:14127181:1 gene:ORUFI10G12220 transcript:ORUFI10G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRAKVAAALGVVVWWWWWWAVAVVGCGAQPVVVGSYGQPRLWLKPYDWSYLRVELPPSFSSVTMDFATDRDIQREHLKDLPRRDLAIICLMNSNPPIPDISDSFLDTLLSNFLVVGSFGSTNNQSDLAQCIPFQKNTTIVLRNDQISPGIWYIGYFNGLGPARTQSKMISRGRARSVSTSITVEGCPTSALWGPYCNQTIEMISCSQSSGYNNSRNLMGLNIDKRKTLNTREHTRRINFLSQWNHLEEKGVGSNSTTYSRMDNSITCAISNGSLCLRQGDMKFYFLDVVDLALQFEITATNFGLAQRSSLICYLRYNAFPRRDLHDYSASTPLLDTTCFSLEWQVTGCLNGKAGTNCSWEAYVLQRVPKRSPSVPFESYYVPSDGKASLEYSHFSLEQFLSNSSFEPFAWTYFFLDIPEGSAGALIHVQIKSDKELNYELYSRYGGLPSNESWDYYASRTSSSNGSVYFSLQNSTNSDMDLSIFYAKEGTWCFGVKHPSDKSNSQTYMSISLQGCHKNCNQKGACHSSIDESGLTFYSFCTCDRDHGGFDCNDELVSPNGHIWQSVFLIASNGAAILPAFWALRQKAFAEWILYTSSGISSALYHSCDVGTWCILSFRVLQFLDFWLSFMAVVGTFIYMATIDETSKRAMHTAVFILTALLAATGATRSANIGIVIAIGSLGLLIGWLLEFSTARRFVCWPWRINLNVPQSWPNLRSLFWNSLDLLNKRFRWFYLLLGFITLAFAGTSWKLESNRSYWVWHSLWHITIYTSSFFFLCSMRINTVNHSPEPSYELTRQNSLPRSEPRET >ORUFI10G12220.2 pep chromosome:OR_W1943:10:14097633:14127181:1 gene:ORUFI10G12220 transcript:ORUFI10G12220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRAKVAAALGVVVWWWWWWAVAVVGCGAQPVVVGSYGQPRLWLKPYDWSYLRVELPPSFSSVTMDFATDRDIQREHLKDLPRRDLAIICLMNSNPPIPDISDSFLDTLLSNFLVVGSFGSTNNQSDLAQCIPFQKNTTIVLRNDQISPGIWYIGYFNGLGPARTQSKMISRGRARSVSTSITVEGCPTSALWGPYCNQTIEMISCSQSSGYNNSRNLMGLNIDKRKTLNTREHTRRINFLSQWNHLEEKGVGSNSTTYSRMDNSITCAISNGSLCLRQGDMKFYFLDVVDLALQFEITATNFGLAQRSSLICYLRYNAFPRRDLHDYSGDISSAPLVLKLPNIGRWYIAIETVNITQMNSTASTPLLDTTCFSLEWQVTGCLNGKAGTNCSWEAYVLQRVPKRSPSVPFESYYVPSDGKASLEYSHFSLEQFLSNSSFEPFAWTYFFLDIPEGSAGALIHVQIKSDKELNYELYSRYGGLPSNESWDYYASRTSSSNGSVYFSLQNSTNSDMDLSIFYAKEGTWCFGVKHPSDKSNSQTYMSISLQGCHKNCNQKGACHSSIDESGLTFYSFCTCDRDHGGFDCNDELVSPNGHIWQSVFLIASNGAAILPAFWALRQKAFAEWILYTSSGISSALYHSCDVGTWCILSFRVLQFLDFWLSFMAVVGTFIYMATIDETSKRAMHTAVFILTALLAATGATRSANIGIVIAIGSLGLLIGWLLEFSTARRFVCWPWRINLNVPQSWPNLRSLFWNSLDLLNKRFRWFYLLLGFITLAFAGTSWKLESNRSYWVWHSLWHITIYTSSFFFLCSMRINTVNHSPEPSYELTRQNSLPRSEPRET >ORUFI10G12220.3 pep chromosome:OR_W1943:10:14097633:14107475:1 gene:ORUFI10G12220 transcript:ORUFI10G12220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRAKVAAALGVVVWWWWWWAVAVVGCGAQPVVVGSYGQPRLWLKPYDWSYLRVELPPSFSSVTMDFATDRDIQREHLKDLPRRDLAIICLMNSNPPIPDISDSFLDTLLSNFLVVGSFGSTNNQSDLAQCIPFQKNTTIVLRNDQISPGIWYIGYFNGLGPARTQSKMISRGRARSVSTSITVEGCPTSALWGPYCNQTIEMISCSQSSGYNNSRNLMGLNIDKRKTLNTREHTRRINFLSQWNHLEEKGVGSNSTTYSRMDNSITCAISNGSLCLRQGDMKFYFLDVVDLALQFEITATNFGLAQRSSLICYLRYNAFPRRDLHDYSGDISSAPLVLKLPNIGRWYIAIETVNITQMNSTASTPLLDTTCFSLEWQVTGCLNGKAGTNCSWEAYVLQRVPKRSPSVPFESYYVPSDGKASLEYSHFSLEQFLSNSSFEPFAWTYFFLDIPEGSAGALIHVQIKSDKELNYELYSRYGGLPSNESWDYYASRTSSSNGSVYFSLQNSTNSDMDLSIFYAKEGTWCFGVKHPSDKSNSQTYMSISLQGCHKNCNQKGACHSSIDESGLTFYSFCTCDRDHGGFDCNDELVSPNGHIWQSVFLIASNGAAILPAFWALRQKAFAEWILYTSSGISSALYHSCDVGTWCILSFRVLQFLDFWLSFMAVVGTFIYMATIDETSKRAMHTAVFILTALLAATGATRSANIGIVIAIGSLGLLIGWLLEFSTARRFVCWPWRINLNVPQSWPNLRSLFWNSLDLLNKRFRWFYLLLGFITLAFAGTSWKLESNRSYWVWHSLWHITIYTSSFFFLCSMRINTVNHSPEPSYELTRQNSLPRSEPRET >ORUFI10G12220.4 pep chromosome:OR_W1943:10:14097798:14127181:1 gene:ORUFI10G12220 transcript:ORUFI10G12220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQLTGPTLEEELNAIFFLTVCMHRPLQAIGGEDEEFSPNPEGLQAKMAPLPTPPPPSAPSSAPPFKSNASSSRPNRAPPEGTPTSPAAATRPPPFAMVPAVSEAVLAVFDVSTTLRSQGYLAGQELPSITLPEDRAPEVFERLLGSFLAQSHGPGVLPPRPMPPLLGDGTPVGLLRLYLAVRASGGFEAVRSWAAAAEMAGLNPAMDVPIMLVYHKYLCPLEASFLRAQKLREEAGSSGGSAKKGKFLAPAARDAEGVEEVLDLKRKRENLVGMLNWVRQVAKKPDRRRSGRNAADNHLSMALMFRRQMFVDDGFSDKPHGCASPEPEATEPESGLTTEDGQYDGWDDQQSAGGSSNWNSRANRSFGLAYIPEWTGKPSLPYEDPDMLRFLGEPILTPKNNEVFDDTIGKGRPDKCNCEVPGSTSCVRFHVAEKKTELKREMGSSYYAMKFDEIGQDAALTWQKDEEKKFETIVQQNLPSSKYNFWDKLRAAFRYKGERALVSYYNNVFQPRRRAFQNRVAQHANGVDSDDDSIEPAFLRLRQDGGKSRSRSSASSRNQNQS >ORUFI10G12220.5 pep chromosome:OR_W1943:10:14097798:14127181:1 gene:ORUFI10G12220 transcript:ORUFI10G12220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVPKRSPSVPFESYYVPSDGKASLEYSHFSLEQFLSNSSFEPFAWTYFFLDIPEGSAGALIHVQIKSDKELNYELYSRYGGLPSNESWDYYASRTSSSNGSVYFSLQNSTNSDMDLSIFYAKEGTWCFGVKHPSDKSNSQTYMSISLQGCHKNCNQKGACHSSIDESGLTFYSFCTCDRDHGGFDCNDELVSPNGHIWQSVFLIASNGAAILPAFWALRQKAFAEWILYTSSGISSALYHSCDVGTWCILSFRVLQFLDFWLSFMAVVGTFIYMATIDETSKRAMHTAVFILTALLAATGATRYANR >ORUFI10G12220.6 pep chromosome:OR_W1943:10:14103811:14127181:1 gene:ORUFI10G12220 transcript:ORUFI10G12220.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQLTGPTLEEELNAIFFLTVCMHRPLQSGLTTEDGQYDGWDDQQSAGGSSNWNSRANRSFGLAYIPEWTGKPSLPYEDPDMLRFLGEPILTPKNNEVFDDTIGKGRPDKCNCEVPGSTSCVRFHVAEKKTELKREMGSSYYAMKFDEIGQDAALTWQKDEEKKFETIVQQNLPSSKYNFWDKLRAAFRYKGERALVSYYNNVFQPRRRAFQNRVAQHANGVDSDDDSIEPAFLRLRQDGGKSRSRSSASSRNQNQS >ORUFI10G12220.7 pep chromosome:OR_W1943:10:14103811:14127181:1 gene:ORUFI10G12220 transcript:ORUFI10G12220.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQLTGPTLEEELNAIFFLTVCMHRPLQSGLTTEDGQYDGWDDQQSAGGSSNWNSRANRSFGLAYIPEWTGKPSLPYEDPDMLRFLGEPILTPKNNEVFDDTIGKGRPDKCNCEVPGSTSCVRFHVAEKKTELKREMGSSYYAMKFDEIGQDAALTWQKDEEKKFETIVQQNLPSSKYNFWDKLRAAFRYKGERALVSYYNNVFQPRRRAFQNRVAQHANGVDSDDDSIEPAFLRLRQDGGKSRSRSSASSRNQNQS >ORUFI10G12230.1 pep chromosome:OR_W1943:10:14134442:14135368:-1 gene:ORUFI10G12230 transcript:ORUFI10G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEQLMAPETAAATVTTKLLAQEITKDVQVNSRRKFEKWDIAITTLEPNINTMLIAIVPGKERDYNAYSKDYMSSIVKQSCTNTRSCFRDGDIPFVPEDHINDSDQSSTLAGCSACCDHATTSENTEDQVG >ORUFI10G12240.1 pep chromosome:OR_W1943:10:14148424:14152812:1 gene:ORUFI10G12240 transcript:ORUFI10G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFRGPTESIYQGGVWKVRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPAYEQKVKEYCEKYAKPEDAGVTPEDKSSDEELSEDEDDSGDDAILGNPDP >ORUFI10G12250.1 pep chromosome:OR_W1943:10:14163820:14164068:1 gene:ORUFI10G12250 transcript:ORUFI10G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETTVARWKASEEMGSALHAVVSRRRCSKHCSSSPLCVVGGKNRRSPRTAGEKEARTEDDLILHLIAGGLQLGGPPSDGL >ORUFI10G12260.1 pep chromosome:OR_W1943:10:14164077:14164523:1 gene:ORUFI10G12260 transcript:ORUFI10G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLPHCRASSSGAEQASQQGGGARSRQVAGGEAGGVERAGGRDAHHLFLFPISSIFVPPSLATSTAADGWIQGGTVPEGGPHAAPHHQPRRRAARYPRLADSCAPTPCRGSPPLAAAPRGRNGRPYLAFSHGGQPPPRLLPPPSPPR >ORUFI10G12270.1 pep chromosome:OR_W1943:10:14165557:14174620:1 gene:ORUFI10G12270 transcript:ORUFI10G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKFGCSTATGRLVPGRQAGRARRRRGLPRDVARRRGIARPEILLQSYCLVPHSVNTSSALNFGALANVTEPGAASTPLVVGDVDDVDTYYTAVVLDSVKVGNKTVASAASSRIIVDSGTTLTFLDPALMGPLVDELSRWITLPPVQSPDGLLQLCYEVAGQEVEAGERITNHIDKHLYSIVESCSDNHSTYVERIVRYRNAKISFEGTLCLAIVAITEQQPVSILGNLAQQNIHVGHDLDAGTVTFAAADCAGSGRASAMEGSDSSRSRDKRDADDDVDARSSWKEDDEHEDVEDRKNRSGKSTRYIYDDEGDEDDYDVRRESRVSKVPRRSPEERSERRLSDGYKDRDGDSSRRRREDNNDWDSSRRSGSRTSGHDVSRSKSRSSDRTSSDRADTRDSRSSADQSKNRSAREAHDYRNELSERWEDTERRKGSARTDKNDQDRRSIDPRYDSPPARDDRIVDSVDNTRQNTLHYNAKSEESDEKCMDQVEGTNRISDNVETKDMLPYVDKDGHALSRDGRNKEARHHREKDDGDQGHSDSDNERNISMKEKIRVDAHGDYKSYRGRDRNRELEGSKEHWGSRQRHDSKEPNDYDVGAEWRHGQERLDGGNFHGRSGYRKDSRGRYESSKGPSSYGNRYDSSDSIEIRPNRNLDFGRESSVSGRRINMGSLQDLTPGTSDPSEENKRNYGNGEDAQERYYDDVQNMDGKIPSDSHTGRGGAIASNNSGAGPSGSGSIISPTPQQGPKGSRPSRGLRGRPNVRDPQRMGLPVPLMPPPPFGPLGLPPGPMQPIGPNMSHSPGPLGPGVFIPPFPGHLVWPGARGIDVNMLSVPTNLPIPPVAGPSFTSSVAAGPNHSIHLNQTGSGLGSPANAPGTGFNPLSTPNHEILQDKPPAGWTPQRGPAGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTSVVEDYPKLRELIQRKDDIVSNSASAPMYYKCDLKDHMLSPEFFGTKFDVILIDPPWEEYVHRAPGITDHIEYWTPDEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWIKTNKKNATPGLRHDSNTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKGLSSSNFHKEAYIKNFMDRDGKIWQGGGGRNPPPDAPHLVVTTPEIESLRPKSPPQKSQQQQSMPPMGSSSSTNRRSVMNSSQIVVTVVGSETMMPSPWSSNPMSGFGMPE >ORUFI10G12280.1 pep chromosome:OR_W1943:10:14197943:14208088:1 gene:ORUFI10G12280 transcript:ORUFI10G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQATPSSSTSHFSPPSPLCLRACQPRKAKLSCLALFDDQVAWFDELTIEEKRTANVEEITNRAPPPTPTTTKTTASESARDSHSDDLELVYHSPSSSVAGRTCCSIDRTPAASAAAAVVIEDQY >ORUFI10G12280.2 pep chromosome:OR_W1943:10:14197965:14211309:1 gene:ORUFI10G12280 transcript:ORUFI10G12280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASTPKVVLGCVAFTIFWVLAVFPSVPFMPVGRTAGSLLGAMLMVLFRVMTPEEAYAAIDLPILGLLFGTMVVSIFLERADMFKYLGNMLSWKSRGSKDLLFRVCVVSAVASALFTNDTTCVVLTEFILKVARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAVESGITFGQFLLGVFPAMVVGILANTCILLCYFWRYLSADRDQLEGGGGAHGPEVVADEEVTSHRFTPARMSHASSVNDSDCISEPIRRSESMNRADALRSRSYNSEGDIQVAIRSLRASSLSREMVEVSTVCDRRDVVVDGGGGGGPRKITRSTSHQRSVIIEDAPEQHQHPAGLFDGEKDKDDEAIGKRRRWKVIVWKYAVYLTTLGMLAALLLGLNMSWTAITAALILLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYARIDSPKGVVLLAIVILVLSNVASNVPTVLLLGTRVAASAAAISPASEKKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIIVTAIGLLIVMSY >ORUFI10G12280.3 pep chromosome:OR_W1943:10:14197965:14211575:1 gene:ORUFI10G12280 transcript:ORUFI10G12280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASTPKVVLGCVAFTIFWVLAVFPSVPFMPVGRTAGSLLGAMLMVLFRVMTPEEAYAAIDLPILGLLFGTMVVSIFLERADMFKYLGNMLSWKSRGSKDLLFRVCVVSAVASALFTNDTTCVVLTEFILKVARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAVESGITFGQFLLGVFPAMVVGILANTCILLCYFWRYLSADRDQLEGGGGAHGPEVVADEEVTSHRFTPARMSHASSVNDSDCISEPIRRSESMNRADALRSRSYNSEGDIQVAIRSLRASSLSREMVEVSTVCDRRDVVVDGGGGGGPRKITRSTSHQRSVIIEDAPEQHQHPAGLFDGEKDKDDEAIGKRRRWKVIVWKYAVYLTTLGMLAALLLGLNMSWTAITAALILLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYARIDSPKGVVLLAIVILVLSNVASNVPTVLLLGTRVAASAAAISPASEKKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIIVTAIGLLIVMSY >ORUFI10G12280.4 pep chromosome:OR_W1943:10:14208141:14211309:1 gene:ORUFI10G12280 transcript:ORUFI10G12280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASTPKVVLGCVAFTIFWVLAVFPSVPFMPVGRTAGSLLGAMLMVLFRVMTPEEAYAAIDLPILGLLFGTMVVSIFLERADMFKYLGNMLSWKSRGSKDLLFRVCVVSAVASALFTNDTTCVVLTEFILKVARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAVESGITFGQFLLGVFPAMVVGILANTCILLCYFWRYLSADRDQLEGGGGAHGPEVVADEEVTSHRFTPARMSHASSVNDSDCISEPIRRSESMNRADALRSRSYNSEGDIQVAIRSLRASSLSREMVEVSTVCDRRDVVVDGGGGGGPRKITRSTSHQRSVIIEDAPEQHQHPAGLFDGEKDKDDEAIGKRRRWKVIVWKYAVYLTTLGMLAALLLGLNMSCHTRC >ORUFI10G12280.5 pep chromosome:OR_W1943:10:14197943:14207368:1 gene:ORUFI10G12280 transcript:ORUFI10G12280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQATPSSSTSHFSPPSPLCLRACQPRKAKLSCLALFDDQVAWFDELTIEEKRTANVNRTEQ >ORUFI10G12280.6 pep chromosome:OR_W1943:10:14197943:14208088:1 gene:ORUFI10G12280 transcript:ORUFI10G12280.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQATPSSSTSHFSPPSPLCLRACQPRKAKLSCLALFDDQVAWFDELTIEEKRTANVDQY >ORUFI10G12280.7 pep chromosome:OR_W1943:10:14208141:14211575:1 gene:ORUFI10G12280 transcript:ORUFI10G12280.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASTPKVVLGCVAFTIFWVLAVFPSVPFMPVGRTAGSLLGAMLMVLFRVMTPEEAYAAIDLPILGLLFGTMVVSIFLERADMFKYLGNMLSWKSRGSKDLLFRVCVVSAVASALFTNDTTCVVLTEFILKVARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAVESGITFGQFLLGVFPAMVVGILANTCILLCYFWRYLSADRDQLEGGGGAHGPEVVADEEVTSHRFTPARMSHASSVNDSDCISEPIRRSESMNRADALRSRSYNSEGDIQVAIRSLRASSLSREMVEVSTVCDRRDVVVDGGGGGGPRKITRSTSHQRSVIIEDAPEQHQHPAGLFDGEKDKDDEAIGKRRRWKVIVWKYAVYLTTLGMLAALLLGLNMSCHTRC >ORUFI10G12290.1 pep chromosome:OR_W1943:10:14214683:14215212:1 gene:ORUFI10G12290 transcript:ORUFI10G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIHRVENHSMYCLASFLCTRYHGMDYFSTKLYANLVLADPNLHPDAAAIIGAQVELECGAIALRSGIFDSRVNTQCQGPDTSVKDAFLTAATTALHPNPDAKVNLLTLCKAMMGSEALPMMQGGKQLFSNNIHRLVMLLSPGSSPRAHEDPPLPPLSLTGWSLGELS >ORUFI10G12300.1 pep chromosome:OR_W1943:10:14226334:14229351:-1 gene:ORUFI10G12300 transcript:ORUFI10G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSSISSAPPPELPYRSALPELISLVRIATDGSSPISSARADLSHVPGTSKSVGTEEGGATQDGQKYRSSKTDRFLFKDEPHEGLRAGATHSARNVNGSCLCLEIAHRRVTERRRIWGREAADKGGGVASDLKLDCRRGLYKRGWEELERGAGCVALEEANAAKKMGRWRCGGESSGSNGI >ORUFI10G12310.1 pep chromosome:OR_W1943:10:14242151:14247921:1 gene:ORUFI10G12310 transcript:ORUFI10G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRNDHQAAAASCSRPAGGGSARSSTPPSYATNGATPAAGAMDIEAPNSEVAAGGRRPAADHWRSAMDALICNCLRPPPQPSPADLAILKLMADDWPMEKLKLADQQGTPCYEEGVDTSDNEIQVTPVKVTICSEGGDNYRCRLFGVPEHISRTDRAEHEPSYISIGPYHCRSKGLHVRSNQWKRDCKKHVIDRLESPKGEASLLEAMKEIEGEVRKYYDEIISSHVLHESGITFREMMVNDGCFLLITLQGLQVPGTDGIVWDNQLWWHDIFLYGNQLPFVVLRKIYQQLNLPADIENGQEDCPLGRISKVIESGLTSYTNRTVSNPGNADHILHLCHELLKPTSSAEMPPPPASDNQQVRVWRRATEYSELLVEFKKREFGSEPGDAQCISDVRIVGRVVEIPKLELQPETWRLLRNLMLLEETNKQLGGHVTAYCTFISQLASTPADVGLLTKKGILVHLENSDEMAAKKLSMLCEQIDYATEDYLIKSVWYKLDSHCSSRWWLWRAQLRRYRDWNNPLVWLGVLAAFVLFLCAILQAAYSMLAYYKQGSQSRST >ORUFI10G12320.1 pep chromosome:OR_W1943:10:14258066:14261622:-1 gene:ORUFI10G12320 transcript:ORUFI10G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYKELFESLARKDKDVNAILKKSSATKIDEVTTSDLLWTGTSSTTEKQIAVNTSSRVKFRGST >ORUFI10G12330.1 pep chromosome:OR_W1943:10:14300052:14300674:1 gene:ORUFI10G12330 transcript:ORUFI10G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALVHRATRQRRQRRGSRRASASPHAAATIAAANGVGAKSMAMDRRPPVPHGLPRPRALPRRQWRGGGGGARRKQTWSSTATTRKHRAVAEAGQLCALLATIAMLLTMAIIAFFTDLNILSNLPRRPLATPISSPAAAAAAAAQLLSRQLLPAPCSAPAICAPHLLRWPSAPPNCSPTARACCTVPSYSPSPSPMERG >ORUFI10G12340.1 pep chromosome:OR_W1943:10:14301915:14303300:1 gene:ORUFI10G12340 transcript:ORUFI10G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDQQRCHISKAPDHIRSSNPEHYKPLAFPVGPYHARSGVPAPEKAQRLKEQCVDEVVQLSGRSRHDLLEQMRSVLDRAKEYYADEIGMDDEALAQMLLLDGCFVLVSLRGTERLKQLTPGWKAEESTESLLSENSDDRCSDCSDQQGDEENQIAAANNGGGGGVDSWHHFNVARDLFLAENQIPFFVVQKIYELLVKNRPYAERGVVGAVEAYVREVMAVYADGAGAQEQPPAADHVHHLLHLSHMYLRPRTRRQSSRWSGGGATVGRLRRATQLRELMVRLKKLEIGGKAAPAGSILDVAFHGGVLEIPRLEIDGGTWRQMANLILLEQGSPHVGLYVTAYCAFMSQLAGTAEDVALLCESGVIEHQLGGDGDVADGLRRLCDGIIFDADDDAYNYLRPVYRAVEEHCRSRTLRLLCWVRGHANCPNPWLLLGIVAIITLLCFIVQQLQHATLRKNAT >ORUFI10G12350.1 pep chromosome:OR_W1943:10:14305360:14309878:-1 gene:ORUFI10G12350 transcript:ORUFI10G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILVENGTLTPGFIQFIVALTTLLMVIRFGLDALRHRSRGNVTQIVLATLDTVTHTMITYSLGIMQHRSATTSYYQLWAVLLVTLRYSVKIGRPAGIAMKQTPLFDLMSSFWAAHILRSHSVSMLLKVPGWLLWSINSARIIHGFISSADASNVHRENMRLLTDYMRHEHTTTVQVQRPDPSSMKGYRYLVLGEGKKLKKRELAEQGVDGVETTEAINRILLALLTDENNIDQELVTLERIWSHQGRCSHDGCQCNLPPGCCDILDQKTKDLCLSFALYKLLRRRFFNLPIHEARLQKTRRLVVYGILGEGDAANYKRAFRVSEAEVAFLNDFFNSRYAIIFAQGFPWIRLVLSTLLIGGISSVAVAVYRFSKSAKEDELGRVHIHHGVYFTWVILSLLGAKEIWEMTTYVFSDWTKVLLLCKFVEQPWWMRCWVGNLARALMRMLLCSPPLFRRWHGKVGQFNLLFSRHSSIHLSQQVKEAVVDSLRNSVRQNLVLNNYLEQAISKNSLRIRLVRPSDNQEQEQAPQNSQADGGHRVSVEWLQDSQKKSVEWQLQDDVHTLLVWHIATCYCELKLAETRNVGANYTWLSWRGFGCRRRPSDADNPWRPHYLVSRTLSQYCAYLLWLVPPLLPGNSLMAKAVITQVYRERNRLLGRRVYLPFSWCTSTTKVLDKLETYRSGEIQLFADEAGNANTTILRKGAELGMGLITAARSADSEALWKFLSDFWAGFVVHLAESTKASQHKMYLTAGGELSTHLWALLSHAGYLGATPHGDQTSDTVLQQLQPYNPDVQL >ORUFI10G12360.1 pep chromosome:OR_W1943:10:14324353:14330929:1 gene:ORUFI10G12360 transcript:ORUFI10G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSEIAKSIFLFLKSDEAMVMRIEFLVVVTAVLFLLMSILDMFRHRSRHNLIKYFLLILDGISDSTLIYTIGLMQSVPSLKKDLFPVWALMLVNLRSSVCFISAYGIPDQQNRRFTEVARVMALIGVAFLNTTFNSRFKHPIWALWAMQVVRCGYLLRVYRLATRSYLHGWSSPLLTAYMGTPDGVAANGDTATMRGYKYLVSGDQKQTVEVKPPEYKFTLFVPEHRRKMLVTLDKVWQQDASDTSSTDILTPQMKDMCLSFALYRLLRCRFDDLSLPSDSVVNTRRLISKIIGKGNADFATQISNYSEKTFRIVRSELAFLNDYFYTRYPVLFWRGFPIFASCHPVLTIAFTVWLGKDLHKIYKPKQGGANVDIIITWGFMFIIVFKELWKMIIYLLSDWTKVMVLCEYTADSFKHAPRWLCKGFLWLLCTRRSKIVHHWHNKVNQYEFLQSFNYRPCKWNILYYGTLGLFPRRRDGEKPGKSIELPEDVKSAILRSLCSQNLERDSLEPNFPILFSTFGLPCSHIILVWHIATTLCEIELSQRYNGCLTDSELQHAVKAGKNSQPYVVKEERLEGALQANYIVASCISRYCAYLLVSEPDLLPDTYLSSAEVFESTVKEASDVLKGSDNLQSIYRKLMYHGDVVNVDNMNRRHPSVILARSAQVAKSLVETEVMDRWEMLAGVWAEMLVHIAPSWNAAAHKKCLSTGGEFVTQIWAILSHCNIQESNLWPQQESPNDNEAEQQEESVSGNQASFSAQQRAAAGHGGVRDDEAGPSGTKPNDETKDDDEKKMSVNLSSRTPIKRDSVS >ORUFI10G12370.1 pep chromosome:OR_W1943:10:14333288:14333539:-1 gene:ORUFI10G12370 transcript:ORUFI10G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRENEMGRLGLGFIDGGEAGGQGRKDRELADMGANDQAATGHGGGGQGKKQKREGKRELCSLPIWASRGRRESA >ORUFI10G12380.1 pep chromosome:OR_W1943:10:14336462:14337096:-1 gene:ORUFI10G12380 transcript:ORUFI10G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAASDNLTLLPPRLLESGCATEVEVEDDIRGAGRLVGDGTVGVVEEDDGWSAPLVITIESSISLLPTLLGREESRRRLSSVTPTVALLLSSICCALLIATTIYCAHHRSEVSSLTASTNCQGIPRPAPPPLAMGVGQVAAAILYLSGMSGCCPCSTRWRGMPRLWEAPLASLPAASLPTPLIGLPALSLSVRSHRRGERR >ORUFI10G12390.1 pep chromosome:OR_W1943:10:14341099:14341767:-1 gene:ORUFI10G12390 transcript:ORUFI10G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKHFVLLIILVLLSIGMTTSARTLLGYGIGGEGGGGGGGGGSGGGGGYGGSGYGSGSGYGEGGGAGAGGYGHGGGGGGGGGEGGGSGSGYGSGQGSGSGYGSGAFGAGGYGSGGGGGGGGAGSGYGSGEGYGSGYGSGAGGASGGGGGHGGGGGGGQGGGYGSGSGYGSGSGYGQGGGAYGGGYGSGGGGGGGGGQGGGSGYGSGSGYGYGSGGGGGHY >ORUFI10G12400.1 pep chromosome:OR_W1943:10:14344197:14344754:-1 gene:ORUFI10G12400 transcript:ORUFI10G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKHLALAILVLLSIGMTTSARTLLGYGPGGGGGGGGGGEGGGGGYGGSGYGSGSGYGEGGGSGGAAGGGYGRGGGGGGGGGEGGGSGSGYGSGQGSGYGAGVGGAGGYGSGGGGGGGQGGGAGGYGQGSGYGSGYGSGAGGAHGGGYGSGGGGGGGGGQGGGSGYGSGSGYGSGNGGGNGHH >ORUFI10G12410.1 pep chromosome:OR_W1943:10:14355644:14356715:-1 gene:ORUFI10G12410 transcript:ORUFI10G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKLAILGFTMLLLIIGASSATRVNRYSSTGVEVGGGGGGGGGGGGSSENGGGWGSGSGAGHGEARAYGPSSGAYASATGGGGGGGSSNGNGWGSGSGFGSGYGESVASGPSSGQYASGGGKGGGGGGGRNGGFGYCLGSGSGNSEGKKYKPYDGGYSMGSGGGKGGGGGTNRESGYGAGSGSGYGETIGFGGASFANGGGGGKGGGGGQHDGFGSSSGLGSRYGSGGGGGYGGPNRFGHGFGSGVGIGEAGNQYGDEYAKSKGDGGGAGDSARGGYGEGLGHGSGYGDTGPQQP >ORUFI10G12420.1 pep chromosome:OR_W1943:10:14357235:14358803:-1 gene:ORUFI10G12420 transcript:ORUFI10G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKLLAITFFVLLSIGVSNARMGVVARYAAGGGGGGGGGGGGGQTGGYVPYYGPYYAGGGGGGGGGGGGGGGGGGGGGGGGYYGPYGPYYGPYYGPYYGPYASGGGGGGGGDSIPRDPTLSRQILSHSVCLLGRRLPYTFDI >ORUFI10G12430.1 pep chromosome:OR_W1943:10:14360261:14360449:1 gene:ORUFI10G12430 transcript:ORUFI10G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGCGDLGMDRDLAAGDDLVRRGTRWLAATSCGEGPRRRPRAERDLGGDLTWRGTPAVTLVD >ORUFI10G12440.1 pep chromosome:OR_W1943:10:14368584:14369276:-1 gene:ORUFI10G12440 transcript:ORUFI10G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKFVALSFVVLLGIGLTNATRVARYVSAGGGGGGGGGGGGSGNGSGWGSGSGSGYGQAGGSGGAYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGSHGGAYAQGGGQGGGGGGGANGGSGYGSGSGSGYGQAGGYGPHGGAYAQGGGQGGGGGGGYNGGSGYGSGSGSGYGQAGSYGPYGGGYAHAGGQGGGGGGGQSGPGGHGYGSGSGSGSGSAYSGGHP >ORUFI10G12450.1 pep chromosome:OR_W1943:10:14373437:14374279:-1 gene:ORUFI10G12450 transcript:ORUFI10G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKLVSLSFVVLLSIGLANAARVARYASAEGGGSGGGGGGGSEGGSGWGSGSGSGYGQASGSSGGAYASGGGGGQGGGGGQNGGSGYGSGSGSGYGQAGGYGPHGGAYAQGGGQGGGGGGGVNGGSGYGSGSGSGYGQAGSYGPGGAYAQGGGQGGGGGGGQNGGSGHGSGSGYGQASSYGPGGAYAQGGGQGGGGGGGQYGGSGHGSGSGYGQAGSYGPGGAYAQGGGQGGGGGGGQYGGSGSGSGSGSGQAGGYGPYGGGYAQAGGQGGGGGGGHP >ORUFI10G12460.1 pep chromosome:OR_W1943:10:14377800:14378312:-1 gene:ORUFI10G12460 transcript:ORUFI10G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGWLDMLVQVVAAVEGEVAVGQTVDLDGDPVLALGMARQVAVEHMLVEVEEEVVVEVDRTADPDTVPVLGLVMDKLVGMDHMVEDMPKEGVVVKVAAVDKMVDPDLAMALALGMVKLEDMGRTVVGMLRLVAMVAVAAVDKAALVAAGMVVDQEADPEVPMVDTRKNM >ORUFI10G12470.1 pep chromosome:OR_W1943:10:14383152:14383709:-1 gene:ORUFI10G12470 transcript:ORUFI10G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVALSFVVLLSVGLANASRVARYASAGGGGSGEGGGGGSDGGSGWGSGSGSGYGQAGGDGSYASGGGGGSGGGGGENGGSGYGSGSGSGYGQAGGSGPYGGGYAQGGGGGQGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGYAQAGGQGGGGGGGQSGLGGSGYGSGSGSGSGSAYGGHP >ORUFI10G12480.1 pep chromosome:OR_W1943:10:14386099:14386656:-1 gene:ORUFI10G12480 transcript:ORUFI10G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLVALSFIVLLSVGLANATRVARYASAGGGGGGGGGGGGSNGGSGWGSGSGSGYGQASGDGSYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGYAQGGGGGQGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGYAQAGGQGGGGGGGQSGPGGSGYGSGSGSGSGSAYGGHP >ORUFI10G12490.1 pep chromosome:OR_W1943:10:14389485:14390042:-1 gene:ORUFI10G12490 transcript:ORUFI10G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKVVLLTFLVLLVIGVAYARPKKYASAGGGGGGGGGGGSSGGGSGWGSGSGSGYGQAGGSGGAYASGGGGGGGSGGGANGGSGYGSGSGSGYGQAGSYGPYGGGYAQGGGGGGGSGGGQNGGSGSGSGSGSGSGQAGGYGPYGGGYAQAGGQGGGGGGGQSGPGGSGSGSGSGSGSGSAGYP >ORUFI10G12500.1 pep chromosome:OR_W1943:10:14398341:14398919:-1 gene:ORUFI10G12500 transcript:ORUFI10G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKLAVLSFVLLMSIGLTHAARVVRYANAQGTGSGGGNGGGYLNGGGVGSGNGYGVATSGHGAHAHSSGGGGGGGATSYGTGYGGGFGAGSSSSQTSSGYYQGYTGDASAGGGGGGNGGGQGGGTVGSSGYGSGFGTGSGASEGAGGFSSPNPSYANADASANGGGTGGGQNGGNGNGVGGGSGYGDANP >ORUFI10G12510.1 pep chromosome:OR_W1943:10:14400279:14403407:-1 gene:ORUFI10G12510 transcript:ORUFI10G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEGEEANAASSAEEVGRKKPPRHKGKHDKPKPWDDDPNIDHWKIEKFDPSWNEGGMLEEAWPIVKGALKEFGVACELNLVEGSMTVSTTRKTRDPYIIVKAKELIKLLSRSVPAPQAIKILNDEMSCDIIKIGSIIRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQGNTVAAMGSWKGLKQVRRVVEDCIKNIKHPVYHIKELLIKRELAKNPALANESWDRFLPKFKKKNVKQKKPITKEKKPYTPFPPPQQPSKIDLELESGEYFMSDKKKSAKKWQEKLEKQSEKAEENKRKREAAFVPPKEDTATPYESAKSTSNNDEIADMAKSLKKKAKEFRKSEAQENVRLESYVASNEGSRPKKKHKSSKSK >ORUFI10G12520.1 pep chromosome:OR_W1943:10:14406797:14407366:-1 gene:ORUFI10G12520 transcript:ORUFI10G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKLAALSFVVLISIGLANAARVSRLANAQGQGSGTGSGGGYVNGGGVGNGNGYGVSQSGSGTHANAGGGGWGGGGSQYNGTGFGSGSGQGSSSGSVSQGGGSASAGGGGGGVAGGQAGGVDGSGGYGTGTGSGTGSAEADGGASPTSSPPYANANATGDGNGNGGGQSGGSGSGGGGGSGYGDANP >ORUFI10G12530.1 pep chromosome:OR_W1943:10:14409717:14410298:-1 gene:ORUFI10G12530 transcript:ORUFI10G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKLVALSFVVLMSIGLANAARVVRYASAEGQGQGGGNGGGYVNGGGVGNGNGYGSSESGSGVTATAGGGGWGGGGSQYNGTGFGSGSGAGSSSGQMAEGYYPGYGGHASAGGGGGGNGGGQAGGVDGSGGYGTGGGNGSGSSVANNGTPNTPPPYANANASGNGNGNGGGQSGGSGSGGGGGSGYGDANP >ORUFI10G12540.1 pep chromosome:OR_W1943:10:14413182:14413601:-1 gene:ORUFI10G12540 transcript:ORUFI10G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSKRSSRRKPHLSVQPLLATSLAGMFPASYLEPRLTTVILICDKVTYTTHPGLAHTPVPRRPMTTCTNAVYAIVTSAIVDSLTIIRFVWGNAEFGLGKAAPAIPYAFRLCHGYLHCELAIRRTLPSLSRCNDAVISI >ORUFI10G12550.1 pep chromosome:OR_W1943:10:14415574:14416440:1 gene:ORUFI10G12550 transcript:ORUFI10G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSSSLFLRERRRSVGRCSPSRHRLPPCVYRRRCWMQVVVRRLTALAIGSRLSPIVGHHPLPPPLAGSPSDRAGQHPSPAPTRYRGCGGGVGANHYQLDFRGSSLLPEFSPLR >ORUFI10G12560.1 pep chromosome:OR_W1943:10:14416694:14418738:1 gene:ORUFI10G12560 transcript:ORUFI10G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLYMSGAVWKGFYRSNVIGKVFCGAVWKGFYQSNSGNCIPMSCKSKEVNNTTCLQDYKLIDLSHYELKLTEPTKGEMPLAEVLRFNTKVDCLILNR >ORUFI10G12570.1 pep chromosome:OR_W1943:10:14421703:14422272:-1 gene:ORUFI10G12570 transcript:ORUFI10G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNKFVALSFIVMLGIGLANASSTSNGNGTGWGEGGGSIDGAGGGSGSGTGSSVSIVNEGGSVHGSGGGGGGGGNGGGRYSGSGHGSGSGRGSSSSQVGPDPYRGSSNAGGTGGGEGGGRADGYYGSSGYGSGSGTGVGSSASMNGDFPGGVFSNANAFGRGGGNGYSQNGGSGSGKGSGSAYGNGEP >ORUFI10G12580.1 pep chromosome:OR_W1943:10:14428434:14429042:1 gene:ORUFI10G12580 transcript:ORUFI10G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKIVALGIFLVLCINGLSHAARVIRYSSAKGEGEGGGEGSGSVSGAGSGSGGGFGASNTSSSGGYFGTSNAHANAGGGGSGDGGGPLGSVGSGSGSGSGSSSSNSSSVMRAWYGGGEANAGGVGGGNGDGYGGGYSGSSGYGSGFGTGGGSSAVAMDGFYGAHANADGGGDGTGVGHGEAGGYGNGGGSGSGYGNGKYP >ORUFI10G12590.1 pep chromosome:OR_W1943:10:14449790:14450353:-1 gene:ORUFI10G12590 transcript:ORUFI10G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKLASLGFVMLLCIGLANAARVARFSISSATGTGGGEGAGYVNGHGLGSGSGASSGENSVVEGSHAVAQGKGGGGGGAPYGGAGFGGGSGSGSVFSSLDSAGSSKAGGTGGGDGEGQAGGNPGSKGYGAGSGMGSGSSMLHEYFPSFTNARASANGGGTTDTQNGGSGAGNGGGSGYAGLGPRP >ORUFI10G12600.1 pep chromosome:OR_W1943:10:14457740:14460136:-1 gene:ORUFI10G12600 transcript:ORUFI10G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALIPPRQSASPCLVPHSHHQPPCHYRATSHVIGKASLPSVAHANLPTPSVTAFRCRWCHPHRATRINDLSRRCQARLLALPLRPTAATGHCQPPPGFLPSPPPPPSSRRRHHLGVPTVNGAPLCSSSSSALPPPHSRTPPASPLAAARGPTSHAGETGSRREGARSSSGRCPPSCQRFLSPIDSGSQAFARQLQPSVAAAVVAPELIGVVGSDPMTSGKRKALPPPSLRPRRFLWLAQVAVRRRMEGSGGGGAAAEVTAPRERSGGPRLANAVRVSRLSNSDGTGAGGGGGGGYLNGGGSGFGSGAGSAQSGNPFGSYATAVAGGGSSSTSQDGGSGNGAGGGSASGAGENIDTVSTGYGGSTSAAGNGGGGGGGQAGGSYGSYGQGGGGGTGSGSGMANTYVFVPITEAEGNAKGNGGGNGTGQNGGNGNGGGGGSGYAKAHP >ORUFI10G12610.1 pep chromosome:OR_W1943:10:14464138:14464716:-1 gene:ORUFI10G12610 transcript:ORUFI10G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLVALGFIVLLSIGLANAARVARYSNAEGNGSGQGGGVGYVNGGGSGSGSGAGAAQSGSNGAHATAGGGGGGGGNSQYGGYGAGGGSGVGSSSSQYATGYYSGYGGYSSAGGNGGGGGGGQAGGNWGSSGSGDGSGAGSGSSSANTYYGGPSYANADANGNGNGKGTGTYGGSGGGQGTGSGYGDASP >ORUFI10G12620.1 pep chromosome:OR_W1943:10:14472857:14473877:-1 gene:ORUFI10G12620 transcript:ORUFI10G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSPHAAVHVAMTLDASYLRGTIAAVLSVLRHASCPGSVHFHFLAVSSEAAVRELRDTVRASFPSLVFRVYPFDESCVAGLIFTSIRGTLDRPLNYDRSYLASTLPSCVCRVVYLDSDVVLTDDIAALTTAVAAPKYCGANFTAYFTPGFWASLALFEAFAGVMIEEWIELQKRVRIYELGSLPPFLLVFAGRIAAVDHRWNQHDLGGDNYRGLHAVAVSLLHWSSKGKPWDRLDAGRPCPLDAIWAKYDRELVID >ORUFI10G12630.1 pep chromosome:OR_W1943:10:14473932:14474472:-1 gene:ORUFI10G12630 transcript:ORUFI10G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYKPISPRNAITPLVHTVKEEAACHSSPPVVSTLGTTPAADAPPPVTTAPAANAPPPGPPPPPGAAADHGREGAGVGVLGAELGPRALCVVARVSRRLRAVAERLLWRAAHRVRRCRGRMPRAHAVADARVPRHPPRRARAARRWRWPCRSTGS >ORUFI10G12640.1 pep chromosome:OR_W1943:10:14475223:14475792:1 gene:ORUFI10G12640 transcript:ORUFI10G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLVALGFVVLLSMGLANAARVARYSSSDGNGSGGGGGTAYDNGGAAGSGSGYGVSQGGSNGAHATAGGSGGGGGSSQYGGSAYGSGNGSGSSSSQTSNGEGGYGGESDAGGGGGGGGQGQAGGYGSTGSGSGSGSGSGYSNANNNWYGSSAGAGASGNGGGNGNGENGGNGSGAGGGSGYGNASP >ORUFI10G12650.1 pep chromosome:OR_W1943:10:14482627:14521611:-1 gene:ORUFI10G12650 transcript:ORUFI10G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHPSALDLAAAAAWKGRRPAAAKSREGEEERWWQAEPGDDDDEGERGHWEGVSSSIKLAALGFVVLLSIGLASAARVERYSSSEGSGTGNGEGGGYVNGGGVGSGSGAGSGSSGGNYGAHASGGGGGEGGGYSQYGGSGSGSGSGSGSGSSEYTQNGGYGYAGSSSAGGSGAGAGAGQAGGYWPSSGHGSGSGTGSGSSSAATYWKGPYASAYGNGNGGGNGQGQYGGSGVGAGTKLAALGFIVLLSIGLASAVRVERYSNAQGSGTGSGEGTGSVNGAGAGLGSGTGSGRSGYNGAHASGGGGGGGGGYSQYGGSGSGSGSGSGSGSSQTSQNGYYGYGGSSSAGGSGAGAGAGQAGGYWPSYGHGSGSGTGSGSSEATNTWYGQYANANAGGNGGGNGQGQYGGSGAGVGSGSGIGLASAARVERYSSSQGSGTGGGEGGGYVNGGGAGKGTGAGSGSSNYYGAHASGGGGGGGGGYSQYGGSGSGSGYGSGSGSSQTSQNGYYGYGVLAALVLVAVPDKLVVTGHPMVMGLVVVRVMALAPLIITTEDHTQMLMLVAMVVVMVKANMVAVALAQVLDRDTPASKLKMYASDGATGENGSREHVTAIDADIMMQGCRGGRIHGFAPPRIMSHLHGGKDALPQEAPESAAPQAPPQGDDEWRQPRRYLSRCLPTSMLTMARTKLAALGFVVLLSIGLASAARVERYSSSQGSGTGSGQGGGYVNGGGAGEGIGAGSGSSNRYGAHASGGGGGGGGGYSQYGGSGSGSGYGTGSGSSQTSQNRYYSYGGSSSAGGAGAGGGAGQAGGYWPSNGQGSGSGTGSGSSYADNNYYGGPYANANAGGNGGGNGQGQYGGRGIGAGTKLAALGFVVLLSIGLASAARVERYSSSQGSGTGGGEGGGYVNGGGAGKGVGAGSGSSNSYGAHASGGGGGGGGGYSQYGGSGSGSGYGTGSGSSQTSQNGYYGYGGSSSASGAGVGGGAGQAGGYWPSNGHGSGSGTGYGSSTANNYYYGPYANANAGGNGGGNGQGQYGGSGGGGGVGVGSGTKLVALGFIVLLSIGLANASRVARYSSAQGSGSGSGEGSGSVNGGGSGGGSGTGSGQSGYNGAHASGGGGGGGGGGSQYGGSGSGSGSGSGSGSSQYSSNYYGSGGEYSSAGGSGGGGGGGQGNGNYGSTGQGSGSGTGSGYSDANSNYWRGPSYANANAGGYGNGNGYGQNGGSGGGGGSGSGYGDANP >ORUFI10G12660.1 pep chromosome:OR_W1943:10:14525246:14526094:1 gene:ORUFI10G12660 transcript:ORUFI10G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAEIGMSEMR >ORUFI10G12670.1 pep chromosome:OR_W1943:10:14529575:14530850:-1 gene:ORUFI10G12670 transcript:ORUFI10G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRFSNEDSKHRVKLLGPRSRHLTRAAAADECHRRGLGFADFIGVGRKRLSRVHGRHGGRDHAAAAQGIPRQDVLHHQEVSAFVVGAVALITAPFAVPPASFAHDIGRAVAKRAEHDGGHGRGEAEAAGGEVGPRGGGEDVEVKEEHGHREGGAARRGAAGGEEKSVCEREEGKESVMTWPR >ORUFI10G12680.1 pep chromosome:OR_W1943:10:14532558:14541395:1 gene:ORUFI10G12680 transcript:ORUFI10G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTPQRLHLFGRGFRRKPEHSRERGRWHVLLLVLVSSRLLSASFRVGLAHSLSTPPTHLVAAPRGLDVAATAAAAATDAAGAPDLDALRRRSRAAARLGDLPRCCPGPRHGDSSSAPTKGGGDRPPPSVRCWSESIVVLEAGVRDVVVFVILYEGWMVRYGRRKIGRSFIHMRYFVLETRLLSYYKRKPQHKMPKLPIKSLHIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHQRITMAAFNIQEALIWKEKIEMVIDQQQGVVASDGNLAHSSSQQKVSLENGRKSSFSDHESLYSHEEEEEEEDNQRSLMRRTTIGNGPPESLYDWTRENDLGISNQGSLRIFEELQDVDYLARSCSRAMKAVGVVEASCEAIFQLVMSMDTTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFSMFVWPRDLCYVRYWRRNDDGSYVVLFQSREHPNCGPQPGFVRAQIESGGFNISPLKSRNGRIRTQVQHLMQIDLKGWGVGYLPSFQQHCLLHMLNSVAGLREWFSQSDENLILPRIPVMANMAPPVSSKKGRTTQDNTMQTGLQMDQSRQSTMLDEESDEDEDQIPESEQETSTHGHDAPVKLPVLDEEDSDQIDVSGFSGNLRRDDRDNTRDCWRMSDGNNFRVRSKTFIYDKSKVIPAGKPLMKLVAVDWFKDVKRMDHVARRKGCAVQVAAEKGLFALAVNLQVPGTTNYSMVFYFVTKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGPNYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNTYEELPERLIGAVRVSHIELKSAIVPVLGD >ORUFI10G12680.2 pep chromosome:OR_W1943:10:14532558:14541395:1 gene:ORUFI10G12680 transcript:ORUFI10G12680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTPQRLHLFGRGFRRKPEHSRERGRWHVLLLVLVSSRLLSASFRVGLAHSLSTPPTHLVAAPRGLDVAATAAAAATDAAGAPDLDALRRRSRAAARLGDLPRCCPGPRHGDSSSAPTKGGGDRPPPSVRCWSESIVVLEAGVRDVVVFVILYEGWMVRYGRRKIGRSFIHMRYFVLETRLLSYYKRKPQHKMPKLPIKSLHIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHQRITMAAFNIQEALIWKEKIEMVIDQQQGVVASDGNLAHSSSQQKVSLENGRKSSFSDHESLYSHEEEEEEEDNQRSLMRRTTIGNGPPESLYDWTRENDLGISNQGSLRIFEELQDVDYLARSCSRAMKAVGVVEASCEAIFQLVMSMDTTRYEWDCSFQFVWPRDLCYVRYWRRNDDGSYVVLFQSREHPNCGPQPGFVRAQIESGGFNISPLKSRNGRIRTQVQHLMQIDLKGWGVGYLPSFQQHCLLHMLNSVAGLREWFSQSDENLILPRIPVMANMAPPVSSKKGRTTQDNTMQTGLQMDQSRQSTMLDEESDEDEDQIPESEQETSTHGHDAPVKLPVLDEEDSDQIDVSGFSGNLRRDDRDNTRDCWRMSDGNNFRVRSKTFIYDKSKVIPAGKPLMKLVAVDWFKDVKRMDHVARRKGCAVQVAAEKGLFALAVNLQVPGTTNYSMVFYFVTKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGPNYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNTYEELPERLIGAVRVSHIELKSAIVPVLGD >ORUFI10G12690.1 pep chromosome:OR_W1943:10:14544785:14545967:1 gene:ORUFI10G12690 transcript:ORUFI10G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAASALPLDGRVALVTGGSRGIGREVCAHLASLGARVVVNYASNSANADAFAADLNSRGAAALPRAVAVRADVSDPAAVRALFDRTEEAFGTPPHIVVACAGLLESKYPSLADTAVEDFDAMLAVNVRGTFLVCREAANRIPAGAGGRVVTFSSSILGTLLPGYAAYTATNGAVEAMTRIMAKEVAAKGVTANVVAPGPVRTELFMAGKDEAFVKKVEERSMGRIAETTDVAPVVAFLVSDAAAWVNGQ >ORUFI10G12700.1 pep chromosome:OR_W1943:10:14547216:14556000:-1 gene:ORUFI10G12700 transcript:ORUFI10G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVNGSKVMLMASQGLHQGDGPITKNSSVPAPSTRRASNVKEAQIQKSDTNVSKIRPERWKATGIIALSDSSLKQSVAVVSISFPPLQRPEPGQIQEEEGSTRVSSRLVSSSSAISKEEEGQIQEEEEGKRKEGSTRIPGSGRLEGNVATAGIIFLLFPSFSPALSSSLAPNSLLQDLRCSGSSGQAEATDPEPQTPTTATDGAQGGGATSGGHGLSASAAVPEEVWGCGSSIRVLDVSNNCIEAIPQEIAALRSLQKLILTANDIADGNISWEGLTCVQTLTVLSLSQNRTRVMLGQQYQRCQGNLSTVADYGPAGKAQLVTLPSSLGSITHLRELRIANNRLENLPVEIGLLKHLEILIANNNRITSLPSSIGGCESLNEVDLSSNHLAELPEAFGNLQHLKALSVRNNGLTSLPSAFFIKCSQLITLDLHGTEITNDVLRQVDGWEEFDERRRKKHQKQLDFRVGSSGVFDEGADDDYRRL >ORUFI10G12700.2 pep chromosome:OR_W1943:10:14547216:14556000:-1 gene:ORUFI10G12700 transcript:ORUFI10G12700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVNGSKVMLMASQGLHQGDGPITKNSSVPAPSTRRASNVKEAQIQKSDTNVSKIRPERWKATGIIALSDSSLKQSVAVVSISFPPLQRPEPGQIQEEEGSTRVSSRLVSSSSAISKEEEGQIQEEEEGKRKEGSTRIPGSGRLEGNVATAGIIFLLFPSFSPALSSSLAPNSLLQDLRCSGSSGQAEATDPEPQTPTTATDGAQGGGATSGGHGLSASAAVPEEVWGCGSSIRVLDVSNNCIEAIPQEIAALRSLQKLILTANDIADGNISWEGLTCVQTLTVLSLSQNRLVTLPSSLGSITHLRELRIANNRLENLPVEIGLLKHLEILIANNNRITSLPSSIGGCESLNEVDLSSNHLAELPEAFGNLQHLKALSVRNNGLTSLPSAFFIKCSQLITLDLHGTEITNDVLRQVDGWEEFDERRRKKHQKQLDFRVGSSGVFDEGADDDYRRL >ORUFI10G12710.1 pep chromosome:OR_W1943:10:14556108:14556311:-1 gene:ORUFI10G12710 transcript:ORUFI10G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPPPPPTITVQVKFGGRTIPVEVPAAATAADLKRLLQPLTNVLPRGQRLICKGTRFPLPHPNP >ORUFI10G12720.1 pep chromosome:OR_W1943:10:14557430:14557790:1 gene:ORUFI10G12720 transcript:ORUFI10G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQRHGYWSIVPNLGTTPTWPSMPRSCPPRRSSRTAPPPLWMRSWRRSWRRMSKAAGSWRGRRTCTS >ORUFI10G12730.1 pep chromosome:OR_W1943:10:14558614:14560542:1 gene:ORUFI10G12730 transcript:ORUFI10G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRAGALSAARWRGGAGTGTGTGIGIDLRAALRSGGNLLFALFVAAVLAFTLLAAVHSPDDPLLHPSSHQLTAFLTSATSNSTFLADDSVLRTGEDFAAAIGANSSDAAAAAAEATVVAEAVPFIKLEDVATKEESSGAERAVTVDTDASSDAGAAAEENPIVEAVSCDTEAPVDCTGDKDLFNLLMRTAIEKFSDLHFYRFGRPVAVPGSPMECDLAWRFRPAEDTNGRTTYYKDYRRFTLTRDVNTCNLVVGNVGEYHSGTGAKRSGRRKGKKGKKGKREAPVTDFVPAKTQMRLDENAANADTTAASEPELVVGEAVNDNLPVVESESEFSRGKYLIYMGGGERCKSMNHYVWGFLCALGEAQYLNRTLVMDLNVCLNSRYTSSGKDEERDFRLYFDFEHLKQSASVIDQRQFWTDWGKWHKKDRLKNHYTEDIKVTPMQLRDVKDTLIMRKFGNVEPDNFWSRVCEGETEAVIKRPWYLLWKSRRLMEIVSAISSRMDWDFDSVHVVRGEKAQNKQLWPNLDRDTSPDSLLTTLNDKVGAGRHLYIATNEPDKSFFDPMKGKYRTHFLDDFKDLWDENSEWYTETKELSNGNAVEFDGYMRVAVDTEVFLRGKRKLETFSDLTRDCKNGVNTCPASS >ORUFI10G12740.1 pep chromosome:OR_W1943:10:14569652:14578042:1 gene:ORUFI10G12740 transcript:ORUFI10G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESKYAALRRAAEEAAAVDAHAHNLVADGSAFPFLLCFSEADAADALALAPHTLSFKRSLRDIAALYNCEASLEKVEEFRRAEGLSSISSKCFKAANLSAILIDDGIDFDKMLELEAHKAFAPTVGRILRIEKLAETIINDESFSASSWTLDSFTEIFVTKLKSYPPHIAAYRSGLEIDPNISKTDAEDGLRKELSGQRPLRITNKNLIDYLFTCSLEIAVSYHLPMQIHTGFGDKDLDLRKCNPLHLRAVLEDTRFSKCQIVLLHASYPFSKEASYLASVYSQVYLDFGLAIPKLSVQGMTSSIKELLELAPIKKVMFSTDGYAFPETYYLGARRARDVVYRVLSAACEDGDLSIQEAIEAVEDIFRRNALYLYKLNVANGSVGQITAIADNGIPLSEQDVLFVRVVWIDTSGQHRCRVVPAGRFYEIARKKGIGLTFASMGMTSFTDGPADGTNLTGVGEIRLMPDMSTLLRLPWSRREEMVMAEMHIRPGEAWEYCPRNTLRKVTKVLLDEFNVTMMAGFENEFFLRKKVVSGEKELWVPFDNTPYCSTTAFDGASSVLQEVYTSLKAAEIVVEQLHAEAGKGQFEIALKYVLCTLAADKLIYAREIIKSVARKHGLLATFLPKPDLNDIGSGSHVHLSLWEFDQNVFMGSSEYNYYGMSRIGESFLAGVYLHLPSILAFTAPHPNSYNRIQPNTWSGAYQCWGKENREAPLRTACPPGIPLDLVSNFEIKSFDACANPHLGLAAIVAAGIDGLRRSLTLPEPTESNPAGYASNSKLKRMPKDLMESVEALAADKIMHELIGDKLVTAVIAVRKAEIDHYEKNPAAFADLIHRY >ORUFI10G12750.1 pep chromosome:OR_W1943:10:14576640:14580158:-1 gene:ORUFI10G12750 transcript:ORUFI10G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGAGSSGGGGGGHECSFKILLIGDSGVGKSSLLVSFVVAAAAAHLDDDIAPTIGVDFKIKFLTIGGKKLKLTIWDTAGQERFRTITSSYYRGAQGIILVYDVTKRESFTNLAEVWSKEIESHSSNKDCIKMLVGNKIDKEDERTVTREEGLAFAEESGCLFLESSAKTRDNVEKCFEELALKIMDVPSLLEEGSSSVVKRNILKQKQESQAKHGGGCCQ >ORUFI10G12760.1 pep chromosome:OR_W1943:10:14585604:14585945:-1 gene:ORUFI10G12760 transcript:ORUFI10G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSALQATTRAAMVMWRRERKAEMWRKGEESPTAARQSGGGYGEVVALPLAAMETGTGAVAGEGTDWSREVRPQRWVPLERCRRPRLRTPARGWGRPSGEPTTRGRRSVVAS >ORUFI10G12770.1 pep chromosome:OR_W1943:10:14588140:14591183:-1 gene:ORUFI10G12770 transcript:ORUFI10G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESEQHGCEHYTRGCRIRAPCCGEVFGCRHCHNEAKNSLEIHLNDRHEIPRHEIKKVICSLCDKEQDVQQYCSGCGACMGKYFCEKCNFFDDDVSKNQTGGVDKFFHCDKCGCCYSNVLRDSHHCVEGAMHHNCPVCFEYLFDSTKDISVLHCGHTIHLECLNVMRAHHHFACPVCSRSACDMSDAWKKLDEEVAATPMPEFYQKKMIWILCNDCGATSNVNFHVLAQKCPGCSSYNTRETRGCGRPAAARSTV >ORUFI10G12780.1 pep chromosome:OR_W1943:10:14593282:14625336:-1 gene:ORUFI10G12780 transcript:ORUFI10G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGSFSAAAAAARLLARCPALRADPRVLALASSTAGGGGGGPSRDDVAAALAEPLLHPRYTVPVVGCFLPLAPALLDRAVGLLRAAGAAALRSDDPAAGEGEEAGEGDLRVVEFYLSRGRGLRLHEIACLALARALDLAPYLLRHLLNYFKFAPPPFQRFLSGGVPSQIPIKVGLHLLLDATQVSYRFLELEPRVFCEQWDWSCFLDLVYSTADYSLVDDSLYSVVLDLRWCAIQILMVVLKASDEAVESFGLGADEAFTCFLRSFAQILPWRKPLCIFKPKKLIVKLMQMDSLVWLILCQIGMSFLWDGSMAVESYEVALMAVNQKWPILLYGPVGAGKTALINKLAQIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWSPGSLTQAVEVAENFRLFATVTTSKNDVSHALEGRLTFSALWRKVMVGEPNRKDMIDIIHGCYPSLESISAKIIDTFEKANLLVSYQFGGLNLAGGSSECLVQRFSLRDLLKWCKRIRGVDLNFKGLGLSSSCCKLIYFEAVDIFASSLSSPDKRLYVAREIAKLFCVPHGAETISPSDKPIIQALIQKGPFADIRRALEVLERVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPADARSICFPLYIEFKDLFCRSFSGKDNEAILRHCDVYVMEKKWKKLLRALEKCVEKAQKLIDGSSRSNSGSKRKRPLPAQVISDWDSFSSRLNAACSQIGSEIGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGESGTLCLAERGDVDYIERHPCFRMFACMNPATDAGKRELPYTFRSRFTEFFVDDLIDDDDLRLFVSKYLDGLHAAKGVADSIVRFYKAAKKESEEKLQDGANQKPQFSLRSLSRSLGYIKNAERKFGFKKALYDGFCMFFLTMLDAPSAKIINNLIVSLLLDGRVPPRISFADYFVEKPKLLNGSESDDFLRSYVLTNSVTEHIVNLARAVYIKKYPVLLQGPTSSGKTSLVRFLAAQTGHEFVRINNHEHTDLQEYLGTYVTDSHGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPVLYGGRKILSRAFRNRFIEVHVDEIPEDELITILEQRCSIACSYATRMVQVMKDLQTHRQNSRVFAGKHGFITPRDLFRWANRYRLFEGKSYEDLAKDGYLLLAERLRDDTEKAVVQEVLERHLHVKLSISNLYNMEVSCDNNLSRESIRLRVQETFGNITWTESMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFCPIRDRSKIAMEFKHLITKMKQLKIFIHVAGDMSFPADISGAVSVVNHIDEILDRYIKEKELFPQVPPHDFAAMEQIKLYLMQLHKRWQAIFLWQDGSLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSVLEKVVAHPNFFILATMNPGGDYGKKELSPALRNRFTEVWVPAVTNVDELKSIAIERFTNAEVSCFGDCIVNFWMWFNQLHTGRMLTIRDLLSWISFINVTERNLGPQQALIHGLFLVLLDGLTLGMNVSKTEATELRRTCLSFLLEELQKVEGKPLNSDLHDLKNYGWGDHTREIDIGQPDHFGIMPFYIDTGHFTRKQQGFQFMAPTTSKNVFRVLRGMQLPKPLLLEGSPGVGKTSLIVALAGFSGHNVVRINLSEQTDMMDLLGSDLPVEGENGMEFAWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGQTYKCPPSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSESDYSFICKSQYPSIKDDILKKLISFNNRLYMDTMIHKKYGQEGSPWEFNLRDIIRSCEMIVGACETARGYPETSMVDCFLNTVYLQRMRTVADREEVVKLFEEVFQTNVWWLEVHLLEEIASTLAKFRIANSTFSLVPSIALKLPCTVSIKLSGNTLNEVNLSSATDVSELLGCFEQYNFFRHYKVVISQVERYIDEYFSLSQYIQWKSLVADRKTLFVKWFEFVIAKKFSSICISTLVEMSKNSSLPSLSLVRDIVEDMKCDMEMFDLPVSFNKDDLSKTLRSIYYLQQSEAVHQPVKFEWVAGDLIRAIECGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVILKAHPKFRMFLTINAKHGEVSRAMRNRGVEIFLMNQNLSINGCSNAAEDSERRDITRFLISCGIPRMELVSSMSEAHLYAKAAGLRLGINITLLEITRWVQLFKQLLIKGNQFLWSLHLSWEHTYLPSLGEVCSNIVEEGKLRFLFPTVSDKQSVKPCVTGLFNSELADQMLFIAANWVMEQSTENDLKLYAIWFNWCNHLLQPYCNFFENYGNILKQESDHPIWHSILECYREIVAYHKIDVVAHPIPLLSMRLLDMTCSVTLKDCHNRLRNSRNGLSLLRLTLQQWQLETKFPDHGIMEVTMLPALKSLRCLEGEVLKMVVKSRKLLQIYSRLIDYHRSIWKMILLSQFEGLPVVWNLLKKEILKLQPKFPVEVGIFLMESVNLNSLQDFNLHYDKPTLWVYGGHPIVPSSGRIFYKIQDILAFSAAVWPRKNLLKGHFDDNQHFVDAMLSANQDLRNLAMEGLSMASLAATITEEEDSTVLAQLDEVHKRLVEKVDWEKKNLEFLSKASTTEIIANIEYMLKYAMDFQLGSSSRSPFEFTQHQIIWWIHHAWATVDNAYKLALECSAVHVQFSVTHDETCDLAHLTKMDAIDTILQEDLRVMDYQKNRLVLRISSRNLWEGVSFAGNFVLSLHSAADSLFKQIIVVHKKHFKQEEYSKLESILFQQSEHRVKKEDLDTACVLLSRSSHGVLASLAGSHELIGSLLLELHSPFSQGYLMHLGAAWVYIGELRFRLLLSSYNPDPAFESAFMHSHILEKISLLELEGKVRHQCEEFAGSSSEEDGYDQKLLQELKTKEKSIRAKVVFRPPQSRHKSLIAACCEFEERLSECKSLLTSLNGDGVGQLEIDRVCNWQITSRNFIKRLTEEYVEYVDLIQPIQVAVYEMKLGLAIALSGYLEREYLKKIKEDDIERALGAIFAIMQFPSGSATGNVSVDMPDLTKYVNDDQLEIRYNEVSDLAMLKKLAAVSSQLHVGEVADKLRSHSQMLVSIHHISLVRTTYRVCYSLILDKTSYLSLKETFDQFTSMWVDMKSSLKARENDDSQYYKFRSRIIDIHDIFKGDVPSLSDMDAEGNAVADTEEQLEQDFLKIMERTDEENSAVEDSWDLIPESILKCIVTIHNQLFGSPDLVEKPSKCQISDDLKIQSFMDSYQLGARILKDLPELTSSTFDEKLMPEHLLRVCLEYRQTCAPSLECNTYNAYKDPNPSILFKMVEPLIALQEKVRYFLDEWPGHPGLLKILDIITSLLAIPLSTPLSKVLLGLQLLVGKAQTLQENDSKFFLKDHLPQLFIIVSSWQRLELECWPILLQEVLEKYESNALKLWFPLRALLTQSCDISKNEEISIIKSVEEFVQTSSIGEFKRRLHLLLAFHGELSDGAGVGAYSSNSLKKIQNILYNMFGYYMQFLPLVLGEIEGGKGSIEKDLKDQVKLYRWEQDPHSASIENFKRTRQKVFKLLQRFNDILQKPVMVLLNQESVARKVPCWLDQQMPESEFPVDLGKLSGRFLWYTKWANQAKLSFQALQHTNATDIGVHNEEFARVVFHNTNCQQAESELEDRLNLFWAAIERICNAADFGSILKSGKKNQKKTALSNLFKTLEECGLSKHRPMGREGNELAAPSPFLEQSYITTHLLQQVISKKMPEDASVAHATLLSTNNWKNANQQYFKCLAMMQQLRQISLKFNKDLGLEEVNRATSFMDHLLSIMSEQRHFAYNMFEQLNEFRHAILLLGSGGDSDYLSSCQSVLLNSMWQQKQFFDNILATTMDTNLLLRSFIDCHHDPCDNIQVEVSAMSTLFEKFIARFSESKDLLDKYLLGSNYMIAGSHKNMPLATREMEQLVAANCQLISMFREDIQVLCHQDVLMRSVKKVLLSRFEELLEKGNSPMAILSRKVDEDKHMLSSDVLHNLEASYAEAFKEIFSLAVGVVGKLTDQGISTDGTHDSLEGNITLWKDILQTYVMNLQMGHLFDASKKLTVSVRRLVDINPELRSSIGMQLMHLHALLGLVLSAAEGILSELLEAHRTTSEMTHALGDLLIHLFAEGFGSTDDTTEDASDGPQQDATGTGMGEGDGKESASSKIDDISQLEGTANEMDALRKPDQAPKNDDDAVEMQDDFNAELSDVSEDPEGNDSGDEDDDTNLENQMGDTGDASEMVGKKSWDKDEDDDPNRSTEKYESGSSAKETQKNDRELRAKDEGALEEDPMETDCDEQGKNNNLEDDPSTCEDVDQDTNDLMDKADAYDDRTAPELPEPKDDSEDVDMDGTEHIDEMDADGEELSPEEEKLAADGSLDASDNVEDVDAAQHGDNEIDGEQEHAEDGQMETNNMEKELHNIESLEHPSQGIQPNNAEMDYNRESESNLANSTDMNSAAAPVNFSSNEVPSLEISMPNSGDGSRLLSNSKPELQTDTPPSQIKQTNPFRSIGDAMADWKERAKVSADTQDHQPEAEHHIDDESATEFRYVPDSEQSTSQALGNATADQINDDLQVKQSSMEDENRVQKEEHNTDRAPGDDHNLEVPHLQASQSRTNKSENANNLEHREIQTDTYVQDSVQGETDETFGDFVSFKQPPADEKTVMRDDLANDRELSTPMEINITDVDMKGPIVDWKSVELTTMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVKVLHDFDQVFNGEAGTNQDNKLEDEPVADLLMHLNTMLDTAVARSRTPSGQNPLQQLVLIISDGKFHEKENLKRCVRNVLNRKRMIAYVLLDGHEESIMDSLEVSYQGTKLTMGKYMDSFPFPYYVMLKNIEALPRTLADLLRQVQIILCLSSCYLRTNGWFTHFCSLVPLCLTCGLVFSLLQWFELMQSANE >ORUFI10G12780.2 pep chromosome:OR_W1943:10:14593282:14625336:-1 gene:ORUFI10G12780 transcript:ORUFI10G12780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGSFSAAAAAARLLARCPALRADPRVLALASSTAGGGGGGPSRDDVAAALAEPLLHPRYTVPVVGCFLPLAPALLDRAVGLLRAAGAAALRSDDPAAGEGEEAGEGDLRVVEFYLSRGRGLRLHEIACLALARALDLAPYLLRHLLNYFKFAPPPFQRFLSGGVPSQIPIKVGLHLLLDATQVSYRFLELEPRVFCEQWDWSCFLDLVYSTADYSLVDDSLYSVVLDLRWCAIQILMVVLKASDEAVESFGLGADEAFTCFLRSFAQILPWRKPLCIFKPKKLIVKLMQMDSLVWLILCQIGMSFLWDGSMAVESYEVALMAVNQKWPILLYGPVGAGKTALINKLAQIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWSPGSLTQAVIKGFWIVFEDIDKAPSDVQSILLPLLEGSSSFSVGHAEAVEVAENFRLFATVTTSKNDVSHALEGRLTFSALWRKVMVGEPNRKDMIDIIHGCYPSLESISAKIIDTFEKANLLVSYQFGGLNLAGGSSECLVQRFSLRDLLKWCKRIRGVDLNFKGLGLSSSCCKLIYFEAVDIFASSLSSPDKRLYVAREIAKLFCVPHGAETISPSDKPIIQALIQKGPFADIRRALEVLERVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPADARSICFPLYIEFKDLFCRSFSGKDNEAILRHCDVYVMEKKWKKLLRALEKCVEKAQKLIDGSSRSNSGSKRKRPLPAQVISDWDSFSSRLNAACSQIGSEIGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGESGTLCLAERGDVDYIERHPCFRMFACMNPATDAGKRELPYTFRSRFTEFFVDDLIDDDDLRLFVSKYLDGLHAAKGVADSIVRFYKAAKKESEEKLQDGANQKPQFSLRSLSRSLGYIKNAERKFGFKKALYDGFCMFFLTMLDAPSAKIINNLIVSLLLDGRVPPRISFADYFVEKPKLLNGSESDDFLRSYVLTNSVTEHIVNLARAVYIKKYPVLLQGPTSSGKTSLVRFLAAQTGHEFVRINNHEHTDLQEYLGTYVTDSHGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPVLYGGRKILSRAFRNRFIEVHVDEIPEDELITILEQRCSIACSYATRMVQVMKDLQTHRQNSRVFAGKHGFITPRDLFRWANRYRLFEGKSYEDLAKDGYLLLAERLRDDTEKAVVQEVLERHLHVKLSISNLYNMEVSCDNNLSRESIRLRVQETFGNITWTESMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFCPIRDRSKIAMEFKHLITKMKQLKIFIHVAGDMSFPADISGAVSVVNHIDEILDRYIKEKELFPQVPPHDFAAMEQIKLYLMQLHKRWQAIFLWQDGSLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSVLEKVVAHPNFFILATMNPGGDYGKKELSPALRNRFTEVWVPAVTNVDELKSIAIERFTNAEVSCFGDCIVNFWMWFNQLHTGRMLTIRDLLSWISFINVTERNLGPQQALIHGLFLVLLDGLTLGMNVSKTEATELRRTCLSFLLEELQKVEGKPLNSDLHDLKNYGWGDHTREIDIGQPDHFGIMPFYIDTGHFTRKQQGFQFMAPTTSKNVFRVLRGMQLPKPLLLEGSPGVGKTSLIVALAGFSGHNVVRINLSEQTDMMDLLGSDLPVEGENGMEFAWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGQTYKCPPSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSESDYSFICKSQYPSIKDDILKKLISFNNRLYMDTMIHKKYGQEGSPWEFNLRDIIRSCEMIVGACETARGYPETSMVDCFLNTVYLQRMRTVADREEVVKLFEEVFQTKYSIHEIKMLYVNPQCLVVGSASIRRNRVHSCKVQNSQLNILPGTLHSLEAAMHCINQGWLCILVGQHSSGKTSLTRLLAQLSGNTLNEVNLSSATDVSELLGCFEQYNFFRHYKVVISQVERYIDEYFSLSQYIQWKSLVADRKTLFVKWFEFVIAKKFSSICISTLVEMSKNSSLPSLSLVRDIVEDMKCDMEMFDLPVSFNKDDLSKTLRSIYYLQQSEAVHQPVKFEWVAGDLIRAIECGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVILKAHPKFRMFLTINAKHGEVSRAMRNRGVEIFLMNQNLSINGCSNAAEDSERRDITRFLISCGIPRMELVSSMSEAHLYAKAAGLRLGINITLLEITRWVQLFKQLLIKGNQFLWSLHLSWEHTYLPSLGEVCSNIVEEGKLRFLFPTVSDKQSVKPCVTGLFNSELADQMLFIAANWVMEQSTENDLKLYAIWFNWCNHLLQPYCNFFENYGNILKQESDHPIWHSILECYREIVAYHKIDVVAHPIPLLSMRLLDMTCSVTLKDCHNRLRNSRNGLSLLRLTLQQWQLETKFPDHGIMEVTMLPALKSLRCLEGEVLKMVVKSRKLLQIYSRLIDYHRSIWKMILLSQFEGLPVVWNLLKKEILKLQPKFPVEVGIFLMESVNLNSLQDFNLHYDKPTLWVYGGHPIVPSSGRIFYKIQDILAFSAAVWPRKNLLKGHFDDNQHFVDAMLSANQDLRNLAMEGLSMASLAATITEEEDSTVLAQLDEVHKRLVEKVDWEKKNLEFLSKASTTEIIANIEYMLKYAMDFQLGSSSRSPFEFTQHQIIWWIHHAWATVDNAYKLALECSAVHVQFSVTHDETCDLAHLTKMDAIDTILQEDLRVMDYQKNRLVLRISSRNLWEGVSFAGNFVLSLHSAADSLFKQIIVVHKKHFKQEEYSKLESILFQQSEHRVKKEDLDTACVLLSRSSHGVLASLAGSHELIGSLLLELHSPFSQGYLMHLGAAWVYIGELRFRLLLSSYNPDPAFESAFMHSHILEKISLLELEGKVRHQCEEFAGSSSEEDGYDQKLLQELKTKEKSIRAKVVFRPPQSRHKSLIAACCEFEERLSECKSLLTSLNGDGVGQLEIDRVCNWQITSRNFIKRLTEEYVEYVDLIQPIQVAVYEMKLGLAIALSGYLEREYLKKIKEDDIERALGAIFAIMQFPSGSATGNVSVDMPDLTKYVNDDQLEIRYNEVSDLAMLKKLAAVSSQLHVGEVADKLRSHSQMLVSIHHISLVRTTYRVCYSLILDKTSYLSLKETFDQFTSMWVDMKSSLKARENDDSQYYKFRSRIIDIHDIFKGDVPSLSDMDAEGNAVADTEEQLEQDFLKIMERTDEENSAVEDSWDLIPESILKCIVTIHNQLFGSPDLVEKPSKCQISDDLKIQSFMDSYQLGARILKDLPELTSSTFDEKLMPEHLLRVCLEYRQTCAPSLECNTYNAYKDPNPSILFKMVEPLIALQEKVRYFLDEWPGHPGLLKILDIITSLLAIPLSTPLSKVLLGLQLLVGKAQTLQENDSKFFLKDHLPQLFIIVSSWQRLELECWPILLQEVLEKYESNALKLWFPLRALLTQSCDISKNEEISIIKSVEEFVQTSSIGEFKRRLHLLLAFHGELSDGAGVGAYSSNSLKKIQNILYNMFGYYMQFLPLVLGEIEGGKGSIEKDLKDQVKLYRWEQDPHSASIENFKRTRQKVFKLLQRFNDILQKPVMVLLNQESVARKVPCWLDQQMPESEFPVDLGKLSGRFLWYTKWANQAKLSFQALQHTNATDIGVHNEEFARVVFHNTNCQQAESELEDRLNLFWAAIERICNAADFGSILKSGKKNQKKTALSNLFKTLEECGLSKHRPMGREGNELAAPSPFLEQSYITTHLLQQVISKKMPEDASVAHATLLSTNNWKNANQQYFKCLAMMQQLRQISLKFNKDLGLEEVNRATSFMDHLLSIMSEQRHFAYNMFEQLNEFRHAILLLGSGGDSDYLSSCQSVLLNSMWQQKQFFDNILATTMDTNLLLRSFIDCHHDPCDNIQVEVSAMSTLFEKFIARFSESKDLLDKYLLGSNYMIAGSHKNMPLATREMEQLVAANCQLISMFREDIQVLCHQDVLMRSVKKVLLSRFEELLEKGNSPMAILSRKVDEDKHMLSSDVLHNLEASYAEAFKEIFSLAVGVVGKLTDQGISTDGTHDSLEGNITLWKDILQTYVMNLQMGHLFDASKKLTVSVRRLVDINPELRSSIGMQLMHLHALLGLVLSAAEGILSELLEAHRTTSEMTHALGDLLIHLFAEGFGSTDDTTEDASDGPQQDATGTGMGEGDGKESASSKIDDISQLEGTANEMDALRKPDQAPKNDDDAVEMQDDFNAELSDVSEDPEGNDSGDEDDDTNLENQMGDTGDASEMVGKKSWDKDEDDDPNRSTEKYESGSSAKETQKNDRELRAKDEGALEEDPMETDCDEQGKNNNLEDDPSTCEDVDQDTNDLMDKADAYDDRTAPELPEPKDDSEDVDMDGTEHIDEMDADGEELSPEEEKLAADGSLDASDNVEDVDAAQHGDNEIDGEQEHAEDGQMETNNMEKELHNIESLEHPSQGIQPNNAEMDYNRESESNLANSTDMNSAAAPVNFSSNEVPSLEISMPNSGDGSRLLSNSKPELQTDTPPSQIKQTNPFRSIGDAMADWKERAKVSADTQDHQPEAEHHIDDESATEFRYVPDSEQSTSQALGNATADQINDDLQVKQSSMEDENRVQKEEHNTDRAPGDDHNLEVPHLQASQSRTNKSENANNLEHREIQTDTYVQDSVQGETDETFGDFVSFKQPPADEKTVMRDDLANDRELSTPMEINITDVDMKGPIVDWKSVELTTMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVKVLHDFDQVFNGEAGTNQDNKLEDEPVADLLMHLNTMLDTAVARSRTPSGQNPLQQLVLIISDGKFHEKENLKRCVRNVLNRKRMIAYVLLDGHEESIMDSLEVSYQGTKLTMGKYMDSFPFPYYVMLKNIEALPRTLADLLRQVQIILCLSSCYLRTNGWFTHFCSLVPLCLTCGLVFSLLQWFELMQSANE >ORUFI10G12780.3 pep chromosome:OR_W1943:10:14593282:14625336:-1 gene:ORUFI10G12780 transcript:ORUFI10G12780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGSFSAAAAAARLLARCPALRADPRVLALASSTAGGGGGGPSRDDVAAALAEPLLHPRYTVPVVGCFLPLAPALLDRAVGLLRAAGAAALRSDDPAAGEGEEAGEGDLRVVEFYLSRGRGLRLHEIACLALARALDLAPYLLRHLLNYFKFAPPPFQRFLSGGVPSQIPIKVGLHLLLDATQVSYRFLELEPRVFCEQWDWSCFLDLVYSTADYSLVDDSLYSVVLDLRWCAIQILMVVLKASDEAVESFGLGADEAFTCFLRSFAQILPWRKPLCIFKPKKLIVKLMQMDSLVWLILCQIGMSFLWDGSMAVESYEVALMAVNQKWPILLYGPVGAGKTALINKLAQIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWSPGSLTQAVIKGFWIVFEDIDKAPSDVQSILLPLLEGSSSFSVGHAEAVEVAENFRLFATVTTSKNDVSHALEGRLTFSALWRKVMAVDIFASSLSSPDKRLYVAREIAKLFCVPHGAETISPSDKPIIQALIQKGPFADIRRALEVLERVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPADARSICFPLYIEFKDLFCRSFSGKDNEAILRHCDVYVMEKKWKKLLRALEKCVEKAQKLIDGSSRSNSGSKRKRPLPAQVISDWDSFSSRLNAACSQIGSEIGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGESGTLCLAERGDVDYIERHPCFRMFACMNPATDAGKRELPYTFRSRFTEFFVDDLIDDDDLRLFVSKYLDGLHAAKGVADSIVRFYKAAKKESEEKLQDGANQKPQFSLRSLSRSLGYIKNAERKFGFKKALYDGFCMFFLTMLDAPSAKIINNLIVSLLLDGRVPPRISFADYFVEKPKLLNGSESDDFLRSYVLTNSVTEHIVNLARAVYIKKYPVLLQGPTSSGKTSLVRFLAAQTGHEFVRINNHEHTDLQEYLGTYVTDSHGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPVLYGGRKILSRAFRNRFIEVHVDEIPEDELITILEQRCSIACSYATRMVQVMKDLQTHRQNSRVFAGKHGFITPRDLFRWANRYRLFEGKSYEDLAKDGYLLLAERLRDDTEKAVVQEVLERHLHVKLSISNLYNMEVSCDNNLSRESIRLRVQETFGNITWTESMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFCPIRDRSKIAMEFKHLITKMKQLKIFIHVAGDMSFPADISGAVSVVNHIDEILDRYIKEKELFPQVPPHDFAAMEQIKLYLMQLHKRWQAIFLWQDGSLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSVLEKVVAHPNFFILATMNPGGDYGKKELSPALRNRFTEVWVPAVTNVDELKSIAIERFTNAEVSCFGDCIVNFWMWFNQLHTGRMLTIRDLLSWISFINVTERNLGPQQALIHGLFLVLLDGLTLGMNVSKTEATELRRTCLSFLLEELQKVEGKPLNSDLHDLKNYGWGDHTREIDIGQPDHFGIMPFYIDTGHFTRKQQGFQFMAPTTSKNVFRVLRGMQLPKPLLLEGSPGVGKTSLIVALAGFSGHNVVRINLSEQTDMMDLLGSDLPVEGENGMEFAWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGQTYKCPPSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSESDYSFICKSQYPSIKDDILKKLISFNNRLYMDTMIHKKYGQEGSPWEFNLRDIIRSCEMIVGACETARGYPETSMVDCFLNTVYLQRMRTVADREEVVKLFEEVFQTNVWWLEVHLLEEIASTLAKFRIANSTFSLVPSIALKLPCTVSIKLSGNTLNEVNLSSATDVSELLGCFEQYNFFRHYKVVISQVERYIDEYFSLSQYIQWKSLVADRKTLFVKWFEFVIAKKFSSICISTLVEMSKNSSLPSLSLVRDIVEDMKCDMEMFDLPVSFNKDDLSKTLRSIYYLQQSEAVHQPVKFEWVAGDLIRAIECGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVILKAHPKFRMFLTINAKHGEVSRAMRNRGVEIFLMNQNLSINGCSNAAEDSERRDITRFLISCGIPRMELVSSMSEAHLYAKAAGLRLGINITLLEITRWVQLFKQLLIKGNQFLWSLHLSWEHTYLPSLGEVCSNIVEEGKLRFLFPTVSDKQSVKPCVTGLFNSELADQMLFIAANWVMEQSTENDLKLYAIWFNWCNHLLQPYCNFFENYGNILKQESDHPIWHSILECYREIVAYHKIDVVAHPIPLLSMRLLDMTCSVTLKDCHNRLRNSRNGLSLLRLTLQQWQLETKFPDHGIMEVTMLPALKSLRCLEGEVLKMVVKSRKLLQIYSRLIDYHRSIWKMILLSQFEGLPVVWNLLKKEILKLQPKFPVEVGIFLMESVNLNSLQDFNLHYDKPTLWVYGGHPIVPSSGRIFYKIQDILAFSAAVWPRKNLLKGHFDDNQHFVDAMLSANQDLRNLAMEGLSMASLAATITEEEDSTVLAQLDEVHKRLVEKVDWEKKNLEFLSKASTTEIIANIEYMLKYAMDFQLGSSSRSPFEFTQHQIIWWIHHAWATVDNAYKLALECSAVHVQFSVTHDETCDLAHLTKMDAIDTILQEDLRVMDYQKNRLVLRISSRNLWEGVSFAGNFVLSLHSAADSLFKQIIVVHKKHFKQEEYSKLESILFQQSEHRVKKEDLDTACVLLSRSSHGVLASLAGSHELIGSLLLELHSPFSQGYLMHLGAAWVYIGELRFRLLLSSYNPDPAFESAFMHSHILEKISLLELEGKVRHQCEEFAGSSSEEDGYDQKLLQELKTKEKSIRAKVVFRPPQSRHKSLIAACCEFEERLSECKSLLTSLNGDGVGQLEIDRVCNWQITSRNFIKRLTEEYVEYVDLIQPIQVAVYEMKLGLAIALSGYLEREYLKKIKEDDIERALGAIFAIMQFPSGSATGNVSVDMPDLTKYVNDDQLEIRYNEVSDLAMLKKLAAVSSQLHVGEVADKLRSHSQMLVSIHHISLVRTTYRVCYSLILDKTSYLSLKETFDQFTSMWVDMKSSLKARENDDSQYYKFRSRIIDIHDIFKGDVPSLSDMDAEGNAVADTEEQLEQDFLKIMERTDEENSAVEDSWDLIPESILKCIVTIHNQLFGSPDLVEKPSKCQISDDLKIQSFMDSYQLGARILKDLPELTSSTFDEKLMPEHLLRVCLEYRQTCAPSLECNTYNAYKDPNPSILFKMVEPLIALQEKVRYFLDEWPGHPGLLKILDIITSLLAIPLSTPLSKVLLGLQLLVGKAQTLQENDSKFFLKDHLPQLFIIVSSWQRLELECWPILLQEVLEKYESNALKLWFPLRALLTQSCDISKNEEISIIKSVEEFVQTSSIGEFKRRLHLLLAFHGELSDGAGVGAYSSNSLKKIQNILYNMFGYYMQFLPLVLGEIEGGKGSIEKDLKDQVKLYRWEQDPHSASIENFKRTRQKVFKLLQRFNDILQKPVMVLLNQESVARKVPCWLDQQMPESEFPVDLGKLSGRFLWYTKWANQAKLSFQALQHTNATDIGVHNEEFARVVFHNTNCQQAESELEDRLNLFWAAIERICNAADFGSILKSGKKNQKKTALSNLFKTLEECGLSKHRPMGREGNELAAPSPFLEQSYITTHLLQQVISKKMPEDASVAHATLLSTNNWKNANQQYFKCLAMMQQLRQISLKFNKDLGLEEVNRATSFMDHLLSIMSEQRHFAYNMFEQLNEFRHAILLLGSGGDSDYLSSCQSVLLNSMWQQKQFFDNILATTMDTNLLLRSFIDCHHDPCDNIQVEVSAMSTLFEKFIARFSESKDLLDKYLLGSNYMIAGSHKNMPLATREMEQLVAANCQLISMFREDIQVLCHQDVLMRSVKKVLLSRFEELLEKGNSPMAILSRKVDEDKHMLSSDVLHNLEASYAEAFKEIFSLAVGVVGKLTDQGISTDGTHDSLEGNITLWKDILQTYVMNLQMGHLFDASKKLTVSVRRLVDINPELRSSIGMQLMHLHALLGLVLSAAEGILSELLEAHRTTSEMTHALGDLLIHLFAEGFGSTDDTTEDASDGPQQDATGTGMGEGDGKESASSKIDDISQLEGTANEMDALRKPDQAPKNDDDAVEMQDDFNAELSDVSEDPEGNDSGDEDDDTNLENQMGDTGDASEMVGKKSWDKDEDDDPNRSTEKYESGSSAKETQKNDRELRAKDEGALEEDPMETDCDEQGKNNNLEDDPSTCEDVDQDTNDLMDKADAYDDRTAPELPEPKDDSEDVDMDGTEHIDEMDADGEELSPEEEKLAADGSLDASDNVEDVDAAQHGDNEIDGEQEHAEDGQMETNNMEKELHNIESLEHPSQGIQPNNAEMDYNRESESNLANSTDMNSAAAPVNFSSNEVPSLEISMPNSGDGSRLLSNSKPELQTDTPPSQIKQTNPFRSIGDAMADWKERAKVSADTQDHQPEAEHHIDDESATEFRYVPDSEQSTSQALGNATADQINDDLQVKQSSMEDENRVQKEEHNTDRAPGDDHNLEVPHLQASQSRTNKSENANNLEHREIQTDTYVQDSVQGETDETFGDFVSFKQPPADEKTVMRDDLANDRELSTPMEINITDVDMKGPIVDWKSVELTTMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVKVLHDFDQVFNGEAGTNQDNKLEDEPVADLLMHLNTMLDTAVARSRTPSGQNPLQQLVLIISDGKFHEKENLKRCVRNVLNRKRMIAYVLLDGHEESIMDSLEVSYQGTKLTMGKYMDSFPFPYYVMLKNIEALPRTLADLLRQVQIILCLSSCYLRTNGWFTHFCSLVPLCLTCGLVFSLLQWFELMQSANE >ORUFI10G12780.4 pep chromosome:OR_W1943:10:14593282:14625336:-1 gene:ORUFI10G12780 transcript:ORUFI10G12780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGSFSAAAAAARLLARCPALRADPRVLALASSTAGGGGGGPSRDDVAAALAEPLLHPRYTVPVVGCFLPLAPALLDRAVGLLRAAGAAALRSDDPAAGEGEEAGEGDLRVVEFYLSRGRGLRLHEIACLALARALDLAPYLLRHLLNYFKFAPPPFQRFLSGGVPSQIPIKVGLHLLLDATQVSYRFLELEPRVFCEQWDWSCFLDLVYSTADYSLVDDSLYSVVLDLRWCAIQILMVVLKASDEAVESFGLGADEAFTCFLRSFAQILPWRKPLCIFKPKKLIVKLMQMDSLVWLILCQIGMSFLWDGSMAVESYEVALMAVNQKWPILLYGPVGAGKTALINKLAQIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWSPGSLTQAVEVAENFRLFATVTTSKNDVSHALEGRLTFSALWRKVMAVDIFASSLSSPDKRLYVAREIAKLFCVPHGAETISPSDKPIIQALIQKGPFADIRRALEVLERVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPADARSICFPLYIEFKDLFCRSFSGKDNEAILRHCDVYVMEKKWKKLLRALEKCVEKAQKLIDGSSRSNSGSKRKRPLPAQVISDWDSFSSRLNAACSQIGSEIGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGESGTLCLAERGDVDYIERHPCFRMFACMNPATDAGKRELPYTFRSRFTEFFVDDLIDDDDLRLFVSKYLDGLHAAKGVADSIVRFYKAAKKESEEKLQDGANQKPQFSLRSLSRSLGYIKNAERKFGFKKALYDGFCMFFLTMLDAPSAKIINNLIVSLLLDGRVPPRISFADYFVEKPKLLNGSESDDFLRSYVLTNSVTEHIVNLARAVYIKKYPVLLQGPTSSGKTSLVRFLAAQTGHEFVRINNHEHTDLQEYLGTYVTDSHGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPVLYGGRKILSRAFRNRFIEVHVDEIPEDELITILEQRCSIACSYATRMVQVMKDLQTHRQNSRVFAGKHGFITPRDLFRWANRYRLFEGKSYEDLAKDGYLLLAERLRDDTEKAVVQEVLERHLHVKLSISNLYNMEVSCDNNLSRESIRLRVQETFGNITWTESMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFCPIRDRSKIAMEFKHLITKMKQLKIFIHVAGDMSFPADISGAVSVVNHIDEILDRYIKEKELFPQVPPHDFAAMEQIKLYLMQLHKRWQAIFLWQDGSLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSVLEKVVAHPNFFILATMNPGGDYGKKELSPALRNRFTEVWVPAVTNVDELKSIAIERFTNAEVSCFGDCIVNFWMWFNQLHTGRMLTIRDLLSWISFINVTERNLGPQQALIHGLFLVLLDGLTLGMNVSKTEATELRRTCLSFLLEELQKVEGKPLNSDLHDLKNYGWGDHTREIDIGQPDHFGIMPFYIDTGHFTRKQQGFQFMAPTTSKNVFRVLRGMQLPKPLLLEGSPGVGKTSLIVALAGFSGHNVVRINLSEQTDMMDLLGSDLPVEGENGMEFAWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGQTYKCPPSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSESDYSFICKSQYPSIKDDILKKLISFNNRLYMDTMIHKKYGQEGSPWEFNLRDIIRSCEMIVGACETARGYPETSMVDCFLNTVYLQRMRTVADREEVVKLFEEVFQTNVWWLEVHLLEEIASTLAKFRIANSTFSLVPSIALKLPCTVSIKLSGNTLNEVNLSSATDVSELLGCFEQYNFFRHYKVVISQVERYIDEYFSLSQYIQWKSLVADRKTLFVKWFEFVIAKKFSSICISTLVEMSKNSSLPSLSLVRDIVEDMKCDMEMFDLPVSFNKDDLSKTLRSIYYLQQSEAVHQPVKFEWVAGDLIRAIECGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVILKAHPKFRMFLTINAKHGEVSRAMRNRGVEIFLMNQNLSINGCSNAAEDSERRDITRFLISCGIPRMELVSSMSEAHLYAKAAGLRLGINITLLEITRWVQLFKQLLIKGNQFLWSLHLSWEHTYLPSLGEVCSNIVEEGKLRFLFPTVSDKQSVKPCVTGLFNSELADQMLFIAANWVMEQSTENDLKLYAIWFNWCNHLLQPYCNFFENYGNILKQESDHPIWHSILECYREIVAYHKIDVVAHPIPLLSMRLLDMTCSVTLKDCHNRLRNSRNGLSLLRLTLQQWQLETKFPDHGIMEVTMLPALKSLRCLEGEVLKMVVKSRKLLQIYSRLIDYHRSIWKMILLSQFEGLPVVWNLLKKEILKLQPKFPVEVGIFLMESVNLNSLQDFNLHYDKPTLWVYGGHPIVPSSGRIFYKIQDILAFSAAVWPRKNLLKGHFDDNQHFVDAMLSANQDLRNLAMEGLSMASLAATITEEEDSTVLAQLDEVHKRLVEKVDWEKKNLEFLSKASTTEVKFCCSVSSDVLCNMHGFHGWVASLPLLNLKSLNLDTVLLQRLSKCAQLDSSESHQIIANIEYMLKYAMDFQLGSSSRSPFEFTQHQIIWWIHHAWATVDNVHVKVASSILEMWYNYHTFLWTYCSGRPKVQFSVTHDETCDLAHLTKMDAIDTILQEDLRVMDYQKNRLVLRISSRNLWEGVSFAGNFVLSLHSAADSLFKQIIVVHKKHFKQEEYSKLESILFQQSEHRVKKEDLDTACVLLSRSSHGVLASLAGSHELIGSLLLELHSPFSQGYLMHLGAAWVYIGELRFRLLLSSYNPDPAFESAFMHSHILEKISLLELEGKVRHQCEEFAGSSSEEDGYDQKLLQELKTKEKSIRAKVVFRPPQSRHKSLIAACCEFEERLSECKSLLTSLNGDGVGQLEIDRVCNWQITSRNFIKRLTEEYVEYVDLIQPIQVAVYEMKLGLAIALSGYLEREYLKKIKEDDIERALGAIFAIMQFPSGSATGNVSVDMPDLTKYVNDDQLEIRYNEVSDLAMLKKLAAVSSQLHVGEVADKLRSHSQMLVSIHHISLVRTTYRVCYSLILDKTSYLSLKETFDQFTSMWVDMKSSLKARENDDSQYYKFRSRIIDIHDIFKGDVPSLSDMDAEGNAVADTEEQLEQDFLKIMERTDEENSAVEDSWDLIPESILKCIVTIHNQLFGSPDLVEKPSKCQISDDLKIQSFMDSYQLGARILKDLPELTSSTFDEKLMPEHLLRVCLEYRQTCAPSLECNTYNAYKDPNPSILFKMVEPLIALQEKVRYFLDEWPGHPGLLKILDIITSLLAIPLSTPLSKVLLGLQLLVGKAQTLQENDSKFFLKDHLPQLFIIVSSWQRLELECWPILLQEVLEKYESNALKLWFPLRALLTQSCDISKNEEISIIKSVEEFVQTSSIGEFKRRLHLLLAFHGELSDGAGVGAYSSNSLKKIQNILYNMFGYYMQFLPLVLGEIEGGKGSIEKDLKDQVKLYRWEQDPHSASIENFKRTRQKVFKLLQRFNDILQKPVMVLLNQESVARKVPCWLDQQMPESEFPVDLGKLSGRFLWYTKWANQAKLSFQALQHTNATDIGVHNEEFARVVFHNTNCQQAESELEDRLNLFWAAIERICNAADFGSILKSGKKNQKKTALSNLFKTLEECGLSKHRPMGREGNELAAPSPFLEQSYITTHLLQQVISKKMPEDASVAHATLLSTNNWKNANQQYFKCLAMMQQLRQISLKFNKDLGLEEVNRATSFMDHLLSIMSEQRHFAYNMFEQLNEFRHAILLLGSGGDSDYLSSCQSVLLNSMWQQKQFFDNILATTMDTNLLLRSFIDCHHDPCDNIQVEVSAMSTLFEKFIARFSESKDLLDKYLLGSNYMIAGSHKNMPLATREMEQLVAANCQLISMFREDIQVLCHQDVLMRSVKKVLLSRFEELLEKGNSPMAILSRKVDEDKHMLSSDVLHNLEASYAEAFKEIFSLAVGVVGKLTDQGISTDGTHDSLEGNITLWKDILQTYVMNLQMGHLFDASKKLTVSVRRLVDINPELRSSIGMQLMHLHALLGLVLSAAEGILSELLEAHRTTSEMTHALGDLLIHLFAEGFGSTDDTTEDASDGPQQDATGTGMGEGDGKESASSKIDDISQLEGTANEMDALRKPDQAPKNDDDAVEMQDDFNAELSDVSEDPEGNDSGDEDDDTNLENQMGDTGDASEMVGKKSWDKDEDDDPNRSTEKYESGSSAKETQKNDRELRAKDEGALEEDPMETDCDEQGKNNNLEDDPSTCEDVDQDTNDLMDKADAYDDRTAPELPEPKDDSEDVDMDGTEHIDEMDADGEELSPEEEKLAADGSLDASDNVEDVDAAQHGDNEIDGEQEHAEDGQMETNNMEKELHNIESLEHPSQGIQPNNAEMDYNRESESNLANSTDMNSAAAPVNFSSNEVPSLEISMPNSGDGSRLLSNSKPELQTDTPPSQIKQTNPFRSIGDAMADWKERAKVSADTQDHQPEAEHHIDDESATEFRYVPDSEQSTSQALGNATADQINDDLQVKQSSMEDENRVQKEEHNTDRAPGDDHNLEVPHLQASQSRTNKSENANNLEHREIQTDTYVQDSVQGETDETFGDFVSFKQPPADEKTVMRDDLANDRELSTPMEINITDVDMKGPIVDWKSVELTTMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVKVLHDFDQVFNGEAGTNQDNKLEDEPVADLLMHLNTMLDTAVARSRTPSGQNPLQQLVLIISDGKFHEKENLKRCVRNVLNRKRMIAYVLLDGHEESIMDSLEVSYQGTKLTMGKYMDSFPFPYYVMLKNIEALPRTLADLLRQVQIILCLSSCYLRTNGWFTHFCSLVPLCLTCGLVFSLLQWFELMQSANE >ORUFI10G12780.5 pep chromosome:OR_W1943:10:14593282:14625336:-1 gene:ORUFI10G12780 transcript:ORUFI10G12780.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGSFSAAAAAARLLARCPALRADPRVLALASSTAGGGGGGPSRDDVAAALAEPLLHPRYTVPVVGCFLPLAPALLDRAVGLLRAAGAAALRSDDPAAGEGEEAGEGDLRVVEFYLSRGRGLRLHEIACLALARALDLAPYLLRHLLNYFKFAPPPFQRFLSGGVPSQIPIKVGLHLLLDATQVSYRFLELEPRVFCEQWDWSCFLDLVYSTADYSLVDDSLYSVVLDLRWCAIQILMVVLKASDEAVESFGLGADEAFTCFLRSFAQILPWRKPLCIFKPKKLIVKLMQMDSLVWLILCQIGMSFLWDGSMAVESYEVALMAVNQKWPILLYGPVGAGKTALINKLAQIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWSPGSLTQAVEVAENFRLFATVTTSKNDVSHALEGRLTFSALWRKVMAVDIFASSLSSPDKRLYVAREIAKLFCVPHGAETISPSDKPIIQALIQKGPFADIRRALEVLERVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPADARSICFPLYIEFKDLFCRSFSGKDNEAILRHCDVYVMEKKWKKLLRALEKCVEKAQKLIDGSSRSNSGSKRKRPLPAQVISDWDSFSSRLNAACSQIGSEIGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGESGTLCLAERGDVDYIERHPCFRMFACMNPATDAGKRELPYTFRSRFTEFFVDDLIDDDDLRLFVSKYLDGLHAAKGVADSIVRFYKAAKKESEEKLQDGANQKPQFSLRSLSRSLGYIKNAERKFGFKKALYDGFCMFFLTMLDAPSAKIINNLIVSLLLDGRVPPRISFADYFVEKPKLLNGSESDDFLRSYVLTNSVTEHIVNLARAVYIKKYPVLLQGPTSSGKTSLVRFLAAQTGHEFVRINNHEHTDLQEYLGTYVTDSHGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPVLYGGRKILSRAFRNRFIEVHVDEIPEDELITILEQRCSIACSYATRMVQVMKDLQTHRQNSRVFAGKHGFITPRDLFRWANRYRLFEGKSYEDLAKDGYLLLAERLRDDTEKAVVQEVLERHLHVKLSISNLYNMEVSCDNNLSRESIRLRVQETFGNITWTESMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFCPIRDRSKIAMEFKHLITKMKQLKIFIHVAGDMSFPADISGAVSVVNHIDEILDRYIKEKELFPQVPPHDFAAMEQIKLYLMQLHKRWQAIFLWQDGSLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSVLEKVVAHPNFFILATMNPGGDYGKKELSPALRNRFTEVWVPAVTNVDELKSIAIERFTNAEVSCFGDCIVNFWMWFNQLHTGRMLTIRDLLSWISFINVTERNLGPQQALIHGLFLVLLDGLTLGMNVSKTEATELRRTCLSFLLEELQKVEGKPLNSDLHDLKNYGWGDHTREIDIGQPDHFGIMPFYIDTGHFTRKQQGFQFMAPTTSKNVFRVLRGMQLPKPLLLEGSPGVGKTSLIVALAGFSGHNVVRINLSEQTDMMDLLGSDLPVEGENGMEFAWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGQTYKCPPSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSESDYSFICKSQYPSIKDDILKKLISFNNRLYMDTMIHKKYGQEGSPWEFNLRDIIRSCEMIVGACETARGYPETSMVDCFLNTVYLQRMRTVADREEVVKLFEEVFQTNVWWLEVHLLEEIASTLAKFRIANSTFSLVPSIALKLPCTVSIKLSGNTLNEVNLSSATDVSELLGCFEQYNFFRHYKVVISQVERYIDEYFSLSQYIQWKSLVADRKTLFVKWFEFVIAKKFSSICISTLVEMSKNSSLPSLSLVRDIVEDMKCDMEMFDLPVSFNKDDLSKTLRSIYYLQQSEAVHQPVKFEWVAGDLIRAIECGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVILKAHPKFRMFLTINAKHGEVSRAMRNRGVEIFLMNQNLSINGCSNAAEDSERRDITRFLISCGIPRMELVSSMSEAHLYAKAAGLRLGINITLLEITRWVQLFKQLLIKGNQFLWSLHLSWEHTYLPSLGEVCSNIVEEGKLRFLFPTVSDKQSVKPCVTGLFNSELADQMLFIAANWVMEQSTENDLKLYAIWFNWCNHLLQPYCNFFENYGNILKQESDHPIWHSILECYREIVAYHKIDVVAHPIPLLSMRLLDMTCSVTLKDCHNRLRNSRNGLSLLRLTLQQWQLETKFPDHGIMEVTMLPALKSLRCLEGEVLKMVVKSRKLLQIYSRLIDYHRSIWKMILLSQFEGLPVVWNLLKKEILKLQPKFPVEVGIFLMESVNLNSLQDFNLHYDKPTLWVYGGHPIVPSSGRIFYKIQDILAFSAAVWPRKNLLKGHFDDNQHFVDAMLSANQDLRNLAMEGLSMASLAATITEEEDSTVLAQLDEVHKRLVEKVDWEKKNLEFLSKASTTEIIANIEYMLKYAMDFQLGSSSRSPFEFTQHQIIWWIHHAWATVDNAYKLALECSAVHVQFSVTHDETCDLAHLTKMDAIDTILQEDLRVMDYQKNRLVLRISSRNLWEGVSFAGNFVLSLHSAADSLFKQIIVVHKKHFKQEEYSKLESILFQQSEHRVKKEDLDTACVLLSRSSHGVLASLAGSHELIGSLLLELHSPFSQGYLMHLGAAWVYIGELRFRLLLSSYNPDPAFESAFMHSHILEKISLLELEGKVRHQCEEFAGSSSEEDGYDQKLLQELKTKEKSIRAKVVFRPPQSRHKSLIAACCEFEERLSECKSLLTSLNGDGVGQLEIDRVCNWQITSRNFIKRLTEEYVEYVDLIQPIQVAVYEMKLGLAIALSGYLEREYLKKIKEDDIERALGAIFAIMQFPSGSATGNVSVDMPDLTKYVNDDQLEIRYNEVSDLAMLKKLAAVSSQLHVGEVADKLRSHSQMLVSIHHISLVRTTYRVCYSLILDKTSYLSLKETFDQFTSMWVDMKSSLKARENDDSQYYKFRSRIIDIHDIFKGDVPSLSDMDAEGNAVADTEEQLEQDFLKIMERTDEENSAVEDSWDLIPESILKCIVTIHNQLFGSPDLVEKPSKCQISDDLKIQSFMDSYQLGARILKDLPELTSSTFDEKLMPEHLLRVCLEYRQTCAPSLECNTYNAYKDPNPSILFKMVEPLIALQEKVRYFLDEWPGHPGLLKILDIITSLLAIPLSTPLSKVLLGLQLLVGKAQTLQENDSKFFLKDHLPQLFIIVSSWQRLELECWPILLQEVLEKYESNALKLWFPLRALLTQSCDISKNEEISIIKSVEEFVQTSSIGEFKRRLHLLLAFHGELSDGAGVGAYSSNSLKKIQNILYNMFGYYMQFLPLVLGEIEGGKGSIEKDLKDQVKLYRWEQDPHSASIENFKRTRQKVFKLLQRFNDILQKPVMVLLNQESVARKVPCWLDQQMPESEFPVDLGKLSGRFLWYTKWANQAKLSFQALQHTNATDIGVHNEEFARVVFHNTNCQQAESELEDRLNLFWAAIERICNAADFGSILKSGKKNQKKTALSNLFKTLEECGLSKHRPMGREGNELAAPSPFLEQSYITTHLLQQVISKKMPEDASVAHATLLSTNNWKNANQQYFKCLAMMQQLRQISLKFNKDLGLEEVNRATSFMDHLLSIMSEQRHFAYNMFEQLNEFRHAILLLGSGGDSDYLSSCQSVLLNSMWQQKQFFDNILATTMDTNLLLRSFIDCHHDPCDNIQVEVSAMSTLFEKFIARFSESKDLLDKYLLGSNYMIAGSHKNMPLATREMEQLVAANCQLISMFREDIQVLCHQDVLMRSVKKVLLSRFEELLEKGNSPMAILSRKVDEDKHMLSSDVLHNLEASYAEAFKEIFSLAVGVVGKLTDQGISTDGTHDSLEGNITLWKDILQTYVMNLQMGHLFDASKKLTVSVRRLVDINPELRSSIGMQLMHLHALLGLVLSAAEGILSELLEAHRTTSEMTHALGDLLIHLFAEGFGSTDDTTEDASDGPQQDATGTGMGEGDGKESASSKIDDISQLEGTANEMDALRKPDQAPKNDDDAVEMQDDFNAELSDVSEDPEGNDSGDEDDDTNLENQMGDTGDASEMVGKKSWDKDEDDDPNRSTEKYESGSSAKETQKNDRELRAKDEGALEEDPMETDCDEQGKNNNLEDDPSTCEDVDQDTNDLMDKADAYDDRTAPELPEPKDDSEDVDMDGTEHIDEMDADGEELSPEEEKLAADGSLDASDNVEDVDAAQHGDNEIDGEQEHAEDGQMETNNMEKELHNIESLEHPSQGIQPNNAEMDYNRESESNLANSTDMNSAAAPVNFSSNEVPSLEISMPNSGDGSRLLSNSKPELQTDTPPSQIKQTNPFRSIGDAMADWKERAKVSADTQDHQPEAEHHIDDESATEFRYVPDSEQSTSQALGNATADQINDDLQVKQSSMEDENRVQKEEHNTDRAPGDDHNLEVPHLQASQSRTNKSENANNLEHREIQTDTYVQDSVQGETDETFGDFVSFKQPPADEKTVMRDDLANDRELSTPMEINITDVDMKGPIVDWKSVELTTMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVKVLHDFDQVFNGEAGTNQDNKLEDEPVADLLMHLNTMLDTAVARSRTPSGQNPLQQLVLIISDGKFHEKENLKRCVRNVLNRKRMIAYVLLDGHEESIMDSLEVSYQGTKLTMGKYMDSFPFPYYVMLKNIEALPRTLADLLRQVQIILCLSSCYLRTNGWFTHFCSLVPLCLTCGLVFSLLQWFELMQSANE >ORUFI10G12790.1 pep chromosome:OR_W1943:10:14627716:14634074:1 gene:ORUFI10G12790 transcript:ORUFI10G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIAPGVGANLLGQHSAERNQDATTYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKILNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFVSYDSFESSDQAIEAMNNQHLCNRPITVSYAYKKDTKGERHGTPAERLLAANNPGSQKNRPHTMFASGPPTQGLANGAPVPRPFSNGAVPPQIQHVRPPPPPMQQFPPMQMNEYTATTPHATSNALQACSETTSTKHDATPSSWHGKASAASFQYASSTYVEATPTPTTSWWYATTSYVHATAATTTFWIRRQNEGVSGEQVEKKKAMHGE >ORUFI10G12790.2 pep chromosome:OR_W1943:10:14627716:14634074:1 gene:ORUFI10G12790 transcript:ORUFI10G12790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIAPGVGANLLGQHSAERNQDATTYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKILNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPLVCMRRVANGFVFCVQDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFVSYDSFESSDQAIEAMNNQHLCNRPITVSYAYKKDTKGERHGTPAERLLAANNPGSQKNRPHTMFASGPPTQGLANGAPVPRPFSNGAVPPQIQHVRPPPPPMQQFPPMQMNGQPVWPPQNTQLPPHMPPQMHYRPAVRPPPPNMMPPPPLGMDSATKRRGQWRASGEEEGNAW >ORUFI10G12800.1 pep chromosome:OR_W1943:10:14632959:14637964:-1 gene:ORUFI10G12800 transcript:ORUFI10G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPVESTRITLLLVAATLLLLPPPLAASLNSSLPDPAAVVADFHSKVATSRRRMQEAAGGGGGGGGGCLTGNPIDDCWRCAGTDWRQDRQRLADCGIGFGRNAMGGKGGPVYVVTDPSDGDPVNPAPGTLRYGAIQEGPLWIVFAGDMTIRLNEELLVNSYKTIDGRGANVHVGAGGACITLQYVSNVIIHNIHVHDCVPAGNANVRASPTHYGWRTRSDGDGISLYSARDVWVDHCALSRCADGLIDAIMGSTAITVSNSYFSHHNEVMLLGHSDGYLPDSAMQVTIAFNHFGIQLVQRMPRCRRGYFHIVNNDYTAWEMYAIGGSASPTINSQGNRYIAPADPNAKEVTKRVDTEEGQWAGWNWRTEGDMMVNGAFFVPSGEGLEAIYDKASSTDPKSSALVDQLTAGAGVLGGPRDNGEAAAYAGVNYAGVGTGGGGGGGAGAGGMGYGYLGMVYGSGGNWSCRADLTLQLTSLFLALFALICLHPL >ORUFI10G12810.1 pep chromosome:OR_W1943:10:14644043:14647572:1 gene:ORUFI10G12810 transcript:ORUFI10G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVPVPQQVSSLALPVVVAEAEAAAAAAAAAPGRRGAAGGVSSIPKGAGAAARRKTLCDITNLRPRPAAAVEQDGATCAADAGGVAQAQLVKARSPILCLPENSELVRLLEERDKIIELSGTELQKLRLANWQLAQANSQMLAELNLGRDREAKKAMKHNRNLPLPEKAPPASETAQQQQGSDRAAQIKDGDVVNPEPAAASDASHAASTKKLSNASRKRMQRSRSLGPAATTKLAAAPKEKENVQRRKSMRTPVPQPSEHREDLFEIEDLQLAIGGGGGGGDSKAGTSDPPEQAAVAVAAAQFPRRSSLGRPIRRATERVASYKEMPVNIKLRRS >ORUFI10G12820.1 pep chromosome:OR_W1943:10:14646336:14648746:-1 gene:ORUFI10G12820 transcript:ORUFI10G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAAAAARALPKAVTFVTGNAKKLEEVRAILGSSIPFQSLKLDLPELQGEPEDISKEKARMAASQVNGPVLVEDTCLCFNALKGLPGLNNLLLAYEDKSAFAMCIFSLALGPGEEPMTFVGKTAGKIVPARGPADFGWDPVFQPDGFDQTYAEMPKSVKNQISHRGKALALVKEHFAAANYKVQNDGSA >ORUFI10G12830.1 pep chromosome:OR_W1943:10:14651960:14657927:1 gene:ORUFI10G12830 transcript:ORUFI10G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAINRQRVLLAHLEPAASPAAAAPAITASACAAGDSAAYHRGACFADDVVIVAAYRTAICKSKRGGFKDTPAEDLLVPVFKALIDKTKLNPSEVGDIVVGTVLAPGSQRAIECRMAAFYAGFPDTVPLMTVNRQCSSGLQAVANVASNIKAGLYDIGIAAGLESMTVNQVRLDGQVNPKVELFSQARDCLLPMGLTSENVAKRFGITRMEQDQAAVESHRKAAAAAASGKFKEEIVPVHTKIVDPKTGEEKEIVVSADDGIRPGTSLAVLSKLKPAFSKDGTTTAGNASQVSDGAGAVLLMRRDIAMQKGLPIVGVFRSFAAVGVDPAVMGVGPAVAIPAAVKAAGLQIDDVDLFEINEAFASQYVYCCKKLGLDPAKVNVNGGAMALGHPLGATGARSVSTLLNEMKRRGKDCRFGVISMCIGSGMGAAAVFERGDAVDELTNARCIPTHNRLSKDAIMWVICCNGTCGGACGGDGAEAQEAR >ORUFI10G12830.2 pep chromosome:OR_W1943:10:14651960:14656619:1 gene:ORUFI10G12830 transcript:ORUFI10G12830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAINRQRVLLAHLEPAASPAAAAPAITASACAAGDSAAYHRGACFADDVVIVAAYRTAICKSKRGGFKDTPAEDLLVPVFKALIDKTKLNPSEVGDIVVGTVLAPGSQRAIECRMAAFYAGFPDTVPLMTVNRQCSSGLQAVANVASNIKAGLYDIGIAAGLESMTVNQVRLDGQVNPKVELFSQARDCLLPMGLTSENVAKRFGITRMEQDQAAVESHRKAAAAAASGKFKEEIVPVHTKIVDPKTGEEKEIVVSADDGIRPGTSLAVLSKLKPAFSKDGTTTAGNASQVSDGAGAVLLMRRDIAMQKGLPIVGVFRSFAAVGVDPAVMGVGPAVAIPAAVKAAGLQIDDVDLFEINEAFASQYVYCCKKLGLDPAKVNVNGGAMALGHPLGATGARSVSTLLNEMKRRGKDCRFGVISMCIGSGMGAAAVFERGDAVDELTNARCIPTHNRLSKDAM >ORUFI10G12840.1 pep chromosome:OR_W1943:10:14660366:14674018:1 gene:ORUFI10G12840 transcript:ORUFI10G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENRKLSDDMSKKMEPIHSNGEAGEDKTIIIEPESDANKLEVETDARHGICEAHKVHEYGTMENDLHEEASTTDDDSENDSYEYLLRESDNEQTSESDAGEGDNEAPLTDEEVETLITEFLDAESKAAQAQESLEKESLEKIESEVRLELSESLQGNELESAVSTEMKQYKKEWESELDDLETHIAVLLEQLDAAGVELPSLYKSIESQVPNVCETEAWKNRAHWAGYQVPEEANKSIRKADEYLQSCRPVRRKHGRLLEEGASGFLAGKIPVGDDGSAQCHEKSWNAFNELTKSKEYAESSFGSSNWASVYLASTPQEAAALGLQFPGVEEIAEVEGAVSDIKGVDEIELSEVQRRKYRKVPEEDDAKMTKRLQRHLKERRTRHLHKENIGLASSSNGRCELPPKKLKTYENGVSAELAKRTREDDVEFDNKRSKTVIIESDDDMQTDSKPDSAPSENADEIIDLDIFPSQSPKLGDKVRPKPFKCTICTEMLNVPEVHRHPVLDVIICGSCRFLVIEKNRLEDPVSGGYCTWCVKSEQLQSCSSCKLLFCRNCLSKNFGEEGLLEARVAGWQCCCCLPSQLEHLISDCDKALGGVESSDPENDFAELSVIESNGPFSKHKMKKRIRRIMDDEELGEETKLKIAMEKARQEHLKSMQEQSASKLKSNNIGISLEAPSEVSEYVGDGHIVNLAREEDEAPVRIPSSISAKLKPHQVSGIRFMWENVIQSVKKVKSGDKGFGCILAHNMGLGKTFQVITFLYTVMRCIQLGLRTALIVTPVNVLHNWKKEFIKWCPAELKPLRVYMLEDVPRANIQYLLKKWRIKGGVLLIGYSSFRNLSLGRSARDKTVANEITNALQCGPDILVCDEAHIIKNRRADTTQALKQMVDFVREGYLGSSHEFRNRFQNPIENGQHTNSTSDDVKIMNQRSHILYEQLKGFVQRMDMNVVKNDLPEKKVFVVTVKLSQLQRKLYRRFLDVNGFSSSAASEKSFQRSGFFAKYQTLALIWNHPGLLQMAKQKGNLRQEDVESFLMDESSSDDNIENYLPNGEKLRSRNGQLSKKSSDVVNEESNWWENLLDENAYKEADYSGKMVLLLDILSSCSELGDKALVFSQSLSTLDLVEFYLSKLQVNGKEGKYWKQGKDWYRIDGSTPSSERQNLVERFNDPENIRVKCTLISTRAGSLGINLHAANRVILLDGSWNPTHDLQAIYRTKPVYAYRLMAHATMEEKIYKRQVTKEGLAARVVDRQQVSRTISKEEMLHLFEFGDEELLEQSGSTMNGHSKVGTEKPPTPNSSETTEHLPLDRLMVNLLHDHSRWIASYHEHEALLQENEEERLTKEEQDMAWLSYNKLLEVAPRKATHDAERKPSTVPTESSLIQPPKATSRSRQPQQPKITSNNQKKCNNLSHLLTLRSQGTKPGCSTSCKECGQDISWETLNRDGRSR >ORUFI10G12840.2 pep chromosome:OR_W1943:10:14660080:14674018:1 gene:ORUFI10G12840 transcript:ORUFI10G12840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENRKLSDDMSKKMEPIHSNGEAGEDKTIIIEPESDANKLEVETDARHGICEAHKVHEYGTMENDLHEEASTTDDDSENDSYEYLLRESDNEQTSESDAGEGDNEAPLTDEEVETLITEFLDAESKAAQAQESLEKESLEKIESEVRLELSESLQGNELESAVSTEMKQYKKEWESELDDLETHIAVLLEQLDAAGVELPSLYKSIESQVPNVCETEAWKNRAHWAGYQVPEEANKSIRKADEYLQSCRPVRRKHGRLLEEGASGFLAGKIPVGDDGSAQCHEKSWNAFNELTKSKEYAESSFGSSNWASVYLASTPQEAAALGLQFPGVEEIAEVEGAVSDIKGVDEIELSEVQRRKYRKVPEEDDAKMTKRLQRHLKERRTRHLHKENIGLASSSNGRCELPPKKLKTYENGVSAELAKRTREDDVEFDNKRSKTVIIESDDDMQTDSKPDSAPSENADEIIDLDIFPSQSPKLGDKVRPKPFKCTICTEMLNVPEVHRHPVLDVIICGSCRFLVIEKNRLEDPVSGGYCTWCVKSEQLQSCSSCKLLFCRNCLSKNFGEEGLLEARVAGWQCCCCLPSQLEHLISDCDKALGGVESSDPENDFAELSVIESNGPFSKHKMKKRIRRIMDDEELGEETKLKIAMEKARQEHLKSMQEQSASKLKSNNIGISLEAPSEVSEYVGDGHIVNLAREEDEAPVRIPSSISAKLKPHQVSGIRFMWENVIQSVKKVKSGDKGFGCILAHNMGLGKTFQVITFLYTVMRCIQLGLRTALIVTPVNVLHNWKKEFIKWCPAELKPLRVYMLEDVPRANIQYLLKKWRIKGGVLLIGYSSFRNLSLGRSARDKTVANEITNALQCGPDILVCDEAHIIKNRRADTTQALKQMVDFVREGYLGSSHEFRNRFQNPIENGQHTNSTSDDVKIMNQRSHILYEQLKGFVQRMDMNVVKNDLPEKKVFVVTVKLSQLQRKLYRRFLDVNGFSSSAASEKSFQRSGFFAKYQTLALIWNHPGLLQMAKQKGNLRQEDVESFLMDESSSDDNIENYLPNGEKLRSRNGQLSKKSSDVVNEESNWWENLLDENAYKEADYSGKMVLLLDILSSCSELGDKALVFSQSLSTLDLVEFYLSKLQVNGKEGKYWKQGKDWYRIDGSTPSSERQNLVERFNDPENIRVKCTLISTRAGSLGINLHAANRVILLDGSWNPTHDLQAIYRTKPVYAYRLMAHATMEEKIYKRQVTKEGLAARVVDRQQVSRTISKEEMLHLFEFGDEELLEQSGSTMNGHSKVGTEKPPTPNSSETTEHLPLDRLMVNLLHDHSRWIASYHEHEALLQENEEERLTKEEQDMAWLSYNKLLEVAPRKATHDAERKPSTVPTESSLIQPPKATSRSRQPQQPKITSNNQKKCNNLSHLLTLRSQGTKPGCSTSCKECGQDISWETLNRDGRSR >ORUFI10G12850.1 pep chromosome:OR_W1943:10:14684929:14686557:-1 gene:ORUFI10G12850 transcript:ORUFI10G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEREKGGKKGERAPRSEGRFCNAAVKWARTSAAVLLLQPPLLGILLPCAPCTVHCLHRGLAKCQEFRDCNSIYRKRLGTARGISFQ >ORUFI10G12850.2 pep chromosome:OR_W1943:10:14684929:14688026:-1 gene:ORUFI10G12850 transcript:ORUFI10G12850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAASARALPLEPELSPPRKDQSGERAPRSEGRFCNAAVKWARTSAAVLLLQPPLLGILLPCAPCTVHCLHRGLAKCQEFRDCNSIYRKRLGTARGISFQ >ORUFI10G12860.1 pep chromosome:OR_W1943:10:14685188:14687288:1 gene:ORUFI10G12860 transcript:ORUFI10G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNGGWSSSTAAEVVLKGTYWTDQWSLLLFMEDGVDMYCGLLEARVKGVFFFFG >ORUFI10G12870.1 pep chromosome:OR_W1943:10:14688025:14688400:1 gene:ORUFI10G12870 transcript:ORUFI10G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERARRRPRARAEAVAVAAGEAEAAAAAASRAALRVRSYGCIRVFLALSLLLLAVEFAAYLQGWHLEEVALLLAVDGLFAASYVGWMRLRLNYLAPPLQFLTNACVFLFMVQSIDHEG >ORUFI10G12880.1 pep chromosome:OR_W1943:10:14692473:14695548:1 gene:ORUFI10G12880 transcript:ORUFI10G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKAIAVDGDLFLFRIPIDRVGTMSLTHNDYFVYAARPPPRRPTLDLLPNPSHDTLGDREIALLSCSGGDGGGDGEPCYVVAALRTIPGSTTIHRLHLYRSRPGGEQGRWTSRMVSVDEPPPLMRDVVFPIPETSHRQVHHVTSKAIRLGSGGDGTVGWVDIWRGILLCDVLHESPKLRDVPLPPPAKGNSHRAFQKHHRPVLRRHRRQPRQEVHQGGPRRDATTATSYTSNGHPIEVSFRAALPPVLSDFYVYCPALQLQEPADYPSMVPKAIAVDGDLFLFRIPIDRVGTMSLTHNDYFVYMARSPPHRPRLDLLPNPSHDTLGDKEIAILSCADGGEQYVVTALRTIPGSKTIHRLHLYRSKPNGEQGRWTSQMVSVEGPLMRDLVCPIPETVHRQVHHVTSKVIRLGTGANGMVGWVDIWRGILLCDVLQESPKLHDMPLPLPAKSNSHRAFLNTTDQYCGDVAVSRDKSFIKYVEMEIVTPKIVSATPPGDCDPDPFLEWLRRRECKDLKRTLVHGRWKATTWRMPIPVTSWDDWCRDCAVESAELSTDNPKAYELLCAVSKESLKEDDDDKAMEAATTTTTRLPLGRLGMAYPAMSIDDDVIYVLTKPVMGNGKAAFLTAVDVRRKKVLAVAKLDSAVFMRYYLAVGISKHFFSAPGTSHLLLPVAIYVF >ORUFI10G12890.1 pep chromosome:OR_W1943:10:14698750:14706206:1 gene:ORUFI10G12890 transcript:ORUFI10G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVDGASSIHSGEHGMQRRRRRHRWMHRSILRQAPVKAVDDAGLKVSQAEGCLYNGVWSSGV >ORUFI10G12890.2 pep chromosome:OR_W1943:10:14698750:14706206:1 gene:ORUFI10G12890 transcript:ORUFI10G12890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVDGASSIHSGEHGMQRRRRRHRWMHRSILRQAPVKAVDDAGLKVSQAEGCLYNGVWSSGTCPFYSSTATFSLNVAPSLTSGLHSTGV >ORUFI10G12900.1 pep chromosome:OR_W1943:10:14706780:14709860:-1 gene:ORUFI10G12900 transcript:ORUFI10G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMETRRYTSLSHRKARSMECFPSTQKKRYSVVAHACQTPALSGILNKQDNEGNTALHLAVEAGDWWIFACLFVNKQVDLNLPNSSGHTPLELSINTIPTGLYCLLNSRILIQETLIAANATCGISRMDAAGGYIADDHANGGTPTLARAKQFQGFVMANTLAFFCSSLAVLSLVFAGTPTVELPMRYTHYNISIWLSLNAVGSLAIAFAIAVYIMITPVAAKTSPAVIVVLKVCSSATAKT >ORUFI10G12910.1 pep chromosome:OR_W1943:10:14712928:14718751:1 gene:ORUFI10G12910 transcript:ORUFI10G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSDYYLVRKGEMVAVYKSLNDCQAQICSSNTVILPVDKVSGPAASAYKGNSWSREKEEYLSSRGLSNATYVINAAELREDLFGTLIPCTFQEITVSSSNQSALNNTGVLNNTRYQPGAQSVDLNYDAVGSGQASAEHYSQRINQGYSVRGQAFNRLILHMVCLLHFDGASKGNPGKAGAGAVLMTEDGRVISRLREGLGIVTNNVAEYRGLILGLRYAIRHGFKKIIVYGDSQLVCYQVKGTWQTKNQNMMELCKEVRKLKENFVSFEINHIRREWNAEADRQANIAITLSSGVVSEERGDG >ORUFI10G12910.2 pep chromosome:OR_W1943:10:14712889:14718748:1 gene:ORUFI10G12910 transcript:ORUFI10G12910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSDYYLVRKGEMVAVYKSLNDCQAQICSSNTVILPVDKVSGPAASAYKGNSWSREKEEYLSSRGLSNATYVINAAELREDLFGTLIPCTFQEITVSSSNQSALNNTGVLNNTRYQPGAQSVDLNYDAVGSGQASAEHYSQRINQGYSVRGQAFNRLILHMVCLLHFDGASKGNPGKAGAGAVLMTEDGRVISRLREGLGIVTNNVAEYRGLILGLRYAIRHGFKKIIVYGDSQLVCYQVKGTWQTKNQNMMELCKEVRKLKENFVSFEINHIRREWNAEADRQANIAITLSSGVVSEERGDG >ORUFI10G12920.1 pep chromosome:OR_W1943:10:14720302:14722060:-1 gene:ORUFI10G12920 transcript:ORUFI10G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDGLHDGGGGDLKALLDDAGVDDVAARGGGGGGGEVEEEVERPSNEDAFPAVEKMATAAAAKGLQCRHCGTTETPQWRHGPEGHRTLCNACSMRYRSGKLVPEYRPLRSPTFSPELHSNRHHRVLQLRRRPGPQSAAPSPAAVARCGGEAKEEEEELAWVSNKDAFATVETTMAPSPRVVETPPEHDHRPANIPTTSPEPHSDRPRRVVQLPRRLQEPSASANLAHAVAATARAGRECAHCGTTKTPAWRLGPDSRRKLCNACGNKERKRSVVAATVVVGGGLRDDAAAIADEHLDGGDLQALLDDVTLDDVAARGGGDAGEAKEEEEELEWLSNKDAFPTVETMSPAPPENRTKAPVPPAGWQCRHCGSTETPLWRERDGPAEAEHVRKEETPPNITPATKHRRIVDLLRCSTALNTAATAVERRCTHCGTTKTPAWLSGPDSRGKLCNACGKQYRKGRLVPEYRPLNCPTFSPELHSNAQAHRRRRESPVAIAIAGEK >ORUFI10G12930.1 pep chromosome:OR_W1943:10:14724942:14726462:-1 gene:ORUFI10G12930 transcript:ORUFI10G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVVSDKSGGGASLLRPSRVLFLAVLSTAFWSVIFYAHHSAVQGNATMASVLLRPSSFSRPLLTSFRLIGGGLDRCAGRRVYMYELPPRFNAELVRDCRLYSRSMDVCKLVVNDGFGPALPGGGALPERDVYDTDQYMLALIYHARMRRYECLTGDAAAADAVFVPFYAGFDAAMNLMKSDLAARDALPRQLAEWLVRRPEWRAMGGRDHFMVAARPVWDFYRGGDDGWGNALLTYPAIRNTTVLTVEANPWRGIDFGVPFPSHFHPTSDADVLRWQDRMRRRGRRWLWAFAGAPRPGSTKTVRAQIIEQCTASPSCTHFGSSPGHYNSPGRIMELLESAAFCVQPRGDSYTRKSTFDSMLAGCIPVFLHPASAYTQYTWHLPRDYRSYSVFVPHTDVVAGGRNASIEAALRRIPAATVARMREEVIRLIPRITYRDPAATLVTFRDAFDVAVDAVLDRVARRRRAAAEGREYVDVFDGHDSWKHNLLDDGQTQIGPHEFDPYL >ORUFI10G12940.1 pep chromosome:OR_W1943:10:14726992:14727465:-1 gene:ORUFI10G12940 transcript:ORUFI10G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSRWGTQCWFLSDSVAFIEGGSSVLGSDNGVCDGDDKLAATQTRCFTASSSTPMNLLVALPSREALVRPRNPSWLPITLFTVAPGEKEVEVEAEAEVEAAPASGVAATEGAGTTGAWIQQPAAGHPHLGREEKEEEKRVADMWDPHNFLFLFC >ORUFI10G12950.1 pep chromosome:OR_W1943:10:14727029:14727598:1 gene:ORUFI10G12950 transcript:ORUFI10G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATLFSSSFSSLPRWGWPAAGCWIHAPVVPAPSVAATPEAGAASTSASASTSTSFSPGATVKRVIGSHDGFLGLTNASLDGNATNRFMGVELDAVKQRVCVAASLSSPSQTPLSDPRTDDPPSMNATESLKNQHCVPHLELGELIRPCRVPGAGLRRQQHPIHYCRHHCGSSTSAASSAGDVLRREAS >ORUFI10G12960.1 pep chromosome:OR_W1943:10:14729664:14731117:-1 gene:ORUFI10G12960 transcript:ORUFI10G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPTAAATSDSDGGGGGGGGLIRPSRICYMAILSAVFWFLVFSLLSGMPGGGDLSSVLFRPSSLSLPLLNSFTFDQNPSPEQQPAPAEDRCAGRYIYMYDMPARFNEELLRDCRALRPWTAEGMCRMKRYGCLTGDPAAAAAVFVPFYGSCDLGRHIFHRNASVKDALSEDLVGWLTRRPEWRAMGGRDHFFVAGRTTWDFRRERDEGWEWGSKLLNYPAVQNMTAILVEASPWSRNNLAVPYPTYFHPEAAADVAAWQRRVRAAARPWLFSFAGGPRKGNGTIRADIIRQCGASSRCNLFHCHGAAASWCNAPGAVMRVFESSRFCLEPRGDTMTRRSTFDAILAGCIPVFFHPGSAYTQYTLHLPPERGGWSVLIPHADVTGRNVSIEETLAAISPEKVRSMREEVIRLIPTVVYADTRSSRVDFRDAFDVAVDAVVGRVARRRRGEPDARR >ORUFI10G12970.1 pep chromosome:OR_W1943:10:14745249:14746450:1 gene:ORUFI10G12970 transcript:ORUFI10G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHNAAEVPVPVSYGGERDEKTGSKVDKMGGGGGAAAAAPATVTMLLRHRYDSGLGHGAAAVVRIKPVHRKVKPADRGGARPSFSDSGSAKPVTVDHKSATTDSSTGTESDGGGEPSSASSSLPAAAHPFSRALAAAGDKCDRCGGRYVYVQELPPRFNTDMVKNCVALFPWKDMCKFTANGGFGLPMSGGGGMFQETGWYNSDKYTVDIIFHERMRRYECLTGDPSLAAAVYVPFFAGLEVWRHLWGFNATARDAMALEVVDIITSRPEWRAMGGRDHFFTAGLITWDFRRLADGDAGWGSKLFSLPAIKNMTALVVEASPWKYPLLREGQKVEDPHEWDSLFAFA >ORUFI10G12980.1 pep chromosome:OR_W1943:10:14748540:14750739:-1 gene:ORUFI10G12980 transcript:ORUFI10G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHNTAEVPVPVSYGGKVEKTMGARRRRRLLLGLERALPERLRYDSGVIGHGAAAGGVVRIEPVHRGLYHTRKASPVDRGGGGGGTSFSGHSPSPPDAGGSAKPESPHDSGVKAPSELTTVEHTKQPSEPASTGTESDDGGKPSSASSSSLPAAAHPFARALAAAGDKGDRCGGRYVYVQELPPRFNTDMVKNCATLFPWTDMCAFTANGGFGPQMSGGDGGVFQETGWYNSDQYTVDIIFHDRIRRYECLTDDPSLAAAVYVPFFAGLEVARHLWGFNVTTRDAMALEVVDIITSRSEWRAMGGRDHFFTAGRTTWDFRRLNDGDAGWGSKLFSLPAIKNMTALVVEASPLPAIKNMTALVVEASPWHLNDAAIPFPTAFHPASDEAVFVWQDKVRRLERPWLFSFAGAARPGSAKSIRSELIAQCRASSVCSLMECADGPSNKCGSPASYMRLFQSSTFCLQPQGDSYTRKSAFDAMLAGCIPVFFHPGTAYVQYTWHLPRNHADYSVYISEDDVRRNASIEERLRRIAPAAVERMRETVISLIPTVVYAQPSSRLDTMKDAFDVAVDAIVDKVTRLRRDIVDGRGEEEKLEMYSWKYPLLREGQKVEDPHDWKYPLLREGQKVEDPHEWDPLFAFG >ORUFI10G12990.1 pep chromosome:OR_W1943:10:14752183:14758652:-1 gene:ORUFI10G12990 transcript:ORUFI10G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSIQYSISGGLSKARERKRPRQEAAVNNRRKLQRQQISCRRDRSFSQERFRSYRFPRARAHRILATFLFNFVPVLLELAQAYYVLRVFGNDEVWIITVNLRAKLNACSPHFESESLNWPGLMEFDDVNRKVPTYSAQDSVTASSRVLGTSNDFLERLARIIPTPSELARSCYADAKATLSSDPLQLVLCCVPWSLCLESDKEDSIMRTEIARPTVVQMLDLFNKNKSLMPDLPGPWAPHWPVSAASDIWVTITGDSSHYILFYGRAVYVEEDDTIYFSRGCSLVAYKFLREEMQLKPPVKIGEKLFPYGDDGSSFVARLNDRILCVVWVSMRLACGCTNRHMLVATVCVTGESHCSQDSVPLGCKVLHYTCRKIQMVGRDECSEYREEKSQHLEEHLVLEEGVDTVEPSALLPCCSYSATISKVDIKIPDSTLPHQIRSK >ORUFI10G12990.2 pep chromosome:OR_W1943:10:14752183:14758652:-1 gene:ORUFI10G12990 transcript:ORUFI10G12990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSIQYSISGGLSKARERKRPRQEAAVNNRRKLQRQQISCRRDRSFSQERFRSYRKVPTYSAQDSVTASSRVLGTSNDFLERLARIIPTPSELARSCYADAKATLSSDPLQLVLCCVPWSLCLESDKEDSIMRTEIARPTVVQMLDLFNKNKSLMPDLPGPWAPHWPVSAASDIWVTITGDSSHYILFYGRAVYVEEDDTIYFSRGCSLVAYKFLREEMQLKPPVKIGEKLFPYGDDGSSFVARLNDRILCVVWVSMRLACGCTNRHMLVATVCVTGESHCSQDSVPLGCKVLHYTCRKIQMVGRDECSEYREEKSQHLEEHLVLEEGVDTVEPSALLPCCSYSATISKVDIKIPDSTLPHQIRSK >ORUFI10G12990.3 pep chromosome:OR_W1943:10:14752183:14758652:-1 gene:ORUFI10G12990 transcript:ORUFI10G12990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSIQYSISGGLSKARERKRPRQEAAVNNRRKLQRQQISCRRDRSFSQERFRSYRFPRARAHRILATFLFNFVPVLLELAQAYYVLRVFGNDEVWIITVNFVTASSRVLGTSNDFLERLARIIPTPSELARSCYADAKATLSSDPLQLVLCCVPWSLCLESDKEDSIMRTEIARPTVVQMLDLFNKNKSLMPDLPGPWAPHWPVSAASDIWVTITGDSSHYILFYGRAVYVEEDDTIYFSRGCSLVAYKFLREEMQLKPPVKIGEKLFPYGDDGSSFVARLNDRILCVVWVSMRLACGCTNRHMLVATVCVTGESHCSQDSVPLGCKVLHYTCRKIQMVGRDECSEYREEKSQHLEEHLVLEEGVDTVEPSALLPCCSYSATISKVDIKIPDSTLPHQIRSK >ORUFI10G12990.4 pep chromosome:OR_W1943:10:14752183:14758652:-1 gene:ORUFI10G12990 transcript:ORUFI10G12990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSIQYSISGGLSKARERKRPRQEAAVNNRRKLQRQQISCRRDRSFSQERFRSYRRAKLNACSPHFESESLNWPGLMEFDDVNRKVPTYSAQDSVTASSRVLGTSNDFLERLARIIPTPSELARSCYADAKATLSSDPLQLVLCCVPWSLCLESDKEDSIMRTEIARPTVVQMLDLFNKNKSLMPDLPGPWAPHWPVSAASDIWVTITGDSSHYILFYGRAVYVEEDDTIYFSRGCSLVAYKFLREEMQLKPPVKIGEKLFPYGDDGSSFVARLNDRILCVVWVSMRLACGCTNRHMLVATVCVTGESHCSQDSVPLGCKVLHYTCRKIQMVGRDECSEYREEKSQHLEEHLVLEEGVDTVEPSALLPCCSYSATISKVDIKIPDSTLPHQIRSK >ORUFI10G12990.5 pep chromosome:OR_W1943:10:14752183:14758652:-1 gene:ORUFI10G12990 transcript:ORUFI10G12990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSIQYSISGGLSKARERKRPRQEAAVNNRRKLQRQQISCRRDRSFSQERFRSYSVTASSRVLGTSNDFLERLARIIPTPSELARSCYADAKATLSSDPLQLVLCCVPWSLCLESDKEDSIMRTEIARPTVVQMLDLFNKNKSLMPDLPGPWAPHWPVSAASDIWVTITGDSSHYILFYGRAVYVEEDDTIYFSRGCSLVAYKFLREEMQLKPPVKIGEKLFPYGDDGSSFVARLNDRILCVVWVSMRLACGCTNRHMLVATVCVTGESHCSQDSVPLGCKVLHYTCRKIQMVGRDECSEYREEKSQHLEEHLVLEEGVDTVEPSALLPCCSYSATISKVDIKIPDSTLPHQIRSK >ORUFI10G13000.1 pep chromosome:OR_W1943:10:14761891:14763543:1 gene:ORUFI10G13000 transcript:ORUFI10G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKDGGRGSGGEGRRTRVGRRGTAELLSPRRRLGHRQAPVEPGKAVGHYNSSFGTRRQPLSVSLHKLQQNCRRTEELPGSPRRFLIETAKVPGAKSCSYGGYAYFLFDDSSKKDVTLLHVFTSAICVVMS >ORUFI10G13000.2 pep chromosome:OR_W1943:10:14761376:14761991:1 gene:ORUFI10G13000 transcript:ORUFI10G13000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNSSPMASPAITCCSLWYSAVYSERSMRSHKENHHPSCKLELFSYDRCIDEWPCPPDDSSSWRHRSILLHVDYSQLIGYSQQTLPRLSEYGKMQHGNTSFRVCEDGRVLVCSLIPTQSARALLHLRPHASPILRPPRLPFLLLRGR >ORUFI10G13010.1 pep chromosome:OR_W1943:10:14761942:14762487:-1 gene:ORUFI10G13010 transcript:ORUFI10G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGGERTVILVLHAADLVGQRRKEDCRAQRGSSPAADGEKGAPLSLAAQPASVVPHHPTRVHRPSPSSSAPTARSTHSVPRRARSRRFNAAATEWMGIRPEEEEGRRSSRPTDQHRRAPDLPLASSPHGPPGVGVAAQAVRAIVTVRRAASRRRHRSPRRAKGNLTGRAGGGRGGAAAGE >ORUFI10G13020.1 pep chromosome:OR_W1943:10:14783344:14788369:1 gene:ORUFI10G13020 transcript:ORUFI10G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGSGSGSGKGKGKKASGSTRGKGRMPLDGEITERISTLRMANTPRTERLRRNLASPVLRQALQGVYSEILGGYIQSYELDYQDQDGCSFVQGRIFMESPRSGEEGFIQHDATFVVMDEDYETGRIMAQKIYDTTIKFHGYNDVIADIYLVAYCETLGKWVIGYEPFCQYWLESTLAPFYESDTTISAYWRDKIREMTESISYLCTRKVFN >ORUFI10G13020.2 pep chromosome:OR_W1943:10:14783344:14788369:1 gene:ORUFI10G13020 transcript:ORUFI10G13020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGSGSGSGKGKGKKASGSTRGKGRMPLDGEITERISTLRMANTPRTERLRRNLASPVLRQALQGVYSEILGGYIQSYELDYQDQDGCSFVQGRIFMESPRSGEEGFIQHDATFVVMDEDYETGRIMAQKIYDTTIKFHGYNDVIADIYLVAYCETLGKWVIGYEPFCQYWLESTLAPFYESDTTISAYWRDKIREMTESISYLCTRKVSHGGLANRESYVLDLDSKLKLINVARYSTDGSTTRDDVVDFFDLLCTEDRINASGNGNVEWEGFDYLIKSNSMYNTRFGEWKQANIEPPNFERPFS >ORUFI10G13020.3 pep chromosome:OR_W1943:10:14783344:14788369:1 gene:ORUFI10G13020 transcript:ORUFI10G13020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGSGSGSGKGKGKKASGSTRGKGRMPLDGEITERISTLRMANTPRTERLRRNLASPVLRQALQGVYSEILGGYIQSYELDYQDQDGCSFVQGRIFMESPRSGEEGFIQHDATFVVMDEDYETGRIMAQKIYDTTIKFHGYNDVIADIYLVAYCETLGKWVIGYEPFCQYWLESTLAPFYESDTTISAYWRDKIRYSTDGSTTRDDVVDFFDLLCTEDRINASGNGNVEWEGFDYLIKSNSMYNTRFGEWKQANIEPPNFERPFS >ORUFI10G13020.4 pep chromosome:OR_W1943:10:14783344:14787887:1 gene:ORUFI10G13020 transcript:ORUFI10G13020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGSGSGSGKGKGKKASGSTRGKGRMPLDGEITERISTLRMANTPRTERLRRNLASPVLRQALQGVYSEILGGYIQSYELDYQDQDGCSFVQGRIFMESPRSGEEGFIQHDATFVVMDEDYETGRIMAQKIYDTTIKFHGYNDVIADIYLVAYCETLGKWVIGYEPFCQYWLESTLAPFYESDTTISAYWRDKIREMTESISYLCTRKVSHGGLANRESYVLDLDSKLKLINVARYSTDGSTTRDDVVDFFDLLCTEDRINASGNGNVEWEGFDYLIKSNSMYNTRFGEWKQANIEPPNFERPFS >ORUFI10G13030.1 pep chromosome:OR_W1943:10:14788806:14789313:-1 gene:ORUFI10G13030 transcript:ORUFI10G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRRR >ORUFI10G13040.1 pep chromosome:OR_W1943:10:14790577:14791338:1 gene:ORUFI10G13040 transcript:ORUFI10G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTSIAPSQPSQTSQATVTQHYMGEDENFLFDSHVHNAESDDDDPRIVEQPIASDAATRSRMGKRVASATNKHAGTSDKTEKATQRRRQDGKVVEMMGKFLEFKEKQAETEIMQQERARSNVHEDEFPIPVCIAVVDSM >ORUFI10G13050.1 pep chromosome:OR_W1943:10:14794836:14800641:-1 gene:ORUFI10G13050 transcript:ORUFI10G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSTSASGPAPAPAPASSAFPFTAAARFLRVSAFSSTRAFALAERRRTPRCRLSKVGGGDRSVAAGRSPGPRSFHGLVLSGNAEGAMQSLRRELSSGMHPLRENFVALVHVFAKNDLTTKGMEILAAMERQSIKSEVDTFRPAKIQEAFGMRRCSKSLLQLGTLPCCTMAWLSFAQTAQASEGTNINMVYEVGELFELGIQLSYLLILLGLLGAGTFFVIRQVLVRRELDLSAKELQEQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIQKWDRDEQDLAQQAIQKWDRDEQDLAQVYNALGVSYKRDNKLDKSIQQFEKAVELQPGYVTAWNNLGDAYEQKKDLKSALKAFEEVLLFDPNNTVARPRRDDLKQRVGMYKGVPVKSEKR >ORUFI10G13050.2 pep chromosome:OR_W1943:10:14794836:14800641:-1 gene:ORUFI10G13050 transcript:ORUFI10G13050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSTSASGPAPAPAPASSAFPFTAAARFLRVSAFSSTRAFALAERRRTPRCRLSKVGGGDRSVAAGRSPGPRSFHGLVLSGNAEGAMQSLRRELSSGMHPLRENFVALVHVFAKNDLTTKGMEILAAMERQSIKSEVDTFRPAKIQEAFGMRRCSKSLLQLGTLPCCTMAWLSFAQTAQASEGTNINMVYEVGELFELGIQLSYLLILLGLLGAGTFFVIRQVLVRRELDLSAKELQEQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIQKWDRDEQDLAQVYNALGVSYKRDNKLDKSIQQFEKAVELQPGYVTAWNNLGDAYEQKKDLKSALKAFEEVLLFDPNNTVARPRRDDLKQRVGMYKGVPVKSEKR >ORUFI10G13060.1 pep chromosome:OR_W1943:10:14805631:14825211:-1 gene:ORUFI10G13060 transcript:ORUFI10G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKILHSRGGGGEGPAPGLPAAVRGARVSCRFHRCRGHRLRHGRHYAFTRRQSIKRELESSRRRMLLAAGAAVFLSWPNLAANAAEAKKGFLPVTDKKDGYSFLYPFGWQEVVVQGQDKVYKDVIEPLESVSVNTIPTSKQDIRELGPPDQVAEALIRKVLAAPTQKTKLIEAKENDVDGRTYYTFEFTAQAPNFTRHALGAIAIANGKFYTLTTGANERRWEKIKDRLHTVVDSFKIEARVLQLVWFNGKCRKHGFYLSVLF >ORUFI10G13060.2 pep chromosome:OR_W1943:10:14805631:14825211:-1 gene:ORUFI10G13060 transcript:ORUFI10G13060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKILHRQSIKRELESSRRRMLLAAGAAVFLSWPNLAANAAEAKKGFLPVTDKKDGYSFLYPFGWQEVVVQGQDKVYKDVIEPLESVSVNTIPTSKQDIRELGPPDQVAEALIRKVLAAPTQKTKLIEAKENDVDGRTYYTFEFTAQAPNFTRHALGAIAIANGKFYTLTTGANERRWEKIKDRLHTVVDSFKIEARVLQLVWFNGKCRKHGFYLSVLF >ORUFI10G13070.1 pep chromosome:OR_W1943:10:14828931:14834282:-1 gene:ORUFI10G13070 transcript:ORUFI10G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLCILFKCFCCWRKEDAGFRRIAPGTDYLAIPAGADDRPRWSVVVGLTAVDVPRHNLRLHRFRVAASGRILGGSDDVLETFRHVAPDDVAAEAFSTASADLSNDGGHQMHLICRAVPLNADGRWTCNKTNLNKCYRSFSMDLGGGGGGGAVTPLGDLPTVLVPGGHFAIQAGGENWVLSVERPYPWLDERSTTRLLMRRQEGQRWVLAGEPHEFLHTGFERSESLYGMSVYVEDHNAVYFLRFDTLFAYKFSPGKNTIEPPIRLDVMCPFGTNGYGSLVHLAGQVMCVVWISTRSICTCTTQHVMITTLIINGDCINGGFVPKDVGFLHSTCRSLVVGDGRETTSRCNIFCFSLSYVEDVDRVEPFSIPPVLGEATYSEIEDSPNMLECCRMFLEDQWNEDDVVLEKCTTKTKMNLFFISQSGCQSLTYQISISNGKLVCRDKMLEPTCCAETFVSEDALYGSSSSPTWRYIYMGRKRLYCIPSLPESQMQVIYLRGKFNRPFKTDRPKVCFSAVFPVGNQLVGLTDTLQSVYLLKRGSSVWTHCKTTSRDADLTEKINISGFVVLKRCFMVSDAGSFDCFLLDLDSLEWTIVKSFQSYRRGTLLGRSIYIGGFIYTLFTGGILAFELIKNNGSYYLDVPVFLRTWSKLIRDKNTICFTSVSEGKSSSGIVFCLAHGYPCYGLPKIKNLHNVKITMMQVTTRETVRGTREPVKPPRYVDMIVQAISRSSRDGSRRQPQLFSASVIGGDEADEAAAEEKRAQERDEAYQRALDGATPVSPESLAAMLDQALQSVRQPPPPPQQQQNCQNTLRHGGMVRRMRTGF >ORUFI10G13080.1 pep chromosome:OR_W1943:10:14842204:14845094:1 gene:ORUFI10G13080 transcript:ORUFI10G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARGGEGGDKGGRGGGRGDKGGQGGSRGYKGGQGGVGTSKEVVGKITEETSVTGGKPKQATGWKRTERDVLKLLKDPSVLTQPWSGVAVSNYNFKYDGLDDKWYSGRIFLNTKVEAGDSLTQTNGREARYVLSAIREVVKKKCKIVDLNKTSKSSPATSRSVIEENLSWTDFRNIPFDPRSRLGDQQRLTGRLMELEDSDAD >ORUFI10G13090.1 pep chromosome:OR_W1943:10:14849108:14849419:-1 gene:ORUFI10G13090 transcript:ORUFI10G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLVSMASASSTREVVVVAGDDAHRLERRRGGGAGGEEPNSTPSPERRWDDGWRRTGRAAGRGKKRQRVIAGEEKKTLTLALEEEGRGGKAAHRREDGGRR >ORUFI10G13100.1 pep chromosome:OR_W1943:10:14851719:14859273:-1 gene:ORUFI10G13100 transcript:ORUFI10G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPLRISTAGDALPLRRSPSPSPHRRHPSLSAPFLLSHGPRRRAAPSFCRRRPPPSRRRPLLSDIIVFSGTVEATPSVSASATTSSLRRTVGRSPSRHDLGAAELEKTNLLLPGTLYPLQEIAREPTIVGEEIFWGAK >ORUFI10G13100.2 pep chromosome:OR_W1943:10:14851719:14859273:-1 gene:ORUFI10G13100 transcript:ORUFI10G13100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPLRISTAGDALPLRRSPSPSPHRRHPSLSAPFLLSHGPRRRAAPSFCRRRPPPSRRRPLLSDIIVFSGTVEATPSVSASATTSSLRRTVGRSPSRHDLGAAGDGTALSSGRHLLSGEHAAFPLLPSSCKARLGFSSLLPFPSSPPSLFSPCGFLSREHSTARSPRFPPPLPFFGLALIAREPTIVGEEIFWGAK >ORUFI10G13110.1 pep chromosome:OR_W1943:10:14864795:14872431:1 gene:ORUFI10G13110 transcript:ORUFI10G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPTPSTSAPAAPPSSAFPLTAAARFPRARAASASTSSTRASALAERRRTRRRRLPEGSGGGGDRSAAAGAVEKGLRLAFLEQLAERARAADAAGVEDAIYDMVAAGLSPGPRSFHGLVAAHVLAGDAEGAMQSLRRELSSGVRPLHETFVALVRVFAKKGLATRGMEILAAMERYKYDIRKAWLILVEELVNNNYLEDANTVFLKGTEGGLQGTDEIYDLLIEEDCKAGDHSNALTVAYKMEASGRMATTFHFNCLLSVQATCGIPEIAFATFENMEYGGEGYMKPDTESYNWVIQAFTRATSYDRAGDVAELLGMMVEDHKRIQPNARTYALLVECFTKYSMVNEAIRHFRALRRIPGGTKVLYNEGNCGDPLSLYLRSLCLDGRADELLEALEAMSNDGQTIAPRAMILNRKYRTLVSTWIEPLQEEADVGFEIDYVARYIEEGGLTGERKRWVPRRGKTPLDPDEFGFAYSNPIETSFKQRCFEELKLYHRKLLITLRNEGPGILGDVSEDDVRRVIERLKKLVVGPKKNVVKPKAASKMVVSELKTELEAQGLPTDGTRQVLYQRVQKARRINRSRGIPLWVPPVEDEEEIDDDEDWFPEDPIEAFKVMRETRLFDVSDMYTTADAWGWTWEREIKNKMPRKWSQEWEVELAIKIMHKVIDLGGTPTIGDCAIILRAAMRVPLPSAFMTILQTTHSLGYKFGSPLYDEAILLCLDLEEIDAAIAVVAEMETNGIKVPDETLDKVLAAKQSGGNSALQPPPAEE >ORUFI10G13120.1 pep chromosome:OR_W1943:10:14872797:14878294:1 gene:ORUFI10G13120 transcript:ORUFI10G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEPPRVFSSLIKSPRPPLFPPPQEKKRLHSSTSSPPPLTSPPLPSLLLPSPRSLLGSAMYRAAASLASKARQAGSSARQVGSRLALSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRNVVIEQSYGSPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFTEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKGMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFVTNPKTQKCELDDPLILIHDKKVSNLHAVVKVLELALKKQRPLLIVAEDVESEALGTLIINKLRAGIKVCAVKAPGFGENRKANLQDLAILTGGEVITEELGMNLEKFEPQMLGTCKKVTVSKDDTVILDGAGDKKSIEERAEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLPTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDNTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEEKEAPAMGGMGGMDY >ORUFI10G13130.1 pep chromosome:OR_W1943:10:14879917:14883967:1 gene:ORUFI10G13130 transcript:ORUFI10G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRRILACAAAAVSSPPRLPRAPPLPSTSRARLFSPSSLAHEMAEEGRSVGEEEPRGKRPAAELRLFDTRTKAAVPFRPRVEGKVAMYVCGVTPYDYSHVGHARAYVAFDVLFRYLKYLGYEVNYVRNFTDIDDKIIKRANEAGEDALSLSSRFIDEFHRDMYELQCLPPTHEPRIMDNGKAYTIEGDVYFSVDNFPDYLSLSGRKVDQNRPGTRVAVDARKRNPADFALWKSAKEGEPSWESPWGRGRPGWHIECSAMSAHYLGNIFDIHGGGKDLIFPHHENELAQSQAAYPESEVKCWMHNGFVNKDGQKMAKADKNFFTIRDIISLYHPMALRLFLMRTHYRSDVNHSDTALQFASGRLYYIYQTLHDGEETVSLYGEHKLENSIPADDQKLIEENHSNFLEKMSDDLHTTAALDHLMKLQQKLEHQKKKQSQKQQQQQQKKPEDYIQALVALQNEVTDKLSILGLMPMSSLAEALKQLKDKALKRAGMTAEQLQQMIEQRTLARKNKDFAESDRIRTELSALGIALMDEPTGTLWRPSEPELAEGS >ORUFI10G13140.1 pep chromosome:OR_W1943:10:14888028:14889574:1 gene:ORUFI10G13140 transcript:ORUFI10G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSMAAMAVVMAVMAAAAASPAEGARAFFVFGDSLVDNGNNNYLLTSARADMPPYGIDHPSHRPTGRFSNGLNIPDIISEHLGAEPTLPYLSPDLSGAKLLVGANFASAGVGILNDTGIQFVNIVRMSRQLQYFAEYQERLRALVGAARARRIVNGALVLITLGGNDFVNNYYLVPFSLRSQQFALPDYVRFLISEYKKILQRLYDMGARRVLVTGTGPLGCAPAERALRGRGGGCAPQVMRAAELFNPQLSRALGEMNARVGRPGAFMAANSFRVHFDFISNPAAFGFATARDACCGQGPNNGLGLCTAMSNLCADRDAYVFWDAYHPTEKANRIIVSQFVRGSLDYVSPLNLSAALEIDAAEEAAAAAARRRRQRAVARLVGDKPHA >ORUFI10G13150.1 pep chromosome:OR_W1943:10:14891262:14892602:1 gene:ORUFI10G13150 transcript:ORUFI10G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFIDLGRGGPTAAPGKPRLVMIIADPGRESTAAMEWALSHAVAEGDAILLLHINMPPNSAGGAGPSRTGSGGSAGSPLTALLGAGAAGDADFMETMSAACKARHPRARVRALRVEPATEGREAKAQTILAESQRRGVELLVIGHRRVSSFLGLRSPSGSSRAHDSTAEFLIEHSKCVCVSVQKKGQNAGYLLNTKTHKNFWLLA >ORUFI10G13160.1 pep chromosome:OR_W1943:10:14893210:14895094:-1 gene:ORUFI10G13160 transcript:ORUFI10G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDTNTVMKYVANGAFDFLLKPVRIEELSNIWQHIFRKQMQDHKNNNMVGNLEKPGHPPSILAMARATPATTRSTATEASLAPLENEVRDDMVNYNGEITDIRDLGKSRLTWTTQLHRQFIAAVNHLGEDKAVPKKILGIMKVKHLTREQVASHLQKYRMQLKKSIPTTSKHGATLSSTALDKTQDHPSRSQYFNQDGCKEIMDYSLPRDDLSSGSECMLEELNDYSSEGFQDFRWDSDKQEYGPCFWNF >ORUFI10G13170.1 pep chromosome:OR_W1943:10:14939550:14943317:-1 gene:ORUFI10G13170 transcript:ORUFI10G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALAGARSPGAGAILSLRRLAPAAAAPVRLGGSGTPGTRRRRGIAMAAAASAPPAPADALPKGADSFFRTVISNMEKVYLSRNPTAKTILELVRSYDGDHICYDHFAFRTFGVDGYGIKSLAEFFTDFGYVPREELRFPAKKLRALWFSPPTNDGYTGTGVYGPLPRIFISELLVDELSPQSQDIIQKYIRTSGKGNKHATLASTSGELTWEKPIYSDFQVLSRESEYAAWTLVNGYALNHTTISTHRLISDIRSINKFNKFVEDNGFKLNSEGGILKVSPDGLLQQSSTVADSALFTFADGITESIPRSYIEFAERLVLPQFKDLPNDEVCFPQMLVFDRRIIIWQHQICDLLGSINVAELQPRYDNLLQNSKESLHDIN >ORUFI10G13180.1 pep chromosome:OR_W1943:10:14944421:14949570:1 gene:ORUFI10G13180 transcript:ORUFI10G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPPPPRPPASSSAAAEARVLPPTMLHAPSPLSSRRASRAAAGGRGGRGRRKGGAPIPAAGAGGGGGGSGVDRLADAVRLIGRDVDPGVAGADILELAMAKGPMFSWLSYWPEEGRIGY >ORUFI10G13190.1 pep chromosome:OR_W1943:10:14945662:14952699:-1 gene:ORUFI10G13190 transcript:ORUFI10G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGEMDEEAMRAFFPMSFGKAPTRAGAAASAHASTLRKPPQNPSAKPSTSSAAAAAAAGDDDDDDDDYGPMVGPPRPPPQPAGGGEGEDDEEGGGVMIGPPRPPPRSSSRGEGEDADGGMIGPPRPPPVKDDDEEDEDDDDDDDDDGDDSDDEMEDDGERYNRIPLSNEVVLRGHTKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFIKGDMYIRDLKNTKGHISGLTGGEWNPKSKETILTSSEDGSIRLWDVSDFKSQKQVIKPKLARPMRIPVTSCAWDHEGKRIVGGIGDGSIQLWTVKTGWGSRPDIHVEKTHTEDITGVKFSTDGQILLSRSMDSTLKIWDLRKMKTPLKVFEDLPNHYAETNVAFSPDEQLIFTGTSIEKDGENGGLLCFFDRRKLELVSRVGISPHYSVIRCLWHPRINQVFATVGDKKEGGTHILYDPSISQRGALVCVGRAPRKKSVDDFEVQPVIHNPHALPLFRDQPSRKRQREKILKDPLKSHKPEAPVNGPGFGGRVGTTKGSLLTQYLLKEGGLIKETWMDEDPREAILKYADAAEKDPKFIAPAYSQTQPKPAYIKMGKLVAAIGKLLCCVQVDQSTVGIKERFGKYEEVLDPGCHCVPWIIGSRVAGELTLRLRQLDVRCETKTKDNVFVTVVASIQYRAMEDKASDAYYKLSNPKSQIQSYVFDVIRASIPKLELDDAFLQKNEIARAVEEELEKAMLAYGYEIVQTLIVDIEPDEKVKRAMNEINAAARLRVAANEKAEAEKIIQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSGNVPGTSAKDVMDLVLLTQYFDTMKEIGSTSKSSAIFLPHGPGAVADIASQIRDGCLQAHQTK >ORUFI10G13200.1 pep chromosome:OR_W1943:10:14959839:14960393:-1 gene:ORUFI10G13200 transcript:ORUFI10G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEHLGRRNVAGSLLLLNLLMYVFLLGFAGWALNSSIKNAGADVGVGWGEQPWSPYYRQSAWFASRFHLATFAALAGALGVAAKASAAYHGGRSGASWRPQGLAAAASLGTAAWAATALAFGVACREIHDAAAAGPAGAARGWRMRALEGLTVTLAFTQLLYVLLLHAAVAGERCGLACAADA >ORUFI10G13210.1 pep chromosome:OR_W1943:10:14961382:14964370:-1 gene:ORUFI10G13210 transcript:ORUFI10G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPIARLISHVILDLDGTLLNTDCVVSQVLKPFLVKNGKKWDSKKAHKLVGKTPYEAAAVVLEDYGLPYSTEEFLSMLTPMFNEQWCNIKALPGANRLIKHLKSNGVPAALASNSPRSNIDAKISCHQGWKESFSAIVGGDEVEKGKPSPDICKTNEYKSSKLLSNRGLLTQVFAAGKAAGMHVIAVPSVPKRTAEFSSADEVINSLLDVKPEKWGLPPFSDWIDDTLPIEPWFIGGPVIKGFGRGSKVLGIPTANLPAENFSDVLSEHTSGVYFGWAGLSTRGIFKMVMSIGWNPYFDNTEKTIEPWLLHDFGEDFYGEELRLVIVGYIRPEANFPSLESLIERIHEDARISDKALNLPLYAKYKDSPYLRNSLKEDNSANGNQSVIDSK >ORUFI10G13220.1 pep chromosome:OR_W1943:10:14968566:14984442:-1 gene:ORUFI10G13220 transcript:ORUFI10G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPCGICHGDMRRGGRGGGDAVFTAECSHQFHFHCISGTVARGRIACPLCHARWRELPSFRRGNDAAPPGASASASASAAQPFFRPVEPRVFDDDEPLVRAPRRLGERRHGGGAASVASDGGGGSAVALATHCENSAVARDACREDFAVLVHARAPTGGGGGAAAEAQRAPLDLVTVLDVSGSMVGNKLALLKQAMGFVIDNLGPGDRLCVISFSSGASRLMRLSRMTDAGKAHAKRAVGSLSARGGTNIGAALRKAAKVLDDRLYRNAVESVILLSDGQDTYTVPPRGGYDRDANYDALVPPSLVRADAGGGGRLRPWRPPPAGPHDHDAAAMHTIAEVTGGTFSFIENEAAIQDGFAQCIGGLLSVAVQELRLDVACVDTGVRVTAVKSGRYKSHIEDDGRAAKVDVGELYADEERSFLLFVVVPRAPAWDDVTHLIEVSCSYRDMETGRTTSVAGDEEAVVLRPSRAESGVAERSVEVDRELVRVEAIDDIALARAAAERGEYAEAAEILRSRQRAVARSAAARAGDAMCAALSGELREMRARVADRRQYELSGRAYVLAGLSSHAQQRATSRQMSGEVAPRRHAHGGGGGSSELPTGITVSYVTPAMLDMLDRSRRGPNCSSLRRTVVPMERETDPCAICLGEIAGGQAIFTAECSHTFHNRCIARNVAHGRRVCPLCNARWSDVPALSSSSAAAAEPDDDDEPPLYADDDPVEPAGEQAAATDGDAAAGLVVKAHCEYPAVARGASRDKFAVLVHAKAAGAAAAAASRAPLDLVTVLDVSGSMAGRKLALVKKAMGFVIDNLGPADRLCVVSFSTEASRRTRLLRMSEVGKATAKRAVESLVDDSATNIGDGLRVAGRVLGNRRHKNAVSSVILLSDGKDSYVVPRRGNGMSYMDLVPPSFASSGGRGRLAPIHTFGFGADHDSAAMNTIAESTGGTFSFVENEAAIQDSFAQCIGGLLSVAVQDARIAVACSSPGVLVREIKSGRYESRVDADGRAASVEVGELYADEERRFLLFINVPIAEATEDATQLIKLSCTYRDTVTGRTIDVAAGEDAVVRRPLEVSAADQEVSMEVERERVRVEATEDIAIARAAAERGDHAEAARTLQLRREAVEASAPGLAGDVMCDELADDLCELEEEVEDAPRYERAGRARMLAGMSSHGLQRASGTTYNSSRRKQCARKERAKERLYATPAMGMMVSKSRDEPPPAAQRQQKGPGRGGDEQSEKKKKKSGEPQDGMPRIKRMWFFDEHQGGQESAVCACANMAVAKWERAKRALATRLCVRAPRVRAAAAEAEGEGEEGEGRGRSVAASPPAHVASSRRLSRCGSRSSTKICAICLGGMCSGNGQALFTAECSHKFHFHCISSSVRHGNTVCPICRAVWKELPFQGPLPAAAAADASLLGTARVNPHPLDDRHQHQRMAVVRRLSRGDSVTRQWQLPIFRTLDGGIFDDDEQLDLHPAEDVVGTQDVDSIVADEMAPASVGITTYAAFPAMEESVMVEEFAVLIHLKAPSSPATVTSRAPIDLVTVLDVSWSMAGTKLALLKRAMSFVIQALGPGDRLSVVTFSSSARRLFPLRKMTESGRQRALQRVSSLVADGGTNIADALRKAARVMEDRRERNPVCSIVLLSDGRDTYTVPVPRGGGGGGDQPDYAVLVPSSLLPGGGSARHVQVHAFGFGADHDSPAMHSIAEMSGGTFSFIDAAGSIQDAFAQCIGGLLSVVAQELRLSVECGDDGVLLTSVRSGGYASHVDGDGRGGFVDVGDLYADEERDFLVTVRVPAARGVSALITPSCTYRSTATMETVRVGGDTVTVPRTVDAPVGYDGMSPEVERELHRVQATEDMAAARAAAERGDFELAAAILDERRGVLESRADDDPQSVALAAELREMQDRVETRQRYEESGRAYMLAGLSSHSWQRATARGDSTELTSVIHTYQTPFMVDMLQRSQTLQPEVVVAMSRSAPLPAPSLSLSPPPPPSQLRRRSVRPAMSFPGRRS >ORUFI10G13220.2 pep chromosome:OR_W1943:10:14968566:14984442:-1 gene:ORUFI10G13220 transcript:ORUFI10G13220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPCGICHGDMRRGGRGGGDAVFTAECSHQFHFHCISGTVARGRIACPLCHARWRELPSFRRGNDAAPPGASASASASAAQPFFRPVEPRVFDDDEPLVRAPRRLGERRHGGGAASVASDGGGGSAVALATHCENSAVARDACREDFAVLVHARAPTGGGGGAAAEAQRAPLDLVTVLDVSGSMVGNKLALLKQAMGFVIDNLGPGDRLCVISFSSGASRLMRLSRMTDAGKAHAKRAVGSLSARGGTNIGAALRKAAKVLDDRLYRNAVESVILLSDGQDTYTVPPRGGYDRDANYDALVPPSLVRADAGGGGRLRPWRPPPAGPHDHDAAAMHTIAEVTGGTFSFIENEAAIQDGFAQCIGGLLSVAVQELRLDVACVDTGVRVTAVKSGRYKSHIEDDGRAAKVDVGELYADEERSFLLFVVVPRAPAWDDVTHLIEVSCSYRDMETGRTTSVAGDEEAVVLRPSRAESGVAERSVEVDRELVRVEAIDDIALARAAAERGEYAEAAEILRSRQRAVARSAAARAGDAMCAALSGELREMRARVADRRQYELSGRAYVLAGLSSHAQQRATSRQMSGEVAPRRHAHGGGGGSSELPTGITVSYVTPAMLDMLDRSRRGPNCSSLRRTVVPMERETDPCAICLGEIAGGQAIFTAECSHTFHNRCIARNVAHGRRVCPLCNARWSDVPALSSSSAAAAEPDDDDEPPLYADDDPVEPAGEQAAATDGDAAAGLVVKAHCEYPAVARGASRDKFAVLVHAKAAGAAAAAASRAPLDLVTVLDVSGSMAGRKLALVKKAMGFVIDNLGPADRLCVVSFSTEASRRTRLLRMSEVGKATAKRAVESLVDDSATNIGDGLRVAGRVLGNRRHKNAVSSVILLSDGKDSYVVPRRGNGMSYMDLVPPSFASSGGRGRLAPIHTFGFGADHDSAAMNTIAESTGGTFSFVENEAAIQDSFAQCIGGLLSVAVQDARIAVACSSPGVLVREIKSGRYESRVDADGRAASVEVGELYADEERRFLLFINVPIAEATEDATQLIKLSCTYRDTVTGRTIDVAAGEDAVVRRPLEVSAADQEVSMEVERERVRVEATEDIAIARAAAERGDHAEAARTLQLRREAVEASAPGLAGDVMCDELADDLCELEEEVEDAPRYERAGRARMLAGMSSHGLQRASGTTYNSSRRKQCARKERAKERLYATPAMGMMVSKSRDEPPPAAQRQQKGPGRGGDEQSEKKKKKNRKMECRGSRGCGSSMNIKSKSKVGIDQGKDIIDLGLICLMSGGQESAVCACANMAVAKWERAKRALATRLCVRAPRVRAAAAEAEGEGEEGEGRGRSVAASPPAHVASSRRLSRCGSRSSTKICAICLGGMCSGNGQALFTAECSHKFHFHCISSSVRHGNTVCPICRAVWKELPFQGPLPAAAAADASLLGTARVNPHPLDDRHQHQRMAVVRRLSRGDSVTRQWQLPIFRTLDGGIFDDDEQLDLHPAEDVVGTQDVDSIVADEMAPASVGITTYAAFPAMEESVMVEEFAVLIHLKAPSSPATVTSRAPIDLVTVLDVSWSMAGTKLALLKRAMSFVIQALGPGDRLSVVTFSSSARRLFPLRKMTESGRQRALQRVSSLVADGGTNIADALRKAARVMEDRRERNPVCSIVLLSDGRDTYTVPVPRGGGGGGDQPDYAVLVPSSLLPGGGSARHVQVHAFGFGADHDSPAMHSIAEMSGGTFSFIDAAGSIQDAFAQCIGGLLSVVAQELRLSVECGDDGVLLTSVRSGGYASHVDGDGRGGFVDVGDLYADEERDFLVTVRVPAARGVSALITPSCTYRSTATMETVRVGGDTVTVPRTVDAPVGYDGMSPEVERELHRVQATEDMAAARAAAERGDFELAAAILDERRGVLESRADDDPQSVALAAELREMQDRVETRQRYEESGRAYMLAGLSSHSWQRATARGDSTELTSVIHTYQTPFMVDMLQRSQTLQPEVVVAMSRSAPLPAPSLSLSPPPPPSQLRRRSVRPAMSFPGRRS >ORUFI10G13220.3 pep chromosome:OR_W1943:10:14973499:14984442:-1 gene:ORUFI10G13220 transcript:ORUFI10G13220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPCGICHGDMRRGGRGGGDAVFTAECSHQFHFHCISGTVARGRIACPLCHARWRELPSFRRGNDAAPPGASASASASAAQPFFRPVEPRVFDDDEPLVRAPRRLGERRHGGGAASVASDGGGGSAVALATHCENSAVARDACREDFAVLVHARAPTGGGGGAAAEAQRAPLDLVTVLDVSGSMVGNKLALLKQAMGFVIDNLGPGDRLCVISFSSGASRLMRLSRMTDAGKAHAKRAVGSLSARGGTNIGAALRKAAKVLDDRLYRNAVESVILLSDGQDTYTVPPRGGYDRDANYDALVPPSLVRADAGGGGRLRPWRPPPAGPHDHDAAAMHTIAEVTGGTFSFIENEAAIQDGFAQCIGGLLSVAVQELRLDVACVDTGVRVTAVKSGRYKSHIEDDGRAAKVDVGELYADEERSFLLFVVVPRAPAWDDVTHLIEVSCSYRDMETGRTTSVAGDEEAVVLRPSRAESGVAERSVEVDRELVRVEAIDDIALARAAAERGEYAEAAEILRSRQRAVARSAAARAGDAMCAALSGELREMRARVADRRQYELSGRAYVLAGLSSHAQQRATSRQMSGEVAPRRHAHGGGGGSSELPTGITVSYVTPAMLDMLDRSRRGPNCSSLRRTVVPMERETDPCAICLGEIAGGQAIFTAECSHTFHNRCIARNVAHGRRVCPLCNARWSDVPALSSSSAAAAEPDDDDEPPLYADDDPVEPAGEQAAATDGDAAAGLVVKAHCEYPAVARGASRDKFAVLVHAKAAGAAAAAASRAPLDLVTVLDVSGSMAGRKLALVKKAMGFVIDNLGPADRLCVVSFSTEASRRTRLLRMSEVGKATAKRAVESLVDDSATNIGDGLRVAGRVLGNRRHKNAVSSVILLSDGKDSYVVPRRGNGMSYMDLVPPSFASSGGRGRLAPIHTFGFGADHDSAAMNTIAESTGGTFSFVENEAAIQDSFAQCIGGLLSVAVQDARIAVACSSPGVLVREIKSGRYESRVDADGRAASVEVGELYADEERRFLLFINVPIAEATEDATQLIKLSCTYRDTVTGRTIDVAAGEDAVVRRPLEVSAADQEVSMEVERERVRVEATEDIAIARAAAERGDHAEAARTLQLRREAVEASAPGLAGDVMCDELADDLCELEEEVEDAPRYERAGRARMLAGMSSHGLQRASGTTYNSSRRKQCARKERAKERLYATPAMGMMVSKSRDEPPPAAQRQQKGPGRGGDEQSEKKKKKNRKMECRGSRGCGSSMNIKSKSKVGIDQGKDIIDLGLICLMSVARASQPRIPPSARTDPPIHPSSLSPNKP >ORUFI10G13220.4 pep chromosome:OR_W1943:10:14968566:14973453:-1 gene:ORUFI10G13220 transcript:ORUFI10G13220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKWERAKRALATRLCVRAPRVRAAAAEAEGEGEEGEGRGRSVAASPPAHVASSRRLSRCGSRSSTKICAICLGGMCSGNGQALFTAECSHKFHFHCISSSVRHGNTVCPICRAVWKELPFQGPLPAAAAADASLLGTARVNPHPLDDRHQHQRMAVVRRLSRGDSVTRQWQLPIFRTLDGGIFDDDEQLDLHPAEDVVGTQDVDSIVADEMAPASVGITTYAAFPAMEESVMVEEFAVLIHLKAPSSPATVTSRAPIDLVTVLDVSWSMAGTKLALLKRAMSFVIQALGPGDRLSVVTFSSSARRLFPLRKMTESGRQRALQRVSSLVADGGTNIADALRKAARVMEDRRERNPVCSIVLLSDGRDTYTVPVPRGGGGGGDQPDYAVLVPSSLLPGGGSARHVQVHAFGFGADHDSPAMHSIAEMSGGTFSFIDAAGSIQDAFAQCIGGLLSVVAQELRLSVECGDDGVLLTSVRSGGYASHVDGDGRGGFVDVGDLYADEERDFLVTVRVPAARGVSALITPSCTYRSTATMETVRVGGDTVTVPRTVDAPVGYDGMSPEVERELHRVQATEDMAAARAAAERGDFELAAAILDERRGVLESRADDDPQSVALAAELREMQDRVETRQRYEESGRAYMLAGLSSHSWQRATARGDSTELTSVIHTYQTPFMVDMLQRSQTLQPEVVVAMSRSAPLPAPSLSLSPPPPPSQLRRRSVRPAMSFPGRRS >ORUFI10G13230.1 pep chromosome:OR_W1943:10:14985422:14989805:-1 gene:ORUFI10G13230 transcript:ORUFI10G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHASSVSSHGEARLGGERGLVDREELVRVIAQSLYSLGYRRAAAALEAESGVPLYPAEHDRLLFDVMSGRWDACVAAIRAVAGLGDRERAAAEFLVWRGHFLELLGIGDAGLPRAREVLWRRIAPLGVDRECVHWLARAMVSCEGAVAPDAVVGWRIGLFLDLVDAFPPWFHVPSGRLELLVENAVVKQVSSCVYHNLPDEVTLFEDHKCPEEQIPSKCSQILCGHNNEVWFVRFSNDGNYLASSSSDCTAIIWKVEEDDTLTKKHCLVGHKNPISFVAWSPNDRMLLTCGNGESVKLWNVATGECSLKFSSSVGHIINSCAWFPNSEKIVCASCEPESSPKRIFTCDLEGQELESWVGDRIPKVSDIAVTPDSKHLICVCSNEIWIRELPKGREWRIREQQTISSLSLSGDGQSLIVNLNSQEIHLWRISESSTAPDNKFKGHKQGKFVIRSCFGGSNSLFIASGSEDSQVYIWKRHLETPIKVLYGHSLTVNCVSWNPAKPHMLASASDDRTVRIWLAHKGSHRTRLTA >ORUFI10G13240.1 pep chromosome:OR_W1943:10:15001827:15003913:-1 gene:ORUFI10G13240 transcript:ORUFI10G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKKRRRLLGDDDDDFALNEGLYMALECGALTAGHPDADRLVRAWLAVSRRRHALVRRLVGLLEDSYGDGSDGDGSSWPWNRAAHRHCPLGVEKRRGVKSLLILVSWEIWKERNSRVFQSIETTPCGIIDRATEEIWLWRAGGAESIQSLMPDDRGRIRCLYYDYMGIRIVHLSKENFCGREMELEKMTCREDSRNDHDRSFRFDEPINSTLIAISMAIAV >ORUFI10G13250.1 pep chromosome:OR_W1943:10:15007391:15010414:1 gene:ORUFI10G13250 transcript:ORUFI10G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCEMTKLPLVPFSHVQSRGGADGCGDSFPGGNNGLRGRQRCSGGDHDALSTSAKATSDHLAASGCGDVHGHSLFFFAPPSLLPLRHRRGPPLVPGRRRCGGARGAPHRVPLLANGPTLWYQLDDGAAGLRELLAACLSLADEPAPWYSLARGTEPLTPLMVATAYGSVACLDVLLSPPYLVDPNRASASSLSTPLHLAAAGGATSAPTSVSRLLAAGTDNDNDEVEERQGEEGEEEAFRSFHERGLEKNIKKSIKTAQKWHSIKEPPLWSGIFISSPGLLPEAILSAVNQASQIHLIKEKQQEQQIRRAQTPIRKTLACAETSRETPSFCLIASQGRHPTLSVHSPLRVSDDELTSDNDRPLLQATAA >ORUFI10G13260.1 pep chromosome:OR_W1943:10:15011735:15017748:1 gene:ORUFI10G13260 transcript:ORUFI10G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLAQSAAAAPCFATAGDARRAASVVAMPSSSSSATTSLRMKRQAACEPVACRAVARHVAAAAASSRRNGVPVFVMMPLDTVSKCGSALNRRKAVAASLAALKSAGVEGIMVDVWWGIVESEGPGRYNFDGYVELMEMARKTGLKVQAVMSFHQCGGNVGDSVNIPLPRWVVEEMEKDNDLAYTDQWGRRNFEYISLGCDAMPVFKGRTPVECYTDFMRAFRDHFASFLGDTIVEIQVGMGPAGELRYPSYPESNGTWRFPGIGAFQCNDRYMRSSLKAAAEARGKPEWGHGGPTDAGGYNNWPEDTVFFRGDCGGWSTEYGEFFLSWYSQMLLEHGERVLSGATSVFGDGAGAKISVKVAGIHWHYGTRSHAPELTAGYYNTRHRDGYLPIARMLARHGAVLNFTCVEMRDHEQPQEAQCMPEALVRQVAAAARAAGVGLAGENALPRYDGTAHDQVVAAAADRAAEDRMVAFTYLRMGPDLFHPDNWRRFVAFVRRMSESGSPREAAESAAHGVAQATGSLVHEAAVALRS >ORUFI10G13270.1 pep chromosome:OR_W1943:10:15016423:15017652:-1 gene:ORUFI10G13270 transcript:ORUFI10G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRARTRDLFARPFRKKGYIPLTTYLRTYKIGEHVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIRKRIHVRVEHVQPSRCTEEFRLRKIKNDQLKADAKARGEVISTKRQPQGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >ORUFI10G13280.1 pep chromosome:OR_W1943:10:15018689:15022169:1 gene:ORUFI10G13280 transcript:ORUFI10G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRCSPAASPPLLPRRRSGSLLSAAPPGRRPLLPAAAGRVVLGCGLVTLDYLATVDAYPRPDDKIRSGELQVSGGGNAGNALTGAARLGLNTRLISKVANDEIGGTVLSELKEAGIDISHVIRCNASNHVYIEKISDGGNTTFVYVIIDKQTKTRTCIITSGYPPMIPSDLRMSSLSAALQDVSLLYLDGYSDEMALAVAKQADQMKIPILVDAEPERTREELGGLLSLASYIVCNGKFPEKWTSIPSIPSALLEILLQYPRACFAVVTLGENGCMMLERGKDGENYETEPVDIENVAESLRLKVDKDDILPTCVSSKFMRLTARGSGNIFARLLIGTAESIPASGLVDTTGCGDAFIGAVLHGLSTEMPPEKMLPFACQVASNVEQSAHDLAYHGNPIHA >ORUFI10G13280.2 pep chromosome:OR_W1943:10:15018638:15024720:1 gene:ORUFI10G13280 transcript:ORUFI10G13280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRCSPAASPPLLPRRRSGSLLSAAPPGRRPLLPAAAGRVVLGCGLVTLDYLATVDAYPRPDDKIRSGELQVSGGGNAGNALTGAARLGLNTRLISKVANDEIGGTVLSELKEAGIDISHVIKNSYVYNHIRVPSNDT >ORUFI10G13280.3 pep chromosome:OR_W1943:10:15018689:15022169:1 gene:ORUFI10G13280 transcript:ORUFI10G13280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRCSPAASPPLLPRRRSGSLLSAAPPGRRPLLPAAAGRVVLGCGLVTLDYLATVDAYPRPDDKIRSGELQVSGGGNAGNALTGAARLGLNTRLISKVANDEIGGTVLSELKEAGIDISHVIISDGGNTTFVYVIIDKQTKTRTCIITSGYPPMIPSDLRMSSLSAALQDVSLLYLDGYSDEMALAVAKQADQMKIPILVDAEPERTREELGGLLSLASYIVCNGKFPEKWTSIPSIPSALLEILLQYPRACFAVVTLGENGCMMLERGKDGENYETEPVDIENVAESLRLKVDKDDILPTCVSSKFMRLTARGSGNIFARLLIGTAESIPASGLVDTTGCGDAFIGAVLHGLSTEMPPEKMLPFACQVASNVEQSAHDLAYHGNPIHA >ORUFI10G13280.4 pep chromosome:OR_W1943:10:15018689:15022169:1 gene:ORUFI10G13280 transcript:ORUFI10G13280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSDLRMSSLSAALQDVSLLYLDGYSDEMALAVAKQADQMKIPILVDAEPERTREELGGLLSLASYIVCNGKFPEKWTSIPSIPSALLEILLQYPRACFAVVTLGENGCMMLERGKDGENYETEPVDIENVAESLRLKVDKDDILPTCVSSKFMRLTARGSGNIFARLLIGTAESIPASGLVDTTGCGDAFIGAVLHGLSTEMPPEKMLPFACQVASNVEQSAHDLAYHGNPIHA >ORUFI10G13290.1 pep chromosome:OR_W1943:10:15022511:15022948:-1 gene:ORUFI10G13290 transcript:ORUFI10G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSRKAAWPVRWSGSGSGAWSSSHGELLFLLGLRHGDLGVAIGGRPKGILGSPGRKLGGNGMKWSKWQNKCKPSLDSGILTTPFRGMALWRNPLLAVAKCPILATPGRRLGRTKGELERLSEVRVMSSWADLDYRAKWSEERR >ORUFI10G13300.1 pep chromosome:OR_W1943:10:15022987:15023307:-1 gene:ORUFI10G13300 transcript:ORUFI10G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLRSDAAVALAIGPRGHEAYMVAKTRAAGGAGEEEARVGGEAGEGEEGRGSGAYARPGWPPTSPTINTSSTVPTPLLPAALPPLPGPATLPSPRHRTGKQRRK >ORUFI10G13310.1 pep chromosome:OR_W1943:10:15025844:15030257:1 gene:ORUFI10G13310 transcript:ORUFI10G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSIADPIRSDSDRISKNRIRETLPGPGCRRPPRIQDERRLPRNSTKNTPCPSNAQRDLALHQPPPEKIEFWRDLGGEESEAAACKPRNRDEGEEHEIIIRSEEKNRKKKGIKPRASGSQVRLQMLSRLKRREDSQQRRRKKKVSSKIANRIGSRRKRREEKRATNLTSRRSPLLRHGLFFFLLSRQEQKAGGEANCSWRVEYWSIERRKKKIPREINFSRSKTWILYVVVLGFPMGEKPLLCFALFFFFFFYRVEMASCIRALSGFRVSGFRGLDSFPGRADGSALTWPNLKVSVLNC >ORUFI10G13320.1 pep chromosome:OR_W1943:10:15027225:15029612:-1 gene:ORUFI10G13320 transcript:ORUFI10G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAMDVVDISSDEEGFAAAAVAVATTTKKASVDSLGWIADLLREEDERALSDEFDDLEVMSELSAPPVMAQQKGGKPDCGGEEDDDDCVVLDGDPDDVVAVAGEKGSEGDGSSDELQIVAEKGPVACRDFPHSRHLCSNLPFSTTSHVKYCSMCHCFVCDTPAPCNYWGKGTEIYDHCHATDKEKKWKAMRHTFKSKGLPTSHPEKRQNVVYPTTTSFVQQDTQCEISLIQSHMTTYFPNQSHLANVVNQGLTQTRHTSVRVSPSVGRTVSATRTTPATRAGRGMSNAPSIQIPQARTRFKRVGATSPGIVTLNDNQFGSAAPNNTQLHQPSSPHASQPAQVAPRTLFGTVQKNPPQRSLSAPIALQGQQDQSASSYQAASNGTHGTGPQFSRCISLTAQRTQLLPEPALDVYSKSWQDIIDSLASDLEVPDYNMGAAESQQPDRTISQPLDSITFQGVGLHSEPVVALANLMPCNGQNVANGMIGSNCLAQTTQILPHLNHQPSLVPNESHLNNSVSSTADGLLMEAAHQRDTQGSDSLDLLFDFEFEDWDSAEP >ORUFI10G13320.2 pep chromosome:OR_W1943:10:15027225:15029651:-1 gene:ORUFI10G13320 transcript:ORUFI10G13320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISCSSPSSRFLGLQAAASLSSPPKSRQNSIFSGGGWWSARSRCAFDGQGVFLVEFLGSRLSSWIRGGRRHPGPGRVSRIRFLLIRSESERMGSAMDVVDISSDEEGFAAAAVAVATTTKKASVDSLGWIADLLREEDERALSDEFDDLEVMSELSAPPVMAQQKGGKPDCGGEEDDDDCVVLDGDPDDVVAVAGEKGSEGDGSSDELQIVAEKGPVACRDFPHSRHLCSNLPFSTTSHVKYCSMCHCFVCDTPAPCNYWGKGTEIYDHCHATDKEKKWKAMRHTFKSKGLPTSHPEKRQNVVYPTTTSFVQQDTQCEISLIQSHMTTYFPNQSHLANVVNQGLTQTRHTSVRVSPSVGRTVSATRTTPATRAGRGMSNAPSIQIPQARTRFKRVGATSPGIVTLNDNQFGSAAPNNTQLHQPSSPHASQPAQVAPRTLFGTVQKNPPQRSLSAPIALQGQQDQSASSYQAASNGTHGTGPQFSRCISLTAQRTQLLPEPALDVYSKSWQDIIDSLASDLEVPDYNMGAAESQQPDRTISQPLDSITFQGVGLHSEPVVALANLMPCNGQNVANGMIGSNCLAQTTQILPHLNHQPSLVPNESHLNNSVSSTADGLLMEAAHQRDTQGSDSLDLLFDFEFEDWDSAEP >ORUFI10G13330.1 pep chromosome:OR_W1943:10:15032710:15035164:1 gene:ORUFI10G13330 transcript:ORUFI10G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRFFSCTGATRPPAPENADITLVSGPSCWYASRTLVSQLLFHHESNRSCLSNPNSGKTSLLFQFAINRATESGRGVVFICRKGRLENSPPFLSQGIDLSHSVLQRIHIKYIEDDEGIRKYFAAFHLLGSFPAAVIIDDFADFFSERSCQQRYGNARARDLAVVRILALCQNAVAHANTKLGVPGSCNLLLSDVHQGDTPRSMFIYKRWISSIYTIQGDGHGSYILKSISSSDCGSREGRAAKYSIALQYLVLEHISNG >ORUFI10G13340.1 pep chromosome:OR_W1943:10:15036063:15037429:-1 gene:ORUFI10G13340 transcript:ORUFI10G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVWASTKTLTKREAQPSHSQTHNKSIRSIPEDPPPPPSRSAAAAAVVPEIFISLRHGNHSERCSCTEACVGNNPPYRSSWCRPPCATSWSSARLNLMAFCKDFNARTQKYKAETPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASGRPGHTVVSSLSLRHVYEIAKLKQSDPYCKHMSLEALCKSIIGTANSMGIEIVKDL >ORUFI10G13350.1 pep chromosome:OR_W1943:10:15038162:15044758:-1 gene:ORUFI10G13350 transcript:ORUFI10G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAISGEVPDEPVVSKKSGLLFERRLVERYIEDHGKCPVTKEELTMDDIVAVKTNKVVKPRQLQAASIPGLLGMFQNEWDAIMLSSFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARALLAQAERQIPALMAGAAPTAVVSNGKRAFEDEVGPDGKKIRPGINPVMIDELTECNTMLSAHRKKRQVPPTLASIDAIERYTQISSHPLHKTNKPGILSMDIHPSKDIIATGGIDTNAVLFDRPSGQILCTLTGHSKKITSLKFVPRDELFVTGSADKTVKIWQGSEEGNYNCIHTLKDHTAEVEAVTVHATQKYFVTASKDNTWCFYDIPSGSCLTQVGESSGQEGYTSASFHPDGLILGTGTTEAVVKIWDVKTQSNVAKFEGHVGPVTAMSFSENGYFLATAALDGVKLWDLRKLRNFRTISPYDSDTPTNSVEFDFSGSYLAVGGSDTRVYQVANVKLEWNLVKTLPDLSGTGKVTNVKFGTDAKYIAVGSMDRNLRIFGLPGEDDQMDDAKPSEE >ORUFI10G13360.1 pep chromosome:OR_W1943:10:15047047:15048577:-1 gene:ORUFI10G13360 transcript:ORUFI10G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAKVDMGRRLSRITGQGKDGELQMFWTFSKLLLSLIAGEQHDDTPHTAQASGEYGGTATACTSIYKLELPSPARCHDDADAAVAAIEPREAMNVDSKASYLIQIKNPEPPPSSDGRGGDKIKPRGPRSSRDGTDRASSSISRTRIDRARLQKALHLVKPRYQDGDAVQVSAVREGPCICQHRCTGSVATLRL >ORUFI10G13370.1 pep chromosome:OR_W1943:10:15056229:15059176:1 gene:ORUFI10G13370 transcript:ORUFI10G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRELFSSSNSHSVDEPLPSQYQFRAFLGARSIMLDDVDDEPFADGISSPIAQHIYDFCDDGNGGDLFAAVNAAAAAGSDMFTASSEDASASSSSTATAPIAGGGDTLSPPLPSLDSTLSALLEQDEPPGADGELLLPIDDYAFAAVDETQPPPPPEHQQQQQFTQMPLPVMGGAAAEHPALQAQLSSTATELMQYAGFTDEVFAAALAAGAGAGAGGYMGLDDPLCPQQQHSGAMLPAAAAAAGEAFFSKDAHAAQAAFFAAAGGGGGSMVMSMMGMDEIGEYQRMMECGGALLGATHAADGADMAFGNAAAAELQMGGGGSSPARLPATGTTETTSLEDTSFKTVRLSNEERKEKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDYCEASRSIGSQNHEEYEQIGGVKGEDMLDSDALAHISGMSSYMYNHTVESWI >ORUFI10G13380.1 pep chromosome:OR_W1943:10:15061161:15061349:-1 gene:ORUFI10G13380 transcript:ORUFI10G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHAKRARQRQRAMAVRGRREVKVTAAGLKGDDGEACREGEAAATGHGCARAARGVMAKGL >ORUFI10G13390.1 pep chromosome:OR_W1943:10:15062297:15064083:-1 gene:ORUFI10G13390 transcript:ORUFI10G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >ORUFI10G13400.1 pep chromosome:OR_W1943:10:15073492:15073761:1 gene:ORUFI10G13400 transcript:ORUFI10G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLDGLYGSRRRMATAKGAGVAGRRRSSSAVAAPRPVRQLYWKLRSRLRSSSKRHAGGAAAARFGYDLQSYSRNFDDGVGLVVSGHRF >ORUFI10G13410.1 pep chromosome:OR_W1943:10:15080557:15080865:1 gene:ORUFI10G13410 transcript:ORUFI10G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLDGLYGGGGGRRRMATTAKGAGAGRRRSSAAVAAPRPVRQLYWKLRSRLRSSSKRHDRSGTAAAARRRGSGTTFRATPGTSTTAASIDRLRPATASRLM >ORUFI10G13420.1 pep chromosome:OR_W1943:10:15087154:15092092:1 gene:ORUFI10G13420 transcript:ORUFI10G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVGGQGARPTFFEMSAAQQLPASLRAALSYSLGVFALRRPLLHKVLDYEDEFFALLMAVLESHSLRTTDGSFSESLYGLRRRPVKVSVKRSSSGAESNDKAYDSVLRKRQKVLSVVFLVVLPYFKSKLQSIYNKEREARLQASLWGQGDVRFDEADLVSDQGETSQSQVEATTGEVSNMARIKKNFAALIGVCYPWIHATNEGLSFAYQLLYLLDGTAFYSPALHALGLHVCRATGQELMESSSRVSRIRNRELERLRGPPWLKTMQRVLLNCMYTSLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPLPKVAKDGLPLPPDRTLCPLCCQKRNNPSVLSASGFVFCYSCIFKSVSQHKRCPITLMPATVEQIRRLFHDL >ORUFI10G13430.1 pep chromosome:OR_W1943:10:15093397:15094099:1 gene:ORUFI10G13430 transcript:ORUFI10G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFFGAHTSCHTTTSWIIPLPSHTLCPHSCALGKNFPVGHPSQNCSRLRRISRSVTHPKIALGQARLISEFFRDRLPEKKLQDMSILLILLSLELGYHILLPPPACRSFPHRPRAAPSPTARNSSPCRLRAAPPALPPCTVDLAEKRER >ORUFI10G13440.1 pep chromosome:OR_W1943:10:15094460:15097723:1 gene:ORUFI10G13440 transcript:ORUFI10G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEAAPIAAAETPEVAAAEGAAAAKAPHKLHRQWAFWYDIQSKPKPGAAWGTSLRKAYTFDTVEEFWGLYDQIFRPSKVTVNADFHLFKAGVEPKWEDPECANGGKWTVPCSRKTTLENMWLETLMALIGEQFDESEEICGVVASVRQRGDKLALWTRTASNEAVQVNIGKKWKEIVDYNDKMVYSFHDDAKREKPSRGGRYNV >ORUFI10G13450.1 pep chromosome:OR_W1943:10:15098321:15103084:1 gene:ORUFI10G13450 transcript:ORUFI10G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTASVTGGEHKGKEKTCRVCGEEVAAREDGKPFVACAECGFPVCKPCYEYERSEGTQCCPQCNTRYKRHKGCPRVEGDEDDGGDMDDFEEEFQIKSPTKQKPPHEPVNFDVYSENGEQPAQKWRPGGPALSSFTGSVAGKDLEQEREMEGGMEWKDRIDKWKTKQEKRGKLNRDDSDDDDDKNDDEYMLLAEARQPLWRKVPIPSSKINPYRIVIVLRLVVLCFFLKFRITTPAMDAVPLWLASVICELWFALSWILDQLPKWSPVTRETYLDRLALRYERDGEPCRLAPIDFFVSTVDPLKEPPIITANTVLSILAVDYPVDRVSCYVSDDGASMLLFDTLSETAEFARRWVPFCKKFTIEPRAPEFYFSQKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSQGALDVEGSELPRLVYVSREKRPGYNHHKKAGAMNSLVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDKQLGKKLCYVQFPQRFDGIDRHDRYANRNTVFFDVSTAFFSGVRASRRDINMKGLDGIQGPVYVGTGTVFNRQALYGYDPPRPEKRPKMTCDCWPSWCCCCCCFGGGKRGKSHKNKKCGGGGEGGGLDEPRRGLLGFYKKRSKKDKLGGGAASLAGGKKGYRKHQRGFELEEIEEGLEGYDELERSSLMSQKSFEKRFGQSPVFIASTLVEDGGLPQGAAADPAALIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCTPARAAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYAYGGRLKWLERFAYTNTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLNNLASIWFIALFLSIIATGVLELRWSGVSIEDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTSKAAADETDAFGELYLFKWTTLLVPPTTLIIINMVGIVAGVSDAVNNGYGSWGPLFGKLFFSFWVILHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFIPKPKGPVLKPCGVSC >ORUFI10G13460.1 pep chromosome:OR_W1943:10:15103587:15109056:-1 gene:ORUFI10G13460 transcript:ORUFI10G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHDAGTPPRRARDHARGVLVLHLLLVSASLRGATAAPGEAEALLAWKDTLPRSAAAAAGVLGSWATPSPQQPNANPAVAACAWRGVACDASGVVVGVDVAGAGVAGTLDALDLSSLPGLAALNLSLNSLTGSFPSNVSSPLLSLRSIDLSSNNLSGPIPAALPALMPNLEHLNLSSNQFSGEIPASLAKLTKLQSVVLGSNLLHGGVPPVIGNISGLRTLELSGNPLGGAIPTTLGKLRSLEHINVSLAGLESTIPDELSLCANLTVIGLAGNKLTGKLPVALARLTRVREFNVSKNMLSGEVLPDYFTAWTNLEVFQADGNRFTGEIPTAITMASRLEFLSLATNNLSGAIPPVIGTLANLKLLDLAENKLAGAIPRTIGNLTSLETLRLYTNKLTGRLPDELGDMAALQRLSVSSNMLEGELPAGLARLPRLVGLVAFDNLLSGAIPPEFGRNGQLSIVSMANNRFSGELPRGVCASAPRLRWLGLDDNQFSGTVPACYRNLTNLVRLRMARNKLAGDVSEILASHPDLYYLDLSGNSFDGELPEHWAQFKSLSFLHLSGNKIAGAIPASYGAMSLQDLDLSSNRLAGEIPPELGSLPLTKLNLRRNALSGRVPATLGNAARMEMLDLSGNALDGGVPVELTKLAEMWYLNLSSNNLSGEVPPLLGKMRSLTTLDLSGNPGLCGHDIAGLNSCSSNTTTGDGHSGEASRRRRREGXGGGGGGCRFDWPARMRAIRGVAHALAYLHHDCSPPMIHRDVSVNNVLLDPDYEPRVSDFGTARFLVPGRSTCDSIAGSYGYMAPELAYMRVTTKCDVYSFGVVAMEMLMGKYPGGLISSLQHSPQSLSAEGHDGSGGGGGEEASASASRRLLLKDVVDQRLDAPAGKLAGQVVFAFVVALSCVRTSPDARPTMRAVAQELAARRRPILDRPFEMIKIGDLTNSHR >ORUFI10G13470.1 pep chromosome:OR_W1943:10:15142461:15147121:1 gene:ORUFI10G13470 transcript:ORUFI10G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRHDALRLLLLVVVVAAADAATEADALLAWKAGLQDGAAALSGWSRAAPVCAWRGVACDAAAGGARVTSLRLRGAGLGGGLDALDFAALPALAELDLNGNNFTGAIPASISRLRSLASLDLGNNGFSDSIPPQLGDLSGLVDLRLYNNNLVGAIPHQLSRLPKVAHFDLGANYLTDEDFAKFSPMPTVTFMSLYLNSFNGSFPEFILKSGNVTYLDLSQNTLFGKIPDTLPEKLPNLRYLNLSINAFSGPIPASLGKLTKLQDLRMAANNLTGGVPEFLGSMPQLRILELGDNQLGGPIPPVLGQLQMLQRLDIKNSGLSSTLPSQLGNLKNLIFFELSLNQLSGGLPPEFAGMRAMRYFGISTNNLTGEIPPVLFTSWPELISFQVQNNSLTGKIPPELGKASKLNILYLFTNKFTGSIPAELGELENLTELDLSVNSLTGPIPSSFGNLKQLTKLALFFNNLTGVIPPEIGNMTALQSLDVNTNSLHGELPATITALRSLQYLAVFDNHMSGTIPADLGKGLALQHVSFTNNSFSGELPRHICDGFALDHLTANYNNFTGALPPCLKNCTALVRVRLEENHFTGDISEAFGVHPKLVYLDVSGNKLTGELSSAWGQCINLTLLHLDGNRISGGIPAAFGSMTSLKDLNLAGNNLTGGIPPVLGNIRVFNLNLSHNSFSGPIPASLSNNSKLQKVDFSGNMLDGTIPVAISKLDALILLDLSKNRLSGEIPSELGNLAQLQILLDLSSNSLSGAIPPNLEKLITLQRLNLSHNELSGSIPAGFSRMSSLESVDFSYNRLTGSIPSGNVFQNASASAYVGNSGLCGDVQGLTPCDISSTGSSSGHHKRVVIATVVSVVGVVLLLAVVTCIILLCRRRPREKKEVESNTNYSYESTIWEKEGKFTFFDIVNATDNFNETFCIGKGGFGSVYRAELSSGQVVAVKRFHVADTGDIPDVNKKSFENEIKALTEVRHRNIVKLHGFCTSGDYMYLVYEYLERGSLGKTLYGEEGKKKMDWGMRVKVVQGLAHALAYLHHDCNPAIVHRDITVNNILLESDFEPRLCDFGTAKLLGGASTNWTSVAGSYGYMAPEFAYTMRVTEKCDVYSFGVVALEVMMGKHPGDLLTSLPAISSSEEDDLLLKDILDQRLDAPTGQLAEEVVFIVRIALGCTRVNPESRPSMRSVAQEISAHTQAYLSEPFKLITISKLTDYQK >ORUFI10G13480.1 pep chromosome:OR_W1943:10:15147764:15148175:1 gene:ORUFI10G13480 transcript:ORUFI10G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLGRMEMHRGCQAEGRLDRSTSVHGNDGGLLFANPCGGVAGVGWSRSWIWGPEPGFGHIAPPSRAAMMVGRSLSMVPSHLHGAPHLLDRFTWSWGVPYGKTVKMATGKEGRGCQHVAVGGGHASSLSW >ORUFI10G13490.1 pep chromosome:OR_W1943:10:15150462:15151283:-1 gene:ORUFI10G13490 transcript:ORUFI10G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGNIPPELGKARKLNMLSMYDNRLSGSIPPALGSLTSLKYLDLSANNLTGGIPYELGHLSNLQFLNLSHNSISGPIMGNLGNNFKLQGVGSSGNSSNCSSGSAFCRLLSLENLDLSNNKLTGKLPDCWWNLQNLQFMDLSHNDFSGEISALGTSYNCSLHSVYLAGNGFTGVFPSTLEGCKTLVSLDFGNNKFFGNIPPWIGKGFPSMRILILKSNNFTGEIPSELSQLSQLQLLDMSNNGLTGSIPRSFSNLTSMKNKKLNISSGIITMA >ORUFI10G13500.1 pep chromosome:OR_W1943:10:15155257:15155871:1 gene:ORUFI10G13500 transcript:ORUFI10G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKATASTASPPTSWRHGSGGGNGDGVDCFTVYFVEAQKLQLLRRNESFELTLEPFTYELLLERRISFVPIGLANMLNVGGAVQGFQTVKKDDGGGGDVVAEVAVKGAKEAYSSARLWAGARGEAAEERHGWSRAEDGELDVPATESGLGKDAADDLDGGGSLGGGGLVVEVEGGLGALDGGHEEGVEGTFPSIRNFSPLF >ORUFI10G13510.1 pep chromosome:OR_W1943:10:15161925:15165380:-1 gene:ORUFI10G13510 transcript:ORUFI10G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVFLVLFVAAAAMPASVTAATSQTDALLAWKASLLLGDAAALSGWTRAAPVCTWRGVACDAAGRVTSLRLRDAGLSGGLDTLDFAALPALTELDLNRNNFTGPIPASISRLRSLSLLDLGSNWLDGSIPPQLGDLSGLVELRLYNNNLVGAIPHQLSRLPNIVHFDLGANYLTDHDFRKFSPMPTVTFMSLYLNSFNGSFPEFVLRSGSITYLDLSQNALFGPIPDMLPNLRFLNLSFNAFSGPIPASLGRLTKLQDLRMAGNNLTGGVPEFLGSMAQLRILELGDNQLGGPIPSVLGQLQMLQRLDIKNASLVSTLPPQLGNLNNLAYLDLSLNQFSGGLPPTFAGMRAMQEFGLSTTNVTGEIPPALFTSWPELISFEVQNNSFTGKIPSELGKARKLEILYLFLNNLNGSIPAELGELENLVELDLSVNSLTGPIPSSLGNLKQLIKLALFFNNLTGVIPPEIGNMTALQSFDVNTNILHGELPATITALKNLQYLAVFDNFMSGTIPPDLGKGIALQHVSFSNNSFSGELPRNLCDGFALEHFTVNYNNFTGTLPPCLKNCTGLFRVRLEENHFTGDISEAFGVHPSLEYLDISGNKLTGELSSDWGQCTNLTLLSMDGNRISGRIPEAFGSMTRLQILSLAGNNLTGGIPLDLGHLNLLFNLNLSHNSFSGPIPTSLGNNSKLQKIDMSGNMLNGTIPVALGKLGALTFLDLSKNRLSGKIPRELGNLVQLQTLLDLSSNFLSGWIPQAAFCKLLSLQILILSNNQLTGKLPDCLWYLQNLQFLDLSNNAFSGEIPAAKASYSCSLISIHLSSNDFTGVFPSALEGCKKLINLDIGNNNFFGDIPIWIGKGLPSLKILSLKSNNFSGEIPSELSQLSQLQLLDMTNNGLTGLIPRSFGKLTSMKNPKLISSRELLQWSFNHDRINTIWKGKEQIFEIKTYAIDIQLVTGISLSGNSLSQCIPDELMNLQGLQFLNLSRNYLSRSIPENIGSLKNLESLDLSSNELSGAIPPSLAGISTLSSLNLSNNHLSGKISTGNQLQTLTDPSIYSNNSGLCGLPLNISCTNYALASDERYCRTCEDQYLSYFVMAGVVFGSWLWFGMLFSIGNLRYAVFCFVDDIQRKVMQKVSCINQLLSRGNTAQYL >ORUFI10G13520.1 pep chromosome:OR_W1943:10:15175403:15177231:1 gene:ORUFI10G13520 transcript:ORUFI10G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSSSSIHLPRNLFHRITDQAATNSKRDRLRPPLPPPKSRTTLRLLHRRRHPQTRRNPNLTASANSRELAAPETRPSSEIEIPEALKTDQEGTDNRILLKGHFSN >ORUFI10G13530.1 pep chromosome:OR_W1943:10:15176555:15179665:-1 gene:ORUFI10G13530 transcript:ORUFI10G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVHPFFLLPLLVAIASIPGSVNAAASSQQTDALLAWKSSLADPVALSGWTRASPVCTWRGVGCDAAGGGRVTKLRLRGLGLGGGLHTLELDFAAFPALTELDLNGNSFAGDIPAGISQLRSLASLDLGDNGFNGSIPPQIGHLSGLVDLCLYNNNLVGAIPHQLSRLPKIAHFDLGANYLTDQDFAKFSPMPTVTFMSLYDNSINGSFPDFILKSGNITYLDLSQNTLFGLMPDTLPEKLPNLMYLNLSNNEFSGRIPASLRRLTKLQDLLIAANNLTGGVPEFLGSMSQLRILELGDNQLGGAIPPVLGQLQMLQRLKIKNAGLVSTLPPELGNLKNLTFLEISVNHLSGGLPPAFAGMCAMREFGLEMNGLTGEIPSVLFTSWPELISFQVQYNFFTGRIPKEVGMARKLKILYLFSNNLCGSIPAELGDLENLEELDLSNNLLTGPIPRSIGNLKQLTALALFFNDLTGVIPPEIGNMTALQRLDVNTNRLQGELPATISSLRNLQYLSVFNNYMSGTIPPDLGKGIALQHVSFTNNSFSGELPRHICDGFALERFTANHNNFSGTLPPCLKNCTSLYRVRLDGNHFTGDISDAFGIHPSLEYLDISGSKLTGRLSSDWGQCTNLTYLSINGNSISGNLDSTFCTLSSLQFLDLSNNRFNGELPRCWWELQALLFMDVSGNGFSGELPASRSPELPLQSLHLANNSFSVVFPATIRNCRALVTLDMWSNKFFGKIPSWIGTSLPVLRILLLRSNNFSGEIPTELSQLSQLQLLDLASNGLTGFIPTTFANLSSMKQAKTFPTIGTFNWKSAPSRGYDYPFPLDQSRDRFNILWKGHEETFQGTAMLMTGIDLSSNSLYGEIPKELTYLQGLRYLNLSRNDLSGSIPERIGNLNILESLDLSWNELSGVIPTTIANIPCLSVLNLSNNRLWGSIPTGRQLQTFVDPSIYSNNLGLCGFPLRIACRASRLDQRIEDHKELDKFLFYSLVVGIVFGFWLWFGALILLKPLRDFVFHFVDHIERSYANCRRCTH >ORUFI10G13540.1 pep chromosome:OR_W1943:10:15187872:15191233:-1 gene:ORUFI10G13540 transcript:ORUFI10G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLPLLIILVAAAAAIPALANAATPTEAEALLAWKASLQDDAAALSGWSRAAPVCAWRGVACDASAAAGARVAKLRLQGLGLGGGLDELDFAALPALAELDLNGNNFTGAIPASITRLRSLTSLDLGNNGFSDSIPPQLGDLSGLVDLRLYNNNLVGAIPHQLSRLPNIIHFDLGANYLTDQDFGKFSPMPTVTFMSLYLNSFNGSFPEFVLRSGNITYLDLSQNTLFGKIPDTLPEKLPNLRYLNLSINAFSGSIPASLGKLMKLQDLRMAGNNLTGGIPEFLGSMPQLRILELGDNQLGGAIPPVLGRLQMLQRLDIKNSGLVSTLPSQLGNLKNLIFFELSLNRLSGGLPPEFAGMRAMRYFGISTNNLTGEIPPALFTSWPELIVFQVQNNSLTGKIPSELSKARKLEFLYLFSNNLSGSIPVELGELENLVELDLSENSLTGPIPSSLGKLKQLTKLALFFNNLTGTIPPEIGNMTALQSFDVNTNRLQGELPATISSLRNLQYLSVFNNYMSGTIPPDLGKGIALQHVSFTNNSFSGELPRHICDGFALDQLTANYNNFTGTLPPCLKNCTALYRVRLEENHFTGDISEAFGVHRILQYLDVSGNKLTGELSSDWGQCTNLTYLSINGNSISGNLDSTFCTLSSLQFLDLSNNRFNGELPSCWWELQALLFMDISGNDFYGELPATESLELPLQSMHLANNSFSGVFPNIVRKCGALVTLDMGNNKFFGHIPSWIGISLPLLRILILRSNNFSGEIPTELSQLSELQLLDLASNVLTGFIPTSFGNLSSMTQAKTLPATEYFNAESSPFQPEVPQVPKPHRRREPKNQSPLDQSRDRVSIQWKGHEETFQRTAMLMTGIDLSGNSLYGEIPKELTYLRGLRFLNLSWNDLSGSIPERIGNLNILESLDLSWNELSGIEASSNEEYKVDEGQNFCD >ORUFI10G13550.1 pep chromosome:OR_W1943:10:15194860:15196395:-1 gene:ORUFI10G13550 transcript:ORUFI10G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAPLVLRLCLFLCISLSLLLLSSPQRVTSLSLDADEDDHYYHYDGDEDDDNYYHDDDDDVFSGRPARRIYDGDDDENYYRNDEVDFSSRPARRLYDGGAVMPEKYNVLNGNSSNSSSGSAFCRLLSLQILDLSNNKLTGKLPDCWWNLQSLQFMDLSHNRFSGEIPAVNTSYNCSLESVHLAGNGFTGVFPSALKGCQTLVTLDIGNNNFFGGIPPWIGKGLSSLKILSLRSNNFTGEIPSELSHLSQLQLLDMTNNSLTGSIPTSFGNLTSMKNPKIVSSAGSLDGSTYQDRIDIIWKGQEIIFQKTLQLMTGIDLSGNSLSECIPDELTNLQGLRFLNLSRNNLSCGIPENIGSLKNLESLDLSSNEISGAIPPSLAGISTLSTLNLSYNHLSGKIPTGNQLQTFTDPSIYSHNSGLCGPPLNISCTNASVASDERDCRTCEDQYFYYCVMAGVVFGFWLWFGMLLSIGTWRYAIFGFVDGMQCKVMQKVSSVDKFLSRGNTDQYL >ORUFI10G13560.1 pep chromosome:OR_W1943:10:15203271:15207766:1 gene:ORUFI10G13560 transcript:ORUFI10G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAGAGDDEYTRDGSVDLRGNPVLRSKRGGWKACSFIVVVVAGELGGDVCVVVVVVAVYELFERMAYYGIASNLVIYLTEKLHQGTVEAANNVTNWSGTVFITPLIGAVVADAWLGRYWTFVAGSAVYLMGMLLLTLAVSVPALKPPPCDGGGGAACPRASALQLGVYFGGLYTIALGHGGTKPNISTIGADQFDDFHPPEKLHKLSFFNWWMFTIFLGILFSTTVLVYLQDNVSWTVGYGIPTLGLMVSVAVFLSGTPLYRHKVPQGSPLATMGRVVAAAVWKWRVPLPADSKELHELELEHYTTRRGFRMDATVSMAFLNKAAVKPGEGGGGSVARLPGWTLCTVTQVEETKQIVKLVPLLATMVVPCTLVAQAGTLFVKQGVTLDRRIGKFHVPPASLGAFVTATMLICIVLYDRFLVPAVRRRTKNPRGITLLQRISLGMLLQIVTMVVTSVVESQRLGYARRHGLVATGGQLPVTIFILLPQFVLLGVADAFLVVGQIEFFYDQAPESMKSLGTAMSLTAYGAGNLLSSAILAAVERVTGGGKGRTPWVTNNLNASRLDYYYAFLATLAAANLLAFVVLSCKYSYRVESTETIDVDVAMDDVAQGGGVVTVKSEAAPMA >ORUFI10G13570.1 pep chromosome:OR_W1943:10:15240247:15245632:1 gene:ORUFI10G13570 transcript:ORUFI10G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAAERVEAAGGGDDDYTQDGTVDLHGNPVLRSKRGGWKACGFVVVYEVFERMAYYGISSNLVLYLTTKLHQGTVSSANNVTNWVGTIWMTPILGAYIADAHLGRYRTFMIASLIYLIGMSLLTLAVSVPSLKPPKCGAGTADPGCSEKASSLQLGVFFLALYILAVGTGGTKPNISTIGADQFDDHHPRERRHKLSFFNWWMFSIFFGTLFANTVLVYLQDNVGWTVGYALPTLGLAVSIAIFTAGTPFYRHKPTSGSSFARMARVIVAAIRKLAVALPDDARELHELDDEYYAKKKTTPLPYTPYLKILSKAAVKTSTTSRWSLSTVTQVEETKQILKMLPVLAVTFVPAAMMAQVNTLFVKQGTTLDRRVGGGGFEIPPASLQAFVTISMLVSVVLYDRVFMPLMARATGNPRGITLLQRMGVGLVIHIAIMGIASVTERHRLAVAREHGIADSKGTTIPLTIFVLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYAMTSLGVGNFLSSLLLSTVAHVTRRHGGGGGWIQNNLNASRLDHYYAFFAVLNCVNLVFFFLVCRLYVYNAEVSRVVDVGGSGGGEGGEVLRPKEVAMVDTNL >ORUFI10G13580.1 pep chromosome:OR_W1943:10:15248905:15255284:1 gene:ORUFI10G13580 transcript:ORUFI10G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQGKLFIGGISWETTEEKLRDHFAAYGDVSQAAVMRDKLTGRPRGFGFVVFSDPSSVDAALVDPHTLDGRTVDVKRALSREEQQAAKAANPSAGGRHASGGGGGGGGAGGGGGGGGGDAGGARTKKIFVGGLPSNLTEDEFRQYFQTYGVVTDVVVMYDQNTQRPRGFGFITFDAEDAVDRVLHKTFHDLSGKMVEVKRALPREANPGSGSGGRSMGGGGGGYQSNNGPNSNSGGYDSRGDASRYGQAQQGSGGYPGYGAGGYGAGTVGYGYGHANPGTAYGNYGAGGFGGVPAGYGGHYGNPNAPGSGYQGGPPGANRGPWGGQAPSGYGTGSYGGNAGYAAWNNSSAGGNAPTSQAAGAGTGYGSQGYGYGGYGGDASYGNHGGYGGYGGRGDGAGNPAAGGGSGYGAGYGSGNGGSGYPNAWADPSQGGGFGASVNGVSEGQSNYGSGYGGVQPRVAQ >ORUFI10G13590.1 pep chromosome:OR_W1943:10:15261781:15272367:-1 gene:ORUFI10G13590 transcript:ORUFI10G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPGLFTEWPWKKLGSFKYVLLAPWVAHGWYEVATKGWREVDLGYIAILPSLLLRMLHNQAWITISRLQNARGRRQIVRRGIEFDQVDRERNWDDQIILSGILLYLGALYVPGGQHLPLWRTDGAGLIALLHAGPVEFLYYWFHRALHHHFLYTHYHSHHHSSIVTEPITSVIHPFAELVAYELLFSIPLIACALTGTASIIAFEMYLIYIDFMNNMGHCNFELFRTNYSLFMPFYDYIYNTMDKSSDTLYENSLKNNEEEEAVDVVHLTHLTTLHSIYHMRPGFAEFASRPYVSRWYMRMMWPLSWLSMVLTWTYGSSFTVERNVMKKIRMQSWAIPRYSFHYGLDWEKEAINDLIEKAVCEADKNGAKVVSLGLLNQAHTLNKSGEQYLLKYPKLGARIVDGTSLAAAVVVNSIPQGTDQVILAGNVSKVARAVAQALCKKNIKVTMTNKQDYHLLKPEIPETVADNLSFSKTGTAKVWLIGDGLDSAEQFRAQKGTLFIPYSQFPPKMVRKDSCSYSTTPAMAVPKTLQNVHSCENWLPRRVMSAWRIAGILHALEGWNEHECGDKVLDMDKVWSAAIMHGFCPVAQDATEQFRTQKGAHFIPYSQFPPRMIRNNCCTYWTTPAMVVPKTLHNMHSCENWLPRRVMSAWRIAGIVHALEGWSEHECGDMVLDCNSSLVLPCCSCLMLWLPVNAE >ORUFI10G13600.1 pep chromosome:OR_W1943:10:15275403:15275979:1 gene:ORUFI10G13600 transcript:ORUFI10G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFASDELMSYVPASAAPRAVTIFGILLPSWIPSSSPPQGPSSETSGTAVEENQSLPPDSQASAAPRAATVNGIRLLTANLELALRLPPSRLDAAPRAAAAAGEAFCPDSQAILPYAVAKDTTSTQSRYLLAKDTPATLRPPPSEGTPPSTPEHLSSRT >ORUFI10G13610.1 pep chromosome:OR_W1943:10:15288999:15292169:1 gene:ORUFI10G13610 transcript:ORUFI10G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARPVFGCPLPTLPPGPRFPEPRPELLRRQAQLKPETAPALRAAVPGLTDDLVALMMEPPFRSYHPDIVHEPAIYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVQGADGKDRVVVTFDGKYLPYSEQRSDHMMSRLTRKTS >ORUFI10G13620.1 pep chromosome:OR_W1943:10:15293143:15301582:-1 gene:ORUFI10G13620 transcript:ORUFI10G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHSSARRLLVSRGGGSGSGSFFPFAAAANACSRRALAPVSASAMSYRGGGGGRRGGGGGPNSQRGRGRGGGGAGRGGGGGGRGGGGRGEQRWWDPQWRAERLRQMAGEEQAHMDTSHWSDAIEPVEKVDENEWWNKIRQLREGSQQELVVKRNFGRDGQNILADMAQRQGLYLSFDAFFRISNLHLEADKFHFHYPVFNLGEDSNVYNKGKTIVFSKVPLPDYRADLDERHGSTQQEIRMSNETERRVESLLAKAKSNSNDSASTSTLTTRQSRPSTSSSVTESTKDIDKERLSSELRDIQNSRKMMPSARSMQSFRDKLPAFKMREEFLKAVAANQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVAARIASERGEELGDTVGYQIRLESKRSAQTRLLFCTTGVLLRRLEPDLVGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINAELFSKYFGEAPIMHIPGFTFPVTELFLEDILEKTRYKINSERDNFQGNSRRKRLASVKSDPISDAFEDVDIYKEYGNYSVATRQSLEAWSATELNLSLVEGTIEYICRHEGEGAILVFLTGWDEISKLLDKIKGNNLLGNSNRFLVIPLHGSMPTVNQREIFDRPPANMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGACYRLYPKVIYDAMPQFQLPEILRTPLQELCLTIKSLQLGAVASFLAKALQPPDPLSVNNAIELLKTVGALDDVEELTSLGRHLCTLPLDPNIGKMLLIGSVFQCLDPALTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALVKAFEAWKEARRSGRERSFCWENFLSPMTLQMMDDMRNQFFDLLSDIGFVSKTRGLKAYNYYGKDLEMVCAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNAGIHQFPLPYLVYSEKVKTASIYVRDSTNISDYALLLFGGSLSESKTGEGIEMLGGYLHFSAPRRIIELIQRLRGELDKLLQRKIEEPALDIFSEGKGVVAAAVELLHSQNVHH >ORUFI10G13620.2 pep chromosome:OR_W1943:10:15293143:15301582:-1 gene:ORUFI10G13620 transcript:ORUFI10G13620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHSSARRLLVSRGGGSGSGSFFPFAAAANACSRRALAPVSASAMSYRGGGGGRRGGGGGPNSQRGRGRGGGGAGRGGGGGGRGGGGRGEQRWWDPQWRAERLRQMAGEVEKVDENEWWNKIRQLREGSQQELVVKRNFGRDGQNILADMAQRQGLYFNVYNKGKTIVFSKVPLPDYRADLDERHGSTQQEIRMSNETERRVESLLAKAKSNSNDSASTSTLTTRQSRPSTSSSVTESTKDIDKERLSSELRDIQNSRKMMPSARSMQSFRDKLPAFKMREEFLKAVAANQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVAARIASERGEELGDTVGYQIRLESKRSAQTRLLFCTTGVLLRRLEPDLVGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINAELFSKYFGEAPIMHIPGFTFPVTELFLEDILEKTRYKINSERDNFQGNSRRKRLASVKSDPISDAFEDVDIYKEYGNYSVATRQSLEAWSATELNLSLVEGTIEYICRHEGEGAILVFLTGWDEISKLLDKIKGNNLLGNSNRFLVIPLHGSMPTVNQREIFDRPPANMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGACYRLYPKVIYDAMPQFQLPEILRTPLQELCLTIKSLQLGAVASFLAKALQPPDPLSVNNAIELLKTVGALDDVEELTSLGRHLCTLPLDPNIGKMLLIGSVFQCLDPALTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALVKAFEAWKEARRSGRERSFCWENFLSPMTLQMMDDMRNQFFDLLSDIGFVSKTRGLKAYNYYGKDLEMVCAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNAGIHQFPLPYLVYSEKVKTASIYVRDSTNISDYALLLFGGSLSESKTGEGIEMLGGYLHFSAPRRIIELIQRLRGELDKLLQRKIEEPALDIFSEGKGVVAAAVELLHSQNVHH >ORUFI10G13630.1 pep chromosome:OR_W1943:10:15303835:15307412:-1 gene:ORUFI10G13630 transcript:ORUFI10G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKIERGRLRGFVRRMAMECLCSGEQLRAADEIIRSPESAITKDCSASGYSSQNGEIEQYLDNGNIEEAELSLREGVCLNYEEARALLGRLEYQRGHVEAALRVFDGIDIPALVPKMKISIARKVDRRKTRSQWDSPPMPLHAVSLLMEAIYLKSRALHDLGKFKEAAQECRMILDIVEAAVPEGLPAGFGKDCKLNEIICKAVELLPELWKLGGFSLEAISSYRRSLLNNWNLDGETIARIQKEFAIFLLYSGCEARPPNLHSQLDGSFVPRNNMEEAILLLMILLRKFNLKRVERDPTIMHHLTFALSISGQLKSLAVQFEELLPGMLDKREWSYNVALCYLAEEDDSTALNLLKRILKSGDDSDNFKELLLASKACTERSAQTEGASYAQRAIANMQGGCEQMAGVADLLLGVNLSNQARCATSDTERASWQCEALEVLENAENKMHGKDPRAMYSLSLENADQRKLDAAAFYAKKLVKLEAGSELRSWLLLARILSAQKQFADAETIIDAALDQTGKWSQGDLLRTKARIQAAQGQLRNAVETYTKLLAVIQLRTKSLSAGIFLAKGTKDDISLEIETWYDLALLYLRMSQWRDAEVCVSKIRTISPYSALAWHVKGKLYEAKGQPKEALGSYFRALDLDRKHVPSLISTASVLREIGNRPLPSVRCFLTDALQLDRTNHAAWFNLGLLYKEEGGRSAAEAAECFQAAALLEETAPVEPFR >ORUFI10G13640.1 pep chromosome:OR_W1943:10:15310191:15312963:1 gene:ORUFI10G13640 transcript:ORUFI10G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEFRLGRFRGELLSYQKKKKKKKKKREQETSMNWTMTGPILKSEYCSASFAVQRLTVLKEDQMDLSFFHAQSKSPACVMIGLSAHCIKALQNLSGQADSAANETINGLFKKDIRCPDWFFPDLVFNGPVPFPVWNSDHLNFFNAREGQRIFQFV >ORUFI10G13650.1 pep chromosome:OR_W1943:10:15316882:15319916:-1 gene:ORUFI10G13650 transcript:ORUFI10G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKVVVSGEVVAAAVMELAAAPLGVRTRARSLALQKRQGGEYLELRSRRLEKLPPPPPPPPRRRATAAAATADATAAESAEAEVSFGGENVLELEAMERNTRETTPCSLIRDPDTISTPGSTTRRSHSSSHCKVQTPVRHNIIPASAELEAFFAAEEQRQRQAFIDKYNFDPVNDCPLPGRFEWVKLD >ORUFI10G13660.1 pep chromosome:OR_W1943:10:15336619:15338034:-1 gene:ORUFI10G13660 transcript:ORUFI10G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIRVAKVGQPQDRQLGGGRRWAAARKAAAGAVGCERRQARLEARKPAFVEPPPLGHGDGEDDHRRWHESFLPLSELAGSDDEPRLVHSYTKVVSSFAARLTDGKLDVVSKKHPDRRMRASSITAAAVSTIPSYLRHRD >ORUFI10G13670.1 pep chromosome:OR_W1943:10:15350948:15351498:1 gene:ORUFI10G13670 transcript:ORUFI10G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDGIPSPNGILLLHRCDSHPCDAAEGINARPSPAAAVPVPPPPPPSPPRPPLPLPSPSLAAAAAPVHRLRRRRRLQGTAAGGRPRVGMLLSPTGCPVISSRNHLIPGRYHPIPSKYHKSSIPDMIPREYHAIRGRNRLIPDRYHMIPREYHAIRGRNRMILDKYHMILCKYYLKRV >ORUFI10G13680.1 pep chromosome:OR_W1943:10:15353448:15354208:-1 gene:ORUFI10G13680 transcript:ORUFI10G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAILVRYQMIPTRYQELGFEASSPVAASSPVHAPHRTRTSSPTAASSPVPSPRRSSLPATASSIGSSSPVAASSTPELITGAATITTQDPPPSMPHAGACRRRRLYHHVGSSAVHVTIPHAVACRRPRPLCRSSSPASASSTPELNGGGHVCAANVNLVCAAPTVARSPL >ORUFI10G13690.1 pep chromosome:OR_W1943:10:15361399:15364590:1 gene:ORUFI10G13690 transcript:ORUFI10G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIRSSCIYTILARGWRGNCQQQSLARLLRQFEMASDHRRHTGVVVYGKEYFFGGGIQKDHPGRTPYGTPVRVEDLGVTHVPREIFEDFLQDISPRYTPATYNLLSNNCNNFTNEAAQFLVGSAIPSYILELPNEVMNSPIGALILPMIQGLETSLRAGVAPQPPQFKPSPVAAVTATQSPPSGSIHVEPKSTASDKTEVDNNGGGIPPAVQPAPVAAATSPAAVAEASMAPPPPVDPLREAKSRVQEEIKREFAAIMATGAVQAGEAAALATRRVMERHGLRRAAVAGGGMQRG >ORUFI10G13700.1 pep chromosome:OR_W1943:10:15364596:15364895:-1 gene:ORUFI10G13700 transcript:ORUFI10G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQQQQGRIPARKRKGRDGAAGGSPDAAPGAGSRLLAGYLAHEFLTAGTVLGERLAAGTTPEEGGGGRYEAVAALVHGGGARVPGVVNPAQLAAWVRT >ORUFI10G13710.1 pep chromosome:OR_W1943:10:15381007:15388410:1 gene:ORUFI10G13710 transcript:ORUFI10G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATMLTLTLLAYSAAMLARLLVARSRRRRCYLLDYVCYKATDDRKLPTDLCGEIIQRNKLLGLEEYKFLLKVIVNSGIGEETYGPRNIIGGGDARPDRLAEGMEEMDETLGGGGVRPADVDLLVVNVSMFSPAPSLSARVVRRYNLREDVKVYNLTGMGCSATLIALDLVNNFLRTHANKVALVMTSESIAPNWYAGNKRSFMLGNCLFRSGGCAYFLTNDPRHRRHAKLRLRHLVRTHTGASDDAYSCALQMEDDAGRPGFHLGKDLPRAAVHAFVKNLRLLAPRVLPLPELLRLAFATFLSSGRRSGGKKTSPSQQPLTIRMKAGVDHFCVHTGGAAVIDGVGKGLTLTEHDLEPSRMTLHRFGNTSASSVWYVLGYMEAKRRLRPGDRVLMLTFGAGFKCNSCVWTVEKPVSDAGVWKDCIDHYPPKELANPFMEKYGFVKDMMNL >ORUFI10G13720.1 pep chromosome:OR_W1943:10:15388998:15391127:-1 gene:ORUFI10G13720 transcript:ORUFI10G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHALFPEFSLLMPSRNHRSHPFSHPIRIEIEAEGEVMKVVLRSICRLQEVFGMAMELGHIKDDDDNVRPPSCASMEAKVASHLAALVSHTIAACRTAKRLPPPTRFHPGNAAAALA >ORUFI10G13730.1 pep chromosome:OR_W1943:10:15398800:15399105:-1 gene:ORUFI10G13730 transcript:ORUFI10G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPPPPSHPAAAAGSPSPLFPLVVGPSSALAASLSSHRRRAHIPCRCRARLLPPPPPGTPSTPLPAAVELTSRRRLALVAALAARTSRCVAVVLAFGEG >ORUFI10G13740.1 pep chromosome:OR_W1943:10:15402580:15409940:-1 gene:ORUFI10G13740 transcript:ORUFI10G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNLFHCRRNSWPAEEYVGRTALQLLDFDGGSPPEQAWRRRLNSHANLLKEFSVTFMEAMRMMSLGLRLWSYVREEASHGRKAPIDPFTKEKCKPSASQGVPLGGMGSGSISRGFRGEFKNWHIIPGLCETSPVMENQFSIFVSRDGGNKKYSSVLSPGHHEGLKKCNDSGISSWDWNLSGQHSTYHALFPRAWTVYDGEPDPDLKISCRQISPFIPHDYKDSSLPTSVFVYTLVNTGKDRAKVSLLMTWANSIGGFSHHSGGHFNEPFITAKDNPPVTFAIAACETQNVNVTVLPVFGLSGEGHDSAKQMWDRMKQNGHFDRENFEAGTSMPSSSGETLCAAVSASTWVEPHGRCTVVFGLAWSSPKIKFQKGCTYNRRYTEFYGTSERSAVNLVHDALTKYRIWEEEIEKWQNPILKNEKLPEWYKFTLFNELYFLVAGGTVWTDGQPPVIDEKPSPGSNQQKSSKRGTRDTKQESVKDNHVKLTAEQVTNGGDLANGEEQSVSKYAAVHGPQMAKATNGLGSQEPIPYLLSKNGPENVGKFLYLEGVEYIMWCTYDVHFYASFALLDLFPKIELSIQRDFANAVLYEDRRRMKFLADGTSGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVYRDFAATGDMSFGRDVWPAVCAAMDYMNQFDRDGDGLIENDGFPDQTYDAWTVHGISAYCGGLWLAALQAAATMAHRLGDRPFAEKYKLKFIQAKAVYEAKLWNGSYFNYDSGTSSNSRSIQADQLAGQWYAASSGLPPLFDENKIRSALQKIFEFNVMKVKGGRLGAVNGMTPNGKVDETCMQSREIWTGVTYGVAANMLLHGMEHQGFTTAEGIFIAGWSEEGYGYWFQTPEGWTIDGHYRSLIYMRPLAIWAMQWARSPPKAILDAPKVNLMDRIHLSPQMIRAMNEINVRKIAPDNRCFPSSAFRCEC >ORUFI10G13740.2 pep chromosome:OR_W1943:10:15402580:15409940:-1 gene:ORUFI10G13740 transcript:ORUFI10G13740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNLFHCRRNSWPAEEYVGRTALQLLDFDGGSPPEQAWRRRLNSHANLLKEFSVTFMEAMRMMSLGLRLWSYVREEASHGRKAPIDPFTKEKCKPSASQGVPLGGMGSGSISRGFRGEFKNWHIIPGLCETSPIFVSRDGGNKKYSSVLSPGHHEGLKKCNDSGISSWDWNLSGQHSTYHALFPRAWTVYDGEPDPDLKISCRQISPFIPHDYKDSSLPTSVFVYTLVNTGKDRAKVSLLMTWANSIGGFSHHSGGHFNEPFITAKDNPPVTFAIAACETQNVNVTVLPVFGLSGEGHDSAKQMWDRMKQNGHFDRENFEAGTSMPSSSGETLCAAVSASTWVEPHGRCTVVFGLAWSSPKIKFQKGCTYNRRYTEFYGTSERSAVNLVHDALTKYRIWEEEIEKWQNPILKNEKLPEWYKFTLFNELYFLVAGGTVWTDGQPPVIDEKPSPGSNQQKSSKRGTRDTKQESVKDNHVKLTAEQVTNGGDLANGEEQSVSKYAAVHGPQMAKATNGLGSQEPIPYLLSKNGPENVGKFLYLEGVEYIMWCTYDVHFYASFALLDLFPKIELSIQRDFANAVLYEDRRRMKFLADGTSGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVYRDFAATGDMSFGRDVWPAVCAAMDYMNQFDRDGDGLIENDGFPDQTYDAWTVHGISAYCGGLWLAALQAAATMAHRLGDRPFAEKYKLKFIQAKAVYEAKLWNGSYFNYDSGTSSNSRSIQADQLAGQWYAASSGLPPLFDENKIRSALQKIFEFNVMKVKGGRLGAVNGMTPNGKVDETCMQSREIWTGVTYGVAANMLLHGMEHQGFTTAEGIFIAGWSEEGYGYWFQTPEGWTIDGHYRSLIYMRPLAIWAMQWARSPPKAILDAPKVNLMDRIHLSPQMIRAMNEINVRKIAPDNRCFPSSAFRCEC >ORUFI10G13750.1 pep chromosome:OR_W1943:10:15420115:15420348:-1 gene:ORUFI10G13750 transcript:ORUFI10G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPRATTAGDGGRFRDGLLLREGILAADGDVAAGGGGIRRGWWSNAEHAEAGPELAGDAHEVEERQVAGLGRHRP >ORUFI10G13760.1 pep chromosome:OR_W1943:10:15425431:15432006:1 gene:ORUFI10G13760 transcript:ORUFI10G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLGAFVPDTAAQWRSVVKGEVARQLGVEAEARKLGARLEKVGAAVRDAEARVARGSDAAARWLARVRAAAYEADVAVDRCRATARRLTRGREQQLQQHNQALPWLLSTCCDVAEPRRDIAADLKNVSQKLKSIIKEQRQLQLQASVADHTDDHPRKILRHRKSEPTDIDIVGTAMEDDARRLVRRLTQPDSGGVVAIYGPDGIGKTTLAKVVFDSERVKRRFETRSWVHVSRGCVEDGKREAALLSQVVEAVVDGGGATTGAETVAELERMLAALVANRRFLLVLDEVRNGGEWEELVRRLLERGGRGSKVLVTAVTAGVARDMGAGHVHRVNRLGEDDGWALLRVAACVADDGGAALRGVGRRIVGKCGGVPLAIRAVAGVLRTREAIAEEWAVVDASPAWKVKGLPDDAMKPLYLCYDDMPCHLKQCFLYCSLFLSDFAVDRRSLVQQWIAEGFVQIRGDAGVEEVAEEYYDELIGRNLLQPAEADRHGCVERCTMHDTLRSMAQVLSHGENLTGDAQAQRLPSDGDAPFAPRHVSFPRNHLAAIPEEVLKLEGVRTLLLQRNPLTIGSNIFTRLLYLKVLDLTETAMEVIPETLGNLLYLRFLNLSQTRIKALPETICNLWSLKFLLLRECKALHVLPKGIEHLKGLRDLDLTGTVIKDAAFRVGHLRNLTSFRCFTVTSKEARTVQDTAQDRSGWPLDELKNLCQLRTLHVKRLEKATSQSKAAEVALHAKTGLRELELSCSGTVKTLQIPTVVRNIEDIFQELKPPRGLESLKIANYFGTKFPTWLSSTCLPNLLRLNITGCNFCQSFPLLGRLPELRSLCIADSSALKDIDAQLMDTDNSHQVPFPKLEDLHLQGLHNLETWTSIEAGALPSLQALQLESCPKLRCLPDGLRHVTSMTELRIVDMESLEAVENIAALRELSVWNTPNLKKICNLPSLEDLDICHCPSLETVDNINRLQEVHIFDHELQEMPRWIQAHASKLCSLEFMSTTELLKRCLIDGPDWPVIKDIAQVHGYSNDSSYIYYSKSLKIFEGSASIRESRDAERSVADSDKVDDASIESRNVDRDAEIDGFFSSKLVETGTARSEDNVPDRNMERFMTRSTGRRLHKLEEVPEGDEDEEEEGADPVVLIPDDTTKSDTVLEKLHPVVTHDHNDKAGSKMKRDPPAKTAPGTSVIQSAATMCHKLVREGSQAISITETDQDLSLDSFRSKEHASSKQKVDTSGSVIEKVKEGRYKSANINDRKHIERVHDSANKMSTTSVEKSIPETVKPMKATKNNSKATDYHGTENKSPDSLACSRQTISQIEKDVSDADKAANHPSSAITENRLHKANEIDASATAIKTEVSKKSAGANICNTLNPADSQTVHATDTAQDLPSSLLHDDPHLPDVGSSTSTSISDNCIQTESSNTSLSANLNNEEPKAKGVTKTTCASGPCKLTATLDCKQQTTNPSDDTDASMKKMASNISEKVVKTLKRPHAETVNITRSSSLPIDPKSHVIDTTAATKKLEATLKNRSSRNAAPGLADDKTPVYNDSHQAPKVYTAIWADTDTDTLKARFLSTMQHYRRMASHRRRRHRKHGSRTKWSIWPVLVAILLIISVAQLLFTFWMYRKLMNQNSKVGKEATSNSDCPQFFSPSFSLLTFALESFSVVDEEVKPISPPPEKEEEEAISPPQPPKREIIRVTTKAIVKEKASAFSSVGSSSSSGKSGAGGGALNAAALFRRFNSSAPVPRAEAAGDGDGGVRLEIEDIAAGDRRRETRKRKSPLGGGGGGDDHHSGGSGKRVVVLGDDPKPRPAARTMTTTATTRRQRRGRRHAGPTGRGEEGGDQAAYNHYASGGGWWHGEMEGVDGEEVGWTDDMWEGMGSITLGGLEWH >ORUFI10G13760.2 pep chromosome:OR_W1943:10:15425431:15432006:1 gene:ORUFI10G13760 transcript:ORUFI10G13760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLGAFVPDTAAQWRSVVKGEVARQLGVEAEARKLGARLEKVGAAVRDAEARVARGSDAAARWLARVRAAAYEADVAVDRCRATARRLTRGREQQLQQHNQALPWLLSTCCDVAEPRRDIAADLKNVSQKLKSIIKEQRQLQLQASVADHTDDHPRKILRHRKSEPTDIDIVGTAMEDDARRLVRRLTQPDSGGVVAIYGPDGIGKTTLAKVVFDSERVKRRFETRSWVHVSRGCVEDGKREAALLSQVVEAVVDGGGATTGAETVAELERMLAALVANRRFLLVLDEVRNGGEWEELVRRLLERGGRGSKVLVTAVTAGVARDMGAGHVHRVNRLGEDDGWALLRVAACVADDGGAALRGVGRRIVGKCGGVPLAIRAVAGVLRTREAIAEEWAVVDASPAWKVKGLPDDAMKPLYLCYDDMPCHLKQCFLYCSLFLSDFAVDRRSLVQQWIAEGFVQIRGDAGVEEVAEEYYDELIGRNLLQPAEADRHGCVERCTMHDTLRSMAQVLSHGENLTGDAQAQRLPSDGDAPFAPRHVSFPRNHLAAIPEEVLKLEGVRTLLLQRNPLTIGSNIFTRLLYLKVLDLTETAMEVIPETLGNLLYLRFLNLSQTRIKALPETICNLWSLKFLLLRECKALHVLPKGIEHLKGLRDLDLTGTVIKDAAFRVGHLRNLTSFRCFTVTSKEARTVQDTAQDRSGWPLDELKNLCQLRTLHVKRLEKATSQSKAAEVALHAKTGLRELELSCSGTVKTLQIPTVVRNIEDIFQELKPPRGLESLKIANYFGTKFPTWLSSTCLPNLLRLNITGCNFCQSFPLLGRLPELRSLCIADSSALKDIDAQLMDTDNSHQVPFPKLEDLHLQGLHNLETWTSIEAGALPSLQALQLESCPKLRCLPDGLRHVTSMTELRIVDMESLEAVENIAALRELSVWNTPNLKKICNLPSLEDLDICHCPSLETVDNINRLQEVHIFDHELQEMPRWIQAHASKLCSLEFMSTTELLKRCLIDGPDWPVIKDIAQVHGYSNDSSYIYYSKSLKIFEGSASIRESRDAERSVADSDKVDDASIESRNVDRDAEIDGFFSSKLVETGTARSEDNVPDRNMERFMTRSTGRRLHKLEEVPEGDEDEEEEGADPVVLIPDDTTKSDTVLEKLHPVVTHDHNDKAGSKMKRDPPAKTAPGTSVIQSAATMCHKLVREGSQAISITETDQDLSLDSFRSKEHASSKQKVDTSGSVIEKVKEGRYKSANINDRKHIERVHDSANKMSTTSVEKSIPETVKPMKATKNNSKATDYHGTENKSPDSLACSRQTISQIEKDVSDADKAANHPSSAITENRLHKANEIDASATAIKTEVSKKSAGANICNTLNPADSQTVHATDTAQDLPSSLLHDDPHLPDVGSSTSTSISDNCIQTESSNTSLSANLNNEEPKAKGVTKTTCASGPCKLTATLDCKQQTTNPSDDTDASMKKMASNISEKVVKTLKRPHAETVNITRSSSLPIDPKSHVIDTTAATKKLEATLKNRSSRNAAPGLADDKTPVYNDSHQAPKVYTAIWADTDTDTLKARFLSTMQHYRRMASHRRRRHRKHGSRTKWSIWPVLVAILLIISVAQLLFTFWIVVDEEVKPISPPPEKEEEEAISPPQPPKREIIRVTTKAIVKEKASAFSSVGSSSSSGKSGAGGGALNAAALFRRFNSSAPVPRAEAAGDGDGGVRLEIEDIAAGDRRRETRKRKSPLGGGGGGDDHHSGGSGKRVVVLGDDPKPRPAARTMTTTATTRRQRRGRRHAGPTGRGEEGGDQAAYNHYASGGGWWHGEMEGVDGEEVGWTDDMWEGMGSITLGGLEWH >ORUFI10G13760.3 pep chromosome:OR_W1943:10:15425431:15432006:1 gene:ORUFI10G13760 transcript:ORUFI10G13760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLGAFVPDTAAQWRSVVKGEVARQLGVEAEARKLGARLEKVGAAVRDAEARVARGSDAAARWLARVRAAAYEADVAVDRCRATARRLTRGREQQLQQHNQALPWLLSTCCDVAEPRRDIAADLKNVSQKLKSIIKEQRQLQLQASVADHTDDHPRKILRHRKSEPTDIDIVGTAMEDDARRLVRRLTQPDSGGVVAIYGPDGIGKTTLAKVVFDSERVKRRFETRSWVHVSRGCVEDGKREAALLSQVVEAVVDGGGATTGAETVAELERMLAALVANRRFLLVLDEVRNGGEWEELVRRLLERGGRGSKVLVTAVTAGVARDMGAGHVHRVNRLGEDDGWALLRVAACVADDGGAALRGVGRRIVGKCGGVPLAIRAVAGVLRTREAIAEEWAVVDASPAWKVKGLPDDAMKPLYLCYDDMPCHLKQCFLYCSLFLSDFAVDRRSLVQQWIAEGFVQIRGDAGVEEVAEEYYDELIGRNLLQPAEADRHGCVERCTMHDTLRSMAQVLSHGENLTGDAQAQRLPSDGDAPFAPRHVSFPRNHLAAIPEEVLKLEGVRTLLLQRNPLTIGSNIFTRLLYLKVLDLTETAMEVIPETLGNLLYLRFLNLSQTRIKALPETICNLWSLKFLLLRECKALHVLPKGIEHLKGLRDLDLTGTVIKDAAFRVGHLRNLTSFRCFTVTSKEARTVQDTAQDRSGWPLDELKNLCQLRTLHVKRLEKATSQSKAAEVALHAKTGLRELELSCSGTVKTLQIPTVVRNIEDIFQELKPPRGLESLKIANYFGTKFPTWLSSTCLPNLLRLNITGCNFCQSFPLLGRLPELRSLCIADSSALKDIDAQLMDTDNSHQVPFPKLEDLHLQGLHNLETWTSIEAGALPSLQALQLESCPKLRCLPDGLRHVTSMTELRIVDMESLEAVENIAALRELSVWNTPNLKKICNLPSLEDLDICHCPSLETVDNINRLQEVHIFDHELQEMPRWIQAHASKLCSLEFMSTTELLKRCLIDGPDWPVIKDIAQVHGYSNDSSYIYYSKSLKIFEGSASIRESRDAERSVADSDKVDDASIESRNVDRDAEIDGFFSSKLVETGTARSEDNVPDRNMERFMTRSTGRRLHKLEEVPEGDEDEEEEGADPVVLIPDDTTKSDTVLEKLHPVVTHDHNDKAGSKMKRDPPAKTAPGTSVIQSAATMCHKLVREGSQAISITETDQDLSLDSFRSKEHASSKQKVDTSGSVIEKVKEGRYKSANINDRKHIERVHDSANKMSTTSVEKSIPETVKPMKATKNNSKATDYHGTENKSPDSLACSRQTISQIEKDVSDADKAANHPSSAITENRLHKANEIDASATAIKTEVSKKSAGANICNTLNPADSQTVHATDTAQDLPSSLLHDDPHLPDVGSSTSTSISDNCIQTESSNTSLSANLNNEEPKAKGVTKTTCASGPCKLTATLDCKQQTTNPSDDTDASMKKMASNISEKVVKTLKRPHAETVNITRSSSLPIDPKSHVIDTTAATKKLEATLKNRSSRNAAPGLADDKTPVYNDSHQAPKVYTAIWADTDTDTLKARFLSTMQHYRRMASHRRRRHRKHGSRTKWSIWPVLVAILLIISVAQLLFTFWMYRKLMNQNSKVGKEATSNSDCPQFFSPSFSLLTFALESFSVVDEEVKPISPPPEKEEEEAISPPQPPKREIIRVTTKAIVKEKASAFSSVGSSSSSGKSGAGGGALNAAALFRRFNSSAPVPRAEAAGDGDGGVRLEIEDIAAGDRRRETRKRKSPLGARLASRIPSPPFRLSPLIRDAMSISLAGGGGGGDDHHSGGSGKRVVVLGDDPKPRPAARTMTTTATTRRQRRGRRHAGPTGRGEEGGDQAAYNHYASGGGWWHGEMEGVDGEEVGWTDDMWEGMGSITLGGLEWH >ORUFI10G13760.4 pep chromosome:OR_W1943:10:15425431:15432006:1 gene:ORUFI10G13760 transcript:ORUFI10G13760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLGAFVPDTAAQWRSVVKGEVARQLGVEAEARKLGARLEKVGAAVRDAEARVARGSDAAARWLARVRAAAYEADVAVDRCRATARRLTRGREQQLQQHNQALPWLLSTCCDVAEPRRDIAADLKNVSQKLKSIIKEQRQLQLQASVADHTDDHPRKILRHRKSEPTDIDIVGTAMEDDARRLVRRLTQPDSGGVVAIYGPDGIGKTTLAKVVFDSERVKRRFETRSWVHVSRGCVEDGKREAALLSQVVEAVVDGGGATTGAETVAELERMLAALVANRRFLLVLDEVRNGGEWEELVRRLLERGGRGSKVLVTAVTAGVARDMGAGHVHRVNRLGEDDGWALLRVAACVADDGGAALRGVGRRIVGKCGGVPLAIRAVAGVLRTREAIAEEWAVVDASPAWKVKGLPDDAMKPLYLCYDDMPCHLKQCFLYCSLFLSDFAVDRRSLVQQWIAEGFVQIRGDAGVEEVAEEYYDELIGRNLLQPAEADRHGCVERCTMHDTLRSMAQVLSHGENLTGDAQAQRLPSDGDAPFAPRHVSFPRNHLAAIPEEVLKLEGVRTLLLQRNPLTIGSNIFTRLLYLKVLDLTETAMEVIPETLGNLLYLRFLNLSQTRIKALPETICNLWSLKFLLLRECKALHVLPKGIEHLKGLRDLDLTGTVIKDAAFRVGHLRNLTSFRCFTVTSKEARTVQDTAQDRSGWPLDELKNLCQLRTLHVKRLEKATSQSKAAEVALHAKTGLRELELSCSGTVKTLQIPTVVRNIEDIFQELKPPRGLESLKIANYFGTKFPTWLSSTCLPNLLRLNITGCNFCQSFPLLGRLPELRSLCIADSSALKDIDAQLMDTDNSHQVPFPKLEDLHLQGLHNLETWTSIEAGALPSLQALQLESCPKLRCLPDGLRHVTSMTELRIVDMESLEAVENIAALRELSVWNTPNLKKICNLPSLEDLDICHCPSLETVDNINRLQEVHIFDHELQEMPRWIQAHASKLCSLEFMSTTELLKRCLIDGPDWPVIKDIAQVHGYSNDSSYIYYSKSLKIFEGSASIRESRDAERSVADSDKVDDASIESRNVDRDAEIDGFFSSKLVETGTARSEDNVPDRNMERFMTRSTGRRLHKLEEVPEGDEDEEEEGADPVVLIPDDTTKSDTVLEKLHPVVTHDHNDKAGSKMKRDPPAKTAPGTSVIQSAATMCHKLVREGSQAISITETDQDLSLDSFRSKEHASSKQKVDTSGSVIEKVKEGRYKSANINDRKHIERVHDSANKMSTTSVEKSIPETVKPMKATKNNSKATDYHGTENKSPDSLACSRQTISQIEKDVSDADKAANHPSSAITENRLHKANEIDASATAIKTEVSKKSAGANICNTLNPADSQTVHATDTAQDLPSSLLHDDPHLPDVGSSTSTSISDNCIQTESSNTSLSANLNNEEPKAKGVTKTTCASGPCKLTATLDCKQQTTNPSDDTDASMKKMASNISEKVVKTLKRPHAETVNITRSSSLPIDPKSHVIDTTAATKKLEATLKNRSSRNAAPGLADDKTPVYNDSHQAPKVYTAIWADTDTDTLKARFLSTMQHYRRMASHRRRRHRKHGSRTKWSIWPVLVAILLIISVAQLLFTFWIVVDEEVKPISPPPEKEEEEAISPPQPPKREIIRVTTKAIVKEKASAFSSVGSSSSSGKSGAGGGALNAAALFRRFNSSAPVPRAEAAGDGDGGVRLEIEDIAAGDRRRETRKRKSPLGARLASRIPSPPFRLSPLIRDAMSISLAGGGGGGDDHHSGGSGKRVVVLGDDPKPRPAARTMTTTATTRRQRRGRRHAGPTGRGEEGGDQAAYNHYASGGGWWHGEMEGVDGEEVGWTDDMWEGMGSITLGGLEWH >ORUFI10G13770.1 pep chromosome:OR_W1943:10:15435054:15436037:-1 gene:ORUFI10G13770 transcript:ORUFI10G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIGIAETKNARRRSEAASSTAVDAAREEATMAYVEAHGGRKDKLLVSSERPRVRVRADALRCLAVPLSRDGPERQITGVLLDAALDLLRRRQAGRRRRRNGRRVLLGSVEEQDWLEYVSSLPPRTAAAAGHDADMSATGARYLAHDTVLFPVNHQSHFFVAALDVAAGEYRILDSSNYGRRFGPRFYDAAMSKIRGGVARCVAAAGRAGAEADAGGWKLRMVAGLPAQTDESSCGLFAIKCMELWDGEKLERGFTMDDVHELRRKLAGELIFWELNEMQEVKDEIEFMARKMMMMMSSSSPLRNCGDRVKLATLGGAGGVRVCY >ORUFI10G13780.1 pep chromosome:OR_W1943:10:15438016:15442483:-1 gene:ORUFI10G13780 transcript:ORUFI10G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKAKEAAPAARGHPALRGPARRERYTHGLGAAQMGALRAMCGALIPALPAEEEDGARGGGDMDVERFYLATAAESTVPDEVAELTMTRCIWEAGVLVRIILWILATRVGTLALCGRRCVSGEFPYVRRFADMPVERREAALKRSRSSSPSSRSSATSSSTPRFQVLIYHIVDENLENPSWKAIGYSVPAAEEEPQKEEPTEATPTPAPATAPPCRPLDNGVVETKQLDDNALLMSLAEKGLALKTGASSPSAHHHTVLCDAVVVGSGCGGGVAAAVLASAGYKVVVVEKGDYFAARDYSSLEGPTMERLYENGGVFSTANVTTTMFAGATVGGGSAVNWSACIRTPANVLQEWSNDHGLPLFGGQGYVQAMDAVCARLGVTGRCREEGFQNKAVRRGCEALGLRVEAVSRNSSEGHFCGSCNLGCPTGEKRGTDTTWLVDAVGRGAVILTGCKAERFILETNTGGGGGRRKKCVGVVAKCLGKGITKKLRIEAKVSVSACGALMTPPLLRNSGLKNRHIGRNLHLHPVSMAWGYFPDSTAELPGKSYEGGIITSMHRVTDRTIIETPALGPGAFAAVVPWESGRDMKERMRRYARTAHAVVLVRDRAAGTVGGEGRVRFTPSRGDAGELRAGLRRALRILVAAGAAEVGTNRSDGLRLRCKGARDEDVEAFLDEVSVEKGPMHPGSDKWALLASAHQMGSCRMGRSAKHGGVDGGGESWEAEGLYVCDGSLLPTAVGVNPMITIESVAYCVAKGIAESLASRAARSVENRDVSTNPPLACES >ORUFI10G13790.1 pep chromosome:OR_W1943:10:15445745:15447714:1 gene:ORUFI10G13790 transcript:ORUFI10G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDVEVVVASRRRRRFKNEGARQPPGSSALLAWWIHTANGVVDRDKIVYDDRPVTARFLQDESSKVVGGFDRREDALTEVGGGFWALGRVGEWKAGSYGLMPITVAIRLVGDSPNGCGQPYIHTTSKVSTQLHGRGVSNSTSY >ORUFI10G13800.1 pep chromosome:OR_W1943:10:15452946:15456389:-1 gene:ORUFI10G13800 transcript:ORUFI10G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFACSGIPLPDGTTREHLTRFVTSAALVRVFDEKRNRDDKLRVAVRLPNNRITDGFLGLYDHDIAIVTCFGLIEVRPISFKACPDGIQALVAGRAFESGNLMAMDGFVRCNNTWVPDSQDISKAVLGGPLLGKDKGFIGMNFSICHDDDGTLTYAFLPTKLLRKRLEHFGILNPKHLHFRGYSLPKGVSSIIPSGFMKTIYRLKSYGYPMPPPLVLELNGELLNHFEERFGELLAWKGYPYGDPAKSCRNRVWYQLPKEVVTNISRRVVSLASFNGFVRFFACTGLIIKWHGSKATRTVILTSASLVNRCNDDKIDSNLTIEVFLPPNQRCCGTLEFYNLNYNIAIVSLKKNFNAVRLEDIFSKTVQEPSEKVVAIGRDTRLGPLMATIGNVKRGKKGCKLDCKDLKLSTCKIKKAGIGGPLINFDGSFVGMNFYDGSEATPFLPRHKIVEVLSRVNDLPSESGCNNPMPIDIGEGTKKNRWPVPEPYWYHGSLDVDRSYVPKCIGRRLQ >ORUFI10G13810.1 pep chromosome:OR_W1943:10:15462876:15467934:1 gene:ORUFI10G13810 transcript:ORUFI10G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKPRWPGKNKEADARVRLTAKTLRNRGQIDCTRGVSREQRAINRRKKLESSSGYVKSRKRLLKVYVEYQKRLKALDAFEKNQCAIEEERFGEGLCDREVSTIAFKEESFGHIVEPSQQTYRTSASDDLDLSDIVVSLALFDGDKMLFAFSGIPLPSRRSREELTSFVTSADLARAFNEHRNRDDNLTVAVRLPDNTTTDGFLGLYDHDIVIVTCLGLEEVSPIDFNGTPACPDGSPLLAAGRAFKSGNLMAMSGSVHSGNTWVPDSQDISKAVLRGPLLGKDNIFLGMNFSIYDDDDRTVKYAFLPMELLHERLKHFGILDPKHLHFRGYSLPKGVKSIVPSGFMKTIYRLKSFGYPMPPPLVLERLVRSFACTGLIINWHGSKALRPVILTSASLISHNKDKIDSNLKIEVFLPPNQRGSGILEFYSLNYNIAIVSLKRNFNAVRPENIFSGTVEMPSEKVVAIGRDVTLGPLMATIGKVIQHGKNNCKLDCKDLKAGIGGPLIKFDGSFVGMNFYDGSRVTPFLPKDKIVKVLSTVNDLPSESGRNHPMPIDVDDSTKKNSLDYYIQQSTYMGNIILDDRWPVPEPYWYHGSLDVDMHYIPKLIGRVL >ORUFI10G13820.1 pep chromosome:OR_W1943:10:15471045:15472442:1 gene:ORUFI10G13820 transcript:ORUFI10G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPGIIISISGREEAVMIANATFFNNKKSQLNFWVSFPNSTGYEQERSVPFSEVVLEDEFFCTFTLKPIEGGFIKPIQFEIQPVKRMDEVHSFVFPREEYITPTSYPNVNGVKYFGVEGVFHNCKMHEYGYLGSPVFNFRGDLVAITYLDKGQLQAWTVKSLLSGILRRKTRTRLQRRALLKTNLDS >ORUFI10G13830.1 pep chromosome:OR_W1943:10:15480151:15489278:-1 gene:ORUFI10G13830 transcript:ORUFI10G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQMAAAAAEAARGHPLLRGGERRERYTHGLGAAQMEALRAICGAFIPSLPEAAAALAEADDEGRGGGDKDLERFYLASAADAAVPDEVAELMVNRCAWEAVALVTVVLWLLATRAGTLALCGAAACVASSAAGGWLPSVRRFADLPPERREAALRRWSSARWLFPLKITFTVIKIICHFVFYTKISPILPSRHPGHCARRPLPVTSLFRLADATPASRRRRLAVPGPPLTGCEQRDSTLTVCTLDEKSRNPSWKAIGYAAPAAAAAAVEQRRPASPSRRPLEDGVVETRRMDDNALLRSLVEKGLAVKTGTAAHHTVQCDAVVVGSGCGGGVAAAVLASAGYKVVVVEKGDYFATEDYTSLEGPSMERLYEKGGVFGTSNVTTILFTGATVGGGSAVNWSACIRTPAEIREEWSREHGLPVFASTAYAQAMDAVCDRIRVTGGCEEEGFQNRVLRRGCDALGMRADAVPRNSSEGHFCGSCNLGCPTGDKKGTDTTWLVDAVERGAVILTGCKAEHFILERNAGGRGGRSKRCVGLMATCTSSGITKKLRIEAKVSISACGALMTPPLLRNSGLKNRHIGRNLHLHPVSMAWGYFPDSTAELPGKCYEGGIITSMHRVTDRTIIETPALGPGAFSAVVPWESGRDMKERMRRYARTAHAFALVRDRGAGSVDGEGRVRFSPSRDDAEELRAGLRRALRILVAAGAAEVGTHRSDGLRLRCKGVRDADVEAFLDEVTIEKGPMYPGSDKWAIFCSAHQMGSCRMGASPRDGAVDGAGESWEAEGLYVCDGSLLPTAVGVNPMITIQSIAYCLSNGIADTLSH >ORUFI10G13840.1 pep chromosome:OR_W1943:10:15489505:15495904:-1 gene:ORUFI10G13840 transcript:ORUFI10G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPLLRGGARRGRKYAHGMHPAQMEALRAMCGALIPSLPVDADGGDGGRRPGDKDLERFYLASAADSSIPDEVAELLVTRCIWEAVALTWVVLWALSTRAGTLLLCGRDSVAAVDGGGFPFVSVRRFTDMPAARREAALRRWSGARWLFFPLRIAFAIAKILCHYVFYSMVNENSENPYWKAIGYRVEEPRRDRAESMPSPSPSPVSRRPLDNGVVETRALTDTTLLRSLAAKGLAVRPGASDEHHTVRCDAVIVGSGCGGGVAAAVLASAGYKVVVVEKGDYFTKEDYSSIEGPSMERLFERGGVFCTSNVTTMIFTGATVGGGSAVNWSASIRTPAGVMQEWSREHGLAVFASPGYARAMDAVCERLGVTDACREEGFQNKVVRRGCDALGLRADAVPRNSSEGHFCGSCNFGCPTGDKKGTDTTWLVDAVERGAVILTGCKAEHFIVESNGGGGGRSKRCVGLVATCMSNGITKKLRVEAKVSISASGALMTPPLLRNSGLKNRHIGRNLHLHPVSMAWGYFPDNTPEPHIPGKCYEGGIITSMHRVTERTIIETPALGPGAFAALVPWESGRDMKERMRRYARTAHAFALVRDRGAGSVDGEGRVRYAPSRDDAEELRAGLRRALRILVAAGAAEVGTHRSDGTRLRCKGARDADVEAFLDEVTVEKGPMHSTTDKWSVLCSAHQMGSCRMGASPRDGAVDVAGESWEAEGLYVCDGSLLPTAVGVNPMITIQSIAYCVAKGIADSMAHGKEQR >ORUFI10G13850.1 pep chromosome:OR_W1943:10:15520905:15521138:-1 gene:ORUFI10G13850 transcript:ORUFI10G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHLPLPHQGEQIQVGIEAEDVDVAPGDLGVKYDGALVGVHGAPPPAGAGGGRGGRTRHEEEHEGDEGKRGEDDE >ORUFI10G13860.1 pep chromosome:OR_W1943:10:15524820:15525542:1 gene:ORUFI10G13860 transcript:ORUFI10G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMPPLLISFFAMQLRERMARTSWESLTLTAAGVAATGEDLGDAATVLLPGANDIPDQRRDVDIVRGGEAAEEERQRVGAHGEAAKERHGRSCLGEDAANDEVIEDGDGGLVSGETEGSLLRETAALRAQAEGVVEEGRHGRGEEGGDEMVCVWTRLQRRGGVGWRQALVTGGRRHCARAHMSAALRRKE >ORUFI10G13870.1 pep chromosome:OR_W1943:10:15527039:15529675:1 gene:ORUFI10G13870 transcript:ORUFI10G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRPAMDSHNEQPPPPKSLKIYVKMMKTFTLNVNSTDTVDQIKSKLSAIEGIDKSKQEMFFAGMHLKNEDKLADYNIMTNSSVDLYVTDAIQISVRIPSVGKTTKLNMRKSNSIADIKAEIEQEEGILMNEQILMYAGQQLEDNQLLSQCDLRNDQTFHVLVCPNDKLHVFINVRGEKTIGLETKRWYTVADVKLMIENLEGLPACSQILTRMQSGVGVALTDGRMLQDQHVKNNDTLLLQQNVQFFVKSWEGKTLTMVLKTSDTGKQIKDRIAEKLRIKESLYYLCHKGRVLLTEDTLLDHEVESNSTVYIRLRNSAVVKPNAKKR >ORUFI10G13880.1 pep chromosome:OR_W1943:10:15531032:15534221:1 gene:ORUFI10G13880 transcript:ORUFI10G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGVEEEEAFEHTLLVVREVSVYKIPPRTTSGGYKCGEWLQSDRIWSGRLRVVSCGDRCEIRLEDPASGDLFAACFVLPGQREAAVETVLDSSRYFVLRIEDGRGKHAFVGLGFGERNEAFDFNVALSDHEKYVKREHEKEAADGGAGGGEENDDGQIDIHPAVNRRLKEGETIRINVKNKPSTGSGMLSSAGLSGGASAKPKASMLLAPPPGSAGKLRSPLPPPPNDPAAARMNSGSNAGIRAPKEPAKRNSDAFSDLSAMKQNLPSSTESAQTKSTGAGWAAF >ORUFI10G13890.1 pep chromosome:OR_W1943:10:15537104:15540853:1 gene:ORUFI10G13890 transcript:ORUFI10G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFTKLQTREKSIGKKKELPPNGKEGTDEAPSSATKQRVAAAKQYIEKHYKEQMKNLQDRKESLEKKLADANVSEEEQHNIVKQFEKKETEYMRMQRHKMSVDDFDLLTMIGKGAFGEVRVCREKNTGNVYAMKKLRKSEMLRRGQVEHVKAERNLLAEVDHHCIVKLYCSFQDSEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHRHNYIHRDIKPDNLLLDRHGHLRLSDFGLCKPLDYSNFPDLNEKDVTSTKPQSTNGDGRQQSMPKRTQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKIVNWRTHLKFPEEARLMTDAKDLISKLLCNVDQRLGTKGAEEIKEHSWFSGVEWDKLYEIEAAYQPQVTDELDTQNFEKFEESSDNIQCSAKAGPWRKMLSSKDLNFVGYTYKNFELVNDPEVLGMAELKKKEKAKRPSAKSLFDSSPDAEEQPAPAPAPAENDEGSTRSTEPDMPRSLSAPST >ORUFI10G13900.1 pep chromosome:OR_W1943:10:15543773:15548867:1 gene:ORUFI10G13900 transcript:ORUFI10G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVVGGKYKLGRKIGSGSFGELYLGVNIHNGEEVGIKLESVRSKHPQLHYESKVYMQMQGGNGIPHMKWYGVAGEHNVMVIDLLGPSLEDLFNSCNRKFSLKTVLMLADQIKYKDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESVGYLLLYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPAEVLCKSYPSEFTSYFHYCRSLRFEDKPDYSYLKKLFRDVFTREGYQLDYIFDWTTSKNPQMGSTNKLIQQQSGRMIGIGPSVDRPDKTSVGQEIRDRFTGAVEAFARRNPGSGRLGDNSRHKSLADSFGSSTEAVVDSERTRTISRNRSSAKMPTTAAAATPSSRGTSSKGDGGEQNRAGRWVSSGSGSGSNRPPPAAAQRHHHHHHHSAAADDRSPPAAKGGTAIRCFERLSIGGERRK >ORUFI10G13900.2 pep chromosome:OR_W1943:10:15543932:15548867:1 gene:ORUFI10G13900 transcript:ORUFI10G13900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCRGIASAADQGVVTMEQVVGGKYKLGRKIGSGSFGELYLGVNIHNGEEVGIKLESVRSKHPQLHYESKVYMQMQGGNGIPHMKWYGVAGEHNVMVIDLLGPSLEDLFNSCNRKFSLKTVLMLADQIKYKDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESVGYLLLYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPAEVLCKSYPSEFTSYFHYCRSLRFEDKPDYSYLKKLFRDVFTREGYQLDYIFDWTTSKNPQMGSTNKLIQQQSGRMIGIGPSVDRPDKTSVGQEIRDRFTGAVEAFARRNPGSGRLGDNSRHKSLADSFGSSTEAVVDSERTRTISRNRSSAKMPTTAAAATPSSRGTSSKGDGGEQNRAGRWVSSGSGSGSNRPPPAAAQRHHHHHHHSAAADDRSPPAAKGGTAIRCFERLSIGGERRK >ORUFI10G13900.3 pep chromosome:OR_W1943:10:15543773:15548867:1 gene:ORUFI10G13900 transcript:ORUFI10G13900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVVGGKYKLGRKIGSGSFGELYLGVNIHNGEEVGIKLESVRSKHPQLHYESKVYMQMQGGNGIPHMKWYGVAGEHNVMVLCKSYPSEFTSYFHYCRSLRFEDKPDYSYLKKLFRDVFTREGYQLDYIFDWTTSKNPQMGSTNKLIQQQSGRMIGIGPSVDRPDKTSVGQEIRDRFTGAVEAFARRNPGSGRLGDNSRHKSLADSFGSSTEAVVDSERTRTISRNRSSAKMPTTAAAATPSSRGTSSKGDGGEQNRAGRWVSSGSGSGSNRPPPAAAQRHHHHHHHSAAADDRSPPAAKGGTAIRCFERLSIGGERRK >ORUFI10G13900.4 pep chromosome:OR_W1943:10:15548873:15550592:1 gene:ORUFI10G13900 transcript:ORUFI10G13900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAAAAAAAPGFGAALVSRWIGGVRPGSSVGHFYGWALVLITHGLAEEQSHGGGGGKGVAKLCEAMAMQTWPSSAQRGVGDNVVEQPQKQVVAIVAYFFSHGVIFVATRMASSRCRCGGNHRRGVRGRSGFFFLKYLMFQLMYLDVSLVRLKCCRGICDGVSFSQYIRICLMFQYIFIHCSNNILLRDLIVFHHFQY >ORUFI10G13910.1 pep chromosome:OR_W1943:10:15551584:15554547:-1 gene:ORUFI10G13910 transcript:ORUFI10G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFAKKPTPREAIRSSKRELTNATRGIERDIGTLQLEEKRLVAEIKRTAKTGNEAATRILARQLIRLRQQISNLQGSRAQIRGIATHTQAMHANTSVAAGMQSASKAMGALNKQMDPAKQMKVMQEFQKQSAQMDMTNEMMSDSIDNILDDDQAEEETEDLANQVLDEIGVDIASQLSSAPKGRITGKKVQADESSELDELEKRLAALKNP >ORUFI10G13920.1 pep chromosome:OR_W1943:10:15556543:15557820:-1 gene:ORUFI10G13920 transcript:ORUFI10G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAASLSLFRTPQAHHLAELRVDGADQSPVAFSDPCAVGRKRRCLFPPFSPRKRMLLELPPFASTPTAPAPGSASPGALSSGGDRSTRTGGSGGGGGGGSSFSAMAERVLAPPSVSSGSGAAFAFAFLAASPKQQQPLTPMGSTASCGGAGSGFLPPDPSSSLTPMGSKSNGIGASAFLASPRPATRSANDGGGFAFFRSPEPERTAGDTTRSGAPFSAPPNLVSGPAGSPASAAAKEPSQIIGDGGLVAPPYTISSSPARKSRSSTLWSRRLAHAAAEGRTSPQPPRDEQLQITLPPPPQKVTKTVLAPATGEPSRGATLSSSAATTCCTFLTSPAKATNQVRIVCTDLLFLSPLFLNLDCSTRRRSLAHLQEREARASSRMGGGEGATTAAAAVACAGGEVVVSVTCSCGAREEFCFDHCH >ORUFI10G13930.1 pep chromosome:OR_W1943:10:15560354:15568208:1 gene:ORUFI10G13930 transcript:ORUFI10G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAARRDAATLDPELLQLPELSPGALRENPALAEALYSQWLALPETSKLVNSLIEDAKAGATLNVTGSSASTNAASSGSLPSMFPAGSAPPLSPRSTSGSPRVMRRGSGAGPSSLGSPLKVVSESVREVIPQFYFKYGRPAPKDLKEQCLSRIDHLFFAGEGLQIQEFRSVTKDICKLPSFFSSVLFKKIDAAGSGTVTRLFKFYTLLHGEAISVLILFYLRGSLYLELNLLYLEVRDAFVDYWINDNKITMDTASQIFEILRKPDYNYLTQEDFKPVLKELLATHPGLEFLQGTPEFQERYAETVIYRIFYSINRAGNGHLTLRELKRGNLIAAMQQLEEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHSLTYRIVDRIFSQVPRKFTSMTEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGILTTTEMQFFYEEQLHRMECMAQEPVLFEDILCQMIDMIGPENECYFTLRDLKRCKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDVWDESLEAPF >ORUFI10G13930.2 pep chromosome:OR_W1943:10:15560354:15568569:1 gene:ORUFI10G13930 transcript:ORUFI10G13930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAARRDAATLDPELLQLPELSPGALRENPALAEALYSQWLALPETSKLVNSLIEDAKAGATLNVTGSSASTNAASSGSLPSMFPAGSAPPLSPRSTSGSPRVMRRGSGAGPSSLGSPLKVVSESVREVIPQFYFKYGRPAPKDLKEQCLSRIDHLFFAGEGLQIQEFRSVTKDICKLPSFFSSVLFKKIDAAGSGTVTRLFKFYTLLHGEAISVLILFYLRGSLYLELNLLYLEVRDAFVDYWINDNKITMDTASQIFEILRKPDYNYLTQEDFKPVLKELLATHPGLEFLQGTPEFQERYAETVIYRIFYSINRAGNGHLTLRELKRGNLIAAMQQLEEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHSLTYRIVDRIFSQVPRKFTSMTEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGILTTTEMQFFYEEQLHRMECMAQEPVLFEDILCQMIDMIGPENECYFTLRDLKRCKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDVWDESLEAPF >ORUFI10G13940.1 pep chromosome:OR_W1943:10:15571764:15576703:1 gene:ORUFI10G13940 transcript:ORUFI10G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRMVLFGDSITEQSFRPGGWGAALADTYSRKADVVVRGYGGYNTRWALFLLHQIFPLVGIAPPLATTVFFGANDAALLGRTGERQHVPVAEYKENLKKIDCSKSMLIVLITPPPIDEDGRERFARSLYGEEARKLPERTNEMAGVYASQCIELAREMNIHCIDIWSKMQETAGWQKLYLSDGLHLTPEGNAVVHKEVVQTLRSVGLKAEEMPYDFPHHSRIDGSCPEKAFQ >ORUFI10G13950.1 pep chromosome:OR_W1943:10:15579415:15581208:-1 gene:ORUFI10G13950 transcript:ORUFI10G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGDVSRCHRCGLWSNGSAPITVSASITMRSSRVTAHAVYLDYPTRTEQKNGGNLVVMRPDRRRPRDDFFKGEGGGGSLVVVQPDRDRRPQDDFGRAAADSEKDVSPIHAKPRKPLDQNPEGMDVAGFSKHGGKCYADNLRRYCNSGKLIQACCVIDEMVLHGQIPETKCCVRIIRGLVKTGKANKARHVLEVMVLSGGVPDTISCNMLIAQLCRGGQLSSALQVLEDMRFSGCSPSGITFNTLIRCMFNQRMYDRAISFWKEQLRLGWPPYEMTSTLLVDLVCKNCGPQKALEVLDELSLEGCQPDVVTYNALISASCKAGRLNDAKFILTRLAAEGLEPNGTTYCILLHALCNMRRWDEVCDLLEDMNQVNRDPDVTTYNIFINYFCKYGHLDQAIDVLERMVINNCSPDIVTCNTLLNAISKKGMVEEALGIARCIRENGYKLVLITYNTLIDALAKKAEVKKAMVLFDEMLSDGISPDDITYGSLVMGFCKKDMADEALDLLNQMLTLGFEVKTTTFVMVIQALCRDGKVEAAAEITKVMLSKNSIPGNSLCSSIVTKVAKSGWNKEAQMLHQKLVECEILKEDAEVILSS >ORUFI10G13960.1 pep chromosome:OR_W1943:10:15582952:15598223:1 gene:ORUFI10G13960 transcript:ORUFI10G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFPGGAPDPQQLQSTMLAIEQACSLIQMHMSPADAEKVISSLHSSPMPYQACRFILETSHMPNARFQAAGAIGDAAIREWGILSDDNKKSLIVYCLNYVMEHASSPEGYVQAKVSAVAARLLKRGWVEFSDQEKAAIFFEIEQCVRGIHGPNRQFATINFLEALVSEFSPGTASAMCLPKEFHEQCQWSLEVKFLKDFYCWAQAAVFNSADRILNVNASVAEEKACSAAFRLMFQILSWSFKHNVEHANSEAKINSGLRSDAINLKKFERSLVKPGSVWSDVLISSGHVQWVLNFYTAARQKFSYDTLWVDSPIATSCRQLIVQLCSLTGSVFPNDNADGQIQYLVRILSAVVHWIEPPDVIAASIRSGASESEFVDGCHALLSMASLTTCSLFDNLLKSTRNYGTINLLSALTSEAVKSFLDNQNEEETWGSESLDILLETWNVILGDVDSEKSPMSVDGAIAASSLFKIIVESHLKAAADSAFEDTDDAEYFHVSVSKRDEQLALYAQIARSAADTTIPFLAQLFSERFARLSQRNGENDPTQTLEELYWLLLITSHVLTDSGEGETLLIPEALQVGFPYVVEVAQHPVVALSWNQGKAVIWFLARWVATYLVPLDVSRGQVNRAEIDSVDKHMLQHSRKMLNSFAWENNQGERVLDFVVLISMVALTTYQGEIELQTLTCQKLLATVVRRKHTCTYVVQLDSWRDLTRAFASGRSLFSLTGRLQRSLAETLACAASCIKDPEASVQYLRDLMGPVAGCLVENANRSDLKSVAQQADVVYMVCCLLERLRGAARATQPRTQKVLFEMGHTVMNSLLTLLESAVIYMILKFVVDFVDGQAVFLDAKETSVLVGFLSDSSIEGSQDIAEVIYVGVDIVTPLISLDLLKYPKLSRDYFALISHLLEVYPEKVANLNKDCDIVDRCLTAINALASYHFKERLGAYGIRRIKWQTSGKHIKSLFEAASAIASVRRFQRLLQELVEKQQNPTVKSRLGMAFHNLTSSNNLSNSLDRPNRQRFRKNLRTFLGDVSGFMQIK >ORUFI10G13960.2 pep chromosome:OR_W1943:10:15582952:15598223:1 gene:ORUFI10G13960 transcript:ORUFI10G13960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFPGGAPDPQQLQSTMLAIEQACSLIQMHMSPADAEKVISSLHSSPMPYQACRFILETSHMPNARFQAAGAIGDAAIREWGILSDDNKKSLIVYCLNYVMEHASSPEGYVQAKVSAVAARLLKRGWVEFSDQEKAAIFFEIEQCVRGIHGPNRQFATINFLEALVSEFSPGTASAMCLPKEFHEQCQWSLEVKFLKDFYCWAQAAVFNSADRILNVNASVAEEKACSAAFRLMFQILSWSFKHNVEHANSEAKINSGLRSDAINLKKFERSLVKPGSVWSDVLISSGHVQWVLNFYTAARQKFSYDTLWVDSPIATSCRQLIVQLCSLTGSVFPNDNADGQIQYLVRILSAVVHWIEPPDVIAASIRSGASESEFVDGCHALLSMASLTTCSLFDNLLKSTRNYGTINLLSALTSEAVKSFLDNQNEEETWGSESLDILLETWNVILGDVDSEKSPMSVDGAIAASSLFKIIVESHLKAAADSAFEDTDDAEYFHVSVSKRDEQLALYAQIARSAADTTIPFLAQLFSERFARLSQRNGENDPTQTLEELYWLLLITSHVLTDSGEGETLLIPEALQVGFPYVVEVAQHPVVALSWNQGKAVIWFLARWVATYLVPLDVSRGQVNRAEIDSVDKHMLQHSRKMLNSFAWENNQGERVLDFVVLISMVALTTYQGEIELQTLTCQKLLATVVRRKHTCTYVVQLDSWRDLTRAFASGRSLFSLTGRLQRSLAETLACAASCIKDPEASVQYLRDLMGPVAGCLVENANRSDLKSVAQQADVVYMVCCLLERLRGAARATQPRTQKVLFEMGHTVMNSLLTLLESAVIYMILKFVVDFVDGQAVFLDAKETSVLVGFLSDSSIEGSQDIAEVIYVGVDIVTPLISLDLLKYPKLSRDYFALISHLLEVYPEKDCDIVDRCLTAINALASYHFKERLGAYGIRRIKWQTSGKHIKSLFEAASAIASVRRFQRLLQELVEKQQNPTVKSRLGMAFHNLTSSNNLSNSLDRPNRQRFRKNLRTFLGDVSGFMQIK >ORUFI10G13970.1 pep chromosome:OR_W1943:10:15598948:15605033:1 gene:ORUFI10G13970 transcript:ORUFI10G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSDGGQSKRPILLLCVMVVCLCLLFLYFSGSNGQAGSAALEYGTKFSRSLGWGSDGDGDDGSEESIFGTGDADDVELKSFPVCDDRHSELIPCLDRNLIYQMRMKLDLNLMEHYERHCPPPERRLNCLIPPPHGYKVPIKWPKSRDIVWKANIPHTHLAHEKSDQNWMIDAGEKIKFPGGGTHFHHGADKYIANIANMLKFKDNNINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWKKMSSLVERMCWKIAEKRNQTVIWVKPLNNDCYRSRAPGTNPPLCKRGDDPDSVWGVQMEACITPYPEQMHKDGGTGLAPWPARLTTPPPRLADLYVTADTFEKDTEMWQQRVDNYWRLLKPKIKPDTIRNIMDMKANFGSFAAALKEKDVWVMNVVPHDGPSTLKIIYDRGLIGSTHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLLEMDRIVRPSGFIIVRDKDTVIEFIKKYLNALHWEAVTTVDAESSPESEENEMIFIIRKKLWQPGGSQDPNPK >ORUFI10G13970.2 pep chromosome:OR_W1943:10:15599834:15605033:1 gene:ORUFI10G13970 transcript:ORUFI10G13970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSDGGQSKRPILLLCVMVVCLCLLFLYFSGSNGQAGSAALEYGTKFSRSLGWGSDGDGDDGSEESIFGTGDADDVELKSFPVCDDRHSELIPCLDRNLIYQMRMKLDLNLMEHYERHCPPPERRLNCLIPPPHGYKVPIKWPKSRDIVWKANIPHTHLAHEKSDQNWMIDAGEKIKFPGGGTHFHHGADKYIANIANMLKFKDNNINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWKKMSSLVERMCWKIAEKRNQTVIWVKPLNNDCYRSRAPGTNPPLCKRGDDPDSVWGVQMEACITPYPEQMHKDGGTGLAPWPARLTTPPPRLADLYVTADTFEKDTEMWQQRVDNYWRLLKPKIKPDTIRNIMDMKANFGSFAAALKEKDVWVMNVVPHDGPSTLKIIYDRGLIGSTHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLLEMDRIVRPSGFIIVRDKDTVIEFIKKYLNALHWEAVTTVDAESSPESEENEMIFIIRKKLWQPGGSQDPNPK >ORUFI10G13980.1 pep chromosome:OR_W1943:10:15605114:15605450:1 gene:ORUFI10G13980 transcript:ORUFI10G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECFNKIFGVGFLLPSESGLDLGEWWLAMRQNFRNNYKDAIDSLVLLVCWMVWKKRNARVFQNQRRSAGFLFGSIKEEVTIWKEAGVFRNIGE >ORUFI10G13990.1 pep chromosome:OR_W1943:10:15605670:15608405:-1 gene:ORUFI10G13990 transcript:ORUFI10G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLPLLLPPSPPRALSPRCSLQPRARHHLLDGEASPRRGAPAAGANAAAYAREIGACVRARRWGAACEAFAAMRAAGAAPDRFLLPQVLRACAGAREGRLAAAAHALAAKGGPAFAGDAVVGNALVAMYAALGDVAAARAAFACLPERDVVAWTALVGAYADAGELGEAFELFETMQESGVRPDVISWNTLVSGFARNGDLRAALHLFDEMRLRGVKPGVNSWNCIISGCVQNARYDEALNIFCEMCESESPDAVTVASILPACTGLMALGIGKQLHSYVIRCGIKLNVYIGSSLIGMYSECGEFGYARSVFAAIEEKNATVWNELIRSYINEERMNEAWEAFRLMKENGLQPDTITYNSFIAAYARAGQKEQAYTLLSNMVEIGLKPNVVSMNALISGLHHHGRHADALEAFRYMQVSSDGEAKGWALPGNSIQPNGTTITSVLSLLTDLRLDRLGKEVHCYAFRSGLISNIFVSSKLVDLYGKTGDVGTADKVFRRISNKNVVTWNSILASYRQNRKPEIALKLFHEMIKSNLLPNLVTLQIALLSSGMTMALQHGRELHGYIRKNWPDGYPTALASALIDMYGKCGKIEDARLVFECTDEKDIATWNAIMSAYLLHRIPGEVKKLFKYIEQSGIQPDPVTFIILLSACKQEGSMEEARRYFYSMEDVYGIQPTLKHYTCMVDIMGMAGLLEESLELIQKMQLEPDGCLWSILLKACKLHSNLEIGEKAAKALFELEPNNTSNYMSLSNIYADNGLWESTEALRVAMTEQGLNVERQCSRLYLGTDVHTFEAGDSSHPAFEKILSTWNDLSDRMEQSGYPPQDIEPYSNAEADPLSCQHTERIALCYGLISVRVHDLIRISKNFRMCMECHSSIKFISRDMNREIFVSDGCTYHHFQNGACSCGDMW >ORUFI10G14000.1 pep chromosome:OR_W1943:10:15642436:15644945:1 gene:ORUFI10G14000 transcript:ORUFI10G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLREMESTLPPGFRFCPSDEELVCFYLRNKVANHRVASGTLVDVDLHAREPWELPEVAKLTAEEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDRIVHEGTTRAVVGMRKTLVFYLGRAPNGQKTTWVMHEFRLETPNSQPKEDWVLCRVFDKKKPSTIEAEGGGSSGSDLFIPGATDGSTDPSSPTTMAPLLGSSPDPTVVDRFDHRSAAVPPLMVLMQGGGDQMISGSGVHCSNNDNSGSSSALLNLTMLQYSFLEHRPTGDDMAVGAHFGTCQGGNNDATMALGMGFEEHGMGEIIEMEPAWRQGGSNCVYRDELYF >ORUFI10G14010.1 pep chromosome:OR_W1943:10:15651510:15666426:1 gene:ORUFI10G14010 transcript:ORUFI10G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQATAAVKEALAALYHHPDDATRTAADRWLQQFQHTLDAWQVADSLLHDESSNMETQIFCSQTLRSKVQRDFEELPSEAFRPLQDSLYALLKKFSKGPQKVRTQICIAMAALAVHVPVEDWGGGGIVNWLSDEMNSQQDFIPSFLELLTVLPQECSSHKIAARPERRRQFENDLRSSAEVALSLLTACLGIDQLKEQVLEGFASWLRFCHGFYKNKVQFPVTSELIHFTVSRESNGITEQLPLIQVLIPYVMGLKEQLKDSSKDEEDVKAIARLLADMGDSYVELIAAGSDDAMQIVNALLEVTSHSEFDISSMTFNFWHHLMRNLTDRGSYASYGSEVSINTERNRRLQLFRQPFEILVSLVSFRVEYPELYHTFSEEDQRDFRHSRYAVSDVLLDATDVLGGDPTLKILFTKLIQACGNGQNQKWQPVEAALFCIQAIAKSVSVEENEILPQVMSLLPSFPHQEQLLQTVCSLVGAFSKWIEAAPSELLILPPLVDILNKGMSTSEETAAAASVAFKYICEDCRRKFSGSLDGLFQIYQIALSGVGGYKVSSEDSLHLVEALSNVKLPQVVADAVNRYWPTLKSIFDQRAWDTRTMESLCRSCKFAVRTCGRFMGFTIGAMLEEIQTLYQQHNQACFLYLSSEVIKIFGSDPACANYLASLIQALFGHTIQLLRTIQDFTARPDIADDCFLLASRCIRYCPDLFVPTEMFPRLVDCAMAGITIQHREACKSILSFLSDVFDLAKSPEGEKYRELINTVILQRGAVLTRIMVASLTGALPSSRLEEVSYVLVSLSRSFGGNMLSWARECITLIPPQALTDSERSRFLNIISDASSGSSLGSITDRFAEISEVCRRNKTVQDIVQGLGKIRTQLSALLGVFHDSHGWIDYFIGF >ORUFI10G14020.1 pep chromosome:OR_W1943:10:15666965:15670280:-1 gene:ORUFI10G14020 transcript:ORUFI10G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVRARATVKRVVLTSSVAAVLHDGRTTMQGGDDGHVVAKAYGAGKVRSEKEASRVARENGISLVTVLPVIVVGAAPATRGFNSSSLVLSLLAGHEATTEMLKATQDLAGGTTPLVHLRDVCRAQVFLAEKGEAAAAAGGRYLCCGANTTVARLAGFLAGKFPQYNVKTDGFGDVAEEPRMLISSEKLVGEGFEYEGKNLDDMFDDAVEYGKALGMLP >ORUFI10G14030.1 pep chromosome:OR_W1943:10:15681983:15682597:-1 gene:ORUFI10G14030 transcript:ORUFI10G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVAHAAAPDSPHSDSGGGGGGMATGATSASAAGASPSRYESQKRRDWNTFGQYLRNHRPPLSLARCSGAHVLEFLRYLDQFGKTKVHAPACPFFGHPAPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGRPENNPFGARAVRLYLREVREHQARARGVSYEKKKRKKPPHPSSAAAAHDDAANGALHHHHHMPPPPPGAAA >ORUFI10G14040.1 pep chromosome:OR_W1943:10:15699847:15704125:-1 gene:ORUFI10G14040 transcript:ORUFI10G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAPASATAAASLFLRRSLSSSSPRHVFLPHWVAPIVVARPARLSAVPAKRGGGGVVAAASAAAGSAQFGAGDSENPYEILGISPLDGFDQVKMAYKRRRKDAESNKDAEHLFKLERAYDMVMMEQLQNRKNGVAYGSIQVSKDIKYADNQPVVPWGPRYSRSTGKDLRINMAISATFIMYISTMGHADWKPMQFLCFAYFYRILDKLKATESASTPIYNEYGEVEGRGIHMAKRVLRSLGLVLGSILAVSLGYTGLANFSQFLGQYIPSVVYNFQVK >ORUFI10G14050.1 pep chromosome:OR_W1943:10:15707192:15711818:1 gene:ORUFI10G14050 transcript:ORUFI10G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGAPTWEQRGFSGLPVAARLNNGHPFPPLSQNSPKRAASPLLLLPNPYASRTFSKLPLPPPSAPMAKEPMRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPATESLNGLKMELVDAAFPLLKGIVATTDVVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEAHAAPNCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISEKLNVQVTDVKNAIIWGNHSSTQYPDVNHATVKTPSGEKPVRELVADDEWLNTEFISTVQQRGAAIIKARKQSSALSAASSACDHIRDWVLGTPEGTFVSMGVYSDGSYGVPAGLIYSFPVTCSGGEWTIVQGLPIDEFSRKKMDATAQELSEEKTLAYSCLN >ORUFI10G14060.1 pep chromosome:OR_W1943:10:15717234:15719432:-1 gene:ORUFI10G14060 transcript:ORUFI10G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKEGLRRGAWSPEEDDRLVAYIRRHGHPNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTADEEDLIVRLHNSLGNRWSAIAAQMPGRTDNEIKNVWHTHLKKRLDDERKLAAAAGGGGRRPHTRKQPKAAKSAAAVKREATPSVSVDTSSGVTCSTVTESSPSSADGDHRRQQQQTQHAAVKEESFSSGGELPAAAAAPTAAADMDESFWSSTEVTGMMAGLGDMDEELAIAGTSSAAAARSDDMEFWLKMLLESGDMRDLAVL >ORUFI10G14070.1 pep chromosome:OR_W1943:10:15732510:15733241:-1 gene:ORUFI10G14070 transcript:ORUFI10G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSVHVLAILGYITVHSVALGTVFASVAGQHLQGRPRRWFHFSGMAFGVRRLTGFAFLRWATRDAIVQMLCLWFFSEVHDQAQLFRLFVVAKLMPFSASVNPWLAATVGGPELDGFFIVWALLDAVISVLFTVVPWVVVMDRDPRPPGRNAVKEGCYLVSLMATDATLIKCWQTVVCGSMGQLAMVTLGGKVLGGFLHSIGEVYFMVVWLMFYFSARCKEVRLGGRHFGLEDVAAALDGFRQ >ORUFI10G14080.1 pep chromosome:OR_W1943:10:15739349:15748977:-1 gene:ORUFI10G14080 transcript:ORUFI10G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRICNDGAAAATPHGTGYGGHLADTAAGGAFFSRSASSASTSATATSPTQPFARRPDDSPPRWSPSSAGPPSSQPSKNTATCTNDEPRHGDGAPDAAMTTKTSGGGGGAADALLVKIHNPVFLWKVYGFSALLQRGALAAKSAAFHCSGYNWYLKVSPMHKTLGDGTPHVALSLVLSRLSFKPDYTMNAVFVLSMYNHSKGNFLVVKASYNFDVKNTHSRNICLISLEDQLKSSEYLLDDTCVLGVEILQIDVCRSLKKKNVKVQKKFLFLQKKKFVSVQNLFLQKKDFTKGDYTWTMNNFPKLDLKPSVLSPAFEIGRRKWFIRMYPRGDEYSTNSLSMYLFPQSWDKLLPEPGMMIELTLSILNQNNAQLHKVSGRFVFASKNGWGWSNFIALNKLKDLVGSSCIVKADITIIGSSSESQIVYMLRLIYWRRDLKRELEERTMGNVAGRAAGALLFCITADDPDPRFMASLRYFSEEPHQSPLICSTTVGTPGLKEDIVVDTTFELSIYNHSRRTHHGTRASYKFHYPKYYSEYTYLIPLSKLQDGSDFLADDTCVFGLDILRARKFKPTRNAKGVTIQHVFLQTKGFMQGNYTWNIEDSKLDLKSIICSPKFDIGEHKWYLRVDPYGDYRNRDYVSIYLCLDDNSNMPPIESAIMAEFTISILNQKNGKHSQQKARTVFSWKGIAWGWHKFIRRDQMKNTNAGFVVGSSWTVQAEVTVIGSSSHA >ORUFI10G14090.1 pep chromosome:OR_W1943:10:15749507:15755474:1 gene:ORUFI10G14090 transcript:ORUFI10G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTNSPVVVVVPDPVVVGVGLHHPHPAAALISRRVAMARDYAAAAAVLRPPWLLDLLPFLIVLLIAAHVLALGYWIYRLATDGSRQPARSKKH >ORUFI10G14100.1 pep chromosome:OR_W1943:10:15762263:15767890:1 gene:ORUFI10G14100 transcript:ORUFI10G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALAQLVTEQGYIVFPEALSIDHYHIKNRSGSAAALCDILWNRFRECDSNGCVWDALANSYARAQMVHDALYVLSKMSSLNMQISVFTYDSLLHGLRMTDVALELFEEMESCGVSPSEYSHSIIINGLCKQDKVGEALSFLQEARKEGKFKPLGMTFNILMSALCNWGFVQSAKSFLCLMLKYGLVPDRYTFSTLIHGLCKVGSMEEALDLFERVTKEGMELEIVTYNSLINGYRLLGLTKEIPKIIQMMRGQGVEPDLVTYTILIAGHCESGDVEEGMKVRKDVLDQGLQLNIVTYSVLLNALFKKGMFCEIDNLLGEIYNIGLDMDVIAYSILIHGYCKLGEIEKALQVCNAMCSSQRVMPTSLNHFSILLGLCKKGLLVEARWYLENVARKYQPTDVVFYNVVIDGYAKLGDIVNAVRLYDQITVAGMHPTIVTCNSLLYGYCKIGDLQLAESYFRAIQLSGLLPTAVTYTTLMDALSEAGEVNTMLSLFDEMVAKRIKANAVTYSVIVKGLCKQLRFDEAINVLKDMDSKGINADPITYNTLIQGFCESENVQMAFHIHDIMLCRGLVPTPVTYNLLINVLCLKGKVIQAEILLESLRENGIKLRKFAYTTLIKAQCAKGMPINAVLLVGKLLDAGFEASIEDFSAAINRLCKRQFAKEAFMFVPIMLSVGIYPDTQIYCVLGRALQKNSELVYLPILNALAVKTGI >ORUFI10G14100.2 pep chromosome:OR_W1943:10:15762263:15767890:1 gene:ORUFI10G14100 transcript:ORUFI10G14100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALAQLVTEQGYIVFPEALSIDHYHIKNRSGSAAALCDILWNRFRECDSNGCVWDALANSYARAQMVHDALYVLSKMSSLNMQISVFTYDSLLHGLRMTDVALELFEEMESCGVSPSEYSHSIIINGLCKQDKVGEALSFLQEARKEGKFKPLGMTFNILMSALCNWGFVQSAKSFLCLMLKYGLVPDRYTFSTLIHGLCKVGSMEEALDLFERVTKEGMELEIVTYNSLINGYRLLGLTKEIPKIIQMMRGQGVEPDLVTYTILIAGHCESGDVEEGMKVRKDVLDQGLQLNIVTYSVLLNALFKKGMFCEIDNLLGEIYNIGLDMDVIAYSILIHGYCKLGEIEKALQVCNAMCSSQRVMPTSLNHFSILLGLCKKGLLVEARWYLENVARKYQPTDVVFYNVVIDGYAKLGDIVNAVRLYDQITVAGMHPTIVTCNSLLYGYCKIGDLQLAESYFRAIQLSGLLPTAVTYTTLMDALSEAGEVNTMLSLFDEMVAKRIKANAVTYSVIVKGLCKQLRFDEAINVLKDMDSKGINADPITYNTLIQGFCESENVQMAFHIHDIMLCRGLVPTPVTYNLLINVLCLKGKVIQAEILLESLRENGIKLRKFAYTTLIKAQCAKGMPINAVLLVGKLLDAGFEASIEDFSAAINRLCKRQFAKEAFMFVPIMLSVGIYPDTQIYCVLGRALQKNSELVYLPILNALAVKTGI >ORUFI10G14110.1 pep chromosome:OR_W1943:10:15782601:15787524:1 gene:ORUFI10G14110 transcript:ORUFI10G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSRFKRICVFCGSSQGKKRSYHDAAIELGNELVARSIDLVYGGGSIGLMGLVSQAVFDGGRHVIGVIPKTLMTPEISGETVGEVRPVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDKAVEEGFINTSARRIIVMAPTAEELMDKLEEYVPYHDRVASKLNWEMGHLGY >ORUFI10G14120.1 pep chromosome:OR_W1943:10:15788587:15791726:1 gene:ORUFI10G14120 transcript:ORUFI10G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLIANLIVMGSGIIGRAMLQAYRKALDNANKTGVAHETINNIRRASKTMTEQEARQILGVSEQSTWEEIAQRYDNLFERNAKSGSFYLQSKVHRAKECLENVYQKNKQDGTPP >ORUFI10G14130.1 pep chromosome:OR_W1943:10:15793507:15800673:1 gene:ORUFI10G14130 transcript:ORUFI10G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATDGAASRLGVAGALGMSVTSSVAIVICNKYLISTLGFFFATTLTSWHLMVTFCTLYVAQRLRFFEAKPIDAQTVISFGLLNGISIGLLNLCLGFNSVGFYQASTIHFADPNHCILMTKLAIIPFTMLLETIFLSKKFSRSIKISLMVLLLGVGIASVTDLQLNLLGSIIAVLTIAATCVSQILTNQIQRRLKVSSTQLLYQSSPYQSAVLLVTGPFVDKLLTNRDVFAFTYTFQVVVRTTFLVIGTTSPVTYQVLGHLKTCLILSFGYVLLRDPFTFRNVAGILVAIFGMGLYSFFSVSESRDKKLADGPSPPLPISSSQMGEMKDSEPLLGGGGGGAAAKSSPWNEVKGLQSFDEVPRTAKSAFSRP >ORUFI10G14140.1 pep chromosome:OR_W1943:10:15814290:15822986:1 gene:ORUFI10G14140 transcript:ORUFI10G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGVAAEVVVEDAAAAAAAVPAAAVAGTGAGGGEEGRMRMEGWLYLIRSNRLGLQYSRKRYFVLEDAALRCFKAPPPPSSSSSSSKREDPVRSAIIDSCIRVTDNGRESVHRSVFYIFTLYNASNHYDQLKLGARSSEEAARWIRCLMESALKSPRKDEHIVACSHRRWQAFRLSRRSNRMHSIDWTVFSSVHNDPMASDVIAPSPWTIFGCKNGLRLFTEAKDGGSEGKYWDDHPAIMAVGVVDANSEAVFQTVMSLGQSRSEGMRKRDLLLRRYWRREDDGTYDAVLREAMSVHVLKVMWRICNIASQSRKTIGCEAYACYRLEILEVLSVYRICKTHHYTHARKTLREFFRAKNGNCACIEFSSGELTRDMRLQQGENERIKIEMLSASENNRLEDPTEGSLGGSNRHLSSAGSFIQLNDAADEFFDVPDDSEYDQREAMWPSDESTHSVDQRHAKLSTAAVFVKKLHDLAVQKRGYVDLQGAADFDNGPFCYGYTLPKDSNCTMPSTWAMTDPTTFLIRGESYLQDRLKIKANNTLMQMVGADWIKSDKREDDLAGRPGGLVQVPGSSTYSLALYYMMDTPLEKVPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTQEELPEFLLGTCRLNYLDASKAVSIDEC >ORUFI10G14140.2 pep chromosome:OR_W1943:10:15814290:15822986:1 gene:ORUFI10G14140 transcript:ORUFI10G14140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGVAAEVVVEDAAAAAAAVPAAAVAGTGAGGGEEGRMRMEGWLYLIRSNRLGLQYSRKRYFVLEDAALRCFKAPPPPSSSSSSSKREDPVRSAIIDSCIRVTDNGRESVHRSVFYIFTLYNASNHYDQLKLGARSSEEAARWIRCLMESALKSPRKDEHIVACSHRRWQAFRLSRRSNRMHSIDWTVFSSVHNDPMASDVIAPSPWTIFGCKNGLRLFTEAKDGGSEGKYWDDHPAIMAVGVVDANSEAVFQTVMSLGQSRSEGMRKRDLLLRRYWRREDDGTYALREFFRAKNGNCACIEFSSGELTRDMRLQQGENERIKIEMLSASENNRLEDPTEGSLGGSNRHLSSAGSFIQLNDAADEFFDVPDDSEYDQREAMWPSDESTHSVDQRHAKLSTAAVFVKKLHDLAVQKRGYVDLQGAADFDNGPFCYGYTLPKDSNCTMPSTWAMTDPTTFLIRGESYLQDRLKIKANNTLMQMVGADWIKSDKREDDLAGRPGGLVQVPGSSTYSLALYYMMDTPLEKVPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTQEELPEFLLGTCRLNYLDASKAVSIDEC >ORUFI10G14150.1 pep chromosome:OR_W1943:10:15834267:15839387:1 gene:ORUFI10G14150 transcript:ORUFI10G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVGEVEEVRCLDPQLWHACAGGMVQMPAPRSRVYYFAQGHAEHADGGGGAAAAAAELGPRALPPLVLCRVEGVQFLADRDSDEVYAKIRLAPVAPGEAEFREPDELCPLGAAGDAAEPSPEKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYRADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTRHGELCVGIRRAKRMACGGMECMSGWNAPGYGGGGFSAFLKEEESKLMKGHGGGGYMKGKGKVRMADVVEAASLASSGQPFEVAYYPRASTPDFVVKAASVQAAMRIQWCSGMRFKMAFETEDSSRISWFMGTISSVQVADPNRWPNSPWRLLQVTWDEPDLLQNVKCVSPWLVELVSSIPPIHLGPFSSPRKKLRVPPHPDFPFEGHLLNPIFHGNPLGPSNSPLCCYPDTAPAGIQGARHAQFGLPLTDHQLNKLHLGLLHSGSFNRLDAITPPSRISKGFVVSSAPAHDNISCLLSIGTPQVAEKSDDRKTTPHIMLFGKAIFTEQQITSSGSTETLSPGVTGNSSPNGNAHKTGNASDGSGSSICIGFSSQGHEASDLGLEAGHCKVFMESEDVGRTIDLSVFGSYEELYGRLADMFGIEKEEIINHLHFRDAAGVVKHPGEVPFSDFMKAARRLTIIAGDRERIERPLIECLVEQA >ORUFI10G14160.1 pep chromosome:OR_W1943:10:15863307:15863615:-1 gene:ORUFI10G14160 transcript:ORUFI10G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSSGGGNILPQWSRRVVKIPDLSCLGGGGRGGFGGGRRWCLACERAHAGCGGGVCAVLGVAAVVVALHRAPAVCCALACAAPGAASASVGWGLVGSSPG >ORUFI10G14170.1 pep chromosome:OR_W1943:10:15868148:15875400:1 gene:ORUFI10G14170 transcript:ORUFI10G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRSGSGSDGGGGGYDKAGMDSGKYVRYTPEQVEALERVYAECPKPSSSRRQQLLRDCPILANIEPKQIKVWFQNRRCRDKQRKEASRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNPSLGNDTSCESNVTTPQNPLRDASNPSGLLTIAEETLTEFLSKATGTAVDWVPMPGMKPGPDSFGIVAVSHGCRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSTASAQQFVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSVMGGDGIEDVIIACNAKKVRNTSTSANAFVTPGGVICAKASMLLQSVPPAVLVRFLREHRSEWADYNFDAYSASSLKTSSCSLPGLRPMRFSGSQIIMPLAHTVENEEILEVVRLEGQALTHDDGLMSRDIHLLQLCTGIDEKSMGSCFQLVSAPIDELFPDDAPLISSGFRVIPLDMKTDGTPAGRTLDLASSLEVGSTAQPTGDASMDDCNLRSVLTIAFQFPYEMHLQDSVATMARQYVRSIVSSVQRVSMAISPSRSGLNAGQKIISGFPEAPTLARWICQSYQFHLGVELLRQADDAGEALLKMLWDYEDAILCCSFKEKPVFTFANEMGLNMLETSLVALQDLSLDKIFDEAGRKALYNEIPKLMEQGYVYLPGGVCLSGMGRHVSFEQAVAWKVLGEDNNVHCLAFCFVNWSFV >ORUFI10G14170.2 pep chromosome:OR_W1943:10:15868148:15875400:1 gene:ORUFI10G14170 transcript:ORUFI10G14170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRSGSGSDGGGGGYDKAGMDSGKYVRYTPEQVEALERVYAECPKPSSSRRQQLLRDCPILANIEPKQIKVWFQNRRCRDKQRKEASRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNPSLGNDTSCESNVTTPQNPLRDASNPSGLLTIAEETLTEFLSKATGTAVDWVPMPGMKPGPDSFGIVAVSHGCRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSTASAQQFVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSVMGGDGIEDVIIACNAKKVRNTSTSANAFVTPGGVICAKASMLLQSVPPAVLVRFLREHRSEWADYNFDAYSASSLKTSSCSLPGLRPMRFSGSQIIMPLAHTVENEEILEVVRLEGQALTHDDGLMSRDIHLLQLCTGIDEKSMGSCFQLVSAPIDELFPDDAPLISSGFRVIPLDMKTDGTPAGRTLDLASSLEVGSTAQPTGDASMDDCNLRSVLTIAFQFPYEMHLQDSVATMARQYVRSIVSSVQRVSMAISPSRSGLNAGQKIISGFPEAPTLARWICQSYQFHLGVELLRQADDAGEALLKMLWDYEDAILCCSFKEKPVFTFANEMGLNMLETSLVALQDLSLDKIFDEAGRKALYNEIPKLMEQGYVYLPGGVCLSGMGRHVSFEQAVAWKVLGEDNNVHCLAFCFVNWSFV >ORUFI10G14180.1 pep chromosome:OR_W1943:10:15885414:15890811:-1 gene:ORUFI10G14180 transcript:ORUFI10G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPAYTCLREGPDHAPRFKAAVNFNGEQFESPGFFTTLRQAEHAAAEVALAALARRGPSYSLAARILDETGVYKNLLQEVAQRVGAPLPSYTTERSGLGHLPVFTCTVELAGITFTGDPAKNKKQAEKNAASAAWSSLRQLVRQEASSSNEPESNDEQEQIRIARALLNYRLKEKMAMANNPHASPFPKKFPMQPERRTAFPQSSHSSYSKILPLFRPKSNSRSRPESPAASDAASQTPFRPTESPNPRSRFPAAEAAPYVPVGHFRMPCHSMAPPVTVRTSIPVFSAPPLPPPGARTQQLPPLMSHPPPIRMASPVRIRPAPPLFTPSAVQGPKPMMPVQIKDVQHQQIKETRSPVMPVQVKDAQNQLLKGSLSPVIPVQIKDVQSQPPKEALSPAIPVQIKDVQLQPRNEPVSIGKGVVPLPAIRPPVKVEAPAEVKEASQPVAGSSVVQCKADTSPDSLPKTQLKTANADNADAKDDHLPVDAEEVEDIIRHLELK >ORUFI10G14190.1 pep chromosome:OR_W1943:10:15904418:15905206:1 gene:ORUFI10G14190 transcript:ORUFI10G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTGGGGSPKEAVVPSAASGDTTLGRHLAHRLVQVGVSNVFAMPGDLNLTLLDHLIAEPGLHIVGCCNELNAGYAADGYAWARGVGACTVTFTVRGQLLHGRRRRSHRFWNQVTGDEAGAAFRMGVSNVFAMPGDLKLTLLDHLIAEPGLHIVGCCNELNAGYASDGYAWARGVGACIVTFTVRGQLLHGRRRRSHRFWNQVTGDEAGAAFRNQECAGAAPSLPSAVARRSGSVVVDGGSASPSSPPSPSSETTASWDRHG >ORUFI10G14200.1 pep chromosome:OR_W1943:10:15913648:15914226:-1 gene:ORUFI10G14200 transcript:ORUFI10G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSGGGGGMSMVAAHRLFAPPPQQHGAEAVELDEAEVIWGTSSASSSPVEAYLRGGGAPPTHVAVASSKGGKGKRGGGGGAGAREGGGGGGGGAVASLPVNIPDWSKILGTEYGGRGSAGAAAARWPSDERGGDEECRGGLGWVPPHELLLCRERAAASFSVREGAGRTLKGRDLRRVRNAIWEKTGFQD >ORUFI10G14210.1 pep chromosome:OR_W1943:10:15925725:15927327:1 gene:ORUFI10G14210 transcript:ORUFI10G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQEEQHAGGGRDYAEPAPQPFLGSSELRRWSLYRAAIAEFVATLLFLYVTVATVIGHKRRQDEGGDGEACGGVGLLGVAWAFGGTIFLLCTAGVSGGHVNPAVTLGLLVARKVTLLRAALYVAAQCLGAGLVRALNSAHFARHGGGANVVGDGYSKGAGLAAEVAGTFVLVYTVFSATDAKRSARDSHIPVLAPLPIGFAVFVVHLATIPITGTGINPARSFGAAVWIFWVGPLVGSAIATLYHEHVLRASTLKALGSFKGARQ >ORUFI10G14220.1 pep chromosome:OR_W1943:10:15929446:15929922:1 gene:ORUFI10G14220 transcript:ORUFI10G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAMVFLVFAPCLAQAEERPTAAHPHGLPFESPLALSPDAYEFFHPSERARRGHAAGAAPALSPRAAPRGQLRESAASVARADQEEGGVAPARKVRRGCARAGTVAGVVAGAAAVAAFAALAVAYAVARRRVGVAAHGDAEACARAAPKTSA >ORUFI10G14230.1 pep chromosome:OR_W1943:10:15930621:15933852:-1 gene:ORUFI10G14230 transcript:ORUFI10G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGEKPAAAAEVRVLGSWASPFVMRVMVALRLKGVEYELLQETMGKKSELLLASNPVHKKIPVLLHRGKPISESLVIVQYVDEVWPPPASILPRDDPYAAAIHRFWGQYIDDMVSTLASMQFPPRIRILRGTVPGDKDEASDEMTTALLYLEEAFVECSKGKQYFGDDSIGYLDIALGSHLGWIRAVERIAGVELLGGAKVPNLAAWADRFCGHPAVVDVMPDVDILVEFTAKLI >ORUFI10G14240.1 pep chromosome:OR_W1943:10:15934604:15940828:-1 gene:ORUFI10G14240 transcript:ORUFI10G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATTAAAAGSEPGPGEQPGPRGEEVVVGAAAAAAAEAGAGAVTIVISQPEEAAEPKGAAAASPPPVVAGAKVAAAAVKEAELARTDSFDEQCRVCQQKTEEPLVDLGCRCRGDLSKAHRTCISVWFRTRGSNKCEICQQVAVNIPPPETQASGWFSPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCQEWGSRRSMPRLPMDGSMAPSGYHPVRLWPAVLCLGWVSSETVKFGKFSQELERLLGFALGSVSMGGFVLHQRRAIYRSLADADAAAAPSPFSSYQPSETRSRTSSTELAHVWNKGVDETLGRLVAYLSSRGWIAIQSCGKPPSVGYE >ORUFI10G14250.1 pep chromosome:OR_W1943:10:15953175:15956367:1 gene:ORUFI10G14250 transcript:ORUFI10G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAYRIASASEYLAITGYGIADVKLAKKAWVAPGQRCTRFDISPVNYTFEVQAMSAEKLPFILPAVFTIGPRADDDDCLLRYAKLISPHDKLSHHVNELVKGVIEGETRVLAASMTMEEIFQGTKSFKQAVFENVQLELNQFGLIIYNANVKQLVDVAGHEYFSYLGQKTQQEAVNQAKVDVAEARMKGEVGAKERDGMTRQNAAKVDAETKVYTVKRQGEGAKEEARVKAEVKVFENEREAEVAEANADLAMKKAGWQRQAMVAEVEAAKAVAIREAELQVEVQEANWELYNRQKAAEALLYEQEKQAEARRASADAAFFARRREAEAELYAKQKEAEGLVAMGDAQSAYLSAMLGALGGSYAALRDYLMVSSGVYQEMARINADAIRGLEPKISVWSNGAGAGGEVGEGGGAMKEVAGVYKMLPPLLTTVHEQTGMLPPAWMGTLTGGAPSSTS >ORUFI10G14260.1 pep chromosome:OR_W1943:10:15956908:15959264:-1 gene:ORUFI10G14260 transcript:ORUFI10G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYPLPGTTPATPIVYITQADQHEALLMNMKLTFMVVLMALMGSIIYSTGFLRLSFRRLPTCGGMFLYRYDLTRIVRFVLNFVFFQFVPLLSSAFSQSENRSKTELLLILLWMLLAEIIRKKGDRTVHAHGLRLRHTSHLVWIGYLICSKLPDDKSLSITFAVLWFLCFVKLVLSAVNRWFASYSFHTARNPLVIAGYMTKVMDKYNRDGDGRSAVPANDMSNCKFVVMGRRVVVAGGGHQHQATYCNENEQKHLHLCIAEPDDYSNSDSNKEWPLVTVKTIWEMREKHKNIFHGKIGDFLEDLCLSFSLFKMLRRQFEHYPMVEVGSDMARAMMLDGLLKLNFSSPGSNSSHDQLQRPFQVLLMELELLKNYYQQAAAPVVMSQPILFCTNFLSSIIFLYFFIDAVVDILIVNKDAAPLYCRIMGWGRTPVNSPSLILSLTMLLVLTVILIEAHDFLTSFVFSDWNIVRMLCSYDRPSRRWLQKIYSVVIYIRSCLLSSSKNKMTICQVSILDACGPIDKHFARTSQVTLPASATAQIIQALCSCHIINRSTGAINLPSGIDSNQMTTTEAILAWHLATELLETTTTMDKQKKECQIASLLPDEETWVSRRCGCRACPPSRRCRKKKIAKTAISGDQWENSFQDPTVRRGVKLFHWLREKPADEAWDELARLWVHLVIYLAPSNDVQGHAKALASWGADLITCLWALCTHAGITRQPPPEQHDVLVHDEQQIDVVVAHRQPTLNHDDDNSINV >ORUFI10G14270.1 pep chromosome:OR_W1943:10:15975073:15975639:-1 gene:ORUFI10G14270 transcript:ORUFI10G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRPIRPLAQLRPSRSRPRRSKWRDGSLCTGLRSAVAELERGGGEEMERSRAAAVFGGVCWLPVYGRQCEGRRGAALNVDIATVPGSWFGWGGKTDFG >ORUFI10G14280.1 pep chromosome:OR_W1943:10:15975860:15976114:-1 gene:ORUFI10G14280 transcript:ORUFI10G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGARTGYASATQGGGDGAHDGGTAPGQRQANGKTERRRSTTLRDDNGKEGKEGKEEMLTLRQPSSGTPCVVDGRRRSARGSS >ORUFI10G14290.1 pep chromosome:OR_W1943:10:15987267:15989777:-1 gene:ORUFI10G14290 transcript:ORUFI10G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFMVVLMAIVGCTLYINGFVQVFFPWVRQIGFQKYSLSIIARFLLNFAFFQFVPLVSATISQSQANSGGNNDGVLRNESELLAALLWLILVELIRKKVQGMLLPTDGSSFSRGIGRLTLMDVSYEVSHLVWVGYLIFANLARQTRTETLEFRYYLLGLTLIYIFTVLWSLCLAKLVLSLLNRRLASCSLHTARNPLVVAAYMQKLMEKQTATSPPAITLSTCKFVVMGEDRLVLHYNKVNNDDDNNNNKRKRRMRRRDNGVLEPVTIHGYGYGVARRVGGDQNEHKHVHLLLTDPDEYLRLTEHDCVEKGRLITVEDVMNMHEQHANLFKGRRRQLLEDLCISFSLFKMFRRRFEHYPMVEVGSAMARGVMLDGVLKLEGCEPVGKAQKLCSKFTLNRVQGQIQRGFQVLQLELDLLVHYYQQAAAPVVMSQPILFVVNFVSSLFLLCLLLGTVVYILFISSQGEPVYCQIIVWTTTGNGPISNVYFYITVLLVLTVIAIETHEFWTVHVFSSWNIVRMVCTYHRAAHRPWLRWLCFLVIRVRFLTFSVGKSEMVIYQMSIFDAASPLQKLYATVRAADVALPAIATGRIIDALRSDAVVSRTTGIVSLPDIDGLDFRTMTTTEIILACHLATELLDNEHDDHPPPAADENDDDQQQQKKKKEKKEDDRKIASVLSRYCMFLVAQIPELLPDDETWVSDRYGDTASALHLASRRVVCPTSRRRKKAIAVAVRSSRWEELFDDDPAARRGARLFHRLRRRGPAFDKAWDELARFWVHLVVYLAPSNDVQGHAKALASWGSGDLLTCLWTLCTHAGITRQPSEQAAELTVDDSNV >ORUFI10G14300.1 pep chromosome:OR_W1943:10:15995884:15999119:-1 gene:ORUFI10G14300 transcript:ORUFI10G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVVAGASEYLAITGWGIDDVKLAKKAWVFAGQKCLKFDATPVSYDIDVQAMSSEKLPFRLPAAYTIGPSPKIKRNPVVDGPAPPADTQRRLEDCDEEALLLYAKLIAASQIRSPNHVIDLVKGVIEGETRVLASSMTMEEIFQGTKKFKQQVFDQVQLALNELGLYIYSANVKQLVDDPDSPGNDYFSFLGQKRQAEVEGKAKVAEAEARMKGEIGAKEREGLTLQNAAKVDAETKVLSARQQGVGCREEIKVKADVEVYENEREADIAAARAALAVKKAGLDKQSKVAEVEAVKAVVVREAELQLEVQDSNAALYDRQMAADATLFEQVKSAEARKAQAGAKFFEQKLAEDARLYARQREAEALAGVGRAKAELVASMLRELGGDHGALRDSLMIDGGVYEEVARVNASAMSGIQPKISIRSGAGGANAGASSAGAVQQVAAADVYDMLPPFLQSSGGFNKLPL >ORUFI10G14300.2 pep chromosome:OR_W1943:10:15995884:15999119:-1 gene:ORUFI10G14300 transcript:ORUFI10G14300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVVAGASEYLAITGWGIDDVKLAKKAWVFAGQKCLKFDATPVSYDIDVQAMSSEKLPFRLPAAYTIGPSPKIKRNPVVDGPAPPADTQRRLEDCDEEAIRSPNHVIDLVKGVIEGETRVLASSMTMEEIFQGTKKFKQQVFDQVQLALNELGLYIYSANVKQLVDDPDSPGNDYFSFLGQKRQAEVEGKAKVAEAEARMKGEIGAKEREGLTLQNAAKVDAETKVLSARQQGVGCREEIKVKADVEVYENEREADIAAARAALAVKKAGLDKQSKVAEVEAVKAVVVREAELQLEVQDSNAALYDRQMAADATLFEQVKSAEARKAQAGAKFFEQKLAEDARLYARQREAEALAGVGRAKAELVASMLRELGGDHGALRDSLMIDGGVYEEVARVNASAMSGIQPKISIRSGAGGANAGASSAGAVQQVAAADVYDMLPPFLQSSGGFNKLPL >ORUFI10G14310.1 pep chromosome:OR_W1943:10:16000222:16000551:-1 gene:ORUFI10G14310 transcript:ORUFI10G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTVWGRRRGIVGDERRRGRTTLTARVLEPGAEVDWRRRSDGGLVTVKRRSEEERKIWRGGSARDGGAVAGWRRRAEWREEERGRESRWWRRSEQGRGKWSEQSARVY >ORUFI10G14320.1 pep chromosome:OR_W1943:10:16001144:16001875:1 gene:ORUFI10G14320 transcript:ORUFI10G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAWAVLNSILELEEEKRLLAIVLMYGWWNERNRRREGEGRRVASVLAFSVQKQVDELLNLALQQRREHKNRTVKKWTQPAAEVLKINVDGAFKHQLLLGGWGYVIRDVGAHVIQAGAGSSSRMQDAFHAEVMAGVKGLQAAANLGMANIQLESDSLTLIQALREENFRYAPLGGLLLEAKNIITSSFVSCQLSYCPRECNKVADAIASIGCNSPLNTDLVWDGVPPGVEDLVVGDYAASLG >ORUFI10G14330.1 pep chromosome:OR_W1943:10:16005536:16006342:1 gene:ORUFI10G14330 transcript:ORUFI10G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPREGSMLMGGGGALPSGGRGGGGGPASQIRPLPALTLPGKGTVTRGGGNGDSVFRTPPAAGYGINRRRPPWSEMGRSGRCDGDAAPSCSSSHTRSRSSDDGDDNELLILSSIGFCIDI >ORUFI10G14340.1 pep chromosome:OR_W1943:10:16006930:16008933:-1 gene:ORUFI10G14340 transcript:ORUFI10G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVVAGPSEYLAITGWGIDDVKLAKKAWVFAGQKCSRFDISPVNYEFNVEAMSSEKLAFNLPAVFTIGPKITPAPAPEVDGASNQRRVLMPESEEALLLYAKLIAPHDHASNHVKQLVKGVIEGETRVLAASMTMEEIFQGTKKFKQEVFDQVQLDLNKFGLYIYNANVKQLVDEPGHEYFSYLGKKTQQEAANKAKVDVAEERMKGEVGAKEREGLTRQNAAKVDAETKVVSVRQQGIGLREEAKVKAEVQVYENEREAEIAAAQAGLAMKKAGWEKQSKVAQVEAVKAVAIREAELQMEVERKNALRLTEKLKAEQLSKATVQYETQVQESNAALYNRQKAADATLYEQVKSAEARKAQADAMFFEQKLAEDARLYAKQKEAEALAMVGKAKVEYVTSMLQALGGDYGALRDYLMIDGGMYQEMARVNASAVSGMQPKISIWSGADGAAGEAGAGAMQQVAGVYKMLPPLLSTVHEQTGMQPPAWMGSLPKDGAN >ORUFI10G14350.1 pep chromosome:OR_W1943:10:16015129:16019990:-1 gene:ORUFI10G14350 transcript:ORUFI10G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETLVAMPLAPPHHHHHHAHLPALPHLAAPPPPPPPPAETELTEQREEEVPVDDVVEAAADVPRREEGLVVDGGEDVYYARRMLQGVVLRPPPHLPQPEAPPGLTRALSAPAPDGYVEEEEEQRPVERSASVNSAASAVVVDVASIGRFFRDRRDVLSSAITRRISSLKEASSSPPPPVGMDTYGVQEIHLPNVKVTVRLKDAIEADAEEDDAVGGGGDDGYSFSGSHIKGRVSFFSRSGCRDCAAVRAFFRQSALPYVEINLDVFPEREAEFASRAGASARVPQIFLNEKLLGGLVVLNSLRNSGEFERRVRDLAGRRCPDTAPRVPVYGFDNDPGKEGGDREDAMVGIVRVLRHRLPIQDRIVRLKLVKNCFSGADMVDGIVNHLECSRKKAVEIGRELARKHFIHHVFRENDFEDGSQNLYRFLEHDPAIPKYYNFIRGATNDGEPKLAAAIGQRMTKIMVAILEAYASDDRRHLDYSRIAASEEFRRYANMVQELQRVDMSALPAEERLPFFLNLHNAMAIHAVVRVGQPGAIDRRSSFSNFQYVVGGHPYSLATIRNGILRSNRRQPYTIAKPFGSSDKRLELVQGKVNPLVHFGLCDATRSSPIVRFFSTQGVEPELRHAARKFFLNGGVEIDLESRTVHLTSIIKWYSVDFGQDRETLKWILNYLDPTKAGLLTHLLNDEPLSCAFCLIGKMVNGKKSIEHRGNQHVELVARFESEDVYSIVSMSKWVHSIDEKGNMFVRIKCISHLLFLLSVYQIIPKSHTTDLVFKSNGQSSLSNLPPPVQLGRECTFLTPLLASLFLRCT >ORUFI10G14360.1 pep chromosome:OR_W1943:10:16024425:16027091:-1 gene:ORUFI10G14360 transcript:ORUFI10G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRTARIRQGLLRPLPLSSPPTAPPPPPPPPRLPLLPTAKMNVDKLKKMAGAVRTGGKGSVRRKKKAVHKTTTTDDKRLQSTLKRVGVNTIPGIEEVNIFKDDVVIQFLNPKVQASIGANTWVVSGTPQTKKLQDLLPSIINQLGPDNLDNLRRLAEQFQKQAPGASGEEAGASAGAAQGDDDDVPELVPGETFEEAAEEKKES >ORUFI10G14360.2 pep chromosome:OR_W1943:10:16024425:16026427:-1 gene:ORUFI10G14360 transcript:ORUFI10G14360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLNGKSMNVDKLKKMAGAVRTGGKGSVRRKKKAVHKTTTTDDKRLQSTLKRVGVNTIPGIEEVNIFKDDVVIQFLNPKVQASIGANTWVVSGTPQTKKLQDLLPSIINQLGPDNLDNLRRLAEQFQKQAPGASGEEAGASAGAAQGDDDDVPELVPGETFEEAAEEKKES >ORUFI10G14370.1 pep chromosome:OR_W1943:10:16028532:16030490:-1 gene:ORUFI10G14370 transcript:ORUFI10G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEACTVSQDNGRRCLPAWMLKPCSNDEVSKTRYRSEPVLESNKQPADLDQIKPAKRKRGEQVKIVDEEDADELGALQPCQGWKKVRRKRLDAVKDDNNGENAKITNKNARKVSRRSAPKNSGKRKLDNVEPEVSSSESIDDDIELTVEDLLSIAEEYVKADRLKQHEVKTTKTARYNENRCSPSISTEADIGGSIINARSMMGLPDTTRNARSMKGLPDTTMNAQSMKGLPDTAETNTAPSEPSRYEINKQQVQQCTPSFTATCDVAQDMLNIFFGPLLSKCSGYEKKPEVVQDANHATEKKDLSCDVQRQGEHATEKKHLSCDVQRQ >ORUFI10G14380.1 pep chromosome:OR_W1943:10:16030510:16031037:-1 gene:ORUFI10G14380 transcript:ORUFI10G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQARS >ORUFI10G14390.1 pep chromosome:OR_W1943:10:16039782:16044368:1 gene:ORUFI10G14390 transcript:ORUFI10G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCRPYVTRGDCVACFDAAATLLRAACGAANGGRAILDGCVVRYESAAFFDQATLPGNTQVCNGSAVAGGGFADAARGLVGDLVAAVPRAPGLAAAAAGAGVYAAAQCVVTVGEGGCAQCLEVAVRNIDGCPPNSDGRAVDAGCFMRYSDKPFFPANATVDLAPYLRSGKSDRKGAIIGGILGGVAILFLLGLLAFLWTWRSRKLLKPRRGDILGATELQGPTSFYYQDLKVATNNFCEESKLGEGGFGDVFKGLLKNGKTVAVKRLTVMETSRAKADFESEVKLISNVHHRNLVRLLGCSSKGSECLLVYEYMANGSLDKFLFGDKRGTLNWKQRFNIIVGMARGLGYLHQEFHVCIIHRDIKSSNVLLDDEFQPKIADFGLARLLPDDHSHLSTKFAGTLGYTAPEYAIHGQLSEKVDTYSFGVVVLEIISGRKLNDARLDPDSQYLLEWAWKLYENNNLIELVDKSLDPKEYNPEEVKKIIQIALLCTQSAVASRPTMSEQQVDVYL >ORUFI10G14400.1 pep chromosome:OR_W1943:10:16052439:16056933:1 gene:ORUFI10G14400 transcript:ORUFI10G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAMVCTRVNLLILILSLCSPYKFIQSPMDFGPLNLLPTTTTASSDFGRILFHSPSAVLKPQAPRDISLLLSFLSASPLGKVTVAARGAGHSIHGQAQALDGIVVEMSSLPSEIEFYRRGEGDVSYADVGGGIMWIELLEQSLKLGLAPRSWTDYLYLTIGGTLSNAGISGQTFKHGPQISNVLQLEVVTGRGEIVTCSPTKDAELFNAVLGGLGQFGIITRARILLQEAPQKVKWVRAFYDDFATFTKDQELLVSMPVLVDYVEGFIVLNEQSLHSSSIAFPTNVDFNPDFGTKNNPKIYYCIEFAVHDYQNKNINVEQVVEVISRQMSHIASHLYSVEVSYFDFLNRVRMEEMSLRNSGLWEVHHPWLNMFVPSAGISDFRDLLMDSISPDNFEGLILIYPLLRHKWDTNTSVVLPDSGSTDQVMYAVGILRSANPDDGCSHHCLQELLLRHRRLAGAAASGLGAKQYLAHHPTPAGWGRPPRPRGGRVRPRTGQGIFPRDSSSSNGAFASYS >ORUFI10G14410.1 pep chromosome:OR_W1943:10:16058861:16059649:-1 gene:ORUFI10G14410 transcript:ORUFI10G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRRVGRWPRHPNLRRKRCAGGQIRIQRPCSRIPRPSPVDGSDISTSDLARVVARWGVGRGRPTWALMGSPSQLAAVDAMAASGKKVDASNNSEKPRWLAATKGLDHNGGVGAFSLGAWRYKGVARQSASMCCGQLDHSSGMHDDGEVWLRAGPCSDIAGIVRWSSRRIWGPELKLGRIAPSSWAATTADRPLCPIPSHLLGAPTLLGWFISHKELHGETVKTAAGEEGRGCRHVVVGGGIVTSPPFLGEEMEVMACEEG >ORUFI10G14420.1 pep chromosome:OR_W1943:10:16066882:16067490:1 gene:ORUFI10G14420 transcript:ORUFI10G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRLALLLLAVASVSLLAASPAAAARPCHTFFVSFAANPNPIVGDGEVGDHRGAALATATVITVFRVRRLGPHLAHVHGHGHAHPNLHHLHSIPANVQIRRPELPEHPRPAAHAADSFQERAKDILVVVVGILFGVGCGALTAASMYLVWSVLAGDAAAAPSPYDELYGDEDEEASDSESPKKVGYVIIPGVEAHDGGMN >ORUFI10G14430.1 pep chromosome:OR_W1943:10:16069543:16069731:-1 gene:ORUFI10G14430 transcript:ORUFI10G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACELVALDMETREFEVIVQGPPCRHGEGKMTVLELHGALCVACSDMVNCDAVTALGRSSTV >ORUFI10G14440.1 pep chromosome:OR_W1943:10:16069750:16069941:-1 gene:ORUFI10G14440 transcript:ORUFI10G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWAMLRDDDVCRCPLLAGHAAMGYDAAASCSSAAATTTKGERQDRRRQGRWRTTCRQPTLT >ORUFI10G14450.1 pep chromosome:OR_W1943:10:16071392:16076950:-1 gene:ORUFI10G14450 transcript:ORUFI10G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEHKKAVGSVWLPDDVFFSILSYLPAKPVARFRSVSHSWRDMLSSAPFVQLHLRRANRPGQLKVFFHTGLPVDNDLPDKHFYYTWQQDGGPAKKLMRHGFSGGFSRITKPLHGLVLLFLFHSYGRGFFVLNPCTNALLTLPDTKYPLKNNRHLGTNLSGKYRSPGYMYWSYGLGYCSATGEYKVVRLFSLPYDPEAAATFCEVFVLDAPAYWRPTAQQPPAPADHNVKVMVTDPPVFLNGLFYFLCRDGLDVITLDVGDETFGSPPPLPVANMALDRNSKLTLTELDGCLCSYYREACSMRSYVLWLLRDGDHEAARWEQLCRVDTEAWPDPPWSIAPLTMLDGARRRSSSRQINAFGTSATPPEILLNPEDAVATAAETHLSPETTTATCRFRSEYPPELSLFEESLVPLGRTLEEIVFSSPATRAWSEVLKLLPARTVSDLSLVCREWRAMVTTNRFIRSHAVHSNLIATHPRIKLVVDTPRDYLDASGFADLDDLIISGNRPRMCTSTSFICSPPCHGLNLGTFRRTNYMFNPCTGYQVELCPPDYDYDDRVFDGVMALGYDAATGAHLAVHLDCWNFETRAYELRCRTQLVGGHEVWKPAESPPRAADMEVPAAYANGKIYWVVDRKFGPQPSSTAAAACELLVFDMEARKFEYRPEIGELSPEYSLETTSPMAIDPVDGRILLNTGTSLGYYDPKTRALETIYSVDIRHDNEGLRPAPGRGGRGGPAAGDVAAVAAATTTREQVRGIGGGEGSKAELVGGIETVDTGGDGAVVTPGSCGGEGSIGLRLTGGGTADETGASGGGKGAVVRGDGLDGDDKVGEYSIQAFTILPLLFT >ORUFI10G14470.1 pep chromosome:OR_W1943:10:16081991:16084110:-1 gene:ORUFI10G14470 transcript:ORUFI10G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRQLLLQARRFASRPPPPLSNMLVLCRGISDRGHKALPSAPPRHSTGQIQMPQTFHCSNANPLGNRFQIDVVDSDLWPASFDLSMDHAPKTGCPDDFQEHEDGEMHDSEDEIDDMRHRKKLFYKLDRGSKEFEENNVSLRHRRKREKGNVKNPKESKKVDPDESASVKLPKLKTKYTVREEDVVEAKRDRVPTFNQMTDPYHHPFCLDIHVTKGSVRACFVHRVSSRVVTVAHSISKDMKFDVGSRKGMKACAAVGALLAKRAIEDDIHNAIYTPRKGDRIEGKIEVVLRAIIDNGVEVKVKLKQRKPTKIHGIECTGYAAFSISLMAKLFIRMTPTTRNAQKS >ORUFI10G14480.1 pep chromosome:OR_W1943:10:16084865:16086604:1 gene:ORUFI10G14480 transcript:ORUFI10G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGSASKTGVFVRSFVQEPSSFGEEDAKPSKHDAREGTTEEDGDNANPGVKQVEVGDVSLGVVLAHSIDAEAAEGEGEGDGVQQHVPSWSGCPPTLSSSPSPFSSAGRTLPLLLFLAARGLAFLYPFVPISCVVELCLDRSRVNPLRLRTEKGGVGATQQLGALLLFLAARGLAFLYPFVPISYVVELYLDRSCVNSLRLRTEKGGVGATQQLGVHHGWRL >ORUFI10G14490.1 pep chromosome:OR_W1943:10:16088805:16091185:1 gene:ORUFI10G14490 transcript:ORUFI10G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPEDVLLEIFSRLPARSAARLRAMSRSWRAELSSPSFVDLHLRRANTTAPPKLFCCPCDDKLMLADQWCLYDLQLGGGPGRELVRGGEFGDVLPAPLTKPLRGLVLVMCYGRNGVYVCNPSTGGEALALPDTELPSKATFRPSLGPGPPYYRNVAYGLGYCSAAKEFKVVRMFSEGHYEETATRCEVFVLDSPAYWRPAAGKPPPACIVENTGVFLDGSVHFLCSDGGGMVSFNVADESFGSLPAPPPLAAAVYGVADWRIRERMTELDGCLCVCQYACGSDGHGPCRLWLLRRHGGGDETAARWEKLCCIDPIPWPSRSIVPLCMYGEKILMRTGRSVVFAVDAAACGGGAPEILFRPDEHEATAGEFEDTQLPALGLYEESLVPVGRTVEEIVFSSPATRAWSDVLKWLPARTVSELSVVCKAWRAMIHEPDISPKPFVCSQPCHGLNVGSFSDVLDFVCNPIMDYHEELPLIESDDDYDDDGDDDIFYGRIALGYDEEVGDHVVVRLAYTENNPETRSYKLQCRMRYVKRREWSPQPIPPPPRPIYWLVDPALGPASATTTTPPTSACELVALDCRNAVARHHYDVVRGPPMPPCGRVSVLRLHGALCVACSDRDANAIDVWAMQQGAGTAAGDAVWSMVYRIELAGYSPEYTSEKTTVVGVDPTSGRILLNTEQSLGYYDPKTGELETIYRVRRMYQEANDGSGAWYDGRFCAVVCEESLFWYPVWRDYAQ >ORUFI10G14500.1 pep chromosome:OR_W1943:10:16091861:16094034:-1 gene:ORUFI10G14500 transcript:ORUFI10G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLSAASRRVAPSPIQQLSHLAQRAGAVNLAEGFPDFPAPAHVKAAAAAAIAADLNQYRHVQGICDALAETMKRDHGLRVDPLTDFAVCCGQSEAFAAAIFAIIDQGDEVLLFDPAFETYQTCIELARGVPVYVPLDPPSWTLNEDKILKSFTNRTKAVVLNSPHNPTGKVFSREELLIIAQACQKMDCFAITDEVYEYITYDENKHISLASLPGMQERTIITSSLSKTYSVTGWRIGWACAPANIASAIRNIHVKLTDSAPAPFQEAALVALTSPPDFYSSLKQDYTARRDFILQVLTDFGFRISFKPQGSIFVFVELPRSWQLSDMDFVTNLIKNAGVAAVPGRGFFHSSTDDPSYHHRYVRFAFCKSNDTLNAAAEKMRKLAVSHDARLLRPTDDGEAGQTASATSP >ORUFI10G14510.1 pep chromosome:OR_W1943:10:16096098:16098448:1 gene:ORUFI10G14510 transcript:ORUFI10G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGFGDARSGNGSRSQCSRGKAMLLALGKGLPEQVLPQEKVVETYLQDTICDDPATRAKLERLCKTTTVRTRYTVMSKELLDEHPELRTEGTPTLTPRLDICNAAVLELGATAARAALGEWGRPAADITHLVYISSSELRLPGGDLFLATRLGLHPNTVRTSLLFLGCSGGAAALRTAKDIAENNPGSRVLVVAAETTVLGFRPPSPDRPYDLVGAALFGDGASAAIIGAGPIAAEESPFLELQFSTQEFLPGTDKVIDGKITEEGINFKLGRDLPEKIESRVEGFCRTLMDRVGIKEFNDVFWAVHPGGPAILNRLEVCLELQPEKLKISRKALMNYGNVSSNTVFYVLEYLRDELKKGMIREEWGLILAFGPGITFEGMLVRGIN >ORUFI10G14520.1 pep chromosome:OR_W1943:10:16098935:16100180:-1 gene:ORUFI10G14520 transcript:ORUFI10G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHLIRRFSTLPDVDHPPLPTSTPTPRLHLTVRGEANLARTHSLVATALSRPDDYPRLHGSRPLFSLAASRLARLRRPDLAASLLRALLDSAPASPGLLARAISLFPGPDDALRAFSDSAPAARSDVSLSALLSALFRAGRVDDVKSTLASAETSFGVAPGRASHNVLLHALVKNSELAAARKLLGEMAKKLKHRPAPDIVSYNTVLAGYSAQGDEEGFEKLLKEISAKKLEPNVVTYNCRIQWFAKKGETFKGEELLDAMESKDVAPNYLTYNALVQGYCKEGNVGSAMRVFKRMKVMKRREGRSDLGVSAHSQTYVVLFRSLVEKERLDDALWICKSCFAMKAAPPFEAVKGLVEGLVKGGKSAEAKDVVAKMNLLVKGDAKVAWEKIAGELSLEGTPSSNP >ORUFI10G14530.1 pep chromosome:OR_W1943:10:16101027:16111425:-1 gene:ORUFI10G14530 transcript:ORUFI10G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPATAASDATNPEAAEVPSAAPASESEGPFDSGVVAAATTPAVVDSAVEGDAPAAPAPTSGSGPAAPSMPANPASPATPGPPRPQFAGSPAYASPPAPAFSYNVLPRPSPRPQVGSGAAQQQLASPPAMMAPSVAAAALQPPVPGQYFGNRPSFSYNVVSHANAGLPTGQQFQLDTGTNHAVQVSRFVPPSSLQPPAPMNLARPSSAFPGAGAMPPNPPGSIRLPFPGPPRPSINTFVASPQQAQPQASQLPSNSGSSDVSTSRSDTRSVPEASPQTMQLSTGPPSTSTAGSPSITVQMPTNPSLPTRPEVFGAVGASVPGQPSTILSAPPSLLGRPMTPSASPFPQTSQSPTAFQQPGQQQLYPSYPSAHGVQPQPLWGYPPQPTGFQQPPFQSYPSGLLGPLGRPMVGSSSVTAYLPSIQPPGVSTTDRDSKELSSANPGSEQPTQQGSQNSDQLEDKRTTAIQDSDSWSAHKTEAGVVYYYNALTGESTYQKPPGYKGEPEKVAAQPVPVSWDKLAGTDWSIVTTSDGKKYYYDNKLKVSSWQLPPEVAELIKNAESGPLKGSSTSLQDAGTIGNKEEISIDIDTPADAGASSVPSPLATPSSASELNGSKTTDAAPMGHQVSISGEKSKDNSGDGNMSDSSSNSDDEEHGPSEEECTRQFKVEMLKERGVLPFSKWEKELPKIVFDPRFKAIPSHSRRRSTFEQYVRTRADEERKEKRAAQRAAVEAYKQLLEEASEDINSNKDYKEFKRKWGTDPRFEALDRKERDALFNEKVKSIEEKVQSVRNAVIAEFKSMLRESKDITSTSRWTKVKENFRSDARYKAMKHEEREIAFNEYIAELKSAEKEAEQAAKAKLDEQAKLKEREREMRKRKEREEQEMERVKLKIRRKEAVSSYQALLVEIIKDPKASWTESKPRLEKDPQDRAVNPDLGKGDAEKLFRDHVKDLYERCVRDFRALLSEVITPEIAARTTDEGKTAINSWTEAKGLLRSDPRYNKLASKDRESIWRRYADDMKTKLKQSDMKEKSDTDGKQRRSSDPPRRR >ORUFI10G14530.2 pep chromosome:OR_W1943:10:16101027:16111425:-1 gene:ORUFI10G14530 transcript:ORUFI10G14530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPATAASDATNPEAAEVPSAAPASESEGPFDSGVVAAATTPAVVDSAVEGDAPAAPAPTSGSGPAAPSMPANPASPATPGPPRPQFAGSPAYASPPAPAFSYNVLPRPSPRPQVGSGAAQQQLASPPAMMAPSVAAAALQPPVPGQYFGNRPSFSYNVVSHANAGLPTGQQFQLDTGTNHAVQVSRFVPPSSLQPPAPMNLARPSSAFPGAGAMPPNPPGSIRLPFPGPPRPSINTFVASPQQAQPQASQLPSNSGSSDVSTSRSDTRSVPEASPQTMQLSTGPPSTSTAGSPSITVQMPTNPSLPTRPEVFGAVGASVPGQPSTILSAPPSLLGRPMTPSASPFPQTSQSPTAFQQPGQQQLYPSYPSAHGVQPQPLWGYPPQPTGFQQPPFQSYPSGLLGPLGRPMVGSSSVTAYLPSIQPPGVSTTDRDSKELSSANPGSEQPTQQGSQNSDQLEDKRTTAIQDSDSWSAHKTEAGVVYYYNALTGESTYQKPPGYKGEPEKVAAQPVPVSWDKLAGTDWSIVTTSDGKKYYYDNKLKVSSWQLPPEVAELIKNAESGPLKGSSTSLQDAGTIGNKEEISIDIDTPAVQTGGRDSLPLRQTVAPASSSALDLIKKKLQDAGASSVPSPLATPSSASELNGSKTTDAAPMGHQVSISGEKSKDNSGDGNMSDSSSNSDDEEHGPSEEECTRQFKVEMLKERGVLPFSKWEKELPKIVFDPRFKAIPSHSRRRSTFEQYVRTRADEERKEKRAAQRAAVEAYKQLLEEASEDINSNKDYKEFKRKWGTDPRFEALDRKERDALFNEKVKSIEEKVQSVRNAVIAEFKSMLRESKDITSTSRWTKVKENFRSDARYKAMKHEEREIAFNEYIAELKSAEKEAEQAAKAKLDEQAKLKEREREMRKRKEREEQEMERVKLKIRRKEAVSSYQALLVEIIKDPKASWTESKPRLEKDPQDRAVNPDLGKGDAEKLFRDHVKDLYERCVRDFRALLSEVITPEIAARTTDEGKTAINSWTEAKGLLRSDPRYNKLASKDRESIWRRYADDMKTKLKQSDMKEKSDTDGKQRRSSDPPRRR >ORUFI10G14540.1 pep chromosome:OR_W1943:10:16112890:16119521:-1 gene:ORUFI10G14540 transcript:ORUFI10G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLLAAALPLLLSLLAASQLGASATAAAASSGAAASGRAEWQVLTRANFSSQIRLHPHILLVVTMPWYGESRSLMAEIERLVAADEQELGHLKLMAVYRNSEKLLTDVLGATEGIKFIYYQRSLPFKYEGKLRAREILSSVHYIMSLKHAEAPFVVLHTKEDVEAFVESTDKAVVLSEFCGWFSKLAHGGSNRTEGTSSKNHTENVDISGKALTGESDGPLELVIEDEELIFGGGVQLAGSPWKGGFTLANGSMSDQNGITTDENRKLCTAEKLQQFESFYAKLTALSRDYFLPPEKVRFGLITERSSLPSSEFINEGNSETWFLSVHYLGCTNCSIVAKEGDDLRSLLQSYHNLNINEMDIDASGIATFPASRSSAILFIDRLSDSSKVRDESKLSLKLLREYVQKNYPSHFSTGGLTSGKSRMSSKAVPSLVSTGRSAHTERTRLNDWASKLMEIGEKMSVMVVNDGESISYRSDSQGSTDNPLYDILTKLIHKTRPAHRSKKTKISFVAKDVAIKKLSDDSEVQVVESLSIRDSQLERNEGSCASSDGGNDDYTEDSVHENRATEAEYINDRQAPIKLEKGPANYCDNNEKHLESSDTEVEEEHKTKASDVSLDLQEDISVDVHSSNAPKNFCKINKEDLDCSDTKMEEQEHKTEASDTSADLQEEVSTDVHSSNEVGHILHKHKDEETVREALDILEPDGTNVNFNQQKSGSANQQDAVFSVLGQESRRIEDAIYEDNLFILDEGSEESDSKYPVHAALSSSSSLVGDNTYYTEQETPSIPDEHFAGSLFFSDGGYRLLQTLTGGSRMPSLVIIDPIQQKHYVFPDEIEFTYPSLANFLDHFMNRSLSPYYRSALSVISSKELLRPPFINRDFHEADSIPQLTTSNFCMLVFGFEGCVSKSELPFSNTENISSAWKKDVLVLFSNSWCGFCQRTELVVREVYRSFKNFLSSNSQFLQAQDLQIEEKEEESTMKGFPAIYLIDCTSNECHHLLKSVGKEERYPTLLFFPAENKSAISYERGISVSNLFEFLESHASNSPHLLEYKGFLWKKKTVVQGDAPQAIQFDNSDKSSNDVGSHSPSHSERNEARVLTGSVLTATSKLGSAVPFDNSQVLIVSADSREGFHGLIINKRLSWDTFKNLDGSMEPIKHAPLFYGGPVVVQGYYLVSLSRVAFDGYLQVIPGVYYGNVAATAQVTRRIKSGEQSAENLWFFLGFSNWEYSQLFDELSEGAWQVSEEPIEHLVWPEN >ORUFI10G14550.1 pep chromosome:OR_W1943:10:16122915:16131983:1 gene:ORUFI10G14550 transcript:ORUFI10G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSTAPRAPLPAGAVSSSCCSSSSSSASMSRRWDPSPNPSSGSGSRLFLAARRGERLRVRRLAGAAPAPAPRRRVSSVVRCGGGGGGARSPDDADAGSGERRRGWDALFHDAFQGAVRRWSEYVGSHWPLAPAGKDAGLGKRVESRREEQVRGEVEEEGKWSWERWKQHFALIEESERLVDELQLQLRTAVYREDFRSAHKLKLAIAATSKNDTVGRAISDLNSAIEEERYMDATYIRDHAGAGLLGWWSGISGNLSDPYGLIIRISAEHGRYVAKSYDTRQLNSDGPGFPIFEIYFAEANGGYNLQAVHLKPDDSDSQQLSNTLREKLGMDSINISSSSFGAKHEDHNEGVNMDDQNSDDSDISAGPAGFKNLPSDSTPVPRVKILKVVPMENVNQDYIIKIFDQMSDEDDENDNPEDEIESSEDIGDGDNVEEAEAASAEDNVDESGDESDIEALISIDFITEDDKDFMSPSSTKAFERMPARLERRDRFSFSFYTEQYSKRQDVEKVQGISKEKVGLRTAQQDDDDLQFDRVKLVGSNRKLSVLQLGIKQHNNKVQQKLYGVTHFSRIQIPVSSDPLTGLYMTASGFDSEILSLQRKFGQWREDDSSEEHRDLQFYEYVEAVKLTGDNLVPAGQVVFRAKVGKHYQLPHKGIIPRELGVVARYKGERRIADPGFQNPRWVDGELLILDGKFIRDGPVIAFFYWTSNFHLFEFFRRLKLPD >ORUFI10G14560.1 pep chromosome:OR_W1943:10:16143307:16146292:1 gene:ORUFI10G14560 transcript:ORUFI10G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHLPKDDHAFANGFLFDGVARRPGEIEEAAAFAAAADVAITIAAEQSGSPSSSTAPPMSSCGQYMLHRVGKLDTLAGIAIKYGVEVADIKRLNGLSTDLQMFAHKTLRIPLPGRHPPSTYQQNGSYEGDDRECTPPRRLHDDILDSVLRTPKHKASPAMSLLQGYYGLTPPPKKDTTHEGTEMAVYRKGKSVFLDDDPWFGEPPDSDPFPFQHRKTRSLAIGSSLLNGETEENGDSEKLIRRRQKADGELLPREENGSSAVLARAGKGLALRPKSGSRQDLNKSQQNLIALAEPSFGDGLHAVRKSSSTPEFQEPESNSSSTSSSIWSTSKWTLKPDAFTLPLPLPLFDNIPKPIAAWRNKAARD >ORUFI10G14570.1 pep chromosome:OR_W1943:10:16146318:16159166:1 gene:ORUFI10G14570 transcript:ORUFI10G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPHVGLSPHISATAAAALHRANQLSSSSSHRRLTHFAFSHSPPPRRRFIPTSPPCASPPPNPPNPAAAAMADDEAAVLPPPPPLPPPRRPHRQLRPRGSRLTADTTPRTSQYQVEVFEAALRGNTIAVLDTGSGKTMVAVMLAREHARRVRAGEAPRRIVVFLAPTVHLVHQQFEVIREYSDLDVMMCSGASRVGEWGADHWKEEVGRKEIVVMTPQILLDALRHAFLTMSAVSLLIFDECHRACGSHPYARIMKEFYFGSQWRPDVFGMTASPIYIVEDRNELESFSPPATIVNKYYDAYMVDFDNLKSKLQILSDEFDALLVGLQESPSNKFKDTDNILETSRKSLSRYHGKILYSLNDLGPIITSESNRGNSSTRSSNEVLCLIFVDRIMTAKAVEREYIKRCSESSSSEIYFGFVPSWKDVTEEGVDVPNCSCVIRFDLPRTVCSYVQSRGRARRNNSEFILMIERGNLQQQEHIFRMIQTGYYVKNCALYRHPNALSYDLSIQGMYTYQVQSTGATITADCCVNLIRKYCEKLPKDRYFMPKPSFEVTIEDGLFKCTLTLPPNAAFQSIVGPLSSSSNLSKQLVSLEACKKLHQLGELNDHLVPLTEEPMDTDFTTADEKCISGPGTTKRKELHGTTCVLALSGTWIHDSENITLNTYRIDFLCDQEGENYAGFVLLMEPELDDDVAPSKMDLFLIPNKMVYTTVTPRGKVQLNKKQLGKGKLFQEFFFNGIFGRLFHGSRKSGAQRDFIFKKGHEIQWNTESMYLLLPLRDSSYIQDDLSIHWEAIESCAGAVEQLWSSYQGDENVIPVNYIPQKRRGGQEEIIHLANKSLHCSSIKDSVVLSLHTGRIYTVLDLILDTTAEDSFDEMCKGKASPFTSFVDYYHQKYGIIIQHPEQPLLLLKQSHNAHNLLFSKLKYLGTGYTPYSNGSTGKPLLMEKEQIHARVPPELLIHLDVTTDILKSFYLLPSVIHRLQSLMLASQLRREIGYNQHIPVTLILEAITTLRCCETFSLERLELLGDSVLKYVVGCDLFLRYPMKHEGQLSDMRSKAVCNATLHKHGIWRSLQGYVRDNAFDPRRWVAPGQISLRPFPCNCGIETAFVPSHRRYIRDDPSFVVGKPCDRGHRWMCSKTISDCVEALVGAYYVGGGIAAALWVMRWFGIDIKCDMKLLQEVKFNASHLCSLSKINDIEELEAKLKYNFSVKGLLLEAITHPSLQELGVDYCYQRLEFLGDSVLDLLITRHLYATHTDVDPGELTDLRSALVSNENFAQAVVRNNIHSHLQHGSGILLEQITEYVRSNLECQGKESEFLQHTTCKVPKVLGDIMESIAGAVFIDTDFNVDMVWEIFEPLLSPLITPDKLALPPYRELLELCSHIGCFLNSKCTSKGEEVIIEMSLQLRDELLVAQGHDRNKKRAKAKAASRILADLKQQGLSIKQCLSKAKQLDIVTSDLQFDLTSSGTQLSYSDLNDYHILEGLSSVKKEVVLPLKMEKGGPRSALFKLCKILQWPMPEFEFVEQRFRTPIVMDGATTTNFNSFVSTITLHIPDATTITFQGERWTDKRSAQDSASLMMLHKLQELKICICKT >ORUFI10G14570.2 pep chromosome:OR_W1943:10:16146318:16159166:1 gene:ORUFI10G14570 transcript:ORUFI10G14570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPHVGLSPHISATAAAALHRANQLSSSSSHRRLTHFAFSHSPPPRRRFIPTSPPCASPPPNPPNPAAAAMADDEAAVLPPPPPLPPPRRPHRQLRPRGSRLTADTTPRTSQYQVEVFEAALRGNTIAVLDTGSGKTMVAVMLAREHARRVRAGEAPRRIVVFLAPTVHLVHQQFEVIREYSDLDVMMCSGASRVGEWGADHWKEEVGRKEIVVMTPQILLDALRHAFLTMSAVSLLIFDECHRACGSHPYARIMKEFYFGSQWRPDVFGMTASPIYIVEDRNELESFSPPATIVNKYYDAYMVDFDNLKSKLQILSDEFDALLVGLQESPSNKFKDTDNILETSRKSLSRYHGKILYSLNDLGPIITSESNRGNSSTRSSNEVLCLIFVDRIMTAKAVEREYIKRCSESSSSEIYFGFVPSWKDVTEEGVDVPNCSCVIRFDLPRTVCSYVQSRGRARRNNSEFILMIERGNLQQQEHIFRMIQTGYYVKNCALYRHPNALSYDLSIQGMYTYQVQSTGATITADCCVNLIRKYCEKLPKDRYFMPKPSFEVTIEDGLFKCTLTLPPNAAFQSIVGPLSSSSNLSKQLVSLEACKKLHQLGELNDHLVPLTEEPMDTDFTTADEKCISGPGTTKRKELHGTTCVLALSGTWIHDSENITLNTYRIDFLCDQEGENYAGFVLLMEPELDDDVAPSKMDLFLIPNKMVYTTVTPRGKVQLNKKQLGKGKLFQEFFFNGIFGRLFHGSRKSGAQRDFIFKKGHEIQWNTESMYLLLPLRDSSYIQDDLSIHWEAIESCAGAVEQLWSSYQGDENVIPVNYIPQKRRGGQEEIIHLANKSLHCSSIKDSVVLSLHTGRIYTVLDLILDTTAEDSFDEMCKGKASPFTSFVDYYHQKYGIIIQHPEQPLLLLKQSHNAHNLLFSKLKYLDGSTGKPLLMEKEQIHARVPPELLIHLDVTTDILKSFYLLPSVIHRLQSLMLASQLRREIGYNQHIPVTLILEAITTLRCCETFSLERLELLGDSVLKYVVGCDLFLRYPMKHEGQLSDMRSKAVCNATLHKHGIWRSLQGYVRDNAFDPRRWVAPGQISLRPFPCNCGIETAFVPSHRRYIRDDPSFVVGKPCDRGHRWMCSKTISDCVEALVGAYYVGGGIAAALWVMRWFGIDIKCDMKLLQEVKFNASHLCSLSKINDIEELEAKLKYNFSVKGLLLEAITHPSLQELGVDYCYQRLEFLGDSVLDLLITRHLYATHTDVDPGELTDLRSALVSNENFAQAVVRNNIHSHLQHGSGILLEQITEYVRSNLECQGKESEFLQHTTCKVPKVLGDIMESIAGAVFIDTDFNVDMVWEIFEPLLSPLITPDKLALPPYRELLELCSHIGCFLNSKCTSKGEEVIIEMSLQLRDELLVAQGHDRNKKRAKAKAASRILADLKQQGLSIKQCLSKAKQLDIVTSDLQFDLTSSGTQLSYSDLNDYHILEGLSSVKKEVVLPLKMEKGGPRSALFKLCKILQWPMPEFEFVEQRFRTPIVMDGATTTNFNSFVSTITLHIPDATTITFQGERWTDKRSAQDSASLMMLHKLQELKICICKT >ORUFI10G14580.1 pep chromosome:OR_W1943:10:16159794:16162022:-1 gene:ORUFI10G14580 transcript:ORUFI10G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADEDRHGGRRRGDNEYGGGGGGGQEEGGAGGCCSCLPPFCGVWGSRTKPRRRRRRFRFRLRLIRLSWFFSWPWRKNSGNKKKKTTATATKEAKGMKKRMLLLLSSSSSSAASPPSPAKKALTAAASVSAASAGSLLLPKVGSFAADGGKKQRKSGSKSLPPQTAGGGGDNAAAPAKETAPPPPAWQPCPRPAPGEVASVKRAPSRRHGSFRREPGCGGGGLWTMATTLGVIVFFGRATAVAFLCSCLYAARFVRAQAAGAAAAKGKGGGGSGRFGEPAAEEVCTEEHKKKVVMEGLLDRGGKRLSSRFL >ORUFI10G14590.1 pep chromosome:OR_W1943:10:16161408:16162002:1 gene:ORUFI10G14590 transcript:ORUFI10G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCAINVSRKLCSKLPADAAETDAAAVSAFLAGDGGDAADDDDEERRSSIRFFIPFASFVAVAVVFFFLLPLFFRHGQEKNHDSLMSLNLNLNLRRRRRGFVLEPHTPQNGGRHEQQPPAPPSSCPPPPPPPYSLSPRRRPP >ORUFI10G14600.1 pep chromosome:OR_W1943:10:16166505:16167477:-1 gene:ORUFI10G14600 transcript:ORUFI10G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEPGGGGGGRRRRWFAVLLCFGGDGNDKDEAAGRPPRRRKRTVPVDGAGDDDGAVSSAGSAEREMRRGERRPRRRRGGCGFLLPLSSCVPGLLKRNVRRNSNSSNDVHGGQRQQHEPTAPPLPRPAELPPPPPPPRRRHDVVAPATTRGAGAGAIADAETAESKGDVVVVASSRERASSCGHGEFGPAMGVCVVAAVSMAGLLGGRLWAVVCVCAWLAAVYGLQLNRRRAKPPPAADGGGEEVVGDENSKDYKKLVVLKGLLQRDRR >ORUFI10G14610.1 pep chromosome:OR_W1943:10:16173166:16178375:1 gene:ORUFI10G14610 transcript:ORUFI10G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRAAEELAEREMAGRDASHDAAHALRVRDLALSLAAELGLSSSPDRLLIVEIAALLHDIGDYKYTKDNAEDMSIVERFLEEVELEQGQREEIVAIIKGMGFKNEVSKKSVVEPTLEFAIVQDADRLDAIGIARCFTYGGSKKNTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTERKGTSSWRTLWLNSMKSGVAELEVDQPGYGLLGSAGSSTSVTLNLNIYSLALQ >ORUFI10G14610.2 pep chromosome:OR_W1943:10:16173166:16181433:1 gene:ORUFI10G14610 transcript:ORUFI10G14610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRAAEELAEREMAGRDASHDAAHALRVRDLALSLAAELGLSSSPDRLLIVEIAALLHDIGDYKYTKDNAEDMSIVERFLEEVELEQGQREEIVAIIKGMGFKNEVSKKSVVEPTLEFAIVQDADRLDAIGIARCFTYGGSKKNTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTEAGKKRAEKRHKFMEDFVAEFYEEWSGRA >ORUFI10G14610.3 pep chromosome:OR_W1943:10:16173166:16178375:1 gene:ORUFI10G14610 transcript:ORUFI10G14610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRAAEELAEREMAGRDASHDAAHALRVRDLALSLAAELGLSSSPDRLLIVEIAALLHDIEVELEQGQREEIVAIIKGMGFKNEVSKKSVVEPTLEFAIVQDADRLDAIGIARCFTYGGSKKNTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTERKGTSSWRTLWLNSMKSGVAELEVDQPGYGLLGSAGSSTSVTLNLNIYSLALQ >ORUFI10G14610.4 pep chromosome:OR_W1943:10:16173808:16178375:1 gene:ORUFI10G14610 transcript:ORUFI10G14610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIARNAAAAAAAVFFLFCLLAFPSAPNSNTLGAPAADWGWICAGRRRPLVGNGERLGLHASSSTAGCGPVRSGAMALDNAEDMSIVERFLEEVELEQGQREEIVAIIKGMGFKNEVSKKSVVEPTLEFAIVQDADRLDAIGIARCFTYGGSKKNTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTERKGTSSWRTLWLNSMKSGVAELEVDQPGYGLLGSAGSSTSVTLNLNIYSLALQ >ORUFI10G14610.5 pep chromosome:OR_W1943:10:16173808:16178375:1 gene:ORUFI10G14610 transcript:ORUFI10G14610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIARNAAAAAAAVFFLFCLLAFPSAPNSNTLGAPAADWGWICAGRRRPLVGNGERLGLHASSSTAGCGPVRSGAMALDNAEDMSIVERFLEEVELEQGQREEIVAIIKGMGFKNEVSKKSVVEPTLEFAIVQDADRLDAIGAIGKYCITEVSLSALLKQSFLQQLGCSKCCTGYAKSFPCTILFFPLLPLDSDGINLSSIARCFTYGGSKKNTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTERKGTSSWRTLWLNSMKSGVAELEVDQPGYGLLGSAGSSTSVTLNLNIYSLALQ >ORUFI10G14610.6 pep chromosome:OR_W1943:10:16173166:16181433:1 gene:ORUFI10G14610 transcript:ORUFI10G14610.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVERFLEEVELEQGQREEIVAIIKGMGFKNEVSKKSVVEPTLEFAIVQDADRLDAIGAIGIARCFTYGGSKKNTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTEAGKKRAEKRHKFMEDFVAEFYEEWSGRA >ORUFI10G14610.7 pep chromosome:OR_W1943:10:16173808:16178034:1 gene:ORUFI10G14610 transcript:ORUFI10G14610.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIARNAAAAAAAVFFLFCLLAFPSAPNSNTLGAPAADWGWICAGRRRPLVGNGERLGLHASSSTAGCGPVRSGAMALDNAEDMSIVERFLEEVELEQGQREEIVAIIKGMGFKNEVSKKSVVEPTLEFAIVQDADRLDAIGAIGKYCITEVSLSALLKQSFLQQLGCSKCCTGYAKSFPCTILFFPLLPLDSDGINLSSIARCFTYGGSKKNTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTEAGKKRAEKRHKFMEDFVAEFYEEWSGRA >ORUFI10G14610.8 pep chromosome:OR_W1943:10:16173808:16181433:1 gene:ORUFI10G14610 transcript:ORUFI10G14610.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIARNAAAAAAAVFFLFCLLAFPSAPNSNTLGAPAADWGWICAGRRRPLVGNGERLGLHASSSTAGCGPVRSGAMALDNAEDMSIVERFLEEVELEQGQREEIVAIIKGMGFKNEVSKKSVVEPTLEFAIVQDADRLDAIGAIGKYCITEVSLSALLKQSFLQQLGCSKCCTGYAKSFPCTILFFPLLPLDSDGINLSSIARCFTYGGSKKNTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTEAGKKRAEKRHKFMEDFVAEFYEEWSGRA >ORUFI10G14610.9 pep chromosome:OR_W1943:10:16173808:16181433:1 gene:ORUFI10G14610 transcript:ORUFI10G14610.9 gene_biotype:protein_coding transcript_biotype:protein_coding MIARNAAAAAAAVFFLFCLLAFPSAPNSNTLGAPAADWGWICAGRRRPLVGNGERLGLHASSSTAGCGPVRSGAMALDNAEDMSIVERFLEEVELEQGQREEIVAIIKGMGFKNEVSKKSVVEPTLEFAIVQDADRLDAIGIARCFTYGGSKKNTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTEAGKKRAEKRHKFMEDFVAEFYEEWSGRA >ORUFI10G14620.1 pep chromosome:OR_W1943:10:16177361:16181044:-1 gene:ORUFI10G14620 transcript:ORUFI10G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATMDAVMPGAAGAHNATAAAAAGRRGGGIVAGMMALPEVQTVELLVAVSIFVAIHSLRQRRSQGLPSWPLVGMLPSLLLGLRGDMYEWLTGVLASRGGTFTFHGPWLTNLHCVVTSDPRNLEHMLKTKFGSFPKGPYFRDTVRDLLGDGIFGADDEVWRRQRKAASLEFHSAEFRALTASSLVELVHRRLLRVLGDAEEAGDAVDLQDVLLRLTFDNVCMIAFGVDPGCLRPGLPEIPFAKAFEDATEATIVRFVTPTAVWRAMRALGVGHERVLQRSLAGVDRFAYDVIRQRKEEVAAGGGGGGGGRSDLLTIFTKMRDADTGAAAYSDKFLRDICVNFILAGRDTSSVALAWFFWLLNKNPAVEAKILEEIDDIVAARRSSPPAPAVAANGADEDDLVFHPEEVKKMEYLHAALSEALRLYPSVPVDHKEVVEDEVFPDGTVLKKGTKVIYAMYTMGRMESIWGEDCREYKPERWLRDGRFMGESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASILRRYHVRVVDGHPVAPKMALTMYMKHGLKVKLTKRDKSKL >ORUFI10G14630.1 pep chromosome:OR_W1943:10:16189364:16189939:1 gene:ORUFI10G14630 transcript:ORUFI10G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNWPFPISLTMVHMVFCASLTVVLVRVLRVVAEPTSPPMTPSLYAASVVPIGVLYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYCLAVAFRTDSFHHASMLNMLGISAGIAVAAYGEARFDTFGVMLQLVAVTAEATRLVLIQILLTGATPPPAPAPAQADRNVEMGLLSAHRREQRVAAGDEAAPGS >ORUFI10G14640.1 pep chromosome:OR_W1943:10:16191015:16194347:-1 gene:ORUFI10G14640 transcript:ORUFI10G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAAPLPDDVKEEDEGNMDSFQSGQTIIGQDDFMAIFRNDKPLCELLMKIGQRTSGSSGAKPAAPPPIVHLHGLIPDELCKIDHRPALSDFLSAQQQPPPPAQHGACVKRPAIGPPPGFAGVRQPPQKQQQLPPPPRRRAQQQPASAAAHHRNPNRHHLSGVAAPPAAAANALSIMRKAAAAGGGGAAVYCGVCNVKCMTRFNLREHEAGRKHRDKVASNAGEKNVRCQLCDVLLASELNVAQHYAGKQHLHRLRLSRGGGGGGGGGGNGATGAGAA >ORUFI10G14650.1 pep chromosome:OR_W1943:10:16195576:16196052:1 gene:ORUFI10G14650 transcript:ORUFI10G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLLALGNGAPDVAGVRCGTDGERRGDEHDGPDDERGDERASATGDTAAVTVATLEKGGGRRVERRSAGRRGRGEDSAVGSRPLARLPLLLCSASRALACRRRRSAPPAAHSCSTPLRRPPRTHPPPRAIFIDLLRLIPAQAEAAPLRPAEDDVD >ORUFI10G14660.1 pep chromosome:OR_W1943:10:16198020:16201012:1 gene:ORUFI10G14660 transcript:ORUFI10G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >ORUFI10G14670.1 pep chromosome:OR_W1943:10:16207461:16212322:1 gene:ORUFI10G14670 transcript:ORUFI10G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIEQEPEVEETPAAAEEPAAEEQAAGGAAGGEAARADGEDEEEAFEDALTDEQLREKARSQANDAKAEGNKFFGAGEYERALSQYETALQIAAELESAEDIRSACHSNRAVCFLKLGKYDETIKECTKALELNPSYLKALLRRGEAHEKLEHYDEAIADMKKIIELDPSNEQAKRSLFRLEPLAAEKREKMKEEMIGKLKDLGNSVLGRFGMSVDNFKAVKDPNTGSYSISFQQ >ORUFI10G14680.1 pep chromosome:OR_W1943:10:16212929:16213435:-1 gene:ORUFI10G14680 transcript:ORUFI10G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIVSIDGVSATGLDAAAGKNASAATTVSPSFDVAVRLRRRWFRLLPDTYTNGTVSVSFAGAGGAAVARGALRDVTLTAFSPSVVSATARAPPTALLAAGELRRGGEVRLDVTVSYDRSQVHEPYSPLSHGFRAMCAATALGGGNSTAAASCTVVRIPSPNDLSWFQ >ORUFI10G14690.1 pep chromosome:OR_W1943:10:16215847:16216581:-1 gene:ORUFI10G14690 transcript:ORUFI10G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGCTRGAKPPRRVDPNISRPPARAAVLPTHTVSPGDGATASSHLSMAPVVAIVLCTLVTIAAILFLSFFGSWMGPVVSIDGVTATGLDAAGAAGNATTTTISPSFDVAVRVKMQRFHLAPEAYARGSVAVSCAGGAVTARGELPDFLLYVTSPSVVSATARAAPPTTALSAGARGRLAGELRRGEVWLDVSVSYRRSEDDPGSMLSNGFRAMCAATASLGHGNSTATAVAAAAAACTVVKQ >ORUFI10G14700.1 pep chromosome:OR_W1943:10:16219269:16219797:1 gene:ORUFI10G14700 transcript:ORUFI10G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGEGEWGWMAEVAGEELEKLEAAHPGRFGPLKAELKRLIADPAAAAAATPFVSPHSDATVTSSSSQSDSVLRIVSTQESSSRKKRRCGGNGGAGGEQEGKRRRSAAAAAGKDSAEMAIERAERCLRRIRAFKASLLGFSD >ORUFI10G14710.1 pep chromosome:OR_W1943:10:16220583:16227131:1 gene:ORUFI10G14710 transcript:ORUFI10G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWALTPVDTVRGAQRCYIFAAGTYKVGRKDCDVIVQTDTSISRVHAEIVVEKMVAWDPQSGAPANPSYVRVVDRSKYGTFFNKVQGTQGSRLHKDEDAMLADGDTVTFGTGNATFRLSFVPIVVFFHGKKSGRISPCLQAVMTSIGAYATRKWSDECTHVLVDESCSLTPELLDAVLAKKQIVLGDWFKVMAEKNIHTEMPSSTQYIPKLTLDGMEIQVVEIKLIESCLAGYTFILGSSEKYKFGDKLHALLESTGAKYLHVDEFCANSQDSGAGENDKDILLVPAKSPLEFSKIRGLFPLSKITDVKLFAAILSDIVASSNSTDETIVVDSDVEIDTATSDHTVAASKSEHHIEHISDDKKEVVAISEEDAVNLVEAKTSINLHSDQEKDEIVKPMEEDVKVIEKTATMRGFKVEGEDIPVMTKVPKDETLDSRDETCHVIYTQNLVVKSILQSARAESIETGGINFKRFRKRGAVSGNSFKDLIPYSREPYRESDYERGTVTDFMREEKKRRQMEAIAEDLFNNAKPKKKAAAGSSIHTMLTGRR >ORUFI10G14720.1 pep chromosome:OR_W1943:10:16227514:16228626:-1 gene:ORUFI10G14720 transcript:ORUFI10G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAAYYAAVARKQYFCYQCNRTVLLPASAAAAGALSCPECRGDFIEEVNVPAPAIIPFPFAFPPMMPTATSASAAAAAAASPTQSSSSSAATSPSSDLSAFLNSMLGPLNLRTDERMPGTTSAAGTATPEDEPDGFDAVTFFQNYLQNLMDGGANIQVLLDDASVGLAPGIGRVGGASFGDYFVGPGLEQLIEQLTENDPNRYGTPPAAKSALSTLPDVVVTDAMVAAADGAECAVCKEDFSPGEGAKQMPCKHIYHADCIMPWLDLHNSCPICRFELPTDDPDYEGRKKSNPQPTAGVDAGAASGSSTAAEEREESGESARLVERRFNVSLPWPFSGLGSQTPQQDGSNGGAGASGSKDGGASSDKK >ORUFI10G14730.1 pep chromosome:OR_W1943:10:16231851:16232236:1 gene:ORUFI10G14730 transcript:ORUFI10G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPRWRRLDRRRLGARWRQPGVATARGGMGKEELAVVRKEKLAGCGEDELAGMGKDELVGGQMCAGKRCERGELTTRLRWTEWYGTKKIRTPVVYSESSANWTINP >ORUFI10G14740.1 pep chromosome:OR_W1943:10:16232300:16240709:1 gene:ORUFI10G14740 transcript:ORUFI10G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKVQEEAGIETREEDDDESGTKRERESGEIEGGGGEPSRKKRDPEAVSRFKQRSAAWFAKLKEEFERKVAEHPDVDWSDSLAIDASSYRKDWDYVWSQAYGSFDKITPIPPMRYTTADPGPDYASEHDAVQIFCVKIRELRRGLQWPIHVFGLVAARDVIDHNRNIIFNRTRDDCQLLTQEVPYLLLTGPTRAVVVVDPVDFEVALKVKGSIESEDKDLSFLAVQLTRISNISGTHLINKEYTSKLSTLELTFGYIVRSVEATINVRVIDGSWPEEGFSARITAHTSSLKDYRVLLLDSGDEMKKMSVTADGMIELSRRVVSVEFEGELEVSVAAFGSNCNYMEAEEKFTPRTMVKARPSLMLAFFGGFNRIPMSHVRSASAAADAASDWQKVRAEWFARFKEEYERKVAEHPDVDWSDELAVDARHYRESWERIYARAYGPFDKSTSIPPMRYTAEPVPFDASEQYTLQIFCVKIKELRRGLQWPIHVFGLIAARDTIDHNRNMVFDRTRDDCQTLTQEDPYLLLTGPTRAVVVCDPVYLEAVLRVKGSTESEDEDLSFFTVPLTNVNRPRETCLITREYTSKLSTLELTFGYVVRSVEATIKARIVDGSWPEEDGSSARFTACTSSLKHNGVLLLDSGDKRRKMRVDADGVVGLSRRVVSVEFEGELEVSVVTFDGSNICSKMEAEIRFVPEEVGESCVELDVGFCKMEITVAWSCLSLSCR >ORUFI10G14740.2 pep chromosome:OR_W1943:10:16232300:16240709:1 gene:ORUFI10G14740 transcript:ORUFI10G14740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKVQEEAGIETREEDDDESGTKRERESGEIEGGGGEPSRKKRDPEAVSRFKQRSAAWFAKLKEEFERKVAEHPDVDWSDSLAIDASSYRKDWDYVWSQAYGSFDKITPIPPMRYTTADPGPDYASEHDAVQIFCVKIRELRRGLQWPIHVFGLVAARDVIDHNRNIIFNRTRDDCQLLTQEVPYLLLTGPTRAVVVVDPVDFEVALKVKGSIESEDKDLSFLAVQLTRISNISGTHLINKEYTSKLSTLELTFGYIVRSVEATINVRVIDGSWPEEGFSARITAHTSSLKDYRVLLLDSGDEMKKMSVTADGMIELSRRVVSVEFEGELEVSVAAFGSNCNYMEAEEKFTPRTMVKARPSLMLAFFGGFNRIPMSHVRSASAAADAASDWQKVRAEWFARFKEEYERKVAEHPDVDWSDELAVDARHYRESWERIYARAYGPFDKSKLRRGLQWPIHVFGLIAARDTIDHNRNMVFDRTRDDCQTLTQEDPYLLLTGPTRAVVVCDPVYLEAVLRVKGSTESEDEDLSFFTVPLTNVNRPRETCLITREYTSKLSTLELTFGYVVRSVEATIKARIVDGSWPEEDGSSARFTACTSSLKHNGVLLLDSGDKRRKMRVDADGVVGLSRRVVSVEFEGELEVSVVTFDGSNICSKMEAEIRFVPEEVGESCVELDVGFCKMEITVAWSCLSLSCR >ORUFI10G14750.1 pep chromosome:OR_W1943:10:16254553:16258175:1 gene:ORUFI10G14750 transcript:ORUFI10G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKRRRSHSPVEHLEGNNKEIEISGRKDDLRDLENDSSNARSGRGHEYVRHSDRHSSGAPRDSRRHDDYRRYHDKRGDDNDRGHRISRSERESRSDTYYDRTKRDGTSDRSRGDWRNDDKSLRREHRSKNQDKQEPSREYPRYDGEHDKYSDGKKQGHTSRRYPEEKESKYKETAKQEEALKKRTGKEIEKMSSVAEPEVGTREKRSLFSSVGPDFENAQLNDNADTSGKKPSLDCSNGVVLDNPTSGFTVNSVDAAKVAAMKAAELVNKNLVGFGVGAGRLSTDQKKKLLWGNKKSNPPESSAHWDSNLFPDRERQEKFNKLMGVKSSSSSSAQESKVDGKDGSSSDAKKQEELDTDLEKHYIAGLRRRDGRTVGLGL >ORUFI10G14750.2 pep chromosome:OR_W1943:10:16254572:16258175:1 gene:ORUFI10G14750 transcript:ORUFI10G14750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKRRRSHSPVEHLEGNNKEIEISGRKDDLRDLENDSSNARSGRGHEYVRHSDRHSSGAPRDSRRHDDYRRYHDKRGDDNDRGHRISRSERESRSDTYYDRTKRDGTSDRSRGDWRNDDKSLRREHRSKNQDKQEPSREYPRYDGEHDKYSDGKKQGHTSRRYPEEKESKYKETAKQEEALKKRTGKEIEKMSSVAEPEVGTREKRSLFSSVGPDFENAQLNDNADTSGKKPSLDCSNGVVLDNPTSGFTVNSVDAAKVAAMKAAELVNKNLVGFGVGAGRLSTDQKKKLLWGNKKSNPPESSAHWDSNLFPDRERQEKFNKLMGVKSSSSSSAQESKVDGKDGSSSDAKKQEELDTDLEKHYIAGLRRRDGRTVGLGL >ORUFI10G14760.1 pep chromosome:OR_W1943:10:16258634:16265265:1 gene:ORUFI10G14760 transcript:ORUFI10G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRIEVEKLSVEQLKALKEQTDLEMLVPLTASLYVPGTLDDSEKVLVDVGTGYFIEKTMTEGKEYCERKINLLKSNFDELVEMATKKKNIADEMGRLLQAKLRQSSPSPSS >ORUFI10G14770.1 pep chromosome:OR_W1943:10:16267307:16282874:1 gene:ORUFI10G14770 transcript:ORUFI10G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVHGQRAEDSFGGFFSFDEDAASEDMSLDGLDEELEEHKDYDVLISILANGEKQRDMATLVEGNLGHAEQDLIQDYVEDSDNLVLLHDQIHDCDIILSQIGSLLTGFQVHIGSINSELRSLQERSLDISVRLKNRKLVETKLAKFVEEIVAPPSLVTVIIDGEINDGYAKSLEILSKKLRFSQVDPMINASNSLKDIKPELERLLQKALCKVSDYLTELFFSMRKPGTNIQILQQNMTVISQFPLHFLFIYYRSKLLLKWFQVLSAHFQVYIEALEKLKLDIGVSSDLTGYDTNIIDLISRGREHLRNHRFMFSLGERASILKMMWKNYLLSGPFKVINGHLDHTLRNYHDAVCLMLMICITRKHQVLIYLWPRFKTVFDMYLQSLYRCDTKLLWVDGSHPHHIVRCYVEFTASLIQLNAECGDGQLDMNLERLRLAVDNLLGRLAENFANPKTQHLFLLNNYDMTISVLKEAGDEANKLQSYFEEKLESNMISFADELLMEHFKDLIKFVRSRVSEDLILYTECPSIADVEPIVKNFAVTWKTALELMHNEVVTSCSNLLAGMEILKAAMVQLLNDYNRLSECVKIIPGGSTLNRNLVSITSISYEMRKYSITL >ORUFI10G14770.2 pep chromosome:OR_W1943:10:16267698:16282874:1 gene:ORUFI10G14770 transcript:ORUFI10G14770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVHGQRAEDSFGGFFSFDEDAASEDMSLDGLDEELEEHKDYDVLISILANGEKQRDMATLVEGNLGHAEQDLIQDYVEDSDNLVLLHDQIHDCDIILSQIGSLLTGFQVHIGSINSELRSLQERSLDISVRLKNRKLVETKLAKFVEEIVAPPSLVTVIIDGEINDGYAKSLEILSKKLRFSQVDPMINASNSLKDIKPELERLLQKALCKVSDYLTELFFSMRKPGTNIQILQQNMTVISQFPLHFLFIYYRSKLLLKWFQVLSAHFQVYIEALEKLKLDIGVSSDLTGYDTNIIDLISRGREHLRNHRFMFSLGERASILKMMWKNYLLSGPFKVINGHLDHTLRNYHDAVCLMLMICITRKHQVLIYLWPRFKTVFDMYLQSLYRCDTKLLWVDGSHPHHIVRCYVEFTASLIQLNAECGDGQLDMNLERLRLAVDNLLGRLAENFANPKTQHLFLLNNYDMTISVLKEAGDEANKLQSYFEEKLESNMISFADELLMEHFKDLIKFVRSRVSEDLILYTECPSIADVEPIVKNFAVTWKTALELMHNEVVTSCSNLLAGMEILKAAMVQLLNDYNRLSECVKIIPGGSTLNRNLVSITSISYEMRKYSITL >ORUFI10G14780.1 pep chromosome:OR_W1943:10:16284112:16287155:1 gene:ORUFI10G14780 transcript:ORUFI10G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGSLIESPDTAPYKWPSASPLCFALYTHRHPPAGGAAPAIVVVTSAAASYDSPASLPAGVLSSPSFLWIAANVIVVWLVSSYRGHTAAGDGDGVGESGGGGVDVGLYTSSSGHGHDHDHDDMFAVAAVAADLVVVAAAPVVTTTVAKKKPSREARAAKRSTTDRPRARKAAASAASGETTPARPGDCSPRDVSKALAGVVLQTPRGAAAAVGAALMDTVDVKRPIIVEEEWLTWDLAATAAEATAKPDVKKSVVEEEWPDWAFFVDDDAKNPLVGEEEWSAWVLACTEEAKPAVVEKKPVVADEPWPSSWTIAATDVKMAVKKPVVVEDPWRPPPSSWTIEAPADGDKWAITLATDFSTPKPDVVAGAGAGDDDDDVSMDSMWQTILQSGRARPVTVPKSETWATTTDEQQPRRRERAAAAAVARREIRKSATATNMTPPPSPPHVRAPPAPARRPWRTRDVLPAMPNDELMRRAESLIRRHHEQLRLQRQESEQRQALELQRRRPLIRV >ORUFI10G14790.1 pep chromosome:OR_W1943:10:16304824:16305447:1 gene:ORUFI10G14790 transcript:ORUFI10G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLAAYAAPFGSRGPTCSCLRPNPSDLQKVGPTRIPIDVDRAHMSGRVYQIVLSGVTDHPMILRLSRAGWMDGWAHGHAKSIADGAMNF >ORUFI10G14800.1 pep chromosome:OR_W1943:10:16311511:16320914:1 gene:ORUFI10G14800 transcript:ORUFI10G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVRSVRKSAALRPRGPGKLQPARSMPLDYRYSAAGGRKAANGVGARAAVAPEEEEEEGVEVGVVGFEGDADSPYSSQAATTEEVEEEGDGERGGGDAEVDSAAAATGARATAATTPRRQSPAGAGSPSQRDARWGDTSSYGARKKHRVFCQLPNSDWALCTVITTSGDDSVLKLPEGKVLRLKTESLEAANPEILDGVDDLMQLSYLSEPSVLYNLQYRYSQDLIYTKAGPVLVAVNPFKKVPLYGNEYIDAYRNKTKDSPHVYAIADSALREMKRDEVNQSIIISGESGAGKTETAKIAMQYLASLGGGGGIEYEILQTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSTTGRICGAMIQTFGERSYHIFYQLCAGAPASLRDKLNMKKADEYKYLKQSCCYSIAGVDDAQMFRTVTEAMNIVHISKEDQDNVFTMVSAILWLGDVSFTVIDNENHVEIVVDEAAETVARLLGCSIEDLNLALSKRHMKVNNENIVQKLTLSQAIDTRDALAKSLYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVEFEDNQNCLNLFEKKPLGLLSLLDEESTFPNATDLTFANKLKQHLNNNSCFRGERGKAFAVRHYAGEVAYDTSGFLEKNRDLLHMDSIQFLAKCKSSLPQMFASKMLSQSDNPLPVPYRNSAADSQKLSVAMKFKGQLFQLMQRLESTTPHFIRCIKPNNLQLPAIYEQGLVLQQLKCCGVLEVVRISRSGYPTRMTHQKFARRYGFLLLEDVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGKLEDTRNRTLHVIRGENARKMYSSLARKHRAAIILQRNLKCWLARRYFVNIRKASVVIQSGIRGCLVRRCAGNVDLLNVLREFESKKEAEGDQILIKASFLAELQRRILKAEATVREKDEENEMLQQRLQQYENRWSEYEQKMKAMEEMWQKQMRSLQSSLSVAKKSLALDETPRMSDSSVDQSWESNGVHIGSASQLVPRTVGREMNASISVISRLAEEFEQRSQVFADDAKFLVEVKSGQADASLNPDMELRRLKQNFDSWKKDFGSRIRETKVILNKLGSGNESSPNSVKRKWWGRLNTSKFS >ORUFI10G14810.1 pep chromosome:OR_W1943:10:16321613:16323709:1 gene:ORUFI10G14810 transcript:ORUFI10G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYWGQRLWRPPAPDAATTVHLASLLQSCGRAGDLRRGRLLHARLVLSGAAAASTFLANHLITMYSHCADLASALRLFAAMPRRNAVSWTTLVSGLSQNLMHADALAAFAAMRRAGVAPTRFALSSAARAAAALGAPLPGAQLHCVGVRLGFDTELFVASNLADMYSKCGLLSEACRVFDQMPQKDAVAWTAMIDGYAKNGSLEAAVLSFRDMKREGLVGADQHVFCSVLSASGGLKDGWLSKSIHCCVTKAGFELEVAVRNALIDMYAKSMDVESASRVLKIDPGGWNVVSGTSMIDGYIETDCVEEALVIYVELRRQGVEPNEFTFSSMIKGCAMQALLEQGAQLHAQVIKTDLIRDSFVGSTLVDMYGKCGLISLSMQLFNEIEYRTDIAWNAVINVFAQHGHGREAIQAFDRMIYSGIRPNHIAFVSLLTACSHAGLVDEGLKYFYSMKEAHGIEPKEEHYSCIIDTYGRAGRLDEAYKFISEMPIKPNAYGWCSLLGACRMRGSKELGEVAAQNLMKLEPGNTGIHVSLSGIYASLGQWEDVKAVRKLMRDSRIKKLPGFSWVDSNKKTHVFGSEDWSHPQQKDIYEKLEELTTRIKEEGYIPDTSFLPCNLEDIAKERILRYHSERIAVAFALISMPATKPIIVKKNLRICIDCHTAFKFICKVERRDIIVRDNSRFHHFVNGRCSCGDYW >ORUFI10G14820.1 pep chromosome:OR_W1943:10:16326255:16327219:-1 gene:ORUFI10G14820 transcript:ORUFI10G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSQEHVIGIPLASFAYAEEKIERKTSRSSLVHKKGKKNSIIYRMSKLSQKTDSYVQGFKEHITLGPKISDTLKGKLSLGAKVLQAGSIDKVFRQYFQVDKDEKLLKAFQCYLSTTAGPIAGMLFISTEKIAFHSDRPLDLTSPKGGITRVPYKVLIPAKRIKSAAVRENLYNPDEKYIDVVTVDGFDFWFMGFISHTKSFEYLQRVISEFR >ORUFI10G14830.1 pep chromosome:OR_W1943:10:16329250:16334897:1 gene:ORUFI10G14830 transcript:ORUFI10G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGGADGGNGHLPRPRRARRGGGGGGGMGSPPLGPPPPPCTDYDMAYFKAYSHIGVHEEMLKDHVRTNTYRNAIMHHQDLISGKVVLDVGCGTGVLSIFCAFAGAARVYAVDASDIALQAMEIVRENELSDKVIVLHGRIEDVEIEEKVDVIISEWMGYMLLYESMLGSVIFARDKWLKPGGLILPSHASLYLAPITNSHRYQDSVYFWQDVYGIKMSSMMPLAKQCAFMEPSVETISGENVLTWPSVVAQVDCYTIQAPELETITATFNYTSMLQAPLHGFAFWFDVEFNGPVRQRSKKQANQCLDGNTQDASPSNKKKKADAPIVLSTAPEDAPTHWQQTLLYLFEPIELKKDQNIEGSVTISQSQQHARFLNICLKYFTRDQWYVKESVMK >ORUFI10G14840.1 pep chromosome:OR_W1943:10:16334230:16340690:-1 gene:ORUFI10G14840 transcript:ORUFI10G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRATATSTSTAASAAAASLLLRCHHPLSPPPRTLALALPARPPPALLLPSRFRLSSSASSSSRRRATGAASASAGAGAGKGKGKGGKSSGGKAAAAAAAGGAAMSASAGGGGGGGGKRTVADVLMGNARDAARKAKKGAAPSPKKLKTQPQPAAEADGGAVAKPEEKPHSPVKPKRSSSPTKSNSPGEGKRSESVAAGAKPEAKEKPSPKKPKTLGAKSDTKSSAEGVEKEKRRSPSPTKAKALASESQDIKKPSSPKKTKALDAPKSEEKDTTLELKKKGSEFNPMAAAYWSPEEPVPFLFLARALDLISNESGRIVITEILSNVFRTVIATTPEDLLATVYLSANRIAPPHEGTELGIGDASIIRALAEAYGRREEHVKKNLKELGDLGLVAKASRLSQKMMYKPKPLTISHVLAKFRTIAKESGKDSQDKKRNHIKGLLVAATDCEPQYITRLLQSKMRIGLAEKTVQMALGQAAVYSEKHSPPSKIQSPFEEAAKIIKQVYSVLPIYDKIVPAILEVGVWKLPEICSFSIGVPVGPMLAKATKSVSEIIDKFQGLEYTCEYKYDGERAQIHCLEDGSVEIYSRNAERNTGKYPDVVDAVSRFRKPTVKSFVLDCEIVAYDREKKKILPFQILSTRARKGVTISDIKVSVCTFGFDILYINGKPLLQEQLKVRREHLYNSFEEVPGVFQLATSITSNDLEEIQKFLDTAVNSSCEGLIIKTLDKDATYEPAKRSNNWLKLKKDYMDSIGDSLDLVPIAAFHGRGKRTGVYGSFLLACYDEQNEEYQTICNIGTGFSEQQLEERSVSLRTKAIPKPKVAYYRFADTMDPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPNKGISLRFPRLLRVRDDKNPEQATTSEQVRDS >ORUFI10G14840.2 pep chromosome:OR_W1943:10:16334268:16340690:-1 gene:ORUFI10G14840 transcript:ORUFI10G14840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRATATSTSTAASAAAASLLLRCHHPLSPPPRTLALALPARPPPALLLPSRFRLSSSASSSSRRRATGAASASAGAGAGKGKGKGGKSSGGKAAAAAAAGGAAMSASAGGGGGGGGKRTVADVLMGNARDAARKAKKGAAPSPKKLKTQPQPAAEADGGAVAKPEEKPHSPVKPKRSSSPTKSNSPGEGKRSESVAAGAKPEAKEKPSPKKPKTLGAKSDTKSSAEGVEKEKRRSPSPTKAKALASESQDIKKPSSPKKTKALDAPKSEEKDTTLELKKKGSEFNPMAAAYWSPEEPVPFLFLARALDLISNESGRIVITEILSNVFRTVIATTPEDLLATVYLSANRIAPPHEGTELGIGDASIIRALAEAYGRREEHVKKNLKELGDLGLVAKASRLSQKMMYKPKPLTISHVLAKFRTIAKESGKDSQDKKRNHIKGLLVAATDCEPQYITRLLQSKMRIGLAEKTVQMALGQAAVYSEKHSPPSKIQSPFEEAAKIIKQVYSVLPIYDKIVPAILEVGVWKLPEICSFSIGVPVGPMLAKATKSVSEIIDKFQGLEYTCEYKYDGERAQIHCLEDGSVEIYSRNAERNTGKYPDVVDAVSRFRKPTVKSFVLDCEIVAYDREKKKILPFQILSTRARKGVTISDIKVSVCTFGFDILYINGKPLLQEQLKVRREHLYNSFEEVPGVFQLATSITSNDLEEIQKFLDTAVNSSCEGLIIKTLDKDATYEPAKRSNNWLKLKKDYMDSIGDSLDLVPIAAFHGRGKRTGVYGSFLLACYDEQNEEYQTICNIGTGFSEQQLEERSVSLRTKAIPKPKVAYYRFADTMDPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPNKGISLRFPRLLRVRDDKNPEQATTSEQATNKSSCPGFRFVLASTF >ORUFI10G14850.1 pep chromosome:OR_W1943:10:16341922:16350256:-1 gene:ORUFI10G14850 transcript:ORUFI10G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGKVAKIAVLVVALALALLEMPRCAVVARRHYGKQLEAADGGGRGIFPAVMTVNGFGAGGVRRAMVAALSTEWFAGGRRCHRKVRITGGGGAVEATVVDECDSRRGCKDDVVDSSPAVWRALGLDTDAGEVPMAAAAASTKIVAVVVAVLLAILEMPSCAVARRHHHDHHDKPGHHDGGFPAVMTVNGFEKGEDGGGPAACDGHYHSDGELIVALSTEWFAGGRRCHRRIRITPSEHGRRGGGGGRGAVEATVVDECDSRRGCKDDVVDSSPAVWRALGLDTDSGEVRVTLMANAKQLALFAMLVLLLASCAAARRHGKPDPCDGGGGGVDSHLPPGMRRCSSPAVSEDGTPAVMTVNGFEEGEDGGGPAACDGRYHSDRSLVAALSTGWFAGGRRCHRGIRITSRQNGLSVVATVVDECDSRHGGCKDDIVDTSAAVWSALGLDTNVGEVPVTWSDA >ORUFI10G14860.1 pep chromosome:OR_W1943:10:16350915:16351493:-1 gene:ORUFI10G14860 transcript:ORUFI10G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTARRWRMMMAWWRARSDASHSSSAKRRRRGGGAAATTFGEIRYGSSTEVVLCSDDGMAAIESSAPRMSRLSGQHETLAWQLQGDWRTSFVAFV >ORUFI10G14870.1 pep chromosome:OR_W1943:10:16355270:16359867:1 gene:ORUFI10G14870 transcript:ORUFI10G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCFSHQPEREGGIEQVAAMGELLEVGIHGAVDLVLEVVVDAEALQVVDGGHPLPGAAVAAAAVRRTTPLTPPLMQQHLLHVVRQAIQALHEALQMHAFPKHKRLESQNSQSRFSTNFNHF >ORUFI10G14870.2 pep chromosome:OR_W1943:10:16356013:16359867:1 gene:ORUFI10G14870 transcript:ORUFI10G14870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYIYDSASEKQHPQVVLEDGEPLLAVVAVHDADHPGGIERRLREAGGEGPPGEEALTVELLPLPGDHAAHVLLRFAGGEPRVLPKQLVQLEVCKSKLFHCSAPGGQGLQVAEVVPGLVLHQADMVGMFQ >ORUFI10G14880.1 pep chromosome:OR_W1943:10:16356367:16360496:-1 gene:ORUFI10G14880 transcript:ORUFI10G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVFFSLEPSLLPVAAAAAPAAGDGGRRRGRRRADGRFRPSPAIHPGRPELASHLMLPNELDIQGFMERLDGLTNDVQEMLLHQRRRQRSSTANGGGGDGGARERMATVDHLKRLCIDHYFQDEVDGAMDAHLEELAHGGDLLDATLAIAYSKDIRGLLSLQDISHMNIGAEASLYKAKEFTSRNLQSAIDYLEPGLARYVRQSLEHPYHVSLMQYKARHHLSYLQTLPTRCTAMEELALADFKLNKLLHQMEMQEIKRWWMNLGLAQEIPVARDQVQKWYVWIMTAFQGASFSRYRIELTKIASFVYIMDDIFDLVSTQEERSCFTQAIKMWDFAAADSLPSCMRSCYRAIYTVTNDIADMVEREHGVNPINHLKKAWAVLFDGLMTEAKWLTYSHVPSSEDYLRNGVITSGVPLMFLHLLFMLGHDAAELIDNIPPVISCPAKIFRLWDDIGNAKEGLDGSYKELYLRENPGLAASEAEEHMRGMITREWEKLNRESFFSGRAFPAGFTQAALNAARMVGVMHGHDGEQRLPVLEDYLRMLLF >ORUFI10G14890.1 pep chromosome:OR_W1943:10:16371988:16374549:1 gene:ORUFI10G14890 transcript:ORUFI10G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERIRASWNSTLEKGLVDILHEHNQPRFRAQNAWIPDGWRSIVNKFNEMFPYAYFSKQQIQEKEKELKANYKIIKNARKESGSIATGDLCFTSTEEVTPSSNQNMEKAQEASSLDGQPNPLSTLDGPEASSTSMEKAQESSTPNKSGEEGAPGKKRKKNQVALVLENYLEFKKDQTQMVVEKLVQASKEENDCSIPKCIAAVEMIQELTDEEKAKALGLFRCPLNREIFMNTTSPIATDLTLDIQLCSQMRAAMEIVDAHECRKKTLPRYLQMIIA >ORUFI10G14900.1 pep chromosome:OR_W1943:10:16377338:16378138:1 gene:ORUFI10G14900 transcript:ORUFI10G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQRMRIHPVDLEAGGRHRPTAPLVPGGSFRSDKGDPAAQPQQPPSHGHLPPPPPRRVAPPVPPLPPPKRRRGCCCRLICCVLVTVVLLAVLAAAAVGALYLVFDPKAPRYSVDRLSVSAFQVDPTLTATARFDVTVTATNPNARIGIYYEAGSSLSVWYDAHRLARGALPAFYQGHRNTTVLAVVMAGQAQLGGAVMSALQDAQRTGAVPLVFRADVPVRVELGSLRLWKVTSRVRCDLVVDSLGVNNPVNIKASNCKFSLKL >ORUFI10G14910.1 pep chromosome:OR_W1943:10:16379850:16385168:1 gene:ORUFI10G14910 transcript:ORUFI10G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLPTPPLLIPDTIATRAVVSKFTISLDPIFIFPTKTLNSPPKLPSFTSISGNGGGGGGSGDDSISSPHSTTSISNALKLLMSSFGWKLTLTHPSSSSLSWSASPTKSTSRSISTAPNSSASPRAGSAPTPRAKTRSSFSGRVAGGAPRAAPSAAATRARMPSGAAAAHASLDGLRAERSTQAARERKAQAKSTPGSGARRDGHRTAGWAARRRCSAARWGRLVESGAPWSGRLESRNRCSAATRRRRDRSAGCRCREGDAATRSCSSRHRARASPSSSETGGAAMSRPVSASSWGRSGVAAWAQMGRSIWAKRPGGPRDCDV >ORUFI10G14920.1 pep chromosome:OR_W1943:10:16379877:16380131:-1 gene:ORUFI10G14920 transcript:ORUFI10G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPTRAKQLSLLAIAAAAAAPPLLRLRRRLSPTLRNLFSSLLVSRGVHRVAACARIWARRSLAIGPRFLGPGAGWGDLGLG >ORUFI10G14930.1 pep chromosome:OR_W1943:10:16382557:16385051:-1 gene:ORUFI10G14930 transcript:ORUFI10G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPVSDELGLARARCRELHDRVAASPSLQRHPALRSLLRLVAAEQRFLDSSRPDQGAPLSTNLPHLAALHLLLAAHPAVRCPSRLAPLPGVDFACAFRSRAAWVLLSARNPSRLAWAAAAPDGIRARVAAALGAARGAPPATRPEKLLLVFARGVGADPARGLAEEFGAVEIDLLVDFVGEADHDSEEEEGWVSVSFHPNEDMRSFRAFEIDVVECGDEMLSSPLPPPPPPLPEMEVKEGSLGGEFSVFVGKMNMGSREMVNLDTTALVAIVSGISNGGVGKLMSIPEAETRARFKCNYKFVMDQAHSELQSPILVELGNAVDGKKCIICETVNSEFKEIVTMCGGPEEQTRSSQLLKRLIVVPDSPSARMMDLPTTRKLAMKNKVVFGTGDHWRAPTLTANMGFVRAVSQSGMPLLTIEHRPRALIGL >ORUFI10G14940.1 pep chromosome:OR_W1943:10:16385237:16389662:1 gene:ORUFI10G14940 transcript:ORUFI10G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGKNTQLDLETEGSSSPAATQKMKVKMEDSESKIESPTLEKSESRRNGVVISSLARNLLAERYKDRFAAQLLGDEDEDETDDEDDSSSTSPDGSSPSVSESIDISGTSPKDRSNDLLEKHNNLLNLFNRMVSSIRLLHLRKKMTTFKNIATQVEILTKREFLYTHLAQMKHLFPEAIQIKKILLHDEKSLCMYADMEITILMDTVESSSPDQSLSMAICEAFHSKLLSFLDAHHKDIDIPEAMLPEPFNSRDKLHLKAPLDGHSAEPLLQSSNRNELLNASHFPCSFQKLMSQKIIADGTDRTKLLSDPAELSMLSADDTEGPKRSSNKQDQHASIPFKTDISSTPNRHLISSCQGSTPKQGTLLHSPLMAETPAMQTPRRPLPTQIEKLETTSGQTSEARSASSARRSLKMFSPSKIQECSSDHDGAILTLEHEVTAGKCLFPDETHNFTNSLEENNPAICFADVDKTKEVDPAESQEKIASLQLTFDIVCDISRSTKNSLITKQELFHNILANNLEIEETGEIEEQLHILEDLSPDWISKKVIPGGDILYSIKEIADQKSVRARLVEVI >ORUFI10G14940.2 pep chromosome:OR_W1943:10:16385302:16389662:1 gene:ORUFI10G14940 transcript:ORUFI10G14940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGKNTQLDLETEGSSSPAATQKMKVKMEDSESKIESPTLEKSESRRNGVVISSLARNLLAERYKDRFAAQLLGDEDEDETDDEDDSSSTSPDGSSPSVSESIDISGTSPKDRSNDLLEKHNNLLNLFNRMVSSIRLLHLRKKMTTFKNIATQVEILTKREFLYTHLAQMKHLFPEAIQIKKILLHDEKSLCMYADMEITILMDTVESSSPDQSLSMAICEAFHSKLLSFLDAHHKDIDIPEAMLPEPFNSRDKLHLKAPLDGHSAEPLLQSSNRNELLNASHFPCSFQKLMSQKIIADGTDRTKLLSDPAELSMLSADDTEGPKRSSNKQDQHASIPFKTDISSTPNRHLISSCQGSTPKQGTLLHSPLMAETPAMQTPRRPLPTQIEKLETTSGQTSEARSASSARRSLKMFSPSKIQECSSDHDGAILTLEHEVTAGKCLFPDETHNFTNSLEENNPAICFADVDKTKEVDPAESQEKIASLQLTFDIVCDISRSTKNSLITKQELFHNILANNLEIEETGEIEEQLHILEDLSPDWISKKVIPGGDILYSIKEIADQKSVRARLVEVI >ORUFI10G14950.1 pep chromosome:OR_W1943:10:16392611:16393252:1 gene:ORUFI10G14950 transcript:ORUFI10G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMTMSRRRLSHALLLVLAILPNLAALAVAAGGGSGGGGFFPHRSLLQSSSCQPSGAITGTSGDCNADNGSECCQDGVQYMTYACSPPVAAGGTGTAALLTLNSFADGGDGGGAPSCTGRFYDDGQLVVALSTGWFDGRSRCEKDVVIRASGGASVTAMVVDECDSQRGCDSDHNFEPPCRNNIVDGSPAVWDALGLNKDDGEAQITWSDA >ORUFI10G14960.1 pep chromosome:OR_W1943:10:16394727:16409792:1 gene:ORUFI10G14960 transcript:ORUFI10G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCGPALTNIDEEPMAQMAPAAKRNLGKAPIVDDSDESDCDGELSRRELDDIIYEIFRKEDNKLKEAAKIDSSFTRFSTKYFCRVISALSPHQKTIIQGAGFQNLLEFNSNYVPNKFATWIAKHVDFKTSQIILRDKVISVTKQTVCDIFGLPSGGLEFGKDFEAGKEYILSMYGLSCLPSVRFFGDQFIKKEPLTNEKVITSFLIVALACFLCPNSSILPSTKYLTIFQDVNNLRNYDWSKFIYDWSMNYMKKFVKTNSLGGCLYMWAVVYLDNVEFGDNNVSNEIPRICVWKSDMIHAYSEFDKIDDDTFGLRPLRDFKSTCYFQPQPCDERRISFQQKLDCALQNMLPVYMKEKICSMFDSHCTSLHTIDDSSCGDLLISVLAMIGEASCNESDQNVVIEENVIENVGTSKADDDIGISSAGISAHAFVSKSAVELNDSRQCDDDLNFVTPQVGNANHSKQSVDDLLDVFCRVLFQDSHPSKSKRNYFFPSIGINNLQTFWDKFECSPMNFSNFKLKFPSVPAHISSADSGIFVMKSMELWSPRVILQNEFSNDNISNIRVQYANRIFFHPSNKLLSTEVEDVVLNWFDPAKFPRVVKDVKKEILNRKSCSHAFRYAKNSPDVVCLGENKICDSSKRMCVKAEQLYNSTNQLNKYIRGMSSSGGKLPVHGPRRVLVPARHASDPFVFSPRRRFTVSDQENRYYIAICRLSDSSKWQSYYAVDIDNVKAKFYSFGHSLKKNCIVSPYVISVFCLFCRVLFQDSHPSKSKRNYFFPSIGAELINDISDKGLEKVKKSFEGATNARKLHLCDMLYFPILHLQHWFLFIVDLKDRMLVILDCVYHEGDDFYEPIMTQLI >ORUFI10G14970.1 pep chromosome:OR_W1943:10:16407332:16409853:-1 gene:ORUFI10G14970 transcript:ORUFI10G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKKKAKKKREEEEPKSKIKSEEKIKTSRSKQELNSISEHKQKVSFETQGNKQNHMKQNAGIRIMPYAEPNENSKSITQGSKEGNNKTIQSGTNIHQLQDGERSLKESERNKIDTKHTEETSSSITQITGIGTTQSPQSPALLHDELTMLKSHTTPVAQLSYTSLMHQVINSPRINIEPNMVRI >ORUFI10G14980.1 pep chromosome:OR_W1943:10:16410575:16415895:-1 gene:ORUFI10G14980 transcript:ORUFI10G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVKVLVVLALLQLMSLHAVVHGGDNGGVSAVATGKHEPKPKQGGGGGGGDGGSGKCNRAHGSDCCVTGRRYPQFRCSPPVSSARPTPATLTLNSFARGGDGGGRSSCDGRFHPDTAMVVALSSGWLRLDGASRCNRMIRVAAGNGRSALARVVDECDSVNGCDAEHNFEPPCPNDVVDGSPAVWKALGLDEGVGEFKVTWSDAMSLLSTSPTIHGVSDAAASCHASGYVYLHGNETRCPKGSHDCCVAGERYPRFRCSPPVSIGQDDAGDPDAEGVRPRRGRRRRPHVLRHALPPEHGAGGRAVLRVAAPRRRAPPPLAVAAAEEAYTGADRVVDDCDSVNGCREEDGFAPPCRNNAVGGSPVVWEKLGLNASVGEFEVVWSCLKNAKEQQSYITSCISNPIPSSKSKHKLIKKTEDNKVAQQLAKMASARAVAAMAMIFLLAALSTTHLASSLRPGAVAGGACRPSGYLPGKSFRQLREEQRPGLLRGRQGVPAVPVLAAGDGGHRRRADAEQLREGEGRRRAVGVLSTGWFAGMARCGHRVRITASGGSGRSVVAKVVDECDSVHGCDGEHNYEPPCDNNIVDASPAVWDALGLDKSVGMEHITWSDDGDE >ORUFI10G14990.1 pep chromosome:OR_W1943:10:16419333:16420034:-1 gene:ORUFI10G14990 transcript:ORUFI10G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFHMATLAALMAAAAAAATAAGAVTFDATNTASSTAGGQRFDREVGVDYAKQVLADASSFIWDAFEQPGDGGDRKPVDAVTLTVEDIDGVAFTSGDGIHLSARYVGGYSSSSGDVRTEVTGVLYHEATHVWQWGLQDYAAHSWVYEGIADFVRLRAGYVAAGWVQPGQGNSWEDSYSVTARFFDYCDSVKPGFVADINAKLKDGYNVDYFVQITGKTVQQLWQDYKAKYGN >ORUFI10G15000.1 pep chromosome:OR_W1943:10:16421686:16422378:-1 gene:ORUFI10G15000 transcript:ORUFI10G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSHVAALAALMAAVAATAGAVTFDATNTASNTAGGQRFDREVGVDYAKQVLADASSFIWDTFEQPGDGGDRKPVNAVTLTVEDIDGVAFTSGDGIHLSARYVGGYSAAGDVRAEVTGVLYHEATHVWQWDGRGGADGGLIEGIADFVRLRAGYAPPHWVQPGQGDRWDQGYDVTARFLDYCDSPAVVQGFVAQLNGKMKDGYSDDFFVQISGKTIDQLWQDYKAKYGG >ORUFI10G15010.1 pep chromosome:OR_W1943:10:16424295:16425841:1 gene:ORUFI10G15010 transcript:ORUFI10G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHVVAAILLAVAASSSPPPAAAVTYEVSNEAASTAGGQRFDREYGAGYAKQVLAAASSFTWSIFSQPSAADRRPVDAVVLAVRDVDGIASTSGNTITLGAGYVAGVTGNDFKTQVTGVLYHEVVHVWQWGLQDYGAHSWVYEGIADFVRLRAGYPAAGWVQPGQGNSWEDSYSVTARFFDYCDSVKPGFVADLNAKLKNGYNVDYFVQITGKTVQQLWQDYKAKYGN >ORUFI10G15020.1 pep chromosome:OR_W1943:10:16426219:16426609:1 gene:ORUFI10G15020 transcript:ORUFI10G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAAPPPPARRATAGTPPARHHQHCHRTRNSHRQSCSHLKQHDALSPVAVRQCPIPQVNLDSHQERFSSGRH >ORUFI10G15030.1 pep chromosome:OR_W1943:10:16440384:16442453:1 gene:ORUFI10G15030 transcript:ORUFI10G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWKVLLMVIALICALHTTSGRPPVVGYPAPRPNGSSGTRCYEGCNPPGRDGNRDP >ORUFI10G15030.2 pep chromosome:OR_W1943:10:16440384:16442751:1 gene:ORUFI10G15030 transcript:ORUFI10G15030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGIHNRDPLLPSSFDRDGTRAASVVVATGGLNQPPAPYVRGLGRHSHGSGARWPLAPAGSPPSAAPSPRRSPSFSLPPLVVAPGERRFEMCDDFMILVRLV >ORUFI10G15030.3 pep chromosome:OR_W1943:10:16440384:16442453:1 gene:ORUFI10G15030 transcript:ORUFI10G15030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLATHETKIIEGPCGPTRHPHSSLLSLSPLSPPFFQQHAAAGGLVAGGGSVRRRAGAS >ORUFI10G15050.1 pep chromosome:OR_W1943:10:16451183:16453374:1 gene:ORUFI10G15050 transcript:ORUFI10G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGSSNVGFQLLKKSGWKEGTGLGAQEQGRLEPVESRVKNNKRGLGSKEPKLKPKVDEDGEKDPKKPKLEAPKKKAKLAAKRIRKMQEEEKRLQEREFEMAFFREFWPDNV >ORUFI10G15060.1 pep chromosome:OR_W1943:10:16453991:16462546:-1 gene:ORUFI10G15060 transcript:ORUFI10G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALASAKPSPSPAPAPLLFAPLKPLPLLRFPPRRPRASSSSVGARLRAGRRGLRLLCRAAVGAGEEVFGPRRELAGVQPLVEALPPAARTAAELAVAAAAVAAGYGIGLRAGGGSRAVAVAGAAVLGAASVAGAAAVNSVVPEVAAVGLHNYVAGCDDPTKLESGEVEALATKTQPSKQSSVICMPVLPPGDEDLKGDEVQTIIKFKNALGLDDVDAANMHMEIGRRIYRERLETRDRDADMEQRRAFQKLIYVSNLVFGDASTFLLPWKRLFGVTDSQIDIAMRENAKILYASQLKSIGRGLDIGTLIEVRRAQLAYKLSDEIAAEMFKEHAKKLVQENISSALDIVKSRTKAANSPTQVIEDVKSILAFNSLLITLSKHPDQDRFIRGLGPISLGGESDHDRRADDLKLLYRAYATEVLSDGHLDDEKLAPLNQLRNIFGLGKREAESIMSDVKAQVYRKRLAKSFNSELAAAPSKAAFLQNICEELQFDPELASKMHEDIYRQKLQQFVADGELNKDEVEALMAFQVRLCIPQETVDAVHSEICGKLFEKVVVEAISSVDGYDTNRRQAVKKAAQGLNLKNESVMAIFSKVVRKLFLNYIQRAKAAGNRIETAKELKKMISFNTVVVSELLADIKGEPTTAEAQTSDATSEPEVSESEGDDEYEWEQLETLRKTRPDKELREKLAKSSQKEITLKDDIPLRDRAELYETYLVFCVTGETTNVSFGTAISTKKDNSEYLMLKQLGDILGLTRKEAQNVHIKMAEKAFVQQAEVILADGKLTEAKADQLAKIQKQVGLPTEYAQKIIKNITTTKLSSAIEASISRGQIGIQQVRGLKEANFQLDSLIAEPLRENIYKKSVEEIFSSGTGEFDEEEVYVKIPADLIINAEKAKSIVQDIAKIRLDNALVQAVALLRQKKKDEVVASLNDLLACDAAVPASKPLSWPTSGELDDLYGIYMKSIPKPEKLSRLQYLLGISDEKAGQIRDAASAGTLSVATEEEDELVF >ORUFI10G15070.1 pep chromosome:OR_W1943:10:16466231:16466831:-1 gene:ORUFI10G15070 transcript:ORUFI10G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIETMYDTNRQHVDVKAAHGLKNLNREGVMAIIFSKLTKLLLRGSLFLIFSILLLTLNQVYFCIARSKESCIRRMQWSPLTLLLSELFADIKEEPAAAETETETETSSATSEINQ >ORUFI10G15080.1 pep chromosome:OR_W1943:10:16467067:16477071:-1 gene:ORUFI10G15080 transcript:ORUFI10G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLASAKPSPRAAAAAAAAAAASSSLLSLRGRRRRVGVVCAAVSSGEEVFGGRRELAGVQPLVEALPPVARAVVELAVVAAAAAAGYVVGLRAGGTRTTAVAGAAALGAVSVAGAAAVNSAVPGVAAAGLHNYVAGSDDPTKLESSEVEAIASKYGVSTEDATFKAELCDLYGRFVFSLIHPGYEDLEGTEVETIIKFKKALGLNDIDAANVHMEIAKRIDRNAGVGQQQAFEKLIFVTNLVFRDAYEYLLPWKRLFGVHESQGCVHVMAGTSSDYNASLSIMSVRDRSLTKTLIDDVMRESAKSLYASLLKSIGRGLDIGTLIEVRRSQLAYKLSDEIAAEMFREHAKKLVEENISTALNNLNNRTQVVEEVKSILSFNGGDSDHEKRVEDLKMLYSAYAMEVLSDGHLNDDKLAALNQLRNIFGLAKYEAEAIITDVKAREWTLRPLPSGDGDGGGAAVAPRCTTNSTATAFVLSTGGFTGNPFHDYTDVLIPAFITAHRFGGEVQFLVSSYKSWWMNKYIQIFQQMSRHDVVDVDADGDEVRCYRSAVVGPEFHRELGVDPTKTPSGYSVLDFRKMLRGAFGLDRATATPSGDRWDIRRRPRLLIISRRAARGRVFMNERAMADMAASLGFDVRVGEPDASTDTSKFARLVNSCDVMVGVHGAGLTNMVFLPAGAVLVQVVPYGKLEWLARNTFAEPSSAMEIHYLEYAVQLDETTLSEQYPADHPVLRDPMAIHKQGWEALKTTYLDKQNVRPHLGRLKNTFLQALKLLPHDKETMN >ORUFI10G15090.1 pep chromosome:OR_W1943:10:16481100:16484330:1 gene:ORUFI10G15090 transcript:ORUFI10G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTRCETTWVWVVMVVVVILAAAMAAVVEGVGSPEPELEALRDERGGLVALRDALRSGRDLHSNWTGPPCHGGRSRWYGVACDGDGRVVGVQLDGAQLTGALPAGALAGVARLETLSLRDNAIHGALPRLDALARLRVVDLSSNRFSGPIPRGYAAALGELTRLELQDNLINGTLPAFEQDGLAVFNVSYNFLQGEVPDTRALRRFPATAFAHNLRLCGEVVRTECRREGSPFDAAPAGGGGSGSDGGDRVFGARDAAAPPARWRKPIRFRIARWSVVVIALIAALVPFAAVLIFLHHSKKSRVVRLGGGRAAAAATAGDIKDKAAEQAGKKVSSGSGNGSRSTTESGKGAADQLQFFRPEKATFSLDELFRSTAEMLGKGRLGITYRVALHAGGGGGGGGGPVVVVVKRLRNMGHVPRKDFAHTMQLLGKLRHENVVEVVACYFSKDEKLVVYDHVPGRSLFHLLHENRGEGRTPLPWPARLAIAKGVARGLAYLHQTLPLFHRPPHGDLKSSNVLVVFPGPGGRGGGGGDAVPVAKLTDHGFHPLLPHHAHRLAAAKCPELARGRRRLSSRADVFCLGLVLLEVVTGKVPVDEDGDLAEWARLALSHEWSTDILDVEIVADRGRHGDMLRLTEVALLCAAVDPERRPKAHDVVRMIDDIAAGSAAAAGDGEATAGRELALR >ORUFI10G15100.1 pep chromosome:OR_W1943:10:16484867:16486253:1 gene:ORUFI10G15100 transcript:ORUFI10G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAARPGRRFTVGRSEDATHPDTIRAAISEFLATAIFVFAAEGSILSLGKLYQDMSTPGGLVAVSLAHALALAVAVAVAVNISGGHVNPAITFGALLGGRLSLIRALFYWLAQLLGAVVATLLLRLTTGGMRPPGFALASGVGDWHAVLLEATMTFGLMYAYYATVIDPKRGHVGTIAPLAVGFLLGANMLAGGPFDGAGMNPARVFGPALVGWRWRHHWVYWLGPFVGAGLAGLLYEYLVIPSADAAPHGGAHQPLAPEDY >ORUFI10G15110.1 pep chromosome:OR_W1943:10:16487177:16489718:-1 gene:ORUFI10G15110 transcript:ORUFI10G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHLPVSPSSSHHLLDAAPTPASPAHAQHRRRRRRRMERFGAVFYVPGNHDLWLRRENGRYMDSLEKLTALLDACSELGVDTGPRIIGDLGIIPLFSWYHKSFDKEKDVNSVRVPSLEMACKDFHACQWPPDLANEDEALALYFDKLNDRNQDAIEEVKKSSKQILTFSHFVPRQELCPEKRMLYYPNLPKVIGSDFLERRLRTIHDNTKHGAACHVFGHTHFCWDSVVDEIRYVQAPLAYPRERKRRMNGGDGWLPFCIYRDGFNPEIYPALWSDYYNKNRREPENTQLAPWVAKYFSKYY >ORUFI10G15120.1 pep chromosome:OR_W1943:10:16491245:16494922:1 gene:ORUFI10G15120 transcript:ORUFI10G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVLLAVACAAAAMIAGGECGRVVHVGEEHRRSMLANGLATAPPMGWNSWNHFACDGNGEDVIRETADALVSTGLAAAGYKYVNIDDCWAEPQRDSKGNLVANKKTFPHGIKALADYVHSKGLKLGIYSDAGFKTCAKVQPGSLGHEEQDAKTFASWGVDYLKYDNCNNGDLKPLERYPEMSKALMMAGRPIYFSLCEWGDMHPAKWGAAYGNSWRTTNDIADTWESMVSRADENEVWADYARPGGWNDPDMLEVGNGGMTNDEYIAPLIIGCDVRHMSQETYDILANKEVIAVNQDALGIQGKKVRMEGSSEVWAAPLSGYRTAVLLLNRHAAEAAAITAHWDDVGLPAGTAVEARDLWRHATVAGAGGELTEKITLDVAPHSCRMLLLKPLISSRALRASSP >ORUFI10G15120.2 pep chromosome:OR_W1943:10:16491245:16494922:1 gene:ORUFI10G15120 transcript:ORUFI10G15120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVLLAVACAAAAMIAGGECGRVVHVGEEHRRSMLANGLATAPPMGWNSWNHFACDGNGEDVIRETADALVSTGLAAAGYKYGNLVANKKTFPHGIKALADYVHSKGLKLGIYSDAGFKTCAKVQPGSLGHEEQDAKTFASWGVDYLKYDNCNNGDLKPLERYPEMSKALMMAGRPIYFSLCEWGDMHPAKWGAAYGNSWRTTNDIADTWESMVSRADENEVWADYARPGGWNDPDMLEVGNGGMTNDEYIAPLIIGCDVRHMSQETYDILANKEVIAVNQDALGIQGKKVRMEGSSEVWAAPLSGYRTAVLLLNRHAAEAAAITAHWDDVGLPAGTAVEARDLWRHATVAGAGGELTEKITLDVAPHSCRMLLLKPLISSRALRASSP >ORUFI10G15130.1 pep chromosome:OR_W1943:10:16499678:16503466:-1 gene:ORUFI10G15130 transcript:ORUFI10G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRQHLQSQLWRLVDTAYGIKKFDPERRGRRVVSYKAYSVEGKIKASRACVQVKEVGKNASEERLIVVSSQEIPDDPVSPTIEALILLRSKAAEQIHGREDYRRLSGLTGYPGGSVELRIPNSYLESVTVCHWCWWCQSS >ORUFI10G15130.2 pep chromosome:OR_W1943:10:16500949:16503466:-1 gene:ORUFI10G15130 transcript:ORUFI10G15130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRQHLQSQLWRLVDTAYGIKKFDPERRGRRVVSYKAYSVEGKIKASRACVQVKEVGKNASEERLIVVSSQEIPDDPVSPTIEALILLRNGLGAEIPVYSKADKPKYLSFDEELVQVAGLPAIARGALTEIASRL >ORUFI10G15140.1 pep chromosome:OR_W1943:10:16505790:16506350:-1 gene:ORUFI10G15140 transcript:ORUFI10G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLRLLSPDLAWPRPDPAEAAGVVAQRERRRLRGFEWGIAGESPAVPLAGLTTAASFGVVPLLGGVVIALTPFFSLPFSG >ORUFI10G15150.1 pep chromosome:OR_W1943:10:16514384:16522479:1 gene:ORUFI10G15150 transcript:ORUFI10G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSSSSPPSPRLLLLLLVAVAATLLPEAAALGNFTAESRGARWRSRRARRRAFENGLGRTPQMGWNSWNHFYCGINEQIIRETADALVNTGLAKLGYQYVNIDDCWAEYSRDSQGNFVPNRQTFPSGIKALADYVHAKGLKLGIYSDAGSQTCSNKMPGSLDHEEQDVKTFASWGVDYLKYDNCNDAGRSVMERYTRMSNAMKTYGKNIFFSLCEWGKENPATWAGRMGNSWRTTGDIADNWGSMTSRADENDQWAAYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLIGCDVRSMSQQTKNILSNSEVWAGPLSNNRKAVVLWNRQSYQATITAHWSNIGLAGSVAVTARDLWAHSSFAAQGQISASVAPHDCKMYSAKKSSTGYQNETTSPTANILKQHRLTNGPVELQMDPITTSDDHDDDDELIIDRRGWMN >ORUFI10G15150.2 pep chromosome:OR_W1943:10:16514384:16522479:1 gene:ORUFI10G15150 transcript:ORUFI10G15150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSSSSPPSPRLLLLLLVAVAATLLPEAAALGNFTAESRGARWRSRRARRRAFENGLGRTPQMGWNSWNHFYCGINEQIIRETADALVNTGLAKLGYQYVNIDDCWAEYSRDSQGNFVPNRQTFPSGIKALADYVHAKGLKLGIYSDAGSQTCSNKMPGSLDHEEQDVKTFASWGVDYLKYDNCNDAGRSVMERYTRMSNAMKTYGKNIFFSLCEWGKENPATWAGRMGNSWRTTGDIADNWGSMTSRADENDQWAAYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLIGCDVRSMSQQTKNILSNSEVWAGPLSNNRKAVVLWNRQSYQATITAHWSNIGLAGSVAVTARDLWAHSSFAAQGQISASVAPHDCKMYVLTPN >ORUFI10G15150.3 pep chromosome:OR_W1943:10:16514384:16522589:1 gene:ORUFI10G15150 transcript:ORUFI10G15150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSSSSPPSPRLLLLLLVAVAATLLPEAAALGNFTAESRGARWRSRRARRRAFENGLGRTPQMGWNSWNHFYCGINEQIIRETADALVNTGLAKLGYQYVNIDDCWAEYSRDSQGNFVPNRQTFPSGIKALADYVHAKGLKLGIYSDAGSQTCSNKMPGSLDHEEQDVKTFASWGVDYLKYDNCNDAGRSVMERYTRMSNAMKTYGKNIFFSLCEWGKENPATWAGRMGNSWRTTGDIADNWGSMTSRADENDQWAAYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLIGCDVRSMSQQTKNILSNSEVWAGPLSNNRKAVVLWNRQSYQATITAHWSNIGLAGSVAVTARDLWAHSSFAAQGQISASVAPHDCKMYVLTPN >ORUFI10G15160.1 pep chromosome:OR_W1943:10:16530275:16530760:1 gene:ORUFI10G15160 transcript:ORUFI10G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDEIQAGIPKLNSTTPPRRMDHPRRRRRRRRRHKHKHKPDEVKEEMVVDALPKEEEEEERGKRRKKKRKKLVTVKLSDELMGYLRTKEVMAYLARETPRPLPIDPSIAQHMFVGQELRQEIAAQVHENREFDAFVLYQYRTKGYAEIQQEVTDDDDDDG >ORUFI10G15170.1 pep chromosome:OR_W1943:10:16531712:16534726:1 gene:ORUFI10G15170 transcript:ORUFI10G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPFKLDIDELLADYTEANCTAFADFKRLWMAKKFSYIYEGRPKTNSGAFMQSLFLHCIGHMTSQSCMPQRLAGLYCLYCLYESQPYKPHFKIYLSLEELKKLKDFVVEAKQNGMAVVPSLVKRMLDKGMILFGFINLLGDSGVKQVNELTASQNKRVKFACDKLFMNTQIDSYMHMDLGSELELDKIKKSSLDYAKAKELAFAEASNIIDVEDARHIVQNDKLLGDRVDEIVKEWDAQKEAFYEKTGVRRDELAVVEHDESGVLPHENDEFDEIRQLLLE >ORUFI10G15170.2 pep chromosome:OR_W1943:10:16532402:16534726:1 gene:ORUFI10G15170 transcript:ORUFI10G15170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPFKLDIDELLADYTEANCTAFADFKRLWMAKKFSYIYEGRPKTNSGAFMQSLFLHCIGHMTSQSCMPQRLAGLYCLYCLYESQPYKPHFKIYLSLEELKKLKDFVVEAKQNGMAVVPSLVKRMLDKGMILFGFINLLGDSGVKQVNELTASQNKRVKFACDKLFMNTQIDSYMHMDLGSELELDKIKKSSLDYAKAKELAFAEASNIIDVEDARHIVQNDKLLGDRVDEIVKEWDAQKEAFYEKTGVRRDELAVVEHDESGVLPHENDEFDEIRQLLLE >ORUFI10G15180.1 pep chromosome:OR_W1943:10:16535122:16538420:1 gene:ORUFI10G15180 transcript:ORUFI10G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPAAAASLHVLVLPPLPPPGCRTRRAAPVLARRGRGVRHAARRDTPPNPVDAVDCVGTGADVECYVDDRPGAEVGPIRREATPPPSPQDAVDCVGTGTDVECFVDGPGAGAGVGAEPLLARVSEEEEDGVSASAAALVGREWWEWASLVSPFFFWGTAMVAMKGVIPKTGPFFVAALRLLPAGALLVAFAAARGRRQPSGWAAWVAVAAFGLVDAACFQGFLAEGLQKTSAGLGSVIIDSQPLTVAVLAALLFGESIGTIGVGGLVLGVVGLLLLEVPALSVEGNDTAIWGSGEWWMFLSAQSMAVGTIMVRWVSKYSDPIMATGWHMIIGGLPLLVISVLNHDPALNGHLQELTLNDVLALLYTSIFGSAISYGVYFYNATRGSLTTLSSLTFLTPMFASIFGFLYLGETFSPVQLGGALLTLVAIYMVNYKSIVGEK >ORUFI10G15190.1 pep chromosome:OR_W1943:10:16538979:16542267:-1 gene:ORUFI10G15190 transcript:ORUFI10G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRWVEEYGRSSSPSSNLQCFLDCTTPAVDTHLLPKANGRFSSDSWHHAEMDSVEYFNLADLWEQYYEWSAYGAGTTVQLYGGERVVQYYVPYLSGIQLYTNKAQTASRSFGEDNGMDYWSDDEDNEKMSRSWSSTSEDSLFNCDAISGNRKRHGHMYFEFFEVCSPYGRIPLIDKVYELSQSYPGLTSLRSVDLSPASWMSVAWYPIYHIPYQRNVKDLSACFLTYHTISSSFQDYALESMANGKRNDETEKKVSKTHLAPFGLAAHKLQGSLWTNPRTGDRDRMVSLFGAADSWLKQLGVQHHDYNYFITHPM >ORUFI10G15200.1 pep chromosome:OR_W1943:10:16555115:16556743:-1 gene:ORUFI10G15200 transcript:ORUFI10G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSNGSSPSTIARSIYDDEGATPTIILEVGDGEGKDRMPFIISKDLPELTPIMCLTKCSSLDVEPDFTVAAVVTCSNTAVDSKELVATDGATGTTIIDPRVCSKETHTKCXSHPKRNPWPPPCSGGVVRRWKEWYVPWAAGNYLGIIFYFMPPWPPPNQIGTIILWLLVNTWLTEVELKPWPDPQPSQYNGGVMWGEQVPWPAPVFAHLMGYDFCSANCIRDQTPSVALYCAPKGDLNHEKSNRAHKDTLVAIFNLEQFHYVGGEEKVTEGMLYGLSTISCNYLIIPAATMTTTFLSVPSSTQNIYGACWLEAQEGLNDIAKGRTYKICKSMRMTLNHVESWLQNPTNILYNGQLFNCPAGIHFGVRRISGIIARGAAENQNRKVKTCGYDSIFDFCENNPSDGSAAARFSIDIGTDSEMIYASIANRDYWSVKLLVVIKEGCPIRHLLLGDIKKEQLLQCETFSTRQTNTEQVIKLQVSNSKEIQELQVPWDPGGFLHRLGDKPNFKKRGLSGTRVGCTWAAGYTTGWSAHCQEAQASPS >ORUFI10G15210.1 pep chromosome:OR_W1943:10:16557290:16557541:-1 gene:ORUFI10G15210 transcript:ORUFI10G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRGDKETKKEERKSIVTTTLGAGAAAPPPSAVDHPMQVRREPRRHNGRCPMSGTRVGCTWAAGYTTGWSAHRQEAQASPS >ORUFI10G15220.1 pep chromosome:OR_W1943:10:16557426:16561199:1 gene:ORUFI10G15220 transcript:ORUFI10G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSTALGGGAAAPAPKVVVTMLFLSSFLVSLSPRDPSMGVPRDGDLMKGVCGLNLKSCSGFMLKTTPKVGCPSLRVRASVSSPQKLYSSKTTQVKSGEEVQIAVLGASGYTGAEIVRLLANHPQFHIKVMTADRKASEQFGSVFPHLITQDLPNLVAIKDADFSNVDAEIIKGLPKQLKIVDLSADFRLRDINEYAEWYGHAHRAPELQQEAVYGLTEVLRDEIRNARLVANPGCYPTSIQLPLVPLIKAKLIKLSNIIIDAKSGKRGMQSTMFVEMAPGVTAGDLYQHLKSTYEGEEFVKLLHGSTVPHTRHVVGSNYCFMNVFEDRIPGRAIIISVIDNLVKGASGQAVQNLNLMMGLPENRGLQYQPLFP >ORUFI10G15230.1 pep chromosome:OR_W1943:10:16568590:16575463:1 gene:ORUFI10G15230 transcript:ORUFI10G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQGGGGGVAAAAVAAAGMVKAELEDVGIKAAGGAVAALSPLSETLWREKAAAEFLGDVSARLAWRDLTVTVVLGGGGGGGGGGTTQDVLQGLTGHAEPGTITALMGPSGSGKSTLLDALAGRLAANAFLDGTVLLNGRKANLSFGAAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPMEEKRALVEGTIVEMGLQDCADTVVGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDRLYLLSGGKTVYFGLASEACQFFAQAGFPCPPLRNPSDHFLRCINADFDKVKATLKGSMKRRFERSDDPLDRIMTSEAIRRLITYYKNSQYYFAAQQKVNEMARVKGTVLDAGGSQASFWMQAFTLTKRSFINMLRDFGYYWLRLIIYIVVTVCIGTIYLNVGTRYSSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVLAFVISNTISAMPFLILITFISGTMCYFMVRLHPGFTHYLFFVLCLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPFWRYPMSYISFHYWALQGQYQNDLKGLVFDNQDDELPKIPGEYILENVFQIDVSRSKWLDLAVLFSMIFIYRLLFFAMIKVSEDVTPWVRGYVARRRVQGKGARGRGADLSAARSPSLRAYVVDAADDLPPA >ORUFI10G15230.2 pep chromosome:OR_W1943:10:16568590:16575463:1 gene:ORUFI10G15230 transcript:ORUFI10G15230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQGGGGGVAAAAVAAAGMVKAELEDVGIKAAGGAVAALSPLSETLWREKAAAEFLGDVSARLAWRDLTVTVVLGGGGGGGGGGTTQDVLQGLTGHAEPGTITALMGPSGSGKSTLLDALAGRLAANAFLDGTVLLNGRKANLSFGAAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPMEEKRALVEGTIVEMGLQDCADTVVGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDRLYLLSGGKTVYFGLASEACQFFAQAGFPCPPLRNPSDHFLRCINADFDKVKATLKGSMKRRFERSDDPLDRIMTSEAIRRLITYYKNSQYYFAAQQKVNEMARVKGTVLDAGGSQASFWMQAFTLTKRSFINMLRDFGYYWLRLIIYIVVTVCIGTIYLNVGTRYSSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVLAFVISNTISAMPFLILITFISGTMCYFMVRLHPGFTHYLFFVLCLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPFWRYPMSYISFHYWALQGQYQNDLKGLVFDNQDDELPKIPGEYILENVFQIDVSRSKWLDLAVLFSMIFIYRLLFFAMIKVSEDVTPWVRGYVARRRVQGKGARGRGADLSAARSPSLRAYVVDAADDLPPA >ORUFI10G15240.1 pep chromosome:OR_W1943:10:16578573:16581874:1 gene:ORUFI10G15240 transcript:ORUFI10G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRLSKIGSSDSGAAASGAAAGAGGGVGGGGVGGAGAGAGGGPPKSASAAAGGAPESIPCEHAFCLACARSDSSCYLCDERIQKIQTVKMMEGIFICAAPMCLKSFLKRSEFDSHIPEVHANLLHNTPEREERNEPDAPNISRASGGDQRQSQMPEMSTARAPPRTGVSPSSSSHVQDRDDRSRYHHSRDQTPQRPPMLSRPPSFHGRHSYPPGDTPSENNPPQGFDRPYNWAHENAPGVTPVHQESEHGSQDKQQMMPNAPFMFPPMPHQPNFMMPMNMNQPLISNTSFNYPLQQDGNPQFFSAPFQMQLPDVGLDQGSASGVQPTPPGPLSFPEGLQRPWGMGLMGNPFQSMPLGQGMPEGAGEPQGGGGMVFLQGGFGVMPDGSMNSGIPGRDLSGQGDRGVLAQMPMPMQMQMSLPPPPPTQPPSAGQQTFSRT >ORUFI10G15250.1 pep chromosome:OR_W1943:10:16582248:16589098:-1 gene:ORUFI10G15250 transcript:ORUFI10G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRAYKLQEFVAHASDVNCVKFGRKTSRILITGGEDQKVNLWAIGKPSSILSLSGLTSPVESVSFDSSEAMIGAGASSGTIKIWDVDEAKVVRTFTGHRSSCASLDFHPFGEFFASGSSDTNMKIWDMRKKGCIHTYKGHTRRIDVLRFTPDGRWIVSGGSDNSVKIWDLTAGKLLHDFRNHEGPINCLDFHPHEFLLATGSADKTVKFWDLETFELIGSSGPENSREYFVPASVVRSMTFNKDGKSLFCGLHESLKVLSWEPIICHDVVDVGWSTLGDLIVHEGKLLGCSYNQSCAGIWVVDLMKIEPYAVSIAEAHLNESVNRSIQADNSISSVLGRLSVSRSPAKEASSDTLLKLSMSASKEVPVPASSAVTKKLPKEPITSNIRLTRSDSLPVVSPRVRLNPKFSDDQKRQTDYAVPITTPRIRSKVDLSIGARAFHRNSVPSVAPTNRSRSKISAYSSEGSSFIPVVVPRHIPKVDSGPNLSKVLTTDLTIVEPQDIERGGLAVDCGEDDKLVCVIDSRSSNMGVQNGRRRKAGDIITHKETPETALTVNMDRDFRRKAPETESMQQDIFHSEPISSKCKYIKETSGAGDINLSGSAITESVKSNEGGDWYNASSFVKPNLTVGRNPETSYINRRTMFGLRHSTDSSEKHAVEHGPSNLSASYERNQYAPTLHNLRRRSSVAREQSASAGDEDDIADLMENHQEFIHAVKSRLTKLEVVYRCWHNNDVKGSIDATRRIQDLDVTADIISVLMENDNSITLDICTCVLPLASSVLEKSSYDRHLKVALEMILKLVKSFGSTISSAVSSTPPVGVDIEAEQRLNRCNLCFLELIKVHSVLFALTRRQGEVGRSAQELSLFLQDIFQLTSR >ORUFI10G15250.2 pep chromosome:OR_W1943:10:16582246:16587153:-1 gene:ORUFI10G15250 transcript:ORUFI10G15250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAGASSGTIKIWDVDEAKVVRTFTGHRSSCASLDFHPFGEFFASGSSDTNMKIWDMRKKGCIHTYKGHTRRIDVLRFTPDGRWIVSGGSDNSVKIWDLTAGKLLHDFRNHEGPINCLDFHPHEFLLATGSADKTVKFWDLETFELIGSSGPENSREYFVPASVVRSMTFNKDGKSLFCGLHESLKVLSWEPIICHDVVDVGWSTLGDLIVHEGKLLGCSYNQSCAGIWVVDLMKIEPYAVSIAEAHLNESVNRSIQADNSISSVLGRLSVSRSPAKEASSDTLLKLSMSASKEVPVPASSAVTKKLPKEPITSNIRLTRSDSLPVVSPRVRLNPKFSDDQKRQTDYAVPITTPRIRSKVDLSIGARAFHRNSVPSVAPTNRSRSKISAYSSEGSSFIPVVVPRHIPKVDSGPNLSKVLTTDLTIVEPQDIERGGLAVDCGEDDKLVCVIDSRSSNMGVQNGRRRKAGDIITHKETPETALTVNMDRDFRRKAPETESMQQDIFHSEPISSKCKYIKETSGAGDINLSGSAITESVKSNEGGDWYNASSFVKPNLTVGRNPETSYINRRTMFGLRHSTDSSEKHAVEHGPSNLSASYERNQYAPTLHNLRRRSSVAREQSASAGDEDDIADLMENHQEFIHAVKSRLTKLEVITSMVIYSICYFEIPRVTVVYRCWHNNDVKGSIDATRRIQDLDVTADIISVLMENDNSITLDICTCVLPLASSVLEKSSYDRHLKVALEMILKLVKSFGSTISSAVSSTPPVGVDIEAEQRLNRCNLCFLELIKVHSVLFALTRRQGEVGRSAQELSLFLQDIFQLTSR >ORUFI10G15260.1 pep chromosome:OR_W1943:10:16587855:16588430:1 gene:ORUFI10G15260 transcript:ORUFI10G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLSRCMAAACGRTVRRRRRRLGAAAEAGQHPAASPAPASAFAAAGPYGVARPRRSGSWAAAVPLAASSGAARLGGARRPDKGGAGGWHRSRSGSVSGIGAGPDGNAKGVGGGWSFSSLSIGTLALPRAPPLLCGEFLSWIEAAACQWGKLRLLKQCHLVPGSPSARSGEEAGGWLNGGVLGQLSGMVVR >ORUFI10G15270.1 pep chromosome:OR_W1943:10:16590915:16595306:1 gene:ORUFI10G15270 transcript:ORUFI10G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPPPSPSPSSSSDKLVAGAAQSAPLVPLQEPDVSSLGESFDTTSSQDLITASHNIIDDGSSSTCPSRIDKPVADATLSTSLQDVALSLLDEIFDDNPRTAPDNTTTDGYLQSASSSVGSSILVRSFVNCYQLFYIRIDPRGSCWTYPDVGGPFQRVDEADDAIKCFLDELQHGARNKGTPPMKHPQNTNAYAGGHLDVERYRLVLTSSSEDEESEDESEEELGLFEVDDVESEEEEEEEEEEEEEEEEEEETNMWLRRDTFDKFLDTMLNELQAEGD >ORUFI10G15270.2 pep chromosome:OR_W1943:10:16590893:16595306:1 gene:ORUFI10G15270 transcript:ORUFI10G15270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLCFSPFRSDKLVAGAAQSAPLVPLQEPDVSSLGESFDTTSSQDLITASHNIIDDGSSSTCPSRIDKPVADATLSTSLQDVALSLLDEIFDDNPRTAPDNTTTDGYLQSASSSVGSSILVRSFVNCYQLFYIRIDPRGSCWTYPDVGGPFQRVDEADDAIKCFLDELQHGARNKGTPPMKHPQNTNAYAGGHLDVERYRLVLTSSSEDEESEDESEEELGLFEVDDVESEEEEEEEEEEEEEEEEEEETNMWLRRDTFDKFLDTMLNELQAEGD >ORUFI10G15280.1 pep chromosome:OR_W1943:10:16598757:16603192:1 gene:ORUFI10G15280 transcript:ORUFI10G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQRLLMDHDRSRRHKSNTSRKRPHFNSDDGKRKRLNSRHDDGTISSEPIETIYRILCPVKKIGSVLGRGGDIVKALRDTTKAKIRVADSIPGADERVIIIFNYSSQTEEAAQNISTDGFEDMKPHCFAQDALLKIHDKIAADEDLHAGIVHEKSENVDDVIARILVPGNQVGCLLGKGGSIIQQLRNDTGAGIRVLPSENLPQCALKSDELVQISGSSSLVRKALYEISTRLHQHPRKDNPPLEEIIDASTQRKHQAPPQLPHANPMLPHLHVDHSPQIPLLDPYRNRPLQYHSAEAEEFSIKILCASEHIGQVIGKSGGNVRQVEQQTGACVQVKEVGKNASEERLIVVSSQEIPDDPVSPTIEALILLHSKVSTLAENHHLTTRLVVPSNKVGCIIGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDEELVQVAGLPAIARGALTEIASRLRTRTLRDGSSSNNPTPFAPFDGPPVDILPNREFMLYGRSANSPPYGGPPNDPPYGRPAIDPPYGRPAIDPPYGRPAIDPPYRRPANDTSYGGLNNDGPRDPYTAYPVEYFSKREYPSGNSKVTPSASYDRYAATTRLPNRELPSSISPGADYMSRRSYLDQVPTDRYSSRAAEQIHGREDYRRLSGLTGYPGGSVEFRIPNSYLESVIGASGVNLAEIRQISGARVKLHEAHPGSSESIVEIQGIPDQVKAAQSLLQGFIGASSNSRQAPQSSRMAHYF >ORUFI10G15290.1 pep chromosome:OR_W1943:10:16604936:16608100:-1 gene:ORUFI10G15290 transcript:ORUFI10G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGAHEVTPNLYTYSVLIGCCCRAGRLDNVIKKGFRVEAITFTPLLKGLCADKRTSDAMDIVLRRMTQLGCIPNVFSCTILLKGLCDENRSQEALELLQMMPDDGGDCPPDVVLYNTVINGFFKEGDPDKAYATYHEMFDQGILPDVVTYSSIIAALCKAQAMDKAMEVLNTMVKNGVMPNCRTYNSIVHGYCSSGQLTEAIGFLKMMCSDGVEPDVVTCNLLMDYLCKNRRCTEARKIFNSMTKWGLKPDITTYCTLLQGYATKGALVEMHDLLDLMVWNGIQPNHHVFNILICAYAKQEKVDEAMLVFSKMRQQGLSPNAVNYRTLIDVLCKLGRVYDAVLTLKQMINEGLTPDIIVYTPLIHGFCTCDKWEKAEELIFEMLDQGICPDTIFFSRIIYSFCKEGRVIESEKLFDLMAHTGSRMEDALVLFIEMVSNGVIPDIITYNTILHGLFQTGRIAAVKELYVRIVKRGIETELSTCNIIRQGLCKNNLTDDALRLFQ >ORUFI10G15300.1 pep chromosome:OR_W1943:10:16607150:16621292:1 gene:ORUFI10G15300 transcript:ORUFI10G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSVSFVRIPLFEEAIDDGVVQHHIRWAVTSIIRHHLEQLESFLASVLITQTLEKNGAGEDIWYAAELGHSAEHYVHCVARPLVGTEALEQRCEGDGFHSKSLLNDIVQAARPAAATDENAVGVQVGSYLVGAGSGHAVVAGHGGRGAVTRDVVEGAVQAVDRGALATPKQFVEHGKTYDILDICSQTIGEDSNKKEAVDASREKCFSSIMNR >ORUFI10G15310.1 pep chromosome:OR_W1943:10:16611445:16612082:-1 gene:ORUFI10G15310 transcript:ORUFI10G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAASRAVGSEGSIQGRGGRAGGNGAEDARHVFDELLRRGKGATIYGLNRALDDVARHSPAAAVSRYNRMARAGADEVTPNLYTYSVLIGCCCRAGRLDNMLVSTYANRATSLVFGGIFKPSRATSYRDSC >ORUFI10G15320.1 pep chromosome:OR_W1943:10:16613837:16624913:-1 gene:ORUFI10G15320 transcript:ORUFI10G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVLRRMTELGCIPDVFSYNILLKGLCDENRSQEALELLHMMADDRGGGSPPDVVSYNTVLNGFFKEGDSDKAYSTYHEMLDRGILPDVVTYSSIIAALCKAQAMDKAMEGSRKRLLDFSKRCAVMVSNQIVEAITFTPLLKGLCADKRTSDAMDIVLRRMTELGCIPNVFSCTILLKGLCDENRSQEALELLHMMADDRGGGSPPDVVSYNTVIDGFFKEGDLDKAYSTYHEMLDRGILPDVVTYSSIIAALCKAQAMDKAMENGRSTEARKIFDSMTKRSLEPDIATYCTLLQGYATKGALVEMHALLDLMMIDEGLTPNIIVYTSLIHGLCTYDKWEKAEELFFKMLDSGICPNTVFFSSIISNLCKEGRVIESEKLFDLMVRIGVKPNVITYSTLIDGCCLAGKMDEAMKLLSGMVSVGLKPNTEMESSGVSPDIITYNIILQGLFQTRRTAAAKELYPNVITYSTLIDGCCLAGKMDEATKLLASMVSVGVKPNIVTYGTLINGYCRVSRMDDALALFKEMVSSGVSPNIITYNIILQGLFHTRRTAAAKELYVSITKSGTQLELSTMDEAKDLFAAHSANGLVPDVWTYSLMAENLIEQGSLEELDDLFLSMEENGCSADSRMLNSIGFGGECVGDGRKRNAVGGGGATATM >ORUFI10G15330.1 pep chromosome:OR_W1943:10:16625069:16625644:-1 gene:ORUFI10G15330 transcript:ORUFI10G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVPTRPRGGGGGGVPRSEGSIQGRGGRAGGSGAEDARHVFDELLRRGRGASIYGLNRALADVARHSPAAAVSRYNRMARAGAGKVTPTVHTYAILILPTCTPTAFSSVAAAARAAWTIVEAITFTPLLKGLCADKRTSDAMDIVLRRMTELGCIPNVFSYNNLLKEAKKLSSCCT >ORUFI10G15340.1 pep chromosome:OR_W1943:10:16629047:16636672:-1 gene:ORUFI10G15340 transcript:ORUFI10G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAANVMLAIHEKRTTPTDLYRPLRLYIASAYSEREAAAADDDLAAVRDLRADPSLPDPSSLERRRDALLAYARALSLVEPRFPISPDRAHVHSLAFTWHDAFKTGKKASPSLPDPSSLERRRDALLAYARALSLVEPRFPISSDRAHVHSLAFTWHDAFKTGKKASTGKKASVASIHLEKAAVLFNLAAVYSQIALAADRATDVGIRTACGSFQSAAGAFAWMRESGVAAKAVAAGATTVDVTPECAAMLEKLMLAQAQECFFEKVIAGGKPPALCSKVARQVGIFYEEAYAALSAAPLSQHFDKTWVSHVQLKAAQFYADACYRCSLDLHEKEEIAQEIARLKIGISALADAKKVARGVAAPLLDSVNKLESNMKTNLERAMKENDPLSKYTEMVDNIIRTQAEKLQQASEITRVRLKEMDLPDSILSLEGNITLPLDLKEDVEAVQISGGPAGLEADGGPAGLEAELQQLRDLSRVNQELLVQTEEMLQKEANEDAQFRTQFGSRWTRPQSSTLTKNIQDRLNLFASNLKTAGDSDSQIERGLKESYPLISILDRRPIESALPSISRPIMSLDGNEDAIVGALKQSLRQLESLGAQRAGLEDMLKEMKRKDDILPKLMAGVGSHDDLFKKEISKYDPVCAEIADNIVAQEQLLLQIQEAIGKIKQQCSDFIMTRNIQCREMIEDVQKKLAGFSFSSSSSQASMQRNTSVPPDQNSPSPPPPSSHAPHAQGPYGVPPGGDSRPVYSQPEQRPAYSQPYPPYGAPPQQPPYGAPSQQPPYGAPHPGWRGQYYNPHQPQPQPQPPYPQPPYNAQGSYPPHQSSYYRPQ >ORUFI10G15340.2 pep chromosome:OR_W1943:10:16629047:16636672:-1 gene:ORUFI10G15340 transcript:ORUFI10G15340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAANVMLAIHEKRTTPTDLYRPLRLYIASAYSEREAAAADDDLAAVRDLRADPSLPDPSSLERRRDALLAYARALSLVEPRFPISPDRAHVHSLAFTWHDAFKTGKKASPSLPDPSSLERRRDALLAYARALSLVEPRFPISSDRAHVHSLAFTWHDAFKTGKKASTGKKASVASIHLEKAAVLFNLAAVYSQIALAADRATDVGIRTACGSFQSAAGAFAWMRESGVAAKAVAAGATTVDVTPECAAMLEKLMLAQAQECFFEKVIAGGKPPALCSKVARQVIAGGKPPALCSKVARQVGIFYEEAYAALSAAPLSQHFDKTWVSHVQLKAAQFYADACYRCSLDLHEKEEIAQEIARLKIGISALADAKKVARGVAAPLLDSVNKLESNMKTNLERAMKENDPLSKYTEMVDNIIRTQAEKLQQASEITRVRLKEMDLPDSILSLEGNITLPLDLKEDVEAVQISGGPAGLEADGGPAGLEAELQQLRDLSRVNQELLVQTEEMLQKEANEDAQFRTQFGSRWTRPQSSTLTKNIQDRLNLFASNLKTAGDSDSQIERGLKESYPLISILDRRPIESALPSISRPIMSLDGNEDAIVGALKQSLRQLESLGAQRAGLEDMLKEMKRKDDILPKLMAGVGSHDDLFKKEISKYDPVCAEIADNIVAQEQLLLQIQEAIGKIKQQCSDFIMTRNIQCREMIEDVQKKLAGFSFSSSSSQASMQRNTSVPPDQNSPSPPPPSSHAPHAQGPYGVPPGGDSRPVYSQPEQRPAYSQPYPPYGAPPQQPPYGAPSQQPPYGAPHPGWRGQYYNPHQPQPQPQPPYPQPPYNAQGSYPPHQSSYYRPQ >ORUFI10G15340.3 pep chromosome:OR_W1943:10:16629047:16636672:-1 gene:ORUFI10G15340 transcript:ORUFI10G15340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAANVMLAIHEKRTTPTDLYRPLRLYIASAYSEREAAAADDDLAAVRDLRADPSLPDPSSLERRRDALLAYARALSLVEPRFPISPDRAHVHSLAFTWHDAFKTGKKASPSLPDPSSLERRRDALLAYARALSLVEPRFPISSDRAHVHSLAFTWHDAFKTGKKASTGKKASVASIHLEKAAVLFNLAAVYSQIALAADRATDVGIRTACGSFQSAAGAFAWMRESGVAAKAVAAGATTVDVTPECAAMLEKLMLAQAQECFFEKVIAGGKPPALCSKVARQVGIFYEEAYAALSAAPLSQHFDKTWVSHVQLKAAQFYADACYRCSLDLHEKEEIAQEIARLKIGISALADAKKVARGVAAPLLDSVNKLESNMKTNLERAMKENDPLSKYTEMVDNIIRTQAEKLQQASEITRVRLKEMDLPDSILSLEGNITLPLDLKEDVEAVQISGGPAGLEADGGPAGLEAELQQLRDLSRVNQELLVQTEEMLQKEANEDAQFRTQFGSRWTRPQSSTLTKNIQDRLNLFASNLKTAGDSDSQIERGLKESYPLISILDRRPIESALPSISRPIMSLDGNEDAIVGALKQSLRQLESLGAQRAGLEDMLKEMKRKDDILPKLMAGVGSHDDLFKKEISKYDPVCAEIADNIVAQEQLLLQIQEAIGKIKQQCSDFIMTRNIQCREMIEDVQKKLAGFSFSSSSSQASMQRNTSVPPDQNSPSPPPPSSHAPHAQGPYGVPPGGDSRPVYSQPEQRPAYSQPYPPYGAPPQQPPYGAPSQQPPYGAPHPGWRGQYYNPHQPQPQPQPPYPQPPYNAQGSYPPHQSSYYRPQ >ORUFI10G15350.1 pep chromosome:OR_W1943:10:16635409:16636795:1 gene:ORUFI10G15350 transcript:ORUFI10G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSGVTSTVVAPAATALAATPLSLIHANAPAALWNEPHAVLIPTSVARSAASAIWEARRPSRGVVPGEGEGVDVGAVGGDGEAGLHEGQGARVGEERVPPPLEGGRVRERRLLDVGAQVAHRGEVVVGRGRLPLRVGGGDVEAERAVEVGGGGPLLVDRQHHVRRRRHGGRRRKRSGAAAAVECFAAKERKKKKKEERKIGGSWKPSR >ORUFI10G15350.2 pep chromosome:OR_W1943:10:16635417:16636795:1 gene:ORUFI10G15350 transcript:ORUFI10G15350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLAFLPVLKASCQVKAREWTRGSGRGRGVVPGEGEGVDVGAVGGDGEAGLHEGQGARVGEERVPPPLEGGRVRERRLLDVGAQVAHRGEVVVGRGRLPLRVGGGDVEAERAVEVGGGGPLLVDRQHHVRRRRHGGRRRKRSGAAAAVECFAAKERKKKKKEERKIGGSWKPSR >ORUFI10G15350.3 pep chromosome:OR_W1943:10:16635576:16636795:1 gene:ORUFI10G15350 transcript:ORUFI10G15350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLAFLPVLKASCQGVDVGAVGGDGEAGLHEGQGARVGEERVPPPLEGGRVRERRLLDVGAQVAHRGEVVVGRGRLPLRVGGGDVEAERAVEVGGGGPLLVDRQHHVRRRRHGGRRRKRSGAAAAVECFAAKERKKKKKEERKIGGSWKPSR >ORUFI10G15360.1 pep chromosome:OR_W1943:10:16642841:16645095:-1 gene:ORUFI10G15360 transcript:ORUFI10G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRRYCRAEGTEEQGTGAPVAGRWRRRRPNVFPSAALESPELRRHHADYRPWAAHMEAKPVYFASRRASGRPELQQQLVRPTPIWADWADLSLPERRPIWAVHPRRPANRTVGVLLYCQVGDPPPPAAAAAAAGMARRVTTLTRARTRARGGGVPSAQGGTTQDLGRAGGSGTEGARHVLDELPLRGWGASIYSFNRTLTDVARDSPAAAVSLFNRMARAGADEVTPDLCTYSILIGCCCRAGRLDLGFAALGNVIKKGFRVEAITFAPLLKGLCADKRTSDAMDIVLRRMTELSCMPDVFSCTILLKGLCDENRSQEALELLHMMADDRGGGSPPDVVSYTTVINGFFKEGDSDKAYSTYHEMLGRRISPNVVTYSSIIAALCKAQAMDKAMEVLNTMVKNGVMSDCMTYNSILHGYCSSGQPKEAIGTLKKMRSDGVEPNVVTYRSLMNYLCKNGRCTKARKIFDSMTKRGLEPDIATYRTLLQGYATKGALVEMHALLDLMDPEFYKYLEK >ORUFI10G15370.1 pep chromosome:OR_W1943:10:16648414:16653255:-1 gene:ORUFI10G15370 transcript:ORUFI10G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDEYVDLPVSDEEEWEDGESEEDEEKVGSRKKAKVHAKQLKRLQEKDPEFYKYLEECDKELLEFDDDDFDDNEGSAEKHSSVPKEEPKEIVKPITMQMVDSWCQGAEDGKIGSIRSILEAFRKACHYGEESGNNSAPKFSVMSGSVLDKVMHFVLKNMDRILRELLDAPSFGGKKETVSELMITKQWKRHGRLMRLYLVNALHMITELTDEQMVAFTVHRVRASAVFLAAFPALLRKYVKALLHTWSRGRGAMPLVSFLFLRDLCIQLGSECLDTSLKGIYKAYLVNCKLSKSISGSKLQHIQFLGNCVRELYNVDPQSAYQHAFVFIRQLAVILRGALTERGPKLTSFVVPISFCKTSKDKKQKESIKPTKKRMEKSYQKVYDWQYIFCLELWTSVVCGCSSEEDLRPLAYPLTQIIHGVACLVPSARYFPVRLRCVKMLNRIAEATGTFIPVSSLLLDMLEMKELGGKPDAVGKAVNLFSVKQVDKKTVKTRAFQEACIFSAVDELAKHLAQWSYSIAFFEMSFLTLVRLQNFCKTVKADRFRREIKDLIHQIKASAEFVSSKRAGIGFSPNDPAVDSFLQVEKEAKSSPLSKYVATLHQRSQDRMDSLDDTSVIVGAESSTFSRRLSEAQKRQDEQDDGEDTIAFSKNLLTEKKKTKTPKEKSKKRARNHDDVATEEDIVEDLILSSDEEDEDEDKNMESDEDDGSMPVEDDSDDDFVDPDSQWKKQKKEKSKKRNKRQPSKKGSSTTKRKEKIPHPKKKAKH >ORUFI10G15380.1 pep chromosome:OR_W1943:10:16654078:16665564:-1 gene:ORUFI10G15380 transcript:ORUFI10G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKSSSASKEDQYAALRELYRPHIDSFDYFIDEGLDKMLQSIRPVEITKGHLFPPKRDGRLDAPLYPQQCRQARTTYHGEFKVDTFIQCNDGPAVRQTFNFGYLPIMLMSKLCHLRGADSEKLIFHGEEATEMGGYFICGGMERLVRILILQKRNYPMGLIRGSFVNRGAGYTDKAVIIRCVQDDQSSVTIKLYYLLNGSARLGFWLGGREFLLPVGIVLKALIDTSDREIFTSLTCCYSDHYERGKGVVSTQLIGERAQIILDEVRDLSLFTRTECLLHLGKYFRSVMEGFEKDDFETVAEAVLKDYIFVHLQNNHDKFNLLIFMLQKLYAIVDQTASPDKADALQYQEVLLPGHLITVFLKDRLQDWLRKSKRLIVEEATKNKSFDLNDSQEVRKFLSKTSAYVGKAIQSMIKVGKVNSQSGLDLPQRDGMTIHAERLNFHRISSFYNSEGATKDFQKIKMSLIARLVGAGMAQLLPRIERTGPPEVLHVHVDGCIVGSIASAKIEEVIPEDLEVGYVPLSHGGAYPGLYLFTNPARFLRPVRSLLGLSNGGPNIELIGPFEQAFMEIRCPDGGDGGRNKLFPATHEEIHPTAILSVVANLTPWSDHNQSPRNMYQCQMAKQTMGFCGQALKFRTDVKAFHLQTPQTPIVRTATYSKYCMDEFPSGTNAIVAVLSYTGYDMEDAMILNKSAVDRGMFRGHIFQTECIDLSAKSRDNVTEFFCKSNLSRDTTAAIESDGLPRIGENIFPNEQYYSVCNNLTGTVRPIKLKGSEPAAIDYVAVNGTNFKDRLQKANIRLRRVRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSANTGMRPDLIINPHAFPSRMTIAMLLESIAAKAGSLKGKFIDATPFASSVKERSNSIVDELGPMLASYGFNYHGTEILYSGVFGTEMKCEIFLGPVYYQRLRHMVSDKFQVRTTGRIDQITRQPIGGRKYGGGIRFGEMERDALLAHGASYLLHDRLHSCSDYHIADVCSICGSLLTATVIKSESQKKAKRDMLGLPTVKPPKNFACQACKTSKGMETVAMPYVFRYLASELAAMNIKLELRLSNRTEHPTTTSEES >ORUFI10G15390.1 pep chromosome:OR_W1943:10:16667212:16670666:1 gene:ORUFI10G15390 transcript:ORUFI10G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTRVLAVILVVDVVAFGLAIAAEQSRPSARVETDARQEWTYCVYRPDAATGLGAAALALLLIGQAVAAVSSRCFCCGAALRPGGARACALILFLSSWLTFLIAESCLLAGLVQSAYHTRYRKVFFENPPDCETVRRGTFGAGAAFSLITCVLTGAYYFYFSKSRVSYARREATIGMSPYS >ORUFI10G15400.1 pep chromosome:OR_W1943:10:16671459:16672280:-1 gene:ORUFI10G15400 transcript:ORUFI10G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPFLGSSSSSASSPLSYLTPPRPPPPPPPLLMVRHGLARWLTDLDDELMVFDDDLGVQGQGYAAAANGGIGGGGVEAVNAAAAPRQGGRHAGHPPLPRPPPRQCPRCGSANTKFCYYNNYSRTQPRYLCKACRRHWTEGGTLRDVPVGGGRKNSKRAAGGGKAGATASTAASAHVVAPAAAPPTSSSFPDLLRQMLMAPATAGGGGGYSIDLTAWQQMAAFAAPPQAATGDVGGAVGAASTAAPDANCGGGGVQYWNGWLQDDMPGLDGSC >ORUFI10G15410.1 pep chromosome:OR_W1943:10:16679465:16680228:-1 gene:ORUFI10G15410 transcript:ORUFI10G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRRQWASWQTFHPVHPMEDLMPGGVRLPDPDEQPPDPIYAFLEVFEELYGDQFPPHVLLYDSGDPNGGDDMEESDDDVDGGGESLSAIVYGGGRLHPSRRPSVPPPEERPRGWMPCPGWREKGRPDGWQRFYLDRGAGRHAASEAEPNRELWIAFLLDRGAAKSTSWWRSFERRFERCAAADPYYAAGDTPCAMVTSFTFCFQ >ORUFI10G15420.1 pep chromosome:OR_W1943:10:16680988:16681665:-1 gene:ORUFI10G15420 transcript:ORUFI10G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPVEVTPLDEVGGGEHGVASWRAPLKSPRSTRSAHASGEGRRWWDHRGRAKGELEGNGGGEVEEEDAAPTKLPSGAREERKTETATAGGKWGWRRRRGSWPADALSPPPPPLSFRPLLIREAVPNEPPLRPSSPVPAPWQPEFFGEGITVNGKAWPFLIVHRRRYRLRILNAINARYFNVSLSNSFPIHVFGSDASYLSALDTVTNLLSLAEIFDVIIDFS >ORUFI10G15430.1 pep chromosome:OR_W1943:10:16683078:16686321:-1 gene:ORUFI10G15430 transcript:ORUFI10G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDEIEGIGKLNSPEKKKEKEKRRRKHKPDEVKEEMVVDAIPKEEENLKSPEKGSPKKKEKRRHKHKHKPKPDGAQAVLKEEEESNSKSKAPAEGEKKRKKKKLVTVKLSDELMGYLRTKEVMPYLARETPRPLPIDPGVAQHMFVDQELRQEIAAQVHENREFDAFVLYQYRTKGYAEIQQEVTDDDDDDDETKTTNGLPAYAGPGGWNGLGQVTQQQQEGCGTLEQAITAHWSSIGLTGSVAVTARDLWAVNLLSSELKVQHHSSEFRVHNISLLRFARIGRDEISCKPVHLRCRQMRCIAEVCCESCSNKAAFLPLSYKEIYSPEDKSVAKAFIVES >ORUFI10G15440.1 pep chromosome:OR_W1943:10:16688056:16690708:-1 gene:ORUFI10G15440 transcript:ORUFI10G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWNRAQGFRSTRKGNTEGKESLITPRTTYSCHRQQISGYPVPTDVRLYLHSSFAAQGQHWWCLMTASVLTPN >ORUFI10G15450.1 pep chromosome:OR_W1943:10:16691149:16691739:-1 gene:ORUFI10G15450 transcript:ORUFI10G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEIEGQAMARSSVWKKKKRAKPMPDKGWKRKGKGSKKKKAKLHDVDDEVVVAADAAGSKYEEAATHPPGTETTVTMELDLIDHYLVGELVAYMTGALLCPILHLKSMNCPFVDPRHLAPVEKDVEFSANILKQHRLTNGPVELQMDHPITTSDDHDHHDDDELIDMRGWINWRTPEEMRKRMVEEEQEELEDQA >ORUFI10G15460.1 pep chromosome:OR_W1943:10:16693259:16693681:-1 gene:ORUFI10G15460 transcript:ORUFI10G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSFTFLLSPPSLPSLSFSPLSSSTSFPAPAAGAFSNPIPARARAQVEQQVVTHGAVGDALRVGAARGHGGLDFFVSRSHAARLIDLVTSLSSARVVTSKQLVSHVKLCLVCRDDLIFLPSAHCCNQAKKVAGQAVAE >ORUFI10G15470.1 pep chromosome:OR_W1943:10:16694877:16699653:1 gene:ORUFI10G15470 transcript:ORUFI10G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAATTTSFLPSALSARKEGAVKDSAFLGVRLGDGLKLETSALGLRTKRVSTSSVAIRAQASAAVSSPTATPASPSGKQTLRKGTAVITGASSGLGLATAKALAETGRWHVVMGCRDFLKASRAAKAAGMEKGSYTIVHLDLASLDSVRQFVGNVRRLGMPVDVVVCNAAVYQPTAKQPSFTADGFEMSVGVNHLGHFLLARELLADLTSSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLASGLDGVSSSAMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHGETGVTFASLYPGCIATTGLFREHVPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLTKSGVYWSWNNNSASFENQLSEEASDPEKAKKVWELSEKLVGLADHDQ >ORUFI10G15480.1 pep chromosome:OR_W1943:10:16700868:16710381:1 gene:ORUFI10G15480 transcript:ORUFI10G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYGRRSRSFSDGGGGERGGGGGFSSSQDAFEFDGEEEDDLVLLGSSSQSSHPPAPSQESSSMWDFDEDPPPSPRRRRGRGGGGDYAEPATAAAAAAAATSLMEAEEYGEMMESVDEANFALDGLRATAPRRVRRASFLALLGICASAPRRRVLRAQGLVQQIIDAILVLNIDDPPCTIGAAALLFVLASDVQENHLLDSESCVHFLLKLLNPPVNLVDSKAPSIGSKLLGISKVQMLNGSNKDSDCISEEILSKVEEILLSCQEIKSLDKDDKKTTRPELCPKWLALLTMEKACLSAVSVEETSDTVSRVGGNFKETLRELGGLDSIFDVMMDCHSTLENSSVVSSSTYPKSSKVSQQSCSADVMGGTSFNDGKRKNSKKKNLLSNQTRHSCLSSKSEVSHITISSGSDAGLSQKAFNCSPSISSNGASSGSLGERHSNGGALKLNIKKDRGNANPIRGSSGWISIRAHSSDGNSREMAKRRRLSENVITDSGGGDDPFAFDDVDQEPSNWELLGPKKKSPQKHQDKSGNGVLVASHEPDQPEDLNQSGTTSLFSAKDESSLLEDCLLASVKVLMNLANDNPSGCELIASCGGLNTMASLIMKHFPSFCFVVDNNYNTRDVNLDHELSSSQNSKAHQVKIKQLRDHELDFLVAILGLLVNLVEKDSLNRVRLSSARVPVDLSQNPQSEETQRDVIALLCSVFLASQGASEASGTISPDDEESLMQGAREAEMMIVEAYAALLLAFLSTESMKVRGAISSCLPNNSLKILVPALEKFVSFHLQLNMITEETHSAVTEVIEKCKLS >ORUFI10G15480.2 pep chromosome:OR_W1943:10:16700868:16710381:1 gene:ORUFI10G15480 transcript:ORUFI10G15480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYGRRSRSFSDGGGGERGGGGGFSSSQDAFEFDGEEEDDLVLLGSSSQSSHPPAPSQESSSMWDFDEDPPPSPRRRRGRGGGGDYAEPATAAAAAAAATSLMEAEEYGEMMESVDEANFALDGLRATAPRRVRRASFLALLGICASAPRRRVLRAQGLVQQIIDAILVLNIDDPPCTIGAAALLFVLASDVQENHLLDSESCVHFLLKLLNPPVNLVDSKAPSIGSKLLGISKVQMLNGSNKDSDCISEEILSKVEEILLSCQEIKSLDKDDKKTTRPELVGGNFKETLRELGGLDSIFDVMMDCHSTLENSSVVSSSTYPKSSKVSQQSCSADVMGGTSFNDGKRKNSKKKNLLSNQTRHSCLSSKSEVSHITISSGSDAGLSQKAFNCSPSISSNGASSGSLGERHSNGGALKLNIKKDRGNANPIRGSSGWISIRAHSSDGNSREMAKRRRLSENVITDSGGGDDPFAFDDVDQEPSNWELLGPKKKSPQKHQDKSGNGVLVASHEPDQPEDLNQSGTTSLFSAKDESSLLEDCLLASVKVLMNLANDNPSGCELIASCGGLNTMASLIMKHFPSFCFVVDNNYNTRDVNLDHELSSSQNSKAHQVKIKQLRDHELDFLVAILGLLVNLVEKDSLNRVRLSSARVPVDLSQNPQSEETQRDVIALLCSVFLASQGASEASGTISPDDEESLMQGAREAEMMIVEAYAALLLAFLSTESMKVRGAISSCLPNNSLKILVPALEKFVSFHLQLNMITEETHSAVTEVIEKCKLS >ORUFI10G15490.1 pep chromosome:OR_W1943:10:16717138:16717650:1 gene:ORUFI10G15490 transcript:ORUFI10G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKGEGADRQRGRQPTDSSSLCTTIWACANAGYAVAPALAPPACTPFAWSPRPSWLRRAAQLAAKGTATTARLPRPGRAMGQLALAPASHGRRAAAGASEHNRQLQGPSMPLSRRSCRPGRLHVAGAHDRTPPRSASAPEAATCHCRAPRPPAAEPPPRTLLSRHRRP >ORUFI10G15500.1 pep chromosome:OR_W1943:10:16723399:16725654:1 gene:ORUFI10G15500 transcript:ORUFI10G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAGADEVTPNLCTYGILIGSCCCAGRLDLGFAALGNVIKKGFRVDAIAFTPLLKGLCADKRTSDAMDIVLRRMTQLGCIPNVFSYNILLKGLCDENRSQEAFELLQMMPDDGGDCPPDVVSYTTVINGFFKEGDLDKAYGTYHEMLDRGILPNVVTYSSIIAALCKAQAMDKAMEVLTSMVKNGVMPNCRTYNSIVHGYCSSGQPKEAIGFLKKMHSDGVEPDVVTYNSLMDYLCKNGRCTEARKIFDSMTKRGLKPEITTYGTLLQGYATKGALVEMHGLLDLMVRNGIHPNHYVFNILICAYAKQGKVDQAMLVFSKMRQQGLNPNAVTYGAVIGILCKSGRVKDAMLYFEQMIDEGLSPGNIVYNSLIHGLCTCNKWERAEELILEMLDRGICLNTIFFNSIIDSHCKEGRVIESEKLFELMVRIGVKPNVITYNTLIDGCCLAGKMDEATKLLASMFSVGMKPDCVTYNTLINGYCKISRMDEAMKLLSGMVSVGLKPNTVTYSTLINGYCKISRMEDALVLFKEMESSGVSPDIITYNIILQGLFQTRRTAAAKELYVRITESGMQLELWTYNIILHGLCKNNLTDEALRMFQNLCLTDLQLETRTFNIMIGALLKCGRMDEAKDLFAALSANGLVPDVRTYSLMAENLIEQGLLEELDDLFLSMEENGCTANSRMLNSIVRKLLQRGDITRAGTYLFMIDEKHFSLEASTASLFLDLLSGGKYQEYHRFLPEKYKSFIESLSC >ORUFI10G15510.1 pep chromosome:OR_W1943:10:16726292:16730527:1 gene:ORUFI10G15510 transcript:ORUFI10G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVILGRFSLIKYLIVTETSDTVSRVGGNFKETLREMGGLDSIFDVMVDFHSTLENLIKDTSTSALDRNEGTSLQSAALLLKCLKILENAIFLSDDNKTHLLNMSRKLNPKRSLLSFVGVIINTIELLSALSILQNSSVVSSSTYPKSSKVSQQSYSVVMAGGDRGRGVECHPHRGVSAALLRPGPQALAASWRRRETVVRSDFAAGGVATMGDSPQALSDRLCGSATKVWRGGAEWTAEAFARNGAAGPSQSRLPVTRNRAQHYIAKIWATLTISMFYKLDVEGMENLPPNSSPAIYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFRII >ORUFI10G15520.1 pep chromosome:OR_W1943:10:16739404:16747760:1 gene:ORUFI10G15520 transcript:ORUFI10G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALLDLMVRNGIHPDHYVYNILICAYAKQEKVEEAMLVFSKMRQQGLNPNAVTYGTVIGILCKSGRVEDAMQKVDEAMLVFSKMRQQGLNPDTVTYGTVIDVLCKSGRVEDAMRYFEQMIDERLSPGNIVYNSLIHSLCIFDKWDKAKELILEMLDRGICLDTIFFNSIIDSHCKEGRVIESEKLFDLMSGRVEDAMRYFEQMIDERLTPGNIVYTSLIHGLCTYDKWEKAEEMEDALVLFREMESSGVSPDIITYNIILQGLFQTRRTAAAKELYVGITKSGTQLELSTYNIILHGLCKNKLTDDALRICMEARKIFDSMTKRGLKPDITTYGTLLQGYATKGALFDMHALLDLMGYATKGALVEMHALLDLMVRNGIQPDHHVFNILICAYAKQEKVDQAMLGEEAARGAVAPRTHATPAAAVSRYNRMTRAGADEVTPDLCTYGILIGCCCRAGRLDLGKMDEAMKLLSGMVSVGLKPNTVTYSTLINGYCKISRMEDALVLFKEMESSGVSPDIITYNIILQGLFQTRRTAAAKELYVRITESGTQIELSTYNIILHGLCKNKLTDDALRINDEAKDLFVAFSSNGLVPNYWTYRLMAENIIGQGLLEELDQLFLSMEDNGCTVDSGMLNFIVRELLQRGEITRAGTYLSMIDEKHFSLEASTASFPIRGGIFSVCVNSEVQTGACGQVKEVGKNASEERLIVVSSQEIPDDPVSPTIEALILLHSKVSTLAENHQLTTRLVVPSNKVGCILGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDEELVQVAGLPAIERGALTEIASRL >ORUFI10G15520.2 pep chromosome:OR_W1943:10:16739425:16747760:1 gene:ORUFI10G15520 transcript:ORUFI10G15520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNGIHPDHYVYNILICAYAKQEKVEEAMLVFSKMRQQGLNPNAVTYGTVIGILCKSGRVEDAMQKVDEAMLVFSKMRQQGLNPDTVTYGTVIDVLCKSGRVEDAMRYFEQMIDERLSPGNIVYNSLIHSLCIFDKWDKAKELILEMLDRGICLDTIFFNSIIDSHCKEGRVIESEKLFDLMSGRVEDAMRYFEQMIDERLTPGNIVYTSLIHGLCTYDKWEKAEERTAAAKELYVGITKSGTQLELSTYNIILHGLCKNKLTDDALRICMEARKIFDSMTKRGLKPDITTYGTLLQGYATKGALFDMHALLDLMGYATKGALVEMHALLDLMVRNGIQPDHHVFNILICAYAKQEKVDQAMLGEEAARGAVAPRTHATPAAAVSRYNRMTRAGADEVTPDLCTYGILIGCCCRAGRLDLGKMDEAMKLLSGMVSVGLKPNTVTYSTLINGYCKISRMEDALVLFKEMESSGVSPDIITYNIILQGLFQTRRTAAAKELYVRITESGTQIELSTYNIILHGLCKNKLTDDALRINDEAKDLFVAFSSNGLVPNYWTYRLMAENIIGQGLLEELDQLFLSMEDNGCTVDSGMLNFIVRELLQRGEITRAGTYLSMIDEKHFSLEASTASFPIRGGIFSVCVNSEVQTGACGQVKEVGKNASEERLIVVSSQEIPDDPVSPTIEALILLHSKVSTLAENHQLTTRLVVPSNKVGCILGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDEELVQVAGLPAIERGALTEIASRL >ORUFI10G15520.3 pep chromosome:OR_W1943:10:16747238:16749449:1 gene:ORUFI10G15520 transcript:ORUFI10G15520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLVIRPGPEGGRMGQSLRAPRFPGPPPICATSSSDLPARNVRRCFSVISPACNREIATTTRLPNRELPSSISPGADYMSCRSYLDQVPTDRYSNRVTLQLGLSRAGNSNVQQLGITRAGNSNAYDYTEAAELIHGREDYRRLSGIQVALSNCGFQIVNWSLSLVLVISGARVKLHEAHPGSSESIVEIQGIPDQVKAAQSLLQGFIGASSNSRQAPQSSRMAHYF >ORUFI10G15540.1 pep chromosome:OR_W1943:10:16765975:16770171:1 gene:ORUFI10G15540 transcript:ORUFI10G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGETLRAELSSRTPPFGLRLWIVIGISIWVVIFFILGFMCLWSIYRRKPKKSFDKIPVSQIPDVSKEIAVDEVREHAVVENFRVQESHAISVQEKHYEKDSGKMLAHLVRSKSSDADNLSQCSSVYQCDRAGSSYSGDEGSSGNARRHFSQYATVSASPLVGLPEFSHLGWGHWFTLRDLEHATNRFSKENVIGEGGYGVVYRGRLINGTDVAIKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKVVLGIAKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKLSDFGLAKMLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDYGRPANEVHLVEWLKMMVGTRRAEEVVDPDMEVKPTIRALKRALLVALRCVDPDSEKRPTMGHVVRMLEAEDVPSREVVTLSPFLQ >ORUFI10G15550.1 pep chromosome:OR_W1943:10:16771363:16774935:1 gene:ORUFI10G15550 transcript:ORUFI10G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGVGGCCAVLLAAALLFSSPATTYAYDSLDPNGNITIKWDVMQWTPDGYAAVVTLSNYQQFRHIQPPGWQLGWTWQQKEVIWSMYGAQAIEQGDCSMSKEGSNVPHSCKKHPTVVDLLPGTPIDLQIANCCKAGSLSAFSQDPANSAASFQIIVGHSGNSNETVRVPKNFSLMAPGPGYTCSRAMIVKPSRFLSPDGRRATQALMTWNVICTYSQFLAQKVPSCCVSLSSFDNDKTVDCPTCSCGCRNEKSTTGKCVKKNAPDLQSIIHGPGRWTWQPLLQCTSHMCPVKINWHLMLKDKEHYRVKITVTNLNYRMNFTEWNLVVQYHPILDITQISGFNYKSIQVGKINDTTMLWGVKPYYDLLMQAGPLGNVQGELIVRKDFRASSTSNNNKGRAFPVRVYFNGDNCVMPPPDAYPVSITA >ORUFI10G15560.1 pep chromosome:OR_W1943:10:16776932:16781043:1 gene:ORUFI10G15560 transcript:ORUFI10G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRAAGRGGRPLLGGGGGKRGSGGGGGGGGGGKSSSYTTTVILAALLLASVALLLLVALGALSLPSGGGVGVGHAGIGLPRPRPRFRRSAAFESGLEMRGGEKGEPWTEVLSWEPRAFLYHNFLSKEECEYLISLAKPHMKKSTVVDASTGGSKDSRVRTSSGMFLGRGQDKIIRTIEKRISDYTFIPVENGEGLQVLHYEVGQKYEPHFDYFHDEFNTKNGGQRIATLLISDVEEGGETIFPSSKANSSSSPFYNELSECAKKGLAVKPKMGDALLFWSMRPDGSLDATSLHGGCPVIKGNKWSSTKWMRVTHEFKFVDVVA >ORUFI10G15570.1 pep chromosome:OR_W1943:10:16781996:16784760:1 gene:ORUFI10G15570 transcript:ORUFI10G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGGGNKKGWRDSPSPPLETPPRGELPSTSSSSSSRATKRHRVAGMADRYFPNDLPDFVAEAPDGGRGLLSLPYSSLSERLLRAALRIKDKVVEETWARARRQVTDYTLYTGALGTALLLFKSFQVTGNRADLALAGDIVKECDAASRGLPFLTFICGRAGVCALGAVIAKHCNDQLLLTHYLSSFDEIIVTEKVPNELLYGRAGYLWACLFLNTHLGEKTIPHEHITSVAKDIIDEGRKLAKKGNCPLMYEWHGKKYWGAAHGLAGIMHVLMHTELKLDEKDDVKNTLLYMIRNRYPTGNYPSSEGSESDRLVHWCHGAPGVALTLAKAYQVFHDEHFKQTAAEAAEVVWNRGLLKRVGICHGVSGNAYVFLSLYRLTGNVEYLYRAKAFACFLLEKADQLIADGAMHGGDHPFSLFEGRAGMAYLLLDMVSPSESKFPAYEL >ORUFI10G15580.1 pep chromosome:OR_W1943:10:16785305:16786880:-1 gene:ORUFI10G15580 transcript:ORUFI10G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRIEHSYLPIRGLKLHIAHIGKGEAATLLFVHGFPEVWYSWRHQMIAAAAAGFRAIALDFPGYGLSEPPADLTQASWQGLMNDLLAILDSLSIPKVFLVAKDFGVKPAYDLALCHPDRVCGIVSLGVPPLVESLSFSGLPEGFYIHRWREPGRAEADFGRFDTRRILRTIYILFSRSEIPVAKQGQEIMDLADESTPMPQWFTEEDLSAYTDLYEKSGLMTAIQIPYRTKAAKAEGANPRFEMPMFVIMGQKDYILKFPALKEYMSSEKLKEIAPDYGITYIPEGSHFVQEQFPDLVNQLVIDFVSKHA >ORUFI10G15590.1 pep chromosome:OR_W1943:10:16790450:16792622:1 gene:ORUFI10G15590 transcript:ORUFI10G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIEHLHLPIRGLNLHIAQVGKGEISLYELGTVVFLHGFPEIWYSWRHQMLAAAAAGYRAVAPDWRGYGLSGQPPEQEEATWDDLVADVLAILDALAVPGAFLVGKDFGAMPAYDFALRHPARTRGVACLGVPFSPAPASFDAMPEGFYVLRWRVRARRRRRHCRRRRLFLXPPLARTCSPPPPPLPPSPSVLAADANAMCVWWQEAGRAEADFGRHDVRRVVRTIYILFSGADIPVAKEGQEIMDLADASTPLPPWLTEADLDVYASLYENSGFRFPLQMPYRYTSRRRRRRGGGAATVAVHRRPSRKDARFEVPVLMVIGEKDYAFKFPGFEAAVRGGAMERFAPELKIEFLPEGSHFAQEQLPEQVNRLLLGFFTEHPVAAD >ORUFI10G15600.1 pep chromosome:OR_W1943:10:16793837:16802657:1 gene:ORUFI10G15600 transcript:ORUFI10G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLNPNLLPRERDRDRVVVHGELDTMAAATEQPQQITEIEHAHLPVRGLSLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAEYDDLIEDLLAILDALAVPKAFLVAKDFGALVAYDFALCHPNRTCGVMGLGIPFGNDASSINTLPEGLYIFRWAQPGRAEADFGRYNIKRVVRTIYILFSKSEIPMAKEDQEIMDLADLSTPLPEWFTEEDLDVYSSLYEKSGFRYPLQMPYRSLHKRKPIGDAKFQVPVFVVMGEKDYVYKFPGVESAMKDGTMERHAPDMKITYIPEGSHFVQEQFPDYVNELLLAFLKDHPVDK >ORUFI10G15600.2 pep chromosome:OR_W1943:10:16793196:16799130:1 gene:ORUFI10G15600 transcript:ORUFI10G15600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEQQQIEHVHLPVRGLTLHVAQAGKGELGTVIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAAYDDLIEDLLAILDALAVPKAFLVGKDFGAMPAYDFALRHPNRTCGVMCLGIPLGTAGLSFDTLPEGFYILRWAQPGRAEADFGKYDVKRVVRTIYILFSRSEIPIAKENQEIMDLADLSTPLPEWFSEEDLDVYSSLYENSGFRYPLQMPYRSLHQRKPIGDAKFQVPVFIVMGEKDYVFKFPGIESAMKDGTMEKHAPNIKITYIPEGGHFVQEQFPDYVNELLLGFLKDHPVLGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAEYDDLVEDLLAILDALAVPKAFLVGKDFGAMPAYSFALCHPNRTCGVMCLGIPFGVNSSSLNTLPEGFYILRWAQPGRAGADFGKYDIRRVVRTIYILFSRNEIPIAKEDQEIMDLADLSTPLPEWFSEEDLDVYSSLYEKSGFRYPLQMPYRSMHQNKPIGDAKFQVPVFVVMGEKDYVFNIPGIESVMKDGSMEKHAPDLKITYIPEGSHFVQEQFPEFVNELLLSFLKDHPMAV >ORUFI10G15600.3 pep chromosome:OR_W1943:10:16793196:16799130:1 gene:ORUFI10G15600 transcript:ORUFI10G15600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEQQQIEHVHLPVRGLTLHVAQAGKARDGGVPARVPGDMVLVAPPDARRRRXLGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAAYDDLIEDLLAILDALAVPKAFLVGKDFGAMPAYDFALRHPNRTCGVMCLGIPLGTAGLSFDTLPEGFYILRWAQPGRAEADFGKYDVKRVVRTIYILFSRSEIPIAKENQEIMDLADLSTPLPEWFSEEDLDVYSSLYENSGFRYPLQMPYRSLHQRKPIGDAKFQVPVFIVMGEKDYVFKFPGIESAMKDGTMEKHAPNIKITYIPEGGHFVQEQFPDYVNELLLGFLKDHPVLGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAEYDDLVEDLLAILDALAVPKAFLVGKDFGAMPAYSFALCHPNRTCGVMCLGIPFGVNSSSLNTLPEGFYILRWAQPGRAGADFGKYDIRRVVRTIYILFSRNEIPIAKEDQEIMDLADLSTPLPEWFSEEDLDVYSSLYEKSGFRYPLQMPYRSMHQNKPIGDAKFQVPVFVVMGEKDYVFNIPGIESVMKDGSMEKHAPDLKITYIPEGSHFVQEQFPEFVNELLLSFLKDHPMAV >ORUFI10G15600.4 pep chromosome:OR_W1943:10:16798169:16802657:1 gene:ORUFI10G15600 transcript:ORUFI10G15600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVTFSNAMLPRERDRDRVVVHGELDTMAAATEQPQQITEIEHAHLPVRGLSLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAEYDDLIEDLLAILDALAVPKAFLVAKDFGALVAYDFALCHPNRTCGVMGLGIPFGNDASSINTLPEGLYIFRWAQPGRAEADFGRYNIKRVVRTIYILFSKSEIPMAKEDQEIMDLADLSTPLPEWFTEEDLDVYSSLYEKSGFRYPLQMPYRSLHKRKPIGDAKFQVPVFVVMGEKDYVYKFPGVESAMKDGTMERHAPDMKITYIPEGSHFVQEQFPDYVNELLLAFLKDHPVDK >ORUFI10G15610.1 pep chromosome:OR_W1943:10:16805320:16808439:-1 gene:ORUFI10G15610 transcript:ORUFI10G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVRAPDGRTHHVDLDPSTATLADLTASASRVCGGVPPEQLRLYLAHRRLLPAEPSPLLSSLRVSASSSLLLHLPLLGGMTGRTTTPAAPPPPPPPSAQPPARPARYDFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSAAAAAAPAVGRGRGKPPGDDDGDDDGGDEEKGYDENQKFDEFEGNDAGLFSNADYDDDDREADAVWESIDQRMDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLVDLSAQEWESIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKLQVARQLIQRGCEECPTNEDVWVEACRLASPDEAKAVIARGVKAIPNSVKLWLQAAKLETSDLNKSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHVELWLALARLETYDQAKKVLNKAREKLPKEPAIWITAAKLEEANGNTQSVIKVIERSIKTLQREGLDIDREAWLKEAEAAERAGSVLTCQAIVKSTIGIGVDEEDRKRTWVADAEECKKRGSIETARAIYAHALSVFVSKKSIWLKAAQLEKSHGTKESLYNLLRKAVTYNPRAEVLWLMSAKEKWLAGDVPAARAILQEAYASLPNSEEIWLAAFKLEFENNEPERARILLSKARERGGTERVWMKSAIVERELGNVDEERKLLEEGLKLFPSFFKLWLMLGQMEDRLGHGSKAKEVYENALKHCPSCIPLWLSLANLEEKINGLSKSRAVLTMARKKNPATPELWLAAVRAELRHGNKKEADALLAKALQECPTSGILWAAAIEMVPRPQRKAKSSDAIKRCDHDPHVIAAVAKLFWHDRKVDKARSWLNRAVTLAPDIGDFWALYYKFELQHGNADTQKDVLQRCVAAEPKHGERWQAITKAVENSHLSIEALLKKAVLALGQEENPNAADP >ORUFI10G15620.1 pep chromosome:OR_W1943:10:16810286:16820044:1 gene:ORUFI10G15620 transcript:ORUFI10G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSAPNPSPLVPTPTPSPPTANAAAAAAGAVPVSSPPPPPKDQQQEGQGGGGGVGDGGGVEEVGVGGGEAMEVDGGAGGGGGGVGDVEGGGGGGGAGGGGGGGGGGQQASPATVFRIRLKQPPSSLRHKMRVPELCRNFSAVAWCGKLNAIACASETCARIPSSNSSPPFWIPIHILNPERPTECSVFNVKADSPRDFVQFIEWSPRSCPRALLVANFHGRITIWTQPTKGPTNLVRDASSWQCEHEWRQDLSVVTKWLSGISPYRWLPANSSTSSNLKTFEEKFLTQQPQSSAGWPSILCVCSVFSSGSVQLHWSQWPSQNSAQPRWFSTSKGLLGAGPSGIMAADAIITETGALHVAGVPLVNPSTVVVWEVMPGLGNGIQATAKINATSSLPPSLNPPLWAGFAPLASYLFSLQDYLVSEGAQTKQQAQVDNETTEVASIHCCPVSNFSAYVSPEAAAQSATTTTWGSGVTSVAFDPTRGGSVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSVQPVVLHPIFGSPANFGGQPPTQTVWSTRVNKSIPPSEDLKNPQSYVPMPTTSDERSSSECSVDRANRLSFDPYDLPNDVRQLAQIVYSAHGGEVAVAFLRGGVHIFSGPNFEQVDSYHVNVGSAIAPPAFSSSGCCLASVWHDTLKDRTILKIIRVLPPAILNAQTKVSSAVWERAIADRFWWSLLAGVDWWDAVGCTQSAAEDGIVSLNSVIALLDVDFHCLPTIQQRQQHCPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPSTLLPEPWQASSDMLSSIGPDKMTVDPALLLSIQGYVDAVLDLASHFITRLRRYASFCRTLASHAVGASSGSGNSRNMVTSPTNSSPSPSTNQGNQGGVASTTGSSQMQEWVQGAIAKISNNTDGAANAAPNPISGRSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCLLFRRRQSPRIPANAQKSSDSSMQKQHLMNSKTEDNTLAVRSGLGAAKLEDGTTSRGQMVGAKGAEENPVGNKSARIGSGNAGQGYTSDEVKVLFLILVDLCKRTATLQHPLPSSQVGSSNIIIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELQPPAEEWHRRNMFGGPWSEPDDLGPLDNMRQLKINGSTNRHLSDMEEDGDSSFGIQNLWPRKRRLSERDAAFGLKTSVGLGSFLGVMGSRRDVITAVWKTGLEGEWYKCIRCLRQTCAFAQPGALAPNTSNELEAWWISRWTHACPMCGGTWVKVV >ORUFI10G15620.2 pep chromosome:OR_W1943:10:16810286:16820044:1 gene:ORUFI10G15620 transcript:ORUFI10G15620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAIITETGALHVAGVPLVNPSTVVVWEVMPGLGNGIQATAKINATSSLPPSLNPPLWAGFAPLASYLFSLQDYLVSEGAQTKQQAQVDNETTEVASIHCCPVSNFSAYVSPEAAAQSATTTTWGSGVTSVAFDPTRGGSVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSVQPVVLHPIFGSPANFGGQPPTQTVWSTRVNKSIPPSEDLKNPQSYVPMPTTSDERSSSECSVDRANRLSFDPYDLPNDVRQLAQIVYSAHGGEVAVAFLRGGVHIFSGPNFEQVDSYHVNVGSAIAPPAFSSSGCCLASVWHDTLKDRTILKIIRVLPPAILNAQTKVSSAVWERAIADRFWWSLLAGVDWWDAVGCTQSAAEDGIVSLNSVIALLDVDFHCLPTIQQRQQHCPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPSTLLPEPWQASSDMLSSIGPDKMTVDPALLLSIQGYVDAVLDLASHFITRLRRYASFCRTLASHAVGASSGSGNSRNMVTSPTNSSPSPSTNQGNQGGVASTTGSSQMQEWVQGAIAKISNNTDGAANAAPNPISGRSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCLLFRRRQSPRIPANAQKSSDSSMQKQHLMNSKTEDNTLAVRSGLGAAKLEDGTTSRGQMVGAKGAEENPVGNKSARIGSGNAGQGYTSDEVKVLFLILVDLCKRTATLQHPLPSSQVGSSNIIIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELQPPAEEWHRRNMFGGPWSEPDDLGPLDNMRQLKINGSTNRHLSDMEEDGDSSFGIQNLWPRKRRLSERDAAFGLKTSVGLGSFLGVMGSRRDVITAVWKTGLEGEWYKCIRCLRQTCAFAQPGALAPNTSNELEAWWISRWTHACPMCGGTWVKVV >ORUFI10G15630.1 pep chromosome:OR_W1943:10:16822524:16828028:1 gene:ORUFI10G15630 transcript:ORUFI10G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYTGAQPPYPAGAHQPPPPAQALLQQNHSLAFRVMRLSRPSLQPDQAAALRFDPRDVFLPEDALTGPDPSASSAADAAAFLQGLLHPLDSPATTVPGDFTFRDRFLLRDPVDALALPGLLVLPQSFGAIYLGETFCSYISINNSSSFEARDVAIKAEIQTERQRILLLDTSKAPVESIRSGGRYDFIVEHDVKELGAHTLVCTALYNDGDGERKYLPQFFKFTVSNPLSVRTKVRTIKDTTYLEACIENHTKSNLYMDQVDFEPSQQWAATRLEADEHPSTVKSIIGDLCKQPILIRAGGGIYNYLYQLRPSSGESGQTKAEGSSILGKFQITWRTNLGEPGRLQTQNIHSTPTASKDVDLRAVKVPPVIFLERPFMVNLCLTNQSDKTVGPFEVFLAPSVLDEEKYVLVNGLQKLVLPLVEAFESINFDLSMVATQVGVQKISGITLYAVQEKKLYEPLSDIEIFVDAE >ORUFI10G15640.1 pep chromosome:OR_W1943:10:16828544:16830541:-1 gene:ORUFI10G15640 transcript:ORUFI10G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNIGMMDGAYFVGRNEILAWINTTLQLGLSKVEEAASGAVACQLMDAAHPGAVPMHKVNFDAKTEYEMIQNYKVLQDVFNKLKITKHIEVNKLTKGRPLDNLEFMQWMKRYCDSVNGGFMNSYNASERRESSKGGKETNRRTSVPSQAPAKSSSATHKAQASSHGAKKANGHAPNAPPSRSAKPSPANSAGPAYDEQITELKLLVDSLEKERDFYFSKLRDVEILCQSPEVEHLPIVNAIHKVLYAAEDDPSMVAEAQAMISQQQQSEQPMLSPILEASEERPAKQEAHKRKSISDLELEEFGMASSSRQRLSDISDVQLCGSPLTSFT >ORUFI10G15650.1 pep chromosome:OR_W1943:10:16849494:16852696:1 gene:ORUFI10G15650 transcript:ORUFI10G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLLERTHPCSRARRPSPSRPPLRRRQQLIRSPFLRALVSTFPSPSVRPDMARRVAARARARAGGVPRSEGTIQDRARVGSGGAEDALDVFDELLRRGIGAPIRSLNGALADVARDNPAAAVSRFNRMARAGASMVTPTVHTYGILIGCCCSAGRLDLGFAALGHVVKKGFRVEPIIFNPLLKGLCADKRTDDAMDIVLRGMTELGCVPNVFSHTIILKGLCHENRSQEALELLHMMADDGGGCLPNVVSYSTVIDGLLKGGDPDKAYATYREMLDRRILPNVVTYSSIIAALCKGQAMDKAMEVHDRMVKNGVTPNCFTYTSLVHGFCSSGQLTEAIKFLEKMCSNGVEPNVVTYSSFMDYLCKNGRCTEARKIFDSMVKRGLKPDITTYSSLLHGYAIEGALVEMHGLFDLMVQSDMQPDHYVFNTLIYASAKQGKVDEAMLVFSKMRQQGLKPNCVTYSTLINGYCKITRMENALALFQEMVSNGVSPNFITYNIMLQGLFRTGRTATAKEFYVQIIKSGKKDLIEQGLLEELDDLFLSMEDNDCSTVSTPAC >ORUFI10G15650.2 pep chromosome:OR_W1943:10:16846910:16849631:1 gene:ORUFI10G15650 transcript:ORUFI10G15650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLLANEVSDLCIGKPAVRSLPLSAAAGDLAAALRRGPQQAAGGAAACVAVVGPGRAVAGRLGLADVLCFLCAAPGALAHPTAALSKPASALLPKDGAGEVRRVDPRASVLEALDAVLSGAQVLAVPLRSGGRRKQLGGGGGGGGGGDYCWLTQEDLVRYFLNSISLFSHVAGRSVSSLGLVRADDLLTVRPHEAALSAVPLLRRAIATETAVAVVDDGGHLVGEISPALLASCDETAAAAIATLSVADLMAYVDYFGAPPEHILRAVKAGLKSKGLDAMLELVENEAVSSFAFSSSSTSSSSDDEAHGRAARLRRPSSGSYGRRSTEEPVVCSPASSLVAVMMQALAHRASYLWVLDEDDDCRLAGIVTFADVLTVFREQLQ >ORUFI10G15660.1 pep chromosome:OR_W1943:10:16856426:16859857:1 gene:ORUFI10G15660 transcript:ORUFI10G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGREEAAAAAGALYQLHLVKAATARAFVPRELRLVEAFGYTLGGMFLARYDDSPAGKFDELVVIAGIVWNPPTSCAWAARVLVNSAEACRHGRKEVGLPSHVATFSQTEADALRNKPLVKSNSFLSLLGMRSTVSNQGNDREIEISETKGSCTRHLCNISVPLTVSTGSHKHKWMGPAIRMSLPSFSGQIEDHPDLLKYSCQVECRVRPVRPAKIWRPRITEPQECPDGKISSKGSEVLAEPDAQKHTVMVLLSKPILALEFNSLEMHVDAPKIVIPHSKKKEVRYSST >ORUFI10G15670.1 pep chromosome:OR_W1943:10:16860550:16861338:1 gene:ORUFI10G15670 transcript:ORUFI10G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGAGKVTPPTVHTYGILIGCCCRAGRLDLGFAALGNVVKKGFRVEAITINPLLKGLCADKRTNDAIDIVLCRMIELGCIPMGLCDENRSQEALELLHMIMADDGGGCRVCPVRPAKIRRPGSAAKALKYKLNRMSKNRTVLLVYISLSWLS >ORUFI10G15680.1 pep chromosome:OR_W1943:10:16863702:16866429:-1 gene:ORUFI10G15680 transcript:ORUFI10G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKWSKEEDDLIKNHMEKYGIGRSWQALSDALGLQRCGRSCRSRWLNYLRPGLKHGDFSPAEERIICKMYSKKGSSWSAIAAQLPGRTDLAVKNYWNSTLKKRFPAAAAARSTAAARRRHRPAASATTSSDDDDDVDVDDATPPGLALVVYSEGSTAAAAAAGELAPYSISSPAATADAAEEEEPIAAVPISTCILALPPPPPPPPPPPSDATGGEGSIPCFPFGLAGADLDDRPRRHYRHLRCRRLPLPEAAASNHTGFASPSEASRHCRLRRRAELLVLFLSICLRYNTSPFLLARATAAPPAAAADRCLAGRRCLYSTTPPPPLSPLHWRCSRRDPELGADVSALLLRGRLGARQLFDELPHRVRWRRGYLRAAFAASVSNLNARDGHRGVKAEAMKSSAQ >ORUFI10G15690.1 pep chromosome:OR_W1943:10:16871655:16874913:1 gene:ORUFI10G15690 transcript:ORUFI10G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTGLRQVITAREKTTRTPGWRAVTRTRPDAARHRGAPRRTRGAGRSARAPPPARPARTLASRRVGPCPPPXWRWWRWWRMRKAYKDSLKVLEADIQHANTLASEFPREYDGACLQMRLSYSPAAHIFLFLVQWTDCSLAGALGLLRILVYKVYVDGTTTMSTHERKASIKEFYAVIFPSLLQLQRGITDTEDKKQKAVCMERYRRRDEDERNILSEIDAEREEECGICMEMNSKVVLPNCTHNMCLRCYQDWNSRSQSCPFCRDNLKKTDPGDLWIYVEDQDVVDLETVSRENLRRLFMYINKLPLIVPDVIFSIYDSHIK >ORUFI10G15700.1 pep chromosome:OR_W1943:10:16874752:16876198:-1 gene:ORUFI10G15700 transcript:ORUFI10G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAARILELDPAHPRAGRVIDDIVRMEKRIFPKHESLARTFHDELKRRNTALIYSAAAATIPTTGDAAAASDEEEEVVGYAMYTCATSLCASITKLAVKESRRRQGHGEALLMAAVEGCRRRRVQRVSLHVDPARAAAVALYRKAGFQVDATVVGYYAPRRDAYRISVSQFN >ORUFI10G15710.1 pep chromosome:OR_W1943:10:16877212:16880549:1 gene:ORUFI10G15710 transcript:ORUFI10G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALRRSLRRLLPSSPPPPSPASAAAAATFHRRVESSGWRWVGESVEEFEKRMLSGLDDGLENRRGGSDWGWRGGFRNRGNSSSILAELGTGFDSLEDGLDEKLDEASRTFHVTEEYEDDDYDYRPDVTFRRGSTYNVKDLDLTRPAAAKNPPRPQFQTTTEEVLKKADFRNVRFLANFLTEAGIIIKRSQTRISAKAQRKVAREIKTARALGLLPFTTMGQRPFIYGRSVEVNLSEEEYGYDFVDRRAGEPDENADDAVPDEDVAPGVENA >ORUFI10G15720.1 pep chromosome:OR_W1943:10:16884744:16888612:1 gene:ORUFI10G15720 transcript:ORUFI10G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKELVVRKNGPVDIREIAAKATLREVRQNGHTYVELRRVGKRVIFFCTICLTECFSDTVLFDHLKGNLHSRRYAEAKVTLFGPMPWPFNDGVLFFNNSREKDPLLLDSSSQNTRELALVPANDTEVTSRLRDDSSSRNGAKGTCRGANAHGNGRTASVSEDHVLSNQSGTDGPLVIPSVLLKDVVSDLPVHLLGYGNIAYRLWEASKGSKKISKIWCAWVGQDGSHGLDECDTYEQSDFAIVNFSYTIELGRKWSSDDQDLPISAGSFFVIDDAGHRGKRRKKSFSDQEASSEESNEQSSSAHDNSQAIITGSPTGTSHNLQVGLLSTKSMRRELRKQKRLAAEKACDICGRPMLLEKDVATLLNCKTGNLACSSRNSSGYSAAISNDFVRLILQAFHLFHTSCLVHWTILCQYEMLADKIASKGKSNRGRKAKNAPKKITSILCPECQGTGIHVEGDELEKPTISLSEMFRYKLKAIEAHKAWMKSPEVLENCSTGLHFPAEQIENSEEQEVIPLKSVAFYAADG >ORUFI10G15720.2 pep chromosome:OR_W1943:10:16884744:16888612:1 gene:ORUFI10G15720 transcript:ORUFI10G15720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKELVVRKNGPVDIREIAAKATLREVRQNGHTYVELRRVGKRVIFFCTICLTECFSDTVLFDHLKGNLHSRRYAEAKVTLFGPMPWPFNDGVLFFNNSREKDPLLLDSSSQNTRELALVPANDTEVTSRLRDDSSSRNGAKGTCRGANAHGNGRTASVSEDHVLSNQSGTDGPLVIPSVLLKDVVSDLPVHLLGYGNIAYRLWEASKGSKKISKIWCAWVGQDGSHGLDECDTYEQSDFAIVNFSYTIELGRKWSSDDQDLPISAGSFFVIDDAGHRGKRRKKSFSDQEASSEESNEQSSSAHDNSQAIITGSPTGTSHNLQVGLLSTKSMRRELRKQKRLAAEKACDICGRPMLLEKDVATLLNCKTGNLACSSRNSSGAFHLFHTSCLVHWTILCQYEMLADKIASKGKSNRGRKAKNAPKKITSILCPECQGTGIHVEGDELEKPTISLSEMFRYKLKAIEAHKAWMKSPEVLENCSTGLHFPAEQIENSEEQEVIPLKSVAFYAADG >ORUFI10G15730.1 pep chromosome:OR_W1943:10:16895258:16897087:1 gene:ORUFI10G15730 transcript:ORUFI10G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPQTKSTPPRQRNDSLFRRVAAAADPRLPLSPVLEQWCLAEERHVAKPEVQSIIKYLCRRRRFSQALQLSMWMTERLHLHLSPGDVANRLELITKVYGLDRAVEYFDSMPDQLKQQQCYGSLLKCYAEANCVEKAEELFEKMRGMGMASSYAYNVMMRLYLQNGQVERVHSMHQAMEESGIVPDVSTTHTLVAVLRKKKTLVAAYVVAEDIKAIENVLEKANSCNSMYMCRIGVLLKMNDMVGAEKAYEEWESKHVYHDSRLINLLVDAYCKEGLMDKAEALVDQFIKKGRMPFANTCYKLAGGYFKVGQVSKAADLTKKALASASNEWIPDLTNVLMSLNYFAEQKNVEAAEEMMSLLQRLVTPTRDIYHGLLKTYVNAGKPVSDLLHRMKKDGMEADEETEKILAGEVH >ORUFI10G15740.1 pep chromosome:OR_W1943:10:16900145:16901877:1 gene:ORUFI10G15740 transcript:ORUFI10G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRRGLLLLRGGGGLLRRLSVAVEESVSPSPPPPPPRQPLEDSVDDEETASPSPGKPQEGSVAAEERASPSPPPPRRKKDSLFRRVAAAADPRLPLSPVLEQWCLAEERPIAKPEIQSIIKYLCRRRRFSQALQLSMWMTERLHLHLSPGDVAYRLELITKVHGLDRAVEYFDSMPDQLKQQQCYGSLLKCYAEAKCVEKAEELFEKMRGMGMASSYAYNVMMRLYLQDGQVERVHSMHRTMEESGIVADVFTTDTLVAAYVVAEDIEAIEKVLEKADTCNDLMTWHSYATIGKVLMQSGMEERALQAFQESEKKIAKKSNRVAYGFLLTMYADLGMNSEVDRIWDVYKSKVPASACNSMYMCRISVLLKMNDIVGAEKAYEEWESKHVYHDSRLINLLLTAYCKEGLMEKAEALVDQFVKKGRTPFGNTWYKLAGGYFKVGQASKAADLTKKALASGSNEWTPDLTNVLMSLNYFAEQKNVEAAEEMASLLQRLITPTRDIYHGLLKTYVNAGKPVSDLLDRMKKDGMEADEETEKILAGEVH >ORUFI10G15750.1 pep chromosome:OR_W1943:10:16903427:16905357:1 gene:ORUFI10G15750 transcript:ORUFI10G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRRGLLLHLRGGLLRRRRGLSVSAEETVSPSPPPPPPPPPPRLQGEDSLFRRVAGADPRIPLAPVLEQWWLAEERPVSKPELQSLVKYLRRRCRFSQALELSMWMTERRHLHLSPGDVAYRLELISKVHGLDKAVEYFDAVPNQLRELQCYGSLLRCYAEAERVEKAEELFENMRGMGMANSYAYNAMMNLYSQIGQVERVDSMYKAMEEGGIVPDIFTIDNLVSAYADVEDVEAIEKVLEKASCNNLMSWHSFAIVGKVFMKAGMQERALQAFQESEKRITARKDGRVAYGFLLTMYADLQMDSEVDRIWDVYRSKVPASACNTMYMCRISVLLKMNDIVGAEKAYEEWESKHVYHDSRLINILLTAYCKEGLMEKAEALVDQFIKKGRTLFSNTWYKLAGGYFKVGQVSKAADLTKKALASASNEWKPDLANVLMSINYFAEQKNVEAAEEMASLLQRLVPLTRDVYHGLLKTYVNAGEPASDLLDRMKKDGIEADEETDKILAGEVH >ORUFI10G15760.1 pep chromosome:OR_W1943:10:16921613:16925286:1 gene:ORUFI10G15760 transcript:ORUFI10G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALMRRAARAPPAACGLRRFMQEQTAFRPAAVPVVPPERRGFIPLADRIRDLGAAFPRINLDGLVPPAPPQTHPTVAREERASASAVAGLTVEEARKVLRATQMEAARARLRASGAGTVPYAEFLRLCCDAAGAESGASVARALDESGSVIVLGKTVFLRPEMLACHERNTRWFLVVKAIEKAIPIPRAQPIALDGPAREELKAMEAQKVEIDRTAALQVRRELWLGLAYLVVQTAGFMRLTFWELSWDVMEPICFYVTSMYFMAGYTFFLRTKKEPSFEGFFESRFAAKQKRLMHARDFDLRRYDELRRACGLPVVRTPTSPCRPSSSSSSSTQESHCHSYCHCQ >ORUFI10G15770.1 pep chromosome:OR_W1943:10:16927167:16928219:1 gene:ORUFI10G15770 transcript:ORUFI10G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPCAVCPCCRAAPLALGLASIATAAAKARHTRAMSNLSSGRRCDLHLNRGDHRHEGADELEAVNMLAKKVWVVQNAKKAKIPSPK >ORUFI10G15780.1 pep chromosome:OR_W1943:10:16935414:16936778:-1 gene:ORUFI10G15780 transcript:ORUFI10G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGRCPPDVVSYNTIIDGLFKEGDVDKAYITYHEMLDRRVSPDAVTYNSIIAALSKAQAMDRAMEVLTVMVMPNCFTYNSIMHGYCSSGQSEKAIGIFRKMCSDGIEPDVVTYNSLMDYLCKNGKCTEARKIFDSMVKRGLKPDITTYGTLLHGYASKGALVEMHDLLALMVQNGMQLDHHVFNILICAYTKQEKVDEVVLVFSKMRQQGLTPNAVNYRTVIDGLCKLGRLDDAMLNFEQMIDKGLTPNVVVYTSLIHALCTYDKWEKAEELIFEILDQGINPNIVFFNTILDSLCKEGRVIESKKLFDLLGHIGVNPDVITYSTLIDGYCLAGKMDGAMKLLTGMVSVGLKPDSVTYSTLINGYCKINRMEDALALFKEMESNGVNPDIITYNIILHGLFRTRRTAAAKELYARITESGTQLELSTYNIILMDFAKTNSLMMHFGCFRTYV >ORUFI10G15790.1 pep chromosome:OR_W1943:10:16939729:16941670:1 gene:ORUFI10G15790 transcript:ORUFI10G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSAIVVGMPTRCAPPAFMAGVGRGRGRGGFFRPVVAAAAACGGGGGGGGGDEEQKRQILPLPSMAAMPPSLRAIQAKRKLEAVRRGVVPRAAGTSAVAALVAAVEAVQGAAAGGAAEAARGAGDAMAWVIRKVHLESPDLAVGLLGLVASCLGTVMEAEMDRIKRKNVEPSASVAAAASNAAPDNDGGDTDQIEDADAEMPELVELDMETELWSRIGIMHSDDDTPVFVDDEDGLQEIIDIARVHRRKAAYERIIATAADVNSLILSNYAQLLYQFDKDLDRAEDYFKQAVAAEPVDGEAMRRYALFMWHARGDLAGAEDMFTRAIDEEPQSSQHRSSYAWFLWMTGGVETCLIDSGNDTE >ORUFI10G15800.1 pep chromosome:OR_W1943:10:16941658:16944003:-1 gene:ORUFI10G15800 transcript:ORUFI10G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSCLASPATAAAAPRLRVRVTPRAGRVVACSAGGGGGPEAAGLFAGERKAVGGLACGVLAAWAVASSSSPVIAASQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKTNLKGKSLAAALMSDSKFDGADMSEVVMSKAYAVGASFKGTDFTNAVIDRVNFEKADLQGAIFRNTVLSGSTFDDAKMQDVVFEDTIIGYIDLQKLCTNTSISADSRLELGCR >ORUFI10G15810.1 pep chromosome:OR_W1943:10:16945605:16945874:1 gene:ORUFI10G15810 transcript:ORUFI10G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGGGGGGILSYEKLEGYAIWVGASVASAFFASMESCSCIHVHTADDEGDDYDPEEAKDRPLMLSRPQALPEYYYDRSASSASFAKM >ORUFI10G15820.1 pep chromosome:OR_W1943:10:16947772:16948041:-1 gene:ORUFI10G15820 transcript:ORUFI10G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLPPSIRRGMLVTFFLACFFLAELAGFSHGRRVMEDKEDDHSEASSEEQLYELPRTRGRPFVSAPSPAYEASDRPVPQGSNPLHNR >ORUFI10G15830.1 pep chromosome:OR_W1943:10:16952640:16955343:-1 gene:ORUFI10G15830 transcript:ORUFI10G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSATAAGGAFAAAKTRAGSSAAGGGACARVAAGGRRRSGVVVRCDAGVEAQAQAQAVAKAASVAALEQFKISADRYMKERSSIAVIGLSVHTAPVEMREKLAVAEELWPRAISELTSLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVVDWMSKKSGIPASELREHLFMLRDSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRSGQNSGGLGKNIDRMFKDAITAGKRVRCETNISSGAVSVSSAAVELALMKLPKSECLSARMLLIGAGKMGKLVVKHLIAKGCKKVVVVNRSVERVDAIREEMKDIEIVYRPLTEMYEAAAEADVVFTSTASETPLFTKEHAEALPAISDAMGGVRLFVDISVPRNVSACVSEVGHARVYNVDDLKEVVEANKEDRLRKAMEAQTIITQELKRFEAWRDSLETVPTIKKLRSYADRIRASELEKCLQKIGEDALTKKMRRSIEELSTGIVNKLLHGPLQHLRCDGSDSRTLDETLENMHALNRMFSLDTEKAIIEQKIKAKVEKSQN >ORUFI10G15840.1 pep chromosome:OR_W1943:10:16959849:16961938:-1 gene:ORUFI10G15840 transcript:ORUFI10G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSAACARPAVVVFASPAAARRRAASSVYLPGRPLRGGGVVRCSAGPVSGGMISKKVAELWAAARSASPVAVIAAVAGAAVVYKVGSSLLAPPPPPARPREEPSEEAPPPPEPVQVGEITAEELLQYDGSDPEKPLLMAIKGQIYDVSQSRLFYGPGGPYALFAGKDASRALAKMSFEPQDLTDDISGLSLLELSALQDWEYKFSSKYVKVGTIKKVLVEQGGDSTADAIEEAAVDGEDSILTAKMSNQLLYEEEMEVGSDDP >ORUFI10G15840.2 pep chromosome:OR_W1943:10:16959849:16961938:-1 gene:ORUFI10G15840 transcript:ORUFI10G15840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSAACARPAVVVFASPAAARRRAASSVYLPGRPLRGGGVVRCSAGPVSGGMISKKGKICSSSSRVGIFFAWKNLTVAVAVAVAELWAAARSASPVAVIAAVAGAAVVYKVGSSLLAPPPPPARPREEPSEEAPPPPEPVQVGEITAEELLQYDGSDPEKPLLMAIKGQIYDVSQSRLFYGPGGPYALFAGKDASRALAKMSFEPQDLTDDISGLSLLELSALQDWEYKFSSKYVKVGTIKKVLVEQGGDSTADAIEEAAVDGEDSILTAKMSNQLLYEEEMEVGSDDP >ORUFI10G15850.1 pep chromosome:OR_W1943:10:16964865:16967083:-1 gene:ORUFI10G15850 transcript:ORUFI10G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAELWETLKQAIVAYTGLSPAAFFTAVAAAAALYHVVSGIFAGPPPPPPPRPRDEPEAEPLPPPVQLGEVSEEELRQYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEPQDLTGDISGLGPFELDALQDWEYKFMGKYVKVGTVKKTVPVEDGAPSTSPETTETAAAAEPEKAPATEEKPREVSSEEVKEKEDAVAAAAPDEGAKESES >ORUFI10G15860.1 pep chromosome:OR_W1943:10:16983494:16984788:-1 gene:ORUFI10G15860 transcript:ORUFI10G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENKWMGKRWEDMDTDVLVKIFKELNLVELSPVSQVCRLWRLACSDPLIWSTLDFGLLKSNYIQTRASPYIWVDDRSDKRLSRILRVAMSISYGNVSCLIFHYNLYMKDEHLHYISERSPHLKRLVMPAWNRITKFGICQAIQRWEELESLTMPTIGHPPYIMEEIARSCKNFAELKVMGSFDDLFASAIATHLPKLKVLSLRCSKVTMSALLWLLDNMANLEVLNISHCLLFEIVANGRRQVIHELDDQTLQKASRLREFHHCQSRSCLACQRMMADEGIMRWYRYEDWFWRRDEVSSLDLQDYGKLFDAECEALTAVE >ORUFI10G15870.1 pep chromosome:OR_W1943:10:16992235:16999841:-1 gene:ORUFI10G15870 transcript:ORUFI10G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGAASPPPSSATTTTTTTTLLVPSSSVAMPPPRLAHLRRRSSSLLLLHHNHHHHRGGGSAPPHPLLRPPPPPQSHPFQLLTPRAAMASVAQPGVAAGSAEYEEVLGCISSLITQKVRADTGNRGNQWELMAKYLQILELEEPIARLKVVHVAGTKGKGSTCTFAESILRSCGFRTGLFTSPHLMDVRERFRLNGLDISEEKFIRYFWWCWNKLKDKTGGDIPMPAYFRFLALLAFKIFSDEQVDVAVLEVGLGGKYDATNVVKAPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLKHRASELGVPLQVVQPLDPQQLDDQPLGLHGEHQYMNAGLAVALVNTWLQRQGHFNILHKKHSVTLPDQFIEGLSSACLQGRAQIVPDPEVLSKDSSSLIFYLDGAHSPESMEICAKWFSCVTRKDEQQPGPLDQLHIGTNSRKILLFNCMSVRDPQRLLPCLLATCAQNGLQFDHALFVPNQSQYNKLGSHASPPSERVQIDLSWQLSLQRVWEGLLHSNKGLNGSNSSTASSVFESLPLAIKWLRETAQQNQSTSYQDKCNACDKTVHFIDLLTADSIPYHKSCFRCSHCKGTLSMCSYSSMDGVLYCKTHFEQLFKETGTFKKNFPSGTKANSEQAKIPSKLSSVFCGTQDKCTACKKTVYPLEKMTMEGECYHRTCFKCAHGGCLLTNASYASHNGILYCQNHFWQLFKKSGSYDNLLKPASAAAENTVESEVAVAEPAKEDPETEEAAKEEEEASPEQVAEAVVEDQEHS >ORUFI10G15870.2 pep chromosome:OR_W1943:10:16994080:16999841:-1 gene:ORUFI10G15870 transcript:ORUFI10G15870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGAASPPPSSATTTTTTTTLLVPSSSVAMPPPRLAHLRRRSSSLLLLHHNHHHHRGGGSAPPHPLLRPPPPPQSHPFQLLTPRAAMASVAQPGVAAGSAEYEEVLGCISSLITQKVRADTGNRGNQWELMAKYLQILELEEPIARLKVVHVAGTKGKGSTCTFAESILRSCGFRTGLFTSPHLMDVRERFRLNGLDISEEKFIRYFWWCWNKLKDKTGGDIPMPAYFRFLALLAFKIFSDEQVDVAVLEVGLGGKYDATNVVKAPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLKHRASELGVPLQVVQPLDPQQLDDQPLGLHGEHQYMNAGLAVALVNTWLQRQGHFNILHKKHSVTLPDQFIEGLSSACLQGRAQIVPDPEVLSKDSSSLIFYLDGAHSPESMEICAKWFSCVTRKDEQQPGPLDQLHIGTNSRKILLFNCMSVRDPQRLLPCLLATCAQNGLQFDHALFVPNQSQYNKLGSHASPPSERVQIDLSWQLSLQRVWEGLLHSNKGLNGSNSSTASSVFESLPLAIKWLRETAQQNQSTSYQLGQLLPQKRKMTG >ORUFI10G15870.3 pep chromosome:OR_W1943:10:16994080:16999841:-1 gene:ORUFI10G15870 transcript:ORUFI10G15870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGAASPPPSSATTTTTTTTLLVPSSSVAMPPPRLAHLRRRSSSLLLLHHNHHHHRGGGSAPPHPLLRPPPPPQSHPFQLLTPRAAMASVAQPGVAAGSAEYEEVLGCISSLITQKVRADTGNRGNQWELMAKYLQILELEEPIARLKVVHVAGTKGKGSTCTFAESILRSCGFRTGLFTSPHLMDVRERFRLNGLDISEEKFIRYFWWCWNKLKDKTGGDIPMPAYFRFLALLAFKIFSDEQVKAPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLKHRASELGVPLQVVQPLDPQQLDDQPLGLHGEHQYMNAGLAVALVNTWLQRQGHFNILHKKHSVTLPDQFIEGLSSACLQGRAQIVPDPEVLSKDSSSLIFYLDGAHSPESMEICAKWFSCVTRKDEQQPGPLDQLHIGTNSRKILLFNCMSVRDPQRLLPCLLATCAQNGLQFDHALFVPNQSQYNKLGSHASPPSERVQIDLSWQLSLQRVWEGLLHSNKGLNGSNSSTASSVFESLPLAIKWLRETAQQNQSTSYQLGQLLPQKRKMTG >ORUFI10G15870.4 pep chromosome:OR_W1943:10:16992235:16993624:-1 gene:ORUFI10G15870 transcript:ORUFI10G15870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSGTQDKCNACDKTVHFIDLLTADSIPYHKSCFRCSHCKGTLSMCSYSSMDGVLYCKTHFEQLFKETGTFKKNFPSGTKANSEQAKIPSKLSSVFCGTQDKCTACKKTVYPLEKMTMEGECYHRTCFKCAHGGCLLTNASYASHNGILYCQNHFWQLFKKSGSYDNLLKPASAAAENTVESEVAVAEPAKEDPETEEAAKEEEEASPEQVAEAVVEDQEHS >ORUFI10G15880.1 pep chromosome:OR_W1943:10:17006771:17008180:1 gene:ORUFI10G15880 transcript:ORUFI10G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTAAALKFTVRRKPAELVAPAGPTPRELKKLSDIDDQDGLRFHIPVIQFYRRSAAMGGRDPAPVIRAAVARALVSYYPFAGRLRELEGRKLAVDCTGEGVLFIEADADVRLEHFGGALQPPFPCLEELVFDVPGSSEVLGSPLLLFQVTRLACGGFILAVRLHHTMADAQGLVQPASRGGAAAAPSVAPVWGREMLEARSPPRPAFAHREYDEVPDTKGTIIPLDDMAHRSFFFGAREVAAVRSHLAPGIRERATTFEVLTGCLWRCRTAALAPDDDEVMRMICIVNARGGGKSGGGAGMIPEGYYGNAFAFPVAVATAGELRARPLGYAVELVRAAKGEVSVEYMRSVADLMVQRGRPHFTVVRAYLVSDVTKAGFGDLDFGWGKPAYGGPAKGGVGAIPGVASFLIPFKNAKGEDGIVVPMCLPGPAMDKFVEEMGKLMKPAAAATAATRQQPADMFAMIKSAL >ORUFI10G15890.1 pep chromosome:OR_W1943:10:17008201:17009394:1 gene:ORUFI10G15890 transcript:ORUFI10G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGRGVDAGDPHIEGLGNWAASKHDRANGEHLVSRAESQDVVGVDYLHHIKAVAGDAGGTALVAEYGIRKLISFDAE >ORUFI10G15900.1 pep chromosome:OR_W1943:10:17011667:17017228:1 gene:ORUFI10G15900 transcript:ORUFI10G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRHAARRSSAQIRRSGVMSSSSSPAAPAAAAGAAVPGPCIVHKRGTDILHDPWFNKDTAFPMTERDRLGLRGLLPPRVMSFEQQYDRFINSFRSLEHNTRGEPDTIVALAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAEKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQKVLPIMLDVGTNNTKLLEDKLYLGLRQPRLEGEEYLSVVDEFMEAVHARWPKAVVQFEDFQMKWAFETLQRYRNRFCMFNDDVQGTAGVALAGLLGAVRAQGRPLSDFTKQKIVVVGAGSAGIGVLNMAKQAMLRMPGINRSGEGHNQFWVLDKDGLITKSRKGLDPAVARYARGFGPEEVQDLHEGASLVEVVRKVKPHVLLGLSGVGGIFNEEVLKAMKESDSPRPAIFAMSNPTTKAECTPEDVFKYVGDNAVFASGSPFSNVTLGNGRQGYANQANNMYLFPGIGLGALLSGARHITDGMLQSAAECIRHITARVGAAVVRAAVDEDLAEGRCDVDARDLKSMTEADTVDFVARKMWYPIYSPLVNDK >ORUFI10G15900.2 pep chromosome:OR_W1943:10:17011667:17017228:1 gene:ORUFI10G15900 transcript:ORUFI10G15900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRHAARRSSAQIRRSGVMSSSSSPAAPAAAAGAAVPGPCIVHKRGTDILHDPWFNKDTAFPMTERDRLGLRGLLPPRVMSFEQQYDRFSESTPSTSPNFRPRDHLISAALPNVACDALAACCVAVNSFRSLEHNTRGEPDTIVALAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAEKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQKVLPIMLDVGTNNTKLLEDKLYLGLRQPRLEGEEYLSVVDEFMEAVHARWPKAVVQFEDFQMKWAFETLQRYRNRFCMFNDDVQGTAGVALAGLLGAVRAQGRPLSDFTKQKIVVVGAGSAGIGVLNMAKQAMLRMPGINRSGEGHNQFWVLDKDGLITKSRKGLDPAVARYARGFGPEEVQDLHEGASLVEVVRKVKPHVLLGLSGVGGIFNEEVLKAMKESDSPRPAIFAMSNPTTKAECTPEDVFKYVGDNAVFASGSPFSNVTLGNGRQGYANQANNMYLFPGIGLGALLSGARHITDGMLQSAAECIRHITARVGAAVVRAAVDEDLAEGRCDVDARDLKSMTEADTVDFVARKMWYPIYSPLVNDK >ORUFI10G15910.1 pep chromosome:OR_W1943:10:17022227:17024805:-1 gene:ORUFI10G15910 transcript:ORUFI10G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTSAFVIRWINFFTMILALLVVGFGFWMSTHNDECRRSLTIPVMGLGGVIFLISLVGFLGAWKKIACLLWTVYYHKYRNWPFCLWGELNDTEKWTHLRSCLVKSDDCNGLSRRYKTLKQYKLADLTPMESGCCRPPAECGYPAVNASYFDLSYHPVSTNVDCKLYKNARSVLCYDCDSCKAGVAQYMKTEWRVVAIFNVILFVILSFVYFVACCARRNTGESDSKVPTRWHA >ORUFI10G15910.2 pep chromosome:OR_W1943:10:17022227:17024805:-1 gene:ORUFI10G15910 transcript:ORUFI10G15910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTSAFVIRWINFFTMILALLVVGFGFWMSTHNDECRRSLTIPVMGLGGVIFLISLVGFLGAWKKIACLLWTVYYHKYRNWPFCLWGELNDTEKWTHLRSCLVKSDDCNGLSRRYKVPPLPHIFLLVTTDVLTIKSKVSPFPSISAMSILPVLFYSLQTLKQYKLADLTPMESGCCRPPAECGYPAVNASYFDLSYHPVSTNVDCKLYKNARSVLCYDCDSCKAGVAQYMKTEWRVVAIFNVILFVILSFVYFVACCARRNTGESDSKVPTRWHA >ORUFI10G15920.1 pep chromosome:OR_W1943:10:17027218:17033548:-1 gene:ORUFI10G15920 transcript:ORUFI10G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPRARYPPGYTSGGGGGGGGGGGGGRGNGGGGFGGGGGGGGGNHGYYGRGPQPQPQQQHYHHQAQQLHQHQQQQQHAQRNSSSQQQQWLRRDQATAAAASGEVAARTAAQLEAVDSSSEDWKAQLNLPAPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDPEKNAIQVVILVPTRELALQTSQVCKELGKYLNIQVMVSTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTRKGICVLKDCSMLVMDEADKLLAPEFQPSIEQLIHFLPANRQLLMFSATFPVTVKDFKEKYLPRPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLQVHQMYIAPHQSY >ORUFI10G15920.2 pep chromosome:OR_W1943:10:17027218:17033548:-1 gene:ORUFI10G15920 transcript:ORUFI10G15920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPRARYPPGYTSGGGGGGGGGGGGGRGNGGGGFGGGGGGGGGNHGYYGRGPQPQPQQQHYHHQAQQLHQHQQQQQHAQRNSSSQQQQWLRRDQATAAAASGEVAARTAAQLEAVDSSSEDWKAQLNLPAPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDPEKNAIQVVILVPTRELALQTSQVCKELGKYLNIQVMVSTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTRKGICVLKDCSMLVMDEADKLLAPEFQPSIEQLIHFLPANRQLLMFSATFPVTVKDFKEKYLPRPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVGRSGRFGHLGLAVNLITYEDRFNLQVHQMYIAPHQSY >ORUFI10G15930.1 pep chromosome:OR_W1943:10:17035186:17036553:-1 gene:ORUFI10G15930 transcript:ORUFI10G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAPPAPAPEPTKDIAEERAAVPAPEESKAMTVVDDAEKAAATGGSHERDALLTTVATEKRISLIKAWEENEKAKADNKSVERYKIPAFQMSPRLTETFAHRAAKKLADIASWENSKVAEIKKYQEYLERKKAEQVEKLMNGVAKVHRAAEEKRAATEARRGEEVVKAEEAAAKYRAKGEPPKKLLFG >ORUFI10G15940.1 pep chromosome:OR_W1943:10:17041699:17042588:1 gene:ORUFI10G15940 transcript:ORUFI10G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRAMAGSVGRRTGDGGWRRASGGGRGGGARETRRGLGTARRGVVDTVAGVALVDGDGAYAEGGNLTGEAAVREERGEQVVDEVVHGAVVGLGLHAVEGVHGGQVVAQLGDLAADTAELLVFLGQQLTNVAYEVLSRVLHASISLAWLAGS >ORUFI10G15950.1 pep chromosome:OR_W1943:10:17045019:17045288:1 gene:ORUFI10G15950 transcript:ORUFI10G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYISEEYVAKRRAEKRAAAAAAAARMAGGDGEDGKARGGGSGGDSRRTRWTAAWADGSEKGKGSPGHVVGAVASGREDDVILSYFSA >ORUFI10G15960.1 pep chromosome:OR_W1943:10:17045780:17047974:-1 gene:ORUFI10G15960 transcript:ORUFI10G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPAKAAHALAAAAAGMVLLWCVHFRGGLALSSPTNKGLIFNVHPVLMLIGFIILGSEAIMGYKIWPWGHDTNKMVHLLLHAIALLLGSVGIYAAFKFHNESGIANLYSLHSWVGLGTICLYGVQWIFGFVTFFFPGASPSLRRAALPWHVRSGLLVYILALLAAELGFLEKLTFLEAGGLGRYSSEALLVNFTAVLVILLGSAVVMYVTAPMHNEHSHGYSAVRKP >ORUFI10G15970.1 pep chromosome:OR_W1943:10:17050383:17053150:1 gene:ORUFI10G15970 transcript:ORUFI10G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRQGRSVRSTRRRIQPRAISWINDSNERAQLYAKAGGSCFTLATRLALANLDFNILLGDEIKLDDPDGPDPDRQPLGQCLCILLHSSSRFQSKVRLKSCIH >ORUFI10G15980.1 pep chromosome:OR_W1943:10:17066039:17077920:1 gene:ORUFI10G15980 transcript:ORUFI10G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSARWRGGGGGGGKAKAVPEVGWEAMMVVVTPRDAGRPTARTESAQVADGACQWPAPVYEATKLPSSGKDKIYQFLVYDTGSTKAALLGEATLNLSEYADAFKPWIVTLPLSGSPGAQLHVTIQRVVGGGGGGGGGCGDDASENGGDVSPVVARTPPRKTLQSQLSRCEDEEAEKARAAAAAADAMSPMQDGLVINKPPGMRFSARRNMRGPVDHLHNSNSFDAISVSGSDGSSGRFTPKNNASMHSTFLQEGTNTLSPLRNTLTSSGDWSGSSAPDASTDGSTSNSGEAGLREAEDDVEKLRSEIATLTRKLDVSDMELQTLRKQIVKESRRGQDLSKEVGSLRDERDALRRECEALRGMKKTIHDANGSGKRLSSGEDPWSQIEELKQELGHEKNLNGDLHLQLQKMQESNSELLLAVKDLDEMLEQKNKEISLLHEETLEDPQEAEYELALSNVHNAGHKIDISETSSVQEKEDELMLDALAKTTDGIATSELQNKIVELSNEIKLYKKDREDLEMQMEQLALDYEILKQENHDISSRLEQTQLREQLRMQYECSAHLSIISDLEANVESLENELQEQSKRLEADIQEVMRAKVEQEQRAIKAEESLRKARWNNATTAERLQEEFKMLSSQVSSAFSANEQLLMQARKEAAELQLQKGQLEELLQKAQEDLGSIQEQHRVKVQQLLTLVDFKSKETDRLVMELKSKSDEFQNQKRCNEAKLSVLSEEIDQLKAKIENLSNERDNLFEENEQKDKELAANCQKDMFLQDRDAEIALLNKELASIKDQVQTYLEEINTLKSSKNEKEEMIEKLQSEIRSLKFEYDNLKILMSTNDSEKHNLASQVLKLRRALESREDVKQNGVKSDEDNHHATSKRIKHDDGTTGSCNVLPSTNRHNANGDCNGHDRRDAAHDQSVKELEILKERNTALEEELKELHGRYSEISLKFAEVEGERQQLVMTVRALKNSLRQLDELYEMRTISRCSAEATGTQDAYVGSFAYWQKHPCCAFRLEQHDADGAVPKKIGAAHGDSSPGSHCGGGGACATTTATAAAATATSRSISIAAVHLAIARAS >ORUFI10G15980.2 pep chromosome:OR_W1943:10:17066039:17077920:1 gene:ORUFI10G15980 transcript:ORUFI10G15980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSARWRGGGGGGGKAKAVPEVGWEAMMVVVTPRDAGRPTARTESAQVADGACQWPAPVYEATKLPSSGKDKIYQFLVYDTVRSLSSPPPPTATDTAITHPPASRLPVMCSTICLTRRTMQGSTKAALLGEATLNLSEYADAFKPWIVTLPLSGSPGAQLHVTIQRVVGGGGGGGGGCGDDASENGGDVSPVVARTPPRKTLQSQLSRCEDEEAEKARAAAAAADAMSPMQDGLVINKPPGMRFSARRNMRGPVDHLHNSNSFDAISVSGSDGSSGRFTPKNNASMHSTFLQEGTNTLSPLRNTLTSSGDWSGSSAPDASTDGSTSNSGEAGLREAEDDVEKLRSEIATLTRKLDVSDMELQTLRKQIVKESRRGQDLSKEVGSLRDERDALRRECEALRGMKKTIHDANGSGKRLSSGEDPWSQIEELKQELGHEKNLNGDLHLQLQKMQESNSELLLAVKDLDEMLEQKNKEISLLHEETLEDPQEAEYELALSNVHNAGHKIDISETSSVQEKEDELMLDALAKTTDGIATSELQNKIVELSNEIKLYKKDREDLEMQMEQLALDYEILKQENHDISSRLEQTQLREQLRMQYECSAHLSIISDLEANVESLENELQEQSKRLEADIQEVMRAKVEQEQRAIKAEESLRKARWNNATTAERLQEEFKMLSSQVSSAFSANEQLLMQARKEAAELQLQKGQLEELLQKAQEDLGSIQEQHRVKVQQLLTLVDFKSKETDRLVMELKSKSDEFQNQKRCNEAKLSVLSEEIDQLKAKIENLSNERDNLFEENEQKDKELAANCQKDMFLQDRDAEIALLNKELASIKDQVQTYLEEINTLKSSKNEKEEMIEKLQSEIRSLKFEYDNLKILMSTNDSEKHNLASQVLKLRRALESREDVKQNGVKSDEDNHHATSKRIKHDDGTTGSCNVLPSTNRHNANGDCNGHDRRDAAHDQSVKELEILKERNTALEEELKELHGRYSEISLKFAEVEGERQQLVMTVRALKNSLRQLDELYEMRTISRCSAEATGTQDAYVGSFAYWQKHPCCAFRLEQHDADGAVPKKIGAAHGDSSPGSHCGGGGACATTTATAAAATATSRSISIAAVHLAIARAS >ORUFI10G15980.3 pep chromosome:OR_W1943:10:17066039:17070867:1 gene:ORUFI10G15980 transcript:ORUFI10G15980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSARWRGGGGGGGKAKAVPEVGWEAMMVVVTPRDAGRPTARTESAQVADGACQWPAPVYEATKLPSSGKDKIYQFLVYDTVRSLSSPPPPTATDTAITHPPASRLPVMCSTICLTRRTMQGSTKAALLGEATLNLSEYADAFKPWIVTLPLSGSPGAQLHVTIQRVVGGGGGGGGGCGDDASENGGDVSPVVARTPPRKTLQSQLSRCEDEEAEKARAAAAAADAMSPMQDGLVINKPPGMRFSARRNMRGPVDHLHNSNSFDAISVSGSDGSSGRFTPKNNASMHSTFLQEGTNTLSPLRNTLTSSGDWSGSSAPDASTDGSTSNSGEAGLREAEDDVEKLRSEIATLTRKLDVSDMELQTLRKQIVKESRRGQDLSKEVGSLRDERDALRRECEALRGMKKTIHDANGSGKRLSSGEDPWSQIEELKQELGHEKNLNGDLHLQLQKMQESNSELLLAVKDLDEMLEQKNKEISLLHEETLEDPQEAEYELALSNVHNAGHKIDISETSSVQEKEDELMLDALAKTTDGIATSELQNKIVELSNEIKLYKKDREDLEMQMEQLALDYEILKQENHDISSRLEQTQLREQLRMQYECSAHLSIISDLEANVESLENELQEQSKRLEADIQEVMRAKVEQEQRAIKAEESLRKARWNNATTAERLQEEFKMLSSQVSSAFSANEQLLMQARKEAAELQLQKGQLEELLQKAQEDLGSIQEQHRVKVQQLLTLVDFKSKETDRLVMELKSKSDEFQNQKRCNEAKLSVLSEEIDQLKAKIENLSNERDNLFEENEQKDKELAANCQKDMFLQDRDAEIALLNKELASIKDQVQTYLEEINTLKSSKNEKEEMIEKLQSEIRSLKFEYDNLKILMSTNDSEKHNLASQVLKLRRALESREDVKQNGVKSDEDNHHATSKRIKHDDGTTGSCNVLPSTNRHNANGDCNGHDRRDAAHDQSVKELEILKERNTALEEELKELHGRYSEISLKFAEVEGERQQLVMTVRALKNSLRQLDELYEMRTISRCSAEATGTQVSRVWSVTIHSIVDLPPQ >ORUFI10G15990.1 pep chromosome:OR_W1943:10:17077600:17077902:-1 gene:ORUFI10G15990 transcript:ORUFI10G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWTAAMEMLLLVAVAAAAVAVVVAQAPPPPQCDPGLLSPCAAPIFFGTAPSASCCSSLKAQQGCFCQYAKDPTYASYINSTNARKMIAACGIPLPNCG >ORUFI10G16000.1 pep chromosome:OR_W1943:10:17088221:17089281:-1 gene:ORUFI10G16000 transcript:ORUFI10G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWAAAMEMLLLVVVATVAVAVVVAQSPPPPQCDPGLLSPCAAPIFFGTAPSASCCSSLKAQQGCFCQYAKDPTNNHLIPVPSSLSPTVRTGSGGRLGGRQRWARIDGG >ORUFI10G16010.1 pep chromosome:OR_W1943:10:17097384:17097686:-1 gene:ORUFI10G16010 transcript:ORUFI10G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWAAEATVMVVLAVTVAVAVVAAQAPPPVQCDPGKLSACAVPIFFGTAPSKSCCSNLRAQEKDGCFCQYARDPMYASYINSTNARNTIAACGIAFPSC >ORUFI10G16020.1 pep chromosome:OR_W1943:10:17108709:17113683:-1 gene:ORUFI10G16020 transcript:ORUFI10G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWAAIMAVLLLAAAWAPAPATAQCNAGQLAICAGAIIGGSTPSASCCSNLRAQRGCFCQYARNPANQAVLTLELARAMVKWAAVMEMLLLTAAATAVAVVAAQCDPEQLSACVSPIFYGTAPSESCCSNLRAQQKEGCLCQYAKDPTYASYVNNTNARKTIAACGIPIPSC >ORUFI10G16030.1 pep chromosome:OR_W1943:10:17124279:17126208:1 gene:ORUFI10G16030 transcript:ORUFI10G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAMLTTKHVPEDVGLRNVAAAEEEGGQGQMHRGEEKQHKPVLKKVKEKVKKIKNTIAGGGGGGHGGNNGGERASGSSSSSEEGEDDVAAQRMGDVDQRGYQEDVEEDKPVAMESDPEVHGAPMYDSARIPSVQEVEGDGGAPRVRLGDLGGPVVEDPAAPRSTTRVPREGEDIGTTPVVRAFESMSVSDDPKHVGAAGKPDADVQNDPMPVSDAATAGEEWKDATPDSAAAGATPGATYTDKIKSAAAGTTEYGKKLATTVYEKVAGVGTAVAGKVRQVTQSAGTATPGVAGGAAGSQSQDDVDASTTLASGEPATGGQQQQDKGVTVTGYIADKLRPGDEDRALSEAISGAVQRRKDDVAQRVPAVAPAAPGDTIAKVREAPAQVLAKARDAVTSLTGGTHVSDTVQPTTTTEADGAEVESAPVIRGEEIGETQRQPNVSMT >ORUFI10G16040.1 pep chromosome:OR_W1943:10:17128106:17129629:1 gene:ORUFI10G16040 transcript:ORUFI10G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGARPPALQTLSARLWPRRRLCGVHAGSRSGGEGPGARESGGGDVRIGRGEEEEEGGLDGGRVPQEVLLRLPTPPGRAETDDDDGENLSPGAGSGSRRRFFEELRLKADRIVKILLQDGPGFNTRQALDEMRPRVSNALVREVLLKFVVSIDGVNRARYPRLAYKFFLWAEEQEGYRHGTSMYNLILKIFAECGELKAMWRLLEDMTDKGLPVSSRTFHLLVCTSGRAGLRRRLVERFIKSSNFNYRPFRNSFNAILHTLLTIEQYSLIEWVHQKMIAEGHSPDVLTYNVVMRAKYMLRKLDQFHKLLDEMGKNGLAPDLYTYNLLLHVLGKGDKPLAALNLLNYMSDVGCVPTVLHFTNLIDGLSRAGNLEACKYFFDEMVKKGCQPDVVCYTVMITGYVAAGEFDEAQKFFDDMLLRGQLPNVYTYNSMICGLCIVGEFDKACSLLKDMESRGCTPNFTVYSTLVSRLRNAGKDSEANNIIQYMTNKGHYLHLLSRFRRYRRC >ORUFI10G16050.1 pep chromosome:OR_W1943:10:17130649:17132533:1 gene:ORUFI10G16050 transcript:ORUFI10G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTVLWYRVISHKTSIKSPRYWLFHLQMGETLCNGVIYFIILSFLLFFLFLFLLLLLLFLLLFFLLLFLFFLLLFFCRSNRHNVNLPNLL >ORUFI10G16060.1 pep chromosome:OR_W1943:10:17131102:17131952:-1 gene:ORUFI10G16060 transcript:ORUFI10G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSKCNSCLWFNSLIPSAVHAFVSSDDNSQQKVVLRVPTMTDDKIKQKAIEAVADIYGIDSIAADLKDNKMTIIGEMDTVAIAKKLKKIGKIDIVSVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >ORUFI10G16070.1 pep chromosome:OR_W1943:10:17133850:17141299:1 gene:ORUFI10G16070 transcript:ORUFI10G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITGAMEKPLDEKELERKLKKDQKAREKEEKRLKAKQKEAIRLQAQAASDETKKSDKKQKKKGTPDENPEDFVDPDTPAGQKKLLASQMAKQYNPAAVEKSWYSWWESSQYFVADATSSKPPFVIILPPPNVTGVLHIGHAITVAIEDAMIRWRRMSGYNALWVPGMDHAGIATQVVVEKRLMRDRNLSRHDLGRDKFLLEVLQWKDQHGGTILKQLRTLGASLDWSRECFTMDEKRSKAVTEAFIRLHKEGLIYRDNRIVNWDCSLRTAISDIEVDYCELTEETLLEVPGCSTLVQFGVIINFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPQDERYKRLHGKHALHPFNGRKLKIICDSKLVDPSFGTGAVKITPAHDLDDFNTGKRHKLEFINIFTDDGNINENGGPQFEGMPRFTARAAIIDALKAKKKCQITIIYTFSIKGLYRGTENNKMRLGRCSRTKDIVEPMMKPQWFVDCSTMAKAALDAVKTKRIEIIPIQYEQDWYRWLENIRDWCISRQLWWGHRIPAWYVTLEDDEEKDIGSYIDHWIIARNESDAILEAKQRYPGKNYKLDQDPDVLDTWFSSGLFPLSVLGWPDSTADLSSFYPTSVLETGLDILFFWVARMVMMGMLLGGDVPFQKVYLHPIIRDPHGRKMAKCLGNVIDPIDVINGISLEDLGKKLEHGNLDPSELEKAKEGQKKDFPNGIPECGTDALRFALISYTSQSDKINLDIKRVHGYRQWCNKLWNAVRFAMNKLGDQYTPPAAIALCSMPPLCKWILSALNKAVGKTVSSMEACKFSEATSSMYSWWQYQLCDVFIEAVKPYFNQSQELESERGACRDTLWICLDTGLRLLHPFMPYITEELWQRLPQPKEACRKDSIMISEYPSVVQEWTNDQVENEMETVLDSVNKLRSLRPHTDIHERRPAFMLCRGVDIAAIIQCYQAQISTLASVSSLKILTEDDPTPPNCATNIVNKDLSVYLQLRGALNTEAEREKLRKKRDEIQKQHDTLSQKMNASGYREKAPQSKQDEDMKKIAALLEELEIIREAESELESNN >ORUFI10G16070.2 pep chromosome:OR_W1943:10:17134026:17141299:1 gene:ORUFI10G16070 transcript:ORUFI10G16070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTITGAMEKPLDEKELERKLKKDQKAREKEEKRLKAKQKEAIRLQAQAASDETKKSDKKQKKKGTPDENPEDFVDPDTPAGQKKLLASQMAKQYNPAAVEKSWYSWWESSQYFVADATSSKPPFVIILPPPNVTGVLHIGHAITVAIEDAMIRWRRMSGYNALWVPGMDHAGIATQVVVEKRLMRDRNLSRHDLGRDKFLLEVLQWKDQHGGTILKQLRTLGASLDWSRECFTMDEKRSKAVTEAFIRLHKEGLIYRDNRIVNWDCSLRTAISDIEVDYCELTEETLLEVPGCSTLVQFGVIINFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPQDERYKRLHGKHALHPFNGRKLKIICDSKLVDPSFGTGAVKITPAHDLDDFNTGKRHKLEFINIFTDDGNINENGGPQFEGMPRFTARAAIIDALKAKKKCQITIIYTFSIKGLYRGTENNKMRLGRCSRTKDIVEPMMKPQWFVDCSTMAKAALDAVKTKRIEIIPIQYEQDWYRWLENIRDWCISRQLWWGHRIPAWYVTLEDDEEKDIGSYIDHWIIARNESDAILEAKQRYPGKNYKLDQDPDVLDTWFSSGLFPLSVLGWPDSTADLSSFYPTSVLETGLDILFFWVARMVMMGMLLGGDVPFQKVYLHPIIRDPHGRKMAKCLGNVIDPIDVINGISLEDLGKKLEHGNLDPSELEKAKEGQKKDFPNGIPECGTDALRFALISYTSQSDKINLDIKRVHGYRQWCNKLWNAVRFAMNKLGDQYTPPAAIALCSMPPLCKWILSALNKAVGKTVSSMEACKFSEATSSMYSWWQYQLCDVFIEAVKPYFNQSQELESERGACRDTLWICLDTGLRLLHPFMPYITEELWQRLPQPKEACRKDSIMISEYPSVVQEWTNDQVENEMETVLDSVNKLRSLRPHTDIHERRPAFMLCRGVDIAAIIQCYQAQISTLASVSSLKILTEDDPTPPNCATNIVNKDLSVYLQLRGALNTEAEREKLRKKRDEIQKQHDTLSQKMNASGYREKAPQSKQDEDMKKIAALLEELEIIREAESELESNN >ORUFI10G16080.1 pep chromosome:OR_W1943:10:17141953:17151380:1 gene:ORUFI10G16080 transcript:ORUFI10G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHEDTLDAGGGDVLTFRLHPLAVLKLSDRIREFQYQAAAAARVSYSSSSSSSSGTAAPEDPPPPPTRMSGCVIGVRRGGTVDVSDILDILILHGPDPATFDRALLEKDQEIYKKAFPDLSVLGWYSIGTNVHATDMGIHHGDYTDMQIHQTAEVIDMLRKHSYLKELVVELATTWRRRQQGELVAGAPVAVATAVRGPRRWRRQPSAGAPGGGAVGGGGCRRRPRYPERPQRLTAGLGVAECWSASNVCWRQRRRCGRGSWRRRLLAAWWWRATAQRRFAEAVARVGGSGDGGHDCGGSGDCAFTSISHHLFDKMVIPKQPDPEEATSPPATAVGTGVAHGTRPAQERRQWRCRRREGGELMDANGTAFYLLLNPAINFSQKDIPVTIYERVTNTTYKHYKHVLLKIVGVERISLDHADFVYPSPCVVFDVLAPPLGKEFSVLGCVAQPHHLARVGIALCSPFLNANKRCCRKNAFRTMLFETPSGFVMFRVSDVLFRYPEDIWSSFTDPRTAHQAVRTIGFIENIGCWFNGRIVPELIWGLNYALDEFVPQEKGNLSNECHFPLSKQLHEQLKAYGFSISPQLINREFITSFGYLNYLERTSKNISGDLHQKFDRFFCGLEMSEGVFVKVVADRLCSMEEVASTPGRREALSNAEFLLTVPKKKYNTLSRLKRMEAEVRGSGPWVFVAVFAVAFGVMEGLRIAMKRAN >ORUFI10G16090.1 pep chromosome:OR_W1943:10:17144146:17144487:-1 gene:ORUFI10G16090 transcript:ORUFI10G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELPCRNIVGVPRLGLAGKRAEPHAVAPLPAGVSSMPRTQPRPSPRWHRCPHAAAPPSTRLSRRCLLAHGRTAVHVIEEAARPEAMATVNAVGRKEEKRRKDKMGMNRKGY >ORUFI10G16100.1 pep chromosome:OR_W1943:10:17151863:17156914:-1 gene:ORUFI10G16100 transcript:ORUFI10G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLKSAVPAELRRAVGEGTAADLPSTTSRLLAFLEALPLFRQVIGELTDPELALCRKDKGRAAELKGKGNACFSKREFEQALGFYSQALRYFPISPDGTDASLIATLYVNRASTMHKLGLLEECLRDCDRAISVSPNYAKAWYRRGMVNASFRNYSSSIHDLEVALSMEVTSSGKSNIEQELKLILQKHQNVNEVGTASSNCINADMPHTEQQPKVILECTSTPNKGRGMSSPNDISPASLIHAEDPLAVIIMKSCRDTHCHYCFSEAPADVVVCPSCTIPIYCSNRCQEKAIGQMSCNQNTHLESNNNVVDIAKLSVTSTKSKTPDSKQIAEHRHECGGACWAAVLPADIVLAGRIMAQYIEKQLLVGKRSTISGPNLDLVHHYDQDSSASKFESHIYATVLFLCLQSYYKSGVSWAEDSLSQLVLLICQIKVNSIAIVHMKSMDGVKALTKGFSGFSGDVMCSVEQVRVAQAIYMSGSFFNHSCRPNIHAYFHSRTLILRSTEYIKAGSPIELSYGPQVGEMDLPERQKSLRENYYFSCGCSSCSVLSLSDLVMNSFCCPQSNCLGAVSELIHHRHKENFVHVSIGESHVCTLSLPDVSKFDEDIVKVGKLFFKSDTMFNIDPGFCMSCRSQLDLSSAVAMSDRATSKINRLKELPSLDNVPEVLIAEALQSLERIEKLRHPYSKTLAQSHDTIAEAFAKVGDQEQARKHCEASIKILEKLYHPRHIIIAHELIKLVSIELSMGDGASAAAAFARADAIFSLYYGPDVERILPYVDVLRRTVSERSIDSC >ORUFI10G16110.1 pep chromosome:OR_W1943:10:17157316:17159316:1 gene:ORUFI10G16110 transcript:ORUFI10G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMCPPLSPSPSPHRRGVEATTRSSAIGAVNKRESGSGTWRPLLAPGTALPGGSFSCRLKTSAAEEDDDGAAPARVALKLASLTALLWLPALVGLLIGCPTLTTIGFAAASSSTLRLADHQIRRRLLLLLHHVAAFSSLSLSPSPPPSYQVPGITDTRYKIPGTSRYQISGIKVDKTLGTAVIGVLEAFKSMVSGQHGRFHRVVVQNILKNLCAYAKPDSDCQYSMQKFSVDNISMALRTMYQTDNLIGEDMEAFLGLVLQFSKLLCETDFIEAVNGNGIGLRNFVQKLKLILKQANSHRTEASVHPGIRRSAIEQVIWMAQLKPEPHCIDHFIDC >ORUFI10G16120.1 pep chromosome:OR_W1943:10:17162171:17162603:1 gene:ORUFI10G16120 transcript:ORUFI10G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRTDDGHTHVGEERLWRSIKTRALFGWKRKLGTVLGGYLDKWLAPSIYDAVPARFRNHRTVAEALLNKRWIQDITGALGMQAILEYLSLWPVMKSIQLSDQEDSLHWRWETSGEYSSRSAYQAQFLGRIRFQSSPI >ORUFI10G16140.1 pep chromosome:OR_W1943:10:17166459:17174175:1 gene:ORUFI10G16140 transcript:ORUFI10G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVWHSLRCSIPPSRPPPTAMATSPPRPLHRLPSDGGARSRTGEHRQGGSSLKTPPSLPPTAQREARATGIYGSFSFDQNPYCNGCSTHPSLRFSRESTDGGRGKGEVTYEHTREAVRSLDQEKGLNAMELLKKKLEFACDIDNEGQKLMSNMEMIQAVLRGGEKMKFNDEQRLWFSDLKDAGYDAMDVLDEYLYEVQRHQQHEGSMCNGSTSLPPISPCGRENDQERIVNMLLQRDLKPNIAVLPILGEAYIGKTTVAQLIINDKRVSRHFDVRIWAHVSPDFNIKRISASILESIYDKSHYDNLDTLQKHIQKRLRGKRFLLVLDDYWTENWHDWEEVKRPLLKASAGSKVIVTTRSGAVAKLLGMDLTLKMEVLQKCNGVPFIAASLGHRLHQKDKSKWVAILQEEICDANPNYFIRARQLSYAQLHSHLKPCFAYCSIIPREFQFEEWLIKHWMAQGFIQSKPDAVATGSSYFRTLFEQSFFQRELVHHSGERHRYSMSRMMHELALHVSTDECYILGSPGEVPEKVRHLTVLLDEFASQNMFETISQCKHLHTLLVTGGNAGYELSIPKNLLNSTLKKLRLLELDNIEITKLPKSIGNLIHLRCLMLQGSKIRKLPESICSLYNLQTLCLRNCYDLEKLPRRIKYLHKLRHIDLHLDDPSPDIHGLKDMPVDIGLLTDLQTLSRFVTSKRNILDNHSNIKELDKLDNLCGELLISNLHVVKDAQEAAQAHLASKQFLQKMELSWKGNNKQAEQILEQLKPPSGIKELTISGYTGISCPIWLGSESYTNLVTLSLYHFKSCTVVPSLWLLPLLENLHIKGWDALVKFCGSSSANFQALKKLHFERMDSLKQWDGDERSAFPALTELVVDNCPMLEQPSHKLRSLTKITVEGSPKFPGLQNFPSLTSANIIASGEFIWGSWRSLSCLTSITLRKLPMEHIPPGLGRLRFLRHLEIIRCEQLVSMPEDWPPCNLTRFSVKHCPQLLQLPNGLQRLRELEDMEVVGCGKLTCLPEMRKLTSLERLEISECGSIQSLPSKGLPKKLQFLSVNKCPWLSSRCMVLGSTISSLWIDGELIRY >ORUFI10G16140.2 pep chromosome:OR_W1943:10:17166459:17169729:1 gene:ORUFI10G16140 transcript:ORUFI10G16140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVWHSLRCSIPPSRPPPTAMATSPPRPLHRLPSDGGARSRTGEHRQGGSSLKTPPSLPPTAQREARATGIYGSFSFDQNPYCNGCSTHPSLRFSRESTDGGRGKGEVTYEHTREAVRSLDQEKGLFDLDKFQVSY >ORUFI10G16140.3 pep chromosome:OR_W1943:10:17169878:17174175:1 gene:ORUFI10G16140 transcript:ORUFI10G16140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVLPAFLQVLFQNAMELLKKKLEFACDIDNEGQKLMSNMEMIQAVLRGGEKMKFNDEQRLWFSDLKDAGYDAMDVLDEYLYEVQRHQQHEGSMCNGSTSLPPISPCGRENDQERIVNMLLQRDLKPNIAVLPILGEAYIGKTTVAQLIINDKRVSRHFDVRIWAHVSPDFNIKRISASILESIYDKSHYDNLDTLQKHIQKRLRGKRFLLVLDDYWTENWHDWEEVKRPLLKASAGSKVIVTTRSGAVAKLLGMDLTLKMEVLQKCNGVPFIAASLGHRLHQKDKSKWVAILQEEICDANPNYFIRARQLSYAQLHSHLKPCFAYCSIIPREFQFEEWLIKHWMAQGFIQSKPDAVATGSSYFRTLFEQSFFQRELVHHSGERHRYSMSRMMHELALHVSTDECYILGSPGEVPEKVRHLTVLLDEFASQNMFETISQCKHLHTLLVTGGNAGYELSIPKNLLNSTLKKLRLLELDNIEITKLPKSIGNLIHLRCLMLQGSKIRKLPESICSLYNLQTLCLRNCYDLEKLPRRIKYLHKLRHIDLHLDDPSPDIHGLKDMPVDIGLLTDLQTLSRFVTSKRNILDNHSNIKELDKLDNLCGELLISNLHVVKDAQEAAQAHLASKQFLQKMELSWKGNNKQAEQILEQLKPPSGIKELTISGYTGISCPIWLGSESYTNLVTLSLYHFKSCTVVPSLWLLPLLENLHIKGWDALVKFCGSSSANFQALKKLHFERMDSLKQWDGDERSAFPALTELVVDNCPMLEQPSHKLRSLTKITVEGSPKFPGLQNFPSLTSANIIASGEFIWGSWRSLSCLTSITLRKLPMEHIPPGLGRLRFLRHLEIIRCEQLVSMPEDWPPCNLTRFSVKHCPQLLQLPNGLQRLRELEDMEVVGCGKLTCLPEMRKLTSLERLEISECGSIQSLPSKGLPKKLQFLSVNKCPWLSSRCMVLGSTISSLWIDGELIRY >ORUFI10G16150.1 pep chromosome:OR_W1943:10:17176387:17178317:1 gene:ORUFI10G16150 transcript:ORUFI10G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVIREGKYLRTFIVVGSSENCVPRILNDFGDKFPRLLTLDLSNSGISELPRLIGKLKHLRCLQLQNTKIRQFPKSICNLYLLQTPGLRNCYDLEELPRKIKNLRKLRHIDLVMTCNPCRNDCSLKFAISKRSALSIHTCKKGIGELANLNNLNGELLISGLEHVNDMEEAVHAHLASKKFLEKGWDGLVSMNCSQFCGSNTASFRSLKKLHLERLDMLHRWDGDNICSFPSLLELVVKKCQKLELVAHKLPSLTKMTVEGSPNFCGLRNFPSLTHVNVTESGEWIWGSWSGLSSPISIILSKLPTVHLPSGPRWFHSSLQRLDISHCKNLECMPEDWPPCNLSHFSVRHCPQLHKLPSGIRHLRALEDLEIIDCGQLTCLPDLDRLTSLLWMEISNCGSIQFLPYLPSSMQFLSINNCPQLRLSCMKEGSLDQAKIKRIFSVWIDGAEVFSSADESRFVIPAKLQKG >ORUFI10G16160.1 pep chromosome:OR_W1943:10:17185226:17186689:-1 gene:ORUFI10G16160 transcript:ORUFI10G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEEASTPPRPPCKRPRHADHPVLPDDLVVGHILARVPAAAVVRLRAVCRAWRAALTSDHFVRVRRALRTATDDGRPEIVFFAPAAAGGSATAAFYTCKLASDGSGSSAARELVTVGNLPAEDDLVVLTTKPCNGLTLLFQASSSEYYVCNLSTGEHVSLPPYAAAAKPDPYDDGAYGRSSTGLGFDPAAGEHKVVRLYEEEKERGQERCEMYSLVSGGGWRPSAGRVPPGVTRCLEGRSPVFLDGCFYWHMDTARLGAVEASILLGSPPVRVILSLSLATEQFGWIPTPEELAREVSHLAELDGSLCAVVDLRLVAEEYELWTWSGAAAPSSPSASWSRRCRISLTSLERPMRDELGLGLRVLPLCTSPDGKVLLATSRHKVYAYDAGSNRVDTVFSMHHWVDVPVEPALMLNIALHEESVVAVGGGRRRRGDVGRQLKMEVGKSGVVVGKRAGRLDRHPSDPKPEAFQMMKRMIGLAQILFHN >ORUFI10G16170.1 pep chromosome:OR_W1943:10:17187044:17187863:-1 gene:ORUFI10G16170 transcript:ORUFI10G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSPTHTMSFKALGLTGGVITANPANVEYTLKTNFGNYPKGELAVSMLVDFLGHGIFNSDGEQWLWQQKAASYKFNKRSLRNFVVDTVRFEVVERLLPLLERAERDGRMLDVQDVLECFAFDNICHVAFDEDPACLARGKHGLAPERGNAVMDRFMSPVKSRWCFKRLFNMEPERRLQEVLATIHGFAERIVGERRERVVPGLARSDDFLLRFAASGEHSNESLRDVVTNFLLVGHDTTSSALTWFLCRPVVEKREEKEKKR >ORUFI10G16180.1 pep chromosome:OR_W1943:10:17188832:17195983:-1 gene:ORUFI10G16180 transcript:ORUFI10G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCDLVDVDPPELQFPFELDKQISCPLRIANKTERTVAFKVKTTSPKKYCVRPNNGVVRPRSASVVVVTMQAQIVAPPDLQCKDKFLVQSVVVDDGLSAKDITSQMFLRDENNMVEEVKLKVSYVMPPEPAMEIAEESDIPKRILVPMQRILDNGRSGSELSSGNVSLRSAEMGTELGSPLGRFVRNEDMLKTASPVVETRVHAGPDEQYLELSALVAKLTEEKKSALEQNRKLREELELARRQASQHQGGFSLAFVLASAAKSATPPEPPRAEKRLMWPPSESLAAGDRSAGSAGYSLSSVGGQGGRDAVREDNVSVN >ORUFI10G16180.2 pep chromosome:OR_W1943:10:17193282:17195983:-1 gene:ORUFI10G16180 transcript:ORUFI10G16180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCDLVDVDPPELQFPFELDKQISCPLRIANKTERTVAFKVKTTSPKKYCVRPNNGVVRPRSASVVVVTMQAQIVAPPDLQCKDKFLVQSVVVDDGLSAKDITSQMFLRDENNMVEEVKLKVSYVMPPEPAMEIAEESDIPKRILVPMQRILDNGRSGSELSSGNVSLRSAEMGTELGSPLGRFVRNEDMLKTASPVVETRVHAGPDEQYLELSALVAKLTEEKKSALEQNRKLREELELARRQASQHQGGFSLAFVLVIGLLSIILGCLVKK >ORUFI10G16180.3 pep chromosome:OR_W1943:10:17188832:17191250:-1 gene:ORUFI10G16180 transcript:ORUFI10G16180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEADGLDMYHGAATPFPARPYPSLYFSSSGPTALTSSSFLFRFLGVRGRLPLPGGAPPTASAAKSATPPEPPRAEKRLMWPPSESLAAGDRSAGSAGYSLSSVGGQGGRDAVREDNVSVN >ORUFI10G16190.1 pep chromosome:OR_W1943:10:17190578:17192769:1 gene:ORUFI10G16190 transcript:ORUFI10G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGLNILPQKRWNVYNFDNREKVRRDEAEAAREEQLQREAVRRRDSDLRLAALRRNRGLQSADAAPLPPRPPTYAAEQCPADPADPSPAADDSDGGHINLFSARGGGGGATDFAVLASADGGRGAAREREPPANPNPKKRKRKEEEVRAVGPDEEKYRLGYGLAGKGVAAPWYMSKPSASSSMERKDREAGEGSVGKKNGGKKSIEELREERRKREAKEKERERALLGVPSRKEKYSERGRSSRYLYSAETIGDDLMKEIPEVLYGKKSQVYQKIAWWTDSTLKHL >ORUFI10G16200.1 pep chromosome:OR_W1943:10:17203071:17203487:-1 gene:ORUFI10G16200 transcript:ORUFI10G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRFLATPRLLAAVLGAAVLHGAGGVAAVAMARPLLAGSGGAEPPSAVLAAAPGPAGVVAAAQGGGVMKPDRSIAGADVILVGFAAAVVVVVFWYIRITRKNSSSGGGGGGGGGVEAARKLEILKEPPAVVVEVAA >ORUFI10G16210.1 pep chromosome:OR_W1943:10:17208809:17216989:-1 gene:ORUFI10G16210 transcript:ORUFI10G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPEPEDGRELYALLHLSPDASGEEIRRAYRQYAQIYHPDKYQDPQMKDVATENFQRIRDAYEILSDENKRQIYDIYGMEGLNSGLELGPKLNKPEEIKEQLERLKRRKEEEKFLAHARPTGSIIANFSVPQYLDGYGIMRGMGMSSEVQLPVSKKNTVVVGGNLVVNGTDGTGAASAVLRHQLSSVASVEFMATAGLRSLISVQTFRQISPHSTATSGLALSLRDGSINLSNAWTRQLSDNIVGNIQLALGTDSSISVGWQKKDEKNSAAGDVKLGTNYFGASAHYTRYFSTKSHGRVAGRVGSTALDFEIGGGRRISEFSTVRMIYNIGIQNISILELDTVINKVGMIKWESIVIGGEVEGVSWRFELHRAGQKLVIPVLLSTDFNALLATSVFAIPSTLYFLLQTYFVKPYCLKREKQKELEKMESLSSQLTEARRAAKKAQKLLEPVSNRKKNRQLEDDGLVITKALYGNRKKVKESSESNELNDDVASQVLDVTIPLNFLVSEAGQLKLHEGIKKSGIMGFYDPCPGDPKLLLVEYTFHGQKYKVMVDDYAALLIPQDIHQI >ORUFI10G16220.1 pep chromosome:OR_W1943:10:17219494:17225443:1 gene:ORUFI10G16220 transcript:ORUFI10G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRALAAAAAAVAVAVVVAARPAAATDPYAFFDWDVGYVTAAPLGVKQQVIGINGKFPGPTVNISTNWNVVVNVLNDLDEPLLITWNGIQHRKNCWQDGVLGTNCPIPSGWNWTYEFQVKDQIGSFFYFPSTGLQRAAGGYGGVVVNNRDVIAVPFGRPDGDITIFIGDWYNKNHTDLRKMLDSGKDLGMPDGVLINGKGPYRYNDSLVPAGIEYETINVDPGKTYRIRVHNVGTSTSLNFRIQGHNMVLVETEGSYTTQQNYTNLDVHVGQSYSFLVTTDQNASSDYYVVASARMVNDTVWRRVAGVAVLRYSNSRGRASGPLPDPPQDQFDKSFSMNQARSVRWNLSAGAARPNPQGSFRYSSINVTQAYLLRSTAPVTINGRRRATLNGLSFTPPETPLRLADAMDYGEWTEDSRGTYNKGDGVARSTVQVYPGAWAAVLVSLDNVGVWNVRSENLDSWYLGQEVYVRVVNPEDTGNKTEMAIPDNALFCGQLHKYQKQQTPHHKMGTSAAAAAVVGSRVAAAAMLLLAGAVMISP >ORUFI10G16230.1 pep chromosome:OR_W1943:10:17232613:17234025:1 gene:ORUFI10G16230 transcript:ORUFI10G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKFALAFKTKTIEFFAEEEEDEDADGGVSAAAAAAVGVGEGGVLAGQRVVVLKPDTVQSPNPSGGVGVGVVVGEAAAVEAALATASSFQAAYLHLQAAHAPFLPDAAAAADAAAVSHLRRLSEVKRLARDPGVGGGALTAHLEAQVRENQALLRSFDAVVNRLQAALDGKDAAAASLRRDHAELADGNARLGARLDRALAPPPGAGGDDALGAMLSAGVFDSVLRDALRVAHRFTRSLADLLRCAGWDLAAAAAAVYPGVAYSRPGHCRYALLSRVCLSMFDGFDSYQFGGSTDATTLEGIDLAIRRNESLQQFIEHSDADPMELINSSPDCEFAQFCDRKYKQLIHPGIESSLFGNSDCGKLPVLGVAGPLYELFVAMASSIWTLHRLAWAYDPAVGIFQIGQGAEYSVVYMENIVRSKGFSGSKELGKMMRPKVGFTVVPGFRLGGTVIQCRVYLDCGKREGIIGE >ORUFI10G16240.1 pep chromosome:OR_W1943:10:17241572:17245550:-1 gene:ORUFI10G16240 transcript:ORUFI10G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSASSAAAAAFRPAVVQREQQVVEEKFPAAAAAMREMAPPPVAAAAADSEQEQLCYVHCHYCDTVLVVSVPSSSLFETVTVRCGHCSSLLTVNMRGLLLPTTAAAAPPPPPPPPPPPPPPAAHFPHSLNLAPANPPHHHSLLDEISTANSPTQLLLEQHGLGGLMASAASCRNNNSPAAAAAPPPPTSQGKAAAKEPSPRTNTAVINRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDHQGLKKTSLLPQDHQRKDGLLKEGLYAAAAAAAAAANMGVAPY >ORUFI10G16250.1 pep chromosome:OR_W1943:10:17266551:17270826:1 gene:ORUFI10G16250 transcript:ORUFI10G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGAESSIACARCGKPASLQCPKCAQLKLPREGAAFCTQDCFKEAWSSHKSVHIKLDALTLQQTPEGWQYCLKKGRTRTSQLPRFDWTGPLRPYPISKMCVVPDKIEKPDWALDGTPKIEPDSDLQKRVEIKTPEQIERMRETCRIAREVLDAAARIIKPGITTDEIDRVVHEETVARGLKEYDMLTLLSLVSRKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASKQLVRCTYECLDKAIAIVKPGVRFREVGEIINRHASMSGLSVVKSYCGHGIGELFHCAPNIPHYSRVWHDRLWPDEWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPSSPDVFPWLKP >ORUFI10G16250.2 pep chromosome:OR_W1943:10:17266639:17270826:1 gene:ORUFI10G16250 transcript:ORUFI10G16250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNRAARGGEQSSLGGGMEKGGAESSIACARCGKPASLQCPKCAQLKLPREGAAFCTQDCFKEAWSSHKSVHIKLDALTLQQTPEGWQYCLKKGRTRTSQLPRFDWTGPLRPYPISKMCVVPDKIEKPDWALDGTPKIEPDSDLQKRVEIKTPEQIERMRETCRIAREVLDAAARIIKPGITTDEIDRVVHEETVARGLKEYDMLTLLSLVSRKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASKQLVRCTYECLDKAIAIVKPGVRFREVGEIINRHASMSGLSVVKSYCGHGIGELFHCAPNIPHYSRVWHDRLWPDEWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPSSPDVFPWLKP >ORUFI10G16260.1 pep chromosome:OR_W1943:10:17271112:17279398:-1 gene:ORUFI10G16260 transcript:ORUFI10G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAAIRTSGIPVSVSSTASADGDASADPTASAAAAAATVGDDEHDSKEVVLRRYFIQEWEIVSDILRRIVDAGGVAEPADVQRIRSIMDKYQEEGQLLEPYLESIISPLMLLVRSKTMELGACTDELLDIIKPLCIIIYTLVTVCGYKSVIKFFPHQVSDLEPAVALLEECHKMSSATALRQESTGEMETKCVVLLWLYILVLIPFDISSVDTSIATADHVDGPETVPLVTRILDICKDYLCSSGPMRRMSGLLLARLLTRPDMPKVFSSFMEWAQRILLSVTDDFVDQFRSIGIVEALASIFKIGNRKVLCDAVSGIWNDCSVVMKTNIASRSSLLRKFLVKLAQRVALISLPPRSPSWRYQSISSSLGANLSTSTDGTGTSSGSTKQVNIDQTDTSSLEEDMDVPEIVEEIIDLLLTGLRDSDTIVRWSAAKGVGRITARLTPALSEEVLSSILQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFPDVIPVIIKALHYDVRRGPHSIGSHVNCRRAASAAFQENVGRQGNFPHGIDIVNAADYFALASRSNSYLNVAVFVAQYKEYLHPFAEELLCNKISHWERSLRELAAQALSMLVQYDMNYFAGYALEKLVPCTLSSDLCTRHGATLAAGEIALKLYQLGFTFTTDMQKALSGIVPAIEKARLYRGKGGEIMRSAVSRFIACISMSGISLNEKTKRSLLETLNENLRHPNAQIQCAAVDALKHFIPTYLVSSGEKTANGIITKYLTLLDDPNVAARRGAALALGTLPYEFLVLKWMPVISKLCSSCTIEDKPDDPDAEARVNSVRGLILVCETLTASVEHSSSFGDSMYSYIKDKVMQALFRALDDYAVDNRGDVGSWVREAAMDALERCTFILCKRDNIAVKITPVAEHESKSIDIDTNAVNTRCQLFDSSIAQDLVAGIAKQAVEKIDKIREIAVKTLKRILYNEELFVPSIPYRELLEQIIPNSADLEWAVPAVSYPRFVKLLQVSCYSKPVLSGLVISTGGLQESLRKASTSALVDYLQDSDINTNDEGKNREYLLSCDLLWVLEHYQKCDRVVTPTLKTVETLLSKKVFLREGHCEFYSGLIKSLGPELKGSKDFAKLSAGLSILGYISSQSDGNGSTAFSQLLTFLGHRYPKIRKAAADQVYLVLLQNDSLIAAENMEKAQEVIAETCWEGDVEEARRKRSELNEMAGFGAATSQKPGNEQTRRKTEERNAASTDENKSYSSLVDFSGY >ORUFI10G16260.2 pep chromosome:OR_W1943:10:17271112:17279398:-1 gene:ORUFI10G16260 transcript:ORUFI10G16260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAAIRTSGIPVSVSSTASADGDASADPTASAAAAAATVGDDEHDSKEVVLRRYFIQEWEIVSDILRRIVDAGGVAEPADVQRIRSIMDKYQEEGQLLEPYLESIISPLMLLVRSKTMELGACTDELLDIIKPLCIIIYTLVTVCGYKSVIKFFPHQVSDLEPAVALLEECHKMSSATALRQESTGEMETKCVVLLWLYILVLIPFDISSVDTSIATADHVDGPETVPLVTRILDICKDYLCSSGPMRRMSGLLLARLLTRPDMPKVFSSFMEWAQRILLSVTDDFVDQFRSIGIVEALASIFKIGNRKVLCDAVSGIWNDCSVVMKTNIASRSSLLRKFLVKLAQRVALISLPPRSPSWRYQSISSSLGANLSTSTDGTGTSSGSTKQVNIDQTDTSSLEEDMDVPEIVEEIIDLLLTGLRDSDTIVRWSAAKGVGRITARLTPALSEEVLSSILQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFPDVIPVIIKALHYDVRRGPHSIGSHVNCRRAASAAFQENVGRQGNFPHGIDIVNAADYFALASRSNSYLNVAVFVAQYKEYLHPFAEELLCNKISHWERSLRELAAQALSMLVQYDMNYFAGYALEKLVPCTLSSDLCTRHGATLAAGEIALKLYQLGFTFTTGIVPAIEKARLYRGKGGEIMRSAVSRFIACISMSGISLNEKTKRSLLETLNENLRHPNAQIQCAAVDALKHFIPTYLVSSGEKTANGIITKYLTLLDDPNVAARRGAALALGTLPYEFLVLKWMPVISKLCSSCTIEDKPDDPDAEARVNSVRGLILVCETLTASVEHSSSFGDSMYSYIKDKVMQALFRALDDYAVDNRGDVGSWVREAAMDALERCTFILCKRDNIAVKITPVAEHESKSIDIDTNAVNTRCQLFDSSIAQDLVAGIAKQAVEKIDKIREIAVKTLKRILYNEELFVPSIPYRELLEQIIPNSADLEWAVPAVSYPRFVKLLQVSCYSKPVLSGLVISTGGLQESLRKASTSALVDYLQDSDINTNDEGKNREYLLSCDLLWVLEHYQKCDRVVTPTLKTVETLLSKKVFLREGHCEFYSGLIKSLGPELKGSKDFAKLSAGLSILGYISSQSDGNGSTAFSQLLTFLGHRYPKIRKAAADQVYLVLLQNDSLIAAENMEKAQEVIAETCWEGDVEEARRKRSELNEMAGFGAATSQKPGNEQTRRKTEERNAASTDENKSYSSLVDFSGY >ORUFI10G16260.3 pep chromosome:OR_W1943:10:17271112:17279398:-1 gene:ORUFI10G16260 transcript:ORUFI10G16260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAAIRTSGIPVSVSSTASADGDASADPTASAAAAAATVGDDEHDSKEVVLRRYFIQEWEIVSDILRRIVDAGGVAEPADVQRIRSIMDKYQEEGQLLEPYLESIISPLMLLVRSKTMELGACTDELLDIIKPLCIIIYTLVTVCGYKSVIKFFPHQVSDLEPAVALLEECHKMSSATALRQESTGEMETKCVVLLWLYILVLIPFDISSVDTSIATADHVDGPETVPLVTRILDICKDYLCSSGPMRRMSGLLLARLLTRPDMPKVFSSFMEWAQRILLSVTDDFVDQFRSIGIVEALASIFKIGNRKVLCDAVSGIWNDCSVVMKTNIASRSSLLRKFLVKLAQRVALISLPPRSPSWRYQSISSSLGANLSTSTDGTGTSSGSTKQVNIDQTDTSSLEEDMDVPEIVEEIIDLLLTGLRDSDTIVRWSAAKGVGRITARLTPALSEEVLSSILQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFPDVIPVIIKVNCRRAASAAFQENVGRQGNFPHGIDIVNAADYFALASRSNSYLNVAVFVAQYKEYLHPFAEELLCNKISHWERSLRELAAQALSMLVQYDMNYFAGYALEKLVPCTLSSDLCTRHGATLAAGEIALKLYQLGFTFTTDMQKALSGIVPAIEKARLYRGKGGEIMRSAVSRFIACISMSGISLNEKTKRSLLETLNENLRHPNAQIQCAAVDALKHFIPTYLVSSGEKTANGIITKYLTLLDDPNVAARRGAALALGTLPYEFLVLKWMPVISKLCSSCTIEDKPDDPDAEARVNSVRGLILVCETLTASVEHSSSFGDSMYSYIKDKVMQALFRALDDYAVDNRGDVGSWVREAAMDALERCTFILCKRDNIAVKITPVAEHESKSIDIDTNAVNTRCQLFDSSIAQDLVAGIAKQAVEKIDKIREIAVKTLKRILYNEELFVPSIPYRELLEQIIPNSADLEWAVPAVSYPRFVKLLQVSCYSKPVLSGLVISTGGLQESLRKASTSALVDYLQDSDINTNDEGKNREYLLSCDLLWVLEHYQKCDRVVTPTLKTVETLLSKKVFLREGHCEFYSGLIKSLGPELKGSKDFAKLSAGLSILGYISSQSDGNGSTAFSQLLTFLGHRYPKIRKAAADQVYLVLLQNDSLIAAENMEKAQEVIAETCWEGDVEEARRKRSELNEMAGFGAATSQKPGNEQTRRKTEERNAASTDENKSYSSLVDFSGY >ORUFI10G16270.1 pep chromosome:OR_W1943:10:17279563:17282589:1 gene:ORUFI10G16270 transcript:ORUFI10G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRPASGRPSGTDGSDFSYRMVVDSRYQRVADGKSRLGRLILVQALHQVAGGALLLLALSKGAEMNKFAVMSVAAGLLAIVLGEIGRRRTMAVLLRMYTSLSSIAVAFSVACIIRSELFFKITKQNTESITSHELLEVVRVALGCPFRFAEIPVLF >ORUFI10G16280.1 pep chromosome:OR_W1943:10:17285550:17286194:-1 gene:ORUFI10G16280 transcript:ORUFI10G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSLLLLLVACFLVAGATAARPAPSTSGAAAISSFVRSWCAGTKYPALCDATLAPYSAAVGASPAKLAWAALTVTLSAARNATSAVRSIAARSSSSHLPPVAAEAAGDCASELGDGVDALRRCVDAMARVAVGEESSSTAAAARRKVRFEVDNVRTWASAALTDDNMCMEGFKGEAAGGGGAREAVRGHIMGLLHLTANALGILNAMAKQI >ORUFI10G16290.1 pep chromosome:OR_W1943:10:17293633:17294121:-1 gene:ORUFI10G16290 transcript:ORUFI10G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARRAPATLLLRRLLSLCAMAAVLLLSPSPAAVASAEPTTADDIIPALSGDDRCWWPADAPTAAAAPAGGGTGYDDAIAAVADDAVVVVAAPAAATAHRFRPRYDSAVSPGAKRELEHEARCGPRVPVRRGFPWPEWKPNCRREHGVAGAGGLGRRPWDEP >ORUFI10G16300.1 pep chromosome:OR_W1943:10:17295040:17302772:1 gene:ORUFI10G16300 transcript:ORUFI10G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSATTLSPLASHPPLSGLHLCPRCRRLVLRLRALPAPDASPSARSLRLLEWGKVCDAVASFAGTAHGRETTKTQLWEVEDVSYEQSRRLLEETGAAVRLIDSAGGGIDFSGLDTVMVESAIHGVSGGAVIKGQEAMAIVSLMLFVESLQVTIKAAMKQDEDSHERLISLTETILDADINKSLVKSIQDVIDDDGSIKDTASPELRRYREQVQVLESRLYQLMDKLVRNADNEASVSEVCIVNGRCCIKVTGDKSSPFDGLLLSSGTDAGSMVEPIVAVPLNDELQQARALVAKAELDALSKMTDKILLELDNIRILLQATVELDKVAARAKYSIAYDGTYPDLYLPNFVNGTVSTATGGSISTISSAHLSKKAWKLCMPNAYHPLLLQQHQENLHRAKKDVASATAEIRRRRIYGQDNVEEDQLASDLDLMKIRVSQMEKDRPVPVDFFIAEGTTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIGVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTAHYIVHTFSNDLFENACMEFDEDNLKPTFRILWGIPGRSNAINIAERLGLPSDIIESSRQLLGTAGAEINALIMDMENFKQQYQHHLQEAQYYVMQSKELHNNLEVAQKNIIDHTSAQRKRKARVISEYAVMARSIIRKKFQQFRESAIAKRVLEEEKAVQNDKPERLKDPEPTSTPAVKKAQNTNISMATTTEGEDNGIPEVGDLVYVPKLKNEATVVKIDSSKNEVQVQAGIMKLKLKFKDVKIQKRISR >ORUFI10G16300.2 pep chromosome:OR_W1943:10:17295040:17302772:1 gene:ORUFI10G16300 transcript:ORUFI10G16300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSATTLSPLASHPPLSGLHLCPRCRRLVLRLRALPAPDASPSARSLRLLEWGKVCDAVASFAGTAHGRETTKTQLWEVEDVSYEQSRRLLEETGAAVRLIDSAGGGIDFSGLDTVMVESAIHGVSGGAVIKGQEAMAIVSLMLFVESLQVTIKAAMKQDEDSHERLISLTETILDADINKSLVKSIQDVIDDDGSIKDTASPELRRYREQVQVLESRLYQLMDKLVRNADNEASVSEVCIVNGRCCIKVTGDKSSPFDGLLLSSGTDAGSMVEPIVAVPLNDELQQARALVAKAELDALSKMTDKILLELDNIRILLQATVELDKVAARAKYSIAYDGTYPDLYLPNFVNGTVSTATGGSISTISSAHLSKKAWKLCMPNAYHPLLLQQHQENLHRAKKDVASATAEIRRRRIYGQDNVEEDQLASDLDLMKIRVSQMEKDRPVPVDFFIAEGTTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIGVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTNDLFENACMEFDEDNLKPTFRILWGIPGRSNAINIAERLGLPSDIIESSRQLLGTAGAEINALIMDMENFKQQYQHHLQEAQYYVMQSKELHNNLEVAQKNIIDHTSAQRKRKARVISEYAVMARSIIRKKFQQFRESAIAKRVLEEEKAVQNDKPERLKDPEPTSTPAVKKAQNTNISMATTTEGEDNGIPEVGDLVYVPKLKNEATVVKIDSSKNEVQVQAGIMKLKLKFKDVKIQKRISR >ORUFI10G16310.1 pep chromosome:OR_W1943:10:17309891:17312579:1 gene:ORUFI10G16310 transcript:ORUFI10G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGKSVIAEPSNVIYLSSILNTEGPIPSHKCDKNCQNEHVFGNMYRCKLTGTTHICDKNCNQRILYDNHSSLCRVSGQLFPLSPLEQQAVRGIRRKHEVDSNEGCSFKRRRGAQLHPSPFERSYTAVSPIPSQGLPGKLLNCRSVTLWEEKNYLHRTTWILLQPNLITTSRAEQHDFQFQVDEEAAAAVIYTRSKHCRRLIGNDNCLQGFDGFPTT >ORUFI10G16310.2 pep chromosome:OR_W1943:10:17308693:17312716:1 gene:ORUFI10G16310 transcript:ORUFI10G16310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGKSVIAEPSNVIYLSSILNTEGPIPSHKCDKNCQNEHVFGNMYRCKLTGTTHICDKNCNQRILYDNHSSLCRVSGQLFPLSPLEQQAVRGIRRKHEVDSNEGCSFKRRRGAQLHPSPFERSYTAVSPIPSQVGDGMDLS >ORUFI10G16320.1 pep chromosome:OR_W1943:10:17314890:17322126:1 gene:ORUFI10G16320 transcript:ORUFI10G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAPLLRLPISPPSPPPAQTPPPLLACNSVNGVRLRPQRSRQPRRAAAAAATASLAADTERRKHELLRAVQETGRGFAASPDQRASIEEAIVSVEELGAGEGSPLDLAALDGTWRLCYTSASDVRVLFEAAERLPLLQVGQIYQKFECKDRSDGGVVRNVVRWSIENLLEEQEGATLMVSAKFAVMSKRNIFLQFEEVVVENIKISEQLQALIAPAILPRSFFSLQILQFLKTFRAQVPVNGPERRSPGGLYYLSYLDRDMLLGRSTSKVDEEVLPLFTFGNYSFGLWKPEGSVPNND >ORUFI10G16320.2 pep chromosome:OR_W1943:10:17314423:17315143:1 gene:ORUFI10G16320 transcript:ORUFI10G16320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEEPRRCLLAPPSAARPPTPPLRLPPAARRCCSPLEMTAGVWTLPDQTGPSSGSGQATAIHSTPP >ORUFI10G16330.1 pep chromosome:OR_W1943:10:17336585:17336875:-1 gene:ORUFI10G16330 transcript:ORUFI10G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKVAAMDAKEAEEVMRRNAKLEAAAAEAAAREARLRRELEAALARLAVAEEGEERLCVQLGELEAEAMAQAVEYQQRVRELSDRLAFVDGILRP >ORUFI10G16340.1 pep chromosome:OR_W1943:10:17356996:17361075:1 gene:ORUFI10G16340 transcript:ORUFI10G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFSVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVMFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISRAEYEESGPAIVHRKCF >ORUFI10G16350.1 pep chromosome:OR_W1943:10:17362806:17366264:1 gene:ORUFI10G16350 transcript:ORUFI10G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTLSPGRKAAHARTASHPCHYPELARLDGGVRELMSWTATSRSGGEGSSGLALVEAVLAALGEVLELPVAVAALHGGEAAAAARDDAFLVLADAYGTFESALLALRESVAGARCGARRGDGAAVAAALRARRRTEKELCRLAGAMRQAASRTPAADATTGDGVGDAVADAAAAIAAASEAVFLGCAAMSPDMSSSMASSPLSKNWLARLHLHVVPASKKVSPATATAAALERLEECIGELESGSEKVFRRLLQTRVSLLNIHNPLPAAARSASLPREHSHPVVARLDGGISALRSWSAAAARLSGVDGDGRCDGLALVEDVLAVLGELLGLPQAAAAIHRAGGGAACERALDGFLALADAYGTFESAVLALRQSAAELRAGARRGDGATVATALRAHRRTERELCRLAAAMRHAVRRTPAAASRTANDADGEVVGIVAEAAAVTAAASEAILLRCAAMSRDVPAMVQTAASHKWAWLGVTRAAKKAASPALEKLEELEECIGEMESGSEKVFRRLLQTRVSLLNIHNPL >ORUFI10G16360.1 pep chromosome:OR_W1943:10:17367701:17368828:-1 gene:ORUFI10G16360 transcript:ORUFI10G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPARLLLARGKTTAAQHVAARHLDHTFEKLAAAHLPLVAAAPLVDALRASPAEPLALPGLARRLPLRLHRRGALHFLRLFPRVFDLRAPLPLSLSLTAPAAELLAVAASPAAAAHALHRLLAMSASRSLPLRAVFRVWRELALPDDFEVSVVADHPNLFHLAPNPAEPNTHILHLVADPATEEFTPAVDKTRPEKHAFKLQFPPGFRLTKEYRKKVKEWQQLPYISPYEVSIQKGVGSKRVSKMARKKMEKRAVGIAHEFLSLTVEKMVEVEKFSQFRKWFGIEVNVRDVFLDHPGIFYLSAKGKRHTVFLREAYDRGKLIEPNDVSEARRKLVELMLLRRRGLGNANSNANMASRACAGAKEDTSDFQEEEI >ORUFI10G16370.1 pep chromosome:OR_W1943:10:17371630:17376601:1 gene:ORUFI10G16370 transcript:ORUFI10G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEYPASPKAQQLQESKKQRLTYILVVSALCVAFYVLGAWQNTTVPKPAASSAITKVGCDPAAAGQSSAVPSFGSASQESLDFEAHHQLSLDDTGAEAAVQPFPACPLNFSEYTPCEDRKRGRRFERAMLVYRERHCPGKDEEIRCLIPAPPKYRTPFKWPQSRDFAWFNNIPHKELSIEKAVQNWIQVDGQRFRFPGGGTMFPRGADAYIDDIGKLISLTDGKIRTAIDTGCGVASWGAYLLKRNILAMSFAPRDTHEAQVQFALERGVPAIIGVMGKQRLPYPSRILRPGGYWILSGPPINWKTHYKGWERTKEDLKEEQDNIEDVARSLCWNKVVEKGDLSIWQKPKNHLECANIKKKYKQMEACVTPLPEVSNQGEIAGGALERWPQRAFAVPPRVKRGMIPGIDASKFEEDKKLWEKRVAYYKRTLPIADGRYRNVMDMNANLGGFAASLVKYPVWVMNVVPVNSDRDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITNILLEMDRILRPEGTAIIRDTVDVLTKVQAIAKRMRWESRILDHEDGPFNPEKVLVAVKTYWTADESEQH >ORUFI10G16380.1 pep chromosome:OR_W1943:10:17381865:17382242:-1 gene:ORUFI10G16380 transcript:ORUFI10G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKHQHQQQQQQQGGMVVVAPKGCVTVRVGAEGEEQRRFAVPLAHLKHPLFGALLEEAEREYGFAQRGAIAIPCRVDRFVHVEHLIVQDLHGAAASHLLDLDSSSHHHTQIHLHLPRFAGCFRA >ORUFI10G16390.1 pep chromosome:OR_W1943:10:17391215:17396187:-1 gene:ORUFI10G16390 transcript:ORUFI10G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYARNVPVDGEGGGGVVTTTTTTTISVSASAAGEEVEVGRGGGGGGGRRSGRPSPAGTPRRRGATPARSSVAGSPWAGSPLPEGIAPSPAPSATTPRRFFRRPFPPPSPAKHIKASLARRLGQRSPATAQAQQAAKPPAEVPIPEHGGGGGAAAGEVERELDKSFGYDRHFAAKYELGKEVGRGHFGHTCLARARKGDMRGQLLAVKVISKAKMTTAISIEDVRREVKILKALSGHSNLVKFYDACEDALNVYIIMELCEGGELLDRILSRGGRYTEEDAKVIVEQILNVVSFCHLQGVVHRDLKPENFLFSTRDDHSPMKIIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSTEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDAPWSSISPEAKDFVKRLLNKDYRKRMTAAQALSHPWLRDECRPIPLDMLVFKLIKAYLRSTPFKRAALKALSRAITEDELIYIRAQYNLLEPSSTDGRLCIENFRMALLQNSTDAMKESRALDILNALEPLAYRRMDFEEFRAATISPYQLEALSRWEEIAGTAFEYFEQEGNRPITIEELAQEMNLSSAAYSIVRDWIRPADGKLSFLGYTKFLHGLTMRSSNARRHH >ORUFI10G16400.1 pep chromosome:OR_W1943:10:17409379:17413011:-1 gene:ORUFI10G16400 transcript:ORUFI10G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGCKVAAAFGEDDDSGDGCTLPSVRSGVRGGADPVAARQQRRRAEAGGDQALYNGFGAAGMHGAAVMQPPTFGQIQGLELRLRSFYEVENFSSPPIKRDDSLARD >ORUFI10G16410.1 pep chromosome:OR_W1943:10:17415609:17417733:1 gene:ORUFI10G16410 transcript:ORUFI10G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSLLPHAASLFAVSMASLMIAAVLSIVRRPWPWKTAAISREAVLRLLGVRLGDVPTTVVRDGAVAVDALVRRADAFSDRPAGGGATSIVSGGRAHNINTVPHGPLWVALRRNLTSEAFHPDVSASAARSAGGGAGVVVPVRDCLYAALFALNVATCFGDGVDGELVGAMRAAQQEFLRFLPRARVFSTFQKAARLVYPDRWKQLLRHRRRQEEMYLPLIRAINEQRRTRGTPSPPPPTTYVDTLLYLEVPADDGRRRRKLSDGEMVGLVSEYLGAATGTVVAQLEWALANLVRRPDIQTRLCGEVEAAAGGEPCAYLRAVVMECLRRHPPVSSVQRHMVRDVMLGGAHVARGNVVSFAIEEIGRRQHGMHEKLGAALPHRIFFPVSQLHYQIWTSSEEFSPERFMEGGEEGVRLAIGSKQEATTKVKMMPFSAGRRTCPGMGYAILHLEYFLANLVTAFEWRRVPWEEEVDLTADYGFITTMQHPLRALVVPLSNDRSTVV >ORUFI10G16420.1 pep chromosome:OR_W1943:10:17428683:17431865:-1 gene:ORUFI10G16420 transcript:ORUFI10G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLPATAAALLVLVLQLMWSAEAQVAVGSGPPAGCPDRCGNVSVPFPFGIRDGCSLEGFGLTCNTTSNPPRLMIGNSTLQVVNISLANSTLRAVDIAGAVNITYGQIDGNGTWVGVVASPYIVNETLNQLLVTGCNIQVTLVGSGGNVISGCSSFCSINDMYTGGVFRSPGNKCAGIGCCQQQVSIGRPSYRVELTNLDKGREFSGRVPEAVRIAELGWFDGLAADLLNESLADTSRRTPVPVVLDWAVASTGLDVTLNAGLNKQAANNWSCPTAGSGRKSACISSNSFCRNIADNYRSGYVCRCDKGYDGNPYVAGGCQDINECERARENGCFGECTNTPGAFLCRCQHGARGNATIPNGCTKSNLGLTIGVGIGSGAGLFILALGAVFLTRKIKQRRARTLRQKFFKQNRGHLLQQLVSQKADIAERMIIPLAELEKATNNFDESRELGGGGHGTVYKGILSDLHVVAIKKSIVTVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYYHLHVEGPTSLPWEDRLRIATETARSLAYLHSAVSFPIIHRDIKSHNILLDGSLTAKVSDFGASRCIPAEQNGVTTAIQGTLGYLDPMYYYTGRLTEKSDIYSFGVVLMELLTRKKPYSYRSAEDESLVAHFSTLHAQGNLGDILDAQVMEEGKKEVNDVATLAVACAKLKAEERPTMRQVEMTLESIRSSSLQQEVLHSVSTKKSKEHHVSWNHAISEGTNLETTRQYSLEEEYLLSSRYPRDVGSSGNLCDGAVIGRNRFPGTQCRRR >ORUFI10G16430.1 pep chromosome:OR_W1943:10:17432529:17435980:1 gene:ORUFI10G16430 transcript:ORUFI10G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRVEKVFCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPERESTEIEIFGMQGIPPDVLAAHYGEEEDPSSKVAKVEVPSLRPPVMPNPAGMVYPPRPAYGVAPPMYNPALNPLMARPPIWPAPPPQPWFTQPVVSVPQMASGLAPQQPLFPIQNMPAPMTSAPANLLQTSFPMAHVGVPSPVTPQVSQPLFPVSTSAGNGAVSSPYVASVAPGSIPTSSPSVAPAGVGYAATNQGTGGPAAVPPPASNNKAPATQPGANEVYLVWDDEAMSMEERRLSLPKYQVHDETSQVEGTNPVVYVLNVRDTSLRTYLIVNFDKWDVKFVNFRLFLNIRYQVI >ORUFI10G16440.1 pep chromosome:OR_W1943:10:17437594:17439300:1 gene:ORUFI10G16440 transcript:ORUFI10G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAMEEGSSYLRPLHFFSIFVAFASFSQCGARVLRPDELLLDHYYYYHSSSSDPYYSTPILPPYGDAFSPPNPPPPPPPMSPSCLLPPIIPAPTFTYSSPPPPPLYYPPPPDISPSPPPSVTPLPPVVYPSPPEVTPSPPEIAPYPSPPEIVPSPPEITPYPSPPEIVPSPPEITPYPSPPEVVPGPPEINPYPSPPKIVPSPPEITPYPSPPEIVPSPPEITPYPSPPEIVPSPPEIAPSPPTVTPMPPIIYPSPPEVTPGPPEITPYPSPPEVTPGPPEITPYPSPPEITPSPPEITPYPSPPEVVPSPPEITPYPSPPEVTPSPPEITPYPSPPEIVPSPPSYEPSPPSYEPSPPEYAPEPPVYAPYPPGIFPSPPEYSPEPPSYVPSPPQYAPQPPSYVPSPPEYAPEPPVYAPYPPGITPSPPEYAPEPPPGPPGGGGGDLPPGGFPPPDAARGGAWRSRRCRRG >ORUFI10G16440.2 pep chromosome:OR_W1943:10:17439253:17440540:1 gene:ORUFI10G16440 transcript:ORUFI10G16440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYACGSGADCDSIQPSGPCFRPDTMIAHASYAFNSYWQRAKSNGATCDFGGTAMLITKDPSYGGCHYSTM >ORUFI10G16450.1 pep chromosome:OR_W1943:10:17439317:17445685:-1 gene:ORUFI10G16450 transcript:ORUFI10G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAGFLSSLLGGGEEVPPEPAATVRSILIYPIKSCRGISVPQAPITSTGFRWDRQWVVVNSKGRAYTQRVGGFLGGGAPPRRFLLGTPGMDPLKIPLSAEQAIINDVSVWEWSGSAYDEGAEAAEWFSSYFGNPSRLVRFKEGSEIRPTNPDYAQGYKIMFTDCFPFLMASQGSLDALNEVLKEPVPMNRFRPNILVDGCHPYSEDLWKTIKINKLTFQGVKLCNRCKVPTINQENGILGTEPTETLLTFRSDEVLRPSHKNKRQVYFGQNLVCKESLSAKDEGRIIKVGDPVYMKFQHEQGGHSGNCSEKKKKKKLTWILGDEHGGAAKVAGGAVGLGALPVAVEGEAWAIMVSGRKHGPDGWMESQSAPEPHA >ORUFI10G16450.2 pep chromosome:OR_W1943:10:17442277:17445685:-1 gene:ORUFI10G16450 transcript:ORUFI10G16450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAGFLSSLLGGGEEVPPEPAATVRSILIYPIKSCRGISVPQAPITSTGFRWDRQWVVVNSKGRAYTQRVGGFLGGGAPPRRFLLGTPGMDPLKIPLSAEQAIINDVSVWEWSGSAYDEGAEAAEWFSSYFGNPSRLVRFKEGSEIRPTNPDYAQGYKIMFTDCFPFLMASQGSLDALNEVLKEPVPMNRFRPNILVDGCHPYSEDLWKTIKINKLTFQGVKLCNRCKVPTINQENGILGTEPTETLLTFRSDEVLRPSHKNKRQVYFGQNLVCKESLSAKGKGRIIKVSDPPWIRQAAAATVKSIVVYPIKSCRGISVPQAAITSTGLRWDRPWLVMNSAGRAFTQRVEPKLALIEV >ORUFI10G16460.1 pep chromosome:OR_W1943:10:17446465:17454917:-1 gene:ORUFI10G16460 transcript:ORUFI10G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLLPLRRCLSTSTSSSATPTLYSSGTTPVSILSWGRGASGQLGGGKEERRLYPSPVAHLSLPDPAPVLSPTPGRLPDAAAAGTAAGGVEVGISCGLFHSAVVVDGGAWVWGKGDGGRLGLGDESSAFVPRHNPNLSELRVLALGGIHSAALTASGEVFTWGYGGFGALGHYVYHRELLPRKVNGPWEGKISHIATSGAHTAAITDSGELYTWGRDEGDGRLGLGSGGGPGEAGSLSVPSKVNTLPVSVAAVACGGFFTMALTSDGQLWSWGDEGVVLSWGHGGHGQLGHPTLQNHRVPLAIKALSEERIVYIACGGSTSAAISEKGDLYMWGNARDCQLGVPGLPEIQPLPVKVNFLTDGDEDLGPHRWEAGILARSSSSPAAFSIDKPRRRVFVFLDPLPQGFTASQLMLSFARTSFGGQTAHLPKSKSSGYKHFVSISSGLPCFLAIRRQSPGYSSVYTASFSPQHNQLSTDRSERELIDKDKLVLRGLQFHGFHGVKQEEKTLGQKFVVDVDAWMDLSAAGETDSISDTVSYTDIYRIAKDVVEGPSRNLLEAVAHRIASATLLKFPQISAVRVKVGKPHVAVQGIVDYLGVEILRRGVFFFTGASSWPETRMPPVNSDGAGPSSGEDGSAAAVKKRNKPKYNPFTQQELGACKPILIPQTVILVLVFVGLIFIPIGLACIAASNKVVELVDRYDTKCVPRNMLRNKVAYIQNSSIDKTCTRVFKVPKDMKKPIYIYYQLDKFYQNHRRYVKSLNDIQLRNPKKVADTQHCSPEATANGRPIVPCGLIAWSLFNDTYSFTRGHGNETLRVNKDGISWKSERNRRFGKNVYPKNFQNGTLIGGGQLNPSKPLSEQEDLIVWMRTAALPTFRKLYGRIDMDLQAGDRVEVTMQNNYNSYSFNGKKSLVLSTAGWLGGKNAFLGRAYAIVGLACFLLALLLALLYFVFPMREEHLSLHYTPARLVR >ORUFI10G16460.2 pep chromosome:OR_W1943:10:17449391:17454917:-1 gene:ORUFI10G16460 transcript:ORUFI10G16460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLLPLRRCLSTSTSSSATPTLYSSGTTPVSILSWGRGASGQLGGGKEERRLYPSPVAHLSLPDPAPVLSPTPGRLPDAAAAGTAAGGVEVGISCGLFHSAVVVDGGAWVWGKGDGGRLGLGDESSAFVPRHNPNLSELRVLALGGIHSAALTASGEVFTWGYGGFGALGHYVYHRELLPRKVNGPWEGKISHIATSGAHTAAITDSGELYTWGRDEGDGRLGLGSGGGPGEAGSLSVPSKVNTLPVSVAAVACGGFFTMALTSDGQLWSWGDEGVVLSWGHGGHGQLGHPTLQNHRVPLAIKALSEERIVYIACGGSTSAAISEKGDLYMWGNARDCQLGVPGLPEIQPLPVKVNFLTDGDEDLGPHRWEAGILARSSSSPAAFSIDKPRRRVFVFLDPLPQGFTASQLMLSFARTSFGGQTAHLPKSKSSGYKHFVSISSGLPCFLAIRRQSPGYSSVYTASFSPQHNQLSTDRSERELIDKDKLVLRGLQFHGFHGVKQEEKTLGQKFVVDVDAWMDLSAAGETDSISDTVSYTDIYRIAKDVVEGPSRNLLEAVAHRIASATLLKFPQISAVRVKVGKPHVAVQGIVDYLGVEILRYRKDIGGDRQELH >ORUFI10G16470.1 pep chromosome:OR_W1943:10:17455170:17458494:1 gene:ORUFI10G16470 transcript:ORUFI10G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKAPATTSWRWRLLLLLLLAVAALCWIPPAIAMAAAAAAATTSSGGRRSLLGFVEAQGNSSYRCSPSGPCVPCQYSEKSDEKYCCSETGYRLPLKCVEVQNVTKEGNNTKQRKVLDDASTSGGSKHYTTYRSCVPLEDEEKLSVLGFEVMMAGMLLISGPFVYYRKTRTAIMQGASRIPTSPPRF >ORUFI10G16480.1 pep chromosome:OR_W1943:10:17459663:17461821:1 gene:ORUFI10G16480 transcript:ORUFI10G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHGGHGHGGGDFRQKVWSMTGGPYCRPVHWRRNTAIAMFGVFLVCIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGKKEY >ORUFI10G16490.1 pep chromosome:OR_W1943:10:17462285:17468246:-1 gene:ORUFI10G16490 transcript:ORUFI10G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESARQRAARWSATGGRAQWANLARARRMAAAGEAGHGAARRPGAARTAMRPGRRDGWLDRRQCGRRPTSSPRPLPRRRDPVAACASPADAETASTSRSCDALEAERAVAELLREHGASPADAASIAARAPGYAAMLADGVRELDELGLWESWSSGAGAGREVEMAGLGFGRKVYYMGKAKSRRDRGVVPLLESVGVRLSSAKLIAPYVSAAGLPVLIDRIKFLKEILFSSNVYETLISRNAKRMMMHLSIPADESLQSTLSFFEKMEARYGGLNMLGHGDVSFPYLIESFPMLLLCSENNHLKPLIDFLQYIGIPKPRIASVLLSFPPIILSDVENDIKPRINAWEKDAAEVSMDSFNMCARKLWPNVNVLPKKKVLGVAMRSWPHILGCSTKRMNSIVELFDDLGISKKMLVPVVTSSPQLLLRKPNEIILFFKDMGLDKKTVAKILCRSPEIFASSVENTLKKKINFLIDFGVPKHYLPRIIRKYPELLLLDINRTMLPRINYLLDMGLSKKNVCSMIYRFSPLLGYSIELVMKPKLEFLLRTMKKPLKAVVEYPRYFSYSLEGRIKPRFCVLQSRKIDCSLTDMLAKNDELFAEEYLGIGRSLETDIQSSEAACFHMRKSTSAVLKHCEDSQPRTSSVMALRPPRPCPAGVQQRQLDVDGAGNAKTSPEQKLELLDLQEEDDELIFLDADDEEGRQLREKLESLEREFCLLDEQRDDALFQIHVLEETVRFREELVRRLTAVTPLVVAQLDEVVDEHHAVVTLGDGCERKMCVGVAGSLDRGLLKPSANVALNGRSLALVGVLPSDVAGCSAARFLVADADKPGVAYGDIGGCEAQKREVREAVELPLTHPELFAAAGVDPPRGVLLHGPPGTGKTMLAKAVARETSAAFFRVNAAELARHDGPRVVRDLFRLARDRAPAIVFIDEVDAIAAARQGDDGDGGGAGRHVQRVLIELLTQMDGFDESTNVRVIMATNRADDLDPALLRPGRLDRKVEFTAPESPDEKRLVLQTCTAGMSLDGDVDLDALAARRDKLSAAEIAAVCREAGMQAVRDRRGAVTADDFDKGYLAVVGKKPGDVATEFHFYN >ORUFI10G16490.2 pep chromosome:OR_W1943:10:17463912:17468246:-1 gene:ORUFI10G16490 transcript:ORUFI10G16490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRESARQRAARWSATGGRAQWANLARARRMAAAGEAGHGAARRPGAARTAMRPGRRDGWLDRRQCGRRPTSSPRPLPRRRDPVAACASPADAETASTSRSCDALEAERAVAELLREHGASPADAASIAARAPGYAAMLADGVRELDELGLWESWSSGAGAGREVEMAGLGFGRKVYYMGKAKSRRDRGVVPLLESVGVRLSSAKLIAPYVSAAGLPVLIDRIKFLKEILFSSNVYETLISRNAKRMMMHLSIPADESLQSTLSFFEKMEARYGGLNMLGHGDVSFPYLIESFPMLLLCSENNHLKPLIDFLQYIGIPKPRIASVLLSFPPIILSDVENDIKPRINAWEKDAAEVSMDSFNMCARKLWPNVNVLPKKKVLGVAMRSWPHILGCSTKRMNSIVELFDDLGISKKMLVPVVTSSPQLLLRKPNEIILFFKDMGLDKKTVAKILCRSPEIFASSVENTLKKKINFLIDFGVPKHYLPRIIRKYPELLLLDINRTMLPRINYLLDMGLSKKNVCSMIYRFSPLLGYSIELVMKPKLEFLLRTMKKPLKAVVEYPRYFSYSLEGRIKPRFCVLQSRKIDCSLTDMLAKNDELFAEEYLGIGRSLETDIQSSEAACFHMRKSTSAVLKHCEGLTRESKTWRLNS >ORUFI10G16490.3 pep chromosome:OR_W1943:10:17464315:17468246:-1 gene:ORUFI10G16490 transcript:ORUFI10G16490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRESARQRAARWSATGGRAQWANLARARRMAAAGEAGHGAARRPGAARTAMRPGRRDGWLDRRQCGRRPTSSPRPLPRRRDPVAACASPADAETASTSRSCDALEAERAVAELLREHGASPADAASIAARAPGYAAMLADGVRELDELGLWESWSSGAGAGREVEMAGLGFGRKVYYMGKAKSRRDRGVVPLLESVGVRLSSAKLIAPYVSAAGLPVLIDRIKFLKEILFSSNVYETLISRNAKRMMMHLSIPADESLQSTLSFFEKMEARYGGLNMLGHGDVSFPYLIESFPMLLLCSENNHLKPLIDFLQYIGIPKPRIASVLLSFPPIILSDVENDIKPRINAWEKDAAEVSMDSFNMCARKLWPNVNVLPKKKVLGVAMRSWPHILGCSTKRMNSIVELFDDLGISKKMLVPVVTSSPQLLLRKPNEIILFFKDMGLDKKTVAKILCRSPEIFASSVENTLKKKINFLIDFGVPKHYLPRIIRKYPELLLLDINRTMLPRINYLLDMGLSKKNVCSMIYRFSPLLGYSIELVMKPKLEFLLRTMKKPLKAVVEYPRYFSYSLEGRIKPRFCVLQSRKIDCSLTDMLAKNDELFAEEYLGIGRSLETDIQSSEAACFHMRKSTSAVLKHCEGLEYR >ORUFI10G16500.1 pep chromosome:OR_W1943:10:17474571:17484242:1 gene:ORUFI10G16500 transcript:ORUFI10G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAVDGGSTLWPSMNPPPVAAGAMTVARPGLEVESSSRPNKTLEQESLSHGVDGVSSSRRLPPPPPPPPPPPPRRRRRPPPPRARRRADRRRRGRRRPAPDDGMDQRLDHFSPTDHRQFKQRYYEFADYHAGGGPVFLRICGESSCNGIPNDYLAVLSKKFGAAVVTPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAAFRQHYQEILNARYNRSSGFDNPWFVFGVSYSGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTDFDKQVGDSAGPECKAALQEVTRLVDEQLRLDSRSVKVLFGAEKLKNDGDFLFFLADAAAIGFQYGSPDAVCSPLINAKKTGRSLVETYAQYVQDFFIRRWGTTVSSYDQEYLKNTTPDDTSSRLWWFQVCSEVAYFQVAPKNDSIRSTEINTGYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAASKIVFTNGSQDPWRHASKQKSSKYSDPSNCSSPAAVSTVRKQIASHISLWLSQCQEPTRAW >ORUFI10G16500.2 pep chromosome:OR_W1943:10:17474571:17484012:1 gene:ORUFI10G16500 transcript:ORUFI10G16500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAVDGGSTLWPSMNPPPVAAGAMTVARPGLEVESSSRPNKTLEQESLSHGVDGVSSSRRLPPPPPPPPPPPPRRRRRPPPPRARRRADRRRRGRRRPAPDDGMDQRLDHFSPTDHRQFKQRYYEFADYHAGGGPVFLRICGESSCNGIPNDYLAVLSKKFGAAVVTPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAAFRQHYQEILNARYNRSSGFDNPWFVFGVSYSGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTDFDKQVGDSAGPECKAALQEVTRLVDEQLRLDSRSVKVLFGAEKLKNDGDFLFFLADAAAIGFQYGSPDAVCSPLINAKKTGRSLVETYAQYVQDFFIRRWGTTVSSYDQEYLKNTTPDDTSSRLWWFQVCSEVAYFQVAPKNDSIRSTEINTGYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAASKIVFTNGSQDPWRHASKQKSSKYSDPSNCSSPAAVSTVRKQIASHISLWLSQCQEPTRAW >ORUFI10G16500.3 pep chromosome:OR_W1943:10:17474571:17484040:1 gene:ORUFI10G16500 transcript:ORUFI10G16500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAVDGGSTLWPSMNPPPVAAGAMTVARPGLEVESSSRPNKTLEQESLSHGVDGVSSSRRLPPPPPPPPPPPPRRRRRPPPPRARRRADRRRRGRRRPAPDDGMDQRLDHFSPTDHRQFKQRYYEFADYHAGGGPVFLRICGESSCNGIPNDYLAVLSKKFGAAVVTPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAAFRQHYQEILNARYNRSSGFDNPWFVFGVSYSGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTDFDKQVGDSAGPECKAALQEVTRLVDEQLRLDSRSVKVLFGAEKLKNDGDFLFFLADAAAIGFQYGSPDAVCSPLINAKKTGRSLVETYAQYVQDFFIRRWGTTVSSYDQEYLKNTTPDDTSSRLWWFQVCSEVAYFQVAPKNDSIRSTEINTGYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAASKIVFTNGSQDPWRHASKQKSSKYSDPSNCSSPAAVSTVRKQIASHISLWLSQCQEPTRAW >ORUFI10G16500.4 pep chromosome:OR_W1943:10:17474571:17484242:1 gene:ORUFI10G16500 transcript:ORUFI10G16500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAVDGGSTLWPSMNPPPVAAGAMTVARPGLEVESSSRPNKTLEQESLSHGVDGVSSSRRLPPPPPPPPPPPPRRRRRPPPPRARRRADRRRRGRRRPAPDDGMDQRLDHFSPTDHRQFKQRYYEFADYHAGGGPVFLRICGESSCNGIPNDYLAVLSKKFGAAVVTPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAAFRQHYQEILNARYNRSSGFDNPWFVFGVSYSGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTDFDKQVGDSAGPECKAALQEVTRLVDEQLRLDSRSVKVLFGAEKLKNDGDFLFFLADAAAIGFQYGSPDAVCSPLINAKKTGRSLVETYAQYVQDFFIRRWGTTVSSYDQEYLKNTTPDDTNFGGSKFAVRLPIFKWHPKTIAFALQRSIQASKIVFTNGSQDPWRHASKQKSSKYSDPSNCSSPAAVSTVRKQIASHISLWLSQCQEPTRAW >ORUFI10G16500.5 pep chromosome:OR_W1943:10:17468546:17484242:1 gene:ORUFI10G16500 transcript:ORUFI10G16500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVGAAVAAVAVLLLLAPGAEPIGLWLPPPTGGGGRLGGAAAPGRYLTQEERWMDQTLDHFNPTDHRQFKQRYYEFLDYYRAPKGPIFLYICGESSCNGIPNSYLAVMAKKFGAAVVSPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAVFRQYYQETLNAKYNRSGADSSWFVFGGSYAGALSAWFRLKFPHLTWVVLAVYNFTDFDKQVGDSAGPECKAALQEVTRLVDEQLRLDSRSVKVLFGAEKLKNDGDFLFFLADAAAIGFQYGSPDAVCSPLINAKKTGRSLVETYAQYVQDFFIRRWGTTVSSYDQEYLKNTTPDDTNFGGSKFAVRLPIFKWHPKTIAFALQRSIQASKIVFTNGSQDPWRHASKQKSSKYSDPSNCSSPAAVSTVRKQIASHISLWLSQCQEPTRAW >ORUFI10G16500.6 pep chromosome:OR_W1943:10:17468546:17474643:1 gene:ORUFI10G16500 transcript:ORUFI10G16500.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVGAAVAAVAVLLLLAPGAEPIGLWLPPPTGGGGRLGGAAAPGRYLTQEERWMDQTLDHFNPTDHRQFKQRYYEFLDYYRAPKGPIFLYICGESSCNGIPNSYLAVMAKKFGAAVVSPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAVFRQYYQETLNAKYNRSGADSSWFVFGGSYAGALSAWFRLKFPHLTCGSLASSGVVLSVYNYTDFDKQIGESAGPECKAALQETTKLVDGQLQSGRNAVKQLFGASTLANDGDFLFLLADAAAIAFQYGNPDALCSPIVEAKKNGTDLVETFARYVKDYYIGTFGASVASYDQEYLKNTTPPPAESAYRLWWYQVCSEVAYFQVAPKNDSVRSAKIDTRYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAGSKIVFANGSQDPWRHASKQKSSKELPSYLIECSNCGHCSDLSGCPQAPSHIEGDSSNCSSPEAVNKVRKQIVDHIDLWLSECQEQGLQIRTTLE >ORUFI10G16500.7 pep chromosome:OR_W1943:10:17468546:17484040:1 gene:ORUFI10G16500 transcript:ORUFI10G16500.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVGAAVAAVAVLLLLAPGAEPIGLWLPPPTGGGGRLGGAAAPGRYLTQEERWMDQTLDHFNPTDHRQFKQRYYEFLDYYRAPKGPIFLYICGESSCNGIPNSYLAVMAKKFGAAVVSPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAVFRQYYQETLNAKYNRSGADSSWFVFGGSYAGALSAWFRLKFPHLTWVVLAVYNFTDFDKQVGDSAGPECKAALQEVTRLVDEQLRLDSRSVKVLFGAEKLKNDGDFLFFLADAAAIGFQYGSPDAVCSPLINAKKTGRSLVETYAQYVQDFFIRRWGTTVSSYDQEYLKNTTPDDTNFGGSKFAVRLPIFKWHPKTIAFALQRSIQASKIVFTNGSQDPWRHASKQKSSKYSDPSNCSSPAAVSTVRKQIASHISLWLSQCQEPTRAW >ORUFI10G16510.1 pep chromosome:OR_W1943:10:17474880:17475284:-1 gene:ORUFI10G16510 transcript:ORUFI10G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPPSSDDDPLVPQFGRQIDSGVVAAAGGNLVALYAGPYRPASSSMGCYLVYDAAARSSSPPLSTVPGVPYSDSHSSPGRATVIAPAATGGGFMDGHSVLPPSTAEITITTWTLDLQDDHSTSTQNWTNREA >ORUFI10G16520.1 pep chromosome:OR_W1943:10:17492312:17501769:1 gene:ORUFI10G16520 transcript:ORUFI10G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGTGPGAELLSPGEAEWPPELRLPPPPPPHPPPPPPLEPAPPSTPQLRGEASPPPPPPPPVGPPGAAVVAAAARKEASASAEGFDDSHFLGSIMGAPAHQHQHQHQQPPAVGPPVVVKRKRGRPPKNRDGAAPPPPPKPVKKREDDEDVVCFICFDGGNLVVCDKKGCTKVYHPACIKRDESFFRSRAKWTCGWHLCSTCEKAVQYMCYTCTYSLCKGCIKQKPVKFFGVRGNKGFCDTCYSTILLIESKDDRAKAKVDFDDKNNWEYLFKLYWLDLKGKHSLTLEELVNAKSCWTVRSTSARREKEDSSNDLYDANFDLDASSDGASRKRRRNSFSGKRGRKRQNNGAESLPKRVQNEGMTFIGDTQWASSELLEFIGHMRNGDISYISQFDVQILLLEYVKQKNLRDPRRKSQIICDARLANLFRKPHVGHFEMLKLLEMHFHAKETVNGDGQKGIDSDSAQIDSGGYSDMPSKLCSDKRRKIHKKIERESPANLEDYAAIDMHNINLIYLRRSLLEDLIDDNGTFSDKITGAFVRIRTPCVGQKQDIYRLVKVLGTHKVAERYSVGKKTTDHALEILNLDKKEEECKRLRQSMKCGLITRLKVVLLIKIHYTGDIHEKAKIFQLLRVNDWLENEKQRLSHLRDRASETGRRKQYPFNLIKDLHAYGHHRLIYEAFNSYHHCEIIICMRIHFFMHTLLMEYVECVEKLQLLGTPEERARIINEDPEVHVDPCMSPDYESAEELDVKKAVDSTSRNGSDLLFLGRKGIETNSLQNHRQNCSATSHHTTTSPPTEGMTHGQGEEASFASSEIVSGDMEPEKVWHYKDPSGSVQGPFTLLQLSKWAAYFPRDLRIWLTFESEQNSLLLTEVLSKQQKDFIQPSPKVDNNRSTWEGVGQDRVDSSLTGNNSSSPIGYNVIYSSRLPSPSADYCASAREGPNLPSGTLPFMTSQKTQKDPQMLHGQAQHQGDYSCTIPSSVVSYRPAGSHDEWPPRGNIGEWNNSQDSGGMWSPTTPHMSRSNLEHQPDRCITKKQLQNDSKRNTLAGSAENLNSQMDFGSQKVHIPTPQQPERDLATSVGTSRQFEFKTCHQEGSHWSSTADSITHDGLQLSLASAKPESCSAVNPIRDGDSSSASRVPNQSGAHVYSPPHPATTNLSKSEETMNQCKSCEPEASNKSRKPDASHAPVNQHPKPESDPLLPDTQDFERTHPSPSTEHDTKEPLKDQSRSTSVAPEGSGTMAHGQSSIAFISEASGPLSSKIVGLQPPKDTSFLVEKDIKDGGSITQTEQQKEESTAFKKENVAVDPISDSEAIVSGVLESLTETYNLHEETALENFTPTSAEEEQPQCSTPIALSPWDETSDYQGEAVDSALWGVQDDQNNEMWSLSSPTPTLQPSGIGADTKGASCAIEEVIVAQGNSGVVEPSPALEKKRIEKVPSASIDRGVPEQVKPKSDAALSPEESRKPSGLQLSSTYLQGSTKSSGLPPSGTSLDGGIEPSGLLPSGTSLEWGTKISGVQSSASSLEGSKKAFVRQPSGSSLEGNTKASEKLQHGNHQVLRLLQGAQKHQVGSHHLARARNLSLIPHGVPAKAATRLRTNQQHQQLNTHQKPQGDKETTTQILLAGETLSETRAGIHPQAMRAAEAAILATTMTGTIRAANHGVVAQIIPGDQIIVKNMATVARRDHRRRGGNLKGGSVDTTRMATAGRALPASSSTVENALTFLYSPK >ORUFI10G16530.1 pep chromosome:OR_W1943:10:17504335:17508592:-1 gene:ORUFI10G16530 transcript:ORUFI10G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTLSQTQRYAAGALLALALRQAQIHQSVLLGSHGLDDAPAAAAAVPSLADPVDARHLWTHDSHGLLRPVLRFLEIDHKAWPGVETTAATSEPKHHIGAFLRKVFEDEDDGEAAAAERSEQELALAKAVEAMAMGLENDVVAADELIKATGSGGDGDEGWPWLASASSAGGSRTKDYRKMAVLYMLLSACVADVNMAEEGMGSPRIRRGYDARHRVALRLLATWLDVKWIKMEAIEIMVACSAMAAAREEEQSEGSESPRSRWENWKRGGIIGAAALTGGTLMAISGGLAAPAIAAGFTALVPTLHTLIPLIGASGFAAIATAAGHTAGSVAVAASFGAAGAGLTGTKMAKRIGCVREFEFNTIGENHNQGKPKYSHEMNTGFAIELMREGAMQTVLSGIVSAFAWPAYLVSAADFIDSKWSIAIDRADKTGKMLAEVLLRGLQGSRPVTLIGFSLGARVVFKCLEELALLGNNEGLVERAVMIGAPVSDKEELWESTRKMVAGRFVNVYSTNDWILGITFRASLLSQGLAGIQAVNVPGVENVDASELIVGHSSYLTLVNQILDQLELNTYYPVFYPSTPKCGTPKSK >ORUFI10G16540.1 pep chromosome:OR_W1943:10:17517114:17523362:-1 gene:ORUFI10G16540 transcript:ORUFI10G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMVKFTMEWLQDPLSLAIVVTVAVLIMRMQRRRAAPFPPGPKPLPIVGNMAMMDQLTHRGLAALAKEYGGLMHLRLGRLHAFAVSTPEYAREVLQAQDGAFSNRPATTAIAYLTYDRADMAFAHYGPFWRQMRKLCVVKLFSRRRAETWLAVRDESAALVRAVAASRGEAAVNLGELIFNLTKNVIFRAAFGTRDGEGHDEFIAILQEFSKLFGAFNIGDFIPWLSWADTNGINARLVAARTALDRFIDKIIDEHMERGKNPDDADADMVDDMLAFLAEAKPHAGKAAAAAAGAGDGADDLQNTLRLTRDNIKAIIMDVMFGGTETVASAIEWAMAEMMHSPDDLRRVQEELAAVVGLGRDVAESDLDKLPFLRCVIKETLRLHPPIPILLHETAADCLVAGYSVPRGSRVMVNVWAIARDRAAWGPDADAFRPSRFAAGAAAEGLDFRGGCFEFLPFGSGRRSCPGMALGLYALELAVARLAHGFNWSLPDGMKPSELDMSDIFGLTAPRATRLSAVATPRLTCPLY >ORUFI10G16550.1 pep chromosome:OR_W1943:10:17535597:17538034:-1 gene:ORUFI10G16550 transcript:ORUFI10G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAAAAVTLLRLPLARLSSHLRSLPPRPIPPPRLRVYTSHRLLSSLLAPSHVPAVSSLAEAVAAPDGEGVEVEEEEDEEEEAEARPTTFVLPRLPRPKLSVKERKELASYAHGLGKRLKSQQVGKGGVTPSVVAAFNDNLESNELLKVPLPFPHPLAL >ORUFI10G16560.1 pep chromosome:OR_W1943:10:17540002:17543445:-1 gene:ORUFI10G16560 transcript:ORUFI10G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQASKLREQVARQQQAVMKQFGGGYGADGAFADEAEAQQHSKLEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQVEIGNKLCEDGKKYGAENTCTSGSTLSKAALCFAKARSLMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQMKLRETSGNGDMISRLEAAESKLQELKSNMGVLGKEAVASMTAVEAQQQRLTLQRLIAMVESERSYHQRVLQILDQLEREMVSERQRIEGAPPPAVESSMPPPPSYEEINGVFMRNPTVAELVETVEFFLAEAIQSYRAESETELNLAAGDYIVVRKVSNNGWAEGECRGKAGWFPYDYIEKRDRVLASKVAQVF >ORUFI10G16570.1 pep chromosome:OR_W1943:10:17545223:17556018:-1 gene:ORUFI10G16570 transcript:ORUFI10G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPSSSASSRRSSSPFSAGSRRPPTSSSSSAGSYLTGRLMPRSYSTASSVSSSSHFFGGGGGSGGGSRSTTPGRRGSSSSSLVGPVPSPPSPVPFPSAEELVIEDTSRSGDSISVTIRFRPLSEREIQRGDEISWYADGERLVRCEYNPATAYGYDRVFGPKTTTEAVYDVAARPVVKGAMEGINGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSLIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVMYSQLNLIDLAGSESSKTETTGLRRREGSYINKSLLTLGTVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYAARNRMIDEKSLIKKYQREISSLKQELDQLRRGLIGGASQEEIMILRQQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNNIPALTDTSSHQRHNSVNEEDKVSTSQDSSMLVQNDSATKDSLSSASPDAVDEINQLRCASGDHSSIAGSGPDEMQGGITASDQMDLLIEQVKMLAGEIAFGTSSLKRLIEQSIEDPEGTKNQIDNLEREIREKRRHMRALEQKLMESGEASVANASMMDMQQTITKLTAQCSEKAFELELRSADNRVLQEQLQQKNVEINELQEKVLRLEQQLTTNTEASPEQCTEHELHDLKSKLQLKEAESEKLKYEHMKITEENRELVNQNSTLCEEVAYAKELASSAAVELKNLAEEVTKLSVQNAKQAKELLIAQELAHSRVPGRKGRSAGRGRDEVGTWSLDLEDMKMELQARKQREAALEAALAEKEHLEEEYKKKFDEAKKKELSLENDLAGMWVLVAKLKRGALGISDLNVDDRSINLADITNGTKENKADKNVAVVEKQLSDNTVKSLTAEEYRNPEFEPLLVRLKAKIQEMKEKETDSLGDKDGNSHVCKVT >ORUFI10G16580.1 pep chromosome:OR_W1943:10:17563998:17564389:-1 gene:ORUFI10G16580 transcript:ORUFI10G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLLRARGQCGSLLHPRRLCFSIAPVAAAKPEAVGTTGEAAAAPVEELAKSLQGVQGVEVFDLRGKAVPAVDLWKDRNFLSCFVRLIVFETIDDGCLHVCAVP >ORUFI10G16590.1 pep chromosome:OR_W1943:10:17566705:17572443:-1 gene:ORUFI10G16590 transcript:ORUFI10G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNGGGAAGMSSPVNGASAPATPGTPAPLFAGPRVDSLSYERKSMPRCKCLPAAVAEAWAPSAHGCVVEIPAPDVSLTRKLGAEFVGTFILIFFATAAPIVNQKYGGAISPFGNAACAGLAVTTIILSTGHISGAHLNPSLTIAFAALRHFPWLQVPAYVAVQVLGSICAGFALKGVFHPFLSGGVTVPDPTISTAQAFFTEFIITFNLLFVVTAVATDTRAVGELAGIAVGAALWIYLIAPTLGAVAGAGVYTAVKLRDENGETPRPQRSFRR >ORUFI10G16600.1 pep chromosome:OR_W1943:10:17588642:17591476:1 gene:ORUFI10G16600 transcript:ORUFI10G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEMSRAHGSPRSFFPVGNPFRVMFPGGAHLSRKLQELLASYEDALALSLRKLKPEAASDVLTLSWMRLAVDCLSELHTNIANLITDLELPVSDWDDKWVDIYLNSSVKLLDICIALSSELSRLDQGQLLLQYALHVLGSESGVPSQEQLKRAEPSLREWMELVGVRCARLVSCSATLQELAGNLSLMKVKNSAKGKVLMRALYGIESVTVFVCSIFVAVLSGSPKPLVELHVPEKFGWSQAFNDLHTAVSEELTRQLSGGSVAAVKELEEVEACARRLHVLASTSQLEEEAANLANAVSHTEEEVMSDSIAQEGDHHCGLKLADDTTREGGIVISESIAEGGTQEAEMKKDISYEKEVAMVERISYKEHQDSNVKQANGSSDESALVVPERTSVQESKEELLNCISSMSKSAEGLRHGLDSLSKRVGDFFQIVLTGRDALLCNLRISDAASKVAEVSS >ORUFI10G16610.1 pep chromosome:OR_W1943:10:17592105:17599072:1 gene:ORUFI10G16610 transcript:ORUFI10G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDATQWLLLLVVFLVAFLFTLLAKHGAVKRKHGVRVPPGPLAVPVLGSLVWLTHSSSANLEPLLRRLIARHGPVVSLRVGSRLSIFVADRRVAHAALVGRGAALADRPPDVTHSLLGESRNTITRSGYGPVWRLLRRNLVVETTHPSRVRLFAPARSWVRRVLVDKLADAGAHPASPPRVLEVFRYAMFSLLVLMCFGERLDEAAVRAIGAAQHDFLLYLGRKTSVFMFYPAITKHLFRGRVHLGLAVRRRQKELFMPLIDARRERKKQIQQSGDSAASEKKKDDNTTFNHSYVDTLLTIRLQDVDGDGDRALTDDEMVSLCSEFLSAGTDTTATALQWIMAELVKNPSIQSKLYEEIKATMSGDNDDEINEDDARNNLPYLKAVILEGLRKHPPMHLLLPHKAAEDVEVGGYLIPKGATVNFMVAEIGRDEKEWEKPTEFIPERFMAGGGDGEGVDVTGSREIRMMPFGAGRRICAALSVAMLHLEYFVANMVKEFEWKEVAGDEVDFAERLEFTTVMAKSLRNFDSNSEATKAQLTRRRSRAKARRRHGHGHLMAPAPRRSRPPARRPPRAAAPERRWQPPHPXSYGPVWRVLRRNLVAETLHPSRVRLFAPARSWVRRVLVDKLADGARPESEPPRPRVVVETFRYAMFCLLVLMCFGERLDEAAVRAIGAAQRDWLLYVARKTSVFAFYPAVTKHIFRRRLQIGLALRRQQKELFVPLIDARRARKNHIQQAGGPPVPEKETTFEHSYVDTLLDVSLPDTDGDSALTEDELVMLCSEFLNAGTDTTATALQWIMAELVKNPSIQSKLHDEIKSKTSDDEITEEDTHDMPYLKAVILEGLRKHPPAHFVLPHKAAEDVEVGGYLIPKGATVNFMVAEMGRDEREWEKPMEFIPERFLAGGDGEGVDVTGSREVRMMPFGVGRRICAGLGVAMLHLEYFVANLVKEFEWKEVAGDEVDLTEKNEFTTVMAKPLRAQLVKRA >ORUFI10G16620.1 pep chromosome:OR_W1943:10:17600489:17601316:-1 gene:ORUFI10G16620 transcript:ORUFI10G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCHVIYPFLLSQFSPHLGRQASRQVGEEVDRASRERRRFGGGGRRSSMRQRRRPRRSRLVGGAGKRRAERRRQLRPPHRPAGGPGKWWAKPATARAGAADQRSSPCRRRRAVGRHAAGEEGAAAVGRRRRRHVQTRQPPPYANARYSEAESNDGFYKALLGTAPNEAFALAMCYADRNWTECESCLRAAAIGMASLYPRSRAAAAMYDACLLRYSNASSSFAAANTTVVSAYSVRLRGGLHCCRRRHQRLELRQRRRAAAAAARPALGRGRRF >ORUFI10G16630.1 pep chromosome:OR_W1943:10:17602185:17609988:1 gene:ORUFI10G16630 transcript:ORUFI10G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVKNPSIQSKLHDEIKSKTSDDHDEITEEDTQKMPYLKAVILEGLRKHPPGHFALPHKAAEDMEVGGYLIPKGATVNFMVAEMGRDEKEWENPMEFMPERFLPGGDGEGVDVTGSKGIRMMPDGKGGGRGGGCRVPPGPLAVPVLGNLLWLWHSPADLEPLLRRLIARHGPVVSLGVGSRLSIFVADRRVAHAALVERGAALADRPEVTRALLGENGNTITRASYGPTWRLLRRGLVSGTLHPSTTRARVFAPARSWARRVLVGKLAAASGQAPHGVMDTLQYAMFCLLVVMCFGERLDEADVRAIATAQHDWIVYFATKMRVFAFCSTITKHLFRGRIKMALALRRRQKELFVPLINARRERKTRTQPTLPENGTTFEHSYVDTLLDLRLPEDGNRALTDKEMLYDEIKATVSDDHDEITEDDTQKMPYLKAVILEGLRKHPPGHFVLAHKAAEDIEVGGYLIPKGATVNFMVAEMGRDEREWENPMQFMPERFLPGGDGEGVDMTGSKRIRMMPFGVGRRMCAGLNTAMLHL >ORUFI10G16640.1 pep chromosome:OR_W1943:10:17610004:17631823:1 gene:ORUFI10G16640 transcript:ORUFI10G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREFEWKAVVGDKVDFAEKLSSPPSWRSHSARSWFKSYPSTMKPDIEDPQKPDQFTSSSDLNDGFVLRGTLTRFIHINMNVENVRMTYIVKRREYRKRPATVPENDTTFEHSYVDTLLDLRLPEDGDRALTDKAMNPSIQAKLYEEIKATVSDDHDEITEEDTQKMPYLKAVILEGLRKHPLGHFALPHKAAEDMDVGGYLIPKGATVNFMVSEMGRDEREWENPMQFMPERFLPGGDGEGVDMTGSKRIRMMPFGVGRRMCAGINTAMLHLEYFVANMVREFEWKAVVGDKVDFAEKLSSPPSWRSHSARSWCSGASIKRAFNQWLMLLLAFLVALFILLSLRKCGGRSRVPPGPLAVPVLGNLLWLSHSSADLEPLLRRLIAVYGPVVSLRVGSHLSIFVADRRVAHAALVERGAALADRPEVTRALLGENGNTISRGNYGPTWRLLRRNLVAETLHPSRARAAFAPARSWARRALVDGLVGGGAVLADAFRHAMFCLLVLMCFGEWLDEAAVRAIGDAQHGWLLHYATKMKVFAFCPAVTKHIFRGRIQTSLALRRRQKELFMPLISARRERKNQLAERAVPEKETTTFEHSYVDTLLDIKLPEDGGDRALTDDEMVRLCSEFLDAGTDTMSTTLQWIMAELVKNPSIQSKLHDEIKSKTSDDHDEITEEDTQKMPYLKAVILEGLRKHPPGHFALPHKAAEDMEVGGYLIPKGATVNFMVAEMGRDEKEWENPMEFMPERFLPGGDGEGVDVTGSKGIRMMPFGVGRRICAGLNTAMLHLEYFVANMVREFEWREIAGEEVDFAEKLEFTTVMAKPLRAQLYHPNTLAGQRDGARAHQQWLHDILLQDVRDPTLPHVDPGVLLALGMAIPELGDSGDGIEPCILCQRVRDDLERLGELPDALPTLMDNLAILTGVLLLPLLVFLRDVTSRRRRRLPPGPPAVPLFGNLLWLRHSAAELEPLLLKLFDKYGPVVTLRIGWRLSIFVADRHLAHAALVGAGATLADRPRTATSMLLGVSENHHALQLRRHVAAAPPQPRTLQQSRVDQFTPARVWARRVLMEKLRETTSGVSGGGGDAPPNVTEAFQHAVFCLLLLMCFGERLHEHAVRDIEDAERAWLLYISKKTSVFFFLPWITKHLFRGRLEAGHALLRRQKELFVPLIEARREYKKLASQGLPPARETTFQHSYVDTLLDVKIPEEGNRSLTDGEIVTLCSEFLNAGTDTTSTGLQWIMAELVKNPAVQEKLHAEINATCGDDGELLERSVRDKDNKMPYLNPVVMEGLRKHPPSHTLLPHKAAEDIDVGGYLIPKGATVNFMVAEIGRDEREWEKPMEFIPERFLAGGDGDGVDVTGSREIRMMPFGAGRRICAGLNVGVMHLEYFVGSMVMEFEWKEVAGDEVEFAEKLEFTTAMAKPLLVFLKL >ORUFI10G16650.1 pep chromosome:OR_W1943:10:17622857:17624482:-1 gene:ORUFI10G16650 transcript:ORUFI10G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAASPAQSRKTETYTDTKRRDDVRGLNIAAGRAVAAAARTSLGPRGMDKMISSSSSGGDQAVIITNDGATILSRMPLLQPAARMLADLSRSQDAAAGDGTTTVVVLAGSLLRRAQSLLSAGAHPTAAADALHLLAARAVEVLHGMAIPVELSDRDALVKSASTALNSKVVSQYSTLLSPLAVDAALAVVDPAHPYLLDLRDIRVVKKLGCTVDDTELIRGLVLNKKASHVAGGPTRIGDAKIAVIQFQVSPPKTDIEHSVVVSDYAQMDRILREERNYILGMVKKIKASGCNVLLIQKSILRDSVTDLSLHYLAKAKIMVVKDVERDEIEFITKTLNCMPIASIEHLREDKLGHAHLVEEISVGDGNNNKIVKITGIKNMGRTATVLVRGSNQMVIDEAQRSLHDAFCVIRCLVNKRFLIAGGGAPEIEMSMQLAAWAKELRGMESYCVREFAEALEVIPYTLAENAGLDPISTVTELRNRHAKGEKNAGINVRKGRITNILEENVVQPLLVSTSAVTLACECVRMILKIDDIVTVR >ORUFI10G16660.1 pep chromosome:OR_W1943:10:17634512:17634730:-1 gene:ORUFI10G16660 transcript:ORUFI10G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASPSIGIPMPPVREQRARRRKKGEKQRKRKSGKKAGADMDTLTCGTHVGPTLTQPPRRIKPESKPLKELK >ORUFI10G16670.1 pep chromosome:OR_W1943:10:17636669:17637028:-1 gene:ORUFI10G16670 transcript:ORUFI10G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLYRLFDVIFLNDRRDRVPVIISRASSRTSVHNALPCVHDHSTASYVRSAARLPRHHRLPDFGYIDHGYSTHGFSDHGSPGSFALATSTMAQRAIIRVEHSCRFILQSKCPRCSRLD >ORUFI10G16680.1 pep chromosome:OR_W1943:10:17637042:17637719:-1 gene:ORUFI10G16680 transcript:ORUFI10G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCYCVAACIFSPFPISDWVCVAHRLVDICASPTSASILLQLHRSASRIFGLITHSSAQLQRLVQPIIIEHASTPSSFGLPLRRPPRATVPLPVVHTSTVWPHHRWTSRRCIDIELRWSHYFAFVFVQHDSSPVSPYLPRLYFALLRQLRAAPAILPLRRSRAATILEAFSASLLRHWRIISGGPLPSPHGIGNTVVRVCPESSPGLANLV >ORUFI10G16690.1 pep chromosome:OR_W1943:10:17637895:17638143:-1 gene:ORUFI10G16690 transcript:ORUFI10G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDRSHVLADHPCRGSGGHSRPPFRPSPPGLLQPPRQPSSTSPPFSTPAHRGRECSSLSLPHGNFLPAPAALLLVSTTQTC >ORUFI10G16700.1 pep chromosome:OR_W1943:10:17639307:17640872:-1 gene:ORUFI10G16700 transcript:ORUFI10G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLVILAGVLLLPLLFLLRNAASSRRRRLPPGPPAVPLFGNLLWLRHSAADVEPLLLTLFKKYGPVVTLRIGSRLSIFVADRHLAHAALIAAGAKLADRPQAATSTLLGVSDNIITRAASARIITRSNYGAMWRLLRRNLVSQTLQQSRVDQFAPARVWVRRVLMEKLRGSGEEAPNVMEAFQYTMFCLLVLMCFGERLDEPAVRDVEEAERAWLLYISRRMSVFFFFPWITKHLFRGRLEAAHALRRRQKELFVPLIEARREYKRLASQGLPPARETTFQHSYVDTLLDVKIPEEGNRALTDDEIVTLCSEFLNAGTDTTSTGLQWIMAELVKNPAVQEKLYAEINATCGGDDELLERNVRDKDNKMPYLNAVVKEGLRKHPPGHFVLPHKAAEDMDVGGYLIPKGATVNFMVAEIGRDEREWENPMQFMPERFLDGGHGAGVDMHGTKGIKMMPFGVGRRICAGLNIAMLHLEYFVGSMVMEFEWKEVEGHEVEFAEKREFTTVMAKPLRPRLVPRRS >ORUFI10G16710.1 pep chromosome:OR_W1943:10:17641503:17643076:1 gene:ORUFI10G16710 transcript:ORUFI10G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWHVAVAAILVLIPFLRLILSRRGGRGGGKRGRLPPGPPAVPLLGSTVWLTNSLADAEPLLRRLIARHGPVVSLRVASRLLVFVADRRLAHAALVEKGASLADRPAMASTRLLGESDNLISRASYGPVWRLLRRNLVAETLHPSRVRLFAPARAWVRRVLVEKLRDENGDAAAPHAVVETFQYAMFCLLVLMCFGERLDEDAVRAIAVAQRDALLYLSSKMPVFAFFPAVTKHLFRGRLQKAHALRRRQTELFVPLINARREYKKRQGGANGEPKKETTFEHSYVDTLLDIKLPDDGNRPLTDDEMVNLCSEFLNAGTDTTSTALQWIMAELVKNPSIQSKLHDEIMAKTGGGGGGGQREVSEEDIHDMPYLKAVVLEGLRKHPPGHMVLPHRAAEDMEIGGYLIPKGTTVNFMVAEMGRDEKEWEKPMEFMPERFLAGGDGEGVDVTGSREIRMMPFGVGRRICAGLGVAMLHVEYFVANMVSEFEWKEVAGDEVDFAEKIEFTTVMAKPLRARLVPRRA >ORUFI10G16720.1 pep chromosome:OR_W1943:10:17646978:17648537:-1 gene:ORUFI10G16720 transcript:ORUFI10G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWQLFVPAIAILIPLLRLILFRRGDDGRRGRLPPGPPAVPLLGSTVWLTNSLYDAEPVVKRLMSRHGPVVSLRFGSQLLVFVADRRLAHAALVESGASLADRPSQAASARLVGEGDTMISRASYGPVWRLLRRNLVADTLHPSRAHLFAPVRARVRRLLVDRLREEHGEAEAAPRAVVETFQYAMFCLLVLMCFGEQLDEDAVRAIGAAERDTMLYMSSEMGVFNFFPAITRHLFRGRLQKAHALRRRKEELFVPLINSRREYKKNGGEPKKETTTFTHSYVDNLLDINLPEDGNRALTDDELVMLCSEFLVAGTDSTSAALQWIMAELVRNPSIQSKLYEEIKSKTGGGGQHEVSEEDVHDMPYLKAVVLEGLRKHPPAHMLLPHKAAEDMDVGGYLIPKGTIVNFMVAEMGRDEKEWEKPMEFMPERFLPGGDGEGVDVTSSKGIRMMPFGVGRRICPGLGIAMLHLEYFVANMVREFEWKEVAGDEVDFAEKREFNTVMAKPLRVRLVPRSTLE >ORUFI10G16730.1 pep chromosome:OR_W1943:10:17657306:17658037:-1 gene:ORUFI10G16730 transcript:ORUFI10G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTRYHPPTGYSFSTDAILIHATRLKASTRARPPPPPSPSPTAATLVPRRRRARPLLSPFSSTGDSCRWLAARRDAPPPTGCTVYLLGITQYLVSIIRYLVSGRPRVGMLLSPTGCPVISSRNHLIPGRYHPIPSKYHKSSVPDMIPREYHAIRGRNRLIPYRYHMIPHEYHTIRGKNRLIPDKYHMIPHEYHTIRGRNRMIL >ORUFI10G16740.1 pep chromosome:OR_W1943:10:17663513:17665063:1 gene:ORUFI10G16740 transcript:ORUFI10G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLYLILGAILAAAVVPFILFRGNGGKRGRRLPPGPPAVPLLGSVVLLTKALTDVEPELLLQRLIARYGPIVSLRMGTRVSVFVADRRLAHAALVEGGAALADRPGVPASRLLGENDNIITRAGYGPVWRLLRRNLVSETLHPSRARLFAPARYWVHRVIVDKLAASGQAPHDVVDTLQYAMFCLLVNMCFGERLDEATVRAVEDAQRDLLIYITSQMAVFAYFPAITKHLFRGRLEKIYALRRRQRELFMPLINARREYKKHGGETTKTTNKETTLEHSYVDTLLDIKLPEDGNRALTDDEIIKLCSEFLNAGTDTTSTALQWIMAELVKNPSIQSKLHDEIKSKTGDDQPEVTEEDVHGMPYLRAVVLEGLRKHPPGHFVLPHRAAEDVEVGGYLIPKGATVNFMVAEIGRDEREWAKPMEFIPERFLPGGDGEGVDVTGSKGIRMMPFGVGRRICAGLSFAMHHLEYFVANMVREFEWKEVAGDEVEFAEKREFTTVMAKPLRARLVPRRTT >ORUFI10G16750.1 pep chromosome:OR_W1943:10:17676788:17679054:1 gene:ORUFI10G16750 transcript:ORUFI10G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLWASSAANALKISCSGATRAAPAYSISRYFSTVLDGLKYSSSHEWVKNDGSVATIGITDHAQGHLGEVVFVELPEAGAKVSQGGAFGNVESVKATSDINSPISGEVVEVNDKLSETPGLINSSPYEDGWMIKVKPSSPSELDALLDPAKYTKHCEEEDAH >ORUFI10G16760.1 pep chromosome:OR_W1943:10:17681629:17683015:-1 gene:ORUFI10G16760 transcript:ORUFI10G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGFTSAVVGEGGFSTVYLARVAGALAAVKVHRSSERLHRVFRQELDALLRVRHPHIVRLLAFCEQQEEGVLVLEFAANGNLHERLHGGGKAAGTMPWARRASVALQVARALEYLHDRCEPAVVHGDVKASNVLLDEAMSAKLCDFGSARMGFSAAVRPRSSAHTMLGSPGYVDPHYIRSGMVTKKSDVYSFGVLLLELLTGMEAFCAAEGRLLTAVLAPRLRPASGAACDARMLVDERLGSAYDAGEASAVASLAASCVGENPSLRPSMADVVRALEQGAHGSISAVARRSDGHGKL >ORUFI10G16770.1 pep chromosome:OR_W1943:10:17690512:17696006:1 gene:ORUFI10G16770 transcript:ORUFI10G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVLRAAAAGIGHASGHRAPRWGAAAAAARWLSGGREAMSYDVVVVGAGPAGLAAAIRLKQLCRDADTDLSVCVLEKGSEVGAHVLSGNVFEPRALDELIPKWRQEDTPIRVPVSSDKFWLLTKNKAWTLPSPFDNKGNYVISLSQMVRWMASKAEELGVEVYPGFAASEILYDENQIVTGVATNDVGIAKDGSKRETFQPGVELRGDYLENAGRMTLLAEGCRGSLSEKIIRNHKLRESGQGQHQTYALGIKEVWEIEEGKHKPGSVIHTVGWPLDSKTYGGSFMYHLDDRQLAIGLVVALNYQNPFMSPYDEFQKFKQHPAVRTILDGGTVLQYGARTLNEGGFQSIPNPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEATFKTLVEGSSMELYWENLKKSWIWEELYRARNYRPAFEYGFIPGIALSALERYVFKGKSPFTLKHGIPDHEATDMASLHSPIQYPKPDGQISFDVPTSLYRSSTNHEHDQPPHLRLRDPTVPERVNLPLYAGPESHYCPARVYEYVTDEKGDQKLHINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYTVM >ORUFI10G16780.1 pep chromosome:OR_W1943:10:17696218:17696892:1 gene:ORUFI10G16780 transcript:ORUFI10G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRVFAPSISAATRLEAGGRRRHPPAAEKTIGLRRRYLSFAPQSSAATRSSSAVRMPQLHSSRFQGLRNSSNSSDSNHSQAGTNVLIHSGCAFCDPYGILQRIQYSAYSQDMCTCITVGSKQQTQRFSTQLLTTDTNCCWFQASEFRETRYFAFRSQVHNMLRKALINGINCSAIHPA >ORUFI10G16790.1 pep chromosome:OR_W1943:10:17698142:17698954:-1 gene:ORUFI10G16790 transcript:ORUFI10G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSSPSPSAEEPFRVFVGYDPREDEAYEVCRRSLLRHASIPVDVRPIRQPDLRAAGLYWRERGPTESTEFSFTRFLTPYLAGYRGWALFVDCDFLYLADIAGLLACLPSSDPDHRLAVACVKHEYAPAEATKMDGAIQTVYPRKNWSSMVLYNCGHPKNVAALTPDAVSTQTGAFLHRFAWLDDDEIGEIPFAWNFLVGHNKVDPADPSTQPKAIHYTSGGPWFERYRNCDFAELWIKEADELKADKEKQKQQQIVMANGEKEKDEEGN >ORUFI10G16800.1 pep chromosome:OR_W1943:10:17705141:17708407:1 gene:ORUFI10G16800 transcript:ORUFI10G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHIYSKGPFGRISLFNCRAALEKVPGIAATLQEAMSVAELAPEIVGQLFELCRRIPPSVQPSSNTAS >ORUFI10G16810.1 pep chromosome:OR_W1943:10:17711820:17716550:-1 gene:ORUFI10G16810 transcript:ORUFI10G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYYLEREGLTHNMPMHGHFYTPLPLNHWHADTTNAADMTRGPMRMWAPHVSGMNFIFFISKEKVLMRVEMVSSPDVLIIPSPPFPSGNTRGGGGGGGGSSMQQQQPGGGGGGVQQFGAVAPEMSPFSPAGGGGGGRISMAEAASPISSRPPPAQQQFDELGVGGGGGGGGGFDAEALAAAAVGEEGASGGAGGNRWPRQETLALLKIRSDMDAAFRDATLKGPLWEEVSRKLAEEGYRRSAKKCKEKFENVHKYYKRTKESRAGRNDGKTYRFFTQLEALHGTAAGVVAAPSPVTSLAPPPATAVGVSGGVRAPAEPPPAVVMGNMMSFSTSNTEEYSDEEDSDDEGTEDMGGGGGDERGKRKRLSEGGAAAGGGGGGGGGGGSGKMMRFFEGLMKQVMERQEAMQQRFLEAIEKREQDRMIREEAWRRQEMARLAREQEILAQERAMAASRDAAVVSFIQKITGQTIPMPPIIAAPAITVMPPPAPSQPPQPPPPPSHPTPITSVAPTPPPPPPAAAAAAASQPSPQATKSPLPATPQTQSSMDIVMTAAEAHDAGYDGSGGGGGQPSSSRWPKAEVHALIQLRSNLDNRYQEAGPKGPLWEEISAGMRRLGYSRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYRNKAALNSSSSAAAAAAPALPPPEHAEPAVTVAAPITQTPPPPPQPVTTTKNGNGTSSTNGANGEGGGGGSGGMQMQASNGSVVAGNKFFTGAAAKKPEDIMKEMMEQRPQQPAAANNAFNRTDGGGGGGGVDSDNMDEDDEDDYDDDDDDDDDDVDGNKMQYEIQFQHQHHHQQPPQHRHQQSVVRPNAAASAAAGGNPPGTAAPATAAAATTTTGSFLAMVQ >ORUFI10G16820.1 pep chromosome:OR_W1943:10:17737785:17740113:-1 gene:ORUFI10G16820 transcript:ORUFI10G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPHHAAQPPPPPPLPLPLPPRNCPLATAVLALLSVLLATALWVLLVLSPASHARGPAAGLSDAADAEAFAVAGGRGGGGGEGAEAPLSLGHIVFGIAGSAHLWPRRREYVRMWWDPAAMRGHVWLDAGAPAAPGPSASGEGSLLPPIRVSEDTSRFRYTNPTGHPSGLRIARIAAEAVRLVGGGGGGARWVVLVDDDTVVSADNLVAVLGKYDWREMVYVGAPSESHSANTYFSHSMAFGGGGVALSLPLATALARTLDVCIERYPKLYGSDDRLHACITELGVPLSREYGFHQWDIRGNAHGILAAHPIAPFISIHHLELVDPIYPGLNSLESLELFTKAMKTEPMSFLQRSICYDKRQKYTFAVSLGYVVQVYPYVLLPRELERSERTYIAYNRMSQRTEFDFDTKDIQKSLCKKPILFFLKDVWKDGNITRGSYIRASVRDDLKNKVFCFRSPPLPDIDEIQVSAFPLSKRWHLVCSNIPNNISYLVSTFTLANCRTTDIGTKKVMQCA >ORUFI10G16830.1 pep chromosome:OR_W1943:10:17744755:17745169:1 gene:ORUFI10G16830 transcript:ORUFI10G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMTSPHHLTDRGPVSPRSPFCCYRTSPFSRKLLGALWRMAEWIMKAQADKLTPLPFASPGTRTDEFYVAKTVQSSATSSTMGGPSSLPIPSLTGHTILPSSHLSRPTASSDTYPTSPSSFLGSGKSTS >ORUFI10G16840.1 pep chromosome:OR_W1943:10:17745865:17754558:1 gene:ORUFI10G16840 transcript:ORUFI10G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQKSDHHEQNLTSPRGLIHKVLRRTSSRRSPTAADHNPSPVFLETSNSKFLKQKDIDDAIKDPEKAGTHDNRIEDEKSDLLGYEICSGKLTLDNKAKSASGEQSGSGSSSNCFDARLTTEALVWGSNILKLEDIVSVSYHSGLRHFTVHACPLEKRSSGLSCFMKPRKIQKDLRFLSTSPHEAFRWVNGFADQQCYVNLLPHPMASSKKHSSELIPFDNMFDPCVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEVLNGLLCRDDQNVAVSVPIGIIPAGSDNSLVWTVLGVKDPISAAMSIVRGGFTPIDVFAVEWIQSGTIHFGTTVSYFGFVSDVLELSERYQKRFGPLRYFVAGFLKFLCLPKYSFELEYLPISDGGGGEHKIVEGQDKVDASDLYDDVVRRSRVECLPRASSLSSIDSIMSTGIMSGGDLEVSSPHANNEPSELVRALDPKSKRLSLGRTSTFKEPEEVIHPQAHVSSTPNWRRSKSKSRTEKAWPGSGATNDANSSRGTTTHDKEDASSTISDPGPVWDSGPKWDDEPKWDNQPNWEPETPIDLPGPLDDIELGLTKELVPSLDERWTVRKGRYLGVLVCNHSCKTVQSLSSQVIAPKAEYDDNCLDLLLVGGSGRLRLLKFLVLLQFGKHISLPNVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKNPVQ >ORUFI10G16840.2 pep chromosome:OR_W1943:10:17747898:17754558:1 gene:ORUFI10G16840 transcript:ORUFI10G16840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQKSDHHEQNLTSPRGLIHKVLRRTSSRRSPTAADHNPSPVFLETSNSKFLKQKDIDDAIKDPEKAGTHDNRIEDEKSDLLGYEICSGKLTLDNKAKSASGEQSGSGSSSNCFDARLTTEALVWGSNILKLEDIVSVSYHSGLRHFTVHACPLEKRSSGLSCFMKPRKIQKDLRFLSTSPHEAFRWVNGFADQQCYVNLLPHPMASSKKHSSELIPFDNMFDPCVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEVLNGLLCRDDQNVAVSVPIGIIPAGSDNSLVWTVLGVKDPISAAMSIVRGGFTPIDVFAVEWIQSGTIHFGTTVSYFGFVSDVLELSERYQKRFGPLRYFVAGFLKFLCLPKYSFELEYLPISDGGGGEHKIVEGQDKVDASDLYDDVVRRSRVECLPRASSLSSIDSIMSTGIMSGGDLEVSSPHANNEPSELVRALDPKSKRLSLGRTSTFKEPEEVIHPQAHVSSTPNWRRSKSKSRTEKAWPGSGATNDANSSRGTTTHDKEDASSTISDPGPVWDSGPKWDDEPKWDNQPNWEPETPIDLPGPLDDIELGLTKELVPSLDERWTVRKGRYLGVLVCNHSCKTVQSLSSQVIAPKAEYDDNCLDLLLVGGSGRLRLLKFLVLLQFGKHISLPNVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKNPVQ >ORUFI10G16850.1 pep chromosome:OR_W1943:10:17755567:17755981:-1 gene:ORUFI10G16850 transcript:ORUFI10G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRCSSRRSRLSLQLPPPCLLIVLVAAAAMVAAAATGARAAWVDYPSGVPCGETIPVEQCDPGDAAANSACMDVCHYGGCRRGGECVSLGFGRGRGCHCKC >ORUFI10G16860.1 pep chromosome:OR_W1943:10:17779689:17784105:1 gene:ORUFI10G16860 transcript:ORUFI10G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVTTRAAPAMPFAPQPRTAGGGLLRRPSPPAAALRIAPPLFWPWQKVKVGPLSVSPMGFGTWAWGNQLLWGYQESMDTELQECFNLALKNGINLFDTADSYGTGRLNGQSERLLGKFIREYEGPMKPPDDVIIATKFAAYPWRLTSGQFVSACKSSLERLQLDRLGIGQLHWSTANYAPLQERALWDGLVEMYEKGLVRAVGVSNYGPKQLLKIHSHLSSRGVPLSSAQVQFSLLSMGDEQMELKTVCDSLGIRLIAYSPLGLGMLTGKYTTSNLPSGPRSVLFRQILPGLESLLTCLKRIAERKGKTMSQVAINWCICKGTIPIPGVKTGRHVEDNLGALGWRLSPAEVSELEAAAMESPKRMVQNIFQTA >ORUFI10G16870.1 pep chromosome:OR_W1943:10:17783460:17784020:-1 gene:ORUFI10G16870 transcript:ORUFI10G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQEGALMLLGPTMNAKVAFELSERLPHLPLRMQEHSRRAAEYASRMRRLGLRVAYPGLPDHPHHARLLAIANPGYGAGGMLCVDMGTEDRANRLMHHLQNTTRFGLMAVSLGYYETLMSCSGSSTSSEMPPEDRARAGISPGLVRMSVGYNGTLEQRWAQFERALSLMQQQHPDRDAAAKYCKV >ORUFI10G16880.1 pep chromosome:OR_W1943:10:17792609:17792839:1 gene:ORUFI10G16880 transcript:ORUFI10G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGRGSERLQDGEDLDVRRQRRGGLDGRRPRCATAAARQPGCASMCDGSGMAASMRDGSGSGGLSFPPFCYEFC >ORUFI10G16890.1 pep chromosome:OR_W1943:10:17793136:17793375:-1 gene:ORUFI10G16890 transcript:ORUFI10G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSSICRRHIEAVEPPSLIKAVAHRGCCRRCASSLPRRRRRASSLPLLSRIEAVAPLPSCIEPALLGGNQFEFAALI >ORUFI10G16900.1 pep chromosome:OR_W1943:10:17800308:17800871:-1 gene:ORUFI10G16900 transcript:ORUFI10G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTTTVTTGTAAAAAAAEVGKEREGAEVVSGAVECFKQSMELMRALGFPEGMMPLRGLEECGLVRETGFVWMRQKAPYEHYFRGTGTRVRYDVEVTAFVEEGRMKRMTGVRSKQLMLWVPIVEMSLDGADRVYFKSNVGIGRSFPASAFADEPAAAAAFLKEADAAAAAAEKEAAATATAVTANE >ORUFI10G16910.1 pep chromosome:OR_W1943:10:17802534:17805951:1 gene:ORUFI10G16910 transcript:ORUFI10G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAWGLVERAAAGLLGPLGGAHGGGRWNTAVAVGVTAAAGLVLVVIVVSSRRGGLKSPWWRRRRKAALKPHEWVSLFTPEGKLKDGGVKLLKKVRSGGIEPSIRAQVWPFLLGVYSLGSSESERDAVKAQNRKGYLLLRNHCLRKSVYINEENKQSNEAAGAKHVECVSSEKGEDTVNPAGSEEVPDKSSVEEHLVGDDTVGPVVSEEVAEKSFVEDHLVSEEENPSANPGEEVQDDTSKTSPEKLTDGNHSSSSSSSEEESESSGLTHVETSHMVVASVQQSLTEDEQESIPRYSNTGGNMENDSELSKAARPVKSVRTIEDFETWQRIIRLDAVRANDEWVSYSPSQAVVSREKAIESAKAVCLKDYEHLEPHRIRHAARLVPILEAYAIYDPEIGYCQGMSDLLAPLLAVLEDDNEAFWCFTGFMRKARHNFRLDEVGIRRQLNMVARIIKYKDFHLYRHLEMLQAEDCFFVYRMVVVMFRRELTFEQTLCLWEVMWADQAANRAGIAKSSLGKLRLGAPPTDDLLLYAIAASVLQKRKLIIESYSSMDEIIRECNSMAGQLDIWKLLDDAHDLVTTLHGRIE >ORUFI10G16920.1 pep chromosome:OR_W1943:10:17806459:17808830:-1 gene:ORUFI10G16920 transcript:ORUFI10G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQVNGGLGSGCAASQIPRGFRRRRESGACPDRVTPPHSAPLPPRPRAPRRLPLPPTTSQRCRAWAWAADMAGEKKVFGFEEVAGHNVTKDCWLIIAGKVYDVTSFMDEHPGGDEVLLAVTGKDATNDFEDIGHSESAREMMEKYLIGEIDASTIPVKRTHVTPQQAPGNPDKGDDMLIKILQFLVPILILGLAFAIRQYTKSE >ORUFI10G16930.1 pep chromosome:OR_W1943:10:17809133:17814807:1 gene:ORUFI10G16930 transcript:ORUFI10G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPAQVRTARGGAADGVEVGVEEEEEPPRSATVKQEEANAVLGAEGSRPFAMRELKEDHEVAAGSGVKAASGERNGVGSADAQGSSYSQESMQQFSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYISAIERNHDDPDAYYNWALVLQESADNVDPNSSSSKDALLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAILNYEKAVQLNWNSPQALNNWGLGLQELSAIVPAREKQTIIKTAISKVDAISITVRESFLMIVSSINYWAVDAPLLMSWQFRAAIQLQFDFHRAIYNLGTVLYGLAEDTMRSGKPGVSASKFYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKVGYLIAPPENSAIAPHKEWERSQFVLNHEELQQVNASDQPPSQSPGHVDSGRKLFRIVVADIVSVSACADLTLPPGAGLRIDTIHGPRFLVADNWETIDSWLDAIRLVYTIFARGRSDVLAGIITG >ORUFI10G16930.2 pep chromosome:OR_W1943:10:17809133:17814807:1 gene:ORUFI10G16930 transcript:ORUFI10G16930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPAQVRTARGGAADGVEVGVEEEEEPPRSATVKQEEANAVLGAEGSRPFAMRELKEDHEVAAGSGVKAASGERNGVGSADAQGSSYSQESMQQFSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYISAIERNHDDPDAYYNWALVLQESADNVDPNSSSSKDALLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAILNYEKAVQLNWNSPQALNNWGLGLQELSAIVPAREKQTIIKTAISKYGLAEDTMRSGKPGVSASKFYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKVGYLIAPPENSAIAPHKEWERSQFVLNHEELQQVNASDQPPSQSPGHVDSGRKLFRIVVADIVSVSACADLTLPPGAGLRIDTIHGPRFLVADNWETIDSWLDAIRLVYTIFARGRSDVLAGIITG >ORUFI10G16940.1 pep chromosome:OR_W1943:10:17815347:17815673:-1 gene:ORUFI10G16940 transcript:ORUFI10G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTSLSASPLAAVILTTAQAGSSPQPESIGPLEKRFINGDGRIRAEDFSEGGAVNSQSARLALAHERHVGDVVGGDSTGCCYRRHGPIRELTLAGVLGALALGGEFH >ORUFI10G16950.1 pep chromosome:OR_W1943:10:17815561:17819021:1 gene:ORUFI10G16950 transcript:ORUFI10G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFSKGPMDSGWGELPACAVVRITAASGLADKDVNHMVFLCHHWANVLLEELTMASAK >ORUFI10G16960.1 pep chromosome:OR_W1943:10:17823933:17825234:-1 gene:ORUFI10G16960 transcript:ORUFI10G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGWQWLLPELLEEIAGRLVTEADHVHVHQVCAHWRASTALPSATRRPWLVAAIRPWRRMSPVGAYSVWHLDGVGHVDLRGASPPAGVPRCCGMPRGWLALTDDDELPTRAAGALGSHLRRGGRAPAAALRPPAVDGAREPAERATRRLAEDVLLASRGRVVEPHDATEAELLHLQDRERRLPRREADLRRLAAAPRRLRPQLHRRWDDATGAAGVQVQWLPSFAEVYKPPAAEWTAPAERWQLEFGEKVTDLGGYSVFLGRGEGFAVHAQDFPLIRGNCVYYARNDILYSLMSCREFHVLRNIMRGKELAAAGPILGCVSRGPSSLPQGVVSSDEDYFLNNSIASTVFTEKRKTELHQLLSSQINSYVGTLDFVSCDTNQAKFWMIETYSTFLFEKRNILSIKSRKNKLASCGCRN >ORUFI10G16970.1 pep chromosome:OR_W1943:10:17833758:17844765:1 gene:ORUFI10G16970 transcript:ORUFI10G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGSVKRTSSSGAAAAFTANPRDYQLMEEVGYGAHAVVYRALFVPRNDVVAVKCLDLDQLNNNIDEIQREAQIMSLIEHPNVIRAYCSFVVEHSLWVVMPFMTEGSCLHLMKIAYPDGFEEPVIGSILKETLKALEYLHRQGQIHRDVKAGNILVDNAGIVKLGDFGVSACMFDRGDRQRSRNTMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDRRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTMKGILTDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSMSEYQRGVSAWNFDVEDLKAQASLIRDDEPPEIKEDDDTARTIEVEKDSFSRNHLGKSSSTIENFFSGRTSTTAANSDGKGDFSFEAFDFGENNVDTKIMPNGYENARSESSSPSTSKQDPESKYWRSTSGQKQQTSGTPAVHSGGVNSSTTEKGHGVERDATVQLASDKLRTETRRATNLSGPLSLPTRYVDSLGDKSKRNVVEIKGRFSVTSENVDLAKVQEVPLSSLSRKSPQASPLKKSASVGDWLVNTKPMSNSHHVKELCNSSVSSSILIPHLENLVKQTMFQQDLIMNVLSSLQQNEKVDGVLSGISPQLRNTDSDTMVGSVNSEKERSLLVKISELQSRMITLTDELIAAKLKHVQLQQELNALYCREEIEDIRDDDNEET >ORUFI10G16980.1 pep chromosome:OR_W1943:10:17844114:17847123:-1 gene:ORUFI10G16980 transcript:ORUFI10G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQWEEPQHAGRRRRQPEPGKNLIKIPSYQEVFGSGASSSAAPPSYNPPPTTAASSSSSSSSFSQAFSFLKSTEFYSPPPPPPQTTTPSLRYHLLHPDYLYYRIRELQKNFKLRVILCHIDVEDVVKPLHEVTRTSLLHDCTLLCGWSLEECGRYLETIKVYENKSADSIREHMDNDYLSRLTHALTSIRHVNKTDVVTLGSSFGSLSQVMNASMEELARCPGIGERKVKRLHDTFHEPFKRVSSRPNIVVPDTPDREKASGQPSSTIDGAQDAVEKPDASVNKKSSNVRSALTEAFAKYSEKIRNQNRDSTNVAGEDQTRRAISLLESDVIEGAALA >ORUFI10G16990.1 pep chromosome:OR_W1943:10:17854691:17856278:-1 gene:ORUFI10G16990 transcript:ORUFI10G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPREGGGGGGGGVVGLVAYAALAVVALRVVLSYKSVAHAYYEVPRFGGGGGEGVENPLFRKAAAYVAALPSLEDADAACVLSSACKTNDFSLQLGPGHTAHDAFLGARLAWTNAGPAGDGGGGRERLVLRVRRHDRTRVLRPYLQHVESVADEMELRRRELRLYANTGGDGAPSPKWTSAPFTHPATLETVAMDPELKARVRADLESFLKGRAYYHRLGRAWRRSYLLYGPSGTGKSTFAAAMARFLGYDVYDIDMSRGGCDDLRALLLETTPRSLILVEDLDRYLRGGGDGETSAARTSRMLSFMDGLSSCCGEERVMVFTMSGDKDGVDPAILRPGRLDVHIHFTMCDFEGFKTLASNYLGLKDHKLYPQVEEGFHAAGARLSPAELGEIMLANRGSPSRALRTVINALQHVAPAPAPPQQQPRASSASRPPPRLTARWSGHLDEASAADASAANQSPGGGGGGFGKDAPMREFKKLYGLIKIRSRKDGGVVPVDDTASANGRGSDVSADKDR >ORUFI10G17000.1 pep chromosome:OR_W1943:10:17860306:17860740:1 gene:ORUFI10G17000 transcript:ORUFI10G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRAREVGGDGGGGFPHARAATRRAAPLAREAGSDGGGGYQCTRAATRRAGTAAAALRMREAGNDGGGGSPRTRAAMRQAGTAAAAPCAREAGGDGSPHARGRRRRWRTTRKATATPPLPWSYAIWAKREMVGVVGPMVGQPK >ORUFI10G17010.1 pep chromosome:OR_W1943:10:17864545:17869539:1 gene:ORUFI10G17010 transcript:ORUFI10G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYLVFGGLAAVVAGLELGKSGKDRVATTPAFNAFKNNYILVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCISYILSCITKHSPEYKILMIGRVLGGIATSLLFSAFESWLVAEHNKRGFDPQWLSITFSKAIFLGNGLVAIIAGLFANLLADNLGFGPVAPFDAAACFLAIGMAIILSSWSENYGDTSDNKDLIAQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFSISAFTLFLPVVTNFLVPPSSVKGGGISFGGCLQLLGFCIFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCAIFLFMAAILQRRLMVVSDLHRSTKAVEMTGEDEPLNP >ORUFI10G17020.1 pep chromosome:OR_W1943:10:17868624:17869282:-1 gene:ORUFI10G17020 transcript:ORUFI10G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRLFPSGSNSSGDASGPGRRKYNPYHDLSTPYSYQTLYDLPTSPEFLFQEESAAQRRSWGENLTYYTGVGYLSGAVAGAALGLRDAAAGAEPGDTAKIRANRVLNSCGGGGRC >ORUFI10G17030.1 pep chromosome:OR_W1943:10:17871320:17872639:1 gene:ORUFI10G17030 transcript:ORUFI10G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQLASPRPRRVRRAGGGGSDWASLPADLMSSVLRRLAGDRERARFGAVCKGWGAAAARLPSRPWLVGSRADDWTGLSGATMSSFWLSLGQRGLVPFAVDVPAGSEYLSSSRGYLALSNPTGNPRVITLFNPVTGRRIPLPPIGFFKKWHDVATIVLSADPDTAEAWSAVAVGFPANCLAYYSSATNDWKPIRFNYSSGYAGVEHFRGRFYVAFKSEISVLEVDVATPAAIKIEIAHDDDDEDADVFDIDLNLDHETSNRDDDDDDDDDCADTLAGLGDEDYPLKCLVETHLVDCGGELLVVSMHDEVAYKKPSPESAVGRKPRSHDDERWVDVHRVEWLESGAARLVRMEDLGGYALFVGRNHAFALSPEEFPACQPNCIYSVEQQGHPDGLVRVVNFNDDTTEWACPDEDIFPDDDMRGSPTAGWARRGWVFPKYS >ORUFI10G17040.1 pep chromosome:OR_W1943:10:17878771:17890546:1 gene:ORUFI10G17040 transcript:ORUFI10G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSSLPADLLLAVFARLPRNADRARFRAVCAGWGAAAASWRPRPWLVGSRTDRFGRGAAMSSFWLSRRSRGGGGLVPFAAAVPAGLEFLSSSSHGYLALSDPMATPKAVALVNPVTGRRIRLPPIGFFKRWHDVATVVLSADPDTADEWAAVAVGFPTNCLAYYSSAAGAWTPLGFSAAGYAGVEHFRGRFYVAFKSQLCVCDVEATVPAVIPLEQLIDDDDGGGENVDTGRRVVETHLVECDGELLLVSVHDNLERNPEDTTIFGDDDDHDGSSSSSSDSGDGRVVEVLRVEWVAGGAVRLVRQEDLRSRALFLGRNHAFALSPEEFPSCRANCVYLVDQQGHPDGRVRVFDMNADERWEPEEAAVVARNYARRDETIFPPDDGRRDAQSAGWARRASCLVNGLPCIVDRSMVDQGPRRIVTASGWAGGSTGECLRPAVLRRRPPPXPLPCLSPITRVSLSGDPLASPGWVAMVSQLKGREGQKTLVCRRGDAAWTVLFERGTSEIDTVVFHRGKVYYIDILRNIVVCDLDAKCTQVFHACTPVSMLCSCDKFHPERGVHLVACDGEVLLVVVRWGGHPSLAEIYRPEWKGNHQLGLGERVMDLGDHSLFVGRGDTFSLSAKEFPAIKRNCVYYADKNYKQQHWISVFHLEQPDVVEEIPYPEELKEDMINWMPHSWLLSFRRRRLVLPPRRSPPGGLRPAVLRRRPPPXPLPCLSPITRVSLSGDPLASPGWVAMASQLKGREGQKTLVCRRGDAAWTMLFEHGTSEIGSVVFHGGKVYYIDIMSNIVVCDLDADGASGAKCTQFFHVRTSVSMLCSCDKFHPERGVHLVACDGEVLLVVLRWGGHPSLAEIYKPEWKGNHQLGLGERVMDLGDHSLFVGRGDTFSLSAKEFPAIKRNCVYYADKNYKQQHWISVFHLEQPDVLEEIPYPEELKEDMTNWMPHSCFKNASTLKNSKEANRLT >ORUFI10G17050.1 pep chromosome:OR_W1943:10:17880862:17882142:-1 gene:ORUFI10G17050 transcript:ORUFI10G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEDWASLEQGLLHDIFLRLDDDANAARFRAVCSQWRAAAAGAGVVFVPRPWLAVYAPEQRRHAWLRPSRRRRRGRRRFKRVDDGDVSLRPERGGSPAPAAVSLVSVSRGWVAMKHGESHLVRAVSGDEVPLPPCGSRYELSEVPLPPCGSRYELSEVPLPPCGSRYELSKVILPDDPLAAAASGEWTAFAFMSHHQQILWPHTRRVAFCHAGDDEWTFLDKAIQAQRYRGLEFFRGRAYVLLSNLTVAVGDVVSRMLIATSVGLSGAYRWRHDELLEATCLVRCGDDLLFVQVSRQVVPRRSCFPLLAGGRHRRRRLRFTSRVYKLEFAADGSGVPVGFTKVESIGEYALFVSRRSHAFALPASGAASPASSRIASTTWSDYAARSSSAHRGRLAVSSPCHWTIFLSPGHSGFHRIGFALAGQ >ORUFI10G17060.1 pep chromosome:OR_W1943:10:17883142:17883420:-1 gene:ORUFI10G17060 transcript:ORUFI10G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVKVVLAVAFIDIVVFVVGGLGGSSPPADGQAAAPADGQARGFIYIDNNSDDDGDGHSDGDGGRAANYTRGDGWNGRANSWITAPRNEER >ORUFI10G17070.1 pep chromosome:OR_W1943:10:17895892:17897682:-1 gene:ORUFI10G17070 transcript:ORUFI10G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHTDVHGPAAGASTVHGSASKATSNHVFSSAGTATIKTFKTNDGTAYYEVQPKIESSAEEDLPRGSDKTVFVEIVAPDGDGADPRLALLKCLCPPPATSSCWGAAYGSLTPRHDGTNNVFLYCMNCGRDTWIPPLSDGMTPMGIYFDEYPTQLRAIIVCDDYPRLHFERINMDALPRWDEYPGTGQYHMSSVGFHSGTHFVGATASNIVVIDVVGGEPGHDLLILRAFIVENGGFYLWNLNNNHIFVCSEKIYLCVFFRSGQDMSSVRSSVFVLEQIDGENAFVPTNDVGDFAIYLGSNQPLVLPAEDNSYIRRRNTIYVEDDDGTVRNARVLAFDISSNEFTRHDAWLCDFV >ORUFI10G17080.1 pep chromosome:OR_W1943:10:17904928:17908908:-1 gene:ORUFI10G17080 transcript:ORUFI10G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVDDKVSYFQAVTGISDHDLCTEILAAHNWDLQLAVSSITANPSSPDPAPSAPPPPLAPREADLVAPHLPPPPQQQQQQPGIAWKLVTLPFYVVSGGVGLIAGSIRLGAWVAGGVLSRSLSILGLAQGGGGGGDRLLELPPSAAEAADFVAEFEREFGAGRGPHFVAEGFADALQRAQREYKLLFVYLHSPDHPDTPAFCGGCLCAEPVAAFIDENFVAWGGSIRRTEGFKMSNSLNASRFPFCAVVMASTNQRIVLLRQIEGPKSPEEMITTLQGAVEECSASLVAARIDAEERLNNQRLREEQDAAYRAALEADQARERQRREEQEKREREAAEAERKRKEEEEAQERAAQEAAEKEAALARRRQEKAMALGAEPEKGPDVTRVLIRFPTGERKERRFNSSTTITSLYDYVDSLDCLKAEKYSLVSNFPRVTYGPEKLSQTLEEAGLHPQASLFIEIEQ >ORUFI10G17090.1 pep chromosome:OR_W1943:10:17910210:17914088:-1 gene:ORUFI10G17090 transcript:ORUFI10G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREVVVSEDAAASSSSSSSSAAAASFSLAETRVICRVCQKQFAQYTCPRCNARYCSLPCYKGHSVQCTESFMRENVMDELKQMQPEDESKKKMLDILKRFHLEEEDMDSEGEDESILSEELIQKVMSGDEIKLEDLSDDEIKRFRQALASGELSKMIEPWTPWWKKPSARSISLSPDGSQLIRQVSVEDTDMSDPMADPESSISEIPEGPESALPSLKQLTRAEPSPLLAVQLVDILYSYCFTLRLHNGDWHSDPFGASTVALSVSKVMGEDAKPETVSEALTACIEETCSPAYRHTGGFRFAIALVDDIISLLTLGGNALVCALCDFRRLIHIGERMLKAEKLGKAERSRSTQKLRAADRKLYFMTCWVHEQPNEAWSSLARLVEVQKASLEELDCGSQFQRAGRKNDAQSKVLIEEI >ORUFI10G17100.1 pep chromosome:OR_W1943:10:17917233:17921711:1 gene:ORUFI10G17100 transcript:ORUFI10G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAAGDEAAAAAGGRRPTASERRRMYRDLAQSLRCGLRDASAGFSFLRLRGLRALLRALRSAAADARLFRHSQALRDLQVVPVLFEHSLRRAQGDAVVTVGQGLGISPAVKLSNPATDSEVALALRVLEGCCLLCRDCAAAAHRYDAVKDFKEHEGLDKIGDLVKDTQRDDNVRSASEKGDATFVSCMQEDLKNIVGENCASFICSKIFFSSTLDSEVTEPELNIHAKHYIERFNGSGLAKKSLSTTSMFSSDNY >ORUFI10G17110.1 pep chromosome:OR_W1943:10:17925203:17929483:-1 gene:ORUFI10G17110 transcript:ORUFI10G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAVAGGGVEAEALLGLLQRVQSEALRAFGPNDFDPKLYVDLPLAADASAAAALASLPRAAPSRGEMEAYISRYFALAGSDLVAAADPPDFERDPPGFLPRVERAEARAWALEVHALWKDLTRRVAPAVAARPDRHTLLPLPGRVVVPGSRFREVYYWDSYWVVRGLLVSKMYETAKDIVLNLVYLVEKYGFVLNGARSYYTNRSQPPLLSSMVLDIYMATGDMAFVRRVFPSLLKEHSFWMSEVHNVAVMDNHGRVHNLSRYQAMWNKPRPESATIDEEFASKLSTAAKEKFYHQVASTAETGWDFSSRWMRDSTDMTTLTTSCIIPVDLNTFILKMEQDIAFFAKLIGESTTSEIFSEASKARHNAIDSVLWNADMEQWLDYWLPTDGNCQGVYQWKSISQNRAIFASNFVPLWLNAQHSGLEQFVDEAKSVRVMRSLQKSGLLQPAGIATSLSNTGQQWDFPNGWAPLQHLIVEGLLRSGSGEARELAEDIATRWVRTNYDAYKATGAMHEKYDVVTCGKSGGGGEYKPQTGFGWSNGVILSFLDEFGWPQDKKIDC >ORUFI10G17120.1 pep chromosome:OR_W1943:10:17931623:17932898:-1 gene:ORUFI10G17120 transcript:ORUFI10G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAVLPWGGGGSPAWIEVPEKSKSAFWELKRRKVHRYVIFKIDDRREEIVVEKTGAPGESYDDFTASLPADDCRYAVYDLDFVSDDNCRKSKIFFISWSPSVSRIRAKTIYAVSRNQFRHELDGVHFEIQATDPDDMDLEVLRGRANRT >ORUFI10G17130.1 pep chromosome:OR_W1943:10:17934433:17941176:1 gene:ORUFI10G17130 transcript:ORUFI10G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAARRSLASRLSHHLTRRLHPATAPHLLASHSDDDPSPPPELPPFPHSPSPRHPRAGQALDRHLLPFSLHHLAGPRRRGFSSAAGEVDAAAGVLADAAAAAEAVPAPFPGEVAAAAADSFFPVAALQHVIDYIHTFTGLNWWACIALATVLIRSATVPLLVNQLKATQKLNAISPEMEAIKEEMNAMDPKSAKEGKAKMTALFQKHGVNPFTPLKGLLIQGPIFMSFFFAIRNMIDKVPSMKGGGSLWFTDLTTPDPLYILPVLTALIFLVTVELNLQEGMEGNPMARKMKNFSRGMAVLTVPFTMSFAKGIFCYWITSNLFTLTYGFVIRRPAVRKFCNLPALEAQSASAKKQMFNLFGGSKALTTAESPVAITGGPQSSLEQPDAAALGYRVKNPEKKAKSRGKSRRRR >ORUFI10G17140.1 pep chromosome:OR_W1943:10:17943391:17944125:1 gene:ORUFI10G17140 transcript:ORUFI10G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREWGRDEGRNGGGGGAALLGYSSSAASWLYRRAAAPARAYCGAERGPPPVTAARVRLRDGRHLAYHESGVAREAARVRVVFSHGFTGSRLDGLGASQTGGY >ORUFI10G17150.1 pep chromosome:OR_W1943:10:17951210:17956467:1 gene:ORUFI10G17150 transcript:ORUFI10G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTGNNGSPPVALLVLMAAAMVAGWFVNAVRPPPPTPCGAEGGPPVTAARVRVRDGRFLAYAESGVRREAARFKVVYSHGFSGGRMDSPRASQALLEELGVYMVAFDRAGYGESDPDPRRSLRSAAMDIQDLADALQLGPKFHLICSSLGCHAAWASFKYIPHRLAGAAMMAPVINYRWPGLPRGLARQLYRRQPVGDQWSLRVAYYAPWLLHWWMNQTWLPTSTVISGSGSFPNALDEKNRLMALSTGLFQKKARMATQQGVQDSFYRDMAVMFGRWPEFEPAELEEPPFPVHLFQGDEDGVVPVQLQRHICRRLGWISYHELAGVGHFLSAVPGLGDRIVTTLLPAAA >ORUFI10G17150.2 pep chromosome:OR_W1943:10:17945369:17951391:1 gene:ORUFI10G17150 transcript:ORUFI10G17150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLLWASTMSGGEKTRGMGAMGTLVGQLGELLSRAVMPPPPRVCGAPGGPPVTAPRVRLSDGRHLAYEESGVPKEAARYKIVFSHGFTGSRLDSLRASPEVAEELGVYMVAFDRAGYGESDPNPNRTVKSAALDMAELADALGLGDKFYVVGVSLGSHAVWGALRYIPERIAGAAMMAPVVNYWWPGFPAEDAAAAYGRQSYGDQWALRVSHHAPAILHWWMDQSWLPTSTVVDNTTFLPNKRDADIRRTLTADGTLQKKKEMATQQGINESYYRDMTVMFGKWEFDPMALPEPPCPVHIWQGDEDGLVPVALQRHVAGKLGWVSYHELPGTGHFLSAVPGLGDTIMYM >ORUFI10G17160.1 pep chromosome:OR_W1943:10:17955897:17959657:-1 gene:ORUFI10G17160 transcript:ORUFI10G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCSASGERLVSAARDGDAVEARMLLELSPALARYSTFGGLNSPLHFAAAKGHLDIVTLLLEKGADVNVRNYCGQTALMHACRHGHWEVVQMLLLFRCNVTRADYLSGRTALHFAAHDGLVRCVRLLLADFVPSAPLEDGASSTVDGGECQTNSGSSPCSSLGLKFNESARLRYINKPADGGVTALHMAALNGAGSTPLHYAAGGGNAECCQLLLSKGASKLTLNCNGWLPIDVARMFGRRFLEPLLSPNSNSSIPAYQPSNYLALPLMSILNIAREFGLLHTVASVDDSDLCAVCLERSCSVAAEGCCHEFCIKCALYLCSTSNTRVEFTGPPGSIPCPLCRNGIMSFTKLPSTPTEGLKSSSALTFCNPCMLNTRSVDSPATISKAEIRRNRVAAVSSELVCPLTCSPFPSSALPTCRCSDDDPCDAIETQDGSEVQSPQPSHCASMEMDKREQQDLDRTSCSGMFWSRRSCHREEQCNAEINA >ORUFI10G17170.1 pep chromosome:OR_W1943:10:17966744:17970709:-1 gene:ORUFI10G17170 transcript:ORUFI10G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMMRRLKSIASGRSSVSDPGGDSGTKRPKFDQDGAGDIVIEPHLTDDKPMRVDQESSSSHRDAEASTSTSKNPGRTEEAGADILPKEMNEMTISDDKVDGHNDKESEGVIVNANGTETGQIIVTSIGGQNGKPKQVSYMAERVVGTGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMQLLDHPNVVQLKHHFFSTTERGEVYLNLVLEYVSETVYRVAKYYNRMNQRVPILHVKLYAYQMCRALAYIHRVVGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKKLVPGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLIGQPLFPGESGVDQLVEIIKILGTPTREEIRCMNPNYSEFKFPQIKAHPWHKLFGKRMPPEAVDLVSRLLQYSPNLRCTAVDACAHPFFDELRDPKTCLSNGRSLPPLFDFSAAELEGLPVELVHRIIPEHMRK >ORUFI10G17180.1 pep chromosome:OR_W1943:10:17976908:17979832:1 gene:ORUFI10G17180 transcript:ORUFI10G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARYDVEKGGRKREGEEERCGSPAAVAQYPQREGEREWVPWLVPAILVANVVVFAVAMYVNNCPSHASRGGACVAGFLRRFSFQPLSENPLLGPSSATLQKMGALVWDKVVHEHQGWRLVTCIWLHAGVVHLLANMLSLVLIGLRLEQQFGYMRIGIIYLVSGIGGSVLSSLFIRNSISVGASGALFGLLGAMLSELFTNWTIYTNKAAALVTLLIVIAINLAIGILPHVDNFAHIGGFLTGFLLGFIFLMRPHYGWMQRYVLPSSVKYTSKKYLAYQWILLAVASVLAVIGFAVGLSMLFRGVNANERCHWCHYLSCIPTSRWTCGN >ORUFI10G17190.1 pep chromosome:OR_W1943:10:17980709:17983636:-1 gene:ORUFI10G17190 transcript:ORUFI10G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAGAARRCGRATHVDLLTVVLAAMLCWASYTLSIWHNSRGAADSSVLGLVVGATVCGDADEELDFEARHAADDAGLSVSSGPANSRVRRALSSSGPAPAAAGTTVSRYRAPFPWPASRGVVWAGNSARGAKAAADAAAAANKWARVDGDMLRFTDAAAVRAYAYVVLRLVAAPVRAAVDVGAMHGGSWAAELMSRGVVTVSVAAPWGASDGAALVELALERGVPAVLAAAGGAPSRRLPFPAGAFDMAHCGRCLVPWHLHGGRFLMEIDRVLRPGGYWVHSGAPANGTHERAAIEAAAASMCWRSVADQNGVTVWQKPVGHVGCDAGENSPRFCAGQNKKFKWDSDVEPCITPIQEGAAPPREASAAEALRRDSETWTRRVARYKAVATQLGQKGRLRNLLDMNARRGGFAAALADDPVWVMSVVPATGGGDTDTDTLPAIYDRGLIGAYHDWCEPLPTPALSYDLLHADSLFTMYRDRCDMEDILLEMDRILRPGRAVIIRDDIAILARIKNFFTDRMRWDCQIFDGEDGSDDREKILFAAKTCCNDEDRDQEQ >ORUFI10G17200.1 pep chromosome:OR_W1943:10:17989790:17990501:1 gene:ORUFI10G17200 transcript:ORUFI10G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAGSGEGAPAGERWAMSSRPQATVAGDGMNDDGPSVAAVEDTDLFTGWQGGSWRIRPVAGSEAPALNLADGEYDVTSAAAAGPYDAYLVFRSSPPLNSSVVSISNLLNVTTTTVTESNAVNPVVPIAVDPLVFAPVVGDLIPTCRLA >ORUFI10G17210.1 pep chromosome:OR_W1943:10:18004511:18007166:1 gene:ORUFI10G17210 transcript:ORUFI10G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVSGLFGLGGDEGETSAAVGGAVAAGLGDLPELCAAEVLLRLDAPEICRLARLNHSFRGAAGADFVWEAKLPENYRYLMSFVEGGGGGDDGRQLRRRRRRPAGKKEIYVRLARPVPFDGGSKEFWLEKSKGRVCMALSSKSLVITGIDDRRYWQHIPTAESRFHSVAYLQQIWWFEVVGEIDFSFPVGTYSLYFRIHLGKFYKRFGRRVCSTEHVHGWDKKPVRFQLSTSDGQHSLSQCSLGEPGSWVLYHAGDFVVSKPDQTIKLKFSMAQIDCTHTKGGLCVDSAFIYPKGFQQERMSDLASLENSI >ORUFI10G17210.2 pep chromosome:OR_W1943:10:18004511:18007116:1 gene:ORUFI10G17210 transcript:ORUFI10G17210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVSGLFGLGGDEGETSAAVGGAVAAGLGDLPELCAAEVLLRLDAPEICRLARLNHSFRGAAGADFVWEAKLPENYRYLMSFVEGGGGGDDGRQLRRRRRRPAGKKEIYVRLARPVPFDGGSKEFWLEKSKGRVCMALSSKSLVITGIDDRRYWQHIPTAESRFHSVAYLQQIWWFEVVGEIDFSFPVGTYSLYFRIHLGKFYKRFGRRVCSTEHVHGWDKKPVRFQLSTSDGQHSLSQCSLGEPGSWVLYHAGDFVVSKPDQTIKLKFSMAQIDCTHTKGGLCVDSAFIYPKGFQQERMVRSQKCRC >ORUFI10G17220.1 pep chromosome:OR_W1943:10:18010104:18015457:1 gene:ORUFI10G17220 transcript:ORUFI10G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLARAPPPPPPLRHRGRLLLPRFTSSSSTRRRRRPRAARLRVRASGSDPPPQQQQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVLLNHLLSPSPTPAQLRSEALGLCLAAFSATLPYLGRFLEGAGAAERVPLPEGSRQVFAMSDSLSAAQKEDMAWASYVLLRNTNTTSVLISIGKQLCIRGYWDPPEDISKYAMIEWFKSQMQEAGIVDLREDLYFPTFSDTQLGKFLPQGILSVMAHPVLNNSDPTNGEIKAEGFILLASNSSYAYSEKDRIMEAFVN >ORUFI10G17220.2 pep chromosome:OR_W1943:10:18010104:18015460:1 gene:ORUFI10G17220 transcript:ORUFI10G17220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLARAPPPPPPLRHRGRLLLPRFTSSSSTRRRRRPRAARLRVRASGSDPPPQQQQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVLLNHLLSPSPTPAQLRSEALGLCLAAFSATLPYLGRFLEGAGAAERVPLPEGSRQVFAMSDSLSAAQKEDMAWASYVLLRNTNTTSVLISIGKQLCIRGYWDPPEDISKYAMIEWFKSQMQEAGIVDLREDLYFPTFSDTQLGKFLPQGILSVMAHPVLNNSDPTNGEIKAEGFILLASNSSYAYSEKDRYPVCRVYNVTLDIGDLNGN >ORUFI10G17220.3 pep chromosome:OR_W1943:10:18010104:18015457:1 gene:ORUFI10G17220 transcript:ORUFI10G17220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLARAPPPPPPLRHRGRLLLPRFTSSSSTRRRRRPRAARLRVRASGSDPPPQQQQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVLLNHLLSPSPTPAQLRSEALGLCLAAFSATLPYLGRFLEGAGAAERVPLPEGSRQVFAMSDSLSAAQKEDMAWASYVLLRNTNTTSVLISIGKQLCIRGYWDPPEDISKYAMIEWFKSQMQEAGIVDLREDLYFPTFSDTQLGKFLPQGILSVMAHPVLNNSDPTNGEIKAEGFILLASNSSYAYSEKDRIMEAFVN >ORUFI10G17220.4 pep chromosome:OR_W1943:10:18010104:18014855:1 gene:ORUFI10G17220 transcript:ORUFI10G17220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLARAPPPPPPLRHRGRLLLPRFTSSSSTRRRRRPRAARLRVRASGSDPPPQQQQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVLLNHLLSPSPTPAQLRSEALGLCLAAFSATLPYLGRFLEGAGAAERVPLPEGSRQVFAMSDSLSAAQKEDMAWASYVLLRNTNTTSVLISIGKQLCIRGYWDPPEDISKYAMIEWFKSQMQEAGIVDLREDLYFPTFSDTQLGKFLPQGILSVMAHPVLNNSDPTNGEIKAEGFILLASNSSYAYSEKDRLQLKGHNEQFEDNLQ >ORUFI10G17220.5 pep chromosome:OR_W1943:10:18010104:18014673:1 gene:ORUFI10G17220 transcript:ORUFI10G17220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLARAPPPPPPLRHRGRLLLPRFTSSSSTRRRRRPRAARLRVRASGSDPPPQQQQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVLLNHLLSPSPTPAQLRSEALGLCLAAFSATLPYLGRFLEGAGAAERVPLPEGSRQVFAMSDSLSAAQKEDMAWASYVLLRNTNTTSVLISIGKQLCIRGYWDPPEDISKYAMIEWFKSQMQEAGIVDLREDLYFPTFSDTQLGKFLPQGILSVMAHPVLNNSDPTNGEIKAEGFILLASNSSYAYSEKDRYPVCRVYNVTLDIG >ORUFI10G17230.1 pep chromosome:OR_W1943:10:18016379:18016901:1 gene:ORUFI10G17230 transcript:ORUFI10G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVSLIAAAAAGGGAAILLGALAIGVASRRGRAAAAGGGGEGEERIGVFAGDANAAGNDTARRRLIP >ORUFI10G17240.1 pep chromosome:OR_W1943:10:18018586:18020263:1 gene:ORUFI10G17240 transcript:ORUFI10G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHCCPAAGDPPAPAGTAEELLERARSLVPAALDAARAATGFGGRWKAIAARLERVPPCLSDLSSHPCFSKNSLCRELLQSVAATLAEAAELGARCREPPRAGKLQMQSDLDALAGKLDLNLRDCALLIKTGVLSDATVPPVAPAAEAAAQTDVRELLARLQIGHAEAKHRAVDGLLDALREDEKSVLSALGRGNVAALVQLLTATAPKIREKAATVLCLLAESGSCECLLVSEGALPPLIRLVESGSLVGREKAVITLQRLSMSPDIARAIVGHSGVRPLIDICQTGDSISQSAAAGALKNLSAVPEVRQALAEEGIVRVMVNLLDCGVVLGCKEYAAECLQSLTTSNDGLRRAVVSEGGLRSLLAYLDGPLPQESAVGALRNLVSSAISPDSLVSLGGSVGAQQAAAAAICRVSSSSEMKRLVGEHGCMPLLVRLLEAKSNGAREVAAQAVASLMSCPANARDIKKDEKSVPNLVQLLEPSPQNTAKKYAISCLLTLSASKRCKKLMISHGAIGYLKKLSEMDVAGAKKLLEKLERGKLRNLFSRK >ORUFI10G17250.1 pep chromosome:OR_W1943:10:18023972:18025070:-1 gene:ORUFI10G17250 transcript:ORUFI10G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMELGILLIPLTLVFVPCRRIVLFLKRLQEFHRSITHPSFTSADMLSRFSSFNSMALMLKNHSIMQVATLPYMILSVAKLQCKKQVTIAGRRDYQ >ORUFI10G17260.1 pep chromosome:OR_W1943:10:18030791:18031098:-1 gene:ORUFI10G17260 transcript:ORUFI10G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVELGVWLIPLTLFFVPCRRIVLLLKQLQGFHRSMTRPRRRASADMLSRFSGLNDNMSETQMAFGHGAGLLLMLIQLVL >ORUFI10G17270.1 pep chromosome:OR_W1943:10:18034155:18048803:1 gene:ORUFI10G17270 transcript:ORUFI10G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGEILDLPVVDLASSDLAAAAKSVRKACVEYGFFYVVNHGAEGLVEKVFAESSKFFEQPLGEKMALLRNRNYLGYTPLGADKLDASSKFKGDLNENFCIGPIRKEENFPCWKETMKLYHETALATGKRILSLIALSLNLDAEFFDCPVAFLRLLHYPGEANESDDGNYGASAHSDYGVLTLVATDGTPGLQICREKDKCPQLWEDVHHIEGALIVNIGDLLQRWTNCVFRSTLHRVVAVGKERYSVAFFLHTNPDLVVQCLESCCSEACPPRSNKQQPLPGGRGSGKPEMVAAAGDRLDLPVVDLASSDLRSSAESIRKACVEYGFFYVVNHGIEEGLLEKVFAESRRFFEQPLEEKMALLRNSSHLGYTPPYTEKLDASSKFRGDLSEKFKIGPIGDEGFQNDANQWPSEERLPCWKETMKLYRATALATGKRILSLVALSLNLDAEFFDCPLAFLRLLHYPGEINESDDGNYGASAHSDYGILTLLATDGIPGLQICKEKDRHPQLWEDVHHIDGSTLHRVVAVGKERYSVAFFLDPDPDFVVSTYKKWRLFGRALELNIQKGKTCYMTPKMGHVCFLLCKPSYRGDADADGGGGSSSKPPLRQKRNPAMAGGGGGGNRLDLPVVDLASSDPRAAAESIRKACVESGFFYVVNHGVEEGLLKRLFAESSKFFELPMEEKMTLRRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGPIGDEGLQNDANQWPSEERLPSWRETIKMYHASALATGKRILSLIALSLNLDAEFFENIGAFICPSAFLRLLHYPGEVDDSDYGNYGASAHSDYGMITLLATDGTPGLQICKEKDRNPQLWEDVHHIDGSTVHRVVAVGKERYSAAFFLDPNPDLVVQCLKSCCSESCPPRFLPIKSGDYLKERLSVTYK >ORUFI10G17270.2 pep chromosome:OR_W1943:10:18034155:18048674:1 gene:ORUFI10G17270 transcript:ORUFI10G17270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGEILDLPVVDLASSDLAAAAKSVRKACVEYGFFYVVNHGAEGLVEKVFAESSKFFEQPLGEKMALLRNRNYLGYTPLGADKLDASSKFKGDLNENFCIGPIRKEENFPCWKETMKLYHETALATGKRILSLIALSLNLDAEFFDCPVAFLRLLHYPGEANESDDGNYGASAHSDYGVLTLVATDGTPGLQICREKDKCPQLWEDVHHIEGALIVNIGDLLQRWTNCVFRSTLHRVVAVGKERYSVAFFLHTNPDLVVQCLESCCSEACPPRSNKQQPLPGGRGSGKPEMVAAAGDRLDLPVVDLASSDLRSSAESIRKACVEYGFFYVVNHGIEEGLLEKVFAESRRFFEQPLEEKMALLRNSSHLGYTPPYTEKLDASSKFRGDLSEKFKIGPIGDEGFQNDANQWPSEERLPCWKETMKLYRATALATGKRILSLVALSLNLDAEFFDCPLAFLRLLHYPGEINESDDGNYGASAHSDYGILTLLATDGIPGLQICKEKDRHPQLWEDVHHIDGSTLHRVVAVGKERYSVAFFLDPDPDFVVSTYKKWRLFGRALELNIQKGKTCYMTPKMGHVCFLLCKPSYRGDADADGGGGSSSKPPLRQKRNPAMAGGGGGGNRLDLPVVDLASSDPRAAAESIRKACVESGFFYVVNHGVEEGLLKRLFAESSKFFELPMEEKMTLRRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGPIGDEGLQNDANQWPSEERLPSWRETIKMYHASALATGKRILSLIALSLNLDAEFFENIGAFICPSAFLRLLHYPGEVDDSDYGNYGASAHSDYGMITLLATDGTPGLQICKEKDRNPQLWEDVHHIDGSTVHRVVAVGKERYSAAFFLDPNPDLVVQCLKSCCSESCPPRFLPIKSGDYLKERLSVTYK >ORUFI10G17270.3 pep chromosome:OR_W1943:10:18034153:18048803:1 gene:ORUFI10G17270 transcript:ORUFI10G17270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGEILDLPVVDLASSDLAAAAKSVRKACVEYGFFYVVNHGAEGLVEKVFAESSKFFEQPLGEKMALLRNRNYLGYTPLGADKLDASSKFKGDLNENFCIGPIRKEENFPCWKETMKLYHETALATGKRILSLIALSLNLDAEFFDCPVAFLRLLHYPGEANESDDGNYGASAHSDYGVLTLVATDGTPGLQICREKDKCPQLWEDVHHIEGALIVNIGDLLQRWTNCVFRSTLHRVVAVGKERYSVAFFLHTNPDLVVQCLESCCSEACPPRSNKQQPLPGGRGSGKPEMVAAAGDRLDLPVVDLASSDLRSSAESIRKACVEYGFFYVVNHGIEEGLLEKVFAESRRFFEQPLEEKMALLRNSSHLGYTPPYTEKLDASSKFRGDLSEKFKIGPIGDEGFQNDANQWPSEERLPCWKETMKLYRATALATGKRILSLVALSLNLDAEFFDCPLAFLRLLHYPGEINESDDGNYGASAHSDYGILTLLATDGIPGLQICKEKDRHPQLWEDVHHIDGSTLHRVVAVGKERYSVAFFLDPDPDFVVSTYKKWRLFGRALELNIQKGKTCYMTPKMGHVCFLLCKPSYRGDADADGGGGSSSKPPLRQKRNPAMAGGGGGGNRLDLPVVDLASSDPRAAAESIRKACVESGFFYVVNHGVEEGLLKRLFAESSKFFELPMEEKMTLRRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGPIGDEGLQNDANQWPSEERLPSWRETIKMYHASALATGKRILSLIALSLNLDAEFFENIGAFICPSAFLRLLHYPGEVDDSDYGNYGASAHSDYGMITLLATDGTPGLQICKEKDRNPQLWEDVHHIDGSTVHRVVAVGKERYSAAFFLDPNPDLVVQCLKSCCSESCPPRFLPIKSGDYLKERLSVTYK >ORUFI10G17270.4 pep chromosome:OR_W1943:10:18034155:18048803:1 gene:ORUFI10G17270 transcript:ORUFI10G17270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGEILDLPVVDLASSDLAAAAKSVRKACVEYGFFYVVNHGAEGLVEKVFAESSKFFEQPLGEKMALLRNRNYLGYTPLGADKLDASSKFKGDLNENFCIGPIRKEENFPCWKETMKLYHETALATGKRILSLIALSLNLDAEFFDCPVAFLRLLHYPGEANESDDGNYGASAHSDYGVLTLVATDGTPGLQICREKDKCPQLWEDVHHIEGALIVNIGDLLQRWTNCVFRSTLHRVVAVGKERYSVAFFLHTNPDLVVQCLESCCSEACPPRSNKQQPLPGGRGSGKPEMVAAAGDRLDLPVVDLASSDLRSSAESIRKACVEYGFFYVVNHGIEEGLLEKVFAESRRFFEQPLEEKMALLRNSSHLGYTPPYTEKLDASSKFRGDLSEKFKIGPIGDEGFQNDANQWPSEERLPCWKETMKLYRATALATGKRILSLVALSLNLDAEFFDCPLAFLRLLHYPGEINESDDGNYGASAHSDYGILTLLATDGIPGLQICKEKDRHPQLWEDVHHIDGSTLHRVVAVGKERYSVAFFLDPDPDFVMGHVCFLLCKPSYRGDADADGGGGSSSKPPLRQKRNPAMAGGGGGGNRLDLPVVDLASSDPRAAAESIRKACVESGFFYVVNHGVEEGLLKRLFAESSKFFELPMEEKMTLRRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGPIGDEGLQNDANQWPSEERLPSWRETIKMYHASALATGKRILSLIALSLNLDAEFFENIGAFICPSAFLRLLHYPGEVDDSDYGNYGASAHSDYGMITLLATDGTPGLQICKEKDRNPQLWEDVHHIDGSTVHRVVAVGKERYSAAFFLDPNPDLVVQCLKSCCSESCPPRFLPIKSGDYLKERLSVTYK >ORUFI10G17270.5 pep chromosome:OR_W1943:10:18034155:18048803:1 gene:ORUFI10G17270 transcript:ORUFI10G17270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGEILDLPVVDLASSDLAAAAKSVRKACVEYGFFYVVNHGAEGLVEKVFAESSKFFEQPLGEKMALLRNRNYLGYTPLGADKLDASSKFKENFPCWKETMKLYHETALATGKRILSLIALSLNLDAEFFDCPVAFLRLLHYPGEANESDDGNYGASAHSDYGVLTLVATDGTPGLQICREKDKCPQLWEDVHHIEGALIVNIGDLLQRWTNCVFRSTLHRVVAVGKERYSVAFFLHTNPDLVVQCLESCCSEACPPRSNKQQPLPGGRGSGKPEMVAAAGDRLDLPVVDLASSDLRSSAESIRKACVEYGFFYVVNHGIEEGLLEKVFAESRRFFEQPLEEKMALLRNSSHLGYTPPYTEKLDASSKFRGDLSEKFKIGPIGDEGFQNDANQWPSEERLPCWKETMKLYRATALATGKRILSLVALSLNLDAEFFDCPLAFLRLLHYPGEINESDDGNYGASAHSDYGILTLLATDGIPGLQICKEKDRHPQLWEDVHHIDGSTLHRVVAVGKERYSVAFFLDPDPDFVVSTYKKWRLFGRALELNIQKGKTCYMTPKMGHVCFLLCKPSYRGDADADGGGGSSSKPPLRQKRNPAMAGGGGGGNRLDLPVVDLASSDPRAAAESIRKACVESGFFYVVNHGVEEGLLKRLFAESSKFFELPMEEKMTLRRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGPIGDEGLQNDANQWPSEERLPSWRETIKMYHASALATGKRILSLIALSLNLDAEFFENIGAFICPSAFLRLLHYPGEVDDSDYGNYGASAHSDYGMITLLATDGTPGLQICKEKDRNPQLWEDVHHIDGSTVHRVVAVGKERYSRVMPTEVLTYKEWRLFERAIECYIQIVLCFYMCKEANMCYTAGHRSPLSIFLLRLGSV >ORUFI10G17270.6 pep chromosome:OR_W1943:10:18034155:18048803:1 gene:ORUFI10G17270 transcript:ORUFI10G17270.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGEILDLPVVDLASSDLAAAAKSVRKACVEYGFFYVVNHGAEGLVEKVFAESSKFFEQPLGEKMALLRNRNYLGYTPLGADKLDASSKFKGDLNENFCIGPIRKEENFPCWKETMKLYHETALATGKRILSLIALSLNLDAEFFDCPVAFLRLLHYPGEANESDDGNYGASAHSDYGVLTLVATDGTPGLQICREKDKCPQLWEDVHHIEGALIVNIGDLLQRWTNCVFRSTLHRVVAVGKERYSVAFFLHTNPDLVVQCLESCCSEACPPRSNKQQPLPGGRGSGKPEMVAAAGDRLDLPVVDLASSDLRSSAESIRKACVEYGFFYVVNHGIEEGLLEKVFAESRRFFEQPLEEKMALLRNSSHLGYTPPYTEKLDASSKFRGDLSEKFKIGPIGDEGFQNDANQWPSEERLPCWKETMKLYRATALATGKRILSLVALSLNLDAEFFDCPLAFLRLLHYPGEINESDDGNYGASAHSDYGILTLLATDGIPGLQICKEKDRHPQLWEDVHHIDGSTLHRVVAVGKERYSVAFFLDPDPDFVMGHVCFLLCKPSYRGDADADGGGGSSSKPPLRQKRNPAMAGGGGGGNRLDLPVVDLASSDPRAAAESIRKACVESGFFYVVNHGVEEGLLKRLFAESSKFFELPMEEKMTLRRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGPIGDEGLQNDANQWPSEERLPSWRETIKMYHASALATGKRILSLIALSLNLDAEFFENIGAFICPSAFLRLLHYPGEVDDSDYGNYGASAHSDYGMITLLATDGTPGLQICKEKDRNPQLWEDVHHIDGSTVHRVVAVGKERYSRVMPTEVLTYKEWRLFERAIECYIQIVLCFYMCKEANMCYTAGHRSPLSIFLLRLGSV >ORUFI10G17270.7 pep chromosome:OR_W1943:10:18034153:18048803:1 gene:ORUFI10G17270 transcript:ORUFI10G17270.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGEILDLPVVDLASSDLAAAAKSVRKACVEYGFFYVVNHGAEGLVEKVFAESSKFFEQPLGEKMALLRNRNYLGYTPLGADKLDASSKFKENFPCWKETMKLYHETALATGKRILSLIALSLNLDAEFFDCPVAFLRLLHYPGEANESDDGNYGASAHSDYGVLTLVATDGTPGLQICREKDKCPQLWEDVHHIEGALIVNIGDLLQRWTNCVFRSTLHRVVAVGKERYSVAFFLHTNPDLVVQCLESCCSEACPPRSNKQQPLPGGRGSGKPEMVAAAGDRLDLPVVDLASSDLRSSAESIRKACVEYGFFYVVNHGIEEGLLEKVFAESRRFFEQPLEEKMALLRNSSHLGYTPPYTEKLDASSKFRGDLSEKFKIGPIGDEGFQNDANQWPSEERLPCWKETMKLYRATALATGKRILSLVALSLNLDAEFFDCPLAFLRLLHYPGEINESDDGNYGASAHSDYGILTLLATDGIPGLQICKEKDRHPQLWEDVHHIDGSTLHRVVAVGKERYSVAFFLDPDPDFVVSTYKKWRLFGRALELNIQKGKTCYMTPKMGHVCFLLCKPSYRGDADADGGGGSSSKPPLRQKRNPAMAGGGGGGNRLDLPVVDLASSDPRAAAESIRKACVESGFFYVVNHGVEEGLLKRLFAESSKFFELPMEEKMTLRRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGPIGDEGLQNDANQWPSEERLPSWRETIKMYHASALATGKRILSLIALSLNLDAEFFENIGAFICPSAFLRLLHYPGEVDDSDYGNYGASAHSDYGMITLLATDGTPGLQICKEKDRNPQLWEDVHHIDGSTVHRVVAVGKERYSRVMPTEVLTYKEWRLFERAIECYIQIVLCFYMCKEANMCYTAGHRSPLSIFLLRLGSV >ORUFI10G17270.8 pep chromosome:OR_W1943:10:18034155:18048803:1 gene:ORUFI10G17270 transcript:ORUFI10G17270.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGEILDLPVVDLASSDLAAAAKSVRKACVEYGFFYVVNHGAEGLVEKVFAESSKFFEQPLGEKMALLRNRNYLGYTPLGADKLDASSKFKGDLNENFCIGPIRKEENFPCWKETMKLYHETALATGKRILSLIALSLNLDAEFFDCPVAFLRLLHYPGEANESDDGNYGASAHSDYGVLTLVATDGTPGLQICREKDKCPQLWEDVHHIEGALIVNIGDLLQRWTNCVFRSTLHRVVAVGKERYSVAFFLDPDPDFVVSTYKKWRLFGRALELNIQKGKTCYMTPKMGHVCFLLCKPSYRGDADADGGGGSSSKPPLRQKRNPAMAGGGGGGNRLDLPVVDLASSDPRAAAESIRKACVESGFFYVVNHGVEEGLLKRLFAESSKFFELPMEEKMTLRRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGPIGDEGLQNDANQWPSEERLPSWRETIKMYHASALATGKRILSLIALSLNLDAEFFENIGAFICPSAFLRLLHYPGEVDDSDYGNYGASAHSDYGMITLLATDGTPGLQICKEKDRNPQLWEDVHHIDGSTVHRVVAVGKERYSRVMPTEVLTYKEWRLFERAIECYIQIVLCFYMCKEANMCYTAGHRSPLSIFLLRLGSV >ORUFI10G17280.1 pep chromosome:OR_W1943:10:18056791:18058383:1 gene:ORUFI10G17280 transcript:ORUFI10G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGLVDRQVLPPCGCNGGGGDVVVVVVPKTSAAAAVSKGGEAASILRLSLPMIMTGLILYIRPMISMLFLGRLGELALAGGSLAIGFANITGYSVLSGLAMGMEPVCGQAVGAGNLPLVGATMQRMVLLLLAVSVPVAVLWAWMEPLLLLCGQDAAIAAAAQRYILFCLPDLLFLSLLHPLRIYLRVQSINLPLTACAALAVAAHLPINHLLVSVLGLGIEGVALASAWANLNLVIFLLAFVYVSGVHRDTGGFSLPRKMFKDVDGWARLVRLAAESCASVCLEWWWYEIMILLCGLLANPRATVASMGILVQTTSLLYIFPSSLSFGVSTRVSNELGANRPSAARAAARAGLALSAVQGLGSLAFAVAVRGAWARMFTPDADILALTASVLPILGLCELGNCPQTTGCGVLRGSARPRDGAHINLGAFYGVGTPVAVGLAFWAGMDFRGLWLGLLAAQAACVAVMLVVIQRTDWDVQAKLAQVLAGGKASDGGGHGVNEAGGDDAVAHVKVAAPHGDEDSSLLITVST >ORUFI10G17290.1 pep chromosome:OR_W1943:10:18082194:18083420:-1 gene:ORUFI10G17290 transcript:ORUFI10G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTSSSLHLHKHLLLPKTNPSSSYSRPPPPSFVAAAAKINGVNGHSSKKSPNGKAQINGDGKKGVNGSGRKKAAAQHINGNDRIHLSVSTGGAGGQDGFGLRVAFQGAPGAYSEFAAKTALPGCDTVPCRAFADALAAVDGGAVDRAILPVESTMEGTALRNYDLLLRHDLVVVQEINLFVHYCLLAMPGVRAAEVRRVISHPMALAHCGRALARLGVDREPVEDTAGAVEMLRSNRMLDTAAIASPRAADLYGLDVLAHGLQDESWNVTRFLLLSKPPSPVTLPMDADAKTSMVVAHRGGSMMVVLKVLSAFSSRNINLTKLEVINNNEGGGGGGGAAAGHPVMILDTSARGAPTLRAFPHVLYVDCEGASHDPRVLDAIKEIERFAVFVRVLGCYAADSNVYDLQ >ORUFI10G17300.1 pep chromosome:OR_W1943:10:18088613:18091228:-1 gene:ORUFI10G17300 transcript:ORUFI10G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHIGGDLTCRKAAFALVRDEVHGLPIPKSIEHVLKDCTYRCGMHASAVVSCCHPSSGPMPQAGAAGFNGLISYSAVTRGNKVFVRQILNAKDKYSRTVTHSLSYAVSFKSGGKGLV >ORUFI10G17310.1 pep chromosome:OR_W1943:10:18091612:18092193:1 gene:ORUFI10G17310 transcript:ORUFI10G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRAAAAAQQRQGRRQSAAACGIRRARAETRHPVYRGVRFRAGKWVSEIRELRKPSRIWLGTYATPEMAAAAYDAAALALRGRGAALNFPDAARSRPAPASASADDVRAAATAAAAAMAHQEEDDDSRRQLEDGGGGGGVVDEDDVLEMPRLMVSMAEGLMINPPPVMLGLQADGGGIMDEGGGVVRLWDHS >ORUFI10G17320.1 pep chromosome:OR_W1943:10:18103747:18124706:-1 gene:ORUFI10G17320 transcript:ORUFI10G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRARWVALLVGLAAAAVVATLGASEGDADPLYRACVDECEKTGSLRETSVRHCQVPTDDHPADKSWYAHEPLYLQWKEWNCKSECRYHCMMERESEREQLGLGSVKYHGKWPMKRASVFQEPISAALSALSLLVQFNGWLSFFLLLSYKLPLRPETQMTYDTVWTEKLYYSSFAAFIGYSLILAILRTLNLKDEASRVMVAAPILAFTTTHILYLNFYELDKGLNTKVCTAASLAQFLLWAVWAVMTKHPSCFKILFVIIGNVFSIVLETYDIPPRWGYVDGRVFCVAISISLTYLWWKFAKEDAEMRTSTIIKKTRGEVMGIGRARWVALLVGLAAAAVVATVGASEGDADPLYRACVEECEKTGSLRETSVRHCQVCTAASLAQFLLWAVWAVMTKHPSCFKILFVIIGSVFSIILETYDIPPRWGCVDGRVFCVAISIPLTYLWWKFAKEDAEMRTSAIIKKTR >ORUFI10G17320.2 pep chromosome:OR_W1943:10:18103747:18124706:-1 gene:ORUFI10G17320 transcript:ORUFI10G17320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRARWVALLVGLAAAAVVATLGASEGDADPLYRACVDECEKTGSLRETSVRHCQVPTDDHPADKSWYAHEPLYLQWKEWNCKSECRYHCMMERESEREQLGLGSVKYHGKWPMKRASVFQEPISAALSALSLLVQFNGWLSFFLLLSYKLPLRPETQMTYDTVWTEKLYYSSFAAFIGYSLILAILRTLNLKDEASRVMVAAPILAFTTTHILYLNFYELDKGLNTKVCTAASLAQFLLWAVWAVMTKHPSCFKILFVIIGSVFSIILETYDIPPRWGCVDGRVFCVAISIPLTYLWWKFAKEDAEMRTSAIIKKTR >ORUFI10G17330.1 pep chromosome:OR_W1943:10:18113516:18116285:1 gene:ORUFI10G17330 transcript:ORUFI10G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTFVTDILARTRVTWSDDNTLMLYQYCVDEVEASGELSEESYRLIQQKMCEQGATYGVKNIKQKIRNTRKLWAKMRSRDSQDYNLRCRHHLDLLDRLFDQAGRAQSSKDGTTQSDAEIKTCHQVDEVESAAPELKWEKSSQMLADASTFKEMIGKYVAELDFAMTRSIALEETCSSQTEKIKDLKETCSSQTEKIKTLELQLALANEKLKVHTESIVVAETLALQELKGNIRVFCRVRPLLPNESTAVAYPKSGENLGRGIELTHNGIAINKSLSCLSDVIFSIANKEEHVPFRNSKLTYLLQPCLGGGSKTLVFVNLSPEVSSTGESICSLRFAARVNSCEIGIPRRQTQGAPDASDMWSPTTAKRPPQPGPPSLVRLLRCRRRDKPPDDNDAARSTRG >ORUFI10G17340.1 pep chromosome:OR_W1943:10:18118310:18121702:1 gene:ORUFI10G17340 transcript:ORUFI10G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSKPLRLKDLLELDCESCSAAGFRCYPRRLCVAGGAAEAAAPPMRHRLVADRSSSAMRRPKLSSLSKSLSRRLRGGFWRRREEEDEEAAAAAAAPPATASSTAPAVASCCSSSSDSETSESSNSTGGRKSRSHSDYSEISSASSDDSLHAAGEPSTTGADHEVMKRGSKEEDEEEEADDKEQLSPVGVMDFPFDEDDDDAAAVDEDERVAAGACSFSFSDSLAQLQRRKMQLQPKIRRLGSTAELSGVDLEARFAASESDRLAGIVPVQHQCITDDVAAAPPRHDDHRNDGVSQKDPDDDEDSLLDLLADTVSVGVVDDVTERLLLDFFVEAKCSSRNIELHAPTSLLRERRRRENGETMRLAKAWLEGTGTPWTLNDVLYHGEDVMAEMERSRRWMHAGEEEREAGVVVAAMAMDELLHELVSDLIALPK >ORUFI10G17350.1 pep chromosome:OR_W1943:10:18131834:18132154:1 gene:ORUFI10G17350 transcript:ORUFI10G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESRRRRRAAAEAVAWCLALGVVALLLVGSVEKEEEVVVVRGARLAAARPCEEIYVVEEGETLHSISDRCGDPYILEQNPHVHDPDDVFPGLVIKITPRPGRRN >ORUFI10G17360.1 pep chromosome:OR_W1943:10:18134724:18139367:1 gene:ORUFI10G17360 transcript:ORUFI10G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGRASSSAPVLAAAAAAAVLLSLCLAALSEEQEQLENLRFVRHAQDAPLVSSYNYIVIGGGTAGCPLAATLSEHSRVLLLERGGLPYANMSSEQHFTDALADTSPASPAQRFISEDGVVNARARVLGGGSCLNAGFYTRASNEYVRAAGWDARLVNSSYRWVERSLVFRPDVPPWQAALRDALLEVGVTPDNGFTFDHVTGTKIGGTIFDNSGQRHTAADFLRHARPRGLTVLLYATVSRILFKSQDGVPYPVAYGVVFSDPLGVQHRVYLRDGDKNEVIVSAGTLGSPQLLMLSGVGPQAHLEAHGIEVIVDQPMVGQGVADNPMNSVFIPSPVPVELSLVQVVGITRSGSFIEGVSGSEFGMPVSDGALRWARSFGMLSPQTGQLGTLPPKQRTPEALQRAAEAMMRLDRRAFRGGFILEKILGPVSSGHVELRTTDPRANPSVTFNYFREAEDLERCVHGIETIERVIQSRAFSNFTYANASVESIFTDSANFPVNLLPRHVNDSRSPEQYCMDTVMTIWHYHGGCHVGAVVDDDYRVFGVQGLRVIDSSTFKYSPGTNPQATVMMLGRYMGVKIQSERWKK >ORUFI10G17370.1 pep chromosome:OR_W1943:10:18137485:18142793:-1 gene:ORUFI10G17370 transcript:ORUFI10G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSDRHDYPPPPSPAAVPAASSPSVLPPSASFPGGGSSHGGGGMQMVPYGPPAGGSQHGGMQMVAYGSPAGGSQHGSVRPSLKVVLLHGTLDVWVYDARNLPNKDLFSKRVGDLLGPRLIGAVGSKMSSANMTSDPYVTIQVSYATVARTYVVPNNENPVWTQNFLVPVGHDAAEVEFVVKDNDVFGAQLIGTVSIPAEKLLFGERINGIYDVLESNGKPCAQGAVLRLSIQYIPVAQLKMYHHGVIAGPDSLGVPNTYFPMRRGNRVTLYQDAHVPDGCLPDFCLDHGMRYQHGQCWRDIYDAICQARRLIYIVGWSVFHTIHLIREGVEKMPSLGELLKMKSQEGVRVLLLVWDDPTSRSILGIKTDGFMGTRDEETRRFFKHSSVQVLLCPRSAGKRHSWVKQQETGTIFTHHQKTVILDADAGNHKRKIIAFVGGLDLCGGRYDTPSHPLFRSLQTVHKEDYYNPNFATVDARGPREPWHDLHSKIDGPAAYDVLQNFQERWLKASKRHGIKKLGKSYDDALLSIERIPDFISINDAIYFSDNDPETWHVQVFRSIDSNSAKGFPKDPREATRKNLVCGKNVLIDMSIHTAYVNAIRGAQHFIYIENQYFIGSSFNWDSNKDIGANNLIPIEIALKIANKIKAKERFSAYIVIPMWPEGNPTGAPTQRILYWQHKTMQMMYETIYRALKEEGLDDLYEPQDYLNFFCLGNREVADSPSTSNSTSTPQEQARKHRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGIRDTEIAMGAYQPQYTWASKVSAPRGQIYGYRMSLWAEHIGVVEEGFNYPETMECMRRVRQIGEQNWERFVDNEVTEMRGHLMKYPVSVDRKGKVKPLPGCTSFPDMGGNICGSFRAIQENLTI >ORUFI10G17380.1 pep chromosome:OR_W1943:10:18145160:18145773:-1 gene:ORUFI10G17380 transcript:ORUFI10G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLMKAFRQSNLRMLMTDAKMPSIILTGDPRSSLPIRRLSQAIIVCTVQCRQIVPGRIDQQSEVIKPSGKGYQWKGDRSPRSR >ORUFI10G17390.1 pep chromosome:OR_W1943:10:18147076:18149352:-1 gene:ORUFI10G17390 transcript:ORUFI10G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSMRWWCLAVVLLLSTPLLAAGYLQERKNYIVHLEPRDEAAAAAGDASVEEWHRSFLPQVAKLDSDSDGADGGPRIVYSYSDVFTGFAARLTDEEAEAVRATAGCLRLYPEEFLPLATTRSPGFLGLHLGNEAFWSHSGFGRGVVIGILDTGILPSHPSFGDDGLQPPPKNWKGTCEFKAIAGGGCNNKIIGARAFGSAAVNSSAPPVDDAGHGTHTASTAAGNFVENANVRGNADGTASGMAPHAHLAIYKVCTRSRCSIMDIIAGLDAAVKDGVDVLSFSIGASSGTQFNYDPIAIAGFKAMERGIVVSCAAGNSGPDPGTVGNGAPWMLTVAAGTMDRAIRTTVRLGNGDEFDGESLFQPGNNSAANPLPLVYPGADGSDTSRDCSVLRGAEVTGKVVLCESRGLNGRIEAGQTVAAYGGAGIIVMNRAAEGYTTFADAHVLPASHVSFDAGTKIAAYANSTDNPTASIAFKGTVIGSSPSPAVTFFSSRGPSKASPGILKPDITGPGMNILAAWAPSESHTEFSDGVGLSFFVESGTSMSTPHLSGIAALLKSLHPDWSPAAIKSAIMTTSDAVDRTGVPIKDEQYRHATFYAMGAGYVNPALAFDPGLVYDLHADDYIPYLCGLGIGDDGVKEIAHRPVTCSDVKAITEAELNYPSLVVNLLAQPITVNRTVTNVGKASSVYTAVVDMPKDVSVIVQPPMLRFTELKEKQSFTVTVRWAGQPNVAGAEGNLKWVSDEHIVRSPIVIPATAA >ORUFI10G17400.1 pep chromosome:OR_W1943:10:18152643:18156837:-1 gene:ORUFI10G17400 transcript:ORUFI10G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLALVAICSYQLAVTSNKQKRRRRPPVVGTVFHQLYNVRRIHDYHTALSREHTTFRMLVPAGGDQIYTCDPAVVEHILKTNFANYGKGPFNHGNAKDLFGDGIFAVDGDKWKQQRKIASYDFSTRALRDFSCAVFKRNAAKLAGIVSSHAASNQSMDFQGLMLRATMDSIFTIAFGTDLNTLDGSGEGSRFAAAFDDASEFTMLRYISPLWKLARLLNVGVEAMLKERIKVVDEFVYRLIRARSDELSTTHDSGSRQDILSRFLQATTSDSGVDYKYLRDIILNIVIAGKDTTAGALAWFLYMVCKHPEVQEKICHEAMEATSAGDTASVDEFLQSLTDQALNNMHYLHAALTETLRLYPSVPMENKQCFSDDVLPNGFNVSKGDIVFFIPYAMGRMESLWGKDAEAFRPERWLDENGVFQQESPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLRFFVLKLRDEKEIG >ORUFI10G17410.1 pep chromosome:OR_W1943:10:18164820:18167839:-1 gene:ORUFI10G17410 transcript:ORUFI10G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDGGVNSSSYSPAAAGGLVLVVAAICTYLAVVATRKQRRRRPPVVGTAFHQLYHVRRVHDYHTALSREHTTFRLLVPAGREQIYTCDPAVVEHILRTNFANYGKGSFNHGNMSDLFGDGIFAVDGDKWKQQRKIASYDFTTRALRDFSGDVFKRNAAKLAGVVSGHAASNQSMDFQAIKTDRNYRWRQWCVVFVSPLRTFVRLFLQGLLMRATMDSIFTIAFGTDLNTLDGSGEGRRFAAAFDDASEFTMLRYLNPFWKLARLLNVGAEAMLKERIKIVDGFVYKLIRDRSDELSNTKAHDTDSRQDILTRFIQATTSDSGTVDYKYLRDIILNIVIAGKDTTAGSLAWFLYMVCKHPEVQEKICHEAMEATDAGEAASIDEFSQSLTDEALNKMHYLHAALTETLRLYPAVPLDNKQCFSDDVLPNGFNVSKGDIVFYIPYAMGRMESLWGKDAESFRPERWLDGNGVFQQESPFKFTAFQAGPRICLGKDFAYRQMKIFAAVLLRFFVLKLRDEKEIVSYRTMVSYRTNDYTLRRSGSPSDGYGEMRAHTLQSGHAQQLAPVLLMQVIAPCAYRNERDLQILIMSDRE >ORUFI10G17420.1 pep chromosome:OR_W1943:10:18174543:18178094:-1 gene:ORUFI10G17420 transcript:ORUFI10G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQAYRAHDELPYMGMDGDSSYSPALAAVAGAVALVAFCSYYLAVTRATGDGEARRRRRRHPPVVGTVFHQLYHVRRLHDYYTALCREHTTFRLLATPGRRNIYTCDPAVVEHILRTNFPSYGKGPLNSEILNDLFGEGIFAVDGEKWKTQRKIASYDFTTRALRDFSSDVFKRNAAKLAGVVSNHAASNQSMDFKGLLTRATMDSIFTIAFGQDLNTLDGSGEGRRFAKAFDDAGEYLLLRYLNPFWKLARLLNVGSEATLKERIKVVDEFVYKLIRARSDELSNTMAQDHRSRDDLLSRFIQATTSDSGTVDYKYLRDIVLNIVIAAKDSTSGSLAWFLYMACKRPEVQEKIFDEVMEATNAGDSASIDEFLTSLTDQALNKMHYLHAALTETLRLYPSVPLENKQCFSDDVLPNGFSVSKGDGVFYMPYAMGRMEFLWGKDAEAFRPERWLDEHGVFQQESPFKFTAFQAGPRICIGKDFAYRQMKIFAAVLIRFFVFKLRDKKDNVSYRTAITLAIDQDLHLTATAR >ORUFI10G17430.1 pep chromosome:OR_W1943:10:18178775:18179284:1 gene:ORUFI10G17430 transcript:ORUFI10G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding METELDTSLSASGMTLLTAWVSYRANLASSRPLTPGIFSDRLLERPMTKGGENFGAFWSTRTWGGDLLRL >ORUFI10G17440.1 pep chromosome:OR_W1943:10:18183385:18192239:-1 gene:ORUFI10G17440 transcript:ORUFI10G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNSSGDPAAVRVVGGWASPFVNRVVVALKLKGVEHEMLQETVGKKSELLLRSNPVHKKIPVLLHHGKPIAESLIIVEYIDEVWPASNGAPSILPRDPYGRAVERFWAKYIDDKIPPGIRVLRGSVEEDKDKAAGEMSTALQHLEEAFVKCSQGKQYFGGDNIGYLDIALGSFLGWIRAVEKIAGVELLDEAKVPNLAAWADRFCAHTAVVDVVPDADRLVEFTVQHAALLRAVNAPNYTARDNRVNIAVIAANHDYRTIIAVIVLPRGDDNLGPNDKLLFLGHIE >ORUFI10G17440.2 pep chromosome:OR_W1943:10:18181163:18183382:-1 gene:ORUFI10G17440 transcript:ORUFI10G17440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSGPAEPAAAVRVLGSWTSPFVMRVVVALKLKGVEYELLQETRGKKSELLLRSNPVHKKIPVLLHHGKPLAESLVIVEYIDEVWPASDGAPAILPRDPYCRAVERFWAQYIDDKFPRGTRVLRGTVAGDKDEVVVEMSTALQHLEAAFVKCSRGKEYFGGDNIGYLDIALGSFLGWIKAVEKFAGVELLDEAKVPNLAAWADRFCAHPAVVDAMPDADKLVEFAVKHAASMKALDAPNANSISTSPKAGAQPNNFSSTWSSLTK >ORUFI10G17440.3 pep chromosome:OR_W1943:10:18180085:18180913:-1 gene:ORUFI10G17440 transcript:ORUFI10G17440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNNSSGEPPAAVRVLGGWASPFTNRVVVALKLKGVEHELLQETVGKKSELLLRSNPVHKKFPVLLHHGNPLPESLVIVEYVDEVWPASNGAAPAILPRDPHGRAVERFWARYVDDKILPGLRVLRGSVAGDKDQTAGEMSTALQRLEEAFVKCSQGKEYFGGDSIGYLDIALGSFLGWIKAVEKIASVELLNETKLPILAVWADRFCAHPAVVDVVPDADKLVEFTVQYGAVLNTVNVLPK >ORUFI10G17440.4 pep chromosome:OR_W1943:10:18180083:18183382:-1 gene:ORUFI10G17440 transcript:ORUFI10G17440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSGPAEPAAAVRVLGSWTSPFVMRVVVALKLKGVEYELLQETRGKKSELLLRSNPVHKKIPVLLHHGKPLAESLVIVEYIDEVWPASDGAPAILPRDPYCRAVERFWAQYIDDKILPGLRVLRGSVAGDKDQTAGEMSTALQRLEEAFVKCSQGKEYFGGDSIGYLDIALGSFLGWIKAVEKIASVELLNETKLPILAVWADRFCAHPAVVDVVPDADKLVEFTVQYGAVLNTVNVLPK >ORUFI10G17450.1 pep chromosome:OR_W1943:10:18185918:18197155:1 gene:ORUFI10G17450 transcript:ORUFI10G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAGGGDELKLLGMWASPFALRAKLALSFKGLSYDYVEEDLKNKSELLLSSNPVHKKVPVLIHNGKPICESQVIVQYIDEVFPDAGVTLLPADPHDRAVARFWASYIDEKLLGAWIPVFRGKTEEEKAEGVKQTFAVAETLEGALSECSKGKPFFGGDTVGYVDVVLGGFVAWVHAIEEVFGLNQFDAAKTPLLAAWLERFDELDAGKEVMPDIGRLVELEKMRQAQAQAARVNTADCSHRNGLYIYVLASEFLPKEESIEQKRSQKLQSLRDVCEEHSIAMAGGGDELKLLGMWASPFALRAKLALSFKGLSYDYVEEDFKNKSDLLLSSNPVHKKVPVLIHNGKPICESQVIVQYIDEVFPDAGVTLLPADPHDRAVARFWAAYIDEKLFSAWILVFRSKTEEEKAEAVKQTFAVVEKLEGALSECSKGKPFFGGDTVGYVDVVLGGFVAWVHAIEEVFGLNQFDAAKTPLLAAWLERFDELDAVKEVMPDIGRLVELAKMRQAQAAAAAAAVAAAAAGEAN >ORUFI10G17450.2 pep chromosome:OR_W1943:10:18191956:18197155:1 gene:ORUFI10G17450 transcript:ORUFI10G17450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAPLEAGQTSSMYSTMMRDSAMGLPWWSSTGIFLCTGLDRSSSSLFFPTVSCSISCSTPFSFSATTTRFTKGLAQPPTTRTAAGSPELSADCSHRNGLYIYVLASEFLPKEESIEQKRSQKLQSLRDVCEEHSIAMAGGGDELKLLGMWASPFALRAKLALSFKGLSYDYVEEDFKNKSDLLLSSNPVHKKVPVLIHNGKPICESQVIVQYIDEVFPDAGVTLLPADPHDRAVARFWAAYIDEKLFSAWILVFRSKTEEEKAEAVKQTFAVVEKLEGALSECSKGKPFFGGDTVGYVDVVLGGFVAWVHAIEEVFGLNQFDAAKTPLLAAWLERFDELDAVKEVMPDIGRLVELAKMRQAQAAAAAAAVAAAAAGEAN >ORUFI10G17450.3 pep chromosome:OR_W1943:10:18185918:18192336:1 gene:ORUFI10G17450 transcript:ORUFI10G17450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAGGGDELKLLGMWASPFALRAKLALSFKGLSYDYVEEDLKNKSELLLSSNPVHKKVPVLIHNGKPICESQVIVQYIDEVFPDAGVTLLPADPHDRAVARFWASYIDEKLLGAWIPVFRGKTEEEKAEGVKQTFAVAETLEGALSECSKGKPFFGGDTVGYVDVVLGGFVAWVHAIEEVFGLNQFDAAKTPLLAAWLERFDELDAGKEVMPDIGRLVELEKMRQAQAQAANRSTARP >ORUFI10G17450.4 pep chromosome:OR_W1943:10:18185918:18196859:1 gene:ORUFI10G17450 transcript:ORUFI10G17450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAGGGDELKLLGMWASPFALRAKLALSFKGLSYDYVEEDLKNKSELLLSSNPVHKKVPVLIHNGKPICESQVIVQYIDEVFPDAGVTLLPADPHDRAVARFWASYIDEKTEEEKAEAVKQTFAVVEKLEGALSECSKGKPFFGGDTVGYVDVVLGGFVAWVHAIEEVFGLNQFDAAKTPLLAAWLERFDELDAVKEVMPDIGRLVELAKMRQAQAAAAAAAVAAAAAGEAN >ORUFI10G17460.1 pep chromosome:OR_W1943:10:18203652:18206651:1 gene:ORUFI10G17460 transcript:ORUFI10G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYRGPRFKKIRRLGALPGLTRKTPKSGSNLKKKFHSGKKEQYRIRLQEKQKLRFHYGLTERQLLRYVHIAGKAKSSTGMASTIPEARQLVNHRHILVNGRIVDIPSFRCKPRDIITTKDNQRSKRLVQNSIASSDPGKLPKHLTIDTLQYKGLYRYNHNRARVPLFY >ORUFI10G17460.2 pep chromosome:OR_W1943:10:18203831:18204527:1 gene:ORUFI10G17460 transcript:ORUFI10G17460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYRGPRFKKIRRLGALPGLTRKTPKSGSNLKKKFHSGKKEQYRIRLQEKQKLRFHYGLTERQLLRYVHIAGKAKSSTGQVWLQPFPRPGN >ORUFI10G17470.1 pep chromosome:OR_W1943:10:18207210:18210194:1 gene:ORUFI10G17470 transcript:ORUFI10G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRINGNFIDKTFSIVANILLRIIPTTSGEKRAFTYYRDALPTPRREEPYEVKTSCTGKELPIPTGENRPFYRVIQKLQKLGLIKLLSIGNKL >ORUFI10G17470.2 pep chromosome:OR_W1943:10:18207210:18210194:1 gene:ORUFI10G17470 transcript:ORUFI10G17470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRINGNFIDKTFSIVANILLRIIPTTSGEKRAFTYYRDATRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYGKELPIPTGENRPFYRVIQKLQKLGLIKLLSIGNKL >ORUFI10G17480.1 pep chromosome:OR_W1943:10:18211249:18213600:1 gene:ORUFI10G17480 transcript:ORUFI10G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRSPEPEVKIVVDRDPVKTSFEEWARPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTGDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIGPSAQIWRASGITSELQLYCTAIGALIFASLMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQIHVSLPINQFLDAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYAEFLSFRGGLDPITGGLWLSDIAHHHLAIAILFLIAGHMYRTNWGIGHGLKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSTTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPIFAQWVQNLHAGAPSVTAPGATTSTSLTWGGGELVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGTISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIIQGRAVGVTHYLLGGIATTWAFFLARIIAVG >ORUFI10G17490.1 pep chromosome:OR_W1943:10:18221561:18223716:-1 gene:ORUFI10G17490 transcript:ORUFI10G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRYWNINLKEMIEAGVHFGHGIKKWNPKMAPYISAKRKGTHITNLARTTRFLSEACDLVFDAASQGKSFLIVGTKKRAADLVASAAIRARCHYVNKKWFSGMLTNWSITKTRLSQFRDLRAEEKMEKFHHLPKRDVAILKRKLSTLQRYLGGIKYMTRLPDIVIVLDQQKEYIALRECAILGIPTISLADTNCDPDLANISIPANDDTMTSIRLILNKLVFAICEGRDNMNIIPCSIKTLKGLYDISGVEVGQHFYWQIGGFQIHAQVLITSWVVITILLGSVIIAVRNPQTIPTDGQNFFEYVLEFIRDLSKTQIGEEYGPWVPFIGTMFLFIFVSNWSVPLVVPIPVMFLGLFTSVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >ORUFI10G17500.1 pep chromosome:OR_W1943:10:18225964:18231115:1 gene:ORUFI10G17500 transcript:ORUFI10G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGMWASPYVLRVKIALSLKGLSYKYVEEDFKNKSELLLSSNPVHKKVPVLIHNGKPICESQVILQYLDEAFPDAGATLLPADPHERAVARFWAAFCDDTIAKASQQASSGKTEEEKAEGEKKVVEALEKMEVGLSECSKGKPFFGGDTVGYVDVVLGGFLAWVRAGDAMKGVKRFDPATTPLLAAWAERFVELDVAKAAMPEVDKLIELAMARMAGAAAAAAAATN >ORUFI10G17500.2 pep chromosome:OR_W1943:10:18229920:18231115:1 gene:ORUFI10G17500 transcript:ORUFI10G17500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGMWASPYVLRVKIALSLKGLSYKYVEEDFKNKSELLLSSNPVHKKVPVLIHNGKPICESQVILQYLDEAFPDAGATLLPADPHERAVARFWAAFCDDTIAKASQQASSGKTEEEKAEGEKKVVEALEKMEVGLSECSKGKPFFGGDTVGYVDVVLGGFLAWVRAGDAMKGVKRFDPATTPLLAAWAERFVELDVAKAAMPEVDKLIELAMARMAGAAAAAAAATN >ORUFI10G17510.1 pep chromosome:OR_W1943:10:18233836:18234186:-1 gene:ORUFI10G17510 transcript:ORUFI10G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPATAAAARTRQDHSAVARGGGAAGGCTTSPAKPLTVPYLARRLPHQLHRRGALHFLCLFPRVFDLHDPLPLSLSVTAPAAELLAVATSPAAAAAGSGERWGDDDGEGYTSGAI >ORUFI10G17520.1 pep chromosome:OR_W1943:10:18241803:18250131:1 gene:ORUFI10G17520 transcript:ORUFI10G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTNAIECRMAGGDELNLLGTWPSSFVTRVQIAVGLKGLSFEYAEEDLNNKSELLLSSNPVHKKVPVLIHDGKPISESQIILQYIDEAFNFNGAFLLSADLYERAVARFWAAYIDDKACIWKSITHDMAGGDELKLLGAFPSAYVTRVELALGFKGLSYEYVKEDLANKSELLLSSNPVHKKVPVLIHNGKPISESQVILEYIDEAFTGASLLSRDPYERAVARFWVAYIDDKFITSWYPMILGKTREEKEEGLKQTFAAVNTLERALKDSSKGKPFFGGDTVGLVDITLGSLIAWMKATEVLTGAKIFDPAKTPLLAAWTERFAELDTTKKVLPDVAGYVEYVNKRRQTQAATAAVM >ORUFI10G17520.2 pep chromosome:OR_W1943:10:18241803:18251617:1 gene:ORUFI10G17520 transcript:ORUFI10G17520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTNAIECRMAGGDELNLLGTWPSSFVTRVQIAVGLKGLSFEYAEEDLNNKSELLLSSNPVHKKVPVLIHDGKPISESQIILQYIDEAFNFNGAFLLSADLYERAVARFWAAYIDDKACIWKSITHDMAGGDELKLLGAFPSAYVTRVELALGFKGLSYEYVKEDLANKSELLLSSNPVHKKVPVLIHNGKPISESQVIVQYIDEAFPGAGVPLLPSDPYERAVARFWAAYIDDKLLKSWLQASMGKTEEEKAEALKETFAAVANLEAAFEGCSKGKPFFGGDAVGYLDVTLGALVSWVHAGEALYGMRLFDATRSPLLDAWVDRFAALDAAKAALPDAGRLAEYAKKRQGGGGARGGGARR >ORUFI10G17520.3 pep chromosome:OR_W1943:10:18251405:18255382:1 gene:ORUFI10G17520 transcript:ORUFI10G17520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIIYILGLCIRKSVDRRRTMEEDDDTVAIDAVAGERGPAALEAKALLQASLPQHLLQIDAEETSGPWRTRWTSWGRLTSIRLKTHLGVLLYSPLHGGDPVCDVYDSEAVTTATAISTFGSVAGSSSFRGRSGQTCEMAGSGDELMLLGKWPSPFVTRVELALGLKGLSYEYVKQDLVNKSELLLASNPVHKKIPVLIHNGKPVCESSIIVQYIDEAFPDAGASAALLPADPYERAVARFWTEEEKAEGMKQLLAAVETLEGALKDCSKGKPFFGGDTVGIVDVALGGLISWVKATEVLAGSKIFDEEKAPLLAAWAQRFGELDVAEKVLPDVDGVVEFAKMRLAEAAAAAAAASKN >ORUFI10G17520.4 pep chromosome:OR_W1943:10:18240678:18247397:1 gene:ORUFI10G17520 transcript:ORUFI10G17520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGMWASPYVLRVKLALSLKGLSYDYVEEDLMNKSDLLLSSNPVNKKVPVLIHNGKPICESQIILQYLDEAFPGAGATLLPADPHERAVARFWAAFNDDTLLAASQAASWGKTEEERAEGEKKVVEALERMEVGLRECSKGKPFFGGDTVGYLDVVLGGFLAWVRATDVMRGVKRFDPATTPLLAAWAERFVELDAAKAVMPDMDKMIEFGKVLQARAAATN >ORUFI10G17520.5 pep chromosome:OR_W1943:10:18250358:18251617:1 gene:ORUFI10G17520 transcript:ORUFI10G17520.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDELKLLGMWTSPFALRVKLALSFKGLSYEYAEEDLSNKSELLLSSNPVHKKVPVLIHNGKPICGVIVQYIDEAFPGAGVPLLPSDPYERAVARFWAAYIDDKLLKSWLQASMGKTEEEKAEALKETFAAVANLEAAFEGCSKGKPFFGGDAVGYLDVTLGALVSWVHAGEALYGMRLFDATRSPLLDAWVDRFAALDAAKAALPDAGRLAEYAKKRQGGGGARGGGARR >ORUFI10G17520.6 pep chromosome:OR_W1943:10:18240678:18242383:1 gene:ORUFI10G17520 transcript:ORUFI10G17520.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGMWASPYVLRVKLALSLKGLSYDYVEEDLMNKSDLLLSSNPVNKKVPVLIHNGKPICESQIILQYLDEAFPGAGATLLPADPHERAVARFWAAFNDDTLLAASQAASWGKTEEERAEGEKKVVEALERMEVGLRECSKGKPFFGGDTVGYLDVVLGGFLAWVRATDVMRGVKRFDPATTPLLAAWAERFVELDAAKAVMPDMDKMIEFGKVLQARAAATN >ORUFI10G17530.1 pep chromosome:OR_W1943:10:18257825:18258555:1 gene:ORUFI10G17530 transcript:ORUFI10G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASPFALRAKLALSFKGLSYEYVEEDLKNKSELLLSSNPVHKKVPVLIHNGKPVCESQVIVQYIDEAFPDAGASAALLPADPYERAVARFWQLLAAVETLEGALKECSKGKPFFGGDAVGYVDVTLGAVIGFVRVGEALHGMRLFDASRSPLLDAWLDRFAALDAAKAVLPDTGRLAEYAKMKQAEWAAAATN >ORUFI10G17540.1 pep chromosome:OR_W1943:10:18271182:18272283:1 gene:ORUFI10G17540 transcript:ORUFI10G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTGYPPSDKHIYSIFLRSSSSTTKHKPKHHLTTSKLRIPRDRATVQPEINQRMAGEGDDQLKLLGLWVSPYTHRVKLALSFKGLSYEYVEEDLSNKSELLLSTNPVHKKVPVLIHNGKPICESQVIVQYLDEEFPNSGVSLLPSDSYDRAIARFWAAYINDKLMPSWLQSSMGKTEEERAEALKQTLEAVANLETAFKECSKGKPFFGGDTVGYLDVSLGAMIGWMRAGEALHGRRTFDATRSPLLNAWMERFAALDAAKAAMPDNNKLVEFVRVRRAAAANN >ORUFI10G17550.1 pep chromosome:OR_W1943:10:18277348:18281762:-1 gene:ORUFI10G17550 transcript:ORUFI10G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHANEAVPESLGWNFLAYSTTLSTSCRTALAASSSPKRPSHAASSGALAASKSLAPESLSLACTHDTRPPSTTSTYPAVSPPKKGFPLDNSLNAVSRFSIAASICFTASAFSSSELNKS >ORUFI10G17560.1 pep chromosome:OR_W1943:10:18277670:18278787:1 gene:ORUFI10G17560 transcript:ORUFI10G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGDELKLLGLWASPYVLRAKFALSFKGLSYENVEEDLHNKSELLLSSNPVHKKVPVLIHNGKPICESQIIVEYVDEAFPDAGESLLPSDPYDRAVARFWAAYINDKFMPAWQKASLGLTEEEKAEAVKQMLAAIENLETAFKELSKGKPFFGGDTAGYLDVTLGAVVGWARAGEVLFGRKLFDATRSPLLAAWMERFVALDAVKAVLPDNAELIEYGKMRMAHYAKLAAALAAANKK >ORUFI10G17570.1 pep chromosome:OR_W1943:10:18280305:18284262:1 gene:ORUFI10G17570 transcript:ORUFI10G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRDELKLLGMWASPYVSRAKLALQLKGVSYEYIEEDLGNKSDLFLRSNPVHKTVPVLIHNGNPICESSIIVQYIDESFPSSAASLLPADPYDRAVARFWAAYIDDKLAAPWRMVYRVKTEEERDELMKQTLAAVDVLEGGLKECSKGKGCFFGGDSVGYVDVVLGGLVSWVHASDKLSGAKLFDAAKAPLLAAWLGRFGELDAAKAVLQDVDKVVEYAKKFQPRDSGTAADRQAEMAGGGGAGELKLLGHWASAYVTRVKLALHLKGVSYEYVEEDLRNKSDLLLASNPVHKTVPVLIHNGNPIRESQIIVQYIDEAFSGAGDSLLPADPHERAVARFWTAYIEDKLVAPWEKVFRAKTEEERAAWMKQMFVAVEALEGGLKECSKGKGCFFGGDSVGYVDVVLGGGVSFVHANDVITGGKLFDAAKTPLLAEWLGRFGELDAAKAVLQDVDRAVEYTKVLYARNAATTAANN >ORUFI10G17580.1 pep chromosome:OR_W1943:10:18286210:18295181:1 gene:ORUFI10G17580 transcript:ORUFI10G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFLKIHSWLPLTLPPPPNLLWEGGEKGQREPPPPDPPVAAADLLGTWASPYVSRVKLALHLKGLSYEYVVEEDHFNNKSELLLSSNPVHKKVPVMIHNGKPICESLIIMEYLDEAFPDTAAPLLPADPTAPSLASGPPTLTTSGKTGEEKAEGMRHMLVAVDALEAAMEEWSYKGKPFFGGDAVGFLDDRGAVWRQDLGRRAKTPLLSAWERRFGEMDAAKVALPDVCKLVEFAKMRRVQLEAAMAATTEMAAEDELKLLGFWASPYVCRVKLALHLKGLIYDYVKEDVFTNKSELLLSCNPVHAKVPVLIHNGKPICESQVIVQYIDEVFPDAGVTLLPADPHDRAAARFWAAYIDDKLLPPWVHAYRGKTDEEKAERMKQTLAVVDALETAMEECSKGNAFFGGDTVGYVDVALGGLLSWLHGTEELCGAKILDAAKTPLLSAWARRFGELDAANAALPDVGRLLILPDLVGSEMAGGGDELKLLATWFSPFASRVKFVFHLKGLSYENIEEDLKNKSELLLKSNPVIKKVPVLLHNGKPLCESMVIVEYLDETFAAVGPSVVPADPYERAVARFWVSYIDNKLVAPWFQVFRGKTKEEKAEGLKQMFEATAVMEVAFRECSKGRPFFGGDAVGIVDVALGSQLGWLRASETLSGIKLFDPAKTPLLLAWAERFLALDAAKASMPESGRLLAYAKMRQAETDAANASK >ORUFI10G17590.1 pep chromosome:OR_W1943:10:18295508:18296206:1 gene:ORUFI10G17590 transcript:ORUFI10G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGTSPYPPIYSSHPSIAREIIFLPFHLYSPRGTVSGTELVPHGTKLYQIQWPGFDTASVAISLGQQATPRPVSHFRLTSLPVSSRFHQYHAFVLRFRHDFALPNRDNGLFV >ORUFI10G17600.1 pep chromosome:OR_W1943:10:18296669:18297925:1 gene:ORUFI10G17600 transcript:ORUFI10G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGTWFSPFVSRVKFVFHLKGLSYENIEEDLKNKSELLLKSNPAIKKVPVLFHNGKPLCESMIIVEYIDETFAGVGPSVVPTDAYERAVARFWVSYIDNKLVAPWFQVFRSKSMEEKAEGLKQIFVAVMVLEEAFKECSKGRPFFGGDNAGIVDIALGSQLGWVRASQALSGIKLFDPAKTPLLAAWAERFLALDAAKASMPEFGRLIEYAKMRQAESDAANAAAN >ORUFI10G17610.1 pep chromosome:OR_W1943:10:18303256:18304027:1 gene:ORUFI10G17610 transcript:ORUFI10G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNNHELKLLGTWPSPFVVRVRLALGLKGLSYEYVEQDIRDKSELLVVSNPVHKKVPVLIHGGKPVCESQIIVQYIDEAFPGAGASLLPSDPHERAVARFWATYIDDEFATKFRAMGEAKEEEEKDEAAAQVFAALETLEEAMKGKVFFGGDSAGYVDVALGGFLGWIKAAEALAGVAFLDGARTPLLAAWAARFSALEAAKEAIPSVERLREFHGAMHAAAATVAGN >ORUFI10G17620.1 pep chromosome:OR_W1943:10:18306029:18306872:-1 gene:ORUFI10G17620 transcript:ORUFI10G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDDDVKVLGLVMSPFAIRVCIALKLKGVSYEYIEEDLANKSELLLSSNPVHKKIPVLIHGGKPVSESLVIVQYVDEAWAPSPTSPSILPADPYDRAVARFWAAYVDDKMVPGMVGVLRAATEEERAAKADETLAAMAQLEKAFAEVAAKNGKPFFGGDTVGYVDLALGCNLHFLEAIRRLHGVALVDAGKTPLLAAWAERFVEVEAAKGVVPDADDAVEFARKVQARVAAAAASTAAK >ORUFI10G17630.1 pep chromosome:OR_W1943:10:18309116:18309910:-1 gene:ORUFI10G17630 transcript:ORUFI10G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDDDVKVLGVVVSPFAIRVRIALNIKGVSYEYVEEDIFNKSELLLTSNPVHKKVPVLIHGGKPISESLVIVQYVDEVWAAAPSVLPADPYDRAVARFWAAYVDNNVSTVLYTVLAMAMSPKLFDEQLLVISLVVLQMFPGMAGVLFAATEEERAAKAEETLAALAQLEKAFAECAGGKAFFGGDSIGYVDLALGSNLHWFEALRRLFGVALLDAGKTPLLAAWAKRFVEAEAAKGVVPDAGVAVELGKKLQARAAAASTAA >ORUFI10G17640.1 pep chromosome:OR_W1943:10:18315547:18316278:1 gene:ORUFI10G17640 transcript:ORUFI10G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELKLLGSLSGVSPYVIRAQMALAVKGLAHDYLPEDLTRKSKLLLDSNPVHKSVPVLIHNGKPVCDSLVIVEYVDEAFPGGAAALLPADPYHRAVARFWAAFIDSKVFPPCLAILKTAAAEAEEEKAAKVKETVEALQLVEGAFGECSKRKPFFGGDAVGYLDVVLGCYLCWFEGVSEIAGGVSPPLLDASRTPQLAAWAARFRSAADAVGCSVPRVDKVEAYLNNVLKPKWSAAAAASSH >ORUFI10G17650.1 pep chromosome:OR_W1943:10:18316350:18321332:1 gene:ORUFI10G17650 transcript:ORUFI10G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVGRGGDELKLLGVWDSPYVNRVQIVLNLKGLSYEYVEEDLMNKSDLLLGSNPVHKKVPVLIHNGKPIAESRVIVEYLDEAFAAGAGGSTGASVLPSDPYERAVARFWAAYVDDKVRPAWLAILFGSKTEEERAAAVAQAVAALETLEGAFGECSKGKPFFGGDGVGFVDVVLGGYLGWFTAIDKLIGRRLIDPARTPALAAWEERFRATDAAKGVVPDDADKLLEFRQTLLRWSASKAK >ORUFI10G17660.1 pep chromosome:OR_W1943:10:18329576:18330031:-1 gene:ORUFI10G17660 transcript:ORUFI10G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPSGVVVVPWRLHVERPVLQPVSLPPPADAAATTAAAAAGATAAFVLPLAGDGAQGGEAEAAAIAAAASLSGGGGEAEGGREAGGRGCSVKAVVSPLPSSADVKRPPVEESVPEPVRAEEEAAAMQLVNRPGNMHCLIDRWSVLSTTET >ORUFI10G17670.1 pep chromosome:OR_W1943:10:18330924:18331342:-1 gene:ORUFI10G17670 transcript:ORUFI10G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRDPAGGSDLEDPEESKQEFCETPYACRFFMWEGQYEQFLADGHVGLGYQTGYEQFNVEALSSMGIEGLPLKGCAALGRMLVYLAVVQALLLLLILVVVISK >ORUFI10G17680.1 pep chromosome:OR_W1943:10:18332532:18333239:1 gene:ORUFI10G17680 transcript:ORUFI10G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGELKLLGMWTSAFVLRVRFVLNLKSLPYEFVEENLGDKSDLLLASNPVNKTVPVLLHAGRPVNESQVILQYIDEAWPDRPPAVLPSDPYERAVARFWAAYVDDKVRLAWLGILFRSETEEERAAAVAQADAALETLEGALRECSGGKPFFGGDGVGLVDVVLGGYLGWFTAIKKLIGRRMIDPARTPALAAWEDLFRATDAARGVLPDDADKMLEFRQTALALGASKKITL >ORUFI10G17690.1 pep chromosome:OR_W1943:10:18333622:18334539:1 gene:ORUFI10G17690 transcript:ORUFI10G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSLLLTSFFREERAEAIRLQTAAATYHNLDSSNCKRTLTSHLGLGHDREFATNKRSLLIGPYRMATKMKRALYHLFHPQLFQHRERKAVEGAAGEVEARREEVDTEDDATGEVEAGLAEADAEDGAARGIEDEGEKKGHWNGHARGN >ORUFI10G17700.1 pep chromosome:OR_W1943:10:18338480:18340888:-1 gene:ORUFI10G17700 transcript:ORUFI10G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPRAAAAAVLPVLLPLLLLLLNWAAAPVGAATAAETRALLEFKAAVTADPGAVLANWTLGGDPCRDFGGVSCYPASGAVQRLRLHGEGLEGVLSPSLARLPALESVSLFGNRLSGVIPASFVGLAATLHKLNLSGNALSGEIPAFLGTFPMLRLLDLSYNAFSGEIPATLFGECPRLRYVSLAHNALTGRVPPGIGNCVRLAGFDFSYNNLDGELPDKLCAPPEMSYISVRSNSLSGAIDGKLDGCRSLDLFDVGSNSFSGAAPFGLLALVNITYFNVSSNNFAGEIPSIPTCGDRFAYLDASRNKLTGSVPETMANCRNLMLLNLGANGQGLTGGIPAALSQLKNLNFLDLSENALTGVIPPELGDLSNLAHFNVSFNNLTGSIPSSPLLQQFGPTAFMGNPFLCGPPLDHACPGRNARRLGVPVIVAIVIAAAILVGICIVSAMNIKAYKNKRRREQQQHDDEEEILVSDSAAIVSPGSTAITGKLVLFRKNSSASRYEDWEAGTKAVLDRNCLVGVGSVGAVYRASFESGASIAVKKLETLGRITSQEEFEREMGRLRGLTHPNLVTFHGYYWSPSTQLLLSEFVDNGSTLYDHLHGSRRRAGPASTGGDGGGLPWERRFRIAVATARALAYLHHDCKPQVLHLNIKSRNILLDNEHEAKLSDFGLSKLLPEPSNLPGYVAPELASSSMSSRHGGDKCDVFSFGVVLLEMVTGRKPVSSRHGRQGTVLVVVLRDYVREMVESGTVSGCFDLSMRRFVEAELVQVLKLGLVCTSESPSRRPSMAEVVQFLESIRGSS >ORUFI10G17710.1 pep chromosome:OR_W1943:10:18345255:18345461:-1 gene:ORUFI10G17710 transcript:ORUFI10G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRRNAIVSIETEPGAAVAVAVAATKSARRHGAAAWVSAFIRRTAPVARVSGKVAAF >ORUFI10G17720.1 pep chromosome:OR_W1943:10:18345984:18348232:-1 gene:ORUFI10G17720 transcript:ORUFI10G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTSANELMMHRHVQAAPYAAAPQQQGGKQRAPGLPPTPPPPPAAASSHSSHGDVCMDDTARGGLLPPRKAHRRSRSDVPFGYFQPLPPPSPKMEAGGGWALPGCGGAGDVDLLNAYMSLEGMGGADGLNNSDGDSRGSSMRTNGADSSENESEDYVGADSQALLWGGAGGGEAAGKKRRNNAAGEKAARHARSLSMDSLMGKLSFAANGEPAKFSLEFGSGEFTPAEMKRIMADEKLAEMALADPKRVKRQSAARSKERRMRYIAELEQKVQILQTEATTLSAQLTLLQRDSSGMATQNNELKFRLQSMEQQAQLRDALNEALTAEVQRLKLAANEVCDTSSSSNLAHQIQLRCQNQMLDLHKQQQQQVEQIPFYQLELPEQQNGTARNHESK >ORUFI10G17730.1 pep chromosome:OR_W1943:10:18360947:18363124:1 gene:ORUFI10G17730 transcript:ORUFI10G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDVDLYRIEPWDLQGYEEQSEWYFFSYKDRKYPTGTRTNRATMTGFWKATGRDKAVRERSRLIGMRKTLVFYKGRAPNGHKTDWIEEGWVVCRAFKKRTMQPPRSSIGAWEASYSYHDPAVFVGGGEHFKQEAAAELDGVAAAAGANAFLRYSTRLAELPQLESPPLPSQGSQAASAVVDGEEDNADSSRRPGGGGGAAAAVTTDWRAFDKFVASQLSPEEQHTCRATDDDDMAALLLLDGGGQEDDAGRWLGSAGLLSAVAADATTDCGLGTSCVPGDIN >ORUFI10G17740.1 pep chromosome:OR_W1943:10:18366086:18373841:1 gene:ORUFI10G17740 transcript:ORUFI10G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIRSAPGRGKSDEDERRPIGSLFKLKRKRRAPGSAEAKGDSNPSVESEAPDGVVPGEMDDTLAIIKRKLRKPKKGKEGGDAVVVGSGAEGELLVEEEDVQGGVNVGDGVAEDKSNLEGVKVEVDEVIGGELKDSGGLGLEDSLSTLFKRSGRKSRQVSVKEEEGVEVAGSHGEEILEKGSGLVSDRVAKGTKRRRRRTKEEMKNAAAKSESAMAHEGSPNRKVGTSLPRKAKAEAKVKISNSNRRSKKSDEKPKASDDVLCHRSLGETIEQDAETRTVLDDGSRNSSDGASHRIEVSACLSNQPCLKPCSGELAEEVSLSAANAATDGVSNEHTYSETLLKERNDDAGCSHGKPPTLAIKSIPGKKPIEMPKKPVRQKDQLLSTDVDNKCVVGSGDTKDVNIENQPAFGIPESHVTGKGLHPHKMATSVKELDVVDVVAPTDFEDMENASKSKRVTRSSRKRKHGDMAYEGDIDWETLMQEQGLFSNLSAALVDYPLKSKDKIKISEVLDNGDGSGVAAVRAGLKAKAVTPIEKIKFKDILKRRGGLQEYLECRNMILSRWCKDVKHILDLAECGVSDVCLDDESPRQTLIRDVYLFLDQNGYINAGIASDKVKTDHESPPEDVEVSKLNESHERKSVSIQDCIVTEAVQDKKAVVKQTDCVLTEASNEESSSAAIHCDAQDLLPPLKSEELIFKEKNQGVLTEGRDESALPSNSDIHSKSDLDGFILKVEGGSLHQAEAADIEHSENKHEASDRVESGGYGKKIIVVGAGPAGLTAARHLQRQGFSVTVLEARNRIGGRVYTDRVSLSVPVDLGASIITGVEADIATERRADPSSLICSQLGLELTVLNSACPLYDVVTGDKVPDDLDTDLESEYNGLLDEMAQLFAQNGESAVGLSLEDGLEYALRKNRVTRSEQDDQLRNVSSAGAVDISESASTEKEIAHCGKEDKTDVLSPLERRVMNWHFAHLEYGCAAMLKSVSLPYWNQDDVYGGFGGAHCMIKGGYDTVLESLAKGLDVQLNHVVTEVLYGSEELGASGNSRKFVKISTSNGNEFVGDAVLITVPLGCLKAQTIKFSPSLPDWKLSSIDRLGFGLLNKIVLEFPEVFWDDNVDYFGATAEQTDLRGQCFMFWNLKKTVGVPVLIALLVGKAAIDGQSISSDDHVKNAIVVLRKLFKDASVPDPVASVVTNWGLDPFSRGAYSYVAVGASGRDYDILGRPVSDCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLVHSGKDYVAEVEALQTYQMQSDSERNEVKDMSNKLDACELSTALCKTSSDASYPLFSKETLLQEMFFSAKTTSGRLHLAKELLKLPPDVLKSFAGSKDGLSTLNSWILDSLGKNATQLLRHCVRLLLLVSTDLLAVRLSGIGRTVKEKVCVHTSRDIRAIARQLVSVWVEVFRKEKASNGGLKLLRRMPSTESSKPRSKDLLSGKPIVRAPNQVSFNPKVASKNARSAGNHSPHTAIKKPENKAAKLEAMTATRSDGSSLRSQKQQHALEPKVDNGLAMSEEEAAAFAAAEAARAAAIAAAQAYASVEAEINAPRELPKIPSFHTFAMRDHYLDESDTRKKVLSDNLVRLECISEIDSRNDKAKNPSVDHANCADVDSSKMTGDNCTQRSYSNENACLINIRDHSTDSGAVDSRFTRAWVDTDTIFIDGVKDPLAIERWQQQAMEADKEFYSRIRIPDEEDSSSQKQTCRSSASQVAESKPASDGQSRGVEHLRQGLINFISTVLMPLYRNKKVDREGYKGIMRKAVTKIIETCTEGEKMMTVHEFLDSKRKNKVSKDQFVENWLGCQIELYGLTEVPYEDMGFSMVSFAMDTHIPYRTLV >ORUFI10G17750.1 pep chromosome:OR_W1943:10:18378028:18381210:-1 gene:ORUFI10G17750 transcript:ORUFI10G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSALASAGRAANEAVSFVVFMVLDVVEVLLCVVYKVADYMLEGAWRPCYCSSSSTAAGAAASGKIVVSERGGSKVVSMLSSTKLHLEDISDTLYTRPSVLAGAASATTRSPSSRRGGGGVRAPPATTVTVHSAIVQMLRGKIGADGDGKQHKPYPSPRWSDCHCTNCNPADAGRLFVHVEAPPGGAATEEDVLFIHGFISSSGFWTETVLPNVSPEARARRRLFAVDLLGFGRSPKPADSLYTLREHVEMIERSVIERHGVKSFHIVAHSLGSILALALAVKYPAAVRSLTLVAPPYFPVPRGEVGTQYVLRTVAPRRVWPPIAFGASVACWYEHLSRTVSIVLCKHHRLWELAFRVFTLYRVRTYLMDGFFCHTHIASWHTLHNIICGSAGKIDKCLEIVRDQLTCDVTIYHGRDDELLPVQCSYAVKAKIPRARVKVVDGKDHVTIVVRRQKELAMELEEIWNRKR >ORUFI10G17760.1 pep chromosome:OR_W1943:10:18387631:18388756:-1 gene:ORUFI10G17760 transcript:ORUFI10G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLNVHDKAEKQKAMKAVSALIGIDELSMDMASQKMTVIGMVDPVNVVSKLRKSWAATIESVGPAKEPEKKEEKKDGGGDGKKDGGGDGKKEGEAGDKKDGDAAKKDGDKDGEAKKEDGDKKPAAPTEQQLFAELMNQYYHRPAAYGYNPYMSVPPHYVVQSMEENPNSCAIC >ORUFI10G17770.1 pep chromosome:OR_W1943:10:18399717:18400508:1 gene:ORUFI10G17770 transcript:ORUFI10G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMTLRRGGAGAGIRIKKKARGFMCGGCGGSKAVSVSDGSDKQSPMATPPPNTSSTTTTTTTGSAGNKTTAAAGSSSFSPSYDYDYVDTDADTSVGSTPSVAALLRQLGELERSVRSLQGAVAEGRGAKNDGRGGGRRHRRTVSDGGGGGSGRVEESVAVVKESADPLFDFRRSMLQMIVEKEIVGGAELRELLHRFLSLNSPHHHHVILRAFAEIWEEVFAGYERTPDFLVSSRHRRPTKKKLPASYTAADDDDDDSWNAA >ORUFI10G17780.1 pep chromosome:OR_W1943:10:18403520:18409441:1 gene:ORUFI10G17780 transcript:ORUFI10G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGPAHSPPPAAAAVAVAGGGGGGGGGGEPLVVTLNCLEDPSMEQEVLAGAAAVEHAPLSALSSGRVEAAAAVLLTSLAFLPRAAQRRLRPWQLILCLGSPDRAADAAVAAELGLRLVHVDANRAEEVADTVMALFLGLLRRTHLLSRHASSYSAPPAGWLGSVQPLCRGMRRCRGLVLGIVGVNAAARCLATRSLAFSMSVLYFDPLHEANGKTKRPSILFPSAARRMDTLNDLLTASDLVSLHCALTNDTTHILNAERLQHIKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWIEIREKALAILQSFFYDGVVPNNALSDDEEEITEAGCEDDQLAKQAKEQVCDGGQQTDESQLTLECDKRRAISHSEEPQASGQSQNRENVVPRSEGRRSRSGKKGKKRPARRKSQQKRDELLSTLEGGSNYSSRMDDDTVTSGKDQVLSSSSRFASPEDCKTKLRSSAEFPMEIISENKLTAGLSIKPLERLKDGFVVALRTRDNSGFHVARERVAGVGWYLDVVSKATKRDPAAQFLITFRNKDTMGLRSFVAGGKLLQVNKTMELVFASYSFDVWESWTLEGSLLDCCKLVNRKIPSVVLEVYIEILAAVSEEDGVTRWLD >ORUFI10G17790.1 pep chromosome:OR_W1943:10:18408165:18408830:-1 gene:ORUFI10G17790 transcript:ORUFI10G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLHQTPLQPPQTTTRPHPWTHTSTTNLSPPHVALPQRRRAPLRRLQAAGSGGAIGSSAVTDTESTTTAKSPSKKKSRKEKQRMRRQEKEQEQRQMVLEALDVKSGGEVDEDDDELPQPVFDRILRRIMFMVGVPMASGVGLLNLYGALERGRGVAVPSWLPLLTILVAFGTSALGIAFGTLSASWDPEKEGSLLGLEEARANWPVLWEEEIEKAKKKK >ORUFI10G17800.1 pep chromosome:OR_W1943:10:18409835:18412348:-1 gene:ORUFI10G17800 transcript:ORUFI10G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWSVGFINARLSQRTPVLGLRLWVLVAAGAAAAVVLALLIVVCLCRRCRRRRCSRLAPAPPHHGRSNRSLKQQQSMVSDKDIEEAARWPPPPSFQPPIEVIKAEQTAPLIMVEAARTSGETATSSGGSTRGWSTESGGSDAAEPEASRRGWGRRYTRRELEEATNRFAAENVLGEGGYGVVYKGILRDNTAVAIKNLHNNRGQAEKDFKVEVATIGRVRHKNLVSLLGYCSEGACRLLVYEYMENSNLDKWLHHGDDEISPLTWDMRMHILLGTARGLAYLHEGLEPKIVHRDVKSSNILLDRHWNARVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYARTGMLNERSDVYSFGVLIMEIISGRTPVDYTRPAPEVNLVEWLKRMVAERRVEEVVDPRLPETPPPKVLKRAVLAALRCVDPDGGQRPTMGHVVHMLEDDLKFRDELQLARDLSPHASDSYEYEL >ORUFI10G17810.1 pep chromosome:OR_W1943:10:18415430:18416440:1 gene:ORUFI10G17810 transcript:ORUFI10G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPANQFVYIDAAALHSVLPFPSLISHLGAGLPAFAAGIHCPHRVSFPLPTAPSASLLLMPSWSAHPSLPYLALKAVTSFPANSPRLPSVHAAVSLFDSASGVPLASLDGSALTLLRTAAVSALAASLLASPTRPPSTLALAGAGALAPYLAEAHLSALPSISRILIWNRTKAKSAALAARLRDAHPGVAVEEADSMDEAVSAADVVSCATGSQEPIVRGELLKPGAHLDLVGSFTPAMRECDDEALRRGRVFIDFEAAMQEAGELVGALQRGVLRREDVAGTLAELAAGSVAGRRCDDEITVFKSVGTAVVDLLAAQLAYETYIATTTKKT >ORUFI10G17820.1 pep chromosome:OR_W1943:10:18422839:18424949:1 gene:ORUFI10G17820 transcript:ORUFI10G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLVVITPAVLLGRTARVSPSAVPRLRPIVAGRRAVAAPTRAVLGDGAGVGGEEDAVVAVVEEDAVARRAARKRSERRTYLVAAVMSSLGFTSMAAAAVYYRFAWQMEAGGGDVPATEMVGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIANAAPAISLLAYGLLNRGLLPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIENVPYFRRVAAAHQIHHTDKFEGVPYGLFLGPKELEEVGGTEELEKEIKKRIKRKEAMDAIR >ORUFI10G17830.1 pep chromosome:OR_W1943:10:18434481:18438429:1 gene:ORUFI10G17830 transcript:ORUFI10G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSGGAGGGGGAQIKGMGTHGGRYVLYNVYGNFFEVSSKYAPPIRPIGRGAYGIVCAAVNSENGEEVAIKKIGNAFDNHIDAKRTLREIKLLRHMDHENIIAIKDIIRPPRRDNFNDVYIVSELMDTDLHQIIRSNQPLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLFLNANCDLKIADFGLARTTTETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEIVTRQPLFPGRDYIQQLKLITELIGSPDDSSLGFLRSDNARRYMKQLPQYPRQDFRLRFRNMSAGAVDLLEKMLVFDPSRRITVDEALHHPYLASLHDINEEPTCPAPFSFDFEQPSFTEEHIKELIWRESLAFNPDPPY >ORUFI10G17830.2 pep chromosome:OR_W1943:10:18434481:18438427:1 gene:ORUFI10G17830 transcript:ORUFI10G17830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSGGAGGGGGAQIKGMGTHGGRYVLYNVYGNFFEVSSKYAPPIRPIGRGAYGIVCAAVNSENGEEVAIKKIGNAFDNHIDAKRTLREIKLLRHMDHENIIAIKDIIRPPRRDNFNDVYIVSELMDTDLHQIIRSNQPLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLFLNANCDLKIADFGLARTTTETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEIVTRQPLFPGRDYIQQLKLITELIGSPDDSSLGFLRSDNARRYMKQLPQYPRQDFRLRFRNMSAGAVDLLEKMLVFDPSRRITEQFINAGI >ORUFI10G17840.1 pep chromosome:OR_W1943:10:18438581:18439293:-1 gene:ORUFI10G17840 transcript:ORUFI10G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMRGGDGALGIASRHGGTAERRHRTGVVPRFQHAEPSRSRGPSLSYRILGLRDRLGHASWFRMTGSGSAPSFPVCGSSSFPRNRSADWVYFCKLITARYINAQYEKIKYIFKFLSYKEYLLWGCLDSEV >ORUFI10G17850.1 pep chromosome:OR_W1943:10:18443481:18445505:1 gene:ORUFI10G17850 transcript:ORUFI10G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASIAALRHLAAVLSILAHCLLLSSADVDFIYNGFRNAANLSLDGSATVLRGGALQLTNDSNNIMGHAFFDSPVQMVSDAAVVSFSTAFVFDIVTNGSVGGHGLAFVVAASKVLPGATAEQYLGLLGKSNMGDPSNHVFAVEFDTVQANGLLNETNGNHVGVDLNSLVSNVSEPAAYFTDGGGGKRNLTLESAQPIQAWVDYDGSAKILNVTIAPVASTVPTRPRRPLISHAVDLLPIFKQEMYVGFSSSTGKLASSHYVLAWSFRTGGGAARPIDLSRLPSVPKKPAPPPSASVVVKIVALTCAATVTVIVAAIGVALWLRRRAALADTLEEWELDHPHRLPYRELYMATKGFKNSELLGAGGFGEVYRGVLRRSGDVVAVKRISSNGRQGMREFVAEVASLGRMRHRNLVELRGWCKRGHDLLLVYEFMPNGSLDALLFGGAPATATATALTWEQRVRILRGVASGLVYLHEEWEQVVVHRDVKASNVLLGADASAARLGDFGLARLYEHGGDPATTRVVGTLGYMAPELTVTGKATTATDVFAYGALLLEAACGRRPIDPATGVNLLRWVREHGARGELVHAVDERLDGRYDKEEARLVLWLGLACSQARPEARPSMRQVCQYLDGEEDVPEEAVLVFSDVDSIDFGSLTSLTWSSCATMSVGSLNGGR >ORUFI10G17860.1 pep chromosome:OR_W1943:10:18447975:18456421:1 gene:ORUFI10G17860 transcript:ORUFI10G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVVLHVYDVTNSDSEKTNNTILQINRIFKDRIGLGGIFHSAVQVRLRAASQIPPSEGRICFCFAFAFLPPPDLADFGWFSLALFCSDPGGAQVYGEEEWSFGFCENGSGVFSCPIGKNPMYTYRECIVLGETECSIATVNRILRELSREWPGHSYDLLSRNCNHFCDVLCERLAVPKLPGWVNRFANAGDTAVVVAENTAVKFRQAKTEIVNASRVAYRFMAGLASKNQNPQPESPSNQSRNGPTFQGTWFKNIISNGAKPSSSESTSSHDTGTGGDESSLQNQKPSEQSTRL >ORUFI10G17870.1 pep chromosome:OR_W1943:10:18458847:18462658:-1 gene:ORUFI10G17870 transcript:ORUFI10G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPNYTSYDPVLTGKCHVNFSALSYMMDKTASDCSIPLAPLVADVICCPQVNSLMNIFQATYGAGNNTLVLNQASANACFSDVMSILASKGANTNIPELCTLRPSNLTDASCPVKDISTFEKIVNVSKLLDACSDVDPLKECCRPVCQPAIVEAAVHISSGGANMFGSSSISGSDAGINVVSDCKGVVHSWLSMKLSSEESNTAFRVLSGCKVNKVCPLEFDDPSSVVKACGKASSSTPSCCGALHSYIATRQKQIFVTNLQAINCATMFGSMLQKAGVSNDIYELCDIDLKDFSLQGCLLRSLPTDIVFDNATGISFTCDLSDNIAAPWPSSSSVQSLSLCAPEMSLPALPVAPTSGSSVGISRTGIGILAPLLFFATAITF >ORUFI10G17870.2 pep chromosome:OR_W1943:10:18459171:18462658:-1 gene:ORUFI10G17870 transcript:ORUFI10G17870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPNYTSYDPVLTGKCHVNFSALSYMMDKTASDCSIPLAPLVADVICCPQVNSLMNIFQATYGAGNNTLVLNQASANACFSDVMSILASKGANTNIPELCTLRPSNLTDASCPVKDISTFEKIVNVSKLLDACSDVDPLKECCRPVCQPAIVEAAVHISSGGANMFGSSSISGSDAGINVVSDCKGVVHSWLSMKLSSEESNTAFRVLSGCKVNKVCPLEFDDPSSVVKACGKASSSTPSCCGALHSYIATRQKQIFVTNLQAINCATMFGSMLQKAGVSNDIYELCDIDLKDFSLQGCLLRSLPTDIVFDNATGISFTCDLSDNIAAPWPSSSSVQSLSLCAPGKVTFQV >ORUFI10G17880.1 pep chromosome:OR_W1943:10:18465838:18466748:-1 gene:ORUFI10G17880 transcript:ORUFI10G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPSRSDSFSHGWLRRKARPAAAAASFERLVGGEGDAVDVDGDLGHSFNGSVVSFIDMDPAELFSMRWTSLTAAAEEEEDHDDDDFDFGMPCVAGAQCSSPLLVGAGRALSDGHHHHLLLPCEPGVVVARDRTASYADAPSPSPSLPLRVLSPRSAKGSLAAALSSASPARRSTSSSYASAAEYWCHGNADTAVRDAILYCKKSIGQDM >ORUFI10G17890.1 pep chromosome:OR_W1943:10:18470322:18474388:-1 gene:ORUFI10G17890 transcript:ORUFI10G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVLLLFLVVGLMPVSNGQTTPFSPRFSVYLACGAGGNVVVTSDSPQRTFVPDDGELSGKSARFSNPDASPPSPLYAAARAGTSGFSYRLSYAADAAPDGNTTLVLRLHFFPFASQSGDLLSARFSVSAMGRYVLLPPSFSPPRAGVVREFLLPSDGSGEFDVAFTPESGGLAFVNAIELFPAPQELLWKFPLTAVNTDVSPSHQALETLYRLNVGGPTVTPTGDTMWRTWLPDDSYLSPATVSAVASIQGQIIFDRAQGYTQMVAPDAVYKSQRTTNSTTSNVTWTFAVDGNSSYVVRLHFCAFEELSSVIGEGVDFNVYLMQAMGTRELKAKDYATLSSPTQAFYMDYVAVVPTAGENLTVSIGRAASSDSKKAILNGLEIMKLRAVDMTPASSSGKTSKVVVVAVTAAVLGAAVLAGVALCVLLVRRRQRRATLPVPEEEEKESVGTPWSPFTPDGEGSFGSAVVTPRRMNMKLHIPLAEIMVATGDFDDANILGVGGFGNVYRGVLRDGTRVAVKRAKRASRQGFPEFQTEILVLSSIRHRHLVSLIGYCNERSEMILVYELMAHGTLRSHLYGSDAAAATPPPLSWKQRLEICIGAAKGLHYLHTGHSDNIIHRDVKSTNILLGDGFVAKVADFGLSRVGPSTGQTHVSTAVKGSFGYLDPEYFKTRQLTDRSDVYSFGVVLFEVLCARPAIDQSLPPDEINLAEWAMQWSRRGRFDKIVDPAVAGDASTNSLRKFAETAGRCLADYGEQRPSMGDVVWNLEYCLQLQESQPSTETALDLDDSGAHLPRDIVVARRVAPLAPDASADAAGDDMSWSETASFTATGNVFSQIMSRDDRSRAPRTSYLLGLMDQKGFDGDRSESRLYVGNLDFRVSESDIIKMFSPFGKIIAEDFLWHTRGPKRGEPRGYAFVQYTTKEEAQLAKEKMNGRLVCGRPVVVHLASEKCFVDSGNSHRAMKDKKLAGGSGSKSVQTDRAAKIAAIKHKLKSLEDEGCSTKRPRFKSDDLPGSGKQSDKEC >ORUFI10G17900.1 pep chromosome:OR_W1943:10:18475788:18477590:-1 gene:ORUFI10G17900 transcript:ORUFI10G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGAGEKPPASNGVHGSGKARFTLLYGLLLYVVMPVLFLYMLVAAATPFYNPRCSPESNAAMARFVVAMPNASSVNGSSPSSSSPPPTPVRPMRSADEAPTGLRHIAFGIGASSALWKSRKEYIKLWWRPGRMRGFVWMDRPVEEFYSKSSRTGLPPIMVSSDTSKFPYTHGAGSRSALRISRIVSETFRLGLPGVRWFVMGDDDTVFLPENLVHVLSQYDHRQPYYIGSPSESHIQNLIFSYGMAFGGGGFAISRALAEELAKMQDGCLHRYPALYGSDDRIHACMSELGVPLTRHPGFHQCDLWGDVLGLLGAHPVAPLVTLHHLDFLEPVFPTTPSRAGALRKLFDGPVRLDSAAVAQQSVCYDREHHWTVSVSWGFAVMVVRGVLSPREMETPMRSFLNWYKRADYTAYSFNTRPVARQPCQKPRVYYMRDSRMDRRRNVTVTEYDRHRGKQPDCRWRIPDPAALVDHIVVLKKPDPDLWKRSPRRNCCQVVSSPTKAGKNRTMTIEVGVCREGEFAKL >ORUFI10G17910.1 pep chromosome:OR_W1943:10:18481146:18485214:-1 gene:ORUFI10G17910 transcript:ORUFI10G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGYADYFAAEVDGTGATELYGLQQHQQGVGVAEMFGVRGLMPAAHAHEQSKGVGALVVGGGGVDDGGATTLPTVHFGGLGELHHHQHRQSQAPLSLSLHRPEAAATSLLMQQQQQHLHHQPSPPAGAASTWQLQQGAWHLRGSRFLLPTQQLLQEFCSLPVKSTTSPSSASKATKPPQEEAASGGGSSSWTAPTQIQSMDAAELQRLKGKLYTMLEEVDRRYRRYCEQMRALAASFEAVAGERAAASYTRLASRTISRHFRSLRDGVVAQLQAVRKQLGEKDTAVPGMTKGETPRLRVLDQCLRQHKAYQAGMLESHPWRPQRGLPERAVSILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVANWFINARVRLWKPMVEEMYAEEMKDEEGSGQSTQASNPQNPNPSSYTSEVRGGGGGGEDRGEQKPSRAQLLHDAGSLASVVSIGHGGAGRTMVDHHHHQSLNFGMMDQLDFDAYEAAGGGQGFGAGGGVSLTLGLQQQHADPHDGVNVAFAAAAAPPNSSGVAAEYLFMGGGEHQQQLPQTAQFGAVMEGDAASHYRGLSATAAGFHLLHDLAG >ORUFI10G17920.1 pep chromosome:OR_W1943:10:18491209:18491664:-1 gene:ORUFI10G17920 transcript:ORUFI10G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAATTTTTILTAAAMKTTSDRLHRRRHQLLRPQTSDLPASPSNAHPTVAAGSGLHGVLFVKMQIITTVMYIVTQVV >ORUFI10G17930.1 pep chromosome:OR_W1943:10:18491368:18492688:1 gene:ORUFI10G17930 transcript:ORUFI10G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALVGEGDGGGRRWSGGEGVDTGGWGMEAAVAGSTYQNPVEAGSSGDCWVGVGRRRGQIRAAVKIVVVVVAATAAMAARSCPPKPTAAAPEGGDGQICCRPSRRRLPSCRIWRRGGRHRLPSLSRASRSGGGKALPPSTPLHLHAVRRHHASLPAPPLSPLPDLTRWRRRPCQAGAGAAATRREMEKGRENSREMEKEREKTTLSLLSVGPARRRNISSGSAWEVPEKEAPEYWLSVLQYLYMKLVCVPSCRAVHI >ORUFI10G17940.1 pep chromosome:OR_W1943:10:18501621:18502478:1 gene:ORUFI10G17940 transcript:ORUFI10G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAARGEGHDDGYFPILEVRILDEAWLRGGLWWRRGIRGEQGLAMAMAQQPGNVNVAGFLQASRRLRNRVIMMLWMRMLLRQLVVRWWLRVHFRRFMWVWHLRVLRARVRLFLWRIRHDHLVYILDTIMVLAYVVFKINASFIGYIEFVKLNCSSASLVGDE >ORUFI10G17950.1 pep chromosome:OR_W1943:10:18514511:18516913:-1 gene:ORUFI10G17950 transcript:ORUFI10G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRLLLLPVALAMAQKHGGGGERVWARPWRWAKTAFFVVAMIASLLLVCAPPVLVVILDLALPPALLSARLRGGGGGDDASFVAAVVAQARAFDFRSSLVDLLAVSAARALLILGAYMACGGGGAAYLWVVATSAAGSVSYVLAKAAAAVLPRRGVAPAPEGKGPEPMLLLSVALAAAHLAVAYRTSCRERRRLLVYRIDVEANVKKLSQNLIQNTSLLPVISEGFDLELLIAGGSSSNLRGYTISLVDLWTITTCLVNWAQVS >ORUFI10G17950.2 pep chromosome:OR_W1943:10:18516144:18516913:-1 gene:ORUFI10G17950 transcript:ORUFI10G17950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRLLLLPVALAMAQKHGGGGERVWARPWRWAKTAFFVVAMIASLLLVCAPPVLVVILDLALPPALLSARLRGGGGGDDASFVAAVVAQARAFDFRSSLVDLLAVSAARALLILGAYMACGGGGAAYLWVVATSAAGSVSYVLAKAAAAVLPRRGVAPAPEGKGPEPMLLLSVALAAAHLAVAYRTSCRERRRLLVYRIDVEAVSTLPLSLRFKSEIFSITIHA >ORUFI10G17960.1 pep chromosome:OR_W1943:10:18520785:18523387:1 gene:ORUFI10G17960 transcript:ORUFI10G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQAYLDKAQLRQSYRNVWHTDLTNAITADFTCCCLSLWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGRCGESNCPEVCLATEVFCCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQFACICSLVACIVGSEELSEASQLISCISNMVYWTVCSCMQTQHKVEMDKRDGKFGPMTVPPMQQMSRIDQPVPPYVGYAPQAQPAYYR >ORUFI10G17970.1 pep chromosome:OR_W1943:10:18524276:18525501:1 gene:ORUFI10G17970 transcript:ORUFI10G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSTTATTAILAAVIISLAGAATTVDAKFRAMQWTPAHATFYGDETASETMGGACGYGNLYASGYGTDTAALSTTLFKDGYGCGTCYQMRCVGTASCYRGSPAITVTATNLCPPNWAEDPDRGGGGWCNPPRAHFDLSKPAFMRMADWRAGIVPVMYRRVPCARAGGLRFALQGNPYWLLAYVMNVAGAGDVGDMWVKAGGGGGWVRMSHNWGASYQAFAQLGGQALSFKVTSYTTGQTILAAGVTPASWCFGLTYQARVNFS >ORUFI10G17980.1 pep chromosome:OR_W1943:10:18526296:18529203:-1 gene:ORUFI10G17980 transcript:ORUFI10G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETMADGSANLMIWHCTIPGKQGTDWEGGYYPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHIFIQDKPEYKRRVRVQAKQYPALL >ORUFI10G17990.1 pep chromosome:OR_W1943:10:18540990:18551597:1 gene:ORUFI10G17990 transcript:ORUFI10G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRTELKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGRLYEFASAPSLQKTIDRYKAYTKDHVNNKTIQQDIQQVKDDTLGLAKKLEALDESRRKILGENLEGFSIEELRGLEMKLEKSLHKIRLKKTELLEQQIAKLKEKERTLLKDNENLRGKHRNLEAAALVANHMTTTTAPAAWPRDVPMTSSTAGAADAMDVETDLYIGLPGTERSSNRSETGNGIKFPVSTNTNGNKELTKL >ORUFI10G17990.2 pep chromosome:OR_W1943:10:18540990:18552020:1 gene:ORUFI10G17990 transcript:ORUFI10G17990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRTELKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGRLYEFASAPSLQKTIDRYKAYTKDHVNNKTIQQDIQQVKDDTLGLAKKLEALDESRRKILGENLEGFSIEELRGLEMKLEKSLHKIRLKKTELLEQQIAKLKEKERTLLKDNENLRGKHRNLEAAALVANHMTTTTAPAAWPRDVPMTSSTAGAADAMDVETDLYIGLPGTERSSNRSETG >ORUFI10G17990.3 pep chromosome:OR_W1943:10:18540990:18552020:1 gene:ORUFI10G17990 transcript:ORUFI10G17990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRTELKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGRLYEFASAPSLQKTIDRYKAYTKDHVNNKTIQQDIQQVKDDTLGLAKKLEALDESRRKILGENLEGFSIEELRGLEMKLEKSLHKIRLKKVYISYFQYS >ORUFI10G18000.1 pep chromosome:OR_W1943:10:18564424:18570459:1 gene:ORUFI10G18000 transcript:ORUFI10G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEQQHQLLSTAVHDTMPGKYVRPESQRPRLDLVVSDARIPVVDLASPDRAAVVSAVGDACRTHGFFQVVNHGIDAALIASVMEVGREFFRLPAEEKAKLYSDDPAKKIRLSTSFNVRKETVHNWRDYLRLHCYPLHQFVPDWPSNPPSFKEIIGTYCTEVRELGFRLYEAISESLGLEGGYMRETLGEQEQHMAVNYYPQCPEPELTYGLPAHTDPNALTILLMDDQVAGLQVLNDGKWIAVNPQPGALVINIGDQLQALSNGKYRSVWHRAVVNSDRERMSVASFLCPCNSVELGPAKKLITDDSPAVYRNYTYDEYYKKFWSRNLDQEHCLELFRT >ORUFI10G18030.1 pep chromosome:OR_W1943:10:18594576:18595520:-1 gene:ORUFI10G18030 transcript:ORUFI10G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTPISPPTRVAGGEEDSERGAAAWAVVEKEHMFEKVVTPSDVGKLNRLVIPKQHAERYFPLDAAAGAGGGGGGGGGGGGGKGLVLSFEDRTGKAWRFRYSYWNSSQSYVMTKGWSRFVKEKRLGAGDTVSFGRGLGDAARGRLFIDFRRRRQDAGSFMFPPTAAPPSHSHHHHQRHHPPLPSVPLCPWRDYTTAYGGGYGYGYGYGGGSTPASSRHLLFLRPQVPAAVVLKSVPVHVAAASAVQEAATTTRPKRVRLFGVNLDCPAAMDDDDDIAGAASRTAASSLLQLPSPSSSTSSSTAGKKMCSLDLGL >ORUFI10G18040.1 pep chromosome:OR_W1943:10:18607728:18612594:-1 gene:ORUFI10G18040 transcript:ORUFI10G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYHGDRHRGSSPPYPAAAAPSSLSPSAAPFTVDCPRPAADPRVPNPNPPGLDLPTAPSLYAAATAGDWGGSSWMEPPASYMAPSTAAATAPPPAYKGEAPEIAPYGIFPGTCQFGNFMVTRPLRSESSQLTSAKGPRTWVGSSEVLPSGVGPSVYSPQQNTFVHKSEDAEPYPTQRGLLQYPPQYPAYDKYMTQLSSCSTNAPPPVMWTPPANSSEVVEPMFPVMNKNTGESSSSFSSYMNPCRINLDYFDCMWNEQKDLGHQTTDKHHGKWSSSASNAGDHLLNSLGADHHAARCFGNGRPMQESSEMKYDWGSFNSKVSPSEVGYVQSREFSSELPEVNNPTVDSPCWKGAPIAYPPSFGIMKNTDNPHSVNGVGGYNSSHQIEQSSEWSLKYSELFSKQQEVSVSEIVKSDALKTFKLPVTRKNTEDNKEVPPVCIGVHNGIGNNASYFPEEQNSRRQKCYDSTGDCKNMIAANQQENLSVSKAKLLGEDSANHIGSITEESINKGPSPLGSAPRALVENLSESLHGNVCSQAAGAEECTQPQIRAKGGQEQPRYYSDSGGSMLKMSCESRSKSRAELLKQMHDLSAMLLSTCNGGPLQGYEEELLQLVQNMSCSRNNSLWMAMPEHSLVENDSELKTSISQAVAKLPEDKTPDDTDVSQLSIYKNLWVEAEASACKLKYELQLTRAKLAAMENHNNTQVPVDLSKGNKIFISTIPNSKPQNSTTYPANLQCQGADSCDGQPPAVNRSIIDGVDAEVIERLKFLQSNLKDCRAFCRNNYEEQEEASKKPCAIEDAVMARLRVLNSRPDNIASLKQENNNHHQLDTSTNRADNIDDAVMSRLRILKSRPDNVNPLGQESSKHEPDAATGTNNLIDNAVMSRLRILKSRPDNANSLGQESSKHEPDATTGTNDLIDNAVMSRLRILKCRDDNINSLDDVIKQHVEACTDQPNWDEDDAVAKIQAPNDDIASTADGFQNILHSNNFVRHSEGKDSASGLDSPGDATCSDEDNGCKAPSDEVNHKTAVQSEGSFPMNIGWPISTMDSHICTAGSQETPLISSSVHRYDIFPPKWEHMLKENFFHPGK >ORUFI10G18040.2 pep chromosome:OR_W1943:10:18607726:18612594:-1 gene:ORUFI10G18040 transcript:ORUFI10G18040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYHGDRHRGSSPPYPAAAAPSSLSPSAAPFTVDCPRPAADPRVPNPNPPGLDLPTAPSLYAAATAGDWGGSSWMEPPASYMAPSTAAATAPPPAYKGEAPEIAPYGIFPGTCQFGNFMVTRPLRSESSQLTSAKGPRTWVGSSEVLPSGVGPSVYSPQQNTFVHKSEDAEPYPTQRGLLQYPPQYPAYDKYMTQLSSCSTNAPPPVMWTPPANSSEVVEPMFPVMNKNTGESSSSFSSYMNPCRINLDYFDCMWNEQKDLGHQTTDKHHGKWSSSASNAGDHLLNSLGADHHAARCFGNGRPMQESSEMKYDWGSFNSKVSPSEVGYVQSREFSSELPEVNNPTVDSPCWKGAPIAYPPSFGIMKNTDNPHSVNGVGGYNSSHQIEQSSEWSLKYSELFSKQQEVSVSEIVKSDALKTFKLPVTRKNTEDNKEVPPVCIGVHNGIGNNASYFPEEQNSRRQKCYDSTGDCKNMIAANQQENLSVSKAKLLGEDSANHIGSITEESINKGPSPLGSAPRALVENLSESLHGNVCSQAAGAEECTQPQIRAKGGQEQPRYYSDSGGSMLKMSCESRSKSRAELLKQMHDLSAMLLSTCNGGPLQGYEEELLQLVQNMSCSRNNSLWMAMPEHSLVENDSELKTSISQAVAKLPEDKTPDDTDVSQLSIYKNLWVEAEASACKLKYELQLTRAKLAAMENHNNTQVPVDLSKGNKIFISTIPNSKPQNSTTYPANLQCQGADSCDGQPPAVNRSIIDGVDAEVIERLKFLQSNLKDCRAFCRNNYEEQEEASKKPCAIEDAVMARLRVLNSRPDNIASLKQENNNHHQLDTSTNRADNIDDAVMSRLRILKSRPDNVNPLGQESSKHEPDAATGTNNLIDNAVMSRLRILKSRPDNANSLGQESSKHEPDATTGTNDLIDNAVMSRLRILKCRDDNINSLDDVIKQHVEACTDQPNWDEDDAVAKIQAPNDDIASTADGFQNILHSNNFVRHSEGKDSASGLDSPGDATCSDEDNGCKAPSDEVNHKTAVQSEGSFPMNIGWPISTMDSHICTAGSQETPLISSSVHRYDIFPPKWEHMLKENFFHPGK >ORUFI10G18040.3 pep chromosome:OR_W1943:10:18607728:18612594:-1 gene:ORUFI10G18040 transcript:ORUFI10G18040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYHGDRHRGSSPPYPAAAAPSSLSPSAAPFTVDCPRPAADPRVPNPNPPGLDLPTAPSLYAAATAGDWGGSSWMEPPASYMAPSTAAATAPPPAYKGEAPEIAPYGIFPGTCQFGNFMVTRPLRSESSQLTSAKGPRTWVGSSEVLPSGVGPSVYSPQQNTFVHKSEDAEPYPTQRGLLQYPPQYPAYDKYMTQLSSCSTNAPPPVMWTPPANSSEVVEPMFPVMNKNTGESSSSFSSYMNPCRINLDYFDCMWNEQKDLGHQTTDKHHGKWSSSASNAGDHLLNSLGADHHAARCFGNGRPMQESSEMKYDWGSFNSKVSPSEVGYVQSREFSSELPEVNNPTVDSPCWKGAPIAYPPSFGIMKNTDNPHSVNGVGGYNSSHQIEQSSEWSLKYSELFSKQQEVSVSEIVKSDALKTFKLPVTRKNTEDNKEVPPVCIGVHNGIGNNASYFPEEQNSRRQKCYDSTGDCKNMIAANQQENLSVSKAKLLGEDSANHIGSITEESINKGPSPLGSAPRALVENLSESLHGNVCSQAAGAEECTQPQIRAKGGQEQPRYYSDSGGSMLKMSCESRSKSRAELLKQMHDLSAMLLSTCNGGPLQGYEEELLQLAVAKLPEDKTPDDTDVSQLSIYKNLWVEAEASACKLKYELQLTRAKLAAMENHNNTQVPVDLSKGNKIFISTIPNSKPQNSTTYPANLQCQGADSCDGQPPAVNRSIIDGVDAEVIERLKFLQSNLKDCRAFCRNNYEEQEEASKKPCAIEDAVMARLRVLNSRPDNIASLKQENNNHHQLDTSTNRADNIDDAVMSRLRILKSRPDNVNPLGQESSKHEPDAATGTNNLIDNAVMSRLRILKSRPDNANSLGQESSKHEPDATTGTNDLIDNAVMSRLRILKCRDDNINSLDDVIKQHVEACTDQPNWDEDDAVAKIQAPNDDIASTADGFQNILHSNNFVRHSEGKDSASGLDSPGDATCSDEDNGCKAPSDEVNHKTAVQSEVHRYDIFPPKWEHMLKENFFHPGK >ORUFI10G18050.1 pep chromosome:OR_W1943:10:18613212:18613883:1 gene:ORUFI10G18050 transcript:ORUFI10G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVSDVFLSFFCCCCYPPGGHRGVGAHNDTALRRRRAAGRSSSRPPPMSLQTVELKVRMCCEGCERVVRNALANLRGVDSVEVDVAMEKVRVTGYVDRGRVLREVRRSGKKAEFWPSGGTPRRFTSEKEYFRDGDEYRGSYNYHRHGYGDGDRHGRMREPARGADPVSNMFNDDDVAAACAIM >ORUFI10G18060.1 pep chromosome:OR_W1943:10:18614807:18618721:1 gene:ORUFI10G18060 transcript:ORUFI10G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLEVAAAAGSSAAAAAANGAVDWWRDVNESPVWQDRIFHVLAALYGFVSAIALVQLIRIECRVPEYGWTTQKVFHFLNFLVNGVRSIVFVLRRNVQLIHPEIIQHVLLDMPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPTFYWINAVIYAIQIILWMVLWWKPVRVMIILSKMFFAGVSLFAAFGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFTCFLIRCVMMCLNAFDKAADLDVLNHPILNFFYYLLVEILPSALVLFILRKLPPKRGITQYHPIH >ORUFI10G18070.1 pep chromosome:OR_W1943:10:18619214:18626211:-1 gene:ORUFI10G18070 transcript:ORUFI10G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGGGDWGREADPAEPSSSHAFDGGSDGDDDDGGGGGGGWHQAAMKALAGKGSSSSSGDSLWQWRSQGLSEVVLSWSVDQILNKDLLRDKVTKIPETFSSMEQYMTSFFGPLLEEVRGDMCSSMEDISKAPYASVLSVNAMRKGKGSYEIKLDKWRGVSHGCAIEGYKPKAADLLLISETRPANQSDILKQSKSCVIVWVGKVQGNKMTVKASRRMETGVHGDERQQMGMNRYDKLYAEGFDKSWEMLDQEAVAPESSNSFMHENGRKEHSKVRKCFERCSDLQEQNEMGTCGNSSKRWSFCATYLTNMITYDRVWVVLRRGLTMDSKIVLSMFGKKNYEQRILACAPTNMAVLQVASRLIELIQDFSSSHRYSFGDIVLFGNKDRLHIGKELSKVYLDDRVHKLLRYFKREDGWKARVDSVMKFLMNCISRYQMSLDIQQASSDGCNLTFKKYFTSKFSTLVKELATCIDTFFDHLPTDSLGRNLDRMMFAKSLLDKLQQLLCADDVSDELLFAIFKPSDEPIDFFDSHDQTDDATVDLHDHDISLDDPLEIKSLCIKTLMDLSKMRLPCEDNESSIRDLCLKRAKLVFCTASSSFELFRLQNVMPISILVIDEAAQLKECEALVPLLLPGIEHVLLIGDENQLSSLVKSKIAKDADFGRSLYERLCTMGYRKHLLEVQYRMHPGINKFPNANFYDNRISDGPSVQQEDYVKSYLPGPIYGAYSFIHIENDMEMLDELGQSSKNMVEVAVATNIVERLAKECSEKRQRTSLGVISPYTAQVIALQERLGKQFKNHEFLSVTVKSIDGFQGGEEDIILISTVRSNKNGKVGFLSDAGRINVALTRAKYCLWILGNGATLLASNSIWAELVHDSKRRGCFFNALDDKNLAEIIMHATKEGEQRNQREQRSAHNANRWSSGSSRHDIIAAGNSRPMRWSHFPGSGNTRRSNGHDSRLNVCHTKEDMHRTHFQQRKSYSGDYSQIAPPNQYWHNGNRPSRGSYGYLEVFREHPNHHSGQDSRTRSYHETMCSTPQTGNGRFPYSGSIQREKSQRQTSILGERQPLGGDGNKGFQDGTSGYPCRHNSSQIRPNTYEAGAPELQSMNKHREFSSYPQQASYRTFGGRGRGRPTYHGRGRGGWYERTNNHWMEEPHQVQNATCNMPVTMQQGMKRNWCEAEASDSPQQVNAKVRSESADRPHCYDQHGGYGTASHQLPAIKPEDVSEQQCEMKTDSYKTEASESPNDSTRVRPESVEQPYCQAQGDSSGAASQEPVVPEQRGMSGDLCEAVCHQSNTSGSPSRGSTEVTLEGAEQPHCQAQPDGSGVASNEAPVPEQRWTEGDLGETAEPGQGNAENKAESAESDS >ORUFI10G18080.1 pep chromosome:OR_W1943:10:18630066:18631253:1 gene:ORUFI10G18080 transcript:ORUFI10G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVAALLVLCFISVTARAAAFRVHGRLLADDATEGGAVVPIHWTQAMNYVANFTIGTPPQPASAVIDLAGELVWTQCKQCGRCFEQGTPLFDPTASNTYRAEPCGTPLCESIPSDVRNCSGNVCAYEASTNAGDTGGMVGTDTFAVGTAKASLAFGCVVASDIDGTMDGPSGIVGLGRTPWSLVTQTGVAAFSYCLAPHDAGKNSALFLGSSAKLAGGGKAASTPLVNISGNGNDLSNYYKVQLEGLKAGDAMIPLPPSGSTVLLDTFSPISFLVDGAYQAVKKAVTVAVGAPPMATPVEPFDLCFPKSGASGAAPDLVFTFRGGAAMTVPASNYLLDYKNGTVCLAMLSSARLNSTTELTLLGSLQQENIHFLFDLDKETLSFEPADCTKLS >ORUFI10G18090.1 pep chromosome:OR_W1943:10:18633010:18633709:1 gene:ORUFI10G18090 transcript:ORUFI10G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGVVSPHDNLLDIFSPVTFLADGAYHAVKKAVTAAIGAAPTATPLKPFDVCFPKAGVASGAAAPDLVLTFQGGAGMTVPPSNYLLDDGNGTVCLAILSSARLNLTSELDGVSILGSLQQENIHLLFDLDKETLTFQPADCSSLS >ORUFI10G18100.1 pep chromosome:OR_W1943:10:18638215:18639423:-1 gene:ORUFI10G18100 transcript:ORUFI10G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVAALLALCLISLTTCSLSRRAAALSVPHGLRRGHGRLLADATAGGTAVSMSWSRFFYVVNFTIGTPPQPASGVVDLTGDFIWTQCATCRRCFKQSLPLFNRSASSTFKPEPCGSARCKAAETNRCSSDGVCGYEVGIEFGNTLGIGGTDTVAIGTATANLVFGCAEESDFKTMLGPSGVVGLAGTPWSLVSQMNATAFSYCLAPPETGKNSRLFLGPSAKLASGKSASTPFVKTNPDDDLSSYYRVQLEGIKAGDVVIPTPRNASTVLVQTFSPFSYLVDDAYQVFRKAVAVAIGGETPPYPHDLCFPKAGFSSANAPDLVFTFQGAAALTVRPSSYLIHTMNDTVCLAIMSSAQLNLTGEFVGLNLLGSLQQENVHFLFDLEKKTLSFEPADCSSLT >ORUFI10G18110.1 pep chromosome:OR_W1943:10:18644060:18645319:-1 gene:ORUFI10G18110 transcript:ORUFI10G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVATLVLVLCYCSATCTLAYGHGGGGLRRGLVEQAMRGRLLAYATPAAGGVAVPISWSSRALYSVANFTIGTPPQPVSGIIDLSGELVWTQCATCSHCFEQDLPLFDTSASSTFQAATCGAALCKSIPTRNCSSGSGSGAGAGVCRYAAPSRFGDTFGFAGVDTVAMGTAKGKLAFGCVEEISHHVETIGGASGFVGLGRTPWSLVGQSNATAFSYCLAPHNDSGKNSVLFIGASAKLAGGGKNTSTPLVRTSNSSSDDGSDPFYTVQLEGIKAGDVEIATAASGLTVQVDTVLPYSILVDSAYKALKKAVTAALGAPTAEAPTWYDLCFQKAKVSDAPDLVFTFQGGAALTMPPSKYLRSDGNGTVCLLIWNAAQLNLTDGVSILGSFQQENIHFLFDLKKGTVSFEPADCSSLT >ORUFI10G18120.1 pep chromosome:OR_W1943:10:18646789:18654849:1 gene:ORUFI10G18120 transcript:ORUFI10G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLIVTLLVLCLISPTTTCAAARREYDLRRGLERAMRGHLLADGATAPGGAVVPFHWSPELYNVANFTIGTPPQPASAFIDLAGEAIWTQCSQCTHCFKQDLPVFVPNVSSTFKPEPCGTDVCKSIPTSKCASNVCTYDGTTVRGHTVGIVATDTFAIGTATPASLGFGCVVASDIDTMGGPSGFIGLGRTPWSLVAQMKLTRFSYCLAPYDTGKNSRLFLGASAKLAGGGAWTPFVKTSPNDEMSKYYPVELEEIKAGDATITMPQGRNTVLVQTAVMRVSLLVDSVYQDFKKAVMASVGAAPTATPVEPFEVCFPKAGVSGAPDLVFKFQAGAALTVPPANYLFDAGNDTVCLSVMSIALLNMTAFDRLNILGSFQQENTCGTAHCEFFPTSNRNCSGDVRLFLGAATKLAGGSKSAMTTPFVKSSPDDIKSLYYLIRLEGIKAGDEAITVPQSGSTVLLQTFSPVSFLVDGVYQDLKKAVTAAVGGPTATPPEQFQPLFDLCFKRAGVSRAPDVVLSVAHVPRRRRVDGAAVELSARRRGRHGVLGGRELGEAELDGGGRDEHPGRLAAAELATLLVLCLIPPTMCSLAAAHDLRRGLEQATRGRLLADATPAGGAAVVPIRWSPPYYVANFTIGTPPQPASAIVDVAGELVWTQCSACSRCFKQDLPLFVTNASSTFRAEPCGTAVCDSIPTRNCSGNVCFYQGPTTQLGGNTFGFAATDTFAIGTATARLGFGCVVASDIDTMDGPSGFIGLGRTPWSLVAQMKLTRFSYCLAPQDTGKKSSRLFLGSSAKLAGGESTSTAPFIKTSPNDDSSNYYLLSLEAIKAGNTTIATAQSGGTLVMHTVSPFSLLVDSAYKAFKKAVTEAVGGAAPMATPPQPFDLCFKKAAGFSSASAPDLVFTFQQGAGAAALTVPPTKYLIDVGEEKDTACVAILSMAWLNKTGLEGVSVLGSLQQENVHFLYDLKKETLSFEAADCSSLP >ORUFI10G18130.1 pep chromosome:OR_W1943:10:18662068:18669424:1 gene:ORUFI10G18130 transcript:ORUFI10G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKRRRKGKKKVGGAAAAAAGEEAAAARDEDAAGPSHAAAGEASSGAGGGADGGSPASRAASPPTTAAGGQGGGGPVSGGGGSAPGGAETAQRQGAPPAAVTSVQGAPRAPASTAATVPQGSPRRTVAAQSAPSGAAAMAQSAPGGSATGQTATAQSSPRAATSTQALMNEGFLHLDKSVSNNLEEEGPAFGDAQRRKKAIGVDNDDSNRDDADGGRDPNKSLLSEIVLSWTIQDILLDDEAHKSKVVKIPTHFKGVDEYLGLYSQFILEETWSNLKVSLQNLTSSAYYDINNMLRCESSGVFFVDINLKKIELKSTHSYRVAQDGDVFLFSSHPHSHDFDSSLDFLGIAFNTSQCTSFHRGFKVLVSDQNCTLYCEENGKFGIFLINIMDALKAWSVFNLDKTEDNCSGIKSMLNLSEMAKTDCKMCDMSFDYEKIKLSHLNQQQLYSLKSIISAVHCRSNKHIELIQGPPGSGKTEITIALLQVLHHMNLKVLLCAPKTNIVKFLTNLDKCLFPLEDALVLDNLDSTELAKEFQRLCLCHRSQDFLVGITLFKKWLREMFVLLNLDPYCTEKCDHEPTRIRCSTNSLLVFTLSSFKEKFTKLLMRKEWLTNLKERFSEIYLSADIANDITNLLSLLKDFEDLLCHERLQDKRVQWTFGLSSVPCKLGGNSAARKLNDLRLQYVDFIQRFRSSLKLPKLEERKSLEDFCIKHAKVIISTTQSTFRLHEAAMEPINLFIVDDAAKINECDLIIPLRLPVTHILMLGDDFNLQPSKVWENARFSMNPFKRLLNLGFRKHMLTEQYAIHPSIWQFPNEKFYEGRITNGATVVSPEYNKQFKGLKFPNYCFIDVTGTDGPSCKNTIELATIQYMLKIISQGLEDTEVIDVGVLCLCGSYVGGIKSSLGKKYATHNKINVHIESADSFEGETYHLVILSMLFKDENTILQIEKINAALTRARHCLWMFGEVDSVSDRGGIFAELVHDVIERKCILKWNTITTIQSKYALESDNFHGSSSASSNETIHQVCTEFTWSGRPKRTKYILAPLRVQGNSDTCTMHSCLGAMESMYKHQYACLEPPQDFSWILSTDNLKEEYENVVAKEIGSEEIEKKGKHRLATVLEILKEPGVLGSRKQQPEVVSNFKIKSHSQLPIKEDQEIKTVFDTVKDGKILVGHFHMSENFFSLRPGEIYHYDRQKPYLNPVSSLQASHAVMIIGSGVTMTKVKKRIQCAIHLSLQNSAGRLVGENGCGYVGLESIRGLYQLDI >ORUFI10G18140.1 pep chromosome:OR_W1943:10:18671832:18672887:-1 gene:ORUFI10G18140 transcript:ORUFI10G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAAQAGVVAACVVLFVPMGLAGWHLSRNKVLFFSGALFVSLAVGVHLSPYLPSLPHLLLAASFHPHPISSSSSSSAASSSCVPLLHRVSWADAGGESGVGRAWAWPPSLASTCGLARLSRDDASLLLNGSWVMVAGDSQARLLVLALLRLLLDPAAAAAAEPELFRRHSDYRATVPARGISVDFVWAPFESNLTRLLHEDLRLAPRTPDVLVLGSGLWHMLHVTDAARYGDALASVVDAAKSLRSPLPVPPPHMFWLGLPLLVNHMLNTDAKKVHMNDTMLQAYDLEVEQRGLLQRDGGPFLLLDVGKLSRGCGQQCTADGMHYDGDVYDAVLHIMLNALVIESQQRI >ORUFI10G18150.1 pep chromosome:OR_W1943:10:18674418:18674672:-1 gene:ORUFI10G18150 transcript:ORUFI10G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVXRKTVTAMDVVYALKRQGRTLYGFGG >ORUFI10G18160.1 pep chromosome:OR_W1943:10:18680836:18686600:1 gene:ORUFI10G18160 transcript:ORUFI10G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCQNGTYGNNYQNSNRFQNDRFASRYVDGNDTEDCYSGSSRASLAGALRQGLNLKSPVLGYKTPNVRELYTLGRELGQGQFGKTYLCTEISTGCQYACKTILKSNLRCVSDIEDVRREIQIMHHLSGQKNIVTIKDTYEDEQAVHIVMELCAGGELFSKIQKRGHYSERKAAELIKIIVGIIETCHSHGVMHRDLKPENFLLLDADDEFSVKAIDFGLSVFFRPGQVFREVVGSPYYIAPEVLEKRYGPEADIWTAGVILYVLLTGVPPFWAEHPWICDNGVATDRALDPSVLPRLKQFSAMNRLKKLSLQIIAERLSEEEIVGLREMFKAMDTKNRSVVTFGELKGLKRYSSVFKDTEINDLMEAADDTTSTINWEEFIAAAVSLNKIEREKHLMAAFTYFDKDGSGFITVDKLQKACMERNMEDTFLEEMILEVDQNNDGQIDYAEFVTMMQSNNFGLGWQTVESSLNVALREAPQVY >ORUFI10G18160.2 pep chromosome:OR_W1943:10:18682402:18686600:1 gene:ORUFI10G18160 transcript:ORUFI10G18160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCQNGTYGNNYQNSNRFQNDRFASRYVDGNDTEDCYSGSSRASLAGALRQGLNLKSPVLGYKTPNVRELYTLGRELGQGQFGKTYLCTEISTGCQYACKTILKSNLRCVSDIEDVRREIQIMHHLSGQKNIVTIKDTYEDEQAVHIVMELCAGGELFSKIQKRGHYSERKAAELIKIIVGIIETCHSHGVMHRDLKPENFLLLDADDEFSVKAIDFGLSVFFRPGQVFREVVGSPYYIAPEVLEKRYGPEADIWTAGVILYVLLTGVPPFWAEHPWICDNGVATDRALDPSVLPRLKQFSAMNRLKKLSLQIIAERLSEEEIVGLREMFKAMDTKNRSVVTFGELKGLKRYSSVFKDTEINDLMEAADDTTSTINWEEFIAAAVSLNKIEREKHLMAAFTYFDKDGSGFITVDKLQKACMERNMEDTFLEEMILEVDQNNDGQIDYAEFVTMMQSNNFGLGWQTVESSLNVALREAPQVY >ORUFI10G18170.1 pep chromosome:OR_W1943:10:18686823:18687038:1 gene:ORUFI10G18170 transcript:ORUFI10G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAASRGALCPLHHEAAPRARWHTATRRGGGLRRPRRRVGVGARALVLISIFSWLWGKGGKNHTRMRARW >ORUFI10G18180.1 pep chromosome:OR_W1943:10:18690678:18693182:1 gene:ORUFI10G18180 transcript:ORUFI10G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGEAMASSGLDLGEYLDRPDAIHRRAASVAIVRSGGGDGPRIVDGGRDDRRARSSRRLSLSSWRRPAGGETSVESRRYGFTAAAAAAAEVGGKTRAAAIWEWKPVRVLSRIGKRRCGCLLSVEVAGVRGVPASMDGLRLAVTVRKAETKDGAVQTMPATVRGGCADFNETLFVRCNIYFAGGAGTGKPLKLEPRRFVVSVVPAEAPGVRLGAHAVDVSSLVLDSLQKSSEGRRVRWFDTAVTLSGKATGGELLLKLGFQLMDDAGLCLYTQAATEKVDVVDDVSPARARAHNKNSFSVARTSGPKLSASDAAISPSMRAYKQLIDRLSVDEHGDPVTSLIPRKLADDELSGDVGLPEYEVVDKGVETVKEVVHYHAHRDVLKELDSIAEQIEAIEALMTNGGKKSPPSPKKVDQKQCLDADEEMVTVEFLRKLEVVDDKGRKLKQPMTPRSESEKKAAAAAPPVVPDLGPGLGTAVQTRDGGFLVSMNPFDLPLEKGDAPPKLAMQVSRPFVLPSSMAATGFDVLQKMAAAGGADEVRDKVARLGGMDNLTGKTPEQVGFEGIAEAVIGGRRTEGASSSAARSVRLVRKLAAAVSDGRSERVATGIWTAADDPETLEEVIAFSLQKLEAMAVDALMIQAEMADEDAPFEVAPAAGDATTVFDALVSPDEWSESRGSDGRVTVVAAIQLRDPSRRYEAVGAPMVAVVQSARLLGAAGNSGGRFKVRSLHVGGVQLRCPVGGGAGGGRASWGAERQKLTAMQWALAHGPARAAGRRARTPTTTTTPSSQARQRPDVVWSLSSRVLAGMWLKTVRNPDVRVGATAAGSGGGGN >ORUFI10G18190.1 pep chromosome:OR_W1943:10:18694008:18695712:-1 gene:ORUFI10G18190 transcript:ORUFI10G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWLSAAGDEEMRCRVAPAAAGCSRRKDELQARKMDSNVEREHGHYQQQESKFVSMVIGPWIALKCPRHNYATATFAMALPGAKTKETALWISVNTVDDGSLYTSV >ORUFI10G18200.1 pep chromosome:OR_W1943:10:18702549:18707230:1 gene:ORUFI10G18200 transcript:ORUFI10G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFNLQSEPLIEGLIVAMSLIGATIITTFSGAVADSFGRRPMLIASAVLYFVSGLVMLWAPNVYVLLLARLIDGFGIGLAVTLVPLYISETAPTDIRGLLNTLPQFSGSGGMFLSYCMVFGMSLMPQPDWRIMLGVLSIPSLIYFALTIFYLPESPRWLVSKGRMAEAKRVLQGLRGREDVSGEMALLVEGLGVGKDTKIEEYIIGPDDELADEGLAPDPEKIKLYGPEEGLSWVARPVHGQSALGSALGLISRHGSMVSQGKPLVDPVVTLFGSVHEKMPEIMGSMRSTLFPNFGSMFSVAEQQQAKGDWDAESQREGEDYGSDHGGDDIEDSLQSPLISRQATSVEGKEIAAPHGSIMGAVGRSSSLMQGGEAVSSMGIGGGWQLAWKWTEREGADGEKEGGFQRIYLHEEGVTGDRRGSILSLPGGDVPPGGEFVQAAALVSQPALYSKELMEQRLAGPAMVHPSQAVAKGPKWADLFEPGVKHALFVGIGIQILQQFAGINGVLYYTPQILEQAGVGVLLANIGLSSSSASILISGLTTLLMLPSIGIAMRLMDMSGRRFLLLATIPILIVALAILILVNILDVGTMVHASLSTVSVILYFCFFVMGFGPIPNILCAEIFPTTVRGICIAICALTFWIGDIIVTYTLPVMLNAIGLAGVFGIYAVVCILAFLFVFMKVPETKGMPLEVITEFFSVGAKQAKED >ORUFI10G18210.1 pep chromosome:OR_W1943:10:18709680:18710444:-1 gene:ORUFI10G18210 transcript:ORUFI10G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPTPTRRLLQSVGGGSNPNRIPGIPPADPPSGGVSSDVVVILAALLCALICVVGLAAVARCARNRRNSGGAGAGAGSASPSHSPAHAGHFGGGGSGGGGVTTTTAATTAAKGLKKKALKALPKLAYADAVAAAAAARGTVVGEEEEGKVEVLAECAICLSEFGEREEVRVMPQCGHGFHVACVDTWLRSNSSCPSCRRPIVLDDPSPPKRCRKCEAIVLEAVVAASSSSSSAATATGSGGGGGGGGGRFLP >ORUFI10G18220.1 pep chromosome:OR_W1943:10:18712340:18713337:-1 gene:ORUFI10G18220 transcript:ORUFI10G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGCVLLYVYPTDPPRRGPSEEVQPRKAATSVRPSRGTRRRGSGAVPVAFAIPGRGRHLSRRKRPGRWRQRLLLPTRWGARVAVIGTNLAGGSGGGGGGGPDRSMITQDRCTAPAPCGSSIQHGQFATLHREEAKRFLRR >ORUFI10G18230.1 pep chromosome:OR_W1943:10:18713458:18714165:1 gene:ORUFI10G18230 transcript:ORUFI10G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPSSPVISAGADSVFEMVEAFGVSHYDLPVRDLRDVLDGIMAITTDPEVLYDHKPCSWACVQQTEGDGQPLAVVAELLFLHFLLQIVVTGFFHKTGTC >ORUFI10G18240.1 pep chromosome:OR_W1943:10:18713485:18715536:-1 gene:ORUFI10G18240 transcript:ORUFI10G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPVFLDDPRRLLSSSAAAAASASARTLARLHALLIVSSSASHTLISSLAAAYARAGDLAAAESTLTATAASSSIAAWNAIIAAHSRRGSPASALRVFRALPPAARPDSTTFTLALSACARLGDLRGGESVRDRAFDAGYKDDVFVCSSLLHLYARWGAMGDAVKVFVRMPRRDRVTWSTMVAGFVSAGQPLDAIQMYRRMREDGVKGDEVVMIGVIQACTAARNVRMGASVHGHLLRHGMRMDVVTATSLVDMYAKNGLLDVACRVFGLMVHRNDVSWSAMISGFAQNGQSDEALRLFRNMQASGIQPDSGALVSALLACSNIGFLKLGRSVHGFIVRRFDFNCILGTAAIDMYSKCGSLASAQMLFNMISDRDLILWNAMIACCGAHGRGQDALTLFQEMNETGMRPDHATFASLLSALSHSGLVEEGKLWFGRMVNHFKITPAEKHYVCLVDLLARSGLVEEASDLLTSMKAEPTVAIWVALLSGCLNNKKLELGESIADNILELQPDDVGVLALVSNLYAATKKWDKVRQVRKLMKDSGSKKMPGCSSIEIRGTRHVFVMEDQSHPQREEIVSKVAKLDLEMRKMGYIPRTEFVYHDLEEEVKEQQLSYHSERLAIAFGLLNTGPGTRLVIIKNLRVCGDCHDAIKYISKIADREIVVRDAKRFHHFKDGVCSCRDYW >ORUFI10G18250.1 pep chromosome:OR_W1943:10:18716378:18716747:-1 gene:ORUFI10G18250 transcript:ORUFI10G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRTHPKPESSRGSKKINTLEGAANRMGKDQRQAPLSSWKFIRQCKAAEEAEILACCEGLKMRVELEMDCAMVATRLTSKVQDRSQWSFQIREASASNGNSSDGACAS >ORUFI10G18260.1 pep chromosome:OR_W1943:10:18721951:18728062:1 gene:ORUFI10G18260 transcript:ORUFI10G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALMDRRRRRRRGDSKRRRGGLRRRRPLTSSPAGRREEESLHPHTPRAGAPPSPSILLAFRFPSSHYSDSQPEAPVSMADDAGAGRTRSRRDPSDRPTRSGQFLIRALLRGELEERGIEEIPEDTSVHHQQTDEPPPSYNTIRRGEGTLMAELREATEQLRLSFYANTDEETRAQMKQFWDEEFNAISEEATIERGLEWMRAEVVEAFSTHSGANVVEYELEDISHQCLIFDDCAKSYHHYNFIMKSKCPDSHLESLAN >ORUFI10G18270.1 pep chromosome:OR_W1943:10:18742138:18746822:1 gene:ORUFI10G18270 transcript:ORUFI10G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSNLYDTFQRSFNVKTHQKVKRQKFNHALFLCNILMNIQIWESLNAVILYDCPIINDLLAPPSMVVTCCNEELVDVLMSQKITLDEVQLEAAKAIYSAARCKHSRSIHVISGAHGTGKTKIVFSAVASLLSVSEKVIVCVPNAQSFSAMCSDFVEMAESHMDEELGICLGDILVLSNETGLEDNVQQLTIITRVKEVLPLMIWHDMMKALRKSLAAFKEKYVAEFKEKYVASAKHNCQPSAKKLLTSLFFEKAGFLLICLATFRKHFPKNMFSEQVSKRIKSLIKCVSKLENLLKDRNLKEYDVHVAFGILSGKRETISVLAKDLRQTKNHCVTALDDLLTRLDLPFNKDCIWLRNYFISNACYALHYQHLIFSFQLTLIVDNADQIRDYDLILPLTLRDVKNIVLTGDPSKVKEGSFFQRLLSVGFSVFELRRQYNLLDDKERHEADGQNTLSEALNDKIKAEELSLTIGSPKQLYSEFTWLHRPYNQKYILPQLRDQKGHPHCTVHASLAALEFKYKYKAASENPPHDFSSKFCTKHMLNVYAAKYGELGSERESTRGSKRLSNVLDILQNDGAKREAMMGKQVYKISSYACTYKIGKDTECLQETIDHLKIGDISIGSFKVSENLRFFKPGEVYIYNPEKPVLTPTANQPSAHCVLVIGGGGCPSDSTAEPVLACNMQFMIQNSYGKGFGELGIGRVRGDSFSTMHRISL >ORUFI10G18280.1 pep chromosome:OR_W1943:10:18748308:18749512:1 gene:ORUFI10G18280 transcript:ORUFI10G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCCSRASLRGYQRQPATNRGATSQFQYMHTASGQRPCPGKNRVKKQVAKDSSREVGITLKQLYRKSLTN >ORUFI10G18290.1 pep chromosome:OR_W1943:10:18755007:18759172:1 gene:ORUFI10G18290 transcript:ORUFI10G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDANAGRKSSTHLAQSPELHASLESHELSDREIPKDASVHQQAIDEPQPLYNIPITRGEGTLITELRKVMEELRVSYYADTDEETREQLKQFWDDEFNAISSGETTIERDLKWMRKEVIEAFETYSEANVVDYELEDLSRQCLIFDDCGQPYHHYNFTMKSKRPDSDLESSTNCPDSDLESSTNLESCISRHYFAEVKLMDGKKHYFCCPLESFDNGHCHGCRNSGIDLKHPSNGGYEEGNAYSGLSFDDDEFDAISEETTKEKGIEWMREEVIEAFETYSDANVVDYELEDLSRQCLIFDDCGQPYHHYNFTMKSKRPNSDLESSTNVESCISRHYFAEVKLMDGEKHYFCCPLESFDNGHCHGCRNSGIDLKHPSNGGYEEGNADSGFSFDPI >ORUFI10G18290.2 pep chromosome:OR_W1943:10:18755007:18759172:1 gene:ORUFI10G18290 transcript:ORUFI10G18290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDANAGRKSSTHLAQSPELHASLESHELSDREIPKDASVHQQAIDEPQPLYNIPITRGEGTLITELRKVMEELRVSYYADTDEETREQLKQFWDDEFNAISSGETTIERDLKWMRKEVIEAFETYSEANVVDYELEDLSRQCLIFDDCGQPYHHYNFTMKSKRHCHGCRNSGIDLKHPSNGGYEEGNAYSGLSFDDDEFDAISEETTKEKGIEWMREEVIEAFETYSDANVVDYELEDLSRQCLIFDDCGQPYHHYNFTMKSKRPNSDLESSTNVESCISRHYFAEVKLMDGEKHYFCCPLESFDNGHCHGCRNSGIDLKHPSNGGYEEGNADSGFSFDPI >ORUFI10G18290.3 pep chromosome:OR_W1943:10:18755007:18759172:1 gene:ORUFI10G18290 transcript:ORUFI10G18290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDANAGRKSSTHLAQSPELHASLESHELYNIPITRGEGTLITELRKVMEELRVSYYADTDEETREQLKQFWDDEFNAISSGETTIERDLKWMRKEVIEAFETYSEANVVDYELEDLSRQCLIFDDCGQPYHHYNFTMKSKRPDSDLESSTNCPDSDLESSTNLESCISRHYFAEVKLMDGKKHYFCCPLESFDNGHCHGCRNSGIDLKHPSNGGYEEGNAYSGLSFDDDEFDAISEETTKEKGIEWMREEVIEAFETYSDANVVDYELEDLSRQCLIFDDCGQPYHHYNFTMKSKRPNSDLESSTNVESCISRHYFAEVKLMDGEKHYFCCPLESFDNGHCHGCRNSGIDLKHPSNGGYEEGNADSGFSFDPI >ORUFI10G18290.4 pep chromosome:OR_W1943:10:18755007:18759172:1 gene:ORUFI10G18290 transcript:ORUFI10G18290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDANAGRKSSTHLAQSPELHASLESHELYNIPITRGEGTLITELRKVMEELRVSYYADTDEETREQLKQFWDDEFNAISSGETTIERDLKWMRKEVIEAFETYSEANVVDYELEDLSRQCLIFDDCGQPYHHYNFTMKSKRHCHGCRNSGIDLKHPSNGGYEEGNAYSGLSFDDDEFDAISEETTKEKGIEWMREEVIEAFETYSDANVVDYELEDLSRQCLIFDDCGQPYHHYNFTMKSKRPNSDLESSTNVESCISRHYFAEVKLMDGEKHYFCCPLESFDNGHCHGCRNSGIDLKHPSNGGYEEGNADSGFSFDPI >ORUFI10G18290.5 pep chromosome:OR_W1943:10:18755007:18759172:1 gene:ORUFI10G18290 transcript:ORUFI10G18290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDANAGRKSSTHLAQSPELHASLESHELSDREIPKDASVHQQAIDEPQPLYNIPITRGEGTLITELRKVMEELRVSYYADTDEETREQLKQFWDDEFNAISSGETTIERDLKWMRKEVIEAFETYSEANVVDYELEDLSRQCLIFDDCGQPYHHYNFTMKSKRPNSDLESSTNVESCISRHYFAEVKLMDGEKHYFCCPLESFDNGHCHGCRNSGIDLKHPSNGGYEEGNADSGFSFDPI >ORUFI10G18290.6 pep chromosome:OR_W1943:10:18755007:18759170:1 gene:ORUFI10G18290 transcript:ORUFI10G18290.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDANAGRKSSTHLAQSPELHASLESHELYNIPITRGEGTLITELRKVMEELRVSYYADTDEETREQLKQFWDDEFNAISSGETTIERDLKWMRKEVIEAFETYSEANVVDYELEDLSRQCLIFDDCGQPYHHYNFTMKSKRPNSDLESSTNVESCISRHYFAEVKLMDGEKHYFCCPLESFDNGHCHGCRNSGIDLKHPSNGGYEEGNADSGFSFDPI >ORUFI10G18300.1 pep chromosome:OR_W1943:10:18759978:18764445:-1 gene:ORUFI10G18300 transcript:ORUFI10G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGGGGGGGAGGDGARALDQTPTWAVAAVCAVIVAASILLEGLLHHLGQWFSKRRKKALFDALEKVKSELMTLGFISLLLSVTGRYISRICIPVGAADTMLPCSLRRSSSEQEVPGGGGHGRRHLSGDPTNFKCAKGMVSLVSADGLHQLHIFVFFLAVFHVAFSAITMSLGRAKTRIWKEWEKETCSLTYEFSYDPSKFRLTHQTSFVRQHASCWSKSTILLYFVSFFRQFFRSVRRTDYLTLRHGFIAAHLSLGTRFNFRKYIKRSLEDDFKTVVGISAPLWASALAIMLFNVHGWHNLFWFSTIPLVVTLAVGTKLQAIIAMMAVEIKERHTVIQGMPVVKLSDEHFWFGKPRLVLHLIHFASFQYEFGLRSCFHDNFELIIARVCLGVVVQFMCSYITLPLYALVSQMGSQMKRTIFDEQTAKALKKWHKAAVVKKQQKGSSHEPGSETPGTETTTTTATATEESQRERDAAAMPVRHLHRYKTIAHVGATGTLSDSDCSDTDTPFASPTRLLIPPTKQRSLDAGRAEVRVDVDSTPTPTPPERHDSFSFPRLPAHNLQQK >ORUFI10G18300.2 pep chromosome:OR_W1943:10:18759978:18764445:-1 gene:ORUFI10G18300 transcript:ORUFI10G18300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGGGGGGGAGGDGARALDQTPTWAVAAVCAVIVAASILLEGLLHHLGQWFSKRRKKALFDALEKVKSGTLRRFFHKFVLLFHFLNRLGSHHLRVKLEFDGGLQTCAELMTLGFISLLLSVTGRYISRICIPVGAADTMLPCSLRRSSSEQEVPGGGGHGRRHLSGDPTNFKCAKGMVSLVSADGLHQLHIFVFFLAVFHVAFSAITMSLGRAKTRIWKEWEKETCSLTYEFSYDPSKFRLTHQTSFVRQHASCWSKSTILLYFVSFFRQFFRSVRRTDYLTLRHGFIAAHLSLGTRFNFRKYIKRSLEDDFKTVVGISAPLWASALAIMLFNVHGWHNLFWFSTIPLVVTLAVGTKLQAIIAMMAVEIKERHTVIQGMPVVKLSDEHFWFGKPRLVLHLIHFASFQNAFEITYFFWIWYEFGLRSCFHDNFELIIARVCLGVVVQFMCSYITLPLYALVSQMGSQMKRTIFDEQTAKALKKWHKAAVVKKQQKGSSHEPGSETPGTETTTTTATATEESQRERDAAAMPVRHLHRYKTIAHVGATGTLSDSDCSDTDTPFASPTRLLIPPTKQRSLDAGRAEVRVDVDSTPTPTPPERHDSFSFPRLPAHNLQQK >ORUFI10G18310.1 pep chromosome:OR_W1943:10:18771604:18776324:1 gene:ORUFI10G18310 transcript:ORUFI10G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVEPETPPGSSSGGSTPVGGKPPRHHLTSIRHCASSARIAAATAEFDLGAGTLSLISPTDIRPGFLPVFRSGSCADIGTKSYMEDEHVCVDNLIEHLGVRTPVIPAPGAFYGVFDGHGGTDAACFVRKNLLRFIIEDGHFPSSIEKAIRSAFVRADHAIADSHSLDRNSGTTALTALIFGRTLLVANAGDCRAVLGKRGRAVELSRDHKPSCRSEKIRIENLGGTVFDGYLNGQLSVARAIGDWHVKGSKGSISPLTAEPEFQEVRLTEEDEFLIIGCDGLWDVMTSQCAVTMVRKELMTHNDPERCSQELVQEALRRNSCDNLTVVVVCFSSDPPPQIEVPRFRVRRSISMEGLHMLKGALDSNA >ORUFI10G18310.2 pep chromosome:OR_W1943:10:18771604:18776324:1 gene:ORUFI10G18310 transcript:ORUFI10G18310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVEPETPPGSSSGGSTPVGGKPPRHHLTSIRHCASSARIAAATAEFDLGAGTLSLISPTDIRPGFLPVFRSGSCADIGTKSYMEDEHVCVDNLIEHLGVRTPVIPAPGAFYGVFDGHGGTDAACFVRKNLLRFIIEDGHFPSSIEKAIRSAFVRADHAIADSHSLDRNSGTTALTALIFGRTLLVANAGDCRAVLGKRGRAVELSRDHKPSCRSEKIRIENLGGTVFDGYLNGQLSVARAIGDWHVKGSKGSISPLTAEPEFQEVRLTEEDEFLIIGCDGLWDVMTSQCAVTMVRKELMTHNDPERCSQELVQEALRRNSCDNLTVVVVCFSSDPPPQIEVPRFRVRRSISMEGLHMLKGALDSNA >ORUFI10G18320.1 pep chromosome:OR_W1943:10:18779049:18783555:-1 gene:ORUFI10G18320 transcript:ORUFI10G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRERIEGVRQYNRSKVPRLRWTPDLHHCFVHAIHKLGGQHKATPKRVLQLMGVGGLTISHVKSHLQMYRNMRNDDLGMQGIQQMDDQEQTFAGGMQIWTDMQLQDHHHECNGPYCRCHSSSKHAKGSLLLLHHHQQQQQLQRPNEMETRRAEASTQTGFLRSQGICERDVSSGLPVPAAYYSYYTPMAHGAPPAAADGAGHDDPPRLLGLVVMATTTRRGSREEHKATPPPENGAIRHGRKARLTTAAAEEEERDGDGDELSLSLTLDSGLSCRSSGGAGAYCCSEGSSSNWLISSPSSTTSLVAGGCSRRSTPAMLSSVVSLDLSL >ORUFI10G18330.1 pep chromosome:OR_W1943:10:18788973:18792184:-1 gene:ORUFI10G18330 transcript:ORUFI10G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQTSSPCLLLLLGSLALVTLTLCGPAASARPETGSLDASATAAMELQELDRVMSLPGQPAYSPEFRQYSGYVTTDEYLGKALFYWFLEATDKPDEKPLVLWLNGGPGCSSIGFGQAQELGPFLVKKDVAELELNPYAWNQVANLLFLDSPAGVGFSYTNTSFGKDPPGDNSTAYGSYTFLIRWFQRFPQHKMKEFYIAGESYAGHYVPQLANVIVDQNKIAPKENYINLKGIMIGNAYMDGDTDLLGIVDSAWHHALISDKLYSDFQKFCNFSLVDLSKECNAAIDQFNALYSIIDIYSLYTPRCELGYPNFNSSFAAQIGRTSSRFYFLKIPMGYDPCSQTNYINRAWNDSDMTVLPIVKKLTQSGLRIWIYSGDTDARIPTTSTRYTLKKLGLPIKEDWSPWFHHKQVGGWSVVFDGLTFVTVRGAGHMVPSIMPEQALELFKYFLANQNLPSKPF >ORUFI10G18340.1 pep chromosome:OR_W1943:10:18799469:18801429:1 gene:ORUFI10G18340 transcript:ORUFI10G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVQLWSDWEIQLLMLLSFTLQMLLFFSGGLRRCSTKALVRFCLWIAYLGADMVALYALGYLSRHQDVIIGGSTLREVHPLSFLWAPFLLMHLGGQDTITAFAIEDNNMWLRHLLNLGVQVALTLYVFWKSVDRHNCQAFESSLQDIPLHQMEQDHREVLTSKINEVHLPKLLEIELCLMYDDLYTKALVLRTRRGIILRCVSQFVEYLPLLCHHGPGHGPRLRNAMELEFLFSSNIGWPKERPLWSNSMGQYNFLSYLGCDESRLSKLVKKVIRKMGSLVGAGEEAGTSLWMSKLLDTKYVTVDKEIMQCVIHLIYNYSPFGPASTDDQRWPNLEVHLSNYYLHEVLASVCRKLSNYMLYLLVRHPEMLPVSGTAEPTLKFFLGSITYRNDHYKNRTLKRARDRLQIQEPADMGIKTLEEIRDMWAMLLIYSAGKSKANMHAAQLSKGGELLTFAWLLMAHLQLGDVGEQFEFLFGSVPGPESKEDNRDLQWRSQDLTTPRAQIEGTIHDNSYAEVQSTDKKNCKTEITEQNQA >ORUFI10G18350.1 pep chromosome:OR_W1943:10:18808506:18808903:1 gene:ORUFI10G18350 transcript:ORUFI10G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMITVKVKTLTGKEVEVSIEATETVARIKEQVEAADGIPPPQQTLIYGGRQLADDMTAEMCDLRHGSELHLVLAFRGGLL >ORUFI10G18360.1 pep chromosome:OR_W1943:10:18811187:18815795:1 gene:ORUFI10G18360 transcript:ORUFI10G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAWTNLPGEIMELIADKAGDALTGRALSRSVCRSWRAAVPETPRLLLPAAAARGAGDEYALVFPLSRGWSVVVDVRDTSCRLSHLATGATAPLPRLNAVRATAGSRVVHLGYEHSAAPAPAPASQVPPGARRRLAPADAAALGGSDEPGVEAELPAARHGARRHRRRTVQDQDQVSLVFHVPRIRPPILTLAPLRRPCPGGGEHGRHADHDVPPGSGDTGMVFCRPGDAAWTKLDNPIDDDKHVYNLVEFAYLDGKVFAMDRGGTTAVIDAATLEVLDLVDAPPGTRNVSNKLLGTANGDDTVMSLDYLHLVALPSKLLVVRVRVNKSSSEPEGFDVFELGRQDHRDGEGKLAWREVAGDDVGGNYDLFLDDHHATFVGGGGGAGGDSGSRIYYVHDGKEAYCYSKRHGELECVYSSPEGSEEQCSTMPSWFVP >ORUFI10G18370.1 pep chromosome:OR_W1943:10:18817354:18821871:1 gene:ORUFI10G18370 transcript:ORUFI10G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGSDMIFCIICIITGFCNIWLKEEESGEPPSKLPKSAEPNPPRPPVAPVPVLPARPPNKLLRSADPNPPRPPVAPVLALPVEPARVAPCAPPAVNLSVKKQ >ORUFI10G18380.1 pep chromosome:OR_W1943:10:18819141:18823580:-1 gene:ORUFI10G18380 transcript:ORUFI10G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGEAGGGDGGESSPAAAAAAAVAGAAALHIRCANGSKFTVRADLDATVGAFKEVVAGSCDVPAAQQRLIYKGRILKDEQTLESYGVETDHTIHMVRGAGPPAGSAAPAAASPQASAAPSSGPTDGLGSLFPGLGGTGTAGTRPSGLFGSGFPELDQMQQQLSQNPNLMREIMNMPMMQNLMNNPDLIRNMIMNNPQMRDIIDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGNTAPNPFSALLGNQGSNQPRDPATNAPNTGSESTTGTPAPNTNPLPNPWSSNAGGAQGATRAGSTGNARTGATGGLGGLGSADLSSLFGGLAGNTGTGATGGLGGLGSADLGSLLGGSPDSSSLSQILQNPVMMQMMQNIMSDPQSMNQLLNFNPNTRNLMESNTQLREMFQNPEFIRQLTSPETMQQLLSLQQTLLSQLGQNQPRQDGSQGGNATGMRGNVSLDTLMGMLSGLGAGGGIGVPNTSNVPPEELYATQLTQLREMGFIDTAENIQALVATAGNVNAAVERLLGNLGQ >ORUFI10G18390.1 pep chromosome:OR_W1943:10:18829139:18831433:1 gene:ORUFI10G18390 transcript:ORUFI10G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRCCSSMASASVVLFFVVVGMSASMVSGCDRCVRRSKAGFRDSSIALNAGSCGYGSLAASFNGGHLAAASPALFRGGVGCGACFQVRCKDGKLCSTAGAKVVVTDEARSTNRTDLVLSAAAYAAMARPGMAAQLRTRRAVDVEYKRVPCEYAAGRNLSIRVEEKSRPPRELSIRFLYQGGQTDIVAVDVATVGSSNWKFMTRDYGPAWSTAQAPAGPLQFRVVVTGGYDGKWVWADGEVLPRRWTAGRVYDAGVQIADVAQEGCYPCDTQEWK >ORUFI10G18400.1 pep chromosome:OR_W1943:10:18832099:18832764:-1 gene:ORUFI10G18400 transcript:ORUFI10G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSHVISFARFCWVVARLSAKPPRSSYLTKNSLAIDVEFGEAEAKSTTHISIPNRVVPVEAVERVIDLSSGMHSRVYVRFTGTSCISSAV >ORUFI10G18410.1 pep chromosome:OR_W1943:10:18838598:18839533:1 gene:ORUFI10G18410 transcript:ORUFI10G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFRRTLSTLTSHKAVAPSFLLDCARPKKLSYARVRSTSLPVRLHPLVAGLHDAARALLKWADAPAQTGPAWVADGADRAGKVLAGLADLLHHPQAQDALRRPWTEQLLDDLLLLTDLHGCFRESLVALRQLLAETHAALRRRDGSRLAAALRAQRRSAREVSRLASSARDLSHRAAPGDDADEATLADAFAAAASSVAAAAAAVFAGVSSASAESAASAAPSPRTPTPYSPARTPASPMWLVTDLLRRRRTVSFEDYCNEEEEERKAAMARVRGLEECVAAAESGCEEVYRALVNARVSLLNLLTPTF >ORUFI10G18420.1 pep chromosome:OR_W1943:10:18848708:18853362:1 gene:ORUFI10G18420 transcript:ORUFI10G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSSLRVGSHAPEKPPRRARPPPPPPQPHHPRRPSFTLNAHQAAASAAPAPAFAEFSLAELREATGGFAAANIVSESGEKAPNLVYRGRLQGAGGGGGRAIAVKKFGKLAWPDPKQFAEEARGVGKLRHRRMANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENKAIEWAMRLRVADNIAEALEYCSNEERPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVVFSFGTILIDLLSGKRIPPTHALDMIRSRSIQAIMETNLEGKYSIEEATTLVDLASKCLQYEPRDRPDIKKLVSILQPLQTKSEVPSYVMLGVPKPEEVPKAPPAPQHPLSPMGEACSRMDLTAIHQILVSTHYRDDEGTNELSFQEWTQQMRDMLDARKRGDFAFRDKNFKQAIDCYTQFVDVGTMVSPTVYARRSLCHLMCDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLNMQSDSLDMLNEASQLEEKRQKSIKGP >ORUFI10G18430.1 pep chromosome:OR_W1943:10:18854254:18856153:1 gene:ORUFI10G18430 transcript:ORUFI10G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTRFVQLAACAAASLLAVAASGAAAQGVGSVITQAVFNSMLPNRDNSQCPARGFYTYDAFIAAANSFPAFGTSGGSAELIRRELAAFFGQTSHETTGTLLITATELPSSRERAGAGPGGQPRPGVHRRRGLLQDGHLVLDDGAGEQAYNKPSSHDVILGRWTPSAADTAAGRVPGYGVITNIINGGIECGVGQNDANVDRIGYYKRYCDMLGAGYGSNLDCYNQRNFAS >ORUFI10G18440.1 pep chromosome:OR_W1943:10:18864483:18867115:1 gene:ORUFI10G18440 transcript:ORUFI10G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTRRRFVQLAACAVAVLLAVAASGAAAQGVGSVITEAVFNSMLPNRDNSLCPARGFYTYDAFIAAANSFPAFGTSGGSAELIRRELAAFFGQTSHETTGGTRGSSDQFQWGYCFKEEINKATSPPYYGRGPIQLTGFFIINTYMFLNFATSLAVYYPYSINYESHAIELPSSQERAGAGPGRRPDLVSTNAVVSFKTAIWFWMTGQYNKPSSHDVILGRWTPSAADTAAGRVPGYGVITNIINGRFECDVGQNDANVDRIGYYKRYCDMLGADPGSNLDCYNQRDFDSQPS >ORUFI10G18450.1 pep chromosome:OR_W1943:10:18869254:18871776:1 gene:ORUFI10G18450 transcript:ORUFI10G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSLLLAGVKAALVVLAGVALYSPEGFSPAPMPPEYSYGAPVSAPRHEPRALAASERVGEGRLPAPEDLAYDAAGGWLYTGCGDGWVRRVSVSSGDVEDWARTGGRPLGVALTADGGLVVADADIGLLKVSPDKAVELLTDEAEGVKFALTDGVDVAGDGVIYFTDASHKHSLAEFMVDVLEARPHGRLMSFDPSTRRTTVLARGLYFANGVAVSPDQDSLVFCETVMRRCSRYHINGDKAGTVDKFIGDLPGFPDNIRYDGEGRYWIAISAGRTLQWDVLTRSPFVRKLVYMVDRFVVAVPHNLKNAGAMSVTLAGEPVSMYSDPGLALTTGWLKVGDYLYYGSLTKPYLSRIDLAKSPAEKAQE >ORUFI10G18460.1 pep chromosome:OR_W1943:10:18873581:18874579:1 gene:ORUFI10G18460 transcript:ORUFI10G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHVAAPNRPMDQPESAAASTSEESESASASHRAIWLDSVSISVRIPIPPRVATAAAATGFLAKPPPPLAPLVMSSSSSSSSQGGGRRRPMSRALIEHHRPWDVVDNMALIIIDQTYAAALGIPGRREMGDGSVEVSSAVDADDPDSPVLTINASATRCCVAFDTPRRDAVPYKKFTSPKRKTRPDHRLARTIVSVSPATLYLSHRSDCAGGDSDSKDYWSCAEVAPDVAAGGALAILDTIMLRLEAAIHLEENILVNAMEFNCGTSSVLEVVAETRNALEEMRREMDLPEMMQRRLHKRRHVVVGDAAAADHDDESAEKVFKKFRTMRCR >ORUFI10G18470.1 pep chromosome:OR_W1943:10:18876687:18877803:-1 gene:ORUFI10G18470 transcript:ORUFI10G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVKVFGSPSSAEVARVLACLFEKDVEFQLIRVDSFRGSKRMPQYLKLQPHGEALTFEDGNVTLVESRKIIRHIADKYKNQGNPDLIGMGALERSSIEQWLQTEAQSFDVPSADVVYSLAYLPAATTQPNKGAAAADGGRCEEEKNDDGGRDRQYSSQRQGGAGAGGGRDGQMAAAHRQKVEEMKQLFEKSSKELSKVLDIYEQRLEEAEYLAGDKFTLADLSHLPNADRLAADPRTLRMLQSRRNVSRWWADVSGRESWKQVKSLNRPPSAEAPF >ORUFI10G18480.1 pep chromosome:OR_W1943:10:18887286:18888220:1 gene:ORUFI10G18480 transcript:ORUFI10G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYMMDQEAPGRSAPGATRAAIQAARPLPPPALPSLAAARAAAGKAGRKQGRRRRGFPSPSLVVKAAGAPTRPDNGSEARATASSSGATEHGSGPLLAGSGAPTGGSRGGRRDLPPSRPEATTPRPAKERWGLARPRRCGDDSRGGRPVIVCDVRRDGRRRRQGRAAQGLAATAAKAPRSVPTRLDLDGG >ORUFI10G18490.1 pep chromosome:OR_W1943:10:18888270:18889104:1 gene:ORUFI10G18490 transcript:ORUFI10G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDGGSGGGWRWRLPMAAAAATDTASGRLLAACWWTFCWWRPTPSPLLVEGNSAAAGRFAGGGRLLPLAGGGRWRSDGLRRRLLASVAAATATVTVEAGGCRAKAQSWKPSLGSFESRRTAARFSVASLLEDVWRSVTLSGVRSGVSLLLGLCVGDVSVWVAV >ORUFI10G18500.1 pep chromosome:OR_W1943:10:18891509:18893542:-1 gene:ORUFI10G18500 transcript:ORUFI10G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMDDDGSSSLLEELMAPLRRGTPTTTPEDLWLEAYPMMMSPMCGDGVMLGDLLVGGGNARNTLASPPPPPPPSFPLPVPLTTTTPCPPLHEVSFEFDSIDCLGEVCNPYKRSGGAVRATAAAQVMVAAMDPRREAASSAVAVAAVEEEERCKARRGAGGGGDSGELAPMFVFGGGGGAAASVRPRSCRPPQPGAPSKNLMAERRRRKRLNDRLSMLRSVVPRISKMDRTSILGDTIGYVKELMDRIKNLQVEAATGDSSSSSTENLSMLKLNTLKPPPRENGSTRIEMACAAIPELLPSTLAALEALGVEIEQCVISCFDDFAMQASCLQDDKKREMTRDTEEIKQTLFRSAGYGDGCLI >ORUFI10G18510.1 pep chromosome:OR_W1943:10:18900301:18904507:1 gene:ORUFI10G18510 transcript:ORUFI10G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPWKHPTTARHGAADLCLREVGLLLPRRFARRAAASEDLVMRLQVHRKLNRHTGCVNTVGFNADGDTLISGSDDQMVMLWDWDTGAIKLQFPSGHSNNVFQARFMPYTNDQTIVTCAADGEVRLAKIGDGGDVPTTLLGDHDGRAHKLAIEPGSPYIFYSCGEDGLVQHFDLRTNTATKLFQCRNSLSKSGHSSNIHLNAITIDPRNPNLLAVGGSNCYARVYDIRKYKWDGSSDYPSDCYCPPHLIGNRFVGITGLAFSHQSELLISYNNENIYLFPKNGGLGPDPKSSVKIEANKGSKSTIVSSGEDVDRPAPLMYAGHRNCETVKGVTFIGPNHEYVASGSDCGRLFIWRKKDGKFLRAMEGDECVVNCIEPHPHTMTIASSGIDNDVKIWTPSATERAPVVNIEELKPRKRRTKLWHFSLPEELIFHVLASRRRQQAAGDDDSSEDLEDSTGLLNLVLRAAERDVLSDEDEESSEDSGDFSEPTAAKPAQARLKPSILKRSTHRRQPLRHGGGGGVTVVVSRGGGGGGAARVKIVVGEDELDRIAAGVTRRQCAVGSPELLQQQARATEVAAAPMPMRRPESEGGALVAGRRGEWQPALDGIPEEA >ORUFI10G18520.1 pep chromosome:OR_W1943:10:18918426:18923516:1 gene:ORUFI10G18520 transcript:ORUFI10G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPSSSSSSPVAPEVVQWAGGADGFGSAAIGFACRVLCAVATCVFAAVGSVVGAVTGSAIGLATESGMLRGAGIGAISGAVFSIEVAESSRDLWHSSDSAVWCLVYMVDIISSLLSGRLVREKVGPAVQSAVQSQISAISSPFAETSDLFETGGTKGLPADTLRRLPAIKITGDNAVDSAGEPICCSVCLQDFRVGEMARRLPSCRHVFHVPCIDCWLVRHGSCPLCRRDI >ORUFI10G18530.1 pep chromosome:OR_W1943:10:18933510:18938287:1 gene:ORUFI10G18530 transcript:ORUFI10G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAMEYLRSCWGPASSPAGRPRKGSDAAGRQDGLLWYKDAGQLVAGEFSMAVVQANNLLEDHSQVESGPLSTTDPNLQGTLVGVYDGHGGPETARYINDHLFNHLRGFASEHKCMSADVIRKAFRATEEGFFSVVSSQWSMRPQLAAVGSCCLVGVICAGNLYIANLGDSRAVLGRLVKGTGEVLAMQLSAEHNASFEEVRRELQAAHPDDPHIVVLKHNVWRVKGIIQITRSIGDVYLKKPEFNREPLHSKFRLQETFRRPLLSSEPAIVVHQLQTTDQFIIFASDGLWEHISNQEAVDLVQHNPRNGIARRLVKAAMQQAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFFDSNAITTANWSRPSVSLRGGGVTLPANSLAPFSVPT >ORUFI10G18540.1 pep chromosome:OR_W1943:10:18939729:18942467:-1 gene:ORUFI10G18540 transcript:ORUFI10G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSGGRKLPFFASNASTSSSTKRTRSARRLPSLTRPRASSSPSPASPSPPPPSASHPAPPSPPLAVSPAGAGKVGKKKAGARLWMRLDRWGVSETLHLDKGSIIRRAGLPPRDLRILGPVFSDSSSILAREKAMVINLEFIRAIVTADEILLLDPLTIDVIPFVEQLTHHLPLKNLVCGNGQPGGDDHGEKHDDSHGDQVPRLNEATGAEHELPFEFQVLELALETVCSSFDVNVSGLERRATPVLEELTKNVSTRNLDRVRTLKSDLTRLLAHVQKVRDEIEHLLDDNEDMAHLYLTRKQLQNQQVEALISSAASNSIVPGGTSLSRLNNSFRRSVSIATSMHLDNDVEDLEMLLEAYFMQLDGIRNRILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFGIAVNTFIAGAFAMNIQSKLYSIDDGSFFWPFVGGTSSGCFMICIVLLWYARWKKLLGP >ORUFI10G18550.1 pep chromosome:OR_W1943:10:18942735:18944940:1 gene:ORUFI10G18550 transcript:ORUFI10G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAATPVLLLLRRSATILLPRSRSPRASACVLAPQRRRGRRWRLLRSSPPPEGVPGELMEEEEDSKFVPLNAEDPMYGPPALLLIGFEEGETNKVQEFLRELDGEFLKIAKSMPRICIFSGLTGEEMMMFINSYPETGLEPAAFAALVPNSADKILGEVIEEIMGDHEMLERISNEENLM >ORUFI10G18560.1 pep chromosome:OR_W1943:10:18949235:18956214:1 gene:ORUFI10G18560 transcript:ORUFI10G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAAEAEAALGRAMTAAESLWFRYSAGIPDYVLFWHNILFLFVVFTLAPLPVALLELRAPAAVGPFKLQPKVRLSREEFFRCYRDVMRLFFLVIGPLQLVSYPTVKMVGIHTGLPLPSLGEMAAQLLVYFLVEDYLNYWIHRLLHGEWGYEKIHRVHHEFTAPIGFAAPYAHWAEVLILGIPSFVGPALAPGHMITFWLWIVLRQMEAIETHSGFDFPFNLTKYIPFYGGAEYHDYHHYVGRQSQSNFASVFTYCDYLYGTDKGYRYHKAYQAKMKALGQTEGEKADSNGLSYAKLD >ORUFI10G18570.1 pep chromosome:OR_W1943:10:18952773:18955291:-1 gene:ORUFI10G18570 transcript:ORUFI10G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPPPKDRRIRTERTSYRDAPYRRDSRRGPSRFPNDLCNNCKRPGHFARDCPNVALCHACGLPGHIAAECSSKDLCWNCKEPGHMANSCPNEGICRNCGKSGHIARECSAPPMLPGEMRLCSNCYKPGHLAAECTNEKACNNCRKSGHLARNCPNEPVCNLCNVSGHLARECPKSDAINERGGPPPFRGGAPPPFRGGYSDVVCRACNQVGHMSRDCMAGAFMICHNCGGRGHMAYECPSGRLMDRFPPRRF >ORUFI10G18570.2 pep chromosome:OR_W1943:10:18952771:18954136:-1 gene:ORUFI10G18570 transcript:ORUFI10G18570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICATIVSVQDILLEIVQMWLFAMHVGFQAECSSKDLCWNCKEPGHMANSCPNEGICRNCGKSGHIARECSAPPMLPGEMRLCSNCYKPGHLAAECTNEKACNNCRKSGHLARNCPNEPVCNLCNVSGHLARECPKSDAINERGGPPPFRGGAPPPFRGGYSDVVCRACNQVGHMSRDCMAGAFMICHNCGGRGHMAYECPSGRLMDRFPPRRF >ORUFI10G18580.1 pep chromosome:OR_W1943:10:18957155:18960404:1 gene:ORUFI10G18580 transcript:ORUFI10G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGCSNGEAAAPAATWTPPYCTVVAADMSDFCYLSCPRCERALPDHADACAACTGRGGGGGPVPARVYRLRASVATHDRVVPVVLFDRAARVLVGCPADELARFFAAHAGAARAAEEALEGEVCRVAMRAFAKGAAERFRAVSVVPLRDGFRPLIDTLRELYCTADPTPATSPPPRLEWVMRIVIVNLKVSHRLMRKLKRCLINLQPEVMNCAPFRHSDHSLLLSICNGQAQESCYRLEQTLQNQSSNSEQPTEHQLRPGLFGVKGSTKMQETRS >ORUFI10G18590.1 pep chromosome:OR_W1943:10:18960687:18963373:1 gene:ORUFI10G18590 transcript:ORUFI10G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALVATHHPINDSLQQVRDSSQRRRETSSPSFIHITITDKMARPGSGNIPGSACIPLLILLLLLLLLHPSEAQPSPGYYPSKMFRSMAFYEGYSTLWGPQHQTLSQDQKSLTLWMDRSSGSGFKSTRSYRNGYFGASIRVQPGYTAGVNTAFYLSNTEQYPGHHDEIDMELLGTVPGEPYTLQTNVYVRGSGDGNIVGREMRFHLWFDPTAGFHHYAILWNPDQILFLVDDVPIRRYEKKVEGTFPEREMWAYGSIWDASDWATDGGRYRADYRYQPFVSRFADLKVGGCATAAPPACSPVPASSGGGSAALSPQQEAAMAWAQRNAMVYYYCQDYSRDHTFYPEC >ORUFI10G18600.1 pep chromosome:OR_W1943:10:18963968:18968171:-1 gene:ORUFI10G18600 transcript:ORUFI10G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGDEEGEQGLRLGGVGDGADGEEEVARGVVAPQVLELSSSSSGEEGGSWEEEESQGSVEVTRGGGGDREARVSESRDSAEVNGGEAESQSLPGCPICMNAWTADGAHRVSCIPCGHVYGRSCLERWLLQCRKKPATCPQCGRRFKLNNIINLYAPEISVPNNDLEKQVLSLREKNESLEKQNQELVQEINEHKRQIILQQNFINESSLKRKKMADQSSHGTTDADSVASLTADDGHSSPCSFVLQNEFFLDGARVMGIDASSQIILTSGRAPGIGAEHVLTKGLQKIHLPSDTKAIRDICILPGGHVVFASLGKKLSLLSMTTESVVLHYDLPAPGWSCSGDQNSPNHIYAGLQNGMLLTFDIRQTVAPLHSMMGLSTHPVHTIHSVVDGGGSRKVISASSIGPCIWDVDGSRNRPDLLNGMENHGVCISLACNPPSSDLLVASFRPKVELSDDGTSQAGKSQSPTPSASGKLGCHALIRRTSNTSFARDQICRGNVSGLRMSKSAIIPCTGRSNQQHLFAYGDESLRGVRTWRLPSLQTFADLRPHRQPILDLRFAESSSTGERYLGCLSEDRLQVFRVR >ORUFI10G18610.1 pep chromosome:OR_W1943:10:18968936:18971484:1 gene:ORUFI10G18610 transcript:ORUFI10G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRFLISAPFSRRRGERKAEAGRMARSVSYVSAAKLLAMARSNPRVAIIDVRDEERSYQAHIGGSHHFSSRSFAARLPELARATGDKDTVVFHCALSKVRGPSCAKMFSDYLSETKEESGTKNIMVLERGFNGWELSGQPVCRCTDAPCKGTCSPEEPEL >ORUFI10G18610.2 pep chromosome:OR_W1943:10:18969091:18971484:1 gene:ORUFI10G18610 transcript:ORUFI10G18610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRFLISAPFSRRRGERKAEAGRMARSVSYVSAAKLLAMARSNPRVAIIDVRCRALASALRLSPTLRRADLVADVDEIVRFFLCVVGDCRDEERSYQAHIGGSHHFSSRSFAARLPELARATGDKDTVVFHCALSKVRGPSCAKMFSDYLSETKEESGTKNIMVLERGFNGWELSGQPVCRCTDAPCKGTCSPEEPEL >ORUFI10G18620.1 pep chromosome:OR_W1943:10:18972396:18973193:1 gene:ORUFI10G18620 transcript:ORUFI10G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLLSSRRLLPALLHTPSPVPIPRAAAAGEVGGTPVASFLRRPARFFSSAARRGPARPRATDIGARARQLQSRRLWTYALTFGCAAGFVVTVLATFQDQLVFYLTPTDALARYATDRSKSRVRLGGLVLEGSVSHPSASSSEIEFVVTDLITDVLVRYEGALPDLFREGHSVVVEGFLKPFTDDLRRDTAGRKVSDKARDCECFFSATEVLAKHDEKYMPKEVGEALERNKKKLEEEAAAAAAASQESATAAVALDGAKSSS >ORUFI10G18630.1 pep chromosome:OR_W1943:10:18975446:18976271:1 gene:ORUFI10G18630 transcript:ORUFI10G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEERERESTSLWGRFCNWITSTENPATAVFLIYPIGQGSFFDGMPLGISGTFNFMIVFQADHNILMHLFHMLGVAGVFGGSLFSAMHGSLVTSSLIGETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPPINTWADIINRANLGMEVMHERNAHNFPLDLAALEVPSLNR >ORUFI10G18640.1 pep chromosome:OR_W1943:10:18976383:18976602:-1 gene:ORUFI10G18640 transcript:ORUFI10G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPAMVGHTIAIHNGKEHIPIYITNPMVANWGNSYQLGISRVMKVQERILNLVVN >ORUFI10G18650.1 pep chromosome:OR_W1943:10:18978836:18980222:1 gene:ORUFI10G18650 transcript:ORUFI10G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRTLLALVAVAAAAAAATRADAWHNYGAAKFTVTGSVLCQDCTKSWNAYAYNAKPIPGSMVGITCLDKETGRTVYHGTDKTDDKGMFNIEVPYTVGSAHLHPSACLVRLASSGDHGCAVFTNFNGGKTGERPCRPSHVYPGRVTYSAGPFYFTLSQCDVKDGATY >ORUFI10G18660.1 pep chromosome:OR_W1943:10:18981513:18988802:1 gene:ORUFI10G18660 transcript:ORUFI10G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGSHSDAAYHLPTAAAAGGGGWAASSSAGGGGAASRRRRRPGLSCRPSHLFFALLVALFTASLLVVWQLLPIGDGGKAEEGVEGLPRPGGGGGGGVMRFSASSVALREFHGESRLEAARSERRWWPGLAPVRLALFVGTMNINAQSLMVATLAKSLKNLGYEVEVLAFADGKANDILENICHVNVVSPPSLKYIDWSKYNAVLLSSLEGKMVVSILMQEPFQFLPVVWLIHEDALGQFLRNPELHQSIPNHIEDWRTHFNACTYVVFPDSYLPLLHSALDTGNFLVISGSPVDILATKRYSSSHTQESARNQYGSKEDDVVVLVVGSYLFFDELPWDFATVLRASAPHIMDMAKTKNLGVQFIFFCGNDTDTYNSAFQELASHMGFPLGSVKHFSLTHDIRNLLVFADIVLYGSSRQEPVFPPLLLRSMASEIPIIVPNLTVITKYITDGTHGFLFNADDPSTMVSAFAQILGEKRLSARAFSVALEGKLLSKNMLAYDCITAHVMLLESVLHYPSYAKLPSPVSKLQERTWLWDLFETKAALENSSSDDDSQLLTRIIDNLVDESHESNQTYSDSNDTSLYNYPSLSDWNDLNEVEIFEDIERREIEEASFSPLPFPIDERVERPLLSWDEVYKNARKSERLKPEGNERDEGELERTGQPVCIYEIYSGEGAWPFLHHGSLYRGITLSKGGRRPRSDDVDAVTHLSVLDNPYYSDRLCEFGAMFAVANRIDAVHKLPWIGFQSWQAAGRKVSLSEKAEETLEETMAGENNEDVIYYWAPMDMDQTSNFWSMCDWLNAGRCRTLFEDAFRTMYGLSDGITALPPMPNDGDYWSTLHSWVMPTPSFLKFIMFSRMFVDYLHSLNVNGTDPASCLLGASQLEKRHCYCRILEVLVNVWAYHSGKKMAYLNPVTGDIREQHPLDDRNEMWVKFFNFTLLKSMDEDLAEEADDGMHAGDDQWLWPLTGQVFWPGIADREREEKYIKKLDKKLKNKVKLLERQKSGYKQKPLGQ >ORUFI10G18670.1 pep chromosome:OR_W1943:10:18990568:19002248:1 gene:ORUFI10G18670 transcript:ORUFI10G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDAPAASSSSSASSPPSLFGGGDGGGELFGSAPGPLVFLPLLLIQGGGMDLSRVGEKLLSSVRSARSLGLLPPTPPPPASRPEVPARAAAAAAGAPGGAARAIAGLPPHEKISLPLNSEDLVSIYGSNPQGHAVDELEEVFFQEEFDPIKYILQSIPAEGDSSYFDKQSTLRLAQLDKIAERLSHHVMGHHEEMVKGMQLVMELEQDLKVANVICMNGRRHVSSSKNEVSRDLVVNVKSKKKQALLDVLPILTELRNAQDMQMELESFVEKENYFQAFQLLPEYLQILENYSGLSSVQEMGRGIEVIDAYALMGDIGGMAEKMQSFFLQEVLSQTHYVLKEMLEEEVGNNTQRNRFTYSDLCAQVPEPKLRPCLLRTFQSLFSLMCSYYTIMSFCPGVKSIESEGENSLTGRNNTSQSADESLGDSGRGYAAEMSDRTSSSDVSNPDTSTSGTDSPFYQLRTDAAKLVAHAFERGRRNLWQLATSRLSVLLSSSVVFSTSTYQFLKNYEDLTIFILAGEAFCGFEASEFRQKLKGICLNYSVAFHRQNIYALKMVLERESWTIMSAEASRIISLAGLTGDGAALISPTSRISTLPIHGSTMSDTGKEKNGFAAWTKIENPFFYKVENGTTESPKSNMMFNSVDNSSAHGSTNNGNGNKAPLDEENEDLLADFIDEDSQLPSRLAKTKIVKGNSSHWKDGDISSQTGSSLSLLRMMDKYARLMQKLEIVNVELFKGICQLFGIFYHYIYETFGNQDRGQSGKSLPDHQSFRLRVALSKITQDSDQWIKPQSISYSPSSPVSMDVMPTAPPSSMFTSYGLKERCAAAETISLVARVLNRSRAHLHSVLSQSNTSILEEFFGTMVDSVPDLAEHIHRTSARMLLHINGYPDKIANAKWEVKELGMEHNGYVDLLLGEFKHYKTRLDHGGISKEVLINGLLHIVSANVRPKLQIVDTFIKAYYLPETEYVHWARSHPEYSKSQVVGLVNLVATMKGWKRKTRLETIERIEAGP >ORUFI10G18680.1 pep chromosome:OR_W1943:10:19001457:19001840:-1 gene:ORUFI10G18680 transcript:ORUFI10G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPEFYKPAPVFSPCSSPLRLLQQQHGEDHQEEYGYGSSGGCCRTPTGGESNLKAPGTCPPAPRKPRAPAAPCRKRLFEVEVLSLRLEELERLFWRPPPPPPTTQPQPQPQQPPQKRRRVAKLGS >ORUFI10G18690.1 pep chromosome:OR_W1943:10:19008278:19009194:-1 gene:ORUFI10G18690 transcript:ORUFI10G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPAARGSKASAATRRFLAAASSTRSLSSSSPSGMEGRHGGGKPVASSGLISDSKFPFRQQISLRKHQIGMAAAIRMHDSEEGHYSFHSILSRNVSPRASWCAEKENSGQRDAAARTTTPKPALCAEKESYRLGRELPSRFFLCLRVIKARQRARW >ORUFI10G18700.1 pep chromosome:OR_W1943:10:19009521:19014760:1 gene:ORUFI10G18700 transcript:ORUFI10G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVPCVPFLCPPPPPLVSPRLRRGHVRLRLRPPRSSGGGGGGGAGGDEPPITTSWVSPDWLTALSRSVATRLGGGDDSGIPVASAKLDDVRDLLGGALFLPLFKWFREEGPVYRLAAGPRDLVVVSDPAVARHVLRGYGSRYEKGLVAEVSEFLFGSGFAIAEGALWTVRRRSVVPSLHKRFLSVMVDRVFCKCAERLVEKLETSALSGKPVNMEARFSQMTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAELRSTDLLPYWKIDLLCKIVPRQIKAEKAVNIIRNTVEDLITKCKKIVDAENEQIEGEEYVNEADPSILRFLLASREEVTSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPAALRRAQAEVDRVLQGRLPRYEDLKELKYLMRCINESMRLYPHPPVLIRRAIVDDVLPGNYKIKAGQDIMISVYNIHRSPEVWDRADDFIPERFDLEGPVPNETNTEYRFIPFSGGPRKCVGDQFALLEAIVALAVVLQKMDIELVPDQKINMTTGATIHTTNGLYMNVSLRKVDREPDFALSGSR >ORUFI10G18700.2 pep chromosome:OR_W1943:10:19009521:19015140:1 gene:ORUFI10G18700 transcript:ORUFI10G18700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVPCVPFLCPPPPPLVSPRLRRGHVRLRLRPPRSSGGGGGGGAGGDEPPITTSWVSPDWLTALSRSVATRLGGGDDSGIPVASAKLDDVRDLLGGALFLPLFKWFREEGPVYRLAAGPRDLVVVSDPAVARHVLRGYGSRYEKGLVAEVSEFLFGSGFAIAEGALWTVRRRSVVPSLHKRFLSVMVDRVFCKCAERLVEKLETSALSGKPVNMEARFSQMTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAELRSTDLLPYWKIDLLCKIVPRQIKAEKAVNIIRNTVEDLITKCKKIVDAENEQIEGEEYVNEADPSILRFLLASREEVTSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPAALRRAQAEVDRVLQGRLPRYEDLKELKYLMRCINESMRLYPHPPVLIRRAIVDDVLPGNYKIKAGQDIMISVYNIHRSPEVWDRADDFIPERFDLEGPVPNETNTEYRFIPFSGGPRKCVGDQFALLEAIVALAVVLQKMDIELVPDQKINMTTGATIHTTNGLYMNVSLRKVDREPDFALSGSR >ORUFI10G18700.3 pep chromosome:OR_W1943:10:19009521:19015140:1 gene:ORUFI10G18700 transcript:ORUFI10G18700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVPCVPFLCPPPPPLVSPRLRRGHVRLRLRPPRSSGGGGGGGAGGDEPPITTSWVSPDWLTALSRSVATRLGGGDDSGIPVASAKLDDVRDLLGGALFLPLFKWFREEGPVYRLAAGPRDLVVVSDPAVARHVLRGYGSRYEKGLVAEVSEFLFGSGFAIAEGALWTVRRRSVVPSLHKRFLSVMVDRVFCKCAERLVEKLETSALSGKPVNMEARFSQMTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAELRSTDLLPYWKIDLLCKIVPRQIKAEKAVNIIRNTVEDLITKCKKIVDAENEQIEGEEYVNEADPSILRFLLASREEVTSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPAALRRAQAEVDRVLIRRAIVDDVLPGNYKIKAGQDIMISVYNIHRSPEVWDRADDFIPERFDLEGPVPNETNTEYRFIPFSGGPRKCVGDQFALLEAIVALAVVLQKMDIELVPDQKINMTTGATIHTTNGLYMNVSLRKVDREPDFALSGSR >ORUFI10G18700.4 pep chromosome:OR_W1943:10:19009521:19014760:1 gene:ORUFI10G18700 transcript:ORUFI10G18700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVPCVPFLCPPPPPLVSPRLRRGHVRLRLRPPRSSGGGGGGGAGGDEPPITTSWVSPDWLTALSRSVATRLGGGDDSGIPVASAKLDDVRDLLGGALFLPLFKWFREEGPVYRLAAGPRDLVVVSDPAVARHVLRGYGSRYEKGLVAEVSEFLFGSGFAIAEGALWTVRRRSVVPSLHKRFLSVMVDRVFCKCAERLVEKLETSALSGKPVNMEARFSQMTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAELRSTDLLPYWKIDLLCKIVPRQIKAEKAVNIIRNTVEDLITKCKKIVDAENEQIEGEEYVNEADPSILRFLLASREEVTSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPAALRRAQAEVDRVLQGRLPRYEDLKELKYLMRCINESMRLYPHPPVLIRRAIVDDVLPGNYKIKAGQDIMISVYNIHRSPEVWDRADDFIPERFDLEGPVPNETNTEYRFIPFSGGPRKCVGDQFALLEAIVALAVVLQKMDIELVPDQKINMTTGATIHTTNGLYMNVSLRKVDREPDFALRW >ORUFI10G18700.5 pep chromosome:OR_W1943:10:19009521:19014760:1 gene:ORUFI10G18700 transcript:ORUFI10G18700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVPCVPFLCPPPPPLVSPRLRRGHVRLRLRPPRSSGGGGGGGAGGDEPPITTSWVSPDWLTALSRSVATRLGGGDDSGIPVASAKLDDVRDLLGGALFLPLFKWFREEGPVYRLAAGPRDLVVVSDPAVARHVLRGYGSRYEKGLVAEVSEFLFGSGFAIAEGALWTVRRRSVVPSLHKRFLSVMVDRVFCKCAERLVEKLETSALSGKPVNMEARFSQMTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAELRSTDLLPYWKIDLLCKIVPRQIKAEKAVNIIRNTVEDLITKCKKIVDAENEQIEGEEYVNEADPSILRFLLASREEVTSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPAALRRAQAEVDRVLIRRAIVDDVLPGNYKIKAGQDIMISVYNIHRSPEVWDRADDFIPERFDLEGPVPNETNTEYRFIPFSGGPRKCVGDQFALLEAIVALAVVLQKMDIELVPDQKINMTTGATIHTTNGLYMNVSLRKVDREPDFALRW >ORUFI10G18710.1 pep chromosome:OR_W1943:10:19015086:19015775:-1 gene:ORUFI10G18710 transcript:ORUFI10G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSRGGEGASRARWHEGMCAAEDTERTKEEVEERENAATLAATEPPALAANFSSLREAAAGTAGGKSKRKKGAVSRRTWSGRGHVSEEEELQSLLRIMARSTLERSRPVCRRALGRASYCSLSTAATAHLTVDAAAAAHLIALDTEWGRGAVAGSVLPSPPTHSVARRPRSTPRGTKTRSTLPRVRHVSETAIQNHQGSQIAPVLQVRGVKISGIAVEGYDLDSVVS >ORUFI10G18720.1 pep chromosome:OR_W1943:10:19015787:19015993:-1 gene:ORUFI10G18720 transcript:ORUFI10G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVAADGLRAAATDIVARIRLRQVRDWSGASATGDGDGGGARAWRRGHLERPLSEGMAARAQQQRAG >ORUFI10G18730.1 pep chromosome:OR_W1943:10:19017157:19022752:-1 gene:ORUFI10G18730 transcript:ORUFI10G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRRLQVGPTPHCNPFPSASSLNLFLPRLFPQIGRIPNQPNPSPRRPPRWPPPRGCGGDGRLRPLHASPTEERCASASGGGGGDRIMALLLSVDGRISSTACGDLLGGAFSLLPLLEWGRAVSSSSATTPFPGTCYARKGLVAEWVKTAVAEVEDTMMTKEKLASKMGTGFCPNTKVNCNREYGELRILALKKEQACN >ORUFI10G18740.1 pep chromosome:OR_W1943:10:19027471:19028157:1 gene:ORUFI10G18740 transcript:ORUFI10G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPRARRLAAADVQPATPAVGPASGGRPQFNLSSGAATAVVFVSIVLCFILLCTYCRCARQRAIAGARSRVMREIRERVPGVLLLRPAAAAAALPVLPYSAAAAAAGAKKGPLVEDCPVCLEAFAGDDGVKVVPACGHVFHAACIDQWLAVRNSCPVCRCAVVCYYADRARDTAVVVDDDDDDQEVVLERVVAMIEAIREEQREEEAAARRAPASGGGGGEGLMTS >ORUFI10G18750.1 pep chromosome:OR_W1943:10:19031645:19039666:-1 gene:ORUFI10G18750 transcript:ORUFI10G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTELDRRGSSDRLRERVKFRFSGFRAVQVPVVSDRLMLSIVAVDTGKTIAKSTKAAALSGACQWPDSILESIWFSQDQVSEEFQECQCRFVVSMGSTNSGILGEVFLNLTNYLSSLESTAISLPLKRCDSGTILQLNIQCLGAKSKTSRTNDDTECTSDGFDSMLNRTTHSLSGNDLGGSYQDEAGNRDASLSASRSYSGDSTTDRTNMPPSDNLNDELNTQRHNFASPDAIHVSADHVDEASRSNNSSFSSQTPSRNMLQGNNAQPSASDLSQLSSGVSHASKDVLENAEETIDELRGEAKMWQRKTRKLKQGLETLKKVSTDKSKQRSEQDLEKMWQRKTRKLKQGLETLKKECADKSKQQSELELELSISISERDSLRQEIEELKRSLEEVTARQTISRSPRSGDAIELQKEVEDDVQFLKESNASLATQLNKAQEANIELVSILQELEETIEVQRAEISNLSHTSDLIDHEVSPNNLLIQEDVEWARKVSLKEDEILMLREKIDRMLHVENPNGEGSGAIYLELEKENDFLKVKIQELEKDCSELTDENLELIYKLKEVSEVAKGEDPSVPNSEEVSSEGDLSDRLTSKVKYLETKCADLELKLISFRSESSELEEKLQKSQEELKDRILELSDLRDKLSGFHALEMEEGDTDSAKSYKLKSEKLDENDNKTELDALRSTVLLKEQEIESLQHSKKEMESFISEIMNEKNKLEELLEESLKECSITAACLDEMREELLLLTSSIDSHVSTNNVLETKITELESCKVNLELHISKLEHENVELSEFISGLESQLTYLANEKELSMLQMDESRSLITNLKDELEQVEAQKVELKLQMDESRSLITNLKDELEQVEAQKVELKENQLESHRRLSEVQEDSEALRRSNAKLQATVDHVVEECKSLQTLTADLKKQKLEVHGYASHLEQELEQSKRKTMDFCKTLESLEAKLSSLQEDISLKEQSLLSELENIFQEHKEHEERIDRVHLLLNKIEKEKTVELSNLEREVISLTAQLSSTEEERESSTLDTIREVSILRADKAKLEANLEDVNAQMIHYESQLEDLRESKTKIKDLVDSLNASKQNEEMLTTDVDNMRRSIEAARSNEDNLRKTLCELELKSKSSDYEKQQIIEEISVLKIQVHKIAGLQDEVLTLQSSLDEAKFEKGKLEGLIQSLSEECEELKAQKGMLTDKVSCMQDTLNAANEGKQIEISAQTKLVMLGDEPPVKETSDVLEAELKSELSIIRGANSEYQQKIHSLQKENEDLTRRNQLMEKELDLKTSQNKDENTNKQGNDANENGDSPVNEVPELQSKIQLLETRLAEALEENKLYRGQLKSPMPEGKSASKDGKENDDDKISQLESELKDMQERLLNVSLQYAEVEAQREELVMELKTANAKKGRWF >ORUFI10G18760.1 pep chromosome:OR_W1943:10:19047357:19048085:-1 gene:ORUFI10G18760 transcript:ORUFI10G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRRGIAEGGHATRAAVVRCIVAAILAAIVVAGLVALVFWLVVRPKPIEYTVTSAAVRHLNVTPRDRGPGCSAPTVNATFYLNVTPRDRRPGCSGPTVNATFYLALAIDNPNRRVSMRYEDSVALRVLYGGSELELAAGYVVPGFHQPHRNETTLPVRAVARSAPFPVPVTDLVAWELEHDLAAGELSVDVEVTTGVRFIVGGVASRYYQVNVTCSPVNIGLSPSAARSFNSVPCDVEIS >ORUFI10G18770.1 pep chromosome:OR_W1943:10:19056595:19059166:1 gene:ORUFI10G18770 transcript:ORUFI10G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASLPKVVMGSVAFGVFWMLAVFPSVPFLPIGRTAGALLGAVLMIVFHVISADDAYASIDLPILGLLFATMVVGGYLKNAGMFRHLGRLLAWRSQGGRDLMCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALATSANIGSSATPIGNPQNLVIAFNSKISFISFLLGILPAMLAGMGINMLMLLCMYWKELDGGACSPDEVAAGKQMEAIEEGRRTALNNNKKDDGDAATPASPEDDDGGDAESMMSENISTKHRWFMQCSEHRRKLFLKSFAYVVTVGMLVAYMLGLNMSWTAITTAIALVVVDFRDAEPCLDKVSYSLLVFFSGMFVTVSGFNKTGLPGAIWNVMAPYSKINHVTGVTVLSVIILLLSNLASNVPTVLLMGDEVAAAAATISPAAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRATRNAYDLTFWNHVIFGLPSTLVVTAIGIPLIGKINI >ORUFI10G18780.1 pep chromosome:OR_W1943:10:19063688:19064957:-1 gene:ORUFI10G18780 transcript:ORUFI10G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTVTPFARPRWDEFVREIFTDRFSGIFAYPQSKLASILHSTELQLARILKGAATTCYVALHPQVKGISGKYFSNCNLDSPSSHASNAELAKKLWEFSSKVVS >ORUFI10G18790.1 pep chromosome:OR_W1943:10:19070780:19071140:-1 gene:ORUFI10G18790 transcript:ORUFI10G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFNRKGPSGFSGASTAEEVTAGIDARGLVAVITVFVSCMIFSLAIQHFLGTQLQKPNITHPQLLKPLNLHR >ORUFI10G18800.1 pep chromosome:OR_W1943:10:19077427:19083190:-1 gene:ORUFI10G18800 transcript:ORUFI10G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTGLASSTSEESQETTIPLRGSFAGDVGRRRGSGCADDEVSGLTEEEAARAGFGCRCPCSPGARRVHDCDLLGRAHVELDVRLVRTERVARLDPTFLLSVVADILLSRKPAPIGLVPVCSAVSASQVANPISQSSTPPMWFFNFNRNGPSGFSGASTAEEVTAGVDARGLAAVVTGASSGIGLETTRVLALRGVRVVMAVRNVAAGHKAREAIRAEIHGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNILINNAGILSKDCIRSIDGLELHFATNHIGHFLLTNLLLENMKSTSRTTGVEGRIINVSSSGHILTYPEGIXTGVEGRIINVSSSGHILTYPEGICFDSVKDLSRFSTYIAYGQSKLANILHSTELARILKGDGVNISANAIHPGFVGTNLFKNWTMANAVVNTIGRIVCKTVEQGAATTCYVALHPQVTGISGKYFSNCNLETPSSQASNAELAKKLWEFSSNIVSAAKLTTRTVSGLSFPAMPMATTKGARDGREGSRRWNLEVHGTVDGEAIGRTEEEARRCAGLRLQSAIWCRS >ORUFI10G18800.2 pep chromosome:OR_W1943:10:19077427:19083190:-1 gene:ORUFI10G18800 transcript:ORUFI10G18800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTGLASSTSEESQETTIPLRGSFAGDVGRRRGSGCADDEVSGLTEEEAARAGFGCRCPCSPASVTRNIFLRRYQKVVADILLSRKPAPIGLVPVCSAVSASQVANPISQSSTPPMWFFNFNRNGPSGFSGASTAEEVTAGVDARGLAAVVTGASSGIGLETTRVLALRGVRVVMAVRNVAAGHKAREAIRAEIHGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNILINNAGILSKDCIRSIDGLELHFATNHIGHFLLTNLLLENMKSTSRTTGVEGRIINVSSSGHILTYPEGIXTGVEGRIINVSSSGHILTYPEGICFDSVKDLSRFSTYIAYGQSKLANILHSTELARILKGDGVNISANAIHPGFVGTNLFKNWTMANAVVNTIGRIVCKTVEQGAATTCYVALHPQVTGISGKYFSNCNLETPSSQASNAELAKKLWEFSSNIVSAAKLTTRTVSGLSFPAMPMATTKGARDGREGSRRWNLEVHGTVDGEAIGRTEEEARRCAGLRLQSAIWCRS >ORUFI10G18800.3 pep chromosome:OR_W1943:10:19077427:19083190:-1 gene:ORUFI10G18800 transcript:ORUFI10G18800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTGLASSTSEESQETTIPLRGSFAGDVGRRRGSGCADDEVSGLTEEEAARAGFGCRCPCSPGARRVHDCDLLGRAHVELDVRLVRTERVARLDPTFLLSVVADILLSRKPAPIGLVPVCSAVSASQVANPISQSSTPPMWFFNFNRNGPSGFSGASTAEEVTAGVDARGLAAVVTGASSGIGLETTRVLALRGVRVVMAVRNVAAGHKAREAIRAEIHGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNILINNAGILSKDCIRSIDGLELHFATNHIGHFLLTNLLLENMKSTRRIINVSSSGHILTYPEGICFDSVKDLSRFSTYIAYGQSKLANILHSTELARILKGDGVNISANAIHPGFVGTNLFKNWTMANAVVNTIGRIVCKTVEQGAATTCYVALHPQVTGISGKYFSNCNLETPSSQASNAELAKKLWEFSSNIVSAAKLTTRTVSGLSFPAMPMATTKGARDGREGSRRWNLEVHGTVDGEAIGRTEEEARRCAGLRLQSAIWCRS >ORUFI10G18800.4 pep chromosome:OR_W1943:10:19077427:19083190:-1 gene:ORUFI10G18800 transcript:ORUFI10G18800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTGLASSTSEESQETTIPLRGSFAGDVGRRRGSGCADDEVSGLTEEEAARAGFGCRCPCSPGARKPAPIGLVPVCSAVSASQVANPISQSSTPPMWFFNFNRNGPSGFSGASTAEEVTAGVDARGLAAVVTGASSGIGLETTRVLALRGVRVVMAVRNVAAGHKAREAIRAEIHGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNILINNAGILSKDCIRSIDGLELHFATNHIGHFLLTNLLLENMKSTSRTTGVEGRIINVSSSGHILTYPEGIXTGVEGRIINVSSSGHILTYPEGICFDSVKDLSRFSTYIAYGQSKLANILHSTELARILKGDGVNISANAIHPGFVGTNLFKNWTMANAVVNTIGRIVCKTVEQGAATTCYVALHPQVTGISGKYFSNCNLETPSSQASNAELAKKLWEFSSNIVSAAKLTTRTVSGLSFPAMPMATTKGARDGREGSRRWNLEVHGTVDGEAIGRTEEEARRCAGLRLQSAIWCRS >ORUFI10G18800.5 pep chromosome:OR_W1943:10:19077427:19083190:-1 gene:ORUFI10G18800 transcript:ORUFI10G18800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTGLASSTSEESQETTIPLRGSFAGDVGRRRGSGCADDEVSGLTEEEAARAGFGCRCPCSPASVTRNIFLRRYQKVVADILLSRKPAPIGLVPVCSAVSASQVANPISQSSTPPMWFFNFNRNGPSGFSGASTAEEVTAGVDARGLAAVVTGASSGIGLETTRVLALRGVRVVMAVRNVAAGHKAREAIRAEIHGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNILINNAGILSKDCIRSIDGLELHFATNHIGRIINVSSSGHILTYPEGICFDSVKDLSRFSTYIAYGQSKLANILHSTELARILKGDGVNISANAIHPGFVGTNLFKNWTMANAVVNTIGRIVCKTVEQGAATTCYVALHPQVTGISGKYFSNCNLETPSSQASNAELAKKLWEFSSNIVSAAKLTTRTVSGLSFPAMPMATTKGARDGREGSRRWNLEVHGTVDGEAIGRTEEEARRCAGLRLQSAIWCRS >ORUFI10G18800.6 pep chromosome:OR_W1943:10:19077427:19083190:-1 gene:ORUFI10G18800 transcript:ORUFI10G18800.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTGLASSTSEESQETTIPLRGSFAGDVGRRRGSGCADDEVSGLTEEEAARAGFGCRCPCSPGARRVHDCDLLGRAHVELDVRLVRTERVARLDPTFLLSVVADILLSRKPAPIGLVPVCSAVSASQVANPISQSSTPPMWFFNFNRNGPSGFSGASTAEEVTAGVDARGLAAVVTGASSGIGLETTRVLALRGVRVVMAVRNVAAGHKAREAIRAEIHGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNILINNAGILSKDCIRSIDGLELHFATNHIGHFLLTNLLLENMKSTRRIINVSSSGHILTYPEGICFDSVKDLSRFSTYIAYGQSKLANILHSTELARILKGAATTCYVALHPQVTGISGKYFSNCNLETPSSQASNAELAKKLWEFSSNIVSAAKLTTRTVSGLSFPAMPMATTKGARDGREGSRRWNLEVHGTVDGEAIGRTEEEARRCAGLRLQSAIWCRS >ORUFI10G18800.7 pep chromosome:OR_W1943:10:19077427:19083190:-1 gene:ORUFI10G18800 transcript:ORUFI10G18800.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTGLASSTSEESQETTIPLRGSFAGDVGRRRGSGCADDEVSGLTEEEAARAGFGCRCPCSPAPIGLVPVCSAVSASQVANPISQSSTPPMWFFNFNRNGPSGFSGASTAEEVTAGVDARGLAAVVTGASSGIGLETTRVLALRGVRVVMAVRNVAAGHKAREAIRAEIHGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNILINNAGILSKDCIRSIDGLELHFATNHIGHFLLTNLLLENMKSTSRTTGVEGRIINVSFSTYIAYGQSKLANILHSTELARILKGDGVNISANAIHPGFVGTNLFKNWTMANAVVNTIGRIVCKTVEQGAATTCYVALHPQVTGISGKYFSNCNLETPSSQASNAELAKKLWEFSSNIVSAAKLTTRTVSGLSFPAMPMATTKGARDGREGSRRWNLEVHGTVDGEAIGRTEEEARRCAGLRLQSAIWCRS >ORUFI10G18800.8 pep chromosome:OR_W1943:10:19074751:19083190:-1 gene:ORUFI10G18800 transcript:ORUFI10G18800.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTGLASSTSEESQETTIPLRGSFAGDVGRRRGSGCADDEVSGLTEEEAARAGFGCRCPCSPGARRVHDCDLLGRAHVELDVRLVRTERVARLDPTFLLSVVADILLSRKPAPIGLVPVCSAVSASQVANPISQSSTPPMWFFNFNRNGPSGFSGASTAEEVTAGVDARGLAAVVTGASSGIGLETTRVLALRGVRVVMAVRNVAAGHKAREAIRAEIHGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNILINNAGILSKDCIRSIDGLELHFATNHIGHFLLTNLLLENMKSTRRIINVSSSGHILTYPEGICFDSVKDLSRFSTYIAYGQSKLANILHSTELARILKGDGVNISANAIHPALVKSIGRFVHKTVEQGAATTCYVALHSQFTGISGKYFSNCNLDTPSSQASNAELANKLWEFSSKIVSS >ORUFI10G18810.1 pep chromosome:OR_W1943:10:19084003:19084836:-1 gene:ORUFI10G18810 transcript:ORUFI10G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGPTCGGGITLPSTGAPLPPLHPTPTSPTARPHHHYYLFSIKQLNSFGAAAVLAFSTTVPLSDIAFALLVIPYLVVLSVLAFPQRPGKPNPGAPVFLGRGRFLLRAHDALGFLVGAALPALYILDGLRSGDTAGVAAASPHAFLLAAQIFTEGLAAAWPGRFSLPVRAAVVVMYGARRMFAASEWLRQEMKKRDQFGGGVGGAPAVARRRVVAGRVLAVANLAYWGINLFAFLLPFYLPKALNRYYCGSDSKEDDATAAAGAIDADDAKKKDS >ORUFI10G18820.1 pep chromosome:OR_W1943:10:19085643:19092933:-1 gene:ORUFI10G18820 transcript:ORUFI10G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKAAEANLRKQLEQTLAGEPSSPLHHYNLGVFLWDRAEAAAREEGEEEEEVRRLRAAAAERFLAAAKLDPNDGVPFRFLGHHYALAGDAQRAAKCYQRAATLNPDDAEAGEAVCDLLDLEGKESLEIALCKEAAGKSPRAFWAFRRLGYLQVHQKKWSEAIQSLQYAIRGYPTCADLWEALGLAYHRLGMFTAAVKSYGRAVELDGSKVFALIESGNIQLMLGYFRKGVEQFRSALEMAPQNHSAYFGLASALLAWARQCVMTGAFGWAASLLKEACKAAKVCTSLTGNLSCVWKLHGDAQLALARCFPWGDGNIKGGMDDGTFRTTVLEWRNTCLLAANGAKFSYQRALHLTPWEANIHNDTAICLDLIYTIEENNSLDPITWELPEKMSLGGLILEPVNKDFWVTLGSVSSNQALKQHSFIRALHLDMSLSEAWAYLGKIYRHSGDKQLARQAFDRARSIDPSLALPWAGMSAENYHQPGDGPVNECFESCLRAVQILPLPEFQIGLGTIAARSGELLSPQVLMAVRQAVQRAPHYPESHNINGLVSEVRSDFQSAIASYRQAKFALDMMRNSKTDCRCHIADISVNLARSLCKAGLATEAVRECEELKRQGFLNDDGLQIYALSLWKLGRHDEALSVSRNLAENLSSMKQESATAALGFICTLTYNISGKDSAAAVIHKLPGQLNYSTQLKFIISALDALQPNKRFQLPQLSMPPRLTSYEVMSEVHSNIALGNAIGGESDKFLRVDGGLSYLKKVLHMYPDCSLVRNQLGSLLLSSEDWMASHKAVRVTSLSRGYTSNRGLRSPHQIQACAAVSCYATCTSYPKFSFPTCEDQYLSGYNAICRLQRWVHLEPWNQDARRLLVLTLFQKAREEKYPKHICTILKRLILQVLSSGSNSQDNKVVQYGNYLLLLVASEVSLQSGDHGNCIAQATEALGVTSSSVDSFFAHLQLCRAYVMQGNLLNSRSEYMKCLQNRTDTEIGWVILKQLASICSLEGTPDEIEIHLGGCVERKVWNEDFASAEKAIAQACAEGDPDSCVLFLNGAICMDIAWRFAAPQFIARAASSLRKAQQKSLASLPIVSLLLAQAEGSLGSKAKWEKNLRLEWFSWPPELRPAELYFQMHLLATQSSAATSQQNQLVETMQTPEKWLLRAIHLNPSCSRYWTALMQLVYV >ORUFI10G18830.1 pep chromosome:OR_W1943:10:19093764:19098710:-1 gene:ORUFI10G18830 transcript:ORUFI10G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKDVRKVKAEKEVFFFTKIDEGLLHLIEIYGVTKLVMGAASDRHYKRKMKAPQSQTAISVMQRAHSYCNIWFICNGKLTCVREASCCPVKRSKSARLPSSVDSCKVDLQSLLEPNIEAKRLGCMYINEMELRKETEAKLSQEKEESESLKHATMVLQNDLDWLKYQLNEKANRLQDLNQQKHLLEHRISESDSVATYLEESMKVTESRVQSLKLEYSKMKRERDDAVKEARSMRIEKELTNSCAYGAISSEFSLMELEQATQNFSNALNIGQGGFGSVYKGSLRNTTVAIKMLSTDSLHGQSQFHQEVAILSRVRHPNLVTLIGACTEASALVYELLPNGSLEDRLNCVDNTPPLTWQVRIQIITEICSALIFLHKHRPHPVVHGDLKPGNILLDANLQSKLSDFGISRLLLESSVTGSDAHYTSRPMGTPAYMDPEFFATGELTPQSDTYSFGVTIMRLLTGRAPLRLIRTVREALNDYDLQSVLDHSAGDWPLVHVEQLAHIALQCTELSKQRRPDLEHDVWEVIEPMKKEAHSPLSQSFRSICSAIETATPSYFLCPISQVLQVRKVTMRDPQMAADGFTYEADAIRDWLDKGHDRSPVTNQTLANCDTIPNIALRSAIQEYLKQNNMNKSFALYEQQH >ORUFI10G18840.1 pep chromosome:OR_W1943:10:19099896:19101316:1 gene:ORUFI10G18840 transcript:ORUFI10G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVDELSEAYQEFVAAAAAVVEARGQSGGEKNAATDAALEAFKQRWELFRVACDHAEELVESIRQRIGSECLVDEATGASSSSSAALAAPGIKPISAVRLEQMSKAVRWLVIELQHGAGGASAAGPGGGGGAAAAASGVAGQHGHGGVDTRFPEDGAQ >ORUFI10G18850.1 pep chromosome:OR_W1943:10:19104747:19106650:1 gene:ORUFI10G18850 transcript:ORUFI10G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTTNIVLAVAVVAALVGGGSCGPPKVPPGPNITTNYNAPWLPARATWYGQPYGSGPTDNGGACGIKNVNLPPYNGMISCGNVPIFKDGRGCGSCYEVKCEQPAACSKQPVTVFITDMNYEPISAYHFDFSGKAFGAMACPGKETELRKAGIIDMQFRRVRCKYPGGQKVTFHVEKGSNPNYLAVLVKFVADDGDVIQMDLQEAGLPAWRPMKLSWGAIWRMDTATPLKAPFSIRVTTESGKSLIAKDVIPVNWMPDAIYVSNVQFY >ORUFI10G18860.1 pep chromosome:OR_W1943:10:19107640:19112436:-1 gene:ORUFI10G18860 transcript:ORUFI10G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGGDADAWETASARSGVSSSSSGRATAAAAAPAPENKVFVALPAQHKSGRSTLAWALRHVAELAPAAIGGGGEVVVVAAHVHSPAQMIPMSMGGKFHASKLRPEQVSTYRKYEREQVEKNLDEYLEQCTKMKVKCEKIVIENEDIANGITELILLHGVSKLVMGAAADKQYSRKMKLPKSKTALSVTVKANPSCKIWFVCKEHLIYTRDFVAPISPNSQSPDTIRGSISNLAARGGTTNQYANNAVNGYVQRSMSEMVVPASSRVSLQLHSRSSLQESLSRLNMEGTSVDSWDSFRRGSFPSSYRASSTVTEEVLSDSSSSGIPRDGISTLAGCDFPNSALHHEQGDAGSNANLFDKLEEAFAEAEKYRKQAYDESLRRQKTEEELISYHQKARKSEDLFLNEAKQRKEVEETLAKANVEIQLLKEEMDALKHNRDDLSSKLSDVSEQKVTLEQQAVEYGSIIIDLKDTVAASQALIDSMQMEFEQLKHERDNALKHAEELHREKQNMVSSSDLEWSTEFSLLELQQATQNFSDAMKIGEGGFGCVYRGQLRNTTVAIKMLRSQNLQGQSQFQQEVAVLSRVRHPNLVTLVGYCSEASGLVYEFLPNGSLEDHLACESNTSPLTWQIRTRIIGEICSALIFLHSDKPHAVIHGDLKPANILLDANLVSKLGDFGISRLLNRSSTVSTSFYQTTNPRGTFAYMDPEFLTTGELTARSDIYSFGIIILRLVTGKPALGIAREVEVALDKGELELLVDRSAGDWPFVQAEKLMLLGLQCAELSRRKRPDRMNHVWSVVEPLVKSASLPVEPESIGHWVNKNRTPFYFICPISQEVMRDPHIAADGFSYEEEAIKGWLGSGHNTSPMTKSTLEHLQLIPNLALRSAIEEFMQQKQQQIPS >ORUFI10G18870.1 pep chromosome:OR_W1943:10:19113634:19116660:-1 gene:ORUFI10G18870 transcript:ORUFI10G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGVDGERPLLREAGDLGGEDGGAGGVPEEGDAKGDVMAAAAAQGGDGDEGVEAVDGDGSNEEEEVVQTPDVKQTPGAAAAAAWREAAWEEADGEEFVDVLEGDVDEKREDGSALENGEDLLASRSLAVEGDEEGYGSCADIGIEEDAEGDASRERLEEEAMTESIREYVTEAVLADHIQEQPDKSKSESEHVKVAQHKSQIAKSREEGPKQSGGEPSVVVEELNGSSSSDDESKATSAPPARSISGAAGRSNGPSLPSRPAGLGASTSLSQPSARPVLYRLGLAEQLRRNTGQGVFSFDRAQDMAERLEAAGNEPLDFSCTILVLGKTGVGKSATINSIFDDVRLETNAFDTSTRKVQEVVGAVEGIKVKVIDTPGLSCSSSDQHHNQKILNSVKRLISRNPPDIVLYFDRLDMQTRDYGDVPLLQTITRVFGASIWFNAIVVLTHAASAPPDGLNGIPLSYEMFVTQRSHVVQQAIRQAAGDVRLMNPVSLVENHSACRTNRAGQRVLPNGHVWKPQLLLLCFASKVLAEANALLKLQDNPAGKPRMRIPPLPFLLSSLLQSRAPLKLPEEQFGDDDDIEDDLADDSDSDDGSDYDDLPPFKRLTKAQLAKLNHAQRKAYLEELDYREKLFYKKQLKEERMRRKIMKKMTAEASARTDDFSNSNLEDDGSAPTNVAVPMPDMVLPSSFDSDHPSHRYRFLDTPSEWLVRPVLETQGWDHDVGYEGLNVERLFAVKGKVPLSVSGQLSKDKKDCSLQMEVASSLKHGEGKTTSLGLDLQSVGKDMAYTLRGESRFKNFRRNNTAAGISATLLGDSVSAGVKVEDKLVVNKQLRVLVSGGAMSGRGDVAYGGRLEATLKDKDYPIGRMLSTIALSVVDWHGDLAVGCNIQSQIPAGRASNLIGHANLSNKGTGQVGIRLNSSEHLEIALIALVPIYQNIKKLLQS >ORUFI10G18880.1 pep chromosome:OR_W1943:10:19119166:19125993:-1 gene:ORUFI10G18880 transcript:ORUFI10G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPLAFHLPFPFPSASRPPPRLLPPSRRPPAARLAATRRFRPPTADDEPPEAAEDSSHGLNRYDQLTRHVERARRRQQAEQPEITPDHPLFSSPPSSGEAGSYDPDDEFFDEIDRAIAEKREEFTRRGLIKPSAPAPSQPEEEDGLADELSPEEVIDLDEIRRLQGLSVVSLADEEDEEANGGGGGVDYGDDGVPLDDDGEVFDVADEVGLEGARVRYPAFRMTLAELLDESKLVPVAVTGDQDVALAGVQRDASLVAAGDLYVCVGEEGLAGLTEADKRGAVTVVADQTVDIEGTLACRALVIVDDITAALRMLPACLYRRPSKDMAVIGVAGTDGVTTTAHLVRAMYEAMGVRTGMVGVLGAYAFGNNKLDAQPDASGDPIAVQRLMATMLYNGAEAALLEATTDGMPSSGVDSEIDYDIAVLTNVRHAGDEAGMTYEEYMNSMASLFSRMVDPERHRKVVNIDDPSAPFFAAQGGQDVPVVTYSFENKKADVHTLKYQLSLFETEVLVQTPHGILEISSGLLGRDNIYNILASVAVGVAVGAPLEDIVKGIEEVDAIPGRCELIDEEQAFGVIVDHARTPESLSRLLDGVKELGPRRIVTVLRIEVPAMMFDVVIGCCGERERGKRPVMTKVAAEKSDVVMLTSDNPANEDPLDILDDMLAGVGWTMEEYLKHGTNDYYPPLPNGHRIFLHDIRRVAVRAAVAMGEQGDVVGHLFNPKKKAERHVGVQFHVSNVSPEQVKCEKLVIEKEDVVAGLLELIASHGITKLVIAAAADKHYSRISDSVLHDRDKKVETTQSVTPLSQLFKIDTPPSPDIGQTILQPSAEQEQNDNEMELGFSDELNDARVAAENLMERALSESLRRQRADEQVVSSLQKVKQFEELYLEEVKRRKELEGALVKANLELTRLKQEMDIPRNHQSTILGDRQEVITDKFILRQQTVDMKSDFGATGQLIKPQQEYLQLHPDHDNGVRQPETLLHRRSLTAFSPASTVPSQFDKDSIPSHFICPISQEIMREPCIAADGFTYEAEAIINWFDEGHEVSPMTKQPLVHRDLIPNFALRSVIQDYTRRKQYSFS >ORUFI10G18890.1 pep chromosome:OR_W1943:10:19126951:19134666:-1 gene:ORUFI10G18890 transcript:ORUFI10G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGTLISIYPEDLTFLFELDKRCYCNLKVVNNSEHHAAFKFNKEVDKFLEIKGWIFTLNKAKGVAMGASGTLISIYPEDLTFLFELDKPCYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNASIIQPWDSCTITITLQAQKEYPPDMQCKDKFLIQSTKVAASTDMDEIPPNTFNKEVDKVIEEMKLKVVYTVPSGSSDDSGITSLGSRSFKLGSDDLTMLKNASIEEIQTIQRLKDERDTTLQQNQQMQRELDVIRRRRSRKSDAGFSLTFAAFAGLIGVLIGLLMSLIFPPPQAAA >ORUFI10G18890.2 pep chromosome:OR_W1943:10:19126951:19133866:-1 gene:ORUFI10G18890 transcript:ORUFI10G18890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKDKFLIQSTKLVATSTDMDEIPPNTSIRWGPRTFALSVVRGCRRAAGVAMGASGTLISIYPEDLTFLFELDKPCYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNASIIQPWDSCTITITLQAQKEYPPDMQCKDKFLIQSTKVAASTDMDEIPPNTFNKEVDKVIEEMKLKVVYTVPSGSSDDSGITSLGSRSFKLGSDDLTMLKNASIEEIQTIQRLKDERDTTLQQNQQMQRELDVIRRRRSRKSDAGFSLTFAAFAGLIGVLIGLLMSLIFPPPQAAA >ORUFI10G18890.3 pep chromosome:OR_W1943:10:19134240:19134666:-1 gene:ORUFI10G18890 transcript:ORUFI10G18890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGTLISIYPEDLTFLFELDKRCYCNLKVVNNSEHHAAFKVHGLPFHDQYFLKLGEKA >ORUFI10G18890.4 pep chromosome:OR_W1943:10:19134240:19134530:-1 gene:ORUFI10G18890 transcript:ORUFI10G18890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGTLISIYPEDLTFLFELDKRCYCNLKVVNNSEHHAAFKVHGLPFHDQYFLKLGEKA >ORUFI10G18900.1 pep chromosome:OR_W1943:10:19148642:19153937:1 gene:ORUFI10G18900 transcript:ORUFI10G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGAATRGALLAATLLAGARRSRLPLSPPPSPPSIQIQNRLYSISSLPLKARGVRRCEASLASDYTKASEVADLDWENLGFGIVQTDYMYITKCGQDGNFSEGEMIPFGPIALNPSSGVLNYGQGLFEGLKAYRTTDDSILLFRPEENALRMRTGAERMCMPAPSVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLAPINLIVEDKFHRATPGGTGSVKTIGNYASVLMAQKIAKEKGYSDVLYLDAVHKKYLEEVSSCNIFVVKGNVISTPAVKGTILPGITRKSIIDVALSKGFQVEERLVSVDELLEADEVFCTGTAVVVSPVGSNMLATKELVSCLSSYILH >ORUFI10G18910.1 pep chromosome:OR_W1943:10:19154740:19177943:1 gene:ORUFI10G18910 transcript:ORUFI10G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPQPPSDGNPDDGALARPRRQLSTASAADSLAVFALAKLIDDRATHQADPQLALALAFAICARFQERSITFDSSSYHKLGDDSGAFTTKNDDDFSGSYFAGLQTWDDPTGPSDEYTFKELQDEFIAACKNCNLPWAYKMPMPEHLERLREQNECKMLEIPLHLYPAELEIMELKERSLFTERRKVYGHFNFLVKDSDGTHTLFFAEVDLNCKEEKDVYLCCPLEENDNGHCFGCREWDVALQHPTSADYLGGHKDILFVFRQQLAPSVGSLAKVNAAEEKIDKKEGGSAEPAELEIMELKEYTRFREHGKVYGHYNFVVKDSDGTLTLFFAEVDINCKEEKDVFLCCPLEANDNATRPHRRPSTASSAATSLAVFAVAKLIDRVTSTALVDPRLALALALAICQRIQERSVTVYVTLDSSCRRKHCDFSEGDFAGLQIEDDPTPRLLSRYISDTGLYVTLTATYKSGVFPSSYEILFPEQEEEACKMVEIALHAYAKQKDMPPAKLEIMKVKERSLFEECGKVYAHFNFLVINDSDGTRTLFFAEVDFLNCKEEKDVYLCCPLEENDNGYCFGCRVQHIKLRRPTSADYLGGHKDICSEYIDVGSLSPVSVQKRKV >ORUFI10G18910.2 pep chromosome:OR_W1943:10:19154740:19177943:1 gene:ORUFI10G18910 transcript:ORUFI10G18910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPQPPSDGNPDDGALARPRRQLSTASAADSLAVFALAKLIDDRATHQADPQLALALAFAICARFQERSITFDSSSYHKLGDDSGAFTTKNDDDFSGSYFAGLQTWDDPTGPSDEYTFKELQDEFIAACKNCNLPWAYKMPMPEHLERLREQNECKMLEIPLHLYPAELEIMELKERSLFTERRKVYGHFNFLVKDSDGTHTLFFAEVDLNCKEEKDVYLCCPLEENDNGHCFGCREWDVALQHPTSADYLGGHKDILFVFRQQLAPSVGSLAKVNAAEEKIDKKEGGSAEPAELEIMELKEYTRFREHGKVYGHYNFVVKDSDGTLTLFFAEVDINCKEEKDVFLCCPLEANDNATRPHRRPSTASSAATSLAVFAVAKLIDRVTSTALVDPRLALALALAICQRIQERSVTVYVTLDSSCRRKHCDFSEGDFAGLQIEDDPTPRLLSRYISDTGLYVTLTATYKSGPAKLEIMKVKERSLFEECGKVYAHFNFLVINDSDGTRTLFFAEVDFLNCKEEKDVYLCCPLEENDNGYCFGCRVQHIKLRRPTSADYLGGHKDICSEYIDVGSLSPVSVQKRKV >ORUFI10G18910.3 pep chromosome:OR_W1943:10:19154740:19182777:1 gene:ORUFI10G18910 transcript:ORUFI10G18910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPQPPSDGNPDDGALARPRRQLSTASAADSLAVFALAKLIDDRATHQADPQLALALAFAICARFQERSITFDSSSYHKLGDDSGAFTTKNDDDFSGSYFAGLQTWDDPTGPSDEYTFKELQDEFIAACKNCNLPWAYKMPMPEHLERLREQNECKMLEIPLHLYPAELEIMELKERSLFTERRKVYGHFNFLVKDSDGTHTLFFAEVDLNCKEEKDVYLCCPLEENDNGHCFGCREWDVALQHPTSADYLGGHKDILFVFRQQLAPSVGSLAKVNAAEEKIDKKEGGSAEPAELEIMELKEYTRFREHGKVYGHYNFVVKDSDGTLTLFFAEVIVLGAKFGSWNLGIPLVLNIWVATVMSAFHT >ORUFI10G18910.4 pep chromosome:OR_W1943:10:19177858:19182777:1 gene:ORUFI10G18910 transcript:ORUFI10G18910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYISEDEFNKLRDAFVAASKRHMRPLTLPKEMEVLKGKRDVKIAKIALHAYAKQNNIPEAEINTSSEENIRHSSARFDPLLALPFSVQSEQAILMDLNSPLDNRDSTRSSPYKNDQELADAFIAACERYAKPFAEKWRLPEDLEKRKRQDECKMLKIPLRMYAKQKNMPVIVLGAKFGSWNLGIPLVLNIWVATVMSAFHT >ORUFI10G18920.1 pep chromosome:OR_W1943:10:19188568:19196096:-1 gene:ORUFI10G18920 transcript:ORUFI10G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVRGSCSSRRPPRRGVRSSLQLQTSRLGSSPLAGFQKHCMQIKISNRTYIDGKWQISLEKPKQKNCALFSSIREMAGHGNLPSKDTETAVA >ORUFI10G18930.1 pep chromosome:OR_W1943:10:19189855:19195140:1 gene:ORUFI10G18930 transcript:ORUFI10G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSHKRSTEQEENNVGCVWGLMRMLYFRRDAKFLLDTKQVSRRHTFRELADGRHSVKNSSDFVETDDDDDNKEECASQKRTVKKLMEDELGKVNLLKKIPSNEIQRGLPDLGYDVSLDGGSEHTNKPVAALNQHTDIFASYLSGSVYSQGSKSLNHSEEYDLESVLANFLGEIYRCHGECPHGDCKNKSELCPSLKSLIHNKLNDLNNPHATHGNEQSPESKGEGLLGENSRSNSRAAQFKEFKDAVEILSSNNELFLKLLQKPNSHILDNIRKYQNSRLTTKLEPDKSLGRSSILEEKRGSNHELATKAQGKETKHVFFWRKDRSDRKQKPERANRPQPVSKIVILKPNQGRRIDETETTSSRYLHQQPCTSQAPEFSGRESSKFSIKEVRRRFKIVTGDSKREKNAIPAENLPGDSHQLKDSVVEDKDPRHLTEGSLPDKAASNFKNGIKPSASSKQKQQNDSQSEISDHTTGASIFYEKAKKHLADMLKNTSQSASYPTAQVSKSLEGMLSQPHYNVSPPRSDHRGKCHNAFSPEEPEVCLVKAVDVEEPAQERSQLHDNSESNAYSTSVAVDDQVAVLEECGIKEDTQEGIIYATDEVDTVPVEGVGKLDCSKTICNIQCIPAEQYTDSPLPEILEGTEGKEPVQMFMSSPESMVENLEQQDPKTPEPKSSPKLPDGCPEQSNEKKEQPSPVSVLDSFDEDDSSPECKTMKKYELHEVSCGTLYFPDNESGVKVFWEDKNARLDYIMLVLELSELCAEQNLEVWYLEDELISPCMFEELQNQGDRIDDMKILFDCICEALTEIQERYFRLSSWLSFVKHDIRTPPVGEKLISEVDKYVDGYLKCSFPSTLEQIIKRDLEVQAWMDIRSKTEGIVVEIWEFVLDELIDEAVFDLWI >ORUFI10G18940.1 pep chromosome:OR_W1943:10:19198638:19212423:1 gene:ORUFI10G18940 transcript:ORUFI10G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYTGAGHNAGFGGGVPGRGVVAGGREKGRLEPSSFHGANYPANLRRQPLVAPYKLKLGAPDFYPQTLSCAEETLTKEYVQSGYKDTVEGIEEAREIVLSQIPYLSKPDIATKCKEALKKRFRAINESRAQKRKAGQVYGVPLSGSLLTKPGMYPEQMHSNEDTRRKWIEALVQPNRRLWSLAEQVPRGFRRKLLFNYLIRYNVPLLRASWLVKVTYLNQVQTSSNNVSSAAPDSLRSQHWTKDVIEYLQLLLDELCSKNGFFGLPSSQEQSLPCLVAGDSPIKLKTGASPASADVEEPSLHFKWSYMIRIVQCHLMEQLLVPSLLIEWVFNQLQERDSTEVLELLLPIVLSLVDTITLSQTYIHMLVEILIQRLSDASPGSLSVKNNPKRSSITSALVELLQYLILAVPDTFVSLDCFPLPSVVAPDVYGKGALLKIAGGGKIASSRRQNASRHLSCGYAICSVQRRASDLSLVANPNLQVRGAANVVQALDKALVTGNLTAAYTSVFNYLSDTLMEETWIKEVSPCLRSSLMWMGAVELSLVCSVFFICEWATCTFRDCRTSQCQNVKFSGSKDFSQVYMAVSLLKDKMNEINNLSSSKSSSQLAMKDHLKSATLNHSSIKVTAMETASGFRDSTGSIDENNKKDIFSSPGPLHDIIVCWLDQHEISDASGFKSVDVFMTELIRSGIFYPQTYVRQLIVSGITIWNDSLFDLEKKTRHYKILKHLPGFCLFNILEEAKIAEDQVLYEIVSTYSSERRLVLSELSSGLATDANVEGRVPLSSCLQKQPDLLMDSTDDNHGRVAVQVEEVKLMISGREETKKTKKGQTDLVDSEEDVGHAKTGCKDSSRTKRQKLDKNVFPFQGSPLVQSDEEDFWWVRKEQKQELFTVETIHQSIEQTSGGKATVVQKTQNIAQLAAARIDGSQGASTSHVCDNNLSCPHHKPGTNSDILKDADHMSMLTLAEVGKSLKRLRLLERRSISIWLLKSIKQLIEGDEVKHSKANNSISASTVQHSGKIASGWRFGEDELLSVLYIMDTCCDLLSSVRLLIWLLSKIYIGRTTSGQVGRGVMHPKHKENQVFQVAEAFLFSSLLRYENILIAMDLLPEVLSVSMNRTVHKSGERQSTSVAFAYARYFLRKYRDVTSVARWERNFRSTSDKRLLAELDSGKSITGDSIISGISSDCIRQNGGANPDGDHSLVASAVSAIVDNAGHAIAKHLDISGGNNPGVTSINSLNLIQHILDIHINSLALLRETLGDRFSRIFEISLAVEASSAVAASFAPPKAHRSQQSSETHDESGNHANEVPSNPSKCFNVKAVKVSAAVSALVVGAIIYGVVSLERMMVVLRLKEGLDILQFLRISKASTNGVTHSIGNFKIDSSTEVLVHWFKILIGNCKTVYNGVIAEILGDSYVLAFSRLQRTLPLGIVLPPAYSIFAMVLWRPYLYDTSTSNHEDIQLYQSLLGAISDITRHQPFRDVCFRNMHLFYDLLAADVGDSEFAAIVELRSPDECLKALSPLRARVFLNALLDCEIPVTMRDDGTYALEPGCAEASTKNDVKFPERLIEILNVLQPAKFHWQWVELRLLLDEQSLIEKPKNVPYVKALRSLSPNAENFTLSEREKGLTEVILSRLLVRPDAAPLYSELIHLLGKLQESFVMGIKWFLQGQDVLLGNNSVRQQLVNLTQRKGFPMKTQFWKPWGWSKLVGYDNANKSSKRKLEVTSIEEREVDGLIDSRKSSNRKSLNVGTSPEGHGSTQKYFTQEALAELVLPCIDRSSSEFRFVFAGDLIKHMGVISEHIKAAVWNGINKLNSSNPSGNEGLSKPNGRKGIFSGSPNIRKHSPVPNDSTTPSASALRSSIWLRLQFIIRLLPVIIADSNMRQTLASSLLSLVGTRVVYEDADSLEPYMDDVLLDCPSESLFDRLLCVLQALLGNSQPSWLKTKPSSKPAVKFLRDLSAIDKEVTKSLQCALDRMELPATIRRRIQVAMPILPTSRLSSITCGPPLLSSAALSPFQCSTSAAGPHQQFPLNWIPTNLSSRCKAALPSQDPNMEIDPWTLLEDGTSCPNTNSGSNSANGVTGDHANLKACSFLKDSVRVRRTDLTYIGSLDEDS >ORUFI10G18940.2 pep chromosome:OR_W1943:10:19198638:19212423:1 gene:ORUFI10G18940 transcript:ORUFI10G18940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYTGAGHNAGFGGGVPGRGVVAGGREKGRLEPSSFHGANYPANLRRQPLVAPYKLKLGAPDFYPQTLSCAEETLTKEYVQSGYKDTVEGIEEAREIVLSQIPYLSKPDIATKCKEALKKRFRAINESRAQKRKAGQVYGVPLSGSLLTKPGMYPEQMHSNEDTRRKWIEALVQPNRRLWSLAEQVPRGFRRKLLFNYLIRYNVPLLRASWLVKVTYLNQVQTSSNNVSSAAPDSLRSQHWTKDVIEYLQLLLDELCSKNGFFGLPSSQEQSLPCLVAGDSPIKLKTGASPASADVEEPSLHFKWSYMIRIVQCHLMEQLLVPSLLIEWVFNQLQERDSTEVLELLLPIVLSLVDTITLSQTYIHMLVEILIQRLSDASPGSLSVKNNPKRSSITSALVELLQYLILAVPDTFVSLDCFPLPSVVAPDVYGKGALLKIAGGGKIASSRRQNASRHLSCGYAICSVQRRASDLSLVANPNLQVRGAANVVQALDKALVTGNLTAAYTSVFNYLSDTLMEETWIKEVSPCLRSSLMWMGAVELSLVCSVFFICEWATCTFRDCRTSQCQNVKFSGSKDFSQVYMAVSLLKDKMNEINNLSSSKSSSQLAMKDHLKSATLNHSSIKVTAMETASGFRDSTGSIDENNKKDIFSSPGPLHDIIVCWLDQHEISDASGFKSVDVFMTELIRSGIFYPQTYVRQLIVSGITIWNDSLFDLEKKTRHYKILKHLPGFCLFNILEEAKIAEDQVLYEIVSTYSSERRLVLSELSSGLATDANVEGRVPLSSCLQKQPDLLMDSTDDNHGRVAVQVEEVKLMISGLLNLGYSTLLAESGREETKKTKKGQTDLVDSEEDVGHAKTGCKDSSRTKRQKLDKNVFPFQGSPLVQSDEEDFWWVRKEQKQELFTVETIHQSIEQTSGGKATVVQKTQNIAQLAAARIDGSQGASTSHVCDNNLSCPHHKPGTNSDILKDADHMSMLTLAEVGKSLKRLRLLERRSISIWLLKSIKQLIEGDEVKHSKANNSISASTVQHSGKIASGWRFGEDELLSVLYIMDTCCDLLSSVRLLIWLLSKIYIGRTTSGQVGRGVMHPKHKENQVFQVAEAFLFSSLLRYENILIAMDLLPEVLSVSMNRTVHKSGERQSTSVAFAYARYFLRKYRDVTSVARWERNFRSTSDKRLLAELDSGKSITGDSIISGISSGEELDGHVHKKLNGKAGVLPSMKEIVQRQTDEFLRNLRENNATAPKNPSFSETEDSYQTAHDIVLGLADCIRQNGGANPDGDHSLVASAVSAIVDNAGHAIAKHLDISGGNNPGVTSINSLNLIQHILDIHINSLALLRETLGDRFSRIFEISLAVEASSAVAASFAPPKAHRSQQSSETHDESGNHANEVPSNPSKCFNVKAVKVSAAVSALVVGAIIYGVVSLERMMVVLRLKEGLDILQFLRISKASTNGVTHSIGNFKIDSSTEVLVHWFKILIGNCKTVYNGVIAEILGDSYVLAFSRLQRTLPLGIVLPPAYSIFAMVLWRPYLYDTSTSNHEDIQLYQSLLGAISDITRHQPFRDVCFRNMHLFYDLLAADVGDSEFAAIVELRSPDECLKALSPLRARVFLNALLDCEIPVTMRDDGTYALEPGCAEASTKNDVKFPERLIEILNVLQPAKFHWQWVELRLLLDEQSLIEKPKNVPYVKALRSLSPNAENFTLSEREKGLTEVILSRLLVRPDAAPLYSELIHLLGKLQESFVMGIKWFLQGQDVLLGNNSVRQQLVNLTQRKGFPMKTQFWKPWGWSKLVGYDNANKSSKRKLEVTSIEEREVDGLIDSRKSSNRKSLNVGTSPEGHGSTQKYFTQEALAELVLPCIDRSSSEFRFVFAGDLIKHMGVISEHIKAAVWNGINKLNSSNPSGNEGLSKPNGRKGIFSGSPNIRKHSPVPNDSTTPSASALRSSIWLRLQFIIRLLPVIIADSNMRQTLASSLLSLVGTRVVYEDADSLEPYMDDVLLDCPSESLFDRLLCVLQALLGNSQPSWLKTKPSSKPAVKFLRDLSAIDKEVTKSLQCALDRMELPATIRRRIQVAMPILPTSRLSSITCGPPLLSSAALSPFQCSTSAAGPHQQFPLNWIPTNLSSRCKAALPSQDPNMEIDPWTLLEDGTSCPNTNSGSNSANGVTGDHANLKACSFLKDSVRVRRTDLTYIGSLDEDS >ORUFI10G18950.1 pep chromosome:OR_W1943:10:19213997:19216195:1 gene:ORUFI10G18950 transcript:ORUFI10G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPSETQHGLDLNPPLPDDDKPQLMEVEVELPTAHSVNLSSSPSSRTITSCGNLDEGFHGHVNFIAKGDCKNSEELFFAEVVTMAPEFIIQEVFQVFPLTNNTAISVEMSLSIQKMEHCMRVAMLLRVIIMSSMHYLLCLLFSS >ORUFI10G18950.2 pep chromosome:OR_W1943:10:19214005:19216195:1 gene:ORUFI10G18950 transcript:ORUFI10G18950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPSETQHGLDLNPPLPDDDKPQLMEVEVELPTAHSVNLSSSPSSRTITSCGNLDEGFHGHVNFIAKGDCKNSEELFFAEVVTMAPEFIIQEVFQVFPLTNNTAISVEMSLSIQKMEHCMRVAMLLRVIIMSSMHYLLCLLFSS >ORUFI10G18950.3 pep chromosome:OR_W1943:10:19213957:19216195:1 gene:ORUFI10G18950 transcript:ORUFI10G18950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPSETQHGLDLKFPPLPDDDKPQLMEVEVELPTAHSVNLSSSPSSRTITSCGNLDEGFHGHVNFIAKGDCKNSEELFFAEVVTMAPEFIIQEVFQVFPLTNNTAISVEMSLSIQKMEHCMRVAMLLRVIIMSSMHYLLCLLFSS >ORUFI10G18960.1 pep chromosome:OR_W1943:10:19216732:19219332:-1 gene:ORUFI10G18960 transcript:ORUFI10G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSVSVSDESSEANGGGCCSSSTTPSMDAVNLSRTFSDVSSFSEEHGGSGSSVDHSGPFEPPSAAAVSRLIGRRSPAAAASALSLSRLSMKPRADVLDRRSTDDELELVKERFSKLLLGEDMSGGGKGVCTAVAISNAITNLYANAATVFGSCHKLEPLPAGKKTMWRREMDCLLSVCDYIVEFYPSSQTLPDGTKVEVMATRPRSDIYINLPALEKLDAMLIEILESFQKAEFWYADAGTRSFGSATSSSTMSSSSFRRSTHRNEDRWWLPVPCVPDGGISGKARKELQQKRDCATQIHKAAVAINTGVLGDMEVPDSFMAILPKSGKASVGDGVYRAMLGAEKFSPEYLLDCLDMSSEHEALAMADRVEAAMYVWRRKAGASHGRSRWGAVKELVADDEEQDKNVMLAGRAESLLLCLKHRFPGLSQTTLDTSKIQFNKDIGQAILESYSRVLESLAFNIVSWIDDVLFADKTVRKQ >ORUFI10G18970.1 pep chromosome:OR_W1943:10:19224499:19225626:1 gene:ORUFI10G18970 transcript:ORUFI10G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARNPRNPRRRGAILAAVAPFLAFADYLRLRLVDRAWRLYCRRVGHAPPPFPWLMLPERESPPGAGAVARRVFYDVPGGRSYGYRVPSRDMHRCVATGHGWVVMVAVDAPRRVMLLNPITGDQRIVAWPFARWNARFHAVLTSSPAAGEAGCFLVVVADRLLAFCRPGADFQGWETLRAPGFRHHAALSDVVAVGATVYLVDERRRLWRADLADENPKVQRRDTGFALPSLELRRHYLVESLGHVLLVLSDERHNRVALYKLNWDARAWLPIAACPGERVLLLGRGCSAAVPPSSAAGRAPGTVLFAHQPSTLPDVDVAARGQAWFWSESRVGAAPGDLLVLKKTVPHRHGEFPADGDSFWFFPAVDPDEDAR >ORUFI10G18980.1 pep chromosome:OR_W1943:10:19225991:19230921:-1 gene:ORUFI10G18980 transcript:ORUFI10G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRVRRRRRDAVCLDGSPPAYHLHRGSGGGAGGWVLQFEGGGWCNDAPSCAERAGTRRGSTRSMDSLEVFSGLLSNDPDMNPDFYNWNRVKLRYCDGGSFAGDSELRNGSSVLYFRGQRIWDAIISDLLPKGLAKAQKVLLSGCSAGGLATFFHCDDLKGRLGDAVTVKCLSDAGFFLDVDDITGNNTVEPFFRSLVALQGAEKNLNKDCLSSTDYPYQCFFPQYILPYIRTPYFILNSAYDVYQFHHNFVHPSCDPKGQWSHCKSDPGACSTSQIATLQGLRNAMLTALKPFQNEQGVGMFINSCFAHCQSELQETWLAPNSPRLHNKTIAELVGEWYFERGPGIEIDCAYPCDSTCHNIIPSNQNGDSGIHNGGPCMNIPPKFYSISLQMILYLSILHIAEQFIISYDI >ORUFI10G18980.2 pep chromosome:OR_W1943:10:19225991:19230921:-1 gene:ORUFI10G18980 transcript:ORUFI10G18980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRVRRRRRDAVCLDGSPPAYHLHRGSGGGAGGWVLQFEGGGWCNDAPSCAERAGTRRGSTRSMDSLEVFSGLLSNDPDMNPDFYNWNRVKLRYCDGGSFAGDSELRNGSSVLYFRGQRIWDAIISDLLPKGLAKAQKVLLSGCSAGGLATFFHCDDLKGRLGDAVTVKCLSDAGFFLDVDDITGNNTVEPFFRSLVALQGAEKNLNKDCLSSTDYPYQCFFPQYILPYIRTPYFILNSAYDVYQFHHNFVHPSCDPKGQWSHCKSDPGACSTSQIATLQGLRNAMLTALKPFQNEQGTIAELVGEWYFERGPGIEIDCAYPCDSTCHNIIPSNQNGDSGIHNGGPCMNIPPKFYSISLQMILYLSILHIAEQFIISYDI >ORUFI10G18980.3 pep chromosome:OR_W1943:10:19225991:19230921:-1 gene:ORUFI10G18980 transcript:ORUFI10G18980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRVRRRRRDAVCLDGSPPAYHLHRGSGGGAGGWVLQFEGGGWCNDAPSCAERAGTRRGSTRSMDSLEVFSGLLSNDPDMNPDFYNWNRVKLRYCDGGSFAGDSELRNGSSVLYFRGQRIWDAIISDLLPKGLAKAQKVLLSGCSAGGLATFFHCDDLKGRLGDAVTGAEKNLNKDCLSSTDYPYQCFFPQYILPYIRTPYFILNSAYDVYQFHHNFVHPSCDPKGQWSHCKSDPGACSTSQIATLQGLRNAMLTALKPFQNEQGVGMFINSCFAHCQSELQETWLAPNSPRLHNKTIAELVGEWYFERGPGIEIDCAYPCDSTCHNIIPSNQNGDSGIHNGGPCMNIPPKFYSISLQMILYLSILHIAEQFIISYDI >ORUFI10G18980.4 pep chromosome:OR_W1943:10:19225991:19230921:-1 gene:ORUFI10G18980 transcript:ORUFI10G18980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRVRRRRRDAVCLDGSPPAYHLHRGSGGGAGGWVLQFEGGGWCNDAPSCAERAGTRRGSTRSMDSLEVFSGLLSNDPDMNPDFYNWNRVKLRYCDGGSFAGDSELRNGSSVLYFRGQRIWDAIISDLLPKGLAKAQKVLLSGCSAGGLATFFHCDDLKGRLGDAVTGAEKNLNKDCLSSTDYPYQCFFPQYILPYIRTPYFILNSAYDVYQFHHNFVHPSCDPKGQWSHCKSDPGACSTSQIATLQGLRNAMLTALKPFQNEQGTIAELVGEWYFERGPGIEIDCAYPCDSTCHNIIPSNQNGDSGIHNGGPCMNIPPKFYSISLQMILYLSILHIAEQFIISYDI >ORUFI10G18990.1 pep chromosome:OR_W1943:10:19231953:19232416:1 gene:ORUFI10G18990 transcript:ORUFI10G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRDERPAPTQKWKPKKKAWARVEARASEAATLPVASSLSVFSSSRPTGGLGRKPTRRGRRGRGSVAGGRRPAMWQPHRPTGGPGKRWPVGEAGDGEGRSGRPTVGKRAAGEEGATAAGAREVRPHRQAAVDVDHLEGGERRRAGRGES >ORUFI10G19000.1 pep chromosome:OR_W1943:10:19233284:19242368:1 gene:ORUFI10G19000 transcript:ORUFI10G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKVEAKSPRGGGGWQGEASIERAVGASSFNDAPWCTPNPPELRRSGDGGAKKAAAVEDVGVALELAEDGLKELAVSPAVDTLGLMKASSRRFLSGKEGASFLLVSKGTKRLFGYCRELQATGLRFLQGERGKGGEEILEVDDDRGQKKRNQWRLASGAHGDQKVVPTPSLPQRSRHVSVTSSALLRDIHGLARRAAVLYATTVMWGAMAWVERRYDFFRLEVDEQHSEFQIVQVASNHQDGDYFFSCLELKIFSTPHWSITPRKRRGETKKSVLATAPNASCILTPLAQEGELGMTPLRPPFPLLMRASFQHFFYCLSRFASQHPVLSMGNRSRQRVAKEAMQSINEEADSPSREVQPGRHHMLKCPDGKPELHLEQIPNFHCKSLPSSRREAYQDDSIMHKRGSMYQSSSDVSRLRKLQEGRRKIDSALSRDSFMSFEIVDSSSQPSTSGPYLSRQQSRSCKPSSSIDASSKVQQATREFLSLSLRELPDEHSRLGRPRKDCNLLKDCAGDDFLEISLDEDTSKSVHTRQIEGTCSKDARSNCQHSVDVYSDGSKHGEGDLVNKLPKSLSTKVGVFDATCPPESTHGANSTTKARSSPFKKILDPIMKSKSLRNPSLMEKEDAKHSSLLVEGKSRVLRKSLLSGISRTEQSLTPNCQQSKEAQVLTVTSSPTHLHAVLKLDPTNDSFGFEFCTKGPEESIYANIWKAGNELNWIYTFHSTGKRTSTVGKTPKDRRGCLPPIVGQMHVSSYLYSEVGQNGVLNNSAISEFVLYDIAHARRSSAVERIQCTDSSKPKFCSAVNNSISRGSLERNNLMERQNNTRNNSDASTSSLWSREDLHPHLEVAAIVIQVPFHKTQSKELKDGSSSGTIKVAAAGGAHGLPRDDESSPSPLLDRLKTGGGCDCGGWDMSCPIVVLDNAYDSHWVDSVMTESKHPMELPFQGNKEALPAISMKAVGNGHFSVDFHARLSALQAFSVCICLLHCSEVSSAIGIEKFKHKLYSSSLKMLLKDEVKQLIESVTTKENKKKKTKRRKEKTPPSIVLDPPSFEPEAGVGIWQETAPFGAVSASITALVVVGLVHLLLTLVALSLILILKNIIGPGNLWIW >ORUFI10G19000.2 pep chromosome:OR_W1943:10:19233284:19242018:1 gene:ORUFI10G19000 transcript:ORUFI10G19000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSRQRVAKEAMQSINEEADSPSREVQPGRHHMLKCPDGKPELHLEQIPNFHCKSLPSSRREAYQDDSIMHKRGSMYQSSSDVSRLRKLQEGRRKIDSALSRDSFMSFEIVDSSSQPSTSGPYLSRQQSRSCKPSSSIDASSKVQQATREFLSLSLRELPDEHSRLGRPRKDCNLLKDCAGDDFLEISLDEDTSKSVHTRQIEGTCSKDARSNCQHSVDVYSDGSKHGEGDLVNKLPKSLSTKVGVFDATCPPESTHGANSTTKARSSPFKKILDPIMKSKSLRNPSLMEKEDAKHSSLLVEGKSRVLRKSLLSGISRTEQSLTPNCQQSKEAQVLTVTSSPTHLHAVLKLDPTNDSFGFEFCTKGPEESIYANIWKAGNELNWIYTFHSTGKRTSTVGKTPKDRRGCLPPIVGQMHVSSYLYSEVGQNGVLNNSAISEFVLYDIAHARRSSAVERIQCTDSSKPKFCSAVNNSISRGSLERNNLMERQNNTRNNSDASTSSLWSREDLHPHLEVAAIVIQVPFHKTQSKELKDGSSSGTIKVAAAGGAHGLPRDDESSPSPLLDRLKTGGGCDCGGWDMSCPIVVLDNAYDSHWVDSVMTESKHPMELPFQGNKEALPAISMKAVGNGHFSVDFHARLSALQAFSVCICLLHCSEVSSAIGIEKFKHKLYSSSLKMLLKDEVKQLIESVTTKENKKKKTKRRKEKTPPSIVLDPPFSPMGRV >ORUFI10G19000.3 pep chromosome:OR_W1943:10:19233284:19242018:1 gene:ORUFI10G19000 transcript:ORUFI10G19000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSRQRVAKEAMQSINEEADSPSREVQPGRHHMLKCPDGKPELHLEQIPNFHCKSLPSSRREAYQDDSIMHKRGSMYQSSSDVSRLRKLQEGRRKIDSALSRDSFMSFEIVDSSSQPSTSGPYLSRQQSRSCKPSSSIDASSKVQQATREFLSLSLRELPDEHSRLGRPRKDCNLLKDCAGDDFLEISLDEDTSKSVHTRQIEGTCSKDARSNCQHSVDVYSDGSKHGEGDLVNKLPKSLSTKVGVFDATCPPESTHGANSTTKARSSPFKKILDPIMKSKSLRNPSLMEKEDAKHSSLLVEGKSRVLRKSLLSGISRTEQSLTPNCQQSKEAQVLTVTSSPTHLHAVLKLDPTNDSFGFEFCTKGPEESIYANIWKAGNELNWIYTFHSTGKRTSTVGKTPKDRRGCLPPIVGQMHVSSYLYSEVGQNGVLNNSAISEFVLYDIAHARRSSAVERIQCTDSSKPKFCSAVNNSISRGSLERNNLMERQNNTRNNSDASTSSLWSREDLHPHLEVAAIVIQVPFHKTQSKELKDGSSSGTIKVAAAGGAHGLPRDDESSPSPLLDRLKTGGGCDCGGWDMSCPIVVLDNAYDSHWVDSVMTESKHPMELPFQGNKEALPAISMKAVGNGHFSVDFHARLSALQAFSVCICLLHCSEVSSAIGIEKFKHKLYSSSLKMLLKDEVKQLIESVTTKENKKKKTKRRKEKTPPSIVLDPPFSPMGRV >ORUFI10G19000.4 pep chromosome:OR_W1943:10:19234908:19242368:1 gene:ORUFI10G19000 transcript:ORUFI10G19000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSRQRVAKEAMQSINEEADSPSREVQPGRHHMLKCPDGKPELHLEQIPNFHCKSLPSSRREAYQDDSIMHKRGSMYQSSSDVSRLRKLQEGRRKIDSALSRDSFMSFEIVDSSSQPSTSGPYLSRQQSRSCKPSSSIDASSKVQQATREFLSLSLRELPDEHSRLGRPRKDCNLLKDCAGDDFLEISLDEDTSKSVHTRQIEGTCSKDARSNCQHSVDVYSDGSKHGEGDLVNKLPKSLSTKVGVFDATCPPESTHGANSTTKARSSPFKKILDPIMKSKSLRNPSLMEKEDAKHSSLLVEGKSRVLRKSLLSGISRTEQSLTPNCQQSKEAQVLTVTSSPTHLHAVLKLDPTNDSFGFEFCTKGPEESIYANIWKAGNELNWIYTFHSTGKRTSTVGKTPKDRRGCLPPIVGQMHVSSYLYSEVGQNGVLNNSAISEFVLYDIAHARRSSAVERIQCTDSSKPKFCSAVNNSISRGSLERNNLMERQNNTRNNSDASTSSLWSREDLHPHLEVAAIVIQVPFHKTQSKELKDGSSSGTIKVAAAGGAHGLPRDDESSPSPLLDRLKTGGGCDCGGWDMSCPIVVLDNAYDSHWVDSVMTESKHPMELPFQGNKEALPAISMKAVGNGHFSVDFHARLSALQAFSVCICLLHCSEVSSAIGIEKFKHKLYSSSLKMLLKDEVKQLIESVTTKENKKKKTKRRKEKTPPSIVLDPPSFEPEAGVGIWQETAPFGAVSASITALVVVGLVHLLLTLVALSLILILKNIIGPGNLWIW >ORUFI10G19000.5 pep chromosome:OR_W1943:10:19233284:19242018:1 gene:ORUFI10G19000 transcript:ORUFI10G19000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSRQRVAKEAMQSINEEADSPSREVQPGRHHMLKCPDGKPELHLEQIPNFHCKSLPSSRREAYQDDSIMHKRGSMYQSSSDVSRLRKLQEGRRKIDSALSRDSFMSFEIVDSSSQPSTSGPYLSRQQSRSCKPSSSIDASSKVQQATREFLSLSLRELPDEHSRLGRPRKDCNLLKDCAGDDFLEISLDEDTSKSVHTRQIEGTCSKDARSNCQHSVDVYSDGSKHGEGDLVNKLPKSLSTKVGVFDATCPPESTHGANSTTKARSSPFKKILDPIMKSKSLRNPSLMEKEDAKHSSLLVEGKSRVLRKSLLSGISRTEQSLTPNCQQSKEAQVLTVTSSPTHLHAVLKLDPTNDSFGFEFCTKGPEESIYANIWKAGNELNWIYTFHSTGKRTSTVGKTPKDRRGCLPPIVGQMHVSSYLYSEVGQNGVLNNSAISEFVLYDIAHARRSSAVERIQCTDSSKPKFCSAVNNSISRGSLERNNLMERQNNTRNNSDASTSSLWSREDLHPHLEVAAIVIQVPFHKTQSKELKDGSSSGTIKVAAAGGAHGLPRDDESSPSPLLDRLKTGGGCDCGGWDMSCPIVVLDNAYDSHWVDSVMTESKHPMELPFQGNKEALPAISMKAVGNGHFSVDFHARLSALQAFSVCICLLHCSEVSSAIGIEKFKHKLYSSSLKMLLKDEVKQLIESVTTKENKKKKTKRRKEKTPPSIVLDPPFSPMGRV >ORUFI10G19000.6 pep chromosome:OR_W1943:10:19236203:19242368:1 gene:ORUFI10G19000 transcript:ORUFI10G19000.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSRQRVAKEAMQSINEEADSPSREVQPGRHHMLKCPDGKPELHLEQIPNFHCKSLPSSRREAYQDDSIMHKRGSMYQSSSDVSRLRKLQEGRRKIDSALSRDSFMSFEIVDSSSQPSTSGPYLSRQQSRSCKPSSSIDASSKVQQATREFLSLSLRELPDEHSRLGRPRKDCNLLKDCAGDDFLEISLDEDTSKSVHTRQIEGTCSKDARSNCQHSVDVYSDGSKHGEGDLVNKLPKSLSTKVGVFDATCPPESTHGANSTTKARSSPFKKILDPIMKSKSLRNPSLMEKEDAKHSSLLVEGKSRVLRKSLLSGISRTEQSLTPNCQQSKEAQVLTVTSSPTHLHAVLKLDPTNDSFGFEFCTKGPEESIYANIWKAGNELNWIYTFHSTGKRTSTVGKTPKDRRGCLPPIVGQMHVSSYLYSEVGQNGVLNNSAISEFVLYDIAHARRSSAVERIQCTDSSKPKFCSAVNNSISRGSLERNNLMERQNNTRNNSDASTSSLWSREDLHPHLEVAAIVIQVPFHKTQSKELKDGSSSGTIKVAAAGGAHGLPRDDESSPSPLLDRLKTGGGCDCGGWDMSCPIVVLDNAYDSHWVDSVMTESKHPMELPFQGNKEALPAISMKAVGNGHFSVDFHARLSALQAFSVCICLLHCSEVSSAIGIEKFKHKLYSSSLKMLLKDEVKQLIESVTTKENKKKKTKRRKEKTPPSIVLDPPSFEPEAGVGIWQETAPFGAVSASITALVVVGLVHLLLTLVALSLILILKNIIGPGNLWIW >ORUFI10G19000.7 pep chromosome:OR_W1943:10:19237948:19242368:1 gene:ORUFI10G19000 transcript:ORUFI10G19000.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSRQRVAKEAMQSINEEADSPSREVQPGRHHMLKCPDGKPELHLEQIPNFHCKSLPSSRREAYQDDSIMHKRGSMYQSSSDVSRLRKLQEGRRKIDSALSRDSFMSFEIVDSSSQPSTSGPYLSRQQSRSCKPSSSIDASSKVQQATREFLSLSLRELPDEHSRLGRPRKDCNLLKDCAGDDFLEISLDEDTSKSVHTRQIEGTCSKDARSNCQHSVDVYSDGSKHGEGDLVNKLPKSLSTKVGVFDATCPPESTHGANSTTKARSSPFKKILDPIMKSKSLRNPSLMEKEDAKHSSLLVEGKSRVLRKSLLSGISRTEQSLTPNCQQSKEAQVLTVTSSPTHLHAVLKLDPTNDSFGFEFCTKGPEESIYANIWKAGNELNWIYTFHSTGKRTSTVGKTPKDRRGCLPPIVGQMHVSSYLYSEVGQNGVLNNSAISEFVLYDIAHARRSSAVERIQCTDSSKPKFCSAVNNSISRGSLERNNLMERQNNTRNNSDASTSSLWSREDLHPHLEVAAIVIQVPFHKTQSKELKDGSSSGTIKVAAAGGAHGLPRDDESSPSPLLDRLKTGGGCDCGGWDMSCPIVVLDNAYDSHWVDSVMTESKHPMELPFQGNKEALPAISMKAVGNGHFSVDFHARLSALQAFSVCICLLHCSEVSSAIGIEKFKHKLYSSSLKMLLKDEVKQLIESVTTKENKKKKTKRRKEKTPPSIVLDPPSFEPEAGVGIWQETAPFGAVSASITALVVVGLVHLLLTLVALSLILILKNIIGPGNLWIW >ORUFI10G19010.1 pep chromosome:OR_W1943:10:19245142:19246200:1 gene:ORUFI10G19010 transcript:ORUFI10G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIHILLVVSQIPSLIWHFVRAEINLEGKEDTDDSAVGKAEDPEFPILCNIQN >ORUFI10G19020.1 pep chromosome:OR_W1943:10:19248741:19251525:1 gene:ORUFI10G19020 transcript:ORUFI10G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASRIQKRVLASFAAAAAAKLPEAAVAAAGGAAEAVEEVASSVQEQVQAQGAQVLEFGDTERLFAGERSTSLVRTLAVLQALSVGPLVDVATAALRSPAVAGSAAGRAAARATAYQHFCAGETAEEAAAAVRRLWRGGMGGILDYGIEDAEDGPACDRNAAGFLAAIDVAAALPPGSASVCIKITALCPVALLEKASDLLRWQQKHPATKLPWKVHGFPVLCVSSPLYLTAAEPPALEAEEERELEMAHGRLLAIGERCAEYDIPLLVDAEYATVQPAIDYFTFAGALAFNGGGRPIVHGTVQAYLRDARDRLEAMARAAQGERVCLALKLVRGAYLAREARLAASLGVPSPVHRSIQDTHDCYNGCAAFLLDRVRRGAAAVTLATHNVESGQLAAARALELGIGGGGDRGLQFAQLMGMADGLSLGLRNAGFQVSKYLPYGPVEQIIPYLIRRAEENRGLLSSSSFDRQLLRKELVRRFKAAMLGRE >ORUFI10G19030.1 pep chromosome:OR_W1943:10:19253167:19253670:1 gene:ORUFI10G19030 transcript:ORUFI10G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLTSNRWGPGSPASSLCAAAPNLAQPRRSTAHLVTRVCATSRTRVVVVTFTTFPSSLKRYSTDPVLVRPDDHARWEQGAEVAAATVVVVLVEVAPPHRDNDTVFFPDNLVAVLNKYDHAEMYYVGAPSESVE >ORUFI10G19040.1 pep chromosome:OR_W1943:10:19255171:19261569:1 gene:ORUFI10G19040 transcript:ORUFI10G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILGRGRTIPIRGRYLCNVKMVSWRGRTMLRDFSKVRVQETEEKQGLGIGYWVDALQQKLHFSIPLVREGDGSIEVVADNNGWSFMGEDVVARSGISRKSLLPVCSCSVPYSSVATCLPVAMKRKQC >ORUFI10G19050.1 pep chromosome:OR_W1943:10:19258493:19266407:-1 gene:ORUFI10G19050 transcript:ORUFI10G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAELISELSVLRLSCHLAAWLCFCFCLCRCRVPCRNGVVLELNTPQAEKNQREVGGYGGEKNKILSEYNVSAASACAGDAKGGNHGVKRPCFTEMAAKHLRVRTGTSRTAAATLDGYTTLTWDSAPEWPWHRMHKPDRLRWAHWRVTGEPQCAAGAVAIGQASGHTGVRDTAGANWQETCIVVTEMDVKLADKFRKKWYHSSKLQKRRCTVGLIKQDLKVQNQGGESEASRHKGLQISFRQVQISLRKPNRKCKHSGQTVKLINENCYYLSTKRNNLKIKEVNYICYIYAEELHPLKVMFRNTQAKICQIDVQKS >ORUFI10G19060.1 pep chromosome:OR_W1943:10:19266603:19268579:-1 gene:ORUFI10G19060 transcript:ORUFI10G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGGSAREGHAGTLLQHHLIHGVGLAAAGKLVSSSSAAAAAAGAGGFWEPRSVLDHRHSPSPSPPTSASTLSSPLADVAALAGANAKNVSVSPPPPGWGTGGGGGGGEEVVAAKEEWVHQLTPLDMGLGAGEGWDAAGNVLSDAAAAATSGMAPDNTFLRWIIGGGEDASAAMAGVMDPPVLELDHGGGGGAAPAAFGPFAPPPAMEDTKPVVPFAAGHPPPNFLLQHHHHHPQPHAAFFGAHHPSFDAAPPPSKRHHPMAAAPAPKLPPFPAGGFVPALKPKAEAANDEAAAAVEQLAEAAKLAEAGDAFGAREILARLNYRLPAAPTAGTPLLRSAFYFKEALRLALSPTGDAPAPSASTPYDVVVKLGAYKAFSEVSPVLQFAHLTCVQAVLDELGGAGCIHVLDFDIGMGEQWASLMQELAQLRPAAALKVTALVSPASHHPLELQLIHENLSGFAAELGVFFHFTVFNIDTLDPAELLAIATAGDAVAVHLPVGPAHAAKTPAVLRLVKRLGAKVVVSVDRGCDRSDLPFAAHLFHSFHSAVYLLESIDAVGTDPDTASKIERYLIHPAIEQCVVASHRAASAMDKAPPPPWRAAFAAAGFAPVQATTFAESQAESLLSKVHVRGFRVEKRAGSLCLYWQRGELVSVSAWRC >ORUFI10G19070.1 pep chromosome:OR_W1943:10:19280221:19280582:-1 gene:ORUFI10G19070 transcript:ORUFI10G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLLLKTLEDIEKMRTEAEERNRADLNEFMAAVEARLPQVEKRVGDLHSSLGDLSAKVEQLESAMLRQAKAEKAVGDIKEEPTAASPSPTPSISRSTRRG >ORUFI10G19080.1 pep chromosome:OR_W1943:10:19283107:19286480:1 gene:ORUFI10G19080 transcript:ORUFI10G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLTAAGSRVLGGQGAAARAAVSALRHRAGMGLPVGRHIVPDKPLPTNDELVWDNGTPFPEPCIDRLAPHIGKYEALAWLCGGLGFFATLGLAATLNDKASKIPYTPKVYPFDNLREELGDRP >ORUFI10G19090.1 pep chromosome:OR_W1943:10:19286914:19287402:-1 gene:ORUFI10G19090 transcript:ORUFI10G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHKNSSRNKLAVAAIVALMSLLVFAAAPSEACGGRCNGGACRSRCAKPTPAPARRAAGAKCPFDALKLAACADVLGGGGGGGGLLNLGHLLGNSSPSSSGEQCCGLLAGLADVDAAVCLCTALRANVLGLVGVEPHVQLSVLVNRCSRKLPNGFQCSSN >ORUFI10G19100.1 pep chromosome:OR_W1943:10:19289574:19290014:-1 gene:ORUFI10G19100 transcript:ORUFI10G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKVAPVLALSLLLLAVAAHGCEPHCSGGGAPAVVIPTPTVVVPLPSFGGAHGGYGGYGHGRCPIDALKLRVCANVLNGALGVNVGHGPYDCCPLLAGLADADAAVCLCTAVKANVLGVNLNVPVELKLILNKCGKTCPSDFTC >ORUFI10G19110.1 pep chromosome:OR_W1943:10:19293942:19294370:-1 gene:ORUFI10G19110 transcript:ORUFI10G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSKIAPLLALTILLFAAAAHGCAPYCPGGAPPVIPTPPVVVPTPPSHHHHGGHGHGRCPIDALKLRVCANVLNGLVGVKIGAGPDDCCPLLSGLADLDAAVCLCTAIKANVLGIINLNIPVDLSLILNNCGKICPSDFTC >ORUFI10G19120.1 pep chromosome:OR_W1943:10:19296431:19296913:1 gene:ORUFI10G19120 transcript:ORUFI10G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEKKAITGSAATAAAPPPAAAQQKKPCAAAKKKKKVRMPDNYVASILTLKRNPRRSPEYMESLSPEEREGEVEDAELGDEFEAFQEEVRRAVENDGCYMVGESYFAETAAIQAAMEEEWTKIDMSRVIFGDWDYDDPESVQYL >ORUFI10G19130.1 pep chromosome:OR_W1943:10:19298695:19299120:-1 gene:ORUFI10G19130 transcript:ORUFI10G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTKVVAPLLAFTLLILAVAARGCEPNCSGGPVIPTPTTPSYDRHGHCPIDALKLXPQRVLLAAAGDRRPRRRRLPLHRRQGQCAGHQPQPPRRSEPHPQQVQQDLPIRLHLLIELNHACIISPHAMTGSEGLSCMIKD >ORUFI10G19140.1 pep chromosome:OR_W1943:10:19302013:19302358:-1 gene:ORUFI10G19140 transcript:ORUFI10G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVVAPLLALTLLLFAVAAHGCEPNCSGGGPVIPTPTTPSYDRHGHCPIDALKLXPQRGIADLDAAVCLCTAVKANVLGINLNLPVDLSLILNKCSKIYPSGFTC >ORUFI10G19150.1 pep chromosome:OR_W1943:10:19305216:19305626:-1 gene:ORUFI10G19150 transcript:ORUFI10G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKVAPLLALSLLLFAVAAVHGCEPYCGHGGPVIPTPPVVVPTPPSYHRHGRCPIDALKLRVCANVLNGLVGVKIGAGPDDCCPLLSGLADLDAAVCLCTAVKANVLGIKLNLAVDLSLILNKCGKICPSDFTC >ORUFI10G19160.1 pep chromosome:OR_W1943:10:19308957:19311370:1 gene:ORUFI10G19160 transcript:ORUFI10G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEKVFVALPAEAKSGRSTLSWALGHFRATAIVVTHVHVPPQMIPVMGVKFHASKLNPEQVSLFRMAERDKVDKQLDHYVNQCLRMKMKCEKLVIENENVVDGLVELINLHGVTKLVISAAPDRNYSRKMDKPASRTATEIMQRADPSCKIWFVCKERLICTSGVEVEIAPGHTPFIPDTGHDALQLTLHQEQDDNNESELGFYDEIKEACKAADNLMMRALRESYRRQKADEEVVSSLQKAKEYEELYLEEVKKRKELEEALLRASEEIAQLKQERDLPKNDQNTTMEEQKEVISDNLILEASGQIIKPLQEYLDHDENCVREPETLLIQRKLAASFSPSSVMQSPFDEDCCIPSYFICPILQEVMREPCIASDGFTYETDAIRSWLDGGRRVSPITGQPIVHQQLIPNLSLRSVIQDHARRNQYSFS >ORUFI10G19170.1 pep chromosome:OR_W1943:10:19316037:19316438:-1 gene:ORUFI10G19170 transcript:ORUFI10G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAALVLAVSLLVVAVASACTYCPEPPTPKPKPPAPRPPTPGGGAGSCPRDALKLHVCANVLGLVKAKIGAVAPYEPCCSLLDGLVDLDAAVCLCTAIKANVLGLNLNIPIDLSLILNNCGKICPSDYQCA >ORUFI10G19180.1 pep chromosome:OR_W1943:10:19319939:19323614:-1 gene:ORUFI10G19180 transcript:ORUFI10G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAALVLAVSLLVVAMAVACPDCPSPKPPAPRPKPPTPHYGGGSSCPRDALKLHVCANVLGLVKAKVGAVSPYEPCCSLLDGLVDLDAAVCLCTAIKANVLGIKLNLPIDLSLILNNCGKICPSDYQCAALVLAVSLLAVAVAASACGTDCPPAPRPRPSTGSCPRDALKLRVCANVLGLVKAKVGAVAPYEPCCSLLDGLVDLDAAVCLCNAAVCLCTAVKANVLGIKLDLPVDLSLILNNCGKICPSDFKCVH >ORUFI10G19190.1 pep chromosome:OR_W1943:10:19326859:19330643:-1 gene:ORUFI10G19190 transcript:ORUFI10G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLAAAAAAAARRAGGALRYAVLGGVRSLSSLQPSSSSSAAAAASEEVLVEGKASARAAVLNRPGHLNALTTTMGARLNKFYMSWEDNPDIGFVMMKGSGRAFCAGGDVVRLHQLISEGKLDECKDFFKTLYSFIYVLGTYLKPHVAILDGVTMGGGGGVSIPGTFRIATDRTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYLALTGEKLNGVDMIALGLATHYSMSDRLNLVDERLATLLTDDPSVIDTSLTHYGDLVYPDKSSIVHRLEVIDKCFSLETVEEIVDAMEIEAARLNEDWSTLALKRLKEASPLALKVSLRSIREGRYQTLDECLVREYRMSINGISEKFSHEFREGVRARLVEKDLAPKWDPPALEYVSADMVDSYFAPLGEFEPELTLPTESREAFV >ORUFI10G19200.1 pep chromosome:OR_W1943:10:19333241:19335417:-1 gene:ORUFI10G19200 transcript:ORUFI10G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTSNSPVIADPLPKLALPSAVMTYTTPTSFPSTGLYLNTPKKKPLPGKIEEVRAAGWLDLMLASSPPRKRQTKDFANDVQADELDLLYRNWVVNHPSALTSFEDIVNLARGKRLALFLDYDGTLSPIVDNPENAVMSDEMRSAVKHVASLFPTAIISGRSRDKVFDFVKLTELYYAGSHGMDIMGPVRKSDSSGHHVECIRSTDSEGKEVNLFQPASEFLPMISEVYKKLSESIKDIDGARMEDNKFCVSVHYRNVAPHDYGEVHQRVTAVLKNYPCLRLTHGRKVLEVRPVIDWNKGKAVEFLLESLGLCGKEDVLPIYVGDDKTDEDAFKVLKANSIGFGILVSSVPKDTDAFYSVRDPAEVMEFLKKLASWKEEST >ORUFI10G19210.1 pep chromosome:OR_W1943:10:19344935:19345512:-1 gene:ORUFI10G19210 transcript:ORUFI10G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGVAERLRLFKADLLDYGSVAVHTHAAAVTGTTNVRKACSEARLGLGRVVVVSYVSAAMIDAAAQQESSSAVGPRSCSSGRRSLLSRRAHAGLPSPPNGWRPPHFSPPAPFSLSALSLAGEGARGRMKNGREGEGIRTTARWR >ORUFI10G19220.1 pep chromosome:OR_W1943:10:19347050:19348441:1 gene:ORUFI10G19220 transcript:ORUFI10G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPLFFLLLLAVAAAATAAAGEGGATRKDPCAGRRIHIRRLPPRFNAHLLRHCDAGFPLADPSTPATSSPPCESLVNHGLGPRPHSSSRSWYRTDTRLLEVFFHRRVAERGCLVADPALADAVYLPYYAGLDSLPYVLDPALLDSSAQHGAELAEFLARDRPQILARRHGHDHFLVLAGSAWDYSQPVRAAAAAAAEARLWGTTSLLRLPALGNLTFLTLESRAWPWQEHAIPHPTSFHPASLPRLRAWLARARRARRPALMLFSGGVSRPSRPNIRGSILAECANRTDACVVVDCSGGRCSHDPIRYMRPMLHSRFCLQPPGDTPTRRSTFDAILAGCVPVFFEDAAARRQYGWHLPPERYDEFSVYIPKESVVFGGVKIAETLAVVGEGEVRRMRERALEMAPRVLYRRHGSTAELSETAKDAVDLAVDGALRRIRRRVRALDDGEPERIYSLEDDAVES >ORUFI10G19230.1 pep chromosome:OR_W1943:10:19349898:19352334:-1 gene:ORUFI10G19230 transcript:ORUFI10G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPQNDKLKYHTPPLPSKSQQKSEAKSRTHRLAMPSPSLRLAVVGAGAAGLVAARELRREGHSPVVFERAASVGGTWLYDAAPATSDPLAAGAAHSSLYASLRTNLPREVMGFLDFPFASSAAEAGGGGDTRRFPGHDEVLRYLEEFARRFDLYGLVRFGTEVVRVRRDGGGGGGRWAVTSRKIGEKGRREEEEEVYDAIVVCNGHYTEPRVAHIPGVEAWPGKQMHSHNYRVPEPFHDQVVIIIGASASAVDISRDLAGVAKEVHVADRSAPACTCKRQPGYDNMWLHSMIDHAQEDGCVVFQDGSSIKADVIMHCTGYLYDFPFLEDDSAITVDDNCVDPLYKHVFPPEVAPHLSFIGLPWKVIPFPLFELQSKWVAGVLSGRVKLPSREEMMEDVKAFHSKMEARGWPKRYAHNFSDCQFEYDDWLAEQCGHPPIEQWRKLMYAANSENKAARPESYRDEWDDDHLVAEAAEDFKKYL >ORUFI10G19240.1 pep chromosome:OR_W1943:10:19356095:19361980:1 gene:ORUFI10G19240 transcript:ORUFI10G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHVRSGLGSPDASSNSSKRKRGSCFAERNMDGRNSIRIKPGVGAREKCGEGGGHRYVIDLEKPATSDDDVEFVSYAGFGNRSQDRCYASAENCSTAGSSQLCVERNASRVSPGSVGSSDTPDCQSPIKPDNSESRHLLIDLNVPQEESLHVFYAPSQITCPTLVNSSSSHPGEFWNGSSNVYKKECGSGVGSSKGSSITVVAPSSAPDSSREVVAAYQFHDPKNLHGNIHARENSQHEHAVDKLCGSSSQYFLPQQRFSVSSCGRNDSSSALQKSGDNHVACQSGQPPLAVHTELQHDTSIVISSGEEKDLFDLNVPAESIDMESTITSNSFRDKLVKNDGSEETVTDHSFSKRNGVHAETSIEERTVGEHHISVSKDGNTTFFQESINNEIDKAQSSDLISVSSKHLIAETPHVDNIVCPELRASPDGASSPQETLIGNCDKMVCIAAETLVSIFSSSACTTDCPGTDSQTAAEDVNDEPQHSLDSYEEIVLNVEEIRDDGESIPVIPPDKDGPSCGIKLRRGRGLRNFLREIMPGLVSLSRHEICDDLHAIGYEPRKTRSRKTFGAQGSSSTRGRPPKHRPTARK >ORUFI10G19250.1 pep chromosome:OR_W1943:10:19367398:19368270:-1 gene:ORUFI10G19250 transcript:ORUFI10G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAWVRSLSCRSYGVADAVVAPSPSKKLQSPPVSCAAAKDDVRDSVAFVRHSAKKPRREEERPRREPRPRPKKKKPKQVLAASVAFMPSSPAASSAAAAPVSSAFLTMAELPEGHSSRRVVELIFSSGWGAAAAAAAAPGPTVEAMFRVHSAARAVARFEEARAAARAHGAAARCGADGNEMMRFQCRAAAGPGGVFGAGVATCRLGAADAAVRTFAGSGAAHASAGAGPGRRAMLVCRVIAGRVRSAQHQHGHSRHASDYDSVDMGNGELVVLDSRAVLPCFLIIYKV >ORUFI10G19260.1 pep chromosome:OR_W1943:10:19374313:19379758:1 gene:ORUFI10G19260 transcript:ORUFI10G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAREMHPLCCLVSDCALGGGGGGGGGEGGGGDCSPTKAAVGGEEEVVAVAGVLYKWTNIGKGWRPRWFAIRGGVLAYSKIRRRVAAEPPPEAAAAAAANGVRLIGVPRGGVGDQPIGFVPLKISSFSESKSDDKRFYIITPTKTLQLRTGSAKDRVAWIEALVSARSEYSLNGGVPCDQNEGSFSTEKLRNRLHAEGVGEATIKDCEQIIHSEFSQYHTQMKQRCEDYLNFIGSLPRELEVVNSGDASAIEKPQSELFKHDCSSSGKCSEYSNTESSDDAGKQEVGQLSDEDEFHFYDTRQSFSDTAASPDLKMRCSNSGSGAHKFGELLAIDKTNEYLLSSSKRRSELPVPIEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSNLLDRAYEYGSRGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMVMACHCEGKGWKFWGDSNLKSKFWGQSIQLDPSGVLTLQFDDGETFQWSKVTTTINNLIIGRVYCHHHGTMNISGNRQYSCKLTFKEQSFLDRNPRQVQGLVTDANGTKVAFLMGKWDESMSCIIGDDASKVNSRNANQSTGATLLWEKNVPPANPTRYNLSSFAITLNELTPGLKEKLPPTDSRLRPDQWHLENGEYEKANSEKLRLETRQRMARKMQESGWKPRWFQRDTEDGTFRYVGGYWEAREQRKWVGCNDIFGNNVSTLCTSASI >ORUFI10G19270.1 pep chromosome:OR_W1943:10:19383180:19386996:-1 gene:ORUFI10G19270 transcript:ORUFI10G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLPETAAEGKALTDAWDYKGRPAGRAATGGWGCAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGNAAAANTVTNFIGTSFMLCLLGGFVADTYLGRYLTIAIFEAVQATGVMILTISTAAPGLRPPACGDPKGASAECVAADGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDESDVDGERKKMMRFFNWFYFFVSLGALLAVTVLVYVQDNVGRRWGYGICAAGILAGLAVFLSGTRRYRFKKLVGSPLTQVAAVTAAAWSKRSLPLPSDPDMLYDVDDAAAAGHDVKGKQRMPHSKECRFLDHAAIIDRSAAESPATASKWRLCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFAVAQAELMDRRLAGGFLIPAGSLTVFLIASILLTVPFYDRLVVPVARRATANPHGLTPLQRVFVGLSLSIAGMAVAAAVERHRATASASAAAAAPTVFLLMPQFLLVGAGEAFTYMGQLDFFLRECPKGMKTMSTGLFLSTCAIGFFFSTLLVTIVHKVTGHGARGGGWLADNLDDGRLDYFYWLLAVISAINLVLFTVAARGYVYKEKRLADAGIELADEETIAVGH >ORUFI10G19280.1 pep chromosome:OR_W1943:10:19399585:19402147:-1 gene:ORUFI10G19280 transcript:ORUFI10G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTVAVVGAGAAGLVAARELLREGHAVTVFERSARVGGTWAYDPRSDPDPPCLDTAAPGAAAVHGSLYASLRTNLPRELMGFSGFALAGRVFAGDPRTFPGHREVLAFLDAFAVESGVAGRVRLRAEVVRVGPLAGHGERWTVAWRGEGGVEEEEEEVFDAVVVCNGHCTVPLVPKLRGIGNWQGKQMHSHNYRTPEPFQDQVQDAVSVTVSIVVVVGLGASGVDIAREISNVAKEVHIASRYTEDRLGKVDTFQNTWLHSEVDCIQDDGQVRFSEGSASIAADTFLYCTGYRYHFPFLDVEGVTVDGNRVGPLYKHVFPPKHAPNLSFVGLPVKTIMFQSFELESRWVARALSGRAELPGEEAMAAAVEEDYRRMDAAGKPKRHTHALMPDWLTMFRPLVAATIDERQVEYMDWVAAQVGEPPMEARRREIYEKALRCIWSLDDSYRDSWEEEEEEENR >ORUFI10G19290.1 pep chromosome:OR_W1943:10:19414806:19415306:1 gene:ORUFI10G19290 transcript:ORUFI10G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRASASCALFLALNLLLFAITTACPSCGSGGGGHGHYGGGGSSGGGGGYGGGSGGYGGGGSSGGGYGGGGGSSTSGWYGKCPTDALKLGVCANVLDLIKAKAGVPATEPCCPLLNGLVDLEAAVCLCTAIKANVLGINLNLPIHLSLILNFCGKGVPTGFMCS >ORUFI10G19300.1 pep chromosome:OR_W1943:10:19416765:19418671:-1 gene:ORUFI10G19300 transcript:ORUFI10G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGVGGGAARPLTASRRLLARSASTTASRAGGAGAFVYDGMRPAPLFSSTNFARSLRKAASFGGGGKKQYSADDDGAVAVKAAAPPRRALSSKENTVHELGTAAARGPWEPARRPRRSSSGGSSSPENAGSTRGSAVLRDMMTRRKEEPEKEEAAHRARMLAARLLQWRFANARMEKAMARATAAAENKLFYTWLRVAELRNIQAAKRIVAQRRRQKLKLARLLRPQLSLLASWDSLAKPHADAVDDLGAVLAAACTALPLADGAQGDMESLHEAMFACVGTVNDIEANADMFFATAGVTSSTLEELSTTIKQEVEGLQEAMKLARIVTSLQVQEVSLRANLIQIQAKQKVDMGASVPAIATSGWCF >ORUFI10G19310.1 pep chromosome:OR_W1943:10:19426588:19430734:1 gene:ORUFI10G19310 transcript:ORUFI10G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWPPAAWRRWGVVVVVVAALMAVSATAAVEEELGGRESGVVAAAAQGRWPRHRHAYAAMMYMGTPRDYEFYVAVRVMMRSLARIGADADRVLIASADVPADWVRAMREEDGMRVVLVENMKNPYESNLGGINRRFKLTLNKLYAWTLVDYERVVMIDSDNIFLQKTDELFQCGQFCAVFINPCYFHTGLFVLQPSMDVFKGMLHDLEIGRANSDGADQGFLVGCYPDLLDRPMFHPPENGSKLNGTYRLPLGYQMDASYYYLKLHWHVPCGPNSVITFPSAPWFKPWYWWSWPILPLGLSWHKQRWDDLGYAAEMPVILMEILMYAVIITITRLAKPGMTKLCYNRRPEKQNAMVQGLIKMSAIVAMLIAYAIPFFIIPRTVHPFMGWSMYLFGALALGVLVSNAFLLPLLAVLTPWLAIIGMFFVMAFPWYHGGIVRVLAIFGYAFCSAPFLWASLVRVMDSLQTMLEREPFFPRLGEPAQETEFSKLF >ORUFI10G19320.1 pep chromosome:OR_W1943:10:19430610:19431992:-1 gene:ORUFI10G19320 transcript:ORUFI10G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKPVEPLPLLRAGADTAAAAALRRFQLPPQPPRSVRANAIRASPPSNGAGSPDELPAALLPNAARRRDGCGFSLGVDLGEARTGVAVGRGITLPRPLTVLKLRGQKLELMLLDIAQQQEADELIVGLPVSADGSETPQSNKVRSVVGRLAVQAADRGLRVYLQDEYGTTIDALEFMISRGVKRSARDVKSDAYSAMMILERYFSSSGQGAKIVLPKQPQLQSKLLEKSRQDAQV >ORUFI10G19330.1 pep chromosome:OR_W1943:10:19437269:19438348:-1 gene:ORUFI10G19330 transcript:ORUFI10G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHTCKLCFRRFHNGRALGGHMRSHVMAAAAAAAYCPSSPAMSLASTSSTEIEMDEKKEMTKKTEQEKTLTSYVLRENPKRSYKVSAGEFSGGGGGGGGGGESSVVQDGESDTESSPPRGGAGSFFAVSRRRSKRARRRRRAPDPEPASSVSDATTEEDVAMSLLMLSRDSWTRSRSEHETHHRGASSEAEQNNNNVVNVFDEEDEDARDVAGEDHDEELSYGGGEAAAARHRTSRFQCGACRKVFRSYQALGGHRASLKRGKGGGCVPPPRPAPASSAAAPAIHECPFCFRVFDSGQALGGHKRAHMPSGGARPSPSPSPAKCGESSGSIDLNMPATMEDDFELSAVYDAEFASRRQ >ORUFI10G19340.1 pep chromosome:OR_W1943:10:19446023:19448090:1 gene:ORUFI10G19340 transcript:ORUFI10G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIRWHWRHASPPSQILLSHTFFSPTSHPCRQYCRDFLPIPSNNAISAKSPARPQARNTSPRIAFHLSLDHCRLAALTVALHRPIRRRHESLPVFRGHAITAWPIDPRRPRPRRPPIAHCHPPIVDLKPYTIHRHRQSPHCCQIRFFAIDIHRSSTPTTPLTSLFRTTAIDSSSASCSKQKTLLH >ORUFI10G19350.1 pep chromosome:OR_W1943:10:19457181:19463005:-1 gene:ORUFI10G19350 transcript:ORUFI10G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSCVAGQERILILPIGSSALVLYYDSRDVWEMEQGQGTQFFELARMAARMGSKVAAILAILSVLVVHGSCKGHPVNYNVSDASAYGSGWLPARATWYGAPTGAGPDDNGGACGFKNVNQYPFSSMTSCGNEPIFKDGKGCGSCYQIRCNKDPSCSGNIETVIITDMNYYPVARYHFDLSGTAFGAMAKPGLNDKLRHSGIIDIQFRRVPCNYPGLKINFHVEEGSNPVYFAVLVEYEDLDGDVVQVDLMESKSAYGGATGVWTPMRESWGSIWRLDSNHRLQAPFSLRIRSDSGKTLVANNVIPANWSPNSNYRSIVQFS >ORUFI10G19360.1 pep chromosome:OR_W1943:10:19463036:19464281:-1 gene:ORUFI10G19360 transcript:ORUFI10G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASAKVVAMLLSVLATYGFAAGVVYTNDWLPAKATWYGQPNGAGPDDNGGACGFKNTNQYPFMSMTSCGNEPLFQDGKGCGACYQIRCTNNPSCSGQPRTVIITDMNYYPVARYHFDLSGTAFGAMARPGLNDQLRHAGIIDIQFRRVPCYHRGLYVNFHVEAGSNPVYLAVLVEFANKDGTVVQLDVMESLPSGKPTRVWTPMRRSWGSIWRLDANHRLQGPFSLRMVSESGQTVIAHQVIPANWRANTNYGSKVQFR >ORUFI10G19370.1 pep chromosome:OR_W1943:10:19474938:19476328:-1 gene:ORUFI10G19370 transcript:ORUFI10G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSISKKAAVAALFSFLVVTCVAGARPGNFSASDFTADPNWEAARATWYGAPTGAGPDDDGGACGFKNTNQYPFSSMTSCGNEPIFKDGKGCGSCYQIRCVNHPACSGNPETVIITDMNYYPVSKYHFDLSGTAFGAMAKPGQNDQLRHAGIIDIQFKRVPCNFPGLKVTFHVEEGSNPVYFAVLVEYEDGDGDVVQVDLMEANSQSWTPMRESWGSIWRLDSNHRLTAPFSLRITNESGKQLVASQVIPANWAPMAVYRSFVQYSS >ORUFI10G19380.1 pep chromosome:OR_W1943:10:19485540:19488104:1 gene:ORUFI10G19380 transcript:ORUFI10G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSSLAAAAVFLSLLAVGHCAAADFNATDADADFAGNGVDFNSSDAAVYWGPWTKARATWYGQPNGAGPDDNGGACGFKHTNQYPFMSMTSCGNQPLFKDGKGCGSCYKIRCTKDQSCSGRSETVIITDMNYYPVAPFHFDLSGTAFGRLAKPGLNDKLRHSGIIDIEFTRVPCEFPGLKIGFHVEEYSNPVYFAVLVEYEDGDGDVVQVDLMESKTAHGPPTGRWTPMRESWGSIWRLDTNHRLQAPFSIRIRNESGKTLVANNVIPANWRPNTFYRSFVQYS >ORUFI10G19390.1 pep chromosome:OR_W1943:10:19488748:19494220:-1 gene:ORUFI10G19390 transcript:ORUFI10G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCVPSWDLDDPVGGGGIGGGGGGGHRVVSGGGGGFMPVAVPTSDQYNEVAELTWEKGNISSHGLLLNRPAPPKFPPHQQLQAAMGGGGGGGVVGDRETLEAVVGEAAARSSSSSHLAARARPVPAPWLGSVGVVAAADALVPCDADAAEGRSKRPREVVGEDGRRACASQGSAAPGRRGESTLLTLDACCGTAADDVCGFTTTTNNSTSLEDRTEDKGSPETENTSIAGGASDSRKAKMMHQKRRDRINQKMKTLQKLVPNSSKTDKASMLDEVIDYLKQLQAQVQVMSRMGSMMMPMGMAMPQLQMSVMAQMAQMAQIGLSMMNMGQAGGYAPMHMHTPPFLPVSWDAAASSSSAAAADRPPQPTGAATSDAFSAFLASQAAQQNAQQPNGMEAYNRMMAISSSRTSQATPDNDRDME >ORUFI10G19390.2 pep chromosome:OR_W1943:10:19490134:19494220:-1 gene:ORUFI10G19390 transcript:ORUFI10G19390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCVPSWDLDDPVGGGGIGGGGGGGHRVVSGGGGGFMPVAVPTSDQYNEVAELTWEKGNISSHGLLLNRPAPPKFPPHQQLQAAMGGGGGGGVVGDRETLEAVVGEAAARSSSSSHLAARARPVPAPWLGSVGVVAAADALVPCDADAAEGRSKRPREVVGEDGRRACASQGSAAPGRRGESTLLTLDACCGTAADDVCGFTTTTNNSTSLEDRTEDKGSPETENTSIAGGASDSRGMCDEDEHVKRRDRINQKMKTLQKLVPNSSKTDKASMLDEVIDYLKQLQAQVQVMSRMGSMMMPMGMAMPQLQMSVMAQMAQMAQIGLSMMNMGQAGGYAPMHMHTPPFLPVSWDAAASSSSAAAADRPPQPTGAATSDAFSAFLASQAAQQNAQQPNGMEAYNRMMAMYQKLNHQQQQQDQPSNSRQ >ORUFI10G19390.3 pep chromosome:OR_W1943:10:19490134:19494220:-1 gene:ORUFI10G19390 transcript:ORUFI10G19390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCVPSWDLDDPVGGGGIGGGGGGGHRVVSGGGGGFMPVAVPTSDQYNEVAELTWEKGNISSHGLLLNRPAPPKFPPHQQLQAAMGGGGGGGVVGDRETLEAVVGEAAARSSSSSHLAARARPVPAPWLGSVGVVAAADALVPCDADAAEGRSKRPREVVGEDGRRACASQGSAAPGRRGESTLLTLDACCGTAADDVCGFTTTTNNSTSLEDRTEDKGSPETENTSIAGGASDSRKAKMMHQKRRDRINQKMKTLQKLVPNSSKTDKASMLDEVIDYLKQLQAQVQVMSRMGSMMMPMGMAMPQLQMSVMAQMAQMAQIGLSMMNMGQAGGYAPMHMHTPPFLPVSWDAAASSSSAAAADRPPQPTGAATSDAFSAFLASQAAQQNAQQPNGMEAYNRMMAMYQKLNHQQQQQDQPSNSRQ >ORUFI10G19400.1 pep chromosome:OR_W1943:10:19505556:19506173:1 gene:ORUFI10G19400 transcript:ORUFI10G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDKLVQLAESMCQAASLLLGDNDPSDESSPRRPSTFLNAVALGNVWWSRPPSASPHAPTALDAIDEAVGKLKSVLDNGEGDLDEAALRAEELMAPLESHCGGWWRRLQ >ORUFI10G19410.1 pep chromosome:OR_W1943:10:19509106:19527862:-1 gene:ORUFI10G19410 transcript:ORUFI10G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFNSAVAEDVRSLVQGVDDSTFDSTHRELCQLADCSPDGCSLLLQVCLDEVLLNADVAKSSRLKPELLSTVFKYCLDKPYFSTSFCEALKTVHVSDMFLVKLSNELNLSAGERVGVGLALSDSGNLGLITKGQKFSIAEIEEICANPAHVLTNDQIHDIVVFLHQTDGLSKHMDSFTNIISLLNVKEMPFYVPAPIKEGNARPTISSRHMELYTGSLDDDFDSLLSEIGKEISMADIITELGYGCTSDIAHCKEILSLFEPLDDMGISKLLGAVVCTHVGLGEAHNTYSTFMSAVGNSQPSDSSQFTAWNIDVLVDSINEIAPRTNWITVVENLDHEGFCIPDEAAFCLLMSIYSRACKDPFPLHAVCGSLWKNTEGQLSFLKHAVAAPTDTFTFKHCSRKMVFPEFANRMQGNQAWYCLDLLEVLCQLAELGYATMVRSMLDYPLIHCPDVLLLGVSHINTPYNLLQYEVLSCVFPMILKDTTYSSLMNSLWHVNPYLTLRGFVDSHSDANCLLRTVEICQDLTILSAVLDSTPFAFSIKLATVAFRQSHSNLEKWLVEKLTAQGETFLEECVKFLKEIMSNTTYEAAEGAVQQPQVLQSHSGQLLSNQLVEELRRVEAVHESRNHGVVGRDAPTSEGGPDDIEAQANIYFHQMFSGQISVDAMVQMLARFKESTNKRELSIFNCMVSNLFEEYKFFPKYPDTQLKLAAVLMGSLIKHQLVAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRLIEWPQYCNHILQISHLRATHAELVAAIERVLAKISSSQNEPNVGSMLSADQHGSSSIGNMEASEASWQLINPTPTQLERSHQQRHQGFLGERSKGSTNIIQAKNILSSGQMPLASSPGDLAVNLKAATTPSSQASPHHSTTVSAPLQPTGFLRSRSSAPSGIRQPSFTTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEAKAREFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIIEAYERGLMIAIIPFTSKILEPCQSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQAPVVAEVSSGVMPTINHVEPQPEINSTSRATSLPNMLSQYAAPLRLPTNNMVEDDKAALIMPEQLIAAIPRADIYFRINEKLSSFGSLQYSKIMDMALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMEADDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRSLIQGITNNTESTEQIMLILVNDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLKRVPDALRPKPTGHLSAAQRRVYEDFITVWHSQSSQNAGGSATATAMAVAPSNSSVPRVYSPNSALTDSSSFSSHFASASQTTELVHEESDRNAHLSSLSSKIGASDTSTQVIGTTNVASVFPPMVPNDLPVGEPTTTNKDLVTSAPLSPTTAVDRMGSVFVEPLNTSDALEMYQQVSQKLDTLIAKDGKDAEIQSVIAEVPDILLRCVSRDEAALAIAQKVFRSLYDNASNSNYVTWLLAALVAIRDVCKLVVKELTSWVIYSDEDKKFNIDIIIGLIRSELINLGDYNVHLAKIIDGGRNKAATEFAISLVQTLITQESISIAEVYNVVDALSKLAIRPSSPESLQQLIEIARSFASVKDENIRQSRDKKVLSGRPLVNKEENNANDVAFTDAVGFQEKVAVSFSEWCNICDHPTMGDSAYTHYIVKLQQDGLLKGDDLTDRFYHILTELAVAHSVVSEQVVAPGGISQQPTQQLQISYFSIDSYSKLVALVVKYSSVDIGPSKGSLFNKILSVIVRIIQRDAEEKKVLTAFANAFHVLQPLRVPAWRSFMPKLLLCNAQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIPPRIMSDVDGALKSKQMKTQVDEYLKRPDGSFLTDLKQKLLLPQNEANIAGTRYNVPLVNSLVLYVGMQAVQQLQLNKMNASASAQQMNQSQLDVQIETATELFRNLVMNSDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFSEANQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWARSFTRCAPEIEKLFESVARSCGGKGGDDGVGLPDGGH >ORUFI10G19410.2 pep chromosome:OR_W1943:10:19509106:19527862:-1 gene:ORUFI10G19410 transcript:ORUFI10G19410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFNSAVAEDVRSLVQGVDDSTFDSTHRELCQLADCSPDGCSLLLQVCLDEVLLNADVAKSSRLKPELLSTVFKYCLDKPYFSTSFCEALKTVHVSDMFLVKLSNELNLSAGERVGVGLALSDSGNLGLITKGQKFSIAEIEEICANPAHVLTNDQIHDIVVFLHQTDGLSKHMDSFTNIISLLNVKEMPFYVPAPIKEGNARPTISSRHMELYTGSLDDDFDSLLSEIGKEISMADIITELGYGCTSDIAHCKEILSLFEPLDDMGISKLLGAVVCTHVGLGEAHNTYSTFMSAVGNSQPSDSSQFTAWNIDVLVDSINEIAPRTNWITVVENLDHEGFCIPDEAAFCLLMSIYSRACKDPFPLHAVCGSLWKNTEGQLSFLKHAVAAPTDTFTFKHCSRKMVFPEFANRMQGNQAWYCLDLLEVLCQLAELGYATMVRSMLDYPLIHCPDVLLLGVSHINTPYNLLQYEVLSCVFPMILKDTTYSSLMNSLWHVNPYLTLRGFVDSHSDANCLLRTVEICQDLTILSAVLDSTPFAFSIKLATVAFRQSHSNLEKWLVEKLTAQGETFLEEIMSNTTYEAAEGAVQQPQVMILDICRESCPLIIKVLQSHSGQLLSNQLVEELRRVEAVHESRNHGVVGRDAPTSEGGPDDIEAQANIYFHQMFSGQISVDAMVQMLARFKESTNKRELSIFNCMVSNLFEEYKFFPKYPDTQLKLAAVLMGSLIKHQLVAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRLIEWPQYCNHILQISHLRATHAELVAAIERVLAKISSSQNEPNVGSMLSADQHGSSSIGNMEASEASWQLINPTPTQLERSHQQRHQGFLGERSKGSTNIIQAKNILSSGQMPLASSPGDLAVNLKAATTPSSQASPHHSTTVSAPLQPTGFLRSRSSAPSGIRQPSFTTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEAKAREFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIIEAYERGLMIAIIPFTSKVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQAPVVAEVSSGVMPTINHVEPQPEINSTSRATSLPNMLSQYAAPLRLPTNNMVEDDKAALIMPEQLIAAIPRADIYFRINEKLSSFGSLQYSKIMDMALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMEADDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRSLIQGITNNTESTEQIMLILVNDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLKRVPDALRPKPTGHLSAAQRRVYEDFITVWHSQSSQNAGGSATATAMAVAPSNSSVPRVYSPNSALTDSSSFSSHFASASQTTELVHEESDRNAHLSSLSSKIGASDTSTQVIGTTNVASVFPPMVPNDLPVGEPTTTNKDLVTSAPLSPTTAVDRMGSVFVEPLNTSDALEMYQQVSQKLDTLIAKDGKDAEIQSVIAEVPDILLRCVSRDEAALAIAQKVFRSLYDNASNSNYVTWLLAALVAIRDVCKLVVKELTSWVIYSDEDKKFNIDIIIGLIRSELINLGDYNVHLAKIIDGGRNKAATEFAISLVQTLITQESISIAEVYNVVDALSKLAIRPSSPESLQQLIEIARSFASVKDENIRQSRDKKVLSGRPLVNKEENNANDVAFTDAVGFQEKVAVSFSEWCNICDHPTMGDSAYTHYIVKLQQDGLLKGDDLTDRFYHILTELAVAHSVVSEQVVAPGGISQQPTQQLQISYFSIDSYSKLVALVVKYSSVDIGPSKGSLFNKILSVIVRIIQRDAEEKKVLTAFANAFHVLQPLRVPAWRSFMPKLLLCNAQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIPPRIMSDVDGALKSKQMKTQVDEYLKRPDGSFLTDLKQKLLLPQNEANIAGTRYNVPLVNSLVLYVGMQAVQQLQLNKMNASASAQQMNQSQLDVQIETATELFRNLVMNSDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFSEANQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWARSFTRCAPEIEKLFESVARSCGGKGGDDGVGLPDGGH >ORUFI10G19410.3 pep chromosome:OR_W1943:10:19509106:19527862:-1 gene:ORUFI10G19410 transcript:ORUFI10G19410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFNSAVAEDVRSLVQGVDDSTFDSTHRELCQLADCSPDGCSLLLQVCLDEVLLNADVAKSSRLKPELLSTVFKYCLDKPYFSTSFCEALKTVHVSDMFLVKLSNELNLSAGERVGVGLALSDSGNLGLITKGQKFSIAEIEEICANPAHVLTNDQIHDIVVFLHQTDGLSKHMDSFTNIISLLNVKEMPFYVPAPIKEGNARPTISSRHMELYTGSLDDDFDSLLSEIGKEISMADIITELGYGCTSDIAHCKEILSLFEPLDDMGISKLLGAVVCTHVGLGEAHNTYSTFMSAVGNSQPSDSSQFTAWNIDVLVDSINEIAPRTNWITVVENLDHEGFCIPDEAAFCLLMSIYSRACKDPFPLHAVCGSLWKNTEGQLSFLKHAVAAPTDTFTFKHCSRKMVFPEFANRMQGNQAWYCLDLLEVLCQLAELGYATMVRSMLDYPLIHCPDVLLLGVSHINTPYNLLQYEVLSCVFPMILKDTTYSSLMNSLWHVNPYLTLRGFVDSHSDANCLLRTVEICQDLTILSAVLDSTPFAFSIKLATVAFRQSHSNLEKWLVEKLTAQGETFLEECVKFLKEIMSNTTYEAAEGAVQQPQVLQSHSGQLLSNQLVEELRRVEAVHESRNHGVVGRDAPTSEGGPDDIEAQANIYFHQMFSGQISVDAMVQMLARFKESTNKRELSIFNCMVSNLFEEYKFFPKYPDTQLKLAAVLMGSLIKHQLVAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRLIEWPQYCNHILQISHLRATHAELVAAIERVLAKISSSQNEPNVGSMLSADQHGSSSIGNMEASEASWQLINPTPTQLERSHQQRHQGFLGERSKGSTNIIQAKNILSSGQMPLASSPGDLAVNLKAATTPSSQASPHHSTTVSAPLQPTGFLRSRSSAPSGIRQPSFTTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEAKAREFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIIEAYERGLMIAIIPFTSKVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQAPVVAEVSSGVMPTINHVEPQPEINSTSRATSLPNMLSQYAAPLRLPTNNMVEDDKAALIMPEQLIAAIPRADIYFRINEKLSSFGSLQYSKIMDMALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMEADDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRSLIQGITNNTESTEQIMLILVNDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLKRVPDALRPKPTGHLSAAQRRVYEDFITVWHSQSSQNAGGSATATAMAVAPSNSSVPRVYSPNSALTDSSSFSSHFASASQTTELVHEESDRNAHLSSLSSKIGASDTSTQVIGTTNVASVFPPMVPNDLPVGEPTTTNKDLVTSAPLSPTTAVDRMGSVFVEPLNTSDALEMYQQVSQKLDTLIAKDGKDAEIQSVIAEVPDILLRCVSRDEAALAIAQKVFRSLYDNASNSNYVTWLLAALVAIRDVCKLVVKELTSWVIYSDEDKKFNIDIIIGLIRSELINLGDYNVHLAKIIDGGRNKAATEFAISLVQTLITQESISIAEVYNVVDALSKLAIRPSSPESLQQLIEIARSFASVKDENIRQSRDKKVLSGRPLVNKEENNANDVAFTDAVGFQEKVAVSFSEWCNICDHPTMGDSAYTHYIVKLQQDGLLKGDDLTDRFYHILTELAVAHSVVSEQVVAPGGISQQPTQQLQISYFSIDSYSKLVALVVKYSSVDIGPSKGSLFNKILSVIVRIIQRDAEEKKVLTAFANAFHVLQPLRVPAWRSFMPKLLLCNAQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIPPRIMSDVDGALKSKQMKTQVDEYLKRPDGSFLTDLKQKLLLPQNEANIAGTRYNVPLVNSLVLYVGMQAVQQLQLNKMNASASAQQMNQSQLDVQIETATELFRNLVMNSDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFSEANQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWARSFTRCAPEIEKLFESVARSCGGKGGDDGVGLPDGGH >ORUFI10G19420.1 pep chromosome:OR_W1943:10:19531541:19540054:1 gene:ORUFI10G19420 transcript:ORUFI10G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMVGIGNGTTSTPMFVLQLLLWGPKPARLLWVPSSQSNVGLLRGLQYRDRCACSRPLAKKYTLELLFASSPPSVTAAAMKECVLDEYSTKHRISIDRFLQLKIFVKIAILDSVNMGGGRDILRKERSSKAWLKNSIHPWYLRYIMFQPLKESCIHSGDSNFLDLEWLST >ORUFI10G19430.1 pep chromosome:OR_W1943:10:19558761:19560992:1 gene:ORUFI10G19430 transcript:ORUFI10G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEWEMAMGVDLGMGMSTYHNASGGIAAAPMMGHHGGGGGGGGYSAAHHHHHHYYGMPHQAAMGDAMRVDDLLDLSNTPGAHDFFPASAAAAAAGDHGHHHHHHIGGMGEPSGATPSATSSDHQTSMLSFADDFYIPTEDAAELEWLSKFVDDSYSDMPNYQSSAHAAMAAAAASAANNGGGSSAGQDSCLTAAPGRGARSKRSRATAAAAAAWHSLVPRPPSQSSPSSSCSSSDFPSSNKPSGTARPNGSGGGSRGKKSPGPAGAEVGMEAGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLMPEYRPAASPTFVLTQHSNSHRKVMELRRQKELLIIRGSHRDAAAAAAAAAAAAAAGSAAATGRPELMFRDYGVC >ORUFI10G19440.1 pep chromosome:OR_W1943:10:19565179:19568282:-1 gene:ORUFI10G19440 transcript:ORUFI10G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNSADFFSATASLVFSRGFFSRAATPLRTPLTRQGIPAMKQTSGDGRLLLRLWLQLLKIRQCDVYGPDGPTQEVGESEAHTAHAPHGRLVGDTRLGKEIATCVSIHGQKFECI >ORUFI10G19450.1 pep chromosome:OR_W1943:10:19568572:19569428:1 gene:ORUFI10G19450 transcript:ORUFI10G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGARPWGLRRLGWRRALPRAPGSGFRSHSRRHRRCSRRLSGSSRQTPSAASVFALRCSPSPRSARLRRHSRRISGFSIRGNYSLLFVDLFVAGDI >ORUFI10G19450.2 pep chromosome:OR_W1943:10:19569820:19572540:1 gene:ORUFI10G19450 transcript:ORUFI10G19450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVRRHGLQVDGRRRRVDVEVHRPVLRRVEVEPPVLWGEGVAEDAERPVERHAVAVVAGVEAHLDVGRLVVVRLDEAHGDRPRPPRERALEHGTHGGRRQVADGGRRRDGVGQHDRRVRPRPSRLALEEREPADHAALRPRRERDGHGALHIVIPDAAARRHDLIERGVVEPAGDRQAEPRLVPPHGDLEVLVEGVRRVTPRLRHVPEPRQVPLQLREPRDMAPSPRVEQLLERVPRHAAVPVPVPVHHGEPEHHHHRHGGDQARRGSRAAT >ORUFI10G19450.3 pep chromosome:OR_W1943:10:19568550:19572540:1 gene:ORUFI10G19450 transcript:ORUFI10G19450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVRRHGLQVDGRRRRVDVEVHRPVLRRVEVEPPVLWGEGVAEDAERPVERHAVAVVAGVEAHLDVGRLVVVRLDEAHGDRPRPPRERALEHGTHGGRRQVADGGRRRDGVGQHDRRVRPRPSRLALEEREPADHAALRPRRERDGHGALHIVIPDAAARRHDLIERGVVEPAGDRQAEPRLVPPHGDLEVLVEGVRRVTPRLRHVPEPRQVPLQLREPRDMAPSPRVEQLLERVPRHAAVPVPVPVHHGEPEHHHHRHGGDQARRGSRAAT >ORUFI10G19460.1 pep chromosome:OR_W1943:10:19571466:19572491:-1 gene:ORUFI10G19460 transcript:ORUFI10G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLGLPVVHGHGHGHGGVAWHSFKQLLDAGRGSHVTGLAELKRYLARFGYMAKPGRDTTDAFDEHLEVAVRRYQTRFSLPVTGRLDNATLDQIMSPRCGVGDDDVERPVSVALSPGAQGGVVSRFTFFKGEPRWTRSDPPIVLSYAVSPTATVGYLPPAAVRAVFQRAFARWARTIPVGFVETDDYEAADIKVGFYAGNHGDGVPFDGPLGILGHAFSPKNGRLHLDASEHWAVDFDVDATASAIDLESVATHEIGHVLGLGHSASPRAVMYPSIKPREKKVRLTVDDVEGVQALYGSNPQFSLSSLSEQGTSSSSPRRLLAGSARLLCTVLVILVTQL >ORUFI10G19470.1 pep chromosome:OR_W1943:10:19572710:19583711:-1 gene:ORUFI10G19470 transcript:ORUFI10G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRRRGDAACLLLLAIVCILSCVAVSDARPMPPPSPPPPMLRHHGGVVSRYAFWTGKPRWTRHGRPMVLTYAVSHTDAVGYLPRDAVLAVLRSAFARWAEVIPVSFAEITTEDDAAAAAAEADIRVGFYGAGEHGDGHPFDGPLNVYAHATGPEDGRIDFDAAERWAVDLAADASPAAVDLETVATHEIGHALGLDHSTSESSVMYPYVGTRERKVRLTVDDVEGIQELYGVNPSFSFLDYFKPDHDTPRSHVARLTATQPAQAQTTMTTTTLQPRPEDNNNDSGGGPFTFFPGKPRWTRPDRVLTYAVSPTATADHLPPSAVRAALRSAFARWADVIPMRFLEAERYDAADIKVGFYLYTDGRCDGCACIDSDDDDDDGDDCEGVLAHSSMPEKSGQIHLHAAHRWTVNLAADTAPLAVDLESVAAHEIGHVLGLDHSSSRSSMMYPFISCRERKVRLTTDDVHGIQELYGANPHFSFGAYFKQDILSRIQQMKKEKKKKARGSSFWQRGLALTCALVNKDSMIGYISELYSSIKELDMMNKINYVYFLDYVGNRTVEKKDPNMHQLRESIRILTVEIKRWMRFAQIHWVELMPTLENLNPCIARYVTTINTLVLQLTGGTYTYTMFLLCGCGTGSQPTRLRSQDNNGGGGAAAFTFLWGRPRWNRPDMRLTYAVSPLATADHLPRDAVREAFRSALARWAEVTPLRFAEAARYEEADIRVGFYLHTADGKCDACGCVCKGGGEEALAHAHPPQDGRIHLHAARKWAVTNVAGAGGDAPPLAVDLESVAVHEIGHALGLGHSSSESSMMYRHYRGKVSLTDDDVKGVQELYGAKPPRVDLTADSKPKRVETIREIEQHIQQKKEIAKKKPFFWRLTVSPPPRGGGRRRGQPVANRSSIG >ORUFI10G19480.1 pep chromosome:OR_W1943:10:19584437:19585982:-1 gene:ORUFI10G19480 transcript:ORUFI10G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQVPNVQELARTCNRPDQQIPDRYIRPETGTKEVICGQGINTAIPVIDLAKLLNPQSSQEECAKLGSACQHWGFFQLVNHGVPDDVISNVRRDLTEFFRLPLEAKEVYAKPPDKYEGYGQHFVVSEKQKLDWGDLLHLRLRPTESRDLRFWPAHPSSFRNSMERYSLETAKVARCLLEFLAMDMGVDPESLLEVFRGQPQNMRVNYYPKCRQTGKVLGLSPHCDATSLTLLLHVNDVQGLQIRKDGKWLTVEALDGAFVINVGDMLEILSNGRYRSVEHRAVVHPEKERISAAVFHQACRDATVGPLPELVTKDGGRPVYKSMAYEDFMKRFFSAKLDGRANVEGMKI >ORUFI10G19490.1 pep chromosome:OR_W1943:10:19588925:19590704:-1 gene:ORUFI10G19490 transcript:ORUFI10G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARSTGSLPVANVQALAETCNDPDQQIPERYIRADANADEVISGDDCTAAIPTVDLSKLLDPLSSDEETAKLGSACQKWGFFQLINHGVSDDVIRDARKDVAEFFRLPLETKKAYSQLPNGIEGYGQAFVVSHEQKLDWADMFYLVLRPGEFRNMALWPAHPPSFRNSIDRYSSETARVARCLFEFMEKDMGVRPGSLLERFQDQPQGIRMNYYPPCREAGKVVGLSPHTDAAGLTLLLQVNDVPGLQIRAPGGRWLAVGAPPDGAFVVNVGDILEIMSNGKYRSVEHRAVVRPDRERVSAAVFHRPCQDAVVGPLPELVGEGGGDNARYTSMGYLEFMKRYYSAKLDGRNHLDGLRIKLSSSKV >ORUFI10G19500.1 pep chromosome:OR_W1943:10:19591808:19594408:1 gene:ORUFI10G19500 transcript:ORUFI10G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEQQQPPPPPPPVSPAKATFSRVFQSCAQAGREALAAGRAAHARMVVSGFVPTAFVSNCLLQMYARCAGAACARRVFDAMPRRDTVSWNTMLTAYSHAGDISTAVALFDGMPDPDVVSWNVLVSGYCQRGMFQESVDLFVEMARRGVSPDRTTFAVLLKSCSALEELSLGVQVHALAVKTGLEVDVRTGSALVDMYGKCRSLDDALCFFYGMPEKNWVSWGAAIAGCVQNEQYVRGLELFIEMQRLGLGVSQPSYASAFRSCAAMSCLNIGRQLHAHAIKNKFSSDRVVGTAIVDVYAKANSLTDARRAFFGLPNHTVETCNAMMVGLVRAGLGVEAMGLFQFMIRSSIGFDVVSLSGVFSACAETKGYFQGQQVHCLAIKSGFDVDICVNNAVLDLYGKCKALMEAYLIFQGMKQKDSVSWNAIIAALEQNGHYDDTILHFNEMLRFGMKPDDFTYGSVLKACAALRSLEYGLMVHDKVIKSGLGSDAFVASTVVDMYCKCGIIDEAQKLHDRIGRQQVVSWNAILSGFSLNKESEEAQKFFSEMLDMGLKPDHFTFATVLDTCANLATIELGKQIHGQIIKQEMLDDEYISSTLVDMYAKCGDMPDSLLVFEKAQKRDFVSWNAMICGYALHGLGVEALRMFEKMQKENVVPNHATFVAVLRACSHVGLFDDGCRYFHLMTTHYKLEPQLEHFACMVDILGRSKGPREAVKFINSMPFQADAVIWKTLLSICKIRQDVEIAELAASNVLLLDPDDSSVYILLSNVYAESGKWADVSRTRRLLKQGCLKKEPGCSWIEVQSEMHGFLVGDKAHPRSGELYEMLNDLIGEMKLSGYEPDSASFVEVDEEGSAPEHDDLLGVVGG >ORUFI10G19510.1 pep chromosome:OR_W1943:10:19596710:19598328:-1 gene:ORUFI10G19510 transcript:ORUFI10G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARSVGSLPVPNVQALAEICNGPDEHIPERYIRPEASSEEVINNYQGDMAIPIIDLKKLLSPQSSEEECVKLRSACQYWGFFLLINHGVPDEVIANLKRDIVDFFSQPLDTKKEYTQLPNSLEGYGQSFVFSEDQKLDWADMLYLHVHPSDSRDLRFWPTSPASFRQSIDAYSSETKSLALCLFEFMAKAVGAKPESLLDLFEEQPRGLRMAYYPPCRQADKVMGLSPHSDAGGLTLLLEINDVQGLQIKKDGKWLSVHAPNGAFIANIGDTLEILSNGMFRSVEHRAVINPNKERLSAALFHYPCENIVISPLPEFVKDGKVKYRSISYLDFMKQIFTQQLDGKSRVDTLKLDQ >ORUFI10G19520.1 pep chromosome:OR_W1943:10:19598476:19618823:-1 gene:ORUFI10G19520 transcript:ORUFI10G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCIPITIARARLEAAEEEEAIAGVQGEEIVALAQEGEGWLDERYPFPRDVGEVKKPDSVWGSFLRCSWSAMRLRQDRQTHLKRHTERAGRRRRISMTISSMRLAAGFRSPGRFSISQPSSTSGKMASSIFDKLQVEFIDQDESVQVVADTIGSSGEVPERYVRHEIEANIVIIDNANCYSLPVIDMSRLINPDFSEEEIAKLGSAWLLQQIKADITEFFRLPLQEKKAVAIPPNGLQGFGHHFFFPKEQKLDWADLLFLVTRPVEERSTDFWPTKPSTFRDSLDKYSLEIANVSTKLFKLMAINLGVDEEALLGVFKGQTQSVRINHYPHCCQADKVLGFSAHTDGVGLTLLLQVNDVQGLQIRKDGRWFAVKNLPGALVAMSILTNGKYKSIEHRAVINPDKGRITLAAFHKPPLSCTIGVGPLQELLMKGEARYKTVDVVEFTKGYFTAKLEGRSYLESLKLGANDTVSSSSWYSSAGTCNGSDEQIPERYTRTEATCEEVISNYHGDMVIPIIDLNKLLSPQSSEEECVKLRSACQYWGFFQLINHGVPEEVIDNFRSNIIEFFSLPLDAKKEYSQLPNSLEGYGQTFVFSEDQKLDWGDMLYLQVHPTDSRDLRFWPTYPASFRQSLDAYSSETKSLSLCLFEFLAKAVGAEPESLLGIFEEQPRGMRMNYYPPCRQADKVIGLSPHTDVVGLTLLLQVNDVQGLQIKRDGKWFSVDALSGAFIVNIGDTLEILSNGKFKSVEHRAMIHPNKERISTALFHYPRDDLLLSPLPEFVKDDKFMAKAVGAEPESLLGIFRDEPRGMRMNYYPPYQQFDKVIGLSPHTDVAGLTLLLQVNDVNGLQINKDGKWFYVDAINGAIIVNVGDTLEILSNGKFRSVQLRAVIHPNKEHLSAPLFNYAREDLMISPLPEFVKDGKVNYRSISYRDLLSQYFTSQLDGRNRLERLRTIGSISVPNVQELAATCNGTVEQIPERYIRPEASSDEVIKSNHGDMSIPIIDLDKLISPQSSQEECVKLRSACQYWGFFQLINHGVPDEVIANLKNDLVEFFGQPLDAKKEYSQLPNNLEGYGQAFVVSDNQKLDWADMLYLQVCPTDSRDLRFWPNYPASFRHSIDAYSSETENIGLCLLQFMAKAVGVEPKSLLSVFEGQARGLRMNYYPPCLKADKVLGLSPHTDPGGLTLLLQVNDVQGLQINKDGKWFSANALKGALIVNIGDTLEILSNGKFRSVEHRAVVHPSRERISAALFYYPCQDLVISPLPDFVKDGKVKYKTISYQDLLTEYFTTELDGRNRLEKMKLEPNLGMSMAGARAIGSLPVPNVQELAKTCNGPDEHIPERYIRPEASSEEVISNYHGEAIPIIDLNKLLSPQSSEEECVKLRSACQYWGFFQLINHGVPDEVIANLKRDIVDFFSQPLDAKKEYTQLPNSLEGYGQALVFSEDQKLDWADMLYLHVHPSDSRDLRFWPTSPASFRQSIDAYSSETKSLALCLFEFMAKAVGAKPESLLGIFEEQPRGLRMTYYPPCLQSDKVMGISPHSDVVGLTLLLQVNDVQGLQIKKDGKWLSVDTPNGAFIVNIGDTLEILSNGKFRSVEHRAVINPNKERISASLFHYPCENMVIRPLTEFVKDGKVNYRSISYHDFMTQFFTQQLDGKNRLEMLKRVTLDGENSKGSSDVKMEDSCKHLDT >ORUFI10G19520.2 pep chromosome:OR_W1943:10:19598476:19618823:-1 gene:ORUFI10G19520 transcript:ORUFI10G19520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERCIPITIARARLEAAEEEEAIAGVQGEEIVALAQEGEGWLDERYPFPRDVGEVKKPDSVWGSFLRCSWSAMRLRQDRQTHLKRHTERAGRRRRISMTISSMRLAAGFRSPGRFSISQPSSTSGKMASSIFDKLQVEFIDQDESVQVVADTIGSSGEVPERYVRHEIEANIVIIDNANCYSLPVIDMSRLINPDFSEEEIAKLGSAWLLQQIKADITEFFRLPLQEKKAVAIPPNGLQGFGHHFFFPKEQKLDWADLLFLVTRPVEERSTDFWPTKPSTFRDSLDKYSLEIANVSTKLFKLMAINLGVDEEALLGVFKGQTQSVRINHYPHCCQADKVLGFSAHTDGVGLTLLLQVNDVQGLQIRKDGRWFAVKNLPGALVAMSILTNGKYKSIEHRAVINPDKGRITLAAFHKPPLSCTIGVGPLQELLMKGEARYKTVDVVEFTKGYFTAKLEGRSYLESLKLGALAGTCNGSDEQIPERYTRTEATCEEVISNYHGDMVIPIIDLNKLLSPQSSEEECVKLRSACQYWGFFQLINHGVPEEVIDNFRSNIIEFFSLPLDAKKEYSQLPNSLEGYGQTFVFSEDQKLDWGDMLYLQVIYQKLCNLPSDKHSLPLVNQRSLKIRQSLDAYSSETKSLSLCLFEFLAKAVGAEPESLLGIFEEQPRGMRMNYYPPCRQADKVIGLSPHTDVVGLTLLLQVNDVQGLQIKRDGKWFSVDALSGAFIVNIGDTLEILSNGKFKSVEHRAMIHPNKERISTALFHYPRDDLLLSPLPEFVKDDKFMAKAVGAEPESLLGIFRDEPRGMRMNYYPPYQQFDKVIGLSPHTDVAGLTLLLQVNDVNGLQINKDGKWFYVDAINGAIIVNVGDTLEILSNGKFRSVQLRAVIHPNKEHLSAPLFNYAREDLMISPLPEFVKDGKVNYRSISYRDLLSQYFTSQLDGRNRLERLRTIGSISVPNVQELAATCNGTVEQIPERYIRPEASSDEVIKSNHGDMSIPIIDLDKLISPQSSQEECVKLRSACQYWGFFQLINHGVPDEVIANLKNDLVEFFGQPLDAKKEYSQLPNNLEGYGQAFVVSDNQKLDWADMLYLQVCPTDSRDLRFWPNYPASFRHSIDAYSSETENIGLCLLQFMAKAVGVEPKSLLSVFEGQARGLRMNYYPPCLKADKVLGLSPHTDPGGLTLLLQVNDVQGLQINKDGKWFSANALKGALIVNIGDTLEILSNGKFRSVEHRAVVHPSRERISAALFYYPCQDLVISPLPDFVKDGKVKYKTISYQDLLTEYFTTELDGRNRLEKMKLEPNLGMSMAGARAIGSLPVPNVQELAKTCNGPDEHIPERYIRPEASSEEVISNYHGEAIPIIDLNKLLSPQSSEEECVKLRSACQYWGFFQLINHGVPDEVIANLKRDIVDFFSQPLDAKKEYTQLPNSLEGYGQALVFSEDQKLDWADMLYLHVHPSDSRDLRFWPTSPASFRQSIDAYSSETKSLALCLFEFMAKAVGAKPESLLGIFEEQPRGLRMTYYPPCLQSDKVMGISPHSDVVGLTLLLQVNDVQGLQIKKDGKWLSVDTPNGAFIVNIGDTLEILSNGKFRSVEHRAVINPNKERISASLFHYPCENMVIRPLTEFVKDGKVNYRSISYHDFMTQFFTQQLDGKNRLEMLKRVTLDGENSKGSSDVKMEDSCKHLDT >ORUFI10G19530.1 pep chromosome:OR_W1943:10:19602448:19603086:1 gene:ORUFI10G19530 transcript:ORUFI10G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGWRGEARRGWCSTGRRTPPAEAEQRLQEIEDVVAASSVGGNGGGARKEVATKPGMQRVLAIVLMLQFFQQASDIDSVVLYGPGVLAAAGVTSNTLLLGLNVVFGVAKASSILIAMALTARVRRRPLLLASTGGMTTSLLVLGSVFAAFGGARDDAAVAAVAVAVVVAFACAFSVGIGPFAWVYSSEILPLRQRGQGASVGTAMNRVTWSP >ORUFI10G19540.1 pep chromosome:OR_W1943:10:19615820:19621003:1 gene:ORUFI10G19540 transcript:ORUFI10G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAANWPEIRAPFLNWPCDEEQQICPVQLLLLGKEKVVAKPLQAIGWDCHGLLLLQRESEEFRDVSLDLLQQPCIHPMSGPCDSDGNAPFHYAVCNPATKKWVMLPKANWASDSSYLEDHPIACLGFDPAISSHFHVLEYLEVHPECNLIFFHYGLDNNLLAYEMDRKELHVVRNLGHHTCQPVLPYVPLFSVPPEIRAPVLHWACGEEEQIDPVQLLLLGEDEVVTEPLQAIGWDRHGHLLLQRKSEELCNVILDLLQQLPIHPMVHKLQVLHISEDY >ORUFI10G19540.2 pep chromosome:OR_W1943:10:19615820:19621645:1 gene:ORUFI10G19540 transcript:ORUFI10G19540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAANWPEIRAPFLNWPCDEEQQICPVQLLLLGKEKVVAKPLQAIGWDCHGLLLLQRESEEFRDVSLDLLQQPCIHPMVFSLSHSAAAQRRGFTGGESARLPRRLLSGGALAPASSSPSDKIMLWNLTSHGKSELVKQSIYSPECGRLCRPEIRAPVLHWACGEEEQIDPVQLLLLGEDEVVTEPLQAIGWDRHGHLLLQRKSEELCNVILDLLQQLPIHPMVHKLQVLHISEDY >ORUFI10G19550.1 pep chromosome:OR_W1943:10:19619713:19621361:-1 gene:ORUFI10G19550 transcript:ORUFI10G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFDKLKVEFIDQDESVQVVADTIGSSGEIPERYVRPEMEADPVIIDTDGYNLLVIDMSRLINPEFSEEEIAKLGSACEDWGFFQLVNHGVDGELLQQIKDDITEFFRLPLQEKMSVAIPPNGLQGFGHHFVFSKEQKLDWVDLLFLTTRPVEDRSTDFWPTKPPTFRDSLDKYSLEIANVSAKLFKFMAINLGVDEEALLAAFKPEQPQSVRINHYPPCSQADKVLGLSPHTDGVGMTLLLQVNDVQGLQIRKDGRWFAVKNLPGALVVNVGDVLEILTNGKYKSIEHRAVINPDKERITLAAFQSVPLSGTVGPLQELLMKGEACYKTVDGAEFTKGYFAAKLEGRRYLESLKLGV >ORUFI10G19560.1 pep chromosome:OR_W1943:10:19622887:19634146:-1 gene:ORUFI10G19560 transcript:ORUFI10G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGAGDLHSSQNFQLAGEDNNKFPAGLRQFPLPKLDIDDQLQSHLRFDNLIDSEGLFSGQGHGNSWIEVLSTGSSVVDFSSSAAESCSINRTNNVWSEATSTESVEMLLKSVGENEMTGNMDENAHHQISGMDSKTDPSNMPSKTSDSPTGNIIVPAENDKSQSTRSEMAEDPSRIQPQLEHIRPFSVDDKAEQAVGSTLSDRKSNYTLESVSERCIVSGRSSSPKNTSESCPDVGSYFEVVHDDDSLDNLNIQSDGVGSRKLNNEPFSDLAPLQNIYTTSSYHFEQDNQESGVGVTTQGSEICHTNENKDGLHDLQNLSCTSQHLGSSNLTSEVSNEALLSGSSDGLLEAITNPVKMLHRSDDTSKRASATLQSSFLQVEHASEGTKGSIDRSSEPTMKKFGASEEPNSAKSQGEPDLKNSSPHLVIPLPTISGEFIQSPKGKQLAHVAGVSEETKYDRVDDTNHSTSDDSKLAMLEQLQDSVDNLSSGVMEEKTIRGQISAVSGNVVHVVKSGHCEKVAVSTSTTDDKFESPGDIVPDNSSACLPDEKDPSITVNHEVSFKEGVVPALEDDPEKMSSMNHEEPLKEDDKSTLEVGEHNTTSPVSEPMLMGSTGSVNPNIDTICSSGTDAVAETPQCEEQATSSGSLTTNATQDKLGDHPDACPPKVLTTGPLMQPKDHEDLVAPSSVLGASSEKGEQNNGKVPLNGMDDSDKVLSHGGDRTLVTVSSENKNGLEHGTGDGSCTDATCGSPTVISCNESCPEEDEQGSNALLHHKQTEPPKDPKDHTAATNNSHVSKEGSSRNVKPTLTSEETHTAEDKSFSFEVGAPLNITEKAHAPAWSPLPRSEVAQSPEVTTGIPKPGNPSNHGSDESKNLAIVETSKEQLSGRKVVGSAEGSSVSSHIGHITKAKSTPLEQEQQHPTPDVNALGHQPFSDLQHVQLRAQIFVYGALIQGMPPAESYMVSAFGEPACGRKPPWGALLQAALERYNSQRSSLTGLETPTSSHIGSRVTEKASRSTAVKTAPASKKGGKTVLPAHTAVPLHLPTLNMSPLGSSALSLPRGTHLDFGQAVSPVFPYSSQTRQPTSGVASWFPQSPGGRAAPWLVQPQNLIFDSSMKPPVPASANETAKGASSKNISISQAVSPVAFPPNQAPSTISPLAVIPEEKQKASVSTSKRGATPQKSRKRKKAPASPEQPIIAPLLKTDIASVTPATQHTPGFTLSTHSPSNILASGLVSNTGLVTPVPNYQITGIKDAEQRIFSEQISGAIEQSMGQAKGAGVHAMDAVRHAEGIWGHLSTNSKGKLPAEVEEKLTSAAAAASAAVSVAKAAAEAAKMASEAALQAKMMAEEVLSSTYANSSQKHDAGEFKVSNNLASFSSLTPTSSWKTKDDISKGSIISVAREVARKRVEEAAAAAKRAENLDAILKAAELAAEAVFKAGTIIGMGEPLPFTLSELLEAGPDGYWKSDQVRNKKATKLEVPTDFSKSGRKRGGKAKHDHAIQNLEPSSSGKGLQLDVVHSGNVAEDVPTIAPVNGNRNDAAPNIIWNGIEKGSAVEVLVHKGESGVAWFSAKVLDINNDSACISYDSHTEETGLRKEWVPLRQEGEKAPQIRLAHPATVSRLKGTRKRRRDTSGNYSWAIGDHVDVLIEDSWREGIISRNRDGDETKLTVQFSAGTSDSLVVDAWNLRPSLVWNDGQWIEWSRGKTVDCNKGDSPHEKRQRTKGNDHVPIGGAAAGPSMDTSTNAAAKPEEPKPLALSDRDMVFNIGKRVVESKTDGVAFKRPGLRKEGSRVVGVPKPGKKKKFMEVSKHYDADQADKISEGNASTRPVKHLVPNVPRPREGTSKVDQKGKRIGEMRSRVPKSTKSQDGATNIIPGKGPLSVSAPSTGVFESSHTFAGSTIGSSNNMNLSVEKNSSVHGVGLRSEDSSVSEPHIQAASAAPTSRKNLTTTDRAKRKHVPSMDNSNRTTNKTSEIPGKSADSTEPRRSNRRIQPTSRLLEGLQSSLIVSKVPGEKGPRTNYRSASSRGDSSFSCL >ORUFI10G19570.1 pep chromosome:OR_W1943:10:19638254:19642314:-1 gene:ORUFI10G19570 transcript:ORUFI10G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAMEGTVQGGGGGGGGAQEPRGQYGGGGKNGGDASPRKHAAAAAAAALPRHRRSKSASSDRSLEPCKHAALHDQRCTQAAQATPSSHHQPDATTRKSHAAAAAAAAAEGSSIHHVLTRDRSRASASPNHRVSLENDIRQLQLNLHQERSIRIMLDRAIGRASSTLSPGHMHFPAQTKELIAEIELLEEEIANREQHVLTLYRSIFDQSASGASSGQSSGLSSPAHTKSISSRTRRHPSIISSAFCSSKKLPLQPFQIMTSVSESGRSKSMLKTKIKHESFSSETLDIHPATFPPDPRKLPYSGSSSLTRTLKDHLYQCPSKISEEMVRCMASIYCLLRTECPENPEKVRSPFLSRSSTNVILPRRGNGEDTNLSNTKCTVEVSSISADKNHMPDVSYAITHYRLLVEQLERVDLSMSETNIKLAFWINVYNSLVMHAYLAYGIPNSSLKRMALFHKAAYNIGGHAVTANSIEHALLCCRSPRIGRWFESILSTAMRKKCADEKQLVQLKFGLPDCQPLALFALCTGASSDPMLKVYTAKNISEELERAKREFLQASVVVRKSKKVFLPRLVERYAREAGLAGADELLAWARDNADARATQDAIQRLCADAGGGGRRKAAQAVEWLPYNARFRYAFPRTMVDKPLF >ORUFI10G19580.1 pep chromosome:OR_W1943:10:19645885:19648674:1 gene:ORUFI10G19580 transcript:ORUFI10G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNILCQSWRRGAYALQEGNHPGALHACWSRFHSGQMLSSSRSFFGVEDFMDEDNSKPYTYKKEKRSKNPHKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRTTCRQVAVAGTNSKDIKAALKSRSDIPACLAVGRFLAERAKEADVYTCTYTPRERDKFEGKIRAVVQSLIDNGINVKVYLD >ORUFI10G19590.1 pep chromosome:OR_W1943:10:19646683:19656749:-1 gene:ORUFI10G19590 transcript:ORUFI10G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCLNPCGKLVMAGNNGRSDHRVFRYSMGSNGVCGGGIAAAAASSSSISMPKVSAISLGFQALSMAILATRRLEGGRHTQSTRRGVWSRHAEEGRKNKGDTRLTGYEKAPSLLFGSKGRVLPIEPHKITLCQVLRMLTNDNDCHQALPARCEIYSNKHEVPQDGCLLEEHKPLSSTTGTGCSSASLEPDWIRGWARGGRGPCVERETSAAATHHRRSHWDGTGRWSDSKDGGGVRRR >ORUFI10G19590.2 pep chromosome:OR_W1943:10:19646683:19656749:-1 gene:ORUFI10G19590 transcript:ORUFI10G19590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCLNPYEENRRKNKGWRELLIDYMLQMAGNNGRSDHRVFRYSMGSNGVCGGGIAAAAASSSSISMPKVSAISLGFQALSMAILATRRLEGGRHTQSTRRGVWSRHAEEGRKNKGDTRLTGYEKAPSLLFGSKGRVLPIEPHKITLCQVLRMLTNDNDCHQALPARCEIYSNKHEVPQDGCLLEEHKPLSSTTGTGCSSASLEPDWIRGWARGGRGPCVERETSAAATHHRRSHWDGTGRWSDSKDGGGVRRR >ORUFI10G19600.1 pep chromosome:OR_W1943:10:19654751:19660136:1 gene:ORUFI10G19600 transcript:ORUFI10G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERAWKPREIADTFGIEMDEEEAAAAAIPPPQTPLEPMEYLSRSWSVSASEISKILFNGSKKSFAAKRLPEMTIPENSVVAASIVPSHLQHIDTRRNSISSHHLPIGRWFQHKEASRVKQSSKEKLRAEKAHVHAMVSVARVAAAVAAVTAATTSSDIQTSKMAAAMVSATELLASHCVEIAQHAGARHEQVACAIQSAVGVRSSGDLMTLTAAAATALRGAATMKQRVQREMRSNASVLPYEKGHSWSPDIWCKEGELLKRTRKGDLHKTRVSIYINKRSQVILKLKSKHIGGALSKKNKSVVFGVYNELPTWVEAGKHFTEERCCFGLSTAQGLVEFECENSTSKQRWVDDVKNLLRQVAAEEQVENKLGSVKLS >ORUFI10G19610.1 pep chromosome:OR_W1943:10:19662276:19663763:1 gene:ORUFI10G19610 transcript:ORUFI10G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRNRFATRMLNLHRLVKSNLVAGLLRRRGHRPLDAPPPRIRVLIDGNEATVVDVDRLLLKPSSPSSATALFPPFFLLAVEAGGFVRGLVLLALYPALRLLTAEGARLKAMAMVCFLGLRRGEAARAGRAVLPRYFFREAADVEALMEAAASARKEVITVVAAASRLFPTVMVETFLKEYVGFDAVVGREVSFAGVMDDDDGDDMERFRDVVNKTPRNGAGPRPLIFHDGRLAFTPTPAAALAMYVYLPLAVALSVLRIAIFTLLPRGVSGAAAALAGVRLRVAGAPRPPAAGDAAGGRLYACNHRTLLDAVAVSGALGRPVSSVTYSLGRLSELLSPIPLLPLAREREEDRRCMASLLSRGDVVVCPEGTTCREPYLLRFSPLFAELADEVNPVAVRAAAGMFYGTSTSPSAKCFDSVFFLMNPSPEYGVHFLEPVATAGAGAGSSIEVANRVQRVIAGALGYEATTLTRKAKYLLLAGNEGGVATNRSNNK >ORUFI10G19620.1 pep chromosome:OR_W1943:10:19665276:19668372:-1 gene:ORUFI10G19620 transcript:ORUFI10G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEAGLGHPSRYVQLTRDQDAPADEDIRPGELNLPAHFPQLEQRRCCECGQQLPESYEAPADEPWTTGICGCAEDTESCWTGSFFPCVLFGHNVEALREDIPWTTPCTCHAVCVEGGIALAILTVIFPGIDPSTSILIGEGLVFSWWLFATYTGIFRQQLQRKYHLKDSPCDPCLVHCCLHWCANCQEHRERKGRLADNANRNTIVNPPPMQEMSVVGNHPSITPENGAA >ORUFI10G19630.1 pep chromosome:OR_W1943:10:19668879:19670120:-1 gene:ORUFI10G19630 transcript:ORUFI10G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSNPIHSLLRPSPRCRCRRWRCGGPRVAKTREWHWSGDSGVRARAKAATAACVQGPERRQRRRSRPPWKVGRREEENGPRETAASSPSSASPPSAPPPHYPPLRSTPSLPATTHPPLPFSRRAHERIRAVRLATGLTSASSRRWQHPTVASSAPAATALKVGGAARAGEAVVPLMDAVSVPSRPTTTRPHGSWEASVSSILLLSNKFAWMMARPRRRGTRGAGALRASSAPRRRRSSSRPCRRAKGREEREGEED >ORUFI10G19640.1 pep chromosome:OR_W1943:10:19671453:19673751:-1 gene:ORUFI10G19640 transcript:ORUFI10G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASGAACGVCGGGVGECGCLLHQRRGGGGGGGGGGVRCGIAADLNRGFPAIFQGVGVEETAVEGDGGAQPAAGLQEFQFFGHDDHDSVAWLFNDPAPPGGTDHQLHRQTAPMAVGNGAAAAQQRQAFDAYAQYQPGHGLTFDVPLTRGEAAAAVLEASLGLGGAGAGGGNPATSSSTIMSFCGSTFTDAVSSIPKDHAAAAAVVANGGLSGGGGDPAMDREAKVMRYKEKRKRRRYEKQIRYASRKAYAEMRPRVKGRFAKVPDGELDGATPPPPSSAAGGGYEPGRLDLGWFRS >ORUFI10G19650.1 pep chromosome:OR_W1943:10:19681584:19684956:-1 gene:ORUFI10G19650 transcript:ORUFI10G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNLPCVRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKPEEEEDIPSMDTLDIGKTEGINSIPSYFSAGKKAEEEEDIPDMDTYEDTGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISKTMRGRRLWPQSYPLLNMTTLWTSIWAVQADDLLIEDAH >ORUFI10G19650.2 pep chromosome:OR_W1943:10:19681584:19684744:-1 gene:ORUFI10G19650 transcript:ORUFI10G19650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNLPCVRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKPEEEEDIPSMDTLDIGKTEGINSIPSYFSAGKKAEEEEDIPDMDTYEDTGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISKTMRGRRLWPQSYPLLNMTTLWTSIWAVQADDLLIEDAH >ORUFI10G19650.3 pep chromosome:OR_W1943:10:19681584:19684744:-1 gene:ORUFI10G19650 transcript:ORUFI10G19650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNLPCVRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKPEEEEDIPSMDTLDIGKTEGINSIPSYFSAGKKAEEEEDIPDMDTYEDTGNDSVKSLKMTTSFVLGHMTYDKYYQTPRVWLTGYDESRMPLKPELVFEDISKTMRGRRLWPQSYPLLNMTTLWTSIWAVQADDLLIEDAH >ORUFI10G19650.4 pep chromosome:OR_W1943:10:19682576:19684744:-1 gene:ORUFI10G19650 transcript:ORUFI10G19650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNLPCVRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKPEEEEDIPSMDTLDIGKTEGINSIPSYFSAGKKAEEEEDIPDMDTYEDTGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISKTMRGRRN >ORUFI10G19650.5 pep chromosome:OR_W1943:10:19681584:19682344:-1 gene:ORUFI10G19650 transcript:ORUFI10G19650.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKWIVLPQVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDNLWPQSYPLLNMTTLWTSIWAVQADDLLIEDAH >ORUFI10G19660.1 pep chromosome:OR_W1943:10:19685094:19695044:1 gene:ORUFI10G19660 transcript:ORUFI10G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPETTEAAALRGAILAASTAGAAGRAVSSVADYLRRHVGDHPRAFFADALPSLLFRVFVASPDSPSFIDLAAGDPALAELLASLLAPSGPLLAAVSAADRHALLRFVFPPERLPDWLRLALSSATAASSSSSSSSDEVISPLLAGRVDSELHLSVFEYYLFWFAYYPISAATAKATGMAAARAPKIPPSISEQSLKSLGRIESWMSTLGSSAGRNLGQKLESSLYLKLLYSYLKEFVPSGCVPPRNMGGTLLHRTVNDGIDAAESFRRAEFFVHTLIQFWLVGDDFSPLAVQTCRAYGLPLLSLQSHANATLVERPPAPGLGDAVKLFVMYMNRINASVDIDAPNVFEGISSWREACNSPVGYWNPLIQRPLYRFLLRTFLFCPMGVEIKNVAQVFSAWIVYMEPWKAQKDDLDAYDLPPPGCHNVHRVTEGKRQVSEAVYSPEWENFVLSNYLFYSSLVVHFLGFAHKFIHSDVSSVLQMVSKVLEVLASSTELLGLIYSVDATYHHRFFGSASCYLDHVLKYVPSIREQLQDWEYGLSESDADGSFLHERRNFNLRLFSFDEEGAYNLLQLLLLRAESEIQRLPGDAMQSLQTLDLIKSQMKKIFREHIESSQPMNLVERECSQHHGRGEVFAPKHPRPWKHSLANVNWMTRPISDSEVAWLASLLIRFSAWLNEILRLDRDDSDAIPTGPTNIKFDGNELNGVGGPKDAARMVFTGACSLLVLVGQSILHFMRTHSIRINLRILASKKLLTAVMLYALFTVARNALS >ORUFI10G19660.2 pep chromosome:OR_W1943:10:19685094:19690185:1 gene:ORUFI10G19660 transcript:ORUFI10G19660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPETTEAAALRGAILAASTAGAAGRAVSSVADYLRRHVGDHPRAFFADALPSLLFRVFVASPDSPSFIDLAAGDPALAELLASLLAPSGPLLAAVSAADRHALLRFVFPPERLPDWLRLALSSATAASSSSSSSSDEVISPLLAGRVDSELHLSVFEYYLFWFAYYPISAATAKATGMAAARAPKIPPSISEQSLKSLGRIESWMSTLGSSAGRNLGQKLESSLYLKLLYSYLKEFVPSGCVPPRNMGGTLLHRTVNDGIDAAESFRRAEFFVHTLIQFWLVGDDFSPLAVQTCRAYGLPLLSLQSHANATLVERPPAPGLGDAVKLFVMYMNRINASVDIDAPNVFEGISSWREACNSPVGYWNPLIQRPLYRFLLRTFLFCPMGVEIKNVAQVFSAWIVYMEPWKAQKDDLDAYDLPPPGCHNVHRVTEGKRQVSEAVYSPEWENFVLSNYLFYSSLVVHFLGFAHKFIHSDVSSVLQMVSKVLEVLASSTELLGLIYSVDATYHHRFFGSASCYLDHVLKYVPSIREQLQDWEYGLSESDADGSFLHERRNFNLRLFSFDEEGAYNLLQLLLLRAESEIQRLPGDAMQSLQTLDLIKSQMKKIFREHIESSQPMNLVERECSQHHGRGEVFAPKHPRPWKHSLANVNWMTRPISDSEVAWLASLLIRFSAWLNEILRLDRDDSDAIPTGPTNIKFDGNELNGVGGPKDAARMVFTGACSLLVLVGQSILHFMRTHSIRINLRILASKKLLTAVMLYALFTVARNALS >ORUFI10G19670.1 pep chromosome:OR_W1943:10:19701132:19701863:-1 gene:ORUFI10G19670 transcript:ORUFI10G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSFGSEPTTSSSGGEAPASPPSTASSSSDGAGGKKKRPRKDGHHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFPTADMAARAHDVAALAIKGRAAHLNFPDLAGVLPRAASASPKDVQAAAALAAAFTTSPSSSPSSSPSADDVAPCVVHADADEQPAAAAKNDDDDGSTTAPVAAAEAAAAADEQQLFDLPDLLFDIQDGPFGFPAMWAPLADVDEVNAELRLEEPLLWDLGVTDA >ORUFI10G19680.1 pep chromosome:OR_W1943:10:19706723:19710639:1 gene:ORUFI10G19680 transcript:ORUFI10G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALARLASRSLLRATRPIALAPPPAPPETFPCPGPWDRAAVEIRLDRATLHQWLAEGGEGSGQEEKVDEKLILFSGNDYMGLSSHPAIRHAAVKAAEEYGMGPRGSALICGYTTYHKMVEESLAELKKKEDCLLCPTGFSANMAVMTALGNISSLLAAGRKPAEDERIAIFSDALNHASIIDGIRLVERQQEVVSFVYKHCDMSHLELLLLFSMDGDFAPLPELVKLRRKYGFLLVIDDAHGTLVCGENGGGAPELFECENEIDISVGTLSKAAGCQGGFIACSTRWKRLIQSRGRSFIFSTALPVPVVASVYAALYVSREERWRRSVIWRHVQYFASLTKLNITSPIISIVVGSEKAALRAGRDMLRSGFHVTPIRPPTVPPNSCRLRITLSASHSSDDIKRLVDALTPWLPHKHDIQIYAVASKL >ORUFI10G19680.2 pep chromosome:OR_W1943:10:19706723:19710639:1 gene:ORUFI10G19680 transcript:ORUFI10G19680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALARLASRSLLRATRPIALAPPPAPPETFPCPGPWDRAAVEIRLDRATLHQWLAEGGEGSGQEEKVDEKLILFSGNDYMGLSSHPAIRHAAVKAAEEYGMGPRGSALICGYTTYHKMVEESLAELKKKEDCLLCPTGFSANMAVMTALGNISSLLAAGRKPAEDERIAIFSDALNHASIIDGIRLVERQQEVVSFVYKHCDMSHLELLLLFSMDGDFAPLPELVKLRRKYGFLLVIDDAHGTLVCGENGGGAPELFECENEIDISVGTLSKAAGCQGGFIACSTRWKRLIQSRGRSFIFSTALPVPVVASVEERWRRSVIWRHVQYFASLTKLNITSPIISIVVGSEKAALRAGRDMLRSGFHVTPIRPPTVPPNSCRLRITLSASHSSDDIKRLVDALTPWLPHKHDIQIYAVASKL >ORUFI10G19690.1 pep chromosome:OR_W1943:10:19714034:19714976:-1 gene:ORUFI10G19690 transcript:ORUFI10G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAVALMMFHTMERELFWRLVGEHGQQPGPMRWVIALWLWLESVGHHDFVRRVAVLPAPVVLRFVDEALACLARLPRRRGGAGGAERRLAALAAAGDADPALRFLPCTNALLAEPVEGLAYFDAHRDEVMEGVSDVYRNVCRVIFDDGVAAAVAAAAXGLAMQQEQPPPPPPQPHQQHGYIPLPEDYRSLFITFSRGYPIRQDDIINFFNSLYGPCVESVMVEKAAAGQLPVYGRVVLRCPSMIPVVLDGQQTAKYMIKGRHLWARIYVPSSKPN >ORUFI10G19700.1 pep chromosome:OR_W1943:10:19718378:19722770:1 gene:ORUFI10G19700 transcript:ORUFI10G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKAIRVHKIGGPEVLTWEEVEIGEPSEGEIRIKNKAIGVNYVDIYYRTGLHQEPLPFVPGKEAVGVVSAVGPGVTGIEVGDVVGYADTPMGTYTEEQIIPATLAIPIPPSVDHITAASVLLKGMTTYVLVKQAFKIQAGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSTQEKAIQAAEDGCHHVIIYTEEDFVAQVAEITSRKGVHVVYDAVGKDTFKGSMECLMPRGCMISYGQCSGRPDPVPVSDLASKSLILGRPGMRHYTATRDELLHAAGEVFAGVAAGVLRVRVNHVYPLHEAARAHADLEARRTSGSVANEMEVIIILINLMLACHFKR >ORUFI10G19710.1 pep chromosome:OR_W1943:10:19723339:19725219:-1 gene:ORUFI10G19710 transcript:ORUFI10G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAVDSGQTGGPWGGAALLTDPIRFAGVGGGGGEMDLPASRGRWRKRSARSHAPLLVAVLVLLIPASLLLSSAYSSLLRSILPFSGFGGGGGGGGRRCGRSPELEGERFLWYAPHSGFSNQVGELRNAAVAAALLNRTLVVPPVLDHHAVVLGSCPKFRVADASDLRAAFWDHSMQLLRERRYVSMGDIIDLSPIKATVRTIDFRVFVSLWCGVDMRKTCFSGLCCAVSGGGSLPGDYDRCRSMLSGLGGSENGCVYPVQDDCRTTVWTYQENNDGALDSFQPDEDLKKRKKISYVRRRKDMYKALGPGSEAEDASLLAFGTLFSGPYKGSESYFDIHESPKDRRLQTILEKVEFLPFAPEIIATGKEFARKKIKEPFLCAQLRLLDGQFKNHWKATFSALKEKLKAVALEMKKTQGSGPIHMFMMTDLPPANWSKTYLADIAKDGRYKLHTLKESDELVAQTAERLMAAEHGVRSGFIPKNIANTRKDCDPVQLPEILLYVEESVCSCASLGFVGTAGSTIAGSIETMRKNNVCQL >ORUFI10G19720.1 pep chromosome:OR_W1943:10:19725522:19729068:1 gene:ORUFI10G19720 transcript:ORUFI10G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAFVESGGGGGGGGGGGYGGRVTAYVVLTCVVAGSGGILFGYDLGISGGVTSMDSFLKRFFPDVYQKKQDTRVSHYCAFDSELLTMFTSSLYIAGLVATLFASSVTRRYGRRTSMLIGGTVFIAGSVFGGAAVNVFMLLINRILLGIGLGFTNQSIPLYLSEMAPPRYRGAINNGFELCISLGILFANVLNYCVVKITAGWGWRISLSMAAVPAAFLTIGAVFLPETPSFIIERDGDTDKARILLQRLRGTTSVQKELDDLVAASNLSRTVQYPFRNIFKRKYRPQLVIALLVPFFNQLTGINVMNFYAPVMFRTIGLKESASLLSSVVNRLCATFANIMAMIVVDRFGRRKLFLVGGIQMILSQLAVGAILAAEFKDYGSMDREYAYLVLITMCVFVAGFAWSWGPLTFLVPTEICPLEIRSAGQSIVVAVVFLMTFVIGQTFLAVLCRIKSGTFFFFAGWICLMTVFVYFFLPETKKLPMEQMEQVWRKHWFWKKIVGEEEEKQAEKTALPSM >ORUFI10G19730.1 pep chromosome:OR_W1943:10:19729974:19737967:-1 gene:ORUFI10G19730 transcript:ORUFI10G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPGRRPPAAGSAPQRNPTGGRVMGVFVRGWRLVLRAFAGGEDGGAALGERGDASSGISDLGLWKMDLSDDVYQLLSEVKHMNLMMETVATAHSEYANMEWLPLPAGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQSNMTRRKRRSSLFDMVPDESMDLPPLPGGQEPETQVLNQPALPPPREEEEVDSMESDTSAVAESSSASAIMPDNLQSTYPVIVPAYFSPFLQFSVPFWQNQKDEDGPVQETHEIVKPVPVHSKSPINVDELVGMSKLSIGESNQETVSTSLSLNLVGGQNRQSAFHANPPTRAQA >ORUFI10G19730.2 pep chromosome:OR_W1943:10:19729972:19737808:-1 gene:ORUFI10G19730 transcript:ORUFI10G19730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFVRGWRLVLRAFAGGEDGGAALGERGDASSGISDLGLWKMDLSDDVYQLLSEVKHMNLMMETVATAHSEYANMEWLPLPAGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQSNMTRRKRRSSLFDMVPDESMDLPPLPGGQEPETQVLNQPALPPPREEEEVDSMESDTSAVAESSSASAIMPDNLQSTYPVIVPAYFSPFLQFSVPFWQNQKDEDGPVQETHEIVKPVPVHSKSPINVDELVGMSKLSIGESNQETVSTSLSLNLVGGQNRQSAFHANPPTRAQA >ORUFI10G19730.3 pep chromosome:OR_W1943:10:19729974:19737967:-1 gene:ORUFI10G19730 transcript:ORUFI10G19730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPGRRPPAAGSAPQRNPTGGRVMGVFVRGWRLVLRAFAGGEDGGAALGERGDASSGISDLGLWKMDLSDDVYQLLSEGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQSNMTRRKRRSSLFDMVPDESMDLPPLPGGQEPETQVLNQPALPPPREEEEVDSMESDTSAVAESSSASAIMPDNLQSTYPVIVPAYFSPFLQFSVPFWQNQKDEDGPVQETHEIVKPVPVHSKSPINVDELVGMSKLSIGESNQETVSTSLSLNLVGGQNRQSAFHANPPTRAQA >ORUFI10G19730.4 pep chromosome:OR_W1943:10:19729974:19732731:-1 gene:ORUFI10G19730 transcript:ORUFI10G19730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSPKFVGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQSNMTRRKRRSSLFDMVPDESMDLPPLPGGQEPETQVLNQPALPPPREEEEVDSMESDTSAVAESSSASAIMPDNLQSTYPVIVPAYFSPFLQFSVPFWQNQKDEDGPVQETHEIVKPVPVHSKSPINVDELVGMSKLSIGESNQETVSTSLSLNLVGGQNRQSAFHANPPTRAQA >ORUFI10G19730.5 pep chromosome:OR_W1943:10:19729974:19731962:-1 gene:ORUFI10G19730 transcript:ORUFI10G19730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVELFNLILCLCKDAWGHSLLATRVPAGHILCAMFLIKMTLTGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQSNMTRRKRRSSLFDMVPDESMDLPPLPGGQEPETQVLNQPALPPPREEEEVDSMESDTSAVAESSSASAIMPDNLQSTYPVIVPAYFSPFLQFSVPFWQNQKDEDGPVQETHEIVKPVPVHSKSPINVDELVGMSKLSIGESNQETVSTSLSLNLVGGQNRQSAFHANPPTRAQA >ORUFI10G19740.1 pep chromosome:OR_W1943:10:19738194:19743029:1 gene:ORUFI10G19740 transcript:ORUFI10G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQDKVENNDPTVTVGLAVSSSKSSKYAVQWAVKNFCTNGMVRFVLIHVLQRITTVPTPMGNYIPIDKVRADIASAYEKEVECKARTMLLFYKNMCDEKAKAEVLVVKGEDVAETISNVVSMYEIHKLVVGDSSQGNFIRKSKGTRTSSQICRSVPSFCAVYVVSKGGLSAVYSPGFEGHKSSELFLSSDSSKTEIHSDDKPSLSDATPSRSFRSNLTWENLESLSSADHDRPRSLHEYLTESTSASVGDNNSNSPCASGQTPRPSNVLISDKAPMTSSPLQELMLSEDMDDVNSELEKLRLELRHIKGVCKLVQDESINASQHVTDLAAKHAEEEARLSEVYSRINRVNEQAHQEKEQLNALKAQCRHVRDLARKEALQKQILQLRTSKEADKMQRLEKLLELDGMSYSTFTWEDIESATLSFSEALKIGSGSNGTVYKGNLRQTSVAIKVLTSDDSHRIKHFKQELEVLGKIRHPHLLLLIGACLDRPCLVYEYMENGSLEDRLQLKGGTAPLPWYQRLRIAWEIALALVYLHSSKPKPIIHRDLKPANILLDSNFTSKIGDVGLSTLLPLGDALSTTRTIFKDTDLVGTLFYMDPEYQRTGQVSTKSDTYALGMVLLQLLTGKPPVGLADLVEQAVENGHLVDILDKSAGKWPAQEAHELAQLGLSCLEMRSKHRPDLKCKVLVELERLKKIASAVSDPVRPVISGPPSHFICPILKRIMQDPCIASDGYSYDRVAIEMWLCENDMSPITKSRLPNKDLVPNHALLCAITSWKAEARD >ORUFI10G19760.1 pep chromosome:OR_W1943:10:19753965:19755582:1 gene:ORUFI10G19760 transcript:ORUFI10G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPPPRQPPPSVPHLHFHQAQERTHHASVEKWRELRGINGDDGSWEERRAVWRARARAWAWAQPRRRRWCSRRRAAAAAPWCRPAAAAAAVRLERRRSLLLVFLRSSGEVGDDGVPRRHRDAVPAGDRREPCAGGRDDHDDGEGAQLQRGRRGARRVLPQQHALQPQRQARRTICRHRRRRRRQRRRGLRRRIPQEAPPLQGPSRRPRGHLQRAQHPQSQAEGGAGEAAESEAAAGGGVVPEQEGEDEAEADGGGLRAAQALLRDAHRREPPPPPRAPGAPRPQARHRRRRAAPPLRRPRPAAHHPHHVPLLRARRLRSHHHPQQLRRRPRAAGAHPPVAAGGGAEVVGVETPLLIN >ORUFI10G19770.1 pep chromosome:OR_W1943:10:19762907:19766713:-1 gene:ORUFI10G19770 transcript:ORUFI10G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLGDPRPRPRPRPVTLPHLSVEVGPTCQTEGSRAAGYRVDLGGVEEVEGRGRCGSRSFRVIPAEEEGGVVMRVAFDAKRAAVGVGARMLFYPTLVYNVVRNRFEPHFHWWDQVDEHVLLGAVPFPSDVLRLKELGVCGVVTLNESYERLVPRCLYEAHGIENLVLPTRDYLYAPSFENLCRAADFIHRNALCGKLTYVHCKAGRGRSTTVVLCYLVQYKQMTPAEAYEHVRLRRPRVLLASAQRQAVEQFYQLRVKKSGKSICLDSPIMKPPLFLATRNLIAFDEKTFVMVSKSDLEGYDADTLAVNVGSGLWEISLVYRVQFASQAAFAGFSYLWVRCRAPRKNKEALPVPESNNSVGSESCSLEAEQLAKPHPCLLQGVMVNP >ORUFI10G19770.2 pep chromosome:OR_W1943:10:19762907:19766243:-1 gene:ORUFI10G19770 transcript:ORUFI10G19770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEELPGDSGGGGGDGGGGGGGGALLQLRRGAAAAAVEEEGGVVMRVAFDAKRAAVGVGARMLFYPTLVYNVVRNRFEPHFHWWDQVDEHVLLGAVPFPSDVLRLKELGVCGVVTLNESYERLVPRCLYEAHGIENLVLPTRDYLYAPSFENLCRAADFIHRNALCGKLTYVHCKAGRGRSTTVVLCYLVQYKQMTPAEAYEHVRLRRPRVLLASAQRQAVEQFYQLRVKKSGKSICLDSPIMKPPLFLATRNLIAFDEKTFVMVSKSDLEGYDADTLAVNVGSGLWEISLVYRVQFASQAAFAGFSYLWVRCRAPRKNKEALPVPESNNSVGSESCSLEAEQLAKPHPCLLQGVMVNP >ORUFI10G19780.1 pep chromosome:OR_W1943:10:19768639:19770114:-1 gene:ORUFI10G19780 transcript:ORUFI10G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQASLLQSFPFRAAVFAACVLLLPLVPSPQAPAAGGDGGGGGGRGEAFLAKVWELLHLLVVGIAVSYGLFSRRNDAGRRGDEKDAAAQAKADAAGYVSQMIHDSLVFDDGGGDVALDSPGGNRVRSWSAMHHPDEPVVVVATGGAGGGRSHAVEAAQQAPPLSLPVRTLKPQGESSSSAGYGDGGEPWAARPRRISQDTPGGGGGGHETVLPSPIPWRSRSGRFDASAPSPPSPSPKRLSPASSLSKETLAKASEDYSSRRRSPYKSSPPAPPPPPPPFLVHGYHPPAAERRTAAKSFKEELQEQTSHSFTTSEFSRSSSNSSSAKPRISIDSSSSSSSYYPVAKSVRTIRGGRESLQSQSQEQPDVAVAGDAPALLHGSDSDDPYGGYRAYQSIPRFQYERGSSDPILGNVTVSSESSDDDDSDVDGDGELSTRGNSPRRESSPEVDENEVDKKAEEFIARFREQIRLQRIESIKKSAGPRGVKHGK >ORUFI10G19790.1 pep chromosome:OR_W1943:10:19776527:19778023:-1 gene:ORUFI10G19790 transcript:ORUFI10G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHEEAAERKPSPPVIFRLFGVEVRGGGGGVDEEEYEEEEVEGGLFIKKSSSMPNLTSIDPLPVPADGGKRRASDDSELASGQQKRRRRKVQERKKGVPWTEEEHKKFLEGLRQLGKGDWRGISKNFVTSRTATQVASHAQKYFLRQTNPGKKKRRASLFDVVAECSDDQLPSPQSVGTKPPTQDIIHTDRGDVPILSYPVARGFRGDSVQVDELTEYVKRLKAAEDMSLSMISGLEMASSSISSLELSIAPPHCAIEAAIKVL >ORUFI10G19800.1 pep chromosome:OR_W1943:10:19782012:19783616:1 gene:ORUFI10G19800 transcript:ORUFI10G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVTATPRHARPSPLNPNARAAAAAAAAAPPNAVSTTRTHLANLDRLLVRPPPLPLPLQNKEAPPADDLGDGGGAATTDDRSGRCGLLNALNLSTFLPFVRKPAVDEMSPRSLAHLQRLLTLSPRPSPKGSIAGEWRRYHGEGGWDGLLDPLDQNLRREVLRYGDFVQAAYTAFHSMPSSSSAAASQHSQHRTLVLPDRSYRPTRSLFATSSLSIPAWARRRSAPGWLTQRSSFVGYVAVCDNEGEVQRMGRRDIAIVLRGTATCPEWAENLRAGLVPVDDDDDDDVGSPQNAPKVAKGFLSLYKTAGDHVPSLSDAIVDEVRRLVEVFEGEELSITVVGHSLGASLAVLAADELSACLSADVAEHRRRPPPIAVVSFGGPKTGNRAFADRLQNGRGVNVLRVVNAGDVVTRVPAPAMAREGEGHVHAGAELRLDSRDSPCLRPDAGPACCHDLEAYLHLLDGFAGSGRPFRADASRSVARLLTYQRPNVRGAYVERARVLGFEPATPRTATANGAGGGAEGHYGYLASPT >ORUFI10G19810.1 pep chromosome:OR_W1943:10:19787427:19793839:1 gene:ORUFI10G19810 transcript:ORUFI10G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSRSLHAKPPRSHGHHARSRSQLPTAISAPNQDFQFQFQLLPKVFQFHMDVGGGGGGGGEGKSSEKKVLAQLEQVRLSIASSEDEEDGDAPPRSSFSGASHPPEPVDEMDTVFVAVDGRDKAAKPVIVWDASPPQSGAASPHSSIDSSGAAATVTSIAPSCTVTSLSAKTSVSSSAASDGSGWSNSTAGAGSAAGGGFGGKPHKGGDPRWKAILAARARDGPLAMGNFRLLRRLGCGDIGTVYLSELSNVAVGGGGGAARAWFAMKVMDKASLESRRKLSRAQTEREILQLLDHPFLPTLYAHFETDRFACLVMEFCPGGDLHALRQRQPGKHFPEHAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVMSSSLGSDPKRGNNAQSCAAQPAACIQPTCFMPKLFGKKPKSSQPRQRYHHQQQQQLAAAALPEVVVEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGVFLHELMYGRTPFKGQTNRATLFNVVGQQLRFPDHPPTSNAGRDLIRGLLAKEPQGRLGVKRGAAEIKQHPFFDGVNWALIRCSTPPGVPRAVEPVAVAAAVPATVMSKPPPVDTVEMAIHSNCNSTNSSKRMAGPPEVESGGKYLDFEFF >ORUFI10G19810.2 pep chromosome:OR_W1943:10:19787427:19793839:1 gene:ORUFI10G19810 transcript:ORUFI10G19810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSRSLHAKPPRSHGHHARSRSQLPTAISAPNQDFQFQFQLLPKVFQFHMDVGGGGGGGGEGKSSEKKVLAQLEQVRLSIASSEDEEDGDAPPRSSFSGASHPPEPVDEMDTVFVAVDGRDKAAKPVIVWDASPPQSGAASPHSSIDSSGAAATVTSIAPSCTVTSLSAKTSVSSSAASDGSGWSNSTAGAGSAAGGGFGGKPHKGGDPRWKAILAARARDGPLAMGNFRLLRRLGCGDIGTVYLSELSNVAVGGGGGAARAWFAMKVMDKASLESRRKLSRAQTEREILQLLDHPFLPTLYAHFETDRFACLVMEFCPGGDLHALRQRQPGKHFPEHAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVMSSSLGSDPKRGNNAQSCAAQPAACIQPTCFMPKLFGKKPKSSQPRQRYHHQQQQQLAAAALPEVVVEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGVFLHELMYGRTPFKGQTNRATLFNVVGQQLRFPDHPPTSNAGRDLIRGLLAKEPQGRLGVKRGAAEIKQHPFFDGVNWALIRCSTPPGVPRAVEPVAVAAAVPATVMSKPPPVDTVEMAIHSNCNSTNSSKRMAGPPEVESGGKYLDFEFF >ORUFI10G19810.3 pep chromosome:OR_W1943:10:19787362:19793839:1 gene:ORUFI10G19810 transcript:ORUFI10G19810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSRSLHAKPPRSHGHHARSRSQLPTAISAPNQDFQFQFQLLPKVFQFHMDVGGGGGGGGEGKSSEKKVLAQLEQVRLSIASSEDEEDGDAPPRSSFSGASHPPEPVDEMDTVFVAVDGRDKAAKPVIVWDASPPQSGAASPHSSIDSSGAAATVTSIAPSCTVTSLSAKTSVSSSAASDGSGWSNSTAGAGSAAGGGFGGKPHKGGDPRWKAILAARARDGPLAMGNFRLLRRLGCGDIGTVYLSELSNVAVGGGGGAARAWFAMKVMDKASLESRRKLSRAQTEREILQLLDHPFLPTLYAHFETDRFACLVMEFCPGGDLHALRQRQPGKHFPEHAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVMSSSLGSDPKRGNNAQSCAAQPAACIQPTCFMPKLFGKKPKSSQPRQRYHHQQQQQLAAAALPEVVVEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGVFLHELMYGRTPFKGQTNRATLFNVVGQQLRFPDHPPTSNAGRDLIRGLLAKEPQGRLGVKRGAAEIKQHPFFDGVNWALIRCSTPPGVPRAVEPVAVAAAVPATVMSKPPPVDTVEMAIHSNCNSTNSSKRMAGPPEVESGGKYLDFEFF >ORUFI10G19820.1 pep chromosome:OR_W1943:10:19793587:19794347:-1 gene:ORUFI10G19820 transcript:ORUFI10G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVEDDMVEWYRCGGGGAAAAVEVEVRELRVELEVERRMRRKAEAVSEVLAVELEEERRRRGAAEAECRRMRGEVGEMRAEVERALEEVDDERRMLRVAELWREERVQMKLADAKAAMEEALREIAAASAATAIADDDNSRAAAASSPTSQQISQATSGGQPQLQHRREVAGGGENPHIARGIKGFVEFPRAVRVRLPREERVDLVSNLECQRAQLRALSRHRNPPAGVGLAAAAAAASHNLVL >ORUFI10G19830.1 pep chromosome:OR_W1943:10:19794431:19794616:-1 gene:ORUFI10G19830 transcript:ORUFI10G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATRWRLEPLMPTKIFHPDLAKKLHGVGEKSKWWWWWWWRWRRQAPGIFPLRAPIMAHYH >ORUFI10G19840.1 pep chromosome:OR_W1943:10:19799016:19803877:-1 gene:ORUFI10G19840 transcript:ORUFI10G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISNGRGIIRCREEGDDGESERALDRIGEEGKRARALASRVGGDGVHGVEGGAGGHGAAVQGAAAAHEGGGGVAAAAGVGARRLPPLPPPHRRRALPVLSRSTQGHPSLAVSHHTAPVLLTTAAPALAPTPTPPPPSSTASSLLPPPTPLLPKHQQAPPPPPPTQSHQPPPPVAVRAPRGGPRRLKVPHILSDSSVASPARSSFRKPVVGTPSSSSAWDWENFYPPSPPDSEFFDRRKADLEEANRLRELEEEEKARGYLHPHHLKEEDEVDDDDDEREEEMHCGGWEDDDDHYASTTTSETRSEEGEMGNRSECGFAARSEYGGTAPSEYAAAPLPLPLRRRDERSEAGDSSSTVTAAAEMRMVIRHRTLAEIVAAIEEYFVKAAEAGNGVSELLEASRAQLDRNFRQLKKTVYHSNSLLSSLSSTWTSKPPLAVRYKLDTNALEMESMEGKSHGSTLERLLAWEKKLYQEVKARESVKIEHEKKLSTLQSLEYRGRDSTKLDKTKASINKLQSLIIVTSQAATTTSSAIVRVRDNELAPQLVELCFALLSMWRSMNHFHEIQNEIVQQVRGLVDNSMAESTSDLHRLATRDLEAAVSAWHSNFNRLIKYQRDYIRALYGWLKLTLFQVDSNIPQEAYTSLISRELTTFCDEWKQALDRLPDASASEAIKSFVNVVHVIYTKQAEEMKIKKRTETYSKELEKKTNSLRAIEKKYYQSYSMVGLGLPGSGRDGIESHSFDARDPLAEKKTEIAQCRRKVEDEMTRHAKAVEVTRSMTLNNIQTGLPGMFQAIAGFSGTVVEALDVVCRRAGSVR >ORUFI10G19850.1 pep chromosome:OR_W1943:10:19816876:19818437:1 gene:ORUFI10G19850 transcript:ORUFI10G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDD >ORUFI10G19860.1 pep chromosome:OR_W1943:10:19819702:19826163:1 gene:ORUFI10G19860 transcript:ORUFI10G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYALRGAALVGVLLLVVASPALVPVASAVPFIVLHGIGDQCENGGMASFTEMLGEWSGSKGYCIEIGRGAWDSWLMPLQEQADTVCKKVKKMKELRKGYSIVGLSQGNLIGRAVIEYCDGGPPVKNFISIGGPHAGTASVPLCGSGIVCVLIDALIKLEIYSNYVQAHLAPSGYLKIPTDMTDYLKGCKFLPKLNNEIPSERNATYKQRFSSLENLVLIMFEDDAVLIPRETAWFGYYPDGAFSPVQPPQKTKLYTEDWIGLKALEEAGRVKFVSVPGGHLSISRSDTKKYIVPYLKPDGSSRFGIRRILSD >ORUFI10G19870.1 pep chromosome:OR_W1943:10:19830529:19838701:-1 gene:ORUFI10G19870 transcript:ORUFI10G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVRRRLCKDGGKGKDVAADEERELVSCSSSSRRRGGLGVAVAARGGGGGGSGSCVVDWRTLPDDTVLQLFGRLNYRDRASMAAACRTWRDLGASPCLWSALDLRAHRCDAEVVADGCRGLTDATLAVLAARHESLESLQIGPDPLERISSDALRQVAFCCSRLRRLRLSGLRDADEDAIGALARYCPLLEDVAFLDCGSVDEAAIAGILSLRFLSVAGCHNLKWATASTSWAQLPSLVAVDVSRTDVSPSAISRLISHSKTLKLICTLNCKSVEEEQAHNPGAFSNSKGKLVLTITSHIFKSVVSLFPDKVVKENEVFNECNWKGKDNALGDMMSWLEWILSQTLLRIAESNPQGMDDFWLQQGADMLLSLVKSSQEDVQERAATTLATFVVIDDESANVDAARSEAVMRVGGIPMLLDLARCSRESAQSEAAKAIANLSVNAKVAKAVADEGGITILTNLARSMNRLVAEEAAGGLWNLSVGEEHKVVTPWMLNTLIAMSDVLLAAIAAAGGIKALVDLILRWPAGTDGVLERAAGALANLAADDKCSMEVAKAGGVHALVMLARSCKLEGVLEQAARALANLAAHGDNNNNNAAVGQEAGALEALVQLTSSQNEGVRQEAAGALWNLSFDDRNREGIAAAGGVEALVSLAQECLNASEGLQERAAGALWGLSVSEANSMAIGQEGGVAPLLTLAQSDVEDVHETAAGALWNLAFYSGNALCIVEEGGVPILVRLCSSSGSKMARFMSALALAYMFDGRMDEVALVGTSSEGSSKSVNVEGARRMALKHIQTFVLTFSDPQVFTTASTSSASAALSQIADAVFIQEAGHLRCSGAEIARFVAMLRNPASILRACAAFALLQFTIPGGRHAVHHAGLLQKAGAARVLRAAAAATTASIEAKVFARIVLRNLEHHQTGTST >ORUFI10G19880.1 pep chromosome:OR_W1943:10:19839692:19844303:-1 gene:ORUFI10G19880 transcript:ORUFI10G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTEAYKQTGPCCFSPDGRYLAVAVDYRLVVRDVVSLKVVQLFSCVDKISFLDWAPDSEYILCGLYKRPMVQAWSLSQPDWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACIHVQWPKHASRGVSFTKDGKFAAICTRRDCKDYVNLLSCHSWEIMSVFAVDTVDLAGVEWSPDDSAIVVWDSLLEYKVLIYSPDGRCLFKYLAYESGLGVKTVAWSPCGQFLAVGSYDQAVRTLNHLTWKTFAEFSHAASIRNPTNAAIFKEVDDPWQLDMSELCLSEGFSRNMQGNGAENGTEGGSRVKYAVMDAPITLPSQKPVTDKPNPKQGIGMLSWSSDSHYFFTRNDNMPTALWIWDICRLDLAAVLVQKDPIRAAAWDPNCPRLVFCTESPHLYMWTPSGACCVNVPLPNFRVVDLKWNSDGTCLLLKDRDSFCCAAIVSPLPEEEEADQSDVTSEDE >ORUFI10G19890.1 pep chromosome:OR_W1943:10:19846568:19849678:1 gene:ORUFI10G19890 transcript:ORUFI10G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRERRLPPPAYRMENPFSVKVLQVFTGFGVGCGVGIGVGRPIYLGVIPGLNQVMTATRGATDAFSGVTRHVNSALRKSGLKNIEAGIGCGVGIGHGFGIGIALKPQELMSKFTSRLKDTPTLSSAPNMAGSVPSNGQAPNGVSIDLKAKTTKSNFHHTSNETSQVKPAPGLQSQHGMQPDMTESRTEKVVANFLQSPLFQDETKMDIRDVARNSHGMDNVLELLLKHQRIIDELRDENDKLRQMLIEELKVSPSKLQLDHKNGVKAYNPCSDCFDCRRRSRKTRR >ORUFI10G19900.1 pep chromosome:OR_W1943:10:19850208:19855921:1 gene:ORUFI10G19900 transcript:ORUFI10G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKAAGSSEIERAWHLLTVVIRLGRPAAASDVARFATADDVERLCRIPGSPLRLSGGVVAASETAFVAFLRYVGLDVPPPRVSPRAPDDVMRWLRRRVPVTYERKRKASDAGRFVARKRLLAAPDADLPEHELRQSQQLIVQSCAPVATGEVHQEATQELQDRLPSLNIFTAQRSFEVSIGSNVFSDIEISMPSLPSKIDQFIGGNDGSVLVSMASALVPKEVTDMSGCINIFHATVDRESTRIGEPEGSASLCCSRVEDREELEKESTLLTMAVGLAVGKKNGIEQDLNLRPSSPRNCSTKATDDMETFDVISKEAEALQYCSPNAQYPQKILTCGQDSDALVVNAHVAIHENKIEDITFQPPEGTKTEAIVHEMVHETMGSLCQPSSNTKVEHAVLPLQAPTYGCISNENLNIAAENRASTHQNHVEPSTQNEVAVRLSKKEQDRKIMKQRDKGKKKEALPKEDKDQVAAKVQKGHTEPKPLPNFKNFEIEEEEGSGGYGTVYRARRKSDGRLFAIKCPHANAHSHHVYNEQKMLERFGGKNFVIKYECSLRSGDLECFVLEHVEHDRPENLRKEIGLFDLRWYGFCLFKALASLHKQGIVHRDVKPGNFLFSRKLAKGYLIDFNLANDLHQKFFRNSKSETISRGKDTISQPALKSTPVVQAKEPVADSKQLLGSKRKRSNRSPVGSAPKNDNKSRHGIQAADVSGVTSAKDPTSTKTSLDRLMQPMPYKGRKELMNFLHDAMQSPDKNTSTAPVSQRKRVAAPLGNVDQKLFILTPMPLCSGGSAIAGSGMLNSKGNGKHRREGPCVGTKGFRAPEVLFRSFHQGCKVDVWSAGVTLLYFIIGKSPFGGDPEQNIKEIAKLRGSEELWEVAKLHNCESSYPSDLFDAKSLRSVDLREWCAANTRRPEFFKSIPDSLFDLVDKCLSVNPRCRITSEDALMHDFFAPCHDLIRQHRLARRPAPSNNLPCLPQDKSVKANESKRSSSTVPTTVNSVS >ORUFI10G19910.1 pep chromosome:OR_W1943:10:19857134:19859970:1 gene:ORUFI10G19910 transcript:ORUFI10G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEKRPRREGKRSNHTRHQGTNQPRVEASGPRRHKSRSGKEKPPSMPPLLASTSSTSPLLLASRLRGGGGCGCGGAPLLHRTRRGFLAPSTTTTQTTRTSFAAMSWLGKLGLGGLGGSPRASAASAALAQGPDEDRPAAGNEFAQFGAGCFWGVELAFQRVPGVTRTEVGYSQGNLHDPTYEDVCTGATYHNEVVRVHYDVSACKFDDLLDVFWARHDPTTPNRQGNDVGTQYRSGIYYYTPEQEKAARESLEKQQKLLNRTIVTEILPAKRFYRAEEYHQQYLAKGGRFGFRQSAEKGCNDPIRCYG >ORUFI10G19920.1 pep chromosome:OR_W1943:10:19860645:19862780:-1 gene:ORUFI10G19920 transcript:ORUFI10G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQTFIMIKPDGVQRGLIGEVIGRFEKKGFYLKAMKLINVEKSFAEKHYADLSSKPFFGGLVEYIVSGPVVAMVWEGKQVVSTGRKLVGATNPLAAEPGTIRGDFAVDIGRNVIHGSDSVENARKEIALWFPEGIAEWRSNQHPWIYEV >ORUFI10G19930.1 pep chromosome:OR_W1943:10:19864325:19864954:-1 gene:ORUFI10G19930 transcript:ORUFI10G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAGAIRRAACAAADRACAAARGARRALARFAPRPSAFGAAADAEAAAVRAVRNLRTFRFHYAALQWALLLASLAPRHRASMLFLMAASKGLLLYGGLLRVFPNSALLRRLLDRRLVALVFVALVLADLAAAGAIANLLAALAVGVPVIVLHASFRVRDDLEGPSLPSPAAENGEEETAAVVEKKEDGDVEAGPTRRSMAAAPRSPK >ORUFI10G19940.1 pep chromosome:OR_W1943:10:19869306:19873452:1 gene:ORUFI10G19940 transcript:ORUFI10G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMLLMLGKNITCLHAPRRDEQIRVAERNDAAAAAAAVGEEAAAVSAFQGLTKPAISIGGYLERIFRFANCSPSCYVVAYIYLDRFLRRRPALAVDSFNVHRLLITSVLTAVKFVDDICYNNAYFARVGGISLMEMNYLEVDFLFGIAFDLNVTPAAFASYCAVLQSEMTYLEQPPAVDLPRLHCCPSDQDDAGCHHKQQQQQQQQQHQLAV >ORUFI10G19950.1 pep chromosome:OR_W1943:10:19876884:19880493:1 gene:ORUFI10G19950 transcript:ORUFI10G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAAAAAAAEFGDPDSPPAPAAEEAEAAAAVGEEAVPAAEAAAEKRWPGWPGDSVFRLVVPVLKVGSIIGRKGELIKRLVEETKAKVRVLEGPVGATERIVLVSGKEDPALELPPAMDALMRVFKRVSGITDGAAEGTQAATAPGVCAARLLVPGAQAINLIGKQGASIKAIQEGTGATIRVISIDERERPFYVIEDERIVEIQGETEKVLKALQAVSNHLRKFLVDHSVLPLFEKTNATVTQDRSTDAWTDISHPSIVSAQINQPPPVVDEYILPMKRDPLFLEREPLIDHNIHRSGVSLYGRDPALSTLRTSGIHGGGPGGPLLSQITQTMQIPLTYAEDIIGVKGANIAYIRANSGAVVTIQESLGSPDDITVEMKGTSSQVQAAYQLIQDSLAAHRDSVRSSYAGLDPVYRPSYSQYGSSTYPSSSLPSYSSMDGGGYSSSGLGGYGSSYRY >ORUFI10G19960.1 pep chromosome:OR_W1943:10:19881805:19883427:-1 gene:ORUFI10G19960 transcript:ORUFI10G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFSHAWIALNPEAMPAPDPNDARQRFLLELEFIQCLANPTYIHYLAQNRYFEDEAFIGYLKYLKYWQRPEYIKYIMYPHCLFFLELLQNANFRNAMAHPASKEVAHRQQYFFWKNYRNNRLKHILPRPPPEPTPAPAPAPAPATVPPAAPVPSTVVPPVAAPSSSLPPMSAAGASAMSPMQFAGTPGTNIPKNDMRNVMGGQGGRKRKKDG >ORUFI10G19970.1 pep chromosome:OR_W1943:10:19886536:19887555:1 gene:ORUFI10G19970 transcript:ORUFI10G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRAVPPLPRWAAPPTTTTTAPPRDKPRGGXPAAAAVSSGGAGGSGRRLPPPCWTHEETLALIEAYRDRWEGLRKGNLRASDWDDVAGAVTARCGRFPTATHKSGVQCRHKIEKLRKRYRAERARAAGRSKGPKWPFFPLLHDLAGGGAPDPSPNPIIKIKSKGPAAAAASPSPASPSPVSSPSSEEDEEEEAAADAGRSRSLHGLISNGGSGSGLRFTIPKASRSKPVAQREQPTAIKVEKSEEDAEAEAMAEVASALRAVGDKFLRMEERRLEISLQIEKERMESEMKRTQTLLDAQQLFVEAFLGKQQQHHHHHKKAKVISAAAAAATAAMDED >ORUFI10G19980.1 pep chromosome:OR_W1943:10:19890972:19891382:-1 gene:ORUFI10G19980 transcript:ORUFI10G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPGKAVILLQGRFAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFLKLVNFTHLMPTRYTLDVDLKEVAAGPDALATRDKKVAACKSAKARLEDRFKTGKNRWFFTKLRF >ORUFI10G19990.1 pep chromosome:OR_W1943:10:19892079:19895586:-1 gene:ORUFI10G19990 transcript:ORUFI10G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTVGHAAIVHATTRLEDARSTGRRRRRRGMITVRAAAAATSGWEPGSWRARPARQIPEYPDAAALEGAERELASFPPLVFAGEARKLEERLGDAAMGRAFLLQGGDCAESFKEFAANNIRDTFRLMLQMAVVLTFGGQMPTIKVGRMAGQFAKPRSNPTETIDGVTLPSYRGDIINSDGFDEKSRAPDPERLIRAYSQSASTLNLLRGFAHGGYADLQRVTQWNLDFLRDSTQGDRYMELSERVHDAIGFMVAAGLTPQHPIMTTAEFWTSHECLHLPYEQALTRVDSISGLYYDCSAHMLWVGERTRQLDGAHVEFLRGISNPLGVKVSDKLEPSELVKLCEILNPHNKPGRLTIITRMGAENTRVKLPHMIRAVRQAGLIVTWCELRAFFDVHEQEGSYPGGIHLEMTGQNVTECIGGSKTVTLDDLSSRYRTHCDPRLNASQSLELAFAIADRLRKKRDRAWNRLVYRAVA >ORUFI10G20000.1 pep chromosome:OR_W1943:10:19897125:19899577:-1 gene:ORUFI10G20000 transcript:ORUFI10G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYEALKELGAGNFGVARLVRDKRSKELVAVKYIERGKKIDENVQREIINHRSLRHPNIIRFKEVCLTPTHLAIVMEYAAGGELFEQICTAGRFSEDEARYFFQQLISGVSYCHSLEICHRDLKLENTLLDGSPTPRVKICDFGYSKSALLHSKPKSTVGTPAYIAPEVADVWSCGVTLYVMLVGSYPFEDPGDPRNFRKTISRILGVQYSIPDYVRVSSDCRRLLSQIFVADPSKRITIPEIKKHTWFLKNLPKEISEREKADYKDTDAAPPTQAVEEIMRIIQEAKVPGDMAAADPALLAELAELKSDDEEEAADEYDTY >ORUFI10G20000.2 pep chromosome:OR_W1943:10:19897125:19899577:-1 gene:ORUFI10G20000 transcript:ORUFI10G20000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYEALKELGAGNFGVARLVRDKRSKELVAVKYIERGKKIDENVQREIINHRSLRHPNIIRFKEVCLTPTHLAIVMEYAAGGELFEQICTAGRFSEDEARYFFQQLISGVSYCHSLEICHRDLKLENTLLDGSPTPRVKICDFGYSKSALLHSKPKSTVGTPAYIAPEVLSRKEYDGKANVWSCGVTLYVMLVGSYPFEDPGDPRNFRKTISRILGVQYSIPDYVRVSSDCRRLLSQIFVADPSKRITIPEIKKHTWFLKNLPKEISEREKADYKDTDAAPPTQAVEEIMRIIQEAKVPGDMAAADPALLAELAELKSDDEEEAADEYDTY >ORUFI10G20010.1 pep chromosome:OR_W1943:10:19900856:19904846:1 gene:ORUFI10G20010 transcript:ORUFI10G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSTARRPRPGYEDPVGLASETAFSVSEVEALFELFKSISGSVIDDGLINKVKQMLIALLGESEMRLSDEIIETILDKTFSDADTNQDGRIDRTEWENFVSRNPSLLKIMTLPYLKDITTTFPSFVFNSEVDDLVT >ORUFI10G20020.1 pep chromosome:OR_W1943:10:19905266:19910283:1 gene:ORUFI10G20020 transcript:ORUFI10G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAYRDRGFGGAAAEMDRKRIKDVLEKHLDRSSPSTSRGAAVAKERDRLAAAGGKLPAPLGKAGKVSDGAEEFETDSEDSDVSGSEGEDTSWISWFCSLRGNEFFCEIDDDYIQDDFNLCGLSNQVPYYDYALDLILDIESSNGDVFTEEQNELIESSAEMLYGLIHARYILTSKGLAAMLEKFKNYDFGRCPRVYCCGQPCLPAGQSDIPRSSTVKIYCPKCEDLHYPRSKYQGNIDGAYFGTTFPHLFLMTYPHLKPQKPSQQYVPKVFGFKLHKQS >ORUFI10G20020.2 pep chromosome:OR_W1943:10:19905303:19910283:1 gene:ORUFI10G20020 transcript:ORUFI10G20020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAYRDRGFGGAAAEMDRKRIKDVLEKHLDRSSPSTSRGAAVAKERDRLAAAGGKLPAPLGKAGKVSDGAEEFETDSEDSDVSGSEGEDTSWISWFCSLRGNEFFCEIDDDYIQDDFNLCGLSNQVPYYDYALDLILDIESSNGDVFTEEQNELIESSAEMLYGLIHARYILTSKGLAAMLEKFKNYDFGRCPRVYCCGQPCLPAGQSDIPRSSTVKIYCPKCEDLHYPRSKYQGNIDGAYFGTTFPHLFLMTYPHLKPQKPSQQYVPKVFGFKLHKQS >ORUFI10G20030.1 pep chromosome:OR_W1943:10:19912482:19916683:1 gene:ORUFI10G20030 transcript:ORUFI10G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHHHLPVVAPAAASPDAAAADPPPSSDPNHLGPPPALRIHIPSSPHHALPSTPHKRPVMTSSSASSTPTRPSPSPSPFAPPRHRRKVAPAAASPAPAAAAASARLLLRCLHLRLRLLLLLSLPTLYFLSPSPAILPRSLLADFLSAAAFSCALLLLLCLSLPRLPFPLPFPLPLRRPRRSPILWSIGSSPSASASAPTTGHFVQVYSNGDVYEGQFNRGRCTGSGVYYYYMSGRYEGDWIDGKYDGYGVETWARGSRYRGQYRQGLRHGHGVYRFYTGDVYAGEWSNGQSHGYGVHTCEDGSRYIGEFKRGVKHGLGHYHFRNGDTYAGEYFADRMHGFGVYSFANGHRYEGAWHEGRRQGLGMYTFRNGETQAGHWQNGVLDTLSTQNIIPGSPIAVNHSKVLNAVQEARRAAERAYDVPRVDDKVNRAVASANKAANAARVAAVKAAQKRMPNNGNDLPLSVV >ORUFI10G20040.1 pep chromosome:OR_W1943:10:19917148:19920573:1 gene:ORUFI10G20040 transcript:ORUFI10G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLIDCSGCRTPLQLPHGAPCIRCAICGAVTYVAAAAPPPAHGDPARGAAGPGAVAPQHQAPGWGPPPPPAHGRKRAVICGISYKFSRHELKGCINDAKCMRHLLTTRFHFPDDSIIMLTEEQTDPYKIPTKHNIRMAMYWLVQGCQPGDSLVFHYSGHGAQQRNYSGDEVDGMDETLCPLDFETQGMIVDDEINTALVRPLTPGVKLHALIDACHSGTALDLPFLCRMNRSGQYVWEDHRPRSGVWKGTSGGECISFSGCDDDQTSADTSALSKITSTGAMTFCFIQAIERGQGTTYGSILTSMRSTIRSTGDSMGSGGGAVTSLITMLLTGGSVSSGGLKQDPQLTANEPFDVYAKPFSL >ORUFI10G20050.1 pep chromosome:OR_W1943:10:19920897:19923864:-1 gene:ORUFI10G20050 transcript:ORUFI10G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRSSTSFLSPLDPSSKREDAPPCCVVPMPAPGSGGRLRLARAAPVEHATMEEMASPEAATLLHHGGGGGQGQRRGKGTAVYVMLPLETVGAGGKVARARALAASLAALRSGGVEGVMVDVWWGVVEREGPRRYDWEGYGELVRMVERAGLRLQMVMSFHQCGGNVGDSCNIPLPGWVLEEMKSNPDIVYTDRSGRRNPEYISLGCDTLPVLKGRTPIQVYSDYMRSFRDTFCGYLGNTIVEIQVGLGPCGELRYPSYPEANGTWRFPGIGEFQCYDKYMRASLQQAAAAAGHEEWGRGGPHDAGEYKQFPEETGFFRRDGTWCTEYGDFFLGWYSGMLLEHGDRVLAAAEAVFRGTGAALSAKVAGIHWHYRTRSHAAELTAGYYNTRRRDGYAPVAAMLARRGAVLNFTCMEMRDEQQPEHAGCSPEQLVRQVRSAARAARVGLAGENALERYDEAAFAQVVATAASAGLGAFTYLRMNKKLFDGDNWRQFVSFVRAMADGGERAALPSCDTEQSDLYVGFLEKRAAPEAEAAAAAAIV >ORUFI10G20060.1 pep chromosome:OR_W1943:10:19929721:19961277:-1 gene:ORUFI10G20060 transcript:ORUFI10G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVAFLLQKYLGNYVRGLSKEALKISVWRGDVELTNMQLKPEALNSLKLPVRVKAGFLGSVKLKVPWSRLGQEPVLVYLDRIFILAEPATQVEGCSEDAVQEAKRSRVREMEMKLLESQQQLKSELNSSWLGSFISTVIGNIKLSISNIHIRYEDTESNPGHPFAAGLALSKLSAVTVDDRGKETFATGGDLDRVKKSVELESLALYFDSDSSPWSVDKSWEDLLPSEWSQIFEFRKQDSSNPPSKTHTYILRPISGKAKYTKIQLDEAKKTGQALQNAAVDLDDVTLSLSKDGYRDVLKMADNFSSFNQRLKYAHYRPSLPVKSDPRSWWKYAYKVVVHETKKASGNLSWEQLLKNARLRKTYVSVYASLLKSDMSRLVVDDNEDIKKLDRELDIEVILQWRMLAHKFVEQSAETHQYAQQNKQQSWWSFGWTGSSKDEGDSKSFSDEDWERLNRIIGYKENDDYIPVQQDMKLMQFYFEIRMKHNASKLIIDNSEYLADLSCEDFCCNLKMYPEAKIFDLKLGSYKLLSPYGLLAESASVTDSLIGIFSYKPFDEQLDWSFTAKASPCYITYLKDSIDQIVAFFKSSPTISQTLAIETAAAVQMTLDEVKRTAQQQMSRVLKDQSRFSLNLDIAAPKITVPTKFRPDDIHETKLLLDLGNLILRTEEIWDSRASEEQDMYLNFNLVLSDVSAFLVDGDYHWNERSNEVNLLSVIDKCGIALKLQQKVHYTLLQEWQYGFHPWVFISLQHGVGNREATWQRSLRNKQVHQVPMELTSGVQNILALHDSGQILEDTGALIMLFDNEEARRIWQNRLQGAIYRASGSAALSSFPEVAFPSETHSFKGSFQDVSIEKLFVAGILDELKICFSCGYEGGNLLIGTILRSLEIEDQYNYPGSPVPRYLARSFINSVQTNEVPTPSRKNSAGPRGTALKKSDSEERFFEASDDFDEFETPMLHERSISDYFSTQNFLPTSLPSLQPPAFSRIPGLLPDIELQSAGFTSGDITFESFVKAQIVIYDQQSPQYNNLDNRVVISVATLTFFCHRPTVIAIMEFMNAINLANVPDADKNKDTTSDPVEHNMVEEPKSDLEAGPVIKRLLAKGKSRTVFHLTSSMAEAQILLMNENGDRLATLSQNNLSTDIKVFTSSFSIEAALGNLKISDDSLSCNHPYFWVCDMRNPGGSSFVEIDFSSYNVDDEDYSGYDYSLSAQLSEVRIVYLNRFVQEIINYFMGLVPKSSDSVVKLKDNVTNSEKWVSKTDMEGSPALKLDVSFSRPIIVMPRETNSSDFLELDVLYIKIQNKFQWIGGDKNEMSAVHLEILTVTVKDINLTIGMNMVCGETIIQDVEGLSFEIHRSLRDLMHQLPAVEASIKVDVLKAALSNREYEIISECALSNFSETPHVVPALDDPRYGTTTAESHVSSSSSSESIQDLSQDAETWITNKISVSINLVELSLHSGSTRDSPMASMQASGAWLLYKSNTREESFLFATLKGFSVFDDREGTKDELRLAIGKSATVRDTSSADGYDNPNELDSGERRIQKDLGLEPIPSMLIFDAILRKSSSTVSVCVQRPKFLVALDFLLAIVEFFVPSARSLLSNDEDKDLLHMTSPLVFSDQVYYQERSTMSISPQKPLIVDNEKFDYYIYDGKGGKIYLRDREGKILSGPSAERFIHVLCGKGLQFRNVTIVNGEYLDSCISLGSNCWYSASENDHVYLVSENEGLLSTHSEEITEDVVKNISANRSTEFIIEIQAIGPELTFYSTSRSSGENLALSTKGDSMEMSGNILGLKMESNGIRVIEPFDMSVKYSNASGKTNLHLLVSEIYMNFSFSILRLFLAVEEEISAFLRMSSKKMSLVVRAIKNDSPTKGVLALNTNIVRVKRPLSYKLVWRSGPPQTNELQHSEKDLKNKPSNVDRGNANMSFWRVDNAFGSFLPGDPAHMRVDGNAYDLRHMLFNDADSSKTSSIGQDSHNDASQIERSALTSGRLFEAVASFKLIWSNNGMSSPKKLSIWRPMLSEGMFYFGDIAVNGYEPPNSAVVLRNSGDDTFLRAPEGYQLVGRIKKHRGTEGVSFWFPQAPPGFVALGCVASKSSPAKEDLHFLRCIRSDMVKGGQFSEESVWDSSGARTSESFSLWTVDNDVGTFLVRSGFRKPPRRLALKLAGPPTSSSSDSIIIDAEIKSFSAVSFDDYGGMMVPLFGISFNSVGFSYHGGPQHLNATVGLSFAARSYNDKYNSWEPFIEPTDGFLRYQYDMNTPGSPGQLRIASTRDLNMNISVSNTNMLSQAYASWNNISLGNELYRMETSSTSERSILDVHERRSYYVIPQNKLGQDIYIRTTEYRSSDVTLLSSGDDRSIKVPASRDLLDSHLKGRSVRLYRLMVTAIIANAEIKVGEGLATGEYMVALRIYSEDCIASGVQQQSARTCAAAGDQSSQNIRKVEWNEMFFFKVESEDNYVLEFVVLDAGGGQPVGIYSTPLKQVVQKLPSASGSNYAKFDLTLGGLTSTKTVEHETVKSSGKIRFAVLISGRASTKQGCRANQARSKAGYIQISPSKEGPWTNMKLNYAVPAACWRFGNCVIASEATVKEGNRYISMRSLVSITNTTDFIVDLRLKGRYSRSSQSDGQGENSNKDDHISVGLLEPGSTAPIPLSGISNPVVLYTLQLRPTNHHELVQYSWSDVQERRSQTEYRNEEILDICVSDLYESENLLFCAQSDGTSSTSQGLWFCLSIEAKEIGKDVHTNPIYDWSIIIRSPLSLSYYLPISAHYVLSASHLDEEDTSCSQGTLNPGEVVRVQNVDPRNPLYLSLVPHGGWTSHEPVPISHPTVAPSKFINLRSSLSERIVQIVLEQSSDKDYLMARAIRIYVPYWISFARLPPINLQLIDISGRKDKRRFLARPRSERSEKILYEINHEELVEGYTIASGLNFKGLGLSASACRHGSGQFGLLKELSPLGDMDGAVDISAYDDDGKCTHILLCSKPSSYQAVPTKVIYVRPYITFTNRAGQDFYIKLSAEDEPKVLHAHDWRVSFMHSEGGTEKLQVRLVDTDWCQPLDIVKEDTIVIVMRKQDGTQKFIKAEIRGYEEGSRFLIVFRLGPSDGPIRIENRTSSTTISARQSGLGEDSWIQVKPLSTKKYSWDDPYGHTTFDVSIQQGDVTFFQCVDLENPDECSAGFREHHLKLSIVETADVKILKFADYPRRQEGGYRSDLGDHQASPVMQNDTDTGAGLLELIVELGVVGVSLIDHKPRELLYLHLQKLFISYMTGYNSGTTSRFKLIIGHMQLDNQLPLSIMPVALATESMPDSNHPVFKANIAVSNVTSNGIQVYPHVYIRVTDQTWRLNIHEPIIWALVDFYSNLRFVSTNNISTVTEVDPEIRIELVDISEIRLKISLETAPTQRPRGVLGIWSPVLSAVGNALKIQVHLRKVMHRSRYMRKSSIIPAITNRIKRDLIHNPLHLIFSVDFLGVTKSTLSSLSKGFAELSTDGQFLQLRSKQVWSRRITGVGDGLVQGTEAFAQGLAFGVSGVLRKPVESARQYGAIGIAHGLGRAFVGFIVQPLSGAFDFFSLTVDGISASFMRCVDILNNKHVPQRIRDPRAIHRDGIIREYDKVQAAGQMALYLAEASRYFACTDLFREPSKYAWSDYYEDHFIVQNQKVALVTNKRVILLQVPGGQHDVYFAFDEDMREFHSFARPLLSPRGAASNVEERLINDTVNFQNMWSSEQEIRSRCKLLSKQVADDGRVFSIWRPLCPSGYVSIGDIAHVGIHPPHVAAVYKNVGGNFALPLGYDLVWRNCGEDYRNPVSIWFPRPPEGYVALGCVAVSAFEEPPLDSAFCVSERFAEDAVYEEQIVWASSDAYPWGCYVYQVQSKSLQFMAMRRPKEECELKPKKVSESYAQQALERS >ORUFI10G20070.1 pep chromosome:OR_W1943:10:19973958:19975656:-1 gene:ORUFI10G20070 transcript:ORUFI10G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQFGEEGGINTSWSISSNSGSPPPRVLSAAASACAMPGDACERGEERRRGPVRSRCPQLSPTTTAPGVPPHTLGARLDSFLLFLPPFFFFPHLACNCRGPMAVQAHYHHHHHHQQQHQPPPLFLARGVVVSPETTRPAGMEYHYQQHQQPPLFLDFSHGDGDGGNSRKRPREADAAAAMVAAPPPQMLSLQPQAQAQGHKVVSLAQLHNKRPPASTGLRLDFDDGGSEHVSTTTTSSASSLLSDELATQFDRWKNEMARMFQDHTERLRRALGEVRRRHYRSLLGAAEAAAARRMREKEAEASNAARRGAELEERVARLRAEAAAWQAKALADQSTAAALHAQLQQAAAAAAQARGSKSPEDDDNINPNAAAADDAESGFVDPDRVEEVTPPPPPSRSCRTCRARPSSVVLLPCRHLCVCEACEPAVSTAIAAACPTCRGAVTGTVQVFIS >ORUFI10G20080.1 pep chromosome:OR_W1943:10:19989490:19996686:1 gene:ORUFI10G20080 transcript:ORUFI10G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALQYENQKLVQQLEAQKSKMRALEGKFKELRDEQCSYDNTLICLNKMWNQLIDDLVLLGVRAGGDLNGLQALDHEEMSEESLESCPSEEIFLFRLLNSRNFRNNDDSSLSKLVEEALALRYSTTVTLMKSLQEAFAVQQARSESLSLALNGQNSSEDVIVALENHNDYLKEVVDNLRQAVSIINRKHEKYLDEIEAFKNNQSRELHEVKCLSGELEEIMAELEESRRKLAVLQLQTGGGSLMNTSAPNGVNGSVSTDKSSDKGMGWRDLKDAVEESKTLAANRLFELHETQEDNLILSKQLEDIQDQLKDENYIVTSKPYTILSDQLHHLNAEIERYRGLVEVLQEEEMLAKAESVDAVQQSITTYKAKIEDLEHEIQKLMAEKNDLEIKAEEALQDSGKKDFKDEIHVMAASLSKEMELLDNQMNRSKDAASEALALREEADYLRTLLAKKIETLDQEKQELQFIVDMLGKECSESRAISEIEESENRARKQAEYLRKCLEEHNLELRVKAANEAETACQQRLSIAEVELEDLRAKVDASERDVMKLKESIRIKEAEVDGHISEIETIGQAYEDMQTQNQHLLQQVADRDDFNIKLVSDSVKMKQAYGSLLAEKNMLQKQLQHINSSLESSKLKITSGEEQMKTYVAQAMKSSSENRHLAISLERTMLEVSDAEKELKWLRSATGSAEKEYEINQKKIAELKMELERERNERRKLEEEYEEVKNEVSELTSETEETTIQKLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGTPFGQSDVREVKI >ORUFI10G20090.1 pep chromosome:OR_W1943:10:20000985:20010040:1 gene:ORUFI10G20090 transcript:ORUFI10G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKAPTSIPCELPLALDPSVSARPPDRPVASQSSARPPFPAASAAAAAPAAAPAVRSLVANSSGMDTRFDEDERTTAATRRRQSPSPSFAPSTSKATAVGLTRYGAVKSWGPRRELETGETSRCMEVLLLENPIDATKPSPLHVDEDKQGEVIGGTQQNAPESCVTERARLNLKSRSSAVGQSAGSPLKERILAPSTAMKRATENKYLANLKTDIVEKALKYDRKEKMKEVMRLMIFQRRPTGHGNGKQFRLPHSLYDVLHTYQKEGIKWLWTLHLKKLGGALCDEMGMGKTWQADVIHNAMIICPVTVIETWRKELNIVGVLVIKVFRYDRRTDCIALKSIATDGGVLITTFEAVRDHIHRILETGHGLILMTGTLIQNNLTEFYALMNFCCPNLLGESGQFHENFSMPIERARYRGASAQLIKESIEASERLKKLVSPFVLRRTKEMLKNSASKLGTKHELTVVEDLCCSNEPGTPLAASQVARSICNHPVMVIGSDFEQRGESEEKKDALTDIIRKGLLAVSNIEDIEVGDYSLSSKVIKGEKATAVMKENQSRREITKPTRRVLSMPPEGFGVSKTLIELLAIHGGAFDPSLDESEIRQVRGHESVVGVSNHLHLFSQRESDPVTNEKHKYTAGPSRKRSELSMPVDAEILKSIRSTFIEIPIQQVGCFVGEKGGNIMKLENISGAKIHRLGVDSYTHMQLYKISGTPHQISKAENLVKEFLQEMDSMVEEEISVPMEKVGLVIGSGGATIKKYKNLHPRSESGTTGRFVIRGSQNQVDQARSMIKDVASKENLGPKTRFR >ORUFI10G20090.2 pep chromosome:OR_W1943:10:20000985:20010040:1 gene:ORUFI10G20090 transcript:ORUFI10G20090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKAPTSIPCELPLALDPSVSARPPDRPVASQSSARPPFPAASAAAAAPAAAPAVRSLVANSSGMDTRFDEDERTTAATRRRQSPSPSFAPSTSKATAVGLTRYGAVKSWGPRRELETGETSRCMEVLLLENPIDATKPSPLHVDEDKQGEVIGGTQQNAPESCVTERARLNLKSRSSAVGQSAGSPLKERILAPSTAMKRATENKYLANLKTDIVEKALKYDRKEKMKEVMRLMIFQRRPTGHGNGKQFRLPHSLYDVLHTYQKEGIKWLWTLHLKKLGGALCDEMGMGKTWQADVIHNAMIICPVTVIETWRKELNIVGVLVIKVFRYDRRTDCIALKSIATEFYALMNFCCPNLLGESGQFHENFSMPIERARYRGASAQLIKESIEASERLKKLVSPFVLRRTKEMLKNSASKLGTKHELTVVEDLCCSNEPGTPLAASQVARSICNHPVMVIGSDFEQRGESEEKKDALTDIIRKGLLAVSNIEDIEVGDYSLSSKVIKGEKATAVMKENQSRREITKPTRRVLSMPPEGFGVSKTLIELLAIHGGAFDPSLDESEIRQVRGHESVVGVSNHLHLFSQRESDPVTNEKHKYTAGPSRKRSELSMPVDAEILKSIRSTFIEIPIQQVGCFVGEKGGNIMKLENISGAKIHRLGVDSYTHMQLYKISGTPHQISKAENLVKEFLQEMDSMVEEEISVPMEKVGLVIGSGGATIKKYKNLHPRSESGTTGRFVIRGSQNQVDQARSMIKDVASKENLGPKTRFR >ORUFI10G20090.3 pep chromosome:OR_W1943:10:20000985:20010040:1 gene:ORUFI10G20090 transcript:ORUFI10G20090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKAPTSIPCELPLALDPSVSARPPDRPVASQSSARPPFPAASAAAAAPAAAPAVRSLVANSSGMDTRFDEDERTTAATRRRQSPSPSFAPSTSKATAVGLTRYGAVKSWGPRRELETGETSRCMEVLLLENPIDATKPSPLHVDEDKQGEVIGGTQQNAPESCVTERARLNLKSRSSAVGQSAGSPLKERILAPSTAMKRATENKYLANLKTDIVEKALKYDRKEKMKEVMRLMIFQRRPTGHGNGKQFRLPHSLYDVLHTYQKEGIKWLWTLHLKKLGGALCDEMGMGKTWQADVIHNAMIICPVTVIETWRKELNIVGVLVIKVFRYDRRTDCIALKSIATEFYALMNFCCPNLLGESGQFHENFSMPIERARYRGASAQLIKESIEASERLKKLVSPFVLRRTKEMLKNSASKLGTKHELTVVEDLCCSSKLTKAYQYVARSICNHPVMVIGSDFEQRGESEEKKDALTDIIRKGLLAVSNIEDIEVGDYSLSSKVIKGEKATAVMKENQSRREITKPTRRVLSMPPEGFGVSKTLIELLAIHGGAFDPSLDESEIRQVRGHESVVGVSNHLHLFSQRESDPVTNEKHKYTAGPSRKRSELSMPVDAEILKSIRSTFIEIPIQQVGCFVGEKGGNIMKLENISGAKIHRLGVDSYTHMQLYKISGTPHQISKAENLVKEFLQEMDSMVEEEISVPMEKVGLLKNTKSKTKLISNVGLVIGSGGATIKKYKNLHPRSESGTTGRFVIRGSQNQVDQARSMIKDVASKENLGPKTRFR >ORUFI10G20090.4 pep chromosome:OR_W1943:10:20000985:20010040:1 gene:ORUFI10G20090 transcript:ORUFI10G20090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKAPTSIPCELPLALDPSVSARPPDRPVASQSSARPPFPAASAAAAAPAAAPAVRSLVANSSGMDTRFDEDERTTAATRRRQSPSPSFAPSTSKATAVGLTRYGAVKSWGPRRELETGETSRCMEVLLLENPIDATKPSPLHVDEDKQGEVIGGTQQNAPESCVTERARLNLKSRSSAVGQSAGSPLKERILAPSTAMKRATENKYLANLKTDIVEKALKYDRKEKMKEVMRLMIFQRRPTGHGNGKQFRLPHSLYDVLHTYQKEGIKWLWTLHLKKLGGALCDEMGMGKTWQADVIHNAMIICPVTVIETWRKELNIVGVLVIKVFRYDRRTDCIALKSIATEFYALMNFCCPNLLGESGQFHENFSMPIERARYRGASAQLIKESIEASERLKKLVSPFVLRRTKEMLKNSASKLGTKHELTVVEDLCCSSKLTKAYQYVARSICNHPVMVIGSDFEQRGESEEKKDALTDIIRKGLLAVSNIEDIEVGDYSLSSKVIKGEKATAVMKENQSRREITKPTRRVLSMPPEGFGVSKTLIELLAIHGGAFDPSLDESEIRQVRGHESVVGVSNHLHLFSQRESDPVTNEKHKYTAGPSRKRSELSMPVDAEILKSIRSTFIEIPIQQVGCFVGEKGGNIMKLENISGAKIHRLGVDSYTHMQLYKISGTPHQISKAENLVKEFLQEMDSMVEEEISVPMEKVGLVIGSGGATIKKYKNLHPRSESGTTGRFVIRGSQNQVDQARSMIKDVASKENLGPKTRFR >ORUFI10G20100.1 pep chromosome:OR_W1943:10:20019394:20019792:1 gene:ORUFI10G20100 transcript:ORUFI10G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAVPQPPAYACRFRSPLPLPLAASTSARRCPAQLVSARGLNSPQSPPPLAAVVGLRLPQPVTRLRLSPPPPLATTCRLRSARQLCVASACCSPPPRRHRYLQLVASHARGPPSAVAASEERLWEEGCRL >ORUFI10G20110.1 pep chromosome:OR_W1943:10:20020041:20023521:1 gene:ORUFI10G20110 transcript:ORUFI10G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTAISELSIIHSLCLCVCCMYDDTMDVKTRSRNRTVVPPAAATVPDLLPEEIVVEILARLPVKSLLCFKSVCRGWRAIISEPSFIRTQLQCSASKQEPSILISPHTLLGRCDIQPPVGGLGDWPNNFSTQISFYQWQRGASIARFMDATAIPANEFHLVCHFAHCDGLVLAPTDTNLYLFNPATRDTITLPDGHGDNHHHGTEMEACYAAGLGLDPVTRKYKVVRAFYRSVDPIRMGMEVFTVGETGAGCGWRETVTDPPYPVSRWLTAFTVNGGYLFWYMDRFRYPNDTLHGGLLWFSLQDQAFGVTLLPHSLDPALDDKVRPDVLHGELCVLHANSDTMTVTIWTTNSPSFDDWERRYCIYVSRLCHPMGLLGDGGMLLWAKHTIHRYDLWSDELTAVCELGGIRYQGGRPPRWKNLFNFSVMPYTESLVRITAAA >ORUFI10G20110.2 pep chromosome:OR_W1943:10:20021340:20027845:1 gene:ORUFI10G20110 transcript:ORUFI10G20110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLGGRRRRTAASESGGGWMKGCTSVRARDTRSRNRTVVPPAAATVPDLLPEEIVVEILARLPVKSLLCFKSVCRGWRAIISEPSFIRTQLQCSASKQEPSILISPHTLLGRCDIQPPVGGLGDWPNNFSTQISFYQWQRGASIARFMDATAIPANEFHLVCHFAHCDGLVLAPTDTNLYLFNPATRDTITLPDGHGDNHHHGTEMEACYAAGLGLDPVTRKYKVVRAFYRSVDPIRMGMEVFTVGETGAGCGWRETVTDPPYPVSRWLTAFTVNGGYLFWYMDRFRYPNDTLHGGLLWFSLQDQAFGVTLLPHSLDPALDDKVRPDVLHGELCVLHANSDTMTVTIWTTNSPSFDDWERRYCIYVSRLCHPMGLLGDGGMLLWAKHTIHRYDLWSDELTAVCELGGIRYQGGRPPRWKNLFNFSVMPYTESLR >ORUFI10G20110.3 pep chromosome:OR_W1943:10:20022020:20027845:1 gene:ORUFI10G20110 transcript:ORUFI10G20110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYDDTMDVKTRSRNRTVVPPAAATVPDLLPEEIVVEILARLPVKSLLCFKSVCRGWRAIISEPSFIRTQLQCSASKQEPSILISPHTLLGRCDIQPPVGGLGDWPNNFSTQISFYQWQRGASIARFMDATAIPANEFHLVCHFAHCDGLVLAPTDTNLYLFNPATRDTITLPDGHGDNHHHGTEMEACYAAGLGLDPVTRKYKVVRAFYRSVDPIRMGMEVFTVGETGAGCGWRETVTDPPYPVSRWLTAFTVNGGYLFWYMDRFRYPNDTLHGGLLWFSLQDQAFGVTLLPHSLDPALDDKVRPDVLHGELCVLHANSDTMTVTIWTTNSPSFDDWERRYCIYVSRLCHPMGLLGDGGMLLWAKHTIHRYDLWSDELTAVCELGGIRYQGGRPPRWKNLFNFSVMPYTESLR >ORUFI10G20120.1 pep chromosome:OR_W1943:10:20031010:20032173:1 gene:ORUFI10G20120 transcript:ORUFI10G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAVIPPPSPERRVPMVAVPVVADDGGGGHGAKGEESVSGSVAGISPSILIIAVIVVVMLLASVSIHYFIRHLCRRSSASAAASSSAPVLPVVVHPASSAAVGEQVVGKASAERAAEMERLISRLPLFTLASSLAALPKSSRDCAVCQSAFRDDDELRLLPACRHAFHSRCVDPWLRANPSCPLCRASIALPHPPLPDLLRVELGSVSSRRSNPNSAAAVASAPPEGAAVRAYPLPALPNSEYLVEEELEVVIKQPAAAAAPRTGEPSQHPPPAAQAERGQPSSVTPTASFSSARSQERWSNRWSSRWSSRWSSGRWSSRYDAGTVTAGAPAGGGGARWRSRATRSTASCAGSQGHTSNLPLDQCPVHSLVNLAGAGDRRRIEFN >ORUFI10G20130.1 pep chromosome:OR_W1943:10:20041853:20045609:1 gene:ORUFI10G20130 transcript:ORUFI10G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLAAAASPFLLHGAAAASGSRRPLVAAAATGRRAASSLRVAALKYDPSKVAPQSDRVLVRLEQIPEKSVGGVLLPKSAVKFERYLMGEILSVGADVNEVEAGKKVLFSDINAYEVDLGTDEKHCFCRESDLLAVVE >ORUFI10G20150.1 pep chromosome:OR_W1943:10:20053163:20055364:1 gene:ORUFI10G20150 transcript:ORUFI10G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVRRVQQRVRKAREEMDRWDDLNSRLLSQFANAAAIVARLPVLEEVKNYGVLRCVPNIRENLLGKQMESLEIIFVSMRETVEEFNSIARSLHKALRDTNQMVRGGSALSAKQMQLQVGILPTIADCLDGLRTLCEMHQAEYALKSSVISSLTWTSSSSDIAAMRQLLVDQPNIPKDEVQAIFDIIFADEIC >ORUFI10G20150.2 pep chromosome:OR_W1943:10:20053163:20055364:1 gene:ORUFI10G20150 transcript:ORUFI10G20150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVRRVQQRVRKAREEMDRWDDLNSRLLSQFANAAAIVARLPVLEEVKNYGVLRCVPNIRENLLGKQMESLEIIFVSMRETVYALKSSVISSLTWTSSSSDIAAMRQLLVDQPNIPKDEVQAIFDIIFADEIC >ORUFI10G20150.3 pep chromosome:OR_W1943:10:20053163:20055308:1 gene:ORUFI10G20150 transcript:ORUFI10G20150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVRRVQQRVRKAREEMDRWDDLNSRLLSQFANAAAIVARLPVCTKIISHLFADMDEQSCPGD >ORUFI10G20160.1 pep chromosome:OR_W1943:10:20055799:20057388:1 gene:ORUFI10G20160 transcript:ORUFI10G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAGSPPPPPTSPPYTGILAALHRSIAGGHAAAAVALLPELSRAGLRPPFPLLSSLARLLLLRRATAPCFPSLAGRLLLYVRLAGLKRLVPCSTQLANHLLSLNFLLRRPHDARRLFARMPRPDVCSYNAMLAGYARLALAAPAAEVFAAMPHRDLLSYNATLLALAGGGEMQKAVALYSELRGTSTSLGYSDQTFLALLVGCEKLVDRELARQLHAHLILHGFLSDIRIASSLVDVYTKCVCIADAEDLFNEMPVKSERMWTTLVCGYAEDGQLSTARRLFDQMPKKNILSWNSLMEGYVRHGQEAEALSIFQHLIKEGVHPDQITFSSCFRACAAVCALKCGQQIHGRLLRTGFYPNVMILSSLIDMYSRCGYLADARQVFSLTVQEKKDTLLWNALLGALCHHGHGQEVIGSFVQMIRERWKPDANTFLTVLKACCHCNLVEEGIGFFELMTERYRIVPGEDHYVCLVDLFSRSSSHDKMVELIKSSPLLFRKQIWEILAGNCIIHGNSELLKQIEEHMAELAS >ORUFI10G20170.1 pep chromosome:OR_W1943:10:20058052:20058813:-1 gene:ORUFI10G20170 transcript:ORUFI10G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVNESITVGAETGERLRGWILKCIIEKSSIGSKCGWEDWRVDTRTKHYALLGVMVILMSLIWILSIVLAIVKVHSLGHGAVLWLGCSVAPPGVWLRWYLARLNGGGIGIGKRRHLKWLPVGTLAANVLAAAIMAALAVTAKAENTRRLTTVLNGIQLGFLGCLSTVSTFAAEVYTMRRSGQIARAFVYAAATFVLSFVLGTLIYSVPVWVEHY >ORUFI10G20180.1 pep chromosome:OR_W1943:10:20059154:20060013:-1 gene:ORUFI10G20180 transcript:ORUFI10G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGHSLGARSASILSRRSSRQGSRGSISLSREMGDSILSSMRHSLQSADQLLGDVDGSVLAQVIDSGDRGLAFENDVDEEEENNVEDHQAVPLPDDTSMRIHGRSSQGTSVVAPVSAMKPKDTNVNGPASSSIKCNHMEFEPD >ORUFI10G20190.1 pep chromosome:OR_W1943:10:20061633:20075639:1 gene:ORUFI10G20190 transcript:ORUFI10G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTYSPTNRGRRTKPSIAASGDGGGGAGICGGGGDRYYELSDVRIELDLREEVRLCFWLLLSSSARPSSAIPSSGGCREGIVDSGVPSPGQIQTMPSCSKHGNDRMRTLSNFVAGIFSHFPSVEYSHPEGSPEKTTGYQKFFRLGLYGCTGPDTSRLGRRNHDGEEVLPRPPIAVLDAESDHLKGLKQKEITIVKQQLKEEEGLTSRRGERESGTKPSPPPTSRSAAARPPMAAPPGRGGADGYCDLPDVRLELDPGKVRGGGGGFTVCFWLYLSSSARPSSVILHQVAEGGGDKVPFLALGEGNKLILFPLLGFHREAPTPDSSYPWTDITNLTEVNECPLDNWFHVGCEVTENIMRLHIDGDLVAETHLHSLYNEPDYQDDANQINLLGSEDKLEGYVYNMELSCMLGNIQQQFAKNPPFKLSIDYSCSDGIEEGDDGIWNIVGGKASCRRNFILEVILVDAFGEAAKDREIVASLVYADNGALVEKSRDDSEPPLLISCDGIEYPAVSRPLPIIRGRALFKLKISQLSSKCDNKLFRIFFSTLGMKRYTFLEAYSKPIRCISRNRTSRPLGSAKRIGSASMDDIKSINNCEGFGHSGKANGRLQTHDPSSVVCFHPSKFSKIEDDVQKTSSQNKHAKKMVLDKGAQDVMVSDSTASDYDSMDAGSSWSLSDGDDVESFSDAEIFRYCLDGTHERSKFLRAAAPSVNEDDLIKLANQVSLYSGCTHHRNQILISKQLLQEGADIWSIISKNNERALWSSAVPEMKAKFLEIVHPSNRGLSEQDFEVLRGIAGCGDDIGRDEFDKLWSWLYPVAIALSKDKINRLWDFTAHRWIEGLITLQETENALRSSRDRLMKPGTFVLRFPTTRSWPHPDAGSLVVTYVGSDNSIHHRLLSLDVRVDRLPSSMQS >ORUFI10G20190.2 pep chromosome:OR_W1943:10:20061633:20075639:1 gene:ORUFI10G20190 transcript:ORUFI10G20190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTYSPTNRGRRTKPSIAASGDGGGGAGICGGGGDRYYELSDVRIELDLREEVRLCFWLLLSSSARPSSAIPSSGGCREGIVDSGVPSPGQIQTMPSCSKHGNDRMRTLSNFVAGIFSHFPSVEYSHPEGSPEKTTGYQKFFRLGLYGCTGPDTSRLGRRNHDGEEVLPRPPIAVLDAESDHLKGLKQKEITIVKQQLKEEEGLTSRRGERESGTKPSPPPTSRSAAARPPMAAPPGRGGADGYCDLPDVRLELDPGKVRGGGGGFTVCFWLYLSSSARPSSVILHQVAEGGGDKVPFLALGEGNKLILFPLLGFHREAPTPDSSYPWTDITNLTEVNECPLDNWFHVGCEVTENIMRLHIDGDLVAETHLHSLYNEPDYQDDANQINLLGSEDKLEGYVYNMELSCMLGNIQQQFAKNPPFKLSIDYSCSDGIEEGDDGIWNIVGGKASCRRNFILEVILVDAFGEAAKDREIVASLVYADNGALVEKSRDDSEPPLLISCDGIEYPAVSRPLPIIRGRALFKLKISQLSSKCDNKLFRIFFSTLGMKRYTFLEAYSKPIRCISRNRTSRPLGSAKRIGSASMDDIKSINNCEGFGHSGKANGRLQTHDPSSVNKHAKKMVLDKGAQDVMVSDSTASDYDSMDAGSSWSLSDGDDVESFSDAEIFRYCLDGTHERSKFLRAAAPSVNEDDLIKLANQVSLYSGCTHHRNQILISKQLLQEGADIWSIISKNNERALWSSAVPEMKAKFLEIVHPSNRGLSEQDFEVLRGIAGCGDDIGRDEFDKLWSWLYPVAIALSKDKINRLWDFTAHRWIEGLITLQETENALRSSRDRLMKPGTFVLRFPTTRSWPHPDAGSLVVTYVGSDNSIHHRLLSLDVRVDRLPSSMQS >ORUFI10G20190.3 pep chromosome:OR_W1943:10:20061633:20075639:1 gene:ORUFI10G20190 transcript:ORUFI10G20190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTYSPTNRGRRTKPSIAASGDGGGGAGICGGGGDRYYELSDVRIELDLREEVAEGGGDKVPFLALGEGNKLILFPLLGFHREAPTPDSSYPWTDITNLTEVNECPLDNWFHVGCEVTENIMRLHIDGDLVAETHLHSLYNEPDYQDDANQINLLGSEDKLEGYVYNMELSCMLGNIQQQFAKNPPFKLSIDYSCSDGIEEGDDGIWNIVGGKASCRRNFILEVILVDAFGEAAKDREIVASLVYADNGALVEKSRDDSEPPLLISCDGIEYPAVSRPLPIIRGRALFKLKISQLSSKCDNKLFRIFFSTLGMKRYTFLEAYSKPIRCISRNRTSRPLGSAKRIGSASMDDIKSINNCEGFGHSGKANGRLQTHDPSSVVCFHPSKFSKIEDDVQKTSSQNKHAKKMVLDKGAQDVMVSDSTASDYDSMDAGSSWSLSDGDDVESFSDAEIFRYCLDGTHERSKFLRAAAPSVNEDDLIKLANQVSLYSGCTHHRNQILISKQLLQEGADIWSIISKNNERALWSSAVPEMKAKFLEIVHPSNRGLSEQDFEVLRGIAGCGDDIGRDEFDKLWSWLYPVAIALSKDKINRLWDFTAHRWIEGLITLQETENALRSSRDRLMKPGTFVLRFPTTRSWPHPDAGSLVVTYVGSDNSIHHRLLSLDVRVDRLPSSMQS >ORUFI10G20190.4 pep chromosome:OR_W1943:10:20061633:20075639:1 gene:ORUFI10G20190 transcript:ORUFI10G20190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTYSPTNRGRRTKPSIAASGDGGGGAGICGGGGDRYYELSDVRIELDLREEVAEGGGDKVPFLALGEGNKLILFPLLGFHREAPTPDSSYPWTDITNLTEVNECPLDNWFHVGCEVTENIMRLHIDGDLVAETHLHSLYNEPDYQDDANQINLLGSEDKLEGYVYNMELSCMLGNIQQQFAKNPPFKLSIDYSCSDGIEEGDDGIWNIVGGKASCRRNFILEVILVDAFGEAAKDREIVASLVYADNGALVEKSRDDSEPPLLISCDGIEYPAVSRPLPIIRGRALFKLKISQLSSKCDNKLFRIFFSTLGMKRYTFLEAYSKPIRCISRNRTSRPLGSAKRIGSASMDDIKSINNCEGFGHSGKANGRLQTHDPSSVNKHAKKMVLDKGAQDVMVSDSTASDYDSMDAGSSWSLSDGDDVESFSDAEIFRYCLDGTHERSKFLRAAAPSVNEDDLIKLANQVSLYSGCTHHRNQILISKQLLQEGADIWSIISKNNERALWSSAVPEMKAKFLEIVHPSNRGLSEQDFEVLRGIAGCGDDIGRDEFDKLWSWLYPVAIALSKDKINRLWDFTAHRWIEGLITLQETENALRSSRDRLMKPGTFVLRFPTTRSWPHPDAGSLVVTYVGSDNSIHHRLLSLDVRVDRLPSSMQS >ORUFI10G20200.1 pep chromosome:OR_W1943:10:20062809:20075471:-1 gene:ORUFI10G20200 transcript:ORUFI10G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVASLSLLPHLLIKPSFRCCSRKGVGRYGGIKVYAVLGDDGADYAKNNAWEALFHVDDPGPRVPIAKGKFLDVNQALEVVRFDIQYCDWRARQDLLTIMVLHNKVVEVLNPLAREFKSIGTLRKELAELQEELAKAHNQVHLSETRVSSALDKLAQMETLVNDRLLQDGGSSASTAECTSLAPSTSSASRVVNKKPPRRSLNVSGPVQPYNPSLKNFWYPVAFSSDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVMNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTKMLNVRIRSLPCFEQEGMVWIWPGNDPPKSTIPSLLPPSGFTIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPSSGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTKQCSTHLHQLHICLPSSRNKTRLLYRMSLDFAPWIKHVPFMHILWSHFAEKVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGIRYRLWRDAIERGVDRLPFSNQNSVYGLLIQNSKGIGRRYGRIKVYAVLGDDEAQHAKNNMRGALFHVDNPGPMAPIAKGNFLDVNQALEVVRFGIQYCDWRARQDLLTIMVLHNKVVEVLKSLAIEFKSNGTLRKELAELQEELAKAHNQVHLSETRASSALDKLAQADNLVNDRLLQDGGSSASIDNHVSLASRTSSASRFVNKKTLRPSLDVSGPVQPYNPNLKNFWYPVVFSGDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVQNTCAHRACPLHLGSVSEGRIQCPFHGWEYSTDGKCEKMPATKLLNVRIRSLPCFEQEGMVWIWPGDGTPESTIPSLQPPSGFTIHAEMVMELPVEHGLLLDNLLDIAHAPFAHTSTFAKGWSVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLSTTGISKPGKLEGKSIKQCSTHLHQLHICLPSSRNKTRMLYQMSLNFAPWLKHIPFMHILWS >ORUFI10G20200.2 pep chromosome:OR_W1943:10:20072342:20075471:-1 gene:ORUFI10G20200 transcript:ORUFI10G20200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVASLSLLPHLLIKPSFRCCSRKGVGRYGGIKVYAVLGDDGADYAKNNAWEALFHVDDPGPRVPIAKGKFLDVNQALEVVRFDIQYCDWRARQDLLTIMVLHNKVVEVLNPLAREFKSIGTLRKELAELQEELAKAHNQVHLSETRVSSALDKLAQMETLVNDRLLQDGGSSASTAECTSLAPSTSSASRVVNKKPPRRSLNVSGPVQPYNPSLKNFWYPVAFSSDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVMNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTKMLNVRIRSLPCFEQEGMVWIWPGNDPPKSTIPSLLPPSGFTIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPSSGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTKQCSTHLHQLHICLPSSRNKTRLLYRMSLDFAPWIKHVPFMHILWSHFAEKVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGIRYRLWRDAIERGVDRLPFSNQNEDEDDDGQN >ORUFI10G20200.3 pep chromosome:OR_W1943:10:20062809:20071534:-1 gene:ORUFI10G20200 transcript:ORUFI10G20200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGIGRRYGRIKVYAVLGDDEAQHAKNNMRGALFHVDNPGPMAPIAKGNFLDVNQALEVVRFGIQYCDWRARQDLLTIMVLHNKVVEVLKSLAIEFKSNGTLRKELAELQEELAKAHNQVHLSETRASSALDKLAQADNLVNDRLLQDGGSSASIDNHVSLASRTSSASRFVNKKTLRPSLDVSGPVQPYNPNLKNFWYPVVFSGDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVQNTCAHRACPLHLGSVSEGRIQCPFHGWEYSTDGKCEKMPATKLLNVRIRSLPCFEQEGMVWIWPGDGTPESTIPSLQPPSGFTIHAEMVMELPVEHGLLLDNLLDIAHAPFAHTSTFAKGWSVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLSTTGISKPGKLEGKSIKQCSTHLHQLHICLPSSRNKTRMLYQMSLNFAPWLKHIPFMHILWS >ORUFI10G20220.1 pep chromosome:OR_W1943:10:20087295:20088173:-1 gene:ORUFI10G20220 transcript:ORUFI10G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKLHPYANAVGVCAPCLRDRLLDLAAQRDAAADAAEADDHHHSSSSRASSSLFARSVSPYAAARRSDACAYASSSSSSAAAAHHHHHHPNLLFFRTPQVGPARAAGGGDEPEPRGKKVARRRSFLAAIFGGGGRGRHARGDDDVVRGGGVSAKDPPRRSTSWLSSIVRRKRRPEAAATAAESLPPPLDEAPDSPGGSTTSSWWFPSPSPARQHRRRHTGVGASGDGISGFAVCLSPLVRPTSGGGGGRRRCQPPDPSPLGDTHRRNLSAGGAASFGRNTSRKLADMGRFR >ORUFI10G20230.1 pep chromosome:OR_W1943:10:20089920:20099365:-1 gene:ORUFI10G20230 transcript:ORUFI10G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMENVIVLVNRIQRACTVLGDHGGGDGTASLPTLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEDGVQEYAEFLHMPKRRFNDFALVRKEIQDETDRLTGKTKQISPVPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLARDVDPTGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINKNIDMIIARRKEQEFFASSPEYSHLSSRMGSEYLAKLLSQHLEAVIRARIPSITSLINKTIDELESEMDHIGRPIASDAGAQLYLVLELCRAFEKIFREHLDGGRPGGDRIYGVFDNQLPSALRKLPFDRYLSLQNVKRVISEADGYQPHLIAPEQGYRRLIESALNYFRGPAEASVDAVHYVLKELVRKSIGETQDLKRFPTLQAELAAACFHALERFREDGRKTTVRLVDMESAYLTVEFFRKLPQEVDKTGTGNPSTPPVDRYADAHFRRIASNVSSYIGMVSDTLKNTIPKAVVHCQVREAKRSLLNYFYTQVGRKDAKQLAQLLDEDPALMERRQQCFKRLELYKSARDEIDAVSWSRTGNPEPVKDVQGKTARGEKTGAPGFLPSDYTFVPARIESVSGRNKRKSRGTIVNL >ORUFI10G20240.1 pep chromosome:OR_W1943:10:20100391:20105474:1 gene:ORUFI10G20240 transcript:ORUFI10G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKKRSKKRKRTVGPAAAAATFPELPEEIDDTMNMKKRKRTVGPAAAAATFPELPEEIVMEILARLPVKSLLRFKSVCRGWRAIISEPSFIRTQLQCSASRRQQEPSILISPHFRHDRPTKFSTHISFYQWEQGASSLARIMDAKDFPVGHKFRLISHYTHCDGLVLAPTITKLYLFNPATREAITLPDGHGHSHTAGLGLDPGTGRYKVVRSFYRSPSMDPPVSMGMEVLTVGEPGARWRETAVDPPHPITRWRTALAVNGGYLFWYMDRRRYPDDAPRGLLRFSLRDEAFAVTRLPESMDPTLDENVLPDVLHGELCVVQALPDKAGVLIWTMSSSSMDNDDVHLDDGPWELRYCICVNALCHPLGVLPDGGGILLWANRSVHRYDFSARKLAGVVCNLDRIRYQGGRPARWKSVVDFTLMPYTESLVRITAA >ORUFI10G20250.1 pep chromosome:OR_W1943:10:20107731:20108270:1 gene:ORUFI10G20250 transcript:ORUFI10G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYSLDAVGEAVDEAVWLLQHLKKDAVELRASAGSRVTERHRPKNTARPPNPLTGIKSPASKSTL >ORUFI10G20260.1 pep chromosome:OR_W1943:10:20107888:20108112:-1 gene:ORUFI10G20260 transcript:ORUFI10G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIDGYNVADGSSEPCHLDSIFREMSQQDGYSVADGSSEPCHLDCIFLQMLQQPYSFVNCLSHCIQGIGDHLA >ORUFI10G20270.1 pep chromosome:OR_W1943:10:20108153:20121238:-1 gene:ORUFI10G20270 transcript:ORUFI10G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLKKGVEFGVASLQRCHSLSCQMAESTQIDERKAGNRCSKEKSRRPKCCHPAEMPVIPEQAMEFLSRTWSPSSSDLFQILSPSSLGTSPVNRQEDEVIGDEDVEVHGDTVRFDGGRSQVFNQTWLNMGHMRAILRGYLMDSIPIAGSRRRKRRDELRLHTAQAHAAVSVAQLAAAIAGVVSACELRSSSGGAGADRKLSTVLASAAALVATVCAESAESAGADRSRVTSAVKAGLDSRSPAELLTLTATAATCLRGAAVLKLRADVSRGISSSTSNSMMMMSTNTASIQKGTILRVCLPCGRLRLRTVAVFPECGTVALRLGKKRLHGAFTTYQHYEVLAVSGGGEAVVDCRKFFPVALSTAAGTVQLLLDNQMHCKVWKASIESMLSASKANRLAMSRTPDPMEASNSPNKQEASQTGKTGEEGNWTISLGVEVGAEDARRHGLAGGDIGPPSARRVEPPQSRAAGLIAERVLANDVADYIRFRAVCRPWRLCSVDPRCSQSGAMDGRFLPRRWMMLDKAAPQAAERPQIDVRKAVIQCKEESRCSKCCHPADMPIIPEQAMEFLSRTWSPSSSDFFQTLRTSSEHRQPEKAIKDEEGNEEKDEERHLSTVNFSGGKSQFFNQTWQLNAGYMKAILRGHFLSAVSVTGRQRKKRKEELRLHAAQARAAVSVAQLAAAIAGIVSVCELRPDNLKYVEAADSKKMGTVLASAAALVATVCAEAAELAGTNRSGVTSAVKTGVESCSSAELLTLTATAATCLRGAAALKLRADVRGIGSNNNSVGTSTTSIHKDTTLRVRLPCGSVRLRKVAVFPQCDRVVLRLGKKHLHGAFSTYKNYEVLDVSSNGGDVVVDGMVLFPLVLRTAAGVVQLLLDSQMHCKVWKNAIEGILSDQNWRRRSVRGRRRWRMDWSNLGGEGPAGLIAERVLANDVADYIRFRAVCRLWRLRSVDPLSRALDCRFLPRRWIMLDKAAPPRCRRFLYLSTGECIRTDLPELESHTLVALAPEGLLLLLHQRTLLLRLLNPLTRHLTDLPPVTALLTPEQLRSWHSDGGLEDDPLLARGVGLASATTVALFLCRPKLIAVAKPGDECWAVVVADKNRPYIDSALPFAGRFYCAIGGSVMVLDSSPSSDQIIEGGRGWSPPLSRASPCISPGCQELFTLWTMVGSLMLVYRKIRQSNVDDESRQGAAKYEMKYDVYRVDFDAGDLIPVKGLGGRAVFLGLCRSVTLLPAEARS >ORUFI10G20280.1 pep chromosome:OR_W1943:10:20108717:20120016:1 gene:ORUFI10G20280 transcript:ORUFI10G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLPQPQSHRAALREDGHRPQPQPSSVLVLIIIMELLVLLLIPLLTSALSFSTAAPLRPGKSIQEAQHHSITVAVRVSSSAGERLSRPAFTAEVTRDLSAPADSADSAQTVATSAAAEASTVLSFLSAPAPPELLLSSQADTTPAIAAASWATETAAWACAVCSRSSSRLFLLRLPAMGMLSIKYPLRIALMCPMFSCSFSVETKSFRQFTSLCQIRQSMMALS >ORUFI10G20280.2 pep chromosome:OR_W1943:10:20108717:20119081:1 gene:ORUFI10G20280 transcript:ORUFI10G20280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSQLLRREQRGDRREVGEVAGEGVEQAEEEGALVEQQQEALRGERDQGVALELREIRTDALAGGEVEEAAAAGGRRLVEHDPPPGEEAAVQGAGEGIHRAQPPQPAHGAEADVVGDVVGEDALGDEAGRPLPAKQMLEQ >ORUFI10G20280.3 pep chromosome:OR_W1943:10:20108717:20120016:1 gene:ORUFI10G20280 transcript:ORUFI10G20280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSQLLRREQRGDRREVGEVAGEGVEQAEEEGALVEQQQEALRGERDQGVALELREIRTDALAGGEVEEAAAAGGRRLVEHDPPPGEEAAVQGAGEGIHRAQPPQPAHGAEADVVGDVVGEDALGDEAGRPLPAKPPELPLQDSNPHHGIDCNCVRTNGIREQNKQQQIVLTTQVGSSIITDKQNEDQYTSGKQFSWFMQLLVLLLIPLLTSALSFSTAAPLRPGKSIQEAQHHSITVAVRVSSSAGERLSRPAFTAEVTRDLSAPADSADSAQTVATSAAAEASTVLSFLSAPAPPELLLSSQADTTPAIAAASWATETAAWACAVCSRSSSRLFLLRLPAMGMLSIKYPLRIALMCPMFSCSFSVETKSFRQFTSLCQIRQSMMALS >ORUFI10G20280.4 pep chromosome:OR_W1943:10:20115819:20120016:1 gene:ORUFI10G20280 transcript:ORUFI10G20280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDNQIAKLMVELSRIKIVKLGMVPLRCNPHHGIDCNCVRTNGIREQNKQQQIVLTTQVGSSIITDKQNEDQYTSGKQFSWFMQLLVLLLIPLLTSALSFSTAAPLRPGKSIQEAQHHSITVAVRVSSSAGERLSRPAFTAEVTRDLSAPADSADSAQTVATSAAAEASTVLSFLSAPAPPELLLSSQADTTPAIAAASWATETAAWACAVCSRSSSRLFLLRLPAMGMLSIKYPLRIALMCPMFSCSFSVETKSFRQFTSLCQIRQSMMALS >ORUFI10G20280.5 pep chromosome:OR_W1943:10:20108717:20120016:1 gene:ORUFI10G20280 transcript:ORUFI10G20280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSQLLRREQRGDRREVGEVAGEGVEQAEEEGALVEQQQEALRGERDQGVALELREIRTDALAGGEVEEAAAAGGRRLVEHDPPPGEEAAVQGAGEGIHRAQPPQPAHGAEADVVGDVVGEDALGDEAGRPLPAKGSSIITDKQNEDQYTSGKQFSWFMQLLVLLLIPLLTSALSFSTAAPLRPGKSIQEAQHHSITVAVRVSSSAGERLSRPAFTAEVTRDLSAPADSADSAQTVATSAAAEASTVLSFLSAPAPPELLLSSQADTTPAIAAASWATETAAWACAVCSRSSSRLFLLRLPAMGMLSIKYPLRIALMCPMFSCSFSVETKSFRQFTSLCQIRQSMMALS >ORUFI10G20290.1 pep chromosome:OR_W1943:10:20128107:20128799:1 gene:ORUFI10G20290 transcript:ORUFI10G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERQLRRGGGCGGRWRRRKSSNWGLPSSATVEAPADSVESAETVRRGDSVESVETHTPQFLGLSALSPPPPPQGKRWSSSSHGGSGAGSGVIVGVFPDHLSFSDAGMPPPPAKWKGCCEPATSMAPWMLTVAASTMDRSIRTTVRLGNNLYFDGESLYQPNDSPNTFYPLVYAGASGKPFAEFCGNGSLDGFDVRGKIG >ORUFI10G20300.1 pep chromosome:OR_W1943:10:20131125:20135959:-1 gene:ORUFI10G20300 transcript:ORUFI10G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVRELAYDAEDCVGLYWLRIRCRPGDGVRGRLKHRLQTYRERRRLAAEISALSISERHARYGVNRDALQHRRLFPSYQSYSLTAAPVAAHAHRHDSHQLVGIKGQADALVELLIKQQLVGEQHVKVFSIVGFGGIGKSTLGEEVCRLLETEFPYRAIVSVSQAFDPTSRDRRSDLMELLKRVFRQVVEVKAENEILLNETPGWNRRLEIRETGQGTPVLSQGQEAIQSVLCDNNRGSRIIVTTRIEAVAKACSPAIGGHHIHHTQPLEFEDSKKLFLSRTFVNKECPEELEDVMENILKRCGGLPLAIVSIGSVLAGYTSPGSKDKWESIYKSIGSHMESNPTLEGMRQILALSYNHLPHELKGCMMYFSIFPEDYEVNKDRLLWRWIAEGLVTVTEKRGWGSSLMEVAESYLDELVNRNMIQLRDEFEYYWKAKMYRVHDMFLEVMVSKSLESNFASLLGGQYATMPYDRIRRLSIQGDDARLEEAEQPRKNMAGVDDGILDLEHVRSLSMFQHTGKKLLDQLGKFRLLRVLDLEGFKGALTKDHMGYICRLYLLRFLSLKGISLNGTEVEEIPSEIGKLEHLQTLDVRETSVRGLPDTVTKLYKLERLQISYNGDANLMWKLPLELKKMKMLREVGFAVLGNHLQVAQDVGELDHLQEMVVYVDDITFDDEVLTAFAGSLSKLYSLRRLIIGDVGYGNTLNFLNRLSSPPPLLQYLMIAGGIDRLPSWIMSLTCLGQFNMSWGKVAGDQLFDVLCELPSLTTVCIHNYCYEGEVLVARTRHRFPELITLRVASGSNLPNVIRFENGTMPKLEYLLVNFTDNNEKKIIGIRHLTSLKEVELWGNESNLALRRALKHLKSENKRRLFESSSQFQIVVKYE >ORUFI10G20310.1 pep chromosome:OR_W1943:10:20136008:20136331:-1 gene:ORUFI10G20310 transcript:ORUFI10G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISAAQSLVSIDGHVGRPAWHGPGPAVPGPRLVGPAWAGMGPTQVGPCRAWAGPKRRAVGRALWPTILVSTVGQLLGEEYRQLSGVRGEVAELRDDLATMNALFRM >ORUFI10G20320.1 pep chromosome:OR_W1943:10:20137357:20138334:-1 gene:ORUFI10G20320 transcript:ORUFI10G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWRCRLCRWIRFTPRPLAMDPLVLLSSALDPLTDQRSVFLEKGGAMSSESSESSLSPSLSSVPRSPRSPTTPAFLHCYLRQSLAPLMTPSYAPSPALPRALPLFPASVVHPAAYSIPII >ORUFI10G20330.1 pep chromosome:OR_W1943:10:20141493:20143684:1 gene:ORUFI10G20330 transcript:ORUFI10G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFAPSLPLHVAAAATAVASQGRRGRIKTTSACWRRRAAVPVNMAAATSSSALSKLAPLEAILFDIDGTLCDSDPIHFCAFRELLQQVGFNNGVPISEEFYSANISGGHNDDLARALFPDLDHDKAMKFMDDKEALYRRLAPEQLVAVEGLHDLCRWIKDHKLKRAAVTNAPRSNAELMLSLLGLTEFFPVLVIGSECDRAKPFPDPYLKALELIGASPDHTFIFEDSASGIRAGVAANVPVVGLTTRNPEKVLQDAGASLLIKDFQDPKLLSILEEIEPTVAAVEQV >ORUFI10G20340.1 pep chromosome:OR_W1943:10:20144079:20147987:1 gene:ORUFI10G20340 transcript:ORUFI10G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFARWRPPPPPAAPAAAGRVSLRPAYGRRSRAATVSPRAFGGGADFDGFVRRAWRGANAGAERLAFEVRQTAQRLDGRFSISRRLAEAARAARARAVEIDAELGIGRRWRSFSVDFSRNWPRYRRELTDFMATPIGRAFTTIFFIWFALSGWLFRVFIFGTFVLPFAAPLLLGTFGNRVAIEGTCPACKRRFVGYRNQVIRCMNCQNIVWQPNNRSSGRASSSRSSGPDVIDVEFEEK >ORUFI10G20340.2 pep chromosome:OR_W1943:10:20144079:20147600:1 gene:ORUFI10G20340 transcript:ORUFI10G20340.2 gene_biotype:protein_coding transcript_biotype:protein_coding METFARWRPPPPPAAPAAAGRVSLRPAYGRRSRAATVSPRAFGGGADFDGFVRRAWRGANAGAERLAFEVRQTAQRLDGRFSISRRLAEAARAARARAVEIDAELGIGRRWRSFSVDFSRNWPRYRRELTDFMATPIGRAFTTIFFIWFALSGWLFRVFIFGTFVLPFAAPLLLGTFGNRVAIEGTCPACKRRFVGYRNQVIRCMNCQNIVWQPNNRSSGRASSSRSSGPDVIDVEFEEK >ORUFI10G20350.1 pep chromosome:OR_W1943:10:20148067:20153252:-1 gene:ORUFI10G20350 transcript:ORUFI10G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEISMEGIEARARELGVDLSTVDLDSVTLPAGEDFGILSDDEDLLRIDDPMELEMGFANVVVVDNLPVVPPEKFEKLENVIRKIYSQIGAIKEGGLWMPVNPETKKTYGYCFIEYNTPQEAELAREKTNGYKLDKSHIFAVNMFDDFDKYMKVPDEWMPAEIKPYTPGENLQKWLADEKARDQFVIRAGTFTEVYWNDARQKMPELVFQKQYWTDSFIQWSPLGTYLATVHRQGSQVWGGDDKFERLMRFAHAQVKLIDFSPGEKYLVTYSSHEPSNPRDTHRVVLNIFDVRTGKVMRDFKGSADEFSASGNISVSGVSWPIFRWGGGKDDKYFARLGKNVISVYETETFSLLDKKSLKVENVVDFCWSPTDPIIALFVPELGGGNQPARVSLVQIPGKEELRQKNLFSVSDCKMYWQNSGEYLAVQVDRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDGPKPDISFYSMKTSNNISRVSKLTTLKGKQANALFWSPGGRFIVFAGLKGFNGQLEFYNVDELETMATGEHFMATDIMWDPTGRYLASAVTSVHEMENGFQIWSFSGKQLYKVSKDHFFQFLWRPRPPSLLTPEKEDEIAKNLRKYSKKYEQEDQDAFNQLSEQERKRRKQLQEEWEGWVAKWKQLHEEERPYRMELRDGEASDDEEEYDTKEVEIEEEIIRRFSNPSSCRLGIFLCLGEFQVQASRRCKMQSDAVARSPRQTVRVGGRRPTADPSRGSSEAYGFVGSIAAVAAAAAYLAWAYLPEPWLRFLGVTYYLARHWALAMPSLLLEAAAQGMVLYMASNFLLAPAPTCLATISDEFAREPAACGTATGEEKPIEDISDISIDKINNLMFGNASSS >ORUFI10G20360.1 pep chromosome:OR_W1943:10:20155070:20159725:1 gene:ORUFI10G20360 transcript:ORUFI10G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRMNPGDRRTRSMMSIVIVMSLCCFFYILGAWQKSGTGRGDSIALRVTKETDCTILPNLHFETHHSLGGVNPLVMTDKVFEPCHIRYSDYTPCQDQNRAMNFPRENMNYRERHCPTETKKLRCLIPAPKGYVTPFPWPKSRDYVPYANAPYKNLTVEKAVQNWVQFEGDVFRFPGGGTMFPNGANAYIDELASVIPFTDGTIRTALDTGCGVASWGAYLMDRNVLTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSGSFDMAHCSHCLISWKSNDAMYMFEVDRVLRPGGYWILSGPPINWKTNHQAWKRSKEDLEAEQNVIEKIAEMLCWGKIHEKGDTVIWRKKADSNECHNKDDHPSKMCKIQDADDVWYKKMEGCITPFPEEAQLRKFPERLFAAPPRILQGRTPGVTEEIFEEDNKLWKKYVSTYKRINKLIGSLRYRNIMDMNAGLGSFAAIIDSPISWVMNVVPTISEKNTLGIIYERGLIGIYHDWCEAFSTYPRTYDLIHANGLFSLYQNKCNMEDILLEMDRILRPEGAVILRDNVEVLNKVRRTVMGMRWKSKLLDHEDGPHIPEKILVSVKKYWVGNEEENSS >ORUFI10G20370.1 pep chromosome:OR_W1943:10:20161449:20163212:1 gene:ORUFI10G20370 transcript:ORUFI10G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKQSRSPCSSSSSAAVVSLCKVLLMVLALICTLETVSVEGDSLKSIWEDDALQRVLIRDVTYYQRYPDTAADPRISI >ORUFI10G20380.1 pep chromosome:OR_W1943:10:20167602:20168649:1 gene:ORUFI10G20380 transcript:ORUFI10G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHGSSSFGGALSLCKVILMVLALICTLHTASVQGGRAAAAIGGGGKGSVLDPTYTPPTTPGGSYTGGRGCRGPYRCPPGAGSP >ORUFI10G20390.1 pep chromosome:OR_W1943:10:20181632:20182143:1 gene:ORUFI10G20390 transcript:ORUFI10G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQHTSSAAAVVSLCKVLLMILALICTLHTVPVDGAGRDRSRRSLDPNYRPSVPPGRPYTPTPPGCNAVYGCRNSPPSSP >ORUFI10G20400.1 pep chromosome:OR_W1943:10:20190317:20191587:1 gene:ORUFI10G20400 transcript:ORUFI10G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGHLITKMLLLLVSLAPVAAGEHGVAAAEPRLVEIPFNFSVHSWNELISNRLKAELADNPCRQEIEGIPTMAGLHGDDEPPAKWITVRLFGGGDRDDDQAKLLVAEDDAYVAGFANRTGHWHTFRGGRCYPALPATACTELPFGGSYRDLIGGVANLRAVPVGRSSAVGAMEVLSRYDPAATTAAADAKMALAKFMVMVTEAARLKPVRRAVVERWEQVSYLSSDEVRDVPYYGKMSLMILEWKRTRRWGELGPWANVDRARCPRPTGCEDEDDADADAETGQND >ORUFI10G20410.1 pep chromosome:OR_W1943:10:20192158:20193666:-1 gene:ORUFI10G20410 transcript:ORUFI10G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREAQGLRRRRSMGGRFRAEIQIDPGVAIPRGPDAGFAAAVREPLVKLQRPKFEFEQWDWDYISWPHDRLDANLQMRDSDPEATFEADRKASDEFLRRSTLQLNKCERDRRKPEQQDMELEDQDMFVASLLHVDDEPTGCRSSSEPWRTAGQRSAITPHMGAAY >ORUFI10G20420.1 pep chromosome:OR_W1943:10:20194434:20197222:-1 gene:ORUFI10G20420 transcript:ORUFI10G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICWLIWKERNAKIFQHVSKTPEQLAEDIQEEIAVWRVRTTSIMADNMPPAVDDDLQELIAELMEADLQDPELEQSLTTVLSLATNYLDDPDPPSPELADWAATLETNSTIIADGLASRLLDFRRGLAVFAGTGRPEKAVLRKHVAWVDARRADAADIASAARRLLEKELRCFAARDHPVDPEVAELITAMRESTKSRVLQDSSGGDAVRRAGLLDIAIKFEDAVVEEMTALKEKLTRGAAVFFAGEEELVQALQKHAATAEAEIAEAQAFSAVLLADANRTASPVVVVQKRAAPETETETEEEPPRQRRRTGDAGDSAAQD >ORUFI10G20430.1 pep chromosome:OR_W1943:10:20197419:20198237:-1 gene:ORUFI10G20430 transcript:ORUFI10G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDMPPAVDDDLQDLIDELMNAGPEDEADDRECEEITAKALSEATEYLEDPDPPSPEQVGWAEGAVISAQSAADNMASYVLDLRRALAVFAGTGRPEEAVLRKNVAWADARRAEAVEIASAARRLLEKELRCMAARDHPVIPELAALITAMRESTKSRVLQDSSGGDAVRRAGLLDSAIKFEDAVVEKMTALKEKFTRGAAAFAGEEELVQALQKHAATAEAEIAEAQAFSATLSAASLVFVQKRPAPETEEEPPRQKRRTGDAGDSAAQD >ORUFI10G20440.1 pep chromosome:OR_W1943:10:20198515:20202397:1 gene:ORUFI10G20440 transcript:ORUFI10G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGQKAVAALPHLVKSLRSEPVSNAPRLRHLPSLRRTFSLYDQINLIDSVPEDQLRFQSYDDTGFNINKVKYEGSLLIIENKIMTWAPKSFAEITAESLSIFKVVHPIPEILILGCGRHIQPISPELRKFIRSTGMKLEAVDSRNAASTYNILNEEGRPVAAALLPCGVTS >ORUFI10G20440.2 pep chromosome:OR_W1943:10:20198515:20202397:1 gene:ORUFI10G20440 transcript:ORUFI10G20440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGQKAVAALPHLVKSLRSEPVSNAPRLRHLPSLRRTFSLYDQINLIDSVPEDQLRFQSYDDTGFNINKVKYEGSLLIIENKIMTWAPKSFAEITAERHIQPISPELRKFIRSTGMKLEAVDSRNAASTYNILNEEGRPVAAALLPCGVTS >ORUFI10G20450.1 pep chromosome:OR_W1943:10:20203128:20208986:1 gene:ORUFI10G20450 transcript:ORUFI10G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEIVGSAAARMAAPAVRPAPPAAAAAAAPPQPRRAVAARSLRTSTSDRVAADLALGSNGSLSAQNIAENTADATSQVVSANSRRKTKIVCTIGPSTNTREMIWKLAETGMNVARMNMSHGDHQSHQKVIDLVKEYNAKNTDGNVIAIMLDTKGPEVRSGDVPEPIMLEEGQEFNFTIKRGVSTKDTVSVNYDDFINDVEVGDILLVDGGMMSLAVKSKTADTVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENGVDFYAVSFVKDAKVIHELKDYLKSANADIHVIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPIEEVPLLQEEIVRTCRSMQKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREGSDAIMLSGETAHGKFPLKAVKVMHTVAQRTESSLYNPTTSPSLVAHPQALLNEEFSQSQLSKMFGSHATMMANTLCTPIIVFTRTGSMAVLLSHYRPSSTIFAFTNEERVKQRLALYQGVVPIYMKFSDDAEETFSRAISSLLNAQFVKEGDYVTLVQSGVKSIWREESTHHIQVRKVQG >ORUFI10G20460.1 pep chromosome:OR_W1943:10:20213136:20217474:1 gene:ORUFI10G20460 transcript:ORUFI10G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSKPAGAGPLPPNDAAALPADNPADPEAAAANGGADSAAADGGGDDKDAAKRAVPVFREFGLAELRAATKGFSADLIVSESGEKAPNVVYRGRLDGGRLIAVKRFSRLSWPDPQQFLAEAAGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRLRVAYYIAQALDHCNAENRKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIAESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNILLLMDSSLEGQYANEDASKLVDLASKCLQFEARDRPNIKYLLSSVGPLQKQKEVASHVLMGITKATAVLPTILSPLGKACSGMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQEMLNTKKFGDIAFRDKDFKTAIDYYSKLVGMMSVPSATVFARRSFSYLMNGQSELALRDAMQAQVCMPEWPTAFYLQALALSKLGMETDAQDMLNDGATFEAKKQNSWRG >ORUFI10G20470.1 pep chromosome:OR_W1943:10:20228576:20231168:1 gene:ORUFI10G20470 transcript:ORUFI10G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTLPPGFRFHPTDDELVGYYLKRKVDSLKIELEVIPVIDLYKFEPWELPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATSTGYWKATGKDRKIACAGEVFGLRKTLVFYKGRAPGGERTDWVMHEYRLCQDLAHGVSNFIGAYALCRVIKRHEAGLHGEPPAAKAKGMISKVSSSSSLVTVEHQLSSRGNASPSFTPTNNGSPLVDEMFVGGGGGDPFQLLPSCVPYHGGDACGFDLPPLCMPQTQDPFFSDAGFTQAAPPLYGDVMGSVSEHELKWDTLGGYSGGGGGELWNAAAAPLLCRQASDGDDLTAWFTAADDNMSVF >ORUFI10G20480.1 pep chromosome:OR_W1943:10:20240023:20247286:1 gene:ORUFI10G20480 transcript:ORUFI10G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADRAIRSRLPLVDLVLEVRDARVPAASAFAPLRRRSPEPDRRRLVVLNKADLADPSQTEKWMAYMKQTSCSCVALNSHSREGIKELLNAVRAKIREIKLGESDCTGTVLLVGIPNVGKSAIVNAMHRIGRIGAAEKGKLKHAIVSSHPGETKDISGYKVASHPNIYVLDTPGVLSPVFFNDESGPLLALTGAIKDSMMQEFEIAQFLLAILNSRETYKKWENMNQAGDMPSFSHAMSSSSHHNKRQYASDHTQDFVVKAVRQVLFDSISSFKGYLENENELKSLIECQFIALQEAFRVSADLSEDVRKLVAMKLLNLYRTGRLGRYTLDCAPDVRKEIVS >ORUFI10G20490.1 pep chromosome:OR_W1943:10:20242422:20244585:-1 gene:ORUFI10G20490 transcript:ORUFI10G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAPDPSNNKNSSSILHQQVKKISRIPFSWGAHAWGIGREDPRRAIHALKVGTALTLVSLLYILEPLFKGVGKNAMWAVMTVVVVLEFTAGATICKGLNRGLGTILAGSLAFIIELVAVRSGKVFRALFVGSSVFLIGFAATYLRFFPSIKKNYDYGVVIFLLTFNLITVSSFRQEDVVPLARDRLSTIAIGCAICLFMSLFVLPNWSGEDLHSSTVRKFEGLARSIEACVTEYFQDQDKDDKILDKQASRASIHIGYRAVLDSKSSDETLAHYASWEPRHSMQCYSYPWQKYVKIGSVLRHFAYTVAALHGCLESEIQTPPSVRSLFRNPCTRVAREVVKVLQELAVSIRDHHRCAPDVLSDHLHEALQDLNSAIRSQPRLFLGSKHACANSHVLMELNSSKHTTTRTTLPSFKTDGTSLLERRNTKADQPSERNERGTLRPTLSKIAMTSLEFSEALPFAAFASLLVEMVVRLEMVIEEVKELEKAANFREFTGHDYLTIDLTSNDKMRNPNGVPLDSHTISTAAE >ORUFI10G20500.1 pep chromosome:OR_W1943:10:20254456:20259386:1 gene:ORUFI10G20500 transcript:ORUFI10G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAEAVEELTRLYRELPPRPAVEEVEAAEAVLASADAEEAARLDEVAREEASASASSSAAAPGRADGELLAVLREARRNAVRLRALQQRKEAAYVVELERRFKVFDDLIQRASRVVSSSSDAAEAGGGTTGDGYVGVGADSVDLEMELRKKEAAVAAAAAVAEMERGSKGLAALGLESKPISSLRRDVSAGIDMEKLSLIQVASLIESSAKKGITELSLRGKLVDQIEWLPVSLGKLQDVTELDLSENRIMALPSTIGSLRYLTKLDLHSNQLINLPDAFGELSNLIDLDLHANQLKSLPSSFGNLTSLANLDLSSNMLKALPDCLGKLANLRRLIVETNELEELPYTIGSCTSLVELRLDFNQLKALPEAIGKLEKLEILTLHYNRIKGLPTTVGSLSRLRELDVSFNEVEVIPENICFATSLVKLNLSRNFADLRALPKSIGNLEMLEELDISSNQIRVLPDSFRCLSRLRVFHADETPLEFPPREVVKLGAQAVVKYMNDLNAARGTNQKKTDRGSFWTWLFSLFGCCKKNQEVGLPV >ORUFI10G20500.2 pep chromosome:OR_W1943:10:20254456:20259386:1 gene:ORUFI10G20500 transcript:ORUFI10G20500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAEAVEELTRLYRELPPRPAVEEVEAAEAVLASADAEEAARLDEVAREEASASASSSAAAPGRADGELLAVLREARRNAVRLRALQQRKEAAYVVELERRFKVFDDLIQRASRVVSSSSDAAEAGGGTTGDGYVGVGADSVDLEMELRKKEAAVAAAAAVAEMERGSKGLAALGLESKPISSLRRDVSAGIDMEKLSLIQVASLIESSAKKGITELSLRGKLVDQIEWLPVSLGKLQDVTELDLSENRIMALPSTIGSLRYLTKLDLHSNQLINLPDAFGELSNLIDLDLHANQLKSLPSSFGNLTSLANLDLSSNMLKALPDCLGKLANLRRLIVETNELEELPYTIGSCTSLVELRLDFNQLKALPEAIGKLEKLEILTLHYNRIKGLPTTVGSLSRLRELDVSFNEVEVIPENICFATSLVKLNLSRNFADLRALPKSIGNLEMLEELDISSNQIRVLPDSFRCLSRLRVFHADETPLEFPPREVVKLGAQAVVKYMNDLNAARGTNQKKTDRGSFWTWLFSLFGCCKKNQEVGLPV >ORUFI10G20510.1 pep chromosome:OR_W1943:10:20263706:20271167:-1 gene:ORUFI10G20510 transcript:ORUFI10G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPQERTVRDLYDRTRHKDLALPEESVEGRGSGRRVEGPGHREVVAKMEMLGGDSDPTMLSTIRDKLTARKRPVPLDSPNVKTESGTCNVCCAPCSSCLHRNIALTDSNMDCGSSQTCFARSETKNSSFVRVDKGLRTKAKGGENDDEFSATSSPASYSENGENKVIARSSVAADSEVDKPAKRRRLVNHGSRSPIVECHDDSNSCVTGVSAASKLLLDKKKDKLSTSASSRDLTVNYKDNGINRLRNYCAEESTGKKRSDVHAMHRSSSDRSLPAESPFATKRLLRTQSSLSASHGLSPKRPTHEFGNAQNNLAHQPCEKASSNKTVERSLGGKSDPSVLGGERHSMMTSCGTSNRDKIKAGSLTKNLENGTSCSRNGSLEHADIQSNDAVNRNDNDKQERNQGCSMDTGSGRKLNTQNDVMTDSGNSEGLIDVNVCDICGDVGREYLLATCTRCLEGAEHTYCMRVKLEKVPDGEWLCEECCLKEDENQTRSNGGTSRNKVLDGKNQNSESTNNSKTLKVVVTDLDSQQITCGTPVNDPLAGSNQKLHLASSDLEARQVKCVTPTAERLDVKNKNSGIMGNRKKLQVVTSSLEARQSSCRTPTSGSLDKKNQSLDKRSQSSEVLLKRKKLRVATDMESPLSNDGVRSPPKSCKRYAENTLSSTPRLLKADSPRNHDVFSRENSFKSSNKGSIKSPDNAPMRSQAVNSSVTLPRSYSLGNLANVKTPGPSPRGLLSKQPSFNNSSNEPKVKQLAEPVLSKLKPSKHSPRDPRESIRKVMKSGPLKHEASICKGSSSSKQKQSVHSSQNEQPRILKPVKPTILLERGASFNLQKPNISSSPRPDSSIKSGDPRNDQDSPRPGPSILKSSKKPGIVENKHSSILSKSDEQGITSTGVVCSKDTCVVKASDPLIPMDKIKNDSTDGACESPLILVNNDNEMSTKPEVLSIPRASKTCGSDFQDIAPTSSSEDLPPEEVQYEQKVVESDGNISCKSAAAIQASEDLLPESPQGCLVAQNPYSPDTKSNDLNLKQQALVDQSSTVGSSLGALVIPEQSYIWQGTFEVSRPGSSPEMYDGFQAHLSTCASLKVLEIVKQLPQRIQLVEVPRHSSWPLQFKEVKPNEDNIALYFFAKDVESYERAYGKLLENMLAGDLSLRANICGIELLIFTSDKLPERTQRWNGLLFFWGVLYARKASSSTELLVKGMNHSPLEQINGPVNQLVCSPKMPQSLGIDLNECPVDELYDPAVSVQTEMENRGASVNHETLLRSNHEAERLNLCEIHFPETAGTGKILLGTPTAVPYGVHVHTSSKRECLNIKPEYPSDIIGSEGTAGRDNMEEEESFTKNGVPCFTKQHTGATTRSVSDEILANTQARVSFQEVSPQHSVRPKLSDDPSDSVLKDFVLPDSSSIYKRQKTSEGKYSTCSFGDGQLTSKCLSKIPLPADQHTSLDDVQYIGRVPADPCSPTKPILDHVIHVLSSDDEDSPEPRNNLNKTSLKEEEGPSPLLSLSLSMASKKHNLTGSDTGDDGPLSLSLGLPGVVTSNQALEMKQFLPEKPGMNTSLLL >ORUFI10G20520.1 pep chromosome:OR_W1943:10:20286525:20296026:-1 gene:ORUFI10G20520 transcript:ORUFI10G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPADSDQVLVEANGVTRTLLMNRPKQLNALSSAMITGFLRCFTAYEEDEGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYSAHFFRNEFLLNYIIATYNKPQVSLLTGIVMGGGAGVSIHGRFRVVTESTVFAMPETALGLFPDIGASYFLSRLPGFYVRLALLEESLKKVDTSDPFAICGIIDQYSQQPSLKEKSALNRLEIINKCFSKRTVEEIIASLEQEAPNVADEWVASAIQSLRKASPTSLKISLRSIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDKDRNPKWMPPRLEEVHDEVVEKYFSKVDDPEWEDLDLPPRRSHGRRLVPKL >ORUFI10G20530.1 pep chromosome:OR_W1943:10:20297936:20301142:-1 gene:ORUFI10G20530 transcript:ORUFI10G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKDVSPLVTVPPAPAAAAPPPAAAPAPPPSQPPPPPLPFAQQAPPPAANPAAAPMRLSFDQMAGKAPGGEQHHHHHPGPMLYAAAPAGGAAPPPQGGNVMGMGELMRKKRGRPRKYAPDGSMALALAPISSASGGAAPPPPPPGHQPHGFSISSPASDPNAKRRGRPPGSGKKKQFEALGSWGIAFTPHILTVKAGEPAIAESAAAVQQVVMDLCLLFLRSGFGARTNSTPLHSSHDHDHHWLGWKGTNQGCETRTSCGVRQIPSMALTGPGPTVSGTDSGRCTYVVVDYVYGMAIGEKDQGRFEIISLSGSFLLAEDGDTRSRTGGLSVALAGSDGRVLGGCVAGMLMAATPVQVVVASFIAEGKKSKPVETRKVEPMSAPPQMATYVPAPVASPPSEGTSSGSSDDSGSPINHSGMPYNHSGQQQQHQQHQHMPPAYASGGWSLSAHHQNRHDSDMKMMSN >ORUFI10G20540.1 pep chromosome:OR_W1943:10:20306079:20309297:1 gene:ORUFI10G20540 transcript:ORUFI10G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASSSRLLHRFSSSSLPRPCRRRVPRPRGHSRFAVRWEHRAAAVVVLLPRALLGGGFQDADAAESDEEEEEDAHGVLRPLDADDVNLDLHAPPSGPERWDVLGLGQAMVDFSGMVDDEFLHRLGIQKGTRKVVNHEERGRVLRAMDGCSYKAAAGGSLSNSLVALSRLGSSRSTNYPELRIAMAGSVGSDPLGSFYRAKLRRANLHFLSKPVKDGTTGTVIVLTTPDAQRTMLAYQGTSSTLSYDSDLASLVSKSNVVIVEGYLFELPHTIEAIKQACEDAHKNGSLIAVTASDVSCIKRCYNDFWDIVTNYADILFANANEARAFCELSSTDSPMSAARYLSHSVPLVSVTDGVHGSYIGVKGEAIYIPPPPCVPIDTCGAGDAYASGILYGILRGSSDLKSIGLLASRVAAIVVAQQGTRLRVQDADRLAESFTLHLDNLEFCSDIETDHVSNF >ORUFI10G20550.1 pep chromosome:OR_W1943:10:20309990:20312642:1 gene:ORUFI10G20550 transcript:ORUFI10G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATEEMAVYCFDALVSHYSGDQPPPPAFEEGIHPLFVTWKKATNGSEPRLRGCIGTLEARQIVTGFKEYALTSALRDRRFPPIQSKELPYLECTVSILTEYETALNHLDWEVGKHGLIIEFTDPDYNMRRSATYLPEVAAHEGWTHLETIDSLMRKAGYNGTITDSLRKKLRVTRYQSTLYTMHYGEYAAYVKKNRGAAPEINGAPIINGFKPGH >ORUFI10G20560.1 pep chromosome:OR_W1943:10:20313236:20314017:-1 gene:ORUFI10G20560 transcript:ORUFI10G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIEEEIDAESDDDCCEIDPDEFARKVQLKVSDEVILVAAKGQIKVDANQPEGFGNLLDLSDSDNNLQPHHEYAAGDRMDHPYEIDEDKTTLEKLVDGEDKYRADDLQVDEGDRCREEVIPVKISVKSEPEEHGVIGEEDAYDLLPEINGFSEQLFPDERRVFDEEDDDDVVVIGRDSL >ORUFI10G20570.1 pep chromosome:OR_W1943:10:20315302:20317037:-1 gene:ORUFI10G20570 transcript:ORUFI10G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKTRGRRSAPAPPPPPTPPPPPAPPANVIDLTSSPDASTTKGGGSGNRTKRAPPSLLDIELDGIEMWTPGQKRRLDEDCCILSADPLSPDVVAATAAAAANDDVAVVAERGKVACRDYPHPRSACAKFPFGTTPHDDHCEQCFCYVCDVPAPCSSWKGEKGHCHASDKDKKWKVKRTARQKRTQVVK >ORUFI10G20580.1 pep chromosome:OR_W1943:10:20322397:20323035:1 gene:ORUFI10G20580 transcript:ORUFI10G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQEEVPPPPAGLGLTAEEYAQVRATVEAHHRYAVGPGQCSSLLAQRIHAPPAAVWAVVRRFDCPQVYKHFIRSCVLRPDPHHDDNGNDLRPGRLREVSVISGLPASTSTERLDLLDDAHRVFGFTITGGEHRLRNYRSVTTVSQLDEICTLVLESYIVDVPDGNTEDDTRLFADTVIRLNLQKLKSVSEANANAAAAAAAPPPPPPAAAE >ORUFI10G20590.1 pep chromosome:OR_W1943:10:20330545:20336955:-1 gene:ORUFI10G20590 transcript:ORUFI10G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGSAAPAAGSGMFVPTQTVGTVLCCICGVAMQPNPANMCARCIRARVDITEGVPRHAAVVYCPDCTSYLQPPRSWLRAGPESPELMQILLRRLNRPLARLRVSLSAAEFVFSEPHSKRLRLKLRLRREVFNAVVLEQTHPVEFTVHDRLCDACARAQSNPDQWVAVVQLRQHVPHRRTFLYLEQLLLKHGQASLALRVAAAPGGLDFFFGSRSHAARLVDFLATVAPVQTQTAKQLVSHDTKSNVYNYKHTFSVEICPICREDLIALSPQVSRDLGGLGPIVLCIKVTNAIALLDPLTLRVHHLEEKKYRVYNFKAALTSKQLVEYIVLDIEHESPEITIDGSRYQLAYAQVARVSDFGKNDTMFTVRTHLGHLLNPGDLALGYDLYGANLNDDDMDTAMMRHSLPEVILVKKSFAKRPRTRRWKLKRLPMEEDAVNKAKGELEKRADEYEEFLRDLEHNSDIRFQIDLYKDEDYRSEMASTIGDDVPTMPIEELIEDLSLGDDEEEDEGGEGNQHAVMIDQIMGDVVKDLVAGTVGGAANLIVGHPFDTIKVKLQSQPTPAPGQFPKYAGAVDAVKQTIATEGPRGLYKGMGAPLATVAAFNALLFTVRGQMEALLRSEPGQPLTVNQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALAEAAAASGVALPKGPIDVAKHVVREAGMKGLFKGLVPTMGREVPGNAVMFGVYEGTKQYLAGGQDTSNLGRGSLILSGGLAGAVFWLSVYPTDVVKSVIQVDDYKKPRYSGSVDAFKKILAADGVKGLYKGFGPAMARSVPANAATFLAYEITRSALG >ORUFI10G20590.2 pep chromosome:OR_W1943:10:20327809:20330175:-1 gene:ORUFI10G20590 transcript:ORUFI10G20590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGEEMGDVAKDLTAGTVGGAAQLVVGHPFDTIKVKLQSQPTPPPGQPPKFAGAMDAVKQTLAAEGPRGLYKGMGAPLATVAAFNALLFTVRGQMEAVLRSEPGAPLTVSQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALASAAPAAAAAAASPVGGGAATATASVAAVKYGGPVDVAKQVLRSEGGVGGLFKGLGPTLAREVPGNALMFGVYEAIKQYLAGGQDTSSLGRGSLVVAGGLAGASFWGSVYPTDVVKSVIQVDDYKNPKYSGSMDAFRKILAADGVKGLYKGFGPAMARSVPANAACFLAYEVTRSALG >ORUFI10G20590.3 pep chromosome:OR_W1943:10:20327809:20336955:-1 gene:ORUFI10G20590 transcript:ORUFI10G20590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGSAAPAAGSGMFVPTQTVGTVLCCICGVAMQPNPANMCARCIRARVDITEGVPRHAAVVYCPDCTSYLQPPRSWLRAGPESPELMQILLRRLNRPLARLRVSLSAAEFVFSEPHSKRLRLKLRLRREVFNAVVLEQTHPVEFTVHDRLCDACARAQSNPDQWVAVVQLRQHVPHRRTFLYLEQLLLKHGQASLALRVAAAPGGLDFFFGSRSHAARLVDFLATVAPVQTQTAKQLVSHDTKSNVYNYKHTFSVEICPICREDLIALSPQVSRDLGGLGPIVLCIKVTNAIALLDPLTLRVHHLEEKKYRVYNFKAALTSKQLVEYIVLDIEHESPEITIDGSRYQLAYAQVARVSDFGKNDTMFTVRTHLGHLLNPGDLALGYDLYGANLNDDDMDTAMMRHSLPEVILVKKSFAKRPRTRRWKLKRLPMEEDAVNKAKGELEKRADEYEEFLRDLEHNSDIRFQIDLYKDEDYRSEMASTIGDDVPTMPIEELIEDLSLGDDEEEDEGGEGNQHAVMIDQIMGDVVKDLVAGTVGGAANLIVGHPFDTIKVKLQSQPTPAPGQFPKYAGAVDAVKQTIATEGPRGLYKGMGAPLATVAAFNALLFTVRGQMEALLRSEPGQPLTVNQQVVAGAGAGVAVSFLACPTELIKCSALASAAPAAAAAAASPVGGGAATATASVAAVKYGGPVDVAKQVLRSEGGVGGLFKGLGPTLAREVPGNALMFGVYEAIKQYLAGGQDTSSLGRGSLVVAGGLAGASFWGSVYPTDVVKSVIQVDDYKNPKYSGSMDAFRKILAADGVKGLYKGFGPAMARSVPANAACFLAYEVTRSALG >ORUFI10G20590.4 pep chromosome:OR_W1943:10:20330545:20336955:-1 gene:ORUFI10G20590 transcript:ORUFI10G20590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGSAAPAAGSGMFVPTQTVGTVLCCICGVAMQPNPANMCARCIRARVDITEGVPRHAAVVYCPDCTSYLQPPRSWLRAGPESPELMQILLRRLNRPLARLRVSLSAAEFVFSEPHSKRLRLKLRLRREVFNAVVLEQTHPVEFTVHDRLCDACARAQSNPDQWVAVVQLRQHVPHRRTFLYLEQLLLKHGQASLALRVAAAPGGLDFFFGSRSHAARLVDFLATVAPVQTQTAKQLVSHDTKSNVYNYKHTFSVEICPICREDLIALSPQVSRDLGGLGPIVLCIKVTNAIALLDPLTLRVHHLEEKKYRVYNFKAALTSKQLVEYIVLDIEHESPEITIDGSRYQLAYAQVARVSDFGKNDTMFTVRTHLGHLLNPGDLALGYDLYGANLNDDDMDTAMMRHSLPEVILVKKSFAKRPRTRRWKLKRLPMEEDAVNKAKGELEKRADEYEEFLRDLEHNSDIRFQIDLYKDEDYRSEMASTIGDDVPTMPIEELIEDLSLGDDEEEDEGGEGNQHAVMAQSALAEAAAASGVALPKGPIDVAKHVVREAGMKGLFKGLVPTMGREVPGNAVMFGVYEGTKQYLAGGQDTSNLGRGSLILSGGLAGAVFWLSVYPTDVVKSVIQVDDYKKPRYSGSVDAFKKILAADGVKGLYKGFGPAMARSVPANAATFLAYEITRSALG >ORUFI10G20590.5 pep chromosome:OR_W1943:10:20327809:20329504:-1 gene:ORUFI10G20590 transcript:ORUFI10G20590.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAKDLTAGTVGGAAQLVVGHPFDTIKVKLQSQPTPPPGQPPKFAGAMDAVKQTLAAEGPRGLYKGMGAPLATVAAFNALLFTVRGQMEAVLRSEPGAPLTVSQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALASAAPAAAAAAASPVGGGAATATASVAAVKYGGPVDVAKQVLRSEGGVGGLFKGLGPTLAREVPGNALMFGVYEAIKQYLAGGQDTSSLGRGSLVVAGGLAGASFWGSVYPTDVVKSVIQVDDYKNPKYSGSMDAFRKILAADGVKGLYKGFGPAMARSVPANAACFLAYEVTRSALG >ORUFI10G20600.1 pep chromosome:OR_W1943:10:20341963:20343162:1 gene:ORUFI10G20600 transcript:ORUFI10G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGMGAAVLGAASAVGVDGEECVRRRQSTPTENHRNVPSTAHRCVRDFRFPDSSPNLQSTPPPPSLFPATTLSCRLKRRARCSRHPSHANRRRRRRRFRLPSPLTASRQASNARAPLQRAPPADRVASRLRLRHHHHQLSCAAFCTAADKGLHLRHCLGVRLADLVRAAITPSTSLADPLHRRTARTPSLASPICDADESRTPASACCHRLRLERQEVQKPYSTTHPPSS >ORUFI10G20610.1 pep chromosome:OR_W1943:10:20353686:20354271:-1 gene:ORUFI10G20610 transcript:ORUFI10G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTSYCVEEVEASGELSEESYRLIQQKMCDQGATYGIKNIKEKINLQCQEYKRKDQKHHKIRSRDSQDYNLRCRHHLDLLDRLFDQVKPFKSNISFLKFCAFRLINSCCLS >ORUFI10G20620.1 pep chromosome:OR_W1943:10:20357515:20358105:1 gene:ORUFI10G20620 transcript:ORUFI10G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTRRLLLNHEPTTTPASSSSSSRQSFPTLLPVFILFVLLLCFLSIFLVRDLLHFLSLCLRRRRLLLRHGEDHDSSSVSMQSSASPINAQAPRKPPGLDPAILASFPTLRFKASAAAPECAVCLSDFAAGDALRLLTVCRHAFHTPCIDSWLRAHTTCPVCRSDLDAAPAPAPRHEDPAAVAVDVECDRRGGAG >ORUFI10G20630.1 pep chromosome:OR_W1943:10:20358824:20362217:-1 gene:ORUFI10G20630 transcript:ORUFI10G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGRDQMAMVGVAGARRGGRMGMIPAKNASPVTIHRHRHRHRHLTTWPAAAAEEAAAAAAAAAKRIHDHHTPAESKAEAASEPQVSAGDHSTQSSDHSASAVAVGRGGSIWVRVLPKSVAMVDSPQTSEESTPTAEDKYIWADKYRPNFLNDFICNKDAALELYNQVTAQECNHIIFEGPTSVGKRSMVSALIWDAFATDNLKIEEQTKRFELKGEIAKHIDIRVKISSHHVEAVRHLCKVVTLKPPSSDEIIKVLEYIAVQESIDLPRDIARRITMSSGNNLRQAIRSFEATWKANYAFLEGHAILTGWEEEISNVAKKILEEPSPKQLYVIRGKIRKLIEHNVSPYFIFSNLVAELKRDRDEEFQNSIDQLASELNHIKDCARQKEQCESGDTGLEIRNINIEGFAKEGHDQRETIQCFIKIEEFTVRFMGFYRSLKAKNMNRGGVL >ORUFI10G20640.1 pep chromosome:OR_W1943:10:20368814:20370136:-1 gene:ORUFI10G20640 transcript:ORUFI10G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHESPFKELRLKNRRIMGGGGPEPEEEEAVAHGEQWPRWLSPLLSASFFSQCKVHADSHRSGECNMFCLDCAADADAAAAALCSLCLAHNHRDHHTIQIRRSSYHDVIRVSDIQRFMDIGGVQTYVINSARVVFLNERPQHKAGKGAVANICEVCSRSLLDNFRFCSLGCKVVGCSPHAATAAATATATAARRKRLRHAHAMASTSDSDNSTSPAKRSFTPSTPPPPPTLPPKRRKGIPHRAPFGSLIVEY >ORUFI10G20650.1 pep chromosome:OR_W1943:10:20378608:20386568:-1 gene:ORUFI10G20650 transcript:ORUFI10G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQAPSPAPAPSGSVGGAAWRHLLLLLTGLPLALAALAFALQWRGGGVDDPTARWPPHAFPGMGMTDPTPPSLPSSACGEVLIASSGPSFPYLRGWSFPFDSDSDAALHPKVCVQTSTSAGLDQILPWLFYHKVVGVAQFLLFVEGRAAKPQVAGVLESIPGVKVVYRTQELEEQQARSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIVMARDAAMDWIIHLDTDELLYPGGGAEYSVRHLLADVPGDVDMVIFPNYESSVERDDIKDPFSEVSMFKKNYDHLPKDTYFGMYKEATRGNPNYFLTYGNGKSAARVQDHLRPNGAHRWHNYAKSPNEIKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKDDVKRCFMLDFDRAAFIIASTASEEEMLRWYNERVVWNDKQLNLKLLRKGVLTRIYTPMAIVQGLRESGVFTSSIAAAQSLVNDKVSLKENNVQNKNHTKLEKVSRKFIRANSQASARKILHAVEPASHDSNVSAVPPLSPPSLDNHLLELS >ORUFI10G20650.2 pep chromosome:OR_W1943:10:20378608:20386568:-1 gene:ORUFI10G20650 transcript:ORUFI10G20650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQAPSPAPAPSGSVGGAAWRHLLLLLTGLPLALAALAFALQWRGGGVDDPTARWPPHAFPGMGMTDPTPPSLPSSACGEVLIASSGPSFPYLRGWSFPFDSDSDAALHPKVCVQTSTSAGLDQILPWLFYHKVVGVAQFLLFVEGRAAKPQVAGVLESIPANFLLLGPQGVKVVYRTQELEEQQARSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIVMARDAAMDWIIHLDTDELLYPGGGAEYSVRHLLADVPGDVDMVIFPNYESSVERDDIKDPFSEVSMFKKNYDHLPKDTYFGMYKEATRGNPNYFLTYGNGKSAARVQDHLRPNGAHRWHNYAKSPNEIKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKDDVKRCFMLDFDRAAFIIASTASEEEMLRWYNERVVWNDKQLNLKLLRKGVLTRIYTPMAIVQGLRESGVFTSSIAAAQSLVNDKVSLKENNVQNKNHTKLEKVSRKFIRANSQASARKILHAVEPASHDSNVSAVPPLSPPSLDNHLLELS >ORUFI10G20660.1 pep chromosome:OR_W1943:10:20388001:20388262:1 gene:ORUFI10G20660 transcript:ORUFI10G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGGGGELSVAAAATTEVGALMARALLVMSCVARLDDEDMGAGGGVEEAWATSRWRLSNADEVRHLMGIDA >ORUFI10G20670.1 pep chromosome:OR_W1943:10:20390460:20390688:1 gene:ORUFI10G20670 transcript:ORUFI10G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVAHNYSSSDPGGEEDEDDDWNTDEKAPPLESHDENDDDDDDSSGDLPPRKKKENTRVK >ORUFI10G20680.1 pep chromosome:OR_W1943:10:20392782:20395998:1 gene:ORUFI10G20680 transcript:ORUFI10G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPAHDYPTIEPTSFDVVICGTGLPESVLAAACAAAGKTVLHVDPNPFYGSLYSSVPLPSFLSPLVPLSPSGSGDDSHTVVHLHRRNIYSEVDTSGAAPEPSRRFTVDLVGPRVLYCADEAVGLLLRSGGSHHVEFKSVEGGSLLYWEGQLYPVPDSRQAIFNDAATLKLTEKTVLFRFFELVRAHIAAAAAAADEGEEASDKISDQDLDLPFVEYLKKHQLPPKLRAVVLYAIAMADYDQDTDCCEKLLTTREGIQTIALYSSIGRFANAQGAFIYPMYGHGELPQAFCRCAAVNGALYVLRMPISALLMDEERKNCLGARLTSGQDILCQQLILDPSYKVPILDAPSDALEEQQIAAIRGLQLSSNLAVCPPGLFMVYLSTPCADTSTGKQYIKKAIDALFAPQASDSLEGHLEETSENNEDLRPTVIWSCVYVQEITEGTSSSLLSCPTPDEHLDYRSILDSSKKLFADICPNEEFLPKKSAAVYADDDSDSAE >ORUFI10G20690.1 pep chromosome:OR_W1943:10:20409097:20412894:-1 gene:ORUFI10G20690 transcript:ORUFI10G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHVGVDSPSKKRRGEGARGPNTQSKVQKEAELACRRVLSSLIDLEEFIFSFPLRLLASPAANSNSNSNPAWASDPPSASAAMATDSSSSAAADKNTVFRKLRAKSDNKMCFDCNAKNPTWASVTYGVFLCIDCSAVHRSLGVHVSFVRSTNLDSWTPEQLKMMVYGGNNRAQAFFKQHGWTDGGKIEAKYTSRAADLYRQLLAKDVAKNSTEDGNNSWPSSPVAASQPTNQADAIPDLKLAEASKEVANEKTEPEVIRSPRAPTHSFKKPIVAKKPGNKTGGLGARKLTSKPNESLYEQKPEELAPALPPVTENSTAKSKSHTSRFEYVENTPSAGSNSEENQVIGHVAPPKSSNFFGEFGMDSGYHKKSAPGPSKVQIEESSEARQKFSNAKSISSSQFFGDQASFEKEAQVSLQKFSGSSAISSADLFGHPTNSSNVDLSASDLINRLSFQASQDLSSIKNMAGETGKKLTSLASNIMSDLQDRIL >ORUFI10G20700.1 pep chromosome:OR_W1943:10:20428895:20431159:1 gene:ORUFI10G20700 transcript:ORUFI10G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMWVLLSPLLTTKNPFHPIPIPTFPLLLFSSSLVGVLFQIKSNLEEEEIEIKSMNLWTDDNASMMEAFMASADLPAFPWGAASTPPPPPPPPHHHHQQQQQQVLPPPAAAPAAAAFNQDTLQQRLQSIIEGSRETWTYAIFWQSSIDVSTGASLLGWGDGYYKGCDDDKRKQRSSTPAAAAEQEHRKRVLRELNSLIAGAGAAPDEAVEEEVTDTEWFFLVSMTQSFPNGLGLPGQAFFAAQPTWIATGLSSAPCDRARQAYTFGLRTMVCLPLATGVLELGSTDVIFQTGDSIPRIRALFNLSAAAASSWPPHPDAASADPSVLWLADAPPMDMKDSISAADISVSKPPPPPPHQIQHFENGSTSTLTENPSPSVHAPTPSQPAAPPQRQQQQQQSSQAQQGPFRRELNFSDFASNGGAAAPPFFKPETGEILNFGNDSSSGRRNPSPAPPAATASLTTAPGSLFSQHTPTLTAAANDAKSNNQKRSMEATSRASNTNNHPAATANEGMLSFSSAPTTRPSTGTGAPAKSESDHSDLEASVREVESSRVVAPPPEAEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELRGKLTALETDKETLQSQMESLKKERDARPPAPSGGGGDGGARCHAVEIEAKILGLEAMIRVQCHKRNHPAARLMTALRELDLDVYHASVSVVKDLMIQQVAVKMASRVYSQDQLNAALYTRIAEPGTAAR >ORUFI10G20710.1 pep chromosome:OR_W1943:10:20433567:20447941:-1 gene:ORUFI10G20710 transcript:ORUFI10G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFASRHAASAPPPAPDASSPSSPRASSASSSSSAAAEEPEYLARYFVVKHSWRGRYRRILCIASSGLVTLDPATLAVTNSYDASYGFDRAAPEGNATEFTLTLRTDARGKFKALRFSSPLRAGILTELHRLRPVHPVLDFPVLHLRRRTHEWAPFKLKVTSVGIELLEGHSGDLRWCLDFRDMDSPAIILLGDSYGKRTAEGGGFVLCPLYGRKSKAFMAASGSTNTLIISYLTKTANSMVGVSLHVDNSQSMTATDFIAKRANEAVGAAETRHGEWSVTRLRPAAHGTASIESLSLGIGPRGGLGDHGDSVSRQLVLTNTSLVERRPENYEAIIVRPLSTVSALVRFAEEPQMFAFEFNDGCPIHVYASTSRDSLLATVLDVLQNQSQCAIPVLPRLTMPGHRIDPPCGVARVHISHHTVDMEAASMHIKHLATVAKEAVVSSDTVPGAKIRLWRRIREFNACIPYTGVPVNIEVPEVVLMALISLLPATPQNLPADAPPLPPPSPKAAATIMGFVACLRRLLTSRSVSAHVMAFPVAVGRIMGLLRNGSEGVAAEAAGLVAMLIGGGPGDTSMLTDTRGESHATYMHAKSVLFSQPVYVPILVNRLRPLSVSPLLSLSIVEVLEAMLCEPHGETTQHATFVELLRQVAGLRRRLFALFAHPAESVRETVSVIMRTIAEEDAIAAESMRDAALKDGALLRHLLNAFFYPAGERRDVSRQLVALWADSYQPALDLLSRILPPGLVAYLHTRSDEDSQNQYDEVPLSRRQKRILQQRRSRGGKSMAVPEQGMPSNNNEGDFFGHTNVGPFGADVHQRHANQYPTAYTPSPGISIDPSQAVPHGFVPEAFYENNHQTGAPQLDSHAYLVDSNGNGDLANSAHLDFSVPAQVVVENTPVGSGRLLCNWYGFWRAFSLDHNRADLIWNERTRQELREALQAEVHSLDVEKERTDDIVPGSSVTEDASDSETLPRISWNYVEFSVSYPSLSKEVCVGQYYLRLLLESGSNYRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDELGSSDDWCDLGRLDGFGGGGGSSVRELCSRAMAIVYEQHNKVIGPFDGTAHITVLLDRTDDRALRHRLLLLLKALMNDLSNVEVCVLVGGCVLAVDMLTVAHEASERTAIPLQSNLIAATAFMEPLKEWMYIDKDGKQVGPLEKDAIRRLWSKKSIDWTTKCWASGMSDWKRLRDIRELRWALAVKVPVLTPSQIGDAALSILHSMASAHSDLDDAGEIVTPTPRVKRILSSPRCLPHVAQAMLTGEPSIVEAAASLLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAQLFSATHTHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPSAFAAAMVSDSDTPEIIWTHKMRAEHLIRQVLQHLGDFPQKLAQHCHSLYDYAPMPPVTYPNLKDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLAMWREELTRRPMDLSEEDACKILEISLDDLVLGENGSSKQSSELSSGNLTNNIENIDEEKLKRQYRKLAIKYHPDKNPEGREKFVAVQKAYERLQASMQGLQGPQVWRLLLLLRAQCILYKRYGHVLEPFKYAGYPMLLNAVTVDKDDSNFLSSERAPLLIAASELIWLTCASSSLNGEELIRDGGIPLLATLLSRCMCIVQPTTPANEPAARIVTNIMHTFAVLSQFESGRAEILKFGGLVEDIVHSTELEFVPSAVDAALQTAANISVSSELQSSLLAAGFLWYVLPLLLQYDSTAEENATSEAHGVGARVQIAKNLHAVHATQALSRLCGLGGDGISPSNQSAFDALRALLTPKLADMLRNHPPKELLSNLNANLESPEIIWNSSTRGELLKFVDQQRASQGPDGSYDLTESHCFTYQALSKELNVGNVYLRVYNNQPDYEISDQEGFCIALLKFIAELVQKWNSLSLDENMMHQCGTAIETSVTENGDISGSTNEGKEEDSLEKHNRGVTDGDSEVIMNLRSGLTSLQNLLTSNPGLAAVFASKERLTPLFECLALPVPPESNIPQICLSVLSLLTKHAPCLEAMVAERTSLILLFQILHCNRSCRDGALTVLYSLASTPELAWAAAKHGGVVYILELMLPLEEEIPMQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSAIRDGPGEAVVSCLEQTTETPELVWTPAMAASLSAQLSTMATDLYQEQMKGRVVDWDVPEQASGQHVMKDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYVSSVAATHYEANAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVSAMAYEGRRDTMASGQTTSRLQAEPSNQENSADSTVQTPQERVRLSCLRVLHQLASSTTCAEAMAATSAGTPQVVPLLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLVEVLLGILDWRAGGRQGLCNQMKWNESEASIGRVLAVEVLHAFATEGAHCAKVREILNSSDVWSAYKDQKHDLFLPSNAQSSAAGVAGLIESSSSRLTYALTAPPPQPALVRLPSTAPALPSAPANPSGRHSYQHS >ORUFI10G20710.2 pep chromosome:OR_W1943:10:20433567:20447941:-1 gene:ORUFI10G20710 transcript:ORUFI10G20710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFASRHAASAPPPAPDASSPSSPRASSASSSSSAAAEEPEYLARYFVVKHSWRGRYRRILCIASSGLVTLDPATLAVTNSYDASYGFDRAAPEGNATEFTLTLRTDARGKFKALRFSSPLRAGILTELHRLRPVHPVLDFPVLHLRRRTHEWAPFKLKVTSVGIELLEGHSGDLRWCLDFRDMDSPAIILLGDSYGKRTAEGGGFVLCPLYGRKSKAFMAASGSTNTLIISYLTKTANSMVGVSLHVDNSQSMTATDFIAKRANEAVGAAETRHGEWSVTRLRPAAHGTASIESLSLGIGPRGGLGDHGDSVSRQLVLTNTSLVERRPENYEVDVGFFSPVLIQTIRKISITYEHASTFYTPCQINLLVIVVSRDEAHGPWAIIVRPLSTVSALVRFAEEPQMFAFEFNDGCPIHVYASTSRDSLLATVLDVLQNQSQCAIPVLPRLTMPGHRIDPPCGVARVHISHHTVDMEAASMHIKHLATVAKEAVVSSDTVPGAKIRLWRRIREFNACIPYTGVPVNIEVPEVVLMALISLLPATPQNLPADAPPLPPPSPKAAATIMGFVACLRRLLTSRSVSAHVMAFPVAVGRIMGLLRNGSEGVAAEAAGLVAMLIGGGPGDTSMLTDTRGESHATYMHAKSVLFSQPVYVPILVNRLRPLSVSPLLSLSIVEVLEAMLCEPHGETTQHATFVELLRQVAGLRRRLFALFAHPAESVRETVSVIMRTIAEEDAIAAESMRDAALKDGALLRHLLNAFFYPAGERRDVSRQLVALWADSYQPALDLLSRILPPGLVAYLHTRSDEDSQNQYDEVPLSRRQKRILQQRRSRGGKSMAVPEQGMPSNNNEGDFFGHTNVGPFGADVHQRHANQYPTAYTPSPGISIDPSQAVPHGFVPEAFYENNHQTGAPQLDSHAYLVDSNGNGDLANSAHLDFSVPAQVVVENTPVGSGRLLCNWYGFWRAFSLDHNRADLIWNERTRQELREALQAEVHSLDVEKERTDDIVPGSSVTEDASDSETLPRISWNYVEFSVSYPSLSKEVCVGQYYLRLLLESGSNYRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDELGSSDDWCDLGRLDGFGGGGGSSVRELCSRAMAIVYEQHNKVIGPFDGTAHITVLLDRTDDRALRHRLLLLLKALMNDLSNVEVCVLVGGCVLAVDMLTVAHEASERTAIPLQSNLIAATAFMEPLKEWMYIDKDGKQVGPLEKDAIRRLWSKKSIDWTTKCWASGMSDWKRLRDIRELRWALAVKVPVLTPSQIGDAALSILHSMASAHSDLDDAGEIVTPTPRVKRILSSPRCLPHVAQAMLTGEPSIVEAAASLLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAQLFSATHTHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPSAFAAAMVSDSDTPEIIWTHKMRAEHLIRQVLQHLGDFPQKLAQHCHSLYDYAPMPPVTYPNLKDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLAMWREELTRRPMDLSEEDACKILEISLDDLVLGENGSSKQSSELSSGNLTNNIENIDEEKLKRQYRKLAIKYHPDKNPEGREKFVAVQKAYERLQASMQGLQGPQVWRLLLLLRAQCILYKRYGHVLEPFKYAGYPMLLNAVTVDKDDSNFLSSERAPLLIAASELIWLTCASSSLNGEELIRDGGIPLLATLLSRCMCIVQPTTPANEPAARIVTNIMHTFAVLSQFESGRAEILKFGGLVEDIVHSTELEFVPSAVDAALQTAANISVSSELQSSLLAAGFLWYVLPLLLQYDSTAEENATSEAHGVGARVQIAKNLHAVHATQALSRLCGLGGDGISPSNQSAFDALRALLTPKLADMLRNHPPKELLSNLNANLESPEIIWNSSTRGELLKFVDQQRASQGPDGSYDLTESHCFTYQALSKELNVGNVYLRVYNNQPDYEISDQEGFCIALLKFIAELVQKWNSLSLDENMMHQCGTAIETSVTENGDISGSTNEGKEEDSLEKHNRGVTDGDSEVIMNLRSGLTSLQNLLTSNPGLAAVFASKERLTPLFECLALPVPPESNIPQICLSVLSLLTKHAPCLEAMVAERTSLILLFQILHCNRSCRDGALTVLYSLASTPELAWAAAKHGGVVYILELMLPLEEEIPMQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSAIRDGPGEAVVSCLEQTTETPELVWTPAMAASLSAQLSTMATDLYQEQMKGRVVDWDVPEQASGQHVMKDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYVSSVAATHYEANAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVSAMAYEGRRDTMASGQTTSRLQAEPSNQENSADSTVQTPQERVRLSCLRVLHQLASSTTCAEAMAATSAGTPQVVPLLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLVEVLLGILDWRAGGRQGLCNQMKWNESEASIGRVLAVEVLHAFATEGAHCAKVREILNSSDVWSAYKDQKHDLFLPSNAQSSAAGVAGLIESSSSRLTYALTAPPPQPALVRLPSTAPALPSAPANPSGRHSYQHS >ORUFI10G20720.1 pep chromosome:OR_W1943:10:20450845:20451525:-1 gene:ORUFI10G20720 transcript:ORUFI10G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSPRLPLPATNTAPPFKVHRGSRLIHKQPPAAASSSSSSNSSTSSASGLTTTTKNKNNAATAAAAHRPPSRQQHKQQQQQPVIIYTHSPKVIRTSPRDFMSIVQRLTGLDSARTAASHSHHDSSSSSSSADSCTNTSHHHAHAPPPPSSHSHSYVVVDPPPPPLPTTHHFIPPEIPLLARAPASDLPPALCAYAAPFVPVMSSPAATATATVFSAPDVPFPDH >ORUFI10G20730.1 pep chromosome:OR_W1943:10:20472530:20476960:-1 gene:ORUFI10G20730 transcript:ORUFI10G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDCQVLSSMAAMAGAASSADALFASPLIPNPALAGFMSSSAAMPFHHFSNAAATLIPKEEGLMGGLHVAKDEGMDLEMDMELSGGSGSAHLDGLLSFADVDDDHKPQHSGHDQPPDAAQPSGAAGGNAKKKRYHRHTAHQIQQMEALFKECPHPDDKQRLKLSQELGLKPRQVKFWFQNRRTQMKAQQDRADNVILRAENENLKSDNFRLQAAIRNVVCPNCGHAAVLADMSYEEQQLRIENARLKDELDRLACIATRYGGGGGRQPVLSTSALSCISAPPPVLMPPLDLDMNVYSRHFAEQAPVMGCGDLIPPPVVPQHDGAAAYMGAMMAPVQEQDKQLVVDLAATAADQLARMCRAGEPLWVRQRGAEVMAVEEHARMFSWPVDGAKQGDGGAVARAEGTRDNAVVIMNSINLVDAFLDANKWMELFPSIVCKARTIQIINHGAASGHLGSGTLLLMQAEVQFLSPLVAAREVVFFRYCVHNADEGSWAIVDFPAEGFEEGLLQASVVRCRRRPSGCIIQDMPNGYSRVVWVEHMEMVGEEKPLQPVFRDYVASGAAFGATRWLSILQRQCERLASELARNIADLGVIRTPEARTNMMKLSQRMITTFCANISASGTQSWTALSDSTQDTIRVTTRKNTEPGQPSGVILTAVSTSWLPFTHQQVFELLADEQQRCQLEILSNGGSLHEVAHIANGSHPRNCISLLRINAASNSSQNVELLLQESSTHPDGGSLVVFATVDVDAIQVTMSGEDPSYIPLLPLGFAIFPATSPSPAAAPTISSSTTTTTGNGNGETSSTPPRNSSSNNNNADELLPPNGCLLTVGMQVLASAVPSAKLNLSSVTAINSHVCNAIHQITAALKGSAGGAGGEPASDQ >ORUFI10G20740.1 pep chromosome:OR_W1943:10:20477005:20477447:-1 gene:ORUFI10G20740 transcript:ORUFI10G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDLHRYCNHKEEEEEEARKRERSSSSPASQPAVIDLKGEGSCRDQEEGEEVIKEGRPRNNRQGRRALAGSPEKEPLAS >ORUFI10G20750.1 pep chromosome:OR_W1943:10:20481564:20486683:1 gene:ORUFI10G20750 transcript:ORUFI10G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPPLFAAASHASLLLPSPTIHSSTGSRRPFRLPLRSSRRPPVAAAAASGVPDEWGDRSPSAPEPPSQPDPPIDDDEWGRDDPSASGNSRPVPVTDEWGEPGVPEPQSTSAADPPTNDDEWGGDPAQPPPPPPVPEEDNEEERREELKRCLVDTVYGSDLGFRASSEVRGEVLELVTQLEATNPTPEPVQATHLLAGNWILIYTAYSELLPILAVGAAPLFKVDEISQEIDTNSMTIVNASTISSPFASFSFSATASFDVQSPSRIEVQFKEGSFQPPKISSSVDLPAEVDIFGQKISLGPVQQVLNPLQQAFASIAGSISGQPPLKLPIPGNNRARSWLLTTYLDKDLRISRGDGGLFILVKEGSPLLDQL >ORUFI10G20760.1 pep chromosome:OR_W1943:10:20485421:20493952:-1 gene:ORUFI10G20760 transcript:ORUFI10G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAAAAAAAAASDETLAAIFAQLKPHTVTLLDLIRTRTPASKSAAASSLRAMASFLRSAPAPALQLCFEKEANASGELDISDAIAEGGLACLEVLLTKCRLTSVNQMVALLKKLTFGAMLSPSEASEEFRQGIIRCFRAMILQLHPCLDRSCSCKQATALSTALSFTSLEVGTIVTPKYSAQPEECLLAFLQSQNASAAVGHWLSLLLQSSELEASRGHRGSADVRKESLITLRVLIGKVGSADALAFFLPGLVSRLGKVLYTSKNMISGAAGSALSIEQAVLGLTEALIVVLNDKENLSELDISSVENVALCSGGNSSSEHVLQMLRQLPAKTLSKQIGSGEATEDVNADGSKTSADRRELHVKRTKKWLEETANNVDKLLSATFPHLSIHSSEKVRRSVVNGIRVLLSSCSYTLRKSKMLLVECLCILACDDAASVSEAAQDSLDYLFIEGERVLTEDDVSDIFTRFVEKLPQMVLGSEETTAISHARRLLALTYYAGPQFLANYLHRSPVVAARLFDCLGLCISQSSQFSGSMDKLIVSKPLSVGYLFSVAELKSGAYPKDENYGFQHAMPASTATKISVIHDNGLPNTTHSSVDYELPHVPPWFVHVNSQKLYFALAGIVRLVGLSAVSGEETSASLSLFVDILLDQFRRLSTELRSGGQRWYMKSDAGQTLRQASSAVCMLNELIYGLSDRSLSICLQIFNKNSAQMIGAPGQNDQLTAFGQNNGGTNRNIWKISEQMGTKNHIIHCIGSILHEYMAPEVWDLPTEPDSELSLTELNIPLYFFRDTAALHQVMIEGIGVFGVVLGQDFASSGFMHSSLYLLLRKLISSSVQIRIASDAVLRALAAAGGYCSVGQFVVANADYIVDSLCRQLRHLDLNPHVPDILASMLCYIGASRDILPFLEEPMRAVSSELEVLGRHDHPHLTVPFLKAVSEVAKASRHESVSLPDEVESFFMKVRSEGEAIQSLIEKRRDTCAMPERMDVDAQPDFMGLEYWEDLLCKLNEMRRYRRIVGSLVGSCVVASTPLLSSTKEAACLVALDIVENAIISIAKVEEAYKCESRCKAVIEETIQLLSVDELHDDMDAAEDVDENRLLPAVNKLWPYLVICLGNKISVSVVRKCTEVLSKVIQISGGDFFVRRFHKDGSVIWRLLTLSPFQRKRMALMDEKAIILPYRNTSLTSEEPMAEISSQKIQIAVLDMLAEISSNKRSAIALGSVLKKVCGLVVGIAYSGLIGLREAAIRALTGIASIDSDLVWLLMADVYYSLNQRDIPLPPKQDLVELSDLLPPPMSSREYLFVLYGGEGVRCDIDPSSVREVFKSMQDTVLT >ORUFI10G20770.1 pep chromosome:OR_W1943:10:20496072:20497640:1 gene:ORUFI10G20770 transcript:ORUFI10G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKATQSRSPGRQGRGTHTSPIPFPSAAAAAATTQCSAFPWDRQAASPASSRAARRRGRRRRRRRPGRRGGRRRTGPSTSSPCSRSSASSSSSFSPTTRLPPPSPSPPIRRCWPPLAPSRPQRKRRRPSPAAAASTAG >ORUFI10G20780.1 pep chromosome:OR_W1943:10:20497863:20502435:1 gene:ORUFI10G20780 transcript:ORUFI10G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKAKVEFDESPPDDFDPKNPYGDPVAMLEYREHLVREKWIQIETAKIIRDRLRWCYRIEGVNHHQKCRHLVDQYLEATRGVGWGKDARPPELHDPKKVVEADE >ORUFI10G20790.1 pep chromosome:OR_W1943:10:20505391:20506419:-1 gene:ORUFI10G20790 transcript:ORUFI10G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEPLPGDGQRRRYLIGYALAPKKQQSFIQPSLVSRAAGRGMDLVPVDPSRPLPEQGPFHLLIHKLYGEEWRGQLDAFSAAHPAVPVVDPPHAIDRLHNRISMLQVVSELDVPLHAHHHHTFGIPSQVVVYDAAALSDSGLLAALRFPLIAKPLVADGTAKSHKMSLVYHREGLRKLRPPLVLQEFVNHGGVIFKVYVVGAHVTCVKRRSLPDVSSDVLQDASAEGSLSFSQVSNLPNERTAQEYYDDMRLEDAIMPPTAFINDIAAALRRALGLHLFNFDMIRDARAGDRYLVIDINYFPGYAKMPGYETVLTDFFWEMVHKDDDTPNLNPNPNDEDVK >ORUFI10G20800.1 pep chromosome:OR_W1943:10:20519244:20520401:-1 gene:ORUFI10G20800 transcript:ORUFI10G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGTRLTRSASLSPRLRRSPTALCVSPRSMHHHHQQQQQSLRRATSDADIARSAPATASSPLPLLLRDILEEDVVVVVDGAGRGKGNDDDDKTSGRGGGGGGHMDMGEYYRRVLRVEPENPLVLRNYGRYLQEVEGDLGGAEECYARALLASPDDGDLLSLYGQLLWETSQDKDRAAAYLERAVQAAPDDCYVLGSYASFLWDAEEDEDDEAEDEMKPPLCKPGRAAASRSSKLEDANQAAEQSRAAQFKCGRTAG >ORUFI10G20800.2 pep chromosome:OR_W1943:10:20519616:20520401:-1 gene:ORUFI10G20800 transcript:ORUFI10G20800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGTRLTRSASLSPRLRRSPTALCVSPRSMHHHHQQQQQSLRRATSDADIARSAPATASSPLPLLLRDILEEDVVVVVDGAGRGKGNDDDDKTSGRGGGGGGHMDMGEYYRRVLRVEPENPLVLRNYGRYLQEVEGDLGGAEECYARALLASPDDGDLLSLYGQLLWETSQDKDRAAAYLERAVQAAPDDCYVLGSYASFLWDAEEDEDDEAEDEMKPPLVPASC >ORUFI10G20810.1 pep chromosome:OR_W1943:10:20536361:20540752:1 gene:ORUFI10G20810 transcript:ORUFI10G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRESNEEEKKSVCVMDASGPLGHALVARLLRRGYTVHAATYPHHHHHPEEEYQQHPRLKLFRADPLDYHAIAAAVHGCSGLFAIFNTPSSSQSQSHSCFLDEEEGMVEAEVRAAHNILEACAQTDTMERVVFNSSVTAVLWHALAKTLSERTAWALAMDRGVDMVAINAGLLTGPGLTAGHPYLKGAPDMYDHGVLVTVDVDFLADAHIAAYECPTAYGRYLCFNNAICRPEDAAKLAQMLISSAAAPRPPAPPSDELKVIPQRIHTKKLNKLMLDFTSGVYGDIN >ORUFI10G20820.1 pep chromosome:OR_W1943:10:20541834:20544521:-1 gene:ORUFI10G20820 transcript:ORUFI10G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVEILCGLLAYKIIRRVFFADSDDPAHLADLDSAHSDLCFALASRLEKLYSARCFVGLRIPDPDAGERQHVDLVLLTNREVMVVAIHNISGFVEVDKDGNWTCPSDKKNKHGVIPNPVLQVNRLAANLQSYLEKRGAKLPDGHITGKIVLPNPNCRPSYAITLQPEVILYDQWKDLKADSKGGLSTWIKGAFSGSKGDMQDSLLQNLHFILSTSPMWDRLELKGDRNILGEFIEFKGRHDDIQALKCLKRSKVCRFIVQKSTLFGGFGNFSALYLLVILFAKHSCERRSRVQILYSPRDYRAEGTSSSEWKEISVKQYTEILFQPLHSKKVKKFKLSSVASVTLSA >ORUFI10G20830.1 pep chromosome:OR_W1943:10:20545790:20548901:1 gene:ORUFI10G20830 transcript:ORUFI10G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQASKPKASSSVPRVARLQQVRPSSAASTSNNAHANANALLDKSSMDIPKPERRSFKASRATTPDRMQKARGANARPAAASAAEQLLQAQLNAVQEDLKNAREHLAVIDRDKAQLLHDLSLARRLADDAHAAQSAAEEALDLERFKSIEREQLAIDLAQTKERDWNARCHAIDLRRAELAAELGRVKDELALAVEATNTARQIADANADKAATLAAEVSRLQSELETKAEEATAIVAKLESEASGLRAELQNAEASRKEEVGRAEQLLHGLKVDIAYAKRAEADANLAAQEWKTKAESLQARLHELSSLNKSNEDSLQSLTSSFDECKSMLQHEQSQVVQLKEKVSSLEKEAREYKECFLETNRRLDVATKESHQLQATIDRLTSQHKLLNEAHQQVVTNEKTVNSQISLLSQDKIRIEQELDGARDERDKAKKAVEDLAAALREVSSEAREAKERVLAKQTELDSAQLQISELKAEMKNAQDRYQLMLDESKSEVECISKTVEKLGSEAKISNDEWASKEAGFVEMIRRSEEEMSSIKSEMSSLMVSLGAAEKQVQELKAERTQLLDKLKQSELTNSEGSSISSTGVQQTADESESTVGLKDLVSRKEKEVLALNDEVTDLRLRETAALAKANELSKLLAEATAKKAEEEEAAKGTEKSKVLLMKLEMDKLLGSLKAAEQEANAAKDDKAQLQAKLRLLESKMTEANLTAEEEKISSLRLKETLAEKEEELLSIAREYDGLRTREAAAQAKIDELSSLVAEASTARKLAGEYSANGVAAIRSPEKQHNMFRKMICSPMDNVRDDVNSSNRRTQEDEIKHVEVETVIMKQQQQQVIVKHGKEEALAMEVKTLENSKIIEDDISKHRDDDDNESSDDEEIESQGDDAAVEQMNGPLIHGPTSSFNKEQHNQHKKKKALLKKFGSLLKKKAHFTKLNSHAKLVS >ORUFI10G20840.1 pep chromosome:OR_W1943:10:20549269:20552370:-1 gene:ORUFI10G20840 transcript:ORUFI10G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAFGPLYSGFRESHAAHKLQEDRSGGRRTTTHVSASVSFPVAQIYRYDNDDDDDDGKDKLIIDFSKHTLIRLAEIFDQDDDYWSLQPPDASNPTY >ORUFI10G20850.1 pep chromosome:OR_W1943:10:20552482:20553366:-1 gene:ORUFI10G20850 transcript:ORUFI10G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSSSSSNSNPHLGVNKLGRNIRKATPPPPQPQPPSRPPQPQPQVYNISKNQFRDIVQQLTAGTPSPPPPPQPQHQHHPHRPLPPQHQQPKPPSMRLQKIRPPPISTPVARPPPVHNHQIPNPNHNPAFHRPPPPQPMPMPMPGPPVWADSPVTAYMRILENSLFSATPPGAAAAAAAAAAAATGQQAPPHHPHPPPPPPVPSPGILPSPSGFLNLLSPTPRSPYPLLSPGFQHPGPLTPNFPALSPLPGTGILGPGPMAPPSPGLWFPQSPSGLLSPSGFFPILSPRWREM >ORUFI10G20860.1 pep chromosome:OR_W1943:10:20557649:20559452:-1 gene:ORUFI10G20860 transcript:ORUFI10G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLSSRRITRSMAKASAAAPDATSTAHPPRPALHDITNDSPIVGLASSGLRTPASTAAKARPTRASRRTPGSGEALLRGQVKTLLQKVHHDASSSSSCAAAAPPSPNILRIHAPIGLARSPAHLLAPTPANTPHLTAAAPHAFTMTVPCVLEEELLSKLQVIADALPPPPAQAEENHLGECNRALVFDDSPGKSDLSNAASVLSSSSLSFQDSSSTDRSPDDDSSSAWSIQVNASSEKGDEDTFTDQDPEEEEEEEWLTEDDDDDECFDDLCEGMSKMSVFDDEEEEDKKAGLPAFQGKHTRFIYDSDGEMEREDVAHVPVENCTMVLRGLPVPEGKHLRFHEVEEDEE >ORUFI10G20870.1 pep chromosome:OR_W1943:10:20560648:20563467:-1 gene:ORUFI10G20870 transcript:ORUFI10G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSWSGLLVISCMLLMSWAAAAVDMSPVRFDAAYMPLFGGDNLVPSPDARTVLLKLDRFTGSGFVSKSAYHHGFFSASIKLPHDYTAGVVVAFYLSNGDVFPGQHDELDFELLGNRRGHAWHVQTNMYGNGSTGRGREERYLLPFDPTAAPHSYAIAWTPAAVIFYIDAIPIRELVRCSSGDYPAKPMSVYATIWDGSAWATDGGRHKVDYAYAPFTAVFSDLVVTGGTDDDHCAAMGLMTSEVAVMTPAKRGSMRRFRSRHLTYSACYDTVRYNGTGVVFPECDESEQDNFHAWGESKRVINSRSSSSATYATGSGVRID >ORUFI10G20880.1 pep chromosome:OR_W1943:10:20576927:20583363:1 gene:ORUFI10G20880 transcript:ORUFI10G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVEGRNCLPAEVRIGLETLKRRRLERMRLTAQNNAGDGPPVPARSGGDALRTPANCGVRLHANNGTALPSRTTQNKDPFAKRRVDKFDMSSLEWIDKIEECPVYYPTKEEFEDPIGYIQKIAPVASKYVSASVPAGVVLMKEQPGFKFMTRVQPLRLAKWAEDDTVTFFMSERKYTFRDYEKMANKVFAKKYSSASCLPAKYVEEEFWREIAFEQELRSCPCKSNRVVYVREDIQELEALSRKFEKDICLDKEISGFDSYKQAEKNEPFFEITRNLRNTEVNLIEDAFSGATAADAAKSSPATSTLTSFAQHDVPVLAEAIVCANQADQLYSTTEQTISSPLVKGTDAVGANSSSMADANNGTGSQAVEEGAP >ORUFI10G20890.1 pep chromosome:OR_W1943:10:20583735:20591213:1 gene:ORUFI10G20890 transcript:ORUFI10G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVIFFLLRWRHVGLHLLLRPAALLLLPVNPDPPRHSLLLLQASRSPTLASLSSSSWCLPTADPPPPPRLRLFTDGGGEVELRLDIGKLGIENSRDVFVDVDDTSLLVRAKSDGTLRTLINVKQLFDRIKSSETIWFIDEDQLVVNLKKVEQELKWPDIDESWESLTSGITQLLTGISVHIVGDSTDINEAVAKEIAEGIGYLPVCTSELLESATEKSIDKWLASEGVDSVAEAECVVLESLSSHVRTVVATLGGKQGAASRFDKWQYLHAGFTVWLSVSDASDEASAKEEARRSVSSGNVAYAKADVVVKLGGWDPEYTRAVAQGCLVALKQLTLADKKLAGKKSLYMRLGCRGDWPNIEPPGWDPDSDAPPTNI >ORUFI10G20890.2 pep chromosome:OR_W1943:10:20583826:20591213:1 gene:ORUFI10G20890 transcript:ORUFI10G20890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASTCFSAPPPSSSSPSIPTHLATLCCCFRPPARPPWPRSLLLLGAFPPPTRPLPRASFTDGGGEVELRLDIGKLGIENSRDVFVDVDDTSLLVRAKSDGTLRTLINVKQLFDRIKSSETIWFIDEDQLVVNLKKVEQELKWPDIDESWESLTSGITQLLTGISVHIVGDSTDINEAVAKEIAEGIGYLPVCTSELLESATEKSIDKWLASEGVDSVAEAECVVLESLSSHVRTVVATLGGKQGAASRFDKWQYLHAGFTVWLSVSDASDEASAKEEARRSVSSGNVAYAKADVVVKLGGWDPEYTRAVAQGCLVALKQLTLADKKLAGKKSLYMRLGCRGDWPNIEPPGWDPDSDAPPTNI >ORUFI10G20900.1 pep chromosome:OR_W1943:10:20587189:20590033:-1 gene:ORUFI10G20900 transcript:ORUFI10G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLDKCGGNISSLKRKRDNPAARCADAGNTSKLHKHPADNSVVRFYVDEGHKAKIKCHFNMQIIQSYQNFMTSALPKRILLRQGGEWKDFPKQIVKLAHSDFRTKKTITEGEHQTHLFLLDFVHMTFIDSKTGLQRPIAWIDENGKQYFPEFFIEDETLYRKKELGNGNNVYIIVEPNGTQEMNDHFGTSESSAESSNFESSTDDVSSPKRAKAERSVAGNKTGGVKETIGENEPHALLPIPCRSLPQDKLGDHSRVQLAISAVQKLLLQGLGTVLGSKDIVGIYRTPAVDNHKEFRYNLFKKQAEHTKCKRGNANVRYAWLACSKDAVDEMMLNGVMHFEKTVKCPDYGIGTILAPANCSNTCVNYSDVDENGIVHMMLCRVVMGNVEIVHHGSKQHRPSNEYFDSGVDDIKNPQHYIVWDMNVNSHIYSEFVVTIKLPSRVKDSPATEEDCHNLSEVSSLILSSGSPDSVRRYLSMGLDNIAIVSQLLFSYRQVPLLEQQQHAVIQTVTESTIDLCLLLRLDFKLTVDMNLQASPALGGHYEAPMLGDKVERAPSTPWMPFSMLFAAISTKVSAENMDMVNSCYEEFKSKKISRVDLVKKLRHIVGDRMLISTIMRLQDKLPPMSRHEAPKHVGQDDG >ORUFI10G20900.2 pep chromosome:OR_W1943:10:20587189:20590033:-1 gene:ORUFI10G20900 transcript:ORUFI10G20900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLDKCGGNISSLKRKRDNPAARCADAGNTSKLHKHPADNSVVRFYVDEGHKAKIKCHFNMQIIQSYQNFMTSALPKRILLRQGGEWKDFPKQIVKLAHSDFRTKKTITEGEHQTHLFLLDFVHMTFIDSKTGLQRPIAWIDENGKQYFPEFFIEDETLYRKKELGNGNNVYIIVEPNGTQEMNDHFGTSESSAESSNFESSTDDVSSPKRAKAERSVAGNKTGGVKETIGENEPHALLPIPCRSLPQDKLGDHSRVQLAISAVQKLLLQGLGTVLGSKDIVGIYRTPAVDNHKEFRYNLFKKQAEHTKCKRGNANVRYAWLACSKDAVDEMMLNGVMHFEKTVKCPDYGIGTILAPANCSNTCVNYSDVDENGIVHMMLCRVVMGNVEIVHHGSKQHRPSNEYFDSGVDDIKNPQHYIVWDMNVNSHIYSEFVVTIKLPSRVKDSPATEEDCHNLSEVSSLILSSGSPDSVSQDMNLQASPALGGHYEAPMLGDKVERAPSTPWMPFSMLFAAISTKVSAENMDMVNSCYEEFKSKKISRVDLVKKLRHIVGDRMLISTIMRLQDKLPPMSRHEAPKHVGQDDG >ORUFI10G20910.1 pep chromosome:OR_W1943:10:20596223:20602356:1 gene:ORUFI10G20910 transcript:ORUFI10G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPPLASSPSPAWTAILPAPARLCCSRRGALRLEAKAAWRPAARGPRVPAKGAVLASEVVGPSPLLDARNEQELILHIRKEVEKGKLPADVAANLEELYYNYKDAVMQSRDPNAHDIVLSNMVALFDCVLLDVENPFTFPPYHKAVREPFDYYMFGQNYIRPLVDYRNSYVGNISIFQDMEQKLQQGHNVVLMSNHQTEADPAIIALLLERSNPWISENIVYVAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDFPELVDMKRRANTRSLKEMALLLRGGSQIIWIAPSGGRDRPDPLTGEWHPAPFDASAVDNMRRLLEHSGVPGHIYPLSLLCYEVMPPPQKVEKEIGEQRVISFHGVGLSVTEEIKYSDITVHTQNVDECREKFSESLYNSVVDQYNALKSAIFRGRGADSSDSAISLSQPWR >ORUFI10G20910.2 pep chromosome:OR_W1943:10:20596223:20602356:1 gene:ORUFI10G20910 transcript:ORUFI10G20910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPPLASSPSPAWTAILPAPARLCCSRRGALRLEAKAAWRPAARGPRVPAKGAVLASEVVGPSPLLDARNEQELILHIRKEVEKGKLPADVAANLEELYYNYKDAVMQSRDPNAHDIVLSNMVALFDCVLLDVENPFTFPPYHKAVREPFDYYMFGQNYIRPLVDYRNSYVGNISIFQDMEQKLQQGHNVVLMSNHQTEADPAIIALLLERSNPWISENIVYVAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDFPELVDMKRRANTRSLKEMALLLRGGSQIIWIAPSGGRDRPDPLTGEWHPAPFDASAVDNMRRLLEHSGVPGHIYPLSLLCYEVMPPPQKVEKEIGEQRVISFHGVGLSVTEEIKYSDITVHTQNVDECREKFSESLYNSVVDQYNALKSAIFRGRGADSSDSAISLSQPWR >ORUFI10G20910.3 pep chromosome:OR_W1943:10:20596223:20602356:1 gene:ORUFI10G20910 transcript:ORUFI10G20910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPPLASSPSPAWTAILPAPARLCCSRRGALRLEAKAAWRPAARGPRVPAKGAVLASEVVGPSPLLDARNEQELILHIRKEVEKGKLPADVAANLEELYYNYKDAVMQSRDPNAHDIVLSNMVALFDCVLLDVENPFTFPPYHKAVREPFDYYMFGQNYIRPLVDYRNSYVGNISIFQDMEQKLQQGHNVVLMSNHQTEADPAIIALLLERSNPWISENIVYVAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDFPELVDMKRRANTRSLKEMALLLRGGSQIIWIAPSGGRDRPDPLTGEWHPAPFDASAVDNMRRLLEHSGVPGHIYPLSLLCYEVMPPPQKVEKEIGEQRVISFHGVGLSVTEEIKYSDITVHTQNVDECREKFSESLYNSVVDQYNALKSAIFRGRGADSSDSAISLSQPWR >ORUFI10G20920.1 pep chromosome:OR_W1943:10:20612196:20617767:1 gene:ORUFI10G20920 transcript:ORUFI10G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGILKNGGKPPTAPSSAAPTVVFGRRTDSGRFISYSRDDLDSEISSVDFQDYHVHIPMTPDNQPMDPAAGDEQQYVSSSLFTGGFNSVTRAHVMEKQASSARATVSACMVQGCGSKIMRNGRGADILPCECDFKICVDCFTDAVKGGGGVCPGCKEPYKHAEWEEVVSASNHDAINRALSLPHGHGHGPKMERRLSLVKQNGGAPGEFDHNRWLFETKGTYGYGNAIWPEDDGVAGHPKELMSKPWRPLTRKLRIQAAVISPYRLLVLIRLVALGLFLMWRIKHQNEDAIWLWGMSIVCELWFALSWVLDQLPKLCPINRATDLSVLKDKFETPTPSNPTGKSDLPGIDIFVSTADPEKEPVLVTANTILSILAADYPVDKLACYVSDDGGALLTFEAMAEAASFANLWVPFCRKHEIEPRNPDSYFNLKRDPFKNKVKGDFVKDRRRVKREYDEFKVRVNGLPDAIRRRSDAYHAREEIQAMNLQREKMKAGGDEQQLEPIKIPKATWMADGTHWPGTWLQASPEHARGDHAGIIQVMLKPPSPSPSSSGGDMEKRVDLSGVDTRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYVYNSKAFREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKDHTTPWSCCLPRRRRTRSQPQPQEEEEETMALRMDMDGAMNMASFPKKFGNSSFLIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPRETLDASIVAEAISVVSCWYEEKTEWGTRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTHRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASSKMKVLQRIAYLNVGIYPFTSVFLIVYCFLPALSLFSGQFIVQTLNVTFLTYLLIITITLCLLAMLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKQLGDDVDDEFAELYAVKWTSLMIPPLTIIMINLVAIAVGFSRTIYSTIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWSGLVAITISLLWIAIKPPSAQANSQLGGSFSFP >ORUFI10G20930.1 pep chromosome:OR_W1943:10:20618512:20621369:-1 gene:ORUFI10G20930 transcript:ORUFI10G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATERLLNYMPPAIMLQAEIALSELIQSSPEEALHDYILGVLAPDPPFSDEIFKERCLGSLSAHLQTLLKQTVHIFQEDLAIGKCAALRVRVFARDAAKACYMAGTKPKPLRSTNSRIGEEDGEGVNGGEEGPTREAPPTTDYPICHQRPPPVLLLGHTEHCRVDPHLCRLDPPLPR >ORUFI10G20940.1 pep chromosome:OR_W1943:10:20621513:20623408:-1 gene:ORUFI10G20940 transcript:ORUFI10G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHATRTRTPRLSAAAAAAAFFTTRPRSHPPPPPPRLSPRLVDATVSRCPSDALAITFFLWCARCPAYFHPPSSFDRLLPAAARLASRLRTAPAILHQLRALGCPIRPHTFLLLLRLYWRGGIYPLVLQLFDQMPLWGFHPNAFARNVVLDVLLRTRHHHSALCFLRDNPSPNYLTYAILLTHLCRSGNWPGVRACFLAMLHQGFLPSAASLNAVFACCTKLAATSELLQLLGFTLVSGYQLTSAMWTCLIARLCREGKLDEAIRMLAKMLASGSPPTVVTYTPIVRALYRAGRHDIATELFASMSSTNCSPDLVLHNVLMDCMTKDKRYDAALGVYLNLHESQMKPDAYTLSTLVRALHLSRNVSLLPRLFLDSADIPYDLVACNSVLNALCKSGFPSQAVQFFINMIKCDIRPDSYSYVGLLDSLCQLGRIDHAINVYHSIVSSDPDSNAYVHAAILCGLVKKGHNRMALMILNEAVRQNYALDAVCYTVVLHGLLQAHLIEEACMLFDKMKRSGMASNTCTYNIMLRGLCRTRDTHALKWFLREMECSDVEMDSISYNILVVFLIKLQHISSATALVREMVNLGMELSAKTSSLISQSMGHEYVLEDANIAENDHSDSTNDLLACSAS >ORUFI10G20950.1 pep chromosome:OR_W1943:10:20625813:20627015:-1 gene:ORUFI10G20950 transcript:ORUFI10G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMADSHLDGVPAMRKTTASSSSSLNIAFLLSMVATNLLSLYHLSTRASTAPPLLLPAAHQAQAQDEQLLRQLTAIRATVSQLNHLRSSTPPPPPPPPELLLYSRLAPLASACSAHPDLLHRFMSYTPFSPCPDDALSLAEPLLLRGCHPLPRRRCFSSSSISSSHNLNNLPTDPFSPLPDSAVRWPPGAKCTSFSCLPPSLGFDLARTEAARFLRAEGPLDLTVPQLLRLASLSRAGPIRLGLDVGGGTGTLAARLKRAANATVLTTTMNLGAPYSEAAAARGVVPLHAPLQQRFPVGDATMDLVRAGHAVNRWIPEAALEFLWYDADRVLRPRGLLWVDHFWCRRPDLAAVYQPMLRRLGYKTLKWAVADKTTPTPTAPPGAKHDHVYLTALLQKP >ORUFI10G20960.1 pep chromosome:OR_W1943:10:20628752:20633700:-1 gene:ORUFI10G20960 transcript:ORUFI10G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSHSRKRTRAPSNSNSKPTSQTQPPPPELTDRPVRVYADGIFDLFHFGHARALEQAKLLFPNTYLLVGCCNDELTNRYKGKTVMTQDERYESLRHCKWVDEVIPDAPWVLTQEFIDKHQIDYVAHDALPYADTSGAANDVYEFVKKIGKFKETKRTDGVSTSDLIMRILKDYNQYVMRNLARGYTRKDLGVSYVKEKQLQVNMKINKLRETVKAHQEKLQTVAKTAGINHEEWLANADRWVAGFLEKFEEHCHNMETAIKGRIQEKLRRQTSRGIIGGLMQQPITCINSFIYLSIYHIRVMAQLVVSLGILVAADKLVEQAFAAASIKFPSALFAMFCVFALLLLLPPSLANGFMAFFDPATVFIHRWLPLFFVPSLVVLPLAVRDVSPASALKILFITFGGWFASLVVAGYTALSVRRIVKTQLIPAEPMKRPSPFGPLEFWAWAAVFVASFAVAYVSPTALGTTATTCLPFLLASTVFGYILGSRLPSGVKKVLHPIICCALSADLAAVAYGYLSRSGVDAVLGDYLTESPSNPGAGDILMGFLGSVIISFAFSMFNQRKLVRRHAAEIFTSIAVASTFSLYSTAILGRVVELEPILTISILPRCITVALALRVVSLFEGLSLLDLY >ORUFI10G20970.1 pep chromosome:OR_W1943:10:20635313:20638644:-1 gene:ORUFI10G20970 transcript:ORUFI10G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDAAATAHPSLSFYSAATGLYSSLHPPLPLPSDPSLSLVPHLFSHLPLHHHSLLVDAPTAATLSCANFRRLVSSLAAGLRRRLHIARGSLVLLLLPNSLTFPVAFLAVLATGAIATTMNPSSAPAEIAARLRDTAPSLVLVSTHNAAKLPPLAAPLVLVPDTFQQQHDDDQFDFFFHALLETDPETPVEVGVGVGQDDAAAVIYSSGTSGRSKGVVVTHRNLIAMVELFVRFEASQYTRPARDNVYLAALPMFHVYGLSLFAVGLLSLGCTVVVMRRFNVDDAVKAIRKYKVTHLPLVPPIMSALLRANPPLELDSLLQGYGMTESTAVGTRGFNTCKHKKYASVGLLAPNMHAKIVHLESGSCLPPGSYGELWLHGPAIMKEFCFVTGYLNDDDDAFTRKDGWLRTGDIAYFDSDGYLFIVGRLKDTIKYKGFQIAPADLEAVLIRHPEIVDVAVTSDEDEEAGEIPVAFVVRKSGSTLSCTHVMEYVAKQVASYKRVRKVIFVEAIPKSAAGKVLRRLLKDSLVDASSGRSRL >ORUFI10G20980.1 pep chromosome:OR_W1943:10:20640378:20645357:-1 gene:ORUFI10G20980 transcript:ORUFI10G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPDLGVSAFINILGAFVFLLIFAALRLQPINDRVYFPKLYLTGQRRHHPHPHGFVNLDLCSYLRFLAWVPGALRMSQPDLIHHAGLDSAVYLRIYTLGLKIFLPIMTVALLVLIPVNVSGGTLLNLRKEIVFSDIDKLSISNVNPGSNRFFIHLLMAYVFTFWTCFMLYKEYSNVAFMRLHFLASQKRCADQFTVIVRNIPHVSSHSTSETVDEFFRRNHPDHYLGQQAVYNANRYAKLVKKKERLQNWLDYYQLKFERHPGKRPIGRTGCLGFCGREVDQIDYYRARISELDKKLASERQRVLNDPKAVMPVAFVTFDSRWGAAVCAQTQQSKNPTQWLTDWAPEPRDVYWQNLAIPFFSLSIRKFLISIAVFALVFFYMIPIAFVQSLANLEGIEKVAPFLRPVIDAPVVKSFLQGFLPGLALKIFLYILPTVLMIMSKVEGYVSLSSLERRAASKYYYFMLVNVFLGSIIAGTAFEQLNAFFHQPPSQIPRTIGVAIPMKATFFMTYIMVDGWAGIANEILRVKPLVIYHLKNMFIVKTERDRERAMDPGSIGLAENLPSLQLYFLLGLVYAVVTPILLPFIIIFFAFAFLVYRHQIINVYNQEYESAAAFWPQVHSRIIASLLISHVTLFGLMSTMKAAYSTPLLIFLPLLTIWFHKYCKSRFEPAFRKYPLEEAMEKDNLERTSEPNLNLKSYLQNAYLHPIFHMFEQQQQEQEQQREEKVEVRIDKAQQHHHRQVEKEEEEEESKSSQATTHYYHHHHEQTTTTTHHHYHQHEHMSHYHMGPSDTADSPSPPHFVYHYGVDP >ORUFI10G20990.1 pep chromosome:OR_W1943:10:20647678:20651620:-1 gene:ORUFI10G20990 transcript:ORUFI10G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPLSNSTTNNKRAEGIQLQHGDCESESTAPLLLAPHESYRLSAAILPFLFPALGGLLYGYDIGATSGATISLKSSTFSGTTWYNLSSLQTGLVVSGSLYGALIGSILAFNIADFLGRRRELILSSVSYLIGALLTAAAPNFPIMVVGRFFYGIGIGLAMHAAPMYIAETAPSQIRGMLISLKEFFIVLGMLLGYIAGSLFVEVVSGWRYMYATSTPLCLIMGIGMCWLPASPRWLLLCAIQGKRNIMESKENATRCLCRLRGQASPDLVSEQVDLILDELSYVDQERQAGFSEIFQGKCLKAMIIGCGLVFFQQVTGQPSVLYYAATILQSAGFSGASDATRVSVLLGLLKLIMTGVAVLVVDRLGRRPLLIGGVSGIAVSLFLLSSYYTLLKDAPYVAVIALLLYVGCYQLSFGPIGWLMISEVFPLRLRGRGLSIAVLVNFASNALVTFAFSPLEDLIGTGILFSAFGVIAVASLVFIFFIVPETKGLTLEEIEASL >ORUFI10G20990.2 pep chromosome:OR_W1943:10:20647678:20651620:-1 gene:ORUFI10G20990 transcript:ORUFI10G20990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPLSNSTTNNKRAEGIQLQHGDCESESTAPLLLAPHESYRLSAAILPFLFPALGGLLYGYDIGATSGATISLKSSTFSGTTWYNLSSLQTGLVVSGSLYGALIGSILAFNIADFLGLIPPTPPLFSFNIALVFCFRLCLSLTTGRRRELILSSVSYLIGALLTAAAPNFPIMVVGRFFYGIGIGLAMHAAPMYIAETAPSQIRGMLISLKEFFIVLGMLLGYIAGSLFVEVVSGWRYMYATSTPLCLIMGIGMCWLPASPRWLLLCAIQGKRNIMESKENATRCLCRLRGQASPDLVSEQVDLILDELSYVDQERQAGFSEIFQGKCLKAMIIGCGLVFFQQVTGQPSVLYYAATILQSAGFSGASDATRVSVLLGLLKLIMTGVAVLVVDRLGRRPLLIGGVSGIAVSLFLLSSYYTLLKDAPYVAVIALLLYVGCYQLSFGPIGWLMISEVFPLRLRGRGLSIAVLVNFASNALVTFAFSPLEDLIGTGILFSAFGVIAVASLVFIFFIVPETKGLTLEEIEASL >ORUFI10G21000.1 pep chromosome:OR_W1943:10:20652510:20655099:-1 gene:ORUFI10G21000 transcript:ORUFI10G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVRGVLNGIREKGLSNFLRHARDEGYFKCLLDGNLLQTKIHNIGATLVGVDKFGNKYYEKLHDTQYGRHRWVEYAEKGRYNASQVPPEWHGWLHHITDSTGDEATVNSPPGRLPRLNAIEPFSLVPGSKLQACLAVSHSMKLLEQNAKAYIVDHKENFSGEGEELIYHSKGHALNPGQRDWTRYQPWEPKKEEAT >ORUFI10G21000.2 pep chromosome:OR_W1943:10:20652510:20655099:-1 gene:ORUFI10G21000 transcript:ORUFI10G21000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVRGVLNGIREKGLSNFLRHARDEGYFKCLLDGNLLQTKIHNIGATLVGVDKFGNKYYEKLHDTQYGRHRWVEYAEKGRYNASQLLEQNAKAYIVDHKENFSGEGEELIYHSKGHALNPGQRDWTRYQPWEPKKEEAT >ORUFI10G21010.1 pep chromosome:OR_W1943:10:20659395:20660339:1 gene:ORUFI10G21010 transcript:ORUFI10G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSLFWQSSADQGDLSDVVRASLQLQTAPRHQAASPPYVHLLGGGGGGGEDQLAAVSQQAEQQQQSMVDASAACDLLHALLPPPPVVQVQQQGASRTRTTIEEDTTGDGEELFAGAHYVVPPIKRSCKDGWILTRTGIYIYIXGGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRADPTMLVVTYTSDHNHPWPTHRNALAGSTRPSSSNSSNIRLQDSTPVHHQSQTGHDRLTTTHLKQEDVIISPSLLQPDHHQLCTIIDTKHHLLFHQDYPHSFGFFD >ORUFI10G21020.1 pep chromosome:OR_W1943:10:20664094:20665677:-1 gene:ORUFI10G21020 transcript:ORUFI10G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWCAAAGLGLGRRLLSSSVSAPAHRPLPAHLIPSPRPLPFSSRHHLLTPPLGLHPSSPPPMQWQTQQVRHFAAKDRSRAPRTPTTSKVKKYKIKPPSSMKFRFRVMNDGQVRRWRAGKRHNAHLKSKEAKRRLRKPALVHLAYAKVIKKLNFCS >ORUFI10G21030.1 pep chromosome:OR_W1943:10:20666526:20675389:1 gene:ORUFI10G21030 transcript:ORUFI10G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMESGDAQLPLLHHQASASNQHYTKPPFNWKAPALILAFEFLESIAYAGISLNLVVYLGTVLHGTTASNAANVDTWNGTTFLTPVLGAFLADTYWGKYKTIAISTVFYLIGLLVITASAVIPSLQPAPCNGSSCPPATGFQYFVFFTALYLISVGTGGVKSALLPFGADQYNDSNLEESKKKQSFFSLFFIAINLGVFISGTVVVWIQQNVAWSLGFGISSICLVVATVAFLAGTPVYKVQLPSGSPLKSVVMVFVASFKKRKLEVPADNALLYEGDDADLSNGQSVKLAHTDGFRWLDKAAVVFEEVEEINKDEGGGGGWLQCSVTQVEEVKILLRMLPIWVTSVLYAASLGQTATTFVQQGNAMNTKIGSFSVPAASLNSAEVIFMMIWVVFQDTVVVPIARRYTGNPAGLTQLQRMGVGRLLAVPALAVAAVLETWRLRSVRDGGNLSIAWQLPQFVILACSDVFCGIAQLEFFYSEAPVSMRSLCSAFSFLALSLGYYVNSLVVSIVAVVTTTSNKGWLPADLNDGHLDYYFWLWTGISAINFVVYAAFAKNYTVKRLAVPHSH >ORUFI10G21030.2 pep chromosome:OR_W1943:10:20666526:20675389:1 gene:ORUFI10G21030 transcript:ORUFI10G21030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYKYYVLSELYMSTNKQGLLVITASAVIPSLQPAPCNGSSCPPATGFQYFVFFTALYLISVGTGGVKSALLPFGADQYNDSNLEESKKKQSFFSLFFIAINLGVFISGTVVVWIQQNVAWSLGFGISSICLVVATVAFLAGTPVYKVQLPSGSPLKSVVMVFVASFKKRKLEVPADNALLYEGDDADLSNGQSVKLAHTDGFRWLDKAAVVFEEVEEINKDEGGGGGWLQCSVTQVEEVKILLRMLPIWVTSVLYAASLGQTATTFVQQGNAMNTKIGSFSVPAASLNSAEVIFMMIWVVFQDTVVVPIARRYTGNPAGLTQLQRMGVGRLLAVPALAVAAVLETWRLRSVRDGGNLSIAWQLPQFVILACSDVFCGIAQLEFFYSEAPVSMRSLCSAFSFLALSLGYYVNSLVVSIVAVVTTTSNKGWLPADLNDGHLDYYFWLWTGISAINFVVYAAFAKNYTVKRLAVPHSH >ORUFI10G21040.1 pep chromosome:OR_W1943:10:20681216:20683884:1 gene:ORUFI10G21040 transcript:ORUFI10G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNNMMDSPPSRSRGRDEKKKKRWKWKLGPATILGFELLESIAFSGVALNLVVYLATVLHGTLAFNAAHVDTWNGTTFIVPVIGAFLADSYWGKYRTILASLLFYLAGLVLLTVSAAVPSLRPAPCTGVPCSPATGTQFSVFFLALYLTSIGTGGVKSALLPFGAEQYERDDHDTDQEGAPAPEKTKQSFFSWFFGAINLGIFVAGTLVSWVEQNVSWALGFGIATLCLLIASAAFLAATPCYRVRLPTGDTPIKAILRVLVAAFRNRTRTLPPDADGDGLYEVDDDKSKNGGDDEKLAHTEGLRWLDKAAVRVDGGGVWEVCTVSEVERVKVLARIVPIWVTCVLYAASLGQMTTTFIQQGMAMDTRVFGGRFRVPVASLVSVEVVFMLLWVLLHDVVVMPVARRWWRSGGLTQLQRMGVGRVLVVVAMATAALVERRRLRGEKRSMSILWQVPQFVVLAGSDVFSGIAQLEFFYGEAPGSMRSICSAFSFLALSLGFYVNSLVVTIVAAVTKRSDGSGGWLAPDLDTAHLDYYFWLWALISLANLALYLLLAARYKSKKPSPPHSSSHP >ORUFI10G21050.1 pep chromosome:OR_W1943:10:20684492:20684668:-1 gene:ORUFI10G21050 transcript:ORUFI10G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLTCSRSLSGLDPPGLASPRQGHLLVASKRYNAGGGGFMPLDGSRDWCGVFDGTV >ORUFI10G21060.1 pep chromosome:OR_W1943:10:20686235:20691005:1 gene:ORUFI10G21060 transcript:ORUFI10G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNKEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDALIYVVDSLDRERIGRARAEFQAIINDPFMLNSVLLVFANKQDMRGAMTPMEVCEGLGLYDLTNRIWHIQGTCALKGDGLYEGLDWLATTLDEMRASGRITSTSSS >ORUFI10G21070.1 pep chromosome:OR_W1943:10:20689137:20693299:-1 gene:ORUFI10G21070 transcript:ORUFI10G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRVGGGTNRPAWLQQYELVGKIGEGTYGLVFLARLKQSHPHAAAGVGRRGSPIAIKKFKQSKEGDGVSPTAIREIMLLREINHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHREKLNLPINPYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGIIKIADFGLARIYQAPLKPLSDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGVEAKATPNPFQLDQLDKIFKVLGHPTVEKWPTLANLPCWQNDQQHIQGHKYENTGLHNIVHLPQKSPAFDLLSKMLEYDPRKRITAAQALEHDALLPSQAGEKIVQYPVRPVDTTTDFEGTTSLQPTQAPSGNAAPGNQSVVPRPIPRQMQQPMVGMSRMGGTNMAAFGAAPQGGIAGMNPGNIPMQRGAGAQSHPHQLRRKADQGMGMQNPGYPTQQKRRF >ORUFI10G21080.1 pep chromosome:OR_W1943:10:20696999:20699703:1 gene:ORUFI10G21080 transcript:ORUFI10G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVCPPAELGFGAEYYSVVNGVCSRAGSYFGGRPVLTQAVGYAVVLGFGAFFALFTSFLVWLEKRYVGSQHTSEWFNTAGRSVKTGLIASVIVSQWTWAATILQSSNVAWQYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPNAHTVCEIVRARWGTPAHLVFLTFCLLTNVIVTAMLLLGGSAVVNALTGVNVYAASFLIPLGVVVYTLAGGLKATFLASYIHSVVVHAVLVVFVFLVYTSSSKLGSPRVVYDRLMAVASAARDCSADLSRNGQACGPVAGNFKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWMSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPLPAAEAAKGLVPPATATALMGKSGSVLLLTMLFMAVTSAGSAELVAVSSLCTYDIYRTYLNPGASGKQILRVSRAVVLGFGCFMGVLAVVLNVAGVSLGWMYLAMGVIVGSAVIPIALLLLWSKANAVGAMGGAVSGCALGVAVWLTVAKVQYGRVNLDTTGRNAPMLAGNLVSILVGGAVHAACSLLRPQHYDWGTSREMITTVESVHAALDDELKEERLVHAKRWIVRWGLVFTAVIVVAWPALSLPARRYSLGYFTLWAAVAIAWGTVGSVVIILLPVAESWTTITKVCAGMFTNDAVYDRLDDVNLRLRAIMGAMPEAEKRYRQLHETEMHPAGTHPANDDDDDNNNNQMMHS >ORUFI10G21090.1 pep chromosome:OR_W1943:10:20700271:20702437:-1 gene:ORUFI10G21090 transcript:ORUFI10G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEDLSGLAASLGELHVESSASKDSEISQPGNSVDDDAIADDDVWDDVSDSPGHGSTLDREWVHRQNQFHKMGYRDGIAEGQKDIAQEGFNVGFGQSVHVGYKWGLVRGITSLLNIEEDGYMLAYQF >ORUFI10G21100.1 pep chromosome:OR_W1943:10:20713459:20713919:-1 gene:ORUFI10G21100 transcript:ORUFI10G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYEPPEVSFDEYLLDCARMFHAMFPDESRSQRPSDTKWELRGLERGYASASFDLGIRGSLYTDRRVRD >ORUFI10G21110.1 pep chromosome:OR_W1943:10:20714713:20718161:1 gene:ORUFI10G21110 transcript:ORUFI10G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRHGGGGGGGGGRGRGGRGRRGGEEDDLHLHKAARSGDLAAAESLCEANPLALNSRDRLSRTPLHLAAWAGHVELVKCLCKHKADVGAAAMDDTAAIHFASQKGHVEVVRELLASGASVKAKNRKGFTALHFASQNSHLELVKYLVKKGADIAAKTKGGQTALHVAEKDDVRDFLKECEQSLKKGGELPSEKKDDSVSTIAEKPDDDKSSGEATKDEDEAGFGEKRKSDGIAAALRSPELKKAKVSLGHLISANDMEEEEEAD >ORUFI10G21120.1 pep chromosome:OR_W1943:10:20715813:20716459:-1 gene:ORUFI10G21120 transcript:ORUFI10G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTRPGRATTERERDRASVLRWKGNDGVGGGVCSRVTRNRGGWWKAKGVREMAGTAQEIAQLRPAWMVAVVHLGEASPMAQKFGQRSSGEGDLPVAGGGLA >ORUFI10G21130.1 pep chromosome:OR_W1943:10:20718370:20723519:1 gene:ORUFI10G21130 transcript:ORUFI10G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASASATASLSAAAAMAVAAAALRPTQQRRLRVVAPPRPLRLTSLNPPRAASAPSTPLWEAGVRAESSDSPGAGAGGDVMGLLLRERIVFLGNEIEDFLADAVVSQLLLLDAVDPNSDIRLFVNSPGGSLSATMAIYDVMQLVRADVSTIGLGIAGSTASIILGGGTKGKRFAMPNTRIMIHQPVGGASGQALDVEVQAKEILTNKRNVIRLISGFTGRTPEQVEKDIDRDRYMGPLEAVDYGLIDGVIDGDSIIPLEPVPERVKPKYNYEELYKDPQKFLTPDVPDDEIY >ORUFI10G21140.1 pep chromosome:OR_W1943:10:20720913:20722426:-1 gene:ORUFI10G21140 transcript:ORUFI10G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFFRRASSDPLCLEDNSVQHGIERCPFLRNINEPTSFSFSSVNFPVPARGDKGPIFEDGPNFDMAFRVFHGQDGVVPLSQGSFERFEKPMPKPNPEFNPLAAKAATISLSAFGGFFSFGDFSNKRNKKNSNQKKPNNLPQNGGQPNNHEALSNEWLEMGQCPLAKSYRALSGVVPLVAKMMTPPAGMKLRCPPAVVAARAALSRTAFAKGLRPQPLPTKILVMALLGMAANVPLGIWREHTEKFSVQWFAAVHAAVPFIGMLRKSVLMPKTAMALTIAASILGQTIGSRAERIRLKRAAKVAAGSQGDASTRMSLKTGRYTDDVQFWDPLALRVESTIGTGTPVLVPTFH >ORUFI10G21150.1 pep chromosome:OR_W1943:10:20724261:20730741:-1 gene:ORUFI10G21150 transcript:ORUFI10G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLANGIIQMFIKLSLRGPLSPWVPMITAKVDLAIELLEDLLGIIQTLEEKKHEKISTDLDQNFPEDTKSNGKLPAGLFQLDNIFATDYYDAHADYLQLVNYQDCELRALEFQRLALNLCTQQEPTVEGHNAGIDAFLLAAECYVNPLFLLDFHSNSESLDEIERIHAELIQGNCFSEAKHLRAKDIDLMKIYNLENKRDKAVLDLLMQAARYDFEYQGKIPDGKPFPDDVEDGKQYIEISPEARHLADAVTLVRKNQAMLCHFIMKQFERKGHSPNEILLQSLLFLLHSATDLFCPPENVIDIILKSAEDLNGKLVCLYNSVNARNNKLDRIKLHYLRRRWALLQKLVLASSGSDNTRELVSIKRDGFRFKSLVPPSAWIHKISDFSRSSSPLPRFFGWMAVSRYAKEYLNEQLFLASDFSQLTSLLSIFTDELSLMGGVTTQKAKSAKIEQSGCNNYVLLKKEPLLSDQPSMRLFQILLPELHFFFPSMSKKFDAFGQSILEAVGLQLKCLPKSAVHDVLCWFSEMCLWPYLGNIREHLAFANGVNSLKGNIAAKAKAVVFYLLESIVAEHLESIVAEHLEVIVPEMPRMVHILVSLCRASYTDVAFLDSVLCLMKPMISHFLRKSTDNGNVSGDITECSDFELLCFEELFETIQFGKQSEDTPGNKNQVPFLIFILGSLFPDLSFKRRIEILGSLLVWVDFGKIELEIVKNEKALHSFIVHPQDTMIPDMSSYYKDGNEVNKQLQQIQEDIRSLRHGLSAKRELQRELDQVELGRSSRREFSANTNSRSRERYRERDDGRGQQEGRSRGGGVEAGGSATRSFSGNLPTILQQPRERTTSDERTSTGGNYYEENAEGSGDASSVGDPESAAALEAGTRHGPRGGSKSSSSSSRQVVVERRERREGKWERKHS >ORUFI11G00010.1 pep chromosome:OR_W1943:11:1101:4516:-1 gene:ORUFI11G00010 transcript:ORUFI11G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVAKQEKWVAADEEMVRMGGEVDGGARTGGGGLEQAPRWHLWPVVEGFARRQLHAAEA >ORUFI11G00020.1 pep chromosome:OR_W1943:11:9895:10894:-1 gene:ORUFI11G00020 transcript:ORUFI11G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRWAEVAPTWRHVGADTGRHRGATTSFYTLVLGAILRTHDHSTMPLTRFLIYLLYPEV >ORUFI11G00030.1 pep chromosome:OR_W1943:11:23444:24228:1 gene:ORUFI11G00030 transcript:ORUFI11G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESNDDTLVIESAFSCFSVAGSSSQGLCGWFVLIASEFLMELRSFGKKYGYDLMCLLLSQRIDKDECRIVGI >ORUFI11G00040.1 pep chromosome:OR_W1943:11:25933:34869:-1 gene:ORUFI11G00040 transcript:ORUFI11G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGEEEEERVLSHGDVVLFRCDLTILRGPHFLNDRIIAFYLAHLAADHDADDDLLLLPPSIPYLLSNLPDPASVAAVADPLRLASRRLVLLPVNDNPDVSHAEGGSHWTLLVLDNSNAVSGPRFVHHDSLPPTNLPAARRLAAVLRPLLPASAIPLIEGPTPRQTNGYDCGVFVLAVARAICNWWPTRARHSDSDSDSDWLEAVKREVNADSVKAMRTQLLQLIHTLIQNTTTTNQHSRIKSVEEWLAVMEAFGEK >ORUFI11G00050.1 pep chromosome:OR_W1943:11:37420:43773:1 gene:ORUFI11G00050 transcript:ORUFI11G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSDDGDRTCPLCAEDMDITDQQLKPCKCGYEVSSLPFPPLPTTLLSTPPSIHLPFASPLQICVCLIELRTFAYADVRYITYAKEEEAIRCIQAVHNFVLEGKVLRACFGTTKYCHAWLRNMTCGNPDCLYLHDVGSQEDSFTKDEIISAYTRILQINPGFRLQTVVLGDPHFHQLLHDPQSNSFSSSSTVSSTKLPSSWNDDTSTVPKMMEGRDSLSKTLKPYKPGIAKETQAVTSLESSLDIDFSTIPSAWNDDDVTSDGMSKGSDEKQVVNDNGKFECSVSSKPAESGHLTSKSTTSPKKDIAVNSTRQSPLNCVSSPSISKSEVKDGDGDYQVTNMASKTSTSVIRKDQSNQAAIDTATEDTRSESTDIDRLSVGVSSVTLSRKDGVQSIAENQQPDAILSTSVVVPFSQNLKLADSNDSTCQPSSDKHHDWCSDIQSSVSPQLNDIESYAVATDKSHGRVLDAADQASSSPYVHFPNTAPISLWNGKEINHTSTSDRTSTMMQPGLLSSVDSTSTMLNGHQEGLGTIYAPGKVSEHPRMKNHQPGAVGAVRIDNIGSFDKAVSVNKDESSIIADILSLEFDPWDESYSTANNFAKMLSASEKNDVLFDAPSWKTKTSNSESRFSFARQDNQGSFLDSSMRNYKSEQNFSLLSQNSHGNIYQSGIAFQSPEEGFAKSNSLTMLDMLATGTSKPKVSAPPGFSAPARVPPGFSSGFSSHEGLNPPPGFSSHNGPNPPPGFSSQGGSNQIYGSAYSETRPFDYLLGINTSHYQPQLARQTSDIEFLARQTSDIEFVDPAILAVGKGRMPGISDSGLEMKTSHTFPSQLQTSNDPRFQLLMQQNVPSHQNVGFAEHVQDAFNPMNDNYLASRLIPQNHGSLSSYTQMSLQQPRSSHLTNGHWDGWGDLRQGNNVSMPDMSRMLYPTEANNFHMLGSNDLYNRAFGLISDAA >ORUFI11G00060.1 pep chromosome:OR_W1943:11:44147:50900:-1 gene:ORUFI11G00060 transcript:ORUFI11G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASAAAAALVLLFLAFSLSNAQPGFISLDCGGDDDYTDGIGIQWTSDAKFVSAGQEANLLLQNQQLQQYTTVRSFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDLSLGPTPWTTVVIDDATTPVVQEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDEKQFFLRLSARINFGAESNASVRRANYLVDVAPGTERISTTKPIFVSTNEEPPQRVMQTAVVGKNGSLTYRIDLEDFPGNAWGVSYFAEIEDLTPNQTRKFKLVIPGKPEFSKPTVDVEENAQGKYCLYEPGYTNIPLPFVFSFGFKKTNDSSEGPILNAMEIYKYIEISVGSQDGWAQEGGDPCLPASWSWVQCSSEAAPRIFSISLSGKNITGSIPVELTKLSGLVELHLEDNQLTGALPPSLGELPNLKELKKKSSDETVVIAAPAKKLGSFFSEVATESAHRFALSEIEDATDKFDRRIGSGGFGIVYYGKLTDGREIAVKLLTNDSYQGIREFLNEVTLLSRIHHRNLVSFLGYSQQDGKNILVYEFMHNGTLKEHLRGHEPISNDNFGLHCRNIVEWARSHMESGDIHGIIDQSLDAGYDLQSVWKIAEVATMCVKPKGVLRPSISEVLKEIQDAIAIELQRELPSSIHHLMSKTSPSEAVNTTGSVQDLEQNASFDELLMRPGLR >ORUFI11G00060.2 pep chromosome:OR_W1943:11:44147:50900:-1 gene:ORUFI11G00060 transcript:ORUFI11G00060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASAAAAALVLLFLAFSLSNAQPGFISLDCGGDDDYTDGIGIQWTSDAKFVSAGQEANLLLQNQQLQQYTTVRSFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDLSLGPTPWTTVVIDDATTPVVQEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDEKQFFLRLSARINFGAESNASVRYPDDPFDRIWESDLVRRANYLVDVAPGTERISTTKPIFVSTNEEPPQRVMQTAVVGKNGSLTYRIDLEDFPGNAWGVSYFAEIEDLTPNQTRKFKLVIPGKPEFSKPTVDVEENAQGKYCLYEPGYTNIPLPFVFSFGFKKTNDSSEGPILNAMEIYKYIEISVGSQDGWAQEGGDPCLPASWSWVQCSSEAAPRIFSISLSGKNITGSIPVELTKLSGLVELHLEDNQLTGALPPSLGELPNLKELKKKSSDETVVIAAPAKKLGSFFSEVATESAHRFALSEIEDATDKFDRRIGSGGFGIVYYGKLTDGREIAVKLLTNDSYQGIREFLNEVTLLSRIHHRNLVSFLGYSQQDGKNILVYEFMHNGTLKEHLRGHEPISNDNFGLHCRNIVEWARSHMESGDIHGIIDQSLDAGYDLQSVWKIAEVATMCVKPKGVLRPSISEVLKEIQDAIAIELQRELPSSIHHLMSKTSPSEAVNTTGSVQDLEQNASFDELLMRPGLR >ORUFI11G00070.1 pep chromosome:OR_W1943:11:55491:59874:-1 gene:ORUFI11G00070 transcript:ORUFI11G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMVVNPHVNGLAVPHPCSHRFRFGKSSNTSVLKEVGENSRGKFPSKCLSAENKGRKLFASSKASEQDERKAPAGTQDKRSAKAVQKSIPRPPAGYKYPYGDSGIIGYVLIMPLPVPNSSGTAQIQRIPKKSEPSPSVVSRSRSTSSVTNVPKPTTRPATVTSERTHKVEGLPLESKTERSSVIKSSGPTIGKNMVPTVTAICQETNGSGKCETFSPYSQDNPSSSVVAPARISAKPSALRMPSPSVGFFTQGKASVSHSDNAQRNPERCFSGNISSLVKPPSLPVQPATRDPNVLASSLPGVEDTNVRSLKQSLSESTVPYSEKSGNINYQEMPDDDFSLAGNGATTELSFRDNDGGRNIMPNECSVALSVGQDLNAICCSSIEPAEDSCFLKVICSSSEPTVGRNLTTSCISSPGCTPNDLNSQSKSDNGETAVDIENSLSGETSGTVCSSEGNNCTSATDSLRKSDSCHQQNMLVQSVHCTDQMPQFDSSTGIKPSLAYSQLDSNTSLCSEVQLTSSEGPDIDSEMELDTDDAFTVEEPPLLHVGGECDHDYRSAECSHMNLAAPSPRVDQKALAGNLTEKVDTADGRTESHHCSTQERRPILSEEQDTEDTIEFDTKLSSSEGASSIERIKSVGKSRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKTGAVQNSPTDKAAPEPSPWSPVKRKAQDVGPFDCTKYSKNVRTSD >ORUFI11G00070.2 pep chromosome:OR_W1943:11:55491:59514:-1 gene:ORUFI11G00070 transcript:ORUFI11G00070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCRIPAATGSGLENLAIHLCSRKSERILVENFLQNKTREGSCLLPQKLLSRMNEKHPRAHRIKGQQKLSKMPNSSGTAQIQRIPKKSEPSPSVVSRSRSTSSVTNVPKPTTRPATVTSERTHKVEGLPLESKTERSSVIKSSGPTIGKNMVPTVTAICQETNGSGKCETFSPYSQDNPSSSVVAPARISAKPSALRMPSPSVGFFTQGKASVSHSDNAQRNPERCFSGNISSLVKPPSLPVQPATRDPNVLASSLPGVEDTNVRSLKQSLSESTVPYSEKSGNINYQEMPDDDFSLAGNGATTELSFRDNDGGRNIMPNECSVALSVGQDLNAICCSSIEPAEDSCFLKVICSSSEPTVGRNLTTSCISSPGCTPNDLNSQSKSDNGETAVDIENSLSGETSGTVCSSEGNNCTSATDSLRKSDSCHQQNMLVQSVHCTDQMPQFDSSTGIKPSLAYSQLDSNTSLCSEVQLTSSEGPDIDSEMELDTDDAFTVEEPPLLHVGGECDHDYRSAECSHMNLAAPSPRVDQKALAGNLTEKVDTADGRTESHHCSTQERRPILSEEQDTEDTIEFDTKLSSSEGASSIERIKSVGKSRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKTGAVQNSPTDKAAPEPSPWSPVKRKAQDVGPFDCTKYSKNVRTSD >ORUFI11G00070.3 pep chromosome:OR_W1943:11:55491:59514:-1 gene:ORUFI11G00070 transcript:ORUFI11G00070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCRIPAATGSGLENLAIHLCSRKSERILVENFLQNKTREGSCLLPQKLLSRMNEKHPRAHRIKGQQKLSKMPNSSGTAQIQRIPKKSEPSPSVVSRSRSTSSVTNVPKPTTRPATVTSERTHKVEGLPLESKTERSSVIKSSGPTIGKNMVPTVTAICQETNGSGKCETFSPYSQDNPSSSVVAPARISAKPSALRMPSPSVGFFTQGKASVSHSDNAQRNPERCFSGNISSLVKPPRYKQPVDPKSRFHLTKQLPTNFSAASSLPVQPATRDPNVLASSLPGVEDTNVRSLKQSLSESTVPYSEKSGNINYQEMPDDDFSLAGNGATTELSFRDNDGGRNIMPNECSVALSVGQDLNAICCSSIEPAEDSCFLKVICSSSEPTVGRNLTTSCISSPGCTPNDLNSQSKSDNGETAVDIENSLSGETSGTVCSSEGNNCTSATDSLRKSDSCHQQNMLVQSVHCTDQMPQFDSSTGIKPSLAYSQLDSNTSLCSEVQLTSSEGPDIDSEMELDTDDAFTVEEPPLLHVGGECDHDYRSAECSHMNLAAPSPRVDQKALAGNLTEKVDTADGRTESHHCSTQERRPILSEEQDTEDTIEFDTKLSSSEGASSIERIKSVGKSRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKTGAVQNSPTDKAAPEPSPWSPVKRKAQDVGPFDCTKYSKNVRTSD >ORUFI11G00080.1 pep chromosome:OR_W1943:11:59902:60192:1 gene:ORUFI11G00080 transcript:ORUFI11G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLTHGVVDEGSGERRWGWIWLVAEEAGKEAARRGRGEGGKRKTRRWSRRVAEESRTTPSASGGGGGDGGDAAQLDGELKLNFLEKKPRVASGK >ORUFI11G00090.1 pep chromosome:OR_W1943:11:60371:60670:-1 gene:ORUFI11G00090 transcript:ORUFI11G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDDRFEEEEDVRRSSLAAATSTTTAATRFPLPPPSSRRRRRSSTLDLPRAPAAASIPFFRVVATASPAGRPTSSPPQAGLPREREEDEEGRGGGER >ORUFI11G00100.1 pep chromosome:OR_W1943:11:60786:61016:-1 gene:ORUFI11G00100 transcript:ORUFI11G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAHSSLFRWTLLVDGLWVGMNEISDFIDPLLLDAIDALPSLDCSFILPNAASSQVRHLPTMLAYYAWRRPCRSR >ORUFI11G00110.1 pep chromosome:OR_W1943:11:61721:63969:1 gene:ORUFI11G00110 transcript:ORUFI11G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAADDLAALHHQVSLASSAALSASDHDLAFHLQLSEAIQASLSSNAAAPSHPAPPPPPPEEPSDASCALAVHAADLARAEQDHRDAQACRAYHARAAASVRMSGIWRPRQKRLVDLINEAFSAKQKFEQSEILCVARTQVNYVTKLATDSLHTQIAKAAVVSAGKEKKENCTICLEDTDVSKIHAVEGCAHRFCFSCMKEHVKVKLLHGMLPACPQDGCTTKLNCGGFKDVPISTVITDHGAAYQGSTNSSNSQDLLPISQVLSLDVHERTDTSNARIILKMTCYDYKRRYPHARLEDAYLQNLARQRLWRQCIRCKHMIELAEGCYHMTCVCGYEFCYTCGKEWKEKKATCSCPLWDERNIIRDDPQGNAAIHDDPEDEYDDYYDEDENNYYVGEGLQYNVDYHRQYDGGDRHHGHFYQYNR >ORUFI11G00120.1 pep chromosome:OR_W1943:11:66161:68461:1 gene:ORUFI11G00120 transcript:ORUFI11G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAKLPLVDIGEEEEALVFEDEEKTELIRGPIPRYARPDDALQILSLQVIEAKDGLNWPLHVYGLVATRDSVDQKRNLLFKRTRDNCPSRAVLLIDPVTFEVDLKVKGKTETGDKVLSLRVFTHHMAPSYVKYSPMIRRCLSSKHSELELAYVVLADTVEATMLKPLWLVSKLLRGHGQIICED >ORUFI11G00130.1 pep chromosome:OR_W1943:11:71036:74726:-1 gene:ORUFI11G00130 transcript:ORUFI11G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLLDLPYSFPCRLFFFLLRLRSAASSSSDAVTDPPPPPPRSSNPLACLLFLIDETSMSGSDHLLDALLAAARAALSHLHLPFPGSNPKPHYSDDLHLLGVGVAHFIHRSPPFTTRACFRRTKHPPPPPPGPPPHQLLLCIGIAFHNLLDNHLLHHFHTLLIHANKPHFDAFLSNLPFAKLKVAPPPQPSASVSPAPPTPAQTGDKEDTDTAANHSPRSTLPVRLLNIPVDRLRSTLSTLSLTDLIDLVARSLPSPDTHPDKKKLFSVHDFFRYAEFEGKRFFEELDRDGDGQVTLEDLEKEPTILRAYTTLCLSKSGTLHKNQILTSLKGAGLPANEDNAAAMLRYLNADSEESISYSHFRNFMLLLPSERLEDDPRNIWFEAATLVAVPPPVEISAGSVLKSALAGGLASALSTSVMHPIDSMKTRVQASSLSFPDLISTLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLKSVAPTLPDIQVQSLSSFCSTILGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMQKDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKVL >ORUFI11G00130.2 pep chromosome:OR_W1943:11:71036:72778:-1 gene:ORUFI11G00130 transcript:ORUFI11G00130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYLNADSEESISYSHFRNFMLLLPSERLEDDPRNIWFEAATLVAVPPPVEISAGSVLKSALAGGLASALSTSVMHPIDSMKTRVQASSLSFPDLISTLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLKSVAPTLPDIQVQSLSSFCSTILGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMQKDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKVL >ORUFI11G00130.3 pep chromosome:OR_W1943:11:72795:74726:-1 gene:ORUFI11G00130 transcript:ORUFI11G00130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLLDLPYSFPCRLFFFLLRLRSAASSSSDAVTDPPPPPPRSSNPLACLLFLIDETSMSGSDHLLDALLAAARAALSHLHLPFPGSNPKPHYSDDLHLLGVGVAHFIHRSPPFTTRACFRRTKHPPPPPPGPPPHQLLLCIGIAFHNLLDNHLLHHFHTLLIHANKPHFDAFLSNLPFAKLKVAPPPQPSASVSPAPPTPAQTGDKEDTDTAANHSPRSTLPVRLLNIPVDRLRSTLSTLSLTDLIDLVARSLPSPDTHPDKKKLFSVHDFFRYAEFEGKRFFEELDRDGDGQVTLEDLEVAMRKRRLPRRPSGQ >ORUFI11G00140.1 pep chromosome:OR_W1943:11:77769:81255:1 gene:ORUFI11G00140 transcript:ORUFI11G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIKLPLADGEERLSCCGELLAMGKKLEEAVTISGKLPLEEGMGKGKRRPVVAELEDEEEFKDEELTEQIIARDFRVSWEHRFSPRYSFHDTTTVSPMRYTEGPIPRYACCCDALQIFSLQVKEAKDGLDWPLHVYGLVATRDSVDQRHNLLFKRTRDNCQILTPQDSHLLLTGPSRAVVVIDPVTFEVELKVRGKTEAEDKVLSLTVFMHHTAYP >ORUFI11G00150.1 pep chromosome:OR_W1943:11:81880:83891:1 gene:ORUFI11G00150 transcript:ORUFI11G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQEALAVARRMAEQRVRLHLQRSMGGRVPRIDEEEASTTVDGMLVTPPDLKLAIPAGEFGRPEDRQTFHIVELENPQDPTSMYVQLLFRETDLYFVAFRPLAPGVNPDSANGWFHFKQAEPIIPSFLNSHQINYGYGYINATNYQVGTGCLSDIYFCLREFTPANARLQSQQRRVLMVCGLMLSETQRFMQMKREVIENIHSNNGERQDITHLDGLIHDWGVESNRRVAAADHQHQHGGGEAPAAAAAPGQVVDYGLWVLKYNPYYVLPLIRRQLQQHPQPPLTRRQKKQQLRRRLLQLRLLQPHQHQHQQALYL >ORUFI11G00160.1 pep chromosome:OR_W1943:11:88982:89305:-1 gene:ORUFI11G00160 transcript:ORUFI11G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSQSQSSVGASAGAARPATVGPRGTAAAAAGMRRRRASTAGGSGGFSGGGGSNMLRFYTDEAPGLRLSPTMVLVMSLCFIGFVTALHVFGKLYRSRTAASASA >ORUFI11G00170.1 pep chromosome:OR_W1943:11:91136:95123:-1 gene:ORUFI11G00170 transcript:ORUFI11G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPASPILSPSSAPAPINASTATCAPHLRRRINVADARLADPLSLLRSAADLRLDGRLRAATEFIAAPLPSRKGLQFALNVVQGLLYKTGCIHV >ORUFI11G00180.1 pep chromosome:OR_W1943:11:100180:107180:1 gene:ORUFI11G00180 transcript:ORUFI11G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKTKQRRGIEESARMRDKGRLSDETPSEEGHEIIRFRRGWESLYSHPHRSFDATTFAPMRYTHVPIPKYADCNYGLQIFSVKVNQLLLNEEEEEEEEEEEGLHWPLHVYGLVATRDSLDPRRNLLFNHTRDNCQILTQQDPFLLLTGPTRAVVLVIDPVKFEIQLKAKGTSESEDKVLNFRVLVYHHDYSLADPPFIVRRRRRCKRSELEFAFTLLVRSVEATISVQVVDGSSWPDDLGVQVAARTASISDEVIKLLDSRSAHGGRVPICPDDAYPAMRYTFGHIPKSSFVGCDSGLQIFSIKLLRNTSTTDHQLQWPLHVYGLVVTRDSLDPRRNLLFNRTRDNCQILTQQDPFLVLTGPSRAIVLIDPVQFEVQLKAKSNNNTLHDHPDQDQIVNFGVVNSGYLPGPTSHCIGKRNGKMPIDDDGFIQLSRRVVSVELAGQLIVQVLAFNSQQQVVDNDNDNKKDEIVAKHEIVFDPKEASLSVETCELQLGGGGGGGGGPLAVVVRGGREPVPRIDGLGGGGQKLAPRIDGLGSDNSRDDGLCGEGREPTPLFDESDGGDEGFRGCSALAVASQMLEAPAVAATHQETARGNLVQGGETVETPVEKVRWDAVSS >ORUFI11G00190.1 pep chromosome:OR_W1943:11:123931:132853:1 gene:ORUFI11G00190 transcript:ORUFI11G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGRLPTRTRPPPLFLCSFAAADDADVPSPAGNLAGAGEENCRRIAEILSRGRNPMLVGVGAASAADDFAAASPYRIIHVDPNTIDRSDLGVAAAMASATSGLIISIGDLKQLVPDEDAEAQEKGRRVVAEVTRVLETHSKVGRVWVMGWSATYETYLAFLSKFPLVDKDWDLQLLPITAVHAAATAGPAAAAAGLMPPATTVAAFSKPAASLMDSFVPFGGFLCDNYEENSLTANSCPQALRCQQCNDKYEQEVATIISASGITAEDHHQGGLPSLLQNGSMMGPNNGFDPVKARDDRMVLNSKILNLRKKWNEYCLRLHQDHQRINRDPYKPFPRYIGVPTDKERSANSSKGSESVGVQKDVIKPCAVSAVHSSSTARPISSPSVTNKRNEDLVLNLQARHSKSDENLQERGMQSQHGTLSNADNPDDHVSPSSAAPVETDLVLGTPRECSSKGSSSTCSKRVEDSERSVHLVPKKVDDLNLKHPQLSVQPNSCSWSSINVGKTSHSTLHSVASGGFSAFGQWQKRSPLAAQNSDLSNYKLLVERLFKVVGRQEEALSAICESIVRCRSTESRRGPNRNDIWLCFHGSDSMAKKRIAVALAELMHGSKENLIYLDLNLQDWDDSSFRGKTGIDCIVEQLSKKRQSVLFLDNIDRADCLVQDSLSDAIKSGRFQDMRGKVVDINDSIVVLSRSMIQGSKNGLEEGLSFSEEKILATRGHRLKILVEPGRAITSGCPSGKVVVSPRHFLTKIQASLCSGSISKRKLSISDDQEKLQESPSSSKRLHRTSSVPFDLNLPVDEDEPLDADDDSSSHENSYGNTEKSIDALLHSVDGSINFKPFDFDKLADDMLQEFSNILRKNLGSECMLEIDVGAMEQILAAAWKSEEDRKPVPTWLEQVFARSLDELKLKRKHVSSSTLRLVACEDTVPTVKGDGLGVLLPPRIILDC >ORUFI11G00200.1 pep chromosome:OR_W1943:11:129283:132675:-1 gene:ORUFI11G00200 transcript:ORUFI11G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATQEADCKASEDARLFFDAAKPPPFRIGDVRAAIPAHCWRKTPLRSLSYVARDLLIVAALFAAAATRIDVSVAWAAWPLYWAAQGTMFWALFVLGHDCGHGSFSDSAMLNNVVGHLLHSFILVPYHGWRISHRTHHQNHGHIERDESWHPVHLNKAARITEKLYWQLETRTKKLRFTLPFTIACLPLSTSSPGKTGSHFLPSSDLFSPKEKSDVIVSTTCWCIMISLLVALACVFGPVPVLMLYGVPYLEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPEKSGPLPLHLFGVLLRSLRVDXSVGSPYSDH >ORUFI11G00200.2 pep chromosome:OR_W1943:11:129283:132675:-1 gene:ORUFI11G00200 transcript:ORUFI11G00200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATQEADCKASEDARLFFDAAKPPPFRIGDVRAAIPAHCWRKTPLRSLSYVARDLLIVAALFAAAATRIDVSVAWAAWPLYWAAQGTMFWALFVLGHDCGHGSFSDSAMLNNVVGHLLHSFILVPYHGWRISHRTHHQNHGHIERDESWHPITEKLYWQLETRTKKLRFTLPFTIACLPLSTSSPGKTGSHFLPSSDLFSPKEKSDVIVSTTCWCIMISLLVALACVFGPVPVLMLYGVPYLEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPEKSGPLPLHLFGVLLRSLRVDXSVGSPYSDH >ORUFI11G00210.1 pep chromosome:OR_W1943:11:140445:140908:-1 gene:ORUFI11G00210 transcript:ORUFI11G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKHFKGDHTYVHVSRKGYWQFNTRDLLTDGHSTGFYAKGCAAIVDSRTSLLTDPTAIVAQVNHATEAEGIISTE >ORUFI11G00220.1 pep chromosome:OR_W1943:11:150861:155876:1 gene:ORUFI11G00220 transcript:ORUFI11G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPQQQSPPSTTATTTTSPPPPPPSTPPPASSSSSSLAKLPLRLHSLASSSRSLLSALRRSPVTTLVAAFFLLALFMYGEDVRTLAELSIDDYLYPDADFYNVSALPPLLLPPPTCDLSRGRWVFDNTSLPAYREKECTFLTKQVSCLANGRPDHLWQYWRWQPNNCSLPTFDARRFMEKMRGKRMMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGSFNIFYAKEYRATLEFYWAPFLVESNSDNPNFHHIDQRIISPERIESHANNWKDVDYLIFNTYIWWMNNEDIKVRRPNSTSWSDHDEVPRIETYGRVFKTWSTWLEQNVDPARTSVFFMTISPLHNSPAQWGNPNGIKCVKETLPVLNYTKPLDLNHDMRMYDLVAKVAKNMKNVPVSLIDITRMSDYRKDAHTSLYSIRQGKLLTPEQKADPQKYADCIHWCLPGVPDVWNQILYTRILSKSSPPSPHPPLPPQ >ORUFI11G00220.2 pep chromosome:OR_W1943:11:149710:155876:1 gene:ORUFI11G00220 transcript:ORUFI11G00220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKDNGGHVRQEDGDAKLPLRLHSLASSSRSLLSALRRSPVTTLVAAFFLLALFMYGEDVRTLAELSIDDYLYPDADFYNVSALPPLLLPPPTCDLSRGRWVFDNTSLPAYREKECTFLTKQVSCLANGRPDHLWQYWRWQPNNCSLPTFDARRFMEKMRGKRMMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGSFNIFYAKEYRATLEFYWAPFLVESNSDNPNFHHIDQRIISPERIESHANNWKDVDYLIFNTYIWWMNNEDIKVRRPNSTSWSDHDEVPRIETYGRVFKTWSTWLEQNVDPARTSVFFMTISPLHNSPAQWGNPNGIKCVKETLPVLNYTKPLDLNHDMRMYDLVAKVAKNMKNVPVSLIDITRMSDYRKDAHTSLYSIRQGKLLTPEQKADPQKYADCIHWCLPGVPDVWNQILYTRILSKSSPPSPHPPLPPQ >ORUFI11G00220.3 pep chromosome:OR_W1943:11:150917:155876:1 gene:ORUFI11G00220 transcript:ORUFI11G00220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYGEDVRTLAELSIDDYLYPDADFYNVSALPPLLLPPPTCDLSRGRWVFDNTSLPAYREKECTFLTKQVSCLANGRPDHLWQYWRWQPNNCSLPTFDARRFMEKMRGKRMMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGSFNIFYAKEYRATLEFYWAPFLVESNSDNPNFHHIDQRIISPERIESHANNWKDVDYLIFNTYIWWMNNEDIKVRRPNSTSWSDHDEVPRIETYGRVFKTWSTWLEQNVDPARTSVFFMTISPLHNSPAQWGNPNGIKCVKETLPVLNYTKPLDLNHDMRMYDLVAKVAKNMKNVPVSLIDITRMSDYRKDAHTSLYSIRQGKLLTPEQKADPQKYADCIHWCLPGVPDVWNQILYTRILSKSSPPSPHPPLPPQ >ORUFI11G00230.1 pep chromosome:OR_W1943:11:158716:168699:1 gene:ORUFI11G00230 transcript:ORUFI11G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIVDMAMPAQPLRRPITADSALMNPNTRILALKDHLSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILPQIPGTTQDHLQIFNIEAKTKIKSHQMPEQVVFWKWITPKLLGLVTQTSVYHWSIEGDSEPAKMFDRTANLANNQIINYRCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFASFKVVGNENPSTLICFASKTTNAGQITSKLHVIELGAQPGKPGFSKKQADLFFPPDFQDDFPVAMQISQKYGLIYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSASGGFYAINRRGQVLHATVNDATIVPFVSSQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPETVAKFQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPFYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWDKVLQPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLVMDYVNRLDNFDGPAVGEVAVEAQLFEEAFAIFKKFNLNVQAVNVLLDNIRSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDATHFLDVIRAAEEANVYDDLVKYLLMVRQKAREPKVDGELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQNMYAQLLPLALPAPPGMGGPPPPMGMPGMPPMGGMGMPPMGPGPMPAYGMPPMGSY >ORUFI11G00240.1 pep chromosome:OR_W1943:11:172879:173614:1 gene:ORUFI11G00240 transcript:ORUFI11G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRKIPNRQRRSSSLGRFTCYHGGGDDDNRCNHTWYDEAARRLPLDDIPELADCLGEAGCCLGLADPVTNIILTTILITITTYSRKKSYGFSMSHTSFRGLLAFLKLYFPYVTDHQARRYLHIASSPSTSSATTAAISLKHCCSPSPTAALRIAAVQADHPAPDDPTTSSNAPTTCSPPMTSGPSRIYSTPANGRRPTPTSCAANNATCIGGGAFARISIQIMGKYNLSSNSGTSPT >ORUFI11G00250.1 pep chromosome:OR_W1943:11:173632:174344:1 gene:ORUFI11G00250 transcript:ORUFI11G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKLSKCVSAHATQHIPTDDSPPCDHILSLYMCLLVAILPYAAAAEASKHPQHTALGSFLLSLSGDGEKLDRLRHLLRSITDGSGRVISDADWEQLNAMMIQERLMITKKIGRKRALPPPSAAPQDLAELSKRRSAYVKRQRFARTNLEELLLKYCRQHPWEPSYKLDIICGVEEPKSYHWRSYHANFSMTPPSKKKRTII >ORUFI11G00260.1 pep chromosome:OR_W1943:11:174399:175007:-1 gene:ORUFI11G00260 transcript:ORUFI11G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFQWAFAGVTVGLVAGAVLGRMSVKAWMAFVPLWTTLSYTVGAYSIWGGGFLFHWGVMDYSGGYVVHLAAGVSGYTAAYWVGPRRKEEDEEEMATASGGNRVVMVAGAGILWMGWTGFNGGDPFSANTDSSVAVLNTHICATTSIVAWVCCDVAVRGRPSVVGAVQGMITGLVCITPRSNIKYSFLLVVISDEMPVSDLS >ORUFI11G00270.1 pep chromosome:OR_W1943:11:176095:179848:-1 gene:ORUFI11G00270 transcript:ORUFI11G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASPWSAPGLDADPILVCAAPSRRRITHHRPPDEEQILIIENHTVYFAQLVYFIHAFHSPVVSVNSELERLRGLVRELEEREVKLEGELLEYYGLKEQETDVVELHRQLKIKMVEIDMLKMTINSLQEERKKLQDDVARGTGAKRELEAARNKIKELQRQIQMEANQTKGQLMLLKNQVIALKSKEEEAAIKDAEVQRKLKKLKELEVEVHRAPEVVEFYQSLMKREAKKDTTSLGSTTSSAFDVRSNMIGEIENRSTFLLAVELKEGPLEQFTHEMEPFLRKQGLPVRLNKGAVELVADHIVCEEGKPISPEAAQTLRLLGMQMATFRLYLVCRWSSDDFEVYKEGLAQLRAEADDSS >ORUFI11G00280.1 pep chromosome:OR_W1943:11:179947:185723:-1 gene:ORUFI11G00280 transcript:ORUFI11G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRLGVVVVASVAALTLKRANSGNRDGQARKGKDKTRYSEHGEKEEEKEEVKTISGIINSALSDDDDMLSEIESLLSGEIDIPLPSDRFDVKERSWYNSVNSELERLRGLVRELEEREVKLEXAEVQRKLKKLKELEVEVVELRRKNKELLYEKRDLIVKLDAAQGKITESDVVSHAREEINKLRHVNEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQAPSEKISARDLNKTLSPKSRERAKLLMLEYAGSERGQGDTDLETASSAPSSPRSEDFDNVSVDSSSSRYSFFGKRPNLMQKLKKWGRGKDDESSLASPTQFFTSDSPKSASQKPKGPLEALMLRNAGDGVGITTFGKREQDPSDIMDEANVASSFHLMSKTVQGFADDKYPAYKDRHKLATEREKAIKEKAEKARVQRYGGVNSSGIVPSPRSALPPKLAQIKEKASTANVESSDQPSDNQNNPLVVTQLKLANIEKRAPRVXIGEIENRSTFLLAVKADVETQGDFVESLANEVRAASFVNIDDVVAFVNWLDEELSFLVDERAVLKHFDWPESKTDALREAAFEYQDLLKLEHKVSSFTDDPKLACEEALKKMYSLLEKVEQSVYALLRTRDMAISRYREYGLPVDWLSDSGVVGKIKLASVQLAKKYMKRVATELDALQGTEKEPNREFLLLQGVRFAFRVHQFAGGFDEESMKAFEELRSKMSTQTSAPQISDVLSAGLTKYEPWEEHMATRSSAEKTIASNAHMFFNVLVVSAEIVTVGWYSGKDQSKAPPQLLLPRRLLRRVRHQQMRRRHAALLPCRLPVVGRRTSSSLVSPSRPLSGSSTP >ORUFI11G00290.1 pep chromosome:OR_W1943:11:186734:188314:-1 gene:ORUFI11G00290 transcript:ORUFI11G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILESPLLRDAGAAVLTGATALAVLRFWEEVGNRALLDQKLCRKLVHITVGLVYFLMWPLFSADDVYAPFLASLVIAFNIIKVTLIGLGIVKDDGVINSMTRNGDRRELLKGPLYYACAITLATVIFWRTSPISIAVICNLCAGDGVADIAGRRFGHVKLPYNPDKSYAGSIAMFLAGFLASILYMCYFHLFGFVEESWSMVIAFGVTSLAAAIVESLPISTRLDDNLTVPLASVLVGVLVFYYTGARNLCCMSADSSDISALVQNQMVLDRF >ORUFI11G00300.1 pep chromosome:OR_W1943:11:188343:196088:1 gene:ORUFI11G00300 transcript:ORUFI11G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFNFNSSPISWQVMVYKKLSELTTRGNTWNIKVKQVKETAESFPEYYFDFASIDTLQDRANKDQHLSDVIGLLTRMKPIETRMTRKNTPNPRLAEIREIELLLLGEDVIGNQTVVIVTSTTVQEYIGLSLRSSSATRIYTNLHIRETWTLIDKQFSEETIPKMMEVDKSTQGTREEQMFYNRKTLEDITEMRHGNPGSQLRLIEYKKIFNGDGKNDEIPNIIQQLCGKQLIFKFKLSEQNLTEGTPNYVVKRKFVPDYMLEKQYLINKAEEELMDDDVDNILKQDRETDQQQELEDSDQEIMSEDELTSSDKPPSCKQIRRRTYIIEDDSEEVSNETSMIKCVDAKVRGKNAKGAHAKPTKAEIRNDNYSHEINKSVVQESIKAGKRQAKTINGIGKESSVEKRKKTCVQPDNIACNTRPQRTRKMNSKYTDSA >ORUFI11G00310.1 pep chromosome:OR_W1943:11:196372:197022:-1 gene:ORUFI11G00310 transcript:ORUFI11G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDVVAAGSGYLYRVQSLFGEALPRPPPDRGRPSPSRAPCGSHVVGGCRSRAGKHVRDLRLVEEDGGGAALDGTAVANVQSQMREFLRSSQISDTHLPQLHMLLLDPTGGELRRWRAFAPTARGGGGAVVGSRIGQGGEPGGIGRQAKVG >ORUFI11G00320.1 pep chromosome:OR_W1943:11:207975:209608:-1 gene:ORUFI11G00320 transcript:ORUFI11G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGKEEEEEDGGGGAPAAAANNYGSSTTSSTTEEEGSGESRRRTSSSSSVRPYVRSKNPRLRWTPELHLSFVRAVDRLGGQDRATPKLVLQLMNVRGLSIGHVKSHLQMYRSKKIDESGQVIGGESWRSDDHLQMQGGGHGGQAYNLGHLSLPALHHRSITAGSGTIFQSRFGNSWSPWRCHGSYWLPAGHHLLVGSKPYYPPAAEAEAPFRRSSARYVARANTSNHPDFVQGSSSSPDDNIMNHQRPVLKEMICSEGSNHQEGPLNLDLSLDICPRGEKRKRECSWRKQEEDHDHATVAIGADQEAESCATGLSLSLF >ORUFI11G00330.1 pep chromosome:OR_W1943:11:216987:219827:-1 gene:ORUFI11G00330 transcript:ORUFI11G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYTREEVPTLPKNSDWDRKSEMEAWTIRVVYFIVFSFFLVSARFGSCAPCSEEGRALLRYSESERDKSTDSLSNRGEGKVIGRVLNLLLKEKMFSSETPSELKELGTISESVADGTGGFEHCRKCLAKTVHNATPRQLLQARQLISNQTQKHHKKQSPPSASHLLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSLNSAQQWSARSEEQFRNKISVLSRVNHKNFMNLIGYCACEEPFTRMMVFEYAPCGSLFEHLHKKQNIWTGKHGYES >ORUFI11G00340.1 pep chromosome:OR_W1943:11:222144:227306:-1 gene:ORUFI11G00340 transcript:ORUFI11G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGRAPEIDEDLHSRQLAVYGRETMKRLFASNVLVSGLNGLGAEIAKNLVLAGVKSVTLHDDDNVELWDLSSNFFLTEKDVGQNRAQTCVQKLQELNNAVIISTITGDLTKEQLSNFQAKIFMVGSGALGCEFLKNLALMGISCNQNGKLIVTDDDVIEKSNLSRQFLFRDWNIGQPKSTSLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPGGYATAARTAGDAQARDQLERVIECLEREKCETFQDCITWARLNSGAPFWSAPKRFPRPLEFLTSDPSQLNFILAAAILRAETFGIPIPDWVKNPAKMAEAVDKVIVPDFQPKQGVKIVTDEKATSLSSASVDDAAVIEELIAKLEAISKTLQPGFQMKPIQFEKPTMHL >ORUFI11G00340.2 pep chromosome:OR_W1943:11:222144:227306:-1 gene:ORUFI11G00340 transcript:ORUFI11G00340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGRAPEIDEDLHSRQLAVYGRETMKRLFASNVLVSGLNGLGAEIAKNLVLAGVKSVTLHDDDNVELWDLSSNFFLTEKDVGQNRAQTCVQKLQELNNAVIISTITGDLTKEQLSNFQAKIFMVGSGALGCEFLKNLALMGISCNQNGKLIVTDDDVIEKSNLSRQFLFRDWNIGQPKSTSLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPGGYATAARTAGDAQARDQLERVIECLEREKCETFQDCITWARLKFEDYFSNRVKQLTYTFPEDAMTSSGAPFWSAPKRFPRPLEFLTSDPSQLNFILAAAILRAETFGIPIPDWVKNPAKMAEAVDKVIVPDFQPKQGVKIVTDEKATSLSSASVDDAAVIEELIAKLEAISKTLQPGFQMKPIQFEKPTMHL >ORUFI11G00350.1 pep chromosome:OR_W1943:11:230053:232674:-1 gene:ORUFI11G00350 transcript:ORUFI11G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRVAPAAAAAAPTYLAAAASTPASVWLPVPRGAGPGAVCRAAGKGKEVLSGVVFQPFEELKGELSLVPQAKDQSLARQKFVDECEAAINEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEERDHAEKLIKYQNMRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHSVASRCNDPQLTDFVESEFLEEQVEAIKKISEYVAQLRRVGKGHGVWHFDQKLLEEEA >ORUFI11G00350.2 pep chromosome:OR_W1943:11:230053:232674:-1 gene:ORUFI11G00350 transcript:ORUFI11G00350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRVAPAAAAAAPTYLAAAASTPASVWLPVPRGAGPGAVCRAAGKGKEVLSGVVFQPFEELKGELSLVPQAKDQSLARQKFVDECEAAINEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEERDHAEKLIKYQNMRGGRVRLQSIVTPLTEFDHPEKGDALYGVWHFDQKLLEEEA >ORUFI11G00360.1 pep chromosome:OR_W1943:11:233671:240417:1 gene:ORUFI11G00360 transcript:ORUFI11G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAGDGDEHLLSLFASALSHRRFGDQELSLLDAALSAGADVPSLLHTRSSTRCLLRKAAAQAFSSVPDLGTTLSVADFFARAFALTGNVESCLAMRYEALLLRQAKYSDDLHLQVSNEEWLTFAKDSLDNGFYTIASKAFANALLHIDPSHPGYLDSTNSILKKDKINDISGLQNLAKSLSARHSVQAQSAEYMKRKASGVDEKCNLHLGKTKLPGSLMFRLGIKTRNIQKLRCSREKEACTATASNGGKRERERDTVRVVQAAAAAVHVGLLRRRCTSECVFAPYFPAEEAQRFAMVHRVFGASNVSKMLLDVPPPQRPDAVSSLVYEANARMRDPVYGCVAAISFLQNQVSQLQMQLALAHAETAALQLQLQQQHQDQDDHHHQQCILENAAAHHQLMLQEAFLKKESMWT >ORUFI11G00360.2 pep chromosome:OR_W1943:11:233671:240417:1 gene:ORUFI11G00360 transcript:ORUFI11G00360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAGDGDEHLLSLFASALSHRRFGDQELSLLDAALSAGADVPSLLHTRSSTRCLLRKAAAQAFSSVPDLGTTLSVADFFARAFALTGNVESCLAMRYEALLLRQAKYSDDLHLQVSNEEWLTFAKDSLDNGFYTIASKAQSAEYMKRKASGVDEKCNLHLGKTKLPGSLMFRLGIKTRNIQKLRCSREKEACTATASNGGKRERERDTVRVVQAAAAAVHVGLLRRRCTSECVFAPYFPAEEAQRFAMVHRVFGASNVSKMLLDVPPPQRPDAVSSLVYEANARMRDPVYGCVAAISFLQNQVSQLQMQLALAHAETAALQLQLQQQHQDQDDHHHQQCILENAAAHHQLMLQEAFLKKESMWT >ORUFI11G00360.3 pep chromosome:OR_W1943:11:233671:240417:1 gene:ORUFI11G00360 transcript:ORUFI11G00360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAGDGDEHLLSLFASALSHRSCLAMRYEALLLRQAKYSDDLHLQVSNEEWLTFAKDSLDNGFYTIASKAFANALLHIDPSHPGYLDSTNSILKKDKINDISGLQNLAKSLSARHSVQAQSAEYMKRKASGVDEKCNLHLGKTKLPGSLMFRLGIKTRNIQKLRCSREKEACTATASNGGKRERERDTVRVVQAAAAAVHVGLLRRRCTSECVFAPYFPAEEAQRFAMVHRVFGASNVSKMLLDVPPPQRPDAVSSLVYEANARMRDPVYGCVAAISFLQNQVSQLQMQLALAHAETAALQLQLQQQHQDQDDHHHQQCILENAAAHHQLMLQEAFLKKESMWT >ORUFI11G00370.1 pep chromosome:OR_W1943:11:255179:259074:1 gene:ORUFI11G00370 transcript:ORUFI11G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSALFSHLREVHKRSGVKEEKLIMKSPAAAGEAAGCHKPQATATNKMTVLQSPLGLRTILTSLVAFFIVVSSVSLLFDRSQDAQAQLAVAQHQHQEVQLKQKPASAAVGEQKSVFADQSSLRSQEAQVQWTSELQDVATDSGDGGVDGEEECNWSLGRWVYDNSSRPLYSGLKCSFIFDEVACDKYGRNDTKYQHWRWQPHGCNLPRFNATKFLEKLRNKRLVFVGDSVNRNQWVSMVCMVEHFIPDGRKMRVYNGSLISFKAFEYNATIDFYWSPLLLESNSDNPIIHRVEYRIIRADRIEKHANVWKDADFIVFNSYLWWRKQRDGMTMKVMYGSFEDGDAKLDEVEMVDGYEIALKKLTEYLGANINKNKTRIFFAGSSPAHSWASNWGGDDNNKCLNETEPIQIEDYRSATTDYGMMDKAKEIFGTLEPKGIHVQILNITQLSEYRKDAHPTIFRRQYVPLTKEQIANPSIYADCTHWCLPGVPDVWNEFLYAYLMHK >ORUFI11G00370.2 pep chromosome:OR_W1943:11:254993:259074:1 gene:ORUFI11G00370 transcript:ORUFI11G00370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSALFSHLREVHKRSGVKEEKLIMKSPAAAGEAAGCHKPQATATNKMTVLQSPLGLRTILTSLVAFFIVVSSVSLLFDRSQDAQAQLAVAQHQHQEVQLKQKPASAAVGEQKSVFADQSSLRSQEAQVQWTSELQDVATDSGDGGVDGEEECNWSLGRWVYDNSSRPLYSGLKCSFIFDEVACDKYGRNDTKYQHWRWQPHGCNLPRFNATKFLEKLRNKRLVFVGDSVNRNQWVSMVCMVEHFIPDGRKMRVYNGSLISFKAFEYNATIDFYWSPLLLESNSDNPIIHRVEYRIIRADRIEKHANVWKDADFIVFNSYLWWRKQRDGMTMKVMYGSFEDGDAKLDEVEMVDGYEIALKKLTEYLGANINKNKTRIFFAGSSPAHSWASNWGGDDNNKCLNETEPIQIEDYRSATTDYGMMDKAKEIFGTLEPKGIHVQILNITQLSEYRKDAHPTIFRRQYVPLTKEQIANPSIYADCTHWCLPGVPDVWNEFLYAYLMHK >ORUFI11G00380.1 pep chromosome:OR_W1943:11:259879:260733:-1 gene:ORUFI11G00380 transcript:ORUFI11G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVESYEERCEHVAEEDGLDRRRFPRGYVDYLYLFDCVFGVERRVLCYGVMAAWLVVLFYLLGDTAAVYFCSSLEGLSRLLRLSPAIAGVTLLSLGKGAPDALSTIASFASGGGEGEATAVWLNGVLGSAMLVSSAVLGIIGVHLGARGVAIDRVNFYRDASFLLVSLVAVAVVLAAGEVTIWGALAFTSLYVVYVVAVAFTHGRSPSKGHDAEADHTADAFSELCNVAETKFYGDQEPLLPETTPPVDFLHVVAGGEGVSACQETCNSLTAHTLNLTESLKL >ORUFI11G00390.1 pep chromosome:OR_W1943:11:267127:271074:1 gene:ORUFI11G00390 transcript:ORUFI11G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFLICLVAGCSICWFNTVCFVLCIRSFSSSNRPLALSLSISFNGLSAAFYTLFANALSPFSPSVYLLLNAIVPLVVSLVALPAILLCHPHDGHLHVVPKHDKRIFLGLYLLAFITGIYLVIFGSFNTTNSTAWVVLTGAMVLLALPLIIPASSSCSHVDTHDPEPTVQLNHEDSRKPLLLNSDHSTESNAMMQKTVEHPMQDCCLGTVLEKGRMLVLCEEHSAKKLIQCVDFWLYYIAYFCGATVGLVYSNNLGQIAQSFHRESQLTMLLAVYSSCSFFGRLLSALPDFLRRKVSFARTGWLAAALVPMPMAFFLMWKLHDVNTLVAGTALIGLSSGFIFAAAVSVTSELFGPNSIGMNHNILITNIPLGSLLYGQIAALVYDANGLKMSVIDNRNGMVDTMVVCMGPKCYSTTFFVWGCITFLGLVSSIILFLRTRTAYSAAGGQQVVNTLAKFRLDRTP >ORUFI11G00400.1 pep chromosome:OR_W1943:11:270971:271283:-1 gene:ORUFI11G00400 transcript:ORUFI11G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGWYLKIAVGGAAIGASMELFMIHTGFYEKVTVLESEKRAWENSPEAQAMREALNPWRKHDEQEK >ORUFI11G00410.1 pep chromosome:OR_W1943:11:272423:280096:1 gene:ORUFI11G00410 transcript:ORUFI11G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPPMTSVAAAALVLTPSPALNRISFPFSRRHCRSAAPPPRWRPTRCRGKPGVTEVVAEKETSPDGEEEEVRGRGWFMVDEIGMDILTIALPAVLALAANPITALVDTAFVGHVGSTELAAVGVSISIFNLVCKLLNVPLLNVTTSFVAEQQAVDAAERNEISIPQEKASEQRRFLPAVSTSLALAAGIGLMEMVALILGSGTLMDIVGIPVDSAMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFMDTKTPLFAVGVGNLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNNKIVLLSWNIIGGDVVRYLKSGALLIARTIAVVLTFTLSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKACVVLYRVLQIGGITGVALATILFLGFGYLSLLFTDDPAVLDVAQTGVWFVTVSQPINAVAFVADGLYYGVSDFAFAAYSTLFAGAVSSAVLLVAAPKFGLGGIWAGLALFMSLRAIAGLWRLGSKDHKDTQHEQESYGPIVSGGPISSKDRAGVGGRAAEAQPSRKNIRKERLRPEGDDDEGIKGFGIGIGEGKMPCLNVSTNVNLDGVDTSAVLADASKTVATIIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGIASILESKLSIPKGRFYLKFYDSKRSDFGWNGTTF >ORUFI11G00410.2 pep chromosome:OR_W1943:11:272423:280096:1 gene:ORUFI11G00410 transcript:ORUFI11G00410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPPMTSVAAAALVLTPSPALNRISFPFSRRHCRSAAPPPRWRPTRCRGKPGVTEVVAEKETSPDGEEEEVRGRGWFMVDEIGMDILTIALPAVLALAANPITALVDTAFVGHVGSTELAAVGVSISIFNLVCKLLNVPLLNVTTSFVAEQQAVDAAERNEISIPQEKASEQRRFLPAVSTSLALAAGIGLMEMVALILGSGTLMDIDSAMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFMDTKTPLFAVGVGNLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNNKIVLLSWNIIGGDVVRYLKSGALLIARTIAVVLTFTLSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKACVVLYRVLQIGGITGVALATILFLGFGYLSLLFTDDPAVLDVAQTGVWFVTVSQPINAVAFVADGLYYGVSDFAFAAYSTLFAGAVSSAVLLVAAPKFGLGGIWAGLALFMSLRAIAGLWRLGSKDHKDTQHEQESYGPIVSGGPISSKDRAGVGGRAAEAQPSRKNIRKERLRPEGDDDEGIKGFGIGIGEGKMPCLNVSTNVNLDGVDTSAVLADASKTVATIIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGIASILESKLSIPKGRFYLKFYDSKRSDFGWNGTTF >ORUFI11G00420.1 pep chromosome:OR_W1943:11:281326:282651:1 gene:ORUFI11G00420 transcript:ORUFI11G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPLLPTTVLPANATATVSPAPTSVSSADANPAATRAFLARLLDSVKRALSGARPWPELIDRSALSRPESLSDAGARLRKNLAYFRVNYAAIVALSLAATLLAHPFSLAALLALLAAWCFLYLLRPSDAPPLAAFGRTFSDRETLGGLIVASAFVVFLTSVGSLIFSALALGAAIVCAHGAFRIPEDLFLDEPDQANGAASVNLLSFITSATGGRELVLTMGERERSRELMRPWFGYIFSPSLLANALHKGIA >ORUFI11G00430.1 pep chromosome:OR_W1943:11:283935:291305:1 gene:ORUFI11G00430 transcript:ORUFI11G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPRRRTPPAPAAKQPAMLMLGPFPAHHRCTLFLLLTVTLLPSLAAAAAAHHHHVHAAGDGVVISQADYQGLQAIKHDLSDPYAFLRSWNDTGLGACSGAWVGIKCVQGKVVAITLPWRGLAGTLSERIGQLTQLRRLSLHDNAISGPIPTSLGFLPDLRGVYLFNNRFSGAVPASIGNCVALQAFDASNNLLTGAIPSSLANSTKLMRLNLSHNTISGDIPPELAASPSLVFLSLSHNKLSGHIPDTFAGSKAPSSSSLKESITGTYNLAVLELSHNSLDGPIPESLSGLQKLQVVDLAGNRLNGTIPNKLGSLADLKTLDLSGNALTGEIPASLSXCCSKRSGSGGKQTTSSKAAGGGAGAAAGGGRGEKPGSGAAEVESGGEVGGKLVHFDGPMAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAGKRLRGKITKGHKITKGHKDFESEAAVLGKIRHPNLLPLRAYYLGPKGEKLLVLDFMPNGSLSQFLHARAPNTPISWETRMTIAKGTARGLAFLHDDMTIVHGNLTASNVLLDDHSNPKIADFGLSRLMTTAANSNVLAAAGALGYRAPELSKLKKASAKTDVYSLGVIILELLTGKSPAETTNGMDLPQWVASIVKEEWTSEVFDLELMRDGDNGPAGDELVDTLKLALHCVDQSPSVRPDAREVLRQLEQIRPGPEGGAGPSEEGGAGHVAAASAGNEYLVVVVLTLDLSGNALTGEIPASLSNLTTSLQAFNVSNNNLFGAVPASLAQKFGPSAFAGNIQLCGYSASVPCPTSPSPSPSAPASPAQSREATGRHRKFTTKELALIIAGIVVGILLFLALCCMLLCFLTKKRSGSGGKQTTSSKAADPYF >ORUFI11G00440.1 pep chromosome:OR_W1943:11:287341:291774:-1 gene:ORUFI11G00440 transcript:ORUFI11G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSGGDSQQFGKMVVESKSRRKRRRGGTTILAGTMDTEGMMDQEEEKEDDEQPADVLEDRKHRDGSIYRGTDYWSIYYRIADTNETPLKPMMLSDPTTDCRPNWSGCIVHSGSSMLQIFSLKLVSITAPAIGDDPIQVYGFMAVRDHMDCLRNYVFNRGRDKPFVVSLSDPFILLSGPKRGIGMETPALLEYDIRIKRGDGEDDDLQLIDRAATISETELPLPYAQAYTRWIAGDYGAMNISLALLHNAIEATMHIQITEVRGSGGFDMSMACRVGQIPNEIKLFESVAIAKPCQLNKRFVLAIVKRGILVLDLKVKRSGALEEEEPVRMLRGLKAKAHGQVILPMIFDCATILVLQTAVRHRLAKVQGYLKAKERSSRGNLMGKRVDFSARTVERHLDDGDFVLFNRQPSLHKMYIMGHRIKIMPYSTFHLNLSATSPYNADFDGDEMNMHVPQSFDGDEMNMHE >ORUFI11G00440.2 pep chromosome:OR_W1943:11:287341:291774:-1 gene:ORUFI11G00440 transcript:ORUFI11G00440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSGGDSQQFGKMVVESKSRRKRRRGGTTILAGTMDTEGMMDQEEEKEDDEQPADVLEDRKHRDGSIYRGTDYWSIYYRIADTNESVTDCCSPQISKSPGVERHLDDGDFVLFNRQPSLHKMYIMGHRIKIMPYSTFHLNLSATSPYNADFDGDEMNMHVPQSFDGDEMNMHE >ORUFI11G00450.1 pep chromosome:OR_W1943:11:292355:292771:1 gene:ORUFI11G00450 transcript:ORUFI11G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTAEAAAPETSRRGSRTAAAAPEPYRTDGIEDGDGDSSRDLPPQMASRMAVAVAPETSHHGSRTEAATAALEPSRRRWRRVRRRRLPSPPMWMTPEPSRVDGVEDGGSDSSIDLSLQLALRTVAVAPEPSGGSRG >ORUFI11G00460.1 pep chromosome:OR_W1943:11:296956:302509:-1 gene:ORUFI11G00460 transcript:ORUFI11G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPPPRRWFSLVGELSLPNDGAASSATRGLVSGGAEQAVELGAAPSVSTQAPAASASVVRAGGGVVEDREERGGVVEEGEEQANQATTPTAQISFAACPRCGEKTCVPDRGQAAELGCGPRRHVDLNPSWSNEITRQPRDVIDKALVGSLVLSCLRCAVLFVLRDDVIEGCYVSCNHHHLQVRHDDIVEHVSSILKSNGIAHSGKSIDTGKFECYQHDGGMPIGKSDSQRVGYGETIEADKSSSDTGEILGKQPPKGLAIKEVRNMFFPYWKSVLSRRLQLKIVPSCQPSRKDLLSAEASRKGTKSIDHPCNTIKSMGDRGLMSSESRRMLYTVASMSRKCNIKI >ORUFI11G00460.2 pep chromosome:OR_W1943:11:296956:302509:-1 gene:ORUFI11G00460 transcript:ORUFI11G00460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPPPRRWFSLVGELSLPNDGAASSATRGLVSGGAEQAVELGAAPSVSTQAPAASASVVRAGGGVVEDREERGGVVEEGEEQANQATTPTAQISFAACPRCGEKTCVPDRGQAAELGCGPRRHVDLNPSWSNEITRQVRHDDIVEHVSSILKSNGIAHSGKSIDTGKFECYQHDGGMPIGKSDSQRVGYGETIEADKSSSDTGEILGKQPPKGLAIKEVRNMFFPYWKSVLSRRLQLKIVPSCQPSRKDLLSAEASRKGTKSIDHPCNTIKSMGDRGLMSSESRRMLYTVASMSRKCNIKI >ORUFI11G00460.3 pep chromosome:OR_W1943:11:296956:304885:-1 gene:ORUFI11G00460 transcript:ORUFI11G00460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEDGSCPPAVAPSGSQAEDYGSWTLKQKLEDLINCDPIHGIMPKNPKYKAYFEEKFEVRHDDIVEHVSSILKSNGIAHSGKSIDTGKFECYQHDGGMPIGKSDSQRVGYGETIEADKSSSDTGEILGKQPPKGLAIKEVRNMFFPYWKSVLSRRLQLKIVPSCQPSRKDLLSAEASRKGTKSIDHPCNTIKSMGDRGLMSSESRRMLYTVASMSRKCNIKI >ORUFI11G00460.4 pep chromosome:OR_W1943:11:302816:304885:-1 gene:ORUFI11G00460 transcript:ORUFI11G00460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEDGSCPPAVAPSGSQAEDYGSWTLKQKLEDLINCDPIHGIMPKNPKYKAYFEEKFEVTHLTVLGTPQY >ORUFI11G00470.1 pep chromosome:OR_W1943:11:310119:312185:1 gene:ORUFI11G00470 transcript:ORUFI11G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDLGSSLVTLSIRETTVKRLCKSHNIMTVNGQFPGPTLEINEGDSLIINLINRGRYNMTLHWHGVRQMRTGWSDGPEYVTQCPE >ORUFI11G00480.1 pep chromosome:OR_W1943:11:315101:317841:-1 gene:ORUFI11G00480 transcript:ORUFI11G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSTLTDSLHERTIVFGLKLWVVIGISVGASLLGVLLILIVCLTLQTWIKRSCRTFKEIPITQIPSASKDIKEVRAVDEFLPNDFVVHDGLLLAIQNEPVEPVDKDVNQFAQEDKTIQGEENSPVPLHYVDNYDVIQSVSTCEQSSSHAPVDSVLLPGLPEFSYLGWGHWFTLRDLELATNCFSKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQSLAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPTDEVNLVDWLKMMVAHRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQEERRQRQNHISNNSETEPLRGKSSSGKSDAPENEMRPP >ORUFI11G00490.1 pep chromosome:OR_W1943:11:317852:319154:-1 gene:ORUFI11G00490 transcript:ORUFI11G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDCARSSREAGRVGRDSSQRAPPQPPPVGSGSRQKLQRGASSRWRPQAEASNLRETKLAAVVPILPSQISLASLILGRKKKRKRNKVGFFSITPITYPPDNSSPPAAAAATLHHYRRLLITPTSPAVLHQASNLQSASFLFFLRLDLAQVSPLPATPHGSGFRSLFSIHYRIHFLKANFWFLTKGT >ORUFI11G00490.2 pep chromosome:OR_W1943:11:317850:319129:-1 gene:ORUFI11G00490 transcript:ORUFI11G00490.2 gene_biotype:protein_coding transcript_biotype:protein_coding KPAASAETPANGRRRSLRQSAVGAGRSCSGGPRAGGGLKRRRAISGKPSSPPSYPFFRRRFRCDWFLVSPLPATPHGSGFRSLFSIHYRIHFLKANFWFLTKGT >ORUFI11G00500.1 pep chromosome:OR_W1943:11:319824:325180:-1 gene:ORUFI11G00500 transcript:ORUFI11G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQYSISTSHLWGFTANEKEAYSGENDERQQILQLIIEEKQPEILELPGGGIQVHQEIDAAVAELIEQEALDELEQLVKAQPEAAADQQNPVEPHQDEDDKLSLDNLLEQHRWEGALRRLTRMVRNGDTGSAFVNGGVLKEIRDWVNAKRDTPLGEDEATTYMENTCHAIHDYLKLYFPAYRPQIGGKVRGHQELGERLGKDGGRCLACHKRVKGFNVTKLQNHLQGSVKRQGIQCPAINNYILSRLERILKEMNEDQ >ORUFI11G00510.1 pep chromosome:OR_W1943:11:334267:339136:1 gene:ORUFI11G00510 transcript:ORUFI11G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMPTPTHRVKRRRLDLSPPPHLNDLADELLFLILDRAAAHDPRALKSFSLVSRACHAAESRHRRVLRPFRPDLLPAALARYPALSRLDLSLCPRLPDAALAALPAAPSVSAVDLSRSRGFGAAGLAALVAACPNLTDLDLSNGLDLGDAAAAEVAKARRLQRLSLSRCKRITDMGLGCIAVGCPDLRELSLKWCIGVTHLGLDLLALKCNKLNILDLSYTMIVKKCFPAIMKLQNLQVLLLVGCNGIDDDALTSLDQECSKSLQIVKKCFPAIMKLQNLQVLLLVGCNGIDDDALTSLDQECSKSLQVAVEPCDVWKQQSQDLLVQ >ORUFI11G00520.1 pep chromosome:OR_W1943:11:340218:355034:1 gene:ORUFI11G00520 transcript:ORUFI11G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRDFPWKHDAFFHDQLWSAGVSMHTKQGWKGANQDAMTTCQDFAGHKGQIFCGVFDGHGPLGREVARHVRDVLPVKLSSSLALKTEQDPSSNTDKETLEKSDCTSLSDTSNEKQLLSTWKNIFVKTFEDVDEDLRQHSGIDCICSGTTAVTVVRQGDHLIIANLGDSRAVLCTRDSKDRPISVQLTTDLKPNLPSEAERILNCKGRVFAMDDEPDVPRMWLPDQDAPGLAMARAFGDFCLKSHGLICTPEVYYRKLSAKDDFLVLATDGIWDVLSNKEVIKIVSSATDHSKAAKQLVERAVRTWRRKFPTSMVDDCAVVCLFLKPSPSSSESTPGDAKPPQAVSFTGSFRKVLGGGGGEAEEGTNVWRALEGVARVNSVEKVHRRSLNLSSSYKIVPQPQNQIYDIPQVTKPFNLDPSDWASDSDEDSEFEWDTDGESEATFAPAFSNTDAPGPSTRPRLQDANGKANGSAPSALVAYFLGMGFSREMVFRAIKEIGDTDSEQILELLLTYQAIGSDPSVGNSLTILIGLLTLMVLDFLQEMSEKDEKIKSLVSMGFPEDEAMRAITRCGLDASVDLLVESIYAPATAGNVYFTNLSDYEDTEFSSFGGRKKTKLIDGSKKKRERYRSRPQWNQVPFDGSHEEPMPLPNPMVGFSLPNDGLRSVHRNLPDQALGPPFFYYENVALAPKGVWTTISRFLYDIYPEFVDSKYFCAAARKRGYIHNLPIKNRDIFPNGMNVLSLFSGIGGAEIALHGLGICMKTVVSVEISEVFNTQNSLEIWAKFLGVTWDQTQTGMLIEIADVQNLTAERIELFIRRFGGFDLVIGGSPCNNLAGSNRYHRDGLSNSPLTGNQILFQLAVRLSLSSFPVVADALAELDLLNSELGRVALNASLITDVTSWFLRACFAAAFLITQAKSPLFTAKVLASFAAFVLFVFFVARPAGRYIARKRTPPGDLLSEGSFVLVVIAALLSALVTDVIGFKFMIGPMMLGLALPGGMPIGATLTERLDSFFIALFLPVYMALAGYRTDLAELSLIGVSAEHEEKFCALELFVALCVAGKMLAVRLSLSSFPVVADALAELDLLNSELGRVALNASLITDVTSWFLRACFAAAFLVTQAKSPLFTAKVLASFAAFVLFVFFVARPAGRYIARKRTPPGDLLSEGSFVLVVIAALLSALVTDVIGFKFMIGPMMLGLALPGGMPIGATLTERLDSFFIALFLPVYMALAGYRTDLAELGMIGVSAEHEEKFCALELFVALCVAGKMVAAINNWGDTMKATAEHYSTLTLSMVVITAVATPLIKLLYDPSGRFARAKRRTMEGSRPNAELRVMACLFSEDHAAPLLDLIEASGSSRDAPVSLIVLHLTELVGHAASVLKPHRKSRSSCGNPTPSDRISQTEGNCCFCLSQD >ORUFI11G00530.1 pep chromosome:OR_W1943:11:355999:357190:1 gene:ORUFI11G00530 transcript:ORUFI11G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGQGGGWRGGGGGGGVDEPGGHEIPQCHLRLVPPRGRSPRSHQNRIRQASLKNPNPEAGGREPRAAAVSILVVQQQAATRNDADY >ORUFI11G00540.1 pep chromosome:OR_W1943:11:359918:369047:1 gene:ORUFI11G00540 transcript:ORUFI11G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGDDDGGDHYPYYKPTSRPHYQPPHYHGQPAAPPAPLQQQPLGPHGVTPSTVGVAALAHDLLNFESTSMVPDGLSQHVVSSRKAQVKWYQKLLEAYKNTTPPPKTPANAAQLIARALNMIQRADLEGILEFYNFPIPSLPTASSNYQPSLLPEGVQFVLNTLPVYDKCIGDGDGFTAYVPTTDPRESANVPLEVHELVIARTQARKCRDYQSADALLSSLDEAGYKIISCSDDEVLARKYRIRMRGIDAPELKMPYGKESRTALVKLIGGKSVKIYVYDLDQFGRYVGDIYCNNLFIQEQMLKNGHAWHFKTYDKRPDGRERQELQTEGFGRQGILRNHGIGEETNATQDRDAIPDLKVKRSDASEAEEPVRMLRGLKAKSHGQVIQNIVTANSEVLGDPNGLPWSSDEKVKCNC >ORUFI11G00540.2 pep chromosome:OR_W1943:11:359816:369047:1 gene:ORUFI11G00540 transcript:ORUFI11G00540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGDDDGGDHYPYYKPTSRPHYQPPHYHGQPAAPPAPLQQQPLGPHGVTPSTVGVAALAHDLLNFESTSMVPDGLSQHVVSSRKAQVKWYQKLLEAYKNTTPPPKTPANAAQLIARALNMIQRADLEGILEFYNFPIPSLPTASSNYQPSLLPEGVQFVLNTLPVYDKCIGDGDGFTAYVPTTDPRESANVPLEVHELVIARTQARKCRDYQSADALLSSLDEAGYKIISCSDDEVLARKYRIRMRGIDAPELKMPYGKESRTALVKLIGGKSVKIYVYDLDQFGRYVGDIYCNNLFIQEQMLKNGHAWHFKTYDKRPDGRERQELQTEGFGRQGILRNHGIGEETNATQDRDAIPDLKVKRSDASEAEEPVRMLRGLKAKSHGQVIQNIVTANSEVLGDPNGLPWSSDEKVKCNC >ORUFI11G00540.3 pep chromosome:OR_W1943:11:359918:367523:1 gene:ORUFI11G00540 transcript:ORUFI11G00540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGDDDGGDHYPYYKPTSRPHYQPPHYHGQPAAPPAPLQQQPLGPHGVTPSTVGVAALAHDLLNFESTSMVPDGLSQHVVSSRKAQVKWYQKLLEAYKNTTPPPKTPANAAQLIARALNMIQRADLEGILEFYNFPIPSLPTASSNYQPSLLPEGVQFVLNTLPVYDKCIGDGDGFTAYVPTTDPRESANVPLEVHELVIARTQARKCRDYQSADALLSSLDEAGYKIISCSDDEVLARKYRIRMRGIDAPELKMPYGKESRTALVKLIGGKSVKIYVYDLDQFGRYVGDIYCNNLFIQEQMLKNGHAWHFKTYDKRPDGRERQELQTEGFGRQGILRNHGIGEETNATQDRDAIPGPGVSCAV >ORUFI11G00540.4 pep chromosome:OR_W1943:11:359816:367523:1 gene:ORUFI11G00540 transcript:ORUFI11G00540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGDDDGGDHYPYYKPTSRPHYQPPHYHGQPAAPPAPLQQQPLGPHGVTPSTVGVAALAHDLLNFESTSMVPDGLSQHVVSSRKAQVKWYQKLLEAYKNTTPPPKTPANAAQLIARALNMIQRADLEGILEFYNFPIPSLPTASSNYQPSLLPEGVQFVLNTLPVYDKCIGDGDGFTAYVPTTDPRESANVPLEVHELVIARTQARKCRDYQSADALLSSLDEAGYKIISCSDDEVLARKYRIRMRGIDAPELKMPYGKESRTALVKLIGGKSVKIYVYDLDQFGRYVGDIYCNNLFIQEQMLKNGHAWHFKTYDKRPDGRERQELQTEGFGRQGILRNHGIGEETNATQDRDAIPGPGVSCAV >ORUFI11G00540.5 pep chromosome:OR_W1943:11:359918:367523:1 gene:ORUFI11G00540 transcript:ORUFI11G00540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGDDDGGDHYPYYKPTSRPHYQPPHYHGQPAAPPAPLQQQPLGPHGVTPSTVGVAALAHDLLNFESTSMVPDGLSQHVVSSRKAQVKWYQKLLEAYKNTTPPPKTPANAAQLIARALNMIQRADLEVYDKCIGDGDGFTAYVPTTDPRESANVPLEVHELVIARTQARKCRDYQSADALLSSLDEAGYKIISCSDDEVLARKYRIRMRGIDAPELKMPYGKESRTALVKLIGGKSVKIYVYDLDQFGRYVGDIYCNNLFIQEQMLKNGHAWHFKTYDKRPDGRERQELQTEGFGRQGILRNHGIGEETNATQDRDAIPGPGVSCAV >ORUFI11G00540.6 pep chromosome:OR_W1943:11:359918:367523:1 gene:ORUFI11G00540 transcript:ORUFI11G00540.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGDDDGGDHYPYYKPTSRPHYQPPHYHGQPAAPPAPLQQQPLGPHGVTPSTVGVAALAHDLLNFESTSMVPDGLSQHVVSSRKAQGILEFYNFPIPSLPTASSNYQPSLLPEGVQFVLNTLPVYDKCIGDGDGFTAYVPTTDPRESANVPLEVHELVIARTQARKCRDYQSADALLSSLDEAGYKIISCSDDEVLARKYRIRMRGIDAPELKMPYGKESRTALVKLIGGKSVKIYVYDLDQFGRYVGDIYCNNLFIQEQMLKNGHAWHFKTYDKRPDGRERQELQTEGFGRQGILRNHGIGEETNATQDRDAIPGPGVSCAV >ORUFI11G00540.7 pep chromosome:OR_W1943:11:359816:367523:1 gene:ORUFI11G00540 transcript:ORUFI11G00540.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGDDDGGDHYPYYKPTSRPHYQPPHYHGQPAAPPAPLQQQPLGPHGVTPSTVGVAALAHDLLNFESTSMVPDGLSQHVVSSRKAQVKWYQKLLEAYKNTTPPPKTPANAAQLIARALNMIQRADLEVYDKCIGDGDGFTAYVPTTDPRESANVPLEVHELVIARTQARKCRDYQSADALLSSLDEAGYKIISCSDDEVLARKYRIRMRGIDAPELKMPYGKESRTALVKLIGGKSVKIYVYDLDQFGRYVGDIYCNNLFIQEQMLKNGHAWHFKTYDKRPDGRERQELQTEGFGRQGILRNHGIGEETNATQDRDAIPGPGVSCAV >ORUFI11G00550.1 pep chromosome:OR_W1943:11:368850:372627:-1 gene:ORUFI11G00550 transcript:ORUFI11G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQEQHQEPVNDGLQKQEQHKKHKEKKKERLLDFLRAAPSKAPWFSFSGAAFLTRLASLRTTNNPAASRRLPAFVRSVDWRALRAKCLALYAKCGLLHRAQRVFDEMPHPSTVPWTALITAYMDAGDLREAVHVARNAFANGMRPDSFTAVRVLTACTRIADLATGETVWRAAEQEGVAQSVFVATAAVDLYVKCGEMAKAREVFDKMRHKDAVAWGAMVGGYASNGHPREALDLFLAMQAEGMKPDCYAVVGALSACTRLGALDLGRQAIRMVDWDEFLDNPVLGTALIDMYAKCGSSVEAWVVFQQMRKKDIIVWNAMILGLGMTGHEKIAFALVGQMENCTHTGLIQDGRRYFHNMTKLYHISPRIEHYGCMVDLLSRAGLLQEAHQLVDDMPMPANAVILGALLGGCKIHRNTELAEHVLKQLILLEPWNSGNYVMLSNIYSNRGRWEDAAKLRLDMKAKGVEKVPACSWVEFEGKVHEFRVGDKSHPLSDQIYKKLDELGLEMKTMGYEPTTEVVMFDVEDEEKEHTLVHHSEKLAIAFNLLVTGPGETIRVTKNLRVCSDCHTAIKLVSRITHREIIVRDNNRFHCFRDGSCSCNDYW >ORUFI11G00560.1 pep chromosome:OR_W1943:11:377247:380730:1 gene:ORUFI11G00560 transcript:ORUFI11G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSDGGMFPWTHRRHLLLPLLQSHSPLHLLHYCTSSTSISRDPFAIASMASSSSSSHLHAHSLKLGTLAHTFNMNHLLIYYARRGLLDSALKVFDEMPQRNLVSWTAMVSASTGNGAPHLGFRFFVSMIRSGFCPNEFSLATMLTACHSMVAHSSNKLLIALSLHGVAVRAGLDSNPFVGSSLLLMYAKHGRIAAAQRAFAHIRNKDLTCWNAMLEGYVLNGFGHHAIRTVLLMHHSGLAPDRYTYISAVKACSISAQWDLGRQLHCLVIHSMLESNTSVMNSLVDMYFRARQKETAASVFRKIRQKDTVSWNTMISGFAHDEDDKAVFGCLIDMSRIGCKPNEVTFSVLLRLSGAKENESLGLQIVALAYRHGYTDNVLVANAVINMLSRCGLLNRAYGFFCSLTSTNIVTWNEMIAGYGLFSHSEETMKLFRSLVCFGERPDEFTYSAVLSAFQEAQGARDHEQIHATILKQGFASCQFVSTSLIKANVAAFGSVQISLKIIEDAGKMELVSWGVVISAFLKHGLNDEVIFLFNLFRGDSTNKPDEFILATVLNACANAALIRHCRCIHSLVLKTGHSKHFCVASAVVDAYAKCGEITSAESAFTVVSSGTDDAILYNTMLTAYANHGLIHEALNLYEEMIKAKLSPTPATFVAILSACSHLGLVEQGKLVFSTMLSAYGMHPARANYACLVDLLARKGLLDEAKGVIDAMPFQPWPAVWRSLVIGCRIHGNKQLGVLAAEQILRMAPSSDGAYISLSNVYADDGEWQSAEETRRRMVQNHVQKLQGYSRIEITIQQSDYQFERKQGSETSNSFFPLLFKVVLTKAAGNTFHSYHQAYTCLANNDKYELTILSPHTSSYSSCLFLNLEKPAIQCFTPLQVNTLKLLHFDSTTTAYSSLILVAGGSEPIAVISPNSFVSGELHTAEFFGVSFMFVASPLGSVLGDSSFTTLASTSGCGTAVSPAGSCFTPLSCSLS >ORUFI11G00570.1 pep chromosome:OR_W1943:11:380301:384197:-1 gene:ORUFI11G00570 transcript:ORUFI11G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPPTPLPPETANTSPAPNGSTAGIRVENCYVFKSRLQEYAQKAGLQTPEYHTFKEGPSHEPVFKSTVVINNTSYDSLPGFFNRKAAEQSAAEVALMEIVKSIPANANIPAVRARREAFLRFAPKVLLLAAPSVSPDATTFHILTSTLCQAHRPAAAADLLCCMPSLLLDPDLASCRAVLSSLCQYASAQDAVAFLDKMCHWGISPSRSDYHAVFDALLQEGKVAEAYEDMKNKMGSNRVAPALAYFKLTMQAFSECLEFDSVEEVFDEMLLRGLVPDVDVYSVYISALCRKGDLAGARQMMTCMEHAGCPPDVRTFGVVVAGCMSAGDMGTVRELVQEAIRRGLQWDPPALSELIGLLQAGDGATQSQELLLEPLFVHDAPQETGLCKNLLQEYAQKMNYAIPSYICTKSASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGSANGATKYIVVPGKRVGKEVEKMPIETPKPLKIKKGGFKKKWNKRKFMKKDGQAVVEKDEARVAGDAHDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEAEPPRDIEMVQPDKENQHSDAALVQPDDEARVEQEPSRDISVVPPNEEAISVKQEPSIDAAILQPKEEASSVKQEPFIDTAMLQACKEAGSVELGPARDTVISQLNEQDRGVKQEPAGDTAVPQPDVDARVVKEESPRTEPNGEATNMKETPKNSAVCNSPETKEFGDITAMGSDPPATNMSEE >ORUFI11G00570.2 pep chromosome:OR_W1943:11:379738:384197:-1 gene:ORUFI11G00570 transcript:ORUFI11G00570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPPTPLPPETANTSPAPNGSTAGIRVENCYVFKSRLQEYAQKAGLQTPEYHTFKEGPSHEPVFKSTVVINNTSYDSLPGFFNRKAAEQSAAEVALMEIVKSIPANANIPAVQETGLCKNLLQEYAQKMNYAIPSYICTKSASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGSANGATKYIVVPGKRVGKEVEKMPIETPKPLKIKKGGFKKKWNKRKFMKKDGQAVVEKDEARVAGDAHDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEAEPPRDIEMVQPDKENQHSDAALVQPDDEARVEQEPSRDISVVPPNEEAISVKQEPSIDAAILQPKEEASSVKQEPFIDTAMLQACKEAGSVELGPARDTVISQLNEQDRGVKQEPAGDTAVPQPDVDARVVKEESPRTEPNGEATNMKETPKNSAVCNSPETKEFGDITAMVYASSNI >ORUFI11G00570.3 pep chromosome:OR_W1943:11:380301:384197:-1 gene:ORUFI11G00570 transcript:ORUFI11G00570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPPTPLPPETANTSPAPNGSTAGIRVENCYVFKSRLQEYAQKAGLQTPEYHTFKEGPSHEPVFKSTVVINNTSYDSLPGFFNRKAAEQSAAEVALMEIVKSIPANANIPAVQETGLCKNLLQEYAQKMNYAIPSYICTKSASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGSANGATKYIVVPGKRVGKEVEKMPIETPKPLKIKKGGFKKKWNKRKFMKKDGQAVVEKDEARVAGDAHDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEAEPPRDIEMVQPDKENQHSDAALVQPDDEARVEQEPSRDISVVPPNEEAISVKQEPSIDAAILQPKEEASSVKQEPFIDTAMLQACKEAGSVELGPARDTVISQLNEQDRGVKQEPAGDTAVPQPDVDARVVKEESPRTEPNGEATNMKETPKNSAVCNSPETKEFGDITAMGSDPPATNMSEE >ORUFI11G00580.1 pep chromosome:OR_W1943:11:385401:396599:-1 gene:ORUFI11G00580 transcript:ORUFI11G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGCVALVLCLNISVDPPDVIKISPCARKECWIDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRMKTGCVALVLCLNISVDPPDVIKISPCARKECWIDPFSMAPPKALETIGKTLHSQYERWQPKARYKLQLDPTLEEVKKLCNTCRKFARTERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGMIVKAFLERLDWSSSSSASSSKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCNRSLLRDSMEHNLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRIGQEACANAGLIDVRASAVFALGNLVDIGSPSLNGADDDSDDDEKVRAEINVVRSLLQISSDGSPLVRSEVAVALTRFAMGHNKHIKSVAAEYWKPQTNSLLKSLPSLANINSSNVYSPSSLIQGSSGLASHIGPVLRVGSDNSATARDGRISTSSPIATNSIMHGSPQSDDSSQHSDSGILLRENASNGGLNYSRSRPIDNGIYSQFIATMCNVAKDPYPRIASIGKRALSLIGVEQVSMRNSRLSNGGAHPGETSVPPSSNFGMARSSSWFDMNSADPLLSSSAAPSNMGLDILPQSLIYRWSCGHFSRPLLTGSDDNEEANARREERERIAMDCIAKCQRSSCKMTSQIASWDTRFELGTKASLLLPFSPIVVAADENEQIRVWNYDDALPVNTFENHKLSDRGLSKLLLINELDDSLLLVGSSDGNVRIWRNYTQKGGQKLVTAFSSVQGYRSAGRSIVFDWQQQSGYLYASGDMSSILVWDLDKEQLVNTIQSTADSGISALSASQVRCGQFAAGFLDASVRIFDVRTPDRLVYTARPHAPRSEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRASEPYLTIEAHRGSLMALAVHRHAPVIASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHRYKSLLAAGAGDNALVSIYAEDNYQLPTLMQCVQRCFEVALGFIWRHTTVEESGMSTWEDVEVE >ORUFI11G00580.2 pep chromosome:OR_W1943:11:385401:396599:-1 gene:ORUFI11G00580 transcript:ORUFI11G00580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGCVALVLCLNISVDPPDVIKISPCARKECWIDPFSMAPPKALETIGKTLHSQYERWQPKARYKLQLDPTLEEVKKLCNTCRKFARTERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGMIVKAFLERLDWSSSSSASSSKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCNRSLLRDSMEHNLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRIGQEACANAGLIDVCLRHLQPENPNDAQTEPLLLQWLYFPEAQLLGLQSNAPEIVICLLSEPQPEVRASAVFALGNLVDIGSPSLNGADDDSDDDEKVRAEINVVRSLLQISSDGSPLVRSEVAVALTRFAMGHNKHIKSVAAEYWKPQTNSLLKSLPSLANINSSNVYSPSSLIQGSSGLASHIGPVLRVGSDNSATARDGRISTSSPIATNSIMHGSPQSDDSSQHSDSGILLRENASNGGLNYSRSRPIDNGIYSQFIATMCNVAKDPYPRIASIGKRALSLIGVEQVSMRNSRLSNGGAHPGETSVPPSSNFGMARSSSWFDMNSGNFSMAFRTPPVSPPQHDYLTGLRRVPHVLNSPDGLADPLLSSSAAPSNMGLDILPQSLIYRWSCGHFSRPLLTGSDDNEEANARREERERIAMDCIAKCQRSSCKMTSQIASWDTRFELGTKASLLLPFSPIVVAADENEQIRVWNYDDALPVNTFENHKLSDRGLSKLLLINELDDSLLLVGSSDGNVRIWRNYTQKGGQKLVTAFSSVQGYRSAGRSIVFDWQQQSGYLYASGDMSSILVWDLDKEQLVNTIQSTADSGISALSASQVRCGQFAAGFLDASVRIFDVRTPDRLVYTARPHAPRSEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRASEPYLTIEAHRGSLMALAVHRHAPVIASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHRYKSLLAAGAGDNALVSIYAEDNYQLPTLMQCVQRCFEVALGFIWRHTTVEESGMSTWEDVEVE >ORUFI11G00580.3 pep chromosome:OR_W1943:11:385401:396599:-1 gene:ORUFI11G00580 transcript:ORUFI11G00580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGCVALVLCLNISVDPPDVIKISPCARKECWIDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRIGQEACANAGLIDVCLRHLQPENPNDAQTEPLLLQWLYFPEAQLLGLQSNAPEIVICLLSEPQPEVRASAVFALGNLVDIGSPSLNGADDDSDDDEKVRAEINVVRSLLQISSDGSPLVRSEVAVALTRFAMGHNKHIKSVAAEYWKPQTNSLLKSLPSLANINSSNVYSPSSLIQGSSGLASHIGPVLRVGSDNSATARDGRISTSSPIATNSIMHGSPQSDDSSQHSDSGILLRENASNGGLNYSRSRPIDNGIYSQFIATMCNVAKDPYPRIASIGKRALSLIGVEQVSMRNSRLSNGGAHPGETSVPPSSNFGMARSSSWFDMNSGNFSMAFRTPPVSPPQHDYLTGLRRVPHVLNSPDGLADPLLSSSAAPSNMGLDILPQSLIYRWSCGHFSRPLLTGSDDNEEANARREERERIAMDCIAKCQRSSCKMTSQIASWDTRFELGTKASLLLPFSPIVVAADENEQIRVWNYDDALPVNTFENHKLSDRGLSKLLLINELDDSLLLVGSSDGNVRIWRNYTQKGGQKLVTAFSSVQGYRSAGRSIVFDWQQQSGYLYASGDMSSILVWDLDKEQLVNTIQSTADSGISALSASQVRCGQFAAGFLDASVRIFDVRTPDRLVYTARPHAPRSEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRASEPYLTIEAHRGSLMALAVHRHAPVIASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHRYKSLLAAGAGDNALVSIYAEDNYQLPTLMQCVQRCFEVALGFIWRHTTVEESGMSTWEDVEVE >ORUFI11G00580.4 pep chromosome:OR_W1943:11:389515:396599:-1 gene:ORUFI11G00580 transcript:ORUFI11G00580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGCVALVLCLNISVDPPDVIKISPCARKECWIDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRMKTGCVALVLCLNISVDPPDVIKISPCARKECWIDPFSMAPPKALETIGKTLHSQYERWQPKARYKLQLDPTLEEVKKLCNTCRKFARTERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGMIVKAFLERLDWSSSSSASSSKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCNRSLLRDSMEHNLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRIGQEACANAGLIDVCLRHLQPENPNDAQTEPLLLQWLCLXCLPETSAT >ORUFI11G00580.5 pep chromosome:OR_W1943:11:385401:396599:-1 gene:ORUFI11G00580 transcript:ORUFI11G00580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGCVALVLCLNISVDPPDVIKISPCARKECWIDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRVRASAVFALGNLVDIGSPSLNGADDDSDDDEKVRAEINVVRSLLQISSDGSPLVRSEVAVALTRFAMGHNKHIKSVAAEYWKPQTNSLLKSLPSLANINSSNVYSPSSLIQGSSGLASHIGPVLRVGSDNSATARDGRISTSSPIATNSIMHGSPQSDDSSQHSDSGILLRENASNGGLNYSRSRPIDNGIYSQFIATMCNVAKDPYPRIASIGKRALSLIGVEQVSMRNSRLSNGGAHPGETSVPPSSNFGMARSSSWFDMNSADPLLSSSAAPSNMGLDILPQSLIYRWSCGHFSRPLLTGSDDNEEANARREERERIAMDCIAKCQRSSCKMTSQIASWDTRFELGTKASLLLPFSPIVVAADENEQIRVWNYDDALPVNTFENHKLSDRGLSKLLLINELDDSLLLVGSSDGNVRIWRNYTQKGGQKLVTAFSSVQGYRSAGRSIVFDWQQQSGYLYASGDMSSILVWDLDKEQLVNTIQSTADSGISALSASQVRCGQFAAGFLDASVRIFDVRTPDRLVYTARPHAPRSEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRASEPYLTIEAHRGSLMALAVHRHAPVIASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHRYKSLLAAGAGDNALVSIYAEDNYQLPTLMQCVQRCFEVALGFIWRHTTVEESGMSTWEDVEVE >ORUFI11G00580.6 pep chromosome:OR_W1943:11:385401:389506:-1 gene:ORUFI11G00580 transcript:ORUFI11G00580.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRQSLCSCNGFVYALANFGKISLRLSYLVCNQTHRKLLYAYCQSLNLKASAVFALGNLVDIGSPSLNGADDDSDDDEKVRAEINVVRSLLQISSDGSPLVRSEVAVALTRFAMGHNKHIKSVAAEYWKPQTNSLLKSLPSLANINSSNVYSPSSLIQGSSGLASHIGPVLRVGSDNSATARDGRISTSSPIATNSIMHGSPQSDDSSQHSDSGILLRENASNGGLNYSRSRPIDNGIYSQFIATMCNVAKDPYPRIASIGKRALSLIGVEQVSMRNSRLSNGGAHPGETSVPPSSNFGMARSSSWFDMNSGNFSMAFRTPPVSPPQHDYLTGLRRVPHVLNSPDGLADPLLSSSAAPSNMGLDILPQSLIYRWSCGHFSRPLLTGSDDNEEANARREERERIAMDCIAKCQRSSCKMTSQIASWDTRFELGTKASLLLPFSPIVVAADENEQIRVWNYDDALPVNTFENHKLSDRGLSKLLLINELDDSLLLVGSSDGNVRIWRNYTQKGGQKLVTAFSSVQGYRSAGRSIVFDWQQQSGYLYASGDMSSILVWDLDKEQLVNTIQSTADSGISALSASQVRCGQFAAGFLDASVRIFDVRTPDRLVYTARPHAPRSEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRASEPYLTIEAHRGSLMALAVHRHAPVIASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHRYKSLLAAGAGDNALVSIYAEDNYQLPTLMQCVQRCFEVALGFIWRHTTVEESGMSTWEDVEVE >ORUFI11G00590.1 pep chromosome:OR_W1943:11:403431:411058:-1 gene:ORUFI11G00590 transcript:ORUFI11G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATEAEAEERDKPIVVRVKRKPSQTRPDAFWLEINERPVKKAMLDFSSLSVSEPSSAPNKASEEPRIKKLLVQHIETVHHSEAVQDVLHSLLHSDLDAKEIKSKTKEWNNRTKQDKKQDQLRSAARQRHEVTLEEMLALHKFGGVGKETGMKLMNHYEKYAIFMMLSKITSFEEGAILCNFLPLIREHLPSAAEEIESDIISLAQSEDSDVYDIYTVKEVDDDTTMEGTSSAPYPLLQVDDGDDVCYDDDDPYDTDDSNAEDNPLYDYPAELSEDEDDDSISENPFSDLDGSDPEYEKEEVEEERDEDGRKNLCLGPSPNRRKTRKVWTPEESGAAGRGFASFRCSSQIPIRFRGSAVAVAGQRSSRVEHKERNASASPPNPPSIFLIADKEPTMEDLPSNLGQPSTSASSVDASYSANRTEDSQLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISDEGQELATLPPASTAGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRNVLGKLFVAQPNDIGWLQQDIGWLQQDQSLPPTEDGTARFLEILDAVSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLRQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLKEEPRDQADTSQGMVQQ >ORUFI11G00590.2 pep chromosome:OR_W1943:11:401632:411058:-1 gene:ORUFI11G00590 transcript:ORUFI11G00590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASATEAEAEERDKPIVVRVKRKPSQTRPDAFWLEINERPVKKAMLDFSSLSVSEPSSAPNKASEEPRIKKLLVQHIETVHHSEAVQDVLHSLLHSDLDAKEIKSKTKEWNNRTKQDKKQDQLRSAARQRHEVTLEEMLALHKFGGVGKETGMKLMNHYEKYAIFMMLSKITSFEEGAILCNFLPLIREHLPSAAEEIESDIISLAQSEDSDVYDIYTVKEVDDDTTMEGTSSAPYPLLQVDDGDDVCYDDDDPYDTDDSNAEDNPLYDYPAELSEDEDDDSISENPFSDLDGSDPEYEKEEVEEERDEDGRKNLCLGPSPNRRKTRKVWTPEESGAAGRGFASFRCSSQIPIRFRGSAVAVAGQRSSRVEHKERNASASPPNPPSIFLIADKEPTMEDLPSNLGQPSTSASSVDASYSANRTEDSQLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISDEGQELATLPPASTAGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRNVLGKLFVAQPNDIGWLQQDIGWLQQDQSLPPTEDGTARFLEILDAVSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLRQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLKEEPRDQADTSQACGCWPANAKEETWPSQGALIFLI >ORUFI11G00590.3 pep chromosome:OR_W1943:11:404117:411058:-1 gene:ORUFI11G00590 transcript:ORUFI11G00590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASATEAEAEERDKPIVVRVKRKPSQTRPDAFWLEINERPVKKAMLDFSSLSVSEPSSAPNKASEEPRIKKLLVQHIETVHHSEAVQDVLHSLLHSDLDAKEIKSKTKEWNNRTKQDKKQDQLRSAARQRHEVTLEEMLALHKFGGVGKETGMKLMNHYEKYAIFMMLSKITSFEEGAILCNFLPLIREHLPSAAEEIESDIISLAQSEDSDVYDIYTVKEVDDDTTMEGTSSAPYPLLQVDDGDDVCYDDDDPYDTDDSNAEDNPLYDYPAELSEDEDDDSISENPFSDLDGSDPEYEKEEVEEERDEDGRKNLCLGPSPNRRRSRLFTKEDQKSLDSGRKRRGGAGLRFFPLFVPNPNQIPRERSRSSRPTIESSGAQGKERIGIASKPSLHLLDCANRTEDSQLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISDEGQELATLPPASTAGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRNVLGKLFVAQPNDIGWLQQDIGWLQQDQSLPPTEDGTARFLEILDAVSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLRQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLKEEPRDQADTSQVCEALLTLLVEVAQKRRHEMAMKDE >ORUFI11G00600.1 pep chromosome:OR_W1943:11:410756:414215:1 gene:ORUFI11G00600 transcript:ORUFI11G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSLSLVVSASGFPYPFPSPLSKTLANPSSSTSLLLAASLSRGATPLPLLRRDVSAAYGDDDMDDDFGDFDLDDGDGVGDDEDLDNEQDYDVDYDRLLAPVKAPPRPLSGEGDEEEGDIAMVAAQSFVSTQDSASDTVVDYSVNEDEFHKIRLLHCDFFIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPMPQKYVRCMKKNFGRYHVSEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRCNDPDFFLDFEEIYVIDSKARSITRAKVVVSVPEGKKRDRRNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWAKSRQDVEKHFRKLRDFDYSNWF >ORUFI11G00600.2 pep chromosome:OR_W1943:11:410756:419687:1 gene:ORUFI11G00600 transcript:ORUFI11G00600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSLSLVVSASGFPYPFPSPLSKTLANPSSSTSLLLAASLSRGATPLPLLRRDVSAAYGDDDMDDDFGDFDLDDGDGVGDDEDLDNEQDYDVDYDRLLAPVKAPPRPLSGEGDEEEGDIAMVAAQSFVSTQDSASDTVVDYSVNEDEFHKIRLLHCDFFIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPMPQKYVRCMKKNFGRYHVSEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRCNDPDFFLDFEEIYVIDSKARSITRAKVVVSVPEGKKRDRRNDLLLIRDGGESFRIIDKSRQDVEKHFRKLRDFDYSNWF >ORUFI11G00610.1 pep chromosome:OR_W1943:11:412162:420104:-1 gene:ORUFI11G00610 transcript:ORUFI11G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAPLAVAGAVYDDIVGAYRGFMPSRTRAFLVIHRGPLEPHVRCPYCGAHLPMGDSGKATLPGGGTSVPGVDVTGGEKVAGGGAGLPGGGAVVPGGACGSGPMRRRDGRRGGGRQRSVGPRRRRSTNLCGDHANAQQAAH >ORUFI11G00620.1 pep chromosome:OR_W1943:11:426776:431742:-1 gene:ORUFI11G00620 transcript:ORUFI11G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTLLLALKKTTRALVRAHVRLVPSAAAALPIKSPSGTTPQRRGFDLPQKGSYPIAIAPSSANTTGAYYHYKDMPRLGDLDADLLVYIQLYFI >ORUFI11G00630.1 pep chromosome:OR_W1943:11:431864:432052:-1 gene:ORUFI11G00630 transcript:ORUFI11G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKKRGIRDWIGDSQRKRNHKPGRLFFLLSLSSHPPRLRLRSRETDGGFGMRPRQRGGEK >ORUFI11G00640.1 pep chromosome:OR_W1943:11:442585:443145:-1 gene:ORUFI11G00640 transcript:ORUFI11G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEHSSPIQKKSQAKASKNPAMCCNYTCRAITMKSATSCSTTGDTASTSSTPWGTSTSAPRSPPPAPRGSPTRSALILVDAVEGVHIQTHAALRQAFLERLRPCLVLNKLDRLISELHLTPAEAGAGGGAASASAAAEGERQRAAARGWSKRRGGVSGRARLWRRQAVGERRLRARAEQRDGVSL >ORUFI11G00650.1 pep chromosome:OR_W1943:11:448056:449120:-1 gene:ORUFI11G00650 transcript:ORUFI11G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLLLLPIAGLFLLLLVPISRLSGAAIEAAVTAAGGGSGKLVVLTDVAAGGSGVGGRSCGRGGRAGAVGKAAAGDEVQGRPAVGKVLRQERQERPAMGISRGRRSGWGSRGRGGGKRAAVPAVGPVEALRIRMGRRCRHA >ORUFI11G00660.1 pep chromosome:OR_W1943:11:450754:451512:-1 gene:ORUFI11G00660 transcript:ORUFI11G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGNEEDDPPLPAPLAQPSGPAPTVETLPLWLRCHPPALPLPSRRSPPSHHGGIPVAQPSRPGRSRPHRRATAAAPSRHSPTPHRRRRLGWNRTARLRRARSHPAGRTHPLPPCPRRRSASSPVPLLAAMPLLFLAATPLPLPAALPPPMVRGPASHAGEAGSGGEGSGSGRRPPLCAVGTSCPSSRRAARRRPRRTHRTPPPPPVGLFPGGAVPDPSPGAGEVVAPCTADRRLPNDALLRLLRRGGPSPA >ORUFI11G00670.1 pep chromosome:OR_W1943:11:454279:455450:-1 gene:ORUFI11G00670 transcript:ORUFI11G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVWIRRGASRATPAPHIAELAKECWREHLLGASIPFETFTFHVDADFSSSSASSADHRGSSVLPPGPGDIEVAHLQSIASARLAAQGCEVLGKIKREQLVEFESVYGLHGYFDTTGTHDDASSSADHCVGPILPQLQSDRSAHFAAWAHSSWALAAAAAAAAVDDDDEGKWMKPCIGPVLACDCEPEYFDSDPAPPTPPTIARPPRPLPPASPPPPSIATPPPSPASPPPPSTATPPPPSPTPTTTRASPTPPPIPTATVRPPPPLPRATVTPTPTTPPSTATTTPIPTWVWVGGVLVLSAGVAVLAIKLAYDYLGGGRND >ORUFI11G00680.1 pep chromosome:OR_W1943:11:457150:458367:1 gene:ORUFI11G00680 transcript:ORUFI11G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIREAIDHYGFVALAMRVKFAELDRLPNMTVFPLDDQAIFVGEGHDDYVSARGPLPRRSRAPPHPRRHPAGRWEHRAVRAPPPLPPRLLLICGIWRGSFGGATRAPLVVQGRHGLPDIPPLLDTRHGRGEAEAAEAQHALLHLDAALRPRRRQGVEGPRRRRWRGLRRGSDPATAMLAAQDSPHLAPLPAGQVCHNPQLAIVDPRPARRRTFLGVHPRRLCHPRAYYDGGWVFIAFGHTPTPWLDYALLNGEPNLGMALVAATLSSPPSTTLHPCPLLSYPPKTSYNADDFPATEFNVAVGKWLSAVKFLPEQVPSNYSPPAWLLPRYVRLIDRPGRGILAVNLFKMCFKIAIAVSVSILLLPKIMQLQFSPNLMS >ORUFI11G00690.1 pep chromosome:OR_W1943:11:469477:475657:1 gene:ORUFI11G00690 transcript:ORUFI11G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKDQKKATTALEEKLELLRDVTKSSAANETSILVDASKYIKELKDKVSQEPEQLGSTSSSMPMPRVSVSSVELEKKIGFRINVSMEKSQPELLTSVLEAFEELGLDVLDADVSCADDTAFRLEALGSSQSEAAETSVDEQMVRHAVLQAIKKCIDGSSI >ORUFI11G00700.1 pep chromosome:OR_W1943:11:475814:477494:-1 gene:ORUFI11G00700 transcript:ORUFI11G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMYRLPRNAICAACYEGAKAIIAFFNDDDDEHADADQGSVKPSRLTKLNSTIKGLRDAWEEVKQMRCREEETKQRASFLQEGFAAAWKDGIHTDIAIRPGTGPPIQAHKAILATRSEVFRHILAGDDDCKAPAGDSLSLPELTHDELSHLLAFLYTGSLATCAEERHLHALLVAGDKYDVPFLRRACEARLAAGVEAGNVLRTLEVAELSSSAALKERAMGTVVEHAEEVVFSPEYEEFAVRNAALCVQITRTLLANKSLPAKTP >ORUFI11G00710.1 pep chromosome:OR_W1943:11:480363:480805:-1 gene:ORUFI11G00710 transcript:ORUFI11G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSSSVQSWVEEHKLASIGGLWATAVGASVAYGRRKTPQMRLIHARLHAQALTLAVLGGAALAHHYYNPSSNTNNSSSLDYDFYSQLPPATTDDGQENERWSW >ORUFI11G00710.2 pep chromosome:OR_W1943:11:480365:480782:-1 gene:ORUFI11G00710 transcript:ORUFI11G00710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSSSVQSWVEEHKLASIGGLWATAVGASVAYGRRKTPQMRLIHARLHAQALTLAVLGGAALAHHYYNPSSNTNNSSSLDYDFYSQLPPATTDDGQENERWSW >ORUFI11G00720.1 pep chromosome:OR_W1943:11:482766:485497:1 gene:ORUFI11G00720 transcript:ORUFI11G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGLTNNHTLGPKLASFLWKKFPFWLLLQSSSPTPATDHRPCVPALLFRRRRRQRQRLDSAMRWSRPLLPSDTTAAASTCSASPSHTPVSKPSSASAAEERSLVKSAISTVYTRRNTAQKRRTNDNTPFPAGTSSCPPPATLARKPLWLRTKSTRMENTSSGKHMLPEDFVKNQRAYFEEVDAFELPEEEASETDLE >ORUFI11G00730.1 pep chromosome:OR_W1943:11:488245:501848:1 gene:ORUFI11G00730 transcript:ORUFI11G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKSFACSVIALLFAAHLVSAQLSANFYDKSCPNALSTIRTAGCDGSVLLDDTPTFTGEKTAAPNNNSLRGFDVIDNIKAQVEGICPQVVSCADILAVAARDSVFALGGPTWVVQLGRRDSTTASLDTANNDIPAPTLDLGDLTKSFSNKGLSATDMIALSGAHTIGQARCVNFRNRIYSETNIDTSLATSLKSNCPNTTGDNNISPLDASTPYTFDNFYYKNLLNKKGVLHSDQQLFNGGSADSQTTTYSSNMATFFTDFSAAIVKMGNIDPLTGSSGQIRKNCRKPTSSARCSLVVMVVVVLAVAGGSSAQLSPSFYSYSCPGVFDAVKCGMQSAIANEKRIGASIVRLFFHDCFVQGCDASLLLDDTASFTGEKMANPNNGSVRGFEVIDAIKSAVETICPGVVSCADILAIAARDSVAILGGPSWDVKVGRRDSRTASLSGANNNIPPPTSGLANLTSLFAAQGLSQKDMVALSGSHTIGQARCTNFRAHIYNETNIDSGFAMSRQSGCPRSSGSGDNNLAPLDLQTPTVFENNYYKNLVVKKGLLHSDQELFNGGATDALVQSYISSQSTFFADFVTGMIKMGDITPLTGSNGEIRKNCRRIN >ORUFI11G00740.1 pep chromosome:OR_W1943:11:490694:492524:-1 gene:ORUFI11G00740 transcript:ORUFI11G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVATLAEPWAGERVKVDAVKSAARVADLLVATVDGGVQELYINERRIEFEARALLATIARFKKQTDQWLAATNAINSVLKEIGDFENWMKIMDFDCKSINAAIRNIHQS >ORUFI11G00750.1 pep chromosome:OR_W1943:11:502474:504575:1 gene:ORUFI11G00750 transcript:ORUFI11G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADGQRGGAGRRRQRVRNWTASVTGEELGSGGAEELSGGGGAGSRRACGRGAARAGRHRRRRPPLPRSAPPPRRPSPPAATSPTSHAVALPRHRPTLLAAVLELVFFYLPRAPAAASLPFSRVGARARAAAPRRRQLPDPALAAQRRRAFLVRACSSEGCPLDGLRLQRVRSWAVLGRTREQSSDGSTSMAAVAAQMSHSMALLGWSTPRWSWMS >ORUFI11G00760.1 pep chromosome:OR_W1943:11:505586:514693:1 gene:ORUFI11G00760 transcript:ORUFI11G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKVASFLLLLLIVTCGAAQGQGNDFVVLDLKTTEIGEDASPMYKEQIASTKIPVTLLRSKHSSLCSACENITSEAVNFLSEKQIQDKIRTILHDTCSQTFSFEQKCLETMDSYATLVFAKIAEIKPAAFCKQYGLCRDMALLSAVKSESTCLFCHHIIDEITSKLKDPDAEFEIIQLLLKECNKIEGHQQQCKRMVLQYVPLVLVNGEKFLEKNDVCAMIQACDAGKRKALNLFSARKLILSAYFVVLPLRDEGAISLGLSTLPGLFAGSLLLTLVAAPVASLAFSLPSIPKPRALVFIHRFFSLSLLVFFVLWFASTPGHSPSISQVSLLNLIAISSTWARVIDVMDNESGSRLFGFIGAGATLGQLFGSLFAATMAWLGPCFWLIARSPYLMYISLFLWLSAVVSSFFYFQGRILTVAGVTVAICASPFIATLNMVALALWPTWVAVAVTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKVCIDVVVQRLGDATAAGIYSLLFSSLEKKASMVTLYALPLCFVWLLTAFHLGRLQTNLVKVQVASFAS >ORUFI11G00760.2 pep chromosome:OR_W1943:11:505599:514693:1 gene:ORUFI11G00760 transcript:ORUFI11G00760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKVASFLLLLLIVTCGAAQGQGNDFVVLDLKTTEIGEDASPMYKEQIASTKIPVTLLRSKHSSLCSACENITSEAVNFLSEKQIQDKIRTILHDTCSQTFSFEQKCLETMDSYATLVFAKIAEIKPAAFCKQYGLCRDMALLSAVKSESTCLFCHHIIDEITSKLKDPDAEFEIIQLLLKECNKIEGHQQQCKRMVLQYVPLVLVNGEKFLEKNDVCAMIQACDAGKRKALNLFSARKLILSAYFVVLPLRDEGAISLGLSTLPGLFAGSLLLTLVAAPVASLAFSLPSIPKPRALVFIHRFFSLSLLVFFVLWFASTPGHSPSISQVSLLNLIAISSTWARVIDVMDNESGSRLFGFIGAGATLGQLFGSLFAATMAWLGPCFWLIARSPYLMYISLFLWLSAVVSSFFYFQGRILTVAGVTVAICASPFIATLNMVALALWPTWVAVAVTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKVCIDVVVQRLGDATAAGIYSLLFSSLEKKASMVTLYALPLCFVWLLTAFHLGRLQTNLVKVQVASFAS >ORUFI11G00760.3 pep chromosome:OR_W1943:11:505969:514693:1 gene:ORUFI11G00760 transcript:ORUFI11G00760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKVASFLLLLLIVTCGAAQGQGNDFVVLDLKTTEIGEDASPMYKEQIASTKIPVTLLRSKHSSLCSACENITSEAVNFLSEKQIQDKIRTILHDTCSQTFSFEQKCLETMDSYATLVFAKIAEIKPAAFCKQYGLCRDMALLSAVKSESTCLFCHHIIDEITSKLKDPDAEFEIIQLLLKECNKIEGHQQQCKRMVLQYVPLVLVNGEKFLEKNDVCAMIQACDAGKRKALNLFSARKLILSAYFVVLPLRDEGAISLGLSTLPGLFAGSLLLTLVAAPVASLAFSLPSIPKPRALVFIHRFFSLSLLVFFVLWFASTPGHSPSISQVSLLNLIAISSTWARVIDVMDNESGSRLFGFIGAGATLGQLFGSLFAATMAWLGPCFWLIARSPYLMYISLFLWLSAVVSSFFYFQGRILTVAGVTVAICASPFIATLNMVALALWPTWVAVAVTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKVCIDVVVQRLGDATAAGIYSLLFSSLEKKASMVTLYALPLCFVWLLTAFHLGRLQTNLVKVQVASFAS >ORUFI11G00770.1 pep chromosome:OR_W1943:11:517091:520757:-1 gene:ORUFI11G00770 transcript:ORUFI11G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATAPCFPATPGLPARGAVAARSRMAAGGSRSQRRRSSSGVFLCRSSTTGSTRMEDYNTAMKRMMRNPYEYHHDLGMNYAIISDSLIVGSQPQKPEDIDHLKDEEKVAFILCLQQDKDIEYWGIDFQTVVNRCKELGIKHIRRPAVDFDPDSLRTQLPKAVSSLEWAISEGKGRVYVHCTAGLGRAPAVAIAYMFWFENMDLRTAYEKLTSKRPCGPNKRAIRAATYDLAKNDPHKESFDSLPEHAFEGIAGSERSLIQERVRALREA >ORUFI11G00780.1 pep chromosome:OR_W1943:11:523865:528270:1 gene:ORUFI11G00780 transcript:ORUFI11G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQPEPYGAQISNAQIFLSQYPPLDSNPSSSRCMQFPGFPPVNGAPANMSLSHFPAGHVPYYCEPEPTVFEEPEQFEDPCYDPDAIALLGPVSESLDNFPLDLDSGFISSDITKETHTKPSPIESPLSRSRTFEDNPIRHSTGKGPNGSILPEASNEQGGTWQMWGTPLVQESLGLRGPQTEWLLPNTNQFNHGASHLNGGANQFNHGVSHLNGGTRSSVGSGLDDNDLWLQKAPFQQMPLDTRSLFLSHDVSENAIHNNLDFGSPNKSARLHPIGPPGHSWSKEAVVLNGPQEASKICSSTGAHVGGGFFSTNPDVQSVWSFNQKETT >ORUFI11G00790.1 pep chromosome:OR_W1943:11:530678:533387:1 gene:ORUFI11G00790 transcript:ORUFI11G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGPREADRVPFDDISSHPLLGRVNPINKFFFFFLFPCQIPSPFSSLSRCDLRIHLLLPPLASYLLLQRTAPRRHLVLVSLFFIFSHLPLRRFVITSLIFLGKRRRGEERRGW >ORUFI11G00800.1 pep chromosome:OR_W1943:11:533791:540544:-1 gene:ORUFI11G00800 transcript:ORUFI11G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRFVNLVPIHSTYAFDTVSREWRRLGSWTMPFHGRAEYVPELNLWFGLSADHPYSLCAFDLPSDDSSVAAKPPTVQHTWVDLVIPQSWLPWNINLINLGCGRFCIAKMFHSISGDGTFCSYSESDDGTIEDSDPIHGSFAIFTGLHMVRPRGKHDDVQMIKHKFMRFLNLVVENARSGLYSLRRIPANHLFYPSTRAAEEATAKSQESFNAYVKEHHGRKHPGLHTLEMLGKLPSPMFNFEPTPWDGQRRHRNLEFASLLGNENRILIADHSGHTIVFDADSSTGCAAISLSIKNNNSNKNISGDMWDEDSLYVMSQSVDPETKDYCFEVLNYTSSCKDFRGRTPCWSSLQPPPFANYMHADITSYTVVDSSTIYVSSMEPDATYAFDTVGRQWRRLGCWTMPFDGKAEYVPELKLWFGLSVDHPYSLCACDLLSDAAKPPTVQQQHTWVDLDIPESWLPYNIDLINLGCGRFCVVKIFRSIAGDCTLGFSDYDDDDTMDSDPIQGKFAVLTGLQMVGPCGKDGDDQGGVRMIKHKSISMGRRRYLNLLVHNNHDDLYSLRRIPANRLFYPSARAAEAAAMAKSYIDHDGKRPHPGALHFMDRFRNFPCPLLNLQPTPMHHRNERSLDLVTLLGDDETKILTADNHGHTVLFDAASYSVVHFPNLNCSKGYDAMAVSINQEPDCLYVLNLRHHPTTSNHCFEVLSYGVFCERIPIWRSLPPPPFTTTTQTTITSYTVVGGDTIYVSSKLCGTHAFDTMVPPRGTKDDQQAPWMVKHKSICYPFGYYNIKRVF >ORUFI11G00810.1 pep chromosome:OR_W1943:11:541623:541913:-1 gene:ORUFI11G00810 transcript:ORUFI11G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSDFAADFAVFTGLQMVRPRGKDDPREVRMIKHKSMYYIFNAYNIEFVL >ORUFI11G00820.1 pep chromosome:OR_W1943:11:543172:544389:-1 gene:ORUFI11G00820 transcript:ORUFI11G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGKILMERYELGRLLGKGTFGKVHYARNLESNQSVAIKMMDKQQILKVGLSEQIRREITTMRLVAHKNIVQLHEVMATRNKIYFVMEYVKGGELFEKVAKRGKLTEVVAHKYFQQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVISKIGYDGAKSDIWSCGVILFVLVAGYLPFQGPNLMEMYRKIQHGEFRCPGWFSRKLQKLLYKIMDPNPSTRISIQKIKESTWFRKGPEENRILKERTLNENTTKNVAPVLGVRRKKNAHEDVKPMSVTNLNAFEIISFSKGFDLSGMFIVKEWRNEARFTSDKSASTIXILMSQAFSFRSSNSLGFSSNEFICLACVLFSIYVFVSGEFMLRQCMQLYLES >ORUFI11G00830.1 pep chromosome:OR_W1943:11:553761:554198:-1 gene:ORUFI11G00830 transcript:ORUFI11G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLKELPIWQAFGFSLLTGALAGSTLYAVCVVLLCCVDRQRRHAGAPPPDPKIWLPDHTHHRRQRDESSECSICLGELEEGERCCTLVACRHEFHKECIYRWLANHNTCPLCRHMPLPPASSPPPAPPPHHASPPSNVPPAAHV >ORUFI11G00840.1 pep chromosome:OR_W1943:11:554777:562304:1 gene:ORUFI11G00840 transcript:ORUFI11G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDFMDSWLMWGNIFFFFLALAITVEVDLIRLRRNDDGNNKSAHQYDMLIERLLLLRPKDDQDNEQCVICLSENEDDVDGGGGERGRGRMLPGCAHAFHKDCVVKWLRNRTTCPXIYIYIYIYASDLYTQQQQQLQTWSLYTNSIQHFTCQSQPPSPRVPAPMESRTPRSSVARSTCNVPGLVLGFSKLCKITKICAAPEFADTKTEFGDYCGGYDQRLIITRLFEEIGALKSAYIKLQKAHIPYNPPKIAFADEIITSELDSVTALQSLCSWNGSVGSLINDRWSLVQELEAETRKKDSDIMLLRRELDGLKSANSRLNKQISSSKPSVNHHKDYSVVLKKLTTPSAVLELFKVASTSVHDFAELIFSLISSSDHHCTNNADEHSPYKRYSLEAYLSRTMLAVHDGAEDDDELDLARFDRIMRCCDPLDALMAHPNSSFARFCRTKYLAAVPSEMEAAMFRNNLDVRAFVSRGGHLRTWFYRAFATMARSAWALQVAVTAHRRCCGRGSVRMLYARRGSRYAAEYMDSVVAAAAADAGRGGGDGVAFTVTPGMKVGTDGKALLFLVCFIVAVWLIAVAIAIADLVRHLLRHRRRNAIADPPNSPVEELLLRVPNRVDKEEDDDECVIRLQAAASSYTGGEEDDSCCRDVCTCSTRIASPIGFTPIKPARSAEPMFSLPPPPPIMLQITWSDTYARSKLICDLISITNWFHLIRYMLIHPTSPYIIFR >ORUFI11G00840.2 pep chromosome:OR_W1943:11:554777:561187:1 gene:ORUFI11G00840 transcript:ORUFI11G00840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDFMDSWLMWGNIFFFFLALAITVEVDLIRLRRNDDGNNKSAHQYDMLIERLLLLRPKDDQDNEQCVICLSENEDDVDGGGGERGRGRMLPGCAHAFHKDCVVKWLRNRTTCPXIYIYIYIYASDLYTQQQQQLQTWSLYTNSIQHFTCQSQPPSPRVPAPMESRTPRSSVARSTCNVPGLVLGFSKLCKITKICAAPEFADTKTEFGDYCGGYDQRLIITRLFEEIGALKSAYIKLQKAHIPYNPPKIAFADEIITSELDSVTALQSLCSWNGSVGSLINDRWSLVQELEAETRKKDSDIMLLRRELDGLKSANSRLNKQISSSKPSVNHHKDYSVVLKKLTTPSAVLELFKVASTSVHDFAELIFSLISSSDHHCTNNADEHSPYKRYSLEAYLSRTMLAVHDGAEDDDELDLARFDRIMRCCDPLDALMAHPNSSFARFCRTKYLAAVPSEMEAAMFRNNLDVRAFVSRGGHLRTWFYRAFATMARSAWALQVAVTAHRRCCGRGSVRMLYARRGSRYAAEYMDSVVAAAAADAGRGGGDGVAFTVTPGMKVGETMVACRVFLCHEQQDTISDETDPKFR >ORUFI11G00850.1 pep chromosome:OR_W1943:11:561777:562262:-1 gene:ORUFI11G00850 transcript:ORUFI11G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRIKWNQLVILIKSQINFERAYVSDHVICSIIGGGGGRENIGSAERAGFMGVKPIGDAILVEHVHTSRQQLSSSSPPVYDDAAACSLMTHSSSSSSLSTLLGTRSNNSSTGELGGSAMALRRRWRRRCLTRSAMAMATAINQTATMKQTRNNNAFPSVPA >ORUFI11G00860.1 pep chromosome:OR_W1943:11:563600:567656:-1 gene:ORUFI11G00860 transcript:ORUFI11G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHNSLRPKPGIGRARRKCRRREAAPEHGAATTCTAAWTRAPRQEQAAEIPIVLFLSMVFPGTTVQLQVFEFRYRIMRN >ORUFI11G00870.1 pep chromosome:OR_W1943:11:563655:563960:1 gene:ORUFI11G00870 transcript:ORUFI11G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLSSNKKPCSSSSSLLLLVLLLLLVFFAHHGSCSRPLLLPSPTTPMQPQLKHESETASADTTTRTEEQVVQQQQLSWLRSMKPRGRPQPSSPSKRTN >ORUFI11G00880.1 pep chromosome:OR_W1943:11:568029:572756:-1 gene:ORUFI11G00880 transcript:ORUFI11G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARRCGGRTSERSSVVGDNRNGYVETDPTGRYGRTVYRGFDELRGVEVAWNQATISDVLRTPDALHRMYAEVSLLADLRHDAIIAFHASWVHPSRRTFNFITELFSSGTLRSYRLRYPRVSRRAVAAWARAILRGLAYLHARGVIHRDLKCDNIFVNGHLGQVKIGDLGLAAVLRGCASARSVIGTPEFMAPEMYDECYGVGVDVYSFGMCMLEMLTNEYPYSECDNPAQIYKKVTAGKLPDAFYRLTDADARRFIGRCLVDAAHRPSAEELLLDPFLSPSQNHDDHNIIAHATAPPPPLPLACSNSSEEQEEAAPAPAAKTTDMAITGKLNKEHDTIFLKVQIGGGRNVRNIYFPFDVANDTAMEVATEMVKELDIADREPTEIAAMIEQEIVRLVPGYKQHEYSYADDNDDDDVSGHPNPFYYLSSSPTSSQGSLCGVGSTSSEGFPGPHGKVDWSRDYCYYPPSSVSVSDDDDSSTSSLSAAVSASSLHQQQQHCSASSSRLGPASASASEDGGGGHAGRPRQREGEEERRRRRMSRNRSMVDMRSQLLHRTLVEELNKRLFFNTVGAVHDIGFRDPTAAASSSSSSSHHRRRSSNKIDHKHHYMF >ORUFI11G00890.1 pep chromosome:OR_W1943:11:577017:583755:-1 gene:ORUFI11G00890 transcript:ORUFI11G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNQGCSPALEPVPTPPNPDPSSISQEAWDPLEAAAGAVVARIQPNPPSEDRRAAVIAYVQHLLRCTVGCQVFPFGSVPLKTYLPDGDIDLTAFGHSSDEILAKQVQAVLESEEARKDAEFEVKDVQYIHAEVKLVKCIVQNIIVDISFNQFGGLCTLCFLEKVDQKFEKYHLFKRSIMLIKAWCYYESRILGAHHGLISTYALEILVLYIFHLFHGTLDGPLAVLYRFLDYYSKFDWDNKGISLYGPISLSSLPELVTDSPDTVNDDFTMREDFLKECAQWFTVLPRNSEKNTQVFPRKFFNIVDPLKQSNNLGRSVSKGNFLRIRSAFDFGARKLGKIIQVPDNFTMDEVNQFFRNTLKRHCNSSNHGSLRQNGWNYVAENKERKSVSGGLLASKATNPAATNSTGMTNGSDSCEPASPSITGAHSLPSEEGHDALDLFNESESGTKAGIKYGTNPSHHGMSTVSYAGRSHQSFEEVDNDDRGTIDSNWSDLTGDYTTNFNNLLYAQGFHQDYPMNQYYPFGPVYYPIPSPPPARYQNRRSSNGHSRNNVYGYAGTNGIGPAPCPPGYLIMRPYSQIDDSNRARGTGTYFPNPNLSKDRSPSGRGGRGKTHFLPHNHQRPHHYGRADMSADLTPSEELRHIYDPDANDLGIPSSLRISIPSPSSEAPREIVHGNGFIQPPAKKLEFGTLGALPLEVTSQELGINRLNTASDSQPSASASPMSLANNPGISSNQMRNAQPYHLKDNGDFPPLSS >ORUFI11G00890.2 pep chromosome:OR_W1943:11:577017:583755:-1 gene:ORUFI11G00890 transcript:ORUFI11G00890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNQGCSPALEPVPTPPNPDPSSISQEAWDPLEAAAGAVVARIQPNPPSEDRRAAVIAYVQHLLRCTVGCQVFPFGSVPLKTYLPDGDIDLTAFGHSSDEILAKQVQAVLESEEARKDAEFEVKDVQYIHAEVKLVKCIVQNIIVDISFNQFGGLCTLCFLEKVDQKFEKYHLFKRSIMLIKAWCYYESRILGAHHGLISTYALEILVLYIFHLFHGTLDGPLAVLYRFLDYYSKFDWDNKGISLYGPISLSSLPELVTDSPDTVNDDFTMREDFLKECAQWFTVLPRNSEKNTQVFPRKFFNIVDPLKQSNNLGRSVSKGNFLRIRSAFDFGARKLGKIIQVPDNFTMDEVNQFFRNTLKRHCSRVRPDVQEIALDFNGERADNDSSPLYSNNSFGDLSDEFNNISISDSSNHGSLRQNGWNYVAENKERKSVSGGLLASKATNPAATNSTGMTNGSDSCEPASPSITGAHSLPSEEGHDALDLFNESESGTKAGIKYGTNPSHHGMSTVSYAGRSHQSFEEVDNDDRGTIDSNWSDLTGDYTTNFNNLLYAQGFHQDYPMNQYYPFGPVYYPIPSPPPARYQNRRSSNGHSRNNVYGYAGTNGIGPAPCPPGYLIMRPYSQIDDSNRARGTGTYFPNPNLSKDRSPSGRGGRGKTHFLPHNHQRPHHYGRADMSADLTPSEELRHIYDPDANDLGIPSSLRISIPSPSSEAPREIVHGNGFIQPPAKKLEFGTLGALPLEVTSQELGINRLNTASDSQPSASASPMSLANNPGISSNQMRNAQPYHLKDNGDFPPLSS >ORUFI11G00900.1 pep chromosome:OR_W1943:11:584061:585674:1 gene:ORUFI11G00900 transcript:ORUFI11G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLLVSDDGLLDFARLLGGGRKTKRKRRRRCLCLRLQATVSSFPPATIRWPRGLFLSPLTKPRPTRRAHQCVPHPRPRLPPTTASCAAGGHQLHALLAKLGLLHHPEFLSALLSRIPPSPSALSLLLEASPAVLSPSLVCPVIVAFSSSPAPSSALILFNHASSCSLPTPLPTFPALLKSCARAFNRSSRAGVASVFVSKGMELHCRVLKLGCGKDRYVRNALVSMYGKFGRLGDARKAFDEMPDKNAVSWNALVGAHRAAADWMGAERVSQAMPERNLSWWNAEIARNVSIGYMDEASRLFREMPQRDVVSLNSLISGYTKLGKYTKALEIFQEMKENAIEPTELTLVLILGACAKDGKLELGTDIHINLQSKGIVSDGLVGNALIDMYAKCGRLDLAKKVFDRMSMRDITCWNAMIIGFSVHGCSYEALELFDSMKIEPNPVTFLGVLTACSHGGLVNEGRKYFNSMIEDYRIVPDVKHYGCMIDMLCRYGRIEEAYLMIKENPSTANSVLWKMLLAACRVHGHIDLAYKFFH >ORUFI11G00910.1 pep chromosome:OR_W1943:11:591082:591525:-1 gene:ORUFI11G00910 transcript:ORUFI11G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGIKRQISKDRQARFVDRSQPIFGFDCCRPIFVVDPGTSPFLRPCVSPTFQVPAVGFLNPGIGLALQQ >ORUFI11G00920.1 pep chromosome:OR_W1943:11:592106:597378:1 gene:ORUFI11G00920 transcript:ORUFI11G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGEHAGTVHHVRDGEGVRPHRRLLRRGQDPQLRRRHHRRPPDHLRLPQAADQRHGRPEARPRRRHPLQVRRQHPLRHQPFHRLLQGALMSGSTSTSDATIIKERSIHRSPALISRLLCACAVVNDRARNIYACCSFVVLLPAYLLRGVRTLNGKASSSADRRTACSCLKNMASSFRNLNMGNAASIPSKCGVSVAFPISTSVDCSKIN >ORUFI11G00920.2 pep chromosome:OR_W1943:11:597119:605415:1 gene:ORUFI11G00920 transcript:ORUFI11G00920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQTSTTHQQQQQVDRSSAHTTKIEMARAQLVLVALVAALLLAGPHTTMAAISCGQVNSAVSPCLSYARGGSGPSAACCSGVRSLNSAASTTADRRTACNCLKNVAGSISGLNAGNAASIPSKCGVSIPYTISPSIDCSSVN >ORUFI11G00920.3 pep chromosome:OR_W1943:11:592106:592972:1 gene:ORUFI11G00920 transcript:ORUFI11G00920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGEHAGTVHHVRDGEGVRPHRRLLRRGQDPQLRRRHHRRPPDHLRLPQAADQRHGRPEARPRRRHPLQVRRQHPLRHQPFHRLLQGALMSGSTSTSDATIIKERSIHRSPALISRLLCACAVVNDRARNIYACCSFVVLLPAYLLRFDS >ORUFI11G00930.1 pep chromosome:OR_W1943:11:594459:600028:-1 gene:ORUFI11G00930 transcript:ORUFI11G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEAPPPPLELEEVVWEAGRATASRVILLVVDVAYHVEVLDGEEHLGCVEVGGGEREAAGWHAVDERVEVAAGAKLHDDTGESCKAATEQPLPSCRVGAWRVGDRESEDAVAVAHVASPLLVPQPQLGHPPPPPLLQEPCLVAPTRGAGHPYRRTRRRPPYRFTDGVGISGSPQRGLQWPWNRRTATAPPRLEGSDDAADFSGMVCCRPIKNTRELIRTVNDAGVALGMPPSSQQESSILRNALQRCRSWRHVWDAGPTMPSNHLDGLSRGQVEEWLKRVYKRERRRKKERRRKRRSKWSSDAPQSLQGPSRPQHKIAHVSTGGTLPINLVLQGGRISAPAKMALSSQSSAPLQLALRAEPWTKQISAKENGAEPCHLSTRGPPNTGALFGRRPTGVAQRLSAGCHRQGIWLPLLCCVFSLRRWTSSNY >ORUFI11G00940.1 pep chromosome:OR_W1943:11:600540:608471:-1 gene:ORUFI11G00940 transcript:ORUFI11G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRACLGDEGKRGDGDARTWAMAQLRVSWRESRRAVRGAAWRGAGPGTTRHGRDDAGAGVGPARCDAWRDTTRCGCGAASAVRLGLGSDAAEGKEARLRCSTGVTGTAGSDVVHAVDGIERLADRCYMARVETMTQWQPGGAAQRREAACGGRREPAGADRIIILTLCEPIKCVRKMEESLAN >ORUFI11G00950.1 pep chromosome:OR_W1943:11:611719:615912:-1 gene:ORUFI11G00950 transcript:ORUFI11G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYNAMRLRSGKCGAARLFSSGTLRSYRLRYPRVSRRAVAAWARAILRGLAYLHARGVIHRDLKCDNIFVNGHLGQVKIGDLGLAAVLRGCASARSVIGTPEFMAPEMYDECYGVGVDVYSFGMCMLEMLTNEVRKKDNGVVKMQGRKEGRNGVLQFDIEIFEVTTSYHIIEMKQTSGDSLEYRQLLEEGIRPALKDIVLA >ORUFI11G00960.1 pep chromosome:OR_W1943:11:616216:620937:1 gene:ORUFI11G00960 transcript:ORUFI11G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVPTTDPGIGTDKHRRFPIYKIGGRHARSGGGEGPAMLPPPFPLDLAERRVPPLPGRFLPSRRLWHRGARRRAAAGPPPPLPSDLAEGRAPPWWMEEEERSAATVVDGRGGERRRKKWRERRKKKRGGSGLRVREIEFEREGGEEEIRMGVDRVAQLGSVSSADRCRF >ORUFI11G00960.2 pep chromosome:OR_W1943:11:618278:620937:1 gene:ORUFI11G00960 transcript:ORUFI11G00960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVPTTDPGIGTDKHRRFPIYKIGGRHARSGGGEGPAMLPPPFPLDLAERRVPPLPGRFLPSRRLWHRGARRRAAAGPPPPLPSDLAEGRAPPWWMEEEERSAATVVDGRGGERRRKKWRERRKKKRGGSGLRVREIEFEREGGEEEIRMGVDRVAQLGSVSSADRCRF >ORUFI11G00970.1 pep chromosome:OR_W1943:11:632432:641946:1 gene:ORUFI11G00970 transcript:ORUFI11G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNGKVVVAVMVVAMVVAAPGASAAITCGQVGSAIAPCISYVTGRSGLTQGCCNGVKGLNNAARTTADRQAACRCLKSLAGSIKSLNLGTVAGVPGKCGVNVGFPISLSTDCNKVS >ORUFI11G00970.2 pep chromosome:OR_W1943:11:632309:638521:1 gene:ORUFI11G00970 transcript:ORUFI11G00970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNGKVVVAVMVVAMVVAAPGASAAITCGQVGSAIAPCISYVTGRGGLTQGCCNGVKGLNNAARTTADRQAACRCLKTLAGTIKSLNLGAAAGIPGKCGVNVGFPISLSTDCSKICHIQSYISGGKALSH >ORUFI11G00970.3 pep chromosome:OR_W1943:11:638468:644131:1 gene:ORUFI11G00970 transcript:ORUFI11G00970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRSEGAEQRRPHHRRPPGGLPLPQEPRRQHQVAQPRHRRRRPRQVRRQRRLPHQPLHRLQQPAMRRLGDVVEAPALVLTPASMQQAGGRGSSGALDASMVVILAALLCVVICALGLTSLIRCALHCARGLSPTTATPTPSVSTAATAGLKKTELRRIPVEVYGAKQAGVPDGECAICLGDFADGDKVRVLPRCHHGFHVRCIDTWLAAHTSCPTCRDSILSVHGVVAGGQT >ORUFI11G00970.4 pep chromosome:OR_W1943:11:638468:641946:1 gene:ORUFI11G00970 transcript:ORUFI11G00970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRALGYGGGGLRAGRSDARGGSGGRIWLGSSFPFPMSLPLLSARPSFSTPLPIAPASAVTAGDGCGRDGRRWMRWMXTPHRSRICSDGRRWMRQRRQEMDAVDGTAATAGHVVVMQEMKAKVCIPICGRSDAGT >ORUFI11G00970.5 pep chromosome:OR_W1943:11:641377:644131:1 gene:ORUFI11G00970 transcript:ORUFI11G00970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPAMRRLGDVVEAPALVLTPASMQQAGGRGSSGALDASMVVILAALLCVVICALGLTSLIRCALHCARGLSPTTATPTPSVSTAATAGLKKTELRRIPVEVYGAKQAGVPDGECAICLGDFADGDKVRVLPRCHHGFHVRCIDTWLAAHTSCPTCRDSILSVHGVVAGGQT >ORUFI11G00980.1 pep chromosome:OR_W1943:11:646485:650070:-1 gene:ORUFI11G00980 transcript:ORUFI11G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLECKFFRRVGTEIATVEVEGIPFPQEITVSKPLSLLANGITDIEIHFLQIKYNAIGVYLEKDNVLAHLESWKGKKAEELVQDDGFFQALVSAPVEKLLRIVVIKEIKGSQYGVQLESSVRDRLVSVDKYEEDEEEALEKVTEFFQSKYFKPNSVITFHFPTTPGIAEISFVTEGKGEAKLTVENKNVAEMIQKWYLGGESAVSPTTPKTVIEVKVGICPCTAHVRIKSTSGSNFQLVY >ORUFI11G00980.2 pep chromosome:OR_W1943:11:646485:650070:-1 gene:ORUFI11G00980 transcript:ORUFI11G00980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKWYLGGESAVSPTTPKTVIEVKVGICPCTAHVRIKSTSGSNFQLVY >ORUFI11G00990.1 pep chromosome:OR_W1943:11:651141:659702:1 gene:ORUFI11G00990 transcript:ORUFI11G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLRHLSPSGATAPPSSFAQLRRPSSCLAGPRPLRSRLTRVYALSSNDIRVGSNLEVDGAPWKIPEASISKETKQFTYKDGAQFVFMDLLPVNERDAGVAVDDIAELVQELVLHLGDVPLHHLAHHLAGVEVAVVADHLRAMEPLVVVPPLDAPRHPLEQPGAHLPLPLHHPLQLPLQLLRQYARLGAADELLVLALLPPEVFHPLPRRHVVVELELIAVRPRHGAAHVDALEVFDRGPLGLGVGDGEAERAVRLGLGLEGDVVEHAGGGERVELVAQLRPGVDAAGAQRIPEASISKETKQFTYKDGAQFVFMDLKHMN >ORUFI11G00990.2 pep chromosome:OR_W1943:11:651141:659702:1 gene:ORUFI11G00990 transcript:ORUFI11G00990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPGWNHLFLGIPLFLIVFIASLELPGASVTQLSYGFSPPPPPLSLRRHCTTLLLRPTPPPFLLPRRTTTSPLSSHPGVCAVQQRHQGGLQPRGRRSSLEDPRGIHFKGN >ORUFI11G01000.1 pep chromosome:OR_W1943:11:656025:664760:-1 gene:ORUFI11G01000 transcript:ORUFI11G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSPNSMLWLALLVWAALLCGSCHGRFVVEKNSLKVTSPSDMKGTYECAIGNFGVPQYGGTMVGVVAYPKANKKACKSFDDFDISYKAKPGSLPTFLLVDRGDCFFTKKAWNAQNAGAAAILVADDKTEPLITMDTPEESGNTDYLENITIPSALITKSFGDKLKKAIDNGDMVNVNLDWRESLPHPDERVEYEFWTNSNDECGPKCDSQIEFVKSFKGAAQVLEKKGYTQFTPHYITWYCPDSFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVYKVAKEHGKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGDPDADKENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKAICAGFRETTEPAVCLSEDIQTNECLENNGGCWQDKAANISACKDTFRGRVCECPVVKGVKFVGDGYTHCEASGSGRCEINNGGCWKDSRHGRTYSACTNDGCKCPDGFKGDGVHKCEDIDECKERTACQCKECKCKNTWGSYECGCSGGLLYMKEHDTCISKNAATEVGWNFLWVIFFGLVVAGIAGYAVYKYRIRRYMDSEIRAIMAQLDGKKRYKVSDLTFLNCRTRAAAAAAAEAPLFDALRPGGVYTRAQLRDELDALATSGMFDHVTLQTKPKPDGTLGLTVSYAETEWPAVEHFKCINVGGPMARPDGDELELDDDMTARERMEHLRRQEREYQQLVRRAKPCVLPEKLQRELQGMVKRQRKVSSGLLKRMAGRIERWYHDEGFHCAQVVSYHGNLDAGEVVCEVVEGDITKVEYQFLDKLGNVVDGNTSIPLIDRELPQQLRPGHIYNNGAGKQALKNIDSLGLFSTIEVQPRPDETNQGGVIVAIKLKEHDPKSAQVITDWSIVPGSQGRPTLASIQPGGTVSFEHRNICGLKRSLIGSVTSSNLLNPEDDLSFKLEYAHPYLDGIDNLSRNRTFKISCFNSRKLSPIFVAGPNMYEAPPIWVDRIGFKANITESFTKQSKFTYGLIVEEITTRDENNNICTHGSRQLPSGALSMIGPPTTLSGTGVDRMAFLQANITRDNTEFVNGATIGDRCIFQMDQGLGIGSKNPFFNRHQLTVTKFINLNKQEKGSRKPPPAVLALHGRYAGCVGDLPSYDAFALGGPHSVRGYGMGELGASRNLLEVATELSVPITVKNRHTQVYAFAEHGTDLGSSKDVEGNPTEFFRRVGHGSSYGVGVKLGAVRAEYAVDHNAGTGAFFLRFGERF >ORUFI11G01010.1 pep chromosome:OR_W1943:11:667334:670707:-1 gene:ORUFI11G01010 transcript:ORUFI11G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKLVLEKKGYTQFTPHYITWYCPDSFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVYKVAKEHGKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGKLDKGAVLKAICAGFRETTEPAVCLSEVVKGVKFVGDGYTHCEAVAVREVAQVYELIKTQQPLLLVHQQPQQLAHGLLNHALRALNVALSVMNQPHASSSAAAAAVPVMSLIKAEAATPANSSSPAADVAADNHVVGKPRRSSSAAKRRRINGEEYKSSSWSQFTPVPHEDGFQWRKYGEKKIQGTHFTRSYFRCTYRDDRGCQATKQIQQKDKNDPPMFQVTYSNEHTCTTTRLINNINNPAALHNLTANPNGHHDSDDDDTIFTKMIKQEEQAAWLPPPPPADLATISNNFDETPGLHVCQEVPPSSSNSSVISHYADEFDHHQMLETTVMEEALGLGADLDDPYFYDPNLLLIYESLMNCY >ORUFI11G01020.1 pep chromosome:OR_W1943:11:673049:675973:-1 gene:ORUFI11G01020 transcript:ORUFI11G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGNGTSKLFNVDYYGQHTCRGDGIADPYVVDTAHHSMEPINQNECNSPTLEHEAHEVQDERFENLCMVQNMPEYLIDFELERAFEFIVNSPLGSEHWTFDDSIRYAR >ORUFI11G01030.1 pep chromosome:OR_W1943:11:680666:680860:1 gene:ORUFI11G01030 transcript:ORUFI11G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAGQEVPGSMARQRERTEPMSSQASRSSSSKAWKAMLPTKPPVLPACHQPLSSPANRTHRA >ORUFI11G01040.1 pep chromosome:OR_W1943:11:681705:684794:-1 gene:ORUFI11G01040 transcript:ORUFI11G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSVPSYPSDLGSSRARTPQQQRVSPRKEERTWTTDTYAPYDDGHQWRKYGEKKLSNSNFPRFYYRCTYKNDMKCPATKQVQQKDTNDPPLFSVTYFNHHTCNSSSKIVGSTPDSTVQSRKAISICFNSHGQTGEQPTFLSSSASLLSPSMQSYSSNQQPDMNTYSRQFQWADTSSSTSNAPVKMEADDYAEASASPSTTGALSRTLLPIGQSRCIEYFHFL >ORUFI11G01050.1 pep chromosome:OR_W1943:11:696820:711663:-1 gene:ORUFI11G01050 transcript:ORUFI11G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAYCMMMVGRERELVAELRHLLFPSPSPTPTTPASHSTTALAGDGECCLPPGLTTTTTVSGGGRRRGRKRVNRDNDNVKLLLQADDDQEAVIADHGDANAKPLPNFTKTRRRKQQATTSTMVTTVPDFDGYQWRKYGQKQIEGALYPRSYYRCTNSTNQGCLAKKTVQRNGGGGAAGYTVAYISEHTCKSIEPSLPPVILDTTVRTTNNHQQPAAAESPAATSSSSSNMVMTSSETGNWSGQHGAYACRQMIAADEEYCCWDTPATTTTTSGSNGGNKEIMKNSSNKRSLVADQWHPSSVCCDHRAALREIAKGQSLVTQLRAIVLPALHSDERCDLAAQMLEGILDCSRKAVSQLQLLLSSPHDDDDHHHVDDKRRVRKIISSSDDDDHCSSKAAEDHNAKPLRQHKRRRFGDSVSLETPVPHYDGHQWRKYGQKHINNSKHPRSYYRCTYRQEEKCKATKTVQQREDLHHANSYNGDHPIMYTVVYYGQHTCCKGPAALADDHVVVEASQISTDSHCQSPSSSSDLQAAEVHAGNSSQCSNISVTCSSSVVVEDCNKLLDMMPAADELTADVLGPDPQPPQNTQVQLWLKHLTMMDINGGSMVRNGSLEQNIPGIHPQNRSCRLSWVGEKANCGRWVEGFGAERVDAGWVPRSVAGKTYGEERAVEGER >ORUFI11G01060.1 pep chromosome:OR_W1943:11:718449:722277:-1 gene:ORUFI11G01060 transcript:ORUFI11G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGGSAQESCSRNTISMEAPKLRFVRCPGCLQLLVEYPTIAVYQCGGCGTILRAKNRVAPAVNANAESGEHNEFSNNSTGGSQNNKLICTDGQKIPPSSDAQPGVLQEKITFASEEKTMSSSNSIDSSEHVNIECSLLDGDASNHDVRTEGINDEDKVTVSNSSLDSVRKVENVETDGNEKGSFTDDGSISNEVATTQSMVHMDGAGSDNNFTEVQSAAEGKCALSDANLDSQEIVAICQPDNISVGTKERVQPYEGFNVESHEDLIEELVRSLSLSDDEEEFVDIAENSELNDALCSQMGSCRFSLGSKMNEGPRTDPHGRLIEELEMSFSDAEEPLDQNIMVSLNDIEKPTLDEVSKENHILEEDGKESHILDVDGKQNHILDEHGKENHILNEDDKENLILDKGGEDTLDAGGANSYEERVLPSDDGLLKSGQSFQQCELVAVNMAEKDEGHLEETNMANHAEANKETNMANHAEANSGIAAVLSNLSNDKFCAILPPSCDGRKEEKSNIHRGRELCQGLSLDSVDFRSIQNFIESQMDGTSSSLSSGSPSHGDLEHNRSNRFKKIDRLERLRKMDDLRDQLNRLSSQKGLENRYKNKGPGLLQEQISYRHLEQHPCGFDGDSILDSDIIDSYYDQGNPPRYPPPDPFSPTHSHYHCGHGQPHIPYNCSAWEFNSYYQSSYAGMPSDIYVSKKKIAKFQCGRCSKALMLSFPATNSDDAKLSNKEVNRKPNKPVHNSVVGMEGGYSFSAECSRGDPVSISEECGASISRSFSGRTRAAVAASGSGKKVSDSALHRLMGKPVVTAQQSV >ORUFI11G01070.1 pep chromosome:OR_W1943:11:729393:734280:1 gene:ORUFI11G01070 transcript:ORUFI11G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAREHFVLIHGEGHGSWCWFKLRWLLESSGYQVTCIDLAGAGVDPTDPNTVQSFEQYDKPLLDLISAIPEDEKVILVGHGSGGLSLIHAMHQFVDRIRQAIFVAATMLPFGLQTDEDKKDGLPTLPENEINLIFGTGADDPPTTAALRPEFQRERLSQQSPEEESVLASMLMRPWPVTAISTASFEGDDERLNRIKRVFIKTERDHMLDPQQQDSMIKKWPPSEVLEIDTDHSPFFSAPEQLFNLIVKSL >ORUFI11G01070.2 pep chromosome:OR_W1943:11:729586:732072:1 gene:ORUFI11G01070 transcript:ORUFI11G01070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAREHFVLIHGEGHGSWCWFKLRWLLESSGYQVTCIDLAGAGVDPTDPNTVQSFEQYDKPLLDLISAIPEDEKGLPTLPENEINLIFGTGADDPPTTAALRPEFQRERLSQQSPEERIEKQEWKNI >ORUFI11G01080.1 pep chromosome:OR_W1943:11:733364:736387:-1 gene:ORUFI11G01080 transcript:ORUFI11G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKCCYIRKAVAAARGGATATVDLDLSALPGAADAFDKVARYCYGANFEISVRNAAALLCAAAFLDMHPTDGGLARRVEEFLAKVGLRTLPGAVAVLRSCEGLLPAAEEIGVVQRSADAIALRICNEVLFPTRSPPEWWTAELAALSPASFHKVITALRCRRAEPESVVAVLPSTDDAPLPAAFLCHLLHVAITIGASAKTCRDLELRVAAVLDQATAGDLLTVALDGAGERVQNVDAAVVTRINTVTNETYKDDPTILAWELINEPRCPSDPSGDTLQAWMEEMASYVKSIDPVHLLEIGIEGFYGPSIPELLPVNPDEYSGHAGIDFIRNHQAPGIDLASIHVYSDIWLPQSIKENHLQFVDKWMQQHIDDAANLLGMPIVVGEFGVSVKDGKFGNEFREDFMKTIYRIFLSSWKEGVIGGGCLLWQLFPEGAEHMDDGYAVIFAKSPSTLSLLANHLRCLEC >ORUFI11G01090.1 pep chromosome:OR_W1943:11:738801:742614:-1 gene:ORUFI11G01090 transcript:ORUFI11G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASDSPASFPFSAAKLAASPRFCNPISRRIFSDVAGDLTVSVDGQSFLLHKFPLVSRCGRIRRMVAESKDPDLSKLELVNVPGGALAFELAAKFCYGSNFEINTVNVAHLRCIAEYLEMTEEYQEENLIVRTETYLNEIVVKNLDKSLEVLCACDGLDPTVEDVGLVDMCVDAIAINASKEQLVSGLAHLECDVGSGKLRMHYYRIEVEKRIGLQLEMASLDDLLIPATQTSDSMFDVDTVHRILVNFLQRIEEDDSGNLSPCGYESDDGLKSPSHSSVLKVGRLMDGYLAEIAPDPYLKLQKFMALIELLPDYARIVDDGLYRAIDIYLKAHPSLMESECKKVCKLIDCQKLSQDASSHAAQNDRLPIQTVVRVLYFEQLRLKSTVSSTTPHTTSLGGDGCGGSLSQRMMMTGGSGVASSCVSPQRDNYASLRRENRELKLEIARMRVRLTELEREQGVMRQGMRDGRGGGEHGRALLASISRGIGRIAMLGGAQGGAERRKTKKSSHSQSQWSSDGGGKMSNRRRHKASSVTYAAS >ORUFI11G01100.1 pep chromosome:OR_W1943:11:742532:744269:1 gene:ORUFI11G01100 transcript:ORUFI11G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRISRRRATSFDRALFGQVHHEKATRQPDSITQQPILLVHTPLPVRSTNRAIDHFLSQILCIHAWLPTDFAHQASIQATVALVQNLPCHLIIENTYDPSSDYDSLDQSVSLSVCVISLPPNFLCENFLFRDEIDQMGKGQQSKMQMC >ORUFI11G01110.1 pep chromosome:OR_W1943:11:756752:759812:-1 gene:ORUFI11G01110 transcript:ORUFI11G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPKLGLWETLARKAKGILDEDGVAHKSDEYTKEKTPRKFDSSTGAQESQSRWSFENHSKTGDTGSRTRSEALAASVNQLGGRIRDALEIQIRRKQANSNSYVPNLAFDTLRPPNLSNDQAETAAQETQLKASRDVANAMAAKAKLVLRELKTIRVQLETLLAEKSRLAQENSMYARENRFLREIVDFHQFTTHDVAPLDDGDMEDSIPGEDSNHTYSEDMFPVVEAYLDREELSPVPSRPESPILSSCESSSPKSSNSKSSAANLPSNVSAKCIVRHAN >ORUFI11G01120.1 pep chromosome:OR_W1943:11:762637:763380:-1 gene:ORUFI11G01120 transcript:ORUFI11G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLSELATVEADGDGGGGGGGLGANQQCGSSRSKRQTNHSKETAVDWWVSWGFAVVVTRTFLNWYRCADYTAYAFNTWPVACQPCQTPQVYYMQQSRLDRRRNTTVTVYERRRVVPAKCGWRIRDPAALLDRVIVLKKPDPDL >ORUFI11G01130.1 pep chromosome:OR_W1943:11:763241:766828:1 gene:ORUFI11G01130 transcript:ORUFI11G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKVPLPCYLQSPPSAPHPRHSAAFSRSLRPCRPNGPPPAFASAEFPGSVPDIAQMPPRRRHRSVAGIDQDDLLDPDALADPDSSFYEINGIRVHHKVCTHEDSSDQSADSAITNADQNQIGLPIVLLHGFGSSVFSWTHIMRPLARIAGAKPINPYSMAFSVMATLAFIDQLGAKKAVLVGHSAGCLVAVEAYFEAPERVAALVLVAPAIFVPVFRRKGVKEYGVGEQEWQNKKDSNGSNLPTNPLNRIWGKFLELCLWIAGFLMNMIRAIGSIVRSLYCKAVVAVLRSSVGVRLVRLVMDKFGILAVRNAWYDPSKVTDHVIQGYTKPLRSRGWEMALLEYTISMIMDSISSSKVPVSERLSEISCPVLVVSGDTDRLVPRWNTERVARAIPGAGFEVIKNSGHLPQEERPEEFVSVVERFLRKAFGRPSEQEKLFQAAA >ORUFI11G01140.1 pep chromosome:OR_W1943:11:768636:779464:1 gene:ORUFI11G01140 transcript:ORUFI11G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHQFAGQPDVQCLVCTRPFTLDAQVTDTFEALAICRDCKATVLNDVERDEITSTSHHTRRRRQRSRTASIDSLEDAFSQEFSQLIDLARRQGRETDIDSSSVLPQHASYNATPSQSQRWHASDDESDGLNYVDSVFGEIESTISFGDYGADSDTSIEEHSVSARRRISIQLDNGSYMNTDTDIDPMNARLDQWDSDDQEDVEESGFDETINTMTQHQQQSHDIQLSGLSEDESEDGVWNWSVAVRQRANVTNLLEDMEGPEMRTTFVGNPDDYVDARQFEMLLEQFAEDNSSRRGAPPAATSFIENLPSVIISTSHQINDDVICPVCKDPIPTRARAKQLPCMHLYHSSCILPWFSSRNTCPVCRYELPTDDAEYERSKQATTNVRDIQVVEENSDEQEVQVTRQMAVGAIEETNTSEHNVRVDEQPSSARRRSGWLFIAAAPVFYALQILLGVVEGNCTVDPQVPLKYMWVPKRVGGLCSRMFRRLALFYLCNILWLRAVKIRKKLRRQGIRGPKPTFLYGNTKEIKRIRQELKLSQKQGTNNFISTLFPHFLLWRETYGPVFLYSTGAMEILQVSHPDMVKDIGRWTPSELGKPNYLKKSRKALFGGGLFTENGDEWAYQRKIIAPEFFMDKIKGMIQLIEDATVPVLEAWEDMIDDEGGCREIVVDDYLRNLSADVIARACFGSSFTKGEEIFCKLRQLQKAIARQDSFVGLSALWKYLPTKSSQEIQMLDEQVRLLILDVAKEQHHYQDSHNSLVNAIIDGAQDGRSAAEAEDFIVGNCKTIYFGGHESTAVTAIWCLMLLATHPEWQERARAEAMEVCRGRSTLDVDALRRLKIVTMVIQETLRLYPPASVMMREALTDVKLGSIDVPRGTIVQVPRLMLHLDKEAWGADADEFRPDRFANGVAAACRAAHMYVPFGHGPRTCIGQNLAMAELKVVLARLLTKFAFSPSPRYRHSPAFRLTIEPGFGLPLMTTALRMEETEWHGTKEIRAPMASKLHMEMDHPANMQYTVYEYAMNM >ORUFI11G01150.1 pep chromosome:OR_W1943:11:779514:786835:1 gene:ORUFI11G01150 transcript:ORUFI11G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLFSSQQWLALLPPIILCILLFSYVYIILWLRPERLRQKLRSQGVRGPKPYFLFGNIPEMRRIQQLAKSAHEQEAGSTDMFSSNYVATLFPYFLHWSRVYGTRHSDCTHQPPSSPGEALNDMKLAGIDIPKGTNIWIPIAMAHRDPSVWGPSADKFDPDRFANGIAGTCKPPHMYMPFGVGVRTCAGQNLAMVELKVVLSLLMSKFEFKLSPNYVHCPAFRLTIEPGKGVPLIFREL >ORUFI11G01160.1 pep chromosome:OR_W1943:11:792262:792837:1 gene:ORUFI11G01160 transcript:ORUFI11G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDHENNKSGVAEVIRPDKEAIESSSSMNVADEDPLYGCQTPRESIFDPFAPGPEELACAPKKNMIKAPELPPRRQLSFDSGDYPVKRLSFEFDDAEEDDQFLERICKMFIDLIVSNQALETIGKDLIGSNSPGSCETPSSEPLLTGIADTCPDAPLRRPLKAVQLSPSICRKLDFDSVSPRCLFVKENK >ORUFI11G01170.1 pep chromosome:OR_W1943:11:793980:794681:-1 gene:ORUFI11G01170 transcript:ORUFI11G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAAAAGNGSGSILPTHTTTAPPFRAHKDADLESTTRRRRRRCLCCCLLVTLVVLLVLAITLLVLFLTVLRVRDPTTRLVSTRLIGLSPRLSFPAMSVQLNVTLLITVAVHNPNPASFTYATGGHTDLTYRGAHVGDAEIDPGRIPSRGDANVTMALTLQADRFAGDLTQLVTDVMGGSVALEASTRIPGRVAILGVFKRHAVAYSDCHFVFGVTEMAVRSQQCSDRTKL >ORUFI11G01180.1 pep chromosome:OR_W1943:11:796729:799755:-1 gene:ORUFI11G01180 transcript:ORUFI11G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTPGVLLKLLQAMHTDDRVAGDHRSPVLQVTAVVPALTASTADSLWPSNGFLLQLSDGLHSTYVQPSSADADALVSARPQLVGHLVHLDRLRFARPVPRAVGIRPVPSSRSVSFVGNPEPLVARPAACSRGYVIQPGGTPRATSPAPSKCVVPSLVSAKEENRRTAREPAIIVPSRYRQPSPVGGRRGAASPAPGGRRASLSPSSRRLSGEGSSKKKVGVLVAGISKMTDLTNGSAVKPGRKSWDNTSIAAAAGSVMKSKVKVDKSTILRTQEAMARRLSDVTTELSSNDDDSSVDEKPKPRKKIESPAVKTKAMAPKIMLHDPKWTDGSIPLDGVSDVLSKMGKEATERRDAAAIAAADALQEALITESVIRNLSTKVVFPNTQLAIVHSKFSELTSASKTSNPLPTVDIFLAVYEDTLKWKKIAESISTNRTETASWENSATHWVEAALATDLEVLKLMNKAPESLSRKRGADKPKAPLVVEAPRTTISKRQSHGTSAKVQSKGVNETAELATTLCREMHTWFLKFVDEAMDLGFHLFEDQNVASRGKQSSHITMVLSQFKKISDWLDGVGKIAEEATTKDKVEQLKCKIYGFVINHMGSAFDSSVLISSRN >ORUFI11G01190.1 pep chromosome:OR_W1943:11:804245:805091:-1 gene:ORUFI11G01190 transcript:ORUFI11G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVHPNVAAAPQPPCISSIVSQQQEEEEPPVVLTVWRKSLLFNCHGFTVFDAKGNLAFRLDCYDSTSSRRADLVLMDAAGKPLLTIRRKRMSLSDSWIIYDGDGAATATATPLLSVRRRRVGLRASKSKAIAHVTPLSSSLPLPEAYVVEGSYGRRSCAVRDARGDAVAEVRRKESVGDDVFRLVAQPRLGAPLAMAIPRLGAPLAMAIVIAIDEMFRGGSSLLRRTCSA >ORUFI11G01200.1 pep chromosome:OR_W1943:11:813491:818897:1 gene:ORUFI11G01200 transcript:ORUFI11G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVLSADLRRAPTPFSLLPLALAPPPPPPPTLLRRRPLLLPRAISSSTSPPPVQEMEAAYKFGPYKIDAREVFHSTPLSYAMVNLRPLLPVCPKREVKRFADLSSNEISDLWVTAKEVGIRLEQYHKASSLTFAIQDGPQAGQTVPHVHIHVIPRKKGDFEKNDEIYDAIDVKERELKEKLDLDIERKDRTMKEMAHEANEYRVGCDCCTRPEDLVTGLRQIRSMTTTIAMTTATSTPGLTTRVNTSSPRLTGLDITPAFFLVIRAASSPLLPRILQLLMEKQPSILKLGLGEASENGNKEAKL >ORUFI11G01200.2 pep chromosome:OR_W1943:11:813491:817584:1 gene:ORUFI11G01200 transcript:ORUFI11G01200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVLSADLRRAPTPFSLLPLALAPPPPPPPTLLRRRPLLLPRAISSSTSPPPVQEMEAAYKFGPYKIDAREVFHSTPLSYAMVNLRPLLPVCPKREVKRFADLSSNEISDLWVTAKEVGIRLEQYHKASSLTFAIQDGPQAGQTVPHVHIHVIPRKKGDFEKNDEIYDAIDVKERELKEKLDLDIERKDRTMKEMAHEANEYRDDEHAIGV >ORUFI11G01200.3 pep chromosome:OR_W1943:11:817624:818897:1 gene:ORUFI11G01200 transcript:ORUFI11G01200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATSTPGLTTRVNTSSPRLTGLDITPAFFLVIRAASSPLLPRILQLLMEKQPSILKLGLGEASENGNKEAKL >ORUFI11G01210.1 pep chromosome:OR_W1943:11:816908:819675:-1 gene:ORUFI11G01210 transcript:ORUFI11G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIQIHPSHGGGGGTGGRARRQAADQPVVYTVWKRSSIGFQGTDGFSVYDSAGKLAFRVDNYSRRRKAFAGDLLLMDGHGTPLLSLRPQILSLHNRWNCYRAQEEEGLDSTSSPSVSQQQVFSMRKSSALQSSDEAEVFMSTRTSGDSQLPDASPSPSFRIDGCFSMRSCKIRGSNGEEAARITRKNAGVMSRPVSLGDDVFTLVVRPGVDVAVVMAMVVVMDRICRRPYTPMACSSSGNSVVHSGEIIKSKEKYHLNRSNENLLLMEMKHAYYLYMPANICNNVLNTTIASTQQRQPVVGMQAKQTRSHDYDRSLLGVDYLVHRQQSQPTSEGLQIAYRYQILRSGATVTSHFRTQPAKLPIKLFFTTCQR >ORUFI11G01220.1 pep chromosome:OR_W1943:11:820290:839300:1 gene:ORUFI11G01220 transcript:ORUFI11G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVAGSKYRFGPHEIDERQVFRTSPLSFAIVNIRHTRPVKKERKKERKKDSALNYISNRLVKRFADLSPDETSDLWIMAKEIGARVEQYHRASSLTFTIQDGPHSGQTVPHVHVHIVPRRKEDFENNDNNKGMMNAKNETLDLDIERKDRTMEEMAQEAKEYQLITLNYEEQIIGVYGFQQIRSRAMTKAMIIAQSTFGWTSRLKTSSARVSDNDAAPAFFLVILATSSPTLLRILQLLLLKLPSIEKPGTWPVRSDEAWVHPDMCTSAS >ORUFI11G01220.2 pep chromosome:OR_W1943:11:820290:822613:1 gene:ORUFI11G01220 transcript:ORUFI11G01220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVAGSKYRFGPHEIDERQVFRTSPLSFAIVNIRHTRPVKKERKKERKKDSALNYISNRLVKRFADLSPDETSDLWIMAKEIGARVEQYHRASSLTFTIQDGPHSGQTVPHVHVHIVPRRKEDFENNDNNKGMMNAKNETLDLDIERKDRTMEEMAQEAKEYRALFS >ORUFI11G01220.3 pep chromosome:OR_W1943:11:820290:822613:1 gene:ORUFI11G01220 transcript:ORUFI11G01220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVAGSKYRFGPHEIDERQVFRTSPLSFAIVNIRHTRPGHILYEIGARVEQYHRASSLTFTIQDGPHSGQTVPHVHVHIVPRRKEDFENNDNNKGMMNAKNETLDLDIERKDRTMEEMAQEAKEYRALFS >ORUFI11G01230.1 pep chromosome:OR_W1943:11:838236:840731:-1 gene:ORUFI11G01230 transcript:ORUFI11G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHPSNQRQDAAAAAAASTAAARAAVYTVWKRSSIGFQGTDGFSVYDHAGTLAFRVDNYSRRRKLFSGDLLLMDGHGSPLLALTPQIISMHDQWNCYRASEEGQGKRTRSQQLFSMRKCSVMQSSHEAEVHMSGCTHASSDRTGHVPGFSIEGSFRRRSCKIRNSVGEEVARITRKKAGAASLSLTLAEDVFSLEVQPNVDCAMIMAFVIALDRICWKPYTPMICSSYIKQVGYTHTEEEAKLVSSTKREPEKEMVSIHAYVLLDFFKPALCFICIVHVLKICSPSQKDSKDIDNILQ >ORUFI11G01230.2 pep chromosome:OR_W1943:11:838236:839279:-1 gene:ORUFI11G01230 transcript:ORUFI11G01230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCTHASSDRTGHVPGFSIEGSFRRRSCKIRNSVGEEVARITRKKAGAASLSLTLAEDVFSLEVQPNVDCAMIMAFVIALDRICWKPYTPMICSSYIKQVGYTHTEEEAKLVSSTKREPEKEMVSIHAYVLLDFFKPALCFICIVHVLKICSPSQKDSKDIDNILQ >ORUFI11G01230.3 pep chromosome:OR_W1943:11:839293:840731:-1 gene:ORUFI11G01230 transcript:ORUFI11G01230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHPSNQRQDAAAAAAASTAAARAAVYTVWKRSSIGFQGTDGFSVYDHAGTLAFRVDNYSRRRKLFSGDLLLMDGHGSPLLALTPQSVRRRPRQEDKITTTLLNEKMLSYAKQS >ORUFI11G01240.1 pep chromosome:OR_W1943:11:840878:847996:-1 gene:ORUFI11G01240 transcript:ORUFI11G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNQEPKEIKVGKQIKNPKNKMNKKNKNSVHRLLSPTCLVSQPLLPGTPPADAAAPATAGDDSRRRRRRRLPPPLPIGVAAREEKEERQREYINDGFNRFMPAESWALTIARRPEEYI >ORUFI11G01250.1 pep chromosome:OR_W1943:11:849756:850411:1 gene:ORUFI11G01250 transcript:ORUFI11G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRRHPNPLPAAGVPAHLIRRFSTLPDVDHPPLPTSTPTPRLHLTVRGEANLARTHSLVATALSRPDDYPRLHGSRPLFSLAASRLQRLRRLDLAASLLCALLDSARRPPPRQHPPRVLRLHARCPLQRLLSVLLSALFGASRVDDVESTLASAESSFGVVPGRVSYNVLGRVRTARETEERRERRGERKVTWTP >ORUFI11G01260.1 pep chromosome:OR_W1943:11:852034:852499:-1 gene:ORUFI11G01260 transcript:ORUFI11G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPHVSISLTGLAMVVFLIFSSSFLQAAQGPDKKMVMKYDVPVKRLMYRPAAIGTEAAAYEPFELCMGCRCCASSNASSCVDTRCCYAIDCNIPGKPFGVCAFSPHTCDCGATNCTSQQP >ORUFI11G01270.1 pep chromosome:OR_W1943:11:854956:859290:-1 gene:ORUFI11G01270 transcript:ORUFI11G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQVQQLHLLQHPVKNAVAERKHTRISSDMSDPSTPRKIEDAKNISIYNDVIDFTLFELETITRSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVRFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRRTATPLSWATRMSIALGAAKGLACLHNAERPIIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREHSLVDWALPKLNDKRRLLQIIDPKLEGQYSVRAAHKACSLAYYCLSQNPKARPLMSDVVETLEPLQGSGGSDGAVQSVLGSGLPSYRVNRRLMTNRVHCRALPNPKCSPAVPACRFSTCKFALHGGACKAL >ORUFI11G01270.2 pep chromosome:OR_W1943:11:854956:877896:-1 gene:ORUFI11G01270 transcript:ORUFI11G01270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTSPYTRYVIDFTLFELETITKNFRADYVLGEGGFGTVYKGYIDENVRVSLKSLPVAVKTEVRFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRRTATPLSWATRMSIALGAAKGLACLHNAERPIIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREHSLVDWALPKLNDKRRLLQIIDPKLEGQYSVRAAHKACSLAYYCLSQNPKARPLMSDVVETLEPLQGSGGSDGAVQSVLGSGLPSYRVNRRLMTNRVHCRALPNPKCSPAVPACRFSTCKFALHGGACKAL >ORUFI11G01270.3 pep chromosome:OR_W1943:11:869023:877896:-1 gene:ORUFI11G01270 transcript:ORUFI11G01270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTSPYTRYVIDFTLFELETITKNFRADYVLGEGGFGTVYKGYIDENVRVSLKSLPVAVKFPRLAPPSGLVGNREEREREDVHTGSGGEDRRSGGPAILADCDPRCAAAHSAPPSAPTATCATPPPAYRFAVGPAKLYRCSIVTLLAPPCPVVPPHHPLRSHRLPFASRG >ORUFI11G01280.1 pep chromosome:OR_W1943:11:869177:870262:1 gene:ORUFI11G01280 transcript:ORUFI11G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRYSLAGPTAKRYAGGGVAQVAVGADGGALGGAARVAVCEDGGASTPAIFTARSGMHILSLSLFPVAHESGWWRESASVEQRAQKLQHY >ORUFI11G01290.1 pep chromosome:OR_W1943:11:877915:879369:-1 gene:ORUFI11G01290 transcript:ORUFI11G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCGTREENAVVAAHAQVQQLHLLQHPVKKENTIPVLSVKNAVAERKHTRISSDMSDP >ORUFI11G01300.1 pep chromosome:OR_W1943:11:918616:918960:1 gene:ORUFI11G01300 transcript:ORUFI11G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGIGGGGEQIGAEGGGGDLATKGIPVAGSVAVRSSSAWKVSAAGIDGGEEQLGVEGVGGRDRWRRGAARRGRRRQRPRDEKASGGRGGGADLGKDSGRGRTKNEALRGCEG >ORUFI11G01310.1 pep chromosome:OR_W1943:11:921781:927704:1 gene:ORUFI11G01310 transcript:ORUFI11G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIPYGLGSHMIGWVDIGKILSAIAPLYFALVLGYCSSKRWWRIFTAEDSEAINRMVAWFALPFFTFEFTLHLDPYNVRYSLIAADSISKLIIVIVIGIGVGLIFRKEGLCTAVIDWCISGFSLASLTNSLVVGVPMARAMYGNWAGQVVVQLSIFQAIVWLTSLMVVLEVRKAFVSDAHDESNRHEEGSYIDDDTVVGGSGTSEDMQSLEEGVSDATNQDLKGEEAVTVAGVNGARLPLFKSVARKLACNPNLHASVIGISWACISNRSHLTLPPALEGSVQIMSRSGLGLAMFSMGRIIHGPARKDYVVWTKTYTSGPFSEVHNRARCHGHRIRRRCNTSVYFILHICQGIWIACRRPEYSKTGGARVPVRLICRHGTAWRGATKGHVRERDLMIGWVDIGKILSAITLLYFALALGYCSSRRWWQIFTAEDSEAINRMVVWFAFPFFTFEFTLHLDPYNVRCSLIAADSIAKLIIVAAISIGVMLKFRKEGLCAAVTDWCISGFSLASLTNSLVVGMPMARAMYGNWAGQIVVQLSIFQAIVWLTSLVVVLEVRKAFVSDAHDESNSYEEGSFIDDDTVVGSSGTSEDMQSLEEGVSDATNQDLRGEEAVSVAVVNGARSHLTLPPALEGSVLIMSRSGLGLAMFNMGRIIHGPARRLALLGLFLKFIIGPTAMAIGSAAVGIRGDVLRVAIIQVHTINCY >ORUFI11G01320.1 pep chromosome:OR_W1943:11:931908:932576:-1 gene:ORUFI11G01320 transcript:ORUFI11G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTENKTHLISLTPSLYIPTQSLQPSQLLHSGWRGPPRPTAQSLAAQATSPVRQCLSPGRSSPGQDHPPQRASPRRHRGQLRRAPAAGARRHRERASSHRYCGPLHGVPILKDNIVTRDRLKTTAGSFALLGFVVCRDASVTAGLRAAAATILGKANSSKWSNFRPVPNGWSQDAGNTYHHPKLSFFSPASRLVCNVFVKLSIKSPMLSTDVIRSSRAALA >ORUFI11G01330.1 pep chromosome:OR_W1943:11:943620:945342:-1 gene:ORUFI11G01330 transcript:ORUFI11G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKPLILLECWRTQPHSLPRLQALLVSTPEFALVVVFQDTGDIGQHIPSSEPIRCPRRSARFVSPLKIGHTRPLPDSAKVVALRERILSDPARFGSANIIEVGISAATASDIASLFTDGSMTKGLFIDAFINLILHDEQEFSPMSAGDRIFLPTSVSNLLNCDYLDPPLKADFNEQCLAEHLREVLPPAKDLQSWKMVIVPVIHHSHWTLYCVNRNHGRIDVFDSNNYPALNTEYKDHHGDLGSRVVKRLSDRLYAAARTAFKRFGNQKLVRNKCPVMLKPNDCAFFVMRYMELYEGDDSPLIQVAESEEYNDLRSQMLYNMVFHSNNVAAPLPPELEEL >ORUFI11G01340.1 pep chromosome:OR_W1943:11:966399:972248:-1 gene:ORUFI11G01340 transcript:ORUFI11G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAGSSSAKLVAACVIGGIVLGASVVALHLAGPVAIPALPPVDALRRRFRRGRRRPVRVYMDGCFDMMHYGHCNALRQARALGDELIVGVVSDDEITANKGPPVTPLHERLIMVRAVKWVHDVIPDAPYAITEDFMNKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKVGRFKQIKRTEGVSTTDIVGRMLLCVRERSASDSHNHSSLQRQFSHGHGQKIDDSGSGSGTRISHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTIRVCVFAVWLEITTFNISLVVHGTIAENMDFMKDDLNPYAVPRAMGIYRRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYDSKSFDCCRHTDEQPGHEALGQPVPALL >ORUFI11G01340.2 pep chromosome:OR_W1943:11:966399:972248:-1 gene:ORUFI11G01340 transcript:ORUFI11G01340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAGSSSAKLVAACVIGGIVLGASVVALHLAGPVAIPALPPVDALRRRFRRGRRRPVRVYMDGCFDMMHYGHCNALRQARALGDELIVGVVSDDEITANKGPPVTPLHERLIMVRAVKWVHDVIPDAPYAITEDFMNKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKVGRFKQIKRTEGVSTTDIVGRMLLCVRERSASDSHNHSSLQRQFSHGHGQKIDDSGSGSGTRISHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTIRCIALIINNCYLCTYQDDLNPYAVPRAMGIYRRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYDSKSFDCCRHTDEQPGHEALGQPVPALL >ORUFI11G01350.1 pep chromosome:OR_W1943:11:973882:976838:-1 gene:ORUFI11G01350 transcript:ORUFI11G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVSQDSDLIETVLISFSPSSSDSKNPETLEMLEKKECFLQKKASAEVEKAKDYTKAKNKSAAIQCLKKKKLYETQIEQLANFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKAIHQSVSIDDIENAIEEANEHTENMRQIQEALATPIGASADFDEDELEAELEDLEEEELDHELPEPPQRTRMEPSARVTTSSQPANDLAELTKLQAEMAL >ORUFI11G01360.1 pep chromosome:OR_W1943:11:981231:984197:1 gene:ORUFI11G01360 transcript:ORUFI11G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTVTDPLEELWNHTMSMDKTHLMCFYPSKITMGGVWTGDNPLDFSIPLLLFQILLITSTTRAATLLLSPLRLPTYISQILAGFLLGPSILGHLPHFSNLVFPVRSLFVLESMALLGLVYYTFIVGVEIEVSAITRAGIRSFGFAVGCALPPFLVGALTGYVALSTDDKRKGDTFLNKLSFPIFLGSTFSSTAFSVLARNIAELKLAGTDVGQLTLSASLINDTFAWTGLTVATVLGHSRCTITQTTWTLTSGVVIFGASYLLLRPMLLRLARRAAEGEAVGEDRECWILIGVMVAALVADAGGTHAIFGAFVFGLAVPNGPVGVALVEKVEDFVVGALLPLFFALSGLRTDTAKITNMHSAVLLMVAAMVAAVLKVAAAIGVAGVFGMPLSDGTSIGLLLNTKGIIELVILNIARNKGIMSDQSFTVLVFVSALITAMVSPFLGMVVKPARRLVFYKRRTVAWAHPESELRVLACVHVPRDVPALLTLLDVVTPSSRSPVGVLALHLIEFVGRSSALLLINASAPSSSSYDASVHGRSHTEMHHTEMQFKHISHAFMAYEEQSVGVSARTMAAVSPYESMHEDITSAAENQHSALILLPFHKYRSVDGGLEVSHPAIQPLNCSVQSFSPCTVGILVDRGLAAVPGGGYRVVALFFGGSDDREVAALATRMVRNPTIDLTLLRFVQKGGSFTTSEFDALKERKADEGCLRDFLERANEGGGATVEYRERGVFNASEMVGEIQSVEAMGNKDLFVVGKVPGGSGLTAGMAEWSESPELGPIGDLLASKDFQTTASVLVLQAYGRPVAVVGAGAGAMSVDFGGDSVAMAERTASGRRPWARPGV >ORUFI11G01370.1 pep chromosome:OR_W1943:11:985304:985938:1 gene:ORUFI11G01370 transcript:ORUFI11G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTADPAVEEGRWEARLHPMRTWRAVGDAGGDTEEDVVREVGRSTNQTSIITSLYFAIHANERNQSGVATFIFVTGYHEI >ORUFI11G01380.1 pep chromosome:OR_W1943:11:1000752:1003895:1 gene:ORUFI11G01380 transcript:ORUFI11G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTATREEQRRKQRDEEGLHLLTLLLQCAESVNADNLDEAHRALLEIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGLYAPLPNPSPAAARLHGRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREERGLQWPGLFHILASRPGGPPRVRLTGLGASMEALEATGKRLSDFADTLGLPFEFCPVADKAGNLDPEKLGVTRREAVAVHWLRHSLYDVTGSDSNTLWLIQRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYSEDSPERHVVEQQLLSREIRNVLAVGGPARTGDVKFGSWREKLAQSGFRVSSLAGSAAAQAVLLLGMFPSDGYTLIEENGALKLGWKDLCLLTASAWRPIQASGR >ORUFI11G01390.1 pep chromosome:OR_W1943:11:1006981:1009084:-1 gene:ORUFI11G01390 transcript:ORUFI11G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAEEEEVVGGRAPHGWLPNEAGLRRRRGSRLVYYSVDVAIANVLATTSKKPPSKTIPGFTVEGCDLTEGKSTREFSVLTHATFILMAVISEPWVHVHAWVSLSAPLLMKCEFGQNRQKCMCDCKPSPYAVLLANSTGTVARSADNRVREGMAGETAVYSKQYSVLVSGE >ORUFI11G01400.1 pep chromosome:OR_W1943:11:1008955:1010768:1 gene:ORUFI11G01400 transcript:ORUFI11G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAGVVLLFRDKAYLHPEKVVELVRRAVPLALSPENDSRKEELKKLQEKKEEIDKLAHKQVRRILWSGLGFFMCQVGLFFRLTFWEFSWDVMEPIAFFTTASGLLVGYAYFLITSRDPTYEDFMERLYLSRHRKLCAKNSFDMAKYLELQKHCKCPLEGHYSHSSKFHDL >ORUFI11G01410.1 pep chromosome:OR_W1943:11:1014146:1015213:1 gene:ORUFI11G01410 transcript:ORUFI11G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVKERLTREEVERLLSFVPRPFPPRDRPRCDDPDVNEMEDLLAHTVLLLNSNNQVILRAQARAREELRTKGYVERWTSIASSARVHQFTKSIPIPNKATPSEEEELKGREEDRCVAMTNELKRCSKEATPSEEKAAKKMKKEEAVKKKRMPMERVHHLLSMVPRAPVPLPVIRDDSPELKEIDQRRAGSQHQLSTHPPDAGQRP >ORUFI11G01420.1 pep chromosome:OR_W1943:11:1016218:1021423:-1 gene:ORUFI11G01420 transcript:ORUFI11G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHHSLPPSPPPKRRCTALAAAVPALVVCSILLPLVFLLGLHRPGHGSEERAAVVISTELGFSKHKHLDGRMKHKLLKDVSRKKIPGSDGILDEKSGSRSKSKSVSTKSKEKLKGVFSLVQLKNETRKNKELHTQRRYQLKDLSWRSKDTTIDKKENQDQEVEHENPRSCELEYGSYCLWSVEYKEVMKDFIVKRLKDQLFMARAHYPSIAKLKNQETFTRELKQNIQEHERMLSDTIADADLPPFFAKKLEKMERTIERAKSCEVGCTSVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTMPKTHHCLNMRLTVEYFKSTSIHTVQSNKQKLEDPTFHHYVIFSKNVLAVSTTINSTVMNSKDSGSIVFHLFTDSQNFYAMKHWFDRNMYLEATVHVTDIEDHQKLSKDVDFHDMKLLRPAEEFRVTFCNHYQSFQKQMKTEYISTFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLNMGGKVVGAIQFCEVKLGQLKAYTEERNFGTNSCVWLSGLNVVELKKWRDLHITSRYDQLLQKLQKDSVTSFPLKVLPISLLVFQDLIYPLEDSWVQSGLGHDYGVSQTDIKRSVTLHYNGVMKPWLDLGIHDYKGYWRKYMTNGESTQDIL >ORUFI11G01430.1 pep chromosome:OR_W1943:11:1033761:1035658:-1 gene:ORUFI11G01430 transcript:ORUFI11G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKELDGESTCAAPCEKKRLIPSCFEWGTVPATKKMKTEEDLEVLLAYPSLGEGKKKKKVVVKRLGKEEVERLLLNCVVPDWNHPIPDEYHRLDRNMYQNSAVTIRQRQDVIRAQFEAKGYVHVLAEADDDSDTDQEMHPL >ORUFI11G01440.1 pep chromosome:OR_W1943:11:1041388:1043611:-1 gene:ORUFI11G01440 transcript:ORUFI11G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKGQGKTIRTYEQLVCALEKDNRADEACRIWEFKIAHDLQSVPWRFCRLMLGIYYRNNKLDRLVKLFKNLEACGRKPPSKDIVRKVEDAYEMLGLVEEKKELLEKYKDLFDKPSSNDKKKGRQFKKIKRGEIFS >ORUFI11G01440.2 pep chromosome:OR_W1943:11:1037967:1043611:-1 gene:ORUFI11G01440 transcript:ORUFI11G01440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKGQGKTIRTYEQLVCALEKDNRADEACRIWEFKIAHDLQSVPWRFCRLMLGIYYRNNKLDRLVKLFKNLEACGRKPPSKDIVRKVEDAYEMLGLVEEKKELLEKYKDLFDKPSSNDKKKGRQFKKRKTKNMAMTEEDVPAAKKMKTTTEDDHEEVLLAYRSRKEDGKKRKKVVRRLGKEEVERLLSLKLAVPTLSEEVVMPMPDDDEDDVWQKEVLLRANRLLRESAIRMRKNQELIRSLFEAKGYVDVEDEVSDDDDMDMEMQPV >ORUFI11G01440.3 pep chromosome:OR_W1943:11:1037969:1040095:-1 gene:ORUFI11G01440 transcript:ORUFI11G01440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEEDVPAAKKMKTTTEDDHEEVLLAYPPRKKEDGKKMKKVVKRLGKEEVERLLSVTVTVPTLSKEAMEEDDEEDVREREMLLRAACARTRRCAANIIRYDFVFTTQEFVYNRIERKTKNMAMTEEDVPAAKKMKTTTEDDHEEVLLAYRSRKEDGKKRKKVVRRLGKEEVERLLSLKLAVPTLSEEVVMPMPDDDEDDVWQKEVLLRANRLLRESAIRMRKNQELIRSLFEAKGYVDVEDEVSDDDDMDMEMQPV >ORUFI11G01450.1 pep chromosome:OR_W1943:11:1046672:1050663:1 gene:ORUFI11G01450 transcript:ORUFI11G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLVLEKVLHENKFFGGERIGFVDLSLGSLSYVIPIYEDITGVRLITSDKFPWLSAWMEGFLGLPLVKEHLPPLDKLRPRGQLKGACWEE >ORUFI11G01450.2 pep chromosome:OR_W1943:11:1046018:1046662:1 gene:ORUFI11G01450 transcript:ORUFI11G01450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQELEEGAEKMKLLGIWSSPYVVKVIWALRIKHVEYDIEEDLRNKGNLLLECNPVHQKVPVLIYQGKPSDVIIEFIDDVWKDSGQGRIYSTQVVIIDPQLQLSPPIWKWFTTQGKEQEDA >ORUFI11G01460.1 pep chromosome:OR_W1943:11:1047225:1052921:-1 gene:ORUFI11G01460 transcript:ORUFI11G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYEATKVVFARLQALEPNLAPNIIGMLLTKDNNEMDMIHLACGPDNLLQSIIAKVRTDLTNKPSPPMASWGFPSDIGEEASFSIDKVGCDGGEEFSSKEYDWKLPIGGNHHRSFLSSTVNTPGWKPCLYYQSGMTTHLGSDDMQEYSSRPPQIDQNQSDLTNNCSARQIYLTFPPDSIFSKEDVCNYFSMYGMVQDVRIPYQEKRMFGFVTFAYQKTVKLILAKGNPHYICDARQSDSPSYMNHNRLLYSRVSFDLRRHQIGPRILYRDMASHEASFRMKQDEQQHATELQRRCLMRLPLLNLQDWGHHLSSPMGSHYNLPHSPFSSPTKASNVAATAHTSNISSSSSPHKVASSLFLPTCTLELPPTTHASFKRQGKALTDHT >ORUFI11G01470.1 pep chromosome:OR_W1943:11:1058843:1061981:1 gene:ORUFI11G01470 transcript:ORUFI11G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHLVVPMLLLLALSPPAVADDTAVLGQKGGVVEGQAAGPGRYAVILDAGSTGTRVDPGLSSYAGRPQDAANSILPLLDKANTVVPTGLMNKTPLKLGYSGDVVHTKSKYQCNPNWINVLEGSQEGSYMWVVLNYLLDKLGGDYSKTVGVVDLGGGSVQMAYAMLSNTAANAPKVPEGKDPYVVKEYLKGKDYNIYVHSYLHYGGFASRVQILERKDGPFSNCMLRGFSGNYTYNDKQYDATTAPQGADYHKCREEVVKLLKVNAPCETKNCSFNGVWNGGGGAGQDDLYVASAFYYIASHVGFIDSDAPSAKSTPATFKAIAEKACKLSVKEAKVEYPNYN >ORUFI11G01480.1 pep chromosome:OR_W1943:11:1079363:1086432:1 gene:ORUFI11G01480 transcript:ORUFI11G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHVMSIAAAAVAMLLLMASSPAVAGTAVLGRKGGAMTDDDVVGGQAATGPGKYAVILDAGSTGTRVHVFRFDRMMDLLKIGDDIEVFAKNKTLINKYRILIGYYFVVKINVDPGLSSYAGRPREAANSIQPLLDKAIHVVPNWLMKKTPLKLGATAGLILIGDEKANQILEAVRDVVHTKSKFQYNPNWINVLTGSQEGSYMWVALNYLLDRLGEDYSKTVGVIDLGGGSVQMAYAVSSSIAANAPEVPNGQDPYITEEYLKGRDYNIYVHSYLHYGAQASRVEILKRKNGPFSNCMLRGFKGKFTYNGEQYEAMAAPQGADYHKCRQDVVKALNLDSPCETKNCSFNGVWNGGGGVGQDEIYVTSSFYYIASGIGFIDSKAPSAKSTPAAYKAASEKVCILSIEEAKAAYPIARDHAYLCMDLIYQYTLLVDGFGLEATKEITLVEKVKHGESYIEAAWPLGTAIEATTGPRLIGDEKANQILEAILKRKNGPFINCMLRGFNGLDPNKKIMLVNKVKHGEYYIDAAWPLGTAIEAVSPKKGLQ >ORUFI11G01490.1 pep chromosome:OR_W1943:11:1097664:1101412:1 gene:ORUFI11G01490 transcript:ORUFI11G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVALNYLLDRLGGDYSKTVGVIDLGGGSVQMAYAISTGTAANAPEVLDGQDPYIIKEYLKERDYNVYVHSYLHYGARASRVEILKRKNGTFSNCMLRGFSGKYIYNGEQYDATAAPQGADYHKCRDDVVKALNLDAPCETNNCSFNGVWNGGGGAGQDELYVATSFYYMASDIGFIDSEAPSAKSTPAAYKVAAEKVCSLSVEEAKAAYPRACDHAYLCMDLVYQYTLLVDGFGLEATKEMTLVEKVKHGEYYIEAAWPLGTAIEAVSPKKKHQET >ORUFI11G01490.2 pep chromosome:OR_W1943:11:1099226:1101412:1 gene:ORUFI11G01490 transcript:ORUFI11G01490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVALNYLLDRLGGDYSKTVGVIDLGGGSVQMAYAISTGTAANAPEVLDGQDPYIIKEYLKERDYNVYVHSYLHYGARASRVEILKRKNGTFSNCMLRGFSGKYIYNGEQYDATAAPQGADYHKCRDDVVKALNLDAPCETNNCSFNGVWNGGGGAGQDELYVATSFYYMASDIGFIDSEAPSAKSTPAAYKVAAEKVCSLSVEEAKAAYPRACDHAYLCMDLVYQYTLLVDGFGLEATKEMTLVEKVKHGEYYIEAAWPLGTAIEAVSPKKKHQET >ORUFI11G01490.3 pep chromosome:OR_W1943:11:1099226:1101264:1 gene:ORUFI11G01490 transcript:ORUFI11G01490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWVALNYLLDRLGGDYSKTVGVIDLGGGSVQMAYAISTGTAANAPEVLDGQDPYIIKEYLKERDYNVYVHSYLHYGARASRVEILKRKNGTFSNCMLRGFSGKYIYNGEQYDATAAPQGADYHKCRDDVVKALNLDAPCETNNCSFNGVWNGGGGAGQDELYVATSFYYMASDATKEMTLVEKVKHGEYYIEAAWPLGTAIEAVSPKKKHQET >ORUFI11G01500.1 pep chromosome:OR_W1943:11:1108457:1143125:1 gene:ORUFI11G01500 transcript:ORUFI11G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGGAMPALPPGFRFHPTDEELIVHYLMNQAASVKCPVPIIAEVNIYKCNPWDLPGKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKSILSTPTSDNIGVKKALVFYKGKPPKGVKTDWIMHEYRLTGTSANSTTTTKQRRASSMTMRLDDWVLCRIHKKSNDFNSSDQHDQEPEESTVEQLEDIHDNNSSEQPPAPADMNNQQSDFQPMTAMSMSKSCSLTDLLNTIDCAALSQFLLDGSSDAIAEPPAPPSPLIYTTPHPNYQTLNYNINSNSSMPHAFESRLDHHDGYVNNYNVNGLRRKRMMACSATSFDDGSSSNDFVHAVVKKPQLLPSDSRGSGFGGGYCNQQLSETATGFQFQNGNLLSHPFPLNNHLQMHMVEPVKSELGSLFLPPGFRFHPTDAEVILNYLLEKFINPSFTSLPIHEVDLNKCEPWDLPTSMVETSTSLVKLEQDGSLFLPPGFRFHPTDAEVILSYLLQKFLNPSFTSLPIGEVDLNKCEPWDLPSKAKMGEKEWYFFSHKDMKYPTGMRTNRATKEGYWKATGKDREIFNLQPTSYGGSSNNKNNKQLVGMKKTLVFYMGRAPKGTKTNWVMHEFRLHANLHNDNPNLRLNPKDEWVVCKVFHKKGDDREAINKQQAQAAAVDQYSAGTPNNGSSVEAGDDDDDLFQLDSIIDPSIYFSNSSAANILSAPPNMSNSVVAANYGASTTTTGTASAGSFQQQPNYCSLINKSISSSNVSSWNNMPPPPPVAEGGVHGIGSSYSLQHQAAMVKALRDVIRLPNPLGMPQYKLDDAYLWDSS >ORUFI11G01500.2 pep chromosome:OR_W1943:11:1108457:1143125:1 gene:ORUFI11G01500 transcript:ORUFI11G01500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGGAMPALPPGFRFHPTDEELIVHYLMNQAASVKCPVPIIAEVNIYKCNPWDLPGKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKSILSTPTSDNIGVKKALVFYKGKPPKGVKTDWIMHEYRLTGTSANSTTTTKQRRASSMTMRLDDWVLCRIHKKSNDFNSSDQHDQEPEESTVEQLEDIHDNNSSEQPPAPADMNNQQSDFQPMTAMSMSKSCSLTDLLNTIDCAALSQFLLDGSSDAIAEPPAPPSPLIYTTPHPNYQTLNYNINSNSSMPHAFESRLDHHDGYVNNYNVNGLRRKRMMACSATSFDDGSSSNDFVHAVVKKPQLLPSDSRGSGFGGGYCNQQLSETATGFQFQNGNLLSHPFPLNNHLQMHMVEPVKSELGSLFLPPGFRFHPTDAEVILNYLLEKFINPSFTSLPIHEVDLNKCEPWDLPSKAKMGEKEWYFFSHKDMKYPTGMRTNRATKEGYWKATGKDREIFNLQPTSYGGSSNNKNNKQLVGMKKTLVFYMGRAPKGTKTNWVMHEFRLHANLHNDNPNLRLNPKDEWVVCKVFHKKGDDREAINKQQAQAAAVDQYSAGTPNNGSSVEAGDDDDDLFQLDSIIDPSIYFSNSSAANILSAPPNMSNSVVAANYGASTTTTGTASAGSFQQQPNYCSLINKSISSSNVSSWNNMPPPPPVAEGGVHGIGSSYSLQHQAAMVKALRDVIRLPNPLGMPQYKLDDAYLWDSS >ORUFI11G01510.1 pep chromosome:OR_W1943:11:1146453:1150774:-1 gene:ORUFI11G01510 transcript:ORUFI11G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWAAVVAVMLLLAQVSAAAPVMGPAFLWAPKNYGFSSDEAKEIVHYQTVSPKSLVKSVLEEGGWSNLVCSREDHAKSVDVAVLFLGSKLQSSDISKDKQADSTLVDTLKNSFASSEFSMAFPYIAMSDDDKLEKSLLSGFAENCNNGFGDNHITYTDTCSVSEDLNKHHNMDSIHGLVASQTKKNPSGQTDLIVFCDGGFKDNTKSEGELLSELVTLLKKSGAKYTILYASQPFGLLENPSNLPLGRYLAEKTNTIKPGRGKCDGECLVKSTLLEGSFVGIVLLIILISGLKCMMGIDTPSKFDAPPES >ORUFI11G01520.1 pep chromosome:OR_W1943:11:1151077:1153613:1 gene:ORUFI11G01520 transcript:ORUFI11G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVATPSLLFSSPTPRRPSSCLPPPPPCSSSSSSYASHGFKLLQPQLLFINRLTSRNSNGSSRRSISILSLRCSSSGTDSASSSATSERWVLEPAGDGDWRHIGYRVARPGGFQIASEAAVTVGRVPEQADIVLSVATVSGTHARLEKKEGSLLVTDLESTNGTYINERRLTPGFPTPIDPGSLLIFGDIHLAMFRVSKMIVDVSSDTNEAEQEAETAQVSAATQQTN >ORUFI11G01530.1 pep chromosome:OR_W1943:11:1157364:1157813:-1 gene:ORUFI11G01530 transcript:ORUFI11G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTRPPPGTVQCFGRKKTAVAVSYCKPGRGLIKVNGVPIELIRPEMLRLKAFEPILLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEASKKEVKDIFARYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >ORUFI11G01540.1 pep chromosome:OR_W1943:11:1160731:1163248:-1 gene:ORUFI11G01540 transcript:ORUFI11G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPEWKRSGGADHVIVAHHPNSLLHARSVLFPAVFVLSDFGRYHPRVASLEKDVIAPYKHMAKTFVNDSAGFDDRPTLLYFRGAIFRKEGGNIRQELHYMLKDEKDVYFAFGSVQDHGASKASQGMHASKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPYEDALDYSKFSIFVRSSDAVKKGYLMRLIRGVSKHQWTMMWRRLKEVDKHFEYQYPSQKDDAVQMIWQTLARKVPAIRLKSHRSRRFSRYDRGGK >ORUFI11G01550.1 pep chromosome:OR_W1943:11:1177437:1179088:1 gene:ORUFI11G01550 transcript:ORUFI11G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELRLDSFYARLRAAAAASAADASSPLLILPSAADADALCALKVLTHVLSADSIRFSIYPVASAAAAASLLASFSASQPLCLLLINWGAHRDLRAVLPPAATAFVVDSHRPIHLHNLSAANDRVVVLFTTDDEHTADLSYDFDVSSLADASDLSAQGEADDHLRVAEEDEDSDASDSDSDGEGGRRKRRRLSDDAEANGDPERLFGKLRREYYRLGTFHGKPSGCLMYELAHALRKNTNELLWLACVSLTDQFVHERITNERYQAAVMELEQHINGSGNLDPSGVGAVVTLKDGTKIRAPEASRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKRFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGVTALLESLNAESKDSKGSFMIRLTEKL >ORUFI11G01560.1 pep chromosome:OR_W1943:11:1188149:1188923:-1 gene:ORUFI11G01560 transcript:ORUFI11G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKEGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGNSDVNQMAAIARRSPFADCPSSSYASSMDNISKLLDGFMKTNSPSPPPPPLQHYDGGYYDDVKPAVDVVGNPLLSSFDCMSGADLDCCFDVHQQQPASSFMEYGGYGGGYGDESKQQLMNQAAAPLSSIEKWLFDEAAAEQVADLMDLSDGCCSVPMMF >ORUFI11G01570.1 pep chromosome:OR_W1943:11:1196098:1199027:-1 gene:ORUFI11G01570 transcript:ORUFI11G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEQHCGGGGGDKEKDLLSAVVGDIRSYSGSDPLRPWLRGMRKMEAALPPATLRAKLPRFLQKCAQEFQDDARYRDDPRYLRVWIQLCIMRSTRGLRMLRTCTAWEPRSRYLPFINVFNSLAEPVGELQKAHEQFIRRMKLYKRRKSRVQQERMPNKVQSIATSKNEVEGQSRSCTEPKSNPVQRSGSGSNPHLGFPHPLGRPLYRGTSGDTKSLSRHNSDDTVVVRFVGSALVGKSETEDACHHGLVEPTINTKEAMDAISSMFLEPLEPETKLKRRSNRDKPSFNQEASAFEIFVDEDEPNKSGPSKLQDKNKLQDKNMKQDNPKLSQQASAFEIFVDEDDPYCNNQKMVQHRHFNKENTQVNQKASGFEIFVDENEPHGNGRNAMSHKSTVCPPKPSRDSRQQANFDFQKPFVGGFAILPDDEDEQLEKNDNGVKINSGTMQLTDDNTSLCSRQTDSKIRCDDLHPAISGLREDTVFHRFVGSAVVGEPKVENACHHGLVEPTVNLKEAMDDINNMFGIPLNFKGEKPKNKKTTTLSERKAAPLSGFSILADDEPGENPAAQVKPSNASKFECQSGLFEPTITTRDVMAEINDMFGMPLDF >ORUFI11G01580.1 pep chromosome:OR_W1943:11:1199698:1203430:-1 gene:ORUFI11G01580 transcript:ORUFI11G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVRSVLPVVLLGCGGVGRHLLRHIVSCRPLHANQGVAIRVLGVADSSSLLVADDLHSNGFDDALLADLCAAKSAGSPLSSLLARGQCQLFNNTEARRKVIDTASVLGKTTGLVLVDCSATYDTVGMLKDAVDCGCCVVLANKKPLTCAYEDFEKLVSNFRRIRFESTVGAGLPVIASVTRIIASGDPVSRIVGSLSGTLGYVMSELEDGKKFSEVVKTAKSLGYTEPDPRDDLSGMDVARKALILARLLGQQISMENINVESLYPSELGPDAMSTKDFLESGLVQLDKSIEERVKAASLKGNVLRYVCKIESTGCQVGLEELPKNSALGRLRGSDNVVEIYSRCYESAPLVIQGAGAGNDTTAAGVLADILDLQDLFHKTA >ORUFI11G01590.1 pep chromosome:OR_W1943:11:1204286:1207805:-1 gene:ORUFI11G01590 transcript:ORUFI11G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTEDDERPTVPLLEPKPAINGGGGGSNEEEEEVGSLGRRLVEENKKLWVVAGPSICARATSFGVTIVSQAFIGHIGATELAAYALVSTVLMRLSVGILIGMASALETLCGQSYGAKQYHMLGIYLQRSWIVLFCCAVILLPIYLFTTPLLIALGQDPDISVVAGTISLWYIPIMFSYVWGLTIQMYLQSQSKNMIVTYLSLLNFGLNLFLSWLMVVKFHLGLAGVMGSMVIACWIPIFGQLAYVFFGGCPQTWTGFSSSAFTDLGAIIKLSISSGVMLCVELWYNTILVLLTGYMKNAEVALDALSICLNINGWEMMIAIGFLAATGVRVANELGAGSARRAKFAIFNVVTTSFLIGFVFFVLFLFFRGSLAYIFTESQEVVDAVADLAPLLAFSILLNSVQPVLSGVAIGSGWQSVVAYVNVASYYLIGIPIGAILGYALGFEVKGIWIGMLVGTLVQTLVLLFITLRTNWEKQVEIALERLNRWYTDDNGRSQISRGNP >ORUFI11G01600.1 pep chromosome:OR_W1943:11:1211470:1212708:-1 gene:ORUFI11G01600 transcript:ORUFI11G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLKAFEPILLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKKSFHSISKR >ORUFI11G01610.1 pep chromosome:OR_W1943:11:1213320:1219311:-1 gene:ORUFI11G01610 transcript:ORUFI11G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPGDDEKLTVPLLEPKPATYKHQEDDDAEEDEVGSVRRRVVEENKKLWENKKLWVVAGPSICARFSSFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILA >ORUFI11G01620.1 pep chromosome:OR_W1943:11:1219587:1220386:-1 gene:ORUFI11G01620 transcript:ORUFI11G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLQLLRLEAPTPQDADAAAGGAVFVLSFGTDAYARVLSRGAGADASAPKHGRRGLARLLADRVARAVEELYEAGARRTAVMGVAPLGCAPRVMWEGLHVVDGRSCVEEANELVQGVELWYNTILVLLTGYMKNAEIALDALSIW >ORUFI11G01630.1 pep chromosome:OR_W1943:11:1229453:1229791:-1 gene:ORUFI11G01630 transcript:ORUFI11G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPGDEHDDCRTVPLLEPKHAHGEGRNNKQEEDEEEVGSLGRRVLVESKKLWVVAGPSICARFSTFGVTVISQAFIGHVGATELAGYALVSTVLMRFSGGILVTILSTHYT >ORUFI11G01640.1 pep chromosome:OR_W1943:11:1234742:1236496:1 gene:ORUFI11G01640 transcript:ORUFI11G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFEANVLKSAKRKCAGASVDSSSYMSDEDISFSKLTKTPVLMCFMSTKRQNILDSALMQFMAKAIGVEPKSLSSVFEGQARGLRMNYYPPCLKADKVLGLSPHTDLDGLTLLLQVNDVQGLQINKDGKWFSDNFV >ORUFI11G01650.1 pep chromosome:OR_W1943:11:1236747:1237650:-1 gene:ORUFI11G01650 transcript:ORUFI11G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPLLLLLLLRIVASAPASPLATALFVLGDSTASCAATTLPLNLSLTSSSGNCLFPSAHRLLPDLLAAKMGLPSPPLITTLNGTATEVARGVNFAGEDGGRGAIFRLGAGYSARVAARLAALRPRLAGADVVFCDIYKGIMDIITHPARYGFDETRKACCGLGPFGGTVGCLTKEMVCPTPQRHVWWDLYSPTEVVTSLLTNWSWSAPSHSNTTICRPITLEMLTGHISLISPSMF >ORUFI11G01660.1 pep chromosome:OR_W1943:11:1237833:1239726:1 gene:ORUFI11G01660 transcript:ORUFI11G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIKRKPYTADIDRSEKQMETIIPDSVREPLLGNRTHESKSERHEPNMQPNLWDGKGQERLGWMHVISTFIAQSVRKIGNALSQFGPLLAKFFSRSCASHGSHDEQAVLLDLSPLQEQ >ORUFI11G01670.1 pep chromosome:OR_W1943:11:1240687:1245155:-1 gene:ORUFI11G01670 transcript:ORUFI11G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSSPDPASSSPSASSSPSSPSSSSSEDSSSPMSMPCKRRARPRTDKSTGKAKRPKKESKEVVDPSSNGGGGGGGGKRSSIYRGVTRHRWTGRFEAHLWDKNCSTSLQNKKKGRQALKYWGPETVLNFPLEEYEKERSEMEGVSREEYLASLRRRSSGFSRGVSKYRGVASNSMNFSEWFNDEAFEGGMEYLFEGCSSITEGGNSMDNSGVTEYNLFEECNMLEKDISDFLDKDISDFLDKDISISDRERISPQANNISCPQKMISVCN >ORUFI11G01680.1 pep chromosome:OR_W1943:11:1248159:1251155:-1 gene:ORUFI11G01680 transcript:ORUFI11G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFKSTTRRTLHPAADDRPPARPRKAPPPCPRRSRSASVEPRARGIGEYATGNTRTNPLFDDSASPPPPQPQVDTEAAGCRGGEARRERGREVARNGSCAGGSGRARSVSLAPRGRGADSSPSWGNGDGGGGRRASRAPSVAVDLQPYRGDEVIWQSNHSNVPVQQVIEIPPEFDPDSSEFVSDISDYTTEFKKEEILHIPFEFDLDRADLAPDIEHHSIELQREQMEIPLDFDPDSAELSPDITEYTTKLKQSHERARKLRADLAVEEQREQELSRVLKGIVTTPNFTEAHKRRPRRKSSVERLKVSKHLAEEAMNYFEECVSISTLDSTDFSSLEDPQINSVVNIPQKSRNTSFNKGGSSIAEIHYPTDRHWHNEESDNQTQCSVSLTGSDVSGGRTFSHTMMTPVSRTTNNSSDDLDGFDTPKSRSSCFSFTHEPTKTVEGDDVQQYLRSFGRGISKDLREIRSSYCDDDYVFQKMNADLLMDIVTFKNRVNFGGLLICNIRR >ORUFI11G01690.1 pep chromosome:OR_W1943:11:1256215:1256538:1 gene:ORUFI11G01690 transcript:ORUFI11G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKALHRVFAAIFLLHLLLSVTAAASPTIGTDLLRDGNNNAVAAAAARSSRRLLLQQQQPTAAAPAAMATNTFRVNGVHQANGEPKVEFDASMKHNPGTNFNPRHN >ORUFI11G01700.1 pep chromosome:OR_W1943:11:1256953:1264674:-1 gene:ORUFI11G01700 transcript:ORUFI11G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKADAKAEAKAETIGGGGSGSFSEQAFVEKLNKLNNTATRIQTLSNWCIFHRKRARKVVDTWEKQYNSANKDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLIDIWDERKVFGTRIESLKDDILGGSTHTMGNNGNSSNPSSHPSSVSKAVRKDSGTVTKKLTIGGMPEKIVAAYQSVLDQHFDEDTALNKCNNAVSVLERMDKDVDDACTQGIQQGSSLISDLQGQETVLKQCIEQLESVNMARITLINKLREALSEQEAKSELLRNQLHVARAKAEHAMQLKQRLGSALNNGAGSSSSPLMVTLPPGQTAAMMQNSAAMPIFPHYQPLHPATSLPATSSAVGDEPKKTAAAMADKLASLSAPEKVLSSIFSSLAAEQARNSGSTSGDLSAGPPGFESNKKPRLDNPIHVSDMGAPPFFGQVPQVQPQIGATAALGGTQPPTQANQATGSFPPPPPPLPLMPQFVQNTGGMFGMGPFGMVSGSAPPPPLLPNIMSAGFPRLSAPPPLPLPTQPQNQSQPQQQQSPQAPQQSPTSTGFFQPPGAGFFPPVQSRQHGPRK >ORUFI11G01700.2 pep chromosome:OR_W1943:11:1256953:1264674:-1 gene:ORUFI11G01700 transcript:ORUFI11G01700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKADAKAEAKAETIGGGGSGSFSEQAFVEKLNKLNNTATRIQSILSSALSNWCIFHRKRARKVVDTWEKQYNSANKDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLIDIWDERKVFGTRIESLKDDILGGSTHTMGNNGNSSNPSSHPSSVSKAVRKDSGTVTKKLTIGGMPEKIVAAYQSVLDQHFDEDTALNKCNNAVSVLERMDKDVDDACTQGIQQGSSLISDLQGQETVLKQCIEQLESVNMARITLINKLREALSEQEAKSELLRNQLHVARAKAEHAMQLKQRLGSALNNGAGSSSSPLMVTLPPGQTAAMMQNSAAMPIFPHYQPLHPATSLPATSSAVGDEPKKTAAAMADKLASLSAPEKVLSSIFSSLAAEQARNSGSTSGDLSAGPPGFESNKKPRLDNPIHVSDMGAPPFFGQVPQVQPQIGATAALGGTQPPTQANQATGSFPPPPPPLPLMPQFVQNTGGMFGMGPFGMVSGSAPPPPLLPNIMSAGFPRLSAPPPLPLPTQPQNQSQPQQQQSPQAPQQSPTSTGFFQPPGAGFFPPVQSRQHGPRK >ORUFI11G01700.3 pep chromosome:OR_W1943:11:1257790:1264674:-1 gene:ORUFI11G01700 transcript:ORUFI11G01700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKADAKAEAKAETIGGGGSGSFSEQAFVEKLNKLNNTATRIQSILSSALSNWCIFHRKRARKVVDTWEKQYNSANKDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLIDIWDERKVFGTRIESLKDDILGGSTHTMGNNGNSSNPSSHPSSVSKAVRKDSGTVTKKLTIGGMPEKIVAAYQSVLDQHFDEDTALNKCNNAVSVLERMDKDVDDACTQGIQQGSSLISDLQGQETVLKQCIEQLESVNMARITLINKLREALSEQEAKSELLRNQLHVARAKAEHAMQLKQRLGSALNNGAGSSSSPLMVTLPPGQTAAMMQNSAAMPIFPHYQPLHPATSLPATSSAVGDEPKKTAAAMADKLASLSAPEKVLSSIFSSLAAEQARNSGSTSGDLSAGPPGFESNKKPRLDNPIHVSDMGAPPFFGQVPQVQPQIGATAALGGTQPPTQANQATGSFPPPPPPLPLMPQFVQNTGGMFGMGPFGMVSGSAPPPPLLPNIMSAGFPRLSAPPPLPLPTQPQNQSQPQQQQSPQAPQQSPTSTGFFQPPGAGFFPPVQVQQSPSAQRQ >ORUFI11G01710.1 pep chromosome:OR_W1943:11:1266273:1267202:-1 gene:ORUFI11G01710 transcript:ORUFI11G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSHSAASLHAARLLPQQRTPTAPRTLLPAGGGLLLRRPHPPLHQQRRSRSSSRPDLRCRRRLLTARGDYDFYENYADEEGDEEEESEVIGGSFDAAVALFNGGEFHACHDVVEELWYTAEEPTRTLLHAILQCAVAFHHLFNQVYIFIVSFPHLTMHSKLVITPVKFVIRHDRAQTQNHRGAMMELGEGLCKLRKLRLDDDTTSPFSRFQEEVAAALNFIYRTQKELAACTDDLCLTMDGSATSYQLLGNFAAGQKLYRLETTTSADGDGVPTIIFSASSRLVRVKLPTLSATEHHLAALQCTSEYI >ORUFI11G01720.1 pep chromosome:OR_W1943:11:1268225:1270490:1 gene:ORUFI11G01720 transcript:ORUFI11G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSWRHHTLLQALLSRGPLSERDFHALFSAISGGKNPATHRQLFDDTLLKINKELTYLQFELRAGINQYDGTVYYGVVNNIADEESKLGSKFSVPQIAFYKGLLEAIVHEAGNDGSITNIDALNTRIENQVVIADASQGSQSRLPTSITNFSLSQKEKTLNELIQDRWLSYTPTGKIGLGIRSFLDLRSWLRSNDIPSCEVCNEACIKASCCPNEECNVRIHGYCLKKKFSQRKASRACGCGTEWPRLEGEDDGAEDEDVNEPEEDQVPSANQHSRTRRRGVKSELVEENERAGPSARMTRRALRSSKAEAVEAAQEVPSAAGPSQSTRASKRRKN >ORUFI11G01730.1 pep chromosome:OR_W1943:11:1272929:1273759:-1 gene:ORUFI11G01730 transcript:ORUFI11G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPMPAPPPPSSSPPPEHAAAATETTPLHPSFRGARPPSPGTYIIQIPKDQVLRVPPPDRARRYKKLAARPARRRRLRHACCAAFCAALLLLLLAAAFVGAVYLVFRPRAPSFSVASLSIRGLDALAVSSLTPQIDAAVRADNGANKKTGIDYRGGGEVTVSYAGERLAAGPWPAFHQAPRNVTVFSTALAGGGVSFPEEQRKRLAAEQAAGAVPLTVEAIVPVRLRFGKVLRTWTVDVKTRCEVTVNKLAAAAPPANRGCRVKVRPLWWWW >ORUFI11G01740.1 pep chromosome:OR_W1943:11:1276288:1276476:1 gene:ORUFI11G01740 transcript:ORUFI11G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWACKAHSVQFMMQIFSLKLSNITAAVDGPVHLYGYFAVRDHLDPLRNYIFNRTRDDPFIMG >ORUFI11G01750.1 pep chromosome:OR_W1943:11:1276513:1284550:1 gene:ORUFI11G01750 transcript:ORUFI11G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPKRGIGNQVRVLIEFDMKIKNGETQDDDFQLIDGAPIRIIKNLRVCADCHESAKLVSRVYGREIVMRDRTRFHHFRDGRWIQSNTVKPKSLKETRTLSSIVGRGGSGSGTRETLNPSTAGPQPNPISRLLIPASKVARKGDGMIVRQGRGYLALEPLDREAQHQGVVRRLGMKTHLLWA >ORUFI11G01760.1 pep chromosome:OR_W1943:11:1281004:1284309:-1 gene:ORUFI11G01760 transcript:ORUFI11G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNVLVSSKIHGRSKSTTAKRNCIICGQRISKRRRTQHNFQKISRGQLNLQRTRPCLLNFQSLPKDIVLRVMSKLTLKEVAQLSVVSTNWRQAWTFHPNLYFGIKTALGNNAKRKGTSSDLNCRISSGNKFIKRVDAILEKHCGTMVNKFAVKFGLSNEHANHVNGWVAFAIASKARVIILDFSPDWKSHENNYDFPCHIFDKHNGSYLEALRLDSVTLNPPLDFCGFANLKLLALDNVRLQHLEQLISKCHVLEWLSIQSCNQLHNLHVSEPLCRLQYLSIQGCHLQRMELHAPNLTTFEYDGSLALVTLNECSNIKASTIRLFDEKTLQNILTGIPSVLPHVETLYVEVHVKTQMSGFTQSPLKFTQLKCLTLEITFERGSFDRNSVFQLTNLFVAAPFLEDLYLDMYCSLNRCPLDLDDIVDQPHYHLKMVCIFGFCGNTGQVELAKCILRNALILEQMIIDPKGRYRLDGYFGRQEADKKLVPEDIDGVLTIL >ORUFI11G01770.1 pep chromosome:OR_W1943:11:1284808:1288821:1 gene:ORUFI11G01770 transcript:ORUFI11G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRAESELEQVEAEAEAEAEAEKNGRRAAGSQDGVNRALILDCSKHSDGPIYSGDDFLAQVLQALKEAMMLSNPTNCRPHMWACNIHEEQFMMQIFSLKLSNITATVDGPVHFTGSFGPATHYARIEGDCGAVDISLALLHSAVEATVQVSISQVHGNGFSLSLYSYTSRIPEKIQLFDGFISKPCDLNRFVVAVVVNTPLILIFKIDKRDGSDHVPGCCAFKARTHGYEYDMQELKLESELELVEAEAEAEADAEKNGRRAAGSQDGVNRAFILECSKHSDGSIYSGDDFWHMFYKVDDTRETRMEAMMLSNPTNCRPHMWACKAHSVQFMMQIFSLKLSNITAAVDGPVHLYGYFAVRDHLDPLRNYIFNRTRDDPFIMG >ORUFI11G01780.1 pep chromosome:OR_W1943:11:1290251:1300304:-1 gene:ORUFI11G01780 transcript:ORUFI11G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGTGAIGSLLPKLVEVLKEEYDLHKGVRKKIKHLSQELESMNAVLLKVGEVPPDQLDELVKLWAGDVRELSYDMEDVVDAFLVHIDGPEPLDTHMLRRFRKKMANFFNKCKHHHKIAGAIQDVNKKVEEVAARRDRYMVDNIIAKVTGPVTIDPRLQALYKKTTELVGIEKQSEKLVKILSLGDDVHASDEKMKIVSIVGFGGLGKTTLSKAVYDKHKLAFDCGAFVPVGRDPDMKKVLRDILIDFDYMNPNVMILDERQLINELRKLIQNKSILFMLSMRMVMNNCLRKSFGYDILGYKAKCIQRFLFVIDDIWDKKSWELIRCALQHSNCGGRVVVTTRIFEVATHIGDIYKMQPLSRDDSEILLYSRINDGEDRFLDSLSTEACDKILKKCGGVPLAIITIASLLASKSGEDWSNVYNSIGFGERGNDIVENTRRILSFSYYDLPSHLKACMLYLSLFREEYGIEKNLLIWKWIAEGFIQNEHATGIGLFELGEGYFNELINRSMIQPMELEDNGYVYGCRVHDMVLDLVCSLSSEEKFATILDSDDQQKQLMVGSNARRLAVHGRSVEEHNHPQLVNVGLEKVRSFSATQCGDINVVTSYFRVLRVLTLEDCSVTGEACGKHRLEHVGNLRHLRYLGIWNTRIDEFPKEVGDLKFLQTLNLSGTGIQQLPEAVGLLKQLLCLRINDSIAVPAGLIGNLTSLQELKIWPVDDVSTRQFVKELGKLRELRILRCTIHISDEGMERDLLESLANLHKIRTLCILGSALPSGITREACFVTPQRLGQLCLECFKFSGLPVWINSSLLLNLTHLDVSVHVVQEQDMETLGRLPELCYLKLCSDYTRLVSIRNGDLQRYLFRKLRFFVSPFLFARFDDLHGRENDGGICIAVAPSIMMPSLESLVFCVYVRFLKDMVEMQPGFDNLHMQLGFEKVASSSLQRVTATIQCEDATAAEVEEAKTALAHAADLHPNRPTLTTQMRAIFVKTLCSYSTGLCYEQRNLDPAFGPECLNGPTLQNSYAGDPTQQASGGVAFASNSGGRGNDGGGNSRGIGRRLRSCCFGSSDSLVASATRLKSHEFTAEFTSFGHKFAVVSMAARSGSVALAKGAKDSKWRPTFYLRVKFKKIYMAFGANDIVLHIKRLMLCPSLFNFLLSSSPVFLLTALLLGALLSYGEPAVLVLGENQQTLSFKSKISITDCSIDKVETVAVEEHLDKTTTSNEVYVRERNFEGNIHDTHWEEKNGTYMTVDTALNEEIHTKDGTSDYDLQDTHREGKGITSVETDTVPCVAPSSFAYSGVTVETEDVGENSKKNDELQELGSINPESDNNKVQYQYQLGEFMSSCWEPVMRQEPQDACSDSESDLTESSPDASMTDIIPMLEKLHPLIDLQTGHPSLVSRDNLNTSSDDDEDDLEEEDASTDENQLEGKIDDFANWKDVIDLNYLDMDNNSKLEGMMDLQRAKNILKFELDKRLMDLQAADAVQKMEEASRFRVQVPSISTGRQNPFDSSNGSDEIXTLPCAVNQNMAHDSPLQETWTPLSYFSARRHRKHGNLYVRHSTSLHHNSFKLEKDEISENDAHNSQSDCDAKQEGNNSKLFGSLEAHIGEEIKILGAAISDVGVLEVNSGMDSGNQNADFSDDISLSPIQKSRQSTFEAKEAVHASIEQLTSCSPYKVNNFEAHIVEADSIDEFNSLFKCRMEEVLVQSISESSISQPLTVKLEDELSEPLSSDSGTGTHFIDGSSVEDSDPQFAQLKDEALVSATSNATCRNESIEEKSSEALLAGNEDYSELPNELLKSGDPQFADSSEIQMQVIEATGH >ORUFI11G01790.1 pep chromosome:OR_W1943:11:1305822:1306298:1 gene:ORUFI11G01790 transcript:ORUFI11G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSAATLHRHFRGAAAAASTSRRIAKRRPRPSRRLPTTYISADPANFRRMVHQVTGADDLPPPPPPSLSPTTTELLRHAAPAGSPGPAGALMLPTLDTSAFLLGRRAEPTAAAAPCDVLVALVGGAGNSYSNNSSSSSSGNCGGGFPTLDSWDLL >ORUFI11G01800.1 pep chromosome:OR_W1943:11:1309558:1312461:-1 gene:ORUFI11G01800 transcript:ORUFI11G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTLPLLLVHRSTPPTPRPTAPPLLHSRRLTLPSRLASLPATIAVVHPRKGVRLSKLHAASCCDSASAAGVTTGGGAGGGGAKGAMDWRLLLAWYLLALDKHPITTKAVTSAVLTLTGDLICQLAIDKVPKLDLKRTFVFTFLGLVLVGPTLHVWYLYLSKLVTINGASGAIARLLLDQFIFSPIFIGVFMSLLVTLEGKPSLVVPKLKQEWLSSVIANWQLWIPFQFLNFYFVPQKFQVLAANFVALAWNVILSFKAHKEVTV >ORUFI11G01810.1 pep chromosome:OR_W1943:11:1315276:1317448:1 gene:ORUFI11G01810 transcript:ORUFI11G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVSLPVAAQLHGLLLTAGLARHSPNFSLLLRLASPLLPVPHRLRLLLSSPFPPTTFLANSLLLASSSPGCLPSALSLYALLFLSSSSPPLLRPNAFTYPPLIASCRKVFDRIANPDLPAWNALLSAYARLRARDVACASSAADAILEMFVRMLSLAIKPNEITLVAVIGACGELGAVSHGVWAHTYAVKRRLAVNCIVATALVEMYAGCGRLDLAEQVFAAASDRDTRCYNAMLHGLAVHGHGRAALSLFDRMHGEGVPVDGVTVLSVMCACAHAGLVDEGLDYFDRMEIEFGIEPSIEHYGCMVDMLSRAGRLNDAEKLIHGMPIVPNAAIYRSLIRACGIHGKLELGKKMIAELMRLEPDDSGNHVLISNFYATTNRWDDAKKARKEMKSMGIDKSPGSSFVDINGVLHEFLVGDKTHPASKEIYAMVEDIETRLSECGHRSSTSSALFDVEEEDKADALSYHSERLAIAFALIASNPGAPIRIIKNLRVCADCHESAKLVSRVYGREIVMRDRTRFHHFRDGVCSCGDFW >ORUFI11G01810.2 pep chromosome:OR_W1943:11:1313197:1315296:1 gene:ORUFI11G01810 transcript:ORUFI11G01810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVRLPEQQRRRPLTIANLPEEILSEILLLLPPKSILQCRAVCKVWRDVTSDRAFLLPHHCRQPPQRLLTFIRDVGSRHDDLDILDYCVEAVDFRTHQFQSLARFTGQDYDCSLEDSPFTVHASCDGLLLMSYNNYLHLCNPTTRQWLWVFPPALQHDTVLGLYSHGHSSEYRVLYYREIGLGPEFYISIVGSGKERSIWPHSSSASLRKWLAKGKEETQFNEPFLFHGNLHWLPHLGGQNKIVVFDTLDEVFRWLHVPFKMHNMSSLLEIEGSLAMSNSHIGSSKVDLWLLQDYKHMVWVHKYRIELPVIEIRRFEEDDGWYLHIVSQEGDVLVDGFDWQFHYDIKGNLLEKFQCSGRMLNITPHILQESLVPHEEPSYQLDVIYGGTE >ORUFI11G01820.1 pep chromosome:OR_W1943:11:1318856:1320827:-1 gene:ORUFI11G01820 transcript:ORUFI11G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEDEAESDKLPLDLEPLRSLAPKFPTILGYDVETQSTDPLLVYATPSIPCSSSEQPQEAPASFSLPLPKSPVPIKATPISAAFPTPQHEDESSDQDYKPFCKNKKPAMPKRAKRPQQAEKSNDANIKRRSIRRNLDNEFNLCSSSSDNPKESVEGILMMFDSLRHRVLQLDEKEDASRRADLKAGTLMMQNNLRINNHKMIGHVPGVEVGDIFFFRIEMCIVGLHAPAMGGIDYISSKNKDETLAVCIISSGGYENDDDDTDILVYTGQGGNSRHKEKHDQKLERGNLALMNSKSKKNQIRVVRSAQDPFCNSGKIYIYDGLYRIEDTWTDTAKNGFNVFKYKLRRDPGQPDGISLWKMTEKWKANPATREKAILLDLSSKVEHLPVCLVNDVDDEKGPSHFNYVAGVKYLRPLRKTKPLQCCKCPSVCLPGDPNCSCAQQNGGDLPYSATGLLAKHTPMVYECSSNCQCSHNCRNRITQKGY >ORUFI11G01830.1 pep chromosome:OR_W1943:11:1322217:1323835:-1 gene:ORUFI11G01830 transcript:ORUFI11G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASALSNPRLQAMLEEEKRKAMANEFVAKLTDVCWDKCITGSIGSSFSNSEASCLSNCAKRFFELKMLIVQRVSSPR >ORUFI11G01840.1 pep chromosome:OR_W1943:11:1324232:1328312:1 gene:ORUFI11G01840 transcript:ORUFI11G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDDMAATCSMKCPLCPICLFVPFVVVVVSFKPPRPIHSKDFTAQPPTPVPAHLPSTGGSPSRPVVLPCAICRTNLLRVHIYQKENKGAVTVTSTFRAFRHAEQPALRTLLAPEKRLLVRSGTEDWTEAYNLFKEQGIKDLVVNVK >ORUFI11G01840.2 pep chromosome:OR_W1943:11:1324232:1328312:1 gene:ORUFI11G01840 transcript:ORUFI11G01840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDDMAATCSMKCPIYQKENKGAVTVTSTFRAFRHAEQPALRTLLAPEKRLLVRSGTEDWTEAYNLFKEQGIKDLVVNVK >ORUFI11G01840.3 pep chromosome:OR_W1943:11:1324232:1328312:1 gene:ORUFI11G01840 transcript:ORUFI11G01840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDDMAATCSMKCPQLWGLRADIVDRIRHAEQPALRTLLAPEKRLLVRSGTEDWTEAYNLFKEQGIKDLVVNVK >ORUFI11G01850.1 pep chromosome:OR_W1943:11:1332721:1346857:1 gene:ORUFI11G01850 transcript:ORUFI11G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRESFMSTIFFFLLLFSLGCKCIASELHLHATQTAVLKVDASPQLARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKIYNLVMYVKSPETVELTVSLTSSDGSQNLASTTIPLVPFLSILGQENKDVCPFPLVLKGLYCCRVSGASNWTKLEQKLVAQGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGNADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSSPLDHPADLYDFHVYTDSKTLFSMKNTFDRSSRNGPKAFVSEYAVWRSDAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFGESSGAMFHPVTITSSYSGSLAASAITWQDSENSFLRVKIINFGSDPVSLTISATGLQARVNALGSTATVLTSSNVMDENSFSNPNKVVPVKSQLSNAAEQMQVTLAPHSFSSFDLALAQSKLVAEMSMLSRQAIVVVVVFLVLFFVGGGSRCEAETLETASLHVDYSVARRMPDTLFGLFFEEINHAGSGGLWAELVSNRGFEAGANTSNIDPWSIIGDESSVHVVCDDCPAGGVGIYNPGFWGMNVEERKTYNLVMHIRSLESVELTASLTCSNGSQNLASNSVRETDLLNWTKIELQLLAHGTCRTSRLELTTRRRGVIWLDQVSLMPSETYKGHGFRQELMYMLLDLKPRFLRFPGGCFVEGNWLKNAFRWKETIGPWEERPGHYGDVWHYWTDDGLGYYDFLQDAIDSLEFARGSKESTWGSVRAAMGHPEPFPLKYVALGNEDCEIFKPTYQENYPKFYNAIREAYPDIQIISNCDGSSRPLDHPADLYDFHVFVSEYAVNNDKGGDAGNGSLLASLAEAAFLTGLEKNSDVIQMASYAPLFVNENDRTWNPDAIVFNSWQQYGTPSYWMQTYFRESSGSVIHPVTISSSYFDLLAASAITWQDNEDIFLRVKIVNFGPSAVNLTISSSGLQAGVNAAKSTVTVLTSSNLLDENSFSEPNKVVPVTRELPNAGQEMQFLLLPFSLTSFDLAMF >ORUFI11G01850.2 pep chromosome:OR_W1943:11:1332721:1346857:1 gene:ORUFI11G01850 transcript:ORUFI11G01850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRESFMSTIFFFLLLFSLGCKCIASELHLHATQTAVLKVDASPQLARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKIYNLVMYVKSPETVELTVSLTSSDGSQNLASTTIPVSGASNWTKLEQKLVAQGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGNADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSSPLDHPADLYDFHVYTDSKTLFSMKNTFDRSSRNGPKAFVSEYAVWRSDAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFGESSGAMFHPVTITSSYSGSLAASAITWQDSENSFLRVKIINFGSDPVSLTISATGLQARVNALGSTATVLTSSNVMDENSFSNPNKVVPVKSQLSNAAEQMQVTLAPHSFSSFDLALAQSKLVAEMSMLSRQAIVVVVVFLVLFFVGGGSRCEAETLETASLHVDYSVARRMPDTLFGLFFEEINHAGSGGLWAELVLKLEPTHQTLTHGPSLEMNPLCTSQLIDPLLHVTTDRSSCFSQNPVAVRIEVVCDDCPAGGVGIYNPGFWGMNVEERKTYNLVMHIRSLESVELTASLTCSNGSQNLASNSVRETDLLNWTKIELQLLAHGTCRTSRLELTTRRRGVIWLDQVSLMPSETYKGHGFRQELMYMLLDLKPRFLRFPGGCFVEGNWLKNAFRWKETIGPWEERPGHYGDVWHYWTDDGLGYYDFLQDAIDSLEFARGSKESTWGSVRAAMGHPEPFPLKYVALGNEDCEIFKPTYQENYPKFYNAIREAYPDIQIISNCDGSSRPLDHPADLYDFHVFVSEYAVNNDKGGDAGNGSLLASLAEAAFLTGLEKNSDVIQMASYAPLFVNENDRTWNPDAIVFNSWQQYGTPSYWMQTYFRESSGSVIHPVTISSSYFDLLAASAITWQDNEDIFLRVKIVNFGPSAVNLTISSSGLQAGVNAAKSTVTVLTSSNLLDENSFSEPNKVVPVTRELPNAGQEMQFLLLPFSLTSFDLAMF >ORUFI11G01850.3 pep chromosome:OR_W1943:11:1332721:1346857:1 gene:ORUFI11G01850 transcript:ORUFI11G01850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRESFMSTIFFFLLLFSLGCKCIASELHLHATQTAVLKVDASPQLARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKIYNLVMYVKSPETVELTVSLTSSDGSQNLASTTIPVSGASNWTKLEQKLVAQGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGNADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSSPLDHPADLYDFHVYTDSKTLFSMKNTFDRSSRNGPKAFVSEYAVWRSDAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFGESSGAMFHPVTITSSYSGSLAASAITWQDSENSFLRVKIINFGSDPVSLTISATGLQARVNALGSTATVLTSSNVMDENSFSNPNKVVPVKSQLSNAAEQMQVTLAPHSFSSFDLALAQSKLVAEMSMLSRQAIVVVVVFLVLFFVGGGSRCEAETLETASLHVDYSVARRMPDTLFGLFFEEINHAGSGGLWAELVLKLEPTHQTLTHGPSLEMNPLCTSQLIDPLLHVTTDRSSCFSQNPVAVRIEVVCDDCPAGGVGIYNPGFWGMNVEERKTYNLVMHIRSLESVELTASLTCSNGSQNLASNSVRETDLLNWTKIELQLLAHGTCRTSRLELTTRRRGVIWLDQVSLMPSETYKGHGFRQELMYMLLDLKPRFLRFPGGCFVEGNWLKNAFRWKETIGPWEERPGHYGDVWHYWTDDGLGYYDFLQDAIDSLEFARGSKESTWGSVRAAMGHPEPFPLKYVALGNEDCEIFKPTYQENYPKFYNAIREAYPDIQIISNCDGSSRPLDHPADLYDFHVFVSEYAVNNDKGGDAGNGSLLASLAEAAFLTGLEKNSDVIQMASYAPLFVNENDRTWNPDAIVFNSWQQYGTPSYWMQTYFRESSGSVIHPVTISSSYFDLLAASAITWQDNEDIFLRVKIVNFGPSAVNLTISSSGLQAGVNAAKSTVTVLTSSNLLDENSFSEPNKVVPVTRELPNAGQEMQFLLLPFSLTSFDLAMF >ORUFI11G01850.4 pep chromosome:OR_W1943:11:1332721:1346857:1 gene:ORUFI11G01850 transcript:ORUFI11G01850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRESFMSTIFFFLLLFSLGCKCIASELHLHATQTAVLKVDASPQLARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKIYNLVMYVKSPETVELTVSLTSSDGSQNLASTTIPLVPFLSILGQENKDVCPFPLVLKGLYCCRVSGASNWTKLEQKLVAQGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGNADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSSPLDHPADLYDFHVYTDSKTLFSMKNTFDRSSRNGPKAFVSEYAVWRSDAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFGESSGAMFHPVTITSSYSGSLAASAITWQDSENSFLRVKIINFGSDPVSLTISATGLQARVNALGSTATVLTSSNVMDENSFSNPNKVVPVKSQLSNAAEQMQVTLAPHSFSSFDLALAQSKLVAEMSMLSRQAIVVVVVFLVLFFVGGGSRCEAETLETASLHVDYSVARRMPDTLFGLFFEEINHAGSGGLWAELVLKLEPTHQTLTHGPSLEMNPLCTSQLIDPLLHVTTDRSSCFSQNPVAVRIEVVCDDCPAGGVGIYNPGFWGMNVEERKTYNLVMHIRSLESVELTASLTCSNGSQNLASNSVRETDLLNWTKIELQLLAHGTCRTSRLELTTRRRGVIWLDQVSLMPSETYKGHGFRQELMYMLLDLKPRFLRFPGGCFVEGNWLKNAFRWKETIGPWEERPGHYGDVWHYWTDDGLGYYDFLQDAIDSLEFARGSKESTWGSVRAAMGHPEPFPLKYVALGNEDCEIFKPTYQENYPKFYNAIREAYPDIQIISNCDGSSRPLDHPADLYDFHVFVSEYAVNNDKGGDAGNGSLLASLAEAAFLTGLEKNSDVIQMASYAPLFVNENDRTWNPDAIVFNSWQQYGTPSYWMQTYFRESSGSVIHPVTISSSYFDLLAASAITWQDNEDIFLRVKIVNFGPSAVNLTISSSGLQAGVNAAKSTVTVLTSSNLLDENSFSEPNKVVPVTRELPNAGQEMQFLLLPFSLTSFDLAMF >ORUFI11G01850.5 pep chromosome:OR_W1943:11:1332721:1346857:1 gene:ORUFI11G01850 transcript:ORUFI11G01850.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASRESFMSTIFFFLLLFSLGCKCIASELHLHATQTAVLKVDASPQLARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKIYNLVMYVKSPETVELTVSLTSSDGSQNLASTTIPLVPFLSILGQENKDVCPFPLVLKGLYCCRVSGASNWTKLEQKLVAQGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGNADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSSPLDHPADLYDFHVYTDSKTLFSMKNTFDRSSRNGPKAFVSEYAVWRSDAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFGESSGAMFHPVTITSSYSGSLAASAITWQDSENSFLRVKIINFGSDPVSLTISATGLQARVNALGSTATVLTSSNVMDENSFSNPNKVVPVKSQLSNAAEQMQVTLAPHSFSSFDLALAQSKLVAEMSMLSRQAIVVVVVFLVLFFVGGGSRCEAETLETASLHVDYSVARRMPDTLFGLFFEEINHAGSGGLWAELVSNRGFEAGANTSNIDPWSIIGDESSVHVVCDDCPAGGVGIYNPGFWGMIIRIGGVDGFTHMLKWIAKSGIKFCSLLAHGTCRTSRLELTTRRRGVIWLDQVSLMPSETYKGHGFRQELMYMLLDLKPRFLRFPGGCFVEGNWLKNAFRWKETIGPWEERPGHYGDVWHYWTDDGLGYYDFLQDAIDSLEFARGSKESTWGSVRAAMGHPEPFPLKYVALGNEDCEIFKPTYQGGDAGNGSLLASLAEAAFLTGLEKNSDVIQMASYAPLFVNENDRTWNPDAIVFNSWQQYGTPSYWMQTYFRESSGSVIHPVTISSSYFDLLAASAITWQDNEDIFLRVKIVNFGPSAVNLTISSSGLQAGVNAAKSTVTVLTSSNLLDENSFSEPNKVVPVTRELPNAGQEMQFLLLPFSLTSFDLAMF >ORUFI11G01850.6 pep chromosome:OR_W1943:11:1332721:1346857:1 gene:ORUFI11G01850 transcript:ORUFI11G01850.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASRESFMSTIFFFLLLFSLGCKCIASELHLHATQTAVLKVDASPQLARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKIYNLVMYVKSPETVELTVSLTSSDGSQNLASTTIPLVPFLSILGQENKDVCPFPLVLKGLYCCRVSGASNWTKLEQKLVAQGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGNADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSSPLDHPADLYDFHVYTDSKTLFSMKNTFDRSSRNGPKAFVSEYAVWRSDAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFGESSGAMFHPVTITSSYSGSLAASAITWQDSENSFLRVKIINFGSDPVSLTISATGLQARVNALGSTATVLTSSNVMDENSFSNPNKVVPVKSQLSNAAEQMQVTLAPHSFSSFDLALAQSKLVAEMSMLSRQAIVVVVVFLVLFFVGGGSRCEAETLETASLHVDYSVARRMPDTLFGLFFEEINHAGSGGLWAELVLKLEPTHQTLTHGPSLEMNPLCTSQLIDPLLHVTTDRSSCFSQNPVAVRIEVVCDDCPAGGVGIYNPGFWGMNVEERKTYNLVMHIRSLESVELTASLTCSNGSQNLASNSVRETDLLNWTKIELQLLAHGTCRTSRLELTTRRRGVIWLDQVSLMPSETYKGHGFRQELMYMLLDLKPRFLRFPGGCFVEGNWLKNAFRWKETIGPWEERPGHYGDVWHYWTDDGLGYYDFLQDAIDSLEFARGSKESTWGSVRAAMGHPEPFPLKYVALGNEDCEIFKPTYQENYPKFYNAIREAYPDIQIISNCDGSSRPLDHPADLYDFHVFVSEYAVNNDKGGDAGNGSLLASLAEAAFLTGLEKNSWNPDAIVFNSWQQYGTPSYWMQTYFRESSGSVIHPVTISSSYFDLLAASAITWQDNEDIFLRVKIVNFGPSAVNLTISSSGLQAGVNAAKSTVTVLTSSNLLDENSFSEPNKVVPVTRELPNAGQEMQFLLLPFSLTSFDLAMF >ORUFI11G01850.7 pep chromosome:OR_W1943:11:1332721:1340055:1 gene:ORUFI11G01850 transcript:ORUFI11G01850.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASRESFMSTIFFFLLLFSLGCKCIASELHLHATQTAVLKVDASPQLARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKIYNLVMYVKSPETVELTVSLTSSDGSQNLASTTIPVSGASNWTKLEQKLVAQGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGNADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSSPLDHPADLYDFHVYTDSKTLFSMKNTFDRSSRNGPKAFVSEYAVWRSDAGRGSLLASLACFIGRRQLSLLDWRRIVMLFRWQVMHHSS >ORUFI11G01860.1 pep chromosome:OR_W1943:11:1348131:1348628:-1 gene:ORUFI11G01860 transcript:ORUFI11G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINGVSILFLLAVLLPASQLAAGIDSALYGACKTVAGNSGVVSVTFCIYALSSDNRSHDAAGFKDYAVVTVDLITANATSTKSKIDGILQNGGGGGAGDAKRRCLQSCQAAYAGVLRAQPGIVADVQGGRLPEAISALEKSASGAGMREWFRQEQRDVTGHRG >ORUFI11G01870.1 pep chromosome:OR_W1943:11:1351087:1359767:-1 gene:ORUFI11G01870 transcript:ORUFI11G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECQRRGKRRDGLVGDRSRFHNGLLPWRHQSLFLFAIVLVAASQVQLAINTDPFMSGACKTVAGSNSGVISVTFCMDALGSDSRSLNANYYSDLAIWKARVSPTREWRALLVAPSSPAGGPVVRQHPLFRWSSSLSFARRHRFLPLVHSLRQAVGSLLRPSLSSTLSVELSGTALWRLDAMLGGHMEGDNSQAYGLLFLGILAFLPEVSTMASSNNGVSALFLLAVLLIAASQLAAGINSAVYGACKTIAGGSGLIGVTFCIDALSSDNRSSNVGSYKEFAVIAVDLLTANATSTKSEIDAMLRSSGGGGDATTRCLKSCQAVYGGILQVQAAVGAAVKGGRFQEAISSLEKSASAVKECRDGFGKSNVTSPLSVENDDTFQLAELIVLLIRDEP >ORUFI11G01880.1 pep chromosome:OR_W1943:11:1361250:1369223:1 gene:ORUFI11G01880 transcript:ORUFI11G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEETYFSAFCYLLLFSVSWKCQAAELDMAQTAVLEVDASWNLSRKIPDTLFGLFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDESSIYVTTDCSSCFRQNIVALRMEILCDNCPAGGVGIYNPGFWGMNIEEGKAYNLVMYIRSLESVELTASLTCSDGMQNLASVSIQGIDLSNWTKIELQLLAEGTCRTSRLELTSMKKGIIWFDQVSLMPSDTYKGHGFRKELLYMLLELKPQFLRFPGISHHDEVDTTIIEPFVKDVLDSLEFARGSAESTWGSVRAAMGHPERFPLKYVAIGNEDCDKGFYRGNYLKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFIMKNKFDRTSRIGSKVFVSEYAVNEQRDAGKGSLLASLAEAAFLTGLEKNSDLVQMASYAPLFVNDNDRTWNPDAIVFNSWQQYGTPSYWMQTYFRESSGSVIHPITIGSSYSDSLAASAITWKDTHDIFLRIKIVNFGPNAVNLAISSRGLQAGVNTAKSTVTVLTSGNLLDENSFAEPNKVVPVKSELPDASEEMEAALSPYSFTSFDLALDQYSKLVAEM >ORUFI11G01890.1 pep chromosome:OR_W1943:11:1368857:1373437:-1 gene:ORUFI11G01890 transcript:ORUFI11G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSPIPFQPDAIVATHEPPAATEAAEPAEIVPRTSLASTIAESFKQMIFPSCDPNLLQEIDTQKVSWNPHKHCLAFVSGKNQVTVHDFEEPDNKESYILTSDHQKDVKAVEWRPNSGKMIAVGCKGGICLWSASYPGNVASVKSGVTSSSFGAFPRGSGGQWILVDILRGSSAELGANWDPEGRIVLLSFSNSTTLGSIHFSSKPPSLDAHLLPVEHPEISSLIVSRGIDKLAWDSSGERLALSFKDGNEMYHGLVAVYDVRRSPLVSVLLVAGAVAGVVRIPSYFARIDSLKGVSKVPHLT >ORUFI11G01890.2 pep chromosome:OR_W1943:11:1368859:1373550:-1 gene:ORUFI11G01890 transcript:ORUFI11G01890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSPIPFQPDAIVATHEPPAATEAAEPAEIVPRTSLASTIAESFKQMIFPSCDPNLLQEIDTQKVSWNPHKHCLAFVSGKNQVTVHDFEEPDNKESYILTSDHQKDVKAVEWRPNSGKMIAVGCKGGICLWSASYPGNVASVKSGVTSSSFGAFPRGSGGQWILVDILRGSSAELGANWDPEGRIVLLSFSNSTTLGSIHFSSKPPSLDAHLLPVEHPEISSLIVSRGIDKLAWDSSGERLALSFKDGNEMYHGLVAVYDVRRSPLVSVLLVAGAVAGVVRIPSYFARIDSLKGVSKVPHLT >ORUFI11G01890.3 pep chromosome:OR_W1943:11:1368857:1373437:-1 gene:ORUFI11G01890 transcript:ORUFI11G01890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSPIPFQPDAIVATHEPPAATEAAEPAEIVPRTSLASTIAESFKQMIFPSCDVSWVFIISYWLHVSIACVHDNKESYILTSDHQKDVKAVEWRPNSGKMIAVGCKGGICLWSASYPGNVASVKSGVTSSSFGAFPRGSGGQWILVDILRGSSAELGANWDPEGRIVLLSFSNSTTLGSIHFSSKPPSLDAHLLPVEHPEISSLIVSRGIDKLAWDSSGERLALSFKDGNEMYHGLVAVYDVRRSPLVSVLLVAGAVAGVVRIPSYFARIDSLKGVSKVPHLT >ORUFI11G01890.4 pep chromosome:OR_W1943:11:1368859:1373550:-1 gene:ORUFI11G01890 transcript:ORUFI11G01890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSPIPFQPDAIVATHEPPAATEAAEPAEIVPRTNNKESYILTSDHQKDVKAVEWRPNSGKMIAVGCKGGICLWSASYPGNVASVKSGVTSSSFGAFPRGSGGQWILVDILRGSSAELGANWDPEGRIVLLSFSNSTTLGSIHFSSKPPSLDAHLLPVEHPEISSLIVSRGIDKLAWDSSGERLALSFKDGNEMYHGLVAVYDVRRSPLVSVLLVAGAVAGVVRIPSYFARIDSLKGVSKVPHLT >ORUFI11G01900.1 pep chromosome:OR_W1943:11:1376250:1416654:1 gene:ORUFI11G01900 transcript:ORUFI11G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLAFFAASILLASTTTTVHGVHIDPNDIIKTIEFKPSVDRPKIVEKMVVLGGNNSFKFAEQAWHRSGRFTLNFLNDGGVKLKCFNLECSFVQTSKKHAIGAKYDKFSTDDGPAVWWVSLMDEPIGYFHESAFAAPFIESFHNEMGGHVLDRRPGGRHTLTPMGSGMYPSDGLQNAACIHAYLAIAYTGADQVDDPVNTIVTHPKCYDIKGDGPDLYRPGINVAFGGPEANQKRQPGESRESSIPLVAVVLVGITYIIVRTRHIWDAVFQHGPYNCTIQRRWKKPVDSARTRQEGRTRDHKLDKLMIQLKNLRLALDLHELISQQRNGFASLQLLSRWRHEVGLNIEIGAFLKKYPHIFYIYVHPVKRNECCKVTPKMAELIAEEDAVIRENEPAIVKRLKKLLMLMKDGTLNMHALWLIRRELGLPDDYRAKEYTEKWLAESETKYVFPINLPAGFKIENGFREKLGNWQRLPYTKAYDKNDLHPIHNVGRLEKRIVGILHELLSLTVEKMIPLERLSHFRRPFGMEVNLRELILKHLGIFYISTKGSTQHVLLRESYSKGCLVDPNPVYNDVVALMKWTVHFGLLRNTIRKVFQREGALHHRLRAVLSLNYEQAAVEYSKEVRKRWDIIWKRQQMPTESSDHLSKTATMDAPFLSTSLAVLATLFLLALPLSAATHDILPLKSSLFVEEYETNILQSSDGTFSCGFYNITNAYNITSAFTFSIWYSNSADKAIVWSANRGRPVHSRRSEITLRKDGNIVLTDYDGTVVWQTDGKFPNVRYVQLLNTGNLVLKNSSGNIVWQSFDSPTDTLLPTQRILATTKLVSTTGLQVPSHYTFRFSDQSILSLIYDDTNVSGVYWPDPDYQYYENNRNLYNSTRIGSLDDYGEFFSSXSKLHAMNVTFLQLRNTDFWGSDQQRIEKVPWEVCWNTCISDCTCKGFQYQEGNGTCYPKSFLFNGRTFPTPFVRTMYIKLPSSLDVSKKPIPQSSIHDYTLSGLDCDHLNTITTEAVRNMNKIGGEEPKWFYFYGFIGVFFIVEVFFFAFAWFFVLRKEMRSSQVWIAEEGYRVMTSHFRMYSHRELVKATERFKHELGWGGSGVVYKGILDDDRAVVIKKLENATQNREEFQDELHVISRINHMNLQRFNIALGVAKGLAYLHHECLEWVIHCNLKPENILLDENLEPKITDFGLAKLLSRSGSNQNVSRARGTIGYIAPEWISGLPITAKVDVYSYGVVLLELVSGRRVFDLIVGEDKTKVHEMLKKFIKMICYRLDNEKSLWLAEFVDFRVGDEFNYLQAKTLVKLAVSCLEEDRKKRPTMESIVESLLSVDLARSSLDDYGEFFSSDLAKHQARVASDRSLGIKRRLTLDYDGNLRLYSLNNSDGTWTISWIAQPQTCMTHGLCGPYGICHYSPTPRCSCPPGYKMRNPGNWTQGCKPIVEIACDGKQNSSDGTFSCGFYNITKAYNITSAFTFSIWYSNSADKAIVWSANRGRPVHSRRSEITLRKDGNIVLTDYDGTVVWQTDGKFPNVRYVQLLNTGNLVLKNSSGNIVWQSFDSPTDTLLPTQRILATTKLVSTTGLQNGSLAKVLFDRRDSSKFLGWKQRFNIALGVAKGLAYLHNECLDGALRAATTKALLLLVVAVFVVSLSRPFPCAAAARDSLLRGASIAVEDHATDVLLSPDGTFACGFYVVSPTVFTFSVWFARAADRAVVWSANRGRPVHSKRSRLKLNGRRRALVLTDYDGEVVWNSTVSANATATATAARARLHDSGNLAVEDASGNVLWQSFDHPTDTLLPTQRIAAGEAMVSADKLLAAGFYSFRFSDYAMLSLVYDNHEMSSIYCPTPYYSYWQNSRKIYNFTREAFFDASGHFSSSDNATFDASDLAKNVAVRRRLTLDTDGNLRLYSLDEVTGTWSVSWMAFSNPCIIHGVCGANAVCLYSPAPVCVCAPGYGRAEPSDWSRGCRPTFNSSDDGGQPRAMKMVPLPHTDFWGFDINSSENLSLDECSTRCMSEPSCVVFQYKQGKGECYPKSLMFNGRTFPGLPGTAYLKVPADLDMLEIHIHQWQADSDGHGIAAIQEDIVGCGGMSSPEFLLNVSNASSSKSNQGKSIWFYFYGFLTAFFVIEVFVIAFGCWLFSNKGVFKPCQVSALDEGYRMVTNHFRTYSYAELQKGTRKFQSEIGRGGSGVVYKGVLDDERTVAVKVLQDVKQSEDVFQAELSVIGRIYHMNLVRMWGFCLEGIHRILVYEYIENGSLAKVLFQGRNSGMFLGWKQRFNIALGVAKGLAYLHNECLEWIIHCDMKPENILLDEDMEPKITDFGLSKLLNRDGSGPDMSRIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVKGMRILDWVLDGKEGLEADVRSVVKMVVSKLESNMESWVADLMDDRLHGEFNHLQARLLMQLAVSCLEEDKNKRPTMKYIVQMLISAEDEAHAFT >ORUFI11G01910.1 pep chromosome:OR_W1943:11:1410498:1411334:-1 gene:ORUFI11G01910 transcript:ORUFI11G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVCSAPRAEPPCPCHVRVGPPLPLRVRWRRAEARHGPLRAADQEQLLTALREQPDPDTVLRMLNAVLAWNDFAPGPEVYEEIIRKLGAAGALDLMKVLVAEMRREGHQVKLGVVHSFLDCYARQQLFDDAVDLVLNQLDPLFGIQADTVVYNHLLNVLVEGSEMKLLELVYSEMGARGIKPDVVTFNTLMKALCRAHQVRTAVLMLEEMSSRGVAPDETTFTTLMQGFVEEGSIEAALRVKARMLEMGCSLTKVMVNVLINGYCKLGRVKDALGYM >ORUFI11G01920.1 pep chromosome:OR_W1943:11:1418990:1419482:1 gene:ORUFI11G01920 transcript:ORUFI11G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKVIGVFCVLAFLAISSSPSHLQAEGCENEKNIVMNKDGCYHNIERHMGDQFPKRHSHCCQTVESADINCICRTFTAADKAKIALSKWVNVAKECGNPLHAGTNCAGYRVPLLP >ORUFI11G01930.1 pep chromosome:OR_W1943:11:1420029:1422650:-1 gene:ORUFI11G01930 transcript:ORUFI11G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVRMWGCCSQAKHRILVSEYIENGSLAQRLFDHGFDDDVLDWNQRFKIALGVAKGLAYLHSECSEWIVHCDMKPENILLDKDLEPKITDFGLSKLLNRDGSHAILTRIRGTRGYMAPEWVTNLPVTEKVDVYSYGVILLELVKGIRISEWVIHGIKVCEMNIRMVVRATRQMMGSNEERSIEDLVDYRLNGDFNHVQVKLMLEIAVSCLEEDRSKRPNMNSVVQALISVEAYTFTDTYVFDADTRIRSSVNVNTCVHSECSEWIVHCDRKPENILLDKDLEPKITDFELSKLLNRDGSYAILTRIRGTRGYMASDYGVILLPLVKVIRISEWVIYAIKNYEMDTRMVVRAASEKLEPNERRSIEDLVDHRLNGDSSRWIPSPSPVHLISFLPLPFAGAQAVLCV >ORUFI11G01940.1 pep chromosome:OR_W1943:11:1426145:1426543:-1 gene:ORUFI11G01940 transcript:ORUFI11G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESAGWNCGDESGIREKGRGGGGWLTRGDRTWRSPAGEGVGWRLTTGRGGNPRARGRGGGSQVAASAPEVALRSAEAGKAARGGGGRQRRRRTADLRRREREAEAEVSGTATRRREGERESAGEEGTGGGG >ORUFI11G01950.1 pep chromosome:OR_W1943:11:1427173:1427616:1 gene:ORUFI11G01950 transcript:ORUFI11G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRYVEVFRCKKQEYYSAIAAEVNQGGFFDSEYRHSPPPRPKKPAEDKSSMEYTEVLKLRGLPYSATTEDIIKFFVEYELTEENVHIAYRPDGKATGEAFVEFPTAEVAKTAMCKDKMTIGTRYVELFPSTPEEASRAGRNPRARQ >ORUFI11G01960.1 pep chromosome:OR_W1943:11:1428663:1433378:-1 gene:ORUFI11G01960 transcript:ORUFI11G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIAVDADVPSCASTSAVPPYAGAPPLVFRQEGKIENLVRSVYSEVDEKDVNLDAAFNVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNNEVLKETLIRHGFTFESDTDTEVIPKLAKFVFDKAHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQNSGKPFHDVKALTTNGKPKELFFSSDLFAIVEHTKNYLAIEDDEIVHIKDGSVSILKFDHEKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRVKDSGVLLGGLKEKEYLKTIRRSRRLVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAFFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRRTHCGVHINAGCEIGVASTKAYTSQIVVMVMVALAVGSDQISTQVRRQAIISGLSNLPSNVSEVFKLDTEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSKGDASAVCPSGSCRVIEVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >ORUFI11G01960.2 pep chromosome:OR_W1943:11:1428661:1433378:-1 gene:ORUFI11G01960 transcript:ORUFI11G01960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIAVDADVPSCASTSAVPPYAGAPPLVFRQEGKIENLVRSVYSEVDEKDVNLDAAFNVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNNEVLKETLIRHGFTFESDTDTEVIPKLAKFVFDKAHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQNSGKPFHDVKALTTNGKPKELFFSSDLFAIVEHTKNYLAIEDDEIVHIKDGSVSILKFDHEKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRVKDSGVLLGGLKEKEYLKTIRRSRRLVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAFFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRRTHCGVHINAGCEIGVASTKAYTSQIVVMVMVALAVGSDQISTQVRRQAIISGLSNLPSNVSEVFKLDTEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSKGDASAVCPSGSCRVIEVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >ORUFI11G01970.1 pep chromosome:OR_W1943:11:1435106:1440127:1 gene:ORUFI11G01970 transcript:ORUFI11G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMASSQEFEANDPQQLHAKPKRLYQVWKGNNIFLCGGRLIIGPDAASLLLSMFLILGPAIVFSYQMESTIHRSQQRMRRAAQLIVIITTAADLFFLFMTSARDPGIVPRNTRAPPEADEFLGSTTPSMEWSSGRTPQMRFRRAKDVTVNGFTVKVKFCETCLRYRPPRSSHCSICNNCVEKFDHHCPWVGQCIGLLKKTHQSSKLNYTRLNRNYRYFFLFVATSTFLCIIVFIFSWVNVYYERGDDGGSIWKALRKETYSFVLIIYTFIVVWFVGGLTVFHLYLISTNQTTYENFRYHYNKKDNPYRKSVAANFVEVFFTKIPPPQNNFCSWVGEGALEAGFYTPYIALDLTDPREKIDLEMGNKDILVGGMQIPTVLQNIDYGSFEDNPDDKNRNEDDRLVPFASTWVQQANEGARTSEIATVEYKDEISEDGGKEIISSNTSSEQTSIEANAAASEDESNEGIAGKSNSSDRSSTQNLGDVN >ORUFI11G01980.1 pep chromosome:OR_W1943:11:1439275:1439945:-1 gene:ORUFI11G01980 transcript:ORUFI11G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYRRVLKAVQKHVGGGDSKKHFREFVASEFRRPTGTDADARARLRLAGDYTYLLASVHHHKDLLFSYNIAVDRSEEMKKILNKSAASVGLQLPDVYQA >ORUFI11G01990.1 pep chromosome:OR_W1943:11:1441544:1443007:1 gene:ORUFI11G01990 transcript:ORUFI11G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIVSSLSCKHIEHNIKLIKGPQDSGKTKLISAILASIGHTLRCVVYAPSASDIVGILNETKNLNMSHDQYKQFRNLDDSSGNYTIAEKSWYLAGDKATNNQVRKW >ORUFI11G02000.1 pep chromosome:OR_W1943:11:1446018:1451835:-1 gene:ORUFI11G02000 transcript:ORUFI11G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAACTKLPLLLLLVAVAASSASSLTPLHAAVPAKGPRTGGSVTLHLDHRQVTLSNPGGHITGVRYNGERNLLHYDGEANSAGYWDVVWNFPGSNSPRGMIDMLDSTEFKVVSSSEDQVELSFRSTYNPSRPNSVSLNIDKRLVMLKGSSGFYCYAIFEHVRDWPALNISEARLAFKLNTDKFNYMAISDDIQRFMPSATDRDAPRGAPLAYKEAVLLVDPKEPQFRGEVDDKYEYSLDNKDNRVHGWISSNHPNPMGFWVITPSNEFKSGGPLKRELTSHVGPTSLTMFLGTHYVGNDIVLKIEEGEYWKKVMGPVFIYLNSNPRRGDLHSLWVDAKVCQQQRYSCWDGICWPGLSWTTRIGNVRAGVYNLYAWVPGFLGDYMYTSQVTVTPGRSINLGDLVFEPPRSGPTLWEMGVPDRSAAEFFIPDPNPKYLNKIFITKDKYRQYGLWERYAELYPDGDLVFTIGENDISKDWFFAHITRKQGDGYAPTTRQIRFRLEHVVADATYTLRVELAAAQMSRVQVVVNGWADEALTTAAAFGDGNAIARHGVHGVQWSLDFAMKGYMLQEGDNVVLMTQTRALSPFFGVMYDYIRLEGPPSPSWRDPTTQV >ORUFI11G02010.1 pep chromosome:OR_W1943:11:1446195:1447219:1 gene:ORUFI11G02010 transcript:ORUFI11G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVPRDRVPVAERRRRRQRLVRPAVHHHLHPRHLRRRQLDAERVGGVRHDVLQPEPDLPRQPVLGDVVLADREDEIAVRVQFGVPLPQPVLPVLVFGDEDLVEVFGVGIRDEELCCTPVRNTHLPQCWA >ORUFI11G02010.2 pep chromosome:OR_W1943:11:1446195:1446872:1 gene:ORUFI11G02010 transcript:ORUFI11G02010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVPRDRVPVAERRRRRQRLVRPAVHHHLHPRHLRRRQLDAERVGGVRHDVLQPEPDLPRQPVLGDVVLADREDEIAVRVQFGVPLPQPVLPVLVFGDEDLVEVFGVGIRDEELCCTPVRNTHLPQCWA >ORUFI11G02020.1 pep chromosome:OR_W1943:11:1453987:1457177:1 gene:ORUFI11G02020 transcript:ORUFI11G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIWPSPSESASWSMSASSSSERGSPRLAMTWRSSSGVMKPFWSLSKTRKASRMSSSAPVSRSLRARRPRNSSNSMLPLPSASTSSTIFFSSASVGDCPSDPITATSYTGWALVG >ORUFI11G02030.1 pep chromosome:OR_W1943:11:1455512:1456643:-1 gene:ORUFI11G02030 transcript:ORUFI11G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGQSPTEAELKKMVEEVDADGSGSIEFEEFLGLLARKLRDTGAEDDIREAFRVFDKDQNGFITPDELRHVMANLGDPLSDDELADMLHEADSDGDGQINYNEFLKVMMANCSSIPSLGLDQGFLLTTSWQEKTSKVET >ORUFI11G02040.1 pep chromosome:OR_W1943:11:1464626:1470166:1 gene:ORUFI11G02040 transcript:ORUFI11G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTNIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEPEALNAFELISMSAGLNLGNLFDSEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSRTLKDVVWKSDDLQNQLS >ORUFI11G02050.1 pep chromosome:OR_W1943:11:1470262:1471058:-1 gene:ORUFI11G02050 transcript:ORUFI11G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLTKEQIAEFREAFNLFDKDGDGTITSKELGTVMGSLGQSPTEAELKKMVEEVDADGSGSIEFEEFLGLLARKLRDTGAEDDIREAFRVFDKDQNGFITPDELRHVMANLGDPLSDDELADMLHEADSDGDGQINYNEFLKAKAEYDGGTWKWRPSVK >ORUFI11G02060.1 pep chromosome:OR_W1943:11:1471059:1477663:-1 gene:ORUFI11G02060 transcript:ORUFI11G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEHGLPRLPVRAATSNLRPLFSSPLPPLSFSPSCPSSLPPMGRKIKVKKKKASSKVWQPGVDTLEEGEELQFDPQAYNYLRGFDVVRDQLGLAERATWNYIGIFKICNINGKKREPIPASAIDGDSDMDSESSSDEEDEAVNEDTMPILHVWDFSPFLNSLAESGAVAHNEDDRIHNHWSPTEADIFASCSADRTISIWDIRTGKKPCISVRAHNADVNVISWNRNNPRGGN >ORUFI11G02070.1 pep chromosome:OR_W1943:11:1476372:1477669:1 gene:ORUFI11G02070 transcript:ORUFI11G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVHFYIYKDKFVYKEGRNMKTILSYFVFFLVILQISHRLVAGQNYEFQSGINHGFVNSRKNLYKHAIPRILTELGELASREDSTTADNNVDLTPKHQSLTASKMETIHVRAKAHINPDEELTTEDYPRPRPNHPSIALSNEEFTTEDYPRPRPNHPGVTLSNEQFTTEDYPRPRPNHP >ORUFI11G02080.1 pep chromosome:OR_W1943:11:1477785:1478810:-1 gene:ORUFI11G02080 transcript:ORUFI11G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGQKKKLATLVGCNYAGTPNELQGCINDVAAMRDALVARFGFAPADVTVLTDDRGSPVLPTGANIKRALADMVARAAPGDVLFFHYSGHGTRVPPVKGRRHGHGECDEAIVPCDFNLITDVDFRRLVDRVPRGASFTMVSDSCHSGGLIDLEKEQIGPSVLAAGAAPAAAAASTTATRATAARFLPYAAVVEHLSGVSGVDAAHHVADHLLALFGADASAKFHRDAEQPVRTDDDGILLSGCQTDETSADVPGDDEVAAGGKACGAFSNAIQTVLASHPAPVSNRQLVSMARAVLSDQGFEQHPCLYCSDGNAETPFLWQEEDKKKTVTAAAEQAMTAL >ORUFI11G02090.1 pep chromosome:OR_W1943:11:1479520:1484483:-1 gene:ORUFI11G02090 transcript:ORUFI11G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMVKILKSSIYDPLFLRVIFNTLFGLSVKYWMAIATRFLLGALNGMLAPIKVSTGWGVGLVVGPAIGGYFAQPAKQYPNVFSEKSIFGRFPYFLPCLCISLIALVVLISCIWLPETLHKHKDTEGEIEMIDNSRSTLEEDSHKQKSLYKNWPLISSIIAYCVFTLHDTAYSEIFSLWAVSEKRYGGLSFSSKEVGQVLAVAGAGLLVYQLFIYRSVHKFLGSINSSRIASALSVPILATYPFMTHLSGFRLGIALYLGTILKGVLSITIITGTSLLQNNAVSQSQRGAANGISTTAMSFFKAIAPAGAGALFSWAQERQNEAFFPGDQMIFFILNVIELIGLALTFKPFLAIPN >ORUFI11G02100.1 pep chromosome:OR_W1943:11:1484569:1529496:-1 gene:ORUFI11G02100 transcript:ORUFI11G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFVWIITLCTAAAAAAPSALAPSHPLLRPDLGLGKERGEPPPPSPRPCQRRHPHRHHRVCTADVAPLLRAKVYRVREIDTASEIGELMGDHGRRRQAEAAAPLLEKKGSTGTGVGDGSCIEGCPGCVVDRRKAASSGIPYGSFLFVWIVTLCTGASFMFGRCLTSTIWGIAADRIGRKPVVVFGIFAAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPAEKFPNVFSPDSLFARFPYFLPCLCISVFAAVVLIGCIWMPETLHKHKADANRSQTVEALESHLIDPEEKADQNGSLDCKKSLLSNWPLMSSIILYCVFSFHDMAYTEIFSLWAESDRKYGGLSLSSEDVGQVLAITGASLLVYQLFIYPRINKILCIPILFAYPYMTYLSGPGLTIILNIASVTIITGCFILQNNAVPQDQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQQASFLPGDQMVFFLLNLFELLGLILTFKPFLAPQDQRGAANGLAMTGMSFFKAVAPAGAGIWSPTEADIFASCSADRTISIWDIRTGKKPCISVRAHNADGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAAVAAADSGAAAPAASKEEEKKEEPEEESDVKNHVGLSVSEEEAAAPLLLLPAAAAAAEERCPGCVQEQRKARRGGRIPYTELFFVAVTTLASSLPITCLFPFLYFMVRDLQVAQTEEDIGNYAGFLGASYMVGRSFAAIFWGVVADRIGRKPVIMFSILSVVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQPVEKYPHIFSKESVFGRFPYLLPCLGVSLFAAIVLISCIWLPETIHKHKSPEKDIKRIKELPLQQAYWDSPRKKSLLQNWPWMSTMISYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGQVLAAAGASLLAYQLIFYHWVHKFLGPIISLRIASALSILILSTYPFMTYLSGTGLSFALYSAAMMKSALAITISTGISLLQNNAVLQEHRGTANGVSTTAMSFFKAIAPRSMLNFQFRFSWAQKRQDAFFFPGDQVVFLMLNVVELIGLIFTFEPFMVLPAASDEIWDLSLEKDAEEEAEFRARMREQADAPEDLPPQLLFVHQGQKDLKELHWHPQIPTSPLMAEPPATKVYHDGCPGCAMEQRKEEHKGIPYKEFLFVAITTLASSLPISSLFPFLYFMIRELHISRTEEDIGFYAGFLADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLISCIWLPETLHKHKGLETGVEAAEASTTQGSAESHKKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASVLSIPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGAGDQMVFLLLNLTEVIGLMLTFKPFLAVS >ORUFI11G02100.2 pep chromosome:OR_W1943:11:1484569:1522292:-1 gene:ORUFI11G02100 transcript:ORUFI11G02100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAGAEVPLLKIKGDYHQGCPGCAYDRKKEVYRGLPYKEFLYLWMICLTAGASFMFGRCLTSTIWGIAADRIGRKPVVVFGIFAAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPAEKFPNVFSPDSLFARFPYFLPCLCISVFAAVVLIGCIWMPETLHKHKADANRSQTVEALESHLIDPEEKADQNGSLDCKKSLLSNWPLMSSIILYCVFSFHDMAYTEIFSLWAESDRKYGGLSLSSEDVGQVLAITGASLLVYQLFIYPRINKILCIPILFAYPYMTYLSGPGLTIILNIASVTIITGCFILQNNAVPQDQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQQASFLPGDQMVFFLLNLFELLGLILTFKPFLAPQDQRGAANGLAMTGMSFFKAVAPAGAGIWSPTEADIFASCSADRTISIWDIRTGKKPCISVRAHNADGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAAVAAADSGAAAPAASKEEEKKEEPEEESDVKNHVGLSVSEEEAAAPLLLLPAAAAAAEERCPGCVQEQRKARRGGRIPYTELFFVAVTTLASSLPITCLFPFLYFMVRDLQVAQTEEDIGNYAGFLGASYMVGRSFAAIFWGVVADRIGRKPVIMFSILSVVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQPVEKYPHIFSKESVFGRFPYLLPCLGVSLFAAIVLISCIWLPETIHKHKSPEKDIKRIKELPLQQAYWDSPRKKSLLQNWPWMSTMISYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGQVLAAAGASLLAYQLIFYHWVHKFLGPIISLRIASALSILILSTYPFMTYLSGTGLSFALYSAAMMKSALAITISTGISLLQNNAVLQEHRGTANGVSTTAMSFFKAIAPRSMLNFQFRFSWAQKRQDAFFFPGDQVVFLMLNVVELIGLIFTFEPFMVLPAASDEIWDLSLEKDAEEEAEFRARMREQADAPEDLPPQLLFVHQGQKDLKELHWHPQIPTSPLMAEPPATKVYHDGCPGCAMEQRKEEHKGIPYKEFLFVAITTLASSLPISSLFPFLYFMIRELHISRTEEDIGFYAGFLADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLISCIWLPETLHKHKGLETGVEAAEASTTQGSAESHKKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASVLSIPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAITIITGTSLLQNKAVPQGQRGAANGIATTAMSLFKAVAPAGAGDQMVFLLLNLTEVIGLMLTFKPFLAVS >ORUFI11G02100.3 pep chromosome:OR_W1943:11:1484569:1522292:-1 gene:ORUFI11G02100 transcript:ORUFI11G02100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAGAEVPLLKIKGDYHQGCPGCAYDRKKEVYRGLPYKEFLYLWMICLTAGASFMFGRCLTSTIWGIAADRIGRKPVVVFGIFAAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPAEKFPNVFSPDSLFARFPYFLPCLCISVFAAVVLIGCIWMPETLHKHKADANRSQTVEALESHLIDPEEKADQNGSLDCKKSLLSNWPLMSSIILYCVFSFHDMAYTEIFSLWAESDRKYGGLSLSSEDVGQVLAITGASLLVYQLFIYPRINKILCIPILFAYPYMTYLSGPGLTIILNIASVTIITGCFILQNNAVPQDQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQQASFLPGDQMVFFLLNLFELLGLILTFKPFLAPQDQRGAANGLAMTGMSFFKAVAPAGAGIWSPTEADIFASCSADRTISIWDIRTGKKPCISVRAHNADGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAAVAAADSGAAAPAASKEEEKKEEPEEESDVKNHVGLSVSEEEAAAPLLLLPAAAAAAEERCPGCVQEQRKARRGGRIPYTELFFVAVTTLASSLPITCLFPFLYFMVRDLQVAQTEEDIGNYAGFLGASYMVGRSFAAIFWGVVADRIGRKPVIMFSILSVVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQPVEKYPHIFSKESVFGRFPYLLPCLGVSLFAAIVLISCIWLPETIHKHKSPEKDIKRIKELPLQQAYWDSPRKKSLLQNWPWMSTMISYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGQVLAAAGASLLAYQLIFYHWVHKFLGPIISLRIASALSILILSTYPFMTYLSGTGLSFALYSAAMMKSALAITISTGISLLQNNAVLQEHRGTANGVSTTAMSFFKAIAPRSMLNFQFRFSWAQKRQDAFFFPGDQVVFLMLNVVELIGLIFTFEPFMVLPAASDEIWDLSLEKDAEEEAEFRARMREQADAPEDLPPQLLFVHQGQKDLKELHWHPQIPTSPLMAEPPATKVYHDGCPGCAMEQRKEEHKGIPYKEFLFVAITTLASSLPISSLFPFLYFMIRELHISRTEEDIGFYAGFLADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLISCIWLPETLHKHKGLETGVEAAEASTTQGSAESHKKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASVLSIPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGAGDQMVFLLLNLTEVIGLMLTFKPFLAVS >ORUFI11G02110.1 pep chromosome:OR_W1943:11:1531648:1537012:1 gene:ORUFI11G02110 transcript:ORUFI11G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKASMKPYFVAIVVQLIYTGMFVISKAAFNHGMNIYIFEKYTTRHDTWGAHKALLLCLNRDYAWGKSVPCKSQVHLCNSGICSRQLTPSHHLLLGGQDGVCEAEELFRHTKVTSVAICLAGVFTIAFFTGPSISPINHHRAFASDTSSKTVVPRGVWIKWTFLMVVANMCWSLWIIFHAAVQKEYPDKMVVTVTQCLFSTVQSFVVAVVAERDFSRWKLRFDISLLAILYSGVMVTGVSYYLQTWCLEMRGPMFFASWTPLCFVFTIFCSSFFLGEIVHLGSILGGILLVGSLYTMLWGKSKEGNETDDVTDDDIEKSTHIYPGEQQHTTTDQAKESTLTSSTALHVQEL >ORUFI11G02120.1 pep chromosome:OR_W1943:11:1536021:1537601:-1 gene:ORUFI11G02120 transcript:ORUFI11G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPTRNGLTQYLKTRGARQRSEVLGVHRKMASKLMSNLSFHLEKSRSATTATTNDCTVLNRH >ORUFI11G02130.1 pep chromosome:OR_W1943:11:1538457:1546718:-1 gene:ORUFI11G02130 transcript:ORUFI11G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAATPLLVLETAYQYHEGCPACAVERSKAVNPGIPYMRFFHIWIIILVSCLPISSLFPFLYFMIRDLHVAKRVEDIGFYAGFVAYYNRALQAYAVEVCRPEHQSIGLSLVSTSWAIGLIVGPAIGGYLAQPSEKYPILFPANSLFGRFPYFLPCLCISIFCFVILISCIWLPETLHKHATERNGDCKIGSLSTHLVDSEEFVKQHTGPAKDKSLFKNWPLMSSIVLFCIVSFDDMAYTEIFSLWSESDKQFGGLNFSSEDVGQVLAITGASILIYQTFIYPHIVKVLGIINTSRVAVILSMAILCSYPPMTYLSRPWLSIVVNIASMLKNNFVCKLPVYMVVQPQHQRATANGLATTLMSFFKAFAPAGADILFSWAQKRQHAFFFPGDQMVFFILIIIEFLELIWTFKPFLAVP >ORUFI11G02140.1 pep chromosome:OR_W1943:11:1546691:1550729:1 gene:ORUFI11G02140 transcript:ORUFI11G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRRLQPSQLLPLLLLAVPELLTPGFVREDDRKRALVSRLLQYSLVHHALGIPFHQIRINRTLEGKPYLQNKNANFSWLQLQHFNTSHQGEYVGIASEPLCLVGLDIVCISKPQRETALEFINNFTSYLTDHEWNCIVTAGSHDGMLTEFYRYWCLKEAFVKATGAGVGFGLQRLEFHHMNWTNISLRIDGEEDRKWRFWLFKIDEKHLASIAKGHPEDAIDSFRRALSDVVIQEGELHTAIEIPEEAFTLLTVEQLIQNESLGVRAYHPWIKEDGDAPDVPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEIKGLKEMFKNIDKDNSGTITLEELKNGLAKQGTKFSDNEIEQLMEAADADGNGIIDYEEFVTATVHMNKMDREEHLYTAFQYFDKDNSGYITKEELEQALKEQGLYDANEIKDVITDADSNNDGRIDYSEFVAMMRKGSGCAEATNPKKKRRDLVGLRFMFGLAHCPG >ORUFI11G02150.1 pep chromosome:OR_W1943:11:1551547:1552437:-1 gene:ORUFI11G02150 transcript:ORUFI11G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRARPMTGLLLFTGVNLVLLNTITPVYDFVCFHPYWERRRERRQKEREALQANGSLQTAK >ORUFI11G02160.1 pep chromosome:OR_W1943:11:1553246:1555611:-1 gene:ORUFI11G02160 transcript:ORUFI11G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRERLFFGDINDAIAALTTTAADTGGFTHLLSVVSSASISFITDCRPGLSIPTEEVRRVVAGEEGAPPVSAVAPGRLLRVVERAGVGLRVTRMAVPLRDTEEENLLDHLEPCLDFIDEGRKEGNVLEGGERPCPLLCWGLKECYHHRCLSYENRTKISGRFDYSNKMEALESLKEVNESACPNDGQSYKIGEKIGSYVFEDDPGLSGQPNSSTQDLPNKQTQQTAYRCKKCRRIVAVQGNVVSHTPGEGESCFQWQNKRKGERSYSKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDISTT >ORUFI11G02160.2 pep chromosome:OR_W1943:11:1553246:1555611:-1 gene:ORUFI11G02160 transcript:ORUFI11G02160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRERLFFGDINDAIAALTTTAADTGGFTHLLSVVSSASISFITDCRPGLSIPTEEVRRVVAGEEGAPPVSAVAPGRLLRVVERAGVGLRVTRMAVPLRDTEEENLLDHLEPCLDFIDEGRKEGNVLGRKEGNVLVHCFAGVSRSATIIVAYLMRTEQKSLEEALESLKEVNESACPNDGQSYKIGEKIGSYVFEDDPGLSGQPNSSTQDLPNKQTQQTAYRCKKCRRIVAVQGNVVSHTPGEGESCFQWQNKRKGERSYSKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDISTT >ORUFI11G02170.1 pep chromosome:OR_W1943:11:1554997:1555960:1 gene:ORUFI11G02170 transcript:ORUFI11G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDVPLLPALIDEIKTRLEVLLLGVPQRHGHPRDPQPDPSALHHPQQPPGGHGGDRRRALLPGDHAADLLGGDGEARAAVGDEGDGCGADDGEEVGEAAGVRGGGGERGDGVVDVAEEEALADEVRHGDGRGGETWEGGIRWREVGGRWSKTLAEQRRGTLAGGAA >ORUFI11G02180.1 pep chromosome:OR_W1943:11:1559168:1562136:-1 gene:ORUFI11G02180 transcript:ORUFI11G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVVDLYHVLTAVVPLYVAMTLAYASVRWWRIFSPDQCSGINRFVALFAVPLLSFHFISTNNPFAMNLRFLAADTLQKLIVLALLALWCRLSARGSLDWLITLFSLSTLPNTLVMGIPLLKGMYAAAGAAAGASPSSPSPPSPTPSSWASRCSRGCTPPPPTSTPAASWCRSSCSSASSGTRSCCSSSSXDSGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLVMEQFPDTAASIVSFRVDSDVVSLAGGGGGAAELQAEAEVGDDGKMRVTVRKSTSSRSEAACSHGTQSHSQSMQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVGNGKQGDEEKGAAGGGGHSPQPVVGKRKDLHMFVWSSSASPVSERAAAAAAGAVHVFGGGGADHGDAKGAQAYDEYSFGNKNEKDGPTLSKLGSNSTAQLRPKDDGEGMAAAMPPASVMTRLILIMVWRKLIRNPNTYSSLLGVIWSLVSYRWGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNSLASYAMAVRFLVGPAVMAAASIAVGLRGVLLHIAIVQVSCKFRLLHQNASLTAKESIVTQQLSLNSQAALPQGIVPFVFAKEYNVHPNILSTAYDLFTIFSFSVLEKCPHFERDIRDADCSPHHIGLLHTAGALKSSPSLNSTVQELDVTDSLIRIYGSVLVAQILFG >ORUFI11G02190.1 pep chromosome:OR_W1943:11:1565104:1569657:-1 gene:ORUFI11G02190 transcript:ORUFI11G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLDEPLDAEKGEAALRRLRDADPALYLSPSADLAAAAREASKHLYASLVPFSPAQPPPLSNLLAGPAFDAEQIWSQIELLSRPLIPHLRRQLRRLEQQPPSQPPPRTESKSADAEEKSEEEEDGEGDEELEELDDVDDEEESEEEEKEELGLEGEAGNEVEDEFFKIKDLDKFMVKGEEAEYGGGAKQGKKKKKTENWMEEDSDSEDEEYLDEDEEDDDEHLDLEDFDDEEEEEEGAVGDIMKDDFSRYKDFFQEADDQKVRKKGGSKKVQFKDEPDKPEVDDKNDDGNVSQDEQGLSTHEKARLKMHAKIEEMEKANLEPSTWTMQGEVNASSRPKNSALEVDLDFEHNVRPSPVITEEVTASLEEMIKKRIIEGHFDDVEKPSPLQFKSPKEQKDLDESKSKKGLAELYEDDYAQKAGLAPAPLSISDELKNEAKTLFKRICLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEIAPVAVSDAAMLAPEEIFEGKGDVKEEAELTQAERKRRRANKKRRYAGSHKERPAKMQKD >ORUFI11G02200.1 pep chromosome:OR_W1943:11:1572183:1578122:1 gene:ORUFI11G02200 transcript:ORUFI11G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPNACRASADDRSTSNITMVATIRRSFTAVLIHGICKANILIPPAMEWIWSSELFHGRSLHQTTSNTRNELTCSIMSAAIQHVLWELPRFVKIVEVGPRDGLQNEKSTVPASVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVLQGIRHVPDVRFPVLTPNLRGFEAALAAGAKEVAVFASASESFSKSNLNCTIKESLVRYRDVVTSAKKHGMRIRGYVSCVVGCPVEGTIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSILAMLEAVMSFVPVDKLAVHFHDTYGQALANILVSLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >ORUFI11G02200.2 pep chromosome:OR_W1943:11:1572183:1578122:1 gene:ORUFI11G02200 transcript:ORUFI11G02200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPNACRASADDRSTSNYKHHNGGNNQTIFHSSSHSWHMQGQYTDSSCNGVDMEFRALPRKVLWELPRFVKIVEVGPRDGLQNEKSTVPASVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVLQGIRHVPDVRFPVLTPNLRGFEAALAAGAKEVAVFASASESFSKSNLNCTIKESLVRYRDVVTSAKKHGMRIRGYVSCVVGCPVEGTIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSILAMLEAVMSFVPVDKLAVHFHDTYGQALANILVSLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >ORUFI11G02200.3 pep chromosome:OR_W1943:11:1573005:1578122:1 gene:ORUFI11G02200 transcript:ORUFI11G02200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPNACRASADDRSTSNYKHHNGGNNQTIFHSSSHSWHMQGQYTDSSCNGVDMEFRALPRKVLWELPRFVKIVEVGPRDGLQNEKSTVPASVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVLQGIRHVPDVRFPVLTPNLRGFEAALAAGAKEVAVFASASESFSKSNLNCTIKESLVRYRDVVTSAKKHGMRIRGYVSCVVGCPVEGTIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSILAMLEAVMSFVPVDKLAVHFHDTYGQALANILVSLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >ORUFI11G02210.1 pep chromosome:OR_W1943:11:1576286:1591755:-1 gene:ORUFI11G02210 transcript:ORUFI11G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSAAAAAQTDAEREEALDRMLTRLALAEDARLAPLLARVLPYAITSLASATASVRKLPLKGTASPENIAAELKVAPAHSSLRVRLMGVFCRSIAAANAFPYTLQCIFGCIYVFLFAIGECNTHKVGDNVASKYQSISGSNDGLVFADFCFHTVLYQTPPQGVGCPAGLSVAQSDRVTGKQPLKGDTLTSRKLGILNVIEAMQLAPEIVYPLYLAAASDSQESVTKRGEELLKRKASAVNLEDSNLMKKLFTLFNGTASAENIAAELKVAPAHSSLRVRLMGVFCRSIAAANAFPYTLQCIFGCIYEFYGMEAATALATAYKGASVVILKDLEALLLENSQMEQSEVRFSAVRWATTLYDMKHCPSRYICMLGASDVKLDIREMALTGLNLLNDERESFAIATDSNYPDIADMVNYVYSQQPQLLHCDEQRNGKLLFPTKTFLAMIKFLMKCFQKSDGSDFLQEDLSNCPVSKLCIILEHAMSYEGSSELHALALKSLVDISSRQPKLVSSRYVNRLLWLRTLLGHVDADAREATSRLLGITSSALSSTAALDLLSELTSTFDQNRPSRFENYHGLLCAIGYITAGCLKESYITEEIVQKSIDVLVKVVESEGSALASTAMEALGHIGLHCLLPSINRNSSQGKMCFLALLIDVSKHLRKIWITTFRAMDDIKETVRNAGDSLCRAVSLLTVRLCDVSLTTSSDANETMNIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGPALKPHLSELVSCMLECLSSLEDQRLNYVEMHAGNAGIQTEKLESLRIAVAKDSPMWETLDICLKVVDKESLDLLVPRLAQMVKSAVGLNTRVGVASFITLLVQKVMVEIKPYAATLLRLLYSAVLEEKSSAAKRAFASSCAAVLKYASPSQAQKLIEDTTSLHLGEKNAQLSAAILIKSYLSNAADILSGYNAVVLPVITAFKDPGFFNIVFPMLYEVSNRSVICKTRNSSSLTASSSAEQDETEGVSVSLDKVLNCVASLITVAFLQDIINQRKNILEIILNSLSPEESWQIKLSSFLCIKELCYKFQNSDGNNTWPEETTYLVEELFHSTAPKVVDVIRLVKIAQVHTAASECLLELSKLYRDFPLVDRKGPKFSGELAELCESEKSEQAKAFLKQCMDILKDFEDATGLAMEMD >ORUFI11G02210.2 pep chromosome:OR_W1943:11:1582647:1591755:-1 gene:ORUFI11G02210 transcript:ORUFI11G02210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSAAAAAQTDAEREEALDRMLTRLALAEDARLAPLLARVLPYAITSLASATASVRKLPLKGTASPENIAAELKVAPAHSSLRVRLMGVFCRSIAAANAFPYTLQCIFGCIYVFLFAIGECNTHKVGDNVASKYQSISGSNDGLVFADFCFHTVLYQTPPQGVGCPAGLSVAQSDRVTGKQPLKGDTLTSRKLGILNVIEAMQLAPEIVYPLYLAAASDSQESVTKRGEELLKRKASAVNLEDSNLMKKLFTLFNGTASAENIAAELKVAPAHSSLRVRLMGVFCRSIAAANAFPYTLQCIFGCIYEFYGMEAATALATAYKGASVVILKDLEALLLENSQMEQSEVRFSAVRWATTLYDMKHCPSRYICMLGASDVKLDIREMALTGLNLLNDERESFAIATDSNYPDIADMVNYVYSQQPQLLHCDEQRNGKLLFPTKTFLAMIKFLMKCFQKSDGSDFLQEDLSNCPVSKLCIILEHAMSYEGSSELHALALKSLVDISSRQPKLVSSRYVNRLLWLRTLLGHVDADAREATSRLLGITSSALSSTAALDLLSELTSTFDQNRPSRPQTILLVMHPYLSRVTLIKEVIVKKHTQWLEKKL >ORUFI11G02210.3 pep chromosome:OR_W1943:11:1576286:1582566:-1 gene:ORUFI11G02210 transcript:ORUFI11G02210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYCGQHPKILELLPQIQEALTHLLGDQNDLTQDLASQGMSIVYELGDASMKEQLVHALVNTLSGAAKKKRAIKLMEDSEVFQEGTIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAAINSKRGAAFGFSKIAKQAGEALQPHLHTLIPRLVRYQYDPDKNIQITEEIVQKSIDVLVKVVESEGSALASTAMEALGHIGLHCLLPSINRNSSQGKMCFLALLIDVSKHLRKIWITTFRAMDDIKETVRNAGDSLCRAVSLLTVRLCDVSLTTSSDANETMNIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGPALKPHLSELVSCMLECLSSLEDQRLNYVEMHAGNAGIQTEKLESLRIAVAKDSPMWETLDICLKVVDKESLDLLVPRLAQMVKSAVGLNTRVGVASFITLLVQKVMVEIKPYAATLLRLLYSAVLEEKSSAAKRAFASSCAAVLKYASPSQAQKLIEDTTSLHLGEKNAQLSAAILIKSYLSNAADILSGYNAVVLPVITAFKDPGFFNIVFPMLYEVSNRSVICKTRNSSSLTASSSAEQDETEGVSVSLDKVLNCVASLITVAFLQDIINQRKNILEIILNSLSPEESWQIKLSSFLCIKELCYKFQNSDGNNTWPEETTYLVEELFHSTAPKVVDVIRLVKIAQVHTAASECLLELSKLYRDFPLVDRKGPKFSGELAELCESEKSEQAKAFLKQCMDILKDFEDATGLAMEMD >ORUFI11G02220.1 pep chromosome:OR_W1943:11:1591975:1598894:1 gene:ORUFI11G02220 transcript:ORUFI11G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEPLNRMVRMVARAFYDDVSLARDPKSARGDNCGLAVVVLEALGRRRQWVREEDLAKALKISSKQLRRILQFLFFEEEKLGEKMSSEGDWERATIGASANGAVGSSQNSEGRYSSKPMPFLGETEVEVNFLGSTGAQEGVESGMESIKPQHSWMNRKRTVLAGEHKEENNNTANLDQSSEAKSDKKQLSEEDEMKSIQEAYAKAYYEAIQKRQEDEGKRAIQEESLACISDQPFASDAQFERRLGAKSKRDDGGESGDDGIELKVRQPTGNIEEVYKFADLNVETQEVSYNDYEWEEG >ORUFI11G02230.1 pep chromosome:OR_W1943:11:1600677:1605406:1 gene:ORUFI11G02230 transcript:ORUFI11G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMEQLNRLVRMVARGFYEDVSLEEDQSKPNGSGSCGIVVVVLDALTRQQWVREEDLARSLMIPFNRLRQITHFLEQQKLVRRYYRKEAIHDASISTASPSHVSHDAHLVPTNVAGKLKMIMQPYCCLHYGQVYDVTLYRIHEMKKKLKDELDGNYMIQNYVCPNCERRYSSLNALDLVSHIDNNFHCKHCNEELSQDFGDLAWGGRGGDGDNARRDRHAKLKDFLQRMEHQMERLISQLNKSHEHQKGSASCIDADEEIFEFRVQDARPIPSFVIRKDINHTEDKEEQL >ORUFI11G02230.2 pep chromosome:OR_W1943:11:1600677:1605454:1 gene:ORUFI11G02230 transcript:ORUFI11G02230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMEQLNRLVRMVARGFYEDVSLEEDQSKPNGSGSCGIVVVVLDALTRQQWVREEDLARSLMIPFNRLRQITHFLEQQKLVRRYYRKEAIHDASISTASPSHVSHDAHLVPTNVAGKLKMIMQPYCCLHYGQVYDVTLYRIHEMKKKLKDELDGNYMIQNYVCPNCERRYSSLNALDLVSHIDNNFHCKHCNEELSQDFGDLAWGGRGGDGDNARRDRHAKLKDFLQRMEVAPFFARRNKHLIFCTSNVNDHQMERLISQLNKSHEHQKGSASCIDADEEIFEFRVQDARPIPSFVIRKDINHTEDKEEQL >ORUFI11G02230.3 pep chromosome:OR_W1943:11:1600677:1604475:1 gene:ORUFI11G02230 transcript:ORUFI11G02230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMEQLNRLVRMVARGFYEDVSLEEDQSKPNGSGSCGIVVVVLDALTRQQWVREEDLARSLMIPFNRLRQITHFLEQQKLVRRYYRKEAIHDASISTASPSHVSHDAHLVPTNVAGKLKMIMQPYCCLHYGQVYDVTLYRIHEMKKKLKDELDGNYMIQNYVCPNCERRYSSLNALDLVSHIDNNFHCKHCNEELSQDFGDLAWGGRGGDGDNARRDRHAKLKDFLQRMEHQMERLISQLNKAVHHALMPMKRFLNFVCKMQDRYLHLSLGKISTIRKIRKSSYEKYR >ORUFI11G02240.1 pep chromosome:OR_W1943:11:1609532:1610543:1 gene:ORUFI11G02240 transcript:ORUFI11G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVKRTLVVPPPPTCETEEVPLTVFDLVAPTYHVTVLFAFSPPNPTTRALLDALSAMLPHFPLLTARLERRGARRRPFFVTGRGGAGALVVEAEGKYTTFETVSAHVWKKITAVRGLDAGVRTSVNVSVNGRARLGTAAVPNGFFGNLIITASSGATARELTTGTLADAAALVRAGIRAVDRRYFQSFVDFGALHCDGDGDEDEPVEPVNVDEPGVLSPDVDSDSWLHLELHRLDLGLGGRLAGILPAKVPEDGVVVVMPSLRKGGGVEVFVALWEKHAKELTSIAYTLD >ORUFI11G02250.1 pep chromosome:OR_W1943:11:1612873:1613364:1 gene:ORUFI11G02250 transcript:ORUFI11G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVWLDNWITQEHFLNLITRQRCSTEFLPIDAEEILKIRIHKRPVDDVVAWHFEKTVSSLRRDRPSYKYNWQAEVPPKVKILHGGWLVMNTVATNTFSGSVMEDAMEDNLSCSG >ORUFI11G02260.1 pep chromosome:OR_W1943:11:1614855:1618314:-1 gene:ORUFI11G02260 transcript:ORUFI11G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGPSLPGAREGGGYDNDSRVEISITTGTGGHQERGASSSAGGLREGGAAREVERGGGDVGLTATAISGRRRGDGSLAAGSSIAGGHGGGTADDEPHSEGTVAGAVQGHLAGVGSLPTPTDKASRFEGRYEDDYNPYVDLYKFGRPAPDFWYSVWMRGPLVLHDKKLLLASDSLGVHRGGEIPDLADAITDVLDNHPGPVSYFRVDSTTWTDDNQLVKWFETLSNKSVVEITLLNIGCPVSTILPISELLSPNLTTLRVGFMKILDSDLLSFEYSSLSVLQLIGCSYRGADLNYLVSTSNTLIELNIGYSMEDLTVNSQSLQELHIWNSRGGFLSVEYAPNLKLLTTGLRPKKGKSFISITLKGAPSLREIDYLLLPYHRVTLNDTMISKEIRCVSSIRQLQVGVNFAERSHRASLRNILECFPELSILVIWRMDGIVYDKGSDVLFDSSFVGLGSVSCVKTRIQYFELEGFRGGPAEMDIARGILRHASRLAKFILSHHKNYSEEDLVEQLEEIKTCIRASEDCIIEVHPLKDSPY >ORUFI11G02270.1 pep chromosome:OR_W1943:11:1623436:1624068:1 gene:ORUFI11G02270 transcript:ORUFI11G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRAAQLAAPLPDPYSAETFEDLLRELGVDPSIHTIVRSAGRWMDPAAAAARVPVRFHRTLQRLGIDPNSDARSIRDMLQEFYRVVYHGEVYWAGRVIRPRPSSMPTPVIGRRRRAADGDAPMQPPSKYARVHAVSRDVLLGLALTKACDARQEECAVCLSDFEEKDRLRTMPCNHSFHENCLFRWLRDSCLCPLCRYALPKQQQVQSC >ORUFI11G02280.1 pep chromosome:OR_W1943:11:1624787:1626392:1 gene:ORUFI11G02280 transcript:ORUFI11G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPLASIHRSTCRQPSLLPSRSMAMDTYYYSMLFVLPPILYMSYHLTRILADKKKPTTHGLKAHPLLGHLPAFVNNSHRFLDWTTELIVGSPEMRMGFWIPGMRTGIITGNPADVEHILRTNFANYPKGEHAIGMLEDFLGHGLFNSDGEQWLWQRKNASYEFSNRSLRRFVVDVVQAEIADRFLPLLRRAAGDGGGDGDVVVLDLQEVLQRFGFDTICMVAFGHDPRCLADGGVMEDARSEFMHTFGEAQDLVVGRFFDPIEVSWKIKKWLNVGTERRLRKAIADVHAFAMDIVRARRQSASVNDRDDVLSRFVASDEHSDEVLRDIVLSFLIAGRETTASGLSWFFWFLSSRPDVVARIADEVRAVREATGTRPGEPFGFDALREMHYLHAALTESMRLYPPAPIDSQSMCLGKEMAYVQMKSIVANVLEEFEVDVVKDVAGGGVPEHVLSVTLRMKGGLPVKIRRKTEAY >ORUFI11G02290.1 pep chromosome:OR_W1943:11:1624851:1646630:-1 gene:ORUFI11G02290 transcript:ORUFI11G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNFGEALDLVIGRFMDPIEVSWKIKKWLNIGTERRLKKAIADVHAFAMDIKAIADVHAFAMDIVRARRQSASVKDRDDVLSRFVASDEYSDEVLRDIVLSFLVAGRETTSSGLTWFFWLLSSRPDVVARIADEVRAVRKATGTRPGEPFGFDTLREMHYLHAALTESMRLYPPGPIERKATLADDSVEMEFYAYSMLLILPLILYMSYHLTRTLAEKKPTTHGLKAHPLLGHLPAFVKNSHRFLDWSTELIAGSPEMRIGLWIPGMRSGIVTGNPADVEHILRTNFANYPKGQHAIGMLEDFLGHGLFNSDGEQWLWQRKNASYDAYAMGRLAAIWGEDCMEYRPERWLGDDGAFQPASPFRFTVFHAGPRMCLGKEMAYVQMKSIEMAYVQMKSIVANVLEELVVDVVKEVAGGGAPEHVFSISLRMKGGLPVKIRRKGNEIEIPTFVIEELCWHADLSIQLTQIIPDTSIRVFHISSTTGRWILERLFRPRIQAAGPAGRRWLRLAATLRPISPATAAVRYPLFPLACGREVSAVTMAKEVDRFVELVVVRHGETSWNASRIVQGQMDPELNEIGKQQAVVVARRLAREARPAAIYSSDLKRAAETAEIIAKACDVSNLMLTEALRERHMGYLQGLMWDDAVNKSPSVFKGFANFEVKNGLDFDDRNHELPRVIVVGHGAAILELCRHTDPPNRSIRRKIPNTSLNIFRISGVTGRWILERCGDVGHLSENGFLENHDELIMNYSLFVELAVYQSANQSQKREKWLIRMSLRLRSGSLPLLPRITPLPRRRLRRSHSPNPLISPAVAASLAGVLATRSTNPTWARSLAALLPSPLSDAHLAAAVSSLPDPDLALALLSWSQSPDHHEALPGPATPLAHSALLRLLASSRRFDAVDDTLQSMSLAGAAPTRACLGALVAAYADAGMLGKATDMCERLREQYGSLPEVTHCNRLLKLLVEQRRWDDARKLYDEMLGEDSGADNYSTCVLVRGLCLEGRVEEGLKLIEARWGAGCIPHVVFYNVLIDGYCRRGDMGRGLLLLGEMEAKGFLPTLVTYGSLINCLGKKGDLEKIGSLFLEMRKRGLSPNVQIYNSVIDALCKCWSATQAMVILKQMFASGCDPDIITFNTLITGLCHEGHVRKAEHFLREAIRRELNPNQLSYTPLIHGFCMRGELMAASDLLMEMMGRGHTPDVVTFGALIHGLVVAGKVSEALIVREKMTERQVFPDVNIYNVLISGLCKKHMLPAAKNILEEMLEKNVQPDEFVYATLIDGFIRSENLGDARKIFEFMEHKGVRPDIVSCNAMIKVNGLTSCTPCVINSICCNTSEVHGKDALLVVFKKLVFDIGDPRNSAYNAIIFSLCRHNMLREALDFKNRMAKKGYVPNPITFLSLLYGFCSVGKSVNWRTILPNEFQQEEFEIIFRYKFLFDQYATESVCCEVSRQLEVERAGTFIVTVADLQITTPSVRPSLSSPPFILSVTESTCSGTPPPATSLTTSTSNSSSTFATMDFICTYAISFPRHILGPAWNTPARRSVPSGSVSSAAHDCESIGAGGYSRIDSCISRSASNPNGSPGRVPVASLTARTSSAMRATTSGRDDKNQKNQLSPDAVVSRPAMRKLRTMSRRTSSLCSSLATNLDRTSSLSFTDALWRRARTMSMAKAWTSAMAFLRRRSVPTLSHFLIFQETSMGSKKRPTTRSCASPKVCMNSDLASSMTPPSARHRGSCPNATIQMHLLQVEDDDIAIATTITGGAAEQREEAVGDLGLHDVDDEPAQGAVAELVAGVLALPEPLLAVGVEEAVAEEVLEHANGVLPLGIVGEVGAQDVLDVGRVAGDDAGAHPGDPEAHPHLRAADDELRRPVEEAVAVVDERREVAEQRVRLEAMGGGLLLVGEDSGEVVGHVEYRREDEEHGIVVSVHCH >ORUFI11G02300.1 pep chromosome:OR_W1943:11:1648258:1651108:-1 gene:ORUFI11G02300 transcript:ORUFI11G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCRIGSSAQAGSCCCVRWVVIGHGESWEVEWRGSRWAAGLVPPTLCGPQQRRRWWSRCFALKFEAWQIADASTNHNDSMSERTIPPPVSSHGEDFAEVVVVRHGETSANALCIIQGQTDIELNEAGRQQAVMPVAVYSSDLKRAAETAQTIATACNVSNLVLSPALRERHMGDLHGLKFDDAVRSKPDAYKAFSSEDRSQEIPGGGESLDQLSERCVSYLNTIAGKHKGERVIVVSHGASIEELCRHADPTSSVRRRIPNTSICVFNISGSTGHWILERFGDVAHLNEDDFP >ORUFI11G02300.2 pep chromosome:OR_W1943:11:1648258:1651108:-1 gene:ORUFI11G02300 transcript:ORUFI11G02300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADCRIGSSAQAGSCCCVRWVVIGHGESWEVEWRGSRWAAGLVPPTLCGPQQRRRWWSRCFALKFEAWQIADASTNHNDSMSERTIPPPVSSHGEDFAEVVVVRHGETSANALCIIQGQTDIELNEAGRQQAVMPVAVYSSDLKRAAETAQTIATACNVSNLVLSPALRERHMGDLHGLKFDDAVRSKPDAYKAFSSEDRSQEIPVTFILNFLTNFLGLIMIGLTLVDGGGESLDQLSERCVSYLNTIAGKHKGERVIVVSHGASIEELCRHADPTSSVRRRIPNTSICVFNISGSTGHWILERFGDVAHLNEDDFP >ORUFI11G02310.1 pep chromosome:OR_W1943:11:1652993:1653541:1 gene:ORUFI11G02310 transcript:ORUFI11G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASISFALAPASSPDAASFAPTAADVGGAVCLGYGIAIAVGVLVFISTVMLASYICVRAKAGAAAVLLADDDGGGAPAASAVVVLGLDGPAIDALYPKLLHVGVGDDDDACAGAQCAICLGEFVAGDALRRGHGCGHRFHAECAERWLRVSATCPVCRDSPLPSPMATPLAEAVPLAAHAR >ORUFI11G02320.1 pep chromosome:OR_W1943:11:1657943:1662542:1 gene:ORUFI11G02320 transcript:ORUFI11G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTTAPETDPSKTVVEEVTGWLRLYSDGTVERLTPPDAEPFTVIVPPYTEPRNGVTVHDVTTARGVDVRLYLPAEPPAAAPRPRRRRPLLLHLHGGGFCLSRPSWALYHNFYAPLAAKLDVAGIVSVFLPLAPEYRLPAAIDAGHAALLWLRDVACGDEGNLDPAVERLRDEADFSRVFLIGDSSGGNLDDGAGADLHAVRLAGGVLLNPGFAREEKSRSELENPPSLFLTEDMVDKLLALGVPLGMNKDSPYTSPSLVAEAVARLHMPPMLLVVAEKDLLHDPQVEYGEAMARVGKTVETVVSRGAVAHVFYLNFFAVDIACSFHIFTATYSIIWPAAAMATLPQMAATKERQEAANPTTTTRTLVESVTNWIRVYSDGSVDRLGPPEAAAFMVLVPPYDDPRDGVTVHDVATDHGVDVRLYLTTTAPARRRPVLVHFHGGGFCLSHAAWSLYHRFYARLTVDLDVAGIVSVVLPLAPEHRLPAAIDAGHAALLWLRDVASGGSDTIAHPAVERLCGAADFSRVFLIGDSAGGVLVHNVAARAGEAGAEALDPIRLAGGVQLHPGFILPEKSPSELENPPTPFMTQETVDKFVVLALPPTKDTEKFICRADVYGSEPSDLAGKFAPVPRCEKGGRLFFTSCKRHKGSSTRKERTAGDGTWVRQNSKGVKNKAGVKVGETQNFRFKKDGSYTDWLMEEHHCCRQQAVAGDEEPVICRMYVSPRAPPDSAARQESAAFVQQQPAPQVSEPPCDKKKRDDVAEEAPAAA >ORUFI11G02330.1 pep chromosome:OR_W1943:11:1662791:1665475:-1 gene:ORUFI11G02330 transcript:ORUFI11G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKILRGDNVMIIRGKDKGESGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVLDPVTGKPCKIGYKYLEDGTKVRFARGMNASGAVIPRPEILKERRKPRPTSPGPKDTPIEHVLEKTYDAKAGIGMPDL >ORUFI11G02340.1 pep chromosome:OR_W1943:11:1667937:1668836:1 gene:ORUFI11G02340 transcript:ORUFI11G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGDNAAKAPAAAAGSNGKGTAASMDVVSSSSSSSPAPAPSVLKSVLLSYAYVSVWITLSFSVIVYNKYILDPKMYNWPFPISLTMIHMAFCASLAVVLVRVLRVVAVPASPPMTPSLYAASVVPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVAFRTDSFRRASMLNMLGISAGVAVAAYGEARFDAFGVMLQLAAVAAEATRLVLIQILLTSKGMSLNPITSLYYIAPCCLVFLTLPWYFVELPRLRAAAGAAARPDVFVFGTNSLCAFALNLAVFLLVGXRCR >ORUFI11G02350.1 pep chromosome:OR_W1943:11:1669892:1676975:1 gene:ORUFI11G02350 transcript:ORUFI11G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYVSWAVYGLNLAGHIVHSPHLGGPSRPNKPEVFGLDADGLNRLAGGEATRLFPISAIGTTTLPQLPKLPRNFELFKMPRRTDNAASANSVEPDKSEECLEFDDEEEEVEEEEIEYEEIEEEIEEEEEVEEDEDVVEEVEEVDEEEDEEEDEESDETEGVSKTKGVHQKDVTEKGKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVRMMRGKDDSRGYAFVNFRTKGLALKVVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPHSWTDDDFRKAVEEVGPGVLKADLMKVSSANRNRGYGFVEYYNHACAEYARQEMSSPTFKLDSNAPTVSWADPKNNDSASTSQVKSVYVKNLPKNVTQAQLKRLFEHHGEIEKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGQVLDCSLAKPPAADKKDDRVPLPSSNGAPLLPSYPPLGYGIMSVPGAYGAAPASTAQPMLYAPRAPPGAAMVPMMLPDGRLYRQFTSVSHMEYEISGQESEVDSEVWLGWVAQRRVCSRLETRLPLPKLNTSCCLVTLSRGGRASNLSRGRRLRPVLLLDEPPPPGNLVQGGAGPPLQIPVDRESTKHRTHGDDTAIRRSWVLAAGERSYLV >ORUFI11G02350.2 pep chromosome:OR_W1943:11:1669892:1676975:1 gene:ORUFI11G02350 transcript:ORUFI11G02350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYVSWAVYGLNLAGHIVHSPHLGGPSRPNKPEVFGLDADGLNRLAGGEATRLFPISAIGTTTLPQLPKLPRNFELFKMPRRTDNAASANSVEPDKSEECLEFDDEEEEVEEEEIEYEEIEEEIEEEEEVEEDEDVVEEVEEVDEEEDEEEDEESDETEGVSKTKGVHQKDVTEKGKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVRMMRGKDDSRGYAFVNFRTKGLALKVVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPHSWTDDDFRKAVEEVGPGVLKADLMKEMSSPTFKLDSNAPTVSWADPKNNDSASTSQVKSVYVKNLPKNVTQAQLKRLFEHHGEIEKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGQVLDCSLAKPPAADKKDDRVPLPSSNGAPLLPSYPPLGYGIMSVPGAYGAAPASTAQPMLYAPRAPPGAAMVPMMLPDGRLYRQFTSVSHMEYEISGQESEVDSEVWLGWVAQRRVCSRLETRLPLPKLNTSCCLVTLSRGGRASNLSRGRRLRPVLLLDEPPPPGNLVQGGAGPPLQIPVDRESTKHRTHGDDTAIRRSWVLAAGERSYLV >ORUFI11G02360.1 pep chromosome:OR_W1943:11:1678218:1679614:-1 gene:ORUFI11G02360 transcript:ORUFI11G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRSTTSPLACLLLRRAAFPHFFSAGTRGAFVSPWNASSSSPLSIIFSNISPTPSSSSSSSSGVAISLLALPTSASSSSPPCLLPFRPLPLPLPCIIKAAESSMGALFTSPAMPEEEERIWANGCCASRRAGWSGYRQKNMSSSMSSSMRIREMYGSTTSSAAAAASDGGAAGPMNSSNAAPQDELLSSLEWHMIHKRMAKEHRRGFDTIATLVA >ORUFI11G02360.2 pep chromosome:OR_W1943:11:1678044:1678271:-1 gene:ORUFI11G02360 transcript:ORUFI11G02360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEHRRGFDTIATLTIWKERNNRVFNQKSRTWAEIARAMTGEADLWQLARAAIPAMATPMSGGRSPHSLGD >ORUFI11G02370.1 pep chromosome:OR_W1943:11:1679082:1681041:1 gene:ORUFI11G02370 transcript:ORUFI11G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAPPSEAAAAADDVVLPYISRILMEEDIDDDMFFCLYPDHPALLEAQQPFAQILSSSSGIAGEVNSAPMEDSAALMMQGSGNGRGRKGSKHGGDELEAEVGRASKLMATPEEEDDDDDGVGEMLEKMMLNGDEDEAFHGETNAPRVPAEKKCGKAARRRRRQAKGEVVDLRELLMSCAQAAVASGNRRSAGELLEQIKRHSSPTGDATERLAHYFADGLEARLAGAASLEHRLLASAEERASAMELLEAYQVFMAACCFKWVAFTFANMAILRAAEGRSRLHIVDYGGQYHGLQWPSLLQRLAEREGGPPEVRMTLVGHPQPGFRPARRLERTGRRLSNCARAFGLPVQDVVGVDPDDEAAVVVNDVLSLGTLMDESGVFDDPSPRDTVLGSIRDMRPAVFVQAVVNGAHGAPFFPTRFREALFFFSALFDMLGATTPEEGSHLRVVLERDVLRRAAVGVIAGEGAERVERPETYRRWQARNRRAGLRQAAVEGDVVEAVRRRVRRRHHEEFVIEEDAGWLLQGWKGRILYAHSAWVVAEDGAH >ORUFI11G02380.1 pep chromosome:OR_W1943:11:1680132:1686722:-1 gene:ORUFI11G02380 transcript:ORUFI11G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLFSRGLFLPSPFPLRRGWAGLELGYFNPARRFLACHRLYVSGRSTRSAPSPAITPTAARLSTSLSSTTRRWLPSSGVVAPSMSNSAEKKKSASRNLVGKKGAPWAPFTTAWTNTAGRMSRMLPRTVSRGLGSSNTPLSSIRVPRLSTSFTTTAASSSGSTPTTSSALLSRRPVLSSRRAGRNPGWGWPTSVILTSGGPPSLSASRCSKDGHWRPWY >ORUFI11G02380.2 pep chromosome:OR_W1943:11:1681558:1686774:-1 gene:ORUFI11G02380 transcript:ORUFI11G02380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQARSSPQTLIAVNCAIDGFLPLLARVISSFPFPPPPRVGWAGKSVGTTDYLLLDLFSLRRNVGVFCLG >ORUFI11G02380.3 pep chromosome:OR_W1943:11:1680132:1680701:-1 gene:ORUFI11G02380 transcript:ORUFI11G02380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSAEKKKSASRNLVGKKGAPWAPFTTAWTNTAGRMSRMLPRTVSRGLGSSNTPLSSIRVPRLSTSFTTTAASSSGSTPTTSSALLSRRPVLSSRRAGRNPGWGWPTSVILTSGGPPSLSASRCSKDGHWRPWY >ORUFI11G02390.1 pep chromosome:OR_W1943:11:1687016:1697562:-1 gene:ORUFI11G02390 transcript:ORUFI11G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSVAALSSLSTQPKEPKASLAPPAPPLATTGAARLFLLGVEAATMVFVYGTLKRGFPNHPLLAASGSPLVGAASTATPASLVVGPYSVPFLLPRPSSSSGSHLVSGELYAVSPRALVDLDALEGTHLGVYERRKVTVVVEGGSGEVVEAEAYFAHTSYMEALWLRCGGEAAEIGEYTMEHAAKDLDPQLQVALKGYLVARGHGTWSKSQLHDWLRLTTNHRGLGRRVKRVRFG >ORUFI11G02390.2 pep chromosome:OR_W1943:11:1687016:1692391:-1 gene:ORUFI11G02390 transcript:ORUFI11G02390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVYGTLKRGFPNHPLLAASGSPLVGAASTATPASLVVGPYSVPFLLPRPSSSSGSHLVSGELYAVSPRALVDLDALEGTHLGVYERRKVTVVVEGGSGEVVEAEAYFAHTSYMEALWLRCGGEAAEIGEYTMEHAAKDLDPQLQVALKGYLVARGHGTWSKSQLHDWLRLTTNHRGLGRRVKRVRFG >ORUFI11G02390.3 pep chromosome:OR_W1943:11:1687098:1692391:-1 gene:ORUFI11G02390 transcript:ORUFI11G02390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVYGTLKRGFPNHPLLAASGSPLVGAASTATPASLVVGPYSVPFLLPRPSSSSGSHLVSGELYAVSPRALVDLDALEGTHLGVYERRKVTVVVEGGSGEVVEAEAYFAHTSYMEALWLRCGGEAAEIGEYTMEHAAKYVPPSCRSPDASGLMHAIHRFGSAVTSCTKRISGGKRPWNME >ORUFI11G02390.4 pep chromosome:OR_W1943:11:1688106:1692391:-1 gene:ORUFI11G02390 transcript:ORUFI11G02390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVYGTLKRGFPNHPLLAASGSPLVGAASTATPASLVVGPYSVPFLLPRPSSSSGSHLVSGELYAVSPRALVDLDALEGTHLGVYERRKVTVVVEGGSGEVVEAEAYFAHTSYMEALWLRCGGEAAEIGEYTMEHAAKYVPPSCRSPDASGLMHAIHRFGSAVTSCTKRISGGKRR >ORUFI11G02390.5 pep chromosome:OR_W1943:11:1688021:1692391:-1 gene:ORUFI11G02390 transcript:ORUFI11G02390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVYGTLKRGFPNHPLLAASGSPLVGAASTATPASLVVGPYSVPFLLPRPSSSSGSHLVSGELYAVSPRALVDLDALEGTHLGVYERRKVTVVVEGGSGEVVEAEAYFAHTSYMEALWLRCGGEAAEIGEYTMEHAAKDLDPQLQVALKGYLVARGVNSKLASSLSKLAQAHFTTIWLRRSGGNI >ORUFI11G02400.1 pep chromosome:OR_W1943:11:1699637:1702997:-1 gene:ORUFI11G02400 transcript:ORUFI11G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLAASALRRGGANDGGVLAAVRAEIAHELSSSPSSSPPSLQSQDIPDFSTVSDAPRGQEVLLRRRDASEEVLVSAVLAPLRFEGEEPLPRDALMKVFVSKPDVKPVMRFDCRAFADEGDGGSADYDVTAVCYHPFAGECDAGEDKYEGPEFRGEARRPILLSQSLRACWIRSASLIFPAAVGKAAIAPPSYPASAFFPEAARVLAAPVPSPPSQVRSPSTTTASIQPATRFASNRPSVLSRLAAAFPYVGLVPPCPGHPSHLVTCRQQRPDATSQLPPEMPRDLANHQVKRGFPEMSPC >ORUFI11G02400.2 pep chromosome:OR_W1943:11:1698789:1702997:-1 gene:ORUFI11G02400 transcript:ORUFI11G02400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLAASALRRGGANDGGVLAAVRAEIAHELSSSPSSSPPSLQSQDIPDFSTVSDAPRGQEVLLRRRDASEEVLVSAVLAPLRFEGEEPLPRDALMKVFVSKPDVKPVMRFDCRAFADEGDGGSADYDVTAVCYHPFAGECDAGEDKYEGPEFRNLDPQLQVALKGYMVARGVNSKLASLLHHHLVEKERWQYMNWLKTLEEMFSKDH >ORUFI11G02400.3 pep chromosome:OR_W1943:11:1698789:1699514:-1 gene:ORUFI11G02400 transcript:ORUFI11G02400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRMTGCHARREYVTCHGGGHSAQRINLDPQLQVALKGYMVARGVNSKLASLLHHHLVEKERWQYMNWLKTLEEMFSKDH >ORUFI11G02410.1 pep chromosome:OR_W1943:11:1716929:1719210:-1 gene:ORUFI11G02410 transcript:ORUFI11G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCCSTTTHTADDTANAAYYHGDADAIKPDAYDGGDYGIGFNADGELVLCGNGHGNRWPLDGKSSLS >ORUFI11G02420.1 pep chromosome:OR_W1943:11:1722786:1730014:1 gene:ORUFI11G02420 transcript:ORUFI11G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSEGGEDETPLQVKLNGVATVIGKIGLVFAILTFLVLLVRFLIDKGMTVGLLKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWISEVSKSVTSNTISGELNSVVSSRTLSLLLQGIFENTSAEVVKEKDGKQTVLGTPTERAILEFGLGLEGVHDAEYSACTKVKVEPFNSVKKKMAVLISLPSGTSRWFCKGASEIILQMCDMMVDGDGNAIPLSEAQRKNILDTINSFASDALRTLCLAYKEVDDDIDDNADSPTSGFTLIAIFGIKDPVRPGVKDAVKTCMSAGITVRMVTGDNINTAKAIAKECGILTEDGVAIEGPEFHSKSPEEMRDLIPNIQVMARSLPLDKHTLVTNLRGMFDEVVSVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRKGESFITKVMWRNIMGQSLYQLFVLGALMFGGESLLNIKGADSKSIINTLIFNSFVFCQVFNEINSREMQKINVFRGIISNWIFIAVIAATVAFQVVIIEFLGTFASTVPLNWQHWLLSVGLGSISLIVGVILKCIPVGSGETSATPNGYRPLANGPDDI >ORUFI11G02420.2 pep chromosome:OR_W1943:11:1718268:1722893:1 gene:ORUFI11G02420 transcript:ORUFI11G02420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDMMVDGDGNAIPLSEAQRKNILDTINSFASDALRTLCLAYKEVDDDIDDNADSPTSGFTLIAIFGIKDPVRPGVKDAVKTCMSAGITVRMVTGDNINTAKAIAKEKIWVQSSRPHYISLSLKQLQTILKKDEPLESDCFNMAIRKFMYEKIEMIHKTKEAISNHCLDLQFWSATGFGKDPVHHDNINLAETVGSWSEIHYKLSQCKALLCSTAHPSEDGHTKSSKISCPYHTKK >ORUFI11G02420.3 pep chromosome:OR_W1943:11:1722786:1725776:1 gene:ORUFI11G02420 transcript:ORUFI11G02420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDRYLQEHFDVPAKNPSEEAQRRWRQAVGTIVKNRRRRFRWVPDLDRRSLDKAKVRSTQEKIRVALYVQQAALIFSDGAKKKEFKLTEDIIKARFSINPDELALITSKHDSKALKMHGGVDGISKKVRSSFDHGICASDLDTRQNIYGVNRYAEKPSRSFWMFVWDAFQDMTLIILMLVWQQKDGQRACMMAWV >ORUFI11G02430.1 pep chromosome:OR_W1943:11:1729148:1730570:-1 gene:ORUFI11G02430 transcript:ORUFI11G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDDKKQKGPDVTVPSGYFFVPKPEQLIRDYLNHWITGRPSEELRDIVREADGYGDRQAFEYRAPGNKKTDWLMEEIASNLPAAITDEGIMVICKVYLSPRAKEATANEEERQETNVVPGPKRLREAEATGYDAPAPPQPDVGYSYSGGGETSQATASMDYCCSTTTHTADDTANAAYYHGDADAIKPDAYDGGDYGIGINADGELVLCGNGHGGIGTQGQMPLAMQNTNGEMTLFSPMNGYGVGFNEEVRQEPQVEGEVEMDNFFNDLFVDFDGAGDLNPNPNGGGDSHGHILCE >ORUFI11G02440.1 pep chromosome:OR_W1943:11:1732859:1735595:-1 gene:ORUFI11G02440 transcript:ORUFI11G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPPNPGSGYPYGGAGGYGAPPPPYGSSPAPSAPPYGEKPPKEALRQRRRLRPQSYGGGYGAPPSSQPYGAPYGAPPPSSAPYGAPGGYGSPFASLVPSAFPPGTDPNVVACFQAADRDGSGMIDDKELQSALSGYSQSFSLRTVHLLMYLFTNTNVRKIGFNRIVENPSSSCVVIMVWSTLIAFLVIVQAISLSNHLLPLFYNMFLAHFEAITKRIICCLTVKGLTEKFKEKDTAFSGSATFTYEAFMLTVLPFLIA >ORUFI11G02450.1 pep chromosome:OR_W1943:11:1742022:1746354:1 gene:ORUFI11G02450 transcript:ORUFI11G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPERSGASKEPMATVVRACMPLPPAAVASSSAAPSTDAQAQRRSSSSSARVLVLGGTGRVGGSTATALSKLRPDLNILIAGRNLEKGESLASKLGDESEFVQVDIRDRNMLEEVLQDVDLVVHAAGPFQRENECTVLQAAIATKTIRGEQRVSMNKQKIAVSQLLQLPASILENLPEVKSAYKVLGVPTVSARFGTAPFFWNWVMQAFANFLPVEFLRDKNKVLKLVGFVDPFVRAIDGIAGERVSMRVDLDCSNGKNTIGLFSHRKLSVSVGYATAAFVLAVLEGSTQPGVWFPEEPEGVAIESRKVLLERASQGTTIFVMNKPSWMIETDPKEVGLGIYV >ORUFI11G02450.2 pep chromosome:OR_W1943:11:1742022:1746354:1 gene:ORUFI11G02450 transcript:ORUFI11G02450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPERSGASKEPMATVVRACMPLPPAAVASSSAAPSTDAQAQRRSSSSSARVLVLGGTGRVGGSTATALSKLRPDLNILIAGRNLEKGESLASKLGDESEFVQVDIRDRNMLEEVLQDVDLVVHAAGPFQRENECTVLQAAIATKTIRGEQRVSMNKQKIAVSQLLQLPASILENLPEVKSAYKVLGVPTVSARFGTAPFFWNWVMQAFANFLPVEFLRDKNKVLKLVGFVDPFVRAIDGIAGERVSMRVDLDCSNGKNTIGLFSHRKLSVSVGYATAAFVLAVLEGSTQPGVWFPEEPEGVAIESRKVLLERASQGTTIFVMNKPSWMIETDPKEVGLGIYV >ORUFI11G02450.3 pep chromosome:OR_W1943:11:1742022:1746354:1 gene:ORUFI11G02450 transcript:ORUFI11G02450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPERSGASKEPMATVVRACMPLPPAAVASSSAAPSTDAQAQRRSSSSSARVLVLGGTGRVGGSTATALSKLRPDLNILIAGRNLEKGESLASKLGDESEFVQVDIRDRNMLEEVLQDVDLVVHAAGPFQRENECTVLQAAIATKTIRGEQRVSMNKQKIAVSQLLQLPASILENLPEVKSAYKVLGVPTVSARFGTAPFFWNWVMQAFANFLPVEFLRDKNKVLKLVGFVDPFVRAIDGIAGELLEGSTQPGVWFPEEPEGVAIESRKVLLERASQGTTIFVMNKPSWMIETDPKEVGLGIYV >ORUFI11G02450.4 pep chromosome:OR_W1943:11:1742022:1746354:1 gene:ORUFI11G02450 transcript:ORUFI11G02450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPERSGASKEPMATVVRACMPLPPAAVASSSAAPSTDAQAQRRSSSSSARVLVLGGTGRVGGSTATALSKLRPDLNILIAGRNLEKGESLASKLGDESEFVQVDIRDRNMLEEVLQDVDLVVHAAGPFQRENECTVLQAAIATKTIRGEQRVSMNKQKIAVSQLLQLPASILENLPEVKSAYKVLGVPTVSARFGTAPFFWNWVMQAFANFLPVEFLRDKNKVLKLVGFVDPFVRAIDGIAGELLEGSTQPGVWFPEEPEGVAIESRKVLLERASQGTTIFVMNKPSWMIETDPKEVGLGIYV >ORUFI11G02460.1 pep chromosome:OR_W1943:11:1746643:1749737:-1 gene:ORUFI11G02460 transcript:ORUFI11G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPVSSTTSTCRPCLPAQVSASKPSTSSSPGTGVLVGVPRERGSSVSKAAIRGARLEAAARCSLVRQRPMLLATVAVGSLVAAGAANATEIGDSLLGSSGLALADLSVGDWFGNLLYSAGQQANEAVQDQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKDRSEDPIVGGSLLLTYTTGYVAPLLIAASFAGALQSLLSFRRYSAWINPISGAFLLGGGVYTLLDRLFPATSMVM >ORUFI11G02460.2 pep chromosome:OR_W1943:11:1746643:1749737:-1 gene:ORUFI11G02460 transcript:ORUFI11G02460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPVSSTTSTCRPCLPAQVSASKPSTSSSPGTGVLVGVPRERGSSVSKAAIRGARLEAAARCSLVRQRPMLLATVAVGSLVAAGAANATEIGDSLLGSSGLALADLSVGDWFGNLLYSAGQQANEAVQDQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKDRSESLLSFRRYSAWINPISGAFLLGGGVYTLLDRLFPATSMVM >ORUFI11G02470.1 pep chromosome:OR_W1943:11:1749457:1755219:1 gene:ORUFI11G02470 transcript:ORUFI11G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWNHHRRPWYRRTRHDRAPRPPSPPPDLGHNCHPIPLWEREFCIYVGGISWHRFCDNKRYVCMYKNIEQWDDSEAFDNFKNAKARFWANYHGQPSDISLPDPDMYIDKVDHNSKIDPELIADLNMVRLPFEMDDELLPADGLGSTDTDNKCQQRQNQSRNWDIYVEKPTEVNKWEQDSRSNMDWGTKHESWNEWSKNCSGWGSALADSSWGNWNNSNNHHSSNNRASFNGINRNRYQDPSSISGRKRNSGGYIQQRNSRQRNQIEGYQGSRW >ORUFI11G02480.1 pep chromosome:OR_W1943:11:1750079:1756788:-1 gene:ORUFI11G02480 transcript:ORUFI11G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRLKELLHAHAEKSSNKKSLTVHAQYQMREIRNHLQILDGSNPKIPASLLKVWETKTPKYPTMQEEERRRLLWGVQLLKQFVNDIFNTRFGWRAGMKRLEGFTLEEQNKQISHPYRDQEEGKAVVERGRGGSSCTMAADGDSNSPSPGGRGGTPDSK >ORUFI11G02490.1 pep chromosome:OR_W1943:11:1756742:1761234:1 gene:ORUFI11G02490 transcript:ORUFI11G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEEVSNKMQVQMRLHPAAAAEEEDADLPLPALFDKASHLHSLASSSSLDQEGIRKGVDLLRRCDEMVSKVGLFSSNETKDDISTANLKYLLVPYYLGEMTERVAQEDRIPVLKASQDHLKEFISICEALELISEDELEISRQKQPDTMANRRAQKVARFKRQKAAETKLLEIKERKERRRRSLRAAALSAPIEAGEEDAFEDDGEEEREAWLATISLALCKAFDLLDMLKKEEEMLPAVKERKAKDGNAFAREMLDERTKRAEAWHHNAANRAPYSKPADPITCATFAQDVIEGRASVSQAHEHKHQPLIFGPASLVGGGLTSERERMAAQVFQPSYRLPTMSIEEAGLREMKMMEKWQERTAKMIQESNSAWHKDGSRSAQEDEDAEEEKARGWDDWKDDNPRGAGNKKLTPCG >ORUFI11G02500.1 pep chromosome:OR_W1943:11:1761743:1764044:-1 gene:ORUFI11G02500 transcript:ORUFI11G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFRLSSAPESADEATAHNKEIYDQLRRVAETFPSAPSLIGLPCSRHPDGWYTFTNGVVSSMVIKEHLTARATDIFLTTFPKSGTTWLKALLYSTLHRGTDELVAHSPHQLVPFLESQVFANDRIPDLSSLPSPRLFMTHIPSQSLPDSVAASGCNVVYLCRDPKDCFVHAVGHRRGAPAILRWRSQFGPFWEHILGYWRWHVEKPNQVLFLTYEELVANTLGQLRRLAELIIVFVGLHTSWLPPQLPKRPTERLTWKSMTNSGKSWRPSRRQFVSWNIDVAVRQFCNGISHFGPFWEHVLGYWRWHVETPSQVFFLTYEELAADTLGLLRRLAEFVGHPFTVEEQEAGVDRKIVEICAMESSSRLDVNLSGALTLSRKTYRTTYSSGVE >ORUFI11G02510.1 pep chromosome:OR_W1943:11:1772262:1782867:-1 gene:ORUFI11G02510 transcript:ORUFI11G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFPLSFAPQSADEATAHKEIYDQLRQAVETFPTAPNSSTSFTYSRHPDGWYTFPEGVVSAMVIKSHLTARTTDIFMVTFPKSGTTWLKALLHSALHRRADDLAAHSPHQLVPFLETQVFIKDRIPDLSSLPAPRLLMTHIPSQSLPDSVADSSCKVVYLCRDPKDCFISLWHFLNRFRPWDINEAHRNFCDGVSLFGPYWEHVLGYWRWHVKRPSQVLFLTYEELTTDTLGQLRRLAEFVGRPFMVKEQEIEVDRKIVEACAMESLSRLEVNQSGTTDMVDKTYANNIFFRRGVCGLFMASSFPLSSATEAADEAKAHKKIYNQLRQVAETFPTAPSGIDVPYSHHPDGWYMTTAGVVSAMVIKSHLTARATDIFLVTFPKSGTTWIKALLYSALHRRADELVAHSPHQLVPFLESQVFVKDRIPDLSSLPEPRLLMTHIPSQSLPDSVAASGCKVVYLCRDPWIASSRFGTSGTSSGHGILMRHTGNSIMHVLSYWNWHVERPSEVLFLTYEELAADTLGHLRCLAEFVGRPFTMEEQDAGVDRKIVEICAMESLSGLEMNQSGMTNFTEKDVPNNTVFRRGVVGDWRNHLTPEMARRIDEITEIKFKGSGLRLIAHGTKFHCVLCRLLNSQNLLDAIHDEEPWVVYTEDMTFMVIPVSVLFMKGSTHLLLPKSP >ORUFI11G02520.1 pep chromosome:OR_W1943:11:1777230:1777433:1 gene:ORUFI11G02520 transcript:ORUFI11G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVCRVQKRPEPMSHVVPDLEKVGNEDVGGTRSQMPLDGHGALTTPCLDMYQPSGRRQYQYGGRCR >ORUFI11G02530.1 pep chromosome:OR_W1943:11:1792727:1792975:1 gene:ORUFI11G02530 transcript:ORUFI11G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLAHLFGSSSSSSSSSSKKRRKKRRSGAKSCSFGSTTSSSSSSLAASSSDDSAATTPRSVLPASAAASSSGTKKPAAVAV >ORUFI11G02540.1 pep chromosome:OR_W1943:11:1793038:1793316:1 gene:ORUFI11G02540 transcript:ORUFI11G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEAECAGELVLEEIAAAAADEGELKETFAVFDADGDGRISAEELRAVLASLGDELCSVDDCRRMIGGVDTDGDGFVCFDEFARMMMYGCA >ORUFI11G02550.1 pep chromosome:OR_W1943:11:1795249:1806508:-1 gene:ORUFI11G02550 transcript:ORUFI11G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATRCLARATGLAAAAAAGDGPRKRLAVAMVDCLARRLLRPVQAITDALIDPSVYLDRRSVRAARRGVGKMVTSPLAPCLTCIKNSNGEGVRQSHTENPAFFSIKLPCQWIQLLHELRRRPEGPPRVVRLTVVHDDGELLAKMEELVSDEAEELGMEFQFHGVVGQLEDLDFSNLRNVLEIKSGEALVVSCTLQLHRLLAADDDAMYSSRSAHLNQMASIAQLQHMAVNSCPSSSGGGSVQYKDDDPYRSPATPLTFVSPPVSTPHFQTPAALASFLSAVRALSPKILVVAEQDADHNGVSFRKRFCEALHHYAAVFDSLDAAAATTSAASRLWSPDERAQVERVVVGEEIKGVLLRDGAHRREWHDRLRQWAARMEMAGFTGVPLSYAAIKKGNDMVRRCGLRRCENKECGGCLLLCWSSRPLYSISAWRPAASRGSGSVVAPDAAALGLRVPAAEEVAVLVAVEPGRVQYSRGDAGDEAEHPRRRRLLDRVLRLAVPQALAAAAAADDAEAHASAPQRAENKMMHGLWVQDQGVVDHLAQLVPLLHECASHVTEGSFEKADFSFKKIRMLTIADGPLQRLSTIIVDSLAHRLLSSIQGLPGALIDPSDYFEKSTLRAARHNFFKLNPYLSTGFVTINWAIMEAMEDEKVDLQVVHIVDLSCSAAHPWQWLKLLDDFHGRPGGAPELYLTVLHDDNDFLADMQSLLSKKAESLGVSFHFISVIGRLETLDFSNLRSTFQIKFGVAVAISCALQMHRLLLVDDNLSSTSIAQLQKMANFTQPKQMASSVCSPASTLNYLQTPSPRTPKLLARLLSAIRALKPNIMLIMEQDADHNTLLFRDRFNEVLNYYAALFDCFHAVAAANPGRTDERLRVDRMILREEIKNILVCEGVHRHERHERLDQWAMHMEESGFHNVQLSFSAIREAYVWQLKVQADNLRLCCTDRGMFQDDMLSSATSSPASSVYSPSPSPSNGSWVQELSHDQQSVRLIGLLYQCAAEVSAGSFDRANLCLEHITQLASLDAPHALQRLAAVFADALARKLLNLILGLSRALLSSANSADAHLVPVARRHMFDVLPFLKLAYLTTNHAILEAMEGERFVHVVDFSGPAANPVQWIALFHAFRGRREGPPHLRITAVHDSKEFLANMAAVLSKEAEAFDIAFQFNAVEAKLDEMDFDALRHDLGVRSGEALAVSVVLQLHRLLAVDDGRRHAAAGCLTPVQIIARSSPRSFGELLERELNTRLQLSPDASVVSSLSPHSPAAATAAHPTTSTPKLGSFLSAVRSLSPKIMVMTEQEANHNGGAFQERFDEALNYYASLFDCLQRSAAAAAERARVERGAQLLRVAVRLPAAERGGGGGARARGAGAARGGDPRRGGVRGGGAGGAARAGAAVGGADGGGRHGAGGAELQRRHGGEEAAAELRVGGAVRGEARRRRPWLLLLLAQEAALRRHGVAPGGVATRPHSVVAVHAHVFSV >ORUFI11G02560.1 pep chromosome:OR_W1943:11:1806999:1818336:1 gene:ORUFI11G02560 transcript:ORUFI11G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQHCNRDVVKALLELGAADIMSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHQPPPPPPPLNWPMAGGGGGGSGGGGRGGAGGGGGAPATPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGAREAAGEHGEGGVGGRRDEGADGVAQALLELGAADVNSRAGPTGKTALHLAAEMVSPDMVSVLLDHHADPNSRTLDGVTPLDVLRSLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVTTRDDGAPVTGGEAGGSDGGNFPRSDADDSLVSLTMNSTLMYQGQEMAAAVAAGEGRKSNNGRGSPPPAMYFPNGFA >ORUFI11G02570.1 pep chromosome:OR_W1943:11:1836413:1845858:1 gene:ORUFI11G02570 transcript:ORUFI11G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSKCDKAINHISTLHKQIRSRSRVAISLIAVTISLIAVIHIGSGLLVPMKASLVVLAAAVAAAAALLVSLDPRSDDVPVLEIRERDVELITVDAGGAVGPESVAFDGDGEGPYTGVSDGRVLKWLPLERRWVEHSSAVIEPQLLAYLATMNTTAKLLALAVFAAAAILSLDSRSDVRQLEIRDGDVELIPLLDGAAGPESIVFGDAGDGPYTSVSDGRILKWLPPPERRWVEHSCSVPELLDSCRGSKDTKREQECGRPLGLKFNSKTGELYVADAYLGLRVVSPGENVSRPLVPKRTGSPFSFSNGVEIDHETGVIYFTETSTRFQRREFLNIVITGDNTGRLLKYDPKENKVEVLVDGLRFPNGLAMSIDGSYLLLAETTTGKILRYWIKTPKASTIEEVAQLPGFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRKLILKLPAQRIQRITSFLTGFGRQVIALRLSEDGKTIEAMSVHGDVRKLFKSISEVEEKDGNLWIGSVLSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGEEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFSRAFSGSGAALDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >ORUFI11G02570.2 pep chromosome:OR_W1943:11:1836413:1845743:1 gene:ORUFI11G02570 transcript:ORUFI11G02570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSKCDKAINHISTLHKQIRSRSRVAISLIAVTISLIAVIHIGSGLLVPMKASLVVLAAAVAAAAALLVSLDPRSDDVPVLEIRERDVELITVDAGGAVGPESVAFDGDGEGPYTGVSDGRVLKWLPLERRWVEHSSAVIEPQLLAYLATMNTTAKLLALAVFAAAAILSLDSRSDVRQLEIRDGDVELIPLLDGAAGPESIVFGDAGDGPYTSVSDGRILKWLPPPERRWVEHSCSVPELLDSCRGSKDTKREQECGRPLGLKFNSKTGELYVADAYLGLRVVSPGENVSRPLVPKRTGSPFSFSNGVEIDHETGVIYFTETSTRFQRREFLNIVITGDNTGRLLKYDPKENKVEVLVDGLRFPNGLAMSIDGSYLLLAETTTGKILRYWIKTPKASTIEEVAQLPGFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRKLILKLPAQRIQRITSFLTGFGRQVIALRLSEDGKTIEAMSVHGDVRKLFKSISEVEEKDGNLWIGSVLSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGEEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFSRAFSGSGAALDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >ORUFI11G02570.3 pep chromosome:OR_W1943:11:1836413:1845858:1 gene:ORUFI11G02570 transcript:ORUFI11G02570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSKCDKAINHISTLHKQIRSRSRVAISLIAVTISLIAVIHIGSGLLVPMKASLVVLAAAVAAAAALLVSLDPRSDDVPVLEIRERDVELITVDAGGAVGPESVAFDGDGEGPYTGVSDGRVLKWLPLERRWVEHSSAVIEPQLLAYLATMNTTAKLLALAVFAAAAILSLDSRSDVRQLEIRDGDVELIPLLDGAAGPESIVFGDAGDGPYTSVSDGRILKWLPPPERRWVEHSCSVPELLDSCRGSKDTKREQECGRPLGLKFNSKTGELYVADAYLGLRVVSPGENVSRPLVPKRTGSPFSFSNGVEIDHETGVIYFTETSTRFQRREFLNIVITGDNTGRLLKYDPKENKVEVLVDGLRFPNGLAMSIDGSYLLLAETTTGKILRYWIKTPKASTIEEVAQLPGFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRKLILKLPAQRIQRITSFLTGFGRQVIALRLSEDGKTIEAMSVHGDVRKLFKSISEVEEKDGNLWIGSVLSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGEEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFSRAFSGSGAALDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >ORUFI11G02570.4 pep chromosome:OR_W1943:11:1836413:1845858:1 gene:ORUFI11G02570 transcript:ORUFI11G02570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSKCDKAINHISTLHKQIRSRSRVAISLIAVTISLIAVIHIGSGLLVPMKASLVVLAAAVAAAAALLVSLDPRSDDVPVLEIRERDVELITVDAGGAVGPESVAFDGDGEGPYTGVSDGRVLKWLPLERRWVEHSSAVIEPQLLAYLATMNTTAKLLALAVFAAAAILSLDSRSDVRQLEIRDGDVELIPLLDGAAGPESIVFGDAGDGPYTSVSDGRILKWLPPPERRWVEHSCSVPELLDSCRGSKDTKREQECGRPLGLKFNSKTGELYVADAYLGLRVVSPGENVSRPLVPKRTGSPFSFSNGVEIDHETGVIYFTETSTRFQRREFLNIVITGDNTGRLLKYDPKENKVEVLVDGLRFPNGLAMSIDGSYLLLAETTTGKILRYWIKTPKASTIEEVAQLPGFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRKLILKLPAQRIQRITSFLTGFGRQVIALRLSEDGKTIEAMSVHGDVRKLFKSISEVEEKDGNLWIGSVLSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGEEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFSRAFSGSGAALDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >ORUFI11G02570.5 pep chromosome:OR_W1943:11:1836413:1845858:1 gene:ORUFI11G02570 transcript:ORUFI11G02570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSKCDKAINHISTLHKQIRSRSRVAISLIAVTISLIAVIHIGSGLLVPMKASLVVLAAAVAAAAALLVSLDPRSDDVPVLEIRERDVELITVDAGGAVGPESVAFDGDGEGPYTGVSDGRVLKWLPLERRWVEHSSAVIEPQLLDSCRGSKDTKREQECGRPLGLKFNSKTGELYVADAYLGLRVVSPGENVSRPLVPKRTGSPFSFSNGVEIDHETGVIYFTETSTRFQRREFLNIVITGDNTGRLLKYDPKENKVEVLVDGLRFPNGLAMSIDGSYLLLAETTTGKILRYWIKTPKASTIEEVAQLPGFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRKLILKLPAQRIQRITSFLTGFGRQVIALRLSEDGKTIEAMSVHGDVRKLFKSISEVEEKDGNLWIGSVLSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGEEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFSRAFSGSGAALDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >ORUFI11G02580.1 pep chromosome:OR_W1943:11:1846144:1853730:1 gene:ORUFI11G02580 transcript:ORUFI11G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQSIVMSEQQRAVFCLTLMAVTYGHCRQPADAELLHRLQRADQPPPIRGARVVENTEPNVLFAYTPHDGLLFNDDDGVADYFAADDDEAYSNGGFGAVPALSEAIVSLPEMAVGCGCGGGGEAREEECGVCLEGFEEGEKLRKMSCEHYFHESCVFKWLQGPSYVPHGVESAYIHINRDIEEDDDTYSDDGFCAVPASRDAIAALPVPETTVSETETREEEACAVCLEGFKEGDRVKKMPCSHDFHANCISEWLRVSRLCPHCRFALPAERDSEQKNPEEAEASDDVPDTSHMSDEQFQQFIDQYWAEQGFNIWSWIRASRTSSSSTPGPTRRTAASWQAVTFDGDGAVTFDGDGVARFSGNSDRSGGLDDQATSGFSIVDLLDGILQADDDGNGGGATPASSMAIVSLPEITVGDEKGEAKDCPVCLQGFEEGDKLRKMPCADSHCFHEQCIFSWLLINRHCPLCRFPLPAETEDEEVVQAENDDDDDDEETILCLHRLFADAADEPNAAAAVPEGYSNGRFGSVPACSEAIAALEETSPGEAKEKDCSVCLEAFEEESDKLMRKMPCCHAFHESCIFEWLQVSRLCPLCRFALPTQAEAEAGLWPLLTPGSGSGT >ORUFI11G02590.1 pep chromosome:OR_W1943:11:1847711:1848788:-1 gene:ORUFI11G02590 transcript:ORUFI11G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQQTGLSPSSPFLLSLSLSLLSGLCARPAGGGEEVAGARRSDGWPATRRWRHGRKEGRRQGEAVSADAAAERRRRLRHGARKIPLSRQSKTAVWTEAAHPKPFRDAIRVEVMRARHLLDSVTLLKSL >ORUFI11G02600.1 pep chromosome:OR_W1943:11:1860080:1861770:-1 gene:ORUFI11G02600 transcript:ORUFI11G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAENGGSIGDDEGKKEKKDMVEELLEAEGGSFSEEEMVDFCLSLLVAGYETTSMLMTLAVKFLTETPAALAELKEEHANIRDMKGKKQPLEWSDYKSMPFTQCVINETLRVGNIISGVFRRANTDIHYKDYTIPKGCKIFASFRAVHLNNEHYENARTFNPWRWQINNKLQNAVGANIFTPFGGGPRLCPGYELARVVVSIFLHHLVTRFR >ORUFI11G02610.1 pep chromosome:OR_W1943:11:1864298:1869516:1 gene:ORUFI11G02610 transcript:ORUFI11G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIEAPFHVLAVDDSLPDRKLIERLLKTSSFQVTTVDSGSKALEFLGLHDHEDSPISTQSDQQEVAVNLIITDYCMPGMTGYDLLKKIKESSYLRDIPVVIMSSDNIPSRINRCLEEGADEFFLKPVRLSDMSKLKPHILKSRCKEHYQQEQNLQSNSESNNSSNPTSENSSSSTSSNSHKRKAALVDRIQVVPE >ORUFI11G02620.1 pep chromosome:OR_W1943:11:1868150:1870873:-1 gene:ORUFI11G02620 transcript:ORUFI11G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSPYQQSFTTIESAESATAATSIETAESTTAARDVSPPAAVSAARDVSPPPAAARDVSPPAAASAARDVSPPPAAARDMEVDDVDVHEVPECIASMIDRGSVESHRLFLARRTAMEMLRDRGYSVPEAEIARTLPEFRAWWAEKPGIERLAFTTTLVSDPSKKVQLVFCPPEPVKIATIREIYLQTKEENLSRLVLILQSKILSRAREAIKEIFKFKVDIFQATDLLVNITKHVLKPKHEVLSADQKAKLLKEYNVEDSQLPRMLETDAVARYYGFDKGTVVKVIYDGELTGKRVAYRCVF >ORUFI11G02620.2 pep chromosome:OR_W1943:11:1868150:1870873:-1 gene:ORUFI11G02620 transcript:ORUFI11G02620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSPYQQSFTTIESAESATAATSIETAESTTAARDVSPPAAVSAARDVSPPPAAARDVSPPAAASAARDVSPPPAAARDVSPPSAAHDVSPPAAVSAPPPAVVPAAARDAPPPAVAMAAEMEVDDVDVHEVPECIASMIDRGSVESHRLFLARRTAMEMLRDRGYSVPEAEIARTLPEFRAWWAEKPGIERLAFTTTLVSDPSKKVQLVFCPPEPVKIATIREIYLQTKEENLSRLVLILQSKILSRAREAIKEIFKFKVDIFQATDLLVNITKHVLKPKHEVLSADQKAKLLKEYNVEDSQLPRMLETDAVARYYGFDKGTVVKVIYDGELTGKRVAYRCVF >ORUFI11G02630.1 pep chromosome:OR_W1943:11:1872843:1876328:-1 gene:ORUFI11G02630 transcript:ORUFI11G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLALMDKVLGVDAKKKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGIIQVGAHGTGARLPPIDEQVISMKLVTPAKGTIELSREKDPDLFXLLLSNAILLQGGELQSLPQNMERMRLYNITKAESNDPEVDQLSFTELRDRLLALDPLDKDHVIRINKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSETCFPAGTLAKPNMKDLDYIEELLQLIEKEDIPAPAPIEQRWTACSRSPMSPASSSQEDDIFSWVGIIMYLPTSDARQRKEITEEFFNYRSKTQTNLWDGYSAYEHWAKIEVPKDKDELAELQARLRKRFPVDAYNKARMELDPNKVLSNAKLEKLFPVTEVQHEK >ORUFI11G02640.1 pep chromosome:OR_W1943:11:1877460:1878860:1 gene:ORUFI11G02640 transcript:ORUFI11G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPDDDDDVGESTDEFANPFDDTMQFTKPVYLVAVRDDDQAAAYSVLKIDAAAIDAAAVAGNDEPRRVRAVAVLTTGTEPGMSFVTARSRHGSWIVGVGGGLRAGTIIFDPGTIITRLGYPKHKPVLISHGSEVYAISGTPRVKPSMDCEPWFESLSFKDGVPSKECGRWVSWHHLPPPPFFPFSSYAVIGSYILISPQPELVVGTYAFHVVNKIWEKIHEKNLPFVGQAVHLGGSLFAACPISNTASTSTSASVFHMSIKISSSIPSLSIQKFKVMASVDKITFPLFCPMGMGSFCCIRLGPSRLRHRRKTNYRRWRSPKTSCLKEVHVISTAFRIENIEAIMTHCQSQESKAKDQLLALQVKEQMHSCESKEIHGLLGSGIPVVAALSM >ORUFI11G02650.1 pep chromosome:OR_W1943:11:1878368:1885928:-1 gene:ORUFI11G02650 transcript:ORUFI11G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILPIPWGTYAFDTNSIKWHKVDNKKLPFTGCAAPHGSVFLGLSKDNGPINAYRINVTTSDKNHDPCLSIVVLPFCSTKPNLREEADRTGMRRDGPNLMQQKLPIPMGQKSGKVILSTDAITLNFWIDNEGMDEEILMDMWNTEADVEVEAVLDMGQAAKRLPPRCTA >ORUFI11G02660.1 pep chromosome:OR_W1943:11:1880396:1883928:1 gene:ORUFI11G02660 transcript:ORUFI11G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHITKLFLPLFRPVSFLLRDKHHAHSRCRSEHSETKAKTELTHGDVAVVMSHMPIITELTHGDVAVVMAALGLSFNAEGNEVEDEALVLLEEKQASWEELEEAFSVFDGDGDGFISPLELQNVMRRLGLQHDAGHEECERMLKVFDRDGDGMINFDEFKVMMQGVV >ORUFI11G02670.1 pep chromosome:OR_W1943:11:1884631:1888907:1 gene:ORUFI11G02670 transcript:ORUFI11G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTAGTGSSTKKIPSWMVTELPMLSTEANRLPQIPSWMIRKPLMLCMEAGRSGKAAAAATADAMEQSCSVCLKNFEKDDCIWSMPCSHTFHQLCILGDRSCRPMAWWVVQASVVTRRGGWAAGMPPRWPGVARRPDFGGAGSERQRHRRPDGSAKGAGGGGSSSSLPVGTLGLHGAPPLLCGEFLCWIEAVAPQWGKLRLPKQCHLVPGSPSAKSSEAAGGWWNGGVLGELSGVVMGDGGILDVVTTMVASFLEPRLCGIVIPHKSVRVRLIPNSPAISFSSPEITTSSYQQREQPDGGGLPPASACVRARMDPTTTTTDSECDFIKKIPSWMIRNSPMHCMEAGHFGKDAAAAAAAATADAMEHALPCSDLHHLRVGKTAEQSCSVCLKNFEEGDYIWSMPCSHTFHQLCILGDRSCRVCHPAAPPSTEEKPEAPRTVN >ORUFI11G02680.1 pep chromosome:OR_W1943:11:1890228:1892440:-1 gene:ORUFI11G02680 transcript:ORUFI11G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVAGGRERQVWHRRRCVVLHRAPSSTMYYRFGSHGLQVLGENREPSASGGGGQAQATQQQQGTSSGVADNRREHAFDFGVGSSSSSSGRRHAMGAAPSVATGISGSKRVAREEGGHSCGFEFEGSDQGRHAARGQACGLGGLDQGPSGGSAHDEDARGYGFGDARCVGQRKDAAPTHQLRIGAGRDQGRSVRLRRDAREDGRGRRLEEGNRAGDGYVAASVQAHGDACVEDQGAGSSSVQQLPSSVDGSDGMIAFEVGKELTLHGSVVSSPWNELWLGKPLIFHDLDLVRAMKDQGGMPNERIDLSGKITSILLSHPGPVSYFRIDSSVINNGAQQKIEEWCDVLRKKNVETVVMANCQWPSHPIEFPLQSLNCSSLRTLHLCFFNIPDMYLDHVSSLAVIDLACCRISDENLFALVCQCVSLRELDIGMFSEGKERIRSESLKFLQIWRSSVSHITIQWAPKLEKVIIGAAQGMKSFSSRTSSSTWISILGAPMLREVWFNLSSQTRSIDNVYLDVGHVPITSLRKLELSIAFKERKGRHALLNFFRSCTELKELVLWREDKVYFEEECDVHSDDWSSALKDIACLKSHLQVLKLFDYGGGETEIAIASAVLEHGASIENLTIMSTTSNADDILSQAKQKLEKVERLSPNARINLKKEDNFCGTWI >ORUFI11G02690.1 pep chromosome:OR_W1943:11:1898614:1904184:-1 gene:ORUFI11G02690 transcript:ORUFI11G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLITRYNGDTHNACVLTPMNAPSTDPIIDVTHGIAKYPTNSIAIFPLLGSLKQRAGGFGDQRKPAHSGSPLPGCTMLLRTGLNRPTLTTEAKLQSANQSMERTRRVDSNRPPLRDANRIRVETMMRGRRYTLLHSPPEAQRYTRYHQLPHREMRQRRWLRDYLASVSEEMDRRRLQARAGIDDHRLESLHRPRLGETREEACAVCLQDFGEKDEELRMMPCSHSFHQRCIFGWLAIRDNCPVCRSAMSSYNDVLEELHAELEQWIQGTYGERLRRVLLPQIDGGGCLRGRKSTTKRFPSHRELELPRLPPNFWKQN >ORUFI11G02700.1 pep chromosome:OR_W1943:11:1902183:1902538:1 gene:ORUFI11G02700 transcript:ORUFI11G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIELVGYFAMPCVTSMIGVAKCDDKDARYEDCEKMIHVFDKDGDGRISLDEFRAV >ORUFI11G02710.1 pep chromosome:OR_W1943:11:1904225:1906356:1 gene:ORUFI11G02710 transcript:ORUFI11G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAYADAAPPRRAPAPATSTVAKEAEFLWELRKYVLLLATLAATVTYTAGLDPPGGFWTDNVGELLAGDPTSIYVIALVGAVLLYIAIQAMVFFSEPVKDWLHRAGETLQKCLKLDELEQRNQQQITLSNQSNGDAYLLLKKSRMYLLLLGILAASVTYQAGLNPPGGFWQSDGTDGYRHYLAGDPVLHITYHRRYMVFFYSNATAFIASLVILILLLSNMISTQGIKYCALQVAMILNLFGLIGAYAAGSCRQASKSVYVSVLVLPVFLYVGIHVLVFMLEVSPTWATWRGRVREKLKQRMPEWLKNLLELEKHVEEEEEEWKLEKRRKLLLLVAILAASLTYEAGMNPPGGFWQEGKSGHVGDPVLNNDNYRHRYLAFFFCNTTAFVASLAIIMLLVNRKLSARGIRSYALRVCVILVLVGLMGAFAAGSCRKVKTSVYVFVLVLAVLLCIAFQVALVVSGSLRRLVNSLLSKLGAPLEEDAGERLPHTAADEPRDLWDEKLPKYLLLLAALAAAVTYQAAMSPPGGLWDDGQTEHIVGDPVLLTNYARRYKAFFYCNATSFMASLVIMVLLLIKRVSNTQPALLALHAAMILDLFGLMGAYAAGSCRRVTTSAYILALLVGVSAYIVVLVVVSIGVARWMKKVMDKVGEKLTHCFSFEDL >ORUFI11G02720.1 pep chromosome:OR_W1943:11:1907086:1913129:-1 gene:ORUFI11G02720 transcript:ORUFI11G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLPPPASRLWEASIRKLKYSTILRGSVVPSGGTFDGAAAAAATGGDPVTLTPSLSVISSTSNTIYQYEDGDDDIDSVLDDVDTDDDDVDEASLGEPSHSDQLLPSGDFYQGDLRGDLPHGAGKYLWTDGSMYEGSWRGGRAAGRGKFSWSSGAIYEGDLAGGYMHGQGTYIGELGDTFAGLWANNLRHGRGTQAYVNGDVYDGHWRDGLQDGHGRYIWRGGHEYIGTWKAGEMHGRGTVIWADGDRYDGAWEDAKPKGQGTFRWSDGGMYIGLWCQESGETQGKGVYYPPSGGPAVPLPREPKEVITKLLEELEMSEGKTVSLLPSQKVLTWPGVEPVTKKPVWRPPEVAADQGMWRPPEVGADQGRRSSRRNSMSSDIDSLVEGEDGGEESRNDRSWVRTPSCMRAPTLPKPGKKQGETISKGHKNYELMLNLQLGIRTLRKLFDVDPGDYMLSICGDDALLELSSPGKSGSFFYFTNDDKYMIKTMKKAEVKVLLRMLPAYYKHVRSFDNTLVTKFFGLHCVKITGAIQKKVRFVIMGNLFCSNYSIHRRFDLKGSSHGRTTDKPIDQIDETTTLKDLDLNFIFRLEGSWYEDFCRQVDKDCEFLEQERIMDYSLLVGVHFKDRCKDNVHTIVIVFEYLQLQSFLRRCILIHGPENTFFMVTDSSSPDNETTQTALEDEERRKAPVKLGISMPSRVENVVKNPESESQLIGEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIYRAFSEDLQ >ORUFI11G02730.1 pep chromosome:OR_W1943:11:1914585:1915435:-1 gene:ORUFI11G02730 transcript:ORUFI11G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEPLPSAAGDATADETPPGRAVCSSGCGRPSTVCLCPYLPATPLPTSTTVVVLHHPHALRRNPLSTLPLLARSLSNLRLIPGRRLLPSSGPLIPNPVLLLFPSPGAADLASWCRSTPPAARANPTLLLLDGTWKQAKEMHAASLPFLSSFAVPVSLPVDCGVDGDSIAIHPDNSSQPPTNPNTFSCPPLLPPPRHPSIASHAHPRSDHPVTLSYARRRTPRRRPGRR >ORUFI11G02740.1 pep chromosome:OR_W1943:11:1916805:1918253:-1 gene:ORUFI11G02740 transcript:ORUFI11G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAANQHTCDGPQPSAPTHFLIVAYGIQSHINPAQNLAHRLARIDASSVMCTLSIHASAHRRMFSSLIASPDEETTDGIISYVPFSDGFDDISKLSILSGDERARSRCTSFESLSAIVSQLAARGRPVTCIVCTMAMPPVLDVARKNGIPLVVFWNQPATVLAAYYHYYHGYRELFASHASDPSYEVVLPGMQPLCIRSLPSFLVDVTNDKLSSFVVEGFQELFEFMDREKPKVLVNTLNVLEAATLTAVQPYFQEVFTIGHLVAGSAKERIHMFQRDKKNYMEWLDTHSERSVVYISFGSILTYSKRQVDEILHGMQECEWPFLWVVRKDGREEDLSYLVDNIDDHHNGMVIEWCDQLDVLSHPSVGCFVTQCGWNSTLEALELGVPMVAVPNWSDQPTIAYLVEKEWMVGTRVYRNDEGVIVGTELAKSVKIVMGDNEVATKIRERVNSFKHKIHEEAIRGETGQRSLQIFAKTIIESD >ORUFI11G02750.1 pep chromosome:OR_W1943:11:1924293:1924514:1 gene:ORUFI11G02750 transcript:ORUFI11G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >ORUFI11G02760.1 pep chromosome:OR_W1943:11:1930142:1930363:1 gene:ORUFI11G02760 transcript:ORUFI11G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLRDYEIHDGMGLELYYN >ORUFI11G02770.1 pep chromosome:OR_W1943:11:1932751:1936441:1 gene:ORUFI11G02770 transcript:ORUFI11G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAADDDAPPSPPPSAFHPASADTPMSDATPSAADTPNLPDTPASASAEPDTPFSDAALAADASDADASAVAAPPDDDGANPLGGAMKHMALAPPPPPSKKSKKKNSNSVWTRPNSRKGKKKAKQPANALAGGSAGANGRLPKPSSGDDELVLTPAPRFAAERNDDAPDLPVLLSRVFKSDKVEVSDDRLTAGSTKGYRMVRATRGVAAGAWYFEVKVLHLGSTGHTRLGWATNNADIHAPVGYDVFGFGYRDMDGTKVHKAWRANYADQGYGEGDVLGFYIHLPDGELYEPKQPFLVHYKGLPFRAEAPKAAEQKTPDPVPGSEICYFKNGVCQGTAFVDIPGGRYYPAASMYTLPDQPNCEVRFNFGPNFEFFPEDFGGRSVPQPMNNVPYRPYQLANEVPAENGTAEKTIKLQ >ORUFI11G02780.1 pep chromosome:OR_W1943:11:1936648:1938386:-1 gene:ORUFI11G02780 transcript:ORUFI11G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTPHGPRPDTPLKKHVLKISPIRKFSHGVVASPARWEMKLSATFRSAKIPRALPPKSGEPAAAASASCAPGSSPAEAAKGKAASGWCVYLIASSRIPRTYVGVTTDFPRRLRQHNGELKGGAKASSAGRPWNLACLIEGFVNRSEACEFESKWKNISRKMARKRSEPSMTSLLQHREMALSRLKTDLACSHLKIKWHSS >ORUFI11G02790.1 pep chromosome:OR_W1943:11:1939748:1940965:1 gene:ORUFI11G02790 transcript:ORUFI11G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISGARTCYPSAAVARRGGGGGRCCSVVRSSLATSSPATCKPSGMMRIGRQWTELQGARDWDGLLNPLDGALRGELVRYGEFVRAAYASFDFDGGAPSSYGSCRFPTSSLLRRSGLPETGYRVTGILHAASTSAPGWLSCRSSYIGYVAVCDDEDEIERLGRRDVVIAFRGTATCGEWVDNFKSTLTHLPPRSGDGEAAPPMVESGFWRLFTTPGEAHGSLQQQVRGEVQRIVSEYGGEGMPPLSITVTGHSLGAALAVLTAYDITTNSPMQRHGGGDDDDGEAPMVTAVSFGGPRVGNAAFRRRLEESGGKVLRVVNSNDVVTKVPGFPVDGDDCGGGAREGDAPARRKPRLPRWLVSKMGWEYSDVGRELRLCSQGDTARNVVASHDLDLYLKLVAACTY >ORUFI11G02800.1 pep chromosome:OR_W1943:11:1941589:1947480:-1 gene:ORUFI11G02800 transcript:ORUFI11G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKNYYKEKMMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGADLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPEAEDGKDTQSRSTKEDQAVSFRTAAAKSVYQWIIKPQSIIKSNEMFLPGRMAFIYNMEDGLTNDIPTTLHRSKADCSVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKERDTKGKNSLASGDYDEVARPGQTNGSALKHQFEKDMPPPPPPRNNNLSKNEKPSAPVARADEDDIFVGDGVYYSVPNKEMSQSPVSEDMDESPHNHQKQSYFTEEKPIYGPIPPSDPAQAWPQPNAYDAIQAQMVAAGYQGEWSGYQYGEQQMAYPEQYMQQSAQDCDVLADPNITQDPRLMTQADKDRGLGSVFKRDDERLKQLREKDAREKDPNFISDSYSECYPGYQEYNHEIAGSDEEDDLSKMDMGGRAKGRLHRWDFETEEEWATYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGDKDGGDDGGHYDDDMPSGKKQRA >ORUFI11G02800.2 pep chromosome:OR_W1943:11:1941589:1947480:-1 gene:ORUFI11G02800 transcript:ORUFI11G02800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKNYYKEKMMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGADLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPEAEDGKDTQSRSTKEDQAVSFRTAAAKEDGLTNDIPTTLHRSKADCSVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKERDTKGKNSLASGDYDEVARPGQTNGSALKHQFEKDMPPPPPPRNNNLSKNEKPSAPVARADEDDIFVGDGVYYSVPNKEMSQSPVSEDMDESPHNHQKQSYFTEEKPIYGPIPPSDPAQAWPQPNAYDAIQAQMVAAGYQGEWSGYQYGEQQMAYPEQYMQQSAQDCDVLADPNITQDPRLMTQADKDRGLGSVFKRDDERLKQLREKDAREKDPNFISDSYSECYPGYQEYNHEIAGSDEEDDLSKMDMGGRAKGRLHRWDFETEEEWATYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGDKDGGDDGGHYDDDMPSGKKQRA >ORUFI11G02810.1 pep chromosome:OR_W1943:11:1948182:1948670:1 gene:ORUFI11G02810 transcript:ORUFI11G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGRWWWWFTWSRRVVRRNQPPPSPSPPPADEDVLPFEEYPPPSSDEEEEEEINHPTPQTSNEAADRRPGLLPASRKAIQGLREVTAAGAGEDECAVCLQDFEAGDKLRMMPCCHTFHQRCIFDWLRLSCICPLCRHTLPTQNVEDDRLGRAAHTVAGSTG >ORUFI11G02820.1 pep chromosome:OR_W1943:11:1948933:1953588:-1 gene:ORUFI11G02820 transcript:ORUFI11G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQYDEGGQLQLMDAERIEEEEECFESIDKLISQGINSGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRNKDC >ORUFI11G02820.2 pep chromosome:OR_W1943:11:1948933:1953588:-1 gene:ORUFI11G02820 transcript:ORUFI11G02820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQYDEGGQLQLMDAERIEEEEECFESIDKLISQGINSGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRNKDC >ORUFI11G02830.1 pep chromosome:OR_W1943:11:1953859:1956371:1 gene:ORUFI11G02830 transcript:ORUFI11G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTIENGGSGGDGSAAAAAAEGSAIWKSELVPQLQLPPGYHFVPTDEELVDFYLRGKIEGRDPPRRFISEENIMRYDPQKLIEKYKGYGEDRWYFFMVREPSKTKKKDEPNRKVVVDGVEEGSWSATGSVVQIHSTKETNRKAIIGSKRVLTYKSARSAENDMWSMHEYVLAGKSQMGQYVLCAIQLKQTYEREEKAREEQKNDNKRNKKAARRKNMQQQPTACQAQDEQQETAPTPGEETIVDPDQFMDIAHSMHMMFGGVDQDAPPFMPSLIAPCNNDDGMLQLQPLQLQNPNPAMLYSNQLEPSYIGDQSMFTPCCCDRNCISCRQLQFYQQQQAEDGSVAFGEADLYQQHDRALGNTGVYPDNVWVDGNMADYAQRQIYNDQDNGGVLMQGPEDSATFPDNFLMLDEMAAGSDDASGFDYEVDQSMAVVPHVADQTVDDIMSVTYWLRSWAQLLKCDEEIKSVKAACRNLESMV >ORUFI11G02840.1 pep chromosome:OR_W1943:11:1960933:1969792:-1 gene:ORUFI11G02840 transcript:ORUFI11G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNGRGREEEGNSPMGRRLRAVSAHLLPPASTTTTTGGVDLAANPTAGEYAHVQGYSAVLPEKLQTGKWNVYRNAKTPLRLIDRFPDTPDIATLHDNFVYAVETFRDCRYLGTRICADGTVGDYKWMTYGEASTNRTAIGSGLIYHGIPEGACIGLYFINRPEWIIVDHACAAYSFVSVPLYDTLGPDAVQFIVNHATVEAIFCVPQTLSTLLSFITQMPCVRLIVVIGGDNANMPSTPTSTGGKMSSRPFRPPKPEDVATICYTSGTTGTPKGVVLSHRNLIANVAGSSVVIKYISYLPLAHIYERVNQIALLHYGVAIGFYQGDNLKLMDDLAALRPTVFSSVPRLYNRIYAAITNAVKESGGLKERLFHAAYNAKRQAIMNGRNPSPMWDKLVFNKIKARLGGRVRLMSSGASPLSADVMEFLRVCFGCLVIEGYGMTETSCVIATMDCDDRLIGHVGPPNPSCEIKLVDVPEMNYTSEDQPYPRGEICVRGTTIFFGYYKDEIQTREVIDEDGWLHTGDIGKRTIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSSLVAVVAVEPEVLKAWAASEGIQYEDLRQLCADTRARAAVLADMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKIKRPQAKAYFAKEIADMYAQLREAESTKSKL >ORUFI11G02840.2 pep chromosome:OR_W1943:11:1960933:1969792:-1 gene:ORUFI11G02840 transcript:ORUFI11G02840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNGRGREEEGNSPMGRRLRAVSAHLLPPASTTTTTGGVDLAANPTAGEYAHVQGYSAVLPEKLQTGKWNVYRNAKTPLRLIDRFPDTPDIATLHDNFVYAVETFRDCRYLGTRICADGTVGDYKWMTYGEASTNRTAIGSGLIYHGIPEGACIGLYFINRPEWIIVDHACAAYSFVSVPLYDTLGPDAVQFIVNHATVEAIFCVPQTLSTLLSFITQMPCVRLIVVIGGDNANMPSTPTSTGGKMSSRPFRPPKPEDVATICYTSGTTGTPKGVVLSHRNLIANVAGSSVVIKYISYLPLAHIYERVNQIALLHYGVAIGFYQGDNLKLMDDLAALRPTVFSSVPRLYNRIYAAITNAVKESGGLKERLFHAAYNAKRQAIMNGRNPSPMWDKLVFNKIKARLGGRVRLMSSGASPLSADVMEFLRVCFGCLVIEGYGMTETSCVIATMDCDDRLIGHVGPPNPSCEIKLVDVPEMNYTSEDQPYPRGEICVRGTTIFFGYYKDEIQTREVIDEDGWLHTGDIGLWLPGGRLKIIDRKSQGKRTIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSSLVAVVAVEPEVLKAWAASEGIQYEDLRQLCADTRARAAVLADMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKIKRPQAKAYFAKEIADMYAQLREAESTKSKL >ORUFI11G02850.1 pep chromosome:OR_W1943:11:1973859:1979286:1 gene:ORUFI11G02850 transcript:ORUFI11G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADLLRREEELYASLFDSAKGGDAVKSRGQMIERKIEVLEDMAAKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELPVSAFCRTSVGEWDAFRSIDMDVEARLVQQMKQSSTKQKNHLDRDELVALNSWHHIDRQTREAIKRNFLPDLLEIYEERVRTFIEDTSGKDMLVLNVQDPFQRLLLHGVCEFYNVSSTTTTTVRDGKLCKTTAIKKRSGTGAPSRITLVSFLRMKKKSH >ORUFI11G02850.2 pep chromosome:OR_W1943:11:1973859:1977053:1 gene:ORUFI11G02850 transcript:ORUFI11G02850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASADLLRREEELYASLFDSAKGGDAVKSRGQMIERKIEVLEDMAAKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELPVSAFCRTSVGEWDAFRSIDMDVEARLVQQMKQSSTKQKNHLDRDELVALNSWHHIDRQTREAIKRNFLPDLLEIYEERVRTFIEDTSGKDMLVLNVQDPFQRLLLHGVCEFYNVSSTTTTTVRDGKLCKTTAIKKRSGTGAPSRITLVSFLRMKKKSH >ORUFI11G02860.1 pep chromosome:OR_W1943:11:1978619:1979375:-1 gene:ORUFI11G02860 transcript:ORUFI11G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFAWSQTPMSNLSLFAPHASTRTVVGASLLPRPPQACHTLTVQQVLSASKELTWTQMATLKPQEILRCKERHN >ORUFI11G02870.1 pep chromosome:OR_W1943:11:1983292:1987513:-1 gene:ORUFI11G02870 transcript:ORUFI11G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMGGYDLRRNLKRDEKTDFVGMDSKKQRNASLARKKEEEFAMKERHLSNLHFKSNEGVFRSEFSGEVASNMSKSVVSLALLDGDTVLFVCSGMVVQRDGHVIKLLTSASLLKAFNDESQDHDNLKVEVHHKDAVVIGSLREYHLDHNMAAVVVENLPDLRPVPFNNVQKFVPHSMVVALGRDISGKLMATGGLLIGGSSGSYNPKLMSSTCKFSEVYEGGPLFDFDGDFLGMNLSLTTEGTLFVPGDRVLDQLVNWILHHEVKFAARLAALKALRSSFIYTFLNKDQYGDLESLGYPEPPISNDGMILANTFEEPFGNVCGKGVWSELSEDVASNICENIVALASFNANLLRDPRDADKIIENLRIKVLLPNNRRTKGTVQHYNLRYNVALVSVKDYRVLQPVKIQPYRHYASELLAVGCIFKSGRLMASRGRQLPIGVTHDRKFLGYSQCTITKAGIGGPLLGFDGNFVGMNFYDEGVEGTPFLSWCEICEILKYFKTKGTVAEHRHGNPSDVLDWKIVGDDSVFPARYYDALLYCITFNVSINLLITENY >ORUFI11G02870.2 pep chromosome:OR_W1943:11:1983292:1987513:-1 gene:ORUFI11G02870 transcript:ORUFI11G02870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMGGYDLRRNLKRDEKTDFVGMDSKKQRNASLARKKEEEFAMKERHLSNLHFKSNEGVFRSEFSGEVASNMSKSVVSLALLDGDTVLFVCSGMVVQRDGHVIKLLTSASLLKAFNDESQDHDNLKVEVHHKDAVVIGSLREYHLDHNMAAVVVENLPDLRPVPFNNVQKFVPHSMVVALGRDISGKLMATGGLLIGGSSGSYNPKLMSSTCKFSEVYEGGPLFDFDGDFLGMNLSLTTEGTLFVPGDRVLDQLVNWILHHEVKFAARLAALKALRSSFIYTFLNKDQYGDLESLGYPEPPISNDGMILANTFEEPFGNVCGKGVWSELSEDVASNICENIVALASFNANLLRDPRDADKIIENLRIKVLLPNNRRTKGTVQHYNLRYNVALVSVKDYRVLQPVKIQPYRHYASELLAVGCIFKSGRLMASRGRQLPIGVTHDRKFLGYSQCTITKAGIGGPLLGFDGNFVGMNFYDEGVEGTPFLSWCEICEILKYFKTKGTVAEHRHGNPSDVLDWKIVGDDSVFPARYYDALLYCITFNVSINLLITENY >ORUFI11G02870.3 pep chromosome:OR_W1943:11:1983292:1987513:-1 gene:ORUFI11G02870 transcript:ORUFI11G02870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWMGGYDLRRNLKRDEKTDFVGMDSKKQRNASLARKKEEEFAMKERHLSNLHFKSNEGVFRSEFSGEVASNMSKSVVSLALLDGDTVLFVCSGMVVQRDGHVIKLLTSASLLKAFNDESQDHDNLKVEVHHKDAVVIGSLREYHLDHNMAAVVVENLPDLRPVPFNNVQKFVPHSMVVALGRDISGKLMATGGLLIGGSSGSYNPKLMSSTCKFSEVYEGGPLFDFDGDFLGMNLSLTTEGTLFVPGDRVLDQLVNWILHHEVKFAARLAALKALRSSFIYTFLNKDQYGDLESLGYPEPPISNDGMILANTFEEPFGNVCGKGVWSELSEDVASNICENIVALASFNGDFTEKNEVLHARVFFIEWNECATILTSANLLRDPRDADKIIENLRIKVLLPNNRRTKGTVQHYNLRYNVALVSVKDYRVLQPVKIQPYRHYASELLAVGCIFKSGRLMASRGRQLPIGVTHDRKFLGYSQCTITKAGIGGPLLGFDGNFVGMNFYDEGVEGTPFLSWCEICEILKYFKTKGTVAEHRHGNPSDVLDWKIVGDDSVFPARYYDALLYCITFNVSINLLITENY >ORUFI11G02870.4 pep chromosome:OR_W1943:11:1983292:1987513:-1 gene:ORUFI11G02870 transcript:ORUFI11G02870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWMGGYDLRRNLKRDEKTDFVGMDSKKQRNASLARKKEEEFAMKERHLSNLHFKSNEGVFRSEFSGEVASNMSKSVVSLALLDGDTVLFVCSGMVVQRDGHVIKLLTSASLLKAFNDESQDHDNLKVEVHHKDAVVIGSLREYHLDHNMAAVVVENLPDLRPVPFNNVQKFVPHSMVVALGRDISGKLMATGGLLIGGSSGSYNPKLMSSTCKFSEVYEGGPLFDFDGDFLGMNLSLTTEGTLFVPGDRVLDQLVNWILHHEVKFAARLAALKALRYNPWAIPSPQYRMEKNEVLHARVFFIEWNECATILTSANLLRDPRDADKIIENLRIKVLLPNNRRTKGTVQHYNLRYNVALVSVKDYRVLQPVKIQPYRHYASELLAVGCIFKSGRLMASRGRQLPIGVTHDRKFLGYSQCTITKAGIGGPLLGFDGNFVGMNFYDEGVEGTPFLSWCEICEILKYFKTKGTVAEHRHGNPSDVLDWKIVGDDSVFPARYYDALLYCITFNVSINLLITENY >ORUFI11G02870.5 pep chromosome:OR_W1943:11:1983292:1987513:-1 gene:ORUFI11G02870 transcript:ORUFI11G02870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWMGGYDLRRNLKRDEKTDFVGMDSKKQRNASLARKKEEEFAMKERHLSNLHFKSNEGVFRSEFSGEVASNMSKSVVSLALLDGDTVLFVCSGMVVQRDGHVIKLLTSASLLKAFNDESQDHDNLKVEVHHKDAVVIGSLREYHLDHNMAAVVVENLPDLRPVPFNNVQKFVPHSMVVALGRDISGKLMATGGLLIGGSSGSYNPKLMSSTCKFSEVYEGGPLFDFDGDFLGMNLSLTTEGTLFVPGDRVLDQLVNWILHHEVKFAARLAALKALRYNPWAIPSPQYRMEKNEVLHARVFFIEWNECATILTSANLLRDPRDADKIIENLRIKVLLPNNRRTKGTVQHYNLRYNVALVSVKDYRVLQPVKIQPYRHYASELLAVGCIFKSGRLMASRGRQLPIGVTHDRKFLGYSQCTITKAGIGGPLLGFDGNFVGMNFYDEGVEGTPFLSWCEICEILKYFKTKGTVAEHRHGNPSDVLDWKIVGDDSVFPARYYDALLYCITFNVSINLLITENY >ORUFI11G02870.6 pep chromosome:OR_W1943:11:1983292:1987513:-1 gene:ORUFI11G02870 transcript:ORUFI11G02870.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWMGGYDLRRNLKRDEKTDFVGMDSKKQRNASLARKKEEEFAMKERHLSNLHFKSNEGVFRSEFSGEVASNMSKSVVSLALLDGDTVLFVCSGMVVQRDGHVIKLLTSASLLKAFNDESQDHDNLKVEVHHKDAVVIGSLREYHLDHNMAAVVVENLPDLRPVPFNNVQKFVPHSMVVALGRDISGKLMATGGLLIGGSSGSYNPKLMSSTCKFSEVYEGGPLFDFDGDFLGMNLSLTTEGTLFVPGDRVLDQLVNWILHHEVKFAARLAALKALRDPRDADKIIENLRIKVLLPNNRRTKGTVQHYNLRYNVALVSVKDYRVLQPVKIQPYRHYASELLAVGCIFKSGRLMASRGRQLPIGVTHDRKFLGYSQCTITKAGIGGPLLGFDGNFVGMNFYDEGVEGTPFLSWCEICEILKYFKTKGTVAEHRHGNPSDVLDWKIVGDDSVFPARYYDALLYCITFNVSINLLITENY >ORUFI11G02880.1 pep chromosome:OR_W1943:11:1996763:2000096:1 gene:ORUFI11G02880 transcript:ORUFI11G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQTSIDAEQGKVTVSGLVDPATIIKKLNKAGKPAELWGSKMAMPPPNAGGGGDKKGGNNGGGAGNGGKKGGGGNEIPVQIKGNANNAAGGGKKDSGAKQNQGGGGKNGGGQPNNAKGGGGAPNGGGNHPAQGKKGGGGQGGGVGGPMGGMPAQQQAMMMRPNMMGGSAGFPGMGQMGGGPMTMPMGHHPHMGAGAGGGGMPSGPEMLQAAAAAGNPMAQQQYMAMMQQQQQQQQQQMMMNGHGHHGHHGHHGHGGGAAPAGYPAMGYGYGRPPMPYPMHYPMQPHPHADPYNYFSDENPNSCSLVFTLSFKGELNFLEGEEKKDYNTPSQGEEGDNNDNCGSNAMEMEERGWIDHDDGCDGGGPCVRRGRKRESYCCSFGHGCNLFPAPCTYIIDGIALSPISSSCIQVGLHQNCTFQSYDHSKHHCFCLPVHSTAWSLSLTTIDSTPNYYRGKK >ORUFI11G02880.2 pep chromosome:OR_W1943:11:1996763:2000096:1 gene:ORUFI11G02880 transcript:ORUFI11G02880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQTSIDAEQGKVTVSGLVDPATIIKKLNKAGKPAELWGSKMAMPPPNAGGGGDKKGGNNGGGAGNGGKKGGGGNEIPVQIKGNANNAAGGGKKDSGAKQNQGGGGKNGGGQPNNAKGGGGAPNGGGNHPAQGKKGGGGQGGGVGGPMGGMPAQQQAMMMRPNMMGGSAGFPGMGQMGGGPMTMPMGHHPHMGAGAVQGMPPAAFYQGGGGMPSGPEMLQAAAAAGNPMAQQQYMAMMQQQQQQQQQQMMMNGHGHHGHHGHHGHGGGAAPAGYPAMGYGYGRPPMPYPMHYPMQPHPHADPYNYFSDENPNSCSLVFTLSFKGELNFLEGEEKKDYNTPSQGEEGDNNDNCGSNAMEMEERGWIDHDDGCDGGGPCVRRGRKRESYCCSFGHGCNLFPAPCTYIIDGIALSPISSSCIQVGLHQNCTFQSYDHSKHHCFCLPVHSTAWSLSLTTIDSTPNYYRGKK >ORUFI11G02890.1 pep chromosome:OR_W1943:11:2004494:2005910:-1 gene:ORUFI11G02890 transcript:ORUFI11G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREISLAPTAAVEEPAAAPARVRRRRQLHLFSVAAEVHEVSVGERRERGGGADAGAHQEPADGEASAGKKRGIARRNSEEVSLGCRGAGG >ORUFI11G02900.1 pep chromosome:OR_W1943:11:2024892:2027957:-1 gene:ORUFI11G02900 transcript:ORUFI11G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFSGAVVEVPAELVAAGSRTPSPKTRASELVGRFLAAAEPAVSLQLGDLGHLAYSHANQSLLRPRSFASKDDIFCLFEGVLDNLGRLSQQYGLSKGANEVLLVIEAYKTLRDRAPYPASFMLSQLTGSYAFVLFDKSTSSLLVASDPEGKVPLFWGITADGSVAFSNDIDLLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKNKVTAVPANEEEICGATFKVESATAILTALH >ORUFI11G02910.1 pep chromosome:OR_W1943:11:2032880:2034809:-1 gene:ORUFI11G02910 transcript:ORUFI11G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKPPQRKDRPHRAIAASIAFRAATPQRRTDDAVRRAPASRSPPAFYHDDPQMNLIRDHDN >ORUFI11G02920.1 pep chromosome:OR_W1943:11:2038156:2040705:-1 gene:ORUFI11G02920 transcript:ORUFI11G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGLAAILSAGAFVSAFVVGAVALIAAPFAVPPASFARDVFFYLLAASGLFYIYLSAEIYLWQAIGLVLFYVFFVGLVVYMDLDAEGKAVSTTELEVVNGIGRVVMDLPVTMEDRKQQHPTLCTMFSKVTKVWEWPVAFLLKLTIPSTLPTEWNKFYVCANICLCPLLLLYSFSSFIPFDSRIVFLLPQSRFPLWSVVLFVSISLALSHFIFEKEAPITENIASTTISFVMSVFWISTMAGELLNCLAAIGVIMDFPPAILGMTVLAWGNSVGDLVADVALAKSGQPTIAIAGCFAGPMFNMLVGLGTALVIQTARVYPKAYVLEFHVGIVVAFVFLLLSLMGTLLMVTWTRFRVPRAMVHFDGDGSRGARCLQAAIQLLILAADAYSPSH >ORUFI11G02930.1 pep chromosome:OR_W1943:11:2044513:2048620:1 gene:ORUFI11G02930 transcript:ORUFI11G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLWSGGVSIAAEAAAVEARAEGEEEEECDLFDGEWVWNDSYPLYHSTDCPFLDVGFRCSENGRPDASYSKWRWRPSRCDLPRFDARNMLEKLRNKRVVFVGDSIGRNQWESLLCMLSVAVPDKSSIFEVNGNPITKHMGFLIFKFRDYNCTVEYYRSPFIVLQGRAPPGAPGVVKYTIRVDAMDWLSDRGQWRDADVLILNTGHWWNYEKTIRSGTYFQEGDAVKMDMTVGDAYKRSIQTLFGWLHNEVNSSKTHVIFRTYAPVHFRGGDWKTGGNCHLETHPDVTPVKSLEQWADFLNPVNDVLGNSFRPKLLGLDILNVTQMTAQRKDGHVSVHLSPSGPVPLYRQDCSHWCLPGVPDTWNELVYNLLLKRQSMIGQNVPLVGTKTLKAGWRKLNKYNLTI >ORUFI11G02930.2 pep chromosome:OR_W1943:11:2044272:2048620:1 gene:ORUFI11G02930 transcript:ORUFI11G02930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVAAAAAKRLAGRVTKRPVLEKARMAGLAVAVAAAAALVLLLCAASLRCSAAVGLALSAAPGKLWSGGVSIAAEAAAVEARAEGEEEEECDLFDGEWVWNDSYPLYHSTDCPFLDVGFRCSENGRPDASYSKWRWRPSRCDLPRFDARNMLEKLRNKRVVFVGDSIGRNQWESLLCMLSVAVPDKSSIFEVNGNPITKHMGFLIFKFRDYNCTVEYYRSPFIVLQGRAPPGAPGVVKYTIRVDAMDWLSDRGQWRDADVLILNTGHWWNYEKTIRSGTYFQEGDAVKMDMTVGDAYKRSIQTLFGWLHNEVNSSKTHVIFRTYAPVHFRGGDWKTGGNCHLETHPDVTPVKSLEQWADFLNPVNDVLGNSFRPKLLGLDILNVTQMTAQRKDGHVSVHLSPSGPVPLYRQDCSHWCLPGVPDTWNELVYNLLLKRQSMIGQNVPLVGTKTLKAGWRKLNKYNLTI >ORUFI11G02940.1 pep chromosome:OR_W1943:11:2049473:2053445:1 gene:ORUFI11G02940 transcript:ORUFI11G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEEEEGSPPAPAAAADPASSGSSDNEITVEEASFVHTEPPQDGSVPPVVSSNMEVLHDKVKKQVIKEGHGKKPSKFATCFLHYRAWVQGSLHKFEDTWQEQHPIELVIGKEKKQMSGLGIGVGNMRSGERALLHVGWELGYGKEGSFSFPNVPPMADLLYEVELIGFDDVKEGKARSDMTVEERIEAADRRKIEGNEYFKEKKFEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNTAACLIKLKRFDEAIAQCSIVLAEDENNVKALFRRGKARAELGQTESAREDFLKAKKHSPEDKEIQRELRSLAEQDKALYQKQKELYKGLFGPRPEPKPKASNSIVRFWQWLVSLIGYLIKLFKPKNE >ORUFI11G02940.2 pep chromosome:OR_W1943:11:2049473:2053621:1 gene:ORUFI11G02940 transcript:ORUFI11G02940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEEEEGSPPAPAAAADPASSGSSDNEITVEEASFVHTEPPQDGSVPPVVSSNMEVLHDKVKKQVIKEGHGKKPSKFATCFLHYRAWVQGSLHKFEDTWQEQHPIELVIGKEKKQMSGLGIGVGNMRSGERALLHVGWELGYGKEGSFSFPNVPPMADLLYEVELIGFDDVKEGKARSDMTVEERIEAADRRKIEGNEYFKEKKFEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNTAACLIKLKRFDEAIAQCSIVLAEDENNVKALFRRGKARAELGQTESAREDFLKAKKHSPEDKEIQRELRSLAEQDKALYQKQKELYKGLFGPRPEPKPKASNSIVRFWQWLVSLIGYLIKLFKPKNE >ORUFI11G02950.1 pep chromosome:OR_W1943:11:2054400:2060125:1 gene:ORUFI11G02950 transcript:ORUFI11G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAFPPPPAPFLDDDLDFGDFAFADPQPAAAAAFDAFGAYDDDWGDFPRGPLPLSLFGADEDEEEEEGPAELPPTAADQRGASHASSNGSKPADLKDLIAGLYGSHPQPSSTDAAEVGTQEGSAVAAAEEEEEDGDGFEDDGWEFKAAAPSSSSDAVQDGGRQAHGDLTQDVPKSMSSDQEGWSLFTSVSENLNNVQTTDHVGTRESAGQSVKAFSYFPPNNAAILDLYKESEPIDAVHIMQCSSESVQSSSDMFSNTEMNSSFGTDENHSIKSASDRILIDFYHKLREESLTVISQYKKDLKESQKNSMLSDEKNKVMTETEREIQEICKELQDSSLAKGFCKDEHPSKDVCISELLNSAKEDHLKDFDKEYHLTEIIAMALEDMSSAVKLYKHSVSILRTLEIASKEEQCDYVSAWYSMLLSCAQELQHGAMIWQESCHANVGETVISQGAHYFFALGEIYRVAQILHISMLSFKPWVLADPGMLSKMLVCWNSCVNSWTSGLGMALTMVVDSKNLHAPVAKVLLESIININDIEVPNLQSFLPSDKMACKLTLLPTSLVPGMEVIIWDADHYFVKVANLWANQISSDPPQFSVSRVA >ORUFI11G02950.2 pep chromosome:OR_W1943:11:2054074:2060125:1 gene:ORUFI11G02950 transcript:ORUFI11G02950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAFPPPPAPFLDDDLDFGDFAFADPQPAAAAAFDAFGAYDDDWGDFVDSRLGSNPDGGSSAAAPAEKPPSWEKPRGPLPLSLFGADEDEEEEEGPAELPPTAADQRGASHASSNGSKPADLKDLIAGLYGSHPQPSSTDAAEVGTQEGSAVAAAEEEEEDGDGFEDDGWEFKAAAPSSSSDAVQDGGRQAHGDLTQDVPKSMSSDQEGWSLFTSVSENLNNVQTTDHVGTRESAGQSVKAFSYFPPNNAAILDLYKESEPIDAVHIMQCSSESVQSSSDMFSNTEMNSSFGTDENHSIKSASDRILIDFYHKLREESLTVISQYKKDLKESQKNSMLSDEKNKVMTETEREIQEICKELQDSSLAKGFCKDEHPSKDVCISELLNSAKEDHLKDFDKEYHLTEIIAMALEDMSSAVKLYKHSVSILRTLEIASKEEQCDYVSAWYSMLLSCAQELQHGAMIWQESCHANVGETVISQGAHYFFALGEIYRVAQILHISMLSFKPWVLADPGMLSKMLVCWNSCVNSWTSGLGMALTMVVDSKNLHAPVAKVLLESIININDIEVPNLQSFLPSDKMACKLTLLPTSLVPGMEVIIWDADHYFVKVANLWANQISSDPPQFSVSRVA >ORUFI11G02960.1 pep chromosome:OR_W1943:11:2059234:2062902:-1 gene:ORUFI11G02960 transcript:ORUFI11G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTNLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGPKSRAVDTISSCLKAGMSVARFDFSWGDAEYHQETLENLKLAIKSTKKLCAVMLDTVGPELQVVNKSEAAISLEANGTVVLTPDQGQEASSELLPINFSGLAKTLKPGATIFVGQYLFTGSETTSVWLEVSEVKGDDVVCVIKNSATLAGSLFTLHCSQIHIDLPTLSDEDKEVIRRWGAPNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKRTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKIIELDD >ORUFI11G02970.1 pep chromosome:OR_W1943:11:2065005:2066817:-1 gene:ORUFI11G02970 transcript:ORUFI11G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTSRPHHLLRPLLRGFHATSQAMARPEPHEFSKPSDYLGSWEPAGDPREAWARLERLRKGYARDYAYEAQLLGAERQRRAEARAEAARLANEERKATKAAAAQTRAAERRAFEKDFRQALMKERAEKLESWREKEKLKVQKKADHRELLRKKSSMWIQMGIIVFLGAGYKMVYSESMTEDGLKEAYL >ORUFI11G02970.2 pep chromosome:OR_W1943:11:2065045:2066817:-1 gene:ORUFI11G02970 transcript:ORUFI11G02970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTSRPHHLLRPLLRGFHATSQAMARPEPHEFSKPSDYLGSWEPAGDPREAWARLERLRKGYARDYAYEAQLLGAERQRRAEARAEAARLANEERKATKAAAAQTRAAERRAFEKDFRQALMKERAEKLESWREKEKLKVQKKADHRELLRKKSSMIQDGVF >ORUFI11G02980.1 pep chromosome:OR_W1943:11:2067976:2075454:-1 gene:ORUFI11G02980 transcript:ORUFI11G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDRMLHASPAAAAAAPATADDARETEIAGGIASASAAVEDPPASAPPASEAAGEGVIAVEHERAAAHPVSETKMDVDEVGTADAEQAVAPAAGEVKAEVNGGSIPDKEQDAAACTPTQVKTEVYENGVQEQVHTVAAVASEVKMEGCEGGVVDQKPTTTPADGCQMKEEGECLVGRYISRSVAGHGRILLGKVASYDGSTGIYSVVFEDGQGEDLELAQLQSQLVGEENGAFGMKVSCRKRKLDLLVSSGGATEVKGPPITRQRVNESEVSTRPDESQESGSGSDASEDVESSSNSSNCTKELPVEHYPPVQVLELPPSSGDIAVPEEAISYLFSVYNFLRSFSVQLFLSPFGLDDFVSSVNCTTQNTLLDAVHVSLLRALRRHLETKSSEGLKLASNCLKYLDWTLLDSLTWPAFLLEYLYVMGIIKDLGGRRFGRSVLAIEYYKLPVTLKLRILQLLCDHVIDSEELKTELEEREGYSEEMEYEMDSSTFAEVGSRSVLTRGSKASACKKLDALQNLETAQNGNNPESASTHASQDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGQNKAFLPQGDWFCPECVVNKLGPTSSRIERGARGAQLFGIDMCGRSFLGCCNYLLVIGTSSDVEFCARYYNHCDVVKVVQILASSDAYTDICRRMTEYWSHLLDIFQNERSKISKEVGRSLMSQSNILSTATPVKANNGSVQATLKDGQDSKMAVLSQTNAHQVMDNQFTLCSANNNEAFRQTPLAKTYVDNAYRNGAFGPSGTSSISHQSMSMVTVMPNRTQAQPAHGLIRPDLSCGSVIGNGMSRENIRSSISARADLISPPYKSKPPVQLITENMSGGKPAKFSSFRPQAYMNLYNHGNVAASAAANLAVLKSDEGKAPTSHLTTNQRKKLAADCALQVKAFSSAALQFVWPSTEKKVMEVPRDRCGWCLACQSSAGGTKKACFLNMATANASKGSARILSGMRLIKNSDSHFPSIVTYLSHMEESLRGLLVGSLQDVQRRQQWYNQLKDASNCGNIIPLLLELESNIRGVAFSTSWLKLIDDWPVESPSASAGASRPAAYQKRGTGGRRGRKRSMASESAPVTDDDNSWKEVNWWSGGNVSKRILQRGALPILTIRKAARQGGKKRMFSVSYHEGSNFPRRTRQLAWRACVGLSQSSSQLALQVRYLDSHIRWKEFIPPDQIPSEGKSVDSDYSVLRNAVVCDKKIVDNKIRYALKFPNQKHLPVRVTKNILEAEDNQDGDGKFWFSENHIPLYLLREFEQKAGVSSLPTPGMLDSNCFANFYQRRVKSSIGDVFFYLLHKGDVYPCTSCKKDVSFRDIIKCSSCQGNCHKECTLRSVGSKEGNAASSLTCKLCLQKRSLMLTNYNTNASFILPQQKSNVHHAVAAPKIIFKVGSSHSAEPATKVEAPPIVKVEAQPVVKKETWPVVKMETQPTANVEAQPTAKVEAFPISNLATQNNAGAQVQPKTKSKKPKPEKPRKSKKTEEIKYFGLVWKKSTNDKNNNENSGEVFRANDVILKGKDGVGSSIKPTCCLCNKPYCPDFLYVRCERCKKWFHGDALQLEEEKIFELVSYRCCRCRRRAIPKCPHSDDYKKPEPEYSEQTVATSSQSTMLSSEENFAVADQDPLLASYGRVEPFGAQTMDADLSMNMMSFNPGNQKLSVRRGQNKNCEYVDQSSIHVDDYYIQNQPQGNANINFSHSNEFSLSEADGVDASELLGWDFSQGNTSAAPSDFAANCPWNDISCGSVAGDEYEPQTYFSFTELLEADDTQFDNTFGMSNSVQDDGDQQGIGFDEMAFMMEDGASNMPFPAIDSASDEVACDRCKNPQPPPDLKCAVCGLQMHRHCSPWEDGEQPSDSADWSCGACREWR >ORUFI11G02990.1 pep chromosome:OR_W1943:11:2078675:2080669:-1 gene:ORUFI11G02990 transcript:ORUFI11G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLPTRGRSNTKKVKASGGSTDEARQLEAKRLSLYSRRNHKRRTTQEITFFGLIWKRSKNDLRSSKLKADDVILRSRDGVGSPMKPTCFLCFKPYRSDLMYIRCESCRNWFHGDALELEEGRIAQLISYRCCRCRRRPLPKCPHSDFYYSKVPEPQPVSQENADDMLSSEEAAGADGDPPLDSSGGVEPTVEETVGANFSANMKSSVPGSVQETIYMDGSSHPTHPVSKGVAKYDGFRAIAAETGSLYEHLRQKDHQTSDDIMITLDKLQQIALHHMKDIACHQANNVVQPSDQSNSRAPVPDTDAAPP >ORUFI11G03000.1 pep chromosome:OR_W1943:11:2080912:2082497:-1 gene:ORUFI11G03000 transcript:ORUFI11G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSGMSHPRNGPEYGVPDASTLLPPNLPHHRPRPHPHPHPRPPPMAPNRCAPPPPTAASSEDTSSVPGSDDWVE >ORUFI11G03010.1 pep chromosome:OR_W1943:11:2085569:2088857:1 gene:ORUFI11G03010 transcript:ORUFI11G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLIVGEMIFCKRTPVLLLEVYLGAKKNPEVSQVGQLLALEGCSKAMCKSNIKTNSLVLLKFFYHQ >ORUFI11G03010.2 pep chromosome:OR_W1943:11:2085174:2088859:1 gene:ORUFI11G03010 transcript:ORUFI11G03010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSNIKTNSLVLLKFFYHQ >ORUFI11G03010.3 pep chromosome:OR_W1943:11:2085232:2088918:1 gene:ORUFI11G03010 transcript:ORUFI11G03010.3 gene_biotype:protein_coding transcript_biotype:protein_coding LIGPRPTSTAAAITPPHISPASTGGAGGEGGDLSSSPSPSTLPRAAKLGSYLPWKGARRPCARCKEQRCVSFSRHFVVFILHEACKNVSYQNPHFLWLITQYYHHVSLIKAYW >ORUFI11G03020.1 pep chromosome:OR_W1943:11:2090347:2093993:1 gene:ORUFI11G03020 transcript:ORUFI11G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMEGGEGIAVAGAGGGHEAGFGLFRAADVTMTEAQEAAKEYQSSPSSPSTSPTPSPPPVAASGHGGEAAATPTMWSLGGEKMPSEAAGDNGMQMSGHSEHASLSSGRRRGRPKGSGRRQILATLGEWYALSAGGSFTPHVIIVGTGEDVAGRIMSFSQKGPRSICILSANGTISNVALSQPGSSGSTFTYEGRFEILQLTGSFTMAEEGGRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNSLKQHQRRMGLQQQPSAAPALPPPMAPPPVLTAAMPISQAAPGTNGCHAPQVSSMHPQAHTGVMEHSATASGAMNLNSSSSTGFTMVGWPVSSQSMGHRPSPDINVCLTPQE >ORUFI11G03030.1 pep chromosome:OR_W1943:11:2098005:2098445:1 gene:ORUFI11G03030 transcript:ORUFI11G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMRVTHRDEEGKKVTEKVPIPETRRPDTARHFERKLEEQGFHRLERHPANGPARAGIGAPPPKSGRGGKFTWEGPDGPVDAQLQPAPPAVDPNDPNYDEGDGAGVDEEVAKEVVIGEVEVAKVAEARDGVDVVAPAPLLQQEQQ >ORUFI11G03040.1 pep chromosome:OR_W1943:11:2100255:2102533:1 gene:ORUFI11G03040 transcript:ORUFI11G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRFRGLGFRGGGGGGRRSHRGGAAARTPSRLASGIAARWHRLRMCSTPPAGAGEVVRHPGADSLEMDRLHRRRHRRLKGEARGAFSLETSLKIHAINLHPGASIEHQLSYVFANCGCNSIFNVSELAICLIGKELRARVSDIFLNLSLKAIDISGVSMVTTFLAEVPLAKSLTGVLKICVILNASNVVRRRSYSNKVQTAKVTDFYDCDPANPGQPFAPFLFFKRSKKRQPVILFSPMIPLKLSLSGKQFQHFADNDPNKKLKFLLIFMKLPCTSLLCITITSDCCFKSLLLQATCDFVQSYDSSDVKSQWHEIRLRFADNDPSKDIPSHLHLRRRINMDT >ORUFI11G03040.2 pep chromosome:OR_W1943:11:2100255:2103144:1 gene:ORUFI11G03040 transcript:ORUFI11G03040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRFRGLGFRGGGGGGRRSHRGGAAARTPSRLASGIAARWHRLRMCSTPPAGAGEVVRHPGADSLEMDRLHRRRHRRLKGEARGAFSLETSLKIHAINLHPGASIEHQLSYVFANCGCNSIFNVSELAICLIGKELRARVSDIFLNLSLKAIDISGVSMVTTFLAEVPLAKSLTGVLKICVILNASNVVRRRSYSNKVQTAKVTDFYDCDPANPGQPFAPFLFFKRSKKRQ >ORUFI11G03040.3 pep chromosome:OR_W1943:11:2100255:2103144:1 gene:ORUFI11G03040 transcript:ORUFI11G03040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRFRGLGFRGGGGGGRRSHRGGAAARTPSRLASGIAARWHRLRMCSTPPAGAGEVVRHPGADSLEMDRLHRRRHRRLKGEARGAFSLETSLKIHAINLHPGASIEHQLSYVFANCGYDILSGSSSCQILDWGSQNLWSVILNASNVVRRRSYSNKVQTAKVTDFYDCDPANPGQPFAPFLFFKRSKKRQ >ORUFI11G03050.1 pep chromosome:OR_W1943:11:2112849:2114122:1 gene:ORUFI11G03050 transcript:ORUFI11G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRGVSSSSAAAALALLLLFALCFFSFHFAAAARAVPRDEHQENGGVKAVAAVAADQLVLQLEGDTGNGDEVSELMGAAEEEAAACEEGKNNDECVQRRLLSDAHLDYIYTQHKNKP >ORUFI11G03060.1 pep chromosome:OR_W1943:11:2119219:2119950:1 gene:ORUFI11G03060 transcript:ORUFI11G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEQLATTPEMEMEMEYIPLDGYNSHYVRDDDPYRRYRELRMLAPPANEISGRLLEAADEDAAMSSSLWDDEFELTGGADADRFTPPGPRLPRPPTHHLNIFSSRLQRLASTRAPPPMPPPIDDGDFGVVFLTGGARRHVAVAAARVAPADTSCLGKSKYEEPRGGGETARGGADSTGCVICIAEFEVGDELSTIPCAHRHRFHDKCLAEWLKRSRSCPLCRHLLPAVVPANTRPNIHFL >ORUFI11G03070.1 pep chromosome:OR_W1943:11:2136926:2137831:1 gene:ORUFI11G03070 transcript:ORUFI11G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAFDNLVTLLEAYQRLGDSDDDDGDGGIDRPAAAANGDGGDGEGDGEEEDSDALSQYASFLLGNGDNGGGSGQGGAEHGEVRNGDDDDGGGFAMGAVESHSYEDTIIVGSTDDAGSSLHHGDDELPVPLPPAELPPPGSAGNAPPAPLEAMTMSFLQEAAMRRRQGTTNGDGQTILIQRLGELLRAYRANAAGGANGGGAPRSGRQRPASAAAVAALEKRKHGCGGGGGAAAQCVICIEDYEVGDDISVMPCSYGHSFHHACLADWLARSRFCPLCRHKLPAADDDDQDDAPDGQAP >ORUFI11G03080.1 pep chromosome:OR_W1943:11:2139852:2141375:1 gene:ORUFI11G03080 transcript:ORUFI11G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDKGDPAIAGVVAALCVASAVMVAVVDGAKGDGRVTQLSNGFTARHSPDAPAPFEPVLYAANGAFAFGFLRVGAASLDLAVVHLASSFPVWRATPARVGDWSRPATLTFDTGLVLAGADDAAGVLWQTLNAIGDTVVLLNSSNLVVRRFAETRPAWQSFDNPSDTLVLDQNLTVSSPPLISGNRRFALRLAKTYMSLHMEFYGGRATPMYWQHTALEAQPENATQPPVYGCLDGRGFFGLYLQGSGEKVDVLSFDTFVQNLTGAFRRMTLEDDGNLRAYYWTDDAKAWTADYKAITAPCELPTSCGAYGLCVPGGGEAKCQCLTNSTATSPPCSAEETTDLCGDGDSDGGQVFDEVRLKRVSVAYKERLPFEANATAEQCEQACAGNCSCWGAVHSGASGYCYLLDFPVETMVYEADDRKVGYFKVRRPPRSSTRRGMSPGAKAVTAALSLILASLAVAGAYVGHRLWQRRRRRRRAGGMEQELTSSGPYKDLKSMGSSNSSFKS >ORUFI11G03090.1 pep chromosome:OR_W1943:11:2147395:2151026:1 gene:ORUFI11G03090 transcript:ORUFI11G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCQKSSRETQPKPHNPFPSLTTKKIPSRFTHAPPRSLTSGGGGRPPPLVRGGGLPPLREAKRVVLVRHGQSTWNAEGRIQGSSDISVLTPKGESQAETSRLMLLSDSFDACFTSPLARSRRTAEIIWADRDDDLIPDSDLREIDLYSFQGLLKNEGKERYGVIYRQWQKNAANFSIDGHYPVRELWDRAQNCWERILAHEGKSVLVVAHNAVNQALVASSLGLGTEYFRILLQSNCGASVLDFTPQAGGGPPAVCLNRLNQTPNSPVASGSSAGRKTSKRIILACQGATQNSAEIGVSGMGYAPLNMLGIIQSQKTAELLLDQKVNGILCSPQVAAFDTATTICEVQEAADCLGADCVPRYVEMKKLLELEIDDAFQTKQKSFGEIAQSGWLGSMEYKTLEELWNQCLDLTMDYMSSFHLDDGSISVIDFPDGPKGRAGTIAVLSAARVRHWVIESMVNGVAAA >ORUFI11G03090.2 pep chromosome:OR_W1943:11:2147395:2151038:1 gene:ORUFI11G03090 transcript:ORUFI11G03090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCQKSSRETQPKPHNPFPSLTTKKIPSRFTHAPPRSLTSGGGGRPPPLVRGGGLPPLREAKRVVLVRHGQSTWNAEGRIQGSSDISVLTPKGESQAETSRLMLLSDSFDACFTSPLARSRRTAEIIWADRDDDLIPDSDLREIDLYSFQGLLKNEGKERYGVIYRQWQKNAANFSIDGHYPVRELWDRAQNCWERILAHEGKSVLVVAHNAVNQALVASSLGLGTEYFRILLQSNCGASVLDFTPQAGGGPPAVCLNRLNQTPNSPVASGSSAGRKTSKRIILACQGATQNSAEIGVSGMGYAPLNMLGIIQSQKTAELLLDQKVNGILCSPQVAAFDTATTICEVQEAADCLGADCVPRYVEMKKLLELEIDDAFQTKQKSFGEIAQSGWLGSMEYKTLEELWNQSKAAWQALLNELQDDTSERILVVVGHPGINLALICRCLDLTMDYMSSFHLDDGSISVIDFPDGPKGRGVVRCTNYTAHLGRWSIPITRTMETDEEF >ORUFI11G03100.1 pep chromosome:OR_W1943:11:2151185:2158874:1 gene:ORUFI11G03100 transcript:ORUFI11G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLPSSVRDFASCIGDGAVRVADVAACTAPSSTRVSTCSSSSSTTASSSSPTLSVTVSYRATLLAAPAPPLQLRLTWGHSPLGPTLSFAPSPTARAIQLRRRRGSRSLPSGSSSGDENGGGGDESGTTTPPPPPPLALFWDLTAARYDPAASSPEPVSGYYVVAVASAEVVLAVGDLAAEFVKAKFEGQIPRARVAPVSRVERVVVSDPAAMHAARVRFAEGGPEHEVSVSCAPAAPGSGGGGDELWVCVDGKRAVQACRLRWNFRGNQTVFVDGAPVDVMWDLHGWWFREPPGCAVVMLRARSALESRLWLEEEAAAPGFSLIVQAFKSPP >ORUFI11G03100.2 pep chromosome:OR_W1943:11:2151185:2158874:1 gene:ORUFI11G03100 transcript:ORUFI11G03100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLPSSVRDFASCIGDGAVRVADVAACTAPSSTRVSTCSSSSSTTASSSSPTLSVTVSYRATLLAAPAPPLQLRLTWGHSPLGPTLSFAPSPTARAIQLRRRRGSRSLPSGSSSGDENGGGGDESGTTTPPPPPPLALFWDLTAARYDPAASSPEPVSGYYVVAVASAEVVLAVGDLAAEFVKAKFEGQIPRARVAPVSRVERVVVSDPAAMHAARVRFAEGGPEHEVSVSCAPAAPGSGGGGDELWVCVDGKRAVQACRLRWNFRGNQTVFVDGAPVDVMWDLHGWWFREPPGCAVVMLRARSALESRLWLEEEAAAPGFSLIVQAFKSPP >ORUFI11G03100.3 pep chromosome:OR_W1943:11:2152000:2156885:1 gene:ORUFI11G03100 transcript:ORUFI11G03100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGEVIDDPEESLAWGCSNFNCTEEDKTSGICAQSLIISSHPPPRHPSCPILPTTRDCSWMATPSNPSIFVRQMHHGTIIMGS >ORUFI11G03100.4 pep chromosome:OR_W1943:11:2152000:2158874:1 gene:ORUFI11G03100 transcript:ORUFI11G03100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLPSSVRDFASCIGDGAVRVADVAACTAPSSTRVSTCSSSSSTTASSSSPTLSVTVSYRATLLAAPAPPLQLRLTWGHSPLGPTLSFAPSPTARAIQLRRRRGSRSLPSGSSSGDENGGGGDESGTTTPPPPPPLALFWDLTAARYDPAASSPEPVSGYYVVAVASAEVVLAVGDLAAEFVKAKFEGQIPRARVAPVSRVERVVVSDPAAMHAARVRFAEGGPEHEVSVSCAPAAPGSGGGGDELWVCVDGKRAVQACRLRWNFRGNQTVFVDGAPVDVMWDLHGWWFREPPGCAVVMLRARSALESRLWLEEEAAAPGFSLIVQAFKSPP >ORUFI11G03100.5 pep chromosome:OR_W1943:11:2151185:2153825:1 gene:ORUFI11G03100 transcript:ORUFI11G03100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGRHYPCPSRPIAIPSHNHEVGVFQIRAEAVSVNEITIASTAQTYMIKGRPWLSLCHKKTGLIRLLLQSRAASVQTIFHGQRAWYAA >ORUFI11G03100.6 pep chromosome:OR_W1943:11:2152000:2156683:1 gene:ORUFI11G03100 transcript:ORUFI11G03100.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGEVIDDPEESLIISSHPPPRHPSCPILPTTRDCSWMATPSNPSIFVRQMHHGTIIMVWPLLPCMPKG >ORUFI11G03110.1 pep chromosome:OR_W1943:11:2161588:2165798:-1 gene:ORUFI11G03110 transcript:ORUFI11G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVSTSMVLTLLGFCGSVLFIVFVCTRLACSLLRRHRRRRRARLPAASSHFLSSVYVVDHHRHLPPSGLDPATVAAFPTRAFLGAAPRGHASASSSSSSSDAAAQCVVCLADYEEKDAAAMVHHFIFPAAFATVWGLDCADMIQSTMGEVKHLCLVKFKEEVLVDDILQGMTKLVSEMDMVKSFEWGKDVLNQEMLTQGFTHVFSLTFASSEDLTTYMNHERHQEFAGTFMAAIDKVVVVDFPVVAAKPAPPAAPAAAA >ORUFI11G03120.1 pep chromosome:OR_W1943:11:2169021:2169266:1 gene:ORUFI11G03120 transcript:ORUFI11G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFAAPAGRAGLGAVVLVAASRCPLFSFFALLVLLLFQPHHQLAASHVAVNQQVSLVPDAAAAKAAGVGNGAVVDVSDEE >ORUFI11G03130.1 pep chromosome:OR_W1943:11:2169309:2170903:1 gene:ORUFI11G03130 transcript:ORUFI11G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGRERRRRNAALAHVDKHYFSGVVHFADAAGVYDAHFFDKIRQTESVLHPSIPFDCNYTGS >ORUFI11G03140.1 pep chromosome:OR_W1943:11:2173897:2178266:1 gene:ORUFI11G03140 transcript:ORUFI11G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGEPDPAADELQSLSFASSDRSRSRSASTVSTATTTSTTTTTTTPPRLGAVALSDIRFLKRLGAGDIGSVYLAEVRGAATALVAAKVMDRKELEGRNKEGRARTEREILEAVDHPFLPRLFGVAEGDRWSCLLTEFCPGGDLHVLRQRQPHRRFSESAVRFYAAEVVAALEYVHMVDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHSSSSSSSSCIIPSCIVPAVSCFQLFPGRGRHRRRRWRGRKKPSSGGGGNGGSSFPSGGLELEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGVFVFELLYGVTPFKGHDNEMTLANIVARALEFPREPPVSAAAKDLVTSLLAKDPARRLGATVGAAVIKRHPFFSGVNWALLRCATPPYVPPPFSVATATAANAAAANADMSYDDDSCPGTPVEYY >ORUFI11G03150.1 pep chromosome:OR_W1943:11:2180566:2188453:1 gene:ORUFI11G03150 transcript:ORUFI11G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPARSITAASAACSLRRSYPCIDLRGSDLLRGLATWAQTSRTPIAGAAVRARAHRLRLPSRTTSLSRIPPRAPTRCSCHNLKVRRLSSKQIIEQIVVTDYLLDY >ORUFI11G03150.2 pep chromosome:OR_W1943:11:2180572:2190083:1 gene:ORUFI11G03150 transcript:ORUFI11G03150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFFLVEFCGRLQVAATEKRKEVGDLGSNDADTAPAGGDGLRVRVVEGDGAVAQPRVVQRLPPSNNPTHKLNFSVKKFDYF >ORUFI11G03160.1 pep chromosome:OR_W1943:11:2181320:2181538:-1 gene:ORUFI11G03160 transcript:ORUFI11G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRLRIEAAARSRRRFAAATADAAPPLHPEKSRPLSPTNHHRHRSSAADAGRSRRIAKASRFGPPSLALGI >ORUFI11G03170.1 pep chromosome:OR_W1943:11:2193387:2193956:-1 gene:ORUFI11G03170 transcript:ORUFI11G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTTSREHGTVASAVAAVRAFHEQPVPRDQMPLFVGLGKGDCRAEDIVEKFGGHLWKVYDGGKWDWREAVGALSRAESAVEVDAASQRHRLIDLLKIVESRLGRHAVADAVRSWHAAAAVRPELPFTRNEGFVGRESELLDLEADLFGKRPMHLVEVEVFGGEPAFMDGKECHGSTKIML >ORUFI11G03180.1 pep chromosome:OR_W1943:11:2196517:2197791:1 gene:ORUFI11G03180 transcript:ORUFI11G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLSAVALAAFLLLAVVVAAAAQPKPKPAGKGGKPEKGETPGKGKPEERETPPGKGKPEEKEKPEKKKIKVKCQESRKLYPYCSAKMMECPDTCPTSCFVDCDACKPVCVCNVPGACGDPRFIGGDGNAFYFHGRRDADFCVVSDRDLHINAHFIGKRGADGMSRDFTWIQAIAVLFDDGGAHRLYVGARKTAAWDDDVDRLEVIVDGEPVLLPEDAGATWTSAAVPALTVTRTKAANGVLVALDGRFKLRANAVPITAEDSRVHRYGVTGDDCLAHLDLAFKFDALTGDVHGVVGQTYRSDYVNKFDVRASMPTLGGDHSFATSGLFAADCAVSRFGHGGRAAAVAMAA >ORUFI11G03190.1 pep chromosome:OR_W1943:11:2200106:2200567:-1 gene:ORUFI11G03190 transcript:ORUFI11G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSTELRHKYNVRSIPVRKDDEVQVVRGSYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKAILDRKASGRAADKAKGKFTAEDVAAAGAAGASLQEID >ORUFI11G03200.1 pep chromosome:OR_W1943:11:2208207:2209845:-1 gene:ORUFI11G03200 transcript:ORUFI11G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTTVSMEMELPWGARCAGLAFFAFSVCLAALGVVLLVARRWPWCSCHVCRAYLTGSWAREFTNLGDWYAHLLRRSPTGTVHVHVLGCTVTANPANVEHMLRTRFDNFPKGRPFAALLGDLLGDGIFNVDGHAWRHQRKMASLELGSVAVRSYAYKIIAQEVEARLMPVLADAADRGAVLDLQDVFRRFAFDNICKISFGLDPGCLDREMPVSELADAFDAASRLSAMRGAAASPLLWRAKRFLNVGSERELRKAIKVVDELAAAMIRERQKLGVGSSHDLLSRFMASTGVDDAAADDKFLRDIVVSFLLAGRDTVSTALTTLFMLLSKNPEVAAAMRAEAEAGDGGETGAAITYEHLKGLHYTHAVLHENMRLFPPVQFDSKFCAAADFDSKFCAAADVLPDGTYVGGDARVMYHPYAMGRMPHIWGADYAAFRPARWLTGPGASFVPANPYKYPVFQAGQRVCLGKELAVTEMKAASVAVVRAFDVEVVGENGRSGGAAAAPRFVPGLTASISGGLQVRVRRRVHT >ORUFI11G03210.1 pep chromosome:OR_W1943:11:2231951:2235362:-1 gene:ORUFI11G03210 transcript:ORUFI11G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVGGDVRVLKPLMHLLLGLVMYWVAEEMTVPVLVDVTTRALCPGADIACPEAIYLTGLHQTVGGIFRAVGYTLMGQLADEYGRKPLLLLTASTSIIPYGVLACNKSKIAVYIFLILRTLSFMIGQGTITSLAVTYTADVVDPSKRAFAFGCITGILSASHALGNGFSRFLPERWIFQVSVALLISSVIYMKISLVETLQRASSGSFEHMSFSSLVVRLPLRRWESIKENINIIRRSETLSRITYISFFYELGMIGISDVLMYYLKSVFGFDKNQFSEILMVVGIGSIFSQILVLPVIINTVGEKGVLCVGILASVAYAVLYGLAWSYWVPYLTSSLGVIYVLVKPATYAIISGEVDSSDQGKAQGFISTVKSTAVLLAPLFMSPLTSYFISEQAPFNCKGFSFLVAGFFLAISLGISFMLKPEAKCTRVAVPDQLDHEAVQAPLLAQP >ORUFI11G03220.1 pep chromosome:OR_W1943:11:2236659:2244533:-1 gene:ORUFI11G03220 transcript:ORUFI11G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCNYALLSQVVSSSGLSPGWPPMMMATAAMAASSCDRGDTRKKLQITVVFLVRTLLLACIIARGVLALIRVAFRVAVVAPARSLVAVAGAAFSAVNARCAWCLEQAALGRSCTGTVLGDAVVGAMASSWRLLLQGITSLVFLCARGADEYVRPPPSPLVLTAHGKPASHPQQVHISMVGEKNMRISWVTDDLNAPSVVEYGTSPGKYTASATGDHTTYRYFLYKSGAIHHATIGPLEASTTYHYRCGKAGDEFTLRTPPARLPVEFVVVGDLGQTKWTASTLSHIGGGGGDYDVLLLPGDLSYADTQQPLWDTFGRLVQPLASARPWMVTEGNHEIEALPVVGIAPFAAYNARWRMPREESGSPSNLYYSFDAAGGAAHVVMLGSYAEFEEGSPQRAWLERDLAGVDRRRTPWLLALVHAPWYNTNEAHQGEGERMRRAMESLLYEARVDVVFAGHVHAYERFTRIYDNEADSRGPMYITIGDGGNREGLALKFIKGHKSAHLSEFREASFGHGRLRILNETSAVWTWHRNDDQFATVRDEVWLHSLAAGEPAATVASAAAAGGGHPADELKNGPDPNTSQYTITAAAGAHPLRLPPPSRAAPPSCCFRRNPGVRSAPELDSRRRRRDSDDESGERDLDTRRHRRRSPSSESCSSSGDDDRSRRHRHDESSRRRQRDQSHRRDRGGHDERRRRSPQRRKEPTPPPPLLPEMIPGRTGGIYIPPFRMAQMMREVEDKSSAEYQRLSWDALKKSINGLVNKVNATNVKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVIAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDELDPETNLNVFRGNPNFAEDEKAYENLKRSILGAESSDDEEGSDDSSDEDAEEESDDEEDEEQMEIRDQTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQDNFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPNMQDSFDSIFPKDHPKNTRFSINFFTSIGLGGITETLREYLKNMPRLIMQKQKPASSESDSSDSGSGSDSYSSESESSSDESDRKRNKRRKKAYWYWSNSKRLDEPNMQDYFFSIFPRDHPKNTSFSTNFFTSIGLGCITMTMSEIT >ORUFI11G03220.2 pep chromosome:OR_W1943:11:2236659:2241072:-1 gene:ORUFI11G03220 transcript:ORUFI11G03220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVRSAPELDSRRRRRDSDDESGERDLDTRRHRRRSPSSESCSSSGDDDRSRRHRHDESSRRRQRDQSHRRDRGGHDERRRRSPQRRKEPTPPPPLLPEMIPGRTGGIYIPPFRMAQMMREVEDKSSAEYQRLSWDALKKSINGLVNKVNATNVKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVIAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDELDPETNLNVFRGNPNFAEDEKAYENLKRSILGAESSDDEEGSDDSSDEDAEEESDDEEDEEQMEIRDQTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQDNFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPNMQDSFDSIFPKDHPKNTRFSINFFTSIGLGGITETLREYLKNMPRLIMQKQKPASSESDSSDSGSGSDSYSSESESSSDESDRKRNKRRKKAYWYWSNSKRLDEPNMQDYFFSIFPRDHPKNTSFSTNFFTSIGLGCITMTMSEIT >ORUFI11G03220.3 pep chromosome:OR_W1943:11:2236659:2241072:-1 gene:ORUFI11G03220 transcript:ORUFI11G03220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVRSAPELDSRRRRRDSDDESGERDLDTRRHRRRSPSSESCSSSGDDDRSRRHRHDESSRRRQRDQSHRRDRGGHDERRRRSPQRRKEPTPPPPLLPEMIPGRTGGIYIPPFRMAQMMREVEDKSSAEYQRLSWDALKKSINGLVNKVNATNVKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVIAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDELDPETNLNVFRGNPNFAEDEKAYENLKRSILGAESSDDEEGSDDSSDEDAEEESDDEEDEEQMEIRDQTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQDNFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPNMQDSFDSIFPKDHPKNTRFSINFFTSIGLGGITETLREYLKNMPRLIMQKQKPASSESDSSDSGSGSDSYSSESESSSDESDRKRNKRRKKAYWYWSNSKRLDEPNMQDYFFSIFPRDHPKNTSFSTNFFTSIGLGCITMTMSEIT >ORUFI11G03220.4 pep chromosome:OR_W1943:11:2241836:2244773:-1 gene:ORUFI11G03220 transcript:ORUFI11G03220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPAYLSPSFLLPTSTTTTTAHGGLLLLSGNHRRRCRPRHMPGRLLLRAQPRLATDDDGDGRDGGELITVVFLVRTLLLACIIARGVLALIRVAFRVAVVAPARSLVAVAGAAFSAVNARCAWCLEQAALGRSCTGTVLGDAVVGAMASSWRLLLQGITSLVFLCARGADEYVRPPPSPLVLTAHGKPASHPQQVHISMVGEKNMRISWVTDDLNAPSVVEYGTSPGKYTASATGDHTTYRYFLYKSGAIHHATIGPLEASTTYHYRCGKAGDEFTLRTPPARLPVEFVVVGDLGQTKWTASTLSHIGGGGGDYDVLLLPGDLSYADTQQPLWDTFGRLVQPLASARPWMVTEGNHEIEALPVVGIAPFAAYNARWRMPREESGSPSNLYYSFDAAGGAAHVVMLGSYAEFEEGSPQRAWLERDLAGVDRRRTPWLLALVHAPWYNTNEAHQGEGERMRRAMESLLYEARVDVVFAGHVHAYERFTRIYDNEADSRGPMYITIGDGGNREGLALKFIKGHKSAHLSEFREASFGHGRLRILNETSAVWTWHRNDDQFATVRDEVWLHSLAAGEPAATVASAAAAGGGHPADEL >ORUFI11G03220.5 pep chromosome:OR_W1943:11:2241836:2244533:-1 gene:ORUFI11G03220 transcript:ORUFI11G03220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTCNYALLSQVVSSSGLSPGWPPMMMATAAMAASSCDRGDTRKKLQITVVFLVRTLLLACIIARGVLALIRVAFRVAVVAPARSLVAVAGAAFSAVNARCAWCLEQAALGRSCTGTVLGDAVVGAMASSWRLLLQGITSLVFLCARGADEYVRPPPSPLVLTAHGKPASHPQQVHISMVGEKNMRISWVTDDLNAPSVVEYGTSPGKYTASATGDHTTYRYFLYKSGAIHHATIGPLEASTTYHYRCGKAGDEFTLRTPPARLPVEFVVVGDLGQTKWTASTLSHIGGGGGDYDVLLLPGDLSYADTQQPLWDTFGRLVQPLASARPWMVTEGNHEIEALPVVGIAPFAAYNARWRMPREESGSPSNLYYSFDAAGGAAHVVMLGSYAEFEEGSPQRAWLERDLAGVDRRRTPWLLALVHAPWYNTNEAHQGEGERMRRAMESLLYEARVDVVFAGHVHAYERFTRIYDNEADSRGPMYITIGDGGNREGLALKFIKGHKSAHLSEFREASFGHGRLRILNETSAVWTWHRNDDQFATVRDEVWLHSLAAGEPAATVASAAAAGGGHPADEL >ORUFI11G03230.1 pep chromosome:OR_W1943:11:2250992:2254926:1 gene:ORUFI11G03230 transcript:ORUFI11G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMTNTALAFFLLVAAASLLSLPPPSLAVTSPYVRPKPRATLSLLKDDDDGRKPEQVHISAVGSDKMRVTWITGGDAPATVEYGTTSGQYPFSATGSTNTYSYVLYHSGNIHDVVIGPLQPSTTYFYRCSNDTSRELSFRTPPASLPFKFVTGWTESTLRHIGGDDYDMLLLPGDLSYADLYQPRWDTYGRLVEPLASARPWMVTQGNHEVERIPLVEPHAFKAYNARWRMPFDAGASPSGSNLYYSFDVAGGAVHVVMLGSYAGYAAGSAQHRWLRRDLAAVDRARAAFVVALVHAPWYNSNEAHRGEGDAMRAAMEELLRGARVDAVFAGHVHAYERFARVYGGKEDPCGAVHVTIGDGGNREGLAGSYVDPQPAASAFREASFGHGRLEVVNATHALWTWHRNDDDEAVVADQAWITSLASNPACNK >ORUFI11G03240.1 pep chromosome:OR_W1943:11:2255723:2258467:1 gene:ORUFI11G03240 transcript:ORUFI11G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARNYLNLDFDVSDTKPLPDYCFSEASEVWRAHSDRSDEEREQEQAVLRFYEEKEKELEAIDDCSEALGDVDCDDEEEEEDEGTCELCMNEGLAPSPRNHGEHLCPVYLEMVNQQILEEIKDQDLHNEDNNIFERFGVMVDWEDEDEDDDGEEFEEINKKFIQLLGHGNELAREIMDGAPAA >ORUFI11G03250.1 pep chromosome:OR_W1943:11:2261735:2262010:1 gene:ORUFI11G03250 transcript:ORUFI11G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTNLYLENLCIMQENERLRKKAQLLDKENKALLAKLKLKNNPSTAAAAAAASSPSSQQQPDAGASAAASVVKAGAAAPSSSYGGKKTK >ORUFI11G03260.1 pep chromosome:OR_W1943:11:2263351:2263833:-1 gene:ORUFI11G03260 transcript:ORUFI11G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRRGDDNTSPLSLPSCPRRRRPRAGDLGAAHRRAAPPPLLLRLSTLLAISDPQRLVAEDDGRQHWKGYTGAGMCRHRCTEGHHLRYRCCFRSDGRWRKGDDRGGGERRRRRGSGRGWGGVGVYRETGKRNGVGPAVGQPILAGQVWPARNSVWPVI >ORUFI11G03270.1 pep chromosome:OR_W1943:11:2266317:2266745:1 gene:ORUFI11G03270 transcript:ORUFI11G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGVKGAAAVVFVDDDDDELFDIDIALLDRHYYHQRRRDDDDEAEDKDGGGGDALLANCLLPVSSVSKAVPVTATTAASSSSASTFLPAVRYGGSRSMLLFNGRGGGGRRLVRRGSNSSARFWLAARGLDAAMGNFQRY >ORUFI11G03280.1 pep chromosome:OR_W1943:11:2269765:2274320:1 gene:ORUFI11G03280 transcript:ORUFI11G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFAHLVYLGLWQNTMEENKGRERSAATTSMCRGAV >ORUFI11G03280.2 pep chromosome:OR_W1943:11:2269765:2275430:1 gene:ORUFI11G03280 transcript:ORUFI11G03280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFAHLVYLDGDSSCLPSKPSLLDTSKCLDETALSTKRQPLKMTAVVS >ORUFI11G03290.1 pep chromosome:OR_W1943:11:2270971:2271873:-1 gene:ORUFI11G03290 transcript:ORUFI11G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEPLVVGRVIGEVLDTFNPCMKMIVTYNSNKLVFNGHELYPSAVVSKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVISYESPKPNIGIHRFIFVLFKQKRRQTVIVPSFRDHFNTRRFAEENDLGLPVAAVYFNAQRETAARRR >ORUFI11G03300.1 pep chromosome:OR_W1943:11:2280519:2286096:-1 gene:ORUFI11G03300 transcript:ORUFI11G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASSSSGHPRQNPHVLGYGFHGAMPNSLPSANLFEQQGGANYFGELEEALMQQVATLRRTQQTATTTSTLHHGDTTPFSTTATAAATARPPPTLDIFPSWPMRSLHTPKEGSNVTADTTDSESSSKNNSNQNASSDQHVLVGDMAGQFDQIPQQEQHKKMATNSPTHSSKTGKALDPKTMRRLAQNREAARKSRLRKKAYIQQLESSKLKLAQMEQDIHRARSQGLLLGAPGGNTSSGAAMFDVDYARWLEEDSQRMAELHGGLHAHLPDSDLRAIVDDTLTHYDHLFNLKGMAAKADVFHLITGMWATPAERCFLWMGGFRPSELLKTLTPQLDPLTEQQVVGICNLQQSSQQAEEALSQGLDQLHQSLAETVAGGSPLDDPNVGSFMGHMAIALGQLSNLEGFVIQAARCFLAIGEYHNRLRALSSLWASRPREILVADEGNCGELSIAAHPSESQYSAF >ORUFI11G03310.1 pep chromosome:OR_W1943:11:2286190:2289255:-1 gene:ORUFI11G03310 transcript:ORUFI11G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNDHCLGTGRRAEAPRRRAGWRAAVAVAVGGGAPIEPPTSLRPRSGARATAHRNGQACLLSLLLVIKGKPQAAQKPDC >ORUFI11G03320.1 pep chromosome:OR_W1943:11:2294874:2295239:-1 gene:ORUFI11G03320 transcript:ORUFI11G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVIQDDRDKEIKIMGMDGGEILKLHRDAAVSDSDDPAADMAKAAAAAAAAAAADTAGGVVRVKLVISKQQLKKMLHKDGVSLDDMVSLMQREASEQEMISCRGWRPALKSIPEGSDC >ORUFI11G03330.1 pep chromosome:OR_W1943:11:2306043:2308225:1 gene:ORUFI11G03330 transcript:ORUFI11G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMEFEPDKVGTVTVNGKVYSFRRVHWHAPSEHTINGEKHPLELQMVHAAADGSLAVIAILYKYGAPDSFYFQLKRKLAELAADGCSFGEENAQVALGLVHLRSLQKRTGSYFRYAGSLTAPPCTEDVFWSVLGKIRQISQEQVALITALLPAGGARPTQPLNGRTVQFYNPPNSTISFKV >ORUFI11G03340.1 pep chromosome:OR_W1943:11:2308869:2309594:-1 gene:ORUFI11G03340 transcript:ORUFI11G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARDLSGDPPESTRLRIGDDIAWSDVGGVYDRDDSLKENTNPKCILKNHLPGAHNGGSQRFSGNLKPTAAPIIGISGKLGQGGKNRHHPPAMFPKKVAVTGGGGRNPKAAVPEHEPTSPKVSCIGKVLSDRERARRGRRPAGRMVPAGGCCPGLGGLFRRSHSRKKNAVECVDQSPPPLPPWASRRGEPKEVKEATPAAAAAMAPGLGGMMRFASGRRAADWATEMEPDGRVARSGPL >ORUFI11G03350.1 pep chromosome:OR_W1943:11:2314139:2329793:-1 gene:ORUFI11G03350 transcript:ORUFI11G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRTGEGARREALWAARRWTACAEKRRRGWREAKVPFAGERLAAAGREESPGQATAGAMCGTVQNGLLFDERGTPGFFLEERLKCETGFMGRIQEAFLGSSWWGTGDAASEGVMIGGKLSSSVTRGRKQGKQYGKPRLEKTVHHNWSKVENLQVCI >ORUFI11G03360.1 pep chromosome:OR_W1943:11:2318396:2323314:1 gene:ORUFI11G03360 transcript:ORUFI11G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEVALLRGPTNLASPTSSTLRYLANGDSDVSLPRRSSREEESPAGLQEEEEEEEEERWSFLALLLELLRKSLLRCSTVQEGGGGGGECGMEIGWPTDVQHVAHVTFDRFHGFLGLPVELEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLRAEGIFRINAENSQEEFVRDQLNSGIVPDGIDIHCLSGLIKAWFRELPSGVLDSIPPEQVMQCQSEEDCARVAKCLPPAEAALLEWAVNLMADVVQEEQINKMNARNIAMVFAPNMTQMSDPLTALMYAVQVMNFLKMLIQKTLKGRQESNLEDTSLPHKDPSDESGHHKPCLTLESLLEEESRRPSFVEEEPILNSPAHGTGYNPIEVNPVQGKTAASIAQTSEVQTIIEGSSSCSRPSLTDPPATADPVCAEAANSLQRKGSRSLNSRRTRKGKGQSGTSATSSAEKSKGTSIVSRINSKIERIEAWR >ORUFI11G03370.1 pep chromosome:OR_W1943:11:2325291:2326166:1 gene:ORUFI11G03370 transcript:ORUFI11G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGALKRLFEKPLPENPTLLEALSAWNHTHRKKLVDPASFTEIFGELHFQEKPPVVDSLARAAARPSPSPPPPPPPRRTVSWLDITDAATADNDNDKSKDDSSLDALLKPPRPASGGATVKRSASFCLKSSTSLLLCTEGLGSESTVDADDMVKDGDGSGAVVDSGMDVDDDASDVAAAVAGDDAFGAGGKENRPPPPPPSFPPPIRSIGRGGKPSVCFRSVRAEGRFVLMEVVIPGKDLLRATREGGRLRLQFSNAAAAAAAAVGVIDDEEMHGQEAAACVGGDTFA >ORUFI11G03380.1 pep chromosome:OR_W1943:11:2329539:2345372:1 gene:ORUFI11G03380 transcript:ORUFI11G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALTLSSRPAAASRSPAKGTFASLHPRRRFSAHAVHLRAAQSASLRAPSPGARRRRRRGSGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIVEPMRDIRRALLEADVSLPVVRRFVSSISEKALGSDLIRGVRPEQQLVKIVHDELVKLMGGEVSDLVFAKSGPTVILLAGLQGVGKTTVCAKLAFYLKKLGKSCMLVAADVYRPAAIDQLTILGEQVGVPVYSEGTEAKPAQITKNAVEEAKRKNIDAIVMDTAGRLQIDKSMMVELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERMEDLELFYPDRMAQRVLGMGDVLSFVEKAQEVMRQEDAVELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRVVGMIPGMNKVTPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRDRRIRVAEESGKSEQEVSQLVAQLFQMRAQMQKLMGVMTGQEALPGMGNLMESLNADEKATSSTTLALSSPPTAAARRSPAKAIVASHHLRHSSVPALHLRAVPGPSFRALPSPGFPGWRRKRGSGLVVRAEMFGQLTTGLESAWNKLRGTDQLTKDNIAEPMRDIRRALLEADVSLPVVRSFIESVTEKAVGTDVIRGVKPEQQLVKLMGGEVSDLVFAKTAPTVILLAGLQGVGKTTVCAKLAYYLKKMGKSCMLIAADVYRPAAIDQLTILGKQVGVPVYSEGTEAKPSQIAKNGIKEAKSKKTDVIIVDTAGRLQVDKAMMSELKEVKRAVNPTEVLLVVDAMTGQEAASLVSTFNVEIGITGAILTKLDGDSRGGAALSIKEVSGKPIKFVGRGERMEDLEPFYPDRMAQRILGMGDVLSFVEKAQEVMRQEDAEELQKKILSAKFNFNDFLKQTQAIAQMGSFSRIIGMIPGMNKVTPAQIREAEKNLKFMESMINVMTPEERERPELLAESRERRIRVAKESGKNERQVSQLVAQLFRMRAQMQKMMGAMQGQDTPDMEGLMDSIKAEEQAAAGTGKRRRKYGNLRRRDLDAMRDVLGSRPKRLTTCLPPPPPPLRRNPKPARPPPMAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDKATYDKLLSEVPKYKQITPSVLSERLRINGSLARQAIKDLESRGAIRVVSVHSSQLIYTRATNA >ORUFI11G03380.2 pep chromosome:OR_W1943:11:2329888:2345372:1 gene:ORUFI11G03380 transcript:ORUFI11G03380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSSTTLALSSPPTAAARRSPAKAIVASHHLRHSSVPALHLRAVPGPSFRALPSPGFPGWRRKRGSGLVVRAEMFGQLTTGLESAWNKLRGTDQLTKDNIAEPMRDIRRALLEADVSLPVVRSFIESVTEKAVGTDVIRGVKPEQQLVKLMGGEVSDLVFAKTAPTVILLAGLQGVGKTTVCAKLAYYLKKMGKSCMLIAADVYRPAAIDQLTILGKQVGVPVYSEGTEAKPSQIAKNGIKEAKSKKTDVIIVDTAGRLQVDKAMMSELKEVKRAVNPTEVLLVVDAMTGQEAASLVSTFNVEIGITGAILTKLDGDSRGGAALSIKEVSGKPIKFVGRGERMEDLEPFYPDRMAQRILGMGDVLSFVEKAQEVMRQEDAEELQKKILSAKFNFNDFLKQTQAIAQMGSFSRIIGMIPGMNKVTPAQIREAEKNLKFMESMINVMTPEERERPELLAESRERRIRVAKESGKNERQVSQLVAQLFRMRAQMQKMMGAMQGQDTPDMEGLMDSIKAEEQAAAGTGKRRRKYGNLRRRDLDAMRDVLGSRPKRLTTCLPPPPPPLRRNPKPARPPPMAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDKATYDKLLSEVPKYKQITPSVLSERLRINGSLARQAIKDLESRGAIRVVSVHSSQLIYTRATNA >ORUFI11G03380.3 pep chromosome:OR_W1943:11:2329539:2339150:1 gene:ORUFI11G03380 transcript:ORUFI11G03380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALTLSSRPAAASRSPAKGTFASLHPRRRFSAHAVHLRAAQSASLRAPSPGARRRRRRGSGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIVEPMRDIRRALLEADVSLPVVRRFVSSISEKALGSDLIRGVRPEQQLVKIVHDELVKLMGGEVSDLVFAKSGPTVILLAGLQGVGKTTVCAKLAFYLKKLGKSCMLVAADVYRPAAIDQLTILGEQVGVPVYSEGTEAKPAQITKNAVEEAKRKNIDAIVMDTAGRLQIDKSMMVELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERMEDLELFYPDRMAQRVLGMGDVLSFVEKAQEVMRQEDAVELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRVVGMIPGMNKVTPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRDRRIRVAEESGKSEQEVSQLVAQLFQMRAQMQKLMGVMTGQEALPGMGNLMESLNADEKAPPGTARRKRRHSKTRQRELDAVPSS >ORUFI11G03380.4 pep chromosome:OR_W1943:11:2329539:2345372:1 gene:ORUFI11G03380 transcript:ORUFI11G03380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALTLSSRPAAASRSPAKGTFASLHPRRRFSAHAVHLRAAQSASLRAPSPGARRRRRRGSGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIVEPMRDIRRALLEADVSLPVVRRFVSSISEKALGSDLIRGVRPEQQLVKIVHDELVKLMGGEVSDLVFAKSGPTVILLAGLQGVGKTTVCAKLAFYLKKLGKSCMLVAADVYRPAAIDQLTILGEQVGVPVYSEGTEAKPAQITKNAVEEAKRKNIDAIVMDTAGRLQIDKSMMVELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERMEDLELFYPDRMAQRVLGMGDVLSFVEKAQEVMRQEDAVELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRVVGMIPGMNKVTPAQIREAEKNLKFMESMINVMTPEERERPELLAESRERRIRVAKESGKNERQVSQLVAQLFRMRAQMQKMMGAMQGQDTPDMEGLMDSIKAEEQAAAGTGKRRRKYGNLRRRDLDAMRDVLGSRPKRLTTCLPPPPPPLRRNPKPARPPPMAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDKATYDKLLSEVPKYKQITPSVLSERLRINGSLARQAIKDLESRGAIRVVSVHSSQLIYTRATNA >ORUFI11G03390.1 pep chromosome:OR_W1943:11:2346889:2349918:1 gene:ORUFI11G03390 transcript:ORUFI11G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVAPEWATKEPCLMGIDEAGRGPVLGPMVYGCMYCARSYQDTLATLKFADSKTLKEEQREELFESLKDNSSIGWEVDVICPKELSAKMLKRSKVNLNEISHNSAMGLVKRALDMGVLLAEVYIDTVGDAEKYRIKLTEKFPGIKFVVAKKADSLFPVVSGASIVSKVTRDRALRNWVFDETAQNMHMNTGSGYPGDPSTKQWLEDHKHPIFGFPSLVRFSWGTCTPFFNDAIEVTWESNELEEDAGSNGSVKRQVKLSSLGFTGFKRKSEEIDSSGKGRCKFFQARKLELVRKFQ >ORUFI11G03400.1 pep chromosome:OR_W1943:11:2347605:2357026:-1 gene:ORUFI11G03400 transcript:ORUFI11G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSTVSLRRGGGAPASAEGEVGVDVAAMPASGGRRGRANRRYNSVRHAFVLASRIESFRDQSHIAMGVAASNCGLQRRRRRCPSSDDRLEGELGRNLVGEVVAVPPAGAGDDDDGRGSPWSDLPPELAGLVFCRLLSHGDRRRFRAVCSDWRLAAREQVAVTTGPSSSSLQLPPSLPWLALDRRTYQSLPDGEVHRFADGPGIMVCRGSFDGWLLYHRNGYRDIRSSFLWNPFSGAVLDLPSRCDDAAGGEPMCFVNAIKRKIVVCSPDLVAAAVEYTSLIFHLPNKHSSWARTNPNICCHDIAFHHGKLYSINNNDALFVHEFFTTTAADRGGGSARVTASSDWAAVTDARPPREHLGNHGYHLRFTSYLVASLAGKLLLVRWSLPDELFSGEGGRLAFSLLSNLITVRVFEADMEARRWTEVTDIGDDQALFVSATCSRALRLPDNNGGGRHGFLRGNRVFIVGSDLGRRCGGGGGGIGCCCCSCGVYDMSNGRFSTVSLKRWRAGHEQRSRDTKSIVVRTSGRTSTTQSAMDDAKLDQEVVVLVPPASGVDERASSPWPDLLPELAGMVFCRLLSYRDRLRFQAVCRSWRLAAPRQHPLPPPLPWLSLDRATYQSLPDGEVHRLVPDPDDDELPGTVCRGSFDGWLLYHRPPEQPPPQPQRQPECFLRNPLSMAKIALPNHAPVGLCPGGCYDAMCFPKPEEFMRKIIVRSPDHVAAMTDYFILLHLPPKPPQLPYWSFTAATISIDDGGLFTSHHCWRDMALYHGKLYSISTGEELFVHEFSSSEAVSSPSSSTTTATQHRPRYCRGEVVIDTAPPLDDEEHGYYWVRNLHLVESCTGDKPLLMVRWRWRLPAVYDYRHWCADELSKEIKLDVFEADMENRRWSEVEEIGDQAIFLGNTNSKAVPTLPDHGSSIFFLGSIVTDYCLDGIIDGIGDCAYGVYNMKNGTFRFDNPVSIKRDRVFESAQEKNNKLSKFTGGKILYVRHQQQGEVKYY >ORUFI11G03410.1 pep chromosome:OR_W1943:11:2357044:2357559:1 gene:ORUFI11G03410 transcript:ORUFI11G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLHFRPPKPTSANAVAAAATADGDLLELDVLWPASRAPGLLAALPEEDGKRRRKRGGSGSGSVAVRSAARPIPETAALTLVPSSSAAAMAKSAPVRIPSEAAAARRGVMWAAQAGGEDGEAAMVPPHEIVARRAAAHSSVLEGSGRTLKGRDLHRVRNAVLRRTGFLD >ORUFI11G03420.1 pep chromosome:OR_W1943:11:2367699:2370111:1 gene:ORUFI11G03420 transcript:ORUFI11G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRSGVGGAISDPFATPGFRFYPTEEELLGFYLRHRLAGTRPDVERVIPVVDVYGYHPSQLAALAGEASARDTEQWFFFCPRAERELHGGRPARTTPSGYWKATGSPSCVISSATNRVIGVKRTMVFYQGRAPTGTKTRWKMNEYKAVADDADAAAAAMLHPMAPPRLRNELGVCRVYISTGTLRSFDRRPLDNQAAAPTQQQVMPSLTAAAAVNTNLCGGGGGVVFAGAQGDSSRDCSSSSGSRELAGGADGSEDDAIDWNSLISSATADDLGFNTVVGFDPSIVGSWPQV >ORUFI11G03430.1 pep chromosome:OR_W1943:11:2380683:2382875:1 gene:ORUFI11G03430 transcript:ORUFI11G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHSSSDGQRQCRRRGVGVDVELDAAMALADMAGAAPGQPEASPPPHATQEAAAAAVAKGEQEDEAEMASTRLSLELGKVGIQSSPPCSSSSSAGHPAMQPAAAATAAPGYGPRPRHMLTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSSQNETMKKVAIRTIKKAAVAPPTTATPPSTAPQPSFLYTAAPAGVAPVPYVWGSWPPCGPTGYEPPPPLCLPPCAWYYPVVADPRVSSPPTSTYPQSYQEQPTSSPGGGTAEEDTDDDPCSLTLAIDVDKRSAPGAGGSAAGAGQHASISDREKATAAAEARKRRKELTKLKQMHGGGGGSRPGGGGEHW >ORUFI11G03440.1 pep chromosome:OR_W1943:11:2384845:2388205:1 gene:ORUFI11G03440 transcript:ORUFI11G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPQPQPTTTATTSANGGKVTPNLTMDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQHDCEAVASLFGRILNAYAKVPPKPKVPSTKSEFEELEAVPTSAAIDGPLEPSPATTTIVSDAPDESLSAASIGSVSNAPMAGRAHPSSESVATPHVPLIIPSATPTHQIPPPLVGSSAPPLPLHDTNVHTARSANLVTPAFFTPPSSSSTSMVPPASSMMPTAPPLHPTSASAQRATYGTPLLQPFPPPTPPPSLTPSYNEGPIISRDKVKEALLRLVQNDQFIDLVYRELQNAHM >ORUFI11G03450.1 pep chromosome:OR_W1943:11:2388844:2389800:-1 gene:ORUFI11G03450 transcript:ORUFI11G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRAPACGGGVGTVIEDLPTDVLALVLRRLDGASLAAVGCACSSFRELAVDQETWRGLCLALWPSVRDVLGCGGGGGGDGYRAFFADAFPFPEAAAAVASAAPAPVPGSLPSRLVSAVDLHHGGVCIMSRVVETDTSSAWFLGSPFRVDALVQEGFSAPSPITPSSLTLSWILIDPASGRAMNASSRRPVAVDRKWLTGETVARFTVMLGGGVALDAAVTCDDRYGHVREVSLCVEDGEGGGVSGQDGLGAVAAAMAGARRGKGAEAAARQRYEEFVKGKRARKEWKARREGIVDLCCSGVGAAAFVGFLVMLTFR >ORUFI11G03460.1 pep chromosome:OR_W1943:11:2397837:2399027:-1 gene:ORUFI11G03460 transcript:ORUFI11G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYQMRDGDLADGGWTTGGAVPRAVIVAGVGAVELLDELFFFFSFSPELETTAYLSILHANSPMKACSTSLSQYSVFSPIGAGDVAAHRKPPDASTAPPLVPTAMPPPSSS >ORUFI11G03470.1 pep chromosome:OR_W1943:11:2415706:2421824:1 gene:ORUFI11G03470 transcript:ORUFI11G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGALVVQVMDDSSNGGVGAAAAGGSFSSLRAYGRALAQTPRRLARRACAATSPGEEMSRVRARSGARMARRLRWHDLVGLGLGGMVGAGVFVTTGRATRLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGELAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIAVPGLPKGFNEVDLIAVGVILLISVCICYSTKESSVVNMVLTAVHVAFILFIIVMGFWRGDTRNLTRPVDLAHNPGGFFPHGAAGVFNGAAMVYLSYIGYDAVSTMAEEVERPSRDIPVGVSGSVVLVTLLYCLMAASMSMLLPYDAIDTEAPFSGAFKGSSGWGWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLAKVHPCTATPVNASAFLGVFTAALALFTELDVLLNLVSIGTLFVFYMVANAVVYRRYVAADDDDADHRRAWPTLVFLAAFSLVALCFTLLWQFAPAGRARTGLLAACGAAAVATVGAFRALVAEARRPELWGVPAMPWVPAASVFLNVFLLGSLDRPSYVRFGFFTAAAVLVYVLYSVHASYDAEEGGGAGAGAAALDGAKVQDEAADFKV >ORUFI11G03480.1 pep chromosome:OR_W1943:11:2425420:2432567:-1 gene:ORUFI11G03480 transcript:ORUFI11G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTSSWTMNLCGSPICSKKDVVSCAFKEILDSSTCMNHLVVFGITALLTVALALHLLIKIPKSRASARQLAAFNSPLQLAAVVFNGCLGLLNLGLGLWMLRISFSQDSSISQSHWWLVILAQGFNLILTSFTFSVRTRFLGATFVRFWSVGLTICAAFICCCSVVYMVEEKEITFKASLDVLLLPGALILLLYAIRHSHDEEGYETNANALYKPLNTEKDHDTADSEIHVTPFAKAGFFSVMSFWWLNPLMKMGYEKPLEDKDIPRLGSTDRAQNQYLMFLDELNSKKQSEPHATPSVFWTIVSCHKSGILISGFFALLKVLTLSSGPLLLKAFINVTLGKGTFKYEGIVLAVTIFFCKCCESLSQRQWYFRTRRLGLQVRSFLSAAIFKKQQKLSNLAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCIALAILYNAVGLAMISSLVVIIITVICNAPLAKLQHKFQTKLMEAQDVRLKAMTESLVHMKVLKLYAWETHFKKVIEGLREVEYKWLSAFQLRRAYNGFLFWSSPVLVSAATFLTCYLLKVPLDARNVFTFVATLRLVQEPIRQIPDVIGVVIQAKVAFTRVVKFLDAPELNGQRRNKYRAGAEYPIALNSCSFSWDENPSKQTLRNINLAVKVGEKVAICGEVGSGKSTLLAAVLGEVPKTEGTIQVCGRIAYVSQNAWIQTGTVQDNILFGSSMDKQRYKETLVRCSLEKDLAMLTHGDCTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTASSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSDGEIIQSAPYQDLLACCEEFQDLVNAHKDTIGVSDINNMPLHRAKEISTKETDDIHGSRYGESVKPSQADQLIKIEEREIGDTGLKPYTLYLRQNKGFLYASLAIISQIIFICGQISQNSWMAANVENPSVSTLRLIVVYIAIGVCSMIFLISRSLCIVVLGMQTSRSLFSQLLNSLFRAPMCFYDSTPLGRVLSRVSSDLSIADLDVPFFFMFSMNASLNAYSNLGVLAVVTWQVLFVSVPMIILAIRLQRYYLASAKELMRINGTTKSALANHLGESVSGAITIRAFEEEDRFFAKNLELVDRNAGPYFYNFAATEWLIQRLEMMSAAVLSFSAFVMAILPPGTFSPGMALSYGLSLNTSFVLSIQNQCNLANQIISVERVNQYMDIESEAAEVIEENRPAPDWPQVGKVELRDLKIKYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSADITTIGLHDLRSCLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLETVQEKEHGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCTMVLAMSDGKMVEYDKPMKLMETEGSLFRDLVKEYWSYASNGNI >ORUFI11G03480.2 pep chromosome:OR_W1943:11:2425420:2432567:-1 gene:ORUFI11G03480 transcript:ORUFI11G03480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTSSWTMNLCGSPICSKKDVVSCAFKEILDSSTCMNHLVVFGITALLTVALALHLLIKIPKSRASARQLAAFNSPLQLAAVVFNGCLGLLNLGLGLWMLRISFSQDSSISQSHWWLVILAQGFNLILTSFTFSVRTRFLGATFVRFWSVGLTICAAFICCCSVVYMVEEKEITFKASLDVLLLPGALILLLYAIRHSHDEEGYETNANALYKPLNTEKDHDTADSEIHVTPFAKAGFFSVMSFWWLNPLMKMGYEKPLEDKDIPHGEIIQSAPYQDLLACCEEFQDLVNAHKDTIGVSDINNMPLHRAKEISTKETDDIHGSRYGESVKPSQADQLIKIEEREIGDTGLKPYTLYLRQNKGFLYASLAIISQIIFICGQISQNSWMAANVENPSVSTLRLIVVYIAIGVCSMIFLISRSLCIVVLGMQTSRSLFSQLLNSLFRAPMCFYDSTPLGRVLSRVSSDLSIADLDVPFFFMFSMNASLNAYSNLGVLAVVTWQVLFVSVPMIILAIRLQRYYLASAKELMRINGTTKSALANHLGESVSGAITIRAFEEEDRFFAKNLELVDRNAGPYFYNFAATEWLIQRLEMMSAAVLSFSAFVMAILPPGTFSPGMALSYGLSLNTSFVLSIQNQCNLANQIISVERVNQYMDIESEAAEVIEENRPAPDWPQVGKVELRDLKIKYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSADITTIGLHDLRSCLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLETVQEKEHGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCTMVLAMSDGKMVEYDKPMKLMETEGSLFRDLVKEYWSYASNGNI >ORUFI11G03490.1 pep chromosome:OR_W1943:11:2454012:2461338:1 gene:ORUFI11G03490 transcript:ORUFI11G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGDEGDDGGEKQCRTADDIRIADCTVAAGGDGCQPWRILQVKEADEQVKVKREAGAIANGSARHTQASASANSAATTNQQRTQQTSTAAGSSFPHSNSLAAAAAAARFLVACMHNCIEYAAAAAEIDVMEKD >ORUFI11G03500.1 pep chromosome:OR_W1943:11:2454639:2455714:-1 gene:ORUFI11G03500 transcript:ORUFI11G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNHPLFSQEQPQSWPWGVAMYANFHYHHHYEKEHMFEKPLTPSDVGKLNRLVIPKQHAERYFPLGAGDAADKGLILSFEDEAGAPWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDVVHFERVRGSFGVGDRLFIGCRRRGDAAAAQTPAPPPAVRVAPAAQNAGEQQPWSPMCYSTSGGGSYPTSPANSYAYRRAADHDHGDMHHADESPRDTDSPSFSAGSAPSRRLRLFGVNLDCGPEPEADTTAAATMYGYMHQQSSYAAMSAVPRAIHKKIDYEI >ORUFI11G03510.1 pep chromosome:OR_W1943:11:2463080:2468352:-1 gene:ORUFI11G03510 transcript:ORUFI11G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHHLVASLLLFFSCCHAVAAGAGVRGRLPPTLATWRQYAAAAAPPPQVVQYETRYFTQRLDHFNELPASNGTFRQRYLVNGTFWGGAAAPVFVYAGNEGDVALFASNTGFMWEAAPRFRAMLVFVEHRYYGESLPFGGTRAAAFADASAAGYLTTAQALADFAELILSLKSNLTACKAPVVIFGGSYGGMLAAWMRMKYPHIVMGAVASSAPILGLNSESKHCYDVLRNSWSEMYKALATDAGRARLNQTFNMCKGNVDDIPGLVEKALIYGSMMDYPTPSNFLTSLPAYPVREICRAIDKPTSGNDTVSRIKDAMTIYYNSTGGLACFPGAGAEDDDPYGMFPGWTWQACTEVIMTMSYGIGNATVFPPDPFNLTAYLAGCLATTGVPPRPHWIQSYFGGYDIRNVLKRSGSNIIFFNGLRDPWSAGGILKSISNSIIALVEPKGGHHVDLRFSTKEDPEWLKKVRRQEMRIIADWLKQYYSDEAIDSMMN >ORUFI11G03520.1 pep chromosome:OR_W1943:11:2481239:2482264:1 gene:ORUFI11G03520 transcript:ORUFI11G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKGFTSIFSRLLVVTGGGDDERGGGAAATTSPSPPPWPWPSCGNRPRTVSSRRDGGCSTSAAAAASAAAARRIAGEMYKTVNSVYFDYSAADGYSCFDDDDGRVVDDDDDGDSFSTTTASEEWSEAVIRSLGRTSTDRFFFDPGPPTNSILAAAAVPETKPVAAAAVFHDEEKEKLPEPPASLVEESVAVAVESEDPYSDFRSSMEEMVAAHGLRRWDALEELLVWYLRVNGKHNHALIVAAFVDLLVGLAAAATTTGTTPTTTTTTSSGRSTASTSTACDITTTTTITTSSATATMEPCPCGGGSSDDLEEEEEEARVMISLGASSCSLAPSTFANS >ORUFI11G03530.1 pep chromosome:OR_W1943:11:2487791:2488519:-1 gene:ORUFI11G03530 transcript:ORUFI11G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGGGGGGGGRHQFPVGRRRRHVPVVDSGCGCRPRRPRLLSLASFIWPSSMSSACKQAAAAAVAVPARVGGGGSKSKSSPYYFRSSSSAASFSSSSAATTYSTASYYSSSRGGGGGAKKKKQEEEPPYLAPPKGKAAAKSPSRRKKKTAEEDDGGGGVGVAVEKESSDPRADFRESMVQMVVEMGLCHWDDLRSMLRRLLALNAPAHHAAILTAFAEVCAQLAAPSPPPPPYGGHHRRS >ORUFI11G03540.1 pep chromosome:OR_W1943:11:2504376:2509309:1 gene:ORUFI11G03540 transcript:ORUFI11G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGELISKVLLVLFGYAMPAFECFKTLETRPDDAHMLRFWCQYWIIVSMVIACESFVSWMPMYGEIKLAFFVYLWYPKTKGSDVVYDSFIRPTVMQYEPNIEQRLEHLRANSGQLIAFYIKNFADKGTAFFMDFLRYVVSERPEAAANSEPHRRQDEREHHGCDGSGSDSWVDVMTAAVGWMRQRQSGALDATTARRRQRMAAVQRSSWSSWNPFASRRQEPSPPPSAPPRERRFSGADPDDEPPAIADVFRASLGGGAMNRRPHNNNNN >ORUFI11G03540.2 pep chromosome:OR_W1943:11:2504376:2509309:1 gene:ORUFI11G03540 transcript:ORUFI11G03540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGELISKVLLVLFGYAMPAFECFKTLETRPDDAHMLRFWCQYWIIVSMVIACESFVSWMPMYGEIKLAFFVYLWYPKTKGSDVVYDSFIRPTVMQYEPNIEQRLEHLRANSGQLIAFYIKNFADKGTAFFMDFLRYVVSERPEAAANSEPQRSSWSSWNPFASRRQEPSPPPSAPPRERRFSGADPDDEPPAIADVFRASLGGGAMNRRPHNNNNN >ORUFI11G03550.1 pep chromosome:OR_W1943:11:2504423:2507738:-1 gene:ORUFI11G03550 transcript:ORUFI11G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLACAYSYCYRSRLRQQYGLQEKPCGDRCRAASTGATSLAPSATNIQLWLTELSMKSRRNNRRAPPLTTIKDWILVTESPTNGRRNHRRPAATIAGLQVPHRQAPTLDHQKNDWIWVTEIPMNSKATQSDPPHHGRHSLSPPCRRRIQGAALPLSHPPHRGRHHIHPAVAAAAVASMFKEAVIWTSLLEISGTKFDLDGPRELRVFETMNETDEKDLGDELAQHVAAGRSARESQRGEEEAGRGDAREWKKKELIISLPVEVCDM >ORUFI11G03560.1 pep chromosome:OR_W1943:11:2510045:2510596:-1 gene:ORUFI11G03560 transcript:ORUFI11G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAAVAAVVESASPSPSPARKRCRLGGPVVANGEGGAASNTTTSSEFQLRHWRPAAAGKRAGLGMRRRWAPPEIEIPGGGSGVGVGARGYTSLRDILSSPEYAASSKSSSPADGGSGGGGGGGDVHMIRHPLVKHAAYAYLQLTPSARDAADAAGRRRRRSRGPLCRLLLGCLGFVGALFGR >ORUFI11G03570.1 pep chromosome:OR_W1943:11:2518290:2521498:1 gene:ORUFI11G03570 transcript:ORUFI11G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCAVQQALAAEAAGVVRQAVTLARRRGHAQVTPLHVASAMLSAAGLLRAACLQSHSHPLQCKALELCFNVALNRLPTAGPAAAAAIFHHHPHHPGGGGGHHPALSNALVAAFKRAQAHQRRGSVEGQPPPQPPPSPVVASKVELEQLIISILDDPSVSRVMREAGFSSSQVKANVEKAVVASLDHANAAGGGGGHAGSPNSGHGGRRKESSSSRARVDDDAMRVLDCMASGTKRCVVVVGGEGAAAAEAVVKAVMDRVSKAELHHRHERLKNLQFVPLSIASFHGAPREEVEAKASDLRALVRSGCAAGKGVVLVLEDLAYAADAWAAASNTRRRAAAATGGQSYCPMEHAVMEVSSLVSGGGGGGERFWVLGFGSYQVYMKCRAAGQPPLEAVWELHPVVVPDGGLALSLTCSEASQATHQAAAPTAGWPFVNGAGEAAATTASPTIPPWLRRYQDPDHATPASCGTGLQIQDLWNPMRNGSAPHHTSELTLSFSSPSPSSISGYTSCYNNNNMMSSKPWQLEARQPWPIHGHEGQRMAMASYHDHHPLDTNPSPESNSVSNSLDGGETRRPKFIELNAENLKILCNALESRVPQHSNIVPDIASTVLQCRSGMKKMKLRHKEIIKASSTTWLLFQGRDVDGKKAMAQELAKLVFGSSTEFSSISFDELTSPYSDSSSGELTLKRQRSADSNEHSFAQRLCEIVSKNPHQVIVINDIEQLDQDSEISIKKAIANGRMRGCTGEEVDFEDAIIVLSYEEEFDSRSRASSSPRVKQRLMNNNDDEESSSTEKGDNSPQRFSLDLNACLEDEEEDEGFLLIDNGVGMHDIVDGVFFFGLMADF >ORUFI11G03580.1 pep chromosome:OR_W1943:11:2529933:2530322:1 gene:ORUFI11G03580 transcript:ORUFI11G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPQSATVVVVIIIIIIIIIIIIIIIAATVNATPEVDGGKSAPPGARVVDPPIPKIGAAETVAPQADVVVVASTVATGSAAAELPTAGRSRSCRLSATPSRHLPFDETEREREDRIREERWMSVGDKG >ORUFI11G03590.1 pep chromosome:OR_W1943:11:2535020:2548639:-1 gene:ORUFI11G03590 transcript:ORUFI11G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYRWLVGKYPAIVSPANDDDDDVGSSSNGAAAPPVYHNLYLDMNGIIHPCFHPQDQVCPPSPVPTTLEEVFHSMFDYMDRLIRIVRPTSLLYLAVDGVAPRAKMNQQRARRFKSAMAAKQAEVEENILRDRFRAEGKKVLPRETSSSSEVSDPNVITLGTEFMDKLSDALKYYIRARLNSDPLWKDINDADLIMLALASHEVHFSILREEVLHQNNQENTIPITPKTFTSQEAEKFKCRAWFPRITEARPEGKLTKKPYQFLNIWVLREYLELDMKIPNPIQTLDVERMIDDFVFICFLTGNDFIPHIPSLEIHEGAIDLLIEVYKTSFNKMGGYIVDTDKVKDKHAAYLKVSRLEKFFHELSLYEEKIFLKCYDLARGFEDFGRKVGEEAEEQQEQGXGCVQHNFQRKIERQAAENTWNERNTENVEENLDDQCIMVKSSQTDGQVSDEQDITMNTLELRKNLKDILHNKQDLIKTGACKHDKIKLGSPGWKSRFFKEKFDAETKDEIAKLQNEMVTISCFLNYANGILYLTVQKYLEGLCWVLCYYFADVPSWSCSFALPGCYSKLMDCDESAIQAFYPSELDIDTDGKRYLWQGIAKLPFIEDKLLLSVTKTAEKDLAVHELRRNTVRQEKIFLRNSNALAKNEAFAQTSDCSLQKLPIDPATSEIGGWLSPDDDDFSNGFCGSPIENDLSISAKFFNPEAVKPATRLLQNVTVPYKTVTEADICARPLWHTHPYPKHPALSMHNVQQQRLQSSRPETPCWKPSTPPPPRREEIRSAGTGWLGRGRGGNIPVAVAASTAVAGETRQSWSSSRYGRGRGSGATAVGHGQMTTTRHQWSGGGGYGRSSGVDNGGGRGSYNLRPGGGGGGGGGYQWRQQQQTAWRPVGSPWGRGGGGGDGGNGQPRGR >ORUFI11G03600.1 pep chromosome:OR_W1943:11:2554097:2560681:1 gene:ORUFI11G03600 transcript:ORUFI11G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLPTPTKPTRTNLGFASRPTSTSPAASPTSTPPPPRAAPLRRKRCACLPPVSPAVTDRGGLGFGFRVWIGFRPPLRYPSDPISDSLFLSLLESRPGCRLVCSGPADCEIGCFVARFVDRTYASSPGSGNSRGISYMDGIQTSDSSHHGIVENSPYRTPYDRYAEGGQLGASWYFSRKEIEENSLSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILISYEIIHKKDAAAVQRIKQKEVYEQQKELILLGERVVLVTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVAPPPSQGNDTEGSSASVVNQRASGKAPGSSEEPPTHENHLAPRQSSTPGHQGYDHPHPEKQNSSQRVPQNDARDGTANSNEGPNMSSTMDAMKKIDKDKVKAALEKRRKSKGDVAKKVDIMDDDDLIERELEHGVELAAEDEKIKHERRQSWPHSAHREDHQGVARLTENTEEGELSIDSQEYRSPELDNRKRKDMHEHRNYDRGERDLKRLRS >ORUFI11G03600.2 pep chromosome:OR_W1943:11:2554097:2560681:1 gene:ORUFI11G03600 transcript:ORUFI11G03600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLPTPTKPTRTNLGFASRPTSTSPAASPTSTPPPPRAAPLRRKRCACLPPVSPAVTDRGGLGFGFRTSDSSHHGIVENSPYRTPYDRYAEGGQLGASWYFSRKEIEENSLSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILISYEIIHKKDAAAVQRIKQKEVYEQQKELILLGERVVLVTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVAPPPSQGNDTEGSSASVVNQRASGKAPGSSEEPPTHENHLAPRQSSTPGHQGYDHPHPEKQNSSQRVPQNDARDGTANSNEGPNMSSTMDAMKKIDKDKVKAALEKRRKSKGDVAKKVDIMDDDDLIERELEHGVELAAEDEKIKHERRQSWPHSAHREDHQGVARLTENTEEGELSIDSQEYRSPELDNRKRKDMHEHRNYDRGERDLKRLRS >ORUFI11G03600.3 pep chromosome:OR_W1943:11:2554073:2560681:1 gene:ORUFI11G03600 transcript:ORUFI11G03600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYYALKGVYRLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVAPPPSQGNDTEGSSASVVNQRASGKAPGSSEEPPTHENHLAPRQSSTPGHQGYDHPHPEKQNSSQRVPQNDARDGTANSNEGPNMSSTMDAMKKIDKDKVKAALEKRRKSKGDVAKKVDIMDDDDLIERELEHGVELAAEDEKIKHERRQSWPHSAHREDHQGVARLTENTEEGELSIDSQEYRSPELDNRKRKDMHEHRNYDRGERDLKRLRS >ORUFI11G03600.4 pep chromosome:OR_W1943:11:2554097:2560681:1 gene:ORUFI11G03600 transcript:ORUFI11G03600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYYALKGVYRLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVAPPPSQGNDTEGSSASVVNQRASGKAPGSSEEPPTHENHLAPRQSSTPGHQGYDHPHPEKQNSSQRVPQNDARDGTANSNEGPNMSSTMDAMKKIDKDKVKAALEKRRKSKGDVAKKVDIMDDDDLIERELEHGVELAAEDEKIKHERRQSWPHSAHREDHQGVARLTENTEEGELSIDSQEYRSPELDNRKRKDMHEHRNYDRGERDLKRLRS >ORUFI11G03610.1 pep chromosome:OR_W1943:11:2562537:2563298:-1 gene:ORUFI11G03610 transcript:ORUFI11G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDCGNHGDDDIRQACRRLLTILFGLALIVAIIALIVYLVLRPTHPRFFLQDATLRQLDLSNSSTSGVLSTALQVTVASRNPNDRVGVYYDRLDVYASYKYQQITLAASLPPVYQGHGDVDVWSPVLSGPDVPFAPYLGDALAKDVAAELPHPPGPRSTAASGGRSAAGSPATTTSSSPARPSSSPPAATATPAPMASSSRPPPTAASRSSCLVFSGSGDGEVCINVGNYCSVEVGGIEVNLAWVNQSIFCV >ORUFI11G03620.1 pep chromosome:OR_W1943:11:2569067:2572894:-1 gene:ORUFI11G03620 transcript:ORUFI11G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVRLAAVAVFDRGGWRTRRRVMRVMCDGVPVAFRGKNGMEAAFTGPARRFIIAAADIEATALLVT >ORUFI11G03630.1 pep chromosome:OR_W1943:11:2581250:2585806:-1 gene:ORUFI11G03630 transcript:ORUFI11G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAAARPQTAEALSKRASMLRDSLQRSQGNTDGMVTILGSFDHRLSALEAAMRPTQVRTHAIRMAHENIDKTIKAADGILSQFDLARRAEAAVLKGPHEDLESYLEAVDLLKGIVRFFSTNKNFKSSEGVLNHVNNLLAKSALKIEEEFRQLMTTYSKPIEPDRLFDCLPKSLRPTKDDPDADPGNAGHSEHPSKSLETAVYRTPTLIPPRILPLMNDIAQQLIQAGNQQSCYKIYRDTRGSALESSLRKLGVEKLSKEDVQKMQWEALEAKIGNWIHFMRIAVGILLRIGPYCVYSDLKTHILLTTQVKLLLAGERKICDQIFDGVNFNKDQCFAELTANSVVTLLSFGDAVAKSKRSPEKLFVLLDMYEVMHELQPEIEVIFEGKSCSEMREASLGLAKRLAQTAQETFADFEEAVEKDASKTIVNDGTVHPLTSYVINYVKFLFDYQSTLKLLFQEFETGSETESQLAVVTMRIMQALQNNLDGKSKQYRDPALTYLFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRIVQQNANQYKRVAWAKILQTLSIQGAGSTGSSDLSSSGVSRAMIKERFKSFNMQFEELHAKQSQWIVPDQELRESLRLAVAEVLLPAYRSFVKRFGNLVENGKNPHKYVRYSPEMVEQLLGEFFEGQQWGEQKR >ORUFI11G03640.1 pep chromosome:OR_W1943:11:2602188:2607971:1 gene:ORUFI11G03640 transcript:ORUFI11G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDADAAAAAAGGEGAAAAGVGTAGEGRGVIRWDQILPRRSLRVLLVEHDDSTRQVVTALLRKCGYRVAAVADGMKAWGVMRERAYAFDLVLTEVTMPTLSGIELLSRIVASDECKNIPVIMMSSQDSIGTVLRCMQKGAVDFLVKPVRKNELRNLWQHVWRRHAMNSQTNASENNAASNHLSANGGNGSKTGEHSDEESDAQSSGSKREVEIQSAEKLPEVVADGGAGSSREHKIQNGFIDGMNTKSHALKGNDDAPSGNACGDSELQVLSTEKNVRSKFLNGITSAKVAGQIMDNALRFADSSSLRSSDPGKDLLVVAQTTADRKCKSSALENNAVMENNLSENSKGTATGHAESCPSHFVEINLEKQHHLNGYTNHKLNEKDIFNHSNSSAFSRYGNKRIESSAQRPFPPSFRVVHQQPVYDKNPQSSRVLLSCEHNTRESTVQAQVPLDRSTEGAAILCSSSVREDAGTSSSSPRKDSLTHPSYGFIPVPIPVGAAIPYHYGAIMQPMYYPQGAFMHCDSAAINKTAIQHASCQSNYHENLGKPPQIDEHKQPEENHQLHHSRQILRESGEPVDLAKAHMERINQSASCSQDIRKGSGCTGSGETDANTNTVIALESGNESGVQNCSNNVLDGDRSRREAALLKFRMKRKDRCFEKKVRYHSRKKLAEQRPRVKGQFLSSISNGGRRSSGGAGRDAGRVRDRLAVGGVLRRRRGAGREPAVRQRVRDVPDDMHVAAAAASARAAVVVVAVDAVRAAAVLGASAAVPDAAAPAAISGGRERHVPASGGADDDEPAAITAASTVVTTAADDEEQQRVDGVAAVVLVPLLIAAVSAVVVQPVLLLLPLRQRQALRRRRRGERLHGADPSGVAAHRHIPDVTTRTNFSARYDRN >ORUFI11G03650.1 pep chromosome:OR_W1943:11:2612162:2615413:-1 gene:ORUFI11G03650 transcript:ORUFI11G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSPPLPPPSFLGYLNHYSGGFPAPAYGMGGRGELVMTVSGGGSPENAVVWTTVAEPGHWFYVPPSGQYGGAIMINSAAVTGDATGITGTGIGTGVFIPERPRIRRMKHPINWVPLVPDRGGNGRKPELKTANASKGKGIQMSYAAAVKTAGPSHEAVRANQSKKGHKFSRQKKSAATAAVEAPAPEKEEATATTVEDIPELALLPEEWVY >ORUFI11G03660.1 pep chromosome:OR_W1943:11:2621305:2621844:-1 gene:ORUFI11G03660 transcript:ORUFI11G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGCYCVREHQLEDDDAVGHRLLPPEILVEIGIIAAADHTAAVHARRDAVVEELAARLVGILGLTSPPSAGAGRLGEKRAAAATTTTSSGGATPPYGRSHVRRREQHLAAGGGGGGVIDGGMARYHAPGFGTTQWLAPPAWCGGGGGGTGVFLPRGEVYPTRTLNPPRKQVRNRRVC >ORUFI11G03670.1 pep chromosome:OR_W1943:11:2623195:2623521:-1 gene:ORUFI11G03670 transcript:ORUFI11G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKWGGMGRDEPLSGDLISELRLSSSLPSRDLFGPDRCHLFTAGLLSRLVLPSLLHTRQMGGKRGGRMARSTSSRRGTGTQGAQADGATDEVEVGREEVAGEVPGL >ORUFI11G03680.1 pep chromosome:OR_W1943:11:2624368:2625632:1 gene:ORUFI11G03680 transcript:ORUFI11G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCDGDRVVIVGGGIAGALLAKTLQNHADVVLIDPKEYFEIPWANLRAKMDPAAVARTVIPHSEYLTQAKVVTAAAVGVDDSVVLTSAGGAVGYDFLVVATGRECSRPQKREDRLQMFEHDKARIASAGSVLVVGGGPIGVELAAEIVMASPEKRVTLVHGGPRLLMVMGEKASAKALEWLRSKNVTVLLDQTVDLAAAAAGANTDDKVFTTSAGETVAADCHFVCTGRPVASGWLRESFLGEHVGGDGKVAVDEHLRVGGLRNVFAIGDITDVPEAKQGYLAQRHAMVVSRNLRLLVKAGGGDGGGSSKERKLHRYKASKAAITVTLGRRDALAELPXIYIYIYIYIYIYILYT >ORUFI11G03690.1 pep chromosome:OR_W1943:11:2627691:2635840:1 gene:ORUFI11G03690 transcript:ORUFI11G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGVDTRPAAAAAGGGGAGAGAAGEGALSFLSRGLREDLRLIRARAGELETFLTAPVPEPELLARLRRAYSSSAGTTRLDLSAIGKAFGTGVVGRGSRGARWGWEEVQEAEEWEPIRMVKARLREMERRRQWQATDMLHKVKLSLKSMSFVPEASEEVPPLDLGELLAYFLKQSGPLFDQLGIKRDVCDKLVESLCSKRKDHLAYNSFPASEPSAFSNDNAGDELDLRIASVVQSTGHNYEGGFWNDGHKYETADKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSSKQDVTLVVPWLCKSDQELVYPNSMTFSSPQEQEAYMRSWLEERVGFKTDFKISFYPGKFQKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGVIQAFFVKHINNLVARAYCHKVLRLSGATQDLPKSMICNVHGVNPKFLEVGERIAAERESGQHSFSKGAYFLGKMVWAKGYRELIDLYAKHKSDLEGIKLDIYGNGEDSHEVQSAAMKLNLNLNFHKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNDFFRSFPNCLTYKTSEDFVAKVKEAMARDPQPLTPEQRYNLSWEAATQRFMEHSELDKVLSSSNRDCTTSTSGCGKSGDNKMEKSASLPNMSDMVDGGLAFAHYCFTGNELLRLSTGAIPGTLNYNKQHSLDLHLLPPQVQNPVYGW >ORUFI11G03700.1 pep chromosome:OR_W1943:11:2643537:2644561:-1 gene:ORUFI11G03700 transcript:ORUFI11G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIDVASLLNLSKRPVGQMTIVEVGSVGLIVDVINVVAKAEAQHNIVAILFYLSSNNPDASRSTTNQGRAIAAGTVSALAALLSTDRDDLARDSIALLSRIAKKPSSIMAVLSQSGLVAHFAASL >ORUFI11G03710.1 pep chromosome:OR_W1943:11:2645032:2650821:1 gene:ORUFI11G03710 transcript:ORUFI11G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEVGWSYAVFWKAIGAADPVHLVWEDGYCGHASCPAGSDPSEALPTDVGCAAAADTMTMCSLVNKVMASQVHVVGEGTVGRAAFTGNHQWIIHGTANDHGIPSEVAAEMSYQFRVGIQTIAIIPVLPRGVLQLGSTGVVLENKSFMTHAKKLCSQLNNRSSMAVSSSVKNSSSQQGRSRPLHGASNVQSTENRSKLFSQFPVTCEQYNHPDTMAVSGSTSLNACMNGSLLKIAQLNGQAVREHIVYSKPDVRFIQQVYRDGQLGSNAQSIAMSSDLISSSLRSVQKQPLLMNNISQLEYGDGAETSADLRKNVLLKPPVCLDPFIHDRNINISHGITEVSNVINDHGNFDFLSGGARVVRANLCTSATSQVLDRRSHSVSGMLLHREPIVSCEVPQSSEFSTKMGSLERGSFQISSAPSSESDVQISNGLNTSISRENQLSVSNHICQDQKINGVNDLSATLSTERMNNMDGCKPPGLSLERTSPLFMEQSVENDLFDILGPQFHHLCHNAGADLVPWTDAKPESSDRDVPESSIHADSAPLFSSRDNELYSGIFSLTDTDQLLDAVISNVNPAGKQSSDDSASCKTSLTDIPATSYLCSKEMKQCGSSGVPSVLIKNESAQFIKQPCLAENAEDGCLSQNNGMHKSQIRLWIESGQSMKCESASASNSKGLDTPSKANRKRSRPGESPKPRPKDRQLIQDRIKELREMVPNGAKCSIDALLEKTVKHMLFLQSVTKHADKLKDSTESKILGNENGPVWKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMICEDRGIFLEIADFIKGLGLTILRGAMEARKSKIWARFTVEANRDVTRMEIFLSLVRLLEPNCDSSGAAENANNVNMPLGLVHQPVIPATGRIQ >ORUFI11G03720.1 pep chromosome:OR_W1943:11:2651436:2654373:-1 gene:ORUFI11G03720 transcript:ORUFI11G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDGMQVEQRYRQYHQQMQVVVASFEAVAGGGSARTYTALALRTISRQFRCLRDAIAGQVRAASRALGEAVDADGGCGRTVGSRLRYIDHQLRQQRALQQLGMMQSSAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKDQDGGGGAGAGDEGSKPGGSKGGGAGVNGGVVDSAAKMDSKAAHMESGGGVHPSLLELAGDHQAQAGFYDDDDEDGGAAAALQQKLKKARTEEQQQAAFHVSDVATLHAHAAAAAAARHDEVSHRELLMKFMESGSAGAGAGAAARDHHHEHHGGVGYSLFAPAPYGQFATEQFAFAGHGGGGGGGGVSLTLGLPHGAEQTASFLMTSSNGSDGAGHVAGGGGYDMNMQSTKSFAAQLMRDFVA >ORUFI11G03730.1 pep chromosome:OR_W1943:11:2655883:2656611:-1 gene:ORUFI11G03730 transcript:ORUFI11G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYYHGGAGTDIQSGTDGLQTLYLMNPSYAGYGDAAAAAAAPGAAANMMLLNSAVTSMTPVSFGHQPSPSSSSAAQHFVGIPLQAPPASGYNLWTPAAATGAGDMSPPTPQHQHQQAHGGGAAGVSAVLSLSSREAAPPVTVAAVVAAGDEGKYLQAVAQGAASHGQMVMSSKYLKAAQELLDEVVSVSKGVDDVKAAAAAKSPASVKKKEDSEGVSGAPPAAGDVDGGAAGAADEERKAD >ORUFI11G03740.1 pep chromosome:OR_W1943:11:2656839:2658891:1 gene:ORUFI11G03740 transcript:ORUFI11G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQRRARLELLKLINQQFLSHTCTLSQRTRRAELKKILELRKKKAKAKVKKKPKKKKNKKAKKKKKKKKKEEEEEESDVRQQKYTKVSCKGGCRSVGDEDIGVAEQQCTTDTASPSKISLS >ORUFI11G03750.1 pep chromosome:OR_W1943:11:2674225:2681700:-1 gene:ORUFI11G03750 transcript:ORUFI11G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAMMGVDDLIEEAKVRTVWWALCVFAISYFLTHTSKSMWTNVPMSILILAFLRYLSFKVEFHWRGQPVQKQTHLSQLSKRQLSAHDHRLSTVAPVSRWRRKVGSPSVEAAFESFIENILRDFVVDLWYSSITPDREAPELIRGLILHALGEISGRVKEMNLVDLLTRDMADLIGHHLDIFRKNQSQIGVDVMGTLSSEERDERLKQHLIVSQELHPALLSSEHEYKTARCSESIGSLFLQGTADLLSPAASDELCNYMNELIIYLMNNKNTNSGGGNLDNSNSSVTVTNAHSAHKGSSQGCQVESRNLSQESSGLVPANSSGMRSLVTHDGDKSKMSKIEHGSAIQSRQPDWAVGLDAATKRRSQVLAPENLENMWAIGRNYQKKMVKFEHSRGKSSGIDNIPSAGAAGKELSPNFNERITSVDDKYMVNLMQGSNRNAQSTYVTGSHPLVSQEWQDTDEAKPNEGSQVDCSSTEKPCETINNTKAQLKRSNSTPDIEKRYLAKSNQTMVSSEIARKNQGDRGSFPVSHGEVVLYVPKIRCRVVGAYFEKLSSKSFAVYSIAVTDAENKAWFVKRRYRNFERLHRQLKEIPNYSLHLPPKSFLSSSIDDYLVHQRCILLDKYLQELLSIPNIAEQHEVWDFLSATSKNYSAGKSTSVMKTLAVNVDDAMDDIVRQFKGVSDGLKRAVGTSPTSAPSSHLAENQMSLSWNQEEIDNHNLHNRNLSGAHSLSDGDSNYEDPSSSVNSASHSDNELNNSQYGSNDIKLNEAYSGFDAQASQQIEKPTRAYSDSSNMSSLNTFEDPAGIPPEWTPTNVSVHLLNLVDKVFQLKRRGWIRKQVLWISKQILQLVMEDAIDEWILRQINWLRRDEVIVQGIRWIQDTLWPNGVFFTRLDGYQGNAGPSQFDKHPSGSANQASGNRKDSASSFEQQLEASRNASEVKKLLLGGTPPTLVSIIGYKQYQRSARDIYYFLQSNVCVKQLAYAMIEQVLVSLFPELRQLIEDIHEKGRKEQASFTYQL >ORUFI11G03750.2 pep chromosome:OR_W1943:11:2674227:2681700:-1 gene:ORUFI11G03750 transcript:ORUFI11G03750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAMMGVDDLIEEAKVRTVWWALCVFAISYFLTHTSKSMWTNVPMSILILAFLRYLSFKVEFHWRGQPVQKQTHLSQLSKRQLSAHDHRLSTVAPVSRWRRKVGSPSVEAAFESFIENILRDFVVDLWYSSITPDREAPELIRGLILHALGEISGRVKEMNLVDLLTRDMADLIGHHLDIFRKNQSQIGVDVMGTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVFQDIVGGIMALVLRPQDAQSPLVRCFSRELLTCLVLQPVMNFASPIYMNELIIYLMNNKNTNSGGGNLDNSNSSVTVTNAHSAHKGSSQGCQVESRNLSQESSGLVPANSSGMRSLVTHDGDKSKMSKIEHGSAIQSRQPDWAVGLDAATKRRSQVLAPENLENMWAIGRNYQKKMVKFEHSRGKSSGIDNIPSAGAAGKELSPNFNERITSVDDKYMVNLMQGSNRNAQSTYVTGSHPLVSQEWQDTDEAKPNEGSQVDCSSTEKPCETINNTKAQLKRSNSTPDIEKRYLAKSNQTMVSSEIARKNQGDRGSFPVSHGEVVLYVPKIRCRVVGAYFEKLSSKSFAVYSIAVTDAENKAWFVKRRYRNFERLHRQLKEIPNYSLHLPPKSFLSSSIDDYLVHQRCILLDKYLQELLSIPNIAEQHEVWDFLSATSKNYSAGKSTSVMKTLAVNVDDAMDDIVRQFKGVSDGLKRAVGTSPTSAPSSHLAENQMSLSWNQEEIDNHNLHNRNLSGAHSLSDGDSNYEDPSSSVNSASHSDNELNNSQYGSNDIKLNEAYSGFDAQASQQIEKPTRAYSDSSNMSSLNTFEDPAGIPPEWTPTNVSVHLLNLVDKVFQLKRRGWIRKQVLWISKQILQLVMEDAIDEWILRQINWLRRDEVIVQGIRWIQDTLWPNGVFFTRLDGYQGNAGPSQFDKHPSGSANQASGNRKDSASSFEQQLEASRNASEVKKLLLGGTPPTLVSIIGYKQYQRSARDIYYFLQSNVCVKQLAYAMIEQVLVSLFPELRQLIEDIHEKGRKEQASFTYQL >ORUFI11G03750.3 pep chromosome:OR_W1943:11:2674227:2681700:-1 gene:ORUFI11G03750 transcript:ORUFI11G03750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAMMGVDDLIEEAKVRTVWWALCVFAISYFLTHTSKSMWTNVPMSILILAFLRYLSFKVEFHWRGQPVQKQTHLSQLSKRQLSAHDHRLSTVAPVSRWRRKVGSPSVEAAFESFIENILRDFVVDLWYSSITPDREAPELIRGLILHALGEISGRVKEMNLVDLLTRDMADLIGHHLDIFRKNQSQIGVDVMGTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVFQDIVGGIMALVLRPQDAQSPLVRCFSRELLTCLVLQPVMNFASPIYMNELIIYLMNNKNTNSGGGNLDNSNSSVTVTNAHSAHKGSSQGCQVESRNLSQESSGLVPANSSGMRSLVTHDGDKSKMSKIEHGSAIQSRQPDWAVGLDAATKRRSQVLAPENLENMWAIGRNYQKKMVKFEHSRGKSSGIDNIPSAGAAGKELSPNFNERITSVDDKYMVNLMQGSNRNAQSTYVTGSHPLVSQEWQDTDEAKPNEGSQVDCSSTEKPCETINNTKAQLKRSNSTPDIEKRYLAKSNQTMVSSEIARKNQGDRGSFPVSHGEVVLYVPKIRCRVVGAYFEKLSSKSFAVYSIAVTDAENKAWFVKRRYRNFERLHRQLKEIPNYSLHLPPKSFLSSSIDDYLVHQRCILLDKYLQELLSIPNIAEQHEVWDFLSATSKNYSAGKSTSVMKTLAGRILVLSLLFMLTIMNVPIKYFPHSRYLFALAPTVNVDDAMDDIVRQFKGVSDGLKRAVGTSPTSAPSSHLAENQMSLSWNQEEIDNHNLHNRNLSGAHSLSDGDSNYEDPSSSVNSASHSDNELNNSQYGSNDIKLNEAYSGFDAQASQQIEKPTRAYSDSSNMSSLNTFEDPAGIPPEWTPTNVSVHLLNLVDKVFQLKRRGWIRKQVLWISKQILQLVMEDAIDEWILRQINWLRRDEVIVQGIRWIQDTLWPNGVFFTRLDGYQGNAGPSQFDKHPSGSANQASGNRKDSASSFEQQLEASRNASEVKKLLLGGTPPTLVSIIGYKQYQRSARDIYYFLQSNVCVKQLAYAMIEQVLVSLFPELRQLIEDIHEKGRKEQASFTYQL >ORUFI11G03750.4 pep chromosome:OR_W1943:11:2674225:2681700:-1 gene:ORUFI11G03750 transcript:ORUFI11G03750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAMMGVDDLIEEAKVRTVWWALCVFAISYFLTHTSKSMWTNVPMSILILAFLRYLSFKVEFHWRGQPVQKQTHLSQLSKRQLSAHDHRLSTVAPVSRWRRKVGSPSVEAAFESFIENILRDFVVDLWYSSITPDREAPELIRGLILHALGEISGRVKEMNLVDLLTRDMADLIGHHLDIFRKNQSQIGVDVMGTLSSEERDERLKQHLIVSQELHPALLSSEHEYKYGSNDIKLNEAYSGFDAQASQQIEKPTRAYSDSSNMSSLNTFEDPAGIPPEWTPTNVSVHLLNLVDKVFQLKRRGWIRKQVLWISKQILQLVMEDAIDEWILRQINWLRRDEVIVQGIRWIQDTLWPNGVFFTRLDGYQGNAGPSQFDKHPSGSANQASGNRKDSASSFEQQLEASRNASEVKKLLLGGTPPTLVSIIGYKQYQRSARDIYYFLQSNVCVKQLAYAMIEQVLVSLFPELRQLIEDIHEKGRKEQASFTYQL >ORUFI11G03760.1 pep chromosome:OR_W1943:11:2685807:2690428:-1 gene:ORUFI11G03760 transcript:ORUFI11G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLRLLAPHWPLLLLLAVAVATIDRVSKVYETRIKEQLENAEFGFLFLESIYFVIPLFVFTLIDDWAEIFFDCFTPLSLWLDALYTADVIAFHILFIGLTRHNTGKVLIEYGGLALQLVAVFVYVMLKVGDKKYVLSEERNKILTHSEAKTHNIGLLSFFMIAVLAAIIQIQFQFPFPENYSTLAKAIGIFGMFFQVKGENGLKNDAPHIRKLKITATLIKFMTLSYELWAQISQGYRQSVLTKPLCDMTIAALIVNCVLFAARYIGPKTIKEYFFPPSPPTETRQEYFTRTLALLHKDDFPSAVQRADEKHD >ORUFI11G03770.1 pep chromosome:OR_W1943:11:2707977:2710159:1 gene:ORUFI11G03770 transcript:ORUFI11G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTTVVEETNNPAATAVKVEEKTAAATTTTTTTTQVDKKTTSGEEEKPKPVVTYRYICENIHRLDRNDPFFFTWGMRNETAYAMCLLSLLYAVLSLCLLLPWQPIPTEGDGDHPLINSIWTFSLLALSYMFCWIISLSEAITKLVAFTSITYGILMAFAVAHLLGRVVGMAVIVIAVLYTTGMFAHAIAEHRQHTGSDTAADALLTKKLTTEQMQREELRRLPFIVLGAYSLFVFGCTAWLVFTEMGSISTATVIIVLAEVSIGTCFISYLWSILLSVGLLHDTFVSHDTIVFKAGSYSIALYFLAFLLIAMFESKLLGLSVLLLIPMAMAGFLGYLVAVYSHYKSLREYPYEDRVVL >ORUFI11G03780.1 pep chromosome:OR_W1943:11:2714558:2715130:1 gene:ORUFI11G03780 transcript:ORUFI11G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINEIGGGGGMRLVSLAYAVLSLYLLLWRVPGDQPLTWVTDFSLLACTYMYFWIISLSETITKVATFTGITCGILLSFAAAQLLGPVTGMAVMVLGMLCAAGVLGHAVAEHRHRIGSEEAASALATWTLTEEQTELEEGPYAFHAGVLVAAYSLFLLAVMAWLAVDQRGGVDTVTVATMVFEVLLVRNA >ORUFI11G03790.1 pep chromosome:OR_W1943:11:2717973:2721956:1 gene:ORUFI11G03790 transcript:ORUFI11G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQRSSSLPSGPHSTVAKVEEELQGLKAHISSPSVTVAAICDGLRKLGDVYNSIEGIMCLPSNQVGLSLPQQKQMVEEELDRSLVLIDLCNSMQENLSELKMSILELQLVLKRGDHAAIQLKFESFVRIARKAQKPFKKTGSKATAECCNLVRIMAEAREMAVSLLDTTSGLLVKKIGAPSSSKWSLVSKRFQKKNVLQALEREIGDLENGAEFLFRRLIQTRVQLQEVATKLTDCYKMRVSCCFNEHHEEHILASNITSMASHQRSASLPSRLHSTESNVEEELHGLRSCISSPSATIGTMCDGLRRLGEVYNSIEEIMFLPSNQAGISLHQQRKMVEEELDMSLLLIDLCNAMQESLSEMKMSIHELQLLLKRGDSVAVHNKIESFVRLAKKAQKMPFKKTSIGAISESCKMIRLLGEAREMAVSVLESTSLLLPKQIAKNSASKWSLVSKRFQRRNVVVCEEQQLQELEHSMGDLEDGAEFLFRRLIQIRNSDAH >ORUFI11G03800.1 pep chromosome:OR_W1943:11:2723015:2730995:-1 gene:ORUFI11G03800 transcript:ORUFI11G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKEGRRREIDRDLRNVLCEVCGDVGWEELILHCNKCKNATRHQYCFDPVIYDGSLVEWLCDDCLPNGNEVGNLLDISNQKKSSQTELGFSITKETNVKKMKLTKGLWSWGHHRNRSFKARCDGSDSKTKHFASGNAFSSSEVVTGEISKINDCEMEGRGKNEYSSHSALDHASRVEQHINIQNPMGIIKPSLNSIKRLNLSNEKDDRFSSSDHVEGSIPQVNHVERAHLMIEDGSNPTFTCVEHMDLVHKKQLLQPSSLERNSIGTSIPCSENMDVLHKMQLLKPSPLDKKYVDRSIPNSENMDVVLMRRSCTLNNSMGSCEKQVVTKVDPIEPSRQFDRACLEVSSNAHEIHEADDGSKGAQSIKNGKPKKQRRLILPYEEDKDAEPIQVDDMNRQSCGINGQVKKPVEIVASLGDINAGCGQNVCSQLALPTIAVKGQCGLSSTPFIPKYFCVQPIDEPNWTGIMKIGTNYIPVGAHFSNKACKKVCELSMSLPQIMKVTELPKLKAWPKSWEKASVPSAESIGLFFFSQNTRSNKEFDDLVKHVIDYDIVLETDVSFAKLLVFPSVVLPAEYRVFQGKHYLWGVFKRSKDMAERDALVEQNCTTCLADEDVPEQNALDIVPCKALDQEMALVVSDIHHDNQPSLTTSQVVESEASSDKGPSHPVINSPERPMYLILDTSCKVLKKWSCERMDTKLSML >ORUFI11G03800.2 pep chromosome:OR_W1943:11:2723015:2730995:-1 gene:ORUFI11G03800 transcript:ORUFI11G03800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKEGRRREIDRDLRVSCVVIYDGSLVEWLCDDCLPNGNEVGNLLDISNQKKSSQTELGFSITKETNVKKMKLTKGLWSWGHHRNRSFKARCDGSDSKTKHFASGNAFSSSEVVTGEISKINDCEMEGRGKNEYSSHSALDHASRVEQHINIQNPMGIIKPSLNSIKRLNLSNEKDDRFSSSDHVEGSIPQVNHVERAHLMIEDGSNPTFTCVEHMDLVHKKQLLQPSSLERNSIGTSIPCSENMDVLHKMQLLKPSPLDKKYVDRSIPNSENMDVVLMRRSCTLNNSMGSCEKQVVTKVDPIEPSRQFDRACLEVSSNAHEIHEADDGSKGAQSIKNGKPKKQRRLILPYEEDKDAEPIQVDDMNRQSCGINGQVKKPVEIVASLGDINAGCGQNVCSQLALPTIAVKGQCGLSSTPFIPKYFCVQPIDEPNWTGIMKIGTNYIPVGAHFSNKACKKVCELSMSLPQIMKVTELPKLKAWPKSWEKASVPSAESIGLFFFSQNTRSNKEFDDLVKHVIDYDIVLETDVSFAKLLVFPSVVLPAEYRVFQGKHYLWGVFKRSKDMAERDALVEQNCTTCLADEDVPEQNALDIVPCKALDQEMALVVSDIHHDNQPSLTTSQVVESEASSDKGPSHPVINSPERPMYLILDTSCKVLKKWSCERMDTKLSML >ORUFI11G03800.3 pep chromosome:OR_W1943:11:2723015:2730995:-1 gene:ORUFI11G03800 transcript:ORUFI11G03800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKEGRRREIDRDLRNVLCEVCGDVGWEELILHCNKCKNATRHHGIMKIGTNYIPVGAHFSNKACKKVCELSMSLPQIMKVTELPKLKAWPKSWEKASVPSAESIGLFFFSQNTRSNKEFDDLVKHVIDYDIVLETDVSFAKLLVFPSVVLPAEYRVFQGKHYLWGVFKRSKDMAERDALVEQNCTTCLADEDVPEQNALDIVPCKALDQEMALVVSDIHHDNQPSLTTSQVVESEASSDKGPSHPVINSPERPMYLILDTSCKVLKKWSCERMDTKLSML >ORUFI11G03800.4 pep chromosome:OR_W1943:11:2723015:2730995:-1 gene:ORUFI11G03800 transcript:ORUFI11G03800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKEGRRREIDRDLRIGTNYIPVGAHFSNKACKKVCELSMSLPQIMKVTELPKLKAWPKSWEKASVPSAESIGLFFFSQNTRSNKEFDDLVKHVIDYDIVLETDVSFAKLLVFPSVVLPAEYRVFQGKHYLWGVFKRSKDMAERDALVEQNCTTCLADEDVPEQNALDIVPCKALDQEMALVVSDIHHDNQPSLTTSQVVESEASSDKGPSHPVINSPERPMYLILDTSCKVLKKWSCERMDTKLSML >ORUFI11G03810.1 pep chromosome:OR_W1943:11:2737580:2740598:-1 gene:ORUFI11G03810 transcript:ORUFI11G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPKPAAEDVADEQPEPPDEDPDVAEADPTGRYLRYREIIGSGSSKTVYKAFDAVDGIEVAWGKVEINERIMGSSKELQRLRTEIQLLKSLQHKHILKLYASWVDTNRRTVNIVTELFTSGNLRDQKPPIIHRDLKCDNIFINGNHGKVKIGDFGLAMVMQQRKTRSIQGTIEFMAPELFGENYNELVDIYSFGMCMLEMVTCECPYSECKGFIQIYKKITEGVKPAALSKVKDAEVRGFIESCLASVSDRLPASELLKSPFLQSDDANHRSSNSVQEPVKFPENNFTKDEPIFVSLAPNNGTVNGKEQSFILVLQKSDFLLEGNMSTTNPVMLFLRFPGPDGKFKNVQFPFDMEKDTSLSVSTEMVEQLELPEWNNPVLAELIDAFLLHILPSWKPCVKVGKMLPSSS >ORUFI11G03820.1 pep chromosome:OR_W1943:11:2740751:2750087:-1 gene:ORUFI11G03820 transcript:ORUFI11G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGCEKASRLSLSLTCGRGTGRNCLAVGPGIGGARVAVRHVAVVRSEPRVAHLAGLPVAAACGSGWAPRVRAYEKMQHRADDDEDEGSAKRERDTGGPGTQFICNATAVSSSDEITSMQTQAIN >ORUFI11G03830.1 pep chromosome:OR_W1943:11:2743863:2744813:1 gene:ORUFI11G03830 transcript:ORUFI11G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTTTSTRVEVAIKMSYLVVVLAIASLSACRVDATSRSLLVTGGQAAAPAPVWSFGALPPLIEPAVVEPPTAVAPVHAVAVGEAPPPLKEESGSGHVKKSKHKDEERAPPPKKHHEKAPPKSKHHGRHAPPDQPELSPPAPPPESYTPDAPPAPEAASPHHGGGENPAWPRPGNNQWPPLPPFNQPPTPEWPHPGNKWPPLPPFHPPPTPAWPHPGGNKWPPLPPFPSHPPPTPAWPQPGNKWPPLPPFPSHPPPTPAWPHPGNQWPPLPPFPFHPPPMPAWPHPGNQWPPLPPFHGSDVPPATKKITKEEVHE >ORUFI11G03840.1 pep chromosome:OR_W1943:11:2750450:2754033:1 gene:ORUFI11G03840 transcript:ORUFI11G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFPPPAPSGGAPPRGHHRRAHSETFIRLPDADLLLDPDGEFGFSDLDFPSLSDDSPAASDPTPPPPPPALPQAAPRPPGGAHLRSLSLDAAFFDGLAFQGGGGGGGAGSGSSGGGAGHKRSGSMDGESSLFEGESAPPDYAKKAMPADRLAELALLDPKRAKRILANRQSAARSKERKIKYTGELERKVQTLQTEATTLSTQLTLLQRDTSGLTAENRELKLRLQSMEEQAKLRDALNDALREEVQRLKIAAGQVPNMNGNSFNGGLQQQQQQQMPTYFSQQQQMHYLSGHQGRHHHPNNPHNSLNGGQSMSGQTLNDSMDFM >ORUFI11G03850.1 pep chromosome:OR_W1943:11:2751541:2761233:-1 gene:ORUFI11G03850 transcript:ORUFI11G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAEGRYKTTNEAERPENALKSWFQKMAMSGSMRLQDDPVNDSVTVVKARGGGGIPRPVRCSGWVRAGGGDGGDPTRAPAPACLGEMEMEGEKCTV >ORUFI11G03860.1 pep chromosome:OR_W1943:11:2761563:2763624:1 gene:ORUFI11G03860 transcript:ORUFI11G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSNELHQHDAPDVDVSINCDDRIFGQESVNLAAIQEELLEEDSLSDLLLAGAEAVEAGDSILASVAFSRLDDFLSGIPENGAASSFDRLAYHFDQGLRSRMSSASTGCYQPEPLPSGNMLVHQIIQELSPFVKFAHFTTNQAILDAIIGDMDVHVVDLNIGEGIQWSSLMSDLARCGGKSFRLTAITTYADCHASTHDTVVRLLSEFADSLELPFQYNSICVHNEDELHAFFEDCKGSVIVSCDTTSMYYKSLSTLQSLLLVCVKKLQPKLVVTIEEDLVRIGRGVSPSSASFVEFFFEALHHFTTVFDSMASCFIGSSYEPCLRLVEMELLGPRIQDFVVKYGSVRVEANASEVLEGFMACELSACNIAQARMLVGLFNRVFGVVFKKISLLMVYYISLGKNDLREPNKVIWSSLAAGCGSHGIVVLAFYAADKLLEFKPKGIETYIHPAVERAHFHKEDRMWQEYEN >ORUFI11G03870.1 pep chromosome:OR_W1943:11:2764748:2770210:-1 gene:ORUFI11G03870 transcript:ORUFI11G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSASRYAAYWAADALAGDDALDFSLTKALVGVSPDSLTGAPEAVRERVALRCLQEVVSLASDGDCEATPTAGGVLSVDASRSCEDSLLQLIREIGSSGSLEKVMLLPFSQDVQKFICIKRPALPETSFELLREVYPEITPVVLPSPVEQNGNDQHDNVSHDLENTEKTGFNTDGAQLQQDDLANLVNESNTGNLQKDAMATPDFHQPCTSENRCFDQPQEDSINAVGVNIRSPKDSPTNVDRHIQVAAEPSLATSADLLGSNTGTISEQDMIDDTTMVQSQSQGVKNPNTLHYNNGDEPLVASIQSPKDSIHEGLTIQATVSPAFDRSNDALPASTSEISQLPEFITARDTVMNSEPRINKSHPNSPKHDTVDKANQDVDYGSVGIQKAAAFLSEDRNGATQGEKSEIKDPPENTAEHTKMFEQENSVEAQLEVGCSDKVNQDIQDDGNIMTKNMVCDGLNVQTAPEPHSCRMALHNKNLVANHLSEQNIGRNTTAVEKDCCSIPTSPQVVNDTRVKQPSNKRTMGNTVVETSHVHSSDDSLSGFAATGLLSMADKIPFCTQDQDANGPVEGLSEQDLCIKCGKDGQLLKCSSCLLAAHDTCFGSLATLDDSGQLYCPVCFYTKATEAYQKAKKTYSEARKNLSAFLGRKQLAEQHQQAAVGQRAANNEDHLNGCNDASKRKDNHQSEGNNLSHRDEDPTRKRKKQKTNATSDACAQEVVTEKVAEHEQPEENEEASGESGNDNSLHKTTHSSQTKCSPAVNQNVDADKENGLASSQQSEDSDEIEATSSNDSTKKSSPPWRKLRHRKAIYQDKDTAMPSNSKKVLGNRDQHMASPSRKRNYACPPKRYSNPIVPAGRRTKLCWTEKEEITLREAMAKFTPRDNGPIPWVQILEHGRDVFHRTRLPSDLRVKWRNMKKKSGS >ORUFI11G03870.2 pep chromosome:OR_W1943:11:2764748:2770210:-1 gene:ORUFI11G03870 transcript:ORUFI11G03870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSASRYAAYWAADALAGDDALDFSLTKALVGVSPDSLTGAPEAVRERVALRCLQEVVSLASDGDCEATPTAGGVLSVDASRSCEDSLLQLIREIGSSGSLEKVMLLPFSQDVQKFICIKRPALPETSFELLREVYPEITPVVLPSPVEQNGNDQHDNVSHDLENTEKTGFNTDGAQLQQDDLANLVNESNTGNLQKDAMATPDFHQPCTSENRCFDQPQEDSINAVGVNIRSPKDSPTNVDRHIQVAAEPSLATSADLLGSNTGTISEQDMIDDTTMVQSQSQGVKNPNTLHYNNGDEPLVASIQSPKDSIHEGLTIQATVSPAFDRSNDALPASTSEISQLPEFITARDTVMNSEPRINKSHPNSPKHDTVDKANQDVDYGSVGIQKAAAFLSEDRNGATQGEKSEIKDPPENTAEHTKMFEQENSVEAQLEVGCSDKVNQDIQDDGNIMTKNMVCDGLNVQTAPEPHSCRMALHNKNLVANHLSEQNIGRNTTAVEKDCCSIPTSPQVVNDTRVKQPSNKRTMGNTVVETSHVHSSDDSLSGFAATGLLSMADKIPFCTQDQDANGPVEGLSEQDLCIKCGKDGQLLKCSSCLLAAHDTCFGSLATLDDSGQLYCPVCFYTKATEAYQKAKKTYSEARKNLSAFLGRKQLAEQHQQAAVGQRAANNEDHLNGCNDASKRKDNHQSEGNNLSHRDEDPTRKRKKQKTNATSDACAQEVVTEKVPVVQNSDVAPMNKHSVLQNNRKQAQVAEHEQPEENEEASGESGNDNSLHKTTHSSQTKCSPAVNQNVDADKENGLASSQQSEDSDEIEATSSNDSTKKSSPPWRKLRHRKAIYQDKDTAMPSNSKKVLGNRDQHMASPSRKRNYACPPKRYSNPIVPAGRRTKLCWTEKEEITLREAMAKFTPRDNGPIPWVQILEHGRDVFHRTRLPSDLRVKWRNMKKKSGS >ORUFI11G03880.1 pep chromosome:OR_W1943:11:2772925:2775491:1 gene:ORUFI11G03880 transcript:ORUFI11G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRGRWLAGGVRGGWISLRSLGFPSEAAGFKLVHLFGSTKLQQPCKEEDHKRLERVLLRIHSVVEEADGCHITNQGMLLQLKGLTEGFYLGYYMLDNIKFQPPEEESIKDEVVVIGRTEDVPKFGTTQPIRVKRLSEEDDWYYFKALAFGIMDPDEHPKLASLAMQLCHARGPGWNAENLIIRKRGGQVAQKHLSSSGVHPDYLFERNTPVDFSRIAFVDGQVQGFLVYDVRVASPAEGQLPKLTS >ORUFI11G03890.1 pep chromosome:OR_W1943:11:2793327:2809759:1 gene:ORUFI11G03890 transcript:ORUFI11G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEEGGLTEASTVAAFLGVPWDSHRRPPDSVFAPVNRRRAPRALVSMEIMISAVAGDLISRFISSVAQNYRNHIRKEDDRRRLERILLRMHCVVEEAEGRHITNQGMFLQLKGFIEGFYLGYYVLDKIKFQPPEEESIEEEVSHEIQSFALSACNSRKRFRFADAIRKHTPIAFGSRSRTNLKDVVDGLETKIADMREFVILLGSHPRLPRQPYSTYLYIENCMFGRRIEKEQVINFLLCNDPHDPYVSILPIIGPPRIGKKTLVQHACLDERVRNCFSHIFFFKEDDLKTGELSLNSKASQGKYLFVIEFIWDVDEAAWTKFQSYLQNMPGTGIKVVVIGTTEDIAKFGTTQPIRVKRPSEEEFWYYFKALAFGSMDPDEHPKLASLAMQLATEMNGSFLSATIYGELLRANPNTQFWKRILLFLRELARKHLTSSGLHPEDLFERNIPVDLSRIAVVDGQVQDFMVYDVRVAGPAYGELPKLTSRDMLLGCDIPVEDKFDVLVWRSRIPPYCNYIVTVQTIKEDLEKMMSVLKIHHHHKLRNVFPVQGAYGRELARKHLTSSGLHPEDLFERNIPVDLSRIAVVDGQVQDFMVYDVRRGYDTIIALAAWSIWKEHNNIVFNQKVRSWTAREMAEETMLWRLANPASKRKSTQKSDGSVIDRRWCGEEKMAGEEGGWWCELAAAAEATRFKVNLSAGSSLRYNNITATTQARKMIRILLRMHSVVEEAEGRHITNQGMLLQLKGLIEGFYHGYHILDKVTFQPPEEESIKDEVIHEINSSALITSNSAKRFRFADALRKHTPISFASRSTTNLKGVVEDLETKIADMREFVMLLGSYPRLPRQPYSTYLFMDKCMFGRRVEKEKVIDFLLCSDLPDTYVSILPIIGPHRIGKKTLVQHACQDDRVKSCFSHIFFFKEDDLKMGELLLNSKASPGKYLFVIEFICDVNEAAWTKFQSYLQNMPSTEIKVVIIGRTDDVTKFGTTQPIRMKRLSEEEYWYYFKALSFGSMNPDEHPKLASLGMQLATEMNGSFLGANILGELLRANPNTQFWQSILLSLRGFVQKNLCCFGVHPEDLLERNTPVDFTRMAFLGAQVHGCLVYDLRVAGPAQSQLPKLTSREVLLGGNIPVEQKFDVLVWKSRIPPYCDYIATFEKQKPRRVVGKRNTIYH >ORUFI11G03890.2 pep chromosome:OR_W1943:11:2778293:2793438:1 gene:ORUFI11G03890 transcript:ORUFI11G03890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVSAVASDLIGRFISSLAQNYNNHTCKEDDRRRLERILLRMHSVVEEAERRHITNQGMLLQLKGLIEGFYLGYYMLDKIKFQPPEEESIEDEVSHEIQSFALSACNSRKRFRFADAIRKRTPVAFGSKSKTNLKDVVDGLETKIADMREFVILLGSQTRLPCQPYSTYLYIDNCMFGRRIEKEQVINFLLCNDPHDPYVSILPIIGPQRIGKKTLVQHACLDERVRNCFSHIFFFKEDDLKTGELSLNSKASQGKYLFVIEFIWDVDEAAWTKFQSYLQNMPGTGIKVVVIGTTEDILKFGTAQPIRLKRLSEEEYWYYFKALAFGSMDPDEHPKLASLGMQLATEMRGGFLGATVVGGLLRANPSTQFWQSMLLCIREVAQKHLSSFGVHPEDLLERNAPLDFTRVAFVGAQVQGCLVYDVRVAGPGSELPKLTSQDLKLGADIPAEDKFDVLVWKSRIPPYSNYIVTYEKQKRRYKEGGLTEVCVAWDSRRRPPDSRFAPVTRRRAPRALVSMEILISAVATDLISRFISSVTQNYRNHIHKEDDRRRLERILLRMHSVVEEAGGRHITNQGMILQLKGLVEGFYLGYYMLDKIKFQPPEYEIADMREFVILLGSHPRLPRQPYSTYLYIDNCMFGRRIEKEQVINFLLCNDPDDPSVSILPILGPPRMGKKTLVQHACLDERVRNCFSHIFFFKEDDLKTGELSLNSKASQGKYLFVIEFIWDVDEAAWTKFQSYLQNMPGIGIKVVVIGTTEDIAKFGTTQPIRVKRLSEEEYWYYFKALAFGSMDPDEHPKLASLAMQLSTEMNGSFLSATMFGELLRANPNTQFWKRILLFLRELARKHLTSSGLHPEDLFERNIPVDLSRIAVVDGQVQDFMVYDVRVAGPAEGELPKLTSRDMLLGGDIPVEDKFDVLVWRSRIPPYCNYIVTYEKQKPRRMVDKEIVRQRNTFILLDKVVKMKTSHMHAGRMSNNNVPPRRRSSDRVAPLLLRPRLLSARPLLGPTPPGRPHRSEDQRRLAVPTASQLLHAATARDPVDECWIKKKPA >ORUFI11G03890.3 pep chromosome:OR_W1943:11:2793327:2809759:1 gene:ORUFI11G03890 transcript:ORUFI11G03890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEEGGLTEASTVAAFLGVPWDSHRRPPDSVFAPVNRRRAPRALVSMEIMISAVAGDLISRFISSVAQNYRNHIRKEDDRRRLERILLRMHCVVEEAEGRHITNQGMFLQLKGFIEGFYLGYYVLDKIKFQPPEEESIEEEVSHEIQSFALSACNSRKRFRFADAIRKHTPIAFGSRSRTNLKDVVDGLETKIADMREFVILLGSHPRLPRQPYSTYLYIENCMFGRRIEKEQVINFLLCNDPHDPYVSILPIIGPPRIGKKTLVQHACLDERVRNCFSHIFFFKEDDLKTGELSLNSKASQGKYLFVIEFIWDVDEAAWTKFQSYLQNMPGTGIKVVVIGTTEDIAKFGTTQPIRVKRPSEEEFWYYFKALAFGSMDPDEHPKLASLAMQLATEMNGSFLSATIYGELLRANPNTQFWKRILLFLRELARKHLTSSGLHPEDLFERNIPVDLSRIAVVDGQVQDFMVYDVRVAGPAYGELPKLTSRDMLLGCDIPVEDKFDVLVWRSRIPPYCNYIVTVQTIKEDLEKMMSVLKIHHHHKLRNVFPVQGAYGRELARKHLTSSGLHPEDLFERNIPVDLSRIAVVDGQVQDFMVYDVRRGYDTIIALAAWSIWKEHNNIVFNQKVRSWTAREMAEETMLWRLANPASKRKSTQKSDGSVIDRRWCGEEKMAGEEGGWWCELAAAAEATRFKILISAVAGELISRFISSLQQYYSNHTSEEDDRTRLQRILLRMHSVVEEAEGRHITNQGMLLQLKGLIEGFYHGYHILDKVTFQPPEEESIKDEVIHEINSSALITSNSAKRFRFADALRKHTPISFASRSTTNLKGVVEDLETKIADMREFVMLLGSYPRLPRQPYSTYLFMDKCMFGRRVEKEKVIDFLLCSDLPDTYVSILPIIGPHRIGKKTLVQHACQDDRVKSCFSHIFFFKEDDLKMGELLLNSKASPGKYLFVIEFICDVNEAAWTKFQSYLQNMPSTEIKVVIIGRTDDVTKFGTTQPIRMKRLSEEEYWYYFKALSFGSMNPDEHPKLASLGMQLATEMNGSFLGANILGELLRANPNTQFWQSILLSLRGFVQKNLCCFGVHPEDLLERNTPVDFTRMAFLGAQVHGCLVYDLRVAGPAQSQLPKLTSREVLLGGNIPVEQKFDVLVWKSRIPPYCDYIATFEKQKPRRVVGKRNTIYH >ORUFI11G03890.4 pep chromosome:OR_W1943:11:2784862:2809759:1 gene:ORUFI11G03890 transcript:ORUFI11G03890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEILISAVATDLISRFISSVTQNYRNHIHKEDDRRRLERILLRMHSVVEEAGGRHITNQGMILQLKGLVEGFYLGYYMLDKIKFQPPEYEVSHEIQSFALSACNSAKRFRFADAISKRTPVAFGSRSRTNLKDVVDGLETKIADMREFVILLGSHPRLPRQPYSTYLYIDNCMFGRRIEKEQVINFLLCNDPDDPSVSILPILGPPRMGKKTLVQHACLDERVRNCFSHIFFFKEDDLKTGELSLNSKASQGKYLFVIEFIWDVDEAAWTKFQSYLQNMPGIGIKVVVIGTTEDIAKFGTTQPIRVKRLSEEEYWYYFKALAFGSMDPDEHPKLASLAMQLSTEMNGSFLSATMFGELLRANPNTQFWKRILLFLRELARKHLTSSGLHPEDLFERNIPVDLSRIAVVDGQVQDFMVYDVRVAGPAEGELPKLTSRDMLLGGDIPVEDKFDVLVWRSRIPPYCNYIVTVQTIKEDLEKMMSVLKIHHHHKLRNVFPVQGAYGRELARKHLTSSGLHPEDLFERNIPVDLSRIAVVDGQVQDFMVYDVRRGYDTIIALAAWSIWKEHNNIVFNQKVRSWTAREMAEETMLWRLANPASKRKSTQKSDGSVIDRRWCGEEKMAGEEGGWWCELAAAAEATRFKILISAVAGELISRFISSLQQYYSNHTSEEDDRTRLQRILLRMHSVVEEAEGRHITNQGMLLQLKGLIEGFYHGYHILDKVTFQPPEEESIKDEVIHEINSSALITSNSAKRFRFADALRKHTPISFASRSTTNLKGVVEDLETKIADMREFVMLLGSYPRLPRQPYSTYLFMDKCMFGRRVEKEKVIDFLLCSDLPDTYVSILPIIGPHRIGKKTLVQHACQDDRVKSCFSHIFFFKEDDLKMGELLLNSKASPGKYLFVIEFICDVNEAAWTKFQSYLQNMPSTEIKVVIIGRTDDVTKFGTTQPIRMKRLSEEEYWYYFKALSFGSMNPDEHPKLASLGMQLATEMNGSFLGANILGELLRANPNTQFWQSILLSLRGFVQKNLCCFGVHPEDLLERNTPVDFTRMAFLGAQVHGCLVYDLRVAGPAQSQLPKLTSREVLLGGNIPVEQKFDVLVWKSRIPPYCDYIATFEKQKPRRVVGKRNTIYH >ORUFI11G03890.5 pep chromosome:OR_W1943:11:2778293:2793438:1 gene:ORUFI11G03890 transcript:ORUFI11G03890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVSAVASDLIGRFISSLAQNYNNHTCKEDDRRRLERILLRMHSVVEEAERRHITNQGMLLQLKGLIEGFYLGYYMLDKIKFQPPEEESIEDEVSHEIQSFALSACNSRKRFRFADAIRKRTPVAFGSKSKTNLKDVVDGLETKIADMREFVILLGSQTRLPCQPYSTYLYIDNCMFGRRIEKEQVINFLLCNDPHDPYVSILPIIGPQRIGKKTLVQHACLDERVRNCFSHIFFFKEDDLKTGELSLNSKASQGKYLFVIEFIWDVDEAAWTKFQSYLQNMPGTGIKVVVIGTTEDILKFGTAQPIRLKRLSEEEYWYYFKALAFGSMDPDEHPKLASLGMQLATEMRGGFLGATVVGGLLRANPSTQFWQSMLLCIREVAQKHLSSFGVHPEDLLERNAPLDFTRVAFVGAQVQGCLVYDVRVAGPGSELPKLTSQDLKLGADIPAEDKFDVLVWKSRIPPYSNYIVTYEKQKRRYKEGGLTEVCVAWDSRRRPPDSRFAPVTRRRAPRALVSMEILISAVATDLISRFISSVTQNYRNHIHKEDDRRRLERILLRMHSVVEEAGGRHITNQGMILQLKGLVEGFYLGYYMLDKIKFQPPEYEVSHEIQSFALSACNSAKRFRFADAISKRTPVAFGSRSRTNLKDVVDGLETKIADMREFVILLGSHPRLPRQPYSTYLYIDNCMFGRRIEKEQVINFLLCNDPDDPSVSILPILGPPRMGKKTLVQHACLDERVRNCFSHIFFFKEDDLKTGELSLNSKASQGKYLFVIEFIWDVDEAAWTKFQSYLQNMPGIGIKVVVIGTTEDIAKFGTTQPIRVKRLSEEEYWYYFKALAFGSMDPDEHPKLASLAMQLSTEMNGSFLSATMFGELLRANPNTQFWKRILLFLRELARKHLTSSGLHPEDLFERNIPVDLSRIAVVDGQVQDFMVYDVRVAGPAEGELPKLTSRDMLLGGDIPVEDKFDVLVWRSRIPPYCNYIVTYEKQKPRRMVDKEIVRQRNTFILLDKVVKMKTSHMHAGRMSNNNVPPRRRSSDRVAPLLLRPRLLSARPLLGPTPPGRPHRSEDQRRLAVPTASQLLHAATARDPVDECWIKKKPA >ORUFI11G03890.6 pep chromosome:OR_W1943:11:2778293:2793438:1 gene:ORUFI11G03890 transcript:ORUFI11G03890.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVSAVASDLIGRFISSLAQNYNNHTCKEDDRRRLERILLRMHSVVEEAERRHITNQGMLLQLKGLIEGFYLGYYMLDKIKFQPPEEESIEDEVSHEIQSFALSACNSRKRFRFADAIRKRTPVAFGSKSKTNLKDVVDGLETKIADMREFVILLGSQTRLPCQPYSTYLYIDNCMFGRRIEKEQVINFLLCNDPHDPYVSILPIIGPQRIGKKTLVQHACLDERVRNCFSHIFFFKEDDLKTGELSLNSKASQGKYLFVIEFIWDVDEAAWTKFQSYLQNMPGTGIKVVVIGTTEDILKFGTAQPIRLKRLSEEEYWYYFKALAFGSMDPDEHPKLASLGMQLATEMRGGFLGATVVGGLLRANPSTQFWQSMLLCIREVAQKHLSSFGVHPEDLLERNAPLDFTRVAFVGAQVQGCLVYDVRVAGPGSELPKLTSQDLKLGADIPAEDKFDVLVWKSRIPPYSNYIVTYEKQKRRYKRGRWFDGGVRGLGFSSEATGFEVCSGHSPPRAPHRSVPPRRRSSDRVAPLLLRPRLLSARPLLGPTPPGRPHRSEDQRRLAVPTASQLLHAATARDPVDECWIKKKPA >ORUFI11G03890.7 pep chromosome:OR_W1943:11:2784862:2793438:1 gene:ORUFI11G03890 transcript:ORUFI11G03890.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEILISAVATDLISRFISSVTQNYRNHIHKEDDRRRLERILLRMHSVVEEAGGRHITNQGMILQLKGLVEGFYLGYYMLDKIKFQPPEYEVSHEIQSFALSACNSAKRFRFADAISKRTPVAFGSRSRTNLKDVVDGLETKIADMREFVILLGSHPRLPRQPYSTYLYIDNCMFGRRIEKEQVINFLLCNDPDDPSVSILPILGPPRMGKKTLVQHACLDERVRNCFSHIFFFKEDDLKTGELSLNSKASQGKYLFVIEFIWDVDEAAWTKFQSYLQNMPGIGIKVVVIGTTEDIAKFGTTQPIRVKRLSEEEYWYYFKALAFGSMDPDEHPKLASLAMQLSTEMNGSFLSATMFGELLRANPNTQFWKRILLFLRELARKHLTSSGLHPEDLFERNIPVDLSRIAVVDGQVQDFMVYDVRVAGPAEGELPKLTSRDMLLGGDIPVEDKFDVLVWRSRIPPYCNYIVTYEKQKPRRMVDKEIVRQRNTFILLDKVVKMKTSHMHAGRMSNNNVPPRRRSSDRVAPLLLRPRLLSARPLLGPTPPGRPHRSEDQRRLAVPTASQLLHAATARDPVDECWIKKKPA >ORUFI11G03900.1 pep chromosome:OR_W1943:11:2789107:2792934:-1 gene:ORUFI11G03900 transcript:ORUFI11G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEVGRRELVGWRNSGESPGRGGPAARCSPSGGGAARAVGAEEPRHRRRGRGGRSHGTGGGVMGGERRGRSVAERRSRGIGGGVATRRSRGTVDRVAGGRGVEELRSGGDGQAALVLGAVGAAGRRRSEERPGGEEARSEEQRGNAVRGAAARGDTPVKFFYGRKRFSSGSGGQMGPKDNISLY >ORUFI11G03910.1 pep chromosome:OR_W1943:11:2800495:2800809:-1 gene:ORUFI11G03910 transcript:ORUFI11G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGYIAGSLVGSFAIAYLCDTFVSDKKAFGGSIPKTVSEKEWWLATDTKFQAWPRTAGPPVIMNPISRQNFIVKSAE >ORUFI11G03920.1 pep chromosome:OR_W1943:11:2811346:2812770:1 gene:ORUFI11G03920 transcript:ORUFI11G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLISAVASDLVSRFISYLVHKSEKQHTTTEQDLERLKSVLLRIYTIVEEAEARQIRNRGMILQLKGLMEGMFLGYYVLDSFQFQCVEEEGVDEDHQVSCKRLRFSTCTRSTSLLSLGTENTPVLKNVIESLETKICDVRELVVLLASCPRLPQQPYCTYLFMEKSLFGRHVEKEQVIDFILHDDQNLAVLPIIGPHRIGKRTLVHHACQDERVRGRFLNIVFFHGDDLGNISLMPSTKYLCIVEFSWDVDAEAWKIFRSSMKKAAISGSKVIIIGRTDEIAKWGTTPAIRLNRLSPEMYWYYFKALSFGSMNPDDHPKLASLGMQLATELQGSFLGANILGHILRGNPSAEIWSGFLMSLRMSRKRLSIFEEHPPEKNHPSHTAEVAFMNYRGCMLYDLREAGHFQSDIPRLTPAGVELEGKIPCDLGFDVLVWRSQIPPFCNYIATFLQPKPRRIVRRNKNPLAVSITYQS >ORUFI11G03930.1 pep chromosome:OR_W1943:11:2814368:2815018:1 gene:ORUFI11G03930 transcript:ORUFI11G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNGDAELTVAEEAKEEEEATDDGGGGVSSQWLRAAVLGASDGLVSTAALMLGIGAARPADARAVLLSGLAGLVAGACSMAIGEYVSVHVQLDVELADLERRRRRGGPAPAGLGLHAAAAAVSRPGQAAAASALSFAAGAALPLLAAWFVAGAYRVRVVVVVATASLALAAFGAAGARLGRAPGGRAGLRAVVGGLLAMAATYGVMKLFRTHGV >ORUFI11G03940.1 pep chromosome:OR_W1943:11:2815627:2818921:-1 gene:ORUFI11G03940 transcript:ORUFI11G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEPPMVEQVITEFFAKSLHIILESRSPYESSRNFTRPSPPSSPLSGSQPRDRWFNLALRDCPAALENFDLWRQSNLEPLVIDIVLLCRDSTSNTAAGSGKIIERWVIQYEARKSGGGNGNGSKNNGRKSRNSSAEDHSLYRATYQGSTVLLRSLHLLVRLLPAYSLFRELNSSGRIRPLNLSHKISSFVEPFTRAEDAEMKHYAFAPIETLFGRLSLSVSYVPVLEVVAASEPTSPMPPEIITDYVGSPTTDFLKKFNSLPSAGIAPACAAMTRRHSWSIEHGAGTSVSPSPSPTKAQSRGSPQLGVPLHVSLKTCSHPQNASSSGQKKYTPFEECYPSPPLSPSPSQSPSANYPKNPLFRYESAPVTIPTLKSGGGGGSGLPPSPCSKGKHQFSSHNDNLAHSPDHNSNVRKDLVRLGEFEKDMALQKVLSYSKYDLGYFHGLKLTRTSSKLFIMDELDEHELVFAWEDRDTIIDQLNRADISDREEQKNQDAGGSSTRSPAAAIGALVHLLKTAPSLREGLQSDAAAVVPQEPSSVQKVVTEEHGSIASSSTPVTATDALEELKKYREVKESILNRGKTQVSGTNLGEKLTDGEP >ORUFI11G03950.1 pep chromosome:OR_W1943:11:2823222:2824770:-1 gene:ORUFI11G03950 transcript:ORUFI11G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDDEMSLADDTPTDMDIDGVAEDVVRKTVDADIPAMEILGDTAGEVKEKVLSTESEKPAPPLSRRERIALLPPNHPYHYTCGLPNFVFFAMAMVSFLYAVIVPFLLWRAAADKPTSLIWTWSILACSYGIFWIISLSEVLGVIVVFFRVSYVAFVAAASTQLVGSITGVSIVYLDTFYVAGMVGYAVAEYRMRRGIEECPSAVAAMPPLISQEQERNREVNVFYAAFMFGLVSLVTVGRMAWLVFFSNGGGGGGGRISSVLEELSVETCFVSFQWTAFVALPLSLVSFNALFCWVPICYVAWHVLGAILGALVGSVAIEVLFFWLAAVAMAGFFGYCLAVHARCKRLLAICLENVIELLMC >ORUFI11G03960.1 pep chromosome:OR_W1943:11:2825514:2827813:1 gene:ORUFI11G03960 transcript:ORUFI11G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKNALLGYGRMGHVLAWFDMNEIAEHIDFQFLVYVLNFYGFLMLFYLRADEEPVDPKKYLEERCKPQCVKPLYEYEKCVKRVEKDDTGHKHCTGQYFDYWSCIDKCVAPKLLEKLK >ORUFI11G03970.1 pep chromosome:OR_W1943:11:2828173:2832927:-1 gene:ORUFI11G03970 transcript:ORUFI11G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILAYLFLLVVAIIGVFAVLASLLDPIHGFYLRSIKEALEWAEFIFTWTSSLYFILPMVFFSNATNWASTFYDCFTPLTLWLEGIYSGIVLLLFVLFIGNLDENWGRIMIEFSGVAIQMAGIFCFVMIKVSRDDNYTMKEAQKQSIMALVIFKIFSFIILTFIKAKLVPTEDNVVIPRAFAAIGCLIQFVLMLSSPIGRWLDNNFNDDEPTTRKIKLSAALIRLITLSFDCWLFTVRGYWSSPLKKPLAEMTATNLVFTSIVVVCRYIGPNKLRNFCVDIWNWLRAVPEKLQGKWNSFIQWKTDNWTPFTNTVKVALQSFCTGCCAMFQTGWNALKNRVSQCIEDMWNILAGPGGMH >ORUFI11G03980.1 pep chromosome:OR_W1943:11:2849800:2851263:-1 gene:ORUFI11G03980 transcript:ORUFI11G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSNLPCSCNYGALTKNGNNSRQSSTDLGLALQAGRSRRINSIILSVLGYKMRVLSHKMLLANQSMQWITLVKAPNQIRPHEQKMTMYLASSICFFRKCTMPIPFSVTKCFFRPHKVWDCHD >ORUFI11G03990.1 pep chromosome:OR_W1943:11:2853850:2855567:-1 gene:ORUFI11G03990 transcript:ORUFI11G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPNQISGGGGGGSVVIEIPAATEIAGVDTAPAKVCDDDPRAQPLRDREWVSQLPPDHPLRYTCGLPNGVFLSMSFVTIIYAVVAPWMLWRVATDHVSLMWTSSILACSYGALWTIALSERLAGAFLAIIFRVSYVALVAFASTHLIGTANGISIVYLDTFYVAGMLGYAVAEYRLRRGTEQCPSAILAAKPPPLEDQERGDEEAGLYYMGFLFGSVSLCLVGRMAWLLLYPCGGKCLISYVIEELSFEASMLIYIWVIFVSLTQLEEALVCYNTLFCKMPICFGAWFVLGVLLGVPVSGAIEMLIFWIGTMALAGFFGYCLAVHAYCKRNQKTPA >ORUFI11G04000.1 pep chromosome:OR_W1943:11:2865710:2868289:1 gene:ORUFI11G04000 transcript:ORUFI11G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELEAAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQVNLTPTLYEVARMQMWISKGEYDESGPAIVHRKCF >ORUFI11G04010.1 pep chromosome:OR_W1943:11:2878143:2883094:1 gene:ORUFI11G04010 transcript:ORUFI11G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGPPGRWAPRVSEKPRARRGPIFRRRLRRRRRRRRIVSSPAFSSVAGYRCSSRPRTPSRARGLPRLYSCASSCTPVSILYGTNKKLKCLGDRFGEMEGSNPPGNMTQGPSYGSLDLHGISKQMHPPNSGNQGFNQPQIPGNFTIPMDRVTEPDNISDGVQLGQHGKIAHHHHHHRHHSKNHGSDEEEHNMNEDAADGKDKKGSPWHRMKWTDSMVKLLITAVSYTGEDPGADLGGGRRNYSMMQKKGKWKAISKVMGERGCHVSPQQCEDKFNDLNKRYKRLTDILGRGTACNVVENHSLLDHMDISEKMKEDARKILNSKHLFYEEMCSYHNNNRISLPEDPALQQSLQLALRCKEDNDFMRHASGDAELDDDQSEDSDYEENEEEHRAVDTYIRGPSMHKRMWHVVDHGDVGFVTSCSNDGSGRSDPYDVLDINKPFPDGCDLALVQKDLALKAAAIQKHRLQIETKAVQLAKQRLKWEMFRKNKDLELEKLALENEQMMLQNKQFELDLRHKELELEIKIKGNANHP >ORUFI11G04010.2 pep chromosome:OR_W1943:11:2878148:2883094:1 gene:ORUFI11G04010 transcript:ORUFI11G04010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPWSVGPACQRETSCSARPNISAEAEAEAEAEADCLLAGVQFSRRIPLLYSCASSCTPVSILYGTNKKLKCLGDRFGEMEGSNPPGNMTQGPSYGSLDLHGISKQMHPPNSGNQGFNQPQIPGNFTIPMDRVTEPDNISDGVQLGQHGKIAHHHHHHRHHSKNHGSDEEEHNMNEDAADGKDKKGSPWHRMKWTDSMVKLLITAVSYTGEDPGADLGGGRRNYSMMQKKGKWKAISKVMGERGCHVSPQQCEDKFNDLNKRYKRLTDILGRGTACNVVENHSLLDHMDISEKMKEDARKILNSKHLFYEEMCSYHNNNRISLPEDPALQQSLQLALRCKEDNDFMRHASGDAELDDDQSEDSDYEENEEEHRAVDTYIRGPSMHKRMWHVVDHGDVGFVTSCSNDGSGRSDPYDVLDINKPFPDGCDLALVQKDLALKAAAIQKHRLQIETKAVQLAKQRLKWEMFRKNKDLELEKLALENEQMMLQNKQLQINSIQDRVYARW >ORUFI11G04020.1 pep chromosome:OR_W1943:11:2885612:2889791:1 gene:ORUFI11G04020 transcript:ORUFI11G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRGFEVRILGHRRGKSHGAVHELHDPVPVIQSSCWASLPPELLRDIIERLEESEATWPSRKHVVACAGVCRTWREMCKEIVKNPELCGKITFPISLRQPGPRDGTMQCFIRRDKSTQTYYLYLSLGSAVLVDNGKFLLSAKRNWHATCTEYVISMNANNLSRSTNTNIGKLRSNFLGTKFVIYDTHTPYNATSDSQSGKTSRRFSNKGTAKHPCSTYSIANISYELNVFGTRGPRRMRCLMHSIPASSLEAGGTVPSQPDSILAHSLNESSFRSVSFSKSSVMDHSMHFSSAQFSDISIGDGPRIGGRVLSDDEECKETPLILQNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPVPPPPPEHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAISLSSFDTKLACE >ORUFI11G04020.2 pep chromosome:OR_W1943:11:2886046:2889791:1 gene:ORUFI11G04020 transcript:ORUFI11G04020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRGFEVRILGHRRGKSHGAVHELHDPVPVIQSSCWASLPPELLRDIIERLEESEATWPSRKHVVACAGVCRTWREMCKEIVKNPELCGKITFPISLRQPGPRDGTMQCFIRRDKSTQTYYLYLSLGSAVLVDNGKFLLSAKRNWHATCTEYVISMNANNLSRSTNTNIGKLRSNFLGTKFVIYDTHTPYNATSDSQSGKTSRRFSNKGTAKHPCSTYSIANISYELNVFGTRGPRRMRCLMHSIPASSLEAGGTVPSQPDSILAHSLNESSFRSVSFSKSSVMDHSMHFSSAQFSDISIGDGPRIGGRVLSDDEECKETPLILQNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPVPPPPPEHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAISLSSFDTKLACE >ORUFI11G04030.1 pep chromosome:OR_W1943:11:2892325:2892937:-1 gene:ORUFI11G04030 transcript:ORUFI11G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSILRFAVTDNGRRQWQTQEMAVEVEDGGGCAAGVEDSGGRAALQRRKTAATVQARDDGGRAADVGDSGGCASAPVSLRAAVWWPRELATEAGQRKAWPMLLHLGRPHKLLKRRMQPDNKLVVVSISLSLSLPLSPPDHHKILRGTTTAHY >ORUFI11G04040.1 pep chromosome:OR_W1943:11:2897825:2899084:1 gene:ORUFI11G04040 transcript:ORUFI11G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDYQGSSSTHSHPSPFSSFGRSLLSLRRDSPAAAAGASPAMASGEEADLEAFQRHVAAHLADLRGGEDELLSIEWIRRLLEAFLLCQEEFRVVVALARRRGALSAAAEKMVGDFYERAVKALDVCNAARDGVDQVRRWERLAGIAASVLLAPGEIHEGQLRRARKALSDLSVLLIDDAAAAAGGGGVASFLSSHRNRSFGRARASPSRSATLASSSSSSSSSSSSSHFRSLSWSVSRNWSAARQLQAIGSGLAAPRAHEGGLVAPVYSMGCLLHLAAWALVAAVPCPDRAAALQAHHLPAAPPRAAFPWAPPLLALQERLTEEGKRKDRRNSCGLLKEIHALEKCTQRLAEAIDAAPVPLSGEREAEVREAAAELAAVCAAMRAGLEPLERQVREVFHRIVRSRMEGLDSPMLNAD >ORUFI11G04050.1 pep chromosome:OR_W1943:11:2899951:2901070:-1 gene:ORUFI11G04050 transcript:ORUFI11G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNGTQTQQQVRGDMHAPAGSHLFHFSNSWKKRQETNKQTPHHQAQCNSTPQKGLPNDTAHIITPATHLHKVSVTATADDESLFIRMIYDSHEEQKLQRIQDSDWMMMMETQYYIFRGLSFGVRDNYKSRTMKKIAQKTEILGSRVHLTKLNYKADMHLFSESGDKKIT >ORUFI11G04060.1 pep chromosome:OR_W1943:11:2908958:2911368:-1 gene:ORUFI11G04060 transcript:ORUFI11G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKFGKRGNTYVGRPPIIEVVTYNVSTTQQYSGFIYDLRHRLAKHQHIGRPVLAMGSHPKQPARWMYINLVGNDNDRATLAVRDDNLYIIGFKNQKGQWFEIGVPTKNMPLLNSSTFLGCDVRYGSLLNVPEGPSTPSEVVLRELMSVDLRRTRVLDAVHELSDYAHPQNGVVDVATKRHLARLAVVICESARMTPHYDTVNNGYESNAPVTLTELQVNYLWNWTCMSRILGGITHQRKEPPCLRRPPPSDYGPRPHAQLPPPLAKRAPQALFEEEKRPSSTDDREESSMDGCIGRLLVEVLTVNPMYASDNFHRCTIAIYDGERGQILFKHQEGDPSVIRDFQGNLFLTGPHSAFSAEGSFLIEVNPHTPTGGQSYISKSQAVGGMLFWDCYDDDNEYDKILTDNFSTGLGPLRVTYAVLSNAVEATVQVKLLPLAGGRATSGSVFGVITVRSQKFGVGSVLFSRSPQAKVQLASDSTVPLAHSVVAVPLDFPVLIEAQLHAGNEYFQGKLEFAAGVCGKQQTKQILHALTPRAAGAGNTVLEITWSPDFPR >ORUFI11G04070.1 pep chromosome:OR_W1943:11:2929630:2931818:-1 gene:ORUFI11G04070 transcript:ORUFI11G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVENVEFNVRTGNYPEFMNNLRDRLAEHPDLDDVYAGCPVLAMQRCPKQPARWMYINLVGNGDDRATLAVRDDNVNLIGFRNLKGQWFHMGFSGMSKPILPEPSTFLGCDGSYPSLLGGRDEDDVKNMLVNDIDLRQVVLLDAVHKLSGYEQPPDPYGAADDDTKLDLVHLTVVFCEGARMALHYDAVNDGQISLNERQVDYLRNWWLMSRALLQDETTPWPRRLSSETGINDDEQARRVVLLVLNTSTTVHVHGAAERRRSDWLYFRTDPEAAAAGAGQTGHGGRFVEVLAATAGFGSCTIAVFDGKRGQILYRPHHQRHYTNYQGNLMLTGPYRAISADGSFLIEVDTNNGDGDTADGTLFWDCYDGQNEYNKTLTHTIGTTRGPVDVTYAVLSDAVEATVQLKLLLPVAGDDNTDHHHHLAVYGDITARSHCLAVGSALFRRGSREDAVALAVAAAGGSAVVDVPLQRCVVAVPLDWPLEIDVQLYVVGEEGIRYTCFQRLLLSSPGGEQQPQRVFHSGNTSLEVNITWSRDF >ORUFI11G04080.1 pep chromosome:OR_W1943:11:2945366:2947812:-1 gene:ORUFI11G04080 transcript:ORUFI11G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDDERLGRALAAFGGGGGGGGGVWELVDAALACAARDRPDELRARRDAALRPAAATTTEVFFFNFLSPPHDDEAAAAADGLEIKILAIKDFLEDEDQSEDELLSLLQSLADMDITYKALQETDIGRHVNGLRKHPSGEVRLLVKQLIRKWKEIVDDWVRLHNSSGDASNSIITDGNSPEKIQGKNQQSSQVSEFKYSPSPSRHNNSSSERVSNGIASIAATKHRASPAPAHHNARQINNTHHSTTSSSAPARMVKEQKDSHLDLERLDSARKRLQENYQEAQNAKKQRTIQVMDINEIPKPKNRNAFIRKGNGGGFPARHR >ORUFI11G04080.2 pep chromosome:OR_W1943:11:2945366:2947812:-1 gene:ORUFI11G04080 transcript:ORUFI11G04080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDDERLGRALAAFGGGGGGGGGVWELVDAALACAARDRPDELRARRDGIVERLYARRRLQQLRNLTRAARFWFASSQSEDELLSLLQSLADMDITYKALQETDIGRHVNGLRKHPSGEVRLLVKQLIRKWKEIVDDWVRLHNSSGDASNSIITDGNSPEKIQGKNQQSSQVSEFKYSPSPSRHNNSSSERVSNGIASIAATKHRASPAPAHHNARQINNTHHSTTSSSAPARMVKEQKDSHLDLERLDSARKRLQENYQEAQNAKKQRTIQVMDINEIPKPKNRNAFIRKGNGGGFPARHR >ORUFI11G04080.3 pep chromosome:OR_W1943:11:2945366:2947361:-1 gene:ORUFI11G04080 transcript:ORUFI11G04080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDITYKALQETDIGRHVNGLRKHPSGEVRLLVKQLIRKWKEIVDDWVRLHNSSGDASNSIITDGNSPEKIQGKNQQSSQVSEFKYSPSPSRHNNSSSERVSNGIASIAATKHRASPAPAHHNARQINNTHHSTTSSSAPARMVKEQKDSHLDLERLDSARKRLQENYQEAQNAKKQRTIQVMDINEIPKPKNRNAFIRKGNGGGFPARHR >ORUFI11G04080.4 pep chromosome:OR_W1943:11:2947385:2947812:-1 gene:ORUFI11G04080 transcript:ORUFI11G04080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDDERLGRALAAFGGGGGGGGGVWELVDAALACAARDRPDELRARRDGIVERLYARRRLQQLSRRTSC >ORUFI11G04090.1 pep chromosome:OR_W1943:11:2949430:2950046:1 gene:ORUFI11G04090 transcript:ORUFI11G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSQDKLISYLFGATVSALHGSSDGRRRRQIWSPRLAGVTGDGLGHRRRRSADLAGGWLAAAMVADVVATKLATTAADCGACRDAGPPVAAIHVASSLGHRFGETSSYKDIVIGLCIGFELQS >ORUFI11G04100.1 pep chromosome:OR_W1943:11:2955269:2955547:-1 gene:ORUFI11G04100 transcript:ORUFI11G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDDVDFSSNQATPKESMNSKDDAKVQPSSGQTPNKGNYYMGHANAITMMFQYIRDGCRRVESSMEDYKCKKVEEGHGEKRKVEKGYDPR >ORUFI11G04110.1 pep chromosome:OR_W1943:11:2957569:2962707:1 gene:ORUFI11G04110 transcript:ORUFI11G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRHRLIGVASPAATELRRAFRSEAALEAIRAHSKDADGPAHLALYNYPTFAGGYAALAADLFHRRLRRRLLVLPFSSVEPFRAGDFEGAGFQTCYLLDFIGPNNFALELSRFIPSVIAFDHRRSTLARIPHLGHCPSNLELNIDTTKSSARATFDYFSRNLAEIKSDSDMCEKLLDQEDEERIFNVLKYIEDADLRQWKLPNAKEFQTALRDERAKLNCITNPLVFEQQLDVCNLLSRGKSLAHDRFEAAGKLIHKPFRIHLGRGLHGECLAIRADGNSKLSHEIGLELSKMSTAAGLRPIGAVVFMQRGLLKICLRTTDSSTNTAEIAKAYGGGGKPSSSSFALRMDEFNAWISVNS >ORUFI11G04120.1 pep chromosome:OR_W1943:11:2961784:2965682:-1 gene:ORUFI11G04120 transcript:ORUFI11G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALLPRGSILLVLRPRVPSTPHRCFAIGAAAAVDGGETWRGQLRQGEGEGRSRAVKVSVWWDFQSCHLPQGANPCRVATRVTAALRDAGIRGPVDITAFGDAYMLPRAVQEALAATGVAFSHVPSSFVFQTHPNFVTHRSGKGGPDQLFMADLTYWIAQNPPPAHFFLISGNKGLANILHRLRMSNYNVLLACPSADSSVLCSAATIMWPWDALVKGLDFSPKHFNQPPDGISFSWYGHYRGPLDDLFLNSESDDSMAESEDSLAESRDSKAFQPHTKSVKPPILPKSVANGVRQVLYSFPEGISLPNLRAELRKNHVFMDKGLFGFKNFSSLLQAMPDVVKFIDPLPGERNQPAVVGVSKRSMEPAEQIYKGKSSAQSSGEFKRLVQTLNEKPPSSHVPSSSSDILSADRKKVLAVDAPSSQSDLLSRNQEKAPPVDLTTQPETPASCMEADVESVAGASAFTGEQITVDKKGLFERICVLWNDTEPVKPMLSPSQDDTHSKGSNDLLTQYANSNEHNSLLTRTLKIFSTTDNSDGDNVDSTSAISSSFSNMTANDHSDKLNVKENVGNTIIHSSRSVDTSNAEHKVGFIEKSKGIFSWAAKWWASGKPDTDDNLSSVHINDGTREESEKESAFVKTAATASEQQVGVELFMKPYFWDALQQYLSTPHGSDLVSKAKTREELAHGLRKQGHWPLKSLGGKHHHQLVDLLISEKQWIKESSSQTSPFRLALPQRRARSPLLSFFTNGRPSGQRKHVDDRSPTLSRTSVHVLPTKNGKGQASCKSNENQSKSDDFLEKELGPVSDSGKPYRQNDKAVRHHPPTCSDDEFSDDENHEVVQEAGRDAAQSSLFKIIDSWNTSKTICSSKKQHGIGGIVDCSRINRGNGGDNSITENAEKATSLSKHSYMTSDSDSDSDEEKLFNSVLGSLQNAKNSSLPG >ORUFI11G04130.1 pep chromosome:OR_W1943:11:2967802:2972771:1 gene:ORUFI11G04130 transcript:ORUFI11G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAEARRRGRERWEVADLAGVGGAERGEASPAAGRRRGGWEAVMETAHEVAIYIDRFHNLDLFQQGWYRLKISAAWEEDEYRAPVSPARVVQYEVPDIGSKGAFGLWKIDDVDNSFYTQPFQIKYSRQDIYLSVMVSFYIPNTEDEGPATSIPYKALLGLHAYFPVHFDAFHPVLVDLTMHIVYLKAGVTKSSQKVHREILLEEVMKMSAGIGKTLEDLDDADLTLGKHEPIDSSKAGLPKYSKGLYIPTKCIGHLTGVLHDLIERSDNVVRSTNDILLYTLSKEDLLELFQAVSDQVSFLWNAFLKFHRANKIKILDHLRNIWDADRKSEWSIWIVHSKVDMPHRYLCSLSGKSSPRHSLLRSSSSRKLHPDNSSSRAELHRRSIAQMKINARFIQDMQIYANPSEIPVVHIEQHVMVVPQHGSSKRLVRSASEANSTTLQHKLNGKHVARMPSCDGNIGHILRAVGHHLDLRLIRNQWILCDPGAECLLSQTNEDRTCGDFKEMGRRLSNEVVAFLKRKIDRYSRNGGCKDLKLSFVGHSIGNIIIRSALADPKMQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGVQCMHQLTFSDEQDPQNTFFYKLCKLNTLDNFKNIILVSSPQDGYVPYHSARIDSCPASSSDNSKKGQVFTEMLNNCLDQLRAPSSETRVFMRCDVSFDQSSHGRRRSLNTMIGRAAHIEFLENDLYARGLKPTLTNTVRIWYYAK >ORUFI11G04130.2 pep chromosome:OR_W1943:11:2967802:2972771:1 gene:ORUFI11G04130 transcript:ORUFI11G04130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAEARRRGRERWEVADLAGVGGAERGEASPAAGRRRGGWEAVMETAHEVAIYIDRFHNLDLFQQGWYRLKISAAWEEDEYRAPVSPARVVQYEVPDIGSKGAFGLWKIDDVDNSFYTQPFQIKYSRQDIYLSVMVSFYIPNTEDEGPATSSVILKFELIYVPTLEDRTEIEDPSDIYVPVHEFRIPYKALLGLHAYFPVHFDAFHPVLVDLTMHIVYLKAGVTKSSQKVHREILLEEVMKMSAGIGKTLEDLDDADLTLGKHEPIDSSKAGLPKYSKGLYIPTKCIGHLTGVLHDLIERSDNVVRSTNDILLYTLSKEDLLELFQAVSDQVSFLWNAFLKFHRANKIKILDHLRNIWDADRKSEWSIWIVHSKVDMPHRYLCSLSGKSSPRHSLLRSSSSRKLHPDNSSSRAELHRRSIAQMKINARFIQDMQIYANPSEIPVVHIEQHVMVVPQHGSSKRLVRSASEANSTTLQHKLNGKHVARMPSCDGNIGHILRAVVFVHGFQASVFRLVAMGHHLDLRLIRNQWILCDPGAECLLSQTNEDRTCGDFKEMGRRLSNEVVAFLKRKIDRYSRNGGCKDLKLSFVGHSIGNIIIRSALADPKMQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGVQCMHQLTFSDEQDPQNTFFYKLCKLNTLDNFKNIILVSSPQDGYVPYHSARIDSCPASSSDNSKKGQVFTEMLNNCLDQLRAPSSETRVFMRCDVSFDQSSHGRRRSLNTMIGRAAHIEFLENDLYARGLKPTLTNTVRIWYYAK >ORUFI11G04130.3 pep chromosome:OR_W1943:11:2967802:2972771:1 gene:ORUFI11G04130 transcript:ORUFI11G04130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAEARRRGRERWEVADLAGVGGAERGEASPAAGRRRGGWEAVMETAHEVAIYIDRFHNLDLFQQGWYRLKISAAWEEDEYRAPVSPARVVQYEVPDIGSKGAFGLWKIDDVDNSFYTQPFQIKYSRQDIYLSVMVSFYIPNTEDEGPATSSVILKFELIYVPTLEDRTEIEDPSDIYVPVHEFRIPYKALLGLHAYFPVHFDAFHPVLVDLTMHIVYLKAGVTKSSQKVHREILLEEVMKMSAGIGKTLEDLDDADLTLGKHEPIDSSKAGLPKYSKGLYIPTKCIGHLTGVLHDLIERSDNVVRSTNDILLYTLSKEDLLELFQAVSDQVSFLWNAFLKFHRANKIKILDHLRNIWDADRKSEWSIWIVHSKVDMPHRYLCSLSGKSSPRHSLLRSSSSRKLHPDNSSSRAELHRRSIAQMKINARFIQDMQIYANPSEIPVVHIEQHVMVVPQHGSSKRLGHHLDLRLIRNQWILCDPGAECLLSQTNEDRTCGDFKEMGRRLSNEVVAFLKRKIDRYSRNGGCKDLKLSFVGHSIGNIIIRSALADPKMQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGVQCMHQLTFSDEQDPQNTFFYKLCKLNTLDNFKNIILVSSPQDGYVPYHSARIDSCPASSSDNSKKGQVFTEMLNNCLDQLRAPSSETRVFMRCDVSFDQSSHGRRRSLNTMIGRAAHIEFLENDLYARGLKPTLTNTVRIWYYAK >ORUFI11G04130.4 pep chromosome:OR_W1943:11:2967802:2972771:1 gene:ORUFI11G04130 transcript:ORUFI11G04130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAEARRRGRERWEVADLAGVGGAERGEASPAAGRRRGGWEAVMETAHEVAIYIDRFHNLDLFQQGWYRLKISAAWEEDEYRAPVSPARVVQYEVPDIGSKGAFGLWKIDDVDNSFYTQPFQIKYSRQDIYLSVMVSFYIPNTEDEGPATSSVILKFELIYVPTLEDRTEIEDPSDIYVPVHEFRIPYKALLGLHAYFPVHFDAFHPVLVDLTMHIVYLKAGVTKSSQKVHREILLEEVMKMSAGIGKTLEDLDDADLTLGKHEPIDSSKAGLPKYSKGLYIPTKCIGHLTGVLHDLIERSDNVVRSTNDILLYTLSKEDLLELFQAVSDQNSSSRAELHRRSIAQMKVGSQSMLKTETICITPKKRILTQIILQINARFIQDMQIYANPSEIPVVHIEQHVMVVPQHGSSKRLGHHLDLRLIRNQWILCDPGAECLLSQTNEDRTCGDFKEMGRRLSNEVVAFLKRKIDRYSRNGGCKDLKLSFVGHSIGNIIIRSALADPKMQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGVQCMHQLTFSDEQDPQNTFFYKLCKLNTLDNFKNIILVSSPQDGYVPYHSARIDSCPASSSDNSKKGQVFTEMLNNCLDQLRAPSSETRVFMRCDVSFDQSSHGRRRSLNTMIGRAAHIEFLENDLYARGLKPTLTNTVRIWYYAK >ORUFI11G04130.5 pep chromosome:OR_W1943:11:2967802:2972771:1 gene:ORUFI11G04130 transcript:ORUFI11G04130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAEARRRGRERWEVADLAGVGGAERGEASPAAGRRRGGWEAVMETAHEVAIYIDRFHNLDLFQQGWYRLKISAAWEEDEYRAPVSPARVVQYEVPDIGSKGAFGLWKIDDVDNSFYTQPFQIKYSRQDIYLSVMVSFYIPNTEDEGPATSSVILKFELIYVPTLEDRTEIEDPSDIYVPVHEFRIPYKALLGLHAYFPVHFDAFHPVLVDLTMHIVYLKAGVTKSSQKVHREILLEEVMKMSAGIGKTLEDLDDADLTLGKHEPIDSSKAGLPKYSKGLYIPTKCIGHLTGVLHDLIERSDNVVRSTNDILLYTLSKEDLLELFQANSSSRAELHRRSIAQMKVGSQSMLKTETICITPKKRILTQIILQINARFIQDMQIYANPSEIPVVHIEQHVMVVPQHGSSKRLGHHLDLRLIRNQWILCDPGAECLLSQTNEDRTCGDFKEMGRRLSNEVVAFLKRKIDRYSRNGGCKDLKLSFVGHSIGNIIIRSALADPKMQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGVQCMHQLTFSDEQDPQNTFFYKLCKLNTLDNFKNIILVSSPQDGYVPYHSARIDSCPASSSDNSKKGQVFTEMLNNCLDQLRAPSSETRVFMRCDVSFDQSSHGRRRSLNTMIGRAAHIEFLENDLYARGLKPTLTNTVRIWYYAK >ORUFI11G04140.1 pep chromosome:OR_W1943:11:2977340:2979194:1 gene:ORUFI11G04140 transcript:ORUFI11G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIPPQKSSSFSPATFREERLGRNLSLGAIKISEHAPAVRVKEEAEEGRGGVDNAGAGEGEGAAGEEAAPPEEAAEPDLAMLSAEVDAFLAGREGDAPTSISEVTLDKFASAVEQEMAQSEGDDDKWAVGENGEAAPLLAAIRRISALAAALTAVPEGSKFTIGVHRVTGVLHRAMAFVEDEFHTMLEDPRVAKAAQNGDTGSATGKSMRRGPSFNHAGGDPASDGGGGGGGGGXGHDLCARVFAGCDAAVGRAIFVDLARCAMLQMLNFTEAVAMTKRAAEKLFKVLDMYEAVRDAAPVIDAFIAACSTTDAAADEPDTTTDALTDIKTELASVRSRLGESAAAIFCDLESSIRADAGKQPVPGGAVHPLTRYLMNYLEYACEYKNTLEQVFHEHHRTDIDADDEGSDPFAAQLMEVMELLHDNLEAKSRLYKDPALCSIFLMNNGRYMLQKIRGSPEINAVVGEAWSRKRSTDLRQYHKNYQRETWSRVLTLLRDDGVITVKGSVQKPVLKERFKQFNAAMDEIQRTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFSQSFSAGRQAEKYIKLSAEDLEAIIDELFDGNAVSMPRRRN >ORUFI11G04150.1 pep chromosome:OR_W1943:11:2989796:2990331:-1 gene:ORUFI11G04150 transcript:ORUFI11G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHHLFHHKKDDEPATGVDSYGEGVYTSETVTTEVVAGGQDEYERYKKEEKQHKHKQHLGEAGALAAGAFALYEKHEAKKDPENAHRHKITEEIAATAAVGAGGYAFHEHHEKKKDHKSAEESTGEKKHHLFG >ORUFI11G04160.1 pep chromosome:OR_W1943:11:2999591:3000025:-1 gene:ORUFI11G04160 transcript:ORUFI11G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVKLAVLLLLAAAAAGGSSTTTVPPLEERLGAAFDGMAAAAEGGGGGGWMMECWSAVTKLGSCTNEIVLFFVNGESYLGPDCCVAIRTVTRRCWPAMLASIGFTAQEADILRGFCDAELAAPPPPSTNASSAAPAPAPASA >ORUFI11G04170.1 pep chromosome:OR_W1943:11:3001630:3008008:1 gene:ORUFI11G04170 transcript:ORUFI11G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQDPFYIVKDEIQESIEKIQDTLHQWKQTPENTGEHVHLTKEIIASCESIQWQVVELEKAISVAERDPAYYGLNEVEIGKRRNWTSTARNQVVSIRRCVEAGKQKSAFGHSVNPSELVRSKQHIAQDNDDFIASESDQQMLLIKRQDEELDELSASVQRIGGVGLTIHDELVGQKRVAMVLKKASLKGQIMMIAFLVRSSGGIVGQSPSHPSPAQRSKKALEAFIAEGHFRPTHQNPRRGAPLYKRCPRVYPAPPPHTPHRHSAPPPRTRRSKKMSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPKDDVNKPCHLTSFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPIVVVGLVAYVKTPRGLRSLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQMQLEKMKKYASVVRVIVHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYKFFEKEIPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKSGQESHAACTEFDRTEKDITPMGGFPHYGVVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTDEKQRFFGKLKA >ORUFI11G04180.1 pep chromosome:OR_W1943:11:3008793:3014278:1 gene:ORUFI11G04180 transcript:ORUFI11G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRNETPISENEIRITAQGRMRNYISYGMSLLEENGHDEIVIKAMGRAINKTVMVVELIKRRIGGLHQITSTESIDITDTWEPLEEGLLPLETTRHVSMIAITLSKKALDTLSPGYQPPIPAEEVRPAFDYEHEESFPANRGRGRGGGRRGRGRAMSNGPPAYDYGEEWEEEGDYYNYRGRGRGRFRGRGRGRGRGGYYGGGRRGGYGYDYGYGGRGDYYEDQGEYFEEPEDYPPPGRGRGRGRRGGGPGPFRGRGRGRGRF >ORUFI11G04180.2 pep chromosome:OR_W1943:11:3008793:3014278:1 gene:ORUFI11G04180 transcript:ORUFI11G04180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRNETPISENEIRITAQGRMRNYISYGMSLLEENGHDEIVIKAMGRAINKTVMVVELIKRRIGGLHQITSTESIDITDTWEPLEEGLLPLETTRHVSMIAITLSKKALDTLSPGYQPPIPAEENLSPLIVEEAVVVAEEAGAEP >ORUFI11G04180.3 pep chromosome:OR_W1943:11:3008793:3013986:1 gene:ORUFI11G04180 transcript:ORUFI11G04180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRNETPISENEIRITAQGRMRNYISYGMSLLEENGHDEIVIKAMGRAINKTVMVVELIKRRIGGEEGAEDRDHSVVAAVGVVDSKMKSGGGALEA >ORUFI11G04190.1 pep chromosome:OR_W1943:11:3013896:3015930:-1 gene:ORUFI11G04190 transcript:ORUFI11G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVVAVPTVDPAAPTATRVAAASRAGSDGYDGGGGFRAEAAGGGARGEAVGAGWRRRVEMVQPAAGGGIGGDGCALPSSLAASGGIGDVQREERRPLGGALPPPDPARGRAPEPCYLTILRATTECAGQA >ORUFI11G04200.1 pep chromosome:OR_W1943:11:3018643:3020856:-1 gene:ORUFI11G04200 transcript:ORUFI11G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGGKVASPPGPRLPRLAGAGGEEEEEEAAAGMLSRHGQAREMSVMVSALARVVAGGGGGEAEEWWPPAYGAAPLPPSTSPASHEHAAAMAAGQYAPATSSAMASPREQASSPSSGDAAGGGGGGGRKRYRGVRQRPWGKWAAEIRDPVKAARVWLGTFDTAEAAARAYDDAALRFRGCRAKLNFPEDAALLPPPPPPPAPAPTPPQSQGMVGVGEEYSEYARFLQGAGEPPHFIEQIMEDSPRPSTAAGASSSSSGQSSFPLFYSFAGHELGGNEANLARPPESGGAGGDGGRGSSPPATWPGYGWGAPPPWDPSR >ORUFI11G04210.1 pep chromosome:OR_W1943:11:3025362:3027617:1 gene:ORUFI11G04210 transcript:ORUFI11G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLQALVVAVAASAAILFPCLAIAFFCRHRHRHRVVVKPRCRCLQPLSSAATLPVTAPSSRSCERVSSWSFYGGGGDAGDRSLKMLSLDDLAGATGGFSPDNIIGDGSFGFVYRAVLPDGARVAVKRLSADHASGGEGNREFRAELEVLGTLSHPNLARLLGFCAAGADRLLVYELLERGSLDAWLYGDAAGAAGQLPWPARLRIARGVAAALAFLHHGNETAILHRDIKASNVLLDEGFEAKLADFGLARIAAGGAAESHLSTQAAGTAGYMAPELRAGVGASVKADVYSFGVLLMEMVTGRRPSWPVKINMKGKEVEMLKWARDKVDKGQALEILDRQMGIQWEGREADQDEMIAYLDVARRCTEESPKHRPSMEEVVEMLNKI >ORUFI11G04220.1 pep chromosome:OR_W1943:11:3044269:3051111:1 gene:ORUFI11G04220 transcript:ORUFI11G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDLIAACLDSIRQIGDEIADALVYLDAGTLEAFQFIGAFPLLLELGARAICSLENASPLDAAAEWHSSFAHPARKIVVITSRLLSDAHRYILRCLGNHGTISHCTVLTAISEVGHSAYVDSPFGPDAFREYETLLTQDHEELLKKYEKSDEHKDTTFYTGKDFTSDGGRYSNWESGVHYGSNSESSPTKKDLFADESSQLETKGKRLSVTVTHFPMIFSPISSRTFVLPSEGTMAESCLSNHHEDSLSPGLPPISTGRSFDGDEIPPGVTLTAQFLYHLASKMDLKLDIFSLGDTSKVIGKLMMDMSSLYDVGRNKRSAGLLIVDRTLDLLTPCFHGDSFLDRMLSSLTRKEGTSSYFVSKNPQTPSKHSEATVKRIPLDIKVPFEKVFSKEEPKSRTSMLPESIMSFVSGWNSAEVESEVTWLPDYADKAHDDKLSSQHGSLNGSFLSNYAGVCYLESLLDRGAKDGLMLIKKWLMEALQHEKLSFPSKGRQGAASVSELHSMVQMLSRDQLSLVRNRGVIQLALAAEMALQEPQSSRWDAFTSAERILSVTSAETTQSLASELRDFINTSTSVESHKQANKIESSQGLLSFQDVLLLTIIGYILAGENFPTSIAGGPFSWEDERSLKDIVVDSILDRPSSVKLRFLVGLENELEAKARPKDGDKSNDSIEASKSTDDFDDQWDSWGDDDDNTDDQKDEAYGDMQLKLEVRDRVDQLFKFFHNLSSMRLRNQTLGEGLAALSRFETDIYSRKGLLYKLLLAVLARYDIPGLEYHSSAVGRLFKSGLGRFGLGQSKPSFGDQSILIVFVVGGINALEVREVMKAISDSSRPDVELILGGTTLLTPDDMFELMLGSSSFRVEATIEVAISELVSGFDLSVRCDLPMLEGHGEVQLFSGIIGEPCSLRRFVMAFSLDIVMHLKFIHGRSKGLQFC >ORUFI11G04230.1 pep chromosome:OR_W1943:11:3054790:3056323:-1 gene:ORUFI11G04230 transcript:ORUFI11G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKVETIVAGNYVEMEREGAATAGEGVGGAAAASGRRRGKLAVSSLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMASGVAFQVFYGLMGSWTAYLISVLYVEYRTRRERDKVDFRNHVIQWFEVLDGLLGRHWRNAGLLFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFVPSFHNYRVWSFLGLLMTSYTAWYLTVAAVVHGKVDGAAPRAGPSKTMVLYFTGATNILYTFGGHAVTVEIMHAMWRPRRFKMIYLAATAYVLTLTLPSAAAMYWAFGDALLDHSNAFALLPRTPWRDAAVVLMLIHQFITFGFACTPLYFVWEKAIGVHGGAGVLRRAAARLPVVLPIWFLAVIFPFFGPINSTVGSFLVSFTVYIIPAMAHMATFAPAAARENAVEPPPRALGGWPGTFAANCFVVAWVLVVGFGFGGWASTVNFVRQVDTFGLFTKCYQCPPRH >ORUFI11G04240.1 pep chromosome:OR_W1943:11:3068154:3070449:1 gene:ORUFI11G04240 transcript:ORUFI11G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAAKEKDKGGKKMSRVGSWGSMAFYSSSSSSRSQPVSAAEGKNGGKKEKRRSSISRSITCAGSICSTKESSVSSRGRGRRRRSGGGGGGDGGGGGSTSSRSLMGPGYHGDSAAAVSASSSFNSVMTAATSATATTTTSSSATSPPSALSSPLSSIGGSFRAMQIRKLSGCYLHCHSVLDPRTLAAVFSCPDCDEVFVKPDSLELHRSTRHAVSELGADDTSRNIVEIIFQSSWLKKNAPVCRIERILKVQSSDKTIKRFEQHKEAVKEKARSAGDEAGRNPRCVADGNELLRFHCTTFACSLGLAGGTALCCASSVDGNGRITTMATSGRAHDTAEVSPDGEKRAMLVCRVVAGRVKKLHSSNSSEDHDCDSVSPCSEGVYSDLDELFVFSPRAILPCFVVIYSGY >ORUFI11G04250.1 pep chromosome:OR_W1943:11:3078290:3079576:-1 gene:ORUFI11G04250 transcript:ORUFI11G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSAAGAASPSNSSAASASDPTPSWWESVSQARSRILALSSILPAPADSDVAALADSDRPARALLRSSAAYVALSAALRSGGGADDPACHWLYDTLLSPDPDLRLAALAFLPLLSSLYLLRLPPALPSSLSGFEAVLLAVYSSEAKNRQGKPVLVQVPDLSVPSLYHTPLSSPSSKSPRRPQPPPIPPPAGNVVVGVLSPPLEPQAAVKSTKRAGIIGVAFEAYYAKISQMPPASKVDACNAVAAWAGQYCKCRFELDEKELEEEEADSLGSVSPLSSEAENGKALEEEIAKMRVNGDTNGRNCGEREGRVPLPWELLQPVMRVLGHCLLAPLNPTEVRDTAAEAVRVVYARACHELVPQAILASRSLIELDKSARKAAKEAAAAASGAIVSVGTAGSTASSSRPSSKPNTPGKQRKPDVLLLSK >ORUFI11G04260.1 pep chromosome:OR_W1943:11:3079729:3081561:-1 gene:ORUFI11G04260 transcript:ORUFI11G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKASPFLSLLLLLLLITIYIAHGAAGDAIGGDPWQEPEVAQQPAVVLAGEWQLLHQNTGVSAMHMQLLPGDYVLMFDRTDSGPSNISLDALSPCAAAATTALAAGGGGAVDCTAHSVLLDLRSNALRPYPLATNPWCSSAALLPNGTLLQTGGFSNGDRIARLFSPSTGWVDLPSFLAVRRWYATDILLADGRVLILGGRRQFNFEFFPHDDAPAPQPTLFPFLEETTDMDAEDNLYPFLHLLPDATVFVFANDRAVVFDPYNRAPLRRLPAIPGGVPRNYPSSGSSVLLPLRPDSPSHAEVLVCGGAPRGAYRLALRNGTFAPADRTCGRIAPTDANPVWAMEEMPLPRAMGDMVLLPTGDVLIVNGAAAGTAGWELGREPVTYPVLYKPDMQLGARFEVLAASTIPRMYHSSATLDTLGRVLVGGSNPHVGYVFDNVTYPTELSLEAFLPPYFDARLDGVRPRLGAAPAGGGGGGGEVRGARRRGLRWPRGGARGGRGAGVRDALLRDEPEGGVPGHGHRRAAGRRAVRGAGGRAAVAVGGAAGVLPLVRAPRRRAQHRGMGAHAAARCSDVMTTTTSTCEPVNCHVSLFFSLKKNEKKKKWSTTTY >ORUFI11G04270.1 pep chromosome:OR_W1943:11:3083879:3088739:1 gene:ORUFI11G04270 transcript:ORUFI11G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFIGFAIPFLASLLFTKRKGEKKRGVPVDVGGEPGYAIRNHKFERPVETHWEGVSTLAELFEQSCEQYVYMPLLGTRKLISREMEAARDGRSFEKLHLGQYEWKSYADAFKTVCNFSSGLVRIGHLRDERVAIFADTRAEWQIALQACFRQSITVVTIYSSLGEGALCHSLNETEVTTVICGRKELKKLVDISGQLDTVKHVIYVNEEGVSSEVSLAQKCTSWRVESFEEVERLGLETPVEAKLPLPSDTAVIMYTSGSTGMPKGVMMSHRNVLAVVSAVMTIVPALGKKDVYLAYLPLAHILELAAETVMSAVGASIGYGSPLTLTDTSNKIKKGTQGDASALKPTLMTAVPAILDRVRDGVRKNVDAKGGAAKKLFDIAYSRRLAAINGSWFGAWGLEKHLWDMLVFQKVRAILGGRIRFILSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEYDDNSVGRVGAPLPCSYIKLIDWAEGGYLTNDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDERGMRWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSVCPYVDNIMIHADPFHNYCVALVVVAHSELKSWASQQGITYSDVSDLCEKQETVKEVLQCLAKAAKQARLEKFEIPAKVKLVPEPWTPESGLVTAALKLKREAIKKAYEDDLAALYS >ORUFI11G04280.1 pep chromosome:OR_W1943:11:3089188:3090918:-1 gene:ORUFI11G04280 transcript:ORUFI11G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSGDETAPFFGFLGAASALIFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >ORUFI11G04290.1 pep chromosome:OR_W1943:11:3092730:3100574:-1 gene:ORUFI11G04290 transcript:ORUFI11G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYISCGMVALYRTLESSPIVEQAKVFVLLELSSAHQLPSMGVFSSAPKVYKPASEVNLGADSNEFYISPNVKAPRVAGLLVKIFAWVLEAPIIGSIVLYILKRDNLVNKLVSDAEIPEPPLFTAAHTWQDIPEQNVSLTKPDMSPAERVQEAVVCLPARLESVLADPPSPGFRRWTIRDFTSAYISGEITPVMVARRFLAAVKECSGPDLNMALFISCNPQDVIRQAEASTLRYQQGAPLSAMDGVLVAVKDEIDCLPYPTTGGTRWLQRMRPCVQDAAVVAQLRACGAVLAGKTNMHELGAGTSGINPHHGSTRNPYNTGKVAGGSSGGSAAVVCAGLCPVALGADGGGSVRMPAALCGVVGLKPTAGRFSKDGFVMLHIYILFILQDQSLAKVAIAAMDVHCSDELTHLTWAISDLRLLPLNWTVGMPGILAATVEDALIVYAAIADQSQPSHLQANARTSYFLLHVWMFSETEFAEDLIIFVCLQPELNLPLLKTASSMPTIRLARYAKWFNDCSEDIRSCCYKAVHTLRTRYGWEVTADVTIPEIEEMRLAHYVTMGSECTASFDKYLKKLSKSEIGWDVRIALSAYGSFSSRAYLNSQRIRNRQMYFHDKIFETFDAIVTPMTGVTAHELQDDAGHTGELDYINGAALVRYSIAGNFLGLPAITVNVGYDREGLPVGLQFIGRPWSEATLLHLAYAMQRTPPYITVYFYNVVDGNTV >ORUFI11G04300.1 pep chromosome:OR_W1943:11:3101091:3107318:-1 gene:ORUFI11G04300 transcript:ORUFI11G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFMVMLLLCSLKERPEMREEGGIASPGEKPIPNGASPNHSQSPKICSRITDNETQGTATAKSLNEKLVLETVSDDSSTQHCQSPQPDVFTNVKDEDMQDSVKSLSEKLASALLTINAKDDLVKQHTKVAEEAVAGWEQAEAEVSTLKRLLEASTQKNASLDDQVNHLDDALKECVRQLRQAREEQEEKIRDAVAKKTQELDSHKSELQNHIYELKQQLEAAKLEAATVAVQHDLQDKLQVAEKENKGLKIELLTLAKDLKRLSLERDLSNEAAETASKQHLESVKKIARVEAECRKLRHLTRRTSLANDSRPAPNNACMESLTDSQSDSGERMLTVDSEMRNSDSWASALIAELDQFKNSSASSRDVVNNHVKIDLMDDFLEMEKLAALSEVERVSSSFGTETDSDQAVAIDKASKVETETLKSQVTDLQAKVEKLETEKRDLEMALAEARVQLDASCDALMAANNKLAELQMQFNLANESKIAALGQADQLDAERGSLALQLESKSIEVEKLQAIVASLEESTDKKELESQLESTSVELVDLRKTVASLQEQIDAERTLSLQHKAYADMADADKKSLEAQLQSAHADIGKLRGSIETLESELQKEKTMYEELVVQMESMKIESEKKLGVESAKEALEARLLVVNSEIAKLHGTVNDLECDAAKEKAFSSELKMQLEAVEGIRKMLESELESSHQETMKLQEKISLLEVRLKDQTALLVEFTAKAEDAAAGRKAMEGQLEGAKLEITKLTNRVSLLQGKIEQEKLLSEEYEAKCRKLEAQLSRDSREARLWRLANTNGDLKVKQDKELSSAAGKLAECQKTIANLGRQLKSLTDLDSVTAEPEKLGSGDALLDFREPDVEVPPAGFANGLYDLDLPKSNGSCLSPIPRVQSSSTHSQTSVFSGGLSSLGSYRSKTRK >ORUFI11G04310.1 pep chromosome:OR_W1943:11:3116072:3121108:-1 gene:ORUFI11G04310 transcript:ORUFI11G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGVALNRRTRSRPPSVASSQKSDDPAAAVAAISTAEATPSPSHAAGERTVKKLRLTKAVTIPEGTTVAEACQRMAARRVDAVLLTDANGLLSGIVTDKDIAKRVIAEGLRVEQTITSKIMTRTPVYVMSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRLEKAAEQGSALAAAVEGVERQVGDNLPDHSSVIETLRERMLKPSLSTIISENTKVAIVSPWDPVCVAARKMRELRVNSVVITAGNSLQGIFTSKDVLMRVVTQNLSPELTHVEKVMTAHPECATLDTSILDALHIMRDGKFLHIPVVDGEGRVVACLDVLQITHAAISMVSLHMRIFSFLIPCFFLMVSVHLTSFCVKVEGGPETTNGVANTIMQKFWDSALALEPPDEEFDSRSEISLLMPSEAGDGRSSINPPVVGNSFVFKIEDQKGRMHRFACGSESLHELVSSVVQRLGIDGEKGTVQLLYDDDEGDRVLLTTDTDLTGAVLHAKSSGLKSLRLYTDESNSSSEVTKHSSEVTKHASEVTKHTSEVTKQPPELTSSHTSQLTPAHYGLMADFSQM >ORUFI11G04320.1 pep chromosome:OR_W1943:11:3125440:3129867:1 gene:ORUFI11G04320 transcript:ORUFI11G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAIGLLIREMSCVVPLCCAILPRDPSSFVLTLKHGDSFLMYIMMGQMTCICSSSPDEGGHPRASVLCELF >ORUFI11G04320.2 pep chromosome:OR_W1943:11:3126162:3129867:1 gene:ORUFI11G04320 transcript:ORUFI11G04320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMNLMIREMSCVVPLCCAILPRDPSSFVLTLKHGDSFLMYIMMGQMTCICSSSPDEGGHPRASVLCELF >ORUFI11G04320.3 pep chromosome:OR_W1943:11:3123567:3129867:1 gene:ORUFI11G04320 transcript:ORUFI11G04320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYCHSVTKCCKRANALSSAQENIMDEAVNCSTEKQTQDKIIVNIHTALISALSSEQEMGQMTCICSSSPDEGGHPRASVLCELF >ORUFI11G04320.4 pep chromosome:OR_W1943:11:3123567:3124782:1 gene:ORUFI11G04320 transcript:ORUFI11G04320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYCHSVTKCCKRANALSSAQENIMDEAVNCSTEKQTQDKIIVNIHTALISALSSEQEIL >ORUFI11G04330.1 pep chromosome:OR_W1943:11:3160421:3161680:-1 gene:ORUFI11G04330 transcript:ORUFI11G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSISQLLNYPYLPAVRLPRRTDAGQRNYKSSVSHVAERSHRVDDGQRNYKLSALPATNELPHRTDAGQRNYKSSVSPMAELSHRVDDGQRNYKLSALPATNELPHHTDAGQRNYKSSVSPMAELPHRADDGQRNYKLSVSPAAELPHRVDDGQRNYKLSVLPATELVHYTDGQRNYKSSVLETPELLKDPDMALFFLEKNLQQGKKINNALHFANLLATTNSKFLPRGKADSIPFSSKELPEILDRFGVRPGSDDAAEMSATLQDCELPANKGEKKACATSLESIVDFVTSSFGASDVDAASTVVLSKAVESSSLAQDYTVSGVRRMAGTGQLIACHPESYPYAVFMCHLTEATTRAYKASLVGKDGTAVEAVAVCHTDTSDWNPEHAAFHVLGVKPGTVPVCHFMQPDAVVWTRRG >ORUFI11G04340.1 pep chromosome:OR_W1943:11:3168876:3173372:-1 gene:ORUFI11G04340 transcript:ORUFI11G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVRAVIESFFVMLKENIYVLIRIESLVALVTLIFLAMFIIDFYRCRTRSSILTTILESIDELSDQIVVYLIGAMQSARFENELFPVWAIVLVSLRTSLGYLSGYGISDRDRQFMELANVIKFIGAGVLAGTRGLKYARPLWSFWAILQLKSMYRFFAHGMANESLWHGRSSEFIPEYMRTFIPEDQETGVNHDDRNTSTMMPGKKYLICGESNKDITLKKPQYTINISNSSAQSLVTLGKIQEYNWKGMNNRDGDSKFKDLSMAFSLSRLLRCRLEDVTLNKDSINDMQHLIISEFIPDSRGQRQEEKVDGHQAVEAERTFRILELELAFVRDYFYTLYPLVFWEGLGSLCLSLLLSAATFAIAFWLAVGIRKVYQPPEGNLVLWVDGCNFDIIMTWVFMFCVMFKEIWEIVTYLVSNWTRLLVLCKYVQDQAWFVSERLTKHLVRSFFESKIGEPWHGRIDQYDFFQQITYKPTLWKLANVITLGKIKGKLDGKKTGEAIKIPQCVKLAILQAIRRIGLTSRPLPREIPSLRSYVFRSELLPDSFLVPEVLFVETLKHAREQLKDCNLKWCRYNKLMGIALQATPSSVDEKLKMNILQQGVTLAKDLIGMKDDEACWKILAEVWADLLVHIAPSWNASDHKNNLESGGEFITLIWALLWHCGIEKSSLWHKDEAFENNSQVPQESNTETRNVIPMDEPANEDGIESSEELKTRSFRRGKESRNGPKDTAKQSHEFTRASWI >ORUFI11G04350.1 pep chromosome:OR_W1943:11:3173536:3183060:1 gene:ORUFI11G04350 transcript:ORUFI11G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQANSACVPEGNWIQKLPLLPAHYQGSCAGWKDALAAGSSQLASQSHGGLFRHDSTTGAASVEFWVAAATTLLLIKFAVDSIGPRFSSQKYINPAVQLLRILNHYAVSYTLGLMPPSSSHQGTVANAFFKVWAVLIVTMQDSIRIGRPYQPKEMTLVDMLTSLWSANQLRAKTAIHLRVPLWLMWSIHASRIVWYYITSSAAARARDDDMKLVSDYMAMPTQHTSNDANPATMAGYKYIVLGEEKLKQQIKVEPPSFTQTQLAQEEVITVEKVWSQGSSDALLGEAGDSSNRFKDVCLSFALYKLLRRRFFNFPIHEASHAGTRQLVVDAILDDKKGYERAFRVTEVELSFLQDFFYSKHADVFASGFPCVRLLLSLLMTAAASYLAYAVHDMPSVSTGLTAKGRLARISHGVFVTHCIIAILVIRELWEIIVHVFSQWTNVLIICSYIRLRGRQGCWIQGLRLWMMEKVARIMFWLIGRGRSRRDRNIRQCNLVMSARTGSIARVTRLRREVKMELFSSIKALLNSQEAAASVAAGRTRSSPEELAQKKNELLRSYLGNAFADIEHLVSQIEHIQREIKGEGETHKILAWHIATSLCQIKLLEQQATGRWRDDLYNLTLPEGGGDGELADVWPHYVTAVTLSNYRAYLVRQALVPDNGLVAYKVLHEVRREAAQSMRGYSAMQGLPHQLILNSNRPRCNGDDEGIIDIGALLSEELVAAFGGGAEVELWKRLGKFWAGFLLHLSASTRAAKHQVHLRGSGELTTHLWVLLSHAGFLGETSHGDQMLDPADLTNS >ORUFI11G04350.2 pep chromosome:OR_W1943:11:3173395:3183060:1 gene:ORUFI11G04350 transcript:ORUFI11G04350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRQTAVVCKCKMANIGLTILHLISTTVGGLFRHDSTTGAASVEFWVAAATTLLLIKFAVDSIGPRFSSQKYINPAVQLLRILNHYAVSYTLGLMPPSSSHQGTVANAFFKVWAVLIVTMQDSIRIGRPYQPKEMTLVDMLTSLWSANQLRAKTAIHLRVPLWLMWSIHASRIVWYYITSSAAARARDDDMKLVSDYMAMPTQHTSNDANPATMAGYKYIVLGEEKLKQQIKVEPPSFTQTQLAQEEVITVEKVWSQGSSDALLGEAGDSSNRFKDVCLSFALYKLLRRRFFNFPIHEASHAGTRQLVVDAILDDKKGYERAFRVTEVELSFLQDFFYSKHADVFASGFPCVRLLLSLLMTAAASYLAYAVHDMPSVSTGLTAKGRLARISHGVFVTHCIIAILVIRELWEIIVHVFSQWTNVLIICSYIRLRGRQGCWIQGLRLWMMEKVARIMFWLIGRGRSRRDRNIRQCNLVMSARTGSIARVTRLRREVKMELFSSIKALLNSQEAAASVAAGRTRSSPEELAQKKNELLRSYLGNAFADIEHLVSQIEHIQREIKGEGETHKILAWHIATSLCQIKLLEQQATGRWRDDLYNLTLPEGGGDGELADVWPHYVTAVTLSNYRAYLVRQALVPDNGLVAYKVLHEVRREAAQSMRGYSAMQGLPHQLILNSNRPRCNGDDEGIIDIGALLSEELVAAFGGGAEVELWKRLGKFWAGFLLHLSASTRAAKHQVHLRGSGELTTHLWVLLSHAGFLGETSHGDQMLDPADLTNS >ORUFI11G04350.3 pep chromosome:OR_W1943:11:3173536:3181947:1 gene:ORUFI11G04350 transcript:ORUFI11G04350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHQANSACVPEGNWIQKLPLLPAHYQGSCAGWKDALAAGSSQLASQSHGGLFRHDSTTGAASVEFWVAAATTLLLIKFAVDSIGPRFSSQKYINPAVQLLRILNHYAVSYTLGLMPPSSSHQGTVANAFFKVWAVLIVTMQDSIRIGRPYQPKEMTLVDMLTSLWSANQLRAKTAIHLRVPLWLMWSIHASRIVWYYITSSAAARARDDDMKLVSDYMAMPTQHTSNDANPATMAGYKYIVLGEEKLKQQIKVEPPSFTQTQLAQEEVITVEKVWSQGSSDALLGEAGDSSNRFKDVCLSFALYKLLRRRFFNFPIHEASHAGTRQLVVDAILDDKKGYERAFRVTEVELSFLQDFFYSKHADVFASGFPCVRLLLSLLMTAAASYLAYAVHDMPSVSTGLTAKGRLARISHGVFVTHCIIAILVIRELWEIIVHVFSQWTNVLIICSYIRLRGRQGCWIQGLRLWMMEKVARIMFWLIGRGRSRRDRNIRQCNLVMSARTGSIARVTRVIFR >ORUFI11G04350.4 pep chromosome:OR_W1943:11:3182210:3183060:1 gene:ORUFI11G04350 transcript:ORUFI11G04350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSSIKALLNSQEAAASVAAGRTRSSPEELAQKKNELLRSYLGNAFADIEHLVSQIEHIQREIKGEGETHKILAWHIATSLCQIKLLEQQATGRWRDDLYNLTLPEGGGDGELADVWPHYVTAVTLSNYRAYLVRQALVPDNGLVAYKVLHEVRREAAQSMRGYSAMQGLPHQLILNSNRPRCNGDDEGIIDIGALLSEELVAAFGGGAEVELWKRLGKFWAGFLLHLSASTRAAKHQVHLRGSGELTTHLWVLLSHAGFLGETSHGDQMLDPADLTNS >ORUFI11G04360.1 pep chromosome:OR_W1943:11:3185946:3188706:-1 gene:ORUFI11G04360 transcript:ORUFI11G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGSGQRRWWQEDWSNQSRAIHIQESNLHLTTHIPFALKSEKLLHFSPPPLRKDCKEKACVKERVVAPCSPLPSEKPEAMASATLLKSSFLPKKSEWGATRQAAAPKPVTVSMVVRAGAYDDELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTAPGLGQYISGAILFEETLYQSTVDGKKIVDILTEQKIVPGIKVDKGLVPLAGSNNESWCQGLDGLASREAAYYQQGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVAQKVWAETFFYMAENNVMFEGILLKPSMVTPGAECKDRATPEQVSDYTLKLLHRRIPPAVPGIMFLSGGQSEVEATQNLNAMNQGPNPWHVSFSYARALQNTCLKTWGGQPENVKAAQDALLLRAKANSLAQLGKYTSDGEAAEAKEGMFVKNYVY >ORUFI11G04370.1 pep chromosome:OR_W1943:11:3188850:3191680:-1 gene:ORUFI11G04370 transcript:ORUFI11G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPRLLAGGDHHPSPTSASSPEHPFLSAHLLLPSPSPSDLPSLHLPLALAFSFLADPSPLPRRLLVVLHAAGGRYPAFYHAFASALLSLPFPLLLPHPRNRLLLAASELARAAAPGFAPLLVSLLRRLPFPGDARLLDIFHEHASFLADEEPQLLASAVFAFLRLLAKNRLDPAPQCLECSDCGECKNAKNLAGCRENLVSFCASVLRDHTQVCELIGRDLVRCLHELVLVQEFHELWKDSMLGRAAEICRIGMPGWCTAMAVSPEMETQLLFMMNNVKWGNQKRYQLWFARKHLMVPGGEERIPDIVRFICCGYHPTNKVMQSGVIARWAVIGWLLTSCNKSYVQANAKVALFYDWLFFDEGKGNVMNIEPAMLLMNYDVRRKEAIAECVRNAFAEDLERGLEDCMASRVEDRFMDVIYQDISTVETRKTPMGNSSW >ORUFI11G04380.1 pep chromosome:OR_W1943:11:3192547:3196886:-1 gene:ORUFI11G04380 transcript:ORUFI11G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPSGSGGDGNANAKAKLAPPPVTAAGGRPVSVLPHKTANVRDHYRIGKKLGQGQFGTTYLCVDKASGGEFACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYTERAAAQLIRTIVAVVEGCHSLGVMHRDLKPENFLFASAAEDAPLKATDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPESDVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRNMLCRDPTKRLTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDDSGTITFDELKEGLKRVGSELTEHEIQALMEAADIDNSGTIDYGEFIAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACREFGLDDLHLEDMIKDVDQNNDGQIDYSEFTAMMRKGNAGGAGRRTMRNSLQLNLGEILNPSNS >ORUFI11G04380.2 pep chromosome:OR_W1943:11:3192547:3196334:-1 gene:ORUFI11G04380 transcript:ORUFI11G04380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPSGSGGDGNANAKAKLAPPPVTAAGGRPVSVLPHKTANVRDHYRIGKKLGQGQFGTTYLCVDKASGGEFACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYTERAAAQLIRTIVAVVEGCHSLGVMHRDLKPENFLFASAAEDAPLKATDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPESDVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRNMLCRDPTKRLTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDDSGTITFDELKEGLKRVGSELTEHEIQALMEAADIDNSGTIDYGEFIAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACREFGLDDLHLEDMIKDVDQNNDGQIDYSEFTAMMRKGNAGGAGRRTMRNSLQLNLGEILNPSNS >ORUFI11G04390.1 pep chromosome:OR_W1943:11:3203899:3211803:-1 gene:ORUFI11G04390 transcript:ORUFI11G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAEGEEAPIAAFAVSKGGVVLKNIFLNAPPSPLPVEEAARGRGGEEEDPPVMFGRHPECHVLVDHPSVSRFHLEVRSRRRQRRITVTDLSSVHGTWISGRRIPPNTPVELTAGDVLRLGGSRREYRLHWLSLREAFDMEDLLPPLLEEDKEELSTCQEASKQLEPDQKESADTETHQETSQQVVSEQIDFHANVIPSAPPIPEFADLFALEESSVPEFDDSREGRIEGNLIEENHVIYSVESSITQPMLATVEDAGRSVKSGEKDTSNARRSKLKSVKTLRIETGRSKERITPLSYSYQKEENQNENPICSQNCGIECEACMVLFNNSYVGEAEEKEKMNILDRIMMEENQEQTNHLQSKEFVHFVAPLNLDYETFSDNENCVLSVAKETEHNDFNPVNCISQDSVCENPQKISELLHSVSPLVFKGDDFTDSKILQLCASVHKELSGPILENPFMQDISDENTNSNKDTGHEGLTLLNLDATLTSNENFAQSKIFVAPEDSESEGTINENLFEISNMKGNEENEENSPWDKENITPFVSGDIIVERSQLRLKPTTISQELMDSISPLNLEHNDFSDDENSILSIGEQMNSNELIAKNLIPLTSVDANMQKSHAGFMPIAHLDFKDSILTDEETSVLSPEKYDTISPVRQGNLFPDKENVTPASRDPKPIIGRKVLGPRVDNSLSVECTSKRRIHRQEPNELSAKSKVCHAVDDDVFYSDKENLTPISSGGIKARRCLPKSLTVDADQDQEAFYSDKENLTPVSSASRKTKDLSENRARMESTITKKRVVDRLPFQTLLSNSPLRHTSSLDSTQVNPRAVDVAMKLEGELNNVPHKGQESEKTKEGMKVWTMVTDMECLLDDESRKSIMLLRGLKGTQLVIPMIVIRELECLKKRERLFRMLSKATSMLQWINECMEKESWWIHVQSSTEMLPVAPTPPATPTALCNNGEREISAGTFNPIALFSPRSFSDIVSPKTEDRVLDCALLFNKLKGNQNIVILSNSVTLKIKAMAEGFPCEGAKEFRETLVNPCSSRFMWAASAPRGSAWSCLDETTLEENYYNSHHGARRRIPRPMEPAKGLKLILLHNSHYGQATNFVENRPLAPMASW >ORUFI11G04400.1 pep chromosome:OR_W1943:11:3216479:3220912:1 gene:ORUFI11G04400 transcript:ORUFI11G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTTIRQYLLVLMASSIIKIMCSSLYGNETDRLSLLEFKKAISMDPQQALMSWNDSNYFCSWEGVSCRVKTPHRVISLNLTNRGLIGQMSPSLGNLTFLKFLFLPANSFTGEIPQSLGNMHHLQIIYLSNNTLQGKIPNLANCSNLKVLWLNGNNLVGQIPADLPQRFQSLQLSINSLTGPIPVYVANITTLKRFSCLYNNIDGNIPDDFAKLPVLVYLHLGANKLAGQFPQAILNLSTLVELTLASNHLSGELPSNIGDSVPNLQKFQLGGNFFYGHIPNSLTNASKLNLIDISINSFTGVVPRSIGKLTKLSWLNLELNKFHAHSQKDLEFMNSLANCTELQMFSIYGNRFEGNVPNSFGNHSTQLQYIHMGLNQFSGLIPSGIANIPNLIALELGGNLFTTVIPDWLGGLKSLQTLSLFNNLFTGPIPPSLSNLSNLVELGLSTNQLDGYIPPSLGYLQVLEEFTISHNNINGWVPNEIFGIPTISLIWLSFNYLEGELPSEVGNAKQLMYLHLTSNKLSGDIPSTLGNCESLVDIKLDQNVFTGNIPITLGNISSLRGLNLSHNNLSGTIPVSLGDLELLQQLDLSFNHLTGHVPTKGVFKNTTAIQIDGNQGLCGGIPELHLLECPVMPLNSTKHKHSVGLKVVIPLATTVSLAVTIVFALFFWREKQKRKSVSLPSFDSSFPKVSYHDLARATDGFSASNLIGRGRYGSVYKAQLFHGRNVVAVKVFSLETKGTQKSFIAECNALRNVRHRNLVPILTACSTIDSRGNDFKASVYKFMARGDLYELLYSTGDDENTSTSNHITLAQRLSIIVDVADALEYLHHNNQGTIVHCDLKPSNILLDDNMTAHVGDFGLARLKIDSTASTSADSTSSIAIKGTIGYIAPECASGGGQVSTVADVYSFGIILLEIFLRKRPTDNMFKDGLDIAKYVEMNSPDRTLNIVDPELLDDKQLQEIPVTMKEKCIECLVSVLNTGLCCVKISPNERMAMQEVAARLHVIKEAYAKAISGNNGIICIIRSTI >ORUFI11G04410.1 pep chromosome:OR_W1943:11:3222156:3223292:-1 gene:ORUFI11G04410 transcript:ORUFI11G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNGISLKTARGSDTNGHVQTNKFFIKPRSSPAGGPPKAPAPASGLDDAGGMRKPSKEILEHDRKRQVELRLLLLRDALEEQGYTEGEVEERVEEARMEAEEEGHGAGRRPLPGKGERGHRHGVGGVGVGCAGVGVGILVIDLEFADVLEHYRPPWVPPSPVGFSWAAASGFR >ORUFI11G04420.1 pep chromosome:OR_W1943:11:3225563:3227161:1 gene:ORUFI11G04420 transcript:ORUFI11G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPRSRRPHVLCSLLLVIMVMPGAISAADLASDGRGRLYQVAMDQAARALAEARAARRDDPRDGVSRRGAAQAWADCDQLVAFAVGHLNRTAAARGVDGDDDVVAWLSAARTTVGTCLDGFGELGASPGPEFAAALANVSRLVTDALAATALLRGTEDGTRAATNSGGDDGRTFPLDMARPGDADVVVAKDGTGHFCTVGEALKAAARRATNGGGRTVVYVKAGVYNENVEVWTTNLVLVGDGIGRTVITGSRSVRGGYTTFSSATFGTPRSSLSLLASCECECVTLTWMDVHEAVNADGFVACGVTFRNAAGAGSGQAVALRASGDRVAFYRCSFEGHQDTLYAHTLRQFYRECAVAGTVDFVFGNAAAVLQRCSIRVRRPPLPGQPAVVTAQGRVDRYERTGFAIHGGRVTAAARFGAPGAAPAPFEAYLGRPWKEFSRVVYMEAYMDATVGAAGWLAWDGTAFAQSTAFYGEYRNSGPGSGTEGRVRWGGYHVITDPGVAAEFTAGEMVNAGEWLGSTGVPFTPGL >ORUFI11G04430.1 pep chromosome:OR_W1943:11:3228656:3234135:1 gene:ORUFI11G04430 transcript:ORUFI11G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITAIGLFLLVLIASCPVQIFCSSSYGNETDKLSLLEFKKAISLDPQQALISWNDTNHFCSWEGVLCRKKTPLRVISLDLSKRGLVGQISPSLANLTFLKFLYLDTNSFTGEIPLSLGHLHHLQTLYLSNNTFKGRVPDFTNSSNLKMLLLNGNHLVGQLNNNVPPHLQGLELSFNNLTGTIPSSLANITGLRLLSFMSNNIKGNIPNEFSKFVTMEFLAVSGNMLSGRFPQAILNISTLTNLYLTLNHLSGEVPSDLLDSLPNLQKLLLGHNLFRGHIPRSLGNTSNLHLLDISNNNFTGIVPSSIGKLTKLSWLNTEFNQLQAHKKEDWEFMNSLANCSRLHVLSMGNNRLEGHLPSSLGNLSAHLRQLIFSGNQISGIFPSGVEHLSDLNSLGLDDNELTGSLPEWLGNLKKLQKLTLQNNNFTGFIPSSVSNLSQLAVLGLYSNKLEGHIPSLVNLQMLQLLLISSNNLHGSIPKEIFSIPSIIAIDLSFNNLDGQLPTEIGNAKQLVSLGLSSNKLFGDIPNSLVSCESLEYIAFDSNILSGGIPTSLGSIGGLTAIDFSHNNLTGSIPGSLGNLQFLEQLDLSFNHLKGEIPTKGIFKNATAFRIDGNQGLCGGPPELHLQACPIMALVSSKHKKSIILKVVIPIASIVSISMVILIVLMWRRKQNRKSLSLPSFARHLPQVSYNMLFRATGGFSTSNLIGKGRYSYVYRGKLFEDDNMVAVKVFNLETRGAQKSFIAECNTLRNVRHRNLVPILTACASIDSKGNDFKALVYEFMGRGDLHALLHSTQNDENTSYLNHITLAQRISIVVDVSDALEYLHHNNQGTIVHCDLKPSNILLDDDMIAHVADFGLARFKTGSSTPSLGDSSSTYSLAIKGTIGYIAPECSEGGQVSTASDVFSFGVVLLELFIRRRPTEDMFMDGLSIAKHVEMNFPDRILEIVDPQLQHELDLCQETPMAVKEKGIHCLRSVLNIGLCCTKTTPIERISMQEVAAKLHGIKDSYLRGN >ORUFI11G04430.2 pep chromosome:OR_W1943:11:3233664:3252525:1 gene:ORUFI11G04430 transcript:ORUFI11G04430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHHEWFWPIVSATLRLVMKFTTIRQYVFLLMASNVVQIMCTSLYGNETDQLSLLEFKKAISLDPQQALMSWNDSTYFCSWEGVLCRVKTPHRVISLNLTNQGLVGQISPSLGNLTFLKFLFLDTNSFTGEIPLSLGHLHHLRTIYLSNNTLEGAIPDFTNCSRLKVLCLNGNHLVGQLNNNFPPKLQVLTLAYNNLTGTIPSSFANITGLRKLDFTANNIKGNIPNEFSNFLMMEILLLGRFPQAILNLSTLTNLHLSFNHLSGELPSNFLYSLPNLQVLALDYNFFQGHIPSSLGNDSNIRVLDISSNNFTGVVPSSIGKLSKLYWLNLQSNQLQAHKMEDWEFMNSLANCTRLQSFSMAYNRLEGHLPSSLSNFSAHLQRLHLGGNAISGSFPSGIEHLSNLIALSVGTNDFTGTLPEWLGNLKQLQMLSLYDNYFTGFIPSSLSNLSQLVALTLQFNKLDGQIPSLGNQLRMLQIFNVLYNNLHGVIPNAIFSLLSLIQVDLSYNNLHGQLPIDIGNAKQLVSLKLSSNKLSGDILNALGDCESLEVIRLDRNNFSGSIPISLGNISSLRVLNLSLNNLTGSIPVSLSNLQYLEKLNLSFNHLKGEIPAKGIFKNATAFQIDENQGLCGGPPALHLTTCPIVPLVSSKHNNLILLKVMIPLACMVSLATVISIIFIWRAKLKRESVSLPFFGSNFPRISYNALFKATEGFSTSSLIGRGRYGSVFVGKLFQENNVVAVKVFSLETRGAGKSFIAECNALRNVWHRNIVPILTACSSIDSKGNDFKALVYEFMSHGDLYNLLYTTRHDSNSSKLNHISLAQRTSIVLDVSSALEYLHHNNQGTIVHCDLNPSNILLDKNMIAHVGDFGLARFKIDSSSPSLGDSNLTSSLAIRGTIGYIAPECSEGGQVSTASDVFSFGVVLLELFIRRRPIDDMFKDGLSIAKHVEMNFPDRILEIVDPQLQHELDLCQETPMAVKEKGIHCLRSVLNIGLCCTNPTPSERISITTIRQYMILLMASNVVQIMCTSLYGNETDRLSLLEFKKAISLDPQQALMSWNDSTYFFSWEGVLCRVKTPHRLISLNLTNQGLVGQISPSLGNLTFLKFLFLDTNSFTGEIPLSLGHLHHLRTIYLSNNTLEGAIPDFTNCSSLKALRLNGNHLVGQLINNFPPKLQVLTLASNNFTGTIPSSFANITELRNLNFASNNIKGNIPNEFSNFLMMEILILGGNMLTGRFPQAILNISTLIDLFLNFNHLSGEVPSNILYSLPNLQVLALDFNFLQGHIPSSLVNASNLRVLDISSNNFTGVVPSSIGKLSKLYWLSLEGNQLRTHKKEDWEFMNSLANCTRLQIFSMAYNRLEGHLPSSLSNFSTHLQRLHLDGNAISGFLPSGIEHLSNLIDLSLGTNDFTGTLPEWLGNLKQLQMLGLYENYFIGFIPSSLSNLSQLVYLGLHFNKFDGHIPSLGNLQMLEVLNISNNNLHCIIPTEIFSIMSIVQIDLSFNNLHGKFPTDIGNAKQLISLELSSNKLSGDIPNALGNCESLEYIMLGINSFSGSIPISLGNISNLKVLNLSHNNLTWSIPASLSNLQYLEQLDMSFKHLNGEVPVEGIFKNATAFQMDGNQGLCGGLPELHLPACPTVLLVTYRVIGYI >ORUFI11G04430.3 pep chromosome:OR_W1943:11:3228656:3234174:1 gene:ORUFI11G04430 transcript:ORUFI11G04430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKITAIGLFLLVLIASCPVQIFCSSSYGNETDKLSLLEFKKAISLDPQQALISWNDTNHFCSWEGVLCRKKTPLRVISLDLSKRGLVGQISPSLANLTFLKFLYLDTNSFTGEIPLSLGHLHHLQTLYLSNNTFKGRVPDFTNSSNLKMLLLNGNHLVGQLNNNVPPHLQGLELSFNNLTGTIPSSLANITGLRLLSFMSNNIKGNIPNEFSKFVTMEFLAVSGNMLSGRFPQAILNISTLTNLYLTLNHLSGEVPSDLLDSLPNLQKLLLGHNLFRGHIPRSLGNTSNLHLLDISNNNFTGIVPSSIGKLTKLSWLNTEFNQLQAHKKEDWEFMNSLANCSRLHVLSMGNNRLEGHLPSSLGNLSAHLRQLIFSGNQISGIFPSGVEHLSDLNSLGLDDNELTGSLPEWLGNLKKLQKLTLQNNNFTGFIPSSVSNLSQLAVLGLYSNKLEGHIPSLVNLQMLQLLLISSNNLHGSIPKEIFSIPSIIAIDLSFNNLDGQLPTEIGNAKQLVSLGLSSNKLFGDIPNSLVSCESLEYIAFDSNILSGGIPTSLGSIGGLTAIDFSHNNLTGSIPGSLGNLQFLEQLDLSFNHLKGEIPTKGIFKNATAFRIDGNQGLCGGPPELHLQACPIMALVSSKHKKSIILKVVIPIASIVSISMVILIVLMWRRKQNRKSLSLPSFARHLPQVSYNMLFRATGGFSTSNLIGKGRYSYVYRGKLFEDDNMVAVKVFNLETRGAQKSFIAECNTLRNVRHRNLVPILTACASIDSKGNDFKALVYEFMGRGDLHALLHSTQNDENTSYLNHITLAQRISIVVDVSDALEYLHHNNQGTIVHCDLKPSNILLDDDMIAHVADFGLARFKTGSSTPSLGDSSSTYSLAIKGTIGYIAPECSEGGQVSTASDVFSFGVVLLELFIRRRPTEDMFMDGLSIAKHVEMNFPDRILEIVDPQLQHELDLCQETPMAVKEKGIHCLRSVLNIGLCCTKTTPIERISMQEVAAKLHGIKDSYLRGN >ORUFI11G04430.4 pep chromosome:OR_W1943:11:3239683:3252525:1 gene:ORUFI11G04430 transcript:ORUFI11G04430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSLYGNETDQLSLLEFKKAISLDPQQALMSWNDSTYFCSWEGVLCRVKTPHRVISLNLTNQGLVGQISPSLGNLTFLKFLFLDTNSFTGEIPLSLGHLHHLRTIYLSNNTLEGAIPDFTNCSRLKVLCLNGNHLVGQLNNNFPPKLQVLTLAYNNLTGTIPSSFANITGLRKLDFTANNIKGNIPNEFSNFLMMEILLLGGNMLTGRFPQAILNLSTLTNLHLSFNHLSGELPSNFLYSLPNLQVLALDYNFFQGHIPSSLGNDSNIRVLDISSNNFTGVVPSSIGKLSKLYWLNLQSNQLQAHKMEDWEFMNSLANCTRLQSFSMAYNRLEGHLPSSLSNFSAHLQRLHLGGNAISGSFPSGIEHLSNLIALSVGTNDFTGTLPEWLGNLKQLQMLSLYDNYFTGFIPSSLSNLSQLVALTLQFNKLDGQIPSLGNQLRMLQIFNVLYNNLHGVIPNAIFSLLSLIQVDLSYNNLHGQLPIDIGNAKQLVSLKLSSNKLSGDILNALGDCESLEVIRLDRNNFSGSIPISLGNISSLRVLNLSLNNLTGSIPVSLSNLQYLEKLNLSFNHLKGEIPAKGIFKNATAFQIDENQGLCGGPPALHLTTCPIVPLVSSKHNNLILLKVMIPLACMVSLATVISIIFIWRAKLKRESVSLPFFGSNFPRISYNALFKATEGFSTSSLIGRGRYGSVFVGKLFQENNVVAVKVFSLETRGAGKSFIAECNALRNVWHRNIVPILTACSSIDSKGNDFKALVYEFMSHGDLYNLLYTTRHDSNSSKLNHISLAQRTSIVLDVSSALEYLHHNNQGTIVHCDLNPSNILLDKNMIAHVGDFGLARFKIDSSSPSLGDSNLTSSLAIRGTIGYIAPECSEGGQVSTASDVFSFGVVLLELFIRRRPIDDMFKDGLSIAKHVEMNFPDRILEIVDPQLQHELDLCQETPMAVKEKGIHCLRSVLNIGLCCTNPTPSERISITTIRQYMILLMASNVVQIMCTSLYGNETDRLSLLEFKKAISLDPQQALMSWNDSTYFFSWEGVLCRVKTPHRLISLNLTNQGLVGQISPSLGNLTFLKFLFLDTNSFTGEIPLSLGHLHHLRTIYLSNNTLEGAIPDFTNCSSLKALRLNGNHLVGQLINNFPPKLQVLTLASNNFTGTIPSSFANITELRNLNFASNNIKGNIPNEFSNFLMMEILILGGNMLTGRFPQAILNISTLIDLFLNFNHLSGEVPSNILYSLPNLQVLALDFNFLQGHIPSSLVNASNLRVLDISSNNFTGVVPSSIGKLSKLYWLSLEGNQLRTHKKEDWEFMNSLANCTRLQIFSMAYNRLEGHLPSSLSNFSTHLQRLHLDGNAISGFLPSGIEHLSNLIDLSLGTNDFTGTLPEWLGNLKQLQMLGLYENYFIGFIPSSLSNLSQLVYLGLHFNKFDGHIPSLGNLQMLEVLNISNNNLHCIIPTEIFSIMSIVQIDLSFNNLHGKFPTDIGNAKQLISLELSSNKLSGDIPNALGNCESLEYIMLGINSFSGSIPISLGNISNLKVLNLSHNNLTWSIPASLSNLQYLEQLDMSFKHLNGEVPVEGIFKNATAFQMDGNQGLCGGLPELHLPACPTVLLVTYRVIGYI >ORUFI11G04440.1 pep chromosome:OR_W1943:11:3252572:3253747:1 gene:ORUFI11G04440 transcript:ORUFI11G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLALAISIYFIGRGKQKKKSISFPSLGRKFPKVSFNDLSNATDRFSTANLIGRGRFGSVYQAKLFQDNIVVAVKVFNLETSGSQESFIAECNALRNLRHRNLVPIFTLCGSIDAEGNDFKALVYELMPRGDLHKLLYSTGDDGDASNLNHITLAQRISIIVDLSNALEYLHHNNQGTIIHCDLKPSNILLDDNMIAHVGDFGLVKFRTDSSTSFGDSNSIFSLAIKGTIGYIAPECAEGDQVSTASDVYSFGVVLLELFIRRRPIDAMFKDGLSIAKFTEINFPDRILEIIDPQLQQELDLCLEAPVEVKEKGIHCMLSVLNIGIHCTKPIPSERISMREAAAKLHIIKDAYLRGN >ORUFI11G04450.1 pep chromosome:OR_W1943:11:3266281:3268189:1 gene:ORUFI11G04450 transcript:ORUFI11G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIIIGLCLLVIMASSVVQIMCNSLYGNGTDRLSLLEFRKAISLDAQQALMSWNDSNYFCSWEGVLCRVKTPHRVISLNLTNRGEIPLSLGHLHHLESLYLSNNTLQGDIPDFTNCSNLKSLWLSRNHLVGQFNSNFPPRLQDLILTSNNITGTIPSSLANITSLQRLSIADNNIKGNIPHEFAGFPMLQILYADGNKLAGGFPRAILNISTIVRLGFSSNYLSGEIPSNLFDFLPQIQLFVLDSNLFRGHIPNSLGNASKLQHVDISSNNFTGVIPGTIGKLTELYRLNLEKNQLHARNKQDWEFMSSLANCTGLTLLSISDNCLEGHVPSSLGNLSVQLHQLLLGGNQLSGGFPPGFQYLRNLISISIDSNSFSGVLPEWLGTLQNLQLIGLYNNNFTGIIPSSLSNLSQLGYLYLQSNQFYGHLPPSLGNHKMLQELIIGYNNIQGVIPKEIFKIPSLILIDLSFNNLDGSIPKEVGDAKQLMYLRLSSNKLSGDIPNSLGNSESLDIIMLDRNIFSGSIPTSLDNILSLKVLNLSQNNLSGSIPPSLGNLQFLEKLDLSFNHLKGEVPVKGIFKNASAVRIDGNEALCGGVPELHLQCMLYHPF >ORUFI11G04460.1 pep chromosome:OR_W1943:11:3268488:3280062:1 gene:ORUFI11G04460 transcript:ORUFI11G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKSFITECNALRKLRHRNIVPILTACASTTSNGNDFKALLYEFMPQGDLNKLLHSTGAEEFNGENHGNRITLAQRLSIIVDVADAIEYLHHNNQETIVHCDLKPSNILLDDDMIAHVGDFGLARFKIDFMGSNDSNSIYSTAIKGTIGYVAPGAEVSTYGDVFSFGVVLLEIFLRKKPTDDMFKDGLDIVKFVEVNFPDRLPQIVDPELLQETHVGTKERVLCCLNSVLNIGLCCTKTSPYERMDMREVAARLSKIKEVFSVATKALRLLAMKFIEPGKFLLVFLVCSAHVVICSSNGNETDRLSLLEFKNAITLDPQQALMSWNDSNHVCSWEGVKCRVKAPHRVIYLNLSGQGLVGTISPSLGNLTFLRYISLQENLLAGQIPLSLGHMHHLKVLYLSNNTLQGEIPDFANCSNLWALLLNGNHLVGKVPTDARLPPNLYFLWIVHNNLTGTIPTSLFNITTLTKLSIGFNQINGEVPKEIGKSRVLQLFAASGNKLLGRFQQTILNISSLADLDLGSNYLHGELPSSLGSSLSNLQGLALGNNFFGGHIPSSLANASKLSMIHLSRNNFIGMVPSSIGKLQELSVLNLEFNQLQSSDKQGLEFMNSLSNCTKLRALSLAKNQLEGEIPSSFGNLSMKLELLYLGGNKLSGRFPAGIANLHSLSGLALNSNRFTGPVPDWLGNLKNLQIIFLAANMFTGFIPSSLSNLSLLENVVLDSNQFYGHIPRGLESLKVLQVLSIPNNNLHGSIPRELFSIPTIREIWLYSNRLDGPLPIEIGNAKQLEHLVLSSNNLSGVIPDTLGNCESIEEIELDQNFLSGSIPTSFGNMESLQVLNMSHNLLSGSIPKSIGSLKYLEQLDLSFNNLEGEVPEIGIFNNTTAIWIAGNRGLCGGATKLHLPVCTYRPPSSTKHLRSVVLKVVIPLACIVSLATGISVLLFWRKKHERKSMSLPSFGRNFPKVSFDDLSRATDGFSISNLIGRGRYSSVYKGRLLQYGDMVAVKVFSLQTRGAQKSFIAECKTLRNVRHRNLVPILTACSSIDSQGNDFKALVYQLMSQGDLHMMLYSNQDDENGSASIHIAFAQRLSIVVDVADAMEYVHHNNQGTIVHCDLKPSNILLDDSLTAHVGDFGLARFKVDCTISSSGDSIISSAINGTIGYVAPEYATGGEVSTFGDVYSFGIVLFEIFLRKRPTHDMFKDGLNIATFVDMNFPDRISEVVDQELLEYQNGLSHDTLVDMKEKEMECLRSVLNIGLCCTKPSPYERMDMREVAARLRYAVGGDMSTYGDVYSFGIILFEIFLRKRPTDDMFKDGLNIATFVEMNFPDRILRLAGGPALGLFGKLLGDRRAAPIKGAPPIPKGACYYPGKDVLRVIACAVCPR >ORUFI11G04460.2 pep chromosome:OR_W1943:11:3274569:3280062:1 gene:ORUFI11G04460 transcript:ORUFI11G04460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNDSNHVCSWEGVKCRVKAPHRVIYLNLSGQGLVGTISPSLGNLTFLRYISLQENLLAGQIPLSLGHMHHLKVLYLSNNTLQGEIPDFANCSNLWALLLNGNHLVGKVPTDARLPPNLYFLWIVHNNLTGTIPTSLFNITTLTKLSIGFNQINGEVPKEIGKSRVLQLFAASGNKLLGRFQQTILNISSLADLDLGSNYLHGELPSSLGSSLSNLQGLALGNNFFGGHIPSSLANASKLSMIHLSRNNFIGMVPSSIGKLQELSVLNLEFNQLQSSDKQGLEFMNSLSNCTKLRALSLAKNQLEGEIPSSFGNLSMKLELLYLGGNKLSGRFPAGIANLHSLSGLALNSNRFTGPVPDWLGNLKNLQIIFLAANMFTGFIPSSLSNLSLLENVVLDSNQFYGHIPRGLESLKVLQVLSIPNNNLHGSIPRELFSIPTIREIWLYSNRLDGPLPIEIGNAKQLEHLVLSSNNLSGVIPDTLGNCESIEEIELDQNFLSGSIPTSFGNMESLQVLNMSHNLLSGSIPKSIGSLKYLEQLDLSFNNLEGEVPEIGIFNNTTAIWIAGNRGLCGGATKLHLPVCTYRPPSSTKHLRSVVLKVVIPLACIVSLATGISVLLFWRKKHERKSMSLPSFGRNFPKVSFDDLSRATDGFSISNLIGRGRYSSVYKGRLLQYGDMVAVKVFSLQTRGAQKSFIAECKTLRNVRHRNLVPILTACSSIDSQGNDFKALVYQLMSQGDLHMMLYSNQDDENGSASIHIAFAQRLSIVVDVADAMEYVHHNNQGTIVHCDLKPSNILLDDSLTAHVGDFGLARFKVDCTISSSGDSIISSAINGTIGYVAPEYATGGEVSTFGDVYSFGIVLFEIFLRKRPTHDMFKDGLNIATFVDMNFPDRISEVVDQELLEYQNGLSHDTLVDMKEKEMECLRSVLNIGLCCTKPSPYERMDMREVAARLRYAVGGDMSTYGDVYSFGIILFEIFLRKRPTDDMFKDGLNIATFVEMNFPDRILRLAGGPALGLFGKLLGDRRAAPIKGAPPIPKGACYYPGKDVLRVIACAVCPR >ORUFI11G04460.3 pep chromosome:OR_W1943:11:3268488:3277216:1 gene:ORUFI11G04460 transcript:ORUFI11G04460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNDSNHVCSWEGVKCRVKAPHRVIYLNLSGQGLVGTISPSLGNLTFLRYISLQENLLAGQIPLSLGHMHHLKVLYLSNNTLQGEIPDFANCSNLWALLLNGNHLVGKVPTDARLPPNLYFLWIVHNNLTGTIPTSLFNITTLTKLSIGFNQINGEVPKEIGKSRVLQLFAASGNKLLGRFQQTILNISSLADLDLGSNYLHGELPSSLGSSLSNLQGLALGNNFFGGHIPSSLANASKLSMIHLSRNNFIGMVPSSIGKLQELSVLNLEFNQLQSSDKQGLEFMNSLSNCTKLRALSLAKNQLEGEIPSSFGNLSMKLELLYLGGNKLSGRFPAGIANLHSLSGLALNSNRFTGPVPDWLGNLKNLQIIFLAANMFTGFIPSSLSNLSLLENVVLDSNQFYGHIPRGLESLKVLQVLSIPNNNLHGSIPRELFSIPTIREIWLYSNRLDGPLPIEIGNAKQLEHLVLSSNNLSGVIPDTLGNCESIEEIELDQNFLSGSIPTSFGNMESLQVLNMSHNLLSGSIPKSIGSLKYLEQLDLSFNNLEGEVPEIGIFNNTTAIWIAGNRGLCGGATKLHLPVCTYRPPSSTKHLRSVVLKVVIPLACIVSLATGISVLLFWRKKHERKSMSLPSFGRNFPKVSFDDLSRATDGFSISNLIGRGRYSSVYKGRLLQYGDMVAVKVFSLQTRGAQKSFIAECKTLRNVRHRNLVPILTACSSIDSQGNDFKALVYQLMSQGDLHMMLYSNQDDENGSASIHIAFAQRLSIVVDVADAMEYVHHNNQGTIVHCDLKPSNILLDDSLTAHVGDFGLARFKVDCTISSSGDSIISSAINGTIGYVAPGKSYFSWYTMFRWECSLPILKFGLNYSHVLYALCRVCNRW >ORUFI11G04470.1 pep chromosome:OR_W1943:11:3299229:3303970:1 gene:ORUFI11G04470 transcript:ORUFI11G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTELKDINLHRGELKPWPPPNQTNCRNTFVQAAQCKCWKTRVGMSLCARMEQWNLLNQESCTMVAVSSLQEHINGQEQILCKPWNPRDNRTSIDIILLNSWSLVHCYHLGHIVGLTCLEALAILVCHEMVKFGWAGTVYSDQDRHTIVRPARAFVRHELGIGNGSHILHVSEAGARCGSMRKLLELIRNERTFQIKIMVKNLLQEVNMISSFDTSILEMNTHVLKYSASTQVLDAHALNICKSIGLLNLMTHKQLQCLLIHRTWKFLLSLLGMTRKDQCYEKSQTNQRWMPKECWAKNDQSKACNFAPKELYRSNNLSTHQFVLQEDDYWNSRWFTYICNILHRLEDKPNSKKRGLLGTQLGCTWAMLAILQAQPMETEERIQKAAAALLEASNRSDIGGFGHGGGGGLVRLNPVDLYPSLTLSRDMDHEDRLSMLTDDILLSILGRVDIISAVRTSVVSTRWKHLPWLLPEFTIDVKDFLPVPQPNRIKVEHMDEAMASLTKGIRSLLTIARSEFPINRFQLKLYLINNYSRVVGPMLDKAIEVGILKDMDLSVLDEEEIVDCTDKHMLQQASSVKDLFSGYPSVLTRLTRLSLYNLCFARWDLHHHLFECCNQLRYLSLSNCDVGKNAIWKISAPNSNIIVLELDVCCFEKLEVLCLPKLERLNWDTWLCPYAPLSFDVFPNLQEVSLICGATNKHEGFILSEVLSGTTNIHTLTLDFQGEKLWIKLEGKQLFRAFSNLRKLSIHSIFSEFELLWTTNLLEAAPSLEMFDIEIWEHTCDVDREPKVFGERPNPAWKAPDVTSFRNSLLKELQIVAFRPLKQQLEFIRVVMQQAPNLGTIILKYDDPCEYCEALGIFPPRSSTECVFPKSKDEQDRVINLLKDGVCSPAQIEADDDDMLSMLNDDISLSILERVDIKTAVRTIVLSTRWKRLPWMLPELSIDPNRIEDLELKLYLINSYSSVIGPLVSEAIDIGILKDLNLPSLKRSRWLIALRNSICCSKLQSWITFLVPILGCLIVSQGWTYAMCALLNGTCIISYLNAASNCAI >ORUFI11G04480.1 pep chromosome:OR_W1943:11:3305474:3306025:-1 gene:ORUFI11G04480 transcript:ORUFI11G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPKRGIDLMDFALIEFDVRIKTGEQEKDDLQLIDGATLLWTPGLWNQPYSIKIPGDYGAVDITVAHLNNAVEATVEVVISEVQSGFNLLLGCLTSDLNKEMRLFDGAIVESRFLKRSVVAVNWKSSIDLKFKVGASPSSFDQHCVSFKPKIHGHDTQEIKTDFALISVKVTWSTLLPTGLD >ORUFI11G04490.1 pep chromosome:OR_W1943:11:3314619:3346460:1 gene:ORUFI11G04490 transcript:ORUFI11G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAATGQFLLVLMACSVIQIVCQSLHGNETDRLSLLDFKNAIILDPQQALVSWNDSNQICSWEGVFCRVKAPNHVVALNLTNRDLVGTISPSLGNLTFLKHLNLTGNAFTGQIPASLAHLHRLQTLSLASNTLQGRIPNLANYSDLMVLDLYRNNLAGKFPADLPHSLEKLRLSFNNIMGTIPASLANITRLKYFACVNTSIEGNIPDEFSKLSALKFLHLGINKLTGSFPEAVLNISALTELSFAINDLHGEVPPDLGNSLPNLQAFELGGNHFNGKIPSSITNASNLYLIDVSNNNFSGGLASSIGKLTKLSWLNLEENKLHGRNNEDQEFLNSIANCTELQMFSISWNRLEGRLPNSFGNHSFQLQYVHMGQNQLSGQFPSGLTNLHNLVVIELSGNRFSGVLPDWLGALKSLQKLTVGDNNFTGLIPSSLFNLTNLVHLFLYSNKFSGQLPASFGNLEALERLGISNNNFDGTVPEDIFRIPTIQYIDLSFNNLEGLLPFYVGNAKHLIYLVLSSNNLSGEIPNTLGNSESLQIIKFDHNIFTGGIPTSLGKLLSLTLLNLSYNNLTGPIPDSLSNLKYLGQLDFSFNHLNGEVPTKGIFKNATAIQLGGNQGLCGGVLELHLPACSIAPLSSRKHVKSLTIKIVIPLAILVSLFLVVLVLLLLRGKQKGHSISLPLSDTDFPKVSYNDLARATERFSMSNLIGKGRFSCVYQGKLFQCNDVVAVKVFSLETRGAQKSFIAECNALRNVRHRNLVPILTACSSIDSKGNDFKALVYKFMPGGDLHKLLYSNGGDGDAPHQNHITLAQRINIMVDVSDALEYLHHSNQGTIVHCDLKPSNILLDDNMVAHVGDFGLARFKFDSTTSSLSYLNSTSSLVIKGTIGYIAPECSDGGQVSTASDVYSFGVVLLEIFIRRRPTDDMFMDGLSIAKYTAINFPDRILEIVDPKLQQELIPCSTDKEDLDPCQENPIAVEEKGLHCLRSMLNIGLCCTKPTPGERISMQETMKVTTASGHLLLVLFASIFHPAVSSISGNGTDRLALLEFKNAITHDPQKSLMSWNDSNHLCSWEGVSCSSKNPPRVTSIDLSNQNLAGNISPSLGNLTFLKHLSLATNEFTGRIPESLGHLRRLRSLYLSNNTLQGIIPSFANCSDLRVLWLDHNELTGGLPDGLPLGLEELQVSSNTLVGTIPPSLGNVTTLRMLRFAFNGIEGGIPGELAALREMEILTIGGNRLSGGFPEPIMNMSVLIRLSLETNRFSGKMPSGIGTSLPNLWRLFIGGNFFQGNLPSSLANASNLVDLDISQNNFVGVVPAFIGKLANLTWLNLEMNQLHARSKQDWDFMDSLTNCTQLQALSMAGNQLEGHLPNSVGNFSVQLQRLYLGQNQLSGSFPSGIENLPNLIVFGLDYNRFTGSVPPWLGGLITLQVLSLTNNNFTGYIPSSLSNLSHLVELYLQSNQLLGNIPSSFGKLQFLTRIDISDNSLNGSLPKEIFRIPTIAEVGFSFNNLSGELPTEVGYAKQLRSLHLSSNNLSGDIPNTLGNCENLQEVVLDQNNFGGSIPASLGKLISLKSLNLSHNILNGSIPVSLGDLELLEQIDLSFNHLSGQVPTKGIFKNSTATHMDGNLGLCGGAPELHLPECPIVPSNKSKHKLYVTLKVVIPLASTVTLAIVILVIFIWKGKRREKSISLSSSGREFPKVSYRDLARATNGFSTSNLIGRGRYSSVYQGQLFQDINVVAIKVFSLETRGAQKSFIAECNALRNVRHRNLVPILTACPSIDSSGNDFKALAYKFMPRGDLHKLLYSNPNDERSSGICYISLAQRLSIAVDLSDALAYLHHSHQGTIIHCDLKPSNILLDDNMIAHVGDFGLARFRIDSKTSFGNSNSTINGTIGYVAPECAIGGQVSTAADVYSFGVVLLEIFIRRRPTDDMFKDGLTIAKYTEINIPDKMLQIVDPQLVQELGLSQEDPVRVDETATHCLLSVLNIGLCCTKSSPSERISMQEPEPVTAGGGGGGGGGGGGRRGAPHPPGDAEPGSIAVLENLGLLSPNLRPSTRPISCAGITSEGNNRNGWRDLMKMDREKRMLVEMEELLCFFTVEHHYHSFAYNRKVIHNTHSVGMKPIAIGQSFVLLLVFSTVSVVICSDGNETDRLSLLQFKQAISLDPQHALLSWNDSTHFCSWEGVSCSLRYPRRVTSLDLSNRGLVGLISPSLGNLTSLEHLFLNTNQLSGQIPPSLGHLHHLRSLYLANNTLQGNIPSFANCSALKILHLSRNQIVGRIPKNVHLPPSISQLIVNDNNLTGTIPTSLGDVATLNILIVSYNYIEGSIPDEIGKMPVLTNLYVGGNNLSGRFPLALTNISSLVELGLGFNYFHGGLPPNLGTSLPRLQVLEIASNLFEGHLPYSISNATSLYTIDFSSNYFSGVVPSSIGMLKELSLLNLEWNQFESFNNKDLEFLHSLSNCTDLQVLALYDNKLKGQIPYSLGNLSIQLQYLFLGSNQLSGGFPSGIRNLPNLISLGLNENHFTGIVPEWVGTLANLEGIYLDNNKFTGFLPSSISNISNLEDLRLSTNLFGGKIPAGLGKLQVLHLMELSDNNLLGSIPESIFSIPTLTRCMLSFNKLDGALPTEIGNAKQLGSLHLSANKLTGHIPSTLSNCDSLEELHLDQNFLNGSIPTSLGNMQSLTAVNLSYNDLSGSIPDSLGRLQSLEQLDLSFNNLVGEVPGIGVFKNATAIRDLARATDGFSASNLIGTGRYGSVYMGKLFHSKCPVAVKVFNLDIRGTQRSFISECNALRNLRHRNIVRIITACSTVDSKGNDFKALIYEFMPRGDLYQVLYSTCADENSSTSHFGLAQRVSIVMDIANALEYLHNHNKGIIVHCDLKPSNILLDDNMTAHVGDFGLSRFEIYSMTSSFGCSTSSVAISGTIGYVAPECAESGQVSTATDVYSFGVVLLEIFIRRRPTDDMFNDGLSIAKFAELNLPDRVLQIVDPQLQQDLETCQETPMAIKKKLTDCLLSVLSIGLSCTKSSPSERNSMKERTTTLQASEPKLELAMKAPSVGELLLVFIACSCCAHVVVCSSLPGNETDRLSLLEFKKAISEDVLCRAKAPLRVTSLNLTDCGLAGNISPSIANLTFLKSLSLGKNSFFGEIPASLGHLHRLQTLVLSYNKLQGRIPDLANCSNLRSLWLDRNNLVGKIPNLPPRLQELMLHVNNLSGTIPPSLGNITTLTKFGCAFNNIEGNIPTEFERLPGLQYLSVNTNKLAGWFQLAILNISTLVTLDLGANNLRGEVPSNLGNSLPNLQYLILSDNFFHGHFPSSLINSSKLNLIDMAENNFTGVIPSSIGKLAKLNVLSLQLNQFQAGTKKEWEFMDSLANCTELEVFSVARNHLQGQVPSSLSNISSQLQYLYLGKNQLSGGFPSGIAKFHNLIILGLDHNQFTGVVPEWLGTLQALQKLSLLDNNFIGFLPTSLSNLSQLSELFLGSNKFDGNIPLGLGDLQMLQVLSISNNNIQGRSFPPISYFGDIPNTLSNCESLEDIRLDRNAFTGIIPTSLGNIRSLKVLNLSHNKLTGSIPVSLGNLQLLEQLDLSFNHLKGKVPTNGVFMNETAIQIDGKSWALRRKHEGNSTSLPSFGRKFPKVPYNELAEATEGFSESNLIGKGRYGYVYRGNLFQGTNVVAIKVFNLETMGAQKSFIAECNALRNVRHRNLVPILTACSSIDPNGNDFKALVYEFMPMGDLYNLLYAPQCDSNLRHITLAQRIGIVADVADAMDYLHHNNQGTIVHCDLKPSKILLDDNMTAHVGDFGLVRFNFGSTTASLGDTNSTSSAAIKGTIGYIAPGGGQVSTAADVYSFGVVLLEIFIRRRPTDDMFKDGLTIAKFTEINIPDKMQDIVDPQLAQELGLCEEAPMADEESGARCLLSVLNIGLCCTRLAPNERISMKEVASKMHGIRAGFS >ORUFI11G04490.2 pep chromosome:OR_W1943:11:3314619:3340242:1 gene:ORUFI11G04490 transcript:ORUFI11G04490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAATGQFLLVLMACSVIQIVCQSLHGNETDRLSLLDFKNAIILDPQQALVSWNDSNQICSWEGVFCRVKAPNHVVALNLTNRDLVGTISPSLGNLTFLKHLNLTGNAFTGQIPASLAHLHRLQTLSLASNTLQGRIPNLANYSDLMVLDLYRNNLAGKFPADLPHSLEKLRLSFNNIMGTIPASLANITRLKYFACVNTSIEGNIPDEFSKLSALKFLHLGINKLTGSFPEAVLNISALTELSFAINDLHGEVPPDLGNSLPNLQAFELGGNHFNGKIPSSITNASNLYLIDVSNNNFSGGLASSIGKLTKLSWLNLEENKLHGRNNEDQEFLNSIANCTELQMFSISWNRLEGRLPNSFGNHSFQLQYVHMGQNQLSGQFPSGLTNLHNLVVIELSGNRFSGVLPDWLGALKSLQKLTVGDNNFTGLIPSSLFNLTNLVHLFLYSNKFSGQLPASFGNLEALERLGISNNNFDGTVPEDIFRIPTIQYIDLSFNNLEGLLPFYVGNAKHLIYLVLSSNNLSGEIPNTLGNSESLQIIKFDHNIFTGGIPTSLGKLLSLTLLNLSYNNLTGPIPDSLSNLKYLGQLDFSFNHLNGEVPTKGIFKNATAIQLGGNQGLCGGVLELHLPACSIAPLSSRKHVKSLTIKIVIPLAILVSLFLVVLVLLLLRGKQKGHSISLPLSDTDFPKVSYNDLARATERFSMSNLIGKGRFSCVYQGKLFQCNDVVAVKVFSLETRGAQKSFIAECNALRNVRHRNLVPILTACSSIDSKGNDFKALVYKFMPGGDLHKLLYSNGGDGDAPHQNHITLAQRINIMVDVSDALEYLHHSNQGTIVHCDLKPSNILLDDNMVAHVGDFGLARFKFDSTTSSLSYLNSTSSLVIKGTIGYIAPECSDGGQVSTASDVYSFGVVLLEIFIRRRPTDDMFMDGLSIAKYTAINFPDRILEIVDPKLQQELIPCSTDKEDLDPCQENPIAVEEKGLHCLRSMLNIGLCCTKPTPGERISMQETMKVTTASGHLLLVLFASIFHPAVSSISGNGTDRLALLEFKNAITHDPQKSLMSWNDSNHLCSWEGVSCSSKNPPRVTSIDLSNQNLAGNISPSLGNLTFLKHLSLATNEFTGRIPESLGHLRRLRSLYLSNNTLQGIIPSFANCSDLRVLWLDHNELTGGLPDGLPLGLEELQVSSNTLVGTIPPSLGNVTTLRMLRFAFNGIEGGIPGELAALREMEILTIGGNRLSGGFPEPIMNMSVLIRLSLETNRFSGKMPSGIGTSLPNLWRLFIGGNFFQGNLPSSLANASNLVDLDISQNNFVGVVPAFIGKLANLTWLNLEMNQLHARSKQDWDFMDSLTNCTQLQALSMAGNQLEGHLPNSVGNFSVQLQRLYLGQNQLSGSFPSGIENLPNLIVFGLDYNRFTGSVPPWLGGLITLQVLSLTNNNFTGYIPSSLSNLSHLVELYLQSNQLLGNIPSSFGKLQFLTRIDISDNSLNGSLPKEIFRIPTIAEVGFSFNNLSGELPTEVGYAKQLRSLHLSSNNLSGDIPNTLGNCENLQEVVLDQNNFGGSIPASLGKLISLKSLNLSHNILNGSIPVSLGDLELLEQIDLSFNHLSGQVPTKGIFKNSTATHMDGNLGLCGGAPELHLPECPIVPSNKSKHKLYVTLKVVIPLASTVTLAIVILVIFIWKGKRREKSISLSSSGREFPKVSYRDLARATNGFSTSNLIGRGRYSSVYQGQLFQDINVVAIKVFSLETRGAQKSFIAECNALRNVRHRNLVPILTACPSIDSSGNDFKALAYKFMPRGDLHKLLYSNPNDERSSGICYISLAQRLSIAVDLSDALAYLHHSHQGTIIHCDLKPSNILLDDNMIAHVGDFGLARFRIDSKTSFGNSNSTINGTIGYVAPECAIGGQVSTAADVYSFGVVLLEIFIRRRPTDDMFKDGLTIAKYTEINIPDKMLQIVDPQLVQELGLSQEDPVRVDETATHCLLSVLNIGLCCTKSSPSERISMQEPEPVTAGGGGGGGGGGGGRRGAPHPPGDAEPGSIAVLENLGLLSPNLRPSTRPISCAGITSEGNNRNGWRDLMKMDREKRMLVEMEELLCFFTVEHHYHSFAYNRKVIHNTHSVGMKPIAIGQSFVLLLVFSTVSVVICSDGNETDRLSLLQFKQAISLDPQHALLSWNDSTHFCSWEGVSCSLRYPRRVTSLDLSNRGLVGLISPSLGNLTSLEHLFLNTNQLSGQIPPSLGHLHHLRSLYLANNTLQGNIPSFANCSALKILHLSRNQIVGRIPKNVHLPPSISQLIVNDNNLTGTIPTSLGDVATLNILIVSYNYIEGSIPDEIGKMPVLTNLYVGGNNLSGRFPLALTNISSLVELGLGFNYFHGGLPPNLGTSLPRLQVLEIASNLFEGHLPYSISNATSLYTIDFSSNYFSGVVPSSIGMLKELSLLNLEWNQFESFNNKDLEFLHSLSNCTDLQVLALYDNKLKGQIPYSLGNLSIQLQYLFLGSNQLSGGFPSGIRNLPNLISLGLNENHFTGIVPEWVGTLANLEGIYLDNNKFTGFLPSSISNISNLEDLRLSTNLFGGKIPAGLGKLQVLHLMELSDNNLLGSIPESIFSIPTLTRCMLSFNKLDGALPTEIGNAKQLGSLHLSANKLTGHIPSTLSNCDSLEELHLDQNFLNGSIPTSLGNMQSLTAVNLSYNDLSGSIPDSLGRLQSLEQLDLSFNNLVGEVPGIGVFKNATAIRDLARATDGFSASNLIGTGRYGSVYMGKLFHSKCPVAVKVFNLDIRGTQRSFISECNALRNLRHRNIVRIITACSTVDSKGNDFKALIYEFMPRGDLYQVLYSTCADENSSTSHFGLAQRVSIVMDIANALEYLHNHNKGIIVHCDLKPSNILLDDNMTAHVGDFGLSRFEIYSMTSSFGCSTSSVAISGTIGYVAPECAESGQVSTATDVYSFGVVLLEIFIRRRPTDDMFNDGLSIAKFAELNLPDRVLQIVDPQLQQDLETCQETPMAIKKKLTDCLLSVLSIGLSCTKSSPSERNSMKERTTTLQASEPKLELAMKAPSVGELLLVFIACSCCAHVVVCSSLPGNETDRLSLLEFKKAISEDVLCRAKAPLRVTSLNLTDCGLAGNISPSIANLTFLKSLSLGKNSFFGEIPASLGHLHRLQTLVLSYNKLQGRIPDLANCSNLRSLWLDRNNLVGKIPNLPPRLQELMLHVNNLSGTIPPSLGNITTLTKFGCAFNNIEGNIPTEFERLPGLQYLSVNTNKLAGWFQLAILNISTLVTLDLGANNLRGEVPSNLGNSLPNLQYLILSDNFFHGHFPSSLINSSKLNLIDMAENNFTGVIPSSIGKLAKLNVLSLQLNQFQAGTKKEWEFMDSLANCTELEVFSVARNHLQGQVPSSLSNISSQLQYLYLGKNQLSGGFPSGIAKFHNLIILGLDHNQFTGVVPEWLGTLQALQKLSLLDNNFIGFLPTSLSNLSQLSELFLGSNKFDGNIPLGLGDLQMLQVLSISNNNIQGRVPKEIFNLPTITEIDLSFNKLFGQLPTEIGNAKQLASLELSSNNLEDIRLDRNAFTGIIPTSLGNIRSLKVLNLSHNKLTGSIPVSLGNLQLLEQLDLSFNHLKGKVPTNGVFMNETAIQIDGKSWALWWSNGVAPTRMFYDSKSNQI >ORUFI11G04490.3 pep chromosome:OR_W1943:11:3314619:3346460:1 gene:ORUFI11G04490 transcript:ORUFI11G04490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAATGQFLLVLMACSVIQIVCQSLHGNETDRLSLLDFKNAIILDPQQALVSWNDSNQICSWEGVFCRVKAPNHVVALNLTNRDLVGTISPSLGNLTFLKHLNLTGNAFTGQIPASLAHLHRLQTLSLASNTLQGRIPNLANYSDLMVLDLYRNNLAGKFPADLPHSLEKLRLSFNNIMGTIPASLANITRLKYFACVNTSIEGNIPDEFSKLSALKFLHLGINKLTGSFPEAVLNISALTELSFAINDLHGEVPPDLGNSLPNLQAFELGGNHFNGKIPSSITNASNLYLIDVSNNNFSGGLASSIGKLTKLSWLNLEENKLHGRNNEDQEFLNSIANCTELQMFSISWNRLEGRLPNSFGNHSFQLQYVHMGQNQLSGQFPSGLTNLHNLVVIELSGNRFSGVLPDWLGALKSLQKLTVGDNNFTGLIPSSLFNLTNLVHLFLYSNKFSGQLPASFGNLEALERLGISNNNFDGTVPEDIFRIPTIQYIDLSFNNLEGLLPFYVGNAKHLIYLVLSSNNLSGEIPNTLGNSESLQIIKFDHNIFTGGIPTSLGKLLSLTLLNLSYNNLTGPIPDSLSNLKYLGQLDFSFNHLNGEVPTKGIFKNATAIQLGGNQGLCGGVLELHLPACSIAPLSSRKHVKSLTIKIVIPLAILVSLFLVVLVLLLLRGKQKGHSISLPLSDTDFPKVSYNDLARATERFSMSNLIGKGRFSCVYQGKLFQCNDVVAVKVFSLETRGAQKSFIAECNALRNVRHRNLVPILTACSSIDSKGNDFKALVYKFMPGGDLHKLLYSNGGDGDAPHQNHITLAQRINIMVDVSDALEYLHHSNQGTIVHCDLKPSNILLDDNMVAHVGDFGLARFKFDSTTSSLSYLNSTSSLVIKGTIGYIAPECSDGGQVSTASDVYSFGVVLLEIFIRRRPTDDMFMDGLSIAKYTAINFPDRILEIVDPKLQQELIPCSTDKEDLDPCQENPIAVEEKGLHCLRSMLNIGLCCTKPTPGERISMQETMKVTTASGHLLLVLFASIFHPAVSSISGNGTDRLALLEFKNAITHDPQKSLMSWNDSNHLCSWEGVSCSSKNPPRVTSIDLSNQNLAGNISPSLGNLTFLKHLSLATNEFTGRIPESLGHLRRLRSLYLSNNTLQGIIPSFANCSDLRVLWLDHNELTGGLPDGLPLGLEELQVSSNTLVGTIPPSLGNVTTLRMLRFAFNGIEGGIPGELAALREMEILTIGGNRLSGGFPEPIMNMSVLIRLSLETNRFSGKMPSGIGTSLPNLWRLFIGGNFFQGNLPSSLANASNLVDLDISQNNFVGVVPAFIGKLANLTWLNLEMNQLHARSKQDWDFMDSLTNCTQLQALSMAGNQLEGHLPNSVGNFSVQLQRLYLGQNQLSGSFPSGIENLPNLIVFGLDYNRFTGSVPPWLGGLITLQVLSLTNNNFTGYIPSSLSNLSHLVELYLQSNQLLGNIPSSFGKLQFLTRIDISDNSLNGSLPKEIFRIPTIAEVGFSFNNLSGELPTEVGYAKQLRSLHLSSNNLSGDIPNTLGNCENLQEVVLDQNNFGGSIPASLGKLISLKSLNLSHNILNGSIPVSLGDLELLEQIDLSFNHLSGQVPTKGIFKNSTATHMDGNLGLCGGAPELHLPECPIVPSNKSKHKLYVTLKVVIPLASTVTLAIVILVIFIWKGKRREKSISLSSSGREFPKVSYRDLARATNGFSTSNLIGRGRYSSVYQGQLFQDINVVAIKVFSLETRGAQKSFIAECNALRNVRHRNLVPILTACPSIDSSGNDFKALAYKFMPRGDLHKLLYSNPNDERSSGICYISLAQRLSIAVDLSDALAYLHHSHQGTIIHCDLKPSNILLDDNMIAHVGDFGLARFRIDSKTSFGNSNSTINGTIGYVAPECAESGQVSTATDVYSFGVVLLEIFIRRRPTDDMFNDGLSIAKFAELNLPDRVLQIVDPQLQQDLETCQETPMAIKKKLTDCLLSVLSIGLSCTKSSPSERNSMKETCVLHYCAFAIHESISASDLSEPKLELAMKAPSVGELLLVFIACSCCAHVVVCSSLPGNETDRLSLLEFKKAISEDVLCRAKAPLRVTSLNLTDCGLAGNISPSIANLTFLKSLSLGKNSFFGEIPASLGHLHRLQTLVLSYNKLQGRIPDLANCSNLRSLWLDRNNLVGKIPNLPPRLQELMLHVNNLSGTIPPSLGNITTLTKFGCAFNNIEGNIPTEFERLPGLQYLSVNTNKLAGWFQLAILNISTLVTLDLGANNLRGEVPSNLGNSLPNLQYLILSDNFFHGHFPSSLINSSKLNLIDMAENNFTGVIPSSIGKLAKLNVLSLQLNQFQAGTKKEWEFMDSLANCTELEVFSVARNHLQGQVPSSLSNISSQLQYLYLGKNQLSGGFPSGIAKFHNLIILGLDHNQFTGVVPEWLGTLQALQKLSLLDNNFIGFLPTSLSNLSQLSELFLGSNKFDGNIPLGLGDLQMLQVLSISNNNIQGRSFPPISYFGDIPNTLSNCESLEDIRLDRNAFTGIIPTSLGNIRSLKVLNLSHNKLTGSIPVSLGNLQLLEQLDLSFNHLKGKVPTNGVFMNETAIQIDGKSWALRRKHEGNSTSLPSFGRKFPKVPYNELAEATEGFSESNLIGKGRYGYVYRGNLFQGTNVVAIKVFNLETMGAQKSFIAECNALRNVRHRNLVPILTACSSIDPNGNDFKALVYEFMPMGDLYNLLYAPQCDSNLRHITLAQRIGIVADVADAMDYLHHNNQGTIVHCDLKPSKILLDDNMTAHVGDFGLVRFNFGSTTASLGDTNSTSSAAIKGTIGYIAPECAGGGQVSTAADVYSFGVVLLEIFIRRRPTDDMFKDGLTIAKFTEINIPDKMQDIVDPQLAQELGLCEEAPMADEESGARCLLSVLNIGLCCTRLAPNERISMKEVASKMHGIRAGFS >ORUFI11G04490.4 pep chromosome:OR_W1943:11:3337796:3346460:1 gene:ORUFI11G04490 transcript:ORUFI11G04490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVNNLSGTIPPSLGNITTLTKFGCAFNNIEGNIPTEFERLPGLQYLSVNTNKLAGWFQLAILNISTLVTLDLGANNLRGEVPSNLGNSLPNLQYLILSDNFFHGHFPSSLINSSKLNLIDMAENNFTGVIPSSIGKLAKLNVLSLQLNQFQAGTKKEWEFMDSLANCTELEVFSVARNHLQGQVPSSLSNISSQLQYLYLGKNQLSGGFPSGIAKFHNLIILGLDHNQFTGVVPEWLGTLQALQKLSLLDNNFIGFLPTSLSNLSQLSELFLGSNKFDGNIPLGLGDLQMLQVLSISNNNIQGRVPKEIFNLPTITEIDLSFNKLFGQLPTEIGNAKQLASLELSSNKLFW >ORUFI11G04500.1 pep chromosome:OR_W1943:11:3347025:3358839:1 gene:ORUFI11G04500 transcript:ORUFI11G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTTATAHFLWVFLASISHSVICSTLRNETDRLSLLEFKNSITLNPHQSLISWNDSTHFCSWEGISCSSKNPPRVTAIDLRNQGLVGHISPSLGNLTFLRNLSLATNGFTGQIPESLGHLRRLRSLYLSNNTLQGIIPSFANCSELTVLWLDHNDLAGGFPGGLPLGLQELQLSSNRLVGTIPPSLSNITALRKLSFAFNGITGSIPGELATLSGVEILYASSNRLLGGFPEAILNMSALVALSLSTNSFSGELPSGIGSLLPNLRQLAIGINFFHGDIPSSLANASNLVKIDISENNFTGVVPASIGKLANLTRLNLEMNQLHARSKQDWEFMDSVANCTQLQGISIARNQMEGEVPATVSFLGTESIVREFSFRHCKSSQPDNSWTRLQPIFRFCTTMARRSEDIAETKLVYQQFYRVSSLLPFQSVTLDRDSSRHKSVHWKHTLSFGNLQFLTTITITDNNLHGGVPKEIFRIPTIAEVGFALNNLSGELPTEIGNAKQLIYLQLSSNNLSGDIPNTLSNCENLQHVELDQNNFSGGIPTSFGKLISLKFLNLSHNKLSGSIPVSLGDLQLLEQIDLSFNHLTGQVPTKGIFKNSTSMQIDGNLALCGGALELHLPECPITPSNTTKGKLPVLLKVVIPLASMVTLAIVILVLYLIWKGKQRTNSISLPSFGREFPKVSYKDLARATNGFSTSNLIGEGRYGSVYQGQLFQDINVVAIKVFSLETKGAQKSFIAECNALRNVRHHNLVPVLTACSSIDSSGNDFKALVYEFMPRGDLHKLLYSTPHDETSSDLCYISLAQRLSIVVNVSDALAYLHHNHQGTIIHCDIKPTNILLDDNMTAHVGDFGLARFKNDSRQSFGNSHLTSSFAINGTVGYVAPECAGGGQISTAADVYSFGVVLLEIFIRRRPTDDMFKDGLSIAMFTEMNIPDKMLQIVDPQLVQELSLCKEDSVINDENGAQCVLSPQASASACRRRPTSYTQSGIHISEDTEAVTSPTFLRLHATHLDEGLFPRRAPCAVRTPAVRRLLQLAEEHGRVSEKLKKRSLFYSTRVKMSGNRGEQVQDEPVHVSLALKVGGLAGPGLINIRQNLIAMKITAVGQLILVLMACSSHAVICSTFGNGTDQLSLLEFKKAISLDPQQSLISWNDSTNYCSWEGVSCSLKNPGRVTSLNLTNRALVGHISPSLGNLTFLKYLALLKNALSGEIPPSLGHLRRLQYLYLSGNTLQGSIPSFANCSELKVLWVHRNNLTGQFPADWPPNLQQLQLSINNLTGTIPASLANITSLNVLSCVYNHIEGNIPNEFAKLPNLQTLYVGSNQLSGSFPQVLLNLSTLINLSLGLNHLSGEVPSNLGSALPNLEIFELPVNFFHGRIPSSLTNASNLYFLELSNNNFTGLVPRTIGELNKLQMLNLEWNQLQAHREQDWEFLQSLGNCTELQVFSMTGNRLQGHVPSSLGNLSDQLQELHLAESKLSGDFPSGIANLQNLIIVALGVLPEWLGTIKTLQKVSLGSNFFTGAIPSSFSNLSQLGELYLDSNQLVGQLPPSFGTLPILQVLIVSNNNLHGSIPKEIFRIPTIVQISLSFNNLDAPLHNDIGKAKQLTYLQLSSNNISGYIPSTLGDSESLEDIELDHNVFSGSIPASLENIKTLKVLNLSYNNLSGSIPASLGNLQLVEQLDLSFNNLKGEVPTKGIFKNTTAIRVGGNPGLCGGSLELHLLTCSSTPLNSVKHKQFIFLKVALPIAIMTSLVIAISIMWFWNRKQNRQSISSPSFGRKFPKVSYSDLVRATEGFSASNLIGRGRYGSVYQGKLFPERNLVAVKVFNLETRGAGKSFIAECNALKNVRHRNLITILTACSSIDSSGNDFKALVYEFMPRGDLHNLLYSTRDGNGSSNLSYVSLAQRLNIAVDVSDALAYLHHNHQGSIVHSDLKPSNILLDDNMTAHVGDFGLAAFKSDSAASSFGDSSLTSSFAIKGTIGYVAPECAGGGRVSTASDIYSFGIVLLEIFIRRKPTDDMFKDGLSISKYTEINFPDKMLQIVDPQLLRELDICQETSINVEKNEVCCLLSVLNIGLHCTKLVPGERMSMQEVASKLHGIRDEYLRGY >ORUFI11G04500.2 pep chromosome:OR_W1943:11:3358720:3367932:1 gene:ORUFI11G04500 transcript:ORUFI11G04500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFCAMKSVVYDAWSRKSQIMHRSGAIFSNGTNASNMKVMPIGLLLLVLIAWSSEAVICNSLNESEIDRRSLLEFKKGISMDPQKALMSWNDSTLLCNWEGVLCRVKTPRRVTSLNLTNRGLVGKISPSLGNLTFLKFLLLPTNSLTGEIPSSFGYLHRLQFLYLSNNTLQGMIPDLTNCSNLKAIWLDSNDLVGQIPNILPPHLQQLQLYNNNLTGTIPSYLANITSLKELIFVSNQIEGNIPNEFAKLPNLKVLYAGANKLEGKFPQAILNISTLTGLSLAYNNLSGELPSNLFTYLPNLQDLGLAANLFQGHIPNSLANASKLYMLDIALNYFTGIIPTSIGKLTELSWLNLEHHRLQARSKQDWEFMTSLANCSELNIFSMKDNLLEGHVPSSLGNLSVQLQHLLLGTNKLSGDFPFGIANLPGLTMLGLEDNKFTGIVPEWLGSLQNLQGIELANNFFTGVIPSSLANISMLEELFLESDQLYGYIPSSLGKLNVLSVLSMSNNSLHGSIPEEIFRIPTIRKISLSFNNLDAPLHDDIDNAKQLTYLQLSSNNITGYIPSTLGNCESLEDIELDHNVFSGSIPTTLGNIKTLKVLKLSNNNLTGSIPASLGNLQLLEQLDLSFNNLKGEVPTKGIFKNATAMRVDGNEGLCGGSLELHLLTCSNKPLDSVKHKQSILLKVVLPMTIMVSLVAAISIMWFCKRKHKRQSISSPSFGRKFPKVSYHDLVRATEGFSTSNLSGRGRYGSVYQGKLFEGRNVVAVKVFNLETRGAGKSFIAECNALKNVRHRNLVTILTACSSIDSAGNDFKALVYEFMPQGDLHNLLYSTRDGDGSSNLRNVSLAQRLSIAVDVSDALAYLHHNHQGTIVHSDIKPSHILLNDDMTAHVGDFGLARFKSDSATSSFVNSNSTSSIAIKGTIGYVAPECAEDGQVSTASDVYSFGIVLLEIFIRKKPTDDMFKDGLSIVKYTEINLPEMLQIVDPQLLQELHIWHETPTDVEKNEVNCLLSVLNIGLNCTRLVPSERMSMQEVASKLHGIRDEYLRGD >ORUFI11G04500.3 pep chromosome:OR_W1943:11:3354099:3367932:1 gene:ORUFI11G04500 transcript:ORUFI11G04500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKITAVGQLILVLMACSSHAVICSTFGNGTDQLSLLEFKKAISLDPQQSLISWNDSTNYCSWEGVSCSLKNPGRVTSLNLTNRALVGHISPSLGNLTFLKYLALLKNALSGEIPPSLGHLRRLQYLYLSGNTLQGSIPSFANCSELKVLWVHRNNLTGQFPADWPPNLQQLQLSINNLTGTIPASLANITSLNVLSCVYNHIEGNIPNEFAKLPNLQTLYVGSNQLSGSFPQVLLNLSTLINLSLGLNHLSGEVPSNLGSALPNLEIFELPVNFFHGRIPSSLTNASNLYFLELSNNNFTGLVPRTIGELNKLQMLNLEWNQLQAHREQDWEFLQSLGNCTELQVFSMTGNRLQGHVPSSLGNLSDQLQELHLAESKLSGDFPSGIANLQNLIIVALGANLFTGVLPEWLGTIKTLQKVSLGSNFFTGAIPSSFSNLSQLGELYLDSNQLVGQLPPSFGTLPILQVLIVSNNNLHGSIPKEIFRIPTIVQISLSFNNLDAPLHNDIGKAKQLTYLQLSSNNISGYIPSTLGDSESLEDIELDHNVFSGSIPASLENIKTLKVLNLSYNNLSGSIPASLGNLQLVEQLDLSFNNLKGEVPTKGIFKNTTAIRVGGNPGLCGGSLELHLLTCSSTPLNSVKHKQFIFLKVALPIAIMTSLVIAISIMWFWNRKQNRQSISSPSFGRKFPKVSYSDLVRATEGFSASNLIGRGRYGSVYQGKLFPERNLVAVKVFNLETRGAGKSFIAECNALKNVRHRNLITILTACSSIDSSGNDFKALVYEFMPRGDLHNLLYSTRDGNGSSNLSYVSLAQRLNIAVDVSDALAYLHHNHQGSIVHSDLKPSNILLDDNMTAHVGDFGLAAFKSDSAASSFGDSSLTSSFAIKGTIGYVAPECAEDGQVSTASDVYSFGIVLLEIFIRKKPTDDMFKDGLSIVKYTEINLPEMLQIVDPQLLQELHIWHETPTDVEKNEVNCLLSVLNIGLNCTRLVPSERMSMQEVASKLHGIRDEYLRGD >ORUFI11G04500.4 pep chromosome:OR_W1943:11:3354099:3358839:1 gene:ORUFI11G04500 transcript:ORUFI11G04500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKITAVGQLILVLMACSSHAVICSTFGNGTDQLSLLEFKKAISLDPQQSLISWNDSTNYCSWEGVSCSLKNPGRVTSLNLTNRALVGHISPSLGNLTFLKYLALLKNALSGEIPPSLGHLRRLQYLYLSGNTLQGSIPSFANCSELKVLWVHRNNLTGQFPADWPPNLQQLQLSINNLTGTIPASLANITSLNVLSCVYNHIEGNIPNEFAKLPNLQTLYVGSNQLSGSFPQVLLNLSTLINLSLGLNHLSGEVPSNLGSALPNLEIFELPVNFFHGRIPSSLTNASNLYFLELSNNNFTGLVPRTIGELNKLQMLNLEWNQLQAHREQDWEFLQSLGNCTELQVFSMTGNRLQGHVPSSLGNLSDQLQELHLAESKLSGDFPSGIANLQNLIIVALGANLFTGVLPEWLGTIKTLQKVSLGSNFFTGAIPSSFSNLSQLGELYLDSNQLVGQLPPSFGTLPILQVLIVSNNNLHGSIPKEIFRIPTIVQISLSFNNLDAPLHNDIGKAKQLTYLQLSSNNISGYIPSTLGDSESLEDIELDHNVFSGSIPASLENIKTLKVLNLSYNNLSGSIPASLGNLQLVEQLDLSFNNLKGEVPTKGIFKNTTAIRVGGNPGLCGGSLELHLLTCSSTPLNSVKHKQFIFLKVALPIAIMTSLVIAISIMWFWNRKQNRQSISSPSFGRKFPKVSYSDLVRATEGFSASNLIGRGRYGSVYQGKLFPERNLVAVKVFNLETRGAGKSFIAECNALKNVRHRNLITILTACSSIDSSGNDFKALVYEFMPRGDLHNLLYSTRDGNGSSNLSYVSLAQRLNIAVDVSDALAYLHHNHQGSIVHSDLKPSNILLDDNMTAHVGDFGLAAFKSDSAASSFGDSSLTSSFAIKGTIGYVAPECAGGGRVSTASDIYSFGIVLLEIFIRRKPTDDMFKDGLSISKYTEINFPDKMLQIVDPQLLRELDICQETSINVEKNEVCCLLSVLNIGLHCTKLVPGERMSMQEVASKLHGIRDEYLRGY >ORUFI11G04510.1 pep chromosome:OR_W1943:11:3348507:3351205:-1 gene:ORUFI11G04510 transcript:ORUFI11G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNTGTSLLELILLWGNRKFSTEIV >ORUFI11G04510.2 pep chromosome:OR_W1943:11:3348517:3351205:-1 gene:ORUFI11G04510 transcript:ORUFI11G04510.2 gene_biotype:protein_coding transcript_biotype:protein_coding RWEKCSWPKIPLGPFWDDRFPGPITLTPGEISPLGPPRLSSFAFRRPPPPSAAGCLLPPSHLTPPPVIPPPLPPSRIARPPVAPREGLLLPLAVSGLSACVPAAAAGGGVDGGDGDVRPVAFCEDAVSPCTYFFAFSDGHATESLHEVLH >ORUFI11G04520.1 pep chromosome:OR_W1943:11:3365846:3370732:-1 gene:ORUFI11G04520 transcript:ORUFI11G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPCTLLVHFDKGSPSMANEIKADLEGSDVAAKVDAMKRAIMLLLNGETLPHLFITVVRYVLPSEDHTIQKLLLLYLEIVDKRDVASGKVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNEPELLEPLIPSILANLDHRHHFIRRHALSAISAIYRLPHGDQLLPDAPEVVERALTGEQDASARRNGFLMLCACAQERAVAYLLTNAERVAEWPDLLQMAAVDLIRKVCRSPNRADKGRYIKIIISLLSAPNSAVVYESAGALVSLSSAPTAVRAAANTYCQLLSSQSDNNVKLIVLDRLHELRASHRDVMVDVVMDVLRALSSPNVDVRRKVLDLVLDLLTPRNVEEVVMYLKKEVVKTQAGDLEKGGEYRQMLVQAIHSCAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCSCALWILGEYSLSLSEVESAISTIKQCLGDLPFYTVSEEGESTDASKPAQPVVNSVTVSSRRPVVLADGTYATQSAATETAISSPAVAPGSLSSTQNLRSLILSGDFFLAAVVACTLTKLVLRLEEVQPSKAEANKASTGALLIMVSILQLGQSSYLPHPIDNDSYDRIVLCVRLLCNTGDDVRKVWLQSCRQSFTKMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRRGMSQLELEDAVQDDLKAATGEFTKDADDANRLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVAFRNMWAEFEWENKVAVNTVIQDEKEFLDHIIKSTNMKCLTPPSALDGECGFIAANLYAKSVFGEDALVNISVEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGSS >ORUFI11G04530.1 pep chromosome:OR_W1943:11:3372708:3377891:1 gene:ORUFI11G04530 transcript:ORUFI11G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGLLSRPAIAAALSNLLRASTSHRIDPPPSPSSLRRLLTSPPHRLLSSHDRRPTLQTLPRCRPRKSPPRALIPPLTITGRLPFQPPLAIRPSPPAHRRRISVSVSEVEALFELFKSINGSVIDDGLINKLRLTSKQVSSAMDNNGGGLFVDITNVINAKLTNKHAAVIQSEINVPKDRENYQQINKNSTSKIQRASTIGFGNENNASVIRHVRLPCTDKAELSYGTPNMHEDVTNLSTAELKRKRARERYASLTKELKEDGNKKRRDSRKRRKDESIDH >ORUFI11G04540.1 pep chromosome:OR_W1943:11:3379658:3380544:-1 gene:ORUFI11G04540 transcript:ORUFI11G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGGSYPRWVMLEHKGHNVRSLRKHSAAGYTGDPKTAASACTFSGCPCVRVSFCLEPPPAASRMFFDLEQKDLDASMFVAAAHGDSVLIKMEYYDEHNADDALDCLITSSTTPATPRGRRQQDEPNPDMDVRATGILRRGDDELVVAELITKGSDDDMPPNEAKLLLLRSGEWSLKRAPIIHRDDGGGKGAELSAWETDKVVPVGDRRLCWVDLHRGIMLCNPFDESPRLQYVSLPVEPPKSFDDGRRDSPATKRSVCAAATTTGGGHADAREIILLLT >ORUFI11G04550.1 pep chromosome:OR_W1943:11:3383210:3385024:-1 gene:ORUFI11G04550 transcript:ORUFI11G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQPTPATLRRVGCYDPRWALLERDVRRKHKKGSDDQGDRDDSSNPCSAAGGSCAETEASCRNSAGHVVRLSLCREAPPASSRMWYTSSPSHDEGGGPLVCVVAAHRDSVLLQMHYKNEARRGGEYGLDHFVYSAGDAAAGDPPSLSLLPIHWVHYGLSDRTPNRLDDARTGLLRRRRRRCGDNDLVVSKLTVTEGDDGVDAELLVFRSGEWSVTPAEIIHDDGKADELFHWKTDMVVPVGDRRLCWVDLYRGIILCDMFDDDEPLRPRYVSLPVEPPAGEFDDDDYGYRYYEYESDGGRGRNPRLCLMKDRTVCATNGGGGGGDTLKFVDIFPRCCCGGPGVTTCDHSSSAFVINTWTLRTSDMTWTMDAIVDATELWSLSLHADAGIPPHKRPYYPVVSTRDSHIICFLVYDHDYCVKEKFWKIMLDTTNKTLPSVFAYKNQSSPCLRCIPSEISGYLLTSCSSDSTKPAAIVVDVLPAAAATTTTTTAVISKKSHELSTNVSEMASPEEILAALEEIPDLGCDDLLEAYSLLINDGSGRRFRSLLVLPMGLRKKWLLIEVKNSQACSICSACTTQPTTWMGAEAELQGSDVLSKHLSRVL >ORUFI11G04560.1 pep chromosome:OR_W1943:11:3386706:3389830:-1 gene:ORUFI11G04560 transcript:ORUFI11G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRKPWLLMEIKASEARSVWCGNGGAGAGGYPRWVILCRYGEYQGYERDDSFPPFSTPDAKTEAASVFSSGGHHVLVSLCLDAPPASSRVCFDFFPRIGGGRGASLAVVAAHGDSVLVRLSYQGEGYRYGDDGVLDYFVYNAGSAAADPPRPPSLSLLPAYVTTDADEEESETWRPRHDLDERTTGLLRRGEDDMVVVDLAVMEEGDELEEAELLVLRSGEWTVTRAPVVHFDGRAEKLPSWITDMAVPVGERRMCWADLYRGIILCDVDDVFDQNPQLRFVPLPPEALTDESNDDDDDYDDHTRKYVIAERSVCVTGGGAALKFIDVIPRCCCGRPGPTYCGHSTGAFIIKTWILRVDDGSGDMAWTMDAMVDASELWSSHAYAGLPHAIPEHPIVSIDDPHLISFMVDERESEGRYCRIKETWMILFDTRSKTLLSAISCSHGRNFLPSKVSSYFTSSNGSCSNGGAMSEPAVIIDKAPTHDAIIGDSVRISCESSGAKHFRVSGSVASPDEIFAALEEIPELSRHDLLRAYSMLCHDNGRRFKSLLGLPMSLRKTWLLMEIQTCEDCAVCCGCMTDLQNA >ORUFI11G04570.1 pep chromosome:OR_W1943:11:3389927:3423221:-1 gene:ORUFI11G04570 transcript:ORUFI11G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDGDMSSWTMDAIIDATELWSLDAYAASHTRIHSYPSVRGERKRRKHRVDDDDDDDETMWKVMMDTKSKALVSVLRDDDIFSWLLPYGCTYIPIKIPDHVVSCGDVTTMPPANIDKLPITTEISSNLSQFLALESSPKNLQVSRMASPEEILVALEEIPGLDCDDLLKAYGIICRDNGCLLRSLLGLPMSMRKKWLLIEIKAYQDCPLSFRCSPCGKDGPSMDLVADHDDHSVVLLEMRYDKEGYDEFGIDYFVYNYAAADDDGDDDDPPRPPSLSLLPSYWDPLDEDERRRRQDPKVHQLDADSTGLLRRRRRRHGEDDLVVAELITTRESESSKLEEVKLLVLRSGEWSVTRAEIIHDGSKGEELSYWETDMAVPVGDRRLCWVDLYRGVILCGDDLFDEIPPRLQYVPLPRRREHAEMPDGELKFIDVFPRCCCGDPGATLCDHSRHAFVINIWTLRIDGDGDHTMSSWTMDAMIDATELWSLDAYAGIPRVIPEYPVISTDDADVICFLVTEPYNHKQGKPYFERTTWEMTMDTKNKTLLSVCTYDDDISQREPSYGHTYIPNNFATKPPPAIICEATTTITTEINGNNLSQSLPSAKHLQVSRMAPEEILVALEEIPELSRDDLLKAYSILCRDNGRLFRSLLGLPMSLRKKWLLIEIKACRDCPPHATTLCNHSSNAFVINTWTLRMNDDDDGMEWTMDAIVDATKLWSLDTYASIPCITPEHLSKFYVNVTTWKIMMNTKDKTLLSVCRYDNDVYQQKPSYGHTYIPCKISTLYTNHSSNNGATKPPVSRIASPEEILVALEAIPDHACDDLLKAYSILCRDNGCLFRSLLGLPMGLRKKWLMIEIKAYQNIYSTCTSGGGGAYPRWVMLEQRAVHDDKEDDDGEDDSRCSAADVKINTEAACRSSDGHLVRVYFRRLVAPPAASRVCFRCSPPCGTSTGRERARCDKPFLCVVAAAGDSLLLQMTYNGQLDRFVYSAADSPTLTLLPTHARRQHWLDVKTTGLLRRRRSDGELVVAEFTVKKGDTDDTPEDAELVVLRSGEWTVTRAPIIHDDGKAEEVSRWRTDMVVPVGDTHLCWVDLCRGVILLSASDLFDESRPRRLKYTSLPVEAPAKKFDDDDGGEYAINPRGYPERNRSVCVTGGGAALKFIDVSPRCCCGSPGATTLCHNSSGAFVIKTWTLMMNDDDDTTSTTWAMDAMVDAAELWSLDAYAGPPRLRPVYPIVSMDNPHIICFMVCKEHWESCFHCERTIWKIIFDMKSKKLLSIRYYDESQCQTWGVHYGDDYLPSMISDYFNSNGKYTSHNATTSINDLIVTNYLPQSSHKGLKVVSSEVQVSDEEIFAALEEIPDLGCDDLLKAYSILSHDIGQHRVEKLVPSALHAQPTAATLPNNSGGPSPAGGGGYPQWVILAEHVPLEDEDEGGDDPNSCFTADAASKVTDTETEAASRSSAGNHVGVSFLLKAPPAVSRLRFRCVPSGSRGGDRRFPSMRVVAVHRDSLLLRMQYRKGRAYDDDIGVDYFLYNAGAAATATLQKEEDDDEEDKEDDGGEETYRGRGYRRWAAPKRWVRDLGVKTTGILHRRGDGDDGDLVVVAELIAKEEDGGTPEELLVLRSDGEWTLTRPPVVHDDGKAEEVSRWKSDLVVPIGDTMLCWVDLYRGIIVCDLFDEIPQLRYVSLPVDAPAAKFDNGRGDYSINPRMCPRQKRSFWVSDNGGELRFLDVSPRCCCGDLGATTCDNARNAFVISSWTLKMNEMRWVMDAMVDATELWSLDAYTGHGLPRVRPEYPLMIMDDPRLVFFVVQEEYQPEISFSDRGKWRVMFDMRSKKILSVSQYDESDTSWQPYSWLTYFPSKISNYFTSIGACSNVAKRPLIVTDKPAVSCIVSSNSLRSSSSRESSTKHSQMSKGVVASPEEILAALEEVPELDCDDMLRAYSILCDDIGRHRFRSLLGLPVSLRKKWLLIEIKSSEDCSICSICTANMQPEYLHVNHPCSAAADAKTEAATLSSGGHTVRVSLDLRAPPAASRVCYTCSVPVDPALGPPYMSVAAAHGDSLLVLVSFSYQEGYEHHTDTIDKYLYNAGAAAAADPPRPPSLVHLPAYYNGEETTLPSPLPHDLDEKTTGLLRRGEAEADLVVADLIVKDGGEADAPKEAELLVLRSGEWSVVRAPIVHDDGKAEELSFWETDMVVPVGDRRLCWVDLCRGIILCDMFDDGDETLRPRYFSLPIEPPADDRDRRRCQMSKRRVCVTNGGTVLKLVDVSPRCCCGRRGATQCDHSSGVFVINTWTLHMDGDDDDMAAYWTMDAMVDATELWSLDAYAGVPRINPQFPIVSMDDPDIICFQVPEEHKIGRKMQSWYIMLDTSSKTLMSVCRLDESSSLQLSYGYAYFVSTVSHCFNSSDDGGFNNDVTKPALMIIDDKVATKNNIIANDSLQSSCESSAKNSKVNSPKEILALLQEIPELSRDDLLRAYSLLCYDNNGRRLSSLLGLPMSLRKPWLLMEIKASEACSVCCACRADMQNVRNGDDSSPPLSTPDDKTETTSVSSGGHLVRVSLFLDAPPASSRVCFDCFPRIHRGASLIVVATHGDSVLVRLSYGGRGYGDVGVLDYFVYNAGAVAADPAATAAIAVAAPCLHSSHLDEKTTGLLRRGDDDMVVVDLAVLEGHGLEEEGDAELLVLRSGEWTVTRAPVAHFVGRADKPPSWITDMAIPVSERRMCWADLYRGIILCDDVFDQNPQLRFVPLPPEALTDETDDGYKRDYAITDRRVCATDGGAALKFIYIISRCCCGLPGTTFCDNSKEAFIIKTWILRMDDDDDDDMVWTMDAMVDATELWSLHACAGLPQAKPIFPVASMDDPHLICFMVQEREFEGRRRRYCHIKRTWMVIKISSYFTFDDPSYCSNAATSSEPHVVDHDTVIDESVRSSSESSAGGKHLIRVSGVASPDEIFAALE >ORUFI11G04570.2 pep chromosome:OR_W1943:11:3389927:3423221:-1 gene:ORUFI11G04570 transcript:ORUFI11G04570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDGDMSSWTMDAIIDATELWSLDAYAASHTRIHSYPSVRGERKRRKHRVDDDDDDDETMWKVMMDTKSKALVSVLRDDDIFSWLLPYGCTYIPIKIPDHVVSCGDVTTMPPANIDKLPITTEISSNLSQFLALESSPKNLQVSRMAPEEILVALEEIPELSRDDLLKAYSILCRDNGRLFRSLLGLPMSLRKKWLLIEIKACRDCPPHATTLCNHSSNAFVINTWTLRMNDDDDGMEWTMDAIVDATKLWSLDTYASIPCITPEHLSKFYVNVTTWKIMMNTKDKTLLSVCRYDNDVYQQKPSYGHTYIPCKISTLYTNHSSNNGATKPPVSRIASPEEILVALEAIPDHACDDLLKAYSILCRDNGCLFRSLLGLPMGLRKKWLMIEIKAYQNIYSTCTSGGGGAYPRWVMLEQRAVHDDKEDDDGEDDSRCSAADVKINTEAACRSSDGHLVRVYFRRLVAPPAASRVCFRCSPPCGTSTGRERARCDKPFLCVVAAAGDSLLLQMTYNGQLDRFVYSAADSPTLTLLPTHARRQHWLDVKTTGLLRRRRSDGELVVAEFTVKKGDTDDTPEDAELVVLRSGEWTVTRAPIIHDDGKAEEVSRWRTDMVVPVGDTHLCWVDLCRGVILLSASDLFDESRPRRLKYTSLPVEAPAKKFDDDDGGEYAINPRGYPERNRSVCVTGGGAALKFIDVSPRCCCGSPGATTLCHNSSGAFVIKTWTLMMNDDDDTTSTTWAMDAMVDAAELWSLDAYAGPPRLRPVYPIVSMDNPHIICFMVCKEHWESCFHCERTIWKIIFDMKSKKLLSIRYYDESQCQTWGVHYGDDYLPSMISDYFNSNGKYTSHNATTSINDLIVTNYLPQSSHKGLKVVSSEVQVSDEEIFAALEEIPDLGCDDLLKAYSILSHDIGQHRVEKLVPSALHAQPTAATLPNNSGGPSPAGGGGYPQWVILAEHVPLEDEDEGGDDPNSCFTADAASKVTDTETEAASRSSAGNHVGVSFLLKAPPAVSRLRFRCVPSGSRGGDRRFPSMRVVAVHRDSLLLRMQYRKGRAYDDDIGVDYFLYNAGAAATATLQKEEDDDEEDKEDDGGEETYRGRGYRRWAAPKRWVRDLGVKTTGILHRRGDGDDGDLVVVAELIAKEEDGGTPEELLVLRSDGEWTLTRPPVVHDDGKAEEVSRWKSDLVVPIGDTMLCWVDLYRGIIVCDLFDEIPQLRYVSLPVDAPAAKFDNGRGDYSINPRMCPRQKRSFWVSDNGGELRFLDVSPRCCCGDLGATTCDNARNAFVISSWTLKMNEMRWVMDAMVDATELWSLDAYTGHGLPRVRPEYPLMIMDDPRLVFFVVQEEYQPEISFSDRGKWRVMFDMRSKKILSVSQYDESDTSWQPYSWLTYFPSKISNYFTSIGACSNVAKRPLIVTDKPAVSCIVSSNSLRSSSSRESSTKHSQMSKGVVASPEEILAALEEVPELDCDDMLRAYSILCDDIGRHRFRSLLGLPVSLRKKWLLIEIKSSEDCSICSICTANMQPEYLHVNHPCSAAADAKTEAATLSSGGHTVRVSLDLRAPPAASRVCYTCSVPVDPALGPPYMSVAAAHGDSLLVLVSFSYQEGYEHHTDTIDKYLYNAGAAAAADPPRPPSLVHLPAYYNGEETTLPSPLPHDLDEKTTGLLRRGEAEADLVVADLIVKDGGEADAPKEAELLVLRSGEWSVVRAPIVHDDGKAEELSFWETDMVVPVGDRRLCWVDLCRGIILCDMFDDGDETLRPRYFSLPIEPPADDRDRRRCQMSKRRVCVTNGGTVLKLVDVSPRCCCGRRGATQCDHSSGVFVINTWTLHMDGDDDDMAAYWTMDAMVDATELWSLDAYAGVPRINPQFPIVSMDDPDIICFQVPEEHKIGRKMQSWYIMLDTSSKTLMSVCRLDESSSLQLSYGYAYFVSTVSHCFNSSDDGGFNNDVTKPALMIIDDKVATKNNIIANDSLQSSCESSAKNSKVNSPKEILALLQEIPELSRDDLLRAYSLLCYDNNGRRLSSLLGLPMSLRKPWLLMEIKASEACSVCCACRADMQNVRNGDDSSPPLSTPDDKTETTSVSSGGHLVRVSLFLDAPPASSRVCFDCFPRIHRGASLIVVATHGDSVLVRLSYGGRGYGDVGVLDYFVYNAGAVAADPAATAAIAVAAPCLHSSHLDEKTTGLLRRGDDDMVVVDLAVLEGHGLEEEGDAELLVLRSGEWTVTRAPVAHFVGRADKPPSWITDMAIPVSERRMCWADLYRGIILCDDVFDQNPQLRFVPLPPEALTDETDDGYKRDYAITDRRVCATDGGAALKFIYIISRCCCGLPGTTFCDNSKEAFIIKTWILRMDDDDDDDMVWTMDAMVDATELWSLHACAGLPQAKPIFPVASMDDPHLICFMVQEREFEGRRRRYCHIKRTWMVIKISSYFTFDDPSYCSNAATSSEPHVVDHDTVIDESVRSSSESSAGGKHLIRVSGVASPDEIFAALE >ORUFI11G04570.3 pep chromosome:OR_W1943:11:3423224:3425189:-1 gene:ORUFI11G04570 transcript:ORUFI11G04570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGWAMLNHYAELEVEGDGEDSTSNSGGAKITEAASRSSYGHLVRVSLRLEAPPAASQLSFHCSPCSKHRVHGPSINVVAAHGDSVLVEMHYEKGENDEHFDYFVYNAGAAAVADEDGLPRPPPSLSLLPTYWVPLSEVEKTAYRPHQSAKAHQLREGSTGLLVRRGGGGDGELVVAELLTKRRRRRDTLEGAELVVLRSGEWSATPISPIVHDDGKGEELSYWEADMAVPVGDRRLCYVDLYRGVILCDDVFDEQAPLRRRPRYVPLPVEAPAGAFDEEHDRRGIACSTPEPSAPSTAEPRSSSSTSSRDAAAAAAARRSATIPAAPSSSTPGQ >ORUFI11G04580.1 pep chromosome:OR_W1943:11:3426791:3432138:-1 gene:ORUFI11G04580 transcript:ORUFI11G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSSHVSIASEAEINLDLSRLLIDKPRLTLERKRSFDEQSWSELSHRQNDGFDSIMHSPAFPSGFDSPFSLGTLGDPHPLVNEAWEALRKSVVYFRGQPVGTIAAVDHASEEVLNYDQVFVRDFFPSALAFLMNNETDIVKNFLLKTLHLQSSEKMVDRFKLGAGAMPASFKVDRNRNRNTETLVADFGESAIGRVAPVDSGFWWIILLRAYTKYTADTSLAESPECQNCMRLILNLCLSEGFDTFPTLLCTDGCSMIDRRMGIYGYPIEIQALFYMALRCALQMLKPDGEGKDFIEKIGQRLHALTYHMRNYFWLDFPHLNNIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPCRGGYFLGNVSPAMMDFRWFALGNCIAIISSLATPEQSVAIMDLIEERWEELVGEMPLKICYPAIENHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQMAKRAIELAESRLLKDGWPEYYDGKLGRFIGKQARKFQTWSIAGYLVARMMLEDPSTLMMISMEEDRPVKPTMRRLTAGVGGGGGQRLPRVGDAHALRHGRTRGRRLGVLHLQLRWSQDHRGGLPLLVRPSRPRLPPPRVATGGVGAKLPLLTVRRLRIRSSSLPALDVRRRCPRRLRAPRDALPEGRVPTIRDRLLLLQCRRRRRGPTTAAAVVVALPTYQGTNTKPPRLRGGSTGLLVRRCGDDDDDELVVAALLTEEYRRRDTLEGAELVVLRSGEWSATPIAPIVHDDGKGEELSYWETDMAVPVGDRLLCYVDLYRGVILCGDDLFDEIPPRLQYVSLPVEAPAGAFDEEHFDRQGDNYRRCLLNTRTVVCAIDGGGAALKFVDISSRCCCGSLGKHTTCDRSSRAFVIRTWTLRIDGDGDDDMAWTMDAMIDAAELGPSTPTPPSHGAFHYTP >ORUFI11G04590.1 pep chromosome:OR_W1943:11:3438916:3444841:1 gene:ORUFI11G04590 transcript:ORUFI11G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGECSSSQPCASSSPDAAGGVWAKLVPSDSAFPEVELAEDDAVVCSRVTPDGGGEVVAWCEIRRGGGDGDASSATIRNLSSDAIIVDGRVIQQEAVDIKPGSEIVSGPQKDGHLLYTFDITGLNDQDKTNIKIVLDIENAKCSICLNLWHDVVTVAPCLHNFWTAVQSVGRNHFLHNIEEAILQAFSSLQRSDEEIALLESYASVKTNIAWHAFIPFPWSYAGAMILRSTMGINICQREVLGKQKIQSRKRRLPRSNDEANHTNHADFLCPQCGAEFGGFRCSPGAPHLPCNGCGGMMPARPDTSLGCDRAFCGAYWCSQGVNSSQHNPICDQETFKMISQRHISSVPDTVHGGNQYEKDITERCIQQSGKALQAIISEWIVKFDNKELDRSRLQLNHVDAITSRTYVCNQCYSKFIDFLLYWFRVSMPRNLLPPDAANRESCWYGFMCRTQHHRPDHAKKLNHVCRPTRGNP >ORUFI11G04590.2 pep chromosome:OR_W1943:11:3438916:3444841:1 gene:ORUFI11G04590 transcript:ORUFI11G04590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGECSSSQPCASSSPDAAGGVWAKLVPSDSAFPEVELAEDDAVVCSRVTPDGGGEVVAWCEIRRGGGDGDASSATIRNLSSDAIIVDGRVIQQEAVDIKPGSEIVSGPQKDGHLLYTFDITGLNDQDKTNIKIVLDIENAKCSICLNLWHDVVTVAPCLHNFWTAVQSVGRNHFLHNIEEAILQAFSSLQRSDEEIALLESYASVKTNIVLGKQKIQSRKRRLPRSNDEANHTNHADFLCPQCGAEFGGFRCSPGAPHLPCNGCGGMMPARPDTSLGCDRAFCGAYWCSQGVNSSQHNPICDQETFKMISQRHISSVPDTVHGGNQYEKDITERCIQQSGKALQAIISEWIVKFDNKELDRSRLQLNHVDAITSRTYVCNQCYSKFIDFLLYWFRVSMPRNLLPPDAANRESCWYGFMCRTQHHRPDHAKKLNHVCRPTRGNP >ORUFI11G04600.1 pep chromosome:OR_W1943:11:3448122:3450900:-1 gene:ORUFI11G04600 transcript:ORUFI11G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDLRTLESWAKEKPEIEQPALQGLKAEPAIVAATGTGTIPANFSSLAVSLRSAASHSSSPRAAPFHHLQQQQQHDVAAMLGFHHHHHQLLPPPPPHQHPEPTPQDPGAGEFMRKRYREADDLFKDTSRQDPVDGATGAEAEQKARAAAAAAAPPPTAPSAMWAVGPNTTGATAAFWMQPAWAFPHGAGAGAAGNTVQAPLQFMSRSSFPTAMNATMADNNNSSNNNLGMLAALNAGGGGRSGEHQHQHEGKSPAEMDHQRRANGGGGEAGGAASSQFRMIINQFAIVRGFTSPVIYLNHENPIKVAEN >ORUFI11G04610.1 pep chromosome:OR_W1943:11:3473241:3479630:-1 gene:ORUFI11G04610 transcript:ORUFI11G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVAQITADLRSSDALRQSSALLQALQQCAAGRDVSAVARTVATEILAAPSSAVCKRLALDLLRALPLPPDLLDPLLLSSLASDLSFPDPDVAASSIASFPSLPSHLLPSLLSSAHADIAAALSSPAESLRLAAVTSLSSLLPRDDLALMCSSNPSLMAHATTWWGRLAELALDSADAVAAAAFEALARLFQELDARRMSRLAGDKLVDGEGALAVRAQWAADAINFIWSRRNMLIARSMVMPVERFRVTVYPLVHAAKMVASGAVNTLRRIAKPGDTTIADSVESSAEKLVGVSDIVSHLLPFLSSLDPPLVFEVGINMLALADVPGGKPEWASAATTAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDVRAKDDLNSITSKSLFREELVASLVESCFQLSLPLPEQNNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCNGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIRDLREVHTPRISSRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFNTESSTTTSNRIQDMQSVLICAQRLGTRNARAGQLLSKELEEYRASTSADFVTKHQSRYVLQIIKYVTSHPDNRWVGVGDATGDYPFSHHKLTVQFSEASAAQDRKLEGLVHKAILELWRPSPTQLSLLQTKGIGALHKELPKAYTLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTEPELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFDGSVGSADYEGDYEESSQMTRQKRAFRAELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMICKFVVRASDESITREIESDLQGWMDDITDGAVEYMPEDEVKSAAAERLKISMERIALLKAAKPKVPPAKTKEEEEEEKKQNEDLDEFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKAMKAHIILLISLTGD >ORUFI11G04610.2 pep chromosome:OR_W1943:11:3473416:3479630:-1 gene:ORUFI11G04610 transcript:ORUFI11G04610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVAQITADLRSSDALRQSSALLQALQQCAAGRDVSAVARTVATEILAAPSSAVCKRLALDLLRALPLPPDLLDPLLLSSLASDLSFPDPDVAASSIASFPSLPSHLLPSLLSSAHADIAAALSSPAESLRLAAVTSLSSLLPRDDLALMCSSNPSLMAHATTWWGRLAELALDSADAVAAAAFEALARLFQELDARRMSRLAGDKLVDGEGALAVRAQWAADAINFIWSRRNMLIARSMVMPVERFRVTVYPLVHAAKMVASGAVNTLRRIAKPGDTTIADSVESSAEKLVGVSDIVSHLLPFLSSLDPPLVFEVGINMLALADVPGGKPEWASAATTAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDVRAKDDLNSITSKSLFREELVASLVESCFQLSLPLPEQNNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCNGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIRDLREVHTPRISSRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFNTESSTTTSNRIQDMQSVLICAQRLGTRNARAGQLLSKELEEYRASTSADFVTKHQSRYVLQIIKYVTSHPDNRWVGVGDATGDYPFSHHKLTVQFSEASAAQDRKLEGLVHKAILELWRPSPTQLSLLQTKGIGALHKELPKAYTLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTEPELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFDGSVGSADYEGDYEESSQMTRQKRAFRAELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMICKFVVRASDESITREIESDLQGWMDDITDGAVEYMPEDEVKSAAAERLKISMERIALLKAAKPKVPPAKTKEEEEEEKKQNEDLDEFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKAMKAQ >ORUFI11G04620.1 pep chromosome:OR_W1943:11:3479788:3485128:1 gene:ORUFI11G04620 transcript:ORUFI11G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLDRRCKHDRTVEDDPKADSACFSACARRLRRWLVSSNPLHRSITSIGSKVKMTVAYLKEMPSTPSIPSCTSGMRSVAARLLGAGTGTRPLSFVGSNGVGGRSASGSCHAGQSRALVNYDDDRGTYIIFNIADSLFIRDLNYRRPVKRICFSDMKPLCHAFDSEAKDGHDLIVGFLSGEVYSMSLRQQLKEPGPEPIALQHFFNTNRHVRCTGVAWVPGHEGFFVVSNADGNLFVYDKSKDVNTDWTFPTVEDQSEMKISYAKSSKSNPVARWHICQGAINAISFSPDGTYLATIGRDGYLRVFDFAKEQLIFGGKSYFGALLCCSWSTDGKYLLSGGEDDLVQVWSMHDRKMVAWGEGHKSWVSAVAFDSYWSPPKPYERKQNSMHRFASPKSDEAEEDPIYSFASPKSDETKENTNIMYRFASIGQDAQLLLWDLTKDELNVSLTHASSCSESSSSGSCSASSSSGSSSTEDRDKEFPLGFLHPSPRLQEVPKLSPEVAHLVGVEPLFTLEFTSESVITVCRRGRITTRPREEIDNETETDQQHPGSSKLVIGNGTGNYSNPSRGCSLVCSKTI >ORUFI11G04620.2 pep chromosome:OR_W1943:11:3479788:3485128:1 gene:ORUFI11G04620 transcript:ORUFI11G04620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLDRRCKHDRTVEDDPKADSACFSACARRLRRWLVSSNPLHRSITSIGSKVKMTVAYLKEMPSTPSIPSCTSGMRSVAARLLGAGTGTRPLSFVGSNGVGGRSASGSCHAGQSRALVNYDDDRGTYIIFNIADSLFIRDLNYRRPVKRICFSDMKPLCHAFDSEAKDGHDLIVGLFNVIEAAVEGTCRCTGVAWVPGHEGFFVVSNADGNLFVYDKSKDVNTDWTFPTVEDQSEMKISYAKSSKSNPVARWHICQGAINAISFSPDGTYLATIGRDGYLRVFDFAKEQLIFGGKSYFGALLCCSWSTDGKYLLSGGEDDLVQVWSMHDRKMVAWGEGHKSWVSAVAFDSYWSPPKPYERKQNSMHRFASPKSDEAEEDPIYSFASPKSDETKENTNIMYRFASIGQDAQLLLWDLTKDELNVSLTHASSCSESSSSGSCSASSSSGSSSTEDRDKEFPLGFLHPSPRLQEVPKLSPEVAHLVGVEPLFTLEFTSESVITVCRRGRITTRPREEIDNETETDQQHPGSSKLVIGNGTGNYSNPSRGCSLVCSKTI >ORUFI11G04630.1 pep chromosome:OR_W1943:11:3486745:3492722:1 gene:ORUFI11G04630 transcript:ORUFI11G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAGAQNRCVFGTGPLPPASLSPSSAWFDPELSSGEGAHVGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNADRNREKVEDPQFLTVEETHFSTSNSYVKMRACIALVPMNDNSSVFSNHHVSYHVYVCTAAWLYIHTPAISANLSREIIILGRGGPGMASSVDTQKQLAGTPVVGDTGLHQPVGLPSAIHAASVMAGILGGSQTANVQNGLPVQYGLGNDPLTHYLARMSKHQLYEIMSELKSLTSQNKDVANKLLQGIPQLSKALFQSQIMLGMVTPQMMQMAKSQQPSSSLAQLSSHISEPFPQPDAMISSVPRPSASLPNPNVLQDPSAQLHNFPQYPHSSQPAGTIFPHGSQSGVGIHPPIVSQPLGASSSVPPLPLATSGSLISQVQPPFMPHHPRPPAMPAGMQQLPLTHPHVPQVPAIPDIAQKEMRFPEQANRSTEFAHHPKLRKLEDGTSTPGIVNNNPAVYPAPSQGMLPGGPSGSYNSAAVSFQQPENEVPQLTPDVESALLQQVLQLTPEQLSSLPVEQQQQVIQLQKMLSAGK >ORUFI11G04630.2 pep chromosome:OR_W1943:11:3486533:3492722:1 gene:ORUFI11G04630 transcript:ORUFI11G04630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAGAQNRCVFVGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNADRNREKVEDPQFLTVEETHFSTSNSYVKMRACIALVPMNDNSSVFSNHHVSYVSLLFAACVCMYSCLAGRGGPGMASSVDTQKQLAGTPVVGDTGLHQPVGLPSAIHAASVMAGILGGSQTANVQNGLPVQYGLGNDPLTHYLARMSKHQLYEIMSELKSLTSQNKDVANKLLQGIPQLSKALFQSQIMLGMVTPQMMQMAKSQQPSSSLAQLSSHISEPFPQPDAMISSVPRPSASLPNPNVLQDPSAQLHNFPQYPHSSQPAGTIFPHGSQSGVGIHPPIVSQPLGASSSVPPLPLATSGSLISQVQPPFMPHHPRPPAMPAGMQQLPLTHPHVPQVPAIPDIAQKEMRFPEQANRSTEFAHHPKLRKLEDGTSTPGIVNNNPAVYPAPSQGMLPGGPSGSYNSAAVSFQQPENEVPQLTPDVESALLQQVLQLTPEQLSSLPVEQQQQVIQLQKMLSAGK >ORUFI11G04630.3 pep chromosome:OR_W1943:11:3486533:3492722:1 gene:ORUFI11G04630 transcript:ORUFI11G04630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAGAQNRCVFVGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNADRNREKGRGGPGMASSVDTQKQLAGTPVVGDTGLHQPVGLPSAIHAASVMAGILGGSQTANVQNGLPVQYGLGNDPLTHYLARMSKHQLYEIMSELKSLTSQNKDVANKLLQGIPQLSKALFQSQIMLGMVTPQMMQMAKSQQPSSSLAQLSSHISEPFPQPDAMISSVPRPSASLPNPNVLQDPSAQLHNFPQYPHSSQPAGTIFPHGSQSGVGIHPPIVSQPLGASSSVPPLPLATSGSLISQVQPPFMPHHPRPPAMPAGMQQLPLTHPHVPQVPAIPDIAQKEMRFPEQANRSTEFAHHPKLRKLEDGTSTPGIVNNNPAVYPAPSQGMLPGGPSGSYNSAAVSFQQPENEVPQLTPDVESALLQQVLQLTPEQLSSLPVEQQQQVIQLQKMLSAGK >ORUFI11G04630.4 pep chromosome:OR_W1943:11:3486745:3492722:1 gene:ORUFI11G04630 transcript:ORUFI11G04630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAGAQNRCVFGTGPLPPASLSPSSAWFDPELSSGEGAHVGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNADRNREKGRGGPGMASSVDTQKQLAGTPVVGDTGLHQPVGLPSAIHAASVMAGILGGSQTANVQNGLPVQYGLGNDPLTHYLARMSKHQLYEIMSELKSLTSQNKDVANKLLQGIPQLSKALFQSQIMLGMVTPQMMQMAKSQQPSSSLAQLSSHISEPFPQPDAMISSVPRPSASLPNPNVLQDPSAQLHNFPQYPHSSQPAGTIFPHGSQSGVGIHPPIVSQPLGASSSVPPLPLATSGSLISQVQPPFMPHHPRPPAMPAGMQQLPLTHPHVPQVPAIPDIAQKEMRFPEQANRSTEFAHHPKLRKLEDGTSTPGIVNNNPAVYPAPSQGMLPGGPSGSYNSAAVSFQQPENEVPQLTPDVESALLQQVLQLTPEQLSSLPVEQQQQVIQLQKMLSAGK >ORUFI11G04640.1 pep chromosome:OR_W1943:11:3492370:3498975:-1 gene:ORUFI11G04640 transcript:ORUFI11G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVENTSKRKAPESEHAAAVSGEQPPPPPPPDAAAKRRNLSRSCIHEVAVPKGYAAAKDEAVHGTLSSPAFHGEMAKAYPFQLDPFQSVSIACLERNESVLVSAHTSAGKTAIAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLQPNATCLVMTTEILRAMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDEDGQFREDNFLKLQDTFTKQSNQVDGRKGGGPKASGRIAKGGSASGNSDIYRIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTDEEKDNIEQVFSSAILCLSEEDRGLPAIELMLPLLKRGIAVHHSGLLPLIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDTNRYIASGEYIQMSGRAGRRGKDIRGICVIMIDEKMEMSVIKDMVLGKPAPLVSTFRLSYYTILNLMSHVEGQFTAEHVIRNSFHQFQYEKALPEVVQKITSLENEATLLDSSGETDLAEYHKLGLDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPPQSGTLPPALSASRGNNYIVDTLLHCSSSSNENGSRSKPLPPRPGEKGEMHVVPVPLPLLSGLSSVRINIPPDLRPSEARQNILFAVQELGKRYPQGLPKLDPIKDMGLQEPELVELVHKLDDLEQKLRSHPLHKSDQSEQQLSWYQRKAELNHEIQMLKSKMRDSQLQKFRDELRNRSRVLKMLGHIDADGVLQLKGRAACLIDTGDELLITELMFNGTFNDLDHHQVASLASCFIPCEKSSEQIRLRSELSTPMMQLQEAARKIAEVQKECKLEVNVEEYVESTCRPYLMDVIYCWSKGATFGEVIEMTDIFEGSIIRLARRLDEFLNQLKAAAQAVGEVNLENKFGAASDSLRRGIMFANSLYL >ORUFI11G04650.1 pep chromosome:OR_W1943:11:3499489:3504328:1 gene:ORUFI11G04650 transcript:ORUFI11G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDGGGLKGARGRQGRAAVAVAGRWRRVAVILLALAYAASMLVVFLGGGAGGVAVAVAGAGALRQRGAPAPAGSVYRSHLVFDRLLPEMRASSASRPHPLMTPQNKKSGKRWAPCITKKLRRSELPPSNGFLIIEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSSKFGDIFDEDHFIGSLSKYIRVVKELPEDAFVNFDHNISMIPNMRTKAFSSESYYLQKVLPKLLELGAVRIAPFSNRLAHSVPPNIQALRCFANYEALRFSEPIRMLGTNMVDRMIKMSSLTGGKYISVHLRFEEDMLAFSCCIYDGGWRESIEMENARERSWRGKFHRPGRVINPEANRRNGKCPLTPLEVGMMLQGMGFDNTTSLYVASGKIYNAEKYMTPLRQLFPLLQTKDTLASPEELAQFKGHSSRLAALDYTVCLQSEEFVTTQGSNFPHFLMGHRRYLYGGNAKTIKPDKRKLVALFDNPNIRWDRFKRQMQDIHRHSESKSFGVRKPNGSISIYTLPMPDCMCQRAEP >ORUFI11G04650.2 pep chromosome:OR_W1943:11:3499489:3504328:1 gene:ORUFI11G04650 transcript:ORUFI11G04650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDGGGLKGARGRQGRAAVAVAGRWRRVAVILLALAYAASMLVVFLGGGAGGVAVAVAGAGALRQRGAPAPAGSVYRSHLVFDRLLPEMRASSASRPHPLMTPQNKKSGKRWAPCITKKLRRSELPPSNGFLIIEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSSKFGDIFDEDHFIGSLSKYIRVVKELPEDAFVNFDHNISMIPNMRTKAFSSESYYLQKALRCFANYEALRFSEPIRMLGTNMVDRMIKMSSLTGGKYISVHLRFEEDMLAFSCCIYDGGWRESIEMENARERSWRGKFHRPGRVINPEANRRNGKCPLTPLEVGMMLQGMGFDNTTSLYVASGKIYNAEKYMTPLRQLFPLLQTKDTLASPEELAQFKGHSSRLAALDYTVCLQSEEFVTTQGSNFPHFLMGHRRYLYGGNAKTIKPDKRKLVALFDNPNIRWDRFKRQMQDIHRHSESKSFGVRKPNGSISIYTLPMPDCMCQRAEP >ORUFI11G04660.1 pep chromosome:OR_W1943:11:3504616:3509470:1 gene:ORUFI11G04660 transcript:ORUFI11G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMEAAAAGDGEVKPAADSAALPCTTMAAMSVLSLLFVAAVTYVRISPPLVCVYLFLATAAVWRDTATPSLLARVSAFFLLADADSLVGPLAGAAPMLAATAYSAAAVGYAVAERRHHQASEASDAAAAAESPPAYESQAERRHRETCKKFIALIVFFVEAMPAAITYLAWSLQPNENDAPPPQPTGDDEPSPKSVAVCVAATLSGPYLGVWALFVRSILLRGSFVAGDAMCIAAVCVGMSWLVVPVVAGIVLRQINAVLYGHCLYGIAMAGFLGYTLAVNKHYQPPGAHAHHKASTSLGRQLTLPACWSAGKLAMLALLRSTKVPASDSPIRMVASAFHAIAHLNFPAAVWRLSPVICGYLFLMTAAVWRKSATPTRLVGVTASLLLADAADSLVAPLRLPARLAMFAATIYSAAVLGHAVAELRHHAACRRPPSDAAAAADATPVYETKAERGHREHGKEGILVAVVLVNVAVAASLILTSWMVGKEAPAPPAEGGGGGGGMPTSPAADALCMAASVSGPYLAGWTLFVTSTLMRGSFISGDTMWIVMACLGASWLVVPAIAGGALHLFVAFIYGHWLFGIAMAGFLGYTIAVNDHYQELMRIIRSQPRTASDASGLLVGREACDSCSAEINESASVRLSHQHGCFSVSGHCPSCHCSRN >ORUFI11G04670.1 pep chromosome:OR_W1943:11:3510198:3511929:1 gene:ORUFI11G04670 transcript:ORUFI11G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILSLLFVAAAASLLPRGGGGFEKEEDYFAAAVWRQSPLIGGYLLAWTAAVSWTTVARAAVLLRVAFLLLLADATGAPGMFLGTVYTAAVLGYAVAERRRRHHSTIAEATPAYESEAERAHRESSKKCLLSLIVAGTAAFAGIITLMMLPEFPPPVALVVFDAAVFSGPYFLCLVSFVNGTLLRGELVADGAKSAMAVTGLGMWWVIFPVIAGGVLHSLVVALFVYWLTGLAMAGVLGYTLAVYDHYNELMCTIRSQPRTAADASGLLVGRETWDACPAQIDENASVRLSHQEGCFSVSGHCPSCRCSRGHGPLIRF >ORUFI11G04680.1 pep chromosome:OR_W1943:11:3513067:3513566:-1 gene:ORUFI11G04680 transcript:ORUFI11G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLPIAPCEEATHHARPPPPPKFTAPGGGGPASSPSSGGEGLCQIWPSLSAGGVGGVPDLAIPECRWGGGVPELASPSTGGKRVGWRGGAAAPYLAAPEQQLPVAFPYCCRRWRRWRRRGERGGEGRRKEEEEERKRENERWEKALWERNERR >ORUFI11G04690.1 pep chromosome:OR_W1943:11:3514849:3515322:1 gene:ORUFI11G04690 transcript:ORUFI11G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAQRRREGKGKLRGGAALRRHVRPVSAIRLGHRVRPVPRRWRRRRSPRGNAEAVASDLRLPLPCTAAVSRDKAATLSVLLRVSSLLLLAVVTAAAHSHLANEATTMFLATIYSASALDYVIYGRRHHRAGAAAAAEATPAYESQAYESLIQNKS >ORUFI11G04700.1 pep chromosome:OR_W1943:11:3516378:3516980:1 gene:ORUFI11G04700 transcript:ORUFI11G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQTPTPPRKMDSAAYDAVAANSDDELDDDRRAAAAAATTDAGGAVQGEQAGHGAGEEEVGGGDDCGTTCGLSSLSVLCVALGVWALCRAGGEPAAVVWALSSATCGALAGWVGVLAGIGALAGIFRVTYVALVAFTVAKHLSAAAGMAVIFFDAVATAAFFGYYFAARDIISCPAKSPPSTSPESASNSSPVQGDDLV >ORUFI11G04710.1 pep chromosome:OR_W1943:11:3527228:3528519:-1 gene:ORUFI11G04710 transcript:ORUFI11G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNGQDLHGGGRGGVVVLPPPPSSPATPHSPSPPPPPSKMDCFLSSVCTPLNLQFIDVAYRVKVSTTAAAAKGAPPGRISHTGAGGGVWDTVVEARVDGIVILNVNRDFSLKPPIGDTGKDTRAGP >ORUFI11G04710.2 pep chromosome:OR_W1943:11:3527445:3528519:-1 gene:ORUFI11G04710 transcript:ORUFI11G04710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNGQDLHGGGRGGVVVLPPPPSSPATPHSPSPPPPPSKMDCFLSSVCTPLNLQFIDVAYRVKVSTTAAAAKGAPPGRISHTGAGGGVWDTVVEARVDGMLMSQSCCSN >ORUFI11G04720.1 pep chromosome:OR_W1943:11:3542797:3543213:-1 gene:ORUFI11G04720 transcript:ORUFI11G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPTCQTCVRNYLGSEEDPALSENPNQLAEQVTNQVAKTGNTVPGPNKEVATRNQHSSMDIYQILANQTQMLQEIAQNLASIQQQLLLPQPKVNPDKSKNEAAQIQGTQSVEGTDTHKEKNKEFLNVMITGITILA >ORUFI11G04730.1 pep chromosome:OR_W1943:11:3562277:3562678:-1 gene:ORUFI11G04730 transcript:ORUFI11G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGSGGSTARFESGSSHANRGKPSGAAAQESSGATVATIATTMSQADLPMSSDGSGRSVTYGLKSDGSADRCLGRDGAAIGDFQRWRAADPAVVEPRRIQDRSWILPRLCNVGYYNDHDLRATSYDNGGIM >ORUFI11G04740.1 pep chromosome:OR_W1943:11:3588364:3588894:-1 gene:ORUFI11G04740 transcript:ORUFI11G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAFLVLAVLLCAAAAVARAADDGAAGMTKFKVYFHDVVGGTKPTAIRVAQAASTNGSSTFFGAVVAIDDPLTTDAASSSSEVGRAQGSYTFADQKTFGLLMNMNFVFTAGDHKGSTLAIVGRNEVLSAVREMSIVGGSGKFRMARGYVEARTVDSGANSGETIVEYTVFVKA >ORUFI11G04750.1 pep chromosome:OR_W1943:11:3591541:3592068:-1 gene:ORUFI11G04750 transcript:ORUFI11G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAALQLLLLLCVAAVAWAMDDGGAGMTKIKVYWHDVVAGPNPTAIRVAQAASTNASSTYFGAVVAIDDPLTSSPAAAAAGEVVGRAQGTYTFADQRVIGLLMDMNFVFTAGDHNGSSLAIMGRNEVMSPVREMSVVGGSGKFRMARGYAEARTVDSGFKSGETIVEYTLFVKA >ORUFI11G04760.1 pep chromosome:OR_W1943:11:3597719:3598252:-1 gene:ORUFI11G04760 transcript:ORUFI11G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSFVFAAALLVLAAATAAQAQRETKLRVFWHDVVSGGPNSTVAQVAEAPTTNASATGFGAVVVIDDPLTDGPNLTASRLVGRAQGMYVAAGKDALSLMMAMNFVFAGDGPYNGSSLAILGANPAERAVREMPVVGGTGVFRFARGYCQATTWWFNATTGDATVEYNIHLRLD >ORUFI11G04770.1 pep chromosome:OR_W1943:11:3601428:3605834:-1 gene:ORUFI11G04770 transcript:ORUFI11G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRVYWHDVVTGPNSTVAKVAEAPTSRASATVFGTVYVIDDPLTDGPSLTAPSRLVGHAQGMYVSAGKETMSLLMAMSFVFAADEPYNGSSVAIFGPNPARPVREIPVVGGTGAFRFARGYCRATTYWYNAAGDATVPKRSARSLQEDEQTSWAAEAPAAVREDEERVAVAGMEAWRFGFSRFSWFPAFKFDPTDADIVASYLLPRALYGRGHAAVIQDDVSRCEPWTLMREHGHATSAHAFFVHDHESVGGGGGGGRRKVQRAVKNGGGVWRIQKGEVAILTIVRGGGGGGGELDVVYKRRNLSFHRRGESSSSGWVMHEYEITSPPLPATVLSRIRATPRAKDKKLCIKEEPSCSTSAAGERSGPNPDHTAAGAGDSATANHNNTTSAATTTMAAAV >ORUFI11G04780.1 pep chromosome:OR_W1943:11:3622946:3623338:-1 gene:ORUFI11G04780 transcript:ORUFI11G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHPPLLSIFLSLLPPLLFLVFLEAGDDIVHAWVAAELSAYGEAVWVLDAKQEVLSLLAPVTPCAWCDVIGSEEPRSSITRSTVVKGGEEPRRMGGARALRLWRGRTGRSRRQTRGVELVGASHAICAA >ORUFI11G04790.1 pep chromosome:OR_W1943:11:3625306:3625494:-1 gene:ORUFI11G04790 transcript:ORUFI11G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMMADMASLAPLAPSDEGKAGEGAIGEGGNIIVEIRRDLKHCVKENDREDDREDDKVEEL >ORUFI11G04800.1 pep chromosome:OR_W1943:11:3649255:3649650:1 gene:ORUFI11G04800 transcript:ORUFI11G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIEGGRRSRRRERGRAAEVDSEPTREATEREKSLPRSVTRRRRRPHLLPARRGAPPGVVTAWAMPPPLLYTAIVVAVAAATADVVWASRAAGAVPRCSLVLGGVRLVGRDGDGLTEEREVEQWLRLRQL >ORUFI11G04810.1 pep chromosome:OR_W1943:11:3654654:3655211:1 gene:ORUFI11G04810 transcript:ORUFI11G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGVVVITLVVTLAAVARAARPEETRPGLARRGSASSPTTHLHFYFHDKVSKPSPTAVRVVDPVDPSSRSFFGMINVMDDPLTEGPEPESKPMGRAQGLYMGSDQAKLGFLQAMNLVFTDGTYNGSVVTVLGRNCPFDDVREMPVIGGTGAFRFARGYAQARTHTLDLKTGDAIVEYNVYVMH >ORUFI11G04820.1 pep chromosome:OR_W1943:11:3656411:3659566:-1 gene:ORUFI11G04820 transcript:ORUFI11G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFCSVVGIVCGSPSSSGDEAFASHGEQQQATTTTTAQSSGKSSSSRRKTAPEASGEHKAGGEAPPPASKKTALLDKGKEKVSEMDTSVRRTSKGISGNPSEDSNEPVAKSPTLKTVIGSIRNYIATKKGRKIKILAFEVANTIAMGSNLMNFLSEENIRYLKRVVLQNQGVQSLISDDQSQLLALVGDEIRQQFKDFAASVARLGNMCRDPKWHNLEGHFSGLEYGPITQEYSHEKAASKMEDLMELVTKTKILFEALRRLGVSEKMYREAKQTGMPLETFQNAVNIEKEIVQSAKKKALWVKKIEKIVEELVYIVHYLPSEINCVFYKEHEEDRSVKANGSPQQTLGSADLQLNYARIVIAIQVLVSVASSVPQCAVDSLFHALPYRIRSVLLPRMRHGDFDDQRTETQIADEMTRRLEWLYPMAEFTIRLSQHTGMIRECLVSGSLSDRDQRKMLKVQTLYHADKMKTDGCIIDMVMDLHLLIKAARLRADAPHHSGPLDQPVSTSGSSTSSASTGISGSTSFGNISTTWSDIDEDFIAVID >ORUFI11G04830.1 pep chromosome:OR_W1943:11:3664551:3672150:-1 gene:ORUFI11G04830 transcript:ORUFI11G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATGTDRTSSHLTRLKKKKEKATKKKGNGKAANNTGSPHRHGELAAGRPRPRRRRRRRPPRGSRLPLPSSGSPPPAPFDPFVLFADPAPRPALTREQVKYCKEALKVLKKKLKQTAAIDISKEFHALPDIQTAFQTGKFTAAQNPANREKNRYSDVMPFDETRVRLKPSASDHPSSNEYINASLIETDDQGQSHTKFISTQGPLVKTFGDFWQMVYENQCPVIVMVTKFDGAKCDRYLPTNEGEERDYGKFSVKITKFKCDGVLELRGLEVQQNELRDFPRLGPAWKSGFWPNHAIGQYTVKFAHDLCSMHSKYSVYDYDLFAWFPNSILQGIGRTGAYITIHNTIERILLGDMSALDLSKTVKKFRSQRPGMVQTEEQSVPWVSLTDCRPSTPSIL >ORUFI11G04840.1 pep chromosome:OR_W1943:11:3679704:3692656:1 gene:ORUFI11G04840 transcript:ORUFI11G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTVTRTSRSLVAPSSPTPAETLPLSVLDRVAGRHLVQSLHVFEAGGGGGEPARVVREALGKALVEYHPFAGRFVEVVGVNGGGEVAVVGVGRWLAGCNDVDFDWEAAVQEIDRRCALASSSAASESAPPPEPSAAAGTRQLTLDRFVDSFTRRRQMAAMERGPPVPASPPAAAPILPSGARAPPVPGSPPAAAPVPPSGGWGRPSDRAGEGCSRRADEDVVPKPCGVALDHEAARTWIYPTNVQVREYQKKFVEKALFTNTLVALPTGLGKTFIAAVVMYNYFRWFPEGKIVFTAPTRPLVTQQIEACHNTVGIPQEWTIDLKGNLSPSKRSCFWKSKRVFFVTPQVLQNDIQSGICMVNQLVCLVIDEAHRASRNYAYCVVVRELEAARVPLRILALTATPGSKQPAIQNVINNLRISELVHCDESDPEVSRYIQRRTVEPLEVCMDSDKFIPVGDEAEQVNDKLLDVIRPHLVKLRSARVIDHRDASNWSPHQLRMLKDKFDQAPPPNIPLADKKEIGISFQALTLLYGIMKMLLSYGIKAAHQSIEAKYKEGSWKVLTRNNTFLEVKKTMENFLSQGILSPKVRTLVEVLLDHFRKNPKDSRVIIFAHYRECVKEILCSLRNIDGELVRPAAFIGQSSTGDQLKGQTQKMQQAILHKFRSGEYNILVATSIGEEGLDIMEVDLVVCFDANISALRMIQRMGRTGRKNEGRVDILFLVPHVYNPEVKFVELSIEKYIPCSKKSKVDVNVASPIFNKMSEDDGRLIARYFGACKEDFWKPSLVTFPSFQVSPCDIYRVPHSFRTTNMLIDAMQQLQDLSFSRTKCASPLEGPADVPVVMDEAPEGLFGADGSKEVIPQEYCGLEVLSGEAAWSKNVLVPSSPIKKYPVHSFFSGDYVAMDVSGYVSITFVPALPRTSEFHKDARNVNWHQKVQNKTTSVKLAADISRPTIEFDCLAGFAYSSKPILTDEFGLVPHSPEYTERYGRTDDRHVHGTPPPKTLVSPKEICHKPCNSKPVSPGLSGQEDMELSPRLTYYIEEGIVPESPMLEVGHKHLETDSAANADFVQQKVDFSKSHSEGAKANELKSRNGPLNFEGKGQFFSEISKLAVSPGENALDQTQANKEERMHPSNVKIHSPAAHTPMANLLCDSFSDDWQLRSGGDTPGSVREAPKYKRLCKYADKIKRVSSMSLDDRYDIAAGGNHNFATKRNKRRAKMCLDTFIDDEAEVSEDADVSADEGNDHSEDNYEDSFINDQATPTGQFTQSVHRGENSGDMMAFYRRSLLTQTPIVLPSRYQDVPDNSASRSGSASASCSSENLHNSMETPQGIHQPHHTIGPSPLGDQQSFVARASSIKEQGETSLAHCESSTTLDCRKRKLSFQQAASIPVINLEPELAPAPAPQPSSHITTGVNNNFVWDDDDFFESLDLDAIEAQATELWRLKKEQSTQRSFGN >ORUFI11G04850.1 pep chromosome:OR_W1943:11:3703036:3703357:-1 gene:ORUFI11G04850 transcript:ORUFI11G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTVGGQTVYGAVDVDLVYSWGIPRKGREAKRRKGKRRDAAGSRQQAAAAAMVV >ORUFI11G04860.1 pep chromosome:OR_W1943:11:3706853:3709131:1 gene:ORUFI11G04860 transcript:ORUFI11G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAYIKANGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHELLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGVDPQTHRPLNAAADHHHQQQLQAPRRFAAAPAGHHHHHPDHFAVLSNSPEACSHSSDDEPSSATPPPPPRHLGIDLNLSISLAPYQPQDQTSEPMKQEEDDEASATANGAGNAAMTTTATTAAVCLCLNRLGLHGGEVCSCGRGGAPSMQASTHMFRFITPLGGSHHNSSSTTMT >ORUFI11G04870.1 pep chromosome:OR_W1943:11:3709017:3721649:-1 gene:ORUFI11G04870 transcript:ORUFI11G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAATAGATRATGGFLGTSRRRPQSSRGDSAPGLRFWFAIGGVVDSRRVSSGIYISTAQMKHQCCDLNDGLEFRPKAHDWPCYYDLHPPKD >ORUFI11G04880.1 pep chromosome:OR_W1943:11:3724433:3730957:1 gene:ORUFI11G04880 transcript:ORUFI11G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRGGHHLHLLLAAAVVLLLTVAGLPLASASETDHKYKAEETVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPAHKWGGLGEVLGGNELIDSQVDIKFLKNVEKGPICTIELDDNKIQQFTDAIERSYWFELFIGFVGETDKNNENKHYLYTHKNIVVKYNGNRIIHVNLTQESPKLLEAGKKLDMTYSVKWVQTNVAFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRPPRSLVFLSAFVGIGTQLAALILLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKSMILTASLFPFLCFSIGLVLNTIAIFYRSLAAIPFGTMVVIFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGTVET >ORUFI11G04890.1 pep chromosome:OR_W1943:11:3733887:3738475:1 gene:ORUFI11G04890 transcript:ORUFI11G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEGRSLAETPTWSVATVTTLMVAACFLVERGISRFAKWLRKTKRKAMLAALEKIREELMLLGVISLLLSQTARWISEICVPSSLFTSRFYICSETDYEDLVVGGKRSTMEMNQTVVPNGLFGIQSQNVCSEGHEPFVSYEGLEQLHRFLFILGITHVLYTFVTVVLSMIKIYSWRKFETQACQLPAEQLQARRTKVMQRQSTFVFHHTSHPWSKNKILIWMYHKLPHSYNFHKYMVRSMEDDYNGSVGISWPLWAYAIICIFVNIHGLNIYFWISFAPAILVLLVGTELQHVIAQLALEVVGATAPYVGTQLKLRDDLFWFGKPRVLWWLIQFISFQNAFEMATFVWSLLELSVQSCFMKNHYMIVLRLTSGILVQFWCSYNTLPLNVIITQMGSKFKKSLVSESVRESLHSWCKRVKDKNRHNLASRSVCSLDTTYEETDHETATVGTLSRTVSATSLDEELTVATVEDNDDDEEMSRIEQEIDRSL >ORUFI11G04900.1 pep chromosome:OR_W1943:11:3738960:3741445:1 gene:ORUFI11G04900 transcript:ORUFI11G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAAAAAVAWAPSPSPSTSTSSPPPFKVGIASPCGTAAHASSAPRLVAAATHRGRRRQQQVVKAIANPDPAVELPLTAENVEIVLDEVRPYLMADGGNVALHEIDGNVVRLKLQGACGSCPASVTTMKMGIERRLMEKIPEIVAVEPIADEETGLELNQENIEKVLDEIRPYLSGTGGGELEFVAIEEPIVKVRLTGPAAGVMTVRVALTQKLREKIPKIAAVQLLS >ORUFI11G04910.1 pep chromosome:OR_W1943:11:3742355:3748985:1 gene:ORUFI11G04910 transcript:ORUFI11G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSWGWLCGRRNGPSGFGGASTADEVTAGVDASRLTVVVTGATNGIGKETARVLALRGAEVILPARTLESGMKVKQSLAEEIPSSKLHVMEMDLSSLDSVRSFAKSFNSSYRHLNVLINNAGVMSCPFGLSKDGIELQFATNHVGHFLLTNLLLDKMKATAKETGLQGRIINVSSISHRGSDGSCFDLDKLNDKSKYRPFKAYGHSKLANILHANELSRRFQEEGCNLTANSLHPGVIATNLPRHILTNSLIISIFSVMKPFLKSIPQGAATNCYLALHPGLKDVSGKYFADCNEATPTAVARDAELAKKLWEFSEELTSGDQKLKEK >ORUFI11G04910.2 pep chromosome:OR_W1943:11:3742355:3748985:1 gene:ORUFI11G04910 transcript:ORUFI11G04910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEWPWDRRRRGPSGLGPTSTAEEVTAGVDATHLTAIVTGATNGIGRETARVLARRGAEVIIPARTMESGNAVKQSIAEEVPGSRLHVMEMDLASLDSVRRFATAFDSSHTHLNILINNAGIMGCPFKLSKDGIELQFATNHVGHFLLTNLLLDKMKSTARKTGVQGRIVNVSSIAHKRSDGSCFDLNKLNDKSRYKPLIAYAHSKLANILHANELAKRFQEEGCNLTANSLHPGVILTNITRYVVTNSVMVSILSVGNLFLKNTQQGAATTCYLALHPELKDVSGKYFADCKEATPRPAARDAELAKRLWDFSEQLVDTNRRGEFNRQK >ORUFI11G04920.1 pep chromosome:OR_W1943:11:3750462:3756701:1 gene:ORUFI11G04920 transcript:ORUFI11G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALQPPPASSPGRERERGDAAASSAGGRRRSRSPPWSPRARSPSLSRSPPSSSSSSSEDERENCETQTETAWMELGFPNSGPRGVTEITYLLRSHELKISELESKEQIYCNSLVQLEERIASLEYYKEKLLTRLSWTEEANSTLRSDNSKLKNLAYSLKERVDELEKDNFMLGLKMNEFAQEMERFQMQVGSTNTQMQDNLDDMAKAFESKERHVTFTQQKLADSINHHEERIATLEQDISQCTQHVQSLQVKVKSNTSQLETNNNDHHSLENRIQVLESTERQNASRLVKMEESIIHQHERTIGVEQDLSANITQHGQQIQTLQNKVKSNTSQLQTNNNEAHLLENRIQVLESAERQNASRLVKMEDSIIHQHERIIGVEQDMSANITQHGQQVQTLQNKENDVLMKSFQSLLTCMVPFIVAFLLSMLSSQSDFGEYEKDLVIQVSLVCGLPGVMAMVFAHLASGPFWTSTVASLTLYFVIYSITLVYYAFIRLLPWSPWTRLQFYATVILLAIFLIVVLTMLIVWGSFVKKPKGLNDRCLLQEVNK >ORUFI11G04930.1 pep chromosome:OR_W1943:11:3773852:3774640:-1 gene:ORUFI11G04930 transcript:ORUFI11G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIVLSSFAFELKDQERNQEEIERIEAVISVEMAAAAMDDSFRRAGAIPFKWEICPGTPKHARSASASAASAAVVSPVPPALAKVATRQQLALPPCMTSPRASPSPYYHSPRLSSAAACRSAASASPCRSRYAGGGSAYRPRPTAFLDLAPRATTAPDLYGAAHEADHDEPAAAPAYGCFLLPLLRRKGSSKKRGGGGYSSGSGGSSSSGSFRSDGEPGGGLRRSASSSFSFARGGGNRIRLAAGARQQEEVEAASGSWFF >ORUFI11G04940.1 pep chromosome:OR_W1943:11:3779879:3781769:-1 gene:ORUFI11G04940 transcript:ORUFI11G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGTQEMECCFVVPSEKTPKHVLWLSPLDIVLANRGALTPLVHFYRRRHDAAGGGGGFFDVGRLKEALAKALVAFYPLAGRFRVGGDGRPEIDCNADGVFFAVARSELAVDDILTDLKPSPELKRLFIPRTEPPSAVLAVQVTFLRWGGIVLGTAMHHAAVDGHSMFHFLQTWAAFCRDGDAAVVELPCHDRALLRARPRLAIHPDASSVFCPKLNLRPPSASGSGLISAKIFSISNDQIATLKRICGGGASTFSAVTALVWQCACVARRLPLCSQTLVRFPVNIRRRMRPPLPDRYFGNALVEVFAAAAVEDIVSGTLAAIAARIKGVIGRLNDDEMLRSAIDYNEMAGMPDRPDNGSLPETELRVVSWLGIPLYDAVDFGWGKPWAMSRAESLRGGFFYVMDGGAADGDGGDAAAVRVLMCMEAANVEEFERLLRAKFVYPRI >ORUFI11G04950.1 pep chromosome:OR_W1943:11:3785933:3791567:-1 gene:ORUFI11G04950 transcript:ORUFI11G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSSRGRSGSAGLKRRRGPGGGGGGSGSTAQALNDDTLRSVFSRLDDHFDLARCSTVCNSWNRVIDTAHLMRDLYYKRNPQARSSGSNTSIKSYFKELALDEHASSFSRGPAEVYQWIGHPNQATICRMKSGSILTGVGDKTLRLWSAESCKYMNEYIVPSSKMLVNFDFDENKIVGLTSSQLCIWRRSEPRSIFQSRGASFNRGLCMSYADPEVIIGCEDGRAFVYDMYSRSCSSIYRLHSSPLTCLTITDDQLIAAGSTFGNVAIADQTSGQKLGVLKSAFAPTAIRCLSFSTSGHLIFAGSSAGYAHCWDLRTLRPLWEKRVSPNVIYSAHHLPGDTATLAVGGIDGVLRLICQRTGETIRSFIVNADRPAASSSHQQVEKKSVRQVAPNARLDNIPTRLRPQITCLAVGMKKIVTTHGENYIRVWKFRPKSS >ORUFI11G04960.1 pep chromosome:OR_W1943:11:3794815:3802651:-1 gene:ORUFI11G04960 transcript:ORUFI11G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPAASNGDEAPRMEMCPSLYRAARSGRAEEVMALLLQQRPGDGAAAHRQVKAAKKMALVSGKNLMTVGKKSSRGLGEEEGHRCPSPAAERWLLGIIQHRQCNVLEVTAERNTILHVAAEKGHGELIQELYHRFIRDNSLLSRRNSAMDTPLHCAARAGHAGTVTILVNLAQDCEENILGCQNAAGDTALHLAARHGHGATVEALVVARAKATELNKAGVSPLYLAVMSRSVPAVRAIVTTCSDASPVGPSSQNALHAAVFRSLEMVHLLLQWKPELASQVDCNGSTPLHFAASDGNRKIVHAILAIVPTGTVYMKDSDGLSALHVAARLGHANVVKQLIGICPDAVELRDGHGETFLHTAVREKQSSIVSLAIKKHKQVGGLLDAQDGVGNTPLHIAVVAGSPDIVNALLHKGKVQSDVLNDDGHSPLDLASTSTNLFNMVSFVVILVAFGAQGRPQRNDHLKPWSGRDIGKGIERTTDSLAVVAVLIATVAFAAGFNMPGSYGDDGTANLKGRFSFKWFMVLDTVAVAASVVAVILLVYGKASRSAGSWKSFVAALHFIWVSLVSLILAFFAAFRATMRTSRAVSIVFMVIYVCLIVLVVNVGTWVEPVTTMRIFWRFVWRSHRTNAVKRQYPLAVATVYNCLLFSVINFIIFAGLGVVPS >ORUFI11G04990.1 pep chromosome:OR_W1943:11:3813508:3833347:-1 gene:ORUFI11G04990 transcript:ORUFI11G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIQLARCSSQHHGSEAAVTARGDSAGGLEICPALYLAASKGRAEEVMALLLQPRHGGVAQGHLDQVNGPALRQGEQCKRPGPRQRHGQCNISEVSAESNTVFHVAAEQGHDELIREVYLRFKESSLLSRRNSSQDTPLHCAARAGHAGAVTAIVQLLALDSILGCKNEAGDTALHLAARNGHGAAVEALVSAAAPELSSELNAAGVSPLYLAVMSKSVKAVKAIITTCSDASPVGPNKQNALHAAVFQSSEMVDLVLKWKPALSGQCDVKGSSPLHLASSDGDRSIVSAIVRAAPPSTAFLKDSDGLSAIHVAARMGHHHVVEELISAWPDAAELRDGRGRTFLHAAAEKGHAPVISLAVKNPMLCGIVNAQDKDGNTALHLAVAAAASKGLAALLSAGDNVRVNIMNNDGYTPFDLAANSSSFLSMISLVVTLSAYGAQSCPQRQDHLNQWRGKGTTDWIRKTSNSLAVVAVLVATVAFSATFNVPGGYGDDGKAVLQAKTAYKFFIVFDSVAMTTSVVAVILIVFGKASGSWKSFIFALHFMWVSMIGMIVAFWAALVAVMSRRTINIVVYEVIANGFYLLVLFIVNWTKPASWMNIVKFMFSSLLPEGHHRRVARQYPFAGAYSRNYSVFVVTNILACVVKAITDAVRDSFTTSASTDVDPGTASTIANVAAKHASQAPHDAITNAFASLFSSSTSPAPIDPPPTTDTTTPPRRWWWRQPAEQHRASPSNEPTRIYTPSMDHHVVVQLAQHRYSGSPSADQPPAAAASGESTPRMEMCPSLYRAARSGRSEEVVALLLQQRHGAGSAAGHRHQVAEEVIVEDPRNEVTAERNTVLHVAAEKGHIELIKELYHRFIKDNSFLSRRNSALNTPLHCAAREGHTGTVTTLVHLAQDRVENIMGCQNTAGDTALHLAARHGHGATVEALVAAHAKATELNKVGVSPLYLAVMSRSVPAVRAIVTTCSDASAVGPSSQNALHAAVFRSLEMVHLLLQWKPELASQVDCNGSTPLHFAASDGNSKIIRAIMATAPPGTVYMKDSDGLSALHVAAKLGHADVVKQLIGIRPDAVELRDSHGETFVHSAVREKRSSIVSLAIKKHKQVGGLLDAQDGDGNTPLHIAVVAGAPGIVNALLQKGKVQTDVLNDDGHTPLDLASTSPSLFNMVRFVMALVAFGAQCRPQRNDHLKPWSGHDNIGKGIERTSDSLAVVAVLIATVAFAAGFNMPGGYTNDGSASLEGMSLFRWFVVLDAIAVASSVIAVILLVYGKASCSTGSWKSFVAALHYIWVSLVSLILAFFAASRAVMRTSTAESIVYIVIYVGLIVLSLFVAQWIGPVTTARAFWRFLWLSHRAHTVRRQYPFAVASIYNWLLFLHITYIMFAGLGVVHNHSNSDRGGLSSSWNPNHISPAPAPM >ORUFI11G04990.2 pep chromosome:OR_W1943:11:3813508:3833347:-1 gene:ORUFI11G04990 transcript:ORUFI11G04990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIQLARCSSQHHGSEAAVTARGDSAGGLEICPALYLAASKGRAEEVMALLLQPRHGGVAQGHLDQVNGPALRQGEQCKRPGPRQRHGQCNISEVSAESNTVFHVAAEQGHDELIREVYLRFKESSLLSRRNSSQDTPLHCAARAGHAGAVTAIVQLLALDSILGCKNEAGDTALHLAARNGHGAAVEALVSAAAPELSSELNAAGVSPLYLAVMSKSVKAVKAIITTCSDASPVGPNKQNALHAAVFQSSEMVDLVLKWKPALSGQCDVKGSSPLHLASSDGDRSIVSAIVRAAPPSTAFLKDSDGLSAIHVAARMGHHHVVEELISAWPDAAELRDGRGRTFLHAAAEKGHAPVISLAVKNPMLCGIVNAQDKDGNTALHLAVAAAASKGLAALLSAGDNVRVNIMNNDGYTPFDLAANSSSFLSMISLVVTLSAYGAQSCPQRQDHLNQWRGKGTTDWIRKTSNSLAVVAVLVATVAFSATFNVPGGYGDDGKAVLQAKTAYKFFIVFDSVAMTTSVVAVILIVFGKASGSWKSFIFALHFMWVSMIGMIVAFWAALVAVMSRRTINIVVYEVIANGFYLLVLFIVNWTKPASWMNIVKFMFSSLLPEGHHRRVARQYPFAGAYSRNYSVFVVTNILACVVKAITDAVRDSFTTSASTDVDPGTASTIANVAAKHASQAPHDAITNAFASLFSSSTSPAPIDPPPTTDTTTPPRRWWWRQPAEQHRASPSNEPTRIYTPSMDHHVVVQLAQHRYSGSPSADQPPAAAASGESTPRMEMCPSLYRAARSGRSEEVVALLLQQRHGAGSAAGHRHQVAAEKGHIELIKELYHRFIKDNSFLSRRNSALNTPLHCAAREGHTGTVTTLVHLAQDRVENIMGCQNTAGDTALHLAARHGHGATVEALVAAHAKATELNKVGVSPLYLAVMSRSVPAVRAIVTTCSDASAVGPSSQNALHAAVFRSLEMVHLLLQWKPELASQVDCNGSTPLHFAASDGNSKIIRAIMATAPPGTVYMKDSDGLSALHVAAKLGHADVVKQLIGIRPDAVELRDSHGETFVHSAVREKRSSIVSLAIKKHKQVGGLLDAQDGDGNTPLHIAVVAGAPGIVNALLQKGKVQTDVLNDDGHTPLDLASTSPSLFNMVRFVMALVAFGAQCRPQRNDHLKPWSGHDNIGKGIERTSDSLAVVAVLIATVAFAAGFNMPGGYTNDGSASLEGMSLFRWFVVLDAIAVASSVIAVILLVYGKASCSTGSWKSFVAALHYIWVSLVSLILAFFAASRAVMRTSTAESIVYIVIYVGLIVLSLFVAQWIGPVTTARAFWRFLWLSHRAHTVRRQYPFAVASIYNWLLFLHITYIMFAGLGVVHNHSNSDRGGLSSSWNPNHISPAPAPM >ORUFI11G05000.1 pep chromosome:OR_W1943:11:3843473:3845333:-1 gene:ORUFI11G05000 transcript:ORUFI11G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLLLQWKPELAVQVDCNGSTPLHFTVSDGNRKIVRAILATAPPGTAYMKDSNGLSALHVAARLGHGGIVEELTGFYPDTAELRDGRCETFLHAAARERRSSVVSLDIKNPIMMGGLVNAQDAGGNTPLHLAVVAGAPDIVEALLREGNVQTDVLNDDGHTPLDLASESNSLFNMAQPQRNDHLKPSSGHDMASGIEKTSDSLALVAVLIAAAVFAVGFNMPGGYGDDGTANLRDNISFKYFMVLDTFAITTSVVAVILLVYGKTAAAAHLAVSWKSFVVTLQCIWVSLVSLILAFLSAIHAVVIATSSSRTVLITMFLVIYVCFNALILWIEKWIDPAATTYRAVWRFVWRGRHAHAIKRRYPFLGDSLYSLLIFSVIIINITAFVVLVVVYYFDVRRLSNN >ORUFI11G05010.1 pep chromosome:OR_W1943:11:3851636:3859761:1 gene:ORUFI11G05010 transcript:ORUFI11G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKASSTSDSRLKWRKWKRNPTASPSPSNRSSAAAAAADHSDDSDSAAVNEDDDSAVPEDADDETLAGAEDPVLDLREAEVLPSAEPVSAFPVATRRVVNRPHPSVLAVIAAERSACAGEGSAAAAAAPVLENISYGQQQVLSGVLPDHASLATDTDKPSTYVCTPPNLMEGHGVTKQFQGRLHVVPKHSGPPALCNQKPECLSYNAALTCLRFVDWFSPGIVHRLERQVVPQFFSGKSPGNTPEKYMLLRNKVIAKYLENPSKRLAFAECQGLVANTAELYDLSRIVRFLDTWGIINYLASGSVHRGLRMATSLLREEPTGELQLLTAPLKSIDGLILFDRPKCNLQAEDISSLASNSEVVDFDAGLAELDGKIRERLSESSCSYCLQPLTSLHYQSLKEADIALCSDCFHDARYITGHSSLDFQRIDGDNDRSENDGDSWTDQETLLLLEGIEKYNDNWNNIAEHVGTKSKAQCIYHFIRLPVEDGLLENIEVPDASVPFRAETNGYPHLDCNGSTSGNLPQKIPPDNQLPFINSSNPVMSLVGFLASAIGPRVAASCASAALSVLTVDDDSRVNSEGICSDSRGQGPHPNFRDHNGGVSSSISPEKVKHAAMCGLSAAATKAKLFADQEEREIQRLTATVINHQLKRLELKLKQFAEVETLLLKECEQVERIRQRIASDRVRIVSTRLASPGNSLPGGSTSTMSSNPMSMSPRPMGVPGSMPQSSMPAPFANNMQGHGHPQMAFLQQQQRQQMLSFGPRLPLSAIQTQPSPQTSNIMFNPGMPNSVTPNHHQLLRSSSGNNSSVG >ORUFI11G05020.1 pep chromosome:OR_W1943:11:3864760:3874623:1 gene:ORUFI11G05020 transcript:ORUFI11G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNSSERREYREPTSPSPSTSSSRSRDRSDLAEVDDPESAMSTVAQLLEQLHTSMTSLPEKEVTTKRLLELAKEKKEARVLIGSHSQAIPLFISILRSGTSIAKVNAAALLSALCKEEDLRVKVLLGGCIPPLLSLLKSESTEAKKAAAEAIFEVSSGGLSDDHIGMKIFVTEGVVPTLWDMLKPKSHQDKVVEGFVTGALRNLCGDKDGYWRANLEAGGVEIITGLISSKNTTSQSNAASLLARLVSAFGDSIPKIIDAGAVKALLRLLNRDNDISVRESAADALEALSSKSSIAKKAVVDAGGIPVLIGAVVAPSKECMRGDTCHSLQSHAVHALSNICGGTVSLLLYLGELCQVPRPPVPLADILGALAYTLMVFSGTDGKSFDPIEIENILIVLLKSYDSNLVLDRILEALASLYGNACLSGRLNHSNAKKVLVGLITMASADVQKNLVHALTSLCSDGIGIWDALGKREGTQLLISFLGLSSEQHQEYAVSLLAILSDEVDDSKWAITAAGGIPPLVQLLETGSQKAKEDAAHILWNLCCHSDDISACVESAGAVLALLWLLKSGSPHGQEASAKALKKIIRSADSSTINQLRALLLSDSLSTKAHAITVLGHVLVMASQRDLVQNGAPANKGLRSLIDILESSNEETQEQAATVVADIFSTRQDICDILGTDEIIQPCMKLLTSGNQVIATQSARALGALSHSANAMLKNKMSCIAEGYVQTLIEMSKSPSIDAAETTIAALANFLSDAHIAKEALDGNIVLALTRVLKEGSLEGKISASRSLCQLLNQFPLNEVIPDYSQCYFIIHALLVCLSGINLENATNLDPLNVLAWMARTKEGAHFSSPLWSAFLDVPESLEPLVRCISVGLPPIQDKAIQILASLCQDQPSLLGEHLNRSQGCIASLASRVIESTNMEIRIGSAITLISAMRHSREHSIDVIEASGHLKNLISASIDMMKQDSAPISLDIEVWKPYPENSLYNYDKDVLGVSGSGKVLEETVALWLLSLICSSHLSSKLTVMDLGGVETISDKLASYTANQQDQYEDSESVWTCALLLATLFQDSMLVQSPAIMRTIPSLASLLKSDKIIDKYFAAQSLASLVSTGSRSIQLAIANSGAVMGTIAMIGQIESTMPNLVAMAEEFKLADNPSKIILRSLFELEDVRTSATARRPIPLLVDLLKPMPDRQGAPLVALHLLTQLAEGSETNKVAMAEAGVLDALTKYLSLSPQDSTETTIINLLRILYTNPDLLYHESSISTSNQLVAVLRLGSRNSRLNAARTLQNLFDSENIRDTEVAWQAIPPLLDMLESGTETEQQAALGALIKLSSGNISKASALFDVEGTTLESLYKILSFSSSLELKNDAAQLCYILFENSTIRASPIASECLQPLISLMTSGSTFVVEPAVRALNRLLDEEYNAEIAATSEVVDLLVSFVPGTNHQLSEACIGALIKLGKDRPNCKLEMVKAGIIEHVLDMILDVPVSVSSSIAELLRILTNNSGIAKSSAAAKMVEPLFLLLRRPDVTMWDQHSALQALVNILEKPQSLAALKLSPSQIIEPLISFLESPSQAIQQLGTELLTHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAVKALESISQSWPKAVADAGGILELSKVIVQDDPQPSQALWDSAALVLCNVLRYSSDNYVQVSIAVLVRLLNSTIESTVTIALNALLVQEKSKSRCALAMAEAGAVRALLKLLKSHRCEESAARLLEALINNARVRETKVAKYSIGPLSQYLLDPQSKNQSAKFLVTLALGDIFQHEALARASDSVSACRALVSVLEDQPTDDMTMVAICALQSLVLHSRTNRRAVAEAGGILVVQELLLSPNVDIAGQAALLIKYLFLNHTLQEYVSNELIRSLTAALERELLSTSTINEVILRTIHVIFNNFKKVRFSEAATLCIPHLVCALKDGNEAAQESVLDTLCLLKESWPQMNEDIAKAQSLISAEAIPVLQMLMKTCPPSFHERADSLLQCLPGCLTVTILRGNNLKQTMGSTNAFCCLQIGNGPPRQTKVVNNSICPVWNEGFTWLFDIPPKGQKLYILCKSKNTFGKSTLGRVTIQIDNVVTEGVYSGFFSLKHDGGKDGSRTLEIEIVWSNRPSNDNM >ORUFI11G05020.2 pep chromosome:OR_W1943:11:3864692:3874623:1 gene:ORUFI11G05020 transcript:ORUFI11G05020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAQLLEQLHTSMTSLPEKEVTTKRLLELAKEKKEARVLIGSHSQAIPLFISILRSGTSIAKVNAAALLSALCKEEDLRVKVLLGGCIPPLLSLLKSESTEAKKAAAEAIFEVSSGGLSDDHIGMKIFVTEGVVPTLWDMLKPKSHQDKVVEGFVTGALRNLCGDKDGYWRANLEAGGVEIITGLISSKNTTSQSNAASLLARLVSAFGDSIPKIIDAGAVKALLRLLNRDNDISVRESAADALEALSSKSSIAKKAVVDAGGIPVLIGAVVAPSKECMRGDTCHSLQSHAVHALSNICGGTVSLLLYLGELCQVPRPPVPLADILGALAYTLMVFSGTDGKSFDPIEIENILIVLLKSYDSNLVLDRILEALASLYGNACLSGRLNHSNAKKVLVGLITMASADVQKNLVHALTSLCSDGIGIWDALGKREGTQLLISFLGLSSEQHQEYAVSLLAILSDEVDDSKWAITAAGGIPPLVQLLETGSQKAKEDAAHILWNLCCHSDDISACVESAGAVLALLWLLKSGSPHGQEASAKALKKIIRSADSSTINQLRALLLSDSLSTKAHAITVLGHVLVMASQRDLVQNGAPANKGLRSLIDILESSNEETQEQAATVVADIFSTRQDICDILGTDEIIQPCMKLLTSGNQVIATQSARALGALSHSANAMLKNKMSCIAEGYVQTLIEMSKSPSIDAAETTIAALANFLSDAHIAKEALDGNIVLALTRVLKEGSLEGKISASRSLCQLLNQFPLNEVIPDYSQCYFIIHALLVCLSGINLENATNLDPLNVLAWMARTKEGAHFSSPLWSAFLDVPESLEPLVRCISVGLPPIQDKAIQILASLCQDQPSLLGEHLNRSQGCIASLASRVIESTNMEIRIGSAITLISAMRHSREHSIDVIEASGHLKNLISASIDMMKQDSAPISLDIEVWKPYPENSLYNYDKDVLGVSGSGKVLEETVALWLLSLICSSHLSSKLTVMDLGGVETISDKLASYTANQQDQYEDSESVWTCALLLATLFQDSMLVQSPAIMRTIPSLASLLKSDKIIDKYFAAQSLASLVSTGSRSIQLAIANSGAVMGTIAMIGQIESTMPNLVAMAEEFKLADNPSKIILRSLFELEDVRTSATARRPIPLLVDLLKPMPDRQGAPLVALHLLTQLAEGSETNKVAMAEAGVLDALTKYLSLSPQDSTETTIINLLRILYTNPDLLYHESSISTSNQLVAVLRLGSRNSRLNAARTLQNLFDSENIRDTEVAWQAIPPLLDMLESGTETEQQAALGALIKLSSGNISKASALFDVEGTTLESLYKILSFSSSLELKNDAAQLCYILFENSTIRASPIASECLQPLISLMTSGSTFVVEPAVRALNRLLDEEYNAEIAATSEVVDLLVSFVPGTNHQLSEACIGALIKLGKDRPNCKLEMVKAGIIEHVLDMILDVPVSVSSSIAELLRILTNNSGIAKSSAAAKMVEPLFLLLRRPDVTMWDQHSALQALVNILEKPQSLAALKLSPSQIIEPLISFLESPSQAIQQLGTELLTHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAVKALESISQSWPKAVADAGGILELSKVIVQDDPQPSQALWDSAALVLCNVLRYSSDNYVQVSIAVLVRLLNSTIESTVTIALNALLVQEKSKSRCALAMAEAGAVRALLKLLKSHRCEESAARLLEALINNARVRETKVAKYSIGPLSQYLLDPQSKNQSAKFLVTLALGDIFQHEALARASDSVSACRALVSVLEDQPTDDMTMVAICALQSLVLHSRTNRRAVAEAGGILVVQELLLSPNVDIAGQAALLIKYLFLNHTLQEYVSNELIRSLTAALERELLSTSTINEVILRTIHVIFNNFKKVRFSEAATLCIPHLVCALKDGNEAAQESVLDTLCLLKESWPQMNEDIAKAQSLISAEAIPVLQMLMKTCPPSFHERADSLLQCLPGCLTVTILRGNNLKQTMGSTNAFCCLQIGNGPPRQTKVVNNSICPVWNEGFTWLFDIPPKGQKLYILCKSKNTFGKSTLGRVTIQIDNVVTEGVYSGFFSLKHDGGKDGSRTLEIEIVWSNRPSNDNM >ORUFI11G05020.3 pep chromosome:OR_W1943:11:3864760:3874623:1 gene:ORUFI11G05020 transcript:ORUFI11G05020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNSSERREYREPTSPSPSTSSSRSRDRSDLAEVDDPESAMSTVAQLLEQLHTSMTSLPEKEVTTKRLLELAKEKKEARVLIGSHSQAIPLFISILRSGTSIAKVNAAALLSALCKEEDLRVKVLLGGCIPPLLSLLKSESTEAKKAAAEAIFEVSSGGLSDDHIGMKIFVTEGVVPTLWDMLKPKSHQDKVVEGFVTGALRNLCGDKDGYWRANLEAGGVEIITGLISSKNTTSQSNAASLLARLVSAFGDSIPKIIDAGAVKALLRLLNRDNDISVRESAADALEALSSKSSIAKKAVVDAGGIPVLIGAVVAPSKECMRGDTCHSLQSHAVHALSNICGGTVSLLLYLGELCQVPRPPVPLADILGALAYTLMVFSGTDGKSFDPIEIENILIVLLKSYDSNLVLDRILEALASLYGNACLSGRLNHSNAKKVLVGLITMASADVQKNLVHALTSLCSDGIGIWDALGKREGTQLLISFLGLSSEQHQEYAVSLLAILSDEVDDSKWAITAAGGIPPLVQLLETGSQKAKEDAAHILWNLCCHSDDISACVESAGAVLALLWLLKSGSPHGQEASAKALKKIIRSADSSTINQLRALLLSDSLSTKAHAITVLGHVLVMASQRDLVQNGAPANKGLRSLIDILESSNEETQEQAATVVADIFSTRQDICDILGTDEIIQPCMKLLTSGNQVIATQSARALGALSHSANAMLKNKMSCIAEGYVQTLIEMSKSPSIDAAETTIAALANFLSDAHIAKEALDGNIVLALTRVLKEGSLEGKISASRSLCQLLNQFPLNEVIPDYSQCYFIIHALLVCLSGINLENATNLDPLNVLAWMARTKEGAHFSSPLWSAFLDVPESLEPLVRCISVGLPPIQDKAIQILASLCQDQPSLLGEHLNRSQGCIASLASRVIESTNMEIRIGSAITLISAMRHSREHSIDVIEASGHLKNLISASIDMMKQDSAPISLDIEVWKPYPENSLYNYDKDVLGVSGSGKVLEETVALWLLSLICSSHLSSKLTVMDLGGVETISDKLASYTANQQDSMLVQSPAIMRTIPSLASLLKSDKIIDKYFAAQSLASLVSTGSRSIQLAIANSGAVMGTIAMIGQIESTMPNLVAMAEEFKLADNPSKIILRSLFELEDVRTSATARRPIPLLVDLLKPMPDRQGAPLVALHLLTQLAEGSETNKVAMAEAGVLDALTKYLSLSPQDSTETTIINLLRILYTNPDLLYHESSISTSNQLVAVLRLGSRNSRLNAARTLQNLFDSENIRDTEVAWQAIPPLLDMLESGTETEQQAALGALIKLSSGNISKASALFDVEGTTLESLYKILSFSSSLELKNDAAQLCYILFENSTIRASPIASECLQPLISLMTSGSTFVVEPAVRALNRLLDEEYNAEIAATSEVVDLLVSFVPGTNHQLSEACIGALIKLGKDRPNCKLEMVKAGIIEHVLDMILDVPVSVSSSIAELLRILTNNSGIAKSSAAAKMVEPLFLLLRRPDVTMWDQHSALQALVNILEKPQSLAALKLSPSQIIEPLISFLESPSQAIQQLGTELLTHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAVKALESISQSWPKAVADAGGILELSKVIVQDDPQPSQALWDSAALVLCNVLRYSSDNYVQVSIAVLVRLLNSTIESTVTIALNALLVQEKSKSRCALAMAEAGAVRALLKLLKSHRCEESAARLLEALINNARVRETKVAKYSIGPLSQYLLDPQSKNQSAKFLVTLALGDIFQHEALARASDSVSACRALVSVLEDQPTDDMTMVAICALQSLVLHSRTNRRAVAEAGGILVVQELLLSPNVDIAGQAALLIKYLFLNHTLQEYVSNELIRSLTAALERELLSTSTINEVILRTIHVIFNNFKKVRFSEAATLCIPHLVCALKDGNEAAQESVLDTLCLLKESWPQMNEDIAKAQSLISAEAIPVLQMLMKTCPPSFHERADSLLQCLPGCLTVTILRGNNLKQTMGSTNAFCCLQIGNGPPRQTKVVNNSICPVWNEGFTWLFDIPPKGQKLYILCKSKNTFGKSTLGRVTIQIDNVVTEGVYSGFFSLKHDGGKDGSRTLEIEIVWSNRPSNDNM >ORUFI11G05030.1 pep chromosome:OR_W1943:11:3878617:3887342:1 gene:ORUFI11G05030 transcript:ORUFI11G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARWAPVVGLLGLLLLPFAPAPAGAATPARSPPSSASSAVFLLSGDVYPTGHYYVTMNIGDPAKPYFLDVDTGSDLTWLQCDAPCQSCNKVPHPLYRPTKNKLVPCANSICTALHSGSSPNKKCTTQQQCDYQIKYTDKASSLGVLVMDSFSLPLRNKSNVRPSLSFGCGYDQQVGKNGAAPATTDGLLGLGRGSVSLLSQLKQQGITKNVLGHCLSTSGGGFLFFGDDMVPTSRVTWVSMVRSTSGNYYSPGSATLYFDRRSLSTKPMEVVFDSGSTYTYFSAQPYQATISAIKGSLSKSLKQVSDPSLPLCWKGQKAFKSVSDVKKDFKSLQFIFGKNAVMDIPPENYLIITKNGNVCLGILDGSAAKLSFSIIGDITMQDQMVIYDNEKAQLGWIRGSCSRSPKGQRGVRHSRFASLLLAAGIPLVLDSDSSCASGRKGAAARIDGRRQFPFLIHLASMLDSPNPGTTNN >ORUFI11G05030.2 pep chromosome:OR_W1943:11:3878617:3887342:1 gene:ORUFI11G05030 transcript:ORUFI11G05030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARWAPVVGLLGLLLLPFAPAPAGAATPARSPPSSASSAVFLLSGDVYPTGHYYVTMNIGDPAKPYFLDVDTGSDLTWLQCDAPCQSCNKVPHPLYRPTKNKLVPCANSICTALHSGSSPNKKCTTQQQCDYQIKYTDKASSLGVLVMDSFSLPLRNKSNVRPSLSFGCGYDQQVGKNGAAPATTDGLLGLGRGSVSLLSQLKQQGITKNVLGHCLSTSGGGFLFFGDDMVPTSRVTWVSMVRSTSGNYYSPGSATLYFDRRSLSTKPMEVVFDSGSTYTYFSAQPYQATISAIKGSLSKSLKQVSDPSLPLCWKGQKAFKSVSDVKKDFKSLQFIFGKNAVMDIPPENYLIITKNGNVCLGILDGSAAKLSFSIIGDITMQDQMVIYDNEKAQLGWIRGSCSRSPKGQRGVRHSRFASLLLAAGIPLVLDSDSSCASGRKGAAARIDGRRQFPFLIHLASMLDSPNPGTTNN >ORUFI11G05030.3 pep chromosome:OR_W1943:11:3878617:3887342:1 gene:ORUFI11G05030 transcript:ORUFI11G05030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARWAPVVGLLGLLLLPFAPAPAGAATPARSPPSSASSAVFLLSGDVYPTGHYYVTMNIGDPAKPYFLDVDTGSDLTWLQCDAPCQSCNKVPHPLYRPTKNKLVPCANSICTALHSGSSPNKKCTTQQQCDYQIKYTDKASSLGVLVMDSFSLPLRNKSNVRPSLSFGCGYDQQVGKNGAAPATTDGLLGLGRGSVSLLSQLKQQGITKNVLGHCLSTSGGGFLFFGDDMVPTSRVTWVSMVRSTSGNYYSPGSATLYFDRRSLSTKPMEVVFDSGSTYTYFSAQPYQATISAIKGSLSKSLKQVSDPSLPLCWKGQKAFKSVSDVKKDFKSLQFIFGKNAVMDIPPENYLIITKNGNVCLGILDGSAAKLSFSIIGDITMQDQMVIYDNEKAQLGWIRGSCSRSPKGQRGVRHSRFASLLLAAGIPLVLDSDSSCASGRKGAAARIDGRRQFPFLIHLASMLDSPNPGTTNN >ORUFI11G05030.4 pep chromosome:OR_W1943:11:3878617:3883305:1 gene:ORUFI11G05030 transcript:ORUFI11G05030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARWAPVVGLLGLLLLPFAPAPAGAATPARSPPSSASSAVFLLSGDVYPTGHYYVTMNIGDPAKPYFLDVDTGSDLTWLQCDAPCQSCNKVPHPLYRPTKNKLVPCANSICTALHSGSSPNKKCTTQQQCDYQIKYTDKASSLGVLVMDSFSLPLRNKSNVRPSLSFGCGYDQQVGKNGAAPATTDGLLGLGRGSVSLLSQLKQQGITKNVLGHCLSTSGGGFLFFGDDMVPTSRVTWVSMVRSTSGNYYSPGSATLYFDRRSLSTKPMEVVFDSGSTYTYFSAQPYQATISAIKGSLSKSLKQVSDPSLPLCWKGQKAFKSVSDVKKDFKSLQFIFGKNAVMDIPPENYLIITKNGNVCLGILDGSAAKLSFSIIGDITMQDQMVIYDNEKAQLGWIRGSCSRSPKSIMSSFP >ORUFI11G05040.1 pep chromosome:OR_W1943:11:3894370:3900125:1 gene:ORUFI11G05040 transcript:ORUFI11G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMGRRLLLITLLLGALLCNNVAYAKFSRYSFPKDFIFGTGSAAYQYEGAYKEGGKGPSIWDTFTHIPGKILNNDTGDVANDFYHRYKEDVNLLKDMNMDAFRFSIAWTRILPNGSLSGGINREGVAFYNSLINDVIAKGMIPFVTIFHWDTPPGSGKQIRRLPERKHKHARVVKKNFNSCTQLELGCIYNHRKDYADFAEVCFHEFGDRVKYWTTFNEPFTYSAYGYGRGVFASGRCAPYVSKSCGAGDSSREPYLVTHHIHLSHAAVVHLYRTRYQPTQKGQIGMVVVTHWFVPYDDTAADRGAVQRSLDFMFGWFMDPLVHGDYPGTMRGWLGDRLPKFTPAQSAMVKGSYDFIGINYYTTYYAKSVPPPNSNELSYDVDSRANTTGFRNGKPIGPQFTPIFFNYPPGIREVLLYTKRRYNNPAIYITENEALRDGHRIEFHSKHLQFVNHAIRNGWGDGYLDRFGLIYVDRKTLTRYRKDSSYWIEDFLKKQY >ORUFI11G05050.1 pep chromosome:OR_W1943:11:3902148:3902504:1 gene:ORUFI11G05050 transcript:ORUFI11G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLATATAGSIQVGKCGDLSPAFPGNCGADVCQFRCAVMGGDREKAYCDAATGRCCCPPGSATLCRPLAGCRSRIPACRIKCKSVFRDPGRAFCQDGSPGFGDSCCCPPNNVEDSSN >ORUFI11G05060.1 pep chromosome:OR_W1943:11:3905448:3914768:1 gene:ORUFI11G05060 transcript:ORUFI11G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRADDPLYVALHEDGRSGDGNHMSPTQCDYEIKYADGASTIGALIVDQFSLPRIATRPNLPFGCGYNQGVGENFQQTSPVNGILGLDRGKVSFVSQLKMLGIITKHVVGHCLSSGGGGLLFVGDGDGNLVLLHANYYSPGSATLYFDRHSLGMNPMDVVFDSGSTYTYFTAQPYQATVYAIKGGLSSTSLEQVSDPSLPLCWKGQKAFESVFDVKKEFKSLQLNFGNNAVMEIPPENYLIVTEYGNVCLGILHGCRLNFNIIGDITMQDQMVIYDNEREQLGWIRGSCGRSPTKAEYLYGRRRFRAPLRRRRATRGGGGADRRRDITFHMTLQIARKRHPPRHPALRRSSEPQPGGKHLRRRAQLHLHHTMTARLALLASLLLLLQLVPPSSAVVLELHGNVYPIGHFFITMNIGDPAKSYFLDIDTGSTLTWLQCDAPCTNCNIVPHVLYKPTPKKLVTCADSLCTDLYTDLGKPKRCGSQKQCDYVIQYVDSSSMGVLVIDRFSLSASNGTNPTTIAFGCGYDQGKKNRNVPIPVDGILGLSRGKVTLLSQLKSQGVITKHVLGHCISSKGGGFLFFGDAQVPTSGVTWTPMNREHKYYSPGHGTLHFDSNSKAISAAPMAVIFDSGATYTYFAAQPYQATLSVVKSTLNSECKFLTEVTEKDRALTVCWKGKDKIVTIDEVKKCFRSLSLEFADGDKKATLEIPPEHYLIISQEGHVCLGILDGSKEHLSLAGTNLIGGITMLDQMVIYDSERSLLGWVNYQCDRIPRSESAITSRL >ORUFI11G05060.2 pep chromosome:OR_W1943:11:3904941:3905822:1 gene:ORUFI11G05060 transcript:ORUFI11G05060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARWAPIAGFLIVLPALLLPPPASSAAMVFPLHGNVYPSGRFFVTMNIGVPEKPYFLDIDTGSDLTWVECDAPCQSCHQACIAWFI >ORUFI11G05070.1 pep chromosome:OR_W1943:11:3909385:3916944:-1 gene:ORUFI11G05070 transcript:ORUFI11G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASTGRSKSKQKIRENSWYFQYLSSTPRVGFSAPRILARRIVTASEGRQQLMVLSVVSRGWLAQVQAGKRKRRCKVRARRLRCFPPGCGSDDLLSAGCLGGCLLRAI >ORUFI11G05080.1 pep chromosome:OR_W1943:11:3917087:3918721:-1 gene:ORUFI11G05080 transcript:ORUFI11G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGALQLPPGFRFHPTDDELVMYYLCRKCGGLPLAAPVIAEVDLYKFNPWDLPERAMGGEKEWYFFSPRDRKYPNGQRPNRAAGTGYWKATGADKPVGSPRAVAIKKALVFYAGKPPKGVKTNWIMHEYRLADVDRSAAARKLSKSSHNALRLDDWVLCRIYNKKGVIERYDTVDAGEDVKPAAAAAAAAKGGRIGGGGGAAAMKLELSDYGFYDQEPESEMLCFDRSGSADRDSMARLHTDSSGSEHVLSPSPSPDDFPGGGGGGDHDYAESQPSGGCGGWPGVDWAAVGDDGFVIDSSLFELPSPAAFSRGGGDGAAFGDMFTYLQKPF >ORUFI11G05090.1 pep chromosome:OR_W1943:11:3930030:3959023:-1 gene:ORUFI11G05090 transcript:ORUFI11G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTVSAQSVQREYNNQTSGGDRRQQRIKRASHGISQTLVLLELTADAAMAARRSISNGMRTATVDFLELRCYFDYFNISTITGGLEKGVLSSDPSSAVSRETNNASQATLTAASRRAAPTVGEGAGLLPRRRHRAYAWAVWARSARPRRTRRLALPVETSERAHCIDLVFVIIIVMRDSETATTSNPHLPGSCRSPYELIPTMPGCHPLYLAVIPSTYDARPELDAIGRPPASIAPKIRTIAFAWRPRPWWRAGPTTDTSRRGDSPPPLPSS >ORUFI11G05100.1 pep chromosome:OR_W1943:11:3932221:3932574:1 gene:ORUFI11G05100 transcript:ORUFI11G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILQRSSARSKRALFVVVAVAVVAAPLLMHDDLLAAAAKAADGGGSGSGQMKPEGILYAGCFRAGGCKLTPEWCPVRCVYLGFSPGAGCEVMDDGHIYCCCGPSRTSAHADPSTKA >ORUFI11G05110.1 pep chromosome:OR_W1943:11:3941534:3948897:1 gene:ORUFI11G05110 transcript:ORUFI11G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSARKILAVVASSVTMALLAMAATGQPLPGRCDDLGLPGPCTADECQLECRGMGGDSTRASCNSAGKCCCPARNALVCEHYDHCRDRIDGCRQKCEDDWALSPAGAYCKDGSGNVRDSCCCRPNATVAVVNGDVDHRPLVQILSSVTAHHMPGQRGPQQTSKPPVMVEMLK >ORUFI11G05120.1 pep chromosome:OR_W1943:11:3950764:3958583:1 gene:ORUFI11G05120 transcript:ORUFI11G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQLAAHSRKSTVAVLMPLLMLLLAAMAASAPNDQMSDFGMCFFASSCYDMGCAISCRDLGWNPAGSGCRKYPDIDHLWTMEQTVVCQLARLRCRCVSTLLLTQGSRMARSLFPKLKHAAHTRKSSVSTALPLLLMFLLLATAAASASASPSAISHQPNDLEDFATCFRASSCYDTGCAIRCRDLGLNPAGSRCKVLPGIGQCCCCGRLPPPASSSSPVFPSIVA >ORUFI11G05130.1 pep chromosome:OR_W1943:11:3960609:3970894:-1 gene:ORUFI11G05130 transcript:ORUFI11G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKEPVHSHLTVGLASLALLSDGRAAAALLTVGFAAVPVSCPWVASPLLFSSILERVYCRSMTSPEVSVGHPQEHHMEANQKPKNGEGRRAATSHDNDATASPITPHFVSDSIADGRVVHKAMRAITLSSMLRRISA >ORUFI11G05140.1 pep chromosome:OR_W1943:11:3961726:3962265:1 gene:ORUFI11G05140 transcript:ORUFI11G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIKNSSTMLLSVMALMALCTTLPSCHAVRTQGIGIQFSTDQNYICIEQSALMKIVLQMDGANRCVSIGRAALWMCVVSTARTGASIGKEPSVMVLLTSVAANTMVRDEPTFVLVKEFILQFLPATDYPVIMINVIDFDVYDPINLPARLMANIFLQNK >ORUFI11G05150.1 pep chromosome:OR_W1943:11:3996062:4001168:1 gene:ORUFI11G05150 transcript:ORUFI11G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEVAAVVGELRGSFRSGRTRAAEWRAAQLRGIVRMVEEREGDISDALHSDLAKPRMESYLHEISLAKAACTFALKGLKNWMKPEKMLIMLTFINDVQVPAALTTFPSTAQIVSEPLGVVLVISAWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPATSALFAKLLPEYVDSSCIKVVEGGVPETTALLEQKWDKIFYTGSGNVGRIVMAAAAKHLTPVALELGGKCPAIVDSNTDLHVTMKRLAVGKWGCNNGQACIAPDYVITTKSFAPELVDSLKRVLKRFYGEDPLQSEDLSRIVNSNHFRRLTNLIEDKKVAQKIVYGGQTDEKQLKIAPTVLLDVPLDTTLMAEEIFGPLLPIVTVDKIEDSIQFINSRTKPLAAYLFTKDKKLQEEFVSNVPAGGMLVNDVALHLANPHLPFGGVGDSGIGSYHGKFSFDCFTHKKAVLIRGFGGEATARYPPYTIEKQKILRGLINGSFFALILALLGFPKERR >ORUFI11G05160.1 pep chromosome:OR_W1943:11:4001822:4009018:1 gene:ORUFI11G05160 transcript:ORUFI11G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDRNQKQRSSLCSTATVVVFVALCLVGLWMISSPETIPAAAANVSKKPDVVAVKEEDSSLDATNNVKQNSANVVAETAAADETAATAAADEDDNPAKQAAGEKAAAAAASSKDQTFDDENGRTEGGALVKPENGGGDEVASDVKEIGSLEQAAIDMKDTTEQSVGDTKEAAVVQDKSSDEITTAASDARESGDGGGGAAKNKQTFDDENGKLDGVNLVKDVENKTMSEEGAKPLPEETTTVSSKNSIVAAAAMSDEKLSDNNGEQAQPVEALPNGQAELLTERAAQNGSFTTQAAESIKEKKKRAEKKKKKKKKAKAAAAAAEEEGGGGGAASLGWKLCNTSAGADYIPCLDNEAAIKKLKTTAHYEHRERHCPASPPTCLVPSPEGYRDPIRWPRSRDKIWYHNVPHSELAAYKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIELIQSSFPEVAWGRRSRVALDVGCGVASFGGYLFDHDVLTMSLAPKDEHEAQVQFALERGIPAISAVMGTRRLPFPSNVFDAVHCARCRVPWHIEGGMLLLELNRLLRPGGFFVWSATPVYQELPEDVEIWGEMVKLTKAMCWEMVSKTSDTVDQVGLVTFRKPADNACYMKRRQKEPPLCEPSDDPNAAWNITLRACMHWVPTDPSVRGSRWPERWPERMEKTPYWLNSSQVGVYGKPAPEDFVADQEHWRKVVRNSYLTGMGIDWKTVRNVMDMRAVYGGFAAALRDMSVWVMNVVTINSPDTLPVIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLKSRCEVLPVIVEVDRILRPNGKLIVRDDKETVDEIKGVVRSLQWEVRMTVSKNREAMLCARKTTWRPTEAEAR >ORUFI11G05160.2 pep chromosome:OR_W1943:11:4001822:4004376:1 gene:ORUFI11G05160 transcript:ORUFI11G05160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDRNQKQRSSLCSTATVVVFVALCLVGLWMISSPETIPAAAANVSKKPDVVAVKEEDSSLDATNNVKQNSANVVAETAAADETAATAAADEDDNPAKQAAGEKAAAAAASSKDQTFDDENGRTEGGALVKPENGGGDEVASDVKEIGSLEQAAIDMKDTTEQSVGDTKEAAVVQDKSSDEITTAASDARESGDGGGGAAKNKQTFDDENGKLDGVNLVKDVENKTMSEEGAKPLPEETTTVSSKNSIVAAAAMSDEKLSDNNGEQAQPVEALPNGQAELLTERAAQNGSFTTQAAESIKEKKKRAEKKKKKKKKAKAAAAAAEEEGGGGGAASLGWKLCNTSAGADYIPCLDNEAAIKKLKTTAHYEHRERHCPASPPTCLVPSPEGYRDPIRWPRSRDKIWYHNVPHSELAAYKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIELIQSSFPEVAWGRRSRVALDVGCGVASFGGYLFDHDVLTMSLAPKDEHEAQVQFALERGIPAISAVMGTRRLPFPSNVFDAVHCARCRVPWHIEGGMLLLELNRLLRPGGFFVWSATPVYQELPEDVEIWGEMVKLTKAMCWEMVSKTSDTVDQVGLVTFRKPADNACYMKRRQKEPPLCEPSDDPNAAWYQLAPFHNILVS >ORUFI11G05170.1 pep chromosome:OR_W1943:11:4008942:4018867:-1 gene:ORUFI11G05170 transcript:ORUFI11G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGRGGKRRGAPPPGPSGAAAKRAHPGGTPQPPPPAATAAAPVAEEEDMMDEDVFLDETILAEDEEALLLLDRDEALASRLSRWKRPALPADLASGCSRNVAFQQLEIDYVIGESHKVLLPNSSGPAAILRIFGVTREGHSVCCQVHGFEPYFYISCPMGMGPDDISRFHQTLEGRMKDSNRNSNVPRFVKRIELVQKQTIMHYQPQQSQPFLKIVVALPTMVASCRGILERGITIEGLGSKSFLTYESNILFALRFMIDCNIVGGNWIEVPAGKYSDLVSHAAEGEHSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQIANLVTLQGEGQPFVRNVMTLKSCSPIVGVDVMSFDTERDVLLAWRDFIHEVDPDIIIGYNICKFDLPYLIERAEVLKIVEFPILGRIRNSRVRVRDTTFSSRQYGMRESKDVAVEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNSETRRRLADAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNIKGQASGQDTFEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVPPEDARKLNLPPESVNKTPSGETFVKPDVQKGILPEILEELLAARKRAKADLKEAKDPFERAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTLGGYEHNAEVIYGDTDSVMVQFGVSTVEDAMKLGREAADYISGTFIKPIKLEFEKIYFPYLLISKKRYAGLYWTNPEKFDKMDTKGIETVRRDNCLLVKNLVTECLHKILVDRDVPGAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGEDYAVKAAHVELAERMRKRDAATAPTVGDRVPYVIIKAAKGAKAYERSEDPIYVLDNNIPIDPQYYLENQISKPLLRIFEPILKNASRELLHGSHTRAVSISTPSNSGIMKFAKKQLTCLGCKAVISGSNQTLCSHCKGREAELYCKTVGNVSELEMLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRRKAQKDMAEARVQLQRWDF >ORUFI11G05180.1 pep chromosome:OR_W1943:11:4022556:4024586:1 gene:ORUFI11G05180 transcript:ORUFI11G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKEGKLIMSREDEEIMAWFERTTRDAADVQRETLRRILAENAGVEYLRELGLAGLTDAGSFRARVPVVTHADLDPYIQRVADGDASPVLTAKPVTAISLSSGTTQGKRKRLLFNDDLLRSSIRFFHASYAFTNRAFPVEDGRVLQFMYGSRHETTKGGLTATTVMTNLLRSEEFTASMAARSRPRLPSCSPSEVVFSPDFDESLYCHLLCGLLLAGEVRAVSASFAHSIVVALQALERVWRELCADIRRGAASPARVTTPAVRRAVAPILAAPNPALADALERRCAALGDWSGVIPALWPNARYVQATMTGSMEHYVKKLRHYAGGVPLVSGNYASSEGVIGINAEQHAPPESVVFTVLPDAAYFEFIPLKPPCTDAAADDDNPAAAGSSCYVDADDANPVGLTDVVVGEHYEVVMTTFTGLYRYRLGDVVKVAGFHHATPKLRFVCRRSLILSINVDKNSEHDLQLAVDSAAKILAGDGENHKQLEIADYTSHADTSSDPGHYVVFWELNGGGEEDGGGVLQRCCDEMDRAFGADAGYAQSRKTCAIGALELRVLRRGAFQEVLRHYVAGGSSAGQFKMPRCVAPSNAGVLRVLKDNTINIFFSTAYDYD >ORUFI11G05190.1 pep chromosome:OR_W1943:11:4028188:4030544:-1 gene:ORUFI11G05190 transcript:ORUFI11G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVDARRRVYEFLDTVDGDPTRARGRAARHGGARRARDVAEEAVAACPGEPAVHAKCARLELLLGHEGRAFAVLARALAAFGSDAAAQEWLVDQVRVYRDALRRRTLAGRLRSCCRAVMPSRRPRGYERLQTDYLH >ORUFI11G05200.1 pep chromosome:OR_W1943:11:4030917:4031348:1 gene:ORUFI11G05200 transcript:ORUFI11G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQVVGPPPVPPPSAPPLPPERQLSGEFVDVELGNVSSTVVDVDRLRQLVTPSAPPLPPETMNPPPRRQLTGEFVDVELGNASLYTVQRRQPQTTAQTAYDDEAGLCCSVLCAMVIFLSIAILVLIFIGVIVVLIDKYVFGI >ORUFI11G05210.1 pep chromosome:OR_W1943:11:4034554:4037890:1 gene:ORUFI11G05210 transcript:ORUFI11G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPKRYAIVLLTFMCTNVCYIERVGFSIAYTVAADAVGTNQANKGMILSMFYYGYVLSQIPGGWAAQRLGGRLVLLLSFVLWSSICAVVPLDPNRVILLVLSRLLVGVAQGLIFPSIHTVLAQWVPPQERSRSVSLTTSGMYLGAACGMLLLPSLVKNMGPQSVFSVEAMLGVAWLLIWFKFASDPPRTDLPKVASKDKMKVQTGGIMAPRTVKIPWARILFSLPIWAIVVNNFTFHYALYVLMNWLPTYFKLGLQLSLQDMGFSKMLPYLNMFLFSNIGGVLADHLITRKILSVTKTRKLLNTVGFVVSAIALMALPLFRTPSGAIFCSSVSLGFLALGRAGFAVNHMDVAPKFAGIVMGISNTAGTLAGIVGVGLTGRILEAAKASNMDLTSSESWRTVFFVPGYLCIFSSFIFLIFSTGEKIFE >ORUFI11G05220.1 pep chromosome:OR_W1943:11:4036495:4039586:-1 gene:ORUFI11G05220 transcript:ORUFI11G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLDGDESEATLAELAAGFEEWGFFQLVNTGIPDDLLERVKKVCSDIYKLREDGFKESNPAVKALARLVDQEGEGLAMKKIEDMDWEDVFTLQDDLPWPSNPPSFKETMMEYRRELKKLAEKLLGVMEELLGLEEGHIRKAFTNDGDFEPFYGTKVSHYPPCPRPELVDGLRAHTDAGGLILLFQDDRFGGLQMIPNRGGDGRWIDVQPVENAIVVNTGDQIEVLSNGRFKSAWHRILATRDGNRRSIASFYNPARMANIAPAIPAAAADYPSFKFGDYMEVYVKQKFQAKEPRFAALANNVFAQVIFSPVEKIKKMKELKIQRYPGTKKTVLQLSELVRSMLDAFAASRILPVRPTPTIPAKVPAVFEIPITIPANFGATSMWFTANPALPRARKPRDTDEQNIAPEGVLNNGRAMSAMAETTNPTVISEDPTNVRE >ORUFI11G05230.1 pep chromosome:OR_W1943:11:4047988:4051300:-1 gene:ORUFI11G05230 transcript:ORUFI11G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNRSRGTREETITTLQDHPTKFSKHESKGLCPRNSLLCQTIFATSMQEHPRTMTREHDGDGEVVAAAVHVAAGRRGGRGDAGAEEDGDRNAVDKSEFSDAVRVVVDDEEPEFPSDDDEGGDDDVRVSFATAVGDSDEHLREEQGELDLDDDDEEDVSRYEYDYGMWMEAEPMSIQERRRRLLQGMGLASSRDLLRSRSARMRPILPPNIPRCASRRQPPSQCPAAAADDAPSTSTAATVKRQRNAVLTRCRSDSRLAVRGGGAARKPPTFRRVYSVPHSLHGSPVHKALRAAARSRSPLPLAAPKDERENTVRNLDDGKEFVVSGQPAAGGSRGALSDLKTGVQLSLDEFERFIGYTPFVKQLMRRSQSQPVAAGGANGDAKPGKKKPRWLKNIKLVASAAGLIQEKYKESNCGGGGCGRSSSSSSSSAEQAHQPGVTMSKSASTNAATMASSSSSLERPKVHSFGKTARELTGMYFRQEVRAHEGSIWSIKFSPDGRFLASGGEDRVVHVWRVVDDGAPPSSMSPELLSSSQSLPPLAPHGDGGLAAQLSRKLRARRWKSCKDVLPEHVVVPDTAFALADEPVCSLEGHLDDVLDLAWSMYSLLLLSSSMDKTVRLWDTEAKACLKLFPHNDYVTCVQFNPVDDGYFISGSLDSKVRIWSVAERQVVDWSDLDDMVTAACYTPDGQAAIVGSHKGSCRFYKTADCKLNQEAQIDMNISKKRKSHAKKITGFQFAPGNPSEILVTTADSQIRVFNGITVLQKFKGFKNTSSQISASYSGDGRYVVCASEDSNVYVWRRATSPGGAAGGGVAVKAKTWRTSRAYECFFCKDVSAAVPWPLSPCLPPTRGGGGGDDEERASSSVRGAVVGGDASASRSPVRHLGSLPLRPKSGPMTYSGEKQLGVPREPSSRWHGGAEGGNAWGMVVVTASLAGEIRVYQNFGMPLSLFRKT >ORUFI11G05230.2 pep chromosome:OR_W1943:11:4047988:4051300:-1 gene:ORUFI11G05230 transcript:ORUFI11G05230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNRSRGTREETITTLQDHPTKFSKHESKGLCPRNSLLCQTIFATSMQEHPRTMTREHDGDGEVVAAAVHVAAGRRGGRGDAGAEEDGDRNAVDKSEFSDAVRVVVDDEEPEFPSDDDEGGDDDVRVSFATAVGDSDEHLREEQGELDLDDDDEEDVSRYEYDYGMWMEAEPMSIQERRRRLLQGMGLASSRDLLRSRSARMRPILPPNIPRCASRRQPPSQCPAAAADDAPSTSTAATVKRQRNAVLTRCRSDSRLAVRGGGAARKPPTFRRVYSVPHSLHGSPVHKALRAAARSRSPLPLAAPKDERENTVRNLDDGKEFVVSGQPAAGGSRGALSDLKTGVQLSLDEFERFIGYTPFVKQLMRRSQSQPVAAGGANGDAKPGKKKPRWLKNIKLVASAAGLIQEKYKESNCGGGGCGRSSSSSSSSAEQAHQPGVTMSKSASTNAATMASSSSSLERPKVHSFGKTARELTGMYFRQEVRAHEGSIWSIKFSPDGRFLASGGEDRVVHVWRVVDDGAPPSSMSPELLSSSQSLPPLAPHGDGGLAAQLSRKLRARRWKSCKDVLPEHVVVPDTAFALADEPVCSLEGHLDDVLDLAWSMYSLLLLSSSMDKTVRLWDTEAKACLKLFPHNDYVTCVQFNPVDDGYFISGSLDSKVRIWSVAERQVVDWSDLDDMVTAACYTPDGQAAIVGSHKGSCRFYKTADCKLNQEAQIDMNISKKRKSHAKKITGFQVLARCCSSSRSKNSWSKNVVVQFAPGNPSEILVTTADSQIRVFNGITVLQKFKGFKNTSSQISASYSGDGRYVVCASEDSNVYVWRRATSPGGAAGGGVAVKAKTWRTSRAYECFFCKDVSAAVPWPLSPCLPPTRGGGGGDDEERASSSVRGAVVGGDASASRSPVRHLGSLPLRPKSGPMTYSGEKQLGVPREPSSRWHGGAEGGNAWGMVVVTASLAGEIRVYQNFGMPLSLFRKT >ORUFI11G05240.1 pep chromosome:OR_W1943:11:4052663:4053964:-1 gene:ORUFI11G05240 transcript:ORUFI11G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPTPSSSSFLDFTGGVDGDDDDPSCPFEGLCCPDDPLDQVLNFDSSDFGHVFFESLDVELFLPRGGPSRGAGEEDSKGAVERVAFGSSAAVESELGGVGGGGAGSEVSVPGGAGGGRGEDMETKALDVKPVVGVGAGGAMGAHVAGGVGAPGAFPESKQLVPWPCAVGAGASAPGAAPDNRLLALPDVRFDALTGGERGKTIPDSVSKNGLPTLPGVRSATPTAPPATPFRLEWDHAAAPSSSATTTPSDSSLSSPPSLTSAFPRIARVFPSRTKPRRRHTLRRQHWSLICPLHLVPVAAAAAADDDDAAARGKSISELNASASASASAAADAPSTNDGGGGSYHRRVVGRQRNRQVRKDRRCSHCGTSETPQWRMGPDGPGTLCNACGIRSKMDRLLPEYRPSTSPSFNGDEHSNRHRKVLKLREKKVRD >ORUFI11G05250.1 pep chromosome:OR_W1943:11:4058388:4059671:-1 gene:ORUFI11G05250 transcript:ORUFI11G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQVVGPPPVPPPSAPPLPPERQLSGEFVDVELGNVSSTVVDVDPLRQLVTPSAPPLPPETMNPPPRRQLTGEFVDVELGNAGLSTVQRRRRQRTTARSADDEDGLCSMVCAAVIVLIFMVAIVVLLNKYVGVREYKKVTIGAETSQRVGNKEIIRFILLLTENILS >ORUFI11G05260.1 pep chromosome:OR_W1943:11:4060043:4060696:1 gene:ORUFI11G05260 transcript:ORUFI11G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEARRRVYEFLDTVDGDPTRARGRAVRCCEMALNLYAEYGDMAEAQGLLRGGLMQRDADYGSIYRGWIAMEADHAGNVDFARALFGEWHALCGDQDGGFWCRYIAFEARHGGARRARDVAEAAVAACPREPAVHAKCARLELLLGHEDRASAVLARALAAFDSDAAAQEWLVDQVRVYRDALRRRTLAGRLLSCCRAVMPSRRPHGGYEKLHSV >ORUFI11G05270.1 pep chromosome:OR_W1943:11:4065648:4067987:1 gene:ORUFI11G05270 transcript:ORUFI11G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHCINEFIRKHDVAEESIRSNQKAIRRLRSACERAKRLLSFTAQTSIETSIEVDSLHDGVDFCAKMSRSRFEELNKELFGRCVKAVEKCLEDAKMDKGDVHDVVLM >ORUFI11G05280.1 pep chromosome:OR_W1943:11:4068186:4069678:1 gene:ORUFI11G05280 transcript:ORUFI11G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQLLRAEVKRLIGRRFSDESVQQDIKLWPFKVVAGREDKPMIVVRHEGKEKQFMPEEISMVLAKMRETAEPAAAALAYGLEQMPVSNKGRMVLVFDLGGGTFDVSLLNIDPGVNTDMGLFEVKATAGDTHLGGADFDNELVNYSLREFKRKHGSMDIESNQKALRRLRTAYERAKRMLSSMAQTTIEVDSLHQGIDFHVTITRSRFEELNKDLFSKCMVAMENCLRDAKVDKGSVDDVVLVGGSTRIPKASVLCGGTDDKRLVDMLLRDVTPLSLGIEAEDDSMSCIMSVVIPRNTAIPTKKVAEGFTTRYDNQISVTCKVYEGESASIKDNNLLGEFDLCGILQAPRGVPCLDVTFDIDANGVLNVSVEDKDTGQKNSITISNSSGRLNKEEIERMALEAERYKMNRIKQLQIEPVQGN >ORUFI11G05290.1 pep chromosome:OR_W1943:11:4071658:4072207:1 gene:ORUFI11G05290 transcript:ORUFI11G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPTWKGRSSIEKKSGAASKDKLTPIAAMAHTTPLRGYGELPLHDAKVDKNSVHDVILVGDSTRIPKVQKTLSEFFDGKELCRSTSTPMISHRVWRRYPCVHYKWLKAVGGYASARRHLCNVRTRPEKYYLLHAHKLYILLLSSRPRIRY >ORUFI11G05300.1 pep chromosome:OR_W1943:11:4076648:4077088:-1 gene:ORUFI11G05300 transcript:ORUFI11G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPNYHYPRSSIIGILMQWAASTYRDASGAGFFFGPQSRPTKKLANLRAGHPAWTLQNCLAHPAQPVGHHGIRAQTGRRKTQPQRRSRDGRRETAAARRRRSGRYSRLPRRPSIQIQQPTLSSLLIQRNSESSAFHTSVLDSS >ORUFI11G05310.1 pep chromosome:OR_W1943:11:4077113:4077562:-1 gene:ORUFI11G05310 transcript:ORUFI11G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLREVTPLSLGIESSDDFTRHNMSVVIPRNTAIPTKKVEGFTTNYDNQIDVSFPVYEGESASTKDNNLLGKFTLYGIPPAPKQAPSIDVTFEIDANGVLHVSAERMDTGRKNSITIASRSGRLNKEEIKRMALEAERHKIKRIKQTKA >ORUFI11G05320.1 pep chromosome:OR_W1943:11:4077608:4094639:-1 gene:ORUFI11G05320 transcript:ORUFI11G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNTTKKGGDGGGGGPAIGIDLGTTYSCVAVRRRYRSEAITNDQGNRITPSCVAFTAADRFVGDAAENQAALNPTNTIFEVKRLIGRRFSDKSVQEDIKLWPFKVIAGRDDRPTIVVRHEGKEKQFVPEEISAMVLSKLRDAAVAYLGEPVTDAVITVPVYFNNAQREATLDAATIAGLNVMRIINEPSAAALAYGLDKMPPASGGAGRMVLIFDLGGGTLDVSLLNIGRPGNNNSSDSGSFEFEVKAVAGDTHLGGADFDNAMVKHCINEFIRKHGVATEGIWSNQKAIRRLRTACERAKRMLSFTTHASIEVDSLHDGIDFCGKMSRSRFEELNKELFGKCVKAVKKCLEDAKMDKNAVDDVVLVGGSSRIPKLQNMIHDFFDEKKLRRNVNPDEAVAYGAAIQASVLNGDADEADDKKQVMILRDITPLSLGIEVGLDHTMSVVIPRNTFIPTKNVRRYTTIFDNQTVSCINVFEGESVSTLDNNLLGKFVLSGILPAPRGVPQIDVTFEFDASGVLHVSAEDMGTGRRNCITITNHSGRLKKEEDNFWEPGDLGMMFCTCLLDVLHLPIELISMTGKDGSFLVPFDPNASDCGDQTMAASSTTKKGGDGDGGGGPAIGIDLGTTYSCVAVRRHNRSEVITNDQGNRITPSCVAFTAADRFVGDAADNQAALNPTNTIFEAKRLIGRRFSDKSVQEDIKLWPFKVVAGPDDRPTIVVQHEGKEMQFVPEEINAMVLSKLRDAAVAYLGEPVTDAVITVPVYFNNAQREATLDAAAIAGLNVMRIINEPSAAAIAYGLDKMPPPPPPASSGGAAGRTVLIFDLGGGTLDVSLLNIGRPGNNSNSGDNGSSFEFEVKAVAGDTHLGGADFDNAMGDVHDVVLVGGSSRIPKLQSMLHDVFDEKKLRHSVNPDEAVAYGAAIQASILNGDFDDADDKKKAMILRDITPFSLGVEIYDENDHTMSVVIPRNTFIPAKKVKGYTTHRDMQTSVSIKVFEGESASTKNNNLLGKFALSGITPAPAGVARIDETLEIDANGVLHVSAEDMDTGRKNSITITNHSGRLKKEDVERMSREARSYNRKRKRTRSSLQINSGNLLQQQPATGIELFWSPAGDVHDVVLVGGSSRIPKLQSMLHDFFQEKKLRHSVNPDEAVAYGAAIQASILNGDFDDADDKKKAMILRDITPFSLGVEIYDENDHTMSVVIPRNTFIPTKNVRRYTTQFDNQTGVSINVFEGESASTLDNNLLGKFVLSGILPAPRGVPQIDVTFEFDTNGVLHVSAEDMGTGRKNSITITNHSGRLKKEDVERMSREARSYNRKRKRTRSSLQLSIDEFWEPGDLGILASDCGEMAASSGNGKQGGGGGGGPAVGIDLGTTYSCVAVWRHDRGEVIANDQGNRLTPSCVAFAADDDESFVGDAAFNQAALNPTNTIFEVKRLIGRRFSDDSVQKDIKLWPFKVVAGQEDRPMIVVRHGGKERQFMPEEISSMVLAKMRETAEVYLGKTVKNAVITVPVYFNNAQRQATIDAGAIAGLNVMRIINEPTAAALAYGLEKMPVSNKGRMVLVFDLGGGTFNISLLNIDPGVDIDMGLFEVKATAGDTHLGGADFDNELVKYSLREFIRKHGSMDIKSNHKALRRLRTACERAKRMLSSMTQTTIEVDSLHQGIDFRVTLTRSRFEVLNKDLFSKCMVAMENCLRDAKVDKNSVHDVVLVGGSTRIPKVQKMLSEFFDGKELCRSINPDEAVAYGAAI >ORUFI11G05320.2 pep chromosome:OR_W1943:11:4077608:4094639:-1 gene:ORUFI11G05320 transcript:ORUFI11G05320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNTTKKGGDGGGGGPAIGIDLGTTYSCVAVRRRYRSEAITNDQGNRITPSCVAFTAADRFVGDAAENQAALNPTNTIFEVKRLIGRRFSDKSVQEDIKLWPFKVIAGRDDRPTIVVRHEGKEKQFVPEEISAMVLSKLRDAAVAYLGEPVTDAVITVPVYFNNAQREATLDAATIAGLNVMRIINEPSAAALAYGLDKMPPASGGAGRMVLIFDLGGGTLDVSLLNIGRPGNNNSSDSGSFEFEVKAVAGDTHLGGADFDNAMVKHCINEFIRKHGVATEGIWSNQKAIRRLRTACERAKRMLSFTTHASIEVDSLHDGIDFCGKMSRSRFEELNKELFGKCVKAVKKCLEDAKMDKNAVDDVVLVGGSSRIPKLQNMIHDFFDEKKLRRNVNPDEAVAYGAAIQASVLNGDADEADDKKQVMILRDITPLSLGIEVGLDHTMSVVIPRNTFIPTKNVRRYTTIFDNQTVSCINVFEGESVSTLDNNLLGKFVLSGILPAPRGVPQIDVTFEFDASGVLHVSAEDMGTGRRNCITITNHSGRLKKEEDNFWEPGDLGMMFCTCLLDVLHLPIELISMTGKDGSFLVPFDPNASDCGDQTMAASSTTKKGGDGDGGGGPAIGIDLGTTYSCVAVRRHNRSEVITNDQGNRITPSCVAFTAADRFVGDAADNQAALNPTNTIFEAKRLIGRRFSDKSVQEDIKLWPFKVVAGPDDRPTIVVQHEGKEMQFVPEEINAMVLSKLRDAAVAYLGEPVTDAVITVPVYFNNAQREATLDAAAIAGLNVMRIINEPSAAAIAYGLDKMPPPPPPASSGGAAGRTVLIFDLGGGTLDVSLLNIGRPGNNSNSGDNGSSFEFEVKAVAGDTHLGGADFDNAMGDVHDVVLVGGSSRIPKLQSMLHDVFDEKKLRHSVNPDEAVAYGAAIQASILNGDFDDADDKKKAMILRDITPFSLGVEIYDENDHTMSVVIPRNTFIPAKKVKGYTTHRDMQTSVSIKVFEGESASTKNNNLLGKFALSGITPAPAGVARIDETLEIDANGVLHVSAEDMDTGRKNSITITNHSGRLKKEDVERMSREARSYNRKRKRTRSSLQINSGNLLQQQPATGIELFWSPAGDVHDVVLVGGSSRIPKLQSMLHDFFQEKKLRHSVNPDEAVAYGAAIQASILNGDFDDADDKKKAMILRDITPFSLGVEIYDENDHTMSVVIPRNTFIPTKNVRRYTTQFDNQTGVSINVFEGESASTLDNNLLGKFVLSGILPAPRGVPQIDVTFEFDTNGVLHVSAEDMGTGRKNSITITNHSGRLKKEDVERMSREARSYNRKRKRTRSSLQMNSGNLILASDCGEMAASSGNGKQGGGGGGGPAVGIDLGTTYSCVAVWRHDRGEVIANDQGNRLTPSCVAFAADDDESFVGDAAFNQAALNPTNTIFEVKRLIGRRFSDDSVQKDIKLWPFKVVAGQEDRPMIVVRHGGKERQFMPEEISSMVLAKMRETAEVYLGKTVKNAVITVPVYFNNAQRQATIDAGAIAGLNVMRIINEPTAAALAYGLEKMPVSNKGRMVLVFDLGGGTFNISLLNIDPGVDIDMGLFEVKATAGDTHLGGADFDNELVKYSLREFIRKHGSMDIKSNHKALRRLRTACERAKRMLSSMTQTTIEVDSLHQGIDFRVTLTRSRFEVLNKDLFSKCMVAMENCLRDAKVDKNSVHDVVLVGGSTRIPKVQKMLSEFFDGKELCRSINPDEAVAYGAAI >ORUFI11G05330.1 pep chromosome:OR_W1943:11:4100914:4101974:1 gene:ORUFI11G05330 transcript:ORUFI11G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAIDRILEMLEQMEAKRRCDEKIDRILEKLDEIEANRSKATWEMITANRATSAILKATLSPTPMAPPPPMPTKCSTICSSSDAKADITVAIEVTCVTSVESSMELVATDSTTGGTHINTPDSTKVMPANCSTVGLGVKGGADFARVTCQTMMGVPEGVLVPDASSEVFSPWLIAEMDPMTFMVTKCLMKCLECDSKGWATEIHDQHMGWNLVTAGSKSLSCHHSHRQLNPGGLIFLNIVKLQEAWNYIEVKVPWLLLDQARFKGVPMDYAMVGQISNINGKKV >ORUFI11G05340.1 pep chromosome:OR_W1943:11:4103330:4106264:1 gene:ORUFI11G05340 transcript:ORUFI11G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQQRRPATYAAARPPPPPVPTSHATTIVVDPDSARHSPLLTDDKIKEAQGSPKERNNYGKSKAGDANSDEEGDTSGEDESNDDEV >ORUFI11G05350.1 pep chromosome:OR_W1943:11:4136328:4158819:1 gene:ORUFI11G05350 transcript:ORUFI11G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVSEGGSPWLRSVNNLLGRQVWEFDPDLGTPEERADVEKARREFADHRFDRKHSSDLLMRMQFAKENCQRLDLLAVKRGEHEDVMGEAVWSSLKRAVSRVCNLQAHDGHWPGDYAGLMFLLPGLNEDGGWGLHIEGHSTMLGSSLNYVALRLLGEGPNGGDGCIENGRNWILDHGGATFTSSWGKFWLSVLGVFDWSGNNPVPPELLLLPYQLPFHPGRMSCYIRMVYIPMSYVYGKRFVGPVTPVVLELRSELYNDPYDEIDWNKARTQCAKEDMYYPRSSNLDMFWSFLDKFIEPVLLRWPGRKLREKALATSMRNVHYEDECTRYICLGGVPKALNTLACWVEDPSSEAFKCHIARVYDYLWIAEDGMKMQIYDGSQVWDASLTVEALVATDLVKELGPTLKRAHSFLKNSQLLDNCPRDFNRWYRHISKGGWTFTTADDGWQVSDCTATALKACLLLSRISPEIVGEPLEIDAQYNAINCLMSFMNDNGGFSAFELVRSNTWLEHINPTEAFGRAMIEYPELHPGHRKEEVENCISKGANFIEKSQRSDGSWYGSWGVCFTYATWFAVTGLVAAGRTLGNSATVRKACDFLLSKQLPSGGWGESYLSSHDEVYTNLKGNRPHGTHTAWAMIALIDAGQAERDPVPLHRAAKALLNLQLEDGEFPQQEIVGVFLQTAMASYSQYRNIFPIMALTRYRRRVLLEGNI >ORUFI11G05360.1 pep chromosome:OR_W1943:11:4158345:4166910:-1 gene:ORUFI11G05360 transcript:ORUFI11G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIMQVSQGLMVIPVQRYFQIGFRGGQFSGEKLEWLLSKGFKIIVDLWEEDVKDDLYLLAVQEAVSLGKIEVVNIPVEIGTAPSAKQVQRLTEVVSDSVKKPIYLHCQEGNETVDTQNGSLNWNGKPVKNDQTDQLTNSPGFSSEGSKNSTSSLSAEQNEPLTINQLRFYGLEKLGHPLIVTIFYQLLLVLLMENHPAMEPPHLLRKGHLMPAILKAIVSLDHKNLLKGTKIVKYLLACMPLPSTFFLDGRELVIFYPVIVLQCRVLGGFIPTALTWEEEEADTLGQAGAGKKRLTGPRQKGGKETVFPLQLMHLKEGSDPYLPKIECYEHNHLITKVEGDEGDSSYTDWQYSILWCSWRLNGPSKCPMFAVYSNLPALIIPDDARSNVWVSFDGKRRQQLSRVDCSNIHESAPTPNYFEDI >ORUFI11G05360.10 pep chromosome:OR_W1943:11:4166422:4166910:-1 gene:ORUFI11G05360 transcript:ORUFI11G05360.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIMQVSQGLMVIPVQRYFQIGFRGGQFSGEKLEWLLSKGFKIIVDLWEEDVKDDLYLLAVQEAVSLGKIEVVNIPVEIGTAPSAKQVQRLTEVVSDSVKKPIYLHCQEGNGRTYVMVSRWKQHVTCAERLWIHKMAH >ORUFI11G05360.2 pep chromosome:OR_W1943:11:4159079:4166910:-1 gene:ORUFI11G05360 transcript:ORUFI11G05360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIMQVSQGLMVIPVQRYFQIGFRGGQFSGEKLEWLLSKGFKIIVDLWEEDVKDDLYLLAVQEAVSLGKIEVVNIPVEIGTAPSAKQVQRLTEVVSDSVKKPIYLHCQEGNETVDTQNGSLNWNGKPVKNDQTDQLTNSPGFSSEGSKNSTSSLSAEQNEPLTINQLRFYGLEKLGHPLIVTIFYQLLLVLLMENHPAMEPPHLLRKGHLMPAILKAIVSLDHKNLLKGTKIVKYLLACMPLPSTFFLDGRELVIFYPVIVLQCRVLGGFIPTALTWEEEEADTLGQAGAGKKRLTGPRQKGGKETVFPLQLMHLKEGSDPYLPKIECYEHNHLITKVEGDEGDSSYTDWQYSILWCSWRLNGPSKCPMFAVYSNLPALIGGQLLS >ORUFI11G05360.3 pep chromosome:OR_W1943:11:4158345:4166910:-1 gene:ORUFI11G05360 transcript:ORUFI11G05360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIMQVSQGLMVIPVQRYFQIGFRGGQFSGEKLEWLLSKGFKIIVDLWEEDVKDDLYLLAVQEAVSLGKIEVVNIPVEIGTAPSAKQVQRLTEVVSDSVKKPIYLHCQEGNVGASFDSDYILSVASGITNGKPSSNGASTSVEESLDHKNLLKGTKIVKYLLACMPLPSTFFLDGRELVIFYPVIVLQCRVLGGFIPTALTWEEEEADTLGQAGAGKKRLTGPRQKGGKETVFPLQLMHLKEGSDPYLPKIECYEHNHLITKVEGDEGDSSYTDWQYSILWCSWRLNGPSKCPMFAVYSNLPALIIPDDARSNVWVSFDGKRRQQLSRVDCSNIHESAPTPNYFEDI >ORUFI11G05360.4 pep chromosome:OR_W1943:11:4158345:4166910:-1 gene:ORUFI11G05360 transcript:ORUFI11G05360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIMQVSQGLMVIPVQRYFQIGFRGGQFSGEKLEWLLSKGFKIIVDLWEEDVKDDLYLLAVQEAVSLGKIEVVNIPVEIGTAPSAKQVQRLTEVVSDSVKKPIYLHCQEGNETVDTQNGSLNWNGKPVKNDQTDQLTNSPGFSSEGSKNSTSSLSAEQNEPLTINQLRFYGLEKLGHPLIVTIFYQLLLVLLMENHPAMEPPHLLRKGHLMPAILKAIVSLDHKNLLKGTKIVKYLLACMPLPSTFFLDGRELVIFYPVIVLQCRVLGGFIPTALTWEEEEADTLGQAGAGKKRLTGPRQKGGKETVFPLQLMHLKEGSDPYLPKIECYEHNHLITKVEGDEGDSSYTDWQYSILWCSWRLNDFEDI >ORUFI11G05360.5 pep chromosome:OR_W1943:11:4158345:4166910:-1 gene:ORUFI11G05360 transcript:ORUFI11G05360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIMQVSQGLMVIPVQRYFQIGFRGGQFSGEKLEWLLSKGFKIIVDLWEEDVKDDLYLLAVQEAVSLGKIEVVNIPVEIGTAPSAKQVQRLTEVVSDSVKKPIYLHCQEGNVGASFDSDYILSVASGITNGKPSSNGASTSVEESLDHKNLLKGTKIVKYLLACMPLPSTFFLDGRELVIFYPVIVLQCRVLGGFIPTALTWEEEEADTLGQAGAGKKRLTGPRQKGGKETVFPLQLMHLKEGSDPYLPKIECYEHNHLITKVEGDEGDSSYTDWQYSILWCSWRLNDFEDI >ORUFI11G05360.6 pep chromosome:OR_W1943:11:4158345:4165780:-1 gene:ORUFI11G05360 transcript:ORUFI11G05360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPHLLRKGHLMPAILKAIVSLDHKNLLKGTIVKYLLACMPLPSTFFLDGRELVIFYPVIVLQCRVLGGFIPTALTWEEEEADTLGQAGAGKKRLTGPRQKGGKETVFPLQLMHLKEGSDPYLPKIECYEHNHLITKVEGDEGDSSYTDWQYSILWCSWRLNGPSKCPMFAVYSNLPALIIPDDARSNVWVSFDGKRRQQLSRVDCSNIHESAPTPNYFEDI >ORUFI11G05360.7 pep chromosome:OR_W1943:11:4158345:4165780:-1 gene:ORUFI11G05360 transcript:ORUFI11G05360.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPHLLRKGHLMPAILKAIVSLDHKNLLKGTIVKYLLACMPLPSTFFLDGRELVIVLQCRVLGGFIPTALTWEEEEADTLGQAGAGKKRLTGPRQKGGKETVFPLQLMHLKEGSDPYLPKIECYEHNHLITKVEGDEGDSSYTDWQYSILWCSWRLNGPSKCPMFAVYSNLPALIIPDDARSNVWVSFDGKRRQQLSRVDCSNIHESAPTPNYFEDI >ORUFI11G05360.8 pep chromosome:OR_W1943:11:4158345:4167149:-1 gene:ORUFI11G05360 transcript:ORUFI11G05360.8 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSIAEPGLKRGALRAIRLHLPASYLVPSEAQWLDIWRMLHRLKMPDIMQVSQGLMVIPVQRYFQIGFRGGQFSGEKLEWLLSKGFKIIVDLWEEDVKDDLYLLAVQEAVSLGKIEVVNIPVEIGTAPSAKQVQRLTEVVSDSVKKPIYLHCQEGNGRTYVMVSRWKQHTVDTQNGSLNWNGKPVKNDQTDQLTNSPGFSSEGSKNSTSSLSAEQNEPLTINQLRFYGLEKLGHPLIVTIFYQLLLVLLMENHPAMEPPHLLRKGHLMPAILKAIVSLDHKNLLKGTIVKYLLACMPLPSTFFLDGRELVIVLQCRVLDFEDI >ORUFI11G05360.9 pep chromosome:OR_W1943:11:4166972:4167812:-1 gene:ORUFI11G05360 transcript:ORUFI11G05360.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGGSGGARHGVVAAWASFFSSRIGLDCQNYHTGTCPNCCGWVRCLATFQRLRCTATYSVLPSSSTLQSCWQSVIQRQRNAQSAMMCKPWTCQYWRTKLGSEDSTMDRIPPLVMFCGDLKRCCESMQVALAS >ORUFI11G05370.1 pep chromosome:OR_W1943:11:4168359:4171935:1 gene:ORUFI11G05370 transcript:ORUFI11G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLDSLASSWLAHQPICRGVIAWASRSRSGVNASSRGAGSASRRRAAVNASSRGASIFLGGPPPDQVSTMKDDNTKSFLNKVKDFWTEITTRESQVVKNNNADEIEKNNKKRSGLYRDLIVMFALGSVFWSGAKKALSQLKGWVFCVASVYINFALISMMVGAAAGTLPDVFRCHMGLSGNGVLQGLLFNIIAFNYELFTTLLPGSLVKLSQRVMYWVTGATSAIAVTVIWTLATEDPLCALVVLRIIGRFLRWICVAICSCFPDFRHPKKMSKSAGHKGTTNQDLAAALVGTNHSQV >ORUFI11G05380.1 pep chromosome:OR_W1943:11:4196562:4200904:1 gene:ORUFI11G05380 transcript:ORUFI11G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCDACGCACAHGGRGVVAPMEVDDDGDEQQSLAAPLLLDLEAAAAVGSKPPADDDDDHTTATTVVEKMAAVLPRIRLSWVFLFLLWVYLLNWVRRFTLTYTDGSIWLTTFAVMVSAIPLTELFYIHAMRIEEITEDDDDASYEEASYKHKQKMGRCILRGLLVLAWLFVIDFGRRVWGEVDELLPAIFIVSWLVITATQFLVMAGFPKPLQALQKKKNMSVLEAITNDAWIEDIRGGVTIQLLQEYICVWEVLHNAGEVIHEGAEDTILWRWSASGLYTAKSAYVMQFMGKTNNRIFRGKESTASALASKIIDELHLWEMAGAKGVKECRQEEFLRERLSCLLFCTVSLTSSII >ORUFI11G05390.1 pep chromosome:OR_W1943:11:4207026:4208361:1 gene:ORUFI11G05390 transcript:ORUFI11G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPKPFCKALKEFWLQICRKRSTTEYQENRRIEEESVANAYINFALLSMLIGVAAGTFPGHFKCPLALSGNIMESSRG >ORUFI11G05400.1 pep chromosome:OR_W1943:11:4213226:4223232:1 gene:ORUFI11G05400 transcript:ORUFI11G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSHGAAAAAASSSAGAGRRAATFAGASVFLSRSLVAPEVYDAVHDALRLNGAEVFLCADPARTGPLDFHVISSSSHERFADLRAKGCNLLGFEKDEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGLNICITKLNVDERRELAKIIVQNGGQYSANLTRRCTHLVSNISFGDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCQNSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKESSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCAVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRIFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQDRIVPFDQFQPKPPTSQDRDAGLCTVSQYPTQAAKTISRFDCSESHTESQLPRSSSKYNSGNASVNEEPNDPGVSKRRRLSEFGKANDTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIFAPDDSVLNQDQENTHSFGISRHWLNMPQKLHSTPDTKVQSGNSATTSAAPPAAATAYYPFSETQTESQQDSKSARQMEYNLEAIPRQRTWADLKDGEAAKPFGDGLHEKTSVMMTYAAVLSCFET >ORUFI11G05400.2 pep chromosome:OR_W1943:11:4213226:4223232:1 gene:ORUFI11G05400 transcript:ORUFI11G05400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSHGAAAAAASSSAGAGRRAATFAGASVFLSRSLVAPEVYDAVHDALRLNGAEVFLCADPARTGPLDFHVISSSSHERFADLRAKGCNLLGFEKDEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGLNICITKLNVDERRELAKIIVQNGGQYSANLTRRCTHLVSNISFGDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCQNSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKESSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCAVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRIFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQDRIVPFDQFQPKPPTSQDRDAGLCTVSQYPTQAAKTISRFDCSESHTESQLPRSSSKYNSGNASVNEEPNDPGVSKRRRLSEFGKANDTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIFAPDDSVLNQDQENTHSFGISRHWLNMPQKLHSTPDTKVQSGNSATTSAAPPAAATAYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPAAEMSSDT >ORUFI11G05400.3 pep chromosome:OR_W1943:11:4213226:4223232:1 gene:ORUFI11G05400 transcript:ORUFI11G05400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSHGAAAAAASSSAGAGRRAATFAGASVFLSRSLVAPEVYDAVHDALRLNGAEVFLCADPARTGPLDFHVISSSSHERFADLRAKGCNLLGFEKDEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGRTEGVGKNNSAEWWPVFSKSYTAVHSFSDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCQNSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKESSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCAVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRIFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQDRIVPFDQFQPKPPTSQDRDAGLCTVSQYPTQAAKTISRFDCSESHTESQLPRSSSKYNSGNASVNEEPNDPGVSKRRRLSEFGKANDTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIFAPDDSVLNQDQENTHSFGISRHWLNMPQKLHSTPDTKVQSGNSATTSAAPPAAATAYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPAAEMSSDT >ORUFI11G05400.4 pep chromosome:OR_W1943:11:4213226:4222699:1 gene:ORUFI11G05400 transcript:ORUFI11G05400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSHGAAAAAASSSAGAGRRAATFAGASVFLSRSLVAPEVYDAVHDALRLNGAEVFLCADPARTGPLDFHVISSSSHERFADLRAKGCNLLGFEKDEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGLNICITKLNVDERRELAKIIVQNGGQYSANLTRRCTHLVSNISFGDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCQNSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKESSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCAVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRIFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQDRIVPFDQFQPKPPTSQDRDAGLCTVSQYPTQAAKTISRFDCSESHTESQLPRSSSKYNSGNASVNEEPNDPGVSKRRRLSEFGKANDTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIFAPDDSVLNQDQENTHSFGISRHWLNMPQKLHSTPDTKVQSGNSATTSAAPPAAATAYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPAAEMSSDT >ORUFI11G05400.5 pep chromosome:OR_W1943:11:4213226:4222699:1 gene:ORUFI11G05400 transcript:ORUFI11G05400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSHGAAAAAASSSAGAGRRAATFAGASVFLSRSLVAPEVYDAVHDALRLNGAEVFLCADPARTGPLDFHVISSSSHERFADLRAKGCNLLGFEKDEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGLNICITKLNVGDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCQNSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKESSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCAVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRIFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQDRIVPFDQFQPKPPTSQDRDAGLCTVSQYPTQAAKTISRFDCSESHTESQLPRSSSKYNSGNASVNEEPNDPGVSKRRRLSEFGKANDTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIFAPDDSVLNQDQENTHSFGISRHWLNMPQKLHSTPDTKVQSGNSATTSAAPPAAATAYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPAAEMSSDT >ORUFI11G05400.6 pep chromosome:OR_W1943:11:4213226:4222719:1 gene:ORUFI11G05400 transcript:ORUFI11G05400.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSHGAAAAAASSSAGAGRRAATFAGASVFLSRSLVAPEVYDAVHDALRLNGAEVFLCADPARTGPLDFHVISSSSHERFADLRAKGCNLLGFEKDEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGLNICITKLNVGDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCQNSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKESSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCAVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRIFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQDRIVPFDQFQPKPPTSQDRDAGLCTVSQYPTQAAKTISRFDCSESHTESQLPRSSSKYNSGNASVNEEPNDPGVSKRRRLSEFGKANDTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIFAPDDSVLNQDQENTHSFGISRHWLNMPQKLHSTPDTKVQSGNSATTSAAPPAAATAYYPFSETQTESQWLWSV >ORUFI11G05410.1 pep chromosome:OR_W1943:11:4224258:4230466:1 gene:ORUFI11G05410 transcript:ORUFI11G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVCARHGPAKLPPPPPPLAGERAAAWVVGRWWWRPAAAGRRGVVAARASFFSSRIGLDSQNYHTRDLSQLLWVGPVPGDIAEIEAYCRIFRAAEQLHTAVMSALCDPETGECPVRYDVQTEDLPVLEDKVAAVLGCMLALLNRGRKEVLSGRSGVASAFQGSEDSTMDRIPPLALFRGDLKRCCESMQVALASYLVPSEARGLDIWRKLQRLKNACYDAGFPRADGHPCPTLFANWFPVYFSTVPDDSLSDELEVAFWRGGQVSEEGLEWLLSKGFKTIVDLREEDVKDDLYLSAIHEAVSLGKIEVVNLPVEIGTAPSAEQVQRFAEIVSDSAKKPIYLHSQEGISRTSAMVSRWKQYVTRAERLATQNRSLNGNGKHVRNDQTEQLTNSPGFSSEGSENGTPLESDRTMEGETCDIDIETARHNLEITNSLPSEQSTEQGELHGTRTELQSNFRLESNPLKAQFPSCDVFSKKGMTDFFRSKKVYPKSVLNPRRRSNSLLVSRRKQSLSAEQNGAIDYEAAEFKVLKSSNGASFDNDYILSVASGITNGKPSNNGASTSVEDREMETSVVTVDPRTSDTSNSNGNAPLGSQKSAERNGSLYVEREKSDHVDGNMCASATGVVRLQSRRKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSPPKTVLLLKKLGDELMEEAKEVASFLHHQEKMNVLVEPDVHDIFARIPGYGFVQTFYTQDTSDLHERVDFVACLGGDGVILHASNLFRTSVPPVVSFNLGSLGFLTSHNFEGFRQDLRAVIHGNNTLGVYITLRMRLRCEIFRNGKAMPGKVFDVLNEVVVDRGSNPYLSKIECYEHNHLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDDARSNAWVSFDGKRRQQLSRGDSVQISMSQHPLPTVNKSDQTGDWFRSLIRCLNWNERLDQKAL >ORUFI11G05420.1 pep chromosome:OR_W1943:11:4233220:4234160:1 gene:ORUFI11G05420 transcript:ORUFI11G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGLLVLLLVVVGSMAAQEAGDAPASITGPCSRTGDKKACVELLSGIPEARKATTVGPLAELYLRAIANQTTEAKAMATKLLATMKGKGVPPINNKI >ORUFI11G05430.1 pep chromosome:OR_W1943:11:4236581:4239808:-1 gene:ORUFI11G05430 transcript:ORUFI11G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATTTAADPKISAPFFPQWKRDEAMTTKTPPGKCLLSCNKTIGEVDAILTCGHTYMEDRPPIIHQNLTVFKMATCMQVGPVWLDGQESMIATTTAADPVIIATFFPQWKGDEAITTKTHPGKCLLSCNKTIGDVDAIQTCGNTYMEDRPPMIHQNLTVLFHGGHPLPLCKSGCSERSSSEGEAILATKFKYIWTLLDLLEAVLPEYLSETATGAKHKTTTPSPAAASTAP >ORUFI11G05440.1 pep chromosome:OR_W1943:11:4242757:4246272:1 gene:ORUFI11G05440 transcript:ORUFI11G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSLASPRSLQLPLLLLFLLVAAAIGSYDPKAFCSKTTDVASCLKVFPTLPDIVTKAQDNQELYKRLVRYCSFKTYEATSLAESMIATTTAANPANIATFFEQWKGDEAITTKTPPGKCLLSCNKTIGEVNAILTCGHTYMEDRPPIIHQNLTDNKELYKRLVRYCSFKTYEARSLAESMIATTTAANPANIATLFEQWKGDEAITAKTPPGKCLLSCNKTIGDPNLRTHLHGRQAPHHPPEPHRLAASSWRARQPLLVPAISASTTRGGLRQCGQDRVTDHEQTSNDEPTRIHDNGGKTVEYLEYGNWGAGAETADRVKWKGVRVITAAEANRFTVDHFINGNQWVPNLVNGEQINYTHGLI >ORUFI11G05450.1 pep chromosome:OR_W1943:11:4247436:4250024:1 gene:ORUFI11G05450 transcript:ORUFI11G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSLASPRSLQLPLLLLFLVAAAIGSYDPKAFCSKTTDVASCLRVFPTLPDIVTKAQDNQELYKRLQDGKVHAGRASMARWAGYFIFIFASGSFGEIAIVAGGCDKNGQVLKSAELYNSETGHWETLPDMNLARRLSSGFFLDGFYR >ORUFI11G05460.1 pep chromosome:OR_W1943:11:4251966:4253129:-1 gene:ORUFI11G05460 transcript:ORUFI11G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASIDGACRCELLQRKHQGTRHARGSDNGGASGSGDDGGARSGNGTMFSLHSHVTNIYAYPLLSMISGAPAPTLIYDAAIIMDPRRLVVACLLMISDAILAALPQAASRGAGANRRDEQRLPSSPAACYLQIE >ORUFI11G05470.1 pep chromosome:OR_W1943:11:4269742:4271588:-1 gene:ORUFI11G05470 transcript:ORUFI11G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRSNMMMIYGFNLLIVAAAVRSSSSSILGDATVVSTDDRWVRRRLLLIDGMVDGKRMRKTVVVAKDGTANFTTITQALGAAPPRGRFGIFVKAGVYEETVNITRPNVVLWGEGIGKTVITGSRSCPIENNKTKTDMMPWTATVTVQGHGFIAQDVTIENKAGPTGTPAVALRCDSNMSLIHRCRIDGYQDTLWAQNNLQVYLRCDIAGTIDFVYGNAKAIFQYCRLLVRNPGNGKHNAITAQGRNDPTSEESGFVFQGCNITAMEGESLAGVDTYLGRPWKNHSRVVFMGCFMSDIINPDGWVHWNKATPVEETTRTVEYLEYGNKGAGAETADRVKWKGVRVITEAEANRFTVDHFINGNQWLPNLVNGEQINYTHGLI >ORUFI11G05480.1 pep chromosome:OR_W1943:11:4282377:4282834:1 gene:ORUFI11G05480 transcript:ORUFI11G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSLASPHSLLLPLLLLFLLVAAAIGSYDPKAFCSKTTDIASCLRVFPTLPDIVTKAQDNKELYKRLTGTATTTVPSPYVAAAAAPAP >ORUFI11G05490.1 pep chromosome:OR_W1943:11:4303840:4315500:1 gene:ORUFI11G05490 transcript:ORUFI11G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQPAAVPRWTPSPRRRQDDDEADRNGAAGMVSDLGASMRGTEGFPFGSGRSFQPPPFLPAAEAEQPSPEISVERNDENDRVAFVVARETSSLRRPEQGAVLAWEDLWVSTAGGSRRRVPILCGLNGYARPGEKGDILINGRRQKLAFGTSVSRSATNDLHGEISCRGTHEWIAPQAYVTQDDVLMNTLTVREAVRFGLFHGLCLLAYGKTVFFGPAADTAQFFALSGFPCPSLMNPSDHFLRTINKDFDKDIEVGLDGKTMTTTQAIDTLVNSYKSSVHLAKVMHQIEEIRANNEGQLVKKERQPTFLTQSWVLTKRSFVNMYRDLGYYWLRFAIYVALCLCVGTIYYDVGHSYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVASFVIANTLSSTPYLALISVVPGAIAYYLTGLQSSGEHFGYFAAVLFTTMMVVEGLMMIVASAVPDFLMGIITGAGVQGVMMLNGGFFRLPNDLPKPVWKYPMYYIAFHKYANQGFYKNEFLGLTFPKYNDQAGAGTVITGEEILTNYWQVQLGYSKWADLAILIGMVVLYRVLFFVIVKLIEKMKPMVQRLRFRSDMPSVQVTEQGFGSS >ORUFI11G05490.2 pep chromosome:OR_W1943:11:4300179:4303962:1 gene:ORUFI11G05490 transcript:ORUFI11G05490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSPTARPSSSAPPPTPPSGFPCPSLMNPSDHFLRTINKDFDKDIEEGMDGKKMTTAQAIDTLVNSYKSSVHLEKVTHHIQDIRATGGAVVKKEERPSFLTQTWVLTKRSFVNMYRDLGYYWLRFAIYIALCLCVGTIYYNIGHSYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVGSFVIANTLSATPYLALISVAPGAIGYYLTGLQSSIDHFAYFAVVLFTTMMVVEGLMMIVASAVPDFLMGIITGAGVQGVMMLNGGFFRLPDDLPKPVWKYPMYYIAFHKYANQGFYKNEFLGLTFPNNQAGGAATITGHEILRDYWQGQLGDSKWGDPAHLAILGGMVVLYRVLFFVIVKLIEKMKPMVQRLRFRSDAPSVHIAENGSAGSL >ORUFI11G05500.1 pep chromosome:OR_W1943:11:4319246:4321452:1 gene:ORUFI11G05500 transcript:ORUFI11G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDLYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKTETTAGN >ORUFI11G05510.1 pep chromosome:OR_W1943:11:4322549:4326089:-1 gene:ORUFI11G05510 transcript:ORUFI11G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVPDGARGGGGGGGGGLLPAAAASPAVGRGRRTVVVGIRRDAASRELLTWALVKVANAGDRIVALHVAAAAAAAAGGGGGGGGGAVGLEERSDAAEDSLVSVLAVYDGFCNLKQINLELKVCGGSSIRKTLVKEAASYGAAHLILGVAKNSLSFSRSSSISVAKYCAKRVPTGCSVLAVNNGKILFHKDAVQQEPYHSASTMTETPRRSYRKLLTSVIGEKLRDECEQDNRSIFRAVTMPPSSPAPTREVSLALVPMKVHRRESPEVATGWSFLRKKFLPDRKPASHDRSKMSVVQWAMRLPSRYSSASPVCSEYRTTTPDGITSASRILRDRVAVPSRSNSGKSSVVIEELDNSSDKEIPEELIALREKFPSVYSTFSHSELAKITSDFSPECIVGQGGTSQVYKGCLENGKELAVKILKYSDEVLKEFVSEIEIVSSLSHKNIISLAGFCFKDTDLLLVYEYLRRGSLEEILHGEKGCDNLFGWTERFNVALGVAHALDYLHGSGNNRPVIHRDVKSSNILISQDFEPKLSDFGLALWDTDATSQITCNDVAGTFGYLAPEYFMHGKVNDKIDVYAFGVVLLELISGKKPLCTGCPKGQESLVMWANSIIQGGKLTQLVDPNLPTEDHANKVERMTLAASLCIRPTPQRRPHIAVVLKLLNGDNGILKWARSEVGLSYESDGDEPVVTLPENNRNIQSYINLAFDVDDDSASVSSNDFIAANTSLEEYLRGRWSRSSSFD >ORUFI11G05520.1 pep chromosome:OR_W1943:11:4347570:4347824:1 gene:ORUFI11G05520 transcript:ORUFI11G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGKATLPGGGTSVPGVDATGGEEVAGGGAGLPGGGAVVPGGACGSGPRRRRDGRRGGGRQRSVGPRRRRVGSRRPRGGPRR >ORUFI11G05530.1 pep chromosome:OR_W1943:11:4349649:4349981:-1 gene:ORUFI11G05530 transcript:ORUFI11G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVTVAAAIPMALPVTTRDYSPVLSGEEQVISSSSSPKPPLMLPQAPSGSGSGGVESSDMGDENEQLRWEDVQLARELNQTRKLYNNILPLMSKYFDIELLRGAPSSRR >ORUFI11G05540.1 pep chromosome:OR_W1943:11:4350869:4360823:1 gene:ORUFI11G05540 transcript:ORUFI11G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGATAAAASLSSSAPSILLVSAVTPPPRLLPTSSILSPVYSARPKRPPTLSCNAAAATVAAAAAGKPGSWRDLCSLNAWVVRDYRRLVDAVGALEPRLRGLTDERLRAKTDEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTSEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQAGMKADERRANYRCDITYTNNSELGFDYLRDNLSRNKEQLVMRWPTPFHFAIVDEVDSVLIDEGRNPLLISGEDNRDAARYPVAAKAADLLMEGFHYTVELKSNNIDLTEDGVTCAEMILETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRDGKALIINEIIMWNLSFKVVMPILQYCIVPINGISIIILTLFELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKTPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFHLGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIEDNVLPFLTHEPPDVETVGESTSHKGLSKIKLGPSSLGLLAKAAIIAKYVQRSERNEWPFQKAKSTIAESVEMSHTIGMEKLQDRLAEESEMYPLCDTIGLAYLTVLRDCEIHCSTEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVADDIILGNADPQKPPNTWKLANLLDEFGSLGGTLLDEPFKEIQEEDLLSSLEQIHEYGPVNVDNFTLPNMPVSPNSFRGIWKRTSSMMRWLAICVDDASKKGRYTYIVNMLRKYFGDFLIATYLDAVQESRYDDAYIRGIEREILLKTLDTLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLGATRRLTVESLLHYWSSPMESEEIFNTGDK >ORUFI11G05540.2 pep chromosome:OR_W1943:11:4350869:4360837:1 gene:ORUFI11G05540 transcript:ORUFI11G05540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGATAAAASLSSSAPSILLVSAVTPPPRLLPTSSILSPVYSARPKRPPTLSCNAAAATVAAAAAGKPGSWRDLCSLNAWVVRDYRRLVDAVGALEPRLRGLTDERLRAKTDEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTSEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQAGMKADERRANYRCDITYTNNSELGFDYLRDNLSRNKEQLVMRWPTPFHFAIVDEVDSVLIDEGRNPLLISGEDNRDAARYPVAAKAADLLMEGFHYTVELKSNNIDLTEDGVTCAEMILETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRDGKALIINEIIMWNLSFKVVMPILQYCIVPINGISIIILTLFELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKTPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFHLGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIEDNVLPFLTHEPPDVETVGESTSHKGLSKIKLGPSSLGLLAKAAIIAKYVQRSERNEWPFQKAKSTIAESVEMSHTIGMEKLQDRLAEESEMYPLCDTIGLAYLTVLRDCEIHCSTEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVADDIILGNADPQKPPNTWKLANLLDEFGSLGGTLLDEPFKEIQEEDLLSSLEQIHEYGPVNVDNFTLPNMPVSPNSFRGIWKRTSSMMRWLAICVDDASKKGRYTYIVNMLRKYFGDFLIATYLDAVQESRYDDAYIRGIEREILLKTLDTLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLGATRRLTVESLLHYWSSPMESEEIFNTGDK >ORUFI11G05540.3 pep chromosome:OR_W1943:11:4350869:4360823:1 gene:ORUFI11G05540 transcript:ORUFI11G05540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGATAAAASLSSSAPSILLVSAVTPPPRLLPTSSILSPVYSARPKRPPTLSCNAAAATVAAAAAGKPGSWRDLCSLNAWVVRDYRRLVDAVGALEPRLRGLTDERLRAKTDEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTSEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQAGMKADERRANYRCDITYTNNSELGFDYLRDNLSRNKEQLVMRWPTPFHFAIVDEVDSVLIDEGRNPLLISGEDNRDAARYPVAAKAADLLMEGFHYTVELKSNNIDLTEDGVTCAEMILETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRDGKALIINELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKTPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFHLGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIEDNVLPFLTHEPPDVETVGESTSHKGLSKIKLGPSSLGLLAKAAIIAKYVQRSERNEWPFQKAKSTIAESVEMSHTIGMEKLQDRLAEESEMYPLCDTIGLAYLTVLRDCEIHCSTEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVADDIILGNADPQKPPNTWKLANLLDEFGSLGGTLLDEPFKEIQEEDLLSSLEQIHEYGPVNVDNFTLPNMPVSPNSFRGIWKRTSSMMRWLAICVDDASKKGRYTYIVNMLRKYFGDFLIATYLDAVQESRYDDAYIRGIEREILLKTLDTLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLGATRRLTVESLLHYWSSPMESEEIFNTGDK >ORUFI11G05540.4 pep chromosome:OR_W1943:11:4350869:4360837:1 gene:ORUFI11G05540 transcript:ORUFI11G05540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGATAAAASLSSSAPSILLVSAVTPPPRLLPTSSILSPVYSARPKRPPTLSCNAAAATVAAAAAGKPGSWRDLCSLNAWVVRDYRRLVDAVGALEPRLRGLTDERLRAKTDEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTSEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQAGMKADERRANYRCDITYTNNSELGFDYLRDNLSRNKEQLVMRWPTPFHFAIVDEVDSVLIDEGRNPLLISGEDNRDAARYPVAAKAADLLMEGFHYTVELKSNNIDLTEDGVTCAEMILETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRDGKALIINELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKTPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFHLGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIEDNVLPFLTHEPPDVETVGESTSHKGLSKIKLGPSSLGLLAKAAIIAKYVQRSERNEWPFQKAKSTIAESVEMSHTIGMEKLQDRLAEESEMYPLCDTIGLAYLTVLRDCEIHCSTEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVADDIILGNADPQKPPNTWKLANLLDEFGSLGGTLLDEPFKEIQEEDLLSSLEQIHEYGPVNVDNFTLPNMPVSPNSFRGIWKRTSSMMRWLAICVDDASKKGRYTYIVNMLRKYFGDFLIATYLDAVQESRYDDAYIRGIEREILLKTLDTLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLGATRRLTVESLLHYWSSPMESEEIFNTGDK >ORUFI11G05540.5 pep chromosome:OR_W1943:11:4350869:4360823:1 gene:ORUFI11G05540 transcript:ORUFI11G05540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGATAAAASLSSSAPSILLVSAVTPPPRLLPTSSILSPVYSARPKRPPTLSCNAAAATVAAAAAGKPGSWRDLCSLNAWVVRDYRRLVDAVGALEPRLRGLTDERLRAKTDEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTSEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQAGMKADERRANYRCDITYTNNSELGFDYLRDNLSRNKEQLVMRWPTPFHFAIVDEVDSVLIDEGRNPLLISGEHYTVELKSNNIDLTEDGVTCAEMILETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRDGKALIINELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKTPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFHLGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIEDNVLPFLTHEPPDVETVGESTSHKGLSKIKLGPSSLGLLAKAAIIAKYVQRSERNEWPFQKAKSTIAESVEMSHTIGMEKLQDRLAEESEMYPLCDTIGLAYLTVLRDCEIHCSTEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVADDIILGNADPQKPPNTWKLANLLDEFGSLGGTLLDEPFKEIQEEDLLSSLEQIHEYGPVNVDNFTLPNMPVSPNSFRGIWKRTSSMMRWLAICVDDASKKGRYTYIVNMLRKYFGDFLIATYLDAVQESRYDDAYIRGIEREILLKTLDTLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLGATRRLTVESLLHYWSSPMESEEIFNTGDK >ORUFI11G05550.1 pep chromosome:OR_W1943:11:4369118:4373330:1 gene:ORUFI11G05550 transcript:ORUFI11G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASRGEEENSMFETSHVLGALLASSPLLARAWDRCAAAADGGASSLGFVHGGGGGGEGEPVCVAFSGVQAALSAAAGGGGGAEIFKPVGLRGDAAGRLFAPLVAAEPEDAGGEPVAVQALALQGFLRLCRSPEFQVLLNQIRGKAVVFTGHSLGGAIAALVALHYLCTSSSSSAFAPAPPVLCVTFGSPLLGNQALSRAILRERWAGNFCHVVSQHDVVPRLLFCPLNVIPVHIVVGMQLHQLPVRARRAAGVVATVTARMADTNQESLRQLIQEHAGEAAIEQKLAAPEIPSGSPYRPFGAYVLCSPDGAACVDNPTAAVQMLYATFAARRAPETGAVPPEAAHSCYGDLVLSMPHHLLLKRRLGATVTAPAASNYDVGISIALEASGITGEATEAAPARQWLKTSKRVGRSPSLNCASLATRLGRITPCRAQIEWYKALFDANTGYYDAFKQRLSPKKFSKANMYRIKLAQFWDGVLSMLDTSQLPYDFHRRAKWVNAAHFYQLLVEPLDIADYHRNNLHRTRGSYITHGRERRYELFDKWWKQKGCTDPSTGDTSATTTARRSKFAGLTQDPCFWARVEEAREQTESAKSERDMTSLARMLEDLHKFERHSSELVENKEVSIDVVAPQSSYSLWVKEWNELKLREEVRTILFQF >ORUFI11G05560.1 pep chromosome:OR_W1943:11:4382923:4384535:1 gene:ORUFI11G05560 transcript:ORUFI11G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSASAAAEAGMMVGHGEWRDDDGRARRMGTVWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFAFVIYYTSTLLAECYRSGDPCTGKRNYTYMDAVRANLGGSKVRLCGVIQYANLFGVAIGYTIAASISMLAIKKADCFHEKGHKNPCRSSSNPYMILFGVVQIVFSQIPDFDQIWWLSIVAAIMSFTYSTIGLSLGIAQTVANGGFMGSLTGISVGAGVTSMQKNRALLCVMSCQDTIKAPPPSEAKVMKRATMVSVATTTVFYMLCGCMGYAAFGDKSPDNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVFVQPIFAFVERWAAARWPDGGFISRELRVGPFSLSVFRLTWRTAFVCATTVVSMLLPFFGDVVGLLGAVSFWPLTVYFPVEMYIAQRGVRRGSARWLCLKVLSAACLVVSVAAAAGSIADVVDALKVYRPFSG >ORUFI11G05570.1 pep chromosome:OR_W1943:11:4389742:4390056:-1 gene:ORUFI11G05570 transcript:ORUFI11G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPMQEGEERPGGSACVWMVTTLLLLSVLAGGGCLAGYVVLPPQEAPHWLPAVGLALVALPWAFWVATCSYRCVRRRAADRQAMGSAAVAPAATGSMRSCADS >ORUFI11G05580.1 pep chromosome:OR_W1943:11:4391131:4392009:-1 gene:ORUFI11G05580 transcript:ORUFI11G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRVEVDTARPFRSVREAVAVFGERILVGDGYSRRPSNGNAAAAAVVDIAIAKHEASGGSDDATVSSPDAMEAEPEVEEDAAPAVVPMMYSAPSSPQSSPPPQNDGGDAEDERDGGVVDEGVTVAMMRSVKKLEAEVAETRQEVAQLKKRGSEMEMAVASLNVQLHRGLSKLAEMEAGDTAAAARRSVGGETDVSSTVATFRSERWGGVGGGGAAVSRATSCEYLPSFSHALSLGEVDDGELVGRRRKARKVKPIVPLIGDIIFSKRKSTKDKGGDGFYGNNGDLYSVLG >ORUFI11G05590.1 pep chromosome:OR_W1943:11:4393210:4395702:-1 gene:ORUFI11G05590 transcript:ORUFI11G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGVAWVWMTEVAVAGDELRRGHPRRSPGIADFGDELQRGVRHGRRRTWPRRRARCRERRRRTWLPAMWSPDCRLELQHRRPPSTAPPLTPTSGSIRVAGRCALRRCPNSVAGPLRSAPSSPAVDPAASPGLHSIHLLLRGSKETRWGEKNLLTWYHLIPLKYYLICGRIAWIPGRYQDLIHRKHHSQRGKNRMIPDRYHPIPRKYHLICGRNARSCDPPRISFVKPDDTRHDTNEDHDTSQISDDSYHVSGDTREVSCDSYHVSCDFYDVSGMILMRSWASRCRGIVAGGRVLPRRCSTLDPNLVTDNRILPRPWYRSSSPATASSVGSSSPAAVSVVHTSIRGCVLHAGAGAATTTTQDPPPSTPHAGARRRRRLCHHAGFSAVHATMPHVGARRRPRPPRWSSSQAAASSTPELGGGRRVCATGVSLVCAAPMGACALASEGGGLGAIPLGQYPNGIPFYFDQSKKD >ORUFI11G05600.1 pep chromosome:OR_W1943:11:4396070:4397010:-1 gene:ORUFI11G05600 transcript:ORUFI11G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIPSTNTEQTATSVASTTFRLPSAAGRNTSWIRCIGRPSCKQHVASPSSAQPVECVLGCLDVRAAREEDQQVARRLRRVDAAHRVDGRAEVVCHRLRETGVGTEPEFFAVHAGAGEEAVYNALAEGAVVVQADDDPKVQAARRRGLDAAEHDTCDRHPVVRVVHHDSTVAGEERVGGELAEERAVRDVLDDRVGVRGAVVEGDGVPHLASELGAHVGRGASRREHGGEAVHLGADDAAVAGGVEQARDLAALAGAGAGVDEHHQVQRHVFRHHRLVLLVAELPPPIAHPSRRRRGWRCRRPLSG >ORUFI11G05610.1 pep chromosome:OR_W1943:11:4401931:4405449:-1 gene:ORUFI11G05610 transcript:ORUFI11G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLMPSTNTEQSTTFRLPSAAGRNTSWIRAVECMLGCLDVRAAREEDQHVARRLRRVDAAHRVDGRAEVVSPAPGGNIGRLDRSSTEPELLDIHAGAGEEAVYNALAEGAVVVQADDDPKVQAARRRGLDAAEHDAGERHPVVRVVHHDGTVAGEDRVSGELAEKRAVRGVLDDRVGVQGAVVEGDGVPHLASETLSSARVSAAARVAASTAARRTVKEKLMAAEIEDVSAADEGLPAVTAVKEKLMAAKTEDASAADEGLPAVTAVKEKLMAAETEDANAADEGLSAVTAVKEKLMAAETEDASAAEEGMPAVTTEKGELTTVSEKERLIAGITEEEEGMTYVEGQIKNVKDMLETGYLEGVEIAYKKKRDGLVLLTGQIHKMAYYCSQNCEFYQKVQELLHWKKESEIKMKPPKQKQDGFVAYSLRSKKRQTLVSMPNV >ORUFI11G05620.1 pep chromosome:OR_W1943:11:4405674:4409173:1 gene:ORUFI11G05620 transcript:ORUFI11G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVNALTGVCLTLKAPGFIGAGGDDVVASFDFFELPHPESIHWARSPAKQPLKPIGIWEPLFRSTSKLIAGEI >ORUFI11G05630.1 pep chromosome:OR_W1943:11:4417963:4418980:-1 gene:ORUFI11G05630 transcript:ORUFI11G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKNNIRTTKSTAGETLSILSKSEAISVLIFTEHNSIVDHLTGMLNAMYNIRYRIISARILENLCTHCKERVNQPLLLQKVLSEILTTKTKPEAQVSDQERTISVSEEHEGRKHGISLLGDDEEMQCPKHNDKMAHDNASVERVNEDESEMKKMQEALLSLTLVLLGEFNGAERSAPMIPGNGPDGAFLERLKDIVDNYCQCQLTPISISIVKLCGQIAKSVMRGNRCTNDQKKEFVESLSKASETMANLETCVLFTGNDCGMERIGRPLLSDLEEELKDLVA >ORUFI11G05640.1 pep chromosome:OR_W1943:11:4422123:4424647:-1 gene:ORUFI11G05640 transcript:ORUFI11G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGPVLFMFNIVLLFNFYGPLICIGVSSWRLRQRDYHGHGADDRGAGWTFSTPWFFAKRDASFLIHAVRESQQDYLSGARMLYLLINDGEDAGSIILRSRPKIQRLLDMLVWTSRAGNTEIRMLAAAIVADLAGGIQLSQFPGAIRCVSSLLESTGQNPLWSNDQHQELSSAEIKCLYTACRNAEMEKNYRELISRITRTTKLETGDEGVVDGVEQNGNSTEEELGCNELILQGLRILEGLACDAHNCTDICGDPDILAKITAPLYSTSLIHDIGSSEPWADVVNGSLKVVRKLLIHATPRTSLRHEILSNEQAMSNLESILHLQSKAAEAVGQELQMRAMEILTQLVLHSSSVNIISEKRVKSLVNKQLEIFLPHGGEGTEDNKSKSEAADSKRTLKATAGETLVSILSKCDEATSMFITTQHDDVVDRLTGMLDAKCNIRYRILSANILENLCTRCNEHVNETLLQKVLTEILRTPTTEASESTTSAPGGNVEIQKKKSQGNDVEKQMQCSNASQGKKEDQKANVSSKKEGQQANISSKKQDQQTNDSSKEEDQHANEDDKADMKELLEAQLSLTLVLREQLFRAESSTPVIQENDPDDEFVKKLRTIVDDNCSQATPVSLRIIKLCSQIVASIMRRSGCASDERKGFVESFSKASKAMSNLESCMLFAGADSDLKKTARPLLSVLETELKRLAA >ORUFI11G05650.1 pep chromosome:OR_W1943:11:4425582:4426021:1 gene:ORUFI11G05650 transcript:ORUFI11G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKGCLETGGKSSAKSDDKKKKRVRAQVTCKKMKRQPRKNDRKYPPEPSTPIMITNRFTLTHDSLGMVTRRRLQCC >ORUFI11G05660.1 pep chromosome:OR_W1943:11:4432505:4434374:-1 gene:ORUFI11G05660 transcript:ORUFI11G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGGADDGGSDRRKKRRAELEVAIKGTLAVQAYIRITCTIMAYLAFTWSTVVLLGGYVSSLQRKDFQCLTVITVIEATSLSNIDMQERAYCLVPGCWVIELEDARDRDQA >ORUFI11G05670.1 pep chromosome:OR_W1943:11:4447079:4457590:-1 gene:ORUFI11G05670 transcript:ORUFI11G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASSVSGVNPPPRAPPRLPPPKIQRKPKLQFVISSAPAGSRQRGGASAPATGSLGAAHAGGRHECIKGGQMIKESCNHSENKLQDYELLKVAQPNRRLTKSMALPIDSGMLPPSKFRPSRILWRLRETFPGMNPSSLFAVRSMVSKVSGCGHKSVSQLAKTLKSFEQMELARL >ORUFI11G05680.1 pep chromosome:OR_W1943:11:4453995:4461966:1 gene:ORUFI11G05680 transcript:ORUFI11G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVLRVAMEVMSRHHAPDRSLCLLLLLLFLLLGVPMAASTEQSPARLNKAHEDIMRDILSSVGSTKNWNTCSNPCQWSGVHCSSVASSAFVTRLSLPGCGLSNATILASICNLHTLRSLNLSRNSFTDLPSQLSPCPMKAELQVLDLSSNMLSGQLGDFIGFHKLEVLDLSSNSLNGNISTQLSDLPKLRSLNLSSNGFEGPVPTSIATSLEDLVLSGNNFSDHIPMGLFRYGNLTLLDLCRNNLHGDVPDGFLSFPKLRILVLSENNLTGKIPRSLLNVTTLFRFGGNQNNFVGSIPQGITRNIRMLDLSYNMLNGDIPSELLSPDTLETIDLTANRLEGFIPGNVSRSLHSIRLGRNLLGGSIPESIGNAIDLVNLLLDGNKLVGYIPWQLSRCKNLALIDLSSNQVQGNIPIGLGNLEQLVVLKLQKNNLSGDIPSSFSDMSALEILNLSHNSFTGELPFTNSTQSLKLCYLGLHGNKLNGVIPSSISLLQSLITIDLGNNELIGIIPTNIGTFLKLERLDLSKNYLSGQVPSSVANLERLMCLFLSDNNLSGPLPELPKWVMVNVTGNPGIILDTEENRTSGSMKGSQDDFRSAIWVAAASFVLGFSLSFYWAGPGEKLMPSRHRAPASSSSLCLLFLTFLLSVSMAAATEKSPMQLNKAQENIMRDILGLVSSAMDSSLTKSWNTSSNPCEWSGVHCTSAASSSFVTRLSLPGYGLSNATILASICLLDTLHSLNLSRNSFTDLPSQFSPCPMKAELQVLDLSYNRLSSHLGNFSGFHELEVLDLSFNSLNDNISTQLNYLPKLRSLNLSSNGFEGPIPTSMVTSLEELVFSGNNFSGRIPMGLFRYGNITLLDLSQNNLVDDVPDGFLSFPKLRILLLSENNLTGKIPQSLLNVTTLFRFASNENKLSGSIPQGITKNIRMLDLSYNMLNGEMPSDLLSPDSLETIDLTANRLEGLIPGNFSRSLYRLRLGCNLLSGSIPESIGNAIRLAYLELDDNQLSGPIPSQLGKCNNMVLMDLSTNKLQGVVPDELRNLQQLEVIKLQTNNFSGYIPRIFSGMTNMEVLNLSANSFSGEIPSTLVLLSKICYLDLHGNNFSGVIPPSISSLQFLSTLDLGNNQLTGTIPTMPTKIGALILSHNHLQGSIPSSIGALSNLLLLDLSDNHLSGQVPSSFANLKGLIYLSLCYNQLSGPMPELPRGVKVDVSGNPGLTICTEDSDSQYNMASTEDDFRSTTWVATVSFVVGFIISFYWAGIRKYCY >ORUFI11G05690.1 pep chromosome:OR_W1943:11:4458464:4460210:-1 gene:ORUFI11G05690 transcript:ORUFI11G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTKPRISRMMFSCALFSCIGDFSVAAAIDTLRRKVALSWKEQNPEWWKLKKPVWDVTNWSRLFWLRSSKRGTFFF >ORUFI11G05700.1 pep chromosome:OR_W1943:11:4460762:4463149:-1 gene:ORUFI11G05700 transcript:ORUFI11G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSFSSFASAVSDEATRRRVAAPPPAYGCPDAFVSGARLIKYSWDISTEIVGLELDDLKLLKISQLIRDNTLQLEQNPEFWEAQEAIWDVIN >ORUFI11G05710.1 pep chromosome:OR_W1943:11:4466200:4470546:-1 gene:ORUFI11G05710 transcript:ORUFI11G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASAAAAAGLAGMSTDNAKGLVLAVSSSAFIGASFIVKKMGLRRAADSGVRAVIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHAILQEKLHTFGILGCVLCVVGSITIVLHAPQERNIDSVREVWDLATEPGFLCYAAIVVAAALVLIYFVVPQHGQTNIMVYIGVCSLLGSLTVMSVKALGIALKLTFSGVNQLFYPQTWAFALIVATCVSTQINYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQNPTQIVTELCGFVTILSGTFLLHKTKDMTDSTGPSLPTSRSKSASQNRFSIEVVPLKYRDSVDEETLPLSLPKADNRYLMEDFPVRYKDLNIA >ORUFI11G05720.1 pep chromosome:OR_W1943:11:4490773:4491189:1 gene:ORUFI11G05720 transcript:ORUFI11G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFTFFPAATSPRRDHRLGLVGSPPPLLFAAVVEVDDGDDGEEEEKMDLLWEDFNEELARAPPVCPLSPLNIKGGGLTATTAMAKDDGGGGEKQARRMYSGSVVRRRRRWSLLLMLRLLKNLFLAKNTRNNPRTAPI >ORUFI11G05730.1 pep chromosome:OR_W1943:11:4493335:4497894:-1 gene:ORUFI11G05730 transcript:ORUFI11G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVREKQGGRMGKGKGKEKEGDINCFGRSFFRVLLTLQSLERMKIPSSFNQCLQNQPTGMVSLVDRSGNKWSAELTSDSEGFFFVHGWKEFVRDNSIQCGQFLVFTYDKRSQFSVTVFEPSGIDKISTFSAHPSKNVIIKTESDEGGMVTAAITTEKMAPALKENNGITGKRTRDVDYLMEDRVVVFKKSSEANVCESSRRKRAGASAGKSKVTSTSHNSTRGSSCSSDEDNSSSKSPNPPFLMRFLSGEVSRRGVSKGQRQLTVISQRRPVTEAERDHALQRAREFKSKNPFAVQIMMESYVYVGFFMNIPCEFVRECLPRTNKRITLWDPQGKAWEVNYVYYSDRSVGSFSGGWGKFAVGNNLEKFDVCVFELVQKDNIKVHIYRVVPEITPHKLRSDPK >ORUFI11G05740.1 pep chromosome:OR_W1943:11:4498929:4499575:-1 gene:ORUFI11G05740 transcript:ORUFI11G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRKNREVARSRREEEGVVMVVGEEQGGRMEKGKEKEGDTSYFGRSFFRVLLTLQSMERMP >ORUFI11G05750.1 pep chromosome:OR_W1943:11:4514542:4519390:1 gene:ORUFI11G05750 transcript:ORUFI11G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQSGGFFDYRGGHHHALPEYHRPLPHASKPSRIRRPGKPARRRSPAAAAAVASALLLAGVFLLSRRLSRQPAEISQDLGGGGEGLPEWNRSKELKFGHGGGGRSAQDSRYWDRDDRRRDEDYSEDEKEKISGASGNNAGVSDKVVTSDPAVEEKGLTMDTGGAADKEAAEVAEGGKGGTLYNEGGRKELEQYEAAAMGAAGTGIREVDPDDEYDDGIDAQDDLDDAQSHSSDGGRKLGDSSHESTESKENIAHDSTGNKESIALERRTETGAGISDGVDVIDVTNVNQKKVSAIGDKKHASKKKSKRKKTGSTCEMRFLNSTAQLVEPAKNEKFASFNLEYVEVEDKPLGSEYWEPRFAGHQSLQEREESYLAHDQQLNCAFVKGPNGTSTGFDISEENKKYMSKCHIAVSSCIFGNSDRLRTPFGKTITSLSKKTVCFAMFLDEITLRTLESEGQKMDSAGFIGIWKIILIKNMPYNDMRRVGKIPKFLAHRLFPSSRFSIWLDSKLRLQNDPILILEYFLWRHGYEYAISNHYDRHCVWEEVAQNKKLNKFNHTIIDQQFEFYQADGLTKFNPSDPNKLLPSYVPEGSFIVREHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYLKLRRMNPEKPFRLNMFKDCERRSIANLLQLSIPSTMKK >ORUFI11G05760.1 pep chromosome:OR_W1943:11:4515852:4520320:-1 gene:ORUFI11G05760 transcript:ORUFI11G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGGGAAGDDDAGGMVVVMLVAKITMAVVSMFVLQALTSPIVPLAADVDDGHCTLGRAAAVPVPELQPWRESLSVITDRFPRRPGVAEHERVAMVVKSMMINTKMEGEEETKNGKQEQEDDHVELLRTRSGELREVLHFNKTIEDYIRIMSSLARQSGMCIQIGTGQHVKTDFHLVAPGALEQQNGMDQYVH >ORUFI11G05770.1 pep chromosome:OR_W1943:11:4520362:4520874:-1 gene:ORUFI11G05770 transcript:ORUFI11G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLRPGGARRRCQLLDGDTAAFCASLVDGLAQLESTLLREEDDGDGGGGGGGGAVSMRWCADAMRLVKRMQRELLVMFKKADVPVGGAVSYGGGGGGGDGGGCWFEHYMQETAALLDFCNAFKSAVSRLHRYCMVVDFAAQVAGGAAAGGWRRSRAATTRTPSATGCPT >ORUFI11G05780.1 pep chromosome:OR_W1943:11:4527717:4531838:1 gene:ORUFI11G05780 transcript:ORUFI11G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLLRAARRGDFAGLEALLLGAAAAAAAPNQVAIDVVVVHHHGAAAAPGQQAPEAAPAPHLLDAAATTPQGDSALHVVAASGDGEGFLRCARAIYRHAARLLDRPSASGGGGDTPLHRAARAGNAAMVGCLLDMARQEEEELAGGTGGSRVADVLEKRNARQETALHDAVRLGDEQLVRHLMSVHPRLARVPAPGGGMSPLYLAVSLRHDRIAEALHQQGGDELSYSGPAGQTALHAAVLRSAEKILEWNKGLAGEADASGSTALHFAAASPSPETNNPETDSSSLLRRCLRSPSSHGRRTPTQLLLEADPSLPFRPDGDGEYPIHVAAAAGNLRLVALLLDEHCCPECAGLRDARGRTFLHVAADRGRQEVVGFAADDKRAVAASILNAQDDDGNTALHLAVVAGDLGSFWCLLRNREVRLDLANNDGLTPVDLSRSTVPAGLYYKTSARTWMLWSLVESNALGSTFRRNDHFEEEYVPKQDESTESKKMTESTQMLGVGAVLVAAVTFAVAFSPPGGYGSGGAPALAGQYPFDAFMYAVAIAFAYSMLATFSLMYASTAAVDWKLRRAYFERSLAWMRQSTRSLLLAFGLGVYLVLAPVSPAAAIGVSVIVSSALFLRNREVVRMLMCAYALHKRMGIVVLARIGVPMAVVQLQSSLIFVVIFGAPLCPPLCLLVFVGKLVHEDVSFLKYVNGKVGSLPFQ >ORUFI11G05790.1 pep chromosome:OR_W1943:11:4532884:4533834:-1 gene:ORUFI11G05790 transcript:ORUFI11G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATFSLMYAGTAAVEMNVRHRYFKNSVWWMRLSMRSLLVAFALGVYLVLAPVSRATAAGVCALAAGTLLFRNRELVLMVSCAHVVCRRMGIRVVLRIGAPIAIDLLISNIVYLIIFGAPFCTPLPTVVSI >ORUFI11G05800.1 pep chromosome:OR_W1943:11:4534726:4541611:-1 gene:ORUFI11G05800 transcript:ORUFI11G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLLRAARGGHRVLLEAVLGVAPAPDQVTVNPAQSAPTPPSAAALLLDMEATTPQGDSALHIVAASGDSEDFLSCAGAIYRNAKHLLDRPNARGDTPLHCAARAGNAAMVKCLLGIARQEEEELAGGAAPSRLRVAEVLERQNDRRETALHDAVRLGDKRLVDDLLLVHPRLARLPAAAAAAGAGIMSPLYLAVSLGHDGIAESLLKQVNEPISYTGPAGQTALHAAVLRGPKMTKKILQWNRELAGVADASGSTALHFAASAEGPEIDIENSSLLRWLRLRWPCQGRPTPSQLLLEADPSLACRPDSNGEYPVHVAASMGNLKLVALLLHKCPECAGLRDARGRTFLHAAVDRRREEIVEFATDGGREPAMAAILNAQDDGGNTAMHLAVVGGVLKVFCYLLRVEIMGTPYPHGMVSCATPFYYCRIHVSTLRNRKVRLDLANNDGLTPADLYRSTIPTGLYYKTNARTWILWSLVVAKARGGNIRRDHFHEQYVPKLDESAESKKMTESTQIMGIGSVLVATVAFAVAFSPPGGYAAPGGVDGNGRGNLIGAPALAGRYAFDAFMYAVAVAFTCSMLATFSLIYAGTAAVEWKIRYMYFKHSLSWMRKSTRSLLVAFALGVYLVLAPVSRATAIGVCALTAGTMLFRNREVFRMLICAYVLKKRMGIRVVLKIGAPIAVVLLQSSLVYFVIFGAPLWTPLCVLLFLGKIVHDDLLRIIRHIYVKYI >ORUFI11G05810.1 pep chromosome:OR_W1943:11:4541823:4551299:-1 gene:ORUFI11G05810 transcript:ORUFI11G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSSNARFCQGSERRHSYTRRGDDELLDGRHGLLAPAYDDYSQPLDIMADYSQILTARIQFGTSLARSRPPNRSYGCVEAEEVMRGGGVHVCVSKPKTTDDRSPELKRASSTFGEVTLKQRGGRRRQRLSSPPSSCSSASSTGCTLSRAAQVEPPGVQDDMAAAGDKVVVVLAAGAVLRRGHRLQVFVRAAAAAAAKEALEHRVLRRQRLHRRPRREAVVPGEELVPVQKARVKVKND >ORUFI11G05820.1 pep chromosome:OR_W1943:11:4551449:4554758:-1 gene:ORUFI11G05820 transcript:ORUFI11G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKAWISLLLALAVVLSAPAARAEEAPAAEEEAPAAEAVLTLDADGFDEAVAKHPFMVVEFYAPWCGHCKKLAPEYEKAAQELSKHDPPIVLAKVDANDEKNKPLATKYEIQGFPTLKIFRNQGKNIQEYKGPREAEGIVEYLKKQVGPASKEIKSPEDATNLIDDKKIYIVGIFSELSGTEYTNFMEVAEKLRSDYDFGHTLHANHLPRGDAAVERPLVRLFKPFDELLVDSKDFDVTALEKFIDASSTPKVVTFDKNPDNHPYLLKFFQSSAAKAMLFLNFSTGPFESFKSVYYGAAEEFKDKEIKFLIGDLEASQGAFQYFGLREDQVPLIIIQDGDSKKFLKAHVEPDQIVSWLKQYFDGKLSPFRKSEPIPEVNDEPVKVVVADNVHDFVFKSGKNVLVEFYAPWCGHCKKLAPILDEAATTLKSDEDVVIAKMDATANDVPSEFDVQGYPTLYFVTPSGKMVPYESGRTADEIVDFIKKNKETAGQAKEKAESAPAEPLKDEL >ORUFI11G05830.1 pep chromosome:OR_W1943:11:4558318:4559139:-1 gene:ORUFI11G05830 transcript:ORUFI11G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNDDKSQAAAERIKAQALSAAKGLSRTQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAVILGVKPKAAQTSSSSGGAYTQCQKCFQPGHWTYECKNERVYISRPSRTQQLKNPKLKKTAAPVSYQFQNPDLEKEKEEERKLMKAKLKKEKSEKSKRKSKRKYRSRSDSDSSEASVFDSDSESSVTGSEYSSGSSSSYSSSDSEDKKRRPKRKQQKRRHRRETSSSASSESDSESASASDSDSDDKGSRKKSRKRSARR >ORUFI11G05840.1 pep chromosome:OR_W1943:11:4560995:4571786:-1 gene:ORUFI11G05840 transcript:ORUFI11G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVACAERATSDMLIGPDWAVNIELCDIINMDPGYNLMEATDFGDELVSFVVEVQFRQAKDTLKLLKKRLGNKNSKVQILTLYVLETLSKNCGDVVYQQIIERDILSEMNAGVDFPPREENTVPLFTPPQTQPLRQPHLYPPPGQSYEDAAIQASLQSSAPSAPALRQQKAVSKLLLDDLCASKAPTLRTCRSAQLESTERSLSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIVDLVGQCRSYQGRVMDLVSNTGSARDGTAAQGNLPSAPKSERPYPSPLLPPPPSSKRPVFTEASSVDYLSGDSYKTEKVSDDFINPTAPANIPAPSHSKTETNPPPSYDSRSESVSDDFINPTAAPSFSMPSRPMSESNRPAVNRQESLPDDDFINPTAIPGFSSSSNANKYGDSGEDLPKAPWEAQAPGSLPPPPARYGQRQQYFEQQHGLPSGNNGAGYNGLVSQTEGLSLNQRNTENERGSSVPTASRQTKPEDSLFKDLVDFAKNKPSSPSKPANSRRTR >ORUFI11G05850.1 pep chromosome:OR_W1943:11:4578338:4581467:-1 gene:ORUFI11G05850 transcript:ORUFI11G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPPSGQRRLEFSFQGSSASAVGGGGGEFERVRMRSPLGNPMAAREGEEESRWLQASRVGSPESGTPSPEFWGQQQLQRLYPASAGSSPSRAQAIAGYRREMLDLVRGLPESCYELSLRDIVESPPPPPPPHPLPPPPPTPPPPTAEAKITAAAAAAAGAMDEEASKKHGKSTTTKTARKQRTMGRTRSRSMDRSVSLDTGLLIKLFLPLSVGGGGGKKKVSPKPPAAAAAAAGKKNKTKGKKKKQEAQQQEEEWWSKGGEFSEAGSSSRTSSTNSTNSTSSGGGHGHGSSSSIGNGHGGGNPKAQTTRSRSRKRIGCYGFFKKNKSKNGGAED >ORUFI11G05860.1 pep chromosome:OR_W1943:11:4580607:4581659:1 gene:ORUFI11G05860 transcript:ORUFI11G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASAVRAVGAARPAAAAGLAELAALAPPLLLLLLRLLLLLLPLRLVLLAGGGGGCGGRFRRHLLLPAAAADGEREEELDEKAGVEAHAAVHAPAPRPPHRPLLPRRLGGGGLPVLLAGFLVHGARRRRRRRGDLGFGGGRRWGGRRRRERMRRRRRRRGLDDVAEGELVAGLGEAADEVEHLAAVPGDRLRPRRRAPRRRRNSGDGVPDSGEPTRDACSHRDSSSPSLAAIGFPSGLRILTLSNSPPPPPTADADDPWKLNSSRRCPDGGIGIIPTPRERGWKRDGEGEEGVIMAMWRRRRGGGGGEEEERRESDGGWVQAMVWKVVGERFKSGI >ORUFI11G05870.1 pep chromosome:OR_W1943:11:4596586:4597647:1 gene:ORUFI11G05870 transcript:ORUFI11G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEGADVRGSHKIKKTAADVEGGGEWINALPEEVLQHVMSFLPAKQAVRTCVLARRWRHLWKSMPVLRVFHPWYLNKHDVRNLNRFMNCLIRYRDRRMPLDTCEIKIGAFGEGCVEPQVDSLIRYALLHKARIMKVELQEHNDNFSLAKLPLISQRLTRLELSNVSLLCGFVDSLSFPALEALWIKCCHIDGEKISSQSLKELTMIDCIFFRQFRISAPSLVRLEITDCVGKAPVLEIMPSLVKAFIRFRDSRDICGKEEFGGSCTNASCDNCGANGVDSGDCVLLKGISMAKSLELVTEPGAYMYSVN >ORUFI11G05880.1 pep chromosome:OR_W1943:11:4596621:4597645:1 gene:ORUFI11G05880 transcript:ORUFI11G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEGADVRGSHKIKKTAADVEGGGEWINALPEEVLQHVMSFLPAKQAVRTCVLARRWRHLWKSMPVLRVFHPWYLNKHDVRNLNRFMNCLIRYRDRRMPLDTCEIKIGAFGEGCVEPQVDSLIRYALLHKARIMKVELQEHNDNFSLAKLPLISQRLTRLELSNVSLLCGFVDSLSFPALEALWIKCCHIDGEKISSQSLKELTMIDCIFFRQFRISAPSLVRLEITDCVGKAPVLEIMPSLVKAFIRFRDSRDICGKEEFGGSCTNASCDNCGANGVDSGDCVLLKGISMAKSLELVTEPGAYMYSVN >ORUFI11G05890.1 pep chromosome:OR_W1943:11:4598524:4600165:-1 gene:ORUFI11G05890 transcript:ORUFI11G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGNSLPSPSCADDKKRRVCYYYDPGIAHIKFSDDHVMVPARVAMAHSLVGVYGMLGDMRRLRTRPATEAEIRRFHSPEYVDLLRDLTPESYANDAALRQKAEGDHGIGGDDDCPAFDRLWNRVIYVDIDAHHGDGVQDAFLDSNRVMTLSFHRYGKITPHKNFFPGSGAVNEIGDGAGKHYSVNVPLDAGVRDDVYHTLFEPIVGKAMEVFQPEAIVLQCGADSLSGDRLGGMELSVRGHAECVGFLRGFNLPLLLVGGGGYTINHVASACHGYELMYKNQGNKLHYKTSTATAARKRSSSTEVTKGKVLEHLSQVKRAPSVQFQERRGGDNAAGVELYYERPPSLEDDEPAQRLHRLCFPGLTKRIRLND >ORUFI11G05900.1 pep chromosome:OR_W1943:11:4600513:4605051:-1 gene:ORUFI11G05900 transcript:ORUFI11G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRDGGRRREGLTAEENSGGDWLSTLPDEILHNVLSFLPAHEAVRTCLLSRRWRNLWRSAPVLRIRHRWVGVERFNKFVNNLLLLRDPVPLDELEFQTYTYWPTKMPRPCIYEVKYAELWIRHALMCKARVLRVLVQSEHLAPLELSMPLISKHLTTLQLRSVKLDNHALDFSNCPVLEDLQMNCLEDLELESCKISTDKMVSQSLKHLCVTRCNFEMSTRISVPGLISLRLDDNYGSIPLLESMPLLVTASVKFGTFSWGCWKCIYHPGTCVRCDGDPDGDGGVKCKFFRGLSNAANLELVAEAGMCILKQDLTWCPHIQQTLLLDGWVVGHNFHALGCFLQQTPILEKLTLQLCKGHEDVVEIEESSSSMGQLVRFENLERVEVGCLRNDEWVQKVFKILNTCGVSPDKITIQSEKFRDQADD >ORUFI11G05900.2 pep chromosome:OR_W1943:11:4600513:4605051:-1 gene:ORUFI11G05900 transcript:ORUFI11G05900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRDGGRRREGLTAEENSGGDWLSTLPDEILHNVLSFLPAHEAVRTCLLSRRWRNLWRSAPVLRIRHRWVGVERFNKFVNNLLLLRDPVPLDELEFQTYTYWPTKMPRPCIYEVKYAELWIRHALMCKARVLRVLVQSEHLAPLELSMPLISKHLTTLQLRSVKLDNHALDFSNCPVLEDLQMNCCTISTPYNIFSQSLKRLCITKCNRTETNFIDDLSSLPTVEDLELESCKISTDKMVSQSLKHLCVTRCNFEMSTRISVPGLISLRLDDNYGSIPLLESMPLLVTASVKFGTFSWGCWKCIYHPGTCVRCDGDPDGDGGVKCKFFRGLSNAANLELVAEAGMCILKQDLTWCPHIQQTLLLDGWVVGHNFHALGCFLQQTPILEKLTLQLCKGHEDVVEIEESSSSMGQLVRFENLERVEVGCLRNDEWVQKVFKILNTCGVSPDKITIQSEKFRDQADD >ORUFI11G05910.1 pep chromosome:OR_W1943:11:4606367:4606729:-1 gene:ORUFI11G05910 transcript:ORUFI11G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVHAALAVADLGHIKVTTSVSQATIGVHIPPSASKFTDEAKSFLSYVIPFLERTHAPLLANLYPYFISYNPGGMDINSAMFTASERAAAGGGGVRARGGRRSGGAEQRWRQAGERAAR >ORUFI11G05920.1 pep chromosome:OR_W1943:11:4607343:4607776:-1 gene:ORUFI11G05920 transcript:ORUFI11G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKMDEVGRGGRGGGPVAGDGRRCGGCSGRGREAVPFRDQARNTAALPRPLDVDADVEAVPELLGAHRRTWTPAAAVSLPLPSLHRRRLAPCRRRHPSPSPPPATTTISSLPVPVPISYAPS >ORUFI11G05930.1 pep chromosome:OR_W1943:11:4611928:4615055:1 gene:ORUFI11G05930 transcript:ORUFI11G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRDGSSTRREGLTAEEDGGEDWLSTLPDEILHNVLSFLPAHEAVWTCVLSRRWRNLWRSAPVLRIRYAERWDGMAKFDKFVNNLLLLRDPVPLDELEFQTVLGICQLHPLQPSFRLLKYANTWIRHALMCNVRVLRVLVQYQYDLPLLKVNMPLISEHLKTLELRRVLLDKRTLDFSSCPSLEDLEMNSCGNSTANKILSQSLKRLCITNGQFADDLSSLPALQDLEMESCGICTEKVVCQSLKHLCLTQCHFEEPTHISAPGLISLQLNDIWGWTPSLETMPLLVIASVKLWKGSMGCCSECTFHPGTCADCDGDPDSSFKCEFLRDLSNAVNLELAAEDGMCMFKQDLTWCPRFSKVKTLLLDGWVVGHDFYAVVCFLQHTPILEKLTLQLCEGHERMVEIEESSRSVGRMVQFEHLQTVEVRCLRNDEWVHKILKILNTYGITPDKITIQIQKSTATAARKRSSSTEVTKGKVLEHLSQVKRAPSVQFRERHGGDNAAGVELYYDRPPSLEDDEPAQRLHRLCFPAVAKRIKLS >ORUFI11G05940.1 pep chromosome:OR_W1943:11:4612166:4615055:1 gene:ORUFI11G05940 transcript:ORUFI11G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRDGSSTRREGLTAEEDGGEDWLSTLPDEILHNVLSFLPAHEAVWTCVLSRRWRNLWRSAPVLRIRYAERWDGMAKFDKFVNNLLLLRDPVPLDELEFQTVLGICQLHPLQPSFRLLKYANTWIRHALMCNVRVLRVLVQYQYDLPLLKVNMPLISEHLKTLELRRVLLDKRTLDFSSCPSLEDLEMNSCGNSTANKILSQSLKRLCITNGQFADDLSSLPALQDLEMESCGICTEKVVCQSLKHLCLTQCHFEEPTHISAPGLISLQLNDIWGWTPSLETMPLLVIASVKLWKGSMGCCSECTFHPGTCADCDGDPDSSFKCEFLRDLSNAVNLELAAEDGMCMFKQDLTWCPRFSKVKTLLLDGWVVGHDFYAVVCFLQHTPILEKLTLQLCEGHERMVEIEESSRSVGRMVQFEHLQTVEVRCLRNDEWVHKILKILNTYGITPDKITIQIQPRLRAHVQEPGNKLHYQKSTATAARKRSSSTEVTKGKVLEHLSQVKRAPSVQFRERHGGDNAAGVELYYDRPPSLEDDEPAQRLHRLCFPAVAKRIKLS >ORUFI11G05950.1 pep chromosome:OR_W1943:11:4620345:4644425:-1 gene:ORUFI11G05950 transcript:ORUFI11G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPPPPAKRRRRDARDEDAPAAASDADRLSALPDDILHTLLSLLPAHEAVRTCALGRRWRDVRPRRPRHRRRGMGHRRQVHRVLDGLLRRLRRPGDASPRLESFVFDLNEIDFGFAGLLPSKSNHLHLSRAIWRAGPGAPLPPLHLRATPAATGSPLVSHHLTTLELAHVRVNDRVLDFSSCPSLLDLILHRCYIDAVQMSSQSLNRLIMTDCTFLVDARTRMSLPGLIALKITLLSGRAPFLESMPLLETAIVSLHCDCGDKWGWTGYIRSDNDKSISRLLQGLSEATNLCLLAHRRVCILNADLKWCPTFSKLKNLVRTYSLQTTERYKPREQSCAFDHHKKVEFMCDEVDDRVSVMPGPWAGGSSTAINRRRRALDLRPLVGDRGEDRVVFFLSLSASPRDQRYTLIIDSFSSSPLSRSVNFLARFLSSFDARKVFDGMSPESKKALVEGGGDDRISFLPTALLQHVLSFLQAKEVVRTCVLARRWRHLWKSMPILRVTGAGDARAFHTFTYHLLLLRDRSPLESCTFDFNVFSKDDMPIVNLWIRYVLLCQVRVLTLAIGGHQLTDLPVVSPILTRLELSRLSVNGKFLDFSSCPALKELKMTNCEISADKISSKSLKRLRICECKFKSKMRTRISVPSLLFLKLIAVKGRTPFLEDMPVLVTAKVLLLDFYCKDCCDGNDPGYCPAGCCVLLKGLADATNLELIADPEVFILKRDLRWCPTFTNLKTLLLSQWFESSDHCALICILQHSPVLEKLTLQLSKKSVINVRSRAIYNSMEKPFTSENLKTVEVKCQDIDQRVHKLMKSLNSYGIPLERINIQQTNQFSECFNFVWTGFIPRQSCGRPGLSMFLHRVRRRPPARGSPTALNRRISPISFTASASVCVRCVCVGEIAEVSSRRVFDGMSPESKKAAVEGGGGGDHIGALPDALLQHVLSFLQSKEVSVPVLRVTGADEAIHKFMDHLLLLRDRSPLETCVFAFCLYSKHDAPFANLWIRYVILSCQVRVLTLDIIGLRLIDLPVVSGFLTTLELGGMSVHGKFLDFSSCPALEELKMTKCTISADKISSQSLKRLSICECKFKSDGRTVISVPSLLFLQLIAFKSRTPFLEDMPLLVTAKVILSGYHCTDYCYSSDPGYCPIGCTHCYGIDDGSAGCVLLKGLADASNLELIADPKVWFESAENCALICILQHSPFLEKLTLQLSKKPDINMRSRAIYNSMGKSFASYNLKTVEVKCQDIDKKVHKLIMSLNSYGIPLEKINIQQTNESYESGDTGQRWPPAAASGKEAAPFANPSAPASNPRSTGCSEESISDALFLHVLYSPHRVLSRFGARHLFDVMPHPRPRGGDDRLGALPDEALQHVLSFLPLPEAVRTGALARRWRHLWKSMPVLRITGEGRVLNRSGVRRLNRFVNHLLLLRDRSARLDACEINLGTFRSQDDPQINLWIRHVLLCEAQDLWVHLSIDNNSFEMENLALVSRHLTRLELSNVVLKDHFLNFSSCPALEELVTRNCHIEAEEILSESLKWLTAVDCVFSSYPRTRISLPSLVTLELTEPWGSTPVLESMPSLLTASIKLTDCDDHCGKEEFGGSCDDNACDNCGANGGSSGDCVLLDGLSEAESLELIAKPRVFIFRRDLMWCPTFSKLKTLLLNEWSVAIDLVALICFLQHTPVLEKLTLQLIEAPANWMENEGSYDPTENPFASKQLKVVEVKCEKFDLRVHKIIMIFSTYGVNIEQIYIQRSVIHSEEPTDDSGAGPSRLRTPPISTSENAQLKSTIDQMLRQQQELLAQQQQQHHFLSQLQRRQQILCDITAQLSKYSAPPLSQRPPQANLYPSARMIHVSTYGFVMPFCAKLELYRFILALITTAMNWKMWLLSPSLTSGEYSCSVKYAIFINGIYRTHFRDDHCGKEEFGGLCDYNACDSCGANGPYNPCDSCGANGGNSGYCAYLKREFLIYHSKLTGSLICHFKLASPFYATGSSFSSLLCHYRQSTVS >ORUFI11G05950.2 pep chromosome:OR_W1943:11:4620345:4644425:-1 gene:ORUFI11G05950 transcript:ORUFI11G05950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPPPPAKRRRRDARDEDAPAAASDADRLSALPDDILHTLLSLLPAHEAVRTCALGRRWRDVRPRRPRHRRRGMGHRRQVHRVLDGLLRRLRRPGDASPRLESFVFDLNEIDFGFAGLLPSKSNHLHLSRAIWRAGPGAPLPPLHLRATPAATGSPLVSHHLTTLELAHVRVNDRVLDFSSCPSLLDLILHRCYIDAVQMSSQSLNRLIMTDCTFLVDARTRMSLPGLIALKITLLSGRAPFLESMPLLETAIVSLHCDCGDKWGWTGYIRSDNDKSISRLLQGLSEATNLCLLAHRRVCILNADLKWCPTFSKLKNLVRTYSLQTTERYKPREQSCAFDHHKKVEFMCDEVDDRVSVMPGPWAGGSSTAINRRRRALDLRPLVGDRGEDRVVFFLSLSASPRDQRYTLIIDSFSSSPLSRSVNFLARFLSSFDARKVFDGMSPESKKALVEGGGDDRISFLPTALLQHVLSFLQAKEVVRTCVLARRWRHLWKSMPILRVTGAGDARAFHTFTYHLLLLRDRSPLESCTFDFNVFSKDDMPIVNLWIRYVLLCQVRVLTLAIGGHQLTDLPVVSPILTRLELSRLSVNGKFLDFSSCPALKELKMTNCEISADKISSKSLKRLRICECKFKSKMRTRISVPSLLFLKLIAVKGRTPFLEDMPVLVTAKVLLLDFYCKDCCDGNDPGYCPAGCTHCYGIDDGSAGCVLLKGLADATNLELIADPEVFILKRDLRWCPTFTNLKTLLLSQWFESSDHCALICILQHSPVLEKLTLQLSKKSVINVRSRAIYNSMEKPFTSENLKTVEVKCQDIDQRVHKLMKSLNSYGIPLERINIQQTNQFSECFNFVWTGFIPRQSCGRPGLSMFLHRVRRRPPARGSPTALNRRISPISFTASASVCVRCVCVGEIAEVSSRRVFDGMSPESKKAAVEGGGGGDHIGALPDALLQHVLSFLQSKEVSVPVLRVTGADEAIHKFMDHLLLLRDRSPLETCVFAFCLYSKHDAPFANLWIRYVILSCQVRVLTLDIIGLRLIDLPVVSGFLTTLELGGMSVHGKFLDFSSCPALEELKMTKCTISADKISSQSLKRLSICECKFKSDGRTVISVPSLLFLQLIAFKSRTPFLEDMPLLVTAKVILSGYHCTDYCYSSDPGYCPIGCTHCYGIDDGSAGCVLLKGLADASNLELIADPKVWFESAENCALICILQHSPFLEKLTLQLSKKPDINMRSRAIYNSMGKSFASYNLKTVEVKCQDIDKKVHKLIMSLNSYGIPLEKINIQQTNESYESGDTGQRWPPAAASGKEAAPFANPSAPASNPRSTGCSEESISDALFLHVLYSPHRVLSRFGARHLFDVMPHPRPRGGDDRLGALPDEALQHVLSFLPLPEAVRTGALARRWRHLWKSMPVLRITGEGRVLNRSGVRRLNRFVNHLLLLRDRSARLDACEINLGTFRSQDDPQINLWIRHVLLCEAQDLWVHLSIDNNSFEMENLALVSRHLTRLELSNVVLKDHFLNFSSCPALEELVTRNCHIEAEEILSESLKWLTAVDCVFSSYPRTRISLPSLVTLELTEPWGSTPVLESMPSLLTASIKLTDCDDHCGKEEFGGSCDDNACDNCGANGGSSGDCVLLDGLSEAESLELIAKPRVFIFRRDLMWCPTFSKLKTLLLNEWSVAIDLVALICFLQHTPVLEKLTLQLIEAPANWMENEGSYDPTENPFASKQLKVVEVKCEKFDLRVHKIIMIFSTYGVNIEQIYIQRSVIHSEEPTDDSGAGPSRLRTPPISTSENAQLKSTIDQMLRQQQELLAQQQQQHHFLSQLQRRQQILCDITAQLSKYSAPPLSQRPPQANLYPSARMIHVSTYGFVMPFCAKLELYRFILALITTAMNWKMWLLSPSLTSGEYSCSVKYAIFINGIYRTHFRDDHCGKEEFGGLCDYNACDSCGANGPYNPCDSCGANGGNSGYCAYLKREFLIYHSKLTGSLICHFKLASPFYATGSSFSSLLCHYRQSTVS >ORUFI11G05950.3 pep chromosome:OR_W1943:11:4620345:4644425:-1 gene:ORUFI11G05950 transcript:ORUFI11G05950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPPPPAKRRRRDARDEDAPAAASDADRLSALPDDILHTLLSLLPAHEAVRTCALGRRWRDVRPRRPRHRRRGMGHRRQVHRVLDGLLRRLRRPGDASPRLESFVFDLNEIDFGFAGLLPSKSNHLHLSRAIWRAGPGAPLPPLHLRATPAATGSPLVSHHLTTLELAHVRVNDRVLDFSSCPSLLDLILHRCYIDAVQMSSQSLNRLIMTDCTFLVDARTRMSLPGLIALKITLLSGRAPFLESMPLLETAIVSLHCDCGDKWGWTGYIRSDNDKSISRLLQGLSEATNLCLLAHRRVCILNADLKWCPTFSKLKNLVRTYSLQTTERYKPREQSCAFDHHKKVEFMFLSSFDARKVFDGMSPESKKALVEGGGDDRISFLPTALLQHVLSFLQAKEVVRTCVLARRWRHLWKSMPILRVTGAGDARAFHTFTYHLLLLRDRSPLESCTFDFNVFSKDDMPIVNLWIRYVLLCQVRVLTLAIGGHQLTDLPVVSPILTRLELSRLSVNGKFLDFSSCPALKELKMTNCEISADKISSKSLKRLRICECKFKSKMRTRISVPSLLFLKLIAVKGRTPFLEDMPVLVTAKVLLLDFYCKDCCDGNDPGYCPAGCCVLLKGLADATNLELIADPEVFILKRDLRWCPTFTNLKTLLLSQWFESSDHCALICILQHSPVLEKLTLQLSKKSVINVRSRAIYNSMEKPFTSENLKTVEVKCQDIDQRVHKLMKSLNSYGIPLERINIQQTNQFSECFNFVWTGFIPRQSCGRPGLSMFLHRVRRRPPARGSPTALNRRISPISFTASASVCVRCVCVGEIAEVSSRRVFDGMSPESKKAAVEGGGGGDHIGALPDALLQHVLSFLQSKEVSVPVLRVTGADEAIHKFMDHLLLLRDRSPLETCVFAFCLYSKHDAPFANLWIRYVILSCQVRVLTLDIIGLRLIDLPVVSGFLTTLELGGMSVHGKFLDFSSCPALEELKMTKCTISADKISSQSLKRLSICECKFKSDGRTVISVPSLLFLQLIAFKSRTPFLEDMPLLVTAKVILSGYHCTDYCYSSDPGYCPIGCTHCYGIDDGSAGCVLLKGLADASNLELIADPKVWFESAENCALICILQHSPFLEKLTLQLSKKPDINMRSRAIYNSMGKSFASYNLKTVEVKCQDIDKKVHKLIMSLNSYGIPLEKINIQQTNESYESGDTGQRWPPAAASGKEAAPFANPSAPASNPRSTGCSEESISDALFLHVLYSPHRVLSRFGARHLFDVMPHPRPRGGDDRLGALPDEALQHVLSFLPLPEAVRTGALARRWRHLWKSMPVLRITGEGRVLNRSGVRRLNRFVNHLLLLRDRSARLDACEINLGTFRSQDDPQINLWIRHVLLCEAQDLWVHLSIDNNSFEMENLALVSRHLTRLELSNVVLKDHFLNFSSCPALEELVTRNCHIEAEEILSESLKWLTAVDCVFSSYPRTRISLPSLVTLELTEPWGSTPVLESMPSLLTASIKLTDCDDHCGKEEFGGSCDDNACDNCGANGGSSGDCVLLDGLSEAESLELIAKPRVFIFRRDLMWCPTFSKLKTLLLNEWSVAIDLVALICFLQHTPVLEKLTLQLIEAPANWMENEGSYDPTENPFASKQLKVVEVKCEKFDLRVHKIIMIFSTYGVNIEQIYIQRSVIHSEEPTDDSGAGPSRLRTPPISTSENAQLKSTIDQMLRQQQELLAQQQQQHHFLSQLQRRQQILCDITAQLSKYSAPPLSQRPPQANLYPSARMIHVSTYGFVMPFCAKLELYRFILALITTAMNWKMWLLSPSLTSGEYSCSVKYAIFINGIYRTHFRDDHCGKEEFGGLCDYNACDSCGANGPYNPCDSCGANGGNSGYCAYLKREFLIYHSKLTGSLICHFKLASPFYATGSSFSSLLCHYRQSTVS >ORUFI11G05950.4 pep chromosome:OR_W1943:11:4619400:4644425:-1 gene:ORUFI11G05950 transcript:ORUFI11G05950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPPPPAKRRRRDARDEDAPAAASDADRLSALPDDILHTLLSLLPAHEAVRTCALGRRWRDVRPRRPRHRRRGMGHRRQVHRVLDGLLRRLRRPGDASPRLESFVFDLNEIDFGFAGLLPSKSNHLHLSRAIWRAGPGAPLPPLHLRATPAATGSPLVSHHLTTLELAHVRVNDRVLDFSSCPSLLDLILHRCYIDAVQMSSQSLNRLIMTDCTFLVDARTRMSLPGLIALKITLLSGRAPFLESMPLLETAIVSLHCDCGDKWGWTGYIRSDNDKSISRLLQGLSEATNLCLLAHRRVCILNADLKWCPTFSKLKNLVRTYSLQTTERYKPREQSCAFDHHKKVEFMCDEVDDRVSVMPGPWAGGSSTAINRRRRALDLRPLVGDRGEDRVVFFLSLSASPRDQRYTLIIDSFSSSPLSRSVNFLARFLSSFDARKVFDGMSPESKKALVEGGGDDRISFLPTALLQHVLSFLQAKEVVRTCVLARRWRHLWKSMPILRVTGAGDARAFHTFTYHLLLLRDRSPLESCTFDFNVFSKDDMPIVNLWIRYVLLCQVRVLTLAIGGHQLTDLPVVSPILTRLELSRLSVNGNCPALEELKMTKCTISADKISSQSLKRLSICECKFKSDGRTVISVPSLLFLQLIAFKSRTPFLEDMPLLVTAKVILSGYHCTDYCYSSDPGYCPIGCTHCYGIDDGSAGCVLLKGLADASNLELIADPKVWFESAENCALICILQHSPFLEKLTLQLSKKPDINMRSRAIYNSMGKSFASYNLKTVEVKCQDIDKKVHKLIMSLNSYGIPLEKINIQQTNESYESGDTGQRWPPAAASGKEAAPFANPSAPASNPRSTGCSEESISDALFLHVLYSPHRVLSRFGARHLFDVMPHPRPRGGDDRLGALPDEALQHVLSFLPLPEAVRTGALARRWRHLWKSMPVLRITGEGRVLNRSGVRRLNRFVNHLLLLRDRSARLDACEINLGTFRSQDDPQINLWIRHVLLCEAQDLWVHLSIDNNSFEMENLALVSRHLTRLELSNVVLKDHFLNFSSCPALEELVTRNCHIEAEEILSESLKWLTAVDCVFSSYPRTRISLPSLVTLELTEPWGSTPVLESMPSLLTASIKLTDCDDHCGKEEFGGSCDDNACDNCGANGGSSGDCVLLDGLSEAESLELIAKPRVFIFRRDLMWCPTFSKLKTLLLNEWSVAIDLVALICFLQHTPVLEKLTLQLIEAPANWMENEGSYDPTENPFASKQLKVVEVKCEKFDLRVHKIIMIFSTYGVNIEQIYIQRSVIHSEEPTDDSGAGPSRLRTPPISTSENAQLKSTIDQMLRQQQELLAQQQQQHHFLSQLQRRQQILCDITAQLSKYSAPPLSQRPPQANLYPSARMIHVSTYGFVMPFCAKLELYSIDNDSYELEDVALVSQRLMRLDLCNMVLKDYFLNFSCCPVLKELLMRKCCIKARKISSESLKRLTALTSGEYSCSVKYAIFINGIYRTHFRDDHCGKEEFGEPTDDSGAGPFLLWIPPISPVECTIEKHDWHNLLH >ORUFI11G05950.5 pep chromosome:OR_W1943:11:4627022:4644425:-1 gene:ORUFI11G05950 transcript:ORUFI11G05950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPPPPAKRRRRDARDEDAPAAASDADRLSALPDDILHTLLSLLPAHEAVRTCALGRRWRDVRPRRPRHRRRGMGHRRQVHRVLDGLLRRLRRPGDASPRLESFVFDLNEIDFGFAGLLPSKSNHLHLSRAIWRAGPGAPLPPLHLRATPAATGSPLVSHHLTTLELAHVRVNDRVLDFSSCPSLLDLILHRCYIDAVQMSSQSLNRLIMTDCTFLVDARTRMSLPGLIALKITLLSGRAPFLESMPLLETAIVSLHCDCGDKWGWTGYIRSDNDKSISRLLQGLSEATNLCLLAHRRVCILNADLKWCPTFSKLKNLVRTYSLQTTERYKPREQSCAFDHHKKVEFMCDEVDDRVSVMPGPWAGGSSTAINRRRRALDLRPLVGDRGEDRVVFFLSLSASPRDQRYTLIIDSFSSSPLSRSVNFLARFLSSFDARKVFDGMSPESKKALVEGGGDDRISFLPTALLQHVLSFLQAKEVVRTCVLARRWRHLWKSMPILRVTGAGDARAFHTFTYHLLLLRDRSPLESCTFDFNVFSKDDMPIVNLWIRYVLLCQVRVLTLAIGGHQLTDLPVVSPILTRLELSRLSVNGKFLDFSSCPALKELKMTNCEISADKISSKSLKRLRICECKFKSKMRTRISVPSLLFLKLIAVKGRTPFLEDMPVLVTAKVLLLDFYCKDCCDGNDPGYCPAGCCVLLKGLADATNLELIADPEVFILKRDLRWCPTFTNLKTLLLSQWFESSDHCALICILQHSPVLEKLTLQLSKKSVINVRSRAIYNSMEKPFTSENLKTVEVKCQDIDQRVHKLMKSLNSYGIPLERINIQQTNQFSECFNFVWTGFIPRQSCGRPGLSMFLHRVRRRPPARGSPTALNRRISPISFTASASVCVRCVCVGEIAEVSSRRVFDGMSPESKKAAVEGGGGGDHIGALPDALLQHVLSFLQSKEVSVPVLRVTGADEAIHKFMDHLLLLRDRSPLETCVFAFCLYSKHDAPFANLWIRYVILSCQVRVLTLDIIGLRLIDLPVVSGFLTTLELGGMSVHGKFLDFSSCPALEELKMTKCTISADKISSQSLKRLSICECKFKSDGRTVISVPSLLFLQLIAFKSRTPFLEDMPLLVTAKVILSGYHCTDYCYSSDPGYCPIGCTHCYGIDDGSAGCVLLKGLADASNLELIADPKVWFESAENCALICILQHSPFLEKLTLQLSKKPDINMRSRAIYNSMGKSFASYNLKTVEVKCQDIDKKVHKLIMSLNSYGIPLEKINIQQTNESYESGDTGQRWPPAAASGKEAAPFANPSAPASNPRSTDLVLATCST >ORUFI11G05950.6 pep chromosome:OR_W1943:11:4620345:4627024:-1 gene:ORUFI11G05950 transcript:ORUFI11G05950.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPRPRGGDDRLGALPDEALQHVLSFLPLPEAVRTGALARRWRHLWKSMPVLRITGEGRVLNRSGVRRLNRFVNHLLLLRDRSARLDACEINLGTFRSQDDPQINLWIRHVLLCEAQDLWVHLSIDNNSFEMENLALVSRHLTRLELSNVVLKDHFLNFSSCPALEELVTRNCHIEAEEILSESLKWLTAVDCVFSSYPRTRISLPSLVTLELTEPWGSTPVLESMPSLLTASIKLTDCDDHCGKEEFGGSCDDNACDNCGANGGSSGDCVLLDGLSEAESLELIAKPRVFIFRRDLMWCPTFSKLKTLLLNEWSVAIDLVALICFLQHTPVLEKLTLQLIEAPANWMENEGSYDPTENPFASKQLKVVEVKCEKFDLRVHKIIMIFSTYGVNIEQIYIQRSVIHSEEPTDDSGAGPSRLRTPPISTSENAQLKSTIDQMLRQQQELLAQQQQQHHFLSQLQRRQQILCDITAQLSKYSAPPLSQRPPQANLYPSARMIHVSTYGFVMPFCAKLELYRFILALITTAMNWKMWLLSPSLTSGEYSCSVKYAIFINGIYRTHFRDDHCGKEEFGGLCDYNACDSCGANGPYNPCDSCGANGGNSGYCAYLKREFLIYHSKLTGSLICHFKLASPFYATGSSFSSLLCHYRQSTVS >ORUFI11G05960.1 pep chromosome:OR_W1943:11:4657575:4658885:-1 gene:ORUFI11G05960 transcript:ORUFI11G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVFIVCLIIVVFGLMGVATVILGLIDGLKIFSLVERLSETTNLCLFAHPGMFIFNRDLYWCPTFNKLKTLELNDWCVDSDLYALFYFLQHSPVLEMLTLHLSKVRKDSFQTTGSYKPLGQLASDRLKKIEINHYEFFDERVRKIFKILSTYVTPLQVYMSARCELIIPLLMLLFCILSKYI >ORUFI11G05970.1 pep chromosome:OR_W1943:11:4660953:4662053:-1 gene:ORUFI11G05970 transcript:ORUFI11G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVSRDDDDPTGGESVAAAAPPPRLPPSFLIGALYGFWGGRIRFVRAASPRDRDTAIGAAVARFFSRSDGNGDGGYEPVTSRGGLVVLRRARGQAAPSDLCVCDPVAGRRFFLPRPGIHDESHVLLFSGDGSGRGGGGHPPRRQMIIHVVNLELLRHKVLQVQTFSPETNAWGPVVATHAHLPTSSFIRPAPLVVGGAAYWLCVSNAADLGCYVLALRLDASSSSPAAAQIELPPRSHLGLVAKPSLEMLLVSPPADGTLGLAVARHHEISIWTLSSSSSAARWTQRAVVDLARMAAEEEMLPPLTADEEVRLECFAESSGAVLFRLYHGCLFELSLATMEVRFIGYYSKRDTSSMCAYDMDYPA >ORUFI11G05980.1 pep chromosome:OR_W1943:11:4665376:4667270:-1 gene:ORUFI11G05980 transcript:ORUFI11G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMSAASSGRVKIGDLPDDLLRRVVSLLSARQAVQTSALSRRWRHLWRSAPLLQILPDDGFRTVRGLNEFAKHLLLLRDRAALLDACVINFDCCEFESYQDLPDDPDVGLWLRHAVSCQAQWIRVEIYVEDDPLCLPDLPLVSKHLRVLELKYVKIKDSLVDFSGCPALEHLKFWGGFIHAHMISSPSVKHMIIDGCGFNRKFRTHISIPSLISLQLKHFWGATPFLEDMPLLVTASVSLSDGGRDRCVNTEFGKCGDPGCFDCGANKVIDCDSCVLLQGLSGTSTLELKAESRVQQVYFLQEPYNFVEIEDSDKPLKQAFPFKNLKIVEIKCHEGDERVNTVLKILSQNSVPLEKISVLQTKRRPRYI >ORUFI11G05990.1 pep chromosome:OR_W1943:11:4669542:4675537:1 gene:ORUFI11G05990 transcript:ORUFI11G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLFVYSRDLNIKLEMNGSRYQSDVSAAVLEHLKIVEIKCEVVDERVHEVLKFLSTLNICKITAGERDIKLGPTFQYNTTPFTIPTTTFLFLSPNFLGSSSLYRTQINPAATVVTLAGGSISRRRRKHQLQEATTAAAALAEACGSDGGSGGSGGGARGIRRRLRRQSREKAAAEVAALAEEAATVATSLAEEAWAEAVLVGVRGFDEVGVSHVTAAAAALVVGPPSAAVLTANHQRHQLRALFSFPWRQTTKCIEERGEFQGREMNTAFFYSIWPGVLCSSTAQAQPNLPSSVQGPARRGDWSHRRRGAHQSFDGMPPTKRAKKAAAAGGGDLIGALPDAMLHRILSLLPAQEAVRTCVLARRWRHLWRSAPGLRVVRAAGRPPATVEELQGFVDHLLLLRGGSSLDTCELSFDQIRRQDIPRVNLWIRHIVMCKVRVLVLHLNPYCHELDELPLVSQHLTRLELSGLILNDSFLNFSSCPALDYLEIVQCYFSSLTKITSQLLKRLRIIKCFTGSRPHVHATNLISLHLDTITRTPVLERLPSLVKADIKLNSQCRDFSSFDDFSGGCNHEFCGGCRGVQAENCVLLRGLSEAKNLALVAETKMGPKHKVEMKGSRHPSGVSAAMLKYLEIVEVKCEVVDESVLDVLKASLSRNRRVGKKMDCSIREAPTILEITCFMCGILLRSACRNNVSQL >ORUFI11G06000.1 pep chromosome:OR_W1943:11:4678570:4680867:-1 gene:ORUFI11G06000 transcript:ORUFI11G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRGGSKRACVGSGGGGDRISDLPDEVIHRVLWFLPTHEAVKTSLLSRRWRELWKSTRRLSIAGLSRYPHLLSTTGSGGSSPATVDKLSKFVNHLLLSRKQGPLDECRFSFDGFKDMDGAQVDMWIRYVLDNVWQLRVLLINLGTSIHVKLAGTALVSENLVRLELSEAKFKGKFLDFSCCAALEYLKLRACFISVGKIFSQSLKHLIITRCDFDLVTRTEISVCSLRSLVLNDCDGQTPFLESMPSLERAFVRLGWFAVDHCTEGICGGCHDPCENSCDNDNNSSENNSSDNGNSSDNNSSDNGNCSDQDNYDAVCGLCANCRDNDNISGTCLLLRGLSRCTYLELSPSYQMLTFERDLRWCPTFSNLRMLVLSDYNLDGGFLALLCFLQHTPVLQKLTLKLRKIHGPTVDISSYLKRPVVLRHLRIVEVKCPVSVQEEIFKLWKILITWGRYIVQFNIESTQYILDWTIKMPKLSGRLAKQHRSMAKEARSTTPVFMCCYAHIDCSGKASGVQRLRLSVRGETLDKNVLVE >ORUFI11G06010.1 pep chromosome:OR_W1943:11:4681847:4682038:-1 gene:ORUFI11G06010 transcript:ORUFI11G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRPGFGLSCSKNNLQDFDSLRWTTCLLSISRHLKRLELVSHSANQQILRLLELLGAGTSED >ORUFI11G06020.1 pep chromosome:OR_W1943:11:4682097:4713555:-1 gene:ORUFI11G06020 transcript:ORUFI11G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRKKAKELPGSTGGDRIGALPDEVLHHVLSFLPAQEAVQTCLLARRWLHLWKSATGLRIGEDDIYLRCVKDQKEFLNRLLLLRDGAPLDTCVLRFRWLVWFRDEGLDDTVRVNHWFRHALLHKVRFLLLDVDICYHSPFLMDEMPLVSRHLTRLQLKNIGLNNSFLNFSSCPALEHLVFESCKFDCAKISSSSAKRLSIADSYFSETSRVRIAIPSLVSLQLDYFHGRTPVLETMPSLLDAFVRVLYWTKDYCIWSDSGDCGHENCESCYGIKDNNCVLLEGLSEAKTLGLISEHGSFILKRDLKWCPTFTKLKTLLLNEYWCVPDDFSALSCILQHAPVLGNLILQIYSKGPKHRMKIKGNCHSMDRSLVISAHLEIVEIKCKTVDKRFLVLRKSRFWKKMKMTRMKRRKMRMKTRTLMKTLLKTKMKTLTKANMKTKTMKTKTKTMTMKTKTKTKTKMKMKMKSKRKRKRKMRRAAPLAYPYPCNAGAARSTLWSVSGGRGEAAGGDVGSLVLTLTALAPAVLATLGGEGWRRGDARNLFDGMSPRKMPKESPGSTGGDRIGDLPDEVLHHVLSFLPAQEAVRTCLLARRWLHLWKSATGLRIGEDCDYLGSVKKQKEFLDRLLLLRDGAPLDTCVLMFDYYGDMDIEDTARVNLWFRHALIHKARFLWLDVGYYHSFVIDEMPLVSQHLTRLQLRNIRVNDSFLNFSSCPALEHLVFQSCKFGCAKISSSSAKRLSITNSYFSEISRVRIAIPSLVSLQLDGFHDRAPVLERMPSLVDAFVGVLNWTKDYCIWSDSGDCGHENCESCYGIKDNNCVLLEGLSEAKTLGLISERGSFILKRDLKWCPTFTKLKTLLLNEYWCVPDDFSALTCILQHAPVLENLILQICSKEIEILEGYDNDQDEVEEDEDEDSHEDEDENEDSYGDPYEDEDDDEDGDEVEEENGGADARRLFGGMSARKEAKGSAGGDRIGALPDEVLHRVLSFLPAQDAVRTCVLAPRWRHLWKSATGLRVGEDESNLGSVKEQQEFLDHLLVLRDSAPLETCVLRFNWYDDDDFEDIFRLNVWFRYAIHRKVRFLRLDVWQEEEFGNPVPIDEQPIVSQHLTRLQLYGIVLNDGLLDFSSCPSLEHLVFESRVFECAKISSNSVKHLSITFSNFPAGTSRVRIDIPSLVSLRLDRIYDRKPVLERMPSLVDAFVSVPSSSEDFCGESDSGDCGRDGCESCYGFTNKNCVLLEGLSEAKMLVLINEDESFIFKRDLKWCPTFSKLKTLILNGYWCVPDDSHMLARILEHSPALEKLVFQLGYQAYKRTNKIKGILNPMERSAGISEHLQIVEVQCNAIDGQISVWRKRRLRSANARGLFDEMPTGKEGMEEPLPTDADHIGALPDTVLHHVLSFLPSQDAVRTCVLAKRWLDLWKSVTALRIGDRDKRKLWTVKGLQGFVDHFLLLRESVPLHTCVLRFIVFSEDLNETSRLNLWIKHALLRMVQFLQVSIRQNTAFYHQINLGILPFVSRHLSMLELHGVRMVGSFLDFSRCPALQHLEFDRCELPCDKILSESLKLLRITRCKFSQTSRVRICVPSLVSLRLDDFYRRTPVLERMPSLVEAFVRVVHRTYDCCGYDYINSGDCGNEHCKSCHGIKDDNNCVLLDGLSEAKTLALIDGTISFIFNRDLKWCPTFSKLKTLLLNEYWCVPDEFSALACILEHAPVLENLILQLYSEGPKHTMKIKGNCHPMDRSAAISGHLETVEIRCEVVDKRVLKVLKYLSTFNILLSKSRFLKKMTVMTMTKRMRKMMMTTFMERRRKRKKRMTKMKMIDAPPFDYSEVQRARRLFDGMPPAKRGRRMMDLDGGGGEDRVGALPDEVLHHMLSFLPARDAVQTCVLAHRWRDLWKSATGLRIGSDEEDTARVREIRVFVDHLLLLRGCAPLDMCELKFWFDSDEDDDEEDEESKNDARRMNLWIRSAVASKVRNLVLNNICSGSFELDDLPLVSRHLTRLELFNLELTNRFCNFSSCPALEHVKIANSTVSCPRIFSSSTGSLLRLIITRCSFVVGTSFRTKICVPSLVSLQLDSNSKTPLLESMPSLAEATVRVTAGCSDVCGNADSGYCGFEDCKYCYPIDDNRNCVLLNGLSEAKNLALTAECKTFIFKRDLQWCPTFSKLKTLLLNDHWCVAPDFHALSCILKHSPVLEKLTLHLFSKGPEHKVELNGSFGLMDRPTGISERLNIVEVKCKVVDENVSKVLKFLCACNIPEPYLSCASERPRAHSLFDGMPPAKRSKKDQAGGEDRIGALPDEIPHHMLSFLPARDAVQTCVLAGRWRHLWKSATGLRIGGESEDWVWWRVEEKPRVRDIREFVDHLLLLRGCEPLDMCELRFWSDYYDDDDETRRVNLWIRHAVASQVRHLVVRSIAGGVFELDDLPLVSRHLTRLELFKLDLTDRFCNFSSCSALKHLKISDSMISCPMISSSAGSLQQLSISHCSFGAVRNFRTRICVPSLVSLQLDDYWCMTPLLESMPSLVEATITVNSGCSDFCRNAGSGYCGFEDCNYCYPINDDRSCVLLKGLSEAKNLALVAHCRTFIFNRDLKWYPTFSKLKTLLLNDHWCVAPEFHALSCILKHSPVLEKLTLHLFSWGPGHKVEMNGSFGMMDRPAEIPEHLNIVEVKCGEVNENVSKFLEGVDKFWTVDRFTITGLFINCNGGIKFDVTKLPCLVHIELASARRPFDGMPPAKRGKEGGSGRRRRPHRRAAGRTRTSTHVLSFLPARDAVQTCRCVLARRWRELWKSATAGPEDWGRRRRRRRRRRREMARVQDMMEFMDHLFLLRGLRAGAARHARAQVLV >ORUFI11G06020.2 pep chromosome:OR_W1943:11:4682097:4713555:-1 gene:ORUFI11G06020 transcript:ORUFI11G06020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRKKAKELPGSTGGDRIGALPDEVLHHVLSFLPAQEAVQTCLLARRWLHLWKSATGLRIGEDDIYLRCVKDQKEFLNRLLLLRDGAPLDTCVLRFRWLVWFRDEGLDDTVRVNHWFRHALLHKVRFLLLDVDICYHSPFLMDEMPLVSRHLTRLQLKNIGLNNSFLNFSSCPALEHLVFESCKFDCAKISSSSAKRLSIADSYFSETSRVRIAIPSLVSLQLDYFHGRTPVLETMPSLLDAFVRVLYWTKDYCIWSDSGDCGHENCESCYGIKDNNCVLLEGLSEAKTLGLISEHGSFLVLRKSRFWKKMKMTRMKRRKMRMKTRTLMKTLLKTKMKTLTKANMKTKTMKTKTKTMTMKTKTKTKTKMKMKMKSKRKRKRKMRRAAPLAYPYPCNAGAARSTLWSVSGGRGEAAGGDVGSLVLTLTALAPAVLATLGGEGWRRGDARNLFDGMSPRKMPKESPGSTGGDRIGDLPDEVLHHVLSFLPAQEAVRTCLLARRWLHLWKSATGLRIGEDCDYLGSVKKQKEFLDRLLLLRDGAPLDTCVLMFDYYGDMDIEDTARVNLWFRHALIHKARFLWLDVGYYHSFVIDEMPLVSQHLTRLQLRNIRVNDSFLNFSSCPALEHLVFQSCKFGCAKISSSSAKRLSITNSYFSEISRVRIAIPSLVSLQLDGFHDRAPVLERMPSLVDAFVGVLNWTKDYCIWSDSGDCGHENCESCYGIKDNNCVLLEGLSEAKTLGLISERGSFILKRDLKWCPTFTKLKTLLLNEYWCVPDDFSALTCILQHAPVLENLILQICSKGPKHRMKIKGNCHSMDSSLVISAHLEIVEIKCETVDKRVLKVLKYLSTFNILFSFKEIEILEGYDNDQDEVEEDEDEDSHEDEDENEDSYGDPYEDEDDDEDGDEVEEENGGADARRLFGGMSARKEAKGSAGGDRIGALPDEVLHRVLSFLPAQDAVRTCVLAPRWRHLWKSATGLRVGEDESNLGSVKEQQEFLDHLLVLRDSAPLETCVLRFNWYDDDDFEDIFRLNVWFRYAIHRKVRFLRLDVWQEEEFGNPVPIDEQPIVSQHLTRLQLYGIVLNDGLLDFSSCPSLEHLVFESRVFECAKISSNSVKHLSITFSNFPAGTSRVRIDIPSLVSLRLDRIYDRKPVLERMPSLVDAFVSVPSSSEDFCGESDSGDCGRDGCESCYGFTNKNCVLLEGLSEAKMLVLINEDESFIFKRDLKWCPTFSKLKTLILNGYWCVPDDSHMLARILEHSPALEKLVFQLGYQAYKRTNKIKGILNPMERSAGISEHLQIVEVQCNAIDGQISVWRKRRLRSANARGLFDEMPTGKEGMEEPLPTDADHIGALPDTVLHHVLSFLPSQDAVRTCVLAKRWLDLWKSVTALRIGDRDKRKLWTVKGLQGFVDHFLLLRESVPLHTCVLRFIVFSEDLNETSRLNLWIKHALLRMVQFLQVSIRQNTAFYHQINLGILPFVSRHLSMLELHGVRMVGSFLDFSRCPALQHLEFDRCELPCDKILSESLKLLRITRCKFSQTSRVRICVPSLVSLRLDDFYRRTPVLERMPSLVEAFVRVVHRTYDCCGYDYINSGDCGNEHCKSCHGIKDDNNCVLLDGLSEAKTLALIDGTISFIFNRDLKWCPTFSKLKTLLLNEYWCVPDEFSALACILEHAPVLENLILQLYSEGPKHTMKIKGNCHPMDRSAAISGHLETVEIRCEVVDKRVLKVLKYLSTFNILLSKSRFLKKMTVMTMTKRMRKMMMTTFMERRRKRKKRMTKMKMIDAPPFDYSEVQRARRLFDGMPPAKRGRRMMDLDGGGGEDRVGALPDEVLHHMLSFLPARDAVQTCVLAHRWRDLWKSATGLRIGSDEEDTARVREIRVFVDHLLLLRGCAPLDMCELKFWFDSDEDDDEEDEESKNDARRMNLWIRSAVASKVRNLVLNNICSGSFELDDLPLVSRHLTRLELFNLELTNRFCNFSSCPALEHVKIANSTVSCPRIFSSSTGSLLRLIITRCSFVVGTSFRTKICVPSLVSLQLDSNSKTPLLESMPSLAEATVRVTAGCSDVCGNADSGYCGFEDCKYCYPIDDNRNCVLLNGLSEAKNLALTAECKTFIFKRDLQWCPTFSKLKTLLLNDHWCVAPDFHALSCILKHSPVLEKLTLHLFSKGPEHKVELNGSFGLMDRPTGISERLNIVEVKCKVVDENVSKVLKFLCACNIPEPYLSCASERPRAHSLFDGMPPAKRSKKDQAGGEDRIGALPDEIPHHMLSFLPARDAVQTCVLAGRWRHLWKSATGLRIGGESEDWVWWRVEEKPRVRDIREFVDHLLLLRGCEPLDMCELRFWSDYYDDDDETRRVNLWIRHAVASQVRHLVVRSIAGGVFELDDLPLVSRHLTRLELFKLDLTDRFCNFSSCSALKHLKISDSMISCPMISSSAGSLQQLSISHCSFGAVRNFRTRICVPSLVSLQLDDYWCMTPLLESMPSLVEATITVNSGCSDFCRNAGSGYCGFEDCNYCYPINDDRSCVLLKGLSEAKNLALVAHCRTFIFNRDLKWYPTFSKLKTLLLNDHWCVAPEFHALSCILKHSPVLEKLTLHLFSWGPGHKVEMNGSFGMMDRPAEIPEHLNIVEVKCGEVNENVSKVLKFLIQFLEGVDKFWTVDRFTITGLFINCNGGIKFDVTKLPCLVHIELASARRPFDGMPPAKRGKEGGSGRRRRPHRRAAGRTRTSTHVLSFLPARDAVQTCRCVLARRWRELWKSATAGPEDWGRRRRRRRRRRREMARVQDMMEFMDHLFLLRGLRAGAARHARAQVLV >ORUFI11G06020.3 pep chromosome:OR_W1943:11:4682097:4713555:-1 gene:ORUFI11G06020 transcript:ORUFI11G06020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRKKAKELPGSTGGDRIGALPDEVLHHVLSFLPAQEAVQTCLLARRWLHLWKSATGLRIGEDDIYLRCVKDQKEFLNRLLLLRDGAPLDTCVLRFRWLVWFRDEGLDDTVRVNHWFRHALLHKVRFLLLDVDICYHSPFLMDEMPLVSRHLTRLQLKNIGLNNSFLNFSSCPALEHLVFESCKFDCAKISSSSAKRLSIADSYFSETSRVRIAIPSLVSLQLDYFHGRTPVLETMPSLLDAFVRVLYWTKDYCIWSDSGDCGHENCESCYGIKDNNCVLLEGLSEAKTLGLISEHGSFILKRDLKWCPTFTKLKTLLLNEYWCVPDDFSALSCILQHAPVLGNLILQIYSKFLVLRKSRFWKKMKMTRMKRRKMRMKTRTLMKTLLKTKMKTLTKANMKTKTMKTKTKTMTMKTKTKTKTKMKMKMKSKRKRKRKMRRAAPLAYPYPCNAGAARSTLWSVSGGRGEAAGGDVGSLVLTLTALAPAVLATLGGEGWRRGDARNLFDGMSPRKMPKESPGSTGGDRIGDLPDEVLHHVLSFLPAQEAVRTCLLARRWLHLWKSATGLRIGEDCDYLGSVKKQKEFLDRLLLLRDGAPLDTCVLMFDYYGDMDIEDTARVNLWFRHALIHKARFLWLDVGYYHSFVIDEMPLVSQHLTRLQLRNIRVNDSFLNFSSCPALEHLVFQSCKFGCAKISSSSAKRLSITNSYFSEISRVRIAIPSLVSLQLDGFHDRAPVLERMPSLVDAFVGVLNWTKDYCIWSDSGDCGHENCESCYGIKDNNCVLLEGLSEAKTLGLISERGSFILKRDLKWCPTFTKLKTLLLNEYWCVPDDFSALTCILQHAPVLENLILQICSKEIEILEGYDNDQDEVEEDEDEDSHEDEDENEDSYGDPYEDEDDDEDGDEVEEENGGADARRLFGGMSARKEAKGSAGGDRIGALPDEVLHRVLSFLPAQDAVRTCVLAPRWRHLWKSATGLRVGEDESNLGSVKEQQEFLDHLLVLRDSAPLETCVLRFNWYDDDDFEDIFRLNVWFRYAIHRKVRFLRLDVWQEEEFGNPVPIDEQPIVSQHLTRLQLYGIVLNDGLLDFSSCPSLEHLVFESRVFECAKISSNSVKHLSITFSNFPAGTSRVRIDIPSLVSLRLDRIYDRKPVLERMPSLVDAFVSVPSSSEDFCGESDSGDCGRDGCESCYGFTNKNCVLLEGLSEAKMLVLINEDESFIFKRDLKWCPTFSKLKTLILNGYWCVPDDSHMLARILEHSPALEKLVFQLGYQAYKRTNKIKGILNPMERSAGISEHLQIVEVQCNAIDGQISVWRKRRLRSANARGLFDEMPTGKEGMEEPLPTDADHIGALPDTVLHHVLSFLPSQDAVRTCVLAKRWLDLWKSVTALRIGDRDKRKLWTVKGLQGFVDHFLLLRESVPLHTCVLRFIVFSEDLNETSRLNLWIKHALLRMVQFLQVSIRQNTAFYHQINLGILPFVSRHLSMLELHGVRMVGSFLDFSRCPALQHLEFDRCELPCDKILSESLKLLRITRCKFSQTSRVRICVPSLVSLRLDDFYRRTPVLERMPSLVEAFVRVVHRTYDCCGYDYINSGDCGNEHCKSCHGIKDDNNCVLLDGLSEAKTLALIDGTISFIFNRDLKWCPTFSKLKTLLLNEYWCVPDEFSALACILEHAPVLENLILQLYSEGPKHTMKIKGNCHPMDRSAAISGHLETVEIRCEVVDKRVLKVLKYLSTFNILLSKSRFLKKMTVMTMTKRMRKMMMTTFMERRRKRKKRMTKMKMIDAPPFDYSEVQRARRLFDGMPPAKRGRRMMDLDGGGGEDRVGALPDEVLHHMLSFLPARDAVQTCVLAHRWRDLWKSATGLRIGSDEEDTARVREIRVFVDHLLLLRGCAPLDMCELKFWFDSDEDDDEEDEESKNDARRMNLWIRSAVASKVRNLVLNNICSGSFELDDLPLVSRHLTRLELFNLELTNRFCNFSSCPALEHVKIANSTVSCPRIFSSSTGSLLRLIITRCSFVVGTSFRTKICVPSLVSLQLDSNSKTPLLESMPSLAEATVRVTAGCSDVCGNADSGYCGFEDCKYCYPIDDNRNCVLLNGLSEAKNLALTAECKTFIFKRDLQWCPTFSKLKTLLLNDHWCVAPDFHALSCILKHSPVLEKLTLHLFSKGPEHKVELNGSFGLMDRPTGISERLNIVEVKCKVVDENVSKVLKFLCACNIPEPYLSCASERPRAHSLFDGMPPAKRSKKDQAGGEDRIGALPDEIPHHMLSFLPARDAVQTCVLAGRWRHLWKSATGLRIGGESEDWVWWRVEEKPRVRDIREFVDHLLLLRGCEPLDMCELRFWSDYYDDDDETRRVNLWIRHAVASQVRHLVVRSIAGGVFELDDLPLVSRHLTRLELFKLDLTDRFCNFSSCSALKHLKISDSMISCPMISSSAGSLQQLSISHCSFGAVRNFRTRICVPSLVSLQLDDYWCMTPLLESMPSLVEATITVNSGCSDFCRNAGSGYCGFEDCNYCYPINDDRSCVLLKGLSEAKNLALVAHCRTFIFNRDLKWYPTFSKLKTLLLNDHWCVAPEFHALSCILKHSPVLEKLTLHLFSWGPGHKVEMNGSFGMMDRPAEIPEHLNIVEVKCGEVNENVSKVLKFLIQFLEGVDKFWTVDRFTITGLFINCNGGIKFDVTKLPCLVHIELASARRPFDGMPPAKRGKEGGSGRRRRPHRRAAGRTRTSTHVLSFLPARDAVQTCRCVLARRWRELWKSATAGPEDWGRRRRRRRRRRREMARVQDMMEFMDHLFLLRGLRAGAARHARAQVLV >ORUFI11G06020.4 pep chromosome:OR_W1943:11:4682097:4713555:-1 gene:ORUFI11G06020 transcript:ORUFI11G06020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRKKAKELPGSTGGDRIGALPDEVLHHVLSFLPAQEAVQTCLLARRWLHLWKSATGLRIGEDDIYLRCVKDQKEFLNRLLLLRDGAPLDTCVLRFRWLVWFRDEGLDDTVRVNHWFRHALLHKVRFLLLDVDICYHSPFLMDEMPLVSRHLTRLQLKNIGLNNSFLNFSSCPALEHLVFESCKFDCAKISSSSAKRLSIADSYFSETSRVRIAIPSLVSLQLDYFHGRTPVLETMPSLLDAFVRVLYWTKDYCIWSDSGDCGHENCESCYGIKDNNCVLLEGLSEAKTLGLISEHGSFLVLRKSRFWKKMKMTRMKRRKMRMKTRTLMKTLLKTKMKTLTKANMKTKTMKTKTKTMTMKTKTKTKTKMKMKMKSKRKRKRKMRRAAPLAYPYPCNAGAARSTLWSVSGGRGEAAGGDVGSLVLTLTALAPAVLATLGGEGWRRGDARNLFDGMSPRKMPKESPGSTGGDRIGDLPDEVLHHVLSFLPAQEAVRTCLLARRWLHLWKSATGLRIGEDCDYLGSVKKQKEFLDRLLLLRDGAPLDTCVLMFDYYGDMDIEDTARVNLWFRHALIHKARFLWLDVGYYHSFVIDEMPLVSQHLTRLQLRNIRVNDSFLNFSSCPALEHLVFQSCKFGCAKISSSSAKRLSITNSYFSEISRVRIAIPSLVSLQLDGFHDRAPVLERMPSLVDAFVGVLNWTKDYCIWSDSGDCGHENCESCYGIKDNNCVLLEGLSEAKTLGLISERGSFILKRDLKWCPTFTKLKTLLLNEYWCVPDDFSALTCILQHAPVLENLILQICSKGPKHRMKIKGNCHSMDSSLVISAHLEIVEIKCETVDKRVLKVLKYLSTFNILFSFKEIEILEGYDNDQDEGGADARRLFGGMSARKEAKGSAGGDRIGALPDEVLHRVLSFLPAQDAVRTCVLAPRWRHLWKSATGLRVGEDESNLGSVKEQQEFLDHLLVLRDSAPLETCVLRFNWYDDDDFEDIFRLNVWFRYAIHRKVRFLRLDVWQEEEFGNPVPIDEQPIVSQHLTRLQLYGIVLNDGLLDFSSCPSLEHLVFESRVFECAKISSNSVKHLSITFSNFPAGTSRVRIDIPSLVSLRLDRIYDRKPVLERMPSLVDAFVSVPSSSEDFCGESDSGDCGRDGCESCYGFTNKNCVLLEGLSEAKMLVLINEDESFIFKRDLKWCPTFSKLKTLILNGYWCVPDDSHMLARILEHSPALEKLVFQLGYQAYKRTNKIKGILNPMERSAGISEHLQIVEVQCNAIDGQISVWRKRRLRSANARGLFDEMPTGKEGMEEPLPTDADHIGALPDTVLHHVLSFLPSQDAVRTCVLAKRWLDLWKSVTALRIGDRDKRKLWTVKGLQGFVDHFLLLRESVPLHTCVLRFIVFSEDLNETSRLNLWIKHALLRMVQFLQVSIRQNTAFYHQINLGILPFVSRHLSMLELHGVRMVGSFLDFSRCPALQHLEFDRCELPCDKILSESLKLLRITRCKFSQTSRVRICVPSLVSLRLDDFYRRTPVLERMPSLVEAFVRVVHRTYDCCGYDYINSGDCGNEHCKSCHGIKDDNNCVLLDGLSEAKTLALIDGTISFIFNRDLKWCPTFSKLKTLLLNEYWCVPDEFSALACILEHAPVLENLILQLYSEGPKHTMKIKGNCHPMDRSAAISGHLETVEIRCEVVDKRVLKVLKYLSTFNILLSKSRFLKKMTVMTMTKRMRKMMMTTFMERRRKRKKRMTKMKMIDAPPFDYSEVQRARRLFDGMPPAKRGRRMMDLDGGGGEDRVGALPDEVLHHMLSFLPARDAVQTCVLAHRWRDLWKSATGLRIGSDEEDTARVREIRVFVDHLLLLRGCAPLDMCELKFWFDSDEDDDEEDEESKNDARRMNLWIRSAVASKVRNLVLNNICSGSFELDDLPLVSRHLTRLELFNLELTNRFCNFSSCPALEHVKIANSTVSCPRIFSSSTGSLLRLIITRCSFVVGTSFRTKICVPSLVSLQLDSNSKTPLLESMPSLAEATVRVTAGCSDVCGNADSGYCGFEDCKYCYPIDDNRNCVLLNGLSEAKNLALTAECKTFIFKRDLQWCPTFSKLKTLLLNDHWCVAPDFHALSCILKHSPVLEKLTLHLFSKGPEHKVELNGSFGLMDRPTGISERLNIVEVKCKVVDENVSKVLKFLCACNIPEPYLSCASERPRAHSLFDGMPPAKRSKKDQAGGEDRIGALPDEIPHHMLSFLPARDAVQTCVLAGRWRHLWKSATGLRIGGESEDWVWWRVEEKPRVRDIREFVDHLLLLRGCEPLDMCELRFWSDYYDDDDETRRVNLWIRHAVASQVRHLVVRSIAGGVFELDDLPLVSRHLTRLELFKLDLTDRFCNFSSCSALKHLKISDSMISCPMISSSAGSLQQLSISHCSFGAVRNFRTRICVPSLVSLQLDDYWCMTPLLESMPSLVEATITVNSGCSDFCRNAGSGYCGFEDCNYCYPINDDRSCVLLKGLSEAKNLALVAHCRTFIFNRDLKWYPTFSKLKTLLLNDHWCVAPEFHALSCILKHSPVLEKLTLHLFSWGPGHKVEMNGSFGMMDRPAEIPEHLNIVEVKCGEVNENVSKVLKFLIQFLEGVDKFWTVDRFTITGLFINCNGGIKFDVTKLPCLVHIELASARRPFDGMPPAKRGKEGGSGRRRRPHRRAAGRTRTSTHVLSFLPARDAVQTCRCVLARRWRELWKSATAGPEDWGRRRRRRRRRRREMARVQDMMEFMDHLFLLRGLRAGAARHARAQVLV >ORUFI11G06020.5 pep chromosome:OR_W1943:11:4682097:4713555:-1 gene:ORUFI11G06020 transcript:ORUFI11G06020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRKKAKELPGSTGGDRIGALPDEVLHHVLSFLPAQEAVQTCLLARRWLHLWKSATGLRIGEDDIYLRCVKDQKEFLNRLLLLRDGAPLDTCVLRFRWLVWFRDEGLDDTVRVNHWFRHALLHKVRFLLLDVDICYHSPFLMDEMPLVSRHLTRLQLKNIGLNNSFLNFSSCPALEHLVFESCKFDCAKISSSSAKRLSIADSYFSETSRVRIAIPSLVSLQLDYFHGRTPVLETMPSLLDAFVRVLYWTKDYCIWSDSGDCGHENCESCYGIKDNNCVLLEGLSEAKTLGLISEHGSFLVLRKSRFWKKMKMTRMKRRKMRMKTRTLMKTLLKTKMKTLTKANMKTKTMKTKTKTMTMKTKTKTKTKMKMKMKSKRKRKRKMRRAAPLAYPYPCNAGAARSTLWSVSGGRGEAAGGDVGSLVLTLTALAPAVLATLGGEGWRRGDARNLFDGMSPRKMPKESPGSTGGDRIGDLPDEVLHHVLSFLPAQEAVRTCLLARRWLHLWKSATGLRIGEDCDYLGSVKKQKEFLDRLLLLRDGAPLDTCVLMFDYYGDMDIEDTARVNLWFRHALIHKARFLWLDVGYYHSFVIDEMPLVSQHLTRLQLRNIRVNDSFLNFSSCPALEHLVFQSCKFGCAKISSSSAKRLSITNSYFSEISRVRIAIPSLVSLQLDGFHDRAPVLERMPSLVDAFVGVLNWTKDYCIWSDSGDCGHENCESCYGIKDNNCVLLEGLSEAKTLGLISERGSFILKRDLKWCPTFTKLKTLLLNEYWCVPDDFSALTCILQHAPVLENLILQICSKEIEILEGYDNDQDEVEEDEDEDSHEDEDENEDSYGDPYEDEDDDEDGDEVEEENGGADARRLFGGMSARKEAKGSAGGDRIGALPDEVLHRVLSFLPAQDAVRTCVLAPRWRHLWKSATGLRVGEDESNLGSVKEQQEFLDHLLVLRDSAPLETCVLRFNWYDDDDFEDIFRLNVWFRYAIHRKVRFLRLDVWQEEEFGNPVPIDEQPIVSQHLTRLQLYGIVLNDGLLDFSSCPSLEHLVFESRVFECAKISSNSVKHLSITFSNFPAGTSRVRIDIPSLVSLRLDRIYDRKPVLERMPSLVDAFVSVPSSSEDFCGESDSGDCGRDGCESCYGFTNKNCVLLEGLSEAKMLVLINEDESFIFKRDLKWCPTFSKLKTLILNGYWCVPDDSHMLARILEHSPALEKLVFQLGYQAYKRTNKIKGILNPMERSAGISEHLQIVEVQCNAIDGQISVWRKRRLRSANARGLFDEMPTGKEGMEEPLPTDADHIGALPDTVLHHVLSFLPSQDAVRTCVLAKRWLDLWKSVTALRIGDRDKRKLWTVKGLQGFVDHFLLLRESVPLHTCVLRFIVFSEDLNETSRLNLWIKHALLRMVQFLQVSIRQNTAFYHQINLGILPFVSRHLSMLELHGVRMVGSFLDFSRCPALQHLEFDRCELPCDKILSESLKLLRITRCKFSQTSRVRICVPSLVSLRLDDFYRRTPVLERMPSLVEAFVRVVHRTYDCCGYDYINSGDCGNEHCKSCHGIKDDNNCVLLDGLSEAKTLALIDGTISFIFNRDLKWCPTFSKLKTLLLNEYWCVPDEFSALACILEHAPVLENLILQLYSEGPKHTMKIKGNCHPMDRSAAISGHLETVEIRCEVVDKRVLKVLKYLSTFNILLSKSRFLKKMTVMTMTKRMRKMMMTTFMERRRKRKKRMTKMKMIDAPPFDYSEVQRARRLFDGMPPAKRGRRMMDLDGGGGEDRVGALPDEVLHHMLSFLPARDAVQTCVLAHRWRDLWKSATGLRIGSDEEDTARVREIRVFVDHLLLLRGCAPLDMCELKFWFDSDEDDDEEDEESKNDARRMNLWIRSAVASKVRNLVLNNICSGSFELDDLPLVSRHLTRLELFNLELTNRFCNFSSCPALEHVKIANSTVSCPRIFSSSTGSLLRLIITRCSFVVGTSFRTKICVPSLVSLQLDSNSKTPLLESMPSLAEATVRVTAGCSDVCGNADSGYCGFEDCKYCYPIDDNRNCVLLNGLSEAKNLALTAECKTFIFKRDLQWCPTFSKLKTLLLNDHWCVAPDFHALSCILKHSPVLEKLTLHLFSKGPEHKVELNGSFGLMDRPTGISERLNIVEVKCKVVDENVSKVLKFLCACNIPEPYLSCASERPRAHSLFDGMPPAKRSKKDQAGGEDRIGALPDEIPHHMLSFLPARDAVQTCVLAGRWRHLWKSATGLRIGGESEDWVWWRVEEKPRVRDIREFVDHLLLLRGCEPLDMCELRFWSDYYDDDDETRRVNLWIRHAVASQVRHLVVRSIAGGVFELDDLPLVSRHLTRLELFKLDLTDRFCNFSSCSALKHLKISDSMISCPMISSSAGSLQQLSISHCSFGAVRNFRTRICVPSLVSLQLDDYWCMTPLLESMPSLVEATITVNSGCSDFCRNAGSGYCGFEDCNYCYPINDDRSCVLLKGLSEAKNLALVAHCRTFIFNRDLKWYPTFSKLKTLLLNDHWCVAPEFHALSCILKHSPVLEKLTLHLFSWGPGHKVEMNGSFGMMDRPAEIPEHLNIVEVKCGEVNENVSKVLKFLIQFLEGVDKFWTVDRFTITGLFINCNGGIKFDVTKLPCLVHIELASARRPFDGMPPAKRGKEGGSGRRRRPHRRAAGRTRTSTHVLSFLPARDAVQTCRCVLARRWRELWKSATAGPEDWGRRRRRRRRRRREMARVQDMMEFMDHLFLLRGLRAGAARHARAQVLV >ORUFI11G06030.1 pep chromosome:OR_W1943:11:4715832:4716594:1 gene:ORUFI11G06030 transcript:ORUFI11G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELYRRAFDGLAAPFSEFLGYREDGEDATVRWLDAQPAKSVVYVALGSEVPLRVDKVHELALGLEVAGTRFLWDLRKPTGVSDTDLLPAGFEERTRGRGVMATRWVPQMSILAHAEKSSRQRFVQSRWRKKAAKRFKPIPRRCRRSSRTWPAVRGKISRSWAFSSPNGEGGDTAARSSSTRRWRRRSRPSPPGT >ORUFI11G06040.1 pep chromosome:OR_W1943:11:4720129:4721566:1 gene:ORUFI11G06040 transcript:ORUFI11G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEGSKWVSRCVFGSPPNLSELDELAVLYHEQAARNTRDYVRSWICPDCRKEYKPIQNMLVDLPPFSCDDCGLKNDAAYEWRITQCLINGVSLDFKVYDQNRKPHCTLYSVAATIDATRRVEGAKKGLIISTPLDVPEMAKTYEQVTGFELGKEPPEELFETYDNCSLIMEVVKAHGISFLLGEYDTLLQNKCVDLPPIPRLRIKSYFRVDRNNVLLITRLLASGYPLTAGMLHGTLYWYLKGDQYYYAPKCATTADAHAITLIRSGLASKNNMPETFYSARDSHGTKSHPDHKMRDFGADFFLWGM >ORUFI11G06050.1 pep chromosome:OR_W1943:11:4729386:4745503:-1 gene:ORUFI11G06050 transcript:ORUFI11G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHPLPLAAVEEDGCRERGLQSATSLMEEPTPLGLHHHEFVVARAVSAMSLLGAARVVSASNSSSLGGVTAGGDGRAWSSERGGGGAPQMELTGHFGCSRNGGESEVEGKEEEEANYDRVDGMEEHLVAAIGQDAACPRPRAEAQAAEHRCLAVGVGAGVSGGGRRSNLVRWLRLTGSSVLARSLAVSVSPRGAATDYGTRASGQLRDCRLRATGTHANMATKGRNWCGTH >ORUFI11G06060.1 pep chromosome:OR_W1943:11:4757822:4758337:-1 gene:ORUFI11G06060 transcript:ORUFI11G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATMHWYPRYPDLRRAQGLIAHTDSGLCSRASCQGCSYSGEDQTGEWQCQRSFWATSSSTSATSLPHAYSPKAASTASTTAPSSTATMTGYRSDTSSARPPDIKVALLPDAVSPGRSAAYRAVMWPEYKAIRKKAFTTGGSAIKMVSTAAATDDQTQRRNQRRPGCQIYP >ORUFI11G06070.1 pep chromosome:OR_W1943:11:4778875:4779654:-1 gene:ORUFI11G06070 transcript:ORUFI11G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASWLGKVKVRDAMVNRNEDDKDEWMMVLMLLASRGRKLGSTATATVAATTTHGEDRTSRRFLVRESWAAGWKRMRTADGVGVGRWSSSAPRSGAQGSEGSLCGVVSVMAWVLGWGFVHRGLWCMKAVV >ORUFI11G06070.2 pep chromosome:OR_W1943:11:4779267:4779654:-1 gene:ORUFI11G06070 transcript:ORUFI11G06070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASWLGKVKVRDAMVNRNEDDKDEWMMGKEVRQYSDGNSGSDNDTWGGRWGGVLASSALKAVAFLCVNPGRRGGRG >ORUFI11G06070.3 pep chromosome:OR_W1943:11:4778554:4779654:-1 gene:ORUFI11G06070 transcript:ORUFI11G06070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASWLGKVKVRDAMVNRNEDDKDEWMMVLMLLASRGRKLGSTATATVAATTTHGEDRTSLWVPFHCFVGCAEGSDGRGARVQGRPLGRGPRLLGFESRRFLVRESWAAGWKRMRTADGVGVGRWSSSAPRSGAQGRHLIEHVLGMQLS >ORUFI11G06080.1 pep chromosome:OR_W1943:11:4779161:4779415:1 gene:ORUFI11G06080 transcript:ORUFI11G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRTEPKFRKPNLPCAPLLGADDDHRPTPTPSAVLILFHPAAQDSRTRKRRLSKPRRRGPRPNGLPCTRAPRPSEPSAQPTKQ >ORUFI11G06090.1 pep chromosome:OR_W1943:11:4783465:4783947:1 gene:ORUFI11G06090 transcript:ORUFI11G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVAAAAAAASKTATVHCKSPAALLGIESPYSGRRRVGARPQGGSRQAGQIAERQSGRASREQQASGSVALREHCISIVFSYVQIGPHEG >ORUFI11G06100.1 pep chromosome:OR_W1943:11:4794160:4796008:1 gene:ORUFI11G06100 transcript:ORUFI11G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGHANSFIFFVQVLHTWRNHEEILPPLLGLVRLGRSRPGSGTDPRGSPRRGNNPGPSEIVCSVKPSLGFTPAYPGLSPSPRPGKKSASRVAWKISSRREPGGDVQHAQRQHDAEAIRGGATVGAARRGGDGDGTALSSGRHLLSVGEDVDDGVNYGTGRL >ORUFI11G06110.1 pep chromosome:OR_W1943:11:4794451:4796928:-1 gene:ORUFI11G06110 transcript:ORUFI11G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSSPADATTRGVRRRREAASAAGPTGAARAEARGDGNSTPPPSPPPPPPVLLAPSIQRRDRVRGGRRRGEGDTSTGSATAVATRAEAGTRGGTGGGLRRRPGGRWGPGSQGTD >ORUFI11G06120.1 pep chromosome:OR_W1943:11:4796420:4799964:1 gene:ORUFI11G06120 transcript:ORUFI11G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPLSCPLAPLGGVPDLLLDPAHGLRGLLQGWCRSAWFVGRPSNCCEAGPSGSRERERQFRDDYNDDFGKARRLRWRRPLSGLVVPARSVLAATQMPGEAAGWFRDTADAVENFFWALEHILSLSGDQLYRMDYMKLMQEDIGTIRLFFDANMALCEEEALDVLQEGH >ORUFI11G06120.2 pep chromosome:OR_W1943:11:4796419:4799964:1 gene:ORUFI11G06120 transcript:ORUFI11G06120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSFFLLPLWWHRRGRTDAASVGASAGASLLLLPPLGGSCGRGRADAAPVGPCGGGGADRATATTSSSAWFVGRPSNCCEAGPSGSRERERQFRDDYNDDFGKARRLRWRRPLSGLVVPARSVLAATQMPGEAAGWFRDTADAVENFFWALEHILSLSGDQLYRMDYMKLMQEDIGTIRLFFDANMALCEEEALDVLQEGH >ORUFI11G06120.3 pep chromosome:OR_W1943:11:4796432:4799964:1 gene:ORUFI11G06120 transcript:ORUFI11G06120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPLSCPLAPLGGVPDLLLGSSDPMTSVSPLHSSSLPPRPPPSLSPFPPLPFALHSSLCQIRLMDCVVCYKDGVGALGLWGGQATAARPGRRGVGRESGSSATTTTTTLARHDGCDGEGHYPAATQMPGEAAGWFRDTADAVENFFWALEHILSLSGDQLYRMDYMKLMQEDIGTIRLFFDANMALCEEEALDVLQEGH >ORUFI11G06130.1 pep chromosome:OR_W1943:11:4803302:4804885:-1 gene:ORUFI11G06130 transcript:ORUFI11G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASMGSAEPVEDASIGSATTIAPTELSAIFIKKRGQRRAATQHPHQLAGAFFGEEGGQQQHHQRAVQRRRAHENFI >ORUFI11G06140.1 pep chromosome:OR_W1943:11:4809621:4810205:1 gene:ORUFI11G06140 transcript:ORUFI11G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSIWRYSTALSAVNPSMWSRAFHLFVLPWQAPGQEQVPPLRHGHCVQPLLRRGVNPEVHPGALPQRQLRPRRQDSLPRQRQARGRGRVTAPCFCPEPRCGFAGATSSLLTHFTGGHGWPPATEFRRARTFDLQVQEGKRVLRYHILIFVSGFINYLINYY >ORUFI11G06150.1 pep chromosome:OR_W1943:11:4813745:4816269:-1 gene:ORUFI11G06150 transcript:ORUFI11G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKSGFSWDDNRKMIQCEKQQYDDHCRKNNEAKGLYGVAFPHYDTLAAIYGKDIATGEGAEGLGEAVANMEKEIVQDIQDEEDKEDEERVSRETPRRSIDLAAPRRSIDSAAPRRSIDSAAPRRSIDSTASSSKKRKKNSNKLKNTLSSDPFMDVFANVQGDPTDVTKHVGAMMRRRKIDVLMCWLSNMIYKIARQRPLGEGSPKGGRATEGCGSRPPALSLLQSGFIKLLVRSTFSDALQGKEASKEGETLILVVLDIWRKRVKTSRDLDRRGNG >ORUFI11G06160.1 pep chromosome:OR_W1943:11:4839017:4839735:-1 gene:ORUFI11G06160 transcript:ORUFI11G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEISVRLSGMREDNASNLVREKKREENAIEESNRSQIRASGAQPSDDSAQMCKCIWQPANRSAYYFARKAAWAASSMGGAAMLGSGKTATASPAGGSNTASQGSGKTAVASHASESSIASQGLRKTAGGGGFARIRQDGGRRRLRGSSAASLLESTSRGRFG >ORUFI11G06170.1 pep chromosome:OR_W1943:11:4841547:4844123:-1 gene:ORUFI11G06170 transcript:ORUFI11G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRKIHKSTASRGLRSGVQSPKNPGKRAASRSGASSSDGPRFGRFTCYHGEEEKRWHPPANEILRSIQKLYDEAARRLPLDEIPELVDCLGEVGHCFGLADPVSNIILNAIAHLSGSPPLQLQDHPPPLPRKRTRRSYSEWWGFISSTSLNGLIAFMKVYFRYLTGDQARRYLYLASYDLLLAIKLVHHDRHLPLPPSPLLPDGGKMKNALRIAALKAGHPAPDDLARTMTAQYPSHLLSPIVHKLQGSDLLTTHDVQSIKDLLLNAFQWPPPNMDFLCCPNGESCAQAAPDRFAGISPNHVQFQKLLYISDLTIDSAAIETKLSKCVAKVLGGCEEHGHVNYDASPPCEHILSLNMCLLDTIHCFYIRALAVLPLPVSDDSMRRGRLLRAILMSGQCFGPLDPVSNIIINTLWYDAAYPPLYPKDGGGGDCEVELPQDISDTQAMSRIASRSLNGLVALLRAITTTTTGCSLSKHEAVEYLWSRQCDLTDKLQQTVMTNKNPYSAAAKASEHPQHTMLGSFLMPLCGEKLDRLRHLLRSISDGSGCVISNADWEQLNAMIQEQLTTMIGRKRLPPFDTQALAALSRRRSDYVNRQRFVRSKLEELLVTYSRQHPWEPRYKLDLICGVEEPQSHYWRCYHANFLASTHGTVLKLNREATTTPNPKRTLFFAQFWDSQPGRFNESNSKPICCPVQDSTCFGLCTTCEGNASTILHPPSGYLFEDDVDDAIEVYDVNAAIDVFGSASCSLSKDKDKGLLESDFIYFDHGRNANLTKILNDDSIL >ORUFI11G06180.1 pep chromosome:OR_W1943:11:4853083:4861806:-1 gene:ORUFI11G06180 transcript:ORUFI11G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKKAKESPGSTGGDRIGDLPDEVLHHVLSFLPAQEAVRTCLLARRWRHLWKSATGVRIGEGETDPGSVKDHQEFLDHLLVLRDSVPMETCVLRFSEHSKELIEDAARLNFWFKHALLRKVRFLQLENWEFYDPVPIDELPLVSRHLTRLQLYGISLNDSFLNFSSCPALEHLLFEFCFFECAKISSNSVKRLSITCCSFNATLRVRVDVPSLVSLRLDEFDNRAPVLERMPSLVDAFVRIFFYTKDFCSESNSGDCSHEGCESCYGIKDNKCVLLEGLSNAKTLFIFRRDLKWCPTFTKLKTLLLNEYWCVPDDYSALACILEHSPVLENLILQIYSEGPEHIMKINGNCSSVDRSAAISAHLEIVEIRCEMIDNFVDEGTLPDEVLHHVLSFLPAQEAVRTCLLARRWLHLWKSATGLRIGEDDIYLRCVKDNKEFLDRLLLLRDGAPLDTCVLRFRWLDWYRDEGLDDTVRVNHWFRHALLHKVRFLLLDVDIWYRFPFLIDEMPLVSRHLTRLQLKNIGLNDSFLNFSSCPALEHLVFESCKFDCAKISSSSAKRLSITNSYFSETSRIRITIPSLVSLKLDDFHGRTPVLEWMPSLVDAFVRVLYCYKESCSHYDFGDCCCEGFEPCYDIKDHKCMRLEGLSEAKTLVLINERRLFIFKRDLEWCPTFTKLKTLLLNEYWCVPDDFSALSCILEHALVLENLILQIYSKFLVVRKSRFWKDMTMTRTKWRKMKMRTLTKTKMKTLLETLTKKKMKRKTKTNRKRKIPLYRSTNETSYGKQVPSIPHAADKVQYYIRVTANVI >ORUFI11G06180.2 pep chromosome:OR_W1943:11:4859118:4861806:-1 gene:ORUFI11G06180 transcript:ORUFI11G06180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKKAKESPGSTGGDRIGDLPDEVLHHVLSFLPAQEAVRTCLLARRWRHLWKSATGVRIGEGETDPGSVKDHQEFLDHLLVLRDSVPMETCVLRFSEHSKELIEDAARLNFWFKHALLRKVRFLQLENWEFYDPVPIDELPLVSRHLTRLQLYGISLNDSFLNFSSCPALEHLLFEFCFFECAKISSNSVKRLSITCCSFNATLRVRVDVPSLVSLRLDEFDNRAPVLERMPSLVDAFVRIFFYTKDFCSESNSGDCSHEGCESCYGIKDNKCVLLEGLSNAKTLFIFRRDLKWCPTFTKLKTLLLNEYWCVPDDYSALACILEHSPVLENLILQIYSEGPEHIMKINGNCSSVDRSAAISAHLEIVEIRCEMIDNFVDEVLKYLSTFNILYVDVAILNSSLLLALIAPMSFAMWTIFNFEEIGIFDDDEDEDEDRDGDDDEDSYEDDDDKDEDEDSYEDDDDEDEDEDSYEEGNEDDNEDA >ORUFI11G06180.3 pep chromosome:OR_W1943:11:4853083:4859075:-1 gene:ORUFI11G06180 transcript:ORUFI11G06180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLGTLPDEVLHHVLSFLPAQEAVRTCLLARRWLHLWKSATGLRIGEDDIYLRCVKDNKEFLDRLLLLRDGAPLDTCVLRFRWLDWYRDEGLDDTVRVNHWFRHALLHKVRFLLLDVDIWYRFPFLIDEMPLVSRHLTRLQLKNIGLNDSFLNFSSCPALEHLVFESCKFDCAKISSSSAKRLSITNSYFSETSRIRITIPSLVSLKLDDFHGRTPVLEWMPSLVDAFVRVLYCYKESCSHYDFGDCCCEGFEPCYDIKDHKCMRLEGLSEAKTLVLINERRLFIFKRDLEWCPTFTKLKTLLLNEYWCVPDDFSALSCILEHALVLENLILQIYSKFLVVRKSRFWKDMTMTRTKWRKMKMRTLTKTKMKTLLETLTKKKMKRKTKTNRKRKIPLYRSTNETSYGKQVPSIPHAADKVQYYIRVTANVI >ORUFI11G06180.4 pep chromosome:OR_W1943:11:4859118:4861806:-1 gene:ORUFI11G06180 transcript:ORUFI11G06180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKKAKESPGSTGGDRIGDLPDEVLHHVLSFLPAQEAVRTCLLARRWRHLWKSATGVRIGEGETDPGSVKDHQEFLDHLLVLRDSVPMETCVLRFSEHSKELIEDAARLNFWFKHALLRKVRFLQLENWEFYDPVPIDELPLVSRHLTRLQLYGISLNDSFLNFSSCPALEHLLFEFCFFECAKISSNSVKRLSITCCSFNATLRVRVDVPSLVSLRLDEFDNRAPVLERMPSLVDAFVRIFFYTKDFCSESNSGDCSHEGCESCYGIKDNKCVLLEGLSNAKTLFIFRRDLKWCPTFTKLKTLLLNEYWCVPDDYSALACILEHSPVLENLILQIYSEGPEHIMKINGNCSSVDRSAAISAHLEIVEIRCEMIDNFVDEVLKYLSTFNILFNFEEIGIFDDDEDEDEDRDGDDDEDSYEDDDDKDEDEDSYEDDDDEDEDEDSYEEGNEDDNEDA >ORUFI11G06180.5 pep chromosome:OR_W1943:11:4853382:4861806:-1 gene:ORUFI11G06180 transcript:ORUFI11G06180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKKAKESPGSTGGDRIGDLPDEVLHHVLSFLPAQEAVRTCLLARRWRHLWKSATGVRIGEGETDPGSVKDHQEFLDHLLVLRDSVPMETCVLRFSEHSKELIEDAARLNFWFKHALLRKVRFLQLENWEFYDPVPIDELPLVSRHLTRLQLYGISLNDSFLNFSSCPALEHLLFEFCFFECAKISSNSVKRLSITCCSFNATLRVRVDVPSLVSLRLDEFDNRAPVLERMPSLVDAFVRIFFYTKDFCSESNSGDCSHEGCESCYGIKDNKCVLLEGLSNAKTLFIFRRDLKWCPTFTKLKTLLLNEYWCVPDDYSALACILEHSPVLENLILQIYSEGPEHIMKINGNCSSVDRSAAISAHLEIVEIRCEMIDNFVDEVLKYLSTFNILFSCKEIEILEGYDNDQDEVEEDEDENSHEDEDEDSFGDPYEEEDEEEDEDE >ORUFI11G06180.6 pep chromosome:OR_W1943:11:4853083:4861806:-1 gene:ORUFI11G06180 transcript:ORUFI11G06180.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKKAKESPGSTGGDRIGDLPDEVLHHVLSFLPAQEAVRTCLLARRWRHLWKSATGVRIGEGETDPGSVKDHQEFLDHLLVLRDSVPMETCVLRFSEHSKELIEDAARLNFWFKHALLRKVRFLQLENWEFYDPVPIDELPLVSRHLTRLQLYGISLNDSFLNFSSCPALEHLLFEFCFFECAKISSNSVKRLSITCCSFNATLRVRVDVPSLVSLRLDEFDNRAPVLERMPSLVDAFVRIFFYTKDFCSESNSGDCSHEGCESCYGIKDNKCVLLEVYFQKGFEMVPNIYEFLVVRKSRFWKDMTMTRTKWRKMKMRTLTKTKMKTLLETLTKKKMKRKTKTNRKRKIPLYRSTNETSYGKQVPSIPHAADKVQYYIRVTANVI >ORUFI11G06190.1 pep chromosome:OR_W1943:11:4865706:4865996:-1 gene:ORUFI11G06190 transcript:ORUFI11G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEGGAPTVGDGSEARSRGGGLVSNGARRWRKWRRSATTTVVTEAEMLPGARGMSDPRPPTTWGPDPDAGGRRRRSAGTEGGEGGSGGARRRR >ORUFI11G06200.1 pep chromosome:OR_W1943:11:4866192:4870003:1 gene:ORUFI11G06200 transcript:ORUFI11G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNFLEGPRPSQPPQRRAGEIVSLLQNRLNMNITFKELQGHPASSAGEGDQPVLQAWTAVGDCGRHGGAVLSL >ORUFI11G06200.2 pep chromosome:OR_W1943:11:4866192:4870851:1 gene:ORUFI11G06200 transcript:ORUFI11G06200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNFLEGPRPSQPPQRRAGEIVSLLQNRLNMNITFKELQGHPASSAGEGDQPVLQAWTAVGDCGRHGGAVLSL >ORUFI11G06200.3 pep chromosome:OR_W1943:11:4866192:4870003:1 gene:ORUFI11G06200 transcript:ORUFI11G06200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNFLEGPRPSQPPQRRAGEIVSLLQNRLNMNITFKELQGHPASSAGEGDQPVLQAWTAVGDCGRHGGAVLSL >ORUFI11G06200.4 pep chromosome:OR_W1943:11:4866192:4870851:1 gene:ORUFI11G06200 transcript:ORUFI11G06200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNFLEGPRPSQPPQRRAGEIVSLLQNRLNMNITFKELQGHPASSAGEGDQPVLQAWTAVGDCGRHGGAVLSL >ORUFI11G06210.1 pep chromosome:OR_W1943:11:4866446:4868084:-1 gene:ORUFI11G06210 transcript:ORUFI11G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTSPSRDSSGLADVYWLNMSSTLTASQSAWTRGSRNCHRPLVTGTARPLHGARSPLRRSKPAVQAGRPRRRRRLDDPADDGQSKHVAPVEVEPQQDRPTPDEKSKRA >ORUFI11G06220.1 pep chromosome:OR_W1943:11:4869393:4881187:-1 gene:ORUFI11G06220 transcript:ORUFI11G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRRRLLPLLRVPSSPHASASYYAHLRRLRLPLSTAAAATATPFSAEDYLVATCGLTGDQALKASKKISHLRSAANPDAVLAVLSGVGLSRADLAAVVASDPHLLCARPDNVSRRVASLRDRVGLSDPQIGRVLLAGGAMAVRKCDVAERLERNNAIVRADVEKVIKPNIALFQECGLTVRDIVKMPGWLFTFNPKRVEAAVERTGKLGVELASSRLKYMLSIAGNITEGNASARMKYLSSTLNCSMDKVEYMVGKMPTIITLSEEKLRSKIEFLSSTLNCCVDKIGHMVCKEPFILAISEEKLRINTEFLSSALGCSIDNICVMVYKMPSILGLSVNNLCRKIEFLVTKVGLEPDYILSKPVLFACSLEKRLMPRHYIVEVLLAKGLIKNAGFLTYAILREKDFVARYIDQHKNAVPGLADAYATICSGKSTLPSKIAKPSLAVAGDMLRLSCCRPTAGGGLAGAMLHLLPLLRARAATHLPTSSLDLSRRRRLLLSTAARSAAATPFSVEEYLVDTCGLTGAQALKASKKLSHLRSPAKPDAVLAVLSGVGLSRADLAAVVASDPQLLCARADNIARRIASLRDRVGLSDPQIGSFLLAGGAKGIHACDVASRLEFWIPFLGSFETLLRILKGNNVLVLSDLEKVIKPNIALLQECGLTVCDIAKMARFAPRMFTSNPKQVEGFVRRADELGVPRTSGQFKYMVGIFANISEGSATARMEYLSRSLGCSMDKLRSAVQKLPQILGLSETNLGSKIEFLVGKVRLEPEYLLKTPKLFTYSLEKRTRTLSLVFLMPMLHFVLGKCLQRSNTDIFGQSYWNSFWQNAFWSPTITSLECPYSLTADAMTCFLNHYSPVQMELLSSTLGCSMDELRYAICKSPQVLGFSETKLRAKIRACALLAFSLEKRLVPRHYVAEALAVYYLPAYIANATLHFLRLSVDELCIHETAANKSMVA >ORUFI11G06220.2 pep chromosome:OR_W1943:11:4868198:4881187:-1 gene:ORUFI11G06220 transcript:ORUFI11G06220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRRRLLPLLRVPSSPHASASYYAHLRRLRLPLSTAAAATATPFSAEDYLVATCGLTGDQALKASKKISHLRSAANPDAVLAVLSGVGLSRADLAAVVASDPHLLCARPDNVSRRVASLRDRVGLSDPQIGRVLLAGGAMAVRKCDVAERLERNNAIVRADVEKVIKPNIALFQECGLTVRDIVKMPGWLFTFNPKRVEAAVERTGKLGVELASSRLKYMLSIAGNITEGNASARMKYLSSTLNCSMDKVEYMVGKMPTIITLSEEKLRSKIEFLSSTLNCCVDKIGHMVCKEPFILAISEEKLRINTEFLSSALGCSIDNICVMVYKMPSILGLSVNNLCRKIEFLVTKVGLEPDYILSKPVLFACSLEKRLMPRHYIVEVLLAKGLIKNAGFLTYAILREKDFVARYIDQHKNAVPGLADAYATICSGKSTLPSKIAKPSLAVAGDMLRLSCCRPTAGGGLAGAMLHLLPLLRARAATHLPTSSLDLSRRRRLLLSTAARSAAATPFSVEEYLVDTCGLTGAQALKASKKLSHLRSPAKPDAVLAVLSGVGLSRADLAAVVASDPQLLCARADNIARRIASLRDRVGLSDPQIGSFLLAGGAKGIHACDVASRLEFWIPFLGSFETLLRILKGNNVLVLSDLEKVIKPNIALLQECGLTVCDIAKMARFAPRMFTSNPKQVEGFVRRADELGVPRTSGQFKYMVGIFANISEGSATARMEYLSRSLGCSMDKLRSAVQKLPQILGLSETNLGSKIEFLVGKVRLEPEYLLKTPKLFTYSLEKRLVARHYIVQVLAAKGLKGLKKDVPFCSYVQLGESCFVKNFIDQHENVVPGLSDAYAALRAGKVPPECPYSLTADAMTCFLNHYSPVQMELLSSTLGCSMDELRYAICKSPQVLGFSETKLRAKIRACALLAFSLEKRLVPRHYVAEALAVKGLIRKALSMSHGGKPTT >ORUFI11G06230.1 pep chromosome:OR_W1943:11:4883979:4884673:-1 gene:ORUFI11G06230 transcript:ORUFI11G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPNMSSFLHMFVGDDSNDVGDEMDILDGEEQCLTVVSTVIKLVIGAEGYSHLGRPDTQWHLRDELLDGDGRDTERKNSHPNTTGIVTFAEAGARCGELDGGQPWVAALGEGDVDASHSKHSGMCGHAVVRAAEEISGHAGGAR >ORUFI11G06240.1 pep chromosome:OR_W1943:11:4885103:4886831:1 gene:ORUFI11G06240 transcript:ORUFI11G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYTVQITPLAALLSASRLPPPSPCFTSENSSRSSAPPSPPRPLTLPATHYPLSTSAPSAYGLRNCDIASRLEFLIPLLGSYEVLLKTVKRSYRILTSDIEEVIKPNFAQLQECGLTVCDIVKTNPRLLSFNPERIKRYVHRVDMLGVPRCSPAFRMAVCSTNEGSVTARMEFLSRTLGCSMDNILIAVGKRPTILGLSMDNLRRKIEFLVTEVGLKLECIVECLGILRYSLEKRMVPRHSVMEILRARGLMKKGASLYGLIMQGEANFVARYIDTHKDMIHGLADAYNASCFGKMPVVPDSTVKKRHGRRDISCRGFAMFEIWNLLSYMLDNYTCRSALHLADTLLEFSELQQ >ORUFI11G06250.1 pep chromosome:OR_W1943:11:4887789:4888007:1 gene:ORUFI11G06250 transcript:ORUFI11G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDIGELLPPWTSPSKIELPFRVAAYITLYESSSFAFNALLRSPFLATAHCAHNTLAPIQSGGDEANRWAL >ORUFI11G06260.1 pep chromosome:OR_W1943:11:4889231:4890409:1 gene:ORUFI11G06260 transcript:ORUFI11G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRQCLLPLLRAAATAHPHTSAASSSSHHLSRRLFSTAAGPDTAPPFSVEEYLVATCGLTGAQALKASKKLSHLRSPAKPDAVLAVLSGVGLSRADLAAVVAADPMLLCARARNVARRLHSLRDRVGLSDADVARFLLAGGAMGLRKCDIAPRLEFWIGFVGSFDKLLPALKGNNGILMSDLDKVVKPNIALLQECGLSVCEIAKLSTLKWTVLSLSPERVKASVLCVEKLVVPRSSDRFKHVLKSACWISEDMLAMKMEFLRSTLGCSEDKLRAAVCISPHIFYLSDKNLCRKIDFLISEVGLEREFIVERPWVLGYSLEKRMVPRHSVMKILRTMGLMKDAVDFSSSLVYSEKKFVARYIDPYKQAAPTLADSYAAACAGKMPAHVHR >ORUFI11G06270.1 pep chromosome:OR_W1943:11:4895392:4900146:-1 gene:ORUFI11G06270 transcript:ORUFI11G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVDGGSWEDMLRRILPPGSPIPEAPPNLDYSIALEYDGPPVAYDLPRVDPVEIPTAEPVSGPQRLGNGRGLPVVEPIPLPVSRIARCAEPVSAQVGGGSSDSVNSVLQNEEFDDDDDDSRSLSHDSAQSSPGPQDRSRRTQEGRRGATVTFGFTPDSKCESKEFEEMSEQYVAVTKKEKRRRACYRCGKRKWESKEACIVCDARYCGYCVLRMMGSMPEGRKCVTCIGQPIDESKRSKLGKNSRTLSRLLSPLEVRQILKAEKECQANQLRPEQLIVNGCPLGPDELTDLLSCSRPPQKLKPGKYWYDKESGLWGKEGEKPERIISSNLSFTGKLQANASNGNTQVYINGREITKIELKILKVANVQCPRDTHFWVYDDGRYEEEGQNNIKGKIWESALTRFACALFSLPVPPGNSNETRDEVHYSARTVPDYLDQKRIQKLLLLGPDGAGTSTIFKQAKYLYGTRFTQEELENIKLIIQSNMFKYLGILLEGRERFEEEALSRSNCTISEDEETQQDENKANGSNSCIYSINPRLKKFSDWLLDIIAMGDLDAFFPAATREYAPFVDEMWKDPAIQATYKRKDELHFLPDVAEYFLSRAIEVSSNEYEPSEKDVIFAEGVTQGNGLAFIEFALDDRSPMSEPYIENPEAHSQPLTKYQLIRVSAKGMNDGCKWVEMFEDVRMVIFSVALSDYDQVGPPVYGSSRPLLNKMMQSKELFEATIRQPCFCDTPFVLVLNKYDLFEEKINRAPLTTCEWFNDFSPVRTHHNNQSLAQQAYYYVAVKFKDLYYEHTGRKLFVWQARARDRQTVDEAFKYVREILRWEDEKEENLYGGGEDSFYSTTEMSSSPFIRAE >ORUFI11G06280.1 pep chromosome:OR_W1943:11:4900291:4911152:-1 gene:ORUFI11G06280 transcript:ORUFI11G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMKPWSSSTGDSGRSKEERQRQASLGGAVTASERGGRSGDDYVPTLNHEYATRHTANCSPLLLPPRSPLLFSLLPFFLLLLQIHPSAALISQPRVWITVK >ORUFI11G06290.1 pep chromosome:OR_W1943:11:4916599:4924995:1 gene:ORUFI11G06290 transcript:ORUFI11G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSGPLNPMGPGQPVGAAASLLRTSSSLLSGGQQGMGSGGGMIPSQSPFSSLVSPRTQFGANGLLGGGSNVSSLLNRPFGNGGHMLGPGLMPGGGGIPMNTLQQQRGGLDGAGDLVGVGGSDPLSFPSSSQVSLGNQLGSDNLHQPPQHQQQQQQLDAMHDLQQQQQHQQLPMSYNQQHLPPQPPQQPQATVKLENGGSTGGVKLEPQMGQPDQNSSAQMMRHASNVKIEPQQLQALRSLSAVKMEQPTSDPSAFLQQQQQQQHLLQLTKQNPQAAAAAQLNLLQQQRILQMQQQQQQQQQQILKNLPLQRNQLQQQQLLRQQSLNMRTPGKSAPYEPGTCAKRLTHYMITILSTGEILSMSIFLQMLKRGGVYLSMEVVAKLLEFSLRMYGIVRYAIGSLGEALTTVEVLPRLCQIKYASGTLEELLYVDMPRESQNASGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSAVQNSTNLSTQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQNGSGPIASLHSFPRRTSSGVSPHQSQQQQPEEQQSIPQSSNQSGQNAAPMTGVQASASANADVTSNNSLSCAPSTSAPSPSVVGLLQGSMNSRQDHPMSSANGPYTSGNSAAIPKVNSTTSLQSNPSTSFPSPMPTTSNNNMMPAPQSTNQLSSPTTSSNLPPMQPPATRPQEPEPNESQSSVQRILQDLMMSPQMNGIGQLGNDMKRPNGLTSSVNGVNCLVGNAVTNNSGMGGMGFGAMGGLGPNHAASGLRTAMVNNAMAISGRMGMNHSAHDLSQLGQLQQQQQHQQQQQQQQHDLGNQLLNGLRAANSFNNLQYDWKPSQ >ORUFI11G06290.2 pep chromosome:OR_W1943:11:4916599:4924995:1 gene:ORUFI11G06290 transcript:ORUFI11G06290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSGPLNPMGPGQPVGAAASLLRTSSSLLSGGQQGMGSGGGMIPSQSPFSSLVSPRTQFGANGLLGGGSNVSSLLNRPFGNGGHMLGPGLMPGGGGIPMNTLQQQRGGLDGAGDLVGVGGSDPLSFPSSSQVSLGNQLGSDNLHQPPQHQQQQQQLDAMHDLQQQQQHQQLPMSYNQQHLPPQPPQQPQATVKLENGGSTGGVKLEPQMGQPDQNSSAQMMRHASNVKIEPQQLQALRSLSAVKMEQPTSDPSAFLQQQQQQQHLLQLTKQNPQAAAAAQLNLLQQQRILQMQQQQQQQQQQILKNLPLQRNQLQQQQLLRQQSLNMRTPGKSAPYEPGTCAKRLTHYMYHQQNRPQASFWVIPNKITILSTGEILSMSIFLQMLKRGGVYLSMEVVAKLLEFSLRMYGIVRYAIGSLGEALNASGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSAVQNSTNLSTQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQNGSGPIASLHSFPRRTSSGVSPHQSQQQQPEEQQSIPQSSNQSGQNAAPMTGVQASASANADVTSNNSLSCAPSTSAPSPSVVGLLQGSMNSRQDHPMSSANGPYTSGNSAAIPKVNSTTSLQSNPSTSFPSPMPTTSNNNMMPAPQSTNQLSSPTTSSNLPPMQPPATRPQEPEPNESQSSVQRILQDLMMSPQMNGIGQLGNDMKRPNGLTSSVNGVNCLVGNAVTNNSGMGGMGFGAMGGLGPNHAASGLRTAMVNNAMAISGRMGMNHSAHDLSQLGQLQQQQQHQQQQQQQQHDLGNQLLNGLRAANSFNNLQYDWKPSQ >ORUFI11G06300.1 pep chromosome:OR_W1943:11:4936162:4944442:1 gene:ORUFI11G06300 transcript:ORUFI11G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGPPNPMGPGQPVGAASLLRTSSSLLSGGQQGMGSGGGMLPSQSPFSSLVSPRTQFGANGLLGGGSNVSSLLNRPFGNGGHMLGPGSMPGGGGLPMNTLQQQRGGLDGAGDLVGAGGSDSLSFPSSSQVSLGNQLGSDNLHPPPQHQQQQQHLDAMQDLQHQHQHQQQLPMSYNQQQLPPQPPQQPQATVKLENGGSTGGVKLEPQMGQPDQNSTAQMMRNASNVKIEPPQLQALRSLSAVKMEQQSSDPSAFLQQQQQQQHLLQLTKQNPQAAAAAQLNLLQQQRILQMQQQQQQQQQILKNLPLQRNQLQQQQQQQQQQQQLLRQQSLNMRTPGKSAPYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFSPNAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYVDMPRESQNASGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSAVQNSTNLSTQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQNGSGPIASLHSFPRRTSSGGVNPQQSQQQQPEEQQSIPQSSNQSGQNAAPMTGVQASASANADVTSNNSLSCAPSTSAPSPSVVGLLQGSMNSRQDHPMSSANGPYTSGNSAAIPKVNSTTSLQSTPSTSFPSPVPTTSNNNMMPAPQNTNQLSSPTASSNLPPMQPPATRPQEPDPNESQSSVQRILQDLMMSPQMNGVGQLGNDMKRPNGLTSSVNGVNCLVGNAVTNNSGMGGMGFGAMGGLGPNHAASGLRTAIANNAMAISGRMGMNHSAHDLSQLGQLQQQQQHQHQHQHQQQQQQQQQQQHDLGNQLLSGLRAANSFNNLQYDWKPSQ >ORUFI11G06300.2 pep chromosome:OR_W1943:11:4936162:4944442:1 gene:ORUFI11G06300 transcript:ORUFI11G06300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGPPNPMGPGQPVGAASLLRTSSSLLSGGQQGMGSGGGMLPSQSPFSSLVSPRTQFGANGLLGGGSNVSSLLNRPFGNGGHMLGPGSMPGGGGLPMNTLQQQRGGLDGAGDLVGAGGSDSLSFPSSSQVSLGNQLGSDNLHPPPQHQQQQQHLDAMQDLQHQHQHQQQLPMSYNQQQLPPQPPQQPQATVKLENGGSTGGVKLEPQMGQPDQNSTAQMMRNASNVKIEPPQLQALRSLSAVKMEQQSSDPSAFLQQQQQQQHLLQLTKQNPQAAAAAQLNLLQQQRILQMQQQQQQQQQILKNLPLQRNQLQQQQQQQQQQQQLLRQQSLNMRTPGKSAPYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFSPNAKKRWMYGIVRYAIGSLGEALNASGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSAVQNSTNLSTQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQNGSGPIASLHSFPRRTSSGGVNPQQSQQQQPEEQQSIPQSSNQSGQNAAPMTGVQASASANADVTSNNSLSCAPSTSAPSPSVVGLLQGSMNSRQDHPMSSANGPYTSGNSAAIPKVNSTTSLQSTPSTSFPSPVPTTSNNNMMPAPQNTNQLSSPTASSNLPPMQPPATRPQEPDPNESQSSVQRILQDLMMSPQMNGVGQLGNDMKRPNGLTSSVNGVNCLVGNAVTNNSGMGGMGFGAMGGLGPNHAASGLRTAIANNAMAISGRMGMNHSAHDLSQLGQLQQQQQHQHQHQHQQQQQQQQQQQHDLGNQLLSGLRAANSFNNLQYDWKPSQ >ORUFI11G06310.2 pep chromosome:OR_W1943:11:4976031:4980496:1 gene:ORUFI11G06310 transcript:ORUFI11G06310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWKRGKGKKGKGGSAAAGGGGGEGGELRRGAPSREAESGGEGGGGGRAPAAAAGVAAGAVGGVLVRGRVRLGVQRRQLLARLVGGVRRAAGSRDLQVCLLVALALSSPGKVPDASYVLPGRTLAIDSRRQNLAVEEGRFFANNQGLEHPRLSETSVSPRKESYLHNLDLSNDRTAYCHGRKSTEIVFSTRVPISPPGSKGQIPCPTSPVQSRAFGQCPGSPTARQDDSRSSSSPHPLPRPPGSPCSSSRVVSSQWKKGKLLGSGTFGQVYQGFNSEGGQMCAIKEVKVISDDSNSKECLRQLHQEIVLLSQLSHPNIVQYYGSDLSSETLSVYLEYVSGGSIHKLLQEYGAFGEAVLRNYTAQILSGLAYLHGRNTVHRDIKGANILVDPNGDIKLADFGMAKHISAHTSIKSFKGSPYWMAPEVIMNTNGYSLSVDIWSLGCTIIEMATARPPWIQYEGVAAIFKIGNSKDIPDIPDHLSFEAKNFLKLCLQRDPAARPTAAQLMEHPFVKDLVANRSFRSGMTRDTFPTSFDGKGAMVQTSNRSLSPLRDPDLTMRNLQVPTSAIPSISTRRISAINPSNVRMNMSLPVSPCSSPLRQYRQSNRSCMPSPPHPAYSAGAANYSPINNALYPKRPSNHVPDPWLEISQLKAPPFDSPRRL >ORUFI11G06320.1 pep chromosome:OR_W1943:11:4980746:4982038:-1 gene:ORUFI11G06320 transcript:ORUFI11G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRGRVKHALRGADAAFRVLAGGRRGIHFVPGPTEDEEEEHATPPSRRWYRAAYARLLRLAGSLRGVERGDGGGPRHAETGSVVADARRVADRVAEFDALAARYLAAGQRAPPLKATSLSSLTRVCDVLGVSAQLRKSVRLAICPQLTQHHIWRGALEEVLRDLRADMAALGHPSPATQMADQIAAACVHFLSDTADEATSSSPSWMRPTPFNKPANSPPPPPAKTWQEVLDMFTDLAKSLDADARLAGHADKVAAMKEGLYQIRNVFVERDIAFKEARRQDCLVQKKLSKSLGHSSKCLYTLLLFYLYGNVRDVEVHAGKRLSGKGGKRVTVHAAKFLIDGDEPAIRNAVKQLSRAIGVFRFVWEAAHADNGDHANGNGKGGAMAKKGHGDDAKGLLKLQGHIWGLGVEEKEVTYRGDVFHVHQIQLP >ORUFI11G06330.1 pep chromosome:OR_W1943:11:4983298:4985930:-1 gene:ORUFI11G06330 transcript:ORUFI11G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFSINSRRLPLKHRFKQAQDLKTKEAPRKLPVSSIIARKKHGGSTRGIMFSGHSRDYPTNSIFYSESQEQKHVKEETHRLRQLLVEIHTALEAAKGCAITNSWLLRWLRELEDAACRGDQTLRNWRDMSSKVSSIIDSSNSFKRIKIAASQLIPSKESTMKVSATVKKLEAVASGIPKFTQLLSLQNDQAVLHHRPVIIFVSIHDRVVGRVDERKQAIEFLLHIDKNGSSSPDGCVLPIWGVKGVERLRMERFLLVLDGASSYPRGMNDILDTLFMKSRAGSKAIITNMYQHLATKINKHENLPVGFLAMEDLGCMFMENALGGAHPEEYQKLLVIGKKIAVTLRVCSPLAAKVVSGLLRENLNEKYWYIVLNRCQQFVASSSRFVTPFILGCKLLPKHLQRCFGVLGTYPRWTFTREELISYWMNNCVVVSENCMKNSIENVATDYFDDLVRKAFIQPSHIPGLYKVDDMLRDIALYIGPMPVPKTRIHLANLIGEGFSIHEDFWLMRLAE >ORUFI11G06340.1 pep chromosome:OR_W1943:11:4995207:4998717:1 gene:ORUFI11G06340 transcript:ORUFI11G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTTNTSDGAGKPASSSSSACPRGHWRPGEDEKLRQLVEKYGPQNWNSIAEKLEGRSGKSCRLRWFNQLDPRINKRPFTEEEEERLLAAHRHHGNKWALIARHFPGRTDNAVKNHWHVVRARRSRERSRLLARAAAAAAHPPPFSSYASQLDFSGGGATSGARNSSLCFGFGMINRSSSSSSSPAAAPFLIKSFNGTSYGTLLPATTSMAAAAQPVSTITFSSTPMRETLELMDAGGHENHGDVDGGGDKRKGVPYFDFLGVGV >ORUFI11G06350.1 pep chromosome:OR_W1943:11:5008577:5012615:-1 gene:ORUFI11G06350 transcript:ORUFI11G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEEVVVLIVGAGPAGLATAACLAQHHVPYVIVERESCTASLWRHRAYDRLKLHLAKEFCELPHMAYPMGTPTYVPRDMFVEYLDSYTDQFGIRPRYHTAIESAIYDGGKNRWSVLARDTDTSVVTRLTAQFLVVATGENSAASIPPVPGLTKFEGEAIHSSAYKSGRAYTGKNVLVVGAGNSGMEIAYDLATHGAHTSIVVRSPVHIMTKELIRFGMTVVQNLGLTVTTADSLLVMAANFYFGDLSKHGITRPKIGPLLLKSQTGRSAVIDVGTARLIKGGVIKVFQGISKINTNSIEFHGGKQIPFDAIVFATGYKSTVNTWLKNGESMFKDDDFPKKFFPNHWKGENGLYCAGFARRGLAGIAMDAKNIADHIVATMDQVSC >ORUFI11G06360.1 pep chromosome:OR_W1943:11:5014919:5017883:-1 gene:ORUFI11G06360 transcript:ORUFI11G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEDRISALPEDLLHQVLSLLPSRDAVRTCVLARRWRDLWRSVPAVRVVGPRGWAAADAFARFVDRLLRLRRGGAPLDTCVFDLDLDEPSPGEERRGNRWIRSALRHHARVLRFIIFVNSRNSFQIFDEHLVSQNLTFLELQGVRASNRVLDFTSCPALLDLKMHGCQMDALEMCSPSVKHLIIMFCSFFTNHRFWISFPSLVSFFFDKNSGRAPRLDGMPSLATATVRLGYGCDDQCLNGFYNDCEDDECMACRDYDGHDECVFLKGLTEATELKLLAFPKVYLFNRDLELCPPFSKLKTLVLKSWFVPPDLSALTWFLQHAPLLEKLTLNLSKVPNNLGVMDDSYKPWEQSFAASHLQKVEIECKNVDGIVLKILKILNANGVLVLCVLASSPRIIKTVHGSSMSFMEQVSVVLPWIRLFSSAEC >ORUFI11G06370.1 pep chromosome:OR_W1943:11:5018447:5019948:-1 gene:ORUFI11G06370 transcript:ORUFI11G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGSDDLDVISCGDMSGGVGDDDDEDVEHGNPRKRQPAFDLTRRFAMLCCLRARKK >ORUFI11G06380.1 pep chromosome:OR_W1943:11:5020397:5022113:1 gene:ORUFI11G06380 transcript:ORUFI11G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMEDVVVLIVGAGPAGLATAACLAQRHVPYIIVERESGTASLWRHRAYDRLKLHLAKEFCELPHMAYPAGTPTYVPRDMFVEYLDSYADQFGIRPRYHTAVESAIHDRGKNQWVVLVRDMDTSVVARLATQFLVVAAGENSAASIPPIPGLSRFEGEAIHSSAYKSGRAYTGKSVLVVGAGNSGMEIAYDLATHGAHTSIVVRSPVHIMTKELIRYGMTMVQNLGLNVTTVDSLLVMAANFYFGDLSKHGIMRPKMGPLLLKSQTGRSAVIDVGTARLIKGGVIKVFQGISKINTNSVEFHGGRQNSFDAIVFATGYKSTVNAWLKNGESMFKNDGFPKNYFPNHWRGENGLYCAGFARRGLAGIAMDAKNIANDIVAAMDKMSC >ORUFI11G06390.1 pep chromosome:OR_W1943:11:5023248:5027176:1 gene:ORUFI11G06390 transcript:ORUFI11G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLARDCICVTRPHFLSSLKCISIYTRMGASAVCTTLISSASTDGVNKRGDVGPVLDLGYGPKFGRNSAEITPKENSTVALHQDRHALCDQTRKRTHAQPVRARPALPARPAVSPRTVVHRFKQFDNVQFEDCVVNRAFSVIVMVDAKFHSEAHGETQRFILMDATGSKMEAVVSGELATRFQNILIVGQKYTIHGVYFQPNYWKLNFRAIKRQYECFFTRNTIVESYNQPLHFPIYPKQLTEFSELSAYYNKMFVGPIQRVSNRLYREVTLMDMRCQLVVIGVYANHLTTHVLQWASAFANNHVVVGTMLQLDRTYYFRRFVVNGEVNLSFVDRYHVTRWVHIAEVLDSKSSFKKNIKHA >ORUFI11G06390.10 pep chromosome:OR_W1943:11:5023089:5024131:1 gene:ORUFI11G06390 transcript:ORUFI11G06390.10 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQRGNVPDAPAPPSLSLSRSQLAAIIARSSSPPAPTPRLLLIPSRTPPPLSLSIAAIAAPPPQKSPRLPVTAPRLPSAPSPPSASSASPRLPSAPIRSPPSATSASPRLPVTSLRLPSTPIRSPPSAIGINVICRSEPRAIDAPPPHRRECASGASPPHRRASSASLPYAHRPASPRVRVRRLPAASPCLLRIPPLRASSTRIPRLRLRGGTLRRAPLLERIGA >ORUFI11G06390.2 pep chromosome:OR_W1943:11:5023248:5027176:1 gene:ORUFI11G06390 transcript:ORUFI11G06390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLARDCICVTRPHFLSSLKCISIYTRMGASAVCTTLISSASTDGVNKRGDVGPVLDLGYGPKFGRNSAEITPKENSTVALHQDRHALCDQTRFKQFDNVQFEDCVVNRAFSVIVMVDAKFHSEAHGETQRFILMDATGSKMEAVVSGELATRFQNILIVGQKYTIHGVYFQPNYWKLNFRAIKRQYECFFTRNTIVESYNQPLHFPIYPKQLTEFSELSAYYNKMFVGPIQRVSNRLYREVTLMDMRCQLVVIGVYANHLTTHVLQWASAFANNHVVVGTMLQLDRTYYFRRFVVNGEVNLSFVDRYHVTRWVHIAEVLDSKSSFKKNIKHA >ORUFI11G06390.3 pep chromosome:OR_W1943:11:5023089:5027176:1 gene:ORUFI11G06390 transcript:ORUFI11G06390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHPPLSLSLARSSPQSSRAPHPLPHPRRASSSSPPAPPPLSLSRSPQSPRLLRRNRRASPSRRRASPPRRLRPPPPPHRRASPPRRSGLRPPPPPHRRASPSRRCASPPRRSGLRPPPSASTSSAGRSRARSTRLLRIAASARQAPPRRIAVPPPHPSPTRIVXHRRECASGASPPHRRASSASLPYAHPPPGSLASACEEERFAGRLSSPFAEYFKDKWCTSPGTAFASLVRTFFLL >ORUFI11G06390.4 pep chromosome:OR_W1943:11:5023331:5027176:1 gene:ORUFI11G06390 transcript:ORUFI11G06390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLARDCICVTRPHFLSSLKCISIYTRMGASAVCTTLISSASTDGVNKRGDVGPVLDLGYGPKFGRNSAEITPKENSTVALHQDRHALCDQTRKRTHAQPVRARPALPARPAVSPRTVVHRFKQFDNVQFEDCVVNRAFSVIVMVDAKFHSEAHGETQRFILMDATGSKMEAVVSGELATRFQNILIVGQKYTIHGVYFQPNYWKLNFRAIKRQYECFFTRNTIVESYNQPLHFPIYPKQLTEFSELSAYYNKMFVGPIQRVSNRLYREVTLMDMRCQLVVIGVYANHLTTHVLQWASAFANNHVVVGTMLQLDRTYYFRRFVVNGEVNLSFVDRYHVTRWVHIAEVLDSKSSFKKNIKHA >ORUFI11G06390.5 pep chromosome:OR_W1943:11:5024262:5027176:1 gene:ORUFI11G06390 transcript:ORUFI11G06390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLARDCICVTRPHFLSSLKCISIYTRMGASAVCTTLISSASTDGVNKRGDVGPVLDLGYGPKFGRNSAEITPKENSTVALHQDRHALCDQTRKRTHAQPVRARPALPARPAVSPRTVVHRFKQFDNVQFEDCVVNRAFSVIVMVDAKFHSEAHGETQRFILMDATGSKMEAVVSGELATRFQNILIVGQKYTIHGVYFQPNYWKLNFRAIKRQYECFFTRNTIVESYNQPLHFPIYPKQLTEFSELSAYYNKMFVGPIQRVSNRLYREVTLMDMRCQLVVIGVYANHLTTHVLQWASAFANNHVVVGTMLQLDRTYYFRRFVVNGEVNLSFVDRYHVTRWVHIAEVLDSKSSFKKNIKHA >ORUFI11G06390.6 pep chromosome:OR_W1943:11:5023117:5025203:1 gene:ORUFI11G06390 transcript:ORUFI11G06390.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHPPLSLSLARSSPQSSRAPHPLPHPRRASSSSPPAPPPLSLSRSPQSPRLLRRNRRASPPRRLRPPPPPHRRASPPRRSGLRPPPSASTSSAGRSRARSTRLLRIAASARQAPPRRIAVPPPHPSPTRILHPDPSPPPARRNASPGASPRLLQSILKTNGVPRQGLHLRHSSALSFFSEMYLYIYTNGGLGGLHHTDLFRVD >ORUFI11G06390.7 pep chromosome:OR_W1943:11:5025157:5027176:1 gene:ORUFI11G06390 transcript:ORUFI11G06390.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMNLNRKRTHAQPVRARPALPARPAVSPRTVVHRFKQFDNVQFEDCVVNRAFSVIVMVDAKFHSEAHGETQRFILMDATGSKMEAVVSGELATRFQNILIVGQKYTIHGVYFQPNYWKLNFRAIKRQYECFFTRNTIVESYNQPLHFPIYPKQLTEFSELSAYYNKMFVGPIQRVSNRLYREVTLMDMRCQLVVIGVYANHLTTHVLQWASAFANNHVVVGTMLQLDRTYYFRRFVVNGEVNLSFVDRYHVTRWVHIAEVLDSKSSFKKNIKHA >ORUFI11G06390.8 pep chromosome:OR_W1943:11:5023089:5024382:1 gene:ORUFI11G06390 transcript:ORUFI11G06390.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQRGNVPDAPAPPSLSLSRSQLAAIIARSSSPPAPTPRLLLIPSRTPPPLSLSIAAIAAPPPQKSPRLPVTAPRLPSAPVF >ORUFI11G06390.9 pep chromosome:OR_W1943:11:5023089:5024382:1 gene:ORUFI11G06390 transcript:ORUFI11G06390.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQRGNVPDAPAPPSLSLSRSQLAAIIARSSSPPAPTPRLLLIPSRTPPPLSLSIAAIAAPPPQKSPRLPVTAPRLPSAPSPPSASSASPRLPSAPIRSPPSATSASPRLPVTSLRLPSTPIRSPPSAIGINVICRSEPRAIDAPPPHRRECAVF >ORUFI11G06400.1 pep chromosome:OR_W1943:11:5028195:5028765:1 gene:ORUFI11G06400 transcript:ORUFI11G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHKDIDLAGSVVCAVGSYILVILDQESRTLYLLDPNPLNPMYENNPSMRYTKKLLNVTNYFNRAMHVACPGSRWTEDIDLWRHIYVTNPVADS >ORUFI11G06410.1 pep chromosome:OR_W1943:11:5031240:5035159:1 gene:ORUFI11G06410 transcript:ORUFI11G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADRISDLPDDVIHHVLSLLPSRDAVRTCALARRWRDLWRSVPAVRVAGDRGWASFDALARFVDSLLRLRRGGAALDACDFDLRFDGAFPGEELQGDTWIRRALRRQVRALRFAVSTHPRVPIPLSDSPLVSHSLTTLELRGVQGNDQVLDFSSCPSLVDLKMKDCYVGGLEMWSPSLKHLSMTYCVFYCDYRTRMDFPSLVTFKFNTNTGRTPLLETMPSLATAAVRLDHFCHDRCANGWYDDCGDAGCKGCHDYYRPDEYDCVFLEGLTEATDLTLLAYSKVYLFNRDLKWCSTFSKLKTLFLNAWFVAPDLSALAWFLQHTPLLERLFLRVSKVPKNLVGMDGSFNQLEQPFAASHLQIVEIYCREVDGIILKILKVLNANGVPLEKISIRCSGCELSMNTHASYYAVLLILCVLVSTPRINKTKAVHGSKYVFDGTDIVSLALKGSQFSTYVGVSGAFADLTLRQY >ORUFI11G06410.2 pep chromosome:OR_W1943:11:5031240:5035159:1 gene:ORUFI11G06410 transcript:ORUFI11G06410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADRISDLPDDVIHHVLSLLPSRDAVRTCALARRWRDLWRSVPAVRVAGDRGWASFDALARFVDSLLRLRRGGAALDACDFDLRFDGAFPGEELQGDTWIRRALRRQVRALRFAVSTHPRVPIPLSDSPLVSHSLTTLELRGVQGNDQVLDFSSCPSLVDLKMKDCYVGGLEMWSPSLKHLSMTYCVFYCDYRTRMDFPSLVTFKFNTNTGRTPLLETMPSLATAAVRLDHFCHDRCANGWYDDCGDAGCKGCHDYYRPDEYDCVFLEGLTEATDLTLLAYSKVYLFNRDLKWCSTFSKLKTLFLNAWFVAPDLSALAWFLQHTPLLERLFLRVSKLLILCVLVSTPRINKTKAVHGSKYVFDGTDIVSLALKGSQFSTYVGVSGAFADLTLRQY >ORUFI11G06410.3 pep chromosome:OR_W1943:11:5031273:5035159:1 gene:ORUFI11G06410 transcript:ORUFI11G06410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADRISDLPDDVIHHVLSLLPSRDAVRTCALARRWRDLWRSVPAVRVAGDRGWASFDALARFVDSLLRLRRGGAALDACDFDLRFDGAFPGEELQGDTWIRRALRRQVRALRFAVSTHPRVPIPLSDSPLVSHSLTTLELRGVQGNDQVLDFSSCPSLVDLKMKDCYVGGLEMWSPSLKHLSMTYCVFYCDYRTRMDFPSLVTFKFNTNTGRTPLLETMPSLATAAYLFNRDLKWCSTFSKLKTLFLNAWFVAPDLSALAWFLQHTPLLERLFLRVSKLLILCVLVSTPRINKTKAVHGSKYVFDGTDIVSLALKGSQFSTYVGVSGAFADLTLRQY >ORUFI11G06410.4 pep chromosome:OR_W1943:11:5031273:5034820:1 gene:ORUFI11G06410 transcript:ORUFI11G06410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADRISDLPDDVIHHVLSLLPSRDAVRTCALARRWRDLWRSVPAVRVAGDRGWASFDALARFVDSLLRLRRGGAALDACDFDLRFDGAFPGEELQGDTWIRRALRRQVRALRFAVSTHPRVPIPLSDSPLVSHSLTTLELRGVQGNDQVLDFSSCPSLVDLKMKDCYVGGLEMWSPSLKHLSMTYCVFYCDYRTRMDFPSLVTFKFNTNTGRTPLLETMPSLATAAYLFNRDLKWCSTFSKLKTLFLNAWFVAPDLSALAWFLQHTPLLERLFLRVSKLLILCVLVSTPRINKTKAVHGSKYVFDGTGVSGAFADLTLRQY >ORUFI11G06410.5 pep chromosome:OR_W1943:11:5031240:5034820:1 gene:ORUFI11G06410 transcript:ORUFI11G06410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADRISDLPDDVIHHVLSLLPSRDAVRTCALARRWRDLWRSVPAVRVAGDRGWASFDALARFVDSLLRLRRGGAALDACDFDLRFDGAFPGEELQGDTWIRRALRRQVRALRFAVSTHPRVPIPLSDSPLVSHSLTTLELRGVQGNDQVLDFSSCPSLVDLKMKDCYVGGLEMWSPSLKHLSMTYCVFYCDYRTRMDFPSLVTFKFNTNTGRTPLLETMPSLATAAVRLDHFCHDRCANGWYDDCGDAGCKGCHDYYRPDEYDCVFLEGLTEATDLTLLAYSKVYLFNRDLKWCSTFSKLKTLFLNAWFVAPDLSALAWFLQHTPLLERLFLRVSKLLILCVLVSTPRINKTKAVHGSKYVFDGTGVSGAFADLTLRQY >ORUFI11G06420.1 pep chromosome:OR_W1943:11:5034544:5069139:-1 gene:ORUFI11G06420 transcript:ORUFI11G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKQKSLRSKRMAEASEEDGIDVLPDALLQHILSFLPAEDAVKTCVLSRRWRHLWKLTPILCITNTERWRSPKDFIKLVNHLVLFRGSSPLHKFEIKINSCAHWMIMSGDSNPFHCAIMWVMYALMCQVQVLTIKNMHAYIEMDDGFSLFSRHLTELNLSGLDFRKFVLNFSSCPALEYIYFSASCCFNSVEKILSQSVKYLTFDFPEFSEHHRTHIYAPNLITLRLDDCWGRVPFLESMPSLIAAFVRPHRDSDDLCSNTYSGNCEDEYCHGCYGMVDNAGNDSAKCVLLGGLSEAKKLELIAGPEMRIFRSDLRWCPLFSKLKSLLLNEWCVANNFWALACILEHSPVLLKLTLQISKETKPMIGAVENYSALVKPAAISKHLKVVKVHCKEVDEGVCETVKFLSTLDVVSSVSRKEIRVQVMMKDPLDELSMVITSVFLGMCFKPLILTNSAEATPRFHFHPHARQPFDGMPPLAPRKRKAVAAARGRKKKERVAPDRISALPDEVLHLVLSLIPVHDAVATCVLARRWLHLWKEAPGLSVEWWDYDEPGDRFISLVDRFFTLRSSSAPLNYCSININFPEFLPEKEQLFVRWIQRALRCQARVLRISLIDWVELPNMTLISQHLTRLELQGISGDDNFLDLSGCPSLVNLNMDTCCIYVDKLSSSSLKTLCLSQCQFSIEYHIWLCFPSLVSLELSYCPGRAPFLESMPSLLQAIVRFDEACEDKCQKSVSGGCDDDDDDYCFGCADEVVAGYGTNGMCLQGLSEATHLELSADPAVYVFRRDLKWCPTFAKLKTLLLDEWCVVGDLSALICFLQHSPILEKLTIQLQKAPTCLMDSEGQYNTSELPFVSNHLKIVEIECKEVNTWVWKILKTLTTYGIPLKQINIKQTSERNGSGCELIYQTFVVFVLQKIRDYVLILSVLVSATTRARQLFDGMPPRIRRAPARRAAPEEVPDRVSALPDEALHAVLSLLPAHDAVRTCVLARRWRHLWEHAPALRVTDVEGWNPRLRGDGLGRFIRFVDGLFVSRRRCDAPLELCDLDFDFPEDKGKDWHVNRWIMLALLRHHARVLRISLPAYITLPDVPLISQRLTRLELDGVLGNDNILDFSCCPALIALKMKCCRINAEKMSSPSVKILSLASCEFYPATRTQMSFPSVVSLELDGCSGSVPFLESMPSLVAAIVRFDDDYADRCDNSVLGDCGDDSCVDCCNYSDRSKCVCLNGLLEATHLELSAEPAMYVFRRDLNLLLACHTFAKLKTLALGEWCMAHDLSALIRFLQQSPILEKLTIKIPEEPKCSMDAGQQKIPEEPFVSNHLKIVEIKCKGKEVMWVCKFLKTLGTFGIPLEKINIKLTSFNFLFDGMRAPARRQAPGGERPDRGISALPDEVLHLVLSLLPAHEAVRTCVLARRWRHLWTDAPGLRVTNGEEWDNPWGSGVDRFVRFVDSLLSLRRRGAPPLEYCDFDFGLEGLLLDKERHVIRWIRRALRCQARVLRISFRNDAELPDMRLLSQHLTRLEICGGIDSDDSFFDLSYCPSLVDLKIESAILIAGRMSSPSLKKLSIIHCDFCSGKRTRMSFPNLASLELGCYYGRAPILERMSSLVEASVRFGCRCEDHCYKSVLGDCGDDSCQGCNDFCELPVDSTSCVCLKGLSEATHLKLLAADPTMYIFRRDLKLHFAYHTFAKLKTLFLNEWCVTPDPSALIWFLQRSPILEKLTLQIQQAPMDSMDSEEHYNSAEQPFASNHLRIVEIECEEVLILSVLVSATTRVDASSRRHSRRPRTPPVRRSAPPGRAMASGADRISDLPEDVLHHVLSLLPSRDAVRTCVLAQRWCDLWRSVPAVRVAGARGWARADAFVLFVDRLLRLRRGRAALDTCDLDLRFDGPFPGGERHGNRWIRRALRRQVRVLRFLLSTVPRVPLPLPDSPLVSDSLTTLELKGVLGNNQVLDFSSCPSLVDLKMEDCYVGGLEMWSPTMRNLSMRYCFFYSNYRARIDFPSLVSFKFNKNLGRTPLLERMPSLATATVRLDHFCDDRCSNGRYDDCGDAECKGCHDYYAPSDYGCVFLEGLTEAKDLKLSAYSDVYLFNRDLKCCSTFSKLKNLVLNAWFVAPDLSALTWFLQYAPLLERLTLKVPNNLVETEGSYSQLEQSFAASHLQIVEIKCKEVHGIILKILEVLNANGIPLEKISIRCSGRELFMNTHVSYYAVINIENCQEKGGIKAQKLLSVKVH >ORUFI11G06420.2 pep chromosome:OR_W1943:11:5034544:5069139:-1 gene:ORUFI11G06420 transcript:ORUFI11G06420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKQKSLRSKRMAEASEEDGIDVLPDALLQHILSFLPAEDAVKTCVLSRRWRHLWKLTPILCITNTERWRSPKDFIKLVNHLVLFRGSSPLHKFEIKINSCAHWMIMSGDSNPFHCAIMWVMYALMCQVQVLTIKNMHAYIEMDDGFSLFSRHLTELNLSGLDFRKFVLNFSSCPALEYIYFSASCCFNSVEKILSQSVKYLTFDFPEFSEHHRTHIYAPNLITLRLDDCWGRVPFLESMPSLIAAFVRPHRDSDDLCSNTYSGNCEDEYCHGCYGMVDNAGNDSAKCVLLGGLSEAKKLELIAGPEMETKPMIGAVENYSALVKPAAISKHLKVVKVHCKEVDEGVCETVKFLSTLDVVSSVSRKEIRVQVMMKDPLDELSMVITSVFLGMCFKPLILTNSAEATPRFHFHPHARQPFDGMPPLAPRKRKAVAAARGRKKKERVAPDRISALPDEVLHLVLSLIPVHDAVATCVLARRWLHLWKEAPGLSVEWWDYDEPGDRFISLVDRFFTLRSSSAPLNYCSININFPEFLPEKEQLFVRWIQRALRCQARVLRISLIDWVELPNMTLISQHLTRLELQGISGDDNFLDLSGCPSLVNLNMDTCCIYVDKLSSSSLKTLCLSQCQFSIEYHIWLCFPSLVSLELSYCPGRAPFLESMPSLLQAIVRFDEACEDKCQKSVSGGCDDDDDDYCFGCADEVVAGYGTNGMCLQGLSEATHLELSADPAVYVFRRDLKWCPTFAKLKTLLLDEWCVVGDLSALICFLQHSPILEKLTIQLQKAPTCLMDSEGQYNTSELPFVSNHLKIVEIECKEVNTWVWKILKTLTTYGIPLKQINIKQTSERNGSGCELIYQTFVVFVLQKIRDYVLILSVLVSATTRARQLFDGMPPRIRRAPARRAAPEEVPDRVSALPDEALHAVLSLLPAHDAVRTCVLARRWRHLWEHAPALRVTDVEGWNPRLRGDGLGRFIRFVDGLFVSRRRCDAPLELCDLDFDFPEDKGKDWHVNRWIMLALLRHHARVLRISLPAYITLPDVPLISQRLTRLELDGVLGNDNILDFSCCPALIALKMKCCRINAEKMSSPSVKILSLASCEFYPATRTQMSFPSVVSLELDGCSGSVPFLESMPSLVAAIVRFDDDYADRCDNSVLGDCGDDSCVDCCNYSDRSKCVCLNGLLEATHLELSAEPAMYVFRRDLNLLLACHTFAKLKTLALGEWCMAHDLSALIRFLQQSPILEKLTIKIPEEPKCSMDAGQQKIPEEPFVSNHLKIVEIKCKGKEVMWVCKFLKTLGTFGIPLEKINIKLTSFNFLFDGMRAPARRQAPGGERPDRGISALPDEVLHLVLSLLPAHEAVRTCVLARRWRHLWTDAPGLRVTNGEEWDNPWGSGVDRFVRFVDSLLSLRRRGAPPLEYCDFDFGLEGLLLDKERHVIRWIRRALRCQARVLRISFRNDAELPDMRLLSQHLTRLEICGGIDSDDSFFDLSYCPSLVDLKIESAILIAGRMSSPSLKKLSIIHCDFCSGKRTRMSFPNLASLELGCYYGRAPILERMSSLVEASVRFGCRCEDHCYKSVLGDCGDDSCQGCNDFCELPVDSTSCVCLKGLSEATHLKLLAADPTMYIFRRDLKLHFAYHTFAKLKTLFLNEWCVTPDPSALIWFLQRSPILEKLTLQIQQAPMDSMDSEEHYNSAEQPFASNHLRIVEIECEEVLILSVLVSATTRVDASSRRHSRRPRTPPVRRSAPPGRAMASGADRISDLPEDVLHHVLSLLPSRDAVRTCVLAQRWCDLWRSVPAVRVAGARGWARADAFVLFVDRLLRLRRGRAALDTCDLDLRFDGPFPGGERHGNRWIRRALRRQVRVLRFLLSTVPRVPLPLPDSPLVSDSLTTLELKGVLGNNQVLDFSSCPSLVDLKMEDCYVGGLEMWSPTMRNLSMRYCFFYSNYRARIDFPSLVSFKFNKNLGRTPLLERMPSLATATVRLDHFCDDRCSNGRYDDCGDAECKGCHDYYAPSDYGCVFLEGLTEAKDLKLSAYSDVYLFNRDLKCCSTFSKLKNLVLNAWFVAPDLSALTWFLQYAPLLERLTLKVPNNLVETEGSYSQLEQSFAASHLQIVEIKCKEVHGIILKILEVLNANGIPLEKISIRCSGRELFMNTHVSYYAVINIENCQEKGGIKAQKLLSVKVH >ORUFI11G06420.3 pep chromosome:OR_W1943:11:5035823:5069139:-1 gene:ORUFI11G06420 transcript:ORUFI11G06420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKQKSLRSKRMAEASEEDGIDVLPDALLQHILSFLPAEDAVKTCVLSRRWRHLWKLTPILCITNTERWRSPKDFIKLVNHLVLFRGSSPLHKFEIKINSCAHWMIMSGDSNPFHCAIMWVMYALMCQVQVLTIKNMHAYIEMDDGFSLFSRHLTELNLSGLDFRKFVLNFSSCPALEYIYFSASCCFNSVEKILSQSVKYLTFDFPEFSEHHRTHIYAPNLITLRLDDCWGRVPFLESMPSLIAAFVRPHRDSDDLCSNTYSGNCEDEYCHGCYGMVDNAGNDSAKCVLLGGLSEAKKLELIAGPEMETKPMIGAVENYSALVKPAAISKHLKVVKVHCKEVDEGVCETVKFLSTLDVVSSVSRKEIRVQVMMKDPLDELSMVITSVFLGMCFKPLILTNSAEATPRFHFHPHARQPFDGMPPLAPRKRKAVAAARGRKKKERVAPDRISALPDEVLHLVLSLIPVHDAVATCVLARRWLHLWKEAPGLSVEWWDYDEPGDRFISLVDRFFTLRSSSAPLNYCSININFPEFLPEKEQLFVRWIQRALRCQARVLRISLIDWVELPNMTLISQHLTRLELQGISGDDNFLDLSGCPSLVNLNMDTCCIYVDKLSSSSLKTLCLSQCQFSIEYHIWLCFPSLVSLELSYCPGRAPFLESMPSLLQAIVRFDEACEDKCQKSVSGGCDDDDDDYCFGCADEVVAGYGTNGMCLQGLSEATHLELSADPAVYVFRRDLKWCPTFAKLKTLLLDEWCVVGDLSALICFLQHSPILEKLTIQLQKAPTCLMDSEGQYNTSELPFVSNHLKIVEIECKEVNTWVWKILKTLTTYGIPLKQINIKQTSERNGSGCELIYQTFVVFVLQKIRDYVLILSVLVSATTRARQLFDGMPPRIRRAPARRAAPEEVPDRVSALPDEALHAVLSLLPAHDAVRTCVLARRWRHLWEHAPALRVTDVEGWNPRLRGDGLGRFIRFVDGLFVSRRRCDAPLELCDLDFDFPEDKGKDWHVNRWIMLALLRHHARVLRISLPAYITLPDVPLISQRLTRLELDGVLGNDNILDFSCCPALIALKMKCCRINAEKMSSPSVKILSLASCEFYPATRTQMSFPSVVSLELDGCSGSVPFLESMPSLVAAIVRFDDDYADRCDNSVLGDCGDDSCVDCCNYSDRSKCVCLNGLLEATHLELSAEPAMYVFRRDLNLLLACHTFAKLKTLALGEWCMAHDLSALIRFLQQSPILEKLTIKIPEEPKCSMDAGQQKIPEEPFVSNHLKIVEIKCKGKEVMWVCKFLKTLGTFGIPLEKINIKLTSFNFLFDGMRAPARRQAPGGERPDRGISALPDEVLHLVLSLLPAHEAVRTCVLARRWRHLWTDAPGLRVTNGEEWDNPWGSGVDRFVRFVDSLLSLRRRGAPPLEYCDFDFGLEGLLLDKERHVIRWIRRALRCQARVLRISFRNDAELPDMRLLSQHLTRLEICGGIDSDDSFFDLSYCPSLVDLKIESAILIAGRMSSPSLKKLSIIHCDFCSGKRTRMSFPNLASLELGCYYGRAPILERMSSLVEASVRFGCRCEDHCYKSVLGDCGDDSCQGCNDFCELPVDSTSCVCLKGLSEATHLKLLAADPTMYIFRRDLKLHFAYHTFAKLKTLFLNEWCVTPDPSALIWFLQRSPILEKLTLQIQQAPMDSMDSEEHYNSAEQPFASNHLRIVEIECEEVLILSVLVSATTRVDASSRRHSRRPRTPPVRRSAPPGRAMASGADRISDLPEDVLHHVLSLLPSRDAVRTCVLAQRWCDLWRSVPAVRVAGARGWARADAFVLFVDRLLRLRRGRAALDTCDLDLRFDGPFPGGERHGNRWIRRALRRQVRVLRFLLSTVPRVPLPLPDSPLVSDSLTTLELKGVLGNNQVLDFSSCPSLVDLKMEDCYVGGLEMWSPTMRNLSMRYCFFYSNYRARIDFPSLVSFKFNKNLGRTPLLERMPSLATATYLFNRDLKCCSTFSKLKNLVLNAWFVAPDLSALTWFLQYAPLLERLTLKVPNNLVETEGSYSQLEQSFAASHLQIVEIKCKEVHGIILKILEVLNANGIPLEKISIRCSGRFKFVCTGIDSEN >ORUFI11G06420.4 pep chromosome:OR_W1943:11:5035823:5069139:-1 gene:ORUFI11G06420 transcript:ORUFI11G06420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKQKSLRSKRMAEASEEDGIDVLPDALLQHILSFLPAEDAVKTCVLSRRWRHLWKLTPILCITNTERWRSPKDFIKLVNHLVLFRGSSPLHKFEIKINSCAHWMIMSGDSNPFHCAIMWVMYALMCQVQVLTIKNMHAYIEMDDGFSLFSRHLTELNLSGLDFRKFVLNFSSCPALEYIYFSASCCFNSVEKILSQSVKYLTFDFPEFSEHHRTHIYAPNLITLRLDDCWGRVPFLESMPSLIAAFVRPHRDSDDLCSNTYSGNCEDEYCHGCYGMVDNAGNDSAKCVLLGGLSEAKKLELIAGPEMETKPMIGAVENYSALVKPAAISKHLKVVKVHCKEVDEGVCETVKFLSTLDVVSSVSRKEIRVQVMMKDPLDELSMVITSVFLGMCFKPLILTNSAEATPRFHFHPHARQPFDGMPPLAPRKRKAVAAARGRKKKERVAPDRISALPDEVLHLVLSLIPVHDAVATCVLARRWLHLWKEAPGLSVEWWDYDEPGDRFISLVDRFFTLRSSSAPLNYCSININFPEFLPEKEQLFVRWIQRALRCQARVLRISLIDWVELPNMTLISQHLTRLELQGISGDDNFLDLSGCPSLVNLNMDTCCIYVDKLSSSSLKTLCLSQCQFSIEYHIWLCFPSLVSLELSYCPGRAPFLESMPSLLQAIVRFDEACEDKCQKSVSGGCDDDDDDYCFGCADEVVAGYGTNGMCLQGLSEATHLELSADPAVYVFRRDLKWCPTFAKLKTLLLDEWCVVGDLSALICFLQHSPILEKLTIQLQKAPTCLMDSEGQYNTSELPFVSNHLKIVEIECKEVNTWVWKILKTLTTYGIPLKQINIKQTSERNGSGCELIYQTFVVFVLQKIRDYVLILSVLVSATTRARQLFDGMPPRIRRAPARRAAPEEVPDRVSALPDEALHAVLSLLPAHDAVRTCVLARRWRHLWEHAPALRVTDVEGWNPRLRGDGLGRFIRFVDGLFVSRRRCDAPLELCDLDFDFPEDKGKDWHVNRWIMLALLRHHARVLRISLPAYITLPDVPLISQRLTRLELDGVLGNDNILDFSCCPALIALKMKCCRINAEKMSSPSVKILSLASCEFYPATRTQMSFPSVVSLELDGCSGSVPFLESMPSLVAAIVRFDDDYADRCDNSVLGDCGDDSCVDCCNYSDRSKCVCLNGLLEATHLELSAEPAMYVFRRDLNLLLACHTFAKLKTLALGEWCMAHDLSALIRFLQQSPILEKLTIKIPEEPKCSMDAGQQKIPEEPFVSNHLKIVEIKCKGKEVMWVCKFLKTLGTFGIPLEKINIKLTSFNFLFDGMRAPARRQAPGGERPDRGISALPDEVLHLVLSLLPAHEAVRTCVLARRWRHLWTDAPGLRVTNGEEWDNPWGSGVDRFVRFVDSLLSLRRRGAPPLEYCDFDFGLEGLLLDKERHVIRWIRRALRCQARVLRISFRNDAELPDMRLLSQHLTRLEICGGIDSDDSFFDLSYCPSLVDLKIESAILIAGRMSSPSLKKLSIIHCDFCSGKRTRMSFPNLASLELGCYYGRAPILERMSSLVEASVRFGCRCEDHCYKSVLGDCGDDSCQGCNDFCELPVDSTSCVCLKGLSEATHLKLLAADPTMYIFRRDLKLHFAYHTFAKLKTLFLNEWCVTPDPSALIWFLQRSPILEKLTLQIQQAPMDSMDSEEHYNSAEQPFASNHLRIVEIECEEVLILSVLVSATTRVDASSRRHSRRPRTPPVRRSAPPGRAMASGADRISDLPEDVLHHVLSLLPSRDAVRTCVLAQRWCDLWRSVPAVRVAGARGWARADAFVLFVDRLLRLRRGRAALDTCDLDLRFDGPFPGGERHGNRWIRRALRRQVRVLRFLLSTVPRVPLPLPDSPLVSDSLTTLELKGVLGNNQVLDFSSCPSLVDLKMEDCYVGGLEMWSPTMRNLSMRYCFFYSNYRARIDFPSLVSFKFNKNLGRTPLLERMPSLATATVRLDHFCDDRCSNGRYDDCGDAECKGCHDYYAPSDYGCVFLEGLTEAKDLKLSAYSDVYLFNRDLKCCSTFSKLKNLVLNAWFVAPDLSALTWFLQYAPLLERLTLKVPNNLVETEGSYSQLEQSFAASHLQIVEIKCKEVHGIILKILEVLNANGIPLEKISIRCSGRFKFVCTGIDSEN >ORUFI11G06420.5 pep chromosome:OR_W1943:11:5034544:5069139:-1 gene:ORUFI11G06420 transcript:ORUFI11G06420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKQKSLRSKRMAEASEEDGIDVLPDALLQHILSFLPAEDAVKTCVLSRRWRHLWKLTPILCITNTERWRSPKDFIKLVNHLVLFRGSSPLHKFEIKINSCAHWMIMSGDSNPFHCAIMWVMYALMCQVQVLTIKNMHAYIEMDDGFSLFSRHLTELNLSGLDFRKFVLNFSSCPALEYIYFSASCCFNSVEKILSQSVKYLTFDFPEFSEHHRTHIYAPNLITLRLDDCWGRVPFLESMPSLIAAFVRPHRDSDDLCSNTYSGNCEDEYCHGCYGMVDNAGNDSAKCVLLGGLSEAKKLELIAGPEMETKPMIGAVENYSALVKPAAISKHLKVVKVHCKEVDEGVCETVKFLSTLDVVSSVSRKEIRVQVMMKDPLDELSMVITSVFLGMCFKPLILTNSAEATPRFHFHPHARQPFDGMPPLAPRKRKAVAAARGRKKKERVAPDRISALPDEVLHLVLSLIPVHDAVATCVLARRWLHLWKEAPGLSVEWWDYDEPGDRFISLVDRFFTLRSSSAPLNYCSININFPEFLPEKEQLFVRWIQRALRCQARVLRISLIDWVELPNMTLISQHLTRLELQGISGDDNFLDLSGCPSLVNLNMDTCCIYVDKLSSSSLKTLCLSQCQFSIEYHIWLCFPSLVSLELSYCPGRAPFLESMPSLLQAIVRFDEACEDKCQKSVSGGCDDDDDDYCFGCADEVVAGYGTNGMCLQGLSEATHLELSADPAVYVFRRDLKWCPTFAKLKTLLLDEWCVVGDLSALICFLQHSPILEKLTIQLQKAPTCLMDSEGQYNTSELPFVSNHLKIVEIECKEVNTWVWKILKTLTTYGIPLKQINIKQTSERNGSGCELIYQTFVVFVLQKIRDYVLILSVLVSATTRVDASSRRHSRRPRTPPVRRSAPPGRAMASGADRISDLPEDVLHHVLSLLPSRDAVRTCVLAQRWCDLWRSVPAVRVAGARGWARADAFVLFVDRLLRLRRGRAALDTCDLDLRFDGPFPGGERHGNRWIRRALRRQVRVLRFLLSTVPRVPLPLPDSPLVSDSLTTLELKGVLGNNQVLDFSSCPSLVDLKMEDCYVGGLEMWSPTMRNLSMRYCFFYSNYRARIDFPSLVSFKFNKNLGRTPLLERMPSLATATVRLDHFCDDRCSNGRYDDCGDAECKGCHDYYAPSDYGCVFLEGLTEAKDLKLSAYSDVYLFNRDLKCCSTFSKLKNLVLNAWFVAPDLSALTWFLQYAPLLERLTLKVPNNLVETEGSYSQLEQSFAASHLQIVEIKCKEVHGIILKILEVLNANGIPLEKISIRCSGRELFMNTHVSYYAVINIENCQEKGGIKAQKLLSVKVH >ORUFI11G06430.1 pep chromosome:OR_W1943:11:5072229:5074782:-1 gene:ORUFI11G06430 transcript:ORUFI11G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKAIDLNSPPDEGDTEVLADLNEQLSPAVQEEDQNHGVQDDEHVGIGVQGGANHAVHPFDLNPDASEQQQEIHPDDLLDDLCLIELIILNIFLQSSASRKEFNLG >ORUFI11G06440.1 pep chromosome:OR_W1943:11:5075169:5099502:-1 gene:ORUFI11G06440 transcript:ORUFI11G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWTEKLAGVSRDVVHDGGSDPYQCVMLWVMYAVMCRVQVLKIHNLDQIDIEVYKGMPLVSPHLTKIELSGIELKNCFLNFSSCPALKELYFTKNCGFDSVYEILSRYEVSDDSESVLLGGLTEAENLKLIAGPNIEYKSMVELETEENDNPLWKPAAISEHLKVVKVHCKEVDEGVYKIGKWLSTLDKKSQQHLLLTHPCCCLSNPSSATFSNISMSPLHTHLGFFLLLLLFSLHNPSCSAAANDTLAAGQVLAVGEKLVSRNGKFALGFYKPALPEGIASKYGNITSPGWYLAIWFNKIPVCTTVWVANRERPITDLEIKLTQLKFSQNGSSLAIIINRATEYTVWSRQIANRTAQAKTSMNTSAILLDSGNLVIESIPDVYLWQSFDEPTDLALPGAKFGWNKVTRLHRTGISKKNLIDPGLGPYSVQLNERGIILWRRDPYMEYWTWSSVQLTNMLIPLLNSLLEMNAQTKGFLTPNYTNNNEEEYFMYHSSDESSSSFVSIDMSGQLKLSIWSQANQSWQEVYAQPPDPCTPFATCGPFSVCNGNSDLFCDCMESFSQKSPQDWELKDRTAGCFRNTPLDCPSNKSSTDMFHTITRVALPANPKKIEDATTQSKCAESCLSNCSCNAYAYKDSTCFVWHSELLNVKLHDSIESLSEDTLYLRLAAKDMPATTKNKQKPVVVAVTAASIAGFGLLMLMLFFLIWRNKFKCCGVTLHHNQGNSGIIAFRYTDLSHATKNFSEKLGSGGFGSVFKGVLRDSTTIAVKRLDGSHQGEKQFRAETLGWRHLWEGILVGGTKGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNLSEAYTSNHYHFDYFPVQAISKLHEGSVQNLLDPELHGDFNLEEAERVCKVACWCIQEDEIDRPTMGEVVRFLEGLQEVDMPPMPRLLAAITESLLFEQIESSNLLAVGEKLISRNGKFALGFFKPTLPEDAGSKYKNIASPGWYLAIWFNEIPVCTTVWVANRERPIADHELKLAQLKFSQDGSSLAIIINRATESTAWSTQIANRTAQAKTSMNTSEILLDSGNLVIESLPDVYLWQSFDDATNLVLPGAKLGWNKVTGLHCTSISKKNLIDPGLSSYSVQLNERGIVLWRRDPYMKYLTWSSTLMSGQLKLSIWSQANQYWQEVYAHPTYPCASFATCGPFSFCIATCGPFGVCDGNRKPFCDCMEGFSPKSPQDWELMDRTAGCFRNTPLDCSSNRSSTDMFLAIGRGVLPTNHKRVEDATTQSKCEEACLSNCSCIAYAYEDSTCYAWHGELLNLRLQDSIESLSEDTLYLRLAAKDMPASTKNKRKPFPAAVTIASIIGFGLLMLLLLFLIWQNKLKCRGVPLHHTQGSSGIGVLSDSTTIVVKRLDGLHQGEKQFRAEMRSLRLIQHINLVKLIGFCYEDDKRLLVYEHMINGPLDAHLFHSNGAIIDWSTRHQIAIGVARGLSYLHESCHECIIHCDIKPENILVEASFAPKIADFGMAAFVGRDFSRVLTTFRGTKGYLAPEWLSGVAITPKVDVYSFGMKSYNEGGIYPKHTPATIIILIIFLCKPSANFMREVCRICLIQNYMVISIWKRLKGFVKLLVGASKKMKLIGQQWVKWFAFLRVYRSRYFNIFMPPLYRYLGLLLAISLHTPSCSTANDTLAAGQVLVVSEKLISRNGKFALGFFKPALPEGTANTYGNVTSPGWYLAIWFNNIPVCTTVWVANRERPITEPELKLVQMKISEDGSSLVIINHAIKSIVWSTQITNGTAQAKTGVNTSAILLDSGNLVIESLPDVYLWQSFDYPTDLVLPGAKIGWNKVTGLCRTCTSKKNLIDPGLGSYSVQLNSRGIILWHRDPYIEYWTWSSIQMTYTLMPLLNSLLTMNSEARGFLTPTYVNNDEEEYLMYHSSDESSSSFVSIDMSGQVKLNIWSQANQSWAEVHAEPWAQVYAQPPDPCTPFATCGPFGICNGNSEQFCDCMESFSQKSPQDWKLKDRSASCIRNTPLDCPSNRSSTDMFQTIARVTLPANPEKLEDATTQSKCAEVCLSNCSCNAYAYKDSVCSVWHSELLNVKLRDNIESLSEDTLYLRLAAKDMPASTKNKRKPVIAVVTTASIVGFGLLMLVMFFLIWRIKFNCCGVPLHHNQGNSGIIAFKYTDLSHATKNFSQKLGSGGFGSVFKGVLSDSTTIAVKRLDGLHQGEKQFRAEVSSLGLIHHINLVKLIGFCYEGDKRLLVYERMINGSLDAHLFHSNGTILDWSTRHQIAIGVARGLFYLHESCHKCIIHCDIKPENILLEASFAPKIADFGMAAFVGRDFSRVLTSFRGTKGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNLSEAYTSKHYHFDYFPMQAMSKLHGGSVQDLLDPKLNGDFNLEEAERICKVACWCIQENEFDRPTMGEVVHILEGLQEVEMPPTPRLFADISEKVSYAPPTPTPPAKQRRRRPDPRPSYCTAPASLSPRAAARASARLLDCTSTWSVVSRLFASRAHSVPFARHLFDGMPPKRKGLTSKKMAEAREEGGIDVLPDALLQHILSFLSADEAVKTSASYPAAGATPPLEIHAHPAYCQNGGQMGLGELRGFQQHTHIYAPNLITLHLDILWGRVPFLKSIPSLLTGFVRAQQDCDDYCSNTYSGNCENCNGCLCMIDETGNDSAKCMLLGGLLEAKNLELIAEPEMSLLLNEWCVANNFWALACILKKSPVLENFTLQISKDTKSMIETEENYNVLVKPVVTSKHLKVVKVHCTEVDEGVYKIVKFLTTLNIEVIMKRMDRSTKLFSFEEEDMGSDLEEESST >ORUFI11G06440.2 pep chromosome:OR_W1943:11:5075169:5099502:-1 gene:ORUFI11G06440 transcript:ORUFI11G06440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWWTEKLAGVSRDVVHDGGSDPYQCVMLWVMYAVMCRVQVLKIHNLDQIDIEVYKGMPLVSPHLTKIELSGIELKNCFLNFSSCPALKELYFTKNCGFDSVYEILSRYEVSDDSESVLLGGLTEAENLKLIAGPNIEYKSMVELETEENDNPLWKPAAISEHLKVVKVHCKEVDEGVYKIGKWLSTLDKKSQQHLLLTHPCCCLSNPSSATFSNISMSPLHTHLGFFLLLLLFSLHNPSCSAAANDTLAAGQVLAVGEKLVSRNGKFALGFYKPALPEGIASKYGNITSPGWYLAIWFNKIPVCTTVWVANRERPITDLEIKLTQLKFSQNGSSLAIIINRATEYTVWSRQIANRTAQAKTSMNTSAILLDSGNLVIESIPDVYLWQSFDEPTDLALPGAKFGWNKVTRLHRTGISKKNLIDPGLGPYSVQLNERGIILWRRDPYMEYWTWSSVQLTNMLIPLLNSLLEMNAQTKGFLTPNYTNNNEEEYFMYHSSDESSSSFVSIDMSGQLKLSIWSQANQSWQEVYAQPPDPCTPFATCGPFSVCNGNSDLFCDCMESFSQKSPQDWELKDRTAGCFRNTPLDCPSNKSSTDMFHTITRVALPANPKKIEDATTQSKCAESCLSNCSCNAYAYKDSTCFVWHSELLNVKLHDSIESLSEDTLYLRLAAKDMPATTKNKQKPVVVAVTAASIAGFGLLMLMLFFLIWRNKFKCCGVTLHHNQGNSGIIAFRYTDLSHATKNFSEKLGSGGFGSVFKGVLRDSTTIAVKRLDGSHQGEKQFRAEVSSLGLIQHINLVKLIGFCCEGDKRLLVYEHMVNGSLDAHLFHSNGAVLDWNTRHQIAIGVARGLSYLHESCRECIIHCDIKPENILLEASFAPKIADFGMAAFVGRDFSRVLTTFRGTKGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNLSEAYTSNHYHFDYFPVQAISKLHEGSVQNLLDPELHGDFNLEEAERVCKVACWCIQEDEIDRPTMGEVVRFLEGLQEVDMPPMPRLLAAITESLLFEQIESSNLLAVGEKLISRNGKFALGFFKPTLPEDAGSKYKNIASPGWYLAIWFNEIPVCTTVWVANRERPIADHELKLAQLKFSQDGSSLAIIINRATESTAWSTQIANRTAQAKTSMNTSEILLDSGNLVIESLPDVYLWQSFDDATNLVLPGAKLGWNKVTGLHCTSISKKNLIDPGLSSYSVQLNERGIVLWRRDPYMKYLTWSSTLMSGQLKLSIWSQANQYWQEVYAHPTYPCASFATCGPFSFCIATCGPFGVCDGNRKPFCDCMEGFSPKSPQDWELMDRTAGCFRNTPLDCSSNRSSTDMFLAIGRGVLPTNHKRVEDATTQSKCEEACLSNCSCIAYAYEDSTCYAWHGELLNLRLQDSIESLSEDTLYLRLAAKDMPASTKNKRKPFPAAVTIASIIGFGLLMLLLLFLIWQNKLKCRGVPLHHTQGSSGIGVLSDSTTIVVKRLDGLHQGEKQFRAEMRSLRLIQHINLVKLIGFCYEDDKRLLVYEHMINGPLDAHLFHSNGAIIDWSTRHQIAIGVARGLSYLHESCHECIIHCDIKPENILVEASFAPKIADFGMAAFVGRDFSRVLTTFRGTKGYLAPEWLSGVAITPKVDVYSFGMKSYNEGGIYPKHTPATIIILIIFLCKPSANFMREVCRICLIQNYMVISIWKRLKGFVKLLVGASKKMKLIGQQWVKWFAFLRVYRSRYFNIFMPPLYRYLGLLLAISLHTPSCSTANDTLAAGQVLVVSEKLISRNGKFALGFFKPALPEGTANTYGNVTSPGWYLAIWFNNIPVCTTVWVANRERPITEPELKLVQMKISEDGSSLVIINHAIKSIVWSTQITNGTAQAKTGVNTSAILLDSGNLVIESLPDVYLWQSFDYPTDLVLPGAKIGWNKVTGLCRTCTSKKNLIDPGLGSYSVQLNSRGIILWHRDPYIEYWTWSSIQMTYTLMPLLNSLLTMNSEARGFLTPTYVNNDEEEYLMYHSSDESSSSFVSIDMSGQVKLNIWSQANQSWAEVHAEPWAQVYAQPPDPCTPFATCGPFGICNGNSEQFCDCMESFSQKSPQDWKLKDRSASCIRNTPLDCPSNRSSTDMFQTIARVTLPANPEKLEDATTQSKCAEVCLSNCSCNAYAYKDSVCSVWHSELLNVKLRDNIESLSEDTLYLRLAAKDMPASTKNKRKPVIAVVTTASIVGFGLLMLVMFFLIWRIKFNCCGVPLHHNQGNSGIIAFKYTDLSHATKNFSQKLGSGGFGSVFKGVLSDSTTIAVKRLDGLHQGEKQFRAEVSSLGLIHHINLVKLIGFCYEGDKRLLVYERMINGSLDAHLFHSNGTILDWSTRHQIAIGVARGLFYLHESCHKCIIHCDIKPENILLEASFAPKIADFGMAAFVGRDFSRVLTSFRGTKGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNLSEAYTSKHYHFDYFPMQAMSKLHGGSVQDLLDPKLNGDFNLEEAERICKVACWCIQENEFDRPTMGEVVHILEGLQEVEMPPTPRLFADISEKVSYAPPTPTPPAKQRRRRPDPRPSYCTAPASLSPRAAARASARLLDCTSTWSVVSRLFASRAHSVPFARHLFDGMPPKRKGLTSKKMAEAREEGGIDVLPDALLQHILSFLSADEAVKTSASYPAAGATPPLEIHAHPAYCQNGGQMGLGELRGFQQHTHIYAPNLITLHLDILWGRVPFLKSIPSLLTGFVRAQQDCDDYCSNTYSGNCENCNGCLCMIDETGNDSAKCMLLGGLLEAKNLELIAEPEMSLLLNEWCVANNFWALACILKKSPVLENFTLQISKDTKSMIETEENYNVLVKPVVTSKHLKVVKVHCTEVDEGVYKIVKFLTTLNIEVIMKRMDRSTKLFSFEEEDMGSDLEEESST >ORUFI11G06440.3 pep chromosome:OR_W1943:11:5075169:5099502:-1 gene:ORUFI11G06440 transcript:ORUFI11G06440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWWTEKLAGVSRDVVHDGGSDPYQCVMLWVMYAVMCRVQVLKIHNLDQIDIEVYKGMPLVSPHLTKIELSGIELKNCFLNFSSCPALKELYFTKNCGFDSVYEILSRYEVSDDSESVLLGGLTEAENLKLIAGPNIFIFRSDLRWCPLFSKMKCLLLNEWCLASNFSALACILEHSPVLRKLTLKISKEYKSMVELETEENDNPLWKPAAISEHLKVVKVHCKEVDEGVYKIGKWLSTLDKKSQQHLLLTHPCCCLSNPSSATFSNISMSPLHTHLGFFLLLLLFSLHNPSCSAAANDTLAAGQVLAVGEKLVSRNGKFALGFYKPALPEGIASKYGNITSPGWYLAIWFNKIPVCTTVWVANRERPITDLEIKLTQLKFSQNGSSLAIIINRATEYTVWSRQIANRTAQAKTSMNTSAILLDSGNLVIESIPDVYLWQSFDEPTDLALPGAKFGWNKVTRLHRTGISKKNLIDPGLGPYSVQLNERGIILWRRDPYMEYWTWSSVQLTNMLIPLLNSLLEMNAQTKGFLTPNYTNNNEEEYFMYHSSDESSSSFVSIDMSGQLKLSIWSQANQSWQEVYAQPPDPCTPFATCGPFSVCNGNSDLFCDCMESFSQKSPQDWELKDRTAGCFRNTPLDCPSNKSSTDMFHTITRVALPANPKKIEDATTQSKCAESCLSNCSCNAYAYKDSTCFVWHSELLNVKLHDSIESLSEDTLYLRLAAKDMPATTKNKQKPVVVAVTAASIAGFGLLMLMLFFLIWRNKFKCCGVTLHHNQGNSGIIAFRYTDLSHATKNFSEKLGSGGFGSVFKGVLRDSTTIAVKRLDGSHQGEKQFRAEVSSLGLIQHINLVKLIGFCCEGDKRLLVYEHMVNGSLDAHLFHSNGAVLDWNTRHQIAIGVARGLSYLHESCRECIIHCDIKPENILLEASFAPKIADFGMAAFVGRDFSRVLTTFRGTKGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNLSEAYTSNHYHFDYFPVQAISKLHEGSVQNLLDPELHGDFNLEEAERVCKVACWCIQEDEIDRPTMGEVVRFLEGLQELLAVGEKLISRNGKFALGFFKPTLPEDAGSKYKNIASPGWYLAIWFNEIPVCTTVWVANRERPIADHELKLAQLKFSQDGSSLAIIINRATESTAWSTQIANRTAQAKTSMNTSEILLDSGNLVIESLPDVYLWQSFDDATNLVLPGAKLGWNKVTGLHCTSISKKNLIDPGLSSYSVQLNERGIVLWRRDPYMKYLTWSSTLMSGQLKLSIWSQANQYWQEVYAHPTYPCASFATCGPFSFCIATCGPFGVCDGNRKPFCDCMEGFSPKSPQDWELMDRTAGCFRNTPLDCSSNRSSTDMFLAIGRGVLPTNHKRVEDATTQSKCEEACLSNCSCIAYAYEDSTCYAWHGELLNLRLQDSIESLSEDTLYLRLAAKDMPASTKNKRKPFPAAVTIASIIGFGLLMLLLLFLIWQNKLKCRGVPLHHTQGSSGIGVLSDSTTIVVKRLDGLHQGEKQFRAEMRSLRLIQHINLVKLIGFCYEDDKRLLVYEHMINGPLDAHLFHSNGAIIDWSTRHQIAIGVARGLSYLHESCHECIIHCDIKPENILVEASFAPKIADFGMAAFVGRDFSRVLTTFRGTKGYLAPEWLSGVAITPKVDVYSFGMKSYNEGGIYPKHTPATIIILIIFLCKPSANFMREVCRICLIQNYMVISIWKRLKGFVKLLVGASKKMKLIGQQWVKWFAFLRVYRSRYFNIFMPPLYRYLGLLLAISLHTPSCSTANDTLAAGQVLVVSEKLISRNGKFALGFFKPALPEGTANTYGNVTSPGWYLAIWFNNIPVCTTVWVANRERPITEPELKLVQMKISEDGSSLVIINHAIKSIVWSTQITNGTAQAKTGVNTSAILLDSGNLVIESLPDVYLWQSFDYPTDLVLPGAKIGWNKVTGLCRTCTSKKNLIDPGLGSYSVQLNSRGIILWHRDPYIEYWTWSSIQMTYTLMPLLNSLLTMNSEARGFLTPTYVNNDEEEYLMYHSSDESSSSFVSIDMSGQVKLNIWSQANQSWAEVHAEPWAQVYAQPPDPCTPFATCGPFGICNGNSEQFCDCMESFSQKSPQDWKLKDRSASCIRNTPLDCPSNRSSTDMFQTIARVTLPANPEKLEDATTQSKCAEVCLSNCSCNAYAYKDSVCSVWHSELLNVKLRDNIESLSEDTLYLRLAAKDMPASTKNKRKPVIAVVTTASIVGFGLLMLVMFFLIWRIKFNCCGVPLHHNQGNSGIIAFKYTDLSHATKNFSQKLGSGGFGSVFKGVLSDSTTIAVKRLDGLHQGEKQFRAEVSSLGLIHHINLVKLIGFCYEGDKRLLVYERMINGSLDAHLFHSNGTILDWSTRHQIAIGVARGLFYLHESCHKCIIHCDIKPENILLEASFAPKIADFGMAAFVGRDFSRVLTSFRGTKGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNLSEAYTSKHYHFDYFPMQAMSKLHGGSVQDLLDPKLNGDFNLEEAERICKVACWCIQENEFDRPTMGEVVHILEGLQEVEMPPTPRLFADISEKVSYAPPTPTPPAKQRRRRPDPRPSYCTAPASLSPRAAARASARLLDCTSTWSVVSRLFASRAHSVPFARHLFDGMPPKRKGLTSKKMAEAREEGGIDVLPDALLQHILSFLSADEAVKTSASYPAAGATPPLEIHAHPAYCQNGGQMGLGELRGFQQHTHIYAPNLITLHLDILWGRVPFLKSIPSLLTGFVRAQQDCDDYCSNTYSGNCENCNGCLCMIDETGNDSAKCMLLGGLLEAKNLELIAEPEMSLLLNEWCVANNFWALACILKKSPVLENFTLQISKDTKSMIETEENYNVLVKPVVTSKHLKVVKVHCTEVDEGVYKIVKFLTTLNIEVIMKRMDRSTKLFSFEEEDMGSDLEEESST >ORUFI11G06440.4 pep chromosome:OR_W1943:11:5081510:5099502:-1 gene:ORUFI11G06440 transcript:ORUFI11G06440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWWTEKLAGVSRDVVHDGGSDPYQCVMLWVMYAVMCRVQVLKIHNLDQIDIEVYKGMPLVSPHLTKIELSGIELKNCFLNFSSCPALKELYFTKNCGFDSVYEILSRYEVSDDSESVLLGGLTEAENLKLIAGPNIEYKSMVELETEENDNPLWKPAAISEHLKVVKVHCKEVDEGVYKIGKWLSTLDKKSQQHLLLTHPCCCLSNPSSATFSNISMSPLHTHLGFFLLLLLFSLHNPSCSAAANDTLAAGQVLAVGEKLVSRNGKFALGFYKPALPEGIASKYGNITSPGWYLAIWFNKIPVCTTVWVANRERPITDLEIKLTQLKFSQNGSSLAIIINRATEYTVWSRQIANRTAQAKTSMNTSAILLDSGNLVIESIPDVYLWQSFDEPTDLALPGAKFGWNKVTRLHRTGISKKNLIDPGLGPYSVQLNERGIILWRRDPYMEYWTWSSVQLTNMLIPLLNSLLEMNAQTKGFLTPNYTNNNEEEYFMYHSSDESSSSFVSIDMSGQLKLSIWSQANQSWQEVYAQPPDPCTPFATCGPFSVCNGNSDLFCDCMESFSQKSPQDWELKDRTAGCFRNTPLDCPSNKSSTDMFHTITRVALPANPKKIEDATTQSKCAESCLSNCSCNAYAYKDSTCFVWHSELLNVKLHDSIESLSEDTLYLRLAAKDMPATTKNKQKPVVVAVTAASIAGFGLLMLMLFFLIWRNKFKCCGVTLHHNQGNSGIIAFRYTDLSHATKNFSEKLGSGGFGSVFKGVLRDSTTIAVKRLDGSHQGEKQFRAEVSSLGLIQHINLVKLIGFCCEGDKRLLVYEHMVNGSLDAHLFHSNGAVLDWNTRHQIAIGVARGLSYLHESCRECIIHCDIKPENILLEASFAPKIADFGMAAFVGRDFSRVLTTFRGTKGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNLSEAYTSNHYHFDYFPVQAISKLHEGSVQNLLDPELHGDFNLEEAERVCKVACWCIQEDEIDRPTMGEVVRFLEGLQEVDMPPMPRLLAAITESLLFEQIESSNLLAVGEKLISRNGKFALGFFKPTLPEDAGSKYKNIASPGWYLAIWFNEIPVCTTVWVANRERPIADHELKLAQLKFSQDGSSLAIIINRATESTAWSTQIANRTAQAKTSMNTSEILLDSGNLVIESLPDVYLWQSFDDATNLVLPGAKLGWNKVTGLHCTSISKKNLIDPGLSSYSVQLNERGIVLWRRDPYMKYLTWSSTLMSGQLKLSIWSQANQYWQEVYAHPTYPCASFATCGPFSFCIATCGPFGVCDGNRKPFCDCMEGFSPKSPQDWELMDRTAGCFRNTPLDCSSNRSSTDMFLAIGRGVLPTNHKRVEDATTQSKCEEACLSNCSCIAYAYEDSTCYAWHGELLNLRLQDSIESLSEDTLYLRLAAKDMPASTKNKRKPFPAAVTIASIIGFGLLMLLLLFLIWQNKLKCRGVPLHHTQGSSGIGVLSDSTTIVVKRLDGLHQGEKQFRAEMRSLRLIQHINLVKLIGFCYEDDKRLLVYEHMINGPLDAHLFHSNGAIIDWSTRHQIAIGVARGLSYLHESCHECIIHCDIKPENILVEASFAPKIADFGMAAFVGRDFSRVLTTFRGTKGYLAPEWLSGVAITPKVDVYSFGMKSYNEGGIYPKHTPATIIILIIFLCKPSANFMREVCRICLIQNYMVISIWKRLKGFVKLLVGASKKMKLIGQQWVKWFAFLRVYRSRYFNIFMPPLYRYLGLLLAISLHTPSCSTANDTLAAGQVLVVSEKLISRNGKFALGFFKPALPEGTANTYGNVTSPGWYLAIWFNNIPVCTTVWVANRERPITEPELKLVQMKISEDGSSLVIINHAIKSIVWSTQITNGTAQAKTGVNTSAILLDSGNLVIESLPDVYLWQSFDYPTDLVLPGAKIGWNKVTGLCRTCTSKKNLIDPGLGSYSVQLNSRGIILWHRDPYIEYWTWSSIQMTYTLMPLLNSLLTMNSEARGFLTPTYVNNDEEEYLMYHSSDESSSSFVSIDMSGQVKLNIWSQANQSWAEVHAEPWAQVYAQPPDPCTPFATCGPFGICNGNSEQFCDCMESFSQKSPQDWKLKDRSASCIRNTPLDCPSNRSSTDMFQTIARVTLPANPEKLEDATTQSKCAEVCLSNCSCNAYAYKDSVCSVWHSELLNVKLRDNIESLSEDTLYLRLAAKDMPASTKNKRKPVIAVVTTASIVGFGLLMLVMFFLIWRIKFNCCGVPLHHNQGNSGIIAFKYTDLSHATKNFSQKLGSGGFGSVFKGVLSDSTTIAVKRLDGLHQGEKQFRAEVSSLGLIHHINLVKLIGFCYEGDKRLLVYERMINGSLDAHLFHSNGTILDWSTRHQIAIGVARGLFYLHESCHKCIIHCDIKPENILLEASFAPKIADFGMAAFVGRDFSRVLTSFRGTKGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNLSEAYTSKHYHFDYFPMQAMSKLHGGSVQDLLDPKLNGDFNLEEAERICKVACWCIQENEFDRPTMGEVVHILEGLQEVEMPPTPRLFADISEKVSYAPPTPTPPAKQRRRRPDPRPSYCTAPASLSPRAAARASARLLDCTSTWSVVSRLFASRAHSVPFARHLFDGMPPKRKGLTSKKMAEAREEGGIDVLPDALLQHILSFLSADEAVKTSASYPAAGATPPLEIHAHPAYCQNGGQMGLGELRGFQQVCQHPTTLPWKRASKHV >ORUFI11G06440.5 pep chromosome:OR_W1943:11:5075169:5081508:-1 gene:ORUFI11G06440 transcript:ORUFI11G06440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSLGGYEFDLGSTIFRHVVMWIMYALICQVRVLKIHNFMLTQIEMDGSMPLVSQHLMKIELSGIVFGDCFVDFSSCPKILSQSVKYLSFYLAQFCDHQHTHIYAPNLITLHLDILWGRVPFLKSIPSLLTGFVRAQQDCDDYCSNTYSGNCENCNGCLCMIDETGNDSAKCMLLGGLLEAKNLELIAEPEMSLLLNEWCVANNFWALACILKKSPVLENFTLQISKDTKSMIETEENYNVLVKPVVTSKHLKVVKVHCTEVDEGVYKIVKFLTTLNIEVIMKRMDRSTKLFSFEEEDMGSDLEEESST >ORUFI11G06450.1 pep chromosome:OR_W1943:11:5099946:5108937:-1 gene:ORUFI11G06450 transcript:ORUFI11G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSACVSIALLVVVLSSTSCYSSPSPTTTANGSSDTDLAALLAFKSQLTDPLGVLTSNWSTSTSFCHWLGVTCSRRRRHRRVTGLSLPHTPLHGPITPLLGNLSFLSFLRLTDTNLTASIPADLGKLRRLRHLCLGENSLSGRIPPDLGNLARLEVLELGSNQLSGQIPPELLLHLHNLQVISLEGNSLSGQIPSFLFNNTPSLRYLSFGNNSLSGPIPDGVASLSQLEILDMQYNQLSSLVPQALYNMSWLRVMALAGNGNLTGPIPNNNQTFRLPMLRFISLARNRIAGRFPAGLASCQYLREIYLYSNSFVDVLPTWLAKLSRLEVVSLGGNKLVGTIPAVLSNLTRLAVLELSFGNLVGNIPPEIGLLQKLVYLFLSANQLSGSVPWTLGNIVALQKLVLSHNNLEGNMGFLSSLSECRQLEDLILDHNSFVGALPDHLGNLSARLISFIADHNKLAGSLPEKMSNLSSLELIDLGYNQLTGAIPESIATMGNLGLLDVSNNHILGPLPTQIGTLLSIQRLFLERNKISGSIPDSIGNLSRLDYIDLSNNQLSGKIPASLFQLHNLIQINLSCNSIVGALPADIAGLRQIDQIDVSSNFLNGSIPESLGQLNMLTYLILSHNSLEGSIPSTLQSLTSLTWLDLSSNNLSGSIPMFLENLTDLTMLNLSFNRLEGPIPEGGIFSNNLTRQSLIGNAGLCGSPRLGFSPCLKKSHPYSRPLLKLLLPAILVASGILAVFLYLMFEKKHKKAKAYGDMADVIGPQLLTYHDLVLATENFSDDNLLGSGGFGKVFKGQLGSGLVVAIKVLDMKLEHSIRIFDAECHILRMVRHRNLIKILNTCSNMDFKALVLEFMPNGSLEKLLHCSEGTMHLGFLERLNIMLDVSMAVHYLHHEHYEVVLHCDLKPSNVLFDNDMTAHVADFGIAKLLLGDDNSMIVASMSGTVEYGFMGKASRKSDVFSYGIMLLEVFTGRRPMDAMFLGDLISLREWVHQVFPTKLVHVVDRHLLQGSSSSSCNLDESFLVPIFELGLICSSDLPNERMTMSDVVVRLKKIKVAYTECISAT >ORUFI11G06460.1 pep chromosome:OR_W1943:11:5116884:5160225:-1 gene:ORUFI11G06460 transcript:ORUFI11G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSSQLRGSMVLHSPIPTAVGFGELGVDRLSSSGAAAEAADCESARPPSLLARILHCEFQAICCSRCSLDARRLFDGMPPGEAPPPTGGDRIGGLPDGVLQHILGFLPPHEAVRTCVLARRWRHLWKSVAALRITNWDWRKVVPMEEFRYFVHHLLLRRGRAPIDEFELDLAELSDRDTLRVNRWFRHAVMCQARVLRLDIRVSRGSELELENLPVVSRHLQKLDLYGVKLMHNFLDFSSCPVLQHLEIAGCDLSDSNARKISSLSIKHLNISGCNFSDTFHTHIYAPNLLSLGLVNYMNQSPVFEGIPSGSFITGQEVGVFNFEMYWQRCPTFSKLKTLLISACASAVLDFEAVSCILRHSPVLENLTLQFHRMGHGPDRVEMKGSYSRMDRSSAISEYLKIVVIRFDEIDDLVIKVLKFLSAFSIRETGKRVKGSPSRSGGADEDRIGCLPDGVLHHILGFLPAPDAVRTCLLARRWRHLWKSATGLRISEGYGVEKLHDFVHHLLLLRGGAPLDTFELGSIDLAEGDNRSMNLWFRHALLCQVRVPRLNVFGLELHDLPFVSRHLVKLELRFVILMHSFLDFSSCPVLEHLEIVSSELSDAKRISSQSLKHLNLTHCTFSENVRIRIDVPNLLSLWLQDYRCRTPVFEVMPLLVKAFVSVTGDSGDWLTCTSGNADSEECPHDDCELCYSNTNCMLLQALSQARSLMLVAQNQEFVFKRDMMRCPTFSNLKTLLLIDSFYVAFDLHGITCILRHSPVLEKLTLELFCQESEHILEMKGSYNQTERSSAIPEHLKSVVVKCGVIDERVTKARVIRLNIINSWGSQPELDNLNLPVVSRHLAKLQLSGVKLMQSFLNFSSCPVLEHLEIVHCDLSDSNARKISSLSLKHLYIFRCNFSRTFHTQIYAPNLVYLGLVYYMNRTPVFEGVPLLTEAVVGVAAESGDWNACPRFDDSNTNSCMLPEALSQAKKLVLEVEEQDFNFKMYWQHCPTFSKLKTLFISVCISAILDFEGLSCILRHSPVLEILTLQFHRSEFRQKDKVEMKGSYSRMEKSSAISEHLKIVAIQCDDIDDQVIKVLKLLSTFSIPPAGEAAGGEVSLHPPVQSSGCFLPCGRDARHLFDGMSPPGEAGRRGKGAARPSDDRIGHLPDEVLHHIIGLLPAPDAVRTCVLARRWRHLWKSATGLRIADDDGVGLVPMEELRDFVDHLLLLRGRAPLDTCELSFAGLSSDGGGGDARLVDLWFRHAVLCEVQALRLNAPRSASRLVLDGLPLLAHLNLVHNFLDFSSCPVLEHLEIVLCSLSDAERISSQSLKRLNITACDFSEIFRTRIDVPNLLSLRLDNYNHRTPVFEGMPLLVDAFFGVTFASGDIRCCPGVNDDLEECPYDDCDNCPSDNNCKVLQAFSQAKNLALVADSQKFIFKRELIRCPTFSKLKTLLLSDSWIVAFDLHEITCILRHSPVLENLTLQFFYPGPDYCVEIKGSYSRMERSSAISEHLKIVVVKCGVVDERVIKILKFLSTFNIVSRKEKRGARGARATDSAAGGRIRRACGARLGLLLAVVVDRRLIHQRLGDRRLFVSCRAVPFARHLFDGMPPRRRGSRGEEMAEASKEGGINALPDELLQHVLSLLSADEAVKTCVLSRRWRHLWRSTDVLRLDADKERWKSSKRFKKFVNHLVLFRGCSPLREFDLEFSSCREKDEKGEDSDEDESDDDRGEDESDEDSDGDESDEHSDDGESDDDSDEDEDSNPFQCVMMWVMYALICQVQVLKIHNFNERYIEIDGGMPLVSQHLTKIELSGIVLKDCFLNFSSCPALKELYFTKNCCFDSVKKIFSQSMQCLRIFCCQFSEYHRTLIYAPSLIRLFLEGFWGRTPFLERMPSLVEASVRPHQDCDDWCSNTYTGNCEDEDCDGCHGMIDKIGNSSNCVLLGGLSEAKSLKLIAGPEIIIFGSDLRWCPMFSKLKNLLLNEWCLLSNFWALACILEHSPVLRKLTLQISKEAKSMMQTEENDNPLRKPAAISEHLKVVKVHCKEVDEVVYKIGKWLSTLDIKVLIKRRNQSPKRVELHRKTRARRRHGHRHRRRRTSPTSSLATPPKIESRYCSRVASYHGAPASHTGCFLHRGPDARRLFDGMRPQGKTLEMGKRALPRSSDGDEDRTGDLPDGILHHILGSLPARDAARTCVLARRWRHLWKFATGLRITDREMREPAPMEKLQDFVDHLLLLRGRAPLETCWLNLTRLSSDGDARRVNLWFRHAVLCEVQVLRLDLILNGFQLKLDDLPLASRCLAKLNLSGVHLMHSFPDFSCCPVLEHLDIFFCDLSDAKKITSQSMKCLNIRHCTFSQISRTRISAPNLISLRLENYWHRTPVFEVMPLIVDAFVRVHDRSGDWYSCTSGNADFEECLCEDCDFCHSNTNCVIMQALSQAKNLVLSAHEQEFIFKKELMRCPTFSNLKTLLLINCFCVAFDLHGITSILRHTPVLEKLILEFFFEVTEHDDEVEMKGSCSQMERSSAISKHLKLVIVKCNAIDGRITKILKFLSTFNICFSFE >ORUFI11G06460.2 pep chromosome:OR_W1943:11:5115110:5160225:-1 gene:ORUFI11G06460 transcript:ORUFI11G06460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSSQLRGSMVLHSPIPTAVGFGELGVDRLSSSGAAAEAADCESARPPSLLARILHCEFQAICCSRCSLDARRLFDGMPPGEAPPPTGGDRIGGLPDGVLQHILGFLPPHEAVRTCVLARRWRHLWKSVAALRITNWDWRKVVPMEEFRYFVHHLLLRRGRAPIDEFELDLAELSDRDTLRVNRWFRHAVMCQARVLRLDIRVSRGSELELENLPVVSRHLQKLDLYGVKLMHNFLDFSSCPVLQHLEIAGCDLSDSNARKISSLSIKHLNISGCNFSDTFHTHIYAPNLLSLGLVNYMNQSPVFEGIPSGSFITGQEVGVFNFEMYWQRCPTFSKLKTLLISACASAVLDFEAVSCILRHSPVLENLTLQFHRMGHGPDRVEMKGSYSRMDRSSAISEYLKIVVIRFDEIDDLVIKVLKFLSAFSILTDEGAVLSARVIRLNIINSWGSQPELDNLNLPVVSRHLAKLQLSGVKLMQSFLNFSSCPVLEHLEIVHCDLSDSNARKISSLSLKHLYIFRCNFSRTFHTQIYAPNLVYLGLVYYMNRTPVFEGVPLLTEAVVGVAAESGDWNACPRFDDSNTNSCMLPEALSQAKKLVLEVEEQDFNFKMYWQHCPTFSKLKTLFISVCISAILDFEGLSCILRHSPVLEILTLQFHRSEFRQKDKVEMKGSYSRMEKSSAISEHLKIVAIQCDDIDDQVIKVLKLLSTFSIPPAGEAAGGEVSLHPPVQSSGCFLPCGRDARHLFDGMSPPGEAGRRGKGAARPSDDRIGHLPDEVLHHIIGLLPAPDAVRTCVLARRWRHLWKSATGLRIADDDGVGLVPMEELRDFVDHLLLLRGRAPLDTCELSFAGLSSDGGGGDARLVDLWFRHAVLCEVQALRLNAPRSASRLVLDGLPLLAHLNLVHNFLDFSSCPVLEHLEIVLCSLSDAERISSQSLKRLNITACDFSEIFRTRIDVPNLLSLRLDNYNHRTPVFEGMPLLVDAFFGVTFASGDIRCCPGVNDDLEECPYDDCDNCPSDNNCKVLQAFSQAKNLALVADSQKFIFKRELIRCPTFSKLKTLLLSDSWIVAFDLHEITCILRHSPVLENLTLQFFYPGPDYCVEIKGSYSRMERSSAISEHLKIVVVKCGVVDERVIKILKFLSTFNIVSRKEKRGARGARATDSAAGGRIRRACGARLGLLLAVVVDRRLIHQRLGDRRLFVSCRAVPFARHLFDGMPPRRRGSRGEEMAEASKEGGINALPDELLQHVLSLLSADEAVKTCVLSRRWRHLWRSTDVLRLDADKERWKSSKRFKKFVNHLVLFRGCSPLREFDLEFSSCREKDEKGEDSDEDESDDDRGEDESDEDSDGDESDEHSDDGESDDDSDEDEDSNPFQCVMMWVMYALICQVQVLKIHNFNERYIEIDGGMPLVSQHLTKIELSGIVLKDCFLNFSSCPALKELYFTKNCCFDSVKKIFSQSMQCLRIFCCQFSEYHRTLIYAPSLIRLFLEGFWGRTPFLERMPSLVEASVRPHQDCDDWCSNTYTGNCEDEDCDGCHGMIDKIGNSSNCVLLGGLSEAKSLKLIAGPEIIIFGSDLRWCPMFSKLKNLLLNEWCLLSNFWALACILEHSPVLRKLTLQISKEAKSMMQTEENDNPLRKPAAISEHLKVVKVHCKEVDEVVYKIGKWLSTLDIKVLIKRRNQSPKRVELHRKTRARRRHGHRHRRRRTSPTSSLATPPKIESRYCSRVASYHGAPASHTGCFLHRGPDARRLFDGMRPQGKTLEMGKRALPRSSDGDEDRTGDLPDGILHHILGSLPARDAARTCVLARRWRHLWKFATGLRITDREMREPAPMEKLQDFVDHLLLLRGRAPLETCWLNLTRLSSDGDARRVNLWFRHAVLCEVQVLRLDLILNGFQLKLDDLPLASRCLAKLNLSGVHLMHSFPDFSCCPVLEHLDIFFCDLSDAKKITSQSMKCLNIRHCTFSQISRTRISAPNLISLRLENYWHRTPVFEVMPLIVDAFVRVHDRSGDWYSCTSGNADFEECLCEDCDFCHSNTNCVIMQALSQAKNLVLSAHEQEFIFKKELMRCPTFSNLKTLLLINCFCVAFDLHGITSILRHTPVLEKLILEFFFEVTEHDDEVEMKGSCSQMERSSAISKHLKLLFPDAFAPESIESELCPLYMSTGSAVPSPRRALEMAVAAMGMGLSSPGSSFTPPLSPSAGGGGSGMGGGAWSHQPSMPALCLPGSAGNLHLSRLRTLLSARDMAVDELLAAAAAAEADYDGLVGSPASIRSARGKALVPSNLDELFSAEPELAAAASRSPRYADQGGAAFSPTRKAAVLNQFQQQQQSLLSPRAAALTPEPVSPMNSRLLAALAQQEKMQQQTLRSMSSRDLGAAASLLVGSPVSSSMSKWGFPSGNPDGVPTTRSSAVSSVAPS >ORUFI11G06460.3 pep chromosome:OR_W1943:11:5116884:5160225:-1 gene:ORUFI11G06460 transcript:ORUFI11G06460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSSQLRGSMVLHSPIPTAVGFGELGVDRLSSSGAAAEAADCESARPPSLLARILHCEFQAICCSRCSLDARRLFDGMPPGEAPPPTGGDRIGGLPDGVLQHILGFLPPHEAVRTCVLARRWRHLWKSVAALRITNWDWRKVVPMEEFRYFVHHLLLRRGRAPIDEFELDLAELSDRDTLRVNRWFRHAVMCQARVLRLDIRVSRGSELELENLPVVSRHLQKLDLYGVKLMHNFLDFSSCPVLQHLEIAGCDLSDSNARKISSLSIKHLNISGCNFSDTFHTHIYAPNLLSLGLVNYMNQSPVFEGIPSGSFITGQEVGVFNFEMYWQRCPTFSKLKTLLISACASAVLDFEAVSCILRHSPVLENLTLQFHRMGHGPDRVEMKGSYSRMDRSSAISEYLKIVVIRFDEIDDLVIKVLKFLSAFSIRETGKRVKGSPSRSGGADEDRIGCLPDGVLHHILGFLPAPDAVRTCLLARRWRHLWKSATGLRISEGYGVEKLHDFVHHLLLLRGGAPLDTFELGSIDLAEGDNRSMNLWFRHALLCQVRVPRLNVFGLELHDLPFVSRHLVKLELRFVILMHSFLDFSSCPVLEHLEIVSSELSDAKRISSQSLKHLNLTHCTFSENVRIRIDVPNLLSLWLQDYRCRTPVFEVMPLLVKAFVSVTGDSGDWLTCTSGNADSEECPHDDCELCYSNTNCMLLQALSQARSLMLVAQNQEFVFKRDMMRCPTFSNLKTLLLIDSFYVAFDLHGITCILRHSPVLEKLTLELFCQESEHILEMKGSYNQTERSSAIPEHLKSVVVKCGVIDERVTKVLKFLSTFNIPPAGEAAGGEVSLHPPVQSSGCFLPCGRDARHLFDGMSPPGEAGRRGKGAARPSDDRIGHLPDEVLHHIIGLLPAPDAVRTCVLARRWRHLWKSATGLRIADDDGVGLVPMEELRDFVDHLLLLRGRAPLDTCELSFAGLSSDGGGGDARLVDLWFRHAVLCEVQALRLNAPRSASRLVLDGLPLLAHLNLVHNFLDFSSCPVLEHLEIVLCSLSDAERISSQSLKRLNITACDFSEIFRTRIDVPNLLSLRLDNYNHRTPVFEGMPLLVDAFFGVTFASGDIRCCPGVNDDLEECPYDDCDNCPSDNNCKVLQAFSQAKNLALVADSQKFIFKRELIRCPTFSKLKTLLLSDSWIVAFDLHEITCILRHSPVLENLTLQFFYPGPDYCVEIKGSYSRMERSSAISEHLKIVVVKCGVVDERVIKILKFLSTFNIVSRKEKRGARGARATDSAAGGRIRRACGARLGLLLAVVVDRRLIHQRLGDRRLFVSCRAVPFARHLFDGMPPRRRGSRGEEMAEASKEGGINALPDELLQHVLSLLSADEAVKTCVLSRRWRHLWRSTDVLRLDADKERWKSSKRFKKFVNHLVLFRGCSPLREFDLEFSSCREKDEKGEDSDEDESDDDRGEDESDEDSDGDESDEHSDDGESDDDSDEDEDSNPFQCVMMWVMYALICQVQVLKIHNFNERYIEIDGGMPLVSQHLTKIELSGIVLKDCFLNFSSCPALKELYFTKNCCFDSVKKIFSQSMQCLRIFCCQFSEYHRTLIYAPSLIRLFLEGFWGRTPFLERMPSLVEASVRPHQDCDDWCSNTYTGNCEDEDCDGCHGMIDKIGNSSNCVLLGGLSEAKSLKLIAGPEIIIFGSDLRWCPMFSKLKNLLLNEWCLLSNFWALACILEHSPVLRKLTLQISKEAKSMMQTEENDNPLRKPAAISEHLKVVKVHCKEVDEVVYKIGKWLSTLDIKVLIKRRNQSPKRVELHRKTRARRRHGHRHRRRRTSPTSSLATPPKIESRYCSRVASYHGAPASHTGCFLHRGPDARRLFDGMRPQGKTLEMGKRALPRSSDGDEDRTGDLPDGILHHILGSLPARDAARTCVLARRWRHLWKFATGLRITDREMREPAPMEKLQDFVDHLLLLRGRAPLETCWLNLTRLSSDGDARRVNLWFRHAVLCEVQVLRLDLILNGFQLKLDDLPLASRCLAKLNLSGVHLMHSFPDFSCCPVLEHLDIFFCDLSDAKKITSQSMKCLNIRHCTFSQISRTRISAPNLISLRLENYWHRTPVFEVMPLIVDAFVRVHDRSGDWYSCTSGNADFEECLCEDCDFCHSNTNCVIMQALSQAKNLVLSAHEQEFIFKKELMRCPTFSNLKTLLLINCFCVAFDLHGITSILRHTPVLEKLILEFFFEVTEHDDEVEMKGSCSQMERSSAISKHLKLVIVKCNAIDGRITKILKFLSTFNICFSFE >ORUFI11G06470.1 pep chromosome:OR_W1943:11:5165092:5174946:-1 gene:ORUFI11G06470 transcript:ORUFI11G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLRSLSFGPEESPREITVAGGGGGDAAAHPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHQGVRDYLCNRMYTLPLPGLEAYLFQVCYMMVHKPSPSLDRFVIDTCSKSLRIALKVHWLLAAELELEDTDDLDGIDRVQEQCQAAATVQGEWPPLVRPAPLSPIASPRGNPMLSRIRSSKQRLLSLASSPSLGLSPPAGASNAAAAEDVGGSGVKQPATPSSEDNKLLKRLSIGPKVRDAASFFRRSVEKDDEQDKEGFFKRLLRDSKDKEEEDGDKEGFFKRLLSKEKENEEEEGDRDGFFRRLLRDSKDEDMELTPSSEGLLKRLFRDKEDRQGDDEEKEGFFRRIFKDKNEERRESLHGRHGDEERVGKSLEDDDKEGFFHKIFKDKNEERKDGGHSKQQDDKEKTAGNIEDDKRDGFFRQLFKEKNEEKKEGTTPNKKEEDDKGHRTMDDENFFRRLFKDKNEEKKGAAHDRNDDDKCEEGDKENFFRKLFKDKHEERRSDGLDKHDDDGKGTSGIDDEENSEFLSFRRLFRVHPEDAKSGHIESSQPNAQDQRAFSSDYSETEIAHLKTLSFLKNSVPTGNGDKQSGKPPLPNNAIAELRKGCYYASLELVQSLCDTSYGLVDIFPMEDRKIALRESLTEINSQIASTEKNGGVCFPMGKGIYRVVHIPEDEAVLLNSREKAPYLICVEVLKAEAPSHSKGSSDVNKLSKGGIPLANGDVQLPKPPPWAYPLWSRHETQNYETDRMLKSTSQVIDQAMAQLWEAKVKFVNVSFSVEKLGRSRSVAISDSGHRSRQSTADSNEPSGDSQPIADQPIEWVKVTLSAVPGVNMDDVDDNEPTRKKDHRRVPSTIAIEEVKAAALKGEAPPGLPLKGVGQNAQNIESKATDGGDPKPTDALAGELWAVKRERIRRSSVHGKLPGWDLRSVIVKSGDDCRQEHLAVQLVAHLYDIYQEAGLPLWLRPYEVIVTSAYTALIETIPDTASIHSIKSRFPDITSLRDYYVAKYEENSPNFKLAQRNFVESMAGYSILCYLLQVKDRHNGNLLIDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSMDAWRTRQYDYYQRELLAPSKNQFAYIIVKISGIAQLCQHYTA >ORUFI11G06470.2 pep chromosome:OR_W1943:11:5165092:5174946:-1 gene:ORUFI11G06470 transcript:ORUFI11G06470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLRSLSFGPEESPREITVAGGGGGDAAAHPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHQGVRDYLCNRMYTLPLPGLEAYLFQVCYMMVHKPSPSLDRFVIDTCSKSLRIALKVHWLLAAELELEDTDDLDGIDRVQEQCQAAATVQGEWPPLVRPAPLSPIASPRGNPMLSRIRSSKQRLLSLASSPSLGLSPPAGASNAAAAEDVGGSGVKQPATPSSEDNKLLKRLSIGPKVRDAASFFRRSVEKDDEQDKEGFFKRLLRDSKDKEEEDGDKEGFFKRLLSKEKENEEEEGDRDGFFRRLLRDSKDEDMELTPSSEGLLKRLFRDKEDRQGDDEEKEGFFRRIFKDKNEERRESLHGRHGDEERVGKSLEDDDKEGFFHKIFKDKNEERKDGGHSKQQDDKEKTAGNIEDDKRDGFFRQLFKEKNEEKKEGTTPNKKEEDDKGHRTMDDENFFRRLFKDKNEEKKGAAHDRNDDDKCEEGDKENFFRKLFKDKHEERRSDGLDKHDDDGKGTSGIDDEENSEFLSFRRLFRVHPEDAKSGHIESSQPNGISEGSPGSESFFKRLFRDRDRSLEDSELFGSKLLKEARHQFPEVKNSVPTGNGDKQSGKPPLPNNAIAELRKGCYYASLELVQSLCDTSYGLVDIFPMEDRKIALRESLTEINSQIASTEKNGGVCFPMGKGIYRVVHIPEDEAVLLNSREKAPYLICVEVLKAEAPSHSKGSSDVNKLSKGGIPLANGDVQLPKPPPWAYPLWSRHETQNYETDRMLKSTSQVIDQAMAQLWEAKVKFVNVSFSVEKLGRSRSVAISDSGHRSRQSTADSNEPSGDSQPIADQPIEWVKVTLSAVPGVNMDDVDDNEPTRKKDHRRVPSTIAIEEVKAAALKGEAPPGLPLKGVGQNAQNIESKATDGGDPKPTDALAGELWAVKRERIRRSSVHGKLPGWDLRSVIVKSGDDCRQEHLAVQLVAHLYDIYQEAGLPLWLRPYEVIVTSAYTALIETIPDTASIHSIKSRFPDITSLRDYYVAKYEENSPNFKLAQRNFVESMAGYSILCYLLQVKDRHNGNLLIDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSMDAWRTRQYDYYQRELLAPSKNQFAYIIVKISGIAQLCQHYTA >ORUFI11G06480.1 pep chromosome:OR_W1943:11:5184667:5188377:-1 gene:ORUFI11G06480 transcript:ORUFI11G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGAARDGGGGGEAGVTTAAAKATSTATLLSLLRAKSERSAEAEEKVEWVRSQLVGAGAEFDTPFGRRPLVYADHTASGRGLRYVEEYVLHHVLPFYGQYHLPCRFSSHAICELDHDVHGDGEVIDAMLVGNTHTEDSYVGSRTTRMARKAASYIKRCVGAGGAAGGDVALLFCGSGATAAVKRLQEAMGVAAPPGPLRERAAALLRPEERWVVFVGPYEHHSNLLSWRRSLADVVEVGAGDDGLLDLAALRRALRAPEHADRPMLGSFSACSNVTGVLTDTCAVARLLHQHGAFACFDFAASGPYVEIDMRPGEVDGYDAVFLSPHKFVGGPGTPGILLMSRALYRLSSQPPTTCGGGTVAYVNGASERDTVYLAGVEEREDAGTPPIVGKVRAALAFWVKARVGRGGAVALRERAHADAAMAWLLANPNVEVLGNVAAPRLPIFSFLVFPGDGDDRRLPLHGRFVARLLNDLFGVQARGGCACAGPYGHALLGVGDELSLRIRAAVVRGYHGVKPGWTRVSFAYYLSGDELRYVLAAIDFVAAHGHRFLPLYAFDWATGDWSFRRAALKRQLMARELLHCHLGSSSATSSDSDGGEFQTARKTTAAGKVGGDVSADKFEGYLESARRIARSLPDTWQATVTVPEGIDPDIVLFRV >ORUFI11G06490.1 pep chromosome:OR_W1943:11:5192158:5195762:-1 gene:ORUFI11G06490 transcript:ORUFI11G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRAATRRHTALSAAIPSSSSRQWGSSGGGHPLRRLPRTSTSVAARARGVRGGAKPTPSWRSPSIRSGRARPDGASPLRVRLRPGIEGCRPFELKGAAVAKIQGRRSPPRLHALQKKDKEAPASSAPALSPNASPRQLERKIGQLQDLKNSAQEVSSDDTLQMFHESILQSSHSLEEPHATLKRATDSSRLQFLSEDVAMLCCMNAQI >ORUFI11G06490.2 pep chromosome:OR_W1943:11:5192158:5195762:-1 gene:ORUFI11G06490 transcript:ORUFI11G06490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRAATRRHTALSAAIPSSSSRQWGSSGGGHPLRRLPRTSTSVAARARGVRGGAKPTPSWRSPSIRSGRARPDGASPLRVRLRPGIEGCRPFELKGAAVAKIQGRRSPPRLHALQLWLKIQGIPGIGGQGINPNASPRQLERKIGQLQDLKNSAQEVSSDDTLQMFHESILQSSHSLEEPHATLKRATDSSRLQFLSEDVAMLCCMNAQI >ORUFI11G06490.3 pep chromosome:OR_W1943:11:5192158:5195762:-1 gene:ORUFI11G06490 transcript:ORUFI11G06490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRAATRRHTALSAAIPSSSSRQWGSSGGGHPLRRLPRTSTSVAARARGVRGGAKPTPSWRSPSIRSGRARPDGASPLRVRLRPGIEGCRPFELKGAAVAKIQGRRSPPRLHALQLERKIGQLQDLKNSAQEVSSDDTLQMFHESILQSSHSLEEPHATLKRATDSSRLQFLSEDVAMLCCMNAQI >ORUFI11G06510.1 pep chromosome:OR_W1943:11:5223808:5224113:-1 gene:ORUFI11G06510 transcript:ORUFI11G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSCLGAGMMGKKKVSPAKQQQQQPVDQNQQQKNLYCSKSKDADAAEVGEKEKKIGGGSDGDHHAGVEKVEMRKKKSGSSSSSAPILMYQFPFHSRPGLL >ORUFI11G06520.1 pep chromosome:OR_W1943:11:5225980:5228874:-1 gene:ORUFI11G06520 transcript:ORUFI11G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAAKPLVIEEVEVAPPQAMEVRVKILFTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGIVESVGEGVTDLAPGDHVLPVFTGECKECAHCKSAESNMCDLLRINTDRGVMIGDGKSRFSINGKPIYHFVGTSTFSEYTVMHVGCVAKINPAAPLDKVCVLSCGISTGLGATINVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGIDLNANRFEEARKFGCTEFVNPKDHDKPVQQVLAEMTNGGVDRSVECTGNINAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNYKPRTDLPNVVELYMKKELEVEKFITHSVPFSEINTAFDLMHKGEGIRCIIRMEN >ORUFI11G06530.1 pep chromosome:OR_W1943:11:5245209:5247841:-1 gene:ORUFI11G06530 transcript:ORUFI11G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELAPGDHVLPVFTGECKECDHCKSEESNMCDLLRINVDRGVMIGDGKSRFTIKGKPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCILSCGFSTGFGATVNVAKPKKGQTVAIFGLGAVGLAAMEGARLSGASRIIGVDLNPAKFEQAKKFGCTDFVNPKDHSKPVHEVLIEMTNGGLDRAVECTGNINAMISCFECVHDGWGVAVLVGVPTKDDVFKTHPMNFLNEKTLKGTFFGNYKPRTDLPNVVELYMKKELELEKFITHSVPFSEINTAFDLMLKGESLRCVMRMDE >ORUFI11G06540.1 pep chromosome:OR_W1943:11:5256917:5262125:-1 gene:ORUFI11G06540 transcript:ORUFI11G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRLKILYTALCHTDVYFWEAKGQTPVFPRIFGHEAGGIVESVGEGVTELSPGDHVLPVFTGECGDCRHCLSEESNLCDLLRINTDRGAMIGDGQTRFTARGQPVYHFLGTSTFSEYTVVHVGCVAKIHPAAPLDKVCVLSCGISTAKKFGCTDFVNPKDYDKPVQQVIIDMTNGGVDRSVECTGNAAAMISAFECVHDGWGVAVLVGVAGKDAAFKTHPTNFLNERTLRGTFFGNYKPRTDLPGVVEMYMNKELELEKFITHSVPFSEINTAFDLMLSGESLRCIIRMED >ORUFI11G06550.1 pep chromosome:OR_W1943:11:5270978:5277551:1 gene:ORUFI11G06550 transcript:ORUFI11G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEHRATPVAYGWLSIPELVRSNSRRFMPSQSDEEIHQLSYLQKHMANILTLLADSVEGEGDDSLVLTMETFEYLGFLVQFSEGTSLSQAATFFANSDPDMPAAPVDVAEHSFFTRSAAKESNQQTVSDLDVTMAEANTSHTRNSTPSSNPAYYRNVLNCHDSVIYILAPLKYATVYGCSDATIVLGAVGKVVKVEHCERVHIIAASKRICIANCHECIFYLGVNHQPLVLGDNHNLQVAPFNTCYPQLRDHLMQVGVDPSVNKWDQPFVLGVVDPHDSLSHPAGVSDVKAESATCLDPDLFTNFLVPSWFGDETQEPTNCNPFPLPEIYGTFQSKKHSALEDIQKTIWELQLDENRKRDLATALHAQFKGWN >ORUFI11G06560.1 pep chromosome:OR_W1943:11:5278915:5279181:1 gene:ORUFI11G06560 transcript:ORUFI11G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKMAVVAILAALLLMAAAEPALATAPSLVPARKLQMPRIMDVISAESKLACLPAGGFCMFRPMDCCGNCGCLYPVGVCYGSRCEE >ORUFI11G06570.1 pep chromosome:OR_W1943:11:5283622:5284703:-1 gene:ORUFI11G06570 transcript:ORUFI11G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTVSGEQRMMTSIAWQRCVVYGLRRLDDVPGNSDEMACDVAGAGDALRAPSSGAPAGVLLEQPADEFEEDGNVHESERTSVMSRRGGCDRQGDNVQRLGDITSPEVAAGEARTSRLRRPTPISFPIWNDTEGSFSSYSRIYVQSVHGEASIRNQLPANDLIIAL >ORUFI11G06580.1 pep chromosome:OR_W1943:11:5284192:5284748:1 gene:ORUFI11G06580 transcript:ORUFI11G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVATRRVSGAAGRPARYSVLSACRTHRRPEIASLPLIGDVGRRSRDENAGRSATTRCPQGVTSSSYVASHLVGVAGDVVEPPESVDDATLPSDARHHPLLAGDGFCHFASPKHAKGTRGSR >ORUFI11G06590.1 pep chromosome:OR_W1943:11:5294074:5296871:-1 gene:ORUFI11G06590 transcript:ORUFI11G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRLDGNALEHCWSTPARRRRVHQVVEVLFRLCHHVRPDDLVTLPRRSTLSHPYVDIVKSPNRPRSHGAALVGKSHSNCRNVAELPASADVAAHAILMDQSHRQPGGHLVHHIGDAQTLAVPIKLPVALSTECHPVKPCHCAGIIAPTPSPKDAVVVIAIV >ORUFI11G06590.2 pep chromosome:OR_W1943:11:5295157:5296802:-1 gene:ORUFI11G06590 transcript:ORUFI11G06590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISDQELSAATAPGNIGAITRVLLSIRKGGNQGDSVKASEGDYRFVKRSACQRRPKVVIVAALPQLPVDLRLLRCLFHACMRWRLDGNALEHCWSTPARRRRVHQVVEVLFRLCHHVRPDDLVTLPRRSTLSHPYVDIVKSPNRPRSHGAALVGV >ORUFI11G06600.1 pep chromosome:OR_W1943:11:5303620:5306241:-1 gene:ORUFI11G06600 transcript:ORUFI11G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAVQWWKEWQLRILVLGSLGVQLYLAIFANTRKKHIRPLFRFSIWLAYLGGDALAIYALATLFNRQKKLQFKTGSHDLEVLWAPILLIHLGGQIFSISAHNIEDNELWRWHIVTAVSQKYQQPSITPLSQVIVALYVFTKFWSPSADRRLLAAAILLFIIGVFKCFDKPRALMSSTFTSIVSTFHPSPRTESIDRESKKNTYKEQRILCNSRNTQQAAAQTLQSARSIDLSIPDKLFVDFAYSYANRLTKLESFWSLDADRVYRTLCEGLSDTFNLISSKVWQYDDQNRATPSFGISFSFLVWLITLIVPIVSIGMCHSSQKEDYSGIDIKVTFILLYITYFLEFATILTWRYYASNEWSNLVFQHNLIGFLAYKKRHKKLMTIADCIQSKAFLGQHEPCYSSKDITNLVSAHAKDGWVNYIMDVQSYWKFSDNRGHWTLEHNECEDIVIRSSIEKPFDESIILWHLATDFCFRHKDASLESDECAKPCQQISNYMMHLLFDNPEMLPSSRRVLSTAAYEELKDILQGDDVSFLDEKELTQEIIGKAEFAECGFIRDAWILAEELKQLGDEKKMWEIIKGVWIEMLCFSAGRCRGYLHAKSLGTGGEYLTVVSLVMSHAGLETFAERQQRVQLRLSKEERVRIARQRIEAERNQADAAASAEVQVVVSSS >ORUFI11G06610.1 pep chromosome:OR_W1943:11:5306717:5306914:-1 gene:ORUFI11G06610 transcript:ORUFI11G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLAMLQWSFDRDPEGMKTTLESVAAVLKDVEIRRSIRDAAVAEAAQGRRLRHLRPARRVPCPI >ORUFI11G06620.1 pep chromosome:OR_W1943:11:5311490:5322230:-1 gene:ORUFI11G06620 transcript:ORUFI11G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGGMLAAAVLSMVKKQISSAIGGQITLQMDLSKDLRRMKMTLESVDAVLRDAERQSIGDAAVRLRRPATSPPGSGGGEATATLAPPLALATWACMIPCLTIAPKIKLANNMKTMRKDLKEITNQYKSFRLKAGPNTVTELKLPDMRETSSVVGDKALIVGRTREKHIIMASLSEHMDQAITILPIYGIGGIGKTTLAKLVWVYVSQTFDLNKIGNSIISQLSKKESQLTGRQMIHSHLSELLAGKKIMIVLDDLWEDDKSQLDHLMDMLKVSEDAKVVVLVTTRDEGIAADFFTIQPHKLKPLTDDMCWTIIKQKSAFESRDDKEQLEQIGEEIATKCSGVALAAQSIGYMLRSMTSSDWESVRDSDIWKVTTLEDTPSPHQKVLASLMLSYSSMPSYLKQCFAYCAIFPKGHNIVKNALIHQWVSLGFIEESNIFSTRQLSERYIKQLLGLSFLQRSKSPSIAGLYHEDFTLFTMHDLVHDIARLVMVDEILLDNKQDNSGGISYHYALLSNCFKPLESSTGYLAKIRALRFMNCRELCLSDGAFSSGKSLRLLDLSECSIQKLPDSIGQLKQLRYLIAPRIQDQTIPNCITKLIKLMYLSLRGSYEIMALPESIGEMEGLMYLDLSDCSRIRELPESFGKLNKLVHLDFSNCSCIRSLSQCLGFLTELHHLNLSSSSDLKLPDVEFLGNLTKLEYLNLSCNSNLSLPSVEFLGILTKLKYLNLSSQQSDLQSLPEDLGSLMELKYLSLSGSDKIVELPRSFEKLKNLVHLDLSCCSSLLGIPQALHGLAKLEYLNLSLQNGEIHQDKLPLIGLPEVIGSLTNLKYLNLARCMDYVFGSPSTDQTDSFIGSISTFSNLEHLDLSKNKILCSIPESIGSLRMLHTLNLSGSSMLARLPECLTKMESLKVLNVMGCKLFEAKLPQSNFLFTLPHFVVHTGEGQSSSNLPLLEHAILDEFLELSRLENVKSTQEARSIKLIEKESINSLNLEWTRGADRFVEDMKVLEEMVPPSTLTKFKIEGYSSISFPSWVMNTGNHLPNLVSIILWDLPKCNSLPPFGQLPNLGNITLGRMHGLRRIDRGIYGGPGAFPRLTAFHLWAMHSLEELDFRDDLQTQVMFPMLQDMEISDCPKVRLKSSPPNAGNWTIILSDNVLSSRIDRCHTSASSSSAVACLSVHLCKLVPMHQWVLLCHLPPLVDLHIEGCGDLSSASPEIIRALSSLKSLILEDNDKAEGLPRWLGELTCLQGLSLVGFQKLKDLEGNMRQLTSLQSLNLDGCSIMESLPQWLGELTSLENLRLRYHKNLNDLQQTMCDNLTSLQPLTLEKCVRTPSLPERMGNLNSLKELKDDQAEQPRLLGGITCVQHLSLEGFPDLLDLQGSMRQLTSLTSLHLYQCDSMTSLPQWLGELTSLKWLKIKDCGKLNDLQETLCNITSLQSLELEACHRIHSLPERMGDLNSLKELKIDRCKGISSLPESIQQLTNLENLCIHMCPGLRQWYQSDENEKKLAHIKKKSSKPKG >ORUFI11G06630.1 pep chromosome:OR_W1943:11:5349545:5356175:1 gene:ORUFI11G06630 transcript:ORUFI11G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSASCGTMKGNDSSLLSVRYGGGGRTDDCAELSSGGWTVQLMANDDDTTMAQRHGCGCCGGWTMVALAGWTMTTQLMGAVIDGQQRCRMDDDGATDADGWSDGCEADGRIEWFTTAHGEIGDEEWWGMDGPGVDGKVDGGVAASQGFEVSFSFRRLMLPTGKKDAFRESFLT >ORUFI11G06630.2 pep chromosome:OR_W1943:11:5349545:5356175:1 gene:ORUFI11G06630 transcript:ORUFI11G06630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSASCGTMKGNDSSLLSVRYGGGGRTDDCAELSSGGWTVQLMANDDDTTMAQRHGCGCCGGWTMVALAGWTMTTQLMGAVIDGQQRCRMDDDGATDADGWSDGCEADGRIEWFTTAHGEIGDEEWWGMDGPGVDGKVDGGVAASQGFEESFLT >ORUFI11G06640.1 pep chromosome:OR_W1943:11:5353660:5354830:-1 gene:ORUFI11G06640 transcript:ORUFI11G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTINKETTRHGAQFDGGGSDWRSNRWKQTLRTGDSNFSVNDAPIKALNSPGIFASVQTHQGCNYTVS >ORUFI11G06650.1 pep chromosome:OR_W1943:11:5357684:5367983:-1 gene:ORUFI11G06650 transcript:ORUFI11G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVGGMLTAAVLKIVAEQIGSIIGGEIKRLCNLNDDLEDMRMTLESVLALLKDAERQSVKSVAVLLWLKRLKFAAYDISDMIDEFEADAMTKAVAPKCATISCCFTAWSNIKMAKNMKKMRVQLEKIAKQNKDFNLMPESSSTVQVLYDDRATSPNVEESVIVGRAQDKQRILFYLSDKILTQDFIILAIYGMGGIGKTTLVQLVFSDTKFREYSLVWVYVSQVFDLNKIESSIISQLPKMDLSMSDSEVAPTNRNILIVLDDLWENNGFKLDKLKLKLKVRTGAKVIVIVTTRDEDIARRFSNVEPYKLEPLTDGMCWNIIKQKSAFEDRGDKERLEQTGREIARKCGGVALAAQSLGYLLHSKRLDEWESVKDNDIWNESTSEDASSPHHVLASLKLSYVKMQPSLKLCFGYCAIFPKGQKIVKDDLIHQWISLNFIKPSKTAGDYHDNFILLTMHDLVHDLARSVMFDEIQNDGLQGDTSGRNCRYALRTEFSKPLETLRALRFMGCSIDNRLHNDSFSSAKYLRLLDLSECSIQRLPDSIGQLKQLRYLNATGVQHETIPDGITKLLKLMYLSLRGSSGIQALPEFMGEMEDLMYLDLSDCSRIIRLPVSFGKLTKLVHLDLSHCTRVRGVSESLESLTNVEYLNLSNCKNIGELPGALGNLRKLEYLNLSSCSYILGGSAMEVKGIPEALGGLTNLQVLNLSHCYNIFENDVYIRRKVEAIGNLKKLQYLNLSDLLNKKCHDKSTYVSFFECINTLSNLEHLDLSHNEYLRSLPDCFGSLKRLHTLDVSGCSFLDKIPPSIHNIDNLKFLHADTRIYLGKSMFCLLNESSVSLPHFVVQANANGSGSNLVLLQDVNPPKLEISSLENVRSINEVQIIKLLEKQRIEELKLEWAKDAVRFVEDIELVGEIIPPTNLMEFEIHGYNCTKPFPRLKKFVMRDMESLQVWNTIYCSGGDGVSEFMFPILPELSIFRCTKLRLTPCPLRAEKWNIWGSDGVISSWEESAADIIASCSSPLVTTLSINCKVSLHEWRLLHHLPDLKGLIINDCNDWTISAEIIRALSSLESLTLERWYNQAQLPNWLGQLVSLKELKINRFEMNESQEDIKHLTSLQKLCLHRCTSMTKLPQWVGDLVSLQKLEILSCPDLKYLPESMGCLTSLKKLNISFCDDIESLPEGIEKLCKLEYISMSGCPKLKRWFQLDDNRKKISHVKEISKS >ORUFI11G06660.1 pep chromosome:OR_W1943:11:5367994:5370626:-1 gene:ORUFI11G06660 transcript:ORUFI11G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHSLRSLHLGYCGSIPQLPERLGGLTALTELSIWNCGGIKFLPESIQQLTNLLILDIAACPELKLWCTADENVMKLAHIKRKVFMPITIETIRPAWQGKTTGALRLRINNLEQTERFSHPIS >ORUFI11G06670.1 pep chromosome:OR_W1943:11:5373291:5378624:-1 gene:ORUFI11G06670 transcript:ORUFI11G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTDITFRLLEEITDGFSEERKIGQGAYGTVYKGEFRNGKEIAVKMLHNDTLGFDDKQFENEFQNLMRLEHPNIVRLVAYCYETQHKYAEYKGRIVFAAIIHRALCFEYLTGGSLENHLSDEFHGLDWPTRFKIIKGTCEGLKYLHEGLKPPIYHLDLKPGNILLDKNMVPKLADFGLSKLFTEEKTRVTQTPIGTVGYLPPEYIEKNVLSNKLDIFSLGVVMLNVIAGPRGRSRSAEMSSQEFTDLVLGNWTVRLQATWNGSSLEAYRQQVKTCTEIALKCVEIDRHKRPNILDIVNKINETETMIGKLPISHGSEVRFVNDHREKQTSYSDEFITLESRLASHLNLSDTQVNQEAYHHNGSSFKEKEEDREVHQIIPMENPDIPIDAHPTEPWILTGNIFGSVDILNCDTQQVKRFKAHSWNITCLDVHPTEPYVLSVGLLDPIKMWDWNKGWECIRMFDMQGVLAHGIKFNPHDSYKFAAASMMNVQVWNFRSSRREFTLFGHGSAVSCFDYFTRGNQQYIITGSLDKTARIWDCKSRTCVQILIGHMDCVTCVCSHPDLPILLTGSNDETVRLWNSITFKLEGVLDFELGKVGAIVCLKGSKRVVIGHQAGLVITEIRNEQPGPSNRRPIEQCGEET >ORUFI11G06680.1 pep chromosome:OR_W1943:11:5379116:5379313:-1 gene:ORUFI11G06680 transcript:ORUFI11G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDFPVRPGGGEAAAEGEGERMAVAHLAARTVRWLVRDSLLPMRRRRYAGRRRSPAARCGGAS >ORUFI11G06690.1 pep chromosome:OR_W1943:11:5379360:5379983:-1 gene:ORUFI11G06690 transcript:ORUFI11G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDPPAISSSGLSQTALLRTPSMEEDEEEDGELLVEDMEMAGEDELLFLNDGAEDMMVNFVSSPGSVRVENEESELATRSAKHTRTSFSSSMALAPADG >ORUFI11G06700.1 pep chromosome:OR_W1943:11:5379814:5380644:1 gene:ORUFI11G06700 transcript:ORUFI11G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPSLRKRSSSSPAISMSSTSSSPSSSSSSSMDGVRSSAVWERPDDEMAGGSSNIFPSLNLATSVDGDGDDRGNPQPPPTTADDRGGGILQPMTAMTVEEESPASSVGGILDTATDAAAPQALAAAPRPRSSSRSRLLQWQPTDDDDGLRLLFRSRPPHLLIHLPFLAAATSTAAAVAAALTTNTICGCVGRSGGGGGGDGAARRGGRGSRGDGAGEGGGGGNHLPWLQPRGPLVAHRRRDAVRAPPWRPTHTPLSLLSWLPDGLDTTAHCLSQ >ORUFI11G06710.1 pep chromosome:OR_W1943:11:5380186:5380742:-1 gene:ORUFI11G06710 transcript:ORUFI11G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRKCPCFATASRPPPFDTVRRGGGVDDYWERQCAVAVGEPREKRQRSVSRSPGRRADSVSPTVSDERASRLQPWKMVPPSSPFTRAITTTSSTTSPRSTVATASSSTSTYAATYGVRRQGSRDRSCCRGRRREEWQVDEEVRRPAPEEEAKPVVVISRLPLEQAAATAAAGTGGGG >ORUFI11G06720.1 pep chromosome:OR_W1943:11:5389575:5391921:-1 gene:ORUFI11G06720 transcript:ORUFI11G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDASSNGETKSSEVPITATYIPRDVEYLCEAMALEWVADKEDEETTADLLDAPPVFLIDYPGWGWERLFPYHSSSVEWPQFKKYLEEYSSHNAGQVATLCSQLRSVQGQGIPPAGCGVLHDAANLCIKIENKLLRSCHSALTVEEIILSSKIKECASHMIQSEGESSAAAAGLVGIAKEARKLSYLLSEDDPDMCLKYDMCEYIRAYAAEVLTKLEKEFSCNTAGHAAENGITASDKSEKPIGNERDLKDSNVKWNSNMKKLKKARKKRLKRAEKRRLKREKKRLKREEKRKSEDQTEG >ORUFI11G06730.1 pep chromosome:OR_W1943:11:5395394:5395617:-1 gene:ORUFI11G06730 transcript:ORUFI11G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYALACQVKNQALAGTNKCALRAPTNSDTVDGCQAEHAITRRMPLPRPLENSGAPIPGQLSCPPPSYH >ORUFI11G06740.1 pep chromosome:OR_W1943:11:5398027:5418157:-1 gene:ORUFI11G06740 transcript:ORUFI11G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANHTNIIRLVGYCYEIKYTTGLAKGRLALIATIDRALCFEYMPRGSLENYLSDEDDGLDWPIRYKIIKGTCEGLKHLHVEMNPPIYHLDLKPANILLDNNMVPKIADFGLSRFITEEKTMITKNLVGTLPYMPREYIERKEISNKLDIFSLGAVMLDIIAGPTGRFRSTKMPSLEFTNQVQGKWKNRLQTRRNGPLLEAYCQQVKTCTEIALKCLEIDRENRPNILEIINQINEKEDIIGEKTKITITNHVPKRKRRTRRKIRSSQWNILIFQEMCIHQSHVIAAKFIARKQWFVVGHQDGFIRVYTYESPVKQVKRFKAHVWNITTLDVHPTEPYLLSIGSQDQIKLWDWNKGWECIKTFDLHGLAYQIKFNPKDTHKFAVASLKDAQVWNIRSSRHEFTLSGHGYIVSCLDYFTRGNQLYMITGSWDKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPILLTGSNDETVRLWNSITFKLEGVLDFELGQVTAIICLKGSKRVVIGHDAGLVITEIRHEKPAYQLLEEITDGFSEERKLGEGGYGTVYKGKHKNGDKIAVKILHDTQDFDDKKLQNEFGNLMMVNHPNIVQLVGYCYEIKHTHGQVKGRPVLVATIHRALCFEYMPRGSLENYLSDKVDGLDWPIRYKIIKGTCEGLKHLHVEMKPPIYHLDLKPANILLDNNMVPKIADFGLSRFITKDKTMTTKTLVGTIPYLPREYIERKEISNKLDIFSLGVVMLEIIAGPGPTGHFRSTEIPSQEFTDQVLGNWKTRLETGRNGSLLEAYCQQVKTCTEIALKCMETDRENRPNIVEIINQINEKEAIIGELPIDHALEKLPSHNNESVTLESKLASHLNLNETKENHEADHHNNSCSKEREEDREEDQIIPMEHPDVPIDLHPSEPWILTSNMFGSVDILNYHTHFIARKQWFVVGHHDGFIRVYTYESPVKQVKRFKAHAWSWTITTLDVHPTEPYLLSVGSQDQIKLWDWNKDWECIRTFDPHGVAYQIKFNPKDTHKFAVASLMDAQVWNIRSSRHEFTLSGHVSIVDCFDFFTRGNQLYMITGSWDKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPILLTGSNDETVRLWNATTFKLEGVLDFELGKVTAIVCLKGSKR >ORUFI11G06740.2 pep chromosome:OR_W1943:11:5398027:5418157:-1 gene:ORUFI11G06740 transcript:ORUFI11G06740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMANHTNIIRLVGYCYEIKYTTGLAKGRLALIATIDRALCFEYMPRGSLENYLSDEDDGLDWPIRYKIIKGTCEGLKHLHVEMNPPIYHLDLKPANILLDNNMVPKIADFGLSRFITEEKTMITKNLVGTLPYMPREYIERKEISNKLDIFSLGAVMLDIIAGPTGRFRSTKMPSLEFTNQVQGKWKNRLQTRRNGPLLEAYCQQVKTCTEIALKCLEIDRENRPNILEIINQINEKEDIIGEKTKITITNHVPKRKRRTRRKIRSSQWNILIFQEMCIHQSHVIAAKFIARKQWFVVGHQDGFIRVYTYESPVKQVKRFKAHVWNITTLDVHPTEPYLLSIGSQDQIKLWDWNKGWECIKTFDLHGLAYQIKFNPKDTHKFAVASLKDAQVWNIRSSRHEFTLSGHGYIVSCLDYFTRGNQLYMITGSWDKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPILLTGSNDETVRLWNSITFKLEGVLDFELGQVTAIICLKGSKRQAIRRYSWLVVYGAGVNCTYQLLEEITDGFSEERKLGEGGYGTVYKGKHKNGDKIAVKILHDTQDFDDKKLQNEFGNLMMVNHPNIVQLVGYCYEIKHTHGQVKGRPVLVATIHRALCFEYMPRGSLENYLSDKVDGLDWPIRYKIIKGTCEGLKHLHVEMKPPIYHLDLKPANILLDNNMVPKIADFGLSRFITKDKTMTTKTLVGTIPYLPREYIERKEISNKLDIFSLGVVMLEIIAGPGPTGHFRSTEIPSQEFTDQVLGNWKTRLETGRNGSLLEAYCQQVKTCTEIALKCMETDRENRPNIVEIINQINEKEAIIGELPIDHALEKLPSHNNESVTLESKLASHLNLNETKENHEADHHNNSCSKEREEDREEDQIIPMEHPDVPIDLHPSEPWILTSNMFGSVDILNYHTHFIARKQWFVVGHHDGFIRVYTYESPVKQVKRFKAHAWSWTITTLDVHPTEPYLLSVGSQDQIKLWDWNKDWECIRTFDPHGVAYQIKFNPKDTHKFAVASLMDAQVWNIRSSRHEFTLSGHVSIVDCFDFFTRGNQLYMITGSWDKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPILLTGSNDETVRLWNATTFKLEGVLDFELGKVTAIVCLKGSKR >ORUFI11G06740.3 pep chromosome:OR_W1943:11:5398027:5418157:-1 gene:ORUFI11G06740 transcript:ORUFI11G06740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMANHTNIIRLVGYCYEIKYTTGLAKGRLALIATIDRALCFEYMPRGSLENYLSDEDDGLDWPIRYKIIKGTCEGLKHLHVEMNPPIYHLDLKPANILLDNNMVPKIADFGLSRFITEEKTMITKNLVGTLPYMPREYIERKEISNKLDIFSLGAVMLDIIAGPTGRFRSTKMPSLEFTNQVIRSSEPTSSQWNILIFQEMCIHQSHVIAAKFIARKQWFVVGHQDGFIRVYTYESPVKQVKRFKAHVWNITTLDVHPTEPYLLSIGSQDQIKLWDWNKGWECIKTFDLHGLAYQIKFNPKDTHKFAVASLKDAQVWNIRSSRHEFTLSGHGYIVSCLDYFTRGNQLYMITGSWDKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPILLTGSNDETVRLWNSITFKLEGVLDFELGQVTAIICLKGSKRVVIGHDAGLVITEIRHEKPAYQLLEEITDGFSEERKLGEGGYGTVYKGKHKNGDKIAVKILHDTQDFDDKKLQNEFGNLMMVNHPNIVQLVGYCYEIKHTHGQVKGRPVLVATIHRALCFEYMPRGSLENYLSDKVDGLDWPIRYKIIKGTCEGLKHLHVEMKPPIYHLDLKPANILLDNNMVPKIADFGLSRFITKDKTMTTKTLVGTIPYLPREYIERKEISNKLDIFSLGVVMLEIIAGPGPTGHFRSTEIPSQEFTDQVLGNWKTRLETGRNGSLLEAYCQQVKTCTEIALKCMETDRENRPNIVEIINQINEKEAIIGELPIDHALEKLPSHNNESVTLESKLASHLNLNETKENHEADHHNNSCSKEREEDREEDQIIPMEHPDVPIDLHPSEPWILTSNMFGSVDILNYHTHFIARKQWFVVGHHDGFIRVYTYESPVKQVKRFKAHAWSWTITTLDVHPTEPYLLSVGSQDQIKLWDWNKDWECIRTFDPHGVAYQIKFNPKDTHKFAVASLMDAQVWNIRSSRHEFTLSGHVSIVDCFDFFTRGNQLYMITGSWDKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPILLTGSNDETVRLWNATTFKLEGVLDFELGKVTAIVCLKGSKR >ORUFI11G06740.4 pep chromosome:OR_W1943:11:5403603:5418157:-1 gene:ORUFI11G06740 transcript:ORUFI11G06740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMANHTNIIRLVGYCYEIKYTTGLAKGRLALIATIDRALCFEYMPRGSLENYLSDEDDGLDWPIRYKIIKGTCEGLKHLHVEMNPPIYHLDLKPANILLDNNMVPKIADFGLSRFITEEKTMITKNLVGTLPYMPREYIERKEISNKLDIFSLGAVMLDIIAGPTGRFRSTKMPSLEFTNQVQGKWKNRLQTRRNGPLLEAYCQQVKTCTEIALKCLEIDRENRPNILEIINQINEKEDIIGEKTKITITNHVPKRKRRTRRKIRSSQWNILIFQEMCIHQSHVIAAKFIARKQWFVVGHQDGFIRVYTYESPVKQVKRFKAHVWNITTLDVHPTEPYLLSIGSQDQIKLWDWNKGWECIKTFDLHGLAYQIKFNPKDTHKFAVASLKDAQVWNIRSSRHEFTLSGHGYIVSCLDYFTRGNQLYMITGSWDKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPILLTGSNDETVRLWNSITFKLEGVLDFELGQVTAIICLKGSKRVVIGHDAGLVITEIRHEKPAYQLLEEITDGFSEERKLGEGGYGTVYKIKLMVLIGLYGTKLLKGHVRV >ORUFI11G06740.5 pep chromosome:OR_W1943:11:5397541:5418157:-1 gene:ORUFI11G06740 transcript:ORUFI11G06740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMANHTNIIRLVGYCYEIKYTTGLAKGRLALIATIDRALCFEYMPRGSLENYLSDEDDGLDWPIRYKIIKGTCEGLKHLHVEMNPPIYHLDLKPANILLDNNMVPKIADFGLSRFITEEKTMITKNLVGTLPYMPREYIERKEISNKLDIFSLGAVMLDIIAGPTGRFRSTKMPSLEFTNQVQGKWKNRLQTRRNGPLLEAYCQQVKTCTEIALKCLEIDRENRPNILEIINQINEKEDIIGEKTKITITNHVPKRKRRTRRKIRSSQWNILIFQEMCIHQSHVIAAKFIARKQWFVVGHQDGFIRVYTYESPVKQVKRFKAHVWNITTLDVHPTEPYLLSIGSQDQIKLWDWNKGWDLMDAQVWNIRSSRHEFTLSGHVSIVDCFDFFTRGNQLYMITGSWDKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPILLTGSNDETVRLWNATTFKLEGVLDFELGKVTAIVCLKGSKRVAIGHDAGLVITEIRHGKPAPSNR >ORUFI11G06740.6 pep chromosome:OR_W1943:11:5398027:5403587:-1 gene:ORUFI11G06740 transcript:ORUFI11G06740.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPIYHLDLKPANILLDNNMVPKIADFGLSRFITKDKTMTTKTLVGTIPYLPREYIERKEISNKLDIFSLGVVMLEIIAGPGPTGHFRSTEIPSQEFTDQVLGNWKTRLETGRNGSLLEAYCQQVKTCTEIALKCMETDRENRPNIVEIINQINEKEAIIGELPIDHALEKLPSHNNESVTLESKLASHLNLNETKENHEADHHNNSCSKEREEDREEDQIIPMEHPDVPIDLHPSEPWILTSNMFGSVDILNYHTHFIARKQWFVVGHHDGFIRVYTYESPVKQVKRFKAHAWSWTITTLDVHPTEPYLLSVGSQDQIKLWDWNKDWECIRTFDPHGVAYQIKFNPKDTHKFAVASLMDAQVWNIRSSRHEFTLSGHVSIVDCFDFFTRGNQLYMITGSWDKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPILLTGSNDETVRLWNATTFKLEGVLDFELGKVTAIVCLKGSKR >ORUFI11G06750.1 pep chromosome:OR_W1943:11:5426997:5429339:1 gene:ORUFI11G06750 transcript:ORUFI11G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNVIRLELSEASLGGQVLQGRMSPSLASLEHLEYLDLSALVLPGINSSSPKFLGSMTNLRYLDLSGCLFSGNVPPLLGNLSKLEYLDLSFSTLSGRVPPELGNLTRLKHLDLGNMQHMYSAAWYVAPGWPQVLNTIPSLEVLNLAKCTLPSTPQALAQLNLTKLVQLDLSSNRLGHPIQSCWFWNLMSIESLELSETFLHGPFPTALGSFTALQWLGFSDNGNAATMLVDMRDLYALESLCLGGSLSHGNIEDLVDRLPHGITRDKPAQEGNFTSLSYLDLSDIHLAGIIPSDIAYTIPSLCHLDLSGNNLTGPIPIIENSSLSELILRSNQLTGQIPKLDRKIEVMDISINLLSGPLPIDIGSPNLLALILSSNYLIGRILESVCESQSMIIVDLSNNFLEGAFPKCFQMQRLIFLLLSHNSFSAKLPSFLRNSNLLSYVDLSWNKFSGTLPQWIGHMHQEQQYGDSILDVVGIDLSLNSLTGGIPDEITSLKRLLSLNLSWNQLSGEIVEKIGAMNSLESLDLSRNKFSGEIPPSLANLTYLSYLDLSYNNLTGRIPQGSQLDTLYAENPHIYDGNNGLYGPPLQRNCLGSELPKNSSQIMSKNVSDELMFYFGLGSGFTVGLWVVFCVVLFKKTWRIALFRLFDRIHDKVYVFVAITWASIGREATTD >ORUFI11G06760.1 pep chromosome:OR_W1943:11:5430499:5431692:-1 gene:ORUFI11G06760 transcript:ORUFI11G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSIGQASHLTDDPPDEKTDATKVKAWKIADDRVMGFIFMSVDVAIRIGFKAHTSAKEMWNYLKQRYTQESGALRFSLLQNLNNLQQQQNQSIEEFYNVFTRLTGQFEQLIVLEFLWGVSLDSVTIICSYCKKVGHTFHDCFHLHPKKLVDYQARRAITSRPHVAASVPGFSDSIAARSSMSVVGTISGAALCAPQLAIVGPSYLSSDWSWPSPYCFRSAFHL >ORUFI11G06770.1 pep chromosome:OR_W1943:11:5432859:5434271:1 gene:ORUFI11G06770 transcript:ORUFI11G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVAGRLSGLLRRCAAAGAVRPGEQAHARAVVGGWLPDATLETDLVLMYCRCGERRRARRVFDGMRAPSMHAYNVLLAASPPRDAMEVFSRLLASGLRPDGYSVPAVVRACAELPDAVLGGVIHGFAVRLGLMGNVVVAAALLDMYAKAGFLDDAVRVFDEMTERDAVVWNCMVAGYARAGRAVETFEIFSRAQVEAVNMVNGLQAVPSVLNICAKEGELMKGREIHGRMVRCLAFDSDIAVGNALINMYAKCGRVNVSQAVFSGMQQRDVVSWSTMIHSYSIHGKGEQALKVYMEMLSEGVKPNWITFTSVLSSCSHSGLVTEGRKIFESMTKVHGVHPAAEHYACMVDLLGRAGAIEEAVGLIRKMPMEPCASVWGALLSACAMHNNVDVGEIAAFRLFELEEGSASNYVTLCGIYDAVGQSDGVAGLRSRMRELGMVKTPGCSRIDVKGRAHAFYQGSIPRYLRR >ORUFI11G06780.1 pep chromosome:OR_W1943:11:5436559:5440079:-1 gene:ORUFI11G06780 transcript:ORUFI11G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVVVGCVLLGGVWGFPEEDLVARLPGQPVVGFRQFAGYVDVDEKAGRSLFYYFAEAAEGAAAKPLTLWLNGDGIGVVIVNGDYDGRRCFLNWKHKKNYICSTPSKKKEIKNNFNLHVDILFTSTPTTLQLAKEEQGPGCSSVGGGAFTELGPFYPRGDGRGLRLNKKSWNKVSNLLFVESPAGVGWSYSNTSSDYNTGDAQTANDMYKFLLGWYKKFPEYRSRGLLLSGESYAGHYIPQLTDVLLTHNEKSNGFKFNIKGVAIGNPLLKLDRDVPATYEYFWSHGMISDEIFLSISHSCDFEDYTFSNPHNESKSCNDAIAEANSIVGDYVNNYDVILDVCYPSIVMQELRLRKYVTKMSVGVDVCMTYERYFYFNLPEVQQALHANRTHLPYGWSMCSDVLNYSNKDGNINILPLLQRIVEHKIPVWVFSGDQDSVVPLLGSRTLVRELAHDMGLHVTVPYSSWFRRGQVGGWVTEYGNILTFATVRGASHMVPFAQPDRALGLFQSFALGRRLPNTTHPPIN >ORUFI11G06790.1 pep chromosome:OR_W1943:11:5445651:5455247:-1 gene:ORUFI11G06790 transcript:ORUFI11G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEVGGMLASAVLKVATQKLGAAIAGRVMLQWNFDKDLEGMKATLESVDAVLRDAERRSIRDAAVRLWLRRLKDAAYDISDMLDDLENITSKSDAGKLGCVMIPNLTIAHKITLANKMKTISDELKEITNQHLSFRFTEDSSYKEHRVTDKRETSSKVEEALVVGRTAEKRIIISSLSKRMTEETVILPIYGIGGIGKTTLAKLVFNASKFRDYSKVWIYVSQTFDLNKISNSIISQVSESESQLTEREMINRRLDELLSGRKILIVLDDLWERDQFQLDDLKTMLKVGRGSRVIAIVTTRDKDIAEKICTTEPYKLEPLTDDMCWKIIKEKSVFEARDDKEQLENIGREIASKCGGVALAAKSLGYTLQPMKFDEWVSVRNNDIWKASTFECTSLPYHNVLASLRLSCSNMPPNLRQLGEAYVRQLLGLCFLQQTKAPSTTRVHNEDSTFLTMHDLVHDFARSILFDAVLDSGKKINIGVSSCRYGMLRDCSKPLELVTPSPAKIRALHFLGCGKIELHGVAFSSASCLRVLDLSGCSILRLPASIGQLKQLRSRAISALPESIGEIEGLMHLDLSGCSRLKELPKSFGKLRRLVHLNLSNCSRVKDVSEYICGLTNLEYLNLSVCRKIGFLPRTLGSLTELKYLNLSGCFGIKELPKSFQQLKNLVHLDLSCCNCVKDLSEALDGLAKLQYLNLSYCHHYGNQFRLRGLPEVIGNLTSLRHLHLSGFLDNIFGNQSGVMDKLLEIGYLNLSTFQGNIFQQLPPGQSHSFIECISALSNLEHLNLSNNVSLYSVPESLGNLRRLHTLDLTGCIGLLWLPESISKIQSLKYVLMKDLLRLKSTLSCFNNGPILLPNFVVQAVDKKSSNLGQLLDANPAELDISSLENVKSTKEAEGIKLSGKRNIVKLKFDWTIGTKRYVEDMEVLRELVPPSTVKYFELRGYHSTSFPTWLMGIAHCLPNLVKIKIVDLSKCSILPLGQLPNLKQLVLGRMKSITKIDADFCGGARAFPQLKTFDIYSMERLQEWNTTYSCGEDGVTEFMFPNLQWLSISDCPNLVVKPLPPRVTWWEIEGGESVISSWGGSVHTGTSSSSCSVTNLVVKFCTLPLSQWSLLHHLPALRHLTIHWCADLTSSPEIIQDLHSLKSLSLDGNEQAELPDWLGDLPSLQELKITMYPALTELQEKIRQLMSLQSLTLSSCQMLTSLGEWFGSLTSLQELHISHCQRLNSFPEGMQYLTSLLSLHLSYCESISALPEWLGNLTSLKTLQIWECRGIKSLPESIEQLTMLEHLEISGCPELKQ >ORUFI11G06790.2 pep chromosome:OR_W1943:11:5445651:5455247:-1 gene:ORUFI11G06790 transcript:ORUFI11G06790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEVGGMLASAVLKVATQKLGAAIAGRVMLQWNFDKDLEGMKATLESVDAVLRDAERRSIRDAAVRLWLRRLKDAAYDISDMLDDLENITSKSDAGKLGCVMIPNLTIAHKITLANKMKTISDELKEITNQHLSFRFTEDSSYKEHRVTDKRETSSKVEEALVVGRTAEKRIIISSLSKRMTEETVILPIYGIGGIGKTTLAKLVFNASKFRDYSKVWIYVSQTFDLNKISNSIISQVSESESQLTEREMINRRLDELLSGRKILIVLDDLWERDQFQLDDLKTMLKVGRGSRVIAIVTTRDKDIAEKICTTEPYKLEPLTDDMCWKIIKEKSVFEARDDKEQLENIGREIASKCGGVALAAKSLGYTLQPMKFDEWVSVRNNDIWKASTFECTSLPYHNVLASLRLSCSNMPPNLRLCFAYCAIFPKGHIIVKDQIIHQWNALGFIEQSDIFSTRQLGEAYVRQLLGLCFLQQTKAPSTHRSDREKSKASKEVNNDRSRCRRPPKTGLGFHPKWREFTRQHLQGGNDTHRRRCHRSWKTRQGFRLRLPQEDIAPHSAQLQVYTATWHVKHQRGPSALTADRLDHQHGRTKNSTTKRLHGRPGSRQPREGRRPGRPEGELRAVGRGSKDIGVIHVSSEDLRASRDNPNNCGNHLQLHHTTSVERGALGRTAEQLKGRKYGGRGDDGHRSPLLLMPRRQREEERVGKEREKMVVAGDWSGRSLRRDPPAGLKSRRPTETAGADEGRPTRRPGRRPAAATTRVHNEDSTFLTMHDLVHDFARSILFDAVLDSGKKINIGVSSCRYGMLRDCSKPLELVTPSPAKIRALHFLGCGKIELHGVAFSSASCLRVLDLSGCSILRLPASIGQLKQLRYLNAPGMKNRMIPKCITKLSKLNFLSLCRSRAISALPESIGEIEGLMHLDLSGCSRLKELPKSFGKLRRLVHLNLSNCSRVKDVSEYICGLTNLEYLNLSVCRKIGFLPRTLGSLTELKYLNLSGCFGIKELPKSFQQLKNLVHLDLSCCNCVKDLSEALDGLAKLQYLNLSYCHHYGNQFRLRGLPEVIGNLTSLRHLHLSGFLDNIFGNQSGVMDKLLEIGYLNLSTFQGNIFQQLPPGQSHSFIECISALSNLEHLNLSNNVSLYSVPESLGNLRRLHTLDLTGCIGLLWLPESISKIQSLKYVLMKDLLRLKSTLSCFNNGPILLPNFVVQAVDKKSSNLGQLLDANPAELDISSLENVKSTKEAEGIKLSGKRNIVKLKFDWTIGTKRYVEDMEVLRELVPPSTVKYFELRGYHSTSFPTWLMGIAHCLPNLVKIKIVDLSKCSILPLGQLPNLKQLVLGRMKSITKIDADFCGGARAFPQLKTFDIYSMERLQEWNTTYSCGEDGVTEFMFPNLQWLSISDCPNLVVKPLPPRVTWWEIEGGESVISSWGGSVHTGTSSSSCSVTNLVVKFCTLPLSQWSLLHHLPALRHLTIHWCADLTSSPEIIQDLHSLKSLSLDGNEQAELPDWLGDLPSLQELKITMYPALTELQEKIRQLMSLQSLTLSSCQMLTSLGEWFGSLTSLQELHISHCQRLNSFPEGMQYLTSLLSLHLSYCESISALPEWLGNLTSLKTLQIWECRGIKSLPESIEQLTMLEHLEISGCPELKQ >ORUFI11G06790.3 pep chromosome:OR_W1943:11:5445651:5455247:-1 gene:ORUFI11G06790 transcript:ORUFI11G06790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEVGGMLASAVLKVATQKLGAAIAGRVMLQWNFDKDLEGMKATLESVDAVLRDAERRSIRDAAVRLWLRRLKDAAYDISDMLDDLENITSKSDAGKLGCVMIPNLTIAHKITLANKMKTISDELKEITNQHLSFRFTEDSSYKEHRVTDKRETSSKVEEALVVGRTAEKRIIISSLSKRMTEETVILPIYGIGGIGKTTLAKLVFNASKFRDYSKVWIYVSQTFDLNKISNSIISQVSESESQLTEREMINRRLDELLSGRKILIVLDDLWERDQFQLDDLKTMLKVGRGSRVIAIVTTRDKDIAEKICTTEPYKLEPLTDDMCWKIIKEKSVFEARDDKEQLENIGREIASKCGGVALAAKSLGYTLQPMKFDEWVSVRNNDIWKASTFECTSLPYHNVLASLRLSCSNMPPNLRLCFAYCAIFPKGHIIVKDQIIHQWNALGFIEQSDIFSTRQLGEAYVRQLLGLCFLQQTKAPSTTRVHNEDSTFLTMHDLVHDFARSILFDAVLDSGKKINIGVSSCRYGMLRDCSKPLELVTPSPAKIRALHFLGCGKIELHGVAFSSASCLRVLDLSGCSILRLPASIGQLKQLRYLNAPGMKNRMIPKCITKLSKLNFLSLCRSRAISALPESIGEIEGLMHLDLSGCSRLKELPKSFGKLRRLVHLNLSNCSRVKDVSEYICGLTNLEYLNLSVCRKIGFLPRTLGSLTELKYLNLSGCFGIKELPKSFQQLKNLVHLDLSCCNCVKDLSEALDGLAKLQYLNLSYCHHYGNQFRLRGLPEVIGNLTSLRHLHLSGFLDNIFGNQSGVMDKLLEIGYLNLSTFQGNIFQQLPPGQSHSFIECISALSNLEHLNLSNNVSLYSVPESLGNLRRLHTLDLTGCIGLLWLPESISKIQSLKYVLMKDLLRLKSTLSCFNNGPILLPNFVVQAVDKKSSNLGQLLDANPAELDISSLENVKSTKEAEGIKLSGKRNIVKLKFDWTIGTKRYVEDMEVLRELVPPSTVKYFELRGYHSTSFPTWLMGIAHCLPNLVKIKIVDLSKCSILPLGQLPNLKQLVLGRMKSITKIDADFCGGARAFPQLKTFDIYSMERLQEWNTTYSCGEDGVTEFMFPNLQWLSISDCPNLVVKPLPPRVTWWEIEGGESVISSWGGSVHTGTSSSSCSVTNLVVKFCTLPLSQWSLLHHLPALRHLTIHWCADLTSSPEIIQDLHSLKSLSLDGNEQAELPDWLGDLPSLQELKITMYPALTELQEKIRQLMSLQSLTLSSCQMLTSLGEWFGSLTSLQELHISHCQRLNSFPEGMQYLTSLLSLHLSYCESISALPEWLGNLTSLKTLQIWECRGIKSLPESIEQLTMLEHLEISGCPELKQ >ORUFI11G06800.1 pep chromosome:OR_W1943:11:5458157:5462077:-1 gene:ORUFI11G06800 transcript:ORUFI11G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRARSSGCDQVAVVDLVDSDDECKNKRPRRTIKPAARNVLKSALPSGLDALNPNRRTLRTASSNRDKKNKDKLDTEIFELYLEDLWKDIDEEKRSAYAYFDSLWFHMYTSGHNKSNVLKWIKAKKVFSRQYVFVPIVIWGHWNLLVLCNFGETDYLGTDKGPRMLLLDSLKTTNPTRLRSNIKRFIADIFKTEEREENEQFINKICLEFPEVPQQNGDECGIYVLYFIYCFLQNKALGEDFSQLFDDPEEWENFRKGTEKMKLQNRIQQQITCSSSWWHNLKKFEDCQEFVCHTVHAVKRKMAISQIQSSISVNLALSRSFVDPGY >ORUFI11G06810.1 pep chromosome:OR_W1943:11:5472668:5473000:1 gene:ORUFI11G06810 transcript:ORUFI11G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCSLLLSSSLILAVAVFLRHHIGASTTTTTTTHLHFYMHDTYTGPAPTTMRVVSGRSLLDDGDGNNNDATSPPSSSSPPRRQFGDIVVLNSALTEGPSANSARMGTA >ORUFI11G06820.1 pep chromosome:OR_W1943:11:5488130:5488687:1 gene:ORUFI11G06820 transcript:ORUFI11G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSVLVGCFLLAAAVFLHRNGASTTTTTTHLHFYMHDAYTGPAPTAMRVVSGRSLLQSTTDIVDGSSPPRQFGDIVVLNNALTEGPDAGSARVGTAQGFGVRVSEGGLVTDLSMHLVMEAGEHRGSSVAIKGRIDVGVGVRESVVVGGTGRFRLARGYMASSSYDYSLAAGGVVEIHVYLQH >ORUFI11G06830.1 pep chromosome:OR_W1943:11:5493316:5493618:1 gene:ORUFI11G06830 transcript:ORUFI11G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGGRPLALGGEADGGARSCAAAANRAERLFGVVQGSSRRVVARGVAEREANGGAQPSAAAAGTEHGGAVIAPRGAPPGKQRGGARSSGRATASWFGVL >ORUFI11G06840.1 pep chromosome:OR_W1943:11:5503823:5513959:1 gene:ORUFI11G06840 transcript:ORUFI11G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSLLLGCFLLAAAIFLHRNGASTTTTHLHFYMHDAYTGPAPTAMRVVSGRSLLDDGGDAVPRQFGDIG >ORUFI11G06850.1 pep chromosome:OR_W1943:11:5524929:5525474:1 gene:ORUFI11G06850 transcript:ORUFI11G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLQLALAVAVAVAMVGTATTTTTHTRFYMHDTVTASASGGGPAATAVRVVRGVAAAALPGDAVNRFGDLYAIDDPLTDGADAASSAAVGRARGFYMFSSRTDSALLFSATMEFTAGGDRGGGGSVLARDAILDEVRELPVVGGAGVLRGAAGYGLLRTHSFNATTNNAVLQIDLYLSV >ORUFI11G06860.1 pep chromosome:OR_W1943:11:5526945:5527523:1 gene:ORUFI11G06860 transcript:ORUFI11G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCFLLLLLLATGIATGEQPPRRTAVADHPAIVGTTTAAPCDPLCISGAAAGGATPEAMAAASAAVAMAGNESESALLPTPRQLDRPDSSGLPTTHQSWIYYGPLPTTPYPYSKAPPASELVRATTAAAAVVFSTVLLVAAAAR >ORUFI11G06870.1 pep chromosome:OR_W1943:11:5530249:5530766:-1 gene:ORUFI11G06870 transcript:ORUFI11G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRFLLLVLVLLAPLLPGTTGDQQHAHLPAAAAGGNTTTAPSRDPLRIVVRASGSGTGGGASPSATAAAAAGDDASTPPPPQLSRPNRDLPTVPSPLDHEPVPTPPSPDFFPDSALRTIPANAIAMSAILLLLLIAATH >ORUFI11G06880.1 pep chromosome:OR_W1943:11:5541092:5544119:-1 gene:ORUFI11G06880 transcript:ORUFI11G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIIFLLFLIACVVDRSVNVHCEKQLVSSFDKHDNASSSLAELFSGKRIPLFRYITNKTSRLSTKAVQVGWDRGLQTSLYVISVGLGTPAKTQIVEIDTGSSTSWVFCECDGCHTNPRTFLQSRSTTCAKVSCGTSMCLLGGSDPHCQDSENYPDCPFRVSYQDGSASYGILYQDTLTFSDVQKIPGFSFGCNMDSFGANEFGNVDGLLGMGAGPMSVLKQSSPTFDCFSYCLPLQKSERGFFSKTTGYFSLGKVATRTDVRYTKMVARKKNTELFFVDLTAISVDGERLGLSPSVFSRKGVVFDSGSELSYIPDRALSVLSQRIRELLLKRGAAEEERERNCYDMRSVDEGDMPAISLHFDDGARFDLGSHGVFVERSVQEQDVWCLAFAPTESVSIIGSLMQTSKEVVYDLKRQLIGIGPSGAC >ORUFI11G06890.1 pep chromosome:OR_W1943:11:5550571:5554465:-1 gene:ORUFI11G06890 transcript:ORUFI11G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAISWISGALFIWMFLIEVAEPTKRAIKSIKADDGDVIDCINIYQQPAFNNPRLKNHTISTIKTRPGKLPFSKRAKTARQAWQNNGRCPDGTIAIRRATQQSQLEVDATQPNGCYIEYAGIQAPQTVYGARGDVNVWGIRVEPNEWSTNGIVITNGHGASLQFGWMVAPTLYGESHGKTRLFIRTVDPQNGVDCFNLNCAGFVQISNEYAFGAALAPLSEYGDVQYETHLTIYKDMLSNRWCAMYGDTMLGYWPLEAFPAFDKGEEAFWGGQVCNMHEGQEYTTTGMGSGYHPIEGMGKSAYIHGIQVMQIDKSWQRPTRTFGNMSNQPCYGVEPYESKDGALSIFFGGTANMACCGLACQSPGK >ORUFI11G06900.1 pep chromosome:OR_W1943:11:5559092:5559654:1 gene:ORUFI11G06900 transcript:ORUFI11G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRFLLLAGLLLATAVTAAGEQQPRRTAMADHQQPAATAAPCDPLCISGAAAGATPEAMAAAAMAGGNESESALPPRQLDRPDSSGLPTTHQSWIYHEPVAMPYSTAPPAAISLVGATAAATAVFSTMLLAAAAAR >ORUFI11G06910.1 pep chromosome:OR_W1943:11:5565315:5572456:1 gene:ORUFI11G06910 transcript:ORUFI11G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGADMLLDEPVRLTSVLTPVKPKVFPSLTKIVGTLGPNSHSVEVIQECLTAGMAVARFDFSWMDASYHQETLNNLRKAAQNVNKLCPVMLDTLGPEIQVHNSTGGPIELKAGNHVTITPDLSKAPSSEVLPIKFGGLAKAVKKGDTLFIGQYLFTGSETTSSWLEVVETSGENVECLVTNTATLAGPMFTLHVSKAHVSLPTLSDYDKEVISTWGLHNSVDIISLSHTRSAEDVRELRSFLQSHGLQDTQIYAKVENTEGLDHFDEILQEADGVIISRGDLGIDLPPEDVFISQKTAIKKCNLAGKPAIITRVVDSMIDNLRPTRAEATDVANAVLDGTDGILLGAETHRGPYPVDAVSTVGRICAEAESVYNQLVHFKKLVKHVGDPMPHEESVASSAVRTAMKVKAAAIVVFTFSGRAARLVAKYKPPMPVLAVVFPREGSDPTKWRSYGTTQARQCFAARGVYPLMASTEEAETGGLTREEYGIKLAQNYGRSVGMLKPYDRLIIFQKIGDSSVVKIIECDSS >ORUFI11G06920.1 pep chromosome:OR_W1943:11:5571658:5574788:-1 gene:ORUFI11G06920 transcript:ORUFI11G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTASAPFTPLLHRRRASVHGRRGSGRAFVVAVAAGGAPETEPSPAAAAAAAGASAQGKKKTVDTRIHWSDPDEGWVGGNAKKDGGGGRKKEPLGGRFADLINNPSESHYQFLGVEPKADIEEIKAAYRRLSKEYHPDTTSLPLREASERFIRLREAYNVLSREESRRFYDWTLAQEAESRRLQQLRSRLEDPYQQDLDSYQSVPDMVDRLGGRNMDLSDQAMTALTIDIGIIIFCVFCLIYAVFFKEQY >ORUFI11G06930.1 pep chromosome:OR_W1943:11:5576708:5584255:-1 gene:ORUFI11G06930 transcript:ORUFI11G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDRGGGGASFWIPHFPSRPRISGKDTVYRPLSMELCTSSVSGSVQWSRISAFDYRTRSHGYASSSSWVSQRRRKTSFYVMNAASTGALPPTKKLIPRTNVRNISGDKPSSALEQLDIERGVCIPFRKYTPEMVRNRVLGSRGSVLSLASRGVEIIWKLGLYWSALMYDFLVGRDEEIVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNQVAFSIIEEELGQPLERLFSRISSRTIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEATNIEDFLENFKDDPTVKIPQVYKQYSGSRVLVMEWIDGIRCTDPQAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIVPALEAIWQNSAGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLQPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGLFQWKRLENLIVLAKENVSKMSSNPALKKNSSQIERNRQMEKKLDLTDTIKDGARLFLIDAGIRRQLIMAFTEDSKLHVEELVDVYRLVEDQIDIPSVALEVIQDLPSVARDFMLSWSDSILSDRQY >ORUFI11G06930.2 pep chromosome:OR_W1943:11:5576708:5580952:-1 gene:ORUFI11G06930 transcript:ORUFI11G06930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELCTSSVSGSVQWSRISAFDYRTRSHGYASSSSWVSQRRRKTSFYVMNAASTGALPPTKKLIPRTNVRNISGDKPSSALEQLDIERGVCIPFRKYTPEMVRNRVLGSRGSVLSLASRGVEIIWKLGLYWSALMYDFLVGRDEEIVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNQVAFSIIEEELGQPLERLFSRISSRTIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEATNIEDFLENFKDDPTVKIPQVYKQYSGSRVLVMEWIDGIRCTDPQAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIVPALEAIWQNSAGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLQPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGLFQWKRLENLIVLAKENVSKMSSNPALKKNSSQIERNRQMEKKLDLTDTIKDGARLFLIDAGIRRQLIMAFTEDSKLHVEELVDVYRLVEDQIDIPSVALEVIQDLPSVARDFMLSWSDSILSDRQY >ORUFI11G06940.1 pep chromosome:OR_W1943:11:5584426:5584623:-1 gene:ORUFI11G06940 transcript:ORUFI11G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGEVVEEVVVMARVKGEEGGTIFHGCNRKARLPLAVGETLSARLPGNRLTLLCRFSRDSPTA >ORUFI11G06950.1 pep chromosome:OR_W1943:11:5584907:5585323:1 gene:ORUFI11G06950 transcript:ORUFI11G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTDEAGDSYSTVIAIVGRRIPLPRSSAVVGGGWGFPRSSPSPSTLVARSREAKMSEDLLATSSSGLSQTALLRTPSMEEDEEEDGKLLVEDMEMAGEDELLFLNDGAEDMKGNFVSSLGGGAKMISKIYKMAKMAK >ORUFI11G06960.1 pep chromosome:OR_W1943:11:5586075:5590297:1 gene:ORUFI11G06960 transcript:ORUFI11G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFTYVFICSGYLPPEYIEKNVLSNKLDIFSLGVVMLNIITGPRGRSRSAEMSSQEFTDLVLGNWTIRLQATWNGSSLEAYRQQVKTCTEIALKCVEIDRHKRPNILDIVNKINETETMTGKLPISHGPEFRFVNDHREKPTSYSDEFITPESRLASHLNLSDTQVNQEAYRHNGSSFKEKEEDREVHQIIPMENPDIPIDAHPTEPWILTGNIFGSVDILNCDTQVTTPSGLFYF >ORUFI11G06960.2 pep chromosome:OR_W1943:11:5586075:5590297:1 gene:ORUFI11G06960 transcript:ORUFI11G06960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDDEERSLWVHKPEHRQQVPDSTMDPTDITFRLLEEITDGFSEERKIGQDEFHGLDWPTRFKIIKGTCEGLKYLHEGLKPPIYHLDLKPGNILLDKNMVPKLADFGFGYLPPEYIEKNVLSNKLDIFSLGVVMLNIITGPRGRSRSAEMSSQEFTDLVLGNWTIRLQATWNGSSLEAYRQQVKTCTEIALKCVEIDRHKRPNILDIVNKINETETMTGKLPISHGPEFRFVNDHREKPTSYSDEFITPESRLASHLNLSDTQVNQEAYRHNGSSFKEKEEDREVHQIIPMENPDIPIDAHPTEPWILTGNIFGSVDILNCDTQVTTPSGLFYF >ORUFI11G06960.3 pep chromosome:OR_W1943:11:5586075:5590297:1 gene:ORUFI11G06960 transcript:ORUFI11G06960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDDEERSLWVHKPEHRQQVPDSTMDPTDITFRLLEEITDGFSEERKIGQDEFHGLDWPTRFKIIKGTCEGLKYLHEGLKPPIYHLDLKPGNILLDKNMVPKLADFGFGYLPPEYIEKNVLSNKLDIFSLGVVMLNIITGPRGRSRSAEMSSQEFTDLVLGNWTIRLQATWNGSSLEAYRQQLPISHGPEFRFVNDHREKPTSYSDEFITPESRLASHLNLSDTQVNQEAYRHNGSSFKEKEEDREVHQIIPMENPDIPIDAHPTEPWILTGNIFGSVDILNCDTQVTTPSGLFYF >ORUFI11G06960.4 pep chromosome:OR_W1943:11:5586075:5590297:1 gene:ORUFI11G06960 transcript:ORUFI11G06960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCFTYVFICSGYLPPEYIEKNVLSNKLDIFSLGVVMLNIITGPRGRSRSAEMSSQEFTDLVLGNWTIRLQATWNGSSLEAYRQQVKTCTEIALKCVEIDRHKRPNILDIVNKINETETMTGKLPISHGPEFRFVNDHREKPTSYSDEFITPESRLASHLNLSDTQVNQEAYRHNGSSFKEKEEDREVHQIIPMENPDIPIDAHPTEPWILTGNIFGSVDILNCDTQVTTPSGLFYF >ORUFI11G06960.5 pep chromosome:OR_W1943:11:5586075:5590297:1 gene:ORUFI11G06960 transcript:ORUFI11G06960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCFTYVFICSGYLPPEYIEKNVLSNKLDIFSLGVVMLNIITGPRGRSRSAEMSSQEFTDLEIGQSGYKQHGMVPHWKHIANSHGPEFRFVNDHREKPTSYSDEFITPESRLASHLNLSDTQVNQEAYRHNGSSFKEKEEDREVHQIIPMENPDIPIDAHPTEPWILTGNIFGSVDILNCDTQVTTPSGLFYF >ORUFI11G06960.6 pep chromosome:OR_W1943:11:5586075:5590266:1 gene:ORUFI11G06960 transcript:ORUFI11G06960.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDDEERSLWVHKPEHRQQVPDSTMDPTDITFRLLEEITDGFSEERKIGQGAYGTVYKGEFRNGKEIAVKMLHNDTLGFDDKQFENEFQNLMRLEHPNIVRLVAYCYETQHKYAEYKGRIVFAAIIHRALCFEYLTDEFHGLDWPTRFKIIKGTCEGLKYLHEGLKPPIYHLDLKPGNILLDKNMVPKLADFGLSKLFTEEKIRITQTPIGTVEAE >ORUFI11G06960.7 pep chromosome:OR_W1943:11:5586075:5590266:1 gene:ORUFI11G06960 transcript:ORUFI11G06960.7 gene_biotype:protein_coding transcript_biotype:protein_coding MCFTYVFICSGYLPPEYIEKNVLSNKLDIFSLGVVMLNIITGPRGRSRSAEMSSQEFTDLVLGNWTIRLQATWNGSSLEAYRQQVKTCTEIALKCVEIDRHKRPNILDIVNKINETETMTGKPWPRVPVRERPPREANLIQRRVYHP >ORUFI11G06960.8 pep chromosome:OR_W1943:11:5586075:5590266:1 gene:ORUFI11G06960 transcript:ORUFI11G06960.8 gene_biotype:protein_coding transcript_biotype:protein_coding MCFTYVFICSGYLPPEYIEKNVLSNKLDIFSLGVVMLNIITGPRGRSRSAEMSSQEFTDLVLGNWTIRLQATWNGSSLEAYRQQVKTCTEIALKCVEIDRHKRPNILDIVNKINETETMTGKVINIVTGMFFFKKINLVLSSS >ORUFI11G06970.1 pep chromosome:OR_W1943:11:5598005:5600468:-1 gene:ORUFI11G06970 transcript:ORUFI11G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSIPPDLVVDPRLHYPRSRVVTNRDERCPLLDDARIPQMPLGHEQPDVPPQLYARGLDSAGTSSSAIIGRCVFCARQEHSLLPVYNAAPHVPPVDNAAAERLKKLKKELKTCRPIGIAAIALVMSIGLFVASTSNILSKAVKFWSVGQLIIVFILTTLTTLAAYRVEPTNFNIDLISVGLTAVILYLVDVLLLLFFCLSPYDWGSNQKAISLAIGLGAALDSCIFLICVNIWKRPMVIYNSPFMAHLKKITCAVMTLLLKVLLAVLMVGVVFIMGCWEILKALGGSRRNSPQLGGVNSCRSIPQMVGLSSIPQMVGLSSRIILQMVGLSSIPQMVGLSSSRGSLSSPPWGIMALCNAWRQKKL >ORUFI11G06980.1 pep chromosome:OR_W1943:11:5610847:5612665:1 gene:ORUFI11G06980 transcript:ORUFI11G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAKEANTVVTSTGKEGELVKRSDMAMVIELVGNAVFMLEFMLIVWFAIKTVILFPGWKGVLLVVFISPAAIMVLVLTRLLKKDFVRRYTEGYYDAGVNQPSGK >ORUFI11G06990.1 pep chromosome:OR_W1943:11:5617142:5618844:-1 gene:ORUFI11G06990 transcript:ORUFI11G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIAPRHATTTAAAAFALHATHPPSVLLAISPDAFAETPRLPSPGHAIVLLATGPHFWATIWKLQAGQGRYVGRGCNATPPTPQAVNPIDEVRYNFTDG >ORUFI11G07000.1 pep chromosome:OR_W1943:11:5626601:5629730:-1 gene:ORUFI11G07000 transcript:ORUFI11G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRLRRALAAASTSASPFLRPAASASRSAPLAAAPLPPPHQVSRPWLPAAGFRSSAAASAAARGDDYGRRDVDEKISPEEILFEGCDYNHWLITMEFPDPKPTREEMIETYLQTLAKVVGSYEEAKKRMYAFSTTTYVGFQAVMTEEMSEKFRGLPGVVFILPDSYLYPETKEYGGDKYENGVITPRPPPVHYSKPSRTDRNRNYRGNYQNGPPQGNYQNSPPQGNYQNSPPQGNYQNSPPPYGSQQDGRGYAPRQNYADRPGYSGTSGGYQSQTTQYQGHANPAGQGQGYYNSQERRNFNQGQGGDFRPGGPSAPGTYGQPPAPGNYAQPHPPTYPGSNQGAPGVNPGYGGNNRQGPGPAYGGDNWQGGSNQYPSQSEGQQESWRGRQ >ORUFI11G07010.1 pep chromosome:OR_W1943:11:5630572:5630880:-1 gene:ORUFI11G07010 transcript:ORUFI11G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKERRRRRGTGGKLLCHLRAVRGRGKADRDGFHVAHALDAQAGRQGGRRGGGGEDGGGKGGDEVVAEWREGEGGDEARVGEEGDKEAKEGREKGDDGGEG >ORUFI11G07020.1 pep chromosome:OR_W1943:11:5632138:5632667:1 gene:ORUFI11G07020 transcript:ORUFI11G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLANGDSSADTTTRRNAEDFLAILLKVVLSPEVAGIDASGVASGGGLQSLGAHWNLIAAWRGLGNSGNGKDSPAVVDNVGFTATARLSGGMLREGAWVVSEVPKELHARLISPWLTGERGIGDGTRRPELEKMTAISLVCARFLKFLEGFWP >ORUFI11G07030.1 pep chromosome:OR_W1943:11:5632692:5632961:1 gene:ORUFI11G07030 transcript:ORUFI11G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLEMDHVGRNSDDVMAAAPGASSRALVGKVAFPLLQWIKRDGVKRRFARHDVGSLERERFGDGDDSSATASLIFFAIDDENVGDGEA >ORUFI11G07040.1 pep chromosome:OR_W1943:11:5639478:5644561:1 gene:ORUFI11G07040 transcript:ORUFI11G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFGRRSNTWSARTNRVLDLHRPLGSTALFRSTRYSFVANHSRITRIQRGLGEKAAKHSSDIYSSPDTQGTDKIASGGASSTRSSTPPDSPGFLSISAEFRSAPAMGELGALQSIVYHRGSLRLLDQRKLPLEVDYIDVKCSRDGWNAIRDMVVRGAPAIAIAAALALAVEVSGLEDFTGTPAEAAAFVSEKLEYLVSSRPTAVNLSDAATKLRSLVSRTAETEKDAKAIFQAYIDAAETMLVDDVSDNKAIGSHGAEFLKQKLEVSKDISVLTHCNTGSLATAGYGTALGVIRALHSGGILEKAFCTETRPFNQGSRLTAFELVHDKVPATLIADSAAAALMKSGCIQAVIVGADRIAANGDTANKIGTYNLAISAKHHGVQFYVAAPITSIDLSLPSGEQIVIEERSPNELLNSEGGLGKQVAASGISVWNPAFDVTPANLITAIITEKGVITKSDADETFNIKDFIQSAKLRSILYLREDRRVLLE >ORUFI11G07040.2 pep chromosome:OR_W1943:11:5639478:5644821:1 gene:ORUFI11G07040 transcript:ORUFI11G07040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFGRRSNTWSARTNRVLDLHRPLGSTALFRSTRYSFVANHSRITRIQRGLGEKAAKHSSDIYSSPDTQGTDKIASGGASSTRSSTPPDSPGFLSISAEFRSAPAMGELGALQSIVYHRGSLRLLDQRKLPLEVDYIDVKCSRDGWNAIRDMVVRGAPAIAIAAALALAVEVSGLEDFTGTPAEAAAFVSEKLEYLVSSRPTAVNLSDAATKLRSLVSRTAETEKDAKAIFQAYIDAAETMLVDDVSDNKAIGSHGAEFLKQKLEVSKDISVLTHCNTGSLATAGYGTALGVIRALHSGGILEKAFCTETRPFNQGSRLTAFELVHDKVPATLIADSAAAALMKSGCIQAVIVGADRIAANGDTANKIGTYNLAISAKHHGVQFYVAAPITSIDLSLPSGEQIVIEERSPNELLNSEGGLGKQVAASGISVWNPAFDVTPANLITAIITEKGVITKSDADETFNIKDFIQSAKLYSTMQ >ORUFI11G07040.3 pep chromosome:OR_W1943:11:5639478:5644292:1 gene:ORUFI11G07040 transcript:ORUFI11G07040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFGRRSNTWSARTNRVLDLHRPLGSTALFRSTRYSFVANHSRITRIQRGLGEKAAKHSSDIYSSPDTQGTDKIASGGASSTRSSTPPDSPGFLSISAEFRSAPAMGELGALQSIVYHRGSLRLLDQRKLPLEVDYIDVKCSRDGWNAIRDMVVRGAPAIAIAAALALAVEVSGLEDFTGTPAEAAAFVSEKLEYLVSSRPTAVNLSDAATKLRSLVSRTAETEKDAKAIFQAYIDAAETMLVDDVSDNKAIGSHGAEFLKQKLEVSKDISVLTHCNTGSLATAGYGTALGVIRALHSGGILEKAFCTETRPFNQGSRLTAFELVHDKVPATLIADSAAAALMKSGCIQAVIVGADRIAANGDTANKIGTYNLAISAKHHGVQFYVAAPITSIDLSLPSGEQIVIEERSPNELLNSEGGLGKQVAASGISVWNPAFDVTPANLITAIITEKGVITKSDADETFNIKDFIQSAKLYSTMQ >ORUFI11G07040.4 pep chromosome:OR_W1943:11:5639478:5644292:1 gene:ORUFI11G07040 transcript:ORUFI11G07040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFGRRSNTWSARTNRVLDLHRPLGSTALFRSTRYSFVANHSRITRIQRGLGEKAAKHSSDIYSSPDTQGTDKIASGGASSTRSSTPPDSPGFLSISAEFRSAPAMGELGALQSIVYHRGSLRLLDQRKLPLEVDYIDVKCSRDGWNAIRDMVVRGAPAIAIAAALALAVEVSGLEDFTGTPAEAAAFVSEKLEYLAYIDAAETMLVDDVSDNKAIGSHGAEFLKQKLEVSKDISVLTHCNTGSLATAGYGTALGVIRALHSGGILEKAFCTETRPFNQGSRLTAFELVHDKVPATLIADSAAAALMKSGCIQAVIVGADRIAANGDTANKIGTYNLAISAKHHGVQFYVAAPITSIDLSLPSGEQIVIEERSPNELLNSEGGLGKQVAASGISVWNPAFDVTPANLITAIITEKGVITKSDADETFNIKDFIQSAKLYSTMQ >ORUFI11G07050.1 pep chromosome:OR_W1943:11:5647751:5652026:1 gene:ORUFI11G07050 transcript:ORUFI11G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQEHRAYARVGLLGNPSDMYGGKTLSFTISNFWATVHLAPSDDGGPLVIRPHPRHDLVDFASLPQLVTRLQNEGYNGGVRLLMAICKVFYSHCIQHGIALKEQNFTLSYDTNIPRQAGLSGSSAIICAALSCLLDFYNVRHLIKVEIRPNIILDAEKELGIVAGLQDRVAQVYGGLVYMDFGKEHMDTLGHGVYTPLDINLLPPLHLIYADNPSDSGKVHSTVRQRWLDGEEFIISSMEEVARLALDGRKALLDKNYRELARLMNRNFDLRRQMFGDDVIGTVNIKMVEAARSVGAAAKFTGSGGAVVALCPDGEAQVLLLEKACRDAGFLVQRIQVAPSPLPLTEGNPPF >ORUFI11G07050.2 pep chromosome:OR_W1943:11:5647751:5652498:1 gene:ORUFI11G07050 transcript:ORUFI11G07050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQEHRAYARVGLLGNPSDMYGGKTLSFTISNFWATVHLAPSDDGGPLVIRPHPRHDLVDFASLPQLVTRLQNEGYNGGVRLLMAICKVFYSHCIQHGIALKEQNFTLSYDTNIPRQAGLSGSSAIICAALSCLLDFYNVRHLIKVEIRPNIILDAEKELGIVAGLQDRVAQVYGGLVYMDFGKEHMDTLGHGVYTPLDINLLPPLHLIYADNPSDSGKVHSTVRQRWLDGEEFIISSMEEVARLALDGRKALLDKNYRELARLMNRNFDLRRQMFGDDVIGTVNIKMVEAARSVGAAAKFTGSGGAVVALCPDGEAQVLLLEKACRDAGFLVQRIQVAPSPLPLTEGNPPF >ORUFI11G07060.1 pep chromosome:OR_W1943:11:5653487:5665856:-1 gene:ORUFI11G07060 transcript:ORUFI11G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREREERRKKLKREQQDEGSRLHSQQIRKDYAPYNRAGRGRIKEAPDGWMNCPAFGEPIDKIIPSKVPLDETFNDSVPPGKRYSSKQVVNKQRKAGREIGLVIDLTNTTRYYSPAEWTRQGIKHVKIPCKGRDAVPDNESVNWFVYEVMMFLDRQKQSKNPKYILVHCTHGHNRTGFMIIHYLMRTQVSCVAEAINIFAKRRPPGIYKRDYIEALYSFYHEVPEHMITCPSTPEWKRSSDLDLNGEAKQDDDDENGDLAPVHNEVEDKVITNDDVLGDAVPYDQQDALRVVCYRLLEMPPVRGHAQFPGSHPVSLNSENLQLLRQRYYFATWKADGTRYMMLIMRDGCFLIDRNFCFRRVQMRFPHRNLNEGLHEMTLIDGEMIIDTVPDSGLKRRYLAYDLMALDAVSKTKLPFSERWRLLEDEIIRPRYYEKKQFESGVKSNPMYKYDMELFSVRRKDFWLLSTVTKLLKEFIPSLSHDADGLIFQGWDDPYVTRTHEGLLKWKYPSMNSVDFLFEVGGDNRQLVFLYERGKKKLMDGSRIAFPNEEDPSSISGRIVECSWNKEEGCWVCMRIRSDKSTPNDINTYRKVMRSITDNITEEKLLEEIHEITRLPMYADRIKQAQAKMAQHRRR >ORUFI11G07070.1 pep chromosome:OR_W1943:11:5668955:5669218:-1 gene:ORUFI11G07070 transcript:ORUFI11G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGDGKDQEGQGTKQLVDKSMEDENPVADHPKKQMRSMQRYYHKGSFFQQDADNATQTTGAEGEGDEGEELAANMWGPRGSHSPH >ORUFI11G07080.1 pep chromosome:OR_W1943:11:5669461:5669679:-1 gene:ORUFI11G07080 transcript:ORUFI11G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLRQHEEEQFQKMMLLRQHEEEQFLHHRHQEEHEDEKAFESKETTEIRLRRDSDDEKMAVILHGHAPIHT >ORUFI11G07090.1 pep chromosome:OR_W1943:11:5682331:5686691:1 gene:ORUFI11G07090 transcript:ORUFI11G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMANAKLPKQALLPPRSPFPTAAAAAGPYAGDHGPIARPQGAPHHRHGHGHGHHQRTSSESFIEEQPSWLDDLLNEPETPVRQHGRAGHRRSSSDSFAMFDGGAAAGAYANGFEGMGGGGGGGQAAPWGGVQEYYAKPSSFGRHQGRPWEQGMNNLVNYRQSGGPPMPAKEKVGGHHGSPSVLRDHDHGMDRRSSDESGHDQKVGPERKEGVPPKHAQSEADTKRAKQQYAQRSRVRKLQYIAELERKVQALQSEGIDVSAEMEFLSQQNIMLDLENKALKQRLESLAQEQLIKRFQQEMFEREIGRLRSLYQQQQQQQKQPQPTTTLSRSNSRDLDSQFANLSLKHKDPNSGPLRTQSSSIL >ORUFI11G07100.1 pep chromosome:OR_W1943:11:5683714:5687233:-1 gene:ORUFI11G07100 transcript:ORUFI11G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHRAGLAEQRSSNRALLLSLAHGAFLHPLGQAGSYVQATKRPHRDPAIDVFKDGLQDLIVMCQHVRGTFDNAVVDFRSKIIIIKFHM >ORUFI11G07110.1 pep chromosome:OR_W1943:11:5688227:5696680:-1 gene:ORUFI11G07110 transcript:ORUFI11G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFVGLSMNTAIIGRPGEARGSQALHLPTLHGIIIWVRFSPLIYPPALLIICGMIHINAWNMEAMAVSMYIAIIMNIQEKHGRAVKHCTFLTLNGIIIWVRCLSSLSSSIHVNARNVEAMYTAITMVVQEMHARHVKHYTFLMLHGLIIWARAFLPPGLPSSGIAHHGAQHSSFRLCRSHTQTRATAAAVGAARPFEEAAMEHGTVEDSSASTFSIAEEEHTLANPARFVLNQE >ORUFI11G07120.1 pep chromosome:OR_W1943:11:5708361:5709856:-1 gene:ORUFI11G07120 transcript:ORUFI11G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEPTDGPTLSVVSKWLHTLRKKHNKIIQIEEPLADGRKLNKEQGEDLLALIYFGSLFDVKPQTEFVATMLVRPKEWDCCITFDYVRPCRLTRCCSLVPPPLAAHQCGKKTGERRKKRGKKKRWMENVTAVARVDLSIEDLLALIYFGSLFDVKPQTEFVATMLVRAKERDCCSTFDYVCPCRLTPCHSPVSPPLAARQCGKKTGERRKKGKKEEMDGKHDGDGTVPIL >ORUFI11G07130.1 pep chromosome:OR_W1943:11:5711098:5711622:-1 gene:ORUFI11G07130 transcript:ORUFI11G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMYTTINMDIQETQERHVKHNTFLVWHGIIIWARSFTANLSSCPFLVMDSTLQSLTLSLHVKVLLCRDQPKYLTK >ORUFI11G07140.1 pep chromosome:OR_W1943:11:5711838:5712250:-1 gene:ORUFI11G07140 transcript:ORUFI11G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLGTWRPWHMPCITMHVQEKHKRHVKHYIFHTLNGTITWARSFTAYLSSWPSLIRDSMLLGPTLSLDVKRMLNPKPIQVSLL >ORUFI11G07150.1 pep chromosome:OR_W1943:11:5712263:5713149:-1 gene:ORUFI11G07150 transcript:ORUFI11G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWAITTMASKLVYCAVVECCVIHAICNGLNYIPYFYTRGSIMLGATNQPLHNNIYINPWNVETITKSTYIAMDEEQARHVKHYTFLKLHGIIIWTRSFITDLSSWPCVIKESSSRSPTMPLDKRDTLNLWNIKAMYITITMDIKEKQVRHVKYNIFPHSTQQHHLARPCTTDLSSRPSCHC >ORUFI11G07160.1 pep chromosome:OR_W1943:11:5713896:5716377:-1 gene:ORUFI11G07160 transcript:ORUFI11G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFLAGSGREGGEREAAGGGPVEGETECSGGEDEWSAQMAEAEVVVDDWKFAAEQLVRWSDRARVLADRVPSTAKQLTRDHTVFHREGFLMKMANPTPPVDATPLCSTSQ >ORUFI11G07170.1 pep chromosome:OR_W1943:11:5719465:5723001:-1 gene:ORUFI11G07170 transcript:ORUFI11G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALLRLLSPSSPASLHARATRRRHPRAVVRCSSPSPASLDLPLLPFQPAEVLIPSECKTLHLYEARYLALLEEALYRKNNSFVHFVLDPVVSGSPKASFAVERLDIGALVSIRGVCRVNIINLLQMEPYLRGDVSPIMDISSESIELGLRISKLRESMCNLHSLQMKLKVPEDEPLQTNIKASLLWSEKEIFEEYNEGFIPALPERLSFAAYQTVSGMSEAELLSLQKYKIQAMDSTNTLERLNSGIEYVEHNIGKNFRKQKYNFWLLQQLEIHTTILPPC >ORUFI11G07180.1 pep chromosome:OR_W1943:11:5732593:5733285:-1 gene:ORUFI11G07180 transcript:ORUFI11G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPMEKRTKPSPPGRPGAREAGQSAECGGSVDAVEDSGGYGAAHGEEDEAITVEEAGGAGGRPEHGGGVEVAEEDGRCCGGGCPHHQIGKPTAGSMWWRRRRRQGIWGFHPLGFCRQIRKRRERRKRSCHAPPPPAMVGSPASSLSSDLASLREMARFTRRQRRLSCYCHCSTRPLLRQRGGMAPSMPMAVRFSSSTMRRDRGMTAERWREKTEARRKKTEKDGEGWEK >ORUFI11G07190.1 pep chromosome:OR_W1943:11:5734835:5743176:1 gene:ORUFI11G07190 transcript:ORUFI11G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSAPAPGDGNSAPASSRVTFEDMLRSCDQSYYQMLGFPDAASYFEAKERVNHEEILEHVSSILNSKGIVPSGKGIDTADLKCSVVVYFLRFTMQIPSKRPSKLLVLKEARDKFFPYWKSVLSARLPVKIVPPCEPSSNDIQRTEPRKDILSTEASRKDLQPPNKSIANLVSTSKPRLASNYECRRQLCALAAMSLKVLRRTLGKDESIGGMRVAVLESVFVFF >ORUFI11G07190.2 pep chromosome:OR_W1943:11:5734835:5743176:1 gene:ORUFI11G07190 transcript:ORUFI11G07190.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPSAPAPGDGNSAPASSRVTFEDMLRSCDQSYYQMLGFPDAASYFEAKERVNHEEILEHVSSILNSKGIVPSGKGIDTADLKCKKRGCNIPSKRPSKLLVLKEARDKFFPYWKSVLSARLPVKIVPPCEPSSNDIQRTEPRKDILSTEASRKDLQPPNKSIANLVSTSKPRLASNYECRRQLCALAAMSLKVLRRTLGKDESIGGMRVAVLESVFVFF >ORUFI11G07190.3 pep chromosome:OR_W1943:11:5734835:5743176:1 gene:ORUFI11G07190 transcript:ORUFI11G07190.3 gene_biotype:protein_coding transcript_biotype:protein_coding METPSAPAPGDGNSAPASSRVTFEDMLRSCDQSYYQMLGFPDAASYFEAKERVIMVVPRATYVASVNHEEILEHVSSILNSKGIIPSKRPSKLLVLKEARDKFFPYWKSVLSARLPVKIVPPCEPSSNDIQRTEPRKDILSTEASRKDLQPPNKSIANLVSTSKPRLASNYECRRQLCALAAMSLKVLRRTLGKDESIGGMRVAVLESVFVFF >ORUFI11G07190.4 pep chromosome:OR_W1943:11:5734835:5743176:1 gene:ORUFI11G07190 transcript:ORUFI11G07190.4 gene_biotype:protein_coding transcript_biotype:protein_coding METPSAPAPGDGNSAPASSRVTFEDMLRSCDQSYYQMLGFPDAASYFEAKERVNHEEILEHVSSILNSKGIIPSKRPSKLLVLKEARDKFFPYWKSVLSARLPVKIVPPCEPSSNDIQRTEPRKDILSTEASRKDLQPPNKSIANLVSTSKPRLASNYECRRQLCALAAMSLKVLRRTLGKDESIGGMRVAVLESVFVFF >ORUFI11G07200.1 pep chromosome:OR_W1943:11:5747182:5749887:1 gene:ORUFI11G07200 transcript:ORUFI11G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRQVNQKSWNLLKQHSRPVCLHFSSDSSANSTANIDLDAEAICMNPLKPYSKCWFYVPPWINLPPINKDNDIKKLISSNPSTVMKAYETSKLEMLFEKKVRSFLVSRCILACESINGPDIKKLHFMNKSIIGHFPVYVTSLSSMKCLIKHREEYWIAILQSLAAEKVMVLPDWKQELTPIEVSFFDHGLQLPSKSETSLDLITCELESDASYYKVPDSEATNPDVAGGQEAAITTTEGSASAEVGMATFASIIWNNRAILEGRITCGLRCEDSEHAEAIGILSGLQLARKLKIKKIDVVTDNMEIYEVLIGRKDVFQHKHRDVLLMAIKVAKEFDVCRFRWEPRELLCLVNEMANATREDYRAKTLSLRRIWEGKVAYCLWSLPVIRINQSTKKIALKLEKGWAGEVNMKLVQSKGYHVNVREQMLKIDALEGLLDSLDPPLLIVLVGSEEAASKVSLELNWRFRSVLLRGSGQVSGSISNANGDCSPIPEGTALVLNSETNIPPFSAQKVLLVVYDTPSSELQFSKDQDTTGVITVRIVAPHEEDSLPDTSTEVNPFVLGFFNSGTYFLRLNLHFGSLLNVIFVYPTCKLFT >ORUFI11G07210.1 pep chromosome:OR_W1943:11:5750679:5754387:-1 gene:ORUFI11G07210 transcript:ORUFI11G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSFFNQDDMVDTSRFKYTAYGRRTKRGGAVASCTPAGAGGAMAQPGAAAHAPMRHDGRPLHPWQIAP >ORUFI11G07220.1 pep chromosome:OR_W1943:11:5756053:5756983:-1 gene:ORUFI11G07220 transcript:ORUFI11G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIEGTEEVDKDRGRDACADRLGWTARLKAIGAALLSEDALTKTPPGNACDAFTLVASKSESKNSKFLIMGRREY >ORUFI11G07230.1 pep chromosome:OR_W1943:11:5782177:5787075:1 gene:ORUFI11G07230 transcript:ORUFI11G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEQVVP >ORUFI11G07240.1 pep chromosome:OR_W1943:11:5796980:5797814:-1 gene:ORUFI11G07240 transcript:ORUFI11G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFMASGSAGRELKCCYKATVDGFSATDFHRRCDFKGPCVVVGRTGGGVRFGGFSPEGYRSTDDYYDTLDAFLFYWPETDTDAAAEEAAVVVLPKVGGSGAALFDYARGGPQFGADGLLIGPPLTAVMGVFTGPDSSAGAGDLRGARSRLGLSYARRPDGKESLFGDESRAELDEVLVFCSSQIASLY >ORUFI11G07250.1 pep chromosome:OR_W1943:11:5798771:5805260:1 gene:ORUFI11G07250 transcript:ORUFI11G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAKKKQSAASPQPSPRTPASRGREGGSVAAGGGDGGGTLDLPSVAAAAAARHPALVPRGGEGCFSGTVAEVAPRGRSRGGEARLWLSEPAMVGAALRPGCLVSVSLISSNRGRSDGSPLDSLFEECNEFFDLDVDNDLMPNEAGRNFVIAKVFPSREVQKNGIKLSWDLACSLGNPSVGCSLFFSTLYTSQAPKETDSVDILRVIKCSNLYLSFVPAKVGSSSEIESESVHHPIRNGMVIESPKRNSSVLSGRNESYDIASHSGPSLCLDPATARSSLADEKINELLQTCASRWLSGRHLLKANYVPLLMCGKLSMFIVMGAEVDGSAPDVVHDKDKLPSNEEISGKFGEAPVSFLVDRTTKVHLSGPVCSEEIAFVKPGPSAHNSFRTDARNGDFNHGPRLGGLSKESKEIKEIISFSIKDQIGLQRVKDNLWYRGILLSGPPGTGKTSLATSCAYDEGVNLFTINGPEIISQNYGESEQALYDVFSSAKQAAPAVIFIDELDAIAPERKDGSEELSIRIVVTLLKLIDAMSPRDRVLVIAATNRPDSIDPALKRPERLDRKIEIGVPSPVQRLDILQHLLVGVQHSLSCEQLESLASATHGFVGADLAALCNEAALSALRRYISLKKSSQQLGYYDNNAEKPDIREINDPLGYQVNSIASSLSKLTMSVDDVLCTSRSNDTENNGSSGKKDDLLLLVTTEDFEKAKIKVRPSAMREVSLELPKIRWEDVGGQVRIKEQLIEAIELPQKNPKAFENMGVSPPRGLLMIGPPGCSKTLMARAVASEAKLNFLAVKGPELFSKWVGDSEKAVRSLFAKARDNAPAILFFDEIDGLAVTRGRENDSVSVGDRVLSQLLVEMDGLEQRIGVTVIAATNRPDKIDCALLRPGRFDRLLDVQPPDEADRVDIFRIHTRNMPCSHDVNLNELARLTEGYTGADIKLVCREAAIAALDENIDIPEVEIRHFKSAISRIKPSDVKFYQELAAQYSRFVDPMSQSKQ >ORUFI11G07250.2 pep chromosome:OR_W1943:11:5798771:5805297:1 gene:ORUFI11G07250 transcript:ORUFI11G07250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAKKKQSAASPQPSPRTPASRGREGGSVAAGGGDGGGTLDLPSVAAAAAARHPALVPRGGEGCFSGTVAEVAPRGRSRGGEARLWLSEPAMVGAALRPGCLVSVSLISSNRGRSDGSPLDSLFEECNEFFDLDVDNDLMPNEAGRNFVIAKVFPSREVQKNGIKLSWDLACSLGNPSVGCSLFFSTLYTSQAPKETDSVDILRVIKCSNLYLSFVPAKVGSSSEIESESVHHPIRNGMVIESPKRNSSVLSGRNESYDIASHSGPSLCLDPATARSSLADEKINELLQTCASRWLSGRHLLKANYVPLLMCGKLSMFIVMGAEVDGSAPDVVHDKDKLPSNEEISGKFGEAPVSFLVDRTTKVHLSGPVCSEEIAFVKPGPSAHNSFRTDARNGDFNHGPRLGGLSKESKEIKEIISFSIKDQIGLQRVKDNLWYRGILLSGPPGTGKTSLATSCAYDEGVNLFTINGPEIISQNYGESEQALYDVFSSAKQAAPAVIFIDELDAIAPERKDGSEELSIRIVVTLLKLIDAMSPRDRVLVIAATNRPDSIDPALKRPERLDRKIEIGVPSPVQRLDILQHLLVGVQHSLSCEQLESLASATHGFVGADLAALCNEAALSALRRYISLKKSSQQLGYYDNNAEKPDIREINDPLGYQVNSIASSLSKLTMSVDDVLCTSRSNDTENNGSSGKKDDLLLLVTTEDFEKAKIKVRPSAMREVSLELPKIRWEDVGGQVRIKEQLIEAIELPQKNPKAFENMGVSPPRGLLMIGPPGCSKTLMARAVASEAKLNFLAVKGPELFSKWVGDSEKAVRSLFAKARDNAPAILFFDEIDGLAVTRGRENDSVSVGDRVLSQLLVEMDGLEQRIGVTVIAATNRPDKIDCALLRPGRFDRLLDVQPPDEADRVDIFRIHTRNMPCSHDVNLNELARLTEGYTGADIKLVCREAAIAALDENIDIPEVEIRHFKSAISRIKPSDVKFYQELAAQYSRFVDPMSQSKQ >ORUFI11G07260.1 pep chromosome:OR_W1943:11:5806500:5810828:-1 gene:ORUFI11G07260 transcript:ORUFI11G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGDGEGKPPAKEESTEYRLRKYLLLLATLVATVTYAAGLNLPGGSWAEDAPAAGLRVVAGDPILRETRYTRYVVFYACNAVAFAASLVVSLIVLVLPKEGGGRLLGAMRAVMVVDLLGLMGAYAAGSSRDGFTTAAASALLLLVFAYVAGAFLASLNLFTVRWQLPCQERASPPAAAPRSPPPGDQDPAATKAMKSEHEILLLLAIFAATIAYVAGMNPPGGFWRDAAVGGEHVAGDPVLQGREHPNRYRAFYVCNTAAFAASLLAVMFIVVEDKRLRHLRRAVPYGLVVAALLGLGGAYAAGSCRDGKHTAYVACLVAPVVAYIAILYIACPSRSPSSTSKSPSNTNTTTTTISISDSKQDKEVEDKEVDKICEYIQLLATLAATIAYQAGIDPPGGVWGESGEGYSVGDPILLTTHPRRFKVFFYFNSAAFVASLVIMALSQNKRLVRRYHAVLEATMILDLFGLIGAYAVGCCRDTSTSIYIIAMAGAVLVYVVIHIVFFTLETKNGGDDQLEEHREVLLLLTVLAATLTYQAGLTPPGGFWEKFGHYAGFPVLLNKNPRRYKAFFYCNAASFMASVALIVLLMNKNLYRPGIRSYALIICMVAGMFGVLGAYAAGSSMYLRTFIIVLVLVLVVFVGVICLAINHFRELKKNTQQQQQQQQQQPPPPTGTNGFSSPKLSMQEEDVIKYLMLVGILAASITYLTGLKPPGGLWRDEGDGHSAGNPVLYDIDMRRYNTFFYSNSTSFMASITVIVLLLQRMLSPKTGGEKVFWPMHTVIVLDMLALLVAYAAGSVRDWETSKNVFLLLIPIQLFVGGLFFICKKKQTSPQDDGSAAGTNM >ORUFI11G07270.1 pep chromosome:OR_W1943:11:5814837:5815556:-1 gene:ORUFI11G07270 transcript:ORUFI11G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYAAGSSMYLRTFIIVLVLVLVAFVGVVFLAINHFNKLHKKKMEKNKRPPAQQQQPPSPKKSSLTTFAMQKDMLKYLMLVGILAATATYLTGLKPPGGLWKDNDDGHSAGNLVLYDIDKKRYNIFFYSNSTYFMASITVIVLLLQRMVLPERSGGKVFWSMHTVMLLDMLALMVAYAAGSVRDWETSKNVFLLLLPIHIFVGGLLFICKQIQPSPQDEASPNAGANTQEISNPTPSS >ORUFI11G07280.1 pep chromosome:OR_W1943:11:5816483:5818960:1 gene:ORUFI11G07280 transcript:ORUFI11G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAGGPLPGERRLSPADPEEPSPPASSSDGTGSGGGGGDNSVEEGDGEGPGSGSGTPTAKRQLFDADGSASRPTRRRRIASDDEERIHLRTLVAVEGKSWRCPSVLVPLPAQQRGRAWGGGLGRAAMRINGKEVERF >ORUFI11G07290.1 pep chromosome:OR_W1943:11:5823904:5826525:1 gene:ORUFI11G07290 transcript:ORUFI11G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRVRAAGSAIYMGFTKFTREEYVKLKAEGRIMSDGVNAQLLGSHGRLAKRAPGKAFLAETIQASA >ORUFI11G07290.2 pep chromosome:OR_W1943:11:5823904:5826525:1 gene:ORUFI11G07290 transcript:ORUFI11G07290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGVNAQLLGSHGRLAKRAPGKAFLAETIQASA >ORUFI11G07300.1 pep chromosome:OR_W1943:11:5834300:5834689:-1 gene:ORUFI11G07300 transcript:ORUFI11G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIVTSIPSTIGPHKRTPTRNHRLRRRMMTRPMMRTRAAEEVVEAEVTKVGAEAKAEERASIAMVMAATAASTSDTVEEEGMAGARAGAKGGPCAVEVPERARCAVVPESATSTQPRHMRAALMQPSC >ORUFI11G07310.1 pep chromosome:OR_W1943:11:5838007:5844433:1 gene:ORUFI11G07310 transcript:ORUFI11G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLISFETPPLGRRSQDGGSSSSSITAATTTINKAKEAASHLDLSLGISLSPGGGGGGGDAGTKASSCCYGGGGDGGGCMGSGMLTAGVLGVGHGGSSHDNTTASSGGGGSWTAAFMPSPTGFMHPWSLAARQQKAAAEQERSGVARLPPATTTYMPRAAATVISLPAAVGWPPVHTSRRNLVATINNVLKPDTTAAVKPDRPTQATAMFAADETTAPPPRSAAAATEASRTLNMFAKVHMDGYKVGRKINLRAHRNYDSLRRVLTKMTHNFFCPADYSSTNKGEEDCAKSDEFIFLYEDFEGDRMLVGDVPWELFLASAKRLYIAKNPAPRNKEHAEIAKRKETEDAIDN >ORUFI11G07320.1 pep chromosome:OR_W1943:11:5850615:5852550:1 gene:ORUFI11G07320 transcript:ORUFI11G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRNASAGPEVKPAGLSPSRFVKVFMHGEPFGRKINLAIHNNYDSLSFTLKRLGNNYSMSPFELEGFVNNEEDGAIDNDFDLLYDDMNGVRYLLGEVPWEVFTITVKRIYIVPAEQQNENEYQEEEEDNAAAAATADEDIEITGGETIFGRSAQFYNMNGAAADDGAAAAVDNGDDHGYTSNDDPSFD >ORUFI11G07330.1 pep chromosome:OR_W1943:11:5858385:5859748:1 gene:ORUFI11G07330 transcript:ORUFI11G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRNASAEPVVKPGLSPSRFVKVFMHGEPFGRKINLALHNNYDSLSFTLKKLGNNYSMSPFELEGLVNKEEDGAIDSDFDLLYDDMDGVRYFLGDVPWEVFTTTVKKIYIVPAEQQNENDYQEEEEDNAAAAATADEDGDGAAADDGVAAAADDVDDVAGYTSNDDPSFD >ORUFI11G07340.1 pep chromosome:OR_W1943:11:5860462:5861467:-1 gene:ORUFI11G07340 transcript:ORUFI11G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIELGPVGVHGQRGVTMLPSSLARTSGLAWRAGGVPAQILASRVRAIDVSLTLESLMVSGDLYFVVGKDGEKVPVAWLVGRTGLLYFSAHWCSPCRKFLPKLIEEYIKMREETSSDVEVVFVSNTDGRKGIVISHGKVAQDREALLKIRS >ORUFI11G07350.1 pep chromosome:OR_W1943:11:5873650:5874081:1 gene:ORUFI11G07350 transcript:ORUFI11G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASGAAAERGLLLSAMGCHELGAGSNRRDDGGGRIRLLDDVGGRRATTTKGAAATLGGNSTGEEAARSGSGASSRPTGGGVGKGVDGGSEVVAVLGRTEADPAALSSSSAWEEWRGADLPTGSRSADGHPRPPSILSSLTV >ORUFI11G07360.1 pep chromosome:OR_W1943:11:5879183:5879449:1 gene:ORUFI11G07360 transcript:ORUFI11G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVDAWAMSARWRLEAVLVDRRMAGGGDDGAVETGGGGRAVAALARCWRRRWHGAGGGAGAVEAGGGGQTGGGVERDGEKCSAALW >ORUFI11G07370.1 pep chromosome:OR_W1943:11:5884490:5888356:-1 gene:ORUFI11G07370 transcript:ORUFI11G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSSEPVTNTKTESCEDSLAKTYPKRRSEVKELGRRPNPSSPPPDLTKFLTLACVVVPCHVHVHPPAPLLLLPPRLLPLRSPMPPPRRHGHGGARLLVFLLPVLLLLRTAATNTLSTFAMAKAESTTIVCALLPSAASPLLVDLNCTEAGGDHERQETYPSSHPFSALAGGDHFLCAVGPSALRAGDVAMRWWDLSKNRTNTPETNGTKDGGGRSKRVYLGPPIQALSSGGYRVCGVLSSGELHCWRWRGLKIPDGLRFVSVAVGDWFVCAIQAARPASIRCFGNDTEAVSSAPEGGSFDVVAAHGRRACALSTSGALSCWGHGAPLVGGGEDEATTGYAALALGTDGVCGLRTNSTIRCFGDGVAPPPDSLAGFQYVDVQAHGSVFCGVLMANYSLVCWGGHEFNATNRLVFDRVLPGPCVTMSSCRCGVLPGSANLCASGRCICVDCAFELNVATPNASSLGPGPGKSSSKRSRIIWVAVAAGAFLVLLVALQFALLMWCRRRRRRGRGGQADQAAAMSLMLPRHGSSKGPGSVVEHFALEALQAATDGFSDERRIGSGSFGSVYRGTLTDGREVAIKRAEDQAKSSSSAARPARRRDRETAFNSELTALARANHKNIVCLLGCCADAGERVLVYEYMANGTLHDQLHGRSPMAPPVSAWRGRLTIALDAARGIEYMHVYAVPNIIHRDIKSANILLDDSWTAKIADFGLSSILDPATAGGGGGGGEGSSSRPLYTGGTVGYMDPEYYRMQHLTDKSDVYSFGVVLLELMSGCRVVQRYAESVTPKNVVEFAVPHILADELARVLDPRIPPPTPHEADALAYVGYLAADCVGPVGCDRPSMTEVVDALERALAACAAASLSRSGTVTGAGRRALSRSGTDQFDLTDTD >ORUFI11G07380.1 pep chromosome:OR_W1943:11:5893912:5895570:-1 gene:ORUFI11G07380 transcript:ORUFI11G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRPWGEALAAAQRAFCLPLAGRVLAAAGTGNAAVSAPAVHVSLALAAGGARGATRRQVLQALGCGGGGRGGAADAANVASRVVKRILRDRSTSGGPRLAFAGGVWADASRSLSPEFVGLAGNVYGSAAKKADFKNKPEDAPDQINSWVKDSTKGTVTALLPAGTIDQNTGLVLGSALYFRGRWLDRDDLRMTTEQKFYCLDGTSVEVPFVEYDRTRLFAVHDNFKVIKLPYKQGKNERKFSMYIFLPDDHDGLFELTQKIFSEPMFLEQHLPTEKCHVGISVPNFKISFQIDVKDFLKDMGLELPFLREAEFSDMIKEDDSSGPLFLSDVLHKSVLEVDQKGIEETSVSMGLGKPLPAQHFKADHPFFFMIREEVSGTVIFMGHVLDPSSRT >ORUFI11G07390.1 pep chromosome:OR_W1943:11:5901798:5903756:-1 gene:ORUFI11G07390 transcript:ORUFI11G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAVVIRTTITSSHYLAAQFVNKIAREHHEGGGLIVGINTEWREDHEPDIAEWAPKCTTWLSPRGGPNTLNCRLLQILKSDYNSKKAGRYYTPPTPRPPPENTLDVFMDGDAVVIRTTITLSHYLATQFINEIALGIDTEWREDREPDDKKCYKVVVLQLCVNRRCLVFQLYQASNEVPRELAEFLVDAGVRFVRIGVDGGVRRLANECNLRVACTVDLSDAAAAVLGRPELARAGLKSLALIVMGTRMEKAKDITMSRWGEPTLTWERSTTPVSTCTCPTRLADGCSLMNPYWPHRRRRTHAWRTIATSAMFSSDR >ORUFI11G07400.1 pep chromosome:OR_W1943:11:5905806:5906266:-1 gene:ORUFI11G07400 transcript:ORUFI11G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGERWPAALETKALLQASLPQHLLQIDAEETFGPWQTRWTSWGRLTLIGLSTHLGVLYSPLHGGDPVCDIYDSEAMIRATATSIFGSVAGFGSY >ORUFI11G07410.1 pep chromosome:OR_W1943:11:5909506:5910946:-1 gene:ORUFI11G07410 transcript:ORUFI11G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAEITGDESPPPLPPSEGGEVSGAPSTSSTSDADGGGKPSPRTSKPTEIHHSPLPSDAIAVDLWSTGCILAKLLAGKPILPGQTEVSSSYLRRTLRRPRFPAHRRLSSAPAPKPEAAEEAMPPPRPLGEALAAARRAFCLPLAGTANAAVSAPAVHVILALAAAGARGATRRQLAAAAAATRPAWRPAS >ORUFI11G07420.1 pep chromosome:OR_W1943:11:5914942:5916536:1 gene:ORUFI11G07420 transcript:ORUFI11G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVFSGYALFFVLFLTGSTIGGCFPTVEELAVHGWIPPDDGSTEYYGLEATMDVYGFNLEHGQQTGGFIWIYNSDETPAANVIHAGWNVDPESYNDSQTHFTTSWFVEESKKGCLDMRCPGFQRTGGSHPFVPGQVINPVSSTSRRKQYINVRVSKDQNSGDWEIYFGFDGKAKIIGYYPRSLFTSLSNKPVNIVFGGFAFWKEHKPSPPMGSGIAPPKNAASFSNLKFFDAAGNAHPIDHDLAHVSDCYPVTDVRDGMFSYGGPGNVC >ORUFI11G07430.1 pep chromosome:OR_W1943:11:5922310:5922717:1 gene:ORUFI11G07430 transcript:ORUFI11G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKATTLLLLLAAAAAALLCHVHVAVAADDPEPCDPSDITIATEKTGRVVGGLPEFQVTIGNECSCPEGDVVVSCLDGVPAGVDRSKIHTAGSDGLCLVNDGLQIVKGSPVVFTYAASAPISLAFDNASPRCQR >ORUFI11G07440.1 pep chromosome:OR_W1943:11:5930863:5931499:-1 gene:ORUFI11G07440 transcript:ORUFI11G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKATTLLLLLAAAAAALLCHVHVAGEDPEPCDPSDITIATRVKQTHQITSSITTMTTKATTLLLLLAAAAAALLCHVHVAVAAADPEPCDPSDITIATVKTGRVVGGLPEFQVTIGNECSCPEGDVVLSCPDGVPAGVDRSKIHAAGGSDGLCLVNDGLQIVKGSPVVFTYAASAPISLAFDNATPRCQR >ORUFI11G07450.1 pep chromosome:OR_W1943:11:5935081:5946708:-1 gene:ORUFI11G07450 transcript:ORUFI11G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLLLLHAVLVAAADAGAADKEAYAAGKETSAAAGSTEGKETAAAADKETSAAAGSTAGAVPDPNIFNKEAVCPKTTDEKACQQLVKVLPAEFAETKDAKGLAKLCISSVGFSAEQFTKDAIAAVQECKKPDKCLDSCVQASTAVTDALKPSGAGVNTVKVPEDEWLLAIHASFSQLLRGPAGGVSRPPLCKTCCDDGSCKDAKKLNVVSVFSRMWDFLDFTDAVLDDLYPLTKTTGTKATTTAGSTADKVAYAAKGSTEGKETAPAAESTVDKETSPAAESTVDTATSAAAGSTADKNAYPAAESTADKETSPAAESTADTATSAAAGSTADKETSPAAEPIADTATSAAAGSTADKKTSPAAESTADTATSAAAGSTADKETSPAAESTADKETSVVAGSTADKNTYPAAGSAAEKETSSAAGSAPVVDTAPAAPPTTYLSPVMAASPAMPSLLLLLLLAVLVAAADAYDSAASATPNAGAIPDPNILDMEAMCPKTTDVQACQRLVKNMPSNIVAGKKDARSIARGCIATAWFVARDGAKDCTAAVDECKGKVDQCLDSCRHAFAAVNDALEPQGTGDDAVKVPEDEKLLAIHASLTQLLRGPTGTRRPPLCNTCCQDGSCTEEKKRNVVALFVQLWSLLDFADAVLEDLYPLTKLPGDKAAGSDTSAAAAGSTADKETSAAAGSTADTATSAAAGSTSAKETSPVAGSTADKTYAAAGSAPPVVDTAPAPPVTTYD >ORUFI11G07460.1 pep chromosome:OR_W1943:11:5939109:5940699:1 gene:ORUFI11G07460 transcript:ORUFI11G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKEDDTVGGGEACRSGQAALAARLLKSLAAGASGAAGGNLIFSPLSIHVAVAMMSAGAGGSTLAEILAVAGAPSRPELEAFVRGVVMDRVLADQSPAGGPCVSFACGSWLDASYSLKPSYRDAIVGTYKGAASTVDFKNHPVEARKEINAWVARATKNLITEVIKPESQSVDTRHVVGNAIYFKGEWLAPFDKSDTAEREFRRLDGSSVEVPFMQRRGGPPPAATGDTYNLKLRYSLPSFAMLVFLPDDRDGLPGLLDRITASPEFVDDHLPPGCVPVGRFRVPKFKLAFCHYGIADVLRGLGLRLPFDMFASEMSGIAVEDGGGGEDAAMFVSSVIHKAVVEVNEEGSEAAAYTEESDDDLGCSLYDDDYTPPPKLVDFVADHPFAFFIVEERSQAIVFAGHVLDPSEEE >ORUFI11G07470.1 pep chromosome:OR_W1943:11:5951497:5952816:1 gene:ORUFI11G07470 transcript:ORUFI11G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAEAPRRHRHRAISGGLTALAVRLADRLGAASPGRNLAFSPLSVHAALSLAAAGAAGGTLDELLAVLGAASRDDLAAFVGRTAETALADKGPESVGPRVVFASGVWCDAARPFKPAYRAAVAAEYNAEATVVDFKNKSEEARKQINAWARRATGKLITDVLPPRSVGPETAVVLGNAIYFKGKWDRPFNESDTERKPFYHNGGAAAAADVPYMSSRSYQRVAVHDGFKVLKLRYRSPQLLRDKRKRGGDVSGEFTRYAMAIFLPDARDGLRGLVGKIASRPGFLHEHMPPAWPVPVGEFRVPKFKVSCGGSVVGALEQLGLRLPFSPELADLSDMVEDDCSGSPLFVGDVQHKAVIEVNEEGTVAAAATMTRMPPSGVPPPPVDFVADHPFAYFIVEEMSSAVVFAGHIVDPSME >ORUFI11G07480.1 pep chromosome:OR_W1943:11:5953417:5957480:-1 gene:ORUFI11G07480 transcript:ORUFI11G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALLALSKIGSYAAIEAAMVAKSKISNLMELSATVQRIRRQFLMMNFFIRKMGASYLSDELLKGWIAEVRMLAYRVEDVLDSFFYHSIQFKKDKFLNKIVKGSHYAVVFNAIADELVQIEKDIEHVSKLKDMWVYPVSQLIPTQVTISEHRFPQYSFPRLMKDEDLVGMENNRQHLKQLFSHGISALRVISVWGMGGMGKTTLVLNVYEQHKEKFDINVWLTVSQAFSVEALLRKLLREIRRRCELTTPGDGDSVNKEQQASDETDKLEVSQLKELKDELKKALMRKRFMVVLDDVWNRQVFDMMHDVFEDFRNGSRVVITTRRGDVAALAHEGCQLKLNPLELNDALLLFSKKAFPNSNDLECPLKLEDLATDIAKKSNDSSLTECRLELNGLATDIAEKCNYLPLANSPAQTLETVEASKDLGEHLEKMIQLRNLWIGNIKAGHCAQLLASLSKMPLLYSLLLCASDEEEKLNIEKLVPTSTMLQKLIIRGCTSESTLECPVFQDHGRRLKYLALSRCHLGHDALERLEKCVPNLTYLSLNKVHTNGAHTLVLPAKSFPLLKTLVLRNMSNVNLLKIGADALKCIEGLYIVSLSNLKSVPEGIESLSSLKKLTLLGLHNDFKAEWNKKRMHEKMKHVTELRV >ORUFI11G07490.1 pep chromosome:OR_W1943:11:5960347:5962416:1 gene:ORUFI11G07490 transcript:ORUFI11G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTTELPSQFLREITDEFSDERKVGEGAFGIVYKGMLKDGTEIAVKKLRETSPIHDNQFKNEVGSLMKVNHRNIVKLIGYCYEIQKKVVEHNGKYILTEAVEKLLCYEYISNGSLDKHLFGESSRLDWHTRFNIIKGVCEGLHFLHKGSERPIIHLDIKPGNILLDDNMVPKIADFGLSRLLGEEQTRVCTQNVMGAIGYMAPEYLYRGEISTQSDIYSLGLLIIEITTGEKNFPNREDIIAKNFIENVKTCIEIGLSCVQTNRKDRPSIGEIVNMLS >ORUFI11G07500.1 pep chromosome:OR_W1943:11:5973218:5980876:1 gene:ORUFI11G07500 transcript:ORUFI11G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPASFSLGAMGPLLRKLNSLLQTPEIRLPTPLKDGVELLKEEVEEISGALLEQTKVDSPSHKARYWMEEVRELSYHIEDCIDTMMPRLSDAKNRSRISRSVRARGYKVGRVRIARLPKKPEPSTMIAELTDLVREASERHQRYQLDDGCDLFSADGWAPVTCRNIARNLVGIDEPKSKLTKLLTDEAEEQLKVVCIFGAPGIGKTTLAEELYRELGRRFECRAFVRASRKPDTRRILEAILSQVQRSPRPSDACTVQSLIDYLREFLKYKRYFIVIDELCETATWDIVNNAFPKSNNCSILITTTEIEEVALECCGYHSDNIFGMKPLGIHDSAKLFFSTVFGSEQQCPNELNEVSYRIIRKCGGLPLATISISGLLASQPDNSALWQQVDKCLCSNLSSSPTLEETLKEVIKFSYSSLLDHLKTCFLYLTLYPEGYTILKGDLLKQWIAECFIPVIEGKDTEGVAESCLYELVNRGMIQLVQMDYNDQMVSCTVHHIVFDLIIHKSKEEKFITAMDYSQTTTLTMEVRRLSLHFSSAKYATKVAGITLSQIRSFAFFGLLKCMPSIVDFKLLRVLILEFWGEYSGCTSLNLTRLYRLFQLRYLKVSSNIIIELPVHMQGLKYLETLEIDGRVSAVPLDIVYLPGLLHLILRNETKLPDYVGRIRSLRTLYFDLGNNSENNVLSIGKLTNLQDLHLTCSRMLSDDHLKRNLVALASCIVKLGRLKSVALAPGTGTSGSAIIFDGANNVSSPPILIERLELLPPICIFCTLPKWIGLLKKLCVLKVSVRELLLNDINSLSELPDLTVLHLHVWRAPVGQIIFMRGSLPVLKYLKFTCGVLCLAFEEEALPNLQKLKVGFNAHRGELYGSLLVGVEQLLNLKELAGRIGEDADAEEPDMRAAESALKDAIRNHARFTNYINIKRVYGFKEEFYEPPVKPNVIPDKDIEQHGIQEKDSSSERPGGGSGALSMWSSSNIDHDIEEFQNKADNLLYCLVYSDPPAPEVLSLTWMHCLVDSFLTCLGEFRALLLRRRAEAINQPQLDCVIADFFVLAVKALDICNVVSHGICAVRRWGSQAAVATSALALPDQAVPINEGQIRRVRRVLADITVCMLSDMQGNFCGEVVGQRWSTTTTRSGGSSKQSHSSGTSLGSIYRAHFGVYSGSWSAAKQLQAIAEGLPVPGAFDIAAVGGLPSAVRTMGDLMFAVAWLLVVAIPCQGRGLQAHLFTWSATHFPNITLYDRILEESKKEGRSNSCGLLKEIGQIERCSWQLLEMTEVIEFPLAKEEDADVRGAAREMAQACDSLEAGLDPLLRKVREMFHQIGRTRIEILDKRKSTAGAAGADQTQGLDKPTKLIPREGVVAPSNKPWKKFVDAVKTNLEGGVRGAAAQHIPSNKPWKMFVDAVKTDPEVGREGRPSRSQNTYGPN >ORUFI11G07510.1 pep chromosome:OR_W1943:11:5985760:5997000:1 gene:ORUFI11G07510 transcript:ORUFI11G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDTTCYLLDSRLRDTNAEPTDLPLSLLKEITKNFSDKLEIGRGGFGVVYRGVLPNGMVAVKKLSADIQIEDQSFQDEIVCLMRAKHKNIVRFLGYCADTQGIMKEYMGRYVLADVRVRLLCFEYIRNGSLDRLITDEYGGLGWAERYRIIKGICQGLCYLHEEQKIVHLDLKPQNILLDQNIVPKITDFGLSRLFDEQKTRTITAKQCGTWGYMAPEYLKDGVITFKADIFSLGVIILEVISGKERRTENVHKNWTNRLQRTLSYTSAQAYCQQVERCIEIASNCMETDRHKRPSIGDVISMLNATEVGCQEAETVESTELLGIHPVELRFVFEPNKLIPCSLHLTNSTAYRVAFRVSPRRPDMYFTEWLCGVVPPMCTYTLIVVMKERQQPLLDLDADEFVLEQSSIMDENDLNVISQGKADTEYNTFFAEIEEKSAVKVQEKKLRAVCDPRGKTASEIMSTMDFEKMVTMDMHLTKPWILTGHFNGYICIWNHQTKKMVNSIEVTREQEVLTAKFVSRKQWIVAGGGDGQIYVYSYNTMKIVTSFDALSNQITSLAVHPTQPYVLSTSYDLIIKMWDWENGWKCTRVFKEEHSSSVMQVAFNPKDTTVFTSVSKDLTLKIWSVDSPRSKLTLQGHSCSVRCLDYFTSGDKQYVITGSDDGTAKIWDMHTKRCVKTLEGHANRVTAVCSHPELPILMTGSRDGTVRLWNSYTFRLEGILNFGLRKVHALGCMKGSRRVVIGHSYGIAITEIDLEENVTALFLLETSTSIDTQEEDSVLGEPTVLQGVLDNGEMIAVKKLERTSGIHARRFQNEANNLLELEHKNVVKLIGSCCQAERQVVEHDGKYVFTDVVDKLLCYEYLPNGSLDNYIYDESNEFGWPMRFKIIMGICNGIHFLHKERNEAIIHMNLKPSNILLGDNMVPKIADFGLSRLFGQEQTRLITQNVVGWIGYIAPEYYYRGEISEKSDIFSLGILILEIVTGLKNDSTSQEVSSRILIDNVRKNWLKSSQITSKYPSLEEDDLLQAKRCIEIGLNCVETDPKKRPTIGEIIVKLTDKGTEVKQGVLPNGELVAVKKLLDSVTAVNQDKQFQSEAGILIDLNHKNIVKLIGYCYEIRKEVVENNRKFFFVETPKKLLCYEYLPTGSLDKYIYGESSELKWDMRFKIIEGICQGLKFLHELKRPIIHLDLKPGNVLLDDNMMPKIADFGLSRLLGEEQTRTRTLTVVGSIGYIAPEYRYSGEISTKSDIFSLGVLIIEIVTGLKVDSSSQDVTSKGFIENVRNNWAKMPQIASNYPLLEANCLQQVKRCIDIALACVDKNPKGRPSIGEIVDRLNWRKG >ORUFI11G07520.1 pep chromosome:OR_W1943:11:5998059:6000790:-1 gene:ORUFI11G07520 transcript:ORUFI11G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLLALTKIGNALADEIAKELIAKLSEKVNNLKDLDEKIEQMRKQLTTMNNVILQIGTTYLTDEVVKGWIGEVRKVAYRVEDVMDKYSYYSVQMAEEWFLKKYFIKASHYVSVFTEIANEVVKIEKEIKQVIELKDQWLHPSQLVSDPLTEMERQRSRDSFPELVKDEDLVGIEDNRRLLTEWLYTDELDSKVITVSGMGGLGKTTLVTNVYEREKINFSAHAWMVVSQTYTVDALLRKLLWKVGYTKPPLSTLSNMPLLSGLLLSAKDENEPLCFQALKPRSTELHRLIIRGQWANGTLDYPIFRSHSKYLKYLALSWCHLGEDPLGMLASHLSNLTYLRLNNMHSAETLVLDAEAFPYLKTLVLNKMPDVNQIKIMDGALPCIEGLYIASLPKLNKVPQGIESLSSLKKLWLTSLHKDFKIQWNGNGMHQKMLHVAEVRI >ORUFI11G07530.1 pep chromosome:OR_W1943:11:6011265:6018252:-1 gene:ORUFI11G07530 transcript:ORUFI11G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPMASGSAANKATSRAPLAKPVLTLGIMKAKNELRNILVDHVEGEQEQMRAICIVGFGGSGKTRLAREVYDSFQDGHTFPTRAWVDAWMYKDDYKGLLIDLLKRLPKLEDRITGDSDVEQLRTEISNYLNTNSFPHPLLFHRSPHPSPRSLVVFDDIDEQQWDDYILPEETRSRIIVTTTSQAAANNCRSDGGYIYNMKTLDENDSKALLKAMFKKRPTYFDREVATPIVGKCDGHPLALVSVAKYLKMGNDLRENCTKVCGSLGSQMEEQSAFQELRRVLMSSYGNLSDHGKTCLLYMSGFPKGFQIRRSSLIRRWVAQGYVQSVPKQSDEAVAHDNFNQLIERNIIEPMHIGDDAIVNTCRTYAVMRELMLHTSFCDRFIGSLDAGQSEPTNFRHLFIQNDKNNRINWSGRKEDRARSLTIFGSGKDSISYITKLELLQVLDLMECTDFSDNLLKDIMNKLRRLKYLSLGSATKKVPKTIKELHCLHTLELNKTNVVALPIEVIKLPHLVHLFGKVKLRKKKSINALQAIYDIISKKETIGQKSKLQTLSGFIIDEDSIIPELMVHMRGLRKVKIWCDSTGESNTDWIIHLKEAIENMVMYEMDTGVGVRSLSLYLGNALENLLGRLGETNGFLTTLKLHGRLSQFPKFVTSLAGIKELCLSSTNLTGSDLSGSGLGELPCLLYLKLVEYNLVGFVIKKGDYPVLQRLCLVVESPTPVLPTIEEEALPELVSLHLLCGHLVNLAGINIRNHTNLQEVALDSAISMETIITWEDEARKHPKRPKVLFFKRVGTPNTGCTVKYTATERPKTPESTGTDESMDIDTPLRL >ORUFI11G07540.1 pep chromosome:OR_W1943:11:6026426:6028969:-1 gene:ORUFI11G07540 transcript:ORUFI11G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLMECILSGLLSVDGLKFIEDYNVSAYIGSHVWAEDPELAWVDGEVVKIKGEEAKIQATNGKKIIAAAGGVDDMTKLSYLHEPGVLQNLATRYELNEIYICYSAWPFTIIANLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVL >ORUFI11G07550.1 pep chromosome:OR_W1943:11:6065737:6066338:1 gene:ORUFI11G07550 transcript:ORUFI11G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPDQETSDQRELIYPDTKISNSNAYQLLTYHGSLWKAAEQQMETWSCFTDLSFMKHTDVDMAERSRLPLPQWRPRRSRRGSQTELRLQAFHPEQRMETAVKGGDEGRGPSMGMSPRQPRLGWGRGAATWGWMGHWGGTAAGAWLARSAAAGGLDGLRRGARGWGDWGARRWG >ORUFI11G07560.1 pep chromosome:OR_W1943:11:6082232:6085880:1 gene:ORUFI11G07560 transcript:ORUFI11G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTSASLGAMGSLLQKLKELKGPPTIHQLMADLSILQDRLEKLSKVRDPSLTVKYWMKDVREISYDMEDCIDFLVNADAGAKMGWDDTISGFLTLVKEANERWSIYNLDADAGSSPTNMVVHNHLPTVFSKVVDPVGMDGPRNKLRGWMAEDEDENLKVLCILGAEGIGKTKLAELLWRELEGKFECRAFVQTAKKPDIRMILRNILSQVRPLQPVVPCAVTNLIDDVKNHLKNRRYFIVIDDLWAPSVWDVVRHAFPVNNCGSRIITTTKVDEVALACCVYNPQYIFKMKALSVDESKKLLISRTFGSGEHPGQFHKVTQEIARKCGGSPLAIIIMGSLLAIQHETVQNLEDRLKYWQYVENFLCNNLRVNATSDEILKQVLNLCYNSLPCCLKTCLLYLSVYPDNYILLKEDLVNQWIAQDFVCSSTRENAMEVAMSYFNKLVNLGLIQCRDIKYKSDILTYAVHHIVHEFITRKAQEENFVTAIDYSQTTVRLTYKVHRLSLHFGSATYATTPANIGLSEIRSFIFIGLFNCMPSIKEFKLLRVACLDFSCEDGNMIDLTEIYQLILLRYLQVNCSVTVKLPDQMQCLKHLETLEINANDAVVPSNILHLSFLQFQFYGLSNQPGVADGISNLTMDPSTSHTSTSFHGSTRESIPPVQTLELLPSACIFSGISKWISQLRGLSILKIVVTEFQTSNIDILTELSTLAALSLYVLEPTAKCVVFKQRTFSALGFFEFRCRKLWLEFEEGAMPNLQRLYLVFNAHRGEEYGHSVLSGVEHLLNLKDVMARIGVASGAGESDRRAAKSVCENAIGKHPYGPTFEVVGTIDRQIQSQQCPMQRGDVRQAIKIDIRQTTNRDLEDTKNLSDSRTFQANDPPKSSQDPKSGVL >ORUFI11G07570.1 pep chromosome:OR_W1943:11:6090045:6095851:1 gene:ORUFI11G07570 transcript:ORUFI11G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEVEATLLEGGIEWLAQTILENLDSEKLDEWILQVGLSDATEKLKAEMERVDVVVTAVRQRAIGNKLTRSLGRLRELLYDADNAVDELDYYRLQNQVQEENSTLTSDSRNRMKITDESTHNNAVNLRHWNNAELQAEAVRPKFATDISSSSGGPGGKRWSEVWKNFDITEHGNGKAVKKVKCIHCNTVLKCGASKGTSVLHKHLRSISCKNKRGASYQQPNLSSTSDITANSALTVEFGGSGSRKRMKIIDESTHNNAVDSRPWNKAECSSKIQQITGRLQDAIGAVSEVLKLHGSDFVGNSNYRTSTTTTLFPTSSLSPHKIYGRDAEKNAIMKIIADDSYDGVTVVPIVGIAGVGKTALAQLVYNDPIVKHNFDQVWVWVSKDYNEVMLTMEILDFVSQERHEVSHQRKESHNRISSFAKLQEILDGHMDIRTKKFLLVLDDVWDSMDDNTWNTLLVPLKSNRAKGNMILVTTRILSLAQRVGTVDPIKLGPLSYEDFWLFFKACAFGDENYRAHPSLDIIGRKIADKLNGNPLAAETAGPILRKDLAIDHWSNTLRDEAWKSLDISRGIMPSLKLSYDQLPYHLQQCFLYCSIFPSGYCFLGQELIHIWISQGFVHRNSSSKRLEEIGREYLSNLVNSGFFQPGAQTNQFTQVDKTSYVMCGLIHDFARMVSRTEYATIDNPQCNKMLPTIRHLSILTDSTHQEDPDCGKVEERIRNAVKPVKHLRTLVLIGQHNHIFLKSFKDIVQKEHHLRVLQISAPFTDIDPLLFNLVNPTHIRYIKFDDGALPLSVGKFYHLQVFDAGSESDLIIPNDMDNLVSLRHLVAAKQVFSSITSIGKMTSLQELNDFSVHNSLGLNQLQSLNQLVQLGVSGLETITTRIEACGARLRDKHNLEKLHLSSKDAKDGYDSGMSSENEYDTDMSSENETDSDIEPLMEGLTMADTNISPVLKNLPGIAREVLDGLEPHHSLKHLRISGYNGATSPTWFPSSLTCLQTLHLEKCEKWQRLPLERLTLLRKLVLIKMRNATEVSIPSVEELVLTELISLKACSCTSIRNLNDNLKVLKIKSCPALEVFPLFENYPQFEIEQSSWFSCLREITIYGCPHLRVHKPLPPSPNVEKLSITRVSTLPSIEGSSSGTLRIGFSYDWMDEWDESSDQMITLDDKVMAFRNLRFLSGLVICGCQNLTTISLDSLRQLRSLKSLEISNCLELFAPNVPSDTREDMAAADHNTLLCLKLLDIRNCGITGKWLSLLLQHMKTLQELRLQDCEQITGLSIGEEECGQPNLMSATETPSLGFSAENKLPRLPLNIICSLKKIYIKWCSDLTFHGSKDGLAGFTSLEQLEISVCPKLIDSLLHNDGNVEQANRRWLLPISLEELIVQYDGPFEMLQLCFPGNLTRLKKLFLMREVSLTSLQLHSCTALQELTIRCARSQSLNSLEGLQSLGNLRLLQVKGLRAHMGYLLPQSLEELCINNCFIQTLCPRFQMNLTCLKKLEVHHSEFLISLELQSCTALEELTIKYCKSLAVLEGLQFLYGLRSLQVHECPRLPPCLDNLSWQGHLRLEKLCIDDTSILTTSFCKHLTSLEYLKIYGFRSEEGRLTDEQERALQLLTTLQELQFISCDYLQDLPAGLHSLPSLKRLEIWGCYRIRKLPEKGLPPSLEELDIYGCSKELDDQCRTLGSKLKVKIDGSLVSS >ORUFI11G07580.1 pep chromosome:OR_W1943:11:6100222:6102945:-1 gene:ORUFI11G07580 transcript:ORUFI11G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLLAVKKVGNVLADEAAKAVIAKVSEKVTNLKELPEKVEEIRKQLTIMNSVILQIGTSYLTDIVVKNWIAEVRKLAYHVEDVMDKYSYHAIQLEEEGFLKKYFVKGSHYVMVFSDIAEEVVKLEKQIQQVIKLKEQWLHPSQLNPNQLAESGRPRSHDNFPYLVKDEDLVGIEDHKRLLAGWLYSDESDRAVITVSGIGGLGKTTLVTNIYEREKVNFAAHAWIVVSQTYNVEALLRKLLRKIGSTELSLDSLNNMDAHDLKEEIKKKIEDSKCLIVLDDVWDKKVYFQMQEAFQNLQATRVIITTRENDVAALATSARRLNLQPLNGADAFELFCRRAFYNKGHKCPKELEKVANSIVDRCHGLPLAIVTIGSLLSSRPAAEFVWNKIYKQLRTELANNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYTMTRESLVRLWVAEGFVLSKEKNTLEDVAEGNLMELIHRNMLEVVDNDEIGRVNSCKMHDIVRVLALSIAKEERFGSANDLGTMLLMDKEVRRLSTCGWSDDTVSTVKFMRLRTLISLSTTSLSLEMLSSILCGSSYLTVLELQDSEITEVPTSIGNMFNLRYIGLRRTKVKSLPESIGKLSNLHTLDIKQTKIEKLPRSVVKIKKLRHLLADRYVDEKQSDFRYFVGMHAPKELSNLQELQTLETVESSKDLAEQLKKLMQLRSVWIDNISSADCANIFASLSSMPFLSSLLLSAKDENEELCFEALRPRSTELHRLIIRGQWAKGTLDCPIFHGNGTNLKYLALSWCHLGEDPLGMLASNLPNLTYLRLNNMHSANILVLSTESFPHLKTLVLKHMPNVNQLKIMDGALPSIEGLYVVSLSKLDIVPEGIESLRTLKKLWLLYLHRDFKTQWHKNGMHHKMQHVPEIRV >ORUFI11G07590.1 pep chromosome:OR_W1943:11:6104587:6119403:-1 gene:ORUFI11G07590 transcript:ORUFI11G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKHDKKNQKWAKAGSTPGQAVWREEKEGGGAAKVYGRCTVEEASAIAAWPPSAIMRRECRNDSSGHGDLSSLFAGSNDNCPAPPMVASSAPSAMSGHKRETPDGKASDDLTQKEIRKKKRKEKKKNQAAGSWLKSSERVKVSSSSLVGELLAIDSSESMELASD >ORUFI11G07600.1 pep chromosome:OR_W1943:11:6119439:6120219:-1 gene:ORUFI11G07600 transcript:ORUFI11G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVPGYFVGRPMNHAEPAKEQQQGADEQRPAANAQIPGDYFVGRPANPQQPPSQPQRAQERPSFLAKCCPCLAGGGAES >ORUFI11G07610.1 pep chromosome:OR_W1943:11:6122302:6123032:-1 gene:ORUFI11G07610 transcript:ORUFI11G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNPPGYFVGRPLNHEEQQASRPAEEQNAQTPGYYDGRPVRPNDANGEQRKEPGFFKKLFGCFTGGQNVN >ORUFI11G07620.1 pep chromosome:OR_W1943:11:6130078:6132777:1 gene:ORUFI11G07620 transcript:ORUFI11G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVILAVSKIGSVLVEEATKAAITKLSEKVTNLKELPRKIVEIQSELKMMNDVIKQISTTNLTDDVVKSWIAQVRCLAHHVEDVMDKYSYYALKLEEENALIKFFNKGHYAPFFSEIAEEITQIEKDIENVVRRKDRWLQPSHFIPNPLANIERKQSQDCLLELIQDDLVGIENNRKLLIEWLYSSEADKTVLTVSGMGGLGKTTLVSNVFEREKNNFNSIWINVSQKYDVVDLLRKLLRKIEPSEQTKLSDLDAHELKDMIKQRPKDSKCLIVLDDVWNREAYNQIGDAFQNSQASRIIITTRQGDVATLAHPTRQLQLKELKPSDAFHLFCKKAFYNSKCPDDLKMLVHNIVDRCKGVPLAIVSIGGMFSSVQPDYYVWNEMYKQLQDELVNNNHIQAILNLSYRDLPGHLRNCFLYCSLFPEDHLFLRETLVWLWVAEGFAVRKKQNTPEEVADRYLRELIQRNMLKAVEYDELGRVSSCKMHDLVRDLALSIAKEENFGSADDYGTMMEMDRDVRRLSSCGWKDNSAPKLKLPRLRTLVLLTTISSSPYMLDSILSESNYLTVLELQDSEITEVPKSVGNLFNLRYIGLRRTKIKSLPDTIENLSNLHTLDIKSTKIEKLPRGISKIKKLRHLLADRYADEKQTEFRYFIGVQAPKDLSNLKELQTLETVQASKDLAEQLKKLMKLRSVWIDNISYDDCAKLFATLSDMPLLTSLLLSAKDENEALCFKDLKPKSTQLHRLIIRGQWAKGTLDYPIFHDHGAQLKYLALKGCQLGKDPLGMLASHLKNLTYLKLNNMRSARTLVLSAEAFPLLKTLVLKNMPDVNELKIMNGALPVIEGLYIVSLPGLERVPPGIETLQTLKKLWLLNLHKNFEADWIGREMNQKTRHVPELHF >ORUFI11G07630.1 pep chromosome:OR_W1943:11:6141508:6144216:1 gene:ORUFI11G07630 transcript:ORUFI11G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVILALAKISSYLAGASISKFSEESSVLKELPGKIRRIQIQLSMMDNVIRQIGTSYLNDDLLNEWIRHVRNLADHVVDVMDNYSYHTLQLEGKNFVKMLLVMKRSCFLGIADEITEIEKEVEVVSKLKDQWLNSYQLIPSDQHGGPYSKQSQDIPNLAEEDDLVGVENNRMLLTEWIHSKEPDSAVITVSGMGGLGKTTLAEIVYEREKILFECSAWLVVSQTYTMENLLRKLLLRISSNYQTLDMDMDMDIHDIKQEIKRTLIERKVLIVLDDVRDEAVRGIVNNVLNELCNGSRVIITTRVDHVAAIAPPKRRLKILPLDMTDAFELFCRKAFLRNKDWECPPELQDVAMATVEMCQGLPPAIISTGRLLSLRPKSESAWRKLHDQLQGEVEKYGNIQGILNLCYHELPGHLRNCFLYCSLFPEDYLMSRESLVRLWVAEGFVEKKGRATAEEVAEGYLMELIHRNMLQFVESDELRRVSLVRMHDNLRDLAMAISKAQGFGYSTMLQMDANANVRRLSACRNWDMNATGTKFPRLRTLMALEMTITSCPDMWSCISSGSKYLAVLELQDSEISEVPESIGNLFNLRYIGLRRTKVKSIPESIEKLCNLQTLDVKQTKIEKLPRGVVKIKNLRHLLADRYADDDKQTNFRYFVGMHAPKELSNLQELQTLETVESSKDLAEQLKKLMQLRSVWIDNISSADCANIFASVSSMPFLSTLLLSARDENEALCLKDLKPKSTQLHRLIIRGQWAERTLDYPIFCSHGVHIKYLALSWCQLEKDPLGMLASRLKNLTYLRLNYVRSARTLVLSAEAFPLLKTLVLKHMPDVNELNIMNGALPVIEGMYIVSLPGLERVPPGIETLRTLKKLWLLNVHENFKAHWIEGEMHQKMQDVPEIRL >ORUFI11G07640.1 pep chromosome:OR_W1943:11:6144064:6168032:-1 gene:ORUFI11G07640 transcript:ORUFI11G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDERRRRLFLDSSGADQRLSCALSPPGFSLPTDGAPPASREAPRPTRPRPPRRSSRRVRRSVWRAAAGSRQARAPSRGGRAAPAPARPRPLRDGANPPLAGWPCPLRPDSRVRPSPGGFPAPGLPRWRGPGVPPDGRRRQPPSPSRPGRQALAPRRRHSLSGSPPLRTPAYPGFKSWVRHWISESCSSRTVSGVERKGAASSSVEANLRAAAAGVGDMPAAEGSKGFNARRDPLQPW >ORUFI11G07650.1 pep chromosome:OR_W1943:11:6162684:6167254:1 gene:ORUFI11G07650 transcript:ORUFI11G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAALALSKISIALGDEATKAVIAKLSGIVTNLRELPDKVEYIRREVCVMRDVIQDLDSTNISTNVVKGRIDELRKLAFRVEDVMDKYSYYASQRKQERSLMRFMKGTHYAGVFNEVANEVMKIKGDIEQVKRQQLEWFPTVQLIPRTPTDNETPRSQGRRKLLGCGDPVGIEYNREKLLELLYSDEPHHRVITVSGMGGLGKTTLALDVYEREKIKFPVHAWITVSQTYTIVTLLRQRAFYNRKDHMCPPDLENVAVSIVSFAVEIEYNGAEDVAEGNLMELIQRNMLEVDEYDELGRVSSCKMHDIVRNLALSIARQERFGYANDYGAVEKVDWEVRRLSLFLNKGKGCASTVKFPHLRTLLETTTHPPGLLSSILSESKYLTVLELQDSDIQWRTQDLNPGRTRLYSLPESIEKLSNLQTLDIKQTKIEKLPRGITKIKKLRHLLADRYDDEKHSEFRLLLSAKDENEPLCFEALQPISNELHRLIIRGQCAKGTLDYPIFHSHGTHLKYLALSRCNLGEDPLAMLASHLSNLTYLRLNNMHSAKRLVLDAAAFPCLKTLVLKHMPDVNQLKIISGALPVIEGLYIVALSGLESVPSGIETLRTLKKLWLVDLHWDFEAHWIESEMDQKMQHVQELIL >ORUFI11G07660.1 pep chromosome:OR_W1943:11:6169728:6175384:-1 gene:ORUFI11G07660 transcript:ORUFI11G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNINETGDPVAWPSIEEAKDMWSKLMDMPEAVQKKWMQDSKTLLQQQIAKLQKKLDNLKAENYKREITNIISELSVGLCKNLDDLSPEMVKGVKLEVAKHREAIRNRIVELRAQGASSSVVVAPQEEIVAPHAFQFDLNEPAAVDDDPPIVREERLMGRRQPARLLWCRAPMHRPSSSHAASALSWISVACSMFHVAANSPHAKNMWSKLMDMSEATQKKWMQDSKTLLQQQIMKLQKKLDNLKAENYKREITNIISEIGGGHRKNLNDLSPEMVKNVKREAAKLREAIRNRIIELHAQGASSSVVVAPQVEIVAPHASQFDLNEHALV >ORUFI11G07670.1 pep chromosome:OR_W1943:11:6177154:6184846:1 gene:ORUFI11G07670 transcript:ORUFI11G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMEDAGGRGMTAFALRLAKRLAGGGGSSGNNNKKNIVFSPVSLYAVLALAVAGARGTTLDELLALHGAASLDDLTESIHRVMEVDLADESASGEPPISYACSAWHDETLMLSYSSMVTLSPCCRHRRVRKKTEFSSMEAWARLFAGSGLAALSMRLTKQLSTGGDHLAAAGVQQNGGASKAGPSNLVFSPLSIYSALSVVAAGARGRTQSELLKALGAGSREELAENVAKTMARALPDGTPQRGGPRVAHACAIWHERARTVKPAFRDAAAASFKAVTRAVDFLRNPEEACKEINRWVSTATENLIDSIVSPDSVDKNTRLVVTSAVYFKGRWARPFDKEKTKKDKFHLLDGGGDVDADFMRSGEDQYIAVHRGFKRDGLWKLEDRMAAGGEGFLRKHMPERRVEVGEFRIPRFKLSFGDSVVRALRGLGPIRPGVLEADNSGEPPLFVSDVVHRAVIEVNEEGTEAAAATAMILLGAAPNAAPPPPRVDFVADHPFAFFVVEESSGAVLFAGHVVDPTKQYPPPPRRRCCAIQGFVVLFLVYVLAVLVLAGGELFRDDHPLDLRFPSSPGIGSSSSSSARFLLSPRSLLLRLGEIASRRGRWWRPESDSPTSGGRKDGNSSTTEACSRRCAASGLTGISLRLAEQFSAEEDGGGGGGNLVFSPLSIYSALSVVTAGARGTTLAELLAALGAPSRDALAKNAAEIARALAGGTATGGPRVAHACGLWHERTRSLKLAFRDAAAASFNAATRAVDFLANPEEARKEINSWVAAATENLIDTILPPGSVSTDTGLVVTSAIYFNGTWQTPFRKQDTKKDKFHLLDGHGTVDADFMRTGEDQYIAAHDGFKVLKMPYAHDHAAPQPSPRYYSMYILLPDERDGLSSLEDRMAAAGGGGGGEGFLSEHMPVRRVEVGEFRIPRFKLSFSRSVVRALRGVGVNAVFDRAELPDMIEGEPLRVSDVLHKAVIEVNEEGTEAAAATAVLMEGAARYAPPPPPREDFVADHPFAFFVVEESSGAVLFAGHVVDPTKS >ORUFI11G07680.1 pep chromosome:OR_W1943:11:6193286:6193747:1 gene:ORUFI11G07680 transcript:ORUFI11G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSPSPAKSQQVGSPQPGQRQPAPERATESRTGAAADECEPPPTRCRGRRRAHAGEARHRSIRLRPSGVGVDQARHRSPRPEGQPDLATPSPVAVAGADRHGEPHRRATVAVESPTAAQSYRHDLAVPPPSLPRPRRDETEPRMMAPPPPS >ORUFI11G07690.1 pep chromosome:OR_W1943:11:6197474:6210164:1 gene:ORUFI11G07690 transcript:ORUFI11G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPAAGAPRRRWCAIQGLVVLFLVYVLAVLVLAGGELFHDDQLQPRFPSSPGIGSSSSSSSARILLSPRSMIRRLGEIARRSGSRRWWTGGVRPESGSPRSEGGNSSATDQACSRRCAASGLAGMALRLAERLSLEEDSVGGGNLVFSLLSIYSALTVVTAGARGTTLAELLAALGAPSSRDALAEDAGEIVRALPGGSGTATGGPRVAHACGLWHDRRRNVKPAFRDAAAASFQATTRAVDFLANPEEARNEINSWVAAATENLIDTILPPGSVSTDTRLVVASAIYFNATWQTPFRKQDTKKDKFHILGGGGDVDADFMRSGDDQYVAAYDGFKVLKMPYNTRASRTHTQPQYSLCVFLPDKRNGLWTLADRMEAGGGEVFLREHMPEKRVKVGEFRIPRFKLSFDGSIKTALQGVGVRAVFDPAAADLSDVLEEGNSGDPPLFVSDVLHGAAIEVNEEGTEVAAATVVIMKGRARRPSPAPAPVDFVADHPFAFFVVEESSGAVLFAGHVVDPTNPSQLRAAGFTPIYIFVDGYLYPKRNALFFYSDARRKSLVAANTSAFAARSSRCLHSMGSLGSPAGRRARRFHDLRDVVSEHADAATATLSVVVFSAVAGILHLMQDTDEARKEDTPTKTNPYFRSNQLMEDLIRERASYNETTPDEKTVVREYMEDDQAMRARFKDWMKEHGRTYKQDEVEEARRFKIFKSVARFSDAANDDSANAGHSTRFGLNEFSDWNQEELARMCCCMPARSDGGGSGIRRRTPFSTMESCARRCAVSGLMALSMRLTKQLSAAAAASKAGAAGNLVFSPLSIYSALSVVTAGARGRTLTELLGALGAESREKLAANAGEMARALPAPGGGAAQPGGGPRVAHACGVWHERTRTVRPAFRDAAAASFNAAALAVDFLNNPEEARKEINSWVAAATENLIDTILPPGSVSTDTGLVVTSAIYFNGQWRTPFCKEITEKRAFHRLDGGDVEADFMRSGEDQYIAVHDGFKVLKMPYAACVSARTTTTPRYSMYVFLPDERDGLWSLEDRMAAGGGEGFLREHTPERRVEVGEFRIPRFKLSFDDSVVGALQRLGVRDVFKPFVADLADVLEAENSGDDPPLFVSDVKHKAVIEVNEEGTEAAAATAVCLTFASAAPSSRRPARVDFVADHPFAFLVVEESSGAVLFAGHVVDPTDE >ORUFI11G07700.1 pep chromosome:OR_W1943:11:6217067:6218287:-1 gene:ORUFI11G07700 transcript:ORUFI11G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVACSSRRRRLKANAGDPSSSWASLHEDLVSLIGWRVAAGDFRDYIRFRAVCPQWRSATTCPRGRGILDPRFHPRGWMLLPEGRGLHPGHGKLRGHARFFNLSTGAVVRVRLPQFRDHCVLYSADGVLLLQRDHDTAVRLLHPFTGDTAELPPLETLLPRVRCRSEASRWCSLRNICGASISVGVGDGLVRVMMRPIGVWNICFATSGDQQWRVATTWDRINHRSSTLPFHGKLYVLLRPHSVRGEHEVIQIDPPQNSISEPSPKLIAKFKWPTSDESFRLYSYRLVECNSEILVIGTKWDAVYYSVYRLADLMLGRTVHVTSIDGNALFIGMRSLCVSSKAFPTIVPDTIVMPDTKIYLSQYHLSNGTLSQATDGVIAEEKDIPGPYSIMCHIITCCSPPYW >ORUFI11G07710.1 pep chromosome:OR_W1943:11:6220073:6222656:1 gene:ORUFI11G07710 transcript:ORUFI11G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPAQHARTDGPLRLEEGEDVVEHLVRESADAVAFASASASARRSRFLGLILWRRHYVEQVAGGAAALFDGMFPRAKMRKMSAASSGRVKIGDLPEDLLRRVVSLLSARQAVQTSALSRRWRHLWRSAPLLRIVPDEGFQTVRGLNEFVKHLLLLRDGAAPLDACVINFYCCEFDSYRYPSSDEPDVGLWLRHAVSRGAQLIRVEVYVEDEPVCLPDLPLVSNHLRVLGLRLVEIKDSLVDFSGCPSLEHLKFQGGFINARRISSPSVKHLIIDGSGFNRKFRTRISTPGLISLELEFWGSTPLLEGMPLLVTASVNLDHECRDRCANTEFGDCGDPECDDCDVMVSDGDGCVLLQGLSGATTLELTTESRVFMFRRDLMWCPIFSKLKTLLVNEWFMTSNMSGLACLLEHSPIVEKLTLQLSKEPRNFVEIEDSDKPCKQAFLFN >ORUFI11G07720.1 pep chromosome:OR_W1943:11:6224900:6226533:1 gene:ORUFI11G07720 transcript:ORUFI11G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTKASKRSRHDHGFAAVARPPSCPGLTELAIRLARRIPASAAGGGGNLVFSPLSVYAALALVAAGAAGDTLAELLGVLGVASYDELAGLVGRLTGKALADLSGTGGPCVSFVSAVWHDMARTLAPSFRAAAVLSFMAETHAVDMRSRREAVGQINAWAKKATNELIDSFHRLGAAAAVDARFMRSTLPRHHIACHDGFKVLRLPYEQGRRPPWSPPPSRFSMCVFLPDARDGLWDLLDEIASAPGLLQAALPTKTVRVGKFMLPKFKLTFSDDIAGVLRGLGLDVTFSDGVAEFSKMVEDDGGRRPLSMRSLVHKAVIEVNEEGTEAAAVTGATLCLASAKRPRPVVVDFVADHPFAFFVIEETSGAVVFAGHVLDPSSKPGALDDDDDDDVVDHRSTPGASEEEDDGDDDMDHHVGMIGCLRQLWGCCCMPFVVVRNFVKFLV >ORUFI11G07730.1 pep chromosome:OR_W1943:11:6232688:6241736:-1 gene:ORUFI11G07730 transcript:ORUFI11G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVPWHFRRGMASDRSHRTSSDDECSSNSKSDNKVADSDYANSISEEEETSDSDKNITKKDLVSTLKDLDRSKGKEHTKLEYDQDKSGSAESPKPRSRLNITYFSNLIEGLSNEQRSIIEKSAFGSLLNFQRCAIPLSFVKWIASHTDVSCLDIVINGRSIPINPNTTNFILGIPNGGLEIKHDNDAGKHFFHQHYGSTKPLISFFGTKFLSDKGVNKLSEDDVLRCFMIKAMEKLSYDAICSGARCIEQQGNNSNISLMTFPQLANSTTTREEKSGEEKSKGGSKQGGSNVAHNENVTCSQLQTTPPSKPTEQENSKSNSNKDNACKETQHANKKASNKDFVCSQQSNNSVASRTRAKRLHSASPLSVVSISPSKKNSSDQKIRKAVRKQPLKATQPTSTQPDKEESNKDGIFVEPICTIPAKKEEVQPTKDLESNSTEFVIDIEGPYDVEDITGHTMDKTKFILVIYSNSSEDHKSQDPTQDESDNIPHKSTNGERYKHTPKTCINNKDGVQHLSANFTANMGNRRLEGQHCDENEYQDDFAEFLYEEEPSDNIDMVEQRAQPQINNEQSSPQTPLHINIASARTPATKQSSQSSPDIGINSPRIAQMREPNQHAQTEERQYSMTRIIDSLNASGNCSGTRHNLYRPKRIVHPSKYKSSPYDSYTRHQTISAAELNHYNNILSIVLMNNVKVTWSSLSKSLSPRGVVDTYVLNAYAKKIANDQNNKENEYRNFYFFHRTSVYFLKNWEGAGKEEDYENCARQAFTFARNKKPLHYYDLVSYFPMSV >ORUFI11G07740.1 pep chromosome:OR_W1943:11:6249896:6251337:1 gene:ORUFI11G07740 transcript:ORUFI11G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPAAPPCPGLTELALRVARRIQAGGAPDGNLVFSPLSVYAALALVAAGAGGDTLAELLGVLGAGSRDELAGLTGRLAGRALADRSRAGGPRVSFVSGVWYDKTRTLSPSFRDAAVQSFMAETRAADFREKPGEAVNQINAWARKATNKLIDTVIDGGLPADTDVVVANAVYFKGKWKDPFTKALTKTGKFHRLDGAAVDASFMQRGTYYDTGDYIACHDGFKVLRLPYDDERRRSPASPPPPPSTPRFSLCVFLPDARDGLWDLLDEIASTPGFLQAKLPTRHASVGELKLPKFKLTFSGDIAGVLRGLGLDATFSDGEADFSKMVEDDGGRRPLSMRSLVHKAVIEVNEEGTEAAASAINMVCGMSMTPEPPPVPVDFVADHPFAFFVIEETTGAVVFAGHVLDPSSTAGALDDDDDDDEFVVMGCLRYLLDRCMAFVGV >ORUFI11G07750.1 pep chromosome:OR_W1943:11:6255922:6258974:-1 gene:ORUFI11G07750 transcript:ORUFI11G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHILVICLPLITLLSLSISSSCQSDHQIQTQALLQFKAGLTDPLNNLQTWTNTTSPCRFLGVRCDRRTGAITGVSLSSMNLSGRISPAIAALTTLTRLELDSNSLSGSVPAELSSCTRLRFLNLSCNGLAGELPDLSALAALDTIDVANNDLSGRFPAWVGNLSGLVTLSVGMNSYDPGETPASIGNLKNLTYLYLASSNLRGVIPESIFELAALETLDMSMNNLAGVIPAAIGNLRQLWKIELYGNNLTGELPPELGRLTGLREIDVSRNQLSGGIPPELAALEGFEVIQLYRNNLSGQIPAAWGELRSLKSFSAYENRFSGEFPANFGRFSPLNSVDISENAFSGPFPRHLCDGKNLQYLLALQNGFSGELPDEYSSCDSLQRFRINKNKLTGSLPAGLWGLPAVTIIDVSDNGFTGSISPAIGDAQSLNQLWLQNNHLDGEIPPEIGRLGQLQKLYLSNNSFSGEIPPEIGSLSQLTALHLEENALTGRLPGEIGGCARLVEIDVSRNALTGPIPATLSALSSLNSLNLSHNAITGAIPAQLVVLKLSSVDFSSNRLTGNVPPALLVIDGDVAFAGNPGLCVGGKSELGVCKVEDGRRDGLARRSLVLVPVLVSATLLLVVGILFVSYRSFKLEELKKRDMEQGGGCGAEWKLESFHPPELDADEICAVGEENLIGSGGTGRVYRLALKGGGGTVVAVKRLWKGDAARVMAAEMAILGKIRHRNILKLHACLSRGELNFIVYEYMPRGNLYQALRREAKGGGCGGAAAELDWARRCKIALGAAKGLMYLHHDCTPAIIHRDIKSTNILLDDDYEAKIADFGIAKIAAEDSAEFSCFAGTHGYLAPELAYSMKVTEKTDVYSFGVVLLELVTGRSPIDPAFGEGKDIVFWLSTKLAAESIDDVLDPRVAAPSPSSSSSAAAARDREDMIKVLKVAVLCTAKLPAGRPTMRDVVKMLTDAGAGPCSPRGQPPAARACARSKSCC >ORUFI11G07760.1 pep chromosome:OR_W1943:11:6265266:6265815:-1 gene:ORUFI11G07760 transcript:ORUFI11G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLTTRGRTRITMDLPATRDQDDYEASIRGTFYVQLTITMYVSKSLSLPFHVIKGRDELYIKFFVAFGAYALSLIPHPHVTHKSLWTQ >ORUFI11G07770.1 pep chromosome:OR_W1943:11:6285428:6285640:1 gene:ORUFI11G07770 transcript:ORUFI11G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRRASSRESAPWSEASTVEGRRTTSCGDAGDGSARMPAMEVWSAAAWGDVGSSVARTPSVEAGCGDN >ORUFI11G07780.1 pep chromosome:OR_W1943:11:6285680:6286652:1 gene:ORUFI11G07780 transcript:ORUFI11G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAVDAWSEARSAAVRGGEGSARMLSVRQRVDGDTGDRPSADGDPSDRLRVDGDAGEPPRIDGEAGDRRRVDGDTGDRPRTDGDPSDRPRVDGEAGEPPRLDWDPNDLPRVDGEAGEPPRVDGDTGEPPRVDGDPGARARVVKK >ORUFI11G07790.1 pep chromosome:OR_W1943:11:6294450:6295946:-1 gene:ORUFI11G07790 transcript:ORUFI11G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHILVCHLPLIILLSSSLSSSCRSDHQIQIQALVQFKASLIDPLDNLQSWTTNATTSPCSYLGVQCDPVTGTVTEISLASMNLSGRISPAIGALAALTRLDLGDNTISGGVPPELSNCTQLQFLNLSCNGLTGELPNLSAKLAALDTLDVANNYLSGRFPAWVGNLSGLVILAVGENSYDRGETPPSIGNLKKLTHLYLSSCYLTGEIPESIFGLTALRTLDMSKNYLTGGIPAAIGNLCELWSIQLYSNNLTGELPPELGKLTGLRELDVSGNKLSGEIPASLAVLRNFEVIHLQWNNLSGPIPAAWGELRFLKRFAVYENNFSGEFPANFGRFSPLYGIDISENAFSGPFPRYLCHGKNLQYLLTIGNSFSGELPEEYSACHHLVIFRVHGNTLTGNLPAWVWGQQSAEIIDVSNNGFTGRISPAISKAQRLKELWLHNNRLDGEIPREIGRLWRLKKLYLSNNSFSGVIPPEIGNLSKLTELTLGGNMLTGWL >ORUFI11G07800.1 pep chromosome:OR_W1943:11:6299080:6299346:-1 gene:ORUFI11G07800 transcript:ORUFI11G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCKILGEEEAVQTGVNLVDNGGPRGDGGLGVRVEEDILGSGRGGLRFRQDLWTSAEAAALGAAAGCESERRKRIPMTAVALWMGEA >ORUFI11G07810.1 pep chromosome:OR_W1943:11:6300443:6301019:-1 gene:ORUFI11G07810 transcript:ORUFI11G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPFTLSPSTHTLHSSSPDRLSSSRSPPLATVSDGGRTGGGARWRLAAGDDKRRRAVLGGGRAERRVATGGGQADGGEGGGRWRPARRAEGRAVTCGGEEVYRRRPCGQSGGRRRVAAGRAEGRATMCGAVADD >ORUFI11G07810.2 pep chromosome:OR_W1943:11:6300470:6301019:-1 gene:ORUFI11G07810 transcript:ORUFI11G07810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPFTLSPSTHTLHSSSPDRLSSSRSPPLATVSDGGRTGGGARWRLAAGDDKRRRAVLGGGRAERRVHGKRQPGEHAEGRAAAGQAEGSLATLMSMCGLVGFEMCGLVLFFVMSM >ORUFI11G07820.1 pep chromosome:OR_W1943:11:6300453:6301008:1 gene:ORUFI11G07820 transcript:ORUFI11G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSPPPAWPAATCRHPPLRPPGRRLSPPSAPLARRPALPAAACRRPPLAATAHHRPSARHRSPSQEEGIERRRGDRERTSEEYEWRERG >ORUFI11G07830.1 pep chromosome:OR_W1943:11:6305641:6307046:1 gene:ORUFI11G07830 transcript:ORUFI11G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATRPSKKAKISEYYELTNQNLFAHFTIESSTKDYTLVDMGYVFVQKHDLTCLLSENEFVNDNDLVWILRTIK >ORUFI11G07840.1 pep chromosome:OR_W1943:11:6310313:6312171:1 gene:ORUFI11G07840 transcript:ORUFI11G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAWRIGALDAPRHRRWLAQDAARCGVRAVQLYGDGGGGGCRLLASRVSNNRTRRHTGHWGPMNGDGGGRSMHGDGAGSRLSLESRTTGGGGARGWNLEVASGVRVLTGLWRVGVGQNFGGVLAPSSTPLVCTTGWGNAWRGGRAWRRIGVERVEERQGGHDRVLEQLCKETAVHGTETDTGTLKRQNRAHDNSTSDATRSRVEQEAASHAQHWRRRRHGERPQLPRAYPPAPTTPPPRPRLRCRGLRHLGLYLPAPRLDLASRSTATQDI >ORUFI11G07850.1 pep chromosome:OR_W1943:11:6311067:6313483:-1 gene:ORUFI11G07850 transcript:ORUFI11G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAWSDLELAGHSPIAALARRALPAAWRHLHRAALLRCPQPGAISTRPRSTSMPASASPAARRRSAAARARRRPRPSSALTWFFWILSGRPDVEKREEKEKKREGKKDVDGWPTSLSLPTHLRKGGIGERWWVAGKELLYILGGGGSAGEVEARRGEVEAKMAQPTASKTRARRRGRGSGWVGAGKLRPLTMSSPSPMLCMRRGLLLHPASCCIACRVVVRAVLPFQCTCIRLCAVHGGLFAELLEDSVVASLPLLDSLHSDPPPRSPSSPCIAPPSGADKGEGVPLNADAT >ORUFI11G07860.1 pep chromosome:OR_W1943:11:6336518:6343009:1 gene:ORUFI11G07860 transcript:ORUFI11G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRRPAPLRLVVFLAIVLLRWSTNGNATAGGDDALRGDALALVRLRASLRCHAHALRDWSAGNVAAVCAWTGVRCAGGRVVSVDVANMNVSTGAPVSAAVAGLDALANLSLAGNGIVGAVTASALPALRFVNVSGNQLGGGLDGWDFASLPSLEVFDAYDNNFSSPLPAGVVALRRLRYLDLGGNFFSGEIPAAYGGMAALEYLSLNGNNLQGAIPPELGNLTSLRELYLGYYNVFDGGIPPELGRLRNLTMLDISNCGLSGRIPPELGALAALDTLFLHTNQLSGAIPPELGNLTALTALDLSNNALTGEVPATLASLTSLRLLNLFLNRLHGPVPDFVAALPRLETVQLFMNNLTGRVPAGLGANAALRLVDISSNRLTGMVPEMLCASGELHTAILMNNFLFGPIPASLGSCSSLTRVRLGQNYLNGTIPAGLLYLPRLNLLELQNNLLSGDVPANPSPAMAAASQSSQLAQLNLSSNQLSGPLPSSIANLTALQTLLVSNNRLAGAVPPEVGELRRLVKLDLSGNALSGTIPAAIGRCGELTYLDLSKNNLSGAIPEAIAGVRVLNYLNLSRNQLEEAIPAAIGAMSSLTAADFSYNDLSGELPDAGQLGYLNATAFAGNPRLCGPLLGRPCGYGGGGAAAVGAGGSSSAPVVTTRQRAAGGDFKLVLALGLLVCSVVFAAAAVLRARSCRGGGGPDGGGAWRFTAFHKVDFGIAEVIESMKDGNVVGRGGAGVVYVGRTRSGGSIAVKRLNTSSSAAAAGGGEAARHDHGFRAEIRTLGSIRHRNIVRLLAFCSRRGGSGGGEAASSSNVLVYEYMANGSLGEVLHGKGGGFLSWDRRYRIAVEAARGLCYLHHDCSPMIVHRDVKSNNILLGDNFEAHVADFGLAKFLRSGGGATASSECMSAVAGSYGYIAPEYAYTLRVDEKSDVYSYGVVLLELITGRRPVGDFGEGVDIVQWTKRVTDGRRESVHRIIDRRISTVPMDEVAHIFFVSMLCVQENSVERPTMREVVQMLSEFPRHGSDQPSPSSSAPETGEESSPEKEPNCYKLFPDLLT >ORUFI11G07870.1 pep chromosome:OR_W1943:11:6354075:6360290:1 gene:ORUFI11G07870 transcript:ORUFI11G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAERRDFLQLISTPAAGSTRTLCDDMEQGGFGLDSNPLALQEDESSDEVFMKQMLRIISLHNQEAGQLQNSVTLEPIPEVNQLGSCHDAGASLTAINPNKVAKPVFMDYAMQQMPWTLQLHIVPIFRIIPATMNPQRLQVLEVPISFSLRIMKWYLLEQTGCLLQPM >ORUFI11G07870.2 pep chromosome:OR_W1943:11:6354046:6360290:1 gene:ORUFI11G07870 transcript:ORUFI11G07870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMLRIISLHNQEAGQLQNSVTLEPIPEVNQLGSCHDAGASLTAINPNKVAKPVFMDYAMQQMPWTLQLHIVPIFRIIPATMNPQRLQVLEVPISFSLRIMKWYLLEQTGCLLQPM >ORUFI11G07880.1 pep chromosome:OR_W1943:11:6357738:6361046:-1 gene:ORUFI11G07880 transcript:ORUFI11G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRRGASVVAVHGGGGRVPKRARVTAEPSLLDVRAFPGQKEEGEEQPARGGGGGKKSSSSSSTFRAQVRGFLARCAVAAPASEAGELSPGMSSWHVGFTTAGGEAVAVMEVVVEEVARARRVYCEHCTVAGWSKHPVCSKRYHFIIRNENEIGTSKTCRRCGFMVALQETRCPSCNHVGLSRDDPEDWDYVQLESPRHLLHGIVHENGFGHLVRINGREGGSSVMTGSQLINFWDRLCRYLRVRKVSVIDVSKKYGADYRSLHAVATGCSWYGLWGFKLSSGSFGITPQDYSKAVESLSSVPLSNFFPHTRSPRNQLQNTIAFYQSLSRHPLTTIRELFAYIMELATGKSVHKEKMAYDANYQEEFPEEELQRATNIALKILRAADRWVATRTLKAVTSHPIGSPQLVDYCIKTLGGTRTDDGMVVAIRCNSEMNTVEYRLVAHIFVTTCMLTKCLFHDPNSNYSHCRLTTETLFPPNNVCTLTQDHLFRDIKFLYDALLYPYTMHPYMPEENYQHAKRSAMILLDCKQFIKHYDLEEDFLPQNPSQLHIWCQVELADQVDDPPCIPAELITLPQAATIADLKGEAARAFRDIYLMLQSFVADQLLDCATASDTTQIKLLFGAKGAVHIKGRCIGGERRFAIYRMERGVDKWTVKCSCGATDDDGERMLSCDTCHMWMHTRCAGISDFDRVPKRYVCKSCKLTHKPKSSGPRLMYSSAPNKRCKTGTGSFSNAAEGLLWPHIS >ORUFI11G07890.1 pep chromosome:OR_W1943:11:6395989:6400620:-1 gene:ORUFI11G07890 transcript:ORUFI11G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESRQGPKGGDTMAASEVSVDWRGRPCGSRKHGGMKAAVFVLGIQAFEMMAIAAVGNNLITYVFNEMHYPLSKSANIVTNFIGTVFLLSLLGGFLSDSYLGSFWTMLIFGFVELSGFILLAVQAHLPQLRPPACDMMAAAAAEGGCEEASGVKAGIFFAALYLVALGSGCLKPNIIAHGADQFRRGGGGGGDGDGDDGGDGKRLSSYFNAAYFSFCVGELVALTVLVWVQTRSGMDVGFGVSAAAMAVGLVSLVAGVFFYRNKPPQGSIFMPIAKVFVAAVTKRKQVCPSSSSTTAASHAVIPATGAAPVHRINKFRFLDKACVKVQDGHGGGGDGGKESVWPPCTPAEVEQVKVLLCVVPIFACTIVFNTILAQLQTFSVQQGSAMDTRIGGGGGGAAFHIPPASLQAIPYLVLIALVPAYEACFVPAMRRATGVDTGITPLQRIGVGLFAVTFSMVAAALVEAHRRRHAGDGLLSIFWIAPQFLVFGVSEMFTAVGLIEFFYKQSLSGMQAFLTSMTYCSYSFGFYLSSLLVSLVNKVTSGDGAGAGGGGWLSDNDLNKDRLDLFYWLLAGLSLLNFFNYLFWSRWYSKSVETTVQVAGVGGEGGGGEQQEEKGVDEVNMGH >ORUFI11G07900.1 pep chromosome:OR_W1943:11:6410092:6416830:-1 gene:ORUFI11G07900 transcript:ORUFI11G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAHLLLSPKAVAASPPSPAGGGAAAKGLERRDRERRGRWMDRHVYQGSADNKEKIHGRGSMEKYIMEVIGMHLSPWPPSCAHHLWLQDGRKVTRERREGGKKRRNQRRKRRRGALCWQFKRSKLTTLERKGGSMDPGYTR >ORUFI11G07900.2 pep chromosome:OR_W1943:11:6410092:6416830:-1 gene:ORUFI11G07900 transcript:ORUFI11G07900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAHLLLSPKAVAASPPSPAGGGAAAKGLERRDRERRGRWMDRHGSMEKYIMEVIGMHLSPWPPSCAHHLWLQDGRKVTRERREGGKKRRNQRRKRRRGALCWQFKRSKLTTLERKGGSMDPGYTR >ORUFI11G07910.1 pep chromosome:OR_W1943:11:6417624:6419142:-1 gene:ORUFI11G07910 transcript:ORUFI11G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQANTIQVPTDAELLQAQADLWRHSFSYLTAMALRCAAKLGIPTAIHRLGGEEAAASLPDLMAALSLPASKQPFVRRLMRLLVAVGVFAADGVADERYRLTPLSRILVDGVAAADDHHHDVLQTPFVLTATSRQYVEAALGLDEWLRKDAPPAPAPVPSPFEDAHGAPLFDEATAAAIDPEFAAAADDALAAHDSLGIGAVLRECGDLLRGVASLTDCAGGDGATARAIAAAFPHIKCTVLDLPKVIDKAPVDDGVVNYVAGDMFHAVPPAQAVLLKLVLHFWSDDDCVKILSQCKKAIPSRKEGGKVIVIDILIEPSLGPAMLEAQLLMDMAMMVNTRGRQRDESEWRDLFFRAGFSDYKIAKKLGARAVFEVYP >ORUFI11G07920.1 pep chromosome:OR_W1943:11:6431825:6432253:-1 gene:ORUFI11G07920 transcript:ORUFI11G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPCGWWPSGGGGATRLDAEEMVASAKAMLPSGEDKHNEFDLEFLGNVTGEPYLVQTNLYIDSVDNREQCIDMCFDPIADFHTYVVLWNPSQVVFLVDDTPIRVYKNKNATAIKVTTATPSLMAPTMPRRRHPCLCSRCRS >ORUFI11G07930.1 pep chromosome:OR_W1943:11:6435315:6435809:-1 gene:ORUFI11G07930 transcript:ORUFI11G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKMYVAEKSTFKELVQRLTGQPPAEAAAVAAPAPAPVAGAPRRGRLGVHNPPAFKPTPHRPKLPIIRPEHPRLLAGFASPPSPPSLSPWCSGAGQCVQNMQDELPPSPTSASSTLAEEVVVGETVSEEGKPDHMHQPPPVRTGEAKLLNLFPLTASCSEDR >ORUFI11G07940.1 pep chromosome:OR_W1943:11:6441998:6445837:-1 gene:ORUFI11G07940 transcript:ORUFI11G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLGIGRRRQQQPPPLPLFPPPATKRASPPSSSSSSSALLRRILPTNRLLRLLLLLAALSLIPPAFFHFRLRRFHRMRERRCGWIASPPMVCAHGGDSTNAFPNSMDAFRLALDARVDCVEVDVSRSSDGALFVLHDRDLQRMSGNSTAKVGYWSSDEIKALSTRFQLSKKVQNQEVPKAQDVLALISQSVRQVILDVKVGPPSFEKDLAEDVLSIIGRTQCKNCLVWAKSDNVGRDVIKLSKDITVGYIVMVDKSTGRTTELVRITGSKVAGVYHRLIHEKLMKVMHRNDKKVYAWTVDDANSMKRMLYEHVDAIVTSNPSLLQQLMQETRTECMEDGFALP >ORUFI11G07950.1 pep chromosome:OR_W1943:11:6447300:6454207:-1 gene:ORUFI11G07950 transcript:ORUFI11G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGNEWINGYLEAILDAGVKLREQRGAAAVQLPPLLPAPEDAASAVATAATYSPTRYFVEEVVSRFDDRDLHKTWTKVVAMRNSQERNNRLENLCWRIWNVARRKKQVEWEFSRQLSRRRLEQELGSREAAADLSELSEGEKDGKPDTHPPPAAAAAEAAADDGGGGDHQQQQQQPPPHQLSRFARINSDPRIVSDEEEEVTTDRNLYIVLISIHGLVRGENMELGRDSDTGGQVKYVVELARALAATPGVHRVDLLTRQISCPDVDWTYGEPVEMLTVPAADADDEDGGGGSSGGAYIVRLPCGPRDKYLPKESLWPHIPEFVDRALAHVTNVARALGEQLSPPPPSDGAGAAAQAVWPYVIHGHYADAAEVAALLASALNVPMVMTGHSLGRNKLEQLLKLGRMPRAEIQGTYKIARRIEAEETGLDAADMVVTSTKQEIEEQWGLYDGFDLKVERKLRVRRRRGVSCLGRYMPRMVVIPPGMDFSYVDTQDLAADGAGGAGDAADLQLLINPNKAKKPLPPIWSEVLRFFTNPHKPMILALSRPDPKKNVTTLLKAYGESRHLRELANLTLILGNRDDIEEMSGGAATVLTAVLKLIDRYDLYGQVAYPKHHKQTDVPHIYRLAAKTKGVFINPALVEPFGLTIIEAAAYGLPVVATKNGGPVDILKVLSNGLLVDPHDAAAITAALLSLLADKSRWSECRRSGLRNIHRFSWPHHCRLYLSHVAASCDHPAPHQLLRVPPSPSSSSAASAAAGGGGAAASSEPLSDSLRDLSLRISVDAASPDLSAGDSAAAILDALRRRRSTDRPAASSAARAIGFAPGRRQSLLVVAIDCYGDDGKPNVEQLKKVVELAMSAGDGDDAGGRGYVLSTGMTIPEAVDALRACGADPAGFDALICSSGAEICYPWKGEQLAADEEYAGHVAFRWPGDHVRSAVPRLGKADGAQEADLAVDAAACSVHCHAYAAKDASKVKKVDWIRQALRMRGFRCNLVYTRACTRLNVVPLSASRPRALRYLSIQWGIDLSKVAVLVGEKGDTDRERLLPGLHRTVILPGMVAAGSEELLRDEDGFTTEDVVAMDSPNIVTLADGQDIAAAAADLLKAI >ORUFI11G07950.2 pep chromosome:OR_W1943:11:6447300:6451868:-1 gene:ORUFI11G07950 transcript:ORUFI11G07950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHYSMSCPDLEAIEQVEWEFSRQLSRRRLEQELGSREAAADLSELSEGEKDGKPDTHPPPAAAAAEAAADDGGGGDHQQQQQQPPPHQLSRFARINSDPRIVSDEEEEVTTDRNLYIVLISIHGLVRGENMELGRDSDTGGQVKYVVELARALAATPGVHRVDLLTRQISCPDVDWTYGEPVEMLTVPAADADDEDGGGGSSGGAYIVRLPCGPRDKYLPKESLWPHIPEFVDRALAHVTNVARALGEQLSPPPPSDGAGAAAQAVWPYVIHGHYADAAEVAALLASALNVPMVMTGHSLGRNKLEQLLKLGRMPRAEIQGTYKIARRIEAEETGLDAADMVVTSTKQEIEEQWGLYDGFDLKVERKLRVRRRRGVSCLGRYMPRMVVIPPGMDFSYVDTQDLAADGAGGAGDAADLQLLINPNKAKKPLPPIWSEVLRFFTNPHKPMILALSRPDPKKNVTTLLKAYGESRHLRELANLTLILGNRDDIEEMSGGAATVLTAVLKLIDRYDLYGQVAYPKHHKQTDVPHIYRLAAKTKGVFINPALVEPFGLTIIEAAAYGLPVVATKNGGPVDILKVLSNGLLVDPHDAAAITAALLSLLADKSRWSECRRSGLRNIHRFSWPHHCRLYLSHVAASCDHPAPHQLLRVPPSPSSSSAASAAAGGGGAAASSEPLSDSLRDLSLRISVDAASPDLSAGDSAAAILDALRRRRSTDRPAASSAARAIGFAPGRRQSLLVVAIDCYGDDGKPNVEQLKKVVELAMSAGDGDDAGGRGYVLSTGMTIPEAVDALRACGADPAGFDALICSSGAEICYPWKGEQLAADEEYAGHVAFRWPGDHVRSAVPRLGKADGAQEADLAVDAAACSVHCHAYAAKDASKVKKVDWIRQALRMRGFRCNLVYTRACTRLNVVPLSASRPRALRYLSIQWGIDLSKVAVLVGEKGDTDRERLLPGLHRTVILPGMVAAGSEELLRDEDGFTTEDVVAMDSPNIVTLADGQDIAAAAADLLKAI >ORUFI11G07950.3 pep chromosome:OR_W1943:11:6453000:6454207:-1 gene:ORUFI11G07950 transcript:ORUFI11G07950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGNEWINGYLEAILDAGVKLREQRGAAAVQLPPLLPAPEDAASAVATAATYSPTRYFVEEVVSRFDDRDLHKTWTKVVAMRNSQERNNRLENLCWRIWNVARRKKQCHCISPKLRAVARSYRVICCVILPPSVGEEEFAIPMWGGRAHQREKEEPPVLGRKSSHEEELHRGRKQELAGMCGKTCQCGEEEELTRCGEEEARRHWGGGSASAGRKKNSPA >ORUFI11G07960.1 pep chromosome:OR_W1943:11:6476712:6478112:1 gene:ORUFI11G07960 transcript:ORUFI11G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDTVAVDGGGRWRLRRRHCFRSRCRMLGSTLTSKEVANCNNQRLLRMASYDVEAFMRVNLLLSNEQMWEAGSKFVTFEKLHGVNLLLLKRAEILEKWKTRKEKLDGKYFTKTRKQKNYIEGKIWMVNILPGH >ORUFI11G07970.1 pep chromosome:OR_W1943:11:6498671:6498901:1 gene:ORUFI11G07970 transcript:ORUFI11G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAMKTVLDFAEKAASATATVVGKVLRITGKAAWVVGTTGIVLGVPLIWAMGREQTQLEYESLLEAEQRTLLGL >ORUFI11G07980.1 pep chromosome:OR_W1943:11:6499735:6501885:-1 gene:ORUFI11G07980 transcript:ORUFI11G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELLCSPMATTAAATMVAAAAHHPRPRFRVRAAWDMNPGAATVSAPKPKAKPPPPPSPPPLPTAPAPTHADLFARRSNSEGQVPKKTTSMGFERWWLPPPPEVKKPRSLYNAASLAYLGDCIYELYARRHFFFPPLSINDYNKRVMDVVKCESQDLLLNKLLGEDFLTQEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLIGYLYLTNFKRLEQLMFQLGFTSGASSHHIADELISSFKKKKSTSVQSQQQASQ >ORUFI11G07990.1 pep chromosome:OR_W1943:11:6504337:6504905:-1 gene:ORUFI11G07990 transcript:ORUFI11G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAPLGIVLALTSPCTKNLPCATWWTPALPLKLFKPKVFDEVFSVLVLFLTLRRLLRCRGVTKLGNDDTPQSLYKGSIAVKSKLLCRLGGKLGNDNIFP >ORUFI11G08000.1 pep chromosome:OR_W1943:11:6509252:6512945:1 gene:ORUFI11G08000 transcript:ORUFI11G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGEKIIMSALLGVMSPLLGKLGNLIEKEYAELKGARKKLEQLMKELMAINLALEKYSGMENFDVQVKAWTIEMHELAYDMEDSIDLFSYCIDHEPVSTTMGVKRVILKILRKLKKIHHRHKFAKQMHQLQVLANEAYNRQKRYKLEEGSSSNSFVEIDPRLPALYVEVQKLVGIEGPSKEIIEQLIGEEPTWHRRVVSVVGSGGSAFVSVSQKPNINNLLRELLSRIGSNSESLGARELYSDQQLIDKLRACLENERYLVVIDDIWQKSAWETIQCALPKNNHASRIITTTRIKSVGQFCCTSDEGFVYQMKPLTKSDSENLFLKRTFSSEENSPSQLQEVINKILYKCDGLPLAIITLASLLADKPRRKEEWERVLNYIGSMPKKDSKLEVMDKILSLSYNDLPHHMKNCFLYLSTFPEDHEIRKDILVWKWIAEGFIITKQGFTLEEVAESYFYELINRSLVQPVNMVHGAIEQGCKVHDIVLNFIISRSVEDNFLTMVDGQELPSPKSRIRRLSVWNKQEFPRFISKGSMNLPYIRAISICHIDGWTMPSVLNLPVLRVLDLEGCRALRNDHLDCIVSLFHLKYLRLSKTSIDRLPAQIGKLEYLQMLDVSSTQVRLLPESVIQLKRLMRLVGNELILSDGFANMESLQELGVLDACNCSINFGKDLELLSNLRVLRIMFRCEEITSDPDARKKSLMSSLCKLGGNSLRSLYYQSSTTGVDCSADSWCPPPILLQKFEYRGVRYFSSFPKWIKHSLVDLAYLDFRIERMERKDLHVLESLPALTVLCVTVKRVPEDGLMIRHVAFQCLTRLEFCNTDGPGLTFEADMTRLEWLKLEFNADKAQATYGSLVVGIQHLCSLKCIDLTIGMLSEDENDPPKEIIKSVIGDKIKMLPHNPKVNITFL >ORUFI11G08010.1 pep chromosome:OR_W1943:11:6517426:6520189:1 gene:ORUFI11G08010 transcript:ORUFI11G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFGENIMVSALAGMMSPLLGKLSYLIEKEYAELKGVRKKLEQLRKELMAINLVLEKYASMENYDLQLKAWMIEMHELAYDMEDIIDLFTYRIDHEPASTTVGVKRIILKILRKVRKIHHRHKFAKQIHQLQVLVNEAYKQQKRYRLEEGISSKPHTEIDPRLPALYVEVEKLLGVEGPSKEIMEQLIGEKPTRQHRIVSAVGSGGSGKTTLVKQVYERIKGQFSCSAFLSVSQKPNINNLLRELLSRIWDGSGSSGATELYSDKQLIDKLRACLDNERYLVVIDDIWQRSAWETIHCALPKNNHASRIIITTRIKSVAQFCTSAEGFVYQMKPLNKSDSESLFLRRTFGAEENCPSQLEGVINKILYICDGLPLAIITLASLLADKPRREEEWERVLNYMGSMPKKDSELEVMDKILSLSYNDLPHHMKNCFLYLGTFPEDHDIGKDILVWKWIAEGFIVAKQGFTLEEIAESYFYELINRSLVQPVNMLHGVSEHGCRVHDIVLSFIISRSTEENIFTMLDDQELPSSKTRIRRLSIWNKQQYPTFISQESMKLSHVRAISICHVDGWTIPPDLDLPVLRVLDLEGCSALRNAHLDCIPSLFHLRYLGLSRTSIDSLPAQIGKLEYLQTLDVRSTLVRRLPESILHPKRLMRLVGDELILLDGFGNMESLQELGIVDGCNCSISFLITPRLTSHFCKASSVHISSCTAARFFGGY >ORUFI11G08020.1 pep chromosome:OR_W1943:11:6544291:6544626:-1 gene:ORUFI11G08020 transcript:ORUFI11G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACADLSRSVARLSARCADPLLRQFDAPFAALVRGGLADLHRLQYSGARKWTGRCGICSGSWRFHGAPVPGARRARRALAGGLGGGGGGGGEEEELADADDGRRGGGAT >ORUFI11G08030.1 pep chromosome:OR_W1943:11:6549953:6552512:-1 gene:ORUFI11G08030 transcript:ORUFI11G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKRRSPLPLLSQGPPLSNLCYLGAEFRFQRAELGAEMMTDDVVTAFELSRAIDDGVLQPLPFGSLTCCSFYIACFFHRYKSEQPSTYRKNGKPAAIQYGTGSVDGFFNEDSVTVGDLVVKDQKIAIGDEVNIWL >ORUFI11G08040.1 pep chromosome:OR_W1943:11:6556578:6559947:1 gene:ORUFI11G08040 transcript:ORUFI11G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVEQIVVSALTGAMSPLLRKLTNLIEKKYMEVKGVRKKLEQLTKELMTIGIALEKYAAMDSPDVQVKAWMAEMRELAYDMEDSIDLFTYRIDHEPADTTNGVKRVLHKTLRKVKKFHHRHKFAKEIEELHVLVNEAYERQKRYRIEEGTSSKLCREIDPRLPALYVEKEKLVGIQGPMKEIINWFGSEEVEPIGQRKIVSIVGQGGLGKTTLANQVYQKIKGQFSFSAFVSVSQKPNMDNLLRELLSQIKSNEPTESYSDQQLIDKLRTCLKDERYLIVIDDIWKRSAWKTIQCAFPINKHASRIITTTRIKSVAQSCCGASDEGFVYQMKPLNKSDSENLFLTRTFGAEKKCPSQLEGIISDILYKCDGLPLAIITIASLLADKPRTREEWERVLNYIVSTSEKDNDLEVMNKILFMSYNDLPHHMKSCLLHLGLFHLRYLGLHWSRIDCLPVQIGKLEYLQTLDLRHTSLLVMPESIVQLKRLMRLVGHHLILPDGFGNMESLQELGFLGCCRSSTNLLKFQKDLQLLRNLRVLKVRFLSEGETGKEAMIPSLCKLGGNNLREVYITSCNGSGDCFADSWCPSPCFLEKFVYKSSCNIHYFSRFPKWIHPTLSRCLTYLDIDVKRMEREHVRILEDLPALIVLHLDIGEALVYGIRISHGAFQCLARLRFCNRSGPGLVFKGGMPKLEWLSVEFGAERAQSTYGSLEVGIRHITSLKHIDFSILVLTDDMEWKIKSSINSQVKMLPQRPEVNIKTVLLPSIK >ORUFI11G08050.1 pep chromosome:OR_W1943:11:6561955:6564973:-1 gene:ORUFI11G08050 transcript:ORUFI11G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESAPVNSGRGGHRRGGSRCRSAVAAEEIGEASLVLSSTVGGGADEGEVDLSGVEPGDAVAACDDSARVRGHLGHYVRRQRRMVEHRVPMSLHCPATPHGTWTPGAVGVGAVVADAERLVDGPAGARPGSARSLPFTAFVLLLSLALLKLVPLCAL >ORUFI11G08060.1 pep chromosome:OR_W1943:11:6571373:6572746:-1 gene:ORUFI11G08060 transcript:ORUFI11G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNAGDCGGMTAFALRLAKRLADVGVSSNKNLVFSPASLYAALALVAAGARGTTLDELLALLGAASLDDLEESVRRAVEVGLADESASGGPRVSDACGVWHDETLELKPAYRAAAAGTYKAVTRAANFQRQPKRSRKKINKWVSKATNKLIPEILPDGSVHVDTALVLVNAIYFKGKWSNPFPRSSTTTGKFHRLDGSSVDVPFMSSREDQYIGFHDGFTVLKLPYHHRTMKNHGDGGDTITNSSITRAILEHYGGENVGLSMYIFLPDERDGLPALVDKMAASSSSSSFLRDHRPTRRREVGDLRVPRFKVSFYSQINGVLQGMGVTAAFDAGEADLSGMAEGVDQRGGGLVVEEVFHRAVVEVNEEGTEAAASTACTIRLLSMSYPEDFVADHPFAFFVVEETSGAVLFAGHVLDPTSSSE >ORUFI11G08070.1 pep chromosome:OR_W1943:11:6580035:6586350:-1 gene:ORUFI11G08070 transcript:ORUFI11G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAGNCDGMTAFALRLAKRLADNGDDVNNNRNLVFSLVSLYAALALVAAGGQGTTLHELLALLGASSLDDLAESVYRAVEPKKSRKKINEWVSKATNKLIPEILPDGSVHRLTTLVLVNAIYFKGKWSDPFPRESTTTGKFHRLDGSSVNVRFMRSREDQYIGFYDGFNVLKLPYNGGLLSMYIFAGHVRDPTSSHGRSSATGSAATARRRLAPPSPPPLPPPPLPPRRDPPSLSRSLSASADAPSFPSRSRHPVRRRVVRLHQLSVLRLPVKIQHRAAVLHDAGNCGGLTAFALRLAKRLADDGDNSNRNVVFSPVSLYAALALVASGARGTTLDELVALLGAASLDDLEESVRRAVEVGLADESESGGPRVSYACGVWHDERLALKPAYRAADFQRQPKSSRKKINKWVSKATNKLIREILPDGSVHGGTALVLVNAIYFKGKWSNPFPRERTTTGKFHRLDGSSVDAPFMSSREDQYIGFYDGFNNHGDGGDITPAILKHYGENVGLSMYIFLPDARDGLPALVDKMAVASSGTASSSFLRDHRPGRRRIKVGDLRVPRFKVSFYSEMNEVLKGMGIGAAFDVGKVDLSGMIDGELVVVEKVMHRAVVEVNEEGTEAAAATACTMKFLCLTLTSPVDFVADHPFAFFVVEEKSDAVLFAGHVLDPTSLE >ORUFI11G08080.1 pep chromosome:OR_W1943:11:6583081:6583569:1 gene:ORUFI11G08080 transcript:ORUFI11G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKKTNLGCRNRLAEKGLHGAVDLEQEAEATEDGGAVLDLDREAEDRQLVEAYDAPADGVARSGWEGRSVGARGQGSGQRRRIATGRERRRRKRRGRGRRKAAAGGGRRSSRRAPTAVGSPTKKTLFYCPWAEPHSELQCPAHRNPHFIWPNPTLPLSFL >ORUFI11G08090.1 pep chromosome:OR_W1943:11:6588921:6589733:-1 gene:ORUFI11G08090 transcript:ORUFI11G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDTTPTPPGRRTKPKPPMSRLMRLSLKAVDWATDATRRADGTLNRLALSVLDPRVPAFSSPCRGVASRDVLVHPPTRLRARLFYPSAAAGKDERPPPPRPLPVIVFFHGGGFAFLSAASAAYDAACRRIARYASAAVLSVDYRRAPEHRCPAAYDDGIAALRYLDDPKNHHGGGGGGVAAPGVDSPAFPPVLLAIGGYDPLQDWQRRYAEMLRGKGKDVRVFEYPNAIHAFYVFPAFDDGRDLMIRIAEFVAESAAAAAAASGGGSE >ORUFI11G08100.1 pep chromosome:OR_W1943:11:6589911:6594836:-1 gene:ORUFI11G08100 transcript:ORUFI11G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRNAASRWGGGKEAEDADGGERAGVRQQVLEFQQHPALIQGSSSSRGVPSHVVDEAADVATAARLSTRFAPQPLEWKVREDGVLTGVRSRARLAWRGVTDATAMTRAHGRRQSGGSGVTRRSKSSSGGSGGCALPRWDPQVPSTVVASCLCAYPKIQHETQ >ORUFI11G08110.1 pep chromosome:OR_W1943:11:6601654:6602680:-1 gene:ORUFI11G08110 transcript:ORUFI11G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAERGDFPNKEKQCGAVLEKERKRSREQIRQEGAVVRRSGALQHGGGRDPHLVCAAARAANTTIIRGCAAVFTLQRRLRMTTWTAPPPPPPPRRAQ >ORUFI11G08120.1 pep chromosome:OR_W1943:11:6607344:6607994:-1 gene:ORUFI11G08120 transcript:ORUFI11G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSGFWAGSKPALAGAGETPVVVKMDNPNWSICEIDIDADADDDDGEFLAGGRRRGRGKNAKQITWCSCSGAPRRGVPRLRRRVAAGRTDDADAETPSPRSRLYAFIRASLLLSVFLLAVELAANANGRGHVLVASVDSFPSSWVCFRAAYVAPPLQLLTDAYVVRFLVQSADRLVQCLGCLYIHLNRIKPKPISSPAIERERGAGREVGRREG >ORUFI11G08130.1 pep chromosome:OR_W1943:11:6620530:6621003:1 gene:ORUFI11G08130 transcript:ORUFI11G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVTSDREAGGSTASDLVASRSIPDDCETGGSIDDGDGGSGSAGLGSGGSVAIGALTAAVTTMLDYGDHDDNHGLGRLGGSIACDRGAGGSTTSDLAASRSVPNDNGTDGSIDYNYNYGDDDGVSGGAGLGCYRFIAIGLRRGIDRCSDYEGGLR >ORUFI11G08140.1 pep chromosome:OR_W1943:11:6623785:6626285:-1 gene:ORUFI11G08140 transcript:ORUFI11G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLSAIPSPFPLFTESYFFTSSSVNVLVAPVLHQRFLRIHDLDPLVSPSPNGIQTFRKRLLAKFAVLLNIGADLILFRQWQMVVTITADLIVFSQW >ORUFI11G08140.2 pep chromosome:OR_W1943:11:6623785:6626855:-1 gene:ORUFI11G08140 transcript:ORUFI11G08140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPVAAFPHPWDRWISNGRCGFPQLVQTLAARPLLPHARRLSLLLSSRRILALLLPRVLVAPVLHQRFLRIHDLDPLVSPSPNGIQFAVLLNIGADLILFRQWQMVVTITADLIVFSQW >ORUFI11G08140.3 pep chromosome:OR_W1943:11:6623785:6626285:-1 gene:ORUFI11G08140 transcript:ORUFI11G08140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLSAIPSPFPLFTESYFFTSSSVNVLVAPVLHQRFLRIHDLDPLVSPSPNGIQFAVLLNIGADLILFRQWQMVVTITADLIVFSQW >ORUFI11G08150.1 pep chromosome:OR_W1943:11:6636327:6636886:-1 gene:ORUFI11G08150 transcript:ORUFI11G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVLVLHQVAILALPAAETEAAIAAAPRRRFCERCELHIHIQGRGCSEEQDHRDEKQLTRSYGSLSGSLRRQIPICMLAEWQ >ORUFI11G08160.1 pep chromosome:OR_W1943:11:6645289:6662155:1 gene:ORUFI11G08160 transcript:ORUFI11G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATTFKPFLNRIFSNPTRYFIKSKLNQTGQNSTKSPASARPKFQIPHRLKPNKSIHPLAKPRSHSTPHPTPTTMSPKKDAGLSPPVAAPPPLSLAGVPVLTGSLRPAECADLLGLVAGVKRPLEDVVADFLARIQPERRLRFGAAINFVLKDKMMLRPAERLVAFTILHQGYSSQLENPFVPLIIHAACDETSDKPEQLFLQLLLNSTNGDNDREILKHSAADYLEESAYASQVLLTREQLERQYTCNEVQPQPSTGSFREATVRSVIPDPDISQSCTDSSEISITKSNRDNLVTGLLQQTSLDGIGPQWIRPPPPRLEILDGELQWLNLDNNHELLWDSSMCADTSRGAAIRDLVGKACKGPLSPAQQEQVLVELAKDWKLVYHCGMTPQKLPDLVEHNPLVAVEVLSKLINSPDMDAYFDILVHMEMSLHSMEVVNRLTTAVDLPPGFIHDYISNCIRSCQNIKDKYMQNRLSLIRNKIINVQDLFIEVQAFCIAFSRIREAAGLFRLLKSLE >ORUFI11G08170.1 pep chromosome:OR_W1943:11:6677585:6678634:1 gene:ORUFI11G08170 transcript:ORUFI11G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSTLLRSLSTRLSTRLAPSPASVDECVEDAAELLDACAGLRDRLEMIRGYVSSMRVALHWLGDGAAAVTARRRAAAAFAECEAVERRCGAELAKCGSNLRKLGERALTHAKQQQQQQRHGDAQADEALAGARAAALLAIGALGAALAFRPRRAVSVGVAPPAGAPWECALQEVQRQVKEEYERRRKEGVPCMAELDAAAAAGRAVQRAVAAGRPCPDAAAVVAAARRRCDELEEKVFVLDEKVGELHRELIGVRMVLLEWSQAARGHQLLKF >ORUFI11G08180.1 pep chromosome:OR_W1943:11:6684715:6698412:-1 gene:ORUFI11G08180 transcript:ORUFI11G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINHHLLLAAAAAVLLLAAAATATASRVTVTSLANTTSSSVAALGSSGIIAGGRAANASANTTAAAEPTVYEMLGKYGFPPGILPAGAQGYTLDAGDGSFQVTLPGDCVVDVQGYKLRYRSNIYGNVRAGSIDGLDGVSVKIAIVWVGIHDVEADGGDVTFHASAISKSSPADGFQTSPSCHTNMAKLIFLLAMVAFASTLLVSIAGAADNSTSPSSPSADPTAYDMLQRFGFPVGILPQGVQGYKLGDDGSSFEVYLAGDCEFRAAKKYVLHYSSRVAGQIAAGSITSLEGVKVKEAFAWFRISEVDVDGDQIKLHVGLYTATVAADQLAKLLAAAAALLLLLAAAAQALASSSSSPGSANLTATPTAYEMVERYGFPRGILPEGVESYVLRPDGSFEVRLSGDGNGGGDCEFRVGDGGAYLLRYGRRVAGVAMEGSIRSLEGVSVKVLFAWLGIGRVDRAGDDLRFFVGPLSAAFPLANFADCPRCRCGFDCDTAAAADVIAAS >ORUFI11G08190.1 pep chromosome:OR_W1943:11:6700905:6702109:-1 gene:ORUFI11G08190 transcript:ORUFI11G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVELEKIAGSFFISKGWKTFVHRTGLLSGQYIRFQVLTPSKINVLLFDKKKDSKLPMIPSSKKQIKTAPKRSTGITINDMPTSKHASMLISHTSNKETSSDSRTESMTDIPSSSDNSGETTRSFDDLCFCARNTAVTPDIKNYISIIGQFLQRSSKFYIVTMNNTFMKQDRLVEAAGSDSVTMLLHKSSDDRCNLKRGWATFAATNAIHLHSVCIFHFYKAPNVKITIDVL >ORUFI11G08200.1 pep chromosome:OR_W1943:11:6702611:6704241:1 gene:ORUFI11G08200 transcript:ORUFI11G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGLKEFGSGMGDLFCSKKKKPVVLSRCCLLVGKQKCCVFGRCRESERGMIVVWADDSRKQMLREASVICLAMDNISRMESAPAVSADMEWCDEFGLGSEEWTTKVEIKIRNVPEHIKYGTENGVRIKFFMLNLEATPYVDLEKDVVANGKFIV >ORUFI11G08210.1 pep chromosome:OR_W1943:11:6706968:6710892:-1 gene:ORUFI11G08210 transcript:ORUFI11G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHGERAVMAVGRVAVSDESRLNRGGPGGDLHRSGGEGILHDSPSRRLLPKENVDHAVVQALSIGATIICLTLHVQRRKKVVQEHKKGMRLGSINGLRCKQLKLVILAFFMMFLLWKWERGTYYTTEILRPDSLILAHPANSKFVDQHTSSEEDFPNADTLTQSVVKVEQQVSDAPPPMSIASDSADVADEREPPPSGKKDCNYGNGKWVSDNNRPLYSGFGCKQWLSESWACRLTQRTDFAYEKFRWQPEGCEMPEFEASQFLTRMQDKTIAYVGDSLGRQMFQSMMCMVTGGKERLDVEDVGAEYGFFLAPGAKRPDGWAYRFPRTNTTILYHWSSTLCDLEPLDPSDPATSYAMHLDRPPAFLKNNLHRLHVLVLNTGHHWNRGKLRANKWEMYLGGAPNTNRNTAVIWKAKNITIHTVIKWLDTQLPHHPQLKVFYRSISPRHFFNGDWNTGGRCDNTSPLAKGSGISQNHSDDADAEGAVMGTRVKLLDITALSRLRDEGHISRYSIKATQGVQDCLHWCLPGLPDTWNEILAAQL >ORUFI11G08220.1 pep chromosome:OR_W1943:11:6717331:6729032:1 gene:ORUFI11G08220 transcript:ORUFI11G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRIAKSGQGVPLGGIGSGSIGRSYKGEFQRWQLFPGTCEERPVLANQFSAFISRKDGRNYSSVLHPGKPDLPKGSNISGIGSWDWNMSGQNSTYHALYPRSWTIYNGEPDPDVNIVCRQISPIIPHNYQQSSYPVSVFTFTNSVGGKSELTGYHSNSPMITANGQPPVTFAIAAQEKEDIHISECPYFIISGSSDAFSAKDMWNYVKENGSFDNLDLTKTSMCSKPGLSIGAAIAASVKLPPQTTQNVSFALAWACPEVKFSSGKTYHRRYTKFHGTDNDAAASLAHDAILEHNSWERQIEEWQNPILQDERFPDWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTGIGEKKFSLDMQNGDADDANGIIPRNNTASDILNQMASVLERIHASMESNSAIGTTLLQGEENIGQFLYLEGIEYYMWNTYDVHFYASFSLIMLFPKLQLSIQRDFAAAVLMHDPEKLRMLHDGKWVARKVLGAVPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDRDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAHEVGDKASEKLFWDKYEKAKSVYGKLWNGSYFNYDDGDNIMSASIHADQLAGQWYAKACGLFPIVDKDKAESALEKIYSFNVMKFKDGKRGAMNGMWPDGTVDMSAMQSREIWPGVTYALAATMIQEGMVEKGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDDEYRSLCYMRPLAIWAIQWALSNPKLHKQTADIPQDSFPKNQFSYARIAKLLHLPEDESPKSFLRVIYEIVRNRYRS >ORUFI11G08220.2 pep chromosome:OR_W1943:11:6717331:6729032:1 gene:ORUFI11G08220 transcript:ORUFI11G08220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRIAKSGQGVPLGGIGKYWKELQRRVSTLAIVPGNLRSFPAKMVETIPQCCILGNQICQRIGSWDWNMSGQNSTYHALYPRSWTIYNGEPDPDVNIVCRQISPIIPHNYQQSSYPVSVFTFTNSVGGKSELTGYHSNSPMITANGQPPVTFAIAAQEKEDIHISECPYFIISGSSDAFSAKDMWNYVKENGSFDNLDLTKTSMCSKPGLSIGAAIAASVKLPPQTTQNVSFALAWACPEVKFSSGKTYHRRYTKFHGTDNDAAASLAHDAILEHNSWERQIEEWQNPILQDERFPDWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTGIGEKKFSLDMQNGDADDANGIIPRNNTASDILNQMASVLERIHASMESNSAIGTTLLQGEENIGQFLYLEGIEYYMWNTYDVHFYASFSLIMLFPKLQLSIQRDFAAAVLMHDPEKLRMLHDGKWVARKVLGAVPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDRDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAHEVGDKASEKLFWDKYEKAKSVYGKLWNGSYFNYDDGDNIMSASIHADQLAGQWYAKACGLFPIVDKDKAESALEKIYSFNVMKFKDGKRGAMNGMWPDGTVDMSAMQSREIWPGVTYALAATMIQEGMVEKGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDDEYRSLCYMRPLAIWAIQWALSNPKLHKQTADIPQDSFPKNQFSYARIAKLLHLPEDESPKSFLRVIYEIVRNRYRS >ORUFI11G08220.3 pep chromosome:OR_W1943:11:6717331:6729032:1 gene:ORUFI11G08220 transcript:ORUFI11G08220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRIAKSGQGVPLGGIGSGSIGRSYKGEFQRWQLFPGTCEERPVLANQFSAFISRKDGRNYSSVLHPGKPDLPKGSNISGIGSWDWNMSGQNSTYHALYPRSWTIYNGEPDPDVNIVCRQISPIIPHNYQQSSYPVSVFTFTNSVGGKSELTGYHSNSPMITANGQPPVTFAIAAQEKEDIHISECPYFIISGSSDAFSAKDMWNYVKENGSFDNLDLTKTSMCSKPGLSIGAAIAASVKLPPQTTQNVSFALAWACPEVKFSSGKTYHRRYTKFHGTDNDAAASLAHDAILEHNSWERQIEEWQNPILQDERFPDWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTGIGEKKFSLDMQNGDADDANGIIPRNNTASDILNQMASVLERIHASMESNSAIGTTLLQGEENIGQFLYLEGIEYYMWNTYDVHFYASFSLIMLFPKLQLSIQRDFAAAVLMHDPEKLRMLHDGKWVARKVLGAVPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDRDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAHEVGDKASEKLFWDKYEKAKSVYGKLWNGSYFNYDDGDNIMSASIHADQLAGQWYAKACGLFPIVDKDKAESALEKIYSFNVMKFKDGKRGAMNGMWPDGTVDMSAMQSREIWPGVTYALAATMIQEGMVEKGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDDEYRSLCYMRPLAIWAIQWALSNPKLHKQTADIPQDSFPKNQFSYARIAKLLHLPEDESPKSFLRVIYEIVRNRYRS >ORUFI11G08230.1 pep chromosome:OR_W1943:11:6736430:6740877:1 gene:ORUFI11G08230 transcript:ORUFI11G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLCLCTIVVVLQCYLAAAAGLASRRRKLAVVVMACMLCCSPLPALSSPATGGAAVVGFSSSSRRKAAHVHPAVAVKDHDLSSSSPAACGDEGGGAVVIEEEAHPSMMMSVAAPAMKKKAVAARWRPPRLVVPAVAGADEAMAAAAAVKAAKEKEEEEAMEVEGEGFWVASRRGLRHAMEDGYGVITHKIEGHSQMAFYGVYDGHGGRAAVDFVAGRLGNNVVTAAEKQRLSEKASSPAAADHVAAAIRAAGGACAATALVIDGDLYVANLGDCRAVISRHGAAAALTSDHTPARDDERSRIESSGGYVSCGSNGVWRVQDCLAVTRSFGDGGLKRWVVAEPEVSRTPLAGAGCEFLVIASDGLWNKVSNQEAVDAVAAGHYSVDSCRRLVDMARRRGSRDDVTVMVVDLKRFLNC >ORUFI11G08240.1 pep chromosome:OR_W1943:11:6749800:6750405:-1 gene:ORUFI11G08240 transcript:ORUFI11G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVMQNGGGGGGGEMAGQYRGVRKRKWGKWVSEIREPGKKTRIWLGSFESPEMAAVAHDVAALRLRGRDARLNFPAIAHRFRRPDTAEPGDVRAAALEAAAQVRFTPDLLVVAGGDDAGRRCCSAGEAEAAAVAAAAWEWDVVVGGGGDELEVKSPNMWAELAEAMLMAPPVWEGGGETDNDEWAQQAASLWDPSVWDY >ORUFI11G08250.1 pep chromosome:OR_W1943:11:6762192:6765019:-1 gene:ORUFI11G08250 transcript:ORUFI11G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITTTAAAAAASSFLHRRRVAASASPPPRATATATLRHHQRVVGCRAAEVSGAEPSAAAGEASGDGNWVPVVPLAALPRGERRVIVQDGEEILLLWYKEQVYAVENRSPAEGAYTEGLLNAKLTQDGCIVCPTTDSTFDLRTGEIKEWYPNNPVLRALTPALRKLFVYPVKIDGENIYISIKGAVTSGGSAEIIFSGKAQPGFTASDVNIEEVRMVIDEDVGGFGFTNKNELINGKAAIIGFLLLIDFELLTGKGLLKGTGLLDFIYAISRAFSS >ORUFI11G08250.2 pep chromosome:OR_W1943:11:6762194:6765019:-1 gene:ORUFI11G08250 transcript:ORUFI11G08250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASITTTAAAAAASSFLHRRRVAASASPPPRATATATLRHHQRVVGCRAAEVSGAEPSAAAGEASGDGNWVPVVPLAALPRGERRVIVQDGEEILLLWYKEQVYAVENRSPAEGAYTEGLLNAKLTQDGCIVCPTTDSTFDLRTGEIKEWYPNNPVLRALTPALRKLFVYPVKIDGENIYISIKGAVTSGGSAEIIFSGKAQPGFTASDVNIEEVRMVIDEDVGGFGFTNKNELINGKAAIIGFLLLIDFELLTGKGLLKGTGLLDFIYAISRAFSS >ORUFI11G08260.1 pep chromosome:OR_W1943:11:6765702:6771817:1 gene:ORUFI11G08260 transcript:ORUFI11G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFTRKPLQSAVHVQFLRSSGRAFHGLIDQLCSKCPKHDDGATLQSETTSSKFKCLYKFFRPSSEGDTAAVDNVLRNDGNTEVVAIQSKENGHNSNNILEDGEKSVLSSDHSKKLSAHHRLKIWITSGHSGIIGRYGKKLELNAANVPKQFPSEHVNTVWPDWLMKVAPEAVQGWFPRRAESFEKLGKIGEGTYSSVYKARDLKTGKIVALKKVRFVNLDPESVRFMAREILVLRKLNHPNVIKLEGIIASPVSTSLYLVFEYMEHDLTGLAATPGLKFTEPQVKCLMQQLLSGLDHCHSNGVLHRDLKGSNLLIDSNGVLKIADFGLATFYDPNNQQPLTSRVATLWYRPPELLLGATKYGVSVDMWSTGCILAELLASKPIMPGRTEVEQIHKIFKLCGSPSDEYWQKLNVPQTGMFKPSRQYRRCIADNFKHFPQPAIVLLDNLLALEPEARGTAASTLQSDFFRRKPLACSPSSLPKFPPSKEYDARLKLEEARRQRKVGGSVRPGRENVRINRGNGSIQPKKHTYISGNLHPQGDPRTLATRVRSPGLNATWNNRGDSMTVVKYLDYNSVRIAYSTILTTRSSDLLQPEPTDVMNKMHGSPKNDLGEKGQTGSCRVKNRTHHSGSLVTPEGNIEEMLKEHDRNIQAAMRKARLNKKK >ORUFI11G08260.2 pep chromosome:OR_W1943:11:6766174:6771817:1 gene:ORUFI11G08260 transcript:ORUFI11G08260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFTRKPLQSAVHVQFLRSSGRAFHGLIDQLCSKCPKHDDGATLQSETTSSKFKCLYKFFRPSSEGDTAAVDNVLRNDGNTEVVAIQSKENGHNSNNILEDGEKSVLSSDHSKKLSAHHRLKIWITSGHSGIIGRYGKKLELNAANVPKQFPSEHVNTVWPDWLMKVAPEAVQGWFPRRAESFEKLGKIGEGTYSSVYKARDLKTGKIVALKKVRFVNLDPESVRFMAREILVLRKLNHPNVIKLEGIIASPVSTSLYLVFEYMEHDLTGLAATPGLKFTEPQVKCLMQQLLSGLDHCHSNGVLHRDLKGSNLLIDSNGVLKIADFGLATFYDPNNQQPLTSRVATLWYRPPELLLGATKYGVSVDMWSTGCILAELLASKPIMPGRTEVEQIHKIFKLCGSPSDEYWQKLNVPQTGMFKPSRQYRRCIADNFKHFPQPAIVLLDNLLALEPEARGTAASTLQSDFFRRKPLACSPSSLPKFPPSKEYDARLKLEEARRQRKVGGSVRPGRENVRINRGNGSIQPKKHTYISGNLHPQGDPRTLATRVRSPGLNATWNNRGDSMTVVKYLDYNSVRIAYSTILTTRSSDLLQPEPTDVMNKMHGSPKNDLGEKGQTGSCRVKNRTHHSGSLVTPEGNIEEMLKEHDRNIQAAMRKARLNKKK >ORUFI11G08270.1 pep chromosome:OR_W1943:11:6773444:6774394:-1 gene:ORUFI11G08270 transcript:ORUFI11G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMHARRAKLKSQLVSAKAKLKHHVTPRRLLLLSAAAASAFLLLLTLRTLSAAAANTSSPAPVVVHRSQQQQRDDQCDRVPAGVAEALVHYATSNATAWGRGRRRSAEEVAATARAVSRRAPCNLLVFGLGHGAALWAALNHGGRTVFLEEDDALVSGASPASLAIEAYRVAYLASAADADELLALRDSEHCTGAAATQLSPGHFDRSPCKLAVRGLPAAFYEAEWDVIVVDAHAPPPPTTTAMMGAIYTAAVAARARRPAAETETDVVVHDVDKPVQDRFSTAFLCGGYLKEGVGNLRRFAIPSHKEGMPFCP >ORUFI11G08280.1 pep chromosome:OR_W1943:11:6777531:6781533:1 gene:ORUFI11G08280 transcript:ORUFI11G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSKKKSGGGDDQRPLLWRLPEVTSTELGKIGPAFGLGVGCGVGAGVGFFGGLGYGFPGLTLGFGVGAGCGVGFGFGYGLGKGIAYDQNKRYSNVGKMFQEAPSLPMDTVAGLVDELVVNTKKLVRATSKEIEKWR >ORUFI11G08290.1 pep chromosome:OR_W1943:11:6781657:6785505:1 gene:ORUFI11G08290 transcript:ORUFI11G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPSKMLGTRLNFAGSSRYATAAPTTGAQKIVSLFSKKPAPKPKPAAVTSSSPDIGDELAKWYGPDRRIFLPEGLLDRSEVPDYLNGEVPGDYGYDPFGLSKKPEDFSKYQAYELIHARWAMLGAAGFIIPEACNKFGANCGPEAVWFKTGALLLDGNTLNYFGNSIPINLIVAVAAEVVLVGGAEYYRIINGLDLEDKLHPGGPFDPLGLASDPDQAALLKVKEIKNGRLAMFSMLGFFIQAYVTGEGPVENLSKHLSDPFGNNLLTVISGAAERTPSL >ORUFI11G08300.1 pep chromosome:OR_W1943:11:6802034:6804880:-1 gene:ORUFI11G08300 transcript:ORUFI11G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLPDTPQSLSLSHFSLPLNELQTRTEASPASSASAAATRIFAVRRQQQEQEGEEEEEEFEFQERMDLSGAQGELPIPMHASAAASPFAGMGAHGGAGGGHVVELHRHEHVGNNGQAMAMASPPPTNVAVAAEQEGSPVAGKKRGGMAVVGGGGGVAVKYRECLKNHAAAIGGNATDGCGEFMPSGEEGSLEALKCSACGCHRNFHRKEADDLDADSCAAALRAAAGRHHHLLGPALPHHHHKNGGGLLVAGGDPYGAAYAAARALPPPPPPPPHGHHHHHQIIMPLNMIHTSESDEMDVSGGGGGVGRGGGSSSSSKKRFRTKFTAEQKARMLEFAERVGWRLQKLDDAMVHHFCQEIGVKRRVLKVWMHNNKHNLAKKPLPSSPPPPPQIPPMSMPPSPPPMPMPMPPSPPQLKLE >ORUFI11G08310.1 pep chromosome:OR_W1943:11:6816092:6816338:-1 gene:ORUFI11G08310 transcript:ORUFI11G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNGQRVSHRRRARASNAGLECRRARQWISHEGARRARVAAVTPPHPSLARIQQWGPSVAAARDGGWLTGYGNG >ORUFI11G08320.1 pep chromosome:OR_W1943:11:6819175:6823965:-1 gene:ORUFI11G08320 transcript:ORUFI11G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMSTIPRTPAVTAASLPPAAGSRSSCGGVVGVRVPNLHRQRFPRPRSSAPWASSRSSIRILIIILRARSVSPRSGLNRFVESFDKFDVQGIVMEEAILILGVDEELKELQRRMKQIQCFLHDAEQRRIEEEAVNNWLGELKNAIYDADDIIDMAKFEGSKLLANHSSPSPLPIKYISCCNLSVTSCVRNVWTHRKIALQIRRVNYNLQRISIDKTFLALENVKATYRVLAPSKRHTSHLVEPNLVGKEIKYATSRLVEMILTHREEKAFKVAIVGTGGVGKTTLAQNIYNDQRVKGNFSKHAWICVSQEYSEVNLLKELLRNMGVHERQGETVGELQSKLASTIKDESLFVVLDDVWQSEVWTNVVRTPFHDAAKATILVTARDELVVRRVGAEHLHRVEMMSTDVGWELLWKSMNIKEEKEVETLQHIGTKIVSKCGGLPLAIKVIASVLATKEKTKNTWEKVVESSAWSMSKLPAELRGALYLSYDDLPHNLKQCFLYCALYVEGQMMHRADLVRFWVAEGFVEEQEGQLLEDTAEEYYHELICRHLLEPDPFYFDHYRCKMHDLLRYLAQHLSREECYFDQLPLEPTTWSKLRRISIVNKTDMLSSVVEKGHCRVRTLMFCMSPNIDSDVFMRFPHLRVLDLTGSIVQRIPDSINSLIHLRLLDLDATDISCLPDSIGSLTNLQILNLQRCYALHDLPMAITKLCSLRCLGLDDTPINQVPRGINKLSLLNDLQGFPVGHSYVNTRKQDGWNLEELGHLSEMKRLGMIRLENAMPCGTSSLLDKKHLKFLNLRCTTHTKESYTMEDITNIENVFDELKPPCNLEDLSIAGSFGQRYPTWLGADLSSLKILRLIDCASWAHLPAVGQLPNLKCLKIMGASAVTKIGPEFLCDKTATPRFLGTIAFPKLEWLVISDMPNWEEWSFTEEVVGASDGKSCTENNKMVLQVMPLLQKLELGDCPKLRALPQQLAQATSLKWLHIERAQALKVVEDLTFLSDSLLLSKCEGLERLSNLPQVRTLYVSECPALRWAQKLDCVQQLWLSKDLQMEFPLWLSLLKQRYQQLHGEELDLYTW >ORUFI11G08330.1 pep chromosome:OR_W1943:11:6823779:6823979:1 gene:ORUFI11G08330 transcript:ORUFI11G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMTPKAQRIEVVGNAGDADWGHGRRRPRRRSSGSRPQEGGKLPLPPVYGVSYSSPPPPPSKPYN >ORUFI11G08340.1 pep chromosome:OR_W1943:11:6852782:6854218:-1 gene:ORUFI11G08340 transcript:ORUFI11G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPVRVVSTRTVKPPPRPRERIPLTSWDVAMLSANYIQKGLLFAPPHSSSSALLSTTAHVVEHLAAALAATLRAYYPVAGRFVTEKRPGGGGCSVSIDCDGQGVEIVHAVAGGVSVADVVPPDADVPCGLVHSFFPLRDAVNYDGHHLPLFVVQVTELDDGVFVGFAYNHALSDGTAFWDMVNAWAEIARARLTTAAPPLPTSRPPPLLKRWSPEEDDDATVVLPYADVSELIERLDTPPLRERMVHFSPESLAALKERARQELLDAGDTAGAAAITKFQALTSLLWRCITRARCSSPDQETVCRVAINNRGRLRPPLPREYFGNSVYAIGTEASPVRASELVQERGHGWAAAAVGRAVAAHTDAGIRARVAAWGARPTVYTARCFDASGVMVGSSPRFDMYGCDFGWGKAVAARSGKANKSDGKASLYPARDGGGGVDAEVVLAPEHMAALELDGEFWAAVTPDHVLRACSSTKA >ORUFI11G08350.1 pep chromosome:OR_W1943:11:6857239:6857877:-1 gene:ORUFI11G08350 transcript:ORUFI11G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAERKVLGMVAAVAAMVVMMAPPAAALVPYGYGYMLDDPFRVLEQSPLRPAGGVAAAAAAGEPAAVALARCDWKETPEAHVVTVDVPGVRRGDVRVEVDEASRVLRVSGERRRAGAAEEEEGERDGVRWHRAERAAGRFWRRFRMPPGADVGRVAARLDDGVLTVTVPKVPGHRGREPRVVAIDGAGAGDMEAEVVKARPRPRCEHVSE >ORUFI11G08360.1 pep chromosome:OR_W1943:11:6860125:6869209:-1 gene:ORUFI11G08360 transcript:ORUFI11G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSCVINPNEIGSNVSQKHIEPAAAPPKGEKKRKKVYLYNWRHHSNKSSESGIKLDDDDRQASADCSLESPCISNGVDSRSETGLEVPVSIYSVQGSNSGTPVTRTVRRVRRSSLSKKGAIRNSTVSKLLDLHVNSGEQSEDTDNYNSENHELLQKGGYFSHSTSPLFAASGCLSSSNPSKFLKVPRREGSSFSCTPVSTSSYYRYRGRNPSTVGSWDGTTAASLDEDGLNQPELLRSQRCASDEVSTIFGELDLEALSRLDGRRWSSCKSQDGIALPMSGADHAVSDQRSLSQKYRPRSFNELVGQSFVVQSLNNAIIRERIAPAYLFHGPRGTGKTSAARIFSAALSCVAIGENKPCGACMECTDFFTGNGINLIEVDASNRKSINRIRHLIENIPASATSSRYKVFVVDECHMVSSKVWSAFMKFLDEPLPRVVFIFITIDPENLPRAVISRCQKYMFSKIKDIDIVCRLRKIAVKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSADTAETVKRSRELMDSGVDPMALMSQLAGLIMDIIAGTYRLADPTCGGEGIGGRNITDAELERLQQALKILSDAEKQIRLSSERSTWFTAALLQLGSGHNSEMIQSRSSSKQSAKATSEIVMDAVRESSASRTTAHPLFTLRGSRKTLDLRTASGHSSPQGVVSVSSRMRANDNLKHGECRSVDRVLQDSAQRSNSSELRPMTNVSSDNLARIWRKCIDNCHSKTLKQLLCDHGKLASVKDYGGYYIAFIAFEGSDIKSRAQRFTSSIRNSMETVLRCNVEVRIGLMPELLAGGLKREEDLDERDEFDALSCSTNSDRLKGIRNPSRNLNYSEEIEKKLEKFSCASAASGGLQSCTTEGNTGMHRTRGKEVSVEQAKAVTVEEQRLESAWLQAVEKHAPGILNQMRPERNQVVPRISGEQYHRMPETDTVFPSRQVDKELSNGLKSLNIGSHGLRQNGQMENGYAISPSLLHSNNHLANCDNERSLSPEHLAVMVCSPAGKLKNQKEERKKLVHCKHYSNHSCKDDLRRATHVYLAVELTSQNFASLMACRGARLSLSNL >ORUFI11G08360.2 pep chromosome:OR_W1943:11:6860125:6869209:-1 gene:ORUFI11G08360 transcript:ORUFI11G08360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSCVINPNEIGSNVSQKHIEPAAAPPKGEKKRKKVYLYNWRHHSNKSSESGIKLDDDDRQASADCSLESPCISNGVDSRSETGLEVPVSIYSVQGSNSGTPVTRTVRRVRRSSLSKKGAIRNSTVSKLLDLHVNSGEQSEDTDNYNSENHELLQKGGYFSHSTSPLFAASGCLSSSNPSKFLKVPRREGSSFSCTPVSTSSYYRYRGRNPSTVGSWDGTTAASLDEDGLNQPELLRSQRCGIPCNWSKRNKHKGSERSFSPSLSDTLRRKGSSLLCGGQTMHRRKRSSGSSKCAYLTKSSQGTPLLDDSCHFAYSSFDSASDEVSTIFGELDLEALSRLDGRRWSSCKSQDGIALPMSGADHAVSDQRSLSQKYRPRSFNELVGQSFVVQSLNNAIIRERIAPAYLFHGPRGTGKTSAARIFSAALSCVAIGENKPCGACMECTDFFTGNGINLIEVDASNRKSINRIRHLIENIPASATSSRYKVFVVDECHMVSSKVWSAFMKFLDEPLPRVVFIFITIDPENLPRAVISRCQKYMFSKIKDIDIVCRLRKIAVKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSADTAETVKRSRELMDSGVDPMALMSQLAGLIMDIIAGTYRLADPTCGGEGIGGRNITDAELERLQQALKILSDAEKQIRLSSERSTWFTAALLQLGSGHNSEMIQSRSSSKQSAKATSEIVMDAVRESSASRTTAHPLFTLRGSRKTLDLRTASGHSSPQGVVSVSSRMRANDNLKHGECRSVDRVLQDSAQRSNSSELRPMTNVSSDNLARIWRKCIDNCHSKTLKQLLCDHGKLASVKDYGGYYIAFIAFEGSDIKSRAQRFTSSIRNSMETVLRCNVEVRIGLMPELLAGGLKREEDLDERDEFDALSCSTNSDRLKGIRNPSRNLNYSEEIEKKLEKFSCASAASGGLQSCTTEGNTGMHRTRGKEVSVEQAKAVTVEEQRLESAWLQAVEKHAPGILNQMRPERNQVVPRISGEQYHRMPETDTVFPSRQVDKELSNGLKSLNIGSHGLRQNGQMENGYAISPSLLHSNNHLANCDNERSLSPEHLAVMVCSPAGKLKNQKEERKKLVHCKHYSNHSCKDDLRRATHVYLAVELTSQNFASLMACRGARLSLSNL >ORUFI11G08370.1 pep chromosome:OR_W1943:11:6878227:6879453:-1 gene:ORUFI11G08370 transcript:ORUFI11G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFKGKIWAALGSLMDHSNSKASSAATPTAAAAPVPDRALLADIEAAVERCTAGGGGGDDERHVHEILFLVSNAPGAITFLSRRITARLEAARAPPAAALRSLLLVHRLLRAGDRYFEQDLRGLWASRDLRIDAPRCSCSPHAGGGGGGGGEYATATGTCSFLHGYSAYLEERMQWAINQAGNLEPTRPRPPPHDGGDDHAAGAAAAEAPLVFKLAMSQRLLDLAIQLLPDNNTSATSAAARSAFGIVLRESFKVYDAFKDGLDALLRSLAAAAGGGKASRASSSAHEILKKARAQTPELKEFYHKCKKSSNVSSKSLDYPVVRVVTSAMELVMPPPVTDDEDDDDGGAATTEEAAGVAAQEASHPQPFATKLETTISTVWVEFDDGDGDGGGGGDHSLQGVQSSYL >ORUFI11G08380.1 pep chromosome:OR_W1943:11:6883974:6890997:-1 gene:ORUFI11G08380 transcript:ORUFI11G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGAHRTPEDVFRDFRARRAGMIKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDTWLLAVAFYFGARFGFDKESRKRLFSMINNLPTIYEVVTGTAKKQSKEKTPKTSGKSNKSGTKPSRQPEPNSRGPKMPPPKDEDDSGGEEEEEEEDHENTLCGACGDNYGQDEFWICCDACETWFHGKCVKITPAKAEHIKHYKCPNCSSSSKRARA >ORUFI11G08400.1 pep chromosome:OR_W1943:11:6896111:6898108:-1 gene:ORUFI11G08400 transcript:ORUFI11G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKICARIGDGGCISLDDEEVPPPVVPELCAALPPPQPVAEVQVCSEEVLVIAVPVPSEERAIVLHKPDDAARNLLLGPLRPEFPLRVSPDWIHGLKSTGLREARDLHGRDLHRALFEELTMDETSNLTMVPWVPVPSNSQEASTSGAATTTTEMMDAEDTSMEVKQGGGSG >ORUFI11G08410.1 pep chromosome:OR_W1943:11:6899119:6901940:1 gene:ORUFI11G08410 transcript:ORUFI11G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSPEARQTHGEIAGAAAPERRLKLYSFWRSSCSYRVRIALSLKGLDYEYKPINLLANEQSHPEFEKLNPMKYVPALVDGDDTVVVDSFAILLYLEDTYPQHPLLPQDPKMKALNIQIASIVGSSIQPLQNNSVLDFIEEKLDSQEKVNWIQYHLNRGFTALEKMLKGCTTTYATGDEIQLGDLFLEPQIYGGIKRFGIDMTNYPTLARLHEAYMEHPAFQAALPERQPDAPSSPEI >ORUFI11G08420.1 pep chromosome:OR_W1943:11:6903968:6909514:1 gene:ORUFI11G08420 transcript:ORUFI11G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPTSWRIIHLLVFLIIVLDHALIISADTDPQDTSALNGIAASWDNAKSKLSEWVGNDPCGEKWPGVYCTQNRVTSIRLSSFGLSGSLSGDIQSLSELQYLDLSYNNLSGPLPPNIGSLSNLESLSVVGCQFSGDIPKELSQLPKLRFLSLNNNRFTGSIPPSIGNLSNMYWLDLGENRLTGSLPVSDGTNTGLDNLTNALHFHFGVNQLSGTIPSQLFKSNMKLIHLLLDNNNFTGGIPPTLTLLTKLEVLRLDRNYQLTGPVPASINSLTKLQELHLENNKLTGPLPDLTGMDSLYVVSMGNNNFSSSNVPTWFTALSALTSLNLENLHITGELPQPLFKLPAIQTLGLKGNNFNGTLTIGSDYSSTLSLIDLQDNQITTLAGNNEALYCKSSQQANPAAKPYSTQSICPGLPPTCLSDQYLSPNCTCAVPYMGTLHFRSPPFFDLSNDTFFVLLEENMKEAFLGKQLPVESIALDNPAFGPSNNLDINLRVFPSGKIRFGKEDISYIGFMLNNQTYKPHAPGINYGPYYFIGQSYPFAETLSAPRQTKKNQSLIIGVSAGGAFVVVSLLVLFTVLFFRRNKRPKLQPQPRSPSYASWDIKSTSISTPHLQGVRVFTFDELKKITNSFSDANDIGTGGYGKVYRGVLPNGHLIAVKRSEQGSLQGNLEFRTEIELLSRVHHKNLVSLVGFCFDQGEQMLVYEYVPNGTLKDSLTGKSGVRLDWKRRLRVVLGAAKGIAYLHELADPPIVHRDIKSSNILLDGNLHTKVSDFGLSKPLNQDGRGQVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLLLEVITARKPLERGRYIVREVKGAMDRTKDLYGLHELLDPMLAPTSLAGFELYVDLALKCVEEAGMDRPSMSEVVAEIEKIMKMAGVNPKVDSASNSMSYNSRTPRHPYSGESQFDYSGGIPSSSRVEPK >ORUFI11G08430.1 pep chromosome:OR_W1943:11:6904731:6910046:-1 gene:ORUFI11G08430 transcript:ORUFI11G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRKLSRLLKDPMFGGSGPLKLL >ORUFI11G08430.2 pep chromosome:OR_W1943:11:6904731:6910046:-1 gene:ORUFI11G08430 transcript:ORUFI11G08430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGSGPLKLL >ORUFI11G08440.1 pep chromosome:OR_W1943:11:6914319:6914642:-1 gene:ORUFI11G08440 transcript:ORUFI11G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEKGRRERRDVLEPRKGGRSTAAATAAGHGRSGSGGLGRGRGRVVRGTVGGGVATADEAGGGRQGEALRRRRLRRRRMYGEERERWRRRRHARSLTDESTTTWRS >ORUFI11G08450.1 pep chromosome:OR_W1943:11:6915280:6926311:1 gene:ORUFI11G08450 transcript:ORUFI11G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQPPRRIKPGSEPPKDQLATETGPRLGPIASEFGQPNTEVLPVHTTLFTSPPPPRRRRPRASAIRPYLAAAAAAGWESEGRTRGGRCRRFGYGRPRTCSEFDWH >ORUFI11G08450.2 pep chromosome:OR_W1943:11:6915280:6926807:1 gene:ORUFI11G08450 transcript:ORUFI11G08450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQPPRRIKPGSEPPKDQLATETGPRLGPIASEFGQPNTEVLPVHTTLFTSPPPPRRRRPRASAIRPYLAAAAAAGWESEGRTRGGRCRRFGYGRPRTCSEFAMYQVIRMQQEQANDDRIPKNTSCHLCKVQERHPFFKKIQKHIN >ORUFI11G08450.3 pep chromosome:OR_W1943:11:6915280:6921608:1 gene:ORUFI11G08450 transcript:ORUFI11G08450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQPPRRIKPGSEPPKDQLATETGPRLGPIASEFGQPNTEVLPVHTTLFTSPPPPRRRRPRASAIRPYLAAAAAAGWESEGRTRGGRCRRFGYGRPRTCSEFDWH >ORUFI11G08460.1 pep chromosome:OR_W1943:11:6915675:6927004:-1 gene:ORUFI11G08460 transcript:ORUFI11G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHCGYKLAVVVVFVLSSPPPLLNLFYPFPSNLHDTRSSVPVSNEKPIKTESHSHIPHNRRPRVACKAQGQESGLAYSQLFIREGELGKGKNERVQEIKRRGNRNMVESMSSKFLRRKDVRISSDGLDLESEIGKREKEVTRQREWVRKRKKRVPKSSGHGNMEEIAEEDELENSRIEPNSLQVLGRP >ORUFI11G08470.1 pep chromosome:OR_W1943:11:6927060:6932673:-1 gene:ORUFI11G08470 transcript:ORUFI11G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGSSPTSSPSVCSRSWSISEDSLKRYVSYASESCIQELLAASDSGGGGGGGDDGWKVLAYCNGVEISKRRAGAAHVFRSRWLLHAVSPGKFMAVANAVDAAKQWESDLVDARYIRELGDDLSIVHLKLGADASKRTAGLFRRRDVVVYERRQTMDDGTLVVAVASLPKEIAAGLLPPGSSSGARGAGLLLQSGWVVEKLDAGDGGDGPPCCVVTYVVQLDPAAGWLPRCFVSRLNSKLVIMIVAKLKKMALATMHSAAADDGEVMP >ORUFI11G08480.1 pep chromosome:OR_W1943:11:6941338:6944558:-1 gene:ORUFI11G08480 transcript:ORUFI11G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGQEIGASAGEKGSEQHAIDVRPGEHGAIGVVAGAAAEAPEKERLAVVEEEPRKKSKRVAALDAFRGLTIVLMILVDDAGGAYERMDHSPWNGCTLADFVMPFFLFIVGVAIAFALKRVPKLGAAVKKITIRTLKMLFWGLLLQGGYSHAPDDLSYGVDMKKIRWCGILQRIALVYFVVALIEAFTTKVRPTTVRSGPYAIFHAYRWQWLGGFVALFIYMVTTFSLYVPDWSYVYHNDGDVNDGKQFTVLLAVFPDHVQCGVRGHLDPACNAVGYVDRVVWGINHLYTQPVWIRSKFNIIDSVRDNWDPLWTCSRSFQAIPINKQLYSLSYVCFTAGAAGVVLSAFYILIDVWGLRTPFLFLEWIGMNAMLVFVLAAQAIFPAFVNGWYYDSPGNTLVSWIQKHVFINVWHSQRLGTLLYVIFGEIVFWGVVSGILHKLGIYWKL >ORUFI11G08490.1 pep chromosome:OR_W1943:11:6967569:6969717:1 gene:ORUFI11G08490 transcript:ORUFI11G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRRWGRGSLIGMRSHHVAESPRRLGMSVPHSTGRISLGIHCHLKKFRIVLEVYKYLFGASSIGQCFSLPLSYPVKPVTKQITETQMGHKLADTGQGRVD >ORUFI11G08500.1 pep chromosome:OR_W1943:11:6969743:6977387:1 gene:ORUFI11G08500 transcript:ORUFI11G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVVLAGSGWVVSPIMRKIINDAKTYLGKDMAQELDDLETTVIPQFRFVIKAAERSPRRMELERWLWKLKAAFYDAEDLLDMHEYKLLQRKATGNISMPSTSNSRLALVSTASNLLPANRRLLRKLTELKNILVEAKNFHREFLSAGTTAAAITGPHVNSSSSTITTSLPTSKVFGRDADRDHIISFLCNPDDTNTSGERNYCTSAIVGHGGAGKTTLAQYIYNDERVVNHFDVRMWICISRKLDVHRHTAEIMESATNNNRVVHFTNLNNLQCALRGVLQESQRFLLVLDDVWFYGFQDEEEWAKLLAPLVSQQRGSQVLVTSRSGRLPAPLCCKQVFPLQNMKDTEFLALFKYHAFSGAGMREQHRSEELLDIAGRIAQKLGQSPLAAKVVGSQLSRNMTITAWKDALKSDNLGETRGSLLWSYQNLDPNIQRCFMYCSLFPKGHVFVINELVHLWVAEGFVVDSSDVNSSDQSRTVEDIARAYFREMVSACFFQPVPKSFDTSYSMHDIIHDFAETLSRGDCFRLEDCMVTEIPHTVQHLSVYVDRMGQHKQSICKLIHLRTVIFMEPVMDDANKLFHEVFCNMKKLRVLLLCFYNSRKLPQSIDEFKHLRYLNILKTSISELPGSLCTLYHLQFLRVHRDVNNLPAKICNLSKLRHLERCSPSLSFGPIAQLPVPQIPYIGKLTKLQHVLEFSVAKQIGYELQQLRDMRELRNILQINYLENVRTKDEALEAMLHNKSRLDRLELSWSYIDDLHINDNLHLEVLEGLKPPRELNDLIVTGYRSPTLVLSNCTSLECLPSSVQLIKHFRHIALRNILNLKTLPCFPGGLVSLNMMGCPLLRFISREELGQDVQHTDLMKVGNLSSTLARILEAKRGSKISKKVRDTLVFEHSSLKQLMALMDDDISAQLQTIKSAIESEREEVLLEENIIKAWLYCQEKRTKLIYSRPTENLLLLPSSIENLSLSSCNLTDGALAVCLQGLTSLKWLSIERIMNLTSFPSPQVLQRLTMLKRLYIRSCWCLRSLGGLREATSLSEVKIDSCISLELVDENGIAVMPSSIGKLSLFGCILGANFLSTDFPRLRSISITCCRSSSSFAIGHLRSLESLSLNNMPDLCFLEGLSCPHLQDIHLINVPNLTAESFSRHHAWKSLAISSSAMLSLMLSIKDFMLPEKLCFEQYDEPSITFQSSANFTSIKFLEFRDSKVMYLPSSLKNLSSLERIKFVRIPNLSSLPDFPNSIQQIEIQDCECLKRSCQAPNGENWQKIECIRWKLIEVSKPDESIAWFIHRYDKVGIAAYPKKLQPGQPVGTSAPSPTHRGLATPSLLCQVRDGVVAATTSSGEDEAAMLENWVAGNGELLISVQASIIMGLQGAVSQTALQPGQPSRDVRPTVESWYFANNKLH >ORUFI11G08500.2 pep chromosome:OR_W1943:11:6969743:6977384:1 gene:ORUFI11G08500 transcript:ORUFI11G08500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVVLAGSGWVVSPIMRKIINDAKTYLGKDMAQELDDLETTVIPQFRFVIKAAERSPRRMELERWLWKLKAAFYDAEDLLDMHEYKLLQRKATGNISMPSTSNSRLALVSTASNLLPANRRLLRKLTELKNILVEAKNFHREFLSAGTTAAAITGPHVNSSSSTITTSLPTSKVFGRDADRDHIISFLCNPDDTNTSGERNYCTSAIVGHGGAGKTTLAQYIYNDERVVNHFDVRMWICISRKLDVHRHTAEIMESATNNNRVVHFTNLNNLQCALRGVLQESQRFLLVLDDVWFYGFQDEEEWAKLLAPLVSQQRGSQVLVTSRSGRLPAPLCCKQVFPLQNMKDTEFLALFKYHAFSGAGMREQHRSEELLDIAGRIAQKLGQSPLAAKVVGSQLSRNMTITAWKDALKSDNLGETRGSLLWSYQNLDPNIQRCFMYCSLFPKGHVFVINELVHLWVAEGFVVDSSDVNSSDQSRTVEDIARAYFREMVSACFFQPVPKSFDTSYSMHDIIHDFAETLSRGDCFRLEDCMVTEIPHTVQHLSVYVDRMGQHKQSICKLIHLRTVIFMEPVMDDANKLFHEVFCNMKKLRVLLLCFYNSRKLPQSIDEFKHLRYLNILKTSISELPGSLCTLYHLQFLRVHRDVNNLPAKICNLSKLRHLERCSPSLSFGPIAQLPVPQIPYIGKLTKLQHVLEFSVAKQIGYELQQLRDMRELRNILQINYLENVRTKDEALEAMLHNKSRLDRLELSWSYIDDLHINDNLHLEVLEGLKPPRELNDLIVTGYRSPTLVLSNCTSLECLPSSVQLIKHFRHIALRNILNLKTLPCFPGGLVSLNMMGCPLLRFISREELGQDVQHTDLMKVGNLSSTLARILEAKRGSKISKKVRDTLVFEHSSLKQLMALMDDDISAQLQTIKSAIESEREEVLLEENIIKAWLYCQEKRTKLIYSRPTENLLLLPSSIENLSLSSCNLTDGALAVCLQGLTSLKWLSIERIMNLTSFPSPQVLQRLTMLKRLYIRSCWCLRSLGGLREATSLSEVKIDSCISLELVDENGIAVMPSSIGKLSLFGCILGANFLSTDFPRLRSISITCCRSSSSFAIGHLRSLESLSLNNMPDLCFLEGLSCPHLQDIHLINVPNLTAESFSRHHAWKSLAISSSAMLSLMLSIKDFMLPEKLCFEQYDEPSITFQSSANFTSIKFLEFRDSKVMYLPSSLKNLSSLERIKFVRIPNLSSLPDFPNSIQQIEIQDCECLKRSCQAPNGENWQKIECIRWKLIEVSKPDESIAWFIHRYDKVGIAAYPKKLQPGQPVVWHLSSWGCRVLFHKLHYSPDNHRVMSVQQ >ORUFI11G08510.1 pep chromosome:OR_W1943:11:6978752:6979291:1 gene:ORUFI11G08510 transcript:ORUFI11G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSGLWQGGGKMASRWVARASELARGCRRRAAVAARSRGSYEGGGRYARYGLLHGGGVAMVAMSAGLVVAMTTDLMAGLPPPPSARSGRGAVGGGLVALGVEDWGGDGCGYGCCGDRGRGCAGRKHDGLGRLAGGMVDGRIWLARQWLEEGAEASSAQRGVADGSGGQHGARKRGQQR >ORUFI11G08520.1 pep chromosome:OR_W1943:11:6979445:6979743:1 gene:ORUFI11G08520 transcript:ORUFI11G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEHRCVSRGLAGGENLARPWPDRQRWHPSVIPPPEGFVMPSHPSRVVAGRKPSLGSFETLTDSGGGFPSLLFLETSFRHPLAETTRAIGAITL >ORUFI11G08530.1 pep chromosome:OR_W1943:11:6981925:6985346:1 gene:ORUFI11G08530 transcript:ORUFI11G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTRCNVEDLNPSRIRTPQPPKALCLADAVTRRRRRRRRRRGDMLHLRNHLLPLLHAATSTASSSPLHLTHRLLSTAARSPFSVEHYLIATCGLTAAQARRASPKLSRLNSSSNPDAVLALLSSSAAGLDSLSLSRADLAAVVAAEPRLLRARPGTIARRIASLRGRANLSAPQIRSFLMSGGAAHLASSDVSPKLAFWVPFLGSFDMLLKILRRCNAILATDVDRVVRPNVALLGECGLGVCDIVQMTQTAAWLLTFNPERLKIVVRRAEELGVPTSSWAFKDAVCTVARNNEGTIAARMEFLRGTLGCSMDKLRSAISRKPSILGFSEKTLRGKIEFLLTKVQLETEYILQRPVMLTLSLDKRLAPRHYVLQALVEKGLIKNDVDYYSCVCFGNEHFVARYIDRHEDALPGLTDAYAAVHAGKSPAQSLTQHLKTDRYRRM >ORUFI11G08540.1 pep chromosome:OR_W1943:11:6990942:6992093:-1 gene:ORUFI11G08540 transcript:ORUFI11G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRSRALERDAGGVAVEHVELIPGMPDDVAVDCLARVPHGAHRAMRRVCRGWRSAAATPAFAMARAEAGANEDLVYLLQFANPAAAAAAAEEAKEDGDAPANSPAYGVAVYNVTTGEWRREKAAPPVPMFAQCAAVGTRLAVLGGWDPETFEPVADVHVLDASTGVWRSAPPMRSARSFFACAEAGGRIYVAGGHDKHKNALKTAEAYDAVADAWDPLPDMSEERDECDGMATVAGDRFLAVSGYRTARQGGFERDAEWFDPAARAWRRLDRVRAPPSAAHVVVRGRVWCIEGAAVMEWLGSRGGWREVGPSPPGLKAGTARAVCVGGGERVVVTGAIEDSDGGSGRHALWVFDVKTKNWTVVRPPPEFAGFAFSVAAVRI >ORUFI11G08550.1 pep chromosome:OR_W1943:11:7010132:7014535:-1 gene:ORUFI11G08550 transcript:ORUFI11G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTESKMRRSKSNQAAGESTALDPDAASVVGADGAPDSTVVACGALRASALGAGFPTIKSCYFGAVVLLVEMLWIKFVHLEELLMS >ORUFI11G08560.1 pep chromosome:OR_W1943:11:7021884:7030578:1 gene:ORUFI11G08560 transcript:ORUFI11G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAARPRRAGALLLAAAAAASSSGALAYDRRGGDGDGDGDGEAFSTTTTAVRISASSPLRRALSSAASGILPGGSAHLLPSPLPLGEDLNVYSLRAGFSFLNFFTSASNWSAGFPTQNSFASASVPPTNLSNQSSDGNSDDSKCCPGCINRNTIAKAAAAVGPAVVNISSTQETHGWVLEKSIGSGTIIDPDGTILTCAHVVLDFQSTKPILRGKVSVTLQDGREFEGTVLNADRHSDIAVVKIKSKTPLPSANLGSSSKLRPGLERIRTSTLITLKLLFDELDFLFKFGILKDEKGNSGGPLVNLDGEIVGVNVMKVWAADGLSFAVPIDSIVKIVENFKKNGRVVRPWLGLKMLDLNPMIIAQLKERSSSFPDVKNGVLVPMVTPGSPAEHAGFRPGDVVVEFDGKLVESIKEIIDIMGDKVGVPFKVLVKRANNVTVSLTVIPEEADSSR >ORUFI11G08560.2 pep chromosome:OR_W1943:11:7021884:7030578:1 gene:ORUFI11G08560 transcript:ORUFI11G08560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAARPRRAGALLLAAAAAASSSGALAYDRRGGDGDGDGDGEAFSTTTTAVRISASSPLRRALSSAASGILPGGSAHLLPSPLPLGEDLNVYSLRAGFSFLNFFTSASNWSAGFPTQNSFASASVPPTNLSNQSSDGNSDDSKCCPGCINRNTIAKAAAAVGPAVVNISSTQETHGWVLEKSIGSGTIIDPDGTILTCAHVVLDFQSTKPILRGKVSVTLQDGREFEGTVLNADRHSDIAVVKIKSKTPLPSANLGSSSKLRPGLERIRTSTLITLKLLFDELDFLFKFGILKDEKGNSGGPLVNLDGEIVGVNVMKVWAADGLSFAVPIDSIVKIVENFKKNGRVVRPWLGLKMLDLNPMIIAQLKERSSSFPDVKNGVLVPMVTPGSPAEHAGFRPGDVVVEFDGKLVESIKEIIDIMGDKVGVPFKVLVKRANNVTVSLTVIPEEADSSR >ORUFI11G08570.1 pep chromosome:OR_W1943:11:7042827:7047296:1 gene:ORUFI11G08570 transcript:ORUFI11G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVRSAAVKLMEEQLDSSEMDMDEPGGAAAAAADANNGAGSKDCPLPPPPPKTAKACAAAKKPRSVSSDFGEELDLEQLGAKQQQQQQERKLSRQDRVELSRSFQHAVSSHDWDGAEALVRRADAQGLNDVLCVAVDAIWFLSDKEELAAVVALIRRIVSEGANDFTRAALRTSFLASCVSACRGRTTSLADAVSFMGQKLNERLQESHGDEVLKAEASAKVHKFTEWALKCIGLHSRVRENKGRGNHGTIIEVQLQLSAFKTFLDLADNDLTGKDFTEAFDAACFPLTLFSNTFDQGWASGISAAAIQGLLELLVEGGADNVNQCFLEAARYGSTELVRILLQIARRNSLDIDVDLALGFAAHYGKLDTMGCLVEEGNAVAFLGPLMRAAERGCLQVVEWFVNLGCRDMELCLALTAATSSSQVAVAAYLLPLVPKHVLAPLSVEILKAAGERSTGSLHGVDFLLRSNFLNDPAATYAVADNIAKSADEAVDAKLRSFMLEHWSEAAFSEGFASAQEHFVNFMRIMEKGESPICLRDLPLELVIAMAYLPLYRECVESGGRLLPQKLRGQLVEAAGRLEGRQLDRASQGTELLAILEHHLPCFMIQT >ORUFI11G08580.1 pep chromosome:OR_W1943:11:7044502:7057480:-1 gene:ORUFI11G08580 transcript:ORUFI11G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGLPRVNGPLTVLHPDDKPHSNLHDVLEYVPSIHTHPIIRGVLGRDAKSGHGSNAKFRIEGARQRRNPLIPRPNGSKRHLQHQHQPNAAEKKTAATSNYFSIEAFLVLVFLTMSLLILPLVLPPLPPPPSLLLLLPVCLLILLVVLAFMPTDLPRYLMPNLGQRCLRRV >ORUFI11G08590.1 pep chromosome:OR_W1943:11:7057051:7058113:1 gene:ORUFI11G08590 transcript:ORUFI11G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRTQARRQQVQVRTAGNGVCDWVGEASTAGSREAAAGRKAAVAGVLSSAAPSTAQRVGEAWRCAKRKAAVAGIPSSAAPSTAPSAGDCECPLRRPKLCRPCTASSASRARRCTEREAAAAAAVAGVTSSAAPSTAPSAGDCEWPLCRPELCCPLHHLKRRRSAALHQERGGGRSSGCGRPELRRSIHRPKRQRL >ORUFI11G08600.1 pep chromosome:OR_W1943:11:7070432:7070752:1 gene:ORUFI11G08600 transcript:ORUFI11G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGRSAKGPVGSGSSGDAKEIMDEEVGVAKAEVDQWWECYLQVRQGSLETTTCFSSTWREEGGRKCRLVTSIVTLATGQLSHTTNARGEL >ORUFI11G08610.1 pep chromosome:OR_W1943:11:7071762:7074549:1 gene:ORUFI11G08610 transcript:ORUFI11G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGDYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDIKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGSEFDDGDEGDEGDEY >ORUFI11G08610.2 pep chromosome:OR_W1943:11:7071762:7074867:1 gene:ORUFI11G08610 transcript:ORUFI11G08610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGDYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDIKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGSEFDDGDEGDEGDEY >ORUFI11G08620.1 pep chromosome:OR_W1943:11:7076001:7083873:-1 gene:ORUFI11G08620 transcript:ORUFI11G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRMSKPAPASSASKPRRRASPSPSPSPGTAPKTAKNAVAGAGGGGQRRRSPLTDLNSGDASAARGGCFRFLVSSASSGSRSRTPVASPKVKKPRPEIGRRGRVVAAADQESRTRVVEKMMTRGRAETETARKHAPPPRGLPLLGEALTPQRKADAGATPAVSGATPPIHASISPEVLACGSATPACFAAGHHVVPGVADRRKCRPRGILAIAGEGASEELDPDPSRASIHWLSSPSGEVPGTCSTKCTNEASVNWLPSPREERGVDLLGDEIFVPRCSLEDAFWQISPKSTGLLSSPVLNGLLDLGTPASELSETTPSSGFLPLQKTPSTGDSLSPFSLIVKRASQSLSSRGLKSLCSQQGPGSCSYGSAADPTAISGESWSSKCSGLTRTCSRPLTKMDPVVECLEMMALSPRPGDADYCGNGALPAPLPDLSFQFAGAPMSLESIDLTSFKRSPCGIELKGEKSASFQKPVLADPRISWREGLVSRMFDMGDLDCCKWWSDDEDGPVFQGNEEALRDTELQSVIPSCLQECGDQTAAACGFGSVEFSCSGGGGEMCNDNPCAHQWRRQICDLIDILNRIRVFVVIVLQTIEMKKASGRRSYTSSTALEEALVPDSLFSVCFFIRKLVVDIVHTTVVRCLLCHGVATLRGKVLVDGRVVNKAGTQVSDKSIIEIKAEIPKYVCRAGHKLEAAIKEFGIDCDEKVALDSGLSTGGFTDCLLQHGASHVYGVDVGYGQVAEKIRVHERVSVIERTNLRYLTELPQLVDLVTLDLSFISILLVMPAVVKVMKTDSTLITLIKPQFEARRSQVGGGGIVRDPLVHKEVLDRIISGVEQFGFCNKGWIESPIKGAEGNKEFLACFHRIPVQELQPEEAKST >ORUFI11G08630.1 pep chromosome:OR_W1943:11:7089868:7090477:1 gene:ORUFI11G08630 transcript:ORUFI11G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEFLPKLASCFRPPSSSSSSLSAAAARRGRRQEAAARRRPDKAEKRRWVDEQVGLHLAARVGLHLAARVWDGYSYGAGYGKGGAVDVSRYGDIGLEAALGYEFERRWWFAEMARLLALVDEDDAAAAAAGNSGDNTPAAKGVSGSSSN >ORUFI11G08640.1 pep chromosome:OR_W1943:11:7097514:7100114:1 gene:ORUFI11G08640 transcript:ORUFI11G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRLFEAAKSGDCRLMKELVAAMDPSILLRTTPQGNTCLHISTINGHEEFCQEVLMLDNSLLTVANSHGETPLLTAVTNGRTALASVLLRRCCEAGLREAILKQDENGCNALHHAIRNGHRDLALELIAAEAGLSQGVNKYRESPMYIAVMRDFTDIFRKLLGIPGSAHVGCHGRNALHAAVRNGNPVIAKELVEKRPGLAREFDDEMDTPMHHAAMWGKTHVLGALLQYDWSLGYVLSNNKDSVPLLNSAAYRGYVSVARELVHHCPDAPYYDANGCTCLHQAAFKGHLEFVEFILESPYLRKLVNMRDNGGNTALHYAVQDCNPRIVAALLSHGDTDVTVLNYTGNEAVWQLRGAADYAKTLNWIQNPIGQSSKRENKIKTSPKADDADVAAGFAAAVVAIGFPAREAAIAAGSAREPDLDAGSRRRRRYHRICRGKQPPPSLPSDLPRDAAAARLGYEKLPPSSALSTPLRKAAATAGSGRGKQSVPSVPPDLAGGTRRTRPLSLLIHSNGVVPSLSLRHAGETGGRGGDGLATPVGRGSGSSGERAVEKEQRRRSGEGTGGVDAPLGGEGRGGEEELAVGGWEWHRR >ORUFI11G08650.1 pep chromosome:OR_W1943:11:7100226:7126527:-1 gene:ORUFI11G08650 transcript:ORUFI11G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCSALPISDQIVPKGWTQAWGNLGRGPGRGPCRSRSGATIEEFNEDDIDSEEDNTLVPNPSKLPDKET >ORUFI11G08660.1 pep chromosome:OR_W1943:11:7111590:7112741:1 gene:ORUFI11G08660 transcript:ORUFI11G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGCLVKLDNPYRGYGMYPMTLTYFNHNEVSMLMLEADPQNATSIYNLHKDAKDKLNKSSMKDAKSLTQTYTSNTSLVAILIATITFAAAFTLPGGYSSDAGNLGFPIMARKFVFQSFLIADTLAMCSSLVVAFICIIARWEDLQFLLHYRSFTKKLMCFAYMATTVAFATGLYTVLAPRLLWLAIGICLLSVLLPAITKVIGEWPVLKLRFRLGKTYNSDLLDMV >ORUFI11G08670.1 pep chromosome:OR_W1943:11:7128138:7136185:-1 gene:ORUFI11G08670 transcript:ORUFI11G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQEVADPAQAAEEEGPGELPRSGSSSRLNAQAPEFVPRAAAAPPQAAVAAPPPPPQVIRVFAAAPPPPPAAFFAAVPPPPPPPFEYYPAVGGGGGFGAPVELEAEAEQQPPPGQQSGRDGISDDVVHKITKQVEYYFSDINLATTEHLMRFITKDPEGYVPISVVAGFKKIKALIQSNAMLASALRTSSKLVVSNDGTRVKREQPFTESDLEELQARIVVAENLPDDHCYQNLMKLFSTVGSVKTIRTCYPQTPNGTGPVTNRSAKLDMLFANKLHAFVEYDTVEDAEKAIVELNDERNWRNGLRVRLLNTCMTKGGKGKKGGHDINDGHGVEDDVSTSNQSNEKHGEEALQTPDAQGEQLPDESAGDMGRGRGKGRGRGGRGRGRGYHYHNNNQQSYHNHQQHNHQNSNNRNAAHPVGTPPSNHPAKNEQQQQAQPQPQPQPPAGANKQPPGPRMPDGTRGFAMGRGKPQTSTTTPSASSGSEP >ORUFI11G08680.1 pep chromosome:OR_W1943:11:7183207:7188916:1 gene:ORUFI11G08680 transcript:ORUFI11G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIVSASTGVMRSLLAKLTNLLGGEYKLLKWLRREMEFLESELRSMSIFLERLEDTQKLHPQMKDWRDRVRELAYDIEDCIDDFILQLDSKDAKVGFGQKLLASRRIGHMIRELKARVMEESERQRRYMLDGLASGPSVHVKVDPRLSALYVDEDRLVGIDAPRDEIIGRLLDKRRSASAKQVMTISIVGCGGLGKTTLANQIYCKIKGKFECAAFASVFQNPNTKKVLTNILSQVATTAAVEDDEQAIINKLREYLSDKRYIVIVDDIWDMQIWKFIECALVKNCRGSRIITTTRIHDIAKLCCSSHGDYIYEMKPLGVIDSKILFDKRIFDPEERRPPQLTEVSEEILKKCGGLPLAIISISSLLASKPKSKDQWDRVKVSLSSTLERTPDIETMEWVLSLSYSDLPNHLKTCLLYLSIFPEGYEINRERLVSRWIAEGFIYKKHGQNPYEVGDSYFNELVNRSLIQPANIKPDGQTNACRVDDTVHDFIVSMSVEENFVTLFGGSKLVPRSHGKVRRLSIQNGGIQENIVTSTHLVTSQVRSLTLFAVEMPSLLGFGMLRVLDLEDCYALEDHHLTNLERLVQLRYLSIRTSPISELPKQIGQLQYLETLDLRATGVEELPSTIGRLKSLVRLFVDYHVKLPKEISNMHALEELTSFSALMYSPDFLKELGQLTNMRVLRVICDCDSFKGDAGSCLENLASSLCNLGTYNLHSLFVDINGYGEDNFSLDTWQPVPSRLRRFSIDRWCPINKIPNWVGSLINLEELVLYVNKIWQEDFELLGHMPALSSLTIYSNTALQGRIIISGFHSTKFFKFYCNPAGLTFDAGSLLKLECLDVIMNVFNTKSSNGSFDFGIQYLTNLRNVYIQLDCNGSTGGELEAAKASIKSSVNKLPGQPKLNLSTLNENMLVHEEAN >ORUFI11G08690.1 pep chromosome:OR_W1943:11:7193921:7195568:-1 gene:ORUFI11G08690 transcript:ORUFI11G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPDESAAAIEARGWSSHPFSFPSQPPDIKNWFSSYEYESPEVPELVGGNGGNSGSETQDPLENIQVGVPVDSLLEPTTHDGDGDGDGDSALRGNQCGQQHEHEVSAIREFIPISKSKVERGTKRKQSLRSLFGDGFLDNIGETSETETQAVLSVQRNEAEPLPDRNAMGLPDDDDTQGGQEGAIECSELPVDCNGIDVGDTQEGSQVDQEKEQSKLLIGDGMSSCHADKITPKDGNEQSKLSVDCTRTCKDRTKRRFDGNGIKSSLPSIGCNDIIIPNTEENSPGEETCHGNPAMDDKEQEETVAADGFVAIKRKEKLEQTFNTNKIPKPPTRRAKSTTLQENRDIVEQKVVVQERTSRSPLADMTNVSEVAAAPTTTEIRGKWKCPRKGKHYVGPPLKQLRLGQWLRRLD >ORUFI11G08700.1 pep chromosome:OR_W1943:11:7195960:7197356:-1 gene:ORUFI11G08700 transcript:ORUFI11G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAHAHAAVAAHLLVSAFVAAAAAAAATYNVIDYGAVGDDGGVTDSARAFEAAWAAACAGDAAAAAATVVVPAGGVYLVSRARFAGPCRSGAVAVNMTGATVVAPVPYAGVQLWIVFQDVDGVSVAGGTLDGRGRALWACRRARRPDCPPATRSLTIYRSRNVAVRGLTSRDSAGIHITVQASAGVAIVDTVVSAPGRSPNTDGIHIKQSTGVTVRNAVIGTGDDCVSMVEGSSDVLIEAVTCGPGHGISIGSLGDTPEQVAVRNITVKGAALAGTTNGLRIKTWAKANAGAVAGVSFSGVVMRNGSGIEISGVSYTDIEGTSATATAVRFDCSPSRPCAGIAMRDVRLRYQPPAAAAEEEQPAASFCRNAHGVAFGDVDPPSCLTE >ORUFI11G08710.1 pep chromosome:OR_W1943:11:7201319:7203029:-1 gene:ORUFI11G08710 transcript:ORUFI11G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVITVVVVVGVVLALAAAASVMAAEYSVVDYGARAGGRADAAGAFLAAWAAACGDDGERPVMRVPAGTFLVGRAYFRGPCRSAGGVVLAIDGTVVAPPAVGNASWITFHYAHGLAIRGGTLDGNGHAFWACKAAAGRRCPPGTTTLDISQSNNVSVKRVTLVDSKNVHVSIFDCAGVTLQGVRIAAPADSPNTDGIHVALSRDVAVLSATVRTGDDCVSVGPGTSGVAIRNIRCGPGHGISIGSLGGRAGEGEVRNVTVESASLAGTQNGLRIKTWGKPFAGRVSGVRFANVAMRDVQNPIVVDQNYCPGNVNCPGQSSGVKISDVEYEGITGTSATAVAVRFDCSGSNPCTGIRLRNINLTYDGGGGKPARSFCKNAGGSASGVVIPPSCL >ORUFI11G08720.1 pep chromosome:OR_W1943:11:7206721:7210372:1 gene:ORUFI11G08720 transcript:ORUFI11G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKCSITIIIQLLFCYMLCQPCYGTLSDIQCLKRLKESVDPNNKLEWTFTNTTEGSICGFNGVECWHPNENKILSLHLGSMGLKGHFPDGLENCSSMTSLDLSSNSLSGPIPADISKQLPFITNLDLSYNSFSGEIPESLANCTYLNIVNLQNNKLTGAIPGQLGILSRLSQFNVANNQLSGPIPSSFGKFASSNFANQDLCGRPLSNDCTATSSSRTGVIIGSAVGGAVIMFIIVGVILFIFLRKMPAKKKEKDLEENKWAKNIKSAKGAKVSMFEKSVAKMKLNDLMKATGDFTKDNIIGSGRSGTMYKATLPDGSFLAIKRLQDTQHSESQFASEMSTLGSVRQRNLLPLLGYCIAKKERLLVYKYMPKGSLYDQLHQQTSEKKALEWPLRLKIAIGSAKGLAWLHHSCNPRILHRNISSKCILLDDDYDPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGEEPTQVKNAPENFKGSLVDWITYLSNNAILQDAVDKSLIGKDHDAELLQFMKVACSCVLSAPKERPTMFEVYQLMRAIGEKYHFSAADDELTMQPQNAEAEKLDELIVAN >ORUFI11G08720.2 pep chromosome:OR_W1943:11:7207382:7210372:1 gene:ORUFI11G08720 transcript:ORUFI11G08720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLFSLMFPCRHPRASFSSLDVEGVQEVEHTRMSVKCSITIIIQLLFCYMLCQPCYGTLSDIQCLKRLKESVDPNNKLEWTFTNTTEGSICGFNGVECWHPNENKILSLHLGSMGLKGHFPDGLENCSSMTSLDLSSNSLSGPIPADISKQLPFITNLDLSYNSFSGEIPESLANCTYLNIVNLQNNKLTGAIPGQLGILSRLSQFNVANNQLSGPIPSSFGKFASSNFANQDLCGRPLSNDCTATSSSRTGVIIGSAVGGAVIMFIIVGVILFIFLRKMPAKKKEKDLEENKWAKNIKSAKGAKVSMFEKSVAKMKLNDLMKATGDFTKDNIIGSGRSGTMYKATLPDGSFLAIKRLQDTQHSESQFASEMSTLGSVRQRNLLPLLGYCIAKKERLLVYKYMPKGSLYDQLHQQTSEKKALEWPLRLKIAIGSAKGLAWLHHSCNPRILHRNISSKCILLDDDYDPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGEEPTQVKNAPENFKGSLVDWITYLSNNAILQDAVDKSLIGKDHDAELLQFMKVACSCVLSAPKERPTMFEVYQLMRAIGEKYHFSAADDELTMQPQNAEAEKLDELIVAN >ORUFI11G08730.1 pep chromosome:OR_W1943:11:7211318:7216219:-1 gene:ORUFI11G08730 transcript:ORUFI11G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQQSEEPEEQVDLEGDDDNDVMDDDEDGYRRRRRREDSDEPDDDEEDPEVEGDGHGDAGTAAGEGGAHEMDKAAGGGGDGPEDDDEKRKWDELLALPPQGSEVFIGGLPRDTTEEDLRELCDSFGEIYEVRLMKDKETKENKGFAFVNFTAKEAAQRAIEELHDKEHKGRTLRCSLSQAKHRLFVGNVKTIYVKNLPENASKEKIKEIFEKHGEVTKVVLPPAKDGHKRDFGFVHFAERSSALKAVKGSEKYEFNGQVLEVSMAKPLGDKKPDHSFKPAGAPNFPLPPYGGYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGNSLVEFPLHHQCDAVTGGMVAAEVVKGVMAGDIALTTI >ORUFI11G08730.2 pep chromosome:OR_W1943:11:7211823:7216219:-1 gene:ORUFI11G08730 transcript:ORUFI11G08730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQQSEEPEEQVDLEGDDDNDVMDDDEDGYRRRRRREDSDEPDDDEEDPEVEGDGHGDAGTAAGEGGAHEMDKAAGGGGDGPEDDDEKRKWDELLALPPQGSEVFIGGLPRDTTEEDLRELCDSFGEIYEVRLMKDKETKENKGFAFVNFTAKEAAQRAIEELHDKEHKGRTLRCSLSQAKHRLFVGNLKEAAGERLHGKWEKACINKIVWTRVQPSIEMVKTIYVKNLPENASKEKIKEIFEKHGEVTKVVLPPAKDGHKRDFGFVHFAERSSALKAVKGSEKYEFNGQVLEVSMAKPLGDKKPDHSFKPAGAPNFPLPPYGGYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGIPPPPPMRRGDRRDGGSRGGEGSHGRRYRPY >ORUFI11G08730.3 pep chromosome:OR_W1943:11:7211320:7215893:-1 gene:ORUFI11G08730 transcript:ORUFI11G08730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQQSEEPEEQVDLEGDDDNDVMDDDEDGYRRRRRREDSDEPDDDEEDPEVEGDGHGDAGTAAGEGGAHEMDKAAGGGGDGPEDDDEKRKWDELLALPPQGSEVFIGGLPRDTTEEDLRELCDSFGEIYEVRLMKDKETKENKGFAFVNFTAKEAAQRAIEELHDKEHKGRTLRCSLSQAKHRLFVGNVKTIYVKNLPENASKEKIKEIFEKHGEVTKVVLPPAKDGHKRDFGFVHFAERSSALKAVKGSEKYEFNGQVLEVSMAKPLGDKKPDHSFKPAGAPNFPLPPYGGYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGNSLVEFPLHHQCDAVTGGMVAAEVVKGVMAGDIALTTI >ORUFI11G08730.4 pep chromosome:OR_W1943:11:7211823:7216219:-1 gene:ORUFI11G08730 transcript:ORUFI11G08730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQQSEEPEEQVDLEGDDDNDVMDDDEDGYRRRRRREDSDEPDDDEEDPEVEGDGHGDAGTAAGEGGAHEMDKAAGGGGDGPEDDDEKRKWDELLALPPQGSEVFIGGLPRDTTEEDLRELCDSFGEIYEVRLMKDKETKENKGFAFVNFTAKEAAQRAIEELHDKEHKGRTLRCSLSQAKHRLFVGNVKTIYVKNLPENASKEKIKEIFEKHGEVTKVVLPPAKDGHKRDFGFVHFAERSSALKAVKGSEKYEFNGQVLEVSMAKPLGDKKPDHSFKPAGAPNFPLPPYGGYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGIPPPPPMRRGDRRDGGSRGGEGSHGRRYRPY >ORUFI11G08730.5 pep chromosome:OR_W1943:11:7211771:7215893:-1 gene:ORUFI11G08730 transcript:ORUFI11G08730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQQSEEPEEQVDLEGDDDNDVMDDDEDGYRRRRRREDSDEPDDDEEDPEVEGDGHGDAGTAAGEGGAHEMDKAAGGGGDGPEDDDEKRKWDELLALPPQGSEVFIGGLPRDTTEEDLRELCDSFGEIYEVRLMKDKETKENKGFAFVNFTAKEAAQRAIEELHDKEHKGRTLRCSLSQAKHRLFVGNLKEAAGERLHGKWEKACINKIVWTRVQPSIEMVKTIYVKNLPENASKEKIKEIFEKHGEVTKVVLPPAKDGHKRDFGFVHFAERSSALKAVKGSEKYEFNGQVLEVSMAKPLGDKKPDHSFKPAGAPNFPLPPYGGYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLLVEFPLHHQCDAVTGGMVAAEVVKGVMAGDIALTSFSFFFPFPCAASHHAV >ORUFI11G08730.6 pep chromosome:OR_W1943:11:7211825:7215893:-1 gene:ORUFI11G08730 transcript:ORUFI11G08730.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQQSEEPEEQVDLEGDDDNDVMDDDEDGYRRRRRREDSDEPDDDEEDPEVEGDGHGDAGTAAGEGGAHEMDKAAGGGGDGPEDDDEKRKWDELLALPPQGSEVFIGGLPRDTTEEDLRELCDSFGEIYEVRLMKDKETKENKGFAFVNFTAKEAAQRAIEELHDKEHKGRTLRCSLSQAKHRLFVGNVKTIYVKNLPENASKEKIKEIFEKHGEVTKVVLPPAKDGHKRDFGFVHFAERSSALKAVKGSEKYEFNGQVLEVSMAKPLGDKKPDHSFKPAGAPNFPLPPYGGYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGIPPPPPMRRGDRRDGGSRGGEGSHGRRYRPY >ORUFI11G08740.1 pep chromosome:OR_W1943:11:7216981:7218031:1 gene:ORUFI11G08740 transcript:ORUFI11G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALGAWMATAAAPGPVVKAWLSSMLLGEELELLWAKLVVAKKEAAGKKRVAVEELRRRVDYGRDELQRLRSDVAAPRKEKHALEQREAAVHGGGTVMLDVRVAVPDGDVAVHGGGAMPGVGATVAGSSGVEVTGGGMGSEGGGTGSASDGMMVTGGEEAASGESTITGGGEEATVAGP >ORUFI11G08750.1 pep chromosome:OR_W1943:11:7234743:7240270:-1 gene:ORUFI11G08750 transcript:ORUFI11G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRPWLAKQQNEYMRAPIHYAALWGMGTSYQTITPPLDPLLVSAGIRGHIGIAQEILIHCPDAPYCTKTGWTCLHGAVSADQVEYVKFILSTPQLRKLTGMRDSHGKTALRVAVHKGNPKMNEVSLLMLEADPQDASFMHNVHMEAKEKVTNKSRKDVKALTERYTSNTSLVAILIATITFAAAFTLPGGYSAVEIMVTPYLHGGYIPAGYTVPNIDRISL >ORUFI11G08760.1 pep chromosome:OR_W1943:11:7240444:7242104:-1 gene:ORUFI11G08760 transcript:ORUFI11G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREADVLLRTTKNGSNCLHIACIHGHLKFCKDALEINQSSLLAAVNSYGETPLLAAVTSGHTALASELLRCCSESGLGDVILKQDGSGCNALHHAIRCGHKDLALELIAKEPALSRAVNKDNESPMFIAMMRDFADIFEKLLAIPDSSDVGCKGFNALHAAVRSGNAGDWRASAAGFGWMGDEEDESSSRCGAVSPPPSPVSRLGCAAAAASRVSQSVP >ORUFI11G08770.1 pep chromosome:OR_W1943:11:7249894:7250349:-1 gene:ORUFI11G08770 transcript:ORUFI11G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAGWDLIVHPGVPLCHAGDAKFTLESFITLNMFVGFHQWDFGLSALHDRSSYDRRRFFDEFAELKAAPAAEFLDARSSRWGALDEFPCDGYLSVVHKRMEAVFFGSTAQRGAVASAGARSPTRHGSSSSPRWRATSGYCIASSSRSMAV >ORUFI11G08780.1 pep chromosome:OR_W1943:11:7308718:7309106:1 gene:ORUFI11G08780 transcript:ORUFI11G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQGAAKAVDRAAEIERGARAGAVKVGAASWRTGVSGRACAGPGREKGKRRWGRGLGPLPLWVREEGEAQALAPVFGAAWSGSGWWRQKRAGPGGTQSRAVSGLTRQQPSDGCHQARVRGKRRHGR >ORUFI11G08790.1 pep chromosome:OR_W1943:11:7311821:7313130:1 gene:ORUFI11G08790 transcript:ORUFI11G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHRGCPLIQHFFLLTYIASMVAGSSEPPMSHKTNQRPLSYYPQPRDFQSEQLHRAYFAIQRFKNTITCDPENITTSWTGHDICAKTSYLGFHCGAPDGQANNLTVTSVIFNGFGLCSPSLHGFIDQLPDLALFQASTNKFGGEIPSLTGLIYQYKLDVRNKHSDQYFAEARGGTPQTHLTSLCLYKKCLDIRLPPLGSMLVTRGATSGKALLLNNNQLQGQLPANFGFSTLSYLALANNKLTGLIPPSIGHMQDSLFEVLLLNNQLSGCLPQELGILRKATVIDVGMNQLTGPIPTSFSCLTSVEQLNLAGNRLYGEIPDALCKLAAGPAGRLEPADGVLNVKNNCIPGFANQRRPAECASFLSQPKTCPAASAATHVACPAAAANAAAAPADRVAKDYSSYVTYATLHK >ORUFI11G08800.1 pep chromosome:OR_W1943:11:7323050:7323662:-1 gene:ORUFI11G08800 transcript:ORUFI11G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHHRRSWPRIRWVKGCRIWWLRELVVGSWHWSFTCRVGAGRKAIAVGEDDTRENRDCHVSAGRKATTIGEDGACENKDCRVGARRKASAIDEDNTCKNKEKWRKMMVAELPWIRKILR >ORUFI11G08810.1 pep chromosome:OR_W1943:11:7323800:7335921:-1 gene:ORUFI11G08810 transcript:ORUFI11G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACAASTATIDWELLEAAISGDTRSMKMKYMDSHDPTILLGKNPQGNTCLHISSMCGHLEFCKDVLSLPQDPTVKKKLLTTVNVMNETPLLTAITSGHVTLAAFLLKYCHEQGFSEVILKQDKHKCNALHHAICNGHKDLALELIATQPALSKDVNKYGESPMYIALMMRDSKFTDIFEKLLGIDGSSHSGTYGYNALHAAIRNGNPDIAKRIIVERPNLATEENKDGNTPIQLAVRWGKIDMLRVLLKHDRSQGYVINRKNGYPLLLSAAHRGHVAVAREIIKYCPDAPYCKKDGWTCLHKAVKSGNMEFVEFILGEPRLQKLVNMRSSKGKTALHYAIQKCDPKIVAALLDKKIDLTILGSDGNAAAWELRDALDSAKTLNWNEVSMLMIKADPPNAKSVYNLHEEAKEKLINASRKDARSLTQTYTSNTSLVAILIATITFAAAFTLPGGYSSDAGSQGLPIMARNVAFKAFLISDTLAMCASLAVAFICIIARWEDLDFLLYYRSFTKKLMWFAYMATTTAFATGLYTVLAPRLLWLAVGICSVAVLVPILTKVLGEWPVLKLRIRNFFLLGLSRWNGGPRATREQARRASPSRSGGEAQRCRRRDAAAPGKWGRNQLSARGRVPKSITPTHASLSECSEAGHSGAHSRSPQRSWLVVSMAEELAGQSLGLPTHGQSASSPLARTKIPRVEMNRGLLEAATSGDSKSLKNMVSQDPSILLGTTPQGNTCLHISSIHGRESFCKDLMVLSPCLVAKVNLYGETPLLTAVTSGHDALASVLLRCCLELGQSEAILRQDRDGCNALHHAIRSGHKELALELIEAEPALSQGVNKHNESPMFIAAMRDLADVLEKVLEIPNSSHVGACSYNALAAAVRNGNAAIAKKIVEARPWLAREENTKGTSPVHLTVLWDKADVLRVFLEHDQSLGYITTTNGSPLLNAAAYRGHIGAARELLKHCPDAPCCSANGWTCLHQAVQAGNTEFFEFIMRTPQLQRLVNMRDSSGKTALHYTVMKRNPKMVAALLSRKDVDYTMVDNSAQTASSHLWDAKDAKTLIWNEVSMLMLRADPEDATCLSNLLEEAKQKVTNESRKDVKSLTQSYTNNTSLVAILIATITFAAAFTLPGGYSSDDGHPIMARKLAFQAFLISDTLAMCSSLAVAFVCILSRSEDLEFLLYYRTITRNLMWLAYMATTTAFATGLYTVLAPRILWLAIGICFLSILLPVLTKLIGEWPVLKLRFRLGHAFKTKYLDILH >ORUFI11G08820.1 pep chromosome:OR_W1943:11:7330391:7331058:1 gene:ORUFI11G08820 transcript:ORUFI11G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSPESREAVVVRRWWRERDQQREEQEHHCRGGYGEEPTPAELVVVVVAEAVAEGAQHSGTVEDLVTGMEEEPKSRPGNI >ORUFI11G08830.1 pep chromosome:OR_W1943:11:7335957:7339671:-1 gene:ORUFI11G08830 transcript:ORUFI11G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLRRRRLPSTRSGQERQPGRRGGGGGGGASPPPDLAGSSGLAGGEAGAAVVGGGGREGDGPAGGDSATARQDGNQLLMNIQNQKSASILCCTYQEEEGEEGLHPKLLK >ORUFI11G08830.2 pep chromosome:OR_W1943:11:7335957:7339671:-1 gene:ORUFI11G08830 transcript:ORUFI11G08830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLLLCHLSRASAARGGRREGSGGPAGGEAGWREGRRRRRLPSTRSGQERQPGRRGGGGGGGASPPPDLAGSSGLAGGEAGAAVVGGGGREGDGPAGGDSATARQDGNQLLMNIQNQKSASILCCTYQEEEGEEGLHPKLLK >ORUFI11G08840.1 pep chromosome:OR_W1943:11:7362123:7365394:-1 gene:ORUFI11G08840 transcript:ORUFI11G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELLAFPGVAVPQGRQFCFASIRDTSLPGAQMERRLLEAAMVGSATSMKEMAAQDPSLLLGTTPQGNTCLHISSIHGHEGFCKDVLTLNNSLLTVANMDGETPLLTAVTNGHMSLASILLECCCTLGFSEAILQQDRNGCNALHHAIHCGHKDLALELILKEPALSKAVNKYSESPMFIAVMRDFTDVSEKLLGIPGSSHVGTYGHNALHATVRNGNAVMAKKILEGRPWLGKERTRERKTPILMTVIWDKIDVLRVLLEHDVLLGYECYDDGGNLSPLLVAAAYRGHVDVAQELLNHCPDAPYCDRNGWTCLHEAVKEGQTEFVEFILRTPQLRKLINMRNNKDGQTALHQAVRMCNPKIVASLLSHKDTDFTLNDHKTGQSVIWQLCLGSERAKTLNWNEVSMLMTKADPEAATTLHRQFARKRLTDELARNVKSLTQTYTSNTSLVAILMATITFAAAFTLPGGYSNDSGSEGLPVMAKKLAFQAFLISDTVAMCSSLAVAFICILARWEDLEFLLYYRSFTKKLMWFAYMATTIAFATGLYTVLAPRMLWLAVGICFLSVLLPILTKLLGEWPVVKLRFRLGKTFKTDLLDMV >ORUFI11G08840.2 pep chromosome:OR_W1943:11:7362123:7365394:-1 gene:ORUFI11G08840 transcript:ORUFI11G08840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELLAFPGVAVPQYSYTASLPGAQMERRLLEAAMVGSATSMKEMAAQDPSLLLGTTPQGNTCLHISSIHGHEGFCKDVLTLNNSLLTVANMDGETPLLTAVTNGHMSLASILLECCCTLGFSEAILQQDRNGCNALHHAIHCGHKDLALELILKEPALSKAVNKYSESPMFIAVMRDFTDVSEKLLGIPGSSHVGTYGHNALHATVRNGNAVMAKKILEGRPWLGKERTRERKTPILMTVIWDKIDVLRVLLEHDVLLGYECYDDGGNLSPLLVAAAYRGHVDVAQELLNHCPDAPYCDRNGWTCLHEAVKEGQTEFVEFILRTPQLRKLINMRNNKDGQTALHQAVRMCNPKIVASLLSHKDTDFTLNDHKTGQSVIWQLCLGSERAKTLNWNEVSMLMTKADPEAATTLHRQFARKRLTDELARNVKSLTQTYTSNTSLVAILMATITFAAAFTLPGGYSNDSGSEGLPVMAKKLAFQAFLISDTVAMCSSLAVAFICILARWEDLEFLLYYRSFTKKLMWFAYMATTIAFATGLYTVLAPRMLWLAVGICFLSVLLPILTKLLGEWPVVKLRFRLGKTFKTDLLDMV >ORUFI11G08850.1 pep chromosome:OR_W1943:11:7407220:7411762:-1 gene:ORUFI11G08850 transcript:ORUFI11G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARTNGTLPRTNGSTLPQEPQTNMSHDIGLSEESGSETEEYDSDTSLSEKAEERIDRRLLLAARSGDCTAMRDMAASDPDVLLRTTNHGSNCLHISSIHGHLEFCNDVVRLKQPLLAAVNSYGETPLLAAVAAGHAALASELLRHCRELGFRDAVLKQDSVGCNALHHAIRGGHDDLALELIAAEPALSRAVNKNNESPMFIAAMRNSADIFDRLLAIPYSSHSGCAGDHALHAAARNGNSDIAKRVMETRPWLAKLPNRYGSTPMHHALLSDRVGVLRVLLEHDSSLGYVVAGTEDVPLLVSAAFQGRIGIAREILSYCPDAPFRSKNGWTCLSAAVHADRLEFVEFVLGTPELQKLVSMRDNQGRTALHYAVMKCNPKIVAALLSHGGADVTMLDNSSSPPSWKLWGLGDHTKTLNWNEVAMLMMEADPRNATSLHYLAMDAKIKVTNDSRTKAMFPTLTNTRSTSLVAIIIAAITFVAAFTLPGGYNTDVGSRHPIMAKKFSLQAFLISDTLAMCSSFVVAFICIIAKWEDLRFLLYYRSITMKLMWFSYMATIIAFATGLYTVLPSHLQWLAIAICFVPALLPILTKLLGDWPILKLKFRLGKIYKSGFIDMV >ORUFI11G08860.1 pep chromosome:OR_W1943:11:7434808:7435527:-1 gene:ORUFI11G08860 transcript:ORUFI11G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDGDGWMGVGLIHRPLDHGGGNGKGEAVQFDLEGVTACGRSVRCSVGNGDACGRRTALRVFLRNLTHSLLDFLS >ORUFI11G08870.1 pep chromosome:OR_W1943:11:7446766:7447245:1 gene:ORUFI11G08870 transcript:ORUFI11G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCHGQRRSGRATSSSTDTGIRWHQAVTGVPTIIAPCYVSTKLGSAYRPALRNGYRRRVLTAASTLVPAACGSAGGMPCVPAARGGGRKLLGVATGGGHQLRCGWPRKAVESVFQMVDRRGKVSRLIQQPLRKGKTSCGDIRVSLRAGGGGGGACRCR >ORUFI11G08880.1 pep chromosome:OR_W1943:11:7464017:7465378:-1 gene:ORUFI11G08880 transcript:ORUFI11G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGAIDHGIQSRVLQVWRTVPRHRPMKWPALQVTWALRQGRRAATATAVLGACCVVGLWVITIDNIVANEAAGSTVEQVVATDSNADAVGSGIWRRP >ORUFI11G08890.1 pep chromosome:OR_W1943:11:7481487:7483060:-1 gene:ORUFI11G08890 transcript:ORUFI11G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAAKINFAGGANGCLKYSGNGYSTVCLKLHAADSGTAAAAGGIRTNVRFRMVNLQPYVPPTNEVRCYATSFHGTRKAEYRCFTFIRHDVLARQWFCTDDEFTIHCDVAVVEEAAAAATMSTELGPDDLDGLMMICKCSVDNDDEPCKSSTRQSLKEARRLCARKWRKTHIETGR >ORUFI11G08900.1 pep chromosome:OR_W1943:11:7492706:7494998:-1 gene:ORUFI11G08900 transcript:ORUFI11G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMGYSTVCLKLHAADPGTTAAAGGIRTNVRFRMVNLQPCVPPTNEVRSYATSFHGTGKAEYRCFTFIRHDVLAGQWFSTDDEFAIHCDVAVVEEAAAAVTMSTELRPDDLDGLMMSCKCSVDNDDEPCKSGTQQSLKEAFRKHFLKNPIGVG >ORUFI11G08910.1 pep chromosome:OR_W1943:11:7494950:7498319:1 gene:ORUFI11G08910 transcript:ORUFI11G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATTTTTATAATVAAMTTMMMTSGGRRLAIARRAGGVGPRGRAGAGQGCMATGQRRVGASAQELYAVAAASVSATRSTGPAMVAPECQPKVQLQASFTHLSMCTADGWHRTTQIDSRIITQIDLRFIAWTSTLTGSTQVEPRGKTMGTAIDSEPTSIDLFKELHCSKTKCFSEPVKKAIEDMHAREVLISPSVEDGQPAKTSIEAVSKLAAKTTNVMKEIQVELDAKKLESAVLQEELERLKAQENYRHCINDVVLWWSQKLVSDPPSVMIAGSQ >ORUFI11G08920.1 pep chromosome:OR_W1943:11:7503741:7504157:-1 gene:ORUFI11G08920 transcript:ORUFI11G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAHIEMAWWSRGRHPRGPGGRRPRPVESGASSGATRRRESSDTGLGPRRGRPPGGCVDGSLGQRRSPAIEVTSSSLKRKQLGGGGGQATGGDEVPGDRVTGGAMVSEERQGSAWPAEESRWRWSAEGDIDSGGAVP >ORUFI11G08930.1 pep chromosome:OR_W1943:11:7514742:7515253:1 gene:ORUFI11G08930 transcript:ORUFI11G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPQGLGGAGMEDGSGGGRRPPPPTHPSGRGSCAGRTVMPASSSPVPFVCPRHHRATSIY >ORUFI11G08940.1 pep chromosome:OR_W1943:11:7525321:7525986:-1 gene:ORUFI11G08940 transcript:ORUFI11G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPTKDVVTASTATTTSAAATGCNLLKIKVRNAIKKSHHGSKYDIESSKFQAAGHTWSFFFHNASKYSGNGYSTVCLKLHAADPGTAAAAGGIRTNVRFRMVNLQPCVPPTNKVRSYATSFHGTGKAEYRCFTFIRHDVLAGQWFSTDDEFAIHCDVAVVEEAVAAATMSTELGPDDLDGLMMSCKCSVDNDDEPCKSSTRQSLKEAFRKHFLGCFGPK >ORUFI11G08950.1 pep chromosome:OR_W1943:11:7532004:7532672:1 gene:ORUFI11G08950 transcript:ORUFI11G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMKGVILLLLVCAVISPHPVIGKNPICTHANMIEIVRKCEKFIRVQRPVPTFLCTPNSPCCEAVRKVRDRDMHCVYFLIGLDKQRVKLYSERMILRLSDLCAPVPSRPPPPPHRQVLV >ORUFI11G08960.1 pep chromosome:OR_W1943:11:7537802:7539974:-1 gene:ORUFI11G08960 transcript:ORUFI11G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCFLVAAAAVALAAAAAAPARAIPFTESDLSSEESLRALYERWRSRYTVSRPAASGGVGNDDGEARRRFNVFVENARYIHEANRRGGRPFRLALNKFADMTTDEFRRTYAGSRARHHRSLSGGRGGEGGSFRYGGDDEDNLPPAVDWRERGAVTGIKDQGQCGSCWAFSTVAAVEGVNKIKTGRLVTLSEQELVDCDTGDNQGCDGGLMDYAFQFIKRNGGITTESNYPYRAEQGRCNKAKASSHDVTIDGYEDVPANDESALQKAVATQPVAVAVEASGQDFQFYSEGVFTGECGTDLDHGVAAVGYGITRDGTKYWIVKNSWGEDWGERGYIRMQRGVSSDSNGLCGIAMEASYPVKSGARNAAASNRVVKDEM >ORUFI11G08970.1 pep chromosome:OR_W1943:11:7546088:7547877:-1 gene:ORUFI11G08970 transcript:ORUFI11G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGVDGYEMEMVRNRKVVLRRYVTAGYPTVDDMEVVSSTMMPRVPLGTMAPAVMVKNIYLSCDPWMRGRMTKHDDATAEIAEDFVLGEAMVNFGVSKVVDSTHPVFAAGDLVWGLCGWEEYSLITQPETLHKINHPDLPLSYYTGVLGVTGLTAYAAFFEVGKPKKGETVFVSAASGAVGQIVGQLAKIAGCYVVGSAGSDEKVTLLKTKFGFHDAFNYKKESNDLTGALRRCFPDGIDIYFDNVGGATLDAALVNMRRGGRVVVCGMISQYNLQEPEGVHNVIQILSKTIRVEGFAVFNHFGLYPMFEDEMARYLKEGKVTVLQDVVKGIEKASEALIGMFSGRNVGKLLVAVADE >ORUFI11G08980.1 pep chromosome:OR_W1943:11:7549385:7550048:1 gene:ORUFI11G08980 transcript:ORUFI11G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWQYQRRLAEKASEMIVAREQRRGCRCAGGDGDDESGDAVVQRDDTVVAPCQCSGEKSIGDEVMLRRAPLSTATTNRGGPRAVALKPERVTQRQPGASWRRHLMMIICGSHCSQALEWVIKSKICESEG >ORUFI11G08990.1 pep chromosome:OR_W1943:11:7552917:7553342:1 gene:ORUFI11G08990 transcript:ORUFI11G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEQAHIEMAWWSRGRHPRGPGCRRPRLVELGASSGATRQRESNDTGLGPRRGRPPSSCVDGSLGRRRSPAVEVTSSSLKRKQLGGGGSQATGGDEVSGERVTGGAMVSEERQGSAWPAEESRWWWSAEGDVDSGGAVP >ORUFI11G09000.1 pep chromosome:OR_W1943:11:7559820:7562371:-1 gene:ORUFI11G09000 transcript:ORUFI11G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTSGGWRLAIARRAGGVGPRGRAGAGQGCMATGQRHVGASAQELYTVAAASESATSTGPAMVAPECQPKVQLQASFTHLSMCTADGWCECDYRNEGLGTARSGGASTLTGSRQVEPRGKTMGTAIDSEPTAIDLFKELHCSKTKCFSEPVKKAIENYRHCINDDVLWWSQKLVSDPPSVMITISSLWAVSDRKQAVIVIM >ORUFI11G09010.1 pep chromosome:OR_W1943:11:7567858:7568529:1 gene:ORUFI11G09010 transcript:ORUFI11G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPTKDVVTASTATSTSVAATGCNLLKIKVRNAIKKSHQGSKYDIESSKFQVAGHTWSFFFHLNASKYSGNGYSTVCLKLHAADSGTAAAAGGIRTNVRFRMVNLQPCVPPTNEVRSYATSFHGTGKAEYRCFTFIRHDVLAGQWFSTDDEFAIHCDVAVVEEAAAAATMSMELGPDDLDGLMMICKCSVDNDDEPCKSGGTRQSHKEAFRKYFLGCFGTK >ORUFI11G09020.1 pep chromosome:OR_W1943:11:7574936:7579866:-1 gene:ORUFI11G09020 transcript:ORUFI11G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAASRLAVAGAEPARARRHRPTTVAVCGGARPRSRPAAVVAAAGAAAPSPATGGVAPVPPSPRGSIIKRHTLSVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKILKQVVEQLNKLVNVIQVDDLSKEPQVERELMLIKLNVEPDKRPEVMGLVDIFRAKVVDLSDHTLTIEVTGDPGKIVAVQRNLSKFGIKEIARTGKIALRREKMGESAPFWRFSAASYPDLEVAMPSKSHVNTAMKTANQNSEESSLGDVYPVESYENFTTNQILDAHWGVMADGDPTGLCSHSLSILVNDFPGVLNVVTGVFSRRGYNIQSLAVGPAEKEGTSRITTVVPGTDESIAKLVHQLYKLIDVYEVQDLTHLPFAARELMIIKIAVNTTARRAILDIADIFRAKTVDVSDHTVTLQLTGDLDKMVALQRMLEPYGICEVARTGRVALRRESGVDSKYLRGFSLPL >ORUFI11G09030.1 pep chromosome:OR_W1943:11:7588448:7590196:-1 gene:ORUFI11G09030 transcript:ORUFI11G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLAAAPPPPPAAALVHHYTRLLFAAASASAAASLRALLPIHARAVVLGISANPAFATSLITAVAPACLAYARRVFDAAPSRDAYMWNTLLRAHAHSRAHARDALALYARMRAAGVAPDHYTYPIVLPACAAARAPRLGRAAHGDAVRFALARDGFVSSALISMYSQEGEVRDAERVFAERDDARTVVSWTAMVAGYAQNCFFGEAVTLFSDMVAAGVLPNEITLISFLPCLRGQEWLPVGEMVHGFVVKLGFDANVPMVNALITMYGRCGSAPVARVLFNGMSSRTLVSWNTMVAMYEQHGDGVQAIKFFRRMLTEKVGFDCVTLVSVLSACARSGALGTGKWVHELARSHGLDTDARIGNVLVDMYAKCGEIAYAKEVFHSHGLRGHSVVSWSAMINAYANHGEAEEALKLFSLMRNEGVRPNSFTFTAVLMACCHSGLVDEGLKHFNSIATDYQMSPTLEHYACMVDMLGRAGRLVEAYGIIRGMPIRPDKCVWGAFLGGCRLHGMVELAEYVAKELFDSGSNDVTFYVLMANMYFEAGMLEDAERIRGVMKEMELKKTAGHSLVCTDRERIAVVR >ORUFI11G09040.1 pep chromosome:OR_W1943:11:7592142:7594616:-1 gene:ORUFI11G09040 transcript:ORUFI11G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAGSMEERVITERIRRKLEEVNAAAQKHLAGVQDHVNFTMQQAYFKCAYECFDRRRSQEGINNCVENCSVPVLTANNVVETEMAKFQERLNRSLMVCQDKFEAAKLQKMKTHATEELEACVNRSIDDSIRVLPHLVDQIKSTLSMN >ORUFI11G09050.1 pep chromosome:OR_W1943:11:7595088:7595948:1 gene:ORUFI11G09050 transcript:ORUFI11G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQSDGSSSYSQHSSRSPIPYRVGPFDYQSAVMCDCRVKAAWWISWSPDNPSRRYFKCRNAQEGGCGFYAWYDGPTTTFIREVLVSEEGEGKTCLAIQEERMKVEEKIIEVDAAQRELEAARKLSCDYAERIAVLKDRNSRLEKERCYLLVVVMGCVFVMFALVLVRRNVG >ORUFI11G09060.1 pep chromosome:OR_W1943:11:7595611:7602350:-1 gene:ORUFI11G09060 transcript:ORUFI11G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQTGVRSVSGGGGGRRGAMRRGGKPSLGSFESLTDGGGGFPSLLSLETSFRRRPRRREVRRRRRRLVWSTATAGAEHGGAWLRLRSAARHGWPVEKRGKRAQMQEAQQMVKVVVGPSYQA >ORUFI11G09070.1 pep chromosome:OR_W1943:11:7602385:7603011:-1 gene:ORUFI11G09070 transcript:ORUFI11G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLAAGLSGAAAVSSGSGNGIRGVGGGGGNRQIHVWIWQDSGRQRRLVAGMATAVRTARGCSQRRCLAAGVARDGIDEANLAAPAVDPVPRGVLDPRRSRQWWRGVHATAARATTVGRLCRRSSSRISRCGRVARWQWGLKGSGGCGGGNRHRGPGRHGGLGRLAGGVVDGRIWPARQRLEEGSKRRNRREAQSMAVDAGRRERHGR >ORUFI11G09080.1 pep chromosome:OR_W1943:11:7615479:7639867:1 gene:ORUFI11G09080 transcript:ORUFI11G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIERDFHMMKGDSEFSYAKNSRIQKRVVLAAKPIVEKAVREVCIDLHPQSMVIADLGCSFGANTLLFISEVITTIYEDYNNTIKESPMEVQFFLNDLPSNDFNHIFQSLEQFEQLITQYCACKGLQPPPHFVAGLPGSFYTRLFPCNSVHLFHSSMSVPEQLDGSMNEGNIHIGATTPPSVAKLYQNQFEKDFSRFLQMRCMEIVPGGRMVLTVAGRKSKDVFNAGGTTTIFDLLSQGLRILVAEGRVAKEKLDSFNIPVYCPSADELTQLVQQCELLDISDIQLFEMDENRMHDSEQAEGTTAAHTAGQSMSATLRVATESLVASHFGEDILEEIFTVFARNFTSYIESEVEKSGITIITLCFVVAGENQVRRCCCCCLPLASRECVLLLPLLGQEHEDAALAAAAQPSQTPPPPLTTTASRGRDTRGRGRASAPCATFATVAADEIARRKPMGPPSVELDDCVLGLDLLQCLERLLGIALLPDFSDDVHYQDELDDEGFHNGGCPDLVVLCAIIECEHERHNGRGKHQLPHRRCHGGGGAPMKIERDFHMMKGDDEFSYAENSRMQKRAVLAAKPIVEKAVREVCIDLHPQLMVIADLGCSFGANTLLFVSEAITTICEDHNNTIKESPMEIQFFLNDLPGNDFNHIFQSLEQFEQSTIHDCACKGLQPPAHFVAGLPGSFYSRLFPSNSVHLFHSSMSIMWLSQVPEHLDGSMNEGNIHIGATTPPSVAKLYQNQFEKDFSQFLQMRCMEIVPGGRMVLTVAGRKNKDVFHAGGTTTLFELLSQGLRTLVAEGRVAKEKLDSFNIPFYCPSADELKQLVQQCELLDISDIQLLEIDGNAMDDSEQAEGISATHTAGESMSASLRAAMESLIASHFGEGILEELFTVFARNFTSYIESDVEKSGVTEGVIMST >ORUFI11G09080.2 pep chromosome:OR_W1943:11:7605726:7618044:1 gene:ORUFI11G09080 transcript:ORUFI11G09080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIERDFHMTNDGDDEFSYAKNSMMQRKAILAAKPTVKEAISKVCTDLHPQSMVIADLGCSFGANTLLFVSDAITTIGENPNNTIGERPKEIQFFLNDLPGNDFNNIFQSLEQFEQSTTKNCTSRGLQSPPHYVVGLPGSFYTRLFPCNSVHLFHSSMSLMWLSQVPENLDGIMNEANIHIGLTTPPLVIKLYQNQFKKDFSRFLQMRCKEIVPGGRMVLTMLGRNSTDVFSAGGTTMAFELLSQGLQTLVAEDCVEKEKLDSFNLPLYCPSVDELKELVWQNELLDITDIRLFEINGNPNGGSDQSAEDAAAAPVIIHGAAAAEAAGKTISTSLRAVKEPLIASHFGESILDKLFAVFARYFTNCIESEVEKSPIATHTGN >ORUFI11G09090.1 pep chromosome:OR_W1943:11:7675571:7677724:1 gene:ORUFI11G09090 transcript:ORUFI11G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDRDFHMMKGDDEFSYAENSRIQRRAILTTRPMVEKAVREMGSDLHPQSMTIVDLGCSFGANTLLFVSDVITTICENAMAGSFYTRLFPSNSVHFFHSSMSVMWLSQVPENLDGSMNKGNVYIGATTPPMVTKLYQNQFEKDFLQFLRMRCKEIVPRGRMVLTLVGRRSKDVFDAGRTTIGFELLSQVLRTLVAEGRVEKEKLDSFNIPIYCASVDELKQLVCHNDLIDISDIQLFEMDGNCMDDPEPVEGPAAAQAIGQSTSATLIKGGDRVPYRKPFRGFYTR >ORUFI11G09100.1 pep chromosome:OR_W1943:11:7693868:7694719:1 gene:ORUFI11G09100 transcript:ORUFI11G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKQYMDGVQGITSHGREDEATKLQQRRRWHQWLGLPKWMARGRLWFHDGSSASACRRRRPNMKEQRGSPPMMAFSWWLFGNRTTMTCFVICKWNHDHVHAQDDKLGRKKMMKEVDVGQPSSLGLRRWHRLEVPSSRTMAYKRSGRASMLQHGVAEAAAAATAARHGWGSGVVVKQRGKEEGCCSY >ORUFI11G09110.1 pep chromosome:OR_W1943:11:7701973:7704201:1 gene:ORUFI11G09110 transcript:ORUFI11G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDRDFHMMKGDDKFSYAKNSRIQRRAILATRPMVEKAVREMCIDLHPQSMVIVDLGCSFGGNTLLFVSKVITTICENRNSALEESTMEVQFLLNDLPGNDFNQIFQSLEQFEGLQPPPYYVAALAGSFYTRLFPSNTVHFFHSSMSVMWLSQVPENLDGSMNEGNVHIGATTRPMVAKLYQNQFEKDFMQFLRMRCREIVHGGRMVLTVVGRKSKDVFDAGRTTTIFELLSQGLRTLVAEGRVEKEKLDSFNIPIYCPSVDELKQLVWQNNLLDISDIQLLEMDGNPMDDLEPIEGTAATQATGQSMSATLRAAIESLIASHFGDSILDELFTVFARNFTSYIESEVEKSTITVITLYLQAKH >ORUFI11G09120.1 pep chromosome:OR_W1943:11:7707036:7712490:1 gene:ORUFI11G09120 transcript:ORUFI11G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLRRKAFMLCSSSFMVRISSFTPLKLLLHCLSTRAGSFPRTELSTPVATLTMMPGSRRRKSFIMIKGEVVGSCWCY >ORUFI11G09130.1 pep chromosome:OR_W1943:11:7708605:7712359:-1 gene:ORUFI11G09130 transcript:ORUFI11G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTMVSVATGVLSSVLGKLPALVERQCNNSFKGVNEEILTMKLELQSMNAFLLKLADMDDLDIQVKEWRNQIRELSYDIEDCIDDFIHQMDGGSSRVHKGFFQKSIHKLRTLGARNEIADQILKLKARVDDASERQKRYNFNGTISSSIDVVPLDPRLPALFAEADALVGIDEPAEELINWLTKGGEKLESRLSVVSVVGLGGLGKTTLARQVYNKIGGQFDCQAFVSISQKPDMRKIFQKMLNDITRIEHASLAWDEEQLMGRLRALDEEQLINKLRETLTGRRYFIVIDDLWSTLAWRTIRCAFPEIAKSCCYPDLNNVYELKPLSNSDANKLFMKRIFGSEDQCPSQLKLVSNGILRKCGGLPLAIISIASLLANNPCTKELWERYRNSIGSQFEKDPSVNDMQRILSLSYNDLPHYLKTCLLYLSIYPEDFVIRRTQLILRWIAEGFITANGRQNLEEIAEYYFNELINRSMIIPVSIQYDGRVDACRVHDVIFDLIISKSAEENFITVFGYQNHAFGPQDKIRRLVHYHGQEEIMVMSDMNVLNVRSLTTYGSTENVLPISDFQALRTISIECNDQLENHHLNGIQKLFCLKYLRLNRVSISKLPEQIGELQQLETIDLTQTMIKELPKSIVKLKRLLFLLADEVSLPAGVGNMKALQKLYHMKVDNSISSNTLHELQRLTELRYLGIIWCVNDMYAGGKTHIDNFVPSISTLCKLKLQYLHVQCCTENGSSLDFLLNSWFTAPNPLRYFGMSSNYYFPRIPEWMAMLSSVTFLNINISHVGEEAFQILGKLPSLLALRIWTKGVAPNEKLIIRNRGFLYLKQFVFYSCNIEMNPLVFEAGAMQNLERFRFNLKARETRNPCRQFFLSIQQMSSLKHLLVLIDCRDAKAHEVEAKEAAIREATNHLRSHINIEINTNWTWKMVKDDDDVGIKCKEEDSMKHPREWEKDV >ORUFI11G09140.1 pep chromosome:OR_W1943:11:7716253:7716516:1 gene:ORUFI11G09140 transcript:ORUFI11G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSAAMQTHSSRVLFTPSLSADPNPEPPVVEALDPKPPTPHHDADAVAVAGADVAASHLASTRGSLPFASRCPCSALPATGGGV >ORUFI11G09150.1 pep chromosome:OR_W1943:11:7723871:7726174:-1 gene:ORUFI11G09150 transcript:ORUFI11G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDDNGAADLPTSQSASIKVSEDPALVDRITASLWDTPPRAAFSSEAGVADIVVFEDLDHGNTAVEATTTMVPGEPEPHAVAGGEVAECESNAHNDLEQITMDDIGELYSLCEELDVLDDDSSSWVADPWSSFQLVPTAEATDVDDAVVAALGAIDGSCRPSPSSFVAWKRTPDSDEVQAVPLISGEPPQKLLKKAVAGAGAWMNNADGSAATMTTDQGSSIKNHVMSERRRREKLKEMFLILKSVVPSIHKVDKASILAETIAYLKELEKRVEELESSSQPSPRPMETTRRRCCKSTGKKVSAGARAKRKAPAPEDTDGERRHCVSNVNVTIMDNKELLLELQCQWKELLMTRVFDAIKGVSLDVLSVQASTSDGLLGLKIQATWTEDTSLRSSSQRLRARSRYAASSIYLSINHSIYVYLEF >ORUFI11G09160.1 pep chromosome:OR_W1943:11:7726869:7727871:1 gene:ORUFI11G09160 transcript:ORUFI11G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTRQNTWVKEDLLALDLSSLCAAGGKSTHAATTWAQRRRRNDGDDLSACGWRRRIWRQEGGRSPGVPDPVVPNHLETGSSAHHLEATTGDHHRSSGTKKRPPELKDEEDPPEISRIRQGREGRRGEAGVADGGREGGRGLTTAAVSTSRGSAAVDTSAHASRLPTPPTMEQVMEAVRPSVGARGE >ORUFI11G09170.1 pep chromosome:OR_W1943:11:7738396:7738839:-1 gene:ORUFI11G09170 transcript:ORUFI11G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGLLASRRPRLVRVAGGAAQDSGDSDRQIRAASTRSGGVAAGCGDGNGDGRGAAGSTAVGMATAAGFLTSHRPRLVRAAGGAAKDSCDSDRQIRAASAGSGGVAASDGDGDGDGRGPAGSTATGMATAPDPAALKAHPASRRWI >ORUFI11G09180.1 pep chromosome:OR_W1943:11:7751225:7751572:1 gene:ORUFI11G09180 transcript:ORUFI11G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKKKISMLPFESHCRRRCPHISVVIVAIASLNRGNHRGPQGVGDHIKQLLTLKWLDLVASDIGEERSGTPEMVATNPDPKRVKTMPIMATKARGGEWTNRTAVQGWRCRRSQS >ORUFI11G09190.1 pep chromosome:OR_W1943:11:7752907:7753119:-1 gene:ORUFI11G09190 transcript:ORUFI11G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALMLLVILAAATAVAPCSIPFPDCGSMCNTPCFKFCFTRCFLFLNLGVELCHKQCTTFPIWCGGFLN >ORUFI11G09200.1 pep chromosome:OR_W1943:11:7788915:7796629:1 gene:ORUFI11G09200 transcript:ORUFI11G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEHDLHMIKGDGDTSYARNSSTQRKAILATKHMVEKAMKGVFMELKPQSMVVADLGCSSGTNTLLFISEMIAMISEENTSDNNIRKCPMEVQFFLNDLPSNDFNHIFKSLGQFEQSIVQDCARIGLKPPPHYVAGVPGSFYTRLFPCNSVHIFHSSFSLMWLSQIPEHLDSTMNKGNIYIGVTTPPLVAKLYLDQFEKDFSRFLQFRCIELVSGGQMVLTFLGRKSNDVVHGGGMMNISIELLSQAVQTLVAEGRVEKEKLDSFNLPFYGPSVDELKQLVQQSELLDIIDIQAFELTFDPIDKSELKEGITTAPAIQDNVHEATGHNIAAGLRAVMEPLFASHFGESIIDDLFTLFACNVIRHLASAEEMSSVTAISLSLQAKVASCNPCNCYYKGATTLSQRKAILTTKAMIENAIKEVCTDLQPQSMVVTDLGCSYGANTHLLISEVIMAISNKNAMNNSTMEVQIFLNDLPSNDFNHIFQSLEQCKQSIAQECASRGLQPPQYYVAGVPGTFYNRPLLPYKSVHLFHSSFSLMLLSKVPEHLDSCMNEGEIHIGTSLPLFVRKLYLDQFEKDFSWFLQLRFRELVSSGQMVLTILGRKSDDTVNKNGLLMGLLSQALRNLVKKGRVEKDKLDSFNLPMYRPSTDELKHLVQQSELFDIVDMQIRGRFHCLVTLGSGLVFTMNTDPTDDSELGEATAIAGRRGQWP >ORUFI11G09210.1 pep chromosome:OR_W1943:11:7816099:7817905:1 gene:ORUFI11G09210 transcript:ORUFI11G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKLDFHMIKGDGDSSYAKNSSTQGKAILSTKPMVEKATKEICMDLQPRSMVVADLGCSSGANTLLFISEVIAIISEETPANNNNRECPMEVQFFLNDLPNNDLNHNFQLLEQFKQSIVRDCARRGLQHPPYYVAGVPGSFYTRLFPCNSVHIFHSSFSLMWLSQGRVEKEKLDSFNRPMYGPSVDELKQLVQESQLFDIIDIRAFDLTFDPIDKLELEESATATTGRPYSVHEAIDNNHTTTLRAVTETLLASHFGESIMDDLFTLFACNVTRHLESCAWEESSIMAISVSLDTKVRG >ORUFI11G09220.1 pep chromosome:OR_W1943:11:7830853:7833471:-1 gene:ORUFI11G09220 transcript:ORUFI11G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATNAVPGTARPIPFKDVLVVVIDNDDDDGAAAIPRQPASVAALAAEEYRGIVAALPSKLPGTPQRMRLYQGSWFREDWVLGFVAIQRRFAPRDGDVVLASLPKCGTTWLKALAFATAARAAYPPRRRHRRRRAAPAAPSQPARLRPVQGGRVLRRGRGQARRGAVAAADEHARFILGAASLRYRQPWLQNRLHMQNVEKIAEFIGQPFSDAEKEAGIVESIINLCSLQGLKASGAKNAGFRRVVNVEVPNESYFRKGAVGDWVNYVTPEMAESLDKFLTEKFRGSGFTFAE >ORUFI11G09230.1 pep chromosome:OR_W1943:11:7843296:7843517:1 gene:ORUFI11G09230 transcript:ORUFI11G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVVTNSTLIGDILLFREDDGEEEVGGDDEKRDPPEDDDNMHVCVQEMNMRRGNLGWGPERGADLGDCGEPA >ORUFI11G09240.1 pep chromosome:OR_W1943:11:7860790:7862372:1 gene:ORUFI11G09240 transcript:ORUFI11G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKAVQGVCADLQPQSMVVADLGYSSGANTLLFFSEVIATASEKIPTDNTTRESTMEVQFFLNDLPSNDFNQIFRSLEQFKQSTMQHCTHRGLQPPPYYVAGISTPPLVAQLYLNQFEKDFSRFLQLRCKELVPGGRMVLTILGSKNSDTIHGGGAISNKCELLSQALHVLMAEGRVETEKLDSFNMPMYGPSPDELKQLVQQSQLLDIMDIEVFDLSHLTNDAVEKSKLEVGATADATQDNVHEEIGRNIAATLKAVMGSLFESHFGESIIDDLFAVFAHNVTQQLETPEKKGSVTVISMSLQAKVLKS >ORUFI11G09250.1 pep chromosome:OR_W1943:11:7865621:7865881:1 gene:ORUFI11G09250 transcript:ORUFI11G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYVGLDPHDSSVLHNLYDLEFSGSNSMRDMGADGGTWDSMDGEIHSRDKTPVPIELVRVNNVNTLLQQAPFDMT >ORUFI11G09260.1 pep chromosome:OR_W1943:11:7887555:7892147:-1 gene:ORUFI11G09260 transcript:ORUFI11G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLARTAEGNTCLHISSMHGHGGFCDAVLELDESLLSLVNSDGETPLLTAITSGHASLAFSLLRRCNKPGLSDSIPRHDNNECNALHHAIRGGHKALALELIRTQPALSQGVNKFNESPMYLALTRDYRDVFEELFAIPGSAHSGSYSYNVLHAAVKYGNLLNPICKRNKSFDIAKKIMESCPWLAREENDSGHTPMQMAVRWNKAEMLQVLLEHDWSLGYAKNSKTGKPLLVSAAFQGHVNVARELLRHCPDAPYCQADRWTCLHEAIEFGHTEFVEFILGAPQLGKLINMRDGKGKTALHHAVRKCNPKIVAALLRKGARIDCTMLDQNRDPAIWELSEAMQHAKTLNWSEVSMLMLQADPRSKSTIVNLYKKAKQNVTETSRINAKWLTEIYTTNTSLVAILIATITFAAAFTLPGGYSSNSGSEGLPIMSRKFAFQAFLVSDTLAMLSSLAVAFICILARWEDLEFLLYYRSFTRQLMWFAYMATTTAFATSLYTVLAPRLTWLAVGICILSVSMPIFTKVLGEWPILKLRMQLGPSMPEFLDMV >ORUFI11G09270.1 pep chromosome:OR_W1943:11:7887721:7888621:1 gene:ORUFI11G09270 transcript:ORUFI11G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMKATASDDNMARVSETKNAWNANFLDIIGSPSLPELELYPPGNVKAAAKRLTIVDLLLGSACNISIETSLCIAKLSLIEQYLNGDDKQTHTSA >ORUFI11G09280.1 pep chromosome:OR_W1943:11:7893073:7896204:1 gene:ORUFI11G09280 transcript:ORUFI11G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQRRRWRRRRGGRGEVDAWCWRSRRRGGRGEVDAASEDGIGDRDGEVPVERSEEALRQQRGHWQPWWRGRGVVGPGGYDKLLAGRCSNYPRKVVLRGCSGTSGGVIYSQGSSDSAGCAPGCAYARSGSGPREVGIIIQHMDDYYSITDNLEYSNSFDDYSRDTIYDNDIDDLVPIFEVFMAAIAGPEDDKAAAAWLARELAKEQARREDDRR >ORUFI11G09290.1 pep chromosome:OR_W1943:11:7904454:7905099:-1 gene:ORUFI11G09290 transcript:ORUFI11G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVWMYDTNKIHPTFLKEAFDQAKAQALRENMRDIFCPCFDYEDQLEFGYEECLKEQAQPGPWRETLMEIRDMWLRLLIYVAGKCQLRFLCGGKEFPSRISERSLSNYE >ORUFI11G09300.1 pep chromosome:OR_W1943:11:7907756:7910858:1 gene:ORUFI11G09300 transcript:ORUFI11G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAMVSVATGVASAVLEKISTLMEKEYSKLKGVRDEIISLKDELSSMNAFLLKLSDIEELDVQVKEWRIQIRELSYDIEDCIDGFMHRVNCSSDSSNTKCFFRKVIHQVRTLGARHAISNDILKLKARVDSASERFKRYNIDPAITSSSAIVPVDPRLPALYAEAESLVGIDEPTNDIIKWLTEGDGDLVQKLKVVSIWGPGGLGKTTLARQVYDKIGRQFDCQAFVSVSQKPDMRKVFRNILISVTGVEYIGIEAWDEERLINKLRDFINCKSFTNTYFHDKMQNRYFIVIDDIWSTTDWQTIRCVLLDSNIGSRVLATTQISYVAQSCCPADQDKVFEMKHLTAVHAEKLFLKRIFGSGDSCPPHLKEVSNGILRKCGGLPLAIITMASLLVNKPQTKEQWEKYRDSIVENDPIVNRMQKILSLSYADLPHHLKTCLLYLSTFPEDCIIERDRLVRRWIAEGFIATESGCSLEEVGEDYFNELISRSLIQVVGIKYDDRANTCRIHDMVLDLIVSKSIEENFITFIGYHNRVCGLQDKVRRLSLNFHHQEGRLFQLKYLRLSEVSISKLPEEIGELQQQETLELEHTKINGLPKSITRLKNLMFLRADYTSLPEGVGNMKALQKLSWIKVNTSAPSTTLHEMGSLTELRYLDINWCIGDMCSDMKSYTESFGSSIIKLCKHKLQYLRIRSEGSQGCSLGFLLNSWSCPPHLLQKFDMYTEYYFPRIPDWIASLSKVTFLDIKVNPVDEEAFRILGNLPSLITLWLWTKTVVSKRRFIIHNVGFKHLKEFYFGFWRIEMGPIKFEVGAMPKLQKFLFDIKAQGAGPPSGDFDIGIEHISSLRHLRIGIDCIDARPCEVEVTEAAVRNVTSVLPSNLQVEIERHRAGQMVKEKMGSTDHDGEQNRGIGKHQEQAVEDGSSLKTRKKILERVSKHSFLR >ORUFI11G09310.1 pep chromosome:OR_W1943:11:7911839:7913806:-1 gene:ORUFI11G09310 transcript:ORUFI11G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTTDGLVQLWNEWEIQLVVLLSFILQIFLFFTGRIRRCNINMLLRLIIWLAYVGADMVAVYALGLISQNVQSVNISSVGFSRSSNQLAFFWVPFLLIHLGGQDTMTAFSIKDNNLWLRHLLNLCIQVFLALYAFWKSTGRHNLQLLAPAILMFHTGIIRYGERTWALKCGSRNGLRETSWQLPKLNVEVDKGSYIDTICYVLQSILCVHDLFSGRTISQMKERQVFRFQGDRPLEQVPKLLEIELAMMSDDLYTKAMVLQTRSGIILRSSSKMFTILRKMLNAVNKKLWFRKIWHIKHVKVDKDIMDIMVTWVGRLAREEFTRITQQQSWANLRPIINCTLNIPANSFGDNIVLLHIYTDLHLRKQPDNEATGAESETASSSTADIMDICRKISNYIVYLLVAQPSMLPLSGAADDTTAAFYEKISKKGSSKQDVLETCYQLVEDQLEFGYEECLKEQEQPGPWCETLMEIRDMWLRLLIYIAGKCQVELHAQQLGRGGELLTFVWLLMAHHDIGDVAHQVDLITSSETMSRQFCAFHFPKESER >ORUFI11G09320.1 pep chromosome:OR_W1943:11:7917661:7931618:-1 gene:ORUFI11G09320 transcript:ORUFI11G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFGFGSAPTACALIFAMILALHGPCFALPEAPGEAKELYIVYLGERQHEDADLVTASHHTMLATVLGSEELASESIVYSYKHGFSGFSAMLTESQARNIRGLPGVASVWMNQMHNVVTTRSWDFMGLPYNQTNGLLAHAKMGEGIIIGVIDSGIWPESPSFDDTGYALPAAKWKGICQSGMSFRAKSCNRKIIGARWYADDFNKSQLEAAGEFLSPRDFDGHGTHVASTAAGSVVRNVSFYGLASGIAQGGAPKAHIAVYKACWSIGCSEATIFKAIDDAIHDGVDVLSLSILSPTGHTPAFHAVMKGIPVIYAAGNDGPYTQTVNSVAPWLLTVAASTMDRLFPTVVTLGDGQTLVGQSLFVGTRKANQFHTLKLYYNDMCNLTIANSTDVKGNIILCFNLNAIFTTTQLVELATALVKSGGKGFIFTQRSSDRLATWQFQALTIPIVSVDLEVAFRIHQYFSTTQSPLVKVSPSQTTTGRGIPAPKMAAFSSRGPSFIYPTVLKPDVAAPGVNILAAAPQSLHPDWSPAALKSAIMTTAHITDNNGLPLVADATPNKIADPFDYGAGFVNPTKASDPGLIYDIDPLDYQMLFNCMIGSNTNRSCTAIESSLFDLNLPSIAIPNLKTSQTISRTVTNVGQPDVVYKAFLQPPAGIDMLVKPKMLVFDKNTRSQCFKVTFKARQKFQGDYTFGSLAWHDGSSHWVRIPIAIRAVIEDFYSTVS >ORUFI11G09320.2 pep chromosome:OR_W1943:11:7917661:7931618:-1 gene:ORUFI11G09320 transcript:ORUFI11G09320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFGFGSAPTACALIFAMILALHGPCFALPEAPGEAKELYIVYLGERQHEDADLVTASHHTMLATVLGSEELASESIVYSYKHGFSGFSAMLTESQARNIRGLPGVASVWMNQMHNVVTTRSWDFMGLPYNQTNGLLAHAKMGEGIIIGVIDSGIWPESPSFDDTGYALPAAKWKGICQSGMSFRAKSCNRKIIGARWYADDFNKSQLEAAGEFLSPRDFDGHGTHVASTAAGSVVRNVSFYGLASGIAQGGAPKAHIAVYKACWSIGCSEATIFKAIDDAIHDGVDVLSLSILSPTGHTPAFHAVMKGIPVIYAAGNDGPYTQTVNSVAPWLLTVAASTMDRLFPTVVTLGDGQTLVGQSLFVGTRKANQFHTLKLYYNDMCNLTIANSTDVKGNIILCFNLNAIFTTTQLVELATALVKSGGKGFIFTQRSSDRLATWQFQALTIPIVSVDLEVAFRIHQYFSTTQSPLVKVSPSQTTTGRGIPAPKMAAFSSRGPSFIYPTVLKPDVAAPGVNILAAAPQGHQWLAHVSGIVALLKSLHPDWSPAALKSAIMTTAHITDNNGLPLVADATPNKIADPFDYGAGFVNPTKASDPGLIYDIDPLDYQMLFNCMIGSNTNRSCTAIESSLFDLNLPSIAIPNLKTSQTISRTVTNVGQPDVVYKAFLQPPAGIDMLVKPKMLVFDKNTRSQCFKVTFKARQKFQGDYTFGSLAWHDGSSHWVRIPIAIRAVIEDFYSTVS >ORUFI11G09330.1 pep chromosome:OR_W1943:11:7949415:7950674:1 gene:ORUFI11G09330 transcript:ORUFI11G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAADVPSCRDLPAAVSAFADAFVDFAVSGIFFPSTPTPSPPPPPTPTTFLPSPTRLVAIGDLHGDLPKSLSALRLAGLVPPHDPTSWSAGPTLAVQLGDILDRGGDEIRLLYLIRRLAISAAGQGGALLPIMGNHEVMNVSGDFRFATPQGLREFSAWAGWYRAGLAIKRRCARGGDGGDPPPKNPFLGIPKEFPGVKPEFWDGIRSRLAALRPDGPIARRFLADLPTVLVVGDSVFVHGGLLEANVEYGLERINAEVSEWIRGERGANAVAPEFVRGRDAVVWLRRFSDGVNCDCQRLEGVLGMIPGAKRMIMGHTIQTEGINAVCGAQAVRVDVGLSRGCGNGLPEVLEINGGGTNVRVITTDPAEAWQYRKQGAEKAAIATAVKEKKGEVKEGLALLVRESHGLKEVQAKAA >ORUFI11G09340.1 pep chromosome:OR_W1943:11:7960140:7960736:1 gene:ORUFI11G09340 transcript:ORUFI11G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRTVVVGGEVAVKLLLLLGTEDDGGVDGSERGRQQGSLQQSTDKHTVTANCPTNGILVLACDNQREASV >ORUFI11G09350.1 pep chromosome:OR_W1943:11:7968568:7969378:1 gene:ORUFI11G09350 transcript:ORUFI11G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETDGSCDLEEQFVASKHLKVFEIKYSKRAQCFVEFYGFSTLGVPRNKINIERVELWSSGKRT >ORUFI11G09360.1 pep chromosome:OR_W1943:11:7970448:7972419:1 gene:ORUFI11G09360 transcript:ORUFI11G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLREEKILFTHQASWISSFSTCSRSFNHVRLCRRVCSPSVGTVPVIQINDVDNFSSVQQLNKFVIHLLLHHEWTPLYVYELDSFHNGTNMPYHAMFMCLGSTTPIRLTILDYLR >ORUFI11G09370.1 pep chromosome:OR_W1943:11:7972519:7974891:1 gene:ORUFI11G09370 transcript:ORUFI11G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTKILVEKMLSQSVISLSISPCNFELNTRTRISAPSLVSLELTEILGWTHALESLPSWMIAVRTIAYTVTLGIVVIMFHVETTAQESMVSMMMIVCFSVVCRICWFGPVVYILRHFPVVDKLTVQPKEKPKVKIEKDHGLTYLN >ORUFI11G09380.1 pep chromosome:OR_W1943:11:7977197:7978453:1 gene:ORUFI11G09380 transcript:ORUFI11G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLMILHVAMHEAIDSFSQKDYLGGGSWLCLGLRAGQKAISGSVQGDLGPPPMWRLSGRWHMGTGLEAELHNMARRNDPVSDGMTTATVQIVMSVCGALAAAPTMLHYDVDTNGKRHSESMSTMCDFPQRCCHRDDEDMRNTSREV >ORUFI11G09390.1 pep chromosome:OR_W1943:11:7979377:7980920:-1 gene:ORUFI11G09390 transcript:ORUFI11G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNDKLRKRTCELILTVQQLGSSTPGGNTNKTMGGEVPVGEVHRGSVDKGLLMVSAPTIDALDPEQWLQGVVIMEEANAGRNGWDAKVIAMGPTGDTRYTVQERSGKCDARGMAEHGRCMDTAVVVRSFSHPGLEEANAGRNGWDAKVIAMGPTGDTRYTVQERSGKCGACGMAEHGRCMDTAVVVRSFSHPGLVRHNGV >ORUFI11G09400.1 pep chromosome:OR_W1943:11:7982660:7985218:1 gene:ORUFI11G09400 transcript:ORUFI11G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKFTNEGNKKVVMADGADHISALPDELLQYLLSFIPSREAVQTCVLSQRWRHQWKYVPALRINDVDSFYSVQQLNKFVHHLLLHRKRTPLYVCELDSFRNGEVAEWYQYAVSCEVEMLQVDTAHSADYCRLPEMAITSNHLTTLEFSAFVRLDDRCEDYCLHSYYGDCGDQVSCGKYCTRFYDVHDDDCVLLGGLSNVTNLELITSPKVFIVRKDLLMRPTFSKLKTLLLNVSDADAGFGPLVYILRSSPVLEKLTLQLYEEPKAKIETDGSCNLEEQLVASKKLKVVEIKYSKIVVLCRVLQILNTCGVPRKKINIERTELWSFGSHFSFEQT >ORUFI11G09410.1 pep chromosome:OR_W1943:11:7982774:7987367:-1 gene:ORUFI11G09410 transcript:ORUFI11G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSFKCAVLPVKKLCHWAISFSLRRHRKGKNSMVLTSSCYKSICSQALPVQKVVDKLVQLLNTVETVDVVYSKGRHILPLVAPTLGEHTRLHGLT >ORUFI11G09420.1 pep chromosome:OR_W1943:11:7987461:7988879:-1 gene:ORUFI11G09420 transcript:ORUFI11G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVTIAPDRVPASFVVFQPSVHVLWGCQPVEHVMLSSPSPFPHLSSGAFLSTSVNHVKSHGKKINSAGQHCLFGHFFVYITLKIPPDSQELKWTKKAMLKLPKATFHEASHSLGWTCGRGFGQVDMIHNGGTRESSDRLALLRPSKCPTARTDQYPTGQ >ORUFI11G09430.1 pep chromosome:OR_W1943:11:8004729:8007386:-1 gene:ORUFI11G09430 transcript:ORUFI11G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLYECYTIDCSHERFREIPPDVRHLSIVMGGAEEDASINETFHGNVILIMKRFKVENLHTLMIFGCYYRSMANTFGDVFRKAKALRVLRLSTMYYPVDHILHNFSVLMHLRYLKLGSEYDKISPPRCISRFYKLIVLVLKDWKGSINLPVDMSNLARLRHFIVSHDETHSKICEVGKLQTLQELRRFEVNREKSGFEIKQLGHLIQLSGSLSICNLEKMQAKEADEVNLLSKNSLKKLTLEWDVQRSQKEPDKEQHILNVLRPHDNLQELCIRGHGGHSCPPWLGSKLSVKNLQSLHLDTVNWTVFPPLGEFWLPKEPGQEYLRSVQGKSFQNLKTLELVGLTKLEKWVHNDKFLLFSLLETFIIRDCPELVELPVSQYASQKFKQDVMIDLFPKMQEVRIADCPKLESLPLIPWTDTLLTVDMKNVGSSLEKLVYSTKSSSSKLLLEIKEDHHLECLDEMVAFHNLSKIHELEVSKSPPLMNKHLHWLTSLKTLKISESSITLPLLGGPDDEKDTLALERLEIKNCSANGKELTQFLLQLPKLSFFRMSSCQNVTSMGVMALLATAEPTSMPSSSTSSNETGSQLQIEEVGDEGGLLLFPKHLTISLRELRITMNPGLSLLASLPPENNSRPGGLHNLHSLQTLFIRGCPKLLSAYSSSSSYCFPFPSTLDSLRIEDVEDMHTFAPLSNLTSLTYLFVENCRKDLRGEGLWTFFTQGCLTRLCVYRSPNFFDNLVPHQQEELPAYCKIEMLRTDDIAGVLVTPICRLFSSSLNVLGLCSNKEIVSFTKEQEKALELITSLQDLCFFHNEKLQSLPADLRGLNNLRILEILRCSAIRSLPKNAFPNSLQKINVDRRCSEELQHHCIMLEGVTVNIDRPVNTNL >ORUFI11G09440.1 pep chromosome:OR_W1943:11:8019831:8021294:-1 gene:ORUFI11G09440 transcript:ORUFI11G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAIDSARWVVDKALSPLSGGLLETWAASSELGVNIDAIKMELLCFRGILVNSRHTAKAVGKSLSCFHTDAYHGDPDNPRTEARQHVHMLSKCLPCYSCPLVHENDADDAVKSQKWWQKHCGACCCSPNPGRDRANEAPMHKFDRVGISTRMKHITEQLQPICAKVSVILNMEMLGSKSNTQDSTTSQRITISESVDPKLYGRNKMKDKIIRDITNGIYAEQDLSVLSLFGPGGIGKTTLVQYIYNNQEVHSHFQATIWVCVSFNFNVSMLIQQIKDQIPEVDGENGTAEDHIEQRLKSKRFLLILDDMWKCDGEDMWKRLLVPFRKSQAKGNVVIVTTRFPALAEMVNTMDHPIELERLEQEEFMQLFEACVFGEAKALSRPEFLSKIPNAYICVNPRPEISRGSQ >ORUFI11G09450.1 pep chromosome:OR_W1943:11:8027097:8033420:-1 gene:ORUFI11G09450 transcript:ORUFI11G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQSAASMDCVVQIVMQVVLRSICRLHEVFGMAMELGAAILTMVWFQSLGRVTAAVALTLAQPLPPVLCCRRVAV >ORUFI11G09460.1 pep chromosome:OR_W1943:11:8047741:8051297:1 gene:ORUFI11G09460 transcript:ORUFI11G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSSVSYLFKALCTCGVAFVTGWFAHWVYRWMNPQCDGKLPPGSMGLPLVGETFRFFRASASIDMPSYYKERLKRYGPIFKTSLVGQPLVISLDPEVNRFVFQQEGKLFRSWYPETTNTIFGKKSLTTYSGAVNKFVRSFASKLFGPTNLKESLLPELESAVRESFATWVMNPSIEVKDGISNMIFDLVAKKLIGFNPTKSRELRKNFQEFFQGMVSFPIYFPGTSFYRCMQGRRNVHKTLTDLLKERLSAPGEKYNDLIDQIIEELQSENPVIDVNFAVDVLSALLFASFATLSSTLSVGFKFLTDNPKVVKELKEEHTTILNKRGSLNSGFTWEEYKSLMFTSQVVHEITRISNVAPGIFRKTLADVKVKGYTIPAGWLVMISPMAVDLNPTLFEDPLEFNPWRWTDKTKQSELLRNYMPFGGGIRLCLGAEFSKLFIALFIHVLVTEYRWKEIKGGDVLRISEVIFPQGYHIQLIPHT >ORUFI11G09470.1 pep chromosome:OR_W1943:11:8059101:8059598:1 gene:ORUFI11G09470 transcript:ORUFI11G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWIVLSNSDGDSVELHDGSESSFAVVHENAEISDAAQSNYGHVESKITAAKDDIDIECFDEEDGICEENPDDEIFNDQKEIDCEEELDDDDDESLDDDDIDRYCEEDRICEENPDDEIFDDEEEIDHEEDLDDDDDESLDDDDIERYDAEDIICEENPDDEII >ORUFI11G09480.1 pep chromosome:OR_W1943:11:8095648:8097771:-1 gene:ORUFI11G09480 transcript:ORUFI11G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSTAASLFGVVVAATALAMAVVGGEAAVVEQTFMVHEMNVTHLCNTTKIYVVNGRFPGPTVDVTEGDTVVVHVINRLPHGLTIHWHGVRQMRSCWADGAGYVTECPIHPGGEKTYRFNVTGQVGTLWWHAHVTCLRATINGAFIIRPSDGKYPFPTPAKDVPIIIGEWWELDLIELDRRMLDGNFDDNPLSATINGKLGDLSNCSGTVEESFVLDVKRGESYLLRVINTALFSEYYFKVAGHMFTVVGADGNYLTPYKTDMVTVAPGEAIDVLMFADAPPAYYHMVALANQPPPPDLQIPQLTSRGLVRYAGAAMDSNNLPMPMPVMPDQHNTMPSYYFRRNLTGLALPEKQQRHRVPAHVDERLLITLGLGSICRGGNTTTCKRGRSPETVVVATMNNVSFHHTNATALLEHYYDGTPEGVYTEDFPVRPPRPFNYTDRELIPAGPLEAALEPTAKAMRLRRFRYNASVEIVFQSTTLLQSDSNPMHLHGYDVFVLAQGLGNFDPKRDVEKFNYHNPQLRNTVQVPRGGWAAVRFLTDNPGMWYLHCHFEFHIIMGMATAFIVEDGPTPETSLPPPPPEFKRCGTNGLSQP >ORUFI11G09490.1 pep chromosome:OR_W1943:11:8111130:8115838:1 gene:ORUFI11G09490 transcript:ORUFI11G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPDGLLDVVSGGGLEYLNLYNLKSPTGLDGLDRVGYARSLRFLNLRMCRYLTDDSVTAIASGCPLIEEWSLAVCHGVRLPGWSAIGLLCNKLRILHVNRCRNICDQGLQALGDGCVCLQVLHIHGCGKITNNGLASFSIARPNVKQRVDEVMCIGPSIEDLFRLQ >ORUFI11G09490.2 pep chromosome:OR_W1943:11:8114150:8115295:1 gene:ORUFI11G09490 transcript:ORUFI11G09490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNVENYINFLSDDCLICIFNKLEKLPDAALNQLRISGASLQSLSFYCCSDQGIAAIFRNCPNISTIIIAYCRGLSGVGFRGCPGTLSHLEAESCMLSPDGLLDVVSGGGLEYLNLYNLKSPTGLDGLDRVGYARSLRFLNLRMCRYLTDDSVTAIASGCPLIEEWSLAVCHGVRLPGWSAIGLLCNKLRILHVNRCRNICDQGLQALGDGCVCLQVLHIHGCGKITNNGLASFSIARPNVKQRVDEVMCIGPSIEDLFRLQ >ORUFI11G09490.3 pep chromosome:OR_W1943:11:8111130:8115838:1 gene:ORUFI11G09490 transcript:ORUFI11G09490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPDGLLDVVSGGGLEYLNLYNLKSPTGLDGLDRVGYARSLRFLNLRMCRYLTDDSVTAIASGCPLIEEWSLAVCHGVRLPGWSAIGLLCNKLRILHVNRCRNICDQGLQALGDGCVCLQVLHIHGCGKITNNGLASFSIARPNVKQRVDEVMCIGPSIEDLFRLQ >ORUFI11G09490.4 pep chromosome:OR_W1943:11:8114150:8115295:1 gene:ORUFI11G09490 transcript:ORUFI11G09490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNVENYINFLSDDCLICIFNKLESESDRNAFGLTCKNWFKVRNIARKSIIFHCSFNPKVYKEHANCLSKLLARSPYLNLVSLAGLTELPDAALNQLRISGASLQSLSFYCCSDQGIAAIFRNCPNISTIIIAYCRGLSGVGFRGCPGTLSHLEAESCMLSPDGLLDVVSGGGLEYLNLYNLKSPTGLDGLDRVGYARSLRFLNLRMCRYLTDDSVTAIASGCPLIEEWSLAVCHGVRLPGWSAIGLLCNKLRILHVNRCRNICDQGLQALGDGCVCLQVLHIHGCGKITNNGLASFSIARPNVKQRVDEVMCIGPSIEDLFRLQ >ORUFI11G09490.5 pep chromosome:OR_W1943:11:8111130:8115838:1 gene:ORUFI11G09490 transcript:ORUFI11G09490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNVENYINFLSDDCLICIFNKLESESDRNAFGLTCKNWFKVRNIARKSIIFHCSFNPKVYKEHANCLSKLLARSPYLNLVSLAGLTELPDAALNQLRISGASLQSLSFYCCSGITDDGLEVVSIGCPNLVSLELYRCFNITDHGLENLCKGCHALKSLNLGYCVAISDQGIAAIFRNCPNISTIIIAYCRGLSGVGFRGCPGTLSHLEAESCMLSPDGLLDVVSGGGLEYLNLYNLKSPTGLDGLDRVGYARSLRFLNLRMCRYLTDDSVTAIASGCPLIEEWSLAVCHGVRLPGWSAIGLLCNKLRILHVNRCRNICDQGLQALGDGCVCLQVLHIHGCGKITNNGLASFSIARPNVKQRVDEVMCIGPSIEDLFRLQ >ORUFI11G09500.1 pep chromosome:OR_W1943:11:8115863:8124063:-1 gene:ORUFI11G09500 transcript:ORUFI11G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRCSHPFLRYLQSVIKAVRIEEMDGGGTPDGDPVPDMDMLMLSSALDGLDSYTDLVAGSSVVDSIFSALTCFPPSQERLLHVSTAVGSSSRQDDADVSITEEGTTRTRSDRAAGLASGEPVAVGIGSVPKPFDGVTLTERMLRALAMLKEASGGEAILVQVWMPVRNGEQHVLTTSDQPFLLDQKLTGYREVSRQFTFSAEEGPGLFPGLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYARRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQSYSRDQKLALMEIFDVLQAVCQAHLLPLALAWIPVCSKRDVLVSIEYGAKFGKRNKEVLCIEESACYVNDTRVRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYDMHAYPLVNHARKFGLHAAVAIRLRSTYTKNDDYVLEFFLPVLCKGGEEQQLLLDSISTTMRKVCKSLRTVSDAELKEDVTRKPSNENRSGTRCPSPVNLIYSGREIDVSNETKTNTPLEYQIEGIDEQLSDTKSTNKLIKCSNASDGEKRRSSTEKSVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKKIQNVISSVHGVEGVLKYDPSTGCLISSVSPSIEPVLMNVEHKGQDVLHKLQNKQNGEINFDMDDGELFRNSHSTRTLSGAFCEDMSNGLYVAREMTCVAKTGTRTERLEHKPSSRDSFSAPQEYRMESETDKSNKNSKQSLPSSSSMTDCSTSSGETFKSIKSQSANESNTTVVVKASYKNDTIRFKLLPSMKYEQLLEEIAKRLKLSVGVFQLKYKDDEGDWVILASDADLQECLEILDTTRLHILKLQVQDVVCPIGKRCGFGSTVIDLFI >ORUFI11G09500.2 pep chromosome:OR_W1943:11:8116147:8124063:-1 gene:ORUFI11G09500 transcript:ORUFI11G09500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRCSHPFLRYLQSVIKAVRIEEMDGGGTPDGDPVPDMDMLMLSSALDGLDSYTDLVAGSSVVDSIFSALTCFPPSQERLLHVSTAVGSSSRQDDADVSITEEGTTRTRSDRAAGLASGEPVAVGIGSVPKPFDGVTLTERMLRALAMLKEASGGEAILVQVWMPVRNGEQHVLTTSDQPFLLDQKLTGYREVSRQFTFSAEEGPGLFPGLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYARRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQSCYGYFFHLRVLIIVSIRVTFCYKVHFMIELASELNSDTVILQSVQLSTANAWRHSQSYSRDQKLALMEIFDVLQAVCQAHLLPLALAWIPVCSKRDVLVSIEYGAKFGKRNKEVLCIEESACYVNDTRVRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYDMHAYPLVNHARKFGLHAAVAIRLRSTYTKNDDYVLEFFLPVLCKGGEEQQLLLDSISTTMRKVCKSLRTVSDAELKEDVTRKPSNENRSGTRCPSPVNLIYSGREIDVSNETKTNTPLEYQIEGIDEQLSDTKSTNKLIKCSNASDGEKRRSSTEKSVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKKIQNVISSVHGVEGVLKYDPSTGCLISSVSPSIEPVLMNVEHKGSDPLPIESELPHLNFEPDCDAYRREHAGQDVLHKLQNKQNGEINFDMDDGELFRNSHSTRTLSGAFCEDMSNGLYVAREMTCVAKTGTRTERLEHKPSSRDSFSAPQEYRMESETDKSNKNSKQSLPSSSSMTDCSTSSGETFKSIKSQSANESNTTVVVKASYKNDTIRFKLLPSMKYEQLLEEIAKRLKLSVGVFQLKYKDDEGDWVILASDADLQECLEILDTTRLHILKLQVQDVVCPIGSSSGSCSMLRP >ORUFI11G09500.3 pep chromosome:OR_W1943:11:8116147:8124063:-1 gene:ORUFI11G09500 transcript:ORUFI11G09500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRCSHPFLRYLQSVIKAVRIEEMDGGGTPDGDPVPDMDMLMLSSALDGLDSYTDLVAGSSVVDSIFSALTCFPPSQERLLHVSTAVGSSSRQDDADVSITEEGTTRTRSDRAAGLASGEPVAVGIGSVPKPFDGVTLTERMLRALAMLKEASGGEAILVQVWMPVRNGEQHVLTTSDQPFLLDQKLTGYREVSRQFTFSAEEGPGLFPGLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYARRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQSYSRDQKLALMEIFDVLQAVCQAHLLPLALAWIPVCSKRDVLVSIEYGAKFGKRNKEVLCIEESACYVNDTRVRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYDMHAYPLVNHARKFGLHAAVAIRLRSTYTKNDDYVLEFFLPVLCKGGEEQQLLLDSISTTMRKVCKSLRTVSDAELKEDVTRKPSNENRSGTRCPSPVNLIYSGREIDVSNETKTNTPLEYQIEGIDEQLSDTKSTNKLIKCSNASDGEKRRSSTEKSVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKKIQNVISSVHGVEGVLKYDPSTGCLISSVSPSIEPVLMNVEHKGQDVLHKLQNKQNGEINFDMDDGELFRNSHSTRTLSGAFCEDMSNGLYVAREMTCVAKTGTRTERLEHKPSSRDSFSAPQEYRMESETDKSNKNSKQSLPSSSSMTDCSTSSGETFKSIKSQSANESNTTVVVKASYKNDTIRFKLLPSMKYEQLLEEIAKRLKLSVGVFQLKYKDDEGDWVILASDADLQECLEILDTTRLHILKLQVQDVVCPIGSSSGSCSMLRP >ORUFI11G09500.4 pep chromosome:OR_W1943:11:8116147:8124063:-1 gene:ORUFI11G09500 transcript:ORUFI11G09500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRCSHPFLRYLQSVIKAVRIEEMDGGGTPDGDPVPDMDMLMLSSALDGLDSYTDLVAGSSVVDSIFSALTCFPPSQERLLHVSTAVGSSSRQDDADVSITEEGTTRTRSDRAAGLASGEPVAVGIGSVPKPFDGVTLTERMLRALAMLKEASGGEAILVQVWMPVRNGEQHVLTTSDQPFLLDQKLTGYREVSRQFTFSAEEGPGLFPGLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYARRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQSYSRDQKLALMEIFDVLQAVCQAHLLPLALAWIPVCSKRDVLVSIEYGAKFGKRNKEVLCIEESACYVNDTRVRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYDMHAYPLVNHARKFGLHAAVAIRLRSTYTKNDDYVLEFFLPVLCKGGEEQQLLLDSISTTMRKVCKSLRTVSDAELKEDVTRKPSNENRSGTRCPSPVNLIYSGREIDVSNETKTNTPLEYQIEGIDEQLSDTKSTNKLIKCSNASDGEKRRSSTEKSVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKKIQNVISSVHGVEGVLKYDPSTGCLISSVSPSIEPVLMNVEHKGSDPLPIESELPHLNFEPDCDAYRREHAGQDVLHKLQNKQNGEINFDMDDGELFRNSHSTRTLSGAFCEDMSNGLYVAREMTCVAKTGTRTERLEHKPSSRDSFSAPQEYRMESETDKSNKNSKQSLPSSSSMTDCSTSSGETFKSIKSQSANESNTTVVVKASYKNDTIRFKLLPSMKYEQLLEEIAKRLKLSVGVFQLKYKDDEGDWVILASDADLQECLEILDTTRLHILKLQVQDVVCPIGSSSGSCSMLRP >ORUFI11G09510.1 pep chromosome:OR_W1943:11:8123190:8123743:1 gene:ORUFI11G09510 transcript:ORUFI11G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSLLCSALLAGRPLAAGGEEEEEKREWNREELTIPRGERVLPPGTWYHVPKQCDTSSLASLLCSQSSTAQHRLVPDRLILNS >ORUFI11G09520.1 pep chromosome:OR_W1943:11:8135307:8139748:1 gene:ORUFI11G09520 transcript:ORUFI11G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRLEQLVFLLCCFAAITCSLHATQAQAQGQITQHHLKKSSPHNGAVGRILSEMTNRSNSTLSRRTRRVDPLDGLRKYKGGYNITNKHYWSSTIFTGRPGYVIAALWLIGGIIFVGALLISKIFFAKGNTGYGDMNYFLARFHICSMIIFILLAAFVIVASAIAIRGAVRFHSRAEAVKEIIGRTALEATATIYNITEAIEKMQNTSRLYNNNSQAFDHLNSTVKALNSEAVEIQSKAEKNMRLVSKGINILEAVTILTVTLNLFAVLALLVMRPLRLQKLCNLCIAICWILTALIWMYFGLYYFLDEFAGDTCAALEEYQLDPKNSTLGTIIPCSEKFSGSVILHDVGAGIHDIIDQVNSNIYTIKSEYGVKQLDYICNPFAGPPEFRYRPENCPSGAATIGDIPQILRRLTCTDLGGGAHCAPAELSSAIDYGKVETYTSSIQNVLDIFPGTERLLTCELVKSGFADIVGHQCAPLSRGARAAWAALAALSAATTALLVLAAAVAGGGARHAGDDRHSVRHLTSSSNSEISEAEFAEMHAKKVRVLALVDRA >ORUFI11G09530.1 pep chromosome:OR_W1943:11:8146077:8150671:1 gene:ORUFI11G09530 transcript:ORUFI11G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRRPRLLPLPLLLLALSLSLSLAAASAFQSDELLLHDDDEFEGAGARPTPGPPTPAAAAVSSSRRRPGDSSAAAAAESSAVQFALEHDLGAGFVPAGSFSARLKSSAHGSQTLTKLRFTRNELTGDEKDAFKKLLDEDGFYSIRLQSNVLDPARKDYVVSSIKARCIPRESLDEHIVIHMDGVNILAVNYGSVGGCTYPRPVKMPSKWVFNSYTVLKTSEQAPRTPSFVDQLIEAENGLGEVVKPPEKSFWAKYWMYIIPLGLIVMNAVTAAANMPEEQAGGQGQPGAQRAPNAAPRRR >ORUFI11G09540.1 pep chromosome:OR_W1943:11:8155143:8159388:-1 gene:ORUFI11G09540 transcript:ORUFI11G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKAQRRPEGASVLETLPALPLAIIIAKAGPRCAAALACASSTLRAAASGEALWRRFCSDDFALDAPLAPGDLPLPSFKDAYKAWFQSFGMYPLPLVKRVKIFWSSFRAWLCEYFPEGLRTLGEGVSEAEIAVTECNLGLVLPMPTKLLYRFCNGQLHIGRGEEVSYGVMGGYDYVHQRYTVRLLPLAHHAVQKNSNYIVVATSCFGEKIFLLDCASGRLYVGTKYWNEEREIMACVPKASIRLAVDDDHGMPQDGFLLWLEEHLSRLQDGLIKVQSCKFPMLARHISLYPVQLPYCSSTSMHGIKVRASAVFAPENSAFADYRCRYSYYFSIRLSLAEAFVVDGKWYSSFQLQSCHYTIQIGDEVLPYTCNYGGHGKCPLLRCGEELFVYGCSISAALEPGSVMGNLTLVPWRCGQPRGSPFIADIAPFPLHPPDYIF >ORUFI11G09550.1 pep chromosome:OR_W1943:11:8173062:8174117:-1 gene:ORUFI11G09550 transcript:ORUFI11G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPITLLVLSLLLLAVAAATAATFPTTVNAYTPATGVADAGAAAGANAASNIAAGAAAGMAADAADSAAANIGAYIPEAGYNPGKLCDNAVVMDSCVEVLPRIPGALTAPDYRALAVILDAYAWKLVQGSRQIADSMRAAEKLGHTMDKCISTCILGLGAAEAYLSALQPLPVEDRLHSIHNGLSALFRDGSDVPAAYSTGCPAGSIRNVDEESVVATFRNVYAVLDLLEQDLSQVYSSATPSTTTPAKPAAEAPAAEAAAALEKECDDAAKPETSTPTLEAKAYDNAAGAAPEPAAATAAEESSTPATATPAAQAYDNAGAGAGAAKEEPAAAAAKESSKDNYGGASQ >ORUFI11G09560.1 pep chromosome:OR_W1943:11:8184066:8193734:1 gene:ORUFI11G09560 transcript:ORUFI11G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQILPTLSKLADVEVEFLDQYMGSTSRPVDDEVLDATTGVHYSALRLEELNMNGSVSGEDQPTTSGVENGHQEPFVIGVAGGASSGKSTVCKMIIDQLRDQRVVVVTQESFYYGLSDEELVHVHDYNFDHPDAFDTELLLSCMENLKHGKAVDIPNYNFKTYKSVASARKVNPSDVIILEGILVFHDSRVRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKVHPNLYVIQTTYQIRGMHTIIRDAATTTHDFIFYADRLIRLVVEHGLGHLPFKEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGKQLIYHNVPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQETNIIFLNLISAPQGVHVVSKRFPRVKIVTSEIDFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFCDEKNRVRLL >ORUFI11G09570.1 pep chromosome:OR_W1943:11:8197579:8197842:-1 gene:ORUFI11G09570 transcript:ORUFI11G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGYRRRALTAASTLVPAACGSAGGMPCVPVARGGGRKLLGVATGGGRQLRCGWPRKAVESAFQMADRRGKVSGLIWQPLTKGKTS >ORUFI11G09580.1 pep chromosome:OR_W1943:11:8206720:8209988:-1 gene:ORUFI11G09580 transcript:ORUFI11G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQPQPEPEPAAGGAGLEALEGLALDTVIAKAGARQAAALACASTRLRDAAGDDALWRRFCADDLALHAPLAPDGRALPSFKDAYKVWLESFGMYPLPLVRRVKIFWSSLKSWLSENFPEALKTLNKGVSEAQIQSAEDDLGFKLPLPTKLLYRFCNGQLPLSEHHHENMRMAHLGIIGGYVFYDHLINVHLSPLEQIVEETKEFYHDQLYVGTINLQDGEMLPCVPKSLIRPTNTDMPQDGLLLWLEEHLRRLQNGMIKIRMLKTSRYISLFPEASPSCTSAMTNGVKVRASAVFAPEHPESRRPGAKCLYAYSIRLSVPEACMLGGVYYSSCQLYSRHWIIRWRDRVVSDVNGEGVIGKYPLLTTGQEEFVYESCTPLPDSPGSVEGSFTFVPGKLSRPEGKPFEVTVAPFPLEIPEYIF >ORUFI11G09580.2 pep chromosome:OR_W1943:11:8206720:8209988:-1 gene:ORUFI11G09580 transcript:ORUFI11G09580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQPQPEPEPAAGGAGLEALEGLALDTVIAKAGARQAAALACASTRLRDAAGDDALWRRFCADDLALHAPLAPDGRALPSFKDAYKVWLESFGMYPLPLVRRVKIFWSSLKSWLSENFPEALKTLNKGVSEAQIQSAEDDLGFKLPLPTKLLYRFCNGQLPLSEHHHENMRMAHLGIIGGYVFYDHLINVHLSPLEQIVEETKEFYREFYDQDDQLYVGTINLQDGEMLPCVPKSLIRPTNTDMPQDGLLLWLEEHLRRLQNGMIKIRMLKTSRYISLFPEASPSCTSAMTNGVKVRASAVFAPEHPESRRPGAKCLYAYSIRLSVPEACMLGGVYYSSCQLYSRHWIIRWRDRVVSDVNGEGVIGKYPLLTTGQEEFVYESCTPLPDSPGSVEGSFTFVPGKLSRPEGKPFEVTVAPFPLEIPEYIF >ORUFI11G09580.3 pep chromosome:OR_W1943:11:8206720:8209988:-1 gene:ORUFI11G09580 transcript:ORUFI11G09580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQPQPEPEPAAGGAGLEALEGLALDTVIAKAGARQAAALACASTRLRDAAGDDALWRRFCADDLALHAPLAPDGRALPSFKDAYKVWLESFGMYPLPLVRRVKIFWSSLKSWLSENFPEALKTLNKGVSEAQIQSAEDDLGFKLPLPTKLLYRFCNGQLPLSEHHHENMRMAHLGIIGGYVFYDHLINVHLSPLEQIVEETKEFYREFYDQGVFNMTNLIIVATSWYRPKTFFLNCSDDQLYVGTINLQDGEMLPCVPKSLIRPTNTDMPQDGLLLWLEEHLRRLQNGMIKIRMLKTSRYISLFPEASPSCTSAMTNGVKVRASAVFAPEHPESRRPGAKCLYAYSIRLSVPEACMLGGVYYSSCQLYSRHWIIRWRDRVVSDVNGEGVIGKYPLLTTGQEEFVYESCTPLPDSPGSVEGSFTFVPGKLSRPEGKPFEVTVAPFPLEIPEYIF >ORUFI11G09590.1 pep chromosome:OR_W1943:11:8213251:8217050:-1 gene:ORUFI11G09590 transcript:ORUFI11G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPKKPALRRPETKTTTVAAMDVRRMSSSLNMSSSSLRSSGGADVDRRTTTATVRFAPTPTTLSSSSSSSATRRAGSSRAVSSSSSSQQARPATARPASVAGTRGMRSLHGGSPATLGPKGLRRSWGWGTGGSGGGGGDGEEKEGGDHRRRGDAVAVAGEVKAPVRSSSVPRRIPADQDKQHPKRETKTKITSNSKTKAVSGSPPKAGEDVSMDRRIPNTAASKTTEKAPRNVSLNNMVRQSPPRKTTPATIGASWESLPSDLQSIGLEVMSYRDAAEVAAVEALQEASSAEILLRAFADLAAVAAEMSPQQTVDEFLALEATLARSAAAGHAEDWLRAAVSSDLGRFSLYSAVSPTSQPEEEAGRRRTTWLGAAAREVGEETRGWFVGHVERLIDGDAAGTLGQLKRVNDWLDGAPAPPSEAAERLRKKIFGYLLDHVESAVLALNGGAAAGAHGRRK >ORUFI11G09600.1 pep chromosome:OR_W1943:11:8213793:8218139:1 gene:ORUFI11G09600 transcript:ORUFI11G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRSAWTKGRALNLKHRSKISAEEASCKASTAATSAASRMNNVTSPMLWRSDGSDSQEAPIVAGVVFLGGDLQLYHRRKKYCFTDSPFGPNVAGDPPCRLLIPLVPATEAGRAVAGLACCDDEDDDTAREEPALLVADEEEEEDSVVGVGANLTVAVVVLRSTSAPPLLRREDDDMLSEDDILLTSIAATVVVFVSGRLSAGFLGLSMDVEYGSIDADGIVTGDEMGGESQRGREYTYVR >ORUFI11G09610.1 pep chromosome:OR_W1943:11:8221868:8227030:1 gene:ORUFI11G09610 transcript:ORUFI11G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPVLAPLAGATAVAFLLFKFATVDGDFTLVSRGAPRREKVDGKVVWITGASRGIGEVLAMQFASLGAKLILSARNKEELERVKHNIINKHPNSRVEVLPMDLSSGEESLKEHVHEAESLFSNAGVDYMIHNAAFERPKRRALEETEQGLKATFDVNVFGTITLTRLLAPSMMDRGMGHFVVMSSAAGKVPSPGQALYSASKHALNGYFSSLRSELCTKGIKVTVVCPGPIEAPQSSGATSSSQKPSSEKRVPVERCAELTIVAATHGLKEAWISYQPVLGVMYLVQYMPTVGLWLMDKVGAKRLDVAAKKGNAYGWNLLFGGKKSA >ORUFI11G09620.1 pep chromosome:OR_W1943:11:8227516:8233465:-1 gene:ORUFI11G09620 transcript:ORUFI11G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPPPPSPGTGGAVPLAPALLGLLRFVLSSHLAAPDPALPLSPSYCSRLLLDDDDDDLLEKLAAGLARCVEEGRLPVAAAAAEAGIPAGEAWSEEREREWEAVVLEKGNELKRMYDAVEFELHVQEPYFTQLRAGTKKVEGRLAAGNYNRMRSLWLLAIRKYASFSEMLQAEMISEVLPGISSIEQGVGVYRKFYTEEKESFYGVLAISVSKPTAQPYIIMTELLAGLGSDGLGRLLGMVKTAGTVQDGLPPPRSVLISSCMKLHQPNVNGCSLTDAARAMAKHVHRSSDGWWGSFHGSDVKKNQLASEIIDHLLRECCWMNIHLTQPYGPVYEIRVHEGYGARWSQDGSKFIGFLEPYSPEGFSRGWKH >ORUFI11G09620.2 pep chromosome:OR_W1943:11:8227516:8233465:-1 gene:ORUFI11G09620 transcript:ORUFI11G09620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPPPPSPGTGGAVPLAPALLGLLRFVLSSHLAAPDPALPLSPSYCSRLLLDDDDDDLLEKLAAGLARCVEEGRLPVAAAAAEAGIPAGEAWSEEREREWEAVVLEKGNELKRMYDAVEFELHVQEPYFTQLRAGTKKVEGRLAAGNYNRKYASFSEMLQAEMISEVLPGISSIEQGVGVYRKFYTEEKESFYGVLAISVSKPTAQPYIIMTELLAGLGSDGLGRLLGMVKTAGTVQDGLPPPRSVLISSCMKLHQPNVNGCSLTDAARAMAKHVHRSSDGWWGSFHGSDVKKNQLASEIIDHLLRECCWMNIHLTQPYGPVYEIRVHEGYGARWSQDGSKFIGFLEPYSPEGFSRGWKH >ORUFI11G09620.3 pep chromosome:OR_W1943:11:8227516:8233465:-1 gene:ORUFI11G09620 transcript:ORUFI11G09620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPPPPSPGTGGAVPLAPALLGLLRFVLSSHLAAPDPALPLSPSYCSRLLLDDDDDDLLEKLAAGLARCVEEGRLPVAAAAAEAGIPAGEAWSEEREREWEAVVLEKGNELKRLEPKKLKGGWQLAIRKYASFSEMLQAEMISEVLPGISSIEQGVGVYRKFYTEEKESFYGVLAISVSKPTAQPYIIMTELLAGLGSDGLGRLLGMVKTAGTVQDGLPPPRSVLISSCMKLHQPNKNQLASEIIDHLLRECCWMNIHLTQPYGPVYEIRVHEGYGARWSQDGSKFIGFLEPYSPEGFSRGWKH >ORUFI11G09630.1 pep chromosome:OR_W1943:11:8237539:8243476:1 gene:ORUFI11G09630 transcript:ORUFI11G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDIDARYEVPRTAEFIRARAYTRVALQFPDEMLRDAAAVAQALRRELGGGGVKLFVMADTAYNSCCVDEVGASHIDAQCVVHYGHACMSPTSNLPAFFVFGKTPLDTDACARSLLECSRESDKRILVFYGLEYAHALDDLKAVVAELYKSHSRSVEVQYADVLCSVMSPSSAAEVEHGQSDGSTHSDDLSIQSDVATFVNNCCNVEGSTRKYSLGGLTWSTSIDDNMEDYLLYWIGQDNSAFANIVLTFNKCDIVRYDTVANQPSRDVSHLMKILRRRYYLVEKAKDANIVGILVGTLGVAGYLHIIEQMKDLIKAAGKKSYTLVMGRPNSAKLANFPECEVFVYVSCAQTALLDSKDFLAPVITPFEAVLAFSRGREWTGEYLLDFKDLITTDKPEISSTTEEARFSFIKGGYVEDNCLEDNEEQPETSLALAEVTEKALSIKNQNNDAVLYQGGAKSAIDYLKARSYRGLTGEYEGPAPDSVLTGRTGRAAGYNNEKKEITQ >ORUFI11G09640.1 pep chromosome:OR_W1943:11:8243532:8244389:-1 gene:ORUFI11G09640 transcript:ORUFI11G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVPKLEKIMWTFTGMNSSFSGIDNIMQPSEILKDLEFNGESLPNQVKEAIDKHKDKIHYTYYKWEIHEKTQGNAEANYTNMEALLKISRLHHHDTREGKKYSAVLLFAFLVLISADGNQICCH >ORUFI11G09650.1 pep chromosome:OR_W1943:11:8246580:8254328:1 gene:ORUFI11G09650 transcript:ORUFI11G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMHGSIPRIDFAGIIDLAALPPPAAPPPPGADHLRPRWAAVRAAVMDALRAHGCFEAVVDGLISPELRAAVLGPGGAAESVLSLPASAKARGNDPGEGKPYHGYVGGIPGLPYESVAISDPLSPTAVRAFAARVWPAAAAAFPEEAVVAYAGRLAAVEAAVRRMVLESVGATASSAGAVEAQAAATAFKLRLSEYAAPGGGEDARLGLPAHRDTSFLAVVTQNGVDGVEVECGRGDGGWARPTLSPSSFLVFSGDTLKALTNGQVYNPLHRVVVSGDEARYSAILFSLPVDGAAVRPLDEAVDGDHPAMYRPFDYGEYAVFCYLPENMTPEVMKHAHKLEAFAAVRTTTTASASASAP >ORUFI11G09660.1 pep chromosome:OR_W1943:11:8256532:8260839:1 gene:ORUFI11G09660 transcript:ORUFI11G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVSASTGVMESLLGKLSSMLEKEYAKKKAVEKDVLFLRNELSSMNTVMQKYAMLSEPDLQVKAWMKEVRELAYDIEDTIDAFMARSEKSNEPTGIRGFIINNILKLRELLSSCTISQEIEKLKNQVLEVNDRRKRYKLDVSVSMGTGCESIDPRLPAFYSEVGGLVGIDGPRDKIIKLLRENAADEDCGFVNRLKMVSIAGFGGLGKTIAKQVYQKIKWQFDCAAFVFVYQIPDMKRVLLDLLCGLGASGNTWDDEKQLIDKIREFLHDKRYIIVIDDIWSISSWEILKCVLPENNSGSRIITTTRILDISMICCSTFNGSIYRIKPLSDDDSRRLFCRRIFHGEHSCPSHLEELSKAILRKCGGLPLAILHIASLLATKSNTKEEWELVLNSIGSALENSHTLQGLKKILLLSFYDLPPQLKTCLLYLSIYPEDCMINSKELIRKWIAEGFIAEDSGKRLDQVAESYLNDLINRSMILPFDITHADGVQYYQVHDVVLNIIISMSKEENFVTIIDGHKCSSLQEKIRRLSLQFNDSEDVVVPTNITNRSCVRSLSIFGITKQVPYFMDLQSLRVLDLGYCTLLQNQHIECLGSMLQLRYLVLHSQLITELPDEIGNLQHLEMLDVRLCSIQALPDTIVRLQKLVCLYVSTKVKLPEMIGTMQCLEELFHISSNSIRLAGDLKCLKKLRDLAIAVEDPVGTKSSTLRYREVVRSSLTELGRHNLQSLSLNYKGDENFILDSSMGSCFSTQRLRKLIIGKTLSRVPEWMSIFDNLTHLQLCISRMEQSDINILKGIDSLIFLRLVFTGHAPDGRIVIDNRGFQALKELYLLCFIPGMWPVFEPGAMQELQKYHLTFKLQKVHCKNSVLDFGLQHLSSLQHISAIIIPSGATSEDTLVAEDAIRSATSIHPNQPIAEIFVE >ORUFI11G09660.2 pep chromosome:OR_W1943:11:8256481:8260839:1 gene:ORUFI11G09660 transcript:ORUFI11G09660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVSASTGVMESLLGKLSSMLEKEYAKKKAVEKDVLFLRNELSSMNTVMQKYAMLSEPDLQVKAWMKEVRELAYDIEDTIDAFMARSEKSNEPTGIRGFIINNILKLRELLSSCTISQEIEKLKNQVLEVNDRRKRYKLDVSVSMGTGCESIDPRLPAFYSEVGGLVGIDGPRDKIIKLLRENAADEDCGFVNRLKMVSIAGFGGLGKTIAKQVYQKIKWQFDCAAFVFVYQIPDMKRVLLDLLCGLGASGNTWDDEKQLIDKIREFLHDKRYIIVIDDIWSISSWEILKCVLPENNSGSRIITTTRILDISMICCSTFNGSIYRIKPLSDDDSRRLFCRRIFHGEHSCPSHLEELSKAILRKCGGLPLAILHIASLLATKSNTKEEWELVLNSIGSALENSHTLQGLKKILLLSFYDLPPQLKTCLLYLSIYPEDCMINSKELIRKWIAEGFIAEDSGKRLDQVAESYLNDLINRSMILPFDITHADGVQYYQVHDVVLNIIISMSKEENFVTIIDGHKCSSLQEKIRRLSLQFNDSEDVVVPTNITNRSCVRSLSIFGITKQVPYFMDLQSLRVLDLGYCTLLQNQHIECLGSMLQLRYLVLHSQLITELPDEIGNLQHLEMLDVRLCSIQALPDTIVRLQKLVCLYVSTKVKLPEMIGTMQCLEELFHISSNSIRLAGDLKCLKKLRDLAIAVEDPVGTKSSTLRYREVVRSSLTELGRHNLQSLSLNYKGDENFILDSSMGSCFSTQRLRKLIIGKTLSRVPEWMSIFDNLTHLQLCISRMEQSDINILKGIDSLIFLRLVFTGHAPDGRIVIDNRGFQALKELYLLCFIPGMWPVFEPGAMQELQKYHLTFKLQKVHCKNSVLDFGLQHLSSLQHISAIIIPSGATSEDTLVAEDAIRSATSIHPNQPIAEIFVE >ORUFI11G09660.3 pep chromosome:OR_W1943:11:8257561:8260839:1 gene:ORUFI11G09660 transcript:ORUFI11G09660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVSASTGVMESLLGKLSSMLEKEYAKKKAVEKDVLFLRNELSSMNTVMQKYAMLSEPDLQVKAWMKEVRELAYDIEDTIDAFMARSEKSNEPTGIRGFIINNILKLRELLSSCTISQEIEKLKNQVLEVNDRRKRYKLDVSVSMGTGCESIDPRLPAFYSEVGGLVGIDGPRDKIIKLLRENAADEDCGFVNRLKMVSIAGFGGLGKTIAKQVYQKIKWQFDCAAFVFVYQIPDMKRVLLDLLCGLGASGNTWDDEKQLIDKIREFLHDKRYIIVIDDIWSISSWEILKCVLPENNSGSRIITTTRILDISMICCSTFNGSIYRIKPLSDDDSRRLFCRRIFHGEHSCPSHLEELSKAILRKCGGLPLAILHIASLLATKSNTKEEWELVLNSIGSALENSHTLQGLKKILLLSFYDLPPQLKTCLLYLSIYPEDCMINSKELIRKWIAEGFIAEDSGKRLDQVAESYLNDLINRSMILPFDITHADGVQYYQVHDVVLNIIISMSKEENFVTIIDGHKCSSLQEKIRRLSLQFNDSEDVVVPTNITNRSCVRSLSIFGITKQVPYFMDLQSLRVLDLGYCTLLQNQHIECLGSMLQLRYLVLHSQLITELPDEIGNLQHLEMLDVRLCSIQALPDTIVRLQKLVCLYVSTKVKLPEMIGTMQCLEELFHISSNSIRLAGDLKCLKKLRDLAIAVEDPVGTKSSTLRYREVVRSSLTELGRHNLQSLSLNYKGDENFILDSSMGSCFSTQRLRKLIIGKTLSRVPEWMSIFDNLTHLQLCISRMEQSDINILKGIDSLIFLRLVFTGHAPDGRIVIDNRGFQALKELYLLCFIPGMWPVFEPGAMQELQKYHLTFKLQKVHCKNSVLDFGLQHLSSLQHISAIIIPSGATSEDTLVAEDAIRSATSIHPNQPIAEIFVE >ORUFI11G09670.1 pep chromosome:OR_W1943:11:8262102:8266348:-1 gene:ORUFI11G09670 transcript:ORUFI11G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAPQPVSSPSAAAGAASNNPHKRKKPAARGKGKGKGKGRLAKTPRRSDDPGDLPRRRSKPSDRFLKLLRKRARDYNSDDSDDEERLSDEDEEEEGASGSGDDEAAGAVTRFEQGCRAFRVAFTKIMAKKLPDDPLGPILSAHKKLVAAKLAEDAEEHKPKGEGRREKRMAAEKGHVIPTNHLDSKEKELIKIATQGVVRLFNSVSKAQNCRKGLNPSRSKDAKVLAKETKQAFLTELSKTSNQSQKGKASSNFSKNNSKDEDEPGWAPLRETYMLGSKLKDWDKMQTSDVVNEQTEIPLDDSSDEEYAIDSPE >ORUFI11G09680.1 pep chromosome:OR_W1943:11:8277092:8277888:-1 gene:ORUFI11G09680 transcript:ORUFI11G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSTQRLRKLIIGKTLARVPEWISIFDNLIHLQLYISGMEKSDINILKGISTLLFLRLVFTGHAPHGRIVIDNRGFQALKELYLLCFVPGIWPVFEPGAMQELQKYHLTFKLLKVHCSSGVLDFGLQHLSSLQHMSAIIVPSGATSEDTFAAEDAIRSATILNL >ORUFI11G09690.1 pep chromosome:OR_W1943:11:8277956:8283549:-1 gene:ORUFI11G09690 transcript:ORUFI11G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIAGFGGLGKTTLAKQVYQKIKWQFDCAAFVFVSQMPDMKRILLDLLSGLGASGNRDDERQLIDKIREFLHDKSRIITTTRILDIAALCCSTFKGSIYRLEPLSESDSRRCNRLDQVAESYFNELINRSMILPVSIAYDGSIQICQVHDLVLNIIISMSKEDNFVTVIDGQKCSSLPEKIHRLSLQFNDSEDAVIPANITNKNSVRSISVFGSTKQKLVCLYVSTKARLPERIGTMQSLEELFHISSNSIRFVEDLKCLTKLRDLAISVEDPVGTEGYKLRCREAVLSSLTELG >ORUFI11G09690.2 pep chromosome:OR_W1943:11:8283554:8285849:-1 gene:ORUFI11G09690 transcript:ORUFI11G09690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVSASTGVMESLLGKLSSMLEKEYAKKKTVEKDVLFLRNELSSMNTVMQKYAMLSEPDLQVKAWMKEVRELAYDIEDTIDAFMARSEKSNEPTGIRGFIINNILKLRELLSSCTISQEIEKLKNQVLEVNDRRKRYKLDVSVSMGTGCESIDPRLPAFYSGETLRCPLLAVERLVVIGSGGCGFHLGGDGLGARPRGCGINGGRRWTGLEPSVGEEADDEEGDSEVDEVLAAAGGTVLGRRHRSETLYSEVGGLVGIDGPRDKIIKLLRENAADEDCGFVN >ORUFI11G09700.1 pep chromosome:OR_W1943:11:8290517:8290765:-1 gene:ORUFI11G09700 transcript:ORUFI11G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEGWKWLDEEEEEERRLTEAEERQRRRHGRDGGGSTKRRSGGGLTRRRAGGGSTRRRSDSGVSTMRRRGEGGRVDPAETR >ORUFI11G09710.1 pep chromosome:OR_W1943:11:8292600:8299950:1 gene:ORUFI11G09710 transcript:ORUFI11G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGATVSASAGVMNSLLGKLSTLLDKEYTKHKNVEKDVMFLQRELPSMEAVLQKHAMQDELDVQLKAWVSELRELAYDIEDSIDAFMVRIEHYSDESAGIKGFMSKKIHKLKKLCCHHKFSAVFLELKERVVEANERRRRYEVDGSTSGTTTTLYSGLDELVGIKGPRDCIIKLLTYEADSGPSRQQLKVVSIVGCGGLGKTTLANQVYKEINGQFDCKAFVSMSQKPDMRKILMDLLSQILGNGSPMCFDEQRLIDKLREFLKDKRYLIVIDDIWSTSAWEIVKSAFPDNNLRSRIITTTRIMDVAKSCSANLQEYVYTIKPLNHQDSSKLFVKKIFPSGCGVPQHLKEVSNAILKKCGGLPLAILIIAGLLASKYDRKDEWEAVHNSIGSELGKNHTLEGFRRILMLSFYDLPHDLKTCFLYLSIFPEDDLIVRKQLVWKWVAEGFIRKVRGKRPDQVAESYFYDLINRNMIQAVGVQYKGNIYGCRVHDLVLDLIRSLSAQINFVIAIDDKGYESSPRKIRRLSLQASNLEDQEMQKLVSNQSHIRSLIMFRAFKKAPDLFKFHALRILDLSECNCLEDHHITCIVNMFQLRYLSLPCRITELPEQTGNLQHLEVLNIRRCMIKTLPEPIVKLGKLMCLHVKSGVKLPDEIGRMQALQELESISIPCNSVRLIEEIGRLTRLRRLTVETTSTTEKMGDQEVRFREMLVSSLTELGRNGLESLCISYPHGQNFILDSLFGSGCSLPKFHELDIKNYLCWVPRWITMLSSLVHLCLSMYDIDEEDMRVLNGISTLLFLHLELRNPPEERLVIGCDGLRHLNELHVFCQHSTMPLTFAPGAMPELHRLRLEFGARETLRMYGDFDFGIEHLSGLRDIRVDINYYSGGTDMDAEAVAAKDAITTASIIHPNRPLHDVRMHVTMMFTLKEAAQSVGLQSATKELNLGTDFQRTFVQIEDLDNPEVLRKLQQLLSPESSTRNQ >ORUFI11G09710.2 pep chromosome:OR_W1943:11:8292600:8299826:1 gene:ORUFI11G09710 transcript:ORUFI11G09710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGATVSASAGVMNSLLGKLSTLLDKEYTKHKNVEKDVMFLQRELPSMEAVLQKHAMQDELDVQLKAWVSELRELAYDIEDSIDAFMVRIEHYSDESAGIKGFMSKKIHKLKKLCCHHKFSAVFLELKERVVEANERRRRYEVDGSTSGTTTTLYSGLDELVGIKGPRDCIIKLLTYEADSGPSRQQLKVVSIVGCGGLGKTTLANQVYKEINGQFDCKAFVSMSQKPDMRKILMDLLSQILGNGSPMCFDEQRLIDKLREFLKDKRYLIVIDDIWSTSAWEIVKSAFPDNNLRSRIITTTRIMDVAKSCSANLQEYVYTIKPLNHQDSSKLFVKKIFPSGCGVPQHLKEVSNAILKKCGGLPLAILIIAGLLASKYDRKDEWEAVHNSIGSELGKNHTLEGFRRILMLSFYDLPHDLKTCFLYLSIFPEDDLIVRKQLVWKWVAEGFIRKVRGKRPDQVAESYFYDLINRNMIQAVGVQYKGNIYGCRVHDLVLDLIRSLSAQINFVIAIDDKGYESSPRKIRRLSLQASNLEDQEMQKLVSNQSHIRSLIMFRAFKKAPDLFKFHALRILDLSECNCLEDHHITCIVNMFQLRYLSLPCRITELPEQTGNLQHLEVLNIRRCMIKTLPEPIVKLGKLMCLHVKSGVKLPDEIGRMQALQELESISIPCNSVRLIEEIGRLTRLRRLTVETTSTTEKMGDQEVRFREMLVSSLTELGRNGLESLCISYPHGQNFILDSLFGSGCSLPKFHELDIKNYLCWVPRWITMLSSLVHLCLSMYDIDEEDMRVLNGISTLLFLHLELRNPPEERLVIGCDGLRHLNELHVFCQHSTMPLTFAPGAMPELHRLRLEFGARETLRMYGDFDFGIEHLSGLRDIRVDINYYSGGTDMDAEAVAAKDAITTASIIHPNRPLHDVRMHVTMMFTLKEAAQSVGLQSATKELNLGTDFQRTFVQIEDLDNPEVLRKLQQLLSPESSTRNQIEVTVI >ORUFI11G09710.3 pep chromosome:OR_W1943:11:8292600:8299992:1 gene:ORUFI11G09710 transcript:ORUFI11G09710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGATVSASAGVMNSLLGKLSTLLDKEYTKHKNVEKDVMFLQRELPSMEAVLQKHAMQDELDVQLKAWVSELRELAYDIEDSIDAFMVRIEHYSDESAGIKGFMSKKIHKLKKLCCHHKFSAVFLELKERVVEANERRRRYEVDGSTSGTTTTLYSGLDELVGIKGPRDCIIKLLTYEADSGPSRQQLKVVSIVGCGGLGKTTLANQVYKEINGQFDCKAFVSMSQKPDMRKILMDLLSQILGNGSPMCFDEQRLIDKLREFLKDKRYLIVIDDIWSTSAWEIVKSAFPDNNLRSRIITTTRIMDVAKSCSANLQEYVYTIKPLNHQDSSKLFVKKIFPSGCGVPQHLKEVSNAILKKCGGLPLAILIIAGLLASKYDRKDEWEAVHNSIGSELGKNHTLEGFRRILMLSFYDLPHDLKTCFLYLSIFPEDDLIVRKQLVWKWVAEGFIRKVRGKRPDQVAESYFYDLINRNMIQAVGVQYKGNIYGCRVHDLVLDLIRSLSAQINFVIAIDDKGYESSPRKIRRLSLQASNLEDQEMQKLVSNQSHIRSLIMFRAFKKAPDLFKFHALRILDLSECNCLEDHHITCIVNMFQLRYLSLPCRITELPEQTGNLQHLEVLNIRRCMIKTLPEPIVKLGKLMCLHVKSGVKLPDEIGRMQALQELESISIPCNSVRLIEEIGRLTRLRRLTVETTSTTEKMGDQEVRFREMLVSSLTELGRNGLESLCISYPHGQNFILDSLFGSGCSLPKFHELDIKNYLCWVPRWITMLSSLVHLCLSMYDIDEEDMRVLNGISTLLFLHLELRNPPEERLVIGCDGLRHLNELHVFCQHSTMPLTFAPGAMPELHRLRLEFGARETLRMYGDFDFGIEHLSGLRDIRVDINYYSGGTDMDAEAVAAKDAITTASIIHPNRPLHDVRMHVTMMFTLKEAAQSVGLQSATKELNLGTDFQRTFVQIEDLDNPEVLRKLQQLLSPESSTRNQ >ORUFI11G09720.1 pep chromosome:OR_W1943:11:8305119:8321450:-1 gene:ORUFI11G09720 transcript:ORUFI11G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTEEERQEEEEEEYEFCDAEEAMQCVEMAERSAPDAGVHDYEALAARKRKALAEERTERDASSKKPRQDGLSEVEAATVFDQLMEGFGLRRKRRSKDARKRGRKKGTRNKYSPEVTKKLGDATLLFTESRFKEAIPILHEVVRIAPNLSNSYHLLGSIYKECGELDKAINFLMLAAYVSPKDVFLWKKLIDMALKKEDAALARHCVLKAMRADPEDVGLKFDCANIYRALHDYQKAGEIYEQIVRIYPSNIVARKAAAQMYRDCGQIDKAINLLEDYVNAQTTNIDSNHLDLLISLYLRNNAYNEALRLIERAHIVFGSQHNLPVQLQAKAVICHAYLGDMKHAEVFLQNVHLERSKDNTDVIKEVASTLENLGQYEYAIKFYLMIEDVAVHNDGSSYVKVGQCYMVIGEKRKAIPYFQKALQRMEDNIDVRITLSSLFVDVDKSDEAIVLLSPPNNSGSKSATDQPKPWWLDGKVKMHLANIYYNKGMFEDFVGTILIPILETLNIEYANRKVRKAKKLPTNVLYERAKVQKASRAKKLLEKRAASNEDTIKDDLQRSKQIPPISGLLTNAENHQLVLHLCQTLALLHRYWEALQVINRTLKLGNDTLADENKEELRSLGAQIAYRAPDPRHGFNYVRYVVQQHPYSLAAWNSYYKVTSRIEDRFSRHHKFLLRTREEKTDCVPPIIISGHRFTAISQHQSAARDYLEAYKLNPENPFINLCVGSALINLALGFRLQNKNQCIVQALAFLFRYLRLCDNSQEALYNIARAYHHVGLNTLAAIYYEKALAVEVKDYPIPRLPYEENSCAQQDLKPGYCDVRREAAFNLHLIYKKSGADDLARRILRTYCTI >ORUFI11G09720.2 pep chromosome:OR_W1943:11:8305119:8321450:-1 gene:ORUFI11G09720 transcript:ORUFI11G09720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTEEERRLGRGSAGAEAGADGQEDPDGDEEEEEEEEEEYEFCDAEEAMQCVEMAERSAPDAGVHDYEALAARKRKALAEERTERDASSKKPRQDGLSEVEAATVFDQLMEGFGLRRKRRSKDARKRGRKKGTRNKYSPEVTKKLGDATLLFTESRFKEAIPILHEVVRIAPNLSNSYHLLGSIYKECGELDKAINFLMLAAYVSPKDVFLWKKLIDMALKKEDAALARHCVLKAMRADPEDVGLKFDCANIYRALHDYQKAGEIYEQIVRIYPSNIVARKAAAQMYRDCGQIDKAINLLEDYVNAQTTNIDSNHLDLLISLYLRNNAYNEALRLIERAHIVFGSQHNLPVQLQAKAVICHAYLGDMKHAEVFLQNVHLERSKDNTDVIKEVASTLENLGQYEYAIKFYLMIEDVAVHNDGSSYVKVGQCYMVIGEKRKAIPYFQKALQRMEDNIDVRITLSSLFVDVDKSDEAIVLLSPPNNSGSKSATDQPKPWWLDGKVKMHLANIYYNKGMFEDFVGTILIPILETLNIEYANRKVRKAKKLPTNVLYERAKVQKASRAKKLLEKRAASNEDTIKDDLQRSKQIPPISGLLTNAENHQLVLHLCQTLALLHRYWEALQVINRTLKLGNDTLADENKEELRSLGAQIAYRAPDPRHGFNYVRYVVQQHPYSLAAWNSYYKVTSRIEDRFSRHHKFLLRTREEKTDCVPPIIISGHRFTAISQHQSAARDYLEAYKLNPENPFINLCVGSALINLALGFRLQNKNQCIVQALAFLFRYLRLCDNSQEALYNIARAYHHVGLNTLAAIYYEKALAVEVKDYPIPRLPYEENSCAQQDLKPGYCDVRREAAFNLHLIYKKSGADDLARRILRTYCTI >ORUFI11G09730.1 pep chromosome:OR_W1943:11:8325937:8326758:1 gene:ORUFI11G09730 transcript:ORUFI11G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASLQSFLLHHHHSFLSNGIHEGSSPSIILKLTTNSNSSISFKLFSNTTSSSSSSVTTTASTPNSPVTPAPVTASSPPPPSLELLGAQLAERDYRQADETTRALLIELAGEPARRRGYVFFSEVQFISADDLRAIDALWQEHSGGRFGYSVQRRLWEKSRRDFTRFFIRVGWMKKLDTEVEQFNYRAFPDEFIWELNDDTPEGHLPLTNALRGTQLLGNIFTHPAFEEEQEDELAAEENDTPDNTGQSKDGSKGKERPKFMRDFFKPDYSF >ORUFI11G09740.1 pep chromosome:OR_W1943:11:8326868:8332661:-1 gene:ORUFI11G09740 transcript:ORUFI11G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALPLLHLLPRIVCAAFFACLPGLVAGIRKDIGLAAPIMCRSTVQGRHLISDDNALHRNKWTKETDVLKLKVARPVTSGTYRNVFDFCMGRCRHSSASVCSIVTVHENAYASDFHHCFLLQQNSSGSADSGSGPRLDGINISIGSLQKYMRCKSGCFSNLGPDQPAQVVDEAPSNLNPGACLYMQMDERLTCDGSHQHTRRLT >ORUFI11G09740.2 pep chromosome:OR_W1943:11:8326868:8332661:-1 gene:ORUFI11G09740 transcript:ORUFI11G09740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALPLLHLLPRIVCAAFFACLPGLVAGIRKDIGLAAPIMCRSTVMYVLPYLSIHGLGVAQEQGHTKETDVLKLKVARPVTSGTYRNVFDFCMGRCRHSSASVVHENAYASDFHHCFLLQQNSSGSADSGSGPRLDGINISIGSLQKYMRCKSGCFSNLGPDQPAQVVDEAPSNLNPGACLYMQMDERLTCDGSHQHTRRLT >ORUFI11G09740.3 pep chromosome:OR_W1943:11:8327412:8332661:-1 gene:ORUFI11G09740 transcript:ORUFI11G09740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALPLLHLLPRIVCAAFFACLPGLVAGIRKDIGLAAPIMCRSTVMYVLPYLSIHGLGVAQEQGHTKETDVLKLKVARPVTSGTYRNVFDFCMGRCRHSSASVCSIVTVHENAYASDFHHCFLLQQNSSGSADSGSGPRLDGINISIGSLQKYMRCKSGCFSNLGPDQPAQVVDEAPSNLNPGACLYMQMDERLTCDGSHQHTRRLCPCA >ORUFI11G09750.1 pep chromosome:OR_W1943:11:8333285:8334272:-1 gene:ORUFI11G09750 transcript:ORUFI11G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCEEVNSLSEHHGAEVILYDFDEVHVVEDSFAGDTEFPDTQVAVDVQAVAVTELELHGLMESKLQDAIVDVVGSEVDTVVAVRKEDVIMAERELHDVADIVAVELEVHDVIESKLHDAAVAVTESEVNIVLGTHDEAMAMIVAVAEMELNGVVVAVPESELNVVVVVCEVVMDIDVAHALLHHHGTKDMNDAAMDLLWISHGYDHGFGFAKIPAKASDNEEFEDSRSVLGVVAAVVKLKCCRTRR >ORUFI11G09760.1 pep chromosome:OR_W1943:11:8335910:8341346:1 gene:ORUFI11G09760 transcript:ORUFI11G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALLARAALSSTSSSIAMATTRSSSSRVLRASRAELNPGAKEVKRESSVSFDLTKTEAVASMRSKNVKRVLEVTGENIKKEVDIVPDIEDFRYGKASPSLVRLEKKVRVSSAIKVGAPENWEAILKGIKNMRLSGEAPVDTKGCEKAGSLLPPKERRFAVLISTMMSSQTKDEVTHAAVERLSEKGLLDPDAIVRTDEATLANLIKPVGFYQRKAKFIKEASKICLERFGGDIPDSLNELLALKGVGPKMAHLVMSIAWKNTQGICVDTHVHRISNRLGWVFREGTKQKTTTPEQTRMSLEKWLPKDEWEPINPLLVGFGQTICTPLRPKCDMCGINNICPSAFKESSSPNPKQKKMRFAVLMAINIADLL >ORUFI11G09770.1 pep chromosome:OR_W1943:11:8341697:8346696:-1 gene:ORUFI11G09770 transcript:ORUFI11G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLLNPPPSLRSPACRTTTATRIRPSSSMATMIPTPPPMRHARLVKASAAGRRELHAPPIAPPILLGLRSPAAASYGRASGGGGRRRGARVVARMGFDMFTDKAIKAIMMAQEEARRLGHHAAGSEQLLLGVIGEGTGIGAKVLRGAGLSLKAARAEVEKMAGRGPGMVPMEIKFTPAAKNVLQASQEEAHQLGHNYVGSEHLLLGLLREHGAALVVLKNFQADPSNIRSEVIRMISDTSEDHQPVSAAVGGGSSTTKIPTLLEYGTNLTKLAEEGKLDPVVGRQNQVDRVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIAAGNVPETIDGKTVITLDMGLLVAGTKYRGEFEERLKKLMDEVKQNGEIILFLDEVHTLVGAGAAEGAIDAANILKPALARGELQCIGATTIDEYRKHIEKDPALERRFQPVKVPEPTVDETIGILKGLRERYEIHHKVQYTDESLIAAARLSYQYISDRFLPDKAIDLVDEAGSLVRLRNAQLPDEAKELEKKLKKIMAEKSEAIRSQDFEKAGALRGEEVELKSEIMSLVDKSKEMSKAAVDSGESPGPTVTEADVQHIVSSWTGVPVEKVTVDESSRLLAMESSLHRRIVGQDEAVTAISRAIRRARVGLRDPRRPIASFIFAGPTGVGKSELAKALAAYYYGSPEAMVRLDMSEFMEKHTVAKLVGSPPGYVGYAEGGQLTEAIRRRPYAVVLFDEVEKAHPDVFNMMLQILDDGRLTDSKGRTVDFKNSLIIMTSNVGSGVIEKGGRQLGFAGDGSGDGGYGVIKNMVEEEMKRYFRPEFLNRLDEMIVFRQLTKLEVKEIAGIMLAEVTGRIGGKGIGLQVTERFKELVVEQGFDPSYGARPLRRAIMRLLEDTLTDKMLAGEICAGDSVIVDADGDGNVVVVGRRSAGLPDLKSPAFTV >ORUFI11G09780.1 pep chromosome:OR_W1943:11:8383024:8385327:1 gene:ORUFI11G09780 transcript:ORUFI11G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLGGDDLGRRTSGGRSMAWCSRRAVAGGVRRAGSGKETAVSGSRGSIGFERTNVEQGRGRQCARLRLAGAERSADRRGGTLNSLTCARSWVLVGSKIRIDAKEQSRSGLPFPIISGQ >ORUFI11G09790.1 pep chromosome:OR_W1943:11:8388856:8390299:-1 gene:ORUFI11G09790 transcript:ORUFI11G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWAVGDRRTSGRQDGSYRRPAPLFDGGALTRACSVCTAARVAREAAPYYGSLDPSPATTGRPDPSPVTAGRPDQSPATIGTTTVTVKIVVVLVFFFPACVALDRHIDAKTPAVTAADGGSSLSRVDSTRYGARPLRRAVVRLLEDTLAERMFAREVGEGDLVIVDADSAGKCRGQEKQHHAGGLQLPTKCATIELDTYENFGKLSKYFSDSHRIMYSLSTRI >ORUFI11G09800.1 pep chromosome:OR_W1943:11:8391808:8395707:-1 gene:ORUFI11G09800 transcript:ORUFI11G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTARRSKPELVAPAWATPNERKYLSDIDNQPSLRFYATFVEFFQPSSTFDGSRPSDPAKAIKSALADALVYYYPIAGRLTELPEGRLVVDCTAEGVVFVEADADVGLEELGKPLLPPYPCVDEFLCDPGDTKMVVGKPLFFLQVTRLKCGGFVVGFHMCHNISDGFGMLNFIRAIADIARGEALPTIFPLWNRELFTMFFPPRISHVHLAYEALRDGNLGNDIMQSTPPGAMVGQYFLFGPTEISAMRSHLSAHLRQSSTIFELISGAIWKCRTAALDYSPGQLVRFMFTLNSRGKWKRNPPVPQGYYGCGLVLPVAETLVADLCGNPLEYAVQLVRKAKFNVTDEYIKSTVDLIASRKWPSLVVDRTYVVSDITTIGEDKIDFGWGKRVGGGIPMAGDIMSKLLSYFMKCKNADGEDCVVVPMYLPSIIMDRFATEISVWSRKQGNKFIVNAFN >ORUFI11G09810.1 pep chromosome:OR_W1943:11:8411425:8412212:1 gene:ORUFI11G09810 transcript:ORUFI11G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAAMALVVRAAEDSEDVAKRHGVGAEDDGEDMAKRHRAASVAGARARCGGGDGEDGGSGHHGARGGSGGGRRAQRFDHRRTKKKFEKLSAKLQIVES >ORUFI11G09820.1 pep chromosome:OR_W1943:11:8413731:8416653:-1 gene:ORUFI11G09820 transcript:ORUFI11G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGAFKDEPNGNFAISFKDLHTFFKMDKMDINLVGTWCLMHYILFLVYPTDQIVIVLDPADYDKQAYMEFLCLLNLAHGRYRKLGGFVKNPSRDKLYIRGSWPCYKQPSLSNLCGYYMCEMLRVSGRYRTEFTDLPSIPYNASRFDQKTLINLCTDFCRFIRRDICNHLGEFHDLHSELAMDPKFKNLREWERKHAMD >ORUFI11G09830.1 pep chromosome:OR_W1943:11:8427484:8427762:-1 gene:ORUFI11G09830 transcript:ORUFI11G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVNSANNRNVTNHLFAVEFDTILNLKFNDISGALSAGGGRHAELQKGAGKKACSCGSSERQGQPGGSMWQRQGGIISITNVISMVICQYN >ORUFI11G09840.1 pep chromosome:OR_W1943:11:8443158:8448460:-1 gene:ORUFI11G09840 transcript:ORUFI11G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAMLVAAAVEVAVPTLAEAAALGAIGAILAGPLMQPTKVRSPVRVRNSNLKCHGTKMRSRSMVSMSQSQRIKIPSYVGLSTVHTPALLTPVISSRSTRTFQKTAKTIQERSHAVMQIPEFTPGTGLRSTNAIQRTTEVLQRHSHVGLRQPHAGRLREMHTASGRPVIGLTPTIVSQKATKVPKQPRFVARAIDNFSREVMNAIAVAHDEAQYIAHLTIGSTNILLSLISQYICIFLLEIILNKAYKMFRAAVRRATRGAKLATLMEYGTNLTKLAEEGKLDPVVGRQKQIDHVVQILSRRTKNNPCLIGEPGVGKTAIAEGLAQLIATGDVPETIQQKTVISLDMGLLLAGTKYRGELEERLKNILEEIKQNGEIILFLDEVHTLVTAGSAEGAIDAANIFKPALARGELQCIGATTINEYRKHIEKDAALERRFQPVKIPESTVDETVGILKGLRERYQGHHKVQYTDEALVAAAELSHKHIRDRFLPDKAIDLMDEAGSIVRLRNAQCKPSKKVNDLEAELKKTLKEKNDAISIQNFRRAKQLRDHELQLRTNISALTDKKTQMMEPDAIAMPVVTEDDVRHAISRWTGVPLHKVSMDESRKLLKLEEALHRRVVGQGEAVAAVSRAIRRARLGLKHPGRPVASLVFAGPTGVGKSELAKALAAYYYGSSESEEAAMVRLDMSEYMEKHAVARLVGSPPGYVGHGEGGQLTEAVRRRPHAVVLLDEVEKAHRDVFDLLLQVLDDGRLTDGKGRTVDFKNTLIVMTTNIGSSLIVNNGGDGAAAAGRIKNTVTDEMKRHFRPEFLNRLDEVIMFQPLTELEVGKIAGIMLEEFAGRVREKGIKLKVTDKFRELVVEEGFDPSYGARPLRRAVVRLLEDTLAEKMLAGEVREGDSVIVDADSAGNAVVRRSNAMPA >ORUFI11G09850.1 pep chromosome:OR_W1943:11:8458874:8459464:-1 gene:ORUFI11G09850 transcript:ORUFI11G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVMQKYAMLSEPDLQVKAWMKEVRELAYDIEDTIDAFMARSEKSNEPTGIRGFIINNILKLRELLSSCTISQEIEKLKNQVLEVNDRRKRYLVSIQLDCFM >ORUFI11G09860.1 pep chromosome:OR_W1943:11:8529058:8529804:-1 gene:ORUFI11G09860 transcript:ORUFI11G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCTVQSPIDGIFVLTMASSDGHQYLTDEAIGDLIASLTAVRDTPGLRGLVTTSRLGSFCDGVDHDAAGQPDEQVAARVGEVVRLLLEMPAPTAAAVNGDATSLGLALALAHDHCVVWEGAAVALPEARRRRPLPGYVAALLRDKVAYARLRKLLMLRAEACTGKELVGTWYSANDPAAADREVVAAEACELLEGIEVGSGKNYATARQAMWPESCAAVGMEITRPRRPSSPEQILHVRLTVQSFPS >ORUFI11G09870.1 pep chromosome:OR_W1943:11:8530585:8531331:-1 gene:ORUFI11G09870 transcript:ORUFI11G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFCEWAKTDDGVFHLKLTGVDGHHYLTKEALHELRQKLAEIRELASSSPEPCRGLITSSSSSSSPSSPTTGSFCDGIDHKSLRANMAAPVAEQARVLADGMAAVVRELLAMPMPTVCAATGGAASLGLALALAHDDLVVLSDAYYKLGNVEDGVAVPPHVAALVREKTDRWYTLTTLKSRPRTGSWMRRWYFADGEAASRDGVVREAERLVGQWPAAGEDGKVHAETRRQLYRESWEAVCAIVHDE >ORUFI11G09880.1 pep chromosome:OR_W1943:11:8537698:8538432:-1 gene:ORUFI11G09880 transcript:ORUFI11G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCQLSTKNGVFFLTLGGHGGGENYRTEQFIAELEQKLKEVRGHARPSSKGLVTTFAAGEGGSFCDDVDNGGTSAAATAELAAYRTAEAVRALFDMPFPTAAAVAGDVRSSLALALVLAHDDMAVWKEATFEAPEVRLRRDDGGGGDLPPAPPPYVAALLRDKAPYPMMRSKLVLRSEAMDGSTFGGYWYMTDSRCDGREEVTGEAAGIVTTSIGKVRDGEAYVATRKSFFPESWKAVCEFLA >ORUFI11G09890.1 pep chromosome:OR_W1943:11:8544216:8544515:1 gene:ORUFI11G09890 transcript:ORUFI11G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAITAVLSKFGELASREAAVLVQVGNDIMLLRDRLEWLQAFVRDADRRRRLASDDFTRVWVRQTRDVAFDAEDALDHFFHKVSHRSGHHYTMHTVP >ORUFI11G09900.1 pep chromosome:OR_W1943:11:8550087:8553357:1 gene:ORUFI11G09900 transcript:ORUFI11G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIWCRCICGWLQVDLEAQGYRGWRIWRRYLTGCTTQISVRHDLSGQIKRIKSRLDQISENHKEFKIEHTPGAWTSSITEVAAWDNIGDAPVGFDGYLRALENHLLSHEHTPQQRFISILGETGIGKSTLMLTICNKIIKDHENHFDKLIWYNMPPNSSANDLLKQVYERAPDKVPSEGEDTDITKKLRSFLHDKRYLVILGGINSVTVLNCVKASLPDNRNGSRVVLILEPESQEVAKHADTLNKKVDADSKNISGSTIQLGRLNESQSAELFCRRVYGYNYTKPRGYKVSYNEQVFKITGGHPLAIVVLAGLLRSKEMPVEWDSVLQQLMPGVEAGESQGNKIAGVLLTKEKPFEWDALLQQLMPTTEAKLSNRMTIERIFSTSFDDLPHDLKSCFLYFAAYPTNITHPADQIMRMWIAEGFIKPEKGKNMEDLAQEYLKELISRFLVEVKYRNECEKIELVQVHNRLLRFLQSEAREASFIEIHDNTDVLAPAAVRRLSIQNDSGNYIPFGNRFPKLRSFICRVEEGEGASATPDLKNDPKNIPRKDPLKFLCGSKFLRVISIGGIHLAELPDAIGDMIHLRYIGVTSCDLENLPSSIGRLLNLQTLDIRNSKVKIIAPKFWRIKTLRHVIAAQLQLPNSVGELNNLQTLHGVKPAENWGGLTCPLDMMTNLQSLELHGFNDANHGVALERALQKLELLGHLKLTGDKIPSSVFTAPSLRYVESLVLDGDIKWADNSSNTSNYSPEVALGICELRPNLTVLKLNSVSKELEEFIEKIRPHLTVYECPTRTDA >ORUFI11G09910.1 pep chromosome:OR_W1943:11:8550258:8554652:-1 gene:ORUFI11G09910 transcript:ORUFI11G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPCPGDSGAISTELGRLPRSSRSLRTALRFPSPAVSRTAQLRPCYDQQPRGDDTDRRQGVALARLPSSRRPPCFATSWLSGSKISTTLLPFLLSGKLAFTQLSTVTEFMPPRITRYLLSCRKERSFLVISVSSPSDDSQHECALPNTSLPQYGNESLLWSMFMRKEMILQCPQVTIKSHRSVPNRFAWCSRLSICARFSNHGNPGPLDRPAVM >ORUFI11G09910.2 pep chromosome:OR_W1943:11:8550256:8554652:-1 gene:ORUFI11G09910 transcript:ORUFI11G09910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPCPGDSGAISTELGRLPRSSRSLRTALRFPSPAVSRTAQLRPCYDQQPRGDDTDRRQGVALARLPSSRRPPCFATSWLSGSKISTTLLPFLLSGKLAFTQLSTVTEFMPPRITRYLLSCRKERSFLVISVSSPSDDSQHECALPNTSLPQYGNESLLWSMFMRKEMILQCPQVTIKSHRSVPNRFAWCSRLSICARFSNHGNPGPLDRPAVM >ORUFI11G09910.3 pep chromosome:OR_W1943:11:8550258:8554652:-1 gene:ORUFI11G09910 transcript:ORUFI11G09910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCPCPGDSGAISTELGRLPRSSPCFATSWLSGSKISTTLLPFLLSGKLAFTQLSTVTEFMPPRITRYLLSCRKERSFLVISVSSPSDDSQHECALPNTSLPQYGNESLLWSMFMRKEMILQCPQVTIKSHRSVPNRFAWCSRLSICARFSNHGNPGPLDRPAVM >ORUFI11G09910.4 pep chromosome:OR_W1943:11:8550258:8554652:-1 gene:ORUFI11G09910 transcript:ORUFI11G09910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCPCPGDSGAISTELGRLPRSSRSLRTALRFPSPAVSRTAQLRPCYDQQPRGDDTDRRQGVALARLPSSRRPHSQHECALPNTSLPQYGNESLLWSMFMRKEMILQCPQVTIKSHRSVPNRFAWCSRLSICARFSNHGNPGPLDRPAVM >ORUFI11G09910.5 pep chromosome:OR_W1943:11:8550258:8554652:-1 gene:ORUFI11G09910 transcript:ORUFI11G09910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCPCPGDSGAISTELGRLPRSSRSLRTALRFPSPAVSRTAQLRPCYDQQPRGDDTDRRQGVALARLPSSRRPRTYASWPQPQRFAWCSRLSICARFSNHGNPGPLDRPAVM >ORUFI11G09920.1 pep chromosome:OR_W1943:11:8559378:8561890:1 gene:ORUFI11G09920 transcript:ORUFI11G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKYPSYNGEAKKERGVEIGYWQSLVSLIGDNFPSQPAQEDAVAVEDIAKTDERSHIRSRSIHRKGRSMSSFRTARNGGYPRQSQAASARVHRASRHTGGILLVVILVHRFLRFIDLLERLPLDVRGEEVGGGGDVAAHVHAMLAGLLLFGYISLGSCGYSTVHGLTQGAQCRRRRLLEFMTPNIGSIEEDLAAAREERRGSGRGMRGRCGWEGERQRRSPCEAHSLVLLPAADLPRHHRQSPHHRRTDLRAATTSTPRWGQPPPIIARWGWR >ORUFI11G09930.1 pep chromosome:OR_W1943:11:8567619:8568187:-1 gene:ORUFI11G09930 transcript:ORUFI11G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAMAIDTPSPSPSALAGRWLSEEERWRRFGEEEDGEITANVNRNALGALDRDYHRSSP >ORUFI11G09940.1 pep chromosome:OR_W1943:11:8575917:8577899:-1 gene:ORUFI11G09940 transcript:ORUFI11G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLVVRLAPHLFPIPWTRTTCGDLNHRGVMPTREELISLRSGLCTGYLYKIYDCKNSSGCQQGFPVVSTITAHSYASYLAVATVCQDELNHLAALGGDNAIVETDFLKSVSAIQREIAGGDYMKV >ORUFI11G09940.2 pep chromosome:OR_W1943:11:8575925:8577899:-1 gene:ORUFI11G09940 transcript:ORUFI11G09940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLVLNHRGVMPTREELISLSATLTVCYSNPSTAPAASSPHCICCRRCLLPLFQAMASTAFLNATPHLCQCSFLVVSTITAHSYASYLAVATVCQDELNHLAALGGDNAIVETDFLKIREKSLEGIT >ORUFI11G09940.3 pep chromosome:OR_W1943:11:8575000:8577899:-1 gene:ORUFI11G09940 transcript:ORUFI11G09940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLVVRLAPHLFPIPWTRTTCGDLNHRGVMPTREELISLRSGLCTGYEVKDGRIMVGCGTHSGWGYMDYRK >ORUFI11G09950.1 pep chromosome:OR_W1943:11:8584345:8592277:1 gene:ORUFI11G09950 transcript:ORUFI11G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSTEAISRIRNEKARRYLSSMRRKKPIPFTQKFPNADPLALRLLERMLSFEPKDRPNAEEALADPYFRNIANVDREPSAQPVTKLEFEFERRRITKEDIRELIYRDILEYHPNMLREYLEGTESAGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPSVLYSDDRPQNTANIAEDLSKCVLGDNTQKMHQGSASVCANRVPQGGAARPGKVVGSALRYGNCSTSTAEQYEHRRTDRNPALATNTVSPRGSYP >ORUFI11G09950.2 pep chromosome:OR_W1943:11:8584418:8592277:1 gene:ORUFI11G09950 transcript:ORUFI11G09950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSTEAISRIRNEKARRYLSSMRRKKPIPFTQKFPNADPLALRLLERMLSFEPKDRPNAEEALADPYFRNIANVDREPSAQPVTKLEFEFERRRITKEDIRELIYRDILEYHPNMLREYLEGTESAGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPSVLYSDDRPQNTANIAEDLSKCVLGDNTQKMHQGSASVCANRVPQGGAARPGKVVGSALRYGNCSTSTAEQYEHRRTDRNPALATNTVSPRGSYP >ORUFI11G09960.1 pep chromosome:OR_W1943:11:8592554:8594043:-1 gene:ORUFI11G09960 transcript:ORUFI11G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKEYGIMVVRQTEGRTNGLFVGKLPLRENPTKEVVLGDDVEPWSTRKRKLAVAMASASGRAKVARPAKAATLAPSEDPSDADDAEDVEVESEAEADDQGDDYLGEDAMDTNATACFATSESLAGIYGEGCSRVVAGFVLSVLKANGCEHVTAFPQMFIGSFPRIERE >ORUFI11G09970.1 pep chromosome:OR_W1943:11:8617319:8621938:-1 gene:ORUFI11G09970 transcript:ORUFI11G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNRLKGVDNEILSLKDELSSMNALLLKLEDIDDLDIQVKEWRDQIRELSYDIEDRVDYFMYQLKARVDMASERRKRYNFDETLSSSIGVVPIDPRLPALYAEKENLVGIDTPRVELIRFLTEGEDKLLQKLQVVSIVGLGGLGKTTLARQVYEKIGGQFDCQAFVSVSQKPVIRKIFKNILINITELNYGAIDAWDEERLINKLREFLNDKRYFVVIDDIWSTTVWTTIRCAFCENHRGSKILCTTRIISVAETCCSADCKNIYEMKPLSDVYAEKLFIKRIFGSEDQCPAYLKDIYIDILRRCGGLPLAIISLASLLANKPRTKEQWGRYRNSICSATENVPSTCLLYLSTFPEDVLIWRDPLIRRWIAEGFITTQGEGGRTLEEVGECYFNELINRSMIQPEEIQYDGQAHACRMHDMILDLIISKSVVENFIISFSHNYLLGCQDKVIRRLSLDCRERDVILPATMALSSARSLVVYGSTEHIPLISAFHVLRTVAIESNDKLKNCYLRDIGRLFQLKYLRLSEVSISELPEQIGELQELQTLELQRTSIKELPKSIVRLKNLVFLVADGITLPEGIGNMKALQKLVGVKVDISTPVDCLRELGGLNDLRRLYIIWCVSDAYPDKKTYTDSFISCIDELCTFKLRYLQVGCDVTNDSSLDFMLDSWYHPPYPLYNFQMTTYYCFPIIPEWMATLFNVAFLDINVTSVGKDVLRILGDLPSLLSLSITTKTIVSERLVFGSNGFQCLKEFYFHSWHDVVGPLLFEVGAMPKLEKFRFNLSAQTAGSLNSNFYVGLHNMVCLKNLVIEVDCREARAEQVEATEAAAKNAIANNPLPDHLNVQIRRNWVHRIIKDTVMGNSVVEQQEETTVKIHYN >ORUFI11G09980.1 pep chromosome:OR_W1943:11:8727469:8727870:-1 gene:ORUFI11G09980 transcript:ORUFI11G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKVQMAKEAAAPSLSPAPVEGKPREAAVGEKGKATEEPAPAESDLSDASESAAAAATIADDGGKPASEAAVAEDELPYDEWKVQRRALLDRLWAETMSEVKLSDDLVYYDYSDDPDGLLDYGSETDIEMD >ORUFI11G09990.1 pep chromosome:OR_W1943:11:8748657:8769095:-1 gene:ORUFI11G09990 transcript:ORUFI11G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYGWEVRRRRIRSPLRAPSAQILRGSCTACYCKKAENKVEENSDDVNKLLMKAENKVEENSDDDHLSSKAKLEHPLGGLEPCVGSNGAVSDTTGGSKWPFCSPNTQCTTSGVTALSKYIPRKDF >ORUFI11G10000.1 pep chromosome:OR_W1943:11:8749888:8755202:1 gene:ORUFI11G10000 transcript:ORUFI11G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGESEVATVATGGQEERQPLPVVKAQNKSQLPMRQKRKKVCTRVRVQDQEEDNDFMEPACQVATVATGGQEERQPPPVVKAQNKSQLPMRQKRKKVFTRVRVQDQEEDNDFMEPACQVKSKVAEKATQDHTKHKLSEQEPEDEEPEDEVEEEDEDEYEDDVEDEDEEVEEDDDNDFMEPALKVKRKVPQNRKTEAMQGSKKKVASKQKLTKDVPVRKRDHFSVNTRCQPNAILEMVGSLNKPQRDRLNALGFDWVFKFRMNGLRSRELIEYLIDCLDPDSMCLDLGGRGKLPVTPDVVHCVLGLQNGHLDPPVVSDTAPLDPIREELGLGKKEKISSSSILDRIKMGGTDDFTMQCILMILFSKLLAPDSSTDITGNIVNMVSKNLEQYKDMALYKFVVDHLRWSAEKWKSGKRSTVYGCTALLVVYYLDNLLCKAMISNTNTPRSQFFNSSLIDKIENLTKSTKKDGSTSFGKLNLRCRESTCYFVSKEKVKRKVGAASGSTRKRKHIDELAAQEATVARSKEAPRFGGDFPSLRSKLGPLVESLGSTRKQIGLDALEQYDKEVEQIMGNLHKAQDRLVDVLTSLCSTSDPKIAYTRKSKKRRDGLPPLDSNAVTDKTSGMLNSENHNLTFSFDAAVNIISRECKSFNLPNAKLSFLPTINNDHWTIFCFNFNHKRIDILDSLGHDRDEKALKAMKDRVVGRFLDVLDVMFPKKFTDVRKWKCYHACNQKQVLTNDCGFLAMKYIQFWDGKVFVKKVCPKDGTKYRAEVLYYILFHPLNEAKLPAAIERYRPKIRKISK >ORUFI11G10000.2 pep chromosome:OR_W1943:11:8749888:8755202:1 gene:ORUFI11G10000 transcript:ORUFI11G10000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGESEVATVATGGQEERQPLPVVKAQNKSQLPMRQKRKKVCTRVRVQDQEEDNDFMEPACQVATVATGGQEERQPPPVVKAQNKSQLPMRQKRKKVFTRVRVQDQEEDNDFMEPACQVKSKVAEKATQDHTKHKLSEQEPEDEEPEDEVEEEDEDEYEDDVEDEDEEVEEDDDNDFMEPALKVKRKVPQNRKTEAMQGSKKKVASKQKLTKDVPVRKRDHFSVNTRCQPNAILEMVGSLNKPQRDRLNALGFDWVFKFRMNGLRSRELIEYLIDCLDPDSMCLDLGGRGKLPVTPDVVHCVLGLQNGHLDPPVVSDTAPLDPIREELGLGKKEKISSSSILDRIKMGGTDDFTMQCILMILFSKLLAPDSSTDITGNIVNMVSKNLEQYKDMALYKFVVDHLRWSAEKWKSGKRSTVYGCTALLVVYYLDNLLCKAMISNTNTPRSQFFNSSLIDKIENLTKSTKKDGSTSFGKLNLRCRESTCYFVSKEKVKRKVGAASGSTRKRKHIDELAAQEATVARSKEAPRFGGDFPSLRSKLGPLVESLGSTRKQIGLDALEQYDKEVEQIMGNLHKAQDRLVDVLTSLCSTSDPKIAYTRKSKKRRDGLPPLDSNAVTDKTSGMLNSENHNLTFSFDAAVNIISRECKSFNLPNAKLVGRFLDVLDVMFPKKFTDVRKWKCYHACNQKQVLTNDCGFLAMKYIQFWDGKVFVKKVCPKDGTKYRAEVLYYILFHPLNEAKLPAAIERYRPKIRKISK >ORUFI11G10000.3 pep chromosome:OR_W1943:11:8749888:8760113:1 gene:ORUFI11G10000 transcript:ORUFI11G10000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGESEVATVATGGQEERQPLPVVKAQNKSQLPMRQKRKKVCTRVRVQDQEEDNDFMEPACQVATVATGGQEERQPPPVVKAQNKSQLPMRQKRKKVFTRVRVQDQEEDNDFMEPACQVKSKVAEKATQDHTKHKLSEQEPEDEEPEDEVEEEDEDEYEDDVEDEDEEVEEDDDNDFMEPALKVKRKVPQNRKTEAMQGSKKKVASKQKLTKDVPVRKRDHFSVNTRCQPNAILEMVGSLNKPQRDRLNALGFDWVFKFRMNGLRSRELIEYLIDCLDPDSMCLDLGGRGKLPVTPDVVHCVLGLQNGHLDPPVVSDTAPLDPIREELGLGKKEKISSSSILDRIKMGGTDDFTMQCILMILFSKLLAPDSSTDITGNIVNMVSKNLEQYKDMALYKFVVDHLRWSAEKWKSGKRSTVYGCTALLVVYYLDNLLCKAMISNTNTPRSQFFNSSLIDKIENLTKSTKKDGSTSFGKLNLRCRESTCYFVSKEKVKRKVGAASGSTRKRKHIDELAAQEATVARSKEAPRFGGDFPSLRSKLGPLVESLGSTRKQIGLDALEQYDKEVEQIMGNLHKAQDRLVDVLTSLCSTSDPKIAYTRKSKKRRDGLPPLDSNAVTDKTSGSGNENTTQASIGTPPTQANDVLGDEQSRGNVESSPPMHVEDPKDAEAGQPCEPATDAHNDNDAELSNLVDKICTNVEGLVDKICTHVESTPMPAIAPSLAANLSPAIMPIEMEKRRPLANPKYISPFNAYLID >ORUFI11G10000.4 pep chromosome:OR_W1943:11:8754979:8760113:1 gene:ORUFI11G10000 transcript:ORUFI11G10000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYSGSGNENTTQASIGTPPTQANDVLGDEQSRGNVESSPPMHVEDPKDAEAGQPCEPATDAHNDNDAELSNLVDKICTNVEGLVDKICTHVESTPMPAIAPSLAANLSPAIMPIEMEKRRPLANPKYISPFNAYLID >ORUFI11G10010.1 pep chromosome:OR_W1943:11:8769194:8769373:-1 gene:ORUFI11G10010 transcript:ORUFI11G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRWIRHGMAMSVVATGNCGRSVGGQEARRLHLYCRAVAGATSADPAQHGCGPGDDG >ORUFI11G10020.1 pep chromosome:OR_W1943:11:8769407:8791014:-1 gene:ORUFI11G10020 transcript:ORUFI11G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHIQVVGTKRNWRNKREGRIYGPANPGSHSGWSVPRGFCLMELGSWASKVAAKWRRLTLMRAVVVVLDQMSTLGAPGDNRGDLVGRSNGGMVVVGRDAVGSITTGGRHDQKQPQHHPFSHRLP >ORUFI11G10030.1 pep chromosome:OR_W1943:11:8787314:8791664:1 gene:ORUFI11G10030 transcript:ORUFI11G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTMVSVATGVLCPVIGKLSTLLQMKYNRLKGVDSEILSLKDELSSMNALLLKLEDIDDLDIQVKEWRDQIRELSYDIEDRIDYFMFQVDGDAHRRESMKGFLQKSIRQLRMLRARDKMADQIIKLKARVDMASERRKRYNFDETLSSSIGVVPIDPRLPALYVEEENLVGIDTPRVELIRFLTEGDDKLLQKLQVVSIVGIGGLGKTTLARQVYGKISGQFDCQAFVSVSQKPDIRKIFKNILINITELDYGAIDAWDEERLINKLREFLNDKRYFVVIDDIWSTTVWTTIRCAFCENYRGSKILCTTRIISVAETCCSADCKNIYEMKPLSDVYAEKLFIKRIFGSEDQCPAYLKDIYIDILRRCGGLPLAIISLASLLATKPRTKEQWGRYRNSVCSATENVPSVSNMQRILSLSYNDLPHYLKTCLLYLSTFPEDVLILRDPLVRRWIAEGFVTAQGEGGRTLEEVGECYFNELINRSMIQPEEIQYDGQAHACRMHDMILDLIISKSVVENFITSFSHNYLLGCQDKVIRRLSLDCRERDAILPATMVLSSARSLVVYGSTEHIPLISAFHVLRTIAIESNDKLKNCYLRDIGRLFQLKCLRLREVGISELPEEIGELQELQTLELQRTRIKELPKSIVRLKNLVFLVADGITLPEGIGNMRALQKLIGVKVDISIPVDCLRELGGLNDLRCLYIIWCVSDAYPDKKTYTDSFVSCIDELCTFKLRYLQLGCDDSSLDFMLDSWSHPPYPLYNFQMITYYCFPIIPEWMATLFNVAFLDINVTSVGKDVLRILGDLPSLLSLSITTKTIVSERLVFGSNGFQCLKEFDFHSWQDVLGPLLFEVGAMPKLEKFRFNVWARTLGSLDSNFYEGLQNMACLKNLVIEVDCREARAEQVEATEVAAKNAIANNHLPDHLNVQMRRTNVDRMIKDTVMGNSVVEQQEETAVKIHYN >ORUFI11G10040.1 pep chromosome:OR_W1943:11:8797353:8798259:-1 gene:ORUFI11G10040 transcript:ORUFI11G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKVQMPTEAAAPSLSPAPVEGKPREAAMAGKKVQMPTEAAAPFLSPAPVEGKPREAAVAAVGEKRKATEEPAPAESDLLSDASESAAAAATIADDGGKPASEAAVAEDELPYDEWKIQRRALLDRLWEETMSKVKLPDDPNLYYDYSDDPDGLLLDYDSETDIEMD >ORUFI11G10050.1 pep chromosome:OR_W1943:11:8800894:8806428:-1 gene:ORUFI11G10050 transcript:ORUFI11G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKQYGGSNMRFFNIEKPPTPPPPPLRPLLFPVRLRPQPPTPRTGAALTTATTTAGGARRGCARRRRQLATVTTVSTTEAATTRQISRNYLYWGAAPSHPILSPLPDFSVGEEDGQWEDGSAPAVARGARPRGLGRWSATVGLDLVTTDAAGPWAVVLGFQWISVDFRRWCHHRLSSRLPPMANLILVIVVGADRLSTADTLKLGAVIGVVINHRRLSSRLPPMANLSLVIVLAPVVSLPMTSSALSSLPVPIAHSSSTPLLFPVRLRPQPPTPRTGAALTTATTTAGGARRGCARRRRQLATVTTVSTTEAATTATMKEAATTATTKEAVTTATTTILCVLSFTDSLSYLGFHVRSVDKRQISRNYLYWGAAPSHPILSPLPDLSEDGQWEDGSAPAVARVARPRGLGRWSATVGLDLVTTDAAGPWAVVLRFQWISVDLRLV >ORUFI11G10060.1 pep chromosome:OR_W1943:11:8801645:8802121:1 gene:ORUFI11G10060 transcript:ORUFI11G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAVVTASFVVAVVAASFMVAVVAASVVLTVVTVASCRRRRAHPRRAPPAVVVAVVSAAPVLGVGGWGRSRTGKRRGLSGGGGGEGGGTVFFAGGTV >ORUFI11G10070.1 pep chromosome:OR_W1943:11:8816450:8831282:-1 gene:ORUFI11G10070 transcript:ORUFI11G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTFHLIEEITNKFSDKQKIGEGGYGKVYKDDDDVGVSSCKRQRCRCFPRTRASQTMSSQAMLWKTVASDPSTAFLHNSLDVNNVLWWLSVALMGLVSAIYLAECLPAAVHAAVYAVLADRPRPQPVPAPPCGVHNGKEIAVKKLNLLPGLNDTVFKNESLNLMSVQHQNIVKLIGYCYETKNKVVEYNGKLTYAEISERVICFEYLKRGNLANFISDESGGGLDWTTRYRIIKGACEGLNYLHNGQEKPIYHLDLKPENILLDENMAPKIADFGLSRLFESTKTHITKTVNGTRGYMPPEFIDQGKITKKFDIIAGIDGYRQCCDMSSTQEFVDYVYAKWNKRVQGISRYASREADMLAVRQCIELSLRCVEANRDKRPAINDIVHQLNKLDAEIENMFMRQPKSLLGQKSSESKYVGLDPVRELRFPFEVKKDISCCLQLTNQTDYFIAFNTKANQKKYSTQPNKGTIAPWSSCYVTVTMKAQETAPPYLQCHDMFVVQSTRVNEDLEPETEDITEELFKKTMGKVVDEEKLPIVYVALPQAES >ORUFI11G10070.2 pep chromosome:OR_W1943:11:8816450:8831282:-1 gene:ORUFI11G10070 transcript:ORUFI11G10070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTFHLIEEITNKFSDKQKIGEGGYGKVYKGVHNGKEIAVKKLNLLPGLNDTVFKNESLNLMSVQHQNIVKLIGYCYETKNKVVEYNGKLTYAEISERVICFEYLKRGNLANFISDESGGGLDWTTRYRIIKGACEGLNYLHNGQEKPIYHLDLKPENILLDENMAPKIADFGLSRLFESTKTHITKTVNGTRGYMPPEFIDQGKITKKFDIIAGIDGYRQCCDMSSTQEFVDYVYAKWNKRVQGISRYASREADMLAVRQCIELSLRCVEANRDKRPAINDIVHQLNKLDAEIENMFMRQPKSLLGQKSSESKYVGLDPVRELRFPFEVKKDISCCLQLTNQTDYFIAFNTKANQKKYSTQPNKGTIAPWSSCYVTVTMKAQETAPPYLQCHDMFVVQSTRVNEDLEPETEDITEELFKKTMGKVVDEEKLPIVYVALPQAES >ORUFI11G10080.1 pep chromosome:OR_W1943:11:8866384:8869129:-1 gene:ORUFI11G10080 transcript:ORUFI11G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHTPTNYSTHATEYENLVEQPTDTRHFDHKFGASQCTPTKFNGAPAGDEFWEASHQLAHFTGPEVVYDVSDSHMMYAVAGDEFWEASHQLAHFTGPEVVYDVSDSHMIPIPVHLFKHYVLYVFNMESKKVSVLDSLNTQDPLGESRFTRHDKIKIMDPYYLRRQFLIHLLALRDNEAILPEYVVHRLRHIKYN >ORUFI11G10090.1 pep chromosome:OR_W1943:11:8869660:8876915:-1 gene:ORUFI11G10090 transcript:ORUFI11G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFCKVRKRDDGIYVLTLASSDGHHYLTTEAITQLKQALERIRSTKARGLVTTTTSGSFCDGINAVSDDDDDEPLSSLERGMAEVVRLLLDLPMPTAAAVRGDARWLGFVLALAHDHLFVHTEAVLGLAAAADTTAKPRRRPLPDYVVALLREKIPYAQLRKLLLLKAHVFTGEELKGNWHSVHEAIPNRDHNLQSVVVGDGMDYAKVRRTMYTNSCVAVAVTTTTTTMLPFDGSSSVANGVTISSEMTPSGESFNSSYNSSTTPVDISLAAIEACTDGFSESKKVGSGAYGKVYKGVYNEEELAFKKIDGLAVLNEDQFKNELKHLMSVQHRNIVRFVGYCSQIKEKFIWRGKEYVSVQYITRILCFEYLPGGSLDKHLDKESESDGFDWRTRYNIIKGISQGLNYLHELEKPIFHLDLKPANVLLDENMEPKIADFGISKHFTGTKTHITISKPTGTPFGVMVMEIIAGPTGYDNFSEANDQDSFKYIDRVYKQWEKRITAKSHDPSAEINQVKRCINIAVKCLNQNRDDRPEIKAIAFKVLGTRGSLQKTAARVVSRYRQSRVLNRQKKDNTIFGINCRCQPKCIAKYLSKLVIQKVGITSVKSCHISQFEDNQIAMMIQQDIFVIDEVKKAAESLPKRFPNTTQQSINGCIIFLMAKYFSKLVIRKVGITSVKSCHISQFEDNQIAMMIQQDIVSKHNPGYTFPRYGKLQQRICSSVDEGSKRKLPSSARGVSPQLILRFEDTMQRQ >ORUFI11G10100.1 pep chromosome:OR_W1943:11:8877217:8893814:-1 gene:ORUFI11G10100 transcript:ORUFI11G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKQRGRQRRRRRRRRRRAGVGQAPLLPARAAAEEEEEEEEESGRRPGTAPPGACRRATGEKVARRRAANGQAVAASGRAPPPQLGVSPAENDHAMVTSGKAGIRRPVDRLNLHAMPLSLLRTNGDTIHLKFWLGNYRRWPGRGATMGWLLQLRGAAARVKKAPGLVDWFLDLCLCLRWQQQLWEEVRCILGSGEARSRSNDEMYKKIFFYQ >ORUFI11G10110.1 pep chromosome:OR_W1943:11:8921767:8933305:1 gene:ORUFI11G10110 transcript:ORUFI11G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLLLCWSILCLAVAGGGGGGAATSADPLRLPCLDNPPELTADGDSEAGVVIDDLAGFPAYVTGDVHSGRAIIVASDIYGFEAPLLRDNADKVGEAGYYVVVPDFFHGQPYNGDPSINVTKWITLHSPVKAAEDAKSIFAALKREGKSVIGIGGYCWGVSAQVQLTAKFAVEVAKTNEVEAIVISHPSEVIADDMKGVKCPIEILGGQNDPITPPSLVDHMQINHMATASLSLLLCLAAAAGAAAAAAPPRLQCFEHPPDMKAGGGEAGVVVHDLAGYEAYVTGAAHSGRAIVLASDVYADKVGDAGYYVVVPDLFHGDPATTTVNFTEWLESHSPVKEAEKAKSIFAFLRNEGKSLVGVGGYCWGGKFAVEVAKTNEVEAVVISHPYAVTADDMKEVKWPIEILGGQNDTVTPPRLVYQYVHALRQRNDIDFYAKIFPGVSHGFAGRYNTSDPFALETGKQALALMLDWFQKHLK >ORUFI11G10110.2 pep chromosome:OR_W1943:11:8921767:8933305:1 gene:ORUFI11G10110 transcript:ORUFI11G10110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLLLCWSILCLAVAGGGGGGAATSADPLRLPCLDNPPELTADGDSEAGVVIDDLAGFPAYVTGDVHSGRAIIVASDIYGFEAPLLRDNADKVGEAGYYVVVPDFFHGQPYNGDPSINVTKWITLHSPVKAAEDAKSIFAALKREGKSVIGIGGYCWGAKFAVEVAKTNEVEAIVISHPSEVIADDMKGVKCPIEILGGQNDPITPPSLVDHMQINHMATASLSLLLCLAAAAGAAAAAAPPRLQCFEHPPDMKAGGGEAGVVVHDLAGYEAYVTGAAHSGRAIVLASDVYADKVGDAGYYVVVPDLFHGDPATTTVNFTEWLESHSPVKEAEKAKSIFAFLRNEGKSLVGVGGYCWGGKFAVEVAKTNEVEAVVISHPYAVTADDMKEVKWPIEILGGQNDTVTPPRLVYQYVHALRQRNDIDFYAKIFPGVSHGFAGRYNTSDPFALETGKQALALMLDWFQKHLK >ORUFI11G10110.3 pep chromosome:OR_W1943:11:8921767:8932626:1 gene:ORUFI11G10110 transcript:ORUFI11G10110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLLLCWSILCLAVAGGGGGGAATSADPLRLPCLDNPPELTADGDSEAGVVIDDLAGFPAYVTGDVHSGRAIIVASDIYGFEAPLLRDNADKVGEAGYYVVVPDFFHGQPYNGDPSINVTKWITLHSPVKAAEDAKSIFAALKREGKSVIGIGGYCWGVSAQVQLTAKFAVEVAKTNEVEAIVISHPSEVIADDMKGVKCPIEILGGQNDPITPPSLVDHMQINHMATASLSLLLCLAAAAGAAAAAAPPRLQCFEHPPDMKAGGGEAGVVVHDLAGYEAYVTGAAHSGRAIVLASDVYADKVGDAGYYVVVPDLFHGDPATTTVNFTEWLESHSPVKEAEKAKSIFAFLRNEGKSLVGVGGYCWGGKFAVEVAKTNEVEAVVISHPYAVTADDMKEVKWPIEILGGQNDTVTPPRLVYQYVHALRQRNDVSSCLISSSMFLSRYLFFSDKIFIFH >ORUFI11G10110.4 pep chromosome:OR_W1943:11:8921767:8933305:1 gene:ORUFI11G10110 transcript:ORUFI11G10110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLLLCWSILCLAVAGGGGGGAATSADPLRLPCLDNPPELTADGDSEAGVVIDDLAGFPAYVTGDVHSGRAIIVASDIYAEDAKSIFAALKREGKSVIGIGGYCWGAKFAVEVAKTNEVEAIVISHPSEVIADDMKGVKCPIEILGGQNDPITPPSLVDHMQINHMATASLSLLLCLAAAAGAAAAAAPPRLQCFEHPPDMKAGGGEAGVVVHDLAGYEAYVTGAAHSGRAIVLASDVYADKVGDAGYYVVVPDLFHGDPATTTVNFTEWLESHSPVKEAEKAKSIFAFLRNEGKSLVGVGGYCWGGKFAVEVAKTNEVEAVVISHPYAVTADDMKEVKWPIEILGGQNDTVTPPRLVYQYVHALRQRNDIDFYAKIFPGVSHGFAGRYNTSDPFALETGKQALALMLDWFQKHLK >ORUFI11G10120.1 pep chromosome:OR_W1943:11:8922081:8926638:-1 gene:ORUFI11G10120 transcript:ORUFI11G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSPLPCGDDTSELSAESKCAMDSAAAGTIITICSAATAHGGIIVIVAFAATAGSNAPAPVPMKHNSNSPQLFDATHSQTAGMSYYLKLKEALKTGTKLRSKMTDNDDEERRRRLKKLTNTVPQQWCFES >ORUFI11G10130.1 pep chromosome:OR_W1943:11:8926725:8929802:-1 gene:ORUFI11G10130 transcript:ORUFI11G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLHGGWPSRGTLPLSFVLSAIASSCSQAPSSSRLCSYCSGPRKWRQRGERGRDSGVEGVEREEEEKNREDGMLEKLIGLTNIGLYEPRLVAIRLYHRGRDEHHAMEQHKWRFLQRAAPTVPLSDFVDVVRAVEQRARCCYSESTAILNDDGDGFAEMLLLDGCFILEFSAKLSRTN >ORUFI11G10140.1 pep chromosome:OR_W1943:11:8933804:8934805:1 gene:ORUFI11G10140 transcript:ORUFI11G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKPCQRPSYRHVPPPLSPLAPIPSSLRSPAHPQIAPPPLPSLLSLSHTRKAANHAAGDPSPRPQFAAAQLLSRRPVSENSGAAEPEFESPSNPPAVLPPLHVARSSSPKILWLLRRLATPTAPRPPQITQPSLSSHIAAPYAAQDLPRRRHAQPPELLPPK >ORUFI11G10150.1 pep chromosome:OR_W1943:11:8938780:8945363:1 gene:ORUFI11G10150 transcript:ORUFI11G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKLYPPRGPARRNQVPSPEVTTAPPGDPSSWFASKINPKVRVQIIFQTCLGLYWDSMAHVKTRFIKEDMFMLIMELHRKGETSTDQSILAAAMSSCADRQMFTQGTQLHVSWTAMISGFALHNRVEPCLHLFASMMLSSCKPNDITFATLFSVCTKHALLALGRSVHALQMRMGFHSYVHVSNALLSMYAKCGCIDEAQFIFGCIACKDLVSWNAIIFGCSQYGLAKHCLDLLKEMERQHIVPDALSFLENRSKSHQVNNVLAVLDCLQAHMECKKIADKVGEAGYYVVVPDFFQRRPYNGDPSINITKWIMAHSP >ORUFI11G10160.1 pep chromosome:OR_W1943:11:8950720:8959233:-1 gene:ORUFI11G10160 transcript:ORUFI11G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCTYKEKDDIFKLTLTGGNSGHNYLTEKSLKELKETLATIRGKATPSSRGLVTICSGKSSFCDGIDYTSSPPPAVEELIRGMAEVVRELLVMPFPTVAAVGGDVRSSLALALVLAHDDVAVLKNVKIEAREIEAREVVEGRHDAVPPYLGALLRDKSSYPQMSSDLVLRSETMAGERLKYWYLIERVCDDQWELKGHAINMIKEVFGDERDGEAYVTTRKSLVFSESWKAVSEIINMSSFCTWTEQDGVNRLTLAGDDDHHYLTGETVDELTRTLAAIRERAMDQKPPAGAAARALITASSAGSFCDGVDYERASPREKQAAADGMVAVIRELLAMPMLTVCAATGGARSLGLVLALAHDDVVVVSGGRYHLGMVERGVAVPPHVGALLREKTDRWYTLGARVMAPRHDQGSYLKQWKVVDGVAGDRDGVLAEAERVAGAWNGDDGEAHAGMRRLLCRDSWEAVSGAQ >ORUFI11G10170.1 pep chromosome:OR_W1943:11:8970681:8995557:1 gene:ORUFI11G10170 transcript:ORUFI11G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRSCEAGILSKEKDRETVVMSMRVAKGRGVWGKAGKLASRHMAKPRVLAVTTKKKGQRTKAFVRVLKYSNGGVLEPAKVYKMKHLSKVEVVPNDPSGCTFLLGFDNLRSQSVSPPQWTMRNKDDRNRFLMCILNMCKEIYGAIPKVVGMDVVEMAMWAKVRKGSVAPNSNFIWLARCSRVSRHSSQIPPTQQQAMAASAHLPGRDKRLGGGAVDNTTVKVTQVSTKDGPIESLVGEADSQVAIQKDLVLQTEDEDTEALLDTYIMAIGEAEAFSERMKRELVALESANVYALMETETVIEEVLEGLEIASICVEDFDEWLGIFNVKLRHMREDIQSIEWRNNKLELQSDSNVALIDELDKMLVLLQIPPEYEASLTGGSFDEGNMVKNIEACEWLTSAIKNLEASNLDPIYVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDFMLNDKGNFSQRGQLQRPDHADMRYKCRTYARLLQFIKNLDKSCLMPLRKSYCHSLNLLIRREAREFSSELRAGSKASKSSTPLFEGPASANQSISITDTTADAYCKMITSSFFAHFMCFDVAALAPSDGSDNNNPVAVSEPPGSSAKPINSSAELGVLNQFLQELLDGIQEDFYAIVDWAFKLDPLSCISMHGITDRYLSGQKAEFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVTLEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLSGLDKTINAMYRKLQKNITAEELLPSLWDKCKKEFLDKYATFLKLISKIYPSETVISVNEMKDTLASL >ORUFI11G10170.2 pep chromosome:OR_W1943:11:8970681:8995596:1 gene:ORUFI11G10170 transcript:ORUFI11G10170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRSCEAGILSKEKDRETVVMSMRVAKGRGVWGKAGKLASRHMAKPRVLAVTTKKKGQRTKAFVRVLKYSNGGVLEPAKVYKMKHLSKVEVVPNDPSGCTFLLGFDNLRSQSVSPPQWTMRNKDDRNRFLMCILNMCKEIYGAIPKVVGMDVVEMAMWAKDNTTVKVTQVSTKDGPIESLVGEADSQVAIQKDLVLQTEDEDTEALLDTYIMAIGEAEAFSERMKRELVALESANVYALMETETVIEEVLEGLEIASICVEDFDEWLGIFNVKLRHMREDIQSIEWRNNKLELQSDSNVALIDELDKMLVLLQIPPEYEASLTGGSFDEGNMVKNIEACEWLTSAIKNLEASNLDPIYVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDFMLNDKGNFSQRGQLQRPDHADMRYKCRTYARLLQFIKNLDKSCLMPLRKSYCHSLNLLIRREAREFSSELRAGSKASKSSTPLFEGPASANQSISITDTTADAYCKMITSSFFAHFMCFDVAALAPSDESDNNNPVAVSEPPGSSAKPINSSAELGVLNQFLQELLDGIQEDFYAIVDWAFKLDPLSCISMHGITDRYLSGQKAEFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVTLEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLSGLDKTINAMYRKLQKNITAEELLPSLWDKCKKEFLDKYATFLKLISKIYPSETVISVNEMKDTLASL >ORUFI11G10170.3 pep chromosome:OR_W1943:11:8970681:8995557:1 gene:ORUFI11G10170 transcript:ORUFI11G10170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRSCEAGILSKEKDRETVVMSMRVAKGRGVWGKAGKLASRHMAKPRVLAVTTKKKGQRTKAFVRVLKYSNGGVLEPAKVYKMKHLSKVEVVPNDPSGCTFLLGFDNLRSQSVSPPQWTMRNKDDRNRFLMCILNMCKEIYGAIPKVVGMDVVEMAMWAKVRKGSVAPNSNFIWLARCSRVSRHSSQIPPTQQQAMAASAHLPGRDKRLGGGAVDNTTVKVTQVSTKDGPIESLVGEADSQVAIQKDLVLQTEDEDTEALLDTYIMAIGEAEAFSERMKRELVALESANVYALMETETVIEEVLEGLEIASICVEDFDEWLGIFNVKLRHMREDIQSIEWRNNKLELQSDSNVALIDELDKMLVLLQIPPEYEASLTGGSFDEGNMVKNIEACEWLTSAIKNLEASNLDPIYVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDFMLNDKGNFSQRGQLQRPDHADMRYKCRTYARLLQFIKNLDKSCLMPLRKSYCHSLNLLIRREAREFSSELRAGSKASKSSTPLFEGPASANQSISITDTTADAYCKMITSSFFAHFMCFDVAALAPSDGSDNNNPVAVSEPPGSSAKPINSSAELGVLNQFLQELLDGIQEDFYAIVDWAFKLDPLSCISMHGITDRYLSGQKAEFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVTLEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLSGLDKTINAMYRKLQKNITAEELLPSLWDKCKKEFLDKYATFLKLISKIYPSETVISVNEMKDTLASL >ORUFI11G10170.4 pep chromosome:OR_W1943:11:8970681:8995557:1 gene:ORUFI11G10170 transcript:ORUFI11G10170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRSCEAGILSKEKDRETVVMSMRVAKGRGVWGKAGKLASRHMAKPRVLAVTTKKKGQRTKAFVRVLKYSNGGVLEPAKVYKMKHLSKVEVVPNDPSGCTFLLGFDNLRSQSVSPPQWTMRNKDDRNRFLMCILNMCKEIYGAIPKVVGMDVVEMAMWAKDNTTVKVTQVSTKDGPIESLVGEADSQVAIQKDLVLQTEDEDTEALLDTYIMAIGEAEAFSERMKRELVALESANVYALMETETVIEEVLEGLEIASICVEDFDEWLGIFNVKLRHMREDIQSIEWRNNKLELQSDSNVALIDELDKMLVLLQIPPEYEASLTGGSFDEGNMVKNIEACEWLTSAIKNLEASNLDPIYVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDFMLNDKGNFSQRGQLQRPDHADMRYKCRTYARLLQFIKNLDKSCLMPLRKSYCHSLNLLIRREAREFSSELRAGSKASKSSTPLFEGPASANQSISITDTTADAYCKMITSSFFAHFMCFDVAALAPSDESDNNNPVAVSEPPGSSAKPINSSAELGVLNQFLQELLDGIQEDFYAIVDWAFKLDPLSCISMHGITDRYLSGQKAEFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVTLEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLSGLDKTINAMYRKLQKNITAEELLPSLWDKCKKEFLDKYATFLKLISKIYPSETVISVNEMKDTLASL >ORUFI11G10170.5 pep chromosome:OR_W1943:11:8970681:8991677:1 gene:ORUFI11G10170 transcript:ORUFI11G10170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRSCEAGILSKEKDRETVVMSMRVAKGRGVWGKAGKLASRHMAKPRVLAVTTKKKGQRTKAFVRVLKYSNGGVLEPAKVYKMKHLSKVEVVPNDPSGCTFLLGFDNLRSQSVSPPQWTMRNKDDRNRFLMCILNMCKEIYGAIPKVVGMDVVEMAMWAKVRKGSVAPNSNFIWLARCSRVSRHSSQIPPTQQQAMAASAHLPGRDKRLGGGAVDNTTVKVTQVSTKDGPIESLVGEADSQVAIQKDLVLQTEDEDTEALLDTYIMAIGEAEAFSERMKRELVALESANVYALMETETVIEEVLEGLEIASICVEDFDEWLGIFNVKLRHMREDIQSIEWRNNKLELQSDSNVALIDELDKMLVLLQIPPEYEASLTGGSFDEGNMVKNIEACEWLTSAIKNLEASNLDPIYVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDFMLNDKGNFSQRGQLQRPDHADMRYKCRTYARLLQFIKNLDKSCLMPLRKSYCHSLNLLIRREAREFSSELRAGSKASKSSTPLFEGPASANQSISITDTTADAYCKMITSSFFAHFMCFDVAALAPSDGSDNNNPVAVSEPPGSSAKPINSSAELGVLNQFLQELLDGIQEDFYAIVDWAFKLDPLSCISMHGITDRYLSGQKAEFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVTLEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLSGLDKTINAMYRKLQKNITAEELLPSLWDKCKKEFLDKYATFLKLISKIYPSETGAWRRAEEKRQQSMLYILVERKRARRRS >ORUFI11G10170.6 pep chromosome:OR_W1943:11:8970681:8991677:1 gene:ORUFI11G10170 transcript:ORUFI11G10170.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRSCEAGILSKEKDRETVVMSMRVAKGRGVWGKAGKLASRHMAKPRVLAVTTKKKGQRTKAFVRVLKYSNGGVLEPAKVYKMKHLSKVEVVPNDPSGCTFLLGFDNLRSQSVSPPQWTMRNKDDRNRFLMCILNMCKEIYGAIPKVVGMDVVEMAMWAKDNTTVKVTQVSTKDGPIESLVGEADSQVAIQKDLVLQTEDEDTEALLDTYIMAIGEAEAFSERMKRELVALESANVYALMETETVIEEVLEGLEIASICVEDFDEWLGIFNVKLRHMREDIQSIEWRNNKLELQSDSNVALIDELDKMLVLLQIPPEYEASLTGGSFDEGNMVKNIEACEWLTSAIKNLEASNLDPIYVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDFMLNDKGNFSQRGQLQRPDHADMRYKCRTYARLLQFIKNLDKSCLMPLRKSYCHSLNLLIRREAREFSSELRAGSKASKSSTPLFEGPASANQSISITDTTADAYCKMITSSFFAHFMCFDVAALAPSDESDNNNPVAVSEPPGSSAKPINSSAELGVLNQFLQELLDGIQEDFYAIVDWAFKLDPLSCISMHGITDRYLSGQKAEFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVTLEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLSGLDKTINAMYRKLQKNITAEELLPSLWDKCKKEFLDKYATFLKLISKIYPSETGAWRRAEEKRQQSMLYILVERKRARRRS >ORUFI11G10180.1 pep chromosome:OR_W1943:11:9000020:9005111:-1 gene:ORUFI11G10180 transcript:ORUFI11G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHCPHAWHQQQHRGRMWAASPAFRRRLFLLRSLSPSPCAALPGNAACSSSPSPSTSIRVNAMSASGPVYEADAEAVVRRITPPLDRARHKGQAGKIAVIGGCREYTGAPYFAAISALKVGADLSHVFCTRDAATVIKSYSPELIVHPILEESYSVSDGERESVSSRILTEVAKWMERFDCIVVGPGLGRDSFLLDCVSNIMRHARQANIPTVVDGDGLFLITNNLSLVEGNLLAILTPNVYEYKRLVQKVLNCEVNEENASEQLTALCQKIGGITIMRKGKADIISDGKTVTQVSTFGSPRRCGGQGDILSGSVAVFASWARHFLLTNEYPTEKSVNPMMLGCIAGSLLLRKAASHAFEKNKRSTVTTDIIELLGKSLEDICPAGLLSSTSKIILNEMPGEAIKQERASEG >ORUFI11G10190.1 pep chromosome:OR_W1943:11:9017854:9020019:1 gene:ORUFI11G10190 transcript:ORUFI11G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISVKHTTGAKRQVVAQMTSLGVIHLVTFSLTLGGYLTDTLSIPMPPELSPPRCGQGKHC >ORUFI11G10200.1 pep chromosome:OR_W1943:11:9032671:9032892:1 gene:ORUFI11G10200 transcript:ORUFI11G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAALDLAARDKELTDSLVALMPLAGRRPSPSSGKASIRDEEEGRRIGERLSGGRWRRTGGWGSGGGGSVGR >ORUFI11G10210.1 pep chromosome:OR_W1943:11:9047206:9062206:-1 gene:ORUFI11G10210 transcript:ORUFI11G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMGCAVLPRRSGDDNNDSKPSGGGSGEPGPRRDGSAYVGLWCSRVSTTMVAAARTDLGAVELHPYWALVVIASAIHVQYELDTLKNSLFPAKWFSIKVNAISGDDNNDSKPLGGGSSEPGPRRDGSAYVDLWCSRVSTTMVAAARTDLVTMAILYANIYTEQSRLVETSVVIKASKPPVSTILCYNFSIIYSHFIPSKYHCCSSSFLPPAQTTRH >ORUFI11G10220.1 pep chromosome:OR_W1943:11:9080254:9081101:-1 gene:ORUFI11G10220 transcript:ORUFI11G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIYVLCLSGVGKHRESLMHDEGLAVHLSAKKSALERLDGYRLYLCKSEEDIRHKEDERRVVAETLKKANAENRSLRSDLEAARKRDTE >ORUFI11G10230.1 pep chromosome:OR_W1943:11:9097921:9111822:-1 gene:ORUFI11G10230 transcript:ORUFI11G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCSGGDLEAGRSSIQAEWRQRFCDVDPSSGPNEATKDITVHKRASYSFLLSSLSLTDGSGGLGTGGGGLDRRPPTPRAASSLIRMGSAVCAGAGVLDDFLRRQSTLFAVAATSPTSMTSSPSSTPEPAAPGRIWKPAPQRRAPFFALGLHRLPFRRIPSVAAWIRMARVCSGGSNDG >ORUFI11G10240.1 pep chromosome:OR_W1943:11:9138371:9139717:-1 gene:ORUFI11G10240 transcript:ORUFI11G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMAMGTAEAVVIPVEEELKRAAEKVEANFTENKTKIHRFPANLRGVTKEGRYLVPSAVAIGPYHHDLPHLQEAEEVKRAAAYYFWRDWGDSAQGADPPQSAEAAYEKILSVAGNVRSCYVGDTAAGMSCEADFAAMMFRDGCFLLQFMIFIRSSPGGLVAPSLQGWFNSKLASILRDIFLMENQIPWLVLQTLMSFKPALDVEDFIARAGLGFEARLDLVKRPLVLNGSYTPAHLLGLLRYYQCGSIPIGRTDLHLPEGVTSLPQSSSAIELAEIGIQLVANDTSQLKDMGIYEGAPRLFGGIFLAPLVIDDLKACWLVNMVALEASITTGLGDEDIVSSYVLLLAMLMNREEDVHELRAKGLVRGGFSDLETLEFFKNLVKQLFVGLDYFRILAELEAYRRKRRLLIPVHKFVYNNFKAIVTVFSVIGVLVGIFKALISIKQHQQ >ORUFI11G10250.1 pep chromosome:OR_W1943:11:9155439:9156406:-1 gene:ORUFI11G10250 transcript:ORUFI11G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVLSGIPFSRHAKVMLGVLFPSASEDQPQLLAQTHHVLKYLPTLRQVRSYLRNPCLIIASLIKKMIILEKEK >ORUFI11G10260.1 pep chromosome:OR_W1943:11:9216990:9217193:1 gene:ORUFI11G10260 transcript:ORUFI11G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKSSWPEVVGLPAEAAKHIILNDRPDVHVVVLRVGSVVTTEVDPKRVRVFVNNSATVAQVPKIG >ORUFI11G10270.1 pep chromosome:OR_W1943:11:9233889:9234197:1 gene:ORUFI11G10270 transcript:ORUFI11G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSDGTDGGDRRQLLGWRALATRRRRRLQPAASLPLPSRQLPNLAEGRGVSGGTGGGVSGGTLSCPSALLSCAAGHNDDDYRATTTALPRDDDDDYGRPRR >ORUFI11G10280.1 pep chromosome:OR_W1943:11:9258320:9262210:1 gene:ORUFI11G10280 transcript:ORUFI11G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVHLPVGSWRQPNEGDAKRAKWIGAPSPTGMDGLGIILDMQAGPSNGSLPSSSISCGLFLFEAESTPSKPRTTWDCVENNLVPLDLQL >ORUFI11G10290.1 pep chromosome:OR_W1943:11:9305638:9306729:1 gene:ORUFI11G10290 transcript:ORUFI11G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTRSTAASTVGHLSSLCLRLHCQPSELTLSPPLACRARSASASTVGHSSFQSSGATVVGGGNNERELGDGNSTGEIGDGDGDGAVEIGVRTANSQRTPVDLASEREGGRGIKNIVPPLQAPSSPSTPSLTGGGDKEGPRTATVGDKASGLKQRGARMAGVIRMTVIVQGIF >ORUFI11G10300.1 pep chromosome:OR_W1943:11:9321822:9323686:1 gene:ORUFI11G10300 transcript:ORUFI11G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAKPQSAAAAATSRIPHMPHGPHTPQAPHPSTPSHTNASAYKPAPLSLPPPHPESATRRQQSNYRGESPTTTRRRRDDVELRLRPRRRAGHRRRRVGRRRLPGDFFLSAAAGDASRRRASASAQARPAAKNEEEEEVAGTEDVWRGAQWEAAWPRRAAARPVVVADDAAGAAAAREDAPGVGGEGGGLGVGRARSLTDDDLEELKGCVDLGFGFSYDEIPELCGTLPALELCYSMSQRFLDEHYHSPVAEPAPVAPSSPAQPIANWKISSPGDSPDEVKARLKYWAQAVACTVRLCS >ORUFI11G10310.1 pep chromosome:OR_W1943:11:9349441:9355975:1 gene:ORUFI11G10310 transcript:ORUFI11G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGLVDWRGRPVDTWKHGGVRASIYIHMLVWLSNVSNIGNMTNIVSYLSVKMNMGVAAASTTSASFVAMMQVFTIPAAFLADSYLKRVYTVLFFAPIEILGYILLAIQAHVPSLHPAPCELAGAAAGAGAGATAATTEAAPGTCETVHGSNLSLLMLGLYLICVGEGAVRACLPALGGDQFDEGDAAEQRQAASFFNWYAFAVSLGALVGLVAVVWVQDNKGWDAGFAVCGAVVLLGLLVWAAGMPTYRNKVPAGSPITRILQVLVVAFKKRNLQLPENPDELYQPTNDDSAKGLEILQRTRGLKCLDKAAIVRGGGSNGGAWSVCSVSQVEETKIVLRMVPIFLTAALGYMPVSVVLTFTVQQGNIMDTRMGAIRVSPATLFVIPTVFQLAILVVYDRAVVPALRRATGRVGGVTHLQRIGVGFVSSLASCAVAAAVEVKRRRLVASSSSSAMMSVFWLTPQFFLLGVVDVTSFVGLLEFFSSEASDGMKSIGSSIFYCMLGMAAWLNTMLIELVNRVTRRRGGGGWLDGANLNESRLDLFYWLVSGIELVAFMAYLLFAWRYVYRNDQRIAAAAADAVDEQEDKKAASNGSLVQINLI >ORUFI11G10320.1 pep chromosome:OR_W1943:11:9356381:9357351:-1 gene:ORUFI11G10320 transcript:ORUFI11G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIYADGRIYEVEVKSCTHLLRWILMVKPERGRWEPLPPPEHTHCSSCPTCVWPCRPVFCPYTTNVGLFNIDEIVEGKDEIVDGKDRKNIINPAETTSQDPPTGRASGRGCSLGGRQRRRCGPARTRPSSHDSVGRSGEERRGVTALGRRSLLAACAQIALESSGARCLIHNLSARRHSEAGLQTTGLVTRCPGKENGGD >ORUFI11G10330.1 pep chromosome:OR_W1943:11:9369714:9370130:1 gene:ORUFI11G10330 transcript:ORUFI11G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSRQQKELGQKQRDLACGGLGWKVGLRMVGGGASASNFLMTVMVQAGQVMRLQLLGIARR >ORUFI11G10340.1 pep chromosome:OR_W1943:11:9383105:9383392:1 gene:ORUFI11G10340 transcript:ORUFI11G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGGDDDRPLHSFTSPRSGGGPPLLSFTPPDLGGGEEGNGGGGATAATTAEEGGDGNPPILSFTTPYLVGREEGNSGGGDDDNNSSRRRRQQ >ORUFI11G10350.1 pep chromosome:OR_W1943:11:9385471:9392120:1 gene:ORUFI11G10350 transcript:ORUFI11G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGLVDWRGRPVDTKKHGGVRASIFIHAMVLLTNAPNIANMMNMVSYLRGTMHMGVAQASTTASNYFAALQMFSIPAAFLADSYLKRFYTVLLFAPIEIIGYILLAVQAYTTSLHPPPCSPAATAASATTTCEPVRGANLSLLLLGLYLIPIGDGAARACLPALGGDQFDLGDPDEQRQETSFYNWYTFAVSTGGFVGLVFIVWVQNSKGWGVGFAVSAAFVALGLLVWAAAFPLYRNQLPMGSPITRVLQVFVAAFKKRNVRLPENPSELKQINQDDDANAHEVLPKTDGFRCLEKAAVETGNDAGPWSLCSVTQVEETKIVLRMAPIFVAAVLSYIPVPLLLSLTVQQGNTMDTRLGAVHISPATLFLIPTVFQMVILIIYDRAIVPPLRRLTGYVGGVTHLQRIGIGFVATIVATAIAAVVETRRKMTAEESGLEDATTGIPLSVFWLTPQFFLIGIVDVTSFVGLLEFFCSEASMGMKSIGSSIFYCILGVSAWLGSLLIQVTNRVTQRNNGGGWLDGANLNKGKLDRFYVVLCIIEVVALVIYVFFARRYVYRNDQRVVAQEQRKGDTGNGVAVI >ORUFI11G10350.2 pep chromosome:OR_W1943:11:9385471:9392202:1 gene:ORUFI11G10350 transcript:ORUFI11G10350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGLVDWRGRPVDTKKHGGVRASIFIHAMVLLTNAPNIANMMNMVSYLRGTMHMGVAQASTTASNYFAALQMFSIPAAFLADSYLKRFYTVLLFAPIEIIGYILLAVQAYTTSLHPPPCSPAATAASATTTCEPVRGANLSLLLLGLYLIPIGDGAARACLPALGGDQFDLGDPDEQRQETSFYNWYTFAVSTGGFVGLVFIVWVQNSKGWGVGFAVSAAFVALGLLVWAAAFPLYRNQLPMGSPITRVLQVFVAAFKKRNVRLPENPSELKQINQDDDANAHEVLPKTDGFRCLEKAAVETGNDAGPWSLCSVTQVEETKIVLRMAPIFVAAVLSYIPVPLLLSLTVQQGNTMDTRLGAVHISPATLFLIPTVFQMVILIIYDRAIVPPLRRLTGYVGGVTHLQRIGIGFVATIVATAIAAVVETRRKMTAEESGLEDATTGIPLSVFWLTPQFFLIGIVDVTSFVGLLEFFCSEASMGMKSIGSSIFYCILGVSAWLGSLLIQVTNRVTQRNNGGGWLDGANLNKGKLDRFYVVLCIIEVVALVIYVFFARRYVYRNDQRVVAQEQRKGDTGNGVAVI >ORUFI11G10360.1 pep chromosome:OR_W1943:11:9402273:9404172:1 gene:ORUFI11G10360 transcript:ORUFI11G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGLVDWRGRPVDTRKHGGVRASIFIHAMVLLTNAPNIANILNMVSYLRATMHMDVAEATTTVTNLFAALQVFSIPAALLADSYVKRFYTVILLAPIEIIYLSRQSRMSTLGDCDK >ORUFI11G10370.1 pep chromosome:OR_W1943:11:9407036:9413805:1 gene:ORUFI11G10370 transcript:ORUFI11G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVAQEEEGAAATHQWGKSAHHWLGDSHHCSTVEQATGEERTPRHSTMDADGNGGGHCWLHRSGASSVHPVAAPAAVQPGGHNGSGEHHLRAGARREPEPAAAGAVLGLYLVPIGDGAMKACLPALGGDQFDPADPDEQRQEVSFYNWYTFAASTGGFVGLVFIVWVENSNGWGIGFAICAAFVLLGLLVWAAAFPLYRNRLPTGSPITRILQVFVAAFRKRNVRLPEDPSELKQINQDDDNNALEVLPRTDGFGCLEKAAVRTGNDAGAWSLCSVNQVETKILLRMAPIFAAAVLGYIPMPLILTFTVQQGSTMNTKLGSVHISPATLFLIPIIFQLVILILYDRVIVPPLRRLTGYVGGVTHLQRIGVGFIATIMATAVVAVVEIRRKSAAHESSLADGTAGIPLSVFWLTPQFFLIGIFDVTSFVGLLEFFCSEVSMGMKSIGSSIFYCILGVSAWLGSLLIQVTNRVTRRGGKGNGGSGGWLDGANLNNGKLERFYVVLCIIEVVALLSYVFFARRYVYRNEQKVVTQGGTMCDTGNGADMI >ORUFI11G10380.1 pep chromosome:OR_W1943:11:9418038:9422392:1 gene:ORUFI11G10380 transcript:ORUFI11G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQMQPGSFPYSTNDAKGSKIYISSIDMCKIECPYGTMAAVETEPSTFYGSQSSISVWEPYLCTGRPPRYTGAVVVIQNGQSRIGAGWYVDPDMYGDNHAHFEIAWTNKDKSCTNLRCAGFIQLSNRIVPGAVLKPISTIDGKKYLIIISIFKIWDVWVLLFGEELVGYWPGELFTDLSGAANMIGWMGVASAATGEPFPPMGSGYSPDEGEGRAAFFIDVNVIYSSTSKFVSPNLSEIFTRTTNPNCYQVGRPSSYDSGLHFLFGGAGCSPKNDTHIYH >ORUFI11G10390.1 pep chromosome:OR_W1943:11:9422719:9423677:-1 gene:ORUFI11G10390 transcript:ORUFI11G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARRGGDRLQRGNSRGESVCGSPNPLPSQIWLNIRELEKGAARQRVAATSSLDPLPSETSLGAASTTRGVLVAEGRRLVAVPAVAPHSPPLSDLAKGKEAINGVTTVEGRVAVAPLLPSRPIFGLMRAREGGSVAKGEVAVVAGDDKGQGLHRWMWLIWPWRRWIQPWRQRRVDPVGDPSPRSGFVKRVNAINCCALWIWQFGIHFCDLGIIVLDW >ORUFI11G10400.1 pep chromosome:OR_W1943:11:9425769:9427569:1 gene:ORUFI11G10400 transcript:ORUFI11G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKGWTIKTFLLLHLLIFSVDPTKEEVDNNLIIKTIQTADGQTFACVSFKSQPSLRHPLLMNHTTQLMPPISFPHSTDDDEGSKFGISNVEMSEIECPPGTVPILTSYNGSMSTRSFDKIIYSENRNDKGNRQMAAVVIVPSTFYGLQTSISIWEPDLGTGRPPRFSGAIVVLKNGGSRVAVGWSVDPHLYGDNLVHFEIAWVDNDKSCINLRCAGFVQMSKKAIPGIIIRPVSTVNGKQYIIRVKIIKFMGDWVLKVGEEIVGYWPSKLLTHMSEAADVISWMGVVEAAPGEPFPPMGSGQPADEGETKAAFFADAKVIDASGSFATPALKTINTVATEPNCYDVGRPYTTDDGLQFYYGGAGCSPSQPIK >ORUFI11G10410.1 pep chromosome:OR_W1943:11:9433434:9434713:-1 gene:ORUFI11G10410 transcript:ORUFI11G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRQRVLCRGWQQSAGWTRQRRRAAPRRGLEDEGGGGGRRRAVAKGCRSRSRKRRQRDVAAGPDTTICFELLPRGAVTARSEGPVPPHAEAIASSSSSLSHWSSIVFDPHYSSAIRLKNHPSVLTNLKNNTKVRDVEWTYSYYIQKLNKGCWGHFLHIRFARVEHFAATTGAGCSSNAHRCQ >ORUFI11G10420.1 pep chromosome:OR_W1943:11:9446298:9448605:-1 gene:ORUFI11G10420 transcript:ORUFI11G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTSSSSGGGNRIWTSSLAVLALAVALVSLLSLRAPPPAAPSMADDLPTSVHDISVKDIKGNDVKLSEYEGKVLLIVNVASKCGLTNSNYKELNVLYEKYKEKGLEILAFPCNQFAGQEPGSNEEIEQTVCTRFKAEFPIFDKIDVNGKEAAPLYKFLKSQKGGFLGDGIKWNFTKFLVGKDGKVVERYAPTTSPLKIENDIQKLLGTS >ORUFI11G10430.1 pep chromosome:OR_W1943:11:9452301:9483647:-1 gene:ORUFI11G10430 transcript:ORUFI11G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAAESGGGSGSSPLLHTGNGFLGRAVWEFDPDAGTPEERAEVARLRRDFTRHRFQRKESQDLLMRMQAHFVVAVTTVIIAALPEARCRHLQPDLSAVIVEDNQNVTEETILSSLRRALNQYSTLQAHDGHWPGDYSGILFIMPLLNEDGGWGTQVLGQSTMFGSCLNYATLKLLGEALHNNDALAQGRMWILSHGSATAAPQWAKIWLSFVGPITPTILEIREELYNIPYSEIDWKKARDCCAKEDLRYPCSWIQDIVWTYLNKYVDPMFNVWPFNKLREISLRNLMKHIYYEDENTKYIGLCPINKALNMICCWIEDPNSDAFKRHLPRIYDFLWLAEDGMKAQVYDGCQTWETAFIVQAICSTGLVDEFSTTLEKAYGFLKNSQVLHDLPNGKSFYRHRSKGSWTLSTADNGWSVPDCTGETLQALLGLSKISPKLVGDPIKEKSLYDAVDCLLSFSNKDGTFSSYECTRTASWTEILNPSESFRNIVVDYPHVECTSSAIQGLISFTELYPGYRGVEIESCIKNAVMFIENKQQNDGSWYGTWGICFTYGAFFAIRGLIAAGRNYENSQAIRNGCKFLLSKQLSAGGWGEHYSSSEIEVYVDSGSPHAVNTSLAMLALLYSGQIERDPTPLYRAAKQLISMQLETGEFPQQEHVGCFNSSLYFNYPNYRNLYPIWALGEFWHRLVASKD >ORUFI11G10430.2 pep chromosome:OR_W1943:11:9452301:9483647:-1 gene:ORUFI11G10430 transcript:ORUFI11G10430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAAESGGGSGSSPLLHTGNGFLGRAVWEFDPDAGTPEERAEVARLRRDFTRHRFQRKEYSPCMLRERSMSSCHWNINERYNEDGGWGTQVLGQSTMFGSCLNYATLKLLGEALHNNDALAQGRMWILSHGSATAAPQWAKIWLSFVGPITPTILEIREELYNIPYSEIDWKKARDCCAKEDLRYPCSWIQDIVWTYLNKYVDPMFNVWPFNKLREISLRNLMKHIYYEDENTKYIGLCPINKALNMICCWIEDPNSDAFKRHLPRIYDFLWLAEDGMKAQVYDGCQTWETAFIVQAICSTGLVDEFSTTLEKAYGFLKNSQVLHDLPNGKSFYRHRSKGSWTLSTADNGWSVPDCTGETLQALLGLSKISPKLVGDPIKEKSLYDAVDCLLSFSNKDGTFSSYECTRTASWTEILNPSESFRNIVVDYPHVECTSSAIQGLISFTELYPGYRGVEIESCIKNAVMFIENKQQNDGSWYGTWGICFTYGAFFAIRGLIAAGRNYENSQAIRNGCKFLLSKQLSAGGWGEHYSSSEIEVYVDSGSPHAVNTSLAMLALLYSGQIERDPTPLYRAAKQLISMQLETGEFPQQEHVGCFNSSLYFNYPNYRNLYPIWALGEFWHRLVASKD >ORUFI11G10430.3 pep chromosome:OR_W1943:11:9452301:9483647:-1 gene:ORUFI11G10430 transcript:ORUFI11G10430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAAESGGGSGSSPLLHTGNGFLGRAVWEFDPDAGTPEERAEVARLRRDFTRHRFQRKESQDLLMRMQAHFVVAVTTVIIAALPEARCRHLQPDLSAVIVEDNQNVTEETILSSLRRALNQYSTLQAHDGHWPGDYSGILFIMPLLNEDGGWGTQVLGQSTMFGSCLNYATLKLLGEALHNNDALAQGRMWILSHGSATAAPQWAKIWLSEDLRYPCSWIQDIVWTYLNKYVDPMFNVWPFNKLREISLRNLMKHIYYEDENTKYIGLCPINKALNMICCWIEDPNSDAFKRHLPRIYDFLWLAEDGMKAQVYDGCQTWETAFIVQAICSTGLVDEFSTTLEKAYGFLKNSQVLHDLPNGKSFYRHRSKGSWTLSTADNGWSVPDCTGETLQALLGLSKISPKLVGDPIKEKSLYDAVDCLLSFSNKDGTFSSYECTRTASWTEILNPSESFRNIVVDYPHVECTSSAIQGLISFTELYPGYRGVEIESCIKNAVMFIENKQQNDGSWYGTWGICFTYGAFFAIRGLIAAGRNYENSQAIRNGCKFLLSKQLSAGGWGEHYSSSEIEVYVDSGSPHAVNTSLAMLALLYSGQIERDPTPLYRAAKQLISMQLETGEFPQQEHVGCFNSSLYFNYPNYRNLYPIWALGEFWHRLVASKD >ORUFI11G10430.4 pep chromosome:OR_W1943:11:9452301:9483647:-1 gene:ORUFI11G10430 transcript:ORUFI11G10430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAAESGGGSGSSPLLHTGNGFLGRAVWEFDPDAGTPEERAEVARLRRDFTRHRFQRKEYSPCMLRERSMSSCHWNINERYNEDGGWGTQVLGQSTMFGSCLNYATLKLLGEALHNNDALAQGRMWILSHGSATAAPQWAKIWLSFVGPITPTILEIREELYNIPYSEIDWKKARDCCAKEDLRYPCSWIQDIVWTYLNKYVDPMFNVWPFNKLREISLRNLMKHIYYEDENTKYIGLCPINKALNMICCWIEDPNSDAFKRHLPRIYDFLWLAEDGMKAQVYDGCQTWETAFIVQAICSTGLVDEFSTTLEKAYGFLKNSQVLHDLPNGKSFYRHRSKGSWTLSTADNGWSVPDCTGETLQALLGLSKISPKLVGDPIKEKSLYDAVDCLLSFSNKDGTFSSYECTRTASWTEILNPSESFRNIVVDYPHVECTSSAIQGLISFTELYPGYRGVEIESCIKNAVMFIENKQQNDGSWYGTWGICFTYGAFFAIRGLIAAGRNYENSQAIRNGCKFLLSKQLSAGGWGEHYSSSEIEVYVDSGSPHAVNTSLAMLALLYSGQEHVGCFNSSLYFNYPNYRNLYPIWALGEFWHRLVASKD >ORUFI11G10440.1 pep chromosome:OR_W1943:11:9497295:9498085:-1 gene:ORUFI11G10440 transcript:ORUFI11G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGTKPQSSLSTRPVRRTELGESYRDAVRNVVDIFGRRHWEKGRRRCPQIRLQAAAQADQRHAAAFSEKRRLESRRRETPVLLISTG >ORUFI11G10450.1 pep chromosome:OR_W1943:11:9543463:9544905:-1 gene:ORUFI11G10450 transcript:ORUFI11G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADKVGDNDEGWRRTSGCRAAAPRAGSGLPSSGSRAPLSLAPPSRSFAAAAKLPTTTELPRHGQAPSPPLTRATRSLRRWMTGECDGRWRAQASGPLAAEEAEEVAAALVDEDSAKDLISLHRFLVPSTCCVMDGAHRACSSSASMSSPEPESVVRALSNLMDHIHCEDENIN >ORUFI11G10460.1 pep chromosome:OR_W1943:11:9552772:9589091:-1 gene:ORUFI11G10460 transcript:ORUFI11G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIGEGAGNPLLRSPNGFLGRETWEFDPDAGTPEERAEVERLRRDFTRNRFTRRECDSSEITEEVLLTALKRVLDQHSSLQAHDGHWPGGFSGVLFILPLMIFALHVTHSLNDVLSSEHIREICRYIYNIQASHNFLIFNEDGGWSTLTLGPSTMFGSCVNYATLRLLGEELDGDDKALSKGRAWILTHGTATAAPQWAKIFLSVYMIGLGTIQSFLNYGCFLIFYPFIQFVGPITPTILAMRDELYNVPYSKINWNNARSSCCKATSSKDSRFLMASRRWNEVKENPNSYAFRQHLPRIHDFLWLAEDGMKSKVYGGCQCWETALIVQAYCSSGLTKEFAATLRKAHDFIKNSQVTKNCPSYSSFYRERSKGSWTLTNGENGWPIADTTAECLKAILLLSKIPSNQVGDSIKEERLFDAVDCLLSFVNKDGTLSSAESKRTTPWVEFINPSESFRNIIVDYPYVECTSSLIQALILFNGIYPSYRHEEIEKIIKSGALFIEKQQRKDGSWYGSWAICFTYATFFAIKGLVAAGRTYQNSSSIRKACNFLLSKQLTTGGWGENYICCQVEKYVDSGRPHAVNTAWAMLGLIYAGHVEIDPIPLHRAAMELINMQLDTGEFPQQEIVGSFNSSLFFNYPNYRNLFPIWALGEFRHRLLAKKG >ORUFI11G10470.1 pep chromosome:OR_W1943:11:9601219:9624874:-1 gene:ORUFI11G10470 transcript:ORUFI11G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKFGEGASNPLLRSSNGFLGRETWEFDPNGGSPEEHAVVERLRRDFTRNRFTQRDKHEVSNLKDSSEVTEEVLLTSLRRVLDQYSSLQAPDGYWPGGYSGILFILPLMNEDGGWSTHTLGPSSMFGSCVNYATLRLLGEVLDEHNDGLSKGRAWILSHGSATVAPQWAKIYLSGTIQLFQNYGCFHIFFPFIQDDIIYPPSWFQNIAMASLHKFMEPLFNMWPMNKLRKRALTNLMDHIHYEDENSNYVGLCPINKVLNMVCCWIENPNSNAFRRHLPRIHDFLWLAEDGMKSKVYVGSQCWDTALIVQAYYSTGLTQEFSETIKKAHDFIKNAQVTKNCPNYKRYYRERSKGSWTLSNGENGWPIADTLAECLKAVLLLSKIPPTQVGDPIQEQRLYDAIDCLLSYVNKDGTLSSAESKRTTPWVEFINPSESFRNIIMDYPYVECTSSLIQALILFKGVHPGYRREEIDRIIKNGVLFIEKKQKNDGSWYGSWAVCFTYATFFAIKGLVAAGRTFQNSLSIRKACNFLLSKQLSTGGWGEDYLGCQVEEYIDSGRPHVVHTAWGMLGLIYAGQVELDPAPLYRAAKELINMQLETGEFPQQEILGSFNSSLFFNYTNYRNLFPIWALGEFHRRLLAKRA >ORUFI11G10470.2 pep chromosome:OR_W1943:11:9601219:9624874:-1 gene:ORUFI11G10470 transcript:ORUFI11G10470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKFGEGASNPLLRSSNGFLGRETWEFDPNGGSPEEHAVVERLRRDFTRNRFTQRDKHEVSNLKDSSEVTEEVLLTSLRRVLDQYSSLQAPDGYWPGGYSGILFILPLMNEDGGWSTHTLGPSSMFGSCVNYATLRLLGEVLDEHNDGLSKGRAWILSHGSATVAPQWAKIYLSGTIQLFQNYGCFHIFFPFIQVLNMVCCWIENPNSNAFRRHLPRIHDFLWLAEDGMKSKVYVGSQCWDTALIVQAYYSTGLTQEFSETIKKAHDFIKNAQVTKNCPNYKRYYRERSKGSWTLSNGENGWPIADTLAECLKAVLLLSKIPPTQVGDPIQEQRLYDAIDCLLSYVNKDGTLSSAESKRTTPWVEFINPSESFRNIIMDYPYVECTSSLIQALILFKGVHPGYRREEIDRIIKNGVLFIEKKQKNDGSWYGSWAVCFTYATFFAIKGLVAAGRTFQNSLSIRKACNFLLSKQLSTGGWGEDYLGCQVEEYIDSGRPHVVHTAWGMLGLIYAGQVELDPAPLYRAAKELINMQLETGEFPQQEILGSFNSSLFFNYTNYRNLFPIWALGEFHRRLLAKRA >ORUFI11G10480.1 pep chromosome:OR_W1943:11:9627253:9627863:-1 gene:ORUFI11G10480 transcript:ORUFI11G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKHGDTHWIYKVIGNWEDKAQRVLLSLLLQRAMSMVMKGQRDGEPSCSSLDCVQVSCLIPVVSWLILRYQTRYPVSCLVSDLMPIGIRLGTWVPWLGVRPGTRRYQAWYLVSRYHG >ORUFI11G10490.1 pep chromosome:OR_W1943:11:9664129:9664500:-1 gene:ORUFI11G10490 transcript:ORUFI11G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRLDSYKSCLEEELGRKDLKKEVLASSLKDANAEIKRLRLELEREKRETQGLVDYYNDSESKMKALHQELESERTAAKAVTDEAKTACHTLRLALIDLGAKISEVPAGDASALAFMEWTQ >ORUFI11G10500.1 pep chromosome:OR_W1943:11:9710639:9713502:1 gene:ORUFI11G10500 transcript:ORUFI11G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSEIFVGAHSYAAICAFTLIIGWLAHWVYRWINPPCNGRLPPGSMGFPIVGETFQFFRTSPSIDMPIYYKRRLERYGPIFKTNIGGQHVVISLDPEVNQFIFQQEGKLFQSWFPETTLNIFGKKTLTTYNRTAHKLIRSFVCKLYGPENVKKSLLPELENSMRESLASWIGKPSVEVNDGVSNMIFGLAAKHLIGLDITNSGELKKNFQEIFQVMVSIPFPIYFPGTSFYRCMQGRRNVWTTLTNVMKKRLSAPGNKFGDLVDLIVEELRSENPTIDESFAIDTLSGLLFASFAPLSCTLTTTFKFLNDNPEVFDKLKEEHEMILKKREGANSGFTWEEYKSLKFSTQVVNEINRITTVIPGGFRKALTDVQVNGYTIPSGWLVMISPMGVHLNPKLFEDPLKFDPWRWTEEKRISMQRNFMPFGGGIRMCPAAEFNKLFITLFLHIVVTEYRWKDIDGGNVKRISEVLVAQEYHIQLVPQT >ORUFI11G10510.1 pep chromosome:OR_W1943:11:9714325:9714517:1 gene:ORUFI11G10510 transcript:ORUFI11G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNKSVSEKVVRMRAKEKSRRARANWPQGPKLKPSHEESKLGFSLPEQRAKLESKRPHLK >ORUFI11G10520.1 pep chromosome:OR_W1943:11:9714868:9718206:1 gene:ORUFI11G10520 transcript:ORUFI11G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDTLRRMQEQHQAYEAAIQAKSTASTRPAVTSLATTTVALTASTPQVSAATTSLLLPINASNL >ORUFI11G10530.1 pep chromosome:OR_W1943:11:9751557:9751867:-1 gene:ORUFI11G10530 transcript:ORUFI11G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSRSYLSCKLGNDDPQQMSRQCDEGLEVEHFERASGENRVPFGTGVDSILDVVPLLKASLRRFLLH >ORUFI11G10540.1 pep chromosome:OR_W1943:11:9778790:9779116:-1 gene:ORUFI11G10540 transcript:ORUFI11G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSNSKQYVAVQDGFKVLKLHYSAPNLHNKPTQARPWWQQRRRPHEVRHGHLPPRRARRAAWPRGEDGVASESVWVGEFMVPKFKVSFADSVVGILGQLGLRLPFSP >ORUFI11G10550.1 pep chromosome:OR_W1943:11:9781116:9782812:-1 gene:ORUFI11G10550 transcript:ORUFI11G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALADRSASGGPRVAFTSGVWCDTALPLIKHAYRDAVLGRYNRTMPRPSPSTSRTRLAGKARKQINEWTRQVTRGLINSVLPPGSIGLTTAIVLGNAIYQEEAVLPPRRRPRRAH >ORUFI11G10560.1 pep chromosome:OR_W1943:11:9799605:9812621:-1 gene:ORUFI11G10560 transcript:ORUFI11G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMRLQQLKDITGNFSKEQELGRGGFGVVYKGILKNRVSVAVKRLEVNPGIQDKQFKNEINLVVLNGVTVMQLSKEYARVRNKWSRMSKIMLQSSSLDADGCQQVDRCFKIGLNCVDLDPRRRPLATQIINMLPWECKKNEGMPSELVPKSSDGSCTSSAVRNKWSRMSKIMLQSSSLDADGCQQVDRCFKIGLNCVDLDPRRRPLASQIINMLPWECKKNEGIPSELVPKSSDGSCTSSATVDGMCISVKIPSIAKTIELSTVDGMCISVKIPSIAKTIELSIELKEGIHLDNQILMVDVRNWYTVADVKLMVETMFGFPECSQILLPTKSGDAIELNGTQTLKDQNIKNNAVLMLLPDFRIFIKTWEGRTLTMVVSSFHTEEDIWEKIQKKSMINPKNTFSVTMGMF >ORUFI11G10570.1 pep chromosome:OR_W1943:11:9845863:9846758:-1 gene:ORUFI11G10570 transcript:ORUFI11G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEREGGGEGWRGRRRAQARATGRAAAELERGSWRRSGTGREAGSAATAQLGVWICYGDKATAAPGGQAQCQWSYSSSRSTISSPSKALWARKNEKMRRCEPRQQHDQLQRGDPLVDVAKEEMQRRWRGVDGGGRSGVAPSVVFLKLGQH >ORUFI11G10580.1 pep chromosome:OR_W1943:11:9850007:9864404:-1 gene:ORUFI11G10580 transcript:ORUFI11G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFRIAAAMAVALVLLLLILPPRVAMADEPPYSCGPPPPPSSSLAAQGQQQQPHRFCDARLTAEQRAADLVANLTLAEKVSQLGDRAAGVARLGVPAYEWWSEGLHGLSIWGRGIRFNGTVRAVTSFPQVILTTAAFDAGLWRRVGEAVGAEARALYNLGQANGLTIWSPNVNIFRDPRWGRGQETPGEDPVTASRYAHATAYDLDYWNNVVRYNYDSKVTLQDLEDTYNPPFKSCVAEGKATCIMCGYNSINGVPACASSDLLTKKVRQEWGMNGYVASDCDAVATIRDAHHYTLSPEDTVAVSIKAGMDVNCGNYTQVHAMAAVQKGNLTEKDIDRALVNLFAVRMRLGHFDGDPRSNAVYGHLGAADVCSPAHKSLALEAAQDGIVLLKNDAGALPLQPSAVTSLAVIGPNADNLGALHGNYFGPPCETTTPLQGIKGYLGDRARFLAGCDSPACAVAATNEAAALASSSDHVVLFMGLSQKQEQEGLDRTSLLLPGEQQGLITAVANAARRPVILVLLTGGPVDVPMTDMRMRADPATGYPGRSYRFYQGNTVYNFGYGLSYSKFSRRMFSSFSTSNAGNLSLLAGVMARRAGDDGGGMSSYLVKEIGVERCSRLVFQVVVEVQNHGPMDGKHSMLMYLRWPTKSGGRPARQLIGFRSQHVKVGEKAMVSFEVSPCEHFSWVGEDGERVIDGGAHFLMVGDEELETSFGLWGLS >ORUFI11G10590.1 pep chromosome:OR_W1943:11:9887564:9887854:1 gene:ORUFI11G10590 transcript:ORUFI11G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSDPFVESAGISPTSACRVWVVVLISPVILTNPDRFGLGGEGLRKVFVAFQSSRDRLVCLAYQTHWQNWITSRTA >ORUFI11G10600.1 pep chromosome:OR_W1943:11:9890175:9900263:1 gene:ORUFI11G10600 transcript:ORUFI11G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAGEEGRQGSKSRNQSNSSMSEKDVVGNPNLHSGPEVFTEGVIDEEDDDAVEVVLEEDEIAKAEVFSEGVIDEEDDDAVEVVLEEDEIAKAGRAAAVATSAVGGGAMGAGGVGSATAAGGSAGVVGWWHLARVA >ORUFI11G10610.1 pep chromosome:OR_W1943:11:9909044:9918675:-1 gene:ORUFI11G10610 transcript:ORUFI11G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGVLLVLTAAVLPVLLLLGGGGAPPYSCGPRSPSSGYAFCDARLPPARRAADLVSRLTAAEKVAQLGDEAGGVARLGVPPYKWWSEGLHGLSYWGHGMHFNGAVTAITSFPQAIGTEARALYNLGQAEGLTIWSPNVNIYRDPRWGRGQETPGEDPTTASKYAVAFVKGLQGSTPGTLQTSACCKHATAYDLEEWNGVTAQDLADTFNPPFKSCVVDAKASCVMCAYTDINGVPACASSDLLSKTFRGQWGLDGYVSSDCDAVALLRDAQRYAPTPEDTVAVAIKAGLDLNCGNYTQVHGMAALQQGKMRESDVDRALTNLFAVRMRLGHFDGDPRSNAAYGHLGAADVCTQAHRDLALEAAQDGIVLLKNDAGALPLDRATVRSAAVIGPNANDPAALNGNYFGPPCETTTPLQGVQRYISSVRFLAGCDSPACGFAATGQAAALASSSDQVIMFMGLSQDQEKEGLDRTSLLLPGKQQSLITAVASAARRPVILVLLTGGPVDVTFAKNNPKIGAILWAGYPGQAGGLAIAKVLFGDHNPSGRLPVTWYPEDYRFYQGNPVYKFGYGLSYSKFSRRLVAAAKPRRPNRNLLAGVIPKPAGDGGESYHVEEIGEEGCERLKFPATVEVHNHGPMDGKHSVLVFVRWPNATAGASRPARQLLVGFSSQHVRAGEKARLTMEINPCEHLSRAREDGTKVIDRGSHFLKVGEEDDEWEISFDA >ORUFI11G10620.1 pep chromosome:OR_W1943:11:9922887:9924183:1 gene:ORUFI11G10620 transcript:ORUFI11G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDYTMAVDGGGRRRLRRRHCFGPRCRTLGSTLTSKEVFTWANTNNQRLPTSAILIEQASMASYDVEVFMRVNLLLLNEQMWEARSK >ORUFI11G10630.1 pep chromosome:OR_W1943:11:9961098:9961604:1 gene:ORUFI11G10630 transcript:ORUFI11G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTADIKILISRSIGNINSVKYQKYPWVHLSYSSPTTNSLSYSAHILRSLPATTPTPTSPIARPHHHYYLFSIKQLNWFGATVVLPFSMTVPLPNITFSTTVLGFLVGAALPALYVLDSSRSGDTAGDAATSPHAFLFTAQIFTEGLATAWPGRFSIPVQPSLRAAAG >ORUFI11G10640.1 pep chromosome:OR_W1943:11:9967627:9977586:-1 gene:ORUFI11G10640 transcript:ORUFI11G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKSVVAALAVAFFLLAAFASGLSTGDATSGRGTSGGGGSGAAQLRQAPPTLAPRPLVGDATLGRRTGGGGGNGAARLRQAPSGVAVRWGRRRLLPSHGHEGSVVLCRELSISLPSAAAASAAADPHPSREAPASRAPSVIFNSEKESTSLVSNAQENNVTYVDSSSEESEDQQVKICDICGDVGEEKKLAICSRCNDGAEHIYCMRVMMPEVPEGDWFCEECRTEMQIEKEKSILEKSQVKVSTISVGSKVKAANVSSKDLNVSNTSSKSTKEDAEEGIVPSGCTSTGKEEDGRSCIGGSEAANKNEEQTSSGRNDDFNLKILDGERHMQSHNNDVPFTSVAHDISNMAIKNKSSIKSEVKSSEEVEDVKVCDICGDIGAEEKLAVCSRCNDGAEHIYCMRVMMQEVPKAKWLCETCHSEVESEKRKNKIETSELKVGGSKGPMNKPSSSENGVDAENVGSNMSNRGNEMNSVNKRKDGDAGITSLVRQNPVSRESSFKLDGKKGKDPAGHVSTLLTSNYPKNQMAPLRGQLSKSTSFNNSKVPKVKQLLNEVPQKPKTFKDSLSTPMRKEGPMGILAKSASFKKPKCFEPVNKAKPSTVMNPLVSENARNDILTSILGSRSLTGSVTVPVHSKAQSSAQHLNKGNRMADSNILGTSGGEGHCGIKKPPHTKGHANIGMLGSGAQKKTIQVPDSSHLDDQIKSPSSLVPSNSSSVSIPGSASLRDHQTVPSMRGRSVDSISAMSKDMKEKKITGGFELSRTVRSPVLCEGLQAHLSCFASPKVLEVAKKFPSNVQLEELPRQNLWPPQFHDNGPTIDSIALFFFARDTESYEIHYRKLVENMLKDDLALRGNIETAELLIFASNTLPNNFQHGLSHDSITAMFPTNNSSAIDDFLPVPTRKDLSHDSITAMFPTNNSSAIDDFLPVLTRKDLKLAYSEQKEKMGYPSVGNGCDVNFDVNMKLNTCSFSVIHGKGNESTNNKMDNAEHLMDGDSVNATGVSSSNVHTISHVSGGVRKRSVDVANWDDKVSCAHAFMARKELSKMQQCLRSVGKMSRTKPS >ORUFI11G10640.2 pep chromosome:OR_W1943:11:9967627:9977586:-1 gene:ORUFI11G10640 transcript:ORUFI11G10640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKSVVAALAVAFFLLAAFASGLSTGDATSGRGTSGGGGSGAAQLRQAPPTLAPRPLVGDATLGRRTGGGGGNGAARLRQAPSGVAVRWGRRRLLPSHGHEGSVVLCRELSISLPSAAAASAAADPHPSREAPASRAPSVIFNSEKESTSLVSNAQENNVTYVDSSSEESEDQQVKICDICGDVGEEKKLAICSRCNDGAEHIYCMRVMMPEVPEGDWFCEECRTEMQIEKEKSILEKSQVKVSTISVGSKVKAANVSSKDLNVSNTSSKSTKEDAEEGIVPSGCTSTGKEEDGRSCIGGSEAANKNEEQTSSGRNDDFNLKILDGERHMQSHNNDVPFTSVAHDISNMAIKNKSSIKSEVKSSEEVEDVKVCDICGDIGAEEKLAVCSRCNDGAEHIYCMRVMMQEVPKAKWLCETCHSEVESEKRKNKIETSELKVGGSKGPMNKPSSSENGVDAENVGSNMSNRGNEMNSVNKRKDGDAGITSLVRQNPVSRESSFKLDGKKGKDPAGHVSTLLTSNYPKNQMAPLRGQLSKSTSFNNSKVPKVKQLLNEVPQKPKTFKDSLSTPMRKEGPMGILAKSASFKKPKCFEPVNKAKPSTVMNPLVSENARNDILTSILGSRSLTGSVTVPVHSKAQSSAQHLNKGNRMADSNILGTSGGEGHCGIKKPPHTKGHANIGMLGSGAQKKTIQVPDSSHLDDQIKSPSSLVPSNSSSVSIPGSASLRDHQTVPSMRGRSVDSISAMSKDMKEKKITGGFELSRTVRSPVLCEGLQAHLSCFASPKVLEVAKKFPSNVQLEELPRQNLWPPQFHDNGPTIDSIALFFFARDTESYEIHYRKLVENMLKDDLALRGNIETAELLIFASNTLPNNFQHGQSHDSITAMFPTNNSSAIDDFLPVLTRKDLKLAYSEQKEKMGYPSVGNGCDVNFDVNMKLNTCSFSVIHGKGNESTNNKMDNAEHLMDGDSVNATGVSSSNVHTISHVSGGVRKRSVDVANWDDKVSCAHAFMARKELSKMQQCLRSVGKMSRTKPS >ORUFI11G10640.3 pep chromosome:OR_W1943:11:9967627:9977586:-1 gene:ORUFI11G10640 transcript:ORUFI11G10640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKSVVAALAVAFFLLAAFASGLSTGDATSGRGTSGGGGSGAAQLRQAPPTLAPRPLVGDATLGRRTGGGGGNGAARLRQAPSGVAVRWGRRRLLPSHGHEGSVVLCRELSISLPSAAAASAAADPHPSREAPASRAPSVIFNSEKESTSLVSNAQENNVTYVDSSSEESEDQQVKICDICGDVGEEKKLAICSRCNDGAEHIYCMRVMMPEVPEGDWFCEECRTEMQIEKEKSILEKSQVKVSTISVGSKVKAANVSSKDLNVSNTSSKSTKEDAEEGIVPSGCTSTGKEEDGRSCIGGSEAANKNEEQTSSGRNDDFNLKILDGERHMQSHNNDVPFTSVAHDISNMAIKNKSSIKSEVKSSEEVEDVKVCDICGDIGAEEKLAVCSRCNDGAEHIYCMRVMMQEVPKAKWLCETCHSEVESEKRKNKIETSELKVGGSKGPMNKPSSSENGVDAENVGSNMSNRGNEMNSVNKRKDGDAGITSLVRQNPVSRESSFKLDGKKGKDPAGHVSTLLTSNYPKNQMAPLRGQLSKSTSFNNSKVPKVKQLLNEVPQKPKTFKDSLSTPMRKEGPMGILAKSASFKKPKCFEPVNKAKPSTVMNPLVSENARNDILTSILGSRSLTGSVTVPVHSKAQSSAQHLNKGNRMADSNILGTSGGEGHCGIKKPPHTKGHANIGMLGSGAQKKTIQVPDSSHLDDQIKSPSSLVPSNSSSVSIPGSASLRDHQTVPSMRGRSVDSISAMSKDMKEKKITGGFELSRTVRSPVLCEGLQAHLSCFASPKVLEVAKKFPSNVQLEELPRQNLWPPQFHDNGPTIDSIALFFFARDTESYEIHYRKLVENMLKDDLALRGNIETAELLIFASNTLPNNFQHGLSHDSITAMFPTNNSSAIDDFLPVPTRKDLKLAYSEQKEKMGYPSVGNGCDVNFDVNMKLNTCSFSVIHGKGNESTNNKMDNAEHLMDGDSVNATGVSSSNVHTISHVSGGVRKRSVDVANWDDKVSCAHAFMARKELSKMQQCLRSVGKMSRTKPS >ORUFI11G10650.1 pep chromosome:OR_W1943:11:10038270:10044734:1 gene:ORUFI11G10650 transcript:ORUFI11G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNAAAAADSAARTRYARGQLEALRAAPSEEAQARLWADVSAALAAAGFSGEYDGLMDAEDPSIRKPGRKGKKAAGGGWKRHDAAAATQFLETDEVGAWRNGDSGVRYEHYVDEPRSVLQVIEEPFVQGGNAEYEDADSDDDYDGILKPAFAVDGDPDFESGEPLDGFEYLRRVRWEANQIPRVKVAKIDLNTARNEQTPYMPEIPDIAKCSPDLCASKPWEDTFITYFSETRLAFSELDSSDGPSVSGGTKNLLKPSNRSEPQTDPTLTMIRNMDAVSRAATLRNYIDMIQSLDKLSRNDCLWLFSLCVAVDTPLDAETCASLRSLLRKCANVLAAKLEMDDEVAMLNILITISGRFFGQYDNH >ORUFI11G10660.1 pep chromosome:OR_W1943:11:10065095:10065334:-1 gene:ORUFI11G10660 transcript:ORUFI11G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLAPSRQGKAADVVRSDKARQGKARLCRALVVLLLVVTSIVKAADLAAVAAGATVSAAGGLNGDDGGGLGGGWVGAVR >ORUFI11G10670.1 pep chromosome:OR_W1943:11:10081350:10083923:1 gene:ORUFI11G10670 transcript:ORUFI11G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDIVDPFVTTASLRVFYNSKEMTNGSELKPSQVLNQPRIYIEGRDMRTLYTLVMVDPDAPSPSNPTKREYLHWMVTDIPETTDARFGNEIVPYESPRPTAGIHRFVFILFRQSVRQTTYAPGWRQNFNTRDFAELYNLGSPVAALFFNCQRENGCGGRRKLELRIVPIDYMV >ORUFI11G10680.1 pep chromosome:OR_W1943:11:10090731:10102673:-1 gene:ORUFI11G10680 transcript:ORUFI11G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCYGVMGTAAAFLTASLASRMTEPVNTTPTTLPTQGGSSAAENPSTSSNQSTGTSGASGFANPTDSVAQPPRSTSRDETPSISEKESSNLDSSPGNRTVQERPDSDSAQVKGSLPDHPRSSNIEGCANPVQSGNTASLKQKNKVDDGFTKVSSESVPTRVASRGKSSKVAVDQDKATTTSTPIEPAPVRSNDIQLVVRIPDGPSLQIKLTKDDNLRKVKNFVDENRANGAGSYDLAMLYPRKVFTEQDMEATLHELGIETRQALTIVPHHKTIRAAKRQSSSSPHEGDNNMDADSSGGSGYFGYLRTALSFVNPLSYLRANAAPSNPDQLANQGSPQYRPSTGPWNRPGEDTASESRIPDSARQQAARDTSSGSTLRRRPRQFGGNVHSLRSDDQGPSDDRNVYWNGNSTEFGGEDKK >ORUFI11G10690.1 pep chromosome:OR_W1943:11:10103731:10107696:1 gene:ORUFI11G10690 transcript:ORUFI11G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPELAGRGGAVQCRVEQSADDGRRRGATTAATAEKRSSAAAAGVKRSSDGSWAEKRGGGAKGWQRVSRASHIANGLKLPCVIDNLELKNLEPSILVLSNGPSGGSTQSGDNYSEFSRNKDLRACLIGQPGR >ORUFI11G10700.1 pep chromosome:OR_W1943:11:10136040:10137596:1 gene:ORUFI11G10700 transcript:ORUFI11G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSWSPPRAHVAMAAASAANNGGDSLASDSRLTVASDAAHLAGGSPDDHGRRRLGLSHPAPVILSRGRRFTCETPWRETEEEIRKKRETGRGKEKERRGNLKRSI >ORUFI11G10710.1 pep chromosome:OR_W1943:11:10145301:10157401:-1 gene:ORUFI11G10710 transcript:ORUFI11G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIWHRATSAWKSCDTGWWQEMLKATARRLSVSSGLTVASSRRCGGVRGMAGAGTDGCTNLQLGHAGEDLQSMTRRWQLTHGTATIGPNEPTPPPPPAILASSSAMAAALPELALPAPTWKVDGIVEMLSSRSRVLLPPCSSMGLTQLFLMVFLFRSRHCSQSMGRGSLRWRSRLAWDTASSQAKCFLLFLLPSSLLPSDSDDDELLPAPAPTPKPSNVGPRESSGCTSIDRPILKILLYTSITCLLRLVHLPRRRHRCLVGDLSTTPEEFARLRGIQQTHGFYLTRQPDELLVWFAVAA >ORUFI11G10720.1 pep chromosome:OR_W1943:11:10151343:10152983:1 gene:ORUFI11G10720 transcript:ORUFI11G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQPELSLGPTLLGFGVGAGAGKSSSSSESDGSSDDGSRKRRKHFAWEEAVSHASLDLHLNDPLPMDWEQCLDLHSGRMYYLNRKTMRKSWVRPMEEHGGSNTLDLELNISTIPSTFHVGAGKASSGSAAAIAEDDARIAGGGGGVGSLGPMVAVPCVNCHLLVMLCKSSPACPNCKFVQPSVPAPAMPRTPPHRRLEATVKPLETLSLLH >ORUFI11G10730.1 pep chromosome:OR_W1943:11:10162853:10166434:-1 gene:ORUFI11G10730 transcript:ORUFI11G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDSLSSSIVEHFAHKCFWCINIITGKDSMGGCCCCSSRGSETDRAPVHIYRQQNQEEHEPLSSAYDGSSPASAIVAVDTNLDTSTPDTYRAPPAPLPYDVSLPVPENPDLEKSDLKSKTDDQQEESLEVDEFKSCEKCVAEDKPDEEDVCPICLEEYDAENPRSLTKCEHHFHLCCILEWMERSDTCPVCDQVS >ORUFI11G10730.2 pep chromosome:OR_W1943:11:10162853:10166873:-1 gene:ORUFI11G10730 transcript:ORUFI11G10730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCSSRGSETDRAPVHIYRQQNQEEHEPLSSAYDGSSPASAIVAVDTNLDTSTPDTYRAPPAPLPYDVSLPVPENPDLEKSDLKSKTDDQQEESLEVDEFKSCEKCVAEDKPDEEDVCPICLEEYDAENPRSLTKCEHHFHLCCILEWMERSDTCPVCDQVS >ORUFI11G10740.1 pep chromosome:OR_W1943:11:10188547:10194830:1 gene:ORUFI11G10740 transcript:ORUFI11G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEKPIRFTPRQLAGFTRGYSARLGAGGFGTVYGGALPNGLGVAVKVLRSGMGRRSEEQFMAEVGTIGRTHHINLVRLFGFCFDAAVRALVYEFMGDGALDAYLFDRTRAVGDSDGLRSPPSSSLWAEAAQRVGEELVVAVAEDGEAGGDVRLVAAERAVEDRGGVAMEGAAERRAKTRRGSGGEAMEGGEEFIKQLGDLQFLSALTLRGTSYTVSQLIFDHGFHSLTELMEFIKQLGDLQFLSALTLCGTSYTVSQLIFDHGFHSLTELMLIFDHGFHSLTELMVSAENVDRIEIHELALPKLKDLDVVGHGNDFHVEIHGRLVRGIKGEDEKPFKILHVKSRENNKNEDSMS >ORUFI11G10750.1 pep chromosome:OR_W1943:11:10196545:10198704:-1 gene:ORUFI11G10750 transcript:ORUFI11G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTGDDNNTGDKEKEAPVNTNGGGNTASNTSGGPFSSYNIKICRLVTIFPTHIPHLRMSSHPSFAHFKND >ORUFI11G10760.1 pep chromosome:OR_W1943:11:10200692:10208907:1 gene:ORUFI11G10760 transcript:ORUFI11G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRRLAVLRSHLQPAAPAGEGDRNVVVCAEQAAGVSTSPCSAAAAGGGREAEGKSCVFCRIIRGEAPAFKVYEDDVCLCILDSHPLAPGHSLIIPKCHFPSLEATPPHVVAAMCSKVPFLSNAIMKATQCDSFNMVVNNGAAAGQVIFHTHFHLIPRRSGDKLWPTESLRRRSIEPNETSGLVSCIKEQLYSSPEGCKAEPPSSLPKER >ORUFI11G10760.2 pep chromosome:OR_W1943:11:10200692:10208907:1 gene:ORUFI11G10760 transcript:ORUFI11G10760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRRLAVLRSHLQPAAPAGEGDRNVVVCAEQAAGVSTSPCSAAAAGGGREAEGKSCVFCRIIRGEAPAFKVYEDDVCLCILDSHPLAPGHSLIIPKCHFPSLEATPPHVVAAMCSKVPFLSNAIMKATQCDSFNMVVNNGAAAGQVIFHTHFHLIPRRSGDKLWPTESLRRRSIEPNETSGLVSCIKEQLYSSPEGCKAEPPSSLPKER >ORUFI11G10760.3 pep chromosome:OR_W1943:11:10200692:10208907:1 gene:ORUFI11G10760 transcript:ORUFI11G10760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRRLAVLRSHLQPAAPAGEGDRNVVVCAEQAAGVSTSPCSAAAAGGGREAEGKSCVFCRIIRGEAPAFKVYEDDVCLCILDSHPLAPGHSLIIPKCHFPSLEATPPHTHFHLIPRRSGDKLWPTESLRRRSIEPNETSGLVSCIKEQLYSSPEGCKAEPPSSLPKER >ORUFI11G10770.1 pep chromosome:OR_W1943:11:10239683:10254678:1 gene:ORUFI11G10770 transcript:ORUFI11G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTGKMPARVKRIRFADSQNECECEVVLPQTLASGGASSSRAVGEATQSKPKRRRRATSAGEGPSGDEVVLPQTLASGGASSSRAVGEATQSKPKRRRRATSAGEGPSGDEPSETKGPNLTRCSAALVVQACRALSTVHHEKLEEIGLDAVAYKSLESLEQPDLIQWLMDRTDPDTMCISIDDDRKIPITSRTVRLVLGTPLGGNDIVLPSHKVVRTVHESITDELGIHKKARLSTKQLIEVIKSQKDDSRAVRYFIMLLVPTTDVYVPKGDVWVASDLDRVAAIDWSKAVFRALNDSIRCWCQNPASSIASCVIFLVVLYLDNILPPRDIGLDLTFTPRIQMFTKDIVDKLVAADQEASGDGTPPFGNLPRLGLLESLAEYDRQAKESALEIERQFRLVVDKQHMLCQSVIDVLQANRAAQPPPVVPQAAQCQEASRRQSDVQFTSADINPNDSEDQQQQQHHGCNGSPSAFDQHTIHPNAPTHVSPSMEIVPYIPPVRLEVADQPHPGSAHSPDLTQRSPIPTDNIVAPAYNFPNMSTILGPHLAGLPSDQRLGLLESLAEYDRQAKESALEIERQFRLVVDKQHMLCQSVIDVLQANRAAQPPPVVPQAAQCQEASRRQSDVQFTSAEINPNDSEDQQQQQHHGCNGSPSAFDQHTIHPNAATHVSPSMEIVPYIPPVRLEVADQPHPGSAHSPDLTQRSPIPTDSAPLTSEEVSAQYSAPDTAEEPPAIKAGGVIGNVPDVSTAIQTEDAPRAIDQESHGTEPKRFIQKPARFVSSVVVGPSIMPSDVSLSVQLRDFLLTNGGRMDSVKLLEIDSSVAYGNDELKSFSNGNLTEWLFIDAFSSILFKDDMRNMPDTFGKRIFFPTSVSIIVPVLHHDHWSLYAINIAHGRVDIMDSNNYNLIGTLESDHHCALSKRIIKRLSDALHEVAPKSFCRFGGFRKNMMKCPKMQICSNDCAFYIMRFMEAYDGNRESIETLSIPIIVPVLHHDHWSLYAINIAHGRVDIMDSNNYNLIGTLESDHHCALSKCIIKRLSDALHEVAPKSFCRFGGFRKNMMKCPKNANLLQRLCLLHHAAYDGNRESIETLSIPIIVPVLHHDHWSLYAINIAHGRTQMWVIIRTFPSDRCMKAANVRKENADDINKLLEFFNEC >ORUFI11G10780.1 pep chromosome:OR_W1943:11:10260793:10261830:-1 gene:ORUFI11G10780 transcript:ORUFI11G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRNRMRMRVISPKRLGAHVYPMPFPPSDVEDLNPPEDPNNKIILHLAFIDDDSDLDIIQEDIYNFHMTRPRQEMLRLGAHVYPMPFPPSDVDDLNPPEDPNNKIILHLAFIDDDGDLDIIQEDIYNFHMTRPRQEMLNPAKRCSVTSNPVQAP >ORUFI11G10790.1 pep chromosome:OR_W1943:11:10268730:10271137:-1 gene:ORUFI11G10790 transcript:ORUFI11G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVWKAMWIPPHARVESNVDPTRQPLPFPSSPSTASFRSRPLEAGQRCGAVNSGVRGERGKGGCISVKARAIDTRRSRFVDVLVGSATARPASVSSASAPRPPLTLCPLAHALAGPSPFGSAPVGPATVSLGLAVPALIGSTPVALLAAALLLAVAVESQRRQRPRLGRGIEEGVEVGGVEVDDGVGVDLPRAFLGFERTRGAATREAATRMMQCAVAAMMDRALVHLVGGRRGRGQVKG >ORUFI11G10800.1 pep chromosome:OR_W1943:11:10275983:10284285:1 gene:ORUFI11G10800 transcript:ORUFI11G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITNWLGFSSSSFSGAGADPVLPHPPLQEWGSAYEGGGTVAAAGGEETAAPKLEDFLGMQVQQETAAAAAGHGRGGSSSVVGLSMIKNWLRSQPPPAVVGGEDAMMALAVSTSASPPVDATVPACISPDGMGSKAADGGGAAEAAAAAAAQRMKAAMDTFGQRTSIYRGVTKHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGTTTTTNFPVSNYEKELDEMKHMNRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSIIESSNVPIGTGTTRRLKDSSDHTDNVMDINVNTEPNNVVSSHFTNGVGNYGSQHYGYSGWSPISMQPIPSHSLGYTHNFFQQSDVPDVTGFVDAPSRSSDSYSFSSLGYTHNFFQQSDVPDVTGFIDAPSRSSDSYSFRYNGTNGFHGLPGGISYAMPVATAVDQGQGIHGYGEDGVAGIDTTHDLYGSRNVYYLSEGSLVADVEKEGDYGQSVGGNSWVLPTP >ORUFI11G10810.1 pep chromosome:OR_W1943:11:10309069:10309733:1 gene:ORUFI11G10810 transcript:ORUFI11G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPAQLGWVFTRKGRESTRQHLQGGNGARRYRPNHQHRQTQRLSHRKAPQPGLEADSQKKGGAPRMKEA >ORUFI11G10820.1 pep chromosome:OR_W1943:11:10309782:10310274:1 gene:ORUFI11G10820 transcript:ORUFI11G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMNPARVSRLRETNQTTEQPPSTKPPAQREVCWGNGGAGAPAQAHAPFFHREQRRKGGRKEDRGAAASGIAGDRRGRRPESPAPRKADTPPTTEAGRRRIATTLAPANSAACAPAAALPHNPTATGVPSAAGTPPLGGRGEREREVV >ORUFI11G10820.2 pep chromosome:OR_W1943:11:10310279:10311274:1 gene:ORUFI11G10820 transcript:ORUFI11G10820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGRPPEPETTALAELPCARAQRRPGADPTQQRLATAHHAATRAPPAAAVVSHHVATHRRDSEDRTPSSKHRPTPPSSDPATAGPDLPPPSLEIPPPPADAAPPAQRRGAAARKAPPPPSSFTNRFCRWRSPAAARGEGGERWGSGGAREKFQNYLSVEGQRLRQQDGVAMGKN >ORUFI11G10840.1 pep chromosome:OR_W1943:11:10345558:10350351:1 gene:ORUFI11G10840 transcript:ORUFI11G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYEYATNGYHRGMEDDYEDEYYSEDPYDEEGEGAGQEYAEEDDEPPEGQQEFLQIRERLKEQIRRKAQAASASAAGRSSSSHDRKPQSTFGSFFGPSKPVISQRVIEERKSLKELQNTASMSRDRRPSAKEIPSSSKVQSKTNGHHHKQKIVNEAKRKAEALKDNRDYSFLLSDDADISPSPMEKPVARSSLSQKSDRESTHSAVKSRAPTGQTARLSNGYGLKNTSSSQRHTEGRVDSNRKVAGANRERVVLPDNGRMHSVVRNGSTQATTSKAASQKLPSKAPIANRPPIKSVSDQSLRANHSASKQLLSQNGRPQSSQSQRMQSTSHGQRPHQPVQSQRPLQSLQGRRPQQSPQNTRPQLMSKSQRPQQSLQRQRPQLSSQNERLESSERQRPPSQSYRPQSSQGQRPSSVQGRQYSEQRRIQANDRVKSAERQIRPPSKPMPSRQIPSNGMRDAHAKKKQPMKRRFNDLSEDEEDPLAMIRSMFRYDPSKYAGRDDDDSDMEADFATIEMEEQRSARIAKEEDDEQLRLIEEEERREQERKRRKMARGR >ORUFI11G10850.1 pep chromosome:OR_W1943:11:10357500:10359093:-1 gene:ORUFI11G10850 transcript:ORUFI11G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKLWHGETLRESLKRREHFLALQLGLKKGMKVLDVGCGIGGPLREIARFSSASVTGLNNNDYQISRGQELNFSVGLSETCNYVKVILAKDMAEESPLPWYQPLDPSQLSLTHFQFTRIGRFLGQTLVSKQFITQTEATEFLACSWERLYSTIDFFLPAKNPQLKSLEFLHLAPEGSLRICRFLATSVDSLVKGGK >ORUFI11G10860.1 pep chromosome:OR_W1943:11:10366211:10367059:1 gene:ORUFI11G10860 transcript:ORUFI11G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRPCLRPGRCGASSRRLGSGPAAVRWRSPWTRCCSSTCCGCRRRCNSSPAPVGWRPFCISMWMGSVASYPNPPPRALFSRSSWRGKPAPSGAAVLRVWWPDDGSKQNIAPDLVKPSRFSLYMVIKLAIMVVFFWSNCKHFSVGIVA >ORUFI11G10870.1 pep chromosome:OR_W1943:11:10386628:10390742:1 gene:ORUFI11G10870 transcript:ORUFI11G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTSRRGGGVLAAVVTAVAALEMLGGVAWGGNPGFTCGPASAQKGFAFCNAALPAEQRAADLVARLTTAEKVGQLGDQAPGVPRLGIPVYKWWSEALHGLAISGKGIHFGNGPARTATSFPQVIHTAAAFDDGLWFRIGQAIGKEGRAFYNLGQAEGLAMWSPNVNIFRDPRWGRGQETPGEDPATASKYGAAFVKGLQGSSLTNLQTSACCKHITAYDIEEWKGVSRYNFNAKVTPQDLADTYNPPFRSCVVDGKASCIMCAYTLINGVPACASSDLLTKTVRGEWKLDGYTASDCDAVAILHKSEHFTRTAEEAVAVALKAGLDINCGVYMQQNAASALQQGKMTEKDVDKALKNLFAIRMRLGHFDGDPRGNKLYGRLGAADVCTPVHKALALEAARRGVVLLKNDARLLPLRAPTVASAAVIGHNANDILALLGNYYGLPCETTTPFGGIQKYVKSAKFLPGCSSAACDVAATDQATALAKSSDYVFLVMGLSQKQEQEGLDRTSLLLPGKQQALITAVATASKRPVILILLTGGPVDITFAQTNPKIGAILWAGYPGQAGGQAIADVLFGEFNPSGKLPVTWYPEEFTKFTMTDMRMRPDPATGYPGRSYRFYKGKTVYKFGYGLSYSKFACRIVSGAGNSSSYGKAALAGLRAATTPEGDAVYRVDEIGDDRCERLRFPVMVEVQNQGPMDGKHTVLMFVRWSSTDGGRPVRQLIGFRNQHLKVGEKKKLQMEISPCEHLSRARVDGEKVIDRGSHFLMVEEDELEIRFQD >ORUFI11G10880.1 pep chromosome:OR_W1943:11:10407312:10407533:1 gene:ORUFI11G10880 transcript:ORUFI11G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGGGAASAPSTRPEGYSTSDSEADRLGWFFSKEEEEAAAEEVVEDIAAGKEWQGFTLEYEHGSDADEDAAE >ORUFI11G10890.1 pep chromosome:OR_W1943:11:10416922:10418693:-1 gene:ORUFI11G10890 transcript:ORUFI11G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADHEKTPLLVGDEDVLHGDTASNVATVSSWVGTTYLMPILGAAVADSCWGKYTTVLAGLSIALVSMAMITASATLPSLRLPPCGQSADCVPATLSQELVFFTGIYLCALGIGGAKAVLIAFGPEQLDGGGENERKASYFIWYYAVANVGMLTAGTLLVWVEDKVSWGFGYGLCASFVAVAVAVFTATAPMYRTLPPAPAGSPLKGVLQVLVVAFSHKAKLTLPDDPTEALFF >ORUFI11G10900.1 pep chromosome:OR_W1943:11:10451733:10461384:1 gene:ORUFI11G10900 transcript:ORUFI11G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFSCCHGAGGMVVIVVVVMAMLGGVVAGGEPPRAADLVARLTAAEKVAQLGDQAAGVPRLGVPAYKWWSEALHGLATSGRGLHFDAPGSAARAATSFPQVLLTAAAFDDDLWFRIGQAIGTEARALYNIGQAEGLTMWSPNVNIFRDPRWGRGQETPGEDPTMASKYAVAFVKGMQGNSSAILQTSACCKHVTAYDLEDWNGVQRYNFNAKVTAQDLEDTYNPPFRSCVVDAKATCIMCAYTGINGVPACANADLLTKTVRGDWGLDGYIASDCDAVAIMRDAQRYTQTPEDAVAVALKAGLDMNCGTYMQQHATAAIQQGKLTEEDIDKALKNLFAIRMRLGHFDGDPRSNSVYGGLGAADICTPEHRSLALEAAMDGIVLLKNDAGILPLDRTAVASAAVIGPNANDGLALIGNYFGPPCESTTPLNGILGYIKNVRFLAGCNSAACDVAATDQAAAVASSSDYVFLFMGLSQKQESEGRDRTSLLLPGEQQSLITAVADAAKRPVILVLLTGGPVDVTFAQTNPKIGAILWAGYPGQAGGLAIARVLFGDHNPGGRLPVTWYPEEFTKVPMTDMRMRADPATGYPGRSYRFYQGKTVYKFGYGLSYSSYSRQLVSGGKPAESYTNLLASLRTTTTSEGDESYHIEEIGTDGCEQLKFPAVVEVQNHGPMDGKHSVLMYLRWPNAKGGRPTTQLIGFRSQHLKVGEKANIRFDISPCEHFSRVRKDGKKVIDRGSHYLMVDKDELEIRFEA >ORUFI11G10910.1 pep chromosome:OR_W1943:11:10461784:10464810:-1 gene:ORUFI11G10910 transcript:ORUFI11G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALLPTLRRKPEVDAAIRDTLDKVLVLRFGRADDAACLHLDDILAKSSWDISRFATVALVDMDSEEMQVYIDYFDITLVPATIFFFNAQHMKMDSGTPDHTKWIGSFSSKQDFIDVVEAIFRGAMKGKLIVSCPLPPERIPKFQLLFKDV >ORUFI11G10920.1 pep chromosome:OR_W1943:11:10486859:10488551:1 gene:ORUFI11G10920 transcript:ORUFI11G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGWWCGLSLCAKPKQVASESVHGAQLALQRLTAARRCGGGGDAACVDVEAGKPCKCGEEHTEAAAAGRVAAVEAVHHGKPTSSFAHSVINMVGMLIGLGQLSTPYALENGGWASVFLLVGLGVMCAYTAHLIGKCLDDDPASKTYQDIGERAFGGKGRVVASAFIYLEIFFALVSYTISLSDNLPLVFAGAASHLHLPWVRLTATQLLTVAAVLVALPSLWLRDLSTISFLSFAGIVMSLLIFGTVVCAAAFGGVGLGGYIPALRLERIPAVSGLYMFSYAGHIVFPNIHAAMKDPSAFTRVSVASFAVVTALYTALAFVGASMFGPSVSSQITLSMPPGLAVTRIALWATVLTPVTKYALEFAPFAIQLERHLPAAMSPRARTLVRGGVGSAALLLILALALSVPYFQYVLSLTGSLVSVAISIIFPCAFYLKIRWGRVSRPAVALNAAMIAAGVVLAVVGTASSATSLVQSIQKGHAA >ORUFI11G10930.1 pep chromosome:OR_W1943:11:10509219:10519960:1 gene:ORUFI11G10930 transcript:ORUFI11G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARAQVNKPHKTRFASKASRHAHKIDKVRTGKPEGSHRAAVKGARAARVQRSKAIRDQKRAALLKEKRSSVGSSSAPHVVVLFGLSSSANVRSLAKDLLTIASGDEEKPTSSTVASPTYKLRTTVLEAPYGDLTSCMELAKVADLLAFVLSANSLYDCDSSSPIDDFGSQCLSVFRAMGLPSTAVFIRDLPSENKSRQELKKTAISFVSPELPEDCKFYAADTKDDLHKFMWLFKEQHLSCPHWRNQRPYVMSEEACIKPDDSSGLCTLLVSGYLRAHNLSVNQLVGVGDFQLGQIDILKDPFPINERKNSNAMDSEDSGIQIVDTFVPDPSSQEPLLVENTPDPLEGEQTWPTEAEMEEAYLNNKQRKLKRKLPRGTSEYQAAWIVDDTDDEDGDSENDNQDGAGMVIDEQDHSDNGGDGSDMDVVSHFTEKFDEETIGGTEMADDENLTKEQIEAEIKKIKEANAEDEEFPDEVETPLDVPAKRRFAKYRGLKSFRTSSWDPKESLPQDYARIFAFDNFTRTQKHVLAKMAERDEGTLKDCAQRGSFVRLHLKNVPTEIASKLVHPSRRLPVVVSGLLQHESKISVLHFSIKKHDYYEAPIKSKDSLIFNVGFRQFTARPLFSTDNINCNKHKMERFLHHGRFSVASVYAPICFPPLPLIVLKSRDGEQPAIAAVGSLKSVDPDRIILKKIVLTG >ORUFI11G10940.1 pep chromosome:OR_W1943:11:10526288:10526954:1 gene:ORUFI11G10940 transcript:ORUFI11G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEEGTHQLLYVQETVLRKRKVNEDWAVKSREQKAARRQTTAMPIKKPQDFVREFCNKVDLVRMGTRLKVHKPPPVTDGLVHKPPPVTDGLVSKLIRAIRIPGTMDLHPHMRKMLRKRLEFVSNICIKSVKIE >ORUFI11G10950.1 pep chromosome:OR_W1943:11:10559196:10560719:-1 gene:ORUFI11G10950 transcript:ORUFI11G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVARLTAATTCRMRVSGPVTAATTSAASPVTVTLGDLPQQKRSAATATTTTSSGGSPVVVEKRAAAATAAEEEEEEEVEEAAAVAALASAWREVQGAGDWRGMVEPLHPLLRDEVVRYGELVAACYRAFDLDPASKRYLNCKHGKKQMLPAVGMAGAGYTVTRYIYAAPDVALPFGVGGRCSCAGKSRWIGYVAVASNREAARLGRRDILVSFRGTVTGSEWLANFMSALSPARFDPADPRPDVRVESGFLSLYTSDDLSGKFTCGSCRNQLLSEVTRLIDKYKHDDVSITLAGHSMGSSLAILLGYDLAELGLNRGGRGGRGGAIPITVFSFGGPRVGNLEFKRRCDELRVKVLRVANARDPVTRMPGVVLNEAAARVFRVELPWSKACYTHVGVEVALDFFKASHAACVHDLDAYINHLLDGGAAASATTTTLESWRWQMAAIRAGEWLQTLGI >ORUFI11G10960.1 pep chromosome:OR_W1943:11:10631226:10632724:-1 gene:ORUFI11G10960 transcript:ORUFI11G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLTNPPPGLYATKTPSQQQQQHRPAGSHAAAAAAATVAMPQTASAASSSVAVKKNMRQAAPVVVARRRTTAVESGGVALASVWREVQGERDWEGMVEGTAEEELHPLLRGEIVRYGELVAATYKAFDLDAASKRYLNCKYGKARMLDEVGMAGAGYEVTRYIYAAPDLAAGPPCPSRWIGYVAVATDEAWVANMMSSLAPARFDPADPRPDVKVESGFLSVYTSDDATCRFTCGSCRNQLLSEVTRLIAKHKHEDVSVTLAGHSMGSSLALLLGYDLAELGLNRDARGRAVPITVFSFAGPRVGNTAFKDRCDELGVKVLRVVNVNDPITKLPGIFLNENSRVLGGKLELPWSSSCYTHVGVELALDFFKARDPACVHDLEAYLGLLKCPKVTKVMKEGEDLFSKAKKIVLEQSFDTWRWQMAAIQVGGLVQALGM >ORUFI11G10970.1 pep chromosome:OR_W1943:11:10653765:10659454:-1 gene:ORUFI11G10970 transcript:ORUFI11G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTGSGSLILRAVTKIMNGSLFSQNAMPSQSYAQTVKHRDVPLKSVFGRLKEPLEIAGGKGKTPVTKPAAISNFQKRMVISWPNVMGRFVAVTVRLRDMQPPKKKSTSTQRINAFATVPNSRDNGRGNGNFVWQPKKISPAILQPPHLLQYATEATILSPQSSLPMANLNPNPHRFLRQGHIVHLGRNVQVPRVDITIPHRPERRHEDFYLALVHPQVSEQDWDHYHLLILDHILDERLFEVRNSYRHASVVGMFQLRSAMHRDEFVHRESVDSMPKKKSTSTQRINAFATVPNSRGNGRGNGSFVWQPKKISPAILQPPHLLQYATEATILSPQSSLPMANLNPNPHRFLRQGHIVHLGRNVQVPRVDITIPHRPERRHEDFYLALVHPQVSEQDWDHYHLLILDHILDEPLFEVRNSYRHASVVGMFQLRSAMHRDELVHSEPFVYDGVDTITFVEDAWGQDHPMGQIMDVNPDGLIESAAASPGKENTKGEKVQEFDQDAQVEDAWGQDHPMGQIMEVNPDGLIESAAASPGKENTKGKKVQEFDQDAQLEMSTPKVPYFYPMKGINEKIELMCRERGTMHQFLACNSIPAAIQVPSPFTALVLPKKTICSSDAAQSSCQKKGWKTLLHNPYRRQSARLQLNKEGSELKEAECKTSTKQRRVRTEGSELKVDPRMGIGKPRVNLQESLKSWQMGVDMCGLAPEEVAESRLGGQRRKKMPRLDTEEE >ORUFI11G10980.1 pep chromosome:OR_W1943:11:10669827:10671655:-1 gene:ORUFI11G10980 transcript:ORUFI11G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNFVAAWCIGTFATSFTGECCHKVGLFFDPEGSLALKTCSGTFATSSTGECCHKVGLFFDPEGSLVISDEYKSLREWNNTMPYCIR >ORUFI11G10990.1 pep chromosome:OR_W1943:11:10699377:10699998:-1 gene:ORUFI11G10990 transcript:ORUFI11G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISEPAAVASMVTVGERRWEVAASNGD >ORUFI11G11000.1 pep chromosome:OR_W1943:11:10752322:10752696:-1 gene:ORUFI11G11000 transcript:ORUFI11G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLGKLPPPALRRKGPRWEESPAAAHHHPSTLRLSRREEGEREMVDWVRYVSRER >ORUFI11G11010.1 pep chromosome:OR_W1943:11:10757862:10767694:-1 gene:ORUFI11G11010 transcript:ORUFI11G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPLPALSTGWKTSPAPAPALTLAPVGDPTGLGWTRMPLPPLPALSTGWKTSPAPAPALTLAPVGDPTGHLLRRLEAVHHNKVMVEGSDKVSTAEDDGDVVAPARSCDEEVVSTMGHLLRRLEAVHHNKVMIEGSDKVSTTEDDGDVVAPARSCDEEVVSTMGSVSSSYGSWCHLNSSDGLRNVGFKTEDFLLGLFTQYSPQMHRNVKTLLKAFRKTAPPKDLTFTLEKLFYKSIYIFKNSGYGCGMRVYWDSRRHGCKPT >ORUFI11G11020.1 pep chromosome:OR_W1943:11:10777088:10783785:1 gene:ORUFI11G11020 transcript:ORUFI11G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLPRAPCLASSIPKPTALRPPCMASTSAAAPAAAAATAEASASRPPRKLPVLLFDVMDTVVRDPFYHHIPAFFQMSMKELLENKHPTAWSEFEMGLIDENELAKKFFNDGRSFDLEGLKACMVRAYEYIDGVEDILYSLKQNNYEVHAFTNYPVWYQLVEEKLKLSKYLSWTFCSCTVGKRKPSPDFYLHAVDHLNVDPASCIFIDDRMTNIEAALSVGMVGLQFKNAEVLKKDLCSLGVEFAPVHEGEIQVQ >ORUFI11G11030.1 pep chromosome:OR_W1943:11:10790790:10791011:1 gene:ORUFI11G11030 transcript:ORUFI11G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWTCSAMAAARRRLSVTAHGCRDERQRRQEEMEANPVARHRALGWRGGGVAATDGIGRNWGREREAVARGK >ORUFI11G11040.1 pep chromosome:OR_W1943:11:10791029:10791719:-1 gene:ORUFI11G11040 transcript:ORUFI11G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLDREEWAQDFTSPENRGVARGGAVREVLLTRWEGQDDQVLVYGLLLAGVDHGEIMGRARFCLCPTGDDEGAAAASYRVMEAITGGCCAMDIAVSFLCRRHR >ORUFI11G11050.1 pep chromosome:OR_W1943:11:10792634:10794349:1 gene:ORUFI11G11050 transcript:ORUFI11G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLLLPLLVAVAATVPLAAALSADGVALLAFKTAVTDDPSGALSSWSDADDDPCRWAGVTCANTSSSGPRVVGVAVAGKNLSGYVPSVLGSLALLRRLNLHGNRLSGTVPPALANATSLHSLFLYGNSLTGGLPPELCDLPRLQNLDLSDNSLTGSLPPELRRCKQLQRLMLSGNGFSGEIPAGVWPEMVSLQLLDLSHNSLTGAIPPELGKLAALAGTLNLSRNHLSGGVPPELGHLPATITLDLRFNNLSGEIPQSGSLASQGPTAFLNNPGLCGFPLQVPCRAAPPSSSTPPPPSAAGSISGAGGPRQPVKTSLIVLISVADAAGVALIGVIVVYIYWKLRDRRGDGCGGDGDDDEEGKRGLFPFPCMRADDSSDDGSDAGDDVKRNNTTTTTTASGGGGGGEEGQLVAIDKGFKMELDELLRSSAYVLGKGGKGIVYKVVVGNGTTPVAVRRLGGGAAAAERYKEFAAEAGAIGRVRHPNVVRLRAYYWSADEKLVVTDFVNNGNLATALRGEHTPSPSPSPSQFVNLFGKRETYFLKKWSKLWLDYNKLW >ORUFI11G11060.1 pep chromosome:OR_W1943:11:10803003:10804479:-1 gene:ORUFI11G11060 transcript:ORUFI11G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTMDARWAHIRTTNARWAHIVCALLVPEVFFRDPDNRDGVNCLSYLALFAALSLSPPASPSRHLHRCRHLPLFCRLSLFHLRCRLLWGGAVKRTTNARWAHIVCALLVPEVFFRDPDDRDGVNCLSYLALFAALSLSPPTSPPRHLHRCRHLPLFCRLSLFHLCCRLLWCHRRRRRRLPHVAATSPSEEPGTTRSSDPVLLTGGSRNPNEDDSRYHPFLLFHLRIGSLSIFLSSPAWESHMIRGFTGAGSDHCFCERTEGEVGAFYPSYCACILMRLDPLFGRIFLYSHILLLSVSNSIS >ORUFI11G11070.1 pep chromosome:OR_W1943:11:10805537:10808846:1 gene:ORUFI11G11070 transcript:ORUFI11G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISTIPRAMIRGQFPVLVVPMVEWAAFCLCYNNYKRVSSHLSDVAALYNYYGRSGQAATLSWSVRLRIAKGAARGLAHLHECSPRRFVHGEVKPSNVLLDADYNAVLADFGLARLLTIAGCADPSSASGGGLMGCALPPYAVKPASAAADHHHRPSAYRAPEARAVGARPSQKSDVYSFGVVLLELLTGRPPEHHASPSASTSSSASFSGTTTTVSGGGGGGDQAQAVPEVVRWAQAVPEVVRWVRQGFEDARPLSELADAGVLRDGGARKEVVAAFHVALGCVEADPERRPRMKAVAESLDKIGS >ORUFI11G11080.1 pep chromosome:OR_W1943:11:10819614:10820108:-1 gene:ORUFI11G11080 transcript:ORUFI11G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKEKRVNIETDESYTVTFHCAICMEYKPMNSRFHCEGCPHYFCFKCVLDHISYRVLGGDAHVCCPEPGCTIGELTYEKWYKHVRGDVRKAWESANLRDSAMLKRCGSCGKFLEGVTLDGMEGGRDDCLDPLHTLAIAKGWRPCPRCGIFIELTGGCSIVTCR >ORUFI11G11090.1 pep chromosome:OR_W1943:11:10894412:10895195:-1 gene:ORUFI11G11090 transcript:ORUFI11G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYAVQFNAAAKNLLERLNAKLPSASMSLTDCYSIVMELIEHPQKYGLKLVIMQLTENKMLSTRLNPTSHTSCCDVDTTVGGLCLPTAQLCDDRTAFVFWDAYHTSDAANQVIADRLYADMVSAGAVQGSGNATTRLHPRASRCRRRRRAVEPRRATSKALKYIQQIDWPPSAGTKPSPLRYDDE >ORUFI11G11100.1 pep chromosome:OR_W1943:11:10902093:10910816:1 gene:ORUFI11G11100 transcript:ORUFI11G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVHGAGVPSCWVGVHSACLRHPALPRRQAPRRPAAAKARNGGGAGRTSRWMAADGSKRWGETFFLLYTPFWLTLCLGVVVPFKLYEANIWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFLLTHACFLFYHMTSNMTLRKLRHSTAHLPQFLRWSFEAAWVLALSYFIAYLETLAIANFPYYEFIDRDIMYKVGSLFYAIYFIVSFPMFSRIDENEEKWSLSRVAVDALGAAMLVTIILDLWRIFLGPIVPIPESRRCGQPGLAWFQVQNERV >ORUFI11G11100.2 pep chromosome:OR_W1943:11:10902093:10910816:1 gene:ORUFI11G11100 transcript:ORUFI11G11100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRRPAAAKARNGGGAGRTSRWMAADGSKRWGETFFLLYTPFWLTLCLGVVVPFKLYEANIWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFLLTHACFLFYHMTSNMTLRKLRHSTAHLPQFLRWSFEAAWVLALSYFIAYLETLAIANFPYYEFIDRDIMYKVGSLFYAIYFIVSFPMFSRIDENEEKWSLSRVAVDALGAAMLVTIILDLWRIFLGPIVPIPESRRCGQPGLAWFQVQNERV >ORUFI11G11100.3 pep chromosome:OR_W1943:11:10902093:10910816:1 gene:ORUFI11G11100 transcript:ORUFI11G11100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQDVAVKIVLVGEVTTPPRALAATHPPHNTTHHPTHAPPLRLLPAGTANGRFTELEYLVVGLVSTVPAFVIPLFLVGKANIWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFLLTHACFLFYHMTSNMTLRKLRHSTAHLPQFLRWSFEAAWVLALSYFIAYLETLAIANFPYYEFIDRDIMYKVGSLFYAIYFIVSFPMFSRIDENEEKWSLSRVAVDALGAAMLVTIILDLWRIFLGPIVPIPESRRCGQPGLAWFQVQNERV >ORUFI11G11100.4 pep chromosome:OR_W1943:11:10902093:10910816:1 gene:ORUFI11G11100 transcript:ORUFI11G11100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQDVAVKIVLVGEVTTPPRALAATHPPHNTTHHPTHAPPLRLLPAGTANGRFTELEYLVVGLVSTVPAFVIPLFLVGKVPHTTFLLTHACFLFYHMTSNMTLRKLRHSTAHLPQFLRWSFEAAWVLALSYFIAYLETLAIANFPYYEFIDRDIMYKVGSLFYAIYFIVSFPMFSRIDENEEKWSLSRVAVDALGAAMLVTIILDLWRIFLGPIVPIPESRRCGQPGLAWFQVQNERV >ORUFI11G11110.1 pep chromosome:OR_W1943:11:10913396:10915609:-1 gene:ORUFI11G11110 transcript:ORUFI11G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGSDCVGADGRRSREVRMGREPKILMGARSCTLSDFILCLYFLIAKRGDPLGLVLSRGAAAAFPMETTPTSSAATPTMTTGDGDSPPAKALAEDYGLYWTVQQKIDDLLQRSERNIMPKHPEFATY >ORUFI11G11120.1 pep chromosome:OR_W1943:11:10930550:10937159:-1 gene:ORUFI11G11120 transcript:ORUFI11G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLLRRGHPFLRRLLRPPPPPTSSVLESCNRNVSPRVQLWRFSAEGKDQTNSSEDGYSEANIKKKDFALQQALDQIKSAFGEESIMWLNHSYCPKEVPVISTGSFALDMALGIGGLPKGRVVEIYGPEASGKTTLALHIIAEAQKNGGYCAFIDAEHALDPTLAESIGVKAEHLLLSQPDCGEQALGLADILIRSGSIDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSRSRTMLVFINQVRSKLSTFSGFGAPAEVTCGGNALKFYASVRLNTKRIGLIKKSEEVVGTQIQVKIVKNKHAPPFKTESHPDRNMEDANPDTSITEEFVSATDEQVPEEVEA >ORUFI11G11120.2 pep chromosome:OR_W1943:11:10930550:10937159:-1 gene:ORUFI11G11120 transcript:ORUFI11G11120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLLRRGHPFLRRLLRPPPPPTSSVLESCNRNVSPRVQLWRFSAEGKDQTNSSEDGYSEANIKKKDFALQQALDQIKSAFGEESIMWLNHSYCPKEVPVISTGSFALDMALGIGGLPKGRVVEIYGPEASGKTTLALHIIAEAQKNGGYCAFIDAEHALDPTLAESIGVKAEHLLLSQPDCGEQALGLADILIRSGSIDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSRSRTMLVFINQVRSKLSTFSGFGAPAEVTCGGNALKFYASVRLNTKRIGLIKKSEEVVGTQIQVKIVKNKHAPPFKTVHLELEFGKGLSRELEIIELGFKHKFITKSGVFYHLNGQNFHGKDALKCYLAENKNVLESLMSMIKESIMQQESHPDRNMEDANPDTSITEEFVSATDEQVPEEVEA >ORUFI11G11130.1 pep chromosome:OR_W1943:11:10939959:10945630:1 gene:ORUFI11G11130 transcript:ORUFI11G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPSKKLAKRPRAAARGDQEEGDGKEVKEEEEDEALLFPVGAEVEVGSDDPGFVGSFYEGTVEAHLPGGDGYVVAYTTLEEGGAALREEARARDVRPQPPPVAGAPGAGGFAMHDMVEAFHNEGWWSGVVTGLPLPLDVLPVDPRRRVYTVAFPTSREVMEFEEAALRPHRVFRRGSWVPAADVDNGGPAFREGSLVEVSRSAESFGQSWNPATILKVIGSTNFLVQYRHVGDDGELVTEIVDTEYIRPARSIIRMDSKYRFSPSSHVEVFHEGSWWPGIILETSSGVFGKMYVVKLKSYTTGMDNVDGVDKLTVENTKLRPQFEWDGRKWMRCMTKKKDTKAKKLVIRGSQLTSRKKPIPADLASCNDSDEIRDKPSSDKLLETADVVPRHKETMKQQNAVLALASQIKLPLQLSMTGSGHLKYTSSLILGSPIELPSSQMDVMPSVPQTAGLQASLFGVFGKLRPIPQDPLLVMQSPHPDLSRNEGSKASTDQEKQSTDEGCCLISSAANSFNFVSFAGIDVSRKRKECVSFQAPEELGVNPETLSSFHIQEMKKNRVDETIEGTHDIAAISEEQTKLIFRDEHNELPTNVIAGPAIPSEKNQPTPLEDNKGPRDSSIVDKISQSGINDVRQDENLVLHATSTLDNSGDVNLLSSVSSTENQKKISKSEGCEISMDEDSGEEFCRSILVMPDDTRMDQFPSAKSGQATRHDDLICKENLGAIVECVTNTPTENLSFLSPAMFDDGVPNQSPVSENCQDNKQDGMDNVDHGANVVELASIIPETQHASVGGPLSTISLAALEGKTVLSHSLTWESALNEQSGVSQQYHSSAMVESPECVAESSQSIDDSTITQLCSFDTSQCIDAELGNSLIVSNNTQDTPISKYVARTHNSSCPLMQKFLHVHENIMVDQPSESLAIIELPFVKTSPMWAQIEAMEVFSKVPQRPNFHQLQQHPPEFREGIALGLMYSFTNLAESINMLNVHDDNAVFEHKMRCISVLEADGFDVRHLRSRLETLLSLKNSWSKIQDMMKRSEKKIAQEEIDDQQRCAEISVLSMVVRQLEQHAHLFRCIKNRAISQQMSHAMENSRLKVEASQLKQSSMSTEQRFSSVVAAPW >ORUFI11G11140.1 pep chromosome:OR_W1943:11:10949096:10950278:1 gene:ORUFI11G11140 transcript:ORUFI11G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGERLLDEPINTWATKRKNPGDGPNSRKRKGKAMAVEEDEFASGDDTDNEIDGSPVYAESGDSSSSDNDHDHDGDVNPDQRDLQAMKSTGAEMQHARRTTRRLKNVNALVYNNQTKKK >ORUFI11G11150.1 pep chromosome:OR_W1943:11:10950999:10951656:1 gene:ORUFI11G11150 transcript:ORUFI11G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTDLDRVEASSLRRSKLDITVVRSNGVAQCRIDGEYLVIVHATAAFVETGTVGVELTLRHLGPRCRRLLENEGDVHVIHDVAVTSP >ORUFI11G11160.1 pep chromosome:OR_W1943:11:10956647:10959009:-1 gene:ORUFI11G11160 transcript:ORUFI11G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAAFTSSNGPLTMKTERERARARGDGERKARSEFPSFLRSNWCFHQQAFYNVECRRPRPQPPSSGLDSTGNSSDISSVPVHLLPLRQRLDQASWHLDLLNNRSPHLLLHPKGRSSEKRLKRIKLFAGQRFISSVQSFPDCHI >ORUFI11G11160.2 pep chromosome:OR_W1943:11:10956647:10959009:-1 gene:ORUFI11G11160 transcript:ORUFI11G11160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAAFTSSNGPLTMKTERERARARGDGERKARSEFPSFLRSNWCFHQQAFYNVECRRPRPQPPSSGLDSTGNSSDISSVPVHLLPLRQRLDQASWVGLPVSTVLNPHFFSILYTHIVSYLTQHLDLLNNRSPHLLLHPKGRSSEKRLKRIKLFAGQRFISSVQSFPDCHI >ORUFI11G11170.1 pep chromosome:OR_W1943:11:10959601:10961714:1 gene:ORUFI11G11170 transcript:ORUFI11G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENRLVGMKSHSVYETPGDTIMAAAIRELESLTLDREIMQWKDILALKVRQVGLCWPLVRPTPAIHGCLHGEEPLQPVRGEHFLQHGIGRIDMVENRLVGMKSHGVYETPGDTIMAAAVRELESLPLDREIMQWKDILALKYTKLVYVRRWFDPLQQSMDAFMEKVTESTTGSVTLKLYKGSVNIASRKSPYILYGEDISSFENGKIYNQAKTLRDSSGGIVCPHVKIMIFTDSGVQAGQMTARKNRYARPGK >ORUFI11G11180.1 pep chromosome:OR_W1943:11:10963539:10972120:-1 gene:ORUFI11G11180 transcript:ORUFI11G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVHSGIFASDDAGTTYRLTPVSFFLVDGAAAAVPVVDGHLSQVPHVLASTSRHCLDTVAGLAGWFREDFPAPSPPSPFEHVHGVTPLESTARLGPEDAALFQEGLRVYDASGFAVVLRECRDVFDGVESLTDCGGGDGTAARAIAEAFPHVKCTVLDLARVVGDVPADGVVEYVAGDMFDFIPPSQAVMLKRIALLVYCKGLLVDVRLHHWSDEDCIKILAQCKKVVPLQEERGKVIIIDIVVGSDSGPMLKSQLLMDVAVMLVTKGRQRDENDWRDLFMKVGFRDYKIVKKLGPRCVIEVYP >ORUFI11G11190.1 pep chromosome:OR_W1943:11:10964444:10971133:1 gene:ORUFI11G11190 transcript:ORUFI11G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDFAPSFSSSLLPHSGASPTLLAPLPPILLTVTAPPMPLLAPNRIALPPCCYRGARSAGGKVMPAGSVSHDLPAGVFGRSEARAITGGTSATSTTVVSPWQRTPQGKALLSHPLLYSHSPSHRDPDP >ORUFI11G11200.1 pep chromosome:OR_W1943:11:10976423:10980553:1 gene:ORUFI11G11200 transcript:ORUFI11G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAARGYALGCIRRRRCRIWRPEKTARRRKMTAIGAGDGAAEADSANVSVDGNIINLGLWGTAGQEDYSRLRILSYRGADIFVLAFSLISRASHENVLKEELEFDLWPRWGDGGADFIGIFLDGVRPRVNHFLTGRASVPGRAISKGRQSIEAQNIIRENYEILVLQTEFLDYLLQLYLANIRLKNAATDVVITMVLWKQHYLMLVHLNSLRNPGGLPNWLGNVVVSVAIAIVICFTCVYLLSYVHISDCYTCPVHEIASIQKGMKRASRHFWQIIDFVLERGIYQSIIYILVL >ORUFI11G11200.2 pep chromosome:OR_W1943:11:10976423:10980553:1 gene:ORUFI11G11200 transcript:ORUFI11G11200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAARGYALGCIRRRRCRIWRPEKTARRRKMTAIGAGDGAAEADSANVSVDGNIINLGLWGTAGQEDYSRLRILSYRGADIFVLAFSLISRASHENVLKEVAASEPAPDGVRPRVNHFLTGRASVPGRAISKGRQSIEAQNIIRENYEILVLQTEFLDYLLQLYLANIRLKNAATDVVITMVLWKQHYLMLVHLNSLRNPGGLPNWLGNVVVSVAIAIVICFTCVYLLSYVHISDCYTCPVHEIASIQKGMKRASRHFWQIIDFVLERGIYQSIIYILVL >ORUFI11G11200.3 pep chromosome:OR_W1943:11:10976423:10980531:1 gene:ORUFI11G11200 transcript:ORUFI11G11200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAARGYALGCIRRRRCRIWRPEKTARRRKMTAIGAGDGAAEADSANVSVDGNIINLGLWGTAGQEDYSRLRILSYRGADIFVLAFSLISRASHENVLKEELEFDLWPRWGDGGADFIGIFLDGVRPRVNHFLTGRASVPGRAISKGRQSIEAQNIIRENYEILVLQTEFLDYLLQLYLANIRLKNAATDVVITMVLWKQHYLMLVHLNSLRLLH >ORUFI11G11200.4 pep chromosome:OR_W1943:11:10976423:10980553:1 gene:ORUFI11G11200 transcript:ORUFI11G11200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAARGYALGCIRRRRCRIWRPEKTARRRKMTAIGAGDGAAEADSANVSVDGNIINLGLWGTAGQEDYSRLRILSYRGADIFVLAFSLISRASHENVLKEDGVRPRVNHFLTGRASVPGRAISKGRQSIEAQNIIRENYEILVLQTEFLDYLLQLYLANIRLKNAATDVVITMVLWKQHYLMLVHLNSLRNPGGLPNWLGNVVVSVAIAIVICFTCVYLLSYVHISDCYTCPVHEIASIQKGMKRASRHFWQIIDFVLERGIYQSIIYILVL >ORUFI11G11200.5 pep chromosome:OR_W1943:11:10976423:10980553:1 gene:ORUFI11G11200 transcript:ORUFI11G11200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAARGYALGCIRRRRCRIWRPEKTARRRKMTAIGAGDGAAEADSANVSVDGNIINLGLWGTAGQEDYSRLRILSYRGADIFVLAFSLISRASHENVLKEELEFDLWPRWGDGGADFIGIFLDGVRPRVNHFLTGRASVPGRAISKGRQSIEAQNIIRMVLWKQHYLMLVHLNSLRNPGGLPNWLGNVVVSVAIAIVICFTCVYLLSYVHISDCYTCPVHEIASIQKGMKRASRHFWQIIDFVLERGIYQSIIYILVL >ORUFI11G11200.6 pep chromosome:OR_W1943:11:10976423:10980531:1 gene:ORUFI11G11200 transcript:ORUFI11G11200.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAARGYALGCIRRRRCRIWRPEKTARRRKMTAIGAGDGAAEADSANVSVDGNIINLGLWGTAGQEDYSRLRILSYRGADIFVLAFSLISRASHENVLKEELEFDLWPRWGDGGADFIGIFLDGVRPRVNHFLTGRASVPGRAISKGRQSIEAQNIIRMVLWKQHYLMLVHLNSLRNPGGLPNWLGNVVVSVAIAIVICFTCVYLLSYVHISGSASDCYTCPVHEIASIQKGMKRASRHFWQIIDFVLERGIYQSIIYILVL >ORUFI11G11200.7 pep chromosome:OR_W1943:11:10976423:10980553:1 gene:ORUFI11G11200 transcript:ORUFI11G11200.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAARGYALGCIRRRRCRIWRPEKTARRRKMTAIGAGDGAAEADSANVSVDGNIINLGLWGTAGQEDYSRLRILSYRGADIFVLAFSLISRASHENVLKEDGVRPRVNHFLTGRASVPGRAISKGRQSIEAQNIIRMVLWKQHYLMLVHLNSLRNPGGLPNWLGNVVVSVAIAIVICFTCVYLLSYVHISDCYTCPVHEIASIQKGMKRASRHFWQIIDFVLERGIYQSIIYILVL >ORUFI11G11210.1 pep chromosome:OR_W1943:11:10981033:10985080:-1 gene:ORUFI11G11210 transcript:ORUFI11G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDAVRTPSARRAARTRSASSGGETPTESSGRRRSKQAAVTGERGGRRRPAAGGEGGRDEEGRNGYVVAQLLKSPRSGRPKNQPSPPPQEEEEGNHGSARYDCTFQDEGGHDFAPPELVWGKVRSHPWWPGQVFDAADASELALKHTRAGAPLVAYFWDKTFAWSDASALLPFCSNFTRLASQSTMSGFVSAVDAALQEVGRRVEVGLSCTCFGSSIGKRQEIENSGIREGAYGAVVDGAYMRGTYHGRPFLDYILALGMNPLAGADRLELTTAKAQLRAFNCSRGSRHLPEFVTFEGIEDVSVAIPHTKRKRMDKSGGDDVMDMEKKPRRGESSSRKKKVLPEAGKKEIMDEEGSVPSIGATEDTLSKTKKSKNQNCAAKKNRNTSKDADGLDMDDKGSVPSKGATVDTSRQIKKSKNQNSAVNKNKNTSKDADGLDMDGEGSVPSKGATDDASSKTKKSKNQNNAAKKNKNTSKDADGLDMDDKGSVPRKGATDDTSIKTKKSKNKNRSAKKNKNTSKDADGLETVGASKKLSKKAVDETLSDSKSARRTRSTRMKGGTPVALKGRGKDSGAESLKVEEKNTALLKENKVGRRAGSARKKYKTTGDGDGLEDGNANVSVSSGKRSTRGETSVASEARISEQGRKKKKLSELMAVTDVPNPSSGGKSKARGKRSMDASTEKLEDPDRDLEDTMKTRKRKKLDTLGDLSSQPQPVSRKSTTKVGELMHKAAGQMSQTRPVRKANGAVSQKNSRSTKERQVNAPDKSAHSLKVKKGKTDTLTENSLSCSEMLSQLSLAVFNLKKKERFSSAGMNFFTDFRKYSYASRSDVEKEIYGKATNTGSCASFSDVDEDIPEKAASTEPTPLEQPLADHMQDDYWADILINVEEPLSSLRKKKDKGVNRTRKKEHVKKPAMKSSSLGNVEGPTVEGSENKQPNAETQLSVANGTKVSSEETESSSFAGLVLHFSRPGAVPSRSDLIKIFSQYGPVNEAKAETANNANCAQVIFKRRMDAEAAFAGAGKIGALGPALVSFRLSDFPAAASGNDPRQGASKSD >ORUFI11G11220.1 pep chromosome:OR_W1943:11:10999775:11001882:-1 gene:ORUFI11G11220 transcript:ORUFI11G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAPNMLAPPTDDELLHAQADLWRHSLYFVTSMAFQCAVKLGIPTAIHRAGGTASLPDLVAALSLPPAKLPFLRRLMRLLVHSGVFAAADDTTGSAGTYRLTPLSWLLVEGEGAAPVVDGHPCQVPVVLAGTSRHFVEAAMGLAEWFRKDVPAAAPPSPFEEVHSAVLFDESMASLHPEVDTVFNQALAAYDHSGFATVLRECSEVFQGVQSLTDCRGGDGAAAKAIVEAFPHIKCTVLDFPRVIGNKRGDGVVNYVAGDMFRAIPPAQAVMLKLVLHHWSDEDCVKILTQCKKAIPARKDGGKVIIIDIVIGAPSGPLLEAQLLMDVGMMVATKGRQRDENDWRDLFKKAGFNDYKIVKKLGARAVFEVYP >ORUFI11G11230.1 pep chromosome:OR_W1943:11:11002928:11003870:1 gene:ORUFI11G11230 transcript:ORUFI11G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDVLAAAAASRRRTAPAIAAGWRRGGQLVSDLAAFTLDPEGEGRREWSWRRRSGGVGWLLGEAGSRQVEKAVNPAYAESGRLHARREEAGHKELGEASGVGGGRQKVLVEVAGGEAEKEDGRRGAAGAVRWSRHLERPIVCCSFACWKAVGHDDQQCGQCPGRRRGFLPTGCCSLLPSIGDPKLLRLAYFSRGVPHVKERDTGLVGRFCLVKIGRPSTLSAPSKSLQQRGVWCSKLLWRNRVVELGVESELLAVASSATITPFSRPWKRLRVVKHCGGLGFVVVRDQEHG >ORUFI11G11240.1 pep chromosome:OR_W1943:11:11018322:11021652:-1 gene:ORUFI11G11240 transcript:ORUFI11G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPPTDDELLHAQADLWRHSLYFVTSMAFQCAVKLGIPTAIHRAGGTASLPDLVAALSLPPAKLPFLRRLMRLLVNSGVFAAADDTATGWAGMYRLTPLSWLLVEGEGAAPVVDGHPSQVLVVLAATSRHCVEAAMGLADWFGKDLPPSSSAPPSPFEEVHGAALFDESMANLDPESDSMFNEALAAHDHSGFPTVLRECREVFQGVESLTDCRGGDGRAAKAIVEAFPHIKCTVLDFPRVIGETRTGVVNYVAGDMFREIPPAQAVMLQLVLHHWNDEDCVKILANCKKAIPTREDGGKVIIIDIVIGAPSGLLLEAQLLMDMAMMVVTKGRQRDENDWRDLFSKAGFSDYNIVKKMGARGVFELLLHHWNVEDYVKILAQCNKTIPNGEYGWKVIITDIVNGAPSGPLLEAQLLINVVMMVVTKGRQRDENEWRDLFKTAGTAGFSDYKIVKNWELELYLRSIDPK >ORUFI11G11250.1 pep chromosome:OR_W1943:11:11086681:11089004:1 gene:ORUFI11G11250 transcript:ORUFI11G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTPLVSTSRLGTDPEPTVRSLYDDGDACLNDGYRSAVAVDRSATCGIQREMLQGEEAGHRGIDLARAQGEAAATTG >ORUFI11G11260.1 pep chromosome:OR_W1943:11:11094682:11097085:-1 gene:ORUFI11G11260 transcript:ORUFI11G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAPTMLAPTNEELLQAQADLWRHSLYYITSLSLQCVIKLGIPTAIHRAGGAASLPDLVTALSLPPSKLPFLRRLMRLLVHSGVFAADDTTDTGTYRLTPLSCLLVDGDDDGAAIVDGHPSQVPTVLAMGSRHCVEAAMGLADWIKKDSPSLLFEDVHGATPFDESMADLDPETHGLLNEGLAAHDGSGFAAVLRECREVFQGLESLTDCGGDGATARTIVEAFPHIKCTVLDLPRVIGDKKTDGVVSYVAGDMLSFIPPAQAVMLKVVLHHWSDQDCVKILAHCKKAIPSREAGGKVIIIDVVISSTSGPLLEAELLMDVGMILIGKGQQRDENKWCDLFKKAGFSDYKIVKKLGIRGIFEVYP >ORUFI11G11270.1 pep chromosome:OR_W1943:11:11097557:11098025:1 gene:ORUFI11G11270 transcript:ORUFI11G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPAGSIQIHLCLALLSLGAEAVGDSARGEAAAQAGRRRAKGGVGGASSSGATRSSRHDVHCCSKLRGSQICLPQPLTGDVLEYRWGLLGCDSWRTAWVMAVVPTEW >ORUFI11G11280.1 pep chromosome:OR_W1943:11:11113052:11113282:-1 gene:ORUFI11G11280 transcript:ORUFI11G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSPPRKGYEMPSGRDALHLVKSRVVVLSIRDASTKMRCRPSVMLSLFVEINAVARPHIGASLVYVNMKKEWCRL >ORUFI11G11290.1 pep chromosome:OR_W1943:11:11119218:11119743:-1 gene:ORUFI11G11290 transcript:ORUFI11G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKDIIFGTAPILCQNHQDLRKRNRSLIRVKRDSNEHDEAHGPKLEVQLVLCVQHDPEGTLGTEWEEIEPDVHEDPSPTKEDIDTPKEDDNGCDKSELPRVLRDHNPNSENKQKEKWLVSEVGNNVPRNAWLIRKRPMT >ORUFI11G11300.1 pep chromosome:OR_W1943:11:11139942:11143667:1 gene:ORUFI11G11300 transcript:ORUFI11G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTPISPQLVSPTDDELLQAQANLWRHSLYYLTSMALRCAVKLGIPTAIHRLGGNATLPALITALSLPPAKLPFLRRLMRLLVSSGVFTTERGGAAAEAEAVYGLAPLSLFLVDGAFTGSEVDDGHTNQSAFVLAATSAHYVEAALGLDDWFMKDNVPAAASPFEAVHGAPLLHETPVDAELNRLVSEALVSQNHMGIGLALRESRRVFEGLESLVDCGGGDGAAARAIVRAFPGIKCTVLDLPQVIGTAPVADGAVDYVAGDMFSYIPPAQAVLLKYVLSHWSDDDCVKILAQCKKAIPSREAGGKVIIKDVVVGTSSGLMLEAELLMDMAMMVMTSGRERDEQEWREIFTNAGFSDYKIMNKIGARCVIEVYP >ORUFI11G11310.1 pep chromosome:OR_W1943:11:11148516:11152747:-1 gene:ORUFI11G11310 transcript:ORUFI11G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQANTIEVPTDAELLQAQADLWRHSLYYLTSTGLRCAIKLGIPTAIHRLGGAASVPGLMTKLSLPASKQPFLRRLMRVLVTNGVFAAEHNDGEVERYCLTPLSRILVDGVVADEHHSQTSFVLAATSRHYLEAALGLDEWFRKDVAPPLPSPFEDVHGASLFDESTALLDPELDAVVNEGLAAHDNLGIGTILRECRDLFRGLRSLTDCCGGDGTTARAIAKAYPHVKCTVLDLPKVIDKAPNDGVVNYVAGDLFHTVPPAQAVMLKLVLHHWSDDDCVKILTQCRKAIPSREEGGKVIIIEILVGPSLGPVMFEAQLMMDMLMLVNTRGRQRDERDWRDLFLKAGFNDYKIVKMLGARGVFEVYP >ORUFI11G11320.1 pep chromosome:OR_W1943:11:11156854:11157331:-1 gene:ORUFI11G11320 transcript:ORUFI11G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEATTSLSSLAGEPVRALVGRRPGAAISSTEAAAALGRWKRRQSCRIPVVGGWSPRMDAAESITPDCPRDRPTVPPVFAGERGAAKLHRQGELLLVGERERTLRPALAHRGREVEDGGMSRICELCMSMAPLQQQRNISDMILNT >ORUFI11G11330.1 pep chromosome:OR_W1943:11:11193602:11194378:-1 gene:ORUFI11G11330 transcript:ORUFI11G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKIKHKLARMNNLEILHVRFHYNGEFVNSRRIVQYVGGDERMSYTEKDKISMPEIRGHLADHVEVKDAMQLHWLFPGKELSTGLRLLTDDKACLDMSNCIAEGGVPEIFVEETMSDYNSEESDWETDNLISSVFCVYICILVKIYVAY >ORUFI11G11340.1 pep chromosome:OR_W1943:11:11199735:11200285:1 gene:ORUFI11G11340 transcript:ORUFI11G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTNQPTLLGPVPLPFNDAIAAGDTPAVDPGLMEEYGSIISSLPSNPKMHLNCYQEVPGIIAIQRRGGGGFTPRRRGDVVLASPPKCGTTWLKALAFATMARRAHPPAAADGVQHPLLRLNPHDCVPFMEKLFAAGLGSKMDALPSPRPMATHMHHSLLPTSITDNLDCKIIYICR >ORUFI11G11350.1 pep chromosome:OR_W1943:11:11216370:11217653:-1 gene:ORUFI11G11350 transcript:ORUFI11G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTQTIQVPNDAELMQAQADLWRHSLYYLTSMGLRCAVELGIPTTIHGLGGAATVPDLMAALSLPENKLPFFRRLMRVLVTARVFASADGGETERFRLTPLSRILVDGVVADEHHSQRCFVLGTTSRHYVDAAFALSEWFKKDLASPVPSPFEDLHGARLFDESTPLLDPELDATVNEGLAAHDNLGIGTLLRECRDLFKGVRSLTDCCGRHGATARAIVKAYPHIKCTVLDLPRLVDHAPRDGVVNYVAGDAFQCTIPPAQAVMLKLVLHHLSDDDCVKILAQCKKAIPSRKEGGKLIVIDILVEPSLGPVMFEAQLMMDMLMMVNTRGRQRNENDWHDLFMTAGFSDYKIVKKLGARAVFEVYL >ORUFI11G11360.1 pep chromosome:OR_W1943:11:11227126:11228517:1 gene:ORUFI11G11360 transcript:ORUFI11G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGDESGTNDDGKRGCRALPLAAFLLSDDVHDEGEFAGGRLIPTTTSLLVPSGSRAAIAVDLGGSEQRMAAWQSGSCSPSAARPYNPRCRPCAIEMLDLDLLLYAFLGLIFASVWDDPRNRLVVLASRKFPAAEGRSDLHPVLVMRGTCRLFCFVADNGEDAPVPCRYAEILIKKNEASPGELEVYFFFTSLLMCWAEIYSLY >ORUFI11G11380.1 pep chromosome:OR_W1943:11:11286580:11286942:1 gene:ORUFI11G11380 transcript:ORUFI11G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSGVAARHPKRRRQRRQAEQRRGGQSGVSGDGGGVARSSLLLASAPPLLSSPDRQPFVLGEHADNLDTTSSVPTTIVEILSSPLDGTASLGRIWWHRRPYSSPLFGKLLRCGSKLAGA >ORUFI11G11390.1 pep chromosome:OR_W1943:11:11291454:11294429:-1 gene:ORUFI11G11390 transcript:ORUFI11G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTGGGGGGFRRWLRGGWSQRRLPSFLPDPVEAEPFRIRHPGKVNGKRPKILAQYSRNRFPSLLMLMLGGATHYTQLVDLHEWSCQHHNDNQIGVQDKMASIGAALSGLKNSSFTTMGAEKLPDQMHDLKIRDDNEVQVTNINGKGAETGHIIVTTTGGRNGQPKLTKCKSAWEDMLNTEHAAALNWFEDVCRQNCGL >ORUFI11G11400.1 pep chromosome:OR_W1943:11:11295934:11298133:-1 gene:ORUFI11G11400 transcript:ORUFI11G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRAWVSLNGLLGWGPTKGQLASRFAVSLLARSLDPSGVFRRPLRSARTEAASSSSSATPPAVLRPPPHKLLCASSFAQALLRSFDAVAALDATDAAAASLLRDHTALDDGNTRLPARGARAHASNLIVLIQAHAEKSKVKCQESRPSKAFNGYKPTIDFEVTDHGLSVGTRNH >ORUFI11G11410.1 pep chromosome:OR_W1943:11:11311106:11311797:1 gene:ORUFI11G11410 transcript:ORUFI11G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAVEEAAQAGGEEEAAGKEAPDQAEPATTEECFFVGNGPLSRRRGCSASITLCLGLPSSRAHACRAVPDGQNPPNPRPALHSISQSSRSFPPRPCRQSPWGRLCLSHAQTTEAGMDQRIGDGGQTGDGNPGRTTISELYPDPICGSPASILIMYWVDISF >ORUFI11G11420.1 pep chromosome:OR_W1943:11:11327148:11327627:1 gene:ORUFI11G11420 transcript:ORUFI11G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALSTALVRRRLDGTRGAAEVELALGWPQVRLVSATATSSQSGGSIVPSPGTNLPFPASGAAGLGGGRSGALGPRRGGSAAPTLGATVLDSGDDDVEWHGTGKVAKSDAYSQLSASIAIITTLSSCFLPPTLGLQVADDDRDATESMETTTSAGVRM >ORUFI11G11430.1 pep chromosome:OR_W1943:11:11330192:11336060:1 gene:ORUFI11G11430 transcript:ORUFI11G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHFTAAFMIATCLMLFPRSEQSSQGEVLQQLRKQLEYPRQLDVWNNPNSDPCYTQPTSVVTVACEGNAITELKIIGDRITKPPKFSGYPVSNITLSEAFVLDSFVTTLARLPALHVVILVSLGLWGPLPDKIHRLSSLQVLDLSSNFLYGSIPPKLSAMPKLHTLTLDGNFFNGTVPDWFNLYSNLTVLRLQRNRLKGPIPASIGKATMLSELALAGNSIAGEVPQLGSLNKLEMLDLRDNELDGELPELPTALVTILLSKNSLKGEIPEQFGQLNRLQHLDLSFNFLVGKPPEKLFALPSISYLNLAANMLSGSFSTSLTCSSTLGFVDLSTNQLTGDLPVCLNVNVNNRVVKFDGNCFSDDPEHQHETKYCQQPHKGRGSNKDVGLVVTVVGVVFIVLVLSLILMASNRRSCQRVLAEQQLLQKQMQDNSTSGMSTELLVNARYISQAVKLGTQIMPMYRAFSLEELKEATKSFERSAFLGEGSIGKLYKGKLENGTLIAIRCLALHQRYSIRNLKLRLDLLAKLRHPNLVCLLGHCIDGEVDESSVKRVFLVYEYVPSGTFPSYLSGSSPEKTLNWCERLQVLMNIAKAVHFLHTGIIPGSLYNRLKPSSILLDEHLVAKLSDYGLSIITEEIYKHEAAGEGQRCVEDNGGELENLEDDVLSFGGILLEVLMGPKRHRKDLSVLSELVLSISKQEEREQVLDPVVLSTSSQDSLSMVISITVKCLSVESSARPSIEEVLWNLQYAAQVQAISDGDQRSEVSSQTC >ORUFI11G11440.1 pep chromosome:OR_W1943:11:11341201:11356844:-1 gene:ORUFI11G11440 transcript:ORUFI11G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAPLLFHPLLHFSEAIPLLQSIHSTLFRSPTAAGPYPFHSPPTPTAAGVLGHHTMSVATEACPSPKLHTRLRLWEFADRYVFEPVDGLADLFLSVDRTNGSMSLVEELPPRGPSTNPKVRIVFGVIGVLKLAVRSYFLVITGRDCVGSYLGHAIFKLTGLKVLPCNNSLNTSSAEQKKMESEFSELLDAAERTIGLYFSYDVNLTLTSQRLHDLGDQFKSLPLWRQAEPRFLWNGYLLEPLIENKSIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMQSKEFTASYVQVRGSMPFLWEQIVDLTYKPSFDVVRVEEAPRVLERHFHDLQKKYGAVVAVDLVNTHGGEGRLYERYAKSIEPILSEDIRFVHFDFHQICGHIHFERLSQLYDQIEDYLKKHSKGEKMEEQTGTVRTNCVDCLDRTNVTQSMIGRKILESQLQKISVLGDNNTISDYPAFDADYKVLWANHGDAISTQYSGTPALKGDFVRYGKRTTQGILNDLWNAMARYYLNNFADGTKQDAMDLLQGHHISSVSRDMPTPTKGLIENHASFRLAFALLLAAVIFLIMSLRRENVAKMYMMIKIANG >ORUFI11G11450.1 pep chromosome:OR_W1943:11:11360899:11362073:-1 gene:ORUFI11G11450 transcript:ORUFI11G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEDDVAHVVDVLDALTGAVERDGQQVTRGGLLEHRRHARGAPGRGTYWEPARDDAGETTRHSTRHPPTAAARCPPPASLTQEVKREERREKREQKRKNREEKK >ORUFI11G11460.1 pep chromosome:OR_W1943:11:11413546:11415591:-1 gene:ORUFI11G11460 transcript:ORUFI11G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAGKKWEENSSRSTEKQRHQKLPDIRGKNCLPESTYEKSTENNFLEYLVEQQKTQDLSPEQQDSKTIPMEPIILRSHEDPSSHSQFEVSRPQSETYLLPYSYPITTILIPTANNEQQKGAILSPLLKIR >ORUFI11G11470.1 pep chromosome:OR_W1943:11:11420908:11422596:-1 gene:ORUFI11G11470 transcript:ORUFI11G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHRISRSHAGVNLRAAERDGRRLRGVLPVYHYQKNITVEDYASHATSGYTQRSKAVIKKEK >ORUFI11G11480.1 pep chromosome:OR_W1943:11:11422639:11424465:-1 gene:ORUFI11G11480 transcript:ORUFI11G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPVQIKPFKYKLEETQPPYSPNGVVERRGSEDGGESGAKVDGAGGRSRRHTTRRQVTSKFLTSCEPWLGI >ORUFI11G11490.1 pep chromosome:OR_W1943:11:11458708:11459710:-1 gene:ORUFI11G11490 transcript:ORUFI11G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPRQGQRRAGRPSRSLLVPPLARRKSSLSSSPACAGGDRGNDGDGEGAEREESSSVRAAASGTRIPLLAGPTTRQYGRSLAAHPAPCAGGDRGNDGDGEGAEREESSSVRAAASGTRIPPLAGPTTRQYGRSLAAHPARLRLSSYGRSLAAHPARLRLSSVRRRRRARQRMGKGCRSYEDDRKVNGEEMIIILQNFSGTKWISRIVVAFF >ORUFI11G11500.1 pep chromosome:OR_W1943:11:11464946:11481097:1 gene:ORUFI11G11500 transcript:ORUFI11G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLDVVQISRVQADQRAGRAGRTRPGKCYRLYPISIYQKEFLEATIPEIQRSSLAGSVLYLKSLDLPDINILKFDFLDPPSRESLEDALRQLYLIDAIDENGQITDVGRIMAELPLEPSLSRTLIEANELGCLSQALTVAAVLSAEITFRSTRSKDMEGKRKRQELPDGSGWGDHIQLLQIFESWDRTGYDPRWCSDHELQVRGMKFSKDVRNQLSQIIQKIAKGSTDVQAKKERKSDPDYRKLRRALCVGYGNQLAERMLHHNGYHTVGYRAQLVQVHPFSVLEGDEYGKLPVYVVYHELINTTRPFMRNVCAVDQSWVKPILKKLERLDMNKLSGGSSGSKDPEPLEDKQVSSPKKAMDVKQSDVDSKIQAARERYLARKGKK >ORUFI11G11500.2 pep chromosome:OR_W1943:11:11464946:11481097:1 gene:ORUFI11G11500 transcript:ORUFI11G11500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPPPQPSPPALPISEHEDEIMAAVEANPVVVVIGETGSGKSTQLSQILHRRGYTRRGAIAVTQPRRVAAVSVSRRVAQELSVPLGDEVGYAIRFEDRTSEKTCIKYLTDGVLLRESLSDPELKQYSVIILDEAHERSLNTDILLGLMKRLIKDRASDLKVLITSATLDGLKVSKFFSGCPVLNIPGTLFPVEKFYSTERPTNYIESSLRTAIDIHVKESPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVLPLHGSLPPEQQVRVFAPAPPNCRRFIVATNVAETSLTVDGVVFVIDCGYVKQRQYNPSSGMYSLDVVQISRVQADQRAGRAGRTRPGKCYRLYPISIYQKEFLEATIPEIQRSSLAGSVLYLKSLDLPDINILKFDFLDPPSRESLEDALRQLYLIDAIDENGQITDVGRIMAELPLEPSLSRTLIEANELGCLSQALTVAAVLSAEITFRSTRSKDMEGKRKRQELPDGSGWGDHIQLLQIFESWDRTGYDPRWCSDHELQVRGMKFSKDVRNQLSQIIQKIAKGSTDVQAKKERKSDPDYRKLRRALCVGYGNQLAERMLHHNGYHTVGYRAQLVQVHPFSVLEGDEYGKLPVYVVYHELINTTRPFMRNVCAVDQSWVKPILKKLERLDMNKLSGGSSGSKDPEPLEDKQVSSPKKAMDVKQSDVDSKIQAARERYLARKGKK >ORUFI11G11500.3 pep chromosome:OR_W1943:11:11464946:11478124:1 gene:ORUFI11G11500 transcript:ORUFI11G11500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPPPQPSPPALPISEHEDEIMAAVEANPVVVVIGETGSGKSTQLSQILHRRGYTRRGAIAVTQPRRVAAVSVSRRVAQELSVPLGDEVGYAIRFEDRTSEKTCIKYLTDGVLLRESLSDPELKQYSVIILDEAHERSLNTDILLGLMKRLIKDRASDLKVLITSATLDGLKVSKFFSGCPVLNIPGTLFPVEKFYSTERPTNYIESSLRTAIDIHVKESPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVLPLHGSLPPEQQVRVFAPAPPNCRRFIVATNVAETSLTVDGVV >ORUFI11G11500.4 pep chromosome:OR_W1943:11:11464946:11473995:1 gene:ORUFI11G11500 transcript:ORUFI11G11500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPPPQPSPPALPISEHEDEIMAAVEANPVVVVIGETGSGKSTQLSQILHRRGYTRRGAIAVTQPRRVAAVSVSRRVAQELSVPLGDEVGYAIRFEDRTSEKTCIKYLTDGVLLRESLSDPELKQYSVIILDEAHERSLNTDILLGLMKRLIKDRASDLKVLITSATLDGLKVSKFFSGCPVLNIPGTLFPVEKFYSTERPTNYIESSLRTAIDIHVKESPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVLPLHGSLPPEQQVRVFAPAPPNCRRFIVATNVAETSLTVDGVV >ORUFI11G11500.5 pep chromosome:OR_W1943:11:11464946:11473995:1 gene:ORUFI11G11500 transcript:ORUFI11G11500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPPPQPSPPALPISEHEDEIMAAVEANPVVVVIGETGSGKSTQLSQILHRRGYTRRGAIAVTQPRRVAAVSVSRRVAQELSVPLGDEVGYAIRFEDRTSEKTCIKYLTDGVLLRESLSDPELKQYSVIILDEAHERSLNTDILLGLMKRLIKDRASDLKVLITSATLDGLKVSKFFSGCPVLNIPGTLFPVEKFYSTERPTNYIESSLRTAIDIHVKESPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVLPLHGSLPPEQQVRVFAPAPPNCRRFIVATNVAETSLTVDGVV >ORUFI11G11500.6 pep chromosome:OR_W1943:11:11464946:11470937:1 gene:ORUFI11G11500 transcript:ORUFI11G11500.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPPPQPSPPALPISEHEDEIMAAVEANPVVVVIGETGSGKSTQLSQILHRRGYTRRGAIAVTQPRRVAAVSVSRRVAQELSVPLGDEVGYAIRFEDRTSEKTCIKYLTDGVLLRESLSDPELKQYSVIILDEAHERSLNTDILLGLMKRLIKDRASDLKVLITSATLDGLKVSKFFSGCPVLNIPGTLFPVEKFYSTERPTNYIESSLRTAIDIHVKESPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVLPLHGSLPPEQQVRVFAPAPPNCRRFIVATNVAETSLTVDGVV >ORUFI11G11510.1 pep chromosome:OR_W1943:11:11494295:11494615:-1 gene:ORUFI11G11510 transcript:ORUFI11G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDDELDPVIVAGANRAFTDNGRKLGVGAGVIINHHRLSSRLPPMANLILVIVAGADRFSPADRLSSLELPSVWSSTIGVYTPGCHQWRTCPWSSSWRRSFLCR >ORUFI11G11520.1 pep chromosome:OR_W1943:11:11509812:11510626:-1 gene:ORUFI11G11520 transcript:ORUFI11G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYKTLLSTPPPSLSLHPQPPHYHPFSSPLLSSPAYSPLTAYRAASVSAAARLGSGGSAADSAGIRLRLFTAASEPGQLVYTASCGGGGAELRFAKFERRRLQECFDFVRAQGLVPPHRFPSVASPPWRRSGGTRLWHGHLLPPPPLRAAAGST >ORUFI11G11520.2 pep chromosome:OR_W1943:11:11509812:11510626:-1 gene:ORUFI11G11520 transcript:ORUFI11G11520.2 gene_biotype:protein_coding transcript_biotype:protein_coding PYKTLLSTPPPSLSLHPQPPHYHPFSSPLLSSPAYSPLTAYRAASVSAAARLGSGGSAADSAGIRLRLFTAASEPGQVSLPFPHLPSHLSSLGADSGRSSCRRAVAAAAPGGSCAEGGGGGGGGSPPSSQIRWRRRRLPSRPCTSRASPPRPCSSRSTSARGFSSLSHARRTLIKLVYTASCGGGGAELRFAKFERRRLQECFDFVRAQGLVPPHRFPSVASPPWRRSGGTRLWHGHLLPPPPLRAAAGST >ORUFI11G11530.1 pep chromosome:OR_W1943:11:11529005:11536660:1 gene:ORUFI11G11530 transcript:ORUFI11G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASIKLEVMSPSGEAPDEGSIAVEFHMPPICSPLVRPGRPAEVAPVISKNLEDILMSSGMLNLKELCLISGKASWLAYLDVYCLNADGSLFDAALISAVAAFTHLEIPLVSVGDDGRVFTVGGNEGKAKYELVNREKRKLTITNVPFSLTCALHKDNVLADPTAEEESIIETSVTIVLDSSDQIVSIQKPGGAVTSMTTIKECISLAKDRRRKLREILMDNVEAMEVDQTD >ORUFI11G11530.2 pep chromosome:OR_W1943:11:11529086:11536660:1 gene:ORUFI11G11530 transcript:ORUFI11G11530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAQATAAGGLAGEMEVEAYRRLFPLAFLERHLRESVRPDARRPAEARPTTVALAAVSSAHGSALVRLGDTAMLASIKLEVMSPSGEAPDEGSIAVEFHMPPICSPLVRPGRPAEVAPVISKNLEDILMSSGMLNLKELCLISGKASWLAYLDVYCLNADGSLFDAALISAVAAFTHLEIPLVSVGDDGRVFTVGGNEGKAKYELVNREKRKLTITNVPFSLTCALHKDNVLADPTAEEESIIETSVTIVLDSSDQIVSIQKPGGAVTSMTTIKECISLAKDRRRKLREILMDNVEAMEVDQTD >ORUFI11G11530.3 pep chromosome:OR_W1943:11:11529086:11536660:1 gene:ORUFI11G11530 transcript:ORUFI11G11530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAQATAAGGLAGEMEVEAYRRLFPLAFLERHLRESVRPDARRPAEARPTTVALAAVSSAHGSALVRLGDTAMLASIKLEVMSPSGEAPDEGSIAVEFHMPPICSPLVRPGRPAEVAPVISKNLEDILMRDAKFEGALFDQWEGILVSIPVEIPLVSVGDDGRVFTVGGNEGKAKYELVNREKRKLTITNVPFSLTCALHKDNVLADPTAEEESIIETSVTIVLDSSDQIVSIQKPGGAVTSMTTIKECISLAKDRRRKLREILMDNVEAMEVDQTD >ORUFI11G11540.1 pep chromosome:OR_W1943:11:11539331:11539669:-1 gene:ORUFI11G11540 transcript:ORUFI11G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDKAVGFILTLTSLSIFTYYTFRVIILPFVDSDHFVHKYFLPQEYAILIPVLVGVIVLSFLSVFVGLVMLKSKKKKKTT >ORUFI11G11550.1 pep chromosome:OR_W1943:11:11570022:11572739:1 gene:ORUFI11G11550 transcript:ORUFI11G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPSTATGLEPRLGTTTFVPPL >ORUFI11G11550.2 pep chromosome:OR_W1943:11:11570022:11572739:1 gene:ORUFI11G11550 transcript:ORUFI11G11550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPSTATGLEPRLGTTTFVPPL >ORUFI11G11550.3 pep chromosome:OR_W1943:11:11569951:11572739:1 gene:ORUFI11G11550 transcript:ORUFI11G11550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPSTATGLEPRLGTTTFVPPLCAKRIPRFSTHRLSTGKTRTAGRSIAAGCYLVF >ORUFI11G11570.1 pep chromosome:OR_W1943:11:11582896:11583660:1 gene:ORUFI11G11570 transcript:ORUFI11G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGYAEAAGNLEEKDWGECMCKEVGGMVYNGGTRESSDHVALPRPGKCPTARMDQYPTRAVTSSKWDLRTALVSSALQTVDIVWVKLDSLCRG >ORUFI11G11580.1 pep chromosome:OR_W1943:11:11598610:11606316:1 gene:ORUFI11G11580 transcript:ORUFI11G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYLQNSPIPNPQSNPGIRLRRQAAAARRYGPTADGDTATGSCGGRWGLCSRRGRRARGRSGRQAAWARCGAAALGIGAAAAGGRQPAQQGSRQQAVRQAGQQQVYTFSMALVVICGQPCSGKSAAAACLAAALCSSTSDLTVRIIDESSLHLGRNDSYKEKNLRGVLRSEVDRSVSRDSIIVVDSLNNIKGYRYELWCLARASGIRYCVLFCDTEVDHCREWNTKRQEKGEPTFEKPDRRNRWDSPLFELFPSRDGVMESSPVIAEAVSYLTKKVDSKTRDVKVLQPTIATQTEVINAIVEAQSCGLGLPLAGQYSLSGPPPPADADSATRMFVDYLNREISS >ORUFI11G11590.1 pep chromosome:OR_W1943:11:11608122:11612705:-1 gene:ORUFI11G11590 transcript:ORUFI11G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANLEDVPSMDLMNELLRRMKCSSKPDKRLILVGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGVKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLEKKGTKVDKVLNFAIDDSILEERITGRWIHPSSGRSYHTKFAPPKVPGVDDVTGEPLIQRKDDTAEVLKSRLEAFHKQTEPVIDYYSKKALVANLHAEKPPKEVTAEVQKVLS >ORUFI11G11600.1 pep chromosome:OR_W1943:11:11684781:11685036:1 gene:ORUFI11G11600 transcript:ORUFI11G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPEDSDTAKMLKYTEARFAQYRKDTNRKLVLRHKRIFKLEDKLWKLKNLHRMKDDYEDVKPIHVVKRLCTGIIFLMEGRLL >ORUFI11G11610.1 pep chromosome:OR_W1943:11:11686870:11688990:1 gene:ORUFI11G11610 transcript:ORUFI11G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERDKLGHSPPGAHQVVSECGSSRFCIIVKSGGSKSSGGSHHGSDEEDVTMPVFDANLPAGVKDGLESACDYFDKCINQKFTKLERSIAMLVDRLPPPRQEHARQGRRPPPEHHEYDAEDESACILMEVIIIAVLDNLLAEVLLLWIGLLMIFTMTIAIVVLLVFRWTKGLPATPRDGTKPAASSMSKSQSKDESTAASGSKSRSVECYTCGGRGHYMRDCPNQKKVLMTKEGYVSESLSENSEGVQLDHTLTAGYRDIDDSSMDGGAEQKNGVISG >ORUFI11G11620.1 pep chromosome:OR_W1943:11:11696688:11697428:1 gene:ORUFI11G11620 transcript:ORUFI11G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGAHNLFSSLFSFSLSSSTSRPSGSSRGDAARAARPAEGDPTVTRGGSGKTPVSSCLQSRHRTARGRAAPHGRHGRARKNRRESPRDGMSTVTSLGGASELGLVTIMALIDEIRNRRSNRSEDLPRDGGMTKFRGGGGAGKKLQHAHKMFDEMCRRVSEGLVLEAKIYKLTSSWPTGLDAGLEAVRA >ORUFI11G11630.1 pep chromosome:OR_W1943:11:11715082:11715530:-1 gene:ORUFI11G11630 transcript:ORUFI11G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVGVDFPTDVLVDILSQLPTSSQRRCRLMCRRWRDTVDKRTLERDGLDNEASAYIVDEARGCHRCVWTSSCSVDVIGTRNGLICVLDGDTGAVTVANPATRVAVRAAATATGVALAVFPRRVHAPCYFNKSGTFDAV >ORUFI11G11640.1 pep chromosome:OR_W1943:11:11740207:11747256:-1 gene:ORUFI11G11640 transcript:ORUFI11G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLWAVGCRGAGSEWEGVSRPESGYSIITNSKEKPTLGGQRIKTRKRNIAAPLDPASFSDAIVQIYLDNAGDLELVAKSIESSDLNFSRYGDTFFEVVFIGGRTQPGTIKPEEEGERHPYSVLDCAAQREAILPSVLYIQKTLRRRPFLIKSLENVMRKFLQSLEFFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVSKGIVLSFITEFFKEYLKENTLDDLIALLKKGKMEDNLLEFFPSAKRTSEALSEHFTKEGLTSLVEYNEKKMFEVKLKEIKLTLTTMINEEAEISEVTEAVKQQVKDAKFPDIEVVRMLWDVLMEAVQWSGKNQQQNSNSALRQVKAWAGLLNAFCTSGRLELELIYKVQTQCYEDAKLMKLFPEIIRTLYDQDVLAEDTILLWFRKGSNPKGRQSFVKALEPFVKWLEEAEEEE >ORUFI11G11640.2 pep chromosome:OR_W1943:11:11740207:11747329:-1 gene:ORUFI11G11640 transcript:ORUFI11G11640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEKPTLGGQRIKTRKRNIAAPLDPASFSDAIVQIYLDNAGDLELVAKSIESSDLNFSRYGDTFFEVVFIGGRTQPGTIKPEEEGERHPYSVLDCAAQREAILPSVLYIQKTLRRRPFLIKSLENVMRKFLQSLEFFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVSKGIVLSFITEFFKEYLKENTLDDLIALLKKGKMEDNLLEFFPSAKRTSEALSEHFTKEGLTSLVEYNEKKMFEVKLKEIKLTLTTMINEEAEISEVTEAVKQQVKDAKFPDIEVVRMLWDVLMEAVQWSGKNQQQNSNSALRQVKAWAGLLNAFCTSGRLELELIYKVQTQCYEDAKLMKLFPEIIRTLYDQDVLAEDTILLWFRKGSNPKGRQSFVKALEPFVKWLEEAEEEE >ORUFI11G11650.1 pep chromosome:OR_W1943:11:11778045:11778824:1 gene:ORUFI11G11650 transcript:ORUFI11G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGPGRLETAGAMAGVGGRLGTATARVVRRTMTRLKDGGAPLDYEEAYPVLYLGGGGVGFAQGLQRGCRVSQREPGAVGLALSLRASALAGGGGVGLATAAAVVGAWARQGIGRDGVGFVRPWHSGIRGQRVAQGRVASLLRQRERGRARERQLRVGRAGEGRGEGSCRASGRGAPALPQLCQRKTRAEVAWSAWKP >ORUFI11G11660.1 pep chromosome:OR_W1943:11:11835891:11836253:-1 gene:ORUFI11G11660 transcript:ORUFI11G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAIDDLHTNYKTQAINQKHVQQEKIAKLQKTVEQMEDMSQPEDSDTAKMLKYTEARFAQYRKDTNRKLVLRQKRIFELENEPWKLNVEPSSDEEVKPIHVVKCPCTGVIISLMEGRFP >ORUFI11G11670.1 pep chromosome:OR_W1943:11:11844033:11845628:-1 gene:ORUFI11G11670 transcript:ORUFI11G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPAKLRRASNGCKCNTQGLHILVYAQINIAAISLGSFFRLPYLMVLLWLWKTNDRVTLLWFYSFF >ORUFI11G11680.1 pep chromosome:OR_W1943:11:11923764:11929721:-1 gene:ORUFI11G11680 transcript:ORUFI11G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAQLVGAALTLLLLSVAAAPARANEVPRLFLQEIASDYDDGIGGTAGDKGAKTIAGSPIVAGVMNDRLKALTSSFAKAIGDKLDYCIKDTDKEWNAAFNFSKDTTFLTNCMKQTNGDLQQRVCTAAEMKFYFNSLIDAGEKSGEINYVRPNKNCNLSSWMDGCEPGWACTVGKEQKINLQDAKDIPYRALDCQACCPGFFCPHGLTCMIPCPLGAYCPLSSLNKTTGIYTTINHPLEIRIILAVVLTIGQTWLALTIFFAHLDSTARARHRNSLVVVGCYKKSSCPPNSATQDITIFGALLVVASCLVLLIIYNFSGQILTNREKKQAKSREAAARYARETAQARERWKSAKDVAKKAGTGLQSQLSRTFSRKKAAQTPKGGGGGGSSLPPSGEDGGGRKKNLTDMMQSLEDNPDNDEGFNLEIGDKGLRKNMPKGKQMHSRSQIFKYAYGQIEKEKAMQQENHNLTFSGVISMAKEHDVSTRPVIEIAFKDLTLTLKGSKKKLLRSVTGKLRPGRVAAVMGPSGAGKTTFLSAIAGKATGCETSGMVLINGKVEPIRAYKRIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIESLGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFTGLGITVPERVNPPDYYIDILEGIVKPTMSAGVSVKDLPLRWMLHNGYDVPRDMLQSSSDSESSFRGSTSPASGDASVAAEVWGNVKDIVGQKKDEYDYNKSTEDLSNRCTPGILRQYRYFLGRCGKQRLREARIQGVDYLILCLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFALDKIYYWRERASGMSSLAYFMSKDTIDHLNTIVKPIVYLSMFYFFNNPRSSIWENYVILVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKNTFFADLCYTKWALEGFVMANAQNYSGVWLITRCGSLVKSGYDINDKALCIVVLIANGIVFRCVAFFCMVTFQKH >ORUFI11G11690.1 pep chromosome:OR_W1943:11:11931251:11931546:1 gene:ORUFI11G11690 transcript:ORUFI11G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSGGMQGTTTTKAGRNGFRTGAGVEGPGVTRATDWRGDATVAARKVGTCAACRAKDSLTIVTLQSPVTVECPVHGDLEEHIPKPCKNKCDTF >ORUFI11G11710.1 pep chromosome:OR_W1943:11:11960692:11967804:1 gene:ORUFI11G11710 transcript:ORUFI11G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCLTTAEQRAMEVPAASVKGGGGRRSDEEAPGRIAGNGAGNVACLFTRQGKKGTNQDAMVAWENYNGRSDTVFCGVFDGHGPHGHLIARKVRDILPSRLCDLIYEDCGDSPTSNSDVSTLEENLSPYADAECRSPTLAGQKEHQEFFNAMKESFRKAFKNVDKELKLQRNIDSICSGTTAVTLIKQGHDLIVGNLGDSRAVLGTRDQNDKLVAHQLTVDLKPDHPREARRIRRCNGRVFAHQDEPDVARLWLPNCNSPGLAMARAFGDFCLKDFGLISVPDVTYRQITEKDEFIVLATDGVWDVLSNQEVVDVVASCSGRFAAARSVVDLANETWRFKYPTSKTDDCAVVCLFLNKYEVAGGLSGQPGYSPRMPALSGITRPNSKRVTPDDVDDGSDSNVSGDERSLDGFTRLNTLLALPKFGDTSPTKK >ORUFI11G11720.1 pep chromosome:OR_W1943:11:12011253:12012908:-1 gene:ORUFI11G11720 transcript:ORUFI11G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNHHGGRCLCSPPGRHPGTASGGGGGGYRCCTGEDGREQRDLDIQGRGCNEEQDHRLEQEWAKSCGSLTGSSLTQENSHMLAFYKNVSNTLKDVLWKSDDLQSPHSWSNLGE >ORUFI11G11730.1 pep chromosome:OR_W1943:11:12052848:12053721:1 gene:ORUFI11G11730 transcript:ORUFI11G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWATNHLLGDDHIVVVLHRSWSEFVRTGTFAGGLNLDRSVVSRPHSPPRYNAAVDGMFVPWDHEHEAAGARGSLVGGGDGGGRCSQPAVLREVKGAAGRALAAQLVEAMEPSAAVGARGATAMI >ORUFI11G11740.1 pep chromosome:OR_W1943:11:12105956:12106651:1 gene:ORUFI11G11740 transcript:ORUFI11G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRSGQPAMDPTRSDPSRCSCGSCSCSCGLLHMMPWNQYAAAYGTAASWLQRLCWRVCFSLARSRINEVMERRR >ORUFI11G11750.1 pep chromosome:OR_W1943:11:12114316:12114629:1 gene:ORUFI11G11750 transcript:ORUFI11G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLSCDGADHCNAGGGGCTKWIVSGVLASSKLLVDGDTTDQSGDQVSQDLENGGQIVVLAYFISRYRWLLRVHRRVFPRRQGARQL >ORUFI11G11760.1 pep chromosome:OR_W1943:11:12220427:12221370:-1 gene:ORUFI11G11760 transcript:ORUFI11G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERVRRRRIPAFGEWNYDGDGDLGGGGGCYGYGYRDGDWPVTQYFDSAMQARGLVISLPPSPKPPKKAVKWIDSGALGEEEVVDEKQRQRQHKVVVGLAVAGGEHGAARKQGKQSRVADGGAHAAMGYKRCRPAVVKAVDRDLYEIPPDMLCNKPRVTHLVLRIS >ORUFI11G11770.1 pep chromosome:OR_W1943:11:12221969:12222644:1 gene:ORUFI11G11770 transcript:ORUFI11G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAQDTGWYLGIRPWYQGIKHDTCGVSEGNDEGDVGEGAPQRQRMASRRHGEVRDVCSLSTWYLRYRLVPSTWYLWYQLGIDRYLMPGIRPWYQGIKHDTCEVSEGTVKRRRRRGRGRAATAEDDVEEARRAREREKSRGGAEEEFDGHTCCKSTRAAGVHPGGAKAIGRSRDDGDAEAEGEDEGSSRFCPPRGDDSPAHTRPTRAAS >ORUFI11G11780.1 pep chromosome:OR_W1943:11:12227766:12228772:-1 gene:ORUFI11G11780 transcript:ORUFI11G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGNSARLTATHKPGAAVSSPLTTSHPHPHRRCPSLPIVGALSPIPIPVASAPSLPVVGATSHDLERGRRRNTRAERGDLGMKRAGRNRAAKTRLSNAPCPRFSTPPHLDSIEERVTKFGHMRS >ORUFI11G11790.1 pep chromosome:OR_W1943:11:12236151:12236940:1 gene:ORUFI11G11790 transcript:ORUFI11G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVREFLKPKIAEPATISSAAAADRLRLPAETNVMCVVRQLVKTTTAVAVVLRRSGLALWQLTQAARARKRLKNDLCGKPVQACGRCRCRATNLTQNLCRDLRRRCRISARRRFGHPAFARGATDARHPLTRNAGRRLTQQ >ORUFI11G11800.1 pep chromosome:OR_W1943:11:12266929:12267541:-1 gene:ORUFI11G11800 transcript:ORUFI11G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRQCGDVPLMLRLEVGCPCAMLGFQANLALMADIARAWPHLLLVNFFHIYKLGPHQHRCHLSYCQHPKTAEDFLKLSPSPKLPLLASYELINLN >ORUFI11G11810.1 pep chromosome:OR_W1943:11:12267578:12267757:-1 gene:ORUFI11G11810 transcript:ORUFI11G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGNEEDVEETQGYWIGRMATREAKDGYGRCRSPGLAMGDGRRCAFRAISAMGGGV >ORUFI11G11820.1 pep chromosome:OR_W1943:11:12280070:12282329:1 gene:ORUFI11G11820 transcript:ORUFI11G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFHTNSIKLTGEIWEVWINCKGSKERWVKANVDKDLYDDYRDETIKTYTEWLRDQGLLKQIMAYKAYITNNKNTSDGVEINGSSDMPEIEWPSHARKITKHTQDGKQVGRGTLKGLSASAKRLKSSSRKLKVEFSAKLGGPCGDNRRTFVDEVVMTPLIGVRKWKDVKQDVKNSIADCVMNNTTRKLAMTDSGEAGDEGSRSVTFGGQEDDVFQNTYKETTGTKST >ORUFI11G11830.1 pep chromosome:OR_W1943:11:12309280:12309502:-1 gene:ORUFI11G11830 transcript:ORUFI11G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFARSTDLNVEPPAKPKPKVEVLLLASHALMRTAVGASLFPRPPQHAAQLALELCVILAAPPPLTVVG >ORUFI11G11840.1 pep chromosome:OR_W1943:11:12358257:12367191:1 gene:ORUFI11G11840 transcript:ORUFI11G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAELLVQFSIIYRGTHLINHFFSIVRWFW >ORUFI11G11850.1 pep chromosome:OR_W1943:11:12370820:12371917:1 gene:ORUFI11G11850 transcript:ORUFI11G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDQTPSGVQLDNSRKHERSTRSSTATRNTKESCRSGPDVQLYTPLSPTCSSGLLISDKSPKGCNLLGGQGTFGISGAGDTGKGLPGPAPYWSVNSSYESIPISALPPKKRYLRQLQLAQQLEVSPPAVPVAVPAQVPLAGSNSGVSFGQKSKQDNSLGPINWRSTRWWNYRKRSSDDADNAEKKDAANYQEAGNSIAGKRNRVEWGYGLAKYEKEKKQMSNSLPSDGDNTNLGASSESMTATVDCPAALPASSLGSNVQPGDALN >ORUFI11G11860.1 pep chromosome:OR_W1943:11:12375247:12378165:1 gene:ORUFI11G11860 transcript:ORUFI11G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCISTNLAEVLQKKVEQKEEESHKISHDNAFLESTPSCEVGSTSIEEVEGKDCVASELSMEEKEYVAADVLARKFDSPPSLVVSSHVTCFGSQVEGVGLPKMDKPVNHNSTKNVSWDERIRKGYEIEWSDDEILRFMKAMDKNIFDLKSISNYIGTRSIRECKILFSKYQRHFGTDLIHKANENATVEGEVSNCMPDNGEPHIWSAIDSMPCSMDNAHDQRPSILNKMTTEMENPQISQETSEKVIHSVESNVIKTNGTELCSKVNIDFNTNLSATASEVDSPRAVVSFDLNSPPVMDSIESKTCHTKTLIGFGEPPLSATNKHQENGKKGSTRSQLPENSLKHSEFHVEGQSVSTMQIGTINGSSFSQADGIMTHVQRIQHPQTNILDTSKDAAKKPSFIRIFGKIFHEGFSMEANTNSKEYDNVEGLTSNVTTNTTLPNTMSGDIPKNLSNVTSSCSALRSRNIDLIQNQHAESLKVIPSGMTRDDVASHLWTGSNNIVNHSEQPCKSSTVEGSGMLNGYPSLSDWTHMMTSFQALGSCGGQNEGSSTRNTMILGSQDQCKDYHLNFHDAYPKYRK >ORUFI11G11870.1 pep chromosome:OR_W1943:11:12381991:12383490:-1 gene:ORUFI11G11870 transcript:ORUFI11G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGRGRVAWTGSSGAHTGNGLRGGEVTIGHKASPGHVPAADGMDGDEVGPDVDIATGESLQGSMGYCNNLRGLKGQIRNLTREGDSGKSLD >ORUFI11G11880.1 pep chromosome:OR_W1943:11:12400855:12402044:-1 gene:ORUFI11G11880 transcript:ORUFI11G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTLSSALSSMEVMLDALMQRGVGKPEEKPKEEAPPALPTRPTVRGRPPSLQRPGSPPPWVHRSPSLPPMLEVDEKLAVNSELERRATVAEEAVKQKDDVVRQKDEEIAALRQQVEHYESRLSECEARMKSVEEELRKQITTMQIAQNNAGRTGESTTRTHHRQELSGTSGAPAQSSGRREEEASVTRQQARGRESNVAAVVDERKTDAVSRLATELRQESEAFEHRARAVTEAGPPTAKSVDELKKLKRQFGTWKKEYGARLRKTKAELKKLVRSERGGHGNRRRCCSWKIKLPKCRFPKCCAFKLPSPSSCCSCSCFRRCC >ORUFI11G11890.1 pep chromosome:OR_W1943:11:12438677:12440523:-1 gene:ORUFI11G11890 transcript:ORUFI11G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCREASGDRFQEESRGRRRFRPLGNLVVRCAISPPVVPLTPEEVEVEVETAGTDDAVVVASRKPELHYTAAK >ORUFI11G11890.2 pep chromosome:OR_W1943:11:12437124:12440523:-1 gene:ORUFI11G11890 transcript:ORUFI11G11890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCREASGDRFQEESRGRRSLGLGRHNGALGPPVDTGRNVHAVGEAGVDVSGTRRDNEVVHGAIADVVPGVRGQELLGEVCELVLGELVSEVAAQVDGVEELRVAALHIEPLHLLFCARVRPVVSSLPSAEPVHHHHVADVQAAARRRTRREHGGGEKGTARREQGQREYRWRGACHECGHGMHLTCG >ORUFI11G11900.1 pep chromosome:OR_W1943:11:12437150:12442891:1 gene:ORUFI11G11900 transcript:ORUFI11G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFMACASPPVLALALLASCGAFLATSMLPARATAGSCLDVGDMVMMDRFRAWQGAHNRSYPSAEEEMQRFDFFDAVNLRGDLTYQLAENEFADLTEEFLATYTGYYIGDGPVDDFVITTGAGDVDASFSYRVDVPASVDWRAQGAVVPPKSQTSTCSTTPRPKSAVSESVGKAPMCQQIICHCWAFVTAATIESLNMIKTRKLVSLSEQQLVDCDSYDGGCNLGSYGRAYKWVVENGGLTTEADYPYTARRGPCNRAKSAHHAAKITGFGKVTPRNEAALQAAVARQPVAVAIEVGSGMQFYKGGVYTGPCGTCLAHAVTVVGTAPTRLPVPSTGPSRTHGASHGASAATSGFSATSVAPACVASHSMSPTRP >ORUFI11G11900.2 pep chromosome:OR_W1943:11:12437150:12443057:1 gene:ORUFI11G11900 transcript:ORUFI11G11900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFMACASPPVLALALLASCGAFLATSMLPARATAGSCLDVGDMVMMDRFRAWQGAHNRSYPSAEEEMQRFDFFDAVNLRGDLTYQLAENEFADLTEEFLATYTGYYIGDGPVDDFVITTGAGDVDASFSYRVDVPASVDWRAQGAVVPPKSQTSTCSSCWAFVTAATIESLNMIKTRKLVSLSEQQLVDCDSYDGGCNLGSYGRAYKWVVENGGLTTEADYPYTARRGPCNRAKSAHHAAKITGFGKVTPRNEAALQAAVARQPVAVAIEVGSGMQFYKGGVYTGPCGTCLAHAVTVVGTAPTRLPVPSTGPSRTHGASHGASAATSGFSATSVAPACVASHSMSPTRP >ORUFI11G11910.1 pep chromosome:OR_W1943:11:12447271:12447847:-1 gene:ORUFI11G11910 transcript:ORUFI11G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAHTFGVDARGGEVVDWVQRRKRGTDVGEKGRRGEVSCACSLDSVGVGPCKVAHGGGQVAGKRANKGKVWQAVGPHVARLGRRYIFGLGTGEGWAGCGS >ORUFI11G11920.1 pep chromosome:OR_W1943:11:12458003:12463449:-1 gene:ORUFI11G11920 transcript:ORUFI11G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPLSLSHSLTSALSATSSGIGRPVARLLHPRVPSRPTVICLAAPPKVPVPIASPASLGDDPSKWDPAECDALLRGGEQVASVLQEMLKLMEDMEMDGSFESLAVELIAQGVIGKRVDEMESGFLMALDYMIQLAEKDSDNERKSLLEVVKQTVLDHLTKKCPPHVQVVGLLCQTEKKDSRHELLRRVAAGGGVFKNDKGLKCQIPGANLNDIANQADDLLESMESRPTIPDRKLLARLVIVREEARNMMGGGLLDERNDRGFTTLPEAEVNFLSKLVALKPGKALERMIKDVMQGKAEGADNIENANAGPDSKLDHLTGISGRGSVTGLKPRPVRPGMFLETVSKVLGGIYANNTSGITAQHLEWVRYLLRSFQWLCPLVWKGENHADCLIFPL >ORUFI11G11930.1 pep chromosome:OR_W1943:11:12462067:12462270:1 gene:ORUFI11G11930 transcript:ORUFI11G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDHYQTLGLRRDASTAEVKATFCRGALHDHPDRHAHSPDTAMRATFASPPTRTGLQGPLRRPPPR >ORUFI11G11940.1 pep chromosome:OR_W1943:11:12494971:12495374:-1 gene:ORUFI11G11940 transcript:ORUFI11G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISFLFLLAAIRSSSSVSSLTSRRRRRGVHAATRGTATASARRRGSPTPRAPRTGSPRSSAARGIRRRWRRRPRRRRRRPRAAGPPRTTRIRPAAQRSN >ORUFI11G11950.1 pep chromosome:OR_W1943:11:12511082:12516629:1 gene:ORUFI11G11950 transcript:ORUFI11G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMEKSNSSLHISVQEYFFRCIYKIVPLYVYGQKNTGPVTRSISLSVSFRWSSIKSSEGMNYCPISKYEQQYSLN >ORUFI11G11960.1 pep chromosome:OR_W1943:11:12522385:12526572:-1 gene:ORUFI11G11960 transcript:ORUFI11G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGHSNELGRANTLKKGGLRPIPVIIGNVFGLTNEVSERIVSASVTANLIIYLTTKYHLGAASSAIIIFVYQAAANFLPVCGAIVSDALLGRYLMVTLTLFSCTTGTFMLFLTSLIPKLTPPDCGLSNQGCTSPSPLQLFVLCASLGFMSLGASGVRPCCLAFAEDQIAHWDEAQKDRALRGLFSWYYVSVGFAQIVAVTILVYFQDQVGWKVGFGISAAVMLSITLVNLAASPFYVKMKPQRSIWISLLQVVVVSLKNRHLVLPKTYQSAQFHNASGLRELVPSEKMRFLNKACILRYHATNVSDGAGRTNSWNICTVEQVENLKSALSVIPMWSAMIMTFLIQSSSFGVLQAATMDRRVGTKKFQLPAGSISIFEIITFTIWSGCYDRYIVPFLRRITGRQQVLTLKQRMGIGVSLSIASMLVASAVETYRRKVAVKGGLQHDAKGTLEMSVLWLAPQYVIIGLAGAFSSIGQIEFYYAVLPKSMGSFVLALLFFGAGVASIIATLVIKAINLITGRNGMAPWLSNNLNEGHYNYYYFLLAVLGAIDLIYFIVCSYVFDERTQNMSLETSGDAKDMVEFQG >ORUFI11G11970.1 pep chromosome:OR_W1943:11:12553457:12554483:1 gene:ORUFI11G11970 transcript:ORUFI11G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGFCASSFVAVGLVVLVCSAAAAAAETHVAFAAGDTLVFNYQAGVHNVVAASAAEYRSCKVRNSADAAATAAGSAKLDLKKGVNYFICGVPGHCATGMKLRVVAN >ORUFI11G11990.1 pep chromosome:OR_W1943:11:12648192:12649832:1 gene:ORUFI11G11990 transcript:ORUFI11G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSPWRKLAEAEDAVNRAVAATRVGRYFKLEARKSSFTKELRAGAATFLTMAYIISVNAAILTDSGGPCTVSDCTPVVVGNSTAVPGPECTVGSNPGYEQCLGRTKSDLIVATAVAAMAGSFAMGALANLPLGLAPGMGANAYFAYNMVGFHGSGSIPYGTALAGVMVEGIVFFILSAVGLRSRLARMIPRNIRLATAVGIGLFLAFTGLQAHQGVGLVGASPSTLVTLTACSETDPATGACLGGSMRSPTFWLGAVGFLITATCLARDVKGGMIYGIVFVTVVSWIRGTAVTVFPDTAAGNAGFDYFKKVVDFHTITTTAGRLSFGGLRHGNALLAVFTLLYVDVLATTGTMYSMAEYGGFTDGAGGGFDGEYRAFLVDAGSTVLSAALGSTTVTTYVESTAGIREGGRTGVTAITVAACFLASLFFGPVLTSVPPWAVGPSLVLVGAMMMRVAKDIEWADMKEAIPAFVTMALMPLTFSIANGIIAGLGVYIALHCYDWARIAYLKASKVLDERRNQVAASSAGEASGITATTATAAAADVV >ORUFI11G12000.1 pep chromosome:OR_W1943:11:12658186:12659242:1 gene:ORUFI11G12000 transcript:ORUFI11G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQLVLVAVVAALLLAAPHAAVAITCGQVNSAVGPCLTYARGGGAGPSAACCNGVRSLKSAARTTADRRTACNCLKNAARGIKGLNAGNAASIPSKVR >ORUFI11G12010.1 pep chromosome:OR_W1943:11:12685340:12699850:1 gene:ORUFI11G12010 transcript:ORUFI11G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVYGIASFAAANSYGRVKKESEFRAEQGCLHPPQRKSWTSGWLQAVLPLCLHTCTSTFNSKKASTIDKELVEAELMKYWSAGGLMDGAAQRSSLPPPPARREKLAPTDGGGVVGIVAGKWMDVRAATRWKDRTAPAGMDAPAARGKKMGPRAKS >ORUFI11G12020.1 pep chromosome:OR_W1943:11:12707340:12707684:-1 gene:ORUFI11G12020 transcript:ORUFI11G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGREWKKGAAPVRKGRLGRWLLGLGGVARGGTTALGAWRGGTAGVREPGTTATAEKRRWRHEEETASALSAGLCPAELRPASVVEGGRWRSGRRQEEAVSVVGNLVTAFVPAR >ORUFI11G12030.1 pep chromosome:OR_W1943:11:12715374:12715827:1 gene:ORUFI11G12030 transcript:ORUFI11G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEWLETLLSTRFFLACGAHPASPRTSATSSASILDCLSPSPPFCYYCHSHRHQSHRVIQILRSSYNDVVRVTEVEDVLDISGVQTYVINSAKVLFLNEHPQPRGAGTVVGEAAASPYNC >ORUFI11G12040.1 pep chromosome:OR_W1943:11:12719801:12721072:1 gene:ORUFI11G12040 transcript:ORUFI11G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYSVGLVVLGLLALAFSTTVLAETHVVGDSNGWDFSVSFDSWADGKVFAAGDTLVFNYKPGAHNVLAVDAATYRSCKVGSSADSVAAATGTASFLLKKGVNYYICGVPGHCAAGMKLRVVAN >ORUFI11G12050.1 pep chromosome:OR_W1943:11:12740160:12745992:-1 gene:ORUFI11G12050 transcript:ORUFI11G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGAASWLVQVVLEKLVGDGIDAAWAAARAGGDPGRAHGGDVRRLGSRLQSLHALLSEAQEHAPMARRRSEALLRSLRSLQSLATDADNLLDEMLYHQIHRRLHPDEPSTSSNSCSSLFAVQLVEPNNRVAKRVRHSGDGDTTGRIKDILERMCEAGDDVREAIKMEKLDVSAAGGGQDDRIIQRRPTTSYSTEPKVFGRDTVKDRIVVMLISSETCGADLAVLPIVGNGGVGKTTLAQLVYSDTRVQAQFSKRIWISVSVDFDEVRLTRELLDCVSNGVNKHGGITNLNKLQEILEEDLKSERLLLVLDDMWEDNDKSRWNKLLAPLRCSSLRGNAILVTTRNHSVVKMIATMDPIHLDGLEDGDFWLLFKACAFGDEKYEGHPSLQVIGKCIANKLKGYPLAAKSVGALLNRDLDGGHWMSILQSDEWKLQRGPDDIIPALMLSYIHLPFHLQRCFSYCALFPKGHRFDGLDLVRVWISQGFVSSNNKKMEDIGHQYLNDLVDCGFFQRSTYYSMHDLIHDLAHIVSADECHMIDGFNSSGIAQSTIQHLSINTRYAYKWDVYSQKFYSKDDFQRKLTYVGETVQTRNLSTLMLFGKYDADFSETFSHIFKEVQYLRVLRLPTLTYSIDYLLSNFSKLIHLRYLELISSGPGGPLPEVICQLYHLQVLDVEYWVHLSTLPRAMNDLVNLRHFVARGELHALIAGVGRLKFLQELKEFRVGKTTDFQIGQLNGLRELGGSLAIYNLENICSKEESKNAGLRDKIYLKDLLLSWCSNRLEVSSVIEEEVLESLQPHSGLKCLSINGYGGISCPTWLSSINPLISLETICLDSCTKWEVLPPLGQFPLLRTLHLIQLPSSRVVPTVSSDDWTGSEKHIIFPCLEELVIRDCPELRTLGLSPCSFETEGSHTFGRLHHATIYNCPQLMNLPQFGQTKYLSTISIEGVGSFPYIRLFVRALYIKGCASPSKLDQILMLIEGNLCLLEKLTIESCLDLTYLPWKTLSKLVSLEMLVIVDCPRLSLTLYPYNQDGGNFSFMSLLNKLVIRACSITGKQLSHLILQLPFLHYLTIGKCPKITSLLLGDVINGSDSSSTSDYLQLTTDGMLQIPSHLLIQLQYLSIDDFPDLVLLWKEGFHGFTSLRTLHITGCTQLLSPMITENKRSNKNSSLLPPLLHDLMVTHVHNELLPFLLSNLTSLSIFAISNSPELSSLVLHSCTSLETLIIEKCVGLSALEGLHSLPKLKHLRIFQCPSLAKTWGPSSVDRPGFSLYLDKLEIDTTVLFNTEVCKKLPSLRHLVFFMLSIKACPGIKSLPENGLPASLHELYVSSCSAELKEQCKKTKNVRCVYVDRNASKFIVICKLLRLYFRITKLGAWQRSLWLQPRTGGSNELMVLTKPTCVLT >ORUFI11G12060.1 pep chromosome:OR_W1943:11:12765299:12766018:-1 gene:ORUFI11G12060 transcript:ORUFI11G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEQLLLCRLWGAPEGGTKPCHGVGGLARWACKRRRAPSGMDLSAGGATPSRRFPSSARRRK >ORUFI11G12070.1 pep chromosome:OR_W1943:11:12770500:12784644:-1 gene:ORUFI11G12070 transcript:ORUFI11G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDEEGEHRTNSNSKLETNPVINACAPTSNSQFLLVIQRKVAGRLAARLTHEHTQDKCRHAGRRRSASDIWRRSGSSSSSSLSSPASLPPPPAMTTSTSNQKPTYITSLPGLDGALPSLLETGYVTVDEENGAELFYYFVESEGDPGRDPVLLWLTGGHRCSVLSGLVFEIGPVELVREPYDGISLPRLRWNPNSWTKVASILFVDSPVGAGFSFSRDPNGYDVGDVSASLQLIEFLYKWFSAHEDYLANPFYLGGGSYAAKLVPFITQKISEGIEAGVRPIINLKGYTVGNPLTGDSIDFDSRVPYCHGVGVISDQLYKTIMDNCHGKGYSNPRTFICAKAMSKFNELFDEISAPHILHNKCIAVSPGPSDVSRRKILNEEVDLLQNPPPRPPIECIEYPHYLLYFWVNNNATRETLGIKKGTVNEWVRCHQGDLPYDEDIVNGIEYHRKVASLNYRTLVYSGDHDAVLPFLSTQAWVRSLSDHIVDDWRAWHLDGQSAGFTMTYGNNVTFATVKVKAHIFLFPLCIVYYRFQPKLALYMPVSFREILQIKDV >ORUFI11G12080.1 pep chromosome:OR_W1943:11:12786662:12787890:-1 gene:ORUFI11G12080 transcript:ORUFI11G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGYHPSAAACLAPPQPHADPPPVTPWAACTAAPPPQPRREGFPSPPPTVAVAEMYKQGADASTEAEANEKGEQPAVAAAGPLVKRERRGRRGRLPHVHTHTKIFAIYWDGKKTNLSFFYSSFPFSSSRRSCQCVSGQPWCGNAA >ORUFI11G12090.1 pep chromosome:OR_W1943:11:12823712:12826267:-1 gene:ORUFI11G12090 transcript:ORUFI11G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWQSLHNLLFCHENRQNGNYDSQRKKKGLIKKLLDEVSKPHILYRKCIYLSPRPNLESIHRKILKEEFRVLKHPPPRPSIQCITYANYLSYFWANNNNTQETLGIKKGSVNEWVRCHNNDLPYTEDTTTSIIIVMLH >ORUFI11G12100.1 pep chromosome:OR_W1943:11:12857549:12862389:-1 gene:ORUFI11G12100 transcript:ORUFI11G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPLIVICIVSGVTADANADAPMTRTHVASLPGFDGALPSRLETGYVTVDEVNGGELFYYLIESEGDLGSDPVLLWLTGGDRCSVLSALFFEIGPMKLVIEPYNGGLPRLRYHPYSWTKVANILFVDSPMGAGFSFSRDPNGYDVSEWFDGHPEYLANPFYVGGDSIAGRFVPFITEKISEDIEAAVRPTLNLKGYLVGNPVTGESIDNKSKMILEHCKGEDYDKPKNLICRQAMARFNEVQLGFLFILVLLEKFYP >ORUFI11G12110.1 pep chromosome:OR_W1943:11:12867819:12869561:-1 gene:ORUFI11G12110 transcript:ORUFI11G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSVGICKRRWRRTRWRGTGLWDGVEVALRQRTALAGIGEEREAMARGEEGFGLGAHRRRQRSKETAMPTVQQPAVMASTTRRLAAAAPSSSPVRHRQNRALPMSLPWSTPAGRRPYSSSWSSRPSHRVSSTSRTAPLPVAKNTTCVRGRKERRKKNSVRGILE >ORUFI11G12120.1 pep chromosome:OR_W1943:11:12869068:12875559:1 gene:ORUFI11G12120 transcript:ORUFI11G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLFFFLLSFLPLTQVVFFATGSGAVREVLLTRWEGRDDQELLYGLLPAGVDHGKLMGRARFCLCLTGDDEGAAAASRRVVEAITAGCCTVGIAVSLLRCRRRFHDEDFVLLFHDWHRLLQHGSGFKLSTTVEFVCFCNLRTPMPAGGGPRGTTDPVAQIHLMMKTLYNG >ORUFI11G12130.1 pep chromosome:OR_W1943:11:12873452:12902474:-1 gene:ORUFI11G12130 transcript:ORUFI11G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCKGEDHNNPKNVICKQALTRFNDLLNEVSKPHILYKKCIYMSLIPKFESMDRKILKEELGILKHRPPRPSIQCVSYSNYLSYFWANDNVTREYLGIKKGSVDEWIRCHDNDLPYTKDIKSSIQYHHNVTLNGYRALVYSGDHDTVLPFLGTQTWVRSLNYPIVDDWRAWHVDGQSAGFTVAYGNNLTFATVKGGGHTAPEYQPGRCSAMFRRWISNMPL >ORUFI11G12130.2 pep chromosome:OR_W1943:11:12873452:12902474:-1 gene:ORUFI11G12130 transcript:ORUFI11G12130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLTMTRYVTVDEENGAELFYYFFESEGDPGSDPVLLWLTGGDRCSVLSALFFEIGPLKLVIEPYNGSLPRLHYHPYSWTKVASILFVDSPVGAGFSFSRDPKGYDVGDVSASLQLVKFLSNWFGGHPEYLTNPFYVGGDSYAGKIVPFIAQKISEDIEAGVRPTLNLKGYVVDNPTTGERIDYESKVPYLHGVGIISDQLYETIMERCKGEDHNNPKNVICKQALTRFNDLLNEVSKPHILYKKCIYMSLIPKFESMDRKILKEELGILKHRPPRPSIQCVSYSNYLSYFWANDNVTREYLGIKKGSVDEWIRCHDNDLPYTKDIKSSIQYHHNVTLNGYRALVYSGDHDTVLPFLGTQTWVRSLNYPIVDDWRAWHVDGQSAGFTVAYGNNLTFATVKGGGHTAPEYQPGRCSAMFRRWISNMPL >ORUFI11G12130.3 pep chromosome:OR_W1943:11:12873452:12902474:-1 gene:ORUFI11G12130 transcript:ORUFI11G12130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLTMTRYVTVDEENGAELFYYFFESEGDPGSDPVLLWLTGGDRCSVLSALFFEIGQQPNSKQAPLSPKSVRSCGPLKLVIEPYNGSLPRLHYHPYSWTKVASILFVDSPVGAGFSFSRDPKGYDVGDVSASLQLVKFLSNWFGGHPEYLTNPFYVGGDSYAGKIVPFIAQKISEDIEAGVRPTLNLKGYVVDNPTTGERIDYESKVPYLHGVGIISDQLYETIMERCKGEDHNNPKNVICKQALTRFNDLLNEVSKPHILYKKCIYMSLIPKFESMDRKILKEELGILKHRPPRPSIQCVSYSNYLSYFWANDNVTREYLGIKKGSVDEWIRCHDNDLPYTKDIKSSIQYHHNVTLNGYRALVYSGDHDTVLPFLGTQTWVRSLNYPIVDDWRAWHVDGQSAGFTVAYGNNLTFATVKGGGHTAPEYQPGRCSAMFRRWISNMPL >ORUFI11G12130.4 pep chromosome:OR_W1943:11:12873452:12902474:-1 gene:ORUFI11G12130 transcript:ORUFI11G12130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLTMTRYVTVDEENGAELFYYFFESEGDPGSDPVLLWLTGGDRCSVLSALFFEIGPLKLVIEPYNGSLPRLHYHPYSWTKVASILFVDSPVGAGFSFSRDPKGYDVGDVSASLQLVKFLSNWFGGHPEYLTNPFYVGGDSYAGKIVPFIAQKISEDIEAGVRPTLNLKGYVVDNPTTGERIDYESKVPYLHGVGIISDQLYELLNEVSKPHILYKKCIYMSLIPKFESMDRKILKEELGILKHRPPRPSIQCVSYSNYLSYFWANDNVTREYLGIKKGSVDEWIRCHDNDLPYTKDIKSSIQYHHNVTLNGYRALVYSGDHDTVLPFLGTQTWVRSLNYPIVDDWRAWHVDGQSAGFTVAYGNNLTFATVKGGGHTAPEYQPGRCSAMFRRWISNMPL >ORUFI11G12140.1 pep chromosome:OR_W1943:11:12943445:12943633:1 gene:ORUFI11G12140 transcript:ORUFI11G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPRAAPPDRPSFCSALTVVTVAAVSVAPSCLRLNHRRREGGEEWGRDEERKREWRGNVKP >ORUFI11G12150.1 pep chromosome:OR_W1943:11:12944075:12973723:-1 gene:ORUFI11G12150 transcript:ORUFI11G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLCCCCFLLFVTIAAAGGSLTRTNVATLPGFDGALPSRLETGYVTVDEENGAELFYYFIESEGDPSTDPVLLWITGGDRCSVLSALFFEIGPLKLVIEPYNGSLPRLHYHPYSWTKVASILFVDSPVGAGFSFSRDPKGYDVGDVSASMQLIKLLREWFTEYPHYLSNPFYVGGDSYAGKIVPFIVQKISEDIEAGVRPTFNLKGYLVGNPSTGERIDLESRVPYSHGVGIISDQLYEMIMEHCEGEDYDNPSNVICQQALASGDHDAVVPFLGTQAWGAGHTAPEFEPERCFAMFKRWISSIPL >ORUFI11G12150.2 pep chromosome:OR_W1943:11:12944075:12973723:-1 gene:ORUFI11G12150 transcript:ORUFI11G12150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLCCCCFLLFVTIAAAGGSLTRTNVATLPGFDGALPSRLETGYVTVDEENGAELFYYFIESEGDPSTDPVLLWITGGDRCSVLSALFFEIGPLKLVIEPYNGSLPRLHYHPYSWTKVASILFVDSPVGAGFSFSRDPKGYDVGDVSASMQLIKLLREWFTEYPHYLSNPFYVGGDSYAGKIVPFIVQKISEDIEAGVRPTFNLKGYLVGNPSTGERIDLESRVPYSHGVGIISDQLYEMIMEHCEGEDYDNPSNVICQQALARFDSGTINEWVRCHEHDLPYNIDIRSSIKYHRNVTLKGYRALGAGHTAPEFEPERCFAMFKRWISSIPL >ORUFI11G12160.1 pep chromosome:OR_W1943:11:12975292:12975498:1 gene:ORUFI11G12160 transcript:ORUFI11G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAIALAETVAVTGRWEEWEEEGDRERKEWEEAGDRERGRSGCGAERAMGFEREMGGVGMGQRRRD >ORUFI11G12170.1 pep chromosome:OR_W1943:11:13005479:13008168:1 gene:ORUFI11G12170 transcript:ORUFI11G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANEGISAFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEANDGKPLPLVQKAGIGLTAGAIGACVGSPADLALIRMQADSTLPAAQSRNYKNAFHALYRIAADEGVLALWKGAGPTVVRAMSLNMGMLASYDQSVELFRDTLGAGEVTTVLGASAVSGLCASACSLPFDYVKTQIQKMQPDASGKYPYTGSLDCAMKTLKSGGPFKFYTGFPVYCVRIAPHAMMTWIFLNEIQKLEKRLGL >ORUFI11G12180.1 pep chromosome:OR_W1943:11:13010310:13012908:1 gene:ORUFI11G12180 transcript:ORUFI11G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPGPPGGWDFSLDDHIGDEVLSRLHSPVHFSRFFSRRPFILVVDFPRSSFRLCSSSAALALRACIGGSPGDLHVIVSYCVQRKLGFGFTPSNLSPAKIMKLSFSFGETVIQIGAKSGVNAGSSSISISKSNFKGVIDAGSSDKSKDMGENSNNEAHEEQSHNISVVSQQYLGDWQIILVLQSHAPIVSIPGRSIVNKVQDVSGTILSLLVYPQFWKLLSIYRFVLGGPRLNAASGCILLVDPLSIKCRMFVLGGPRLNAASGCILGQTNALAHYQLAVHQMVQFALLASSLIWAKDNWTAQ >ORUFI11G12190.1 pep chromosome:OR_W1943:11:13019412:13027632:1 gene:ORUFI11G12190 transcript:ORUFI11G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVVAGDLAAAPAPATPMWFVALVAVGLHAAVVWAGTFLAWLRRAFLRPGKGPCRRYGEWAVVTGATDGIGRAVALELARRGLHLVLVGRNPGKLSGVCKEVRAAAPACKVRTVVFDLAAPGDDDVGGGEMSRGVARVAAAVEGLDVGLLVNNAGATYPCAAYFHEVPDAVWEAVLRVNVVAATRIARALVPAMAAKGRGAVVNVGSGSSVVVPAFPLYAIPLYVATKMSPVQGNSPFIPSPEEYAKAAVRCIGYEPRCVPYWRHSIQWFFASLLPDSVLNLWRLQVGIRKRNQMKVLLGESDHGFS >ORUFI11G12200.1 pep chromosome:OR_W1943:11:13036673:13051574:-1 gene:ORUFI11G12200 transcript:ORUFI11G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLRLAFRLLFCYCLLLAAAHPSSRRLLPLLVVSAAERTNVASLPGLDGALPFRFETGYVTVDEENGGELFYYFIESEGDPGADPVLLWINGGNRCSVLSALFFEIGPVKLAIEPYDGGVPRLRYNPYTWTKVASVLFVDSPVGAGFSFSRDPRGYDVGDVSSTLQLTKFVNKWFSQHREFLSNPLYVGGESYAGKLVPFLLQKISEDVEAGVKPVLNLKGYLVGNPGTGESIDYESKVPYAHGVGIISDQLYETILEHCGREDYANPKNATCAQALNRFSELMGEVSEAHILYKKCIYVSPKPDDGTIGRKILEEIVVGNHRPPRPPMDCSTYPNYLSYFWANSNNTRENLGIKKGTVDEWVRCHDDGLPYSQDIESSIKYHQNLTSRGYRVLVYSGDHDSVVPFLGTQAWVRSLNYPIVDDWRAWHIDGQSAGFTITYANDLTFATGGGHTAPEYQPERPLHQLSSRLFSPLPPKPHSLSCSGPQAPRATTTDGSGAGDHGSGSRGNSGKGSGCSGGSDDDDCEEAEFGPLLRFNEVLRLAAARGVSLPADMEAAKDAGIREVLLLRYFDLQEAPWPLAAMIRAFSMLHNRIHAAEPRVCSVGCRYREMEICAGSPSAMLASTAPTMAFAASPPLSSLAAAAAVISSSSPSKPLSRAKTPPPALLQAILPTAPPKHHSLSCSGSQAPRATTGEGSAVGDRGSGSGGNNGKGGGGDGDGGDNDYEEAEFGPLLGFDEVLRLTAACGVSLPADMMGAAKDASIREVMLLHHFDLQVVIDSCCATFAEVFELYDPWTDMHATVCSLAHVPSSVILHGVDP >ORUFI11G12200.2 pep chromosome:OR_W1943:11:13036673:13051574:-1 gene:ORUFI11G12200 transcript:ORUFI11G12200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLRLAFRLLFCYCLLLAAAHPSSRRLLPLLVVSAAERTNVASLPGLDGALPFRFETGYVTVDEENGGELFYYFIESEGDPGADPVLLWINGGNRCSVLSALFFEIGENLNHHRSPAGAPPLTRLCAAGPVKLAIEPYDGGVPRLRYNPYTWTKVASVLFVDSPVGAGFSFSRDPRGYDVGDVSSTLQLTKFVNKWFSQHREFLSNPLYVGGESYAGKLVPFLLQKISEDVEAGVKPVLNLKGYLVGNPGTGESIDYESKVPYAHGVGIISDQLYETILEHCGREDYANPKNATCAQALNRFSELMGEVSEAHILYKKCIYVSPKPDDGTIGRKILEEIVVGNHRPPRPPMDCSTYPNYLSYFWANSNNTRENLGIKKGTVDEWVRCHDDGLPYSQDIESSIKYHQNLTSRGYRVLVYSGDHDSVVPFLGTQAWVRSLNYPIVDDWRAWHIDGQSAGFTITYANDLTFATGGGHTAPEYQPERPLHQLSSRLFSPLPPKPHSLSCSGPQAPRATTTDGSGAGDHGSGSRGNSGKGSGCSGGSDDDDCEEAEFGPLLRFNEVLRLAAARGVSLPADMEAAKDAGIREVLLLRYFDLQEAPWPLAAMIRAFSMLHNRIHAAEPRVCSVGCRYREMEICAGSPSAMLASTAPTMAFAASPPLSSLAAAAAVISSSSPSKPLSRAKTPPPALLQAILPTAPPKHHSLSCSGSQAPRATTGEGSAVGDRGSGSGGNNGKGGGGDGDGGDNDYEEAEFGPLLGFDEVLRLTAACGVSLPADMMGAAKDASIREVMLLHHFDLQVVIDSCCATFAEVFELYDPWTDMHATVCSLAHVPSSVILHGVDP >ORUFI11G12200.3 pep chromosome:OR_W1943:11:13036937:13051574:-1 gene:ORUFI11G12200 transcript:ORUFI11G12200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLRLAFRLLFCYCLLLAAAHPSSRRLLPLLVVSAAERTNVASLPGLDGALPFRFETGYVTVDEENGGELFYYFIESEGDPGADPVLLWINGGNRCSVLSALFFEIGENLNHHRSPAGAPPLTRLCAAGPVKLAIEPYDGGVPRLRYNPYTWTKVASVLFVDSPVGAGFSFSRDPRGYDVGDVSSTLQLTKFVNKWFSQHREFLSNPLYVGGESYAGKLVPFLLQKISEDVEAGVKPVLNLKGYLVGNPGTGESIDYESKVPYAHGVGIISDQLYETILEHCGREDYANPKNATCAQALNRFSELMGEVSEAHILYKKCIYVSPKPDDGTIGRKILEEIVVGNHRPPRPPMDCSTYPNYLSYFWANSNNTRENLGIKKGTVDEWVRCHDDGLPYSQDIESSIKYHQNLTSRGYRVLVYSGDHDSVVPFLGTQAWVRSLNYPIVDDWRAWHIDGQSAGFTITYANDLTFATGGGHTAPEYQPERPLHQLSSRLFSPLPPKPHSLSCSGPQAPRATTTDGSGAGDHGSGSRGNSGKGSGCSGGSDDDDCEEAEFGPLLRFNEVLRLAAARGVSLPADMEAAKDAGIREVLLLRYFDLQEAPWPLAAMIRAFSMLHNRIHAAEPRVCSVGCRYREMEICAGSPSAMLASTAPTMAFAASPPLSSLAAAAAVISSSSPSKPLSRAKTPPPALLQAILPTAPPKHHSLSCSGSQAPRATTGEGSAVGDRGSGSGGNNGKGGGGDGDGGDNDYEEAEFGPLLGFDEVLRLTAACGVSLPADMMGAAKDASIREVMLLHHFDLQAAPWPLAAMIRAFLMLRN >ORUFI11G12210.1 pep chromosome:OR_W1943:11:13060784:13061772:-1 gene:ORUFI11G12210 transcript:ORUFI11G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVAHGLGGCEQSETCQRTASGLAMPKLDSAEVLGGRQVGLAKVEHVIDNRWHGNARLGSHWIYCMGVFGESSGGASAPTTTDGMAMPAWVLTGYAAWVCSGRAVAAHQRPPGGASAPTVGMEGNAQREFSSPAHQKNKPKRNMS >ORUFI11G12220.1 pep chromosome:OR_W1943:11:13064593:13066461:1 gene:ORUFI11G12220 transcript:ORUFI11G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAPALRRLRSPRLCLAATVNCISNNAAPAASAGVNHVQDSNWRVAELAAAGRVSDARRLFDGMPDRDVVSWTAMVAAYARRGMLQEARVLFDRPDARRNVVTWTALLSGYARARRVDEAEALFEGMAERNVVSWNTMLEAYTAVGRVEDASALFNRMPVRDAGSWNILLCGLVRSGSLERARKMFERMPVRDVMSWTTMISGLARNGSVDDAWVLFDAMPERNVVSWNAMISGYARNHRIEEALDLFTKMPIRDVASWNIMITGFIQNKDLKSARQLFDEMPKRNVITWTTMMNGYLQCMQSEMALKLFNCMLVQGIQPNQVTFLGSLDACSNLAALCEGQQVHQMICKTPSQFDTFVESTLMNLYAKCGEIRLARNVFDFSMEKDLISWNGIIAAYAHHGFGIEAMHLYKNMQENGYKPNDATYVGLLSACSHAGLVDEGLKIFESMVKDNSIVVRDEHYTCLVDLCSRAGRLEDAKRLISWFKIKPTSSTVWSALLGGCNSHGNESIGDLAAKHLLEAEPDNAGTYTLLCNIYASAGKWKEAAEIRSEMNVRGLKKQPGCSWIEVANKVHVFVSRDKSHSESDLINDLLQDIHRIMRMAGTVPRDHMLIDVELVGI >ORUFI11G12230.1 pep chromosome:OR_W1943:11:13089305:13118036:1 gene:ORUFI11G12230 transcript:ORUFI11G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIPSSKQGFAEMPSYXPAAAAAVLLLVLLAGGTAADDASSDDDAGVPPSPGCSNKFQLLTNYIAIAQRGECAFTAKAKIAQTGGAVGLLVINDNEELYKMVCSDNDTSINVTIPVVMIPQSAGKKMKGLLDQGARLEVQLYSPNRPVVDLSACFLWIMAIGTIVCASLWTEFVACEQVDERYNQLTRKLYSPNRPVVDLSACFLWIMAIGTIVCASLWTEFVACEQVDERYNQLTRKGICLMITVLQMARLPNIRVASALLSAAFVYDVFWVFISPLIFHESVMIAVARGDNSGEAIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRASKRGLFNGYFLWLTVGYAVGLFLTYLALFLMDGHGQPALLSIAHRLSRSISRDPASCVAVAVASSIIMDLLGSLIDLLFPPSHPPAMYSITAAPATVSHLVRIDGYSRTKNLRRGRFIEAMNFTVGGHRWFIRAELEASGFLKDDGLLVRCELGFVNSAGDGDGGWRVQIKEGVKVPPPNLHRHLADLLWKNQSSGDVFIEVQGKTFTAHRWMLAARSPVMAAELSSSVSDDAADTDADATKNTMMTLRVDDDMDAETFRALLHFIYTDALPPPPQPRARDTKEKEDEAAAAEAARRLHAAAARYGMERLQLMCEDALCRSLSVLTVASTLVFAEKHGCRVLKAACLDFLSCKRKLRQVTRLDDDFRLLTTTCPSVIKELFAQFA >ORUFI11G12230.2 pep chromosome:OR_W1943:11:13084755:13118034:1 gene:ORUFI11G12230 transcript:ORUFI11G12230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSDNDTSINVTIPVVMIPQSAGKKMKGLLDQGARLEVQLYSPNRPVVDLSACFLWIMAIGTIVCASLWTEFVACEQVDERYNQLTRKGICLMITVLQMARLPNIRVASALLSAAFVYDVFWVFISPLIFHESVMIAVARGDNSGEAIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRASKRGLFNGYFLWLTVGYAVGLFLTYLALFLMDGHGQPALLSIAHRLSRSISRDPASCVAVAVASSIIMDLLGSLIDLLFPPSHPPAMYSITAAPATVSHLVRIDGYSRTKNLRRGRFIEAMNFTVGGHRWFIRAELEASGFLKDDGLLVRCELGFVNSAGDGDGGWRVQIKEGVKVPPPNLHRHLADLLWKNQSSGDVFIEVQGKTFTAHRWMLAARSPVMAAELSSSVSDDAADTDADATKNTMMTLRVDDDMDAETFRALLHFIYTDALPPPPQPRARDTKEKEDEAAAAEAARRLHAAAARYGMERLQLMCEDALCRSLSVLTVASTLVFAEKHGCRVLKAACLDFLSCKRKLRQVTRLDDDFRLLTTTCPSVIKELFAQFA >ORUFI11G12230.3 pep chromosome:OR_W1943:11:13084755:13118034:1 gene:ORUFI11G12230 transcript:ORUFI11G12230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSDNDTSINVTIPVVMIPQSAGKKMKGLLDQGARLEVQLYSPNRPVVDLSACFLWIMAIGTIVCASLWTEFVACEQVDERYNQLTRKGICLMITVLQMARLPNIRVASALLSAAFVYDVFWVFISPLIFHESVMIAVARGDNSGEAIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRASKRGLFNGYFLWLTVGYAVGLFLTYLALFLMDGHGQPALLSIAHRLSRSISRDPASCVAVAVASSIIMDLLGSLIDLLFPPSHPPAMYSITAAPATVSHLVRIDGYSRTKNLRRGRFIEAMNFTVGGHRWFIRAELEASGFLKDDGLLVRCELGFVNSAGDGDGGWRVQIKEGVKVPPPNLHRHLADLLWKNQSSGDVFIEVQGKTFTAHRWMLAARSPVMAAELSSSVSDDAADTDADATKNTMMTLRVDDDMDAETFRALLHFIYTDALPPPPQPRARDTKEKEDEAAAAEAARRLHAAAARYGMERLQLMCEDALCRSLSVLTVASTLVFAEKHGCRVLKAACLDFLSCKRKLRQVTRLDDDFRLLTTTCPSVIKELFAQFA >ORUFI11G12230.4 pep chromosome:OR_W1943:11:13089305:13118036:1 gene:ORUFI11G12230 transcript:ORUFI11G12230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIPSSKQGFAEMPSYXPAAAAAVLLLVLLAGGTAADDASSDDDAGVPPSPGCSNKFQLLTNYIAIAQRGECAFTAKAKIAQTGGAVGLLVINDNEELYKMVCSDNDTSINVTIPVVMIPQSAGKKMKGLLDQGARLEVQLYSPNRPVVDLSACFLWIMAIGTIVCASLWTEFVACEQVDERYNQLTRKGICLMITVLQMARLPNIRVASALLSAAFVYDVFWVFISPLIFHESVMIAVARGDNSGEAIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRASKRGLFNGYFLWLTVGYAVGLFLTYLALFLMDGHGQPALLSIAHRLSRSISRDPASCVAVAVASSIIMDLLGSLIDLLFPPSHPPAMYSITAAPATVSHLVRIDGYSRTKNLRRGRFIEAMNFTVGGHRWFIRAELEASGFLKDDGLLVRCELGFVNSAGDGDGGWRVQIKEGVKVPPPNLHRHLADLLWKNQSSGDVFIEVQGKTFTAHRWMLAARSPVMAAELSSSVSDDAADTDADATKNTMMTLRVDDDMDAETFRALLHFIYTDALPPPPQPRARDTKEKEDEAAAAEAARRLHAAAARYGMERLQLMCEDALCRSLSVLTVASTLVFAEKHGCRVLKAACLDFLSCKRKLRQVTRLDDDFRLLTTTCPSVIKELFAQFA >ORUFI11G12230.5 pep chromosome:OR_W1943:11:13089305:13118036:1 gene:ORUFI11G12230 transcript:ORUFI11G12230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIPSSKQGFAEMPSYXPAAAAAVLLLVLLAGGTAADDASSDDDAGVPPSPGCSNKFQLLTNYIAIAQRGECAFTAKAKIAQTGGAVGLLVINDNEELYKMVCSDNDTSINVTIPVVMIPQSAGKKMKGLLDQGARLEVQLYSPNRPVVDLSACFLWIMAIGTIVCASLWTEFVACEQVDERYNQLTRKLYSPNRPVVDLSACFLWIMAIGTIVCASLWTEFVACEQVDERYNQLTRKGICLMITVLQMARLPNIRVASALLSAAFVYDVFWVFISPLIFHESVMIAVARGDNSGEAIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRASKRGLFNGYFLWLTFA >ORUFI11G12240.1 pep chromosome:OR_W1943:11:13130695:13145206:1 gene:ORUFI11G12240 transcript:ORUFI11G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSAAAAAAPDPDGPDAVRLTWNAWPRSKVEASRCVVPLAAAISPPPCSALLNPFARVDFAAKIWICPLCFSRNHFPPHYAAISESNVPAELFPQCSTVEYLLAGAAPAAGVPLHQGGPPAPPPPVFLFVIDTCVIEEELEYVKMSMRKAVALLPEHALVGLVTFGTQVHLHELGFSDLSKIYVFRGTKEISKEQILDQLGLAGAGRPGFPKMPQQPGGPQINGMHPPATAGVTRFLLPVSDCECTLSTLLDELQPDQWPVETGNRAIRCTGVALSLLDELQPDQWPVETGNRAIRCTGVALSPDQWPVETGNRAIRCTGVALSVAAGLLGACMPGTGARIIALLGGPCTEGPGMIVSKDLSEPVRSHKDLDKDAAPHFQKAVKFYDGLAKQLVSQGHVLDVFASALDQVGLAEMKVAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEQSLDLSFNGTLEINCSKDIKVQGIIGPCTSLEKKGALCADTVVGQGNTTAWKMCGLDRNTSLTVFFDVSPSERSSQPGHQNPDLYIQFVTSYQHPEGQMRIRVTTICRKWVDGSTNTEELVEGFDQETAAVVLARYISLKMEMEEEFDATRWLDRSLIRLCSRFGDYRKDDPSSFSLHSNFSLFPQFMFNLRRSQFVQTAYFRMLLNRESITNSVAMIQPSLISFSFDSPPSPVFLDVASIAADRILLLDAYFSVVIFHGMTIAQWRNMGYQNQPEHQQFAQLLQAPHEEAQIIIKGRFPAPRLVVCDQHGSQARFLLAKLNPSATYNSAHDVPPGSDIIFTDDVSFQVFCEHLQRLAVQS >ORUFI11G12240.2 pep chromosome:OR_W1943:11:13130695:13145206:1 gene:ORUFI11G12240 transcript:ORUFI11G12240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSAAAAAAPDPDGPDAVRLTWNAWPRSKVEASRCVVPLAAAISPPPCSALLNPFARVDFAAKIWICPLCFSRNHFPPHYAAISESNVPAELFPQCSTVEYLLAGAAPAAGVPLHQGGPPAPPPPVFLFVIDTCVIEEELEYVKMSMRKAVALLPEHALVGLVTFGTQVHLHELGFSDLSKIYVFRGTKEISKEQILDQLGLAGAGRPGFPKMPQQPGGPQINGMHPPATAGLLDELQPDQWPVETGNRAIRCTGVALSLLDELQPDQWPVETGNRAIRCTGVALSPDQWPVETGNRAIRCTGVALSVAAGLLGACMPGTGARIIALLGGPCTEGPGMIVSKDLSEPVRSHKDLDKDAAPHFQKAVKFYDGLAKQLVSQGHVLDVFASALDQVGLAEMKVAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEQSLDLSFNGTLEINCSKDIKVQGIIGPCTSLEKKGALCADTVVGQGNTTAWKMCGLDRNTSLTVFFDVSPSERSSQPGHQNPDLYIQFVTSYQHPEGQMRIRVTTICRKWVDGSTNTEELVEGFDQETAAVVLARYISLKMEMEEEFDATRWLDRSLIRLCSRFGDYRKDDPSSFSLHSNFSLFPQFMFNLRRSQFVQTAYFRMLLNRESITNSVAMIQPSLISFSFDSPPSPVFLDVASIAADRILLLDAYFSVVIFHGMTIAQWRNMGYQNQPEHQQFAQLLQAPHEEAQIIIKGRFPAPRLVVCDQHGSQARFLLAKLNPSATYNSAHDVPPGSDIIFTDDVSFQVFCEHLQRLAVQS >ORUFI11G12240.3 pep chromosome:OR_W1943:11:13130695:13145206:1 gene:ORUFI11G12240 transcript:ORUFI11G12240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSAAAAAAPDPDGPDAVRLTWNAWPRSKVEASRCVVPLAAAISPPPCSALLNPFARVDFAAKIWICPLCFSRNHFPPHYAAISESNVPAELFPQCSTVEYLLAGAAPAAGVPLHQGGPPAPPPPVFLFVIDTCVIEEELEYVKMSMRKAVALLPEHALVGLVTFGTQVHLHELGFSDLSKIYVFRGTKEISKEQILDQLGLAGAGRPGFPKMPQQPGGPQINGMHPPATAGVTRFLLPVSDCECTLSTLLDELQPDQWPVETGNRAIRCTGVALSPDQWPVETGNRAIRCTGVALSVAAGLLGACMPGTGARIIALLGGPCTEGPGMIVSKDLSEPVRSHKDLDKDAAPHFQKAVKFYDGLAKQLVSQGHVLDVFASALDQVGLAEMKVAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEQSLDLSFNGTLEINCSKDIKVQGIIGPCTSLEKKGALCADTVVGQGNTTAWKMCGLDRNTSLTVFFDVSPSERSSQPGHQNPDLYIQFVTSYQHPEGQMRIRVTTICRKWVDGSTNTEELVEGFDQETAAVVLARYISLKMEMEEEFDATRWLDRSLIRLCSRFGDYRKDDPSSFSLHSNFSLFPQFMFNLRRSQFVQTAYFRMLLNRESITNSVAMIQPSLISFSFDSPPSPVFLDVASIAADRILLLDAYFSVVIFHGMTIAQWRNMGYQNQPEHQQFAQLLQAPHEEAQIIIKGRFPAPRLVVCDQHGSQARFLLAKLNPSATYNSAHDVPPGSDIIFTDDVSFQVFCEHLQRLAVQS >ORUFI11G12240.4 pep chromosome:OR_W1943:11:13130695:13145206:1 gene:ORUFI11G12240 transcript:ORUFI11G12240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSAAAAAAPDPDGPDAVRLTWNAWPRSKVEASRCVVPLAAAISPPPCSALLNPFARVDFAAKIWICPLCFSRNHFPPHYAAISESNVPAELFPQCSTVEYLLAGAAPAAGVPLHQGGPPAPPPPVFLFVIDTCVIEEELEYVKMSMRKAVALLPEHALVGLVTFGTQVHLHELGFSDLSKIYVFRGTKEISKEQILDQLGLAGAGRPGFPKMPQQPGGPQINGMHPPATAGLLDELQPDQWPVETGNRAIRCTGVALSPDQWPVETGNRAIRCTGVALSVAAGLLGACMPGTGARIIALLGGPCTEGPGMIVSKDLSEPVRSHKDLDKDAAPHFQKAVKFYDGLAKQLVSQGHVLDVFASALDQVGLAEMKVAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEQSLDLSFNGTLEINCSKDIKVQGIIGPCTSLEKKGALCADTVVGQGNTTAWKMCGLDRNTSLTVFFDVSPSERSSQPGHQNPDLYIQFVTSYQHPEGQMRIRVTTICRKWVDGSTNTEELVEGFDQETAAVVLARYISLKMEMEEEFDATRWLDRSLIRLCSRFGDYRKDDPSSFSLHSNFSLFPQFMFNLRRSQFVQTAYFRMLLNRESITNSVAMIQPSLISFSFDSPPSPVFLDVASIAADRILLLDAYFSVVIFHGMTIAQWRNMGYQNQPEHQQFAQLLQAPHEEAQIIIKGRFPAPRLVVCDQHGSQARFLLAKLNPSATYNSAHDVPPGSDIIFTDDVSFQVFCEHLQRLAVQS >ORUFI11G12240.5 pep chromosome:OR_W1943:11:13130695:13145206:1 gene:ORUFI11G12240 transcript:ORUFI11G12240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSAAAAAAPDPDGPDAVRLTWNAWPRSKVEASRCVVPLAAAISPPPCSALLNPFARVDFAAKIWICPLCFSRNHFPPHYAAISESNVPAELFPQCSTVEYLLAGAAPAAGVPLHQGGPPAPPPPVFLFVIDTCVIEEELEYVKMSMRKAVALLPEHALVGLVTFGTQVHLHELGFSDLSKIYVFRGTKEISKEQILDQLGLAGAGRPGFPKMPQQPGGPQINGMHPPATAGVTRFLLPVSDCECTLSTLLDELQPDQWPVETGNRAIRVAAGLLGACMPGTGARIIALLGGPCTEGPGMIVSKDLSEPVRSHKDLDKDAAPHFQKAVKFYDGLAKQLVSQGHVLDVFASALDQVGLAEMKVAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEQSLDLSFNGTLEINCSKDIKVQGIIGPCTSLEKKGALCADTVVGQGNTTAWKMCGLDRNTSLTVFFDVSPSERSSQPGHQNPDLYIQFVTSYQHPEGQMRIRVTTICRKWVDGSTNTEELVEGFDQETAAVVLARYISLKMEMEEEFDATRWLDRSLIRLCSRFGDYRKDDPSSFSLHSNFSLFPQFMFNLRRSQFVQTAYFRMLLNRESITNSVAMIQPSLISFSFDSPPSPVFLDVASIAADRILLLDAYFSVVIFHGMTIAQWRNMGYQNQPEHQQFAQLLQAPHEEAQIIIKGRFPAPRLVVCDQHGSQARFLLAKLNPSATYNSAHDVPPGSDIIFTDDVSFQVFCEHLQRLAVQS >ORUFI11G12240.6 pep chromosome:OR_W1943:11:13130695:13145206:1 gene:ORUFI11G12240 transcript:ORUFI11G12240.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSAAAAAAPDPDGPDAVRLTWNAWPRSKVEASRCVVPLAAAISPPPCSALLNPFARVDFAAKIWICPLCFSRNHFPPHYAAISESNVPAELFPQCSTVEYLLAGAAPAAGVPLHQGGPPAPPPPVFLFVIDTCVIEEELEYVKMSMRKAVALLPEHALVGLVTFGTQVHLHELGFSDLSKIYVFRGTKEISKEQILDQLGLAGAGRPGFPKMPQQPGGPQINGMHPPATAGVTRFLLPVSDCECTLSTLLDELQPDQWPVETGNRAIRCTGVALSLLDELQPDQWPVETGNRAIRCTGVALSPDQWPVETGNRAIRCTGVALSVAAGLLGACMPGTGARIIALLGGPCTEGPGMIVSKDLSEPVRSHKDLDKDAAPHFQKAVKFYDGLAKQLVSQGHVLDVFASALDQVGLAEMKVAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEQSLDLSFNGTLEINCSKDIKVQGIIGPCTSLEKKGALCADTVVGQGNTTAWKMCGLDRNTSLTVFFDVSPSERSSQPGHQNPDLYIQFVTSYQHPEGQMRIRVTTICRKWVDGSTNTEEEFDATRWLDRSLIRLCSRFGDYRKDDPSSFSLHSNFSLFPQFMFNLRRSQFVQQFAQLLQAPHEEAQIIIKGRFPAPRLVVCDQHGSQARFLLAKLNPSATYNSAHDVPPGSDIIFTDDVSFQVFCEHLQRLAVQS >ORUFI11G12250.1 pep chromosome:OR_W1943:11:13153508:13155493:1 gene:ORUFI11G12250 transcript:ORUFI11G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKPLLSRLLPLPLPLRPHLRLLCLATATPTPAPTPADADQATPTDAAAERRRRKRRLRVEPPSARGGPAPQRAPGAPRPASNPNAPKLPEPASALSGKRLDLHRRILALVREDDLDEAALLTRHSIYSNCRPTVFTCNAVLAALLRQARYADLLSLHRFVTQASVAPTVATYNLLLQAYCDCRRPDTALEHFRLLLKDDSPVLPSPTTYRILARSLAENGKLEQAIELKDGMLERGLVAPDPQVYALVMGGFVNAGDGDTVVSLYEELVEKLGGGQILDGMVYGNLMKGYFLKGMEKEAMDCYAEVLGEGSKVRFGAVSYNMVLDALGRNGKLDDALQLFDRMCEEHDPPRRIAVNLGSFNVMVDAYCRAERFQDAIEVFGKMGEKRCAPDALSYNNLIDWLGKNELVGEAEQLYKEMGERGVNPDEYTYVLLIESYFKVDRVDDSVSYFNKMFVAGLRPNANAFNKVIGGLVKVDRLDEAQGFFDKMPEKEVKPNIGSYELLLRAYIDAARLDDAIKMGKCILLDESVVFSDELKALLEGALQKDGRDGDMTKLYEDVEREKAEAAARAAEEKARAEALAKEEEERKKAEAKAKEEAAARASRAAIEAVLGRKKEGENDDSTVNVEEAQVVESHSDTNDITEENEGGDQKKSGDALP >ORUFI11G12260.1 pep chromosome:OR_W1943:11:13156276:13156949:-1 gene:ORUFI11G12260 transcript:ORUFI11G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCSRRLLCSLFRGTVRRHPRRHDWDITYQFTSPDSVRKLAVTINGHTPSRTISVRLGGCWPSLAPTVASPLARGASPSDAIEECAVDATTAAVPLNVHGCGRFNAYFSRRLASCTLDGADVGFTTSPTTATRGGHTHSGAHIGLI >ORUFI11G12270.1 pep chromosome:OR_W1943:11:13168449:13170718:-1 gene:ORUFI11G12270 transcript:ORUFI11G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADDDRRRRRQAAKDDEIGRRRAECWAASPPRRSTSWACGHDGEEVTIKELKPVEPLPWTGAEISDVFQRVLLPLQPCRIRDGAIRASSASTSGGQRPDKLPAAPSRSCPTRGRNDGTVVGSTGRHDGEEVTIKELKPVEPLPWTGAEISDVFQRVLLPLQPCRIRDGAIRASSASTSGGQRPDKLPAAPSRSYPTRGRNDGTVVGSTGSGSGRSPH >ORUFI11G12280.1 pep chromosome:OR_W1943:11:13170574:13175276:1 gene:ORUFI11G12280 transcript:ORUFI11G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSGVRPTYRRPTTLIFVAGSQPNTQLAAGRFRRPWLPASVAAGRRRRPFCPTSIHLTRILRTAWLRRRRLLLYRRQQRQKPYPHSPRCLTARSQPVSPSSSSSSPSRLRLGVNGGGGRSSACAARGVSCACCAAVSSALRFPFVFAWSLSPINCSLALLHGGGYVKSLSTFEVTVSQHFGKPESQTVSRWITWDIGLPT >ORUFI11G12290.1 pep chromosome:OR_W1943:11:13176941:13187259:1 gene:ORUFI11G12290 transcript:ORUFI11G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVRIKRTKDAVKFKVRCSKYLYTLCVFDADKANKLKQSLPPGLTVQEV >ORUFI11G12300.1 pep chromosome:OR_W1943:11:13180253:13180906:-1 gene:ORUFI11G12300 transcript:ORUFI11G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRQRRRIAGVHSDSDDARRHPSTRVPLFLFFSFPLSPDARRRGALPGRARAQPSSFAPARKTDSRCTVASAGAESTTPCKSLTCTTHSRSRSVVSRGSAAGGEGEAAVPGDIEHVERLRRLCVAAEGEVELPFHLRDLLVGRRLVGGLDGGDTELLDAARHWVGDDTSDHIVLPLPILRVAVQEHVGERGVGGADKRVVEGEVVVAPVDEAGRRG >ORUFI11G12310.1 pep chromosome:OR_W1943:11:13183183:13191191:-1 gene:ORUFI11G12310 transcript:ORUFI11G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPATDGEGVDASVPWWRRKRPRLPPPPPEADAEEVKAEALALMAAHPVLPRLVVFDLDHTLWPFHDRLPKDEPPYLYPQARGILKALKDRGIEMAIASRASRKKGVAKAFLEKLGIHFMFGAQEIFYTWSPKNEHFQSIHRKTGVPFKSMLFFDDEARNIIATRKLGVSCVLVDTGITLEKLRTGLSNYANRSASPNAEPAGGRSAEITWYLDVATG >ORUFI11G12320.1 pep chromosome:OR_W1943:11:13218367:13220933:-1 gene:ORUFI11G12320 transcript:ORUFI11G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPLQWKPALATHVDRNKSSPLHFASSDGDCSIWKPALATHVDRNKSSPLHFASSDGDCSIDNEGFSPIHAAALMGHTATVRLLLQFSPASADICDNRGQSFVHTAATKGHSSIISYAIGSSMLEHLLNAQDREGNTPLHLAVDAGKCKIVSKLLSSEIVQAHIMNNEGHTPSDLVQNCKGFYSMVSLVVKMYASGAQFQPQRQDHIEKWNAQDIMKWRDTTSKYLAIVSTLVATVAFSAAFNIPGSYGDDGKANLAGNYMYDTFLILDTISLVTSVVAIMLLVFGRAFSSHHSWLSFMISTHFLWLSINTMVLGFLAAISAVMSKKKGLNITMAILIYFGMWILTMLLTSLTTVGSFTGILKFLFGGRMERQRRAKRRISRQYPYAIFYTFNMVLFFVIANIALAGFDTFKSLSY >ORUFI11G12330.1 pep chromosome:OR_W1943:11:13224610:13225389:1 gene:ORUFI11G12330 transcript:ORUFI11G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAADGGGQRRLRPRCWTLGNTLTSKEVFTWANSNNKRLLHVGDIDRTSKSYICTSCSMWLAVEDRVESTVNILLHGGYKIMAISRGRW >ORUFI11G12340.1 pep chromosome:OR_W1943:11:13225866:13226934:1 gene:ORUFI11G12340 transcript:ORUFI11G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAADGGGQRRLRRRHYFRPRCWTLGNILTSKEVFTWANSNNKRLLHVGDIDRTSKSYICTSYSMWLAVEDRVESTGDGDLGFDTLF >ORUFI11G12350.1 pep chromosome:OR_W1943:11:13233355:13234826:-1 gene:ORUFI11G12350 transcript:ORUFI11G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITPAGSDHEIPEHLSMCSKLYLAAFRGYTDEVCNLLAGSSGAAVQPANSSPSPAAQERAGQGHDDLIAELCLHDSSLLSSASSSGHTPLHCAARAGHALAVRAISLLAGATGQGHDDLIAELCLHDSCLLSSASSSGDTPLHCAARAGHALAVRAISLLAGASVEEDREDRVRDVVRSKNAAGDTALHIAARHGHGKAVEELMEVAPETASELNGAGVSPLYLAVMSRSVRAVRAIISCRDASAAGPNSQNALHAAVLQCSGN >ORUFI11G12360.1 pep chromosome:OR_W1943:11:13244770:13245600:-1 gene:ORUFI11G12360 transcript:ORUFI11G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLWLSINCMVFGFFTAIAAVSKKGIKIAMSQLIYHGMYILTMQLTFLAMPGYSRSKQEGHKDCNVPADLPWDVHLDNAAYIFSNARLTFLAMPGSFISTMKFLVSGRMERQQHAKRHIKRQYPFIAFYTFNVIFFFIINNIAMLTFDATRALSF >ORUFI11G12370.1 pep chromosome:OR_W1943:11:13256192:13257383:-1 gene:ORUFI11G12370 transcript:ORUFI11G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITPAGCDHEIPEHLFMCSRLYIAAFRGYTDEVFSLLAGSSGAAVEPANSRPSPAAQEHGKQMNFSANHHGGCSIREVTAERSTLLHIAAGEGHGELIAELCSHDSSLLSSSSSSSGNTPLHCAARAGHASAVRGIVRLARANVEEDRLKAMLRGMNATGDTALHLAARHGHGEAVEELMEVAPETASSGNTPLHCAARAGHAGAVRGIVRLARANVEEDRLRAMLRGMNATGDTALHLAARHGHSEAVEELMEVAPET >ORUFI11G12380.1 pep chromosome:OR_W1943:11:13261848:13262077:1 gene:ORUFI11G12380 transcript:ORUFI11G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGHSSARRLGMPALGGLWLSDGSGDNNLARFGSHRPAISFLVSEDEKVATMLSVNDHDDNHGGRTACV >ORUFI11G12390.1 pep chromosome:OR_W1943:11:13262622:13263935:-1 gene:ORUFI11G12390 transcript:ORUFI11G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLLQWKPALLSDYDSSKSSPLHFASSDGDCSIIQEMLTHAPPSTAFMLDNEGLSPLHVAALMGHAAIVHLLLQFCPSSADIRDNYGRTFLHAAAMKGHSSIISYAIKKKILEHLLNAQDKEGNTTLHLAVIAGECKVVSKLLSSGKMQANIMNNVGHAPTDLIKNCKGFYSMDIMKWRETTSKNLAVVSTLVATIAFSAAFNIPGSYGNDGRANLAGNSLYSAFLILDTFSVVTSVMATILLVYGRASRSQRSWLGFMVTMHFLWLSLNNMVLGFFAALAAVMSKEKGIKIAMSQVIYYGMYILTTLLSILAMPGSFTSIVKFLIGAPKERQRHTKRQISRQYPFAIFYIVNAVLFVIINSLAMASFEVARNLSY >ORUFI11G12400.1 pep chromosome:OR_W1943:11:13275287:13276294:-1 gene:ORUFI11G12400 transcript:ORUFI11G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACRGQSPATSARRETLAAGNSYLHTAPPMATHIANDGGTGESKFILLGVIDIMTESLYDKCVDEHGVVDFARSGGMSNQKQSKKFLRALNEQCDL >ORUFI11G12410.1 pep chromosome:OR_W1943:11:13276869:13286750:1 gene:ORUFI11G12410 transcript:ORUFI11G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKIAPLLALTLLILFFGCAVTNCTGKPVAPTPPSHDDHGRCPIDALKLRVCANLLNGLIGVKIGRGPDDCCPLLAGIADLDAAVCLCTALKANVLGLINLNLPVDLSIILNKCGKNYPSGSSRSISMASKVVAPFIALSLLLFAVKAHGCTPNCPGEQVVPTPTHHGKNGGHGRCPMDALKLRVCANVLKGLVDVEIGHGPNDCCSLLSGIADIVEIMGTPYPHGMVIRLVIGDNIYV >ORUFI11G12420.1 pep chromosome:OR_W1943:11:13290720:13291158:1 gene:ORUFI11G12420 transcript:ORUFI11G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVNDHDDNHGGRTACV >ORUFI11G12430.1 pep chromosome:OR_W1943:11:13291702:13325942:-1 gene:ORUFI11G12430 transcript:ORUFI11G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTPAGGERDIPDDLFMCSELYIAAFEGHTDQVIGLLEGSRASAAVAGNGWSSPAAQPTAKHHGNCNIHEVTAERWTLLHIAAAQGHDDLIDELCRRDSGLLSAASSSGDTPLHCAARAGHAGAVRAIDRLARANVEEDRLREALRGRNEAGDTALHLAARHGHGEAAEAVVEAAPETAADLNGAGVSPLYLAVMSGSVRAVTAILWCRDAGSVRAVTAILWCRDASATGPKSQNALHAAVLQCSEMVSLLLNWKPGLVIDLDSNRSSPLHFASSDGDCSIIKAILAHAPPGAAHMQDNQGLSPLHAAALMGHAAAVRLLMQFSPASADVRDKHGMSFLHVAAMKGHASIISHAAKNRMLEHHLNAQDRDGNTPLHLAVAAGEYNVVSKLLSSGKVQTHIMNNAGCTPSDLVKDCKGFYSMVRLVVKMYVSGAQFQPQRQDQIEKWNGQDIMKWRETTSKNLAVVSTLVATVAFSAAFNVPGSYGDDGKAILTGDRMYDAFLVLDTFAVVSSVTATILLVYGRASQSNRSWVGFMISMHFLWMSLNSMVLGFFTAMAAVTNKKVGTKTAMSQMIYFGIYFLVMLLISLVTPGSLIGTLKFLIGGCMERQRRVKRRICRQYPFTISYAFNVVITDEVTGDRSTLLHIAGWKGHCDLIAQLCRWGNGSLITSVNSSGDTPLHCAAGAGHAGAVEAIIRPLAAANVEEGRLQEILRGRNEAGDTPLHLAARHGHGEAAEALVRVDPGLAAELNGAGVSSLYLAVMSGSVRAIRAILWCRNASAVGPKSQNALHAAVLQSSGDYFVDNLAFYICTHSSIFHIHSDVNESRHIYLSRFINITMNMGNARMTYIPLEDPPALSLLPSPTLPLVVIVGDRSQRCHGAAIPIDLLSPQRNTATSLFVHIANLGQCQRLGMKRMPLPTASSVATMPHTSNECHCHLSTSEVGAVGFDEATAMAASSTIGTVSSTVMGESREITVAVVSSSLEPPLCRRANPAASVASAAISLTAACLPSPLWKPALLSNYDSNKSSPLHFASSDGDCSIIQEMLTHAPPSAAFMLDNEGLSPLHVAALMGHAAIVHLLLQFCPSSADIRDNYGRTFLHAAAMKGHSSIISYAIKKKILEHLLNAQDKEGNTTLHLAVIAGEYKVVSKLLSSGKMQANIMNNAGHTPTDLVKNCKGFYSMVRLVLKLYASGAQFQPQRQDYIDKWNVQDIMKWRETTSKNLAVVSTLVATIAFSAAFNIPGSYGNDGRANLAGNSLYSAFLILDTFSVVTSVMATILLVYGRASRSQRSWLGFMVSMHFLWLSLNSMVLGFFAAIAAVMSKERGIKIAMSQLIYYGMYILTTLLSILAMPGSFTSIVKFLIGAPKERQRHTKRQISRQYPFAIFYIVNAVLFVIINSLAMASFEVARNLSY >ORUFI11G12430.2 pep chromosome:OR_W1943:11:13291702:13315976:-1 gene:ORUFI11G12430 transcript:ORUFI11G12430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGCSTDEVTGDRSTLLHIAAWKGHSDLIAQLCRWGNGSLITSVNSSGYTPLHCAAGAGHAGAVEAIIRALAAGANVEEGRLQEILRGRNEAGDTPLHLAARHGHGEAAEALVRVDPGLAAELNGAGVSSLYLAVMSGSVRAVRAILWCRNASAVGPKSQNALHAAVLQSSEMVSLLLNWKPGLVTDLDSNRSSPLHFASSDGDCSIIKAILAHAPPGAAHMQDNQGLSPLHAAALMGHAAAVRLLMQFSPASADVRDKHGRSFLHVAAMKGHASIISHAAKNRMLEHHLNAQDRDGNTPLHLAVAAGEYNVVSKLLSSGKVQTHIMNNAGCTPSDLVKDCKGFYSMVRLVVKMYVSGAQFQPQRQDQIEKWNGQDIMKWRETTSKNLAVVSTLVATVAFSAAFNVPGSYGDDGKAILTGDRMYDAFLVLDTFAVVSSVTATILLVYGRASQSNRSWVGFMISMHFLWMSLNSMVLGFFTAMAAVTNKKVGTKTAMSQMIYFGIYFLGVAWNDNGVSRDASAGNCNYHGACSTDEVTGDRSTLLHIAGWKGHCDLIAQLCRWGNGSLITSVNSSGDTPLHCAAGAGHAGAVEAIIRPLAAANVEEGRLQEILRGRNEAGDTPLHLAARHGHGEAAEALVRVDPGLAAELNGAGVSSLYLAVMSGSVRAIRAILWCRNASAVGPKSQNALHAAVLQSSGDYFVDNLAFYICTHSSIFHIHSDVNESRHIYLSRFINITMNMGNARMTYIPLEDPPALSLLPSPTLPLVVIVGDRSQRCHGAAIPIDLLSPQRNTATSLFVHIANLGQCQRLGMKRMPLPTASSVATMPHTSNECHCHLSTSEVGAVGFDEATAMAASSTIGTVSSTVMGESREITVAVVSSSLEPPLCRRANPAASVASAAISLTAACLPSPLWKPALLSNYDSNKSSPLHFASSDGDCSIIQEMLTHAPPSAAFMLDNEGLSPLHVAALMGHAAIVHLLLQFCPSSADIRDNYGRTFLHAAAMKGHSSIISYAIKKKILEHLLNAQDKEGNTTLHLAVIAGEYKVVSKLLSSGKMQANIMNNAGHTPTDLVKNCKGFYSMVRLVLKLYASGAQFQPQRQDYIDKWNVQDIMKWRETTSKNLAVVSTLVATIAFSAAFNIPGSYGNDGRANLAGNSLYSAFLILDTFSVVTSVMATILLVYGRASRSQRSWLGFMVSMHFLWLSLNSMVLGFFAAIAAVMSKERGIKIAMSQLIYYGMYILTTLLSILAMPGSFTSIVKFLIGAPKERQRHTKRQISRQYPFAIFYIVNAVLFVIINSLAMASFEVARNLSY >ORUFI11G12430.3 pep chromosome:OR_W1943:11:13321001:13325942:-1 gene:ORUFI11G12430 transcript:ORUFI11G12430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTPAGGERDIPDDLFMCSELYIAAFEGHTDQVIGLLEGSRASAAVAGNGWSSPAAQPTAKHHGNCNIHEVTAERWTLLHIAAAQGHDDLIDELCRRDSGLLSAASSSGDTPLHCAARAGHAGAVRAIDRLARANVEEDRLREALRGRNEAGDTALHLAARHGHGEAAEAVVEAAPETAADLNGAGVSPLYLAVMSGSVRAVTAILWCRDAGSVRAVTAILWCRDASATGPKSQNALHAAVLQCSEMVSLLLNWKPGLVIDLDSNRSSPLHFASSDGDCSIIKAILAHAPPGAAHMQDNQGLSPLHAAALMGHAAAVRLLMQFSPASADVRDKHGMSFLHVAAMKGHASIISHAAKNRMLEHHLNAQDRDGNTPLHLAVAAGEYNVVSKLLSSGKVQTHIMNNAGCTPSDLVKDCKGFYSMVRLVVKMYVSGVQFQPQRQDQIEKWNGQDIMKWRETTSKNLAVVSTLVATVAFSAAFNVPGSYGDDGKAILTGDRMYDAFLVLDTFAVVSSVTATILLVYGRASQSNRSWVGFMISMHFLWMSLNSMVLGFFTAMAAVTNKKVGTKTAMSQMIYFGMYFLVMLLISLVTPGSLIGTLKFLIGGCMERQRRVKRRICRQYPFTISYCFPHPPETASHRTLPPSPSSRIAASAIGCVPSSTPSISPSLPLRRLLYRKLSLFTSARIQMGTRGSK >ORUFI11G12440.1 pep chromosome:OR_W1943:11:13302165:13302878:1 gene:ORUFI11G12440 transcript:ORUFI11G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSTSFAVVNPILLRPARRRSTSTQSVELSALLCQEDLDTAYAPQPSLPTTHASVPIWICALVKRERAGGRGGTAVARKERWRVWGRADVEEGTQPTA >ORUFI11G12450.1 pep chromosome:OR_W1943:11:13331875:13334349:1 gene:ORUFI11G12450 transcript:ORUFI11G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHSRALPTPGATTLLAAAERCHPTCRRAAHHPSAIVHLIFQLMLLYEMTDGEDIQRLASCM >ORUFI11G12460.1 pep chromosome:OR_W1943:11:13339985:13341106:-1 gene:ORUFI11G12460 transcript:ORUFI11G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHTLCVYVRHAITVYWGGVRPGADGMEHYESKMQHPVSSTGVQKNEPCDALNSITTNGLGLVNPDRVFSFYDELHAYLASAGIDGVKSVHPMAEYHAAARAVSGCAIYVSDKPGNHDFNLLKKLVLPDGSILRAKLPENAMTIQCESMSSSVRSSSWVKDVGTAWTTMTLLSSCSAPRSPQ >ORUFI11G12470.1 pep chromosome:OR_W1943:11:13341176:13341517:-1 gene:ORUFI11G12470 transcript:ORUFI11G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGERRLVSGGGGGAGGGGVMAVGMVVVGVGVPRFSQWSSASACPASPSGRRRRHGGRCCRHSGGLSGDRCGGQRAACRRAGPGDGGQRAACPTTAAKEAQQSAEARRLQAER >ORUFI11G12480.1 pep chromosome:OR_W1943:11:13362370:13375411:-1 gene:ORUFI11G12480 transcript:ORUFI11G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRLPIVVVAAPSPLLARIHRAASLPGGPSPFFLPPPHPRASLPAASPYPPPPRCAPGSAHIHVWECIFSAVRGGQAQPAGIKEFLYRGIVLDHADLPKCLPLAHCRFRPSSCSPAPPRFTDPPLRSGRRLGRLGATVRRLGGGCAAPAVDWIKVARQRPASRRGGGDWRVAIFFDLMRFLCGGAMSNRKSCLAAANIEENGIDAMLRMGSTCRRHKPAAPRLEGALEENTMVGAYDGQTDEVVRLLGESSGVAVESPTIRATPAAQAAACNIHEVTAERSTLLHVAAAQGHCDLIAELCRRDSNLLSAANSTGDTPLHCVARAGHTGAILAIARFARDSVEEDRLREILRGKNSAGDTALHLAARHGHGEAASELVAIAPAMASELNGSGMSPLYLAVMSRSVAAVRAVLSCGDASAAGPDSQNALHAAVLQNPEMVSLLLQWREELATQLDSSQSTPLHYASSDGDCSVIQEILKHTPPSATQLQDSDGLSALHVAALMGHTTAVRLLLKFSPASADIRDNHGRTFLHVAAMRGHVSVISYAIKNRMLMHILNEQDNEGNTPLHLAVIAGEYKVISKLLYSGKVQNHIMNYAGHTPYDLAEKSTGFYTMVRIILKLYVSGAQFRPQRQDHIVKWNGQDIIKWQATTSKYLAIVSTLVATIAFSATFNMPGSYGSDGKANLNGDRLYHAFVVLDTVAVTTSVVATILLLYGRIAQSHRSWPSFIIAMHSLWLSLICMLLAFFISIIAVMDKNNSIRIAPTRVMYHGLYILMMMLTKATMPGSVKGILMFLIGGRLEQERRAKRRIRRQYPLIVVYIFNIIVFAVVTIMALTAIDVIGNLRY >ORUFI11G12480.2 pep chromosome:OR_W1943:11:13362370:13375411:-1 gene:ORUFI11G12480 transcript:ORUFI11G12480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRLPIVVVAAPSPLLARIHRAASLPGGPSPFFLPPPHPRASLPAASPYPPPPRCAPGSAHIHVWECIFSAVRGGQAQPAGIKEFLYRGIVLDHADLPKCLPLAHCRFRPSSCSPAPPRFTDPPLRSGRRLGRLGATVRRLGGGCAAPAVDWIKVARQRPASRRGGGDWRVAIFFDLMRFLCGGAMSNRKSCLAAANIEENGIDAMLRMGSTCRRHKPAAPRLEGALEENTMVGGCYRGPVMGLGCVSTIKTKLMEFTHAGCKKKIPDHLFMCSELYIAAYDGQTDEVVRLLGESSGVAVESPTIRATPAAQAAACNIHEVTAERSTLLHVAAAQGHCDLIAELCRRDSNLLSAANSTGDTPLHCVARAGHTGAILAIARFARDSVEEDRLREILRGKNSAGDTALHLAARHGHGEAASELVAIAPAMASELNGSGMSPLYLAVMSRSVAAVRAVLSCGDASAAGPDSQNALHAAVLQNPEMVSLLLQWREELATQLDSSQSTPLHYASSDGDCSVIQEILKHTPPSATQLQDSDGLSALHVAALMGHTTAVRLLLKFSPASADIRDNHGRTFLHVAAMRGHVSVISYAIKNRMLMHILNEQDNEGNTPLHLAVIAGEYKVISKLLYSGKVQNHIMNYAGHTPYDLAEKSTGFYTMVRIILKLYVSGAQFRPQRQDHIVKWNGQDIIKWQATTSKYLAIVSTLVATIAFSATFNMPGSYGSDGKANLNGDRLYHAFVVLDTVAVTTSVVATILLLYGRIAQSHRSWPSFIIAMHSLWLSLICMLLAFFISIIAVMDKNNSIRIAPTRVMYHGLYILMMMLTKATMPGSVKGILMFLIGGRLEQERRAKRRIRRQYPLIVVYIFNIIVFAVVTIMALTAIDVIGNLRY >ORUFI11G12480.3 pep chromosome:OR_W1943:11:13362370:13375411:-1 gene:ORUFI11G12480 transcript:ORUFI11G12480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRLPIVVVAAPSPLLARIHRAASLPGGPSPFFLPPPHPRASLPAASPYPPPPRCAPGSAHIHVWECIFSAVRGGQAQPAGIKEFLYRGIVLDHAEKRATAGEAGGNGATAWRRVCSAGGGLDQGGEAATGVEARRRRLANQGSSNRKSCLAAANIEENGIDAMLRMGSTCRRHKPAAPRLEGALEENTMVGGCYRGPVMGLGCVSTIKTKLMEFTHAGCKKKIPDHLFMCSELYIAAYDGQTDEVVRLLGESSGVAVESPTIRATPAAQAAACNIHEVTAERSTLLHVAAAQGHCDLIAELCRRDSNLLSAANSTGDTPLHCVARAGHTGAILAIARFARDSVEEDRLREILRGKNSAGDTALHLAARHGHGEAASELVAIAPAMASELNGSGMSPLYLAVMSRSVAAVRAVLSCGDASAAGPDSQNALHAAVLQNPEMVSLLLQWREELATQLDSSQSTPLHYASSDGDCSVIQEILKHTPPSATQLQDSDGLSALHVAALMGHTTAVRLLLKFSPASADIRDNHGRTFLHVAAMRGHVSVISYAIKNRMLMHILNEQDNEGNTPLHLAVIAGEYKVISKLLYSGKVQNHIMNYAGHTPYDLAEKSTGFYTMVRIILKLYVSGAQFRPQRQDHIVKWNGQDIIKWQATTSKYLAIVSTLVATIAFSATFNMPGSYGSDGKANLNGDRLYHAFVVLDTVAVTTSVVATILLLYGRIAQSHRSWPSFIIAMHSLWLSLICMLLAFFISIIAVMDKNNSIRIAPTRVMYHGLYILMMMLTKATMPGSVKGILMFLIGGRLEQERRAKRRIRRQYPLIVVYIFNIIVFAVVTIMALTAIDVIGNLRY >ORUFI11G12480.4 pep chromosome:OR_W1943:11:13362370:13375411:-1 gene:ORUFI11G12480 transcript:ORUFI11G12480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRLPIVVVAAPSPLLARIHRAASLPGGPSPFFLPPPHPRASLPAASPYPPPPRCAPGSAHIHVWECIFSAVRGGQAQPAGIKEFLYRGIVLDHADQGSSNRKSCLAAANIEENGIDAMLRMGSTCRRHKPAAPRLEGALEENTMVGGCYRGPVMGLGCVSTIKTKLMEFTHAGCKKKIPDHLFMCSELYIAAYDGQTDEVVRLLGESSGVAVESPTIRATPAAQAAACNIHEVTAERSTLLHVAAAQGHCDLIAELCRRDSNLLSAANSTGDTPLHCVARAGHTGAILAIARFARDSVEEDRLREILRGKNSAGDTALHLAARHGHGEAASELVAIAPAMASELNGSGMSPLYLAVMSRSVAAVRAVLSCGDASAAGPDSQNALHAAVLQNPEMVSLLLQWREELATQLDSSQSTPLHYASSDGDCSVIQEILKHTPPSATQLQDSDGLSALHVAALMGHTTAVRLLLKFSPASADIRDNHGRTFLHVAAMRGHVSVISYAIKNRMLMHILNEQDNEGNTPLHLAVIAGEYKVISKLLYSGKVQNHIMNYAGHTPYDLAEKSTGFYTMVRIILKLYVSGAQFRPQRQDHIVKWNGQDIIKWQATTSKYLAIVSTLVATIAFSATFNMPGSYGSDGKANLNGDRLYHAFVVLDTVAVTTSVVATILLLYGRIAQSHRSWPSFIIAMHSLWLSLICMLLAFFISIIAVMDKNNSIRIAPTRVMYHGLYILMMMLTKATMPGSVKGILMFLIGGRLEQERRAKRRIRRQYPLIVVYIFNIIVFAVVTIMALTAIDVIGNLRY >ORUFI11G12480.5 pep chromosome:OR_W1943:11:13362370:13374460:-1 gene:ORUFI11G12480 transcript:ORUFI11G12480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCDCSRLHSPNQGSSNRKSCLAAANIEENGIDAMLRMGSTCRRHKPAAPRLEGALEENTMVGGCYRGPVMGLGCVSTIKTKLMEFTHAGCKKKIPDHLFMCSELYIAAYDGQTDEVVRLLGESSGVAVESPTIRATPAAQAAACNIHEVTAERSTLLHVAAAQGHCDLIAELCRRDSNLLSAANSTGDTPLHCVARAGHTGAILAIARFARDSVEEDRLREILRGKNSAGDTALHLAARHGHGEAASELVAIAPAMASELNGSGMSPLYLAVMSRSVAAVRAVLSCGDASAAGPDSQNALHAAVLQNPEMVSLLLQWREELATQLDSSQSTPLHYASSDGDCSVIQEILKHTPPSATQLQDSDGLSALHVAALMGHTTAVRLLLKFSPASADIRDNHGRTFLHVAAMRGHVSVISYAIKNRMLMHILNEQDNEGNTPLHLAVIAGEYKVISKLLYSGKVQNHIMNYAGHTPYDLAEKSTGFYTMVRIILKLYVSGAQFRPQRQDHIVKWNGQDIIKWQATTSKYLAIVSTLVATIAFSATFNMPGSYGSDGKANLNGDRLYHAFVVLDTVAVTTSVVATILLLYGRIAQSHRSWPSFIIAMHSLWLSLICMLLAFFISIIAVMDKNNSIRIAPTRVMYHGLYILMMMLTKATMPGSVKGILMFLIGGRLEQERRAKRRIRRQYPLIVVYIFNIIVFAVVTIMALTAIDVIGNLRY >ORUFI11G12490.1 pep chromosome:OR_W1943:11:13374499:13374800:1 gene:ORUFI11G12490 transcript:ORUFI11G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMAPTLLLSQGLHDHIWPALQVGSDLRESGTNARVTSGGSVKRGGAGEQEEGRKRQWASGRHFGRSKYYAKEEMDWERKALPA >ORUFI11G12500.1 pep chromosome:OR_W1943:11:13376612:13398842:1 gene:ORUFI11G12500 transcript:ORUFI11G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSHGLVLPCLALFRPNTTFVSAAEKKLVAAAAGLPSELLDVKATVCPTSLGWILVRESASGSTYLLDPQSRQDKIPLPPLTRIDDDVLMDCNCLLSDQPTASAAAGCVVLLVEPQDTVIWYHHIGAGGEWTRHEYDIGIQSDNNPIPEKGND >ORUFI11G12510.1 pep chromosome:OR_W1943:11:13397205:13406847:-1 gene:ORUFI11G12510 transcript:ORUFI11G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPGASSFAAALVVEDFPWREEEMGMDPDKYREVFDLAQRGARAFRDGHFDEAISFYSKAQTLRPGDPIILSNRSSAFCMISQVLRERSAADSEYQPLNGLDPTTHAELALKDAEKVLAIGSNSPRPYLLKAYALILLEQYHEAREAILSGLQVDPLSHVLQSWLSDLHRNTSIAARARRPTLDRPDDFECTLCFKLLFEPVTTPCGHSFCRSCLHQSMDHGNKCPMCRTVLFIGPKTYPISVTLSNIIQKNFPEEYAERKSEHETMTYAGVDLMPLFVMDVVLPCQKMALNIFEPRYRLMVRRIMEGNHRMGMVGIDSATGTVADCGCEVEILECEPLPDGRFYLEVEGSRRFRILRSWDQDGYRVAEIEWLQDISLPDGSQERKDLMERANAASELARTYIRRAREISRPARRARQTDLESMPGPQDPENFSFWLVNLINLRPSDRLDLLRLSDTREVCSKRNVLFLPKRSCYNYVLLLLLQRISRSLRLLGDAEQSCRVQ >ORUFI11G12510.2 pep chromosome:OR_W1943:11:13397205:13406847:-1 gene:ORUFI11G12510 transcript:ORUFI11G12510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPGASSFAAALVVEDFPWVKREEEMGMDPDKYREVFDLAQRGARAFRDGHFDEAISFYSKAQTLRPGDPIILSNRSSAFCMISQVLRERSAADSEYQPLNGLDPTTHAELALKDAEKVLAIGSNSPRPYLLKAYALILLEQYHEAREAILSGLQVDPLSHVLQSWLSDLHRNTSIAARARRPTLDRPDDFECTLCFKLLFEPVTTPCGHSFCRSCLHQSMDHGNKCPMCRTVLFIGPKTYPISVTLSNIIQKNFPEEYAERKSEHETMTYAGVDLMPLFVMDVVLPCQKMALNIFEPRYRLMVRRIMEGNHRMGMVGIDSATGTVADCGCEVEILEYRVAEIEWLQDISLPDGSQERKDLMERANAASELARTYIRRAREISRPARRARQTDLESMPGPQDPENFSFWLVNLINLRPSDRLDLLRLSDTREVCSKRNVLFLPKRSCYNYVLLLLLQRISRSLRLLGDAEQSCRVQ >ORUFI11G12510.3 pep chromosome:OR_W1943:11:13397205:13406847:-1 gene:ORUFI11G12510 transcript:ORUFI11G12510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPGASSFAAALVVEDFPWVKREEEMGMDPDKYREVFDLAQRGARAFRDGHFDEAISFYSKAQTLRPGDPIILSNRSSAFCMISQVLRERSAADSEYQPLNGLDPTTHAELALKDAEKVLAIGSNSPRPYLLKAYALILSPLHVGTHSVALVYINQWIMVRRIMEGNHRMGMVGIDSATGTVADCGCEVEILECEPLPDGRFYLEVEGSRRFRILRSWDQDGYRVAEIEWLQDISLPDGSQERKDLMERANAASELARTYIRRAREISRPARRARQTDLESMPGPQDPENFSFWLVNLINLRPSDRLDLLRLSDTREVCSKRNVLFLPKRSCYNYVLLLLLQRISRSLRLLGDAEQSCRVQ >ORUFI11G12510.4 pep chromosome:OR_W1943:11:13397205:13406847:-1 gene:ORUFI11G12510 transcript:ORUFI11G12510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPGASSFAAALVVEDFPWVKREEEMGMDPDKYREVFDLAQRGARAFRDGHFDEAISFYSKAQTLRPGDPIILSNRSSAFCMISQVLRERSAADSEYQPLNGLDPTTHAELALKDAEKVLAIGSNSPRPYLLKAYALILSPLHVGTHSVALVYINQWIMVRRIMEGNHRMGMVGIDSATGTVADCGCEVEILEYRVAEIEWLQDISLPDGSQERKDLMERANAASELARTYIRRAREISRPARRARQTDLESMPGPQDPENFSFWLVNLINLRPSDRLDLLRLSDTREVCSKRNVLFLPKRSCYNYVLLLLLQRISRSLRLLGDAEQSCRVQ >ORUFI11G12520.1 pep chromosome:OR_W1943:11:13414223:13415257:1 gene:ORUFI11G12520 transcript:ORUFI11G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSHRPVLPCLAVQCSCCKPNTTFISAAEKKPVAHGLPELLNVKATVCPTPLGWILVRESASGSTYLLDPQSRQDDKIPLPPLTGIDDDVLMDCNCLLSDQPTAQAAAGCVVLLVEPQDTVYHHIGAGAGGEWTRHEYDIGIQGDKYGFTEKIHIVACRGKFYFNSFFTEISVLEFCGPAGPPRFSSIRLDGGAPGDWGRGAFHVFLLESDGELYMVRLKTTLGSQSSPASSSSSSSPSPLQVGVYKMDFSERRWCRAVDLGDRAFFVAPFYFGASCVAGGKYGIQKNCVYSVRYLGDKSFTVSNVEDGTSHVHSIHGAEASPDSILRTLWMLPTDQKP >ORUFI11G12530.1 pep chromosome:OR_W1943:11:13440165:13440918:-1 gene:ORUFI11G12530 transcript:ORUFI11G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRIAEFEQRQAVVESGYPRSKRMLKICKDKQSKVTPTMSPPSSPILLWLVVTFATTDTTSVELVAVDDAIESTYIDNPNQPRAMLAKCSMNCSSSDAMADLTVTMVDRCASNAIALLELVDGEDKDPAPCIGTSNYSVVTPTRCSTVVINISDIAIQVLVAPLIIAVSWEMIIGLIEPSQVMVLQPNVVLILEKKMDNRCSMTLD >ORUFI11G12540.1 pep chromosome:OR_W1943:11:13456093:13456386:1 gene:ORUFI11G12540 transcript:ORUFI11G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPPPIVVKPCSPSSLSRSILLSLCRSLSLLTLRSFIHCSNGNATSPMGARERALNFTGAGIVVVRNGGDHPETSPLTINTPLKSSLKALKNLME >ORUFI11G12550.1 pep chromosome:OR_W1943:11:13476239:13476965:1 gene:ORUFI11G12550 transcript:ORUFI11G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDKFDMLLRRIAEFEQSRVEADQRRSADLLSLKAVVESWIPKLVAVDDAIESTYIDNPNQPRAMLAKCSTNCSSSDAMADLTVTLVDRCASNAIALLELVDGEDKDLAPCIGTSNYSVVTPTRCSAVVISISEIAIQVLVAPLIIAVSWEMITGLTEPSQVMVLQPNVVLSLEKKMDNRCSMTLD >ORUFI11G12560.1 pep chromosome:OR_W1943:11:13481124:13482173:-1 gene:ORUFI11G12560 transcript:ORUFI11G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSHRPVLPCLAVECSCCKPNTTFISAAEKKPVAHGLPELLNVKATVCPTPLGWILVRESASGSTYLLDPQSHQDDKIPLPPLTGTDDDVLMDCNCLLSNQPTAQAAAGCVVLLVELQDTVIWYHHIGAGAGGEWTRHEYDIGIQGDKYGFTEKIHIVPVAACRGKFYFNSFFTGISVLEFCGPAGSPQFSSIRLAGVAPGDWGRGAFHVFLLESDGELYMVRLKTTLGSQSSPASSSSSSSPSPLQVGVYKMDFSERRWCRADDLGDRAFFVAPFYFGASCLAGGKYGIQKNCVYSVRYLGDKSFTVSNVEDGTSHVHSIHGAEASPDSILRTLWMLPTDQKP >ORUFI11G12570.1 pep chromosome:OR_W1943:11:13483626:13485372:-1 gene:ORUFI11G12570 transcript:ORUFI11G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSHGLVLPCLAVECSCYSPNTTFISAAEKKLVAAAAGLPSELLDVKATVCPTPLGWILSRQDKIPLPPLTRIDDDVLMDSNCLLSDQPTALAAAGCVVPLVEPQDTVIWYHHIGAGAGGEWTRHEYDIGIQSDKYGFTEKVHIVPIAACCGKFYFNSFFTEISVLEFSGPAGPPQFSSIKLAGSVPGDWGRGACHVFLLESDGQLYMVCLKTPGSSSSSLSSLQVGVYKMDFSERRWCRADDLGDRAFFAKY >ORUFI11G12580.1 pep chromosome:OR_W1943:11:13507003:13507727:1 gene:ORUFI11G12580 transcript:ORUFI11G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDAIQWSHIQDFLDVECSSMKNDDGDRDGDDVSSASETPPASTVDAAAMASKIRTAARRLRALLDRGGMADDDPFRFTLAGDVTRAAEEMAALRGARPAFRRAVASRLSEAGYDAAVCRTRWRAARDVAAGNYEYIDVVQHEERRR >ORUFI11G12590.1 pep chromosome:OR_W1943:11:13507859:13508985:1 gene:ORUFI11G12590 transcript:ORUFI11G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVRTAARRLRALLDRGGMVDDPFRFTLAGDVARAAEEMAALRGARPAFRRAVASRLREAGYDAGVCRTRWRAARDVAAGNYEYIDVVVTAVTAAGAGAAKSAAHGAERRYIVDVGFAAEFAVARPTVDYDELVLSALPAILVAPPTVPREAVTLAAKAARRSLKSQGLAVPPWRKKRFVAAKWLGPYRRTPPHAVASRATAGAAGGAGEAACRTVGFMLGPPIQPWAMASNFSLN >ORUFI11G12600.1 pep chromosome:OR_W1943:11:13509560:13509766:-1 gene:ORUFI11G12600 transcript:ORUFI11G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKQQQQQPQAATAAAASGVWKTVKPFANGGASGMLATCVIQPIDMVKVRTPPHGLGLPASAGGLV >ORUFI11G12610.1 pep chromosome:OR_W1943:11:13514003:13514410:1 gene:ORUFI11G12610 transcript:ORUFI11G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAWSGRTSGVQITRETSETKAAAALADAEDDGGRFERRLTRKTETAEADDGGRATDAEDGGGPAGRQQWLIRATADDGGRAADAEDGCGRFRRRLTRKAEAAEADDGGCATDAEAGGRVADAEDGGGRSGRR >ORUFI11G12620.1 pep chromosome:OR_W1943:11:13523542:13524399:1 gene:ORUFI11G12620 transcript:ORUFI11G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAVVPGSADSSGSEHEAALSSLVNEYLLEAADDATVPSAAVLAVGGGSDAEEDDEHEGGVGAAEEVDEIARVLDAAGGGDGDDLRRRIFADVVDSMRELENVRAQRSAFRRAVMSLLRERGHDAGLCKARWNKTSSMVAGSYEYIDVVVAAAPDAAEATRYIVDVGFAGEFEVARPTEDYEAVRSALPEVLVARPDDVRKVVRAAASAARRSLKRRRLSVPPWRKRKFMIAKWLGPYRRTVNAVPTSAGTAIDGGSSLAVCRTVHGFEAPPLVMTTPTGLWG >ORUFI11G12630.1 pep chromosome:OR_W1943:11:13544351:13562519:1 gene:ORUFI11G12630 transcript:ORUFI11G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTASCSLPDAWPEPVVPVQSLSEAGVSAVPPQYIKPPQDRPVLPAPSLDVPTVDVAAFLDLDGAAAACAAAEQLKNLAEACSKHGFFQVVNHGVQASTVERMRGAWRRFFALEMEEKKACSNSPSAPEGYGSRAGVEKGALLDWGDYYFLNILPREIKRRNKWPKSPHDLREITEDYGRDLMNLCEVLLKAMSLSLGLGENQLHAAFGSDDGISACMRVNYYPKCPQPELTLGISSHSDAGGIAVLLADDRVKGTQVLKGDTWYTVQPIPNAFLVNVGDQIQIISNDKYKSVEHRAVASSDDARFTVAFFCNPSGNLPIGPAAQLVSSQSPALYTPIVFDEYRRFSRRRGLKGKSQLEAMKNSKIAQVELIAYRDAQINLRNFLPDAWPEPVVPVQSLSEAGVSAVPPQYIKPPQDRPVLPAPILDVPTVDIAAFLDHDGTAVATAAAAEQLKNLAEACSKHGFFQVVNHGVQASTVERMRGAWRRFFALEMEEKEACSNSPAAPEGYGSRAGGDYYFLNILPSEIKRRNKWPKSPHDLRDITEDYGRDLMNLCEVLLKAISLSLGLRENQLHAAFGSDDGISACMRVNYYPKCPQPELTLGISSHSDAGGIAVLLADDRVKGTQVRKGDTWYTVQPIPNAFLVNVGDQIQIISNDKYKSVEHRAVASSDDARFTVAFFCNPSGNLPIGPAAQLVSSQSPALYTPVVFDEYRRFSRRRGLKGKSQLEAMKNSARCTEQAIYM >ORUFI11G12640.1 pep chromosome:OR_W1943:11:13563052:13563282:-1 gene:ORUFI11G12640 transcript:ORUFI11G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDAARADDALRAWSGGAPASVLFEKQADECEEDSSADEGKWTSEELSSHLKHEDSGGLEDVISIIINDRKQCRC >ORUFI11G12650.1 pep chromosome:OR_W1943:11:13564574:13565729:-1 gene:ORUFI11G12650 transcript:ORUFI11G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGARAPWRVVTAAAAQTSTAAAAAAAAPTVAREVFACRICRKEFDTRKAVDGHMRVHRQQSIANPKDNAADNSPVTVVAEPRTDLDLSGPHGSSSAPPSPPAPPANPSNHNQAVGHQPAAAAPNAGVVVVEGAPQKSLPYMCKMQGCDRAFPTHQGLGGHAAGHQNRSKAATAAAAASEQGSSGAGADGCHGGAGSSKHRCGGADSSKHRCRECGMEWKTGFALGGHMRKHQKEKVTVNEKEPNVAGKHISLGPPPSPELTPAAAEVTSLEPVDQPPVLLSMVVGAEVAAPALLALANEAAALPRSGRGSEEAAAQAAAPAEAAALPPVESGADAADVGAAPEAPLPAPIAGMGAVRIFGFLVEKPTPGDGSGGEGSAPA >ORUFI11G12660.1 pep chromosome:OR_W1943:11:13581799:13604121:1 gene:ORUFI11G12660 transcript:ORUFI11G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVPKLTVKELKKRYTALSVEEKAALVQRNRENRERKNSASISGTGSQTVNCQPSFQPVPSSTPMSSSTPGVQSNCVFQEVFISGRFVINIVKQESIVSGQRITGAPRTQGTVYSQSVPPIKLASIPLLRYKVRNSMFKEPVLHDAIKIGVRNSMFKEPVLHDAIKIGIGVNFRNQELLQPAEQNNAPRKSEVVIVEDNEVCERNMASSGAGRDERWILAGATALVTGRSKGIGRAIVEELVGFGSTVHTCARSEAELSRCQEELTAKGLAVSFSVCDVSVRTDREELVSRVRELFGGKLNILVNNAGLTLSKLTLETTTSDYTQQIVANFESCFHLSQLLHPLLKAFERGSIINISSISSYLAYPYLAVYSAAKGAMNQFSKALASDWAGDNIRINCVAPGLTRTPLLEEHPTPSVKET >ORUFI11G12660.2 pep chromosome:OR_W1943:11:13581799:13604121:1 gene:ORUFI11G12660 transcript:ORUFI11G12660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVPKLTVKELKKRYTALSVEEKAALVQRNRENRERKNSASISGTGSQTVNCQPSFQPVPSSTPMSSSTPGVQSNCVFQEVFISGRFVINIVKQESIVSGQRITGAPRTQGTVYSQSVPPIKLASIPLLRYKVRNSMFKEPVLHDAIKIGVRNSMFKEPVLHDAIKIGIGVNFRNQELLQPAEQNNAPRKSEVVIVEDNEVCERNMASSGAGRDESRAIVEELVGFGSTVHTCARSEAELSRCQEELTAKGLAVSFSVCDVSVRTDREELVSRVRELFGGKLNILVNNAGLTLSKLTLETTTSDYTQQIVANFESCFHLSQLLHPLLKAFERGSIINISSISSYLAYPYLAVYSAAKGAMNQFSKALASDWAGDNIRINCVAPGLTRTPLLEEHPTPSVKET >ORUFI11G12660.3 pep chromosome:OR_W1943:11:13581799:13604121:1 gene:ORUFI11G12660 transcript:ORUFI11G12660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVPKLTVKELKKRYTALSVEEKAALVQRNRENRERKNSASISGTGSQTVNCQPSFQPVPSSTPMSSSTPGKHRFWPTDHWSAADTRHCLLPIRPPYKASLNPTTSVQCCPVDHYANFPNSVRKVTLMDSKNQELLQPAEQNNAPRKSEVVIVEDNEVCERNMASSGAGRDERWILAGATALVTGRSKGIGRAIVEELVGFGSTVHTCARSEAELSRCQEELTAKGLAVSFSVCDVSVRTDREELVSRVRELFGGKLNILVNNAGLTLSKLTLETTTSDYTQQIVANFESCFHLSQLLHPLLKAFERGSIINISSISSYLAYPYLAVYSAAKGAMNQFSKALASDWAGDNIRINCVAPGLTRTPLLEEHPTPSVKET >ORUFI11G12660.4 pep chromosome:OR_W1943:11:13581270:13604123:1 gene:ORUFI11G12660 transcript:ORUFI11G12660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNQELLQPAEQNNAPRKSEVVIVEDNEVCERNMASSGAGRDERWILAGATALVTGRSKGIGRAIVEELVGFGSTVHTCARSEAELSRCQEELTAKGLAVSFSVCDVSVRTDREELVSRVRELFGGKLNILVNNAGLTLSKLTLETTTSDYTQQIVANFESCFHLSQLLHPLLKAFERGSIINISSISSYLAYPYLAVYSAAKGAMNQFSKALASDWAGDNIRINCVAPGLTRTPLLEEHPTPSVKET >ORUFI11G12670.1 pep chromosome:OR_W1943:11:13604725:13605269:1 gene:ORUFI11G12670 transcript:ORUFI11G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRQAVGGCCGGQNCVRLRLERRQQQQLHLGQQQHYQGMQQQPTASKHLSSWPATTTTLAGSIFRRQQQQLHLGQQQHYQGMQQQQANVGRSSSSQLHLSILALGQQQQQH >ORUFI11G12680.1 pep chromosome:OR_W1943:11:13616608:13617100:-1 gene:ORUFI11G12680 transcript:ORUFI11G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRQSFGTHQKKHLLMRPKWLYPDRQTLRVQLKDLQRYVVLYNFVVLALNLCTRVIDRRS >ORUFI11G12690.1 pep chromosome:OR_W1943:11:13617687:13624360:1 gene:ORUFI11G12690 transcript:ORUFI11G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVTTAVPWMCGVARGEARRARKCRGDGEGQTRPWLAEGWHVAAGDGGGGRRMHRLHGNVSSEEGDDADDDGAGTATYDRERLVVTRDEWISFSITLFLLSTPLPSLLAFWRLITLRDGRLGASVFSIVCCEELPTLDGDAKVKLHSLKRREIETSRLQPYMAMATTSSKNERWSLAGATALVTGGSKGIGRAIVEELASLGATVHTCARTEAPLNRCREELTAKGLAVTVSVCDVSLRADREALAGTVRELFGGKLSILRGCIINISSIASVVAFCSLPNAVYSAAKGAMNQVTRNLAAEWANDGIRVNCVAPGFIRTPLLSEFVEGNELGRAEFSRVPMGRLGEPEDIASLVAFLSMPASSYITGQVICADGGRDDSVGHSNRCWKQSLGMVAFPLAALRKERLQGGVSRR >ORUFI11G12690.2 pep chromosome:OR_W1943:11:13617687:13624360:1 gene:ORUFI11G12690 transcript:ORUFI11G12690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVTTAVPWMCGVARGEARRARKCRGDGEGQTRPWLAEGWHVAAGDGGGGRRMHRLHGNVSSEEGDDADDDGAGTATYDRERLVVTRDEWISFSITLFLLSTPLPSLLAFWRLITLRDGRLGASVFSIVCCEELPTLDGDAKVKLHSLKRREIETSRLQPYMAMATTSSKNERWSLAGATALVTGGSKGIGRAIVEELASLGATVHTCARTEAPLNRCREELTAKGLAVTVSVCDVSLRADREALAGTVRELFGGKLSILRGCIINISSIASVVAFCSLPNAVYSAAKGAMNQVTRNLAAEWANDGIRVNCVAPGFIRTPLLSEFVEGNELGRAEFSRVPMGRLGEPEDIASLVAFLSMPASSYITGQVICADGGRCLS >ORUFI11G12690.3 pep chromosome:OR_W1943:11:13617687:13624360:1 gene:ORUFI11G12690 transcript:ORUFI11G12690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVTTAVPWMCGVARGEARRARKCRGDGEGQTRPWLAEGWHVAAGDGGGGRRMHRLHGNVSSEEGDDADDDGAGTATYDRERLVVTRDEWISFSITLFLLSTPLPSLLAFWRLITLRDGRLGASVFSIVCCEELPTLDGDAKVKLHSLKRREIETSRLQPYMAMATTSSKNERWSLAGATALVTGGSKGIGRAIVEELASLGATVHTCARTEAPLNRCREELTAKGLAVTVSVCDVSLRADREALAGTVRELFGGKLSILRGCIINISSIASVVAFCSLPNAVYSAAKGAMNQVTRNLAAEWANDGIRVNCVAPGFIRTPLLSEFVEGNELGRAEFSRVPMGRLGEPEDIASLVAFLSMPASSYITGQVICADGGRCLS >ORUFI11G12700.1 pep chromosome:OR_W1943:11:13624900:13634885:-1 gene:ORUFI11G12700 transcript:ORUFI11G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTHAGCKKKIPDHLFMCSELYIAAYDGQTDEVVRLLGESSGVAVESPTSRASPAAQAAANQHAPCNIHEVTAERSTLLHVAAAQGHCDLIAELCRRDSNLLSAANSTGDTPLHCVARAGHTGAILAIARFARDSVEEDRLREILRGKNAAGDTALHLAARHGHGEAASELVAIAPAMASELNGSGMSPLYLAVMSRSVAAVRAVLSCGDASAAGPDSQNALHAAVLQNPEMVSLLLQWREELATQLDSSQSTPLHYASSDGDCSVIREILKHTPPSATQLQDSEGLSALHVAALMGHAAAVRLLLHFSPASVDIRDNHGRTFLHVAAMMLVHILNEQDNEGNTPLHLAVVAGEYKVISKLLCSGKVHNHIMNNAGHTPSDLAEKSTGFYTMVRIILKLYLSGAQFRPQRQDHIVKWNGQDMIKWQVTTSKYLAIVSTLVATIAFSATFNMPGSYGLDGKANLNGDRLYHAFMMLDTIAVTTSVVATILLVYGKIAQSHRSWPSFIIAMYSLWLSLICMLLAFFISIIAVMDNNNSIRIALTGS >ORUFI11G12710.1 pep chromosome:OR_W1943:11:13636079:13639746:-1 gene:ORUFI11G12710 transcript:ORUFI11G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLPLARIRRRLRREGLDTATSSSPARIPSSLYAPLTLICRERRREGPDPVVSTVVAASLPPPLLHACPVHRTLPSPSSPGEDGGGKVGLPALDVVLAFPQAMTASQFPPTELYTVHTEFRVEKMFVSYLDV >ORUFI11G12710.2 pep chromosome:OR_W1943:11:13636079:13639746:-1 gene:ORUFI11G12710 transcript:ORUFI11G12710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFLLDLGSSPSLLTARGRTGAVDPANSSPPSQIPWSLRSLLRGSAVGCDGRARIPSSLYAPLTLICRERRREGPDPVVSTVVAASLPPPLLHACPVHRTLPSPSSPGEDGGGKVGLPALDVVLAFPQAMTASQFPPTGETSSLAFPVEKMFVSYLDV >ORUFI11G12710.3 pep chromosome:OR_W1943:11:13636079:13639746:-1 gene:ORUFI11G12710 transcript:ORUFI11G12710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLPLARIRRRLRREGLDTATSSSPARIPSSLYAPLTLICRERRREGPDPVVSTVVAASLPPPLLHACPVHRTLPSPSSPGEDGGGKVGLPALDVVLAFPQAMTASQFPPTGETSSLAFPVEKMFVSYLDV >ORUFI11G12720.1 pep chromosome:OR_W1943:11:13652437:13655745:-1 gene:ORUFI11G12720 transcript:ORUFI11G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELDENTCARGMVFLHFMTDENTCATVAKTNLATVKEDELFSVLELYESFSCYNDIVEQNMPMLMEPSPVMGLKLDAIISVENEVPDGCSMKCHEDGQKALMENPKRNPWPPPNSGEHVLRRWEMGLVRSMPLWSPLIQVKSLACVQSDNGNLFIGLVVLSYEMTELDENTCARGMVFLNFMTDENTCATVAKTNLATVKEDELFSVLELYESFSCYNDIVEQNMPSHFSEKFLQKYGQIRYWDCALLTKGDKGNYDQLELLMCMSMKWIQLCIESFLAILDTKLLVISVNKDNALQGKASNFLVVWWNASATKELAMVMMGKQLCDVSHGIVAFIMQRHWGSWWIIDIGGTFCMESK >ORUFI11G12730.1 pep chromosome:OR_W1943:11:13655928:13659284:-1 gene:ORUFI11G12730 transcript:ORUFI11G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHASVQLAMTLHGEGIWASSSGGTGDGVEVELGTQVGCQWAASVQLAMTLHGDGVWASSSGGTGDGVEVELGTQVGCQWAAFKEMFDGMEVHTGKAFKERIAAIKTSPTDLTAASPSPLPASPTSAPTWYSTFAPTTTSFCPNNNIMYTTASSCHFNKKPILEVALELGDHEEKAHALCIDTIGCFKDMHAKCSTFGLETNGDANQAVVMSPTIIGMSKIIPASVVLVDIFSPTDVSQCQYRQLPCYGCVTSHGKPSGNHHSANGAITSHGAEA >ORUFI11G12740.1 pep chromosome:OR_W1943:11:13685801:13685980:-1 gene:ORUFI11G12740 transcript:ORUFI11G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGCHRWIRAAERHCRGESVGTAAVRLRSGHLLVGGGGGDLLGQRPRSRPPHHRRQR >ORUFI11G12750.1 pep chromosome:OR_W1943:11:13701894:13710945:1 gene:ORUFI11G12750 transcript:ORUFI11G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSFKKERWSLAGATALVTGGSKGIGNEAELSRCQEECNSRGLAVTVSACDVSVRADREALAARVRALFDGKLSILVNNVGTSYLKPAVELTLEETSSLMATNFESCFHMSQLAYPLLKASGRGNIINISSAATSLALPSLPVYSAAKGAMNQVTRNLASEWASDGVRYVASEIFEESDYSRIPLGRVGDPEEISSLVAFLCMPAASYITGQVICVDGGSILS >ORUFI11G12760.1 pep chromosome:OR_W1943:11:13714710:13715157:-1 gene:ORUFI11G12760 transcript:ORUFI11G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLEGHAVVPHVHRLRTWLSAASGCGLLRDATVGCCSYNTGEDSTIKCTMTQFEDQFSTIKPDGIQVRCHKVLVFFCCTGLRTTLSDAISETAANVF >ORUFI11G12770.1 pep chromosome:OR_W1943:11:13734707:13735033:-1 gene:ORUFI11G12770 transcript:ORUFI11G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAVLLHAVLLVLAVAVSSWRGVVSGDAAVVLGRKAAGMSSAAASSSADDDLPAPQISARKDGSGNDKYAVIFDAGSTGSRVHVFRFDKQMDLVKIGDDMELFAKV >ORUFI11G12780.1 pep chromosome:OR_W1943:11:13752348:13754491:-1 gene:ORUFI11G12780 transcript:ORUFI11G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSAPACFADSMVKPGLSSYAGKPQEAANSIAPLLEKAKGVVPKQLQKRTPLKLGATAGLRLIGDEKSEQILEAVRDLVHSKSNFQYKPEWISVLGGSQEGSNLWVALNYLLGKLGGDYSKTVGVIDLGGGSVQMAYAISSDAAENAPPVPVGKDPYVTKEYLKGKDYNLYVHSYLHYGLQASRVEILKTKNGQFSSCMLRGFNGWWNDFHGFST >ORUFI11G12790.1 pep chromosome:OR_W1943:11:13826434:13827506:-1 gene:ORUFI11G12790 transcript:ORUFI11G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLDGITTTDDDVHGGSGGARQDLLEVIPEKRRCKARSAGGHPGEGHTHEANTPVVIHQQPAAVEVKEEEPKSPAPEKEITTTATAIIEEEEDDDEPKKGDAAAPVSTDSAAAAMDNDDDNDAENSPTAANELVRRFKGSRVKTAMEKRLEEEQPRRWEMAWVKTAMEKRLEEEQPRRWEMAWWSNDVIEEARSKLLEKRQCSRVKALLGAFETIMDAEPAGDGATTIAGKPQHYLHRRQTAALPPRHPAAYPNEK >ORUFI11G12800.1 pep chromosome:OR_W1943:11:13827509:13827691:-1 gene:ORUFI11G12800 transcript:ORUFI11G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSPSLSYYSSMLSPRKLMQRAFRRSGSSKSSRRRNSKDDVGGDNSASRPRLTARSPSR >ORUFI11G12810.1 pep chromosome:OR_W1943:11:13839431:13839837:-1 gene:ORUFI11G12810 transcript:ORUFI11G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCGGGGSVRCGGRDTGAAMRR >ORUFI11G12820.1 pep chromosome:OR_W1943:11:13843798:13853761:-1 gene:ORUFI11G12820 transcript:ORUFI11G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASDRNGHEGRRRVLLLPLPYHGHINPMLRLAAALHDRGLAVTVVHTETRAPDRRSLPAGCELVTVPDGLPPELAASGDIPSFVFALNRNCAAPFRDLLAGALRQEEEEEDGGGVACVVADVDWFAPLAAARELGVPALALMTSSAARVYLAYPRLCEKGYLPVQESNLDMPVDKHPPLLVRDLHIMMDTSRHVAYASLLAHIVAGVRQSSGLILNTFNAIERTDVEQIRRDTAIPVFPVGPLHMLSPPATVATQKSSLLLEDRSCLEWLNTQLPGSVLFVSFGTLVSIDADELLEVAWGLAASNRPFLWVVRPRLVRGRDSVELPSELLEETRGRGRIIRWAPQEEVLSHPAIGAFLTHCGWNSTLESISRTVPMICKPCGGDQLGTARYVCDMWKVGVRVEVEDKLTRGGIQAAIERLMDGIEGGVVRDRMREMGDVVSKCTTKGGSSDLALQDLVDFIKSS >ORUFI11G12820.2 pep chromosome:OR_W1943:11:13843798:13853761:-1 gene:ORUFI11G12820 transcript:ORUFI11G12820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASDRNGHEGRRRVLLLPLPYHGHINPMLRLAAALHDRGLAVTVVHTETRAPDRRSLPAGCELVTVPDGLPPELAASGDIPSFVFALNRNCAAPFRDLLAGALRQEEEEEDGGGVACVVADVDWFAPLAAARELGVPALALMTSSAARFRVYLAYPRLCEKGYLPVQESNLDMPVDKHPPLLVRDLHIMMDTSRHVAYASLLAHIVAGVRQSSGLILNTFNAIERTDVEQIRRDTAIPVFPVGPLHMLSPPATVATQKSSLLLEDRSCLEWLNTQLPGSVLFVSFGTLVSIDADELLEVAWGLAASNRPFLWVVRPRLVRGRDSVELPSELLEETRGRGRIIRWAPQEEVLSHPAIGAFLTHCGWNSTLESISRTVPMICKPCGGDQLGTARYVCDMWKVGVRVEVEDKLTRGGIQAAIERLMDGIEGGVVRDRMREMGDVVSKCTTKGGSSDLALQDLVDFIKSS >ORUFI11G12830.1 pep chromosome:OR_W1943:11:13866230:13867621:1 gene:ORUFI11G12830 transcript:ORUFI11G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSDVISGVSVITGGIVVIVVAILIYKCCKLRMWRKYGLSPPELPASQPPRPRSDDDRAVAALTTTTVDVIKERPVRFSSPQLREMTGDYAERLGAGGFGVVYKGQIPGGLAVAVKVLGSDMGRRAEEQFMAEIGTIGRTSHVNLVMLYGFCFDADLKALVYECMPKGSLERYLFFLDEQEQGLGFHKLFRIAVGTAKAIRYLHDECARRIIHYDIKPGNVLLDEELEPKVGDFGLARLCDREKTHLTMTGGGRGTPGYAAPELWKPVPVTHKCDVYSYGMLLFEILGQRRNYMHAPTVSPFAHLDPQVIITTSSSSSLLHTDDTTGGTTSTHISHHIT >ORUFI11G12840.1 pep chromosome:OR_W1943:11:13891874:13893317:-1 gene:ORUFI11G12840 transcript:ORUFI11G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRGARGRGGWRRCRGGGGRGTVVVVVLGVGEEGGRGGGGWGVAAAGVVQGAGARARAAVSVQQAGVGGGAQERAAPGVRRRRRPRPRHAESLCTRHSILGNMAAVLLAAATP >ORUFI11G12850.1 pep chromosome:OR_W1943:11:13916136:13918027:-1 gene:ORUFI11G12850 transcript:ORUFI11G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGFLGGGGGSFHAMAAWPLPFDAAAALHGGGWDSDPALGELVPSPVGVGVGGGVHKAALMDELASRLGGVCGGGLGGGGVPSPPSSSRYASCYSTPVGSPCKPAPALPVPSLLAAADAMIAERAARMSCLAAAGHGGGKLSRAASSQSLLAETAAAGGVHQLPASDGSSSDAPCRKRKAPCAKARGKDAATTIAKVLPLLSAPPLQSRRRTSPETMVNFPQSPEPGTKAKKCKLSADAAGDEDTKPVAGDAGHGGNGKGKVLDAAGEPPKDYIHVRARRGQATDSHSLAERVKAAGIDAANHNFFFSSILAFADAIAAVFHLQVRREKISERMKLLQDLVPGCNKVAKFSQKINLNFTLVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPQLDFDVDNFIPKDASDPIMPAPLSLPPPPPPLSYSPEGASPGICYASSQGTAMQSVVTSTKHLETAPTFANHHVIPVPSLDGFHSAHSQAGSCMWEDDLQSVVQMGFRGNT >ORUFI11G12860.1 pep chromosome:OR_W1943:11:13962892:13964307:1 gene:ORUFI11G12860 transcript:ORUFI11G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARSTNGAASTMLLRRPHPLPGRNGSAIVAIASAAAAAAAAASTMAREVFACRICRKEFDTRKAVDGHMRVHRQQSIATPKYNAADNSRVTVVAEPRTDLDLSGPHGSSSAPPGAGADGCHGGADSSKHRCRECGMEWKTGFALGGHMRKHQTKETVTVNEKEPNVAGKHISLGPPPLPDLTPAAAEVTSSEPLDQPPLLSMVVGAEVAAPALLALANEAAALPPQDDQAEEEAAAEAAAPAEAAALRPVEAGAEAADVGAAPEEPLLAPIAGMGTVRIFGFLVEKPAPGDGSGGEGVRLTTGNWQGCFVWGNPDPEGKHEKTMGSEGYYYVPDI >ORUFI11G12870.1 pep chromosome:OR_W1943:11:13979738:13980030:1 gene:ORUFI11G12870 transcript:ORUFI11G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIEENPRQLIVLPNQVLEEHNLMDKDQQLTGKGQSIHPFILWKVCWKRLIICAKLEIMHTTLNSGPSALPYGSPFMNLIMPLL >ORUFI11G12880.1 pep chromosome:OR_W1943:11:13980106:13984169:1 gene:ORUFI11G12880 transcript:ORUFI11G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPKRKTILDHAPPVVKRAHSSWALLPSSPDDGLTHQEILDAMTLQAQSSSPEVTPAVILIPKAHVKKRDEKTTLYNPTRRHNSRILNASQELKIDHRMGIGKPRGISAKKLNELVGISKILIPSSKINESDFSALDDDINLDSSPSDCSLSLLQKLGVDLCGLHPEDVAESNGDFVDIPPEEDLPTAGPQLGPNDDDANDPDDGNIWQLGHP >ORUFI11G12890.1 pep chromosome:OR_W1943:11:14033978:14038387:1 gene:ORUFI11G12890 transcript:ORUFI11G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSINKRFSLAGATALVTGGSKGIGSAIVEELASFGATVHTCARNQAELSRCQEEWTAKGLAVTVSVCDVAVRADREALAGRVSAMFDGKLSILVNNAGTAYLKPAADLTPEETSRLMTTNFESCFHLSQLFYPLLKDSGRGSIVNISSVASVLAFHSLPIYSAAKGAMNQVTRNLACEWASDGIRVNSVAPGYIQTPLLTAFVAGNDFAQVEFNRLPLGRLGKPEDISSLVAFLCMPAASYITGQIICVDGGRMLS >ORUFI11G12900.1 pep chromosome:OR_W1943:11:14056822:14059983:-1 gene:ORUFI11G12900 transcript:ORUFI11G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCGRVVLFPMPYPGHTIPMFHLAAVLRSRGFSITVLHTELRAPDPAAHPPEYRFVAVADGTPPELVVSEDAAAVLTSLNETCAAPFADRLAALLAEEGGVLCVIADVMWYAPAAAAPELGVPLMLLMTSSASSFRTFMEYPLLLERGFLPVDDAQKDTLVDILPPFRVKDLQRIDTTNLYSFANVLANVVAAARLSSGLILNTFDFIEGDNICRIRDELSIPVFAIGPLNKLIPLVGRSSFLPPDCDCLRWLDTQAPSSVLFVSFGTMATIDAQEFLEVAWGLAGTKLPFLWVVRPSLVRGLRLHSSELPSDLQEEINGRGRIVSWAPQEKVLGHPSVRAFMTHNGWNSTIESISEGVPMICRPCFGDQMGNARYVCAVWRLGVEMEVGSVLQRAKVQTAVEKLVNGEEGQNVKQRMRNLRIEAEKCVSKGGSSDTGLRNLVDSILSFGKC >ORUFI11G12910.1 pep chromosome:OR_W1943:11:14087467:14087664:-1 gene:ORUFI11G12910 transcript:ORUFI11G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSIRVVLHAFCLAHGSDEATRQRHIDAMVRKHKCDWFGLPRSSNVLAQVCIICDVNAMQGRSK >ORUFI11G12920.1 pep chromosome:OR_W1943:11:14089853:14092750:1 gene:ORUFI11G12920 transcript:ORUFI11G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLHRLWRSASLLPLCEAQARPCHDVASVVRCESRPQHLCMFAENPSLPFVFSRPNLLDPDLISMDKITNSHAAGADNESRHPRPKVIEQMLKCYGNGVLEDSVSCLYDNVGF >ORUFI11G12930.1 pep chromosome:OR_W1943:11:14109399:14126414:1 gene:ORUFI11G12930 transcript:ORUFI11G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSSWSSSSSSSLGSLDDDIIVACVVKAADAAVEGACVKFLCSYGGRILPRHADGALRYVGGDNRVVSVDRSLPFHAAQDPGVPVPKDDSDNDRAAIAQPVAHRCVQDGTPCPLPASDWQRAVVRIPVGGASDVVASCSRSAPAAALQPARRRRAAATVPSPECQQPLQGQRAIASQDSPVRFSAVPGRSSVQGRRAMVGTSSWSSSSTCTSSLGSLDDDVVVACVVKAADAAAEGTCVKFLCSYGGRILPRHADGALRYVGGDNRVVSVDRSLPFHELQRKLREMCGWEAVCLRCQLPTEDLDALVSVTGDDDLANLLEDRDRLQPLKIRAFLFPRTTTTTPPPPSRTVPHALYHRQTGSAPSCASRWAAHQMSSPPARVLHQQQHHNRHSGEARPHRYLVQSASHW >ORUFI11G12930.2 pep chromosome:OR_W1943:11:14109399:14126414:1 gene:ORUFI11G12930 transcript:ORUFI11G12930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSSWSSSSSSSLGSLDDDIIVACVVKAADAAVEGACVKFLCSYGGRILPRHADGALRYVGGDNRVVSVDRSLPFHELQRKLREMCGWEAVCLRCQLPTEDLDALVSVTGDDDLANLLEDRDRLQPLKIRAFLFPRTTTTTPPPPSRTVPHALYHRQTGSAPSCASRWAAHQMSSPPARVLHQQQHHNRHSGEARPHRYLVQSASHW >ORUFI11G12940.1 pep chromosome:OR_W1943:11:14162439:14165036:1 gene:ORUFI11G12940 transcript:ORUFI11G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLCFVLPMDADEVVVVAGAAGEEQPRRRGMAASGRLASYVRRKVGRALRCGLCGAWCHHRSSGVCSFEDIAGVDAVGAGKLGGGAGGSPRIFSYSELYIGTSGFSDTEILGSGGFGRVYRAVLPSDGTTVAVKCVAGRGDRFEKSFLAELAAVARLRHRNLVRLRGWCVQDEEELLLVYDYMPNRSLDRLLFRPAAAAAPAASAPALSWDRRRRIVSGLAAALFYLHEQLDTQIIHRDVKTSNVMLDSEYNARLGDFGLARWLEHAMSGEDAPPPQLEVSPSPHSARSSSFASANYQFRLMDTSRIGGTIGYLPPESFQRRAMATAKSDVFSFGIVLLEVATGRRAVDLAYPDDQIFMLDWVRRLSDEGKLLDAGDRKLPDGSYPLFDMGRLIHLGLLCSLHDPRSRPSMKWVVENLSGSCSGDLPPLPSFLALPKYVSLTSPSDSGTTTNATDSTVTSASKLYGTAAGTTIYLTAENGHRSRGGLADNSGGSSQRSTRPLVVIPSVDTPREISYKEIVAITNNFSESQMVAELDFGTGYEGFLDNGYGGNGARRDRVHVLVKRLGMKTCPALRVRFANELRNLAKLQHRNLVQLRGWCTEHGEMLVVYDYSPGNLLSHHLLRRDGAGAAAVLPWRHRYSIVKALASAVLYLHEEWDEQVIHRNITSAAVFLDPDRNPRLGSFALAEFLSRNESHGGAGGHHVALPATSSAARGIFGYMSPEYMETGEATAMADVYSFGVVVLEVVTGEMAVDVRSPEVLLVRRAQRWKEQSRPVEAIVDRRLDGQVDRPELERLVRLGMACTQSDPAARPTMRKIVSIMDGNDEILKKFEQRKQQSKEEWETTNAAALSLVRRLHALAIH >ORUFI11G12950.1 pep chromosome:OR_W1943:11:14170280:14170507:1 gene:ORUFI11G12950 transcript:ORUFI11G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLRNPNLYPGISYEPVAVAFSFNGTRFVESATMPAFYRTISRGRRLRSTSRWAALTSPSASSPQRGWWHLAG >ORUFI11G12960.1 pep chromosome:OR_W1943:11:14211551:14212165:1 gene:ORUFI11G12960 transcript:ORUFI11G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEDMRVRNKDEGGAAIDEEPIEDQGGESDEGDDEDALGFIVLALTMAHHRRVISAATTTTTYYCDDVGGGRDVGGGSIVHVGSWREEDKRRRSSGSRVK >ORUFI11G12970.1 pep chromosome:OR_W1943:11:14213798:14219821:1 gene:ORUFI11G12970 transcript:ORUFI11G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGAAGGGGGGGGGGDHARSKEAAGMMALHEALRNVCLNSDWTYSVFWTIRPRPRCRGGNGCKVGDDNGSLMLMWEDGFCRPRVAECLEDIDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECEPNIANYWQSSFDATIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHMFESLGYQSGFFLSQLFSSSRGTSPSPSSFPLKQQQPPPPPAARPPPQLFNWPGHAPPQLPPGASPLFPPGPAAFHPSSRPMPPFPGGGKDESHLKTAAAAQDAGHGGAENVEEYLSLESHSNKARRMESAQSTKFKRSFTLPARMSSSTTSTSPSVSASTAPAPPQQQQGMEYRGPHEGGVYSDLMETFLE >ORUFI11G12980.1 pep chromosome:OR_W1943:11:14260036:14281059:1 gene:ORUFI11G12980 transcript:ORUFI11G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFVYINDESYQNDYCDNRISNTKYTLLNFLPKNLWEQFRRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYISDKQANEKEVWIVKNGTRKHIQAQDIRVGNIVWIRENEEVPCDLVLIGTSDSQGICHVETAALDGEIDLKTRVIPLTCVGLDSEQLHKIKGVIECPNPDKDIRRLDANIRLFPPFIDNDICPLTISNTLLQSCYLRNTEWACGVAVYTGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFLFQIAVVVVLGSAGNVWKDTEARKQWYVKYNDDEPWYQILVIPLRFELLCSIMIPISIKVSLDFVKSLYAKFIDWDEEMYDHETDTPAHAANTAISEDLGQVEYILTDKTGTLTENKMIFRRCCIGGTFYGNESGDALRDVELLNAVANNSPHVIKFLTVMTLCNTVIPIKSSSGAILYKAQSQDEDALVNAASNLHMVLVNKNGNTAGQRIKTFVDAVDKYAQLGLRTLCLGWRELESEEYLEWSRSFKEANSALIDREWKVAEVCQKLEHSLEILGVSAIEDRLQAGVPETIEILRQSGINFWMLTGDKQSTAIQIALLCNLISSEPKGQLLYINGRTVDEVARSLERVLLTMRITTSEPKELAFVVDGWALEIILSRYNEAFTELAVLSKTAICCRVTPSQKAQLVKLLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSVGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSFLSGIAGTSLFNSVSLMAYNVFYTSIPVLTTVLDKDLSEKTVMQNPEILLYCQAGRLLNPSTFAGWFGRSLYHAIVVFLITVHAYANEKSEMEELSMVALSGSIWLQAFVVTLEMNSFTFVQFLAIWGNFIAFYIINFFISSIPSAGMYTIMFRLCRQPTYWVTLLLISGVGMGPVLALKYFRYTYRPSAINILQKAERSRGPMYTLVNLESQLRSDMENTNISISTPPVKNKNSVYEPLLSDSPISSRRSLVSSSFDIFQPAQSRTTSSFPRNIKAN >ORUFI11G12990.1 pep chromosome:OR_W1943:11:14284966:14286480:-1 gene:ORUFI11G12990 transcript:ORUFI11G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLIVSGAAQGQITPARRLARALVAAAEPGVIIRATLAVPLSALRRMFPGKAAGAAAGEGAVVLSDGAGVDYAAFTDGFDDGFQPERCDGAAFVGRLQLVGPASLARLAAALRARGRPVTCVVYTLLLPFAAAVARDLDVPAYFFWTMPAAVLSVYYHYFHGRHGLVDAAAGVRDDPNRRVQVPGLEFLRARDLPSLLTGPSPYLPAFREMFHVVEATAAASCHAHGQSGAKPRVLVNTFDALEPKALASVPGIDLIPVGPMVTDTEADGGGDLFEQDDDAGYMQWLDKQRDASVVYVAFGSLAVLSPRQLEEIRHCLEVTGRPFLWVVRRDNRDGGGGGGAATGLLPPAGGMVVEWCSQARVLAHRAVGCFVTHCGWNSTLETVACGVPAVMAPQWSDQATNARMAEARWGVGVRAETAADGTVLSSELSRGIDAVMGNSDGARAIRRRARTWKARAAMALDAAADDAEVDGDATAARNLRRFVQGVRSREREREQKQAGQS >ORUFI11G13000.1 pep chromosome:OR_W1943:11:14290550:14290768:1 gene:ORUFI11G13000 transcript:ORUFI11G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATVWHSALGRRLPSLARAQPPPPLSSMSPLPPTPPGTGPGAGGRFSHGSEEEKRGKLNRRVEEEGERKR >ORUFI11G13010.1 pep chromosome:OR_W1943:11:14320957:14321280:-1 gene:ORUFI11G13010 transcript:ORUFI11G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFPPCSLPFRLRAWIRRTATAVAPTTGDHGRCSPESPPPVPRPLPLPLLDEAKVAARRPGSLPLLAGDEAKVAAAPATLLACGETRLCTAVGGEERDKAECLNS >ORUFI11G13020.1 pep chromosome:OR_W1943:11:14320986:14326835:1 gene:ORUFI11G13020 transcript:ORUFI11G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLILIDHLSLRRNLKKRGRRERGSSKKPCLLRPFLDIRHIRRVARIRICATGNSSAGGSLIYSRKLSVGLPDKKTVLDAELIYFNDHYDIALLHIYLDFTLKLPSIGRGPEYGQEVFVLARDNEASLRARRGNIEWLEESDILGRDYYMFLSCDIPEGGNGGMVIDNDEGWRRVARPVLGIGVRTIALLDVQLQEDFSVFGIKGGFLVDEVYNPVAEELGIKRGNVIISINQQDDLTLPELEDYLLSLGWDYLKDPSINMKDVKGRKILILLYQLRVCDIKSRMEGDVILPIRFYDKSEWDEEYYGKMGTS >ORUFI11G13030.1 pep chromosome:OR_W1943:11:14333025:14340042:1 gene:ORUFI11G13030 transcript:ORUFI11G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLARAGKRASEMAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVLEVRDARIPLSSANEDLQPVLASKRRILALNKKDLANPNIMNRWLHHFESCKQDCISINAHSTSSVSQLLGLVEFKLKEAISKEPTLLVMVVGVPNVGKSALINSIHRIVTSRFPVQDKNKRATVGPLPGVTQDIAGYKISSQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSVVGEERIAKYLLSLLNIRKTPLHWERLVYRREQFNEDAFNSNEKDYRDSPRRRRRPNNSDALYVQDMVMEVQRTLCSTFMDFADNTEDENELESLIDSQLVALRKVFRIPHKPFDETHGPASKKLLTLFRSGKLGPFILDDLPDNQ >ORUFI11G13040.1 pep chromosome:OR_W1943:11:14387616:14389503:-1 gene:ORUFI11G13040 transcript:ORUFI11G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVVVDGGGQRARLRRRHCFGPRCRTLGSTLTSKEVFTWANSNNQRLLHVGDINRTSKSYICTSCYMWLAAGDRVESTGDRDVGG >ORUFI11G13050.1 pep chromosome:OR_W1943:11:14397915:14405915:1 gene:ORUFI11G13050 transcript:ORUFI11G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDARRLPLLPFLLLLLAAAAGVAESATDAEAIHDLARSVPALGWDGDNVCGFEGVTCERGGAGKVTELNLADRGLSGTLPDSLSSLTSLTALQLQGNALTGAVPSLARMGSLARLALDGNAFTSLPPDFLHGLTSLQYLTMENLPLPPWPVPDAIANCSSLDTFSASNASISGPFPAVLATLVSLRNLRLSYNNLTGGLPPELSSLIAMESLQLNNQRSDDKLSGPIDVIASMKSLKLLWIQSNKFTGPIPDLNGTQLEAFNVRDNMLTGVVPPSLTGLMSLKNVSLSNNNFQGPKPAFAAIPGQDEDSGNGFCLNSPGPCSPLTTTLLQVAEGFGYPYELAKTWKGNDPCSPAWVGIVCTSSDVSMINLSRKNLSGRISPALANLTRLARLDLSNNNLTGVIPDVLTTLPSLTVLNVANNRLTGEVPKFKPSVNVLAQGNLFGQSSGSSGRGGGSDGDSSSSDSAGGGKSKPNTGMIIGIIVAVIILFACIALLVHHRKKKNVEKFRPVSTKTSPAESEMMKIQVVGANGISNGSSAFPTELYSHVSAANSSNISELFESHGMQLSVEVLLKATNNFSEDCILGRGGFGVVFKGNLNGKLVAVKRCDSGTMGTKGQEEFLAEIDVLRKVRHRHLVALLGYCTHGNERLLVYEYMSGGTLREHLCDLQQSGFIPLTWTQRMTIALDVARGIEYLHGLAQETFIHRDLKPSNILLDQDLRAKVSDFGLVKLAKDTDKSLMTRIAGTFGYLAPEYATTGKVTTKVDVYAYGVILMEMITGRKVLDDSLPDDETHLVTIFRRNILDKEKFRKFVDPTLELSAEGWTSLLEVADLARHCTAREPYQRPDMCHCVNRLSSLVDQWKPTNIDEDDYEGETSEMGLHQQLEKWRCDDFTISDSDTFGSFNVPRKYNG >ORUFI11G13060.1 pep chromosome:OR_W1943:11:14406684:14409645:1 gene:ORUFI11G13060 transcript:ORUFI11G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVLLWMALIMVTSVGFCKGDQDFVAEGGYIKIKRSTFAVVIVFTVMLIALIIALMRYMSKKSKADETIDSTRSSQDNKVHGEVINRWSGLYKFSKGEIEKAINYANSKICLGSGSAGQVYQGVLPSGQLVAIKHIHKSAMSGSFMREVEGLSKVRHPNLVCLFGYCDDGGDQYLVYEYCANGNLAQNLLRSDSVLSWPARVKILRDCASVLRFLHTHPDGCIVHRDIKLTNILLTESMEPKLSDFGLAKMLQMEETKVFTDVRGTIGYMDPEYITHSKLTCASDIYSFGVVALQLLSGRKVIELDTVARDSLTKKARDVVSGKKPLDEFIDPRVRDEVNIEDFVLILKIAVLCVAHSSVGRPTIKDVFEEMDKALTNTDSKVGRAREEINPSSTIQYQYATGLNIV >ORUFI11G13070.1 pep chromosome:OR_W1943:11:14410645:14411914:-1 gene:ORUFI11G13070 transcript:ORUFI11G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKAPSAVSCCFPFTVVELVGLAGTGTSARHRGHVVRRRARAPEKHRRVSVAAVRRWCAQILDGLAYLHAHSPPTIHRDLKCDNIFVNGNQREVKIGDLGLAAFRLSAAGGGGDHTRCVGTPEFMAPEVYEESYDELADVYSFGMCVLEMVTLDYPYSECSNPIQIYKRVISGIKPAALYRVSDPVMRQFIERCLAPTARAARRPVPAAARRRWLLLRRRRWPWQCWRCLLQFDVQLPAPACLHRRSPCEGIDKVWVGRTRRRPAGGGHGGVPAGAAAAALPFSRVTAATATSSAVGFPPSSRPATSSPPAGRPPYQRG >ORUFI11G13080.1 pep chromosome:OR_W1943:11:14413407:14418283:1 gene:ORUFI11G13080 transcript:ORUFI11G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAPHFKWAPCPHAHHHHSTSSLPSSSSSSTSAPSSSSRCSFSRGGPFRVHCAVTATTSAAAPVVVEAPGGGMRLAYAAAPDSSAPALQKKIQSALASEVFLNEEAVVTAAAAEAVALARAAAEAAQEVVRMVQNNKNERNTRPKKAVVNYLANEILRTEMKPNILDKYSDGILSEEIESYGILSDEAELDGDTQDIPSIAVKSARQTERRARRTRAAIKAATIVRTSPKPATSSKKKRSKGASSGTNPLGSLWKMTGRRLLTAKEEVEFSEGIQDLLKLEAIQAELAEYNGGQPTFAQWATAAGVDERTLRKRLDHGIYCKNRMVTSNVRLVISIAREFEGPGMELYDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAMRKSVSEQSQIFRLPAHMVEASYRVKECIKRLCRKLKRRPTNEEIAADTGMPIKRVEAAVNLPKYSVSLDSKIGSTDMTYQEVTADPTAETAEEMLNRLSMKRDVHKALDTLTTRERQVVTLRFGLEDGRIRTLQEIGNTMGVSRERIRQIESAAFRKLRSKKRVNALKDYLVPVGNW >ORUFI11G13090.1 pep chromosome:OR_W1943:11:14435400:14435720:1 gene:ORUFI11G13090 transcript:ORUFI11G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMLLFAATVVAAALVLVAAVDVGSSGALLPMHVLRGRVADVEDVVASVVEEEEAAYPRRRILYDSRYASYNGLTESKAACYGSCPGRGQPYSGRSCLNIYQCKG >ORUFI11G13100.1 pep chromosome:OR_W1943:11:14442742:14443478:-1 gene:ORUFI11G13100 transcript:ORUFI11G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCNHAEVRDASSAGGHSCESCPVVLELHMLREPAAFGLPTRSDIDYCQLIQETEAAESGSCPPLYKRILQGSNRNVGHKLLVKDNAGCLRGQCAARARCALLPTPTPPVQPRLQVPPLVLMISMIFVTWLDIWIH >ORUFI11G13110.1 pep chromosome:OR_W1943:11:14475027:14476274:1 gene:ORUFI11G13110 transcript:ORUFI11G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRLGRRCTGSSGTAKRPMAALWTPRTPTSSTQCNFGETRLLQGRQEFDGSMCQVPRFNYFLSYHGNLVNRPKILC >ORUFI11G13120.1 pep chromosome:OR_W1943:11:14495700:14498083:1 gene:ORUFI11G13120 transcript:ORUFI11G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIEFRQRVQARGDSRYSRRVGREGASGRGMHLRDDTVGREEPHRAGMSTGQGRCRRTKTTSGSSRAFPTADIDCPP >ORUFI11G13130.1 pep chromosome:OR_W1943:11:14499212:14499526:1 gene:ORUFI11G13130 transcript:ORUFI11G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLLLISSIVVMTFLLLLSGAGALTADHATVGVAVASGKRTRHAEELSEMDSVPYPQRRVLQGGNTVYRPLGRGAACNPICPGRGDRYTGRGCKSRYQCRGM >ORUFI11G13140.1 pep chromosome:OR_W1943:11:14519085:14520093:-1 gene:ORUFI11G13140 transcript:ORUFI11G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPVETRFTEFPLRQYGGFWLLEVMLNGVAAAETSFQPRPSDILLSSFPKCGTTWLKALAFATLNRSTYPPSDEHHPLLEHNPHDLVGFLEIYPKLELYESLPSPRLLSTHLPYSMLPHRIREQETGCRLVYIYRDPKDAMVSMWHQNKKEKKNRLTFEEMFNMFCEGRCVVGPQWCHAGEYWDESQARPEKVLFLMYEDLLQDTVGNLRTLAEFMGCGFSRQEEDDGIVQQIVELCSLNNLKNLNVNKSGTTLLGISKDGFFRKGGTGDWSNHMSPEMSARLDKIVKERLEGSGHPIISRINAKATTSIGSSNHGASEAKYIKE >ORUFI11G13150.1 pep chromosome:OR_W1943:11:14616482:14617444:1 gene:ORUFI11G13150 transcript:ORUFI11G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLTSTSNPIWDSVLCQFLFRWLTKVY >ORUFI11G13160.1 pep chromosome:OR_W1943:11:14621260:14622400:-1 gene:ORUFI11G13160 transcript:ORUFI11G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFQGQQHGHPAARVDEYGNPVAAGHGITGTQEAGAGGYGAAGNVAQPQHAFGGATDAGGYGRQAGYGATGTGTHDAAGYGGSGQPAYGATGTGVHDAGGLMPGHTAGHGTTGTGVHHGAGAGLPAGQTAGYGTTGVTGAQHGAGGLGTGHTAGYGTTGAHHGAGGLGTRHMAGHGATTTPDTMAYGTTGTGAPHGATAGTGAYPHAGGQFQPAREEHKTGGILRRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGEDDGMGGRRKKGIKEKIKEKLPGGNKGGQQQPTATAATGGYGAGTGHTAAAGTTTDAGGTAYTPTTQPTHEKKGMMEKIKEKLPGGGHH >ORUFI11G13170.1 pep chromosome:OR_W1943:11:14624706:14625076:-1 gene:ORUFI11G13170 transcript:ORUFI11G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCMKPAYQGLMLFCLVLVVCSALPAQIRGQTIRKIGSNIPMGLKNVVSHASLNVCYQEERDFAYCCSKDKKCYSTISECLAKCTYN >ORUFI11G13180.1 pep chromosome:OR_W1943:11:14634104:14636642:1 gene:ORUFI11G13180 transcript:ORUFI11G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDAAVQQRRRPYPMVKEWRRPGDGRRGGGGDDGIRRRVTATALGSGGRTTTACYGLVRPSPARIRRQHPSLAAASGDGNGLRQRWAAREQNPSSCRRRPPSLLSSTFPSSKRVSRRARRRVGMSVAGPSSLPSRAGGRGTGGDGAGTSSGSGAAVIRSLLPARCCCCCCFPVFLLWVKVGSSVEHADATDSTMDVAGSGILTSTVSLRHRRWYLASWDVLASSQYVATSSRFVVLVLV >ORUFI11G13190.1 pep chromosome:OR_W1943:11:14641380:14649218:-1 gene:ORUFI11G13190 transcript:ORUFI11G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCRTHTHQGVMLFCLVLLMCSAIPAQITGQTTYKIRRDMLIGVKNKISFGELYYKPDHCVRTRGGFYCCELDQLYYPTLELCIPACTPSKRILLSCSNATLYSADSTRYPGDAAIHTNQGVLFFFLVLVVCSSIPTQARGQTASKIGSNMLMGVKKAASIGVKLNVCAVTAGGFYCCSKDQLCYPTLEQCLPKCNYKEKGCAANQPTMVPIHKTMMGRKTKPSNLSQK >ORUFI11G13200.1 pep chromosome:OR_W1943:11:14652313:14654345:1 gene:ORUFI11G13200 transcript:ORUFI11G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTIDKDIWKKNTLQQINKIEVLKVRNKLTKFGANPTTFEPPNAGLMKIGWEDDLGPLLSFLPPDLAEEERLRRLLLLPPNAAAAPRRYSGRDCAVGPPPSFLPPDLVEGMALSGHTPTTPTPAPTHAANDADTDASAPPTALPAARRGEEKGREEKRRCAVEKRKEERPRERERHVRKIRSPLSA >ORUFI11G13210.1 pep chromosome:OR_W1943:11:14679362:14679847:-1 gene:ORUFI11G13210 transcript:ORUFI11G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEMEERTLNLDQASCRGDAGDEATDDDGRRGGSGWLGEGSSAGGTGGRRGRGGQLGEGGGVGGAVGRRGGGGRLGKNGDGAGGVASCGVHAREFQASGEDYSLTCGSACVGCIPRKGGFGACSTSKHKQPNEA >ORUFI11G13220.1 pep chromosome:OR_W1943:11:14682613:14683004:-1 gene:ORUFI11G13220 transcript:ORUFI11G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCLRPTHQEVMLFCLVLLLCSAIPAQTRDIGQTTNEIQKDMSTGVKNKNSFGELYYKPDHCVQTPGGFYCCALDQLCYPTIGLCIPECTPSKVRRGS >ORUFI11G13230.1 pep chromosome:OR_W1943:11:14693202:14699994:-1 gene:ORUFI11G13230 transcript:ORUFI11G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGQPCYGRGLAGLLWPVGPGVTSPPILGQIIRTNLDRPSVRKFSPPHDFTAEDSTREDSAPSPPAAAETARLSSSLTTPRVLAGATPHCRRSSASLPDPPRPSSSLFGPFSAAGTDIDLSPRPSFFFPTAAVVLLTGFSSAPLPALRRVLAGAASVSCFSHSDQPPEPPPEPTSAPSPLPEPNSTSASDGAGVVCPSARPTASPISSSISARRIPSRLCNHDSGLASSVYHAPPPPRSTPMDLLSVMQSQSMEGTDASCHHSPTTAAGEALVQQSGGQTKKVRTVNFQSRGLPFSCKDHCDTIMSVDSVLACLASEALDLEKKLPCKCEDDTGGSDEFEVTASGRLQRGSKNTKTS >ORUFI11G13240.1 pep chromosome:OR_W1943:11:14704079:14704493:-1 gene:ORUFI11G13240 transcript:ORUFI11G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCMTYTHQGVMLFFLVLLVCSAIPAQIRVTCVLFSSFSLHRHDKHTTSYTSIGQNTNKIRSDMLMGVKGRNGFLGLDYKPDHCVQTRGGFYCCSLDQLCYPTLEGCLPNCTPPKVHRGSQLTSD >ORUFI11G13250.1 pep chromosome:OR_W1943:11:14707278:14707530:-1 gene:ORUFI11G13250 transcript:ORUFI11G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRRASPHKEVQVPSFSDAPRGSPHVATREVWDCLKKRFIGADRVRNMRLQTLKSNINSHRMVNIETLDHYTRKLTAMSVC >ORUFI11G13260.1 pep chromosome:OR_W1943:11:14714091:14714933:-1 gene:ORUFI11G13260 transcript:ORUFI11G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGQHGGHASSRADEHGNPAVTTGNAPTGMGAGHIQEPAREDKKTDGVLRRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGNNHQQQQEHTTTTTGGAYGPQGHDTKIATGAHGGTAATTADAGGEKKGIVDKIKEKLPGQH >ORUFI11G13270.1 pep chromosome:OR_W1943:11:14721253:14721588:-1 gene:ORUFI11G13270 transcript:ORUFI11G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGGHHAGAGGQFQPVKEEHKTGGILHRSGSSSSSSYGNTGEKKGFMDKIKEKLPGQH >ORUFI11G13280.1 pep chromosome:OR_W1943:11:14721628:14741688:-1 gene:ORUFI11G13280 transcript:ORUFI11G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSTDRRMDNYQGQHGYGADRVDVYGNPVGAGQYGGGGREGITPAPAGSSSRRSTRPAASSTAPAAQAPARYSSEDDGMGGRRKKGIKEKIKEKLPGGNKGNNQQQQQMMGNTGGARKKGIKEKIKEKLPGGNKGNNHQQQQMMGNTGGAYGQQGHAGMTGAGTGTGVHGRRKKGIKEKIKEKLPGGNKGNNQQQQQMMGNTGGAYGQQGHAGMTGAGTGTGVHDASMGSAEPMEDASIGSATTIAPTEMENYQGQHGYGADRVDVYGNPVAGQ >ORUFI11G13280.2 pep chromosome:OR_W1943:11:14721628:14741688:-1 gene:ORUFI11G13280 transcript:ORUFI11G13280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKDGQLPGAARLRRRPRRRVRQPGRRRPVRRGGPGGHHAGAGGQFQPVKEEHKTGGILHRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGNNQQQQQMMGNTGGARKKGIKEKIKEKLPGGNKGNNHQQQQMMGNTGGAYGQQGHAGMTGAGTGTGVHGRRKKGIKEKIKEKLPGGNKGNNQQQQQMMGNTGGAYGQQGHAGMTGAGTGTGVHDASMGSAEPMEDASIGSATTIAPTEMENYQGQHGYGADRVDVYGNPVAGQ >ORUFI11G13290.1 pep chromosome:OR_W1943:11:14741829:14742398:-1 gene:ORUFI11G13290 transcript:ORUFI11G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQGQHGHVTSRVDEYGNPVGTGAGHGQMGTAGMGTHGTTGTGGGQFQPMREEHKTGGVLQRSGSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGEQQHAMGGTGTGTGTGGAYGQQGHGTGMTTGTTGAHGTTTTDTGEKKGIMDKIKEKLPGQH >ORUFI11G13300.1 pep chromosome:OR_W1943:11:14746794:14747036:1 gene:ORUFI11G13300 transcript:ORUFI11G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERQIGRFRVAIRFVFGVAVAVVVAMAAVLTAGSMAAAAPNGLTYKGFIPNQPVCARSCVPGRSNLPQRGCLKIYRCR >ORUFI11G13310.1 pep chromosome:OR_W1943:11:14834111:14834616:1 gene:ORUFI11G13310 transcript:ORUFI11G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDGKLDLLLKMMEDAEKKREDTERRREESDALNLAELQSLKAAVESRIPLVEKKVSELGGSDAEKKREETERRREESDALNLAELQSLKAAVESRIPLVEKKVSELGGS >ORUFI11G13320.1 pep chromosome:OR_W1943:11:14851902:14867376:-1 gene:ORUFI11G13320 transcript:ORUFI11G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMAAEEQDTKPFLSPTTTTTIPPRAHRGYKLSACHHHHHQMTAAAPDQRKDGGAATWLLARQAPARRISRSFWSAGEYDADTSGAARPPGNVQNRMCVHPKFLHSNATSHKWPFGAVAELLDNAVDEIKTGATRIIVDKVNGCNGSPALLVQDDGGGMDPDSLRRCMSFGFSEKQSGSSIGQYGNGFKTGTMRLGADVIVFSRCMKSSEPTQSIGLLSYTFLAETNQKDVVVPVVDYKYNLLTGEAKPHQRLGPDQFSSNLSVLLKWSPFATEEQLIQNFSDIGPHGTKIVVFNLWSNDNGDLELDFDIDEKDILISGAPKAAETTNAAKRMNESHLANQLHYSFRVYASVLYLKLPAYFRIVLRGEEVKHHYIASDLRYTQCIRYRPQAFGKKEDEVDTTIGFLDGAPTINLHGFSIYHKNRLILPFHRVLSSASSKGRGVAGVLEADFIKPTHDKQDFEKSQLYQKLINRLKEMTNEDLYSHLVGYHKLPRAASGSHASAALVPTLSGTIATASSERIPAIRDNPTNAIPIAFAPHFVSSPVGTNAVAAVCSQSQSSMQITIGTDLVDTRKRRMETLDQMDGRSKRLSIHDLAGNNSVDSSNQICQHMGERELKEFSYLKIENTLLRQECAELESSEKELLLKEQQLSLELEQTEAQYKSLLNEYISVAAVRTMNTSGFCTETAWSWRKQTGYGNRVTEKHRGYTQPQ >ORUFI11G13330.1 pep chromosome:OR_W1943:11:14873417:14874186:1 gene:ORUFI11G13330 transcript:ORUFI11G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARDTERMLFLSRSTHGGVGTGSGRGRSARQAFGTAVQEEAAMAWDTEAATARDAEAATSNSSGREQWRRGTQRGWSGLLLH >ORUFI11G13340.1 pep chromosome:OR_W1943:11:14876530:14879075:-1 gene:ORUFI11G13340 transcript:ORUFI11G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKTSSGREYKVKDLSQADFGRLEIELAEVEMPGLMACRAEFGPSQPFKGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGVGGGPDLIVDDGGDATLLIHEGVKAEEEFEKSGKVPDPESTDNAEFKIVLTIIRDGLKSDPSKYRKMKERLVGVSEETTTGVKRLYQMQETGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKSTGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLSKSQADYISVPVEGPYKPAHYRY >ORUFI11G13350.1 pep chromosome:OR_W1943:11:14904532:14908037:1 gene:ORUFI11G13350 transcript:ORUFI11G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRALLSSSAIYPLLSTPPHHSPLLPPRRRNHRRLAAASPAAAAAAAMDSVASWGLTPLAAADPLVHDLLEREKRRQRSGIELIASENFTSFAVMEALGSALTNKYSEGMPGARYYGGNDVIDEIENLCRDRALAAFRLDAASWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSAATGYIDYEKLEEKALDFRPKLIICGGSAYPRDWDYAKLRAVADKVGALLLCDMAHISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDYEDKINFAVFPSLQGGPHNHQIAALAVALQQTMTPGFKAYAKQVKANAVAIGKYLMSKGYKMVTDGTENHLVLWDLRPLGLTGNKVEKMCDLCSITLNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIGEFLHQAVTICLNIQKEHGKLLKDFSKGLVNNKDIENLKLEVEKFATSFDMPGFTLDSMKYKE >ORUFI11G13360.1 pep chromosome:OR_W1943:11:14913149:14913463:-1 gene:ORUFI11G13360 transcript:ORUFI11G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSITTTTTKLFLLLLLLHGGHCLKSLDMAMEMEMDSEAHSRMLWESSSSNGRRYISYDALRSDVVPCSRQGVPYYNCRIMTTANPYTRGCETITRCRDVDP >ORUFI11G13370.1 pep chromosome:OR_W1943:11:14915101:14917540:-1 gene:ORUFI11G13370 transcript:ORUFI11G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYDDDDVLSSFFYQYPIHCTVKIGSMLNWKSKCSTRSPRTTVAGAKPDDSEFESVNAPLEPQTWEGSFLCGLLKNQPQVLPVAAAKQLQELSNQRKDTLIRWEHSIGSPEDCLHRRIAEMKEHECQTAIEDIMYTLIVYKFFKIEVPLVPNLSKLISNKRLQIWPPREAELESIHGPEVLGLIREHLTSIIRWVHRNGPKINRSTLRIKRMQFNRIYSASIMYGYFLKSVTTRHRLELILAQSQEFCPPIQFLNAQFNSTQKQEQEESIGGSAEISSSSKPSSVVDLHDLKSYMMGFDPKTLELCARLRSCEASNLIEKHSWALFRESMKDFLEPDEAVILDPSSLKRLLLEAIAFGSFLWDVEDYVDEIYKLHDS >ORUFI11G13370.2 pep chromosome:OR_W1943:11:14915101:14917540:-1 gene:ORUFI11G13370 transcript:ORUFI11G13370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYDDDDVGSMLNWKSKCSTRSPRTTVAGAKPDDSEFESVNAPLEPQTWEGSFLCGLLKNQPQVLPVAAAKQLQELSNQRKDTLIRWEHSIGSPEDCLHRRIAEMKEHECQTAIEDIMYTLIVYKFFKIEVPLVPNLSKLISNKRLQIWPPREAELESIHGPEVLGLIREHLTSIIRWVHRNGPKINRSTLRIKRMQFNRIYSASIMYGYFLKSVTTRHRLELILAQSQEFCPPIQFLNAQFNSTQKQEQEESIGGSAEISSSSKPSSVVDLHDLKSYMMGFDPKTLELCARLRSCEASNLIEKHSWALFRESMKDFLEPDEAVILDPSSLKRLLLEAIAFGSFLWDVEDYVDEIYKLHDS >ORUFI11G13370.3 pep chromosome:OR_W1943:11:14915101:14917733:-1 gene:ORUFI11G13370 transcript:ORUFI11G13370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRPRAAAASAAVARPVAGSMLNWKSKCSTRSPRTTVAGAKPDDSEFESVNAPLEPQTWEGSFLCGLLKNQPQVLPVAAAKQLQELSNQRKDTLIRWEHSIGSPEDCLHRRIAEMKEHECQTAIEDIMYTLIVYKFFKIEVPLVPNLSKLISNKRLQIWPPREAELESIHGPEVLGLIREHLTSIIRWVHRNGPKINRSTLRIKRMQFNRIYSASIMYGYFLKSVTTRHRLELILAQSQEFCPPIQFLNAQFNSTQKQEQEESIGGSAEISSSSKPSSVVDLHDLKSYMMGFDPKTLELCARLRSCEASNLIEKHSWALFRESMKDFLEPDEAVILDPSSLKRLLLEAIAFGSFLWDVEDYVDEIYKLHDS >ORUFI11G13380.1 pep chromosome:OR_W1943:11:14921230:14922960:-1 gene:ORUFI11G13380 transcript:ORUFI11G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGEKKMTSFIAKSSGAEEFEAGAIRRAIEDDCDDDDSGILPPDVLSGEGLDNRDAGFPPSQRSASKITGDRAAVNPAAADPPMPVPGLHHHPNIPAANRQLPPAARLLINCGIFLVATAGSSIVFHTAGDPSAIDGPAYALVAFFFVLLGLWFVLLGPVAGQFPGATRVAVAIAKALKGYLLGGGN >ORUFI11G13390.1 pep chromosome:OR_W1943:11:14927349:14930834:1 gene:ORUFI11G13390 transcript:ORUFI11G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEKKMITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVHAAAAAASKAADDAASAAAAVPPPSGEDLKNWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >ORUFI11G13400.1 pep chromosome:OR_W1943:11:14934171:14945648:1 gene:ORUFI11G13400 transcript:ORUFI11G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRSRKLPFLLILPARPSVLHVASPRSRFSLRCSAFTRMRPLGCGGRQLRGFRSNKGDSSGGRIWLGINVHESRAGQSRRRHQRADRRHAAAWVERNPSTGTSSAEPRWGRRQRLARGREDNDIDELAPGGRDVVPCAAKSDAPIGSRPYVAVVVAISNAAARQPRHGIGALQGGGDGGGWKRFGYGKGIGGLQGSGCDAQGGGSARGSDAGGDSSRPQAPIEKALIGQINIEDMSGKAKDVISEEGSTKEMKDSDDDVGMVIGGYAQDLYNHSGLEELMQDQDALEKSVKNFPECFKSTKFR >ORUFI11G13410.1 pep chromosome:OR_W1943:11:14950908:14951818:-1 gene:ORUFI11G13410 transcript:ORUFI11G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDATAAGGDEAGETLHVVLFPFLAFGHINPFAQLARSLLAVGGVRVTFLSAAANVAHVETRDHAACGRPSPSWHCICRATAEVDADGAELLKLALDGTRPQVEALLARLRPDVVLFDFVTPWVADAARRLGVRSARFSIFPAVSGAYFMAHGRGLYGARPTAEELASAPEGFPPSSPLSTVPTYQASHFTHIFTSFHGMPSTHDRSVACHNACDALVIRTCHEMEGPYIDYIAAQYGKPVLATGPLVPEPPRGELEERFATWLSSFPDKAVVFALDKYRIICMEPWTNIE >ORUFI11G13420.1 pep chromosome:OR_W1943:11:14983685:14985285:-1 gene:ORUFI11G13420 transcript:ORUFI11G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGAAPVATAAGGGGGDGDLHVVMFPFLAFGHISPFAQLARKMAGVGAGVRVTFLSAAANVPRVEAMLGGTGGTSTVAALELPRVPGLPEGAESTAEVSADGAELLKLAVDGTRPQVEALLARLHPDVVLFDFATPWVVDVARPLGVKAALFSVFAAVSGAYVMAPARRRLPGPGRPTVDDLASAPEGFPPSSPLATVPAYQAADFSYVFESFHGMPCVYDRVAACHNACDALVIKTCAEMEGPYIDYIAAEHGKPVLVTGPIVPEPPRGELEERWATWLSSFPDNSVVFASFGSETFLLHAAATELLLGLEATALPFLAATALPFLAVLNFPKGTDAEAELRKLTPPGLEERVKGRGILHTGWVQQQHILRHRSVGCFVNHSGLSSVVEGLVAGCRLVLLPMKGDQYLNAALFARELRVGTEVARRARDGWFGREDVRDALAAAFAGGEDGGGEEKKWREFLMDDAVQRRFVREFVAELRRLKG >ORUFI11G13430.1 pep chromosome:OR_W1943:11:15031807:15034004:-1 gene:ORUFI11G13430 transcript:ORUFI11G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPRQCADSGGDGGDDGDLLERDAPLPLALSVLHDLLGVAAFAASHPLHAAYALFFARHLLALACFFSPLLATTALLLAVLVTVAPCAGARRGGDSPPEPCSLGWTFGIAVGALRAELRPDQDGAGGGAVALLAQLCSFVLGPGDAASVLRVGEIMGELCDTGDSCLILEHKPAVLFDHTELELPWHQAAIDAQISMDQEVLDEIKDGIEEKKVVLEDLNQLSAPHFSSENCSSRSDTSVQDIQRQSFGSSSDGDGFSDGVEEKRLECDPVSVEIKKCEPPAKSLSSVSRRILQWEAQASGNFKKVLDEMEENSVDFSLEKASFLDFKECNKLDGGAYTEKCEVEEIASVAESGMHQEEQKASFLDFKECNKLDGGAYTEKCEVEEISSVAESGMHQEEQVFKDVKECMQSEAETCTEKCSKDQQSEESVVVVQSEEEWQEENLKIVLPELEMQDQEYKDVEPVKELQDQEYKFLQPEEEEEQQEQDSENDAQPEEQLQEQDCDNGVQPEEEEESQEHEMRLQESHDDQEEEFKDAADQESPREDPLRPSTSIARRVHSRTSSEHLLVVGEGSPRKEKEWKRTLACKLYEERMQLKLCRDRAVVESSSDNMDMLWEAYEVGGGGGAATSTKGGASKAKRKQERATVERKQEHATADEEDDDDDGDEEEGSVRQLCCLQALKFSTRKMSFGGGGGKPSLAKISKVLRRVAALSRSGSRRSTKG >ORUFI11G13440.1 pep chromosome:OR_W1943:11:15059730:15061398:1 gene:ORUFI11G13440 transcript:ORUFI11G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTPRERRRSVARFLDEERMEVRERELMSLSDASSHLGRCVLRPHPVGRARIDGNLSSSSLIQARERRWRDAQTGSSSALTVRRRRRRRGLSHTGSSSALTVRRRRRRRGLSHVRGHNQKWMGERRGEEGEQKCGPHSSLGRWSCVSGRLLPQSSCPPSISRMYDAQNT >ORUFI11G13450.1 pep chromosome:OR_W1943:11:15065996:15066344:1 gene:ORUFI11G13450 transcript:ORUFI11G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGERAVAGGEKGQRTAGRRERSYEEKGTIPAAARFLDEERMEPESGGGAMPRQAPAPPSPYVGGGGGGD >ORUFI11G13460.1 pep chromosome:OR_W1943:11:15071803:15072203:1 gene:ORUFI11G13460 transcript:ORUFI11G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTQGEASGRWRAEPTHAVIEDGRVMVIEVARWRCRIRVSMARSTRGEASGRWRAEPTRAVIEDGRVMVIEVA >ORUFI11G13470.1 pep chromosome:OR_W1943:11:15075408:15077358:1 gene:ORUFI11G13470 transcript:ORUFI11G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLDDDLIRAEAEAALRLHRNGRRDEALSRAMDLAIQHKRSSPLALNLSGDLNMAAHRRNRRRGRGAAADDKALAERQAKFAATCYKMSLDAVPDCVETFAAYGEALVGTGMWKPAWDAFMRAAAIAHPADPAAHRLGGYGRSAGLTRGERVEMAKARLRRAIDCYSNARCEDAVAEVLATVEHHGAASAVHGAAKLADRYPSSARAQCLPAYVAVELARERRGGAAYPATAATPRHKTLRRALATMDTAARTFDRSLVVALFRAKLLACLHDYDAAEAECRRALAVDNPDDPAAHEIPLGSAIGEEYDDMVSSLRKQLCDLQKKLVLLAVHDWASMESEKQSQILSVSIDELREHYSKIDQIAANTVSEARRFSKTHGSWCFWICPRSSGQCAGKKFLDTASLLEHLRNKHPDDLWVNLKSFLDTKLCGKFKTENATQDGYSCHDEMLQFQSIDGMIELVLNLPPGGMKSETLSEMRRRKCSELAEILDRIKKKLRACPKDLSSSEFDQVRSEMQDLWLKFTELSVFDYREAVVPLARMYQWKELKKRISEDGSIIAAWSIDDIFGDVP >ORUFI11G13480.1 pep chromosome:OR_W1943:11:15080481:15080990:1 gene:ORUFI11G13480 transcript:ORUFI11G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNFVESSKFKVAGHTWSILCYPNGRTCPGFVSVSLTLHHITQAQAEVRFSLVPQHHYGLTLPLGEPHEKRFTRVFYSGVTEGFDRFVVRKELEKSWYLKDDGFAIRCDIAVVNKLVVKEPVVRPADLERLGMVCNCNDDMCTLHHTLSFAQVAKLKFLEFFLGCFPL >ORUFI11G13490.1 pep chromosome:OR_W1943:11:15113612:15114964:1 gene:ORUFI11G13490 transcript:ORUFI11G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPSVVEIVGSAVVGEAVGRICSYLISRGEEEVAAGDGAEHDEERMEVALLRIQAAVEEADGWHITNRPLVRWRDKLKRAADEGECVLREYRRRRRRRLCVADDDARRLWFPRRVARAAGKKLFAFGGGDEQRLSGGTVRRFERLADGVGDFVKLVESGGRAKRFVPFQPVAASLLARRAVSFSVKPPASPGATAHAFAFPDLTSPWRRPRAHVVFLYADGGGTGEKLELFVELDLSESADVMALALSSMDALPPHFRFASAAAFGSFHRLQAMTQDDGGGDHLPAWDAHYCSQPSRYEQPEWMAAGYGDEPASVAALPEHVLYVVAEWDSPARDAPRTTPPPPPPVHVSYHLGRQGADWAVRREEMARRIMDGRFGTRARRVERHADAGAETFNGVVMCTVDGFRRRSEAAVAVAGQVVRWCFVSGWVVYLSVRGVGAGQPYEVGFQG >ORUFI11G13500.1 pep chromosome:OR_W1943:11:15124076:15175907:1 gene:ORUFI11G13500 transcript:ORUFI11G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMKMMSIKHDYGAEMEKEASAMVARRSTSRHDWRRWWWRLLPLACCFVCWVASTAVTVAAAAGVAVTSLPGFDGPLPFSLETGYVEVNESTSVRLFYYFVKSEKDPDVDPLLLWLSGGPGCSSISGLTHEIGPFQFAAKRYYSGGLPEIIYRPETWTKVSNIIFVDSPIGAGFSYAATMEGSKSSDTKTVKQLYIFLRKWLHDHPQFLLSPLYIGGDSYSGIIVPTLALAIDESNDSGDKPILNLMGYVAGNPVTDSQFDEDGKIPCLHGMGLISNELYEHAKETCRGKYSAPGNARCEQSIQAIRNCTKDINMLHVLEPLCEEVWSPRIHNTSATDGMSRLMLESARAADDDIIEFKCRKASYVVLKIWANDKTVRESLGVHKGTVGKWTRCNYDIDYIKDVYSTVEYHLTLMREGYRSLIYSGDHDCGIPFTSTQAWIRFLNLSVVDDWRPWYVAGQVAGFTRSHANNNLIYATVKGAGHTAPEYKPKECLEMFARWISGNTL >ORUFI11G13500.2 pep chromosome:OR_W1943:11:15124116:15175907:1 gene:ORUFI11G13500 transcript:ORUFI11G13500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGACFASSSAKILFALLFQSINPGLISGSLISYGAEMEKEASAMVARRSTSRHDWRRWWWRLLPLACCFVCWVASTAVTVAAAAGVAVTSLPGFDGPLPFSLETGYVEVNESTSVRLFYYFVKSEKDPDVDPLLLWLSGGPGCSSISGLTHEIGPFQFAAKRYYSGGLPEIIYRPETWTKVSNIIFVDSPIGAGFSYAATMEGSKSSDTKTVKQLYIFLRKWLHDHPQFLLSPLYIGGDSYSGIIVPTLALAIDESNDSGDKPILNLMGYVAGNPVTDSQFDEDGKIPCLHGMGLISNELYEHAKETCRGKYSAPGNARCEQSIQAIRNCTKDINMLHVLEPLCEEVWSPRIHNTSATDGMSRLMLESARAADDDIIEFKCRKASYVVLKIWANDKTVRESLGVHKGTVGKWTRCNYDIDYIKDVYSTVEYHLTLMREGYRSLIYSGDHDCGIPFTSTQAWIRFLNLSVVDDWRPWYVAGQVAGFTRSHANNNLIYATVKGAGHTAPEYKPKECLEMFARWISGNTL >ORUFI11G13500.3 pep chromosome:OR_W1943:11:15125001:15175907:1 gene:ORUFI11G13500 transcript:ORUFI11G13500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSKRRRRVGQAAGAAAVVGDPTRRRRPEQATRSKRPPWLRGAAVVGDLTRRPPQARTQPRCCRPYVEVNESTSVRLFYYFVKSEKDPDVDPLLLWLSGGPGCSSISGLTHEIGPFQFAAKRYYSGGLPEIIYRPETWTKVSNIIFVDSPIGAGFSYAATMEGSKSSDTKTVKQLYIFLRKWLHDHPQFLLSPLYIGGDSYSGIIVPTLALAIDESNDSGDKPILNLMGYVAGNPVTDSQFDEDGKIPCLHGMGLISNELYEHAKETCRGKYSAPGNARCEQSIQAIRNCTKDINMLHVLEPLCEEVWSPRIHNTSATDGMSRLMLESARAADDDIIEFKCRKASYVVLKIWANDKTVRESLGVHKGTVGKWTRCNYDIDYIKDVYSTVEYHLTLMREGYRSLIYSGDHDCGIPFTSTQAWIRFLNLSVVDDWRPWYVAGQVAGFTRSHANNNLIYATVKGAGHTAPEYKPKECLEMFARWISGNTL >ORUFI11G13510.1 pep chromosome:OR_W1943:11:15187005:15187299:1 gene:ORUFI11G13510 transcript:ORUFI11G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIMDGRFGTRAQRVEGHADAGAEMFDGVVMCTVDSFCRRSEAAAVVVGQVVRVGGVLVRPRRQHRTAVRGRLPRMMMMMPLLLNVVLIV >ORUFI11G13520.1 pep chromosome:OR_W1943:11:15187990:15189958:1 gene:ORUFI11G13520 transcript:ORUFI11G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDDESNPAAYEQWRWGRASMGEGGGRQESDRPTADAPLSTRHRRSTVVGGSASPSPIAPSAVARRAVAELRRTASAPPRAATPPGAPDEVENPKPALARGSAPRRRDAGRYFFIASTISEPSNARSLAGSSLPVRLFAAPPLLAGLLVTAVLACGLRHTNPLSLNVVTLPSASAWELEMPAESRDGETKIPWLAGLLALTAMRPCRCHIAGEVPLLLGSRALLLLLLAS >ORUFI11G13530.1 pep chromosome:OR_W1943:11:15191114:15191674:1 gene:ORUFI11G13530 transcript:ORUFI11G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASWAPRWCPVYCKCGLLANARGVLDRMPDRNTVTCNAMLTGYTAAGDMANAEAVFAGMGSRTPVTWATLIRGFAEERDMVDARRWFEAAPPGMRNVVTWTVAVQGYMATGDMETARELFDKMPTWNAFVWSSMVTGYFKAGNANEAHAVFNRIPVRNLVNWNTLIAEMLTIGSPARNKKSDVLI >ORUFI11G13540.1 pep chromosome:OR_W1943:11:15191695:15194024:1 gene:ORUFI11G13540 transcript:ORUFI11G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLVSALPLIAGYAKIGCSGKALEAFHSMLDDRIKPDEFTMAGVLSACAQLGSLEQARKVHSFIIQHRIRKNHFVLNGLVDMFAKCDDLAFARKIFDNMQWRNTECWNLMISALSTHG >ORUFI11G13550.1 pep chromosome:OR_W1943:11:15194055:15201576:1 gene:ORUFI11G13550 transcript:ORUFI11G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSDQKPNAITLLAVLGACTHGGFVDEGLRIFNKFDVYGVAAAVEHSGCLVDLLACAGRLREAYEIVKNMLVQPNEVIWCSLLGACRVHGDAEMSELVSSEIHQLHSCRVSTNDAEYILLSNIMASSERWEQAERMRRKMALHGVGKTPGCSSVELDVPEQQIKGAIKELGRLQQGAVQLILTFLNTKYTQAVVHVAPRWGLNTHAAKIPFLGDTPGLFYYIGDLKPQAQQFILLNFFFNKKVVLRLENDNGSCCKVALFSVDYSLRECYGTSSRHASPCCREPKRRYSLLKISSMESNMRYLFMFHALQNVHTDGSEEFEPNDLDQQSIDELMAENNLKKLTFARKDFKTEDDSVCRTSLPPPSASTPPPTSPPSSSHMGIGSHTAEVIDNKECDTAGGEGRMLHPYHRLPVGSLLPLHPLDLVEGRAPLPPATPPRVPSPTASPSAGSGRVVGTTATRLLPATVTTIIREW >ORUFI11G13560.1 pep chromosome:OR_W1943:11:15204645:15218368:1 gene:ORUFI11G13560 transcript:ORUFI11G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPTLTLAIDESNGSEEKPFFNLKGYIAGNPVTDYKIDEDGRIPFLHGMGLISDELYEHAKETCGEKYSAPSNAQCAHSVQAINDDINRGHILEPLCEELQSPIHNTAARDVMSRLMLESRPAAADDDIIIFECRKASHVLLKIWANDETVRESLGVQKGTVGEWKRCNRDIDYNSDVRSTVEYHLTLMRKGYRAIIYSGDHDSRVPSISTQAWIRLLNLSIADDWRPWYVDGQVAGFTRSFASNNLTYATVKGAGHTAAEYKPKECQEILCPCPQQQVLSTRRE >ORUFI11G13570.1 pep chromosome:OR_W1943:11:15227305:15228378:1 gene:ORUFI11G13570 transcript:ORUFI11G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEKLRLLTKAGTTVLLSAAERAGLSLSAVEWLGLLYKAEELEVLSAATDHGTPGALLGVALLLLAAGPAVVYLVTEEEVAVQAVVALACVVGGSATFAMSSIVSKLQSSPAEAIRDAHCLAEVAVQAVVALACVVGGSATFAMSSIVSKLPSSPAEAIRDAHCLA >ORUFI11G13580.1 pep chromosome:OR_W1943:11:15234212:15266291:1 gene:ORUFI11G13580 transcript:ORUFI11G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEASAMVARRSTSRRDWRRWWWWLLPLACCFVCWVASSAATVAPAAGVAVTSLPGFDGPLPFSLETGDTRYGSQKHQYVNTASIYIYRTRLDRNRSRDSSIARRAPLPEKATARPYQKRKAYVEVNESTGVRLFYYFVQSEKDPDVDPLLLWLSGGPGCSSLSGLTHEIGPFQFAAKRYYSGGLPKIIYQPETWTKVSNIIFVDSPVGAGFSYAATQEGSKTSDTKTVKQLVIFLRKWLHDHPQFLLNPLYIGGDSYSGYIVPTLALAIDESNDSGDKPILNLMGYVAGNPRTERQFDEGGKIPFLHGMGLISNELYECTKDINMLHVLEPFCEDVGSPGIHNNEATDGMIRLMLESASAANDDIIEFKCRVECIKTIQHYCIPLNSNLSKASYVVLQIWANDKTVRESLGVHKGTVGEWIRCNFDVDYIADVYSTVEYHLTLMRKGYRALIYSGDHDCQVPFTGTQAWIRFLNLSVIDDWRPWYAAGQVAGFTRSYANNNLIYATVKGAGHTAPEYKPKECLEMFARWISGNTL >ORUFI11G13580.2 pep chromosome:OR_W1943:11:15234212:15266288:1 gene:ORUFI11G13580 transcript:ORUFI11G13580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEASAMVARRSTSRRDWRRWWWWLLPLACCFVCWVASSAATVAPAAGVAVTSLPGFDGPLPFSLETGDTRYGSQKHQYVNTASIYIYRTRLDRNRSRDSSIARRAPLPEKATARPYQKRKAYVEVNESTGVRLFYYFVQSEKDPDVDPLLLWLSGGPGCSSLSGLTHEIGPFQFAAKRYYSGGLPKIIYQPETWTKVSNIIFVDSPVGAGFSYAATQEGSKTSDTKTVKQLVIFLRKWLHDHPQFLLNPLYIGGDSYSGYIVPTLALAIDESNDSGDKPILNLMGYVAGNPRTERQFDEGGKIPFLHGMGLISNELYECTKDINMLHVLEPFCEDVGSPGIHNNEATDGMIRLMLESASAANDDIIEFKCRKASYVVLQIWANDKTVRESLGVHKGTVGEWIRCNFDVDYIADVYSTVEYHLTLMRKGYRALIYSGDHDCQVPFTGTQAWIRFLNLSVIDDWRPWYAAGQVAGFTRSYANNNLIYATVKGAGHTAPEYKPKECLEMFARWISGNTL >ORUFI11G13580.3 pep chromosome:OR_W1943:11:15234212:15266291:1 gene:ORUFI11G13580 transcript:ORUFI11G13580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEASAMVARRSTSRRDWRRWWWWLLPLACCFVCWVASSAATVAPAAGVAVTSLPGFDGPLPFSLETGYVEVNESTGVRLFYYFVQSEKDPDVDPLLLWLSGGPGCSSLSGLTHEIGPFQFAAKRYYSGGLPKIIYQPETWTKVSNIIFVDSPVGAGFSYAATQEGSKTSDTKTVKQLVIFLRKWLHDHPQFLLNPLYIGGDSYSGYIVPTLALAIDESNDSGDKPILNLMGYVAGNPRTERQFDEGGKIPFLHGMGLISNELYECTKDINMLHVLEPFCEDVGSPGIHNNEATDGMIRLMLESASAANDDIIEFKCRVECIKTIQHYCIPLNSNLSKASYVVLQIWANDKTVRESLGVHKGTVGEWIRCNFDVDYIADVYSTVEYHLTLMRKGYRALIYSGDHDCQVPFTGTQAWIRFLNLSVIDDWRPWYAAGQVAGFTRSYANNNLIYATVKGAGHTAPEYKPKECLEMFARWISGNTL >ORUFI11G13580.4 pep chromosome:OR_W1943:11:15234212:15266291:1 gene:ORUFI11G13580 transcript:ORUFI11G13580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEASAMVARRSTSRRDWRRWWWWLLPLACCFVCWVASSAATVAPAAGVAVTSLPGFDGPLPFSLETGYVEVNESTGVRLFYYFVQSEKDPDVDPLLLWLSGGPGCSSLSGLTHEIGPFQFAAKRYYSGGLPKIIYQPETWTKVSNIIFVDSPVGAGFSYAATQEGSKTSDTKTVKQLVIFLRKWLHDHPQFLLNPLYIGGDSYSGYIVPTLALAIDESNDSGDKPILNLMGYVAGNPRTERQFDEGGKIPFLHGMGLISNELYECTKDINMLHVLEPFCEDVGSPGIHNNEATDGMIRLMLESASAANDDIIEFKCRKASYVVLQIWANDKTVRESLGVHKGTVGEWIRCNFDVDYIADVYSTVEYHLTLMRKGYRALIYSGDHDCQVPFTGTQAWIRFLNLSVIDDWRPWYAAGQVAGFTRSYANNNLIYATVKGAGHTAPEYKPKECLEMFARWISGNTL >ORUFI11G13580.5 pep chromosome:OR_W1943:11:15234212:15266982:1 gene:ORUFI11G13580 transcript:ORUFI11G13580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEASAMVARRSTSRRDWRRWWWWLLPLACCFVCWVASSAATVAPAAGVAVTSLPGFDGPLPFSLETGDTRYGSQKHQYVNTASIYIYRTRLDRNRSRDSSIARRAPLPEKATARPYQKRKAYVEVNESTGVRLFYYFVQSEKDPDVDPLLLWLSGGPGCSSLSGLTHEIGPFQFAAKRYYSGGLPKIIYQPETWTKVSNIIFVDSPVGAGFSYAATQEGSKTSDTKTVKQLVIFLRKWLHDHPQFLLNPLYIGGDSYSGYIVPTLALAIDESNDSGDKPILNLMGYVAGNPRTERQFDEGGKIPFLHGMGLISNELYECTKDINMLHVLEPFCEDVGSPGIHNNEATDGMIRLMLESASAANDDIIEFKCRKASYVVLQIWANDKTVRESLGVHKGTVGEWIRCNFDVDYIADVYSTVEYHLTLMRKGYRALIYSGDHDCQVPFTGTQAWIRFLNLSVIDDWRPWYAAGQVAGFTRSYANNNLIYATVKGAGHTAPEYKPKECLEMFARWISGNTL >ORUFI11G13590.1 pep chromosome:OR_W1943:11:15280283:15283897:1 gene:ORUFI11G13590 transcript:ORUFI11G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVEADDEAMHVALFPFLAFGHISPFAQLARSLGAVGGVRVTFLSAAANVARVEAMLPADGTAVVAALHLPRVPGLPVGAESTAEADDEAMHVALFPFLAFGHISPFAQLARSLGAVGGADDEAMHVALFPFLAFGHISPFAQLARSLGAVGGLGARAAHFSVFTAVTSAYLTVPARRRLHHGAASCPTVDDLATAPVGFPPSSSLATVPTYQAADFTYVFTSFHGMPSAYDRVAACDKASDVLVFKTCAEMEGPYIEYVATQYDKPILVTGPLVPEPPHGELEERWATWLSSFPDNAVVFASFGSETFLPTAAATELLLGLEATGRPFVAVLNFPRSATGRPFVAVLNFPRSVDAEAELKKCMAPGFEERVKGRGVVHSGWVQQQHILRHRSVGCYVNHAGFSSVVEGLVAGCRLVLLPMKSDQFFNAALLARELRVGTEVARRDGDGWFGHDAVRDAVNAAVAGAGGGDDDERKWREFLTDDAVQRRFVEEFVRELRKLVL >ORUFI11G13600.1 pep chromosome:OR_W1943:11:15288540:15289963:-1 gene:ORUFI11G13600 transcript:ORUFI11G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFKPLTTMAADRFLHFASLVSMVVLAAGSRSLDGMAALPRRGQLVAGGDNDKNECVYTLYVETGWIWMAGTDAAIGVELAAVDSSGFARNKGFTYSWLQFATTALDFPRGNTSTPHAPPKKPQHYT >ORUFI11G13610.1 pep chromosome:OR_W1943:11:15295777:15295980:-1 gene:ORUFI11G13610 transcript:ORUFI11G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDEYDHLRATRPSTSFQVFVSTIVAVIGIQQRDRPHPPVVVPPVMWRAWSELELAGLLLTTKFGKS >ORUFI11G13620.1 pep chromosome:OR_W1943:11:15296555:15296758:-1 gene:ORUFI11G13620 transcript:ORUFI11G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDEYDRLRATRPSTSFQVFVSTIVAVIGVQQRDRPHPPVVMPPVMWRAWSELELAGLLLTTKFGKS >ORUFI11G13630.1 pep chromosome:OR_W1943:11:15313784:15315576:1 gene:ORUFI11G13630 transcript:ORUFI11G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQARVGSAMIFLLLAFLSEVSASISQQQQQQCGGASSAGVRAGFWLPSSSHYSPLGSIDTSLYSHLYYSSLSIDETRCAVAPPSSGEESSILSNFSSSIKSSGGGFAVKTILSIGTDEFREDVSNAAFSRMASEKNLRRAFINSSIELARANGFDGLDLAWRFPATQLDMENLGDLLAEWRAEIMEDSTTRSTEPLLLTATVYFSNHLFGMADTNLNYPIDDMSSSLDWVNIITFSLHKNSNVTTADAPLYDKDSHFSASYGVISWLDAGLPPCKLVMGIPLFGRSWFLRNKDKNGLGAPTAAAGTKQRKSNQIGVIAYAEIEEYLKSQSVFVTHDNQSVADYFYSGDLWVSFDSAVVVQEKVEFVAKSQLLGYFLSTISFDDSNYTLSKQASQSWNQYHVSSYAQGSFGIMQEGAIIQDLHASTGSPSSWYSKTLSYLLLSITLVLEVL >ORUFI11G13640.1 pep chromosome:OR_W1943:11:15342494:15353301:1 gene:ORUFI11G13640 transcript:ORUFI11G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATAAMGSLLSRLAELLSLEENQLQEGVRNRVRQFSRGLKTMDTTLRLVANIPRDHQLDEQVRLWAHDVRMLSYDLEDVVDTVLISLRVGSDPEQADLEMLMRLVAKMNDQLFNGSVEYGQMFSDAVAKQRLRYVATKHGAGNYTAVGHPTHDPYTKAMSTKLVGIDGPRDEVIEMLSMGDQSKLKIVSVFGFGGLGKTTLVKVIYDKLEPGFQCGAFVTVSRINPNMNRVFRDILYYLDKKKFTNSYTLMLDEKQLINELQEFLQNKRYFIVIDGLWDINSWNKIRSALPDDNCGSKVVTTSGISNVARDIGDVYNLQPLSHGNSKKLLSTRLYVDESKCLESTSAEASERFLEKCGGVPLGVIAIAGLLASNPEDNWSEMYNSFCLGKGINDDVENIRRILSFCYYHLPSHLKTCLLYISIFREDYEINKCLVIWKWIAEGFIHGDQQIGLFELAEGYFVELINRSMIQPMEAQGTGHVIGCRVHDMVLDLVRSLSSEENFVTVLSEDGDEQQKFPLTNANRLSLQSRVVEKRHPQLANVGMEQVRSFVAILSDIHVVSPSFQVLRVLALEDCKFIEGYTSNGLEHLGKLLHLRYLGLTRTRGFHRLPEEIGQDLKFLQTLDLYETDLEEMPFTVGLLTQLLCLRVDVGTRVPAGLIGNLTSLQELWIYPAMKDFSMGFATAMQFVKDLGKLSELRVLKTRIHGWDQSMEIALVESLHNFHKIQLLELHGESYLGKGVTWETGFVSSQHLRYLSLACMQLTRLPAWMNSSLLPNLSYLVVNVQFWQEQDMETLGRMPELCSLELQSCNIRVVNIKHTCGDIGYFQKLRSLISYAILIRFDLYKLSSSSVRIDEPTTMPTLEYLQFMVHVHFLKDANLGFDKLVSENLPSLQRVKAIINCSDARLTEVEEAEEALTDAANVHPNHPTLKLMRYNEHRMVSSDQAQQVYAITPINSTSLDVTQFGGKNRVIQAISSSLGTMGCLITKLDMLLDQGCKLPKGVKNRILLLKGDLEEVGTYLEDLSKVDDPHLMAKCWMKEVRELSYDIEDYTYNIEDKIKLAGHVHLNTKTRFVCRINHLKISGVPRRLKWHQQIGSMISEFRIYVQEAIERYERYDLHSCTYRQRYASVSYVLSTPYEQTADLVIDGRTSKFIKWLANDGDPKLKMVSIVGCGGIGKTTLAKLFYNKFGGRFDCRAFIQVPQKPNMKRLFCDIISQVQQNNPHEDCKELELIDNIRRHLQDKRYLIIIDNLSAASVWDILNQAFPECTQRSRIITTTRIISVALNCCLHRSEYIFEMKPLGDDCSRKLFFKGLFGSERDCPHRFKEASNKIVQICGGLPLAIIIIASLLASQPVVSMRLWIHICNSLRPDLWTDSTSDGMKQVLNLCYNNLPHYLKNCMLYLNKYPEGYKISKDALVKAWVAEGFINVTKDLGMEKVAARYFDELIGRRFIQPIEINYNDEVSSCTVHDLVRDLIAQKSADENFIVIIDGYRKNVGLIDKVRRLSVQFFYSKYTKVPSNIKRSQVRSLTFFGLLRCMPSITDFKLIRVLNLQLVGHLGENTLDLTGISVLFQLKYLKIVCDICIELPNQMRGLQLLETMDMKTKLTAVPWDVFHLPGLLHLYLLLEPNLLDWIGQMKSTITLDASSNSSQGNLNNLQDICLSCCALPSEHLQRNMETLGSLLGAVSNLKTLSIVSSSNQNVDMVSGTSDATVAWDFLTPPRFLQRFEWLLHDCIFSKIPEWIGELDNLCILNIAVRELVKNGINILRGLPALTSLSLNVHTTSIEKVIFDKGGFSVLKYLEFRCSAPWLKFESDALPNLRKLKLVFNSLFENIHGTAPISIEHLSCLEEISAKIRGGGNVEFPLTSAISNHPGNPRINFQLVDGVFYGDEDEEHATPTMGLEGRCWETVSGDSDGVGRCPQPNHVLENVLLESILQFLTTARDRNMASLVCRYWYHAEAETRQELFIRNCYAVSPNRVIERFRGLRSITLKGRPCFADSTLVPKGWGAYASPWVAALGPAYPHLKCIFLKRMTVSDNDLRLIAQSFPQLRELSLMSCDKFSATGLAIIAEQCRHLHVLDLINDKVEDTVDKQVDWISMFPKPSTSLESLLFSCVDTPCNFESLEALVARSPGLCQLGVNRHVTVEQLCCLMAIAPNLTHLGTGVFRSKTGYPAGEAPPSVSELATYFAACRSLHSLSGLQDANPDYLPAIYPVCANLTSLNISSATLTGQQLAPIIRSCGNLRTFCVRDSIGDDGLSAIAETCLDLQDLRVYRLLRGSEHHLSVSDVGLETISKGCQKLKTLTYYCGSMTNAAMVIMSSNCPNLEVFRLSILKTYLPDRITGEPMDEGFGAIVMNCKKLSRLSTSGLVTDKAFAYIGQYGKSIKTLSVAFSGNTDMSLRYVFEGCTRLQKLEVRECPFGDEGLLSGLSHFWNMRFLWMSSCRVTMTGCRYVAQQMPNLVAEVISGHSGNEDVTADNVDNLYLYRSLAGPRDDAPSFVKIL >ORUFI11G13650.1 pep chromosome:OR_W1943:11:15355428:15362406:-1 gene:ORUFI11G13650 transcript:ORUFI11G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNHHASATSASTASGNPMDSTVSYQGGLGHKSKTKIKKGKEKGSSTVPVVYDVNADIEEEYRLFLENVRVHENEDFVLEYDGKVIRYGGEEMDDDDSCIEVSMKEKEEVLKALVISSDDESPTSLRRVYENDSSRQKVEMVVDDQEKMNEKNEAALRLKGKGGPIEDVEKY >ORUFI11G13660.1 pep chromosome:OR_W1943:11:15362829:15363767:1 gene:ORUFI11G13660 transcript:ORUFI11G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGERLTRGRWWGQKAAKWMEHALPRVLGRKDNVFHHECTCIENIRMPAVPYIEDADAGVLQDHVEDHDDALPPDLSGQIVDEQE >ORUFI11G13670.1 pep chromosome:OR_W1943:11:15371493:15371702:-1 gene:ORUFI11G13670 transcript:ORUFI11G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSVSTVQPIGKPEAMTTQSIGSLKSYNHGNKQRWRHPYTTSFYSIGNGEPPPTDDHHPTRLPGFAW >ORUFI11G13680.1 pep chromosome:OR_W1943:11:15380627:15400118:-1 gene:ORUFI11G13680 transcript:ORUFI11G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPKPNHHASATSASAASGDPMDSTASYQGGLGHNSKTKIKKGKEKGSSTVPAVYDVNANIEEEYRLFLENVRVHENEDFVLEYDGKVIKYGGEEMDDDDSCIEVPMKEKEEVLKALVISSDDESPTNLRRVYESDSSRQKVEMVVDDQEKMNEKNEAALRLKGKGGPIEDVEKSHGIIWPTHINDRKESNFKIGLFEVLSKPFCQGEYDKLYGMASTREPLKKERRTRSGSKTYYSSHSLGKSYFDCYPDLAEQVEETSYPNRLALLRGLFYWLEIYKI >ORUFI11G13680.2 pep chromosome:OR_W1943:11:15396127:15400118:-1 gene:ORUFI11G13680 transcript:ORUFI11G13680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPKPNHHASATSASAASGDPMDSTASYQGGLGHNSKTKIKKGKEKGSSTVPAVYDVNANIEEEYRLFLENVRVHENEDFVLEYDGKVIKYGGEEMDDDDSCIEVPMKEKEEVLKALVISSDDESPTNLRRVYESDSSRQKVEMVVDDQEKMNEKNEAALRLKGKGGPIEDVEKSHGIIWPTHINDRKESNFKIGLFEVLSKPFCQGEYDKLYGMASTREPLKKERRTRSGSKTYYSSHSLGKSYFDCYPECWEKTPIHAMEK >ORUFI11G13690.1 pep chromosome:OR_W1943:11:15383672:15393953:1 gene:ORUFI11G13690 transcript:ORUFI11G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVEVAMGSLLPRLTELIREEYQLHGGIRSRVKWLCCELEIMHTALSLVSEMPREQLDERVRCWARDLCMLCYNLEDVVDTFLTISSGSGPQLAHAETFMRLLRGMSEQMIVGSMEHHQISDAIAKQQLQDVPTKHGTSYYTSVGHPTHDPYRNAMSSTKLVGIDTPRDEVIEMLSMGSQSKLKIVSIFGFGGLGKTTLAKAVYDKPKPGFQCQAFVTVGRNPLMNRVFRDILYYIDKKKFANSKTAMLDERQLINELHEFLQNKRYFIIIDDLWDMNSWSIIRSALPDGNYGSKVVTTSCISNVASDVGDVYNLRPLSHGNSKKLLSTRLFDDEFKCLESQSAMASDKILEKCGGVPLGIITIAGLLASKPKDDWYQIYNSIGFGKGNDDDVENTRRILSFCYNDMPSHLKTCLLYLGIFQEDYEINKCLLIWKWIAEGFIHEEQQIGLFDIAEGYFNELINRSMIQPVQGQRTGYIIGCRVHDMVLDLIRSLSSEENFVTVLSDGDEQQKLPLTNTSRLALQSRIVEKHHPQLANVDMEHVRSFVAILSEIPVLSPSFQVLRVLALEDCKFVESHTTNGLEHLRKLLHLRYLGLTRTRGFRHLPEEIGHDLKFLQTLDLYETDLEEVPFSVGLLTQLLCLHVDVGTRVPAGLIGHLTSLQELWIYPAMKDYSMGAATAKQFVKDLGKLRELRVLKTRIHGWDQSTEIALVESLQNFQKIQLLELDGESYLGEGVTWEAGFVSSQHLRYLTLACMQLTRLPAWMNSLSLPNLSHLVVNVQFWQEQDMETLGRIPGLRSLELQSCNIRPVNIKSTCGDIGYFRNLRSLITSRILIRFDIHRCELCSSNMGIDVPTIMPSLEYLQFVVHVRIFKDANLGFDKLVSKNLPSLQTVKAGISCSDACLAEVEEAEATLIYTVNAHPNHPTLEMMRCNEYKMVSSDPIHEYFHITSIAYLQVCAITPINSTSSDLRQLGVENRVMEPISSFLGTMGSLPSKLDMLLDPECRLPKELKDRMQLLKADLKELATYLEALSMANNPHLVAKCWMKEVHELFYDIEDYICNIENEIKFSKPVHLITKTRFVCKINHLKINGLPRRLKWHQQIGTMISEFRIYVQEAIERYERYDLHCCTYRHKQVSVSCLLPTPYELTTDLVLDGRMSEFIKWLDYDKDQKLKVVSIVGSSGIGKTTLAKLLYTRSGGQFDSRAFIQVPQKPNMKRLLCDIVSQVQQNNQHHDCKELDLIDNIRRQLQDKRYLIIIDNLSAASVWDILHHAFPECTQRSRIITTTQIKDVALACCLHRTEYIFEMKPLEDYYSRKLFINRIFGSQSDCPQTFKEDSDKIIQICGGLPLAIIIIGSLLATQPVVSKELWIYVCDSLSSDLWTDSTSDGMKQVLNICYNNLPHYLKRCLLYFNIYPEGYKICKDALVKTWVAEGFIDAPKGLDKEKVAASYFDELIGRRFIQPIEINHNDEVSSCTIHDLIRDFIAYKSVEENFIVIVDCYRKNVGLVDKVRRLTLHFMDSKYAKLPENMKRSQVRSLAFFGLFKCMPFITDFKLLRVLNLQLSGHLGDEMLDLTGISKLFQLRYLKIASDICIKLPNRMLGLHNLETLDVDTKLADVPRDVFHLPGLLHLHLLLEPNLMDWIGRMKPAIALGTIDLSSNSSQSNLKNLQNICFSCFTLPSEHQQRNMEALGSLLGAVRNLKTLAIVSANNQKVDTVSNTSGATVSWDRLAPPRFLQRFEWLMHGSIFAKVPMWIGELANLCVLNIAVRELASNGVDILRKLPALTSLSLNVHTTPIEKIVFDKLGFSLLKYLEFRCSTPWLKFEEDGMPNLRKIKLGFNALMEDIHGTTPITIDHLSGLKEISAKVAGASGNTEFALTSAVSNHPSNPRINIQLVDCIFNADEENRHSTVTVVKRCTPPNQELEKVLAESVLQFLTTAHDRNMASLVSRYWYHADAEMRRELFISNCYAVSPRRVIERFRGLRSITLKGRPRLTDFTFVPKGWGAYASPWVATFGPAYPHLERIFLKRMTVSDNDLRLIAQSFPQLRELLLISCDKFSIAGLAIIAEQCRHLRVLDLINNNIEDTEDTPVDWISMFPWPSTSLESLVFGCVDTPCNIESLEALVARSPALRRLRVNHHVGIEELCRLMAVAPNLIHLGTGAFRSKVGYPAGEAPPSVSDVATSFEACKSLISLSGFRDANPEYLSAICPVCANLTSLNISFVSITAQQITPIICRCGNLQTLKVGDTVGDDGLCAVGVTCLDLRELQVFPLFAGSESHLSVSDVGLEAISKGCQKLESLIYYCGSMTNAAMVIMSNNCPNLEVFRLCILKAQLPDRITGEPMDEGFGAIVMNCKKLSRLSISGLVTDKAFAYIGQYGKSIKTMSVAFSGNTDMSLRYVFEGCTQLQKLEVRECPFGDNGLLSGLNHFSNMRFLWMSSCRLTMRGCRDVAQQMPNLVVEVISGYSGNEVVTADTVDHLYLYRSLAGPRDDAPSFVKIL >ORUFI11G13700.1 pep chromosome:OR_W1943:11:15400564:15401437:1 gene:ORUFI11G13700 transcript:ORUFI11G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHALPRVLGRKDNVFHHECTCIENIRMPAVPYIEDADAGVLQDHVEDHDDALPPDLSGQIVDEQE >ORUFI11G13710.1 pep chromosome:OR_W1943:11:15417417:15436820:1 gene:ORUFI11G13710 transcript:ORUFI11G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLHEVTYSIVLGRHSRRRNQPVGVGVGVGVGGGGGGGGDAAADDVDVDLGILGGGMNVSRRHARIFYDFPRRRFALEVLGKNGCLVEGVLHEPGGEPVKLDSQDLLQMGDAQFYFLLPTRSVFATDAARRASAAARRAVPPPPSSSSDGDDGEEEREAAVAKRPRNGDAGALAGRKSEKGSKGYRQSDNLQLLQLEEKDVISSTATVLSDLCGPQEWAPMDRLHEVGGGALSLSLWFRCNPD >ORUFI11G13720.1 pep chromosome:OR_W1943:11:15448109:15448461:-1 gene:ORUFI11G13720 transcript:ORUFI11G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPPLLLLLVSLLAAVAAAFLAPQALAQHQPQGNATAAAGEGWRPRLRKTYVEGGAAGMVPRRRRLVGRFQICAVCTCCGGPHG >ORUFI11G13730.1 pep chromosome:OR_W1943:11:15462219:15462539:1 gene:ORUFI11G13730 transcript:ORUFI11G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGITAANAGAGESIGSVVAAGAEHRGGRHHAGEHLADRAEGAGADDVGVLPGERGLDVATGDGRRAADGFAVKINEVPVMEGESWQPWVLTLRALGAGHGLRGG >ORUFI11G13740.1 pep chromosome:OR_W1943:11:15467282:15467798:-1 gene:ORUFI11G13740 transcript:ORUFI11G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVAAACMHRSGISAADGLVTNGSSLTCGGDEAKGSTATTTTVATCGLRSSGSATGRLQNGGSTASNRGSERAEERTLRLHCPSGILTGR >ORUFI11G13750.1 pep chromosome:OR_W1943:11:15477004:15477613:-1 gene:ORUFI11G13750 transcript:ORUFI11G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVVAASGISAADVLVTNGSSLTCGGDEAKGGTATTTTVATCGLRSSGSATGRLQNGGSTASNRGRERAEESTLRLHCPKEGFN >ORUFI11G13760.1 pep chromosome:OR_W1943:11:15513391:15513618:1 gene:ORUFI11G13760 transcript:ORUFI11G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGVVVITLVLSLAAAAGAARPKETTMGLTRRGLASEPMTHLHFYFNDKVSKPSPTSVRVVDSVDPISESLFG >ORUFI11G13770.1 pep chromosome:OR_W1943:11:15519873:15520073:1 gene:ORUFI11G13770 transcript:ORUFI11G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEVMVEMEEKQRACAAEMQRVLEGSNVEGEESRDAAGDGEGVSVARGAEEFEAKMRAPREEAE >ORUFI11G13780.1 pep chromosome:OR_W1943:11:15523641:15524066:1 gene:ORUFI11G13780 transcript:ORUFI11G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKKQTLVAEADVQRRGCAVLRISDRRQSRGGPTAGGGVRRAGGWGGAIWMGRTERRCSRTGTGTATEDRDGEAEAEAEGERRRRRPPIPSPSSYRCGFSHKGYPFYCPWAEPHSEHSVQPIVTLILHGPTQPCPYSFL >ORUFI11G13790.1 pep chromosome:OR_W1943:11:15585670:15590579:-1 gene:ORUFI11G13790 transcript:ORUFI11G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTWLWLLLSPLILLLLHYALALLTARRARKNPLPPSPPALPFIGHLHLIGALPHVSLCRLATKHAPDLMFLRLGTSLPAILRTHDDVFASRPRTVLADIIFYGSRDIGFAPYGEDWRQARKLVNTHLLSVNKVQSLWLAREEEVKIVMEKISKAAFAREAVDIGQILCSFTNDLACRVVSRKLVGDDRQKKLLQELVNKTIKLLSIFNVEEYFSILARIGVIGKVMCARAERLKKKWDMLLKKLIADHESKCDPYLVCGRNKDDFVDILLSVRKEYGLTEEHVKAILEDVFIAGTQSSARVIEFTFAELMRKPHMLKKVQDEVRACIPNGQAIVSEVQVNNMTYLRAVVKEFMPERFVESVNGSATASVNFWVNNYQYLPFGSGRRMCLGMNFAMAVIEITLANLLWKFDWALPAHAMEVDMSEEFGLSVRLKEKLLLVPKQHV >ORUFI11G13800.1 pep chromosome:OR_W1943:11:15666194:15669922:-1 gene:ORUFI11G13800 transcript:ORUFI11G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDASSPLPVLSSAYQPLPWLYLGFLAIWAASGFCWASSSWRSRHFQVNNLQWILALVPLIKALQMGLSFLFWYSCMHLQTCSLWMSFGVYVTGILFQTTSFVSFMLISHGYCIMCERLSIKERRTTACLGCLIYLSLIGYKAAVPYFTVFILINYFMSFYIIFRRTSQNLLLLREQLNFIEEEDIHSLHGALNTKYTMFKRFQGTMQVALVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMIPEASLHLPVVPLMKSTWEIAMPPIYSVEMDAADFKGLVSDHWHVGVRTSHNNSGCPSQPLLVLVQNPSPKVSTAATASRL >ORUFI11G13800.2 pep chromosome:OR_W1943:11:15666194:15669294:-1 gene:ORUFI11G13800 transcript:ORUFI11G13800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPPGEATHCTVRHAAVEASRRAHTVGRPPEAPSPCEAAGGVAPDPGSPAAGAPSVLAGGEDRRRGRERIARGKGIRTTRGKGVGRVNNLQWILALVPLIKALQMGLSFLFWYSCMHLQTCSLWMSFGVYVTGILFQTTSFVSFMLISHGYCIMCERLSIKERRTTACLGCLIYLSLIGYKAAVPYFTVFILINYFMSFYIIFRRTSQNLLLLREQLNFIEEEDIHSLHGALNTKYTMFKRFQGTMQVALVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMIPEASLHLPVVPLMKSTWEIAMPPIYSVEMDAADFKGLVSDHWHVGVRTSHNNSGCPSQPLLVLVQNPSPKVSTAATASRL >ORUFI11G13800.3 pep chromosome:OR_W1943:11:15669565:15669922:-1 gene:ORUFI11G13800 transcript:ORUFI11G13800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDASSPLPVLSSAYQPLPWLYLGFLAIWAASGFCWASSSWRSRHFQTDYGFILNDWSLNRDLRAMILPPKFY >ORUFI11G13810.1 pep chromosome:OR_W1943:11:15669293:15670445:1 gene:ORUFI11G13810 transcript:ORUFI11G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSGWPLLCGGRPDRAGVLDGGRIHHEQEHHATKHITRIQAKTKQNGKMQGQYLEMPTPPGAGGPAEAGGRPYRQEPEVQPRERLIGAAQHRERRRSVLHHPLLLVAQDQKVMEQ >ORUFI11G13820.1 pep chromosome:OR_W1943:11:15811148:15812602:-1 gene:ORUFI11G13820 transcript:ORUFI11G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGLHLPAALLLLVLLTMAVANRGGVRDAAVAIPTEGSVNGGPDGYGKIGGAGRMEVDTDTAVRRAAQAEVDPADMMTEVIILREHLVPLITVCHLLATICHVLKIEWSPLKNKHV >ORUFI11G13830.1 pep chromosome:OR_W1943:11:15820857:15821813:-1 gene:ORUFI11G13830 transcript:ORUFI11G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGRNASYTDTPYRDFTLQAGQDHMRVILILRRTLVPLITVYLTLAIIYHALKMDWPPFENKLTCNL >ORUFI11G13840.1 pep chromosome:OR_W1943:11:15829415:15829753:1 gene:ORUFI11G13840 transcript:ORUFI11G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVARRSTAAAAVRKVQGSGDWDGMLTPLYPVLRGEVARYGELVGACYAALEEDPSLPRYMNCKYGKLRMLEDAGAGYEVTRCIYSSSDAAVPGMEAWNSGCAS >ORUFI11G13850.1 pep chromosome:OR_W1943:11:15838741:15840196:-1 gene:ORUFI11G13850 transcript:ORUFI11G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGLPLPALLLVVQLTMAVASHGGDGAAFVAARPGSVHSALDDSAYGILNDGEGAGRGGGGEQGGGWANGSGYESRYGNSHEQGAGRRDGAGLGEGWGGPSGYESTYGNSYGEVADRGGGSGQGGGEDDRSGYGAASRYGNSMSWVLAGSKIRSDAEEQSRSGLPFPMISGRNNSYTDIPYRDFTLQAGQDHMRVVSFCP >ORUFI11G13860.1 pep chromosome:OR_W1943:11:15849679:15850094:1 gene:ORUFI11G13860 transcript:ORUFI11G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRSLLWQLSPSVTTFSPFMF >ORUFI11G13870.1 pep chromosome:OR_W1943:11:15886715:15892808:-1 gene:ORUFI11G13870 transcript:ORUFI11G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPRTSPSAASPHGTGPTCSSGLAPCRCSLRRRRVPAAEAILRTHDHVFASRPRTVLLADIVFYRSRDVRFAPYGDHWRQARKLVTTHLLSAKKARKLVTTHLLSAKKVSLVMTKISKAATASAVVDIGQILRSFTNDMICRTVSGKCPCDDRQKRIFQELANETSLLLGGFDIEEYFPVLARVGLVGKMMCVKAEILKKRWDELLEELINDHENDDHSCNLISDQNDEDFVDILLSVRQEYGFTREHVKAILQDVFFGGIDTSALVLEFTIAELMQRPRMLKKLQDEVRACIPKGQKIVSEVDINNMAYLRAVIKEGIRLHPVAPVLAPHISMDDCNIEGYMIPSGTRVLVNVWAIGRDPRFWEDVEEFVPERFIDSMSSAAANVNFRENDYQYLPFGYGRRMCPGMKFGIAVVEIMLANLMWKFDWTLPPGTEIDMSEVFGLSVHRKEKLLLVPKQHE >ORUFI11G13880.1 pep chromosome:OR_W1943:11:15919736:15947290:-1 gene:ORUFI11G13880 transcript:ORUFI11G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLLLAPSAVALLVVASAWVPAAADPQITLLNLGCSQYNATPAAAFLAALNATFAVLRANLSSAGGGGKSNHKGAIIGGILGGVAFLLLGLLALFWTRQSKKPLKPRRGDILGATELQGPTSFYYKDLKVATNNFSEQSKLGEGGFGDVFKASLKNGKTVAVKRLTVMETSRAKADFESEVKLISNVHHRNLVRLLGCASKGSECLLVYEYMANGSLDKFLFGEKSVALNWKQRFNIIIGMARGLAYLHEEFHVRIIHRDIKSSNVLLDDEFQPKIADFGLARLIPDDHSHLSTNFAGTLGYTAPEYAIHGQLSEKVDTYGFGVVTLEIIGGRKLNDARLEPDSQYLLEWAWKLYEDNNLIELVDRSLDPEEYNHEEVKRTMEIALLCTQSAVTSRPMMSEVVVLLLTRNALEFQPTRPTFIDATRRVPGETSTSSSSSASKATVSISQLSAR >ORUFI11G13890.1 pep chromosome:OR_W1943:11:15952943:15953750:1 gene:ORUFI11G13890 transcript:ORUFI11G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHQCRPLPRVPAASSSATAPPTAGSGLDKFVGRRSDHRGLSPDRRPLPPPPPYRQPLDPGEDPATASSTTAPPPDEYDCDDFCDFVLCPNDCECEVILFFG >ORUFI11G13900.1 pep chromosome:OR_W1943:11:15988075:15991456:1 gene:ORUFI11G13900 transcript:ORUFI11G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGIFEVVVAEEKLIYVHRGDVVFFSN >ORUFI11G13910.1 pep chromosome:OR_W1943:11:15992516:15994837:-1 gene:ORUFI11G13910 transcript:ORUFI11G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLPFADNMAHKTGLARWAHRAGHAGDSPDGAAASMLRWKILPSSAQRMVGDWTGTTTAEGAPAISRGQVAPQEVGYRRRSCSGRSGRSRTRARRNDGQPYLWFLQEMGKEEYKEVALVDGISGIMVLVNGISWILFRERVFLSTYD >ORUFI11G13920.1 pep chromosome:OR_W1943:11:16006147:16006787:1 gene:ORUFI11G13920 transcript:ORUFI11G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDTWSNQLAGDPASFRSIDIPLDEGDEQAVPNLKEAVAEEAAVADQEDDQLGGDLHGGAIHVLPFDINLYASDHQGEIHPVLVLS >ORUFI11G13930.1 pep chromosome:OR_W1943:11:16006216:16013836:-1 gene:ORUFI11G13930 transcript:ORUFI11G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAQMHGVTILEDDSDHKPLFEKALVGQINIEDTSGKAKDVISEEGSSEEMKDSDNDVGMVTWMYFSLMVRCIQVDIKRKHMDGTSVEITSKLVILLISHCCFFSHCFFEIRYSLFISLI >ORUFI11G13940.1 pep chromosome:OR_W1943:11:16037666:16038813:1 gene:ORUFI11G13940 transcript:ORUFI11G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGSSDSASWARRGGGGGEPSWVDVWRVERNGEGGREGEMTRRVEDFSFFVESGGEGGEVGKGLESYSEEIRMLSYRTGSVVSEYYLETK >ORUFI11G13950.1 pep chromosome:OR_W1943:11:16064872:16065434:1 gene:ORUFI11G13950 transcript:ORUFI11G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMGSNYRGGWSVAGNTLVEAGVGRTDVKRPIEEARWSGPAGMASIEMGSNYRGGWSVAGNTLVEAGVGRTDVKRPIEEARWSGPAGMASIEVGVKADEETQSDSRQATNVVGHAAIPSLSASTLP >ORUFI11G13960.1 pep chromosome:OR_W1943:11:16067428:16075391:-1 gene:ORUFI11G13960 transcript:ORUFI11G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSAGGVGEGSSSSAAAAAAAAAATIGPHVVDEGALCFGHSHNADMFYNVDTLSVATPLMGRISFGAAREGLSAAATAVAVAASVIGPHSSDEEAMWQMNLGEAMEAGPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPADRKMAVAAARMKGEYPQRIGQPECQYYLKTGTCKFGATCKFHHPREKAAIATRVQLNALGYPLRPNEKECAYYLRTGQCKFGSTCKFHHPQPSNTMVAVRGSVYSPGQSVTSPSQHTYPGAVTNWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVPGWNPYAAQIGSSSSDDQQRTAGGAQYYTGSRHSETPNMGDQGMFSSYQAGSVPLGLYTVQRESIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERIIPTPNCALSSLGLPLRPGEPICTFYSRYGICKFGPNCKFDHPMGTVMYGLATSPTGDVSARRMLAPVPAHSEVSPDNVSGRSRRITHSDSQQIPSGERGTEREAS >ORUFI11G13960.2 pep chromosome:OR_W1943:11:16067428:16075391:-1 gene:ORUFI11G13960 transcript:ORUFI11G13960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSAGGVGEGSSSSAAAAAAAAAATIGPHVVDEEAMWQMNLGEAMEAGPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPADRKMAVAAARMKGEYPQRIGQPECQYYLKTGTCKFGATCKFHHPREKAAIATRVQLNALGYPLRPNEKECAYYLRTGQCKFGSTCHSSYAQVIVPPGLVQVPGWNPYAAQIGSSSSDDQQRTAGGAQYYTGSRHSETPNMGDQGMFSSYQAGSVPLGLYTVQRESIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERIIPTPNCALSSLGLPLRPGEPICTFYSRYGICKFGPNCKFDHPMGTVMYGLATSPTGDVSARRMLAPVPAHSEVSPDNVSGRSRRITHSDSQQIPSGERGTEREAS >ORUFI11G13960.3 pep chromosome:OR_W1943:11:16067428:16075391:-1 gene:ORUFI11G13960 transcript:ORUFI11G13960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSAGGVGEGSSSSAAAAAAAAAATIGPHVVDEEAMWQMNLGEAMEAGPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPADRKMAVAAARMKGEYPQRIGQPECQYYLKTGTCKFGATCKFHHPREKAAIATRVQLNALGYPLRPNEKECAYYLRTGQCKFGSTCKFHHPQPSNTMVAVRGSVYSPGQSVTSPSQHTYPGAVTNWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVPGWNPYAAQIGSSSSDDQQRTAGGAQYYTGSRHSETPNMGDQGMFSSYQAGSVPLGLYTVQRESIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERIIPTPNCALSSLGLPLRPGEPICTFYSRYGICKFGPNCKFDHPMGTVMYGLATSPTGDVSARRMLAPVPAHSEVSPDNVSGRSRRITHSDSQQIPSGERGTEREAS >ORUFI11G13970.1 pep chromosome:OR_W1943:11:16092534:16097221:1 gene:ORUFI11G13970 transcript:ORUFI11G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPRLLILLVLLAFSATVAVAEDGESTGGSKVSLGRRAGGFLHGLKKEAVVEGDHGVALDEVGPGLFDALFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALYVMTVLSTGLGRIVPNLISRKHTNSAATAGIGLPMRTQNLHTCNEILEERTDFWCCGISATLVLLIVKGKKEITTKLLHELHDQLTACAIPHIPIMACDTTGCACHDQDVEEKLESGQGKSTLRRFFGRFCTPIFLEAFILTFLAEWGDRSQIATIALATHKNAIGVAVGASLGHTVCTSLAVIGGSMLASKISQRTVATIGGVLFLGFSVSSYFYPPL >ORUFI11G13970.2 pep chromosome:OR_W1943:11:16092534:16097221:1 gene:ORUFI11G13970 transcript:ORUFI11G13970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPRLLILLVLLAFSATVAVAEDGESTGGSKVSLGRRAGGFLHGLKKEAVVEGDHGVALDEVGPGLFDALFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALYVMTVLSTGLGRIVPNLISRKHTNSAATEKLESGQGKSTLRRFFGRFCTPIFLEAFILTFLAEWGDRSQIATIALATHKNAIGVAVGASLGHTVCTSLAVIGGSMLASKISQRTVATIGGVLFLGFSVSSYFYPPL >ORUFI11G13980.1 pep chromosome:OR_W1943:11:16100736:16101718:1 gene:ORUFI11G13980 transcript:ORUFI11G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPISEQQPSSKEVQGGQAAAAAAETSYFRSAPVLPAGAMQDEESTFGKAKSADQEERQQAARRDFLAGIRKLIKSFKSLSHIFEVYKEDDEDEDSMNIEIGFPTDVQHVAHIGLDGSSSMSSLRGLQEEARELLSMSSLTMEQFEFAMASLAAHKDPKMVVDRVAPN >ORUFI11G13990.1 pep chromosome:OR_W1943:11:16110611:16111593:1 gene:ORUFI11G13990 transcript:ORUFI11G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERGWIDQKIEDRKLRALPVTFVIQTLLSHDLRREKMILFFILTKLDLGLLGYSAWLESRWTARVSGRGCDGRWRWDTVAAVAEEEEVCLMEVVLLKERAAAVATFRASHVMEKEDDNGSFASSDGFLLGAVVRQ >ORUFI11G14000.1 pep chromosome:OR_W1943:11:16117290:16117913:1 gene:ORUFI11G14000 transcript:ORUFI11G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCQVGGLVLKGLDNMGSRGVFFDDDFYDQLDLGDTTQGVFFDDDFYDQLDLGDTTQSMFTLLQVGSVPFLVFGATSWIHPTAPSENRALMSKPARKAKKQWCPFDDMSSHSLDGCNVMLKDKAEFSSKSSKL >ORUFI11G14010.1 pep chromosome:OR_W1943:11:16118099:16118552:1 gene:ORUFI11G14010 transcript:ORUFI11G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGVFFDDDFYDQLDLGDTTQSVFTLLQVGSVPFPVFGATSWIHPTAPSENRALIENRALMSKPARKAKKQWCPFDDMSSHSLDGCNVMLKDKAE >ORUFI11G14020.1 pep chromosome:OR_W1943:11:16134610:16140554:1 gene:ORUFI11G14020 transcript:ORUFI11G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFRLAGDMTHLLSVVVLLLKIHTIKSCAGISLKTQELYALVFAARYLDLFIHFISLYNTVMKLVFLASSFSIVWYMRRHKIVRRTYDKDHDTFRHHFLVLPCLALALLINERFTFREVMWAFSIYLEAVAILPQLVLLQRTRNIDNLTGQYVFFLGAYRVLYILNWIYRYFTEPHFVHWISWVAGIVQTLLYADFFYYYIMSWKNNVKLELPA >ORUFI11G14030.1 pep chromosome:OR_W1943:11:16138942:16141175:-1 gene:ORUFI11G14030 transcript:ORUFI11G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSSGGGGGGNAWAKEMTIRRRMASIFNKTQDHFPCLKDYNDYLEEVEDMTFNLIEGIDVEEIEAKIARYQQENAEQIYLSRAKRAEDLAAALKASRMNPGKAAASDTAAGSSQGISSSAGVQGQYAPAAVGMSQPRPTGMAPQPIGGSSDPLQGDDEETMRLRAERGARAGGWTIEMGKRRALEEAFSSIFI >ORUFI11G14040.1 pep chromosome:OR_W1943:11:16149647:16158614:1 gene:ORUFI11G14040 transcript:ORUFI11G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEMVVAVPSPEVPAEEERALIRDITVAAEAHAKEGDTFFLITHRWWQSWIDYVIQDLANSTNNGSHHHEHGSNVLRRPGAIDNTDLIDDTASEVSNMEIELHDTLVEGRDYILLPQQVWEKLHGWYGGGPTLPRKAINTGLSQTDLAIEVYPLRLQLLLAPKGEQAVIRISKKDTVGELHKKACEVFDLIPDEVCIWDYYGRTRHSLMDNLEKTLDDANIQMDQDILVEVTTDANGSLDGGCIGSIQENEYLERESTSLIADASKSGLSNENFASNNYTSRSYSSSLTQSQYLRSSNGDLDNMHGTSAMITRGSPLGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYHREINWQNPLGMVGELALAFGELLRKLWAPGRTPVSPRPFKTKLSRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHRPYIKSKDADGRSDDEVADEYWANHIARNNSIIVDVCQGQYKSTLVCPACGKVSVTFDPFMYLSLPLQFTSTRSMTVMVFTFDGSTPPTPYTVNVPKQGRCRDLIQAISNACSLRNGERLVIAEIRNHRIHRLLDDPVVQLSTINDDDHLAVYRLPKMEKKPNYIQDNGNNISVTAWKPYGVPLLAQVSRNETVTGMHIHEMVRKMLAPMQKNQESQHSVQSSVSTRTQTYHTDSTKFQLQLIDDSNTTIEQSNDTIRVPQSSLAAVIFVNWSKADLKKLNTHHLENLPEVFKYAPPAKRTRGEPLSLYSCLDAFLREEPLVPEDMYCPRCKEQRQASKKLDLWRLPEVLVIHLKRFSFSRSTKQKLETFVNFPIHDFDLTNYIANKKSSERQIYELYAVSNHYGSMASGHYTAYIKLLDEERWYNFDDSHVSAINEEDVKSGAAYVLFYRRVRGGAASNAIHPHVNQNHRSSQR >ORUFI11G14050.1 pep chromosome:OR_W1943:11:16212144:16214880:1 gene:ORUFI11G14050 transcript:ORUFI11G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKISCLQVDEQLDSVQFSLTNVVERYVIDEPPEDAQAVLKRQHGIDNLLGHFRQHLQERSAHHLGHPLSQKLDVGPLAQFQHFHINNIGDPFVESNYGIHSRQFEYAVLDWFAHLWEIPKDQYWGYVTNGGSEGNYDGLLVGRELYPEGIIYASQDSHYSIFKAAKMYRVQCIKIDTSFSGEMRYDHFRTKLLENARRPAIVNVNIGTTVKGAIDDLDEIISTLENCGFRDRFYIHCDGALAGLMLPFIKQAPKVTFIKPIGSISVSGHKLLGCPTPCGVVINRLKDIDVLKSTNIEYIASNDVTISGSRNGQSPIFLWYKLKSMGYEGIRREIEACLRNACYLTVRLRNVTISGSRNGQSPIFLWYKLKSMGYEGIQREIEACLRNACYLTVRLRNVGINAFMNSKSIIVIFEKPKDEMFMQKWQLACAGNVAHVVVMPHVSFEMLGIFVEELAEKRYALLQNKEFSVPCVAMDIGQENCLCKLHENIRSSL >ORUFI11G14060.1 pep chromosome:OR_W1943:11:16227662:16227853:-1 gene:ORUFI11G14060 transcript:ORUFI11G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEYVDIQAVASVQLGIGKAATRLGMGTGMAAAPTWLGQVGMFSYVDADGVAGATVCQPRR >ORUFI11G14070.1 pep chromosome:OR_W1943:11:16228367:16230953:1 gene:ORUFI11G14070 transcript:ORUFI11G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRLVVIGTFAETVNPGPVSGLPRIREEFPSRSRDFWGTTNISRLQGAQHPRARSINDQLWTLMIALGFNAVREGVKRDQVRRIDVGPGYQCSSSIATADFEIEDF >ORUFI11G14080.1 pep chromosome:OR_W1943:11:16236308:16237071:-1 gene:ORUFI11G14080 transcript:ORUFI11G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYENYLNKSQHSFQTSTPNVGHLDYSPNNSYIFGHLCALLSYGDLNPSMINPTKNPLQNMKVLEDRIAPAFDKDLDRGIQAYFVLGKKGTAKLIVKKNHP >ORUFI11G14090.1 pep chromosome:OR_W1943:11:16239462:16240146:1 gene:ORUFI11G14090 transcript:ORUFI11G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPKTSHRRCDRGQRRRRRLQSAPTRTPVPKYKKFLLDKNDTTIHDIEDGVKDGGGGGTQDLPSRMRSRTETAAAAPEHSHADGVKDSGGCGCRFRALPSRMGSRIVTAVGAPEPSRVDGVEDGYSRTSCPRRQRQRQLPSLPPRIGPTIAVTTVAPEAFTEVVDDGGSVSGDGGGRRQRWRERKGREKRGGR >ORUFI11G14100.1 pep chromosome:OR_W1943:11:16240162:16241674:-1 gene:ORUFI11G14100 transcript:ORUFI11G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHSNTHELLASMHNHFMDNKNIITIHDIGYVPLEFEKIRIMPLHHVFRQKSLLGPTTRGT >ORUFI11G14110.1 pep chromosome:OR_W1943:11:16246240:16247958:-1 gene:ORUFI11G14110 transcript:ORUFI11G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLEDLIAPAFFADLVSRLIAFLISRYSNRACFEETAIKLELLVLKIHAVIQEAEHRHIAGNQSLLLWLKKLMKGMYQAYYVLDNARHPQDDQYINSVVTSGRSVSLSRFCCPAKRPRTVAMDFQVNNNGSIHQLSSTLAFLEDYSANLRDLILLLACCPPLPIRQPVSDFLSDERNMFGRLVEREQIISFLMQPGNHLGVLPIVGGPEVGKGTIIKHVCNDDRVRNRFDMILYSYGSILQINPADDVLETLTSHGHILHQADVSTSSLHRKHLIVIKNTCEVVIDKVAWATLCASLRSIGNGSKIIIVSENDNIKDLGTTGAMRIDPLLQEEYWYFFRSLAFGSGSSILEEHAGLAVVGRQIAAELHGSLFGAKVLGRLLRANLDEQFWHNMLNTVYRFHEVMQRDKYFTKLSIARVALKVLPMPLRLKSASQTGEASEMPGIMVQELVAGSVLPDVTLPLHRCL >ORUFI11G14120.1 pep chromosome:OR_W1943:11:16249198:16253209:-1 gene:ORUFI11G14120 transcript:ORUFI11G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPTLECVASGKRSRPLKIKSVRRNQAEKHCSRASSLVSNRKKIWDSRSLSTRGLAPVTAQQRHHYITSILARMDYQVPSPQAEGEDISNVKLPLYPLLTTDGRPQQRRRQPARHISCNLAGSDRDLSEQVNRWRGPCTTGASDSCQWLEGKKNNMRHDSQREWKDRMGKQSEKKEKESSKPEVEEFGSISLLPARTRIMDPDSVGLAKHQDWSFITHTFVFYRSALLSLGLSLSRTYKHTKTPF >ORUFI11G14130.1 pep chromosome:OR_W1943:11:16258596:16258941:-1 gene:ORUFI11G14130 transcript:ORUFI11G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVGRSTSPDPCPQNPRATIFVSSSQPIKLPLYQLPCRPKLRFSICRSGLFPNSLNLPPLSSDVKHKGTCLVADVAVPQPLELCTHLSLSLLLFHWKKKAG >ORUFI11G14140.1 pep chromosome:OR_W1943:11:16269056:16270627:-1 gene:ORUFI11G14140 transcript:ORUFI11G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRNFVALIENRICAKAALVQNRIVMDHIAEHWRLMVRAMMTEAEWASSKHIPATMEEYMSAASHSLVGAIFQSAAYLLGSRLPEEVVGGEEYGQLWRHTRSSSAASSTTGRSASRRVLLPSAAASPASVEAAKVEIGRAIRALRGELQRLVFGDGAGVVPRSCREMFWQTSNVASAFYRDGDGYSPKEMLSVANAVILDPL >ORUFI11G14150.1 pep chromosome:OR_W1943:11:16270651:16273968:-1 gene:ORUFI11G14150 transcript:ORUFI11G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLSTSCSGGQFPGVSPLGMQPKRCTTMPLSAVTGATAGGVRNNLEVGGNAGTMQHIDELRAIVRKQLQGVKLSPSSYDTAWVAMVPVHGSPQSPCFPQCVEWILQNQQEDGSWGQPGAVNQDALLSTLACVLALNTWNVGPDHIRRGLNFIGRNFLVAMDGESVAPVDYNITFSSMLSLATRMSLEIPVIKTNIGGIFYLREVELEMCWMQNEEEIMLDIGLCAKAFRLLRMHGYNVTSDGMAQFAEQSSFDNSIHAYLNDIEPLLELYKSSQVRFSEDDLILENIGSWSTKLLKQQLSSKNISKSLLTEVTHALKFPLYATLEPHEHRRNIERFKTNVFQRLKSGYCWVAEFRLEELKFARIMPLQALLTAVSPLFRSEFRCPHRMEPEHRAGDSGG >ORUFI11G14160.1 pep chromosome:OR_W1943:11:16279346:16279837:-1 gene:ORUFI11G14160 transcript:ORUFI11G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVGDATATTVRRGRQHGAARRDDVQWQRSGTLGDGDARMGREVVRRQAQGARQRARQAQARGSKRRRWAATTMARQWHGQRRAATGRRWRRRGDDDDGDDVRHSSERRRAAQLRATATAIGRCAVARGARARQGERRCDGDACTACGRERAREPHQAPMP >ORUFI11G14170.1 pep chromosome:OR_W1943:11:16296131:16303020:-1 gene:ORUFI11G14170 transcript:ORUFI11G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLSSSYSGGQFPGVSPLGTRPKRSTTVVPRPVVTRATAGGVRNNLEVVGNAGTLQGMDIDELRVIVRKQLQGVELSPSSYDTAWVAMVPVQGSRQSPCFPQCVEWILQNQQEDGSWGHSAGPSGEVNKDILLSTLACVLALNIWNVGQDHIRRGLSFIGRNFSVAIDGQCAAPVGFNITFSGMLRLAIGMGLKFPVMETDIDSIFRLREVEFERDAGGTASARKAFMAYVSEGLGREQDWDHVMAYQRKNGSLFNSPSTTAASAIHSCNDRALDYLVSLTSKLGGPVPAIYPDKVYSQLCMVDTLEKMGISSDFACDIRDILDMTYSCWMQDEEEIMLDMATCAKAFRLLRMHGYDVSSEGMARFAERSSFDDSIHAYLNDTKPLLELYKSSQVHFLEEDFILENIGSWSAKLLKQQLSFNKISKSLMPEVEYALKYPFYATVEVLEHKGNIERFNVNGFQRLKSGYCGSGADKEILALAVNKFHYAQSVYQQELRYLESWVAEFGLDELKFARVIPLQSLLSAVVPLFPCELSDARIAWSQNAILTAVVDDLFDGGGWDDHGEIGFCSSNVEIMFNAVYNTTKRIGAKAALVQKRCVIDHIAEQAEWAAGKHIPATMGEYMSVAEPSFALGPIVPVSAYLLGEELPEEAVRSPEYGRLLGLASAVGRLLNDVMTYEKEMGTGKLNSVVLLQPLAAGGAASRGGGGAPAPAPASVEAARAEVRRAIQASWRDLHGLVFGSGGGSSSSIIPRPCREVFWHTGKVASVFYQEGDGYARKAMRSMANAVILEPLHLQE >ORUFI11G14180.1 pep chromosome:OR_W1943:11:16365550:16367823:1 gene:ORUFI11G14180 transcript:ORUFI11G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVLVAVAAAIGNYLQGWDNATIAGAVLYIKREFALETQPAVEGLVVAMSLIGATIITTFSGPVSDLVGRRPMLIASSLLYFAGGLIMLWSPNVYVLLLARLVDGFGVGLAVTLVPVYISETSPPEIRGRLNTLPQFTGSGGMFMSYCMIFAMTLSPSPNWRIMLGVLFVPSLLYLFVTVFYLPESPRWLVSKGRMKEARVVLEMLRGREDVSGEMALLVEGLGTGGDTEIEDYVVGPSEGDAGENEQARDTVTLYGPEQGLSWVAQPVAGGRGSMLGSSLGLQASRHGSMYEQMKDPVVALLGSVHERLPESGGGATGSMRGSTLFPNLGSMLSVNDRPGGSSWDEENVQPGDDDLDEEEEEYLSDDGKDDDDGGGLQAPLLSRQSTDVETKNEPASGQVAMQRHSSIGGGGGVETASTMGIGGGWQLAWKWTENVGPDGVKRGAVKRMYLHEESEAAPGGDSGAAGDAQSTAYVHAAALVSRSMLYTKDVLIGQSPTEPAFANPPEAVAAAASTGPAWRELLEPGVRHALFCGVTIQILQQFSGINGVLYYTPQILDQAGVSVLLASLGLSGDSTSILISGLTTLLMLPSIGVAMRLMDASGRRALLLWTLPVLVASLAVLVVANVVPMAATAHAALSTGSVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLTFWLGDIAVTYSLPVMLSSVGLAGVFSFYAAVCCVALVFVALKVPETKGLPLEVIIEFFNVGAKAGTLPDEEFH >ORUFI11G14190.1 pep chromosome:OR_W1943:11:16404119:16404502:-1 gene:ORUFI11G14190 transcript:ORUFI11G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHTVWSLVVNPAILRSWATDPTIPQSLAMNLDASDRSACPTAVDGASVRLAVANDESAHPIIVVDRNRVPQGWQRWIRPPRSCARAKVGTSLPCSCDGVVDAATALATSRASAFAGTRCGGYEVE >ORUFI11G14200.1 pep chromosome:OR_W1943:11:16424385:16425878:-1 gene:ORUFI11G14200 transcript:ORUFI11G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAFVLVGVDALAVSTEDAVGGAASGRRKGDKAVVGADMEWCDEYGTGSEEWTTKVEIKIKNVPEHANHPEIMERLVSFFCDAQTYRFDAVNKDYYICGFAQSIESIPKCKHLKLKYGTSNGVRIKSFLLNLEASLYAGPESNAITEAEDSEIYEDPEIYEDPDVVREFFETHVRLECIADGEESSSSADGSLGMSVDSDWSLKYSGR >ORUFI11G14210.1 pep chromosome:OR_W1943:11:16428149:16428875:1 gene:ORUFI11G14210 transcript:ORUFI11G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVVLSLLPHSLTLLLLLSLADLTASDYLDDNRPRGGRICTTSNLVASGSIAGDYGTGEPTAHNYRMGILTTEDLATSGSGAIADYWMGGSIDDDDNDNDSSDDS >ORUFI11G14220.1 pep chromosome:OR_W1943:11:16451226:16452777:1 gene:ORUFI11G14220 transcript:ORUFI11G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVPAELLVAGSRTTSPKTRESELVSHFLGGAEPALSVQLGDLGHLAYSHPMPTKPSTAERPKLSDEYDH >ORUFI11G14230.1 pep chromosome:OR_W1943:11:16515031:16515313:-1 gene:ORUFI11G14230 transcript:ORUFI11G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKSTYHTSKPSCPGRCRRKDYEPVFTVISSHTDEQKEKDRLLAIGTAAIKNKLLHHKRGLQAYVKDNLDRFGYVDINENMFYP >ORUFI11G14240.1 pep chromosome:OR_W1943:11:16525039:16526403:1 gene:ORUFI11G14240 transcript:ORUFI11G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLNLLIALLFIVVSVQGTNGADRCAASSSIDVQTINTGEAAAGGGSDTVFEVQVKNLCGCSVRDVRLDGGGFATTVEVDPAVFRAADDGGDYYLVNGGGPIASMATVSFRYTWDHFFQITPRSMEEDQC >ORUFI11G14250.1 pep chromosome:OR_W1943:11:16533646:16544044:-1 gene:ORUFI11G14250 transcript:ORUFI11G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLDAFASYVSDLLLQVAKDEVGMLLGVSDEITKLDEKLQFLKDYLADAEKKRITDKHVDGWVRKLKGIMYDATDILELCQLKAMEQGSSVDLGCCNPLLFCLRNPLFAHDIGSRIKKLNQSLDSICKTGAEFSFMKLEAYQDRRTASPLISRTTSPVLERSGVVGDQIEEDTSALVKLLTDDKETIHAENNSLLLAIVGVGGIGKTTLAKNIFNDDAIQEKFDKKIWLSVTQKFNEPDLLRSAIIATGGDHRSSHDRSVLELSLLNAIKGKNFILVLDDMWTERAWNDFLQIPFSHGGRGSRVIVTTRDERIARGVKAKYLHHVNKLGSDDAWSLLKKQVILSEIDEPEIEALKDVGMEIIGKCDGLPLAIKVLGGLLCRRDRNHGVWSEILSNSTWSVDGMPQDLNYVLHLSYEDLSPHLKQCFLHYSLIPKNVVLGYDTIIGMWISEGLVLQCTKGLEELGEDYYKELIMRNLLEPSIEYVDQWHCTMHDVVRSFAHYVARDEALVVQGRQIDISNLHSQKFYRLSIQTDDEVEWNLLKEQMSLRMLISVSDIKLRPGDSLGNFSGLRILYINSSNFLPLVDSLCQLKHLRYLSLATDDISRLPDDIGKMKFLMYIDINACGNLVQLPKSILKLRQLRYLSLGDTHINAIPEGFHNLSSIRKLYGFPAHMGTGGVSPKENWCSLEELECLSELRDLDLNCLENVSASSYAAKASLCTKEHLIFLKLRCTSRLGDDGLLKEEGLSEMEQRLVEEVFNEFCPPRCLYNLEIFGYFGCSLPNWMMSPISRTPLTSLRYLFLKDLACCTQLPDILSQLLHLFMLQIVRAPAIKRVGSEFLLCHDHGHHSLTAKAFPRLQVLFFVGMVEWEEWEWEEQVQAMAVLEELLLERCKLRCLPPGLAFHARALKKLWICEVQNLKSLDNFACVVELSVDDNPDLQRISNFPKLRKLDIMFCPKMEVLENVPELRILTLKDYSIETLPGYLQQVSMRNLFVDCSFELLSSIAMGDTGPEWNKISHIQQVKANSDDGYDETMWYVSYTRDPYSFETNIIPSSNPSGIFFFLGFCSFSFFHIFSSC >ORUFI11G14250.2 pep chromosome:OR_W1943:11:16533646:16544044:-1 gene:ORUFI11G14250 transcript:ORUFI11G14250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLDAFASYVSDLLLQVAKDEVGMLLGVSDEITKLDEKLQFLKDYLADAEKKRITDKHVDGWVRKLKGIMYDATDILELCQLKAMEQGSSVDLGCCNPLLFCLRNPLFAHDIGSRIKKLNQSLDSICKTGAEFSFMKLEAYQDRRTASPLISRTTSPVLERSGVVGDQIEEDTSALVKLLTDDKETIHAENNSLLLAIVGVGGIGKTTLAKNIFNDDAIQEKFDKKIWLSVTQKFNEPDLLRSAIIATGGDHRSSHDRSVLELSLLNAIKGKNFILVLDDMWTERAWNDFLQIPFSHGGRGSRVIVTTRDERIARGVKAKYLHHVNKLGSDDAWSLLKKQVILSEIDEPEIEALKDVGMEIIGKCDGLPLAIKVLGGLLCRRDRNHGVWSEILSNSTWSVDGMPQDLNYVLHLSYEDLSPHLKQCFLHYSLIPKNVVLGYDTIIGMWISEGLVLQCTKGLEELGEDYYKELIMRNLLEPSIEYVDQWHCTMHDVVRSFAHYVARDEALVVQGRQIDISNLHSQKFYRLSIQTDDEVEWNLLKEQMSLRMLISVSDIKLRPGDSLGNFSGLRILYINSSNFLPLVDSLCQLKHLRYLSLATDDISRLPDDIGKMKFLMYIDINACGNLVQLPKSILKLRQLRYLSLGDTHINAIPEGFHNLSSIRKLYGFPAHMGTGGVSPKENWCSLEELECLSELRDLDLNCLENVSASSYAAKASLCTKEHLIFLKLRCTSRLGDDGLLKEEGLSEMEQRLVEEVFNEFCPPRCLYNLEIFGYFGCSLPNWMMSPISRTPLTSLRYLFLKDLACCTQLPDILSQLLHLFMLQIVRAPAIKRVGSEFLLCHDHGHHSLTAKAFPRLQVLFFVGMVEWEEWEWEEQVQAMAVLEELLLERCKLRCLPPGLAFHARALKKLWICEVQNLKSLDNFACVVELSVDDNPDLQRISNFPKLRKLDIMFCPKMEVLENVPELRILTLKDYSIETLPGYLQQVSMRNLFVDCSFELLSSIAMGDTGPEWNKISHIQQVKANSDDGYDETMWYVSYTRDPYSFETNIIPSSNPSGIFFFLGFCSFSFFHIFSSC >ORUFI11G14260.1 pep chromosome:OR_W1943:11:16555388:16556299:-1 gene:ORUFI11G14260 transcript:ORUFI11G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQLLCELLLPSSIAIAAAAASASNARRRSEMKKRQLRASDGVAISADEATTRGFGPQRDGLSQLPHLQLLQIRHAPAIKCVGSEFLRFDHHGNLSLTAAAFPRLQELDFCGMVEWEDWEWEEQVQAMPTLEVLLL >ORUFI11G14270.1 pep chromosome:OR_W1943:11:16558826:16565176:1 gene:ORUFI11G14270 transcript:ORUFI11G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVMPTRRLVVTPCDRVPDLWSGTPQQLNHAAAQNALTSPALMAAAPPALRRLLLLFSLLLLASVAAAAEGEEEEEFTEELLLRPLPDRKALAHFHFRSSTPPSAAAGRHHHVFPKAIAQLVQQFHISELELSFTQGRWNYEQWGGYDPMSTNYAKPPGVELWAAFDLPLDEIDATWKNLTHTLSGLFCASINFLESFTAFSAPRWGFKLNEGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLTSSQSFGIIIDQTLTVVLQPDTFRGQQLHSTDGQLQPSWSMKDLFNRKLSGKCRVSKSSRVFLEIEKDIVDKSGTEASWTNELFVLSTAPDRVLKELNNMDAQSSSLYEYDVSNYNNDKPLDVGITWKLPLIWSCTPAPYHANRFLMGSGNERGSIALSFRSTNLHKQLFGSSNDCSIKAVVFQVVPWYVKVYYHSLQIFIDGNSKAISEVVDKIHVTPSEDKHLPGTLEMLLRLPCSMESATLSLDFDKGFLHIDEYPPDANQGFDIPSALVTFPEFNSNRSYLETDTLFVSPLLQTFKEDGVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEERELKKPAAKCGLIPLLIAKLRGKKVDPPPQGSSPTSLLSTKLLLKVVFVAVVAVSFHYLSNS >ORUFI11G14280.1 pep chromosome:OR_W1943:11:16570706:16576110:1 gene:ORUFI11G14280 transcript:ORUFI11G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKPARAVPEWLNSPLWSAPPPSSSSPSPPVPPDPYGADLSPPPPPPPKPPPTVPPPSYEQAVGSSRRARSEAGWEEEEEEDGEVGGGAALRAHLLADFKLALSKKVVNMGELRRLACLGVPDGGAAVRPLVWKLLLGYLPTERAFWPHELEKKRSQYSAYKDEFLLNPSEKIRRFEESKLLRKKELNSDKIGLLPRAKVTNEEHPLSFGKSSLWNQYFQESEILEQIDRDVKRTHPEMPFFSAKANQESLRRVLIIFSKLNPTIRYVQGMNEVLAPLFYVFKNDPDTSNSASAEADTFFCFVELLSGFKDNYCKHLDNSQVGIRSTLSKLSQLLKRHDEELWRHMEITTKVYPQYYAFRWITLLLTMEFSFNVCIHIWDAILGDPEGPPDTLLRICCAMLILVRRRLLAGDFTANIQLLQHYPPTNIDHLLHIANRLRGSVAS >ORUFI11G14290.1 pep chromosome:OR_W1943:11:16578112:16581093:-1 gene:ORUFI11G14290 transcript:ORUFI11G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTGQDENERLKEVKEKIIAKCNGSPLAIIMLAGRLLVNRNRSANEWEMLLEYAAEPTDHGDIDSIFHLCYAVLSFPLKQCFLYHSLIPKDHVISCDKVVQMWIAEGFVGADATSELPEVLGMKYYKELVARHLLEPVDEYDGQGHYKMDNLVHTFAKNVVESESLVVEEGEENPEPFDVEEGHIRRSWAAKEKIEWKAPQELHSLRTLIIIGNVIVQASTGRTLSSLSRLRTLHVNKNEHVHILLDSLHHMKHLRYLDLSYTDALALPNDIGEMKFLQYICLQGCKKLVKLPKSIVELHKLRYLNISETKIKSIPEEGFGGLKNMVSLHGFPSQMMESVIAKDWCSLGELKYMSQLMHLALEGLENCASGLMASLAKIDDKKNLASLRLSCTSRLSVNGEVDKETVEEGFFGARLPRWLIFTNLAELRVLKLDNLVCCNQLPSTLWQLPCLEYLYIKHTLNVKHIGHEFLLQSSIPGPRETDVAATTPTAATSATAATAATTTTTTIGGGSTHNRGPYHRLSGAGSVGSGEEGEIVAESATEDAATTTGSSNAIGFPKLKKLVMYGMMKWKEWEWEDQVEAMPKLENMHISWCLLNQLPPGLASQARSLRILVVDNVKNLISIDGFCSVVQLHVSSNFKLERISDLPKMESLTVSRCPKLNILQHAEDDKTDKRFVFYKRDSDSTETNIEPSPSTSQVGGN >ORUFI11G14300.1 pep chromosome:OR_W1943:11:16591375:16592063:-1 gene:ORUFI11G14300 transcript:ORUFI11G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFSIEAFMQLGVSDEINWLKGTVSSIKKTLADADYSALKDDTVRSWSRKLKDVMYEAADILDVCDEMEGEEHGGRSRNSPVALCLPIQGHKIRRQVKALRQKADAILEEGKIFDLTHVRSDEDHNVDATTACHVPIPRTNVVGRVQETRMLVDKLTGKGISGPGMTGQNVEVIAIVGMGGIGKTTLAREIFTNDMIQGKFDKRLWITISKGYTRTWV >ORUFI11G14310.1 pep chromosome:OR_W1943:11:16625220:16628535:1 gene:ORUFI11G14310 transcript:ORUFI11G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVGASEATMKALLGKLGNLLAQEYALIRGVRGDIQYISDEMASIHAFLTNLSSSGNDDGHDAQTKDWMKQVRDIAYDMEDCVDDFAHRLRHDPRGDGCLVEVYRALYEIYTCRPRRDIAAKLAELKNRAQQVGERRLRYGVVLNPIPPQRGETRNGDQTTGENQVAGRRLITVKEPIGVARAIEKLEAWMKSQSHKNRGVLAIHGFGGGGKSTIAAALYRKHGQNFDCRAWVTMPQKFDDRAVLRSILSQVMLPATASGGGDRRRRGGSRHAKIETMSQEQLIKGLKNHLQNKSFE >ORUFI11G14320.1 pep chromosome:OR_W1943:11:16640378:16644544:1 gene:ORUFI11G14320 transcript:ORUFI11G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRGETRPTTTMGYIGGDATAVVAHASRGGGGNAVEALREPFGNSAGVALSKIVSIGIRMVRYYDTNRTIRQYLPDEEGSRVVVTTRFEAVAGECILDRQKDMLHHVDRLSDDDAKRLFQESVSESMTSSDKMLGQAHIDVSRKILDLCKGLPVAIVTIAGLVACKPQAYEKQCAEICVSLPPVSVDCHTPEGMTRILNYCYSSLPADLKTCSLYLSVFPKDSRISRKRLTRRWIAEGFISEEHGQSMKERAETNFNLLIRRNILKPVDHSSDAKVKTCQVHDMILEYIMSKSSEENFITVVGGHWLMRTPSNKVRRLSIHSSDVKHAKETMDRMNLSHVRSVTVFGSLNQLPFMSLKLGIVQVLDLEGCKGFKKQHVKDIFKMLLLKYLNLRGTDINSIPSKIGKLRYLETLDIRDTNVQKLPDAIVQLERLTSILGGNTMAQVTLKLPAEATKKPLRTLHILSGIEITGEPTSVNDFHGYTALRKLGIHKLQIQEGTPGFKALLSSIQYLGGSSLKNLLINDECSGFIDALDSLTSPPRYFHSLQLYGMFIKVPRWIAHLTELKNLTLSVTVLRTDTLELLQKLPRMFCLIFSSWTSSKDLDLVDILEKNKSDSEGQILVKHGGFDCLKLLRLDAPLLPLLVFSERAMGNLERLDMKFNTLEGVFGMDNLASLREVHMTAGEKAGEITKSIVRELEAEAVTGACLSLPNKNGFPIWGWCHGGESVILPLYLEFLRFL >ORUFI11G14330.1 pep chromosome:OR_W1943:11:16662977:16666162:-1 gene:ORUFI11G14330 transcript:ORUFI11G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSDMIHCSRPVETAAIAGVTKSGIDMAQGPVKSMGSYLWARVTHLVKCEAEVDKMKVKVDSLLRDKTDMETISEHANYECKVASEATKQWILDVEEIATQAKDLVVECKGKNPARHDLHDADATQKARKKIEVMNPIRRLQIGALAIKLLARAEELLKHRNDLFLLVPCRRPPNTLMLRNNVMEFGSRNEIVSQIINALKEDKVHIVGVYGPCGIGKSLLVAAILEKMKTQKEFDEVITVDLREKPGLEEIKNSFAKQLGMIYSAKLNAHRAAFLAEKLKEKKSILFLDNAWESLDLWKMGIPVEECKVIVTTQKIEVCKYMGAQVEISVDFLTEKESWELFKFKAVVPDISGTETVEGKIAKRCGGLPLALDVIGTVLCGKDKRYWECALSELESSYPLEKSEVLQKIYMPLESSYNHLEGDEKKSLFLLCSLFPGGHKISKNELTSYWTGEDIFNEFNTLEETRRKLHMRITDIEDSFLLLPINDTKCVMMHNIVRDVAVFIASRFCEQFAAPYEIAEDKINEKFKTCKRVSLINTSIEKLTAPVCEHLQLLLLRNNSSLHELPENFFQSMQQLAVLDMSNSSIHSLPLSTKDLAAVRTLCLNDSKVSRGIWLVSSLENLRVLSLAGCSIDSLPEQLGNLKKLRLLDLSSMESLEIPEGLISKLRYLEELYVDTSKVTAYLMIEIDDLTRLRCLQLFIKDVSVLSLNDQIFRIDFVRKLKSYIIYTELQWITLVKSHRKNLYLKGVTTIGDWVVDALLGETENLILDSCFEEESTVLHFTALSCISTFSVLKILRLTNCNGLTHLVWCNDQKQSVFHNLEELHITKCDSLRSVFHFQSTSKNLSAFPCLKIIRLINLQETVSIWSWEGNPPPQHICPNLKELNVQRCRKLDFVLVARVAAMLSNLERLTLKSNVALKEVVADDYRMEEIVAEHVEMEETVGNEIVSADTRYPAHPADVGDSLDPEAFPSLTHLSLVDLPGMEYFYKVGGEIMRFSWKSLVSLKLGGCHSLKGFPIHGASAPGLKNVELVHNGDKSWYQTLISQDASLAERFKTTQE >ORUFI11G14340.1 pep chromosome:OR_W1943:11:16673209:16677198:1 gene:ORUFI11G14340 transcript:ORUFI11G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEGTETSRRNDSVGQDIRAGFGFLWILRVILDRAALLIATLQDDPSYLEQDKSMRVPPSSMDHSIIHQLVTEAPIFKEVSGTSILIRRDENTTSMSPKRVELEMGLHPPSLRHAERATMSGLSGDGSMIDSSTSVVTNDLIASLCTVQGVLSSMETQVAGPIFCYQPILEQQDGNLLMIAMGIERNLDVLEYHQVKKDENTSIRIFNHLKHLLQSILPVLSTTFEEYLVSMNLKRATSVLQRPGGLTAPIMPNLDNIRIQLATASPSVEMQNQSGMEETIETELVEKGQDGIYLLSFLLSNEAEDFVIPIKNAYKNSRVKGHFDVRVWVNVSCKSTMIVPSKVSLSVPCCINELMNAHVMNSDNRLPPVHSDEFTNWIIQNLFSVSGGSRKAYDHTMKDLEKVIQCGLSGKKFLLVLHGVSEDQMAQWDHLFRSIKSGCKGSKIIVVTTSANVEKSVRNVNILQSDEDENNVSWRFFRNYAFDSFNIDVVSAKWFRRVESNYLPPNPRLMTHMSVTSRFLPTLRKLRWKIPELHLRTLIVFGPCKHTEPSSKEILDGILKGQKYLRALDLTGCEMQKLPELSDESQHHLRYLCLQDTGIATFENLDKFYNLLVLNIQGCQLISLPDRTSENLLGIRHIIGPSSVVSSIRHIGNLKNLQELQEFRAQKLYGYGVQELQYMSLTGSLSIANLENVTVATKADKVNLRSKTCLDSLKLEWNSTNETSQPLSAGILERLQPPESLNELEINGYPGIISPTWFTEDHLINVKKVTLRNCSFVSVIAPLAKFPSLEELILERFSMLERISESEESDRTSYFKYPFRLLGFPAETSCRFPRLVKLRIEDMPVLEEWTEQQPCFPCLEELTVRNCPKLAVLPPLHHARVNRIHIEGLPLISFDSPRMGSVVPFGAFLDVPNRCPNIVEARALQPSRVFILRHCPNLSTFTITADNYSSSHGFGPLLQLEITGTKCTPYTLFFIRRFIIFTSEIVTAHISRKLTLCSPLKSANYGHHHLLAADCKKLKSIQGAFAFVEKLYIEKCHSSLKLHNGNAMKSLHTLHIDSVATHMDPFLLGLRALRILIIKDSEELNSLDVLLESDHLPDTLEQLQLINCNSIKSLPWNMDRVLVLESLQLINCPNMQFLPCLPNNLNELRISGCPILKEKYGDYGPEWDNISHVPYVSFD >ORUFI11G14350.1 pep chromosome:OR_W1943:11:16678927:16684194:-1 gene:ORUFI11G14350 transcript:ORUFI11G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGHAEALLLRRRRGPARLWVAVLALLAGTLWLLSSSSSAGLGLGLARSSYGLQLDSQFKVLTLTSVLLLKDVDVNKLWRTADSNGWRASSAPRTYWPPPPIESESNGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWHDESGFLGIYDVLHFIKTLKYDVRIAMVIPEITTNGKTKKLKAHQIRPPRDAPVTWYTTVALEKMKKYGAIYLTPFSHRLAEDIDDPELQRLRCRVNYHALRFKPHIMKTSSEIVNKLRTEGHFMSIHLRFEMDMLAFAGCIDIFTPQEQKILIKYRKEHFAEKELIYRERKLIGKCPLTPEEVGLILRSMGFDNKTRIYLASGDLFGGKRFMKPFKAMFPRLENHSTVGPGKLEENTRGLAGSAVDYMVCLLSDIFIPTYDGPSNFANNLMGHRLYYGFRTTITPNRKALAPIFMDREEGRAARFEERVRQVMFNTYFGGPHKRVHPESFYTNSWPECFCQPNPRNRADKCPPDNIYEVLESQFQSVEDEEDIEEVKSTNQTDSTSQIEELVV >ORUFI11G14360.1 pep chromosome:OR_W1943:11:16705692:16708955:1 gene:ORUFI11G14360 transcript:ORUFI11G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRALHVSMLGGYNQTDERRRLADRLWPGSYYVNWNVGVGPAASFVQAITRLAVEAISEGWGPYDPVMKVQLMKPPPPEEAGEIQESFVMGLRQQLAAAVAEQLGLLNDDQEYRERKEAYEEGRYFSWGSMESYGTRPDYDNLRRRINATLSSNKYLLVVENLYRPMQPIDFVYYVGIPLPAYWAGSRWLISTVSQQVCDESKSARDDHVYGPFNDDDIMVLILSSLHQSAKDISKAVVGHDDDEEHWHRAALRCFHYALLHLLFPCEQDNNSNTTSHYAITSEELIRQWAAQGFLATTSRCKPRAVQAADIHIKGHHANDIYQVGHAILQAFSEYSLLKLPFSPASKASKATETAAHFLIYHHLVASQLSEHEIFHEEEEEVGLKNKRWIRMTSKQQGMENQAWHLSTQLLGKEESNDPTTLILRHFLHTSSLLNLIDNILPKLPCLRVLDLSYTQLESLPPTVWCLTSLILLSLRGCRAIKSLHSVSNSGGSHPENEKHRMMNNLLYLDLTLLSINIFPNDFFQGMTKLEELMLAGCSSLVELPCSISALSSLLTLEVTGTKLTSLPSSMFAGMQKLQSLKLIDNKLLNSIPMSILEAHGLKELHIQGWHSRMQEEIKLDGHPTLNSFSLINAPHIKRLSLQGCRKLECVDLRDLGTLEDLDLSATAIKELPANIPNLPQLRRLILMGFPNQSRFPWHKLQRFPNVFCLDHYAEGHDNHYDNQVARVYVKDSRLFYSFSESTKELVQEGEFLQSFYVQIAPSTVNIRKLEDEEDKLTSMLQELAHKRSPYGDVYHRCIALEFSVMHMARSAIHQTARHVHMSTIDKYPHGLKYLLEVAKSIYVINDSFVDCLTNLSNLDELEECKLHFCHRMKHVFETTYHMWRDLPNSWDSQHKSAWASRLKSAWASQLKSLIHFYIPAYTNSAIEAIGFTSLNHLHLEYCPRLTTLNILFC >ORUFI11G14370.1 pep chromosome:OR_W1943:11:16709028:16709303:1 gene:ORUFI11G14370 transcript:ORUFI11G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQELPLLKHLCTDMEGAPCAQEDLNQAVQVSGERAWWEKLIWDDDSSLTHRSYYNCKFPLPFASFNERATVTSYLR >ORUFI11G14380.1 pep chromosome:OR_W1943:11:16728315:16729981:-1 gene:ORUFI11G14380 transcript:ORUFI11G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVELPQQEVKLFSRWSFEDVQVNDISLADYLAVNPTKHATYLPHTAGRYSAKRFRKAQCPIVERLTNSLMMHGRNNGKKIMAVRIVKHAMEIIHLLTDANPIQVIVDAIINSGPREDATRIGSAGAVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >ORUFI11G14390.1 pep chromosome:OR_W1943:11:16737049:16751220:1 gene:ORUFI11G14390 transcript:ORUFI11G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPYSPPQQQQPRPPQPFAAAPAQNPTTTTTLPGAFSNLQIARGPAPPPGAPRGLAPQPAGFAARPSFPGSPPAAGPPFARAPVASSAHASPPFGGPPAAAAQPRAFGGPPGMVSQAPPPFGGPPAAAPPLQFNAPPGAMSHQPPPPQFGGPPGAMSQPAPPQFGAPGGMPQPAPPQFGAPRPAFSGPPAAAGLASSQAMPPSFGSQQQQPFGGAPQFGLPRPGAQPPFPAQSAPLSQQAPFMGPPRGSAPAFGAAPWQSQGAGSGAMQPPMRMPGMPPNTLGQGMPPTMPTMPYSPHAGTQVSTPSKIDPNQIPRPMAETSVIIFETRQGGQAAIPPAASSEFIVKDTGNCSPRLMRCTVNQIPCTGDLLTTSGMPLSLMVQPFSLPHPSEEPIQLVDFGDMGPIRCSRCKAYINPFMRFVDQGRRFICNLCGFSNDTPREYICNLGPDGRRRDADDRPELCRGTVEFVASKEFLVRDPMPAVYFFLIDVSMNAIQTGSTAAACSAISQAISDLPEGPRTMVGIATFDSAIHFYSLKRAQQQPLMLIVPDVQDVYTPLQKDLILPVSECRENLEQLLESIPSMFENNRVADSAFGAAMKAGFLAMKSTGGKLLVFQSVLPSLGVGSLSAREAEGRANVSTGDKEPHKLLQPVDKTLKTMALEFAEYQVCVDVFLTTQSYVDIASISVVPNTTGGRVYYYYPFSARSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYFGNFCKRVPTDIDLPSIDSDKTIMVTFKHDDKLQENSECGFQCALLYTTVYGQRRIRVMNLSLPCTNMLSNLFRYADLETQFTCFLKQAANGIPTSTLLHLREEVTNTCINILQSYRKYCASVSSSGQLILPEALKLLPLYTLALIKSIGLRNEGRLDDRSYWISLVSSVSVLLAVPLVFPRLIPIHDLTSRGDDESLIPSPLMLNSENIREDGVYLLENGEDGLIYVGNVVEPTILEQIFGVSSLAALPSQAVLEQFDNELSRKVNEVINEIRRQRCSYLRLRLCRRGEPSGDFFRSFLIEDKAPGGLSYVEFLVHVHRQIQSKMT >ORUFI11G14400.1 pep chromosome:OR_W1943:11:16749486:16750327:-1 gene:ORUFI11G14400 transcript:ORUFI11G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLTPSSLTMPARGRMQVAIEHLLTELVLPLPKETRILHLVLAAKARSGMVVGLCLLGANGMRAMLVVVGPSSTRLCSTRLGMRGEAVLLQRLPSSNSSVPLQLGTISTISMQICARDSHVSDCA >ORUFI11G14400.2 pep chromosome:OR_W1943:11:16749486:16750327:-1 gene:ORUFI11G14400 transcript:ORUFI11G14400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLTPSSLTMPARGRMQVVWCLLLLWLVATPHLLLQAIEHLLTELVLPLPKETRILHLVLAAKARSGMVVGLCLLGANGMRAMLVVVGPSSTRLCSTRLGMRGEAVLLQRLPSSNSSVPLQLGTISTISMQICARDSHVSDCA >ORUFI11G14410.1 pep chromosome:OR_W1943:11:16755400:16758464:1 gene:ORUFI11G14410 transcript:ORUFI11G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPSLAVSAAAAVFPSAADPRRPPPPSVAIVDKNSSYGRSLQAADNGGGGGMEAPLRPLDVQEAMTMLTEGKAVQSAMYVPLLHRCVETGSLGAARAVHGHMAKTGAGADMFVATSLVNAYMRCGAARDARRLFDGMPERNVVTWTALVTGYTLNSQPALGLEVFVEMLEMGRYPSHYTLGATLNACLASCDVDLGKQVHGYAIKYGAESITSMGNSLCSLYAKLGSLDSALRAFWRIPEKNVITWTTMISACAEDEECVELGLSLFIDMLMDGVMPNEFTLTSVMSLCGTRLDLNLGKQVQAFSFKIGCETNLPVKNSTMYLYLRKGETDEAMRLFEQMEDASIITWNAMISGYAQIMDSAKDDLQARSRGFQALTIFRDLKRSVMKPDLFTFSSILSVCSAMMALEQGEQIHAQTIKSGFLSDVVVNSALVNMYNKCGCIQDANKAFLEMPTRTFVTWTSMISGYSQHGQPQEAIQLFKEMRLAGVRPNEITFVSLLSACSYAGLVEEAEHYFDMMKKEYCIEPVVDHYGCMIDMFVRLGRVEDAFSFIKRTGFEPNEAIWSSLVAGCRSHGNMELAFYAADKLLELKPKGIETYILLLNMYISTERWQDVARVRKLMKQEDVGILRDRSWITIKDKVYFFRANDRTHPQATELYQLLENLLEKAKAIGYEPYQNAELSDSEDDEKPAAGSLKHHSERLAVALGLLQTPPGATVRVTKNITMCRDCHSSIKLFSLLENREIIVRDSKRLHKFKDGRCSCGDFGALL >ORUFI11G14420.1 pep chromosome:OR_W1943:11:16760684:16765500:-1 gene:ORUFI11G14420 transcript:ORUFI11G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSASASATASRFAAFWAADALAGDDALDFAVTKAMVGVSPDSVRAAPEAVRERVALRCLQEVVSLASSDGERGATASAIAAPGEGMLGVEDASRTCEDLLLQLIREVGISGSLEKDMLPPFRQDIQKFICIKRPTLPETSFELLRKVYPEITPVVSPSPVEQNGNDQHDNISHDLVNTEKTGFTTDGAQLQQDDLANLVDERNTENLQKDAMATSDFQQPCTSDNRCFDQRQEDSINTVGVNIRSPEDSPTNVNRHMSVAAEPSLASSADLLGSNTGRMSEQDTIDHTTIVQSQSCGVRYPNKHHSNNGDMPLVASIQSPKDSIHEGSTMQTTVSPAVDRSNDALPASEMTHLPEFIAVEDKIMTSEPHFSKTHPNSGQHDTGDKANQDVGCGSTGIQTAAALPSEGFNGDVQGDKSEIKDPAGNTTQHTETFEQENSDKAHLEVGCSDKVNQALYDDGNIIKNNMVFGGLNKQTALESHGCSMTLHNRNSEANHFSEQNIGRNRTEVQNDCCSIPTSPNDVNDKRAKQASNKETMANTVAETLHVHSSDGSFSGFAAGGLLSMAEKLPFCTQDQYANGTVEGLSEQELCIKCGKDGQLLKCSGCFLAVHDTCFGSSVTFDDSGQFYCPVCFYTKATEAYQKAKKTYSEARKNLSAFLGRKQLAEQYQQAAVRQRAANSEDHFNGCNNAIKRQGNHQSEGNNLSHRDEEPARQRKKQKTNARDACTQEVVTKKAPTVHNSDVVSMKKNSVLQNNRKQAQVAEQEQPEENAEASGESGNTNSSHKKAHSSQNKCSPAASQNIDADKEDVLASSQQSEDSDEIEATSSSDPSKQPSPHWRKLRHRKARYQDNNTAIPINSKKTLGHHDQHMASPSRKRNYAYPPKRYSNPVGPAGRRTKLCWTEQEEATLREAMAKFTPSDNGPIPWVQILDYGRDVFHRTRLASDLRVKWRNMKKKAGS >ORUFI11G14430.1 pep chromosome:OR_W1943:11:16781666:16782337:-1 gene:ORUFI11G14430 transcript:ORUFI11G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIQINSSHLYPLLSTAIPFSLSPSHSLPSSPAFLPSLLPPLPFLPSYCGGPVRAEGAGGGCRRRGSAAARAEGAVSGAGRRASVTEPTSNDNDDYCATTTTTAGHVAAPLHDPSSPKSGGGSAGRAGGGGGSAGRFGGSSARLGDKGRRRRGGRWRRLGEARRAGGSCSATRDGADDEVRRHIPSLVWSSPPSLPLPLRSARRRDGWRPVAVVAWPSPLEQ >ORUFI11G14440.1 pep chromosome:OR_W1943:11:16796364:16798034:1 gene:ORUFI11G14440 transcript:ORUFI11G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFVAAWSCLLVVVMVSSMRLSRSASSSCGSSCGHGGHGGWGPRSYPVIGCLVAFYRNRRRLLDWYTEMLAASPSQTIVVDRLGARRTVVTANPANVEHILRARFANYPKGKPFTDVLGDLLGMGIFNVDGELWHAQRKLVSHEFSARALRDLEVTVLEAEARDRLVPALAAASRGGGVVDMQDVLRRFAFDVICRVSLGVDPGCLDPALPARRLAAAFDTAAGIIARRGAAPVAAVWKVKRALDAGSERRLREEVGVIHEAVMGLIRSRRKERALLLVNGNGVGGGGGARSDLLSRMIECGYPDEAIRDMVISFIMAGRDTTSSALTWFFWLLMRHRGVEAQVLDEIAATRRARGAGEEGGDDGEGEGLDLDDYRRMRVLHAALCETMRLYPPVAWDSKHAAADDVLPDGTAVGRGDRVTYFQYGMGRMEAIWGADAADFSLDRWLSLPRGGAAAASPAAAAFAGVSPFKYPVFQGGPRTCLGKEMAFVQMKFVASAVLRRFELRPVDEGRTPAFVPLMTAHMAGGLNVTVRRRTPAPATSTAANGTEGELTSS >ORUFI11G14450.1 pep chromosome:OR_W1943:11:16802017:16802319:-1 gene:ORUFI11G14450 transcript:ORUFI11G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFFLPNPPPLPPPPPLPSPAALAPSTSPSRLPPVVDLAAVVTAAAAGGSGRIRAAAGTSSRSKQMVAHGREKKKRRRRRRRSGGEDEAKGRPAVVVAE >ORUFI11G14460.1 pep chromosome:OR_W1943:11:16802444:16802650:-1 gene:ORUFI11G14460 transcript:ORUFI11G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARHGFRKGKATAVEEEEVNGFFVEEEVGAVSNASSIGVASSDSSTGELVIGEGGAFSSLQATFQRK >ORUFI11G14470.1 pep chromosome:OR_W1943:11:16802883:16803182:-1 gene:ORUFI11G14470 transcript:ORUFI11G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAASERMAAVAMTVMRAWQCRSEGRCTASTPPPTKKLTTTTAGMPNPHPHQRADVDGRDAQRGRGEVVEVEDPAALVDEAAAAASSRSGGWRTRRCL >ORUFI11G14480.1 pep chromosome:OR_W1943:11:16821327:16827517:1 gene:ORUFI11G14480 transcript:ORUFI11G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPEKKRRLLELNNGFRVRATKLIRWPKLGNIWGWIFYRRKETPTLEGTNREKKTEENQRSGARKGKERRCLSMAAEGSSRGGGGGRKASWLGMSSEGGAAKFLSGLPSRGNFSSISASSTLGRLRVYVCEHDTDPPEGQVIETDTTNILIRHLQLKKKEMDAKEAKEARDAGSRNQGETVKWKSCSQKFGWPKFI >ORUFI11G14490.1 pep chromosome:OR_W1943:11:16839422:16843825:1 gene:ORUFI11G14490 transcript:ORUFI11G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAAAAEAHHHNLHGCLAVRAPVPRCSLGGGGGGGAAAMGGSSDEASCGSPRWIGKSLSCVCIKRKGAYERICMNLTPVQEERLQRLRHRMKVYFDPSRRDHQEALKALWHATYPDQELQGLISEQWKDMGWQGRDPSTDFRGAGFISLENLLFFAKTFSASFQRLLKKQCGNRATWEYPFAVAGVNITFMIMQMLDLQSKDEWAFDLLYCVAFVVMDKQWLDKNASYMDFNEILKSTRTQLERELLLDDVMRIEDMPSYSLLC >ORUFI11G14500.1 pep chromosome:OR_W1943:11:16843972:16845408:-1 gene:ORUFI11G14500 transcript:ORUFI11G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVGARGGIRRRPNLSLLADRCATPRALAAVHAAMLVSGRLADDAFAASRLLAAHAALSPPGAVLRLLASLPCAPNSFMLNITLRALASSPDPASALRFFSLLRRGSGGGGGSYSPGRHTFTFLLKASARLPLRASEQLHALAVRHGLERDAYVANGLVRAYSLAGLVPLARRVFDGLPERSAVVCTTMVSGYAQNGMHEDAMRSFEEMVGDGIEPHGAALASVLSSCARSGSRGLEMGRRVHELMESRRVTAPVVGAILGTALVDMYAKTGAMEEATAVFDRMPERQTATWNALITGLAHHGHGEVALATFHRMRRDGVPPNGATLVGVLSAYGCTGRLDEARRVFASMEKDFAVAPTIQHYGCMVDLLGRSGLLTEAEEMIRGMTTCDADTVIWGALLNACKNHGDIDVAERAVQEMLKLDPGNHGVYVVLSNMYAEAGRWQDVDRLRKVMKRARLSKIPGSSTVAGDDS >ORUFI11G14510.1 pep chromosome:OR_W1943:11:16848673:16855613:-1 gene:ORUFI11G14510 transcript:ORUFI11G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCGGGRGEGAAATESEAYLEGEAVREARELVAELCRHFYGQGWVTGTGGSITVKANDPALPLADQLIVMSPSGVQKERMVAEDMYVLSADGKVLSSPVSKPWPNKPPKCTDCAPLFMKAYLMRGAGAVIHSHGMETCIATMLDPGAKEFRMTHMEMIKGIKGHGYRDELVVPIIENTPYEYELTDSLAEAIAAYPKATAVLVRNHGIYVWGDSWINAKTQAECYHYLFDAAIKLYQLGIDWTTPEHGPINSAKRPRSVLSSSIPNGCPDSKSSKHCVVLDIEGTTTPISFVTDVMFPYARDNVRKHLTSTYSSDETKEDIKLLRIQVEEDLKNGIVGSVPIPPDDADKEEVINALVANVESMIKADRKITSLKQLQGHIWRTGFESKELQGVVFDDVPEALKHWHASGMKVYIYSSGSREAQRLLFGNTAYGDLRQYLCGFFDTTTGNKRETRSYFEISQSLGVDSPAQILFITDVFQEAVAAKSAGFEVIISIRPGNAPLPENHGFRTIKSFSEI >ORUFI11G14520.1 pep chromosome:OR_W1943:11:16857442:16864407:1 gene:ORUFI11G14520 transcript:ORUFI11G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPVSSVKHITEMALKIKHAVGTDCLQIRRRVMRVSEILSLLQETENILSNPAISAALEDLADTNFSLYSYLFAPTFFASVTAGRMGKSGSGSDGIGMRWEQRRSWRRVVGAVALGGGGERRPWQRAVGAAVASATTGNMARGGPRRRERQRRRLEVGAAVTASARGGSRGGRGGVRQRISGELIPNHSQPQRESIELGQTNVNGPGRGPKTFPSSFGLPPRTHQGPPRLPPPPPLRGKPLLVGANFSRHFAPRAASLLTPPPPPPPTLAATPPHLTPPRFHSPEPSRTFSRSPAEMDDSENNAPSTPGSPGFSTDRLPPNTTTSRGATDPSSYSDDDDDDVVGAEEAEVDPNVLPEDDGVVAAEEEEDGEDLFNDNYLDDYRRMDEQDQYESVGLDDSIEDERNLDEIMADRRAAEAELDARDVRTGAAPDRKLPRMLHDQDTDEDMSFRRPKRHRANFRPPREPRTPRSDDDGDGATPSSPGRSQRGMYSGGDVPMTDQTDDDPYEDEFDEEDEMNMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNEQGEFEYVRLINEMVLANKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVAKNVVFDLHKNYRNIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKFDCSKCGTVLGPFFQNSYTEVKVGSCPECQSKGPFTINVEQTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVAKKQDLFSAYKLTDEDKAEIEKLAKDPRIGERIVKSIAPSIYGHEDIKTAIALAMFGGQEKNVKGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFTQNVELTDPIISRFDVLCVVKDIVDPFTDEMLARFVVDSHARSQPKGANLEDRVPTDVEDDPLAAARQADPDILSQDMLKKYITYAKLNVFPKIHDADLDKISHVYAELRRESSHGQGVPIAVRHIESIIRMSEAHARMHLRSYVSQEDVDMAIRVLLDSFISTQKFGVQKALQKNFRKYMTYKKDYNELLLLLLRTLVKDVLHFEEIVSGPTTRLTHIEVKVEDLKNKAQEYEIYDLRPFFSSAHFRDNNFVLDEGRGIIRHPLAA >ORUFI11G14530.1 pep chromosome:OR_W1943:11:16865498:16872909:1 gene:ORUFI11G14530 transcript:ORUFI11G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLSNGVSQNGPQRNYQVVVAATRDMGIGKDGVLPWKLLGDLKFFKELTVTTADPVKKNAVIMGRKTWESLPLKARPLPGRLNIILTRSGSFEFATVENVVICGSMNSALELLSSTPYCLSIEKVFVIGGGQVLRESLNGPSCEAVHLTDIQSSIECDTFIPPIDLSVFQPWYSSLPVVESNIRHSFVTYVRVRKTMAETHDSNGKESANDGIKSDKFETENFSFLPKLIFDRHEEYHYLNLVEDIIRSGAQKNDRTGTGTLSKFGCQMRFNLRNSFPLLTTKKVFWRGVVEELLWFISGLTSAKVLQEKGIHIWDGNASREYLDSVGLAHREEGDLGPVYGFQWRHFGAEYTDMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKKMALPPCHMFAQFYVESGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAHVCGLSPGEFVHVIGDAHVYRTHVRALEEQIQKLPKPFPILKINPLKKDIDSFVASDFKLVGYDPHQKIEMKMAI >ORUFI11G14530.2 pep chromosome:OR_W1943:11:16865498:16872909:1 gene:ORUFI11G14530 transcript:ORUFI11G14530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLSNGVSQNGPQRNYQVVVAATRDMGIGKDGVLPWKLLGDLKFFKELTVTTADPVKKNAVIMGRKTWESLPLKARPLPGRLNIILTRSGSFEFATVENVVICGSMNSALELLSSTPYCLSIEKVFVIGGGQVLRESLNGPSCEAVHLTDIQSSIECDTFIPPIDLSVFQPWYSSLPVVESNIRHSFVTYVRVRKTMAETHDSNGKESANDGIKSDKFETENFSFLPKLIFDRHEEYHYLNLVEDIIRSGAQKNDRTGTGTLSKFGCQMRFNLRNSFPLLTTKKVFWRGVVEELLWFISGLTSAKVLQEKGIHIWDGNASREYLDSVGLAHREEGDLGPVYGFQWRHFGAEYTDMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKKMALPPCHMFAQFYVESGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAHVCGLSPGEFVHVIGDAHVYRTHVRALEEQIQKLPKPFPILKINPLKKDIDSFVASDFKLVGG >ORUFI11G14530.3 pep chromosome:OR_W1943:11:16865498:16869140:1 gene:ORUFI11G14530 transcript:ORUFI11G14530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLSNGVSQNGPQRNYQVVVAATRDMGIGKDGVLPWKLLGDLKFFKELTVTTADPVKKNAVIMGRKTWESLPLKARPLPGRLNIILTRSGSFEFATVENVVICGSMNSALELLSSTPYCLSIEKVFVIGGGQVLRESLNGPSCEAVHLTDIQSSIECDTFIPPIDLSVFQPWYSSLPVVESNIRHSFVTYVRVRKTMAETHDSNGKESANDGIKSDKFETENFSFLPKLIFDRHEEYHYLNLVEDIIRSGAQKNDRTGTGTLSKFGCQMRFNLRNSFPLLTTK >ORUFI11G14540.1 pep chromosome:OR_W1943:11:16875865:16877391:1 gene:ORUFI11G14540 transcript:ORUFI11G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAPPAASSSAAGSAPPPRIGLAGLATMGQNLALNIAEKGFPISVYNRTAAKVDATVSRAEAEGALPVLGHRDPRGFVLSLSRPRTVVLLVQAGRAVDATIDALVPYLDAGDAIVDGGNEWYQNTERRIEEAAARGILYLGMGVSGGEEGARNGPSLMPGGHVDAYNNIRDILEKAAAQTEDGACVTFVGPGGAGNFVKMVHNGIEYGDMQLIAEAYDVLRRVGGLSNSEIANVFAEWNQGELESFLVEITADIFTVADPLDGSGGGGLVDKILDKTGMKGTGKWTVQQAAELAIAAPTIAASLDGRYLSGLKEERVAAAGVLEAEGMPSGLLETINVDKKMLVDRVRQALYASKICSYAQGMNLLRAKSVEKGWNLNLAELARIWKGGCIIRAKFLDRIKKAYDRNPELANLIVDREFAREMVQRQNAWRWVVARAVEAGISTPGMSASLSYFDTYRCSRLPANLIQAQRDLFGAHTYERIDRPGSFHTEWTKLARKSNGAAI >ORUFI11G14550.1 pep chromosome:OR_W1943:11:16884706:16886972:1 gene:ORUFI11G14550 transcript:ORUFI11G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMIKLYSRGHGLEPRSGTDATKGGIFHHGDWAMSCILPAAEPYPGASDVDREFVALGG >ORUFI11G14550.2 pep chromosome:OR_W1943:11:16884706:16886972:1 gene:ORUFI11G14550 transcript:ORUFI11G14550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMIKLYSRGHGLEPRSGTDATKGGIFHHGDWAMSCILPAAEPYPGASDVDREFVALGG >ORUFI11G14560.1 pep chromosome:OR_W1943:11:16887484:16890619:-1 gene:ORUFI11G14560 transcript:ORUFI11G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPEVEQYVCMDKAVYVAAYCHRPLLPGSYCGSKLVDRSRYRTDSKDTWMRTTKEVKHIRVDNPVTALELT >ORUFI11G14570.1 pep chromosome:OR_W1943:11:16903914:16904285:-1 gene:ORUFI11G14570 transcript:ORUFI11G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARLLDAASGDELSGEGSAPSRRGCAPARRHSAETTLGGICEWQHWHEETEADSWRGTELRNGGKAALDDGRHLSELRKRERGGGSDNAKREGFCLGAHRWRRPRGKDVAAMTSQLEVMA >ORUFI11G14580.1 pep chromosome:OR_W1943:11:16905417:16906606:-1 gene:ORUFI11G14580 transcript:ORUFI11G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLIASSSSSAAVCFVVLLIIAMAMASAADKCEKDLDLLMGSCEGYLRFPAEAKAAPSRACCGAVRRVDVGCLCGMVTPEVEQYVCMDKAVYVAAYCHRPLLPGSYCGSYHVPGPVV >ORUFI11G14590.1 pep chromosome:OR_W1943:11:16912274:16921816:-1 gene:ORUFI11G14590 transcript:ORUFI11G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMDPFLAGLLLPDRQISIGNSLNSRNKRMSGFEISPYAEYPSSPAPSLERGDGTGGCFQMAGDNAGHGELPLTLLRKNSSRKQPSSTSISANSSAPMEDWEEARGGHTLHCWSPIPPPTKQRHSFRGGGRHGNPNSSPSPAAYPAGSAEASNHSINAIHSRPLAVLEGSCTPGWSSCCGGGGHGCDAASSTTAADHLLLHYHHHTDWAMMDRLVASHTSTATPTLLTTRSASTPPPPPPMPQQPTASLTTPPLPRGCSAVPSAATTTCGASHGRLHRCCRHRWPRQSTSATSVAHHGRRTQRDGVKWKMRRSGMDPIS >ORUFI11G14600.1 pep chromosome:OR_W1943:11:16933549:16942133:1 gene:ORUFI11G14600 transcript:ORUFI11G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREPAAAAEAEDVVARLGTDEATGLTEEEAARRLKLYGPNLVVAHHPQVGGKLLATLKCIVLLWGWDHSFTEYIKYEIGWESWEHLIFPCSMEMLCVMFINFSSWAALAAALVSLALNSAGQTTYELVVIVSLLVGSLCACCVAKLLANRAKAPLEAKAFARRTKVLRDGIWKHEDATNLVPGDIIYLNLMLVAFNIVLQVGGKLLATLKCIVLLWGWDHSFTEYIKYEIGWESWEHLIFPCSREMLCVMFINFSSWAALAGALVALALKSDGPTTYELVVIVSLLVGSLCACCVAKFLANRAKAPLEAKAFARRTKVLRDGIWKHEDATNLVPGDIIYLKCGDIIGWESWEHLIFPCSMEMLCVMFINFSSWAALAAALVSLALNSAGQTTYELVVIVSLLIGWESWEHLIFPCSREMLCVMFINSSSWAALAAALVSLALNSAGQTTYELVVIVSLLCGDIVPANAFVLNMAQIDTKTIRHERSVNYVMGSLIYYGWAVSCGEGTAVVTVTGNNIPMSTLKQLYPKRFSRPGQLRKGVMAAATFCFCLVLVGITSEALVKFFFHQSIGTLHSGHFMPLIEYNRYVISNLRTYFGRRSAMSRVGINVIEHRSRMFVAMTLMYMTTYIDENGSKCSVLKGDPALMLRDCSCSEEVREHIRKRIDKLGLDGYQCIAVGRIVNSRLDIIILLPFIDDLRSDSAEAVDNLTDMGLSVIVLTESPMTITKHVCGRLGKLGLNVLHADSMRELVSSKNELFLNINGISDLFVGLXYNRYVISNLRTYFGRRSAMVGYEFSDVDSIRESDIGIAVADATDSTKSESDIVLTEHALLSVSSAVQASREICQIMKGCMFAVRLILLLWRLELPCFPMLVIAACNYCTSTAMLFERVKPSQSPDSLKANKIIATGAAFGSYIALSTVHIIKARLLVGHDEEIKSALFLQMSIVNHAIGLFAHSRDGHCSGPIVTISSVLSQLVATVIAVYGDVNSPLPKGIGWGWAGFIWLYNLVLLLSLMLICDLCNLAKFNIFGITCRRLFTGWTEWMERCRRLLNRGKTWMEMLTLTVISGLILVWSIYAYHVMKVPQQ >ORUFI11G14600.2 pep chromosome:OR_W1943:11:16933549:16942133:1 gene:ORUFI11G14600 transcript:ORUFI11G14600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSREPAAAAEAEDVVARLGTDEATGLTEEEAARRLKLYGPNLVVAHHPQVGGKLLATLKCIVLLWGWDHSFTEYIKYEIGWESWEHLIFPCSMEMLCVMFINFSSWAALAAALVSLALNSAGQTTYELVVIVSLLVGSLCACCVAKLLANRAKAPLEAKAFARRTKVLRDGIWKHEDATNLVPGDIIYLNLMLVAFNIVLQVGGKLLATLKCIVLLWGWDHSFTEYIKYEIGWESWEHLIFPCSREMLCVMFINFSSWAALAGALVALALKSDGPTTYELVVIVSLLVGSLCACCVAKFLANRAKAPLEAKAFARRTKVLRDGIWKHEDATNLVPGDIIYLKCGDIIGWESWEHLIFPCSMEMLCVMFINFSSWAALAAALVSLALNSAGQTTYELVVIVSLLIGWESWEHLIFPCSREMLCVMFINSSSWAALAAALVSLALNSAGQTTYELVVIVSLLCGDIVPANAFVLNMAQIDTKTIRHERSVNYVMGSLIYYGWAVSCGEGTAVVTVTGNNIPMSTLKQLYPKRFSRPGQLRKGVMAAATFCFCLVLVGITSEALVKFFFHQSIGTLHSGHFMPLIEYNRYVISNLRTYFGRRSAMSRVGINVIEHRSRMFVAMTLMYMTTYIDENGSKCSVLKGDPALMLRDCSCSEEVREHIRKRIDKLGLDGYQCIAVGRIVNSRLDIIILLPFIDDLRSDSAEAVDNLTDMGLSVIVLTESPMTITKHVCGRLGKLGLNVLHADSMRELVSSKNELFLNINGISDLFVGLXYNRYVISNLRTYFGRRSAMVGYEFSDVDSIRESDIGIAVADATDSTKSESDIVLTEHALLSVSSAVQASREICQIMKGCMFAVRLILLLWRLELPCFPMLVIAACNYCTSTAMLFERVKPSQSPDSLKANKIIATGAAFGSYIALSTVVATVIAVYGDVNSPLPKGIGWGWAGFIWLYNLVLLLSLMLICDLCNLAKFNIFGITCRRLFTGWTEWMERCRRLLNRGKTWMEMLTLTVISGLILVWSIYAYHVMKVPQQ >ORUFI11G14610.1 pep chromosome:OR_W1943:11:16944300:16950686:-1 gene:ORUFI11G14610 transcript:ORUFI11G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRSRHQGCRHRPSKEMWFSPRENSPREKGILASALKGVTTPKSVAAASPMNHQARLSPGNLSTLLEVCRSSTQEPPHKQISTRPPPHRAGAPPPADHHTCCIELPPPWELAQTSSVPPPAGLLASFGRRRTRNWTASRWRTQLLRLSPIISFWITTPELTMMELINFSDEDLHKFTKGFSEKRLLGKPGAFGQVYKGRNKENNYANCPRKVATKISKRKDEYVRIMWKQEINALSSISHANVINLVGFADTEEYYALVYERAKQDLEGFRASNKGELDAILLGVASGLEAIHSAGFVHWDIQLRNILLMKFVIQPLKYVVCNSLVKVYDLASLMQMIKSAQEKFDVFCFGNLIRELVLLERKEWSPTKCPRILLADTCIVNNPDDRPSMATLVSKLKQIQEEESAKVPCLAMVNWLLKLEKCACIYNNIELENVDTIEEYDVVLYAQHTTRV >ORUFI11G14610.2 pep chromosome:OR_W1943:11:16944300:16961003:-1 gene:ORUFI11G14610 transcript:ORUFI11G14610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGATVEAAIGWLVESILGSFFTEQMEAWIRGVELTEGVKKLEFEMRNVEMVLATAEGRRIDKKPLIQSLDVLRELLYDAEDVMDELDYYRLQQQIEKGCSAAAANYPEASYASSSTPFSPYQLLRSARSQITVWASYCRKRKRGEGDTTHCTMLPLEIRFDISKRINGIVNDLQKAGNSVRGILLPGVSHPALTSNQRQSKIRSTRLTTSVPIELTVYGRDADRDRIIEILLNEEFSDLRVLPIVGIGGIGKTTLTRFIYRDRRIIDHFDLRIWICVSTYFNEVDITREILEHIFKDKQKFKDVSNFNVLQEILLKNIRDKRFLLVLDDMWEDKDMSGWDKLLAPLKHSQVTGCMVLATTRKNSVAEMIGTVNAFQISGLDEKEFWQFFKACAFGKENYEGDPSLQSIGRQIAKALKGCPLAARSVGALLNRNVSYEHWRTIRDKWKSLQIKDDDFIPILKLSYDYLPSHLQRCFSYCSLFPEDHRFSAATLVQVWISQNFVQCEDIGKGLEETGLQYLDSLVDFGFFQKVDRHYVMHDLMHDLAQQVSAKECYTVRGLQSSTIRQGIRHLSIITTGDDNDKNTNFPTEKYEEILQKIRPLQKLRSLMLFGSSSVYLLKSIQTVCKEAKCLRLLRVCVLNADISAIHTFLNPHHLRYLEFIRVLETKDMLVYGDYKDDAFPRALTSFYHLQVLNVRFSGNIAVPAAMNNLDPTPPELTMMELINFSDEDLHKFTKGFSEKRLLGKPGAFGQVYKGRNKENNYANCPRKVATKISKRKDEYVRIMWKQEINALSSISHANVINLVGFADTEEYYALVYERAKQDLEGFRASNKGELDAILLGVASGLEAIHSAGFVHWDIQLRNILLMKSAQEKFDVFCFGNLIRELVLLERKEWSPTKCPRILLADTCIVNNPDDRPSMATLVSKLKVPCLAMVNWLLKLEKCACIYNNIELENVDTIEEYDVVLYAQHTTRV >ORUFI11G14610.3 pep chromosome:OR_W1943:11:16944300:16950686:-1 gene:ORUFI11G14610 transcript:ORUFI11G14610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRSRHQGCRHRPSKEMWFSPRENSPREKGILASALKGVTTPKSVAAASPMNHQARLSPGNLSTLLEVCRSSTQEPPHKQISTRPPPHRAGAPPPADHHTCCIELPPPWELAQTSSVPPPAGLLASFGRRRTRNWTASRWRTQLLRLSPIISFWITTPELTMMELINFSDEDLHKFTKGFSEKRLLGKPGAFGQVYKGRNKENNYANCPRKVATKISKRKDEYVRIMWKQEINALSSISHANVINLVGFADTEEYYALVYERAKQDLEGFRASNKGELDAILLGVASGLEAIHSAGFVHWDIQLRNILLMKFVIQPLKYVVCNSLVKVYDLASLMQMIKSAQEKFDVFCFGNLIRELVLLERKEWSPTKCPRILLADTCIVNNPDDRPSMATLVSKLKVPCLAMVNWLLKLEKCACIYNNIELENVDTIEEYDVVLYAQHTTRV >ORUFI11G14610.4 pep chromosome:OR_W1943:11:16950692:16961003:-1 gene:ORUFI11G14610 transcript:ORUFI11G14610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGATVEAAIGWLVESILGSFFTEQMEAWIRGVELTEGVKKLEFEMRNVEMVLATAEGRRIDKKPLIQSLDVLRELLYDAEDVMDELDYYRLQQQIEKGCSAAAANYPEASYASSSTPFSPYQLLRSARSQITVWASYCRKRKRGEGDTTHCTMLPLEIRFDISKRINGIVNDLQKAGNSVRGILLPGVSHPALTSNQRQSKIRSTRLTTSVPIELTVYGRDADRDRIIEILLNEEFSDLRVLPIVGIGGIGKTTLTRFIYRDRRIIDHFDLRIWICVSTYFNEVDITREILEHIFKDKQKFKDVSNFNVLQEILLKNIRDKRFLLVLDDMWEDKDMSGWDKLLAPLKHSQVTGCMVLATTRKNSVAEMIGTVNAFQISGLDEKEFWQFFKACAFGKENYEGDPSLQSIGRQIAKALKGCPLAARSVGALLNRNVSYEHWRTIRDKWKSLQIKDDDFIPILKLSYDYLPSHLQRCFSYCSLFPEDHRFSAATLVQVWISQNFVQCEDIGKGLEETGLQYLDSLVDFGFFQKVDRHYVMHDLMHDLAQQVSAKECYTVRGLQSSTIRQGIRHLSIITTGDDNDKNTNFPTEKYEEILQKIRPLQKLRSLMLFGSSSVYLLKSIQTVCKEAKCLRLLRVCVLNADISAIHTFLNPHHLRYLEFIRVLETKDMLVYGDYKDDAFPRALTSFYHLQVLNVRFSGNIAVPAAMNNLVKLRHLIADTKVHYSIGGVGNMISLQELNFKVQNISGFDIRQLQSMNKLVTLGISHLENVKTKDEANGARLIDKEYLKALFLSWSVGSISLEPERTKDVLEGLQPHHNLKALRIAGYTGPTSPTWLSSNLSVTSLQTIHLVNCGEWRILGSLEMLPMLRELKLVKMWNLVELSIPSLEKLILVELPKLEKCFGTYGRELTSHLRVLNIKDCPQLNEFTPFQSLSSFRTEQKSWFPSLNKLTIGCCPHISKWEILPLREMQSLKELELVHLHAVKELLVPPLEKLMLIKMASLEYCSGLTSPSLQISTSLGDLNESLSGLHDLTIHDCPRLVVSHHLPFSAQMWRFFISGIPTLPTMEFTYDLKIKSEELVMLDDKIISFHNFARIRSFCLVDCPNLVSLSTEGLNQCTVLEKLHIKNCPNLIIPSSFVVPSLQFISIQACGISGHCLTEMLLHVHSLHRLELHDIPQLKFVSFSRQAAEKEGMSSLEATAARPLSRDDEQLLEIPSNIIHSLRWLDISNCPELEFVAGEGVLLGYTSLERLRIQRCPKLMPLLVMSDKVDVALLPPSLENLEIDMSPELSAAWDLKLQEHGQIIPLQPHPSLEELDISNLTDKDQSRLLQLFPTITALYIWQSPELTSLQLGHSKALRELEIIDCGSLASIEGFGSLTNLRSLAVSDSPGVPAFLELLSHQQLASAEILSRLETLQVGDGSVLTVPLCRRLASLRRLSFWSWGSRRGETMIDLTEEQEGALQLLASLHRLDFWHLPNLRSLPAGLRRLASLEWLDVEDCPGVVRLPEMGLPPSLTRLHVRRCSEELSMQCKMAARENLDVHIDDLPVDYRLSRSEVHRNAQPKPHANHTSTTHSEKNLAPRRPPLCVADRRQANDNTTKTRL >ORUFI11G14620.1 pep chromosome:OR_W1943:11:16971365:16972983:-1 gene:ORUFI11G14620 transcript:ORUFI11G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGFHLHMEHQAIHGGEFKDGICTSIPNTPLPSTSRPNSMVIKKVCRREFIPPHIVAEAISTLRGLDLRWSGPITPGERRYVEQYVLAKYPQYSHGLIGDDASAADSDVVVEHRRLQTASPPTSSSAARGAPAAAAGGDVAAVRLEPSRLLDMLARKASFPGSFVSIPEIQARNRVLRRCGLADDDYLVLFAPTPRDALVLVGESYPFFRGNYYMSILAGGDAGGGGDCVRAFAAYKDAKVIAAPESWLDLRIKGSQLSQYFRRKCKHAPKGLFAYPVVVSGAGGDAGSAAARYSLHWVSEAHRNGWHVLLDATGLAAGDRLPLSLHRPDFVTCALDDARAQPPSTATATVTCLLVRRRSFDVTSKGDN >ORUFI11G14630.1 pep chromosome:OR_W1943:11:16980326:16981104:1 gene:ORUFI11G14630 transcript:ORUFI11G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSTPGRRVGIRRPSGGAVTAAGGGRAHHAHLAAAATRPEAPSASSPNDRGTRSAACIARDDLAEAARLVDRSRGEAPDAAVAAAVKTNAGEVRESKHQAHTAAPVVRGRSVGVRRGRPLRRANHGEALAGAAALSAAFIGSGEAGASASGVAVLCCALAAALPRLLRLLGREGRRTGRSPGRRRRRGGGRSTP >ORUFI11G14640.1 pep chromosome:OR_W1943:11:16983410:16985664:1 gene:ORUFI11G14640 transcript:ORUFI11G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSSGGVGVGGGGGSKVMSPKQVLTVVLVVFCALSFVKLLLLTGSSSPAAAARRGRASAWGNGTDVGDGGLAPKEAALLRSVVAARAPCRLLVFGLSPQLAALAAVNAGEGAATAFVTDSAEDADSARRSLRGGSAASAASAAKIHQVRYRDAAGEAWPLLRRARDSPACRRPTGAVRRSGCHLALITTLPREVLDARWDVLVVDGPSGAAAGEPGRMGAIYTAAALARASAAGGREAVDVAVHDVHRTVERWYAWEYLCEDNLAAAKGRLWHFRVAGGGPPDAFCSTGPAQILISTAPGASSSRISSTKFYNILLNTTPMCLVLHDSLFTGAKRLILINEKRQTRQLDLVSAPNFLEDKNLESLSAQIIINLLATENLESLENCHIGDSWLEDQKGGRFEN >ORUFI11G14650.1 pep chromosome:OR_W1943:11:16986708:16992373:1 gene:ORUFI11G14650 transcript:ORUFI11G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDTEPAAAAAAEPTAFRRAEKQYKLYKPLKPKGGRARSKPGGGGGGGGLEDLSAVVDFHALLAAAAGGGGELPAGIGRRDVAGFDLFCFLDRPGFYFIPGALSIEEQCYWIRESLKTFPQPPNRTNLTALYGPIFDLLTAAKSGKILVEVGNPNDQERSEQNSDGRKSNSFKFLEDTEIHKGEVCRSTAATTLVRKLRWSTLGLQFDWSKRNYDVSLPHNKIPDLLSALAKKMAIPAMPSGEEFKPEAAIVNYYGPSDMLGGHVDDMEADWSKPIVSISLGCKCIFLLGGKTRDEVPTAMFLRSGDIVLMAGEARECFHGVPRIFTGSDQAEISALVPQLSAEDDSFILNYIQNSRININIRQVY >ORUFI11G14660.1 pep chromosome:OR_W1943:11:16990681:16992592:-1 gene:ORUFI11G14660 transcript:ORUFI11G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASTTSMMTRPQLLHLFSRFSFLTSLPEVKARIADAEAVAVTTEIQEEILREMGIDPSFGIGCLGKVNVMYEDDMELMVKFYQFVAKEEMAIDEAELDPIEFAEKIHAQHKLQEQQLKMLIQMRKYNPESQSVILETLRKQLESANFDTDASILTPEQIQEIVEN >ORUFI11G14660.2 pep chromosome:OR_W1943:11:16990681:16992384:-1 gene:ORUFI11G14660 transcript:ORUFI11G14660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDPSFGIGCLGKVNVMYEDDMELMVKFYQFVAKEEMAIDEAELDPIEFAEKIHAQHKLQEQQLKMLIQMRKYNPESQSVILETLRKQLESANFDTDASILTPEQIQEIVEN >ORUFI11G14660.3 pep chromosome:OR_W1943:11:16990681:16992229:-1 gene:ORUFI11G14660 transcript:ORUFI11G14660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFMNQSSLGVRLEQMSLARYEAVAVTTEIQEEILREMGIDPSFGIGCLGKVNVMYEDDMELMVKFYQFVAKEEMAIDEAELDPIEFAEKIHAQHKLQEQQLKMLIQMRKYNPESQSVILETLRKQLESANFDTDASILTPEQIQEIVEN >ORUFI11G14660.4 pep chromosome:OR_W1943:11:16990681:16992384:-1 gene:ORUFI11G14660 transcript:ORUFI11G14660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDPSFGIGCLGKVNVMYEDDMELMVKFYQFVAKELMSWWITITATEDLRKQLESANFDTDASILTPEQIQEIVEN >ORUFI11G14670.1 pep chromosome:OR_W1943:11:17009390:17011648:1 gene:ORUFI11G14670 transcript:ORUFI11G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRRRRGVHPAAATAPPCSAMASGAASPRSPPAAKKRAAVVAGDGDDSDVFDRLPDDIVLVVLSRLAANAASPADVASAALTCRRFRELATHPAVLSRASAAAVAVRWGAWSEAAHRFLLRCAAAGSLHACYFLGMVRFYCLGSRATGAALLGRAAGGGHAPALYALAVVQFNGSGGGKADKDARAGVALCARAAWLGHTPALRELGHCLQDGYGARRDAPAGRRLLLHAAAREHLSWKKHNHGHHDGSAAEDAVSRFMVAWWDSHRAKAAARGCLPGEHGDGEHDGGEDLRLCSHARCGRRETRRHEFRRCSVCGAASYCSRACQALDWKRAHRAQCAAARWLAAAAAADGVAH >ORUFI11G14680.1 pep chromosome:OR_W1943:11:17014324:17017824:-1 gene:ORUFI11G14680 transcript:ORUFI11G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEVLSTIFLLLIFFTTTINPSSSQLPWLFSLLYLSLAMAVVALPPLLAKRHGHARRVNGGGAAIPGPRGWPLLGSLPVVSGPLMHRRLAALADAHGGGARRLMSLTLGATPVVVSSHPDTAREILAGAAFRDRPARAAARELMFLRAVGFAPASGDDGGAYWRRLRRAAGAGMLSPRRAAALAALRARVARRTSEAVSRGMAVPPGRVAMRALLHAASLDNMVGSVLGLEHHDHHGGVISDMGDMVREGYELVGKFNLGDYYSTTQYQCLWGLLDFHGVGPRCQRLAARVREQFGRVMEERRKVSDLHKRDDLLSYMLSMPQEERIEDSDVIAVLWEMIFRGTDVVAILLEWAMARMVLHPDIQSKVQEELDRAVGHRPMTDSDIPNLRFLHCVIKETLRMHPPGPLLSWARLAVHDTYVGKHLVPAGTTAMVNMWAISHDETIWGDPWVFRPERFMEEDINVLGSDLRLAPFGSGRRVCPGRMMGLSTAYLWFGRMLQEYKWAAAQPVKLTECLRLSMEMKKPLNRSSFSMS >ORUFI11G14690.1 pep chromosome:OR_W1943:11:17020710:17021328:-1 gene:ORUFI11G14690 transcript:ORUFI11G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSEGRSETSSFDKKKKKKKKKKKVRYTQEQILYCIGNPEELPERRDTPKLTEALGAELLAKLPPDLVAHLRAMDDAKEEGKARRKALIEELRHEREVIYNIRDKPEDVLKQYYAKGYAEYEVIIDDDDYEDGDQGSRAAAH >ORUFI11G14700.1 pep chromosome:OR_W1943:11:17027027:17027411:-1 gene:ORUFI11G14700 transcript:ORUFI11G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLPQLESDIRLNPILMIAFKRSRSLRMTDMQISHSGLGRLDRRCVAGLTGRVRARVCFVGSRVFLAWEGMFRLSFGFYPELDVEEGLWMTRSTPI >ORUFI11G14710.1 pep chromosome:OR_W1943:11:17033092:17040531:1 gene:ORUFI11G14710 transcript:ORUFI11G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQAGGRLLATLKCILLLLGWDHSFTEYIKYEIGWESWEHLIFPWSREMVCVMFINSSSWVAMAAALVYLAINSAGQTTYELAVIVSLLAGSLCACFVAKVLANRAKAPLEAKAFVRRTKVLRDGIWKHEDAANLVPGDIIYLKCGDIVPANACVLNMAQIDTKTIRHERHVSYVMGSLIYYGWAVSCGEGTAVVTATGNCIPTSTLKLYPRRFSRPGQLRKGVMATGTFCFCLVLVGITSEDKIEVLTDGIDKDHAVLLAARASKAHNELYKEPIDAAILGLMDDPEQVRVGINVIEHRSRMFVAMTLMYMTTYIDGNGSKCSVLKGDPALMLRDCSCSNEVKEHIRKRIDTLGLDGHQCIAVGRIVNSRKPNDNYKARMWKTWKTGLKCVTCRLYAGVVADATDSTKSESDIVLTEHALLCVSSAVQTSREICQIMKGCMVYAVSSTVHAFTVRLILLLWRFELPCFPMLVIAACNYCTSTAMLFERAKSSQSPDSLKAKKIIVTGAAFGSYVALSTVVFFIFTTRTDFISVATVIAVYGVANSPLPKGIGWGWAGFIWLYNFVLLLSLMLICDLCNLAKFNISGITCRRLFTSWIQWMEKCRRVLNRGKMWMVMLIFPAISGLILVWSICAYHAMKVQQQ >ORUFI11G14720.1 pep chromosome:OR_W1943:11:17055024:17055911:1 gene:ORUFI11G14720 transcript:ORUFI11G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPGRLLAAGAAALLVAASVMVATLLTAPLPFLPSLMPCLPAVTAPSGSGYSPPGLAALADAAVRYATTPTVPQQSRAEISLSLAVLRRRAPLRLLVFGLGHDSPLWHALNPGGATVFLEEDPSWYSVVRGQSPFLRGHLVAYRTRLDHADRLLATYKDHPSCLPGGGGNGGGDVPRVRGNAECPLALHNLPAEVYEKEWDMVMIDAPKGYFASAPGRMAAVWTAAAMARGRRGEGDTDVFLHDVDRRVEKAYAEEFLCERFRVGARPPPASRRGTGTAAAGGAGAGDGRRPFC >ORUFI11G14730.1 pep chromosome:OR_W1943:11:17063280:17066468:1 gene:ORUFI11G14730 transcript:ORUFI11G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHNTTDENILLAFKAGLSNQSDVLSSWKKSTDFCQWPGVLCSLKHKHRVTVLNLSSESLAGTISPSIGNLTFLKILDLSGNNLDGEIPSSIGRLARLQFLDLSNNSLHGDITSDLKNCTSLQGISLKSNYLTGEIPAWLGALPSLKLIYLQKNSFTGSIPTSLANLSSLQEIYLTMNQLEGTIPEGFGRLSGLKNIHLGVNHLSGMIPTSIFNISSLSCFGVPMNQLHGLLPSDLGIHLPKLQYLLLGYNHFTGSLPASIANSTEIYSLDISFNNFSGSIPPEIGTLCPDFLSFDTNQLIATTAEDWKFMTFLTNCTRLRILDLQDNMLGGVLPTSVSNLSAQLQLLYVGFNKISGNIPFGISNLVGLNQLQLANNQFTGTLPDNIGRLSFLHLLGIENNLLTGFIPSSVGNLTQLLRLSMDNNMLEGPLPTSIGNLQKITLALFARNKFTGPLPREIFNLSSLSYALVLSGNYFVGPLPPEVGSLTNLAYLYISSNNLSGPLPNELSNCQSLIDLRLDQNLFSGNIPETLSKLRGLTSLTLTKNTLSGVIPQELGLMDGMKELYLAHNNLSGHIPVSIGNMTSLNRLDLSFNHLDGEVPSKGVLSNMTGFVFNGNLGLCGGIPELGLPPCPPVSMGHSLRKSHLVFRVVIPIVGTILFLSLMLAIFVLRKKPKAQSKKTIGFQLIDDKYPRVSYAELVQGTNGFATDSLMGRGRYGSVYKCGLLLKSMMTTVAVKVFDLQQSGSSKSFLAECEALSKIRHRNLINVITCCSSTDIKQNDFKAIVFEFMPNGSLDRWLHLDVTASQPPQGLTLIQRLNIAVDIADALDYLHNNCDPPIVHCDLKPSNILLDEDLVAHVGDFGLAKILADSEGEQPINSKSSIGIRGTIGYVAPEYGEGGQVSPCGDAYSFGIVILELFTGMVPTHDMFRDGLTLQKHVKNVFPGILMKIVDPILLSIEGVYTSNLPPGRNAMEHMNHAILSIMKIALSCSRQAPTERMRIRDAAADLRRVRDSHVRGNEEHLELCSDVTNLASA >ORUFI11G14740.1 pep chromosome:OR_W1943:11:17070991:17071716:1 gene:ORUFI11G14740 transcript:ORUFI11G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKVHPSPSPAAGAGEETAEAVLMRLLPAAVVAMAAGLGAEGKEVLAYLVLASMRSSSAARWGQAEVGKGGGGGGSGRVGHHAPELGCGCFGCYTAYWSRWDGSPERDRDAIHRAIEAFEDHLARKEEEEVVGGGKGASSRRRKKRSGKDKAKAKVPPAASAGQPPPPPPNQEETSAAAAAASPPKSILDGEEEEEEEMKNTAAAAVAGAGDGGVVEEERRRRGWGVLSWKVWNLWGSH >ORUFI11G14750.1 pep chromosome:OR_W1943:11:17110024:17118621:1 gene:ORUFI11G14750 transcript:ORUFI11G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKLRSNNDVFKEFNTGGGGACNCITGLASPDHDNDYFSGDDAAHASPPVTAGDLFTFGGSGLLTIGTLGIAAVAIPSGGGDDDDYDIDFEVDATSDDDGGFTVEDDDADVGGAVTPTFTFPAATAAEAVVATVEKAVAAVEAIAEKDDDTTTEDDLMVVSAELEKVLGGVDVASARVSFAMGGGVDCPLQGFLFGSPVSDVESRPEYLQAPRDSSGSCGGGGRRTSLGELFMRTRFADEKVALVAVAEGEDGVAGDDGAAAAGVGGDRAGKGGGYKTMKKRKLEHSRFNSDSHDLELNISNFIKRQKDYCSTILQIFHRKVYPENTLLTRNLTKKSRNRGTTDNGGGAVATGDPDEPLASPVLRCRKDHPMRGFGCCTNGAFGASSPGGNAEMNGSKSGHWIKTDADYLVLEL >ORUFI11G14760.1 pep chromosome:OR_W1943:11:17127376:17131712:1 gene:ORUFI11G14760 transcript:ORUFI11G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVGTAAAFSPAVTPSPARRRAVDSGRRFSRSCRSGRVSVSAAGYSQLEVRRVSYRPPGTEQNLLNEISLNLKEKSFGLLFGRSGSGKTTLLQLLAGLSEPTHGTICIQKYNDSGNPMGPPELLTAQRVGIVFQFPERFELPLSPLNTVLEEITFGWPRQNTDFLFREKLALKLQNAINSVGLNGISLEEDPQSLSGGFKRRLALAIQLVQTPDLLLLDEPLAGLDWKARADVVNLLKDLKKDHTILAVSHDLRELYPLVDRSWRMEMGGVLKEEALSV >ORUFI11G14760.2 pep chromosome:OR_W1943:11:17127376:17133655:1 gene:ORUFI11G14760 transcript:ORUFI11G14760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVGTAAAFSPAVTPSPARRRAVDSGRRFSRSCRSGRVSVSAAGYSQLEVRRVSYRPPGTEQNLLNEISLNLKEKSFGLLFGRSGSGKTTLLQLLAGLSEPTHGTICIQKYNDSGNPMGPPELLTAQRVGIVFQFPERFELPLSPLNTVLEEITFGWPRQNTDFLFREKLALKLQNAINSVGLNGISLEEDPQSLSGGFKRRLALAIQLVQTPDLLLLDEPLAGLDWKARADVVNLLKDLKKDHTILAVSHDLRELYPLVDRSWRMEMGGVLKEEALSEGSRKLG >ORUFI11G14760.3 pep chromosome:OR_W1943:11:17127376:17133655:1 gene:ORUFI11G14760 transcript:ORUFI11G14760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVGTAAAFSPAVTPSPARRRAVDSGRRFSRSCRSGRVSVSAAGYSQLEVRRVSYRPPGTEQNLLNEISLNLKEKSFGLLFGRSGSGKTTLLQLLAGLSEPTHGTICIQKYNDSGNPMGPPELLTAQRVGIVFQFPERYFLADTVLEEITFGWPRQNTDFLFREKLALKLQNAINSVGLNGISLEEDPQSLSGGFKRRLALAIQLVQTPDLLLLDEPLAGLDWKARADVVNLLKDLKKDHTILAVSHDLRELYPLVDRSWRMEMGGVLKEEALSEGSRKLG >ORUFI11G14760.4 pep chromosome:OR_W1943:11:17127376:17130939:1 gene:ORUFI11G14760 transcript:ORUFI11G14760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVGTAAAFSPAVTPSPARRRAVDSGRRFSRSCRSGRVSVSAAGYSQLEVRRVSYRPPGTEQNLLNEISLNLKEKSFGLLFGRSGSGKTTLLQLLAGLSEPTHGTICIQKYNDSGNPMGPPELLTAQRVGIVFQFPERFELPLSPLNTVLEEITFGWPRQNTDFLFREKLALKLQNAINSVGLNGISLEEDPQSLSGGFKRRLALAIQLVQTPDLLLLDEPLAGLDWKARADVVNLLKDLKKDHTILAVSHDLRELYPLVDRSWRMEMGGVLKEEALSV >ORUFI11G14760.5 pep chromosome:OR_W1943:11:17127376:17130939:1 gene:ORUFI11G14760 transcript:ORUFI11G14760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVGTAAAFSPAVTPSPARRRAVDSGRRFSRSCRSGRVSVSAAGYSQLEVRRVSYRPPGTEQNLLNEISLNLKEKSFGLLFGRSGSGKTTLLQLLAGLSEPTHGTICIQKYNDSGNPMGPPELLTAQRVGIVFQFPERYFLADTVLEEITFGWPRQNTDFLFREKLALKLQNAINSVGLNGISLEEDPQSLSGGFKRRLALAIQLVQTPDLLLLDEPLAGLDWKARADVVNLLKDLKKDHTILAVSHDLRELYPLVDRSWRMEMGGVLKEEALSV >ORUFI11G14770.1 pep chromosome:OR_W1943:11:17156077:17162269:1 gene:ORUFI11G14770 transcript:ORUFI11G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPILFATQPTSSSTSSSYHFMSSSSGSHDHRHHHGLQAGGNGGGGGGSLSHGLFMGSSSSSIRMEELSNSQQADDAVVDGGATRSPHGGDGDGAAGDDGGDAQAAAAAGGGGRKKGEKKERRPRFAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLSRDETVVVTTYEGTHTHPIEKSNDNFEHILTQMHIYSGLTPSSAAHASSSSPLFPSAAAAASHMFQ >ORUFI11G14770.2 pep chromosome:OR_W1943:11:17156077:17162270:1 gene:ORUFI11G14770 transcript:ORUFI11G14770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPILFATQPTSSSTSSSYHFMSSSSGSHDHRHHHGLQAGGNGGGGGGSLSHGLFMGSSSSSIRMEELSNSQQADDAVVDGGATRSPHGGDGDGAAGDDGGDAQAAAAAGGGGRKKGEKKERRPRFAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLSRDETVVVTTYEGTHTHPIEKSNDNFEHILTQMHIYSGLTPSSAAHASSSSPLFPSAAAAASHMFQ >ORUFI11G14780.1 pep chromosome:OR_W1943:11:17170774:17173854:1 gene:ORUFI11G14780 transcript:ORUFI11G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILCASHIGINTTRSRFWSGWLSQNGCPRMCYHIPVNRRKLRKQNRRQRVIALSKSSALQDPVSSVKPSRLLQTDELRIFHNSVPEEIISTVRLEESDAFYMLELSTSREFSSSLLDKNAAILICIIDANGDSLLQRVPASYCNHSAQGREAEKLLPFQSGSVDVVTFRGSKLQRITEIWVGLESGSWRIDNLSLKVIHGQLNTPPDLEETPELKFNGLQYTFDKLSMLLGEDGASVVEARPVAVTDLTGISLSDLQEGQLSSASTASSILEMKEDGLKEYADLKQSLLLYDLAIVITGFSAFTLASNDSAALSFLVGGIGGFLYLLLLQKSVDGLPALNSPSEAGSAQPSVKGFSGIRRPWLILSLLMVAGAVALKYGAGGDKLEMTPVELFVGTAGFLANKVAILLAAFKPLQSNLKAEDRSGD >ORUFI11G14790.1 pep chromosome:OR_W1943:11:17174884:17175598:1 gene:ORUFI11G14790 transcript:ORUFI11G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYIVITMSIVVAVQLAAVFSMASATPAPSNATATTSHGWNTTAPPPPFGANHTVGEGAGWFFDGNANASVANYSAWAANRTFYLGDYLSFSTNTDNTVVHTTNATVYKLCGDGGAAAAAGCSGGGWKTEEAFLTVMLTAEGANYFFSDAGGGEHCRKGMRFELAVARGRGLPPVPASYYEPLSAAPPAAGCSSSMVVALAAGVAIAAILVL >ORUFI11G14800.1 pep chromosome:OR_W1943:11:17176036:17191724:-1 gene:ORUFI11G14800 transcript:ORUFI11G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFATLAIRKALDKLSSILPARSLLASSSSAAAMVRQGQEQDLEDLRMLERTMHRIHATLKDAEQHWDIRDESAKLRLKELKELAYDAEDVVDEYEYEVNRCKAEALQLSASVASHKRKWQQENDGARRFAPNIGTLPKTSSVIYAPKIIGREQDKDNIVEKLLSMTSDSVATPVSILAIVGMGGLGKTTLAQLVYNDSRIQGFFDMHAWVCVSEQFDPTYITKSIISSLKKDNSDLSEVCSPHETLAKRIKQKMVLLVLDDVWNERRDCWDLLCEPMNTAKLCMIIVTTRSERVAKLVQTMPNFYSLNCLSSEESWSLFKQVAFTVDNGNTPNLQEIGMSIVKKCKGLPLAIKTLASMLRYETCEQRWKDVIESELWDLEQPRNEVLPSLELSYKNMPIYLKRCFVAISLYPKDYIFDRNQVLQLWKVLDLLQSDRHNNETEIGNRYLDELVERSFLLFLLTKVDGHYYKKYLMHDLIHDLACFLSADEFFRLDDSSTSIEIPQNARYISIQNLASSEISITPLALRAIIVLPRAEVNINNSEALFSNCEKLRALVLGEGCLDQNLPALMGRLKLLRHLKLVTSFFSHSDPCWDHVDGLRGIGHLNNMLTLPPIHLRNDRIIELRSLNKLRELRIGGLGLHLTIDGAKDARLQSKRHLQLLSLDFGDCCPDHNQQLLESLRPHRNLRELIVMNYEGLKYPYWLGDASFSNLTQIELGYSHIQYLPTLGDLPSLVSLHIHDMMILLESLRPHRNLRELIIMNYEGLKYPCWLGDASFSNLTQIELGYSHIIQYLPTLGDLPSLVHFHGVKGFPSLTQLRFNWMHKWSEWSGIVDGGFPRLRTLSICHAHSLRLDNIESFPFRSLITLELDKCCCITTIPASTSLRTLRIDRRSFDLRRSSTDGPRLNRLPSLECLTVICHDTTSILLQPQHLPSLKKLNLSCEKLQYCDGLSGLTSLSVLKPWGCPKLPIHSLIPQLQLQTLDESSGLPMGLYNHSFKLAQANPRAADPWDFKHGGNGEVPLRRPVQLATAHGTNAHNLFGEMSSQGEVSQEDLKISEAVPINSPMNKEEKMMDEALDQILEKLEQMKTKRRCNEKIDRILEKLDEIEANRRKSFEETTNIIKATTSIFNSASSSTPPTSPTPVLAKCSWACSNSASAYTTTSASHNIDVSLNHGIGTTTVTPTKCLVNCFDNDTGVNHAILEESFASTTAAATMETVVSEDKACSIFINTTDLTKVMHSRCMTISLKDNIGTIQAEVAFPFPFHTLNMIAAPKEPMLVMAEKLDSIFCIKLVMPNGDKRLLAGLSKRKPWPLPWSDGVVRGGNVWHVPWSAFDSVWTMTRGCWRGFPRENLGHFLGQVVWLEEGMCGMFHGLHLIPFGQVSCTVVEISRMHELALLVLLTQDPSDDKKDDLLPASKNPFTSYMMAQYFEVIESRLISDISHLDGNNVQDTWDCKGILVMKMVPANGECKGSSQNVFIPTIKSVLEGDKQFCIYKPNISTYLLCHVAMPTILGRLKTRGTIVKLVVKYEHCEEGILSWSGILSLLITRKGNREEKHRKGQDGDQPERLGDRRRRRLGSGLDPRRVSIPSSTSHFPSF >ORUFI11G14810.1 pep chromosome:OR_W1943:11:17201183:17201927:1 gene:ORUFI11G14810 transcript:ORUFI11G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDCRHRGCCLLLLLGSSLPRFRRRSIGKLAATLRDSEVVKGSTLRLDAAAETSDHARALQLLAAPIWLLLLIQSGCCCLPLGLPLLQLDARGLLLLFLANLLRSRRYAVGKPAMLADVVADEPQCNHPPMLPLEEEFPCRGAERPPAVEESSNAAPPRATQPGRCVQQGWESRSREPAHVVAACVVDLEVAVVRCRWRELVEEHEPPRVVGGVEVQPGGTGGCGSWMDG >ORUFI11G14820.1 pep chromosome:OR_W1943:11:17202405:17204083:1 gene:ORUFI11G14820 transcript:ORUFI11G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEPGQRENCYHLPFLLGNGRLLCGLSVESWKSWGAWLEVTEDAGRTWKKYGPILVKNETLGVIQPVPYQSTRGTIRVLLRSSQTIGWVCVADSTDGGVTWSYAHNNPDHGWAFLISESENFYGDNDY >ORUFI11G14830.1 pep chromosome:OR_W1943:11:17205461:17208281:-1 gene:ORUFI11G14830 transcript:ORUFI11G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYMKYFSISDQDGERRIVPDIQSTRDLGHMVAEQSIVGRESDKDTVIKMLMSGHGSNEASQLSVLAIVGMGGLGKTTLAQLVYNDPMVFQSFDVCVWVCVSEHFDPRSLTKKIIVSITKKNIESSELVDLQEMLAHEIRRKKCLLVLDDVWNERWDCWEILCKPLXIRLWGLLGILQGDEWSNEDEFGSQYYEELVHRSFIQISFGYGIMHDLIHDLACHLSGNEFFRLEGDKSVTIPQNARFMSIIDCHASVQFSVASHSLWAIIVLGDEYSKVTSAEQLFSNCKNLRVLCTSGRSVFSGSNLDDALPRYISAMKLLRHLEWKWDAKWKWDAPSGIYPLINLHTFPDIHICRCGRSFNLRELKNLNKIRGRLRIVGLGNLSHVQDANESQLMNKKHLQFLELDFSEVRCGHMPRKLDLNFTKKCSDMRRPKYVTVPHNQILESLRPHEGLGELTIFGYNCQSYPSWLGDASFSKLTEIIICGTDKVTQQCVPTLGELPFLKTLNIERMSHVEHIGREFCTCIPGKKGFPSLIKLVFGDMPQWSEWSGLDDGDFPCLYTLVIRDCNRISTFPWERFSSLHELRLFDCNAIGIIPAGGTIRIWHCPDLSMIGSLPSLTILNLWDPLLKDEVLYNLFNDHPLLNCISIICKTMTNLSLEPQRLSSLRKLRLSCANLQYFDGLSGLTFLEEIKIWGCPKLPIHSLLPRQLQSALDLRDAPASFIDDFDGDMWDFE >ORUFI11G14830.2 pep chromosome:OR_W1943:11:17205461:17205939:-1 gene:ORUFI11G14830 transcript:ORUFI11G14830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSLPSLTILNLWDPLLKDEVLYNLFNDHPLLNCISIICKTMTNLSLEPQRLSSLRKLRLSCANLQYFDGLSGLTFLEEIKIWGCPKLPIHSLLPRQLQSALDLRDAPASFIDDFDGDMWDFE >ORUFI11G14830.3 pep chromosome:OR_W1943:11:17206035:17208281:-1 gene:ORUFI11G14830 transcript:ORUFI11G14830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYMKYFSISDQDGERRIVPDIQSTRDLGHMVAEQSIVGRESDKDTVIKMLMSGHGSNEASQLSVLAIVGMGGLGKTTLAQLVYNDPMVFQSFDVCVWVCVSEHFDPRSLTKKIIVSITKKNIESSELVDLQEMLAHEIRRKKCLLVLDDVWNERWDCWEILCKPLXIRLWGLLGILQGDEWSNEDEFGSQYYEELVHRSFIQISFGYGIMHDLIHDLACHLSGNEFFRLEGDKSVTIPQNARFMSIIDCHASVQFSVASHSLWAIIVLGDEYSKVTSAEQLFSNCKNLRVLCTSGRSVFSGSNLDDALPRYISAMKLLRHLEWKWDAKWKWDAPSGIYPLINLHTFPDIHICRCGRSFNLRELKNLNKIRGRLRIVGLGNLSHVQDANESQLMNKKHLQFLELDFSEVRCGHMPRKLDLNFTKKCSDMRRPKYVTVPHNQILESLRPHEGLGELTIFGYNCQSYPSWLGDASFSKLTEIIICGTDKVTQQCVPTLGELPFLKTLNIERMSHVEHIGREFCTCIPGKKGFPSLIKLVFGDMPQWSEWSGLDDGDFPCLYTLVIRDCNRISTFPWERFSSLHELRLFDCNAIGIIPAGGTIRVLYISSCYGLHTIRTQPSLLNLHLIYCPRLGALGSMPKLDALVISRCPNLTSVGSLPELTNLHTYNYLPLLDNLSIWFNRLTDIHKFLHYII >ORUFI11G14840.1 pep chromosome:OR_W1943:11:17210287:17211277:1 gene:ORUFI11G14840 transcript:ORUFI11G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPFLLEDGRLLCGSSVESWKSWGAWLEVTEDAGRTWKKYGPILVKNETLGVIQPVPYQTTRGTIRVLLRSFQTIGWVCVADSTDGGVTWSYAHKTELPNPNSGIDGIKMKDGRLMLAYKTFSRGTLKVAISSNDGDSWSEVLTLEETEGIEFSYPAVIQTMDGLVHISYTYNRTQIKHVVLRPG >ORUFI11G14850.1 pep chromosome:OR_W1943:11:17218699:17218947:-1 gene:ORUFI11G14850 transcript:ORUFI11G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRQALVLVGAGETNAGSFVVLFQFQPGTGGLEDAGEDYAQDPRHACRRRGALEHQGGIYQAAAQGAQGACIWRRGCGGGV >ORUFI11G14860.1 pep chromosome:OR_W1943:11:17222912:17250700:-1 gene:ORUFI11G14860 transcript:ORUFI11G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASIAAKWTLDKLSSLLALEGPMPVAFSSSSSHSHGLEDLWMLERTMRRIHATLVDAEEHWNIREESSKLRLSELKELAYGAQDVVDEYEYEVNLRRLEARPERPEAGLIAVTNQLAVRAKKLVQRFEEMKVYYKHFSLSHNDGEHRIMPSIQSVRDSSYFVVEQSIIGRESDKETVIEMLMSVHSSNVPSHFTVLAIVGMGGLGKTTLAQLVYNDPTVCQSFDLYAWVFVSDHFDSTRLTKKIVVSITKDSNTLTELVDLQEKLADEIRGKRCLLVLDDVWNERRDCWETFCKPLLVAKQCKILVTTRNVAVARLVQTMPHFTMDHLSNLKSWELFERTITVQNNVIPENLVDIGKKIVRKCDRLPLAIKTLGSMLRYETDERRWIDVLESDLWDLDKAQNEVLPALELSYKNMPMHLKRCFVALCLFPKDYTLNKFDVVGLWKLLDIIHGDERRNQDETGSRYFDELVQRSFLQLFQGCGIMHDLIHDLACHLSGDEFFILEGNEGNRPVQIPQNTRFMSILECSTSVHVQFSVASHPLWAIIVLAREGYCKVRNPELLFTHCKNLRVLSLPCSNITEVLPRYISTLKLLRYLELTVDMDYLEEQENPPGGIDLLINLCTLPNIFIRSCGCSFNLRELRNLNKLKELHISGLGDLSHIEDANEVQLMSKTHLQLLALDFSCDEWECREEKCRSMLQQNIKVSHERLELDFTYEEMWHEKFHQFQDMLTPEGLTSPHKDVLESLRPHTGLRKLIIENYDCQSYPSWLGNASFSVLTEIELSGSGCERQHVPTLGELPLLKSLKIGSMSFVEHIGREFCSYVSGIKAYPSLTSLEMFLMPRCSEWSGVEDGDFACLKTLSVKWCFKLSYLPLERFPSLETVTLHDCDGINTIPAGRTFKKLCIEECRGLNTVPTQPSLLVLELKNCPKLSTVGFMPGLNTLEIMKCPNLNTVDSMPELKYLRACGELEYGMLASLLDDAPLLEFLYINSHTLTSIPLDRKRLPSLSRIELCFCDRLEYCEGLTGFTSLKSLALDTLSSFLPASLAASSLSSADNRARQEQDLEDMRMLERTMRRIHASLHDAEQNWDIHEESAKLWLKELQELAYDAECVVEEYEYEVNRCKVEALELSASTADHKRKRQQNLTSSLVIEKSILGREGDKNTIIEKLLPRVRDDDGVASPISVLAIVGMGGVGKTALAQLVYNDSRMRGSFDMHAWVCVSEQFDVINITKGIIQSLKRVEYGLPEHSLDILQEILVAEIKGKKVLLVLDDVWSERRDCWELLCLPMSTTELCNIVVTTLSERVARLVQTMPDFYNLNCLSPDDSWTLFKQEAFANQESGIPANLVEIGRRIAEKCKGLPLAIKTLGSILCFETNEKKWRDVLDSELWNLEQSHKEVLPALELSYKHMPIYLKHCFVSLSLYPKDSYINVWMVSRLWKSLDLLHCVGIDNWEEIGMSHSQCKKTIKISNASQSLRAIIMLGDIGIKNPESLFLNCKKFRIIDVNGDSFTKVLLDCMGDMKLLRHLKFPGYNNDVQLVISNSMSQLFNLKTLDFVAYNLHGIGRLINLQTFPKIHLCKCDCFFNMRELRNMNKIRKLCIDGLCNVSSIRDANEAHLHRKKDLEILELDFKASRNCEHTVTAGSNQAIISVSNDSLLESLRPHHRSLRELTLLNFSFKIYPSWLGSRSFSKLTELFLLHCQSKHLPTLGELPSLKSLEICQMENVERIGREFCTLDPRVKAFHSLSSLGFEDMHRFSEWCGVQDGDFSCLDNLFIGSAFELRSLPLVPFLFLREFTVCNCRNLVTIPGSATLQELTISECASLCELPALPSLQLLQLQNCPSLATVGLFPSLTVLRLHDPLKEEIIQRLVYSHMTLEELRIWSDTIKAIHLEPRSLPSLTKLDLRCPNLKSCDAFPGLTSLKILWTCCSPQLHIPDLLRSQLEELQIGSLYFTELVQRSLLQNYMHGHTFVMHDLVHDLACFLAGDEFFRLEGDKSTEIPLGTRYMSIVPHTKSIKISNSSESLRAVVTLGNIDIENPRALFLNCKKFRVIQVTKDSFAKVLLDCIGEMKLLRHLEFQGHSNAVGLIISNSVTKLFNLQTLDFIACRLHGIGRPVNLQALPVIHLCNCGCFFNIRELRNMNKIRKLRIDGLCNVSSIIDANEALLHCKKDLQELELNFKASINDAHTQSAGSNQAIIAVSVDLLLESLRPHHRSLRELTLQNFNCKIYPSWLGSTSFSKLIRLVLRLCQSKHLPTLGELPSLKYLDICQMENVERIGREFCTLDPRVKAFHSLSSLFFEDMYRFSKWSGVQEGDLSCLQTLFIGSAFELMSLPPVPFVSLRNFTLYNCRNVVTLPASTTLQELLISKCVNLSELPALPSLQSLKLLNCPSLATVSQFPSLTVLHVCDPFKEEILQRLVNSHMMLEDIHIESDTINSICLDPLKLPSLKNLDVRCPNLKSCNAFAGLTSLKILWIRCSPRLHIPDSLRSQLEXMYIFIFMYSFSLCITFGIYRATYLVSFNTTRSMKSTLSVLLPHSRDPGISLKTSIFLRRILEIAEHFRCVDPR >ORUFI11G14870.1 pep chromosome:OR_W1943:11:17252624:17253237:1 gene:ORUFI11G14870 transcript:ORUFI11G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGRVMLAYNTFSRGTLKVAVSSDDGDSWIEMSTSEDTQGIEFSYPAVIQTMDGLVHVSYTYNRTQIKIIQ >ORUFI11G14880.1 pep chromosome:OR_W1943:11:17256132:17258837:-1 gene:ORUFI11G14880 transcript:ORUFI11G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNDGERRIDPDIHSVRPTSYFVDKESIIGRELDKKTIVEKLMSRHGNNVASHLSVLAIVGMGGLGKTTLAQLVYNDQTVQKLFDVCVWVYVSGHFDSMSLIKKIIVSITKDRNNFSELDKLGQEIRGKRFLLIVDDVWNERRDCWETFCMPLSAADQCNILVTTRSVAVARLVQTMPHFTMHHLSPHEISWKLFERTVAPHENIIQGNLVDIAKKIVQKCDRLPLAIKTLGSMLRYVCDERRWIDVLESELWDLDNAHNEVLLALHLSYKNMPIHLKQCFVSLCPFPKHYSLDKIEVIRMWGLLGILQGDERSNEDESRSQYFFYDGIGSRYFDELVQRSFIQISFNSGVMHDLIHDLACHLSRNEFFRLEGDKPVEIPQNARFMSIIDCLTSVQFSNASHPLWAIIVLGRGCSEVTNPELLFSNGKNLRVLSLSGSSIAKALPRYISTMNLLRHLEGPWNPPRGIYPLINLHTFPRVHVCKCGGSFNLRELRNLNKIKGKLCISGLCNLSHVQDANEAQLMNKKHLQFLELSFSEVECQHMPQQLDMNFTPEEVQYENLQYQDVQQPKYVTVPQYQILESLRPHEGLISLTISGYNCQSYPSWVGDASFSKLTCIEIYGTDKVTQQCVPTLGELPFLEDLRICGMSHVEHIGRELCTHICGNKGFPSLITLEFNEMPQWSEWSGLDDGDFPCLSSLTISGCYQLSSLPSYRFSSLQRLELIECSVISIVPAGGTFMDIEIVRCFGLHTILAQPSLLKLQLADCPKLGVVGSMPKLNKLDIYKCPNLTSVGSMPELTTLNTGSNLEYDMLYNRLDHLLLPHYSSIWYNTLIDIPTTPVLHNLNELGFHCCPGITELPTLRSLSKLWICKCPDLSVIGSLPSLTTLNL >ORUFI11G14890.1 pep chromosome:OR_W1943:11:17276948:17281380:-1 gene:ORUFI11G14890 transcript:ORUFI11G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASMAVKWAIDKLSSLLAPARLRPVASSSSSSSSSQGLEDLRMLERTMRRIHATLMDAEEHWNIREESAKLRLRELTELAYGAEDVVDEYEYEVNRCRLEAADRCASNCSKRKRHEVNDEQFAQFGLVPVPHELVVRARELVKRFDEMKIYYKHFSLSDNDGERRIIPDIHNVRRTSYFVDKESIIGRELDKKTIIQKLMSGHDHMMFRVWVCVSDHFDSTDLTKKIIVSITKQSNNQELVDLQQKLGEEIQGKRFLLVLDDVWNERRDCWETFCKPLSVAQQCNILVTTRNVAVARLVQTMPQFTMDHLSPHDSWTLFERTVAVHENIIRGNLVDIAKKIVQKCDRLPLAIKTLGSMLRYETDERRWIDVLESELWDLDNAHNEVLPALELSYKNMPKHLKLCFLSLCLFPKDYPLEKYQVIRLWGLLDFLQCDEWKKKDESGSQYFLFDRTGSLYYDELVQRNEFFRLEGHRPVEIPQNARFMSIIDCHTSVQFSAASYPLWAIIVLGEKYSEVTNAEQLFSKCKNLRVLRLSRSNLDEALPRYISSMKLLRHLEGPWNAPSGIYPLINLHTFPGVHICRCGSSFKLRELKNINKIKGELYISGLGNLSHVQDANEAQVRNKVHLHFLQLDFEEEKCKHMLQQDCLLTEQLDVNFTPKEVRYENLQYQDIQQPKYVTVPHNLILESLRPHEGLRRMAISGYNCQSYPSWLGDASFSKLIDIAIYGTDKGRQQCIPTLGELPFLKYLRIGRMFYVEHIGRDFCSHIPGNKGFPSLITLEFSDMPQWSRWSGVDDGDFPCLSSLIISDCNRLSSLPTDRFSSLSNLKLSYCNAISIIPAGGTFTYIQILRCYGLHTILTQPSLLKLWIYDCPELRAVGSMPKLNKLDIYKCRNLTSVGSMPELTTLNTGSNLEHEMWYNEPQFPDYSSIWYNTLMDIPTISVLHNLNELGFLCCPGITELPTLPSLLKLQIWKCPDLRVICSLPSLITLYLWDPLLKDEVLYSLLNGIDHPQLNRISILCKTMTNLPLQPQRLSSLKKLRLSCANLQYCDGLADLTLLEEIKIWGCPKLPIHCLLPRQLQSALDIRDERARYIGDFDKQ >ORUFI11G14900.1 pep chromosome:OR_W1943:11:17298816:17300529:-1 gene:ORUFI11G14900 transcript:ORUFI11G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASMAVKWAIDKLSSLLAPARLRPVASSSSSSSSSQGLDDMRMLERTMRRIHGTLMDAEEHWNITEESAKLRLRELKELAYGAEDVVEEYEYEVNRCRLEAADRCASNCSKRKRHEVLQPNRGPTMAPAFGYQNKTVSI >ORUFI11G14910.1 pep chromosome:OR_W1943:11:17303419:17309570:-1 gene:ORUFI11G14910 transcript:ORUFI11G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASMAVKWAIDKLSSLLTPVRQTPVLQPNRGPTMAPAFGYQNKTRFDEMKVYYKHFSMSDNDGERRIVPDIHSVHPTSYLVDKESIIGRELDKKTIIEKLMSGHGNNAVSDYLSVLAIVGMGGLGKTTLAQLVYNDQTVHRSYDVCVWVYVSDHFDSTNLTKKIIVSITKESNNLSELVDLQDKLGQEIRGKRFLLVLDDVWNERKDCWETFCKPLSAARQCNILVTTRNVAVARLVQTMPHFTIDHLSPHESWTLFERTVAVHDNIIQGNLVDIAKKIVQKCDRLPLAIKTLGSMLRYESDESRWIDVLESELWDLDKAHNEILPALELSYKNMPMHLKLCFVSLCLFPKDYSLKKSEVISLWGLLDILQCDEWNNEDESGSQYFLFGRTGSRYYDELVQRSFLQISFNSGIMHDLIHDLACHLSGNEFFRLEGDKPVEIPQNARFMSIIDYHTSVQFSASSHPLWAIIGLERDEVTNLELLFSICKNLRVLALSDRNLHEALPRYISSMKLLRHLEGPWNAPSGIYPLINLHTFPHVYICRCGGSFNLRELKNLNKKKGKLRISGLGNLSHVQDAIEAQLMNKKHLQFLQLDFSEVECLHMPLQLGLNFTPKEVRFENLQYQYMQQPKYPIVPHNQILESLRPCEGLRRLTIYGYNCQSYPSWLGDASFSKLTNIVLYGTDKVTQQCVPTLGELPFLKYVSIGRMYYMEHIGREFCTRIPGNKGFPSLKTLEFSNMLHWSKWSGVDDGDFPCLSSLIISDCNRLSSLPSDRFSSLHYLKLSNCNVIGVIPAGGTLRDLEIRVCNGLHTIRTQPALLIMWLYDCPKLGAVDTMPKLNKLDIQKCPNLTSVGSLPELTTLNTEGNLADVMLFGQLDHLPLLHYLSIWYNTLMDNPTIPVLHNLKELDIHSCPGITKLPFLPSLLKLRICRCPNLDVIGSLPSLNTLHLWDPLLKDKVLCRLLNGIDHPWLNCISILCETMTNLSLEPKRLSSLRKIRLSCANLQYCDGLSGLTFLEEIKIWGCPKLPIHSLLPRQLQSALDLRDAPASFIDDFDGDMWDFEARQEQDLEDMRMLERTMRRIHATLHDAEQHWDIHEESAKLRLKELKELAYDAEDVVEEYEYEVNRCKVEALELSAITEDHKRKRQQDQYR >ORUFI11G14920.1 pep chromosome:OR_W1943:11:17316300:17318063:-1 gene:ORUFI11G14920 transcript:ORUFI11G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGELPSLKYLDIRQMENVERIGREFCTLDPRVKAFHSLNVVTLPASTTLQELLISKCANLSELPALPSLQSLKLLNCSSLATVSQFPSLTVLHVCDPFKEEILQRLFSS >ORUFI11G14930.1 pep chromosome:OR_W1943:11:17335050:17340635:-1 gene:ORUFI11G14930 transcript:ORUFI11G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKWAMDKLSSLLAPVNLMPVASSSSSSSSSQGLEDLRMLERTMRRIHATLVDAEEHWNIREESAKLRLKELKELAYGAEDVVEEYEYEDNDEQCSEAGLVPLPNELAVRAKKLVQRFEEMKDYMKYFSISDQDGERRIVPDIQSTRDLGHMVAEQSIVGRESDKDTVIEMLMSGQGSNDASHISVLAIVGMGGLGKTTLAQLVYNDPMVFQSFDVRVWVYVSEHFDPRSLTKKIIVSVTKNSIDLSELVDLQERLAHEIWRKKCLLVLDDGDEWSNEDEFGSQYYDELVQRSFIQISFGSGIMHDLIHDLACHLSGNEFFRLEGDKSVTIPQNARFISIIDCHASVQFLAASHSLWAIIVLGDDYSKVTNAEQLFWNCKNLRVFSHSDRRILSGSNLDDALPRYISAMKLLRHLEWQWDAPSGIYPLINLHTFPDIRICRCGRSFNLRELKNLNKIMGRLRIIGLGNLSHVQDANEAQLMNKKHIQFLELNFSEMECHHSMPLQLDVNITPEEVWYENLQYQDSQQPENVTVPHNQILESLRPHEGLRGLRISAYNCQSYPRWLGDASFSKLTYIEINGTNKVMQQCVPTLGELPFLEYLRIGWMSHVEHIGREFCTRIPSNKGFPSLIRLEFSDMPQWSEWSGLDDGDFPSLCSLSISDCNQLCSLPSYRFSSLQRLDLVKCNVISIIPAGGTFTYIQIVGCYGLHTVLTQPSLLKMWLADCPKLGAVGSMPKLNKLDIYKCPNLTSVGIMPELTSLKTGSSLESDMVYNWIDRLTLLDYSYIWYNTLMGISTIPVLHNLNELGLLCCPGITEVPTLPSLSKLWIYRCPDLSVIGSLPSLTTLYLKDPLLKGEVLYSLLNDIDHPRLNCISILCETMTNLSLEPQRLCSLRKLRLSCANLQYCDGLSGFSFLEEIKIWGCPKLPIHSLLPRQLQSALDLRDRPGMYDRHVSFLGHHIFLSHVHLFLFPMQLCILVLLISNSSMLVDPQLANA >ORUFI11G14940.1 pep chromosome:OR_W1943:11:17350573:17371065:-1 gene:ORUFI11G14940 transcript:ORUFI11G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIHATLHDAEQHWDIHEESTKLRLKELKELAYDAEDVVEEYEYEVNRCKVEALELSANKLSSLMVPERIIPVAFSSSSSISQGMMDLRVLERTMQRIHATLVDAEEHWNIHEETAKLRLKELKELAYGAQDVVEEYEYEVNRCRPEDPDRYACNGSKRKRHQVNGEHLSEVGLVPVSNELATKARELIQRFDEMKVYYKYFSISDNDGERRTAPGIECVRPTSYFVVKESIVGRESDREKVIEKLMFGEGSNVASHLSVLAIVGMGGLGKTTLAQLVYNDQTMCQSFDVRAWVYVSDHFEPKSLMEKIAVSIEELSNELSSPKENSKELSELVDPRNKLVKKIKGKRIFLVLDDVWNERMDCWEAFQDPISKIGADNATLFHEPLKPPGELDVNHLSPQESWTLFKRTVTTPENAIQGNLVDIAKKIVEKCDRLPLAIKTLGSMLRYETHESRWIDILESDLWDLDKAQSELSSELSSPKENSKELSELVDPRNKLVNKIKGKRIFLVLDDVWNERMDCWEAFQDPMLAAQHCKILVTTRNLSVARLVQTMPHYTMNHLSPQESWTLFKRTVTTPENAIQGNLVDIAKKIVEKCDRLPLAIKTIGSMLRYETHESRWIDILESDLWDLDKAQSEVLPALKLSYKNMPVHLKQYRVDYLKELECAPNGIGNLINLHTLRDIRIRRCGCSFNLSELKNLNKLRELRIRGLEDANEVQLVSKKHLHLLELNFSDEKECQKEQCQQLLQQYEKVSHEQLELDFTFEEGHAPGIKGFPSLTSLEFSYIPWWNDWTGVDYGDFPFLETLSLRTWTGVDYGDFPLMETLSLRTVYKLRALPLDRFPSLGTLTLDECDGIDTIPAGGTIKKLCIGGCYGLYTLPTQSSLLKLQLKDCPRLSVVSSMPELDTLEIFKCPKLTARAWQRPPHRARQEQDLEDMRMLERTMRRIHATLHDAEQHWDIHEESTKLRLKELKELAYDAEDVVEEYEYEVNRCKVEALELSASTADHKRKRQQNLENEDLFNSGMSILGREGDKNIIMEKLLPRDGDSAANPISVLAIVGMGGVGKTALAQLSILGREGDKNTIMEKLLPRDGDSVANPISVLAIVGMGGVGKTALAQLGIIQSLKKEECGLPEHSLDILQQILVAEIKGKKVLLVLDDVWSERRDCWELLCLPMNTTEICNIVVTTRSERVASWTLFKQEAYANQGSGIPSNLVEIGRRIAEKCKGLPLAIKTLGSILRFETNEKKWRDVLDSELWNLEQSHKESSSDSREIDQMKFHELLAIIASKLYARTHVMHDLVHDLACFIAGEEFFRLEGDKPNEIPRAARYMSIFRVIQVTEDGFAKVLLDCIGEMKLLRHLEFLGHSNAVELCLIPRVSKYQIPQESLRAIVTLGNIDIENPEALFLNCKKFRVIQVTEDGFAKVLLDCIGEMKLLRHLEFLGHSNAVELVISNSVSKLFNLQTLDFIACSLHGIGRLVNLQALPVIHLCNCVCFFNIRELRNMKKIRKLSIDGLCNVSSIIDANEALAVVTLGNIDIENPEALFLNCKKFRVIQVTEDGFAKVLLDCIGEMKLLRHLEFLGHSNAVELVISNSVSKLFNLQTLDFIACSLHGIGRLVNLQALPVIHLCNCVCFFNIRELRNMHKIRKLRIDGLCNVSSIIDANEALYYGYAVLHDFTYLIHSEASWRNCVFWISDTVESRYISLLCTILIFYVHFHFYVQFQFVHNVRHLQGNVSSFL >ORUFI11G14950.1 pep chromosome:OR_W1943:11:17384564:17387220:-1 gene:ORUFI11G14950 transcript:ORUFI11G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPFASMAVKWALDKLSSLMVPERLTPVASSSSSSINQGMKDLQVLERTMHIIHATLRRSDGACACDWEAGRRLVNGDHLSEVGLVPVSNELAAKARELIQRFDEMKTMPHYTMNHLSPQESWTLFKRTVTTPENAIQGNLVDIAKKI >ORUFI11G14960.1 pep chromosome:OR_W1943:11:17415714:17435534:-1 gene:ORUFI11G14960 transcript:ORUFI11G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFASMAIKWAIQKLACMVSTPMPSSSSAAPAASEDLEVLKRLERTMHRIHATLHDAEEHWNIREETAKLRLKELKKVAYDAEDVVDEYDYEMARHKVEAFEQSARANRSGKRRHEEVDGTIQAISDIVPVPSELATRARKIMDMFNEIKDYSSNFSLSENDGVRRSIPDIHQVRQTSSMVFEPSIIGRESIKDTVIEKMLSQNKSSTPESHVSVLAIVGMPGVGKTTLAQLVYNNTEVCKSFDVRVWVCVSENFDVNNITKRIISATNEFPHGETIANLQEELVKEIQGKRFLLVLDDVWNEQRDYWEMFRLPMLKTKLCKIIVTTRHKNVARLVQTMDSCELSCLDPNDSWSLFKQTALLDEEHPNNPSLHEIGKDIVSKCKGLPLAIKTIGSMLRYEPDETIWKDILESDLWDLEQSQNEVLPALELSYKQMPMYLKRCFIALSLFPKDYILYEENVVLLWEPLELLQHGGGADKAKLAVSYLHELAQRSIIEISTHNSLKAIIVIGHGLGEIVIPDDIFLKFKRLCVFSLNGAAPTNLLPDSVGNLKLLRFLRFRCSIDCQIMQLPKSVFQLFNLHTLEVMKPAFDLYTPIVSGIGRLIKLETLPPLEILSGYDSNLSELRNMRKVRSLSLKGLDYVCSVEDAMEADIPSKIHLQSLNLDFTSSHHQQLQQHKPGAVSHKELLESLQPCHTLRDLSIYGYRGLSFPCWVGNTSFSKLTKVVLSKCEWECLPALGELPSLESLEISRMYNLRFIGREFCCLNQSVKVFRSLVNLSFSWMYELSEWSGVKDVPVSYALCDLYINDCASLIELPSLPSLIKLKISNCSSLGATIPMFPALQYLSIKDCASLLELPTLPSLMELNISNCSGLGAIIPMSPTLCELSINKCASLLELPMMESLWKLEISHCPSLRAVGLFPELSVLELSGPFKIEVLDSCLSLNSPLEHLEIDSSPVVSIPLRPQYLTSLATLRLSFCSDLQYCDGLVSLTSLRELNMAGVFASMAIEWAIDKLYSLLPACLVSTPASSSSSAAPAESEDLEVLKRLERTMHRIHATLHDAEEQWNIREETAKLRLKELKKVAYDAEDVVDEYGYEMTRHKVEAFEQSARANRSGKRRREEVDGTIQNISDIVPVPSELATRARKIMDMFNEIKDYASKFSLSENDGVRRSIPDMHQVRQTSSMVFEQSIIGRGSIKDTVIEKMLSQNKSSTPESHVSVLGIVGMPGVGKTTLAQLVYNNTEEELVKEIQDKRFLLVLDDVWNERRDYWEMFRLPMLTTKLCKIIVTTRSQNVARLVQTMDSCELSCLDSNDSWSLFKQTALLDEEHANNPSLQEIGKDIVSRCKGLPLAIKTIGSMLRYEPDETKWKDILESDLWDLEQSQNEITYFMKKMWFFCGSHWSYFNMITYFMKKMWFVCGSHWSYFNMVMEQIRQNLQTEDSLKAIIVIGHGLDEIVIPDDIFLKFKRLRVFSLNGAAPTNLLPDSAGNLKLLRFLRWRCSIDCQIMQLPKSLETLPPLEILSGYDSNLSELRNIRKVRSLSLKGLDYVCSVEDAMEADIPMLSKCEWECLPALGELPSLESLEISRMYNLRFIGREFCCLNQSVKVFRSLVNLSFSWMYELSEWSGVKDDAMEADIPSKIHLQSLNLDFTSSHHQQLQQHKPGAVSHKELLESLQPCHTLRDLSIYGYRGLSFPCWVGNTSFSKLTKVVLSKCEWECLPALGELPSLESLEISRMYNLRFIGREFCCLNQSVKVFRSLVNLSFSWMYELSEWSGVKDGDFACLETLLLCQDNKLRFLPLVPFSSLSPSCKFAQMATPIASMAVKWVLDKLSSLMVPERLTPVASSSSSSISQGMKDLQVLERTMHRIHATLVDAEEHWNIHEEITAKLRLKELKELAYGAQDVVEEYEYEVNRCRPEDPDRCACNGSNKRMRHQEVVLPADYWMGESRGTEGEDSRTAGEAVAQCSPEGEGRQMRDAGRAAGAGGRGRVRAPMRVGEAGGGLGATIPMFPSLQYLSIKNCASLLELPTLLSLMELNISNC >ORUFI11G14970.1 pep chromosome:OR_W1943:11:17456786:17458117:1 gene:ORUFI11G14970 transcript:ORUFI11G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPETTTDQSGSNKQQRRRRRHHFILVHGVCHGAWCWYRVATALSSAGHRVTALDMAACGARPGRADEVPSFERYTAPLLDAVADQDGEEKARHPEKIAVAVFVTATMPAAGKSMSFAFKQVK >ORUFI11G14980.1 pep chromosome:OR_W1943:11:17469235:17469599:-1 gene:ORUFI11G14980 transcript:ORUFI11G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTQDLRAIIVLNRASGYIENPEELLLGCEKLRALVFYEDEFFLSKALEGFMGRAKLLRHLHCEYLLDNLQYCHGLSGFTSLKELNITECPKLLQRSCIFWMM >ORUFI11G14990.1 pep chromosome:OR_W1943:11:17469758:17471978:-1 gene:ORUFI11G14990 transcript:ORUFI11G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYEADEMRWRYVLESDIWDLEPPQKEILPALELRLQTLAYKHLKRCFVALSLFPKGYLIDRSEVFGLAARLYFNEIVDRSFLQVHDIQGLHIMHDLIHDLACFLVADEFFRLEADDGCIEIPPNVRYLSIHCISREMSVASHSLRAIIVLNKASGYIENPEALLLGCEKLRALVFYEKEFFLSKALEGFMGSAKLLRHLHCECLLDNRYDISYYRLELHGIHSLINLHTLPQLYIGRLGKRNRGIKCKNIIVKCRGLPLAIKILGSMLRYESDEVRWRYVLESDIWDLEPPQKEILPALELSYRHLPIHLKRCFVALSLFPKGYLIDRSEVFGLWISLDIIQCDGHNSAARLYFNEIVDRSFLQVHDIKGLHIMHDLIHDLACFLAADEFFMLEADDGCIEIPPNVSTTQTLTTHAHSLL >ORUFI11G15000.1 pep chromosome:OR_W1943:11:17472013:17479021:-1 gene:ORUFI11G15000 transcript:ORUFI11G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFASMSVKWALDKLSSLLPASLEGLEDLRMLERTMRRIHATLHDAEEHWIICREESAKLRLMELEELAYDAEDVVDEYEYEANHVSMEEFERSMAELFASMSVKWALDKLSSLLPASLQVATAPSTTSEGLEDLRMLERTMRRIHAMLHDAEEHWIIREESAKLRLTELKELAYDAEDVVDEYEYEANRVSTEVFERSLNTCNGKRKHRRLPTGGTPVCLRRPFLLACKYLFSMEERIIGREREKEIIIKRLMKSGESSSVACCMSIFPIVGMGGVWAKQLWHNSCTMTQGIMVTTRNEAVAKLVQTMPFYYLDCLSSDDSWLLFQQAAFSVDQQDDTPADLDKIGKNIIVRSKV >ORUFI11G15010.1 pep chromosome:OR_W1943:11:17476314:17476996:1 gene:ORUFI11G15010 transcript:ORUFI11G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQCSSASCSMAWILRIVLSSIRRSSRPSLVVDGAVATCRLAGSKDESLSSAHFTLMDANNSAIFLSDVSTRVQAERKAAKARGREWEWVGALLPSYKG >ORUFI11G15020.1 pep chromosome:OR_W1943:11:17480768:17511144:1 gene:ORUFI11G15020 transcript:ORUFI11G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASMAIEGALDSLSSLLQLQANPTPPVTTAAAVPPVETSHSSVKGGLEDLRALEGTMRRIHATLRDAEQRWNTREKSAKLRLEEIKELAYDAEEVVDEYQYEVTRRKYEVTRRKVEATAAQGGDGASSSSSSSRKRKIHKVHDEDYFIEAGIVPVPRELTVRARKVIQRFGEIEGYYGSFTLSENDEDRRIIPDINSLRQTSSFVFAPIIVGRDQDKENVIKKMMTLEGSRIGGCMSVLAIVGMGGLGKTTLAQLVYNDPKVRQAFDLFGWVCVSEHFDVNNIMRKILSSIAKRNCDYIQSSELQGQLENLIKDKRVFLVLDDVWNEQSDYWKSLCMPIFASTRCDIIVTTRSEAVARLVQTIPFYNLDYLNPNDSWLLFKQTAFVDHDNVSPANLRIAKKCQGLPLALKTLGSVLRFETNVMKWRDVLQSELWDLERSQNECDGTDNNNEIGAGEEFFRLEEDGYVEIPQGARYMSIVPHPQSREEFFRLEEDGYVEIPKGARFANVLLDFMGDMKLLRHFRLLRSCNEVKLLRSCNEVKLVISDSMSQQFILQTLNCEGYSLHGIGRLANLQNLPNIHLWKCGCYLRELRNMNKIRRLHICGLRNVSSIQDVNEAHLHSKKDLEILELDFKRGGFCEVHKEEADVNQAISTVSGGSILESLRPHHQSLKVLRMKNLNEHLPTLGELPSLKSIDIRQMEYVENIGRVFCSLDPSVKGFRSLAHLRFQDMNRFSEWSEVHDGEFSSLETLLIWSASELSSLPSVPFSSLRSFELCDCKNLVTFPSSATLQILSISSCEKLKELPALPSLRSLKLSGCESLVAVGHFPLLRSCNEVKLVISDSMSQQFNLQTLNCEGYSLHGIGRLANLQDLPNIHLWKCGCYLWELRNMNKIRKLHICGLRNVSNVNEAHLHSKKDLEILELDFKRGGFCEVHKEEADVNQAISTVSGGSILESLRPHHQSLKSLKVLRMKNLNEADYPSWLGSASFSKLTKLQLENCQSQHLPTLGELPSLKSIDIRQMEYVENIGREFCSLDPSVKGFRSLAHLRFQDMNRFSEWSEILSISSCEKLQELPALPSLRSLKLSGCESLTINLEPHSLPLLRELELECPNLQNCDALASLSSLKILCVNRCSPQLRVPNSLQSQLEKLYSPGSFGSYHRSDAVQPRRANRKGWEEKGGNWTSDTSQGPCGAYLIRARYPSTGRNRIVWRCGGTNLYCGIVEISSRSVSNLQHQLHRDLRLLLLLHCLLHQGQIHHQSGHIPHFLRPLRPPPPPHSPQHPPPPSPAKLVMAGLLASLAIRKALEKLSSYLAASLSASSSSPTSSGRRARQEKELEDLRMLERTMRRIHATLHDAEQHWNIREESTKLRLKELKDLAYHAEDVVDAYELNRQKVEALKENEGLFCDSHTVAITDELAIKTRKLIERFDEIKYYSDNFTLSENDGEMRLTPDISAQLVYNHPEVCKYFDVHAWVCVSEQFDINNITQSIIVAVTKEKCDLSELPNLQERLVEEIKQKKVLLVLDDVRNERRDCWDLLCMPMNTTKLCMIVVTTHSERVAKLVQTMPNFYNLECLSFDESWLLFRQVALTVNQENAPPNLVEIGKAIVKKCKGLPSAIKRIGSMLRYETDEQSWLEVLKMCSGTWTNHGTRFRHPWS >ORUFI11G15030.1 pep chromosome:OR_W1943:11:17527598:17532325:-1 gene:ORUFI11G15030 transcript:ORUFI11G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTGAMHTLLPKLDTLLTVEYNLHRGLKGEIKCLKAELETMHAALMRVSEAETTDKMVKIWARNVREISYDIEDIIDTFMVHVEAHPSARLRGIKGFFIRSLGLLTRAKIRRRIAIDIRDIKVLVKEVAERRDRYRVDVDQPMAQAIDTRLYGMYEETTRLVAMSGPTDELSSLLMEGEGTSKRQLKVVSIVGVGGLGKTTLANVTYQRLRHQFDCDAFVSVSLKPDLKRILSSLLRQVSEEDYTNIETWEAEELINRIMRVLVDKRYIVIIDDIWDESAWKCIKCALVENNCGSRIITTTRSVNVATSCCSDIDGTVYKLKPLLHDDSKQLFYKRVFGSENECHPELKETSEKILKKCGGVPLAIISIASLLANKPRNISEWNSVHNFIGSGLEKGFSMENMGQILSISYNDLITFNPEALIPTDQLVRRWIAEGFVHGQHDTVSQLELGYSYFFELINRSMIQPEHLNNCCRVHDMVLDLIRSLSTEENFVTIFDGYQHTDRPEKVRWLSLQNNEEGHNLTHANLNLSHLRSVIVFPGATNLMPPLSNLPVLRVLDAEHCRDLENHHIAGVGKLFHLRYLGLRETNITELPRELGNLHCLHTLDLCRTSITELPSTAVRLKQLVRLYIEDSVKLPKGIGELNLLQVLSFIGVSSSPDIVGELGNLTELRVLHISLISGTGTGTWCKSYEKPLLDSMSKLQKIQELHIESFGAPTDFIAEFGWSPRLLGAFVGVISRLPSWLNSCLSNLEQINMSLDILRQEDIQNLGLIPSLHYLCLSIHEIESRKERLVIGTDSSHFQCLYHLSFDSHRAMGPMFVQGALPNLESLDIMLRARETKDLYGDFNIGLENLCSVRKVSVKIRCTGCRRSEVDSAEAEIRRAIVNNPNNPMFDITRCFEYELDEVQHHDNEEAIEEEEQVQSMPERVGPWGGEGSRNHDIVVAPWGLESVKVSSGDVVDGIGFSYLDKNGKQHTTPLWGGDGGHVSME >ORUFI11G15030.2 pep chromosome:OR_W1943:11:17527326:17532325:-1 gene:ORUFI11G15030 transcript:ORUFI11G15030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTGAMHTLLPKLDTLLTVEYNLHRGLKGEIKCLKAELETMHAALMRVSEAETTDKMVKIWARNVREISYDIEDIIDTFMVHVEAHPSARLRGIKGFFIRSLGLLTRAKIRRRIAIDIRDIKVLVKEVAERRDRYRVDVDQPMAQAIDTRLYGMYEETTRLVAMSGPTDELSSLLMEGEGTSKRQLKVVSIVGVGGLGKTTLANVTYQRLRHQFDCDAFVSVSLKPDLKRILSSLLRQVSEEDYTNIETWEAEELINRIMRVLVDKRYIVIIDDIWDESAWKCIKCALVENNCGSRIITTTRSVNVATSCCSDIDGTVYKLKPLLHDDSKQLFYKRVFGSENECHPELKETSEKILKKCGGVPLAIISIASLLANKPRNISEWNSVHNFIGSGLEKGFSMENMGQILSISYNDLITFNPEALIPTDQLVRRWIAEGFVHGQHDTVSQLELGYSYFFELINRSMIQPEHLNNCCRVHDMVLDLIRSLSTEENFVTIFDGYQHTDRPEKVRWLSLQNNEEGHNLTHANLNLSHLRSVIVFPGATNLMPPLSNLPVLRVLDAEHCRDLENHHIAGVGKLFHLRYLGLRETNITELPRELGNLHCLHTLDLCRTSITELPSTAVRLKQLVRLYIEDSVKLPKGIGELNLLQVLSFIGVSSSPDIVGELGNLTELRVLHISLISGTGTGTWCKSYEKPLLDSMSKLQKIQELHIESFGAPTDFIAEFGWSPRLLGAFVGVISRLPSWLNSCLSNLEQINMSLDILRQEDIQNLGLIPSLHYLCLSIHEIESRKERLVIGTDSSHFQCLYHLSFDSHRAMGPMFVQGALPNLESLDIMLRARETKDLYGDFNIGLENLCSVRKVSVKIRCTGCRRSEVDSAEAEIRRAIVNNPNNPMFDITRCFEYELDEVQHHDNEEAIEEEEQVQSMPERVGPWGGEGSRNHDIVVAPWGLESVKVSSGDVVDGIGFSYLDKNGKQHTTPLWGGDGGHVSMQTETCR >ORUFI11G15040.1 pep chromosome:OR_W1943:11:17544797:17549547:1 gene:ORUFI11G15040 transcript:ORUFI11G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLASLAIRKALEKLSSYLAASLSASSSSTSGRARHDKDLEDLRMLERTMRRIHATVHDAEQHWNIREESTKLRLKELKDLAYHAEDVVDAYEMNRQKTSSVVFENSIVGREKDKNNVVEKLLSKRVIVKVTTLDDMRGTRSTCDRDGRPNLE >ORUFI11G15050.1 pep chromosome:OR_W1943:11:17552065:17552343:1 gene:ORUFI11G15050 transcript:ORUFI11G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDEKAILEKKIAAARVKLEKLQRTTHEMEIKLVMWDLMSGRRKNLDDLSHDFVNDLQKAIKKRLQEAWERILGIRSKECSKAHLRGSMHV >ORUFI11G15060.1 pep chromosome:OR_W1943:11:17554777:17554998:1 gene:ORUFI11G15060 transcript:ORUFI11G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDEKAILEKKIGATRVKMEKLQRTTREMEIKLVIWDLMSGHRKNLDDLSLDFVDDLQKAIKKCIQEVRERI >ORUFI11G15070.1 pep chromosome:OR_W1943:11:17564813:17568648:-1 gene:ORUFI11G15070 transcript:ORUFI11G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLASSAVKWAIDNISSLLPPAAGSSQGLDALEELRKLERTMRRIHATLRDAEQRWNIREESAKLRLEELKELAYDAEDVVEEYEYEVNRRKVEALERLAAVHGGGGGGKRKREEVHEEHFSIESGIVPVPSELADRVRTVIQRFSEIKDYCDSFSLSDNDGDRRIVPDINAMRQTSSFVFAPRILGREEDMENVITKLLSREGNRVGGCMFVLAIVGMGGLGKTTLAQLVYNDPRVLQSFDLCAWVYVSECFDVHNLTRKIISSLTQSNCDHIQSGDLQGALANEIKDKRVFLVLDDVWNERINYWELLITPMFASRCCDIIVTTRNERVARLVQTTQIYNLNSLSPDESWSLFKQTTFIEQENISPANLVEIARMVSKKCKGLPLVIKTVGSILRFETDEIKWRDVLQSELWDLEQTQNEVLPVLELSYKRMPIDLKRCFVALSLYPKYYYLDENMVVWLWKLLGLLQGDEIGKLYFNELVQRSLLQSSIHGQKVMHDLVHDLACFLAGEEFFRLEEDKQTEVPRGARYMSIMPRSLCRKRIQISNASQSLRAIIVIMGDIDIVNPEVLFTHCRKLRIIYVVHGSVQKALLDFIGGMKLLRHLTLSDEAHLQSKRNLEVLELDFDELFLDKDFDELRSCEHTEHGDANEAAVTQSRGQLLEKLRPHYQSLKVLRIQNLNHGNYPSWLGSASFSKLTELKLQACQSQHLPTLGELPSLKSLDISRMEFVEHIGHEFCSLQQRFKGFQALQDLSFDGMTRLSEWSGVEDGEFPHLETLLFWNAIELKSLPFVPLSCLRNLRLYGCKNLVTFPASATMKELSISSCEKLKELPALPSLRSLDLSHCPSLFALGHFPLLTSLGLYYIFNEDILCKLVNSYMTLEDLTIWSDTIKSFALEPLGLPSVRKLELRCPNLHYCGALTSLSSLKILNITGSPQLHIPHSSLQSQLEELIVDP >ORUFI11G15080.1 pep chromosome:OR_W1943:11:17569136:17569685:-1 gene:ORUFI11G15080 transcript:ORUFI11G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKFLKYYTAIPHLCCFALVLDPRKKLEVMVAAFISIGDAMGLDYSEAYQHIRDKLFRVFCLYQTKLSVTLGCLRKPHKKKQTKSSVMNLWKKIRGKEQASLLGSTVAVERERTHSSCASSLCTRTFYWFWLPLSLRAAVRCGGGGG >ORUFI11G15090.1 pep chromosome:OR_W1943:11:17572253:17574467:1 gene:ORUFI11G15090 transcript:ORUFI11G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISEATEEPFPSHGQHQNSLEETATSLTSSAETTEERATHNKNEADPRRRRPCRTQVVDALELLRSDTHKEDTKCNAIAARPKIGTRFSPGDLWGGDMKERHDNASKKGNSAHGRRPGHDDNSMPLLWSPAVMVVGRTTVMAE >ORUFI11G15100.1 pep chromosome:OR_W1943:11:17574508:17575527:1 gene:ORUFI11G15100 transcript:ORUFI11G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRPPAERRRGWHHHVKEATEKEMVIAVVSNDKEGMVIQGMEHRATLLDDEKTILEQQLTAGTARLEELRRKNRELQIKLIVCDLMSGRCNNLDDLTVDIL >ORUFI11G15100.2 pep chromosome:OR_W1943:11:17575537:17578910:1 gene:ORUFI11G15100 transcript:ORUFI11G15100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVKYHLEIRKRLGKTTLAQLVYNHPEVCKYFDVHAWVCVSEQFDINNITQSIIVAVTKEKCDLSELPNLQERLVEEIKQKKVLLVLDDVWNERRDCWDLLCMPMNTTKLCMIVVTTRSEKVAKLVQTMPNFYNLECLSFDESWLLFKQVALTVNQENAPPNLVEIGKAVVKKCKGLPLAIKRIGSMLRYETNEQSWLEVLENVL >ORUFI11G15110.1 pep chromosome:OR_W1943:11:17579312:17579838:-1 gene:ORUFI11G15110 transcript:ORUFI11G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRARGGRPLRRRFECSSADPFQASVGLELEVAEAEWETRWRTGSPSFGACVYDGNLGAHDDELAAAGPAVSALGPSSSSVEVEVTDAEGERRRLTGSPSVARRGRTCPEVAAAVRALRSPSPPPPLPHAPVVPASCCRRTRQPVAAVARAGSFD >ORUFI11G15120.1 pep chromosome:OR_W1943:11:17586216:17590503:-1 gene:ORUFI11G15120 transcript:ORUFI11G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASSAVKWAIDNLSSLLPAAAAAGSSQGLDALEELRMLERTMRRIHATLRDAEQRWNIREERIVPDINAIRQTSSFVFAPRILGREKDMENVIAKLLSGEGSRVGGCMSVLAIVGMGGLGKTTLAQLLYNDPTVQQSFDLYGWVYVSECFDCFDVNNITRKIISSLTQNNCDHIQSGELQGALANQIKDKRIFLVLDDIWNERSDYWELLITPMFASRCCDIIVTTRNERVASLSPDESWSLFKQTTFIEQENISPANLVEIARMVSEKCKGLPLVIKTVGSILRFETDEIKWRDVLQSELWDLEQTQNE >ORUFI11G15130.1 pep chromosome:OR_W1943:11:17593556:17599219:1 gene:ORUFI11G15130 transcript:ORUFI11G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWNFSEVTPTRRTRSVMLPPLIQKSEQVFHLEICWGDMKERHDNASKKGNSAHGRHCRRTGQRHGKAFNSLEKTATCHRCQASPHPISEQLRLHQQKQPKSVSPTTTMKQTQEVVDALELLRSDTHKEDTKHNAVTAHPKIGTRFSPGDFFWGGDMKERHDNASKKGNSAHGRSRHRTGQRHDKAFASIFTSPTQAPPH >ORUFI11G15140.1 pep chromosome:OR_W1943:11:17607822:17608159:1 gene:ORUFI11G15140 transcript:ORUFI11G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEILIREWTDEPRVSARQAAAMGQPYRELGECCLVDKQRQSEAGGDGRWKITREKRGLLYRRWGGAG >ORUFI11G15150.1 pep chromosome:OR_W1943:11:17610610:17610888:1 gene:ORUFI11G15150 transcript:ORUFI11G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDEKAILEKKIAAARVKMEKLQRTTREMEIKLVIWDLMSGRCKNLDDLSLDFVDDLHKAIKKRIQEVCERIQEMRSKECSKAHLRGSMHV >ORUFI11G15160.1 pep chromosome:OR_W1943:11:17627334:17631642:-1 gene:ORUFI11G15160 transcript:ORUFI11G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVLPTTITAGDHRSGMLLSPCPTSTQNEVLPVLELSYKHMPIYLKQCFVALSLYPKYYYLDENMVVWLWKLLGLLQSDEIYNRDEIGKLYFNELVQRSLLQSSIHGQKVMHDLVHDLACFLAGEEFFRLEEDKQTEVPWGARYMSIMPKPLSKKRIQISNSSQSLRAIIVIMGDIDIVNPEVLFTHCKKLRIIYVVQGSVQKALLDFIGGMKLLRHLTLSGYECAAHLSRPNSMSQLFNLQTLNMQAYTLLKIGRLVNLQTLPEIHLMKCGCFVDIRELRNMNKIRKLNKEVVYSMDCVMYLVSYMQMKLIYKVKGTLSCEHTEHRDANEAAVTLSRGQLLEKLRPHCQSRKVLRIQNLNHGNYPSWLGSASFSKLTELKLQACQSQHLPTLGELPSLKSLDIRQMVRVEHIGHEFCSLDRRFKGFPALRDLSFHGMNRLPEWSGVEDGEFPRLEALLFWDAFELRSLPLVPFLSLREFTLIDCRNLVTFPASATLQELNISICEKLKELPSLPSLQSLHLFNCPSLVAFGHFPSLTILYLADPFKEEILHRLVNSHLRMEELTISSNTLKSICLEPRSLPALRSLELRCPNLQCCDTLASINSLKKLNISASPRLHVPNSLRSQLEELYTAESVVAVTFCVMLAARHGATTMAAFQICAQVWLATSLLADGLAVASQALLASVFAKNDHYKVAVTTARVLQLAVVLGVGLTAFLAAGMWFGSGVFTSDAAVISTIYKGVPFVAGTQTINTLAFVFDGEWRGMASIRIG >ORUFI11G15160.2 pep chromosome:OR_W1943:11:17627334:17629398:-1 gene:ORUFI11G15160 transcript:ORUFI11G15160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLEEEDEDSQEFKKGIETVVAVTFCVMLAARHGATTMAAFQICAQVWLATSLLADGLAVASQALLASVFAKNDHYKVAVTTARVLQLAVVLGVGLTAFLAAGMWFGSGVFTSDAAVISTIYKGVPFVAGTQTINTLAFVFDGEWRGMASIRIG >ORUFI11G15160.3 pep chromosome:OR_W1943:11:17629401:17631642:-1 gene:ORUFI11G15160 transcript:ORUFI11G15160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVLPTTITAGDHRSGMLLSPCPTSTQNEVLPVLELSYKHMPIYLKQCFVALSLYPKYYYLDENMVVWLWKLLGLLQSDEIYNRDEIGKLYFNELVQRSLLQSSIHGQKVMHDLVHDLACFLAGEEFFRLEEDKQTEVPWGARYMSIMPKPLSKKRIQISNSSQSLRAIIVIMGDIDIVNPEVLFTHCKKLRIIYVVQGSVQKALLDFIGGMKLLRHLTLSGYECAAHLSRPNSMSQLFNLQTLNMQAYTLLKIGRLVNLQTLPEIHLMKCGCFVDIRELRNMNKIRKLNKEVVYSMDCVMYLVSYMQMKLIYKVKGTLSCEHTEHRDANEAAVTLSRGQLLEKLRPHCQSRKVLRIQNLNHGNYPSWLGSASFSKLTELKLQACQSQHLPTLGELPSLKSLDIRQMVRVEHIGHEFCSLDRRFKGFPALRDLSFHGMNRLPEWSGVEDGEFPRLEALLFWDAFELRSLPLVPFLSLREFTLIDCRNLVTFPASATLQELNISICEKLKELPSLPSLQSLHLFNCPSLVAFGHFPSLTILYLADPFKEEILHRLVNSHLRMEELTISSNTLKSICLEPRSLPALRSLELRCPNLQCCDTLASINSLKKLNISASPRLHVPNSLRSQLEELYTAESF >ORUFI11G15170.1 pep chromosome:OR_W1943:11:17637459:17637665:1 gene:ORUFI11G15170 transcript:ORUFI11G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDLWEMVHNKNMPFVAAATSPPLYPTGREAAAVACGDRLPTPDGCGSGALHDVGPFLVFLVFLDVL >ORUFI11G15180.1 pep chromosome:OR_W1943:11:17639269:17639658:-1 gene:ORUFI11G15180 transcript:ORUFI11G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHQADLVRCSRQPGAAVGRVCAAHDGRCVACDSMVRPAAPARVCDGCSGGGGGHGSRSERCLVCGAGAGEGGAAAVADAYYCRSCVQMEKDRDGCPAVVNAGTARRDSAAFFFSARSKRGGFRSTMA >ORUFI11G15190.1 pep chromosome:OR_W1943:11:17642802:17644424:-1 gene:ORUFI11G15190 transcript:ORUFI11G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMRGGNVALAIIFLCFTCSNNVFLLRSSSASSPIDDFLRCLSGKIPAEQVFTQSSSGFMAELTSSVQNPRFVTNATVRPACIVAASDASHVQAAVRCGHRSGVRLRVRSGGHDYEGLSYRAVRAETFAVLDLAGLRAVRVRAGDATAWVDSGATLGELYYAVGTANPGFAFPGGACSTVGVGGYLSGGGIGLMMRKFGIGADNVLDAMIVNADGELLDRGRMGEDLFWAIRGGGGESFGVVVSWRLKLSMVPPTVAVFTIAKTAGDGGGGDAAALLAKWETLILQPFLPDLTIRVVLQGRTALFQCLYLGSGGCARLAATMRAYFPELGMTASDCHDLTWLRAMAFISLGAADAPPEGMLRRTNNLGTYVKSKSDYVRRPMGAAAWSALFADHLASNNAGVLILEPHGGVVGAVIPDMATPYPHRAGVLYNIQYGVFWWGDDEGESSAAARRWLDALYAAMEAAVSGNPREAFVNYRDLDIGENAVVGGVTEYESARRWGERYFMGNFRRLAAVKGRVDPGDYFRNEQSIPPLLQPY >ORUFI11G15200.1 pep chromosome:OR_W1943:11:17646776:17647315:1 gene:ORUFI11G15200 transcript:ORUFI11G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGPGCTCIVIIVERLVSEPSDRAALRRRRAPCLRTGGPGCTCVIIIEPLVSEPAGQAAASSSSSSASSQNRWAGLCLCHRRRAPRLGAGGPGYAASSSLLSTSSQSRRAGLRLHRRCRSPRLGASGLSCACVVVVRSPGEVPATAPMLIATLPTTASSSPTLAAAATDLLPPCRSSR >ORUFI11G15210.1 pep chromosome:OR_W1943:11:17660699:17663580:-1 gene:ORUFI11G15210 transcript:ORUFI11G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSREETMLDGEKAILEQKITAATARMNELRRTNHDMEVKLVIYDVIAGRRKNLDDLSPNFINDLQKEVAKHREEEANTAD >ORUFI11G15220.1 pep chromosome:OR_W1943:11:17664219:17664476:-1 gene:ORUFI11G15220 transcript:ORUFI11G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILREVAEALPSSAHLRRVTIIRRPPKKAVVTPPNRSVPKIAGVAEDRIYLVLRCRASLLCWFQPMHCDTINIYSTYAMPECHC >ORUFI11G15230.1 pep chromosome:OR_W1943:11:17680651:17681484:1 gene:ORUFI11G15230 transcript:ORUFI11G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAEVARRRRGGAASAGASQPRRARWRAGGEAAGAGTAAAMVAEAARASSRSLMGGPEYHGDSAAAAAGDATMTTTSPPSALSSLLSSIGGSFRAMQIRNLSGCYLHYHSVLDPRTLAVAAATVFVKPDSLDSISIVVSLGQDDTSRNIVEIIFQSNWLKNAGKVALGLGAAAPGRRRRLSLPASPLPSAASHTTARCRS >ORUFI11G15240.1 pep chromosome:OR_W1943:11:17681876:17686092:-1 gene:ORUFI11G15240 transcript:ORUFI11G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGVGGGGGGERTVRRLRLSKALTVPESTTVLEACRRMAARRADAALLTDSNALLCGILTDKACTPHEQSIDRSINLQDIATRVIARELKIDETPAWKVMTRHPVFVPSETLAVEALHKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAIARIERASDKGKAAAIASAVDAAAGNDPTASSMVEAFKEQMLRPSLSTITTAESTVVIVSPGDSVLTATQKMVEVHASSAVVAVGNKAQGILTSRDILMRMIAKNLPADSTPVEKVMTLDPECATVDMPILDALRTMQERKFLHLPVMDRDGSIVSILDVIDITHAAFSIVEGNGEGAMNDDAAISMVQRFWDSAMALGPLDDEIESQSQISEASRSQMMSDIHNEQSFSFKLQDRRGRMHRFSCEVQSLTPLITCILQRLGPDLDRDRLPQILYEDEDRDKVVLASDDDLTAAGLKLYLDYSGSSGVRRKSVVPSVGVGGGGGGRSSGGSTAVMDLSSRDAWAAAYSGVAAGAALVTGLGVMAYLRRAS >ORUFI11G15250.1 pep chromosome:OR_W1943:11:17693054:17693263:1 gene:ORUFI11G15250 transcript:ORUFI11G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVFIGLVLFILLSPGLLFQIPGKGRIVEFGNFQTSGLSILVHSIIYFALIAIFLLAVNVHMYLG >ORUFI11G15260.1 pep chromosome:OR_W1943:11:17701745:17713390:-1 gene:ORUFI11G15260 transcript:ORUFI11G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDRHPPFSISFSGTFREPKQFPFMQDGGSGLGAARHDLLRPFSSPEDGANITTTRSACNGVPHGLDPECALSLLSSSLHPSPAAGISSATAPPQFAPSSFSRIAASSQAVTTAFASDGGSVAGDHVLVPAVTYEDPSQAMPFSWQV >ORUFI11G15270.1 pep chromosome:OR_W1943:11:17748566:17755259:1 gene:ORUFI11G15270 transcript:ORUFI11G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATTSDGRCIRARDSADTLHGWRCGGWGGSGVVDTKKWTNKLPLGSPFTPSHFSEEQELNSSIYAAAFRRPLICHEQLLLSLCDGSLQVCGEFDRFLPQKVDRKKKNCHAMQLRMRKQRHLDGLTAQVAHLPRDNAHVATVLGLTTQGLLAVDAENAVLRT >ORUFI11G15280.1 pep chromosome:OR_W1943:11:17757072:17765868:1 gene:ORUFI11G15280 transcript:ORUFI11G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGAEEELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGMNGTTTEFCKNIVLAGVGSLSLMDDHLVTEDDLNANFLIPHDESIYGGRSRAEVCCESLKDFNPMVRVAVEKGDPSLIDGEFLDKFDIIVVSCASIKTKLLINDNCRKRSKHIAFYAIECKDSCGEIFVDLQNHSYVQKVGGEPKPKELAYPSLQEAISVPWKNLPRKTTKLYFAMRVLENYESSEGRNACEASLSDLPAVLALRKDMCDKMSLSESQIPTALLERLLAAGKKQHPPVCAILGGILGQEVIKSISGKGDPIKNFFYYDAADGKGIAEDIPPLSSD >ORUFI11G15280.2 pep chromosome:OR_W1943:11:17757072:17765585:1 gene:ORUFI11G15280 transcript:ORUFI11G15280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGAEEELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGMNGTTTEFCKNIVLAGVGSLSLMDDHLVTEDDLNANFLIPHDESIYGGRSRAEVCCESLKDFNPMVRVAVEKGDPSLIDGEFLDKFDIIVVSCASIKTKLLINDNCRKRSKHIAFYAIECKDSCGEIFVDLQNHSYVQKVGGEPKPKELAYPSLQEAISVPWKNLPRKTTKLYFAMRVLENYESSEGRNACEASLSDLPAVLALRKDMCDKMSLSESQIPTALLERLLAAGKKQHPPVCAILGGILGQEVIKSISGKGDPIKNFFYYDAADGKGIAEDIPPLSSD >ORUFI11G15290.1 pep chromosome:OR_W1943:11:17794564:17795505:1 gene:ORUFI11G15290 transcript:ORUFI11G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQRRRSSSASPEFRFWPLDADPAASPSCADELFSGGVLLPLQPLPYPRRDADLSMSLAVADDDDDDEEEEEVQPGAAVASRAPPTAAVAASGGGGGGSKRWTDIFAKKQQQPAAEEKEKDQPTRRRRPAGGGGGSELNINIWPFSRSRSAGGGGVGSSKPRPPPRKASSAPCSRSNSRGEAAAVASSLPPPRRWAASPGRAGGGVPVGRSSPVWQIRRPPSPAAKHAAADRRPPHHKDKPTGGAKKPHTTSATGGGGIRGINLSVNSCIGYRHQVSCRRADAGVARASAGGGGGGGLFGIKGFFSKKVH >ORUFI11G15300.1 pep chromosome:OR_W1943:11:17819939:17823543:1 gene:ORUFI11G15300 transcript:ORUFI11G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGKKSSRYMNSRYRPKQHDGKESKENEHSSCKEAKNNEMEVDKQVDELASAVSRLSTADSTPSSISFGHRRSRGLAFVPRSIRQNKQVSQTEPK >ORUFI11G15310.1 pep chromosome:OR_W1943:11:17826842:17828322:1 gene:ORUFI11G15310 transcript:ORUFI11G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLWTILTHVHSLAGPTVMLLYPLYASVQAMESPSKLDDEQWLAYWILYSFITLVEMLLESLIYWIPIWYELKLLFIAWLALPNFRGAAFIYNRFVREQLRKHGLAGAGASVGKKDKSSPSSSPKDKEKTKSKFLSFVTPKKDHEAY >ORUFI11G15320.1 pep chromosome:OR_W1943:11:17883987:17896362:1 gene:ORUFI11G15320 transcript:ORUFI11G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAFVNGFLSVFMHVGLTLVLLVYLPIAFACRALGRLLVRPFVSGEDLRGKVVLVTGASSGIGEHLVYEYAKKGACVALTARTEIALRAVAKTARDLGAPDVLVVPADITKVDDAKRAVEETVAHFGKLNHLVANAGIWSSCFFEEITNITAFHNVIDLNFWGAVYPTYFALPYLKASRGNIVVTSSVAGRVPTARMSFYNASKGAVIRFYETLRAELGSHGAVIRFYETLRAELGSHVRVTILTPGYVVSNLTMGKGIQKDGHVGIDEEARDINVGPLPVGKTETLAKVVVAAVRRGDYYVTWPGWYWPFHMVMCTAPELVDWFSRTFYVSKSSDHDGDALSKKILEAVGGKKFLYPKTIRSHAIAAS >ORUFI11G15330.1 pep chromosome:OR_W1943:11:17897102:17897323:-1 gene:ORUFI11G15330 transcript:ORUFI11G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDVGRRKEAARRRIPFLVDAFGGGWLEERDVPEDCRAITGHWWRHGGTEVPPPTWMVTAWMTRRSGGARGM >ORUFI11G15340.1 pep chromosome:OR_W1943:11:17899035:17899310:-1 gene:ORUFI11G15340 transcript:ORUFI11G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSSSPSASSSCGRGSGGRCRTDARRQPWQWRGRNAAGRLQRPVTVEAKETWSTTSGAPKIASLLEVEAKDERMPAVVGPSSSPGLVFM >ORUFI11G15350.1 pep chromosome:OR_W1943:11:17927484:17927960:1 gene:ORUFI11G15350 transcript:ORUFI11G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLLPAAAPPRRPLMTPPNQRRTSRRRMPPLRRIRQPHASPQPDRQGEGGEGPRATGSAAPMPSLRRICKGREGRECAPPDPLPPCLPSARSTRGGRGGGAVVAPLPPPPAAPCALSPQSREREGGAACRRPSDDSPAGVGGEERGRWEEWRGRGR >ORUFI11G15360.1 pep chromosome:OR_W1943:11:17938952:17941985:1 gene:ORUFI11G15360 transcript:ORUFI11G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIEDKIKEDRDQLGGILHIIEVRKNNDRDLIKRYNALSFQEFERMGELSDQQLWSEVAIRAWSFAKKRGLKGIGGV >ORUFI11G15370.1 pep chromosome:OR_W1943:11:17943142:17944154:-1 gene:ORUFI11G15370 transcript:ORUFI11G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMKEAERLDVPFQFNPVVSRLDALDVESLRVKTGERRSQESGLSPSKSRADASPKVMVVTKQEASHNAALLTERFVEALNYYAALFDGLEVGAARGSVEHTRVERWLLGEEIMNIEWHERLERWVGRLEGAGFGRIPLSYYALLQARRVAQGLGCDGFKVREEKGNLFLFFLIS >ORUFI11G15380.1 pep chromosome:OR_W1943:11:17950698:17954026:1 gene:ORUFI11G15380 transcript:ORUFI11G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIEDKIREDRDQLGGILHIIEVRENNGSNDRDLIKRYSALSFQEFERMGELSDQALWSEVVIRAWSFAKKVDVRGTIYECKKDPATALLQAWSDNNLQCLVVGENEGGNGEGRSQS >ORUFI11G15390.1 pep chromosome:OR_W1943:11:17970721:17971263:1 gene:ORUFI11G15390 transcript:ORUFI11G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSPGEGRGVEEEILAWGALPQAPVPTQQVPFTGAWAKRSWGARLPAEGRALSQRDDADGKVDGRR >ORUFI11G15400.1 pep chromosome:OR_W1943:11:17973527:17974965:-1 gene:ORUFI11G15400 transcript:ORUFI11G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSKELTTDHEPPVVELTTDAEYAQEQPRLVRFFGTTIVLSLGLLHAACSLHWAHRPLPRGVGTAAFGATAVLCLAVGAVSLLLSWSAAARMTATKALPTRRVERRLLNRLCAAVHASSALLMLAPVGLLVLVADKAYAFAVVVPLGPVGASLFPVVRNGARFGFAWGAAQYEAHEHDLRRYFGLAAGVTVPTYVALLSHAVSDHFRPAGSAQRRHHDLGGVDAVECLLLYASTAGLALMLLATSPPALCFRHTRAVVVNHFLGVLADALLALVGLAALVATAEIAGGLAALAPTTNVIAACAIFAKEHEDEPDRRGQDDTKDHLCSSSSSRQPVAPLVVNSLAFGTVMLSYSALDGGRAFSWPEKACLVAVASLLVGNLSQMALQRRAVRTDSVVTTALSLFDKVNKVTLLIAALGCMAVLATHKITASH >ORUFI11G15410.1 pep chromosome:OR_W1943:11:18029081:18030228:-1 gene:ORUFI11G15410 transcript:ORUFI11G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLLGKIFISRSNHAGLRAWGTTTVWLHAVPLLMNVLCAGMVADLPAAGTATSDDDDDHPRDIYVNVERMCTAAYVSTALMTLAASASLLLLDASLYYVLVVVTLLLLVLGFLAFLFWQQMNLDGNGSSAAGGERRRSHGCGHIKAAMYFSRHNATLTRLSAMSSDVASFVFAGLSGAIVGYVKASASTRPPAGAGHRMPEELMLYSGALGLATALITAVPPWVVEPRGRGLRDRFVNVHARVLAYAALLFLALACVLAAQEILHGWAVLIAFANLAFAAVCFRVDFLAAAVLQDDDDANGAGVDQEGGGGVTNFFVALYHPSALGMLMAAYSTYAGGKEAAHLSWLFKCFVWLLVGSIVTYLCGDARGVDIGA >ORUFI11G15420.1 pep chromosome:OR_W1943:11:18099730:18100897:1 gene:ORUFI11G15420 transcript:ORUFI11G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPIKLLLVSAFLSLLLLIQGAAARSSPAAGKCAAASVEVEQANTGEKAGYDPVFEVTVRNRCACAARGVRLRSEGFASSVAVDPRLFRLDRDAGDYLVGDGRRIEPSAAVTFRYAWDRAFRMAPAALLDDCS >ORUFI11G15430.1 pep chromosome:OR_W1943:11:18116897:18118050:1 gene:ORUFI11G15430 transcript:ORUFI11G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGAGRQRGGGRMAAAVGEEDDDPFGVNSINERPEVRFINRYVLLQTCVLMAVRGLAFLALTWSTVVLLGGFVTLLQEKDFCDGPLEYNLRRAFMG >ORUFI11G15440.1 pep chromosome:OR_W1943:11:18123858:18131017:1 gene:ORUFI11G15440 transcript:ORUFI11G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSPGLRSPHHRPPSLVPGPRCRHPHPSSPIAIRDGLGGGASWEAAGRSDPLGARASHTASSVAEWRGSKDIMASSDEQYLYFLNLLVGPVIRAFGGGRKNRRVISIWQLGWKSSGEANSLVKGLMFKLMTTILLIPLSLLVLHPLLVLYWCIIVPATRLSQQDYGQGDGDSSKANLKPAMMIFYSLALAQGTLYMLWFILNAGNAMMVRVVASKCDFEKSWGRKSVDQYLSDTKFKCLKDPSSIKDMNLIKFAAGLLDSDSEDDYITGARMLVSFIQKQKLPDDQHDHDKGMGRCQLLVQGLLVIERLTCDHNNCILICRDHCLLSHIRYAIRLRKKVTFPDMCPVWPEMLKGSLRAMAYLIAGVTEVELETLESIFNELPLGMIMGHKRFPDIMIPTIALYANLLYYRDTTFPSEHFFETMLPVFLSCTDIEQGEEITSVWTKVGVLAGASLAKLLLKSEDSVSRDDIMKGEQVFDGLTKLLTAPNTTIREIAAEILQHVYFHDYTHRLSLTEKLVAELFRTNSQMGTQAQSGALAITVEAEHDGVKQFLGDNAQTSSLNRLEHEEQSNYTELQTTLLHVLASTTEHGTDCLANVIQKIAPGDGLSRFVGMLKSLVEKHSRVVTEDGLIIIKATAWLIMWMLRRSEFVQEIRQQKIVEALPEATKIVSRTSLTKKKQPASDLDIWKLLGYNIYYKRFASNIRLSSRLSSLVEDAQDELVRQQEVTVQVE >ORUFI11G15440.2 pep chromosome:OR_W1943:11:18123706:18131017:1 gene:ORUFI11G15440 transcript:ORUFI11G15440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWTDPADREIRMLAAIIVGHVASNINLSQFPGALQSIGSLLDPRDDLSFYDQDDQHDHDKGMGRCQLLVQGLLVIERLTCDHNNCILICRDHCLLSHIRYAIRLRKKVTFPDMCPVWPEMLKGSLRAMAYLIAGVTEVELETLESIFNELPLGMIMGHKRFPDIMIPTIALYANLLYYRDTTFPSEHFFETMLPVFLSCTDIEQGEEITSVWTKVGVLAGASLAKLLLKSEDSVSRDDIMKGEQVFDGLTKLLTAPNTTIREIAAEILQHVYFHDYTHRLSLTEKLVAELFRTNSQMGTQAQSGALAITVEAEHDGVKQFLGDNAQTSSLNRLEHEEQSNYTELQTTLLHVLASTTEHGTDCLANVIQKIAPGDGLSRFVGMLKSLVEKHSRVVTEDGLIIIKATAWLIMWMLRRSEFVQEIRQQKIVEALPEATKIVSRTSLTKKKQPASDLDIWKLLGYNIYYKRFASNIRLSSRLSSLVEDAQDELVRQQEVTVQVE >ORUFI11G15440.3 pep chromosome:OR_W1943:11:18123858:18125424:1 gene:ORUFI11G15440 transcript:ORUFI11G15440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSPGLRSPHHRPPSLVPGPRCRHPHPSSPIAIRDGLGGGASWEAAGRSDPLGARASHTASSVAEWRGSKDIMASSDEQYLYFLNLLVGPVIRAFGGGRKNRRVISIWQLGWKSSGEANSLVKGLMFKLMTTILLIPLSLLVLHPLLVLYWCIIVPATRLSQQDYGQGDGDSSKANLKPAMMIFYSLALAQGTLYMLWFILNAGNAMMVRVVASKCDFEKSWGRKSVDQYLSDTKFKCLKDPSSIKDMNLIKFAAGLLDSDSEDDYITGARMLVSFIQKQKLPVKLLIL >ORUFI11G15450.1 pep chromosome:OR_W1943:11:18135737:18137986:1 gene:ORUFI11G15450 transcript:ORUFI11G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPGEVSGGQGNGGVTAIAELTISSLPLETRCAPFPLRQHGGFWLPETFLPGLEAARARFEPRPSDVLLASFPKSGTTWLKALAFATLNRAAYPHWWRRVPPSGEGHPLRRRGPHDCVQFLESALVVSDDMFASLPSPRLLSTHLPYSLLPEGVKADSSGCRIVYICRDPKDVLVSWWLFTKKALGTQDGPTNGGNKPMLSTLEEELDLFCAGRSANGPYWRHVLEYWAESKRRPHKVLFLRYEEMTRETTSNVRKLAEFMGCPFSGEEEADGVPDAIVGLCSFDHLRSLEVNRNGANDFNIKNDSFYRKGVAGDWANYLSPEMAAQLDLVIDDELRSSGFSFATGGR >ORUFI11G15460.1 pep chromosome:OR_W1943:11:18145860:18146402:1 gene:ORUFI11G15460 transcript:ORUFI11G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVNVHGEDKVVVDMTKLVPSLPLETRCPPFPLRQYGGFWLPEGILPALEAIHTRFETRPSDVFRASFPKSGTTWLKALAFATINRDEHPPSDEHHLLCHRGPHDCVKFFEPTVAATGSLDDFAALPSPRLLSTHVLRSDRDIWIRGVGEEEQRRGLRRTEEGTGRTELAGERIVLGG >ORUFI11G15470.1 pep chromosome:OR_W1943:11:18169996:18170735:1 gene:ORUFI11G15470 transcript:ORUFI11G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRHVPYSLLPEHITSDDSGCRIVYVCRDPKDVLVSGWLFSRKIMDNSAAEAKGGNLDHQPPYTMDQALELFCKGRCITGPDWRHVVEYWEESERRPNNKVLFLRYEEMIREPARNVRKLAEFVGRPFSSEEETAGVVDAIVELCSFDHLRSLEVNKIGVLNLGATFGNDFFFRKGVAGDWRNHMSTEMAAMLDGVVEDELRGSGFTFDGVGDSTLTVSNVNAGN >ORUFI11G15480.1 pep chromosome:OR_W1943:11:18182592:18183722:1 gene:ORUFI11G15480 transcript:ORUFI11G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSVHREGGSAAMDMAELIPTLPLETGSPPFPLRQYGGYWLPEWVLPGLEAVHTRFEPRPSDVFLASFPKSGTTWLKALAFATINRTTYPPSGDAHPLRHRGPHDCVKFFESTFAISGEGGGGDVDVFAALPSPRAVARPGTCSPPSRRRARLLATHIPYSLLPERITSAAADDGDSGCRIVYVCRDPKDAFVSMWLFTMSNMVKGVTTTTDEHHPAAAAAAPSIEQVFDLFCDGRSIAGPQWHHVREYWEESRRRPEKVLFLRYEEMLREPARNVERLAEFLRCPFTAGEVAAGVVDAIVDLCSIDRLRNVQANKTGVTDLAVKKESFFRRGVAGDWSNHMSPEMASRLDRVVEDALRGSGFTFAAAAGDSE >ORUFI11G15490.1 pep chromosome:OR_W1943:11:18194152:18196457:-1 gene:ORUFI11G15490 transcript:ORUFI11G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYSRRYRHLLEEGKNFVLDTQDGSDDDQFEFIPDSDDEAEDHQFSLDQEFVPETEFQDCGEVEEKGGRIEECSKVEEKGGGIQDCGVTEENVGVIQDCKEVEEKGGEICDGSSMGYSHDGGTRTAALRGGWRIRGKWFERKSGYLLEEGKNMIFDSQDGPDLDEYEFWPDLDDEGGDLYLIGSMVSTSSNAFYPQGGDLWQGTMANQGGGPSKHIMVESSNINGQSKEEEYEPIDDTKLEMLCLLIPGYIQCFQPKKSSVLIMSHE >ORUFI11G15500.1 pep chromosome:OR_W1943:11:18196516:18196788:-1 gene:ORUFI11G15500 transcript:ORUFI11G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGGNPRGGGGGGPRGFGGGGPRGFSGGGPRGFGGSKPRRGNGELGCGRGDDSDLWLGYGGDGSDDGGGGLGSGVSGGGGGLGKRFWR >ORUFI11G15510.1 pep chromosome:OR_W1943:11:18233970:18234742:-1 gene:ORUFI11G15510 transcript:ORUFI11G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLREQEKQLVLSFRAAGEMLLSLPYLLPGQPGRSGSSTVTFYTTMELNAWEIQQLNHFLQEGQGIAREVLRAKLPDDIVRDVGRTRREALVLRLYDLLLRLDKTRVSRGGRVCADRLWEIIFDLLATLCF >ORUFI11G15520.1 pep chromosome:OR_W1943:11:18242183:18242683:-1 gene:ORUFI11G15520 transcript:ORUFI11G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQTRKEAAAAAQDMLKLDAARMRKPAASQSRKGAAAPMRKAQGGARAESMYCG >ORUFI11G15530.1 pep chromosome:OR_W1943:11:18252128:18252999:-1 gene:ORUFI11G15530 transcript:ORUFI11G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSLSEQLARSFRAAAGKLLALSLSDLSAGLPFSLEEPGSGSATTVTYYSTMELNGWEVQKLDRFLQEGQGMAREVMREKPDDFVRDETRREFLVLRLYDHLLLRLDATHVSRAGRVCADRLWDSSPPCVSDR >ORUFI11G15540.1 pep chromosome:OR_W1943:11:18270646:18272010:-1 gene:ORUFI11G15540 transcript:ORUFI11G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRRFFGYDPYDYYYTSSPYDYAYPYYTPAPAATDRRRHASPRFFPAAAADEEYRYGEPVETVDVLRPSSSRRSRARPVSVSVPVQFAGSETKAKAKGCESEMSPPRSVARIGREAAAVRVQAAARGFLARRMVREVRAVEAEAEGVARKVAAEAEALRRDARGRVALGEALMRLLLRLDAVHGAREYRRRVTKRVLALQDAVDALEHVPAAPPVEEEEEARVVADDAAPALDMEEAHDAQEDMMTPSPAQDASQLADDAPAAVDAVDMEENEMAPGSPRAEEEHGVEAEPKPEVEIPAPEAGTEMEVDGGQATGGEAETEKAVEQVVGGEMQEAEEEAEGEWEMVTAESPLEAAAADDDVEAVESSAAPAARVDEPAVQDEEKEKEEGVEMKKVMEMVAALCERSAQQCAVIGALAERVDALERAVRRVEESDRRRRRNKKLKKEAKANS >ORUFI11G15550.1 pep chromosome:OR_W1943:11:18276577:18277309:-1 gene:ORUFI11G15550 transcript:ORUFI11G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGSAPQCYKAPTRSRLPEQKKLSIIDSFRYFLVRFPSPKRAQHMDPAREPARVLRGIKRIDQLAHGLMDPNKMSYEVSMEPTGWEMQDTHLVLMEGQSIAGVVLRTTADPGGAATRTALAWELDALLLRLEAMVEGRCSGGGIVLALRLWDVIFDLFSALVPV >ORUFI11G15560.1 pep chromosome:OR_W1943:11:18297562:18297795:-1 gene:ORUFI11G15560 transcript:ORUFI11G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLTPICLVSILANDASTTSSRGISGSQRRRPWRANPPIRPLPRIQPRHRPPLALNGSRREEKSPAAAAAVTVGRS >ORUFI11G15570.1 pep chromosome:OR_W1943:11:18313923:18314549:-1 gene:ORUFI11G15570 transcript:ORUFI11G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAVAPSWKTVVRGSGTEDAIEGMTSSRKGEHGGGPYMLGRLESCPGRAYLKREGKAATSSRAARLGAGLRGEVGKVGDDHVGDDHVPRMCGRGGKARGRRRHGEQCVRSGHGGCRSGEEEGLDRWAPPVSSRRERMEAKWRKKR >ORUFI11G15580.1 pep chromosome:OR_W1943:11:18315014:18316047:-1 gene:ORUFI11G15580 transcript:ORUFI11G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQHFPFAFFLPIFSLLPHLTWWTRFLVTVGDEEGAAEEFLNTLIGGVKRWPGCKAERRRHVWWWAVPRVSAAHGWRSAVGCGAWPRDGGGVTVVDWGSGAATGICGARLEIGGGLRSLASRWRRVVDWGIGAATGIALGAVVPAVQVEQRGDGSSSGGAQLEDGGERKRHGGCDRGHDELEELGKMVEGEHGGGPYMLGRLESCPGRAYLKREGKAATSSRAARLGAGLRGEVGKVGDDHVPRMCGRGGKARGRWRHGEQCARSGHGGCRSGEEEGLDRWAPPVSSRRERMEAKWRKKR >ORUFI11G15590.1 pep chromosome:OR_W1943:11:18318899:18321878:1 gene:ORUFI11G15590 transcript:ORUFI11G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEVRENGAVVEVADGGKAAAAAKLTVKRGEPELVAPAEATPTGEKYYLSNLDQNIAVIVQTVYCYKPPAASGGDNGDAVAVLRDALAKVLVHYHPLAGRLTISAEMKLAVELTGEGAVFVAADAGYDLADVGDLTKPDPAALGHLVYSIPGAKNILEMPPMTAQVTRFKCGGFALGLAMNHCMFDGLGAMEFVNSWAETARGAAELTVPPFLDRTLLRARDPPVISFEHHEFEEIPDVSDTAALYADQDLLYRSFCFDPDRLERVRALALAGAGAENGDDLVGGRCTTFEALSGLVWRARTRALGLAPEQRTKLLFAVDGRRRFEPPLPRGYFGNGIVLTNAVATAGELLSSPPSRAAGLVQAAVRMVTDGYMRSAVDYFEATRARPSLASTLLITTWSRLAFHGADFGWGAPAMSGPVTLPEKEVILFLAHGEERKSINVLLGLPASAMDAFQELMDEI >ORUFI11G15600.1 pep chromosome:OR_W1943:11:18322914:18329049:-1 gene:ORUFI11G15600 transcript:ORUFI11G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTMLNAADMAGGGAKLQQQQAPTSPTASVSESNIVASTASADPEANDALAGLQALRFDGGGDIDDVEIQSPDIALWESIFADQIGVSGAGADFLLSMSSAAASPRRDFMACSPKRDYMVTTSSPKRDYMVTSSPKRDYMVSSPKREYMVTSPRREMATSPRRATFSNLYTSSHGGGGGGGHHLHHQSYVHGGGMEGGGGGHGAQPQYGGLAGHGKGKAQSPLHKVYINNVGGGSGGGGVKSNTPSTLSCASSYVVHGGESGLPSLPSMDPFLEEGYLGSYQLPEKAAGGVGGGGGGDINRSGASVSVVTAPASSQLLPTLSECLAMPEPAAYRGGGDEAVAAAMAVAGELPVGAFVQPEMYYGGGGEFGGEGMTPPLQHQMAADSSLHSMLGSVIQSEAEQEQDSGLQLVHLLLACADLVSKGDHPAALRHLHLLRRVASPLGDSMQRTLKVYQILYQACPYIKFAHFTANQAIFEAFHGEDRVHVVDLDILQGYQWPAFLQALAARPGGPPTLRLTGVGHPPAAVRETGRHLASLAASLRVPFEFHAAAADRLERLRPAALHRRVGEALAVNAVNRLHRVPSSHLPPLLSMIRDQAPKIITLVEQEAAHNGPYFLGRFLEALHYYSAIFDSLDATFPAESTARMKVEQCLLAPEIRNVVACEGAERVARHERLERWRRLMEGRGFEAVPLSAAAVGQSQVLLGLYGAGDGYRLTEDSGCLLLGWQDRAIIAASAWRC >ORUFI11G15610.1 pep chromosome:OR_W1943:11:18332972:18342782:-1 gene:ORUFI11G15610 transcript:ORUFI11G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSPCDSAAGAPVSLRAHHRRWSSGPRATSAAVLNVAPACGVMPMQWAGVRPHRRRHVPGEAGHRARRHVAVRALAEAEPARRWRSSGRCSFGASRSPRTYVSGGEASKWRLAEQRDLDAGAELLKSTQWQSQTFLASPPSMSRWRRGRSLRPLLRRGLLVAAVCAASLLLLVVLHLHGPELPSSSTARASPFRGELSEARDSDDGEAAAAARMGEEAAGRGSPEAASLRVRELIRRHFLLHGASRVRELPAYEFCKQGFVLGKASEAGFGNEMYKILTAAALSVMLNRGLYPFGEYISYTNHSFTIGEVKHLWRKNRCARTYGRNLSMRVDNFEHPTETNVLCSDWNSWKDPIIWFDGTTDAVGIQFFLKNVHARMKTAASSLFGSPGSLRARPNTFGELMQVIISPSQTVEKAVQWALKGSSPDIVLHMRMMTNRPVRARKAAVNCIKRAIEICHLKGTPRVAVISDTPGFAKDIKQDISEFAEVIYFDHKKFSRSFDLEITGSEKALDFRSRDWGSAPRWAAFVDFFLASQARYAVVTGAHRRVGTTYAQLIAALAAANRFGRESSGTNFTFLSSVHSNLLVDGLSSQGGWGHIWNRYAGPLSCQHQQHQCALTPLLAPAWWDGQWQSPIPRDVRRLLEYGVRLSNTGEVDERHLMSYCRSRKDHVKRRFSSIGELNP >ORUFI11G15610.2 pep chromosome:OR_W1943:11:18332972:18342673:-1 gene:ORUFI11G15610 transcript:ORUFI11G15610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQPAASCRCSGQEFVRTVGVTYQEKLATARAAMSQCARSPKLNRPGDGEAVEGAHSELLARQEPMSPAVKLASGGWQSSVTSTPEPSSSRVRELPAYEFCKQGFVLGKASEAGFGNEMYKILTAAALSVMLNRGLYPFGEYISYTNHSFTIGEVKHLWRKNRCARTYGRNLSMRVDNFEHPTETNVLCSDWNSWKDPIIWFDGTTDAVGIQFFLKNVHARMKTAASSLFGSPGSLRARPNTFGELMQVIISPSQTVEKAVQWALKGSSPDIVLHMRMMTNRPVRARKAAVNCIKRAIEICHLKGTPRVAVISDTPGFAKDIKQDISEFAEVIYFDHKKFSRSFDLEITGSEKALDFRSRDWGSAPRWAAFVDFFLASQARYAVVTGAHRRVGTTYAQLIAALAAANRFGRESSGTNFTFLSSVHSNLLVDGLSSQGGWGHIWNRYAGPLSCQHQQHQCALTPLLAPAWWDGQWQSPIPRDVRRLLEYGVRLSNTGEVDERHLMSYCRSRKDHVKRRFSSIGELNP >ORUFI11G15620.1 pep chromosome:OR_W1943:11:18347779:18348545:-1 gene:ORUFI11G15620 transcript:ORUFI11G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGTDHRKEEAAAASAVEERKKKKRPAAELAAETSSEEADSEAAGADRRRPVVGDPRRRRAARQHGEDRRPVHAGAPEADAARRSPRRRRVHEPHLRQEEGDAACVPIVILLCATYSVPKYLGLGIRSKYLILINVLQFACK >ORUFI11G15630.1 pep chromosome:OR_W1943:11:18354851:18357244:-1 gene:ORUFI11G15630 transcript:ORUFI11G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGRERSQIPSRRAANPPDMATSGTAAAPRRGGKRPPPTGGGRDSAGRGRGMGSCDAGGERGEGAASPVGIKGSGAAMGEIAAPPPTEVIKRRARPSRQSLQGGEQRQTPLPTLAQKLDWVFTQKERESTKQHLQGGNDTRKRPRYRTWKIRQSFHPRLPQECGTSKKLEQEQTGPPTRTNKSPQPPKDSTAGSRNRRPRQGRSIKEWRGPGRPKGKLRAEGKGHKNIGVFHVFGEGLRASRGKPYNLQLWQPPPTSPPAQREEHCGKQQSK >ORUFI11G15640.1 pep chromosome:OR_W1943:11:18393396:18395683:-1 gene:ORUFI11G15640 transcript:ORUFI11G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMSLQHPWAFAFGLLGNIISFMTYLAPLPTFYRIYKSKSTQGFQSVPYVVALFSAMLWIYYALLKSDECLLITINSAGCVIETIYIAVYLVYAPKKAKMFTAKLLLLVNVGVFGLILLLTLLLSAGDRRIVVLGWVCVGFSVSVFVAPLSIIRLVVRTKSVEFMPFSLSFSLTISAVVWFLYGLLIKDKYVALPNVLGFSFGVIQMGLYAMYRNSTPKAVLTKEVEAATATGDDDHSAAGVKEHVVNIAKLSAAVDVVKTREVHPVDVESPPAEAPPQEDDKAAATAAAVAGAGEKKSPPAEAPPEEDDKAAAATAAAVAGAGEKKVAA >ORUFI11G15650.1 pep chromosome:OR_W1943:11:18421277:18423359:1 gene:ORUFI11G15650 transcript:ORUFI11G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTAIGPLTGRSRLLPPSGYGGAVEKVVEAQGEVKAASVMAAATTTTSCGDSATATATTSYNDNVTGEWELARADDISTRGPYKVRLRKGAHSQAHLIWSARRRFTPIYIFIGGHLAPKVISACENKTPTLGKMLFLVVFIDLRDKIFYMCA >ORUFI11G15660.1 pep chromosome:OR_W1943:11:18442624:18442857:1 gene:ORUFI11G15660 transcript:ORUFI11G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPSCAAEMRQKGGAVTTTPGAKTMATVAPCGLRNGRSALARRIEDVEDWDRRPQPLQWRAHRLRLRYLMAAALQ >ORUFI11G15670.1 pep chromosome:OR_W1943:11:18449136:18451077:1 gene:ORUFI11G15670 transcript:ORUFI11G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHGGGTWGRAEEARWCEEEGFLHERGCEGGEQLRFQPRVGFGQRNRGRFRPLFGHKPPFGHKLREAGGRARRDGLTSRTGRYFGAPVPRGSNQQVGGNMFKRRWDEPALMKEGGSSRGIGEMTQTKEEAKGGGESKKPGQIKVGDVVFPVNSEVKKGKNCVSEFDDDDDDLLEFDEEPRVEKKGVDENGEEVEFNLEDWDGDEEDDVLEEVYERVEREEMEENEAGVQQEKIVQLANVGEVLVTPKRASERLMGSSGRHSLEKAKSKKAWMNLDPLSGRVVVSNLKT >ORUFI11G15680.1 pep chromosome:OR_W1943:11:18481458:18489767:-1 gene:ORUFI11G15680 transcript:ORUFI11G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEIDESRKMEFVQELVILCRVNHPNIVKLLGCCLQFEAPMLVYEFVQNKTLQELLDVQRSRRFHVTLGTRLRIAVESAGALAHLHSLSHPILHGDVKPANILLAEGLVAKVSDFGCSTIDENTQAVSKGTPGYIDLDYLLEYQLTAKNDIYSFGVILLELLTGKKPLSKERKCLTSMFQEAMVNGTLCELLDSEIVDESSMSVIYQVAVLASQCLVVPGTTRPVMALVAEELRRLALANEVLRYPQPPLVLEGLSFLNMGSIVSIWYDESKTSGVYSLENNVVFLGWEVIYHNRSIKKQARLGQTDELFLQHGGQLLLEMMKVEGNVGFTLDVREDIKTATSNFEKAHIIGEGGQGTFYTAALNGVDFEAPMLVYEFLQNKTLQELLDLQKSKRFYVILGTRIRIAVESADALVHLHSLPHAIIHGDVKAANILLAEGLVAKVSDFSCLTIDENTQVVLKGTLVYIDPGYLLEYQLTSKNDLLTGQKPLSKDRKSLAWMFQEAMPQGTLFELLDKQCGGPRSSDGGGGERMRSAMAAVVVTRAETDGGSARLWPSPRGSGDDGPPPRRSKGGRPSTMTTMTTTTLTATTTISMMMAQTWRP >ORUFI11G15690.1 pep chromosome:OR_W1943:11:18489791:18492138:-1 gene:ORUFI11G15690 transcript:ORUFI11G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGELTILRLTIAFAAAALLAGGAEAQCLHSCGDVDIPYPFGIGSGDDCALFPGYKIDCNNNRPFYLDVEALSISLQLGQMGVMTPISSSCYNTTAKNMDSTMWGFNLSGTPFMLSDSNKFTVIGCQSLAYISDPMSNYTSGCASSCPGATVVSATNGTCSGIGCCQTTIPKGLEYYKVSFEESMNTSGIYNTTPCSYAVLMDYSNFTLLNSYLASPLKFNTTYGGRAPVMFDWAIWDARDCVEAQKNHTSYACKSDHSVCLNYSSGAELSAYMCNCSKGYQGNPYLQGSNGCRDINECEHPESYSCYGECRNKDGGFDCSCHAGTRGNASIPGGCQKNFLTPKAQVAIGVVAFILSILFGFLGWEVIRHKRSIKRQALLRQNDEFFQQHGGQLLLEMMKVEGNAGFTLYDRQEIETATNNFNKTHIIGEGGRELFTGQC >ORUFI11G15700.1 pep chromosome:OR_W1943:11:18494866:18514763:1 gene:ORUFI11G15700 transcript:ORUFI11G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGKERRLTLVPCTQVAVGGHGIAATATVAGGNGSPGGWLPSVAESPTTVLTPAKVEGCVSRALPIGSRFWALSSDPESSDVDAALESESDVGLGDVEDGDAAFMERALAEGFTADEVLRAGEHLLLAPSQEPSSCSKNNRAWGNGYLARKIVDAVAGQSKPKCKPWRGPLPSARRSQPLTIGDKLAEAMASKKRGSSQLKFLEEKEEDGKFAIEEEGAENSEWQVNLGFEAEKLESGIVEEPEAGNGGRFRPPFGHKPPFGHKPRGAGGRARRGGLAGRTGRYFGAHVPRGGNQVDGNMFKRRWDEPALMKEGGSSRGTGEMTQTKEEAKGGGGSKKLGQIKWISKGVLGSRVKLLTLQQ >ORUFI11G15710.1 pep chromosome:OR_W1943:11:18516790:18519152:1 gene:ORUFI11G15710 transcript:ORUFI11G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTGLSIPMVNGATIHLLPGFRFRPTDDELVIKYLYPRAFHVPLPCAIITDVDIHHHNPWDIVPVAEREKGKHFFTRKEVKYPGSRRSNRVAGNGFWRAAGSEVPIYYKPEGAANDMLVGMRRTLVFHYGKSRSAERTEWAMHEFQLAGAGLLPHPMMRHATSNGSEPPCGCLEATIAKKSDGLSATLRAKRDSAPLMRIMVEPDSSWVICCIYKKRQRAPPVVIPPVIGDVGEAIIPHAIGDAREGQLHFIDFLGQPARNDPSSPHSCTIDPSSLEEGSDESAGDGEDKDGDGMNEAN >ORUFI11G15720.1 pep chromosome:OR_W1943:11:18522841:18525366:1 gene:ORUFI11G15720 transcript:ORUFI11G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGVCINLVNGTSTRLPTNADLVVHYLHRRAIQEPVPCDFITNVDILQHNPWDIVPAEEKTNGKYFFIHEENERLGNHHSNRAAGDGFWRPVGSEVPIYHKRSGGADEALVGMKRTLVFHYGNSSSAKRTEWVMQEFRLAGATLIPCPVTRPATGDGSMLPCHRTGTTIATENNGSPSAGQTHGPLEKTMVEPDSSLRICRIYKKRQRTPQFIIPPSIGDARELILALPTIGNTREVALALPAIDFLGQPSFEEGSDVSADVITDDKDGYGHGMN >ORUFI11G15730.1 pep chromosome:OR_W1943:11:18529758:18542784:1 gene:ORUFI11G15730 transcript:ORUFI11G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGVCINLLNGTTMHLSVGCVFRPTEGELVVNYLYRRAMQEPLPCDFITDVDIQCHNPWDIVPAGEKKNGKHFFTRKENSHPRDYESNHAAGDGFWRLAGTEVPIYNKPSGGADEKLVGMKRTLVFHFRKSSSTERTGWVMPTCRCQPCALPCDEAGHRCHLYHGCTLIDYMRTVLQKNNGSPSAAHTHAPLVETMVEPDNSWMICRIYKKRQRAPQVIIPPSIGNAREAVLAVPAIGNAGDRQVTSLTSQGIDVSRRGVMSLPMSSQRTRAVMVMGRTNRRKKLGLVCVPTHYGELVINYLYQCAIQMSLPYDFITDIDILHHNPLDIVPTRQEKKNGKHFFTRKEKKHHGDNCRNHAAGVGFWRGTGIQEFGDGSDKVIVGMKHTLVFHYRKSSFAESMEWAMQEFQLAGSYLLPCFVMRKMMVETNNSWLICPIYKKRQCLLHSIIPHPLVMEGKSSSFFLPLAMHACLIARQFPLLSRRVAVSLSMSRTTSRMVVAIADDMARVVLSTPIVNGATMHLPAVGMSHPTEGELVFHYLYRRAVNMPLPSEFICDVNVLPHNPWDIVPGALTEREKGKYFFMQKEIKCPSSRRSNRITSKGFWRSAGSEKPVYYNQGGGSDCMLVGMRRTLTFYFGNSRTAERTKWGMQEFRLAGNGLSPYPAMKHATGDGSKPPCNCAETTIVKHPLTGNSVTVLQRNDGLSAVLRNVLAVTPLVETVVEPDGSWLICHIYRTRQRALPVITPPAIENAREIIIPPANGNAREAQVRFIDFLQQGSHIESSSPCSCIVGPSLAEGSDESADN >ORUFI11G15740.1 pep chromosome:OR_W1943:11:18543725:18543997:-1 gene:ORUFI11G15740 transcript:ORUFI11G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETGIQLDSFSLGGPAEYPAGDGERDVLMVRFLRSIAAFLANGTCQMQVNDGLRSVVDLTGGGGREKSLQRLVSAVHRGTCTAVHPTV >ORUFI11G15750.1 pep chromosome:OR_W1943:11:18547492:18548488:-1 gene:ORUFI11G15750 transcript:ORUFI11G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETGIQLDSFSLGGPAEYLASDGERDVLMVRFLRSIAAFLADGTCQMQVNDGLRSVVDLVGGGGGGRSMQRLVSSVRRGACTAATPSATISTTRQGFHAMCPIIRLAAAVSNLSITKVMDAKRGVIHVAGESIACNDGDVACE >ORUFI11G15760.1 pep chromosome:OR_W1943:11:18554501:18555570:1 gene:ORUFI11G15760 transcript:ORUFI11G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTSKLEPKDQLDASAPSHHRRRGFASDLFGRATRKNHHNGGKSRCESGDAAVPMAGRQHEEEQPRQGKKGGRGKREVQAGSPSFRYYCENAAAAAFGEHRAVRAYKRTNYTPKE >ORUFI11G15770.1 pep chromosome:OR_W1943:11:18581322:18584049:-1 gene:ORUFI11G15770 transcript:ORUFI11G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGKVNESIVANLPVPGPSMPIKIPGDSKIEIQNRSRNLTVYASKVVDSVAYNAMLSYPEGQQEKCVAVCRRLEHAHALFDILASCSHSNIIEPIGIWEEKGTKLAYIGFPCFDGPLSLIPTEEIFDVEDATNDKSYTFGFTDQGCKIFVEMFMAVKYVNDLYDDEQIPLKALNFDESKIFYQLNAQRDYRVLLTDFKLEISPTGNARNNRKGKGKASSTVPTVDELKVANWNGLGQLLQKLHKNLGLHAELNHFAELLGKKTVKYEDLVWEAGLWEHNSKVQFIREIYWHYNNDEAKISQLKLRASLGLKSCIDKLEVNKSREPNKEINDKSLYNSLFFLRVYMVAHRDDLIKGYSGAKETVEDRKAVVRLLMKECPTYMVKLIAEIRHLGWIKESPFLRSK >ORUFI11G15780.1 pep chromosome:OR_W1943:11:18593141:18603138:1 gene:ORUFI11G15780 transcript:ORUFI11G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKKHPRFRGTLGTYKFRQALLGDPARHNRFSVLEAEATDDDSSTEPSSRMQDIEDSCGNRSTQDNSRRELVTMEDVGNMNPLLRTLLEMVSEEQISEIPCHGLENLLLVAIYTTVKFNILMMLMLSLLPHGIGEGMGLHLVEENNFLYGAFTFVVTCPWIKKDTKLLSRILLRLSEIWSQPEWETNLLDFFNNAQFRTSVYNVVAFFENELTMCTAENYDGINHERKLNYSTLTTLIPLLFPLLLELLQYVHSLWTDEVASNISEELEGAKCIICSEKLCGIVEETTEIQDMNEEELLVDEIREWLEKIRQTGYNVIGMCASLEGAFCKLLDSFSVCGTLLKDVESMDFRHLTMLIKYTIVPLVKSCPPDLWVEWIDMLLPPVFHYCEETLYSSWCSLLYKDIVSVPDKFCESFSKEMVEKAGKGLLSELTREASYLLAAMALPEQNGSIVSTADLESTSSSLVGYLLCHDNIRSSILRLINYIFGYWKDGEARIIAAPFCHSLIQLAIATHNDELLYFVQDDILPKIVQCLTLEPKSDNNALYLLCEDAYHCMQSQGSAQEGERNGNTAEIFEDWLSKQMIVARYKYTSSDELQDMVWIWEIEEEFIAYLHTYVDMLHKVDEIGDTMEDCYLSSPIKFVSKHDTDCCAISHAWAMSTMLSCSGYDESVQELVEDDSEVWSALPGFCRQETLELFCRILDSWEPQFHPLIRQDDKEMLREIACLLTSREDIHHVQSFQPVSSDFLLHLQPYAQNYIEVKNASLATVLVLKVLFLHQYDRVKEQTRLHEAFDIHLASGALDDFVRRTSSSKDDFIKIILDDDILRSQFTDLDYDLLKLSYEHRDRLESLICELEAEGFFSVDDDSIEWDYREMLNMKDSTWDDAFSVVVDGAFCRWMEDRDLFWMETRYYSHCYYDIIQEPVKMVSKLTLVLMHISSILFLAPENLETPF >ORUFI11G15790.1 pep chromosome:OR_W1943:11:18616824:18620647:-1 gene:ORUFI11G15790 transcript:ORUFI11G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKKVNKLSVANLTSDDSFYPVIVPGNSKIEIQSRVRNLTVYASKIPGSVAYNAMLLYSEGQQEKCLAVRRRSEHAHTLYEILRNCSHRNIIQPMGVWEEMETNLAFIVFPCSDGVVTSIPKEALFDVEDATNAESYTFGFSDQGCRIFREICMAVRYINVLYDEEKIPLKALDLDESKIFYQSKAKGDYHVLLTDIKMEISPTGNVRKNRRAKGKVSSTGVPTVDDVKTANWNGLGQFLKKLHKDLKLHIELSHLSEELGKESVKYEDLVWEPGLWESSTKVQLVRDVYWCYNKNKNRISTLKNKTALGLKSFIDKLEVNKSRAPDKQINDDNLYESLFFLRVYMVAHKDDTIKGYSGTMEMMHDKKAIVRLLMIERPEYMVTLISAIRKLGWIRQSPFCAWITST >ORUFI11G15800.1 pep chromosome:OR_W1943:11:18625935:18635272:1 gene:ORUFI11G15800 transcript:ORUFI11G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKKPTSLSPGTSRFPPSPGIYKFRPAILWDSARHNRFSARNRFSVLEAISDEDDDSLTERDSTTSDSCGEDNSRKDPPLMEDGEIVNPLVRTLLELFSEEQISEIPLMETYIRRCGISEGMGSHLIEENNFLFGAFTSIVACPWIKKDTILLSSILLRLSEIWNQSEWVTNLLDFFEDAQFRTSVYNVVAFFEDQLTMSTTENSNGIDHKEKLSYSTLFALLPLLLPLLLKLLQYVHSLWTDEVASNVSEKLEGAKFIIQAEKPFGMCVCLEGAFYKLLDNISVCGALLKDLEVMEFRHLTMLIKHTIVPLVKNCPAELWPKWIDMILQPVFHYCDDTLDGSWCSFLYKETMLVPDKFCHISFTEEKIEELGKDHLFEVTREISYMLAVMALPELNGGIANEHQSIVSIVETSADLESTCSSSLVGYLLYHDDLRPSILRLINNIIGYWKDSEARIKVVSFCHMLIQLAISTHNDKLISFVQDNLIPMVVRCLIFEPISNNNDLLLLCEDAYRCIQREESVREGQHDGNSAEIFENWLSKQMIVAHYEHSPPDELEDFVCIWEIEEEFTAYLHTYTEMLHKVDGIGDTIEDVYLRCPIPFVSKHDNNCCPISNSWAMSSMLSRKITSMYCKRETEQKFKFLCKLITFKPYIKCSGYDESVQELVDDDSEVWSALPGCCRQETLEDDKEMLREIAYLLTSREDIHCVQSFQPVSSDFLLHLQPYAQNYIEVKNASSGDNFVKIMLDDDTLHSQFIDLDYDLLKLSHERRAKLLSKQDQLCLYYKHMKCAVVNLQHRDRLESLICELESEGFFRVDDDSIEWEKEHFSELVDEFNEHIFAGIHLPKYYVIRGIMVVGGAFCRWMEDRDLFWMETRYYRHRYYDIIQEPIWRTTGDENEMTVGHTTRIIDGNGEFTAGMN >ORUFI11G15810.1 pep chromosome:OR_W1943:11:18637903:18660616:-1 gene:ORUFI11G15810 transcript:ORUFI11G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSAAAAAEAAPREHVERIRRERYYIGREERNPLAEDMHQAVIYLSQEIYSKDVHFLMELVQIWVTPPQPLSVLHASSSVDRSMERRGAIDLQRPAVPNAEDNEYPSGVAPSLDFLVTSNDITGSGASATLLIFNNEKGFSPANIESIIRVGKSTKKGNRDKGYIGEKGIGFKSNAEDNEYPSGVAPSLEFLVTSNDITGSGASATLLIFNNEKGFSPANIESIIRVGKSTKKGNRDKGYIGEKGIGFKSSVFLISSQPHIFSNGYQIKFNEKPCAECGIGYIVPEWVESRPSLSDIRTIYGSSKVLPTTTIILPLKSEKVDASEKVDAVKKQLSSMHPEMLLFLSKIRQLSVKEENVNHKRSPSEKVDAVKKQLSSMHPEMLLFLSKIRQLSVKEENLNPKCSTISEISISSEKNFQERKNMHADEKNFQERKNMHAESYTLHLSALENGKGEEECGYYMWRQKFPVKPENRVDKRAEIDEWRLSRGKQLSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFLNAFVALVKSGADAPSMSLPSMFNFLPVGSSLIPLLEPRLSRGKQMSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFLNAFVALVKSGADVPAMSLPSMFNFLPVGSSLIPLLEPVRSGIKDKVLAEDIVPCESYTPQKIFCKPAVVGRLKPDFWDILSKAQKSGVDLKNLSTHGTYILSSHFDKSAYNSVLEFLGIKSVNPEWYAKCIEGSNLVKETSVGYKPPNESFLSGAEWGSLLQTGSSFVDIPMIDQQFYGNKLQEYKKELQAIGEVPEQLYLEIISFVADNWQYCFSGTNMSSIPLLKYVNRHDVLSFWSLSTASQHCDRLCIASDECISWLISWNKEFPSSSRFFLPPNTQGALKDFSQKTKVTNWLQNYAKVEFVSVYSYAQLIVNSLGSDRSPVIAFAHFLYHSTQKKYIESYYLSELLRAMPVIDNYGSVITARKGILVPAKGSKWVGLMGSNPWRNEKYVELSSDYKSANYFAGQCTSEDQLMAFLKTQLQASDVPFINPPDASFPTVSSPLTMDNAILLLEWIRNLNSKGLQLPARFLACIKQGSWLKTSVGYKPPNESFLSGAEWGSLLQTGSSFVDIPMIDQQFYGNKLQEYKKELQAIGVRFEFREASAYIGDRLMSMAEYNMLTRENVYSLLRLISNPWRNEKYVELSSDYKSANYFAGQCTSEDQLMAFLKTQLQASDVPFINPPDASFPTVSSPLTMDNAILLLEWIRNLNSKEKVLSPSELINSVKNGKWMKTDVGYRSPADCIIKDSGWEVASCISDQPFLDVKFYGEAILSYKQELELLGVLAGFKDNYNLVINNFKFSSTAITPEATILILKCIRHVRSCDDFVNKLRGLKWVRTNMGFCAPNKSFFVDPEWECLIKVFDGIPVIDFGFYGSKISSYKEELKKTGLITRFEEASYKEELKKTGLITRFEEASKAIADIFKQMVSKSALTKANILALLASYRQLRTHSPMPVELFNCMRTEKWLSTSIGSKAPKDAILFNEEWQSLSPIANLPFIDGSDSQHGLGKEIHGYKDVLKELGAIVEVKFGSRFVITGLNIPNDPLSKATVLALLKCIRIYLASTAALPKGFCENIASKEWLKTTIGYRCPDECILFDPKCNCICKEDGPFIDEAFYGSEISSFKDVLMKIGVIVDIKRGHDLVARHLRNHKDSATISRIYLYLKDCNWEPENKTSNWVWLPNGSEWVSAPSCVLHDRDNLFTSHLHVLDKYYDKKLLDYFSVFLGVRHGPKLKKTGLITRFEEASKAIADIFKQMVSKSALTKANILALLASYRQLRTHSPMPVELFNCMRTEKWLSTSIGFKAPKDAILFNEEWQSLSPIANLPFIDGSDSQHGLGKEIHGYKDVLKELGAIVEVKFGSRFVITGLNIPNDPLSKATVLALLKCIRIYLASTAALPKGFCENIASKEWLKTTIGYRCPDECILFDPKYTRICKEDGPFIDEAFYGSEIASFKDVLLKIGVIVDIKLGHDLVARHLRNHKDSATISRIYMYLKDCNWEPENKTSNWVWLPNGSGSGEWVSAPSCVLHDRDNLFTSHLHVLDKYYDNKLLDYFSVFLGVRHGPSSEDYCKLHGPSSEDYCKLWSTWESSVSELSKADCSAFWKFVATNWGQNMNKLLSGCIKVPVCTDGKIILSSKKDVFIPDDLLLKDLFSKLSQQAVFIWYPSSSLPSMSRARLNNIYSSIGVGTISKAVRKNDSFTLGSGSLKTVGLNMVIKAGLLQLVLAFLADPALDISTKERHKMVSWLLNVTVLETDEPITVAYSVSLSSGRALDVKASRMLRWERDNFKLYMQRSHDAAGYKEKIDLLDFQDAAVDFLLKSKNLQLFPEDEAFLKASLQDGGDDYHSSSLVGVVAKPMVVRTVSGIQIILLRLLVWLLKRLKMASKMMVLCIWRTLLNGTFGLFR >ORUFI11G15810.2 pep chromosome:OR_W1943:11:18637903:18660616:-1 gene:ORUFI11G15810 transcript:ORUFI11G15810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSAAAAAEAAPREHVERIRRERYYIGREERNPLAEDMHQAVIYLSQEIYSKDVHFLMELVQIWVTPPQPLSVLHASSSVDRSMERRGAIDLQRPAVPNAEDNEYPSGVAPSLDFLVTSNDITGSGASATLLIFNNEKGFSPANIESIIRVGKSTKKGNRDKGYIGEKGIGFKSNAEDNEYPSGVAPSLEFLVTSNDITGSGASATLLIFNNEKGFSPANIESIIRVGKSTKKGNRDKGYIGEKGIGFKSSVFLISSQPHIFSNGYQIKFNEKPCAECGIGYIVPEWVESRPSLSDIRTIYGSSKVLPTTTIILPLKSEKVDASEKVDAVKKQLSSMHPEMLLFLSKIRQLSVKEENVNHKRSPSEKVDAVKKQLSSMHPEMLLFLSKIRQLSVKEENLNPKCSTISEISISSEKNFQERKNMHADEKNFQERKNMHAESYTLHLSALENGKGEEECGYYMWRQKFPVKPENRVDKRAEIDEWRLSRGKQLSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFLNAFVALVKSGADAPSMSLPSMFNFLPVGSSLIPLLEPRLSRGKQMSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFLNAFVALVKSGADVPAMSLPSMFNFLPVGSSLIPLLEPVRSGIKDKVLAEDIVPCESYTPQKIFCKPAVVGRLKPDFWDILSKAQKSGVDLKNLSTHGTYILSSHFDKSAYNSVLEFLGIKSVNPEWYAKCIEGSNLVKETSVGYKPPNESFLSGAEWGSLLQTGSSFVDIPMIDQQFYGNKLQEYKKELQAIGEVPEQLYLEIISFVADNWQYCFSGTNMSSIPLLKYVNRHDVLSFWSLSTASQHCDRLCIASDECISWLISWNKEFPSSSRFFLPPNTQGALKDFSQKTKVTNWLQNYAKVEFVSVYSYAQLIVNSLGSDRSPVIAFAHFLYHSTQKKYIESYYLSELLRAMPVIDNYGSVITARKGILVPAKGSKWVGLMGSNPWRNEKYVELSSDYKSANYFAGQCTSEDQLMAFLKTQLQASDVPFINPPDASFPTVSSPLTMDNAILLLEWIRNLNSKGLQLPARFLACIKQGSWLKTSVGYKPPNESFLSGAEWGSLLQTGSSFVDIPMIDQQFYGNKLQEYKKELQAIGVRFEFREASAYIGDRLMSMAEYNMLTRENVYSLLRLISNPWRNEKYVELSSDYKSANYFAGQCTSEDQLMAFLKTQLQASDVPFINPPDASFPTVSSPLTMDNAILLLEWIRNLNSKEKVLSPSELINSVKNGKWMKTDVGYRSPADCIIKDSGWEVASCISDQPFLDVKFYGEAILSYKQELELLGVLAGFKDNYNLVINNFKFSSTAITPEATILILKCIRHVRSCDDFVNKLRGLKWVRTNMGFCAPNKSFFVDPEWECLIKVFDGIPVIDFGFYGSKISSYKEELKKTGLITRFEEASYKEELKKTGLITRFEEASKAIADIFKQMVSKSALTKANILALLASYRQLRTHSPMPVELFNCMRTEKWLSTSIGSKAPKDAILFNEEWQSLSPIANLPFIDGSDSQHGLGKEIHGYKDVLKELGAIVEVKFGSRFVITGLNIPNDPLSKATVLALLKCIRIYLASTAALPKGFCENIASKEWLKTTIGYRCPDECILFDPKCNCICKEDGPFIDEAFYGSEISSFKDVLMKIGVIVDIKRGHDLVARHLRNHKDSATISRIYLYLKDCNWEPENKTSNWVWLPNGSEWVSAPSCVLHDRDNLFTSHLHVLDKYYDKKLLDYFSVFLGVRHGPKLKKTGLITRFEEASKAIADIFKQMVSKSALTKANILALLASYRQLRTHSPMPVELFNCMRTEKWLSTSIGFKAPKDAILFNEEWQSLSPIANLPFIDGSDSQHGLGKEIHGYKDVLKELGAIVEVKFGSRFVITGLNIPNDPLSKATVLALLKCIRIYLASTAALPKGFCENIASKEWLKTTIGYRCPDECILFDPKYTRICKEDGPFIDEAFYGSEIASFKDVLLKIGVIVDIKLGHDLVARHLRNHKDSATISRIYMYLKDCNWEPENKTSNWVWLPNGSGSGEWVSAPSCVLHDRDNLFTSHLHVLDKYYDNKLLDYFSVFLGVRHGPSSEDYCKLHGPSSEDYCKLWSTWESSVSELSKADCSAFWKFVATNWGQNMNKLLSGCIKVPVCTDGKIILSSKKDVFIPDDLLLKDLFSKLSQQAVFIWYPSSSLPSMSRARLNNIYSSIGVGTISKAVRKNDSFTLGSGSLKTVGLNMVIKAGLLQLVLAFLADPALDISTKERHKMVSWLLNVTVLETDEPITVAYSVSLSSGRALDVKASRMLRWERDNFKLYMQRSHDAAGYKEKIDLLDFQDAAVDFLLKSKNLQLFPEDEAFLKASLQDGGDDYHSSSLVGVVAKPMASKMMVLCIWRTLLNGTFGLFR >ORUFI11G15810.3 pep chromosome:OR_W1943:11:18637903:18660616:-1 gene:ORUFI11G15810 transcript:ORUFI11G15810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSAAAAAEAAPREHVERIRRERYYIGREERNPLAEDMHQAVIYLSQEIYSKDVHFLMELVQIWVTPPQPLSVLHASSSVDRSMERRGAIDLQRPAVPNAEDNEYPSGVAPSLDFLVTSNDITGSGASATLLIFNNEKGFSPANIESIIRVGKSTKKGNRDKGYIGEKGIGFKSNAEDNEYPSGVAPSLEFLVTSNDITGSGASATLLIFNNEKGFSPANIESIIRVGKSTKKGNRDKGYIGEKGIGFKSSVFLISSQPHIFSNGYQIKFNEKPCAECGIGYIVPEWVESRPSLSDIRTIYGSSKVLPTTTIILPLKSEKVDASEKVDAVKKQLSSMHPEMLLFLSKIRQLSVKEENVNHKRSPSEKVDAVKKQLSSMHPEMLLFLSKIRQLSVKEENLNPKCSTISEISISSEKNFQERKNMHADEKNFQERKNMHAESYTLHLSALENGKGEEECGYYMWRQKFPVKPENRVDKRAEIDEWRLSRGKQLSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFLNAFVALVKSGADAPSMSLPSMFNFLPVGSSLIPLLEPRLSRGKQMSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFLNAFVALVKSGADVPAMSLPSMFNFLPVGSSLIPLLEPVRSGIKDKVLAEDIVPCESYTPQKIFCKPAVVGRLKPDFWDILSKAQKSGVDLKNLSTHGTYILSSHFDKSAYNSVLEFLGIKSVNPEWYAKCIEGSNLVKETSVGYKPPNESFLSGAEWGSLLQTGSSFVDIPMIDQQFYGNKLQEYKKELQAIGEVPEQLYLEIISFVADNWQYCFSGTNMSSIPLLKYVNRHDVLSFWSLSTASQHCDRLCIASDECISWLISWNKEFPSSSRFFLPPNTQGALKDFSQKTKVTNWLQNYAKVEFVSVYSYAQLIVNSLGSDRSPVIAFAHFLYHSTQKKYIESYYLSELLRAMPVIDNYGSVITARKGILVPAKGSKWVGLMGSNPWRNEKYVELSSDYKSANYFAGQCTSEDQLMAFLKTQLQASDVPFINPPDASFPTVSSPLTMDNAILLLEWIRNLNSKGLQLPARFLACIKQGSWLKTSVGYKPPNESFLSGAEWGSLLQTGSSFVDIPMIDQQFYGNKLQEYKKELQAIGVRFEFREASAYIGDRLMSMAEYNMLTRENVYSLLRLIRFMREKVLSPSELINSVKNGKWMKTDVGYRSPADCIIKDSGWEVASCISDQPFLDVKFYGEAILSYKQELELLGVLAGFKDNYNLVINNFKFSSTAITPEATILILKCIRHVRSCDDFVNKLRGLKWVRTNMGFCAPNKSFFVDPEWECLIKVFDGIPVIDFGFYGSKISSYKEELKKTGLITRFEEASYKEELKKTGLITRFEEASKAIADIFKQMVSKSALTKANILALLASYRQLRTHSPMPVELFNCMRTEKWLSTSIGSKAPKDAILFNEEWQSLSPIANLPFIDGSDSQHGLGKEIHGYKDVLKELGAIVEVKFGSRFVITGLNIPNDPLSKATVLALLKCIRIYLASTAALPKGFCENIASKEWLKTTIGYRCPDECILFDPKCNCICKEDGPFIDEAFYGSEISSFKDVLMKIGVIVDIKRGHDLVARHLRNHKDSATISRIYLYLKDCNWEPENKTSNWVWLPNGSEWVSAPSCVLHDRDNLFTSHLHVLDKYYDKKLLDYFSVFLGVRHGPKLKKTGLITRFEEASKAIADIFKQMVSKSALTKANILALLASYRQLRTHSPMPVELFNCMRTEKWLSTSIGFKAPKDAILFNEEWQSLSPIANLPFIDGSDSQHGLGKEIHGYKDVLKELGAIVEVKFGSRFVITGLNIPNDPLSKATVLALLKCIRIYLASTAALPKGFCENIASKEWLKTTIGYRCPDECILFDPKYTRICKEDGPFIDEAFYGSEIASFKDVLLKIGVIVDIKLGHDLVARHLRNHKDSATISRIYMYLKDCNWEPENKTSNWVWLPNGSGSGEWVSAPSCVLHDRDNLFTSHLHVLDKYYDNKLLDYFSVFLGVRHGPSSEDYCKLHGPSSEDYCKLWSTWESSVSELSKADCSAFWKFVATNWGQNMNKLLSGCIKVPVCTDGKIILSSKKDVFIPDDLLLKDLFSKLSQQAVFIWYPSSSLPSMSRARLNNIYSSIGVGTISKAVRKNDSFTLGSGSLKTVGLNMVIKAGLLQLVLAFLADPALDISTKERHKMVSWLLNVTVLETDEPITVAYSVSLSSGRALDVKASRMLRWERDNFKLYMQRSHDAAGYKEKIDLLDFQDAAVDFLLKSKNLQLFPEDEAFLKASLQDGGDDYHSSSLVGVVAKPMVVRTVSGIQIILLRLLVWLLKRLKMASKMMVLCIWRTLLNGTFGLFR >ORUFI11G15810.4 pep chromosome:OR_W1943:11:18637903:18660616:-1 gene:ORUFI11G15810 transcript:ORUFI11G15810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSAAAAAEAAPREHVERIRRERYYIGREERNPLAEDMHQAVIYLSQEIYSKDVHFLMELVQIWVTPPQPLSVLHASSSVDRSMERRGAIDLQRPAVPNAEDNEYPSGVAPSLDFLVTSNDITGSGASATLLIFNNEKGFSPANIESIIRVGKSTKKGNRDKGYIGEKGIGFKSNAEDNEYPSGVAPSLEFLVTSNDITGSGASATLLIFNNEKGFSPANIESIIRVGKSTKKGNRDKGYIGEKGIGFKSVFLISSQPHIFSNGYQIKFNEKPCAECGIGYIVPEWVESRPSLSDIRTIYGSSKVLPTTTIILPLKSEKVDASEKVDAVKKQLSSMHPEMLLFLSKIRQLSVKEENVNHKRSPSEKVDAVKKQLSSMHPEMLLFLSKIRQLSVKEENLNPKCSTISEISISSEKNFQERKNMHADEKNFQERKNMHAESYTLHLSALENGKGEEECGYYMWRQKFPVKPENRVDKRAEIDEWRLSRGKQLSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFLNAFVALVKSGADAPSMSLPSMFNFLPVGSSLIPLLEPRLSRGKQMSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFLNAFVALVKSGADVPAMSLPSMFNFLPVGSSLIPLLEPVRSGIKDKVLAEDIVPCESYTPQKIFCKPAVVGRLKPDFWDILSKAQKSGVDLKNLSTHGTYILSSHFDKSAYNSVLEFLGIKSVNPEWYAKCIEGSNLVKETSVGYKPPNESFLSGAEWGSLLQTGSSFVDIPMIDQQFYGNKLQEYKKELQAIGEVPEQLYLEIISFVADNWQYCFSGTNMSSIPLLKYVNRHDVLSFWSLSTASQHCDRLCIASDECISWLISWNKEFPSSSRFFLPPNTQGALKDFSQKTKVTNWLQNYAKVEFVSVYSYAQLIVNSLGSDRSPVIAFAHFLYHSTQKKYIESYYLSELLRAMPVIDNYGSVITARKGILVPAKGSKWVGLMGSNPWRNEKYVELSSDYKSANYFAGQCTSEDQLMAFLKTQLQASDVPFINPPDASFPTVSSPLTMDNAILLLEWIRNLNSKGLQLPARFLACIKQGSWLKTSVGYKPPNESFLSGAEWGSLLQTGSSFVDIPMIDQQFYGNKLQEYKKELQAIGVRFEFREASAYIGDRLMSMAEYNMLTRENVYSLLRLISNPWRNEKYVELSSDYKSANYFAGQCTSEDQLMAFLKTQLQASDVPFINPPDASFPTVSSPLTMDNAILLLEWIRNLNSKEKVLSPSELINSVKNGKWMKTDVGYRSPADCIIKDSGWEVASCISDQPFLDVKFYGEAILSYKQELELLGVLAGFKDNYNLVINNFKFSSTAITPEATILILKCIRHVRSCDDFVNKLRGLKWVRTNMGFCAPNKSFFVDPEWECLIKVFDGIPVIDFGFYGSKISSYKEELKKTGLITRFEEASYKEELKKTGLITRFEEASKAIADIFKQMVSKSALTKANILALLASYRQLRTHSPMPVELFNCMRTEKWLSTSIGSKAPKDAILFNEEWQSLSPIANLPFIDGSDSQHGLGKEIHGYKDVLKELGAIVEVKFGSRFVITGLNIPNDPLSKATVLALLKCIRIYLASTAALPKGFCENIASKEWLKTTIGYRCPDECILFDPKCNCICKEDGPFIDEAFYGSEISSFKDVLMKIGVIVDIKRGHDLVARHLRNHKDSATISRIYLYLKDCNWEPENKTSNWVWLPNGSEWVSAPSCVLHDRDNLFTSHLHVLDKYYDKKLLDYFSVFLGVRHGPKLKKTGLITRFEEASKAIADIFKQMVSKSALTKANILALLASYRQLRTHSPMPVELFNCMRTEKWLSTSIGFKAPKDAILFNEEWQSLSPIANLPFIDGSDSQHGLGKEIHGYKDVLKELGAIVEVKFGSRFVITGLNIPNDPLSKATVLALLKCIRIYLASTAALPKGFCENIASKEWLKTTIGYRCPDECILFDPKYTRICKEDGPFIDEAFYGSEIASFKDVLLKIGVIVDIKLGHDLVARHLRNHKDSATISRIYMYLKDCNWEPENKTSNWVWLPNGSGSGEWVSAPSCVLHDRDNLFTSHLHVLDKYYDNKLLDYFSVFLGVRHGPSSEDYCKLHGPSSEDYCKLWSTWESSVSELSKADCSAFWKFVATNWGQNMNKLLSGCIKVPVCTDGKIILSSKKDVFIPDDLLLKDLFSKLSQQAVFIWYPSSSLPSMSRARLNNIYSSIGVGTISKAVRKNDSFTLGSGSLKTVGLNMVIKAGLLQLVLAFLADPALDISTKERHKMVSWLLNVTVLETDEPITVAYSVSLSSGRALDVKASRMLRWERDNFKLYMQRSHDAAGYKEKIDLLDFQDAAVDFLLKSKNLQLFPEDEAFLKASLQDGGDDYHSSSLVGVVAKPMVVRTVSGIQIILLRLLVWLLKRLKMASKMMVLCIWRTLLNGTFGLFR >ORUFI11G15810.5 pep chromosome:OR_W1943:11:18637903:18660616:-1 gene:ORUFI11G15810 transcript:ORUFI11G15810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSAAAAAEAAPREHVERIRRERYYIGREERNPLAEDMHQAVIYLSQEIYSKDVHFLMELVQIWVTPPQPLSVLHASSSVDRSMERRGAIDLQRPAVPNAEDNEYPSGVAPSLDFLVTSNDITGSGASATLLIFNNEKGFSPANIESIIRVGKSTKKGNRDKGYIGEKGIGFKSNAEDNEYPSGVAPSLEFLVTSNDITGSGASATLLIFNNEKGFSPANIESIIRVGKSTKKGNRDKGYIGEKGIGFKSSVFLISSQPHIFSNGYQIKFNEKPCAECGIGYIVPEWVESRPSLSDIRTIYGSSKVLPTTTIILPLKSEKVDASEKVDAVKKQLSSMHPEMLLFLSKIRQLSVKEENVNHKRSPSEKVDAVKKQLSSMHPEMLLFLSKIRQLSVKEENLNPKCSTISEISISSEKNFQERKNMHADEKNFQERKNMHAESYTLHLSALENGKGEEECGYYMWRQKFPVKPENRVDKRAEIDEWRLSRGKQLSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFLNAFVALVKSGADAPSMSLPSMFNFLPVGSSLIPLLEPRLSRGKQMSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFLNAFVALVKSGADVPAMSLPSMFNFLPVGSSLIPLLEPVRSGIKDKVLAEDIVPCESYTPQKIFCKPAVVGRLKPDFWDILSKAQKSGVDLKNLSTHGTYILSSHFDKSAYNSVLEFLGIKSVNPEWYAKCIEGSNLVKETSVGYKPPNESFLSGAEWGSLLQTGSSFVDIPMIDQQFYGNKLQEYKKELQAIGEVPEQLYLEIISFVADNWQYCFSGTNMSSIPLLKYVNRHDVLSFWSLSTASQHCDRLCIASDECISWLISWNKEFPSSSRFFLPPNTQGALKDFSQKTKVTNWLQNYAKVEFVSVYSYAQLIVNSLGSDRSPVIAFAHFLYHSTQKKYIESYYLSELLRAMPVIDNYGSVITARKGILVPAKGSKWVGLMGSNPWRNEKYVELSSDYKSANYFAGQCTSEDQLMAFLKTQLQASDVPFINPPDASFPTVSSPLTMDNAILLLEWIRNLNSKGLQLPARFLACIKQGSWLKTSVGYKPPNESFLSGAEWGSLLQTGSSFVDIPMIDQQFYGNKLQEYKKELQAIGVRFEFREASAYIGDRLMSMAEYNMLTRENVYSLLRLISNPWRNEKYVELSSDYKSANYFAGQCTSEDQLMAFLKTQLQASDVPFINPPDASFPTVSSPLTMDNAILLLEWIRNLNSKEKVLSPSELINSVKNGKWMKTDVGYRSPADCIIKDSGWEVASCISDQPFLDVKFYGEAILSYKQELELLGVLAGFKDNYNLVINNFKFSSTAITPEATILILKCIRHVRSCDDFVNKLRGLKWVRTNMGFCAPNKSFFVDPEWECLIKVFDGIPVIDFGFYGSKISSYKEELKKTGLITRFEEASYKEELKKTGLITRFEEASKAIADIFKQMVSKSALTKANILALLASYRQLRTHSPMPVELFNCMRTEKWLSTSIGSKAPKDAILFNEEWQSLSPIANLPFIDGSDSQHGLGKEIHGYKDVLKELGAIVEVKFGSRFVITGLNIPNDPLSKATVLALLKCIRIYLASTAALPKGFCENIASKEWLKTTIGYRCPDECILFDPKCNCICKEDGPFIDEAFYGSEISSFKDVLMKIGVIVDIKRGHDLVARHLRNHKDSATISRIYLYLKDCNWEPENKTSNWVWLPNGSEWVSAPSCVLHDRDNLFTSHLHVLDKYYDKKLLDYFSVFLGVRHGPKLKKTGLITRFEEASKAIADIFKQMVSKSALTKANILALLASYRQLRTHSPMPVELFNCMRTEKWLSTSIGFKAPKDAILFNEEWQSLSPIANLPFIDGSDSQHGLGKEIHGYKDVLKELGAIVEVKFGSRFVITGLNIPNDPLSKATVLALLKCIRIYLASTAALPKGFCENIASKEWLKTTIGYRCPDECILFDPKYTRICKEDGPFIDEAFYGSEIASFKDVLLKIGVIVDIKLGHDLVARHLRNHKDSATISRIYMYLKDCNWEPENKTSNWVWLPNGSGSGEWVSAPSCVLHDRDNLFTSHLHVLDKYYDNKLLDYFSVFLGVRHGPSSEDYCKLHGPSSEDYCKLWSTWESSVSELSKADCSAFWKFVATNWGQNMNKLLSGCIKVPVCTDGKIILSSKKDVFIPDDLLLKDLFSKLSQQAVFIWYPSSSLPSMSRARLNNIYSSIGVGTISKAVRKNDSFTLGSGSLKTVGLNMVIKAGLLQLVLAFLADPALDISTKERHKMVSWLLNVTVLETDEPITVAYSVSLSSGRALDVKASRMLRWERDNFKLYMQRSHDAAGYKEKIDLLDFQDAAVDFLLKSKNLQLFPEDEAFLKASLQDHSSSLVGVVAKTMASKMMVLCIWRTLLNGTFGLFR >ORUFI11G15810.6 pep chromosome:OR_W1943:11:18637903:18660616:-1 gene:ORUFI11G15810 transcript:ORUFI11G15810.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSAAAAAEAAPREHVERIRRERYYIGREERNPLAEDMHQAVIYLSQEIYSKDVHFLMELVQIWVTPPQPLSVLHASSSVDRSMERRGAIDLQRPAVPNAEDNEYPSGVAPSLEFLVTSNDITGSGASATLLIFNNEKGFSPANIESIIRVGKSTKKGNRDKGYIGEKGIGFKSVFLISSQPHIFSNGYQIKFNEKPCAECGIGYIVPEWVESRPSLSDIRTIYGSSKVLPTTTIILPLKSEKVDASEKVDAVKKQLSSMHPEMLLFLSKIRQLSVKEENVNHKRSPSEKVDAVKKQLSSMHPEMLLFLSKIRQLSVKEENLNPKCSTISEISISSEKNFQERKNMHADEKNFQERKNMHAESYTLHLSALENGKGEEECGYYMWRQKFPVKPENRVDKRAEIDEWRLSRGKQLSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFLNAFVALVKSGADAPSMSLPSMFNFLPVGSSLIPLLEPRLSRGKQMSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFLNAFVALVKSGADVPAMSLPSMFNFLPVGSSLIPLLEPVRSGIKDKVLAEDIVPCESYTPQKIFCKPAVVGRLKPDFWDILSKAQKSGVDLKNLSTHGTYILSSHFDKSAYNSVLEFLGIKSVNPEWYAKCIEGSNLVKETSVGYKPPNESFLSGAEWGSLLQTGSSFVDIPMIDQQFYGNKLQEYKKELQAIGEVPEQLYLEIISFVADNWQYCFSGTNMSSIPLLKYVNRHDVLSFWSLSTASQHCDRLCIASDECISWLISWNKEFPSSSRFFLPPNTQGALKDFSQKTKVTNWLQNYAKVEFVSVYSYAQLIVNSLGSDRSPVIAFAHFLYHSTQKKYIESYYLSELLRAMPVIDNYGSVITARKGILVPAKGSKWVGLMGSNPWRNEKYVELSSDYKSANYFAGQCTSEDQLMAFLKTQLQASDVPFINPPDASFPTVSSPLTMDNAILLLEWIRNLNSKGLQLPARFLACIKQGSWLKTSVGYKPPNESFLSGAEWGSLLQTGSSFVDIPMIDQQFYGNKLQEYKKELQAIGVRFEFREASAYIGDRLMSMAEYNMLTRENVYSLLRLISNPWRNEKYVELSSDYKSANYFAGQCTSEDQLMAFLKTQLQASDVPFINPPDASFPTVSSPLTMDNAILLLEWIRNLNSKEKVLSPSELINSVKNGKWMKTDVGYRSPADCIIKDSGWEVASCISDQPFLDVKFYGEAILSYKQELELLGVLAGFKDNYNLVINNFKFSSTAITPEATILILKCIRHVRSCDDFVNKLRGLKWVRTNMGFCAPNKSFFVDPEWECLIKVFDGIPVIDFGFYGSKISSYKEELKKTGLITRFEEASYKEELKKTGLITRFEEASKAIADIFKQMVSKSALTKANILALLASYRQLRTHSPMPVELFNCMRTEKWLSTSIGSKAPKDAILFNEEWQSLSPIANLPFIDGSDSQHGLGKEIHGYKDVLKELGAIVEVKFGSRFVITGLNIPNDPLSKATVLALLKCIRIYLASTAALPKGFCENIASKEWLKTTIGYRCPDECILFDPKCNCICKEDGPFIDEAFYGSEISSFKDVLMKIGVIVDIKRGHDLVARHLRNHKDSATISRIYLYLKDCNWEPENKTSNWVWLPNGSEWVSAPSCVLHDRDNLFTSHLHVLDKYYDKKLLDYFSVFLGVRHGPKLKKTGLITRFEEASKAIADIFKQMVSKSALTKANILALLASYRQLRTHSPMPVELFNCMRTEKWLSTSIGFKAPKDAILFNEEWQSLSPIANLPFIDGSDSQHGLGKEIHGYKDVLKELGAIVEVKFGSRFVITGLNIPNDPLSKATVLALLKCIRIYLASTAALPKGFCENIASKEWLKTTIGYRCPDECILFDPKYTRICKEDGPFIDEAFYGSEIASFKDVLLKIGVIVDIKLGHDLVARHLRNHKDSATISRIYMYLKDCNWEPENKTSNWVWLPNGSGSGEWVSAPSCVLHDRDNLFTSHLHVLDKYYDNKLLDYFSVFLGVRHGPSSEDYCKLHGPSSEDYCKLWSTWESSVSELSKADCSAFWKFVATNWGQNMNKLLSGCIKVPVCTDGKIILSSKKDVFIPDDLLLKDLFSKLSQQAVFIWYPSSSLPSMSRARLNNIYSSIGVGTISKAVRKNDSFTLGSGSLKTVGLNMVIKAGLLQLVLAFLADPALDISTKERHKMVSWLLNVTVLETDEPITVAYSVSLSSGRALDVKASRMLRWERDNFKLYMQRSHDAAGYKEKIDLLDFQDAAVDFLLKSKNLQLFPEDEAFLKASLQDGGDDYHSSSLVGVVAKPMVVRTVSGIQIILLRLLVWLLKRLKMASKMMVLCIWRTLLNGTFGLFR >ORUFI11G15810.7 pep chromosome:OR_W1943:11:18637903:18660616:-1 gene:ORUFI11G15810 transcript:ORUFI11G15810.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSAAAAAEAAPREHVERIRRERYYIGREERNPLAEDMHQAVIYLSQEIYSKDVHFLMELVQNAEDNEYPSGVAPSLEFLVTSNDITGSGASATLLIFNNEKGFSPANIESIIRVGKSTKKGNRDKGYIGEKGIGFKSVFLISSQPHIFSNGYQIKFNEKPCAECGIGYIVPEWVESRPSLSDIRTIYGSSKVLPTTTIILPLKSEKVDASEKVDAVKKQLSSMHPEMLLFLSKIRQLSVKEENVNHKRSPSEKVDAVKKQLSSMHPEMLLFLSKIRQLSVKEENLNPKCSTISEISISSEKNFQERKNMHADEKNFQERKNMHAESYTLHLSALENGKGEEECGYYMWRQKFPVKPENRVDKRAEIDEWRLSRGKQLSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFLNAFVALVKSGADAPSMSLPSMFNFLPVGSSLIPLLEPRLSRGKQMSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFLNAFVALVKSGADVPAMSLPSMFNFLPVGSSLIPLLEPVRSGIKDKVLAEDIVPCESYTPQKIFCKPAVVGRLKPDFWDILSKAQKSGVDLKNLSTHGTYILSSHFDKSAYNSVLEFLGIKSVNPEWYAKCIEGSNLVKETSVGYKPPNESFLSGAEWGSLLQTGSSFVDIPMIDQQFYGNKLQEYKKELQAIGEVPEQLYLEIISFVADNWQYCFSGTNMSSIPLLKYVNRHDVLSFWSLSTASQHCDRLCIASDECISWLISWNKEFPSSSRFFLPPNTQGALKDFSQKTKVTNWLQNYAKVEFVSVYSYAQLIVNSLGSDRSPVIAFAHFLYHSTQKKYIESYYLSELLRAMPVIDNYGSVITARKGILVPAKGSKWVGLMGSNPWRNEKYVELSSDYKSANYFAGQCTSEDQLMAFLKTQLQASDVPFINPPDASFPTVSSPLTMDNAILLLEWIRNLNSKGLQLPARFLACIKQGSWLKTSVGYKPPNESFLSGAEWGSLLQTGSSFVDIPMIDQQFYGNKLQEYKKELQAIGVRFEFREASAYIGDRLMSMAEYNMLTRENVYSLLRLISNPWRNEKYVELSSDYKSANYFAGQCTSEDQLMAFLKTQLQASDVPFINPPDASFPTVSSPLTMDNAILLLEWIRNLNSKEKVLSPSELINSVKNGKWMKTDVGYRSPADCIIKDSGWEVASCISDQPFLDVKFYGEAILSYKQELELLGVLAGFKDNYNLVINNFKFSSTAITPEATILILKCIRHVRSCDDFVNKLRGLKWVRTNMGFCAPNKSFFVDPEWECLIKVFDGIPVIDFGFYGSKISSYKEELKKTGLITRFEEASYKEELKKTGLITRFEEASKAIADIFKQMVSKSALTKANILALLASYRQLRTHSPMPVELFNCMRTEKWLSTSIGSKAPKDAILFNEEWQSLSPIANLPFIDGSDSQHGLGKEIHGYKDVLKELGAIVEVKFGSRFVITGLNIPNDPLSKATVLALLKCIRIYLASTAALPKGFCENIASKEWLKTTIGYRCPDECILFDPKCNCICKEDGPFIDEAFYGSEISSFKDVLMKIGVIVDIKRGHDLVARHLRNHKDSATISRIYLYLKDCNWEPENKTSNWVWLPNGSEWVSAPSCVLHDRDNLFTSHLHVLDKYYDKKLLDYFSVFLGVRHGPKLKKTGLITRFEEASKAIADIFKQMVSKSALTKANILALLASYRQLRTHSPMPVELFNCMRTEKWLSTSIGFKAPKDAILFNEEWQSLSPIANLPFIDGSDSQHGLGKEIHGYKDVLKELGAIVEVKFGSRFVITGLNIPNDPLSKATVLALLKCIRIYLASTAALPKGFCENIASKEWLKTTIGYRCPDECILFDPKYTRICKEDGPFIDEAFYGSEIASFKDVLLKIGVIVDIKLGHDLVARHLRNHKDSATISRIYMYLKDCNWEPENKTSNWVWLPNGSGSGEWVSAPSCVLHDRDNLFTSHLHVLDKYYDNKLLDYFSVFLGVRHGPSSEDYCKLHGPSSEDYCKLWSTWESSVSELSKADCSAFWKFVATNWGQNMNKLLSGCIKVPVCTDGKIILSSKKDVFIPDDLLLKDLFSKLSQQAVFIWYPSSSLPSMSRARLNNIYSSIGVGTISKAVRKNDSFTLGSGSLKTVGLNMVIKAGLLQLVLAFLADPALDISTKERHKMVSWLLNVTVLETDEPITVAYSVSLSSGRALDVKASRMLRWERDNFKLYMQRSHDAAGYKEKIDLLDFQDAAVDFLLKSKNLQLFPEDEAFLKASLQDGGDDYHSSSLVGVVAKPMVVRTVSGIQIILLRLLVWLLKRLKMASKMMVLCIWRTLLNGTFGLFR >ORUFI11G15820.1 pep chromosome:OR_W1943:11:18652012:18655131:1 gene:ORUFI11G15820 transcript:ORUFI11G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTMAMAALPLFALPLARARDAIGVKRVRKKKKKKKREDGRLTGTQCRPQSFTKNTQFCEIHP >ORUFI11G15830.1 pep chromosome:OR_W1943:11:18666618:18673209:-1 gene:ORUFI11G15830 transcript:ORUFI11G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSPAPPPPAAMPPPREHVERIRRERFFIGRGERNPLAEDMHQAVNYLSQEIYSKDVHFLMELIQNAEDNDYPSGVAPSLEFLITSKDITGLGAPSTLLIFNNENGFSPSNVESICRVGKSTKKGNRHQGYIGEKGIGFKSVFLISRQPHIFSNGYRIKFNEDPSSECNIGYIVPEWVESKPSLSDIQELHGSSKPLPTTTIILPLKSEKVDVVKKQLSSIHPEILLFLTKIRRLSVREDNSDPKCSTINEISMESEKNYQVRKNIHAESYTLHLSAQENKEQEECGYYMWRQKFPVKPENRVDMRADIDEYVITLAFPHGQRLSRGKQSSPGVYAFLPTEILTNFPFIIQADFLLASSRETILFDSMWNKGILECVPSAFLNAFVALVKSSADAPAMSLPSMFNFLPVHPSHVPFLEPVRSAIKDKVRTENIMPCESYTLQKMFCKPGEVGRIKPGFWTILKKAQECGVDLKNLSAHGTYILSCHFDKSTYDSVLAFLDVKNVSAKWYAKCIEGSNLVYELPEELYIEFLYFLATNWDSFSSTSMKSIPLLKYVDRYGAPTFWSIYKASQSSGRLCISSHKKYIQWLISWNQEFPSCNQFFMPLSTQTALYDFSKNTFVTNWLRGHVNVQVVSVHGYGLNIIAKLLDHDRRSIVAFAHFLYHSFKMGHIEGYFVTQLCHAMPIINIYGKVVKTKTNIVVPAKGSKWVRLMGTNPWKDEKYTVLAADYMSSGSFARKSTPDGRLFKFLTKHLQVSDVPSIDPPDASFPTVSSQLTVDNALLLLEWLRNLKSRGVELPAKFMDCIRRGSWLVTSVGDRPPSESFMSSAEWTGLLQIGSSFVDIPIIDQQFYQNKLNVYKEELKTIGVRFEFQEASVYIGSHLMSIAESNMLTRDNVYSLLQLIRFLQENNLSTSALVDSVNSGQWMKSTLGYRSPANCIIYDSDWAVASRISILPFLDVQFYGDSILDYKPELELLGVLVGFKDNYTTVIDNFEFSSNAISSEATVLILKCVRYVSPCDDFITKLKDIKWIKTNVGFCVPSESFLIDPEWECLLKVFGEVALIDLGFYGSVISSYKEELKKTGLIAGHLEASNALALLFKQMVSKSSLTKANVLALLASYRQLKSHQPSPMKLFNCLRDEKWLHTSQGFRRPSDAILFDESWWLLSPIASLPFINDEDTGYGLGLEIYDYKDELKDLGVTVEVKDGANFVIVNLKIPNDQSAVPAYTVLSLLECIQNWIACQVSLPKDFLDKICKKWLRTTMGYKSPNECLLFDHKHSAICMEDGPFIDEVFYGSEIASFKDALAAIGVVINIENGCDLVAQHMKFHSCSDTISRIYMYLMDCNWKPVNNSSNWVWVPSGIQSGEWVSPANCVLHDRDNLFSSQLHVLDKYYNKKVLGFFALVLGVRFNPNAEDHCKLWSKWEASVTELTMADCSAFWGFVLENWTKATENLLSACVTKVPVFNEGKIILSKKEDVFIPDDLLIKDLFDKLPQESIFIWYPPASLPYMSRARFNCIYNSIGVRTISESVEWNESFTLGDTGLQEVNVSTVIKHGLLQIVTAFLANPVLDIPAKERHKMVSHLLSVTILETNEPITAGYSVKLSSGRHVSVKASRMLRWERDNSKLYMQRCDQETSHRGKIEFATYFADEISQGLLFEMEDHIPELIELVKFGYLLDFQDSAVKFLLKSKNLQLFPEDEEFLDSAMWS >ORUFI11G15840.1 pep chromosome:OR_W1943:11:18677998:18699914:-1 gene:ORUFI11G15840 transcript:ORUFI11G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFNVVQAALKVSKHSQSTTVMGAKFAEAKVSAVVLTGLVALATLVSCNTEGDILYKQKVAWEDPENVLQTWDPTLHNPCTWMHITCNNDNSVIRGFVECTHLRASDSSIGRTEKSPVPLYGSRLNGSIPATLGKLKHLVSLDLSNNLLTGAIPPSLGAISNLLILRLSGNNLTGAIPPSLGNLKSLEILELGNNALSGSIPASLGDIETLNYLDLNDNMLTGTVPLEILSRLVTTLDELNVAHNDLYGTTRKSVTRGAKISVVLLTGLVALATLVNCNTEGDILYKQRVAWEDPQNVLQTWDPTLHNCTDLGDADISGPLIPQLGGLKNLQYLELYGNRLNGSIPAALGKMEHLVSLDLYSNLLTGTIPTSLGAMSRLRYLRLSRNKLRGAIPPSLGNLMSLEDLELHKNALSGSIPASLGNVKTLNYLRLNGNMLTGTVPLEILSLLVSNLVELNVANNNLDGTDRKSGTRAMGAHSSAAAAAAALFTGLLALATLVSCNTEGDILYAQRQAWKDPFNVLQSWDPTLVNPCTWFHVTCNNNNSVVRVDLGLAGLSGPLIPQLGGLSYLQYLELYGNELNGSIPAALGNLSSLVSLDLQGNLLTGVIPDSLGAISNLRNLRLYGNNLTGTIPQSLGSLTSLVKLELQKNSLSGTIPASLGNIKTLELLRLNKNSLTGTVPMEVLSLVLVGNLTELNVAGNNLDGTVGSTGWRAMGAHSAAAALFTALLAFATLVRCNTEGDILYAQRQELKDINNVLTSWDPTLVNPCTWVHITCDNSNSVIRGFGIGRSIRLSDSTAGRTENLHGNNLTGTIPQSFGNLTNLVGLELQKNSLSGTIPASLGNIKTLKFLRLNGNSLTGTLPLEVLSLVLVGNLTEIDCNNPGQTEDLRLRPRSGLIFSRMLENGSGK >ORUFI11G15850.1 pep chromosome:OR_W1943:11:18702692:18706073:-1 gene:ORUFI11G15850 transcript:ORUFI11G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSPCDPRPLLLLLLLEMGCGASIPKKCKVGGKGKKRRSVIQEVAIFVPTIRIPVDSDVAHPLRGLVSKELVDRLSKFRDRVVALSEDIYCADVSDVSELQHALEEYLPVVLGLTMKESRLESSVEFRWRTLDDDEECCLSSAWYEVLSVIHMMAMLALFEANLILIPKNGQVGGERKVSEDAKKDVVDSLLRASGCLDYCVHRILVQMPAQVKKSFPSYFQEGMLEAISIQALAQCVEIQLGLASECEKATLSVKRRLACELVSYFSQAHYCLSGCDTSDSFGKKLLLFLKWKCMEAKAVAYYYHGLVLDKGNEASSHISAVCCLSAADDLVADSKRACLSFCLANPITRVPPPWGIMRNMHKKIPDSACKRFQMYGYLFEQDNNSALQSLPDLPEFALSLRPEGYELPSTDSIWDNVDSQPQIQSLKEHLDDEDEVETK >ORUFI11G15860.1 pep chromosome:OR_W1943:11:18718401:18720041:-1 gene:ORUFI11G15860 transcript:ORUFI11G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPESGEPAGDDVLQKAECLRLLDALPAAAAASPAFRRHWPSISASLAALSASLSHPAFPPSAPRLLAPLASALSALVSVAGNDAASSLGHLHTVSLLSSSAAELSQLAADARLLVSPGNGGGEGVAAGVDGLIPRLRLGSARATALDALVDSVGSLPPSAAAVAVSAVAAMLDSGEILPASREKAVSVLAAFASSDATCRLLAQEAGAVVPHLCRALESGGAGAEQACVALRPLTADSRDAAAAVAARGGVAALLGACAAGTPAAQAAAAGVLRNLAAFPDLLPTFREEGALPSLIQLVSLGTPRAQELALGCLQNLTSGDGDECQRLKVEAFQDGALGCVKDFLESCVGDEPGLAPAFGLLRNMASFRYIAEIAVSASFVDHVLAALGSDKAATRTEAAMALAELCNVTSHGKTRRDVGDAIPRLIWMLEAKPAAERDAAARALAALVAASGYRKLFKKEEQGIVNVVQLLDPSTARGGVDARFPVSVLLAVSPSRRCRKQMVAAGACGFLQALLAAEVDGAKKLADCLARGKMLGVFPRS >ORUFI11G15870.1 pep chromosome:OR_W1943:11:18728326:18728685:-1 gene:ORUFI11G15870 transcript:ORUFI11G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGQRGTEGGGSLALPRTAPVAGSSGRPAGLSPALSRVDLAAGGAVATADEEEEEVAGNGVLGPTTAGPVPPDDDEEEEAAGGSGAASPTRTRAGRICAALAADKEEEAAAGRRSAGF >ORUFI11G15880.1 pep chromosome:OR_W1943:11:18740761:18745311:-1 gene:ORUFI11G15880 transcript:ORUFI11G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPLFPLPFATRQQKKNPHLHSPLAGATATLSTPCLAAGECPCVRRRRRRHMVFFPEEVVEHILGFLASHRDRNAVSLVCREWYRVERLSRRSVLVRNCYAARPERVHARFPGLRSLSVKGRPRFVPAGWGAAARPWVAACVAACPGLEELRLKRMVVTDGCLKLLACSFPNLKSLVLVGCQGFSTDGLATVATNCRFMKELDLQESLVEDRDSRWLGCFPKPSTLLESLNFSCLTGEVNSPALEILVARSPNLRSLRLNRSVPLDVLARILCRRPRLVDLCTGSFVRGNIVGAYAGLFNSFQHCSLLKSLSGFWDATSLFIPVIAPVCKNLTCLNLSSAPMVRSAYLIEFICQCKKLQQLWVLDHIGDEGLKIVASSCIQLQELRVFPANANARASTVTEEGLVAISAGCNKLQSVLYFCQRMTNSALITVAKNCPRFTSFRLCVLDPGSADAVTGQPLDEGYGAIVQSCKGLRRLCLSGLLTDTVFLYIGMYAERLEMLSVAFAGDTDDGMTYVLNGCKNLKKLEIRDSPFGDSALLAGMHQYEAMRSLWLSSCNVTLGGCKSLAASMANLNIEVMNRAASINEADNANDAKKVKKLYIYRTVAGPRGDAPEFISTF >ORUFI11G15890.1 pep chromosome:OR_W1943:11:18748243:18752305:-1 gene:ORUFI11G15890 transcript:ORUFI11G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSREPLRRGRQDLRLRQARRRRHHQRHRRRRGGQPAPNRRGTSSPKTLEKRRTLPVWQQKDDFLAVLHDNQALILVGETGSGKHSDGIAPQYLDPQIHRSAAIGSGEWHSGFQYGVDKVFQPLPSSPSMGHELLCWGSVLSGESVFRPLPSLPSTGQSAFPSATALHLIQALRDMAAINFLEHLKMAIAGIIGQHKYTHITLKPSYAMYYVDLVMKQATLCSFLNFIHNKTRGILHTLSS >ORUFI11G15890.2 pep chromosome:OR_W1943:11:18748243:18752305:-1 gene:ORUFI11G15890 transcript:ORUFI11G15890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSREPLRRGRQDLRLRQARRRRHHQRHRRRRGGQPAPNRRGTSSPKTLEKRRTLPVWQQKDDFLAVLHDNQALILVGETGSGKHSDGIAPQYLDPQIHRSAAIGSGEWHSGFQYGVDKVFQPLPSSPSMGHELLCWGSVLSGESVFRPLPSLPSTGQSAFPSATALHLYTHITLKPSYAMYYVDLVMKQATLCSFLNFIHNKTRGILHTLSS >ORUFI11G15890.3 pep chromosome:OR_W1943:11:18748243:18752305:-1 gene:ORUFI11G15890 transcript:ORUFI11G15890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSREPLRRGRQDLRLRQARRRRHHQRHRRRRGGQPAPNRRGTSSPKTLEKRRTLPVWQQKDDFLAVLHDNQALILVGETGSGKHSDGIAPQYLDPQIHRSAAIGSGEWHSGFQYGVDKVFQPLPSSPSMGHELLCWGSVLSGESVFRPLPSLPSTGQSAFPSATALHLVCLYSFCCQLVGFTILYSTTDDLHGHCFMRRKFMDGLQCKFDVGWCLISTKYTHITLKPSYAMYYVDLVMKQATLCSFLNFIHNKTRGILHTLSS >ORUFI11G15900.1 pep chromosome:OR_W1943:11:18758379:18762599:1 gene:ORUFI11G15900 transcript:ORUFI11G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYTTALTTLFSYGLLFAFGQLRDFFRKLIDWFKAKNVKGYAPICLGLEDFYVRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDSNKTLKRTSNTTRCLNLGSYNYLGFAAADEYCTPLVIESLKKYSPSTCSVRVDGGTTKLHTELEELVARFVGKPAAILFGMGYVTNSAIIPCLVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNSPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGQSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIQHLKLSCPAHIYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLARIRENSNFFRSELKKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQKVAVVTVAFPATPLLLARARICISASHTREDLIKALDVISRVGDLVGIKYFPAEPPKIAEADHDKLE >ORUFI11G15910.1 pep chromosome:OR_W1943:11:18763921:18767465:1 gene:ORUFI11G15910 transcript:ORUFI11G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGLHPQMQWISYVTQSGRLINIMMTKVNHTGKVYHMRAKRQMAQSLGQIAKFNRRYQQESEENKEK >ORUFI11G15920.1 pep chromosome:OR_W1943:11:18769120:18775910:1 gene:ORUFI11G15920 transcript:ORUFI11G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSDNERYPVEVSNISKEGEVEVVNNNVKLICYRDPVPGTTKFNYPFYYASVYDLLNPKTAIDQSIRQYVVLRRKPLQDAYDTFNFLSLCEHPNILKPYGFWEDNDNKGFIAFPRVKGTLGNLPTDELFSVEIDANKVTWLKGFSPSGMQIIRDIVSSVHYVNERYPQAGTSSSGSAIPPLTMFPLELASHKILYEKIPTGEYKIFLGDISTTNHWPKTMKKIEDLKRHNWNCLGKYISTIMAGHKPNTELIHLVDVLIKDILWEPALWGSKIKMRFVREIVWCLENDKTGGRKSTLSKLSPLGLQDCITKLGLNYNEAKSLLSSVMLLRNKIVAHQDDPYQNYTGPKEQIGVAKALLEKLVLDSKPAYMIKLIEEIRKLNWIGESPLVRSLTSYVKAFDPNLKIRTS >ORUFI11G15920.2 pep chromosome:OR_W1943:11:18769120:18775910:1 gene:ORUFI11G15920 transcript:ORUFI11G15920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSDNERYPVEVSNISKEGEVEVVNNNVKLICYRDPVPGTTKFNYPFYYASVYDLLNPKTAIDQSIRQYVVLRRKPLQDAYDTFNFLSLCEHPNILKPYGFWEDNDNKGFIAFPRVKGTLGNLPTDELFSVEIDANKVTWLKGFSPSGMQIIRDIVSSVHYVNERYPQAGTSSSGSAIPPLTMFPLELASHKILYEKIPTGEYKIFLGDISTTNHWPKTMKKIEDLKRHNWNCLGKYISTIMAGHKPNTELIHLVDVLIKDCITKLGLNYNEAKSLLSSVMLLRNKIVAHQDDPYQNYTGPKEQIGVAKALLEKLVLDSKPAYMIKLIEEIRKLNWIGESPLVRSLTSYVKAFDPNLKIRTS >ORUFI11G15920.3 pep chromosome:OR_W1943:11:18769120:18775910:1 gene:ORUFI11G15920 transcript:ORUFI11G15920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIIRDIVSSVHYVNERYPQAGTSSSGSAIPPLTMFPLELASHKILYEKIPTGEYKIFLGDISTTNHWPKTMKKIEDLKRHNWNCLGKYISTIMAGHKPNTELIHLVDVLIKDILWEPALWGSKIKMRFVREIVWCLENDKTGGRKSTLSKLSPLGLQDCITKLGLNYNEAKSLLSSVMLLRNKIVAHQDDPYQNYTGPKEQIGVAKALLEKLVLDSKPAYMIKLIEEIRKLNWIGESPLVRSLTSYVKAFDPNLKIRTS >ORUFI11G15930.1 pep chromosome:OR_W1943:11:18787322:18795771:-1 gene:ORUFI11G15930 transcript:ORUFI11G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVLPSAVPDSAAPVAGDSGLSEQQLIQYIHSLRENQVVDGLFEDLKKEKHMSLTKLAHILKITDGLSNINIVESSVEPKTRALLEGIQQKVYDGIGLCPSIGGSFSELLGSLSLSATITKDLGSMEEFSREGKDNCSAECSFSVWIEKLKKDLELKASSASKELPEGCEWNWEFEEEFQRYLHVYMDILQEVNAMDDCMERDYLDKETLFQKLKFEFRYKHAINSYQHPYMVTISSLRQRQFYTRTCFRCNMQICKFLSELVKLKPYIKVSDCSYDVIENLKQNHEILTEISDCEVYYTAVEQIRLHKEFDNYLSSGELDHSMDEFISSKDEFVEDLIRDESTMAQFSDLNHALLKLSLERRADVLENQQQICIYSECLRHLLEDESLKGYIKRLMNDHKTEGFFDTNDDSINWVLVIVDCWLIFTRKGNSWQDTFEEVVVEACERWTENREKVD >ORUFI11G15950.1 pep chromosome:OR_W1943:11:18807696:18825699:-1 gene:ORUFI11G15950 transcript:ORUFI11G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATTSGRAVDCDPVPVRDEGYVDALVRASLHLIRKDFPHEVRGHGVRLLQHLMRFRWEEVSIINWSKFADVFSLLGVELGVLDDLVWKNAAADLVAEVVWSHGISLLHDLIPCLVCLSAKRATETELVCFILKSISDNRIAHVSHFGVQLTGKLTPLVLIGDKGELLSLSEFLPQILPFISSLLEKHVGAVLGEKEKCQVEVAEEHASVVKAVLDAAITYAGWAHVVDLGKHGLIKGCGCLLSCNDFCVHALQFFKLILQRKRPVSIAVADHDFADYLLCPHTTFHYVQALHGALSISASPKDYCSSSSSYSNISGTVSQNTSVQKRLSCESSSNNKSSTAVVMSSPDSCITLSTQVEGNDCELDLQGNDGARRSNSFHHVGCLLLAEQNLISEAFLIVSSWSRIQQYKEVLTCILSPLSKIWTQPEWESKYTHYAWCLTCLFSNRQFVKNVHDVVKSWEGQLKRRAEESHAIQMPDKYSVSLLGLILPLLLRYLQCVHALWNREITFDLSKKLAKAKRFGIDEEEGFQEIEMRQWLQDIRESGYLLLNDCLGRLRMSLFGYLVDGEAATKAIPFCRALIHLAGAANDDKLRDLVKEQKEIEDAADSFTCWLVKQKEDLHAKACSAPPKEFFGQTQLEWNWELEDEFRRYLPVYFDTMQEVDAMVDCLEVDFFDLEVLYKNLRPEFRSKYAIDSSKHPHLRIMSNMRERKYYSMISAKHHKQICEILGELITLKPYIKGSDHYYEIVERIGEKIEIPSRIFDRDDAKKSIRVLLQILHFWEPQFHPLIREGHKDFLLDIARRLAKAKATEYSEPLIPQMEDFLPHLQPYAFAFIVATLKDPMVRDEGYVDALVRASLHFIRKDFPHEVRGHGVRLLQHLMRFRWEEVVWSHGISLLHDLIPCLVCLSAKGATERQVEVAEEHASVVKAVLDAANAYARWAHVIDLGMHGLIKGYAINKVETGKRPVAIAVADHDFADYLFCPHTTFHYVPALQGALSISANPKDTSSSSSSRYSNSSGTIFQKTSVQKRLSSGSSSSSKNKRSTAVGNDGARRANSFHHLGCLLLAEHNIISEAFLTASSWSRCVIMIQRYKEVLVYSVLLAKFEPSQSGKANTHIMHGASHFVKNVHDVAKTWEGQLKRRAEESHAIQMPDKYSAKRLGIDEEEGFQEIEMRQWLQDIREIGKCIKLQQAHKGKICTWNWEFEDEFEDIFQLDCQILEIDEVITDVSLSTANKRHVAITKSSKSKSKSPALCIKPSPPPA >ORUFI11G15950.10 pep chromosome:OR_W1943:11:18807696:18825699:-1 gene:ORUFI11G15950 transcript:ORUFI11G15950.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATTSGRAVDCDPVPVRDEGYVDALVRASLHLIRKDFPHEVRGHGVRLLQHLMRFRWEEVSIINWSKFADVFSLLGVELGVLDDLVWKNAAADLVAEVVWSHGISLLHDLIPCLVCLSAKRATETELVCFILKSISDNRIAHVSHFGVQLTGKLTPLVLIGDKGELLSLSEFLPQILPFISSLLEKHVGAVLGEKEKCQVEVAEEHASVVKAVLDAAITYAGWAHVVDLGKHGLIKGCGCLLSCNDFCVHALQFFKLILQRKRPVSIAVADHDFADYLLCPHTTFHYVQALHGALSISASPKDYCSSSSSYSNISGTVSQNTSVQKRLSCESSSNNKSSTAVVMSSPDSCITLSTQVEGNDCELDLQGNDGARRSNSFHHVGCLLLAEQNLISEAFLIVSSWSRIQQYKEVLTCILSPLSKIWTQPEWESKYTHYAWCLTCLFSNRQFVKNVHDVVKSWEGQLKRRAEESHAIQMPDKYSYLQCVHALWNREITFDLSKKLAKAKRFGIDEEEGFQEIEMRQWLQDIRESGRTRARFVHGIGSLKMNLKISSTNKRHVAITKSSKSKSKSPALCIKPSPPPA >ORUFI11G15950.2 pep chromosome:OR_W1943:11:18807680:18825699:-1 gene:ORUFI11G15950 transcript:ORUFI11G15950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATTSGRAVDCDPVPVRDEGYVDALVRASLHLIRKDFPHEVRGHGVRLLQHLMRFRWEEVSIINWSKFADVFSLLGVELGVLDDLVWKNAAADLVAEVVWSHGISLLHDLIPCLVCLSAKRATETELVCFILKSISDNRIAHVSHFGVQLTGKLTPLVLIGDKGELLSLSEFLPQILPFISSLLEKHVGAVLGEKEKCQVEVAEEHASVVKAVLDAAITYAGWAHVVDLGKHGLIKGCGCLLSCNDFCVHALQFFKLILQRKRPVSIAVADHDFADYLLCPHTTFHYVQALHGALSISASPKDYCSSSSSYSNISGTVSQNTSVQKRLSCESSSNNKSSTAVVMSSPDSCITLSTQVEGNDCELDLQGNDGARRSNSFHHVGCLLLAEQNLISEAFLIVSSWSRIQQYKEVLTCILSPLSKIWTQPEWESKYTHYAWCLTCLFSNRQFVKNVHDVVKSWEGQLKRRAEESHAIQMPDKYSVSLLGLILPLLLRYLQCVHALWNREITFDLSKKLAKAKRFGIDEEEGFQEIEMRQWLQDIRESGYLLLNDCLGRLRMSLFGYLVDGEAATKAIPFCRALIHLAGAANDDKLRDLVKEQKEIEDAADSFTCWLVKQKEDLHAKACSAPPKEFFGQTQLEWNWELEDEFRRYLPVYFDTMQEVDAMVDCLEVDFFDLEVLYKNLRPEFRSKYAIDSSKHPHLRIMSNMRERKYYSMISAKHHKQICEILGELITLKPYIKGSDHYYEIVERIGEKIEIPSRIFDRDDAKKSIRVLLQILHFWEPQFHPLIREGHKDFLLDIARRLAKAKATEYSEPLIPQMEDFLPHLQPYAFAFIVATLKDPMVRDEGYVDALVRASLHFIRKDFPHEVRGHGVRLLQHLMRFRWEEVVWSHGISLLHDLIPCLVCLSAKGATERQVEVAEEHASVVKAVLDAANAYARWAHVIDLGMHGLIKGYAINKVETGKRPVAIAVADHDFADYLFCPHTTFHYVPALQGALSISANPKDTSSSSSSRYSNSSGTIFQKTSVQKRLSSGSSSSSKNKRSTAVGNDGARRANSFHHLGCLLLAEHNIISEAFLTASSWSRCVIMIQRYKEVLVYSVLLAKFEPSQSGKANTHIMHGASHFVKNVHDVAKTWEGQLKRRAEESHAIQMPDKYSAKRLGIDEEEGFQEIEMRQWLQDIREIGKCIKLQQAHKGKICTWNWEFEDEFEDIFQFETCSHIFYGYPYTREVWGLQSQNQANPNPNRLLSASSRRRLPHEAADA >ORUFI11G15950.3 pep chromosome:OR_W1943:11:18807680:18825699:-1 gene:ORUFI11G15950 transcript:ORUFI11G15950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATTSGRAVDCDPVPVRDEGYVDALVRASLHLIRKDFPHEVRGHGVRLLQHLMRFRWEEVSIINWSKFADVFSLLGVELGVLDDLVWKNAAADLVAEVVWSHGISLLHDLIPCLVCLSAKRATETELVCFILKSISDNRIAHVSHFGVQLTGKLTPLVLIGDKGELLSLSEFLPQILPFISSLLEKHVGAVLGEKEKCQVEVAEEHASVVKAVLDAAITYAGWAHVVDLGKHGLIKGCGCLLSCNDFCVHALQFFKLILQRKRPVSIAVADHDFADYLLCPHTTFHYVQALHGALSISASPKDYCSSSSSYSNISGTVSQNTSVQKRLSCESSSNNKSSTAVVMSSPDSCITLSTQVEGNDCELDLQGNDGARRSNSFHHVGCLLLAEQNLISEAFLIYLQCVHALWNREITFDLSKKLAKAKRFGIDEEEGFQEIEMRQWLQDIRESGYLLLNDCLGRLRMSLFGYLVDGEAATKAIPFCRALIHLAGAANDDKLRDLVKEQKEIEDAADSFTCWLVKQKEDLHAKACSAPPKEFFGQTQLEWNWELEDEFRRYLPVYFDTMQEVDAMVDCLEVDFFDLEVLYKNLRPEFRSKYAIDSSKHPHLRIMSNMRERKYYSMISAKHHKQICEILGELITLKPYIKGSDHYYEIVERIGEKIEIPSRIFDRDDAKKSIRVLLQILHFWEPQFHPLIREGHKDFLLDIARRLAKAKATEYSEPLIPQMEDFLPHLQPYAFAFIVATLKDPMVRDEGYVDALVRASLHFIRKDFPHEVRGHGVRLLQHLMRFRWEEVVWSHGISLLHDLIPCLVCLSAKGATERQVEVAEEHASVVKAVLDAANAYARWAHVIDLGMHGLIKGYAINKVETGKRPVAIAVADHDFADYLFCPHTTFHYVPALQGALSISANPKDTSSSSSSRYSNSSGTIFQKTSVQKRLSSGSSSSSKNKRSTAVGNDGARRANSFHHLGCLLLAEHNIISEAFLTASSWSRCVIMIQRYKEVLVYSVLLAKFEPSQSGKANTHIMHGASHFVKNVHDVAKTWEGQLKRRAEESHAIQMPDKYSAKRLGIDEEEGFQEIEMRQWLQDIREIGKCIKLQQAHKGKICTWNWEFEDEFEDIFQFETCSHIFYGYPYTREVWGLQSQNQANPNPNRLLSASSRRRLPHEAADA >ORUFI11G15950.4 pep chromosome:OR_W1943:11:18807680:18825699:-1 gene:ORUFI11G15950 transcript:ORUFI11G15950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATTSGRAVDCDPVPVRDEGYVDALVRASLHLIRKDFPHEVRGHGVRLLQHLMRFRWEEVSIINWSKFADVFSLLGVELGVLDDLVWKNAAADLVAEVVWSHGISLLHDLIPCLVCLSAKRATETELVCFILKSISDNRIAHVSHFGVQLTGKLTPLVLIGDKGELLSLSEFLPQILPFISSLLEKHVGAVLGEKEKCQVEVAEEHASVVKAVLDAAITYAGWAHVVDLGKHGLIKGCGCLLSCNDFCVHALQFFKLILQRKRPVSIAVADHDFADYLLCPHTTFHYVQALHGALSISASPKDYCSSSSSYSNISGTVSQNTSVQKRLSCESSSNNKSSTAVGNDGARRSNSFHHVGCLLLAEQNLISEAFLIYLQCVHALWNREITFDLSKKLAKAKRFGIDEEEGFQEIEMRQWLQDIRESGYLLLNDCLGRLRMSLFGYLVDGEAATKAIPFCRALIHLAGAANDDKLRDLVKEQKEIEDAADSFTCWLVKQKEDLHAKACSAPPKEFFGQTQLEWNWELEDEFRRYLPVYFDTMQEVDAMVDCLEVDFFDLEVLYKNLRPEFRSKYAIDSSKHPHLRIMSNMRERKYYSMISAKHHKQICEILGELITLKPYIKGSDHYYEIVERIGEKIEIPSRIFDRDDAKKSIRVLLQILHFWEPQFHPLIREGHKDFLLDIARRLAKAKATEYSEPLIPQMEDFLPHLQPYAFAFIVATLKDPMVRDEGYVDALVRASLHFIRKDFPHEVRGHGVRLLQHLMRFRWEEVVWSHGISLLHDLIPCLVCLSAKGATERQVEVAEEHASVVKAVLDAANAYARWAHVIDLGMHGLIKGYAINKVETGKRPVAIAVADHDFADYLFCPHTTFHYVPALQGALSISANPKDTSSSSSSRYSNSSGTIFQKTSVQKRLSSGSSSSSKNKRSTAVGNDGARRANSFHHLGCLLLAEHNIISEAFLTASSWSRCVIMIQRYKEVLVYSVLLAKFEPSQSGKANTHIMHGASHFVKNVHDVAKTWEGQLKRRAEESHAIQMPDKYSAKRLGIDEEEGFQEIEMRQWLQDIREIGKCIKLQQAHKGKICTWNWEFEDEFEDIFQFETCSHIFYGYPYTREVWGLQSQNQANPNPNRLLSASSRRRLPHEAADA >ORUFI11G15950.5 pep chromosome:OR_W1943:11:18807680:18825699:-1 gene:ORUFI11G15950 transcript:ORUFI11G15950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATTSGRAVDCDPVPVRDEGYVDALVRASLHLIRKDFPHEVRGHGVRLLQHLMRFRWEEVSIINWSKFADVFSLLGVELGVLDDLVWKNAAADLVAEVVWSHGISLLHDLIPCLVCLSAKRATETELVCFILKSISDNRIAHVSHFGVQLTGKLTPLVLIGDKGELLSLSEFLPQILPFISSLLEKHVGAVLGEKEKCQVEVAEEHASVVKAVLDAAITYAGWAHVVDLGKHGLIKGCGCLLSCNDFCVHALQFFKLILQRKRPVSIAVADHDFADYLLCPHTTFHYVQALHGALSISASPKDYCSSSSSYSNISGTVSQNTSVQKRLSCESSSNNKSSTAVVMSSPDSCITLSTQVEGNDCELDLQGNDGARRSNSFHHVGCLLLAEQNLISEAFLIVSSWSRIQQYKEVLTCILSPLSKIWTQPEWESKYTHYAWCLTCLFSNRQFVKNVHDVVKSWEGQLKRRAEESHAIQMPDKYSVSLLGLILPLLLRYLQCVHALWNREITFDLSKKLAKAKRFGIDEEEGFQEIEMRQWLQDIRESGYLLLNDCLGRLRMSLFGYLVDGEAATKAIPFCRALIHLAGAANDDKLRDLVKEQKEIEDAADSFTCWLVKQKEDLHAKACSAPPKEFFGQTQLEWNWELEDEFRRYLPVYFDTMQEVDAMVDCLEVDFFDLEVLYKNLRPEFRSKYAIDSSKHPHLRIMSNMRERKYYSMISAKHHKQICEILGELITLKPYIKGSDHYYEIVERIGEKIEIPSRIFDRDDAKKSIRVLLQILHFWEPQFHPLIREGHKDFLLDIARRLAKAKATEYSEVRDEGYVDALVRASLHFIRKDFPHEVRGHGVRLLQHLMRFRWEEVVWSHGISLLHDLIPCLVCLSAKGATERQVEVAEEHASVVKAVLDAANAYARWAHVIDLGMHGLIKGYAINKVETGKRPVAIAVADHDFADYLFCPHTTFHYVPALQGALSISANPKDTSSSSSSRYSNSSGTIFQKTSVQKRLSSGSSSSSKNKRSTAVGNDGARRANSFHHLGCLLLAEHNIISEAFLTASSWSRCVIMIQRYKEVLVYSVLLAKFEPSQSGKANTHIMHGASHFVKNVHDVAKTWEGQLKRRAEESHAIQMPDKYSAKRLGIDEEEGFQEIEMRQWLQDIREIGKCIKLQQAHKGKICTWNWEFEDEFEDIFQFETCSHIFYGYPYTREVWGLQSQNQANPNPNRLLSASSRRRLPHEAADA >ORUFI11G15950.6 pep chromosome:OR_W1943:11:18807696:18825699:-1 gene:ORUFI11G15950 transcript:ORUFI11G15950.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATTSGRAVDCDPVPVRDEGYVDALVRASLHLIRKDFPHEVRGHGVRLLQHLMRFRWEEVSIINWSKFADVFSLLGVELGVLDDLVWKNAAADLVAEVVWSHGISLLHDLIPCLVCLSAKRATETELVCFILKSISDNRIAHVSHFGVQLTGKLTPLVLIGDKGELLSLSEFLPQILPFISSLLEKHVGAVLGEKEKCQVEVAEEHASVVKAVLDAAITYAGWAHVVDLGKHGLIKGCGCLLSCNDFCVHALQFFKLILQRKRPVSIAVADHDFADYLLCPHTTFHYVQALHGALSISASPKDYCSSSSSYSNISGTVSQNTSVQKRLSCESSSNNKSSTAVVMSSPDSCITLSTQVEGNDCELDLQGNDGARRSNSFHHVGCLLLAEQNLISEAFLIVSSWSRIQQYKEVLTCILSPLSKIWTQPEWESKYTHYAWCLTCLFSNRQFVKNVHDVVKSWEGQLKRRAEESHAIQMPDKYSVSLLGLILPLLLRYLQCVHALWNREITFDLSKKLAKAKRFGIDEEEGFQEIEMRQWLQDIRESGYLLLNDCLGRLRMSLFGYLVDGEAATKAIPFCRALIHLAGAANDDKLRDLVKEQKEIEDAADSFTCWLVKQKEDLHAKACSAPPKEFFGQTQLEWNWELEDEFRRYLPVYFDTMQEVDAMVDCLEVDFFDLEVLYKNLRPEFRSKYAIDSSKHPHLRIMSNMRERKYYSMISAKHHKQICEILGELITLKPYIKGSDHYYEIVERIGEKIEIPSRIFDRDDAKKSIRVLLQILHFWEPQFHPLIREGHKDFLLDIARRLAKAKATEYSEVRDEGYVDALVRASLHFIRKDFPHEVRGHGVRLLQHLMRFRWEEVVWSHGISLLHDLIPCLVCLSAKGATEDYLFCPHTTFHYVPALQGALSISANPKDTSSSSSSRYSNSSGTIFQKTSVQKRLSSGSSSSSKNKRSTAVGNDGARRANSFHHLGCLLLAEHNIISEAFLTASSWSRCVIMIQRYKEVLVYSVLLAKFEPSQSGKANTHIMHGASHFVKNVHDVAKTWEGQLKRRAEESHAIQMPDKYSAKRLGIDEEEGFQEIEMRQWLQDIREIGKCIKLQQAHKGKICTWNWEFEDEFEDIFQLDCQILEIDEVITDVSLSTANKRHVAITKSSKSKSKSPALCIKPSPPPA >ORUFI11G15950.7 pep chromosome:OR_W1943:11:18807696:18825699:-1 gene:ORUFI11G15950 transcript:ORUFI11G15950.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATTSGRAVDCDPVPVRDEGYVDALVRASLHLIRKDFPHEVRGHGVRLLQHLMRFRWEEVSIINWSKFADVFSLLGVELGVLDDLVWKNAAADLVAEVVWSHGISLLHDLIPCLVCLSAKRATETELVCFILKSISDNRIAHVSHFGVQLTGKLTPLVLIGDKGELLSLSEFLPQILPFISSLLEKHVGAVLGEKEKCQVEVAEEHASVVKAVLDAAITYAGWAHVVDLGKHGLIKGCGCLLSCNDFCVHALQFFKLILQRKRPVSIAVADHDFADYLLCPHTTFHYVQALHGALSISASPKDYCSSSSSYSNISGTVSQNTSVQKRLSCESSSNNKSSTAVGNDGARRSNSFHHVGCLLLAEQNLISEAFLIYLQCVHALWNREITFDLSKKLAKAKRFGIDEEEGFQEIEMRQWLQDIRESGYLLLNDCLGRLRMSLFGYLVDGEAATKAIPFCRALIHLAGAANDDKLRDLVKEQKEIEDAADSFTCWLFEDEFEDIFQLDCQILEIDEVITDVSLSTANKRHVAITKSSKSKSKSPALCIKPSPPPA >ORUFI11G15950.8 pep chromosome:OR_W1943:11:18807696:18825699:-1 gene:ORUFI11G15950 transcript:ORUFI11G15950.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATTSGRAVDCDPVPVRDEGYVDALVRASLHLIRKDFPHEVRGHGVRLLQHLMRFRWEEVSIINWSKFADVFSLLGVELGVLDDLVWKNAAADLVAEVVWSHGISLLHDLIPCLVCLSAKRATETELVCFILKSISDNRIAHVSHFGVQLTGKLTPLVLIGDKGELLSLSEFLPQILPFISSLLEKHVGAVLGEKEKCQVEVAEEHASVVKAVLDAAITYAGWAHVVDLGKHGLIKGCGCLLSCNDFCVHALQFFKLILQRKRPVSIAVADHDFADYLLCPHTTFHYVQALHGALSISASPKDYCSSSSSYSNISGTVSQNTSVQKRLSCESSSNNKSSTAVVMSSPDSCITLSTQVEGNDCELDLQGNDGARRSNSFHHVGCLLLAEQNLISEAFLIYLQCVHALWNREITFDLSKKLAKAKRFGIDEEEGFQEIEMRQWLQDIRESGYLLLNDCLGRLRMSLFGYLVDGEAATKAIPFCRALIHLAGAANDDKLRDLVKEQKEIEDAADSFTCWLFEDEFEDIFQLDCQILEIDEVITDVSLSTANKRHVAITKSSKSKSKSPALCIKPSPPPA >ORUFI11G15950.9 pep chromosome:OR_W1943:11:18807696:18825699:-1 gene:ORUFI11G15950 transcript:ORUFI11G15950.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATTSGRAVDCDPVPVRDEGYVDALVRASLHLIRKDFPHEVRGHGVRLLQHLMRFRWEEVSIINWSKFADVFSLLGVELGVLDDLVWKNAAADLVAEVVWSHGISLLHDLIPCLVCLSAKRATETELVCFILKSISDNRIAHVSHFGVQLTGKLTPLVLIGDKGELLSLSEFLPQILPFISSLLEKHVGAVLGEKEKCQVEVAEEHASVVKAVLDAAITYAGWAHVVDLGKHGLIKGCGCLLSCNDFCVHALQFFKLILQRKRPVSIAVADHDFADYLLCPHTTFHYVQALHGALSISASPKDYCSSSSSYSNISGTVSQNTSVQKRLSCESSSNNKSSTAVGNDGARRSNSFHHVGCLLLAEQNLISEAFLIVSSWSRIQQYKEVLTCILSPLSKIWTQPEWESKYTHYAWCLTCLFSNRQFVKNVHDVVKSWEGQLKRRAEESHAIQMPDKYSYLQCVHALWNREITFDLSKKLAKAKRFGIDEEEGFQEIEMRQWLQDIRESGRTRARFVHGIGSLKMNLKISSTNKRHVAITKSSKSKSKSPALCIKPSPPPA >ORUFI11G15960.1 pep chromosome:OR_W1943:11:18833075:18834632:-1 gene:ORUFI11G15960 transcript:ORUFI11G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQYQILFGNFYTELPNHMRDKNSCGRKAPTVDVIKRLNWEAAATFLDELHKNMLEPNDEMKHLKDKLKKNPPANYSDLLWEPSLWTTYVKIHFLREIFWCIESDEVTRKLVLRKMDPLGIESCMVKLGYSAGKEKSLLHSIKFLRKRVVAHQDTTYLNYKGDKNDVGECKRLVELLVHKSKADYMIELVSHIRQLGWVMESPILRSKVFKAASIS >ORUFI11G15970.1 pep chromosome:OR_W1943:11:18837787:18841818:-1 gene:ORUFI11G15970 transcript:ORUFI11G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGIERQRTWVARISATRDATRRALLRSDPPRAARPAGRTLLAVAVRHSRCLVLRRHTGCWLPSSATATTPPHLPRPRCFDARPPEVCLSRNYRRLIVYKKLIHSTVLHYAAINCGDFTLQYCAAQLVQRLDDAEHMYGLLTDVSTRIYLNQLVFGSGNTLMR >ORUFI11G15980.1 pep chromosome:OR_W1943:11:18848068:18848356:-1 gene:ORUFI11G15980 transcript:ORUFI11G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSAAAPPFPNHRWTPQDVTALPSTSPRREESLRCGPSSSLPLGAANLPPARFGGEEPTPLLCRRGEEWRRGESIGERSEAW >ORUFI11G15990.1 pep chromosome:OR_W1943:11:18860617:18865757:1 gene:ORUFI11G15990 transcript:ORUFI11G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECYLIDADVKRMDDDLKYCQGSIRYGFDISSSIKTTFLLFEDNRSAQDTVDKLNLMNHPSIMRSLGDVICFDQHLPNHVLPFPYFDTTYADYLDKKSNKTFEFKRFTPEFIQLTSQVVHGMSALQEKGFCCPNLEGKDIFKENNCISAKIWHFCICTGCTGDKHTDWRRLGQLLKKTAVDHKCLTIEIEDLCTKINKGILEGVASQQS >ORUFI11G15990.2 pep chromosome:OR_W1943:11:18860617:18864181:1 gene:ORUFI11G15990 transcript:ORUFI11G15990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLECYLIDADVKRMDDDLKYCQGSIRYGFDISSSIKTTFLLFEDNRSAQDTVDKLNLMNHPSIMRSLGDVICFDQHLPNHVLPFPYFDTTYADYLDKKSNKTFEFKRFTPEFIQLTSQVVHGMSALQEKGFCCPNLEGKDIFKENNCISAKIWHFCICTGCTGDKHTDWRRLGQLLKKTAVDHKCLTIEIEDLCTKINKGILEGYALILSLFEC >ORUFI11G15990.3 pep chromosome:OR_W1943:11:18860617:18864181:1 gene:ORUFI11G15990 transcript:ORUFI11G15990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLECYLIDADVKRMDDDLKYCQGSIRYGFDISSSIKTTFLLFEDNRSAQDTVDKLNLMNHPSIMRSLGDVICFDQHLPNHVLPFPYFDTTYADYLDKKSNKTFEFKRFTPEFIQLTSQVVHGMSALQEKGFCCPNLEGKDIFKENNCISAKIWHFCICTGCTGDKHTDWRRLGQLLKKTAVDHKCLTIEIEDLCTKINKGILEGYALILSLFEC >ORUFI11G16000.1 pep chromosome:OR_W1943:11:18875802:18880068:-1 gene:ORUFI11G16000 transcript:ORUFI11G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPGVKATVFCFEGDTAKTAHDHLNCLSHPYVIRSLGYGRGLGKHSRYTFLAVPFFDTTLAEYLPKERSLCIHMDRLTVEFIDIVGQIVRAMIALHNAGFCCSNLKGENIAVMKQHNSMCAKIWNFEKCKSDDDKDQDWKNLGTLLKLTGLWTPEARDLYTSLSSGNLKGMDILDHSALLTVRKKFENMLVFDFHAMTHWPKEGIQAQDSTQAASMMQNVQAPEWLDASFNWSSTRPSWIFAASLTDPPNTYRGFSRMIRHLIEHEVDFLSPMLIKRITPHDRLQGEKEVDLEWYMRKAWAEAFLKLQNFDAKQTTLDEKSWAGDGLSCTDKGNVLFAGVHSCGDGKKCDNWK >ORUFI11G16000.2 pep chromosome:OR_W1943:11:18877742:18880068:-1 gene:ORUFI11G16000 transcript:ORUFI11G16000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPGVKATVFCFEGDTAKTAHDHLNCLSHPYVIRSLGYGRGLGKHSRYTFLAVPFFDTTLAEYLPKERSLCIHMDRLTVEFIDIVGQIVRAMIALHNAGFCCSNLKGENIAVMKQHNSMCAKIWNFEKCKSDDDKDQDWKNLGTLLKLTGLWTPEARDLYTSLSSGNLKGMDILDHSALLTVRKKFENMLVFDFHAMTHWPKEGIQAQDSTQAASMMQNVQAPEWLDASFNWSSTRPSWIFAASLTDPPNTYRGFSRMIRHLIEHEVDFLSPMLIKRITPHDRLQGEKEVDLEWYMRKAWAEAFLKLQNFVRESKLTY >ORUFI11G16010.1 pep chromosome:OR_W1943:11:18886872:18901293:1 gene:ORUFI11G16010 transcript:ORUFI11G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSADKRKKKQQRQLEYLPRPLRKKENLPSRLAGSSRLNSGGALISIWRAEQGRRGDPGENGDDEFLMLASCTTKRNSQMDVAPVEQDQGLMKINSSDCSGDGASCENETNYTDHGKQSNELGHARISVTGGGYFHQLLAEHTIISEAFLSAATYSWFEKEKDLLSSQLSSLKRIWSQPQWQACLKHLCCHGEFRSAVLKIIKIFEEELSKCREETNMLHQPDQISHSTLMSLVSLIIPPLLKLIRFVHALWMDGAVLRFPEELIEARKMKNVDQILRFRGETLEFLDVWPEELEEGLAQWLQLIRESGYNLLGLCATIKGAFSELLDNSSINNAIMENIRSMEIRHLTKLIDLVIVPFIKHCPHNLWVEWMLKLLLPLFDYCGDVLYYSWFSLLHNGQANVPLFFGYICGSEETVSKMENYLLLDLTRKVSKLLGALASQELNQGVYRAGLVLDMNSASHDFKCTPSTSLVGLSMNLFGCWVDGEAAIDSIPFCHSLVQVAVATNNEKLKRFIKDDMLPAIIRRLYDDLPCAVQKTIRKLSPLMNSINCRKATKDLLVLCQEIYKVYIRCQNLEGEDQDTDNIAYWFDDWLTKQKKELCVKASYAIPDEFPATLWNWEFEEEFQRYLPTYLDVLHEVDTMDCQECCCLDSAKIFENLSLEFRSRHGVRSHTDHRKMPGAYSEQRADRISKWACELIKSKPYIKLSNGWNNAMNRLKENFVINVDTKPDAIDAVNIFYNSILLLWEPQFHPLIREGQMDVLVEIAHQLAFAEERKNYEPLEPDSLDFLDHLQPYAKLYIYRKKTESGYFTAIEQVQLHKEFDRYLASGVLDGDICKFSSFQDDFIEEFADKHIAKSQFVQLDRKLITLSLEQRAQILEKQRQINTYAECLRNILTDLKLKDGLQSLMSELEVEGFFDVNNNCTDWEKGCFLRLIDNFEDLVFRGHCFPRYLVIQGIMVVEVLCDNWRQDLTQIWMETRYYEGLYYDVLRKPLKKIFMRKIEASEL >ORUFI11G16020.1 pep chromosome:OR_W1943:11:18904749:18922345:1 gene:ORUFI11G16020 transcript:ORUFI11G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALPSPSSVAPASPPPSILPDALSVLLIAAIAVLPHXASVAAAIDPSRRPLRPPHCRHRRPSPQAWTPSILPGLPVLGRANVAAAAPPPPPSILAAILAAAIAILPHRVAFNSPNRPLPPSILPDALVVLIATAIAVLHQLPPPLPSSPPPSPSSPTCFDAVHPPRRPQCPPPPALSSPTAPLSIQGRTACRQALVVGFASAIPVFADTAGASIHRRRIGTALVVHRRSLVTVHCLPAGRRGSVPARRCHPISAWTPASMPPDLPRRSAPSTGSCLLHQRVVTSSASGPTTGKPSPLCHHRQTKSPLPPRGGTQTLLPHPSPFTIVENPPPTHPELRRLKNQSGDSESEYDPLKDDTGEGDLIDDENAKVQCSKEKTRKNCNNKTSSIQTGGVKFRSRKRDISMHLYQSHQATTHQQTMQAESNSPPRSELRNN >ORUFI11G16030.1 pep chromosome:OR_W1943:11:18917072:18922142:-1 gene:ORUFI11G16030 transcript:ORUFI11G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGENIEVNVDAKSDSVLAHIVGNLPVGQIKVEQRSCELAIDDSKIPGTSLHRATCSTGPCVAISKPIEEAKTIYRILCQCDHPNVLKPIGVWKNPSDDASAYLVLEEVEASLGSKDREFMFSVEDSFIYGFSNIGFKIFRALCNVVNYINGLYMNSAAGTSSSVAGAIPLMPIKLNSSMIFYKLMVEGEVEVVVTDFCVRQPRDLVKKTRKGRPKEVTIEDVQQFNWRAVGLCLKKMYGPEGNVNEELKDLAAYLEGSKPEEGPVTYDGILWHPGAWEANIKTQFIREIFWLIDKERDKLKTKKLIETEKGKSLIKIKRTLGIAASLKNFAGKELQENNLLDSLEHLRTYIVAHPDMSYNSTDEQDKLKFDKVRCERLIQKVKGDYMIKLRKEIRNLEWITESPVLRDQNNYMERFYEMKKNEMENQR >ORUFI11G16040.1 pep chromosome:OR_W1943:11:18925750:18926822:1 gene:ORUFI11G16040 transcript:ORUFI11G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCIPKLSLETCPREYFDWQDAMENFFEGRGFQSVVKMYYAEETFDKDVLLWWLHLLLKGAVLDQTVMHESGNLSSTLGLHVSDSSCDIQSDHKIDDTNDASDGLSMMAWEVRSDDTPVDVKGQRSNIFQTACKIQDKFKYCACFVFVYAEASNAA >ORUFI11G16050.1 pep chromosome:OR_W1943:11:18926833:18927670:1 gene:ORUFI11G16050 transcript:ORUFI11G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSGTLKITHKARVKFSIGNYVDIVDCDIAPMNACHLLLGRPRQFDLDATHAQVRMQLLALLNVIGTYGNFDGNSSYVSACTIKYDFTMLSETTIKASKVALESEAG >ORUFI11G16050.2 pep chromosome:OR_W1943:11:18927679:18927995:1 gene:ORUFI11G16050 transcript:ORUFI11G16050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNISQTVALFQGREDDEPMTRQDVHGDMTSDNLNIVVGVNFHKKREEKKQRKYIQIGCIQVDFT >ORUFI11G16060.1 pep chromosome:OR_W1943:11:18946615:18958126:-1 gene:ORUFI11G16060 transcript:ORUFI11G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCSVAAGPAAAWACSSVNTCARNSYPVELEPEPVKEREKGYVDLLVDASLLLVSNDFPPEVQRHGARMLQHLLKFRREELSSFDCSSLIHQDDTDSTNMSNKFDDIIHPRMRCNNGAVPCHQVKGCLLLAEYNILCEAFDVVTSCLRSEQYKGVLHYLLKPLNKIWVQSEWRSTFLHSAFGLTCLFSDHFLEMVYKVVKFCENDLRQSTLESIEMQFCDLHSLLRLMLPFLLQLLQCIHSLWRGQISGSLPDQLESAKSKMSDEDVQQNKTRKLLEEIRLSGYNIIGLSLSIQGAFSDLLDISSFNDAIFEDLGLMHHGRAKVPYYFGKLSGPTENIEKFEDTQLLEFTREVSHLLGVLSSPESNNGLLHYLLTHDCLGSSRMSLFGYWVDDEATTSAISFCLAMDLMGGEKGNAYVENNFTCWLAKQKEDLRAKAFCSAPMEFNGEWNWEFEDEFQRYLPVYFNMLQEVDAMDDSAEGDCSGMNALLQKLNPQFRSKYAIYSSEHPYLREISRMQKGSDRSYSVIERLEENLEIQSTFDAYEVDQSEHKDLLLQIVGQLTNAKENKQFQPLTPDPGDFAPHLKPYARFYIQTKLKMSMSVWGLALPRALHTINCINFGIRISVIYLPTWLTILSGSENDTYARAAMQIHLHEDYDNYLASGQLDSYIYSHVSEDFSDEDVENNAVPQKFSVLDHDLIHLSLKRRAQIVDMHNQVCTYSKCLRDLLENESLKDRLMSLMSELNAEGFFDIDSNSVNWDNECFSKLVEKFKNEVFTGHSLPRRYTIQGIIVVTGTSTKLIAYLPQFWRDTRYYKHEYYDIVREPLKKGRVRGICAERISCF >ORUFI11G16060.2 pep chromosome:OR_W1943:11:18946575:18958126:-1 gene:ORUFI11G16060 transcript:ORUFI11G16060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCSVAAGPAAAWACSSVNTCARNSYPVELEPEPVKEREKGYVDLLVDASLLLVSNDFPPEVQRHGARMLQHLLKFRREELSSFDCSSLIHQDDTDSTNMSNKFDDIIHPRMRCNNGAVPCHQVKGCLLLAEYNILCEAFDVVTSCLRSEQYKGVLHYLLKPLNKIWVQSEWRSTFLHSAFGLTCLFSDHFLEMVYKVVKFCENDLRQSTLESIEMQFCDLHSLLRLMLPFLLQLLQCIHSLWRGQISGSLPDQLESAKSKMSDEDVQQNKTRKLLEEIRLSGYNIIGLSLSIQGAFSDLLDISSFNDAIFEDLGLMHHGRAKVPYYFGKLSGPTENIEKFEDTQLLEFTREVSHLLGVLSSPESNNGLLHYLLTHDCLGSSRMSLFGYWVDDEATTSAISFCLAMDLMGGEKGNAYVENNFTCWLAKQKEDLRAKAFCSAPMEFNGEWNWEFEDEFQRYLPVYFNMLQEVDAMDDSAEGDCSGMNALLQKLNPQFRSKYAIYSSEHPYLREISRMQKGSDRSYSVIERLEENLEIQSTFDAYEVDQSEHKDLLLQIVGQLTNAKENKQFQPLTPDPGDFAPHLKPYARFYIQTKLKMSMSVWGLALPRALHTINCINFGIRISVIYLPTWLTILSGSENDTYARAAMQIHLHEDYDNYLASGQLDSYIYSHVSEDFSDEDVENNAVPQKFSVLDHDLIHLSLKRRAQIVDMHNQVCTYSKCLRDLLENESLKDRLMSLMSELNAEGFFDIDSNSVNWDNECFSKLVEKFKNEVFTGHSLPRRYTIQGIIVVTGTSTKLIAYLPQFWRDTRYYKHEYYDIVREPLKKGRVRGICAERVPLLLMGLAYCVFLLADIMFLIKVLLIWCHHLY >ORUFI11G16060.3 pep chromosome:OR_W1943:11:18946615:18958126:-1 gene:ORUFI11G16060 transcript:ORUFI11G16060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCSVAAGPAAAWACSSVNTCARNSYPVELEPEPVKEREKGYVDLLVDASLLLVSNDFPPEVQRHGARMLQHLLKFRREELSSFDCSSLIHQDDTDSTNMSNKFDDIIHPRMRCNNGAVPCHQVKGCLLLAEYNILCEAFDVVTSCLRSEQYKGVLHYLLKPLNKIWVQSEWRSTFLHSAFGLTCLFSDHFLEMVYKVVKFCENDLRQSTLESIEMQFCDLHSLLRLMLPFLLQLLQCIHSLWRGQISGSLPDQLESAKSKMSDEDVQQNKTRKLLEEIRLSGYNIIGLSLSIQGAFSDLLDISSFNDAIFEDLGSMEFRHLSKLINLVFVPLVTYCPSKFWKKWMLNLLRPLLDHCEDLLYFAWFSLMHHGRAKVPYYFGKLSGPTENIEKFEDTQLLEFTREVSHLLGVLSSPESNNGLLHYLLTHDCLGSSRMSLFGYWVDDEATTSAISFCLAMVRLAGSTDNERCKLFVADELLPSIIRRLDDQLPCAMRNLIFKFNSSTNDTVDKDLIDLMGGEKGNAYVENNFTCWLAKQKEDLRAKAFCSAPMEFNGEWNWEFEDEFQRYLPVYFNMLQEVDAMDDSAEGDCSGMNALLQKLNPQFRSKYAIYSSEHPYLREISRMQKGSDRSYSVIERLEENLEIQSTFDAYEVDQSEHKDLLLQIVGQLTNAKENKQFQPLTPDPGDFAPHLKPYARFYIQTKLKMSMYARAAMQIHLHEDYDNYLASGQLDSYIYSHVSEDFSDEDVENNAVPQKFSVLDHDLIHLSLKRRAQIVDMHNQVCTYSKCLRDLLENESLKDRLMSLMSELNAEGFFDIDSNSVNWDNECFSKLVEKFKNEVFTGHSLPRRYTIQGIIVVTGTSTKLIAYLPQFWRDTRYYKHEYYDIVREPLKKGRVRGICAERISCF >ORUFI11G16060.4 pep chromosome:OR_W1943:11:18946575:18958126:-1 gene:ORUFI11G16060 transcript:ORUFI11G16060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCSVAAGPAAAWACSSVNTCARNSYPVELEPEPVKEREKGYVDLLVDASLLLVSNDFPPEVQRHGARMLQHLLKFRREELSSFDCSSLIHQDDTDSTNMSNKFDDIIHPRMRCNNGAVPCHQVKGCLLLAEYNILCEAFDVVTSCLRSEQYKGVLHYLLKPLNKIWVQSEWRSTFLHSAFGLTCLFSDHFLEMVYKVVKFCENDLRQSTLESIEMQFCDLHSLLRLMLPFLLQLLQCIHSLWRGQISGSLPDQLESAKSKMSDEDVQQNKTRKLLEEIRLSGYNIIGLSLSIQGAFSDLLDISSFNDAIFEDLGLMHHGRAKVPYYFGKLSGPTENIEKFEDTQLLEFTREVSHLLGVLSSPESNNGLLHYLLTHDCLGSSRMSLFGYWVDDEATTSAISFCLAMDLMGGEKGNAYVENNFTCWLAKQKEDLRAKAFCSAPMEFNGEWNWEFEDEFQRYLPVYFNMLQEVDAMDDSAEGDCSGMNALLQKLNPQFRSKYAIYSSEHPYLREISRMQKGSDRSYSVIERLEENLEIQSTFDAYEVDQSEHKDLLLQIVGQLTNAKENKQFQPLTPDPGDFAPHLKPYARFYIQTKLKMSMYARAAMQIHLHEDYDNYLASGQLDSYIYSHVSEDFSDEDVENNAVPQKFSVLDHDLIHLSLKRRAQIVDMHNQVCTYSKCLRDLLENESLKDRLMSLMSELNAEGFFDIDSNSVNWDNECFSKLVEKFKNEVFTGHSLPRRYTIQGIIVVTGTSTKLIAYLPQFWRDTRYYKHEYYDIVREPLKKGRVRGICAERVPLLLMGLAYCVFLLADIMFLIKVLLIWCHHLY >ORUFI11G16060.5 pep chromosome:OR_W1943:11:18947430:18958126:-1 gene:ORUFI11G16060 transcript:ORUFI11G16060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCSVAAGPAAAWACSSVNTCARNSYPVELEPEPVKEREKGYVDLLVDASLLLVSNDFPPEVQRHGARMLQHLLKFRREELSSFDCSSLIHQDDTDSTNMSNKFDDIIHPRMRCNNGAVPCHQVKGCLLLAEYNILCEAFDVVTSCLRSEQYKGVLHYLLKPLNKIWVQSEWRSTFLHSAFGLTCLFSDHFLEMVYKVVKFCENDLRQSTLESIEMQFCDLHSLLRLMLPFLLQLLQCIHSLWRGQISGSLPDQLESAKSKMSDEDVQQNKTRKLLEEIRLSGYNIIGLSLSIQGAFSDLLDISSFNDAIFEDLGLMHHGRAKVPYYFGKLSGPTENIEKFEDTQLLEFTREVSHLLGVLSSPESNNGLLHYLLTHDCLGSSRMSLFGYWVDDEATTSAISFCLAMDLMGGEKGNAYVENNFTCWLAKQKEDLRAKAFCSAPMEFNGEWNWEFEDEFQRYLPVYFNMLQEVDAMDDSAEGDCSGMNALLQKLNPQFRSKYAIYSSEHPYLREISRMQKGSDRSYSVIERLEENLEIQSTFDAYEVDQSEHKDLLLQIVGQLTNAKENKQFQPLTPDPGDFAPHLKPYARFYIQTKLKMSMYARAAMQIHLHEDYDNYLASGQLDSYIYSHVSEDFSDEDVENNAVPQKFSVLDHDLIHLSLKRRAQIVDMHNQVCTYSKCLRDLLENESLKDRLMSLMSELNAEGFFDIDSNSVNWDNECFSKLVEKFKNEVFTGHSLPRRYTIQGIIVVTGTSTKLIAYLPQFWRDTRYYKHEYYDIVREPLKKVCLSHYKTF >ORUFI11G16070.1 pep chromosome:OR_W1943:11:18962797:18964708:1 gene:ORUFI11G16070 transcript:ORUFI11G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNVIQFTDVRSAAYFNGHQHNIEAPHQNPNLFAKHSIHYPAIASQDMFDKLTIVEGSYIVVVL >ORUFI11G16080.1 pep chromosome:OR_W1943:11:18965279:18965942:-1 gene:ORUFI11G16080 transcript:ORUFI11G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKPSNQESSHPFFLLPQQQWPYIQLKKPKKQPTRQYCKHTRISANRSRAYRSKEPPFSHLSLSLSRSLFVAASPPSSLPAAAAALVLSSTAAASELPIQILRRIEAGGGGRRGGWRRGRRWWWPTLPRQPGAPPTAKNWWLRAHRRAAAKNEGEEVADAEDERPEERAGSDRHRASPSAPEMAAAAEEEEESRRAWRRSGGAEKKRRGGARAM >ORUFI11G16090.1 pep chromosome:OR_W1943:11:18974842:18979616:1 gene:ORUFI11G16090 transcript:ORUFI11G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAHSSHSSVSTAADMDEDDDDEPSAASAAAPAPPAPAPAPPASASKVLEQEPEELPCRAADSPLSPQPSAAGTPRLLAAGPTIKVWDPCHVLLPPPSPHQSQSARAGAGAEAAAALEVVVVSHGECAAAMRPDLVGGRWPAAALTARGERQARALAVFLRSRGARLAAAYASPLDRARATAALVCRELDFPEEQIQVSDALTEMSQGQWEGCPKSEVYTPEMVNLMDSTQPDFSAPAGESLRQVQFRMMEFLNQTVVRLPEKVAMGDSLSQQNEAKGLSRQSSTNSVQDGPPWDLLYRLNRHSLQRKKSGKSRLQFVTSGDNETEDDFSPKEINQRHILHEANLAPSVTSIAIFSHATPIRCLVAGVLDCNPMISQRICIEDSSITVLEHSLKTGWQIKRLNDTAHLRLL >ORUFI11G16100.1 pep chromosome:OR_W1943:11:18987383:18992927:-1 gene:ORUFI11G16100 transcript:ORUFI11G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGAAPAGAEEEEVDFDQRVGSILEELEMVSIEEAEKYGLMILLYGKVHVLDVFWCMIQLLRDPILMFCRSFEGACTRLRTCFENLKPLFPESGSPMPMLDALVQQAFVGIDTITTVANSYDMPKREQTKNMLLKLLFHIKNRYSDMLTPDQRDELDSRVRQLVFEDGKDNANGPNATSTNAAAPCGQVLSERLPFESGAGNSFSKVEIPAKNRMVSPLLDLHADYDENSLPSPTRDSAPPFDVPKPIGYGALPMALDRPSVLERVEPAKNSSYQSFNDALKAVCYYQQKHGQKSNFASDDLPSPTPSGDGDKSGDKGGDVFGEVSSFSASNKIALPIVNQMPSRPSTVSSNSDSFAGGPPGYAKQIENSVSGSNHLLKATAKSRDPRLKFLNRDTGGVADANRRVNFAEPNPSKDRTMGGGVSINSRKNKAVDEPMVDXHWQPERYATYRSSGTSPGIVQAPQTNSAPQTSSAPAVSLPAMLKDIAVNPTMLMQWIQMEQQKMSASEPQQKVTASVGMTSNVTPGMVLPLGNAPKTTEVAAVPSVRPQVPMQSAPMHSQNDTGVIRMKPRDPRRILHSNIVQKNDTVPPVGVEQAKSNGTAPPDSQSSKDHLLNQDQKAEQLQAIALPSLPVTSSARPVNMNANPVSNSQLAATALMPPHGNTKQTSSSVNKADPRLAAGQNESNDDAATSTGPVTAPDAVPPASPYGDVDHLLDGYDDQQKALIQKERARRIKEQHKMFAARKLCLVLDLDHTLLNSAKFIEVDHIHGEILRKKEEQDRERAERHLFCFNHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKVYATEMAKVLDPTGTLFAGRVISRGDDGDPFDSDERVPKSKDLDGVLGMESAVVIIDDSVRVWPHNKHNLIVVESRRQFGLPGPSLLEIDRDERPEDGTLASSLAVIERIHKNFFSHPNLNDADVRSILASEQQRILGGCRIVFSRIFPVGEANPHMHPLWQTAEQFGAVCTNQIDDRVTHVVANSLGTDKGW >ORUFI11G16110.1 pep chromosome:OR_W1943:11:18998276:18999945:-1 gene:ORUFI11G16110 transcript:ORUFI11G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSAVVSAKLGCPPAAALGSWKGSRISSRNLVSMKTMTARRGLVSLRSPRFRVFCAAKAETVGKVMQIVKQQLALGEEAKLAPESQFTELGADSLDTVEIVMALEEEFGITVEEDNAQSITTIQDAADLIDKLVAGGGGKPPAAA >ORUFI11G16120.1 pep chromosome:OR_W1943:11:19000781:19006828:1 gene:ORUFI11G16120 transcript:ORUFI11G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGVGPHRAKQSPCVTQNRAIVVNPSCEPRLTERGAPHRETAQAPLCRFGRGLRYGGSTLVGWLRSVAARAVRLYLQRAEHQARPAPPAHGVYRGFRYEKKKRMKPQPTDASDVDKLGDLKGRAQEEGIGSCSITAT >ORUFI11G16130.1 pep chromosome:OR_W1943:11:19016415:19016693:1 gene:ORUFI11G16130 transcript:ORUFI11G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEGTALAFQSVRFSSPPLPPLTAIASASTEHLRKRRRIGEECGGGPRSVALRQGLVAAFTSTSHIRSGMRRSRIYFQDEDNGKKMVPNF >ORUFI11G16140.1 pep chromosome:OR_W1943:11:19017623:19020219:1 gene:ORUFI11G16140 transcript:ORUFI11G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATALAAVILLLVLATTAEAACSVSAIYSFGDSIADTGNLLREGPAVGAFASIGTYPYGQTLRRPTGRCSDGLLIIDYFAMALNLSLVSPYLEKGARFESGVNFAVAGATALDRSYLLQSGVVMPPASVPLSSQLDWFRSHLNSTCSSHQDCAKKLSGALFLVGEIGGNDYNYAFFQGRSIESMKTYVPQVEVIELGATKIVIPGNFPIGCSPSYLSLFSTAISGDYDDRGCLKSYNSFAMYHNDQLRAAIDDLRKVNSDVAIVYADYYGAFMHLLQKADLLGFEEDSLFKACCGAGGKYNFDMNLMCGAVGTNVCADPAQHISWDGIHLTQQAYKAMALSLIMEGFAQPADIVQKIWSC >ORUFI11G16150.1 pep chromosome:OR_W1943:11:19021497:19021811:-1 gene:ORUFI11G16150 transcript:ORUFI11G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLPFLASRWSGDKAASRRSYDSFSSRQSDDTSWPRGGVKQGVVSGDSLSYISACLPRNPVVATVHKDDKVNHRPEVDVAVGCDVEAKISHVEAKILRLSS >ORUFI11G16160.1 pep chromosome:OR_W1943:11:19022725:19037198:1 gene:ORUFI11G16160 transcript:ORUFI11G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDWRRAGSPTYGRRRSPAAGIYSAPASPAHPAAAGAASPVHPLAARNKARAAAALAQAMARPPPPASSRGASEDGYDDDDDVVGGGGGGRYDGGRSPLNGGVYGGRSPMNGGGGVKDKYFGFALPKREKKKSNLETRSLPLFHPFLPAAFLETPKFPPSPNETKSNPRSKRRTRPCPPPSPSPTPMDAAAAAAPRGGSTGRRPTSSSSASSAAAAARAAAAREAMARMEEVMLAHAGAAGEFSIILDAPLPSLHHYRRNPTPDAAARRGGGGRDEVPARLRREGSGHDAAAVDDLNAAARSRRGADRYRDGAGAGRPRADRYYRGGEEEERVEAPVRLVAPRGGARREGARGGGGGDAPPPPVRPASAEGKPAAAVVEEDTALQLLARGRGGRSSSATRRVEEERPSSRRSGRERAGDTGAIKAVEPEKPAAEVEAEVVGRWSRRERDDGGEEVAVSRKPLAAAPVVVDEEVTPLQLLARGARSSSAARRVVQEEPQVVEAVAARPSSRRSRREGNGDAGVKAVVPDAAAEVEPEIAGRWSSRRSEDGSEEAAAVLPKPLAAIVTGARSRSNSPAISRNGVDTGAANRPPSTGRSTFAPPVGVNIRPLQAVEMPNGTPRERRAIYPDPTFAQSTRSRDSHDSSTITEELEMLKDENVNLLEKLGLAEERFRQSEARTRELEKQVANLGDGLSMEVKLMKRREEMLVRKEQEIRKALISKNDKSEEIATLQKQLQSAREKEAAAVQKLQEAESETKSLRTMTHRMILSKEEMEEVVMKRCWLARYWGLAVQYGIYPDISMSKHEYWSSFAPLPFEYVTAAGQRAKDGSLRSGDDLEDTERFVHELTVTAGEGNIETMLSVDKGLQELAFLKVEDAVLIALAHHHRPNVAELVDPDIKSSGDEKFTEAFDLSKEEEEDAWLVYFWRRAKTHNVEDDIAEERLQMWIDRHGQQPTSHDAVDVDMGIRELRRLGIEQLLWELSRREANSTKEEELNSTKEELKNTTTKDEMDTTNEESSDRSKSNS >ORUFI11G16160.2 pep chromosome:OR_W1943:11:19022725:19037198:1 gene:ORUFI11G16160 transcript:ORUFI11G16160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDWRRAGSPTYGRRRSPAAGIYSAPASPAHPAAAGAASPVHPLAARNKARAAAALAQAMARPPPPASSRGASEDGYDDDDDVVGGGGGGRYDGGRSPLNGGVYGGRSPMNGGGGVKDKYFGFALPKVGMVWTLVQQIALHQLEGQLLHHLLGLISDLYKRWKCQMGPLGKEDPTFAQSTRSRDSHDSSTITEELEMLKDENVNLLEKLGLAEERFRQSEARTRELEKQVANLGDGLSMEVKLMKRREEMLVRKEQEIRKALISKNDKSEEIATLQKQLQSAREKEAAAVQKLQEAESETKSLRTMTHRMILSKEEMEEVVMKRCWLARYWGLAVQYGIYPDISMSKHEYWSSFAPLPFEYVTAAGQRAKDGSLRSGDDLEDTERFVHELTVTAGEGNIETMLSVDKGLQELAFLKVEDAVLIALAHHHRPNVAELVDPDIKSSGDEKFTEAFDLSKEEEEDAWLVYFWRRAKTHNVEDDIAEERLQMWIDRHGQQPTSHDAVDVDMGIRELRRLGIEQLLWELSRREANSTKEEELNSTKEELKNTTTKDEMDTTNEESSDRSKSNS >ORUFI11G16170.1 pep chromosome:OR_W1943:11:19086982:19090890:1 gene:ORUFI11G16170 transcript:ORUFI11G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALVSSNRRPLAIVVAAPVLQMLLIAALLHLGSCNGGDRIERLPGQPEVSFGQYAGYVGVDDKGQRALFYYFVEAELDPATKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGQVLVKNEYSWNKEANVIYLETPAGVGYSYSADAAYYQGVDDKMTGHYIPQLADVMVEFNKKNKIFNLKGIALGNPVLEFTTDFNSRAEYFWSHGLISDSTYRIFTSVCNYSRYVTEYYGGSLTPLCARVMNQVTRETSRFVDKYDVTLDVCLSSVLSQSKILTPHQQVGQRIDVCVEDETVNYLNRKDVQEALHAKLIGVKNWAVCSSVLEYELLNLQIPTINIVGSLVKSGIRVLVYSGDQDSVIPLTGSRTLVQNLARDLGLKTSVPYRVWFEGQQVGGWTQVYGDMLSFATIRGASHEAPFSQPERSLVLFRAFLQGRPLPETFS >ORUFI11G16180.1 pep chromosome:OR_W1943:11:19093631:19094027:1 gene:ORUFI11G16180 transcript:ORUFI11G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVYTQKRGRKRGVLLVAGEDYHALARRRDAVFTFSPDMVVVYTRKRGRKRGVLLVAGEDYHALARRRDA >ORUFI11G16190.1 pep chromosome:OR_W1943:11:19126183:19126602:1 gene:ORUFI11G16190 transcript:ORUFI11G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWSSRLDRNRSGGIAASHLVVEWLRICRLPVHIRAGDEGAHVWCSRRMGWWSRRVDRNRSGGVAALDLLAEWLQICQLPVQIKAGDEGANIGGRGGGRSALAACASGLAVAPMVHILFIVDGLAIMTLTPRHPPLHD >ORUFI11G16200.1 pep chromosome:OR_W1943:11:19127826:19128246:-1 gene:ORUFI11G16200 transcript:ORUFI11G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFAGSPTALISQAPRHDANFEPDSSAANAPPSAPSPGLVGWPQDIIGDIEFFPIGLLPSPLPPLLVF >ORUFI11G16210.1 pep chromosome:OR_W1943:11:19131326:19134205:1 gene:ORUFI11G16210 transcript:ORUFI11G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLRLVGAEARRRAATGAAGGERWLSAAAAAPTKGRLEGKIAIITGGASGLGKATAREFIREGAAAVFIADVNSDLGAEAAAELGPRAHFVRCDVADEGSVAAAVDGAVASHGRLDVMFNNAGVAGPLAGATEVASLDLAALDAVIAVNLRGTLAGIKHAARVMRPRGSGSILCTASVSGVMGGLGTYPYSVSKFAVAGAVRAAAAELSRHGVRVNCVSPFAVATPMVVAQFAQMLGGADEARVAAVVRGLGELRGAACEAEDVARAAAYLASDDAKYVSGHNLVVDGGFTSYKHLPIPQPHD >ORUFI11G16220.1 pep chromosome:OR_W1943:11:19139636:19140966:-1 gene:ORUFI11G16220 transcript:ORUFI11G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVPWAPPGITDDEINATLKTTAAASSVRLSPDMVILYWPDGEGNTAEVLVASMDYVGYVDVAGKPECRRA >ORUFI11G16230.1 pep chromosome:OR_W1943:11:19142998:19144955:-1 gene:ORUFI11G16230 transcript:ORUFI11G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAAVAVAAALAAAGAAVAMAGRRRWGYRGVAVAVAVCVHAAVLLSAVVYLSVVPSAGPGASSSSSSLQETEVMKLTAKMEQIIENQEKYGKSDGMMYALASFLSKNPRIIKEMTYRITNPDGTEKAELAVTMKNDVKVQSPRTNDILDM >ORUFI11G16240.1 pep chromosome:OR_W1943:11:19157314:19158707:1 gene:ORUFI11G16240 transcript:ORUFI11G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKLLMVFLACLLSSINNRGEAASCSLENIVVKQTATGGWAHGQPEYAVTVSNMCGCPQSGVQVACDGFDTTLAVDPAKLRPAAGGNLCLVNSGDPVVQGHDITFSYAWSSQFKFTPVSSTVKC >ORUFI11G16250.1 pep chromosome:OR_W1943:11:19159342:19159841:-1 gene:ORUFI11G16250 transcript:ORUFI11G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLQFISAFLLLSCLCSRGEALCSLSDLVVTQTTVPGQQIAGEPEYHVTVENRCICTQTGVKLSCAGFDSSPTRVDPSIIRHDGGGGGPVTNGRSVSFYYAGKTRVSFTPVSSTVSCS >ORUFI11G16260.1 pep chromosome:OR_W1943:11:19161947:19162759:-1 gene:ORUFI11G16260 transcript:ORUFI11G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELAAEKHVRYIVTMEKVGRLSLGCELLDAAADSDWMLFAAAAAVMQKKDSFESLVMEHIRLNGAYWGLTTLDLLHKLHAVEADEFIEWIMSCYHPDSGSARRGVDWGGNVGHDAHVLYTLSAGQVLCLFDRLDALDVDKTPSRISRCIEQFASEREAVYLLHGDDVADVLLRRQLPSIPHGCAG >ORUFI11G16270.1 pep chromosome:OR_W1943:11:19162765:19179388:1 gene:ORUFI11G16270 transcript:ORUFI11G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGPNALRSRRWQQRRRANRPTDGTLKLHSSTERKGLRSTTVRRRRKKERRKREEEDAAKLQRNGSTEEAVAAATASAAGDSAVTADQIRSEQHQEQSSAAAAMAASQQ >ORUFI11G16280.1 pep chromosome:OR_W1943:11:19179436:19182819:1 gene:ORUFI11G16280 transcript:ORUFI11G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRFHGAMWMQDDGGGDQEHGQAAPPGQEQHHHDQHLMALAAAAAGGAGFGAAQAPAPLLDEDWYFDAAGGGGGGAHGSMMLGLSSVHGGIGAGTSGGGHGQQFSLLNMGAAAAPFDVSGFDLGIACGGVGGGGDVVSFLGGGNASNTALLPVGNAGFLGTFGGFGTAASQMPEFGGLAGFDMFDAGAVNTGGSSSSSSAAAAAASASAHVSNTAPFSGRGKAAVLRPLDIVPPVGAQPTLFQKRALRRNAGEDDDDKKRKAAAGAGAGALSADGADMVLDDGDDDGLSIDASGGLNYDSEDARGGEDSGAKKESNANSTVTGDGKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQKINDLQNELESSPATSSLPPTPTSFHPLTPTLPTLPSRIKEEICPSALPSPTGQQPRVEVRLREGRAVNIHMFCARRPGLLLSAMRAVEGLGLDVQQAVISCFNGFTLDIFKAEQCKDGPGLLPEEIKAVLMQSAGFHTMI >ORUFI11G16290.1 pep chromosome:OR_W1943:11:19192728:19199448:-1 gene:ORUFI11G16290 transcript:ORUFI11G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYNLPWKILCEVMNVELKAEPDTDEVYAQLTLLPESKQQEDNGSTEEEVPSAPAAGHVRPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQTNVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPYDRYMESLKQNYSIGMRFKMRFEGEEAPEQRFTGTIVGMGDSDPAGWPESKWRSLKVRWDEASSIPRPERVSPWQIEPAVSPPPVNPLPVPRTKRLRPNATALPADSSAIAKEAATKVVVESEPNGTQRTFQTQENATPKSGFGNSSELESAQKSIMRPSGFDREKNNTPIQWKLGSDGRMQMSKPESYSEMLSGFQPPKDVQIPQGFCSLPEQITAGHSNFWHTVNAQYQDQQSNHNMFPSSWSFMPPNTRLGLNKQNYSMIQEAGVLSQRPGNTKFGNGVYAALPGRGTEQYSGGWFGHMMPNSHMDDTQPRLIKPKPLVVAHGDVQKAKGASCKLFGIHLDSPAKSEPLKSPSSVVYDGTPQTPGATEWRRPDVTEVEKCSDPSKAMKPLDTPQPDSVPEKPSSQQASRNMSCKSQGVSTRSCKKVHKQGIALGRSVDLTKFNGYEELIAELDDMFDFNGELKGPKKEWMVVYTDNEGDMMLVGDDPWIEFCDMVHKIFIYTREEVQRMNPGTLNSRSEDSHANSMERGSVGREMRGCLSTSFAPVQPDGTGYLSCYLAT >ORUFI11G16290.2 pep chromosome:OR_W1943:11:19192730:19199005:-1 gene:ORUFI11G16290 transcript:ORUFI11G16290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVGGGGAGGGGGGGGGGGEDALFTELWSACAGPLVTVPRVGEKVFYFPQGHIEQVEASTNQVGEQRMQLYNLPWKILCEVMNVELKAEPDTDEVYAQLTLLPESKQQEDNGSTEEEVPSAPAAGHVRPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQTNVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPYDRYMESLKQNYSIGMRFKMRFEGEEAPEQRFTGTIVGMGDSDPAGWPESKWRSLKVRWDEASSIPRPERVSPWQIEPAVSPPPVNPLPVPRTKRLRPNATALPADSSAIAKEAATKVVVESEPNGTQRTFQTQENATPKSGFGNSSELESAQKSIMRPSGFDREKNNTPIQWKLGSDGRMQMSKPESYSEMLSGFQPPKDVQIPQGFCSLPEQITAGHSNFWHTVNAQYQDQQSNHNMFPSSWSFMPPNTRLGLNKQNYSMIQEAGVLSQRPGNTKFGNGVYAALPGRGTEQYSGGWFGHMMPNSHMDDTQPRLIKPKPLVVAHGDVQKAKGASCKLFGIHLDSPAKSEPLKSPSSVVYDGTPQTPGATEWRRPDVTEVEKCSDPSKAMKPLDTPQPDSVPEKPSSQQASRNMSCKSQGVSTRSCKKVHKQGIALGRSVDLTKFNGYEELIAELDDMFDFNGELKGPKKEWMVVYTDNEGDMMLVGDDPWIEFCDMVHKIFIYTREEVQRMNPGTLNSRSEDSHANSMERGSVGREMRGCLSTSFAPVQPDGTGYLSCYLAT >ORUFI11G16300.1 pep chromosome:OR_W1943:11:19236056:19242158:1 gene:ORUFI11G16300 transcript:ORUFI11G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEDTGAGVAGGGGGGGAGGVVRGAVLKALVVVGGVLLLRRLRRSTTRWDHARAVVDALSGEKFSREQARKDPDNYFNLRMLTCPATEMVDGSRVLYFEQAFWRSPEKPFRQRFYMVKPCPKDMKCDVELSSYAIRDVEEYKNFCDRPKDQRPQPEEVIADIAEHLTTIHLSRCERGKRCLYKGSTPPEGFPNSWSGATYCTSDLSIHKNGEVHIWDKGFDDDGNQVWGTKAGPYEFKPAPKSNYDDMFSPLNFSAPLTLEKKIESSFAIDDQ >ORUFI11G16300.2 pep chromosome:OR_W1943:11:19236056:19242132:1 gene:ORUFI11G16300 transcript:ORUFI11G16300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEDTGAGVAGGGGGGGAGGVVRGAVLKALVVVGGVLLLRRLRRSTTRWDHARAVVDALSGEKFSREQARKDPDNYFNLRMLTCPATEMVDGSRVLYFEQAFWRSPEKPFRQRFYMVKPCPKDMKCDVELSSYAIRDVEEYKNFCDRPKDQRPQPEEVIADIAEHLTTIHLSRCERGKRCLYKGSTPPEGFPNSWSGATYCTSDLSIHKNGEVHIWDKGFDDDGNQVWGTKAGPYEFKPAPKSNYDDMFSPLNFSAPLTLEKKIESSFAIDDQYPVY >ORUFI11G16300.3 pep chromosome:OR_W1943:11:19236056:19242375:1 gene:ORUFI11G16300 transcript:ORUFI11G16300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEDTGAGVAGGGGGGGAGGVVRGAVLKALVVVGGVLLLRRLRRSTTRWDHARAVVDALSGEKFSREQARKDPDNYFNLRMLTCPATEMVDGSRVLYFEQAFWRSPEKPFRQRFYMVKPCPKDMKCDVELSSYAIRDVEEYKNFCDRPKDQRPQPEEVIADIAEHLTTIHLSRCERGKRCLYKGSTPPEGFPNSWSGATYCTSDLSIHKNGEVHIWDKGFDDDGNQVWGTKAGPYEFKPAPKSNYDDMFSPLNFSAPLTLEKKIESSFAIDDQ >ORUFI11G16310.1 pep chromosome:OR_W1943:11:19245269:19253556:-1 gene:ORUFI11G16310 transcript:ORUFI11G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVSASTGALNTLLPKLADLLLLVAGEHHSSRRAVEDGVEHLESELTSMRAALEKVSAAAAPPDQLDGQVRLWARDVRDMSYHIEDAIDTYLLREAAAAGRRPPPPPCCSFVVGLTSSARRRSRAIAAEIERIKKEVEEVSRRRERYRIDDHVVAVVDDAPVDRRLPALYANFASLVGVDASVEEVIKLLSMEGYQGAVMMRRKLKLIAIVGPGGIGKTTLANLVYQKLHGQFECQAFVSVSQKPNVKAVLSSILCQVSQLKYENFSSWGEKEIIDKIRDVLKDIRYFIIIDDIWDKPTWQLLKCVLIDNDHGSKIITTTRNMDVAKLCCYSDDVDGTIQIQQPLSVADSEKLLYHKVFHNERCPPQLKGISQKILKRCGGLPLAIITIASLFANRQTQIEDHWNSDYIIDRDDLIWRWIAEGFIQPKQGTILYEKGERYFDELINRNLIQPICIDVHGKAQACRVHDTILEFIAGLSIEENFVAILNGQCSVSDLPKRIYRLSLQNSKDDITIPDATERFSHVRSLWQGIDLKMPLSGFRVLRVLDLGDCSSQNIDNIDNLVHLRYLRLRGTHYNKLPKEIGNLRFLQTLDIKQTRIKELPSTVVHLTQLMCLMVDTWTKLPNGIGNMECLEQLSEIDTSMYPSLMKELSDLPKLRVLELLLSTWEKSKEKPFLDCFSCMKKLESLHIFAPHISLDFMLNVDWTLQELKKFTVCICPKSEDIFNLSPLSVWEEFSPLSTLPKWINSSLTNLSYLSIIVKILRQEDLGVLGDLPALCSLDLQVIDVADEMLVILSHSGGNGHARSAFQCLSNFNFTSPAMVLAFRHGAMQRLQILSFRFQLKKTKVFHCDFDLGLENLTSLKTVHFGVDCRYARLWEVQTAEAALRNATSLNLNCPTLDLSKHFERLMYWDGMEEIPDLKIFKEENAGLAKIGPWGGNRGRLYDIQVAPHHLESIKVCSDMAAIHSFEFTYSDHNGKNHTAGPWGGYGGNNVHMIQLGPSEFLVEVSGTFGRFRAALDIITSLTFVTNAQSYGPYGQREGTPFHIPVQSSGCIVGFFGRAGWYVDAIGIYFGHAKIGPCGGNGGKAHDIMVLPHRLENVTICSDIVIHSLAFSYSDHDGQHHTAGPWGGDGGNNQTIQFGPSELLTTVSGTFGSYNTSYDVITSITLVTNIGCYGPFGKEKGISFNFPIQGNGSIVGFFGHAELYIDAIGVYVNPWVGIWKQEEKGIIKIGSFGRGGGCRCDIKVIPQHLESITISSKIVINSLTFSYRSHDGQQYILGPWGSGGENNYKINLGPSEFITKVHGTFGPFGEFPIVITSLTFINNAGHQYGPFGQGGGTPFHAPISDTVARKKMLEFFGTCLPFVLWTTCK >ORUFI11G16310.2 pep chromosome:OR_W1943:11:19245269:19253556:-1 gene:ORUFI11G16310 transcript:ORUFI11G16310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVSASTGALNTLLPKLADLLLLVAGEHHSSRRAVEDGVEHLESELTSMRAALEKVSAAAAPPDQLDGQVRLWARDVRDMSYHIEDAIDTYLLREAAAAGRRPPPPPCCSFVVGLTSSARRRSRAIAAEIERIKKEVEEVSRRRERYRIDDHVVAVVDDAPVDRRLPALYANFASLVGVDASVEEVIKLLSMEGYQGAVMMRRKLKLIAIVGPGGIGKTTLANLVYQKLHGQFECQAFVSVSQKPNVKAVLSSILCQVSQLKYENFSSWGEKEIIDKIRDVLKDIRYFIIIDDIWDKPTWQLLKCVLIDNDHGSKIITTTRNMDVAKLCCYSDDVDGTIQIQQPLSVADSEKLLYHKVFHNERCPPQLKGISQKILKRCGGLPLAIITIASLFANRQTQIEDHWNSVCSSFHSGLESSTDVKDMRWIISLSYCDMPSPLKTCFMYLSIFPEDYIIDRDDLIWRWIAEGFIQPKQGTILYEKGERYFDELINRNLIQPICIDVHGKAQACRVHDTILEFIAGLSIEENFVAILNGQCSVSDLPKRIYRLSLQNSKDDITIPDATERFSHVRSLWQGIDLKMPLSGFRVLRVLDLGDCSSQNIDNIDNLVHLRYLRLRGTHYNKLPKEIGNLRFLQTLDIKQTRIKELPSTVVHLTQLMCLMVDTWTKLPNGIGNMECLEQLSEIDTSMYPSLMKELSDLPKLRVLELLLSTWEKSKEKPFLDCFSCMKKLESLHIFAPHISLDFMLNVDWTLQELKKFTVCICPKSEDIFNLSPLSVWEEFSPLSTLPKWINSSLTNLSYLSIIVKILRQEDLGVLGDLPALCSLDLQVIDVADEMLVILSHSGGNGHARSAFQCLSNFNFTSPAMVLAFRHGAMQRLQILSFRFQLKKTKVFHCDFDLGLENLTSLKTVHFGVDCRYARLWEVQTAEAALRNATSLNLNCPTLDLSKHFERLMYWDGMEEIPDLKIFKEENAGLAKIGPWGGNRGRLYDIQIQLGPSEFLVEVSGTFGRFRAALDIITSLTFVTNAQSYGPYGQREGTPFHIPVQSSGCIVGFFGRAGWYVDAIGIYFGHAKIGPCGGNGGKAHDIMVLPHRLENVTICSDIVIHSLAFSYSDHDGQHHTAGPWGELLTTVSGTFGSYNTSYDVITSITLVTNIGCYGPFGKEKGISFNFPIQGNGSIVGFFGHAELYIDAIGVYVNPWVGIWKQEEKGIIKIGSFGRGGGCRCDIKVIPQHLESITISSKIVINSLTFSYRSHDGQQYILGPWGSGGENNYKINLGPSEFITKVHGTFGPFGEFPIVITSLTFINNAGHQYGPFGQGGGTPFHAPISDTVARKKMLEFFGTCLPFVLWTTCK >ORUFI11G16310.3 pep chromosome:OR_W1943:11:19245269:19253556:-1 gene:ORUFI11G16310 transcript:ORUFI11G16310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVSASTGALNTLLPKLADLLLLVAGEHHSSRRAVEDGVEHLESELTSMRAALEKVSAAAAPPDQLDGQVRLWARDVRDMSYHIEDAIDTYLLREAAAAGRRPPPPPCCSFVVGLTSSARRRSRAIAAEIERIKKEVEEVSRRRERYRIDDHVVAVVDDAPVDRRLPALYANFASLVGVDASVEEVIKLLSMEGYQGAVMMRRKLKLIAIVGPGGIGKTTLANLVYQKLHGQFECQAFVSVSQKPNVKAVLSSILCQVSQLKYENFSSWGEKEIIDKIRDVLKDIRYFIIIDDIWDKPTWQLLKCVLIDNDHGSKIITTTRNMDVAKLCCYSDDVDGTIQIQQPLSVADSEKLLYHKVFHNERCPPQLKGISQKILKRCGGLPLAIITIASLFANRQTQIEDHWNSVCSSFHSGLESSTDVKDMRWIISLSYCDMPSPLKTCFMYLSIFPEDYIIDRDDLIWRWIAEGFIQPKQGTILYEKGERYFDELINRNLIQPICIDVHGKAQACRVHDTILEFIAGLSIEENFVAILNGQCSVSDLPKRIYRLSLQNSKDDITIPDATERFSHVRSLWQGIDLKMPLSGFRVLRVLDLGDCSSQNIDNIDNLVHLRYLRLRGTHYNKLPKEIGNLRFLQTLDIKQTRIKELPSTVVHLTQLMCLMVDTWTKLPNGIGNMECLEQLSEIDTSMYPSLMKELSDLPKLRVLELLLSTWEKSKEKPFLDCFSCMKKLESLHIFAPHISLDFMLNVDWTLQELKKFTVCICPKSEDIFNLSPLSVWEEFSPLSTLPKWINSSLTNLSYLSIIVKILRQEDLGVLGDLPALCSLDLQVIDVADEMLVILSHSGGNGHARSAFQCLSNFNFTSPAMVLAFRHGAMQRLQILSFRFQLKKTKVFHCDFDLGLENLTSLKTVHFGVDCRYARLWEVQTAEAALRNATSLNLNCPTLDLSKHFERLMYWDGMEEIPDLKIFKEENAGLAKIGPWGGNRGRLYDIQVAPHHLESIKVCSDMAAIHSFEFTYSDHNGKNHTAGPWGGYGGNNVHMIQLGPSEFLVEVSGTFGRFRAALDIITSLTFVTNAQSYGPYGQREGTPFHIPVQSSGCIVGFFGRAGWYVDAIGIYFGHAKIGPCGGNGGKAHDIMVLPHRLENVTICSDIVIHSLAFSYSDHDGQHHTAGPWGGDGGNNQTIQFGPSELLTTVSGTFGSYNTSYDVITSITLVTNIGCYGPFGKEKGISFNFPIQGNGSIVGFFGHAELYIDAIGVYVNPWVGIWKQEEKGIIKIGSFGRGGGCRCDIKVIPQHLESITISSKIVINSLTFSYRSHDGQQYILGPWGSGGENNYKINLGPSEFITKVHGTFGPFGEFPIVITSLTFINNAGHQYGPFGQGGGTPFHAPISDTVARKKMLEFFGTCLPFVLWTTCK >ORUFI11G16310.4 pep chromosome:OR_W1943:11:19245269:19253556:-1 gene:ORUFI11G16310 transcript:ORUFI11G16310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVSASTGALNTLLPKLADLLLLVAGEHHSSRRAVEDGVEHLESELTSMRAALEKVSAAAAPPDQLDGQVRLWARDVRDMSYHIEDAIDTYLLREAAAAGRRPPPPPCCSFVVGLTSSARRRSRAIAAEIERIKKEVEEVSRRRERYRIDDHVVAVVDDAPVDRRLPALYANFASLVGVDASVEEVIKLLSMEGYQGAVMMRRKLKLIAIVGPGGIGKTTLANLVYQKLHGQFECQAFVSVSQKPNVKAVLSSILCQVSQLKYENFSSWGEKEIIDKIRDVLKDIRYFIIIDDIWDKPTWQLLKCVLIDNDHGSKIITTTRNMDVAKLCCYSDDVDGTIQIQQPLSVADSEKLLYHKVFHNERCPPQLKGISQKILKRCGGLPLAIITIASLFANRQTQIEDHWNSVCSSFHSGLESSTDVKDMRWIISLSYCDMPSPLKTCFMYLSIFPEDYIIDRDDLIWRWIAEGFIQPKQGTILYEKGERYFDELINRNLIQPICIDVHGKAQACRVHDTILEFIAGLSIEENFVAILNGQCSVSDLPKRIYRLSLQNSKDDITIPDATERFSHVRSLWQGIDLKMPLSGFRVLRVLDLGDCSSQNIDNIDNLVHLRYLRLRGTHYNKLPKEIGNLRFLQTLDIKQTRIKELPSTVVHLTQLMCLMVDTWTKLPNGIGNMECLEQLSEIDTSMYPSLMKELSDLPKLRVLELLLSTWEKSKEKPFLDCFSCMKKLESLHIFAPHISLDFMLNVDWTLQELKKFTVCICPKSEDIFNLSPLSVWEEFSPLSTLPKWINSSLTNLSYLSIIVKILRQEDLGVLGDLPALCSLDLQVIDVADEMLVILSHSGGNGHARSAFQCLSNFNFTSPAMVLAFRHGAMQRLQILSFRFQLKKTKVFHCDFDLGLENLTSLKTVHFGVDCRYARLWEVQTAEAALRNATSLNLNCPTLDLSKHFERLMYWDGMEEIPDLKIFKEENAGLAKIGPWGGNRGRLYDIQIQLGPSEFLVEVSGTFGRFRAALDIITSLTFVTNAQSYGPYGQREGTPFHIPVQSSGCIVGFFGRAGWYVDAIGIYFGHAKIGPCGGNGGKAHDIMVLPHRLENVTICSDIVIHSLAFSYSDHDGQHHTAGPWGGDGGNNQTIQFGPSELLTTVSGTFGSYNTSYDVITSITLVTNIGCYGPFGKEKGISFNFPIQGNGSIVGFFGHAELYIDAIGVYVNPWVGIWKQEEKGIIKIGSFGRGGGCRCDIKVIPQHLESITISSKIVINSLTFSYRSHDGQQYILGPWGSGGENNYKINLGPSEFITKVHGTFGPFGEFPIVITSLTFINNAGHQYGPFGQGGGTPFHAPISDTVARKKMLEFFGTCLPFVLWTTCK >ORUFI11G16320.1 pep chromosome:OR_W1943:11:19270693:19272139:-1 gene:ORUFI11G16320 transcript:ORUFI11G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVLPAGHASCGITGSVHGENVLLDKLIHIRGGATMSEVVLDASGSGGDEGGKPSSLRKRGAGRGCPRGRQEVGEHGALHIIQRRVYAECINTVLESVTLTKKWILRGNM >ORUFI11G16330.1 pep chromosome:OR_W1943:11:19272239:19276672:1 gene:ORUFI11G16330 transcript:ORUFI11G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLADPNHRLIAGAALLVATLAFIKLLLSSAGGGKKRLPPTIPAAPLVGGLLRFMRGPIPMIREEYARLGSVFTVPILSRKITFLIGPEVSAHFFKGNEAEMSQQEVYKFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRANKLRSYVDQMVVEAEEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSSLFHDLDNGMQPVSVIFPYLPIPAHRRRDRARQRLKEIFATIIKSRKASGRAEEDMLQCFIDSKYKSGRSTTEGEITGLLIAALFAGQHTSSITSTWTGAYMLRFKQYFAAAEEEQKEVMKRHGDKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHNDFSVTTKDGKEFDIPKGHIVATSPAFANRLPHIFKNPDSYDPDRYAPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPETNWKAMVVGIKDEVMVNFKRRKLVVDN >ORUFI11G16330.2 pep chromosome:OR_W1943:11:19272919:19276672:1 gene:ORUFI11G16330 transcript:ORUFI11G16330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLADPNHRLIAGAALLVATLAFIKLLLSSAGGGKKRLPPTIPAAPLVGGLLRFMRGPIPMIREEYARLGSVFTVPILSRKITFLIGPEVSAHFFKGNEAEMSQQEVYKFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRANKLRSYVDQMVVEAEEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSSLFHDLDNGMQPVSVIFPYLPIPAHRRRDRARQRLKEIFATIIKSRKASGRAEEDMLQCFIDSKYKSGRSTTEGEITGLLIAALFAGQHTSSITSTWTGAYMLRFKQYFAAAEEEQKEVMKRHGDKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHNDFSVTTKDGKEFDIPKGHIVATSPAFANRLPHIFKNPDSYDPDRYAPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPETNWKAMVVGIKDEVMVNFKRRKLVVDN >ORUFI11G16340.1 pep chromosome:OR_W1943:11:19282050:19291657:1 gene:ORUFI11G16340 transcript:ORUFI11G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAARLAALLLLLLAAAAAVGECVYIPYNTSAGVVGGKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLAFFQRWWRQQSDMIKDIVKGLISTGRLELINGGMCMHDEATVHYIDMIDQTTLGHRFIKEEFGQIPRIGWQIDPFGHSAVQAYLLGTEVGFDAFYFFRIDYQDRDTRKGTKELEVVWRGSKTFGSSADIFAGIFPKNYEPPPGQFYFEVDDTSPIVQDDPLLFDYNVEQRVDDFVAAAIAQANITRTNHVMFTMGTDFKYQYAESWFRQMDKLIHYVNKDGRVNALYSTPSIYTDAKHAENVPWPLKTNDFFPYADNPNAYWTGYFTSRPALKRYVRVMSGYYLAARQLEFFKGRSNSDLTTDSLADALALAQHHDAVTGTEKQHVANDYAKRLSIGYTQAEKLVSSSLSCLSQSGSKSHCPSQTTNFEQCPLLNITYCPPSEMDLSQGKSLVVLVYNSLGWKREDVLRIPVISDSIVVHDSEGREVESQLLPIANASLYMREKHVKAYLGMLPAAKPKFWLAFPVSVPPLGFNTYFISSGKKSASVSLMSTLHSSQGSENSNMQIGQGQLKLQYNAAGALSLYSNSKTQVEANFEQKYKYYIGQDGNGSDPQASGAYIFRPNGTVPIKTDGQVPLTVLRGSILDEVHQQINPWIYQINRVYKGKDYVETEFIVGPIPVDDGNGKELSTEVVTNMATNKTFYTDSSGRDFIKRIRDYRSEWKIEVHQPIAGNYYPVNLGIYVEDGSRELSILVDRSVGGASIKDGQIELMLHRRLLHDDGRGVAEALNETTCFDNQCEGLVIQGKYYLKIDPQGEGARWRRTFGQEIYSPLLIAFAEQDGGNWVNSHVTKFSAMDPAYSLPDNVALLTLQAGEHKDLSALASVDLKRVFPDKKIVKIVETSLSANQERSAMEKKRLKWKVEGPPADEKIVRGGPVDPSKLVVDLGPMEIRTFLINFAPQSGKQLM >ORUFI11G16340.2 pep chromosome:OR_W1943:11:19282050:19291657:1 gene:ORUFI11G16340 transcript:ORUFI11G16340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAARLAALLLLLLAAAAAVGECVYIPYNTSAGVVGGKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLAFFQRWWRQQSDMIKDIVKGLISTGRLELINGGMCMHDEATVHYIDMIDQTTLGHRFIKEEFGQIPRIGWQIDPFGHSAVQAYLLGTEVGFDAFYFFRIDYQDRDTRKGTKELEVVWRGSKTFGSSADIFAGIFPKNYEPPPGQFYFEVDDTSPIVQDDPLLFDYNVEQRVDDFVAAAIAQANITRTNHVMFTMGTDFKYQYAESWFRQMDKLIHYVNKDGRVNALYSTPSIYTDAKHAENVPWPLKTNDFFPYADNPNAYWTGYFTSRPALKRYVRVMSGYYLAARQLEFFKGRSNSDLTTDSLADALALAQHHDAVTGTEKQHVANDYAKRLSIGYTQAEKLVSSSLSCLSQSGSKSHCPSQTTNFEQCPLLNITYCPPSEMDLSQGKSLVVLVYNSLGWKREDVLRIPVISDSIVVHDSEGREVESQLLPIANASLYMREKHVKAYLGMLPAAKPKFWLAFPVSVPPLGFNTYFISSGKKSASVSLMSTLHSSQGSENSNMQIGQGQLKLQYNAAGALSLYSNSKTQVEANFEQKYKYYIGQDGNGSDPQASGAYIFRPNGTVPIKTDGQVPLTVLRGSILDEVHQQINPWIYQINRVYKGKDYVETEFIVGPIPVDDGNGKELSTEVVTNMATNKTFYTDSSGRDFIKRIRDYRSEWKIEVHQPIAGNYYPVNLGIYVEDGSRELSILVDRSVGGASIKDGQIELMLHRRLLHDDGRGVAEALNETTCFDNQCEGLVIQGKYYLKIDPQGEGARWRRTFGQEIYSPLLIAFAEQAGEHKDLSALASVDLKRVFPDKKIVKIVETSLSANQERSAMEKKRLKWKVEGPPADEKIVRGGPVDPSKLVVDLGPMEIRTFLINFAPQSGKQLM >ORUFI11G16350.1 pep chromosome:OR_W1943:11:19292973:19299801:1 gene:ORUFI11G16350 transcript:ORUFI11G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHPSSSPTAATSPPPRKRPRDASPSEEEEGGLPGGPPSPSPSPAGYIFMCSGATKPECYARGVMGQPRGRLPAVSRIRRGAALFLYDFDSRHLHGPYRAASDGGLDLAPAAFGGRFPAQHLAGVMQQNCKKLLWSRTAESKVKFTIDGDFMPIPESSLRSAIKENYSNGKFSSELTLAQVENLRTLFRPIIVQPEPGLPNNFDDRQPARPAEYLPPSTSHPTQPAAYVHHQTSYIPFLTALLCSMSPTAPSLVCDKPHSAAENDENGCSSGSSMSSPAGYIFMCNGVTKAECYRHRVMGLPLGSLDVVSRIRRGTALFLYDFDAKHLYGPYHADSNGGLTLVPDAFRGRFPAQRKRVFVVTIVHQVKFTVDGDFMPIPESSLRTAIKENYSNGKFSPELTLVQVEKLRALFRPIIVMPESALFHNDSDRHPVPPAVYLPPASHPSQPAAYVHQQHQTSYIPPLTAHPMPPESYAHSYAQMPPPNMQFTTPPYHMSTAEYPYQAAHTAYSSLPSANNISMANNLYGQQSLSDHVSATGYYTAPYYAAHQIGMHPVDPPRSHYLGTTSERITYGTEHEAATTNLQPISHYGSIPSGATAAPEAAATNSELVCNSGATPSASATGAAMTNLQLVRNYGSMPSSVIGAAAHSSEGSQFEQAATYITHAPGTYYCYGASSAIYSYPGNTASCDGNNVAAAPSVYAVAAPPAYQ >ORUFI11G16360.1 pep chromosome:OR_W1943:11:19300564:19302982:-1 gene:ORUFI11G16360 transcript:ORUFI11G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAEATERGEPSGGGGEIAYVSYGGEHHLPLVMSLVDEELSEPYSIFTYRYFVYLWPQLTFLAFDPKDGKCVGTVVCKMGEHRGAFRGYIAMLVVLKPYRGRGIATELVTRSIRVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLYRYYLNGVDAFRLKLLFPRPDPGMHPMMMLGNERDDQHMDSPYL >ORUFI11G16370.1 pep chromosome:OR_W1943:11:19306680:19307564:-1 gene:ORUFI11G16370 transcript:ORUFI11G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAAARGGDGVAGVQLQEFAYFVVIDLEATCERGRRIYPQEIIEFASVVVDGATGEQLAEAFRAYVRPLHHRELTDYCRELTGIAQADVDAGVDLREALRAHDAWLDARGVKNAAGGGGGGGGFAVVTWGDWDCRTMLEGECRFKGIIGDGKPEYFDRWINLKVPFRQVFDRGGARRIGLEEALAVAGLAFEGRPHSGLDDARNTARLLALLMRRRGGVRLAITGSPPPPXAGARRAGSRRRWRARALLPVRRGEQGGGGAEAGADAGAALLRVRQVDAGERGGVLLLCVGGR >ORUFI11G16380.1 pep chromosome:OR_W1943:11:19309573:19311527:-1 gene:ORUFI11G16380 transcript:ORUFI11G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLAASGDAAPGGGDEATGGGPAQLRSGAATREALELDCGLVGTLRLGWRCGGGTRQLAEDSRLGHGCGDGEDVARGNCIRDWSNSRRHGDGLDRRFVFHGWVWAYQERREGTYQRNLISPEVDGGGSVRERATVVIVDAVHRRIVETLLHLRPVAQIRYSRGWHSEENKSRMLRCLTEISPSHIAGNAGRPAH >ORUFI11G16390.1 pep chromosome:OR_W1943:11:19312092:19312484:-1 gene:ORUFI11G16390 transcript:ORUFI11G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLPFDGRPHIGLVVYDDARNTAVLVRRGGFTAGSLPLPLPAAQAAAAEEEGVVVGGGGGGGGARVRYCHCGVESREKEVRRPGPTQGRHFFGCGRWTAARGAACDYYVWDEAAPSTFGLNSQPSPPRE >ORUFI11G16400.1 pep chromosome:OR_W1943:11:19316639:19319125:1 gene:ORUFI11G16400 transcript:ORUFI11G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAATRLLLPPLRAPPPSLAGGAAAAAGGGGRWRGAVPRRARARRAVVPPRASLVDSSGGAAAAAVLLDAAVAGATGYSQASYYTSLGLFVLSVPGLWSLIKRSVKSKVVQKTFVKEEGQTMAPNQVAGEILSFFTRNNFTISDRGEVITFEGTMVPSRGQAALLTFCTCISLGSVGLVLSIAVPEGGNNWFWLMTLSPLAGVYYWTKASRKEEIKVKMILSDDGNVSEILVRGDDVQVEQMRKELKFSEKGMIYVKGIFET >ORUFI11G16410.1 pep chromosome:OR_W1943:11:19327990:19328525:-1 gene:ORUFI11G16410 transcript:ORUFI11G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTWTARQPRPLTCGALNHDRRAELLNLDRLAMRSTTAIAVVENAMADLAAVGGVDVEVRSLNRQDEAGRILSALTEAVDINWRIMQWMLGPL >ORUFI11G16420.1 pep chromosome:OR_W1943:11:19328741:19329039:1 gene:ORUFI11G16420 transcript:ORUFI11G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALYAIVDVDKAKEPREPWSMKPCSGCKRTGGHHGPMVREDVLEMKTVIQSR >ORUFI11G16430.1 pep chromosome:OR_W1943:11:19329213:19329410:-1 gene:ORUFI11G16430 transcript:ORUFI11G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVAVQAVGPATSPSSSSSMSHCQHRRIFLDYTSLFSGNCGCYFGNSASTPFSRRDRLEGLLC >ORUFI11G16440.1 pep chromosome:OR_W1943:11:19331631:19333559:1 gene:ORUFI11G16440 transcript:ORUFI11G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAGTWRRARVGGGKERGVGRPEGEVEMRQKQRDLGEDWSGGHACAVRLSSDLCRPNPSLWWAFSGLGRRGEGVLLGQNPGWSRDHLDYPLEPPMPVESTVLGAPANSKRQDPIGRRARIKKLKTITTPTCGHRCIPNKIILKGMKLIGIEIRSRVVGWSFIKIKECHGLLQL >ORUFI11G16460.1 pep chromosome:OR_W1943:11:19342771:19350959:-1 gene:ORUFI11G16460 transcript:ORUFI11G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRHRPRAAAAAAASASVSAAAHHPGGVRVHPYHTSAGVVKGKLHTRKAATTYEHSASCEVEEWGYELMIFFLRVDYQDSDTRKGNRRTRGCERGSKTLGSSADLIW >ORUFI11G16460.2 pep chromosome:OR_W1943:11:19342462:19350959:-1 gene:ORUFI11G16460 transcript:ORUFI11G16460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRHRPRAAAAAAASASVSAAAHHPGGVRVHPYHTSAGVVKGKLHTRKAATTYEHSASCEVEEWGYELMIFFLRVDYQDSDTRKGNRRTRGCERGSKTLGSSADLSLSKVGFKPKDTKH >ORUFI11G16460.3 pep chromosome:OR_W1943:11:19342771:19350959:-1 gene:ORUFI11G16460 transcript:ORUFI11G16460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERRHRPRAAAAAAASASVSAAAHHPGGVRVHPYHTSAGVVKGKLHTRKAATTDTRKGNRRTRGCERGSKTLGSSADLIW >ORUFI11G16470.1 pep chromosome:OR_W1943:11:19351452:19352132:1 gene:ORUFI11G16470 transcript:ORUFI11G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPINITSVRELEEAIGKYGAGESVRVTINVANVFEADELLRLIGDRELEVRWELSPGGMDMLRSAADYYLNLDLLYEFMDLMRLDLRDGVPVKKSLFDWIRTASTDVLTSSHPSSTRRILEQITLEAQLIGRVAKGLGFGADDVQQYLITPHEMSWYLEEDAVAVRKRRASLSSGDAATSDVAAGASDPVELLKNHKQRLLDKVAHLQQVISSSKSAPYISQLEQ >ORUFI11G16480.1 pep chromosome:OR_W1943:11:19352913:19354574:-1 gene:ORUFI11G16480 transcript:ORUFI11G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVWRRRRFSTPPQRRRNPLPSSASTTSEEVFGRLLQRPSFRRRTYFIVYTLSESSVYTQEPSRQIMCLMHQITFLLHGFYLLRVKQLGEPLEFLPLYPASK >ORUFI11G16490.1 pep chromosome:OR_W1943:11:19361018:19361507:-1 gene:ORUFI11G16490 transcript:ORUFI11G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGSGGIHGGVVGRGGGDLGFCEVAREDDGDAEEAERSATGRSGAESGWGEATKWKMGNQKHTLTGMWASGQWSSGPTCRSQRAMRLDLK >ORUFI11G16510.1 pep chromosome:OR_W1943:11:19373180:19374991:-1 gene:ORUFI11G16510 transcript:ORUFI11G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGPNDFLVTSMVDVLALEDVFGHHPPPLARVVVQELDRLVDASDVFPWLGKASDMSKDLNKRKLQFKRILDRVTAAAAVLPSDLVSHARGLELLEARFGEPLPAAGRAVTAEEFRDLVSLANRVSWDARNAHLRATAVRWYLEALIHDVEATLHDKVVLSDLKNADSIKPCKEVRNPGSEAEGKVPGKKTAWSEPLARVILRIRQTIHNTIEKLRIAGIQATSAHDLLFLVEKPSCDQVHHA >ORUFI11G16520.1 pep chromosome:OR_W1943:11:19379880:19380676:1 gene:ORUFI11G16520 transcript:ORUFI11G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHPPPSRPTGPRFLPSAREPASAPPTGREGIKRFDQEDVDLVYGPLALDFAGLLLIAARAVIFLLRSEIGYNDIVQHRRLPVITDIFAPWSP >ORUFI11G16530.1 pep chromosome:OR_W1943:11:19385732:19389679:1 gene:ORUFI11G16530 transcript:ORUFI11G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVTEEAAQLRADQEEARSGTAGEDIGAAAGAEVAVAQWWWAAPVTGAARRGSGGLGTGGGGGLPSPTAAGGGHGDGRRRQICTATGWARCSRPRAMGAAPSHPAGAQGAVSWRHARGRQTTPARGSQCEGVQARLAARLAPRRLLSSGGKVLGEEEKAAENIYIKVEITCRGRQLLPILTLQHVRDIMLLSSLRRSWSRGIR >ORUFI11G16530.2 pep chromosome:OR_W1943:11:19385732:19389679:1 gene:ORUFI11G16530 transcript:ORUFI11G16530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVTEEAAQLRADQEEARSGTAGEDIGAAAGAEVAVAQWWWAAPVTGAARRGSGGLGTGGGGGLPSPTAAGGGHGDGRRRQICTATGWARCSRPRAMGAAPSHPAGAQGAVSWRHARGRQTTPARGSQCEGVQARLAARLAPRRLLSSGGKVLGEEEKAAENIYIKVEITCRGRQLLPILTLQHCC >ORUFI11G16540.1 pep chromosome:OR_W1943:11:19390835:19391642:-1 gene:ORUFI11G16540 transcript:ORUFI11G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADATTTASALRARAAEFRNRAEKLRELLVVDDEPEEGITRDVARNLLRILVEQNAMIVEDAGMDLEEGEIVEDAAMAQEQGEGEEVEGKQDEVMVDGKRIPSELWDLVSLDDDEIASAQEVARARIRHGKEMEKVWLHLSHIDHDDFRALDSACLDYLSIKRFSEPILQASELLDTIRSRDWASALERRAAELDTEAASLET >ORUFI11G16550.1 pep chromosome:OR_W1943:11:19394612:19400931:1 gene:ORUFI11G16550 transcript:ORUFI11G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGSGPRHGRLLISPSLSSPTFSTSSPSRSPAPHHDRRNSTSSPQPLLPFPSASSSRSGGGGGAAGGPRAAGALSSASQPAFAHNARVAAALAPAAAFLLDLGGLPVFAVLAVGLAAAYLLDALRQRQGAFFTVWAALIAADVAFFFSASLSSAASASVPLTVLALLLCAETSFLIGVWASLQFRWIQLENPTIVAALERLLFACVPIAAPAIFTWAVVSAVGMANASYYLATFSMVFYWLFSIPRPSSFKNRKQDAPWQDTDGILGPLESCVHALYLLFVPVLFHAASHHATLFASWANVCDLLLLFFIPFLFQLYASTRGALWWITRDVRTMDQIRMANGLVALVVVVLCLEVRVVFHSFGRYIHAPPPLNYLLVTVTMLGGALGMAAHAAGKVGDAVSSVAFTGLAVLVSGAGAIVIGFPVMFLPLPMISGYYAARFFTKKSLSSYFTFVAIASMMVLWFVVHNYWDLNIWIAGMPLKSFTKYVVAAVIMAMTVPGLALLPTKLRFLVELGLTGHALLICYIENRLFNYATMYYFGFEDDVMYPSYMVLFTTFLGLALRFCYSLSLPPCFFTGLACIPIVALHFPHAQSAKRFLVLVVATGLLFVIMQPPIKLSWVYRSEFIKAAHLSDDDTSIYGFIASKPTWPSWLLIATVVLTLAAVTSIIPVKYVVELRALYALGVGITLGIYISVQYFFQAVVLYPLLVATIVLAAVFIVFTHLPSESSTRVLPWVFSFLVVLFPITYLLEGHLRAKNFVDDEEAENFTNMLAIEGARMSLLGLYAAIFMIIALEIKFELALLLREKAADKGVTHGPPGRSSAFPPKARLLQQRRAHAAPTFTIKRLAAEAAWMPAIGNFSTVLCFIICLILNVTLTGGSNRAIFFLAPILLLLNQDSDIIAGFGDRQRYFPVTISISVYLVLTALYRLWEETWPGSGGWALDIGGPGWFFAVKNVALLMMTLPNHILFNRFMWDYVRQTDAKLLLTLPLNLPSIIMTDILTVRVLGLLGAIYSLSQYVISRRIRLAGMKYI >ORUFI11G16560.1 pep chromosome:OR_W1943:11:19401488:19403935:1 gene:ORUFI11G16560 transcript:ORUFI11G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRRALHLHLHLRRALPSPPRAGALLLPAAASSPAHRFLSGFTTTTQQNSASTTTIDLSSDESRRRLINRLVYRSKQRGFLELDLVLGTWVEQHIHSMDEANIRALLQVLDLENPDLWKWLTSQEQPPEAVNSNPVFIAVKSKVTDNLSKHSSPETRSAPGQPWVRGWDDKRGIEGPKYGNQ >ORUFI11G16570.1 pep chromosome:OR_W1943:11:19404024:19408203:-1 gene:ORUFI11G16570 transcript:ORUFI11G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAAEAEAERMRREKKDGVVKEVIRLERESVIPILKPKLIMKLAYLVEQDKDRGEFLKLCRRVEYTIRAWYLLQFEDLMQLYALFDPVNGVKSLEQQSLTPDEIETLELNFLTYMFQIMEKSNFKLLSDEEYDVAQSGQYLLNLPIKVDESKVDKKLLTRYFKAHPHDNLPASVDKYIIFRRGIGIDRTTDYFFMEKVDVIISRVWRSLLRVTKIDRLFSKKKQLRAKKDPKKTDEVNEEVEEQEFSVERIRLEKMELRKSSTEAKPDRGIFVKHFKNIPMADMELVLPEKKNPSLTPMDWVKFLISAVLGLVTLIGSLEMPKADIWVVIAILSGLIGYCAKIYFTFQANMVSYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIVSYYILMEQGKATVQDLDLRCEQLIKEEFGVECNFDVVDAVKKLEKLGIVSRDSIGRIVCVPLKRANDIIGQTTEEMVMRAQQASTGS >ORUFI11G16580.1 pep chromosome:OR_W1943:11:19409630:19410872:-1 gene:ORUFI11G16580 transcript:ORUFI11G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQLQALRAPAAAAAPLRSSSFCTPLSLPAPAPPRRGARASTASARITMRFGVASKQAYICRDCGYIYSDRTPFDKLPDKYFCPVCGAPKRRFKPYEPKVAKNANATDARKARKEQLKKDEAVGQALPIGIAVGILALAGLFFYLNSVY >ORUFI11G16590.1 pep chromosome:OR_W1943:11:19413084:19420302:-1 gene:ORUFI11G16590 transcript:ORUFI11G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSSENAPDHDHDHDASSPAPATATAAALPPMIPACDPHDGPACLELIEVLTTRAAAVQRRVLAEVLAMNTGTDYLRRFLGDEVVAAAGGEDELAAAFKERVPVVEYEDVKPYIERIANGAPSSLISSKPITELLTSSGTSGGQPKLMPATEEELDRKTFLYNLLVPVMNKYVEGLDEGRGMYLLFVKPEITTASGMVARPVLTSYYKSRHFRRRPDSPYTRYTSPDAAILCPDSRQSMYAQLLCGLARRGEVLRVGAVFASAFLRAVKFLEGHWRALCADIRAGRADPAVVTDAACRGAVDASYFGINLRPLDPPEEVVYTLLPNMCYYEFIKVEKDGDGEKVRDGEVVDLVGVEVGAYYELVVTTFTGLYRYRVGDILQVAGFHNAAPQFRFVHRRNVVLSVDTDKTSEDDLLRAVTAAKPLLDPLSCVLAEYTAYADTSSIPGHYVLFWELTPSPSPPPPPCHDDADDAADIGEDKDKDKDKVAHVMAACCAAVEAGLDSVYRRCRSRDRSIGPLEIRVVAPGAFDALMDMCVSHGSSVNQYKTPRCIKHPDAIAVLEQRVVGRFFSDAVPHWEPLKVDGAAAAPATGSDQ >ORUFI11G16600.1 pep chromosome:OR_W1943:11:19451656:19451964:1 gene:ORUFI11G16600 transcript:ORUFI11G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSCFGNSSVGIAAAVWPRRRAGSLAVGGNHGGVRCLAALREGAPHPGHRCAGATGLAVAFDDALLPSSRRAYHVLHKKRGSRSLATAADTAVGVH >ORUFI11G16610.1 pep chromosome:OR_W1943:11:19452350:19453795:1 gene:ORUFI11G16610 transcript:ORUFI11G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTLPPYSFIQIKQKRLHSLPRCCQVMTRMRLPADPTPEKHCATIAVPSLPAACLLAAACTTTLGRQTVGMAARDGAALKPVLSGLSMKPVPSGERLREAHTTAERALAAAEAEGDDPAAIDGNLVLAFLATRDGDFDDARQPRICLRAQRRGAHPRGALRRWRRRSVADAIRGRPLPPPRPVACSPAAGRLAQRREEKSERERRIERRGKRGRLSRPR >ORUFI11G16620.1 pep chromosome:OR_W1943:11:19454449:19455659:-1 gene:ORUFI11G16620 transcript:ORUFI11G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAQTVLPESEGGAEGQLNASGLVFRPSFELPAMVRDNIEQCLAEGVGKHVAHGGWNDLFWAVHPGGRKILDVVEDRLALAPGKLDASRHVLSEYGNMSGASIIFVLDELRRRGDMPSGGLGQKEATFNE >ORUFI11G16630.1 pep chromosome:OR_W1943:11:19455660:19455890:-1 gene:ORUFI11G16630 transcript:ORUFI11G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFHGCSAAAAVLRVAKDLAENNPGARVLVVSAELSLTLFRAPQEGHVDTIVGQALFGDGAGAVIVGAGGDERQVF >ORUFI11G16640.1 pep chromosome:OR_W1943:11:19455943:19459285:-1 gene:ORUFI11G16640 transcript:ORUFI11G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIGTANPPNSLSQEEYTDWYFCVTNSDHLTNLKDKMKKICEFLITKYSCVATGHRSGIKKRHFHHTDDTFRDHPELAVRDQPSLETRQDILATAVPELAAEAAASAITEWGRPASDVTHLLRHAHARR >ORUFI11G16650.1 pep chromosome:OR_W1943:11:19462761:19465538:1 gene:ORUFI11G16650 transcript:ORUFI11G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSGGRRLAGACLRGAGVQGSGPATRQRLGTGAARRHGGQRVGDQEHCTSNGCARMPTKGMRASGLQDPVALVSIGGWSPNQGVPQPAIILNPSFSRHRRGLCPGRPYWAAAMGLSNHTLSTELKPMELSTTEQGKQVEPRGKSMGISIDSEPTAIDLFKELHCSKTKGFSEPVKKAIEDMHAREVLTSPSVEDGHQAKTSIEAVS >ORUFI11G16650.2 pep chromosome:OR_W1943:11:19462761:19465538:1 gene:ORUFI11G16650 transcript:ORUFI11G16650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSGGRRLAGACLRGAGVQGSGPATRQRLGTGAARRHGGQRVGDQEHCTSNGCARMPTKGMRASGLQDPVALVSIGGWSPNQGVPQPAIILNPSFSRHRRGLCPGRPYWAAAMGLSNHTLSTLTGSRQVEPRGKSMGISIDSEPTAIDLFKELHCSKTKGFSEPVKKAIEDMHAREVLTSPSVEDGHQAKTSIEAVS >ORUFI11G16660.1 pep chromosome:OR_W1943:11:19476848:19478411:-1 gene:ORUFI11G16660 transcript:ORUFI11G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLPTMETAHATVLAIGTANPAKCVAQEEYVDWYFRVTKSDHLVDLKAKMKRMCDKSGIRKRHLCLTEDMIAAHPELLDRAAPSLDARLGIARDAVPEMAMAAAARAIAEWGRPAADITHLVVSTNAGAHAPGADARLAELLGLRATVQRTVLYMHGCSAGCSALRLAKDIAESNRRARVLVACAEVFLIALAAPDEARLDALVAASLFGDGAGAVIVGTDGDDDPGAPVEHPPIFHMLSASQTTIAGTHQRVSLQLSERGLDYKISGEVPALVRAGIERCMEDALAPLGLAGCGGGWNHLLWAMHPGGRAILDSYEAGLRLEPGKLAASRRPGKLAASRRVLSEYGNMSGAAIIFVLDELRRRRRRRDGGEEEEEESDEYCEWGAMVGVGPGLTIETMVLRASATGGHVGDEVKKSTTA >ORUFI11G16670.1 pep chromosome:OR_W1943:11:19482240:19483426:-1 gene:ORUFI11G16670 transcript:ORUFI11G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVESLKSVEVLDSVDALDIVNALDSVEGLESEMALDSVEVPDSVEVVPESVKVAPDFVKVAPDSVEVVQCPRCGTFHAGGVAPDFVEVAPDSVEVVQCPRCGTFHAGGVFGEACFQARRRARRCVCCGLLHEDYDLIARFLHNMEKFDCELYIPDVEKLQMDGETILLPKHVIKKLDEIYSMKELEDAKMKQEQ >ORUFI11G16680.1 pep chromosome:OR_W1943:11:19489499:19491516:1 gene:ORUFI11G16680 transcript:ORUFI11G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQQHAAVLAIGTANPAKCVVAQEEFVDWYFRVTQSDHLPDLKAKMKRMSIKKRHFYHSEETIAGHPEFINRALPSLDARLGIAKDAVPELAMAAAARAIAEWGRPAADVTHLVVSTNAGAHAPGADARLAALLGLRATVQRAVLYMHGCNAGCTALRLAKDIAENNRGARVLVACAEVTLPLFAAPYEARLDALVAMALFGDGAGAAVVGADPTTPVEHPIFHVVSASQATIPGTEEGVSLLLGERGLDCRISGEVAALVRGGVERCLLDALTPLGLGAGAGGWNHLFWAMHPGGRAILDAYEAALRLEPGKLAASRRVLSEYGNMSCAAIIFVLDELRRRCRRDGGEEEEESDEYCEWGAMVGLGPGLTIETIVLRATGGGHVDDEGKKSIAA >ORUFI11G16690.1 pep chromosome:OR_W1943:11:19496085:19497673:-1 gene:ORUFI11G16690 transcript:ORUFI11G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTAASRRPAVTSPCGGGGDGHGHRHAAVLAIGTANPASWVTQEEYVDWYFRVTNSEHLADLKAKMKRICDKSGIKKRHFHLTEELLADHPDFADRAQPSLDARLDVAAAAVPELAAAAAARKAIAEWGRPAGDITHLVVTTNSGGHVVGADVRLARVLGLRPTVRRTLLYLGGCSAGSGALRLAKDLAENTPGARVLVACAELNLIAFRGPEDGCLDTLILQGIFGDGAGAAVVGADPVVPVERPIFYMASASQTTIPGTEHAITGQLRKGGLDYHIAHEMPSLVGEHIAHCVADALAPLGIGIDVDVDGGGGWNGLFWAVHPGGRAILDSVEARLALAPGKLAANRRVLGEFGNMAGATVFFVLDELRRARGEGEQRGCEWGVAVAFGPGVTVETMVLRAVHF >ORUFI11G16700.1 pep chromosome:OR_W1943:11:19502152:19502638:-1 gene:ORUFI11G16700 transcript:ORUFI11G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVAVVPLPHPDSAVLALPHLAPCRSGGSSRRRGATAVVAGPSSARIWWQRHPSWACPRVHNGEGGRRSPGEEGRGRVTTATVGPSLVRIRRQIRI >ORUFI11G16710.1 pep chromosome:OR_W1943:11:19522893:19523197:-1 gene:ORUFI11G16710 transcript:ORUFI11G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHRISLLLPSPPQLKPVRLRRSAKDVNKLRASPLDAGQRLRQQGGGVDLGQKQQPAAAPRHLPH >ORUFI11G16720.1 pep chromosome:OR_W1943:11:19541777:19545481:-1 gene:ORUFI11G16720 transcript:ORUFI11G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTVEEVRRAQRAEGPATVLAIGTATPANCVYQADYPDYYFRITKSEHMVELKEKFKRMCDKSQIRKRYMHLTEEILQENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPRSRITHLVFCTTSGVDMPGADYQLAKMLGLRPNVNRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLAVCSEITAVTFRGPSESHLDSMVGQALFGDGAAAVIVGSDPDEAVERPLFQMVSASQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALGDAFTPLGISDWNSIFWVAHPGGPAILDQVEAKVGLDKERMRATRHVLSEYGNMSSACVLFILDEMRKRSAEDGHATTGEGMDWGVLFGFGPGLTVETVVLHSVPITAGAAA >ORUFI11G16730.1 pep chromosome:OR_W1943:11:19566332:19567056:-1 gene:ORUFI11G16730 transcript:ORUFI11G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSSCSGGRRKKVQLPLIQCPLCKENTVVVRTSRTPTNLGRIFYTCPDHEKDGSGCNFWYWEEGYMKYLKRNGFIDGEEATEVKKAVELKNASKFDGDVVLRQDDELKKALTDVVSIGRELVVVMKLMLVVGSIGVALLVGIMMK >ORUFI11G16740.1 pep chromosome:OR_W1943:11:19587748:19588529:-1 gene:ORUFI11G16740 transcript:ORUFI11G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVTHDSAAAIHAVWLKPPEAERRRIRTPKARRCCPRPDSGTPEATADTVISVIQDRHQEWVEWEGESEEIGGVGESG >ORUFI11G16750.1 pep chromosome:OR_W1943:11:19627489:19634551:1 gene:ORUFI11G16750 transcript:ORUFI11G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAATSTYAESVASCRAPAPATPRPPHAAVRRHLDFAAGDGGELDDDEVEDEDEDDFLFRAAEETERSHYEAQRRASAPPPPPSQPPAFLERPCICGRGGCDVEERELGRWAYVCPATPKCKYSVWCGEADICPNPQPAYMSHPKPNPHVFSSPCSPVVFNSPSNHLAGSTTPTPNNLQVFNGPRNPHVSNSPSNHLAGSTTPTPNNLQVFNAPGNLHVSNSPNNHRSGATTPVNANPRGSRSSDKQPICHCRAGKCKVETIKGQKYYVCCIQKGQGACPYQVPVNAFVEESPQAGNSVPLEDNRGNYSPVKVEANNDNGSINPDQPEYDEWPFDIVNNDVVCSGFLPTAEPTLRDGIPTLRDGIVAGESPSTQHLSNATTEAKTPTKLPIMPPPHGSGSPFTPRSNPCYRCGEDGHCCWGITKYAAPVKCYD >ORUFI11G16760.1 pep chromosome:OR_W1943:11:19634580:19635903:1 gene:ORUFI11G16760 transcript:ORUFI11G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPHGSGSPFTPRSNPCYRCDGHWSRNCPKPASSPLNSPCYNCGKLGHWREMVQPSAVHSVRN >ORUFI11G16770.1 pep chromosome:OR_W1943:11:19652143:19652451:1 gene:ORUFI11G16770 transcript:ORUFI11G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGPAVVGKGAAAALHGRCAEFGGGGGGIRRRRRTGAADPTTAMRRGGGSGDGEVRAHPPCTACGCLSHHHRGESRFPDRLLNGNRSILPATMTITMASN >ORUFI11G16780.1 pep chromosome:OR_W1943:11:19655985:19659140:1 gene:ORUFI11G16780 transcript:ORUFI11G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSWSCSWICPLEVIVQIRKLFFSSKEKMAPSSPPAMNMACARQGRLRQRYEGCYRLVSGCIPYMIKEDGENSSSSLQDDDHVLERLQVLMISTPKRSDLIFPKGGWEDDESIGEAACREAFEEAGVKGVLSGTPLGEWIFKSKSKQNSCGLQGACKGYMFALQVTELLESWPEQTTHGRRWVPVEEAYGLCRYDWMREALDKLKEQLLFAAGDDLRASPSPELDSSAGLYMVMPPVAEGAVALC >ORUFI11G16790.1 pep chromosome:OR_W1943:11:19660646:19661344:-1 gene:ORUFI11G16790 transcript:ORUFI11G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPALPPPPRLVDYISSLQGLVRLGDLADLEPDKSVVVVVSGCYPLEDAPILFDDLSARETWCVIGMPELCILRARAISLLQQREDLRALVRDMGHDLLVCGTESGKVEGVLARALKLPAGMDAPPGISLDLVGDADEGRRFLRRDIARARLQLAALAGHTERHILRRLGRELALRASTGVVAPQELWPDIQEQRLRHAPPDSTSDAALYAEAAEEAAWAAPAGTPPDSDA >ORUFI11G16800.1 pep chromosome:OR_W1943:11:19661979:19663708:-1 gene:ORUFI11G16800 transcript:ORUFI11G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDYLTEGFTANTSLAHYCRDNGLLINIHRAMHAVIDRQKNHGRDLAREGNEIIRSACKWSPELAAACEVWKAIKFEFEPVDKLDS >ORUFI11G16810.1 pep chromosome:OR_W1943:11:19666975:19670569:1 gene:ORUFI11G16810 transcript:ORUFI11G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTECLVKDAGEVLKLLDENITSGRWTVSDETVALLRVLAEGCEDLMYAKKELTDTVKAAQDDLITLGGNTLSVEERLLLPEKEDRRILVLRALNLPPDSKPSRSFDVENIDAVLAARGRLRGQIVQARADMEGLRQYITETWLPWVEQRLNTHLTLGEPVMP >ORUFI11G16820.1 pep chromosome:OR_W1943:11:19673802:19675198:-1 gene:ORUFI11G16820 transcript:ORUFI11G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAPHNALPRVLAFYHYWRKIYDGWGKRINGGRRAAGLAFLIWFGPTQRLTVAEPELVREIFLTRTEAFDRYEAHPVVRQLESDGLEGTADSAEADTIGEGRRSAARKAGGVEREQEAAAHEATDGCGGGGGVEHEQEVVAREEAVERWPIRQRRRGGVAGKRICPCRRCVTGRRTRSRRRQRRDNEDDDSPPVEAFLGTCHGQASCVWGNLAISKNFLPF >ORUFI11G16830.1 pep chromosome:OR_W1943:11:19680217:19680749:-1 gene:ORUFI11G16830 transcript:ORUFI11G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYDLLPQEVGEAGIADGYRRRALTAASTLVPAACGSTGGMPCIPAARRGRCKLLGVATGDGCQLRCGWPRKAVESAFQMADRQGQVWIDSATIDKGE >ORUFI11G16840.1 pep chromosome:OR_W1943:11:19688507:19692048:1 gene:ORUFI11G16840 transcript:ORUFI11G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMKSRHGAAKRAPEKKPGIFQLDDIPENAVFMIHQLLSLRDAARASLLTRKWLRVWRFYPNLEFTTKALGLKKRIHKVQRRAKFVSCVNTVIRHHAGTGVKSFIIKKNLNNQKYTHYLDRWMYFAVSSGAKELTLDLRPQRFIHYRNIQYNFPSSNFATPMPTSVEHLKLLFCYLRPSPTFFGLSNLKTLELSFVRITKEDLESLLSYTFSLQELKLSQCPNIDHLRIPDVPSKLNYLDIDLCWIRALEIHIQNLVIFNYHGSVRFRIIQGEGSLFKEARFQFSCGDAIEYAITEMAPALPNLETLFLIGFSKMVIPTRDPRHRFHCLKHLQLKMIMLSKKYNNLCLVSFLDAAPFLESLIVHVCNGSLYYPGKKTDLRRLEKREPHKNLKFAKMTGFDGERSSIELALHILESSTNLECLILDPRKYKSEWKYIYEENLRDVQWRVCGYNHCLELSTTFEIGFEL >ORUFI11G16850.1 pep chromosome:OR_W1943:11:19694022:19694291:-1 gene:ORUFI11G16850 transcript:ORUFI11G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELLTTFQKVAIVDEVTIIKDKRPLVVWIRTPRSRISTSKLPYCLVLCFDFGFYLQAPVLSCSAFDFGFYLQAPVLSCSAFDFGFYL >ORUFI11G16860.1 pep chromosome:OR_W1943:11:19698792:19704861:1 gene:ORUFI11G16860 transcript:ORUFI11G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFQAGVKDYKLTYYTPEYETKDTGVPSEEAGAAVVAKSSMGTWTTVWTDGPYALDLFEEGSVTNIFTSIAGNPYALYIWRTCEFPLLIQKPSKVCLMARTGEIKGHYLKATAGACEEMIKRVVFAGKLGVPIVMHDYLTRGFTANTSLAHYCCNNGLLLHIHQAVHPAIDR >ORUFI11G16870.1 pep chromosome:OR_W1943:11:19704872:19706124:1 gene:ORUFI11G16870 transcript:ORUFI11G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRVLAKALRVFGGDHIHTGTVIGKLEGERGMTLGFVDLLRDDFIEKDRVRSIFFTDWVSMPGVIPVASRAYASSDQNLYSVLQFGGGTLGHPWGYAPRAAANRVALEACVQARNKGRDLAREGNEIIRSACKWSPELAAACEIWKAIKFEFEPFYFTTPIKSEKSDIGTSAAGLTVASLHILSLGPAPMLAPPTSS >ORUFI11G16880.1 pep chromosome:OR_W1943:11:19706218:19706547:1 gene:ORUFI11G16880 transcript:ORUFI11G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGMWGAVVKDGDEGFVNVPLVGFNVVRGPLLARPLLEKGSEKEVERDYDNGG >ORUFI11G16890.1 pep chromosome:OR_W1943:11:19716845:19720271:1 gene:ORUFI11G16890 transcript:ORUFI11G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMFLGRYCAKFIPNQNYPFPIRIVMLSALSKYSLIDSFLASEQKGLRVQPYKGGAVVGLRMEDNMMYPIKDQETMLIEIQVYKIAYN >ORUFI11G16890.2 pep chromosome:OR_W1943:11:19716845:19720271:1 gene:ORUFI11G16890 transcript:ORUFI11G16890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMFLGRYCAKFIPNQNYPFPIRIVMLSALSKYSLIDSFLASEQKGLRGDTLSAANLRMEDNMMYPIKDQETMLIEIQVYKIAYN >ORUFI11G16890.3 pep chromosome:OR_W1943:11:19716845:19720543:1 gene:ORUFI11G16890 transcript:ORUFI11G16890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMFLGRYCAKFIPNQNYPFPIRIVMLSALSKRMEDNMMYPIKDQETMLAVPMDMNRQQQDTGYRIEIQVYKIAYN >ORUFI11G16900.1 pep chromosome:OR_W1943:11:19722043:19729693:-1 gene:ORUFI11G16900 transcript:ORUFI11G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPIPPRSASPNPLLLRPPVLVVRRGGRPAAAAAAATTAAAASVAPDDIAQLNHRLRALVRRRDASSSAALSVDPAQAEAYLRMIREQQRMGLRQLRGDGDGAASQEGESDGDGDEGGGGRRKGVVAAGSSLGHRVDPRELEAGEYVVHKKVGVGKFVCISAEDGLDYVFIQYADAMAKLAVDQAARMLYRYNLPHEKQRPRNLSKLNDPSTWEKRRLKGKLAVQKMVVNLMELYLQRMRQKRPPYPKPVGMDQFTAEFPYEPTPDQNQAFIDVDKDLTERETPMDRLICGDVGFGKTEVAMRAIFIVISAGFQAMVLAPTVILAKQHYDVMSERFSNYPDIKVAMFSGAQTKEEKDELITKIRNGDLHIIVGTHAVLTERMAYNNLGLLVVDEEQKFGVQQKEKIASYKASIDVLTLSATPIPRTLYLALTGFRDASLMSTPPPERVAVRTYVSGFSKERALSAIKFELARGGQVFYVVPRIKAIDDVLQFLKDSLPDVPMAVAHGKKVSKNIQLAMEKFACGEVKILVCTHIIESGIDIPNANTMVVQYAELFGLAQLYQLRGRVGRSGTEGFAYLFYTDKSLLSKIATDRLGAIEEHSDLGQGFHVAEKDMGIRGFGSLFGEQQSGDVANVGIDLFFDMLFDSLSKVDQFCLIPVPYKDVQLDINISSRLSSEYISYLENPVELLNEAAKAAEKDLWTLIQFTEDLRRQYGKEPRDMELLLKKLYVRRMAADLGISRIYSSGKMIIMKTNMNKKVFRLMREAMSLETHRNSLSFTGKEIKGELLVNLPDTLLLNWLFHCLADCYAVIPALVKKIVGGMKS >ORUFI11G16910.1 pep chromosome:OR_W1943:11:19730691:19731194:-1 gene:ORUFI11G16910 transcript:ORUFI11G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASRVSSLLRAAAAAVSVPGRSRSLSAAAATAAKKPAGDYVPVYVAMGMIAVSVSLGLATARQQLAHAPNVRLDKKKRETVPEVAAPDMALDEAERFVGGSLFRKVAHVQDDASLRAGVAADPVAEYPARKAVTLKDAGVEPPGIEQGRKGILEVLGKKTKPAAAA >ORUFI11G16920.1 pep chromosome:OR_W1943:11:19733303:19740198:1 gene:ORUFI11G16920 transcript:ORUFI11G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKSYKVIEVFFSRKAINASEFKTVNLDKIDTDGLMYFKDLVDDEIFQSNLEKLEKLSSTTGCQGELDLEMFLTSNDYVLNAENSSGSSANFGCSKRVFETLASPTKTIKNMLAAPSSPSSPANGGSIKIVQMTPVTSAMTTAKWLRDVISSLPDKPSSKLEEFLSSCDTDLTSDVVKRVSIILEAIFPTKSIDRGTSIGLNCANAFDIPWAEARKMEASKLYYRVLEAICRAESQNNNVNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLSKIIENFVRHEETLPRELKRHLNSLEEQLLESMSWEKGSSLYNSLVVARPSLSTEINSLGLLAEPMPSLDGIVARQSIHPDGLPPTPSKRRPSAGPDGNCYPQSPKRSCTESRNSLVERNSQTPPPKQSQTGLSILKAKYHPLQATFASPTVSNPVSGNEKCAVVGVQIFFSKILKLAAIRIRNLCERLRHEELTVSVYNIFKQILDQQTALFFNRHVDQIILCCLYGVAKVSQLSLTFKEIVNNYKREPQCKPEVFRSIFVGSTNRNGGFGSRHVDIIVFYNQVFVPTVKPLLVALMPSSTRPEDKRNTNSQIPGSPKSSPFSNLPDMSPKKVSSSHNVYVSPLRQTKMDALLSPSSRSFYACIGESTQAFQSPSKDLAAINSRLNYPTRRINSRINFDMVSDSVVAGSLGQPNGGSASSDPAAAFSPLSKKSKTDS >ORUFI11G16920.2 pep chromosome:OR_W1943:11:19733303:19739032:1 gene:ORUFI11G16920 transcript:ORUFI11G16920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKSYKVIEVFFSRKAINASEFKTVNLDKIDTDGLMYFKDLVDDEIFQSNLEKLEKLSSTTGCQGELDLEMFLTSNDYVLNAENSSGSSANFGCSKRVFETLASPTKTIKNMLAAPSSPSSPANGGSIKIVQMTPVTSAMTTAKWLRDVISSLPDKPSSKLEEFLSSCDTDLTSDVVKRVSIILEAIFPTKSIDRGTSIGLNCANAFDIPWAEARKMEASKLYYRVLEAICRAESQNNNVNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLSKIIENFVRHEETLPRELKRHLNSLEEQLLESMSWEKGSSLYNSLVVARPSLSTEINSLGLLAEPMPSLDGIVARQSIHPDGLPPTPSKRRPSAGPDGNCYPQSPKRSCTESRNSLVERNSQTPPPKQSQTGLSILKAKYHPLQATFASPTVSNPVSGNEKCAVVGVQIFFSKILKLAAIRIRNLCERLRHEELTVSVYNIFKQILDQQTALFFNRHVDQIILCCLYGVAKVSQLSLTFKEIVNNYKREPQCKPEVFRSIFVGSTNRNGGFGSRHVDIIVFYNQVFVPTVKPLLVALMPSSTRPEDKRNTNSISSILFSQFKPANSPLNSSYN >ORUFI11G16920.3 pep chromosome:OR_W1943:11:19733303:19738716:1 gene:ORUFI11G16920 transcript:ORUFI11G16920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKSYKVIEVFFSRKAINASEFKTVNLDKIDTDGLMYFKDLVDDEIFQSNLEKLEKLSSTTGCQGELDLEMFLTSNDYVLNAENSSGSSANFGCSKRVFETLASPTKTIKNMLAAPSSPSSPANGGSIKIVQMTPVTSAMTTAKWLRDVISSLPDKPSSKLEEFLSSCDTDLTSDVVKRVSIILEAIFPTKSIDRGTSIGLNCANAFDIPWAEARKMEASKLYYRVLEAICRAESQNNNVNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLSKIIENFVRHEETLPRELKRHLNSLEEQLLESMSWEKGSSLYNSLVVARPSLSTEINSLGLLAEPMPSLDGIVARQSIHPDGLPPTPSKRRPSAGPDGNCYPQSPKRSCTESRNSLVERNSQTPPPKQSQTGLSILKAKYHPLQATFASPTVSNPVSGNEKCAVVGVQIFFSKILKLAAIRIRNLCERLRHEELTVSVYNIFKQILDQQTALFFNRHVDQIILCCLYGVAKVSQLSLTFKEIVNNYKREPQCKPEVFRSIFVGSTNRNGVCIF >ORUFI11G16920.4 pep chromosome:OR_W1943:11:19735285:19740198:1 gene:ORUFI11G16920 transcript:ORUFI11G16920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKSYKVIEVFFSRKAINASEFKTSNLEKLEKLSSTTGCQGELDLEMFLTSNDYVLNAENSSGSSANFGCSKRVFETLASPTKTIKNMLAAPSSPSSPANGGSIKIVQMTPVTSAMTTAKWLRDVISSLPDKPSSKLEEFLSSCDTDLTSDVVKRVSIILEAIFPTKSIDRGTSIGLNCANAFDIPWAEARKMEASKLYYRVLEAICRAESQNNNVNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLSKIIENFVRHEETLPRELKRHLNSLEEQLLESMSWEKGSSLYNSLVVARPSLSTEINSLGLLAEPMPSLDGIVARQSIHPDGLPPTPSKRRPSAGPDGNCYPQSPKRSCTESRNSLVERNSQTPPPKQSQTGLSILKAKYHPLQATFASPTVSNPVSGNEKCAVVGVQIFFSKILKLAAIRIRNLCERLRHEELTVSVYNIFKQILDQQTALFFNRHVDQIILCCLYGVAKVSQLSLTFKEIVNNYKREPQCKPEVFRSIFVGSTNRNGGFGSRHVDIIVFYNQVFVPTVKPLLVALMPSSTRPEDKRNTNSQIPGSPKSSPFSNLPDMSPKKVSSSHNVYVSPLRQTKMDALLSPSSRSFYACIGESTQAFQSPSKDLAAINSRLNYPTRRINSRINFDMVSDSVVAGSLGQPNGGSASSDPAAAFSPLSKKSKTDS >ORUFI11G16930.1 pep chromosome:OR_W1943:11:19740521:19741051:-1 gene:ORUFI11G16930 transcript:ORUFI11G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGSLPLPPPVVVTVSGCEPLNDARELYQYLEEYVNQEGWIVDDTQLAGLAELAEARDELVGARDKLTTLASDIGDDLLLGGAVTDDVPLLSGDFKAALARVLKLPEGMELPKDFESPLLVPAIAGRERIHGELINLRADLAALKQHVEEQWLPWANDRLSSAGVIGDQILLDME >ORUFI11G16940.1 pep chromosome:OR_W1943:11:19748203:19749488:1 gene:ORUFI11G16940 transcript:ORUFI11G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSPPPPPAFLPPHPRIVNYISTRQGAFELAAQAAAHPGTSVIVVVTGSNPLQDARKLFDGLVARRDALGWCVLGLDKFSQLLERYDVLCDDRNKLRELVGSIYADMLIGGSSAPEVALESHDAADDVQGRVRRLLSIADGADAATLRLTLLDDADEARRLCRAGFLQGRRDLAALKQLVEDFWIPSINRQLEAFRIQVTTKSDSANRSVKPMIKNQEF >ORUFI11G16960.1 pep chromosome:OR_W1943:11:19775490:19776371:1 gene:ORUFI11G16960 transcript:ORUFI11G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLLLLACHLLLSLALLAASLSHLLLAATTHLSPSSSHLRRLRHPLLRLLPVLLALPFPFLPVSPTAAAALLLLPPLLLPLPLPFLPHLPHLRPLLLSLPLLLLARAASLVAASFPPSDLQSHALHVAAALLLAAAVASLLAAISPPNRGLLAETALACAGAVGGLWVGQSGLVLYVDACVPAGCHRLMDAAVATPATRCDVEEARLRAVALMDLALSVHCVVVAAVAVGVHLGVAWWCGVDGGAGAGMGTGRRHNGVGGSYDAMPTVASAEAEMEHLPMKGVVGKSIAQE >ORUFI11G16970.1 pep chromosome:OR_W1943:11:19781760:19783837:-1 gene:ORUFI11G16970 transcript:ORUFI11G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVRWLPFKKTHLARSNASDASSPTSAAAATATAVTTHRLYQVWRGRNRFLCGGRLIFGPDASSIVLTVSLIMTPLALFVAFVSFHLAALIGKPLGQAVPAVAIAVGVFVSPATTISASLEQNEAIDRSLCVHACVKDVIVLVMTSGRDPGIIPRNVRPPEPEDIGVSSPAFGGGGSLPPTRDVYVNGVVVKVKYCHTCLLYRPPRCSHCSVCNNCVDRFDHHCPWVGQCIGKRNYRFFFMFISSTTFLCLYVFVFCWVNLAMTARQFGCSMGRAVVESPVSGILIVYTFVTAWFVGGLTAFHSYLVCTNQTTYENFRYRYERKANPHNRGVAKNVAEIFLSPIPPSRNDFRSRVAVEHYYAAGAGAASGQYFYSYSIGPLSSESKAASFNTRGSLSFDMATASFDLGGVGVGGGVGGYSAKRTSVDVCSNSSDFGDIYGGEQQPPRHSIFGGDGGGGRTSVRKADDVPTEFGHYGAAAAAAAGGGGGRPRGREFEAV >ORUFI11G16980.1 pep chromosome:OR_W1943:11:19785443:19788243:1 gene:ORUFI11G16980 transcript:ORUFI11G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVFCWSQDNVVSKTQPTIDDDNTAEDTYVGEDRFGLDDDNEQDCDGALDNSLDDEPPEPRVVHSVNAFPFMRASGQNPIKAFSDISILRETTADESFFGRKNQFSNPLAEGKSFDSKKHLQIAIDKDEQEKLEKVFQCKDGGYRWGIMTSNGSESLNNVFKFSRRLPVAAIVEETFSKCLEWFVERRRNAVNLLNNGKQWSQRVDKLLVKRGNKAGHMNVISYGDEVGIYEVKVDNELVPMQQGNHEVYTWRDFKYKLSSSMIANVIRDALRDDLELSIKNVRCNVEQNTC >ORUFI11G16990.1 pep chromosome:OR_W1943:11:19789033:19790637:-1 gene:ORUFI11G16990 transcript:ORUFI11G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDRFSDLLWMPHGQHKVSKISENMPIQIQNDRTWWFARLPLIHFWVVEFHYPERVMRQFGRKQLIPPSPPHGEVELRKLRKVKHVGGKVCDWNKFHAKYVQQYDGIEATIVQEDCPFDVASLKEYRCWFQANGMFTMFFDSQCLGGLENSIPYPRDNMEWT >ORUFI11G17000.1 pep chromosome:OR_W1943:11:19796631:19797946:-1 gene:ORUFI11G17000 transcript:ORUFI11G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLIPPPLVRPPPPDLSYINRSYRSVRRREDRDDNLSGAPIPFEESQILEYYKKFLAQYSDYEDEDSEEDSDEEDSEEGEKGKKNIFSNLGVK >ORUFI11G17010.1 pep chromosome:OR_W1943:11:19807527:19808045:1 gene:ORUFI11G17010 transcript:ORUFI11G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYTTKIFALFALIALSASATTAITTMQYFPATLAMGTTDPYRQYMMQTLGMGSSTAMFISQPMAPLQQQCCMQLQGMMPQCNCDTSYQMMQSMQQVICAGLGQQQMMMNMAMQMPYMCNMAPVNFQLSSLWLLLIKTLVTCTLVIRCCILSCANTRNKNH >ORUFI11G17020.1 pep chromosome:OR_W1943:11:19822184:19824444:1 gene:ORUFI11G17020 transcript:ORUFI11G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGGGGGGGRRVTIRSISCRGVKAFVPFQKPPLYAAVSLAGRREKTSGDPDGGENPDWDAAVFAFDLPAAGDGMLQFEVKAQVPLLGSKLVGKVSVPLADLAVAGGDGAAAAPRHVSYQLCCLLHDLGISSTEDSQLDSEKSREADGWRASTAAGLCGSGAAAGGERSAADEFAVVPPAGSCVSATHTVYHYEAAVRATPVLVVLLPFVGSERKAKQESELVIAKTGDVIASTYYMRAWERDAGAEADALLEELWNVKAKLELAETKAAAAGALAEKARDAYERDREDMRCTVRRAPPLPAPRPGQDRRRATGR >ORUFI11G17030.1 pep chromosome:OR_W1943:11:19826752:19828776:-1 gene:ORUFI11G17030 transcript:ORUFI11G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTMQGVDCLPRVPHSWSMVGKGLTGDKVAVRTGFVPERIGDQSASNTETILIWFRLVTTMFKMPPVRLKVETLVSASLEKRQPAESLARVCVQCYDSDRRACSPSAPAPHMAMVVDDAPSAPATTTHHQWPRCSYKLRPCCAIV >ORUFI11G17040.1 pep chromosome:OR_W1943:11:19832334:19833176:-1 gene:ORUFI11G17040 transcript:ORUFI11G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWRSLVALHSSAPSSCFPQPPAPSPCPSPPREEEEEEEEEKREAAAVRLVGSDGKVRTYRRPVTARELMQQHPRHLVCRSDALLIGEKIPAVAPGEVLEPGHAYFLLPAHLFHSVLSFVSLASSLLLLLSASSASAAKKQQQRPFELLRTASGTLQIKFSDDFLLAADDKVADLAAAATNPPVLRGDKKLEKEYEELVGYSKARRWSPKLDTIQEVVAAAAGDTATAAATATTTRRSSKGRGLPFLGRLGSRRRREAAAATTTTTLVCGNGGAVACSG >ORUFI11G17050.1 pep chromosome:OR_W1943:11:19871671:19875614:-1 gene:ORUFI11G17050 transcript:ORUFI11G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFRLLHSALLLLQPNPSLSPSPPSRQVSAMREPRRPLLPLPLPHLLLVSLLSSSAAAPGAGAGAPPRTGGGGVARSIADMAWPTKCTWLPWPTCGKSHSYTLDTKEAVSAKDVSRKEPITGVIALKASMKYFDADFFNDSKLREMEDGAKEFNVPAFRDNRKLVALENGGLHNPSALVFKSSWSDESKISENKSFQYPHTSSVHRPSKDEDIAFMSIIELGELIRTKQVTSRELTAVFLRRLKRYGPIIESVITITDDLAYKQAKEADDLLEQGKYLGPLHGIPYGLKDIIAVPEYKTTWGSRTFENQILDVEASVYKRLKSTGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGSETAGSITYPAARCGVTALRTTFGTVARTGVMSISESLDKLGPFCRSAIDCAVVLDAIRGKDAGDPSSREVAIEDPFHVDIRQLTVGYLDSAEMEVVHVLSAKGVKLVPFKLNYTVQSVQSILNITMDVDMLAHFDNWQRERHDDDYEAQDQWPVELRRARLIPAVDYIQAQRARGKLIREVRESFTVDAFIGNVTDWELVCLGNLVGMPVAVVPTGLKSIKNPPEGGTRRRTTVTTGIYAPPDHDHVALALAMAYQSVTDHHKQRPAIDDLGPGDDIQR >ORUFI11G17060.1 pep chromosome:OR_W1943:11:19883620:19894590:1 gene:ORUFI11G17060 transcript:ORUFI11G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSRRKGWARGAVAFAAVAAAVAVGRRYGWDGEAAAAVAAFRGRRDALGPWAAPAYVAAHALTLALCPPYAILFEGAAALLFGFLPGVACVFSAKVLGASLSFWIGRAIFRLFTSAMDWLKSNKYFHIVVKGVERDGWKFVLLARFSPLPSYIINYALSATDVGFFKDFLLPTVVGCLPMILQNVSIVSLAGAAVASTTGSEKSRIYSYLFPVLGIMSSILISWRIKQYSSALVIPEELKNSSTNGKANVDDKALSTVLCCYLLLLGLFAPEIISDSPPLQDVCPMAPQGERKLFMNGFFCKSPSTIMASDFKTLLLNHAGDLDNMVRSSANIITATEFPGLNTLGISMARTDIAVSGAVLPHSHPRASEMMFVHSGSVVAGFFDTKGKLFQKTLAEGDVFIFPRGLVHFIMNYGFGLATTFSVLNSQNPGVVGITHAMFAPDSEVAEGLMARMLSFRDMGMDDSSSVDSPWFY >ORUFI11G17070.1 pep chromosome:OR_W1943:11:19897929:19904906:-1 gene:ORUFI11G17070 transcript:ORUFI11G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTRAGAADGAGGGGEDIVEAGAEAPPPQRERLVPHSGPLSKRSGMRKSASRCRRRCPRRRGWRRRGAPPPPPTTTTRHGGGIDVRDDSVAVHSVKPAGGGGEDSDVTLLARTLEKRSSSFGHSVIRNASSRIKQVSQELRRLASVNRRGGGGGGPRFDRSKSAAAHALKGLKFISRADGGAGWPAVEKRFDDLAKDGLLPRSKFGQCIGMKELEFAGELFDALARRRNISGDSISKAELLEFWDQISDTSFDSRLQTFFDMVDKNADGRITEEEVKEIITLSASANKLSKVQEQSEEYARLIMEELDPSNLGYIELYNLEMLLLQAPSQSVRIGTTNSRNLSQMLSQNLRPTAEPNPLRRWWRRASYFLEDNWRRVWVLLLWLAICAGLFTYKFIQYRHRAVFHVMGYCVCVAKGGAETLKFNMALILLPVCRNTVTWIRNRAAVARVVPFDDNLNFHKVIAVGITVGAGLHVISHLTCDFPRLLHATDAEYEPMKRFFGDTRPPNYWWFVKGTEGWTGLVMLVLMAVAFTLATPWFRRGRLRLPRPLNRLTGFNAFWYSHHCFVIVYALLIVHGYYLFLTKDWYKKTTWMYLAVPMFLYACERLTRALRSSVRPVKILKVAVYPGNVLSLHFSKPQGFKYKSGQYIFVNCAAVSPFQWHPFSITSAPQDDYVSVHIRTLGDWTRELKNVFSRVCRPPTEGKSGLLRAEYDRDGAMTNPSFPKVLIDGPYGAPAQDYKQYDIVLLVGLGIGATPMISIIKDIINNMRQLDGDLEDGDGNDNSVSSSSAAFKTRRAYFYWVTREQGSFEWFRGVMDEVAETDKKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRSFEVECSIGSSDGLGAAVIKVEQEPAGVFYCGAPVLTKELRELAQDFSRKTSTKFDFHKENF >ORUFI11G17070.2 pep chromosome:OR_W1943:11:19898009:19904906:-1 gene:ORUFI11G17070 transcript:ORUFI11G17070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTRAGAADGAGGGGEDIVEAGAEAPPPQRERLVPHSGPLSKRSGMRKSASRCRRRCPRRRGWRRRGAPPPPPTTTTRHGGGIDVRDDSVAVHSVKPAGGGGEDSDVTLLARTLEKRSSSFGHSVIRNASSRIKQVSQELRRLASVNRRGGGGGGPRFDRSKSAAAHALKGLKFISRADGGAGWPAVEKRFDDLAKDGLLPRSKFGQCIGMKELEFAGELFDALARRRNISGDSISKAELLEFWDQISDTSFDSRLQTFFDMVDKNADGRITEEEVKEIITLSASANKLSKVQEQSEEYARLIMEELDPSNLGYIELYNLEMLLLQAPSQSVRIGTTNSRNLSQMLSQNLRPTAEPNPLRRWWRRASYFLEDNWRRVWVLLLWLAICAGLFTYKFIQYRHRAVFHVMGYCVCVAKGGAETLKFNMALILLPVCRNTVTWIRNRAAVARVVPFDDNLNFHKVIAVGITVGAGLHVISHLTCDFPRLLHATDAEYEPMKRFFGDTRPPNYWWFVKGTEGWTGLVMLVLMAVAFTLATPWFRRGRLRLPRPLNRLTGFNAFWYSHHCFVIVYALLIVHGYYLFLTKDWYKKTTWMYLAVPMFLYACERLTRALRSSVRPVKILKVAVYPGNVLSLHFSKPQGFKYKSGQYIFVNCAAVSPFQWHPFSITSAPQDDYVSVHIRTLGDWTRELKNVFSRVCRPPTEGKSGLLRAEYDRDGAMTNPSFPKVLIDGPYGAPAQDYKQYDIVLLVGLGIGATPMISIIKDIINNMRQLDGDLEDGDGNDNSVSSSSAAFKTRRAYFYWVTREQGSFEWFRGVMDEVAETDKKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRGCSTVARRC >ORUFI11G17080.1 pep chromosome:OR_W1943:11:19924867:19925220:-1 gene:ORUFI11G17080 transcript:ORUFI11G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASARAAVAAASVRVVAALMAAASARVVAAERIRHLPPWGGRICHRPPRGGQVRRLPLREGRIRHRSPRRGKGRSVAGDRGDDGAGLRQGGDDDGGGSSGLPASGVWIRRGRLRG >ORUFI11G17090.1 pep chromosome:OR_W1943:11:19937232:19937723:1 gene:ORUFI11G17090 transcript:ORUFI11G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATVASPSEPAAAVTVASLDELREVAEDDPRASEDGGLRVAVTGVPVSQAEELHALVRDHVEAKLWSVGVGDEADAAMAALRSAADGAARLVGLSRALDAVAAGHGGRSPGAGHGAPRHAAEGAIVAIDLLPSASIHYRAKPRHQPPSLILLYRQRAHADLN >ORUFI11G17100.1 pep chromosome:OR_W1943:11:19937957:19938634:-1 gene:ORUFI11G17100 transcript:ORUFI11G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATVASPSEPAATVTVASLDELREVAEHDPRASEEDGGLRVAVTGVPVSQAEELHALVRDHVEAKLWSVVGEVDAMAALRSAADGAARLVELSRALDAVVRDTEDGVPVPATGPRITLLTELARDVSTQRPPPFLPRKYVVAVVEAVDIGRQAEALSYYRYSGDRWTSPESLEYSMAYFRRTAEKTRARIAALLGMTIPFEDPPIVIHILEVSSWEARYYSNSS >ORUFI11G17110.1 pep chromosome:OR_W1943:11:19938833:19940700:1 gene:ORUFI11G17110 transcript:ORUFI11G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQPQTMPTSGGGGDRLSALSDGVIGHILSFLPAKEAARAAVLSSRWRHTFAAVHTVSLVEPDPPVVDHYELARYSPGWGPPPDPNQPPPFTNVVSAALLARHRRAAVPPLRALHVSTVGYCRSDASLVDQWIAYAVNQADPAVGLDLDLRLHREPLCDKAYSLRRRRGASADHADQDTDDDDDDEDASRKRRRRWRSRSRSPVRYASIEDANLGLSPPYMYIPRSPLLSPPPPRRSCSPQGCDEDDDDDDDEDVISSDEKSTRGYDYTPAVHAVPSGLFSCAALRSLSLGHCLLAPPAAIALPSLETLLLARVSDAGSDVQRLISGCPRLADLTLEACATVTALTTVAGLRRLALRCCHALRTVAVDASPGPPRLQAFEYRGSVPDDTFLTIHGGASLTTVAYCKIDICGEEVTSSSELAKLSAFLRLFAGAKHLHLESARLGSGLDDAAAGYLPNDDDDAIYAALTMILERAPNLETLSLVFHPEPLDGGDDAMLHITYYKEEELYDKHLLSYNRHSVLAAPTSGGGAMAPACLRRRVREINLVHYQGGAAQRTLAMYLLRSAAAIGELGCELAMGPLWIQDELARELEGWVMNKAAIVNIG >ORUFI11G17120.1 pep chromosome:OR_W1943:11:19945876:19947516:1 gene:ORUFI11G17120 transcript:ORUFI11G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDRISDLPDDLIQRILHFAPAREAASTGLLSSRWRSLWRSTGAVNLAVLVRRRDDFFSLRDAFVRSAHAALAAAGGGHVRRLTMHVETERLPVQLTADAFLHRDAEDWGRRHDVVAGVVSHPAARRVEELRVAAVRSADGPSSDREVTEMEEGEFHLSLGGSGGTQPSTETLRVLDLTGCGGVSLPAGAALPRLTTLRLRLCVVQVEDLQGVVDSAPALATVHLESVFLAGTKEDGCCARLRFPAATALVLAKCRGHGSHHNGDDDASDCEGAMEIDAPRIRSFKYTGLPRRFSLISPAADMERADLHFLHDDGPHHYRDTFRGVKSLKLKVTYLKAIAVAGNGKGILLPPLHGVERLDVAALHDPASETSTVAIANLLRCCPNLRDLVLRLSTVPPDSTKNGGYCRDVLRRRWQADLDESVHRLARRRGWPKPPPPPPPTKISCMNQSLDDAGGDIHGLSGRSFACLRSSLTRVGIQFRNDERSWLGVSLIKFFAENAICLEEMRVDGGNERMRDHINRRVERWIVESGMRCFRVLPLERR >ORUFI11G17130.1 pep chromosome:OR_W1943:11:19949141:19956225:1 gene:ORUFI11G17130 transcript:ORUFI11G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELPITFQEVAIVDEVTVIKDKRPLVVWIRTPRSRLATSKLLRYLMAITSAAGCGCGCGCGGDGDRLSSLSDGVIGHILSFLPAKEAARAAVLSSRWRHTFAAVHTVSLVEPDAPVVDHDEFAGYSPGWGPPPNPNPPPPPFASAVSAALLARHRRAAAVPLRALRVSMAGYAHRDSPAVDQWIAYAVNQPAPDGVELDLRLGRPSLCHRDYSLRRRSAAAIDEDARPRRWRTMPPEDILFESPSSGEEDHADDEDDDDVLSDDGKKDPMAVYRRRFEPQEYSVPRGLFACAALRSLSLGSVRLALPAAAIALPSLETLLLADVTESDHERSMQRLISGCPRLADLTLEACYAKAHALSVAGLRRLRRLALRCCHGLDTVVLGDDDASPPSELQAFEYRGEVPDDFFLVTTTKHGHGVSLETVTVAYCKIDICGDEVTSRSELAMLGAFLRRFAGVEHLHLASARLGSGLHDAAAFATLPDLSTLRRLELGGCLPDDDDDDGTIFAALIRLLDLAPNLEALSLVFHPEPLDDGDDDDGYRAYCYHKEEELHDKHLLRYNRHSVLAAPTSGAAMVAPACLRRLVREINLVHYQGGTAQRALAMYLLRSAAVIRELRCELAMGPLWIQDELVREIKGWVMNKAARVLHFVPAKEAASTSLLSSRWRSTGAVNLAVHVRRDQEREFFSARDAFVRSAHAALAAAAGHVRRLTMHVETERMRICMQLTADAFLHRDPEDWERKHDVVAGVVSHPAARRVEELRVAAAVVEAYWPSFDGEVTSSSEGEFRFRRRRSVSAAAAAAAAGVVALPRLTTLRLRLCNLQISDLQGIIDAAPELATVHLESVFLAGTAEEGCVRLRFPAATTALAMINCGADCYACGGCYGATEIDAPRLRSFKYTGFARRFSLVSPAGAPPPDDTVVARAELHFLGHFHHKDADAADTVRANFWRFLHNFRGAKSLKLKVSHLKHIAVAGRAAARRALLLPLHGVERLDLTARHADAAASGGRTTTTSTTVAIANLLRCCPNLRDLAIRLTRMVPHGSTKNGVYAHDLLRERRRADLGESARRFARRRRRGSSTKKDLDDVSGDIHGIHCLRSSLRRVGIQFHLDEHNDCIGVRLIKFFAENAICLEEMCIDGGNQRMHDHINHMVERWIAGRRCSSTKSENGTGSSSQPDVLESSMPRFRVSPLQRR >ORUFI11G17140.1 pep chromosome:OR_W1943:11:19953164:19955076:-1 gene:ORUFI11G17140 transcript:ORUFI11G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRMRSVSTCMVSRLTWPAAAASAAWAERTNASRAEKNSRSWSRRTWTARLTAPVERHRDERRLVDAASFAGTKCRTRWRRSSGRSEIRSTAAPAAIVGLILN >ORUFI11G17150.1 pep chromosome:OR_W1943:11:19956934:19957741:-1 gene:ORUFI11G17150 transcript:ORUFI11G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIPGWASQNLKQPDEGTTSHNGCPGSRVVAVAVEGRRRRSGGGVGLAGSGLEQQRRRLAGEVSARSPSSRSPLSLQPSLSPSPTPDSAAPCCLTGRLADNSALDSDTPGTPAQRRLAGRLADNWLALLFKAAELLLAVAMASGGADRRAGGAVGERRG >ORUFI11G17160.1 pep chromosome:OR_W1943:11:19958680:19965269:1 gene:ORUFI11G17160 transcript:ORUFI11G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRGLTAVSRIRSRMAQEATTLGGVRWLQMQSPSDLDLKSQLQELIPEQQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMIGMLWETSLLDPEEGIRFRGLSIPECQKVLPTAIKGGEPLPEGLLWLLLTGKVPTKEQVDALSKELVTRSSVPGHVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGMPKSKFWEPTYEDCLNLIARLPPVASYVYRRIFKDGKTIAADNALDYAANFSHMLGFDDPKMLELMRLYVTIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIGETGSDVTTDQLKEYVWKTLKSGKVVPGFGHGVLRKTDPRYTCQREFALKYLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSIGIGSQLIWDRALGLPLERPKSVTMEWLENHCKKAAA >ORUFI11G17170.1 pep chromosome:OR_W1943:11:19966295:19967953:1 gene:ORUFI11G17170 transcript:ORUFI11G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVDRISALPDDLIQRILRFAPANEAASTALLSARWRSLWRSTGAVNLAVRLPEPDDGRRHGHRVSHDAVSSCHDAFVRSAQAALSAASAAAGGRGRHVTRLTLDLRAATMDVIDRFVHTERPLGADAAPAPDTDVWLRLSDDVEREADRWVKRGDVVRDVVSHPAARRVEELRVSASAPAVDDDDDDGEVGRLVGVFHLDLRYVPSETLRVLDLTRCSGLRMPPAVETPRLTTLRLQACAVNVNDLQRVIDAAPALATVHLDSVSFDGMEHGCYRLRLPAATTALVLARCRTDAEPYRYRRSGRPLGTSSVEIDAPGLRSFRYAGYARRFSLASPPDMARADLHFFHVMYASASTSRDLFWRFLRSFRGVRSLKLKVSDLKHVRYGRDLLRARQQADLAESLDRFARRRRRKPNPPPPPPPPTPVNSTDEHLSIVGLSGRSFACLKNSLRRFAIQFRMDQPNCIGVKLIKFFAENAIHLEEMRIDGGNQRMHDHINHMVERWISDSSAAKKRANHEISSEGSCDVSVVDSKHGIEASTPRFRLLPLERR >ORUFI11G17180.1 pep chromosome:OR_W1943:11:19971267:19972571:1 gene:ORUFI11G17180 transcript:ORUFI11G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTCFLPVLIAGVLLLLLAHGCSSRLATGDREALVAIRKGWGNPRSLATWDPAVAADPCSWYGVTCSDTSNGEGESVVTGLSLGSMSFSGTVPAAVCDLKNLTQLDISFNNLSGVFPAVLYGCSQLRYLDLSHNSFDGALPDDISRLPSMLEHLDLSSNNFDGTIPAAVAAFPVLKHLLLNNNRFTGTYPAVEISKLTGLESLGLAYNAFAPGPMPQAFAKLTKLTSLWMPNMNLTGEIPEAFSSLTELTDIDLSFNELTGTIPAWVWQHKKLKSLQMYRSGLNGKLPRNITAVNLVEIHMSSNQLKGEMPDDFGKLNKLTFLSISNNQLTGAIPISIGLLPKLIYIDLSNNNLSGELPPELGMHSPILHIDVSNNSLSGPLPRMLCANGLLSQLVAFHNNFSGTLPMNLGDCVQLKTLLLYNNSFSGEFPV >ORUFI11G17190.1 pep chromosome:OR_W1943:11:19979706:19982836:1 gene:ORUFI11G17190 transcript:ORUFI11G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVPTTDPEIGTDKHRRFPISKIGGLHDPPRPHRRQYSTAPIPRQVGPHGTFTVVASRRRWWRHQGCLAPTCRAPPPPFRLLISGPRRCIGRCPRRTRPRALVSFDPVYSGSLSFSSLYDPVYLCYPLDTSEMQARTRSHQRRAVVRGTTTSTLGGPIQTVHAVAEHGDPLVLQPVSQVLREFSVLYVAKVGRRTWTWRATC >ORUFI11G17200.1 pep chromosome:OR_W1943:11:19998376:20001277:1 gene:ORUFI11G17200 transcript:ORUFI11G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAMVVAMSVLLLGGGEAAAPRKPVDVAFEKNYVPTWAEDHIHYVDGGREVQLYLDKSTGTGFQTRGSYLFGHFSMHIKLVAGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGEPYILQTNVFSGGKGDREQRIYLWFDPTKDYHSYSVLWNLYMIAFFVDDTPIRVFKNSKDLGVRYPFNQPMKLYSSLWNADDWATRGGREKTDWSRAPFVASYRGFHVDGCEASAEARYCATQGARWWDQPEFRDLDADQYRRLAWVRKTHTIYNYCEDRERYPAMSPECHRDRDA >ORUFI11G17210.1 pep chromosome:OR_W1943:11:20009766:20011323:-1 gene:ORUFI11G17210 transcript:ORUFI11G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIHGSEEVISHEEDMVGAFALLYHHVFSYIKPMALKCAVELGIPDAIHRRGGAATLADIAADTGVHASRLTDLRCLMRLLTTSGMFAATAASDGGEPAAATSTVYTLTAASGLVVGPRGLSTVVRFAAGPVAVSPFFDMHAWLRAAPAAARSLFELAHGRSRWDAANADNDTMNAHSFVESQLLIEAVLRDHAGVFRGLGSLVDVGGGHGAVAKAIAAAFPDIKCTVMDLPHVVADAPVSDDGNLHLVAGDMFQSIPPADAVLLKYVLHCWGDDDCVRILRNCREAIPAREAGGKVIITELVLGSSASPRDANVAEAEDMHSLFLMCISGVGREEREWRAIFSDAGFGDYKITPVLGPISVIEVYP >ORUFI11G17220.1 pep chromosome:OR_W1943:11:20017110:20019640:-1 gene:ORUFI11G17220 transcript:ORUFI11G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGKVSNNKGCVRSGLNKRARYGSFRLHRLPPDIICDIFSRLRFKEAARTSMVSRSWRRLWRCYPNLVFTRRTMLHGNITDDHPAHYILATFISRVNNILWQLRSSSLENFIVKFPLLGRDAHHIDRWVSFSAASRARQIVLYLCPEEEDTDMNDMYSFPLHIFSGDNCVSSLSLGFVSLTLPPHLSGFTNLKKLGLHMVSIRGDLQCLLSQCDVMEWLSLTKCSLQHRSICQKLHQLRYLCVRKCRLQKLDLQAPNLTELELANYPIPIVLGECLNLSVATIELVSFSDCLSYVATELPAGGLYHVQDRLSINMTVRTESRGFAESIGRFNNLKHLILNIDVQGSSDNGSGILRLASLLEMAPCLEELELNMYCPSAPIYTKRGQLDKLSSVCVHKHLRTVRMTGFDSTRGQLELAFQILRSAPNLDRLIVDPMVRVAWSLRLDWSEQADLMLVRRMMAENRLLRSEYRHMITLL >ORUFI11G17230.1 pep chromosome:OR_W1943:11:20059271:20062201:1 gene:ORUFI11G17230 transcript:ORUFI11G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMVHGKATKSEGRARNNLKKRRSQAFRLHRLPPDVLRVILSQLSFKEAARTSIVSRKWKRLWRYYPKLVLTGDMMLGSSNAAGDHPTSNKTTFIRRANSIVRQLSSSSATLNKFVVKFPLLRSDADHIDRWVSLSAASRARRIVLDLCPEFEKFGDKDQMYSFPLHLFSGGSCVGGNSCVKSLCLGFVSLNLLQLPPAGNTNRLTILKKLTLHKVSIAGDLQSLLMECDALEWLSLTFCSLQHCDLVIHQPLQRLRYLRVLHCRLQKLELQAPNLTEFEFANHQVPLVLGDCVNMSMASVGLLLPSDGFDYACTKLPVALPHVCDRLTLSMAIRTEAPLLMKGGSVFANLRHLILNVDIDGCPKASTGVLRLACLLELAPVLEELELHMYCANTPFYSSDLDVAATSPAARLHERLRTVYMTGFYGIRGQLELAHRILRSTVALDRLIIDPRRKVDHNFRNQAYAGMGRTMATLCINKAQFPGTVITIL >ORUFI11G17240.1 pep chromosome:OR_W1943:11:20063010:20071130:-1 gene:ORUFI11G17240 transcript:ORUFI11G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARDALLDELRALMAAHSPPLHALVVPSEDAHQSEYVSERDKRRQFVSGFTGSAGLALITMKEALLWTDGRYFLQAEQQLSDRWKLMRMGEDPPVEVWIADNLSDEAVVGINPWCISVDTAQRYEHAFSKKHQTLFQLSSDLIDEIWKDRPSAEALPVFVQPVEYAGRTVTEKLKELREKLLHEKARGIIIAALDEVAWLYNIRGDDVHYSPVVHSYSIVTLHSAFFYVDKRKVSVEVQNYMTENGIDIKDYNMVQSDASLLASGQLKGSAVNGSSYGENDMNENSKVWIDSNSCCLALYSKLDQDQVLMLQSPIALPKAVKNPVELDGLRKAHIRDGAAVVQYLAWLDNQMQENYGASGYFSEAKGSQKKQHMEVKLTEVSVSDKLEGFRASKEHFKGLSFPTISSVGPNAAVIHYSPEASSCAELDADKIYLCDSGAQYLDGTTDITRTVHFGKPSEHEKSCYTAVLKGHIALDSAVFPNGTTGHALDILARTPLWRSGLDYRHGTGHGIGSYLNVHEGPHLISFRPSARNVPLQASMTVTDEPGYYEDGSFGIRLENVLIVKEANTKYNFGDKGYLAFEHITWAPYQTKLIDTTLLTPAEIEWVNAYHADCRKILQPYLNEQEKEWLRKATEPIAMRCC >ORUFI11G17250.1 pep chromosome:OR_W1943:11:20078090:20081984:1 gene:ORUFI11G17250 transcript:ORUFI11G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEKLKKPTTTTNESLVLDMDQMVMSETLSLSEDTAQWRQSIYRVPKWVKEMSSNRSKAYQPQVVSLGPLHHREAELQPVEAHKLRAVQNLAARSSKTKEQFAAAVSRVAPFLESAYGPDLDEKWRGERNRGKFVEMMVRDGCFFLEVMRLNEAMDGDRKSVGTDFDPNDPVFSKHGFIYLFRPIQTDMLLMENQLPLLLLKTLASVANQLGDGCHATEMNKKVLHCLWRPTPPTSTNTPDGINSCLGLHPLDLYHKSYCGVSQKQILGPTQMRKPVMPSAMEMYEAGIQFKATDLNAPCHPERGIRFHGGVLSIPAYTMDSFSEKLLLNLMAFERLHAGAGESVTAYVVFMDNIIDTAQDVALLRAKGVLASELGSDEETADLINNRLSKGAAMSLSGMLTQVHEDVGAHCRKRRNIWRASLIHTYFRNPWVFTSLVAAFILLVATLLQTIYTIVSFHRQD >ORUFI11G17260.1 pep chromosome:OR_W1943:11:20094086:20094560:-1 gene:ORUFI11G17260 transcript:ORUFI11G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRRRNRSLTPAAGVGEDDAVLHPWCINLGDDDGGAAARLGVVQINGEEDDVVAASLRCAFQSAVGTTAFPGRRDMAWRSDGARCGPRRPVGGQPCSGCAFPSFFFVLRSCLMSDDAGCHGLASAAWCGGGGGSSRRSGDGGVSA >ORUFI11G17270.1 pep chromosome:OR_W1943:11:20094842:20095288:1 gene:ORUFI11G17270 transcript:ORUFI11G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSIVDVSYVAVPAMAALPPEPIKKHCGSLSPVLQHVLFYEVAGLPPFDSVVRSLRSSLGATLATFAPLAGKLVYLEDTGDVAIACSAADGVKFVAAESDADVRRLTGDELRPGDISEACAGARHEQATDVGAGQWLASPEKDSSS >ORUFI11G17280.1 pep chromosome:OR_W1943:11:20103169:20108005:1 gene:ORUFI11G17280 transcript:ORUFI11G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETGAAEANVVESPPSPTTAMANSGSCVVDMDRMVSHDNSSEPSSAEKSMLRRHSIYRVPAYIKNMTNRNAYRPQVVSLGPFHYGERPLQPMEEHKQRAVAQMVSRSGKPRQEFTAAVEEIAEQLRGAYESLGEKWSGVDIMGTPYPYGMWSGERFVEVMVTDGCFLLEAMRTFVTFQKNGEIEGYAPDDPVFSKHGDLYLSDCIISDMLVIENQLPLQLLQTLMFVETDNSQDDKWINDWVNLLSYTITPTTPVDDLQGLHPLDVLQKIVSGTRRNSQMSTEDDVHMPSAAELRDAGIRFKVSKGKGFGSTVSFKRGVLHVPEILLYDSAERMFLNLMAFEKLHPDTGNEVTAFVYFMDYLINTAKDVQLLRDRGIIKHGLGSEEAVANLINNTLTKGAAIDRESSLIDVMVEVDAYYKKRRNKWRAILLHTYFSNPWVFISLVAATVLLIATVIQTVYAILSFNSKT >ORUFI11G17290.1 pep chromosome:OR_W1943:11:20114818:20115700:1 gene:ORUFI11G17290 transcript:ORUFI11G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSVEIDAPGLRSFRYAGYARRFSLAASPPGMARADLHFFHDMYASASTLRDLFWRFLRSFRGVRSLKLKVSDLKHLLVAFPNVEHLELEGHHEPASEMAAAVAIGNLLRCCPAARHLTLRLATAPPRERDSAMNGSGRSFACLKNSLRRFAIQFRMDQPNCIDVKLIKFFAENAIHLEEMRID >ORUFI11G17300.1 pep chromosome:OR_W1943:11:20123056:20123815:-1 gene:ORUFI11G17300 transcript:ORUFI11G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEFVVAAEEYYGAVVAKAAMAKNGYNCGGAAVVSGGGGAQSGLTCNNGGGGGVVVSRKRGREVEQQYYVPPSSAALLPIPGMVAADVAASRFVESGMACTSGRAAAPAVAAAAAFGDALASEVFVQSGEIDAVVRAECERLRAGVEQARKRQCQAVLLTKPGPIQERKRHRTERRQQRRLALAAGRTATAAAAWFG >ORUFI11G17310.1 pep chromosome:OR_W1943:11:20136326:20137747:-1 gene:ORUFI11G17310 transcript:ORUFI11G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYVLRRPLLKPSTKRMLLMVSSLLMSSSCGGSDKPAAVSSLVRELEAVSSGGAERRLGRSAEAELARRQLEWQQRWSSREGGDKPAAISNSLHDSTSPFTLTAAVAPSVLPETGGHRVSRPQAPPLLPVLLELGGLRAPVSSLASLSTRLLSGGLEELGAINPNDGNVVLLVGGAGGGEDDFILEFGAKSI >ORUFI11G17320.1 pep chromosome:OR_W1943:11:20151230:20157251:1 gene:ORUFI11G17320 transcript:ORUFI11G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAVQCRQQKIIEVRDPPSRRTSCALPPPLLFLSSPAPPLVQPSPIATVVVVRSRSLESGDR >ORUFI11G17330.1 pep chromosome:OR_W1943:11:20169418:20169900:1 gene:ORUFI11G17330 transcript:ORUFI11G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDLSQDQDTAVLYRALLGLDDAGAGWTLDSEEEHTGDKWVWTNRSINRPICRVAVMIRIIISIDMISLSVWVKDYTLDPHSQSTPLCV >ORUFI11G17340.1 pep chromosome:OR_W1943:11:20182744:20183775:1 gene:ORUFI11G17340 transcript:ORUFI11G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYGGGMAAGMCLPDHEVEAQMRELGAMFSAAGGGCYNGGGGGGGYDCAAVVSGAAQSELTCNNGGVCGGAVGMGVGAGRKREREVVEQYAAVASSAALLPIPGMMKVAAPVSRLVESGMTSTSGRSAAAVGDALVSELCAQSAEIDAVVRMECERMRAGLEQARKRQCQAVVRAASAAAARRLREKEAELDAARRRAAELEERLRQVAAESQAWCGLARSNEAVAAGLRATLDHLLLRAAAAAPAQPAEGFGDSDPLATAAADDAQSSCFDTNAHAADDAATSPAASKWSCKSCGEGDATVLLLPCRHLCLCKACEPKLDACPVCLAAKNASVHIAIN >ORUFI11G17350.1 pep chromosome:OR_W1943:11:20192592:20196020:1 gene:ORUFI11G17350 transcript:ORUFI11G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITVAGGAGDGGERRTWVVEVERTLHDAPDAAAEASRWRPHCIYRVPACIKDLKPKAYQPQVVSLGPFHHGDPGLAPMEEHKRRALRHLLRRAARPLADFVAAVEAVADRLEAAYLDLGGGWRGGGGDGGEARERFLEMMIVDGCFLLEVMRAAAAVSPATPAPAAAGKPHAAAEEDYAENDPVFSRHGVLYMVPYIRRDMLMLENQLPLLVLERLLFVETERANVVHSRVSNEDHINRMVLRFLSPSARTPALGTPLGHHPLDALRRSMLHGEYQSPRWGHGAGARAHHRDIIRPAAYADDGGGDIIRSAVELYEAGIRFRRARTDSLHDVRFRHGVLAMPPVAVDDSTEYMLLNMMAFERLHPGAGNDVTAYVFFMDSIIDSAKDVALLSSKGIIQNAVGSDKAVAKLFNSISKDVVLEPESALDGVQRQVNAYCRQPWNMWRANLIHTYFRSPWAFMSLAAAMFLLVMTIMQTVYTVMSFYQQAEGGGGGGSAAPSPM >ORUFI11G17360.1 pep chromosome:OR_W1943:11:20197935:20198516:1 gene:ORUFI11G17360 transcript:ORUFI11G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLSLVARLSLREGAARTVGKAARRRGVVAADPAPPPLDLAPPRRSAGVEAREAACRRGVVAKARTTATAVEAQQALRRRRHGGRRDSGGGAGGRGSRGGVGDLGSCGGTAIVAGGERLWLPGPRLCLASEWLTGAVAGQRGRWPAGAVASQRGRWPARRRRRGLRPGGAKSAAGGRVVQGGALQAGTA >ORUFI11G17370.1 pep chromosome:OR_W1943:11:20198539:20208009:1 gene:ORUFI11G17370 transcript:ORUFI11G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDTAEASVAVLAGAVTAQREVRPVEVEPGEASMSRQHAETGRRGAHVQWCPRVGGGSDGGGAMAKAKETPTMKRKKGKTKSASVTPSHGEWLIAGTMPPTSSTRIISCNIVENTLIFYLSLLLSSFPLLLAPPAAVAAATRRRATACRCCRCLPPGRSAKYILQLEHDYPWDDDPKSISRLIFQQMILFTAAMEFEEFRGDVDF >ORUFI11G17380.1 pep chromosome:OR_W1943:11:20199656:20205837:-1 gene:ORUFI11G17380 transcript:ORUFI11G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTTTTEKCISSSSSVHGGNNRREEYPKEGADDDVEAGVLGRDGEAAATTTRQRLVSLDVFRGITVALMILVDDVGGIVPAISHSPWDGVTLADFVFPFFLFIVGVSLAFAYKKVPDKMLATKKAMLRAVKLFIVGLILQGGFFHGIHELTYGVDIRKIRLMGVLQRIAIAYLVVALCEIWLRRVSSGGNIGSGSMLITRYHHQMFVGLVLVVTYLVILYGLHVPDWEYEVTSPDSTVKHFLVKCGVKGDTGPGCNAVGMIDRSVLGIQHLYAHPVYLKTEQCSMASPRNGPLPPNAPSWCEAPFDPEGLLSSLMAIVTCLIGLQIGHVIVHFKVDVKGYKRPVLPMEWMGKHALMIFVLVACNVIPVLVQGFYWKEPSNNLLKLIGIGG >ORUFI11G17390.1 pep chromosome:OR_W1943:11:20208029:20208247:-1 gene:ORUFI11G17390 transcript:ORUFI11G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEWPGGKRRAASCSSSSERWAAAAAAAGGGGGGSSGEASGPASEPPRRSRRRQPNRPALLSPPAGPSLA >ORUFI11G17400.1 pep chromosome:OR_W1943:11:20214430:20226362:-1 gene:ORUFI11G17400 transcript:ORUFI11G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEASELRARLAAAVHALNHGGGGHHDPSARLAANQWLLALQRSPQAWGVATSLLAAPPPGHPPPPADLLFFAAQMLRRKIQCPPAAAGGCPTPQEVAHLLDALLLAAGRFCLGPPRLLTQISLALAALALRAEGGVDGLFARMRHLPDPAVMELLTVLPEEVVQDQSGDTGVDAAARCRFTRELMAHAPAVLEFLLAQSENTAAAADGVPLHERNRRILRCLLSWVRVGCFSEMPAAALAAHPLLTFAFNSLQVSFSFDVAVEVMTELVSHHQDLPQAFLSKMPYIREALLLPALANRSEKTIAGLVCLMCEVGQAAPALVAEGSVQALALADALLRCSLAHFILDSDAQTEKRNAAQEIFSPVFSSLLDALLFRAQIDTDEHGTDGELCIPDGLAQFRMNLEELLVDICLLLGAPAYINKLFSGGWGLASQSIPWKEVEVRMYALSMVYKSFGDVIGSYSKLLASSQSNIKPLLLFCASGISKSISSNACSLALRKLCEDGSSFMNEPQNLEILFWISEGMDAGNLRIEDEEEIITAITHALCSVLDKELRKSSLARLLCSSYTAVEKLIDIDRDQSLRQNPAAYTEALNLAVHGLYRMGALFGHLATSITSSLIDDDTVLVLLGIFWPLLERLSRSSHMENVSLSAAACRSLSSAIHSCGQHFQILLPKVLECLSTNFLLFQRHDCFLRTAASVIEEFGHKEEYVALCVRTFEALSSAASISTLNSSYTCDQEPDLVEAYANFTSTFIRCCPKEAIVASGSLLELSFQKAAICSTAMHRGAALAAMSYMSCFLDVSLAAALESREHPSDGSPGVVLAQILARCGEGLMSNVLYALLGVSALSRVHKSATILQQLAAVCSLCERTTWKAIISWDSLCRWLQSAVKSMPSEYLRQGEAEMIVPLWLSVLHDAASDYLHSRTGDNVRNNHAYMQGKGGRTLKRIVRDFAESHRNAPMPCPS >ORUFI11G17410.1 pep chromosome:OR_W1943:11:20228163:20231797:1 gene:ORUFI11G17410 transcript:ORUFI11G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAATATAAPVSSSSSFFAATTRATTTTRFTAQLQLSLPRRCRSWWGNGKARRSSRRRSHHCWAGGGAATPEDHMDELPPGRGRYHPFEEIAEKLQVDDGEPAHLTDAESARTIVEWNKMQVNSKATVMISTLIDEGVHERIILPEFPYLTDENGDIYFEVDNDDALLESIMGDDKTAHVIIGLDNTQVFADLDLAAASATEFAQEDDDDDDDDDDSDDEESDFDDDFDGEGVFAVDDDDGDEDGEDEDLPRWTDLETMNSCHPLYFARMIAETSTKSSIDWLDRPPASLVVEGQLRPAFAEESTMVSRHLSNDEPRKDNKESGATFFKVEVLSIELITAYGTEPKVKIGEYRKARPDIIAHSAPNIISRLRAGGDKISQALKSLCWRCKAIQIEEAAVIGVDCLGFDLRVCSGTQVQTLRFAFPAKATSEFGAEKQIHELLFPRIQQEGQSPQTRQKES >ORUFI11G17410.2 pep chromosome:OR_W1943:11:20228163:20231797:1 gene:ORUFI11G17410 transcript:ORUFI11G17410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAATATAAPVSSSSSFFAATTRATTTTRFTAQLQLSLPRRCRSWWGNGKARRSSRRRSHHCWAGGGAATPEDHMDELPPGRGRYHPFEEIAEKLQVDDGEPAHLTDAESARTIVEVNSKATVMISTLIDEGVHERIILPEFPYLTDENGDIYFEVDNDDALLESIMGDDKTAHVIIGLDNTQVFADLDLAAASATEFAQEDDDDDDDDDDSDDEESDFDDDFDGEGVFAVDDDDGDEDGEDEDLPRWTDLETMNSCHPLYFARMIAETSTKSSIDWLDRPPASLVVEGQLRPAFAEESTMVSRHLSNDEPRKDNKESGATFFKVEVLSIELITAYGTEPKVKIGEYRKARPDIIAHSAPNIISRLRAGGDKISQALKSLCWRCKAIQIEEAAVIGVDCLGFDLRVCSGTQVQTLRFAFPAKATSEFGAEKQIHELLFPRIQQEGQSPQTRQKES >ORUFI11G17410.3 pep chromosome:OR_W1943:11:20228163:20231797:1 gene:ORUFI11G17410 transcript:ORUFI11G17410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTELHAVMCGNLLSSDIYFEVDNDDALLESIMGDDKTAHVIIGLDNTQVFADLDLAAASATEFAQEDDDDDDDDDDSDDEESDFDDDFDGEGVFAVDDDDGDEDGEDEDLPRWTDLETMNSCHPLYFARMIAETSTKSSIDWLDRPPASLVVEGQLRPAFAEESTMVSRHLSNDEPRKDNKESGATFFKVEVLSIELITAYGTEPKVKIGEYRKARPDIIAHSAPNIISRLRAGGDKISQALKSLCWRCKAIQIEEAAVIGVDCLGFDLRVCSGTQVQTLRFAFPAKATSEFGAEKQIHELLFPRIQQEGQSPQTRQKES >ORUFI11G17410.4 pep chromosome:OR_W1943:11:20228163:20231797:1 gene:ORUFI11G17410 transcript:ORUFI11G17410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNSKATVMISTLIDEGVHERIILPEFPYLTDENGDIYFEVDNDDALLESIMGDDKTAHVIIGLDNTQVFADLDLAAASATEFAQEDDDDDDDDDDSDDEESDFDDDFDGEGVFAVDDDDGDEDGEDEDLPRWTDLETMNSCHPLYFARMIAETSTKSSIDWLDRPPASLVVEGQLRPAFAEESTMVSRHLSNDEPRKDNKESGATFFKVEVLSIELITAYGTEPKVKIGEYRKARPDIIAHSAPNIISRLRAGGDKISQALKSLCWRCKAIQIEEAAVIGVDCLGFDLRVCSGTQVQTLRFAFPAKATSEFGAEKQIHELLFPRIQQEGQSPQTRQKES >ORUFI11G17410.5 pep chromosome:OR_W1943:11:20228163:20231797:1 gene:ORUFI11G17410 transcript:ORUFI11G17410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCGNLLSSDIYFEVDNDDALLESIMGDDKTAHVIIGLDNTQVFADLDLAAASATEFAQEDDDDDDDDDDSDDEESDFDDDFDGEGVFAVDDDDGDEDGEDEDLPRWTDLETMNSCHPLYFARMIAETSTKSSIDWLDRPPASLVVEGQLRPAFAEESTMVSRHLSNDEPRKDNKESGATFFKVEVLSIELITAYGTEPKVKIGEYRKARPDIIAHSAPNIISRLRAGGDKISQALKSLCWRCKAIQIEEAAVIGVDCLGFDLRVCSGTQVQTLRFAFPAKATSEFGAEKQIHELLFPRIQQEGQSPQTRQKES >ORUFI11G17420.1 pep chromosome:OR_W1943:11:20231638:20233709:-1 gene:ORUFI11G17420 transcript:ORUFI11G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSTSKLDLKCGLGHWDQEASLCHSKGRKPPTFSQQPSSLSSQFSLPSRPPLHPVGDRAIHPPMPPASAAAGDGAAPDQEPPPSPPPPPPPPPPPRPAPSLASALPFWFYLTAAVSLLALLLPHLLPSSSPPPPLPSLLRSHASGGSVLKLHPGPDLFAVTSKPTTTAAAHRPAVLVLPGLAAGSFSFRHALRSLSSRGLLAAAVDLPGQGMSPPCDAPPPPPPSKSAFREIMDRGVFHAFEHLVETGEVPFQEPAAAPEPPSHARLYAPHEAAASVARAVDALGLGAAPVHLVLHDSALVAGAAFVSANPAAVRSVTLVDATAVLPAFPVAVLGVPVLGSMVVRVPALFRGLLRLCCAREMGAEVAEAHRAAMRMEGKRDAVFESWKALNQSFDLKEWRGSLEAVRKLPMMVLWSGSWTDRWIDEGKKVVAALPDAKFVYHSGGRWPQEDAYDELSELIAEFVTSLPTSVRSQHIDQSSEQATAQE >ORUFI11G17430.1 pep chromosome:OR_W1943:11:20233521:20239568:1 gene:ORUFI11G17430 transcript:ORUFI11G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSIADRVEWRPGGECPSYTIILLTKHNRGGGGGGERRARSRAAVGACVLCGKGGGDERFITYRRSDTGTCCRGWGCGPCTPCVLPGGTAAVTASAAAAGAAAATATGRAASDPGGRLPLHPPNPADLAGARDTGGGSVAGGRPAGDRFGGGKAAMAAAEGRRGWEDRTRREGDERGRRR >ORUFI11G17440.1 pep chromosome:OR_W1943:11:20235001:20239597:-1 gene:ORUFI11G17440 transcript:ORUFI11G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDLSRSPPPRRRRRRSPSPPRYHRGVRRACRDRSPSRGSRSPYRSSYRRKSPSPSPRRRISRSPSPRRHKSRSPSQRHYRRKRSRSVTSSPITKSQSPPVARGPAENKNIVDKQRLEEEKKRRQKEVELRLLEEETAKRVEQAIRKQVEESLNSEEIKHEIQRRIEVGRKRIHEEVLVQIEKEKEAALVEAQHKAERERKEREELEKKLEEERKKAEEAQMKEAMEQQQKELERYQELERLQKEREEAMKRKQMEEEQQKQSQMKLLGKNKSRPKLSFALGMK >ORUFI11G17450.1 pep chromosome:OR_W1943:11:20242941:20246780:-1 gene:ORUFI11G17450 transcript:ORUFI11G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTCLRDCLAELLRCGGQRQGQGRFCCPAQLSLARGMTQSRSVRQRSKKKRVHALEVATERWKVLTKVLAVIDTLKKEEEHVTPLKRLEILRSQLGLTKPNKVAHFVRRSPQLFEVCRDSRGVMWAGLSPQAEALVEEEARLLEDHSRTAAEYVTRLLMISVDRRLAIDKIAHFRRDMGLPHDFKTRWVHMFPEQFRVVRLEDGDYLELVSWNPNWAVTELEKKTAALTGDANANDIASPPGELSLSFPMKFPPNFTSYYKFYGKVHHYVKKGNTEQFQKTTYLSPYAEPGGLTPGSPEFDKRAVAVMHEILNFTLEKRLVTDHLTHFRREFVMPQKLMRLLLKHYGIFYVSERGKRFSVFLTESYDGTELIEKCPLVRWKEKVLQLTSYRGRIKNLGKFAELSDSEDYLFGNDDSSGATDSILDVKSEDSDDIMDDGALADDTEMDGFEAAEQPANVEAWNLNSSARTAIMA >ORUFI11G17450.2 pep chromosome:OR_W1943:11:20243178:20246780:-1 gene:ORUFI11G17450 transcript:ORUFI11G17450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTCLRDCLAELLRCGGQRQGQGRFCCPAQLSLARGMTQSRSVRQRSKKKRVHALEVATERWKVLTKVLAVIDTLKKEEEHVTPLKRLEILRSQLGLTKPNKVAHFVRRSPQLFEVCRDSRGVMWAGLSPQAEALVEEEARLLEDHSRTAAEYVTRLLMISVDRRLAIDKIAHFRRDMGLPHDFKTRWVHMFPEQFRVVRLEDGDYLELVSWNPNWAVTELEKKTAALTGDANANDIASPPGELSLSFPMKFPPNFTSYYKFYGKVHHYVKKGNTEQFQKTTYLSPYAEPGGLTPGSPEFDKRAVAVMHEILNFTLEKRLVTDHLTHFRREFVMPQKLMRLLLKHYGIFYVSERGKRFSVFLTESYDGTELIEKCPLVRWKEKVLQLTSYRGRIKNLGKFAELSDSEDYLFGNDDSSGATDSILDVKSEDSDDIMDDGALADDTEMDGFEAAEQPANVEAWNLNSSARFDTSCYGGHPSYE >ORUFI11G17460.1 pep chromosome:OR_W1943:11:20248203:20249144:1 gene:ORUFI11G17460 transcript:ORUFI11G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMECALEAGAEPCRLLRTRRAGGRGTRLRCAVAAERPADTGKSQFTQAVAISARAEGKEEGERLGGGGREERGEAEGSGRDPWICGAHSGRPHGDGTTTGIVAL >ORUFI11G17470.1 pep chromosome:OR_W1943:11:20251024:20256488:-1 gene:ORUFI11G17470 transcript:ORUFI11G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYQRALVLLGSMAALSLMTIVSVIIGRIFQSVPAQFQTTLPIGEYAAIALLAFFGFKSIKDAWQLPDNANGNLQGNSESGELAEAEELVKEKVAKKLTSPLEVLWKSFSLVFFAEWGDRSMLATIALGAAQSPFGVASGAIAGHLVATFLAIVGGAFLANYLSEKLACRTSWTVVVGFSINAYRWEFS >ORUFI11G17480.1 pep chromosome:OR_W1943:11:20261309:20267918:1 gene:ORUFI11G17480 transcript:ORUFI11G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAAAAAAAGEREAEIEKAFRARLPDFRKQADSLTLEGVRRALEKDMGLEKHSLDAHKKFIKQCVDKVFSGSDDDNTNNNAPEKDEAKDDRSSKEESEDAQPTSDSNKISSNADEPVAKSSETDRDQEGDKNHSSGSDISEATIKNAIVKRASYFRENSETITLQGVRRTLEEDLKLQKKALDAYKSFISTELDNILQEPANGTKKTSKTESHKDSGQKTSKNSKRARQDSDTSEINDSHCERGDSDEDARPKKKKAEKGKAVKRQKKTTVEKQLSNSKAKKVAKKDLDKSKERSGSEEDNSNSSAEEDNKKKRQVAPAYGKRVERLKSIIKSCGMSIAPTVYRKAKMAPESKREACLIKELEDILEKEGLSTNPSEKEIKAVKKRKERAKELEGIDMSNIITSSRRRSTSNFIPLPTPKIVADSDEDDEEDAEDDNDEEVNVEGGDEGDNDVGKAGDGSADDAEHDSD >ORUFI11G17490.1 pep chromosome:OR_W1943:11:20268736:20272895:1 gene:ORUFI11G17490 transcript:ORUFI11G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPAARIKKIMQADEDVGKIALAVPVLVSRALELFLQDLIDRTYEITLQSGAKTLNSFHLKQCVRRYSSFDFLTEVVNKVPDLGGADSCGDDRALPRRRKALPNGSDPENEESRSSKMAVRSANISPRGRGRGRGRGRGRPPTKRKEVGYVQFEDESSMFADQGEALPGEETVPETIHGTESVPPSTHPPAEAPSAAEIPAPNPKVEEAKNDDHQPDWPMPDTIGNIGVGPSGFGHLTVQVDEDEDYDNED >ORUFI11G17500.1 pep chromosome:OR_W1943:11:20273202:20291991:-1 gene:ORUFI11G17500 transcript:ORUFI11G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRGVRPPPPDPSPAPATIDYSLTYKEIAASGAPGAVDFVKNHGLYLLLLETPSGFSIFSLCGVYIHLPDAIQNIWAMFGTYRSAHDVIWLKEFQKFDDKSSAINVDTGVNKQLTEMIMKWRRPRQKLGIPCLCDEVVMDVMWAMKRLIRYFVPTETPELAEEDSLTMSQGLRMFLSRYGFEIKPEMVYNDIVRAASIVFRCDAVEKDLYEHLQHLGRHLKNVSGIDYENWGTVKLATAFKIICSRKIDKSDEMFSDDVRSKLLDDADKYKDLVFSTGCIANYKKILGLNILRNDKMDQLAELVKVARIKAEHVRVPENQSAAGSSSISEQQQQSAFGTAPVHTPQHIPTKNISIYFLLIFSWTAVERMGRHQGHSPQRPYLGGRDGTRAPRIEAAPAMLPAEAVTVYNDIVRAAAIVFRCDAVEKDLYEHLQHLGRHLKNVSGIDYENWGTVKLATAFKIICSRKIDKSDEMFSDDVRSKLLDDADKYKDLGLEPGATRRPGPGPPSRSLPALGRTMALTLLRGMRTPVVARRNAGLFFTTLQSPLLSRFTMRAESARAAAPKSIQLATKEAAEQKAQGFEAVIGIETHVQLSTVTKAFCSCPYSYGSQPNSTVCPTCMGHPGTLPVLNAKVVECAVRLGLALNCEIAMTSKFDRKQYFYPDLPKGYQISQFDIPIAKEGYLDLDLPVEFGGGHRRFGVTRVHMEEDAGKLLHSESGSYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAELQRLVRYLGVSNGNMQEGSLRCDVNVSVRPIGQSNFGTKVEIKNMNSFSAISRAIDYEISRQILLHKEGQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLTSEYIDEIQNSMPELPEAKRRRFENMGLSMQDVLFLANDDNVARFFDSTLEHGADAKLAANWIMGDIAAYLKNEKLSIDEIKLTPLELSELIASIRNGTISGKIGKEILIELIAKGGTVKSVIEEKDLVQIADPAAIEAMVDQVLADNPKQLEQYRSGKTKLQGFFAGQVMKASKGKANPVLLNKILGEKLKANS >ORUFI11G17500.2 pep chromosome:OR_W1943:11:20273202:20291991:-1 gene:ORUFI11G17500 transcript:ORUFI11G17500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRGVRPPPPDPSPAPATIDYSLTYKEIAASGAPGAVDFVKNHGLYLLLLETPSGFSIFSLCGVYIHLPDAIQNIWAMFGTYRSAHDVIWLKEFQKFDDKSSAINVDTGVNKQLTEMIMKWRRPRQKLGIPCLCDEVVMDVMWAMKRLIRYFVPTETPELAEEDSLTMSQGLRMFLSRYGFEIKPEMVYNDIVRAASIVFRCDAVEKDLYEHLQHLGRHLKNVSGIDYENWGTVKLATAFKIICSRKIDKSDEMFSDDVRSKLLDDADKYKDLVFSTGCIANYKKILGLNILRNDKMDQLAELVKVARIKAEHVRVPENQSAAGSSSISEQQQQSAFGTAPVHTPQHIPTKNISIYFLLIFSWTAVERMGRHQGHSPQRPYLGGRDGTRAPRIEAAPAMLPAEAVTVYNDIVRAAAIVFRCDAVEKDLYEHLQHLGRHLKNVSGIDYENWGTVKLATAFKIICSRKIDKSDEMFSDDVRSKLLDDADKYKDLVFRTGSGARSDAAAGAGASISVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAELQRLVRYLGVSNGNMQEGSLRCDVNVSVRPIGQSNFGTKVEIKNMNSFSAISRAIDYEISRQILLHKEGQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLTSEYIDEIQNSMPELPEAKRRRFENMGLSMQDVLFLANDDNVARFFDSTLEHGADAKLAANWIMGDIAAYLKNEKLSIDEIKLTPLELSELIASIRNGTISGKIGKEILIELIAKGGTVKSVIEEKDLVQIADPAAIEAMVDQVLADNPKQLEQYRSGKTKLQGFFAGQVMKASKGKANPVLLNKILGEKLKANS >ORUFI11G17500.3 pep chromosome:OR_W1943:11:20273202:20291991:-1 gene:ORUFI11G17500 transcript:ORUFI11G17500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRGVRPPPPDPSPAPATIDYSLTYKEIAASGAPGAVDFVKNHGLYLLLLETPSGFSIFSLCGVYIHLPDAIQEFQKFDDKSSAINVDTGVNKQLTEMIMKWRRPRQKLGIPCLCDEVVMDVMWAMKRLIRYFVPTETPELAEEDSLTMSQGLRMFLSRYGFEIKPEMVYNDIVRAASIVFRCDAVEKDLYEHLQHLGRHLKNVSGIDYENWGTVKLATAFKIICSRKIDKSDEMFSDDVRSKLLDDADKYKDLVFSTGCIANYKKILGLNILRNDKMDQLAELVKVARIKAEHVRVPENQSAAGSSSISEQQQQSAFGTAPVHTPQHIPTKNISIYFLLIFSWTAVERMGRHQGHSPQRPYLGGRDGTRAPRIEAAPAMLPAEAVTVYNDIVRAAAIVFRCDAVEKDLYEHLQHLGRHLKNVSGIDYENWGTVKLATAFKIICSRKIDKSDEMFSDDVRSKLLDDADKYKDLGLEPGATRRPGPGPPSRSLPALGRTMALTLLRGMRTPVVARRNAGLFFTTLQSPLLSRFTMRAESARAAAPKSIQLATKEAAEQKAQGFEAVIGIETHVQLSTVTKAFCSCPYSYGSQPNSTVCPTCMGHPGTLPVLNAKVVECAVRLGLALNCEIAMTSKFDRKQYFYPDLPKGYQISQFDIPIAKEGYLDLDLPVEFGGGHRRFGVTRVHMEEDAGKLLHSESGSYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAELQRLVRYLGVSNGNMQEGSLRCDVNVSVRPIGQSNFGTKVEIKNMNSFSAISRAIDYEISRQILLHKEGQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLTSEYIDEIQNSMPELPEAKRRRFENMGLSMQDVLFLANDDNVARFFDSTLEHGADAKLAANWIMGDIAAYLKNEKLSIDEIKLTPLELSELIASIRNGTISGKIGKEILIELIAKGGTVKSVIEEKDLVQIADPAAIEAMVDQVLADNPKQLEQYRSGKTKLQGFFAGQVMKASKGKANPVLLNKILGEKLKANS >ORUFI11G17500.4 pep chromosome:OR_W1943:11:20273202:20291991:-1 gene:ORUFI11G17500 transcript:ORUFI11G17500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRGVRPPPPDPSPAPATIDYSLTYKEIAASGAPGAVDFVKNHGLYLLLLETPSGFSIFSLCGVYIHLPDAIQNIWAMFGTYRSAHDVIWLKEFQKFDDKSSAINVDTGVNKQLTEMIMKWRRPRQKLGIPCLCDEVVMDVMWAMKRLIRYFVPTETPELAEEDSLTMSQGLRMFLSRYGFEIKPEMVYNDIVRAASIVFRCDAVEKDLYEHLQHLGRHLKNVSGIDYENWGTVKLATAFKIICSRKIDKSDEMFSDDVRSKLLDDADKYKDLVFSTGCIANYKKILGLNILRNDKMDQLAELVKVARIKAEHVRVPENQSAAGSSSISEQQQQSAFGTAPVHTPQHIPTKNISIYFLLIFSWTAVERMGRHQGHSPQRPYLGGRDGTRAPRIEAAPAMLPAEAVTVVLRLDPLKKRSLLALSHAGEQGLEPGATRRPGPGPPSRSLPALGRTMALTLLRGMRTPVVARRNAGLFFTTLQSPLLSRFTMRAESARAAAPKSIQLATKEAAEQKAQGFEAVIGIETHVQLSTVTKAFCSCPYSYGSQPNSTVCPTCMGHPGTLPVLNAKVVECAVRLGLALNCEIAMTSKFDRKQYFYPDLPKGYQISQFDIPIAKEGYLDLDLPVEFGGGHRRFGVTRVHMEEDAGKLLHSESGSYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAELQRLVRYLGVSNGNMQEGSLRCDVNVSVRPIGQSNFGTKVEIKNMNSFSAISRAIDYEISRQILLHKEGQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLTSEYIDEIQNSMPELPEAKRRRFENMGLSMQDVLFLANDDNVARFFDSTLEHGADAKLAANWIMGDIAAYLKNEKLSIDEIKLTPLELSELIASIRNGTISGKIGKEILIELIAKGGTVKSVIEEKDLVQIADPAAIEAMVDQVLADNPKQLEQYRSGKTKLQGFFAGQVMKASKGKANPVLLNKILGEKLKANS >ORUFI11G17500.5 pep chromosome:OR_W1943:11:20273202:20291991:-1 gene:ORUFI11G17500 transcript:ORUFI11G17500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRGVRPPPPDPSPAPATIDYSLTYKEIAASGAPVVMDVMWAMKRLIRYFVPTETPELAEEDSLTMSQGLRMFLSRYGFEIKPEMVYNDIVRAASIVFRCDAVEKDLYEHLQHLGRHLKNVSGIDYENWGTVKLATAFKIICSRKIDKSDEMFSDDVRSKLLDDADKYKDLVFSTGCIANYKKILGLNILRNDKMDQLAELVKVARIKAEHVRVPENQSAAGSSSISEQQQQSAFGTAPVHTPQHIPTKNISIYFLLIFSWTAVERMGRHQGHSPQRPYLGGRDGTRAPRIEAAPAMLPAEAVTVYNDIVRAAAIVFRCDAVEKDLYEHLQHLGRHLKNVSGIDYENWGTVKLATAFKIICSRKIDKSDEMFSDDVRSKLLDDADKYKDLGLEPGATRRPGPGPPSRSLPALGRTMALTLLRGMRTPVVARRNAGLFFTTLQSPLLSRFTMRAESARAAAPKSIQLATKEAAEQKAQGFEAVIGIETHVQLSTVTKAFCSCPYSYGSQPNSTVCPTCMGHPGTLPVLNAKVVECAVRLGLALNCEIAMTSKFDRKQYFYPDLPKGYQISQFDIPIAKEGYLDLDLPVEFGGGHRRFGVTRVHMEEDAGKLLHSESGSYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAELQRLVRYLGVSNGNMQEGSLRCDVNVSVRPIGQSNFGTKVEIKNMNSFSAISRAIDYEISRQILLHKEGQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLTSEYIDEIQNSMPELPEAKRRRFENMGLSMQDVLFLANDDNVARFFDSTLEHGADAKLAANWIMGDIAAYLKNEKLSIDEIKLTPLELSELIASIRNGTISGKIGKEILIELIAKGGTVKSVIEEKDLVQIADPAAIEAMVDQVLADNPKQLEQYRSGKTKLQGFFAGQVMKASKGKANPVLLNKILGEKLKANS >ORUFI11G17510.1 pep chromosome:OR_W1943:11:20292448:20292804:-1 gene:ORUFI11G17510 transcript:ORUFI11G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISEVFAVLADMDYSVVEGRAWTHCGCLGCLFFLRDEETDTERMARIEAASDTSSAAAPVAPTAAPWPPSPPPPRTPSAASTSSCRPTVAPAVPLPPGSQTSLHLSCCEDKKEGEK >ORUFI11G17520.1 pep chromosome:OR_W1943:11:20307597:20316532:-1 gene:ORUFI11G17520 transcript:ORUFI11G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAGSESAAAKEPSFKEGERVLAYHGPLLYEAKVQKSENKEDEWRYHVHYLCHIVVYHHVWVVSHLCPYGAIFFLNSIFMNPVEGLVSIIALMLFSCLSALLIQVNSLLNMFIFNEYSWDEWVTNDRLLKLTDENIRKQQELEKSQVVDKSVKSGRSAQHKPKGSNDAKTDKEDTKIIIKGKKRKSQPGGTEEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYSEEVKGDVSPSTIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQKNQSSFFLSAYDGGSKAEGFLKYGTRCIRGCVRPCGHPTFGTVVITNHVNFISKKLNSKFSNLKGKVVVTVQLRTFKPWSLA >ORUFI11G17520.2 pep chromosome:OR_W1943:11:20307597:20316532:-1 gene:ORUFI11G17520 transcript:ORUFI11G17520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAGSESAAAKEPSFKEGERVLAYHGPLLYEAKVQKSENKEDEWRWDEWVTNDRLLKLTDENIRKQQELEKSQVVDKSVKSGRSAQHKPKGSNDAKTDKEDTKIIIKGKKRKSQPGGTEEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYSEEVKGDVSPSTIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQKNQSSFFLSAYDGGSKAEGFLKYGTRCIRGCVRPCGHPTFGTVVITNHVNFISKKLNSKFSNLKGKVVVTVQLRTFKPWSLA >ORUFI11G17520.3 pep chromosome:OR_W1943:11:20307260:20316532:-1 gene:ORUFI11G17520 transcript:ORUFI11G17520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAGSESAAAKEPSFKEGERVLAYHGPLLYEAKVQKSENKEDEWRWDEWVTNDRLLKLTDENIRKQQELEKSQVVDKSVKSGRSAQHKPKGSNDAKTDKEDTKIIIKGKKRKSQPGGTEEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYSEEVKGDVSPSTIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILNAAEGFLKYGTRCIRGCVRPCGHPTFGTVVITNHDNR >ORUFI11G17530.1 pep chromosome:OR_W1943:11:20319576:20325786:-1 gene:ORUFI11G17530 transcript:ORUFI11G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVRELLLSTTADADPSTPLSAPDLRLLIDRLRIRSDRLHASALSFASSHRAPLAAALLRAADSSASSSSLESSLASALAPLSSSPGLSDLRELSDRLLAARRELRERQEHLAAASSVADLAARLRAARASPDPLAAAAAAAELKPLLVDPEGSGSSQDEPVVFGLLRNDWEQLVDELQVMLAKNLEECVEFAPEGGKVMVRTAPVGKSGGTPGVELRVALQALEIIDAVDYGMTKLADLMIKHVLVPAISNISVAVSVEALEKSGPQYPISILCVTPTEELQGYKDGSALYSRIIDIIKFVCETICGENITWMQSFSKLTWSRISDLVIKHFISKAVPHEASKLIEFQDVVRSTTEFENTLRNMMFISHEKRDGKLTQFVDDVEVHFAVRKRNEILVKARHLLVHYDYDNPLASHDREDSIVDLLFLPEKCFISKSALQLMKLVHGALKDACLSSARVAKELCYAARDALLLYKAIVPVQLEKQLDSINQVAAIIHNDFYHLSQEILGLAFEYRADFPGDLQKLVVFVDLAPTFSQMADGVLTRQIQLVTANLIEAIDGADGFQNTHQPQHYESAKFSIEQVVFILEKIHIMWESILPRSIYKRSMCYILGSVFSRITKDMLLIDDMAAEETLQLQGLIHLALENLSSLFLSLVENEFLDHQTWIELDEIIRPLKKFRKLAELLDMSLKSITAAWESGELTNCGFTSSEVQNFVKAIFADSPLRKECLLWISRTPS >ORUFI11G17540.1 pep chromosome:OR_W1943:11:20329283:20334986:-1 gene:ORUFI11G17540 transcript:ORUFI11G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRARRWPWPWRSQRRAARMLSSGEPAAGRRRVAALWGNGDYGRLGMGALESRWSPTACPFFLTGRPGDDDDDPPASLACGGAHTLFLTESGRVFAAGLNDFGQLGIGSSVTHSLEPIEVSGFDEKVVEVSAGNHHSCAVTADGKLFAWGRNSSGQLGLGKRAGKVVSTPRKVDCLADARVKMVALGSEHSIATTEEGEVLSWGAAGAGRLGHGHKTSILGFSITTSEYTPRLIKKLDGVKIKRIAAGMLHSACIDEKGTLFIFGQKTEKVGFGRSGDELRPTVVEEVPFSEEVACGGYHTCVVTDSGDLYSWGSNENGCLGLGGTDMVRSPEVLKSSLFKFPVSKVEIFTRGAGEVLTGHGNDVDYFEPMMVEFGKNARAVHVSCGFNHTGAIFEYSEN >ORUFI11G17540.2 pep chromosome:OR_W1943:11:20329283:20334986:-1 gene:ORUFI11G17540 transcript:ORUFI11G17540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRARRWPWPWRSQRRAARMLSSGEPAAGRRRVAALWGNGDYGRLGMGALESRWSPTACPFFLTGRPGDDDDDPPASLACGGAHTLFLTESGRVFAAGLNDFGQLGIGSSVTHSLVLSFLYIMPPCEIFIYIEPIEVSGFDEKVVEVSAGNHHSCAVTADGKLFAWGRNSSGQLGLGKRAGKVVSTPRKVDCLADARVKMVALGSEHSIATTEEGEVLSWGAAGAGRLGHGHKTSILGFSITTSEYTPRLIKKLDGVKIKRIAAGMLHSACIDEKGTLFIFGQKTEKVGFGRSGDELRPTVVEEVPFSEEVACGGYHTCVVTDSGDLYSWGSNENGCLGLGGTDMVRSPEVLKSSLFKFPVSKVEIFTRGAGEVLTGHGNDVDYFEPMMVEFGKNARAVHVSCGFNHTGAIFEYSEN >ORUFI11G17540.3 pep chromosome:OR_W1943:11:20329283:20334986:-1 gene:ORUFI11G17540 transcript:ORUFI11G17540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRARRWPWPWRSQRRAARMLSSGEPAAGRRRVAALWGNGDYGRLGMGALESRWSPTACPFFLTGRPGDDDDDPPASLACGGAHTLFLTESGRVFAAGLNDFGQLGIGSSVTHSLVLSFLYIMPPCEIFIYIEPIEVSGFDEKVVEVSAGNHHSCAVTADGKLFAWGRNSSGQLGLGKRAGKVVSTPRKVDCLADARVKMVALGSEHSIATTEEGEVLSWGAAGAGRLGHGHKTSILGFSITTSEYTPRLIKKLDGVKIKRIAAGMLHSACIDEKGTLFIFGQKTEKVGFGRSGDELRPTVVEELYQCLSKLRMFAYIKFLTSGTDMVRSPEVLKSSLFKFPVSKVEIFTRGAGEVLTGHGNDVDYFEPMMVEFGKNARAVHVSCGFNHTGAIFEYSEN >ORUFI11G17540.4 pep chromosome:OR_W1943:11:20329283:20334986:-1 gene:ORUFI11G17540 transcript:ORUFI11G17540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWRARRWPWPWRSQRRAARMLSSGEPAAGRRRVAALWGNGDYGRLGMGALESRWSPTACPFFLTGRPGDDDDDPPASLACGGAHTLFLTESGRVFAAGLNDFGQLGIGSSVTHSLEPIEVSGFDEKVVEVSAGNHHSCAVTADGKLFAWGRNSSGQLGLGKRAGKVVSTPRKVDCLADARVKMVALGSEHSIATTEEGEVLSWGAAGAGRLGHGHKTSILGFSITTSEYTPRLIKKLDGVKGFGRSGDELRPTVVEEVPFSEEVACGGYHTCVVTDSGDLYSWGSNENGCLGLGGTDMVRSPEVLKSSLFKFPVSKVEIFTRGAGEVLTGHGNDVDYFEPMMVEFGKNARAVHVSCGFNHTGAIFEYSEN >ORUFI11G17550.1 pep chromosome:OR_W1943:11:20335585:20336453:-1 gene:ORUFI11G17550 transcript:ORUFI11G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRCRSHVVTAESSPPPAESNHLRTTKSPRDGLRTSEKETTPVVLALTAMAMAEAVASKKLGGTGSRRRRQTTAAPSPSFHADLGGWTVSIFLLKLRFGRGRYRWGPGCDDSGKSNPVRGGAPGQAAASGPKWFSAASRIGGKAGSSGARRSGINRCGAADGRRRSWAERKEREGSEEATERGR >ORUFI11G17560.1 pep chromosome:OR_W1943:11:20337926:20338315:-1 gene:ORUFI11G17560 transcript:ORUFI11G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGNDGDGSAVPMVSWKHELVSRLQLSPSFHFVPTDKELVDFHLREIQELWGRYVVLFHGEGAIEDEEEG >ORUFI11G17570.1 pep chromosome:OR_W1943:11:20346043:20350468:1 gene:ORUFI11G17570 transcript:ORUFI11G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRDRRLGALLVVVLVLVSGAAVEASIHTYDREPFREVGNAFLLSGGSEGVVADGADLAAPASSFIKFTNVTFWRTPESAESHAKMAHSTGLVQAILFEAADRDNIGGSAYGGQRSICCTPDLAKLEGCKQGEVIRRPSSDDPDWPYVLDTHFSGSHLSVKLEDEVVRITKTGMYNLFFISCDPKLRGLSMSGKTVWRNPGGYLPGRMAPLMKFYVFMSLAYLLVMVVWSSQYIRFWRDIMPIQNWITLIIALGLFEMTLWYFEYLNFNSSGVRPIGITTWVVTVGAIRKTISRLLILSISMGYGVVRPTLGGLTSKVLLLGLTYFLASELLDIAENVGTINDISGKARLFLVLPDAFLDAFLILWIFTSLSRTLEKLQARRSSVKLDIYRKFTNALAVSVIASVAWIGYEVYFKATDPFSERWQSAWIITAFWDVLAFVLLLVICYLWAPSQSSQRYAYSGEAADDDDEESQSLTKGTDGDVGMVKVDKDRSGGVSSAFSLEDEAEEDKRE >ORUFI11G17580.1 pep chromosome:OR_W1943:11:20351750:20352883:-1 gene:ORUFI11G17580 transcript:ORUFI11G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLNILELFLDCITEPNERLIEFGIGGICNSCADPANASVITHCGGIPLVIQCLSSPVKNTVNYALGALYYLCNPSTKKDILKPEVLKAVREYAVAGDANTSFRNLANAFLDKHVNS >ORUFI11G17590.1 pep chromosome:OR_W1943:11:20355145:20358185:-1 gene:ORUFI11G17590 transcript:ORUFI11G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRACTRVVVGGRRRAPMASSLLSSAASGLPPKPQPPSSTPPSSASARPRGFRRFMLARAAAGRDPEPPPLPEGTEKRSLAVRTGEVFLGLAALLVRAGRGGGAAVEEVEAKDGVVWEQRPEDVEAEQRRRELTGPGFSFSAAGLLFPYHIGVAQCLIDRGYLTERTPLAGSSAGAIICAVIASGNTMRDALQVTKDLADNCRSNGTAFRLGAVLKDVLDRFLPDDVHIRCNGRIRVAITQLSWRPRGLLVDQFDSKDDVISAVITSSFIPGYLAPRPATFFRNRLCIDGGLTLFMPPTSASETVRICAFPASRLGLQGIGISPDCNPENRASPRQLFNWALEPAEDEVLDKLYELGYLDAAVWADQNQVELIVKNEQPLRVTD >ORUFI11G17600.1 pep chromosome:OR_W1943:11:20362768:20364219:-1 gene:ORUFI11G17600 transcript:ORUFI11G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASETAPFGVSAASKGGGGVAGARAQHGQLAVAGRVHDALVFAAGAVAAVLVLLATASFLSPMPVTNLVAFRSLPVSVASTSAASAAIDADVGVRGGPGAAGRTFYDDSRVSYAVEVGRRGGITGWDARRAAWMRLRYPRGLNATAAGRERVVMVSGSQAPPCRGEGGDHLLFRFLKNKVDYCRLHGVELLYNNALLQPRMLAYWAKIPAVRAAMLAHPDAEWVWWVDADAVFTDMDFSLPLHKYKDHNLVVYGWNKEVYGERSWVGLNAGVFLIRNCQWSLDFMDAWARMGPASPEYARWGSVLHDTLRGKSDKESDDQSALVYLLSEHEEKWGAKTYLEKGYFFQGYWVEVVDRLDDIAARYEAAERRPSAAAAHLRRRHAEREHERYAAARNAAVRGAVPGPAGGGQSGWRRPFVTHFTGCQPCGGEPNKIYSKKSCADGMNRALNFADDQVLRNYGYRHKDPLSDEVRPLPFDYPAAR >ORUFI11G17610.1 pep chromosome:OR_W1943:11:20376707:20378214:-1 gene:ORUFI11G17610 transcript:ORUFI11G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAQRRQLLLVALMLAAAADHSLAGFFGGAPPASGPAAAAADNDEKCSRTCESEHCLGTYAQAPLMRYGKYCGVSYTGCPGEAPCDALDACCMLHDACVQATDNDYLNMLCNQSLLDCVAAVRSPAARIRTFEGNQCNVTDVADEITSLVEAAVFAKRILHRP >ORUFI11G17620.1 pep chromosome:OR_W1943:11:20380322:20383555:1 gene:ORUFI11G17620 transcript:ORUFI11G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEPTREESVYKAKLAEQAERYEEMVEYMERVARAAGGASGGEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNDAHAATIRSYRGKIEAELARICDGILALLDSHLVPSAGAAESKVFYLKMKGDYHRYLAEFKSGDERKQAAESTMNAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDSLGEESYKDSTLIMQLLRDNLTLWTSDANDDGGDEIKEAAAPKEPGDQ >ORUFI11G17630.1 pep chromosome:OR_W1943:11:20384605:20389146:-1 gene:ORUFI11G17630 transcript:ORUFI11G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAGDRGGGGGVVAVKRMKLCEEEEEEEEGMEVDEEEEEVGWVWRPPGGLAGEDEAAAWEGRAAAIVVSDAVEVDFPVIYVNAAFEAATGYRADEVLGRNCRFLQFRDPRELLNFRKDGAPLYNRLRLIPMHGDDGFVTHVIGIQLFSEANIDLSNVSYPVYKQQSNHRPNIQEINPASHEHIPKIQSSEYCCILQLSDEVLAHNILSRLSPRDVASIGSVCTRMHELTKNDHLRKMVCQNAWGRDVTVRLEMSTKMLGWGRLARELTTLEAASWRKFTVGGRVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLESAKPEWRRVKVSASPPGRWGHTLSWLNGSWLVVFGGCGQQGLLNDVFVLDLDAKQPTWREVASEGPPLPRSWHSSCTLDGSKLVVSGGCTESGVLLSDTFLLDLTKEKPAWKEIPTSWSPPSRLGHTLSVFGKTKLFMFGGLAKSGSLRLRSCDAYTMDAGEDSPQWRQLATTGFPSIGPPPRLDHVAVSLPCGRIIIFGGSIAGLHSPSQLFLLDPAEEKPTWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRPDEDE >ORUFI11G17640.1 pep chromosome:OR_W1943:11:20394984:20396467:-1 gene:ORUFI11G17640 transcript:ORUFI11G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKERMKKMINASSNHAEETSTKRPQLPPPELPTRAMHSCLRPPDHAPDAAADRAW >ORUFI11G17650.1 pep chromosome:OR_W1943:11:20398975:20399706:1 gene:ORUFI11G17650 transcript:ORUFI11G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSFSSAAILLLFFLASFASATNFTYIGPATTPSHTAITDTVIVTILFHCSYVTGANRLESQPLHIIQPNDNMTSITVQFDNLVTYQEIAAVSNISNPDLVKIGQELWIPLCREAVSKSSRCFRANPFNPFRGQQQSQINVDQSQVNNNNPS >ORUFI11G17660.1 pep chromosome:OR_W1943:11:20425079:20453088:1 gene:ORUFI11G17660 transcript:ORUFI11G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSFSSSAAAILLLFLILASSASATNFTCTWPTTCQSAIVYTPPAATTYIELLSSFETTTLRDLFDANGLPPSTPSHTAIPTNATVIVPFRCSCVAGANRPESQPFHIIQINDNMSYIAAQFDDFVTYQEIAAASNISNPDFLEVGQELWIPLPCSCDQVEGNNVTHFAYKVRAADNVSKIAARFGVKESTLLKINGITDPKNLTQGQILDVPVTVQWFRIMTFLSFTSGGDQQKGHECHTATNNFDERNRLREGGFGMVYKGTLLDGQEIAVKRLSHCSKQGLNELKNELVLVGKLQHKNLVRVLGVCVEKQEKLLVYEYMPNRSLDTFIFDRDKSKELGWEKRFKIIIEIARGLEYLHEESRLKIIHRDLKANNILLDSDLTPEISDFGLAKLFGEDQSHVVTNRVAGTYGYMAPEYAMFGQYSVKSSREEEAWVPSTTMSNLLAFWTLPAFCMHPADATRTASGGETAAASANPQLTLHVHGAGRYASMTAMRRRSPPAWSYRRIHILFLLLLATSSSSASAANFTCTTVQAACQSAIGYTTRNATTYAELLSLFNTSTLAELLRANGLPPTAMPPDTAIPAAATVTVPFRCLCNVATRVGRSDYRPIYLVGSQDGLDAIARKVFDGFVTYQEIADASNIPDPNKIFVGQELWIPLPCSCDQVDGHNVTYFAYKVRAVDTTSAIAAKFGVLESTLMRINGITDPKNLVQGQILDVPIPGNDTMARMARKHEGLINQEDINIDLSTLRTATNNFDERNKLGEGGFGVVYKGALPDGQQIAVKRLSNCSRQGINELKNELVLVSKLQHKNLVRLVGVCVENQEKLLVYEYMPKRSLDTILFDPDKSRELSWEKRLKIIIEIARGLEYLHEESRLKIIHRDLKANNILLDSDLTPKISDFGLANGYMAPEYAMFGQYSVKSDVFSFGVLILEIVTGRRSMGSYSDHEQSFNLLDLHWNRGTLLELVDPSTLTRAGHGTTNQCSLQADQMLGCIHVGLLCVQANPADRPKLSAVTTMIGGTASLNPPSRPAFWVLPEEDATRAAGTNSSPGGRVMAASANRVSITEIEPR >ORUFI11G17670.1 pep chromosome:OR_W1943:11:20454418:20454991:-1 gene:ORUFI11G17670 transcript:ORUFI11G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPHKSLLPPPFLPFLSLSHRFFLFSRRRAREARAAAAGRRAGEGWSCAGRRRKRRTSVPVVVAGEGDAGGGIGARLRVAGVEDGDRLPDEEKDGLILGDGGEADLVVEVVGVEVVGDAEDLGEVAEGLVKLGGGLVEGLAGVFEDAAGGALEEVGVDSLAEEGGEALN >ORUFI11G17680.1 pep chromosome:OR_W1943:11:20455235:20458647:-1 gene:ORUFI11G17680 transcript:ORUFI11G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGPSSDPHRARPLQPSPTISGSGKGAVDAASSVPSTSVARIGRRHITSSKNQGIKDLPVNICEYAFSYIYETVQTHPEDLADNVFHCWSKITSVNNFSLIWTHMSIISKSPDSIREDLNPDAANRHSPHSGLACGPTIEPAAQAPTGKAATLLEQVHFDPP >ORUFI11G17690.1 pep chromosome:OR_W1943:11:20468475:20474661:1 gene:ORUFI11G17690 transcript:ORUFI11G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPTAAITVVLPAVAVVVMASFLAAAGEVELALDQAGSPTCANNLASCARYMNGTSMPPDGCCEPFRHSVVKEQRCLCDLLASPEIFKAFDIKESSFHDLANRCGLKDLNTLCPGENISVAAPIASVAPTSLVPIPSVAPTSLVADKNVTHGEMN >ORUFI11G17700.1 pep chromosome:OR_W1943:11:20495748:20498008:-1 gene:ORUFI11G17700 transcript:ORUFI11G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESFMAVRLDPGYLRIGVTPPQFSTSSPSPPPSPPHQLISTSPNWTIDVSDARTIKVTNISMSATADNIKEFFSFSGEVEYVEMRRESETSQVAYVTFKEFHGADTALLLSGASISEASVNITPVEDYVLPPEAYFYRQDTGSPRTPTEAAVKKAEEVVSTMLAKGFVLSKDALKRARSFDDRHQLLSTASARVASLDRRFGLSDKFSAGTAAARGAVRGVDERFQVSELARVAVTAAEQGAASVVASSPYASRGAAWVSAAVGAVARAAFDVGAMTKEKVERAEEEEHGAGAAGDVAHARVQVDAPASPAHAAREQPDGHYKNKMM >ORUFI11G17710.1 pep chromosome:OR_W1943:11:20502002:20507544:1 gene:ORUFI11G17710 transcript:ORUFI11G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRPPPTGVRRLPLRGPPFSRGPHIPNSKEKSRNRLLLPPSLPRRRPSNRDWSDCDPTFSAEANAAIRALRDTGAGADARARRLAARLVERYPGSPLAHTILAEGHRVRGRLEGARSSLERAAALAPGCPRTAFMLAAVLTRMGLFDEAMEVCDRSLLVPQPTDPARHLPYRRKRIVPKDAEHRIAYTRQGIRRLRLGAEKCRRTAVPLPPESALPAPDWPPESAEANLQVARDLWRGMSEEEQQAFLKVSFQDMKSYCRSGGELEMISLLSDAEHDQFVKLSASSSCWTCPLCGFKIILDEERFMVHMENFHIEHEEYKKLRSSLPKRIPDNEMELLKSWRWEPMPIDGDDLAERTEILSKLKKLVSQLIDMEAVSLCLLYIMHKFIMRRVRPVTPLVVSMCACCGIRQLSSAHLKELYEFLQKLTPILADYVHQKAQNGEQESQQDSLAVTTWLKETGTLSFDYGKIVSRNTDGSSNPDEIVDGLFHESLLEDPLVSWGGVWQRCLDLGPDILNKISEALNKLKVNCSSCEELKQKLGDVYFLPDAIFETDIDVKPYFDDGIGSVQVEMLLIDAEVDYQKKMLLEACKVDYLAAILPIAKACLRAKMNNNLRPPNGLELQAPLNIILRSLWHIRRFHDTLQKIPSKCTDVKDGNSQIGKTLCKIFDSWDNEKAGKPCDPCDSTRFADFTNSLVYKKDGQRKTAIDIVKFIFRRLHSSQTPLHFEFKGETLDHQTPVEPSFLGCICLVHDLFGLHIYENKFNCVNEVYTEYQYTTFLHSIDLGAVGKTKVESFSELLKARKSRIESCGHMVSQYSLECPPRLFMTVFEWKEDKVGHINMHEVLMSLAVELDISHFYGDLHSGSKYTLVSAVCCNDQGQYFCFARGNNIWLIYDNNRRPMYAESWEASIQQYSQANLCPEIIFFERVEDPELGTHRDQTAP >ORUFI11G17710.2 pep chromosome:OR_W1943:11:20507362:20513580:1 gene:ORUFI11G17710 transcript:ORUFI11G17710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGISLLASGSCRPRRSPRKSNRDWLDCDRRFAQQADGVILQVLRRSPDAVERATQLMCRYKKSPLAYAILAEAWLASGNLVMAKTHLRSATVLAPRCPYISLALAAVLVRMGSWEEAVRECARGLGAWMPTDPARHSPLPEDSINAIVSSPKQRIAVERERIRLLRFRAEKGKGIVAPIASVAPKWPPESADLDHARHRWSRMSEEERQAFLTVSFQDMKSHFLSRSGTSRWQTRRALSGAEELVNGCGSFSYRLCPFCFVIFVDATEFMSHIDSFHIAGCKKELRSSMPERVTGCEMELLKSWRWEPMPIDGDDLAERALILSKLKSIVSWLIDKDAVSLSLLYIMYKFIMSRVRPVKPSVISMCGSCGIGQLSSAHLKELLDLLKWLPHTHTDYKQENQKDSLGLATWMEESGTLFFDYRKNASRKTDDSSQPDEFFDWLFCESLLEDRCESWLGMREKCVNLGPAIFKKITEELDKLKLKCSSCEELKQKGGVYFLPKAILESDIDIEPYFYDGIGSVQTEMLLIDAEVDYQKKRLLEACKVDYLAAILPIAKSYLWAKLNNNPPEKVLPLPPPNGLQLQAPLNVILRSLWHIRRFHDTLQKIPRECRDVTVGDFQIEKELLEIFDSWDLVKDGKPCEPSGSTRFADFTSSLIKKSGKMTASKIVKSLFQRLHSSHTPLHFEFRGETSELQTPTEPSLVGCICLVHDLFGLHLYENKFNCLNMVHTKFAYSIELGAGGETKFKSFSELLVARESRNGSVGQKVAQYSLLCPPRLFMTVFDWEDINGSYNNMHEVLISLATELDISHIYRGLHSGCMYTLVSAPAGSWQESIERYRQSKLRPEILFFERVE >ORUFI11G17720.1 pep chromosome:OR_W1943:11:20518103:20521700:-1 gene:ORUFI11G17720 transcript:ORUFI11G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPVITLLVFLVAVAAATAAAEMPRMEHPRKGDGSLSLLAVGDWGRRGAYNQSMVAAQMGIVGEKMDIDFVISTGDNFYKNGLTGVDDKAFEESFSDIYTAKSLHKPWYTVLGNHDYRGDALAQLSPVLRKVDSRWICIKSFVVSAEIADFFFVDTTPFVLKYWTDPKNSKYDWRGVSPRETYIANVLKDLEDALEQSKAPWKIVVGHHAIRSVSQHGDTKELLEHLLPILKQDSVPDERAGSKAWRGVQTANTDKVEFFYDGQGFMSLRLTAAEASLAFYDVAGKILHTWMVAKPATGY >ORUFI11G17730.1 pep chromosome:OR_W1943:11:20521776:20529858:-1 gene:ORUFI11G17730 transcript:ORUFI11G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRCGWSAAAVVAVVVMVGVMVASPVAGELARVEHPTKEDGSLAVLVVGDWGRKGQYNQTLVATQMGVIGEELAADFILSTGDNFYNDGLTGDNDTASFQESFTNIYTADSLQKPWYIDALAQQSPAIRAVDSRWTSINKSFIVDSDIAEFFLVDTVPFVQKYWNESKFDWRQVAPRDTYLSTLLTDLGDAMSQSNATWKIVVGHHTISSGCEHGNTTDLVAMLLPVLKTYGADMYINGHDHCLQRITSIDSPLEFITSGGGSRAWAGKFKQTSDKLEFIYDGQGFLSMQLTMAEASFAFYDVTGAVLYSWQLAKSTSTNYQP >ORUFI11G17740.1 pep chromosome:OR_W1943:11:20534756:20536029:-1 gene:ORUFI11G17740 transcript:ORUFI11G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRTALPSAVLALRLLTLALLAASLAVIAADKLTLDFGGGLPPKKITFKDVYAYRYVLAIAVIGCAYTLLQIPFVAVSIAKRKRMIGGSENVALFLIFADVIFALLVATGAGAGFGLTYDAKSAFGGSKLPGEVVRFFNMAYAAAGLMLLAAAAMALIIMLSIYSLVR >ORUFI11G17750.1 pep chromosome:OR_W1943:11:20536448:20537217:-1 gene:ORUFI11G17750 transcript:ORUFI11G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSPTVPQARSAAYPAAAPSALSVWFAYADLLSLGPALLAEPWAMASCLLELTLRWSAAESSLFLLVNGICDELSPCKLNGMVIVRRALLPGWVLVHLRSMPIKRCSCSIGQILFPLEQLQCAPKIDFNVKKPNYAHCGKRTVVRGKGNWGLRFFSWVPPKIDFNAVGVESNVYSTSVNRTYLTNTTNALSTIESIDRSSCSSGPLVQLLYVLSI >ORUFI11G17760.1 pep chromosome:OR_W1943:11:20540350:20550823:1 gene:ORUFI11G17760 transcript:ORUFI11G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRRGPLLLAAAAAAAAGAVAAALPSGEGRGAAPSVLHAVARSSRAVYTIGFVVVDYKYSLRGLAHGSADYRDKLSEVHLRSAKKILKLCEANRGFYVKAGQFVSSIRQVPKEYSSTLSCLQDQATPCNFQDIKIVIEQKLGKDLHSIFLEFDEHPIAAASIAQVHRGRLNNNQEVAVKVQYPGLERRMKIDIMTMSFLSKSLSWIFPDYRFQKLLTEFERTMSMELDFIQEAKNSERTASCFRKNNVVKVPCVFWELTSKEVLTMEFCSGYKVDNLNSLRKADISPTKVAKALIELFGEMIFVHGFVHGDPHPGNILVSPQGQGKFSLVLLDHGIYKELDQKFRLDYCQLWKALILLDSQKILELGEHFGVGKYAKYFPVIFTGRTIESKSILGTQMSIEEKMRLKQDLNSLGMDDISSFMESLPPDFLTILRTDGLLRSILGNLGAPRHVRLLTYAKCALYGLEEQPKSQSELAGFLMQINDLRHKIMSRFRRILQNAS >ORUFI11G17770.1 pep chromosome:OR_W1943:11:20549862:20552485:-1 gene:ORUFI11G17770 transcript:ORUFI11G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAEEVARAAALAGPLGELLPPVDFCCAYGSTLLHARPDASSMVDYILGVPDPLQWHSENLERNPDHYSGWMARLGPGAITRLADNIGVGVYFNPFVEWRDKRIKYGVVRMKDLAMDVLTWDRFYLSGRLQKPVHVLVDNWDIRKINTINLKMATSASLLLLPAEFTEYDLYAQICSLSYMGDLRMLFAEDKNKVKKIVEGSFPSFQSMYRPLIQEYIAEGLLKTSSYGQQKAFHQACDCGASATNELFSYLPWTIQRRLQGRFASNCKEMPTRASVSSKDVAATCVRKALRRRVMVSSARQAMSGLLASGGAVAARYLGKKISKAWKSRTV >ORUFI11G17770.2 pep chromosome:OR_W1943:11:20549862:20552485:-1 gene:ORUFI11G17770 transcript:ORUFI11G17770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAEEVARAAALAGPLGELLPPVDFCCAYGSTLLHARPDASSMVDYILGVPDPLQWHSENLERNPDHYSGWMARLGPGAITRLADNIGVGVYFNPFVEWRDKRIKYGVVRMKDLAMDVLTWDRFYLSGRLQKPVHVLVDNWDIRKINTINLKMATSASLLLLPAEFTEYDLYAQICSLSYMGDLRMLFAEDKNKDCGASATNELFSYLPWTIQRRLQGRFASNCKEMPTRASVSSKDVAATCVRKALRRRVMVSSARQAMSGLLASGGAVAARYLGKKISKAWKSRTV >ORUFI11G17780.1 pep chromosome:OR_W1943:11:20553772:20557089:-1 gene:ORUFI11G17780 transcript:ORUFI11G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINCFCRWADWAGGRLIPGLPAHPNEPFICFPRYLPQLHLSTSSRHRRRRRGSPPLLPSPPPPPPQKLGSSRRECLMAAASIPASSQPFKLILGSSSVARKHILTEMGLEFEVMTADIDEKSIRRENPDELVTVLAEAKADAIMSRLNISDYQKEGDRPTLLITSDIVVVHEGIIREKPTTKEEARQFLKGYSGSHVSTVGSVVVTNLTTGKRLESLDKAEVYFHDIPDEIIENLIDEGVVFRVAGGLLLEHPLTLPFVEAVVGSSDSVMGISKDLANKLIQDALSA >ORUFI11G17790.1 pep chromosome:OR_W1943:11:20559665:20565703:1 gene:ORUFI11G17790 transcript:ORUFI11G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANQVRQDKNGCTPLHWAAIRGNYEVCTVLVHAGTKEELTLKDSGGFTPVELANDKGHRHLSYILSNATKVTFEDKYCSGRSRKIGYAPILITAAVGIWSWGAISLAFASLVMFYRVSRKNPGYIQANTKRLDPKEPLMEIDLNSSAWTGNWSQLCPTCKIIRPMRSKHCPTCKHCVEQFDHHCPWISNCVGRRNKWDFFVFLCMGTTTAFLGAAIGFHRLWTEPIILSSSESWINFMLSNHPGAVLFMFMDVFLLTGALILTGAQATQIARNLTTNEAANQSRYAYLRGPDGRFRNPYSRGCRRNCADFLVNGYSNDEEAAWPTLQQTVQRS >ORUFI11G17800.1 pep chromosome:OR_W1943:11:20566746:20568992:1 gene:ORUFI11G17800 transcript:ORUFI11G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAVIRLALPAIPAAAATSSSSGCSVRTRARGRVVRMRVRCRAVGSEGGEGGEGEGEEEAPESLFAKELMRRGMASGAAAAGAGEKEVGAEESGGKRVAAAEFERAAAGADGQRARSMALNSEGLEGLVPRAKLLLSLGSTFFLGFAPLILVTVSLFAVLYVYFGPSFVHDASKTPVSPPPYIDPYELLEDERLSRPSPDVF >ORUFI11G17810.1 pep chromosome:OR_W1943:11:20596204:20603283:-1 gene:ORUFI11G17810 transcript:ORUFI11G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFSTGQLVVILGACSLMMKRSDMIKIARVAGRMTGRAVGRLMLFRRQMDEILEQTAAKQVNKELKDAMTQLDSIRYEVQNLSRFTPGQFMRQHNPVGMDPKAGKNDAIDGSALNLEELRHQIRSMVHDEIESFYRTNPDKFSGRLDNADTVNRSVSPVEGREVDEAGIPTMLASKDMKLANTGSTDLHSKATMYARLTESPEMSGSSGHQFEERDGLLNVLPISAESAGLLPSRSDKPQGSDLLLEATLEAEVAEHAKYFAQQHHDELRKERENGRSDLTPFRTNGSDPTPPLSATPHNTTQGKKRKTKNPIRIRLIPSSTLSRTKNLTMSPVTALLAGAAAPLPPPRAMVASRRPFRVTPAGYSPVGGGCALAVECSSRPQKKATKHHMKTRPKKSQPWDRNRRPTQYPPLPPLPPDWTLVAAGATVDAAAQAEEGDEEEPEPGAAPGVGGGGGAVRRRLTPPVVSLPCAF >ORUFI11G17820.1 pep chromosome:OR_W1943:11:20605407:20606290:1 gene:ORUFI11G17820 transcript:ORUFI11G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNHMMIFSLLLLMLSSSDLAMADGKFSDADCKMILFPAYCDDIKTCIPLCTNNSPLKPAPSQLSTVVCLDLGCQCTFCPKTARN >ORUFI11G17830.1 pep chromosome:OR_W1943:11:20608629:20609057:1 gene:ORUFI11G17830 transcript:ORUFI11G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISHLMIFNLVLLVLSSDMMIATRMTNYGWLDAPVCKKISFEVHCDDIKPCVQLCATQDPLYPIPSKVASIVCHNSSECECMYCPRAIRN >ORUFI11G17840.1 pep chromosome:OR_W1943:11:20614266:20618866:1 gene:ORUFI11G17840 transcript:ORUFI11G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERASVIGGTMVSLMVKLSSIAGPRYSLMVGARSDVIFLGAELESMHAFLEKLSGVDGPDPQVRCWMKEVRELAYDVEDCIDEFMHRVDVVHGAVTSNHGFSSLRGLVSHATRLVAVAWMHHRLAGELKGLKARAIEVSERRSRYKLGDDIGMLGGSAMATDPRVSVLYADTPDLIGIDRPASEMVNWLTDDVRTLKVLSIIGFGGLGKTTLAMEVYRRVGGQYSCKAFATVSQKLDTKKLLKDLLSQIAQNEVDHMGTWEEGQLIRKLRECLLNKRYFIIIDDVWSKSAWEKVRCALPENNHCSRLLTTTRIDSVAKSCCSHPDDLIYRIEPLKASDSRNLFFKRIFGYEDVCPPQLKEVSDQILKKCCGSPLAIISIASLLASKPVMLKEQWEKVLISIGSALEKNSDLEGMKQILSLSYYDLPYYLKTCLLYLSLYPEDFKIERDSLIQQWIAEGFIGEERGQSVEDVAESYFNELINRSMVQPMDINCDGKAHACRVHDMMLEIIISKAIEENFVTLLGGHPVAAKPQGITRRLSIQCDKEITKTKGGMNLLHARSLSLYVQACQLPPLSDFRVLRVLNLEGCLGLCDNHLKDISILFHLKYLSLCRTWISKLPPEIGDLHSLETLDIRDTNIEELPGTIIRIVQLKYILSGGHTWGKIKLPDGIGSMASLRVISGFNICCSSTNAVQELGTLKGLRELTINWTDFSSGDMKRQEAMMNTLGKLGTSNLQSFAICSRNFGSLEFLDSWSPPPNHLQRFRLSAYYFLPRVPRWMASLCNLIHLNINIEKLSNEDIQILQDLPSLLHLDLWLKSPQKEDKIVIHGVGFPYLQELIFSCEGTSLIFEPAALPKLERLQMAVHVKEAKSYGYQFGIEHLRSLKKIYIQLLCAGASALDIEDAEDAIHTIVKFHPGHPRIDIQKCGMDMHLEERNKRQHPEETNVQNMNTSKEDMNHANKKRKEYQSSSAQ >ORUFI11G17850.1 pep chromosome:OR_W1943:11:20617251:20620211:-1 gene:ORUFI11G17850 transcript:ORUFI11G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGPRVYSCCHCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNISMGPKEDRQLMTGLHTVADIYCRDCREVLGWKYERAFEESQKYKEGKFIFEKAKILMTLNGYEKSSKRVMPSTLELLGESSMQTAEISEDDLVVATRNLRTPGNRSCDCKSRKIGVTLCYILHRLASFFADESFRNPLLDQAIPLNLEVLRIQTEV >ORUFI11G17850.2 pep chromosome:OR_W1943:11:20619261:20620211:-1 gene:ORUFI11G17850 transcript:ORUFI11G17850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGPRVYSCCHCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNISMGPKEDRQLMTGLHTVADIYCRDCREVLGWKYERAFEESQKYKEGKFIFEKAKIVKENW >ORUFI11G17860.1 pep chromosome:OR_W1943:11:20622628:20624114:1 gene:ORUFI11G17860 transcript:ORUFI11G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKIQEACKKNTMADVVSSKVEEEEEGKSKSKMGKGIPTISIFFIISWIHKLFLFWRRRRRADVELLLPQWNSRVGLELRRKHAGGLGKGQGAVHPNTVDGAGAPGTDLQAHCCKCFCPFQLAPPHQEKPPSMGYYLFYSAHLCL >ORUFI11G17860.2 pep chromosome:OR_W1943:11:20622269:20625904:1 gene:ORUFI11G17860 transcript:ORUFI11G17860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCGGHGHENPRSLQEEHHGRCGEQQGGGGGGGQEQEQDGFLVREARASPPSPSSSSFLGSTSSSCSGGGGGGQMLSFSSPNGTAGLGLSSGGSMQGVLARVRGPFTPTQWMELEHQALIYKHIAANVSVPSSLLLPIRRSLHPWGWGSFPPGCADVEPRRCRRTDGKKWRCSRDAVGDQKYCERHINRGRHRSRKHVEGRKATLTIAEPSMVIAAGVSSRGHTVARQKQVKGSAATVSDPFSRQSNRKFLEKQNVVDQLSPMDSFDFSSTQSSPNYDNVALSPLKLHHDHDESYIGHGAGSSSEKGSMMHESRLTVSKETLDDGPLGEVFKRKNCQSASTEILTEKWTENPNLHCPSGILQMATKFNSISSGNTVNSGGTAVENLITDNGYLTARMMNPHIVPTLL >ORUFI11G17860.3 pep chromosome:OR_W1943:11:20622269:20625904:1 gene:ORUFI11G17860 transcript:ORUFI11G17860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKWAFYFAPGWGSFPPGCADVEPRRCRRTDGKKWRCSRDAVGDQKYCERHINRGRHRSRKHVEGRKATLTIAEPSMVIAAGVSSRGHTVARQKQVKGSAATVSDPFSRQSNRKFLEKQNVVDQLSPMDSFDFSSTQSSPNYDNVALSPLKLHHDHDESYIGHGAGSSSEKGSMMHESRLTVSKETLDDGPLGEVFKRKNCQSASTEILTEKWTENPNLHCPSGILQMATKFNSISSGNTVNSGGTAVENLITDNGYLTARMMNPHIVPTLL >ORUFI11G17870.1 pep chromosome:OR_W1943:11:20626406:20629820:-1 gene:ORUFI11G17870 transcript:ORUFI11G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSGNGRPPATAAAWNFESNGALLGLTALSVRGVLGRVKAGMAAGGGGDGGGGGGRAVIPMGHGDPSVFPCFRTTADAVDAVAAALRSGEHNSYSSCVGLEPARRSIARYLSRDLPYELSADDVYLTSGCAQAIEIICSVLARPGANILCPRPGYLFHEARAVFNGMEVRYFDLLPESGWEVDLDGVQELADKNTVAMVIINPGNPCGNVYTSEHLAKANEVYAHLTFGQNKFVPMGVFGSVAPVLTLGSISKRWVVPGWRLGWIVTSDPNGVFQRTKVVESIQSYLDISADPATFIQGAIPQLIENTKEEFFEKTVDVLRQTADICWEKLKGISCITCPSKPEGSMFVMVKLDLSCLQGIKDDMDFCCQLAKEELVILLPGCAVGYKNWLRITFAIEPSSLEDGIDRLKSFCSRHSKPKVHRSLET >ORUFI11G17880.1 pep chromosome:OR_W1943:11:20632709:20639250:-1 gene:ORUFI11G17880 transcript:ORUFI11G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRSPPLTGRRRGRGRVRRWTRPPKGSQCSSSPRSPRPGGGGVEGEQPPLAPGTEVEVRVDADGFHGSWFEAVVVGFVPARGPRTPARYAASYAHLVSDDGGVLVEHFAPSHIRPRPPPPPPHSDDHLRALSPHDIVEAFHKDGWWSGIVLGGGGGGGVVTVAFPITREVIDFPRSLVRPRRDYVGGEWVPSEAAIALQPKQAVRVYQVGDKVEVRRDREVYGHSWFHAKVAKVIDRMSYLVEYSDLEKGEGEAVAKAVEYLHWRFIRPSEERSPRDCDFRIGPGAAVEAYCDGAWSPGVVRSVVGEGEYEVSVNGKTKEVVVTKVRELLQPQYKWNGKNWRIVSAKRHLRQQSMSGKSPSSPVDVFSSDDEHRHDTESSARRRSIKRSRKEFNATQQPEGMLPEDSEDVSHSEMNTPLSELCKSSGSNHSPKSCSDPSGMKKIQVLSKKIVSNCLVPVKGILDASTGHRIPQNESREDGIGKTMVNQEIISDMMLTNGQANTSACGTSMNEGYAMLSTKKFGKQKMALSRRYNPVRKARGGLLSVQQLHTKKIMPSELKRGKMRLIHALQDMNDPSDNIQLKGNSTSPSREIICALSVSSQCNTPSPLGKQIKAFDFVSREADSGSNTKFLILKKFARKKGSKESDSPHNSLDATSTVQPIRRKKAAGRLKGSSVELEGETHIQQQLNKALEDNLNANEVTNQELLPLTPPGFESVVNGKRSRDWNTDGFSEVNLNSSLFDEELTATINSICQDNHNRDAESDNVATEVAEISHLMEKSMLPLDCSVGHEVGGKVGQESIQLHIGNSGSLPCTSDNAILRRCSFGGNSMVSDISKCQLTGQQAPFTKRSHVWSLFEEMDVFRKMPQQPHFLPLKHHPLGLREGTALGLMWSYTDAVDNISKLCITDSMEIFEDHIKTLTILEENGFSVQSLQQILTKLLQIRSDYTNSLRDGEKLKEQIADKAFAVSRVDALLDENDSAIAKLEQELGKLRWKGQKMSKKKEDEDAELSRLKAENNNAEEAHGDAKRQFDSIRAELRQHLAIDSHGCAQAIEIICSVLALPGANILRPRLG >ORUFI11G17880.2 pep chromosome:OR_W1943:11:20632709:20639250:-1 gene:ORUFI11G17880 transcript:ORUFI11G17880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRSPPLTGRRRGRGRVRRWTRPPKGSQCSSSPRSPRPGGGGVEGEQPPLAPGTEVEVRVDADGFHGSWFEAVVVGFVPARGPRTPARYAASYAHLVSDDGGVLVEHFAPSHIRPRPPPPPPHSDDHLRALSPHDIVEAFHKDGWWSGIVLGGGGGGGVVTVAFPITREVIDFPRSLVRPRRDYVGGEWVPSEAAIALQPKQAVRVYQVGDKVEVRRDREVYGHSWFHAKVAKVIDRMSYLVEYSDLEKGEGEAVAKAVEYLHWRFIRPSEERSPRDCDFRIGPGAAVEAYCDGAWSPGVVRSVVGEGEYEVSVNGKTKEVVVTKVRELLQPQYKWNGKNWRIVSAKRHLRQQSMSGKSPSSPVDVFSSDDEHRHDTESSARRRSIKRSRKEFNATQQPEGMLPEDSEDVSREADSVLFYQQDDASNLTTDVQSAVARKKGSKESDSPHNSLDATSTVQPIRRKKAAGRLKGSSVERQLEGETHIQQQLNKALEDNLNANEVTNQELLPLTPPGFESVVNGKRSRDWNTDGFSEVNLNSSLFDEELTATINSICQDNHNRDAESDNVATEVAEISHLMEKSMLPLDCSVGHEVGGKVGQESIQLHIGNSGSLPCTSDNAILRRCSFGGNSMVSDISKCQLTGQQAPFTKRSHVWSLFEEMDVFRKMPQQPHFLPLKHHPLGLREGTALGLMWSYTDAVDNISKLCITDSMEIFEDHIKTLTILEENGFSVQSLQQILTKLLQIRSDYTNSLRDGEKLKEQIADKAFAVSRVDALLDENDSAIAKLEQELGKLRWKGQKMSKKKEDEDAELSRLKAENNNAEEAHGDAKRQFDSIRAELRQHLAIDSHGCAQAIEIICSVLALPGANILRPRLG >ORUFI11G17880.3 pep chromosome:OR_W1943:11:20632709:20639250:-1 gene:ORUFI11G17880 transcript:ORUFI11G17880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRSPPLTGRRRGRGRVRRWTRPPKGSQCSSSPRSPRPGGGGVEGEQPPLAPGTEVEVRVDADGFHGSWFEAVVVGFVPARGPRTPARYAASYAHLVSDDGGVLVEHFAPSHIRPRPPPPPPHSDDHLRALSPHDIVEAFHKDGWWSGIVLGGGGGGGVVTVAFPITREVIDFPRSLVRPRRDYVGGEWVPSEAAIALQPKQAVRVYQVGDKVEVRRDREVYGHSWFHAKVAKVIDRMSYLVEYSDLEKGEGEAVAKAVEYLHWRFIRPSEERSPRDCDFRIGPGAAVEAYCDGAWSPGVVRSVVGEGEYEVSVNGKTKEVVVTKVRELLQPQYKWNGKNWRIVSAKRHLRQQSMSGKSPSSPVDVFSSDDEHRHDTESSARRRSIKRSRKEFNATQQPEGMLPEDSEDGSKESDSPHNSLDATSTVQPIRRKKAAGRLKGSSVERQLEGETHIQQQLNKALEDNLNANEVTNQELLPLTPPGFESVVNGKRSRDWNTDGFSEVNLNSSLFDEELTATINSICQDNHNRDAESDNVATEVAEISHLMEKSMLPLDCSVGHEVGGKVGQESIQLHIGNSGSLPCTSDNAILRRCSFGGNSMVSDISKCQLTGQQAPFTKRSHVWSLFEEMDVFRKMPQQPHFLPLKHHPLGLREGTALGLMWSYTDAVDNISKLCITDSMEIFEDHIKTLTILEENGFSVQSLQQILTKLLQIRSDYTNSLRDGEKLKEQIADKAFAVSRVDALLDENDSAIAKLEQELGKLRWKGQKMSKKKEDEDAELSRLKAENNNAEEAHGDAKRQFDSIRAELRQHLAIDSHGCAQAIEIICSVLALPGANILRPRLG >ORUFI11G17890.1 pep chromosome:OR_W1943:11:20641013:20644812:1 gene:ORUFI11G17890 transcript:ORUFI11G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLVEYSDLEKETVEYLHWQFIRPSEVRSPQDCDLRFGPGAAVEAYCDGAWSPGVVRRIVGEGEYEVSVNGKNNEIVVTKARELLQPQYKWNGKNWRIVSAKRRLSHQFVSGKNRRSPADEHSSDDEQKQDTESSAWTMSRKRSKKEFKATELPEVNLPEDSNAVSREGDSGSNTKYQQDDASNLTTVLQSAVATMKGFEESDSQHNSWDATSTVQPKRRKQAARRLKRYSLERQLKGETHIQQQLDKTLEDNLNANQVTYQELLPLTPPGFESIASGKRSRDWNTDGLSEINLHSSLFDDELAATISSICQDNHNGDAETDNMVTQVAEISHLMDKPMLPFDLSVGYELPGQQALFTKTKDTWSAFEMMEVFRKDPQEPHFLPLQQFPEAVRENMAIGLFWSYIDAGDAISKLRITDSTKIFEKHNTTLNYLVENGFNVQSLQCKLNKALQFKLDRTHSLAYREKLKEQVLEKQSSLSRIGASRDENDSAMAKLEMELGRHRWDGQMMSKKMEDEEAELSRLKAEDSNAQEACRDAEKQFRSVLVEEECINN >ORUFI11G17900.1 pep chromosome:OR_W1943:11:20659335:20659592:1 gene:ORUFI11G17900 transcript:ORUFI11G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGVYALYILLPYLEICILRSTAEFLLVCLEQRGPWGTRSTMGGSHIMVNYYTLLGHCFTFLGDDDDDDQWCCDDYEQHGEGRR >ORUFI11G17910.1 pep chromosome:OR_W1943:11:20664032:20664241:1 gene:ORUFI11G17910 transcript:ORUFI11G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNDDAELTVAEAKEEEEATHNGGGWLRAVVLGTSDGLISTVSLMLGIARRTPPTSVSSSCPG >ORUFI11G17920.1 pep chromosome:OR_W1943:11:20666933:20667926:-1 gene:ORUFI11G17920 transcript:ORUFI11G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSASPSGRRLSELLEEKQEPFYLDLHLLEKGCSPRLLDGYDTAAAAAVMRLTTTTTKKKKEAAARGAGKKTTKKPAAAAAAATGLLRVLLSKILHVKAASNRKPAALQSSESFSFKKVAAAAAAPSPCSTKHHPLAADEKEEEIEYTDSESDDEKQFSPVSVLDHPFDFESSPIHKRSPSRVAQPQGSPKNAMAFVRDLLEAAYSPALLTHLLSKTDDLINATADAAAAAAASDDDDDDDCCYHHESDGGELAPAAAYWEAHRAELTRVSAMVASEVPSSSRIGAADVRPERDGVGADLEAAVLDQLLHELAVELAGGR >ORUFI11G17930.1 pep chromosome:OR_W1943:11:20672139:20677074:-1 gene:ORUFI11G17930 transcript:ORUFI11G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISVAVRFRPPTTAAPAADQSPSSTGGDREWRVDDDTRITLLHRSAPVPGASFAFDHVFDGAATNERIYGVLVRSLIRAAVDGFNGTAFAYGQTSSGKTFTMNGSADHPGIIPLAVRDVFDTAREVSDREFLIRVSYMEIYNEEINDLLTLGSEKLPIHESLERGVYVSGLREEIVNSAEQVFKLLELGEANRHFGETNMNVRSSRSHTIFRMVIESSAKNHMDSGDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKHINKSLMILGNVINKLSENGKQRGHIPYRDSKLTRILQPALGGNAKTSIICTAAPEEIHVEETRGTLQFASRAKCVSNCAQVNEILTDAALLKRQKQEIEELRKKLQGSHSEVLEQVILKQRNDMHKSELERDRLAMELDEERRLRETLEHRLAEQQKMLDGISNTSISPDQFTDSIQFESLKTPTSKERPAEFVASRANYSKDVEFSPIPENLGTVADEDLWMQLNKGCVTDLEMLEMTPGFKCAPSLADDKASVATPDEEPIDARCQRLEKDCTADRQQLEDSKAWRAALEEERDTLKRENSSLLDALAKARQDADHLVADRLEALRELDMEKSRMDELKQEIKLFSQAFSLRQGQLTSLYTKSKAIVENCKTSQLALP >ORUFI11G17940.1 pep chromosome:OR_W1943:11:20679420:20690516:-1 gene:ORUFI11G17940 transcript:ORUFI11G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPESKLGDFIQGDDRAKWIADSNHNITKFTEDEIKRITDNYSTVIGKGGFGQVYKGVLDDNRVVAVKRYIFEDSMEDLAKEVIAHSQVNHKNVVRLVGYSIEQNNALMVVTEYVSKGSLHDILHQSDTPISLDTRLCIAIQCAEALGYMHSSMYTPIVHGDIKPSNILLDDNLDAKISDFGISRFLYGGKTRHTKNVKGSIDYMDPILFRDGTQSSKNDVYSFGAVLLELITRKRIKEEGKVSLITSFTEHDSEGKRMKDLFDANIASVSNMKIINQIGKLATKCLAMDMKKRPKMNIVAEHLRKLREYRNGGHDNTTLWRSFSVTQDLFEKYKQSTRNASYGSTKHPKKKKKKSFAIFKHNSGNSKLLEKLGAVRIFTKKELKKFTMDYSCLLLKDGLAEYHRGILEDNTLVTVKTPYDGDESLKNCFLMEMMILSHISHKNMVKLLGCCLEANIPILVHEYTAKGSLSDIVHHQPGYFSLPLRLKIASETSEALAHIHSSTVGGIVHGPLTPYDVLLDENFMPMVSCFLSSRSITKDKDHIVPVLRMTRCNDPVYMQTGIAKNESFVYSFGVILMVLIRGRMPKDHNFVSEFIQAYEAEDSGERMFHLSITGDQEDRMAILEEMGRMAVRCVSPEEDGRPTMAEVAERLELLRSQNFDSAVEDHDAHTYAWRKDIVGMDNGIRNFTEDEIETITRGYTTLIGKGGFGEVYRGVLHYDDLVAVKRYIRGDLIQEFMEEMTILPLGLSRLLSGGITRHTLNVKGSIDYMDPIYLQKGCLTPRNDVYSFGIVLLELITRKKNENERSRKNYRVVVLGGFSHLTMVAPRAAIHPTGSSRCRMSTSHWGNLARALGQWQCKLCIACL >ORUFI11G17950.1 pep chromosome:OR_W1943:11:20708913:20709215:-1 gene:ORUFI11G17950 transcript:ORUFI11G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLAPNALSSNTAPPHRATSPAPTTPPSAPTTPPTPRLLYRRATASDAGAVGAHHAAVQRPAASAPGTDHASLGTTGADHLSTVVETQSERMSITSWT >ORUFI11G17960.1 pep chromosome:OR_W1943:11:20730052:20733685:1 gene:ORUFI11G17960 transcript:ORUFI11G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLAGLHLAASPTFMKLLTDPSMCLGVDMKRELHELETTIMPQFELMIEAADKLNHRSKLDKWLGELKEALYNAEDLLDEHEYNVLKCKAKRGSDSSVAHVSSINNTLKKPLHAAFSRVSNLHPENRKLLRQLNELKGILAKAGEFCELLGLPGGNSAEVSSLPKTAGVAPATSLPPPKVFGRDMDRNRIVDFLIKKSAFEASYMVLAIVGQGGMGKSTLAQYVYNDDRVKEHFDVRMWVCISRKLDVHRHTREIIKSAEKGECPRVDNLDTLQCKLREILHEKEKFLLVLDDVWFDKSNNQSEWDLLLNPLLASQKGGSKVLVTSRSKTLPAALFCEEVIDLENMKDNDFLALFKHYAFSGAVIRDLQLHGKLEEIAERICKRLGKSPLAAKVVGSQLKGKIDSTAWKDALAIKIDNLRANGSSVMELPKVTSISAEGLVDSGNLNKSMEDTGRDYFNEMVSVSFFQPISEMHVSKQYSMHDLLHEFAESLSKEDCFRLEDDSEKVIPCTIRHLSVCIESVKLHKQSICKLHHLRTVICTEPLVDDGNDLFNQVLQNLKKLRALHLSFYNTSKLPESIGQLKHLRYLNIIKTLISELPRSLCTLYHLQLLQLNNKFESLPEKLCNLSKLRHLEGYNDLMYSMREEAVPQIPNIGKLTSLQHIDDFCVQKQKGYELWQLRDMNELGGSLSITNLENVTGKDEALESKLHEKSHVKTLKLVWSCNNMDAEDSFYLDILEGLMPPPKIEGLTINGYRPATYPSWLLKGSYFENLESLELVNCSSLESLPPNTKLLRHCDKLTLRNVPNLKTLTCLPGGLTCLSIEECPLLMFISNDEVEQHVQRENNMMRADHLASHLALIWDVDLGSDIMNALSDEHSSLKHLSTWMDADIMENLQTIESALERGDEKENIIQAWVCCHEHRIRLLYKRNVGLPLVPPSGLCRLHLSSCSITDGALSNCLGSLISLKRLSLINIMTLTTLPSDGVVQHSRKLEFLFIKYCWCLRSLGGLRAATSLFEARFISCPSLELAHGAESMPSSLQKLSIYSCVITADLFCTDLPNLEQLGLCSCRSSSSMSVGRLTSLKSFSLYHSPDLCVLEDLSSLQLHHVHLIDVPKLTGECISQFRVQYSLYVSSFVMLNHMLSAEGFKVPPFLSLENCKEPSIAFEESAAHFAMVRCLRFRD >ORUFI11G17970.1 pep chromosome:OR_W1943:11:20759308:20774522:-1 gene:ORUFI11G17970 transcript:ORUFI11G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIQGQSKTKRKVHDNNNVRIFTEDEIKRITKNYRTLIGKGGFGEVFSGDLDDDDGQVAVKRYIRGDLREEFMEEFRIHAQMSHKNIVKLIGYCIGENTLMMVTEFILNGSLEDVLCNREISIPLNTRLGIAVGCAEALSYMNLSSDSLVYHGDIKPGNILLDANLTAKVSDFGISKSLSGGLTRYTLHIMGCEDYVDPLYVRDGRLTPKSDVYSFGIVLLELIARKRVKQDGVNLIISFGQACANGKGLRELFDAEIVEECNMNVLEQIAKLAIECLTLDIEERPKINDVAQRLRTLQTHREGQESAARKSSSSRMLNALRKGYKQSTSMFSSTPTANHRRNAISEIKSEMAKQHNFRSFTKENLFEVMGRYKSPLGDKGSGIGRYNKGTLEDNMLVVVKSHLSDEDVFMIFYEASIVSQIVHEGIIKLLGYCFDADFPMLVYEYVDRGSLYDILNSAQDIPLGLRLKIAVKTAEALDHLHSSPFCVRHGDVRSTNILLDKNLMPKISGFTSSRRLTKGNLSFDNVEKYCDLMPKKIIRDDPSYIDPKFLQSDVLTTESDVYGFGIILLELISRKKLLYQDKKHRPVRLIPEFIKAYKTEGSGNAMFDKGITAKKDIAVLENIGRLALRCLSMEIRPTMKDLPMDEMLAAQIIACSMACCCQGCRRRELTHCRWNCSDPAGVTGSAPTCSRAAAGAEAEASPAGTVACCFPCWAASRSRARSTPDDARCTGPPRVARASSAPHLAQSACHLRSLVRRRHTAAAAIRLKIASKTSRALEHLHSSRIALRHGDHVIPSNILLDDGFMTKIDRLSTRFTEDNATRMSMVKGDRNYIDPYCRHTNCYSKSDVHRFGVALLELITTKQPVGDCPEKYGLVSEFARAYKMNKSGKWMFDEGIATEENIPVLEEIGELPTKDGFSISGGGRTLEEDQKVGRIILSKGKG >ORUFI11G17970.2 pep chromosome:OR_W1943:11:20740004:20759305:-1 gene:ORUFI11G17970 transcript:ORUFI11G17970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVHDNPNIRIFTEGDIERITNNYSTLIGKGGFGEIFRGVLDDEDDMVAVKRYIRGDLRDEFMEEVRIHAQVTHKNIVKVIGYCIGKKSLMMVTEFISNGNLEYALHNSGISIPLGTRLGIAIGCVEALSYMHSMHLSSGNLICHGDIKPANILLDGTLTAKVADFGLSKSLSGGITRYTENVKGSIDYMDPIYLSAGCVTRKSDIYSFGVVMLELISRKRVKEKGGINLIAAFNQAYANGKGFRGLLDTEIANECNMKILEGIGKLAVECVAIDANKRPNANDVEKRLLMLWAAQHGKEENIIRRLYRRSPPEIISSSSSNKIGNARIFREGELKKVTENYSSQLATGSFYNIYKGTLEDNTLIAVKKYFDRYEAGKEEFCSRVAMVIMSPVVHKNITKLLGICLEANPPTLVYEYATRNLSNILHCKEDFPLELRMKIASKTSRALEHLHSSRIALRHGDVMPSNILLDDGFVPKVTAFTLSTRFTEDNATRMSMVKGDGNYMDLYYRHTNLVLLKSDVYSFGVVLLELITRKQPAGDCPEKYGLVSEFARAYKMNKSGKAMFDEGIATEENIPVLEEIGKLALHCINLKLSKWPTMKEVAERLKKIRSEGNDEQRWAIDNNSKIRSFTEHDIERITSNYSTPIGKGGFGEVFKGFLDDDDYDDAVAVKRYIRSELREEFMEEVSIHSKIDHKNVVKLVGCSAGENTLTMVTEFISNRNLEDALHKSDISISLNTRLGIAIGEFINIFK >ORUFI11G17970.3 pep chromosome:OR_W1943:11:20737976:20759305:-1 gene:ORUFI11G17970 transcript:ORUFI11G17970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVHDNPNIRIFTEGDIERITNNYSTLIGKGGFGEIFRGVLDDEDDMVAVKRYIRGDLRDEFMEEVRIHAQVTHKNIVKVIGYCIGKKSLMMVTEFISNGNLEYALHNSGISIPLGTRLGIAIGCVEALSYMHSMHLSSGNLICHGDIKPANILLDGTLTAKVADFGLSKSLSGGITRYTENVKGSIDYMDPIYLSAGCVTRKSDIYSFGVVMLELISRKRVKEKGGINLIAAFNQAYANGKGFRGLLDTEIANECNMKILEGIGKLAVECVAIDANKRPNANDVEKRLLMLWAAQHGKEENIIRRLYRRSPPEIISSSSSNKIGNARIFREGELKKVTENYSSQLATGSFYNIYKGTLEDNTLIAVKKYFDRYEAGKEEFCSRVAMVIMSPVVHKNITKLLGICLEANPPTLVYEYATRNLSNILHCKEDFPLELRMKIASKTSRALEHLHSSRIALRHGDVMPSNILLDDGFVPKVTAFTLSTRFTEDNATRMSMVKGDGNYMDLYYRHTNLVLLKSDVYSFGVVLLELITRKQPAGDCPEKYGLVSEFARAYKMNKSGKAMFDEGIATEENIPVLEEIGKLALHCINLKLSKWPTMKEVAERLKKIRSEGNDEQRWAIDNNSKIRSFTEHDIERITSNYSTPIGKGGFGEVFKGFLDDDDYDDAVAVKRYIRSELREEFMEEVSIHSKIDHKNVVKLVGCSAGENTLTMVTEFISNRNLEDALHKSDISISLNTRLGIAIGLICHGDIKPANILLDANLTAKVSDFGISKSLSGGITRWTSNVKGSIAYMDPIYYREGRVTSKSDVYSFGAVLLELIARKSMKEGGISCEAFRQACAKGKGLRELLDIEIAEECNMNILEEIAKLATKCMIVDNIKKRPQMNDVAEHLRTWIFQVRNGGHEKTAWGLTSIIHDAVKKSAGIFSLSNPKKQNFGIFRSNDVRIFTKEDLSGITNNSSHLLGKSTFCNVYKGILDDNTLVAVKTYSECSCDENLRNSISTSITIMSKIAHRYIIKLLGHCSDADHLPILIYEYAAKGSLNDILYSKEDLPLELRLKIAVKTAEALEFLHSSASCVIRHGNIKPSNILLDSNLMPKVAGFTSSRRIAENNNDQVASMEFTHIHYMDPIHIQSGHFTVKNDVYSFGVVLFELISRKKPVYHCHDKNRRLIPEFIRAYETAKSGKAMFDEGIMAEEDIAVLEEIGRLAMECVSLEIDGRPTMKEVAERLKMIRRMKESSAMGAARC >ORUFI11G17970.4 pep chromosome:OR_W1943:11:20737976:20739958:-1 gene:ORUFI11G17970 transcript:ORUFI11G17970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELLEEKNIHVSQRDDKAKWIIDNYSNIRSFTEHDIEKITSNYSTLIGKGGFGEVFRGVLADEDDVVAVKRYIRGDLREEFMEEIRIHAQMSHKNIVKLIGCCIGKNRLMMVTEFISNGNLEDALHNSDIFIPLSTRLGIAIGCAEALSYMHSMHLSSSSLICHGDIKPANILLDANLTAKVSDFGISKSLSGGITRWTSNVKGSIAYMDPIYYREGRVTSKSDVYSFGAVLLELIARKSMKEGGISCEAFRQACAKGKGLRELLDIEIAEECNMNILEEIAKLATKCMIVDNIKKRPQMNDVAEHLRTWIFQVRNGGHEKTAWGLTSIIHDAVKKSAGIFSLSNPKKQNFGIFRSNDVRIFTKEDLSGITNNSSHLLGKSTFCNVYKGILDDNTLVAVKTYSECSCDENLRNSISTSITIMSKIAHRYIIKLLGHCSDADHLPILIYEYAAKGSLNDILYSKEDLPLELRLKIAVKTAEALEFLHSSASCVIRHGNIKPSNILLDSNLMPKVAGFTSSRRIAENNNDQVASMEFTHIHYMDPIHIQSGHFTTAKSGKAMFDEGIMAEEDIAVLEEIGRLAMECVSLEIDGRPTMKEVAERLKMIRRMKESSAMGAARC >ORUFI11G17980.1 pep chromosome:OR_W1943:11:20785136:20790196:1 gene:ORUFI11G17980 transcript:ORUFI11G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCRWDLDAGVDAGGARSGERGGTVWKGAAPGRRTVLHGAIERCKYGGGERGRVQLSLPIPCTRATAVTESAHESFRRRGQGLGYASLEYVDGEKAKAPLRRKRPRCCSGGEEKGIGYGGREERSRDCGGDGGPGEEGYGGREERSRDCGGDGGPGEETRGRREEMERGWTMTRIRMRGKEAKVERG >ORUFI11G17990.1 pep chromosome:OR_W1943:11:20791019:20791952:1 gene:ORUFI11G17990 transcript:ORUFI11G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRCTALLLLASLLLFFLCISATHEAARTASGQPIQEQEQEQHGKVEEETMAASFAAVEEQCGGEEGEEEECLMRRTLVAHTDYIYTQGNHN >ORUFI11G18000.1 pep chromosome:OR_W1943:11:20796521:20798213:1 gene:ORUFI11G18000 transcript:ORUFI11G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRYTALLVASLLLLHLCVAMQQLPRPRDRHQNNTLRWRIWWRRASRRWKSTAAAAPPEGKTKERSA >ORUFI11G18000.2 pep chromosome:OR_W1943:11:20797262:20798213:1 gene:ORUFI11G18000 transcript:ORUFI11G18000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRYTALLVASLLLLHLCVAMQQLPRPRDRHQNNTLRWRIWWRRASRRWKSTAAAAPPEGKTKERSA >ORUFI11G18010.1 pep chromosome:OR_W1943:11:20800183:20804367:-1 gene:ORUFI11G18010 transcript:ORUFI11G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLARSIANSLLAPEEPDDGEDLPPSAASASASASASPPRGVREDLSELTDALANRFHGLASFLAPPPHAGGGRGIPRAPDPAEIAGRFRAGLARLPGRQAVADLAKIASSLLPPEAAEAEAAGFTEEAVAFARDAATRPELWLDFPLLPDDDDDFDMTDAQQDHALAVESVAPELADLRIELCPSHMSEGCFWKIYFVLLHPKLSKDDADLLSTPQQEKEEKEMRVMEDEEFLRLCPPTHPRHNDDDDDALPATCLLDLLTYIADCRNATTATCRLSCGMEVQVTICAAPPPLVSHVCVYCPGYDHTVFTCVPKVVATQGDVLVLCIALGPRGTIYRPSRSNYYLYQVQAGWPSLKLLPHPGPCFDPNDPYAPLFDDHSVGIIRYQPHIDHPALYVIAALTNGSVPGRYDLHLLHSNAESWISKRGLPRPHSMSRGDHSFAKVITVGGEAGTIGWVDLWKGILFCDVLKDNPVFLYVSLPPPLMATRKLRGCPRNTRDVSVIKGLIRYVELQIHIKPGSFTRGNYISNGWTVATWSRISSNPFEDWHQNCKLDASQVSFENNPVHYEKLPELLDDQGIPQLTMVRLHTGHPVLSMHDHDIVYLMTKVNYLDDKAWVLAIDMRNSTLQGVAEFNAERVIALRYAFTQSGISEYLNMLPAPELGGAAFLATWNCLRCILVYARSGRVHLNLRWSSEEVDLAIRSRWGLLILEARKKLSRNLQSESKPDSNEDMVAASSSNIDGNVPSPVEVVGILKNEDDSARATSFSNVNYGAPQPVILEMQSDDTLNDSGGLRADDITSSVPVQLVPVLKDATEFSQARMEERIQDFTAQDTVANEEPGQLSGIKLEDNSEERQKQPSTTNLSEQSRVAIQKNSNDDDDDDEDEWLEEEETGGAGNTMIPIADDEDVSFSDLEEDDATA >ORUFI11G18020.1 pep chromosome:OR_W1943:11:20806697:20808751:1 gene:ORUFI11G18020 transcript:ORUFI11G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSRSHAMAAFAFVVVFLLSGAPGARSQQPYGSQVADCPNKHNDTGLLGYFCSGGGGGGGAPSSSSSSCQTYLTFHATPRYPDLAAIASLLGADASSLAAANSAASPTAALAPGAKVLVPATCSCTGAAYYQRNATYVAVAGDTLLVIANDTFQGLSTCQAVQEQALGDAPARSLLAGQRVTVPLRCACPSAAQAAAGVRYLVTYLVDEFDEVGAIAARFGVDAGNISAANEMAITDTIYPFTTLLIPVKSKPDVSQLRSPPPPPPPPPAAPAPTTNRKNHTGVYVGIGAAAVAVLAVVTAVVAALAVRARRRRRRATAAVAAAGGKGGKGNDKASPAFTGGEVSVSISEAFSGLSDIKSSLKVFTYAELAAATDGFSPDRRVGGSVYRAVFNGDAAAVEVVDRDVSAEVEIMRKINHLNLVRLIGLCHHRGRWYLVSEYAEHGTLRDRLLAGGGAPPLSWSQRVQVALDVAEGLRYLHGYTRPPYVHMDVSSDSVLLAGGADLRGKLRNFGGARVIRGGGGEAFTMTSNIAGTRGYTAPEYLEHGVVSPKADVYSLGVVLLELVTGKGVDELEADGAGDPFAGMNALAGDLDGGSEDDAAVTRRMEEFLDPAMAATGSSCPREAVAMMVKLIERCVRRDAAARPGMGEVAQHLLMLHGVSGDGWHSSLEHYRSSGGDGGEQP >ORUFI11G18030.1 pep chromosome:OR_W1943:11:20811041:20814751:1 gene:ORUFI11G18030 transcript:ORUFI11G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVAPRTASAYLDPSYWFGSPFFFFLLFSARGSPARQRMGLADVMAGLCARAQGRAVLEVGCGNSRLGEELLREGVAGGITCVDLSPVAVQRMRDRLAEQGTEGVEVVVADMLDLPFDRESFDLVIEKGTMDVLFVDSGDPWNPNPTTVDNVMKMLEGIHKVLKPEGIFVSITFGQPHFRRRFFEAPGFTWSVEWSTFGDGFHYFFYILKKGKRLLDSNGNQHTQPAAPSINMFHEELESEDYIFRTNVDEL >ORUFI11G18030.2 pep chromosome:OR_W1943:11:20811041:20813872:1 gene:ORUFI11G18030 transcript:ORUFI11G18030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVAPRTASAYLDPSYWFGSPFFFFLLFSARGSPARQRMGLADVMAGLCARAQGRAVLEVGCGNSRLGEELLREGVAGGITCVDLSPVAVQRMRDRLAEQGTEGVEVVVADMLDLPFDRESFDLVIEKGTMDVLFVDSGDPWNPNPTTVDNVMKMLEGIHKVLKPEGIFVSITFGQPHFRRRFFEAPGFTWSVEWSTFGDGFHYFFYILKKGKRLLDSNGNQHTQPAAPSINMFHEELESEDYIFRTNVDEL >ORUFI11G18040.1 pep chromosome:OR_W1943:11:20814487:20814825:-1 gene:ORUFI11G18040 transcript:ORUFI11G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRMAVAIMVQLLATAAAAAAKSSEPALTGSSISAADDDLASKVGIRRLLQDGGDCIPNYNVCCSNCGWPFERTNCCDPDNYVCQYWPEMDPAHGQDWCIPRNYWSTSSP >ORUFI11G18050.1 pep chromosome:OR_W1943:11:20819586:20821790:1 gene:ORUFI11G18050 transcript:ORUFI11G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAYLDADENLEAIISRIEQKSCKIETLLKQSKPVEALKTALEGTPLKTRDERCKSANWIVVHRAMMAIRDVDGMFNSLDPEYYDILMKYLYRGLSTGDRPTCDQCLKIHEKLTEKAGLGCILRSLADTVNTV >ORUFI11G18060.1 pep chromosome:OR_W1943:11:20825593:20827368:-1 gene:ORUFI11G18060 transcript:ORUFI11G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSKSCRLRWTNYLRPGIKRGNFTPHEEGIIIHLQALLGNNNEATTIPKLFQFQTWMKPSPATTSSAATAAAGSCYKQAMAMQELQEEQEGSAAAAAMASSIDGVSKDQDYHMCAVISGDDKSSSSEMMTAAAMAGHGEAATTTFSLLENWLLDDMPGQAAMSAAMDGFLEISAGYCCADPIMF >ORUFI11G18070.1 pep chromosome:OR_W1943:11:20833871:20838661:1 gene:ORUFI11G18070 transcript:ORUFI11G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETYTVKVGEATPAAGGKPSAGPVYRSIYSKDGLMKLPEDILSPWDFFSGAVKQYPKNKMLGQRKVSDGKAGDYVWLTYEEVYQKVIKIGSAIRSLGVKPGGHCGIYGSNCPEWVMAMQACNSQGICYVPLYDTLGANAVEFIMDHAEISIAFVQESKIQSVLSVVKRCRAHIKAIVSFGDVTSELKREAEQLGVSCFSWEEFSSMGKQNYELPKKQKDDICTIMYTSGTTGDPKGVIITNRALIAGVMTTEHLLKVTDKVVAEDDSYFSYLPLAHIFDQVIGNYCISKGASIGFWQADIRYLMEDVQMMKPTVFCGVPRVYDRIYTGINQKIQSGGMIAKSLFQYAYNYSRITKSVTLSSLISKLGNLRKGLKQDEASPFFDKIVFSKIKEGLGGRIRLLLSGAAPLPRHVEEFMRVTSCSVLVQGYGLTESCSGCFTSIANVFSMIGSVGPPVTTIEARLESIPEMGYDALSNVPRGEICLRGHTLFSGYYKRPDLTEEVFSDGWFHTGDIGEWQPDGTMKIIDRKKNIFKLSQGEYVAVEVLESAYVQSPLVTSVWVYGNSFESFLVAVVVPEKQAIEDWAAQNNKTGNFAELCNDPKARMYIQDELNKTGKRLGLRGFEMLKAIHLETTPFSIEKDLVTPTFKLKRPQLLKYYKDCIDQLYKDAKVGNKQ >ORUFI11G18070.2 pep chromosome:OR_W1943:11:20833871:20838661:1 gene:ORUFI11G18070 transcript:ORUFI11G18070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETYTVKVGEATPAAGGKPSAGPVYRSIYSKDGLMKLPEDILSPWDFFSGAVKQYPKNKMLGQRKVSDGKAGDYVWLTYEEVYQKVIKIGSAIRSLGVKPGGHCGIYGSNCPEWVMAMQACNSQGICYVPLYDTLGANAVEFIMDHAEISIAFVQESKIQSVLSVVKRCRAHIKAIVSFGDVTSELKREAEQLGVSCFSWEEFSSMGKQNYELPKKQKDDICTIMYTSGTTGDPKGVIITNRALIAGVMTTEHLLKVTDKVVAEDDSYFSYLPLAHIFDQVIGNYCISKGASIGFWQADIRYLMEDVQMMKPTVFCGVPRVYDRIYTGINQKIQSGGMIAKSLFQYAYNYKLGNLRKGLKQDEASPFFDKIVFSKIKEGLGGRIRLLLSGAAPLPRHVEEFMRVTSCSVLVQGYGLTESCSGCFTSIANVFSMIGSVGPPVTTIEARLESIPEMGYDALSNVPRGEICLRGHTLFSGYYKRPDLTEEVFSDGWFHTGDIGEWQPDGTMKIIDRKKNIFKLSQGEYVAVEVLESAYVQSPLVTSVWVYGNSFESFLVAVVVPEKQAIEDWAAQNNKTGNFAELCNDPKARMYIQDELNKTGKRLGLRGFEMLKAIHLETTPFSIEKDLVTPTFKLKRPQLLKYYKDCIDQLYKDAKVGNKQ >ORUFI11G18080.1 pep chromosome:OR_W1943:11:20839005:20842085:-1 gene:ORUFI11G18080 transcript:ORUFI11G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRLAATFLLAAAATLSLACVPEERDALLAFRDGVTGDPAGRLATWRRRGGGGDCCRWRGVRCSNRTNGHVVALRLRNDAAAAAGGGGAEHDDRGYYAGGAALVGAISPALLSLRRLRHLDLSRNYLQGSPPGPPPAFLGGLASLRYLNLSGIYFSGEVPPHLGNLSSLRYLDLSTDFSPQLARSSELSWLARMPSLRHLSLSSVDLSSARDWPLAIAMLPSLTALHLSSCSLPSSSTQQWRRLLPRNLTNLKLLDLSMNHLDHRAELAWIWNITSLTDLNLMGTHLHGQIPDELDAMASLQVLDLSYNGNRATMPRSLRGLCNLRVLDLDSALDGGDIGELMQRLPQQCSSSNMLQELYLPNNGMTRTLPDYDKLMHLTGLRVLDLSYNNLTGPIPRSMGNLSGLDILDLSFNNLTGLIPAGEGCFAGLSTLVLSENFLTGQIPEEIGYLGSLTTLDLYGNHLSGHVPSEIGKLANLTYLDISRNDLDGVITEEHFARLARLTTIDLSLNPLKIEVGSEWKPPFSLEKVNFSHCAMGPLFPAWLQWQVDFSCLDISSTGINDTLPDWLSTAFPKMAVLDISENSIYGGLPANLEAMSIQELYLSSNQLTGHIPKLPRNITILDISINSLSGPLPKIQSPKLLSLILFSNHITGTIPESICESQDLFILDLANNLLVGELPRCDSMGTMRYLLLSNNSLSGEFPQFVQSCTSLGFLDLGWNSFSGTLPMWIGDLVQLQFLQLSYNMFSGNIPNILTKLKLLHHLNLAGNNISGTIPRGLSNLTAMTQTKGIVHSFPYQGYASVVGEPGNSLSVVTKGQELNYGVGILDMVSIDLSLNDLTGIIPEEMISLDALLNLNLSWNRLSGKIPEKIGIIRSLESLDLSRNMLSGEIPSSLSNLTYLSFLDLADNNLTGRIPSGSQLDTLYEEHPYMYGGNSGLCGPPLRENCSANDASKLDGQEIAERDFDPMSFGFGHCLGFVFGLWVVFCVLLFKKSWRLCYFCFIDRIYDQIYVFLVLTCKRFGRG >ORUFI11G18090.1 pep chromosome:OR_W1943:11:20844979:20849506:1 gene:ORUFI11G18090 transcript:ORUFI11G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFGYSPQLVFLLICIRNSWLYRKVLSPDLWFTQNELVCHDVEYFTRKAIRTFIIDIEHVGDRTYHTLMRSIHEYNLQNSPFTCLNAAVTPAGGYHMILLYKGQKSVRLLFRDSDGYLVAVEDDLAEMKMESGYGDMETSEKPVTVGPKALNDLFYRLLKYDNNATLGSQTLELQMKALYRACLMFGEGPRIRHVRRFVSKRFKVFMDLEFPSDLWKLVHNWGHVSKYIIFCYDNNMLEAPQVDNAEYMSHMRIMSFIEIQGQRTIYTPTDAAGPGGALLLVKAN >ORUFI11G18090.2 pep chromosome:OR_W1943:11:20844979:20849664:1 gene:ORUFI11G18090 transcript:ORUFI11G18090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFGYSPQLVFLLICIRNSWLYRKVLSPDLWFTQNELAIRTFIIDIEHVGDRTYHTLMRSIHEYNLQNSPFTCLNAAVTPAGGYHMILLYKGQKSVRLLFRDSDGYLVAVEDDLAEMKMESGYGDMETSEKFVSKRFKVFMDLEFPSDLWKLVHNWGHVSKPKKANRVY >ORUFI11G18090.3 pep chromosome:OR_W1943:11:20845002:20849506:1 gene:ORUFI11G18090 transcript:ORUFI11G18090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPALAPDAAALQLQVLHPLVLGSECRAYAYMHMHMLEGVGPLGAWIRMWFGYSPQLVFLLICIRNSWLYRKVLSPDLWFTQNELVCHDVEYFTRKAIRTFIIDIEHVGDRTYHTLMRSIHEYNLQNSPFTCLNAAVTPAGGYHMILLYKGQKSVRLLFRDSDGYLVAVEDDLAEMKMESGYGDMETSEKPVTVGPKALNDLFYRLLKYDNNATLGSQTLELQMKALYRACLMFGEGPRIRHVRRFVSKRFKVFMDLEFPSDLWKLVHNWGHVSKYIIFCYDNNMLEAPQVDNAEYMSHMRIMSFIEIQGQRTIYTPTDAAGPGGALLLVKAN >ORUFI11G18090.4 pep chromosome:OR_W1943:11:20845002:20849506:1 gene:ORUFI11G18090 transcript:ORUFI11G18090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPALAPDAAALQLQVLSPDLWFTQNELVCHDVEYFTRKAIRTFIIDIEHVGDRTYHTLMRSIHEYNLQNSPFTCLNAAVTPAGGYHMILLYKGQKSVRLLFRDSDGYLVAVEDDLAEMKMESGYGDMETSEKPVTVGPKALNDLFYRLLKYDNNATLGSQTLELQMKALYRACLMFGEGPRIRHVRRFVSKRFKVFMDLEFPSDLWKLVHNWGHVSKYIIFCYDNNMLEAPQVDNAEYMSHMRIMSFIEIQGQRTIYTPTDAAGPGGALLLVKAN >ORUFI11G18090.5 pep chromosome:OR_W1943:11:20844998:20849506:1 gene:ORUFI11G18090 transcript:ORUFI11G18090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPALAPDAAALQLQVLHPLVLGSECRAYAYMHMHMLEGVGPLGAWIRMWFGYSPQLVFLLICIRNSWLYRKVLSPDLWFTQNELVCHDVEYFTRKAIRTFIIDIEHVGDRTYHTLMRSIHEYNLQNSPFTCLNAAVTPAGGYHMILLYKGQKSVRLLFRDSDGYLVAVEDDLAEMKMESGYGDMETSEKPVTVGPKALNDLFYRLLKYDNNATLGSQTLELQMKALYRACLMFGEGPRIRHVRRFVSKRFKVFMDLEFPSDLWKLVHNWGHVSKYIIFCYDNNMLEAPQVDNAEYMSHMRIMSFIEIQGQRTIYTPTDAAGPGGALLLVKAN >ORUFI11G18090.6 pep chromosome:OR_W1943:11:20844979:20849506:1 gene:ORUFI11G18090 transcript:ORUFI11G18090.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWFGYSPQLVFLLICIRNSWLYRKVLSPDLWFTQNELAIRTFIIDIEHVGDRTYHTLMRSIHEYNLQNSPFTCLNAAVTPAGGYHMILLYKGQKSVRLLFRDSDGYLVAVEDDLAEMKMESGYGDMETSEKFVSKRFKVFMDLEFPSDLWKLVHNWGHVSKYIIFCYDNNMLEAPQVDNAEYMSHMRIMSFIEIQGQRTIYTPTDAAGPGGALLLVKAN >ORUFI11G18090.7 pep chromosome:OR_W1943:11:20845002:20849506:1 gene:ORUFI11G18090 transcript:ORUFI11G18090.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPALAPDAAALQLQVLSPDLWFTQNELAIRTFIIDIEHVGDRTYHTLMRSIHEYNLQNSPFTCLNAAVTPAGGYHMILLYKGQKSVRLLFRDSDGYLVAVEDDLAEMKMESGYGDMETSEKFVSKRFKVFMDLEFPSDLWKLVHNWGHVSKYIIFCYDNNMLEAPQVDNAEYMSHMRIMSFIEIQGQRTIYTPTDAAGPGGALLLVKAN >ORUFI11G18100.1 pep chromosome:OR_W1943:11:20851182:20853082:-1 gene:ORUFI11G18100 transcript:ORUFI11G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETSVSEAAAILDVAVAGDGEAKRQLVVVDDDDDDSEPNPYEYRVFFEWLWDYYGCKIDDVTRGPTFHTPGARISPCVEVYSCRVIDLTGGLEWPIDVFGFVAARDGLDRKRNYIFNRPRDDAQTFTTEDPSFVLTGPIRAINCSQRIEFEVDLKVRGKTQSDRDKVLSARYIVYETMGPNCMVGQVRSKARPVKRCSVEVTFANLAGAVEAAIEVRVVQGSSGFCGRIVARTDGYDDDVVLADSSNDGSVLAVADDGVIKLARSVAVVESTGVLKIHAIIARSDSSGGYDGVGVAAEDHAEFAAQRFESSCRTLDLGFCKMLATVSWSMIPLI >ORUFI11G18110.1 pep chromosome:OR_W1943:11:20855399:20857033:1 gene:ORUFI11G18110 transcript:ORUFI11G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSPHGSETTMTSAGGEASGAATSPAMSSSFRLRNNRADDLSDDTFRPMEVCTCQDVSLVEKTNSMRVSKHPSVLDLEPTPLCRY >ORUFI11G18120.1 pep chromosome:OR_W1943:11:20859401:20863191:1 gene:ORUFI11G18120 transcript:ORUFI11G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRHPTIAAAAASAASFLLLMIAADGQAATTTPPSPPPAAIGNYCKPRERDALLAFKEGVTDDPAGLLASWRRGGGQLQEDCCQWRGVRCSNRTGHVVKLRLRNDHAGTALAGEIGQSLISLEHLRYLDLSMNNLAGSTGHVPEFLGSFRSLRYLNLSGIVFSGMVPPQLGNLSNLRYLDLSRIRLSGMVPFLYINDGSWLAHLSNLQYLKLDGVNLSTVVDWPHVLNMIPSLKIVSLSSCSLQSANQSLPELSFKELEMLDLSNNDFNHPAESSWIWNLTSLKHLNLSSTSLYGDIPQALGNMLSLQVLDFSFDDHKDSMGMSVSKNGKMGTMKANLKNLCNLEVLDLDCRLEYGNIMDIFQSLPQCSPSKLKEVHLAGNSLTGMLPNWIGRLTSLVTLDLFNNSITGQVPSEIGMLTNLRNLYLHFNNMSGTITEKHFAHLTSLKSIYLCYNHLKIVMDPQWLPPFKLEKAYFASITMGPSFPRWLQSQVDIVALAMNDAGINDTFPDWFSTTFSKAKLLEFPGNQISGGLPTNMENMSLEKLYLKSNQIAGLIPRMPRNLTTLDLSNNSLSGPLPLNIGSPKLAELNLLSNRITGNVPQSICELQNLHGLDLSNNLLDGEFPQCSGMSMMSFFRLSNNSFSGNFPSFLQGIPSGTQLGTLYDQNHHLYDGNDGLCGPPLQKSCYKSDASEQGHLMRSKQGFDIGPFSIGVVMGFMAGLWIVFYALLFRKSWRVAYFCLLDKVYDEFLQNLPSKFGAPNLKVLIMCHNHITGQVPGSVCKLKNIKIFDLAYNFLEGELTNCFRMPNLYFLQLSHSRFSGEFPLRLPAIRSLTFLDLAFNNFHPRNFHGALPVWIGDMESLRYLQLSHNFSYGDIPLNITNIDSLQYLNNLAGNNISGSIPWPL >ORUFI11G18130.1 pep chromosome:OR_W1943:11:20863204:20864561:1 gene:ORUFI11G18130 transcript:ORUFI11G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKLSARIEVDPYDALTEKYLTMEILSLVTKHQELMYGAAGSSRVGHQLDTLYPNNPSMYDGNGGLCGPPLQRNCSAPKLGSQNKSVNDSEPTMLFCFGIVTGFLIGLWVVFCAVMFIRSWRVAYFRQFDKFYDKTYVFAVVTWARLKRQATAN >ORUFI11G18140.1 pep chromosome:OR_W1943:11:20875436:20884204:1 gene:ORUFI11G18140 transcript:ORUFI11G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRARGTRCWRSRKASPTTPQASSPHGDDDDLEEATSCRTAADGECSDQTAGHVIKLDLRNAFQDDHHHDATLVGEIGQSLISLEHLEYLDLSMNNLEGPTGRLPEFLGSFKSLRYLNLSGIRFSGMVPPHIGNLSNLQILDLSISTVHQDDIYYLPFLYSGDASWLARLSSLQYLNLNGVNLSAALDWPNALNMVPSLKVLSLSSCSLQSARQSLPLLNVTQLEALDLSENEFNHPTESSWIWNLTSLKYLNLSSTGLYGEIPNALGKMHSLQVLDFSFDEGYSMGMSITKKGNMCTMKADLKNLCNLQVLFLDYRLASGDIAEIFDSLPQCSPNQQLKEVHLAGNHITGMIPNGIGRLTSLVTLDLFNNNITGKVPSEIGMLTNLKNLYLHNNHLDGVITEKHFARLINLKSIYLCYNSLKIVVDPEWLPPFRVEKAYFSSCWMGPKFPAWLQSQVYIVELIMNDAGIDDTFPDWFSTTFSKATFLEISNNQIGGELPTDMENMSVKRLNLDSNQIAGQIPRMPRNLTLLDISNNHITGHVPQSFCELRNIEGIDLSDNLLKGDFPQCSGMRKMSILRISNNSFSGNFPSFLQGWTNLSFLDLSWNKFSGSLPTWIGNFSNLEFLRLKHNMFSGNIPVSITKLGRLSHLDLACNCLSGTIPQYLSNLTSMMRKHYTRKNEERLSGCDYKSSVSMKGQELLYNEKIVPVVTIDLSSNLLIGAIPEDLVSLVGLINLNLSRNYLSGKIPYRIGDMQSLESLDISKNKLYGEIPVGLSNLTYLSYLNLSYNNLTGRVPSGSQLDTLNDQHPYDGNDGLCGPPLENSCSSSSASKQRHLIRSKQSLGMGPFSLGVVLGFIAGLWVVFCTLLFKKSWRVAYFCLLDNIDDDGGGDAAGDELALLSFKSSLLYQGGQSLASWNTSGHGQHCTWVGVVCGRRHPHRVVKLRLRSSNLAGIISPSLGNLSFLRTLQLSDNHLSGKIPQELSRLIRLQQLVLNFNSLSGEIPAALGNLTSLSVLELTNNTLSGAIPSSLGKLTGLTDLALAENTLSGSIPSSFGQLRRLSFLSLAFNNLSGAIPDPIWNISSLTIFEVISNKLSGTLPTNAFSNLPSLQEVYMYYNQFHGRIPASIGNASNISIFTIGLNSFSGVVPPEIGRMRNLQRLELPETLLEAKETNDWKFMTALTNCSNLQEVELGGCKFGGVLPDSVSNLSSSLVSLSIRDNKISGSLPRDIGNLVNLQYLSLANNSLTGSLPSSFSKLKNLRRLTVDNNKLIGSLPFTIGNLTQLTNMEVQFNAFGGTIPSTLGNLTKLFQINLGHNNFIGQIPIEIFSIPALSEILDVSHNNLEGSIPKEIGKLKNIVEFHADSNKLSGEIPSTIGECQLLQHLFLQNNFLNGSIPIALTQLKGLDTLDLSGNNLSGQIPMSLGDMPLLHSLNLSFNSFHGEVPTNGVFANASEIYIQGNAHICGGIPELHLPTCSLKSRKKKKHQILLLVVVICLVSTLAVFSLLYMLLTCHKRRKKEVPATTSMQGHPMITYKQLVKATDGFSSSHLLGSGSFGSVYKGEFDSQDGEITSLVAVKVLKLETPKALKSFTSECETLRNTRHRNLVKIVTICSSIDNRGNDFKAIVYDFMPNGSLEDWLHPETNDQAEQRHLTLHQRVTILLDVACALDHLHFHGPEPIVHCDIKSSNVFVRC >ORUFI11G18140.2 pep chromosome:OR_W1943:11:20882696:20885214:1 gene:ORUFI11G18140 transcript:ORUFI11G18140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQFNAFGGTIPSTLGNLTKLFQINLGHNNFIGQIPIEIFSIPALSEILDVSHNNLEGSIPKEIGKLKNIVEFHADSNKLSGEIPSTIGECQLLQHLFLQNNFLNGSIPIALTQLKGLDTLDLSGNNLSGQIPMSLGDMPLLHSLNLSFNSFHGEVPTNGVFANASEIYIQGNAHICGGIPELHLPTCSLKSRKKKKHQILLLVVVICLVSTLAVFSLLYMLLTCHKRRKKEVPATTSMQGHPMITYKQLVKATDGFSSSHLLGSGSFGSVYKGEFDSQDGEITSLVAVKVLKLETPKALKSFTSECETLRNTRHRNLVKIVTICSSIDNRGNDFKAIVYDFMPNGSLEDWLHPETNDQAEQRHLTLHQRVTILLDVACALDHLHFHGPEPIVHCDIKSSNVFVRC >ORUFI11G18150.1 pep chromosome:OR_W1943:11:20881106:20881360:-1 gene:ORUFI11G18150 transcript:ORUFI11G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNQGHVGPRLAPLLRRPPEIRENRISLRARGTRPKQASDGGRPAPEELREVAAPWAPVTHLVTELRSPKGYPESGRGAPEAT >ORUFI11G18160.1 pep chromosome:OR_W1943:11:20914568:20916871:-1 gene:ORUFI11G18160 transcript:ORUFI11G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTAMFLSLAITTTTTTVLLLLTPAAATALQVMMTQLRRPSGSGGCFPGEMDALLEFKEGIADDTTGLLASWRPEDGQDCCRWTGVRCSDRTGHIVKLNLGSRESINPFAMRLFGEISHSLLSLHHLQHLDLSHNSLEGPTGDMPEFLGSLKSLRYLNLSGIPFHGLVPPHLGNLSNLRVLDLSYTANSYSPDISWVTRLRRLRYLNMGDVNLSMADYRTSASRFARTYTRRNVYSVLRRRQRAGTPSRNVPEVWGATSRSSPKHAAELIGKFDKEKSDLVRSIGFGGLLELPQINGIDRRFTRWLLSRVNCDSRTLRVGNNLDVELSPRNVHRVLGIPFEGMEVCPMPDNSKDEKDSFVQHYIGAPGFEASALKGAEEVIRRTFPDGMNSWFRTAFVVWIVGTFLAPKTSHKALLRKSKYVRRQQWSLWASSSEKLFQRRTSLLFKKAWRVAFFDLCDKLYDKTYVLVAVSWARFTRKAAAN >ORUFI11G18170.1 pep chromosome:OR_W1943:11:20933054:20935873:-1 gene:ORUFI11G18170 transcript:ORUFI11G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVILLVVKKIGVALGNEAINQATSYFQKYVTQLTELQGSMGRIKRELRLMHEFLSRMDIRNRNNKIYEIWVEDVRMLAHQIEDIVDDYLHLVSHKDKHDDTGWTTYLKKGFKRMKGPNALLSLNRIAPSVKEAEANLVHLFQAKERWVRMVADETSGESSCYIVEASRHLASISCSLSEEDLVGVDENRKRLREWLAGDELEREVIVLHGMGGLGKTTLAANVYRNEREKFECHAWVSISQTYSIKNILKCLITELFRNAKQNPPVNLGDMKAEGLQDELKAFLRDRKYLVILDDVWAPEAISNLFGALVSNLRGSRVLVTTRIDEVTHLAFPNKRIRLEPLSQNDSWELFYKAAFPREKKLECPTEVTQLAYQIASKCKGVPLAIVSVGRLLFVRDKTEEEFRRIHNQLDWELINNPSMEHVRNILYLSYIYLPTQLKSCFLYCSLFPDDYLFTRKKLVRWWIAEGFVEKRGGSTMEEVAEGYLKELVHMNMLQLVERNSFGRIKAFRMHDIVHELAVDLCRRECFGVSYSCENKRFEFLEENDERRMVIHRLDKDINQVISSECRLRSFIALDKAMPSSTLLPLLSEKCRYMSVLELSGLPIDNVPDAIGDLFNLRHLGLRDSNVKLLPNSIEKLSNLLTLDLCTSEIHELPRGIIKLKKLRHLFAEKANDRSGRQLRCRTGVCIPSGLENLRELQTLQALQAQDEPLSWLGELRQMRSIKIWDVKGSCCECLCASLRHMEFLSYLSIAASDENDILNLSSLNPLPPNLEKLRLRGRLAQANMLLGAAGGQNHLYSIHLSWSQLVDDPLPSLSRWSNLTDLLLNRAYIGDELVFHHGWFPALKELYIGDMPRLKRLEIQQGSMASLQQLYLVNLSSMMEVPLGIEFLMSTLKSLGFAEITRQFLAALRQCSRINCGIQWWYTLLGEDRTQEASI >ORUFI11G18180.1 pep chromosome:OR_W1943:11:20941189:20941588:-1 gene:ORUFI11G18180 transcript:ORUFI11G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQISNLCSFCGGDRRGLTVAIVAASPLLPFALPSFKRLFVFLVQFSIMCILLSLLTMFGGLPS >ORUFI11G18190.1 pep chromosome:OR_W1943:11:20953085:20953713:1 gene:ORUFI11G18190 transcript:ORUFI11G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSDPISIIPTQPYPFALNPISLGAGEQRAAAAAAPHGRREAQELAAAGREGRRAARELAAADRGRRRAARVEDGAGARQQTSKNFEKVESNE >ORUFI11G18200.1 pep chromosome:OR_W1943:11:20954028:20956531:1 gene:ORUFI11G18200 transcript:ORUFI11G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETCVSVSPAEVAEAAAILDVAGDGDGEAKGQIVVVDDDSDSEPNPYEYRVFFEWLWDDYCKIDDVSGLEWPINVFGFVAVRDGLDRKRNYIFNRPRGDAQTLTTEDPSFILTGPIRAINCSQRIEFEIDLKVRGKTQSDKDKVLSARYIVYETMGPNSIVGQVRSKARPGKRCSVEVTFAHLAGRWRRRSSKDGSVLAVADDGVIKLARSVAVVESTGVLNLHAIITRNDGSSSGDDGVGVAAEDHAEFAAQRFESSCRTLDLGFCKMLATVSWSMIPLI >ORUFI11G18210.1 pep chromosome:OR_W1943:11:20961584:20969461:1 gene:ORUFI11G18210 transcript:ORUFI11G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMSLSKLLLSSNNITGQIPLLPRELYYLDISRNFLSGPLPLNLGAPRIECLILRSNNFTGQIPVSFCEFDEFKNGLSGKFPPSLQSCTSITFLDLSWNRLSRKLPMWISNLVRLRFLKLSYNKFDGHIPTNITDLSQLYHLNLAANSLSGVIPWQLSNLEAMTKRKSMLRKLPNNYSRGVDRYLSRFKHMVGELSVTTKRQDLKYQGFALLGIVTIDLSSNYLTGRIPSGGQLDTLYNNNPSMYDGNAGLCGDILKKKCPGNDASNDYGSYKDHYELLYLCFGLVIGFVLGLWVVFSTLLFKKSWRIAYFRLFDKPLAIPKHTVNQHLTLQLINHLAMLMRHPTIAAAAASSFLLLMIAADGQAATPPPPAAIGNYCEPRERDALLAFKEGVTDDPAGLHASWRRGGGQLQEDCCQWRGVRCSNLTGHVVKLRLRNDHAGTALAGEIGQSLISLEHLRYLDLSMNNLAGSTGHVPEFLGSFKSLRYLNLSGIVFSGMVPPQLGNLSNLRYLDLSGIRLSGMVSFLYINDGSWLGHLSNLQYLNLDGVNLSTVVDWSHVLNMIPSLKIVSLSSCSLQSANQSLPELSFKELEKLDLSNNDFNHPAESSWIWNLTSLKYLNLSSTSLYGDIPRALGNMLSLQVLDFSFDDHKDSMRMSVSKNGNMGTMKANLKNLCNLEVLDLDCRLEYGNITDIFQSLPQCSPSKLKEVHLAGNTLTGMLPNWIGRLTSLVTLDLFNNSITGQVPSEIGMQTNLRNLYLHFNNMNGTITEKHFAHLTSLKSIYLCYNHLNIVMDPQWLPPFKLEKAYFASITMGPSFSRWLQSQVDIVALAMNDAGINDTFPDWFSTTFSKAKLLEFPGNQISGGLPTNMENMSLEKLYLKSNQIAGLIPRMPRNLTILDLSNNSLSGPLPLNIGSPKLAELNLLSNRITGNVPQSICELQNLHGLDLSNNLLHGEFPQCSGMSMMSFFRLSNNSFSGNFPSFLQGWTELSFLDLSWNKFSGNLPTWIGNFSKLEILRLKHNIKLEILRLKHNMFSGNIPASITKLGNLSHLDLASNSISGPLPQYLANLTGMVPKQYYTNEHEERLSGCDYKSLVTMKGLELEYDEENVTVVTIDLSSNLLTGVIPEDITYLHRLINLNLSSNYLSGKIPYSIRDMQSLESLDLSKNMLYGEIPQSLSDLSFLNLSYNNLMGRIPLGTQLGTLYDQNHHLYDGNDGLCGPPLPKSCYKSDASEQGHLMRSKQGFDIGPFSIGVAMGFMAGLWIVFYALLFMKTWRVAYFCLLDKVYDELQYLKKNENRACRAGTEVLRCKLHQLFWAYILP >ORUFI11G18220.1 pep chromosome:OR_W1943:11:21008808:21025916:1 gene:ORUFI11G18220 transcript:ORUFI11G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVAQGGGALLRSTNGFAGRAVWEFDPDHGTPEDRANVERVRRDFTDHRLRRPESADLLMRMQFARENNHQRRGDRIPPAVNKLGEKEQVTEETVMPSLRRALDEFSSLQADDGHWPGDLSGAIFIMPVLIFSLYATGSLDTVISSEHRREICRYIYNHQNEDGGWGMLILGSSTMFATCLNYVTLRLIGEEPSNEQLARGHAWIISHGGATLVPQWGKICLSFVGPITPTILALREEIYTDPYHTIDWAQACNACSKEDLVCPRTLLQNVVWTSLYKWVEPVLGSRPMNKLRERALDRLMEHIHYEDENSQYLCLCPVNKALNMVCCWVEDPNSDSFKRHLARIPDFLWLSEDGMKAQIMRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTAEALKAVLLLEKISSNVVGDPIEIERLHDAVDCLLSFVNKDECTSSVLQALVLFKQLHPSYRIKEIEKCVRNAAMFIESTQGEDGSWLGTWGVCFTYGAFLSVKGLIAAGRTYENSSSIRKACDFILSKQLDTGGWGESYVSNITKVYVNIKDDQAHAVNTAWAMLALICAGQMERDPAPLHRAAKELINMQLETGEFPQQEHVGAFNACLFFNYPNYRNLFPIWALGEYCRHLHSTRRA >ORUFI11G18230.1 pep chromosome:OR_W1943:11:21029426:21032948:-1 gene:ORUFI11G18230 transcript:ORUFI11G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRCRLTRSSTHRLAIPVRRRLCTRFRSTTTTLSRGSGQDRRNTMTMSPWPMSMITYK >ORUFI11G18230.2 pep chromosome:OR_W1943:11:21029937:21032904:-1 gene:ORUFI11G18230 transcript:ORUFI11G18230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSPWPMSIFLK >ORUFI11G18240.1 pep chromosome:OR_W1943:11:21051008:21054249:1 gene:ORUFI11G18240 transcript:ORUFI11G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVQHPLHDHQQWMGLMQPQSQHNQQHQSQRHIMAAFQSQSNQLQQELGMEQKPSVQQSFQTSAGMFLQQNNIDEQMQYTQAQCGLQEVPFSTTMHITTQTDHPGQCYLQDEIYDMVRNLKDQHFTELYHLYNKISRKQEYVDSQMPSQMPIEQYGKMKKFKEMLERILRFLQIYKGDILPALAEKIPKYERQIITLVEKPSFVGRAIFTIVPVAIKTGTKDL >ORUFI11G18250.1 pep chromosome:OR_W1943:11:21077232:21087104:1 gene:ORUFI11G18250 transcript:ORUFI11G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYPSSATKSSWLLLVVIAACTGSLFLVADALHEHHHGRPNIAGATCVPHERDALLAFKEGVVGDPAGRLASWRTGEDCFRHWRGVRCSNLTGHVLKLHLRNTDGGEAAMSGKELYLQGNHFTGTLPNWLGQLTSLVILDLSMNNITGPLPGIFGKFTDLRDLNLAGNQLTGHLPSQISMLSNLTRLDLSNNILDGLITDEHFVGLKGLEYIDLSHNRLKIVLGSPPFRLKKHILQTARWVLCFLHGFSGRWIFLTLILQAQISGGLPTNWEIMSVEQLYLSSNQFTGEIPSLPRNIITLDISSNSLTDLANNHFEGDLPECAEMENLDILMLSNNSFSGKFPSFLQRCFFLSFLDLAWNEFSGTLPMWIGNCTSLRFLRLNNNMFHGHIPGSITGLRDLRHLNLAENRLSGPIPSGGQLETLYTYNPLMYSGNNGLCGFPLQRSCPGNSTSKDGDLSNEKHGDQQIPELHSDDQMFFLFGCGVGFVVGSWVVFFSLLFVKTWRIAYFRLFDSVYDKIVAYNAIFQLIWNLEELCLSSSRVANTLATGEVISMKYSIVTLVLRLQESGKRRFYF >ORUFI11G18260.1 pep chromosome:OR_W1943:11:21094042:21094384:-1 gene:ORUFI11G18260 transcript:ORUFI11G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRRSLAEVTAWLEEYFLKASVTGDAVSNHLEASNDGFKRGPHSILGALCCLSAPSVAHDRVDSINGEQRHSSTLR >ORUFI11G18270.1 pep chromosome:OR_W1943:11:21098440:21103855:1 gene:ORUFI11G18270 transcript:ORUFI11G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPMCTFIVVLTSIVFLMVTSNGQAQAPIGCIPRERDALLEFKNSITDDPMGQLKFWRRGDDCCQWRGIRCSNRTGHVIKLQLWKPKFDDDGMSLVGNGMVGLISPSLLSLEHLQHLDLSWNNLSGSDGHIPGFIGSFRNLRYLNLSGMPFIGVVPPQLGNLSKLQFLDLSSCIGLEMQSRSGMTWLRNIPLLQYLNLNSVDLSAVDNWLHVMNQLPSLRVLNLSNCSLQRADQKLTHLHNNFTRLERLDLSGNQFNHPAASCWFWNITSLKDLILSGNRLYGQLPDALADMTSLQVLDFSINRPVPISPIGLLPSSQAPPSSGDDDAAIEGITIMAENLRNLCSLEILDLTQSLSSGNITELIDNLAKCPASKLQQLILKYNNITGILPISMGVFSSLVYLDLSQNYLTGQLPSEIGMLRNLTWMDLSYNGLVHLPPEIGMLTNLAYIDLGHNNFSHLPSEIGMLSNLGYLDLSFNNLDGVITEKHFAHLASLESIYLPYNSLEIVVDPEWLPPFRLKYAYFYCCQMGPMFPKWLQTQVDIIELDIANTSIKDTFPEWFWTTVSKATYLDISNNQIRGGLPTNMETMLLETFYLDSNLITGEIPELPINLETLDISNNYLSGPLPSNIGAPNLAHLNLYSNQISGHIPGYLCNLGALEALDLGNNRFEGELPRCFEMGVGSLKFLRLSNNRLSGNFPSFLRKCKELHFIDLSWNKLSGILPKWIGDLTELQILRLSHNSFSGDIPRSITKLTNLHHLDLASNNISGAIPNSLSKILAMIGQPYEGADQTPAASGVNYTSPVATKGQERQYNEENVEVVNIDLSSNFLTGGIPEDIVSLGGLVNLNLSRNHLSGQIPYKIGAMRMLASLDLSENKLYGEIPASLSSLTFLSYLNLSYNSLTGRIPSGSQLETIYNQHPDIYNGNSGLCGPPLQKNCSSNNVPKQGSQPVQLLTHTHINLTKLEHLGLSRNYFGHPIASSWFWKVRTIKELGLSETYLHGPFPDALGGITSLQQLDFTNNGNAATMTINLKNLCELAALWLDGSLSSGNITEFVEKLPRCSSPLNILSLQGNNMTGMLPDVMGHINNLSILDLSNNSISGSIPRGIQNLTQLISLTLSSNQLTGHIPVLPTSLTNFDVAMNFLSGNLPSQFGAPFLRVIILSYNRITGQIPGSICMLQNIFMLDLSNNFLEGELPRCFTMPNLFFLLLSNNRFSGEFPLCIQYTWSLAFIDLSRNKFYGALPVWIGDLENLRFLQLSHNMFHGNIPVNIANLGSLQYLNLAANNMSGSIPRTLVNLKAMTLHPTRIDVGWYESLTYYVLLTDILSLVMKHQELNYHAEGSFDLVGIDLSQNQLTGGIPDQVTCLDGLVNLNLSSNHLKGKIPDNVGDMKSVESLDFSRNNLSGEIPLSLSDLTYLSSLDLSHNKFVGRIPRGSQLDTLYANNPSMYDGNSGLCGPPLQRNCSSVNAPKHGKQNISVEDTEAVMFFYFGLVSGFVIGLWVVFCAI >ORUFI11G18280.1 pep chromosome:OR_W1943:11:21108042:21110891:1 gene:ORUFI11G18280 transcript:ORUFI11G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAIIIVSTTTSSSFFFFLLLMITTGGGCKPRERDALLAFKEGIVKDPAGLLSSWQRGGHYDDDDDQLLEEDCCQWRGVRCSNLTGHVVKLNLRNDYADVGTGLVGEIGHSLISLEHLRYLDLSMNNLAGPTGHVPEFLGSFRSLRYLNLSGIVFSGMVPPQLGKLSNLKFLDFSGMLPSSMAPFLYISDASWLAHLSNLQYLNLNGVNLSTVLDWPHVLNMIPSLKFLSLSSCSLQSANQYPTQINLRQLEILDLSNNYELSDQAESSWIWSLTSLKYLNLSSTSLYGEIPQALGNMLSLQVLDFSYNMSVSKKGNMCIMKANLKNLCNLEVLDLDYRLAYGEISEIFESLPQCSPNKLKELHLANNNLTGNLPKLVGRLTSLVTLDLFNNNITGQVPSEIGMLTNLTNLYLHYNCLDGVITEEHFANLTSLKSIYLCYNYLEIVVDPEWLPPFRLEKAYFASTSMGPSFPSWLQSQVDILELAMSDAGINDTFPDWFSTTFSKATFLEMSQNQIAGGLPTNMENMSLEKLYLDCNHIADRIPRMPRNLMLLDISYNLISGDVPQSICELQKLNGLDLSNNLLEGEFPQCSLMSRVSFFRASNNSFSGNFPSFLQGWTKLSFLDLSWNKFSGTLPTWIGNFNKLEFLQLKHNMFSGSIPDSITNLGKLSHLDLASNGLSGPLPQHLSNLTGMMINHDTTKYEERLSGCDYKSFVNMKGQELQYNQEKVTVVTIDLSSNFLTGVIPEGIVSLDGIINLNLSWNNLNGKIPYMIGAIKSLESLDLSKNNFYGEIPQSLSDLTYLSYLNLSYNNLTGRVPSGTQLCSLYDQNHHLYDGNDGLCGPPLQKSCYKYDASKQGYQIRSKQGFHIGSFSIGVTVGFMAGLWVVFYILLFKKSWRIAYFCFLDNMYDEVYVKVIVVWAKLTGRTDERLRMSQVAWSSIDSDEYYE >ORUFI11G18290.1 pep chromosome:OR_W1943:11:21113582:21115582:-1 gene:ORUFI11G18290 transcript:ORUFI11G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPFGTIDGCFRGPPFRVYCEDDHAVYLQEHKKLKVLRFELAQGEVLIQKRIATSCGVNLTGKAVGIPWVVHDGGLADDYPYLTISTKNQFAVVGCGITAIIVGQGENQPDYTVGCRSFCDDVDSNIVEDNSTQCNGNTGCCQASIPGNLKAFQPSFLKISGVNYSGVPCVYAFVVEQNWFKFKTSYAKSMELYSKYRNKGTGVPLVLDLVVGNETCDEAKRNALSYACKATNSSCIDRPSRSGYLCNCSQGYEGNPYLHGGCQGLSIGIGVGSATGFICIVLIAMFLTRRIKHRRKIKLRQKFFILNRGQLLKQLVSQRADIAERMIITLDELEKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKISNIVVPKEIDDFINEVAILSQINHKNVVKLIGCCLETEVPLLVYEFISNGTLYHHLHGEGPRSLSWSNRLRIAAEIANALSYLHSSVTIPIIHRDIKSSNILLDDNLTSKVSDFGASRYIPIEKTALTTAVQGTVGYLDPMYFYTGRLNDKSDVYSFGVMLVELLT >ORUFI11G18300.1 pep chromosome:OR_W1943:11:21118508:21123351:-1 gene:ORUFI11G18300 transcript:ORUFI11G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAEEEVRQEVEAVASVYGDDCRVVRGFPPHLVVHVRPRTADDSSQQFVELFLGIKASSQYPKAPPHVYAVESKGLDENRQVYLISSIQNKARELSCYPMLVTLCEEAVEMLSNMNHPAGDCPLCLYPLVKENDGSALPFMKLMSCYHCFHSDCIMRWWEWLQNDDTDSKKSSTAATTEGLDLSSSAKHHNVSQHKGLCPVCRKVFDEKDIEHVRDLLGANTSQLASLSIDLDEDEKEVLHSESEKIRQEKFASLFNLQQERNGLIEPKKDLSIQPGMYIPRPPSAPTASEGDTVDDSCEDTTTSTSGMEPLNQTNSTGGTTKHNSSSGDIDLMLQEDNHMGKGNLLGNNGRGKAETLHTNSRKGCGRFVFCRL >ORUFI11G18300.2 pep chromosome:OR_W1943:11:21118550:21123351:-1 gene:ORUFI11G18300 transcript:ORUFI11G18300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAEEEVRQEVEAVASVYGDDCRVVRGFPPHLVVHVRPRTADDSSQQFVELFLGIKASSQYPKAPPHVYAVESKGLDENRQVYLISSIQNKARELSCYPMLVTLCEEAVEMLSNMNHPAGDCPLCLYPLVKENDGSALPFMKLMSCYHCFHSDCIMRWWEWLQNDDTDSKKSSTAATTEGLDLSSSAKHHNVSQHKGLCPVCRKVFDEKDIEHVRDLLGANTSQLASLSIDLDEDEKEVLHSESEKIRQEKFASLFNLQQERNGLIEPKKDLSIQPGMYIPRPPSAPTASEGDTVDDSCEDTTTSTSGMEPLNQTNSTGGTTKHNSSSGHRRRHRSHASRRQPHGQGQPARQQWQRKGGDTSHQ >ORUFI11G18310.1 pep chromosome:OR_W1943:11:21139120:21142077:1 gene:ORUFI11G18310 transcript:ORUFI11G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTTTAALLILSVLAVAALATTNHEVEAAVAALPRGATRRPAPAHGNVATAAGCSPRERDALLTFKAGITEDIMGLLDSWKYDGAGPGQAEEEADCCRWRGVRCGAGGHVVGLHLRNVYADQSNDYDFITSGYDLAGEISPSLLNLTYLEHIDLSKNQLQGQTGRVPEFLGSLQNLRYLNLSGIPFSGEVPPQLGNLTNLHYLGLSDTGINFTDIQWLARLHSLTHLDMSHTSLSMVHDWADVMNNIPSLKVLHLAYCNLVYADQSFSHFNLTNLEELDLSVNYFNHPIASCWFWNAQGLKYLNLGSTKLYGQFPNVPGQFGSLRFLDLSSTCNIDIVTTNLTNLCNLRIIHLERSQIHGDIAKLLQRLPRCSYNRLNELYLSDNNISGILPNRLDHLTSLVILDISHNKLSGPLPPQIGMFSNLTYLDLSSNNLNGVITDEHFTSMRSLKTLDLSGNSLKILVDSEWLPLFSLEVALFSPCHMGPRFPGWLKQQVNITYLNMSFAGITDRLPNWFSTTFLNAQLLDVSNNEINGSLPANMEVMTPLSRLYMGSNKLTGQIPLLPKALEIMDISRNSLSGPLPSNFGDDLVLSYLHLFSNRITGHIPNSMCDLHHLVYLDLADNLLEGEFPRCFQPVFLSKLFVSNNILSGKFPPFLRSRHNLEMLDLASNDFYGGLPIWIGELSNLAIVRLSNNNFSGNIPTSITNLTRLVQLDLSNNSISGVLPLHLSNLICMKKSGHCDIVMVFDRYSISGRYGRNVGIANMSVDTKGQKLYYKLPIVLDIVTIDLSLNYLTGEIPEELTLLDGIKNLNLSWNQLSGRIPGNISVMQSLESLDLSKNNLSGEIPSNLSNITSLSRLDLSYNHLTGRIPSGGQLDTLYAENPSMYNGNTGLCGYPLRRNCSDNSSASKHGVEQRRERDSEPMFLYSGLGSGFVAGLWVVFCTILFKKTWRIAYFRLFDKVYDKVYVFVVVTWATLSQKSGTR >ORUFI11G18320.1 pep chromosome:OR_W1943:11:21143372:21143581:-1 gene:ORUFI11G18320 transcript:ORUFI11G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGFEWVVMATAAAGSGGSEVGWSCADVREEMGCGRCTGGDGTRWTGASTMVTTREEAGNETGNGEGG >ORUFI11G18330.1 pep chromosome:OR_W1943:11:21162540:21164328:1 gene:ORUFI11G18330 transcript:ORUFI11G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPCAGGRATILGIGTAVPVHVYEQKSFPDYYFEITDSNHLVDLKAKFANICEKTSTEKRHMYISDEWLRANPSVTAYMSTSLNVRQQVAEEGIPRLGAEAARKAIDDWGKPASSITHIVFATTSTGCLPSADVVLIKLLGLPLSTKRVMLYQAGCFGGTTALRVAKDIAESNHGARVLVVTSEVMSLVIRGPSESHIGNLVGQAVFGDAAGAVVVGCCPTADERRPVFELVRASQDVIPGTDDAVVVKVRQEGVVITMHRDVPLHVSNAIGGVVKSTFHEIDMKITSYNEAFWLLHAGGRGIVDGVEERLGLGGEKLAATREVMRQYGNTRSSTIFLAMEEMKRRSEERGMATAGEGLEWGMLIAFGPGLTLETMLLRAVPRNS >ORUFI11G18340.1 pep chromosome:OR_W1943:11:21188212:21190408:-1 gene:ORUFI11G18340 transcript:ORUFI11G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGRQPCKREQELGWRSFHPSSAHQLFDGMPRQPEMSNKDQSISEPVPINSTMKKEEKWLDEALDRILEKFEQIEAKRRCDEKIDRILKKLDEIDANRNKFFEEMGASIKATTAPDLTVVASVTCTTLAVSSMVLLASDGTTGNTNIDAPVCFKETHAMCSMVGLDVNGGNDQAVVAFQTKTVVSKDVSASVHPMGNLATRLFVDKMMVQCELQPVPCETFNSYDTRVHILQPWPPTIKLELTSDKLVQVTSNLLELTSDKLVQVTSNLVRTCYMQKLGTREEHILKFKYACNGWPTRKFRKMPKNDCNGHWKMSRLFVNLNYFSFGLSTAKTVQKGNCYLRGSDKVLLTKLEMQSCVNLGDDN >ORUFI11G18350.1 pep chromosome:OR_W1943:11:21192309:21195760:1 gene:ORUFI11G18350 transcript:ORUFI11G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKIIGSLPTNMETMSLESLYLGSNQITGVIPTLPPNLTWLEIQNNMVSRIVASKTFGAPNLGYMDLSSNNIKGPIPGSICELQYLRFLNLANNYLVGEFPHCIGMTEVNYLFLNNNSLSGRVPSFLKGCKQLMHLDLSQSKFHGRLPSWIGDFPAVRSLILNNNVLSGHIPINITNLTNLQDLDLSQNKFHGRLPNWIGDLPKVQPCAPHEIMNPTGKPLLLLLLGLLLLATTTLSRAIHAIPVPKLGSGATTVACVPRERDALLAFKRDITSDHLGLLTSWRQDDHDCCRWRGITCSNLTGHVLKLHLSGIYTNEHTGMEGLVGQISPQLLYLDHLEHLDLSINYLEGPSGQIPEFIGSMKSLRYLKLSGIPFSGTVPSQLGNLSKLQHLDLSDLEGKEGMHLADMSWVSRLGSLRYLDLSFINLSAASDWAHAVNMIPSIRVLRLSLCQLQGANHSLAHYNLTKLEKLDLSSNYFDHPYASCWFWNSTILKYLDISSNNLYDQLPNAIGHMASLRLLDSLSNCSNKLSELNLADNNISGTLPPGIFQQFADLVTLDASNNQLAGPLPVEIGMLTGLNHLDLSYNNLAGDITEEHFANLRSLKYIDLSSNDPLNIVVDPTWIAPFRLERASFPACMMGPQFPTWLQWSVDIWLLEISNTGIKDKLPDWFWTTFSKLEELDMSNNQISGVLPTNMETMALSYLYLGSNQISEWSITSFTEKNCKIGRLQ >ORUFI11G18360.1 pep chromosome:OR_W1943:11:21195810:21204427:1 gene:ORUFI11G18360 transcript:ORUFI11G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLILSFNNLSGHIPESFCRMEQLAALDLANNLFEGELPQCFGMTGMAIVLLQNNRFSGSFPVFLERSTKLQLVDLSRNNFSGKLPTWIGDKKELVLLLLSHNVFSGIIPINITNLSNLRQLNLAGNSLSGNIPWRLSNLEAMKEDNYIFNLDIPDDSSYNNLSVFTKRTELFYGPNIFSAVNIDLSSNYLVGQIPEEIASLALLKNLNLSRNYLSGKIPQKIGSLWSLESLDLSRNKLSGEIPPSLSNLSYLSDLDLSHNNLSGRIPSGSQLDTLYFEHPDMYSSNDGLFGFPLQRNYSEGIAPKQGYHDHSKTRQVAEPMFFYLGLVSGFVVGLWVVFCTILFKKTWRIAYFSLFDKACDKIYVFTVVTWARVSQKTNSSQRSNLYLPNNGHLISIGQCKAVDLPLASWSHSHAPTMHPKSSLLFFLMIGALLTNAMANHAPAPAAVNCVPREREALLAFKRGITGDPAGRLASWKEDDHDCCRWRGVSPLEFNAVALVGRITSSLLSLEHLEHLDLSNNNLTGPDGRFPVFVASLRNLQYLDLSGLGFTGMVPYQLGNLSKLEFLDLSGTGMQSADISWLTRLQWLKYLYLSSVNLSAISDWAHVVNKIPSLTVLSLSGCSLTRVDHSLKHVNLTRLEKLHLSGNDFSHPLSSCWFWILKTLIYLDLESTGLYGRFPNAITNMTSLQVLDFSRNNNAGILKPILLRNLCNLESLNLQLGLLSGNMTELLESLSHCSPNKLRKLYLSNNNITGTLPAQSMGQFTSLANIGFSFNQLTGHVPPEIGKLASLTHLDLSENKLTGTITDEHFGGLVSLTYIDLSYNKLKIVIDPEWLPPFRLETAYFASCQMGPLFPAWLRWSSDIDMIDISSANIIDEFPDWVSTAFSKAIYLDMSNNKISGNLPKNMKIMSLEELYLNSNRIIGEVPTLPTNLTYLDISNNILSGLVASNFGAPRLDTMNLSSNSIQGQIPSSICRLKYLSTLDLSNNLLNGKLPRCIGMRNLQKLLLSNNNLSGTFPSLLQGCTLLRYIDLSWNRCLKESSRIQRYSSVDENAVPCSMMRIKLLIWFGPPENTCIKATI >ORUFI11G18370.1 pep chromosome:OR_W1943:11:21208347:21210129:1 gene:ORUFI11G18370 transcript:ORUFI11G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQACAASTTSVGPAHPRVSADSREPTRLRRLPWTREAPSPPRDPARLRRLGSGSPGSAASARASPAVPFVASCHPRVDSGRSGFRPRVVRLHAHAFDSHPASEEEEEEGEKETRKTARLNGCGSGSVSCVIAKRPTPASLREAAPAHTTKTPRATPSADSRPALAPCGAFCLRLGPFRRTTTPAAAILAATQWWTLIDGAEGIASFHGADGWGWETLHITILVFLEG >ORUFI11G18380.1 pep chromosome:OR_W1943:11:21215630:21215941:-1 gene:ORUFI11G18380 transcript:ORUFI11G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLLLSPLPLSLQPSLPPILQRRVTEDCAAKVATSSGAGGSAWARAGRPDPPPPGLGEDGSAATRPRGGRIRHRRTTGRAALTSTPSTMLPAPSSPTMTPS >ORUFI11G18390.1 pep chromosome:OR_W1943:11:21219278:21221356:1 gene:ORUFI11G18390 transcript:ORUFI11G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTQKFLLFLLVGVAATLSLATNSPVPQWPASCTPREREALLAFKRGITGDPAGRLTSWKRGSHDCCQWRGVRCSNLTGHVLELHLRNNFPRYDEATALVGHISTSLISLEHLEHLDLSNNNLVGPAGRFPRFVSSLRNLIYINFSGMPLTGMVPPQLGNITKLQYLDLSHGIGMYSTDIQWLTNLPALRYLGLSNVNLSRVSDWPRVVNMNSYLIVLDLSGCSLTSASQSFSQLNLTRLEKLDLSYNNFNQPLASCWFWNLTSLTYLDLIMNILPGQFPDSLGDMKALQVFRFSSNGHSIIMPNLLQNLCNLEILDLGGLSSCNITELLDSLMHCLTKRIRKLYLWDNNITGTLPTGVGKFTSLDTLDLSHNQLTGSVPYEISMLTSLAKIDLSLNNLTGEITEEHLAGLKSLKSLNLYYNPYLKIVLGDEWLPPFRLEVARFGSCQLGPMFPSWLQWMVNIKELDIWSTGITDQLPHWFWTTFSKATDLVISSNNISGSLPANMETMSLERLYLGSNQITGVIPILPPNLTWLEIQNNMLSGSVASKTFGSAPQLGFMDLSSNNIKGHIPGSICELQHLQYLNLANNHLEGEFPQCIGMTELQHFILNNNSLSGKVPSFLKGCKQLKYLDLSQNKFHGRLPSWIGNFSEVQILILNNNSFSGHIPTSITNLAKLARLNLANNNISGVLP >ORUFI11G18400.1 pep chromosome:OR_W1943:11:21222388:21225708:-1 gene:ORUFI11G18400 transcript:ORUFI11G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRGAAANAPGRRGRPPKAAEEAARSPVLSSPVVAADAAEGYERERAERIRENMERMQKLGILDLATRFNQSAGGLAGSGSGRGRGRRKAPVTPGSVGVGRMIKPASPSPARRSLRLKNVEPVSYCEIRTKKDKDDNEGGNSVLIEAGSKEEVYTEEDEKLLGPCVEPWTLFVDGYGKDGKRIYDQVRGQTCHQCRQKTLGHHTSCCKCQIVQGQFCGDCLYMRYGENVLEAKKNPDWICPVCRGICNCSICRTKKGWFPTGAAYRKVVSLGYKSVAHYLIATQRASPAGSADSNKVAATKSEASSESDQAPVAKEDQEDAEMSGKAIQKVEADHQVNNPPDDSDNDDSRSESVVTSDSQDCQVNLDIGCATPSKPTGPKKRKWIERSPDCVASRLRSRSNKS >ORUFI11G18410.1 pep chromosome:OR_W1943:11:21230604:21231047:1 gene:ORUFI11G18410 transcript:ORUFI11G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAGGDGKEAINEQIIANTYGNMRSEMTQLYTKITELEMEVSEHSLVIGAIEPLDHSRRCYRMVGGVLVERTIREVLPAVHRNKEGLEEVIARMHEALEKKKKEITEFELKYKIRIRKADSNADEEEGSKKEGSAQGVLVGPAGQ >ORUFI11G18420.1 pep chromosome:OR_W1943:11:21231739:21241460:1 gene:ORUFI11G18420 transcript:ORUFI11G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGKPNPGGGGGVVAKGRKRKYLPHGKPVRKGAYPLRPGVQGFFLTCDGGRERQATREALSLLDSFYEDLVDGKGSDGKPKSIPDKPLNKKITFADSDSSDDEEEDHSGEVTDDTNNADKGETTPSEQQKEVSDTPVVPSKDNEEQTDNADESKLKKQRVQDPPISEQTEPKEPTDKPTESTDKPKGSNDKPIDDLIDEDLKELGDRKKRLFATLDSGCNGCIFIQMHKRDGDPGPVEIVQNMMSSAASTRKHMSRFILRFLPTEVTCYASEEEITKAISPLVEKYFPKESSSVYKFAVLYEARSNTGIDRMKIINAVAKSVPQPHKVDLSSPDRTIIVQIAKTICMIGVVERYKELAKFNLRQLTSPPEK >ORUFI11G18430.1 pep chromosome:OR_W1943:11:21235769:21239703:-1 gene:ORUFI11G18430 transcript:ORUFI11G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPQPRPRNPASIPQRCPDLQLSTNLPHPIHPATPNTQTSIPDAAPATTQPVMMQLLLGLALCMWAGLAVPAKFLSVLPHSEENSCDHEEQMDFVILKVEKRDMMISQRKKA >ORUFI11G18430.2 pep chromosome:OR_W1943:11:21235769:21239703:-1 gene:ORUFI11G18430 transcript:ORUFI11G18430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPQPRPRNPASIPQRCPDLQLSTNLPHPIHPATPNTQTSIPDAAPATTQPVMMQLLLGLALCMWAGLAVPAKFLSVLPHSEENRLMETLQMNVNVLDNRHITVLLVLPPNNEEQMDFVILKVEKRDMMISQRKKA >ORUFI11G18440.1 pep chromosome:OR_W1943:11:21245194:21250789:1 gene:ORUFI11G18440 transcript:ORUFI11G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAMEKRREIRELRDRMDRTLALPDLADEELLRSLVKRQILASSLSAGNDEGNIDLIAEARSKEISNFLEMLNTSGNERSSKIHEASHKEWKVKQDTDQLRVMYREGPEGTPFHTLLAEGFADGPIDVCTCVSWESSLYKKWFPQYNLPTFKVAQSGCLKKVRVGEEISLVSWIFSLMDVVTNICIHYLEERVKVPWPVSEREALLHYFELEYLKEDLVIVIMKTISDTDNINIERHGFSRDGIPEAGDTVRIDVVGGFVLQRITKEKSFFRAIANMDIKLDFVPPWLINFISRQLIGSGHKLYQKAVSTVANCDEDYKKALREPLYVRIREHRGSTDIANVTPVEERATEALPDNPTLQNVLAVTNITSNSEIVEEESEQKALFKLDHHAIGSSNPPAEQEQHVENKPYISPEVEQALNILDKAIAIIRGNNAGSASVVQKFIGYDVTLDGSTTDSRNSHNIPNEHPATLPPRDSRETQHTYSLSNENVNHREKDALDSDSQRYTTASTVTKTMSMTRRSTTRVHGEESLDTNGLHQNGFHKDKESKRTRKRKTNSWLCCLTPSTTG >ORUFI11G18440.2 pep chromosome:OR_W1943:11:21245521:21250789:1 gene:ORUFI11G18440 transcript:ORUFI11G18440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAMEKRREIRELRDRMDRTLALPDLADEELLRSLVKRQILASSLSAGNDEGNIDLIAEARSKEISNFLEMLNTSGNERSSKIHEASHKEWKVKQDTDQLRVMYREGPEGTPFHTLLAEGFADGPIDVCTCVSWESSLYKKWFPQYNLPTFKVAQSGCLKKVRVGEEISLVSWIFSLMDVVTNICIHYLEERVKVPWPVSEREALLHYFELEYLKEDLVIVIMKTISDTDNINIERHGFSRDGIPEAGDTVRIDVVGGFVLQRITKEKSFFRAIANMDIKLDFVPPWLINFISRQLIGSGHKLYQKAVSTVANCDEDYKKALREPLYVRIREHRGSTDIANVTPVEERATEALPDNPTLQNVLAVTNITSNSEIVEEESEQKALFKLDHHAIGSSNPPAEQEQHVENKPYISPEVEQALNILDKAIAIIRGNNAGSASVVQKFIGYDVTLDGSTTDSRNSHNIPNEHPATLPPRDSRETQHTYSLSNENVNHREKDALDSDSQRYTTASTVTKTMSMTRRSTTRVHGEESLDTNGLHQNGFHKDKESKRTRKRKTNSWLCCLTPSTTG >ORUFI11G18450.1 pep chromosome:OR_W1943:11:21253963:21257305:-1 gene:ORUFI11G18450 transcript:ORUFI11G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATALLCSSLLLLSCFYAQVSPGSSSSSRSTGMADEPALLSFKSMLLSDGFLASWNASSHYCSWPGVVCGGRHPERVVALQMSSFNLSGRISPSLGNLSLLRELELGDNQFTGDIPPEIGQLTRLRMLNLSSNYLQGSIPASIGECAELMSIDLGNNQLQGEIPAELGALKNLVRLGLHENALSGEIPRSLADLQSLGALSLFKNRLHGEIPPGLGNLTNLYHLLLAHNMLSGAIPSSLGMLSGLSWLELGFNNLTGLIPSSIWNVSSLTELNLQQSMLHGTMPPDVFNSLPHLQHLYINDNQFHGNIPVSIGNVSALSRIQIGFNSFGGIIPPEVGRLRNLTSLEAEHTFLEAKDQKGWGFISALTNCSKLQALFLGNNRFEGVLPVSISNLSVYLEYLYLDFNAISGSLPEEIGNLVRLEALLLHNNSFTGILPSSLGRLKNLQVLYIDNNKISGSIPLAIGNLTELNYFRLDVNAFTGRIPSALGNLTNLVELGLSSNNFTGSIPVEIFKIHTLSLTLDISNNNLEGSIPQEIGGLKNLVQFYADSNKLSGEIPSTLGECQLLQNISLQNNFLSGSVPSLLSQLKGLQILDLSNNNLSGQIPTFLSNLTMLSYLNLSFNDFSGEVPTFGVFSNLSAISIHGNGKLCGGIPDLHLPRCSSQSPHRRQKLLVIPIVVSLAVTLLLLLLLYKLLYWRKNIKTNIPSTTSMEGHPLISHSQLVRATDNFSATNLLGSGSFGSVYKGEINNQAGESKDIAVKVLKLQTPGALKSFIAECEALRNLRHRNLVKIITACSSIDNSGNDFKAIVFEFMPNGSLDGWLHPDNNDHTEQRYLNILERVSILLDVAYALDYLHCHGPAPVIHCDIKSSNVLLDSDMVARVGDFGLARILDEQNSVFQPSTNSILFRGTIGYAAPEYGAGNTVSTQGDIYSYGILVLETVTGKRPSDSKFTQGLSLCESVSLGLHGKVMDIVDNKLCLGIDQHDPETTDDFSSKQKIDCLISLLRLGLSCSQEMPSSRLSTGDIIKELHAIKESLLLEIEDTEK >ORUFI11G18460.1 pep chromosome:OR_W1943:11:21268582:21289347:-1 gene:ORUFI11G18460 transcript:ORUFI11G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCTKLMTLHLGNNQLQGVIPSSLGMLPNLYELSLGFNNLSGPIPTSIWNISSLRVLSVQGNMLSGTIPANAFETLPHLEELYMDHNHLHGKIPVSLGNSSNMSMIILGANLFNGIVPQEIGRLRKLEQLVLTQTLVGAKEQKDWEFITALANCSQLQVLVLRMCEFGGVLPNSLSSLSTSLKYLSLSYNNISGSIPKDIGNLFNLQVLDLAWNSFTGTLPSSLGRLKNLHYFNVYNNDLGGPIPSTIGNLTGLITLYLMSNTFSGRLTNSLANLTKLTELDLSSNNFIGPIPSGLFNITTLSIALELSYNKFEGSIPQEIGNLVNLVKFNAESNKLSGEIPSTLGQCQNLQDLTLQNNMLNGNIPEQLSQLKSLQTLDFSRNNLSGEIPKFIENFTMLSYLNLSFNMFTGEVPINYCRPLIAIHTFCLAQEDPNRNPFNYIHARPSSGSGSFGSVYKGELDAQIGESPYHVAVKVLKLQTSGVFKSFAAECNALRNLRHRNLVKIITACSSIDNSGNDFKAIVFDFMPNGSLEGWLHPDKDDQIDHKVGILLDVANALDYLHCHGPTPVVHCDLKPSNVLLDAEMVAHLGDFGLAKILVEGNSLLQQSTSSMGFRGTIGYAPPEYGAGNTVSTLGDIYSYGILVLEMVTGKRPIDNKSIQGLNLREYVELGLHGKMMDVVDTQLFLGLENEFHTADDSSCKGRIDCLVSLLRLGLYCSQEMPSNRMSTGDIIKELIAIKQSLHSSASCWCASVPTLWLRLLLLLLLLLRNDATKATVDELALLSIKSMLSSPSSSPLASWNSTSSIHHCSWPGVVCSRRHPGRVAALRMASFNLSGAISPFLANLSFLRELDLAGNQLAGEIPPEIGRLGRLETVNLAANALQGTLPLSLGNCTNLMVLNLTSNQLQGEIPSTIGARMVNLYILDLRQNGFSGEIPLSLAELPSLEFLFLYSNKLSGEIPTALSNLSGLMHLDLDTNMLSGAIPSSLGKLSSLIWLNLANNNLSGTIPSSIWNISSSLWGLNIQQNNLVGVVPTDAFTALPELRTISMDNNRFHGRLPTSLVNVSHVRMLQLGFNFFSGTVPSELGMLKNLEQFLLFATLLEAKEPRDWEFITALTNCSRLKILELGASKFGGVLPDSLSNLSTSLQTLSLQYNTISGRIPKDIGNLIGLQSLTLDDNSFIGTLPSSLGRLQNLNLLSVPKNKISGSVPLAIGNLTKLSSLELQANAFSGEIPSTVANLTKLSALNLARNNFTGAIPRRLFNILSLSKILDISHNNLEGSIPQEIGNLINLEEFHAQSNILSGEIPPSLGECQLLQNVYLQNNFLNGTISSALGQLKGLESLDLSNNKLSGQIPRFLGNISMLSYLNLSFNNFSGEVPDFGVFANITAFLIQGNDKLCGGIPTLHLRPCSSGLPEKKHKFLVIFIVTISAVAILGILLLLYKYLNRRKKNNTKNSSETSMQAHRSISFSQLAKATEGFSATNLLGSGTFGSVYKGKIDGQTDESAEYIAVKVLKLQTPGAHKSFVAECEALKNLRHRNLVKVITACSSIDTRGYDFKAIVFDFMPNGSLEDWLHPKPVDQTEMKYLGLVQRVTILLDVAYALDYLHCRGPAPVVHCDIKSSNVLLDSDMVAHVGDFGLAKILAEGSSSLQHSTSSMGFRGTIGYAAPEYGAGNIVSTNGDIYSYGILVLETVTGKRPTDNRFRQGLSLREYVEQALHGETMDIVDSQLTLELENECALQDSSYKRKIDCLISLLRLGVSCSHELPLSRMRTTDIVNELHAMRESLLREYRIEDGSYVNATTACMPREWDALLAFKRGITSDPLGLLTSWKEDDHDCCRWRGVTCSNLTGHVLRLHLNGGYDLDRFELVGLVGEISPQLLHLDHIEHLDLSINSLEGPSGQIPKFLVSMNSLRYLNLSSIPFTGTVPPQLGNLSNLRYLDLSDMEGGVHLTDISWLPRLGSLKFLNLTYIDLSAASDWPYVMNMIPSLRVLSLSFCRLQRANQSLTHFNLTKLEKLDLSMNYFDHPYASCWFWNLTILKFLDLSQNRLYDQLPIALGDMTSLRVLRISNNDLGSMAPNLLRNLCNLEVLDLDESLSGGNMTELFGSLP >ORUFI11G18460.2 pep chromosome:OR_W1943:11:21268582:21289347:-1 gene:ORUFI11G18460 transcript:ORUFI11G18460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCTKLMTLHLGNNQLQGEIPAEIGSSLKNLINLYLTRNRLSGEIPQSLAEFPSLELLSLLHNKLSGEVPSALSNLTNLLNIRFSNNMLSGVIPSSLGMLPNLYELSLGFNNLSGPIPTSIWNISSLRVLSVQGNMLSGTIPANAFETLPHLEELYMDHNHLHGKIPVSLGNSSNMSMIILGANLFNGIVPQEIGRLRKLEQLVLTQTLVGAKEQKDWEFITALANCSQLQVLVLRMCEFGGVLPNSLSSLSTSLKYLSLSYNNISGSIPKDIGNLFNLQVLDLAWNSFTGTLPSSLGRLKNLHYFNVYNNDLGGPIPSTIGNLTGLITLYLMSNTFSGRLTNSLANLTKLTELDLSSNNFIGPIPSGLFNITTLSIALELSYNKFEGSIPQEIGNLVNLVKFNAESNKLSGEIPSTLGQCQNLQDLTLQNNMLNGNIPEQLSQLKSLQTLDFSRNNLSGEIPKFIENFTMLSYLNLSFNMFTGEVPINYCRPLIAIHTFCLAQEDPNRNPFNYIHARPSSGSGSFGSVYKGELDAQIGESPYHVAVKVLKLQTSGVFKSFAAECNALRNLRHRNLVKIITACSSIDNSGNDFKAIVFDFMPNGSLEGWLHPDKDDQIDHKVGILLDVANALDYLHCHGPTPVVHCDLKPSNVLLDAEMVAHLGDFGLAKILVEGNSLLQQSTSSMGFRGTIGYAPPEYGAGNTVSTLGDIYSYGILVLEMVTGKRPIDNKSIQGLNLREYVELGLHGKMMDVVDTQLFLGLENEFHTADDSSCKGRIDCLVSLLRLGLYCSQEMPSNRMSTGDIIKELIAIKQSLHSSASCWCASVPTLWLRLLLLLLLLLRNDATKATVDELALLSIKSMLSSPSSSPLASWNSTSSIHHCSWPGVVCSRRHPGRVAALRMASFNLSGAISPFLANLSFLRELDLAGNQLAGEIPPEIGRLGRLETVNLAANALQGTLPLSLGNCTNLMVLNLTSNQLQGEIPSTIGARMVNLYILDLRQNGFSGEIPLSLAELPSLEFLFLYSNKLSGEIPTALSNLSGLMHLDLDTNMLSGAIPSSLGKLSSLIWLNLANNNLSGTIPSSIWNISSSLWGLNIQQNNLVGVVPTDAFTALPELRTISMDNNRFHGRLPTSLVNVSHVRMLQLGFNFFSGTVPSELGMLKNLEQFLLFATLLEAKEPRDWEFITALTNCSRLKILELGASKFGGVLPDSLSNLSTSLQTLSLQYNTISGRIPKDIGNLIGLQSLTLDDNSFIGTLPSSLGRLQNLNLLSVPKNKISGSVPLAIGNLTKLSSLELQANAFSGEIPSTVANLTKLSALNLARNNFTGAIPRRLFNILSLSKILDISHNNLEGSIPQEIGNLINLEEFHAQSNILSGEIPPSLGECQLLQNVYLQNNFLNGTISSALGQLKGLESLDLSNNKLSGQIPRFLGNISMLSYLNLSFNNFSGEVPDFGVFANITAFLIQGNDKLCGGIPTLHLRPCSSGLPEKKHKFLVIFIVTISAVAILGILLLLYKYLNRRKKNNTKNSSETSMQAHRSISFSQLAKATEGFSATNLLGSGTFGSVYKGKIDGQTDESAEYIAVKVLKLQTPGAHKSFVAECEALKNLRHRNLVKVITACSSIDTRGYDFKAIVFDFMPNGSLEDWLHPKPVDQTEMKYLGLVQRVTILLDVAYALDYLHCRGPAPVVHCDIKSSNVLLDSDMVAHVGDFGLAKILAEGSSSLQHSTSSMGFRGTIGYAAPEYGAGNIVSTNGDIYSYGILVLETVTGKRPTDNRFRQGLSLREYVEQALHGETMDIVDSQLTLELENECALQDSSYKRKIDCLISLLRLGVSCSHELPLSRMRTTDIVNELHAMRESLLREYRIEDGSYVNATTACMPREWDALLAFKRGITSDPLGLLTSWKEDDHDCCRWRGVTCSNLTGHVLRLHLNGGYDLDRFELVGLVGEISPQLLHLDHIEHLDLSINSLEGPSGQIPKFLVSMNSLRYLNLSSIPFTGTVPPQLGNLSNLRYLDLSDMEGGVHLTDISWLPRLGSLKFLNLTYIDLSAASDWPYVMNMIPSLRVLSLSFCRLQRANQSLTHFNLTKLEKLDLSMNYFDHPYASCWFWNLTILKFLDLSQNRLYDQLPIALGDMTSLRVLRISNNDLGSMAPNLLRNLCNLEVLDLDESLSGGNMTELFGSLP >ORUFI11G18460.3 pep chromosome:OR_W1943:11:21268582:21290417:-1 gene:ORUFI11G18460 transcript:ORUFI11G18460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEILDPNRNPFNYIHARPSSGFLFPVGSGSFGSVYKGELDAQIGESPYHVAVKVLKLQTSGVFKSFAAECNALRNLRHRNLVKIITACSSIDNSGNDFKAIVFDFMPNGSLEGWLHPDKDDQIDHKVGILLDVANALDYLHCHGPTPVVHCDLKPSNVLLDAEMVAHLGDFGLAKILVEGNSLLQQSTSSMGFRGTIGYAPPEYGAGNTVSTLGDIYSYGILVLEMVTGKRPIDNKSIQGLNLREYVELGLHGKMMDVVDTQLFLGLENEFHTADDSSCKGRIDCLVSLLRLGLYCSQEMPSNRMSTGDIIKELIAIKQSLHSSASCWCASVPTLWLRLLLLLLLLLRNDATKATVDELALLSIKSMLSSPSSSPLASWNSTSSIHHCSWPGVVCSRRHPGRVAALRMASFNLSGAISPFLANLSFLRELDLAGNQLAGEIPPEIGRLGRLETVNLAANALQGTLPLSLGNCTNLMVLNLTSNQLQGEIPSTIGARMVNLYILDLRQNGFSGEIPLSLAELPSLEFLFLYSNKLSGEIPTALSNLSGLMHLDLDTNMLSGAIPSSLGKLSSLIWLNLANNNLSGTIPSSIWNISSSLWGLNIQQNNLVGVVPTDAFTALPELRTISMDNNRFHGRLPTSLVNVSHVRMLQLGFNFFSGTVPSELGMLKNLEQFLLFATLLEAKEPRDWEFITALTNCSRLKILELGASKFGGVLPDSLSNLSTSLQTLSLQYNTISGRIPKDIGNLIGLQSLTLDDNSFIGTLPSSLGRLQNLNLLSVPKNKISGSVPLAIGNLTKLSSLELQANAFSGEIPSTVANLTKLSALNLARNNFTGAIPRRLFNILSLSKILDISHNNLEGSIPQEIGNLINLEEFHAQSNILSGEIPPSLGECQLLQNVYLQNNFLNGTISSALGQLKGLESLDLSNNKLSGQIPRFLGNISMLSYLNLSFNNFSGEVPDFGVFANITAFLIQGNDKLCGGIPTLHLRPCSSGLPEKKHKFLVIFIVTISAVAILGILLLLYKYLNRRKKNNTKNSSETSMQAHRSISFSQLAKATEGFSATNLLGSGTFGSVYKGKIDGQTDESAEYIAVKVLKLQTPGAHKSFVAECEALKNLRHRNLVKVITACSSIDTRGYDFKAIVFDFMPNGSLEDWLHPKPVDQTEMKYLGLVQRVTILLDVAYALDYLHCRGPAPVVHCDIKSSNVLLDSDMVAHVGDFGLAKILAEGSSSLQHSTSSMGFRGTIGYAAPEYGAGNIVSTNGDIYSYGILVLETVTGKRPTDNRFRQGLSLREYVEQALHGETMDIVDSQLTLELENECALQDSSYKRKIDCLISLLRLGVSCSHELPLSRMRTTDIVNELHAMRESLLREYRIEDGSYVNATTACMPREWDALLAFKRGITSDPLGLLTSWKEDDHDCCRWRGVTCSNLTGHVLRLHLNGGYDLDRFELVGLVGEISPQLLHLDHIEHLDLSINSLEGPSGQIPKFLVSMNSLRYLNLSSIPFTGTVPPQLGNLSNLRYLDLSDMEGGVHLTDISWLPRLGSLKFLNLTYIDLSAASDWPYVMNMIPSLRVLSLSFCRLQRANQSLTHFNLTKLEKLDLSMNYFDHPYASCWFWNLTILKFLDLSQNRLYDQLPIALGDMTSLRVLRISNNDLGSMAPNLLRNLCNLEVLDLDESLSGGNMTELFGSLP >ORUFI11G18470.1 pep chromosome:OR_W1943:11:21306329:21309587:-1 gene:ORUFI11G18470 transcript:ORUFI11G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLTLSLLLVSSSLLLSPASSSGEDAADELALVSFKSYLLSGDGGSPSSSLSSWNASGHHCSWPGVVCNRRSNRVVALRLPSSNLSGLISPFLGNLSFLRELYLGGNRLSGEIPPELGRLRRLRWLNLSGNSLEGSIPAAAIAACTNLTGMDLTGNQLRGTIPSQIGAAMKNLVYLYLGKNNLTGAIPRSLATLPRIKHLFLDENTLSGMIPPDLGNLTTLERLNLYGNKLLSGDIPPSLGQLLNLREIDLGLNGLTGAIPASIWNISTLTVFSVQFNMLSGTIPPDVFNTLPHLTEILMGNNQFHGNIPASLANASDLSLIQVNNNFLSGVVPGEIGRLRNLSHLILFSNLVGTEQPNDWGFITALTNCSQLIDLDLTDNKLRGALPGSFSNLSVSLSSIRLGSNKITGSIPEDIGNLIGLQVINLSNNSFTGSLPSSLGRLKNVFRIFLYENNISGSIPSAIGNLTELSFLSLHINALSGSIPNTLGNLTKLLQLTLSYNNFTGQLPNGLFIQALSTVFDVAYNNLEGSIPQELGHLKNLAEFHAESNRLSGEIPSTIGECQLLRYFSLKNNLLTGSIPSALGELKGLEILDLSSNNLSGQIPKSLGDITMLHLLNLSFNSFVGVVPTVGVFANASGISIQGNAKLCGGIPDLHLPPCYQLLQNKKHKFPVVPVVVSLVAALAILSSLCILLTRHERSKKGTHLTPSVQGHPFVTYSQLVKATDGFSPTNLLGSGSFGSVYKGELDSQGGESTSLVAVKVLKLENPKALKSFAAECEALRNMKHRNLLKIVTICSSIDNKGNDFKAIVYDLMPNGSLEDWLHPKTNDQAEQKHLDLHQRVTILLDVACALDYLHHHGPEPVVHCDIKSSNVLLDADMVAHVGDFGLARILIEGSSLMQQSTSSMGSQQVRWEFRGTIGYAAPEYGVGNTASTHGDIYSYGILVLETVTGKRPTDSTFRTAWSLRQYVEPGLHGRLTDVIDRKLVFDSENCLQAQDVSPCSRTSECLVSLLRLGLSCSQELPSSRMQAGDVINELRAIKESLSMSSDM >ORUFI11G18480.1 pep chromosome:OR_W1943:11:21313411:21315335:1 gene:ORUFI11G18480 transcript:ORUFI11G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRGVTNTPPPPSVRASKRVETGFSPIAPTGGEGAARQHPQEGVKTFEDAVIVVPAKAGLGFTRYLPPASLQMLSRCSTTDKPLLARGHRCTGAPRRLASACHVDLRHPLTAPCRAAPASTAAGHVSSHRAGLHHCQPSPTPHASRPPASPVAVGRRRPQPTTLVHGRRSPPSPLPVTSFPVAEYGAGNTVSTQGDIYSYGILVLETVTGKRPSDKKFTQGLSLCESVRVGLHGKVMDIVDNKLCLGIDQHDPETTDDFSSKQKIDCLISLLRLGLSCSQEMPSITGKRPTDHKFMQGLSLRENAELGLHSGIMDVVDVHLSSGLEKELPTKDESSYKEMINCQTSLLRLGMSCSQEMPSARVSTAQIIKELHGIKESLL >ORUFI11G18490.1 pep chromosome:OR_W1943:11:21317311:21321199:1 gene:ORUFI11G18490 transcript:ORUFI11G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAMMFFSSFFFSLLLSIHSYAMIISSPGRSSSSNGAGDELALLSFKSTLPSALQLASWNTSGHYCSWPGVACGSRHLQRVVSLRLGSFNLSGHVSPFLGNLSFLRELDLGDNQLVGQIPPELGRLVRLQVMNLSYNQLQGEIPAEIGISLKNLVYLNLGQNSLSGEIPPSLSELPLMEQLTLYYNRLSGEIPPALSNLTNLRVLHLDFNMLSGAIPSSFGLLPSLSRLTLGGNNLSGTIPDSFWNISSLTSYSVQQNMLNGTMPPDAFSNLPNLQSMFMDHNFFHGPIPRSIANASELWIVQLGRNSFSGIVPPEIGRLAKLQRLVLLETLLEAKEPRDWEFFTALTNCSQLQFLELGVSRFGGILPDSVSNLSTSLIQLNVNDNTISGSIPDDISNLKNLQYLDLSKNSFTGSLPSSLGSLKNLAFLYVFDNNMSGSVPSAIGNLTELNYMNLESNSFSGRIPSTLGNLGMLELLVLSSNNFTGQVPVELFNSTAVSVDLSYNNLEGSIPQEISNLKGLIEFYAQWNKLSGEIPNTIGECQLLQNLHLQNNILNGTIPSSLGQLQGLENLDLSNNNLSGEIPKLLGNLSMLYYLNLSFNNFVGQVPTFGVFANATAISIQGNDKLCGGTPHMHLPPCSAQLPKNKHTLVVIPIVLSLVATVVALALLYMLRIRCKKSRTETSSTTSMQGHPLISYSQLVKATDGFSSTNLLGSGAFGSVYKGELDGQSSESANLVAVKVLKLQNPGALKSFTAECEALRNLRHRNLVKIVTACSSIDTRGNDFRAIVFEFMPNGSLEGWLHPDANEETEQRNLNILERVTILLDVAYALDYLHCHGPAPVVHCDIKSSNVLLDADMVAHVGDFGLARILVEGNSFLQESSSSIGFRGTIGYAAPEYGAGNTSSTHGDIYSYGMLVLETVTGERPTDSKFMHGLREYVELGMHDGVADIVDTRLSLGLHTDTAADGSSHKGRTDFLVSLLRLGLSCSQEMPSNRMSTGDIIKELSAIRQSLL >ORUFI11G18500.1 pep chromosome:OR_W1943:11:21328016:21332081:-1 gene:ORUFI11G18500 transcript:ORUFI11G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLALLMLLFNSSLLLPPASSDDDAAAAAVPTGGAAADELALLSFRSSLVSQGGSSLASWNTTSGHGQHCTWAGVACGGRRDRVVELRLRSFNLSGTISPSLGNLSFLAKLHLGGNHLSGEIPPELGRLGRLRWLNLSGNSLQGSIPAAIGGCLRLIEMDLTINQLRGKIPLQIGASMKNLAYLYLEGNRLSGQIPRSLAELPSIQELSLGSNGLSGEILPALGNLTGLSFLSLSENSLSGASPYKTQNTLSGTIPSCLGNLNSLLELALSDNTLSGAIPSSLGRLSRLSSLHLSSNNLSGVIPDPIWNISSLTVFGVQYNMLSGMLPANAFSTLPHLQEVYMDNNQFHGHIPASVANASNISMLTFGVNSFSGVVPEEIGRLRNLGTLVLAETLLEAEGPNDWKFMTALTNCSNLQHVEMGACKFGGVLPDSVSNLSSSLVYLSIGANKISGSLPRDIGNLVNLESLVLFNNSLTGSLPSSFSKLKNLHRLILFNNKLSGYLPLTIGNLTQITNLELYGNAFSGTIPSTLGNMTRLFELNLAHNNFIGAIPTEIFSIPTLSETLDVSHNKLEGSVPKEIGELKNIVEFHADSNKLSGEIPSTISGCQLLQHLSLQNNFLNGNIPIALTQLAGLDTLDLSGNNLSGQIPKSLGDMPLLHSLNLSFNSFQGEVPTNGVFANASEIYIQGNANICGGIPELRLPQCSLKSTKKKKHQILLLALIICLVSTLAIFSLLYMLLTCHKRRKKEVPATTSIQGHPMITYKQLVKATDGFSPANLLGSGSFGSVYKGELDSQHGENTSSVAVKVLKLETPKAVKSFTAECEALRNMRHRNLVKIVTICSSIDNKGNDFKAIVYDFMPNGSLEDWLHPETNCDQAEQRHLNLHQRVNILLDVACALDYLHCLGPESVVHCDIKSSNVLLDADMVAHV >ORUFI11G18510.1 pep chromosome:OR_W1943:11:21332110:21354075:-1 gene:ORUFI11G18510 transcript:ORUFI11G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSRLTLSSNNLTGLIPSSIWNNMSALMAFTVQQNSLSGTIPPNAFSNFPSLQLIGMDHNKFHGSIPTSIANASHLWLVQLGANFLSGIVPPEIGGLRNLKILQLSETFLEARSPNDWKFITALTNCSQFSVLYLASCSFGGVLPDSLSNLSSLTNLFLDTNKISGSIPEDIDNLINLQAFNLDNNNFTGHLPSSIGRLQNLHLLSIGNNKIGGPIPLTLGNLTELYILQLRSNAFSGSIPSIFRNLTNLLGLSLDSNNFTGQIPTEVVSIVSLSEGLNLSNNNLEGSIPQQIGNLKNLVNLDARSNKLSGEIPTTLGECQLLQNIYLQNNMLTGSLPSLLSQLKGLQTLDLSSNNLSGQIPTFLSNLTMLGYLNLSFNDFVGEVPTLGVFLNASAISIQGNGKLCGGVPDLHLPRCTSQAPHRRQKFLVIPIVVSLVATLLLLLLFYKLLARYKKIKSKIPSTTCMEGHPLISYSQLARATDSFSATNLLGSGSFGSVYKGELDKQSGQSKDIIAVKVLKLQTPGALKSFTAECEALRNLRHRNLVKIITACSSIDNSGNDFKAIVFDFMPSGNLEGWLHPATNNPKYLNLLQRVGILLDVANALDYLHCHGPTPVVHCDLKPSNVLLDAEMVAHVGDFGLAKILFEGNSLLQQSTSSMGLRGTIGYAPPEYGAGNTVSTQGDIYSYGILVLETVTGKRPTDKKFIQGLSLREYVELGLHGKMMDVVDTQLSLHLENELRTTDEYKVMIDCLVSLLRLGLYCSQEIPSNRMSTGDIIKELNAIKQTLLSPTSVMISSLLLLLLIGPASSDDAAAAAAARTSTGGVAGDELALLSFKSSLLHQGGLSLASWNTSGHGQHCTWVGVVCGRRRRRHPHRVVKLLLRSSNLSGIISPSLGNLSFLRELDLSDNYLSGEIPPELSRLSRLQLLELSGNSIQGSIPAAIGACTKLTSLDLSHNQLRGMIPREIGASLKHLSNLYLHTNGLSGEIPSALGNLTSLQYFDLSCNRLSGAIPSSLGQLSSSLLTMNLRQNNLSGMIPNSIWNLSSLRAFSVSENKLGGMIPTNAFKTLHLLEVIDMGTNRFYGKIPASVANASHLTQLQIDGNLFSGIITSGFGRLRNLTTLYLWRNLFQTREQEDWGFISDLTNCSKLQTLDLGENNLGGVLPNSFSNLSTSLSFLALDLNKITGSIPKDIGNLIGLQHLYLCNNNFRGSLPSSLGRLRNLGILVAYENNLSGSIPLAIGNLTELNILLLGTNKFSGWIPYTLSNLTNLLSLGLSTNNLSGPIPSELFNIQTLSIMINVSKNNLEGSIPQEIGHLKNLVEFHAESNRLSGKIPNTLGDCQLLRIPSALGQLKGLETLDLSSNNLSGQIPTSLADITMLHSLNLSFNSFMGEVPTIGAFADASGISIQGNAKLCGGIPDLHLPRCCPLLENRKHFPVLPISVSLVAALAILSSLYLLITWHKRTKKGAPSRTSMKGHPLVSYSQLVKATDGFAPTNLLGSGSFGSVYKGKLNIQDHVAVKVLKLENPKALKSFTAECEALRNMRHRNLVKIVTICSSIDNRGNDFKAIVYDFMPSGSLEDWIHPETNDPADQRHLNLHRRVTILLDVACALDYLHRHGPEPVVHCDVKSSNVLLDSDMVAHVGDFGLARILVDGTSLIQQSTSSMGFRGTIGYAAPEYGVGHIASTHGDIYSYGILVLEIVTGKRPTDSTFRPDLGLRQYVELGLHGRVTDVVDTKLILDSENWLNSTNNSPCRRITECIVSLLRLGLSCSQDLPLSRTPTGDIIDELNAIKQNLSGLFPSQPSTSKIPCHMCYSRDQVKNRKPKLGMSSSSEIRQSKKKFKTLTGEKCFQSTDNEVSADHR >ORUFI11G18510.2 pep chromosome:OR_W1943:11:21332110:21354075:-1 gene:ORUFI11G18510 transcript:ORUFI11G18510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSRLTLSSNNLTGLIPSSIWNNMSALMAFTVQQNSLSGTIPPNAFSNFPSLQLIGMDHNKFHGSIPTSIANASHLWLVQLGANFLSGIVPPEIGGLRNLKILQLSETFLEARSPNDWKFITALTNCSQFSVLYLASCSFGGVLPDSLSNLSSLTNLFLDTNKISGSIPEDIDNLINLQAFNLDNNNFTGHLPSSIGRLQNLHLLSIGNNKIGGPIPLTLGNLTELYILQLRSNAFSGSIPSIFRNLTNLLGLSLDSNNFTGQIPTEVVSIVSLSEGLNLSNNNLEGSIPQQIGNLKNLVNLDARSNKLSGEIPTTLGECQLLQNIYLQNNMLTGSLPSLLSQLKGLQTLDLSSNNLSGQIPTFLSNLTMLGYLNLSFNDFVGEVPTLGVFLNASAISIQGNGKLCGGVPDLHLPRCTSQAPHRRQKFLVIPIVVSLVATLLLLLLFYKLLARYKKIKSKIPSTTCMEGHPLISYSQLARATDSFSATNLLGSGSFGSVYKGELDKQSGQSKDIIAVKVLKLQTPGALKSFTAECEALRNLRHRNLVKIITACSSIDNSGNDFKAIVFDFMPSGNLEGWLHPATNNPKYLNLLQRVGILLDVANALDYLHCHGPTPVVHCDLKPSNVLLDAEMVAHVGDFGLAKILFEGNSLLQQSTSSMGLRGTIGYAPPEYGAGNTVSTQGDIYSYGILVLETVTGKRPTDKKFIQGLSLREYVELGLHGKMMDVVDTQLSLHLENELRTTDEYKVMIDCLVSLLRLGLYCSQEIPSNRMSTGDIIKELNAIKQTLLSPTSVMISSLLLLLLIGPASSDDAAAAAAARTSTGGVAGDELALLSFKSSLLHQGGLSLASWNTSGHGQHCTWVGVVCGRRRRRHPHRVVKLLLRSSNLSGIISPSLGNLSFLRELDLSDNYLSGEIPPELSRLSRLQLLELSGNSIQGSIPAAIGACTKLTSLDLSHNQLRGMIPREIGASLKHLSNLYLHTNGLSGEIPSALGNLTSLQYFDLSCNRLSGAIPSSLGQLSSSLLTMNLRQNNLSGMIPNSIWNLSSLRAFSVSENKLGGMIPTNAFKTLHLLEVIDMGTNRFYGKIPASVANASHLTQLQIDGNLFSGIITSGFGRLRNLTTLYLWRNLFQTREQEDWGFISDLTNCSKLQTLDLGENNLGGVLPNSFSNLSTSLSFLALDLNKITGSIPKDIGNLIGLQHLYLCNNNFRGSLPSSLGRLRNLGILVAYENNLSGSIPLAIGNLTELNILLLGTNKFSGWIPYTLSNLTNLLSLGLSTNNLSGPIPSELFNIQTLSIMINVSKNNLEGSIPQEIGHLKNLVEFHAESNRLSGKIPNTLGDCQLLRYLYLQNNLLSGSIPSALGQLKGLETLDLSSNNLSGQIPTSLADITMLHSLNLSFNSFMGEVPTIGAFADASGISIQGNAKLCGGIPDLHLPRCCPLLENRKHFPVLPISVSLVAALAILSSLYLLITWHKRTKKGAPSRTSMKGHPLVSYSQLVKATDGFAPTNLLGSGSFGSVYKGKLNIQDHVAVKVLKLENPKALKSFTAECEALRNMRHRNLVKIVTICSSIDNRGNDFKAIVYDFMPSGSLEDWIHPETNDPADQRHLNLHRRVTILLDVACALDYLHRHGPEPVVHCDVKSSNVLLDSDMVAHVGDFGLARILVDGTSLIQQSTSSMGFRGTIGYAAPEYGVGHIASTHGDIYSYGILVLEIVTGKRPTDSTFRPDLGLRQYVELGLHGRVTDVVDTKLILDSENWLNSTNNSPCRRITECIVSLLRLGLSCSQDLPLSRTPTGDIIDELNAIKQNLSGLFPSQPSTSKIPCHMCYSRDQVKNRKPKLGMSSSSEIRQSKKKFKTLTGEKCFQSTDNEVSADHR >ORUFI11G18510.3 pep chromosome:OR_W1943:11:21354081:21355771:-1 gene:ORUFI11G18510 transcript:ORUFI11G18510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSVVLGCIFMKRTLTKDWCETTRSSSNATADELALLSFKSMFASDGSLASWNKSIHYCSWPGVVCSRRHPERVISLRLGSSRLSGLLSPFLGNLSFLKILDLHETAYRLRLLNLSTNSLQGNIPVALVGCTNLSLLHLSDNQFQGEFPTEIGASLKNLVLLNVEKNGFSGEIPRSLADLPLLEELNLRVNRFSGEIPPALGNLTNLWILGLDYNRLSGASLHP >ORUFI11G18510.4 pep chromosome:OR_W1943:11:21354081:21355771:-1 gene:ORUFI11G18510 transcript:ORUFI11G18510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAAAMPLPTSSLSSPSSRCSHPMARWHHGTSQSTTAAGQESFAAVGTLRGLRLLNLSTNSLQGNIPVALVGCTNLSLLHLSDNQFQGEFPTEIGASLKNLVLLNVEKNGFSGEIPRSLADLPLLEELNLRVNRFSGEIPPALGNLTNLWILGLDYNRLSGASLHP >ORUFI11G18510.5 pep chromosome:OR_W1943:11:21354081:21355771:-1 gene:ORUFI11G18510 transcript:ORUFI11G18510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASRACAYSHFLMVMARTIILFFFSLFLFCSDALVSPGSSSNATADELALLSFKSMFASDGSLASWNKSIHYCSWPGVVCSRRHPERVISLRLGSSRLSGLLSPFLGNLSFLKILDLHETAYRLRLLNLSTNSLQGNIPVALVGCTNLSLLHLSDNQFQGEFPTEIGASLKNLVLLNVEKNGFSGEIPRSLADLPLLEELNLRVNRFSGEIPPALGNLTNLWILGLDYNRLSGASLHP >ORUFI11G18520.1 pep chromosome:OR_W1943:11:21362824:21366719:1 gene:ORUFI11G18520 transcript:ORUFI11G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIHMHSNLTSWRDIARRIVFLMAGARATALSCFSLLLFCSYALVSPGSSDATVVDELALLSFKSMLSGPSDGLLASWNTSIHYCDWTGVVCSGRRQPERVVALLMNSSSLSGRISPFLGNLSFLNRLDLHGNGFIGQIPSELGHLSRLRVLNLSTNSLDGSIPVALGRCTNLTVLDLSSNKLRDKIPTEVGALENLVDLRLHKNGLSGEIPLHISNLLSVEYLYLRDNWFSGEIPPALGNLTKLRYLDLASNKLSGSIPSSLGQLSSLSLFNLGHNNLSGLIPNSIWNISSLTVLSVQVNMLSGTIPPNAFDSLPRLQSISMDTNKFEGYIPASLANASNLSFVQLSGNSLRGIVPPKIGRLSNINWLQLSNNLLQAKETKDWNFISALTNCSQLEMLDLGANKFSGVLPDSLSNHSSSLWFLSLSVNEITGSIPKDIGNLISLQQIDLSNNYFIGTLPSSLSRLNKLQALSVYSNNISGLVPSTIGNLTEMNYLDLDSNAFSGSIPSTLGNMTNFIPTLSDILELSSNNLEGPIPQEIGNLKNLVEFHAYSNRLSGEIPSTLGECKLLRNLYLQNNDLTGLENLDLSSNNLSGQVPKFFGNITILYYLNLSFNSFVGDIPNFGVFANATAISIQGNDKLCGGIPDLHLPPCSSESGKRRHKFPLIPVVVSLAATIFILSLISAFLFWRKPMSKLPSATSMQGYPLISYQQIVRATDDFSTTNLLGSGTFGTVFKGNISAQDGENTSLVAIKVLKLQTPGALKSFSAECEALRDLRHRNLVKIITVCSSIDNRGNDFKAIVLDFMSNGSLEGWLHPDKNDQTDQRYLSLLERVCVLLDVAYGLDYLHCHGPTPVVHCDLKSSNVLLDADMVAHVGDFGLAKILVEGSSMFQQSTSSMGFRGTIGYAAPEYGAGNMVSTNGDIYSYGILVLETVTGKKPAGSEFRQGLSLREYVKSGLEDEVMEIVDMRLCMDLTNGIPTGNDATYKRKVECIVLLLKLGMSCSQELPSSRSSTGDIVKELLAIKESLSGDEHKM >ORUFI11G18530.1 pep chromosome:OR_W1943:11:21383790:21384449:-1 gene:ORUFI11G18530 transcript:ORUFI11G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTPNCSTLFFFLVFLAAVFVSAAAASEHGRVLEEDQNIRYRVEDSELPDLYYEICMYAREHRPCRRVMATIPDLKFKLSGQVDLALLLSHVIANRSAEAKALADPLLAAAAAKKGGDELPKCLASCAASLDDVSKAMSGLPADIDVERYPKVQSFLRNKFESGAAPPLCKSGCPDKTSTADEAAIADKFHAIWALMDCAETLAQYYVLPPPPPPKR >ORUFI11G18540.1 pep chromosome:OR_W1943:11:21386224:21386976:1 gene:ORUFI11G18540 transcript:ORUFI11G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVDVMGTSDFDWVEHSAWRASLFSLAWRRSFYDSEAVTTATATSIFSSVAGSGSYRGRRGQTWCCLGSSLHLYRFVFLLSLPGQKLHSAVTRNWRWRVLMCLCVKFLFLCFYYDVHVKSLFFVP >ORUFI11G18550.1 pep chromosome:OR_W1943:11:21394801:21397955:1 gene:ORUFI11G18550 transcript:ORUFI11G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTSLQLPLLLVVAALVCCLPTSGAELPGWAKPRLQRLLRGGARSAAAHGRRSPVVEVTVAKNGSGDYRTIAAALAAAPKSTKKVRSSYTIRIGEGTYIEQLNITRRDVTLFGDGVGKTVITGNRGSLKHGDMPSSATVTASGRGFMARDLTIQNTAGPEGNQSLALRSSSNHTVLYRCELESFQDTLYAENGLQLYLDSVISGTVDFVFGNAKAVFQRCHLLVRRGREGAHNIITAQGRDKPGDDTGFSFQNCSIMAKPNENLTGVETFLGRPWKNHSHVIFMQSFLDGIVHPKGWVEWDKSKHVLETTKTVSYMKFNNTGPGSDTSRRVNWEGFSVVDASKAEEYTVDRFIHGTQWLPNALNYKPGLY >ORUFI11G18560.1 pep chromosome:OR_W1943:11:21432622:21436868:1 gene:ORUFI11G18560 transcript:ORUFI11G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPGGYAARWELRPHPVSTNDRRANTKSLEAKPSAIGHTFTHPSYRVRSVSLANQRTATVKARGEDHTLLGLTTRNSATPEGSKSPGNRQALAMPLPAKLGVPYTIGPAEPGSAGAGCASRSLAQVLTSLTLDPSTTPAIPAWSWEATGLRFEFIKSTPTRDDPITPVRSQDATRLRFKFVKTTPLRDDPSHCDMESGAY >ORUFI11G18570.1 pep chromosome:OR_W1943:11:21436905:21437175:1 gene:ORUFI11G18570 transcript:ORUFI11G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRSGWAYSGDVPWRVALLGHHFVAGRLTPPRRLPMAFSGPTPPRRPPMPPPDDVVYVQDGYFIAAWRLAPPR >ORUFI11G18570.2 pep chromosome:OR_W1943:11:21437185:21437826:1 gene:ORUFI11G18570 transcript:ORUFI11G18570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDAVPVLEGYFATAWRPTPPRRPPLPLPSDVTPVLDGYYVTARWLAPPRWPPTPPPGDVILAQGSYFVTAWRPIPPCWLPSPPPGDVVPMLDSYFVAARWLAPPRRPPTPPSDDVVYVQDGYFIASWRLAPPRRPPTPLSGDAVPMLDGYFRPTPPRRPPSPPPGDLVPVLDGYIIASRWLAPPRRPPTPLPGDVLSVQDGYFIAA >ORUFI11G18580.1 pep chromosome:OR_W1943:11:21437841:21438061:1 gene:ORUFI11G18580 transcript:ORUFI11G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATYVAVGTTTSSPPEGLHHHAGYLHRRRATSSPRRTVTSSPPEGFHLREDWFRLYVRNDTSSTR >ORUFI11G18590.1 pep chromosome:OR_W1943:11:21470339:21474525:1 gene:ORUFI11G18590 transcript:ORUFI11G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDEVRVNGAVEVAEVVAEGDLASGGEAAAAGGSDQAVVVVAVAAEGTTAKKGGSGGAAAARKAKVAAAVANGKVGKKPALSQSASFPARGAAAKKAATPKQAKTTDGKGAVPNGSEKAAGRAVEKKVNSARTPAASRSLPVKSGSVDAPPNDASPETQESNENTTNALEQTLPEKMEDDVHSTTSSTNTPRAAAQRKNAAAAAGFSFRLQERAEKRKEFYQKLEEKIHAKELEQTNLQAKSKESQEAEIKLLRKSLTFKATPMPSFYKEQPPKVELKKIPPTRARSPKLGRHKPTNSAAAASVDGSVSCESPRSITNLAKLTESTENNKPHATARKPAQRSVTKIPSQASATAKTETKPLVTKQKTSNTKPKAPRAKVEQLQDNSVEIPPAEPSGPEGLTVEHGVEDATGPDRATTLVASNEVPVQG >ORUFI11G18600.1 pep chromosome:OR_W1943:11:21475268:21476647:-1 gene:ORUFI11G18600 transcript:ORUFI11G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAGDDFRDWSGLPEDLLLTAMEAMQLPDLVHSGAVCRSWHSAFATFRRLGLRSPPHPPCLLYAAAAAADNAVRLYSPSSTGAHFRVPLLDEEAASGVVGSAHGWLFTSDRDANPYLLNPLTGARAALPPATALGRVRGRRVVFSPGDGGRRGVAYDVDFGRRPGGSPDVRQVMARRARRWMYRRVAMSASPSAATGCVVLLLHMPERELSFARPGDERWTPLVDGGVWASHGTSFLDAVHNPGDGLFYVLQDSSPGGDTVVHSLDLTAPPPPPSSPVATMLMFATPPRPCNHHLKKTMCRYLAITPQHPQHVAGGLEFLVVERRWRRSGSDDDASTTEMYVVMLRPLDLYFYEQVSLPGGVGGDLALFVGHAGAACLRVEDYPMFRGNCAYLTDESDGDGDHANPPSWKRLDLALWEFGGSNYRGRLTKLRDMWPLHHPWQDNSPPPIWFTPSLD >ORUFI11G18610.1 pep chromosome:OR_W1943:11:21482083:21492072:1 gene:ORUFI11G18610 transcript:ORUFI11G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDIRKWFMKAQDKNGGAAKPAGTTALAKKPVLSIPEKPSAAPSMAACDQDCSARRKTSKYFASKTEKEEDTSAGKGTGRGLPKRKLQKVSDELEDDMKPLPAKEVHKEEEDDDDDDFVAPSKRKTPVKPPPSKKLKGASTAEAHGKTGLDDDNEDKMDEDAKTPSKASGSGRGRGRGRGRGRGGRGAGAAHGKTIGLDDDGEEDKMDEDAKTPSKAAGRGRGGASGGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGAPDCLTGLTFVISGTLDSLEREEATDLIKRYGGRVTGSISKKTNYLLADEDVGGVKSNKAKELGVPFLTEDGLFDMIRKSKPAKATVAKHQSDKNSEKQQKSPMKSSPVKVERRDGNQITTGKNISPKSNKGSASIDNQKVNIVDRGSLQWTEKYRPKVPNDIVGNQSMVKQLHDWLRSWEDQFLHSGQKGKGKKQADSGAKKAVLLSGPPGIGKTTTAKVVSQMLGLQAIEVNASDSRGKADSKIEKGVGGSTSNSIKELISNATLNYSNNRLKRPKAVLVMDEVDGMSAGDRGGVADLIASIKMSKIPIICICNDRYSQKLKSLVNYCLLLNFRKPTKQQMGKRLMEIAKKEGLQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRQRLNSSTKDEDISPFTAVDKLFGFNGGRLRMDERIDLSMSDPDLVPLIIQENYINYRPITVGKDDSGVKRMNFLARAAESIADADIVNGERNFNRFGGWLGKYSTTNKNIRLLEDAHSHILASQQANLDRESLRLDYLTLLLRQLTDPLKTMPKDEAVQKVVEFMDTYSLSQEDFDTIVELSKFKGHPNPMDGIQPAVKSALTKAYKQGSSSRVVRAADLVNIPGMKKPLKKRVAAILEPVGESLPEENGVASSEGDEEDSSDAENNDELVPGDTKPKLDLQSDKKKGIQVQLDLKSNGNGLNSKKMPAGRSKASGSAGKAAGGSGGKRKR >ORUFI11G18620.1 pep chromosome:OR_W1943:11:21502394:21504289:-1 gene:ORUFI11G18620 transcript:ORUFI11G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRLAEELRALKFDRVWRPSPHRSESDGGSCSYRNSIQRVVTASVAAASTSSTTLVFPSSGSVTSSSSASFTSSAPGSEAHGTPSSGPESYRLLRGEAFRGLSEIASHMVSDRYTERLITEFSRPRLGSGSGGGGGGDEILQTWFSDLGVDWVLRVDEMNLQEKPWSGVEEMIKGWLAALTVMAEALRLTKATLSSGDGDSGGVIPVLPIEKYRPQISAPAWIDDDHAGVTLHDVSPGSGSSNLDQESSDPAPNTSRSRSIAIATVKQAITAYSQAASSRSYRYDQHGTAGPEFQFALFAKASLVKMMCFPDAIAALSRSPEKILRVIDMYAVVSGVSPSLLALLPGATKWLVSERITAVLKTLSGMMRGILHDLESLIREEDSWRTTAQGNDIHPNRDVLVQEGEDDDGDDELFSIGELYQLAEEKSSLTSTVARLINSVDAMIEDRSKMYAAAGGRMHIFLLNNDHFILQQAEPSLQSFMGAYWYAKRKQRVDRRIKEYLDLSWGNVVSCLGYAGQSRRRSSLFRSVSALVEFNSLLQITYHTEKLWKINSPQLRTVLRNSVCGKVISAYRAYLETQGQGGQLGTSATYTPEDLEDMLQNLFEG >ORUFI11G18630.1 pep chromosome:OR_W1943:11:21517564:21520597:-1 gene:ORUFI11G18630 transcript:ORUFI11G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSDAATIIWSTELELAPLIAMVRNRDYFAGARFHVLRGACEHLAHVRDCVRTRARVAARRGRRLATAAAWTEEAEIYLLRFGELRSAHGRIPRLIFWDLLGCYRVSKVASLMMPQVKRLCEEGGRIVRRSKLPQPMEISTGFASRDRTLRAAIERVRTIQPNGIVAIWGRAGLGKTYLLKLVEEYFSRDDTFDLVLRIASPRDSSVAKVQSEIAKKLMLANCDGMQHRARIFDFLKERNFLLLLDCVWQRLDLEEVGIPSLDLVGSCYNRRVVFTACSSHVCDQMNVEVENRIEVHCLDHTESWEIFKQNADLDYLGHKHVYLPRNISAELLGSPLELVTIGKAMHNKKDAIYWQNALHYLTESCLRDTQWSGSEEATFFRLKLAYDSLTGILKDCFKLCSLWPEGHIFNQRKLVDFWIGSGLIQGDDIEASYNEGFSHITTLQEFCLLEPAEDGEAVQMQSTIRDFALWVVHSQGEDKNKWRIQTKENWGLAEQVLLVGLKITELPRIPSNQKTLEVLILQHNYLEDGSFGNFPSLLSLQYLDLSFNKLSNIPVEICMQVNLRYLNLSKNRIKTVPVELGCLTRLRHLHLRNNPNLVIPNGILPKLQNLEVLDVCSFNLLQCSSYEAPINELVRMDKLQSLGITVRSETSFQGISKTTLPIRSLSIVIYNHEDGYETHVSSENSCINPERQTNLFELGIYTRQKTIVLDSIHSMWNVQHVEKAYLHGYFVDRIICQKLHTGDIFAKLRRLDIVRCSRLNHISWIIHLPLLEDLLLFSCSTLHQIIATAQDGVVKTNQEKENPSVNNTFPSLKRMTLIEAGALVRICSPFFSFPSLECLQISACPLLNKLPFLTVPSKLKCIRGENEWWDGLEWEDQDLEPSLELYFHGLSAEDQLSELYLFNSLEVEWASIFTP >ORUFI11G18640.1 pep chromosome:OR_W1943:11:21524139:21528445:1 gene:ORUFI11G18640 transcript:ORUFI11G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPPPLSSLPPPPRRPTVVLLLGLALAFCLAVLSIQSSFFTAPRLASRLDLDSDEVRALSGFQSRVQQCVARRGLGLTADIIDHCKLVLRFPKGTNSTWYNTQFKYFEPLEYNYDVCETILLWEQYRNMTTVLTREYLDVRPDGWLDYAAKRIAQLGADKCYNRTLCEELLSVLLPAKPPFHPRQFATCAVVGNSGDLLKTEFGQEIDAHDAVFRDNEAPVNKKYAKYVGLKRDFRLVVRGAARNMAPILKGSSDEVLIIKSLTHKEINAVIKELPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIIDMYGFTVDPNYTEWTRYFSPPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAKRVEDWSDIPSREEIRTAHAAAFRLKRHETGQSDQMGPFSNCKVWGTVDPDYGPVSGTPDMSETRKSSNYKKWEVLPFDSLRMEAQEHHVQMGGVSLYKMDGNKLDDLVCVRHERSSS >ORUFI11G18650.1 pep chromosome:OR_W1943:11:21529334:21532126:-1 gene:ORUFI11G18650 transcript:ORUFI11G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTDEKAKMEGLTSAAAFVEGGIQDACDDACSICLEAFCESDPSTLTGCKHEFHLQCILECQELLEAVERERNVRTNQTRNTTIFHHPALGDFEVQHLPVVGNDAELEERILQHLAAAAAMGRSHHLGRREGHRGRSGSHGRPQFLVFSSHPNMPSAGSVSSSSVQGEVDNESSPVHTTGELSLHANTHEEAGNQSPGMLTYDADQDAVVSSGNSTPVSSPRFFNRRHSTGQSTPVNNDRAGPSDLQSFSDSLKSRLNAVSMKYKESITKSTRGWKERLFSRHSSVADLGSEVRREVNAGIASVSRMMERLETRGSNGRTSDGPAISTSEVIPSTESSNERVTENNPTTAATSSGNTSASSAPCVTTTGSN >ORUFI11G18660.1 pep chromosome:OR_W1943:11:21534263:21538863:1 gene:ORUFI11G18660 transcript:ORUFI11G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPPLRLIRRALVFPPLTSTTSRGGILSLQIPPRPLLPYKNPTQLELPRFTGAAMPLLLLAAARPCAPLLAPSAAGAVVGRPSTARPLGRGTRRGAGAAAAAAVAAEKTVVKAEEEEEGGGEYDAIVVGSGIGGMVAATQLAAKGARVLVLEKYVIPGGSSGYYRRDGFTFDVGSSVMFGFSDKGNLNLITQALEAVGHKMEVIPDPSTVHFHLPGDLSVLVHREYDDFVTELVNKFPHEKEGILKFYGTCWKIFNSLNSLELKSLEEPLYLFGQFFQKPLECLTLAYYLPQNAGDIARKFIKDQQLLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGGIAMSLADGLVDKGSEIRYKANVTNVILENGKAVGVRLSNGKEFFAKTVISNATRWDTFGKLLKVEELPEEEKNFQKNYVKAPSFLSIHMGVKASVLPADTDCHHFVLEDDWANLEKPYGSIFLSIPTVLDPSLAPEGHHILHIFTTAGIEDWEGLSRKDYEKKKELVATEIIKRLEKKLFPGLQDSIVLKEAHQKHTEDFLREMMVHMDPCHGSIDGLYCVGDSCFPGQGVIAVAFSGIMCAHRVAADIGLEQRSPFEARFRRESNT >ORUFI11G18660.2 pep chromosome:OR_W1943:11:21534263:21538363:1 gene:ORUFI11G18660 transcript:ORUFI11G18660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPPLRLIRRALVFPPLTSTTSRGGILSLQIPPRPLLPYKNPTQLELPRFTGAAMPLLLLAAARPCAPLLAPSAAGAVVGRPSTARPLGRGTRRGAGAAAAAAVAAEKTVVKAEEEEEGGGEYDAIVVGSGIGGMVAATQLAAKGARVLVLEKYVIPGGSSGYYRRDGFTFDVGSSVMFGFSDKGNLNLITQALEAVGHKMEVIPDPSTVHFHLPGDLSVLVHREYDDFVTELVNKFPHEKEGILKFYGTCWKIFNSLNSLELKSLEEPLYLFGQFFQKPLECLTLAYYLPQNAGDIARKFIKDQQLLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGGIAMSLADGLVDKGSEIRYKANVTNVILENGKAVGVRLSNGKEFFAKTVISNATRWDTFGKLLKVEELPEEEKNFQKNYVKAPSFLSIHMGVKASVLPADTDCHHFVLEDDWANLEKPYGSIFLSIPTVLDPSLAPEGHHILHIFTTAGIEDWEGLSRKDYEKKKELVATEIIKRLEKKLFPGLQDSIVLKEAHQKHTEDFLREMMVHMDPCHGSIDGLYCVGDSCFPGQGVIAVAFSGIMCAHRVAADIVIPEKLKLPGIIVQISVVIWEQKA >ORUFI11G18670.1 pep chromosome:OR_W1943:11:21539278:21541597:-1 gene:ORUFI11G18670 transcript:ORUFI11G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRPEWLHRDTIDRRRGMSVEQFVSEFEEPNRPVLLEGCLESWPALQKWTREHLLKVSAGKEFAVGPVSMTLDRYLQYADNVQEERPLYLFDAKFTEKVPEMGRDYEVPAYFREDLFGVLGEERPDHRWVIIGPAGSGSSFHVDPNSTSAWNAVIKGAKKWVMFPPEVVPPGVHPSADGAEVTSPVSIMEWFMNFYGACKTWEKRPVECICRAGEVVFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKRPNASELVSGTTDRVNLHDKFRNAIDMTYPGMIKQLELEAQQKAAARKKKVSFWESAVDANTGGFKFSF >ORUFI11G18680.1 pep chromosome:OR_W1943:11:21543505:21544042:-1 gene:ORUFI11G18680 transcript:ORUFI11G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAARAAKRARIAAPPPPTPTPTQLRRGDGGYVPGNIVEIELSNFMTYHRLACRPGPRLNLVLGPNGSGKSSLVCAIALALAADPGVLGRVASVGAFVKRGEESGHVKISLAGTHPNTLSASRGRSIPRTSPSGSSMV >ORUFI11G18690.1 pep chromosome:OR_W1943:11:21547449:21564636:-1 gene:ORUFI11G18690 transcript:ORUFI11G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLEPEEDEMLVPHQELVAADAAQPMEVVAQTEAASTAESQPAEDPQTSRFTWTIENFTRINAKKHYSDAFVVGGRVLIFPKGNNVDHFSMYLDVADSANLPYGWSRYAQFSLAVVNQIQQKYTIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVDDTVVVEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKVTNLRTVVEGTIEKLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYALHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNAPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRVRLKKEQEEKEHKKKEKAEAHLYTIIKVARDENLKEQIGKDIYFDLVDHEKVRSFRIQKQLPFTTFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLSPHEETQSVGQLREVSNKAHNAELKLFLEVELGPDLRPLPPPEKSKEDILLFFKLYNPEKEELCFVGRLFVKALGKPSEILTKLNEMAGFLEDGDIICFQKSPIPDSDTQMRYPDVPSYLEYVHNRQVVHFRLLEKPKDDDFSLELSKLHTYDDVVERVARQLGVDDPAKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHSIRLPKNSTIADVINDLKTKVELSSPSAELRLLEVFYHKIYKIFPLHEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFMKDPLQNQIQNFGDPFYLAIREGETLAEVKERIQKKLQVPDEEFCKWKFAFISMNRPDYLQDSDVVSARFQRRDVYGAWEQYLGLEHADTAPKRAYTANQNRHTYEKPST >ORUFI11G18690.2 pep chromosome:OR_W1943:11:21547449:21564636:-1 gene:ORUFI11G18690 transcript:ORUFI11G18690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLEPEEDEMLVPHQELVAADAAQPMEVVAQTEAASTAESQPAEDPQTSRFTWTIENFTRINAKKHYSDAFVVGGRVLIFPKGNNVDHFSMYLDVADSANLPYGWSRYAQFSLAVVNQIQQKYTIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVDDTVVVEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKRTVVEGTIEKLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYALHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNAPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRVRLKKEQEEKEHKKKEKAEAHLYTIIKVARDENLKEQIGKDIYFDLVDHEKVRSFRIQKQLPFTTFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLSPHEETQSVGQLREVSNKAHNAELKLFLEVELGPDLRPLPPPEKSKEDILLFFKLYNPEKEELCFVGRLFVKALGKPSEILTKLNEMAGFLEDGDIICFQKSPIPDSDTQMRYPDVPSYLEYVHNRQVVHFRLLEKPKDDDFSLELSKLHTYDDVVERVARQLGVDDPAKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHSIRLPKNSTIADVINDLKTKVELSSPSAELRLLEVFYHKIYKIFPLHEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFMKDPLQNQIQNFGDPFYLAIREGETLAEVKERIQKKLQVPDEEFCKWKFAFISMNRPDYLQDSDVVSARFQRRDVYGAWEQYLGLEHADTAPKRAYTANQNRHTYEKPST >ORUFI11G18700.1 pep chromosome:OR_W1943:11:21572059:21575550:1 gene:ORUFI11G18700 transcript:ORUFI11G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRWRSRARRDPDVDDEEGSPPERRRRGARSGSDDEEAGNEDLSLEIVARAARRRRRREASAGFAADAFSSGDEIDEDAVVELGEADPSSRKRRKEKKKRRKEKRKQRKGAPPEGSPSTAAADKEVHSQVAGTQEGQTGTAQSVLTEDGPDVPLSDNIVLRKLLRIPRYFDPGETLLETCFNCGEEGHVAVNCPMEKRKRPCFVCGLFGHNSKQCTQGQDCFICKKGGHIAKDCPEKHNRNTQQSTFCLRCGESGHDMFGCANDYPRDDVKEIKCYVCNQKGHLCCADFSDICPKEVSCYNCAQPGHTGLGCAKQRREASTAATPTLCYKCGEEGHFARGCTKNTKSDRMNGESSAYSRKKGKGKKDFGTRSAPHDARKTSKRKSPLFEERRNSSHFKSKARGGWIADDADDQPYKKCKPNVWASPSTPKKQYNNHQFSSGGDYSTPQSSRWQKHGFASPSATYSPNTRKHSFSSSRFASNTHVRFGRS >ORUFI11G18710.1 pep chromosome:OR_W1943:11:21579271:21581203:1 gene:ORUFI11G18710 transcript:ORUFI11G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVNAKSCLAGHPAPRPLQRRWRRRNACAGMEHAHGEDTRAPRHAVSSRLSLLLPSPVWMRRRRRRRAPQDKTGPPHYWWALTGQPDQIPPPPLPSLLEADGYLYPRLFLHSSPRLASPEPQAPNLNRTGDRSSLHPHPAAAGKRVALCCDVQIFNGKQLSNPMYVIFMSSYWLHFWSTMLPQEEQDTMHNGVTLLELVANGLLFHYGWRSSIRIAS >ORUFI11G18720.1 pep chromosome:OR_W1943:11:21583252:21583989:1 gene:ORUFI11G18720 transcript:ORUFI11G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLREDGGKGHPERKREITRQLALAEREATPNHTLRRVIQAGCATHAFERFPTPCAPVDSCRVAALIDEGTTMLGGGGRQRQLTALREIKAITTESDRNKRCVEATPGAVEFLVSVVVQSHAAASTYMSAKSDDDLLDSVIDSPISTSSPEEEALGVLYSLKPFEPTLRRILGKDNGGFLDTLASVLLLKAMMSAMPPERLGCRPIRRSIRSRTPLRCRWRAFLEEAGEPDDKASAAVAAAGEE >ORUFI11G18730.1 pep chromosome:OR_W1943:11:21592607:21605925:-1 gene:ORUFI11G18730 transcript:ORUFI11G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCACILLPSSASGRRGPPCAHICPPSAVDVFPAYASGRRREPPATDVLPIGTLGRSAVIDELLLAHGVGRREVVRHGDEEGAGDRDRAVGCTLRGRNGECVSQEMNARVGSAGTPTPSASTSFDCSRRRVQEGPHRLAARAGRTDELLPAQWTNDGTGTDRLTDVAEEAFSAREIAVKKLENRDFVGARKIAIKAQRLFPELENISQLLTVCEVLSSAEAKISGELDWYGVLQVDKMADETVIRKQYNILSYRLHPDNNTLFGAEAAFRFVSEAHAVLSDHAKRSLYDTERQRASRESVPSRILSTAAKRSQLSPSEMFSFQRSSVPNQHGNQHQQGKCLVTRSEAIQFSAMKQTKSHICSSDNDKPGTLVPKSSDLNSISVKNLTRESAPAEENAAESSSFQILGKRKLYVTSDSSHGMNSNIKRQRKYICPSDSDSSNEQICNDDVAVPDNQSTGQNVPIEVDSEEEGNARHGGNQQTCQKNVNDTAAQKYLNSVIAYPYPDFDFGKSRDTEETDESIKQYGWAGDMEGKGLVTRSDRVQFSEISQAKSHVPPADNDMPGTLVPRSPDPNSIAVQNLTGESVSAGTNAPGSSSLQILGRRKLCDSSDSSRAMNSNIERKRKYNSPSDADWSNEQICNDDVAVTENQFAKQHVPIEVDSEEEGNEKHGDNQQSHRKDDTDTSSQNSANPFFFGNTLLAQCQHVASTATTPLRRLDSKRPDSANPVIAYSSPDFFNFDKSRDVSQIAVDQIWAVYDGHDCMPRAYARINHVDPSNLKVQFTWLVHNTVNEQNSKSTNEKLPFACGNFCLGETDVLHNPSSIPFYRTNGNERVGVAEGFLELDTAALPSDLDSAFTSITLESYMALDKKTNIELICYACPDSEFYNIEQDRSHDKFEAGQIWALYSDADKFPNIYETKQIGAKCKVQIHPKIGEVWAIYKNWSNKWVPSRSTRGTKYAIGKIVDSTEAFTLFGYLTKVDGYISVFKPDVRRGILKIPVKESLRFSHRIPSFCLTKEKGGKLHDCYELDPAAVPDVFLHKD >ORUFI11G18740.1 pep chromosome:OR_W1943:11:21610421:21612473:-1 gene:ORUFI11G18740 transcript:ORUFI11G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTRDRLRFSHRIPSFLLTEERGGKLRGFYELDPASVPDVFLYRNTVMGWITYQRYRRGMRGVPGTKAAVAADALELMH >ORUFI11G18750.1 pep chromosome:OR_W1943:11:21612668:21617563:-1 gene:ORUFI11G18750 transcript:ORUFI11G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILVKLMDIRKEVQCPICLGIIQKARIITECLHRFCRDCIEKSMWLGNDECPACRTLASSHSLKVDPNFDALILTLYPDLHKDEEEELAFTEEKTCRKKIQASTDEAPHRRKEALGKKRSAAKAIGSSRSQGNMLARKRGHIGFPDIVPSKVGGKDREENDNSGCDEWSLFDEYSQDIKKKRARRCLVPRSSPAGHKISTTLKKDAHARSFECGQIWALYSEVDKFPKLYGWIRKVKLQPFTVHLTWLEPCPQQEQEKRCKATCEFTIEERGGELCGFLELDPGSVPDIFLNREENNNDGCKGLSSVGDHSEGPKTKKARKFHVSQSSPAGHKVTSKVDSHEERMANRVAIRLKVNQSEAHVCRLLSAEHHEDLSLQKKKTFGDTAHRADRQYNPPPMTRKVDIQGKGKTSDTDDKDNANAEATNTVRQNEHSCSLELPSPYPDFYDFEKLRDINMFSLGQIWALYDDLDGMPRFYARIEHFDASSFKAHLTWLEYNAASEEEKKWADEEQPVACGKKRIAYEVYLNKGELWALYKDWSMQWNSDADSHRSYDYEVVEILSGFSVNDGITVIPLVRIKGFVSLFAAAKDKSAVVIASSELLRFSHNIPCYRTTGNEKVGAPAGFMELDTSCLPIDMDMIFPSVTLDSYISLGKKKGSTLIDLTTDSRSSRKDPGNEQTDNLSEAQKESLSSEKNSSLPKNGHVANGFGNNSGPGCPSPTPTIFSYPDPEFHNFEDDRTCEKFEPGQIWALYSDVDKFPKFYGWISKVERQPFIVHLIWLEASPEYEQEKRWLEQDLPVSCGKFKIRDWKTKYERNYSFSFSTYRIEWLKPAD >ORUFI11G18760.1 pep chromosome:OR_W1943:11:21630662:21631087:-1 gene:ORUFI11G18760 transcript:ORUFI11G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDGDLARVEAEGVVAGAEGGEVALNLLRLPPAAPPPSGTSMEVEAAWPASGLAALVSRVSCCSSPPTTKTRRHSARCGGGGRNWVASGGAHGDAARLARSGIAITHHPPDEGGISMVDAGTLLAAMTRSTRGNVTEEF >ORUFI11G18770.1 pep chromosome:OR_W1943:11:21635176:21646744:-1 gene:ORUFI11G18770 transcript:ORUFI11G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWSFFFPLSHVFPSQKSSDACSWSHGACGQLPPSPRAREAGAAAFLSSSLSQPLLPPCGASGYRHRLPSELAAAAATFLQVRCPLSPPYRLFLHPTRTWFCKICAASSAYKHAVTNRPAFIFGSINERITYVEKDMDGLDPLGKADFLFISETDVNFF >ORUFI11G18780.1 pep chromosome:OR_W1943:11:21646375:21646854:1 gene:ORUFI11G18780 transcript:ORUFI11G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTCWLPRACRRSMRHRSCRTKFAWDGERDGMAATVDIELARRWQQPLLARWEDDDGNHWLRTAAATAGSTSLRGRQRLRLRELEGKAAAGHRLHETMSRRRRISGRGKRETKEKRMTRQPSSPSRGCLRRSRGRAYAGWWRRPADPVWAAAALTPL >ORUFI11G18790.1 pep chromosome:OR_W1943:11:21652058:21653566:-1 gene:ORUFI11G18790 transcript:ORUFI11G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTISKVFPSFAEKFLKEAKAGNSPELPQDVLMEIFALLEVPDLVRAGSVCSSWRASYISLCKLGGYRQAQTPCLLYTSESAGENVACLYSLAEKRAYKLTMPDPPIRSRLLRKYIFKKAFLSSDPSMGDYFVALIHYPLAQLSFARAGSDKWTWLPPHTDFMDCLFEDGLLYALNSAGEVHAFDLSAPTVTQKVVLEDVKAYIEENMYFARAPSGDLLQIWRSLATNRDDYYVDQTDGDDSEHGSDHKNWIDDYVDQTDGDVLQFELDKYEDDLEHASEHENWRAGDGLKPESDEDEDEDDLEPEPNTDSLVVNTNMIKVFKVDFSAKMLVDINSLGNSVLFLGYNQTLCLNADVYPQLKPNHIYFTEDDSLYLFRCKKNRRDTGVLDLENDTIEPIVSPELWSNWPVLIWLIPNPRKMISASHN >ORUFI11G18800.1 pep chromosome:OR_W1943:11:21654614:21655850:1 gene:ORUFI11G18800 transcript:ORUFI11G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHKVVVVEAALLPHIHGEVAIAVLGPQRLLDPLLPGGLLDGLLVMGLGGGADAAAHGERRGGAGFAADAFSSGDEIDEDAVVELGEADPVSRKRRKEKEKKKRRKEKRKQRKWAPPDKDETSLKLVFSFVSQNPFRHLVTTGETIFAWLTKNYTSLGREGGDVGERRELNGGGDILSATEHFNDAYSIGKGSFGTVYSADLGGGRTDLGMKRLDASETGDACCGS >ORUFI11G18810.1 pep chromosome:OR_W1943:11:21656882:21657422:1 gene:ORUFI11G18810 transcript:ORUFI11G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGGRWWLRRRHCFGPRCRTLGSTLTKKEVFTWTNSNNQQLLHVNDIDRTSKRMFFAFFSCLLDDGWLLVRNVELISRPYRYILP >ORUFI11G18820.1 pep chromosome:OR_W1943:11:21678274:21679087:-1 gene:ORUFI11G18820 transcript:ORUFI11G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTPKRNATPCRVVQLIGGDRHVVVRRGGRRRRNEAVHGTGAADELGLDDELAGHVSDVDAERRGAPCAVRHDRLRTVAASQGDEALAAPARRDGMLLDRPLQRRRRNGEETRAPRHAKLCHADAVSSRLRLLLPSPAWMRWRRRRRAPQDKNGPHITGGLGPDNPTRFRHLPQLLAGLGQTPRL >ORUFI11G18830.1 pep chromosome:OR_W1943:11:21692692:21694013:-1 gene:ORUFI11G18830 transcript:ORUFI11G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLRNKVTALMAMLHRSASQGDEALAAPARRKGMLLDRRAAILHRDVFRGGGGTARIRERHAMPLRRVVSSEVAAAVAGLDEAAEAETCTIRQDWSPHYWWARTGQPNQILPPPLPSLLEADGYLYFIPSALCFILAFPRLSPNLNPSGDRSSLQPHPRRRRQEGGALLRRAESSRRQHGRALREGREARQDRKRPRTLSRIKNTRRDIDLLMATPPPPPVRPGEGAAASALTSLSSWLAWVKVSNAAPTSGSPRRRSGSARGEEVAPLRQPPPRQGLWICDSCATTTMMSHPSFRFLSVSGREVAWIRVKTASRSSTCPLRQQTPSARKITPSASNDSWKTLGASPRFVR >ORUFI11G18840.1 pep chromosome:OR_W1943:11:21697269:21700903:1 gene:ORUFI11G18840 transcript:ORUFI11G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSPGWAKYLQSRIDNPVARTQEAQTGTAESVLTEDGPDVPLSDSHIAKDCPEKHNRNAINSLHFMYDVENQGPDMFGYANDYPRDDVKKGHLCCADFSDICPKEVVIILPNLAILVWDVPSNVRREASTAATPTLCYKCGEEGYFARGCTKNTKTMQMTNHKEVQTQCVGFSIYP >ORUFI11G18850.1 pep chromosome:OR_W1943:11:21709058:21709555:1 gene:ORUFI11G18850 transcript:ORUFI11G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVFAATGGVGIVVGEVAFQVKILRWTEGGGRGRVGKVFLDEKILGAAAAGGGRGPGRGPVTLGEVFLEEKVLGAAVAGGGAGGAGTSPSMRSSSNRAFMEDSRVKARRREAMERIGLAPRLPRHASCSCSWSLSPWPSNDGFFEQLVNAAKLDEGVVAGDSAR >ORUFI11G18860.1 pep chromosome:OR_W1943:11:21710885:21716683:1 gene:ORUFI11G18860 transcript:ORUFI11G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLETVAAVPAAGDGGRHMLLHLCSLRDIKHSDGWIRVLLEEAENERMHLMAFMAVPKRRINPPPNDTTSTARVVGDHNAGDVVVLDNQLRSPCSSLAGDTICCDRSNFNTDVCFMASDVRTDPSSLSLLLFPKQPPTANATVEERIRAHHEQGRGGAAPDGSSRHDAPLLVMTAGGYTGNLFHAFSDGFVPAWLTVQHLRRRVVLGVLLYNPWWAGTYGEIISGLLDYHVVDLLHDKRKHCFPGAIIGTRFHGILSVNPARLRDNKTIVDFHDLLADVYETAGDTVVVDVPQPAPRRPRLGIVSCRGKRVIENQAAVARLARTVGFDVDILETADGLPLPASYASVSACDVLVGVHSADLTKLLFLRPGAALV >ORUFI11G18870.1 pep chromosome:OR_W1943:11:21718819:21728036:1 gene:ORUFI11G18870 transcript:ORUFI11G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPQASSMTAAARRRQLQGSVLAGKMVLVRKALDTNLNSGDVVCQLGKKSTPVNVIGAAVAGEPRHNNANECKRRAPGERGGNSDSYQHLRDDGQLRKMAGDEIGTAVRYCPRWRRCFGDLRRQRRGGRPSAWCCDADDGLGEACVHINSELHPTPYEASTFSFEFIWDMKKQGVPGAVIVKNYCDEEFFVNTITLDIVPGYGTIVFTAESWVYPDEIYDHLPRVFFSNQPYLPNQMPAPLVPYREEELRNLRGDDNPGPYKDHDRVYRYDVYNDLGEPDSGNPRPVLGGSDEHPYPRRCRTGRRRTNTDPDSESRNVGFPLTNHFYVPRDEVFNDRKKAYFDTNNLKLYIMQKYATFLLHADQQTPFEFDSFADVLSLYDEGSINLPGWLNTFLQPLLGIIPFKLLQQVLTPDSEFILKFPMPAEDKTAWQTDEEFAREMLAGTNPVVIRRLGETEFPPKSKLDTSKYHNQNSRITAAHVEKCLEVEGLTVEQALADGRLFILDHHDHFMPYLLDANHQPDTFVYATRTLLFHRNDGTLQPAAIELSLPRFEAGSTLISSVGEVYTPASDGVEGHIWQLAKAYVTVNDYSWHQLVSHWLNTHAVMEPFAIATHRQLSVAHPIHKLLHPHYRDNLFINALGRQSLINAGGSSENTVFLGKYGLSMTSEVYRNWNFTEQALPEDLIKRGVAKRRSNGELELLIKDYPYAVDGLAIWSAIETWVRDYCAIYYADDAAVQGDAELQSWWKDVREEGHGDLKDHKWWPEMKTVAELVQSCATIIWIASALHAAVNFGQYMYAGYVPNRPSVSRRPMPKPGTDLYRELELHPEKEFLLTITKQDLSIAGIALVELLSSHSDDEVYLGQRDSPNWTSDLDAMNAFDRFRERLLEVEKNIVAKNDKGSGFKNRTGPVNIPYNLLFPYASGDAEANTGVTGKGIPNSASI >ORUFI11G18870.2 pep chromosome:OR_W1943:11:21718819:21728036:1 gene:ORUFI11G18870 transcript:ORUFI11G18870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPQASSMTAAARRRQLQGSVLAGKMVLVRKALDTNLNSGDVVGMLGQEACVHINSELHPTPYEASTFSFEFIWDMKKQGVPGAVIVKNYCDEEFFVNTITLDIVPGYGTIVFTAESWVYPDEIYDHLPRVFFSNQPYLPNQMPAPLVPYREEELRNLRGDDNPGPYKDHDRVYRYDVYNDLGEPDSGNPRPVLGGSDEHPYPRRCRTGRRRTNTDPDSESRNVGFPLTNHFYVPRDEVFNDRKKAYFDTNNLKLYIMQKYATFLLHADQQTPFEFDSFADVLSLYDEGSINLPGWLNTFLQPLLGIIPFKLLQQVLTPDSEFILKFPMPAEDKTAWQTDEEFAREMLAGTNPVVIRRLGETEFPPKSKLDTSKYHNQNSRITAAHVEKCLEVEGLTVEQALADGRLFILDHHDHFMPYLLDANHQPDTFVYATRTLLFHRNDGTLQPAAIELSLPRFEAGSTLISSVGEVYTPASDGVEGHIWQLAKAYVTVNDYSWHQLVSHWLNTHAVMEPFAIATHRQLSVAHPIHKLLHPHYRDNLFINALGRQSLINAGGSSENTVFLGKYGLSMTSEVYRNWNFTEQALPEDLIKRGVAKRRSNGELELLIKDYPYAVDGLAIWSAIETWVRDYCAIYYADDAAVQGDAELQSWWKDVREEGHGDLKDHKWWPEMKTVAELVQSCATIIWIASALHAAVNFGQYMYAGYVPNRPSVSRRPMPKPGTDLYRELELHPEKEFLLTITKQDLSIAGIALVELLSSHSDDEVYLGQRDSPNWTSDLDAMNAFDRFRERLLEVEKNIVAKNDKGSGFKNRTGPVNIPYNLLFPYASGDAEANTGVTGKGIPNSASI >ORUFI11G18880.1 pep chromosome:OR_W1943:11:21728129:21730420:1 gene:ORUFI11G18880 transcript:ORUFI11G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKDSHDYKKWRILTPPNVIPLSSPFSPSSHVLVAGEDVAPTSCAIAGNLARKASGERWTGLKNAQQNDD >ORUFI11G18890.1 pep chromosome:OR_W1943:11:21731170:21734652:1 gene:ORUFI11G18890 transcript:ORUFI11G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRRLPAEGALSVARVGAGDEAAALREAVARQQHGIAELQAELEAERGAAAGAACEAMSMILRLQQEKSEAMMEARQFRRYAEERFSHDAAEVAALRDAVEQRDATVRSLSAQLRACRFRLLHLGFNSPLPSLTSSAAEAHQYNDDDDDEHHPFDEDYPPINCDEQPASSDVGTPRTHHLLNRMPGRASAADKGVISSSSTNLFPDDSGIAMDDEFPLVVDREASDQEDDCHRVYTVDAVHVVPVAEPENPCYFGTPIGGEMSHRGTIGTRAEEEEIQKLSARLQALEADRESMRHAIMSMGSEKQQVVLLKEIAQKLCKEAAPLQVIPFKVRSPPQPVVMAQRKVVKRQSFFAKFFVVAVIKWIASVFSWRRKSYRVKYPIGLCGSNVGLMLLLNRFPKQRHRSTPDPTNALEYFWVMS >ORUFI11G18900.1 pep chromosome:OR_W1943:11:21748534:21751083:1 gene:ORUFI11G18900 transcript:ORUFI11G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDKRINGGLQGFAVSSSSKKEKGIRILDAPAPPEIPTRRAGFSFGVGDGEEAEEEVVLAPSSALARARGCDVYVGYGGGGGGGAAAEVERFVAWLCAEMEALGVRCVAADRRRCRDAPSHAAARAAMDAVVAGVVVVTPASLGNPYCVDEIRAFVEKGRLVPVFVGLGKGDCRAEDVVEKRGDLWGRFGGHLWKVYDGGERDWREAVGALSRADPAVEVDAASQRHRLIDLLEIVGSRLGRRAVTDAVRSWRAAAAAHPELPFARNERFVGRESELLDLEAVLFGKRPMHLVEVEVFCGEPAFMDGVVCISGASGAGKTELVLEYAHRHAMEYKKVLWVRGEARYLRMGYLKLADQLGLAVGDDLSLIAAGDRRSSGSKKAEKEWIFRGLESDAIAKIRKELTREIPYLLVIDNLESETDWWDSRDVQDLLPGAVAGAAVRSHVIITTTRLRRLQRVRTFSLAPSMESPEAMLLMTRNGALAFHGEEDTIALRAIQQKVGSIPLALALVGAVLSELAVSPAELRQAMNDAPYRAPTWEENDAPALRDNPGMVQLLDVCFALLDEEKDGLGEAAVRMVETSSFFAPSPIPVALLAAAMGGEPKRPLWKQMKLALRLSCSSSRRALDKDSSSRRRAAAAAAEPEALVALLRLGIARRCTTQPAPCVSVHRVFRLFGRKAVGSGEAAARSTVRAITAAEVHDEHAWAACMSVFKIVPAIAANLPTKELPQFVTHVAVPLAARGVVAHSAYAAVTDLLVESSNVVRGEESRYVASGGLDENPALYHELAHSRAQLLKLRSKLMLRGGEFTLAEDHSLAVIHILEVVAGDDDPETEEARAALDRVLQVQPE >ORUFI11G18910.1 pep chromosome:OR_W1943:11:21754484:21754839:1 gene:ORUFI11G18910 transcript:ORUFI11G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECEESYGLGKYILVLTSCGKSTGKAKCAIATVEFLSNLSDLEFLFMVYKLKDLVLTVDTEAKGVYLHVPREIGVLG >ORUFI11G18920.1 pep chromosome:OR_W1943:11:21761706:21763572:1 gene:ORUFI11G18920 transcript:ORUFI11G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCSREEIMDLCPQNMCYLPHDILMDIIAMLEIPDALRAASVCSSWRSVHIKLHNLGNLAEKRTYKLTLPEPPISRRYLLGSSDGWLVTADERSEMHILNPITGEQIALPSVITINQVTPIFNRKGELCKYRYSRHTAEGVTESPMTLPLDKLRLEHDKWTWLPPHLGIHDCAYKDGLLYAVTSFGEIFSFDLDATVITAKVIMGRTKEYACERIYIVHAPCGDLLQVWKPQEGNGNWVDEITGFPALVSNTQNTRIFRVDTVAKKLVQIFSLDDHVLFIGNNQTSCLGVSEYPQLKANHVYFTDDFECLSSKSMWGLRLDIGVLNLEDKSIDEIVAPRLFLKCRAPVFLVPNPSMMNSTLHG >ORUFI11G18920.2 pep chromosome:OR_W1943:11:21761634:21763572:1 gene:ORUFI11G18920 transcript:ORUFI11G18920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHILNPITGEQIALPSVITINQVTPIFNRKGELCKYRYSRHTAEGVTESPMTLPLDKLRLEHDKWTWLPPHLGIHDCAYKDGLLYAVTSFGEIFSFDLDATVITAKVIMGRTKEYACERIYIVHAPCGDLLQVWKPQEGNGNWVDEITGFPALVSNTQNTRIFRVDTVAKKLVQIFSLDDHVLFIGNNQTSCLGVSEYPQLKANHVYFTDDFECLSSKSMWGLRLDIGVLNLEDKSIDEIVAPRLFLKCRAPVFLVPNPSMMNSTLHG >ORUFI11G18920.3 pep chromosome:OR_W1943:11:21761640:21763830:1 gene:ORUFI11G18920 transcript:ORUFI11G18920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCSREEIMDLCPQNMCCVIPQVLPKQLCLPPCSTKEELRTILTETTAREFSDLPHDILMDIIAMLEIPDALRAASVCSSWRSVHIKLHNLGNLAEKRTYKLTLPEPPISRRYLLGSSDGWLVTADERSEMHILNPITGEQIALPSVITINQVTPIFNRKGELCKYRYSRHTAEGVTESPMTLPLDKLRYFFHCKAFVFYDKFVRSYIVVLIHDPHKQISFARLEHDKWTWLPPHLGIHDCAYKDGLLYAVTSFGEIFSFDLDATVITAKVIMGRTKEYACERIYIVHAPCGDLLQVWKPQEGNGNWVDEITGFPALVSNTQNTRIFRVDTVAKKLVQIFSLDDHVLFIGNNQTSCLGVSEYPQLKANHVYFTDDFECLSSKSMWGLRLDIGVLNLEDKSIDEIVAPRLFLKCRAPVFLVPNPSMMNSTLHG >ORUFI11G18920.4 pep chromosome:OR_W1943:11:21761634:21762178:1 gene:ORUFI11G18920 transcript:ORUFI11G18920.4 gene_biotype:protein_coding transcript_biotype:protein_coding QPITPYTLVDPILHRPPSPPRESAVFAERRRRPAASAASSPEEPARSRPPRLCSFRGVPARAVHLPRGCTSASVPAQAIDLL >ORUFI11G18920.5 pep chromosome:OR_W1943:11:21762196:21763572:1 gene:ORUFI11G18920 transcript:ORUFI11G18920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCSREEIMDLCPQNMCCVIPQVLPKQLCLPPCSTKEELRTILTETTAREFSDLPHDILMDIIAMLEIPDALRAASVCSSWRSVHIKLHNLGKYKRPQTPCFLYTSQSIGENIACLYSLAEKRTYKLTLPEPPISRRYLLGSSDGWLVTADERSEMHILNPITGEQIALPSVITINQVTPIFNRKGELCKYRYSRHTAEGVTESPMTLPLDKLRLEHDKWTWLPPHLGIHDCAYKDGLLYAVTSFGEIFSFDLDATVITAKVIMGRTKEYACERIYIVHAPCGDLLQVWKPQEGNGNWVDEITGFPALVSNTQNTRIFRVDTVAKKLVQIFSLDDHVLFIGNNQTSCLGVSEYPQLKANHVYFTDDFECLSSKSMWGLRLDIGVLNLEDKSIDEIVAPRLFLKCRAPVFLVPNPSMMNSTLHG >ORUFI11G18930.1 pep chromosome:OR_W1943:11:21766627:21766890:1 gene:ORUFI11G18930 transcript:ORUFI11G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGRVDGNGRTPLFFTFSPGMVVVYGRKRGRKHGVVLVADEDYHAFARQPVQQFRRRDAVSTGNHIGGNRAVRRKSLRRHHLRGL >ORUFI11G18940.1 pep chromosome:OR_W1943:11:21768447:21768668:-1 gene:ORUFI11G18940 transcript:ORUFI11G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGDSVRPLSDVNQEDVSEKTEAAEEEAPTELSAEAEQEAEQRGEEDFKLKKHCSSRPVKPNPMYHGPQWAV >ORUFI11G18950.1 pep chromosome:OR_W1943:11:21768799:21772030:1 gene:ORUFI11G18950 transcript:ORUFI11G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAARQAARKSREREFMQWRLDIMKEITTSLKASSPVFRAASSPPSQAPPSPTPTTYLTKCPNNANPRVMVSSSHIDEETAPMVFLELGDGEDKVHDSYIVTKNFPEVTSTMCSMKCSSPDTEPDLTMTAVVACATTATASMEVVSVEDTTGVTYIDTYDYSKVTHVKRSKVGLDVDGGTDQDVVAFQTMMGLAMEEVPQFVKRKRHGLVCTEHYEEQTEAARRASGISNMAMISIRISCGRTTAKAAILEV >ORUFI11G18960.1 pep chromosome:OR_W1943:11:21772347:21774151:1 gene:ORUFI11G18960 transcript:ORUFI11G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRVLTGEDAAEAAGTTAEPLGADGGQADSPDEKGTDGGSHRLAKRPALLPPTLTLTHFPPTAPLRGDFFVLRRQIPLRRYLQFTTALIQQAAPAAPAPFLAESLRRRSSCSRWRRRSSCRRWQQPWLRHPSWLRAAAILHPATPRRPSCPEPPTPLQSSLCLPSSIRRLGISATGFSTASRRGGTEAAWCTH >ORUFI11G18970.1 pep chromosome:OR_W1943:11:21797864:21801502:1 gene:ORUFI11G18970 transcript:ORUFI11G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKEKFQEIQGAYSVLSDSNKRFLYDVGVYDDDDNDDDNLQGMGDFIGEMAQMMSQARPTRQESFKELQQLFVDMFQADLDSGFCNGPSKCYHTQAQSQTRTSSTSPSMSPSPPPPVATEAESPSCNGINKRGSSAMDSGKPPRASEVGSGQSQSGFCFGQKSDAKQAAKTRSGNTASRRRNGRKQKVSSKHDVSSEDEMPGSQWHGVA >ORUFI11G18980.1 pep chromosome:OR_W1943:11:21802705:21805240:1 gene:ORUFI11G18980 transcript:ORUFI11G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSNLNNSASNLQDSTGRPFTGSFSGQSGSVPGGFHHSGLHNMHGSLNMPNMPGSFSQRNAAMSGLPSSGVQQPGGSMPGRFASNNLPVGMSQIPHGHSGVGSRGLNVGGGPAFSSSLNIGGTIQGLSSNLGAGGSRNSVPGMSVSPSLGNLGPRITGSVGNIVGGSNIGRNISSGGLSVPSIASRMNLSGNVGSGGLNVQGSSRMMNGILQQGKLWNRLYK >ORUFI11G18980.2 pep chromosome:OR_W1943:11:21804053:21805240:1 gene:ORUFI11G18980 transcript:ORUFI11G18980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSLNMPNMPGSFSQRNAAMSGLPSSGVQQPGGSMPGRFASNNLPVGMSQIPHGHSGVGSRGLNVGGGPAFSSSLNIGGTIQGLSSNLGAGGSRNSVPGMSVSPSLGNLGPRITGSVGNIVGGSNIGRNISSGGLSVPSIASRMNLSGNVGSGGLNVQGSSRMMNGILQQGKLWNRLYK >ORUFI11G18990.1 pep chromosome:OR_W1943:11:21811054:21812152:-1 gene:ORUFI11G18990 transcript:ORUFI11G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFHSAGIHPNTSSASQGRSIPRTSPSGYSMFLPQDQFNYWKRLKKLLVFLIYPFSIISLYTGVRN >ORUFI11G19000.1 pep chromosome:OR_W1943:11:21812246:21812500:-1 gene:ORUFI11G19000 transcript:ORUFI11G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAARARIDSPPPPPPPTQPRRGDDDYVPCNIVEIELLNFMTYDRLACHPGPRLNLVAGPNGSGKGSLVCAIALALTADPSI >ORUFI11G19010.1 pep chromosome:OR_W1943:11:21814667:21815437:1 gene:ORUFI11G19010 transcript:ORUFI11G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVILVSLVDALHGAEVVLESSLPNVEAFDTTALVEEGSSSTSPSFLLVLEFSIPRSSVSGENDDEEEEKATLSPYSNRWP >ORUFI11G19020.1 pep chromosome:OR_W1943:11:21816259:21827482:1 gene:ORUFI11G19020 transcript:ORUFI11G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKTGWAGSIAHLDRLFKGTAMIGQQRGGHAFSASPTSSPLGPLALHDSPHPTSHENPRGLGHRPSRTSKPTHLAALAFASVPRRARGLLRASPPPGLVALPPPGLAAFDTVSRPRRARHLLQASPLRFSYRSQAAHASPPSSNYYT >ORUFI11G19030.1 pep chromosome:OR_W1943:11:21827563:21832809:1 gene:ORUFI11G19030 transcript:ORUFI11G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKEARASRSKRGQKEDEMRLRREAAEVLDLQRNGDLDMALDRAMSLFNASPFPDCIETTTAHGESLSASKEYSLAESQFNRALSIARPLDPAIHNISYGVSLPEKASKSQRITAARERLQRAIDINNNACCEVDVERVLSIAKSSGVAYAVHRAAAVATTFPKSARANFCQPMWHWTLPRLRMSVVEPIEKADDPSSHDIPVGSTKGDEYDDRICFVKKQIHGLLQTLVLFARRDWSLITSEKQRMILSVRLDVLCEYYSKINRSLSKSLTDAHHFEVADAAELQREKRKEGNDIIKAVKKKLRNLPSDRSSNEFHVACKIIQEMCHKLLKSSCTDYREFVLPLLRFHRWLSYSDNLIAGEDKRSEVLVENANPSDLELIDVEDNGVKPSATLETKGTSNYQNSVQDVPKILVPKISDPSLYKPPPDPRIVNQQATRYGYSALHRPAIGQSVVTPVNRLVEIVDAANKFDPYEVEHSHQSYRREQAKIPKDQELCVICFFERYSTIAMASGKNAGTKCNLCNARFLKDSDLEFHRRYYHQQQ >ORUFI11G19040.1 pep chromosome:OR_W1943:11:21834042:21835520:1 gene:ORUFI11G19040 transcript:ORUFI11G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVWRCAVVVGVVIMAAAAVVDGLGVNWGTMATHRLPPKVMARLLKDNGFKKVKIFDADATTMSGLAGTGIEAMIAVPNDMLAAVGDYGRAREWVKENVTRYSFDGGVDIRYVAVGNEPFLKAYNGQFDRATVPALRNIQRALDEAGYGKRIKATVPVNADVYDSPASNPVPSAGRFRDDVAGTMADMVRFLNRSGAPLTVNIYPFLSLYGNDDFPLDYAFFDGGPPPRPVVDNGINYTNVFDANFDTLVSALKRIGFGSLPIVIGEVGWPTDGDKHATVPYAQRFYSGLLKRLAARRGTPLRPRARIEVYLFGLMDEDTKSVAPGNFERHWGIFTFDGRPKFPLDLRGAGRPAMPVPAKGVKYLPRRWCVLNPNVTDDDAGRLADNVGYACSHSDCTALGYGCSCGALDARGNASYAFNVYYQAQGQADAACDFQGLAVVTEDDRDVAQGACNFSVQVAAAAWAGAAVAAAAAVACAAAVVAALLALV >ORUFI11G19050.1 pep chromosome:OR_W1943:11:21840450:21844722:1 gene:ORUFI11G19050 transcript:ORUFI11G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGASSKRVEKAKANIIIRKMNSKFKLRMFCRTTGAIALLKLSQPNADELGYADSVSLEDIGGVRNEEGRNSVAIAVLRGSTDSILDDLGRAVDDGVNTYKSMCRDSRIIPGAAATEIELAKRLKEFSLKETGYAGWTTMPLQNLLEVLKWFQEPRLKMLGLVLWSVCKDVSIMKIWDLYVTTYKMLHVPFCGLARSFMAKPAGGPRRDDQPGR >ORUFI11G19050.2 pep chromosome:OR_W1943:11:21840450:21844722:1 gene:ORUFI11G19050 transcript:ORUFI11G19050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGASSKRVEKAKANIIIRKMNSKFKLRMFCRTTGAIALNEEGRNSVAIAVLRGSTDSILDDLGRAVDDGVNTYKSMCRDSRIIPGAAATEIELAKRLKEFSLKETGYAGWTTMPLQNLLEVLKWFQEPRLKMLGLVLWSVCKDVSIMKIWDLYVTTYKMLHVPFCGLARSFMAKPAGGPRRDDQPGR >ORUFI11G19050.3 pep chromosome:OR_W1943:11:21840450:21844722:1 gene:ORUFI11G19050 transcript:ORUFI11G19050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGASSKRVEKAKANIIIRKMNSKFKLRMFCRTTGAIALLKLSQPNADELGYADSVSLEDIGGVRNEEGRNSVAIAVLRGSTDSILDDLGRAVDDGVNTYKMLHVPFCGLARSFMAKPAGGPRRDDQPGR >ORUFI11G19050.4 pep chromosome:OR_W1943:11:21840593:21840955:1 gene:ORUFI11G19050 transcript:ORUFI11G19050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYLFMLIEILEDLVEKGSENMDVRNKEEVVLRIRSTVAIKKFRPGGYIVPLPKNPANFKSSTLTMLELRSYFEVVRFA >ORUFI11G19060.1 pep chromosome:OR_W1943:11:21850312:21853470:1 gene:ORUFI11G19060 transcript:ORUFI11G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNREEAFRAREIALRKMENKDFNGAQKIVLKAQKLFPELENISQLLNICHVHCAAEATVNGQTDWYGILQVEATADEATIRKQYRKLAFSLHPDKNSFAGAEAAFKLVAEAHSLLCDPTKRPIYDIKRNNIPRKAPKQATRPTKKTQANKYSVPVYLHAFWTMCPHCQMRYQYYNNAINTTVCCMNCRRNFFAYNLQEQPVPTPNVPYSSQFPANMFPNQRRDPISRQGHPVKLSCTGGNTDVRPGTYSWPGSDERTIQSEMTRGKDQFPARNQDKYSVPTANGNSGGCSIPVPDCPDTIDRQKLGREDASVAPAMNVPGHSKLHSTGGGTNAKPRVNVAQWKETTKEDSSASVEKKANQSMMNQRKSSAQTANENASGRFKPDHADPNVFDRKNFGTEDSFPVPNSAVPSSLRRSARRKQDAGDNGSMNSKVRKKQKKNNVLSDVDLNCQQIFNNNGTSGDKQSAPPHVSSTVDIQDKTKVTDADSKTKAEPTDTAGWNVPSCFEKLSFPDPDFYDFEKLRDINMFAVGQIWALYDDLDGMPRFYARIKHFDASNFKAHLTWLEYNAASEEEKKWTDEELPVACGKFCLGSTEVSHDRLMFSHIVSWTKGKKRNAYEVYPNKGEVWALYKDWSMQWNSDADSHRSYEYEVVEILSDFSVNDGITVVPLVRIKGFVSLFAAAKDKSTNVIVSSELLRFSHSIPSYRTNGNEKVGSPAGFIELDTACLPNDMDIIFPSVPLESYISLGKKEDSTIIDLTNDSTSSRMDPGNEKKENLPEAHICRPVSTENHESLSYEKNTSLPKNGHDANGFGNSSEPSCPSPSIYSYPDSEFHNFEEGRTCEKFEPGQIWALYSDADKFPKFYGWISKVELQPFRVHLIWLEACPEQEQEKQWLDQDIPVCCGKFKIRTWKAQYETTDTFSHLVHTGQRDSTWQIDILPQVGEIWCIYMNWTSDWTPSSIDMCEFAIGEIIECTEALIKVSLLTQVNGYRAVFKPDRQSGVLEIPKRDRLKFSHQIPSFRLTEERGGKLRGFYELDPASVPDVFLYRDTP >ORUFI11G19070.1 pep chromosome:OR_W1943:11:21860679:21867757:1 gene:ORUFI11G19070 transcript:ORUFI11G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREHTCFTLVKATSLCSCSTYFREAQLDRVYYARLSIIQKARIITECLHRFCRDCIEKSMRLGNDECPACRTPASSHSLKVDPNFDALILTLYPDLHKDEEEELAFTEEKTCHKKIQASTDEAPHRRKEALGKKRSAAKAIGSSRSQGNMMARKRGHIGFPDIVPTKVRGKDREENDNDGCDEWSLFDEYSQDIKKKRARRCPVPRSSPAGHKEQEKRWLEQDIPISCGHIDSNWRIEILPQVGEIWSIYMNWTSDWTPSSIATCEFTIGEIVERTEASIKVSLLTQVNGYRAVFKPDQRRGVLKIPIRDRLRFSHRILSFRLTEERGGELRGFLELDPGSVPDIFFNREENNNDGCKGLSSVGDHSQGPKTKKARKFHVPQSSPARHKVTSKVDSHEERMVNRLAIRLKVNRSEARVCRPLSAEHREDLSLQKKSINAASSGVSRPTNRKKDVDGNSRLNSNGKRKQKKNDLPFSVHLNCKKTFSDTAHRADRQSNPPPVTSKVDIQGKGKTSDTDDKDNANAEATNTVRQNEHSCSLELPSPYPDFYDFEKLRDINMFAVGQIWALYDDLDGMPRFYARIKHFDASNFKAHLTWLEYNAASEEEKKWTDEELLVACGKFCLGSTEVSHDRLMFSHIVSWTKGKKRIAYEVYPNKGEVWALYKDWSMQWNSDADSHRSYEYEVVEILSDFSVNDGITVVPLVRIKGFVSLFAAAKDKSTVVIASSELLRFSHNIPCYRTTGNEKVGAPAGDVDKFPKFYGWISKVERQPFIVHLIWLEASPEYEQEKRWLEQDLPVSCGKFKIRDWKARAVFMPDKRNTVVEIPTRDRLRFSHRIPSFLLTEERGGRLRGFYELDPASVPDVFLYRNTVMGWITYQRYRRGMRGTDALNFIFFTYWNATEKRLAIGHSQCLPLNEPSFHSWLYDSHKKMVKEHRRGFDTIATLVAWTIWKERNNWVFNQKSRTWAEIARVMTGEAELWRLARAAIPVVVVIVNGEGSPNLVGD >ORUFI11G19080.1 pep chromosome:OR_W1943:11:21869027:21869781:-1 gene:ORUFI11G19080 transcript:ORUFI11G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGDRVTGRRSFTGVGSSPDGSVKGAGGGWSSSPLPVGTLALPGAPPLLCGEFLGWIEAATRQRGKPRLPKQCHPVPGSPFVQSWRRRPAGGGTEGSWASSQGRRRRSVGAVWRKLRAAICLCGGSELVDGDLQSRRKPSLVVHRASSGYVFGRRNLLGALSRGDGGILDVVTTVVASFSESCLYGVAIGLAAFGHA >ORUFI11G19090.1 pep chromosome:OR_W1943:11:21869336:21875962:1 gene:ORUFI11G19090 transcript:ORUFI11G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSFRHTAPTLLRRLTSSNHTALAPLRQPTSTTAPESWPRTPPRTRDWMALLWQPRLPPLTSCRLNPTQKLPTEKGRSSRKGEVSYSLTSPLPLLFLQEPNHAHRPPRWLAGAGRRGSRSSPSSARREEAFRAREIAVKKLENRDFVGARKIAIKAQRLFPELENISQLLIICEVLSSAEAKISGELDWYGVLQVDKMADETVIRRQYNILSYRLHPDNNTLFGAEAAFRFVSEAHAILSDHVKRSLYDTKRQCASREVAKEATQPPNKTDSNISNVAGSMTPSASVLVFWKICPHCQKRFLYYQRNFLARCSDCGKRFFAIKLHEQSVPSRILSTAAKKSQLSTSEMLSFQRSSVPNQHQQGKCLVTRSEAIQFSAMKQTKSHICAFDNDKPGTLVPKSSDLKSISVKNLTRESAPAEENAAESSSLQILGKRKLYVTSDSSHGMNSNIKRQRKYTCPSDSDSSNEQICNDDVAVPDNQSTGQNVPIEVDSEEERNARHGSNQQTCKKNVTDTASQKSVNSVIAYPYPDFDFCKSRDAEETDESIKQYGWAGDMAGKGLVTRSDRVQFSEISQAKSHVPPADNDIPGTLNLTGESVSAETNAPGSSSLQILGRRKLCDSSDSNRAMNSNIERKMKYNSPSDADWSTEQTCNDDVAVTENQFAKQHVPTEVDSEEEGNEKHGDNQQSHRKDDTDTSSQNSANPVIAYSSTDFFDFDKSRDVSQIAVDQIWAFTWLVHNTVNEQNSKSTNEKLPFACGNFCLGETDVLHNPSRYLSHSVSSTGKNGNSCDINPNKGEVWALYKGWSMQLSSHADRYQSYGYDIVQVLSSGSMDDGVTVSPLVRIAGFVSLFAKVKNESCFSISSCEVSAEGFLEELDTAALPSDLDSAFTSITLESYMALDNKTNIELISYVCPDSEFYNFEQDRSHDKFEAGQIWALYSDTDKFPNFYGWVSKVEMEPFNVDLAWLEACPQRAQEKLWLEHDVPVSCETKQIGAKCKVQIHPKIGEVWAIYKNWSNKWVPSRSTRGTKYAIGKIVDSTEAFTLFGYLTKVDGYISVFKPDVRRGILKIPVKENLRFSHRIPSFCLTKEKGGKLHDCYELDPAAVPDVFLHQN >ORUFI11G19100.1 pep chromosome:OR_W1943:11:21878958:21881100:1 gene:ORUFI11G19100 transcript:ORUFI11G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGAVAAYGQRKGVAWWRGCPGRRRRRISSPLVSRRQPPSLPAGVAAAPPSVGVDDGRRRREEEEPGGWRYESEKSEAFSQPELKEQQDKLIVAVQVVCLINLSIHPDPALMELPQDVLMSIFATLEVPDLTKMNQ >ORUFI11G19110.1 pep chromosome:OR_W1943:11:21889436:21890682:1 gene:ORUFI11G19110 transcript:ORUFI11G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQDVLMSIFATLEVPDLVRAGSVCSSWRSAYTSICSLGHCKPQQTPCLLYTFDSDGTKSTGLYSLVEKKDYVLPLQDLPNRHIIGYKYLWHTGEVTVSDSSSILYYKAFVSCDPSMGGGYTVVLIHNPCCQLSFARAGDDKWTWLPPYSDYEDCFFKDGLLYAATLLGEIHMFDLTDPKVAPKIVMGKVKDFLYENIYIVEASCGNLLQIWRSNDLPKGDAPEGDEDDDDHSFDPESEFDSQSYVHDTNTIKVHKVSLTEGKIVEISSLDDNLLFLGHGQTLCLHAEEYLQLKANHVYFTDNNELYLFEYKDNHRDIGVLDLENFRCEEIVSPQIWSNWPPPIWLIPNPRRMKLASH >ORUFI11G19120.1 pep chromosome:OR_W1943:11:21898012:21901176:-1 gene:ORUFI11G19120 transcript:ORUFI11G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVTFGAVKLVLGLIQDEARLLSGVREDLRFIMQEMESMNNVLRHLVANKGSAAADYQLRPWMKQIMELAFESKNCVELYTQSGGGHCGWQPWTMVARHRVVTRIRELKIQAREISERQARYHIAGVAHAQQMDATTTIERWDASVPNQSRAWAGSSNNPSRRAILHDGWFGDYISVDEALSRLNPFTLDRYEVEPPARLDSDDEQQPRPQLNSDGGKEQPLAPQSDGRNKEQLPPPQSGGGNGIKQQQPLPPQSDGGDKEQPPPSLSDGGDKDQPLPPDCGVKRQLPPQSDGDDKQQPPPQSDGSDQPPPPQPDGGDTEQQPPQSDGGDKKQKHIRVVTISVQDGTDEAVAAEAVIERFKSRWARRGLWSQQLCLHVSVHRPPILSEITKVMVEKLKEKEDDEKNEAEDREWLGHKLKDEDVLLVLSGFNYPALWNQVLDLLTSMSCSDCAVVLCTNDSKMAKYCCSNDSANDGPQIIYSLVDIYLNRALALLSHSYGYEEGHLKEILRNILAKCCLDVFCMKMLLHALYYNPDMTKHQLQFLNISLGKEFTDHGRQDRIMAFCYQALPNSYKNCLWYSTIFARGSGVRRASLIRRWIAQGLIAQVNQSSAEDEAGHCFEAMHSQKLIVSSGLSGTRKVKSCTVHPVVSGLISRESSTVEDLLLNNQLPLDLDLLYSIRHGMQLHPANSNIKKFLNSLSSSSRLLLTVLDLEGRKGLKAGDLHTVCKIHKLKYLSLRNTDIAQLPKEIGQLKLLETLDIRGTRVQVFHTALPMLKHLLAGCIIDYPKEDIIKSKESFSTVSMPRAVATMEKMEILSRVKVSNSAKELNNIGDKLEHLKKLGVVLSGKKASLIDLFLQVDKLHRCLRSLSIRMDPPGNWDSIDVILLRPPKLLESLHICSIRSGLPPRIKELHQLAKITLRDTFLNQGALDILSMLKGLRYLRLCYHSFAEGALSFVKFVELVDLVIEDDIVNSVALGNNTYGGDPDKLEKIVWSFTHMEELSGVSTLQSLTHIELNGRTWHLQNLEKLKREVDECGITFTLNPPENGQGSRL >ORUFI11G19130.1 pep chromosome:OR_W1943:11:21909578:21914064:1 gene:ORUFI11G19130 transcript:ORUFI11G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQHRAAIQLRQLKDRARDVGERRLRYGVEIPATTKAAGPDATGGCAAGDDEEEDEDDREGQFAVATPTLAHHSARWPVFEPPSLDDYVKAKLLEWIGGVPGNAGVTFSIAIVAPDADNKEVLAIAHETLVAPNKYYRRSIMVNVPAVHLDFLPLRPKEVLYYILRELEREEAAGSQKQPTDQGEWEEEDPDPWQDYYKKCGIYSSKKSVLGKIKRNIKKMNIYEKLDKIKSDIRQGQHKSGKLLLLQLQEKGADQVDLHVLLQLLVVQSQQDQAKNKAVDTHKLPEWNDNLIEKLAMRLKDHMEADEKTKKLNEQTRVEEETAVRQGGGGERKEDEKDERGDGEEEGKEERRDMEKGEERKEQQQEEQEKEGRKEEQNEDLPNRHIIGSCYGWIVTADERSELHLVNPITGEQIALPSVTTIKQVKPIYDDDVAAAKRIDKMINIEVLSNVKAQHHDNLEDIGKLCQLRKLGVVIDGKKIHLGSLLKAISDLHASLRSLSITIPTTTLEVTPSSPELQDIASRLKHHPEFLESLSFSGAKHLFPLLTKRGNKKLAKVTLSNTPLNQDDLKFFAQLPMLQCVRLRHISCTESVLNFKKDDFKCLKYLLIEDSNLTNITFEDEAACELEKMVLSSTRIESISGVHGLPKFEELELNSSCCGRLLSSCFYNVERIAKLTLGGTLLKQGDLRIIARELHICCLVLLEKSFDISQNQITFEKEEFIWLKLLSVDCSTITKINFITGSAPRLKKIVWSSFTSLSGINNLPRLKELEFNGYSVPNDVEEAIKNKKSINLKHNKP >ORUFI11G19140.1 pep chromosome:OR_W1943:11:21914082:21920875:1 gene:ORUFI11G19140 transcript:ORUFI11G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNKRMKTMLGHSHSAGRNRSYDPLDDEDEDASDDLEADHDDESYVWNTTMIKVHKVDLVARMLVEACDLGENVLILGHNQSLCLRADEYPLLKANHVYFSDDRELYIKGCKNGCRDIGVFNLENNCAEEIVKPIFDDSGAVHKYKYSWYTGQMTVSDSPSILAPDELRNFLFNKAIVSSDPSGGNFIVVLIHNPHLQLSIARPGDDKWTWLPPHKDYEDCIFRDGLLYALTSAGEIHEYDLSGPAIARKIVLNKVKGFACENMYIVRGPCGDLLQVWRSYDPLDDEDEDASDDLEADHDDESYVWNTTMIKVHKVDLVARMLVEACDLGENVLILGHNQSLCLRADEYPLLKANHVYFSDDRELYIKGCKNGCRDIGVFNLENNCAEEIVSLQLWSNWPPPVWMTPNARKISLETHSS >ORUFI11G19150.1 pep chromosome:OR_W1943:11:21921558:21926380:-1 gene:ORUFI11G19150 transcript:ORUFI11G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPPPQYGLESLDRRNVVLSDGTVRTYFALPLEPPPQLRQPLPLPLPPFPARQLGPPVGLPPPTAERWAPMRPPAALLPMPAPKRKWEGQANGSVPGESSGRQQQQKPEEKRVAKQVKVETPDVDAKQLKSSFLKMVKLMNENAEQKKNYRANGKISQLKCIVCGRDSIDLHSLLNHSYYAKSAELRADHLGLHKALCVLMGWNYSVDPVHKKAYQTLSTADAEANQGDLILWPPTVIIENTYKSKNDGQKDGMSNKEMEGKLREMGFVGASVKPLSGKDGQRSMLVKFASSLAGLSEAERLAQSLEKQGHGRAEWYRVRSVPPGADGGSNPLLVKVGAKGERTWVLYGYLATAWDLDALDLESKQNAVIKSRKELDLSE >ORUFI11G19160.1 pep chromosome:OR_W1943:11:21928436:21930414:1 gene:ORUFI11G19160 transcript:ORUFI11G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGGGGGRFGGGGGGRFGGGGGRGGRFGGGGRGGRGGGGGFRDEGPPAEVVEVSTFMHACEGDAVTKLTNEKVPYFNAPIYLQNKTQIGKVDEIFGPINESLFSIKMLEGIIATSYSEGDKFYIDPMKLLPLSRFLPQPKGQSQGGGRGGGRGGGRGGFRGRGGGGFRGRGAPRGRGGPPRGGGRGFRGRGRF >ORUFI11G19170.1 pep chromosome:OR_W1943:11:21931285:21934205:-1 gene:ORUFI11G19170 transcript:ORUFI11G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPQGGQDCSKFGAFVDKNSGASSSSAADIELQNMCGTSVARTASLSTGRASPSQFAPTVGRYHRAAGSCQSDVLPDEQSLASAFDMVLSFRNHPVDYPTNPCNVVPSNGLYVSGPMRATSVQSFDPLLVQDESMRPQFGAGHGKLKTDEFTVDQQEQAHMLSHYFGNWPQNYGMNNMGGVASTPYKPSASLYQQPFYMDEQSQMYAPYQQIPSNFLLQHDMDVQNHSSMQPHYVYPQMQHAAGSNVRSNQQAAACTSARGRSTYGHQLLLDGAVYHNGNNQMNSLYMDGFPGMYTDSSFDSSDFHRLLEAEKFAHPYELNSSSKGFLQPQIPDDLSTMKMLMNSAGVNRVRAIKFPPTVNGYSGVGRRTNGYGHNHLDVKSDETLHLNGLNSQFMSLKSEYDLAMKSTQLNYGSVDEVAGRIYMLAKDQNGCRFLQKVFTEGTKEDFEKILAEIIDHFGELMIDPFGNYLVQKLLEECSDDQRTRIICEITRVPGELITVACNMHGTRTVQKVIDTINTPEQISKVVSALSPGAMRLMTDTNGSHVAQRCLKKLLPEYKAFLLDVAALRFLRLAKDQHGCCIIQKCIEHSNDEQKYNLLCKITSSALSLSEDQYGNYVIQFVVNLGIEWATSKIVKELKGHFGYLSMQKCGSHVVENCLKQASELDREMIIHELMADSKLPHIMADPFGNFVIQTALKECKGELHSSFVEAIRPHAPALQNDVYAKRVLSKTYLKNKQYRLGIF >ORUFI11G19180.1 pep chromosome:OR_W1943:11:21945038:21947959:1 gene:ORUFI11G19180 transcript:ORUFI11G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPLLRQELDNLDKDADSRRNAMKALKSYAKHLDSKSIPHFLAEVSDNKAAPGLPSGEFTISLYEVLARVHGRNIVPQVGNIMSTIMCTLSSSGGSFPLHQACSKVVPAIARYGIDPSTPDEEKAGIIASLCKPLCGALMGNQDGAASGAALCLKALVESSNWRFAAGETVNEVCLKVAGAMHDKSTQSNAHMALAMALVKHNGLIAEAYARSLVRSALQILDGDTAESSSQKRLSAIQMINFFMKFVDPRSISSELSKVVDIMEQCQNDRMPFVRGAAFEASQTAKSIAAQKGSRHEVSTSPMVGSNFQRRREKSPCRSLWNAKGMAASPVQFQSPESHVVDSSIMCESTITESPVSIGQSSCNFDQNRRTNRRLWSNDGVDVSLKDGLFFRLCSDGKYLEDDLDEVCDSEVTDTNFECTNSFSGFVSASPNGATSRDKTPSPQASERPISIDDVKIYTTPRKLLRSLQSSYDFDSARNEERSIAKLNSSSSPSEESNELEESSEEMQSQLSDSKIEEAKYDNETIDAQSADDTTQILSNEDKSVLCTPEVEDASCKASSEGECKEQDICVTRSMGKSRKYKAVFSFLLSIVMIVLAIIAVLIRIESYDDYVGLVPT >ORUFI11G19190.1 pep chromosome:OR_W1943:11:21948333:21949522:-1 gene:ORUFI11G19190 transcript:ORUFI11G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVIAAAPRPSYLFGIRRPGRSSSSCLSTVKSDLIKLGLSLSFSPEAADLRGMYVRGRRRQRRLADVPDQGCPEGDRQGDRGGCGHLAPAPPPDMVEFVADHPFTFFIMEERSGVIVFDGLVLDPTKTRRDMILRFCGTCQRLAWIRCGLPNCRNMGPRWPQSPCACVEFVADHPFTFFIMEERSGVIVFAGHVLDPTV >ORUFI11G19200.1 pep chromosome:OR_W1943:11:21951641:21954743:-1 gene:ORUFI11G19200 transcript:ORUFI11G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRRRGRGVAPPPDPSPATIDYYCSLKEIAASGAPGAEDFVRNHGLHLLLFETPSGFAIFSLCGAEIHIPDALESIWVKFASFRPASTIIWLKEFQKFDDNSSAINVGTGVNKQLTDMIMQWRRPGQKLVVGKPEYKSTIETFLGIPCLHDEVVMEVMWGMKRFMSNFVPAEESKLPKEDSLPMSQGLLMFLIMKQPISIQQVNEDIVRAAATLFHCDIIEKKCCRALLDVGHYLKHDVQSKLLDDADKYKDLVYARDCLRLYENLVAAYNVRAVKKDELALLVKRANADEAEQARSITTII >ORUFI11G19210.1 pep chromosome:OR_W1943:11:21959147:21962211:-1 gene:ORUFI11G19210 transcript:ORUFI11G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGLLLRPPPCVAMCTPSPSPFPSSQRRRRRRLTLAQPYCTLGLSFVSGRHHRFLLRRRRRTESKRTSRGTGVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFRSTLEREIGLDEVPPSMNYRPPTMNNSQQPAIDQSSDDKPEAAPYTSEELIKVTEEQLAASAAAAWNTQEPPPSQQKEAAATSESNDGAISRGSDGAGAAMNQL >ORUFI11G19210.2 pep chromosome:OR_W1943:11:21959651:21962211:-1 gene:ORUFI11G19210 transcript:ORUFI11G19210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGLLLRPPPCVAMCTPSPSPFPSSQRRRRRRLTLAQPYCTLGLSFVSGRHHRFLLRRRRRTESKRTSRGTGVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFRSTLEREIGLDEVPPSMNYRPPTMNNSQQPAIDQSSDDKPEAAPYTSEELIKVTEEQLAASAAAAWNTQEPPPSQQKEAAATSESNDGAISRGSDGAGAAMSEPNRNISEKTETER >ORUFI11G19210.3 pep chromosome:OR_W1943:11:21959147:21962211:-1 gene:ORUFI11G19210 transcript:ORUFI11G19210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGLLLRPPPCVAMCTPSPSPFPSSQRRRRRRLTLAQPYCTLGLSFVSGRHHRFLLRRRRRTESKRTSRGTGVYASLFGVGAPEALVIGVVALLVFGPKGLAEPEAAPYTSEELIKVTEEQLAASAAAAWNTQEPPPSQQKEAAATSESNDGAISRGSDGAGAAMNQL >ORUFI11G19210.4 pep chromosome:OR_W1943:11:21959651:21962211:-1 gene:ORUFI11G19210 transcript:ORUFI11G19210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGLLLRPPPCVAMCTPSPSPFPSSQRRRRRRLTLAQPYCTLGLSFVSGRHHRFLLRRRRRTESKRTSRGTGVYASLFGVGAPEALVIGVVALLVFGPKGLAEPEAAPYTSEELIKVTEEQLAASAAAAWNTQEPPPSQQKEAAATSESNDGAISRGSDGAGAAMSEPNRNISEKTETER >ORUFI11G19220.1 pep chromosome:OR_W1943:11:21963518:21965086:1 gene:ORUFI11G19220 transcript:ORUFI11G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTQVCTPAAASSDASPPRKTASPSMKVSAVEGAAARRPSWGGRLRRGKRRRRETKEGRRGSSTRQRDRRFLPARSVVGYAHTLNAPAMPAAGLGVSPEPRRRETERYTQREKEGRHSPLAASPPHRARRVQRRRALPVEDSDTESERGRDDLARLFQRLPRRRRRTILALCCRAPHADHPSAPVLAATIKFIIIRTNKINKGNSASL >ORUFI11G19230.1 pep chromosome:OR_W1943:11:21964993:21967264:-1 gene:ORUFI11G19230 transcript:ORUFI11G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLPNRKVGTTSMNLKSSRSHIIFTCVIEAWSKGCSSNGFSSSQTSRITFVDLVGPDNDELDGGSKHCTRE >ORUFI11G19240.1 pep chromosome:OR_W1943:11:21967240:21977619:1 gene:ORUFI11G19240 transcript:ORUFI11G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPGTKKILTPLNCRKLRFNPNSQRWAALRTVEAADAANCQLPRQGAMATWRGVLDSVRRAWLLLHIRRRTAPPWCSPASTAPPAIPVVSATFGSAPRRAHDAGGKEREMLGSLLGVIRSEELAGISLAPAVKMAGFGQGGAGCGDSVPVVGGGEAGDGGQELEAAAATPANSGVGGGPGEHQWSEMSLRVATAEARVAWSSASNAAGGGRTGR >ORUFI11G19250.1 pep chromosome:OR_W1943:11:22006696:22009008:1 gene:ORUFI11G19250 transcript:ORUFI11G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGAVSCCRRGSWRRRAAALALAVVVVFAAAAVAAAARRPIRVLRRPAAAAAHVRRLDSSSSLMLARRTNAAAGGGDNGDVRRRRLIGPGSSPPTCRARCGRCAPCRPVHVAIQPGVGAQWEYYPEVWRCKCGDKLFMP >ORUFI11G19260.1 pep chromosome:OR_W1943:11:22022690:22027156:1 gene:ORUFI11G19260 transcript:ORUFI11G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVQLLLLRLPLAAVFPPKPATTKTLAVAGMSKAEAAAAGDAAKPDPEMGVAGEKEVVAEKAPARRKVAAEEEDPRLRWAFVRKVYCILALQFAVTAAIAVVAWAVRPIPRFFAAGSLASWLVYLAILLCPFIVLWPMLKYREKHPVNLLLLGLFTLCESLTIAVCSSTFLGKVVLQAAILTAVAVIGLTIFTFWAAHRGHDFTFMYPFLAASLLVLLAYLIIQICFPLGRAGMTIYGCLATVLFSAFIVFDTNQLIKRHTYNEYVIAAISLYLDVINLFMAQLSFSI >ORUFI11G19260.2 pep chromosome:OR_W1943:11:22027154:22027868:1 gene:ORUFI11G19260 transcript:ORUFI11G19260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEETSTRRRWSSTPPPAGRREEAAAPDFLTVNENGAGGAVPGCDPLNMLIPRPEQSDPSEPATGEEEKHQFWKPSWQAQRWPNSDDLSQLPPSPQYWRRQAAFIQATINDCEN >ORUFI11G19270.1 pep chromosome:OR_W1943:11:22027144:22030851:-1 gene:ORUFI11G19270 transcript:ORUFI11G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSRVRLVLVMVSLQAMIAMLPDGAGAQNGDGSRCSKVRCGMGSCSESSDYVFGFACRCNPGWSRYHLGNLQFPFLPCVIPNCTINYSCQNGSSSPSPSPPPPPPPPPAMPSLTNLSIYDPCLLQYCGDGGSCERSSEFGHRCACHDGFQNLLNDTSYPCYQQCSLGSDCSGLGISMFNGSQPGTAPPAPFSFTVKKSGAAASSLLPAGGGVLLHLLLVLVSSFMIG >ORUFI11G19280.1 pep chromosome:OR_W1943:11:22032624:22034647:-1 gene:ORUFI11G19280 transcript:ORUFI11G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGVELARRRRVHYHGDGAAAGAGFGEHHHGHYNYFHQQHHRQAVASAEAGAGAGGEVSPAVAARIRLEEKLRGAAAAPSSSLSRWGRRFRERDGSTTSRQQNNQQEQQIQLPTEPRPTPKPSMTMLEAPSTRKAPRREMRRTLSKADLCAVCLDEVRERHQRVTRLPCSHKYHSECVLPWLAIQPDCPCCRTQVPSVDSLFVA >ORUFI11G19290.1 pep chromosome:OR_W1943:11:22043818:22051143:1 gene:ORUFI11G19290 transcript:ORUFI11G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPISGGGGGERFCHAAQVVGADGEMDGEAMARFAAGGGGGAPGPWPLLRRRLHRRAPGQRTLLNQLFGTSFTEMDALKGRSQTTKGIWIAKAVGIEPFTVVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVMINFPRKTTLLLVIRDKTKTPLEYLTQALKEDIQKIWNAVRKPEVYKEAALSEFFNVEVTALSSYEEKENLFKEQVGQLRQRFIHSIAPGGLAADRRGVIPASGFCLSALQIWKVIRENKDLNLPAHKIMVATVRCEEIADEKLKSFISDKGWLELETAANSGLVPGFGKKLNAILDFYLSEYDTEAMYFDEDVRTAKRQQLESEILKHTYDAFKKMLEHLHHVVLNKFKSDLEQSLRSGEGFAASARYCVQSSMAEFDAGLREWDTTKVRSKLEQHIEAHATSVRGTKLAELKANYEKKLLDTLAGPVQSILETGEKDSWACIRRLYRHATESAILAFSASLSEFELDQTTIHKMVMELREHARSIVEEKAREEAGNVLMRMKERFSTVLSRDKDSMPRTWKGNEDIRAITREARLAALRLMSVMAAVRLDDKPDKIDRALTTALLDGGPLSQKRSIEFTSDPLASSTWEEVSEKNTLITPVQGKSIWRQFNAETEYAVAQAISMQEAHRRSNNWLPPAWTVLLLAILGYNEFIFLLRNPLYLLGLFVAFVVSYAAWLQYDITAYFRHGTLSGLLTITSGFLPTIMDIITAVINMSHNQKSSSHPPRHRPPLHPQSFRNQAQQQSQAQVQYQAPSSLSSSSSVGSNSDDES >ORUFI11G19290.2 pep chromosome:OR_W1943:11:22043818:22051143:1 gene:ORUFI11G19290 transcript:ORUFI11G19290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPISGGGGGERFCHAAQVVGADGEMDGEAMARFAAGGGGGAPGPWPLLRRRLHRRAPGQRTLLNQLFGTSFTEMDALKGRSQTTKGIWIAKAVGIEPFTVVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVMINFPRKTTLLLVIRDKTKTPLEYLTQALKEDIQKIWNAVRKPEVYKEAALSEFFNVEVTALSSYEEKENLFKEQVGQLRQRFIHSIAPGGLAADRRGIMVATVRCEEIADEKLKSFISDKGWLELETAANSGLVPGFGKKLNAILDFYLSEYDTEAMYFDEDVRTAKRQQLESEILKHTYDAFKKMLEHLHHVVLNKFKSDLEQSLRSGEGFAASARYCVQSSMAEFDAGLREWDTTKVRSKLEQHIEAHATSVRGTKLAELKANYEKKLLDTLAGPVQSILETGEKDSWACIRRLYRHATESAILAFSASLSEFELDQTTIHKMVMELREHARSIVEEKAREEAGNVLMRMKERFSTVLSRDKDSMPRTWKGNEDIRAITREARLAALRLMSVMAAVRLDDKPDKIDRALTTALLDGGPLSQKRSIEFTSDPLASSTWEEVSEKNTLITPVQGKSIWRQFNAETEYAVAQAISMQEAHRRSNNWLPPAWTVLLLAILGYNEFIFLLRNPLYLLGLFVAFVVSYAAWLQYDITAYFRHGTLSGLLTITSGFLPTIMDIITAVINMSHNQKSSSHPPRHRPPLHPQSFRNQAQQQSQAQVQYQAPSSLSSSSSVGSNSDDES >ORUFI11G19300.1 pep chromosome:OR_W1943:11:22052966:22054144:-1 gene:ORUFI11G19300 transcript:ORUFI11G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKWKGGGALLLLAAGLLLVAVAAAAAEEEGRRDPKEELRWCKKQCRWEAGQDQRQLRECEEQCLQRQQEDDDDDENTHGGGGKECRRECRGYRDEPWRKQECMRQCEWRRHEQHHHGGGHGGSRPDCREQCEHQQDWWEKQRCLMDCRHRRQEVDADDDNHHGRDPCYKQCRHHHDQWKKQQCMEECRYHQRQQDAAVDVDEEDDNHGGDRCRKQCQHHHDQWKKQQCIQDCRYHHRQEDDVVEEEDGHGDQQCRKQCQHHHDQWKKQQCMQDCRQWRRQEEEEAAVDEEEDHNYGGEREQHCRKRCQHHHDQWKRQQCMQDCRYRRQEEDDVVDDDNHHGGGGGHGGDHCRRQCQHHREWHERQRCMRDCHERRHGWATVAAEAILQAV >ORUFI11G19310.1 pep chromosome:OR_W1943:11:22057202:22059038:1 gene:ORUFI11G19310 transcript:ORUFI11G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKSTAAAGVLLVLLVAAAGGGAEAAATTCVASLLELSPCLPFFKDKAATAAPEGCCAGLSSIVKGEAVCLCHIVNHTLERAIGVDIPVDRAFALLRDVCRLSPPADIISTCANEKGGVPPLYSCPAPSA >ORUFI11G19320.1 pep chromosome:OR_W1943:11:22064348:22068519:1 gene:ORUFI11G19320 transcript:ORUFI11G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVNPITGEQIALPSVTTMEHVNPIFNESGALHKYEFSLHTATRVSYAEPSIFALGELRDYIYSKAFVFTDTFTGGCIVVLIHEPAGQISFARVGDDKWTWHPSHSHYSDCIYIDGLLYALTAQGEIHTLDLSGPTITMKTIIGSLSYSRYIVQAPWGGLLLVWRSVEDIEEDYEADLPADHATFVRYTREIKIYSVDTMGKKHVEINNLDGHVLFLGHNQSLCLSTEQYPHLKENYTYFTDDDEAWLFGFKNKRCDIGLFDLKHNSREELVSPQLWSNFPAPVWITPSFTKLNFA >ORUFI11G19320.2 pep chromosome:OR_W1943:11:22064922:22068479:1 gene:ORUFI11G19320 transcript:ORUFI11G19320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLCKYSSRRRISPCKRGSICSNYPMAPCSTIARIMNLGDLARCPKNLCNLLFRVVQSKLLAPLHSSLLKEVQKDDGDQPSMAESVVANLPELSQDILMEIFALLEILDLVRAGSVCNSWLSAYNELRSLGIYKLSQTPCLLYTSESAGDSVVCLYSLVEKREYKITLPEPPIRSRFLIGSSLGWLITADDLSEMHLVNPITGEQIALPSVTTMEHVNPIFNESGGCIVVLIHEPAGQISFARVGDDKWTWHPSHSHYSDCIYIDGLLYALTAQGEIHTLDLSGPTITMKTIIGSLSYSRYIVQAPWGGLLLVWRSVEDIEEDYEADLPADHATFVRYTREIKIYSVDTMGKKHVEINNLDGHVLFLGHNQSLCLSTEQYPHLKENYTYFTDDDEAWLFGFKNKRCDIGLFDLKHNSREELVSPQLWSNFPAPVWITPSFTKLNFA >ORUFI11G19330.1 pep chromosome:OR_W1943:11:22071527:22072807:1 gene:ORUFI11G19330 transcript:ORUFI11G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSGGLRRALAALVRARALPPPSAHGSSPVGELTTAVGGAISWLVAQRTRSCLADKGNTGGGGRRRSVAEFPAAEAVSSPAELPATQLLGPGGGGVSPRGWLALARTRAPAIAGGFLARGAPGGRGGVVFPDGSWLSRAPGVARVARGKGWRAWTRGRRRRNQPSRERNPRAFLASCRVEAETAEAPRRVPVLAAEFLASSWWRKKMEARVFGGKKRAELRELLARWWWREKMILTASSWRRRGGVIWGILGEKVEEEDDYDGDFLVV >ORUFI11G19340.1 pep chromosome:OR_W1943:11:22076963:22077728:-1 gene:ORUFI11G19340 transcript:ORUFI11G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKLPLLLVLAVVTAAVSSAWLPSPASAASDAAAGGEYCRDSLSGLLACRDFMFGGAAAASPACCAAYSAAFDADPFCLCYIADGVYGRSTGYDVNVTHALEIPVSCGLATPPIELCNTQGLVLPPYEPSSPQQPPSAGKLAESPAATPAQSPTAAPSLPQAPKPSSPPPFTSPSPLPPPPPPPTSHGARGATMGIGTVAAAVAMTTLLALLS >ORUFI11G19350.1 pep chromosome:OR_W1943:11:22079076:22083890:1 gene:ORUFI11G19350 transcript:ORUFI11G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAAAAAVAPADPQLLAAAFESAIASRSPRLGRAAHARALRLIAPALSPFICAHLVNLYSKLDLPAAAAAALASDPHPTVVSYTAFISGAAQHGRPLPALSAFAGMLRLGLRPNDFTFPSAFKAAASAPPRSTIGPQIHSLAIRFGYLPVDPFVSCAALDMYFKTGRLKLARHLFGEMPNRNVVAWNAVMTNAVLDGRPLETIEAYFGLREAGGLPNVVSACAFFNACAGAMYLSLGEQFHGFVVKCGFEMDVSVLNSMVDFYGKCRCAGKARAVFDGMGVRNSVSWCSMVAAYAQNGAEEEAFAAYLGARRSGEEPTDFMVSSALTTCAGLLGLHLGRALHAVAVRSCIDANIFVASALVDMYGKCGCVEDAEQIFYETPQRNLVTWNAMIGGYAHIGDAQNALLVFDDMIRSGETAPNYITLVNVITSCSRGGLTKDGYELFETMRERFGIEPRTEHYACVVDLLGRAGMEEQAYEVIQGMPMRPSISVWGALLGACKMHGKTELGRIAAEKLFELDPQDSGNHVLLSNMFASAGRWAEATDIRKEMKNVGIKKDPGCSWVTWKNVVHVFRAKDTKHEMYNEIQALLSKLRKQMQAAGYMPDTQYSLYDLEEEEKESEVFQHSEKLALAFGLICIPPGVPIRIMKNLRICVDCHRAFKFISGIVGREIIVRDNNRFHHFKQYQCSCGDYW >ORUFI11G19350.2 pep chromosome:OR_W1943:11:22079076:22083890:1 gene:ORUFI11G19350 transcript:ORUFI11G19350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAAAAAVAPADPQLLAAAFESAIASRSPRLGRAAHARALRLIAPALSPFICAHLVNLYSKLDLPAAAAAALASDPHPTVVSYTAFISGAAQHGRPLPALSAFAGMLRLGLRPNDFTFPSAFKAAASAPPRSTIGPQIHSLAIRFGYLPVDPFVSCAALDMYFKTGRLKLARHLFGEMPNRNVVAWNAVMTNAVLDGRPLETIEAYFGLREAGGLPNVVSACAFFNACAGAMYLSLGEQFHGFVVKCGFEMDVSVLNSMVDFYGKCRCAGKARAVFDGMGVRNSVSWCSMVAAYAQNGAEEEAFAAYLGARRSGEEPTDFMVSSALTTCAGLLGLHLGRALHAVAVRSCIDANIFVASALVDMYGKCGCVEDAEQIFYETPQRNLVTWNAMIGGYAHIGDAQNALLVFDDMIRSGETAPNYITLVNVITSCSRGGLTKDGYELFETMRERFGIEPRTEHYACVVDLLGRAGMEEQAYEVIQGMPMRPSISVWGALLGACKMHGKTELGRIAAEKLFELDPQDSGNHVLLSNMFASAGRWAEATDIRKEMKNVGIKKDPGCSWVTWKNVVHVFRAKDTKHEMYNEIQALLSKLRKQMQAAGYMPDTQYSLYDLEEEEKESEVFQHSEKLALAFGLICIPPGVPIRIMKNLRICVDCHRAFKFISGIVGREIIVRDNNRFHHFKQYQCSCGDYW >ORUFI11G19350.3 pep chromosome:OR_W1943:11:22079076:22083890:1 gene:ORUFI11G19350 transcript:ORUFI11G19350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAAAAAVAPADPQLLAAAFESAIASRSPRLGRAAHARALRLIAPALSPFICAHLVNLYSKLDLPAAAAAALASDPHPTVVSYTAFISGAAQHGRPLPALSAFAGMLRLGLRPNDFTFPSAFKAAASAPPRSTIGPQIHSLAIRFGYLPVDPFVSCAALDMYFKTGRLKLARHLFGEMPNRNVVAWNAVMTNAVLDGRPLETIEAYFGLREAGGLPNVVSACAFFNACAGAMYLSLGEQFHGFVVKCGFEMDVSVLNSMVDFYGKCRCAGKARAVFDGMGVRNSVSWCSMVAAYAQNGAEEEAFAAYLGARRSGEEPTDFMVSSALTTCAGLLGLHLGRALHAVAVRSCIDANIFVASALVDMYGKCGCVEDAEQIFYETPQRNLVTWNAMIGGYAHIGDAQNALLVFDDMIRSGETAPNYITLVNVITSCSRGGLTKDGYELFETMRERFGIEPRTEHYACVVDLLGRAGMEEQAYEVIQGMPMRPSISVWGALLGACKMHGKTELGRIAAEKLFELDPQDSGNHVLLSNMFASAGRWAEATDIRKEMKNVGIKKDPGCSWVTWKNVVHVFRAKDTKHEMYNEIQALLSKLRKQMQAAGYMPDTQYSLYDLEEEEKESEVFQHSEKLALAFGLICIPPGVPIRIMKNLRICVDCHRAFKFISGIVGREIIVRDNNRFHHFKQYQCSCGDYW >ORUFI11G19360.1 pep chromosome:OR_W1943:11:22084353:22085549:1 gene:ORUFI11G19360 transcript:ORUFI11G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPAAKRRRRCCSLPPELNDDVIGEILLRLPPGDPALLVRCSLVCKPWRRLLSSDPVFLRRHRELHLRRRTPRPLLGFLFNQLGEDPGVAWFAPTSSLRRLPHPHHCDWYALDARHGLVLFSTMLSRDAAEHELVVWDPMTGRRWRLDFPGYLEDFNWSASVLCAADGCDHRHCHGAPFLVTVVSTGRYCNTSAAIYSSETGAWGDAIALEREHPDPDDAVKVGKPGVQVGNAIYFPCVRSAQILECDMSGHTLAMFDSPAAGRGWPDNGLLMTAESGGGGGLGFAFVRRSMLHLWSREPTGDGAMAWSPLRGINLEPLLTVLIRRPPEHHSVTPNLVGFADGVGVIFAEIDGDVFTIEVSSRRGKKVYRREDIHTIFPYTSFYTPRGGINFDPLP >ORUFI11G19370.1 pep chromosome:OR_W1943:11:22091139:22091768:1 gene:ORUFI11G19370 transcript:ORUFI11G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVADPALLGGVQDGLVLVILAARGMGQTVQTRGANGGRRRAGRAARGEEGTAASARMRHASCRTGCGRAAARMARRGRSVTAEALQDGVTAGATSDGGGGRFLGAVVGKCAAARGGGVTVGSGDRRGGGRFLGAQDPEHEVVIITAFCTAASPPEIRPHSDASFGVWVHPHCFTAARSVAPGARAAQPKQATAMAPPRLRSKLRAGA >ORUFI11G19380.1 pep chromosome:OR_W1943:11:22093643:22094038:1 gene:ORUFI11G19380 transcript:ORUFI11G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWSASAYTCRGPRETIRATRNIVGGEIDGDLHVEDGDGLAVESGDGVVLEGGRRRLLRRNIERRRSRRAMNLETGAAQRRDLRWELRRRRDDGRQNKHGSNGRRGQGHRGRHHVRGQGVVIGGGFKRGE >ORUFI11G19390.1 pep chromosome:OR_W1943:11:22099999:22100325:1 gene:ORUFI11G19390 transcript:ORUFI11G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLIETRSTDEHIVRKRIIAEDDEKQSAQSGIAVGGEIDGDLHVEDGDGLAVESGDGVVVEGGRRRPLSRGTCPDSTQREKPVSTEFIEVVVFVCDGWETLPLAQKK >ORUFI11G19400.1 pep chromosome:OR_W1943:11:22105948:22108279:1 gene:ORUFI11G19400 transcript:ORUFI11G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSIARIINLGDLAMRPKKLCRLLIKLLSDLLLKESGKYEYVHPPPMETQAADLPELSQDMLMEIFALLEIPDLVRAGSVCQSWRSAYTSLRDMGQYKQQTPCLLYTTESSGEKVSCLYSLVEKRAFRLTLPGTPLHKRLPIGSSHGWVVTADELSELHLVNPITGQQIALPPVITIEQVKPIFNDIGVVQGYKIGWYCAEKDYGDPYGEPSPILTPSELRDHLYYKAFVFPDPLTRSFIVVVIHYPFCQLSFARVGDDKWTWLPHNTRYRDCVYHDGLLYALTSHGQIDAFDITASVVTRKVIIKHMKGISESMYIIQAPWGDLLQVWRTVDAAEQQDGDDDTLCYETEDGIVPVMRTKEIKVFKVDMAANKLVQINSLPYHVLFLGHNQSICLRAEEYPQLRANHVYFTDDHVDLLMLIKNGPRDIGVFDLENRRRKKTISPIWSSWPSPVWITPSIAKADGWCVPCGVGTPVMGGRELERRGGLMACSLT >ORUFI11G19410.1 pep chromosome:OR_W1943:11:22118689:22122655:1 gene:ORUFI11G19410 transcript:ORUFI11G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLRAASVLRRAAAPALGGGRAAPLPPKNLPAVCLNGYSTLLAPANEVLIPQELLSSKTVWTPDRELGQYEDLVARVTNFHNEDKGFMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYNQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFDDLEVPSHKTKNIVQYIGQMEGTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRNAINRIVERMHTPISR >ORUFI11G19420.1 pep chromosome:OR_W1943:11:22122722:22123531:1 gene:ORUFI11G19420 transcript:ORUFI11G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRACLLWGTRTSFVYSEGTRQRKGFLYEKVKLLCLLKGVSRRSTSHPCQCMYTNTTVLINPLSRQVVMMMHIALRVLFYLSRTLISLKKIVDFSWCELETCYGAR >ORUFI11G19430.1 pep chromosome:OR_W1943:11:22129044:22134500:1 gene:ORUFI11G19430 transcript:ORUFI11G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFFSELTSCKETKLHSAPHSWLPLEKGKLSKFAGHSTSSIESLMKMPEPVVLPHFKPADYVDILAQIHEELESCPPDEKSCLYLLQFQVFRGLGEVKLSRRSLQSAWEKASTIHEKLIFGAWLKYEKKGEEPISDLLSSCGKCSQEFKLLDFVSQISTGSHEISYDDESDVFWGSPVVHFRIRDDMIACDRRKLAALSTPLYAMLNGGFRESHLEVIDMSRNGISSIGMRAISKFSLTGRLPYLSADAILEMLDFANKFCCNGLKDACERKLASFICSRQDAIDFMECALELGCSILAAACLQVLLNELPECLNDEQVVRIFSCASKQQRSTMAGNASFSLYCLLSEVSMSINATSDVTVTFLEKLVDSASDSRQKQLALHQLACTRLLRKDYAEAERLFNAAFTAGHLYSVVGLARLASMRGNKHFSLKLLDSVMSSRWPLGWMYQERALYLEGDNKLENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDHRAALCDVQAILTLAPDYRMIGGRVSAKQLRMLVMENVEQWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLAREHAASQHEQLVYEGWILYDTGHCEEGLQKAEASIAIQRSFEAFFLKAYALADSSLDPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCGKLDLAAECYINALKIGHTRAHQGLARVHFLRNSRTGAYEEMTKLIEKARSNASAYEKRSEYCDRELTKSDLQMVTKLDPLRVYPYRYRAAVLMDNHKEKEAIAELTKAIAFKADLNLLHLRAAFHEHVGDISSALRDCRAALSVDPNHQEMLELHHRVNSQEP >ORUFI11G19430.2 pep chromosome:OR_W1943:11:22128753:22134500:1 gene:ORUFI11G19430 transcript:ORUFI11G19430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFFSELTSCKETKLHSAPHSWLPLEKGKLSKFAGHSTSSIESLMKMPEPVVLPHFKPADYVDILAQIHEELESCPPDEKSCLYLLQFQVFRGLGEVKLSRRSLQSAWEKASTIHEKLIFGAWLKYEKKGEEPISDLLSSCGKCSQEFKLLDFVSQISTGSHEISYDDESDVFWGSPVVHFRIRDDMIACDRRKLAALSTPLYAMLNGGFRESHLEVIDMSRNGISSIGMRAISKFSLTGRLPYLSADAILEMLDFANKFCCNGLKDACERKLASFICSRQDAIDFMECALELGCSILAAACLQVLLNELPECLNDEQVVRIFSCASKQQRSTMAGNASFSLYCLLSEVSMSINATSDVTVTFLEKLVDSASDSRQKQLALHQLACTRLLRKDYAEAERLFNAAFTAGHLYSVVGLARLASMRGNKHFSLKLLDSVMSSRWPLGWMYQERALYLEGDNKLENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDHRAALCDVQAILTLAPDYRMIGGRVSAKQLRMLVMENVEQWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLAREHAASQHEQLVYEGWILYDTGHCEEGLQKAEASIAIQRSFEAFFLKAYALADSSLDPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCGKLDLAAECYINALKIGHTRAHQGLARVHFLRNSRTGAYEEMTKLIEKARSNASAYEKRSEYCDRELTKSDLQMVTKLDPLRVYPYRYRAAVLMDNHKEKEAIAELTKAIAFKADLNLLHLRAAFHEHVGDISSALRDCRAALSVDPNHQEMLELHHRVNSQEP >ORUFI11G19440.1 pep chromosome:OR_W1943:11:22135222:22137303:-1 gene:ORUFI11G19440 transcript:ORUFI11G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRRWGHEGDQALRRAEWGDSEAERHHAKLVRRRRAEKAGEKVAREAGCTERGRSVARKASEARRWRTTMAIDGYAKLEMKFNGKRPNFVMSAWMVTPIIYLNTTLDVISWRSCVTKKKICMKPNTSV >ORUFI11G19450.1 pep chromosome:OR_W1943:11:22138233:22158870:1 gene:ORUFI11G19450 transcript:ORUFI11G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGCSGRRRRLLRAALLRLVVLVLVAPPRRCAGESATCLAVYREGGAPAVFQSAHCPRWTLLAPSAGSGGEGDGDRRSSSSSPPPPPHPRGCHVAVDRGRRRSQEDRAVCALGIRIPFIEHMRIKEVDVGVVAVFDGHNGAEASEMASKLLLEYFLLHVYFLLDGIYSIMFRKSTGKLTYKEVTILNNVINLYKEDQSSHSKGSCWALPAILDRSFHMEVLKESLLRAVHDVDLTFSKEGEALRNNFESGSTAAVILIVDGQIIAANVGDSKAFLCSESHDSYHQKRKRRRKRNSNNRDDFALANYDGPFYNVKELTKDHHPDREDERSRVEAAGGYVLEWAGVHRVNGELALSRAIGDVPYKRYGVIPTPELTEWQSLSANDTFLIASSDGVFEKMTMQDVCDLMLRVKLGVNQELGSFAVTQRNLADYVVDLALEKGTTDNVAAVIVPLGSHYSSKVTLEDWYMLEENSKTSISPLQTIPYQQKSDDGVSSALIGVDYYKRSSAKFHRFLVEAKMKRLGCFYLSESLDEDMDYIFRVPEAYQHEGVNDFDHSLVETVLFSDGNLDKYKDRDFCWYLGHQDGEMGRCHSPEAFANFFGLLDSVSHNGSKPHSSHSFGYKISDFRYKLKKRFDRGSYGEVWLAFHWNCSEDVDVHKDHSHFNTILKPDSYNCTSSNTTLPDENHDSDTIDGDLFILKRIMVERGRAAYLSGLREKYFGELFSNASRTLEALSRAESSTAFSVDSQLNPHIFAENNMSFTEEPLKHIARFIESFESELKELWLVYRNEGRSLSKLIYTAEETKLVTGNNNERVRHIQVLQPSKWWYWLRTTKAGQKQMQNLLWQLLMGLKACHDRNITHRDIKPENMIICFEDLETGKCLREIPSEAKHNKLNMRLIDFGSAFDDFTLKHLYDSGPTRSEQTFEYTPPEALLNSSWFQGSKSARLKYDMWSVGVVMLELIVGSPHVFQISDRTRALMDHRLEGWSEQTKELAYKLRSYMELCILVPGISSQHQGSGDSEHGQFRPASWKCSEESFAHQVKIRDPLKMGFPNIWALRLARQLLIWHPIKYSSPCIHNMKPELHRVVEAVLEAKVRKTLIPGVLGNVCVPVLTAAAPAILVHPTSTVVMLAPGAIPAIPKKKKSVEEMESVGGVGPTVGADVEAREWNVGELVGLTPGILSVSAIDVIRLVHGINDIDAK >ORUFI11G19450.2 pep chromosome:OR_W1943:11:22138233:22158870:1 gene:ORUFI11G19450 transcript:ORUFI11G19450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGCSGRRRRLLRAALLRLVVLVLVAPPRRCAGESATCLAVYREGGAPAVFQSAHCPRWTLLAPSAGSGGEGDGDRRSSSSSPPPPPHPRGCHVAVDRGRRRSQEDRAVCALGIRIPFIEHMRIKEVDVGVVAVFDGHNGAEASEMASKLLLEYFLLHVYFLLDGIYSIMFRKSTGKLTYKEVTILNNVINLYKEDQSSHSKGSCWALPAILDRSFHMEVLKESLLRAVHDVDLTFSKEGKRRRKRNSNNRDDFALANYDGPFYNVKELTKDHHPDREDERSRVEAAGGYVLEWAGVHRVNGELALSRAIGDVPYKRYGVIPTPELTEWQSLSANDTFLIASSDGVFEKMTMQDVCDLMLRVKLGVNQELGSFAVTQRNLADYVVDLALEKGTTDNVAAVIVPLGSHYSSKVTLEDWYMLEENSKTSISPLQTIPYQQKSDDGVSSALIGVDYYKRSSAKFHRFLVEAKMKRLGCFYLSESLDEDMDYIFRVPEAYQHEGVNDFDHSLVETVLFSDGNLDKYKDRDFCWYLGHQDGEMGRCHSPEAFANFFGLLDSVSHNGSKPHSSHSFGYKISDFRYKLKKRFDRGSYGEVWLAFHWNCSEDVDVHKDHSHFNTILKPDSYNCTSSNTTLPDENHDSDTIDGDLFILKRIMVERGRAAYLSGLREKYFGELFSNASRTLEALSRAESSTAFSVDSQLNPHIFAENNMSFTEEPLKHIARFIESFESELKELWLVYRNEGRSLSKLIYTAEETKLVTGNNNERVRHIQVLQPSKWWYWLRTTKAGQKQMQNLLWQLLMGLKACHDRNITHRDIKPENMIICFEDLETGKCLREIPSEAKHNKLNMRLIDFGSAFDDFTLKHLYDSGPTRSEQTFEYTPPEALLNSSWFQGSKSARLKYDMWSVGVVMLELIVGSPHVFQISDRTRALMDHRLEGWSEQTKELAYKLRSYMELCILVPGISSQHQGSGDSEHGQFRPASWKCSEESFAHQVKIRDPLKMGFPNIWALRLARQLLIWHPIKYSSPCIHNMKPELHRVVEAVLEAKVRKTLIPGVLGNVCVPVLTAAAPAILVHPTSTVVMLAPGAIPAIPKKKKSVEEMESVGGVGPTVGADVEAREWNVGELVGLTPGILSVSAIDVIRLVHGINDIDAK >ORUFI11G19450.3 pep chromosome:OR_W1943:11:22138233:22158870:1 gene:ORUFI11G19450 transcript:ORUFI11G19450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGCSGRRRRLLRAALLRLVVLVLVAPPRRCAGESATCLAVYREGGAPAVFQSAHCPRWTLLAPSAGSGGEGDGDRRSSSSSPPPPPHPRGCHVAVDRGRRRSQEDRAVCALGIRIPFIEHMRIKEVDVGVVAVFDGHNGAEASEMASKLLLEYFLLHVYFLLDGIYSIMFRKSTGKLTYKEVTILNNVINLYKEDQSSHSKGSCWALPAILDRSFHMEVLKESLLRAVHDEALRNNFESGSTAAVILIVDGQIIAANVGDSKAFLCSESHDSYHQKRKRRRKRNSNNRDDFALANYDGPFYNVKELTKDHHPDREDERSRVEAAGGYVLEWAGVHRVNGELALSRAIGDVPYKRYGVIPTPELTEWQSLSANDTFLIASSDGVFEKMTMQDVCDLMLRVKLGVNQELGSFAVTQRNLADYVVDLALEKGTTDNVAAVIVPLGSHYSSKVTLEDWYMLEENSKTSISPLQTIPYQQKSDDGVSSALIGVDYYKRSSAKFHRFLVEAKMKRLGCFYLSESLDEDMDYIFRVPEAYQHEGVNDFDHSLVETVLFSDGNLDKYKDRDFCWYLGHQDGEMGRCHSPEAFANFFGLLDSVSHNGSKPHSSHSFGYKISDFRYKLKKRFDRGSYGEVWLAFHWNCSEDVDVHKDHSHFNTILKPDSYNCTSSNTTLPDENHDSDTIDGDLFILKRIMVERGRAAYLSGLREKYFGELFSNASRTLEALSRAESSTAFSVDSQLNPHIFAENNMSFTEEPLKHIARFIESFESELKELWLVYRNEGRSLSKLIYTAEETKLVTGNNNERVRHIQVLQPSKWWYWLRTTKAGQKQMQNLLWQLLMGLKACHDRNITHRDIKPENMIICFEDLETGKCLREIPSEAKHNKLNMRLIDFGSAFDDFTLKHLYDSGPTRSEQTFEYTPPEALLNSSWFQGSKSARLKYDMWSVGVVMLELIVGSPHVFQISDRTRALMDHRLEGWSEQTKELAYKLRSYMELCILVPGISSQHQGSGDSEHGQFRPASWKCSEESFAHQVKIRDPLKMGWIKYSSPCIHNMKPELHRVVEAVLEAKVRKTLIPGVLGNVCVPVLTAAAPAILVHPTSTVVMLAPGAIPAIPKKKKSVEEMESVGGVGPTVGADVEAREWNVGELVGLTPGILSVSAIDVIRLVHGINDIDAK >ORUFI11G19450.4 pep chromosome:OR_W1943:11:22138233:22158870:1 gene:ORUFI11G19450 transcript:ORUFI11G19450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGCSGRRRRLLRAALLRLVVLVLVAPPRRCAGESATCLAVYREGGAPAVFQSAHCPRWTLLAPSAGSGGEGDGDRRSSSSSPPPPPHPRGCHVAVDRGRRRSQEDRAVCALGIRIPFIEHMRIKEVDVGVVAVFDGHNGAEASEMASKLLLEYFLLHVYFLLDGIYSIMFRKSTGKLTYKEVTILNNVINLYKEDQSSHSKGSCWALPAILDRSFHMEVLKESLLRAVHDVDLTFSKEGEALRNNFESGSTAAVILIVDGQIIAANVGDSKAFLCSESHDSYHQKRKRRRKRNSNNRDDFALANYDGPFYNVKELTKDHHPDREDERSRVEAAGGYVLEWAGVHRVNGELALSRAIGDVPYKRYGVIPTPELTEWQSLSANDTFLIASSDGVFEKMTMQDVCDLMLRVKLGVNQELGSFAVTQRNLADYVVDLALEKGTTDNVAAVIVPLGSHYSSKVTLEDWYMLEENSKTSISPLQTIPYQQKSDDGVSSALIGVDYYKRSSAKFHRFLVEAKMKRLGCFYLSESLDEDMDYIFRVPEAYQHEGVNDFDHSLVETVLFSDGNLDKYKDRDFCWYLGHQDGEMGRCHSPEAFANFFGLLDSVSHNGSKPHSSHSFGYKISDFRYKLKKRFDRGSYGEVWLAFHWNCSEDVDVHKDHSHFNTILKPDSYNCTSSNTTLPDENHDSDTIDGDLFILKRIMVERGRAAYLSGLREKYFGELFSNASRTLEALSRAESSTAFSVDSQLNPHIFAENNMSFTEEPLKHIARFIESFESELKELWLVYRNEGRSLSKLIYTAEETKLVTGNNNERVRHIQVLQPSKWWYWLRTTKAGQKQMQNLLWQLLMGLKACHDRNITHRDIKPENMIICFEDLETGKCLREIPSEAKHNKLNMRLIDFGSAFDDFTLKHLYDSGPTRSEQTFEYTPPEALLNSSWFQGSKSARLKYDMWSVGVVMLELIVGSPHVFQISDRTRALMDHRLEGWSEQTKELAYKLRSYMELCILVPGISSQHQGSGDSEHGQFRPASWKCSEESFAHQVKIRDPLKMGWIKYSSPCIHNMKPELHRVVEAVLEAKVRKTLIPGVLGNVCVPVLTAAAPAILVHPTSTVVMLAPGAIPAIPKKKKSVEEMESVGGVGPTVGADVEAREWNVGELVGLTPGILSVSAIDVIRLVHGINDIDAK >ORUFI11G19450.5 pep chromosome:OR_W1943:11:22138233:22158870:1 gene:ORUFI11G19450 transcript:ORUFI11G19450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGCSGRRRRLLRAALLRLVVLVLVAPPRRCAGESATCLAVYREGGAPAVFQSAHCPRWTLLAPSAGSGGEGDGDRRSSSSSPPPPPHPRGCHVAVDRGRRRSQEDRAVCALGIRIPFIEHMRIKEVDVGVVAVFDGHNGAEASEMASKLLLEYFLLHVYFLLDGIYSIMFRKSTGKLTYKEVTILNNVINLYKEDQSSHSKGSCWALPAILDRSFHMEVLKESLLRAVHDVDLTFSKEGKRRRKRNSNNRDDFALANYDGPFYNVKELTKDHHPDREDERSRVEAAGGYVLEWAGVHRVNGELALSRAIGDVPYKRYGVIPTPELTEWQSLSANDTFLIASSDGVFEKMTMQDVCDLMLRVKLGVNQELGSFAVTQRNLADYVVDLALEKGTTDNVAAVIVPLGSHYSSKVTLEDWYMLEENSKTSISPLQTIPYQQKSDDGVSSALIGVDYYKRSSAKFHRFLVEAKMKRLGCFYLSESLDEDMDYIFRVPEAYQHEGVNDFDHSLVETVLFSDGNLDKYKDRDFCWYLGHQDGEMGRCHSPEAFANFFGLLDSVSHNGSKPHSSHSFGYKISDFRYKLKKRFDRGSYGEVWLAFHWNCSEDVDVHKDHSHFNTILKPDSYNCTSSNTTLPDENHDSDTIDGDLFILKRIMVERGRAAYLSGLREKYFGELFSNASRTLEALSRAESSTAFSVDSQLNPHIFAENNMSFTEEPLKHIARFIESFESELKELWLVYRNEGRSLSKLIYTAEETKLVTGNNNERVRHIQVLQPSKWWYWLRTTKAGQKQMQNLLWQLLMGLKACHDRNITHRDIKPENMIICFEDLETGKCLREIPSEAKHNKLNMRLIDFGSAFDDFTLKHLYDSGPTRSEQTFEYTPPEALLNSSWFQGSKSARLKYDMWSVGVVMLELIVGSPHVFQISDRTRALMDHRLEGWSEQTKELAYKLRSYMELCILVPGISSQHQGSGDSEHGQFRPASWKCSEESFAHQVKIRDPLKMGWIKYSSPCIHNMKPELHRVVEAVLEAKVRKTLIPGVLGNVCVPVLTAAAPAILVHPTSTVVMLAPGAIPAIPKKKKSVEEMESVGGVGPTVGADVEAREWNVGELVGLTPGILSVSAIDVIRLVHGINDIDAK >ORUFI11G19460.1 pep chromosome:OR_W1943:11:22152430:22153418:-1 gene:ORUFI11G19460 transcript:ORUFI11G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHLNEQQISDFRDAFSLFDKNNDGCISREELATVLTRLGMAPSQEDLQDMIVAVDEDGNGTIEFDEFLAIMKKKLYENDKGDDEEELRKAFRIFDKDDNGFISRNELSMVMASLGEEMTEDEIDDMMKAADSNNDGQVDYEEFKRVMMST >ORUFI11G19470.1 pep chromosome:OR_W1943:11:22154374:22157545:-1 gene:ORUFI11G19470 transcript:ORUFI11G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTHAAYLAPTAPPRHLHLLLRLRLRGRPAVSTCVRATARGGDGGSSYLDMWKKAVERERRSAEIAHRLQQSSSAAAAAVKEEEGEGKAAAAAGDVERRTARFEEMLRVPREERDRVQRRQVIDRAAAALAAARAVLKDPPPPPPPSPPSTPPQEREQQQKPAATAIQAGSESGLVSRTAPGESDRASPPPPVTETATEAAKVSVPDSGDSSPFKKSSSKLGTPGPDFWSWLPPVENSTKLGEIDTGLKPSEKLDSFAGQPDLLMEKEQSEDILSLPFETSFFKKEDRSLPPFQSFAEPENVESEPSITADAEETFEDQFSKNAAEAARALSASDEKSSHGVRPDGSLWWKETGVEQRPDGVTCKWTVIRGVSADGAVEWEDKYWEASDRFDHKELGSEKSGRDATGNVWREYWKESMWQDFTCGVMHMEKTADKWGQNGKGEQWQEQWWEHYDSSGKAEKWADKWCSLDPNTPLDVGHAHVWHERWGEKYDGCGGSAKYTDKWAERSEGDGWSKWGDKWDEHFDPNGHGVKQGETWWAGKYGDRWNRTWGEHHNCTGWVHKYGRSSSGEHWDTHVPQDTWYERFPHFGFEHCFNNSVQLRSVKRQTPKNTKPEKD >ORUFI11G19480.1 pep chromosome:OR_W1943:11:22159810:22160214:-1 gene:ORUFI11G19480 transcript:ORUFI11G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELITACALLLIAVHIAIVISCPEPLAAVALVDVPLLYLCRYLLIIRRGVIIVSGAGAGGGRLRRFRLGAAMAIIYMAMSTLFFLRIAPLTPWWGALAAWVMILLIVEAIFAFFFPYRCCFNETEGDVQNNPHV >ORUFI11G19490.1 pep chromosome:OR_W1943:11:22164993:22166214:-1 gene:ORUFI11G19490 transcript:ORUFI11G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCDNNAEISYINEDGDRRLLAKPIKYFYEMQELFSGSNANGSLAIDQQTCYNIDRKFDSSDHEGLNDMSTYAHPINIAKEDSDTLPSPTCPDNFSPGTSRVSKKRPRDVKSPSKRQPKPKSRFTDVTEKIGNTMDRLVNQLASPPPPPMPQLRDPYATMWKRMDALPIGSKDKVVVGNYLGRQEKEGVRGFLAASCDTTLETGVPFHVRPRWCVG >ORUFI11G19500.1 pep chromosome:OR_W1943:11:22179599:22182406:-1 gene:ORUFI11G19500 transcript:ORUFI11G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGARVAHQMASTAHGLAELPLLILLVLIMMNLMVAASTVQEKKDKKPLSKAWAEGAGWRRLQARQTHRPGRSVGQRRTPEGGHVGREEPHRPGMSTGQGRCRRTKTTSGGSRAFPTVDGGCAVEPLGLWGLGKVIWVPGSAGLNFLASIPKICLGAIFRVPTGDALMFHFFSRYFLFMTLCTSLCHIRRRGDACPCLSFEGWGL >ORUFI11G19510.1 pep chromosome:OR_W1943:11:22183625:22184188:-1 gene:ORUFI11G19510 transcript:ORUFI11G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLTANPNITNIAIFSFRALHISYFHHLPCFKGAASTSSLAALPHLKELAAVAGIHMILIYLCRFLLRRSRNVLFTVSNSLRFRLKVLTVLLYICLSVMLFYLFGSIMPLPPWGLVVGWVMALIAVELAYAFIFPYSFRYIADNDDDKMVILPV >ORUFI11G19520.1 pep chromosome:OR_W1943:11:22188143:22190771:-1 gene:ORUFI11G19520 transcript:ORUFI11G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLNNLCNFRVKKQYYNPSEHTVYHYGGGYNFTVLSFWAPFLVRNELIDTDRPAHTGLWNLYLDEPDAVWASHVPAFDYAVVSASSWFYRPSMLYEAGRLVGCHHCLLPNVTDLTLRYALRMATRAALRAVVGGGGGVTAVLRTVSPSQYEGGEWNKDGNCVRTRPYRRGEKTLQGVELDFHTLQVEEFEAAKRAVTASGGSGGAVRMMLMDTTEAMIVRADAHPSRYRGWTRRKGWMKEYFTISNDCVHWCVPGAVDAWNDMLSHMSMMKFHEVIKLPSIAHYGLRYVLPAAAVAACVLVLAAVSLPGRVPLPPLLAPEVTKNTADGVGGDRSGCDIFKGEWVPDMSGEPPPYTGESCPVIHGHYDCMRYGRPDLGYVRWRWRPDGGALRAAATGGARRRGGRAARRTVIVRTISPSHYENGTWNGHGDCVRTRPARRGEWELNAMEKDMHRIQVEEFAAAARKRGKGAARMMLMDATEAMAQRPDAHPSKYRLWQPDKFKVSRDCVHWCLPGAMDACNDMLFHMLIG >ORUFI11G19530.1 pep chromosome:OR_W1943:11:22191880:22194501:1 gene:ORUFI11G19530 transcript:ORUFI11G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDNAGKTTTLYKLHLGEAVTTAPPSAAMSRRSSSRTSDSRASRTVAAFGEYKIYYHPGHGGLPPPPHAAGRCELFWLVLNDPDVSMVFGEVGFRREDIKLAILRPVHAAPQPPPHAQLPPFLFLCSFAAADNTGIPYPAENLTATRKENCRRIANILSRTHNPCLLSVVTGDAREIEGRKKRRGSQMGLTFFNAFLLLSLTCRTLFCFQLL >ORUFI11G19540.1 pep chromosome:OR_W1943:11:22194779:22198150:1 gene:ORUFI11G19540 transcript:ORUFI11G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWMSRVWFLMFPAKEYKIVVVGLDNAGKTTTLYKLHLGEAVTAAPTIGSNVEEVVFKNIRFEVWDLGGQESLRTSWATYYRGTHAVIVVIDSTDRARINIIKDELFRLLQHGDLEGAVVLVFANKQDLKDAMSPAEITDALSLHSIKNHDWHIQASCAITGEGLYDGLGWIAQKVAGKATTS >ORUFI11G19550.1 pep chromosome:OR_W1943:11:22200660:22206047:1 gene:ORUFI11G19550 transcript:ORUFI11G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTLVLLLPHGGAGGVRPAAAATAKRSYVMRRCCSTVRAVMARPQEAPASAPAKKTETAAMMSTVQTETAAAPPATVYRDSWFDKLAIGYLSRNLQEASGLKNEKDGYESLIDTALAISRIFSLDKQSEIVTQALERALPSYILTMIKVMMPPSRFSREYFAAFTTIFFPWLVGPCEVMESEVEGRKEKNVVYIPKCRFLESTNCVGMCTNLCKIPCQKFIQDSLGMKVYMSPNFEDMSCEMIFGQQPPEDDPALKQPCFRTKCVAKQNHGVNCSI >ORUFI11G19550.2 pep chromosome:OR_W1943:11:22200660:22206047:1 gene:ORUFI11G19550 transcript:ORUFI11G19550.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTTLVLLLPHGGAGGVRPAAAATAKRSYVMRRCCSTVRAVMARPQEAPASAPAKKTETAAMMSTVQTETAAAPPATVYRDSWFDKLAIGYLSRNLQEASGLKNEKDGYESLIDTALAISRIFSLDKQSEIVTQALERALPSYILTMIKVMMPPSRFSREYFAAFTTIFFPWLVGPCEIPCQKFIQDSLGMKVYMSPNFEDMSCEMIFGQQPPEDDPALKQPCFRTKCVAKQNHGVNCSI >ORUFI11G19560.1 pep chromosome:OR_W1943:11:22203041:22209918:-1 gene:ORUFI11G19560 transcript:ORUFI11G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGYRYQALKEALAAAISGHDLARHHAAADHRRPHALAVVAGLASNGYVASLLVSRYFRLGDAGAARNVFDAAATAAAPQKALLYNAMLRGYLAGGLPRMAVGIFGEMAAAACLPDRHTYHLAVAACARASEFEVGWRIGAEAAAKGFASDLLVATALIGMYAEAGDMGAARKVFDGMPQRDAVAWNAVIAGYARFGSWEGRGMMHTIVIKLGFQLNLFVSNALLDLYVEFGCLREAVMLFRQMAVKDSVTWSAMIGGLVRNGRPDSALKLFRWMVTNSTVLVTRSILLNVIMACAELGEWREGKWVEENYVCCNGFEFKRDPSVVTALIYMYAKCGMLDSSVSLLYGVAEVRDDVFAWNAMIKGCGELGLVEKAVGFVVEMQKIGVDPDAITYLEILPMISSIPSLKTGMEAHAQIVRRGFLNERAIANSLVSMYGRCGSLRHSIEVFSRIVVKDVYAWNGHVNEVVKLYEVMKKTETEPNHYTFLAVLSACKNTGLVEEGMELIKYMQEKCGLKPEIEHISCVVDMLCRAGRLTDAYHLIKYNNSEHINNTILWGTLLSASRSCGDLVIGEAAAKHLLSLDPENRANSKMLADIYVLLGRRDDADNLLRVSMTRGLDIKPGCSWMEEQRKLTGRHGYLQQRHNHEFILVKTH >ORUFI11G19560.2 pep chromosome:OR_W1943:11:22203039:22209918:-1 gene:ORUFI11G19560 transcript:ORUFI11G19560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGYRYQALKEALAAAISGHDLARHHAAADHRRPHALAVVAGLASNGYVASLLVSRYFRLGDAGAARNVFDAAATAAAPQKALLYNAMLRGYLAGGLPRMAVGIFGEMAAAACLPDRHTYHLAVAACARASEFEVGWRIGAEAAAKGFASDLLVATALIGMYAEAGDMGAARKVFDGMPQRDAVAWNAVIAGYARGGHLREVVELFMRMRSVDVVLPTEVTLVTLVSGYAGFGSWEGRGMMHTIVIKLGFQLNLFVSNALLDLYVEFGCLREAVMLFRQMAVKDSVTWSAMIGGLVRNGRPDSALKLFRWMVTNSTVLVTRSILLNVIMACAELGEWREGKWVEENYVCCNGFEFKRDPSVVTALIYMYAKCGMLDSSVSLLYGVAEVRDDVFAWNAMIKGCGELGLVEKAVGFVVEMQKIGVDPDAITYLEILPMISSIPSLKTGMEAHAQIVRRGFLNERAIANSLVSMYGRCGSLRHSIEVFSRIVVKDVISWTSMMQVYAWNGHVNEVVKLYEVMKKTETEPNHYTFLAVLSACKNTGLVEEGMELIKYMQEKCGLKPEIEHISCVVDMLCRAGRLTDAYHLIKYNNSEHINNTILWGTLLSASRSCGDLVIGEAAAKHLLSLDPENRANSKMLADIYVLLGRRDDADNLLRVSMTRGLDIKPGCSWMEGFPDESEQRKLTGRHGYLQQRHNHEFILVKTH >ORUFI11G19560.3 pep chromosome:OR_W1943:11:22203041:22209918:-1 gene:ORUFI11G19560 transcript:ORUFI11G19560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGYRYQALKEALAAAISGHDLARHHAAADHRRPHALAVVAGLASNGYVASLLVSRYFRLGDAGAARNVFDAAATAAAPQKALLYNAMLRGYLAGGLPRMAVGIFGEMAAAACLPDRHTYHLAVAACARASEFEVGWRIGAEAAAKGFASDLLVATALIGMYAEAGDMGAARKVFDGMPQRDAVAWNAVIAGYARGGHLREVVELFMRMRSVDVVLPTEVTLVTLVSGYAGFGSWEGRGMMHTIVIKLGFQLNLFVSNALLDLYVEFGCLREAVMLFRQMAVKDSVTWSAMIGGLVRNGRPDSALKLFRWMVTNSTVLVTRSILLNVIMACAELGEWREGKWVEENYVCCNGFEFKRDPSVVTALIYMYAKCGMLDSSVSLLYGVAEVRDDVFAWNAMIKGCGELGLVEKAVGFVVEMQKIGVDPDAITYLEILPMISSIPSLKTGMEAHAQIVRRGFLNERAIANSLVSMYGRCGSLRHSIEVFSRIVVKDVISWTSMMQVYAWNGHVNEVVKLYEVMKKTETEPNHYTFLAVLSACKNTGLVEEGMELIKYMQEKCGLKPEIEHISCVVDMLCRAGRLTDAYHLIKYNNSEHINNTILWGTLLSASRSCGDLVIGEAAAKHLLSLDPENRANSKMLADIYVLLGRRDDADNLLRVSMTRGLDIKPGCSWMEGFPDESEQRKLTGRHGYLQQRHNHEFILVKTH >ORUFI11G19570.1 pep chromosome:OR_W1943:11:22210110:22221133:1 gene:ORUFI11G19570 transcript:ORUFI11G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKALRKRIGIQLRETLGLGSSGAQIEARMSQARAAHGRQPLWRTPPSHLSIAPPRRQGDGAKEVTLVEKGALLPTQTVPKRTATQTGNAFKYERPPYRTTKSQ >ORUFI11G19580.1 pep chromosome:OR_W1943:11:22220713:22223859:-1 gene:ORUFI11G19580 transcript:ORUFI11G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPPVIHLHGQLGQRLARCVRAYPDASTGRDGNVPRIPRRPRGSTVISSPRPPALKSSQKRELLLPPSSTASDTPRHPQSAARAAARRGDEGEVRRRRGEMVAMGADEEEERRMHQGCMAGFFHLFDRPQILSGKRLHHQPRRLLSSSSGSATPSERSMQLDRSPERATPLPSPDMTPPAAPRPSLQLPPLETKDGGGAPAVWRLPRLSLDSRAVVDARGKLRPRDIRTSPAAPPSPGAGGGDERRSPSVVARLMGLDALPRGAPDERDAAPCGGELRRSASERVPRDPACFRFVDPSFFERPSPPVTPQQQRPSSPAVEAALRRAPDPACPRGGIQRRSRFDAHDVFPEPAKRVVDSAAAGHGEIALYGEIERRLRKRGIAEPARDLETLKQILEALQLKGLLRHTPPPPVSSLRTQPPPPPIVVMRPSSRPPQPPASRTSPTRRVRVDADNARRPRSPDRAASPARSPASPARRGPQSPQRRVSPAQSPRYQPPLRKPSTGDRSRIARRGGHGSAALSPDDDASTTFSDGTSSGSLSASSRWDFEQRARPDELRTDRGLLERCGKLLSSIQAFTAGDDQQPSPVSVLDAAAFLADEDSPSSSGSKRAIFFGAAAPALHAATASASDQDDDDDQWDTWTVGPDVDPDYAYVAEVVKVFDRTTRDPSAVYAAAAKTTTGGDDDTCHHHRMLLCAAAVEALDRRRAACAWDPVSWLRGGELVDHVWAEVRRAREPVAAPDADLDLNELIRGGVVRDLAADHHRWPPSSSQLLPGAEVADAVLQIERLVFKDLVADTIRELADVGLRLPRRKLWGEAGEAVDQITQPQWLANWQMEILNADNGVMHIWIPLDSSSYSHLNEPLFGMVQPRSDGTLVVFHGRSKRSWLSSSFPPILIWLPWRIAQLGSSLGFV >ORUFI11G19590.1 pep chromosome:OR_W1943:11:22245514:22253878:-1 gene:ORUFI11G19590 transcript:ORUFI11G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAGKGEVADGFYQIRSDCTHKVPETKFKIKVGKTLSVRKWHAAFTREGRLDIASVLNRIQKGGVHPTIRGEVWEFLLGCFDPGSTFDEREQIREKRRIQYAIWKQECKDMDSHVGSGKIITAPIITEDGKPIKDPLVLLEATSDQHTMQGSSSSSRNENEVDKSENCVVDKQIIEWKLLLHQIGLDVLRTDRSMVFYENKENLSKLWDILAVYAWIDKEIGYCQGMSDLCSPMIVLLNDEADAFWCFERLMRRLRGNFRCTQQSVGVENQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPDIFSTYEHIDAATGVTPGHRQKVKSIRQFGKYERDNMKNGATSDNDGPVPISVFLVASVLKENSAKLLQEARGIDDVIRILNDVNGNLDAKKACAVALKLHRKYLKKVGV >ORUFI11G19600.1 pep chromosome:OR_W1943:11:22255846:22265009:-1 gene:ORUFI11G19600 transcript:ORUFI11G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAEAAAAMAAAPSASGRRSMSWGSSISQSFRQAEADDPFGRAASQQGHDDDEENLRWAALEKLPTYDRMRRGVIRTALLHHDGGGDGGGAAAAAKDGRMELVDIQKLAAGNLGRALLDRVFQDDSERFLRRLRDRIDMVGIELPTIEVRYEQLSIQAEVFVGSRALPTLTNAATNVLQGLIGRFGSSNKRTINILQDVSGIIKPSSQYDLHNAEMTVRETLDFSGRCLGIGARYDMLAELARRERNAGIKPDPEIDAFMKATAVQGHKTNITTDVTLKALGLDICADIIIGDEMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFEIVKYIGHLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFENAGFRCPERKGIADFLQEVTSKKDQQQYWYHDQERYRYVSVPEFAQRFKSFHVGQKMQKEMQIPYDKSSTHPAALTTTKYGLSSWESLRAVMSREWLLMKRNSFIYIFKVTQLIILAFMSMTVFLRTKMPSGTISDGTKFLGALTFSLITILFNGFAELQLTIKKLPVFYKHRDFLFFPAWTFGVANILLKVPVSLVEAAVWVVLTYYVMGFAPSAGRFFRQFIAFFVTHQMAMAMFRFLGAILKTMVVANTFGMFVLLIVFIFGGFLISRNDIKPWWIWGYWASPMMYSQQAISINEFLASRWAIPNTDATIDEPTVGKAILKSKGLITSDGGFWISIGALIGFLVVFNILYILALTYLSPGGSSNTIVSDEDSEDKTDMKTRNEQQMSQIVEADQQISKEMKEQGFTESRLQLLSDISGVFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGVIEGDITLSGYPKKQETFARISGYCEQTDIHSPNVTVYESILYSAWLRLSSDVDTNTRKMFVDEVMSLVELDVLRNALVGLPGVSGLSTEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFESFDELLLLKRGGQVIYAGELGRHSHKLVEYFEAVPGVPKITEGYNPATWMLEVTSPIAEARLNVNFAEIYANSELYRKNQELIKELSTPPPGYQDLSFPTKYSQNFYSQCIANFWKQYRSYWKNPPYNAMRYLMTLLNGLVFGTVFWQKGTKISSQQDLFNLLGATYAATFFLGAANCITVQPVVSIERTVFYRERAAGMYSSLSYAFAQACVEVIYNILQGILYTIIIYAMIGYDWKADKFFYFMFFIVASFNYFTLFGMMLVACTPSAMLANILISFVLPLWNLFAGFLVVRPLIPIWWRWYYWANPVSWTIYGVVASQFGKNGDVLSVPGGSPTVVKQFLEDNLGMRHSFLGYVVLTHFGYIIVFFFIFGYAIKYFNFQKR >ORUFI11G19600.2 pep chromosome:OR_W1943:11:22255846:22265009:-1 gene:ORUFI11G19600 transcript:ORUFI11G19600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAEAAAAMAAAPSASGRRSMSWGSSISQSFRQAEADDPFGRAASQQGHDDDEENLRWAALEKLPTYDRMRRGVIRTALLHHDGGGDGGGAAAAAKDGRMELVDIQKLAAGNLGRALLDRVFQDDSERFLRRLRDRIDMVGIELPTIEVRYEQLSIQAEVFVGSRALPTLTNAATNVLQGLIGRFGSSNKRTINILQDVSGIIKPSSQYDLHNAEMTVRETLDFSGRCLGIGARYDMLAELARRERNAGIKPDPEIDAFMKATAVQGHKTNITTDVTLKALGLDICADIIIGDEMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFEIVKYIGHLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFENAGFRCPERKGIADFLQEVTSKKDQQQYWYHDQERYRYVSVPEFAQRFKSFHVGQKMQKEMQIPYDKSSTHPAALTTTKYGLSSWESLRAVMSREWLLMKRNSFIYIFKVTQLIILAFMSMTVFLRTKMPSGTISDGTKFLGALTFSLITILFNGFAELQLTIKKLPVFYKHRDFLFFPAWTFGVANILLKVPVSLVEAAVWVVLTYYVMGFAPSAGRFFRQFIAFFVTHQMAMAMFRFLGAILKTMVVANTFGMFVLLIVFIFGGFLISRNDIKPWWIWGYWASPMMYSQQAISINEFLASRWAIPNTDATIDEPTVGKAILKSKGLITSDGGFWISIGALIGFLVVFNILYILALTYLSPGGSSNTIVSDEDSEDKTDMKTRNEQQMSQIVEADQQISKEMKEQGFTESRLQLLSDISGVFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGVIEGDITLSGYPKKQETFARISGYCEQTDIHSPNVTVYESILYSAWLRLSSDVDTNTRKMFVDEVMSLVELDVLRNALVGLPGVSGLSTEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRTLLLLKRGGQVIYAGELGRHSHKLVEYFEAVPGVPKITEGYNPATWMLEVTSPIAEARLNVNFAEIYANSELYRKNQELIKELSTPPPGYQDLSFPTKYSQNFYSQCIANFWKQYRSYWKNPPYNAMRYLMTLLNGLVFGTVFWQKGTKISSQQDLFNLLGATYAATFFLGAANCITVQPVVSIERTVFYRERAAGMYSSLSYAFAQACVEVIYNILQGILYTIIIYAMIGYDWKADKFFYFMFFIVASFNYFTLFGMMLVACTPSAMLANILISFVLPLWNLFAGFLVVRPLIPIWWRWYYWANPVSWTIYGVVASQFGKNGDVLSVPGGSPTVVKQFLEDNLGMRHSFLGYVVLTHFGYIIVFFFIFGYAIKYFNFQKR >ORUFI11G19600.3 pep chromosome:OR_W1943:11:22255846:22265009:-1 gene:ORUFI11G19600 transcript:ORUFI11G19600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAEAAAAMAAAPSASGRRSMSWGSSISQSFRQAEADDPFGRAASQQGHDDDEENLRWAALEKLPTYDRMRRGVIRTALLHHDGGGDGGGAAAAAKDGRMELVDIQKLAAGNLGRALLDRVFQDDSERFLRRLRDRIDMVGIELPTIEVRYEQLSIQAEVFVGSRALPTLTNAATNVLQGLIGRFGSSNKRTINILQDVSGIIKPSSQYDLHNAEMTVRETLDFSGRCLGIGARYDMLAELARRERNAGIKPDPEIDAFMKATAVQGHKTNITTDVTLKALGLDICADIIIGDEMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFEIVKYIGHLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFENAGFRCPERKGIADFLQEVTSKKDQQQYWYHDQERYRYVSVPEFAQRFKSFHVGQKMQKEMQIPYDKSSTHPAALTTTKYGLSSWESLRAVMSREWLLMKRNSFIYIFKVTQLIILAFMSMTVFLRTKMPSGTISDGTKFLGALTFSLITILFNGFAELQLTIKKLPVFYKHRDFLFFPAWTFGVANILLKVPVSLVEAAVWVVLTYYVMGFAPSAGRFFRQFIAFFVTHQMAMAMFRFLGAILKTMVVANTFGMFVLLIVFIFGGFLISRNDIKPWWIWGYWASPMMYSQQAISINEFLASRWAIPNTDATIDEPTVGKAILKSKGLITSDGGFWISIGALIGFLVVFNILYILALTYLSRGSRSTNQQSRSQIVLPFQPLSLCFNHVNYYVDMPTEMKEQGFTESRLQLLSDISGVFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGVIEGDITLSGYPKKQETFARISGYCEQTDIHSPNVTVYESILYSAWLRLSSDVDTNTRKMFVDEVMSLVELDVLRNALVGLPGVSGLSTEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRTLLLLKRGGQVIYAGELGRHSHKLVEYFEAVPGVPKITEGYNPATWMLEVTSPIAEARLNVNFAEIYANSELYRKNQELIKELSTPPPGYQDLSFPTKYSQNFYSQCIANFWKQYRSYWKNPPYNAMRYLMTLLNGLVFGTVFWQKGTKISSQQDLFNLLGATYAATFFLGAANCITVQPVVSIERTVFYRERAAGMYSSLSYAFAQACVEVIYNILQGILYTIIIYAMIGYDWKADKFFYFMFFIVASFNYFTLFGMMLVACTPSAMLANILISFVLPLWNLFAGFLVVRPLIPIWWRWYYWANPVSWTIYGVVASQFGKNGDVLSVPGGSPTVVKQFLEDNLGMRHSFLGYVVLTHFGYIIVFFFIFGYAIKYFNFQKR >ORUFI11G19610.1 pep chromosome:OR_W1943:11:22280741:22282693:1 gene:ORUFI11G19610 transcript:ORUFI11G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHAVHVLSPPCVCATLVGAASFSSLRAAAAAAAASGDGHRGRERQKEEAAAAACSPWEDAVIAAGMMGVQLAGAAYMVVLAPAMERGLDPLFLVTFGSLANAAFTLPFSVALERRLLWPPAEQLLTGRLLLRFVLLALGGVTGFQALMLQGMKRTSPAIAAAMPNLTPGFIFVVAASLGLERVRLRCCYTWAKIVGTALCLGGAITMSVIQSATAPPYSPSPSSGWAAGCFCLLGAVVVVSCTTVLQAATMVGFPAPITLCTVTSFLGAALTAALQLVARGSLAGTGAGAGVVGLRAVLALVLVGGVVSSACVAFQAWALKKKGPVVVSMFSPTQTVGSAVFSAIFLGRVVKPGSVVGMILLFSGLYVVLWAKKKETTIINSDDSSMAVATKDGDDPEKQPLLSRRH >ORUFI11G19620.1 pep chromosome:OR_W1943:11:22284403:22288929:1 gene:ORUFI11G19620 transcript:ORUFI11G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLKVYADRRSQPSRAIIIFCRVNRIDFEEVTVDLFKREHLSPEFKKINPMGQVPAIVDGRFRLFESHAILRYLATVFPGVADHWYPADLFTRAKLEAILDWHHSNLRRGAATFILNTVLAPSLGLPSSPQAAKEAEKVLFRSLGLIESMWLKGNAKFLLGNPQLSIADLSLVCEIMQLEVLGDSERDRILGPHEKIRSWVQNVKKATSPHFDEVHELIFKMKERMAAKRQSEPSKDLKTASKL >ORUFI11G19630.1 pep chromosome:OR_W1943:11:22293847:22298001:1 gene:ORUFI11G19630 transcript:ORUFI11G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLSDPSGMEFATGVMSTLLSKLAELLKEQYDLQKSVKEGVTFLMAELKSIQAALEKVSKVPLDQLDEQTKIWAWDIRELSYDMEDNIDTFMLRVDGLEPAKKHNFTCLVDKCQKSLSKIKICNKIANEIKDIKSQVKEVMERRDRYKIDDVATNLSTFVDPRILTLYGNVTKLVGIDKARDDLMKRLSVGDEALKKLKMVSVVRIGGLGKTTLSKVVFDMLKLQFDCAAFVPVGQSPEIKKVLKDILVELNKQKYMSFDVTAVSERHMINELREYVDNKRYLIVIDDVWDTSKWNIIKCALIDSNCGSRVITTTRICQVASEVAEEFGGVYIMEPLSDDNSKKLFYSRIFGSTYNGSTGNQSVEATEKILKKCGGIPLSIITISSLLVDKPVGDWSAIYDSIGFRTRDQNEAVQNTRKILSFSYYHLPTYLKTCMLYLSIYPEDHLIGMDTLIWKWVAEGFIQEEQDKELFEVGKRYFIDLINKSMIQPIEEEGSVFGCRIHDMVLDLIQNITAEGNFVKIFKKLHEVCRLSSQRTTVRRIALHDNWNQLKNNDLDVALTQLRSFNAIECTISMMPSLLSFQVLRVLELQGCNVTGGLYLKHIGKLRQLRYLGMKDTRVAELPMEIGDLVHLQTLDVRYTGLKELPSTICKLSKLMRLCVAGGMSVPMGVGNLSSLQYLKLGWDSIKSNKYFAMEVGKLMELRILNICVDNEIDESMKKALLESLCGLRKLQNLVIDFDLIFWNTMSVWEGWDHWEPPRQLRDFHISSMYLPRLPAWVNPMCIPYLSDLQLYVIAMEARDLDMLARMPALRTLILRTRQRISWTVGGTGLFPNLRFCEMNIALTFLQGAMPMLTELQFELWAAEDGAAAGDVGLGQLPLLNYIGLLLMCTGATGRQVEEAEVAWRRMHLMKEGDDDEEISAS >ORUFI11G19640.1 pep chromosome:OR_W1943:11:22303116:22303837:1 gene:ORUFI11G19640 transcript:ORUFI11G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKGNAKFLLGNPQLSMADLSLVSEIMQLEVLSDSERDRILGPHEKIRGWVQNVKKATSPHFDEVHELILKVKTRTAATLGSELSKDLKTASKL >ORUFI11G19650.1 pep chromosome:OR_W1943:11:22307827:22313018:1 gene:ORUFI11G19650 transcript:ORUFI11G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAMGTLLPKLVELLKEQYDLQKSVKEGITFLIAELKSIQAALEKVSKVPLDQLDEQTKIWAWDIRELSYDIEDNIDTFMICVDGLEPAKKHNLTWLIDKCHKSLSKIKIRHKIANEIKDIKSQVREVMERRDRYKIDDVATIPPTFVDPRILTLYENVTKLVGVDKASDDLMKRLSVGDEAPKKLKMVSVVGIGGLGKTTLSKVVFDKLKLQFDCAAFVPVGQNPEIKKVLKDILVELNKDKYMSFDVTTVNERHMINELREYLDNRRYLIVIDDVWETSKWNIIKCALIDSNRGSRVIITTRIYQVANEAAEEFGGVYMMEPLSDDNSKKLFYNRIFGVACSSSTGNQSVEATKKILHKCGGIPLSIIAIASLLVDKPTGDWSIIYDSIGFGTGDRNEAVQNTRKILSFSYYHLPSYLKTCMLYLSIYPEDHLIKKDTLIWKWVAEGFVQEEQDKPLFEVGERYFIELINRSMIQPMENDGKISGCHIHDMVLDLIRNIIAEENFVKVFDKLHEVHRLSSQRSTVRRIALHESWNQGKNNDLAVGMTQLRSFNAIKCTISMMPSLLSFQVLRVLELQGCNVTGGLYLKHIGKLRQLRYLGMRGTRVAELPVEIGNLMHLQTLDVRYTGLKELPSTICKLSKLMRLCVAGGTGVLMGGWNLSSLQYLKMGYGCIKSNKDFAIEVGKLMELRILKIYVENKFDKGTKNALLQSLCCLRRLQNLMIDFPLPFQNTMSIWDGWDLWEPSPQLRVFRICGIELPRLPEWVNSMCVPYLSELRLDVVAMEARDLDMLARMPALRTLCLRTQARFSWTVGGAGLFPNLRFCRMDIALTFLQGAMPMLMKLQLWLWASEYCAATDVGLGHLPLLNRVEVILHCCDATTRQVEEAEAAWRHMVNSHPNRPAIRVSRLEEYRMKGDEDNDDEEISAKDHVDGNCDDGNSAYTDQESPVLAGQ >ORUFI11G19650.2 pep chromosome:OR_W1943:11:22307827:22313018:1 gene:ORUFI11G19650 transcript:ORUFI11G19650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAMGTLLPKLVELLKEQYDLQKSVKEGITFLIAELKSIQAALEKVSKVPLDQLDEQTKIWAWDIRELSYDIEDNIDTFMICVDGLEPAKKHNLTWLIDKCHKSLSKIKIRHKIANEIKDIKSQVREVMERRDRYKIDDVATIPPTFVDPRILTLYENVTKLVGVDKASDDLMKRLSVGDEAPKKLKMVSVVGIGGLGKTTLSKVVFDKLKLQFDCAAFVPVGQNPEIKKVLKDILVELNKDKYMSFDVTTVNERHMINELREYLDNRRYLIVIDDVWETSKWNIIKCALIDSNRGSRVIITTRIYQVANEAAEEFGGVYMMEPLSDDNSKKLFYNRIFGVACSSSTGNQSVEATKKILHKCGGIPLSIIAIASLLVDKPTGDWSIIYDSIGFGTGDRNEAVQNTRKILSFSYYHLPSYLKTCMLYLSIYPEDHLIKKDTLIWKWVAEGFVQEEQDKPLFEVGERYFIELINRSMIQPMENDGKISGCHIHDMVLDLIRNIIAEENFVKVFDKLHEVHRLSSQRSTVRRIALHESWNQGKNNDLAVGMTQLRSFNAIKCTISMMPSLLSFQVLRVLELQGCNVTGGLYLKHIGKLRQLRYLGMRGTRVAELPVEIGNLMHLQTLDVRYTGLKELPSTICKLSKLMRLCVAGGTGVLMGGWNLSSLQYLKMGYGCIKSNKDFAIEVGKLMELRILKIYVENKFDKGTKNALLQSLCCLRRLQNLMIDFPLPFQNTMSIWDGWDLWEPSPQLRVFRICGIELPRLPEWVNSMCVPYLSELRLDVVAMEARDLDMLARMPALRTLCLRTQARFSWTVGGAGLFPNLRFCRMDIALTFLQGAMPMLMKLQLWLWASEYCAATDVGLGHLPLLNRVEVILHCCDATTRQVEEAEAAWRHMVNSHPNRPAIRVSRLEEYRMKGDEDNDDEEISAKDHVDGNCDDGNSAYTDQESPVLAGQ >ORUFI11G19650.3 pep chromosome:OR_W1943:11:22307829:22313018:1 gene:ORUFI11G19650 transcript:ORUFI11G19650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAMGTLLPKLVELLKEQYDLQKSVKEGITFLIAELKSIQAALEKVSKVPLDQLDEQTKIWAWDIRELSYDIEDNIDTFMICVDGLEPAKKHNLTWLIDKCHKSLSKIKIRHKIANEIKDIKSQVREVMERRDRYKIDDVATIPPTFVDPRILTLYENVTKLVGVDKASDDLMKRLSVGDEAPKKLKMVSVVGIGGLGKTTLSKVVFDKLKLQFDCAAFVPVGQNPEIKKVLKDILVELNKDKYMSFDVTTVNERHMINELREYLDNRRYLIVIDDVWETSKWNIIKCALIDSNRGSRVIITTRIYQVANEAAEEFGGVYMMEPLSDDNSKKLFYNRIFGVACSSSTGNQSVEATKKILHKCGGIPLSIIAIASLLVDKPTGDWSIIYDSIGFGTGDRNEAVQNTRKILSFSYYHLPSYLKTCMLYLSIYPEDHLIKKDTLIWKWVAEGFVQEEQDKPLFEVGERYFIELINRSMIQPMENDGKISGCHIHDMVLDLIRNIIAEENFVKVFDKLHEVHRLSSQRSTVRRIALHESWNQGKNNDLAVGMTQLRSFNAIKCTISMMPSLLSFQVLRVLELQGCNVTGGLYLKHIGKLRQLRYLGMRGTRVAELPVEIGNLMHLQTLDVRYTGLKELPSTICKLSKLMRLCVAGGTGVLMGGWNLSSLQYLKMGYGCIKSNKDFAIEVGKLMELRILKIYVENKFDKGTKNALLQSLCCLRRLQNLMIDFPLPFQNTMSIWDGWDLWEPSPQLRVFRICGIELPRLPEWVNSMCVPYLSELRLDVVAMEARDLDMLARMPALRTLCLRTQARFSWTVGGAGLFPNLRFCRMDIALTFLQGAMPMLMKLQLWLWASEYCAATDVGLGHLPLLNRVEVILHCCDATTRQVEEAEAAWRHMVNSHPNRPAIRVSRLEEYRMKGDEDNDDEEISAKDHVDGNCDDGNSAYTDQEPKRRKRRRLRTNLEPDLFTHSVMLSAAAAGQGEE >ORUFI11G19650.4 pep chromosome:OR_W1943:11:22307827:22313018:1 gene:ORUFI11G19650 transcript:ORUFI11G19650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPLSDDNSKKLFYNRIFGVACSSSTGNQSVEATKKILHKCGGIPLSIIAIASLLVDKPTGDWSIIYDSIGFGTGDRNEAVQNTRKILSFSYYHLPSYLKTCMLYLSIYPEDHLIKKDTLIWKWVAEGFVQEEQDKPLFEVGERYFIELINRSMIQPMENDGKISGCHIHDMVLDLIRNIIAEENFVKVFDKLHEVHRLSSQRSTVRRIALHESWNQGKNNDLAVGMTQLRSFNAIKCTISMMPSLLSFQVLRVLELQGCNVTGGLYLKHIGKLRQLRYLGMRGTRVAELPVEIGNLMHLQTLDVRYTGLKELPSTICKLSKLMRLCVAGGTGVLMGGWNLSSLQYLKMGYGCIKSNKDFAIEVGKLMELRILKIYVENKFDKGTKNALLQSLCCLRRLQNLMIDFPLPFQNTMSIWDGWDLWEPSPQLRVFRICGIELPRLPEWVNSMCVPYLSELRLDVVAMEARDLDMLARMPALRTLCLRTQARFSWTVGGAGLFPNLRFCRMDIALTFLQGAMPMLMKLQLWLWASEYCAATDVGLGHLPLLNRVEVILHCCDATTRQVEEAEAAWRHMVNSHPNRPAIRVSRLEEYRMKGDEDNDDEEISAKDHVDGNCDDGNSAYTDQEVLRLRLPCITIRSWLHD >ORUFI11G19660.1 pep chromosome:OR_W1943:11:22314201:22314396:-1 gene:ORUFI11G19660 transcript:ORUFI11G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKDFEEELMELFSVRQLHLHPSSITSWPINQKRLTDMDIDKMICEVNSYGRSTARSS >ORUFI11G19670.1 pep chromosome:OR_W1943:11:22328421:22329397:-1 gene:ORUFI11G19670 transcript:ORUFI11G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASSVTLTLTGHNYQMTRSYIVCSDLAVPMTVLISWRCGGVAERRCGGGGRGEASGRHGGEAGAAGARCSVSGTVGKGAASSGHGGEVGGHYGRGKAVRLVNALDIECVLDQLVFPITADMLDRRRRHMCPKTEPLADGDTKALISLAEDADDGIRVTAGCVGAGADVGLVAGTISSPPRRHGPISTAAALLPVPPL >ORUFI11G19680.1 pep chromosome:OR_W1943:11:22329781:22337718:1 gene:ORUFI11G19680 transcript:ORUFI11G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAMGTLFPKLAELLKEEYDLQNSVKEGITFLMAELQSIQAALEKISKVPLDQLDKQTKIWAWDIRELSYDIEDNIDMFMVRVDGLEPAKKHNFTWLIDKCHESLSKIKIRHKIANEIKDIKSQVKEVMERRDRYKIDDVATNLPTYNVTKLVGIDKASDDLMRRLSVGDEAPKKLKMVSVVGIGGLGKTTLSKVVFDMLKLQFDCAAFVPVGQDHEIKKVLKDILVELNKDKYMSFDVTGVSERHMINELREYLDNRRYLIVIDDIWEPSKWNIIKLALIDSSCGSRVITTTRIRQVANEVAKEFGDVYMMEPLSDDNSKTLFYNRIFGVACNGPTDNQSVEATEKILKRCGGIPLSIITIASLLVDKPAREWSVIYDSISFGTGDQNEAVQNMRKILSFSYYHLPSYLKTCMLYLSIYPEDHLIYKDTLIWKWIAEGFVHEEQGPIEEFCNVSGCRIHDMVLDLIRKIATEGNFVKVFDKLHKMHGLSSQRTTIRRIALHKSWNQGKNNDLAVGMTHLRSFNAINCNINMMPSLLSFHVLRVLDLDDCNVTGGLYLKHIGKLRQLRYLGMKNTSVAELPTEIGDLVNLQTLDVWEIGLRELPSTICKLSKLMRLCVFGITTVPMGFGNLSSLQYLELAEGSIKSIADIAMEVGKLMELKILSISLDKFDEGTEKALLQSLCGLRRLRNLRIDFWLCESTMIWQGWDHWEPPPQLREFCIFDVELARLPAWVNSMCVPYLSELRLDVVAMEARDLDMLARMPALRTLCLRTQARFSWTVGGAGLFPNLRFCRMDIALAFLQGAMPMLTQLELYLWASEYCAATDVGLGHLLLLNRVEVILDCCDATTRQVEEEEAAWRRMYQMKGNEDNDEGEISAKDHVDGNSDDEISAHMDQELDSDAAKEEKEEEILRTNLELALCTHSVMLSDGNGIGSGGARSGDPRPVLGLYRLPATYSNNTIDPAIR >ORUFI11G19690.1 pep chromosome:OR_W1943:11:22340938:22341739:1 gene:ORUFI11G19690 transcript:ORUFI11G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTGGAIRPRRRRNSSVSLFPPKRTAAISLWWHGHMQSRILAAGQPATQCPLPSRNGNGIGSGGARSGDPRPVLGLYRLPATYSNNTIVFSHRA >ORUFI11G19700.1 pep chromosome:OR_W1943:11:22351165:22351739:1 gene:ORUFI11G19700 transcript:ORUFI11G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPALGDNDVVQRHATAEVDLPLLSYVEVYLNCRGVTGRQVEEAKVALRQVERTHPNIPAIRVLLG >ORUFI11G19710.1 pep chromosome:OR_W1943:11:22366609:22390773:1 gene:ORUFI11G19710 transcript:ORUFI11G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRIKFQIQRKPTEIMARRRFQPRTRRTMAQPTRQRGGGYLEPIRSALSFLDACRWIFATGAIGTLLPKLGKLLSEEYNLQKNVKEGIKFLKIELESMQLALKKISNVPLDQLDEQVKIWARDVRELSYSIEDIIDTFMLRADDLDQSKKNIFTWLINKCHKLSEVKIQHKIAKDIKDVKIQVKAVMERRDRYRLDGVVANPPMIVDPRILALYENVTNLVGIDKTSDDLIKRLFEGDDESKKKLKLISIVGIGGLGKTTLAKAVFECLKMQFECAALIPVGQKPNIKKVFKDILIELNKYNYMKFDTMALSERYLIDELREYLHKRSGRLVQNRNEAVQNTRKILSYSYYELPSHLKTCLLHLSIFPEDCWIEKKSLIWIWIAEGFVHEEHGNKIYEVGESYFTELINKGMIQPMGYDIYGDTFDGCRVHDMVLDLIRILTNVENFVKVLDKPYDEHNLSLQISIVRRIALHKSSNLEKSHNLAASMPQLRSFNAIKCSISLMPLLTSFQVLRVLVLEHCDITESCHLKHLGKLHQLRYLGLRYTCVDYLPTEIGALVQLQALDVRNTGLAALPASVGKLNKLMRLCVDRETRVLASVGNLTSLQELSLGLVSIDICSNFAVEVRKLSDLRILKISWLGETDKGLLKALVESLCSLQRIQHLEILFGSWVLVSHWEGWEPPRQLHKFCMDGLHVFLPRLPSWVNSMCVPHLSYLELQVLAMEPQDLDVLARMQKLRFLHVYLNTKFSWTVAGGGLFPNLRYCCTNIMLTFLQGAMPMVKHVELCVPASRDSVTNEVGLGNLPLLDVVSVLLDCESATGRVVVEVEAALRREVNAHPNNPTIQGLLGYKVKLSEAITAKETDLKGNYSESITTNRIVKATDLSSIYASSS >ORUFI11G19710.2 pep chromosome:OR_W1943:11:22357952:22373570:1 gene:ORUFI11G19710 transcript:ORUFI11G19710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTGALGTLLPKLGKLLKEEYDLQKSVKEGIIFLKTELESIQAALEKVSKVQLDQLDKQIKIWARDVRELSYDIEDNIDTFMLHINDIEPNKKYNFTWLIDKCQKSLSKVKIRHKIANDIKDIKRQVMEVMERHKRYMIDDIATKLPTTIDPRILTLYEKVTKLVGIDKASNDLIKMLSVGDGVSETMLKMVSVVGFGGLGKTTLAKVVLDKLKVQFDCFGFIPVGQNPDIKKVLKDILIEVNKDRYMVLDVSTLSERHMIDELREYLGNRRYLIVVDDIWETSTWNIIKCAFLDNNCGSRVIATTRISNVASEITEEFGDVYSMAPLSDDNSKKLFYSRISRADCNSPTNNQLVEETEKILKKCGGVPLSIITMASLLVHKPMEDWSEVYESIGFGVADQNEVVQNTRKILSFSYYDLPSHLKTCMLHLSIYPEDSLIEKDGLIWKWVAEGFVHEEQGKTLFEVGERYFMQLINKSMIQPMERYGIVNGCRVHDMVLDLIRILATKENFVKILDRVHVDPSSSSQSYTVRRIALHKRWNQERLDAGMTRLRSFNAMECSISVMPSLISFRVLRVLALERCNVTGGCCLKHLGKLLHLRYLGLRYTRVAEIPSEIGDLVHLQVLDVLDTWLVTLPVTIGNLRRLMRLYINIRRRALTSVGSLTSLQDLSLGTRCGKLTDLRRIKINWSKKTDEGSLEALVESLRILHKLQNLEIWFPIPLVEYPVMSGWEGWEPARQLRQFCIHNVDLPRLPAWVNSMCVPHLSHLDLRVVGMETRDLDVLAMMPALCFLRIDVKERFSWTVGGGGSFPNLRYCDTNIELTFLQGAMPMLLDVVLIVRGSRDDPANNVGLGNLPLLKKVLILLNCEGETAKQVEEVVVAWEHTVHAHPNRPVISVHRFGEFLMKKDDGDDEEEILATYEVDGSDHEEVLEQLAGSAPAIKSYISCNDELAEKSEPWSRLQSPLETSCAKGNEYKPELLGSLLFKRGKVNYQMELATGAIGAVLPKLGKLLKEEYDLHNSVKEGIKFLKAELEYMQPALKKVSNVPRDQLDEQVKIWAKDVRELSYSIEDIIDTFMLQVDALEPPKNNIFTWLINKCHKLSQLMIHHKIGNDIKSVENQVKEVTERYDRYRIDSIDAKPPIFIDPRILGLYEKVTNLVGVDKASEDLIRMLFVGSDASKMLKIVSVLGLGGLGKTTLAKFVFDNLKVQFQCFAFISVGQKPADIKNVLKHILIGLDKHKYMELDTSQLSESYLTDEVREYLDNKRYLIVIDDVWEIFTWKRINCALVDSNCGSKVITTTRISEVAEVVGDVYRMKPLSSDNSKRLFNNRIFGIGSNGPTNNQSVEATEKILKKCNGMPLSIVTMASLLVNKPVEDWIEVYDSIGFGPTGQNQEVENMRKILSFSYYELPSYLKTCLLYLSIYPEDHRIMKKPLIWKWIAEGFVQEEQQTWLFEVGERYFTELVNRSMIQPIEMYGRVFACRIHDMVLDLIRILATEENFVKILDRLYDVHSSSSQSSTARRVAWHKSLNQDKFDNLTTGMAQLRSLNAIECPISMIPPLVSFEILHVLALESCGVITGYHLKHIGKLQRLRYLGLRGTRITELPSEIGDLMHLLVLDVRGTNALPATVGKLRRLIRLCVGGTIVPSGVIGNLTSLQDLRLGEITDDGCPNIAVDLCKLTDLRKLTIDWLHLDEGSLKTLVESLCTLRKLQSIKIKGSWKVLEGWEGWEPPRQFRKFYVDGSLPRRPTWVDSVRIPHLSHLNLHLLAVEQRDLDALAMMPELRVLEVNSDLSISWTIAGGGLFPSLRCFSTDIEIMFLQGAMPMLTNIAFEPSGDDSANDIGLRQVKEAIAVWRRVINSHPNRPITQVQIGDETIAMTRRFPIQGKMT >ORUFI11G19710.3 pep chromosome:OR_W1943:11:22357952:22373570:1 gene:ORUFI11G19710 transcript:ORUFI11G19710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTGALGTLLPKLGKLLKEEYDLQKSVKEGIIFLKTELESIQAALEKVSKVQLDQLDKQIKIWARDVRELSYDIEDNIDTFMLHINDIEPNKKYNFTWLIDKCQKSLSKVKIRHKIANDIKDIKRQVMEVMERHKRYMIDDIATKLPTTIDPRILTLYEKVTKLVGIDKASNDLIKMLSVGDGVSETMLKMVSVVGFGGLGKTTLAKVVLDKLKVQFDCFGFIPVGQNPDIKKVLKDILIEVNKDRYMVLDVSTLSERHMIDELREYLGNRRYLIVVDDIWETSTWNIIKCAFLDNNCGSRVIATTRISNVASEITEEFGDVYSMAPLSDDNSKKLFYSRISRADCNSPTNNQLVEETEKILKKCGGVPLSIITMASLLVHKPMEDWSEVYESIGFGVADQNEVVQNTRKILSFSYYDLPSHLKTCMLHLSIYPEDSLIEKDGLIWKWVAEGFVHEEQGKTLFEVGERYFMQLINKSMIQPMERYGIVNGCRVHDMVLDLIRILATKENFVKILDRVHVDPSSSSQSYTVRRIALHKRWNQERLDAGMTRLRSFNAMECSISVMPSLISFRVLRVLALERCNVTGGCCLKHLGKLLHLRYLGLRYTRVAEIPSEIGDLVHLQRCGKLTDLRRIKINWSKKTDEGSLEALVESLRILHKLQNLEIWFPIPLVEYPVMSGWEGWEPARQLRQFCIHNVDLPRLPAWVNSMCVPHLSHLDLRVVGMETRDLDVLAMMPALCFLRIDVKERFSWTVGGGGSFPNLRYCDTNIELTFLQGAMPMLLDVVLIVRGSRDDPANNVGLGNLPLLKKVLILLNCEGETAKQVEEVVVAWEHTVHAHPNRPVISVHRFGEFLMKKDDGDDEEEILATYEVDGSDHEEVLEQLAGSAPAIKSYISCNDELAEKSEPWSRLQSPLETSCAKGNEYKPELLGSLLFKRGKVNYQMELATGAIGAVLPKLGKLLKEEYDLHNSVKEGIKFLKAELEYMQPALKKVSNVPRDQLDEQVKIWAKDVRELSYSIEDIIDTFMLQVDALEPPKNNIFTWLINKCHKLSQLMIHHKIGNDIKSVENQVKEVTERYDRYRIDSIDAKPPIFIDPRILGLYEKVTNLVGVDKASEDLIRMLFVGSDASKMLKIVSVLGLGGLGKTTLAKFVFDNLKVQFQCFAFISVGQKPADIKNVLKHILIGLDKHKYMELDTSQLSESYLTDEVREYLDNKRYLIVIDDVWEIFTWKRINCALVDSNCGSKVITTTRISEVAEVVGDVYRMKPLSSDNSKRLFNNRIFGIGSNGPTNNQSVEATEKILKKCNGMPLSIVTMASLLVNKPVEDWIEVYDSIGFGPTGQNQEVENMRKILSFSYYELPSYLKTCLLYLSIYPEDHRIMKKPLIWKWIAEGFVQEEQQTWLFEVGERYFTELVNRSMIQPIEMYGRVFACRIHDMVLDLIRILATEENFVKILDRLYDVHSSSSQSSTARRVAWHKSLNQDKFDNLTTGMAQLRSLNAIECPISMIPPLVSFEILHVLALESCGVITGYHLKHIGKLQRLRYLGLRGTRITELPSEIGDLMHLLVLDVRGTNALPATVGKLRRLIRLCVGGTIVPSGVIGNLTSLQDLRLGEITDDGCPNIAVDLCKLTDLRKLTIDWLHLDEGSLKTLVESLCTLRKLQSIKIKGSWKVLEGWEGWEPPRQFRKFYVDGSLPRRPTWVDSVRIPHLSHLNLHLLAVEQRDLDALAMMPELRVLEVNSDLSISWTIAGGGLFPSLRCFSTDIEIMFLQGAMPMLTNIAFEPSGDDSANDIGLRQVKEAIAVWRRVINSHPNRPITQVQIGDETIAMTRRFPIQGKMT >ORUFI11G19710.4 pep chromosome:OR_W1943:11:22357952:22373570:1 gene:ORUFI11G19710 transcript:ORUFI11G19710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTGALGTLLPKLGKLLKEEYDLQKSVKEGIIFLKTELESIQAALEKVSKVQLDQLDKQIKIWARDVRELSYDIEDNIDTFMLHINDIEPNKKYNFTWLIDKCQKSLSKVKIRHKIANDIKDIKRQVMEVMERHKRYMIDDIATKLPTTIDPRILTLYEKVTKLVGIDKASNDLIKMLSVGDGVSETMLKMVSVVGFGGLGKTTLAKVVLDKLKVQFDCFGFIPVGQNPDIKKVLKDILIEVNKDRYMVLDVSTLSERHMIDELREYLGNRRYLIVVDDIWETSTWNIIKCAFLDNNCGSRVIATTRISNVASEITEEFGDVYSMAPLSDDNSKKLFYSRISRADCNSPTNNQLVEETEKILKKCGGVPLSIITMASLLVHKPMEDWSEVYESIGFGVADQNEVVQNTRKILSFSYYDLPSHLKTCMLHLSIYPEDSLIEKDGLIWKWVAEGFVHEEQGKTLFEVGERYFMQLINKSMIQPMERYGIVNGCRVHDMVLDLIRILATKENFVKILDRVHVDPSSSSQSYTVRRIALHKRWNQERLDAGMTRLRSFNAMECSISVMPSLISFRVLRVLALERCNVTGGCCLKHLGKLLHLRYLGLRYTRVAEIPSEIGDLVHLQRCGKLTDLRRIKINWSKKTDEGSLEALVESLRILHKLQNLEIWFPIPLVEYPVMSGWEGWEPARQLRQFCIHNVDLPRLPAWVNSMCVPHLSHLDLRVVGMETRDLDVLAMMPALCFLRIDVKERFSWTVGGGGSFPNLRYCDTNIELTFLQGAMPMLLDVVLIVRGSRDDPANNVGLGNLPLLKKVLILLNCEGETAKQVEEVVVAWEHTVHAHPNRPVISVHRFGEFLMKKDDGDDEEEILATYEVDGSDHEEVLEQLAGSAPAIKSYISCNDELAEKRYERKSPTPRGKVNYQMELATGAIGAVLPKLGKLLKEEYDLHNSVKEGIKFLKAELEYMQPALKKVSNVPRDQLDEQVKIWAKDVRELSYSIEDIIDTFMLQVDALEPPKNNIFTWLINKCHKLSQLMIHHKIGNDIKSVENQVKEVTERYDRYRIDSIDAKPPIFIDPRILGLYEKVTNLVGVDKASEDLIRMLFVGSDASKMLKIVSVLGLGGLGKTTLAKFVFDNLKVQFQCFAFISVGQKPADIKNVLKHILIGLDKHKYMELDTSQLSESYLTDEVREYLDNKRYLIVIDDVWEIFTWKRINCALVDSNCGSKVITTTRISEVAEVVGDVYRMKPLSSDNSKRLFNNRIFGIGSNGPTNNQSVEATEKILKKCNGMPLSIVTMASLLVNKPVEDWIEVYDSIGFGPTGQNQEVENMRKILSFSYYELPSYLKTCLLYLSIYPEDHRIMKKPLIWKWIAEGFVQEEQQTWLFEVGERYFTELVNRSMIQPIEMYGRVFACRIHDMVLDLIRILATEENFVKILDRLYDVHSSSSQSSTARRVAWHKSLNQDKFDNLTTGMAQLRSLNAIECPISMIPPLVSFEILHVLALESCGVITGYHLKHIGKLQRLRYLGLRGTRITELPSEIGDLMHLLVLDVRGTNALPATVGKLRRLIRLCVGGTIVPSGVIGNLTSLQDLRLGEITDDGCPNIAVDLCKLTDLRKLTIDWLHLDEGSLKTLVESLCTLRKLQSIKIKGSWKVLEGWEGWEPPRQFRKFYVDGSLPRRPTWVDSVRIPHLSHLNLHLLAVEQRDLDALAMMPELRVLEVNSDLSISWTIAGGGLFPSLRCFSTDIEIMFLQGAMPMLTNIAFEPSGDDSANDIGLRQVKEAIAVWRRVINSHPNRPITQVQIGDETIAMTRRFPIQGKMT >ORUFI11G19720.1 pep chromosome:OR_W1943:11:22363289:22363534:-1 gene:ORUFI11G19720 transcript:ORUFI11G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEATEAARAGEADSDGGGRRRRRRRGRQTATEEAEKAVRPGEATALEGKEMPMALEATREMDGDGVGDGGELATERDDGE >ORUFI11G19730.1 pep chromosome:OR_W1943:11:22399205:22402617:-1 gene:ORUFI11G19730 transcript:ORUFI11G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNEEYTYVELEKEPYWPFEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLDTVVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQLEKEKAEGVDLSAYGSSKVVQTQAPVQLGSLRAADGKE >ORUFI11G19740.1 pep chromosome:OR_W1943:11:22407502:22410320:-1 gene:ORUFI11G19740 transcript:ORUFI11G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLYHHAISNAPYLMLAAAAAAVALRASRLAPADDLATARELLATNLPLAVALLAAAVVLATAYLMRRPRPVYLLDFACYKPGPEHVVTRETFMAQSAAAGAFTGDSLAFQRKILERSGLGQGTYFPAAVLNSPPNPCMAEARREAEQVMFGAIDAVLAKTGVRARDIGVVVVNCSLFNPTPSLSAMIVNHYKLRGNVATYNLGGMGCSAGLISIDLAKQLLQVHRNSYALVVSMENITLNWYWGNNRSMLVSNCLFRMGGAAILLSNRGGDRRRAKYQLLHTVRTHSGAADRAYRCVFQEEDDAAGVGVALSKDLMAVAGEALRTNITTLGPLVLPMSEQILFLASLVARRVFGLAGVRPYIPDFKMAFDHFCIHAGGRAVLDTIEKNLGLGAWHMEPSRMTLYRWGNTSSSSLWYELAYAEAKGRVRRGQTAWQIAFGSGFKCNSAVWRALRTVEPDADERNPWAGEIDSFPVEVPKVEAVATATADAASS >ORUFI11G19750.1 pep chromosome:OR_W1943:11:22415651:22424343:1 gene:ORUFI11G19750 transcript:ORUFI11G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLEDLVGFLNGNSGSPSFEELMVISNDSEATSLLQRYESLCIDASRDLKIFAEDKVKMLTFGKHLDACHADWQTKAEYYEKKAKEARAAAAEYYQLVKCNEEMIINHPAAVDSLSQKGGYDVESIAATHLGDQDIVFTEEHHQREKKDILQQRPNASGVN >ORUFI11G19760.1 pep chromosome:OR_W1943:11:22432864:22434399:1 gene:ORUFI11G19760 transcript:ORUFI11G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAGANYSQRVAAAASGVAGVAPAPVADGEQNCYSANDDKADRIHGLKNCSFGVTTARHGMFENIRGELEQETHAEAWRLGTSHRPTRRWLNTTHARAKHGELXGMATWNEPSPDTTLAEHDARTRKACPGPSTHSMGPYTNPGEFDFGQSLIPYEAKTGYREYLMS >ORUFI11G19770.1 pep chromosome:OR_W1943:11:22435203:22436632:1 gene:ORUFI11G19770 transcript:ORUFI11G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCKKVLMLCGDYMEDYEAAEPFYALAAFGVAVDCVAPGKKPPGDACLTAVHEFLGHDLYTELPGHRFAVTADFAAAAAADASRYDALVVPGGRFVERLSVDPLAVSLVAAFAGEGETATRRRPVVVTCHSQLLLAAAGAMRGVRCTAFFSMRRVVELAGGTWVEPDPLGLCVADGNVLSAIGWPAHGEIIRELLRAMGARVAGGRGQAVLFLCADYVDDYEANVPFRALAGVGCRVEAACPTKRKGEACVTAIYDATPAAASDERRGHNFAVTADWGDVDADRYACVVVPGGRAPELLATRGEAVALVREFAGKGKVVASIDQGHLLLAAVGLLDGRSCASGVATRVVAGLAGAASVRHGGAVADGKLVTAASWPDLAEFIAHIISLLGITVSF >ORUFI11G19780.1 pep chromosome:OR_W1943:11:22438851:22439333:-1 gene:ORUFI11G19780 transcript:ORUFI11G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSVSICRNTATSRSSPPVEHWSTTLATALAAVAVFVTCTHTDTRRVTHARELFDADAYAVLAAGAPCRAGGLAVRAGGAAERRPAVAPAPRQRHVGVPRQAVGAHGAEVPVDGVRVVRRHHAAGRRAAAPPDADKTTATRTSSDTLLTSIAMQELCL >ORUFI11G19790.1 pep chromosome:OR_W1943:11:22438866:22439387:1 gene:ORUFI11G19790 transcript:ORUFI11G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAWRRCYGWTAFCGPAGAHGEPSCATRRACGEDCVGVGVEQFACMRDAARVGVTNTATAASAVARVVDQCSTGGLDLDVAVFRQIDTDGGGMANGHLVVDYEFVDCQD >ORUFI11G19800.1 pep chromosome:OR_W1943:11:22442153:22444148:1 gene:ORUFI11G19800 transcript:ORUFI11G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGRKIMGLLLGCVGLVAVMHVAAAQQAFGVRATYHFYRPAANGWDLTATGAFCSTWDAGKPFDWRSKYGWTAFCGPVGPTGRDSCSKCLRVTNRATGAQTTARIVDKCANGGLDLDWDTVFSKIDTDGQGFQRGHLTVDYSFVNCGDNNYLAEVVI >ORUFI11G19810.1 pep chromosome:OR_W1943:11:22446571:22451174:1 gene:ORUFI11G19810 transcript:ORUFI11G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVDGGEMMVMAMMRRVVMVVAVLCAVATMAMAQEASNVRATYHYYRPAENNWDLGAPAVSAYCATWDADKPLEWRQKYGWTAFCGPVGPTGQDACGKCLSVTNTATGDQITARIVDQCANGGLDLDWDTVFSKIDSDGQGYQNGHLIVDYQFVDCGDN >ORUFI11G19810.2 pep chromosome:OR_W1943:11:22445542:22446809:1 gene:ORUFI11G19810 transcript:ORUFI11G19810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMRRVVLVAAVLCAVVAMVTAQEASNVRATYHYYRPAQNNWDLGAPAVSAYCATWDANKPLSWRQKYGWTAFCGPVGPRGQAACGKCLLVTNTATGAQITARIVDQCANGGLDLDWDTVFTKIDTDGQGYQKGHLIVNYKFVDCGDN >ORUFI11G19810.3 pep chromosome:OR_W1943:11:22445542:22451174:1 gene:ORUFI11G19810 transcript:ORUFI11G19810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMRRVVLVAAVLCAVVAMVTAQEASNVRATYHYYRPAQNNWDLGAPAVSAYCATWDANKPLSWRQKYGWTAFCGPVGPRGQAACGKCLLVTNTATGDQITARIVDQCANGGLDLDWDTVFSKIDSDGQGYQNGHLIVDYQFVDCGDN >ORUFI11G19820.1 pep chromosome:OR_W1943:11:22454863:22456054:1 gene:ORUFI11G19820 transcript:ORUFI11G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIYASHLQPWNGIKTQILHAGKFHNARLSHPYIYGPKVWCILPSATEKDCATVQTTSFSIRCTIEETKILKVMAGITGSRALMVVALLCAAAAMTAAQEASNVRATYHYYNPQQNNWDLNKVSAYCATWDANKPLSWRQKYGWTAFCGPAGPRGRDSCGKCIQVKNRGTGATIIARIVDQCSNGGLDLDYETIFKKIDTDGRGYQMGHLQVDYKFVNC >ORUFI11G19830.1 pep chromosome:OR_W1943:11:22458002:22461330:-1 gene:ORUFI11G19830 transcript:ORUFI11G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVGLGAGAVGEHTGGTHVSVKERRMVEIVRQRQDVAAQELEGQTDENAAEADERISRSPPGTKEKLWMMQDQLIMAKAYLQFASLHGSAHLVRELKLRIKEIERVISHFSSSSRVPTSALQKIRAMEMTLSKAQRAYPHCSHMTAKLRAMTHQSEELVRAHRSETSFLEQVAVRTLPKGHHCLAMRLTSEYFLLDPKEREFPQRYTMQMGDLYHYAIFSDNVLASAVVVNSTISASKDPKRIMFHIVTDALNFPAMMMWFLTNPPNPATIQIKSLDHLKWLPADFSFRFKQKGIRDPRYTSALNHLRFYLPEVFPSLNKLVLLDHDVVVQRDLSGLWEIDLKGKVNGAVETCTSGDGYHQLESLVNFSDPSIINKFDAKACICAFGMNIFDLKEWRRQGVTTAYNKWFQADKRRRLWKAGSLPLGQLVFYNQTVPLDHRWHVLGLGHDRSIGREAIERAAVIHYSGKLKPWLEISIPKYRDYWNNFLDYDNPYLQQCNIHM >ORUFI11G19840.1 pep chromosome:OR_W1943:11:22465739:22471987:-1 gene:ORUFI11G19840 transcript:ORUFI11G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMAISPSIHLHLHHPPRLHRLLHLSTTSPYPWLSAWPTAHRRRVPLRRPASALDLRPEPSPSSDSDDDAAFGTSRSSSRSTMSLILSRLRNSGYSYSPPELPPRPPRGSVEDVFRVDDGVVPNARGGFDDDAESALVDARFPWELPMPPPEAGPRAARSKAWMAELTLPEAELRRLRHAGMRLKSRIKVGGAGVTREIVERIRDRWRNDEVVRIKVTGTPALNMRLFHEILERKTGGLVIWRSGTSVSLYRGVAYDIPEPTKGTSKNTQTLGMKSSIKEPPGHSLLPNEKVNEMQDNNGALVSNAEKDTLVEPVPEIKYEDEIDKLLDELGPRYDDWPRPDPSPVDADLLPATVPGYKPPFRVLPYGVRPSLSRRDTTNLRRLARGLPPHFALGRSRQLQGLAAAMVKLWEKSSIAKIALKRGVQLTTSERMAEDIKKLTGGVMLSRNNDFMVFYRGKDFLSPELAEKLLERERWAKSLQDEEQARLNAASSFSSRTEAPVEPTVAGTLGETLEANSKYGNKLDENYENKMTRTVETARHADLVRKLEWKLQLAQKKIEKAERVLGKVETALKPTEGIQPPETITDEERFMFRKLGLRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKILVKAKSFGDVKKIALSLEAESGGILVSVDKVSKGYAIVVFRGKDYARPSKLRPRNLLSKRKALARSIEIQRREALSHHIATLNRRVKKLKAELLQMEGVKEEGDVELYAKLDSAYSSDEEDVEDEDDEAYLRSFDNSVAVQNGDDRTSLDGSDANSDDEGDYSDEDNDEDDDNDEEDGFDYENDDEDDVPPTTSDGDLYNHTDFGSSDSENYVSLSGRGDPDVKSKGSALDSRNSYSEQSTELTNTYHQEHQQSKRSNFMKP >ORUFI11G19850.1 pep chromosome:OR_W1943:11:22473415:22474400:1 gene:ORUFI11G19850 transcript:ORUFI11G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKTVNIQVQTAGNDSTTMVLHISTDGRCSLKKGWTNFAVQNNLTSKQQLVFYEEHINALCYHRIILSLQLDCGAPLARPNL >ORUFI11G19860.1 pep chromosome:OR_W1943:11:22475902:22481649:1 gene:ORUFI11G19860 transcript:ORUFI11G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPITASLGAIHIVFGKLVRLLSEPGLRLHKEGMKALGVLKDGLQVLIVDYLMEPSDLEGPAFKANYWMKEVRELCYDIDDFVDELFHAAANVKIQRALQFKASPLRKKLPLFKTSQLQEKLSRFNASQLQKKLSWRQKIVDEVSSFSSCLKELIMLYKRYDLGSLERRSGWESNGTMSLTLPLAEETSQRCYLGMDKDMDKLVGLLNDGQQECKVIAIIGLCGVGKTTLAEELYQKFGFQFQCCAFLRLSRKPDMKRVLGSLFSQVQQHDPPGTVEVDNLSHEIKSYLNDKRYFIVIDGVWSSYIWNTINQTLPKNFYSRILITTEIDHVAQRCCVDNRKYIFKKEPFNKVESDEFFSRVHESKNAEYLKEISSEIAQLCSGLPLMMAIVASILTRLPPSTEQWNVVKKSLSSKLEGILDLIYNILPHPLKACMLYLGIYEEDDIVLKNELLSQWFAEGFIDTVDGNEERVALIYFDELISYGLIQPVETRFDNEVLSFRVHYMIIDSIRSKAVQHNFAIAIDNHQTDVRIADKIRRLSLRFGNANDVTTPIGLRWSQVRTLVFFGPLRCTPSIAEFRVLRVLILRLSADDDDVTYDLSEIVELLGLKYLYIDACHLNIRLPMQMRQLQYLAKLKIEARLSYVPSDIFYLPRLQQLILPSETTLPHFTEPMKSLHTLGCFDLSGSSTESIVDIGKMVNLQNLNMTCSSGQPANLKLLGSVLNKLKNMKSLILVVTGSLDDADSSIMEISSDDFSVSSPPVLLTRFEFSWRSCIFSCLPRWIKELNNLSILKIAISEMLGHDVDVLSVLPALTSLSVYIQRAPEERISFGKGGFAALKYFKLKCTVPWLKVEVDAMPNLEKLKLRFNVGLSLQRVGGLHGNNLINIEHLSRLKEIYAKVESEGSVDAGSALMTGVWNDPRNPTITIQLICGFYGEMTRRMTKDDIILEENPDSITEDEASPALPRRHIAHEIPRLLPSCGGKWPSSNAAFEGHNLVNCYAGNLSIAVIQANNSFKEQYRVESSQPFGTVIGIFDGHGGSEAAQFACDNLFLHLQENLSSSQRVTTDAISKAFKATEEGFIELVSRQWKTDPQIATVGACCLVGAVQQKTLFIANLGNSRAVLGKISCTGQIVAEQLPSEHIANDAWKAKGLVQVLRAIGDAYLKHPQYSREPLNKPILSANPSIVSHVLRPSDRFIIFGSAVLWEYLSNQEAVEIVKNHQASGSAKMLVKAALHAAPKKHNLHYSDLLKMDRDDPRHVHEDVIAVVLFINYDQLLKGKQGRPLSIRYPRSIQHGLVPSSRIS >ORUFI11G19870.1 pep chromosome:OR_W1943:11:22491994:22496657:1 gene:ORUFI11G19870 transcript:ORUFI11G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEIVPQSFNEELDSTVTITPASDNIDVSDDTSVPHPSEVPATVNVVLVEQSDNSISEPLESDGANGATENVVPLASEKSTDNNDAMNSEPVNSEPYEASPANNAEKGDVMQQSDENNGDKQENQDLLSPMAETAGSDSTSVTSMEDVQEAKDAAPSETDDATGHPPDLSNDKACAGNGNGNVFQNAKCVLTTSTKKMKRSASATTRKSLQATNTDEGNASTLTNSKSSNGRTTTVPAGPVFRCTERAEKRREFYMKLEEKHQALEEEKIQLEAKLKLPTTRPKSPKLGRRKASTAADASNSSEESDSTPRPCCRASRDSLDSICKCSSSSRNGKPQQPATAKPAASKKQPKPHAHKLSDQSAMNIAVH >ORUFI11G19880.1 pep chromosome:OR_W1943:11:22497292:22502844:1 gene:ORUFI11G19880 transcript:ORUFI11G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAACFFTGAAATASLPLPSTSASASSCCQRRPASLRCSRPRRSFGVARALDERLVEAAPPAETEVEEPGVADGGGEGEGEVEDSAPSGEEEEEEEPARAPVRSRRRQEEEEEEAAPGHDRFKLINGKEIFQEKAYLVGVECKRSGGSMFSIEESLEELEQLADTAGLMVVGSTYQKLSTPNPRTYIGSGKVAEIKSAIHAHDVETVIFDDELSPGQLRNLEKSFGGGVRVCDRTALILDIFNQRAATHEAALQVTLAQMEYQLPRLTKMWSHLERQSGGQVKGMGEKQIEVDKRILRTQISALRKELESVRKHRKLYRNRRQSVPIPVVSLVGYTNAGKSTLLNRLTGADVLAEDKLFATLDPTTRRVLMKNGTEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSVIVHLVDISHPLAQQQIDAVDKVLKELDIESIPKLVVWNKIDNTDDTLRVKEEAEKQGIICISAINGDGLEEFCNAIQAKLKDSLVPIEAFVPYDKGELLSDIHKVGMVEKTEYTENGTFVKAHVPLPLARLLTPLRQQVAAVS >ORUFI11G19890.1 pep chromosome:OR_W1943:11:22503720:22507066:-1 gene:ORUFI11G19890 transcript:ORUFI11G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLTAAAALRLGRGLSHRRAFLLRRRYSPAPLAPSPCAAPRCLSSAAHPPPPPPRRLARSGPSRPLAASAATAVSEAQTDLESGPVTASKGRIYHETYGCQMNVNDMEIVLSIMKNEGYDEIVPDPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRQWKANVAAGRSRSLRPPKIAVLGCMAERLKEKILDSDKMVDVVCGPDAYRDLPRLLQEVDYGQKGINTLLSLEETYADITPVRISDNSVTAFVSIMRGCNNMCSFCIVPFTRGRERSRPVSSIVREVGELWKAGVKEVMLLGQNVNSYNDTSEVEELEPGKNWELSEGFSSMCKVKNMGLRFADLLDQLSLEYPEMRFRFTSPHPKDYPDELLYLMRDRHNVCKLIHMPAQSGSSAVLERMRRGYTREAYLELVQKIRSIIPDVGLSSDFISGFCGETEEEHAETLTLVRAVGYDMAYMFAYSMREKTHAHRNYVDDVPDDVKQRRLAELISTFRETTAKIYDSQVGTVQLVLVEGPNKRAPETEMIGKTDRGHRVSFASVPVPHTFEGDELRKPVVGDFIEVKITKSSTASLSGDVIARTSLSKFYKNHSSEAHAVAA >ORUFI11G19900.1 pep chromosome:OR_W1943:11:22507910:22510240:-1 gene:ORUFI11G19900 transcript:ORUFI11G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAKPPPFVCFKWPWGPDPKATSPSPSPSPCGDLEMPWLLKSIRTVAQGLLIAGDLPSPSSDGGGGGGGARTRWRRRRRLGPGLAAEADRGEAEQRALAAALASGRDATVLEFYSPRCRLCSSLQGLVRELEDGAGGRAGFVLADAEDDRWLPELLHYDIRYVPCFVLLDKNGRALAKTGVPTSRQHVIAGLHHLLNMNQISVQEGTKSTA >ORUFI11G19910.1 pep chromosome:OR_W1943:11:22511931:22518414:-1 gene:ORUFI11G19910 transcript:ORUFI11G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIKTVVVLVQENRSFDHMLGWMKSLNPEIDGVTGAEFNRATAGDPSSPAIHFGDGSGYVDPDPGHSFQAIYEQVYGDAYTWGTTSPATKPGVPSPPMSGFAQEAEKERAGMSSTVMNGFRPEKVPVYRELVREFAVCDRWFASVPTSTQPNRMFVHSATSHGLVSNDGKQLRAGLPQRTIFDALHDAGHSFGVYYQFPPSRDCKAGKLPNYVVIEQRYFDLKLLPGNDDHPSHDVAHGQRLVKDVYEALRSSPQWHEILFVITYDEHGGFFDHVPTPVAGVPSPDGIVSAAPVSFAFDRLGVRVPTLLVSPWIEPGTVVHDPASCGGAPEPTSQFEHSSIPATVKRIFGLKEFLTRRDAWAGTFDTVLTRAAPREDCPATLPEPPRLRAAEAEEHREVSEFQAELVQLGAALNGDHDGEGYDPEVFVRGMTVAGAAQYCRDAFDRFREECHRCRDGGMDGSHVPMLQPASASASSSAPAADPPATAPAPATPSALSKLCGCFPCFNAS >ORUFI11G19920.1 pep chromosome:OR_W1943:11:22519680:22521071:1 gene:ORUFI11G19920 transcript:ORUFI11G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRAAVADGDGDDDGAGAPVQVSVDPAAYRDWSALPADLLLTVMESLAIPDLFRAGTACASWYAAYSIARRARIPIRDSAPCLLYSGEGDDDPSKATLYSPSSGDCFRVRLPDPPLRSRNLVGSAHGWLVTADEQSNLHLVNPLNGAQVALPPVTALHHVESFVDEEGNIVYSVDESLGPDDPEANLPEFEELADREVPVEYPAEKLRLFMYHRVILSCSPSVGRECVALLVHRPDGMISFARPGDERWTHINRTTSNGSLKWDTGYTDALYNKNDGLFYLLSFDGSICALDLSGSSPVARNIVKKNTQWDNPSKYIVLAPWGDLLEVWRLRDFDEPDETPECSSAEFEDRSDKWLTEEIMLYKVDIDKQKLVKISSIGDYALFLGFNSVVCLPTENFPMLKPDCAYLSDEFYEEICVKRHNWREIGIWDLKNCKLQSLGDVESLHAWRNWPSPIWITPSLS >ORUFI11G19930.1 pep chromosome:OR_W1943:11:22533377:22545834:1 gene:ORUFI11G19930 transcript:ORUFI11G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTGAGAGVIQCWETLPEDLLVTVFCQLEIPDLLRSGAVCASWHAAYRTFRRLRLPSPKQPPCLLYSCDAYGPDAAGLYCPSTGATYRIGGGGFRNLTLIGSADGWVVAADEIGNLRLLNPLTGAHAELPPLSTMHHVEAAADEEDEGGGLAYDIVDRLYNRPSLVRVPAREVRDCMYFRAVLSCGPHAAAGGGDAAACVVLLLHMPRCELSYARPGDERWTWISPGAGTGLRWRNLYCDAAYCKDDGLFYVVRDDDSVHALDLTGPSPVARKVFDERTWSTSLPSRYLEDVHLPCAQPCRYLVNTPSGELLHVWRFRQWVSSYDSSSDDQDDSSNDSSSEDQDDDSYDSSSEDQDDSSSRDLYEDLITRDIQLYRTDFHGKKLDAMDSLDNHALFLGYNTSLCLPTEDFPGLKPNHAYITDDSLEFVNYFKRNKKEIGMWNIESQILEGFVMEPTGTGAAVVADWTRLPEDILVTVFCQLEIPSLLLSGAVCASWHAAYRTFRRLRLPSPKQPPCLLYSCDAYGPDAAGLYCPSTGAKYRIPVSCGGGGGFRNLTLIGSADGWVVAADEIGNLRLLNPLTGAQAELPPVSTMHHVETAFDEDEGAPPPVRIPAREAQNCMYDRAVLSFGPRTRAGDAAAACVVLLLHKPMCELSYARPGDERWTWVSPGAGTGLQWRNWYCDAAYNKDDGLRRAARRLRPCPGPHRPSPVARKVFHEREWSESLASRFLENVHGLCGIPFRYLVHTPSGELLHVWRFRDSVSSYDLSLDDQDDNDDDDDDDSGDSLQEESSPEDEDDDSCDPPDEELVTEDIQLYMTDFHGQKLEAMDSLDDHAMDSLDDHAMFIGYNAPLCLPTKDFPGLSPNCVYITDDSLEYINYSRRNNKRDIGVWSIEDQKLQSLGGASPIKDPWTIFHGQKLEVIDSLNDHVLFLGYNASNKDFRIFGL >ORUFI11G19940.1 pep chromosome:OR_W1943:11:22551842:22556752:-1 gene:ORUFI11G19940 transcript:ORUFI11G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGGGGGAPADDGEGGDGLLGGGFAGPVLGGGGAAGVGFPPGFAAIPVAGAEAGAAGQDAVYAAVPDADEEEGFYEEDGDEGLGDRDDAEEGGHICQASNLNCKKGSTDEVAAGLQSQYDIAASINKKRPNKPARKPCALCYMESLQSGVYKERFLDAGGMEPHYKRVHPKFMSENKGRTAQNARIISRTSKTMTGITKHSEKIISTLAECGGGGIPVKLEKLVNNSPEDALLAQLQNGHEPEVPLAVILQYLMRLMDEDRIRKELELLSSVPKRASDCEKELLESWRWETTDGDDLAERKKILSRAKEIVFELIDSEVTFLNILYIMYKFKPYFHSAIGSAQVGMLLPDAEVDYWKKWLLKNCQVDYLTVISPMAKACLWEKLANNPRPQNGYERQAGNITVYRVVESVLERLHMAQTPLHFEFKGESLVPKFLGCICQTHTLFGLHIIEKKCNCVNEVPTKTAELAISHVYKGLHSESMYTLASAVCCDDEGRSFACDMDMMKGISGFKHAKKRDPGLLL >ORUFI11G19950.1 pep chromosome:OR_W1943:11:22558174:22560117:1 gene:ORUFI11G19950 transcript:ORUFI11G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFVDDEGRRRSGSGRVTAFVALSCATAAMGGAIYGYDISIAGGVSSMEPFLRDFFPGVLRRMAGGGGGADGGAPRVSNYCKFDSQLLTLFTSSLYISGLLTAVLLASWVTASRGRRASMILGGFAYIAGAAVSGAAVNVSMAILGRALLGVGLGFTTQSVQLYVAEMAPARYRGAFSNGIQFSLCLGALAATTVNFAVEKIRGGWGWRLSLALAGVPAVFLTVGAVFLPETPNSLVQQGKDRDTVKALLQRIRGVDAVDDELDEIVAANAAAAAAHGENGLWLILSRRRYRPQLAMAVLIPAFTQLTGINAIGFYLPVLLRTVGMGESAALLATVILVVVSSASTLASMFLVDRFGRRALLLAGGAQMLVSEALIGSIMAAKLGDEGAPSKAYATLLVVLIGVYSTGFGWSWGPLSWLVPTEVLPLEVRSAGQSVAVATCFALTVLVAQCFLAALCRMKAWIFFFFAGWIAAMTAFVYFFLPETKGIPIEQVGSVWEEHWFWRRIVGTDEIHASSKLSK >ORUFI11G19960.1 pep chromosome:OR_W1943:11:22563578:22570451:1 gene:ORUFI11G19960 transcript:ORUFI11G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRDQEEPGSGSGSGSVMCQLVNPEGDHLGAALYLPQNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRIVYQPQAVFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLNTQTPLFTCKGHKNWVLCIAWSPDGNHLVSGSKSGELILWDPKTGKQLGTPLTGHRKWITAVSWEPVHLQAPCRRFVSASKDGDARIWDITTRKCVIALTGHTNSVTCVKWGGDGLIYTGSEDCLIKVWETSQGKLVKTLQGHGHWVNSLALSTEYILRTGAYDHTGKTYSTADEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVWDIRTHKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMN >ORUFI11G19960.2 pep chromosome:OR_W1943:11:22563578:22570451:1 gene:ORUFI11G19960 transcript:ORUFI11G19960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRDQEEPGSGSGSGSVMCQLVNPEGDHLGAALYLPQNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRIVYQPQAVFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLNTQTPLFTCKGHKNWVLCIAWSPDGNHLVSGSKSGELILWDPKTGKQLGTPLTGHRKWITAVSWEPVHLQAPCRRFVSASKDGDARIWDITTRKCVIALTGHTNSVTCVKWGGDGLIYTGSEDCLIKVWETSQGKLVKTLQGHGHWVNSLALSTEYILRTGAYDHTGKTYSTADEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVWDIRTHKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMN >ORUFI11G19960.3 pep chromosome:OR_W1943:11:22563578:22570451:1 gene:ORUFI11G19960 transcript:ORUFI11G19960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENRDQEEPGSGSGSGSVMCQLVNPEGDHLGAALYLPQNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRIVYQPQAVFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLNTQTPLFTCKGHKNWVLCIAWSPDGNHLVSGSKSGELILWDPKTGKQLGTPLTGHGHWVNSLALSTEYILRTGAYDHTGKTYSTADEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVWDIRTHKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMN >ORUFI11G19960.4 pep chromosome:OR_W1943:11:22563578:22570451:1 gene:ORUFI11G19960 transcript:ORUFI11G19960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENRDQEEPGSGSGSGSVMCQLVNPEGDHLGAALYLPQNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRIVYQPQAVFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLNTQTPLFTCKGHKNWVLCIAWSPDGNHLVSGSKSGELILWDPKTGKQLGTPLTGHGHWVNSLALSTEYILRTGAYDHTGKTYSTADEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVWDIRTHKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMN >ORUFI11G19970.1 pep chromosome:OR_W1943:11:22572129:22573607:-1 gene:ORUFI11G19970 transcript:ORUFI11G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAASTNKQPSPPANENMEEEAEAGGDRCCWSWLPEDVLLTVMGFMEVPDVVRSGAACSAWRAAAAAFRRLRLSTPRQPPCLLYACDAYGPDAAALYSPSTAATFCVPFRIPRAVAGAAHGWLFATDDEANPYLVNPVTGARATLPPITTLDRVRSRETLVVGGGGGVVYGVDVSPTVGENIRHITAERARDWMFRRVAVSGSPSAAAGCVVLLVHMPFSELSFARPGDARWTSLSGVAELSFARAPNMAMVGDWGSILAMGELHHRQYWTSIVHNHKNGLFYLLRHCGSIFSLDLTGGGAASSSSPSPVARTVLSSPSPHQYSSGPKPTQYLAVTPRGELLRVTRRWHQTAIVAPPDASNGRWHVEHAVATTGVEVEEIRTPPPPPLATAASTATAISVAGLGGCGDVALFLGKSSAACIPTEGFPMLRPNCAYLTDDAGGDVVRSPAARRDFGVWDFGSGRLQRLGDVWPLHHPWLYSPSPIWITPSLY >ORUFI11G19980.1 pep chromosome:OR_W1943:11:22575210:22576626:-1 gene:ORUFI11G19980 transcript:ORUFI11G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTGTGAVSGPPSSLDLPLGIVGAVLRRLHSSADRAALRSVFRRSWRAGARDYPPLVLAPPLPLVLYPNFALASVFSDGAAAAGHRVPLLAALLDGAFPGQCIGCFEDWLVCTWLRLSIPLYPTVGADGGCVLVNPFSGEKVSLPSPTATHSCGAIQRSVPVSNGDGEVVCTIHADEYAMALYKAVLSAPPNAGSSSSSSSELDLGSSCIVAAVSQRKGEYKLAFCTPETPSWCICEGNCIKSHIDIEFYLGKLYMVDTRNGDLFAFELEAHDHVFPVVSLVERCLIEKLPSAEDGDRQTYNLVQSLGKLLLLVRYFRESWDQFVGVRVFELSFNSNPWKWIEKKSLDGESIFISSSCNKSFAASQYEEIEDDRIYFLDSLCPKFNPKKSDSYSYCSQVYNMRDGTISPFLIGTGPMSNYLGFPMWFCPTQ >ORUFI11G19990.1 pep chromosome:OR_W1943:11:22578436:22592801:-1 gene:ORUFI11G19990 transcript:ORUFI11G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRNSGDALPRERWNDIPADMLGLVLRVIPCAADRARVRSVCRSWRAAAAIQRPPPPLPVLVFSRFSFASLSRLSPAMAFTKPRRFFFHKDVTIRWVGSFDEWLVGTKPSRECKDADGHCFLLNLMSRKKIQLPRPCALHFFDYFCKTLPIVNTSGWVDIIIHDREYSMCFRKVVLSASPASDSMCIVAAISSRTLALWHPGMRSWCVCRSFGIDGSADIAFYQGRIYMAMVSTYFPHILSILFFQLEEVNGRVMVSYVEQCVTETLPPVEGCVVNEFYIVEWRGKLLLIVMYAEHVWLDTEKIGIYALDFSTNPHSLTEINNLDGDCLFISLRSSKSFPACQYDGAKGDFVYFVSGYWQHATGVHHSFDVLVYNVRDATTTRLSVSAPEDNSGPFTNNLLWCSMKCLTKRLVVVAVPAGDALLRGPWNDLPGDLLGLVLGHIPCAAGRARVRSVCSSWRNAAAIQRPPRPLPMLVFSRFGFVSFSSFSSVMVIADAEFTRIPLHEDESLRWVGSFDEWLVGTRPGSVCKDAHSHCFLVNAFSRETIQLPRPSAFRLSHHICKTLPIVNTTGSVDIIIQEHEYSGRIYMAMVSTYFPHILSIMFFQLEEVDGRVMVSYVERCVTQTLPPVEGCAVNEFHIVEWRGKLLLIVMYADHVWVENRRIGIYALDFSTNPYSLTEINNLDGDCLFISSRSSKSFPACQYDGAKGDFVYFVSSFRQQTIGVHHSFDILVFNVRDATTAVFPVLVPGDNSDPFMDNLLCGITIDDLRTGTEKIEVDDALFSKADASKLSERPVPVLKLPLFDERRHLGGVGDGLLDLLEVYIPNSKADASKLSERPVPVLNPFWEAKFREKLNGLLLGCFVTICDLCTDTTSPVTAYRHLLHTKPVKSRHPSGASLELSCPRGRALAPRDPPAPVAMDSGKGTIGREAQRRSWADIPADIIGVVVGRLPSVEDRARLRSVCQAWRAAARLHRPPPPLPLLVLSNLAFSGFCVDGAMSETRRIPLPVEVVAAAAGDLRCVGSCEGWLAVVRQKKARYLGDGACFLVNPFSREVVNLPPPFVSTHLVDVYTRSLPIINGSGVVDCTIHAAQYVMSFCKVILSSPPGSGSAYTVAAISVHRNGAKLALWRPGMTSWCICYGGCISKFSDVAFYQGKFYILSKLTTNLFAFEITEDDCGMMVSRVERCVTELPQVKDSYGQRWNMVEWHGKLLLVVRYIGGSEGWHNICKVSVFVMDVSTNPFRFTEINSLDGDCIFISPCSSMSFLACQYDGIEDDLVYFIDGYLFPAKNGPPFDRFVYNMRDCTLAPFAADISDDNFRAPDGRLMSPTWFFPSE >ORUFI11G19990.2 pep chromosome:OR_W1943:11:22578436:22592801:-1 gene:ORUFI11G19990 transcript:ORUFI11G19990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRNSGDALPRERWNDIPADMLGLVLRVIPCAADRARVRSVCRSWRAAAAIQRPPPPLPVLVFSRFSFASLSRLSPAMAFTKPRRFFFHKDVTIRWVGSFDEWLVGTKPSRECKDADGHCFLLNLMSRKKIQLPRPCALHFFDYFCKTLPIVNTSGWVDIIIHDREYSMCFRKVVLSASPASDSMCIVAAISSRTLALWHPGMRSWCVCRSFGIDGSADIAFYQGRIYMAMVSTYFPHILSILFFQLEEVNGRVMVSYVEQCVTETLPPVEGCVVNEFYIVEWRGKLLLIVMYAEHVWLDTEKIGIYALDFSTNPHSLTEINNLDGDCLFISLRSSKSFPACQYDGAKGDFVYFVSGYWQHATGVHHSFDVLVYNVRDATTTRLSVSAPEDNSGPFTNNLLWCSMKCLTKRLVVVAVPAGDALLRGPWNDLPGDLLGLVLGHIPCAAGRARVRSVCSSWRNAAAIQRPPRPLPMLVFSRFGFVSFSSFSSVMVIADAEFTRIPLHEDESLRWVGSFDEWLVGTRPGSVCKDAHSHCFLVNAFSRETIQLPRPSAFRLSHHICKTLPIVNTTGSVDIIIQEHEYSGRIYMAMVSTYFPHILSIMFFQLEEVDGRVMVSYVERCVTQTLPPVEGCAVNEFHIVEWRGKLLLIVMYADHVWVENRRIGIYALDFSTNPYSLTEINNLDGDCLFISSRSSKSFPACQYDGAKGDFVYFVSSFRQQTIGVHHSFDILVFNVRDATTAVFPVLVPGDNSDPFMDNLLCGITIDDLRTGTEKIEVDDALFSKADASKLSERPVPVLKPFWEAKFREKLNGLLLGCFVTICDLCTDTTSPVTAYRHLLHTKPVKSRHPSGASLELSCPRGRALAPRDPPAPVAMDSGKGTIGREAQRRSWADIPADIIGVVVGRLPSVEDRARLRSVCQAWRAAARLHRPPPPLPLLVLSNLAFSGFCVDGAMSETRRIPLPVEVVAAAAGDLRCVGSCEGWLAVVRQKKARYLGDGACFLVNPFSREVVNLPPPFVSTHLVDVYTRSLPIINGSGVVDCTIHAAQYVMSFCKVILSSPPGSGSAYTVAAISVHRNGAKLALWRPGMTSWCICYGGCISKFSDVAFYQGKFYILSKLTTNLFAFEITEDDCGMMVSRVERCVTELPQVKDSYGQRWNMVEWHGKLLLVVRYIGGSEGWHNICKVSVFVMDVSTNPFRFTEINSLDGDCIFISPCSSMSFLACQYDGIEDDLVYFIDGYLFPAKNGPPFDRFVYNMRDCTLAPFAADISDDNFRAPDGRLMSPTWFFPSE >ORUFI11G20000.1 pep chromosome:OR_W1943:11:22582419:22582847:1 gene:ORUFI11G20000 transcript:ORUFI11G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIIESHRVGAEIANGDEAAKKKSIELLSELGLPEGLFPLDDMEEFGYNRANGFMWIVHRKKKEHTFKKIKQTVSYATEVTAFVEKGKLKKIAGVKTKELMLWLSVVEVYVDESSAGKITFKTGTGLSDSFDASAFELGM >ORUFI11G20010.1 pep chromosome:OR_W1943:11:22584195:22584623:1 gene:ORUFI11G20010 transcript:ORUFI11G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIIETNRAGAEIINGDAAGKKKSIELLQELGLPKGLFPLDDIEEFGYNRANGFMWILHSKKKEHTFKKIKQTVSYATEVTAFVEKGKLKKIAGVKTKELMLWLSVVEVYVEESSAEKITFKTGTGLSDSFDASAFELGM >ORUFI11G20020.1 pep chromosome:OR_W1943:11:22589949:22590329:1 gene:ORUFI11G20020 transcript:ORUFI11G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLARRRSIELLQELNLPKGLFPLDDIEEFGYNRANGFMWILHRKKKEHTFKKIKQTVSYATEVTAFVEKGKLKKITGVKTKELMLWLSVVEVYVEESSAGKITFKTGTGLSDSFDASAFELDM >ORUFI11G20030.1 pep chromosome:OR_W1943:11:22593095:22595104:1 gene:ORUFI11G20030 transcript:ORUFI11G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASSCCASTSARPLVRRAGSRNGKLWWAGGVRKARLLSISATAAAPSGVDYAAGTGAAADDDAVAALKVKLLSAVSGLNRGLAASQEDLDRADAAARELEAAAGGGPVDLERDVDKLQGRWRLVYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVVSKDFDNIVDVELGAPWPLPPVELTATLAHKFEIIGTSSIKITFDKTTVKTKGNLSQLPPLEVPRIPDNLRPPSNTGSGEFEVTYLDGDTRITRGDRGELRVFVIS >ORUFI11G20040.1 pep chromosome:OR_W1943:11:22598653:22598913:-1 gene:ORUFI11G20040 transcript:ORUFI11G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAGDSERDEDEGHGGRGQRSSGVRRWPWRTRPTAAAAVTDEDCDLVECDGGGQRWTRTATQWSATAAATMDEGSGGGSDKRGQ >ORUFI11G20050.1 pep chromosome:OR_W1943:11:22610496:22615195:-1 gene:ORUFI11G20050 transcript:ORUFI11G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIEQRMLGKNTSRERVCNMREIELLLLEGEKVKITLWGDILANMVDDDLLGKQTVFIATGLLVKEYEKLLSFGVTSSTEVFLDMEIPASMEILSRHNAEKVLPTMIEVDASTQGTIEEQMFYNRKTLKEITELRYSNIQQKEFICTVKAKIEEIKSRNWWYMSCDKCFCGTRKESNVYICNSCGKEAVNPKPRYVINLEISDHTTRKTCTIFNEEAERIFGHKSVSTMLEEQNGQIDMIPDTIRQICGRILIFRLKLTKRNLEECKEDYKVNYTFTPNEKLEMNYVNDKAEEFGQHNFQVIYHQEGPKEPIKRRSMLKYNDDYGFTDLQKSEDEFGQHNFQVKEEPKNESSDDYEMNNHRKTKKERSNMRCTKRSNKEPYITDFDGKTNEKAITIDDDCEESLDDYFDEEYNEKVTQESTKTNPSKRRVTRRFKTSNKAKKGKCSEMKTQRNKNES >ORUFI11G20060.1 pep chromosome:OR_W1943:11:22615721:22622393:1 gene:ORUFI11G20060 transcript:ORUFI11G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKNKRRISRHRGDATASAPAPTNADWEDATAAFREEAEAALRSLSIGEDDGAAAERLAARHGGSPLAHHVVGHARAALARAGDAVAPLRRAAGLAPGCPEIAAALAAALLYARRPGEALAECARALAVGAPTDPALHAVSGRGLMAATPQCRVAVARERLRGVRADAEALTGVSHRATVPPPPSRSRRSALPDTDDEVWSFLTVRVEDLTAHCAKIGSSAGALAVADAVEFVKATNAWVYWLCPVCDEVFLDSNSFQSHVESEYIHQLQQWLPLTQPSTTMKPTSKDSSWWKPIGAQEEEEEIARIREAFSIVNGSEVSYLGCVAFSILDGPEIPSIDPMVFSSLDEPEVSSVEPVSNEVKFKKGRRRRLKKWDAWLDHCREIERDCPSWEELLLPLCREMPELWEYLESCVETEGNEDSFPLISLVQGSSVLFLDSQKIAPINMDGSFNVDALFNWLLRGSSPQKPVPSWTSIRKRCVHDGNEVLKRIGEISDLLQEQFGLKDHSDGTMHGDFFTTKVKTGFHLGTGVDNILNVVSLVEGFAHKVSALLEDVGWFWRPRAFCCLKLLASGKLGNDDLCEVNSIDVEISHMFAEVSFLRKKLVKVCTFDYRIVILPFIKDYLWAKLNNGSPGKELHDMDDIYEEYVVQEKSQGTDSDLDHQISRTEEFENSSLSFSDSSDLSTLETESTSFNSGIGTVHQITTDELSLNVTLRALWHLRRIHIFRQIPHTLHHLSVRDHCVVCLLCEIFYARDHNENHTAAILLGNARTAFSDILNDRNFDYKENKNIASEIISIIIEILHMSQKHYSFETFEPVEISPSRCFGYCVPHQVLGMYFKQKKCNCVNKPGGENDFIAIFHTVDVGAVQKTEMKSFGDILKAAELDVESCRCGNKTEVFSWPTEKENYVDMLRIAGKVCSNKILMPGHWAGRENIGKLRS >ORUFI11G20060.2 pep chromosome:OR_W1943:11:22615721:22622393:1 gene:ORUFI11G20060 transcript:ORUFI11G20060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKNKRRISRHRGDATASAPAPTNADWEDATAAFREEAEAALRSLSIGEDDGAAAERLAARHGGSPLAHHVVGHARAALARAGDAVAPLRRAAGLAPGCPEIAAALAAALLYARRPGEALAECARALAVGAPTDPALHAVSGRGLMAATPQCRVAVARERLRGVRADAEALTGVSHRATVPPPPSRSRRSALPDTDDEVWSFLTVRVEDLTAHCAKIGSSAGALAVADAVEFVKATNAWVYWLCPVCDEVFLDSNSFQSHVESEYIHQLQQWLPLTQPSTTMKPTSKDSSWWKPIGAQEEEEEIARIREAFSIVNGSEVSYLGCVAFSILDGPEIPSIDPMVFSSLDEPEVSSVEPVSNEVKFKKGRRRRLKKWDAWLDHCREIERDCPSWEELLLPLCREMPELWEYLESCVETEGNEDSFPLISLVQGSSVLFLDSQKIAPINMDGSFNVDALFNWLLRGSSPQKPVPSWTSIRKRCVHDGNEVLKRIGEISDLLQEQFGLKDHSDGTMHGDFFTTKVKTGFHLGTGVDNILNVVSLVEGFAHKVSALLEDVGWFWRPRAFCCLKLLASGKLGNDDLCEVNSIDVEISHMFAEVSFLRKKLVKVCTFDYRIVILPFIKDYLWAKLNNGSPGKELHDMDDIYEEYVVQEKSQGTDSDLDHQISRTEEFENSSLSFSVRDHCVVCLLCEIFYARDHNENHTAAILLGNARTAFSDILNDRNFDYKENKNIASEIISIIIEILHMSQKHYSFETFEPVEISPSRCFGYCVPHQVLGMYFKQKKCNCVNKPGGENDFIAIFHTVDVGAVQKTEMKSFGDILKAAELDVESCRCGNKTEVFSWPTEKENYVDMLRIAGKVCSNKILMPGHWAGRENIGKLRS >ORUFI11G20060.3 pep chromosome:OR_W1943:11:22615721:22622393:1 gene:ORUFI11G20060 transcript:ORUFI11G20060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKNKRRISRHRGDATASAPAPTNADWEDATAAFREEAEAALRSLSIGEDDGAAAERLAARHGGSPLAHHVVGHARAALARAGDAVAPLRRAAGLAPGCPEIAAALAAALLYARRPGEALAECARALAVGAPTDPALHAVSGRGLMAATPQCRVAVARERLRGVRADAEALTGVSHRATVPPPPSRSRRSALPDTDDEVWSFLTVRVEDLTAHCAKIGSSAGALAVADAVEFVKATNAWVYWLCPVCDEVFLDSNSFQSHVESEYIHQLQQWLPLTQPSTTMKPTSKDSSWWKPIGAQEEEEEIARIREAFSIVNGSEVSYLGCVAFSILDGPEIPSIDPMVFSSLDEPEVSSVEPVSNEVKFKKGRRRRLKKWDAWLDHCREIERDCPSWEELLLPLCREMPELWEYLESCVETEGNEDSFPLISLVQGSSVLFLDSQKIAPINMDGSFNVDALFNWLLRGSSPQKPVPSWTSIRKRCVHDGNEVLKRIGEISDLLQEQFGLKDHSDGTMHGDFFTTKVNSIDVEISHMFAEVSFLRKKLVKVCTFDYRIVILPFIKDYLWAKLNNGSPGKELHDMDDIYEEYVVQEKSQGTDSDLDHQISRTEEFENSSLSFSDSSDLSTLETESTSFNSGIGTVHQITTDELSLNVTLRALWHLRRIHIFRQIPHTLHHLSVRDHCVVCLLCEIFYARDHNENHTAAILLGNARTAFSDILNDRNFDYKENKNIASEIISIIIEILHMSQKHYSFETFEPVEISPSRCFGYCVPHQVLGMYFKQKKCNCVNKPGGENDFIAIFHTVDVGAVQKTEMKSFGDILKAAELDVESCRCGNKTEVFSWPTEKENYVDMLRIAGKVCSNKILMPGHWAGRENIGKLRS >ORUFI11G20060.4 pep chromosome:OR_W1943:11:22615721:22622393:1 gene:ORUFI11G20060 transcript:ORUFI11G20060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKNKRRISRHRGDATASAPAPTNADWEDATAAFREEAEAALRSLSIGEDDGAAAERLAARHGGSPLAHHVVGHARAALARAGDAVAPLRRAAGLAPGCPEIAAALAAALLYARRPGEALAECARALAVGAPTDPALHAVSGRGLMAATPQCRVAVARERLRGVRADAEALTGVSHRATVPPPPSRSRRSALPDTDDEVWSFLTVRVEDLTAHCAKIGSSAGALAVADAVEFVKATNAWVYWLCPVCDEVFLDSNSFQSHVESEYIHQLQQWLPLTQPSTTMKPTSKDSSWWKPIGAQEEEEEIARIREAFSIVNGSEVSYLGCVAFSILDGPEIPSIDPMVFSSLDEPEVSSVEPVSNEVKFKKGRRRRLKKWDAWLDHCREIERDCPSWEELLLPLCREMPELWEYLESCVETEGNEDSFPLISLVQGSSVLFLDSQKIAPINMDGSFNVDALFNWLLRGSSPQKPVPSWTSIRKRCVHDGNEVLKRIGEISDLLQEQFGLKDHSDGTMHGDFFTTKAKLNNGSPGKELHDMDDIYEEYVVQEKSQGTDSDLDHQISRTEEFENSSLSFSDSSDLSTLETESTSFNSGIGTVHQITTDELSLNVTLRALWHLRRIHIFRQIPHTLHHLSVRDHCVENKNIASEIISIIIEILHMSQKHYSFETFEPVEISPSRCFGYCVPHQVLGMYFKQKKCNCVNKPGGENDFIAIFHTVDVGAVQKTEMKSFGDILKAAELDVESCRCGNKTEVFSWPTEKENYVDMLRIAGKVCSNKILMPGHWAGRENIGKLRS >ORUFI11G20060.5 pep chromosome:OR_W1943:11:22615721:22622393:1 gene:ORUFI11G20060 transcript:ORUFI11G20060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKNKRRISRHRGDATASAPAPTNADWEDATAAFREEAEAALRSLSIGEDDGAAAERLAARHGGSPLAHHVVGHARAALARAGDAVAPLRRAAGLAPGCPEIAAALAAALLYARRPGEALAECARALAVGAPTDPALHAVSGRGLMAATPQCRVAVARERLRGVRADAEALTGVSHRATVPPPPSRSRRSALPDTDDEVWSFLTVRVEDLTAHCAKIGSSAGALAVADAVEFVKATNAWVYWLCPVCDEVFLDSNSFQSHVESEYIHQLQQWLPLTQPSTTMKPTSKDSSWWKPIGAQEEEEEIARIREAFSIVNGSEVSYLGCVAFSILDGPEIPSIDPMVFSSLDEPEVSSVEPVSNEVKFKKGRRRRLKKWDAWLDHCREIERDCPSWEELLLPLCREMPELWEYLESCVETEGNEDSFPLISLVQGSSVLFLDSQKIAPINMDGSFNVDALFNWLLRGSSPQKPVPSWTSIRKRCVHDGNEVLKRIGEISDLLQEQFGLKDHSDGTMHGDFFTTKVNSIDVEISHMFAEVSFLRKKLVKVCTFDYRIVILPFIKDYLWAKLNNGSPGKELHDMDDIYEEYVVQEKSQGTDSDLDHQISRTEEFENSSLSFSVRDHCVVCLLCEIFYARDHNENHTAAILLGNARTAFSDILNDRNFDYKENKNIASEIISIIIEILHMSQKHYSFETFEPVEISPSRCFGYCVPHQVLGMYFKQKKCNCVNKPGGENDFIAIFHTVDVGAVQKTEMKSFGDILKAAELDVESCRCGNKTEVFSWPTEKENYVDMLRIAGKVCSNKILMPGHWAGRENIGKLRS >ORUFI11G20060.6 pep chromosome:OR_W1943:11:22615721:22622168:1 gene:ORUFI11G20060 transcript:ORUFI11G20060.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKNKRRISRHRGDATASAPAPTNADWEDATAAFREEAEAALRSLSIGEDDGAAAERLAARHGGSPLAHHVVGHARAALARAGDAVAPLRRAAGLAPGCPEIAAALAAALLYARRPGEALAECARALAVGAPTDPALHAVSGRGLMAATPQCRVAVARERLRGVRADAEALTGVSHRATVPPPPSRSRRSALPDTDDEVWSFLTVRVEDLTAHCAKIGSSAGALAVADAVEFVKATNAWVYWLCPVCDEVFLDSNSFQSHVESEYIHQLQQWLPLTQPSTTMKPTSKDSSWWKPIGAQEEEEEIARIREAFSIVNGSEVSYLGCVAFSILDGPEIPSIDPMVFSSLDEPEVSSVEPVSNEVKFKKGRRRRLKKWDAWLDHCREIERDCPSWEELLLPLCREMPELWEYLESCVETEGNEDSFPLISLVQGSSVLFLDSQKIAPINMDGSFNVDALFNWLLRGSSPQKPVPSWTSIRKRCVHDGNEVLKRIGEISDLLQEQFGLKDHSDGTMHGDFFTTKVKTGFHLGTGVDNILNVVSLVEGFAHKVSALLEDVGWFWRPRAFCCLKLLASGKLGNDDLCEVNSIDVEISHMFAEVSFLRKKLVKVCTFDYRIVILPFIKDYLWAKLNNGSPGKELHDMDDIYEEYVVQEKSQGTDSDLDHQISRTEEFENSSLSFSGK >ORUFI11G20060.7 pep chromosome:OR_W1943:11:22616747:22622393:1 gene:ORUFI11G20060 transcript:ORUFI11G20060.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSLDEPEVSSVEPVSNEVKFKKGRRRRLKKWDAWLDHCREIERDCPSWEELLLPLCREMPELWEYLESCVETEGNEDSFPLISLVQGSSVLFLDSQKIAPINMDGSFNVDALFNWLLRGSSPQKPVPSWTSIRKRCVHDGNEVLKRIGEISDLLQEQFGLKDHSDGTMHGDFFTTKVNSIDVEISHMFAEVSFLRKKLVKVCTFDYRIVILPFIKDYLWAKLNNGSPGKELHDMDDIYEEYVVQEKSQGTDSDLDHQISRTEEFENSSLSFSGK >ORUFI11G20060.8 pep chromosome:OR_W1943:11:22615721:22621443:1 gene:ORUFI11G20060 transcript:ORUFI11G20060.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKNKRRISRHRGDATASAPAPTNADWEDATAAFREEAEAALRSLSIGEDDGAAAERLAARHGGSPLAHHVVGHARAALARAGDAVAPLRRAAGLAPGCPEIAAALAAALLYARRPGEALAECARALAVGAPTDPALHAVSGRGLMAATPQCRVAVARERLRGVRADAEALTGVSHRATVPPPPSRSRRSALPDTDDEVWSFLTVRVEDLTAHCAKIGSSAGALAVADAVEFVKATNAWVYWLCPVCDEVFLDSNSFQSHVESEYIHQLQQWLPLTQPSTTMKPTSKDSSWWKPIGAQEEEEEIARIREAFSIVNGSEVSYLGCVAFSILDGPEIPSIDPMVFSSLDEPEVSSVEPVSNEVKFKKGRRRRLKKWDAWLDHCREIERDCPSWEELLLPLCREMPELWEYLESCVETEGNEDSFPLISLVQGSSVLFLDSQKIAPINMDGSFNVDALFNWLLRGSSPQKPVPSWTSIRKRCVHDGNEVLKRIGEISDLLQEQFGLKDHSDGTMHGDFFTTKVNSIDVEISHMFAEVSFLRKKLVKVCTFDYRIVILPFIKDYLWAKLNNGSPGKELHDMDDIYEEYVVQEKSQGTDSDLDHQISRTEEFENSSLSFSGK >ORUFI11G20070.1 pep chromosome:OR_W1943:11:22627319:22628827:-1 gene:ORUFI11G20070 transcript:ORUFI11G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDKAVSNEKSQVIIEEFEKLKAEEPTAVADWTNTEISFFRESLTIDLPAATDAPNLFNENVPPSVLCNISKDQNSLENYVASIAHVRSWEYSGSTVKKEFGSSLRRIYCTRSDGTFIFYFRYRNYQVGLIIDGQRFWVEGAITCTFDGEDVVTVQILQFARAKDEPDYILSDRKHDLPFTGSYLNARLITDIKMSIWELRRSTRSIALYKPDQSNATKLAANFQVLILYAVEAARFDPVFWFGQASLRSYVPRRTLKMGSWILSLVNKNKRLSGHALIAIKMKKAFKIVRGSFPRNLYQLCSSLRILHREVVKETRFVLWNAPKEREQGVIYQNYSPMHRGDQVYQSEVWLTELWNGNMWVNLSQFGCAPDDAMRQDPWKNQNDNVYEVSSYYHA >ORUFI11G20080.1 pep chromosome:OR_W1943:11:22630587:22632766:-1 gene:ORUFI11G20080 transcript:ORUFI11G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRNASKPSSDRFSARVKAVTGALPAARKGGEVKASTLSQAEDLTADLPFSPLAHHVLGHVRAAVGEDALALVSLRRAIELAPGDLGIAFTLAKRYAAREQFDLAAEECQRALGRGDADLVDPQLHAVFEPRHLEPSKEARISTAKNRLKQLLVDALSKIAIPMARDRWNGMSEETRRNRWNGMSEETRRSFLTVGIDEMVAYYCAKSSDECQMSALTGALDFVKDNREWICWLCPQCEMTFLTAKTFQLHVEDDEFSRSQEFKESLLFVPERISDEQTEFIKCWTLPSDVNPTEEAEGEKILTKIKKAEGEKILTKIKSTFQYLKDQKALSVDLFDNLIKFRKNRIEEAVTQNYSCITSLDPGGLLVGDAEQDSRENFGGGVVQDACVLSIGTDENAKLCNVPPKGVSSSEDDKVAEASIENRDPVQEDINVEIVLQEDDEKGAKDNRE >ORUFI11G20090.1 pep chromosome:OR_W1943:11:22639245:22640415:-1 gene:ORUFI11G20090 transcript:ORUFI11G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKTFSGKQRMMASIAWQRCVVYGLRRLDDVPGNSGKMACDVTGAGDALRAPSSDAPASILLEQPADEFEEDGNVDESERTVGEAATGKATTSNVSVTSHRQVKTSWDRGCGRRGKDFAAEHTCVSMVSMEASIGNQLPANDVIIAL >ORUFI11G20100.1 pep chromosome:OR_W1943:11:22641742:22647618:1 gene:ORUFI11G20100 transcript:ORUFI11G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPATDAAGRSEGGGEEAKEVVITCFAEFASEANLNGDDGGGDAGREYRRGKREDELKRRWISSVLGMAGREVDAGVVDPADGFYYRSVYPSMTRPGISATYKGDGCKEEPYAMLQIFDIKVLPFTLDVTRPVEVYGIIAVRDDVDEYRRNYLFNRSRENPVIITPAYDSLPLMSPTRGMSMWEACLIETDIRIKVQGEDAARDLTMVDGCVDIQEHRCGYDSHFKNRIDGELGAVLTHSMVIQAAVEATIQLEFRRLPAAAAGGGDFRVRMTGYTRARPSDHHHHPDNTYVFAGDGRELHEIVVLAAGELEHRFVAAVRLGDTLELSFMEEGREPLEFLSARHDREVKPYRFQNGALVLVQVSCVAGGGGEEAKAVTTCFAEFAREANLDVDDGGGDDEEEREYQRAKWEDELKRQ >ORUFI11G20110.1 pep chromosome:OR_W1943:11:22647636:22649650:1 gene:ORUFI11G20110 transcript:ORUFI11G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREVDDGVVDPASVYYYKSAEVLYESSRDLRHVRKTMDWHLFYNMHVLSPTPLGPMDHWNNCRNKGDGCKEEPYAMLQIFDVKVLPFTLDVTRPVEVYGIIAVRDDVDEYRRNYIFNRSRDNPAYDSLPLMSPTRGMSMAEACLIETDIRIKVSPGQPLFLLRLPLQDPDRRRARRRPHPLDAHPRRRRGHRTAGFPAAPRGGGDFRVRMTGYTRARPSDHHHHPDNTYVFAGDGRELDEIVVRAAGELEHRFVAAVRLGDTLELSFMEEGREPLAFLSAKHDSEVKPYRFQNEALVLVQVSWSVTDLGP >ORUFI11G20120.1 pep chromosome:OR_W1943:11:22650956:22651339:-1 gene:ORUFI11G20120 transcript:ORUFI11G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDNLDGDDGGSDDGREYRRAKQEDELKRRWISSMLAMADREVDAGVVDPDDDFYYKSLYVLHESSKDLRHVRKKREICIYAIAKTGLAKMPSLKWASINCYCRIEDVRYNVIVDGIAVESFSFSL >ORUFI11G20130.1 pep chromosome:OR_W1943:11:22665223:22665649:1 gene:ORUFI11G20130 transcript:ORUFI11G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATTARVATEVGQGGSAFTRSGEVDPPSLSLGGAHPLSSGSGGMWICGMCGFGILREILKWEHEFG >ORUFI11G20140.1 pep chromosome:OR_W1943:11:22669275:22673159:1 gene:ORUFI11G20140 transcript:ORUFI11G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELAPGAVSALLVVIRNEAVLLRGVRDDVQTIKEEMESMDSFLAHLARSAPPGGEHNEQVRTWMNQVRLLAQDCKNCIDLYLYYSGNKDIYRAKGRLQRGLWWVYWSMRKMVAQHRAAVQLSQLKDRARGVGDRRLRYGVEVPATTKAAAAPDAAGGFAAGDDGGEDCEDQLAVATVIHHSARRAVFEAPTLDDYLKAKLLEWIEEIPGGATETLSMAIVVPNAHNKEVIALAHETLVAPNYYRRSIIVNVPAMHLDSLPLRPKEVLYYILQELMRAKVAGSQKQATDQGQWEEDPDPWKDYVKKCGNHRSKKRMLRKIKRKIEKMKIYEKFEKIKSDIQDGQQKGDKTLLLQLQKKGVHRVDLHVLLQLLLFQSQQDQASAVDMYKLSEWNGSIIMKIARKLKRHMEADEKTKEFNKQIGVVEEITKQGGERKEEEKNEGEEENGEEEGKEKELKGRKDKEKKEKGGREERKEEEHHEKQEKEKEEERKEEGQNEEKEGRKEVGEEEKEDDDDDYDDDDDYDDDDYDDNEEEKKYDDDDDDDDDDDPIHLHTAQYMQILQEVFLKTISCKAQQQERLAAKQATKTATTTLDEERIKQMINEAKQDILRELQGGKPDKNQATGEHTSVSDQNEQAYFEEIEQMIEEFKRKLKDQLKIKGVVDKIKHHLQGECPLIILKVDKMMDGSRWEEIRKALSLLECSADALIFTTESTEQAKGYCYPPREPIDYSLVGLYHYTVLEITSKQINEDNYNPQIFRDILEKCEGHEFCMKIFTHALYANPKRSNKELSKLHSTLQASHKSLDAIAKTMFMYSYNDLPKEYKSCLLYLAIFPKGEKIRRSTLVGRWVAEGLTFREDWPSSVRQANRCFDALICRWLVCPADIGATGKIKSCVVGDPVHGFITTIAKKQHIMHTRLSHHLARHFSIFNDLQVRSSDRIDTFFQNLSRSSQVSLLKVLDLESCQCFGGKNQRYLKDICSKMLLLKYLSLKGTDITQLPSEINYLSELEVLDIRETKVPANATVKVLLLKLKRLLAGHIDPSPSNFGSSVRIPRRIDKMINIEVLSNVKAQRHDDLEDIGKLWQLKKLGVVIDKKSHLVNLLKAISDLHECLLSLSITIPTATPEGSPSILELPDDIGSCLRHHANILESLSISGAMHLFPLLTKGGNNKLAKVTLCSTPLNQDDLEVLAKLPKLQCVRLQHISCTGSVLIFKEDDFKCLKYLLIEGFNLTNVTFEDGSACELEKMVLSSTTIESISGVDGLPKFEELELNNSPVPNEVKEAIENNKRINLKCNEP >ORUFI11G20150.1 pep chromosome:OR_W1943:11:22674077:22677820:-1 gene:ORUFI11G20150 transcript:ORUFI11G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWEMPLPSTAPWRCLRWISTFASRPALRLSYHRTTLMASSIPSPSPPSPSALASVLATSRSSMTTTTGRGKHGRHGEERRLGQRHAVRDAPHDQEHVAIVEQGKPAWGMRVPPELKAVLMDDDYHQAASSPGTSPPTHRTEEAVVGVRRRRRRRRRRRSPGLAAEAEQRALASGRDAAVLEFYSPRLRQCASLQGLVRELEDGAGGWTGFAIADAEDDRWLPECWGRGIAAHWVSAAGSVKPEPLEMGGGRQGGGEAQQRPSLAERMGGTQIKVVMEGKGCNGWVLIDSMVNTWWRTCVSNNPSLQFLDIVDRAKTTIDASKQRGETTRIDQMHGSIRLKTTSVFLESYRWHFNQKVQTVCAWWIMHT >ORUFI11G20160.1 pep chromosome:OR_W1943:11:22678568:22693750:1 gene:ORUFI11G20160 transcript:ORUFI11G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAIKDKAAVMGEKDKGAMADVVGEDEGVVDSKASGDSYHVLDDTCEISGARHQSVVTSGPIVHVPRLSSSAAVASSTVHTTMELVPGGRSSVIHTPRQARRRRPRPPSSTPHVGARRRQSRPLPSRRNSSPASLHIFSGGVLPDGAARRGSRGLLQRAPFSPFFLRCPNAAPQPHACARALGNEEEDDTVAGARNGIPLGLSFPS >ORUFI11G20170.1 pep chromosome:OR_W1943:11:22693768:22695611:1 gene:ORUFI11G20170 transcript:ORUFI11G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELASGAVSSLLAIIRNEAALLGGVRDDVQFIKEEMKSMNSFLAHLAKSAPQGGEHDELRGGKPDKNQATCEPDVPPDKNQATGEHAGVMDHNGEAYFTEIEQKIDEIKQEFKKQLKIKGIMDKIKHHLIEYKIKHDLPNECPLIILKFDDMMDGSRWEEFNLDWTMGCRRQRFDALIHRYLVCPVDIGGTGKVKSCVVNDPVHGFITTIARKQHIVETRLSHHLARHFSIFNDLRLRSSDGIHTFFQSLSRSSRVSLLKVLDLEGSQCFGVKNQRYLKDICSKMLLLKYLSLKKTEITQLPSEINCLRELEVLDIRETKVPANATVNVLLLKLKRLLAGHIDSSPRNSGTSVQIPHRIDKMVNIEVLSNVKAQRRDDLEDTGKLWQLRKLGVVVDDKRGHLGNLLKAISNLHECIRSLTITISTTTHKDTPSNPELPDHIGSDLPHPKKLESLSISGARHLFPLLIKSDNNKLAKVTLSSTPLNQDDLEVLAKLPKLQCVRLQHISCIVSELIFKEENFKCLKYLLIEGFNLTNITFEDGSACELEKMVLSSTSIESVSGVDVLPKFKELELNNSHVPNELKEAVENNKRIILKCNEP >ORUFI11G20180.1 pep chromosome:OR_W1943:11:22693798:22701056:-1 gene:ORUFI11G20180 transcript:ORUFI11G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVGSSCAALRCAVHSSPTPSTNPPPPRRRFHRFSRRRDGRRRDAPLPSSASSGHGLAATSSFPGSSSPSAHWRRAASSPGTSPPPRRTEEAAVGVRRRRRIPGLAAEVEQRALAGGRDAAVLEFYSPWLRLCASLQGLVRELEDGAGGWTGFAIADAEDDRWLAEGCKGWVLIDLMVNTWWRMCVPNNSSLQFFNIVDHAKTAIDARFASPQLVVLSTLRSALRQVRQKAVHALHLLLDELHVVPDATEKSRLVADDRQQ >ORUFI11G20180.2 pep chromosome:OR_W1943:11:22697031:22701056:-1 gene:ORUFI11G20180 transcript:ORUFI11G20180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVGSSCAALRCAVHSSPTPSTNPPPPRRRFHRFSRRRDGRRRDAPLPSSASSGHGLAATSSFPGSSSPSAHWRRAASSPGTSPPPRRTEEAAVGVRRRRRIPGLAAEVEQRALAGGRDAAVLEFYSPWLRLCASLQGLVRELEDGAGGWTGFAIADAEDDRWLAECWGRGVAAHWVSAAGSVKPEPLEMGGGRQGAGEAQRRCSLVGRMGGTQIKWSWKERAVKAGC >ORUFI11G20180.3 pep chromosome:OR_W1943:11:22693798:22697022:-1 gene:ORUFI11G20180 transcript:ORUFI11G20180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTWWRMCVPNNSSLQFFNIVDHAKTAIDARFASPQLVVLSTLRSALRQVRQKAVHALHLLLDELHVVPDATEKSRLVADDRQQ >ORUFI11G20190.1 pep chromosome:OR_W1943:11:22707580:22709003:-1 gene:ORUFI11G20190 transcript:ORUFI11G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQDILMSIFSTLEILDLIRAGSVCNSWRSAYTSICSLGHCKPQQTPCLLYTFESDSTKATGLYSLAEKKAYMLTLLDPALPSRFIIGSSHGWIITADERSELHLVNPITGKQIALPPVTTIEQLSIARSGDDKWTWLPPHKDYEDCIFRDGLLYALTSEGEIYEYDLSGPAITRKIVLNKVWRSYDPLDDEDEDASDDLEADHDDESYVWNTTMIKVHKVDLVARMLVEACDLGENVLILGHNQSLCLRADEYPLLKANHVYLSDDRELYIKGCKNGCRDIGVFNLENNCAEEIVSPQLWSNWPPPVWMTPNARKISLETHSL >ORUFI11G20200.1 pep chromosome:OR_W1943:11:22710360:22712348:1 gene:ORUFI11G20200 transcript:ORUFI11G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEGMAFLGSYPLFPQCRRQAGGGGKRCARRRAVGGGRRCGSGRWPARRWGQRAVVARARGGGGRKGGGPRETARQRPAACRAVGPAGGDGLCARRRRLGGRQAGF >ORUFI11G20210.1 pep chromosome:OR_W1943:11:22714764:22722512:-1 gene:ORUFI11G20210 transcript:ORUFI11G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELAAGAVSSLLVVIRNEAVLLGGVRDDVQFIKEEMESMNSFLGHLARSAPQGGEHDEQVRTWMNQVRLLAQDCNNCIDLYLYSGNPEIHRAKGRLRRHLWWVYWSLRKMVAQHRAAIQLRQLKDRARDVGKRRLRYGVEIPATTKAAAPDATGGYVAEDDEEEDEDDREGQFAVATPTLAHHSARWPVFEPPSLDDYVEAKLLEWIGGVPGNAIVTLSIAIVAPDADNKEVLAIAHETLVAPNYYYRRSIMVNVPAVHLDVLPLRPKEVLYYILRELEREEAAGSQKQPTDQGEWEEEDPDPWQDYYKKCGIYRSKKSVLGKIKRNIKKMNIYEKLDKIKSDIREGQHKSNKLLLLQLQKKGADQVDLHVLLQLLVLQSQQDQAKNKAVDTHKLPEWNDNLIEKLAMRLKDHMEADEKTKKLNEQTGVEEETAVRQGGGGEREEDEKDERGDGEEEGKEERRDMEKGGEERKEQQQEEQEKEGRKEEQNETAMMMMMMRRRRRRRTTMMKKNQFIFMKINMNKSYEKCSQRTPAARPRSKINCNDDDDDNEEEEEEDDDDDEEEPIHLHEDQYKQILREVFTKNASSKAQEQDKLNASSKAQEQDKLVAEQATKTAATTLDEERIKQMINEAKQDVLRELRGRETDKNQATGEPDVPPDKNQATGQHAVVLDQNEEAYFEEVEQKIEEIKQELKEQLKIKWIDQCPLFILKFDQMMDESRWEEIRKALSLLKLSADALIFTTGSTEQAKGYSYPPREPIDHCSLVGLYYYTVLELTSKHKNEDNDNAQIFRGILEECEGHEFCMKIFTHADQCPLIILKFDQMMDGSRWEEIRKALSLLELSADALIFTTGSTEQAKGYCYPPREPIDHCSLVGLYYYTVLKLTSKHKNEDNDNAQIFRGILEECEGHEFCMKIFTHAVYANPKRSNEESNEELRKLHSTLQSPKKSFDTIAKKMFMYSYNDLPKEYKSCLLYLAIFPKGQKIRRSTLIARWVAEGLTFKEDWPSSVYQANRCFDALIRRWLVYPDDISATGKIKSCVVGDPVHGFITAIARKQHIVETRLSHHLARHFSIFNDLRLRSSDRIGTFFQGLSRSSRVSLLKVLDLEGCQCFASKNQRYLKDICNKMLLLKYLSLKGTDITQLPKEINCLRELEVLDIRETKVPANATVHVLLLKLKRLLAGASQIDPTPRNFVTNVRIPSRIDKMINIEAQQHDNLEDIGKLCQLRKLGVVIDGKKSHLGSLLKAISDLHASLRSLSITIPTTTLEVTPSSPELQDIASRLKDHPEFLESLSISGAKHLFPLLTKGGNKKLAKVTLSNTPLNQDDLKFFAQLPMLQCVRLRHISCTESVLNFKKDDFKCLKYLLIEGSNLTNITFEDEAACELEKMVLSSTRIESISGVHGLPKFEELELNSSSCGRLLSSCFYNVERIAKLTLRGTLLKQGDLRIIARELNICCLVLLEKSFDISQNQITFEKEEFIWLKLLSVDCSTITKINFITGSAPRLKKIVWSSFTSLSGINNLPRLKELEFNGYSVPNDVEEAIKNKKSINLKHNKP >ORUFI11G20220.1 pep chromosome:OR_W1943:11:22745770:22749642:1 gene:ORUFI11G20220 transcript:ORUFI11G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELASGAVSSLLVVIRNEAALLGGVRDDVQFIKEEMESMHSFLGHLARSAPQGGEHDEQVCTWMKQVRLLAQDCNNCIDLYLYSGNPEIHRAKGRLRRHLWWAYWYLRKMVARHRAAIQLCQLKDRARDVGERRMRYGVEVPATTKAAAPDAEGGYAAGDDEDYEDHSARRAVYEPPALEDYVNAKLLEWAEEIPPDAIETLSIAIVAPDTDNKEVLDLARDTLVVPGNYYHRSITVNVPAVHADFLPLRPKEILYYILRELEPGSQKHVTYQGDPWQDYYNIYCGKKRVLHKIKRNIEKMNVYKKLEKIKSDIKDGQHKSDKQLLLQLQKKGVDQVDLHVLVQLLLLQSQQDQANNKAVDMYKLPEWNYDNIMKIARKLKKHMEADEELNEQISVEKRTTKQGGGGENKEEKDKGDGDREEGKEENEKDKGEADGEGEQEEEMKDKEKGGEERKEEPHHEKQEHKKEKEVKEEERKEEQNKEKEEREGTEEKQVGGGEKKEEKEDGDDDGVIDDNYDEEEEEEEEDDDPIHLHEAQYVQILLEVFPKITSSKAQQQDKSEAKQATNTATTTLDEVRIKQMINDAKRDILRELRGGKSDKNEATGEPDVPPDKNQPTGEHAGVPDQNGEACFEELELKIEEIKQELKEQLKIKGIVDKIKHHLQGECPLIILKVDDMMDGSRWEEFRRALSLLECSADALIFTTESTEQAKGYCYPPREPIDHCSHVGLYHHTVLELTSKQKNKSNYDPRIFLDILKECEGHEFCMRIFTHAVYANPKKSTEELSKLHSTLQDSQKSFDAIAKNMLMYSYNDLPKEYKSCLLYLAIFPKGQKIRRSTLIGRWVTEGLTFKEDWPSSVRQANQCFDALIRRWLVYPADIGATGKIKSCEVGDLVHGFITTIARKQHIVETRLSHHLARHFSIFNDLRLRSSDRIQTFFQSLSQSSRVSLLKVLDLEGCQCFGVKNQRYLKDICNKMLLLKYLSLKGTNITQLPREINCLRELEVLDIRETMVPANATVNVLLLKLKRLLAGHIDPSLRNFRTSVHIPHRIDKMVNIEVLSNVKAQRSDDLEDIGKLWQLRKLGVVINAKKSHLEKLLKAISDLHECIRSLTITIPTTTLEGTPSNPELPDHIDSNLPHPKKLESLSISGARYLFPLLIKSDNNKLAKVTLSSTQLNQDDLEVLAKLPKLQCVRLRHISCTESMLIFKKDDFKCLKYLLIEGFNLTNITFENRSACELEKMVLSSTSIESISGVDRLPKFKELELNNSPVPNEVKEAIENNKRINLKCNEP >ORUFI11G20230.1 pep chromosome:OR_W1943:11:22752963:22753523:-1 gene:ORUFI11G20230 transcript:ORUFI11G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKTKPLSLRLLLCFKLPWPCGDLELPWLFKSISTLAQGRLVAGDLPSPSSDGGGGGGGEEEEPRIGGGGGAAGAGEREGRGRAGVLLPAAPPVRVAAGARARARGRRRRVDRFAIADAEDDRWLSEIFVMTDEDLGVSLELEGCPRNDRFSQLGFLILILSLR >ORUFI11G20240.1 pep chromosome:OR_W1943:11:22755082:22756046:1 gene:ORUFI11G20240 transcript:ORUFI11G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDDSARTRDHRDGARGVTGRKSRTPANQSHASLRNLHPLIAALPSRPPRVRLDRRSVVAWIKRLLRVNKTGHSGTLDPKVTGNLIVCVDLATRLVKSQQGAGKEYGCVARFHAAVPDTARDARSRRSQAPCSSAPRSSPPVRTIYESKLLEHDAERHLAVFWISCEAGTYVRTLCVHLGLLLGVDAHMQELRRVRSRIHGEQDNMVTIHDVMDARQEFTSEIPDALNKLNTYFALNPRQTIGP >ORUFI11G20250.1 pep chromosome:OR_W1943:11:22759584:22768494:1 gene:ORUFI11G20250 transcript:ORUFI11G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDSATPGFRARVKDLTGPLPAEREGVDVKEERLHEAVELAVDFHSALAYHVVGYVRAALGEDELALDSLRLARDLAPSDLGIAFTLAKRYAAREQFDLAVEACQRALGRGDADLVDPQLNAVFESRHLEPSKEARISTAKNGLKQLLISALLNCVMFHLKGSQVLRVIKFLRQVLKTEIQFKKILMQVSISSVLNQSYKKMMEKLPRTIYRVEIQLCPTVMVSKSFQAIVLQSGKKLELPPRANTSTLGSSETPMEKENKTSSPSDYSGSNEGSANISSNGVTGTAYPNSENELKSLFSALLSLWHLRPFTDKFMKKAPLYPHFGVSGKDRNCMLCYLFHSFNAFSDKSDSTATYRLSCLRPSFIKILEEANVSLKEETNLAVKYIEIVLNMVHTSETAKCISNNSKNILYKTTLFSSCPDHRCLSHELFGMHKNATESTYFLNVGASELRNIEMNTFADVIKSVDKKFHCNTESNAHNHPPCYFTTAFGYPSENDSHLVSGLLVSIAAPLDINPVYEGLHSECKYTMVSAVFRAEGRDICLAREEEKWLEFDSWEKVLEEYSRSSFCPQIIFFERIDPVSEAAGTEYLKDKKALSVDLFDNLIKFTKNRIEEAVTQNYSCITSLDPGGLLVGDAEQDSRDNFGGGVVQDACVLSIGTDEN >ORUFI11G20260.1 pep chromosome:OR_W1943:11:22760220:22760631:-1 gene:ORUFI11G20260 transcript:ORUFI11G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQACLDKGTHALGKLNGRAAALIEKVNLKRGLTATQTYEAYFGEKAKIDIEIMQLVAEVDNLKKNLLEVCTYDYREIILPAMKDFL >ORUFI11G20270.1 pep chromosome:OR_W1943:11:22770911:22778315:1 gene:ORUFI11G20270 transcript:ORUFI11G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGKDVRKVARAVLMRDYDGDHGGALERAGKLARDHPGSAIALRLVGDLNHAAAIRVRKVIEIGGSLGRAAERDASAHLAAARDALSEARRLVPDCVGIATALGDVLVGSSMAEKAEQAYTSALAIPLPVDPALHNAAYCLHGRDRTTVNARVKDAREKANLAYGRFKKKVVDEWVAEMLQFLRGDLLRKNPRASAKEILKAQRDAMVEARKKAKSMVDAMPNSARAQCFHGLMDLNFVYLLDEAIDKRSALRRSTLAILDRAAERFPKSLVIASFRAKLLYILGDYDAAERDCRRGYTMKNPDDPADDCIPPGSIGGENKGDRLITHVSEFHELINKIVRTANLYWNSMTQEQRGEFLSVRFDELQEDYNKVDRSSFTMSDVLRFGEKHNSYRFWVCPLCGSGSSSKKHTDTVSLLSHMCSKHQRAVLPRLRSVLDQELDRSAFEDDEYSFNKVIFSQDSDQRNIVCFEERDQMFKWLFDKPSSGVRTLALTELIETKRRTGALLLDNIKEKLKTLATDKSSTEFAEALPGIQESWIKFVKETAVDYRALILAIGRSLLWRKLKKCMSEDPEVGARRISAADIDEVFVIVAYNSGSSAVEDKTEAHMSSHSDAAQKMNGNHQESDFHAENRSSGTIVDMKPQDPPTNMEGNGNKLDEQMEKLDIDPNSVRSSAIPQSSTPNGNGSPHILGSSSQFSDESAQISIYQKSVDVLNQNSEDIFFLHLIIQTMWNLRPFRDDFLKRPPASFQLRHNGSCFSDIFYDIFSAWEKNDHHETYYSLTSLKNNLCQTVNVRNIFEKLRAGKYFASVILDLVLDELHVSEAPLHFYFNNKIQGQVVSPITCEGCICRAHVLFGMRFLVRTSCRCGACFDEGKYSTLIHKLDAGLPQTPKIKSFADLPVAIDERFWYLQDECQSCGNLKSVGHFLLNTPHFFTVVLKCTDSSKSHVSLSELLVGCTSPPDITLATKYTLASMICCSDGQYVCISRDQNKWLIYDTKTIEAEDSWERLVQRFTDSELIPEVIIFEVIK >ORUFI11G20270.2 pep chromosome:OR_W1943:11:22770911:22778315:1 gene:ORUFI11G20270 transcript:ORUFI11G20270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGKDVRKVARAVLMRDYDGDHGGALERAGKLARDHPGSAIALRLVGDLNHAAAIRVRKVIEIGGSLGRAAERDASAHLAAARDALSEARRLVPDCVGIATALGDVLVGSSMAEKAEQAYTSALAIPLPVDPALHNAAYCLHGRDRTTVNARVKDAREKANLAYGRFKKKVVDEWVAEMLQFLRGDLLRKNPRASAKEILKAQRDAMVEARKKAKSMVDAMPNSARAQCFHGLMDLNFVYLLDEAIDKRSALRRSTLAILDRAAERFPKSLVIASFRAKLLYILGDYDAAERDCRRGYTMKNPDDPADDCIPPGSIGGENKGDRLITHVSEFHELINKIVRTANLYWNSMTQEQRGEFLSVRFDELQEDYNKVDRSSFTMSDVLRFGEKHNSYRFWVCPLCGSGSSSKKHTDTVSLLSHMCSKHQRAVLPRLRSVLDQELDRSAFEDDEYSFNKVIFSQDSDQRNIVCFEERDQMFKWLFDKPSSGVRTLALTELIETKRRTGALLLDNIKEKLKTLATDKSSTEFAEALPGIQESWIKFVKETAVDYRALILAIGRSLLWRKLKKCMSEDPEVGARRISAADIDEVFVIVAYNSGSSAVEDKTEAHMSSHSDAAQKMNGNHQESDFHAENRSSGTIVDMKPQDPPTNMEGNGNKLDEQMEKLDIDPNSVRSSAIPQSSTPNGNGSPHILGSSSQFSDESAQISIYQKSVDVLNQNSEDIFFLHLIIQTMWNLRPFRDDFLKRPPASFQLRHNGSCFSDIFYDIFSAWEKNDHHETYYSLTSLKNNLCQTVNVRNIFEKLRAGKYFASVILDLVLDELHVSEAPLHFYFNNKIQGQVVSPITCEGCICRAHVLFGMRFLVRTSCRCGACFDEGKYSTLIHKLDAGLPQTPKIKSFADLPVAIDERFWYLQDECQSCGNLKSVGHFLLNTPHFFTICCSDGQYVCISRDQNKWLIYDTKTIEAEDSWERLVQRFTDSELIPEVIIFEVIK >ORUFI11G20280.1 pep chromosome:OR_W1943:11:22783936:22802984:1 gene:ORUFI11G20280 transcript:ORUFI11G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDAADSAALREEAAGILRLHHEGGFAAAMARAVELGLKHGGSALVLNLVGTLHQVNYTACRVMSCCSGGGAWSGKEGSAEDEEEHKRAALSAFAAAAWLAPNCVDIAVSHAEMLSEVERYEEAYVELLRALGISDPADPAAHDVVYDVCDGETTLAERLGKAKVRTHRAIERLAELICARFIPAESLRVLDGIKLGGDAAARARARAKHLATTYPFAPRAHLLRAHVDLERVRGLDPAIDKRRFLRRTLDMVQDTAYEFQRSLVIALFRAKLMFVLDQYDDAECECHRALAIESPFDPVVDDLPPGSVSGADYDARVCFVRNQLRTLIKKIIFSAAIYWRTLTSEDEDSLISVRVKPLIQLCNRTDMSSAKTITDAVRFFKGNNSWSFLICPLSSRCDGRKFVDTSSLWGHLCNKHPEGHWRKLQSVLGSKLSENTSVGDCSLEWITFGQDSEKHDIFRLIKINDMFDSLIRLTAGGTEPDLVEMRTEKCREGAEILEGIKKRLGTLPTDTSSSQGKLKKRMAGDPNIVGHISASKIDPIFDDAPSARCRNVSVGHDSNPSDANKMGTASQQNLKTSFSNETLKSGKDHQESEVCVENGSSGAKVDTPMDVEGIEMEIAEILANMEQNLQLEETDSKSTEEMSSTTGNENVDVNKEITDKDLFILHPIIQSLWNLRYLRDEFLMGKPAWILNISGNCCIADLIYGIFSAWEKNEHDRVAVLLASVKSSLCKIANDNMFQKLQSGKRIASEVVATVFQGLHISEASLHFCFNSEIEGRVINSFADLPVLYDQQLCFEDNCEYCGSSKKVDVSPFNTPHFFTIGLDWFGGSEDQGRLSELLVGIAHPLDIKLLCKGVRFSANYSLASMISYADGRYVCFARNQDKWLICDAENVEAADSWELLLERFSVCRLQPEVLFFERLAPGPRGSAVAAHLAAVLHHDATNRAVDGASGQQPSAAGKHLHPARDFYIRAARLAPNCVEIATSLATVRFACLDDDDADLDIRRAVTIDYPTDPADNNVAYDLDDDDGATPKDRIANARAAAIERYNLIMAFVIAKVIPRAVRGVLDVAEREGAAKAVKPAKALAARYPYSARALFAHAHVDVEFARGLAPGIDKRPFLDRLLGELNGEALRFDTSLVLAAFRAKLVFLLGSYVSAEGECSRGLHMVGAADPADEDVPPGSVPGENSEDRQSAVRVELGRLFQKIVLATKDYWSSLPREKQDRFRFAGFNSMHQHYAKNYDDTHEGAKTISDALSFVRKNRSWRFWICPYCVGKKIPDTDSLLQHMRNKHPEGGVWLKLLSILDPKSVDSSEGDYFLDDVAVCQDSEENYVLRFERMDHIFKYLFLRATGTVEHKQFSELRETKCKEGIEILEMMKPKLKNVPTDISSSEFNEACAEIQDMWNDFLEISVLDYRVVITPLAICFISEQLLLSMSNDEKAASKSIDAADIDALFPNVDDTPDIDAIFPKVGDAPSAADTSKTGEDMASTISDESIYVLEKDNTDKDLIILHVIIQSLWHLRFFRIDFLRERSVWILCINEDHCIADQLYEIFSAWEKNENDRVAVFLTSMKASLCKIANDNMFQKAGKLIASEAVAMILQGLHMSGTSFHFEFNNDIEGRLIKSFAELPVLYDEQSCFEANCEHCGSPKNTDVFPSNTPHFFTIGLDWSGGCENQVELSEVLVGIAHPLDIKLLCKGVHSSANYSLTSMISYADGRYICFARDQDNCLNASETARSNLKFYSSRSSKTYFFMVLYAPLASCPENATMQNVVATALDDQG >ORUFI11G20280.2 pep chromosome:OR_W1943:11:22783936:22802984:1 gene:ORUFI11G20280 transcript:ORUFI11G20280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDAADSAALREEAAGILRLHHEGGFAAAMARAVELGLKHGGSALVLNLVGTLHQVNYTACRVMSCCSGGGAWSGKEGSAEDEEEHKRAALSAFAAAAWLAPNCVDIAVSHAEMLSEVERYEEAYVELLRALGISDPADPAAHDVVYDVCDGETTLAERLGKAKVRTHRAIERLAELICARFIPAESLRVLDGIKLGGDAAARARARAKHLATTYPFAPRAHLLRAHVDLERVRGLDPAIDKRRFLRRTLDMVQDTAYEFQRSLVIALFRAKLMFVLDQYDDAECECHRALAIESPFDPVVDDLPPGSVSGADYDARVCFVRNQLRTLIKKIIFSAAIYWRTLTSEDEDSLISVRVKPLIQLCNRTDMSSAKTITDAVRFFKGNNSWSFLICPLSSRCDGRKFVDTSSLWGHLCNKHPEGHWRKLQSVLGSKLSENTSVGDCSLEWITFGQDSEKHDIFRLIKINDMFDSLIRLTAGGTEPDLVEMRTEKCREGAEILEGIKKRLGTLPTDTSSSQGKLKKRMAGDPNIVGHISASKIDPIFDDAPSARCRNVSVGHDSNPSDANKMGTASQQNLKTSFSNETLKSGKDHQESEVCVENGSSGAKVDTPMDVEGIEMEIAEILANMEQNLQLEETDSKSTEEMSSTTGNENVDVNKEITDKDLFILHPIIQSLWNLRYLRDEFLMGKPAWILNISGNCCIADLIYGIFSAWEKNEHDRVAVLLASVKSSLCKIANDNMFQKLQSGKRIASEVVATVFQGLHISEASLHFCFNSEIEGRVINSFADLPVLYDQQLCFEDNCEYCGSSKKVDVSPFNTPHFFTIGLDWFGGSEDQGRLSELLVGIAHPLDIKLLCKGVRFSANYSLASMISYADGRYVCFARNQDKWLICDAENVEAADSWELLLERFSVCRLQPEVLFFEVIKLAPGPRGSAVAAHLAAVLHHDATNRAVDGASGQQPSAAGKHLHPARDFYIRAARLAPNCVEIATSLATVRFACLDDDDADLDIRRAVTIDYPTDPADNNVAYDLDDDDGATPKDRIANARAAAIERYNLIMAFVIAKVIPRAVRGVLDVAEREGAAKAVKPAKALAARYPYSARALFAHAHVDVEFARGLAPGIDKRPFLDRLLGELNGEALRFDTSLVLAAFRAKLVFLLGSYVSAEGECSRGLHMVGAADPADEDVPPGSVPGENSEDRQSAVRVELGRLFQKIVLATKDYWSSLPREKQDRFRFAGFNSMHQHYAKNYDDTHEGAKTISDALSFVRKNRSWRFWICPYCVGKKIPDTDSLLQHMRNKHPEGGVWLKLLSILDPKSVDSSEGDYFLDDVAVCQDSEENYVLRFERMDHIFKYLFLRATGTVEHKQFSELRETKCKEGIEILEMMKPKLKNVPTDISSSEFNEACAEIQDMWNDFLEISVLDYRVVITPLAICFISEQLLLSMSNDEKAASKSIDAADIDALFPNVDDTPDIDAIFPKVGDAPSAADTSKTGEDMASTISDESIYVLEKDNTDKDLIILHVIIQSLWHLRFFRIDFLRERSVWILCINEDHCIADQLYEIFSAWEKNENDRVAVFLTSMKASLCKIANDNMFQKAGKLIASEAVAMILQGLHMSGTSFHFEFNNDIEGRLVSPVSCRDCICRTHNLFGVQLQMSCRCGNSFDEKEHTTVFYKLHAGSPQTTKIKSFAELPVLYDEQSCFEANCEHCGSPKNTDVFPSNTPHFFTIGLDWSGGCENQVELSEVLVGIAHPLDIKLLCKGVHSSANYSLTSMISYADGRYICFARDQDNCLNASETARSNLKFYSSRSSKTYFFMVLYAPLASCPENATMQNVVATALDDQG >ORUFI11G20280.3 pep chromosome:OR_W1943:11:22783936:22802984:1 gene:ORUFI11G20280 transcript:ORUFI11G20280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDAADSAALREEAAGILRLHHEGGFAAAMARAVELGLKHGGSALVLNLVGTLHQVNYTACRVMSCCSGGGAWSGKEGSAEDEEEHKRAALSAFAAAAWLAPNCVDIAVSHAEMLSEVERYEEAYVELLRALGISDPADPAAHDVVYDVCDGETTLAERLGKAKVRTHRAIERLAELICARFIPAESLRVLDGIKLGGDAAARARARAKHLATTYPFAPRAHLLRAHVDLERVRGLDPAIDKRRFLRRTLDMVQDTAYEFQRSLVIALFRAKLMFVLDQYDDAECECHRALAIESPFDPVVDDLPPGSVSGADYDARVCFVRNQLRTLIKKIIFSAAIYWRTLTSEDEDSLISVRVKPLIQLCNRTDMSSAKTITDAVRFFKGNNSWSFLICPLSSRCDGRKFVDTSSLWGHLCNKHPEGHWRKLQSVLGSKLSENTSVGDCSLEWITFGQDSEKHDIFRLIKINDMFDSLIRLTAGGTEPDLVEMRTEKCREGAEILEGIKKRLGTLPTDTSSSQGKLKKRMAGDPNIVGHISASKIDPIFDDAPSARCRNVSVGHDSNPSDANKMGTASQQNLKTSFSNETLKSGKDHQESEVCVENGSSGAKVDTPMDVEGIEMEIAEILANMEQNLQLEETDSKSTEEMSSTTGNENVDVNKEITDKDLFILHPIIQSLWNLRYLRDEFLMGKPAWILNISGNCCIADLIYGIFSAWEKNEHDRVAVLLASVKSSLCKIANDNMFQKLQSGKRIASEVVATVFQGLHISEASLHFCFNSEIEGRVVSPISCRDCICRTHNLFGIMFHVRMSCRCGKSFSEKEHTTIVYRLDAGSPQTTKINSFADLPVLYDQQLCFEDNCEYCGSSKKVDVSPFNTPHFFTIGLDWFGGSEDQGRLSELLVGIAHPLDIKLLCKGVRFSANYSLASMISYADGRYVCFARNQDKWLICDAENVEAADSWELLLERFSVCRLQPEVLFFEVIKLAPGPRGSAVAAHLAAVLHHDATNRAVDGASGQQPSAAGKHLHPARDFYIRAARLAPNCVEIATSLATVRFACLDDDDADLDIRRAVTIDYPTDPADNNVAYDLDDDDGATPKDRIANARAAAIERYNLIMAFVIAKVIPRAVRGVLDVAEREGAAKAVKPAKALAARYPYSARALFAHAHVDVEFARGLAPGIDKRPFLDRLLGELNGEALRFDTSLVLAAFRAKLVFLLGSYVSAEGECSRGLHMVGAADPADEDVPPGSVPGENSEDRQSAVRVELGRLFQKIVLATKDYWSSLPREKQDRFRFAGFNSMHQHYAKNYDDTHEGAKTISDALSFVRKNRSWRFWICPYCVGKKIPDTDSLLQHMRNKHPEGGVWLKLLSILDPKSVDSSEGDYFLDDVAVCQDSEENYVLRFERMDHIFKYLFLRATGTVEHKQFSELRETKCKEGIEILEMMKPKLKNVPTDISSSEFNEACAEIQDMWNDFLEISVLDYRVVITPLAICFISEQLLLSMSNDEKAASKSIDAADIDALFPNVDDTPDIDAIFPKVGDAPSAADTSKTGEDMASTISDESIYVLEKDNTDKDLIILHVIIQSLWHLRFFRIDFLRERSVWILCINEDHCIADQLYEIFSAWEKNENDRVAVFLTSMKASLCKIANDNMFQKAGKLIASEAVAMILQGLHMSGTSFHFEFNNDIEGRLIKSFAELPVLYDEQSCFEANCEHCGSPKNTDVFPSNTPHFFTIGLDWSGGCENQVELSEVLVGIAHPLDIKLLCKGVHSSANYSLTSMISYADGRYICFARDQDNCLNASETARSNLKFYSSRSSKTYFFMVLYAPLASCPENATMQNVVATALDDQG >ORUFI11G20280.4 pep chromosome:OR_W1943:11:22783936:22802984:1 gene:ORUFI11G20280 transcript:ORUFI11G20280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDAADSAALREEAAGILRLHHEGGFAAAMARAVELGLKHGGSALVLNLVGTLHQVNYTACRVMSCCSGGGAWSGKEGSAEDEEEHKRAALSAFAAAAWLAPNCVDIAVSHAEMLSEVERYEEAYVELLRALGISDPADPAAHDVVYDVCDGETTLAERLGKAKVRTHRAIERLAELICARFIPAESLRVLDGIKLGGDAAARARARAKHLATTYPFAPRAHLLRAHVDLERVRGLDPAIDKRRFLRRTLDMVQDTAYEFQRSLVIALFRAKLMFVLDQYDDAECECHRALAIESPFDPVVDDLPPGSVSGADYDARVCFVRNQLRTLIKKIIFSAAIYWRTLTSEDEDSLISVRVKPLIQLCNRTDMSSAKTITDAVRFFKGNNSWSFLICPLSSRCDGRKFVDTSSLWGHLCNKHPEGHWRKLQSVLGSKLSENTSVGDCSLEWITFGQDSEKHDIFRLIKINDMFDSLIRLTAGGTEPDLVEMRTEKCREGAEILEGIKKRLGTLPTDTSSSQGKLKKRMAGDPNIVGHISASKIDPIFDDAPSARCRNVSVGHDSNPSDANKMGTASQQNLKTSFSNETLKSGKDHQESEVCVENGSSGAKVDTPMDVEGIEMEIAEILANMEQNLQLEETDSKSTEEMSSTTGNENVDVNKEITDKDLFILHPIIQSLWHLRFFRIDFLRERSVWILCINEDHCIADQLYEIFSAWEKNENDRVAVFLTSMKASLCKIANDNMFQKAGKLIASEAVAMILQGLHMSGTSFHFEFNNDIEGRLVSPVSCRDCICRTHNLFGVQLQMSCRCGNSFDEKEHTTVFYKLHAGSPQTTKIKSFAELPVLYDEQSCFEANCEHCGSPKNTDVFPSNTPHFFTIGLDWSGGCENQVELSEVLVGIAHPLDIKLLCKGVHSSANYSLTSMISYADGRYICFARDQDNCLNASETARSNLKFYSSRSSKTYFFMVLYAPLASCPENATMQNVVATALDDQG >ORUFI11G20290.1 pep chromosome:OR_W1943:11:22809610:22811082:-1 gene:ORUFI11G20290 transcript:ORUFI11G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGKCNGSSTTKCNGAAAAAMHVAMLVTPGMGHLIPLAELAKRLAARHGVTSTLLTFASTASATQREFLASLPPAIESVSLPPVDLSDLPADAAIETLMSEECVRLVPALTAILSGIRERRRLVAFVADLFGADSFDAARDAGVPRRYLFFPTNLHALTLLLHLPDLDVSIPGEFRDLDEPVRLPGCVPIPGKDILMPLQDKSRACYGWMVHHGTRYRDADAILVNSFDAVEPDAARVLRHPKPGVPPVFPIGPLIQTHCAGDDDAAAPPSPRAACLDWLDRQPDRSVIFVSFGSGGALPTEHMRELALGLELSGQRFLWVVRSPSDEGEVSANYYDAETKKNPFGYLPEGFVERTKEVGLLVPSWAPQTKVLAHRATGGFLTHCGWNSVLESLVHGVPMVAWPLFAEQRQNAVMLTEGAGAAIRVPESKGKEKIAAVVREMMVGEGRGAAVRAKVAELQKMATDGLRDGGAATSALDEVVDKWTGGEK >ORUFI11G20300.1 pep chromosome:OR_W1943:11:22831963:22832477:1 gene:ORUFI11G20300 transcript:ORUFI11G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSANLPKPPKPPKTSPKSFWAKPLGKTVGRRVRGPPPSIGRSLAIKIDGFATKLGDRSKAYQRRRPSSEA >ORUFI11G20310.1 pep chromosome:OR_W1943:11:22833819:22838986:1 gene:ORUFI11G20310 transcript:ORUFI11G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSRTSWADVADADPAPAPAPAANGPARPDRSSYVPPHLRNRGASSGGGAAAPPPSSSSSSAPPPRAAPGLLAPRPAAAGMGRMGGGGGGGGFGGPRRWDREPNPFGNDGDAAAGAGDEPEVFDAHQNTGINFDAYEDIPVETSGREVPPPVGTFAEIDLGQALNDNIRRCKYVRPTPVQRYAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGPPAQRPQRGGMRTACPLALILSPTRELSMQIHEEARKFSYQTGVRVVVAYGGAPINQQLRDLERGVDILVATPGRLVDLLERARVSLQSIRYLALDEADRMLDMGFEPQVRRIVEQMDMPPPGARQTMLFSATFPKEIQRMASDFLENYIFLAVGRVGSSTDLIVQRVEFVQEADKRSHLMDLLHAQRDSATPGKPTLTLVFVETKRGADSLEHWLCMNGFPATSIHGDRNQQEREYALRSFKSGHTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNSSMARSLAELMQESNQEVPAWLSRYAARPSYGGGGGRNRRSGGGSRFGGRDFRRDSSSGRGGGDYYGGGSSGGAGGYGGSSAYGGGGYGGGAGAPSAWD >ORUFI11G20320.1 pep chromosome:OR_W1943:11:22842000:22842497:1 gene:ORUFI11G20320 transcript:ORUFI11G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPVAAAAAAAAAAAAEVIAALTAVAGPCRNHNPPPPSRSSSPHKSGNHEAGSVPIAAAASRSRQWRRGELEIERRHMELDVAATWSGEDLIAAAGREPVMALSRPDPPPAAQQRSRRRRGSLPHSSGSGSDLPCHGGCRSGFPRHHDAGSGLPRRRGGWIRP >ORUFI11G20330.1 pep chromosome:OR_W1943:11:22848547:22849569:1 gene:ORUFI11G20330 transcript:ORUFI11G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAQEPNQEFLDACMPSPSSAREAARRRVPPLSDDDIVSSRASYIGWFHCEACDEPRLLYDRRRVSGGCAHELCVACVVGHVEARVAAGEVPVRCPFQFPAGSSHCDAVVHPEDCKDLLYIGDFDAWCVALCELAVGGPGAFARCPNPDCGERLDTGAGGERAVSGATCLRCSRAFCLRCEQPWDERHRDGEG >ORUFI11G20340.1 pep chromosome:OR_W1943:11:22870763:22910870:1 gene:ORUFI11G20340 transcript:ORUFI11G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTCNHQSERQGLSDAGIGKSMAIQPRINLETHPVLLLAVQFSQDRRLARNWGRTAEAFATPTVATCFHIQCINWRPSGSYPVDPELNRTEGSKNARCIVQAQAVVPASPRAAHRRHRVPVRRSQPPSVAAPCPLLHHPLSLPRPSSRLSTPLVPTVLQVTGLVLAIGGKPPPSSATAAVLAGRPCTEVVAAHLHCWGRGLSANRVGQRQARWR >ORUFI11G20350.1 pep chromosome:OR_W1943:11:22917523:22921169:-1 gene:ORUFI11G20350 transcript:ORUFI11G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGTKERERMQLLSRVGKVTQNRRGDGADEMGPPGGMPVACMHAGELTPGITAHRGNRSDWKALGTAAWTSSVHQGILGFFCPPNFQLKGEEPTRKSLDDSFRSRPANLHASLILYQNAYVLTIQSSYAFDVFLRTVMNMNMHVKCKACPNVVTS >ORUFI11G20360.1 pep chromosome:OR_W1943:11:22940330:22940905:-1 gene:ORUFI11G20360 transcript:ORUFI11G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPTMYFLPELESQEPVCLLILFTMFTWFISKVQMILPSSCQHCNCVVSKVTSTPVLADRKISKNLSKHRDDGIEMTHEDVESVMTKMGLDFDHGRTMVYKAIGSNCMSELFDDDEPSLDEVKQAFLVFDEDNDGYIDALDLYRVLRNLGLREGVGVDECEQMIAKYDMNRDRRIDMVEFIRVLEASFC >ORUFI11G20370.1 pep chromosome:OR_W1943:11:22942928:22944615:1 gene:ORUFI11G20370 transcript:ORUFI11G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMFSSSTSSYFSEHVLFSCNCKTVCSISTMIHKAAAVIAGEPEAGEVNGRMELELTDYPGSGANDRHSPWGQERRN >ORUFI11G20380.1 pep chromosome:OR_W1943:11:22945863:22952717:1 gene:ORUFI11G20380 transcript:ORUFI11G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVVNVEGLGNDGGAVEKPSEAVNSSVVAAASLSTTVDTVEEGGGEEEPLIQAAECRICQEEDSVKNLEKPCACSGSLKYAHRACVQRWCNEKGDITCEICHEQYKHGYTAPPRVEPDDTIIDIGGDWARDPRIIAVAAAQRRLLETEYDEYAGTDASGAAFCRSAALILMALLLLRHALSISDNEGDDDASTMFSLFLLRAAGFLLPCYIMAWIFSILHRRRQRQEAAAIAAAEVAFILQSAQGHALQFTIAPDSPATPQHEPQQQQPQPQPQQ >ORUFI11G20380.2 pep chromosome:OR_W1943:11:22945909:22952717:1 gene:ORUFI11G20380 transcript:ORUFI11G20380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVVNVEGLGNDGGAVEKPSEAVNSSVVAAASLSTTVDTVEEGGGEEEPLIQAAECRICQEEDSVKNLEKPCACSGSLKYAHRACVQRWCNEKGDITCEICHEQYKHGYTAPPRVEPDDTIIDIGGDWARDPRIIAVAAAQRRLLETEYDEYAGTDASGAAFCRSAALILMALLLLRHALSISDNEGDDDASTMFSLFLLRAAGFLLPCYIMAWIFSILHRRRQRQEAAAIAAAEVAFILQSAQGHALQFTIAPDSPATPQHEPQQQQPQPQPQQ >ORUFI11G20380.3 pep chromosome:OR_W1943:11:22946606:22952717:1 gene:ORUFI11G20380 transcript:ORUFI11G20380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVVNVEGLGNDGGAVEKPSEAVNSSVVAAASLSTTVDTVEEGGGEEEPLIQAAECRICQEEDSVKNLEKPCACSGSLKYAHRACVQRWCNEKGDITCEICHEQYKHGYTAPPRVEPDDTIIDIGGDWARDPRIIAVAAAQRRLLETEYDEYAGTDASGAAFCRSAALILMALLLLRHALSISDNEGDDDASTMFSLFLLRAAGFLLPCYIMAWIFSILHRRRQRQEAAAIAAAEVAFILQSAQGHALQFTIAPDSPATPQHEPQQQQPQPQPQQ >ORUFI11G20390.1 pep chromosome:OR_W1943:11:22957429:22961582:1 gene:ORUFI11G20390 transcript:ORUFI11G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTASSQLALALGIPGLGGLLIPTDAAATTTTTAEGNDLHADASHPVLRLAGAVQHYEWGGRRGSSLVARLAGEGDDGDERPYAELWMGTHPAAPSSLAASGESLREWLGRRGPAALLGRDVAARWGGDLPFLFKVLSVARALSIQAHPDAALARALHALRPATYRDDNHKPEMAVAVTDFRALCGFVSVQELKDVLRTVPEVRELVGKEEAVKLMGAKEHDGGIGVRSYLQSAFTNLMTASKETVSEAVSKLKSRLNIESKVRTLTKKEQLVLSLEMQYPEDVGVLAAFFFNYVKLNPGEALYIGANEPHAYLSGECVECMATSDNVVRAGLTPKYRDVQTLCSMLTYKQNYPEILRGVPVQAYVTRYIPPSEEFEVDRCLLPSGESVTMSPVPGPSIFLVMTGEGKIEADSMLDEGKAKEGDVFFVPAHTEVRILASGPGSMQLYRAGVNSRFFS >ORUFI11G20400.1 pep chromosome:OR_W1943:11:22990538:22993790:1 gene:ORUFI11G20400 transcript:ORUFI11G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDIVVVAAVVLVVGHDHPIVAADPDVWFNCGATNYTARSAYESNLERLIAGLAKNASTPSLFGKGAAGAAPDTVYGVALCRGDLPNASACGDCVAGASRVARRACPLAEDVVVADDAGCQLRFSGHDILNRSSNSTTTTTNADDAMVLMNTENITQPMLPGWDPGNADSVAIITNIIKVLVQETARTAAYNSSPPPPATTTYYATGRMDVSATFPTLYSMAQCTPDLRPGGCWRCLQSINDMTTRYFAGRRGGRILGLWCNFRYETYPFYKGQPMRRIGSSGADAVAPAVAANAVASPPGEKHKRRRRRSKVMAMATIFPLLGSFCCVIFCFGLVRRYKKGEVSLQGDMNMQTDEEALAWGREACSSEFTSFKLSQVLDATNNFSEDNKLGKGGFGPVYKGQFSDGSEIAVKRLVASHSGQGFTEFRNEIQLIAKLQHTNLVKLLGCCYQGEEKILIYEYLPNKSLDFFIFDERRRVTLNWNNRLAIIEGIAHGLLYLHKHSRLRVIHRDLKASNILLDCEMNPKISDFGLARIFSSNDKEENTKRIVGTYGYMAPEYASEGLFSIKSDVFSFGVLILEIVSGKRNSGFHQCGDLFTLLGYMMRCINIALLCVQENAADRPTMSDVVVMLSSENMTLPKPNHPAYFHIRVTKEEASTALESPSLNDVTMSILCGR >ORUFI11G20410.1 pep chromosome:OR_W1943:11:22998826:23007791:1 gene:ORUFI11G20410 transcript:ORUFI11G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGGGGSAENRGRSPLAMVVAVGLCCFFYLLGAWQRSGYGKGDSIAMAVNRQTAACGGVGLSFETHHGGAGVENETMAAPAPEFAACAAAMADHTPCHDQERAMRFPRENMVYRERHCPGDGERLRCLVPAPPGYVTPFPWPRSRDYVPFANAPYKSLTVEKAVQNWVRHEGRLLRFPGGGTQFPGGADKYIDQLATVVPFADGSVRTVLDTGCGVASLGAYLDARGVIAMSFAPRDSHEAQVQFALERGVPAFIGVLGSIKLPFPPRSFDMAHCSRCLIPWSANGGMYMMEIDRVLRAGGYWVLSGPPINWRTNHKAWERTEADLAAEQQLIEEYAAMLCWEKLAEMGEAAVWRKRPDAAVVSCPTATPAPPRTCDAAAASPDDVWYKKMEPCITPPQAAGEVMLRPFPERLTAVPPRVAAGEVPGLTGESYAEENARWERHVAAYRKVNYRLDAGRYRNIMDMNAGVGGFAAAVFSPKSWVMNVVPTAAELSTLGVVYERGLIGIFHDWCEAFSTYPRTYDLIHGNGVFTLYKDKRCKMEDILLEMDRILRPEGTVILRDDIEVLLKVQRIASGMRWKMIMANHEDSPHIPEKVLYAVKRYWTADDKSSEPEPEPEKMKKKAGSSSQERSSEEEEESTASSSEEKGSEE >ORUFI11G20410.2 pep chromosome:OR_W1943:11:22998822:23007791:1 gene:ORUFI11G20410 transcript:ORUFI11G20410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGGGGSAENRGRSPLAMVVAVGLCCFFYLLGAWQRSGYGKGDSIAMAVNRQTAACGGVGLSFETHHGGAGVENETMAAPAPEFAACAAAMADHTPCHDQERAMRFPRENMVYRERHCPGDGERLRCLVPAPPGYVTPFPWPRSRDYVPFANAPYKSLTVEKAVQNWVRHEGRLLRFPGGGTQFPGGADKYIDQLATVVPFADGSVRTVLDTGCGVASLGAYLDARGVIAMSFAPRDSHEAQVQFALERGVPAFIGVLGSIKLPFPPRSFDMAHCSRCLIPWSANGGMYMMEIDRVLRAGGYWVLSGPPINWRTNHKAWERTEADLAAEQQLIEEYAAMLCWEKLAEMGEAAVWRKRPDAAVVSCPTATPAPPRTCDAAAASPDDVWYKKMEPCITPPQAAGEVMLRPFPERLTAVPPRVAAGEVPGLTGESYAEENARWERHVAAYRKVNYRLDAGRYRNIMDMNAGVGGFAAAVFSPKSWVMNVVPTAAELSTLGVVYERGLIGIFHDWCEAFSTYPRTYDLIHGNGVFTLYKDKRCKMEDILLEMDRILRPEGTVILRDDIEVLLKVQRIASGMRWKMIMANHEDSPHIPEKVLYAVKRYWTADDKSSEPEPEPEKMKKKAGSSSQERSSEEEEESTASSSEEKGSEE >ORUFI11G20420.1 pep chromosome:OR_W1943:11:23003543:23007213:-1 gene:ORUFI11G20420 transcript:ORUFI11G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTESSGSLGGSSNAASDKAVDGSLDKRSQEKAPKKTHKAEREKLKRDQLNDLFVELSNPERQNSGKATVLGDAARVLRDLVSQVESLRKEQSALLTERQYVGSENNELQEENIMLRAQILELHNEICARMGNNHLNQSNLAMSQPVANNGSNSATQPVPHHIWGNGPNLAMVHPTNTLSPLHNQHHQSAGASQVYASRPQELQLFPGTSVSTERERSRAGSGSTPATSSGLTDSLPGQLRLSLPQSSQEENSSGSKKGRKKG >ORUFI11G20430.1 pep chromosome:OR_W1943:11:23012274:23012792:1 gene:ORUFI11G20430 transcript:ORUFI11G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTKKPPVGTLRLPWGRLRSIGPDVEPLVVNPGKTKPKVEVLLLASHALMRTMVGASLFPRPPQHAVQLALELCVILAAPPPLTVVGVTLPPR >ORUFI11G20440.1 pep chromosome:OR_W1943:11:23027805:23033988:1 gene:ORUFI11G20440 transcript:ORUFI11G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRQTTSVPMDNAAVVDAKPLRTLTPMFPAALGLHTFTAKENSSSIVCITPFGPYAGGTEQAMPASIPPMFASPAAPADPNQRQPYAVHLNGAAPANGTANNTGVIPDLQIAVAGTVESAKRKRGRPKRVQDSSVPSAHLVPSAPGGNITAVQTPPSATTDESGKKKRGRPKRVQDVPVLSTPSAPQVDSTVFQTPASAVNESVTRKRGRPRRVQDGADTSAPPIQSKYNEPVLQTPSAVTLPEDGKRKRGRPKRVPDGALIPLSHSGVSIDDDSGEIITGKRGRPRKIDVNLLNLPSLFSDDPRESVDNVLMMFDALRRRLMQLDEVKQGAKQQHNLKAGSIMMSAELRANKNKRIGEVPGVEVGDMFYFRIEMCLVGLNSQSMSGIDYMSAKFGNEEDPVAISIVSAGVYENTEDDPDVLVYTGQGMSGKDDQKLERGNLALERSLHRGNQIRVVRSVRDLTCPTGKIYIYDGLYKIREAWVEKGKTGFNVFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDHVILRDISYGAESKPVCLVNEVDDEKGPSHFNYTTKLNYRNSLSSMRKMQGCNCASVCLPGDNNCSCTHRNAGDLPYSASGILVSRMPMLYECNDSCTCSHNCRNRVVQKGSQIHFEVFKTGDRGWGLRSWDPIRAGTFICEYAGEVIDRNSIIGEDDYIFETPSSEQNLRWNYAPELLGEPSLSDSSETPKQLPIIISAKRTGNIARFMNHSCSPNVFWQPVLYDHGDEGYPHIAFFAIKHIPPMTELTYDYGQSQGNVQLGINSGCRKSKNCLCWSRKCRGSFG >ORUFI11G20440.2 pep chromosome:OR_W1943:11:23029894:23033741:1 gene:ORUFI11G20440 transcript:ORUFI11G20440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRQTTSVPMDNAAVVDAKPLRTLTPMFPAALGLHTFTAKENSSSIVCITPFGPYAGGTEQAMPASIPPMFASPAAPADPNQRQPYAVHLNGAAPANGTANNTGVIPDLQIAVAGTVESAKRKRGRPKRVQDSSVPSAHLVPSAPGGNITAVQTPPSATTDESGKKKRGRPKRVQDVPVLSTPSAPQVDSTVFQTPASAVNESVTRKRGRPRRVQDGADTSAPPIQSKYNEPVLQTPSAVTLPEDGKRKRGRPKRVPDGALIPLSHSGVSIDDDSGEIITGKRGRPRKIDVNLLNLPSLFSDDPRESVDNVLMMFDALRRRLMQLDEVKQGAKQQHNLKAGSIMMSAELRANKNKRIGEVPGVEVGDMFYFRIEMCLVGLNSQSMSGIDYMSAKFGNEEDPVAISIVSAGVYENTEDDPDVLVYTGQGMSGKDDQKLERGNLALERSLHRGNQIRVVRSVRDLTCPTGKIYIYDGLYKIREAWVEKGKTGFNVFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDHVILRDISYGAESKPVCLVNEVDDEKGPSHFNYTTKLNYRNSLSSMRKMQGCNCASVCLPGDNNCSCTHRNAGDLPYSASGILVSRMPMLYECNDSCTCSHNCRNRVVQKGSQIHFEVFKTGDRGWGLRSWDPIRAGTFICEYAGEVIDRNSIIGEDDYIFETPSSEQNLRWNYAPELLGEPSLSDSSETPKQLPIIISAKRTGNIARFMNHSCSPNVFWQPVLYDHGDEGYPHIAFFAIKHIPPMTELTYDYGQSQGNVQLGINSGCRKSKNCLCWSRKCREVGDCCRTMKQQGAFSVSRCHVIRLAFVWMRMQKRQSIPDIYLFIR >ORUFI11G20450.1 pep chromosome:OR_W1943:11:23036505:23038315:1 gene:ORUFI11G20450 transcript:ORUFI11G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGLAGVVVAAVVAVVVGAVAGAGWWGPGWRGWLARRRAQASCWRRPVVWWPAPPRGPVPATSPSSVPPPPRSNSISSRTYVGSLTTSTTRTSRSTLPSRRH >ORUFI11G20460.1 pep chromosome:OR_W1943:11:23041232:23050708:1 gene:ORUFI11G20460 transcript:ORUFI11G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSLLKVLAKNFDVLAGPLVALAYPLYASVKAIETKSPVDDQQWLTYWVMYSLITLFELTFASIIQWLPFWPSMKLIFICWLVLPYFNGAAFVYQNYVRPMFVKHQMVNIWYVPQKKGLFGKSDDFLTALDKFIEENGPEALKKLTNKAGKSSKQSGKSWKDSKSSKESKDSKSSKESKEPKPSKDSKQLKPPKVSKESKPLKDSKEDKKAVKEDKKAAAKDSKEQKKALKDSKELKKALKDSKEQGLQKDSDELKPKSNKRVTFAEVEPEKELKASNSDWHPTSEYHSVYPEHNSWSSSFMIFEDENTNQSAVAAAAAAAAVVHSILCEWVQHMDLPGPRWKKGKDGKDFSALAAANPMSVIVAELKASFISSKTFCQGPGGGAILGVEPEQASPVILNRAAFGHAVEIAAAQKHWFQLSPEEVFYLCHVLNCIRVESHDKKQMSDKQLWNHFRSMSESFPEMYKAYSHLRFKNWVILSYRHHPALVHSEFAVVVVPEGAAFGNRCGRMEVWSDLLCALRASGSVAKTLLVLTISSGSCELSSPDCLEQLVVHERTITRWIPQQRREQRSEASRDEANREELISKKESVEFNLWGVILGFSVLSSLLMKLSKLSKRFKTTETTKSFDSQKSSNSKEDMKAPKDSKEQKKVLKDSKILKSARKTQKSRLSPLHSSPPRSSSLEKPKLQQSAAAATRERPSGDDGSRQLLPQLEWRLGDEAMAGSVGEMIWIKICLDAG >ORUFI11G20460.2 pep chromosome:OR_W1943:11:23041232:23048958:1 gene:ORUFI11G20460 transcript:ORUFI11G20460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSLLKVLAKNFDVLAGPLVALAYPLYASVKAIETKSPVDDQQWLTYWVMYSLITLFELTFASIIQWTQVQSISFLGRLPFWPSMKLIFICWLVLPYFNGAAFVYQNYVRPMFVKHQMVNIWYVPQKKGLFGKSDDFLTALDKFIEENGPEALKKLTNKAGKSSKQSGKSWKDSKSSKESKDSKSSKESKEPKPSKDSKQLKPPKVSKESKPLKDSKEDKKAVKEDKKAAAKDSKEQKKALKDSKELKKALKDSKEQGLQKDSDELKPKSNKRVTFAEVEPEKELKASNSDWHPTSEYHSVYPEHNSWSSSFMIFEDENSQKKKSSPGFLSPPRSSPRLSKSIRGGGGGGGGGGGPRPRWKKGKDGKDFSALAAANPMSVIVAELKASFISSKTFCQGPGGGAILGVEPEQASPVILNRAAFGHAVEIAAAQKHWFQLSPEEVFYLCHVLNCIRVESHDKKQMSDKQLWNHFRSMSESFPEMYKAYSHLRFKNWVILSYRHHPALVHSEFAVVVVPEGAAFGNRCGRMEVWSDLLCALRASGSVAKTLLVLTISSGSCELSSPDCLEQLVVHERTITRWIPQQRREQRSEASRDEANREELISKKESVEFNLWGVILGFSVLSSLLSKVIELERRYEGTEGFKRTKEGTERLEDTEKRKKDSEEQGSLKDSDELKQKSNKSVAFEPEKELKCDCHPTSAYQSTYPKHNSWGLFAAS >ORUFI11G20460.3 pep chromosome:OR_W1943:11:23041232:23048958:1 gene:ORUFI11G20460 transcript:ORUFI11G20460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSLLKVLAKNFDVLAGPLVALAYPLYASVKAIETKSPVDDQQWLTYWVMYSLITLFELTFASIIQWLPFWPSMKLIFICWLVLPYFNGAAFVYQNYVRPMFVKHQMVNIWYVPQKKGLFGKSDDFLTALDKFIEENGPEALKKLTNKAGKSSKQSGKSWKDSKSSKESKDSKSSKESKEPKPSKDSKQLKPPKVSKESKPLKDSKEDKKAVKEDKKAAAKDSKEQKKALKDSKELKKALKDSKEQGLQKDSDELKPKSNKRVTFAEVEPEKELKASNSDWHPTSEYHSVYPEHNSWSSSFMIFEDENTNQSAVAAAAAAAAVVHSILCEWVQHMDLPGPRWKKGKDGKDFSALAAANPMSVIVAELKASFISSKTFCQGPGGGAILGVEPEQASPVILNRAAFGHAVEIAAAQKHWFQLSPEEVFYLCHVLNCIRVESHDKKQMSDKQLWNHFRSMSESFPEMYKAYSHLRFKNWVILSYRHHPALVHSEFAVVVVPEGAAFGNRCGRMEVWSDLLCALRASGSVAKTLLVLTISSGSCELSSPDCLEQLVVHERTITRWIPQQRREQRSEASRDEANREELISKKESVEFNLWGVILGFSVLSSLLSKVIELERRYEGTEGFKRTKEGTERLEDTEKRKKDSEEQGSLKDSDELKQKSNKSVAFEPEKELKCDCHPTSAYQSTYPKHNSWGLFAAS >ORUFI11G20460.4 pep chromosome:OR_W1943:11:23049537:23050708:1 gene:ORUFI11G20460 transcript:ORUFI11G20460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCGPNSHPCLSPLHSSPPRSSSLEKPKLQQSAAAATRERPSGDDGSRQLLPQLEWRLGDEAMAGSVGEMIWIKICLDAG >ORUFI11G20470.1 pep chromosome:OR_W1943:11:23050954:23057644:1 gene:ORUFI11G20470 transcript:ORUFI11G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDARIKDISGDAGMAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDVYNNDYASREEKEKIQKLGNNYSQRRRAKTPLCLPFANLPSHPLTSQVRDGTSHRTHVHFGISFLFPTLAQNKNEVRNGFVFYGNRVIRAKSCKEKWKQNLA >ORUFI11G20470.2 pep chromosome:OR_W1943:11:23050954:23056718:1 gene:ORUFI11G20470 transcript:ORUFI11G20470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDARIKDISGDAGMAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDGEEEDEE >ORUFI11G20470.3 pep chromosome:OR_W1943:11:23050954:23057644:1 gene:ORUFI11G20470 transcript:ORUFI11G20470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIHHARVLIRQRHIRVGRQIVNIPSFMHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDVYNNDYASREEKEKIQKLGNNYSQRRRAKTPLCLPFANLPSHPLTSQVRDGTSHRTHVHFGISFLFPTLAQNKNEVRNGFVFYGNRVIRAKSCKEKWKQNLA >ORUFI11G20480.1 pep chromosome:OR_W1943:11:23058677:23059985:1 gene:ORUFI11G20480 transcript:ORUFI11G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKTKDSQKEKKRKHLKNHRVYQISCALCYGPQVKHQGKVLQEHFICLFRALICSPLILSLVKKAFLSSNFSMNQKIKAMKILPPVQNQLDNLKIALIIEQG >ORUFI11G20490.1 pep chromosome:OR_W1943:11:23064450:23065748:-1 gene:ORUFI11G20490 transcript:ORUFI11G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDQRRKFLLESASSSSEEVVSRSRNLAVESSAVAVTAAGVRKGEEVEEEELLNRRSKKNKVAGDSGNSGTGVWDSEMQDADQNGEANTSELIGAIGRELAITCLLHTPRSYYGMIACLNRSFCSLMRSGQLYRLRREARIVEHMIYCSCNVLEWDGFDPCRQRWFNIPSMPPIECFTLADKESLAVGTNILVFGKKVEAHVVLRYSLLSNSWTTGDMMNSPRCLFGSASFGEKAIVAGGIGDNGTLSSAELYDSEAKTWTTLPSMNRARKMCSGFFMDGKFYVIGGKADNHNEILNCGEEFDLEKGTWRLIPDMASGLNGGSGAPPLVAVVNNELYAADYAEKEVRRYDKVNNAWITLGSLPEKYTSVNGWGLAFRGCGDKLIVIGGMSAPGGGVIEICSWIPNNGQPDWKIIGSRRSGSFVYNCAVMGC >ORUFI11G20500.1 pep chromosome:OR_W1943:11:23083742:23083984:-1 gene:ORUFI11G20500 transcript:ORUFI11G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNVPELCLSFMEEHWWLYRIVFEVNSIFAPPSTIKLLDSCKPSPRPSLVVVVRVLPTAAAQRPLPFSLSVASHRTPLP >ORUFI11G20510.1 pep chromosome:OR_W1943:11:23084234:23089510:1 gene:ORUFI11G20510 transcript:ORUFI11G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRHAAAAAAAVVLLIAAAASTDGATSFYASDPNLGSARVVFQTTHGDIEFGFFPHVAPKTVEHIYKLVRLGCYNTNHFFRVDKGFVAQVASVVGGRTAPMNDEQQEEAEKSVVGEFSTVKHVRGILSMGRHSDPNSGGSSFSILLGDAPHLDGQYAVFGRLTKGDDTLRKLEQLPTRREGIFVMPIERISILSTYYYDVDLESCEAEKSILRRRLSESASEVERWRRKCFA >ORUFI11G20510.2 pep chromosome:OR_W1943:11:23084234:23089510:1 gene:ORUFI11G20510 transcript:ORUFI11G20510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRHAAAAAAAVVLLIAAAASTDGATSFYASDPNLGSARVVFQTTHGDIEFGFFPHVAPKTVEHIYKLVRLGCYNTNHFFRVDKGFVAQVASVVGGRTAPMNDEQQEEAEKSVVGEFSTVKHVRGILSMGRHSDPNSGGSSFSILLGDAPHLDGQYAVFGRLTKGDDTLRKLEQLPTRREGIFVMPIERISILSTYYYDVDLESCEAEKSILRRRLSESASEVERWQNRFKLLPDLIAEA >ORUFI11G20510.3 pep chromosome:OR_W1943:11:23084234:23089510:1 gene:ORUFI11G20510 transcript:ORUFI11G20510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRHAAAAAAAVVLLIAAAASTDGATSFYASDPNLGSARVVFQTTHGDIEFGFFPHVAPKTVEHIYKLVRLGCYNTNHFFRVDKGFVAQVASVVGGRTAPMNDEQQEEAEKSVVGEFSTVKHVRGILSMGRHSDPNSGGSSFSILLGDAPHLDGQYAVFGRLTKGDDTLRKLEQLPTRREGIFVMPIERISILSTYYYDVDLESCEAEKSILRRRLSESASEVERWQNRFKLLPDLIAEA >ORUFI11G20520.1 pep chromosome:OR_W1943:11:23094803:23096149:1 gene:ORUFI11G20520 transcript:ORUFI11G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRSRTSSRLAAAPPPTDEQMAELISKLQAVLPTRGGEANAKASSAEVLQEACRYIRRLHREADALSERLAELLLLQPSDLAINGADVPDLIRTLLM >ORUFI11G20530.1 pep chromosome:OR_W1943:11:23097478:23098543:-1 gene:ORUFI11G20530 transcript:ORUFI11G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRNEQQEEEQRRARVPAFGEWDEMKAAGVLPDYSLDFSKIRAVRMQRKEGGPLTTWSSTAAGGVEVGGETERRSSSAAGVVVDGRDRRRRRHRRHSSDGGADLRRPLRHDRAAAPKERSKLGYLFCCIAG >ORUFI11G20540.1 pep chromosome:OR_W1943:11:23102025:23106027:1 gene:ORUFI11G20540 transcript:ORUFI11G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPMELLSSKLLRSSLRLPPSPSLPSPSSRRLRRHAPIHCRLTSSTTTTTTQEEQSAADDLSSLLSISTSDEPSSSKKKRSNSSSGASSIPTGVRLEGIAKSYKGVTVLRDVSWEVQRGEKVGLVGVNGAGKTTQLRIIAGLEDPDAGAVVKAKDNMRIAFLSQEFEVCASRTVREEFLAAFEEEMEVRSRLEKVQAALEGATEDMDLMGRLLDELDLLQRRSQDVDLGMVEVKIQKLMPELGFAPEDADRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHVDLDTIEWLESYLKTQEVPMVIISHDRAFLDQLCTKIVETEFGVSKTYKGNYSEYVLAKAIWVETQYAAWEKQQKEIEQTKELINRLGAGVNAGRASSEQKKLEKLEKEGLIEKPFQRKQLKIRFPERGQSGRTVLTIRNLEFGFGEEKLFNNANLIVERGEKIAIIGPNGCGKSTLLKLILGMEKTQGGEVLLGEHNVLPNYFEQNQAEALDLEKTVLDTVAEAAEDWKIDDIKGLLGRCNFRDDMLDRKVQFLSGGEKARLAFCKFLVTPSTLLILDEPTNHLDIPSKEMLEEAISEYTGTVITVSHDRYFVKQIVNRVIEVKDQTIQDYQGDYNYYLERNLEARERELAREEELEEKAPKVKAKSKMSKAEKIARKKQKMQAFQQSKQKSKSLKNAKRWK >ORUFI11G20550.1 pep chromosome:OR_W1943:11:23107948:23109195:-1 gene:ORUFI11G20550 transcript:ORUFI11G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKEKPNRKRRKPRQDPSPDSGPGPDSDCSPPSSPTSLRRLLDPYSKPRLLALLSDAAATAGAGADDDPTLLARIRAAADASPSHRRLFVHGLPPHADAPALAAAFSRFGPLAECDVVARRATGACKGFGFVTFQSRAAARRALREVGRGGVAVAGRAVSAQYATAGAAAAASAAGRRVYVTNVAPGASAERLRAFFAGFGELEGGPFGFDADTGSSRGCALFVYRAAEDARRALEEPYRVFEGRTLHCQLAADPARKSKPRAPPPPPPAPAAVASPLPALQPVLDAVVASGVGDLAKYAQNPAQAAALLGKNPILAAAVLNSALASTTAALKPNPAAASSINPAAVVARSSPVAAPAMKPLAPRSPAAAAAAVVPSPVKIAAGISGGAGLLGPYKPPSSPMLASSIGQRDQC >ORUFI11G20560.1 pep chromosome:OR_W1943:11:23117176:23121208:-1 gene:ORUFI11G20560 transcript:ORUFI11G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRWLTSQKQRSKIEEQAEERRDNDRSTYENIWNLRWRRRWQRQPPPTRQQQQQQRWRQRQLKPPPEWTVHADDAAAAATTVDGDGGDENSKQQQQPSVNKLHMPQEYVDYILAWKKRPFPLPDDGEILSPEHHEMRERMAATCNELGDGFEEFQAEVRREVEEKGFYEVDESYAKIRPRYRLSSRKDGPRSTGATSSSPTGMTSTTPIAVDRSTIPNHPYELSRHLQSTPGKARQGELQRPIERIKRMEAEKGTTIVKSVTGAAAEEIFTEQEDELQALVSEEFAKIDLKSIKFGDWDYDDPTCCHMPSIDESCITITKQEDNELQALIDLKSIKFGDWDYDDPTCCHMP >ORUFI11G20570.1 pep chromosome:OR_W1943:11:23123824:23125707:1 gene:ORUFI11G20570 transcript:ORUFI11G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRIEKAVERRRPCRCFPRRRCPPVHRSAARRLCTAPPPCRPSPAACARLRTARLRPPAAACGDRREIEGAPHVPIKSQQKSNFRSEDKSPHRKDSQ >ORUFI11G20580.1 pep chromosome:OR_W1943:11:23126010:23126567:1 gene:ORUFI11G20580 transcript:ORUFI11G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPISSSIPLDRSPTNAQNMDASATKKAAASDEAENTPAAATAAAEVVTGVDCADDAAVAATTVDGDGGDEKQQQPSVKLPMPQEYVDYILAWKKRPFPLPDDGEMLSPEHRKMREEMAATCNELGDSFEEFQAEVRRDVEERPRSRLSSRKDGPRSTGATSSSPTGRTSTTPIAIARCDDCD >ORUFI11G20590.1 pep chromosome:OR_W1943:11:23134433:23135035:-1 gene:ORUFI11G20590 transcript:ORUFI11G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVPRITEFMAEAALEEGTTTETAVVVERASKLGGGGGKAEAAPPLKERDANKKREKATGSAQVVNAESAVAVAAAGGEGDQKRKVPMPENFVAMILALKREPWPTFEYLDSLSPEKRSEELKSAERRSKLDDDLEKLQKDVRDGIDKDGYYLVDESYLAESAACEAQIDELWAKIDWDLYNFGDWDYDDPEYVVYL >ORUFI11G20600.1 pep chromosome:OR_W1943:11:23145015:23145542:-1 gene:ORUFI11G20600 transcript:ORUFI11G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGPEPKAATTVEVSDEEEVKAIAADAGSVTGEGKRLDAAAAATASTAGGDGGEEEKPPVMVQMPLNTIAAILSLKREPRPMPEDLARLSPEERDERLAFFDSWEEVNDEFEEFQKEILREVKETGRYMVHQSYFTEQAEMQARMEKEWAEIDWTGVKFGDWDYDDPTCCQPL >ORUFI11G20610.1 pep chromosome:OR_W1943:11:23151806:23155461:1 gene:ORUFI11G20610 transcript:ORUFI11G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQPARGAASSASESSPLIPPPSEIDIEAGAGDQFQCRICLETDGRDFIAPCKCKGTSKYVHRDCLDHWRAVKEGFAFSHCTTCKAPYYLRVHVHTDRKWRTLKFRFFVTRDILFIFALVQFVISALAYLVHFIDGLQNYWLRTAWAFDNEVSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFEGCATTAGECGGCLGGAGEAGLPLLFIMGVIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGERADWCPPPLPSEHVQQLKSLGLL >ORUFI11G20620.1 pep chromosome:OR_W1943:11:23155968:23159561:1 gene:ORUFI11G20620 transcript:ORUFI11G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVMAAAARDYQKEIVAKEKAQAANASHDEVDLDELLDDPELEKLHAERIAALKKEVEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKTLAPVYLGTKFIKLDAENAPFFVTKLGIKTLPCVILFKKGIAVDRLVGFQDLGSKDDFSTRALENILKMKGIIDEKKKDEDDEDDETDMSMNRRIRSSTAQDSDSE >ORUFI11G20630.1 pep chromosome:OR_W1943:11:23166398:23174293:-1 gene:ORUFI11G20630 transcript:ORUFI11G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVGASSGAVKSLVNKLGSLLAQEYTLISGVHDDIQYINDELASMQAFLNRLKRDINHDEQRQDWMKQVREVAYDIEDCVDDVSHRLGREPRGAGKLVTLRRAWYLLTTLYQRRCIAADIGNLKVRAQHVSERRTRYGVENLLANANGGGNNNSGDPRDHPVSLPRLIGTVEPVGMEDAMNDLQRWFMVSKHNSQQQSQISYLAIVGSGGLGKTTLAMSFYRKFGDEFNSRAFMLASQKFRLPTVLRSLVSQFHEKQVGASQDALQGIEEWGRGTLRYLLKYPEACRRQNGHVHKLKQLDPENSNKLFLQIISANGLCPTRTINDEIVVKMCGCLPLAIVVVSGLIASELKSKIGKTLDQKLIEVEKALSAELGNNLTTEVVRIINHCYKNLPPDLKTCLLYLSTFPKGRNISRKCLIRRWIAEGFVTDKHGQTAEEVAEDNFNELIGRNLIRPINNSSNGKVKSCQIHDMVLEYIVSKSGEENFITVIGSHWQTPFPSYKVRRLSVHKSDRQETELVERMKLSHVRSLTVLESFKALHSNMLKFQILQVLDLEGCKDLSSNQLKKICNMHQMKYLSLRGTDIHKIPKKIGKLEYLEVLDIRDTNVTNLPPSVERLQRMAHLLAGNKTKRRALRLTEGITKMTTIQTLSGIEISGSLATFAGIIRNAVRYAEDGDITGLQGTSKEGSKVDMPKQLRPLAALEKLTNLNKLAIYRIVKFSVKDDELLLSAIEHLSSCSLKFLVVDDNFTGFLYRSLSSSQAPPEHLYTLELSGSLFKVPEWIDRLHNLEKLTLSLTSLTTHTLVTLSRLPELFSLIFSLDAAKDISNILKTVHKNTLESGGKIFVPDGGFTKLRLLRFTAPVLPPLSFLEGAMPELQRLELRFRIIECVYGLENLSSLQQVFLTFSSQAPEDAKEKISQIKGLASKIRKADSSNISVEPTSPGYPPGGVYPVKASGRDNLVSEPLTMASDVERVLHVTPSMAATSTMAVVSPVRTDLHNQDQGVKSIEELRVVLKELATLIQEKLVKKEEWHSKQEAIEQDMAVGMVMPSPISTPPTQPAGLEACRQRCLSSPLQPHPQVLKWCKRIKGLLPNQPWVVIPSTVSFKSTWSVAIRLQQQPGSEGQGTLPEDPPLVLAMAPLLARGSDADEQGCILGGVVYV >ORUFI11G20640.1 pep chromosome:OR_W1943:11:23194559:23198280:1 gene:ORUFI11G20640 transcript:ORUFI11G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGAMGRTSIMEKQEEALKEWEPLHESISKLLKGGRITDARERHSLAFMEMELGVIVAYLRMPLPPHTDCPGVDLIDNQIQYHWSYYRDVVISLYNQYGEEAPNAGLLRKAMRCFRRRRSLEYNIPRTAYSLYRECSSDPRLELPSSELMPSPHQAAQVEAGALNDHLVGIDSMANEMLRWLMATDKGLRVMAIAGPAGIGKTTLAMELHRRLRSKLVLQTILKQIMEQLDAPSPNSSEITMSMLEDNPELLTRNISEHLKDKRYFVLIDDILDESDLEIIKGAFPNNNCGSRILFTAQHEWIAGWFLSNYNGVVHKMKPLNDSDSEKLLRTKAFSSMDDLLPDNLRLLCDEILNKCRGIPLFITGMADWLKQQQHGSSAVLRVEQVRLLLKQFEYWLSFDYSYELSLSSLYLSMFPQGYVFDKDHLVMKWENDGLIRILESSSEYGELYFSELVNTNIITPVGENYGPNLDEDELCQWQVNPFVLSFLASRAAEKGLVFTSSTLTSLPSCGGNNTRIKRRLALHHPDPLLPEMLQQMDLSHTRSLLISGAVDRTTVPLDKFGYLVFLDLQGWENLKDEDLLQICKMFMLSYLSVRNTRVSKLPPQIKELCNLKALDVSQTHIFKIPSEVCELEYLEILELRGTQIKHMPDKIVEKRKYEQLYGNGSVFGMIDSNQKVLTKISKKIHQLRYLKTLATIDLSEFSAKSIQSLGDLERLEVLAITWSFHQCSDEDYQEALRLSIERWRKLKSLTIHCGLGCSMEFLGSLTKPPEGLKKFKVIAGKFVSVPRWIERLVYLTFLQITVCKQVADDVKILAGLVKLQHLVLCLEFIPEEAIVIETKGFKEIERFSLDCPVPWLTFEKEAMPKLTYLRLNLYAFPASEMSVPSGISNLEKLSEAKICYNARYINSPNVKRTVEVVSKEVAKHHNSIDLFINGTQIEVNQAGEEKAESATRFNQVNPPEDVVQAEDEAALRRETEFQSEKEDEDEAEK >ORUFI11G20650.1 pep chromosome:OR_W1943:11:23207642:23209277:-1 gene:ORUFI11G20650 transcript:ORUFI11G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKEIVFQQQSKFCGASTLAMKPKRYLTAAFKLQAKVDLDDAGWCEGRRTAYLEAGSGAGEARVVGFGVPKLGMVGSATSEFRVSGSGAPESMVARTGHRKGEGRRRLVVDRR >ORUFI11G20660.1 pep chromosome:OR_W1943:11:23214789:23218596:-1 gene:ORUFI11G20660 transcript:ORUFI11G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASRSGRRRGGGDDEDDRTAAMRRLRVLSLHLQDPSPSSEAGLAPAACAAAGRRRATGGADAAAALAAYLRGRHRDTQARVFEFFLSRPDLQTPVEMSTAAHRELCFRQLCALVREAGVRPLSLMANDPAEYFAVMEAAGGADISLGVKLGVQYSLWGGSIINLGTKKHRDRFFDGIDNLDYPGCFAMTELHHGSNVQALQTTATFDPVTDEFIINTPNDGAIKWWIGNAALHGKFATVFARLILPLQGKGGDPADMGIHAFIVPIRDLDTNAVLPGIEINDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGIAYSSVGILKVSVTIAVRYALLRQQFGPPKKPEISVLDYQSHQHKLMPMLASSYAFHFATRYLVDKYSEMKKTNDEDVMADVHVLSSGLKAYITSYTAKSISVCRESCGGHGYAAVNRFGALRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQEKFKGGTLSATWNYLRDSMGTYLSQPNPVTSRWEGEDHLRDPNFQLDAFRYRTSRLLHSVAARLQKHSKTLGGFGAWNRCLNHLLTLAESHIESVILAKFIEAVKRCPDEKTRELLKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLADYLSYQVRLVAQELVDAFDLPDLIIRAPIGMQSEAYAQYTQHVGF >ORUFI11G20670.1 pep chromosome:OR_W1943:11:23221486:23231837:-1 gene:ORUFI11G20670 transcript:ORUFI11G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRPGDWMEQVRDVAYDIEDCIDDFSHRLGGQPRGEGLLSGLRRARYAVATLWERRGIAARVVDLKNRAQGVGERRTRYGVKDPGRPDAGNGKAARSAPSYHVNDRPQPGPQLVGAAESVGMEDAIGKLGAWLTEGLPDLRVLAVVGFGGLGKTTLALALHRKFGEKFESRACVQASQKLNLAALLRSILRQVMPQVPDKESTDGDSLAGIERWTDKQLKEKLTAHLEQKRYFLLVDDVWSVSSWEYIWGSLPKNNNGSRIVVTTRFKSVADASTHQQTGDIHMLDRLSDEKSKRLFNERIFSGDDSCPDEFRETKDKILKKCGGLPLAIVAVAGLLARDPSINRKRLVRRWIAEGFIVEKHGKTVEEVADDYFNELISRNIIRQVDHSSNGKENFITVVGGHWLTAMPGNKVRRLSLHSSNPEHAKDAIERMNSSHVRSLTAFESLEQFQSFTFKFGILQVLDLEGCKGLTTSHLDKICKMFHLKFLSLRKAHVKKLPSDIGKLQYLETLDIRETNSSAGALADMHNLTKLKKLSIYNVKDFDSKNLSHELLSAIEYLTGCSLKSLAIDDGFTGFLNLMDSLSTPKYIHTLELSGELPRVPKWISELQNLEKLTLSLTSLSTDALFIIAQLPVLFSLAFSVSAASQDHGVMEILTKNTMNSGGKILIPSDGFHSLQLLRFSAPLLPLLSFLDGAMPKLQRLELRFRILEGAHGVENLASLQQVLLRVSQQAPETTKVQVSDIRSSVSLHPNRPTVVVDEYYG >ORUFI11G20680.1 pep chromosome:OR_W1943:11:23243722:23244492:1 gene:ORUFI11G20680 transcript:ORUFI11G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKEEMEGVLRAMDRLPGRISDLLRSERGHAGLSVLARRGFVFTETELSSLVAALRQLLASAERIGPGHVDSQTVNHCAYLIDLARWIDDLIDGYAPDKAETELRELNLAWIEQLLLVTDAPPAAPDVVVDVGPPSILVGIDVPRKKLARWLTASDDGEAQLKVLSVVGPAGMGKTTLAMDVFRQIEGQFQCRAVARLSARPPHNDKLLRHIMSQITGSQADAATLDGDDCALAYDIKQYLQTKRYCSSIPSI >ORUFI11G20690.1 pep chromosome:OR_W1943:11:23248245:23251352:1 gene:ORUFI11G20690 transcript:ORUFI11G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPERTESFGGLGRLRSPRIVPELMDGSEALVGSRDLERPRSPWLPSEPVNCSGGLGRPWMTPEPLGGSEGPGRLWRPWLALKPRSVDSSGHSPRVDGSGRYLIVIDDMWRTSDWEHIWDAFPSNRCHSRIIITTRIRSVARSCCSHPWPNGLVHEVKPLGATDSERLFSAVAHGWPRPANSGRVSNEILRVCDGTPLLIIAMAGLVSKQMQEEDYDDEEGDQSGVTMDISRPCVAAYLPSGIRELKQVEDTLSPSYDNLPCELRLLSLYMSTFPQGYVIDKHLLIRKWKAEGLIAVHTLQSGFEERAEECFSQLVQRCIIRPARTRRRACDCECNPCSYQVNHFMFQLLASKSADKNFVTTSCCDTGTLRGSSGLQIRRVFLHHGQQQQQPADQEVPAQMEEMFSFTRSLTVSGEVDGISLEMFPHLVVLDLQGWEKLKDDDLPRIFSSGKLFLLRYLSLRNTRISELPPEIGMLSSLETLDASHTRIAKLPPEVCTLRSLEELDLRSTRIQRLPERIDDLVALRHLRAGDGAASTRIPKGIDWGMLRDTLETLAAVDLRECSADVVRKLSLLRCLEVLSVSLSLRQCTDKEYQDNLSFLVQRLKCLRSLTIRCELGCSMEFLDFSPEDAPQNLRHVAMHARFLTVPRWIAGLNHLSSLHIRVCKLAPEGVKILGRLHRLECLELGLDFLPREAIVIQGQGFMSSSQNRSNRAPLNSKIHEEEEDGDDEKNGIIIYPFRELLRLSVDCRVPWLVFKEGAMPKLTDLELKLSTGPASHESPPSGIANLLSLEQVAVQYDAWYINSRSVRATVDAIRRQVAELRYTVKLVNNGVEEDVEAVINPRRDS >ORUFI11G20700.1 pep chromosome:OR_W1943:11:23252497:23255271:1 gene:ORUFI11G20700 transcript:ORUFI11G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPPTASWSRRCRPATAQVKRIAEDPDDRSLDKSAKVVIGGGQDAMNVTMTNCLAGKFEPKFFHKILQEEIGVKGHFGPINVLAFNPDGWSSVIVVTFVGNIRYADHSLMMNENMRAMLSKIKFDLLVRNLVAFLVWLFEA >ORUFI11G20710.1 pep chromosome:OR_W1943:11:23257460:23260682:-1 gene:ORUFI11G20710 transcript:ORUFI11G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLETRDAIDIVKLLCKRHREEAPRSLLRKPPMRCFRRPMSFNYDTPGKAYYLYRVFSNDPRLKLPSSELMPSLHQAAQVEAAAVHDHLVGIDGTANELLGWLMAADKSLRVMAIAGPAGIGKTTLAMELHRRLRCQTHFQCHVVAKLSRRPAHRSKQLLLQTILKQIMEQLEAPSSPNSSEITMLEDDPELLARNISECLKDKRYFALIDDIFDGSDLEMIKGAFPNNNCSSRILFTARDEQVSGWFLSNYNGVVHQMKPLNDSDSEKLLRTKAFSSMDGCLPDNLRLLCDEILNMCRGIPLFITSMADWLKQHQQQYGSSAVPRVEQVRLLLKQFEHLLSFDYSDELRQPSLYLSMFPQGYVFENKDHLATILEYEGFIPEWDLSPDFGKRYFSWLLNRKIIIPAAENCGLNIDEDDLCQWQVNPFILRFLASRAAEMGLVFTSSTLTLAPSGGGNTTRIARRLALHHPDPQLPAMLQQMDLSQTRSLLISGAVDRTTVPLDKFAYLVLLDLQGWENLKDEDLLQICKMFMLRYLSVRNTRVSKLPPQIKELHILGTLDVSHTTISEIPSEVWELKHLKMLDLRGTWIRHLPEKVKELTSLERLDISHTKISDLPSGVCRLPNLETLDLRGTLISQLPDQIVRIKWLRNLIVGAAGAGSGMIDSDQTVLTKIPETIHQLRYLKTLATIDLSEFSVKSVQSLGDLKQLEVLTITWSFHQCSDKDYQQALRSSIEGWWELKSMTIHCGLGCSMEFLGSLTEPPENLEKFKVIAGKFSRVPQWIERLDRLTFLQITVCKQVADDVKILAGLIKLQRLVLGLEFIPENPIVIEKEGFKELERFSLDCPVPWLTFEEEAMPKLTYLRLNLHASPASEMSVPSGINNLKELTELAKRLPSIATLLTFSSNGIRKDVIRSSDKKEESATGSEVDAAEDGAQAADEATLRTTIQVQSEIEVEGDDVLLS >ORUFI11G20720.1 pep chromosome:OR_W1943:11:23266556:23279978:1 gene:ORUFI11G20720 transcript:ORUFI11G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTVGGNQGVRIGLETWRFDYAHKVFDGMTHSFLAVELPSVLDLLRARSTMDLVVGASSEAVKSLTGKLGSLLAQEYTLIAGVRDDIQYINDELASMQAFLSKLKRRDVDHDEQRQDWMKQVREVAYDIKDCVDDVGHRLGREPRGSGAAISFQRAWYLLTTLYKRRRIAAEIGNLKLRAQHVSERRTRYGVENLQGNGGGGGSGSGLGVGANAPRDRLAPLPRLIGTMEPVGMDAAIDELQEWFSKGKDGTQQRYLAIVGFGGLGKTTLAMALYRKLGDEFDCRAFVLASQKFHLPTVLRSLVKQFHEKQADASEDTLHGIEGWGDEMLKKKLLEQLTGKRYHILVDDIWSVSAWENIRDSFPKSDKGSCVVVTTRFNSVAEACRRQQGHVHKLKQLDPESSYNLFLQIISANDLCPIRPINARIIMKTCGGLPLAIVVVAGLIARVTQIINHCYKNLPPDLKTCLLYLSTFPKGRSISRKHLIRRWIAEGFITEEHGKTAEEVAEDSLNELIGRNLIKPIKNSSNGRVKSCQIHDMVLQYIVSKSSDENFIAVIGGHWQTPLPSYKVRRLSVHKSDKQETDMVERMKLSHVLDLDGCKDLSHPHQLKKICNMYQLKYLGLRRTDIDKIPKNIGRLEYLEVLDIRETNVRKLPTSFAKLQRMTHLLAGNKSKRTALKLTEEITKVVALQTLSGIEISGSSTLEEDREQPRDMPIRHSTTTRAEERGNTALHGPHKEASKVDLPKQLRPLEALEKLTNLKKLAIYKLVKFQAKDDELLLSAIEHLSSCSLKFLAIDDSFTGFLESSLSSSQAAPEHLYTLELSGMLSKAPGWIDRLHNLEKLTLSLTSLKTDTLVVLSSLPELFSLTFSLHAADNDSNALKIIHRNTMKSGGKIFVLDEGFEKLKLLHFAAPVLPSLSFLEGAMPELQRLELRFRMVDYMYGLENLSKLQQNQAPILSSYVKYKNCSVAAALQDYAWVATGQYQRGSIPVPGILCSGWHRLMAISLSNRCIRCSVLGEQSVPWAELGANPGCRHVANSSCSLPCEELVLLPTTCSVAASVTLVAVIWKPACTSFTVAHAWCMSGMHSRLGFICPQPTLHLLPWWLAVRKTICKQEQRAFNAGVILVTWLIWKEQNARVFEGKATMVFALCVVIIDEWRMWKVAALFTLGLGCIGALRVLMS >ORUFI11G20720.2 pep chromosome:OR_W1943:11:23266556:23279978:1 gene:ORUFI11G20720 transcript:ORUFI11G20720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISTVGGNQGVRIGLETWRFDYAHKVFDGMTHSFLAVELPSVLDLLRARSTMDLVVGASSEAVKSLTGKLGSLLAQEYTLIAGVRDDIQYINDELASMQAFLSKLKRRDVDHDEQRQDWMKQVREVAYDIKDCVDDVGHRLGREPRGSGAAISFQRAWYLLTTLYKRRRIAAEIGNLKLRAQHVSERRTRYGVENLQGNGGGGGSGSGLGVGANAPRDRLAPLPRLIGTMEPVGMDAAIDELQEWFSKGKDGTQQRYLAIVGFGGLGKTTLAMALYRKLGDEFDCRAFVLASQKFHLPTVLRSLVKQFHEKQADASEDTLHGIEGWGDEMLKKKLLEQLTGKRYHILVDDIWSVSAWENIRDSFPKSDKGSCVVVTTRFNSVAEACRRQQGHVHKLKQLDPESSYNLFLQIISANDLCPIRPINARIIMKTCGGLPLAIVVVAGLIASKMKSKIDLTLDQHLVDVDEALSAELGSNLTTEGVTQIINHCYKNLPPDLKTCLLYLSTFPKGRSISRKHLIRRWIAEGFITEEHGKTAEEVAEDSLNELIGRNLIKPIKNSSNGRVKSCQIHDMVLQYIVSKSSDENFIAVIGGHWQTPLPSYKVRRLSVHKSDKQETDMVERMKLSHVLDLDGCKDLSHPHQLKKICNMYQLKYLGLRRTDIDKIPKNIGRLEYLEVLDIRETNVRKLPTSFAKLQRMTHLLAGNKSKRTALKLTEEITKVVALQTLSGIEISGSSTLEEDREQPRDMPIRHSTTTRAEERGNTALHGPHKEASKVDLPKQLRPLEALEKLTNLKKLAIYKLVKFQAKDDELLLSAIEHLSSCSLKFLAIDDSFTGFLESSLSSSQAAPEHLYTLELSGMLSKAPGWIDRLHNLEKLTLSLTSLKTDTLVVLSSLPELFSLTFSLHAADNDSNALKIIHRNTMKSGGKIFVLDEGFEKLKLLHFAAPVLPSLSFLEGAMPELQRLELRFRMVDYMYGLENLSKLQQNQAPILSSYVKYKNCSVAAALQDYAWVATGQYQRGSIPVPGILCSGWHRLMAISLSNRCIRCSVLGEQSVPWAELGANPGCRHVANSSCSLPCEELVLLPTTCSVAASVTLVAVIWKPACTSFTVAHAWCMSGMHSRLGFICPQPTLHLLPWWLAVRKTICKQEQRAFNAGVILVTWLIWKEQNARVFEGKATMVFALCVVIIDEWRMWKVAALFTLGLGCIGALRVLMS >ORUFI11G20720.3 pep chromosome:OR_W1943:11:23261828:23266754:1 gene:ORUFI11G20720 transcript:ORUFI11G20720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSSVPLDGRIQPPSRITNERFLFPQSQPLAIVFSPKSCPTRRLRLERELWRGGVTETVKRKLIMDLVVGASSGAVKSLVNKLGTLLAQEYTLISGVRDDIQYINDELASMQAFLSRLKRDVAHDEQRQDWMKQVREVAYDIEDCVDDVRHRLGGEPRGTGTVVSLKRTWYLLTTLYQRCCIATDIGNLKVRAQHVSERRTRYGVENLPANRNGGGNNNSGAPGDHPAPLPRLIGTTEPVGMDDAMNDLQRWFMVSKQNSQISYLAIVGSGGLGKTTLAMSFYRKFGDEFDSRAFMLASQKFHLPTVLRSLVSQFHQKQVSASEDALHGIEEWGVEALKKKLADQLQGKRYHILIDDIWSVSAWESIRDSLPKNNKGSCVIVTTRFNSVAEACRRQNGHVHKLKQLDPVNSSKLFLQIIYANDPCPTPTINDEIVVKMCGGLPLAIIVVSGLIASELKSKIGKPLDQKLIEVEKALRAELGNNLTTEVVQIINHCYKNLPPDLKTCLLYLSTFPKGRNISRKRLIRRWVAEGFVTEKHGQTAEEVAEDNFNELIGRNLIRPINNSSNGKVKSCQIHDMVLEYIVSKSGDENFITVIGSHWQTPFPSYKVRRLSVHKSDRQETDLVERMKLSHVRSLTVLESFKALHSTMLKFQILQVLDLEGCKDLSSNQLKKICNMHQMKYLSLRGTDIYKIPKKIGRLEYLEVLDIRDTDVTNLPASVERLQRMVHLLAGNKTKRRALRLTEGITKMKTIQTLSGIEISGRSTRTAAGEQAPVLEVIRNATTTDAKDGDIAGLQGTRKEGSKVDMPKQLRPLAALEKLTNLKKLAIYRLVNFQAKDDELLLSAIEHLSSCSLKFLAIDDSFTGFLDRSLSSSQAQPEHLYTLELSGSLFKVPEWIDRLHNLEKLTLSMTSLTTDTLVTLSRLPELFSLIFSLDAANGISNILKTVQKNTLESGGKIFVPDGGFTKLRLLRFTAPVLPPLSFLEGAMPELQRLELRFRIIEFVYGLENLSSLQQVFLTFSSQAPEDAKEKVSQIKGLASKIRKADSSNISVVIDEYNELSKEQ >ORUFI11G20730.1 pep chromosome:OR_W1943:11:23262791:23265194:-1 gene:ORUFI11G20730 transcript:ORUFI11G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQPPHLVAWKRCLPVATNYSDEILITRFGDNVLKDHVMNLTALHLPIAAVVDRPNQVPANEFIEVVFCNFLCRLPMFLSNEPFSNPSSYQSFPANIAALWKCTQVEQTCLKVRWQIFVAVLISELLLEGFNSPFLNSVKSILRSADLLLMELADKASQNCWEMKLLRREHERSGIKLISKLAPGERSWMVSGSAGVVVAATISVCWEVLHPVPGAPLADMLRPDLEVADVGGDAAALVQRGEQVPRPLEGDNCAGPAGLAAEAVADVVDAVLDVVGDLAHLLHPVLTLLVVGDVPLEPAEEGLHAGELVVDVLDVVADAGDEGVLLRQEGAQLVDERLHGAARRADDQIHNQLSFHGFSDSPTPQFSL >ORUFI11G20730.2 pep chromosome:OR_W1943:11:23262791:23267961:-1 gene:ORUFI11G20730 transcript:ORUFI11G20730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEARLRVLPSCQETLEGPISQDQSGPSDLLRLSFLLRSGHRRDTAHVLPAGAPLLHLLPTRGRGIPVPSTPASPLHLRACTSSSSELISELLLEGFNSPFLNSVKSILRSADLLLMELADKASQNCWEMKLLRREHERSGIKLISKLAPGERSWMVSGSAGVVVAATISVCWEVLHPVPGAPLADMLRPDLEVADVGGDAAALVQRGEQVPRPLEGDNCAGPAGLAAEAVADVVDAVLDVVGDLAHLLHPVLTLLVVGDVPLEPAEEGLHAGELVVDVLDVVADAGDEGVLLRQEGAQLVDERLHGAARRADDQIHNQLSFHGFSDSPTPQFSL >ORUFI11G20730.3 pep chromosome:OR_W1943:11:23266380:23267961:-1 gene:ORUFI11G20730 transcript:ORUFI11G20730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEARLRVLPSCQETLEGPISQDQSGPSDLLRLSFLLRSGHRRDTAHVLPAGAPLLHLLPTRGRGIPVPSTPASPLHLRACTSSSSEVGSPFHVFLRRPPPNHRQVEHHGSSGGPRLTRCLAAKGQKYLLET >ORUFI11G20740.1 pep chromosome:OR_W1943:11:23269217:23272378:-1 gene:ORUFI11G20740 transcript:ORUFI11G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGAMGRTSIMEKKEAALKELEPLLESVSKLLKSGRFTDAHERHGLAFLEMELGAIVAYLRMPMSPSIGDHDDGAHYDLMTWMSVLIGVRNTVTAIVKFLSNQPCVPLRKATRRFRRRRSFGYDIPRKVYPLYLVFNGLRSELMPSPPQAAQVEACTVHDHLVAIDGTANELLGWLMAADESLRVMAIAGPAGIGKTTLAMELHRRLRCQTHFQCHIVANFSRRPHGSKLVPQTILKQIIEQLEAPSSPNSSEITMLGDDLELLARNISERLKDKRYFALIDNIFNESDLELIKGAFPNNNCGSRILFTAGDERIAGWCLSNYNGIVHKMKPLSHSDSEKLLHIKAFGSMDDCPPENLKLLCDEILMKCRGIPSFITGMADWLKQHQQQHGSSAIPRVEEVRLLLKQFEHWLSFDYSDELRQSLLYLSMLPQGYVFEKDRLVMKWLDEGLLSELHFSEMVDRNIITPAARNCGHNLDEDDLCLWQVNPFILRFLAYRAAEKGYVITSATLNSAPRGGGKNTRIARRLALHHPDPQLPEMLQEMDLSHTRSLLISGAVNRTTVPLDKFGYLVSLDLQGWENLKDEDLLQICKMLVLSYLSVSNTKVSKLPPQIKELRTLNALDISHTHISEIPSEVCEPGSLRMLDLRGTQIKHMPEQIVRLKMLKHFLIDLSEFSERSVKYLGDLHDLKILAITWAFHQCNDKAYQQALLSSLGKWRQLKSLTIHCGLGCSMEFLRSLSDPPKFLDEFKVTAGKFANVPQWIEGLEHLTFLQITVCKQVAGDVKILAGLVKLQRLILGLEFIPEEAIVIESKGFKELEKFSLDCPVPRLTFEEEAMPKLTYLRLNLHTSPMSEMSVPSGIGNLKMLSEVALCYNVRYMNSPNIKRKVEAVSKEVAKHRNPIDLFIRGMQIEVNQAGEEEAEGATRFNQVNSPEDVVQAADEAAPRRETEFQSEIEDEGNDVLLS >ORUFI11G20750.1 pep chromosome:OR_W1943:11:23281008:23289335:1 gene:ORUFI11G20750 transcript:ORUFI11G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARRCHGLLLRRRRRLLPNLPPLSTAAISTPSPPPPPDATLDGAAPGDPGALAPDDAIASLPTVADSAGSAAALALFRRLASRPDLRRLMRLYVTAATAFVARGSLPMAHEVMRGMVAAFGEAGRLPEAADMVLEMRSHGLPLCVETANWVLRVGLETGSFVYARKVFDGMTRAGVCPDERSFRALVVVCCREGKVEEVDALLAAMWRYGFSLDNATCTVVVRSLCEKGRFKDVSEFFRRMLETGTPPNVVNYTAWIDGLCKRRYVKQAFHVLEEMVGRGLKPNVYTHTTLIDGLCKIGWTERAFRLFLKLIKSSSYKPNVHTYTVMIGGYCREGKLARAEMLLVRMVEQGLKPNTNTYTTLIGGHCKGGSFDRAFELMNKMKQEGFLPNIYTYNAVIDGFCKKGKIQEAYKVLRMATSQGLKFDKITYTILITEHCKQGHITYALDLFDRMVENGCCPDIEAYTSLISTYCQQRQMEESQKFFDKCLMIGLLPTKQTYTSMIAGYCKVGRSTLALRVFERMVQNGCFADSITYGALISGLCKESRLEEAKALYEGMLDKRLVPCEVTRVTLTFEYCRREKTSIAVSVLDRLDKRQQVHTVDVVVRKLSALGDVDAASLFLKKVLDEDYAVDHATYTGFINSCYENNRYALASEMNPQVLRSYMAHCPANPGRNCIPLSVYHLRQPKVSYHCFKVSIKQDIGCLDIAVDNLGVAVLMKEQKHS >ORUFI11G20750.2 pep chromosome:OR_W1943:11:23281008:23289335:1 gene:ORUFI11G20750 transcript:ORUFI11G20750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLARRCHGLLLRRRRRLLPNLPPLSTAAISTPSPPPPPDATLDGAAPGDPGALAPDDAIASLPTVADSAGSAAALALFRRLASRPDLRRLMRLYVTAATAFVARGSLPMAHEVMRGMVAAFGEAGRLPEAADMVLEMRSHGLPLCVETANWVLRVGLETGSFVYARKVFDGMTRAGVCPDERSFRALVVVCCREGKVEEVDALLAAMWRYGFSLDNATCTVVVRSLCEKGRFKDVSEFFRRMLETGTPPNVVNYTAWIDGLCKRRYVKQAFHVLEEMVGRGLKPNVYTHTTLIDGLCKIGWTERAFRLFLKLIKSSSYKPNVHTYTVMIGGYCREGKLARAEMLLVRMVEQGLKPNTNTYTTLIGGHCKGGSFDRAFELMNKMKQEGFLPNIYTYNAVIDGFCKKGKIQEAYKVLRMATSQGLKFDKITYTILITEHCKQGHITYALDLFDRMVENGCCPDIEAYTSLISTYCQQRQMEESQKFFDKCLMIGLLPTKQTYTSMIAGYCKVGRSTLALRVFERMVQNGCFADSITYGALISGLCKESRLEEAKALYEGMLDKRLVPCEVTRVTLTFEYCRREKTSIAVSVLDRLDKRQQVHTVDVVVRKLSALGDVDAASLFLKKVLDEDYAVDHATYTGFINSCYENNRYALASEIIRLHNTIYKSDSCSAAVSYHCFKVSIKQDIGCLDIAVDNLGVAVLMKEQKHS >ORUFI11G20760.1 pep chromosome:OR_W1943:11:23285425:23288687:-1 gene:ORUFI11G20760 transcript:ORUFI11G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDSRGVLNGWNNNQVSPCYFPSISCNQDQKVISITLSSSGLSGFLSPSIGKLLYLQQLLLNDNNITGGIPQELGNLSSLTTLKLGGNSLNGSIPDSLGRLSKLQNLNLADNNLSGGSNNSKLKVVASIGGAVTLLVIIVLFLLWWQRMRHRPEIYVDVPGQHDHNLEFGQIKRFSLRELQIATNNFSEQNVLGKGGFGKVYKGVLSGPHGRKVAVKRLFEVEKPEGEIAFLREVELISIAVHKNILRLIGFCTTTKERLLVYPYMENLSVASRLRDIKLNEPALDWPTRVRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMIDRERNTVTTGVRGTMGHIAPEYLKTGRPSVKTDIFGYGVMLLEIVTGERAVFPEFSEGDSEIMLNDQVKRLVQGGRLTDIVDHNLDTAYDLQQLEKMIQIALLCTHVEPHLRPAMSEVVQMLEGNVVPAEQWEEWQVAELARRHQHEMNQQRRLFSFSEESLNIQEAIQLSSGR >ORUFI11G20770.1 pep chromosome:OR_W1943:11:23298191:23298529:-1 gene:ORUFI11G20770 transcript:ORUFI11G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVERKPPADAAGGGESEDSPPSPAAVERMARLPPADVAWFLSLRRENLGHQFGYVFTAPADRDDPAASPEEIAGDWFEAGGCFEKADEVIERIQASVRAQYEAQGFVEM >ORUFI11G20780.1 pep chromosome:OR_W1943:11:23310220:23312121:-1 gene:ORUFI11G20780 transcript:ORUFI11G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFVVVYAHSPLGLADLTQPMFAWTQVGCIIFPVFTATPISPSPKPVRIENNIARTMEDNLALAAPHIQSEKRAPTTSALQKIPKAAKPMTSDNGPVPMRKSPPNDNTWCSIHETSQHPLIDCKEDYFQSINLSGRRCMGETNAVPQLGFPAAAGQSSQGPSRVQQPANDARAAKNNDAWVAQNNGAQTADINCAEKRVAVVVVVVHIEKVVTMAVQEEKVAATVV >ORUFI11G20790.1 pep chromosome:OR_W1943:11:23314574:23320659:-1 gene:ORUFI11G20790 transcript:ORUFI11G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIDDTQFRNEFNNLMKIQHPNINGSLDKHLCEESHGLDWCTRYKIIKGTCEGLYYLHERLKESIYHLDLKPANILLDKNMDPKIADFGLSRLFGGTQTHTTRNCKGTEYYMPPEYIQRRQISNKYDIFSLGVIILQIIAGPLGYSKCDDMPPQQFIELVCKKWRDRMEATSNYASTEEDCQRLKRCIEIALRCVEVERKNRPSMRDIIRELKQIDETSSSMSSWNKVRIAKIGQWGGIGGNYRDIEVAPCRLGSLMIGCGEVIYSIAFSYYDYNGQQHKVGPWGGDGPDKGVNHTIQFSLSEYLTGISGTIASSPYGVIITSLTLVTNTRTYGPYGQVGGTPFQIPIQIKGSIVGFFGRVGWYVDAFGIYVNPNQDATHEDEAAVVKIGPWGANEGEAHDIDVLPCRLESVAICSSDYVESFGFSYSDRSGHQHTAGPWGRPGGNTHTVQLGSSEFLIGFSGTTGPSSTLAKDVVTSLTLITNARSYGPFGQVEGSPFQVPMRNNASIIGFFGRGDLYVNAIGVYINPEQEKIEQEAGITKIGPWGGNGGNAQDIDITMQPQRLESITISCGAVVDSLAFTYADKNGHKHAAGPWGGNGGRIHKIELGPSEFVTKVYGTIGPFGKFSSVITSIHFTTNADRYGPFGQGTGTRFEAPMHSDGSIVGFFGRTSSYVDAIGFYVVPV >ORUFI11G20800.1 pep chromosome:OR_W1943:11:23322117:23324417:1 gene:ORUFI11G20800 transcript:ORUFI11G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVKLPMPRSTSLLQEPTPPGYLPGSVYPVMASGHDNLVSEPLTIASDADHLLYPVDEYLLHQLFDGYGVEKKIEVHQMGTYVEASVPFQTRAAAEHAWNLNGRAIYDGCWLDIQWEQPSNNSTTPVTSLSMIITEWKEDIKELRAVLQDLVAFLQEELAKEKEEGRQWD >ORUFI11G20810.1 pep chromosome:OR_W1943:11:23346202:23353567:1 gene:ORUFI11G20810 transcript:ORUFI11G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKSEGPRDISFALLEKITGNFSEKHKLGSGGYGEVYKGVMPTGEEIAVKKLYVIPGLDDVQFKKEFNNLMKVHHQNVVRLVGYCYETKKKHIERNGEFVFSNVEERALCFEHVQLGSLDKHISDESCGLDWDTRYKIIKGICEGLNYLHNGSSNPIYHLDLKPSNILLDKSMIPKIADLGLSRFFATTKTHITSQIKGTLGYMPPEYIERRQITKKFDVFSLGVIIIDIIAGPSGYSKCAEMTSQQFIELVQGNWKKRLHAATSRYPSQEADSLQVKTCLEIALRCIDKDRAKRPTISDIVDKMNEIDTPKMSLLSKRPEPREFLGFDPLELRFPFETNKAISCVLQLTNKSDDIVVFYANTNKKKYQIHRDQGVMAPWSRCYVIVTLQPQGSAPPNMQCNDMFVVRSTRVRESDLGSLDINVTEQHLEKQMGEVKSLPIVFVPIPQPPTSIAD >ORUFI11G20820.1 pep chromosome:OR_W1943:11:23361897:23380130:-1 gene:ORUFI11G20820 transcript:ORUFI11G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLQHTADTMPNDLTIDLLTRITDNFSTKHQIGHGGFGTVYKGVLENGEEIAVKKLDIISLDDVKFMNEFTNLMSVRHKNIVRLVGYCCETRRKLVPHNGKLVLAYMEERVLCFEYLQRGSLDNYLSDESSGFDWCTRYNIIKGTCEGVNYLHNGPQGHIFHLDLKPGNILLDKNNVPKIADFGLSRLFEKTLSHHTTKVVIGTPGYMPPEYIEKKHITEMFDVFSLGVIIIEIMEGPKARSKLLEMPSQEFIELVHENWSRRFQQTTPTYTSEEIGSLQRQVKTCLEMALQCVEADRHKRPTIAEVVSRLNELDAMFQRTSPSLLPSELPIDPASPGDQDSSNASRHNERETMEQERGARGEYDNEVGVLRKFGPWGGKGGSAYDIEVPPHRLYSVTICSGEIIDSLAFSYIGPNGQSITIGPWGGNPGPSPYTIQLGPSEFLLEVSGTIGRFANSRSNVITSLTLVTNEDRYGHFGTERGDPFCTTLQTNCSIVGFFARASRYMHAIGVYVNTNQLNLAVSRRRFRTDNLGNANEKLVKIGPWGGNGGRAHDVNVAHHRLESIAIGSGSIVDSLAFSYIKPNGDRLTVGPWGGALPNPYTINLDPSESLLDVYGTIGPYVDSRSDVITSITFVTSKDTYGPYGTGGGVPFSTPVKGNSRYMHAIGVYVDAGVDENDRLAKIGPWGGSEGIVKDINVAVAPHHLESVTICSAVVIDSLAFSYSKSNGQKYDIGPWGGPGGMSHTVKFGSSEFLVRVFGTIGPFRASRCVVTSVTFVTNVRSYGPFGQGGGTPFDVPMQSNGKIVGFFGHARSYVEALGVYVDVKQGEDHESGEVIAVKKLYPSEVIDDKQFLNEFNNLMRIQHPNIVRLHDESGGLDWRTRYKIIRGICEGLTYLHGLEEPIFHLDLKPGNILLDKNMMPKIADFGLSRLFGGTQTHITRTVKGTIAYMPPEYIEMRQISNKYDIFSLGIVIIVVITGPEGFERCADTPSQQFVEQVRNNWWNRIRETSKYAKEYCEQVKRCTEIALNCIEVEKEKRPTIVDVIHELKKTETAVIHETVEEDVMAGLIKFGAWGGKGGRVHELKLAPRRLKSVTIYSAVVIDSLAFTYTDRNGHHQTVGPWGGSGGDRYTFLQEISGTIGPFMNSRLKVVTSLKFVTNEHIYGPFGQGGGTEFCAPTKSTDSIVGFFGRAGWYIDAIGVYLHPKQPTVLDEEELTTDVQTIGGRGEEMEMAGFNKIGPWGGNGGIEYVMETVPHRLESITIYSSVVVDSLEFSYSEVRAPLDQRIYVEIS >ORUFI11G20830.1 pep chromosome:OR_W1943:11:23381278:23381667:1 gene:ORUFI11G20830 transcript:ORUFI11G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSLPYPPSDGSAAELARSVLAIFVSPRLLTPPRRARVVDSPATTATPLHGVCGGIAWGLVLSGDALLYLAASGGGSEEGSVGVTCRRVWAHLRKTDEELVAKDGYANLRRPKGLSTWTRIFGSWE >ORUFI11G20840.1 pep chromosome:OR_W1943:11:23394002:23396749:1 gene:ORUFI11G20840 transcript:ORUFI11G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASLAIRKALDTLSSFLPASLWAPSSSMAPRVRQGQDQDLENLRMLENTMRRIHATLHDAEQHWDIREESTKLRLKELKELAYDAEDVVEEYEYKVNRCRLQQLKENKGFPIDAGMVPVPTALAFQTRRLLERFDQLVEYHSNFTLSVNDGVRLHDISNISTHRETSSVVFAPRILGRENEKNTIIEKLFRGEGDSVISPISVLAIVGMGGVGKTTLAQLVYNDSMVRESFDIHGWVCVSENFDVRKITQGIISSLKMEKCDLLSVDILQKKLEDAITGKKVLLVLDDVWNDQRECWEMLCTPMMKTKLCKIIVTTRSERVARLVQTNPNFYNLNCLNFDESWLLFKQVAFTVDQENGPSHLADIGRAIVQKCKGLPLVIKTLGRMLSRETNEQGWRDALESKFWELEHPRNEVLPSLQLSYTHMPIYLKRCFVALSLYPKDYVFDIAEVLQLWKVLDLLRSDRYHNQDETGSRYLDELVERSFLEDGYFKNFSEDGAYVMHDLLHDLACFLSEDEFFTIGSDTTSIDIPQNVRYLSIQDGASGEISVAPLSLRAIIVLYDARVNIKNPEALFSNCGRLRALVLGERWLGLEFPALEARLKLLRHLKFVRLGACQLYWPGSQPDDGLQGIEHLVNLHTLIIYNYGIAKFPCWLGKASFVKLTEIELSGCDIEHLPTLGSLPFLVSLHFHAMWHLERIGREFCSHDPDVNGFPSLTKLTFIDMPKWLEWSGVGDGDFPRLHTLEIRIATHLRSLPPLMCLKTLVLDLCPCITIPALPKLRILHIRGYSSDDVVLNRLPSLEFLRIIRHCTQRIRLEPKHLASLRKLHLSCNNLQYCDGLGGLTSLVELKLWGCPKLPVHSLLPRMQLELIVREEEGIFLL >ORUFI11G20850.1 pep chromosome:OR_W1943:11:23398273:23398794:-1 gene:ORUFI11G20850 transcript:ORUFI11G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSGLGRSARQAFGAAVQEEAATAWDTEVATVRDAEAATANSSSGRRQRQWWRRTQRGRSGLLLRLRDGGGGGQRQAGQHARRGGADSGRHAFGTAIRSSSSLSGWWRRLVAEEAGGGARDGGGDGGGCGWTWGSGWGRRPVVEAEAAAGGLHAAQSAAVHTDRPGGHEHL >ORUFI11G20860.1 pep chromosome:OR_W1943:11:23402121:23403484:-1 gene:ORUFI11G20860 transcript:ORUFI11G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTGISTPHSSKSSGTGSSDENMSPTIATPEVGNETPSNVSVPCSTIVTGTLANVTNLSAIELRSRRDREVYASLSAEEKEAKLEKNRDYRQRKKEAATSRTKVYASLSAEEKEAKLQKNRDYRQRKKEATTSLTGTLGDITNLTPVELTRKRARERYASLSAVKKEARLQQMRECYQQKKRERSVHLL >ORUFI11G20870.1 pep chromosome:OR_W1943:11:23429763:23437942:-1 gene:ORUFI11G20870 transcript:ORUFI11G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDELQHTDAMPTDLTFDLIKSITDDFSEKNQIGHGGYGIVYKGVLENGKEVAGKKLHDIASLDDVKFMNEFINLMSAQHQNIVQLVGYCYDTRRKVVLHNGKYVVVHVEERALCFEYLQRGSLDKYLSDESSGFDWCTRYKIIKGICEGVNYLHNRRQDHIYHLDLKPGNILLDKNNIPKIADFGLSRLFCDTLTCHTTKKYIGTVGYMPPEYIQICHITEKFDVFSLGVIIIEIMTGTKETSKRADMSPQQFIELVHENWRRRFELATPMYTSEEVESLRLQALTKFGPWGGSGDMDRDMEVVPHRLESLTICSADIINSLAFSYNDHNGKQHTVGPWGGDGGAAFTIRLGAFEHIKGLSGTVGSFGMLQNVITSLKFTTNLNRTYGPYGKGGGTPFVVPVEDAASIVGFFGRAGPCVEALGVYIRTYL >ORUFI11G20880.1 pep chromosome:OR_W1943:11:23438902:23440267:1 gene:ORUFI11G20880 transcript:ORUFI11G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLAIKVDWVLHPLDWVGERWGSPLPRTSPSSEAIFRRLQRLPHGAIAVAASARLPVFSTTDRLLTRHCPLAAPDSHSPVAFGALPSATVPAYRHYPPLPAGLLPSPLPSPPSSHRRGRGGARAIDVAPFRLQTSAPPPPCRRAPTPSARGSAPPAGKAGSGPPRHLRRPPPPRCCAPLLPARGDPGGGGWIWAAPPPPTSTSPPPHSLATTTAGSGEKGRCRIWLAAPPRRRRVAHPVGVVGVDCATSGGERRPRRCRPFGPLERRRGGGEREVGVVERRLRAPSRVAPASDAGAGVTTAISGPCIKINYKTYQ >ORUFI11G20890.1 pep chromosome:OR_W1943:11:23462572:23462799:-1 gene:ORUFI11G20890 transcript:ORUFI11G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVEKKPPADAAGGGGGARLPPADVAWLLSLRRENLGHPLGYVFTAPADRDDPAASPEEIGEEWFEAGGCFVK >ORUFI11G20900.1 pep chromosome:OR_W1943:11:23465599:23471979:-1 gene:ORUFI11G20900 transcript:ORUFI11G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLALGLLFMGFIQSFADPDFQVIALNEIRMMVNDSRGVLNGWNNNQVSPCYFPSISCDQDQRVISITLSSSGLSGFLSPNMGKLLYLQQLLLDGNNITGWIPQELGSLSSLTTLKLGGNSLSGSIPDSLGLLSKLQNLYAGNHLNCGQNLTSCEGGHKNTGSNNKRHLLNIAIFSPIVAFCILFCWALIRRHRKGKQRLRESLKVRNEELFWGIEGANSDFKFFEVSQVVKATSNFSGQNKLGQGGFGPVYKGQFPDGMEIAVKRLASHSGQDETRRASLNWIKRLAVIEGIAEGLLYLHKHSRLRIIHRDVKASNILLDSEMNPKISDFGLAKMFSSNDAEGNTRRVVGTYGYMAPEYASEGLFSTKSDVFSFGVLILEIITGKRNSGFHQYEDFFNLLGYAWQSWKEGRWLQLVDSSLVTDNCALGTMRCINIALLCVQENAADRPSMSDVVAMLSSESMTLAEPKHPAYFHTRMTKEEVSTIIESCSYIQ >ORUFI11G20910.1 pep chromosome:OR_W1943:11:23475067:23480056:-1 gene:ORUFI11G20910 transcript:ORUFI11G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEREKVVRLAKLAEQAERYDDMVEFMKTLARMDVDMSAEERLLFSVGFKKTIGARRASWRILESLEQKVTAGEQPGVTINGYKKKVEDELRAVCNEVLSIIAIHCLPLANSGENVVFFYKMKGDYYRYLAEFSTGTEKKAATDQSLMAYQHAMVVSSSELSPVHQFRLGLALNFSVFFYEIMNSPERASQVAKQALDEATAEINSAGVEGYKDSMLMMQLLKENLALWTSELTGGETSKDDDVVMEG >ORUFI11G20920.1 pep chromosome:OR_W1943:11:23486169:23503182:-1 gene:ORUFI11G20920 transcript:ORUFI11G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTLGLAKSAVETTVRKVQSAIEEEAELKERVQYDLVFITDEFQTMQSFLKDLNSVDREQLKSNVVRTWVSQVRELAYDVEDSIELVVHLDGDKSYWWRRFLASCCWCCPSVAPALPLDEAVADVKQLRVRVADVSLRNMRYRYNLIADYPGKPAAVDAPPPPPPQQPAAATAFEAAAREQRRHCPGDLTQLVAKEGSELRVISLWGTGGEVGTTSIIREAYDNTEIRLSFRCRAWVNLVHPFNLDSLLQSLQTQFNDTSSGQEQGRRRTSTVQGKFLVEEFTRQVQEQRYLVVLEGLSSIAEWDAIRDYLPDKSKGSRIIVSTEMYVIASLCTRQPFQIWELKQLVDHSIHVLFKEGSQHEHPFYSKMVEAQYEFDEVNFDGRTREVLVFSSLLEKHKVVAVWGVSGVGKSAFVKRSYYWKIKDAAAYYKKIEKATVKQNIHEAEDYYWKIREAVDFDRYGWVDVSHPFSLKDFSRSLFLDLCPELRQAEENADFYMSGVKDPIKECHGFLRDQRCLVVIDGLRSTEEWDLIKANLANGPFASSIIVITNEASVAEYCTVPSKALLSLRSLEADKSFDLFKKVVYKMNPEFDVDVCIKLIRKCGGLPKVIVAIARLLVTSKTNTSQLVQSMNTRFMGMLKTKPEVDGLRDLIGWTHYYLFQTCPVYLRPCILYLSSIFPGHCGVRRRRLVMRWVAEGYSKDTDSHTAEDNGEKFFSELVELDMVQHPPKSITTVFNETRMVLCQVNTFFQEYIISQPLEDNDFTLALEAFTLKGQCRPTTQRRGRHLVIEESWERDKIVFDSIDFSWLRSMTVFGNWEPYFVSEKMRLLRVLDLEEVSGLKDDDLERMMRLLCRLKFLSLRGCTNICHLPRSCGALRQLQTLDIRHTSIVTLPSTITKLPKLQYLRAGMAGSQWTLSNTNVLLNCSGRHQIAASVKVPAGIKQLTTLHTLGVLNASATGGKAILGELKELTQLRKLGVFGINRRNNNEFISAIASLRYLESLSVWFSEDNEQAGRLDGLSHPPKKLQSLKLYYNHVDRLPIWIDQLGNLRKLDLEMIMPTQEDIHLLGDLKFYIILRLCVNPSQDGEELHFSVRPEDTENNRPKGLGFPSLEVLEIACNSRLSLVMFEPRVMRLLEPLKVRCYGGQPSVRFSGLKNLNNLKEISLGGTYDGEGRCSLTSQRTGRHLVIGSTWERDKIVFMSIDFSRLRSLTVFGKWESFFISDNMKLLRVLDLENASDVTNDDLERVVNLPRLKFLSLRGCKMVSRLPDSLGGLRQLQTLDIRHTSVVRLPTVIVKLHKMQYIRGGAKVTLGEEGTSASLATAETETQPKCRGKHAGDPCSGIEVPRGIEELTALHTLGVVNVGVAGGKCHRYLESLSVRLDKDEQGSCDDKLKEDLRNRIAEHPNKLVLKLKME >ORUFI11G20930.1 pep chromosome:OR_W1943:11:23510780:23516200:1 gene:ORUFI11G20930 transcript:ORUFI11G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRSGSSNDSGSASNPSGNTGFDASRPSSGSGSTMGGHARSILNAARVIPPNDDEKKPLWSYSRVKAHLLKIGTIGVATCKKVTVDILGQLQDEMTRAEAISARNLPKDIPLPTESVSRGKRRAVSAIESSFNLDARAKLDALIARMFYTSGIPFNVARNPYFRKAFQFACNNQLGGGAGGGAAKPQWKAAIDFKWIRENTDAVAANIRDRNSAANLDLVLQLYDEYLALQKEVERLRAERNAVANKMKGKLEPSVRQALVEEGKNLKEGLIALEEDLVQLTDKLQLEAQSIPNTTHPDAPVGGEESSVVRKEVGSQRNFNFTIRDHLQLGKELDLFDFDAAAELLYEQLYFFSLVHFTKVVYCHFQVSGSKFYYLKNEAVLLEMALVNWAITEVSKKGFTPLITPEIVRSSVVEKCGFQPRAQNTQVYSIDNSDQCLIGTAEIPVGGIHMDSILPDSDLPRKYVAYSHCFRTEAGAAGAATRGLYRVHQFSKVEMFVFCRPEESDKCHEELITIEEELYASLGLHFKTLDMATGDLGAPAYRKFDIEAWMPGLDRYGEISSASNCTDYQSRRLGIRFRPSPADPPPANAKKGKGSSGPTQFVHTLNATAVAVPRLIICILENFQQEDGTVVIPEPLRPFMGGLEVLSPKTK >ORUFI11G20940.1 pep chromosome:OR_W1943:11:23519009:23519552:1 gene:ORUFI11G20940 transcript:ORUFI11G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDEEGSGSRSPSKAAPAPAPAKKKKTKTTTTTSAMVPLPLAEVKWILAQKREPYTNPDDIEGFKISSNPNNDNDDGFPEELKASCRDSIRRSNILRKVADDRFFEYQSERRARGRAKLNEAWAKLRDGLPLSDSDSDADEEDDEDMALLAAMGLEFD >ORUFI11G20950.1 pep chromosome:OR_W1943:11:23521835:23523993:-1 gene:ORUFI11G20950 transcript:ORUFI11G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCGRVMADEDFVEERRFWAWENHRGFLVDVGASVEYEEDDDYDYDGDHQEMDWDNLFPTNNFVKKYT >ORUFI11G20960.1 pep chromosome:OR_W1943:11:23554197:23556454:-1 gene:ORUFI11G20960 transcript:ORUFI11G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSMRRDWFMRDEMESMNAFLFKIADANEDNDPQVRAWLNQVRDVAVRSEFFVDRYVYCLDGTHDAGCCGPLRHFARLLATILARHRLATHIRDLTARARDVGERRMSPNDVRSRSWTPIPDQHRPRAIDSRPFGELDQPEAAIPGEPVPAREQQHQRAASEHEDLERRRILADDDSDLLTEVDRIPLMDQYVHFLQHREPNVISILGLRGTGKTILARKVHDMYGQYDPDCSRTAYWISLGDDQSSKKVLESILVSIAPNVPGVENVSSWEQGSILGMIIRRLNDTRFLLVFDDVRSESLMSDIGDIVRCNCYAGSAILLVTSIPQVAATSCNPQNIFDFNDFPEQHKESLINFFLERAVSLVANSQQNDLLEVLKSILTRCAPSIFTMKMLLRCLFVNPNRNIEELRDLNNSLQPSSALNANSMLNFCYRSLPSHYRNCLAYLAISPRNHTFRRTNGAEGLISRTDVTSASEEPSDVANRCFDALCNHRFLLPAGDFTGTSFWGRFKSCTVHGIVRDFLSTIMEDEGVVDEDLFPDMAKRISIQNEFLHACRDRSLVESLATHPGLHLINVLDLEGCSGFQAKDLKVICTRARHLTYLSLRDTGIYHLPKQIQELHNLQTLDIRQTNVRVLNVVFPRLKYLLAGKEAPSGESFSTVKMPDISFMTDMEVLYHVEISSQDDGLIDLGRLRRLRKLGVVFNRGRVDLMKFLLSQPRTVAVGTV >ORUFI11G20970.1 pep chromosome:OR_W1943:11:23557971:23559218:1 gene:ORUFI11G20970 transcript:ORUFI11G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPVWAIDSSDGEPGFTIPTRPFLPCPPSRHYGTTGKPYAIDVWGDGGSLGASWAPVGGWWWGARTVVACSEVLRMVAADGNNEDATI >ORUFI11G20980.1 pep chromosome:OR_W1943:11:23575590:23576153:1 gene:ORUFI11G20980 transcript:ORUFI11G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTRDDEACPSTTTTTKSTTDDEPAAPAATTPAVTTTTTVIHDDGAPAPPSPKRRKVAAAAAPAPAVVDERARLKRRIAWVADKIATHRDEVETPYGFPDTCYGYAGYGFVGWVRADFAGEERAAERAALEAWMQIEWERRLLRWRRSEQQQPGVDGGGDSSFGVWDDDDQEDSEKKTEYKIIAG >ORUFI11G20990.1 pep chromosome:OR_W1943:11:23577320:23577742:1 gene:ORUFI11G20990 transcript:ORUFI11G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEYSTKAAAVEAIDGGEEVVVPAGKLLLPREVVDKILAIKRRPFSFGDDDIGSDDDELRELAVQHEALQDKFAACQAMIREHRHENKGYAIVDDELQVRMAVTRALHPFVERYHWVGEEDEEEEQVADVVGGEEKLI >ORUFI11G21000.1 pep chromosome:OR_W1943:11:23578824:23584120:-1 gene:ORUFI11G21000 transcript:ORUFI11G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATGSHEEVPATATAKLRLSQENVDWILARKELCGDDAPDISRYIPFLLQSPSGVPGAEDPLPESYYDNPKALMRYIDSLLMDEFDKFRDFEKWVRAKHEEKGFVEVEYDHNHFEQRQHRREERRALWAEMLAEEMADILPTIEDGEFGDYIEDGEFGDYIEVYDEKRRKFVMKEVEGNIGVPVFDKEKKRFVFENADRVGPRPYRALHRRRPGHREIHPIFPFVPDADADEDHLPEIYYDDEPEALLVHVNGILKATWANPGPSSANGFVEVEYDVDEFEETQRLQEERRLWRAHVWEKIFADDPPEEGEFAEYVSVYNQETRAFEVRPKELEEGTRTIAVFDKVKMKLVLKKLDQYLVL >ORUFI11G21010.1 pep chromosome:OR_W1943:11:23601281:23606388:1 gene:ORUFI11G21010 transcript:ORUFI11G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding METWESSPSQPLALVSFLYHFRHSTSSREMTTNRLAPVGRNKSFVARAIEVFSPCSSLLTVTLRWGRKKIREGKRKKINLIGGSHDLGDAVNYLRGDEDYVGREITVDVRCGAHVKVKRAAELPDEVLVEELDGQNDCELTAVFRGEEAYLTAVFRGEEAYVEPAPGWEVRGEDAAEAYVERALSAVFRGEETHVERVPSEEELDGQNDCALTAVFHGEEAYVEPALGGEVRREHGEEVHVEPAPGGEVRREHGEEVHVEHVVVLDHEPVEATAGLVLGAPVPAAVRAAVLEARALKNMEGAKAAKEARKLWERGNLNGSNNGCNSNSPSPSEKRRFAGPKEKIFQKFDVEASYLTGNEDSDSKYRRLVDLFNQMISLMEAVEHGLSLEDSKDLEREMKSTIHEIRKIFST >ORUFI11G21020.1 pep chromosome:OR_W1943:11:23617944:23619147:-1 gene:ORUFI11G21020 transcript:ORUFI11G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRTATMRLSQDNIEWILARTELCTDDAPDIERYIPYVPDADVDEDHLPEIYYDEPEALLVHINGILKATWAKFRDFQSWVRVEYGSNGFVEVEYDVDEFEEAQRLQEERRLWRAHVWEKIFADDPPVEGEFTEYVSVYDQETRAFVMRPKELEVACP >ORUFI11G21030.1 pep chromosome:OR_W1943:11:23620931:23621422:1 gene:ORUFI11G21030 transcript:ORUFI11G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSKQLRMPQEHISWILHRREPSFDEADKAAARRELYTDDHELVRSGWFDDLLALQRGFVERRKASWARFCEMAARVRAEFEANGFVEVDDGYFDRQEENRALVWENCGREFAQMLRENKDGEFGDRDDEAVSDDEHQHEDEEEDEPHDQESESDGDL >ORUFI11G21040.1 pep chromosome:OR_W1943:11:23624502:23626696:-1 gene:ORUFI11G21040 transcript:ORUFI11G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADADDEEKGRAGVANGVLLAFLAPEEALALGGDHNEALHGELRVRQGHEVDAGGDDDDEAWRGEVRAPQGRAYDDDAAEDEEGLRFLPGRARYGVETRGFRAEDVGDEGCRGQGHEVDAAGDADDEARRGEVRAPQGRAYDDDAAEDEEGLRFLPGRALGEEVRGVLPLRDRALRLRRDRMGYDDEGDVLRLQARGDAEDDEGLLRRRAHGEGLPLPAFGVSGERRPLGGRARLYYDANDDYQGLRGRARYGVETRGFCAEDVGDEGRRGRAHDDGHERHRGRGRVGGERQSSCANDDDQGLRGGAHDDPDEPRRGRVLLTLRARLKDDDDEELMRDQEGALGDEVHRGANGYREGHRSAHDDGEGPPFAALDDGEERPLGGLVEELFVCRLGDDDEVRVGANHNGEGRRLRGPRPDGEEARVRCGRAYQLAPRAHAQEEPRVRRDRAQEDEEEPPVRRGRARSPLRLQARGDAEDGEGLPFRLRAHGEGLPLPGLGVGGRARLYGEGLGREDDAERLEVAVDDAEGGVDLGALELQDEEPAGGKKVAVSAAVAAARAVKNAAGKKAAKEAMVRWGQRNPNKNTPKKGSGTANCSIYKEPVEVGAFQSNQKIYEPDKRFMTGNEVTDSEYIELVGLYNKMNNLQLETKDMIKGNAMRSDILDNCLLMRNLVRKMRSLRAMIKRKVEGEPPIIPPNLLEIPDIS >ORUFI11G21050.1 pep chromosome:OR_W1943:11:23641621:23642486:1 gene:ORUFI11G21050 transcript:ORUFI11G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQFGVLLLSLALVVQCSDMARKVIMADEEPSSTNPQDSSCGSIVNPGPCFPSSCKTYCKIQVPPNADGNCTPDGCKCTYCLPPSPPTKQGR >ORUFI11G21060.1 pep chromosome:OR_W1943:11:23645694:23650027:1 gene:ORUFI11G21060 transcript:ORUFI11G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRDYQDGSAQYSSSLATRVQEAWNKWEIQCLVMASFSLQVFLLLSAPFRRRHGSRLLNGSLWVAYLMADYVATYVLGRLSFLLAAAGDTWHQLALFWAPFLLLHLGGQETITAFSMEDNTLWMRRLLDLAAQVTMSVYVVGKQWKGDRLLVAPMVLVFVLGAVKYGERIWALRSAAARAPGSSSIASLAARTYSNIASFITSLADVLPESGRGVMAHYRSMVSISSQDKVSIESILKEASMEFQASLDFFMDVSPSNVSGSYSRYHRIKNALVEIKSSKNGYGMAYKLAEMQVSLIYDYLYTKFGTVRFQTFPISKSKSNPTMAAALQWLVSLGLTSVALVLFARAMAGNTTSSKFKYSRPDVLISYILLVGAIAMEISSIFIALTTSCWAGIAVVKHLHLHVGEWSGKLAQYNMVDACVQERERRRQTAASGAVGKLIRWILAPCDSETPQPHVVVSLEVKKLLLNKVLEIATDVDNNRWDFSRFQGQWALWVANRVNGGDSDPAALGPAHRALSASKIQELDFVSTVVAWHLVTTICLLPGDGPGELTNPSKDLSSYIMYLVAKHGMMVDSNGHIVIARSRMEVQASSQMLDFLDELHEDGFIQELRDGDRQYNSPDMIVRHRSRPALTTARKREARRSNVQNVNLS >ORUFI11G21070.1 pep chromosome:OR_W1943:11:23658156:23659109:1 gene:ORUFI11G21070 transcript:ORUFI11G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLEYMEHQRRELEVQIGFGDIYCYCRQFFFFPFREWVCVAHHLVNIYASTTLALTSSFRLVHLRLNHPFNRPATTTSATNRHGAHGYAIKLRVATASSPWAAVPPSMVHLH >ORUFI11G21080.1 pep chromosome:OR_W1943:11:23694101:23702274:1 gene:ORUFI11G21080 transcript:ORUFI11G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIFILLFQFPLTTSLASSRRTHFTNFPSPFATTLIHHRSPLSPAGSRSCRHRSGHPPLSLCLPPPSLGAPHSRTPFTVGVSTSQPPEHRRPSSLSSCLLGRRRKREEDKRKKKRKKNKKKRIFLDRPNVELLVVKPGRTKPKVEVLLVAPHASMRMAVGASLFPRPPQHATQFALGLYVILAAPPPLTVVGSLLYFGGNLWYFEDRIIRLSILDLFALDTLEKKDFRVASTVLIDISIAKYVRRMNDYDRNSRMGIDLAD >ORUFI11G21090.1 pep chromosome:OR_W1943:11:23702473:23704957:1 gene:ORUFI11G21090 transcript:ORUFI11G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMPELLLLLITYVQSAWGLKFMEQVPLMLVTEKLGHDKANVLACDGLRPCSRILSIGTHNGILNQIQGQSVAPRTPRLDAYSGRSFTIPSTSTTRCTVSSRALCDPSRSVATHSCRSNTSAPLNLQQPPIPLANMLSVDTG >ORUFI11G21100.1 pep chromosome:OR_W1943:11:23723426:23727350:1 gene:ORUFI11G21100 transcript:ORUFI11G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGEATTGSETAAPAPVPEPTPPPCQGRLITVLSIDGGGIRGLIPATILACLEAKLQELDGPEARIADYFDVIAGTSTGALITSMLAAPDDDRRPLFAAGDLTNFYLENGPKIFPQRRVGFLTPVANLIGVVRGPKYDGSFLHDKIKSLTHDVTISNTVTNIVVPAFDVKYLQPIIFSTYEAKNEPLKNAHLSDICISTSAAPTYFPAHFFKTTDVSSGKSREFHLIDGGVAANNPTMVAMSMISKEVLRENQDFKLGKPADYKHYLVISIGTGTAKMAEKYTAPACAKWGVLRWLYDGGFTPLIDIFTHASADMVDIHASVLFQSLCCEKSYLRIQDDSLEGHTSSVDIATKENMEALIKIGKDLLTKRVARVNIDTGVYEPVDGEGTNEEALARFAKKLSDERRLRRNNLSSS >ORUFI11G21110.1 pep chromosome:OR_W1943:11:23730953:23739704:1 gene:ORUFI11G21110 transcript:ORUFI11G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGEATTESETAAPAPVPEPTPPPCQGRLITVLSIDGGGIRGLVPATILACLEAKLQELDGPEARIADYFDVIAGTSTGALITSMLAAPDDNRQPLFAADDLTKFYLENGPKIFPQQRVGFLTPVANLIGTVRGPKYDGSFLHDKIKSLTHDVTIADTVTNIVVPAFDVKYLQPIIFSTYEAKNEPLKNAHLSDICISTSAAPTYFLAHFFKTTSPSGESREFHLIDRGVAANNPILSIYHLTMVAMSMISKEVLRENQDFKLGKPADYRHYLVISIGTGTATMAEKYTAPACAKWGVLRWLYDSGFTPLIDIFSHASADMDDSLVGHTSSVDIATEENMEALIGIGKDLLKKPVARVNIDTGVHEPVDGEGTNEEALARFAKKLSEERRLRRNSLSSS >ORUFI11G21120.1 pep chromosome:OR_W1943:11:23745045:23746799:1 gene:ORUFI11G21120 transcript:ORUFI11G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYLRSSCKQQQQPSSPAAVASVAAAAVSSYSYLTLRSGRRVPAAAAAAGGSACRRRHRRGGRRGCAKNGAGSARACGARSPSSSASSGQRRRCEAVECSHGGGRAELSRSPPLGNSVVVVSGDVVSGERKSLKPNSCSREVAAEHAGEHKHNPAAAAAAGRRPPLSPPEAEIEAFFAAAELAERRRFAEKYNYDIALDRPLQGRYEWEPTVPNFDVAKDVTDM >ORUFI11G21130.1 pep chromosome:OR_W1943:11:23758428:23762419:1 gene:ORUFI11G21130 transcript:ORUFI11G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRQYWGGGRRPSGGGGGVVVMFAWLSSQERQVRAYVELYAALGWACLVCHSEFLTLFFPDKAAMLADRVLAELVKELKVRPVPVVFASFSGGPKGCTYKVLQLIERRCEGQLSLEEYQLVRDCLCGQMYDSSPVDFVSDLGTRFLLHPSVLKMSQPPRILSWMTRGIASGLDTLFIGKFEAQRAEYWDTLYSSVHVGPILIFCSEDDELAPCSIVQKFGRRLLELGGDVNLVKWQNSPHVGHYKHHPEEYRAAVTELLTKASMLYMSRRQLNSYDLGTSEHSDMLASDVHKAGTNSNNRLRRAPDDPIDHFLLPSSMEYHESSNEEPKPELFNMPSVESINNPHGVLGQMLYDVCVPKNVEGWDFKPSASINGRHINSIARQHGTFNPIKCIRRSKL >ORUFI11G21140.1 pep chromosome:OR_W1943:11:23768462:23775132:1 gene:ORUFI11G21140 transcript:ORUFI11G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGKFGSFISRSVYTVSGPFHPFGGAVDVVVVQQQDGGGFKSSPWYVRFGKFQGVLKTREKVVTIAVNGVEAGFHMYLDSNGEAYFLRNGEPNLEEGEFAVSPVSSGDERDEAAPPPPLPVQDTQLRKSKSISCDSSTMEANAGDGKILARTSSRRVTILERMFGRKSIKDGPDGVDRVSSLERAEIAAELLDTNWSTNPPRGAKARRSLDEPSKSNLEDPVNGNQVETSKVVSPSCSIDQEKDMGSSNRGSVDSNFFSPQGGTDSLGDENNHYIQTTSVKEEVVEIYTRDSSVSIDGTDQAGIESSSNDPGTDKITSEPIDTQSEKIISEPIETQNDTGREMHTREVLSHVIFETHAGETNITIGLSSEMHDISSITSAQDACQEKVVIVSSYETVETSYNVPDILVDKVCHAVGNPLDDSLQPKEQSGVSIEEIEHVSFEDKPLSYYGASSNIEDMTKLGIQDQQVPVFEDSGSQNSQEFVPDKDICVDTVVNDRSAHIVNDLACNHDFVFPAASSSVEEISNYVPDNHLHDVTKDFIVENKTCNGELNISLVQTSTTGDETTECISPSANIPNKVELQGSQIISDLSSLRKVEAESTTLEDTESRSSSASGVEIKLVPEAIYEPREEAEAVVSFSEFVEEIQFQFSDSESFADRKTTDDAASTKEAGAVEHDESDCDTEQQGGNNTGLGNNLENCSDSSRPETIPVPIPGSEFHSDDNNLEAKSLPNLRSHIHDLERSDSFQLSRSLQSNGENNGVEPVKSTTSDLPIQEPEDTGDSKENFVPPEPTNSAIADNLKIDPFNPCVELSLCRHLLSEGMGEDAACKAFDAEKEEQSFEPQGMIKVERVEPNAAPGGWRIWPFSFKRTRSVNTVQPVSESTEEASSSAPVKEVERENNKPRAKRMERKVRSLTPTSEELASLDLREGRNVVTFTFSTGMLGKQQVDAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGVDWSQNGVAHLFSAIKENGYQLLFLSARAISQAHLTRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLGAIKALFPPDSNPFYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRVDTKSYTSLHALVNGMFPPISTSSEQEDYNTWNYWKMPLPAVDI >ORUFI11G21140.2 pep chromosome:OR_W1943:11:23768135:23775134:1 gene:ORUFI11G21140 transcript:ORUFI11G21140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGKFGSFISRSVYTVSGPFHPFGGAVDVVVVQQQDGGGFKSSPWYVRFGKFQGVLKTREKVVTIAVNGVEAGFHMYLDSNGEAYFLRNGEPNLEEGEFAVSPVSSGDERDEAAPPPPLPVQDTQLRKSKSISCDSSTMEANAGDGKILARTSSRRVTILERMFGRKSIKDGPDGVDRVSSLERAEIAAELLDTNWSTNPPRGAKARRSLDEPSKSNLEDPVNGNQVETSKVVSPSCSIDQEKDMGSSNRGSVDSNFFSPQGGTDSLGDENNHYIQTTSVKEEVVEIYTRDSSVSIDGTDQAGIESSSNDPGTDKITSEPIDTQSEKIISEPIETQSEKIISEPIDTQSEKIISEPIETQSEKIISEPIDAQSEKIISEPIEAQSEKIISEPIDTQTEKIISEPIDAQSEKIISEPIDAQTEKIISEPIEAQSEKIISEPIDTQTEKIISEPIDTQTEKIISDPIDTQSEKIISEPIDTQTEEIISDPIDTQSEMLDNFQDDTGREMHTREVLSHVIFETHAGETNITIGLSSEMHDISSITSAQDACQEKVVIVSSYETVETSYNVPDILVDKVCHAVGNPLDDSLQPKEQSGVSIEEIEHVSFEDKPLSYYGASSNIEDMTKLGIQDQQVPVFEDSGSQNSQEFVPDKDICVDTVVNDRSAHIVNDLACNHDFVFPAASSSVEEISNYVPDNHLHDVTKDFIVENKTCNGELNISLVQTSTTGDETTECISPSANIPNKVELQGSQIISDLSSLRKVEAESTTLEDTESRSSSASGVEIKLVPEAIYEPREEAEAVVSFSEFVEEIQFQFSDSESFADRKTTDDAASTKEAGAVEHDESDCDTEQQGGNNTGLGNNLENCSDSSRPETIPVPIPGSEFHSDDNNLEAKSLPNLRSHIHDLERSDSFQLSRSLQSNGENNGVEPVKSTTSDLPIQEPEDTGDSKENFVPPEPTNSAIADNLKIDPFNPCVELSLCRHLLSEGMGEDAACKAFDAEKEEQSFEPQGMIKVERVEPNAAPGGWRIWPFSFKRTRSVNTVQPVSESTEEASSSAPVKEVERENNKPRAKRMERKVRSLTPTSEELASLDLREGRNVVTFTFSTGMLGKQQVDAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGVDWSQNGVAHLFSAIKENGYQLLFLSARAISQAHLTRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLGAIKALFPPDSNPFYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRVDTKSYTSLHALVNGMFPPISTSSEQEDYNTWNYWKMPLPAVDI >ORUFI11G21140.3 pep chromosome:OR_W1943:11:23768462:23775132:1 gene:ORUFI11G21140 transcript:ORUFI11G21140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGKFGSFISRSVYTVSGPFHPFGGAVDVVVVQQQDGGGFKSSPWYVRFGKFQGVLKTREKVVTIAVNGVEAGFHMYLDSNGEAYFLRNGEPNLEEGEFAVSPVSSGDERDEAAPPPPLPVQDTQLRKSKSISCDSSTMEANAGDGKILARTSSRRVTILERMFGRKSIKDGPDGVDRVSSLERAEIAAELLDTNWSTNPPRGAKARRSLDEPSKSNLEDPVNGNQVETSKVVSPSCSIDQEKDMGSSNRGSVDSNFFSPQGGTDSLGDENNHYIQTTSVKEEVVEIYTRDSSVSIDGTDQAGIESSSNDPGTDKITSEPIDTQSEKIISEPIETQNDTGREMHTREVLSHVIFETHAGETNITIGLSSEMHDISSITSAQDACQEKVVIVSSYETVETSYNVPDILVDKVCHAVGNPLDDSLQPKEQSGVSIEEIEHVSFEDKPLSYYGASSNIEDMTKLGIQDQQVPVFEDSGSQNSQEFVPDKDICVDTVVNDRSAHIVNDLACNHDFVFPAASSSVEEISNYVPDNHLHDVTKDFIVENKTCNGELNISLVQTSTTGDETTECISPSANIPNKVELQGSQIISDLSSLRKVEAESTTLEDTESRSSSASGVEIKLVPEAIYEPREEAEAVVSFSEFVEEIQFQFSDSESFADRKTTDDAASTKEAGAVEHDESDCDTEQQGGNNTGLGNNLENCSDSSRPETIPVPIPGSEFHSDDNNLEAKSLPNLRSHIHDLERSDSFQLSRSLQSNGENNGVEPVKSTTSDLPIQEPEDTGDSKENFVPPEPTNSAIADNLKIDPFNPCVELSLCRHLLSEGMGEDAACKAFDAEKVTLEKFRAMKQSLIRNNKLVVRIAGRYFPWDAAAPVILGMVSFQEEQSFEPQGMIKVERVEPNAAPGGWRIWPFSFKRTRSVNTVQPVSESTEEASSSAPVKEVERENNKPRAKRMERKVRSLTPTSEELASLDLREGRNVVTFTFSTGMLGKQQVDAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGVDWSQNGVAHLFSAIKENGYQLLFLSARAISQAHLTRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLGAIKALFPPDSNPFYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRVDTKSYTSLHALVNGMFPPISTSSEQEDYNTWNYWKMPLPAVDI >ORUFI11G21140.4 pep chromosome:OR_W1943:11:23768135:23775134:1 gene:ORUFI11G21140 transcript:ORUFI11G21140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGKFGSFISRSVYTVSGPFHPFGGAVDVVVVQQQDGGGFKSSPWYVRFGKFQGVLKTREKVVTIAVNGVEAGFHMYLDSNGEAYFLRNGEPNLEEGEFAVSPVSSGDERDEAAPPPPLPVQDTQLRKSKSISCDSSTMEANAGDGKILARTSSRRVTILERMFGRKSIKDGPDGVDRVSSLERAEIAAELLDTNWSTNPPRGAKARRSLDEPSKSNLEDPVNGNQVETSKVVSPSCSIDQEKDMGSSNRGSVDSNFFSPQGGTDSLGDENNHYIQTTSVKEEVVEIYTRDSSVSIDGTDQAGIESSSNDPGTDKITSEPIDTQSEKIISEPIETQSEKIISEPIDTQSEKIISEPIETQSEKIISEPIDAQSEKIISEPIEAQSEKIISEPIDTQTEKIISEPIDAQSEKIISEPIDAQTEKIISEPIEAQSEKIISEPIDTQTEKIISEPIDTQTEKIISDPIDTQSEKIISEPIDTQTEEIISDPIDTQSEMLDNFQDDTGREMHTREVLSHVIFETHAGETNITIGLSSEMHDISSITSAQDACQEKVVIVSSYETVETSYNVPDILVDKVCHAVGNPLDDSLQPKEQSGVSIEEIEHVSFEDKPLSYYGASSNIEDMTKLGIQDQQVPVFEDSGSQNSQEFVPDKDICVDTVVNDRSAHIVNDLACNHDFVFPAASSSVEEISNYVPDNHLHDVTKDFIVENKTCNGELNISLVQTSTTGDETTECISPSANIPNKVELQGSQIISDLSSLRKVEAESTTLEDTESRSSSASGVEIKLVPEAIYEPREEAEAVVSFSEFVEEIQFQFSDSESFADRKTTDDAASTKEAGAVEHDESDCDTEQQGGNNTGLGNNLENCSDSSRPETIPVPIPGSEFHSDDNNLEAKSLPNLRSHIHDLERSDSFQLSRSLQSNGENNGVEPVKSTTSDLPIQEPEDTGDSKENFVPPEPTNSAIADNLKIDPFNPCVELSLCRHLLSEGMGEDAACKAFDAEKVTLEKFRAMKQSLIRNNKLVVRIAGRYFPWDAAAPVILGMVSFQEEQSFEPQGMIKVERVEPNAAPGGWRIWPFSFKRTRSVNTVQPVSESTEEASSSAPVKEVERENNKPRAKRMERKVRSLTPTSEELASLDLREGRNVVTFTFSTGMLGKQQVDAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGVDWSQNGVAHLFSAIKENGYQLLFLSARAISQAHLTRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLGAIKALFPPDSNPFYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRVDTKSYTSLHALVNGMFPPISTSSEQEDYNTWNYWKMPLPAVDI >ORUFI11G21140.5 pep chromosome:OR_W1943:11:23768483:23775134:1 gene:ORUFI11G21140 transcript:ORUFI11G21140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGKFGSFISRSVYTVSGPFHPFGGAVDVVVVQQQDGGGFKSSPWYVRFGKFQGVLKTREKVVTIAVNGVEAGFHMYLDSNGEAYFLRNGEPNLEEGEFAVSPVSSGDERDEAAPPPPLPVQDTQLRKSKSISCDSSTMEANAGDGKILARTSSRRVTILERMFGRKSIKDGPDGVDRVSSLERAEIAAELLDTNWSTNPPRGAKARRSLDEPSKSNLEDPVNGNQVETSKVVSPSCSIDQEKDMGSSNRGSVDSNFFSPQGGTDSLGDENNHYIQTTSVKEEVVEIYTRDSSVSIDGTDQAGIESSSNDPGTDKITSEPIDTQSEKIISEPIETQSEKIISEPIDTQSEKIISEPIETQSEKIISEPIDAQSEKIISEPIEAQSEKIISEPIDTQTEKIISEPIDAQSEKIISEPIDAQTEKIISEPIEAQSEKIISEPIDTQTEKIISEPIDTQTEKIISDPIDTQSEKIISEPIDTQTEEIISDPIDTQSEMLDNFQDDTGREMHTREVLSHVIFETHAGETNITIGKSEEISQFVTVKTCQDCSDANSPVYETAGLSSEMHDISSITSAQDACQEKVVIVSSYETVETSYNVPDILVDKVCHAVGNPLDDSLQPKEQSGVSIEEIEHVSFEDKPLSYYGASSNIEDMTKLGIQDQQVPVFEDSGSQNSQEFVPDKDICVDTVVNDRSAHIVNDLACNHDFVFPAASSSVEEISNYVPDNHLHDVTKDFIVENKTCNGELNISLVQTSTTGDETTECISPSANIPNKVELQGSQIISDLSSLRKVEAESTTLEDTESRSSSASGVEIKLVPEAIYEPREEAEAVVSFSEFVEEIQFQFSDSESFADRKTTDDAASTKEAGAVEHDESDCDTEQQGGNNTGLGNNLENCSDSSRPETIPVPIPGSEFHSDDNNLEAKSLPNLRSHIHDLERSDSFQLSRSLQSNGENNGVEPVKSTTSDLPIQEPEDTGDSKENFVPPEPTNSAIADNLKIDPFNPCVELSLCRHLLSEGMGEDAACKAFDAEKVTLEKFRAMKQSLIRNNKLVVRIAGRYFPWDAAAPVILGMVSFQEEQSFEPQGMIKVERVEPNAAPGGWRIWPFSFKRTRSVNTVQPVSESTEEASSSAPVKEVERENNKPRAKRMERKVRSLTPTSEELASLDLREGRNVVTFTFSTGMLGKQQVDAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGVDWSQNGVAHLFSAIKENGYQLLFLSARAISQAHLTRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLGAIKALFPPDSNPFYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRVDTKSYTSLHALVNGMFPPISTSSEQEDYNTWNYWKMPLPAVDI >ORUFI11G21150.1 pep chromosome:OR_W1943:11:23775756:23779255:1 gene:ORUFI11G21150 transcript:ORUFI11G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQPAASSPKRKKKHSKKPEDSNATVDDSLAAAASPSPKKKEKHSKKKREAIDATMAAASPKKKEKKHSKKQEDTNVPEKKREVVHVTVDASLTGAAAAGAAPVVAYFPTGYDPLAAGGGRKGREAPRTRLFRHTKHPSRIELVVGAATGGGGGPDFVGRSYAGEAVLPQLTGYALGVLDKASGTLKVVPIAANKILRLEPHLEVQKLAHSQHSGAVGEAVSSAGDADLKVQDITKAFGTQKDKAKDIKWQSLNEQRNDPSAFMDLDLGNADTSVGANESQEPTVRNIPPYDPAADTSERAYLFDEIIPKSIRPHLVDIIGHFESGEISSKGYGSFVSNRVNKLQELQGEDKERLAWILSYITHLLSLLARNSSMSKRHRKENQATSGPVIPQYVYRKMVLMFTEPGSSALSTEKHELLINYILVLTLYADDFRSDPKDICEDLKMTRQMIKPYYDQLGCKSSSAGAFKSSVMTLPAPLKFPKEATRRKRRRF >ORUFI11G21160.1 pep chromosome:OR_W1943:11:23779978:23783004:-1 gene:ORUFI11G21160 transcript:ORUFI11G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQMAMPAGAAAAAVPPAAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADAQPPQNPGSRPQMMQPGATPGAGHYMSQVPMFPPRTPLTPQQMQEQQQQQLQQQQAQALAFPGQMLMRPGTVNGMQSIPVADPARAADLQTAAPGSVDGRGNKQDATSEPSGTESHKSAGADNDAGGDIAEKS >ORUFI11G21170.1 pep chromosome:OR_W1943:11:23791331:23793009:1 gene:ORUFI11G21170 transcript:ORUFI11G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVRTHRGGASDLSYACVTQEDGASNVGVSLSKELMSVAGEALRTNITTLGPLVLAPAVGAAPVPRHGGAPPRVPRWRQALPNLPDFTVALARPLVHPRRGPRCAGRAGAEPQAEPSRMTLYRFGNTSSSSLWYCEAKGRKALRTIDGGAWAQDIDALPVHVPKPQGGVHRRRQRPTRRGIARRSTTGISLHTTPSSSTIIL >ORUFI11G21180.1 pep chromosome:OR_W1943:11:23810298:23811443:1 gene:ORUFI11G21180 transcript:ORUFI11G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLDREQIKRCVVAFLPPPEEEDAGEEIRVVPCPAAAAEEERRRSSKRKGKRCASKGSKPAGTLVPADGEEMILVPPGKFALSKNLLEARLNP >ORUFI11G21190.1 pep chromosome:OR_W1943:11:23811440:23811994:-1 gene:ORUFI11G21190 transcript:ORUFI11G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRAYLKRCVVALAAAAEDAGEKIALMPCTAAHCVEQFAADKAMAARGSKRSGGTLVPVDGEEAILVPRGKLALSKKLVDKILSLERRELPHVADLLKDGGGGGDPNPSEAEKLLRKSVREMDRYNKKREDKLAECQAIIRRVRHGKGGYAVVDNNLEMGVAVSKAEGIFLDDGEMTDLIID >ORUFI11G21200.1 pep chromosome:OR_W1943:11:23816867:23818881:1 gene:ORUFI11G21200 transcript:ORUFI11G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLDREQIKRCVVAFLPPPEEEDAGEEIRVVPCPAAAAEEEERRRSSKRKAARRRSGGGGTLVPADGEEMILVPPGKFALSKNLIVHPPSLLSVRFLLLAR >ORUFI11G21210.1 pep chromosome:OR_W1943:11:23818967:23819191:-1 gene:ORUFI11G21210 transcript:ORUFI11G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIAVTAEGEEIGSGVAIGGLRRRRRRRSTSGRSSGLRRSEEKRRMLAAAAANGECEEEEGGGGGAPAGAAVAG >ORUFI11G21220.1 pep chromosome:OR_W1943:11:23819428:23819793:1 gene:ORUFI11G21220 transcript:ORUFI11G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVPPGKFALSKNLVDKILSLERMELPNVADIVDDGNPNPSEADKALRRCVLDLDRDNRRHQDKLAACQAIIRRVRHGKGYAVVDNRLDFRVAVCRAEGVFLLPCHIADLIPVGFDLVSN >ORUFI11G21230.1 pep chromosome:OR_W1943:11:23823517:23834613:-1 gene:ORUFI11G21230 transcript:ORUFI11G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLQEAETIALSILKQVMEEKVTPNNVDIAKVSPNYHLYTPAEELTLQEAETIALSILKQVMEEKVTPNNVDIAKVSPNYHLYTPAEVEAVIARFWKPVSNSHVFGPGPVGGGEDAQEERARDGLVQQEAAGQAPASRSVRHGKGGYAVVDNHLEMRVAVCSAEGIFFGEDDIPDLIDEGFHLASN >ORUFI11G21230.2 pep chromosome:OR_W1943:11:23824027:23834613:-1 gene:ORUFI11G21230 transcript:ORUFI11G21230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLQEAETIALSILKQVMEEKVTPNNVDIAKVSPNYHLYTPAEGFIDPGYETISSKACLSKL >ORUFI11G21230.3 pep chromosome:OR_W1943:11:23823517:23834613:-1 gene:ORUFI11G21230 transcript:ORUFI11G21230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLQEAETIALSILKQVMEEKVTPNNVDIAKVSPNYHLYTPAEVEAVIARFWKPVSNSHVFGPGPVGGGEDAQEERARDGLVQQEAAGQAPASRSVRHGKGGYAVVDNHLEMRVAVCSAEGIFFGEDDIPDLIDEGFHLASN >ORUFI11G21230.4 pep chromosome:OR_W1943:11:23823517:23834613:-1 gene:ORUFI11G21230 transcript:ORUFI11G21230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLQEAETIALSILKQVMEEKVTPNNVDIAKVSPNYHLYTPAEVEAVIARFWKPVSNSHVFGPGPVGGGEDAQEERARDGLVQQEAAGQAPASRSVRHGKGGYAVVDNHLEMRVAVCSAEGIFFGEDDIPDLIDEGFHLASN >ORUFI11G21240.1 pep chromosome:OR_W1943:11:23834939:23838860:1 gene:ORUFI11G21240 transcript:ORUFI11G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAAAAAAAAAEQQQEEGEHGPFPIEQLQASGIAALDVKKLKDSGLYTVESVAYTPRKDLLQIKGISEAKVDKILVPLGFTSASQLHAQRLEIIQVTTGSRELDKILDGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMIETRFALMIVDSATALYRTDFSGRGELSARQMHMAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFAGPQIKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQIASEGVADVKD >ORUFI11G21250.1 pep chromosome:OR_W1943:11:23840158:23844915:1 gene:ORUFI11G21250 transcript:ORUFI11G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFQNSPHNGSRILLTTRMTSVADMVTSVMQSNNNYMNLNGLDEYHNFMMFKKYAFYGMKTEDYEHLLPLAEKIAKKFQGCPLVTKIASEHLRSNVSHHHWNNLYRQLENLEGKMSAIITTVLQSSYHHLPEHLQLCFRYCSIFPKGYEFKKDEIVKMWMGSGLILIDSGTERPEDIGERYLVQLARKSFFTFATVGDPCSKFYAEYYVMHDLLHELACSVSVGECLRLESSGYMQHKCTVRHLWIANFNKLTTEEIKAISSFENLRSLIIEDSCHVNDVCIAALEEVVQLLRGLRLLSLKGITKFCLAKEVVNKHLRYISFSGMQDIDGISKLYHLQVLTAVKRISTALKQVNNIENLSHLRYVSYGSNGFGEFFVGRLTSLQELHNFEIQLKEGYRISSLRNLSSICKLQICNLENVGTHEEIIEAKLRDKSYLRSLSLNWSETTNVLKNDDDLILDKLEPHSHLENLEISGYNGL >ORUFI11G21250.2 pep chromosome:OR_W1943:11:23840629:23844915:1 gene:ORUFI11G21250 transcript:ORUFI11G21250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRLDETAAGVGTFLHLTSRFGSALLPDHAEKINQETSSTLVATEIFGRDTEKNEVMRWLVDNIEGDSNTPGINRVPVSAIIGMGGIGKTTLAQIVCEELRDLTYFDCIVWVHVSDNSFNAARITKNILEALTKQKPNADTLEALQHILKENLESKKFLLILDDVWEDSNWGEWQKLMAPFQNSPHNGSRILLTTRMTSVADMVTSVMQSNNNYMNLNGLDEYHNFMMFKKYAFYGMKTEDYEHLLPLAEKIAKKFQGCPLVTKIASEHLRSNVSHHHWNNLYRQLENLEGKMSAIITTVLQSSYHHLPEHLQLCFRYCSIFPKGYEFKKDEIVKMWMGSGLILIDSGTERPEDIGERYLVQLARKSFFTFATVGDPCSKFYAEYYVMHDLLHELACSVSVGECLRLESSGYMQHKCTVRHLWIANFNKLTTEEIKAISSFENLRSLIIEDSCHVNDVCIAALEEVVQLLRGLRLLSLKGITKFCLAKEVVNKHLRYISFSGMQDIDGISKLYHLQVLTAVKRISTALKQVNNIENLSHLRYVSYGSNGFGEFFVGRLTSLQELHNFEIQLKEGYRISSLRNLSSICKLQICNLENVGTHEEIIEAKLRDKSYLRSLSLNWSETTNVLKNDDDLILDKLEPHSHLENLEISGYNGL >ORUFI11G21250.3 pep chromosome:OR_W1943:11:23840158:23842615:1 gene:ORUFI11G21250 transcript:ORUFI11G21250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAALVFAGKSVATPAISFIVNKAFSYLSEWHQAEGMKVVKDRLLQMLNQIQAVYDAVDHQQINEQSHALDKWLWQFRDAVEGAEDVLDEIDYYKLEEEAGVHNLELEKPNADTLEALQHILKENLESKKFLLILDDVWEDSNWGEWQKLMAPFQNSPHNGSRILLTTRMTSVADMVTSVMQSNNNYMNLNGLDEYHNFMMFKKYAFYGMKTEDYEHLLPLAEKIAKKFQGCPLVTKIASEHLRSNVSHHHWNNLYRQLENLEGKMSAIITTVLQSSYHHLPEHLQLCFRYCSIFPKGYEFKKDEIVKMWMGSGLILIDSGTERPEDIGERYLVQLARKSFFTFATVGDPCSKFYAEYYVMHDLLHELACSVSVGECLRLESSGYMQHKCTVRHLWIANFNKLTTEEIKAISSFENLRSLIIEDSCHVNDVCIAALEEVVQLLRGLRLLSLKGITKFCLAKEVVNKHLRYISFSGMQDIDGISKLYHLQVLTAVKRISTALKQVNNIENLSHLRYVSYGSNGFGEFFVGRLTSLQELHNFEIQLKEGYRISSLRNLSSICKLQICNLENVGTHEEIIEAKLRDKSYLRSLSLNWSETTNVLKNDDDLILDKLEPHSHLENLEISGYNGL >ORUFI11G21260.1 pep chromosome:OR_W1943:11:23844981:23845412:-1 gene:ORUFI11G21260 transcript:ORUFI11G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPEWQPKATKFARLHTLGQKDVKQQRDDRTADLGGAPVTPSVDVEAPARDYEPPEHLELLVTDLGILQPLARCLLSSLMSQNSGTYKVVFDKVSEVYSEFS >ORUFI11G21270.1 pep chromosome:OR_W1943:11:23856408:23867769:1 gene:ORUFI11G21270 transcript:ORUFI11G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHELIPAQTTSSTHKHLNLDLFLHQAYSGPNKNQQRFMIGLCMMMKTNPKGNSSLVHGVITCELSRKWLTSGSLLARSSSSTAEFVGSTLLVAGTYTTGQKGEWAIVGGTGKFSLAQGVIHKEMVRTNPGTGEVRLLQIRAKYSTVESCCEQNAEDKQKNHDIRLKTLLEQFARYREWIEREPSALVNFIDYKASEVDAAINDTNRHLLGTGGFGTVYKAVIRGATVAVKITKEISHRGVRAFAQEIEILRRIRHPNLVTLIGACTEKLALVYEYLPNGTLQDRLSEEHRESFSWEERVKVAASICSALLFLHETKPNPIAHGDLNPSNILFNAENECKLCDFGISRHLEYTQHTATPLHGTKEPKGTWKYIDPEFESSKQLTPQSDVFALGVNLETQNTPRQKLLRTLEIVDSKLNLEDKYAGHVVQMIYLGLKCSSNDRKQRPDLATEVLPKIEMMKG >ORUFI11G21280.1 pep chromosome:OR_W1943:11:23868814:23872733:1 gene:ORUFI11G21280 transcript:ORUFI11G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLPGGSVDQSEDYSPAATVVRFDPPLPLLRAPVPSSAASGEPPVLAFRDAASWRAAWEAAEASLLSQCEAGARSGCSITASRKCKPPWWKGLLGGAPTDYQERERCEEREMAACLEAAREACVKFAKEKCIEPFRDARIASEGLLENTKFAIWGAGSNRTSSASLCIANSQYPFNPRPGSTNYKGSDLLDSFPSEDNNIKDDD >ORUFI11G21290.1 pep chromosome:OR_W1943:11:23876375:23879778:-1 gene:ORUFI11G21290 transcript:ORUFI11G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSSSSSHYLQPSATLPSTRRNSFQGVGAEAGGGGGGMNPFGPTFSDPLCSLNLKETSEFVRSSFPMATMARSNSSNGATGNGGHGYHRETSTASSSSSSSASAQRRRAEQQQQQVPATPGRPLLFFNSSSPAHHQLVSARRSVPSKWEDAEKWVRQASSDHHGGHHHHHGKGSKLQEEKRASAVRRSVDADVSALALYTAPSAEVFLKDKFTDNVEPSKESFVFRSSYCEPTKNTAQAAAAGDGIDHRRDIGTEMTPLGSSTTSRCHTPIKSTSPARHNTPASRSGPLVPYAGGGGQDISDLADCHFAKLDLGAQFDAMLINWSSKEEEEEEVSKSLRHFEASVAAVGEKRGGAGDCRWEDDDRAKSCIRYQREEAKIQAWINLESAKAEAQSRKLEVKIQKMRSNLEEKLMRRMTTVHRRAEEWRATAQAQHLQQLKRAAEQVRRAKATSHHHHHHHLAGSNASCGCFPCNGGSNIISGNLLNYY >ORUFI11G21300.1 pep chromosome:OR_W1943:11:23884801:23884986:-1 gene:ORUFI11G21300 transcript:ORUFI11G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLIAAADRFHLDRLETMRLRLLCEESWTTGDNLLQYCSQRRRLPWFKDMFLKFGGGAS >ORUFI11G21310.1 pep chromosome:OR_W1943:11:23886556:23887608:-1 gene:ORUFI11G21310 transcript:ORUFI11G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRRQDAYYRYPTTSTIVASAPMPTGHHVLRIDGYSLTKAKFAAGEECDESCSFVVGGHAWRIRYYPNGAVVSGSGGVVSLMLSLDHQPGGAALPAAVVKARFAFSLLDMDGEPVPSRTYASDGVVSFSANSSSTFGAERFIGHGELEASGHLAGDRLAVRCDVTVVKDIAVVKRDGPPTMSTLCPVEQDMFRCLLDTGDDADVAFRAAGGETIAAHRRVLERRAPELAKLCRRRGDASCFMGLVGRHIIDVDDMDAAAFKALLHFVYTDTLPETMAPREVPAMAPQLIAAARKYGLDWERLRLLCEDKAWGWRVDDTSMDTTAAAAPATGDDLKRSQRRLPWFIRRCF >ORUFI11G21320.1 pep chromosome:OR_W1943:11:23890870:23892337:-1 gene:ORUFI11G21320 transcript:ORUFI11G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTLLALGNGALDVTGERCRRDGERRGDERDSPDDERGDERASTAGDRRQGGDTGEGRRPAGRRRRGEGPAAGSRPLARPPPPLCSTDRALACRRPAVGASLRRPLTRCTCVPAAASLHSAPDTHRRPDPSVLGELVYSIPGAKNVLEMPLLAAQALSVVALHTVGCVRIWQQPLNCSRSTKGSEIVRVRVRVRDSSAMGSCSVSPSTTACSTASASCSSSTRGVPLSVPPALDRALLRARDPPQVAFLHHEFTQIDDGDDGGSPAQNGAEPFLHRSFRFTSESIAHVKALVSVDGGGGGRAPTAFEALGMGHAWRSKLLFAVDGRPQFTSPPPARRRRAQPRARGRCPPAGLPRGEK >ORUFI11G21330.1 pep chromosome:OR_W1943:11:23893950:23901323:1 gene:ORUFI11G21330 transcript:ORUFI11G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSWPGNVGLLPPPSSLGSQQCASAGEAAAAAAAATDGRRCGGDDLQDRHTLWLVADVGNGLFQEPWLTKEEASPWWWQRENGEGIPIQFRGSFSLEMPLLWSALEGFLPEAASPATFRRAAWLALVWRMEQRRSVHSAVSRVAGADSFWAGEPSRYKAFTVSLKYSTLTMRGTALHRLDLISCEVRTLFPFRITASQSQDISPASFNRLRLLLVSFICNLHASSGLGLVTRLRLLFLSLICDLHVSTEGSVDVVARLRLLFLSFIYNLHTSSRSLDIAARLRLPFLSFICNLHVSSRLSVDVVARLRLVFSCFICNLHICFSSLLQHLLRWLILHACFTSFNSVLGIFHHRIIFDIVATLRFFCCRLRLRLDVGISRRIVLISPQAALLDAAVPEQSPDEGDELPSVLEANLVCLSVPAGRNVHEQISPYTRQDLPPLLIVWNCFKQLPSQYRAFLYDGPVQFFQFSSFG >ORUFI11G21330.2 pep chromosome:OR_W1943:11:23893950:23901323:1 gene:ORUFI11G21330 transcript:ORUFI11G21330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSWPGNVGLLPPPSSLGSQQCASAGEAAAAAAAATDGRRCGGDDLQDRHTLWLVADVGNGLFQEPWLTKEEASPWWWQRENGEGIPIQFRGSFSLEMPLLWSALEGFLPEAASPATFRRAAWLALVWRMEQRRSVHSAVSRVAGADSFWAGEPSRYKAFTVSLKYSTLTMRGTALHRLDVCSHISSSAARLRLLLVSFICNLHASSGLGLVTRLRLLFLSLICDLHVSTEGSVDVVARLRLLFLSFIYNLHTSSRSLDIAARLRLPFLSFICNLHVSSRLSVDVVARLRLVFSCFICNLHICFSSLLQHLLRWLILHACFTSFNSVLGIFHHRIIFDIVATLRFFCCRLRLRLDVGISRRIVLISPQAALLDAAVPEQSPDEGDELPSVLEANLVCLSVPAGRNVHEQISPYTRQDLPPLLIVWNCFKQLPSQYRAFLYDGPVQFFQFSSFGPELIITENIFSNEVRLRSAAAAAEKNFQVKQRPSEILKQSRGAQRWDVSGKLAAAQASEIH >ORUFI11G21340.1 pep chromosome:OR_W1943:11:23895303:23897006:-1 gene:ORUFI11G21340 transcript:ORUFI11G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGRGRSQHRQQAIRANNAIKENQKLREELRRTETIVSIYTKHRWWMEEQASRSYEMIRFTEYRPSQIHRVVNDRDAIFLGIGSYGSVLQCKIGEKTVAVKIPNNRDSRKPLPSMREFNQEAKLPYNILLIFSDRRALKMQVAILKKIRHQNLFTLIGACPERQILIYEFLPNGSLKDHLTESGQRRRFTWKRRVRAASSICSALIFLHNTEPYPIVHGNLKTSNILFSKDNVCKLSNFAMSHLLQYTSKPVSFWGGVKGFARMLIGSDTHKTQLDVSALGIILLQLVTAQEPKDLRKNVLSKLGDIIRFQGKSMEQQHEVLKSIVDPDLKKCQLDDAAEMLFLGLKCSDPNEKHRPDLAADVWPLIEEMKSSASHRQG >ORUFI11G21350.1 pep chromosome:OR_W1943:11:23899850:23902023:-1 gene:ORUFI11G21350 transcript:ORUFI11G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYKYLVHGESKQKIKLLRPRYALYLNVTDENLLVTLDKIWRSDGPVLQSANSVHGDDCKDLCLAFSMSRFLRCRLEDVRLDADSLSMSRNLIVTRILHVDQNPARVFRIMELEISFLKDYFYTLYPIVFWQGLASLSLSVLQSLAALGLALWLASGVNRVKKDLPDPVNVVHGHNVEVTITWVFMSFMMFKEIWEMITYLLSDWTRLLLVCEYTRSRCRWIRNATMEKLLSSFLTLSSFLSRKIFSDPWHGYIDQYDFLQSFDYSPSLWNLMYRATLGVIKERVKGQKPGTAIKIPECVKPAILQALRSMDLAGLGGRELPRDVPSLSAARLLEDFRWALLDLYTCSQVILVWHIATSMCEIKLARDRGIDLSKPGLLRSAFTYLKIFLCGCCCTPQPYLVAENILGDDQLRTSYIVANSLSRYCAHLVLGASDIMNDRDSLLTKYDKLNGLFSPEAAELKKLNGTIVEKGAVLGRQLLETIPDDQQRWQILAGVWADLLVHIAPSWNAEAHKICLEYGGELITFIWGLLWYCGIEKSSLWRDQDDAPGDANVQPQPQPAAEEPQGGHNVEDDAMVEDAQNGIEAREAGVQNQPAEQVLQQAAEADVEIADEAREDEPEPGNDIHAQSAADMEITDEAQEGESEPGSNVQTSAAGVEIIDEAQEEESEPGNNVHTPFSADVEIADQAQEEESESSNKAQSAAGVQIADEAHQ >ORUFI11G21360.1 pep chromosome:OR_W1943:11:23902487:23905202:1 gene:ORUFI11G21360 transcript:ORUFI11G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVNIRMNATVTNDTSDSMRIKTYTSSRNVGKALAAWRPGLSHDATCSTEEESLDDPATIPWNQPCGDSGGEADAMAGENGQHGEVARDETERGGRQGHDNAPVAEQWGAARDGVKTAQIWAAAARSGGEAAGGGAAW >ORUFI11G21370.1 pep chromosome:OR_W1943:11:23905440:23905871:1 gene:ORUFI11G21370 transcript:ORUFI11G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCDGKPRAWLPTVEGDGGGGGDGGSCCGGGSCRGGGSGWGSSSSGGGGGGRVVGNNGGCCNGSMVGADTVSTPGNGRRRLREEARTATAGEAWQMTAFARQRQEECSGASLTRRAVVGGSGGWLGAWRRAWREETQSAMGA >ORUFI11G21380.1 pep chromosome:OR_W1943:11:23930016:23932208:1 gene:ORUFI11G21380 transcript:ORUFI11G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETIRGIFKQDESTGTIGVEFWVVVTMVTLRLKFAVGAIAPLYYSLRPLNNAGRAILLLNYSLVHYTLGLMQLSSSDEKPDDFFQVWAVLLVTLQYSVRIGIPYRKSKDMSLIDLLMSLWAANLIRSQTVLSLKIPLWFIWSVNALRIIAFFISSNSAFDIHTNNMRLVSNYMRYEHELGNPEDVDPATMAGYRYLVLGEGKQEMKVEPPVFKLELDVTNPDEMVTVERVWSLRGSRFLGGGGVDQDNRLKDVCLSFALYKLLRRRFGNLPIHEARQPKTKRLVFDYILQRGSKNYERAFRVTEVELRFLRDFHYSKHAIMFAKGFPGWRMLLAGSLVSAVMYLGFVVHRLSKSPDTDSKLFVTYCIIVLVVIKEVWEIAIYVLSQWTKVLVLCKYVKDSRLRHPLFECALGFFCRLITNAKWNQRIGQYNILVDVLQERSVLFAYRHLKGRFLPVKIKLQGEVKSALFESFSALRNANDNDLESYFPLAFRTNQQDIVSDISWAGDELEADTHRILVWHIATCLCEINLSDQASARTIYSFGIITRPLVKKTAIIADDLWEHYIAAVTLSNHCAYLVTQSLVPDNGLVMNKVYEVVQKEASSAISGCKSMADIYRNLTRMARTPDGSEGRSIVKMGAQLAEQLRLAYGDDEQVALWRDLSRFWRGFLLHLAASTKAAKHDVHLRGPGELTTHLWALLSHAGFLGSGSHGEQMLDPADLNDVLYIPAI >ORUFI11G21390.1 pep chromosome:OR_W1943:11:23933831:23938911:1 gene:ORUFI11G21390 transcript:ORUFI11G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRRGRRDPAPVPAITLARGSPRRGFFSGGHLPSGAALARSADGAEAARRGAAQLGRITDDRRGCHSGAANQSGALLPKRDHWKAISPQEHCSCSDSPKTKYLHPSMAPFGLELLSTKLLWGWLGPMYA >ORUFI11G21400.1 pep chromosome:OR_W1943:11:23936877:23940642:-1 gene:ORUFI11G21400 transcript:ORUFI11G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMREAEVVVAADVVGAVDGLSGWEHMFWCGRSALGIRLCGGTSWYQCQTLGRRSVGSVSEHGQHMLHIQHAAPIIDVVAVEVKLSPPHVAGRPKPNDCLHTPCINHKHLHRHYSIGVEDLVHHVGRNRVDEVAHLDMECSTCTTSQPARFHRTLVASYISFPSSHLGLGMPLMLRPGTLLGPYRDRASTREPRHGGGAKVKMLDAGAVISDFFFKWGQEKYILLRFRVIVPTLIVVCPLVQFGLIDDLNNTIDPAMKSFLYAVSDGILMYVLGAMQASPVKYALFPVWALALVGFRSILVGLHGKYDMQAELGNVAKLLIVAYMNVTHGCEIGRVPFWIYWSILVVKCMYRIVIRHQASKTLWHSRSSELLQAYMGPNQPQSNFVDNNSSPNGAMEGCKYLVFGESEQEQCSCRISPTRHVNINKLRSLVTLQNICQCLKEDNIVKKQAEVLKDVCLSFALSRLLRCRLEGARLHAGIDSINRKLLIGSYKERLDRLFKVLYRDVKFFKDCLFSNYPMIFSEGFLSLRFAFAQLMIKLPVGLWLSSDLFWAARHLSQNRHNLSATDLNIAGAAILIVVNVDDYGMGINFVNWTSLSAVCWLVHCRNRRKRLRLVRWFLGRLNSQEVRGGAFRGPLDKLINSQEVRSGGCRGQYAFLQSYKYSAWKRRLLHSLTMGMIASKDDGTNFATSLTTPEKVRTTVLSQELQGLDLHHDFSSSVDDNHSLPRDFFATVQHDANKPAEAEEQYWSEIIQSGAPRCSHVILIWHIATSLCEIKLAQEHDHCNGSPGFLHSALSCYRRRLNPYRGYLVDKLLDGDLWETYMVANWLSRYCAYLLVAKPDLLPGSIWVIKKDFQQTIQCARQMLHGCTSLKSIYDKLIATIPSQLEEAYLPGTEEEGSQILREGARLAKKLHDEDKKKQWEILAKVWARLLVHLSPSSDAQVHAKHLRSNMEFITIIWALFSHCGIDKSELWDYVSSAFRSNAPETSKQDAHLDNIRPDSDGEIQETSGASEQDAHITNIRLRSTCHQPTGGDLEDGGGEIQEMNHGN >ORUFI11G21410.1 pep chromosome:OR_W1943:11:23940145:23940657:1 gene:ORUFI11G21410 transcript:ORUFI11G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVMEAPTKCSMKPSRLGCGASAALHVQVSHLIYPVSTDVMHQVFNPYGVVAVQMLMVDAWRVEAIIWFRATCDVGRAQFDLHGHNIYDGGCVLDVQHVLTMLGDRADTAPTKCLTLVPRCATTKSNAESTPTTPEHVFPTTESIYSPNHISGHNDFGFSHRGHEGRH >ORUFI11G21420.1 pep chromosome:OR_W1943:11:23940659:23967724:1 gene:ORUFI11G21420 transcript:ORUFI11G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAVENSDKIIQDLCIKMMAMIDRVLEACHDTKVGFTQRREHLQIVGHLHPWRMATRWLRGDGRRHGGTGEARRRDSGDAAWVSSAHTFGVDCRPRELEREKARRCTGCIGVTVNDRLGLSAMEEHEAGAYTGGGFHSDFPCEILEVTFHSDYTNDNDCNNRQGDHDSTKKDEGRNSNDGANNDDNNANSNDFGGLLLQPWLVADQKCSVERLTSQAESRGGQLDRWLGDGVACTPCTREAAAHRPAAGHAVLRRRCCSSRAVATITNHMHLLPASRALFDRKSCTSSERKAARDGESGRRGSVTWQCPPLPIGDARPAAAAASLAGGRDKPSTNLTGSKEGAMNPNHLRVGRDKHPGLTGEEDDEAVAKQSPDDGYELDTGFEVLGKSCCVRTGSNVHKQKSEEERKKPSAEDHRITGVEGIPEEPDIQLQDTEQIKVALVTIGTHVLLKKF >ORUFI11G21430.1 pep chromosome:OR_W1943:11:23944292:23944606:-1 gene:ORUFI11G21430 transcript:ORUFI11G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTARRVASSSPTAATPTAEDGQDGGGGGERGEGDGEDGKSGRHSTRGGSGKGWRGEKPRQSRQLRPLPRRNVSMVLDTSFTSAAIRDVDLYRAEPWDLLPPR >ORUFI11G21440.1 pep chromosome:OR_W1943:11:23953107:23955546:-1 gene:ORUFI11G21440 transcript:ORUFI11G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNMSLDFYRAGGWTSGTQAGFAIAFAAVSICSGLMFLHSRKPKPIIHGDLKPSNIIFRPGNACLLSDLGIFGHRIAAASYWGSRCKGLKKTDPIVKNHPILATEIMPQINSMKSSDSKKE >ORUFI11G21450.1 pep chromosome:OR_W1943:11:23955625:23957244:-1 gene:ORUFI11G21450 transcript:ORUFI11G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGHQNPAAAQPVKREKAKVQKNPHQQAEEKPMDVAVGIVVVVVGAIIAVATFILLGAVMVTLAIVTIIVVCVVTAAQQYLEQANKNKASRSSGGNKFIVFKPSEIDAAVSKRAKWLRGTATYNVYRSDFDGMDIATTVPKGTLPEWILMQEFHQAIEILRNISHPNVVPFLGACIEKRAIVYRFRENNTLESHLKYLTWEIRVKSAASICSGLMFLHSRKPKPIIHGDLKPSNIIFRPGNACMLSDFGMCYLYSKEFGRLITDPCKIQLDVSALGIVLLQLVTGKLDANGLRERVIYYLGDAKGFYKKTSSQQRKILEKIVNLELKTDRTSEDVARMLFLGLRCSDPISKNHPSLATEVLPQIESMKK >ORUFI11G21460.1 pep chromosome:OR_W1943:11:23959931:23963619:-1 gene:ORUFI11G21460 transcript:ORUFI11G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMQQRGRLQPAGLYRGVGHQNPAPLRDNKTLGASQPARSRTTTTIHGGGYQKSTGVRSHQKQAVVQPTVRPSSWLPGGTYRKPVTFKTQKPPKPAIAKPVVRPRPSIQQGGYQKNVIGPQSRTKPAPHLQFRKPEGPISIPEKNHEHHEQNKVKPASSSGKVKFTEFKTSDIDAALEIFRSIQHKNLANLVGACSPRRALVYELLPDTLEDRLTDIKKSFTFRGKKSFTWRDRVTTAASICSALDYLHRNNHKPIIHGDLKPRNVHFTADNICKLRNFGISTLLHPTKHVPSAIEEVIQGMPGEKVIQGIFRTFMDIDDCKIQIQTDVSALGIILLQLVTGHSDAKGLRDFVAQKLGDDSEFQRKSILQKREILKGIVDPELKRCQTPVEGAARMLFLGLRFSDPAGKQCPQLASEVLPQIQSMHSTTYSQMVWVHRSFF >ORUFI11G21470.1 pep chromosome:OR_W1943:11:23965734:23968210:-1 gene:ORUFI11G21470 transcript:ORUFI11G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGALIANFLIKWNLESYVLLRIRVIMGILTILYLMFFFSSLFFTRIYRSMVNFLDPVADATLVYIMGAMQAAPLNNQLFPVWALILVGLRSSIHGRSSSGMFFELRNVLKLLVVAYMNLTRGSKLWRFPFWFFWGLLVLQCFYKILVRHIASKSLWNGRSSELLQEYMGANGNKSNFNPEICNPETMEGYKYLVYGELQKSRKSAHILKVEDLKSLVTLDKIWRCDSALLLTSINMQGNNMKDMALAFALSRLLRCRLEGATLHEATVSMTRKLISKMILSDSADKMSIWCMSQNQYETGPRARFSYSLHSFGYDSGITAAAMYIVMIDEIFRMLGYFLSRWAKLIAMCNFVNFRNRWLKYVIVNTPARYGERTIVIHQHAFLQTFSSSISGWKIFSCILERNQNARMVWSEGKMNDSMAKNVKAAVIQALRSMDLEGHLLSRDLPLSRVSDRAERYWLACLAEVPTCSRVILVWHIATSLCEIKLANDHKINLTTMSRLSSFLVDEKALTGELQKAYTVSNCLSWYCMYLLASKPKLLPETILMSKKAFQDAVQCAHEMLSDCHSWQSIYNKLMKEAQKALVQGTHGLNLSGNILQQGAILANELIKKEDQKCRWEILSDVWVHLLVHIAPSSDAAALAEDLKSGVEFVTVIWALFCHCGIEKSKLWQQQKSANFRNSTPGPSNQSSDVSTHVQDTVSSSPPAARSSEIHVEASPTSGECS >ORUFI11G21480.1 pep chromosome:OR_W1943:11:23972612:23974399:-1 gene:ORUFI11G21480 transcript:ORUFI11G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAAATAVAVAHTLVFWLNRNSQVAFLSPYLLKSQDLAERILSLEADLNRQSENTLKEKEEHEKTRTELEVKEKQLEEERQNHTLTVQRHGEELERQSRELERQREELERQGRELKMKDGKLNRMKTLTIVYEKFRQWIEGEASNSSSGFTEFSHSEVDDSIPKDQKYHLGKGSYGIVYKAEPRGKTVAMKILDKSSWQGEREFKQEVGILKRIRHPNLVILLGACSEKFALMYEFLPSGTLEDCLSKEERKESFSWEESQSGNQHMLCSCIPPQYQA >ORUFI11G21490.1 pep chromosome:OR_W1943:11:23977702:23988514:-1 gene:ORUFI11G21490 transcript:ORUFI11G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAVGVGGINIGGGTAAAAGGEGGWRSIGGCFLGRPWHRHRPMGLYSVVYGALCFSHGVRSRRNSAYDNSPVSRSRCSSWLKVLPRVVSIFPGCRSIHHEQLLNPAPGISIAADGSKVAEHKLKQGPGLYTLFCGKTKMSPGAFIAYFLIKWNQETYVFLRIQVIMGFLIFLYMVMFFLSGIFFFSTKRTILSLLDALSDAILVYVIGAMQAAPFKNQLFPVWALMLVSFRSSINCLSSYGTYFELRNALKLLAVAYLNITHGSKFWHVPFWLFWSLLALKCFYRILARHVASRSLWNGRSSELLQEYMGANGNESSFNPGSCNPDTMEGYKYFVYGESQQSKKNGHGLSVKDLRSQVTLDKIWQCDDSFLPSSINMKGKDLSLAFALYRLLRCRLEGATLHAATVHMNRKLVSKRILSDNAGKELFDILELDVEFLRDSLHTSYPMVFCRGLLSLSFTLLLSLVSMASWLYAKIGKVYYPKSELDKARGSLTYSFRYAMHSVISGTELRFPAKILGHREGIIVTGQSVLLQPFSSRMSVWKMISFNFKRNQNKSTVHLKVKRNNSVGKSEKAAVIRALRSMDLEGHPLSRDLPSPRVSDRAESYWLACLEELPRCSRVILVWHIATSLCAINLANDRRINLTSKFQKAHDVANFLSEYCALLANALIKKEGQACLWEILAEVWGHLIVHIAPSSNVEAHAKDLKSDTEFITLIWALFCHCGIEKSELWQEKKGAKSGNDTPGLFSSPPRARTQRAFTSEPGVPRPVPVPTPPQRRPRPAAVAGLPAMPPSMTSLPRRRCRPCLRGQIWPHHDQIGEDTGLGLFLATYFSVGRLKMSPGEFIANFLIKWNQENYILLRIRVIVAFLISLYALMMLFSGIFLFAMKRSMLSFLDAVTDAILVYVMGVMQAAPFKNQLFPVWALMLVSFRSSINCLSSYGTFFELRNSLKLLAVAYLNITHGSKLWHVPFWLFWSLLALNCCYRILARHVASKSLWNGRSSELLQEYMGANGNESNFNPERCNPETMEGYKYFVYGESQKSRMNGHSLSVKDLRTPITLDKIWQCECDDDMLLSSIKRQGKDLSLSFALSRLLRCRLEGAKLHADTVSMTRKLISKRILAEDPENEQLGIRILELDVEFLRDSLHTSYPMVFCSGFLSLSFTILACLVKFLVVLWLYKDISKVYSLDLDPLSFYKDFNKRGLRLYIDETRITTYSLTSVIILETWEVLTYFESNWTRLLAMCKFVNCRNRCLKFVLNMLFRFHYMLNLVKRFDISCLQQCPTMFMRSFGFCSTMFKWEMTPFIKGRNPNESVKARVIQALRSMDLEGHPLSKDLPSPRLSVRAERYWLASVADVPRCSRVILVWHIATCLCEIKFANDSFTGCCLKWMSMLPSTEVDETDDELDKSYAVTYYLSRYCMHLLVSKRKLLPEDILVSKKTLQDTVQCAREMLKGCNSFQSVYDKLMEEPQKALVPDAHDMNLSGNILQQGAIMANALIVNEEDEACRWEILAEVWAHLIVHIAPSSRIEAHAENLKSGSEFITVIWALFSHCGIEKSELWQ >ORUFI11G21500.1 pep chromosome:OR_W1943:11:23988685:24000719:1 gene:ORUFI11G21500 transcript:ORUFI11G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEEDGAGAINFRRRRSLELRRLVPLTLSYTLRPSKANEMDSPSVPLDRPASAFWPTGPDRNRRYRAHTAPKAAAAPPAERVPAAGHKAMMAAGDEGEKRIDFIKDLPEVPKSNKSLFAKERLVRGPNIPGAQIQGRTGTGGPRLAKRGRPPPQMLRRHATARSFARL >ORUFI11G21510.1 pep chromosome:OR_W1943:11:23989873:23994209:-1 gene:ORUFI11G21510 transcript:ORUFI11G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEIIPPPEVDLPRETEDSERIIPSPDVEYLPRQTEDTERKLPSPEVDYLSRQNEDILEQKEEHGKTTELERKEKQLKEERHGEEHERPHKELGQGTKLETQCEEHKRLERQCEELERQRRKLERQGEELKRMKTITIVYEQFRQWIEDKASNSSSGVSFIEFNPSEVDDSVPKDQNHCLGRGGYGIVYKAKLRNETVAMKILNESSRQGEREFKQEIAILKRIRHQNLITLRGACSEKFALMYELLPNGTLEDRLINEKQRESFSWEERVRVATSICTALVFLHNAKPNPIAHGDLKPGNILFDDENICKLSDFGISRLLQQTNDTGTPNHITEVPKGSGPYMDPEFKNTGKLTPQSDVFALGIILLQLVTGQSATGLRKHIVDKLEGKKLEKMDTRKQKMILEKLQILDAQLKLDDTSIQDAVKMVSLGLRCSNSERKRRPSLEIEVWPEIESMNKSECLYGSDEVD >ORUFI11G21520.1 pep chromosome:OR_W1943:11:24008012:24014174:-1 gene:ORUFI11G21520 transcript:ORUFI11G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPGSDLRASIQRSEQRKMIGLHEIRDLMSSLFVMLNQKKYILFRIEFLVVLVTVLFLVMFIMDIFRRHIHNATMKAIFTILDAVSDSIVIYLLGAMKTAPFKNQLFPVWALVLVNFRYSVDFISGYGVPDRRGRRFTEWRNAVKLLGSAFLNLSSGSKFGLPLWCLWALQMLRSWYRFHSRTLAINSNWHGRSSELVSEYMREANNWKPEECNPKTMEGFKYLVYGERVKLQKPRYVLNIKNRSTSLQKEDDLEATSQQRRRSRSSERGNHHATSGSKTITRSSLITLDKIWGCHRHLLCSCDNIPSPNNSGNIIQGKDQKDLSLAFALSRLLRCRLEDVKLQRGTFRININLVKRRIIEEKDANHAFGIMEQWHGQIDQYVFLESYDDRPRIWNLIHKISTGMVPKKDNGAKLSNPIDIPECVKHAILEKLNSIDLTAGHLPKVVISLLDDKRKSYRWACSELQTCTHTILVWHIATSICEIKLAKNEGVDLSKPGFLCYLLSCFTNCFSSSLYLMDEKKLPGKLQERYIIANSLSRYCAYLLVSKPDLIPDSFFVPNMIFQEAVTLAHDDILKGCESLQERYDKLMPKEKNNTQNVGEENINEDVLRQGAKLADKLMKEENEDCWEILSGVWTELLIHLAPSWNASAHKKCLESGGEFITHIWALLWHCGIEKSMLWPVEDVPDNNAPGATPNNNAENSNVQPVHEMQQAAGDRQQMPTTTTPNGGHRSGLANGQGNVVRKMQNIGNRCYFNAVLQSLLALSELRSRMLEQDPPPGRALHLELKKLFVDTINYKESTLETEKLFQLMCSRHEDINQGDMGDSNHALHSLLNDLINEEPEGMHFPSTVKSLFNGQVVKSVSSIQCAHHSITTEALVLSLAIPSNKPVSMKDCLDLYTTEEIDDWECKECSVSANENASESQTDSTVDDQTEQLNSGTHQKEQFSYSAGKKIITQNQHQGKLPLLDCNARQMDQYHNKPKEGKKIRRVATIKYRINKAPPVLTIQLKRFEFVHDDGSGKIEEHVIFQETLDITKYMDTRYLDNEYKYCLVAVIVHGGQKLDGGHYFAYVRASRTGGQKRESSDTHSWFLANDEKVEEVLFENVLKCEAYILFYERVPHSKVKGSLETHTQTNHGFREA >ORUFI11G21530.1 pep chromosome:OR_W1943:11:24036116:24038747:1 gene:ORUFI11G21530 transcript:ORUFI11G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSIFSFMKRIFKMDKVTGMASVELWVLMTTFLLVSRFVLDFFGPWNADRSMVATIQIIEMLNYAMVQYTMGLMQLSAAKVNDYFQVWAVLLVTLQYSVKIGRPYSRSKQLPILDIMSSLWAANLIRLQTLLPLKIPLWIIWTLNSLRIISYFFSSDKASDFNQENTRLVSDYMRYEHTLDVPVVNGNEEMKMMQQYRYLPKRRDDEQERQHLLIRLDPGHDKLVTLDKIWRVVASSSSSSSQSGILGCSGNRDVCLSFALYKLLRRRFYDLPLHEARQEKTAQLVFDYILQDGATGYERAFRVAALELSFLQDLFYSKHAAMFAGGGFPAKTLLLSLSLVAATGYVAYPVRRIPDRMDQADRNTITHGVFVTRLIVALIVCKELSEIYLYVFSQWTKVLILCKHVRSLCLRHPLVETVTSKPVRSLSLRHRLVEMVTRMVFWFINRGEWNQNIGQYNLLISPVWPRFRFWGRFGFRICGLRFRFRVWGPMFMFRSHTIRYFWGKTSSTTKPEPVVKKALLDSFKLLKGCPIHLRPRRLESYFHNAFGSDEDRVQELKWAVDDLETDTHRILVWHIATCICEINLSGRNMAPKVSLLQVRPLVDRSEAPEAVWPHYATASTLSNYCAYLVTKGLVPDNGLVNGMVFREVRWETMRACFSRRSSLYDVHEELRKKGEEMVKKQKQLVEKQKQRVEQEEDPPATHGGSSTTISEHQGEEDDEIDEAQDGNGDPVPIEGGGHQENNNSQEEAGNGDDAMENSIVLMGAKLAVQLMKSYETDRERMWRELAEFWTGFLLHLAASTRAAKHRTHLVCRGELITILWALLSHAGFLGRTSHGHTLLDPEDLDAADPLS >ORUFI11G21540.1 pep chromosome:OR_W1943:11:24042120:24046642:1 gene:ORUFI11G21540 transcript:ORUFI11G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPVRCTPSALRVLRHHAAAATAASARAPPRPPLQSLTPPWPCITINTELQPLLSPNLARCKLTLLLDGRDGRAALPPPPPFTKSCELRTFSSGKGGSDQWGHKKFVRRKELEDPSKRLLRHDRFSVRCDVTVAVGIRTDDTTAKLPPPSDLHRHLGRLLKTNAGADVTFDVAGHKFAAHRCVLAARSPVFMAELLGPMKEEDTESHVVAIRDMDARAFKAMLHFIYTDSLPNVDDGGEAAAMAQHLLAAADRYDIERLKLICEDKLSGGVDATTAATTLALAEQHGCCRLKEACLRFMASPANLKAAMASDGFEHLAKLPFSPQRITLDMSGSSASAGDAPPPTTSVTASTIVAEVVSGSHVLKIDGFSQTIGASDGGSYVKSGRFVVGGHGWRVGSFPRFITAKALEESGYLVGDSFSLRCDVAVVKDIRTEDDATTVKKLVGVPLPSDIGAHLGRLLAAGHGADVAIHVGGETFAAHRCVLAARSPVFMAELFGPMAMSRHNNEETIRVHDMEPRVFEAMLHFIYNDSLPEVDDDDDGEVAAMAQHLLVAADRYDMGRLKLICEDTLCSHVDASTAATALTLAEQHHCERLKEACFKFMENPSNLKAVMASDDFLHLTRSCSSLLKKLAKLAA >ORUFI11G21550.1 pep chromosome:OR_W1943:11:24047568:24057008:1 gene:ORUFI11G21550 transcript:ORUFI11G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRVFEAMLRYIYKDSLSEMNDNEVAAMAQRTEHHKMGEFWEKRRVDFERILRRKTTGELDEAAPPPSLARLRREESKRQLELSDVTSQHLPPASSEEKITRLANNKGRKGEVQERERERDWATCGAARRPGCGWCGGNKGACCCCAAAATAAACCCCMSSACCIICCCCAAAAACFIRC >ORUFI11G21560.1 pep chromosome:OR_W1943:11:24048131:24051276:-1 gene:ORUFI11G21560 transcript:ORUFI11G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFARRLGGIPWREIAGEAFSRVFLVAQAVCAVHVVNAHVCSFALVMGPSMLPAMNLAGDVVAVDLVSARLGRVASGDAVLLVSPEDPRKAVVKRVVGMEGDAVTFLVDPGNSDASKTVVVPKGHVWVQGDNIYASRDSRQFGPVPYGLITGKIFCRG >ORUFI11G21560.2 pep chromosome:OR_W1943:11:24048469:24051276:-1 gene:ORUFI11G21560 transcript:ORUFI11G21560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFARRLGGIPWREIAGEAFSRVFLVAQAVCAVHVVNAHVCSFALVMGPSMLPAMNLAGDVVAVDLVSARLGRVASGDAVLLVSPEDPRKAVVKRVVGMEGDAVTFLVDPGNSDASKTVVVPKGHVWVQGDNIYASRDSRQFGPVPYGLITGKIFCRNPGLSLYLYLSEPTFFYPFAPEFIEDGMHILRFL >ORUFI11G21570.1 pep chromosome:OR_W1943:11:24052817:24057016:-1 gene:ORUFI11G21570 transcript:ORUFI11G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQQRMKQAAAAAQQQQMMQQALLMQQQQAAAVAAAAQQQQAPLFPPHHPHPGLLAAPQIEPIVSGNLPPGFDSSTCRSVYVGNIHLQVTDSLLHEVFQSIGPVEGCKLIRKEKSSFGFVDYYDRRSAAIAIVSLNGRQLFGQPIKVNWAYASTQREDTSGHFNIFVGDLCPEVTDAALFAFFAGFTSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQNAINELNGKWLGNRQVRCNWATKGANAGEEKQNTDSKGMIELTNGSSEGGKDNANEDGPENNPQYTTVYVGNLPHDVNSNDVHRFFHSLGVGSIEEVRVTRDKGFGFVRYSTHEEAALAIQTGNGQLIGGRQIKCSWGSKPTPPGTASAPLPPPAPAPFNPGMSATDLLAYERTLALSKMAANPALMSQHAALKQAAAMGMGAGASQAIYDGGYQSANAVFY >ORUFI11G21580.1 pep chromosome:OR_W1943:11:24060535:24061517:1 gene:ORUFI11G21580 transcript:ORUFI11G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVYSATMRRRGDGGGGWRRRGGGGGGGWRWCGGGGGGGIAKVQPNAADTLRGGGTVQGADTAGMLRVAEGVGGVPVRVRAEPQLPQLHLKPQDQH >ORUFI11G21590.1 pep chromosome:OR_W1943:11:24062420:24064614:1 gene:ORUFI11G21590 transcript:ORUFI11G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSIPSIRRRRRKRSAEGAMSCQYLRCHLTTLKCRRHHATGGQVLLRLAGATVYPRLCCSSHRQAHEMIPELNAKILKV >ORUFI11G21600.1 pep chromosome:OR_W1943:11:24065946:24066534:1 gene:ORUFI11G21600 transcript:ORUFI11G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGADAVRGAGAAGVLRTAAGTGGVPMRKGELFSTPQIFPNYGKLSENTFFQTSNPEEYIGWDPHI >ORUFI11G21610.1 pep chromosome:OR_W1943:11:24071394:24078151:1 gene:ORUFI11G21610 transcript:ORUFI11G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSTANIHMKKEFGLNNGPSIDGIILAVSVFGSIAITVFSGSLLDWLGRRAALIYSSLLLISGGLLMVWSPNIYILLLARLIVGSGSGLVFTCVPIYISETSPPNMRGSLGTMPQFMFFVGIVFSYCLIFWMTLIPSPNWRIMIGAIFAPSLVYFALLVFYLPESPRWLVSDGKISEARISLQWLRGKDDVSGEIALIADGMNMITETAVGGHAVGAVRSQSFLGTSTNQMSRHSTFYWHLSDPLVDLLGSIHESMSELGAGRNSYFPVFNSFNIVEQERTSEQRGNDSLQQSREAYSAEEGNNGDNLQASLLSQVASAETNDINTSFTSEGSSSYLRRHGTSTSGLAQDLISSLHDHDIEEDDEEIHIAALSSQPALGSGLHPFRQQIVRLSETADIKPKWRVLLQPGVRHALCYGMLIQALQQSAGISGLLRYTPQILEQVGVISFFSDIGLDSHSASILISVLNASLMLPCITVAMILMDVCGRRVLLLVSIPFLTLSVGAISLSNIVKMGSLPHEILFQLSLTICFCSYVIGLGPIPNILCSEMFPTRARATCASFCSLAFWFGRLLSIYCFPVMLSTIGLSGACAIYAFVCCLVLVFVYLRVPETKGLPLELIAEIFKFSRQECL >ORUFI11G21620.1 pep chromosome:OR_W1943:11:24078543:24081606:1 gene:ORUFI11G21620 transcript:ORUFI11G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLLAFRLSTLFLLLLLAAGAIAVDPDGAAAPDTEAAALLRLKASLIDPTNALEAWSPSSPSPPCDETHRWPRVQCYNGVLIGLRLARLNLSGDFDFAALSRLPGLHSINLIRNNFSGPLPASLAAVRSLRALYLSRNAFSGPVPGDVFAAMSWLKKLYLDRNDFSGELPAGAIAGAPRLQELHLDHNRIEGRVPSKLPATLRLFNVSHNRLTGVLPEAVAARFNESAFAGNPGLCGAPGSGAGACAAAAPGPAHSAMPPMSAADYFAVQEETSVFVVMGIIMLVVLLVAGAMVLMLRQDEGTSTASSGYEHPAIGAPSGNLSVPHAAGAAASAQLVTMEQGGSGGGVGGVGGARKQVAEFVLMSNAAGEFGLPELMKASAEVLGNGTLGSAYKAAMRNGVTVAVKRMRDMNRVGRAEFEEHIRMLGELRHPNVLSPVGYHYRKEEKLIVSEFMPRGSLLYVLHGDQSPDRVVLDWPARMRIAVGVVRGLSYLHEKLGIPAMRLVSMTGADFDAPPPPPPHGNLKSGNILLDAHLEPRIVDYGFFPLVNTSQAPHAMFTFRSPEAASAAGAGAGAAAQRAALSARSDVYCLGIVLLELVTGKFPSQYLLTARGGTDVVQWAASAVAGGTEQEVVDPVVAAGAGPAAVRLLRVGVRCTIPEPESRPSMADVARMVEQVAGGGGGGAS >ORUFI11G21630.1 pep chromosome:OR_W1943:11:24089303:24092361:1 gene:ORUFI11G21630 transcript:ORUFI11G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGEMPALESQFNQFAITAEEEAAALPDSTEPPCTNVASQDEESGSAAGESESESDSDDLDEVIADILEGGDDGGSADYYTGPLLPEGTMLLTKTLVDKILALRRRRFPMPPATGGERLWWVSPEFREELIAAELAAAAVFDASQDKFVECQAMIAEKRHPEHGYAVVEETSEVMTTIFRAFFGRYNESDDDDDDDLLCDCVDANECKCGGDDWANEFIDEEDDDCSEDVDEEEEEKDEKIADQMCRLRWRQATMANNSFRVITSIMEVHPSR >ORUFI11G21640.1 pep chromosome:OR_W1943:11:24096691:24099610:-1 gene:ORUFI11G21640 transcript:ORUFI11G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHVLPGTLFLAVGAWHVWAAAARFAADPRGFRLRAWNPVDVGGGGAPAWLPAHLELYVIAGGAFLDMCVEVLYSTHLHIFADGGINPAHLNDLEHGGMLLMFFLFGILALLSQKTRYLPLPEGALCLVASTAFMAELLLFYFHSTTHQGLEGYYHYLLVVVVALCVATTVLGALLPASFPVDIASGAAIALQGLWFYQTAFTLYGPSLPAGCRRDADGHIDCHTHAAQERAEQLANFQLFGLVFLVCAYALGCFAVAAARHGHPDLATMHAKHVAAMEAQLAGAGAGEGDRFVGSALPLEDTAI >ORUFI11G21650.1 pep chromosome:OR_W1943:11:24103720:24108922:-1 gene:ORUFI11G21650 transcript:ORUFI11G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEQEARWLAAQGVAVGADLVAAALRQLEFLAAVDRRRWLYEGPLLERAIHRYKTCWLPLLAKHTQAAVVDGPLVVPLDCEWIWHCHRLNPVQYLKDCKRLYGRILDNSNVESSIRAESKHQSEKVWAEQYPKEPFELENTSSSDNSIYANAGAAEDISYDLVAAVKRQSSFFYQVDTPTMHDQRFLEEALARYKGFLYLIKTNQENKMKLFRVPTYDVDVMWHSHQLHPATYCHDMLKLIGRVLEHDDTDDDRSEGKKLDTGFSGTTEQFENAFGARYWKAGAMYRGNLPSPVTSNPQMFSGEVNGEFSVGKAESQITILETTVIELFLQIVDIKNLPPAIPKENVYIWFTKNQPDMFISDGGRLDISTKTGKSIGASIQCEPTGELILTVLVDRTSSSKKPKKIGKVSISLQEFTWSNSKLSFERWFELKPHDGHASSTPVSVRVAASSTVPVRAQQVLSMIRTEPFSLKSILSPNSVKDQKMSCWTRFVYDCNTELICLQIRDRKAKNGMVVARELVGVTKSSKKPFKLAEFVDNKWSLSSSNLCITNDMKPSKDGSILELKCDNKMIKLYQGKRLEFQRKCCNNHAEEDASAITAVKLSAEHPYGKAVALLDTKSELIMVKEDWFLLPWIVLSFLSQDINVKDGEKLILIGGAMAQKDAISEPDTAAMATSAETVAAPANCGTCGTACGSNMAGDKVVAAARCKAARCKAVAAGGGGQTESAGCGSGCGGGCGGGCGGGVAKVVEATKAGGGGHGKSGGCGSGCGGGCGGGGCGAMVVESSKDDVHAKSAGCGSGCGGGCGGGCGGGMVMESSKAGHVKSGGCGSGCGGGCGGGCGGGVAMESSTVGHAKSGGCGSGCGGGCGGMAAKSGGCGGGCGGGGCGGGCGAMLNAST >ORUFI11G21660.1 pep chromosome:OR_W1943:11:24110818:24111896:-1 gene:ORUFI11G21660 transcript:ORUFI11G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSVFLRPSLFSSPPAAAAASSPRRHAAVLRVTSSKRRPLFSRAATSLTVRCEQTAKPGGGNGAGAADVWLSRLAMVSFSTAVVVEVSTGEGLVANLGVATPAPTLALVVTSLAAGLAVYFIFQAGSRN >ORUFI11G21670.1 pep chromosome:OR_W1943:11:24116582:24116935:1 gene:ORUFI11G21670 transcript:ORUFI11G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSFSGMANGGQVDNKLIQTFHKSFVQVQSILDQNRMLINEINQNHESRAPDNLTRNVGLIRELNNNIRRVVGLYADLSASFARTMDASSEGDSSGTLRSSDGAGRSGQKRVRPG >ORUFI11G21680.1 pep chromosome:OR_W1943:11:24129253:24129854:1 gene:ORUFI11G21680 transcript:ORUFI11G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLNHLQAHTQYCRQNICSCKSSRAYSSNAWTSPNLITSSVLQWDVLGRCRLPQECYEFAYIRFKDNVVIRIKTILFTQLNLYDP >ORUFI11G21690.1 pep chromosome:OR_W1943:11:24131872:24132132:-1 gene:ORUFI11G21690 transcript:ORUFI11G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRWDATAGCEGDGGGRTDARRLEGARRRRADGRAATGAAGRAMAAGGWMRDCGGRLDTRRRRRPAGCTTAAAASIGDIDGGLDG >ORUFI11G21700.1 pep chromosome:OR_W1943:11:24135003:24135637:1 gene:ORUFI11G21700 transcript:ORUFI11G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNQDGLGRLVTISDEEIGLLDGDQTLVQQTELNVSERFSALLWMPHCQHKISKRKADGDLESGGKMISSDYSISLKKLRDQFKEMPGNATKEQIEHYTRAFILDILGSMIFSDTSGDGVPAMYLQFLQDLDKPKEYNWGAAILAVLYR >ORUFI11G21710.1 pep chromosome:OR_W1943:11:24135955:24136498:1 gene:ORUFI11G21710 transcript:ORUFI11G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEEQHFDQASFATISSLVSTVWDVHCFLDARSAQGLRDPIPYPLDNFDWTGYMPSDDIRSDDSASPFSTPKPIKPEEINMDVMDNWLYSNRGFTRYLSLGTYSDVLESQDKH >ORUFI11G21730.1 pep chromosome:OR_W1943:11:24152272:24152763:-1 gene:ORUFI11G21730 transcript:ORUFI11G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGNLKVVKKTDAGEEVGGVDKLGADVLVMGSHGYGLFKRALLGRVSDYCVRNASCPVLIVK >ORUFI11G21740.1 pep chromosome:OR_W1943:11:24152807:24155010:-1 gene:ORUFI11G21740 transcript:ORUFI11G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHLGSLLSGGHGADITVQVGDETFAAHRCVLAARSPVFTAELFGPMGQNNKETIHVHDMEPRVFEAMLHFIYNDSLPKEDDDEVVAMAQHLLVAADRYGVERLKLICEDTLCSHVDASTAGTTLAHGAEHLLVAADRYGMERLKLICEDTAAQPRRHQHGGYGVDVG >ORUFI11G21750.1 pep chromosome:OR_W1943:11:24157946:24158360:1 gene:ORUFI11G21750 transcript:ORUFI11G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISLSFPVFLRLSPSTTRVVTDQIDHRFQAIVAARVDPLPVPGSPFCLSASLSHEFATSTVMGGVDPMTSSPPVRIPSSLGDGPLAVVTPEARGNETGGQRIRRQGRLEDGGDEAGGKPALGGTWAKMRLEGTVG >ORUFI11G21760.1 pep chromosome:OR_W1943:11:24163335:24164447:-1 gene:ORUFI11G21760 transcript:ORUFI11G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSPATSDAATGDVPEPSRSSSVVKAMSGYHVLKMEGYAAGVKGLGVGKFIDSGSFDVGGHRWCIRYYPKRSPASPGDGDWISIYLNLCSTAAAIGDANASFTISLLDQDDDEHQPVAAHSRSCSSTVTFSSAATKAWGFPRFVERKTLEESPYLRDDSFVLRCDVTVFKETIIEPAAPTPLVAVPPPDMHRHLGSLLSGGHGADVTLQVGDETFAAHRCVLAARSPVFMAELFGPMATSRHNDRETIRVHDMEPRVFEAMLHFIYNDSLPKVDDDEVVAMAQHLLVAADRYGMERLKLMCEDTLCSHVDASTAATALTLAEQHHCEGLKDACFKFMADPDNLKVVMESDGYLHLTRSCSYVLKKLAT >ORUFI11G21770.1 pep chromosome:OR_W1943:11:24183548:24188793:1 gene:ORUFI11G21770 transcript:ORUFI11G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMAAECGSGNCDAWAARDPSGILSPYKFNRREVQSEDVSLRITHCGVCYADVIWTRNMFNDSIYPLVPGHEIAGVVTEVGADVKGFKVGDHVGVGVYVNSCQDCENCNSSLENHCSKCVVTYNSVDSDGTAAPLLCAGITVYTPMIRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSESKREEAINLLGADNFVISSDENQMESLKSSLHLIIDTASGDHQFDPYLSLLKVGGVMVLLSFPSEIKVHPENLNLAARSLAGSVTGGTKDIQEMINFCAANNVYPDIEMIKIDYVNEALQRLINRDVRFRFVIDIENSFK >ORUFI11G21780.1 pep chromosome:OR_W1943:11:24214175:24219103:1 gene:ORUFI11G21780 transcript:ORUFI11G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIPSGDCNPDILHSRLFLIWGLVSIVCCSANTIGIYPPAESVTLRRTSVVFTPLPSAGSTRGGKGNGGKPKEKEGRGINSPDAGRPPLKPPHLHANR >ORUFI11G21790.1 pep chromosome:OR_W1943:11:24232043:24233207:-1 gene:ORUFI11G21790 transcript:ORUFI11G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIKSSLTDSWLGIDGTPINEVPKGIGGLKFLNDLEGFPIGGGEEDVSDIENMFEKLILPCTLEDLVLTRYFGRKYPTWLGTTCLCSLEYLTLRWCKSCVCLPTIGQLHNLKYLRIEGAIAVTKIGPEFLGCSMGKHRTIEGTVAFSRLELLTFTDMPNWEEWSFVEDNDEAAATAEPVANEREANDAAAKPKIEAPVRRLQLLPCLKKLHLRNCPKLRAFLRQLGKVATSLKVLTIGEARWLKVVEDFPFLCDNLSIIGCNSLKRISNLPQLRDLCVARYPNLRCVKEFGSLQQLWLGVAMQDVSSLWVAGLQGQHWMSTHGDFV >ORUFI11G21800.1 pep chromosome:OR_W1943:11:24240444:24241526:1 gene:ORUFI11G21800 transcript:ORUFI11G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELALAPPPPMAGEQDVPVAVAHLALPDGKLFTFPETAPVPHVHGGGEDSAGYDAACDGWLLFDDDEFVVLRLTSPLTGKTRLLPALSEDTVRIRDNAIEVVGAPWRDGDGDAAAQSDTGAEDMSVRKLVMSPYDAGLVAAVIGREHFAKVALCTMEGFSWSLSARDRWRWYDDAAFHSDGRLYALTHGEDLVAFDVGYEDTGEPVVSRVERVVRGDRAARPWHTRTHYLFASRAGPLLMVNRVMPDAGTTRGFAVFVPDMASRRWVEVSRLDAGGEALYVGRLSSRAVPSLDDADKIFFLDDTDGMSFRTERQPRPPYHAAVYDMAGGKVSELLPRQPLVDGKTPVTWLFPDDDDDA >ORUFI11G21810.1 pep chromosome:OR_W1943:11:24263912:24264976:1 gene:ORUFI11G21810 transcript:ORUFI11G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDKLALAVAHVAFPDGDLFTFPDLEPHGAGAGGEGGTAGYLAACGDRLLLADDEYGVLRLTSPLTGDTVVLPGLVIGGGVSVRDVPVVLADEAAPSGTAPRRWRDSEEMSVLKLVVCPVGGGGGGLVVAAIVGREHFAKVALCTPEGFVWSISARDRWRWYDDMAFHGGRLYALTQAEDLLAFDVVDAGDGEPVVTGVERVVRSSVDALDVEDTRMHYLVTSLDGALLMVRREMADAGSTDGFEVFEADLAASRWVEVGGLGAGGEALFVGRLCSRAVRAPDDGDQIFFLDDTDGLSFRWELQPRPPYQVAAYDMVRRTFSMLMWKKPLEDGNTPVTWLFPDDDDDDDRVTK >ORUFI11G21820.1 pep chromosome:OR_W1943:11:24270951:24281978:-1 gene:ORUFI11G21820 transcript:ORUFI11G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFHQTKICKESAIASKRESKMPVAAAAQKALLAQPVLILIILIVSSCPCVSSLAPSRTHNTSEADRQALLCLRSQFSDPLGALDSWRKESLAFCDWHGVTCSNQGAARVVALRLKSLSLTGQIPPCIADLSFLTTIYMPDNQISGHIPPEIGRLTQLRNLNLGMNSITGMIPDTISSCTHLEVIDMWSNNIEGEIPSNLANCSLLQEIALSHNNLNGTIPPGIGSLPNLKYLLLANNKLVGSIPRSLGSRTSLSMVVLAYNSLTGSIPPILANCSSLRYLDLSQNKLGGVIPSALFNSSSLLSLDLSSNNFIRWSIPSAPLISAPILHVILTNNTIFGGIPAALGNLSSLSSLLVAQNNLQGNIPDSITKIPYLQELDLAYNNLTGTVPPSLYTISTLTYLGLGLDLGANLFESVDWTSLSSKINSTKLVAIYLDNNRIHGILPSSIGNLPGSLQTLYMTNNRIAGTIPSEIGNLNNLTVLHLAENLISGDIPETLCNLVNLFVLGLHRNNLSGEIPQSIGKLEKLGELYLQENNFSGAIPSSIGRCKNLVMLNLSCNTFNGIIPPELLSISSLSKGLDLSYNGFSGPIPSKIGSLINLDSINISNNQLSGEIPHTLGECLHLESLQLEVNFLNGSIPDSFTSLRGINEMDLSQNNLSGEIPKFFETFSSLQLLNLSFNNLEGMVPTYGVFSNSSKVFVQGNRELCTGSSMLQLPLCTSTSSKTNKKSYIIPIVVPLASAATFLMICVATFLYKKRNNLGKQIDQSCKEWKFTYAEIAKATNEFSSDNLVGSGAFGVVYIGRFKIDAEPVAIKVFKLDEIGASNNFLAECEVLRNTRHRNLMHVISLCSSFDPMGKEFKALILEYMANGNLESWLHPKVQKHRQRRPLGLGSIIQIATDIAAALDYLHNWCTPPLVHCDLKPSNVLLDEDMVAHVSDFICNHSSAGLNSLSSIAGPRGSVGYIAPEYGMGCQISTAGDVYSYGVILLEMLTGKHPTDDMFKDGLNIHKLVDCAYPHNVVEILEASIIPRYTHEGRNHDLDNDVDEMSIMERCITQMLKIGLQCSLESPGDRPLIQDVYAEITKIKETFSALDS >ORUFI11G21830.1 pep chromosome:OR_W1943:11:24283124:24284223:1 gene:ORUFI11G21830 transcript:ORUFI11G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTSFLLQILLLLAMVILPSTMAGRSPGNVDANHDPSKQSGSAVHPHNTPCNYPGQAGCPH >ORUFI11G21840.1 pep chromosome:OR_W1943:11:24292613:24296327:1 gene:ORUFI11G21840 transcript:ORUFI11G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTTTTTSFLLQTLLLLVLVILPSTMADIADSTAPIGCQSCFCDEAATPSANEGQMQLSIQAATGRRGRPPFIPHCDGSPRRVGDSSDPSPLPGSAVHPPSTPCNYPGQLAIGYAFGTVQIKLRYDEVTSFSSTFIIPGAGTQPSSFSSISEMGAELGL >ORUFI11G21850.1 pep chromosome:OR_W1943:11:24302860:24303660:1 gene:ORUFI11G21850 transcript:ORUFI11G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIRVPVCSGHGDSDATRSDGGSADPVCSSGSGSDAARGDGSVADPVRNGGDGADAVCGDSGDARAVSRVVASSCFQLRRCFMVQAISFSTISIISARHKLICSHSSALSLKVGCPSDSPILLFPNRRNHVGFIIRVELGPPEQFRLTGSMLELLRFNDEPRGDPLLSPVTHTPKSTAPQQTSVLCRFRGDSQWGLMVCQADCTSFETQDSSRRGFAADPCRLAPFSTVRLFQEGCFRSSVNPPFLGIALLLGYVMGFIPNSVLA >ORUFI11G21860.1 pep chromosome:OR_W1943:11:24314948:24318412:-1 gene:ORUFI11G21860 transcript:ORUFI11G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQHLLLLLACFHFHGLCLAFFVSAQFHNDSSADRKALLCLKSQLHDPSEALASWGNESLAVCNWKGVTCSNSNPSRVVALDLESQNITGQIFPCVAGLSFVSRIHMPGNQLNGQISPEVGQLTRLRYLNLSLNSLTGEIPATISSCSLLEIVDLFSNSIEGEIPPSLAQCSFLQQIILSNNNIHGGIPQEFGLLSNLSALFIPNNKLTGTIPELLGSNKSLVWINLQNNSLSGGIPPSLFSSTTIYYIDLSSNSLSGPIPPFSKASTTLVYLSLTRNQLSGEIPTTIGNLLSLSTLMLSGNNLEGAIPESISKLSSLQILDLSYNNLSGIVPQGLYTISSLTYLNLGANRFVGRIPTNIGYALPGLTSIILEGNQFEGPIPSSLASALNLQEIYFRRNFFTGVIPSLGSLSALTYLDVGDNMLEAGDWTFISSLTNCTKLQNLWLDRNNLQGIIPLSITNLSKSLNVLILIQNKLTGSIPSEIEKLTGLNVLQMDRNFLSGQIPDTLVNLKNLSILSLSGNKLSGEIPQSIGKLEQLTKFYLQENDLTGQIPSSLARCTYLTKLNLSRNYLTGSIPSELFSIPTLSEGLDISYNQLTGHIPLQIGRLINLNSLNISHNQLSGEIPSSLGQCLLLESVHLEANFLQGSIPSSLNNLRGISEMDLSQNNLSGEIPTYFESFGSLHTLNLSFNNLEGPVPKGGVFANSNDVFLQGNKKLCGGSAMLHLALCKDMSSKRNKTPYILGLVIPVTTIVIVTSVCVAIILMRKRTEPKRIIVNHSFRHFDKLSYNDLYKATDGFSSTSLVGSGTFGLVYKGQLKFEARNVAIKVFRLDQKGAPTNFFAECEALKNIRHRNLIRVISLCSTFDPSGIEFKALILEFRTNGNLESWIHPKKFIQSPQKRLGLGSRIGIAVDIAVALDYLHNRCTPPLVHCDLKPSNVLLDDEMVACLSDFGLAKFLHNNFISLNNSSSTAGLRGSIGYIAPEYGLGCKVSTEGDVYSYGIIVLEMITGKRPTDEMFQDGVDLRSFVESAFPDQISDILEPTITEYLEGEDPNHVVPEILTCAIQLAKLGLMCTETSPKDRPTMDDVYYQIISIKEKYHALIN >ORUFI11G21870.1 pep chromosome:OR_W1943:11:24336456:24339061:1 gene:ORUFI11G21870 transcript:ORUFI11G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRLGYSRSSLLLMILLLVASSLGTFVLAAEAEPSPGCSNDPNSSNYCPPQSLPPPCPRPQCFHTNGARVVEQQSASLP >ORUFI11G21880.1 pep chromosome:OR_W1943:11:24369514:24372571:1 gene:ORUFI11G21880 transcript:ORUFI11G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIESERNEELSSEQKARLDEVIKQAELSLQSEELFNQSLAVAAEKEYIDHKAAAAKLLGNYIVVAGGLVNNTINGSLTTATDIQHQYAGSVLIDYPNPLDPGSGNFSMGGNGSVEAAVVYFGTNRNRDQDCAWLLGFRVPQMEVYVVCGPMTNFNNLDWGEIKINIERGGKSGSYYDKNTGTQIYASLASDPKTSRYFVTAYFY >ORUFI11G21890.1 pep chromosome:OR_W1943:11:24385741:24386149:1 gene:ORUFI11G21890 transcript:ORUFI11G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKPIRWVDPTGEGVEFDILAWWKNNQMTFPILSTLARDVMAVQISTVASESAFSAGGRVVGPFRSSLHPEMIEALVCTKDWIRASRKGPFKDAQQAVNYIFMCCI >ORUFI11G21900.1 pep chromosome:OR_W1943:11:24406631:24409923:1 gene:ORUFI11G21900 transcript:ORUFI11G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSLCATGGKSTQVDAGGDDLGTEEMKKRRSTYVDEGGGSGIKKGEGGGDRRRSSDGEGGGDWQPWRNPVVPNHLEIGSGAHHLEATTGTKKRLLELRDQEDSSEISRIRQGREGRRGGAGAVDGGRGGGRGPTTTTVSTARGSAAVDASASAHANRPSSPPTMDPAMEATSLGPNAVRPSAGAWATRLDLVVGGRGKEAAVTGGLGEGRAGLLAEEERREWRRREGVRGGEKRRGLFT >ORUFI11G21910.1 pep chromosome:OR_W1943:11:24411319:24412944:-1 gene:ORUFI11G21910 transcript:ORUFI11G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMGKFLKVVRLTIQVLCSSKETTCYVHLLECYNYHCVESPTKRKKIFYILAILVPVSTVVLICLECVMVILLKKRYKATRTINQSLKQFKSFSYHDLFKATNGFSSTNIIGSGRFGFIYRSCLDFVVCTIVIKVFRLDQFGAPNYFIAECEALRNIRHRNLVRVISLCSTFDPARNEFKALILEHMANGNLESWLHPKPYEQIAKEPLSLATRISLAVDIAAALEYLHNRCIPPLVHCDLKPKYGMGCKISFEGDIYSYGIILLEMITGKYPTDEMFTDDMNLHKMVESAIPHKIGEILEPSLTKDYFGEGTNNELVEMPRCVMHLAKLGLRCSVTSPKDRPKIEDVYTEMIAIQNMF >ORUFI11G21920.1 pep chromosome:OR_W1943:11:24417939:24418249:1 gene:ORUFI11G21920 transcript:ORUFI11G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSRSCLSCKLGNDDPQQMSRQCDEGLEVEHFERASGENRVPFGTGVDSTLDVVPLLKVSLRRFLLH >ORUFI11G21930.1 pep chromosome:OR_W1943:11:24432069:24432414:-1 gene:ORUFI11G21930 transcript:ORUFI11G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSADDEVVDARTARKTTKAAKLRSERTTQQQLYVNAAARTLPRSNNQWRWTTSSGTTARGERRSGNPKLDGVDAYSMTIGCRSRCSWALKRVIKPNMREVER >ORUFI11G21940.1 pep chromosome:OR_W1943:11:24432736:24432958:-1 gene:ORUFI11G21940 transcript:ORUFI11G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRASWTKVRENAQDDDGDAIAAVVEVDDTEEPIRTVKDETSRRVCQTRGQLGTNARNGVAIPSGRGRGPP >ORUFI11G21950.1 pep chromosome:OR_W1943:11:24441515:24444749:1 gene:ORUFI11G21950 transcript:ORUFI11G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVIMISTLILKVDLACHKCYNKIRKILCNLQDQERITTISYDTKNNIVVIAGTFDPQRLCCRIRCKGGKIIKDIHIVDAAGGGKPAKMPASPPPSLPPPVNTGKKKWKKDKRKEIPPPPPLAETPPPMNERPPTPPPVQPPPDRETSAMVPAIVEEEKPRDRVAELEPPSPHKEMPLPQPTTMEMPPPPVTCTPVIPSWPAAPAPPSCCAPPPCYQGCYEGCRCGGCGRVYGYSVPSARPPPLLPPPCYSGGGGGGYTPYCGGYSGCRLVNEEDPTACVIM >ORUFI11G21960.1 pep chromosome:OR_W1943:11:24446991:24451676:1 gene:ORUFI11G21960 transcript:ORUFI11G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIIGAFKAPCDVFITFADERSRKQVAIKKDNGKTLMVPAFQSLETIAGEVSVAPVPGKRVEHQGVKIELLGQIELYHERGHFYDFTSLVRELEVAGEIYERKTYPFEFSTVEMPYESYNGTNVRLRYILKVTIGRPYATSVVECRDFCVRNYTPLPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIVGKIYFLLVRIKIKNMELEIRRRESTGSGPGTYIETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFNVKYYLNLVLVDEEDRRYFKQQEITMYRLQETPQSS >ORUFI11G21960.2 pep chromosome:OR_W1943:11:24446991:24451676:1 gene:ORUFI11G21960 transcript:ORUFI11G21960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIIGAFKAPCDVFITFADERSRKQVAIKKDNGKTLMVPAFQSLETIAGEVSVAPVPGKRVEHQGVKIELLGQIEKQTYPFEFSTVEMPYESYNGTNVRLRYILKVTIGRPYATSVVECRDFCVRNYTPLPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIVGKIYFLLVRIKIKNMELEIRRRESTGSGPGTYIETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFNVKYYLNLVLVDEEDRRYFKQQEITMYRLQETPQSS >ORUFI11G21960.3 pep chromosome:OR_W1943:11:24447091:24451676:1 gene:ORUFI11G21960 transcript:ORUFI11G21960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAFQSLETIAGEVSVAPVPGKRVEHQGVKIELLGQIELYHERGHFYDFTSLVRELEVAGEIYERKTYPFEFSTVEMPYESYNGTNVRLRYILKVTIGRPYATSVVECRDFCVRNYTPLPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIVGKIYFLLVRIKIKNMELEIRRRESTGSGPGTYIETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFNVKYYLNLVLVDEEDRRYFKQQEITMYRLQETPQSS >ORUFI11G21970.1 pep chromosome:OR_W1943:11:24452753:24456758:1 gene:ORUFI11G21970 transcript:ORUFI11G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCSCLQADYSDHHGHHASPALGGCMCLRCFTQQLINAYTVLFRAGTVHAVSQSIEATPVDSSESSFDTYRSPPRPLPYDDPRFSPPQRDWLVSRHGPSCHSPEESEPLRANDDEEMETPSSTHKSSKTNYDTKMKRSSSTHGEKLPTKEPGNYFTYFSPSAEDEDVCPTCLEDYTSENPRIVMQCSHHFHLGCIYEWMERSEACPVCGKADYCSIHFALHKDMVTVKPLKKMEFDETT >ORUFI11G21970.2 pep chromosome:OR_W1943:11:24453328:24456758:1 gene:ORUFI11G21970 transcript:ORUFI11G21970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCSCLQADYSDHHGHHASPALGGCMCLRCFTQQLINAYTVLFRAGTVHAVSQSIEATPVDSSESSFDTYRSPPRPLPYDDPRFSPPQRDWLVSRHGPSCHSPEESEPLRANDDEEMETPSSTHKSSKTNYDTKMKRSSSTHGEKLPTKEPGNYFTYFSPSAEDEDVCPTCLEDYTSENPRIVMQCSHHFHLGCIYEWMERSEACPVCGKADYCSIHFALHKDMVTVKPLKKMEFDETT >ORUFI11G21980.1 pep chromosome:OR_W1943:11:24456983:24457790:-1 gene:ORUFI11G21980 transcript:ORUFI11G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGVSSGGGQSSLGYLFGGGETAPAAKAKPAAAAEKETTPAPVKKAAVAAAASPSAAEKMKEIPAGIQSTQANNYFRAQGQNCGNFLTDRPSTKVHAAPGGGSSLGYLFGGK >ORUFI11G21990.1 pep chromosome:OR_W1943:11:24460853:24464109:-1 gene:ORUFI11G21990 transcript:ORUFI11G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHSPSSPFVRATNGGGGGKRIRIASSSSCFANRTYDMAGVISARAGLSHSLSVTQTVPNRPLQASQLATRCTSPSFLSAKLCKTRPLVVAAAMEVSKEAPSADFANRQPSKGVLETWCNADAVCFDVDSTVCLDEGIDELADFCGAGKAVAEWTAKAMTGTVPFEEALAARLSLIKPSLSQVDDCLVKRPPRISPGIADLIKKLKANNTDVFLVSGGFRQMIKPVASELGIPPENIIANQLLFGTSGEYAGFDPTEPTSRSGGKALAVQQIRQNHGYKTLVMIGDGATDLEARQPGGADLFICYAGVQMREAVAAKADWVVIDFQELISELP >ORUFI11G22000.1 pep chromosome:OR_W1943:11:24468490:24478245:1 gene:ORUFI11G22000 transcript:ORUFI11G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIMVSAATGVMNSLLTKLTVLLGEEYKVQKRVKHGIEYLKDELSSMNALLEKLADMDVLDPQMKDWRNQVREMAYDIEDCIDRYMLQLHDEPDKRAGMKGLFRNTIKKVKKLGARHEIGKQIKELRTHIDEASQRRYRYKLDAILDSCSTCAVETIDPRLSALYVEESSLVGIDGPMNELIKLVDDREQSLKVVSIVGFGGLGKTTLAKQVYKKVGEQFDFQAFVLVSQKPDVQKIFRNILSQIKDLGNESREVGWLIDELRIFLKDKRYFVVIDDIWSTQAWNIIKCALPENTYGSRILLTTRNGNVAKTCCYPQNDTVYEIRPLTEADSKGLFFRRIFGSEDRCPIHLRDVSVEIIDKCGGLPLALITIASLLNVKSKNREEWLNIRNSIGLGLEENSDIDDMKRILSLSYSDLPHHLKTCLLYLSMYPEDCQINVDHLLRRWRAEGFIKVRCGRNLMEEGEFYLNELMNRSLIQPEHRRIDGRAMTCRVHDIILDLIVSKAVEENFVTVVSDPSILVSQDKIRRLLLVYCGRENVMTMPSMASANVRSLGIFGYSEQMLPISDLHALRVLDIDAGNKMMEICDIRKLLQLRYLRILSPTHLPEQIGELQFLETLDLFDTCGIVKLPASIVKLRQLKCLSADCAMLPDGVGNMQALEELSVVIVDESSMNFLQELGSLIKLRRLGLRWYIPDDDYNRSTYGYTLALSLGKLLSSNLRYLQILGPRTGAIPLDFLSSSYHLMQELYIYPCLLHGNPERLVSLASVTSLTIRIQQVTQETLEILGDFSALLSLTLTSEDETTERLSVYSNKFGCLKYLHLGYLANGVMFHAGAMPKLETIDFMIKAHSSQSACSHQNLGIHHLSALKVLNVNIDYDCEEAMVEEVEALEAAIKNEASLLPNCSSQHIDRISRQVEMVTEGWLEGDDVMMEQQDEMPSPQLLELQSAD >ORUFI11G22000.2 pep chromosome:OR_W1943:11:24468490:24478292:1 gene:ORUFI11G22000 transcript:ORUFI11G22000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIMVSAATGVMNSLLTKLTVLLGEEYKVQKRVKHGIEYLKDELSSMNALLEKLADMDVLDPQMKDWRNQVREMAYDIEDCIDRYMLQLHDEPDKRAGMKGLFRNTIKKVKKLGARHEIGKQIKELRTHIDEASQRRYRYKLDAILDSCSTCAVETIDPRLSALYVEESSLVGIDGPMNELIKLVDDREQSLKVVSIVGFGGLGKTTLAKQVYKKVGEQFDFQAFVLVSQKPDVQKIFRNILSQIKDLGNESREVGWLIDELRIFLKDKRYFVVIDDIWSTQAWNIIKCALPENTYGSRILLTTRNGNVAKTCCYPQNDTVYEIRPLTEADSKGLFFRRIFGSEDRCPIHLRDVSVEIIDKCGGLPLALITIASLLNVKSKNREEWLNIRNSIGLGLEENSDIDDMKRILSLSYSDLPHHLKTCLLYLSMYPEDCQINVDHLLRRWRAEGFIKVRCGRNLMEEGEFYLNELMNRSLIQPEHRRIDGRAMTCRVHDIILDLIVSKAVEENFVTVVSDPSILVSQDKIRRLLLVYCGRENVMTMPSMASANVRSLGIFGYSEQMLPISDLHALRVLDIDAGNKMMEICDIRKLLQLRYLRILSPTHLPEQIGELQFLETLDLFDTCGIVKLPASIVKLRQLKCLSADCAMLPDGVGNMQALEELSVVIVDESSMNFLQELGSLIKLRRLGLRWYIPDDDYNRSTYGYTLALSLGKLLSSNLRYLQILGPRTGAIPLDFLSSSYHLMQELYIYPCLLHGNPERLVSLASVTSLTIRIQQVTQETLEILGDFSALLSLTLTSEDETTERLSVYSNKFGCLKYLHLGYLANGVMFHAGAMPKLETIDFMIKAHSSQSACSHQNLGIHHLSALKVLNVNIDYDCEEAMVEEVEALEAAIKNEASLLPNCSSQHIDRISRQVEMVTEGWLEGDDVMMEQQDEMPSPQLLELQSAD >ORUFI11G22000.3 pep chromosome:OR_W1943:11:24468490:24478292:1 gene:ORUFI11G22000 transcript:ORUFI11G22000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERIMVSAATGVMNSLLTKLTVLLGEEYKVQKRVKHGIEYLKDELSSMNALLEKLADMDVLDPQMKDWRNQVREMAYDIEDCIDRYMLQLHDEPDKRAGMKGLFRNTIKKVKKLGARHEIGKQIKELRTHIDEASQRRYRYKLDAILDSCSTCAVETIDPRLSALYVEESSLVGIDGPMNELIKLVDDREQSLKVVSIVGFGGLGKTTLAKQVYKKVGEQFDFQAFVLVSQKPDVQKIFRNILSQIKDLGNESREVGWLIDELRIFLKDKRYFVVIDDIWSTQAWNIIKCALPENTYGSRILLTTRNGNVAKTCCYPQNDTVYEIRPLTEADSKGLFFRRIFGSEDRCPIHLRDVSVEIIDKCGGLPLALITIASLLNVKSKNREEWLNIRNSIGLGLEENSDIDDMKRILSLSYSDLPHHLKTCLLYLSMYPEDCQINVDHLLRRWRAEGFIKVRCGRNLMEEGEFYLNELMNRSLIQPEHRRIDGRAMTCRVHDIILDLIVSKAVEENFVTVVSDPSILVSQDKIRRLLLVYCGRENVMTMPSMASANVRSLGIFGYSEQMLPISDLHALRVLDIDAGNKMMEICDIRKLLQLRYLRILSPTHLPEQIGELQFLETLDLFDTCGIVKLPASIVKLRQLKCLSADCAMLPDGVGNMQALEELSVVIVDESSMNFLQELGSLIKLRRLGLRWYIPDDDYNRSTYGYTLALSLGKLLSSNLRYLQILGPRTGAIPLDFLSSSYHLMQELYIYPCLLHGNPERLVSLASVTSLTIRIQQVTQETLEILGDFSALLSLTLTSEDETTERLSVYSNKFGCLKYLHLGYLANGVMFHAGAMPKLETIDFMIKAHSSQSACSHQNLGIHHLSALKVLNVNIDYDCEEAMVEEVEALEAAIKNEASLLPNCSSQHIDRISRQVEMVTEGWLEGDDVMMEQQDEMPSPQLLELQSAD >ORUFI11G22000.4 pep chromosome:OR_W1943:11:24468652:24478245:1 gene:ORUFI11G22000 transcript:ORUFI11G22000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDRRRASGNGGGLLDGVQRRYPHPHVRPPNPNRNRSSAWRARPMCCCRQARQWRPRFQRRSVPTTSPRARQQPRGQICLSPRGATPTKATSPISWQVQRLAIAWVADLLSSRIGQEMEGNGIMVSAATGAMNSLLAKLAALLEEDYQMHKGMKREIAFLKDELSSMNALLERLADTEAALDPQTKEWRSQVREMSYDIEDCIDEYTRQLRHGRLQRPGGNGIMGFFHGYVQKVKDLVGRHEIAEQIQELKARIVEAGHRRKRYKLDSAVNCKSNHVVPIDRRLPALFAELDALVGIDRPRDEIIKLLDDGEQRMKVVSIVGSGGLGKTTLANQVYQKIGEQFDCKAFVSLSQHPDMEMIFQTILYQVNDEVGRIRSGDKEQVISELRDFLKNKRYFIVIDDIWSAQAWNTIRYSLLENNCGSRILVTTRIGTVAKSCSSPCLNLVYELRVLSENDSKRLFFRRIFGSEDKCPHQLKDIAVEIVRKCGGLPLAIISMASLLTTKSYVRAEWFKVRDSIGSGIEKNSDVEEMNMILSLSYYDLPHHLRTCLLYLSMFPEDYVINRDYLVRRWVAEGFIKANGGRTFEEEGECYFNELINRSMIQPVHTLYDGRVYSCKVHDMILDLIISKATEENFVTIVTDRKQMLVSKDKVHRLSFDNYGQEDVTLYSMVTTHVRSLNIFRYSEQMPPLSNFPALRMLDLDGNNNLESSYLEDIGKLFQLRYLRIRASNISLPDQIGELQFLVMLDLLNCIGISKLPASIVKLRHLKCLVVHRVELPDGVGNLQDLEYMSLVVVDYSTSVSSLQELGSLTKLRTLGLDWRIGDFHKEKLTYADNFVSSLGKLGRSNLQYLTLISPWSLDFLLDSWSPPPHLLQRLGITGWYLSRIPVWMASLADLTYLDIEVKVRQETLQILGNFPALQFLELYSNAADYGDRWLTVSNGGFRCLQKFKFVHWMNLMFEEGAMPMLETLEFQIIAHEARAESGFGPPDLGICHLSALRNLIVNIYCECARVEDVEALEATIWIAVSMLPNHSTPTLHRFREAEMAKTVLRCYTLMSCFLLAISQTASVAGSIAGRFMVISDHLKPTTLRQQRCILTLLLHATFPKKSSPAPLIAHEPDSRTRPWPWNNRSDNHKPPLVSELVRRSFTVYKNTT >ORUFI11G22000.5 pep chromosome:OR_W1943:11:24468490:24478292:1 gene:ORUFI11G22000 transcript:ORUFI11G22000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERIMVSAATGVMNSLLTKLTVLLGEEYKVQKRVKHGIEYLKDELSSMNALLEKLADMDVLDPQMKDWRNQVREMAYDIEDCIDRYMLQLHDEPDKRAGMKGLFRNTIKKVKKLGARHEIGKQIKELRTHIDEASQRRYRYKLDAILDSCSTCAVETIDPRLSALYVEESSLVGIDGPMNELIKLVDDREQSLKVVSIVGFGGLGKTTLAKQVYKKVGEQFDFQAFVLVSQKPDVQKIFRNILSQIKDLGNESREVGWLIDELRIFLKDKRYFVVIDDIWSTQAWNIIKCALPENTYGSRILLTTRNGNVAKTCCYPQNDTVYEIRPLTEADSKGLFFRRIFGSEDRCPIHLRDVSVEIIDKCGGLPLALITIASLLNVKSKNREEWLNIRNSIGLGLEENSDIDDMKRILSLSYSDLPHHLKTCLLYLSMYPEDCQINVDHLLRRWRAEGFIKVRCGRNLMEEGEFYLNELMNRSLIQPEHRRIDGRAMTCRVHDIILDLIVSKAVEENFVTVVSDPSILVSQDKIRRLLLVYCGRENVMTMPSMASANVRSLGIFGYSEQMLPISDLHALRVLDIDAGNKMMEICDIRKLLQLRYLRILSPTHLPEQIGELQFLETLDLFDTCGIVKLPASIVKLRQLKCLSADCAMLPDGVGNMQALEELSVVIVDESSMNFLQELGSLIKLRRLGLRWYIPDDDYNRSTYGYTLALSLGKLLSSNLRYLQILGPRTGAIPLDFLSSSYHLMQELYIYPCLLHGNPERLVSLASVTSLTIRIQQVTQETLEILGDFSALLSLTLTSEDETTERLSVYSNKFGCLKYLHLGYLANGVMFHAGAMPKLETIDFMIKAHSSQSACSHQNLGIHHLSALKVLNVNIDYDCEEAMVEEVEALEAAIKNEASLLPNCSSQHIDRISRQVEMVTEGWLEGDDVMMEQQDEMPSPQLLELQSAD >ORUFI11G22010.1 pep chromosome:OR_W1943:11:24485888:24491271:1 gene:ORUFI11G22010 transcript:ORUFI11G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFMVSVATGAMNSLIDKLTMLLGQEFRLHKGVQRDIALLNGELSCMNALLEKLAGMEVLDPQMMEWRNQVREMAYDIEDCIDRYIYQLHYEPQRPTGIVGFFHDYVHKVKELLARRAVAQQIKVLKDDIVEASHRRKRYKIDPELYSETTNVVLIDPRLPALYVEASNLVGIDIPRDQLINLVDDGDQSFKVISIVGVGGLGKTTLANEVYKKTGGRFDCQAFVSVSQKPDVKKILRSIICQTMEPYHASTNPDKAVISQIKKQDYSSTEAGDVEWLINILRVFLKDKRYLIVIDDIWSTQEWMTIKFPLFENTCGSRILVTTRISTVAKSCCSPDHGTVYELRPLSEADSMCLFFRRIFGSEDLCPVNLKDVSTEIIKKCGGLPLAIITMASLLADKSDRREEWVRIRNSIGSGLEKKYDLEVMRSILSLSYSDLPLHLKTCLLYLSIYPEDYKINMHQLVRRWIAEGFIKDKSGRNLMVEGKCYFNELINRSMIQPVDIGIDGQPKACRVHDMILDLIVSKAVDENFSTSIGDETHRLASQSKIRRLSVDYCGQEVSVSWPSLMLAHVRSLSIFGYSEQMPPISEFKALRVLDLESSLKLQNSDLNNVVNLFQLRYLRIAASRITHLPEQIGELQFLETLDLRRTWIKKLPASIVKLRRLSCFSASGARLPDGVGKMQSLQELSGITVYDECSTNSLLELGNLNSLRTLKLTWYIRESRKDRTHYTDSLASSLGKLVSSSLESLSIINGPFSGYIPFDSWSWSSSPHLLQELYIPKCCFQRIPDWMASMNNLYRLCVRSKQVTKQILQILGDLPALLDLELRSESDDPKKILIISKYIFRCLKIFRLYGSFVGLIFEDGSMQKVREISVVVRAHKAKSAFADHPDLGIRNLTSLMDLNVWINCEGARVQEVTVLEAAITDATALLPNHPTPHFFRENEENMVKDDLPNHPAPHFFRENEEKMVKDEAHMQEKMLE >ORUFI11G22010.2 pep chromosome:OR_W1943:11:24485888:24491271:1 gene:ORUFI11G22010 transcript:ORUFI11G22010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFMVSVATGAMNSLIDKLTMLLGQEFRLHKGVQRDIALLNGELSCMNALLEKLAGMEVLDPQMMEWRNQVREMAYDIEDCIDRYIYQLHYEPQRPTGIVGFFHDYVHKVKELLARRAVAQQIKVLKDDIVEASHRRKRYKIDPELYSETTNVVLIDPRLPALYVEASNLVGIDIPRDQLINLVDDGDQSFKVISIVGVGGLGKTTLANEVYKKTGGRFDCQAFVSVSQKPDVKKILRSIICQTMEPYHASTNPDKAVISQIKKQDYSSTEAGDVEWLINILRVFLKDKRYLIVIDDIWSTQEWMTIKFPLFENTCGSRILVTTRISTVAKSCCSPDHGTVYELRPLSEADSMCLFFRRIFGSEDLCPVNLKDVSTEIIKKCGGLPLAIITMASLLADKSDRREEWVRIRNSIGSGLEKKYDLEVMRSILSLSYSDLPLHLKTCLLYLSIYPEDYKINMHQLVRRWIAEGFIKDKSGRNLMVEGKCYFNELINRSMIQPVDIGIDGQPKACRVHDMILDLIVSKAVDENFSTSIGDETHRLASQSKIRRLSVDYCGQEVSVSWPSLMLAHVRSLSIFGYSEQMPPISEFKALRVLDLESSLKLQNSDLNNVVNLFQLRYLRIAASRITHLPEQIGELQFLETLDLRRTWIKKLPASIVKLRRLSCFSASGARLPDGVGKMQSLQELSGITVYDECSTNSLLELGNLNSLRTLKLTWYIRESRKDRTHYTDSLASSLGKLVSSSLESLSIINGPFSGYIPFDSWSWSSSPHLLQELYIPKCCFQRIPDWMASMNNLYRLCVRSKQVTKQILQILGDLPALLDLELRSESDDPKKILIISKYIFRCLKIFRLYGSFVGLIFEDGSMQKVREISVVVRAHKAKSAFADHPDLGIRNLTSLMDLNVWINCEGARVQEVTVLEAAITDATALLPNHPTPHFFRENEENMVKDDLPNHPAPHFFRENEEKMVKDEAHMQEKMLE >ORUFI11G22020.1 pep chromosome:OR_W1943:11:24493093:24493640:-1 gene:ORUFI11G22020 transcript:ORUFI11G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIPMFAGVLCVCSCRRPLRLDGLAVSTLDAGGVLNGGRGADFSNGRSDISRCRFRLGDELLLGGAELVVLDAVIWGRQLHASLLHAGGRRGEKFTGAEMEMEREAASDETIQVDKTESAMRKYRNTLPPPHPNTVPPQGRVGTSRYPAMTAVGSYPLNGDDLVPLWPAVR >ORUFI11G22030.1 pep chromosome:OR_W1943:11:24503190:24504870:1 gene:ORUFI11G22030 transcript:ORUFI11G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNLITALTPKNDRWRIKVKVIRLWDAVNPTMVDEFYGIHMIVLDAEEPYTTDCEKIANDKPISLDDDFDEEYNKNMVRGKGCGKKSTNMGTCTKSAIENTIQDDEVHDGKIASGTAKRPSRKFIISDSEEPYITDFDGKTNEKAITIDDDCEESLDDYFDEEYNEKVTQESTKTNPSKRRVTRRFKTSNKSQDE >ORUFI11G22040.1 pep chromosome:OR_W1943:11:24504894:24512177:-1 gene:ORUFI11G22040 transcript:ORUFI11G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAGRRCERRALGRGDGGAGGRDGVGWRRRWRGRRQTAGMAWVAGVDGGDGGGRRGWRGLRAESGSGELQALWTGGRRRGWRGRRSRSDVRSRKVVECEAELVAPPSYCIANRFTRDWAVQRALSSGDFLYQQPYSL >ORUFI11G22050.1 pep chromosome:OR_W1943:11:24514082:24525384:1 gene:ORUFI11G22050 transcript:ORUFI11G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSTSFHFLCSHEGEDKGKKKRMRVQRNQTWKRERERGGEAEVVVDLGRKDHAAGVETRDKDPTKIVPGANKKPNLPPGRREEGGRDKNDQLTEMRRTGRGEIWSRVDGYSHLVGVLQPGEHVDSCVFDAGGHSWRLQLYPNGSNDQTHRSHIGVFLQLAAAAGHPSDGDGRVRARPRFSLVDSAGDKPAAAPPSHDAGFHSFGHGDGWGFQSIISREELERSEYLRDDCFAIQCDVDVTTVRKCHDHPVHYVHQFGICDWGNAKERYKLIGWSRRCS >ORUFI11G22060.1 pep chromosome:OR_W1943:11:24530217:24533571:1 gene:ORUFI11G22060 transcript:ORUFI11G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIMVSAATGVMNSLLAKLTALLGEEYKLQKRVKRGIQSLKDELSSMNALLEKLADMDVVDPLMKEWRNQVREMAYDIEDCIDQYMLQLHDEPNKYTGIKGFIPKTMKKLKRLGARHDISERIQELKARIDEASQRRDRYKLDEVLDSSRTSTVEAIDPRLPALYAEVFSLVGVDGPIDELIKLVDDGEQSLKVVSIVGFGGLGKTTLANQVYKKLGQQFDCQAFVSVSQKPDVKKIFRKILSQIKNSDEELREEDWLINELRIFLENKRYLIVIDDIWSTQAWKIIKCALPESTCGSRILLTTRNGNVAKSCCYPHHDTLYQIRPLNEADSKGLFFRKIFGSEDQCPVHLKEVSVDIINKCGSLPLAIITIASLLTVKSKNREEWMSIRNSIGSGIGENCEKDEMKRILSLSYNDLAHHLKTCLLYFSMYPEDCEIDVQQLLRRWRAEGFIKAIEENFVTVFSDPNSLVSQGKVRRLLLDYRGQENVMPMCSMVTCNVRSVSIFGYREQMLPISDLNVFRVLHIESGNKMMEICGIGKLLQLRYLRIDLVTHLTEEIGELLFLETLDLPRGIGTEELPKGIVKLRRLKFLHVHDARLPDGVGNMQALEELAVSTKEDNLSSINSLEQLGTLTKLRILHLSLSITDENNHKSKHLDTLTSSLNKLLSYNLKYLYFDSYWQLGSAYINLDFSSSPSYLLQELHIRPLLLHGIPERPASLANLTYLDIRIQQVTQEILEILGDLPALLSLLLVSAFDNTKRFSIYRNKFRCLESLNLDCSASDMMFHAGAMPTLVDINFTIKAHSTEYACANRNLGIHHLSTLKALNVYIDCQGATAKEVEAVVAAIKNEASLLPNCYIQHIRISREEGLEDIDISREEGWEEGGHSIEQQEEITDPHTEHTITPAT >ORUFI11G22070.1 pep chromosome:OR_W1943:11:24539147:24540209:1 gene:ORUFI11G22070 transcript:ORUFI11G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTGKIFPHRVSWVRGRSQAPRTRIPTGALYSTSYIPTTSWPIENKSPAAIGPSLVHIYTRQNPRSQAHGEESSPLRSHPHPCPTSPPKVQAEWPTRRHQIDGRRLHETTTRDPGRSRRRMLPGVKGTRTRGCPVPAVGTGTGENLHPSAGMGRVTGDSLQCGCGTGW >ORUFI11G22080.1 pep chromosome:OR_W1943:11:24540710:24541472:1 gene:ORUFI11G22080 transcript:ORUFI11G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAAAGRIVRTASAIVSRPSTTSHVLRVDGYSHLVGVLQPGEXFWELTVGVVADPSPLYSIL >ORUFI11G22090.1 pep chromosome:OR_W1943:11:24543214:24543783:1 gene:ORUFI11G22090 transcript:ORUFI11G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAAAGRIVRTASAIVSRPSTTSHVLRVDGYSHLVGVLQPGEHVDSCVFDAGGHSWRLQLYPNGSNDQTHRSHIGVFLQLAAAGGHPSDSDGHGSAWLMSPASQRRRGTPEFMASTMVITGDSRTSSPGRNWSDRSIFEMIVLQSNATSMSQPFGSAMITRCLSPKIFLKIVYPIQHSISNAFAVTI >ORUFI11G22100.1 pep chromosome:OR_W1943:11:24546231:24546599:1 gene:ORUFI11G22100 transcript:ORUFI11G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAAGRIVRTASAIVSRPSTTSHVLRVDGYSHLVGVLQPGEHVDSCVFDAGGHSWRPQLYPNGKNKKHRDHIGVFLQLAAAGGHPSDSDGHGSAWLMSPARRRRRGTPEFMGSTMAMTGD >ORUFI11G22110.1 pep chromosome:OR_W1943:11:24546702:24553257:1 gene:ORUFI11G22110 transcript:ORUFI11G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRCSSLKIFLKIVYPMQHRSNGCNWSVPHGTASPREAAPIVMRWWEGRIVLSHQLFSPIRHPSSSVHRILFLLPPTSDHCSLQLADGVDLRVLCSHSRVDIPGRRLDYFRSRVATDCHLRPHSSADTDGRRFLRSRLSADTCSRNILCNISPPSPRASTLPIPSARSGRCRGWGARFGNGGRLAAGGLPEIARGLAMTTMSATDAAIVWLGDCEGDISGRRGQRTSGKISSGLGNRYLRAYYGGNVLPIPILPLANGRTDYLVPRAGGVAHVRWQRAQVPEPQGSSSWGFHKFIRHDELERSGHLTGDRFAVRCDVTVMRATELRVEPACLAVPEPDLRAHLRRLLSTGDGADVTFRVGGGETFAAHRCVLAARSPVFKAELCGRGGAAAGRCVDVDDMGAGEFGALLHFVYTDTLLEMASRDVPAMARRLIAAAGKYQVERLKLVCEDMLRRRVDTSMAMATTTDSAATTDDQLSQRPWLTQLFFKFASRMVGGMVVDAFTPEPPTAAEKSGDASPSLSPSPSCSTVAVSEASGHHVLRIEGYKRTKMMMATGEHLNSGEFHVGGYTWRLRYYPNRYDQEFSSSISFALVRTGRDDDDVVVRARVKISLLDVAGEPVTRYSHSDNKCTFYEGHDLWAIKSFIRRVDLEDSGHLDDGGGGGDSFAVRCDLTFNVPDIRVDVDDAAAVTVPAVPPPLLHRHLGDLLASEAAADVRFNVDGEAFAAHRCILAARSPVFRAELFGSMRERAARAIVRVDDMDADAFAAFLHFVYTDELPEMDDDGEEAAAVMAKHLLVAADRYGMERLKKVCEDVLFRHVVVATAATSLALAEQHDCPELKDAILRFVTSPARLKAVMASDGYEHLITSFPSIATEILAMLAAQLST >ORUFI11G22110.2 pep chromosome:OR_W1943:11:24546702:24553257:1 gene:ORUFI11G22110 transcript:ORUFI11G22110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITRCSSLKIFLKIVYPMQHRSNGCNWSVPHGTASPREAAPIVMRWWEGRIVLSHQLFSPIRHPSSSVHRILFLLPPTSDHCSLQLADGVDLRVLCSHSRVDIPGRRLDYFRSRVATDCHLRPHSSADTDGRRFLRSRLSADTCSRNILCNISPPSPRASTLPIPSARSGRCRGWGARFGNGGRLAAGGLPEIARGLAMTTMSATDAAIVWLGDCEGDISGRRAEKERHYLVPRAGGVAHVRWQRAQVPEPQGSSSWGFHKFIRHDELERSGHLTGDRFAVRCDVTVMRATELRVEPACLAVPEPDLRAHLRRLLSTGDGADVTFRVGGGETFAAHRCVLAARSPVFKAELCGRGGAAAGRCVDVDDMGAGEFGALLHFVYTDTLLEMASRDVPAMARRLIAAAGKYQVERLKLVCEDMLRRRVDTSMAMATTTDSAATTDDQLSQRPWLTQLFFKFASRMVGGMVVDAFTPEPPTAAEKSGDASPSLSPSPSCSTVAVSEASGHHVLRIEGYKRTKMMMATGEHLNSGEFHVGGYTWRLRYYPNRYDQEFSSSISFALVRTGRDDDDVVVRARVKISLLDVAGEPVTRYSHSDNKCTFYEGHDLWAIKSFIRRVDLEDSGHLDDGGGGGDSFAVRCDLTFNVPDIRVDVDDAAAVTVPAVPPPLLHRHLGDLLASEAAADVRFNVDGEAFAAHRCILAARSPVFRAELFGSMRERAARAIVRVDDMDADAFAAFLHFVYTDELPEMDDDGEEAAAVMAKHLLVAADRYGMERLKKVCEDVLFRHVVVATAATSLALAEQHDCPELKDAILRFVTSPARLKAVMASDGYEHLITSFPSIATEILAMLAAQLST >ORUFI11G22120.1 pep chromosome:OR_W1943:11:24566308:24567121:1 gene:ORUFI11G22120 transcript:ORUFI11G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSCVAEANGSTSTIVAAAKPTGHHILKIDGYSRTKAMVAAGDSIDSCRFHVGDHAWRIRYYPNGTDRSNQNPDAISVMLELQDATAAAGRNGAAVKAHSVHSFPSSDGFKNWGFLRFITHGDLDKSEHLVDDGFAVRCDVTVMGGIELRVEPASLLAVPEPDLHRHLGRLLSTGDGADVTFRVAGGEAFAAHRCVLAARSPVFRAELYSRGGFLRPAAAGRPETRVVDVDDMDAGAFRALLHFVYTDTLPEMA >ORUFI11G22130.1 pep chromosome:OR_W1943:11:24567137:24577587:1 gene:ORUFI11G22130 transcript:ORUFI11G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLIAAADKYKVERLKLVCEDKLSRRVDASVTPTDSAATCDMPQRYPWFKGIFLKLKSGAADVQPSPSSCSTIVVTEASGHHVLKIDGYTRTTMMVATGEHLDSGEFHVGGYAWHLRYYPNGYDQEFSSSISFALVRTAGAGDNVRLHARAKISLLDLAGEPVARYSQPVDKCSTSKASDPWVCKSFIERDELEKSGHVVGDRLAVRCDLTFNVQDRLVRELVAVPPPLLRRHIGELLGDARTSDVRFKVGGETFPAHRCVLAARSPVFRAELLGPMREHAATTIRVDDMDASVFAALLRFVYTDELPELDGGSAAAMAQHLLVAADRYDMERLKKVCEDRMVRHLDVGTAATSLALAEQHDCPELKKAILRFMASPARLKAVMASDGYEHLVTSFPSIATEILAICAVAAEANGSTSTIVATTKPTGHHILKIDGYSRTKAMVAAGDSIDSSRFHAGDHAWRIRYYPNGTDRSNQNPDAISVMLELQDAAAGRNNGAAAAAAVKAKFVFRLLNKDGEPVPSRTYRSSVHSFPSSDGFKNWGFLRFITHGDLEKSGHLADDGFAVRCDVTVMGGIELRVEPASSLAVPEPDMHRHLGRLLSAGDGADVTFRVAGGEAFAAHRCVLAARSPVFKAELYSRGGFLRPAAAGRPGTRVIDVDDMDAGAFGALLHFVYTDTLPEMASADVPAMARQLIAAADKYKVERLKLVCEDKLSRRKPGDAAVVPSLLASYHVLKIDGYTRTTVMIATGKHLDSDDQSGDKCSISKKTDRWVCNSFIKRDELEKSGHVVGNRFAIRCDLTFNVQDLRVRGLVAVQLPPPVLGCHLAERLLFDDETADVRFRVRGETFPAHRCVLAERRRRAELLGSSMKEHAARTIRVDDMKVPVFAALLYFVYTDELPEMEDDERTVIMAPHLLVPADRYDMDRLAEEGDKMVRHLDVGTVATSLALAELHGCPRLKEAILRFLVVSPPEKLKTVMASEEYQHVITDFPSIATEIVLAMLAANSA >ORUFI11G22140.1 pep chromosome:OR_W1943:11:24592087:24596273:1 gene:ORUFI11G22140 transcript:ORUFI11G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRYLRLRLPISDRGFPASTMPISTIESTAEELGLEDWRRLTDKTLGFYCLFCNAWFPRLFVRNRRYRDNEAPGQCQMSPLLFSYDYASTRVARIHGLHRRSERLFCYILIGRNPSWPKDVKGIGKARWTNL >ORUFI11G22150.1 pep chromosome:OR_W1943:11:24596667:24596909:-1 gene:ORUFI11G22150 transcript:ORUFI11G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVPSRANTIKLVRRRAGGGPRGCFTLLDLEKKVGGGMGREDVGEEPVQRALPVPFTFFGQLGFHNEVIQGRDVIICAI >ORUFI11G22160.1 pep chromosome:OR_W1943:11:24597124:24618955:1 gene:ORUFI11G22160 transcript:ORUFI11G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQFARPCAEPGLVDRSMARRFGFMATTTAWAAVIMLLLVAVTGGHGGSLAAGVEREGGKPFPPVPTEPFPPPPASTTAEVLRAAKPSSTNPPCWVAPPTEPFLPAPPCHRRPPAGTPETKTNTSPSTLASTGPGAQLPCGHKHERAEAPRTICALAGEKPNPGIWKCHVSGNIAQLLRPPCHLPKPNLLLEPHIRHRQLSDG >ORUFI11G22170.1 pep chromosome:OR_W1943:11:24621150:24622328:-1 gene:ORUFI11G22170 transcript:ORUFI11G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAADDDSPPLLTATPAAADDYCGSADSPAACTIVGKVERVCYNVRVDGYSKTKETTKNGSYIASTEFVAGGEPWRIRYYPNGYSQSTAGHVSVFVYRVGGVDVGLHADVQIDLVARHGDATAPPETEVAGRFRCTFWPDSSFGFQRFISTEKLDMSPWCVRDDGFTIRCDITVEGPPFVVAVKPSSSPLGWHLGDLLGDTDTADVAVVVGGDVGDGEETTFAAHRYVLAARSLVFKAQLFGPMKKAAEGNGGAAMISVDDMRADVFRAFLHFVYTDELPPGELDVAGDGDADTAAIMAQHLLVAADKYDLPRLKLVCERKLSESLGAGTVATTLALAEQHGCHDLKEVVLRFIRLPANMEAVKCSDGFKHLLESCPSLHQDLKSRHIIS >ORUFI11G22180.1 pep chromosome:OR_W1943:11:24623062:24623524:-1 gene:ORUFI11G22180 transcript:ORUFI11G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFVHRDISQRKWSTEDAASDKSRWVVTLFHNSDLKQQADEADTKESDGDGGRKERCVRANMTSIPIVPLMN >ORUFI11G22190.1 pep chromosome:OR_W1943:11:24624447:24625118:1 gene:ORUFI11G22190 transcript:ORUFI11G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIKANTTTSPPSASTIALTATTGCHLLKVKGYSSYARLLRGKRFMSPKFSAAGHTWRIAFYPSDGNLVSFYLHLVDGRFSKDVTAEVQFSMLHRCNNADDEMPKNPYNHRKIIRHTFRSASCNVSSCGISRFVNAKEKKTVDMPLYKYTNEDDDSIVVRCDIKVMNKPAIHGDTLENLGLICHCKDDTCKHLHDSWPAMSAQPVVVNNKWAFARLFSCFLA >ORUFI11G22200.1 pep chromosome:OR_W1943:11:24642736:24643230:-1 gene:ORUFI11G22200 transcript:ORUFI11G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSSSHSVTNLHHGVLFEQVQLKPIRRSSTVHAISLPLHKLRTLKTRIGVSSHAAARYDLEENNKQIARLQDDLESAHPSIEENILKLKEADGKG >ORUFI11G22210.1 pep chromosome:OR_W1943:11:24643760:24649113:1 gene:ORUFI11G22210 transcript:ORUFI11G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKYGEIHGNTVGWGILRAHLEDQWMEMGVGGLPPKRLKLFPIFKHVSGIDSDENMSPTIATFEVRNETPSNVSIPSSTIVTGTLANVTNLSAVELKRKPDREVYASLSAEEKEAKLQNNRDYRQRKKEAKTSLTGTLDDITNLSAIELGRKRDKEMYASLPAEKKEAKLQKNRDYRQRKKEATISPTGTLGDITNLTPIELTWKQSLVSTTNTTITVALWPDSGMYGPLPTTYTPLAVRVPLSFLPPFAHDCSCSSAAETIGGIRWWVELREAERGSKPATLEVSAVAGWKDVAAITRENERAAAIHSGARLFGKLFLSSCALGGVAVLQQSQRRKEAFIEVGSAKVEAMSGSARRGYRVFIEATLYIRPTTTRAIPASRLRAFIDSRVVTDLDRAQNKKTKE >ORUFI11G22220.1 pep chromosome:OR_W1943:11:24649424:24650165:-1 gene:ORUFI11G22220 transcript:ORUFI11G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLYDYLIELNTNVTPDQRRYNAPTAWQVAAIWLEGDDPIRTFDRHVLVHAKGDNPSYIKVYHGCYDPLVYPLFNPNRETGWNLKISYKNPNKNPCGVDMDETCEAPNFVNVHINEESTFDDLPENEVIDNYLDNEDGNDDSNRSGKGKKEKFITEREYYCFRLQVRRELLNIILFGGRFFQQWAVDICIKIESMRLDWYSNPVNQKRYELSYTK >ORUFI11G22230.1 pep chromosome:OR_W1943:11:24654108:24654955:1 gene:ORUFI11G22230 transcript:ORUFI11G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPIMCTGIYESDDRIIHFTNGDASQSTTSLSSHFPFRFSSLSSTAQTCRKRECAEAKGKEGVVSCCLDCFLGEDNLYLFAYGVPSWFSASSNIGAQHTCSMDDEDPPETVLRRANEILACNGFGSYDIVKNNCFDFAFYCKTGSNNLNRTVLGVVTAPIIAVAEPIAEVFLGMVTPIIAVAEPIAEAFSCVIS >ORUFI11G22240.1 pep chromosome:OR_W1943:11:24704831:24706967:1 gene:ORUFI11G22240 transcript:ORUFI11G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYGRGGTSKTIGNEEPQFIRKTRDKKQMPQHEKKRYFGEHTIYKMYNNLLQERSRKKALLNLLL >ORUFI11G22240.2 pep chromosome:OR_W1943:11:24704814:24706967:1 gene:ORUFI11G22240 transcript:ORUFI11G22240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASARLSSEAHRANQGRWAPMAEVEQAKQ >ORUFI11G22240.3 pep chromosome:OR_W1943:11:24705021:24706967:1 gene:ORUFI11G22240 transcript:ORUFI11G22240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYGRGGTSKTIGNEEPQFIRKTRDKKQMPQHEKKRYFGEHTIYKMYNNLLQERSRKKALLNLLL >ORUFI11G22250.1 pep chromosome:OR_W1943:11:24710270:24710997:1 gene:ORUFI11G22250 transcript:ORUFI11G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWRAAAVAKVDLPSPALPSSPLPLPSDNNRAWRWRIDGGLTTSLVDPPLASLERSRFGKQIRGGSIAEVGLPSPALSSPVPLPSDGDQARRWLIDASLEDQPLACLERCGSGSGGAREGRSCGGGKVEVGLSSPAPPTAAEGGGNRSTFEANCTKVPVEYRGFYPASNGKQKELWTFHLDRTLFSDFKSSMSGMVKFLGQMTQLVTFVLDFTDTDIPVEMIK >ORUFI11G22260.1 pep chromosome:OR_W1943:11:24713980:24715493:-1 gene:ORUFI11G22260 transcript:ORUFI11G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASLPRPGIKMNSTGASFGGCSLCSQGLLLRHHSGSLQICEFTGVASCRQWPVDLAADVVLIAVEVVHGITVHISEVLLDVGLAVDLGHALDLLVDGLVVPAPAALGVTHGPGSPSSAADIRGGALLSLGQQQQLIFSNSLHCYYAATSSSNNSPRPEQSTATTDFEGGRELLASGRADVNYAGVVWLKARQVAEATLRDGAAAELRAAHEEIRADVSPLFLTAGNGDAALVRALLVSALPPLVLLEIRSVPSRGCRRATEEQLDDVHEATLAGHRRPSSRERGRGEE >ORUFI11G22270.1 pep chromosome:OR_W1943:11:24716010:24722543:1 gene:ORUFI11G22270 transcript:ORUFI11G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVGSISNLAAQETSLLCGVMDEVGFLKAELERLHGFLEDAKHKRRSGDASAAVLVGQIRDAAYDAENVIEASEYMVKRNKLKKGFMGAISRRKYKPEELAYKYISELAQRSLVQVVDRSTAHGSILRIKIHDILRDWCIEEATQDGFFLCRAEVSSFNTMTFYRNSFHHFFDDKILQATAYKRTILGFSVPSMFLLKLKFLRVLHVENSTINNFSMAISECIHLRHLILRNCWRILPNKEWQTKRASDFSFGNIVVQLLQKFDVLDKLPGSTLFPQCLRQLYLFANVIKEDPMPIVEKLPCLVVLSLSGYQGRTMSCSAQGFPRLQRLDLSVFYTEEWIIETGALPRLSFGSSLNMRKLPDGLGQLPSLKELVLKDPLISEDDITCKDLRGKGCKLR >ORUFI11G22270.2 pep chromosome:OR_W1943:11:24716010:24722447:1 gene:ORUFI11G22270 transcript:ORUFI11G22270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFCAIGASKKQHKMGRAEVSSFNTMTFYRNSFHHFFDDKILQATAYKRTILGFSVPSMFLLKLKFLRVLHVENSTINNFSMAISECIHLRHLILRNCVSVRLPPSIGKLLYLQTIDLRRTILESIVPESLWNIASLKHVYLSGGFYPTRNGKQKELRTFHLETSSFNYFRSSIRCAR >ORUFI11G22270.3 pep chromosome:OR_W1943:11:24718906:24722447:1 gene:ORUFI11G22270 transcript:ORUFI11G22270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVSAAVGSISNLAAQETSLLCGVMDEVGFLKAELERLHGFLEDAKHKRRSGDASAAVLVGQIRDAAYDAENVIEASEYMVKRNKLKKGFMGAISRYARLPTDLIALHKIGVEIQRIRRKISEIFDSANRLKIVGLGNPTTDIGHADDEFPQDYDIMYQNFEDDDVVGFDNEYNEIVEKLVEQENELSVVSIVAMGGAGKTTLARKIYNSTRIRNHFDTTAWVTVSQKFKGIDLLKDIMRQIMPNKLESREIDQMQELEVGKKIHEFLLNKRYVVVLDDVWATDTWNQINRVGKVFPDANNGSRVLLTTRKEDVANHIEMSIYIHPLKLLDDEKSWELFSRKSLPSYKRSSLQDVNEFEELGRKLARKCNGLPLALAVLGGYLSKNLNIQAWSDIFKSRISTKNGQMMRDILARSYNDLPNNYMKSCFLYIAVFPEDYSISMADLVELWTAECFVQPRRKYKPEELAYKYISELAQRSLVQVVDRSTAHGSILRIKIHDILRDWCIEEATQDGSS >ORUFI11G22270.4 pep chromosome:OR_W1943:11:24720814:24722543:1 gene:ORUFI11G22270 transcript:ORUFI11G22270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYRNSFHHFFDDKILQATAYKRTILGFSVPSMFLLKLKFLRVLHVENSTINNFSMAISECIHLRHLILRNCVSVRLPPSIGKLLYLQTIDLRRTILESIVPESLWNIASLKHVYLSGGFYPTRNGKQKELRTFHLETSSFNYFRNKLPGSTLFPQCLRQLYLFANVIKEDPMPIVEKLPCLVVLSLSGYQGRTMSCSAQGFPRLQRLDLSVFYTEEWIIETGALPRLSFGSSLNMRKLPDGLGQLPSLKELVLKDPLISEDDITCKDLRGKGCKLR >ORUFI11G22270.5 pep chromosome:OR_W1943:11:24718906:24722353:1 gene:ORUFI11G22270 transcript:ORUFI11G22270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVSAAVGSISNLAAQETSLLCGVMDEVGFLKAELERLHGFLEDAKHKRRSGDASAAVLVGQIRDAAYDAENVIEASEYMVKRNKLKKGFMGAISRYARLPTDLIALHKIGVEIQRIRRKISEIFDSANRLKIVGLGNPTTDIGHADDEFPQDYDIMYQNFEDDDVVGFDNEYNEIVEKLVEQENELSVVSIVAMGGAGKTTLARKIYNSTRIRNHFDTTAWVTVSQKFKGIDLLKDIMRQIMPNKLESREIDQMQELEVGKKIHEFLLNKRYVVVLDDVWATDTWNQINRVGKVFPDANNGSRVLLTTRKEDVANHIEMSIYIHPLKLLDDEKSWELFSRKSLPSYKRSSLQDVNEFEELGRKLARKCNGLPLALAVLGGYLSKNLNIQAWSDIFKSRISTKNGQMMRDILARSYNDLPNNYMKSCFLYIAVFPEDYSISMADLVELWTAECFVQPRRKYKPEELAYKYISELAQRSLVQVVDRSTAHGSILRIKIHDILRDWCIEEATQDGSS >ORUFI11G22270.6 pep chromosome:OR_W1943:11:24718906:24722447:1 gene:ORUFI11G22270 transcript:ORUFI11G22270.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVSAAVGSISNLAAQETSLLCGVMDEVGFLKAELERLHGFLEDAKHKRRSGDASAAVLVGQIRDAAYDAENVIEASEYMVKRNKLKKGFMGAISRYARLPTDLIALHKIGVEIQRIRRKISEIFDSANRLKIVGLGNPTTDIGHADDEFPQDYDIMYQNFEDDDVVGFDNEYNEIVEKLVEQENELSVVSIVAMGGAGKTTLARKIYNSTRIRNHFDTTAWVTVSQKFKGIDLLKDIMRQIMPNKLESREIDQMQELEVGKKIHEFLLNKRYVVVLDDVWATDTWNQINRVGKVFPDANNGSRVLLTTRKEDVANHIEMSIYIHPLKLLDDEKSWELFSRKSLPSYKRSSLQDVNEFEELGRKLARKCNGLPLALAVLGGYLSKNLNIQAWSDIFKSRISTKNGQMMRDILARSYNDLPNNYMKSCFLYIAVFPEDYSISMADLVELWTAECFVQPRRKYKPEELAYKYISELAQRSLVQVVDRSTAHGSILRIKIHDILRDWCIEEATQDGSS >ORUFI11G22280.1 pep chromosome:OR_W1943:11:24733980:24736139:1 gene:ORUFI11G22280 transcript:ORUFI11G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVPDDALAAILRRLPPRSLAAARCVCKQWRDLVDDRALLLPHSAHGVVISYVDHHRPHLFSRPSSSSSSSAAAASDGPSLCLPRAAKLAVARDRPLRRPPPPLGNWGGELRVCNPATRRRATLPPPPQRACRDDVTAIPVGEYLVFEPAASSPSPHYEVFLIPTVPGHPGPPPTPPAHIRLKPMAAAAAPFCLDERLASLRGASYPTVEEMMEDMAEATVDSPPPSPYEWDQQLFCLMCWQVEYQYLSAEWPPPSYKIDAFSSRTGRWEERVFVREGETATTLEDMKPWNYVYAGPWQGCSVLWQGALYVHSGGACVTRFSLSNDKYQMIRAPINILDNKFDKPYLGKSKMGVSFGFIHDWQLSIWILKESTYQHDLQAIANQLDSIDSHIDQINGPWIRLSHKDFDWDSDNDDFLAIEVGGEKNEGHNCAPFGILGFHPYKEVIYLEEEFKTVAYHLNSSKIQYLGYSRPKCYGQYSTNGIEGSFVYTPCMIGDL >ORUFI11G22290.1 pep chromosome:OR_W1943:11:24734072:24737044:1 gene:ORUFI11G22290 transcript:ORUFI11G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRRPRAAVAALGARRCHLLRRPPPPAPLLPPLLLILLVGGGGERRTKPLPAPRRRQKLAVARDRPLRRPPPPLGNWGGELRVCNPATRRRATLPPPPQRACRDDVTAIPVGEYLVFEPAASSPSPHYEVFLIPTVPGHPGPPPTPPAHIRLKPMAAAAAPFCLDERLASLRGASYPTVEEMMEDMAEATVDSPPPSPYEWDQQLFCLMCWQVEYQYLSAEWPPPSYKIDAFSSRTGRWEERVFVREGETATTLEDMKPWNYVYAGPWQGCSVLWQGALYVHSGGACVTRFSLSNDKYQMIRAPINILDNKFDKPYLGKSKMGVSFGFIHDWQLSIWILKESTYQHDLQAIANQLDSIDSHIDQINGPWIVEEDDTDIPLNTETLSQRF >ORUFI11G22290.2 pep chromosome:OR_W1943:11:24734072:24737044:1 gene:ORUFI11G22290 transcript:ORUFI11G22290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRRPRAAVAALGARRCHLLRRPPPPAPLLPPLLLILLVGGGGERRTKPLPAPRRRQKLAVARDRPLRRPPPPLGNWGGELRVCNPATRRRATLPPPPQRACRDDVTAIPVGEYLVFEPAASSPSPHYEVFLIPTVPGHPGPPPTPPAHIRLKPMAAAAAPFCLDERLASLRGASYPTVEEMMEDMAEATVDSPPPSPYEWDQQLFCLMCWQVEYQYLSAEWPPPSYKIDAFSSRTGRWEERVFVREGETATTLEDMKPWNYVYAGPWQGCSVLWQGALYVHSGGACVTRFSLSNDKYQMIRAPINILDNKFDKPYLGKSKMGVSFGFIHDWQLSIWILKESTYQHDLQAIANQLDSIDSHIDQINGPWIVEEDDTDIPLNTETLSQRF >ORUFI11G22300.1 pep chromosome:OR_W1943:11:24737607:24740856:1 gene:ORUFI11G22300 transcript:ORUFI11G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPDDAVADVLRRLPPRGLAAARCVCKPWRDLVDVRALLRPRLLPRSAHGVLINYIDHGRPHLFSRPSSSSPSSAAAIGGEIIDGNLTFLPNDGDRDWWCVLDHCDGLLLCGIEWGSQLCACNPATRRWATLPAARQGPSRYAAAYLEFDPAASPDYEVLLIPNLPEKPSPPVPNQPRRRRRRRQDELAGPFCLHMLFSPLDAADESDLDGDVDVDDDDDDDEVATPAASSVDDDQYKLMEWPPSPYLLEVFSSRSGRWEERAFVREGEKVTTVEDMMPLGYPYRGPRRGYSVYHHGSLYAHCRGAFVTRYSLANGKYQVIETPINMANYKWEKPYLGKSEMGVLFGMIHGGQLSVWILQESAGQMGWILTYQHDLRPFAKEVSSLRYNGNLTTGSWTVEENSTGMHGNRDTLSAEDFEWDSDNDDFLAVEVRNEEYDDDCEHFDILGFHPYKEVVFLDQSFKTIAFHLNSSKIQYLGYSRPKCYYRNYTNGIYESFVYTPCMIGELHGVIGQSSS >ORUFI11G22310.1 pep chromosome:OR_W1943:11:24737638:24740068:1 gene:ORUFI11G22310 transcript:ORUFI11G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPDDAVADVLRRLPPRGLAAARCVCKPWRDLVDVRALLRPRLLPRSAHGVLINYIDHGRPHLFSRPSSSSPSSAAAIGGEIIDGNLTFLPNDGDRDWWCVLDHCDGLLLCGIEWGSQLCACNPATRRWATLPAARQGPSRYAAAYLEFDPAASPDYEVLLIPNLPEKPSPPVPNQPRRRRRRRQDELAGPFCLHMLFSPLDAADESDLDGDVDVDDDDDDDEVATPAASSVDDDQYKLMEWPPSPYLLEVFSSRSGRWEERAFVREGEKVTTVEDMMPLGYPYRGPRRGYSVYHHGSLYAHCRGAFVTRYSLANGKYQVIETPINMANYKWEKPYLGKSEMGVLFGMIHGGQLSVWILQESAGQMGWILTYQHDLRPFAKEVSSLRYNGNLTTGSWTVEENSTGMHGNRDTLSAEDFEWDSDNDDFLAVEVRNEEYDDDCEHFDILGFHPYKEVVFLDQSFKTIAFHLNSSKIQYLGYSRPKCYYRNYTNGIYESFVYTPCMIGELHGVIGQSSS >ORUFI11G22320.1 pep chromosome:OR_W1943:11:24741478:24744211:-1 gene:ORUFI11G22320 transcript:ORUFI11G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVPDDAFAEILGRLPPKSLAVARCVCKPWRELVDGRGLLLRRLLPRSVDGVLFNYVGHHRPHLLSRRRPSSSSSSVPASCGGGRVDGDLTASVPAGDRAWWAVVDHRDGLLLCDVYWGSRLFVCNPATRRWATLPQPPPEREPGGACAAGKYLAFDPAVSPHYEVLLIPALPEKAAEDAVDGDEGAAAYLSMEWPPSPYKVEVFSSETGRWVERVFVREEGGEAAATTTVEDMKSWEYTFARPRQGYSVFWKGALYVHSGGYFVTRFSMSSDKYQIIRTPIIIRNNKFVRPYLGKSKMGVSFGFIDDYQLSVWILKESAGQIKWVLNYQHDLWAAINQIDSFDFGVHQINGPWVLEETIPKYRMIENKETLSDKEWDSDNDDFLDTEVDDFLIDTEVDDEGHNDFAYFRILGFHPYKEVIFLEETLRTFAYHLNSSKIQYLGYSCPKYCYGRYTIHESFVYTPGMIGELNGHYGAGQSSPQ >ORUFI11G22330.1 pep chromosome:OR_W1943:11:24745956:24747643:1 gene:ORUFI11G22330 transcript:ORUFI11G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINGVLDYMSEERRALVLLAGPLQWPSPLQRLRQAEAMPLSARFGSSENHVDKCNIKLTSSPLPKKYDLLITFGNCHHKTRDQRYLKVNFGRDSDNDDFISIEGAVDGVDISRIQHLGHSYPKCYSTIDSNGIYETFVYTPYISRQFHAVTTGAAHPQHFHLILRNNAGHSVDQSKEPAVYDSTN >ORUFI11G22340.1 pep chromosome:OR_W1943:11:24747945:24749896:1 gene:ORUFI11G22340 transcript:ORUFI11G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAMHARAAAAAAASIHRQYWRCNPWRPCSSSTPSSAARRRSHGVSPWPLGVSSSSSTPPRGRRCRRRGYYTGVALPAPVRGIFVSYVDYDRTHLFAHPSITPACPAIDGVLDFMPEHRYSGDEWSVIDHCNGLLLFSNCSRWLCVCNPATQRWEKLPDGWSGSSYKTCTYLAFDPAMSPSRYEVLVIPNVPDPRWMMALCNHGGRAKDIDDPCRLTEWPPSPWRVEVFSSRTGRWEERAFVRDGEPAGTVEEMRLDPMEPTGVGPFQRYAVYQHGALYVHCRGDFVARLSLSNDTYQVIKTPENHNKSTREAVPYLGRSPNGLCFGISDRDAQLWIWILRGSHGKMEWILKYHDDLKPFAKQLSSYYGYRRKLFCGQPWIIEEANNREHQNTENKPDPKVNFEWDSDNDEFISIDGAVEGAIDDEYCYTFFDMIGFHPYKEVIFLGDILTVFAYHLDTSKLQYLGHTRPEDYCQMYTNGIYGSFVYTPYVSGHFYAVITGAGAHPQHLHQMLRNSAAHAIDQPKEPADY >ORUFI11G22350.1 pep chromosome:OR_W1943:11:24747945:24750071:1 gene:ORUFI11G22350 transcript:ORUFI11G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAMHARAAAAAAASIHRQYWRCNPWRPCSSSTPSSAARRRSHGVSPWPLGVSSSSSTPPRGRRCRRRGYYTGVALPAPVRGIFVSYVDYDRTHLFAHPSITPACPAIDGVLDFMPEHRYSGDEWSVIDHCNGLLLFSNCSRWLCVCNPATQRWEKLPDGWSGSSYKTCTYLAFDPAMSPSRYEVLVIPNVPDPRWMMALCNHGGRAKDIDDPCRLTEWPPSPWRVEVFSSRTGRWEERAFVRDGEPAGTVEEMRLDPMEPTGVGPFQRYAVYQHGALYVHCRGDFVARLSLSNDTYQVIKTPENHNKSTREAVPYLGRSPNGLCFGISDRDAQLWIWILRGSHGKMEWILKYHDDLKPFAKQLSSYYGYRRKLFCGQPWIIEEANNREHQNTENKPDPKVNFEWDSDNDEFISIDGAVEGAIDDEYCYTFFDMIGFHPYKEVIFLGDILTVFAYHLDTSKLQYLGHTRPEDYCQMYTNGIYGSFVYTPYVSGHFYAVITGAGAHPQHLHQMLRNSAAHAIDQPKEPADY >ORUFI11G22360.1 pep chromosome:OR_W1943:11:24751515:24752233:-1 gene:ORUFI11G22360 transcript:ORUFI11G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREQMRMAILRQEQTFRQQVHELHRLYHVQKQLMKQMQIAKLNQAQAIAANAETKPKFEITFAENPTNHHHHQFYSFQSSKIMSPPAAAAAAADQEEEEECDLQLTLATGSSSGGDGKGKKAVRSSNSDSGTAASSTSTESELAQFKNHHHQQLDCAAAPAARFQGESKKRVVVVVDNEMSLLQPPWLNQCLSLRMA >ORUFI11G22370.1 pep chromosome:OR_W1943:11:24770526:24772464:1 gene:ORUFI11G22370 transcript:ORUFI11G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKYR >ORUFI11G22380.1 pep chromosome:OR_W1943:11:24776829:24777352:-1 gene:ORUFI11G22380 transcript:ORUFI11G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSLSSRRNRLVLPLIVCPSCNVKTIVRCTAKTDANRGRVFYTCPDHEKDGSGCNFWYWEQGYINFLKRNGFIEDGTKGKSALRVDLEQDDFGRQDELEKNLIAVVPIARELLLAVKGMLVLGLFGVVILVLPPFFNR >ORUFI11G22390.1 pep chromosome:OR_W1943:11:24779967:24781298:1 gene:ORUFI11G22390 transcript:ORUFI11G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARCANIWSSADARSEESEMIDQLKSMFWSSTDAEINFYSPDSSVNSCVTTSTMPSSLFLPLMDDEGFGTVQLMVSTGMDMCSDHQHQVITGNKRMFPMDEHFEQQQKKPKKKTRTSRSVSSSSTITDYETSSELVNPSCSSGSSVGEDSIAATDGSVVLKQSGNSRGHKQCSKDTQSLYAKRRRERINERLRILQQLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDTWMFAPLAYNGMNMDLGHTLAENQE >ORUFI11G22400.1 pep chromosome:OR_W1943:11:24786288:24790780:1 gene:ORUFI11G22400 transcript:ORUFI11G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARDLPIPCSGAGGRRAPAAAADGRLAGGVLRPPWGGVAAGVRLVAAARPMSVVTAAAAGSSWDRKAGRSEAAVPAAAPVDGKDDDDVLPVLNKLMTSTVGKSTNIRWHDCPVNQLDRQKLLNQKGCVVWITGLSGSGKSTLACALSRELHSRGHLTYVLDGDNLRHGLNKDLSFKAKDRAENIRRVGLFGEVAKLFADAGLICITSLISPYKSDRSACRKLLPNSSFIEVFLNVPLEVCEERDPKGLYKLARAGKIKGFTGIDDPYETPSDCEIVIQCKVGDCPSPKSMADQVVSYLEANGFFQN >ORUFI11G22410.1 pep chromosome:OR_W1943:11:24813884:24816010:1 gene:ORUFI11G22410 transcript:ORUFI11G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNSLLLRYKNFGNFVSIWCKTTQAMADQLVHLPQQLLVLLLFIAPFFFFFLIRSMCRRDGGSVRLPPSPWALPVIGHLHHLMGALPPHHAMRDIALRHGPLVRLRLGGLQVILASSVDAAREVMRTHDLAFATRPSTRVMQLVFPEGSQGIVFTPYGDSWRNLRKICTVELLSAKRVQSFRPIREEEVGRLLRAVAAASPARRAVNLSELISAYSADSTMRALIGSRFKDRDRFLMLLERGVKLFATPSLPDLYPSSRLAELISRRPRQMRRHRDEVYEFLDIIIKEHQENRSSSDDQEDLDLVDVLLRIQRKGDFPLSTDNIKTTIGDLFNGGSETTATTLKWIMAELVRNPRVMQKAQDEVRRALGKHHKVTEEALKNLSYLHLVIKEGLRLHPPGLPLLLRESRTTSQVLGFDVPQGTMILVNMWAISRDPMYWDQAEEFIPERFEHVNIDYYGTDVKYMPFGVGRRICPGIAFGLVNLELVLASLLYHFDWELPDGTELGNLDMKEEMGAIARRLHDLSLVPVIRHPLPVDM >ORUFI11G22420.1 pep chromosome:OR_W1943:11:24828897:24829996:-1 gene:ORUFI11G22420 transcript:ORUFI11G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTPATEKLYLMLGMRALIDVKSDLKSLFTGYARDIVAQLGNTIRHLSMQFSIRIREGERRRQRREGGFVGAPCSSPSPAPVTRTQMLPRRLRPLAAPSYSPAGHQPRPAAARTRRRTQLLRRPARGEGRREQEKWRGEGKMRMTHGAHVGPTPSQLPRQIKPESKPSEDQK >ORUFI11G22430.1 pep chromosome:OR_W1943:11:24830146:24832341:1 gene:ORUFI11G22430 transcript:ORUFI11G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVGDEIGLDAIILYPAESARTGRSCAISDGVGEREQRGGGLAEGPARPRAAPSPAARARWWRGRAKLLPCRRPYRNVERVREGERREEEEADVWGPCGSHAESVVISDKTGVKTVRGTKVTRKGSSEPVGKPSQVSDFPTGTTETNQQQKHSKIELGSTCFGCHLAIADDSDGGCGGEAGRWLGRDWPLAIGTSRCSSGAHQGETGCRWRGWEEIYRREEG >ORUFI11G22440.1 pep chromosome:OR_W1943:11:24902825:24903034:1 gene:ORUFI11G22440 transcript:ORUFI11G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLRRTASRRRVTEPLRRPHGLQGPTESPEAIDPPEANKDSEDIKPLRANRLSANNGTPEAIPDSGVG >ORUFI11G22450.1 pep chromosome:OR_W1943:11:24936679:24941118:-1 gene:ORUFI11G22450 transcript:ORUFI11G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHEEQPYEGNGNGGDPAPASAYAEYPAPEGSPPAAAAKPTGFSDGATDGGRSQHETQPHDGRSSKSRERERERDKDKERDRDRDRDRRDRDRGDKDRDRDRHREHRDRSERREHHDRERSDDRDRRRGHDSERRRDRDRDGHRRHRSRSRSPSKGRDRRSRSRSRSRSSKRVSGFDQGPQAAIPALAAGAAPGQVPVVAPAISGMLPNMFNLTQTPFTPLVIQPQAMTQQATRHARRVYVGGLPPTANEHTVAVYFNQVMAAVGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESMLLHVQQQAQMQKLMFQVGGGALPTKVVCLTQVVSPDELRDDEEYEDIVQDMREEGCRYGNLVKVVIPRPDPSGAPVAGVGRVFLEFADVESSTKAKNGMHGRKFANNQVVAVFYPEDKFAEGQYDG >ORUFI11G22450.2 pep chromosome:OR_W1943:11:24936679:24941118:-1 gene:ORUFI11G22450 transcript:ORUFI11G22450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHEEQPYEGNGNGGDPAPASAYAEYPAPEGSPPAAAAKPTGFSDGATDGGRSQHETQPHDGRSSKSRERERERDKDKERDRDRDRDRRDRDRGDKDRDRDRHREHRDRSERREHHDRERSDDRDRRRGHDSERRRDRDRDGHRRHRSRSRSPSKGRDRRSRSRSRSRSSKRVSGFDQGPQAAIPALAAGAAPGQVPVVAPAISGMLPNMFNLTQTPPQAMTQQATRHARRVYVGGLPPTANEHTVAVYFNQVMAAVGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESMLLHVQQQAQMQKLMFQVGGGALPTKVVCLTQVVSPDELRDDEEYEDIVQDMREEGCRYGNLVKVVIPRPDPSGAPVAGVGRVFLEFADVESSTKAKNGMHGRKFANNQVVAVFYPEDKFAEGQYDG >ORUFI11G22460.1 pep chromosome:OR_W1943:11:24941684:24949325:-1 gene:ORUFI11G22460 transcript:ORUFI11G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFINVGILLSYVSNYAFAGLPVHLGWRVMFAIGAVPPVFLAAAVLAMPESPRWLAMRGRHADARVVLARTSDSAEEADLRLEEIKHAVAEPHDAGGGVWRELLFRPSAMVRRILATVIGLQFFQQASGIDAIVLYSPLVFKKAGMASNTSVLGATIAIGVVKTCFILVATLLSDRLGRRPLLLASTGGVAVTLTSLALALRVASPSTASAAACVASVVAFVAAFSVGLGPTTATYTAEVMGRHGRHPDLARPHAPRRVAAVHGERRGVRGVRGGVRGGVLRRARADDGDVHGGGDAAAAARAGHGARRGGEPAGMRRGDHDVHIARRRDHHGRVLLPLRRRGGGGVRVRLRVAAGDEGEKLGEHGHAMAHDGAAAAATLLASSGDNDDKPRRRRNMYAFGCATLASMTTILMGYNLALMSGGQLFVREDMALRDAEIEVLTGSMNVFMLVSILAAGWAADVLGRRGTLVLANAFLMAGALAMSLGATYAALMAARFVTSVGVGFSLVVAPVYNAEISPASARGVVSTLFEMFVNVGILLGYVSNYALSGLPACTSAGASCSASARSLQCSSPRACSPCRSRRAGSPCAEAELRLEEIKHAAEAPPQEDGGGVWRELLLRPTAMVRRILTCVVGLQFFQQASGVNVVVLYSPVVFKKAGMASNTSVLAATVAVGVAKTCSILVATLFSDRLGCRPLLLASTGGMAVTLTSLALTLRVAPPSAASAAACVASVVAFVAAFSGASLGIVVNRLTCGVMSMTFISVAGGITMVGFFFLYAGVAAAACVFVHARLPETRGRSLEDMDALFHK >ORUFI11G22470.1 pep chromosome:OR_W1943:11:24949401:24971787:-1 gene:ORUFI11G22470 transcript:ORUFI11G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARVRARGRCHGCAVRALACACTAGRSLDRDRSRRRERARRAAATWIWSAAWRAKVVAVNKSTGASWKRRPRRRKSTPSQNLTAQSNTSSVHHAHESRPVCTNLEGIWTDGIIPVQGSGSWTRTTPPSHDHRRRQATRPAEMTTSRRLADRKTAKFQKNITKRGSVPETTVKKGNDYPVGPLRISYDRDRSVLIGGGGRRAMASGGDDAAAPLLASKHGGEPRRNTFAFACATLASMTTILMGYNLALMSGAELFIREDLGLADEQVEVLSGSMNVFMLASILAAGTVADAAGRRGTLVLANALLMAGALAMSLGGSYAALMAARFVTSVGVGFAVVVAPVYAVEISPASSRGVLSSLPEIFINAGILLSYVSNYALAGLPLRLGWRVMFAAGVVPPVFLAAGVLAMPESPRWLAMRGRDAEARAVLARTSDTPAEADLRLEEITRAVAAQAGVAGGVWRQLLVRPTPTVRRIMTNVLSLHFFQQASGIDVIVLYSPLVFKQAGMASNTSVLAATVAVGVVKTCSILVATLLSDRVGRRPLLLWSAAGMAVALASLALTLCVGAGAPSPARAAAGVASAAAYVAAFSVGLGPLAPSYGSEIMPLWLRAQGAGVGVAVNRVTCGVLSMTFISVAGGITMAGCFFVYAGAAVAAWVFVYVRLPETRGRSLEDMDIWDTHDLHNVGLSDAQIEVLAGSMNVFMLVSILAAGWAADVLGRRGTIVLANAYLMAGALAMSLGATYAALMAARFVTSVGVGFSLVVAPVYNAEISPASARGVLSSLLDMFVNVGILLSYVSNYALAGLPVHVGWRVMYGIGVLPPVFLAAGVLAMPESPRWLAMRGRHADARAVLVRTSDSVEEAELRLEEIKRAVEAPQESAGVGVWRELLLRPSAMVRRIVTCVVGLHFFQQASGIDAIVLYSPLVFKKAGMASNTSVLGATVAVGVVKTCFILVATLLSDRLGRRPLLLASTGGVAVTLTSLALALRVASPSTASAAACVASVMAFVAAFSVGFGPMTATYTAEIMPLRLRAQGASLGMAVNRLTCGVVSMTFISLAGGITMAGCFFLYAGVAAVACVFVYVRLPETRGRSLEDMDVLFANSIVLCVLADLALMSGAQLFVREDISAIIKGGGYQARKVYGFGDKGTIVLANAFLMAGALAMSLGATYAALMAARFVTSVGVGFARVVAPVYNAEISPASTRGVLTSLLDVRIYASVDLAM >ORUFI11G22480.1 pep chromosome:OR_W1943:11:24962762:24964461:1 gene:ORUFI11G22480 transcript:ORUFI11G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLLPPLPAPETTAPAGRDWSELPADALSVVFAKVGAVEVLAGAGLACRSWLDAARVPELWRAVDMLRGAVRCLHLGQDRDLMCAMAKVAVDRSGGRLEVFKGEDFVSDELLEYIGDRSPSLKVISVWCSDETRMSTEGFAELTRKCPLLEEIVLSSGGHRRPPLPRLALAVAELRHLRRLTVQGIGVSNDELTAIVDGCPRLELLDVCSCWDLCVDDDAQLLAKCARIRTLKLPPSEEDDYYDYYYYYN >ORUFI11G22490.1 pep chromosome:OR_W1943:11:24971138:24975849:1 gene:ORUFI11G22490 transcript:ORUFI11G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSVQIPSRFVHTGLDSWAWCTDEIDGAPAGVAPAGNDDDLTAGDRSIDCGGDRTQQQAAVTPA >ORUFI11G22500.1 pep chromosome:OR_W1943:11:24972342:24974519:-1 gene:ORUFI11G22500 transcript:ORUFI11G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRRKFRRKKPSQKVDSIGLQTPRSSALENQEPLLSSEYSGHNSAIQNIPEDLTVWDVVKGDVAIVASKMKSMTERLLEELKIALRLLMEDIDDQSHVEQLVRLQKHVEIRPDLTSATLLTAHHVQLEMFVALKMGIPAYLHENVSVPRSRLAEIFAYERCKNISCQSVLPAEECDCDVCCCRRGFCNLCMCVVCNGFDFDVNTCRWIGCDGCSHWTHAGCAIREEQIKTVITVEDGVAHYVTVFFCKACHGTSELLGWVRNVFQHCAKIWGTDALARELEYVQEVFSVSEDSKGKKLFEKCTDLIERLKVVQAESMGPEVLLQALQEIELDDAPEITENEKQVQQNTDPHETCNNQLSEYVQETAMTNKKVRLSVDAITDGEVEKAKEAEQQLQPMAAEQEEVPPPPASSSGGVAAPTTQNAMLCKILDALSGMPSPPSSDSAAAVSKVHELLREALSMPRSSGRATAAAVDDDDVAQNGRDRNDGDDPRQMMMLKEIYDMVIGMTKTMTK >ORUFI11G22510.1 pep chromosome:OR_W1943:11:24983968:24988419:1 gene:ORUFI11G22510 transcript:ORUFI11G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAGKLFIGGISWDTNEDRLREYFDKYGEVVEAVIMRDRATGRARGFGFIVFADPAVAERVIMEKHMIDGRMVEAKKAVPRDDQHALSKSGGSAHGSPGPSRTKKIFVGGLASTVTEADFRKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALFKTFHELNGKMVEVKRAVPKELSPGPSMRSPVGGFNYAVNRANNFLNGYTQGYNPSPVGGYGMRMDARFGLLSGGRSSYPSFGGGYGVGMNFDPGMNPAIGGSSSFNNSLQYGRQLNPYYSGNSGRYNSNVSYGGVNDSTGSVFNSLARNLWGNSGLSYSSNSASSNSFMSSANGGLGGIGNNNVNWGNPPVPAQGANAGPGYGSGNFGYGSSETNFGLGTNAYGRNAGSGVVNTFNQSTNGYGRNFGDSSGGGGGGGGGSIYGDTTWRSGSSELDGTSPFGYGLGNAASDVTAKNSAVVRLCHQLTKLKVSAKNYKQCRRLGIFFTYNICQNRLRREPQVHQVQILNLT >ORUFI11G22510.2 pep chromosome:OR_W1943:11:24983968:24988419:1 gene:ORUFI11G22510 transcript:ORUFI11G22510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAGKLFIGGISWDTNEDRLREYFDKYGEVVEAVIMRDRATGRARGFGFIVFADPAVAERVIMEKHMIDGRMVEAKKAVPRDDQHALSKSGGSAHGSPGPSRTKKIFVGGLASTVTEADFRKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALFKTFHELNGKMVEVKRAVPKELSPGPSMRSPVGGFNYAVNRANNFLNGYTQGYNPSPVGGYGMRMDARFGLLSGGRSSYPSFGGGYGVGMNFDPGMNPAIGGSSSFNNSLQYGRQLNPYYSGNSGRYNSNVSYGGVNDSTGSVFNSLARNLWGNSGLSYSSNSASSNSFMSSANGGLGGIGNNNVNWGNPPVPAQGANAGPGYGSGNFGYGSSETNFGLGTNAYGRNAGSGVVNTFNQSTNGYGRNFGDSSGGGGGGGGGSIYGDTTWRSGSSELDGTSPFGYGLGNAASDVTAKNSAGYMGH >ORUFI11G22510.3 pep chromosome:OR_W1943:11:24984072:24988419:1 gene:ORUFI11G22510 transcript:ORUFI11G22510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAGKLFIGGISWDTNEDRLREYFDKYGEVVEAVIMRDRATGRARGFGFIVFADPAVAERVIMEKHMIDGRMVEAKKAVPRDDQHALSKSGGSAHGSPGPSRTKKIFVGGLASTVTEADFRKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALFKTFHELNGKMVEVKRAVPKELSPGPSMRSPVGGFNYAVNRANNFLNGYTQGYNPSPVGGYGMRMDARFGLLSGGRSSYPSFGGGYGVGMNFDPGMNPAIGGSSSFNNSLQYGRQLNPYYSGNSGRYNSNVSYGGVNDSTGSVFNSLARNLWGNSGLSYSSNSASSNSFMSSANGGLGGIGNNNVNWGNPPVPAQGANAGPGYGSGNFGYGSSETNFGLGTNAYGRNAGSGVVNTFNQSTNGYGRNFGDSSGGGGGGGGGSIYGDTTWRSGSSELDGTSPFGYGLGNAASDVTAKNSAGYMGH >ORUFI11G22520.1 pep chromosome:OR_W1943:11:24991203:24996790:-1 gene:ORUFI11G22520 transcript:ORUFI11G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLVLVVLPLGLLFLLSGLIINAIQAVLFLSIRPFSKSLYRRINRFLAELLWLQLVWLVDWWAGVKIQLHADDETYKAMGNEHALVISNHRSDIDWLIGWILAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKWGLQRLKDFPRPFWLALFVEGTRFTPAKLLAAQEYAVSQGLPAPRNVLIPRTKGFVSAVTIMRDFVPAIYDTTVIIPKDSPQPTMLRILKGQSSVVHVRMKRHAMSEMPKSEDDVSKWCKDIFVAKDALLDKHLATGTFDEEIRPIGRPVKSLLVTLFWSCLLLYGAVKLFLWTQLLSTWKGVGFTGLGLALVTAVMHVFIMFSQSERSSSAKAARNRVKKD >ORUFI11G22530.1 pep chromosome:OR_W1943:11:25001796:25008086:1 gene:ORUFI11G22530 transcript:ORUFI11G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTSSLLPLLPSRTPTPTPRRRLPFAAAAAAAAAPPRPFLVSSPPPPPAPRARLARRAAAGGLYGGGGGEEEGDGEDGEMEEEYYDDGDEEGDWEDDDAEEGEEMDVEAMEEEALGAVADLSERLSRELRIDDDVRDKRRIIRDKTSASKHIPDNLLPRVAIIGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSYWGDREFMVIDTGGVITLSKSQAGVMEELAITTTVGMDGIPLASREAAIARMPSMIEKQAVAAVDEAAVILFIDGLVAADIEIADWLRRNYSDKHIILAVNKCESPRKGQMQALDFWSLGFSPLPISAITGTGTGELLDMELDGVEEDGNYIPAIAIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTEFTTEDGQKYKLIDTAGIRRRAAVASAGSTTETLSVKRAFRAIRRSDVVALVVEAMACITEQDYKIAERIEKEGKACVIVVNKWDTIPNKNHQSTTYYEQDVREKLRILDWAPIVYCSATHGSSVDKIISAAALVEKERSRRLGTSILNQVVREAIAFKPPPRTRGGKRGRVYYTTQAAIRPPTFVFFVNDAKLFPETFRRYMEKKLRSDAGFPGTPIRLLWRSRKRPDKKGKSADNSLQSSVIPSRMALAT >ORUFI11G22530.2 pep chromosome:OR_W1943:11:25001796:25008086:1 gene:ORUFI11G22530 transcript:ORUFI11G22530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTSSLLPLLPSRTPTPTPRRRLPFAAAAAAAAAPPRPFLVSSPPPPPAPRARLARRAAAGGLYGGGGGEEEGDGEDGEMEEEYYDDGDEEGDWEDDDAEEGEEMDVEAMEEEALGAVADLSERLSRELRIDDDVRDKRRIIRDKTSASKHIPDNLLPRVAIIGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSYWGDREFMVIDTGGVITLSKSQAGVMEELAITTTVGMDGIPLASREAAIARMPSMIEKQAVAAVDEAAVILFIVDGQAADIEIADWLRRNYSDKHIILAVNKCESPRKGQMQALDFWSLGFSPLPISAITGTGTGELLDMELDGVEEDGNYIPAIAIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTEFTTEDGQKYKLIDTAGIRRRAAVASAGSTTETLSVKRAFRAIRRSDVVALVVEAMACITEQDYKIAERIEKEGKACVIVVNKWDTIPNKNHQSTTYYEQDVREKLRILDWAPIVYCSATHGSSVDKIISAAALVEKERSRRLGTSILNQVVREAIAFKPPPRTRGGKRGRVYYTTQAAIRPPTFVFFVNDAKLFPETFRRYMEKKLRSDAGFPGTPIRLLWRSRKRPDKKGKSADNSLQSSVIPSRMALAT >ORUFI11G22540.1 pep chromosome:OR_W1943:11:25009601:25018590:1 gene:ORUFI11G22540 transcript:ORUFI11G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRVAGGGGGGGGGVSSAASSRWRGRRPIGAQWGRPIPRGGFPATPTPSSSDELSLATGARTDSPNGMGGSFGWLEFLLSTSHPCEDDAAEELPVEPPVAAMDAAHDGRDEVRGEVPSEPSEVLAGEEEAAMDAAHDGRGEVRGEVTFERAIGASSRAGYEGIKLGEEPSLKPAMVGAPEIKMIEIAEASSVAQWDLLAGTEDCSPEINPKIVDLQQQQQEASLLPDEVISEADASILAQYSSVTKKDDDAEFLICRPNFGFVTRPQQHTPKKRHPKNKRAMGINLLDREGNICSDDKLPSQGCLVGEYNNLLLQLYQLLFDLKELMELCGQADKIQSEVFLKKAMKKACRDSDDAVADIVFQALKVDGFILRLLRYLKSQFNFCRTQAWKIRNEILRIGGSDEMQQGVKVDTTRVIENTASTVDSETPVEQKRRKKVETEYVLGGKDLEFILSYEDDELETFDEDMLKDQAMVRQQIENFGYGFLHSWREVICTDSEEEDDPVSDDDDDDEQDDFFTNDDDDDQQNVDQMDKNIWEKMERTTALKIREMIELCEFYANMSNSKRNAIPAKMLKNAHCQEDFGVLDLIDQAQKNDKFFFANIAVKLKCLLGRLCTADLPQAPDLERNKRKKVKKMVEHVIDGGDLDLVLSYENDEECLLNESMLEDQETFRHQIENLGYAFIHVMREFILTDDEDQEEGEIVTYAEEEDDIVTDDDDEDD >ORUFI11G22540.2 pep chromosome:OR_W1943:11:25009601:25018590:1 gene:ORUFI11G22540 transcript:ORUFI11G22540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRVAGGGGGGGGGVSSAASSRWRGRRPIGAQWGRPIPRGGFPATPTPSSSDELSLATGARTDSPNGMGGSFGWLEFLLSTSHPCEDDAAEELPVEPPVAAMDAAHDGRDEVRGEVPSEPSEVLAGEEEAAMDAAHDGRGEVRGEVTFERAIGASSRAGYEGIKLGEEPSLKPAMVGAPEIKMIEIAEASSVAQWDLLAGTEDCSPEINPKIVDLQQQQQEASLLPDETALKIREMIELCEFYANMSNSKRNAIPAKMLKNAHCQEDFGVLDLIDQAQKNDKFFFANIAVKLKCLLGRLCTADLPQAPDLERNKRKKVKKMVEHVIDGGDLDLVLSYENDEECLLNESMLEDQETFRHQIENLGYAFIHVMREFILTDDEDQEEGEIVTYAEEEDDIVTDDDDEDD >ORUFI11G22550.1 pep chromosome:OR_W1943:11:25020148:25020414:1 gene:ORUFI11G22550 transcript:ORUFI11G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGYKNFSEELLACFLVDRLDDYFARKNKIHDKRVKPILELVKKTNPGGAERICKEYKEEGYAKYWITDDEDEAATRAPAPGRRRGR >ORUFI11G22560.1 pep chromosome:OR_W1943:11:25021522:25022142:-1 gene:ORUFI11G22560 transcript:ORUFI11G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSKGTCDQSQIPSTTMDPSDEAPSGKKSDGQIPAPAPVTSDEGKAPVMEKKKKKKAKTKMVRFTQAQINNCITYKEEEMPDYDNMPSIIEILGDDLANCPQDYIDELKAIDDSREEDKAFWIKMQNEIRGEREGILRQYFTKGYAEYEVDIDDDDDDKDNKVPARVAAPLAGEGSIAPPSRRRFRNGVAVKKNLSGGGSVRKI >ORUFI11G22570.1 pep chromosome:OR_W1943:11:25023580:25024005:1 gene:ORUFI11G22570 transcript:ORUFI11G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKTKMVRFTQQQIKNCMAFSADISDDDDEESLPKLSEVLSKDILDRVPPEVLDSLIEFENTRGERKARWEKLRDDLHEERDAILKQYYTKGYAEYEVYDDEDEDNKVPARVAPPGRRRRFRNGVAVKKNRSGGNIRKI >ORUFI11G22580.1 pep chromosome:OR_W1943:11:25026268:25026666:-1 gene:ORUFI11G22580 transcript:ORUFI11G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDQGKKKATAASAAEKEGKKPTTMAGSSSEGKKKKTTATKKELMSQAEIDSHIRYQTVEFREEDMVKVGDHMDEYFAKIIRDINRIESRHMRARDNVLKQYYEKGYVEKDAYQKGYEAAGEDEESICR >ORUFI11G22590.1 pep chromosome:OR_W1943:11:25027454:25043294:-1 gene:ORUFI11G22590 transcript:ORUFI11G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATASGGARPEEAAASASPPSPTASYCMGTRPEELTARLAAAAGGRPVGGGGGGRGGGGGGGEEEGEHERVRTLREIKNQIIGNRTKKLQYLRLGAVPAVVAALAGPGASPAALVQAAAAVGSFACGVDDGVRAVLAAGAVAHLTRLLAHPDEKVVDASARALRMIYQSKLAPKFDVNNNEKNMEFLLTLLNSENENVTELAANIISHSCDSTTEQLALCAAGVPQRLVNHFGGSMNLRDACLDSLTAIIRNNWEVASRFALLDHGKALRSIVALIHDRSPRTRLLACLCLIALSHASPCHFQDRQIKTKLILVLLELIEEPGHVGDDAPLALTTLIKDSVELQKQALSTNAVQKLSNHLIANSLESRRAVTILLALAELCSKLEESRSQLMSVQASTLILDALKHASVDIRVAACSCLKNISRSSKVLSAGKLSCDTFIAPLVQLLNDSSMSVQVAALGAICNIAVNLTPRKSILLQSGAVSQLVHLSKSMDPTLRLKAVWALRNIMFLLNPKDKDFILKELTLSTLSSLICDSEHFVQEQTLALVHNLVDGYVNSFNYVIGEDGMILNAISRQLNNAPAPGVCIQGMFVLANVAAGGELNKEAVMNILVPRRADRVKQSFIVNFLLSKDKQLRVATLWCVLNLIYPKCEASSVRVVRLQNAGVISQVKGMINDPCLDCKLRVRMVLEHCLDNADDGFMNSVIAEEDEEGQSLLLDPDDVVRLNHVHNDDREEVEVYDDDEDDGEVVDIDDKNECREEEEDDEVVHVDDGGGGEDNDVVDDGFGVLVVVRIDDSINITVYGILMLMATHGNFKTCTGGVCIWLAIYAMSQLRASGDDVKVEKSMAGAEAVSAAWKEWALQALVLLSLMVQVTLLILAEFRRYIDSGVLRAFIWSAYMLADGTAIYVLGHLSVTSRSPEHELLALWAPFLLLHLGGQDKITAYAIEDNRLWLRHLQTLVVQVAAAAYVIYGSSIVIVGDSRTLLLLSATILMLMVGVAKYGERVWALRCAGSSPTGKYESDIARRRFSQMVPESFIRRLDPAETLLLNAHLLLDFAKDRFKGPLPRLFLCGPMNEGSRLQGEDELYKVAEMQLSLLHDVFYTKSEITHTWYGLCIRVLSSLATTVAFFLFNILLVWGNHHQHKLNGYSRADVIVTYVLFVGAVILETMSLLRAMFSSWTCALLVKKGSEGSNVCNFLAHIPACLRRLVRAAYWRRRRSWSRSMGQLNLIQLCVHSRASRCSKIARWMGVEDWWNRLAYSGLPIPISACTKQLLLETMKAKQWGQEEFESRGLYRDPAWVAESKMEQRILIWHIATEIYLCWYKDQEKKQAEATSGSGSAAEEEQAAATGGSGSAAEEGQAEAASGSSSTAEEDQAKAVGGSVSAAEGEQGEVANGSSSAAGEEQPEVVDGSGSAADLMETAQALSNHMLFLLASRPHMLPPDASRNDYLVLCYAITRHLRTLGDKMLRGGCSLGAFLIDRQDSPADGTGTLEMICQVWAQMLCCCGEQCSTDSHVKQLSSGAMSTSSMVIERSLDNAEDGFVRAATIELRPLKLADSLRKEKMEIAITSVDDDNQ >ORUFI11G22600.1 pep chromosome:OR_W1943:11:25048191:25053107:1 gene:ORUFI11G22600 transcript:ORUFI11G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLGRLKSSIFDKEERKMQYQSHIRGLNAYDRHKKFMKDYVQFYGHDKNVDNRAPIKTDKDTLREGYRFILSEEDDVDSTWEKRLVKRYYDKLFKEYCIADMSQYKRGKIGLRWRTEKEVISGKGQFLCGNRICDEKNGLGSYEVNFSYIEAGEQKQALVKLVACQRCAEKLAYKRQKEKEREKEKEISREKEMELKERDKRKREREGSEETEDTSEDESTEKYSRRKKDRKRSSRKSSNNDEGFEEFLEGMFP >ORUFI11G22610.1 pep chromosome:OR_W1943:11:25053572:25054950:-1 gene:ORUFI11G22610 transcript:ORUFI11G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELQYLNVLNCYSLTAMPHAMGQLTHLHTLLGYFVPNIGSSAMSELQSLLDLNRLWLLSLVNLEKVSDTEDARMAKLQEKEKLETLMLRWNMDAGNASRIDHEVLETLQPNQCLKTLEIVAYEGHAFPSWITSTEPYLTSLVEISLEVWEQSSRMNLFPRLAELVIIQCPKLRALHMEFPSIEKLILWMNNKMLYSSKEGLRGVEKSLENLSISFCEELHASSGCEGLQALDRLKKLEICGCHELSCLPQGLQHLSSLASLKIDNCNKLEILPEWLENLPFLQIMCLSGCPILHSIPEGLTCSDIIVEYCPNFKEPSGMSSVLCSWKAMFLIFIELFLKQLN >ORUFI11G22620.1 pep chromosome:OR_W1943:11:25057685:25058020:-1 gene:ORUFI11G22620 transcript:ORUFI11G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGGIRPICPDAATRVPRRAGRVSIVGRVTPLTMRARRSAWKEGSCGEPKGKRRQRAREPEAAAARRGCVVAAADDDDDQDDDNWIWRRWGVPTTGWVGDNDDDDEDDQI >ORUFI11G22630.1 pep chromosome:OR_W1943:11:25062581:25064761:1 gene:ORUFI11G22630 transcript:ORUFI11G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRRLWEVWGEWEIRVLVLSSLSLQVFLLFTGGLRKRKAAWWLRMPLWLAYLLADYIAIYALGNLSKKQKLCDGSFDGEMHLLVFWAPFLILHLGGQDTITAFAVEDNELWLRHFLSLLSQVALAGYVYWKSRPSMRLMSPAIIMFVAGVTKYGERTLALRAASMDCLRSSMVTQPDPGPNYAKFVEECQSRTESGLVAKIVIVQERPPDDEDHVEVKREEYGDLVYSAHRFFHTFRRLFVDLILSFQDRIDSLAFFRRLEMEQAYKVVEIELVLMYECLHSKALVIHGLLGRSLRLFSLAAPVVSLVLFTRALGDMREGYNQVDINISFVLLGGAIFLETYAILLIFISSWTYTDMRGREALRPVAAAVFWLIALFQPEKRPRWSNKISQYNLISYCVKDKSRRYKKPMEWLEWRWNFRVKTMWDSWRYKTSIGVSELLKSHIFEQLKSKASSISKDPKSYRKAGEHRGQWALQRKGLYQKLGWSVDCEFDESILLWHIATDLCFYANHPADKDDDGCCSCSSSSKCLRCLCSSSSGYPDAEARGRDSNKLATMSREISNYMLFLLVMRPFMMTASIGQIRFGDTCSEAKNFFRRDDEEIGDEERCAKRLTKVDTSIAEPRDVKGDRSKSVLFQACKLVRQLNELEGITEERRWRLIAGVWVEMLCYAAGKCSGNAHARQLSQGGEMLTVVWLLMAHFGMGDQYRVESGHARAKLIVHHNHSTM >ORUFI11G22640.1 pep chromosome:OR_W1943:11:25076156:25078963:-1 gene:ORUFI11G22640 transcript:ORUFI11G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAIYLALRKIGIALGRERSATALEHDLEGRSALEHGLEGGSFLIRSLTRVISEIINSNDILVLRQAELQGRLRRIESELRMILCFLAQIETRYDNKQVLQSWIGEARKLGCLVEQIMDEYIVYIVQSKQYRLTKRVQVILASHRFTAQLKEIEVELEHLSKMKKRWVQVDKETSLASSSSMFGPHVNNIDTKRYAERKEELIESLKSKDMTKDGALSVIAVYGQPGSGKTHLVKDVYASEKKYFSTSAWISIAQCPNADTDALKRMMMEELGRQVGTSTESTSLRVMTENQDSKFLFVFDDVWVPDMVHKVHRAASDNKMGSRIIIIARMPEVAFVRSESSVESQSQTPSSSLEPVRPSARVPKEFMKLTCLHPNDSFDIFCTKAFGRPSDCPIELREVTLKIIGLCNFLPQAIVSIGALLSSKQKTESVWSEMAQQIEDIQKSKSSLNNVQKVLYLSYKNLPMHLKNCLLYCSTFPAGFLLLPESLVRLWAAEGFIENQGSLQVEEIGERYIKELIHWGFLQVVDVDEQGRVASCRMPIVVHELAVSISQKEEFGAICHGGKLAEMDTNVRCLFISENPEDIGALVDFPYLRTLMASRNAAANLKSLPASLTAKLKYLTVLKLQESPLEELPRGIGYQLFNLRYLGLRKSQIRCLPSSMANLYNLQTLDLRGSRINELPSWIGKLIRLRHLSADTLDGQGPDIYRAVKAPKTVNYLKELRTLETVQASDTFEKHVEKLTQLTTLSVVIGEGRSSKTLFSSLSKLSCLSSLHVSASRVDEESLDFETLNPTSLEKLVIRGGLAEQTFQYPIFKSKQIKVLELSLCKLRDDSLVLLSTNLCNLESLRLHNISGISKLVFQAINSFANLRTLLLHEIDGVDELEIPNGSLGSLQVLHVVKISQLNSIHDQERIISVRDLYFPQLWLSREKRNAS >ORUFI11G22650.1 pep chromosome:OR_W1943:11:25082784:25083282:-1 gene:ORUFI11G22650 transcript:ORUFI11G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRADGDAGGAGGRMRAAPPYGIDFLTHMPALPYLSPDLRGENLLVCANFASAGVSILNDTGIQFLAMRLELEEEVGDEHDDAAGTRQVEGGVAGDDGVLAGGDAYLSMTA >ORUFI11G22660.1 pep chromosome:OR_W1943:11:25102544:25104490:-1 gene:ORUFI11G22660 transcript:ORUFI11G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPEAEAEGEGGCILFSKYIDDGILTPLLSSLQVIGDAKSFRGAESSSDLAQLDSIRDMMRELQAIFVKMGENERRIVHLFDPIEELVDDVLNSLAAGGEISTLQPKLAGVGVQIGIIREAISGSYKIKIQEEPSPDRGRDVEDSASAPTMAGIRRCVRDGEQMAHLRRAVHGMDEQLRHCLLCLAAFPEGAVIKKRLLIHWWIGEKFVSSLEDGNELFQQLVDLRFVRTVRRRGHCDTAHACTVHPWIRRMLVAVARSSAFLEIDPDGNGASASNNDFSRTHRACLHDGGLLQGSRFHPQLSTIYNVGQSYVKLSTAWFTYRSQLGTVQLGQWRVADPVDQIAYPRKSHIELIDDHHLKGIGACKNLRYLSLRGISRIMAIPAAIGELAELVVLDLRACHDLQVLAKEITKLQKLQYLDVSECYLLVDMPEGFNKMSQLQVLKGFLVVNSNKRNTCNLGELVSLSNLRKLSISVSKKLKRAEDELKVLANFASLASLKITWGMVSPRDAADESDAAKFNLVLPSNLSKLDLYCFPSRQFGTISSDSLKKLYFTGGKLHSLEIQNGECKVEVLRLRCLKDLQFCWEELRELYPMLEFVEAQHCSGVANWPLDNNKVWTKDAETSNAASAQSEEPTAPDVCPEIVEEG >ORUFI11G22670.1 pep chromosome:OR_W1943:11:25107071:25111242:1 gene:ORUFI11G22670 transcript:ORUFI11G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELEVYSLLQKMAAMRDGGDWPAGAELELQELYTVLEKVQRKMAHAEPLVVVDSGNSARAAYSLFLADLRRVTFTVDQALDSYSASARRSPFMHRVRSVALMGMAAYGVFAFLSARSSSLLQEKLLPQPLELRPKIARKLEEAVKDVRMLLRLFSTYVADLLPPPEHQQPEPGLGADPDDTWSLADQKEANLLVKGREQDRCKVRQILEHPGTKVVVIVGMAGAGKTKLTRHLLCEMCSATTHGFNYSLWVTVSQDFNPEWIYRQVMSKLSDQLKAGEDAESFWWSLNSDLNEGLINKKHLLVLDGVWNEDPRKWKELMDLLYGNCTQKTKIIVTTRIPAAAAAISSAVIYHLHPFSVEHTLEMLQTINGELPEKLLGGGSSNATVSTAAAKELSKRKVAEKCSGLPTIIKFLSSSLESICANEVADPLKQFDMMCAGNQGLLHIAEASYNHLPPHLKRCFLYCSLFPYHHIFDTDEMISLMVAEGLTQTTSREAQVDGDISRLQSECFDLVNNPGSNGGIRRGCMMHRVLHILARHKGQELYKAIVGDHSAALKEHSNIRYMSLTVDHTTTELPGSLTAHTDLRTLILLRTQKMVLSGQKSEIKEIPSDYCRYLTYLRVLDLQATKINKLPEKVEMLSNLRYLNLSQTDIDKLPESIGRLQYLVSLNISQTCIATVPDYIGKIHSLRYLNLSQTDIGKLPDSICSLRLLQTLQLSRCEKLTKLPQNIGSVTSLQRLDLEGCYYLSEMPQDISNLKNVKELNVLECPSLDKMPCGLSALTKIEALPRYIATSGDNNPILELRDLVKLKRLGLENIANISNEDAEKIQLQKKHELEHLTLNCNMDAENRKSSSEVKELLDCLEPNPGLKILEIISYAGETFPCWMANTNPQLKKLTQIRIIRLINLKCSSLPPLGQLHQLETLEISGMNAIKNVSSELHGELNADTFRSLKKIVFSHMVNLQCWPVENGAICEHLKELSIIQCPKFHKLSMNLNIEKLTLLMSPHELLGREGLAGVARSLKSLSISLCEELSASSNCEGLTMLSNLEELKISGCDELENLPPGMENLTALKRLSVIGCQKFQNLTDLLECTALSSLVISDCPMLPSVPQGLRGITTCE >ORUFI11G22680.1 pep chromosome:OR_W1943:11:25118961:25121674:-1 gene:ORUFI11G22680 transcript:ORUFI11G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRRLREVWGEWEIRVLVLSSLSLQVFLLFTGGLRKRKAAWWLRMPLWLAYLLADYIAIYAMGNLSQNQKLCDGSLDGEMHLLVFWAPFLILHLGGQDTITAFAVEDNELWLRHFLSLLSQVALAGYVYWKSRPGVRLMIPAIIMFVAGITKYGERTLALRAASMGCLRSSMLTPPDPGPNYAKFVEECQSRTDAGLVAKIVIVQERPPDDDHHVEVKQQEYGDLVYSAHRFFQIFRRLFVDLILSFQDRIDSLSFFRRLEMEQAYKVVEIELVLMYECLHSKALVIHGRLGRGLRFFTLAAPVVSLVLFTRALGDMRGYYKQVDINISFVLLGGAIFLETYAILLIVVSSWTYTDMRRTEALRPVAAAVFWLIGLFQPEKRPRWSNKMSQYNLISYCVKDRSRWYKKPMEWLEWRWNFRVKTMWDSWRYTTSIGVSEQLKSHIFEQLKSKASSISKDPKSYRKVGEHRGQWALQRKGLYQKLGWSVDCEFDESILLWHIATELCFYNKHYRAPAEKDDDGCCISCSSSSKCLRCLCVSSSAPAGNNDDDHGTTARERDPDNLVTVSREISNYMLFLLVMRPFMMTASIGQIRFGDTCAEAKNFFRRDDETRDEKGCANRLTDVDTSIAEPRDVKGDRSKSVLFQACKLAKQLMELEGITEERRWQLMAAVWVEMLCYSAGKCSGNAHARQLSQGGELLTVVWLLMAHFGVGDQYRVLEMIPIDTNMMSS >ORUFI11G22690.1 pep chromosome:OR_W1943:11:25125041:25131339:-1 gene:ORUFI11G22690 transcript:ORUFI11G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAADLQRVIDILSSARMESQSRLLELDALLGAVRDSIARFPNIINNIIDRRDKCLVLATCGDYDRRLEEARRLFAHLRGELEAILSRQVEPDSWYQVTALHISNLFFRRATVRELRSLLKKLAGLEESLASVPESGESMDEDLYPVGTSTNDVGPDDYVKSPMVGRSELAEKMLGRMLLADGEEEEGDGPLVLPIVGGPGMGKTYLARFLFNDDRVKQAFQVRHWVHLSPHFDLSEGVSTITSSWLVDRKDGPSYLQQVISSVLRGGAKYLVVLDNVWNVGQHDWPEWDNLMLAFPPNGRILLTTRTPSIIPRTAVVMRTTEAYFLQPLDQESSKHVMDMYLPPYHEYGIKLVEKCAGVPLLLEYTSFLILQRDQLTLIRWKRVLEGSWRIQQEEELTDVFQRAYASYQHLSSELRNCFLFCSLFPLDFNFTAEELADLFAAKGFIPSTVPEAQRIRFLQQFLDECFYPVEEYDHGGRHMYRMHKILHIFVQYADRVSSSIIRVGQFNAVQDIILSTRSASLLVHPSTESLPICMSQLKMLKTFILLQEGKTCSSDRQCEIKQIPQELCQTLRHLEVLSLEATKIRKLPNKFDLLFHLTFLNLSGTDIRVIPSSISKLQLLHTLKLSYCGKLQKLHRNICRLSRLHKLDLEGCRYLSELPQNISKINSLEYLSVLGCASLTRMPHRFGNLKNLQTLLGYVVSNSNVVMLSELQPLANLHRLSLERLENVLDLKDARDAMLQDKLELESLALRWNMDTEHANTAAYELIEILRPPQQLKELELVAYEGDKLPSWMTHTEPYLKSLVEIRLINLTECKSLPPLGILPRMRIAEISGAESITCIDNNFYGHNGTFPSLEKLTFSYMHNLELWEQADRTGAFPCLAEVEIIHCPKLSALHMELPSVEKLTLWMNNKMLYGSKGGLRSVARNLEQISICFGEELESSSNFEGLQDLARLKKLNICGCHELTCLPQGLQHISSIRSLAIDNCNKLETLPEWLEHQPSLQVIRGYSTTQVKKHKEIIRLVEETISEDDYTILGNTLLEDDTYLEEAFFGARVDTRRHHVS >ORUFI11G22700.1 pep chromosome:OR_W1943:11:25135636:25136315:-1 gene:ORUFI11G22700 transcript:ORUFI11G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQRSSLLLPSPPQAEAWSIKATMKLRASPPDAGQCLRQQGGDVHPGQEKQPAGSTCAHRSPCGWLQSIWWSQPVTKTMDGLMGIAELISIPRHYILP >ORUFI11G22710.1 pep chromosome:OR_W1943:11:25144411:25146273:1 gene:ORUFI11G22710 transcript:ORUFI11G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAQSKERGNPAARGVEEDILVPLLARLATIRTVLDNAPAPSRRRLPVHVRDAEEDARVEARALLEKVQGEMSYLQRVFRRIDEAENSILYGFDPVEEQIDDALQQAQVGLDVEQVHEALLAVDADIEVIKASIREVYRFPCDGDERRGPPPPSTAPATGVVMTRRMGEIRRGPQMRHLGLAIGGFEARLRGCVLTLAAFPECAVIKKRLLIHWWLGEGFVRSAAEGKSRFDELIAKGLIVPIPGHLCATVHRCTVRPWMRDLLTGVAKRTAFLDLDSGNDFTLARRACLNAGRMSSGFSAEARAIYNIDQKYLELDDAWFAGKKELRALQLGQWREFGPLEQIANPMDSHIELSGVEHLADMESCKNLRYISFRGISRIESLPDSIGRLRELTVLDLRACHNLEELGQGITRLDRLGYLDLSECHLLVGMPKGIGRLTRLEVLKGFVVANPSRREPCHLNELTKLNKLRKLGIVIGTMAVPTDDEFMKLGEFRALESLKIRWGVLASDSKGKIEASSHQKPIDKMKFALPPNLKKLDLRCFPLTDFAQWVTPKGVKKLYIRGGKLMTLGDEQGWEAEVLRLRFLNDLEYDHDRLKRSFRKLKPENTEIHACPKFIRGQ >ORUFI11G22720.1 pep chromosome:OR_W1943:11:25147481:25149561:1 gene:ORUFI11G22720 transcript:ORUFI11G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPDKGKETAAAAVDAPSSSSGSSPEKKKKNSSNNNNRGEATAAAVAGDEGRKKMVRMSPPQINLLMSFDPPPLEHVRGLTKEEEGIDALAAEWERGIRAVTEAVRTQYEQGGYVEYEAGADLLACPGRIQAKGQQNKFDFGRLS >ORUFI11G22730.1 pep chromosome:OR_W1943:11:25154330:25154719:-1 gene:ORUFI11G22730 transcript:ORUFI11G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKKKKKTTAGRKVRMTQEEIDSYINYQTIRMPDEIFPIVSKERLACTDLSDHKGDLPVPMDQIDDYVAKIFREINQIDDQFMKHRDGILNQYYRKGYAMRKATDDDDDEQEEEKKEETSWTRFFCT >ORUFI11G22740.1 pep chromosome:OR_W1943:11:25167182:25172828:1 gene:ORUFI11G22740 transcript:ORUFI11G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGADLINLLLPDEILDEVLRRVAVSGAKRDLDACALVCRRWRRHDRATRRSAKLAASGARADEVLRLVAERFPALVEVSVDERISVEAAAAGPSCAAARSRRRPMYDVSPSGRRRRMSRSSNFGAHMSPFPLDQPGSDNETERTCLTDVGLTSLARGCKGLEKLSLVWCSSITSTGLVRISENCKNLSSLDLQACYIGDPGLIAIGEGCKLLRNLNLRFVEGTSDEGLIGLIKNCGQSLVSLGVATCAWMTDASLHAVGSHCPNLEFLSLESDHIKNEGVVSVAKGCRLLKTLKLQCMGAGDEALDAIGLFCSFLESLSLNNFEKFTDRSLSSIAKGCKNLTDLILNDCHLLTDRSLEFVARSCKKLARLKINGCQNMETAALEHIGRWCPGLLELSLIYCPRIQDSAFLEVGRGCSLLRSLYLVDCSRISDDALCYIAQGCKNLTELSIRRGYEIGDKALISFAENCKSLRELTLQFCERVSDAGLTAIAEGCPLRKLNLCGCQLITDNGLTAIARGCPDLVYLDISVLWSIGDMALAEIGEGCSQLKDIALSHCPEVTDVGLGHLVRGCLPLQSCQMVYCRRVSSTGIATIVSGCPKLKKLLVEEWKVSERTRRRAGSVLSFLCTGL >ORUFI11G22750.1 pep chromosome:OR_W1943:11:25173099:25173809:1 gene:ORUFI11G22750 transcript:ORUFI11G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTVGGIGMCDRLLTFLAKNLSMNRQKTITDGPRNDSSNNNGGHEEERGEEDDDEFTIEIEKAEVLLVDEKDDDDDHKSITATILEEKSTEVATSNGGASVQKEDEEGGRRNDPPVAAEAATVAEAAPVKEEKKVKKTVAIKEESGAAAAAGSASSAVKRLLSKKRQASSSQLGGDHDGGQQTKPPARRPGLRPRMPSILRVPSNINEKSSSFIEERKKSFGGGGAGAGGKPEK >ORUFI11G22760.1 pep chromosome:OR_W1943:11:25188718:25196732:1 gene:ORUFI11G22760 transcript:ORUFI11G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAKKLASCDPKQLVFDLHSELQAHSFQSALQALERLATQDSNVRNLFFFFIIVIQRKLRLRTPLIADQTTMVASLLCTVAVAVLAVAAVGGEAGVVEHTFVVHEMNVTHLCNTTKIFVVNGQLPGPTVDVTEGDTVVIHVVNKIPHGLTIHWHGVRQLRSCWADGAGFITECPIPPGSERTYRFNVTDQVGTLWWHAHVTCLRSTINGAFIIRPRDGKYPFPTPVKDVPIIIGEWWELDLVELDRRMRDGNFDDNPLSATINGKLGDLSNCSGIVEESFVLNVKHGESYLLRVINTAFFSEYYFKVAGHTFTVVGADGNYLTLFKTDMVTVAPGEAIDVLMVADAPPAHYHMIALANQPPEPDPQIPKYISRGLVRYTGVDANNNGLPVPMPIMPNQHNTMPSYYFHANLTGLMHPKHRRVPMHVDERIFIILGLGTICRGRNTTCKRQRSLETIEVATMNNVSFTHPNTTALLERYYDGTPEGVYTEDFPVRPPRPYNYTNPALIPPGPLEEVLEPTFKATKLKRFKYNTSVEIIFQSSTLLMSDSNPMHLHGYDVFLLAQGLGSFNAKRDIRKFNYHNPQLRNTILVPRGGWAAVRFITDNPGMWYLHCHFEFHIIMGMATAFIVEDGPTPETSLPPPPPEFKRCDAS >ORUFI11G22760.2 pep chromosome:OR_W1943:11:25186014:25189071:1 gene:ORUFI11G22760 transcript:ORUFI11G22760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSMVTSLLCAITVAVLAVAVVSGEAAVVEHTFVVHEMNATHLCNTTKIYVVNGQFPGPTVDVTEGDTVVVHVINKLPFGLTIHWHGVRQMRSCWADGAGFVTECPIPPGNEHTYRFNVTGQVGTLWWHAHVTCLRATINGAFIVRPRDGKYPFPTPAKDVPIIIGEWWELDLIELDRRMMDGNFDDNPLSATINGKLGDLSNCSRMVEESFILDVKHGESYLLRVINTALFSEYYFRVAGHTFTVVGADGNYLTPFKTDMVTVAPGEAIDVIMVADAPPAHYHMIALANQPPEPDPQIPVFTSRGLVRYAGTTANNNGLPVPMPIMPNQHNTMPSYYFHANLTGLAHPERHRVPMHVDERLFVTLGLGSICRGQNTTCKRRRSPETIVVATMNNVSFAHPKTTALLERYYDGTSKGVYTEDFPIRPPRPFNYTNRDLIPPGPLEEALEPTFKATKLKRFKYNTSVEIIFQSTTLMQSDSNPMHLHGYDVFLLAQGLGNFNAKRDVRKFNYHNPQLRNTVQVPRGGWAAIRFVTDNPGMWYLHCHFEFHIIMGMATAFIVEDGPTPETSLPPPPPEFKRCGNNGLSQP >ORUFI11G22770.1 pep chromosome:OR_W1943:11:25188709:25191626:-1 gene:ORUFI11G22770 transcript:ORUFI11G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGEFADFGMDVGTVSPSPLANVGGSQPRWKKPGEGYLKINVDGAFSGIAQNLVAGASSSEIAIAVSAGSGNLQNVSEPSQAEAEAETCLQAFKFASDAAQLHNEPSPDDNLPSRLRRPPPPPRWMPATDEGTASTVSAISVASTEAGRALDAVARRVRQRPHRLDDERSSAWASAQHTYPARTTAATTIDDDDDNDLTTMSSESEDDGDRRISRLRLPPVTARVAPQPGGAKGAMPRHGRPSLRLRCPASVTKLAMANCRWKMKNARRRRDGSEPWTSTRRRYDTSSTGLRPPPLAAILTHNAIAIAGEESLGAAAVAALVNLDRLELDLRHNAPCSRKRSAVAMANLYKRDYSSRSLLLQFRYKLDFDKSVDLFVHRSSKPKLSLDDDDEEEEEVSDIAVLSGQSFECLQSTLKRVSLQFRMEIEDQLLWIAACQLLRRKRHGS >ORUFI11G22780.1 pep chromosome:OR_W1943:11:25197047:25198815:-1 gene:ORUFI11G22780 transcript:ORUFI11G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDRLSVLSDDLLRRILHFVPSREAASTSLLSRRWGSLWRSTGTVNLAVRVVYDDVSGDDEAFSSRRDAFEIGIVDAVVSHPAARHVEELRVGVAGPMYRTDGAEQRPWQEARRWRSDDIYTYTLSFASLPSATLRVLDITECNFSDSKLALPDAGVAFPRLDTLRLRLCAVRLAHLQRLIDAAPALATVHLESVYFEFNIYLDYYGVYGGLVAVESRLLLRCPAATELAMEFCGSSSYINSHLDGGIGIDAPKLRSFRYTGHPRRFYLESPAPEMTAVNIHFIDGDHRFADRLWRFLGNFTNVKILKLTVQELGHLAVAGKARRAELLCKFGNLERLELEAVRKPTKTKAPAPPPAVAIANLLHCCPALVDLSLKLKMLNYAWSKNNSMYLSSFHAKFKLDFDKSVGLFMRHKSKMTAVSSSLIDEHHDDKFSNISGLSGKSFACLNSSLRRVNLQFQLGSASNCFGVRLIRFFAQNAMVLEEMCIDSGNRKLCEHMNLNVERWVGVDSSKIRLKDKNLTGSSWEFSRIHPDSAPEFERNATSFKVLPLERR >ORUFI11G22790.1 pep chromosome:OR_W1943:11:25198821:25223040:-1 gene:ORUFI11G22790 transcript:ORUFI11G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGDDRLSALPDDLLIRVLHFAPAKEAASTSLLSRRFGALWRSSGAVNLAACVPDGRDAFVRAAEAALAAADRAVTRLTLHVEGDDECSTYNSLRVGDHDVLDAVVAHPAARRVEELRVAAVHRGQPDEHDDAVMDDDVFLYILRFSSLPSNTLRVLDLTRCHNFSPPPPPRTASAAVAFPRLTTLRLRHCTYSVKHLHGIVDAAPELATVHLEFVLLSSDRHRRFGPVTWNTGLRFPSATVLALIHCRGEEGAPGRSMEITALRLRSFTYKGEAVLFDLTSPPSPAPAPDTTTVVAADLHFTHGMGRCVDYSHFIHNFTNAKVLRLKANHLDDMAVAEVFPELEHLRLELDGAYSGWSMAAETAAATIASLLHCCPVLRQLELNFISDLPPDSCKNSKQVKHLFQKKCDADFGKSIDDFMRLIKFESNQRLDIRGLSDCSFACLKSSLRRVSLQFRLGEDSDCFGVRLIKFFAENAMFLEELHVLTAETEISETEVIAAQAEEADEVTTAGLRGGGGGEWWWRRRGAGQRRRGWKAAARRATAGRTRSRRGQIRPPGQWIWCGATSRQCAAEPAASASAAKARRRRWQWLAGGGRRCRGPTCRQSLSGGGASERQSWIHRCENPARLCPDRQRWFVPPLKGVVMLFHPSRVFAGRKPNLGSIEPRRTAAAVFPSFLFLKTSFWHPLGGDLACRSVTLNGGWSGDSLLPYLVVGAVGVSVVSTNKQKIKRTYSSLMEITSSAMLKTTTTPPHPLAGEKVPLSAFDRAAFDVFVPLVFAYRAPAPSSEAVKEGLRVAVAAYPLVSGRIAVDGQGRRRRRRVLHVNNEGVLVLDATVEVDLDAVLAANVATDLYPALPEHSFGAALLQVQLTRFGCGGLVVGLIGHHHVFDGHSMSTFCATWARAVRDSEAFIVPSPSLDRAITGVPRSPPAPVFDHRSIEFKVGNKSSDSSGAAAAAVEKITNIGVRFTAKFVAELKARVGGRCSTFECVLAHAWKKMTAARGLKPEEFTRVRVAVNCRRRANPPAPADLFGNMVLWAFPRLQVRRLLSSSYRDVVGAIRAAVARVDAEYIQSFVDYVEVADARGEELAATAAEPGETLCPDLEVDSWLGFRFHEMDLGTGPPAAVLSPDLPIEGLMILVPVGGDGGGVDLFVTTPMAIGAGGDRLSALPDDLLLRVLHFANAVEAASTSLLSRRFGALWRSSGAVNLAARVPGAGGRDAFVRAADAALAAAGRVVTRLTLHVDDGDHSGYCTSMYNLLRDHDVVDAVVSHPAARRVEELRVAVAVHPFGHDKINTMISSGSVRIYDIKLASLPSKTLRVLDLTNCRNLTPASAAAAATAATAFPWLDTLRLRHCISVYLVSDGHSSDVWTVWSTRLRFPAATVLVLINCDTTGAMEIYAPRLRSFTYKGDAVQPFDLQISPAPPPDMALVNLHFTHAFCRHDYLHFIHNFTMAIKVLKLKAPASRHLSDMAFVRVFPNIEHLELDGAYDTWNKTAAAVASILHCCPVLRELKLNLVGTSKNSKRVQHFFRRNSQVDHCDDDDGFLPGLSYYSFACLKNSLRRVSLQFKLANDNSKSFGVRLVKFFAENAVVLEELRILTEAASTSLLSRRFGALWRSSGAVNLAARVPCGSRYIGRAALIARRDAFVRAAEAALSAAAAAAADHRVTRLTVHIECDKEDKGSIASFLLSSENDERRGHRDVFDAVLSHPAARRVEELSVAAVHPHWGDKGNIVSSHGVRIYSINPANLPSNTLRLAAVRLEFVHLISNIRDVHFLAQLAMSSTTRLRFPAATALTLINCLTNGGMSGSVIDAPRLRSLTYKGAARSQFELTSPAPDMKMVHLHFNHYFHQRDYLRFIHNFTKVKVKVLKLKAENVDDMAVHGVFFPNIARLELDGGFNRWSKTAKAAAAIASMLHCCPVLCDLNLNLISTVRSMAVHGVFFPNIARLELDGGFNRWSKTAKAAAAIASMIHCCPVLCDLNLNLISTVSHRIASPARAHDEMAAGGEDDRLPDLPDDLLRRILHFVPFREAASTSLLSRRWGSLWRSSGAVNLVEHVEDEEEEDVNLVEHVEDEEDFDFDDEEDDDEVTAEEPSARRRDAFLRAAGAALTAADGDISCDHVTRLSVDVDGPDGYCITNFLDCDESAAAYDIFTGMDVLHTVVSHPAARLVEELCLRVASESDSYGVHRRRRDKEEEPSTDLGVYGLSLASLPFEKLRVLDIAGCNNLSLPPPPAAAAAAAAFPRLQTLRLRRCAAKVTHLQRLIDAAPGLATAHLESVVFNTDDNNDNQSYNHRDTGACSSISLRCPAATSLALEWCGSTDYKFYYAHSRYSDDDDSCGGSIAIDAPKLRSFRYKGLPRPFHLKSPAPETTTTTTAVSLHFNSDYYLKEDTARVHSWRFIGNFTNAKTLKLKVDNLDHLAVADKASRSKLLCVLPNLVSVELEAAQLMNTKMNTKKSAVAIANLLRCCPVLSEFTMKLNSATTCTDRYWPNHRGRFQPDFYDSVDHFMRRKSNTTTAISSIDSRKGDGDRHVDEVPDIPALSRRSFTCLQRSLKKVSLKFKWSGDDCFGVQLVKFFAQNAMVLEEMRIDSGDRKLCDHMNLNVERWVGADSTKISLKRKNFANSTWEFSRTCPDSTPELETSTTSFIVLPLESLEQIDTNCYLN >ORUFI11G22800.1 pep chromosome:OR_W1943:11:25224438:25226211:-1 gene:ORUFI11G22800 transcript:ORUFI11G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHAPPPPPLEMAAGGDRLSKLEDEVLGHILSFLPAREAARASSLSSRWRHVFAAVHTVSMAETDADHPAIRGYVSPSYRRGLDPLAPPVFSAVVTTALLSRHRRLHRRPVPLRALRVDMMGYVRADSAAVDQWVSYAVQQAAADHGLEIDLRLGRPAICDRAYSLRDGENIAEDDDDDDDDDNAEDEQHDNAADDDDEKQEARDRSPSPARRAPTRTTTTTSPPPTTKVRGYTPVFRPWRPVHTIPSMLFSCAVLRSLTLGSCGFALPATVALPSVETLVLSHVKGPASDVQRLVSGCPRLADLTLEACGAVTAVTILGGARLRRLAIRCCHRLAAVAVDASELHTFEYRGAVPRSRDFLTLHHGDADARRRRGIACCHVDICGKEATSEEELTGLRRFLQLFADDATHLHLQSARLGAGADKDALASFPTFPNLRHLELWGSLPDDDAAAAVTTVTTILNSTPGLEALSLVFHPHGNGDGDSYSQNELRDAHQLRYNPHAVLAAAAGAMVVPCLRSTVREINLVHYQGGMAQRSLAKFLLCNAPAIAELFCVSAEGPLFMLEQLKQELRGWLMNKSAKTCFR >ORUFI11G22810.1 pep chromosome:OR_W1943:11:25243113:25245206:1 gene:ORUFI11G22810 transcript:ORUFI11G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGAGGGRVTRRRRRRRRAECDQGVIKKDGKAGVFVKASGGEEFHGAKVAGQVHCQRGPPHPSSSSSPASSAAALASPPPLRPASPCA >ORUFI11G22820.1 pep chromosome:OR_W1943:11:25245779:25252307:1 gene:ORUFI11G22820 transcript:ORUFI11G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYVTTPHHHHHHGCCSGSRRLQAPAPPARPRLVVAAAARHVALPPRRAVASRAMSAEAPLGVAPAAAEEEMAAVVDEMAEEAAVWCAVHGLVVGDRAEPRSGTIPGVGLVHAPFALLPTRFPASFWKQARELAPIFNDLVDRVSLDGEFLQDSLSRTRQVDDFTSRLLDIHAKMMEVNKEEDIRLGLHRSDYMLDSGTNSLLQIELNTISSSFPGLSSLVSELHRTLLNRHGKVLGLDSKRIPQNWAATQFAEALSMAWTEFNNKRKTLAQVEAEGQVLPDGTLVVYVSCVGMKAGDGQTVSVVYFRAGYSPNDYPSEAEWRARLLMEQSSAIKCPSISYHLVGTKKIQQELAKPNILERFLNNKEDIAKLRKCFAGLWSLDNEEIVKTAIEKPDLFVLKPQREGGGNNIYGYDLRETLVRLQKEQGEALAAYILMQRIFPRASLTHLVQGGVCFEDLTISELGIFGAYLRNKDKVVLNNQCGYLMRTKVSSSNEGGVAAGFAVLDSILLTDELSQFAADARLLVSPGNGGGEGVVVGADGLIPRSGGTVSTVAAMLDSGEILPASREKVVSVLAAFASSDATAHVLVAGAVVPHLCHALESGGADTEQAFVALRPLTTDSRDAAAAVAARGGLAALLGAGRGRGRAPQPRRVPGPPPDVPRGGRAPLVSLGTPRAFGLLRNMASFRYIAEIAVSASFVDHVLAALSSDKAAMRTLAAMALAELCNASSHGQTRGDVGDAVPRLIWMLKAKAGRDVAKRDAAARALAALVAASSYKKFSRKRSKASSMSSSCSTRAPPAAALTRGSRCPCCSQSRRPGRPWQRRCRKQIVAAGACCFLQGLLGAEVDGAKRLADCVRAARRLTVPAVSEANGGRRRVWLPAGPPRCGGRRRQEARQMRRHRQYARRVPAVLTRPPLLDSG >ORUFI11G22820.2 pep chromosome:OR_W1943:11:25245779:25252307:1 gene:ORUFI11G22820 transcript:ORUFI11G22820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYVTTPHHHHHHGCCSGSRRLQAPAPPARPRLVVAAAARHVALPPRRAVASRAMSAEAPLGVAPAAAEEEMAAVVDEMAEEAAVWCAVHGLVVGDRAEPRSGTIPGVGLVHAPFALLPTRFPASFWKQARELAPIFNDLVDRVSLDGEFLQDSLSRTRQVDDFTSRLLDIHAKMMEVNKEEDIRLGLHRSDYMLDSGTNSLLQIELNTISSSFPGLSSLVSELHRTLLNRHGKVLGLDSKRIPQNWAATQFAEALSMAWTEFNNKRDGQTVSVVYFRAGYSPNDYPSEAEWRARLLMEQSSAIKCPSISYHLVGTKKIQQELAKPNILERFLNNKEDIAKLRKCFAGLWSLDNEEIVKTAIEKPDLFVLKPQREGGGNNIYGYDLRETLVRLQKEQGEALAAYILMQRIFPRASLTHLVQGGVCFEDLTISELGIFGAYLRNKDKVVLNNQCGYLMRTKVSSSNEGGVAAGFAVLDSILLTDELSQFAADARLLVSPGNGGGEGVVVGADGLIPRSGGTVSTVAAMLDSGEILPASREKVVSVLAAFASSDATAHVLVAGAVVPHLCHALESGGADTEQAFVALRPLTTDSRDAAAAVAARGGLAALLGAGRGRGRAPQPRRVPGPPPDVPRGGRAPLVSLGTPRAFGLLRNMASFRYIAEIAVSASFVDHVLAALSSDKAAMRTLAAMALAELCNASSHGQTRGDVGDAVPRLIWMLKAKAGRDVAKRDAAARALAALVAASSYKKFSRKRSKASSMSSSCSTRAPPAAALTRGSRCPCCSQSRRPGRPWQRRCRKQIVAAGACCFLQGLLGAEVDGAKRLADCVRAARRLTVPAVSEANGGRRRVWLPAGPPRCGGRRRQEARQMRRHRQYARRVPAVLTRPPLLDSG >ORUFI11G22820.3 pep chromosome:OR_W1943:11:25245779:25252780:1 gene:ORUFI11G22820 transcript:ORUFI11G22820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYVTTPHHHHHHGCCSGSRRLQAPAPPARPRLVVAAAARHVALPPRRAVASRAMSAEAPLGVAPAAAEEEMAAVVDEMAEEAAVWCAVHGLVVGDRAEPRSGTIPGVGLVHAPFALLPTRFPASFWKQARELAPIFNDLVDRVSLDGEFLQDSLSRTRQVDDFTSRLLDIHAKMMEVNKEEDIRLGLHRSDYMLDSGTNSLLQIELNTISSSFPGLSSLVSELHRTLLNRHGKVLGLDSKRIPQNWAATQFAEALSMAWTEFNNKRKTLAQVEAEGQVLPDGTLVVYVSCVGMKAGDGQTVSVVYFRAGYSPNDYPSEAEWRARLLMEQSSAIKCPSISYHLVGTKKIQQELAKPNILERFLNNKEDIAKLRKCFAGLWSLDNEEIVKTAIEKPDLFVLKPQREGGGNNIYGYDLRETLVRLQKEQGEALAAYILMQRIFPRASLTHLVQGGVCFEDLTISELGIFGAYLRNKDKVVLNNQCGYLMRTKVSSSNEGGVAAGFAVLDSILLTDEYGLET >ORUFI11G22820.4 pep chromosome:OR_W1943:11:25245779:25252780:1 gene:ORUFI11G22820 transcript:ORUFI11G22820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYVTTPHHHHHHGCCSGSRRLQAPAPPARPRLVVAAAARHVALPPRRAVASRAMSAEAPLGVAPAAAEEEMAAVVDEMAEEAAVWCAVHGLVVGDRAEPRSGTIPGVGLVHAPFALLPTRFPASFWKQARELAPIFNDLVDRVSLDGEFLQDSLSRTRQVDDFTSRLLDIHAKMMEVNKEEDIRLGLHRSDYMLDSGTNSLLQIELNTISSSFPGLSSLVSELHRTLLNRHGKVLGLDSKRIPQNWAATQFAEALSMAWTEFNNKRDGQTVSVVYFRAGYSPNDYPSEAEWRARLLMEQSSAIKCPSISYHLVGTKKIQQELAKPNILERFLNNKEDIAKLRKCFAGLWSLDNEEIVKTAIEKPDLFVLKPQREGGGNNIYGYDLRETLVRLQKEQGEALAAYILMQRIFPRASLTHLVQGGVCFEDLTISELGIFGAYLRNKDKVVLNNQCGYLMRTKVSSSNEGGVAAGFAVLDSILLTDEYGLET >ORUFI11G22830.1 pep chromosome:OR_W1943:11:25255370:25257519:1 gene:ORUFI11G22830 transcript:ORUFI11G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSSAMLKPAPTPTPHPLAGEKVPLTAFDRAAFDVFVPMVFAYRAPAPSSEAVKEGLRMAVAAYPLAAGRLAVDVAADGQGRRRRRRVLHVNDEGALVLDATVEADLDAVLAANVATDLYPAPPEHSFGAAVLQVQLTRFRCSGLVVGLIVHHHVFDGHSTSAFCTTWARAVRDGEAFSVPSPCLDRAITSVPRSPPAPVFDHRSIEFKVGNKSSDSSGAAAAAVEKITNIGVRFTAKFVAELKARVGGRCSTFECVLAHAWKKMTAARGLKPEEFTRVRVAVNCRRRANPPAPADLFGNMVLWAFPRLQVRRLLSASYRDVVGAIRAAVARVDAEYIQSFVDYVEVADARGEELAATAAEPGETLCPDLEVDSWLGFRFHEMDLGTGPPAAVLSPDLPIEGLMILVPVGGDGGGVDLRGTETDVECLALAHHPRSRGAEGAHGVAVADVVVDDEADDEVATPEAGELYLQKRRPEVIALPTPIVATPI >ORUFI11G22840.1 pep chromosome:OR_W1943:11:25263329:25268962:1 gene:ORUFI11G22840 transcript:ORUFI11G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCTEQPAVTRRQLLLLLLLLLLLLTSSPLCSRVSASAGARARSNGGGSSRRVVRHLPGFDGPLPFELETGYVEVDHIAGVRLFYYFIRSERRPAADDDPLLLWLTGGPGCSAFSGLVYEVGPLTFDLHHGRHGGLPRLLYKPESWTKRASVIFLDSPVGTGFSYAADADTDGAGFRTGDTIAVRHILGYLLGNPVTDPNFDTPSKIPFTHGMGLISDELYEAYKKSCSVRDNTQQQSCVKDIYQNHILEPYCTLASPHNPRIDKPFTSGGRQMLQLQEDQDLHLSEISSECRTARYTMSRIWANNDTVREALGIHQGTVPSWQRCNFDIPYTRDIKSSIRYHLDLTARGYRSLIYSGDHDMAIPFIGTQAWIKSLNFSVVDKWRPWFVDGQVGGGFNFLPMGCGGDSCSRVHCVWLNTV >ORUFI11G22850.1 pep chromosome:OR_W1943:11:25274811:25276666:1 gene:ORUFI11G22850 transcript:ORUFI11G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLCTEQPAVTRRQLLLLLLLLTSSPLCSRVSASARARSNGGGGSSSRRVVRHLPGFDGPLPFELETGYVEVDHIAGVRLFYYFIRSERRPAADDDPLLLWLTGGPGCSAFSGLVYEVGPLTFDLHGRQGGELPRLLYKPESWTKRANVIFLDSPVGTGFSYAADDGGAGFRIGDTVAVRHILVFLRKWLQELHPDLLSNPLYIAGDSYSGLIVPAVALGIATSSPEPHQPSLNLKGYLLGNPVTDHNFDTPSKIPFAHRMGLISDELYKAYKKSCSIRDNTQQQSVQCNNTLDAIDEARIISTYFVLQFSDAH >ORUFI11G22860.1 pep chromosome:OR_W1943:11:25277097:25277540:-1 gene:ORUFI11G22860 transcript:ORUFI11G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCEAIRQQHDQLQRGNPLADVAKEEMQRRWRGDFKFFC >ORUFI11G22870.1 pep chromosome:OR_W1943:11:25286011:25286589:-1 gene:ORUFI11G22870 transcript:ORUFI11G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAAAWSCTESLGSESGDVGGCDEDDVVVDHHQQQLPQAAAAEGPQTSSPEKRRRTDQRRLPPAMPRAAEGGPFMRAERRGGRLILTEVARGPDRRREVFRASRAGGRLQLRFAGVEEEEGEGEEAPDDADADAEPAALAETAESVATTSGGGGVVVSGYCCNGGGGGGGFCQVAGGAGRRLEIGAVMGT >ORUFI11G22880.1 pep chromosome:OR_W1943:11:25290933:25302079:1 gene:ORUFI11G22880 transcript:ORUFI11G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPPPPPPPPMANYFDPESSGRREEYRRYRKRLSSSNASPLLGTAVSKFSEARLFCDGNSIQRRPNAGLLLEDIKQEAADISDFDSLDESKLFGSGKKRASLDASDAGFSSGRQAVRSALKSVKLEEDMPHEGETTSTIFASLLDSAIQGLMPFSDVILQFERTCRNASESIRSAGTGKLRMVEDRLMQQKAQLLLDEAASWSLLWYLYGKGNEELPGELFVAPTTSHQEACRFVVTDLTAQLCLRIVLWLEGLASEALDLEKKVRGSHVGSYLPSSGVWHRTQRYIKRKNNDTTIVKHVDFDAPTREGAQLLPDDKKQDELLLEDIWTLLRAGRLEEASELCRSAGQSWRAATLCPFGGIDLFPSLEAMLKNGKSRTLQAIELESGVGRQLCLWKWASYCASEKIAEQDGGRYEMAVYALQCSNLKRILPICTDWESACWAMTKSWLGVQVDLVLSQYQTSRPEEKQFDDEMNGTQPMLNSAGPESWPHSVLDQQPRDISALLQKLHSSDLVHETVSRACREQHRQIEMNLMSGNIAHLLDLLWSWVSPSEDDQNILRPRDDPDMIRFGAHIVLVLRYLFSDEMEDEFEEKLVAVGDLIINMYVRYLFSEQQEELVGVYASQLERDLCIELFVEMMELRLNSSLHTMFKLFLSAVEYLPFSSGDASKASLEEIIERVLSRSREPKPIKYDEDIFDVAEMHHLQALQKATVIQWLCFTPPSSIPDFQMISGKLLIRALMHSNTLFREFSLISMRRVPELPVGPHKLLAILAEPLKQKENLISLEDPEVSDNLREFEDWHEYYSLDATYRSWLKFEMENASISPEMLSAEEKSQAVAAAKETLELAFLLLYREDIPWLNAVESSPIEPSEHVFLELHATAILCLPSGECMLPDATSCTALTSALYSTVSETEVLHRQLKVDVNVSSKDPCCIQVSLLCLAVEGDGLGLHEANDGGLLAAIMAAGFKGELNRFQPGVSIEISRLDAWYSDGHGSVESTAAYIIRGLCRRCCLPETILRSMQASIALSEAGDSLDHCDKLIELVASSESGIMHLFSQQQLQEFLLFERECYLSKMELEEEQLEQLPADG >ORUFI11G22890.1 pep chromosome:OR_W1943:11:25300044:25303177:-1 gene:ORUFI11G22890 transcript:ORUFI11G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSGASESLLPRAGGDGSLAMVIASTGVAVLGSFVFGVSIGYSAPTQSKIREDLQLSLSEYSVFGSIITIGAMIGAVASGHLADISGRKGAMRTSALVCIVGWLAIFFAQGAVSLDFGRFCTGFGVGVFSYVVPVFIAEIAPKALRGGLTTLNQLLVCTGLSVTYIVGTMVTWRMLVIAGLVPSIILIVGLSFIPESPRWLAKVGRQKEFEIALQRLRGKDADVSIEAAEIKEFIETIENLPKAGVQDLFNRAYIRPVIVGVGLMVFQQFVGINGILFYASETFVSAGFASGDLGTILMGCIQAPITAVGALLMDRSGRRPLLLISTSGLLIGSLMSAVSFYLKIHGLFLEQVPIIALTGILVYIASYSIGMGAVPWVIMSEIFPINIKGIGGSFVTLVNWSGSWAVSFAFNFFMSWSSSGTFFLFALVCAVAILFIVKIVPETKGKTLEEIQASMNSST >ORUFI11G22900.1 pep chromosome:OR_W1943:11:25305564:25309934:1 gene:ORUFI11G22900 transcript:ORUFI11G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPSLAPTMSSTLRRFSIRSGAWPQWPSAASAAAAAPADGSQACALRPPIRTFSLSELKKATRNFSKENVVGRGGHAKVYRGCLPGGELVAVKRLSAPERGGRVESFLAELGHIVSLSHPNVARLVGVGVDGGEHLVFPFSRLGCLSGRLHGAAAGEEAMPWAARFRVAVGAARGLEYLHERCARRIVHRDVKPANILLKDDYEPMICDFGLAKWLPASMTHHQVTTFEGTFGYLPPEYTSHGIFNEKTDVFAYGVVLLELLTGRRAIDAKKLSLLTWATNQPSSSSTSGIRTLFDIPLVISACVRMQYATNHGVMIIHGGVRVRVIHRVSSSLQARPFLYGGGGDGDDDDDDAVRMMVDPALGGQYDAGQLAVVAYAAKICIQNSPELRPKMSEVTQILQENEEDRRSVEGSRRTFTLDRTVEMHETNGQDSTTRRQLDDLRRHMALAFDFECEHTSSAEIEQLSDHSN >ORUFI11G22910.1 pep chromosome:OR_W1943:11:25314894:25316087:1 gene:ORUFI11G22910 transcript:ORUFI11G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARRPRALMLLAAVLLVAVPVAAATLHPVDYLALQAVRRALSDMPGSRFFASWDFTGDPCGFAGVSCSGDGRVVTLALGDPRAGAPGLSGALPAAALARLSELASLSLVPGRVSGELPPAVAALPSLRFLALAGNLLSGDLPATFSPMLRTVDLSKNSFSGRIPPSLLLIRSLRTLVLSHNSLSGEIPKLVSSPLVHLDLRNNRLTGGVPPLPATLVYLSLAGNRFSGRVGGVLRRLPRLSFLDLGGNWFSGEVPGEVFSFRISYLQLRKNAFSGELRPSGRVPAGATVDLSHNALSGRVPAELAPAAAVYLNGNKFAGQVPREIAAAAEGGRMRVLFLQDNFLTGIGVGGVPASAAVCAHMNCVAPPPPVVAACPAKGGRGRRRPPSQCGGRRR >ORUFI11G22920.1 pep chromosome:OR_W1943:11:25344349:25345503:1 gene:ORUFI11G22920 transcript:ORUFI11G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEAAVPPAGEDLTIRVVSRRLVKASDATIHPHVATVSNLDLYFNNYQACAWLRL >ORUFI11G22930.1 pep chromosome:OR_W1943:11:25346002:25347408:-1 gene:ORUFI11G22930 transcript:ORUFI11G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEAAVPAGEDLTIRVVSRRLVKASDATIQPHVATVSNLDLYFNNYQASMVCLYPSNLPVAGVAGSFDAVVAAFEAGLPSLLNHFYPLAGRIVVDPVSRLPELHCHNQGAELVVGEVDAALGSLDFAGMDGSLRRILLPYPDDVMLSVQLLRFACGGFSVVWGNNHLPNDGHGISMVELARTGRIGDGVVVNHDRSVFRPRSPPSYGAAVRATFAAYHDESRLVNVLTTQDSFVERLYYIEAGDVARLRDMASTGQRRASRVQAVSAFLWKALAGVVAASRVPEERCRMGWWVDARRRVASPALVPAMHSFFGNMTAYALGEAAVEEILERPLAEVAAMAREAIASIAYDAYVQELVDWVEEHKAEKMMEVSALGLGSPTVNQTVFASFPLDTDFGFGEATLAMPVWENGRVSSGTLAVGARPGGDGSWLVSAYIWPRLAAALESDDHRIFKPLTAAYLGFV >ORUFI11G22940.1 pep chromosome:OR_W1943:11:25351935:25353545:1 gene:ORUFI11G22940 transcript:ORUFI11G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKKRPAAAAVAAVDEEPTAGSTSSAAAAEADGGDATVINRSVEGDDGGEEAVAVEEERRESSSPEMDEAAAEKVKEMNRLLVRESVEERARVAALAAGIGELEADAGAVADEERAVLRVALAVKLAAASDEAAATRARLDAARESLEAAEAAAAREAAGRGEAAARLEAAAAENGRAMELLATKEAELAAISANLAESDAMVAQLEEQNSQLRAERGELEKRLEETKASSRRVHTQKTEVEESFEEFKKNTEKHKQQMEEKLGEKMAELKLLTSIKTEMAAKIESFEAELSMAMARSSELESEIDSSKSELAAAKTEAEKLRSEVAEIDEKHRMAEAKVNELQIEIEETMKTKDAEASAFRADKITMEKTLETLSLEMKKIHAEKDAAAAMVLQKSDESENLRAELKNLHKSISELRIRCNDLTDHSSRLQADKNSVMRALSNEKSECTKLRLKLRELESYVSNKDNEIGILNSEAEDREGMVDGMSRQFEQLRIAAAEAHRRGKNGVWTWMCHPATTTVLAAASVVYAASRR >ORUFI11G22950.1 pep chromosome:OR_W1943:11:25356185:25356667:1 gene:ORUFI11G22950 transcript:ORUFI11G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVFVPLCVVVLAAALAAGPPVADAASAHLHFYMHDVLGASAVQVVRGPRGMFGNTVVMDDVLTEGPAATSSVLGRAQGQYIVASTGSMDLMVTMNVVLSSGPFAGSSVTVVGRDDTGAAVRELTVVGGTGQFRMARGYVLWRTIRPDLLELDVYVNP >ORUFI11G22960.1 pep chromosome:OR_W1943:11:25358709:25364233:-1 gene:ORUFI11G22960 transcript:ORUFI11G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGTGAITAIATVLGGAPGANVLLPRPGFAPYEAACELAGAEPRFYDLLPRRGWEADLAGVRAMADGATAAIVVINPNNPCGAVYSAQHLFQIAETARELGIPIIADEVYAHMVFGGSKFVPMATFAHITPVITIGALSKKFMLPGWRLGWLAFCDPNGALKHVRNATEMLLNVTSGPASIVQAAVPKILSNEHNEFHRNVVNLLESAADALYRRVNQIEALQCYSKPHGSMFMMVEVNTSLLFGVEDDMDFARELIKEESVLVLPGSVIGLKNWIRIFFGAPTSVILEACDRIEAFCQKRAVQVKLLKKKF >ORUFI11G22970.1 pep chromosome:OR_W1943:11:25370397:25371001:1 gene:ORUFI11G22970 transcript:ORUFI11G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFSSVICKVLLIVVTMVALLFSSGLADDGPGYEYCFLKCIDECNQTCKSSGYTHGGDCNTGPCCCLW >ORUFI11G22980.1 pep chromosome:OR_W1943:11:25373853:25374219:1 gene:ORUFI11G22980 transcript:ORUFI11G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFSSVIFGVLIFVAIAATLFSTGLARPPPAGYEFCFLKCIDDCDQTCRSSGYTHGGDCNTGPCCCLW >ORUFI11G22990.1 pep chromosome:OR_W1943:11:25375451:25375839:1 gene:ORUFI11G22990 transcript:ORUFI11G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKFSSVIFGVLIFVAIAATLFSTGCVTRANHAVSLLNNAGLAQGGPAYEYCLLKCIDRCDEFCKTMEYPNGGDCNTGPCCCLW >ORUFI11G23000.1 pep chromosome:OR_W1943:11:25376618:25380788:1 gene:ORUFI11G23000 transcript:ORUFI11G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPTFHLAPDLAVSRLCFGQPAPQTTLLLSLSRGFLLTQRPCVEGTMTMGEQSRLPESLRLLDAAFDAGVNFFDSAEMYPVPQRSETHGRSEEFLGRWLRARRAPRDSVVLATKVAGPSGEMTWIRGGPASLDSRNIAEAIDGSYVPMFGETEYDPSHQYMSVPMEEQLLALGRAIDAGKIRYIGLSNETPYGLMKFLQLSRDSQLHSKILTVQNSYNLLCRNFDAGLAECCHHERINLLAYSPMAMGILSGKYYSSDDGGPPDARMNLFKGRYSEGESRYNLQNPKMKAAVKEYVKISAKHGISPAILAVAFVLRHPLVSSAVFGATEISQLTEVLQATRIHLSEEIVAEINEVHARYPNPCP >ORUFI11G23000.2 pep chromosome:OR_W1943:11:25376618:25379530:1 gene:ORUFI11G23000 transcript:ORUFI11G23000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPTFHLAPDLAVSRLCFGQPAPQTTLLLSLSRGFLLTQRPCVEGTMTMGEQSRLPESLRLLDAAFDAGVNFFDSAEMYPVPQRSETHGRSEEFLGRWLRARRAPRDSVVLATKVAGPSGEMTWIRGGPASLDSRNIAEAIDGSYVPMFGETEYDPSHQYMSVPMEEQLLALGRAIDAGKIRYIGLSNETPYGLMKFLQLSRDSQLHSKILTVQNSYNLLCRNFDAGLAECCHHERINLLAYSPMAMGILSGKYYSSDDGGPPDARMNLFKGRYSEGESRYNLQNPKMKAAVKEYVKISAKHGISPAILAVAFVLRHPLVSSAVFGATEISQLTEVLQATRIHLSEEIVAEINEVHARYPNPCP >ORUFI11G23010.1 pep chromosome:OR_W1943:11:25381244:25382025:1 gene:ORUFI11G23010 transcript:ORUFI11G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELNGSNKHVLLPLLKESANRIAKVILCNLGEEFGDFPQFIDLPLKGKYKYIYPLLNEVDLGAFTNCSSALGTLKPSRTVLRLFIDLEMWLMMMMTMDLHKLFFGPLTDDDALHKLFFGPSLIDDDHDDKLRHGDDEVTMKASSNGELVMMKANSDRELVV >ORUFI11G23020.1 pep chromosome:OR_W1943:11:25381462:25387718:-1 gene:ORUFI11G23020 transcript:ORUFI11G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTKAYVESPVGRFPPTIWAYTQPASPHNWAAITTGWALHACQPVTSPPAAAAATPGSGLAVLLCGFTGGSPTVHGGARAASRPGPRFSPTAQMVARWRRQVVLSAPAAGGDMVTKQLEPIQFWKVFDAGERLYPAQGPGYLLHLRHSSVSEGQSTVSKVSFRNSSFLKSKDPNPSSIRCPHRRADGGSPPASHHLVLTSFWLFFIKQSLLNVIGKQLSSQMQQVSKSKQQQATITKSKSNKAAREVAAAGSNLPHPQLVVG >ORUFI11G23020.2 pep chromosome:OR_W1943:11:25381660:25387718:-1 gene:ORUFI11G23020 transcript:ORUFI11G23020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTKAYVESPVGRFPPTIWAYTQPASPHNWAAITTGWALHACQPVTSPPAAAAATPGSGLAVLLCGFTGGSPTVHGGARAASRPGPRFSPTAQMVARWRRQVVLSAPAAGGDMVTKQLEPIQFWKVFDAGERLYPAQGPGYLLHLRHSSVSEGQSTVSKVSFRNSSFLKSKDPNPSSIRCPHRRADGGSPPASHHLVLTSFWLFFIKQSLLNVIGKQLSSQMQQVSKSKQQQATITKSKSNKAAREVAAAGSNLPHPQLVVVHPRLCCRSTRRHHLRAGTKGRRTVCEGSEIHFIEKGIYLFFIII >ORUFI11G23020.3 pep chromosome:OR_W1943:11:25384289:25387718:-1 gene:ORUFI11G23020 transcript:ORUFI11G23020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTKAYVESPVGRFPPTIWAYTQPASPHNWAAITTGWALHACQPVTSPPAAAAATPGSGLAVLLCGFTGGSPTVHGGARAASRPGPRFSPTAQMVARWRRQVVLSAPAAGGDMVTKQLEPIQFWKVFDAGERLYPAQGPGYLLHLRHSSVSEGQSTVSKVSFRNSSFLKG >ORUFI11G23020.4 pep chromosome:OR_W1943:11:25384572:25387718:-1 gene:ORUFI11G23020 transcript:ORUFI11G23020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTKAYVESPVGRFPPTIWAYTQPASPHNWAAITTGWALHACQPVTSPPAAAAATPGSGLAVLLCGFTGGSPTVHGGARAASRPGPRFSPTAQMVARWRRQVVLSAPAAGGDMVTKQLEPIQFWKVFDAGERLYPAQGPGYLLHLRHSSVSEGDFVYGRAVDSK >ORUFI11G23030.1 pep chromosome:OR_W1943:11:25383920:25394286:1 gene:ORUFI11G23030 transcript:ORUFI11G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGRPAAETRMDYDELRMRLLDEEKPKGREDKVMARRRRAAIGAPMTCKKRVDVHPKLLDSSYNESKLTFKKEEFLKLTLLTVDCPAINKITFTDGAVPKMEKITWTLSRIESLSGIKNLPKLNRLQLFGDHVPYQVKEDIKALRMRLVYTHGFTQEQQKQAKRGAEEDQEKDDVRFQLSCFTSKNWCISPTAMAEVAVAAVTSLLGQIRNEALFLGRVKSDVRFIKHEMESMRSFLEHLAETGGDHDPQVRTWMEQVRELARDCRSCVDIYLQRGNPAAVLGPRGGALRRYLCWAPWFVQSMVHQHYAGIELSELKERLEAVPPPASSSEAEEDYGATRDNLAGGGSSNSDLGRTSALEGRSLENYCGEELAHWVMGTTTSRRLRRHQYHPSPLYRHRYKKLMIQQRWLQFMMLWLLWRPPILSAMHHNPSRIRIKLSDILCYILYQCHMEKESWHSRWGREYLSMVEEYRASNPVNAMKCYIYKMKKIADICRGYTFKRVFQNVVQIGRMVTQRLNGELERGVDAMLGLTNKKPLCILLKALDYLEYGADFTDVRKYHELRVDRMPFQFQMLQLDEEKILVATAQKLKGHIETNIPIHLSHATYESILREVFQASNKNLQAQEGTTAPSPGVGTSHDVAAAAATPTTTTFDKDQIKQIIYIHMVQQEVLQELQDKQPPQVPEAGKSLVTAEQATPNPANQDDHEFTSAIEETKEKIAQIGVKIEERLLIECVVEEIKGLLGGKRTLIIIEDDKNYVSQWYELRNSLKQLSCSGSAMIVTTQDTQRAKEICYPPREPITNSIVGMYHDILLKVTSQRVNGDASQIFRDILNKCCPSEFCMKTFAHALYTNPNRSNEDMCKLLGSLHSQQSSGINAEKMIKFSYDDLRKEYKSCLLYLSIFPHGYSIRRSTLVERWVVEGLITKEDWPSAIHHAERCFDTLIDRWLIYPNDIGAAGKIKSCIVGNLVHEFITKIAKKQHIVEPRLSHHLARHFSIFNELQLRGSDRIDRFFKNLSKSSQLSMLKVLDLEGCCCFKGKEHYIKDICSNILLLKYLNLRGTDITKLPHQINNLYDLEVLDIRQTKVPAFATKHVLLLKLKRLLAGSNISETTMSIRDKEPLSSYVRIPLGIKKMANVEVLFNVKVWTGQELKDIGKLWQLRKLGVVIDDKDNLLKNLLTAISDLCECLRSLSITVVPCSTKREGTPSIGDLPEYISRCLKYRPKLLESLCLQGTTQKGELLTLLAERFTKLVKVTLSWTSLKQKNLDGLGDLPNLCYVRFRNKGYTDGKLTFIQQKFKNLKYFLVEGKNMRGIKFQKGAAPRLEKIVLSFTNIESLDGVGDLPRLEELELKRNRFLLSLSEVGETLEKYMLTFKKDEFQHLKYLLAEGFSKIFETNITFEDGATPKLEKIILNSFANIMSHPGVSSLPKFKELELKCNKPLLSSFENANKISKVTLHSTLLKNADLQILAKIPSICCLVLLSDSYDESQLTFNKGEFLMLNLLVVKCPTITDISFTEGAAPMLEKIIWSFTKLNSLSGIDNLSKLKELEFIGDLVPDQVRIDINVHRKHPVLNHKPPEHQDQENGSEQGEKEDDPRFPACSWLFLKNKYWSDN >ORUFI11G23030.2 pep chromosome:OR_W1943:11:25392858:25401158:1 gene:ORUFI11G23030 transcript:ORUFI11G23030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAALVYRGAAGAVTTLLGLIRDEAQLLGRVKKDVQFIKEEMESMRSFLAHLTETEPPGGEHDEQVRTWMKQVRELAQDCSNCIDTYLQRGDPAVRHASWPGRGAGILQRYICWAPWMVEKLLAQHYAAIRLRELKDRAHDVGQRRLRYGVEVPGKLSSKAAGGAASSSVRSEEASPSTTIGAQAPDKEDEDEEEEQQQQDQEHDAATSTSASSITVSAERRRRALEPRSLENFCADELTRWLKSVGTMGSSSSSSPSLPSIAIVPPPPTPKPAQGGSTEVVVAAGANARDALASVATTHFDRSVWINLPAVHLHRSWHQISTKAILCYILRECESLNKQGKDHGQVDDRDDQMLQWDVYYYYRWEILYDIHRDFYRQVNPKMEEIEGNITKNSAAEEEQEEKSKGEAAYGNKTEDEIPQTPDGSISKTEPVGILFRALQPNAVSNVAIMGTNQPLDEQNIFPKTAKKLKKHIESGQTEFSIHLDQAKYESILREVFPLATSKPQKVQEGTTAISSDSSSHVAAAAAAAAAAAAAAATTAATLEKVQIEQIIHKTKQDILQCILQELQQQQLPEADKSLKEEGLTKPRPAVQDDHASASNEAKENKPLAAKDEAKENKAPVAKDEAKENKAPVAQDEHAVAANKTKENIGKIKSKISNEATTATPQPPTTISTATTMLGKDQIEEIIQKVKQQVLQGLHHRPPPHPPRADRSFKGEPGIHKPGGDEYANAIKDTKQKIKQLTGKIMEQMTIQIICDKIKTLLGGKKTMIIIEDDKDYASQWREITNALHQLSSSGSAMVVTTPNIQKAKEICCPQQEPITNSIAGLYYDTLLKLTSKRVNKDANQIFRDILDKCYPSEFCMKIFAHALYANPNRSKEDLRKLLDSLDSKKSLGINAKKMIRFSYTDLHKEYKSCFLYLAIFPPGYRVRRSTIVGRWVVEGLITKEDWPSAVHHAERCFDTLIDMWLVYPSDIGGAGKVKSGIVGDLVHEFITRIAKKQHIVETRLSRHLAHHFSIFNDIRLRGSDRIDRFLRKLPETSQMSMLKVLDLEGCQCFQRNQHYLKDICNNILLLKYLSLRRTDVTQLPTEINNLYELEVLDIWQTEVPEYATRHIMLLKLKRLLAGCIDSNRSSNVKNNISFRNEAPLSSSVQIPRKIKKMSRMEVLSSVKAPWTSRELKDIGKLWQLRKLGVVIDDKDSVLKNLLTAISDLCECLLSLSITIVPSTKGEGTPSNGDLPKYISRCLKYRPKLLESLSLQGTTQKGQLLTLLVECFTKLAKVTLSCTSLKQKNLEGLGDLPNLSYVRFRHKGYTDGKLTFNEHKFKNLKYFLVEGNNMRGVEFQKGAAPRLEKIVLSFTNIESLHGVGDLPKLEELELKGNKFLLSLSEEGETLEKYMLTFKKDEFQHLKYLLAEGFSKNFEANITFEDGATPKLEKIILYSFANIMSHPGVSSLPKFKELELKGKKPLLSSFENANKISKVTLHSTLMKHDDLQIFAKRPSICCLILLGNSYDDTLLTFNKGEFPKLDLLIVECPTITNISFTEGAAPMLEKIIWSFTKMNSLSGINNLSKLKELELIGDLVPDQVRIDINTHRKHPVLNHKQPQPQDQENGSEQGEEEDLKFPACSWLSLKNKYWSCN >ORUFI11G23040.1 pep chromosome:OR_W1943:11:25403081:25406439:-1 gene:ORUFI11G23040 transcript:ORUFI11G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLGMGMGLGDPPADYGSIAAVGLFVALICVCIVIGHLLEESRWMNEFQVKKKQFFRNFMTITLFGAVGTLISFSVISLGSLGLISRLNIGSLDLGDYLALGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSVVLFNAMQNFDLANFSSVKFLQFIGNFLYLFATSTFLGVAAGLLSAYIIKKLYFGRHSTDREVSIMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDMEKWKIVGETFSPMKSIALSSTILFLVLVARAAFVFPLSFLANLTKKTEEGKISIKQQVIIWWAGLMRGAVSIALAYNKFTRSGHTQLPSNAIMITSTITVVLFSTMVFGLLTKPLIRLLIPARHLNRESSALSDPPSPKSFLDPLILNGSDVDPEIGVGIRRPTSLRLLLASPTRSVHHYWRKFDNAFMRPVFGGRGFVPFVPGSPTERSVPLLQGNEN >ORUFI11G23050.1 pep chromosome:OR_W1943:11:25411536:25415302:-1 gene:ORUFI11G23050 transcript:ORUFI11G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLTLSLSLSLSLSLSISFSFLELELCIDGHLAPLNCFPLTPSPLTVLALAFGSKSTLFLKGEGQAQEAAGSPQGVVLEEEIKVKEELNNHKLFEIPLHSHADNWCLSSVQVVRKKADEALHRQFQRLLLLESSPPLKKNTSSFLCMEEAAMEGHVIVPLEKLSLELNNGGIMLNHDKDISALQEEISALRSRQRHLDHRRQEALDKLIDLKGSIRVFCRVRPSILTNNFMTKPPVTVENEKIVVRAVGIKKEFSVDRVFDQESTQEDVFQEVKPILRSALDGHNVCILAYGQTGTGKTYTMEGNNGKLGIVPRAIQELFSHASQDSSSTYSFSISMLEVYMGTVRDLLTPRQPLFRSTECNTSSIISILATKSGAVEVEGLTDVAIQDLKKANQWYCRGRRARSTSWTNVNDVSSRSHCLTRITIKRSSGGTTEECSKLWLVDLGGSERLLKTGASGLTMDEGKAINLSLSALGDVIAALRRKRSHVPYRNSKLTQILSDSLGDGSKVLMVVHISPSDDDIGETVCSLSFAKRARSIESSKELSEDIKKLKQKRIAELDKEICDSEQELKDLNEQIKRAETSLEERKKLSSSVCQALSDEKGSPRSTLVVVGHIDSAESPQATEKTKSRASHGSVPHFMSPTVCSRQRHSSASHSATKTRLTKSVNRYPAAELSGSHSFSYSSCKNAAKARSVAFSSSMPKMKCLPLKSDQINMSNNSIDSTAASAPRRRESFISRPAQRAPLHQHRRRMSSLT >ORUFI11G23060.1 pep chromosome:OR_W1943:11:25420883:25423789:-1 gene:ORUFI11G23060 transcript:ORUFI11G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDARRRRRHRAALANPSSESLPPLLELVDRRHDLFRVDKDVSEVSSSEQPNDSVSTLYYSTDRLNKPTYDAGSKQGSSKIAEDKTRDDSDDVEECLRKLKEYYSKGHIHSSIDDACNEVLAYEHAALTALALEWGMEPPEDPFRQQNSSVDDQEDEPHQQALSQQNLQIHRPRYEVSLEEIADDGKKWMGEEVMVAFKNYIEGKPDLSGHEYRLELQHQCFNVENYYENFHHYNFSVKMKKYDSDDWNETIYFAEVKMIFRRKNQGMDELRHPATGGFEMGSPDTAFPYMYTSD >ORUFI11G23070.1 pep chromosome:OR_W1943:11:25444785:25448678:-1 gene:ORUFI11G23070 transcript:ORUFI11G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDAGGGGGGGGDPATGGPAEFPGEAKITLRPDFLLLQAAARKSKRYAIQQSILYKEHKRQSRHQLRGAQPSTSQKPRHAEAGSKTHVRQSVLYSERKRQPRHERGGTQPPTLLTASEHDSTTVEPSRLKEASPSLSDELRELEEYRKTHTFSSFEDAIHYVLSVHPRTLSGLPVTEDDHHDGEAFELTTPAQSVPVQVPTSEASVDVVQNGNKWMGEEVMTAFEKYVEERDYLKGIEYKLDELCHQCLNVKNYNHIFHHFNFSVKTKTPGSTDWTSELYFAEVKTMFRQKVYFCWPLEPNENGHCNACKNQGMDDLKHPVIGAFDRGDNDTMFPYMYIGDDTACPYFWLSESDDEFPNRVLDDSDDDDII >ORUFI11G23080.1 pep chromosome:OR_W1943:11:25450907:25457457:-1 gene:ORUFI11G23080 transcript:ORUFI11G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKRRRKGKTQVGAAARDEEPSVVVDADDADGGARSHVVAGEAAGGGGADGGSPASRAASPPPPTAAGGQGGVGGGPVSGGGGSAPGGAATAQREGAPPAAATSVQGAPRAPATAETVPQGSPRGPGVRTVGPVPSTAQSAPGGSATGQTATAQSSPRAATTTKLDIEEKGPAFGDARWRNKATGVDIDDSSRDDADGGLSKTVLSWTIQDILLDNEVQKVPTKFKGLQHYLDVHSNLLMEEVRITIKSSLLKVETTQCFRDFVVSFAGPPSIYYIDIDLYGIDNCQHVVKDGDLFFLSSQPLRGQLSGCFGIATDVGCDNQFQRSFKMLVSENQKKTDLESIRYICFLTNIVDNLNISKAMVTMSSGRCGIINSIIRRNEKCKKTCACAELCAFGIEDSSYLDKYNEEQQCAMTCIMSKAGCHHNHSVDLVWGPPGTGKTRLAAGLAICMLNLRLRILVCVPLKRDIHIFLKSLQENYPSFNIGKALVLNNLLDKDMCNIISETTLANRASELYVALFVWKAWVKEMAALLGLDMYCRKKCVHHDEDLTCNKCEPIEFSLMSFKKKFGNTAVELRKCSTCLIKSLSATSLSDLDVKNVNNLLIALSQFENLMQKSEISDYSVKRAFGITIAVDYDFEDCCTAKSLDQIRRTCLALTETVLSSIELPQLEGWSDLEDFCIRHSHIIISTPGCFARLQSLKMDQVDVLIVDKAAQIKENDLLVPLSIPPRHVVLLGDHQHLQPIVKTEGCKEAGCTRSLFQRLLHLSFTRHKLIKQYMMHPLIRQFPSEHFYKDKIVDGQSVESINLQFPAYTFFDVVDMEDFSCMGKKSMEAAVVLFLLQKLCEGLTNAAGRLNVGIVCFCSNQVNAIITQLGRKYQNHDRVNLEVNSLENMHEDWYDVIILSSLFDDKSELPTDNRINVALTKSRHCLWIIGQADILLQIPGTWKSLIHHSMQQNCVVVLDSKSLTMDMEPLSETTDQDGLVSTQSTTPNKDLEDHITLNTFWHVFETKKVLQDFQWNLSVDNLKSQYEHHESTEFGSDEKNKRGKHRLESALDILKVHGVIGSNEVNSEEALFRISAHNRVDAAEPENLRSIEDGNVMVGCFRLSYNYFYLKPGEVYWYDKSKPYVHSRSNLPAAHAVMVIGHGKRMMDRGEGTSNNVVRRHVVIQNSEGKRFGFDGTGRVLRRSLTHLYQMKI >ORUFI11G23090.1 pep chromosome:OR_W1943:11:25461983:25462446:-1 gene:ORUFI11G23090 transcript:ORUFI11G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGCADQRLVHYLYACNYNSRVKNPYFSRVQMQQIKNADEVDGWIEERRRGFYSRFVTPM >ORUFI11G23100.1 pep chromosome:OR_W1943:11:25467161:25472798:1 gene:ORUFI11G23100 transcript:ORUFI11G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINDSLSRLDSTERSNESTYDAGTPGSNQVTSKFVKDKPKDDSDDVEECLRQLQEYYSKGHVHTSIEDAWNEVVAYEHVALTALALEWGMEPPPDPFQKPKSSLVDQEAQTLRAPQQTSDAAQHNIVSCKEEPSQQILPIHQPRTEVSIEEIVERGKKWMGEEVMVAFKKYIEGKADLAGHQYHLELCHQCFHVESYFQNFHHYNFNVKIKKHDSDEWSESMYFAEVKMIFRRKYYFCCPLEPRENGHCYACRNQGMDDLRLRHPATGGFEMGSPDTVFPYMYTSD >ORUFI11G23100.2 pep chromosome:OR_W1943:11:25467219:25472798:1 gene:ORUFI11G23100 transcript:ORUFI11G23100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAGGRGDVTFAGPGGGRSPRPRRPVRRGTRYPVSSSSSSSSSSSSSLEGGEGLGEEGRSEEDRPRRRIIRLVRPGLAAWIPGCASADRRPRVRQSVLYSDRPKRSQGIRGQVQSKTKSLDEKNVSEVSSELGTTTADIPKFKALMINDSLSRLDSTERSNESTYDAGTPGSNQVTSKFVKDKPKDDSDDVEECLRQLQEYYSKGHVHTSIEDAWNEVVAYEHVALTALALEWGMEPPPDPFQKPKSSLVDQEAQTLRAPQQTSDAAQHNIVSCKEEPSQQILPIHQPRTEVSIEEIVERGKKWMGEEVMVAFKKYIEGKADLAGHQYHLELCHQCFHVESYFQNFHHYNFNVKIKKHDSDEWSESMYFAEVKMIFRRKYYFCCPLEPRENGHCYACRNQGMDDLRLRHPATGGFEMGSPDTVFPYMYTSD >ORUFI11G23110.1 pep chromosome:OR_W1943:11:25473682:25475611:-1 gene:ORUFI11G23110 transcript:ORUFI11G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPGLRSVTMIVVVHGGNTGSDGLDSTFAQPAALEEAVSPSLSEEIREYEEYLKEHTFDSMEAAFEYLRTGQRVVLPKVEFSDDELNSEQFFLEKSEDQSTLEPEHDKSAVTQGQCDDSSFEEITQNGKKWMSEEAMVAFEKYITRRDDLKEYDYQFDELLHQCFNVEQYYKIFHHFNFTVKMKAPCSTDWTSVLYFAEVKELLGHKIYFCSPLEPNEDGNCYACKNQGMENLKHPIVGVFDRGFPTQVFPYTYSSGSEDEAWL >ORUFI11G23120.1 pep chromosome:OR_W1943:11:25479514:25485891:-1 gene:ORUFI11G23120 transcript:ORUFI11G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKQRRKGKKKVGAAGATAAGEEAAARDEDAGTSSHAVAGEASSGAGGGADGGSPAPRAASPPPTAAGGQGGGGGGPVSGGGGSAPGGAATAPRQGAPPAAATSVQGAPRAPATAATVPQGGSPRRTVPAQSAPGGPATAQSSPRAATTTKLDKSVSNNIEEKGPAFGDAPCRNKATGVDIDDSSRDDADGGDANNMSLLSKTVLSWTIQDILLDNEVQKVPTKFKGLQHYLDVHSNLLMEEVRITIKSSLLKVETTQCFRDFVVSFAGPPSIYYIDIDLYGIDNCQHVVKDGDLFFLSTQPLRGQLSGCFGIATDVGCDNQFQRRFKMLVSENQKNTDLESIRYICFLTNIMDNLNISKAMVTMSSGRCGIINSIIRRNEKCKKTCACAELCAFGIEDSSYLEKYNEEQQCAMTCIMSKVGCHHNHSVDLVWGPPGTGKTRLAAGLAICMLNLRLRILVCVPKKRDIHIFLQSLQKVDPSFDFRGIVVLNRLSNSESIKNCNKFHEMNLENRAQALYCCIFLWRSFVKELGFVLGLKPYCKEKCDHDGCTICSKSKLAVFSFSSFKEKVCALAIDVEKCSRILIDSLSDILLSNYNIEILNKLLSGLSHLEDRIKNSDITQSGVEKEFGLASGIDFSWEEVGCNVAELNEIRMTCLGLIEVVMNSIELPQLDDRKDLEEFCIRHSRIIICTPVCSSQLRELKLDIIDILLVDDAAQIKEIDMLIPLSFSPRHIVMFGDHLHLQPMVKSEVCKEAGYASSLFQRLMHSSSENKRLTKQYMMDPSISQFVSENFYEGRLEDDSTVKSDDYNKLLKEFPVPAYGFFDISGVDELTGKGKGFVESSVIMFLLQFLCKGRTNAIGKINVGIICLYNNRMDALRNLLGIKYESHDRINIEVNSLGNLHEKWYDVVILSSVSDEKAELLEGSKMNVAFSRSRYCLWIIGEGKNLIASEDLWKKLIGYAKNLHCVATLNSNVLSKVMSQLNDRDKDIPTASALPKKDFTWSLSLNDLKTRYENTVAEEFASEECKKRGTHRVETALKILKDDGVIGRDKVDPEGLTVVRSLAFADLQFQQGHMVKRTDSSNSHHMRRLASMNHKSYDKRVPYIHAKSNLPVSHAVMVIGDGRHREPMASAANDREHVMIQNSEGKRFGIDGLGRVDKLSFRGLYQIILPD >ORUFI11G23130.1 pep chromosome:OR_W1943:11:25505113:25509250:-1 gene:ORUFI11G23130 transcript:ORUFI11G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGDGGGGGGPATGGRAGRGGVPDRRPRKSRFSVLASMEDDSAEEELEEYMDDLVRPGSAAFPSDRKRQGRAEFARRHGHTVAGARTLIRQSVLYSDRPKRSQGRRGRVKPQTESLAEKDASEVSNSELGATTTDMPKFKTLSLNDSPSALDSADRATVRASSMDSDLPDSATAKLPDVEEALSPRSERKRKIHLYLAEHTFDDLREGFAAMINGFRDPPKDAAQPNVELPESSKLYPCEFDAESSHDSESLSPAAEDVGRHNLSTEEIVQNGKRWMSEEVMLAFEKYIEGKNEFRDVVYHLDELQYQCFSVDAYQKIFHHYNFTVKMKKPTSEDWSVTCYFAEVKQVYGKKFYLCWPVKSHDDGYCHGCANQGMVALKHPANDEVKYEVGFFDTGCPFMFLSDDDSDDDERVFSEESIKEIFSGIFG >ORUFI11G23140.1 pep chromosome:OR_W1943:11:25513848:25514460:-1 gene:ORUFI11G23140 transcript:ORUFI11G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMDGAARAVSLFFRIAVVGLSVAAAVVMATASQAFPFNYGGAVSYTKYPAFVYFVVAAVVSAVCSAAALYLSVVREAAAGWAVALLDVVTMGLLFSAAGAVFAVRRMAPLYLGVAGADTVAGRWVNGEFCHAAGAFCWRVTTSAIICAFAAAAVSVAVLTKGARHRGKH >ORUFI11G23150.1 pep chromosome:OR_W1943:11:25517702:25518262:-1 gene:ORUFI11G23150 transcript:ORUFI11G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKSSSSCSGRGGASLALRIATVALSVVSAVMTATAAAQPAAGGAAPTSGGVSYSDYSSLRYSLAATVICAALQAAAVWLEASGRAGEAKAAASLAELVDTAAQVLLYSSAALSFAVDDFGTCGRRVAGVCAAAGAFCLRVRASGAASLSAGVALSVSLYLKDVPVSDERKPEPRCGHGCHCHH >ORUFI11G23160.1 pep chromosome:OR_W1943:11:25521280:25523797:-1 gene:ORUFI11G23160 transcript:ORUFI11G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGCQVSDDEPNGSKAVSLLLRLSTLALALTSAVVMATASECTVVQLNGVVATITYKDFPPFVYLVGFNIAAAMLEAAAIYLRLSTGGGDDDDEGFKGKLPGILLVVIDVAVQALVYTATGGAFAAVSAYGPQINACGAGAGRFCGQVHQSKLLSFAGSAAVGLAVVFRDVSLPFSLWPTSQSCVRARRRRTRQAARSGGGGGGGSQCTIFLYYGGPLHTITYKDFGPFVYLVVASSIGAFMEAIAIFLTICKKKDGTPAKVLLPLLDAAVPVLLYSATAAAFAAGDMSYCAVGKRVGVCTTAAAGNFCNQVHIAMYVSLAAGVALLVAEIVKHWPDSGKKKEGGGGGCGSDSDSDKSTPCHHGCHSKH >ORUFI11G23170.1 pep chromosome:OR_W1943:11:25526912:25528506:1 gene:ORUFI11G23170 transcript:ORUFI11G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLDRQLATTSLAYKRGSSPSSFSPHYHHPNPSLSPPSFSRFALCCCQPEPPCYSPGAAVAGGASPEFAAALPQPLVASPRPIAATHRCPRPSRAKEREEEETGLLKPLPSRAQRLFFLPTRASLSLAFHRHHRVTSLASHRSENPTTQIPAVAAVGRASSMSRRLSRSPRVTSCRVAVVFTVESPPVHDAKPSRRHLVHPGIPP >ORUFI11G23180.1 pep chromosome:OR_W1943:11:25536844:25538358:1 gene:ORUFI11G23180 transcript:ORUFI11G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMVTVLLWWGIYGWSPRPWWRLGSGHGLDGDGAVVVGHIRLVAEAMVAAGYGMECVTTFMSHRRAEFAGAVRRLLGYAPSQHARFRKLAWDDVDGKVRSWHTAAGFAFNFAFSGERVLCHRVFAAADAGVADRVFEGIASDHAADLLAVAEAAVARARRAPERLFHVLDVHATLVEIFPAIVCVLGDKSEAAVRATAALRNAGEAARGILVSFEEAIQKATSKSSAAATGGAVHPLARYVMNYLVLLADYDDTLARIYQQGRGSTSPVHPLARYVMNYLVLLADYDDTLARIYQKGRGSTSLHSPSSSSSSSNPIGRLVSVLLRKLDAMAGRHRSPAARSLFMANNTHYVSKKVRGSSKLVGIVGGEGWAVAQSAETRRHVDAFVHAAWRDVLVVGGEGADAAVREAVASQRRWVAVADDEMGDAVRAAAAAAVVPAYRALYRRHGTAAWMTPGDVKAMIGRLFGGPRNAAAGARPVAAGGATPRRHRLRLTSSLEKLAHKQ >ORUFI11G23190.1 pep chromosome:OR_W1943:11:25540076:25540415:1 gene:ORUFI11G23190 transcript:ORUFI11G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFKEALHFLSDNCGITSQWLVDIVEYLEDRSLAAALAFSRLAAATAAASSPAACSSPASPRWPRVAIGAGPVGVLGELGPQLLLPLPTLFPRGSNRRVGPNGGGGGGG >ORUFI11G23200.1 pep chromosome:OR_W1943:11:25540554:25544320:1 gene:ORUFI11G23200 transcript:ORUFI11G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGVALDAAVTYHDKYGRVWEVSAAMASGPIRCRRASRGRKRRRRHDDGEAAERAGGVGAEPHVNVLGVEAVAAPGEEAGLKVPLPQRAFLHREGSDGLLPAKVEQQEWRPEEH >ORUFI11G23210.1 pep chromosome:OR_W1943:11:25542696:25544513:-1 gene:ORUFI11G23210 transcript:ORUFI11G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECALWKRHLLNLAVQVSLAIYVVGKQWRGDKQLVAPTVLMFITGTTKYAERIWALWRAQSTTLAARNHQQDALVRDNWALFFSDTYRYQKMLTSIISDKKERNFKRVMEVANTGSLLSMDFFMDLTHPKYIPHYDDEQPRNISFYYKDNELWRQHGSSDELVHMVYKLADIHLSMIYDRLALLPRAEDALLYKQIVGHVHPESRVEWSGQLQQFNMIDMGIQETTRGRLERMMRCVDIIIDGGCSTEPAVKVSAEVKKLLVDKILAQLISDTDPESELDLTRFHGQWAQRWVEKRVQVHDFSESNPAHRALVKSKIQDSSFLTSASLWHLVTDICLDQGYTSVDEATARTCRELSIYVMHLIVNYEGLGTVDERQIFVLTASRMVEFFVDGPKDTRNRPGFFQKAAGRWELIATVWVEMLCYITMNCGACSLHAKQLCDGGEFITHVKMLLFILDVPCL >ORUFI11G23220.1 pep chromosome:OR_W1943:11:25552312:25560692:1 gene:ORUFI11G23220 transcript:ORUFI11G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAQAANTVQVTAYAKVDAVHVDAVKQRPGVPVLVRVVAPPPAAASSERAPIDLVAVLDVSCCGGLGPVNRMDLLKKAMGFVIDKLGEHDRLAVVPVQASAAIAEKHDLVEMNAEGRKEATRMVQSSLTVTGENKLSTALKKAATILEGRKDHDKKRPGFIVLISDGDDASVLNDAMNLNCSVHAFGFRDAHNARAMHRIANTSAGTYGILNDGHDGLADAFVTSVGNITSIVAVDAEVSVSCSGAESTAAKLTAIESGRFKHDINGGGKRGTIQAGALQAGAVRSFLVYVDNVGDDELEHLPSMLTVGVQYEDRSTTTSQNAAENQAGREMARRTAQVVVVRDGDEHSRLVAAEIVRVAAMRIVGEIIKNYGDNGRALAGAADELHKQWSLLKKSEFAKEAAPACFVSALDAEMSEMEATLRRSSGMSYMLSWQTCHSLQHLQHARSSSSPSATTSVAAAAKGNGGASAVAAAARQSFTAGGAAAMGKFVWSGAHHGGGGGGGERKRKYQSSEMEMIEQRLAYWTKVKCELPPMHHDGECPDHMTTIFRDASRDSIDRAMFHDVFLAPVSALASDKVQLSTFPRVDAIPRRECHPRLPVLVRVAVPATAARRAPVDLVTLLDISCGGGGGAPARRLDLLRKAMDLVIGNLGADDRLAIVPFHSSVVDATGLLEMSVEGRGVASRKVQSLAVAGGTKLFPALNAAVEILEARCWEAKRERVGAVVLISDGDDRTIFREAINPRYPVHAFGFRGAHDARAVHHVADHTSGVYGVLDDEHDRVTDAFAACVRRVTSVVAVDAQVDLTCGAYSRASLLAVERSGDHRAHVDEDRRSGFIYAGALCAGDVKNFLVYVDVDREADGGGVTELLTAHGTYMDAARRKETTVHLDERMAVVQRRDKVPDVSRDVAAELVRVDTVKMVAVVLDRFKDKGSAAAAMELREGWCRVKASEDARAAGAASLAVLEREIEEMEASLVRCTGLSTMLSWLNRHKLQLHTAAAAAATARVSPAPPSSSNVVADAITAGEGHVKEVAGVAVVSGGTKRKCVEMDMIEERLAYWSKVKHDLPLMFPDHAAAAATAAAAAAAEGTASTGDHVAAVFRDASLETINRAMFHDVYLVRADSFRCPL >ORUFI11G23230.1 pep chromosome:OR_W1943:11:25568913:25570142:1 gene:ORUFI11G23230 transcript:ORUFI11G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKFSSGFCCSSPNFLLATSPSWRSRATGEPLRRGATEQAATTRPRRRGPAEEPPLHRGAAAPPLHSRRCGSCAHTNSLHGRDAERKDEGGDDKAEEARPRGEVRW >ORUFI11G23240.1 pep chromosome:OR_W1943:11:25584763:25585058:-1 gene:ORUFI11G23240 transcript:ORUFI11G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLPAPLLLPLVPHPGEQEQQENAEEGEESRPTPTAMEKKISCRSLKEEEICGFEINVG >ORUFI11G23250.1 pep chromosome:OR_W1943:11:25585108:25595372:-1 gene:ORUFI11G23250 transcript:ORUFI11G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAARQRSGEEDRERCAVGSTHPLTLTELVAPHRETAQAPLCRFGRGLRRRRAKKPPPRRAEKPGLVVAMPRSRAPCREAGIHRRAEKPGLVVTVRRLLCSSSPLQREFEGMRSGGGAQASHRGQGTATRRGRAGVATELLRCSTSAAGRRL >ORUFI11G23260.1 pep chromosome:OR_W1943:11:25601253:25601573:1 gene:ORUFI11G23260 transcript:ORUFI11G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPPPPLHIVVFPWLAFGHMIPFLELSKRLARRGHAITFVSTPRNAGRLGAIPPAMSAHLRVVSLDLPAVDGLPEGAESTADVPPEKVGLLKKAFDGLAVCRN >ORUFI11G23270.1 pep chromosome:OR_W1943:11:25606231:25610262:-1 gene:ORUFI11G23270 transcript:ORUFI11G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTAGAVSSLLGLIRNAELMMSGRAKEDMQFIKDEMDSINGTLMYLTENKASHNDQVRVWMRQVRDIAYVADDCITLYRRDLTPPEPGFWPWLRHVPTWLSTVPTRHRLAKKIHELKDRVRDVGERRQRYGVVGEIPTKNAASSSGHGSQTAPSSDAAAATAAADARADEEDDDQNHAATATVVDGRPDRRRALEPRTLEDYCCENLHKWLKEFNTKRGSMVPFIAVEAPRPEDAAAVSSIVSYVAAEAAGFNPAVWVNLPLLHAEFDLPLEPWEILCYILGELKPRSQPVQAQGSRDQRKQKQEEGGKDDKAMGSDDDDDNLQSQASDEKWQVFDEMWEEIEKRNFDDRIEQLKTKIDSIKDKKTTNEIEKTVRSQEKVNLNALVYNKPLGILFLALLPPMPNDVKSVRRALRTLASGEANIIRKIAEALKIHMEVSEVNKIHLSKKQYVNILREVFPTVSSTPLKQDKSTTKSTALGPSAAATMLGKDQIKEIVHKAILDKQLEKHLEVADAIEETKNKIGDIKEKIKVQLVIQGIVDKIKDHLEKDKRTLIILQDDKGFLSSCEETTMNALSLLGYTCADAMVVVITKESQVANKFCYPPREPITYSIAGLYHDTVLQLTSQQAKQNNNYNSQLFRDILDKCDPDEFCMKMFAHALYAEPNRSNNDLRELYKTLVPQKSLASNASKASPEPDKSNDSTQLVSEESSLCNNAKKIFEFSYNDMPTEYLSCLLYLAIFPQGQSIRRSTLQARWVVEGLITGKDDWPSADCAVRNAERCFDVLIDRWLVYPGDVTAAGKVKSCMVDNLVHEFITKAAKEKQFVKESQLHHLAHHFSIFCNLRLRRSDNIEKFMQNLKYFSPYLQLLKVLDLEGCQSFDKNNHYLEDICNKVLLLKYLSLKDTNVAHLPNAINNLHELEVLDIRQAKVPEIDTNSIMLFNLKRLLAGQVYPSPRYNSTGMYLKRHEETISSSVRIPRKIEKMVKMEVMYSVNVSRDGNMLTEIGKLRQLRKLGVVIDDHDGHLRKLLQVISDLSGSLRSLSITLIGTGSEQAPSSEETSADCLKQHPKLLESLSISGVIDKVQLLPLLAIGQGNRNLAKVTISGTMLKQEDLKKDIAKLHNLCCFRLRHRSYDDEKITFEENEFLELKYLIIEGTNMMSIIFKQGAAPKIEKIILSFTSTTHIAGIVDLPNLKELELKGNNNDRKLVACLAGAPHLSRLTLDGTLLDLNELKILAELPSLRSLILLQQSCVRSSLNFNNGEFSKLNLLVVNCSNITSISFTDEGAAPKLEKITWSFTTKMLSLSGICYLPQLKELELNGKIIPDQVIQDIKEHKNKPIFNHQ >ORUFI11G23280.1 pep chromosome:OR_W1943:11:25627476:25631465:-1 gene:ORUFI11G23280 transcript:ORUFI11G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNQVCVVCVQQRCHPPAADHHSLVTHAQQLWNDWEIQCLVVVSFSLQVFLLFAAVFRKRCRSRVLSVLLWLAYLSADSVAVFVLGRLTLLGDNQQQHRLVLFWAPFMLLHLGGQETITAFSMEDCALWKRHLLTLTVQMLMAIYVVSKQWRGDKWLAVPTAIMFVAGTTRYAERIWALRRAQSTSLESSDMEFYAPSAEYDFNTHSTDYYSKLSSIISDEQERNFERIVEVATKGFRLGLDFLMDVIPPRPAYWYQGGTELWGGGEPLDSLVDMAYKLADIHLSMIYDYFYTKFGGGLVVGLLCRITTLALNCIALSLFLVSRLDHHLKAGSSYNIADVTICYILLVGAFTLEISSVLLWLMSSYSTWNLLRKHLHPESSRIEWSGELQQYNMIDECIHEKKAGRQLGRVMRLVGIGRACSTKPVKVSTDVKRLILDKMLKIWATSTSANKLDLTRFHGEWAQRWVKRYYHHEAPPPFEFTAGTSDEEQASASPSARAQRALWISRIQDLGFVASVFIWHLVTDICLEADSTRVAEKLTNSSWELSNYVMYLVVKCKAMVSKYERDSLSYSREQVMWPVILDRPVDRSEFVENLLSARHHDVLGDAIDVSSELLKMEEAAARWDLISTVWVEMICYMAHNCGVAFHAKQLCAGGELVTHVKMLLMILRFPV >ORUFI11G23290.1 pep chromosome:OR_W1943:11:25635376:25637031:-1 gene:ORUFI11G23290 transcript:ORUFI11G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSIPDRWTLAGATALVTGGSKGIGSSPDSGRACTRAPGTRRSWRRRHAIVEELAGFGARVHTCARNAAELEASRRRWEERGLRVTATVCDVSARGDRERLVAAAAAEFGGRLDILVNNVGRTMFRAAAACSGEDFALLVATNLESCFHLSQLAHPLLLAAGGGGGCVVNISSVAGTVGIPALAVYSMTKGGMNQLTRSLAAEWAGDGIRVNCVAPGGVKTDICQDETIDPELIKSEMDRLPMRRGGGGGGXRRWRSSACRRPPTSPARSSASTADAPLPS >ORUFI11G23300.1 pep chromosome:OR_W1943:11:25650350:25651105:1 gene:ORUFI11G23300 transcript:ORUFI11G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASVRVPASVVIEQWMEGLDWSMAAMVTVSSVCSATDGIQKTRTEQNKKKTKIDRVEAVDRVTEWKQFKQLKFR >ORUFI11G23310.1 pep chromosome:OR_W1943:11:25658229:25658884:1 gene:ORUFI11G23310 transcript:ORUFI11G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQPSPEQHKPWWPKSTICGIPRRKAAATAAGDGGRPMAADGGSGKNGGCLALNMHHLKKICELLRSLKYRKMNISQLPKQMNNLLHLETLDIRQTKVQGDAMKDIFLNELKHLLAGDIIVDAAGDNEAIALSTVVMPPKISKNTKILRHVQIKDGPEAQLQLSHVASLERLRKLGVLGPRLQRR >ORUFI11G23320.1 pep chromosome:OR_W1943:11:25664897:25667443:-1 gene:ORUFI11G23320 transcript:ORUFI11G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTLGAVGSLLGVLSKVVKDEAKLLGGVEGDIQFIRDEMDSMNGFLVHVTKTTNHDDQLRAWMKQVRDITYVADDCIKLYMRDVVPEEKAGLRGCLLRRVPSVCKPYCSFLHRNLTTRDQLARRIHELKDRVREISERRQRYDVKLPEGDAVQSPPVSQDRKTKEKRDEFVRALEDGQSPFRDAVRKLSSGDGGALIRDRAAPALVRSIVDTCITKLADDHDRIIKMLLRSLYAHPCGTKELENLSNKLREGEDVAKQVMLFCYSKLSVHYKSCLQYLITFELEESISRTSLVRRWLAEGLVLNDQQQHGIDDDESMEEAGERCFDDLLFRGFLSPAPAHRFPRTGGLKLKCCVLDASVKTFIYDMSTSENFLDDLPTHLRHQINIRKTARRRELPQKQHQHKLRWTQSTICCCYCRVPRIMKATTADAGGSNINDPLLPLHHPMDEIVTLLKTLPPEYRLNVLDLGGCLGLKMSHLKNICKLVPSLKYLSLRKTNVSQLPKKMNRLLHLETLDIRDTNVRGAAMRDIFLNELKHLLVGRIFIPDAAAGDNEASALLSTVLMPPKISKNTEILRHIQIKDGPEAQLQLSHVASLDGLRKLGVVLDGREDNIKLLLTTIARRSDTLRSLSVWITEPPPEHSVTGERHGVFVTLDHKEKATTLFSHPSKLESLNLKCYKGKNNNNNYNIPPWIISLQKLSKITLRHSLLSRGGLRELGKMKSLRCLKLRQESYIEADVTVKYGEFEDLRLLVIDKISNKMTKLVFEEDAAPKLEKIVWNFDTMTTLMGITVNNIKGIENLQNLKELWINGVNIPFPSRSREWKDITTVPQKLWRHTFGPVIESLASES >ORUFI11G23330.1 pep chromosome:OR_W1943:11:25712111:25715876:-1 gene:ORUFI11G23330 transcript:ORUFI11G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVGGCRRECPRSIRVAGAQSRLDHIAEGREALHHNHCIIMVCQEDTSVDVESRRHTLSMLCATRYGTQRRIAIGEGRCRCGWTLSG >ORUFI11G23330.2 pep chromosome:OR_W1943:11:25712111:25715923:-1 gene:ORUFI11G23330 transcript:ORUFI11G23330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSSADLNWREALHHNHCIIMVCQEDTSVDVESRRHTLSMLCATRYGTQRRIAIGEGRCRCGWTLSG >ORUFI11G23340.1 pep chromosome:OR_W1943:11:25716074:25718830:1 gene:ORUFI11G23340 transcript:ORUFI11G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLVIGSVKGVLDTLLGRISTVLADKARLLGRVRRDMQFIKDEMEMMDAFLLLLLKQQASTLDRHHYNKCAPWIKQAVELAHDCQDCVEQYAQCVAAGRPPSKGLLSHFQRVSRLVRNLSVCHRLASRIQDIKVRLSEVNDRRKTYDICPRFDTLSQLWEQDDDGEHGDETAWRRSFAFAEPPANLKKSTVNELIRWLMEDQSAGPRLIPIVGVGVEAGKIIAERVYQDSSVAILFDCKAWITVNGAQSHVQILKDILCQVVLPLNKFRSEMDGWNEEELVEKIRCYLRGKIFLVILHDVRDKLIWDHIKLAFPDDCPAGSAIIVTTDNDDKVAQTFSPYKTFNPDSSGHVLNFFLSRAISLLKHEREGWLRKILPCMLIYLEPQIYFMKMLLRFLYYNESGSSSQVYDALGNRGSLHDYWPKKMVELCYFDMPNKYRSCMLYLSIFPPGYKIRRTSLVRRWIVEGLITDGQEMNALEQADNCFDSLVGRLLLCPSDIDASGKVKTCTVLDLVHDVITDLVSGGYDTTFVVTVLAPRELARHLSIRFSTKLHISLSEPIDDILTFLKSLPSSSLLCLLKVLDLDSCKGLKRRHLKNICGIYSLKYLSLRDTDVTELPKEMEKLIHLETLDIRQTNVSVFPRKSLVLPRLKHLLSGHTVCPSEDIVRQQESFSAVHIPHRIGKMRNMEILSHIEVSHGGKELTAVSQLLKLRKLGVVFHDADKDGSDCLLHVIGTLHKCLRSLSVQIRSSSADDGSKGFDMSMMDATLPRFLESLTISGIRSGLPLWIEHLHKLTKVTLRDTSLTESAIHVLGKLVGLRYLRLRHRSYIPGNLTISGREFRNLQFLLIENSDIVSIRFDEGAAPRLERLVWRFTIMDSLVGIDHLLSFRELQLHGDCDTEKIGVILHDISGTPQTPQ >ORUFI11G23350.1 pep chromosome:OR_W1943:11:25716098:25716780:-1 gene:ORUFI11G23350 transcript:ORUFI11G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEESCNDLTGFHADSHNRLAGGSAKAKERLQAVSSPCVKAGANIIRFPPVVHLTQSDLDVLDPRGQPVADGKVPDKTGDSLEVAEEALGWRPAGCDTLRILLNAVLTVVGEFHRLLDPWRALVVVVAVEGAGLLFQEQQEEGVHHLHLVLDELHVAADAAKQPCLVSEHGADAAQQRVEDALD >ORUFI11G23360.1 pep chromosome:OR_W1943:11:25731490:25731798:-1 gene:ORUFI11G23360 transcript:ORUFI11G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEEFGQRARGVLPGDQEMERAPARKPARLKRRQAAEKAGVERRRRSVAWREVVVEEKTFGELSMASANSLATVVWVGKAPDRSRGRRGGGVVVVDAVDGMG >ORUFI11G23370.1 pep chromosome:OR_W1943:11:25731497:25733109:1 gene:ORUFI11G23370 transcript:ORUFI11G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTASTTTTPPPRLPRLRSGALPTHTTVASEFAEAMLSSPKVFSSTTTSRHATLRRLLSTPAFSAACLLFSLAGFLAGALSISWSPGSTPRARCPNSSHMLSVSVTWDGVGPAADDGAGLVGGGRRPKAAEVDGAEYDEQPVEAVEPPVIEVINELAAVSAMAGEGDLVHDDDEQRAEEQADGAHAQEQTRVHGLHPFGVWILAEEDVEEHVGETAASAGARRCATTMMSGGATTAKRVMERKRPQTRLELGLANVVAMADERNTSGVFPSLGLQLDGDDRGAWAGKRCYRRM >ORUFI11G23380.1 pep chromosome:OR_W1943:11:25734032:25735080:-1 gene:ORUFI11G23380 transcript:ORUFI11G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSIPYRWTLAGATALVTGGSKGIGSSPDSGRACTRAPGTRRSWRRHPLLLAAGGARGGGGGCVVNISSVAGSVGMPALAVYSMTKGGMNQLTRSLAAEWAGDGIRVNCVAPGGVKTDICQDETIDPELIKSEMARLPMRRLAEPEEVAATVAFLCMPAASYITGQVVGVDGGRTIS >ORUFI11G23390.1 pep chromosome:OR_W1943:11:25745987:25746860:-1 gene:ORUFI11G23390 transcript:ORUFI11G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREARQKDERTVSRTAVNINLLSPVAWVQRDQRRCWNLDDPHIIKMLLRSLYAHPYGTKELENLSNNLRECADVAKKVMLFCYSKLSVHYKSCLQYLTTFEEEESVSRTCLVRRWLVEGLVSKDQHHGLDEDDTSMEEAGQRCFDELLFRGFLSPAPGHHFPRSGGLKLKCYVLATFLTS >ORUFI11G23400.1 pep chromosome:OR_W1943:11:25749485:25751929:-1 gene:ORUFI11G23400 transcript:ORUFI11G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLTNGAVDSLLGVLSKVVKDEAKLLGGVEGDIQFIRDEMDSMNGFLLHLTKSKTPHNDQLRAWMKQVRDVTYVADDCISLYMRDLIPPEDVLWPWLRHIPKRLRTIPARHRLASKIHKLKDRVREVGERRLRYDVKLPEQHNSEESLLLVLRDSKAEEKKDEFLRAIEDVSAESFRGVIDKLPHSLGHDAIARKHLEDILNKCVEIEGDHVAIMKMLLRALYAYPYGTVEELEDLSKKLEPKGADVAKLVMIFCYSKLPTHCKSCLQYLVTMGEEDKISRACLVRRWVAEGLVPLRVVEPPLTTTMEEAGEVCFKELVFRGFLLPAPPPPQDDGVAVGTCSLNLKSCVVDEAVKKFIPDISKSENFVVDLPTHLQRQLKIRHMVRQTFPATASAEEKQQPLQQQQQPLNPMDGMVKLLKELPEEYRLNVIDLGGCQRLEKSHLKSICKVTSLKYLSLRNTDVSSLPKKMEDLWQLETLDIRDTENMPATAMRRIYLHNLKHLLAGDNISTDGGGEGKKNESGSTVLVPHRIGKKTETLRHVRIKDGQADLARIGSLEQLRKLGVVLDGSQGNITHLLEAISKRRDTLRSLSVWITEPPPPPAAEHMAGDDVFVTLDHSQEEILAPSKLESLDLKCFFKGGNGGDKKGYKLPTWINEKLQALSKITLRYTLLRDDGLRILGKLKSLRCLRLLRKSYIESEVTLEEGEFGELRLLLLDHVSDKTALLIKARAAPKLEKIVWNLNKTKTLVTISPDDIAGINNTAILRFKAADAAAPTKEKVDWNCNSTRKITPDIIRGSIEFNQVQEGAQEFLK >ORUFI11G23410.1 pep chromosome:OR_W1943:11:25753174:25755934:1 gene:ORUFI11G23410 transcript:ORUFI11G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPLPLSQTLAASPRAAPPPLSLSPPLQAATGPRSIFPAGDDLSRAPSAALRHLLLSTLPLRRCSTMVETHRSTTTAASKYSSLSPSSSAAKQRRPRPQRAPVRARMRWRPREEEIAGGHSGGVNLPGTCSFLMAFSTALHSQWILLRSACALTPLQWSNRFGKKCQSVQATGLGLVLLEQRFFMHGQAADSNESKSSGRCKFFLTGRSTMMPLF >ORUFI11G23410.2 pep chromosome:OR_W1943:11:25753174:25755934:1 gene:ORUFI11G23410 transcript:ORUFI11G23410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPLPLSQTLAASPRAAPPPLSLSPPLQAATGPRSIFPAGDDLSRAPSAALRHLLLSTLPLRRCSTMVETHRSTTTAASKYSSLSPSSSAAKQRRPRPQRAPVRARMRWRPREEEIAGGHSGGVNLPGTCSFLMAFSTALHSQWILLRSACALTPVCWSLVKKCQAADSLCMVKQLIAMKVKAAGDASFS >ORUFI11G23410.3 pep chromosome:OR_W1943:11:25753174:25755934:1 gene:ORUFI11G23410 transcript:ORUFI11G23410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPLPLSQTLAASPRAAPPPLSLSPPLQAATGPRSIFPAGDDLSRAPSAALRHLLLSTLPLRRCSTMVETHRSTTTAASKYSSLSPSSSAAKQRRPRPQRAPVRARMRWRPREEEIAGGHSGGVNLPGTCSFLMAFSTALHSQWILLRSACALTPVCWSLVKKCQILYAWSSS >ORUFI11G23420.1 pep chromosome:OR_W1943:11:25764479:25766498:-1 gene:ORUFI11G23420 transcript:ORUFI11G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYNLREYVPEYLLWRLVGANRDPCLVPAGELISSLLEQTRVVLGQDTPPKMLLLIGFTVTGKESLLAMIAGHARLRLLLGSRAMERIPLLPLANLHYPDLDVLDLDSQPRAGNVLTNRGRRRTEPQRPPGERWLVVPRHRKYWSTQYWEWLARSLTWFIHAWSWWSMRPLRAWLRRKPFMLSISSRMNCTSRLSPPSSCTWSERTSVSRLTSDFTAEWVELRPKPSISGISLTQLAHQTHTTATTGQLSRNDELNLNRCKCNWRGGKAGGLELKKKRATQLSIWAIAVHFTGP >ORUFI11G23420.2 pep chromosome:OR_W1943:11:25760428:25766498:-1 gene:ORUFI11G23420 transcript:ORUFI11G23420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYNLREYVPEYLLWRLVGANRDPCLVPAGELISSLLEQTRVVLGQDTPPKMLLLIGFTVTGKESLLAMIAGHARLRLLLGSRAMERIPLLPLANLHYPDLDVLDLDSQPRAGNVLTNRGRRRTEPQRPPGERWLVVPRHRKYWSTQYWEWLARSLTWFIHAWSWWSMRPLRAWLRRKPFMLSISSRMNCTSRLSPPSSCTWSERTSVSRLTSDFTAEWVELRPKPSISGISLTQLAHQTHTTATTGQLSRNDELNLNRCKCNWRGGKRARGSSLYINGESRGFKVC >ORUFI11G23420.3 pep chromosome:OR_W1943:11:25760428:25766498:-1 gene:ORUFI11G23420 transcript:ORUFI11G23420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYNLREYVPEYLLWRLVGANRDPCLVPAGELISSLLEQTRVVLGQDTPPKMLLLIGFTVTGKESLLAMIAGHARLRLLLGSRAMERIPLLPLANLHYPDLDVLDLDSQPRAGNVLTNRGRRRTEPQRPPGERWLVVPRHRKYWSTQYWEWLARSLTWFIHAWSWWSMRPLRAWLRRKPFMLSISSRMNCTSRLSPPSSCTWSERTSVSRLTSDFTAEWVELRPKPSISGISLTQLAHQTHTTATTGQLSRNDELNLNRCKCNWRGGKRARGSSLYINGESRGFKVC >ORUFI11G23420.4 pep chromosome:OR_W1943:11:25760428:25761620:-1 gene:ORUFI11G23420 transcript:ORUFI11G23420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAITDSDPSLAVKQAGDRGVLVDSLSNNRTGIHADSDHSVLQVGRWFSRSQITPPSSFIFLFTAVVLLRWTVAVEVGTNVIRLPPVAHLAQPDLDVLDLHGQPVAFGEAPDKPGHPAEAAEEEALGGWPSCDALRVLLDAVLAVAGELHRLPDPWRALAVVVVVEGAGLLVQEEQEEGVHHLHLVLDELHVAADAAKQLCLIDEHGADAAQQRPHGALGRADHELRHCRRLVATKLDLLVGFQTFSAWARGSSLYINGESRGFKVC >ORUFI11G23420.5 pep chromosome:OR_W1943:11:25760428:25761620:-1 gene:ORUFI11G23420 transcript:ORUFI11G23420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAITDSDPSLAVKQAGDRGVLVDSLSNNRTGIHADSDHRYDSKPCRLILHEPPDKFVHSVLQVGRWFSRSQITPPSSFIFLFTAVVLLRWTVAVEVGTNVIRLPPVAHLAQPDLDVLDLHGQPVAFGEAPDKPGHPAEAAEEEALGGWPSCDALRVLLDAVLAVAGELHRLPDPWRALAVVVVVEGAGLLVQEEQEEGVHHLHLVLDELHVAADAAKQLCLIDEHGADAAQQRPHGALGRADHELRHCRRLVATKLDLLVGFQTFSAWARGSSLYINGESRGFKVC >ORUFI11G23420.6 pep chromosome:OR_W1943:11:25760367:25761620:-1 gene:ORUFI11G23420 transcript:ORUFI11G23420.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAITDSDPSLAVKQAGDRGVLVDSLSNNRTGIHADSDHRYDSKPCRLILHEPPDKFVHSVLQVGRWFSRSQITPPSSFIFLFTAVVLLRWTVAVEVGTNVIRLPPVAHLAQPDLDVLDLHGQPVAFGEAPDKPGHPAEAAEEEALGGWPSCDALRVLLDAVLAVAGELHRLPDPWRALAVVVVVEGAGLLVQEEQEEGVHHLHLVLDELHVAADAAKQLCLIDEHGADAAQQRPHGALGRADHELRHCRRLVATKLDLLVEGREAPASTLMVSQEDSRCVDQPVLKYSLALHLDELLKIF >ORUFI11G23430.1 pep chromosome:OR_W1943:11:25760874:25763614:1 gene:ORUFI11G23430 transcript:ORUFI11G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVVSSAKGAVRSLLGRISTMLVNEAQLLGRVRRDMQFIKDEMEMMDAFLLLLLHQQAGTLDHHYHSQCTPWIRQAMELARDCQDCVEQYAQCIAAGPPSKSLLLGRLRRVSRLVRSLPERHRLAVQIQDIKVRLGEVSHRRKAYDICANLNSYRPPEQDNGGEQEDEAAWRRYLASAEPPADLKNTVNELVRWLMEDQPAGLRIIPVVGICVDTSAIIAERVYQHSSVTSLFDCKAWITVRDCQSHLQILKDILCQLVLPLNKFRSEMIGWNEEQLVEKLRCYLRGKIFLIVLHDVRDESIWSHIKLAFPDDCSAGSAIIITTDDDKVAESFSAYKIFNPDSPGYVLNFFLSKAIALLKHENEKQLRKILPCMLIHLEPETFFMKMLLRYLYYGRYATLRLRDALQHTSSLHDYWPKNMHQEDQLSKFRTCIVPDLVHDALTDLVARGSNITSVDTVLAPPELARHLSIRFSTKLHMSPSEPINSILSFLKSLHSSSRLGLLKVLDLDGCKGLKRRHLKNICGIYLLKYLSLRDTDITRLPKEIENLIYLETLDIRQTKISVFPRKSLVLPMLKHLLSGHTVYPSEDIFRQQESFSAIHIPHQIGRMKNMEILSHVKVSHGGMQLIAVGQLLKLRKLGVVIHDTDKDGFDSLLHVIGKLNKCLRSLSVQIRSPSAADGSNGFDMSMMYSTYPRLLESLTICGIKSGLPPWIEHLHQLTKVTLHNTSLTESAIHVLGKLVGLCYLRLRHRSYIRGDLTISTREFKNLRFLFIEGPDIVNISFDEGAAPRLERMVWRFTRMVSLVGIGHILSIRELELEGDSDLEKIGVILRDIKAHPNDPSLKHIPAAGN >ORUFI11G23440.1 pep chromosome:OR_W1943:11:25765686:25768604:1 gene:ORUFI11G23440 transcript:ORUFI11G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGLSSTHSAVKSLVSRLTDVLSDQVQLLGGLRREVQFIRDEMESMNGFLLNHARRGRMDHQLQAWMNQVKDLANHSQYCVDQYLRCLGTTSHRSPGGLWGSVRRLPRFVSTLPARYRLAIQIQDIKIRVVEVSQRQQRYPLHGTATEQEPQSGMASDHSQQAFLTGDSEADQQEHLRRRILAEDDSGLFKEAADELTSWLTVEGDGRSDLRIIPIVGSRGMGKTTLAEQVYKGYSSRLADHKAWISVGSNQSPQQVLRDILAQIVGLHANNLQDMGTWGNSQIALMIQEQLEGKRFLIVLDDVCSESLWKDIEASLHCGNSAPSAILVTTSLPEVAQSFCPYRIYDLRYIQEEHNRSLVDFFLVRAANLISDNGHGKASLKEEVLRSILVKCSPCIFSMKMLLRFLYANPNKTLQELHDFSNSLCFCSPLHLSSWLSNAEKMLTFCYNALPCDYRSCLLYLTIFPNDHNIRRTSLLRKWIAEGLIAERDGLSAFDVANRCFDALLAQRFVLPGDVGNSGKVNSCRVHNLVRDFIAWVIRDDNFVYTKLPVDLAHRLPIHNGERLQQVSRIKLRASHFDDCWSMTRGCFTTKSVDPLAGISMLLRSIQESAQLGLRLNVLDLEGCKGLEKYHLNNICKIFQLKYLSLRNTDVSHLPKKIDKLQYLETLDIRQTQIKAFPGKHVILPGLKHLLAGCTNCPSKKNNLKEKESCSFSTVLMPRKIVRMGKLEILCYAEVSSGLTGLMGICQLRQLRKLGVLFQGNAACNLDYLFRQIDMLDRSLHSLSIRMERLKLAKDDARKRDDMVPASFPFSPPKFVQKLNISGIRSALLGWIGDLHQLSKITLHETSLTEHVLGILGQLGSLRCLKLQCNSTMGSSLSFRSGAFRNLVALVVQDNNLLDIIFDYGAAPRLERVILSIAAIDSLSGVQHLQQLKELELHGSARNIGEVEQAIAGHHNNPVFIHEQWNDLH >ORUFI11G23450.1 pep chromosome:OR_W1943:11:25776516:25778171:1 gene:ORUFI11G23450 transcript:ORUFI11G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGVESEGLRKGSRIQQYCHWLTAISPKFLMIMAKQGCPRSKGDADKNIISDFKISEIIELSTSESESTFCERMVAESERAEPSECAEYVESILFQKSVT >ORUFI11G23460.1 pep chromosome:OR_W1943:11:25777752:25780664:-1 gene:ORUFI11G23460 transcript:ORUFI11G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVALGAVSMVLGLIRNEARLLGGARDDVQFIMDEMESMNDLLRHLVAADRRAGGDYYFRTWMKQVMELAFDSRSCVELYMQQKKSNGHGLLGRLRGASWLPWAIAQHRVVTRIRELKARACEISERQARYMKAAAIQQPSPGAGVVVAAAGHRRRSSGRTTDSSRSEYRRIILDGDAATVCASEIIRCLDSLEQESNDQSDNTTPPPPTPPPRWLRVAGEIIRWLYPPKQSSGNIDVRLPGLRVLAVTVHDGADASAVVDKVCEDIKQSISSSSDEQQFDCVLRVSVERPPILLQILLVMLGQILPARTTTTTTTTSNSSFLEYTDEGDVRKKLADSLKGKRLLLLLSDLDYHNIWVQIQQLLASSGCSNGSTVVFSTNDTAMAEKCSPDKHIHYSPVDIYFKKAEKLLPSKLNNDDSRLKGVVREILGKCILDVQCLNMFLHALYCNPDITEQELEQLDKSLGLGQEQRDDLMIAFCYQSLPTDYKNCLWYSTVFTHEAGKVSGVRRASLVRRWVAQGLVKQNEADHCFHTINVQNLMVHHKICSTGKVKSCAVHPLVADTINKESPTVEDLLLNNQLPLDDLDLLFSIRNGIQLHRCNYNIVEFLKSLSSSPRLMLTVLDLEGRKGLKKKDLDMICKIRTLKYLNLRNTDVTQLPKQIGQLENLETLDIRGTREQEFHAVLPQLKHLLAGCIDCPTKDAVKSEESFSTVCMPRGVATMENLEILSRVKVSDSAKELVDIGDKLGQLKKLGVVLSGEKATLKDLFIQVDKLHRKLQSLSIRMETSGSWDAIDAILLRPPRLLESLHICNITSRLPPRIKELHQLAKITLRDTFLKEDALNILGTLRGLRSLRLRYHSFAEGALTFRSGQFNNLTDLEIRDNVLISITFAPGTALKLNKMVWCFTEMEMLSGVQNLMKLTHLELNGGICLEDGLKALEKDIAPYRSRISLKLNPPENDERSGVIAASS >ORUFI11G23470.1 pep chromosome:OR_W1943:11:25784987:25785673:1 gene:ORUFI11G23470 transcript:ORUFI11G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTCQLATSASSLSPSLPSSPLSLSLLSLSAGKQGGSGSGSRGARRRRQAALRPVGGASGVRWRRPGGGEAGVWRRLQSGLQRARQRRLPAAACAAASAVGGAEACRQHVSGYGCAASGLGLSGGRAASGRRAGHQSARRSAGRGRAPVMDRAAPSPRTASPTSPRRAPGRPPPFTAGVLILLRRRIDGVPRCSLPAASAASSLRVREQRARGRKGKQRKRKGKERG >ORUFI11G23480.1 pep chromosome:OR_W1943:11:25792004:25792405:1 gene:ORUFI11G23480 transcript:ORUFI11G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSEVAKVRGEAATPYSAAPFDESMLVQHSTGKAMGNREADVAAVDLGPKNSGLKICPARSLVLGS >ORUFI11G23490.1 pep chromosome:OR_W1943:11:25795779:25796786:-1 gene:ORUFI11G23490 transcript:ORUFI11G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRLSIQKEIEEKKTDLTSSYGIMELLVPTKRSVPYPLHLLNVLDLEGCRGLKKKHLKIICKKVSHLRYLGLKDTDIPCLPKHIHKLQQLETLDIRKTKVRESNMKYLFNQIEKMDKCLRSLSIWIEGPSNDDHADDPMLSLLSPPKFLQSLSISGTGALLTRWIGKLGQLSKLSLRETCLSDNDFVILGNLGCLCYLRLRYVSFEGSVLTFTNGAFMNLIILDIEDKEIRKLIFQYGATPKLEKLVWSCKCMESPEGLEDLQSLREFELNGVSQDIGIMQKDSIELCSFQDGGNGSKEKMLHKALNRKGGERIPSKKKIPFRNKVWQKIQFKL >ORUFI11G23500.1 pep chromosome:OR_W1943:11:25812250:25817903:1 gene:ORUFI11G23500 transcript:ORUFI11G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPPSKTLHQRVGVIRLETNYLRFSPLIQQEKVTAHQLDHTLLVPTWKTTPRFSPSPAATNRRIPPLAAAAEGLAMTTAPHELIGSRRAVGEEASTAVEETPAAGFCRRKFDEREDACAAFEIEHLEQLPLIRSRQGI >ORUFI11G23510.1 pep chromosome:OR_W1943:11:25817181:25818065:-1 gene:ORUFI11G23510 transcript:ORUFI11G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACTMHQKIHDFIATDVSSFMDTCLPLHLAHRFSINSGVTLEGPSRSSDILSLLDSLPGSDQWQLLKVLDLEGCTGLKNKHLKNICKILLLKYLSLRNTGVTQLPKKIEKLQCLETLDIRQTKIRAFATKSIFLPMLKHLLAGNKGSPSRNDNNSHGFEESPATVELPSGTRRMERLEILSHVDASNNVNDLIDIGQLLQLMKLGVILDGKKAGSLALLFKQIEELHGCLLTLSIQINHPATSEGTVPETDKLATLVSPPKLLQSLNISGITSGLPDWITELDQLTKITLSNT >ORUFI11G23520.1 pep chromosome:OR_W1943:11:25820345:25824405:1 gene:ORUFI11G23520 transcript:ORUFI11G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRERSSRRRMASSSTAAAALVVAALLLSSSPSPAAAAAGRGGELRMKHSDGGYSYNRTLAHIFVQYASAVYTSDLTSLFAWTCPRCQGDTKGFEMIEIIVDVENCLQAFVGVAPDPQSILIAFRGTQEHSVSNWIEDLFWKQLDVGYPGMPDAMVHHGFYTAYYNTTVRHEILESVRWARKTYGRLPINVVGHSMGGALASFCALDLSVKYGSQEVQLMTFGQPRVGNPSFAAYFSDQVPRTIRVTHQNDIVPHLPPYFCYLGEWTYHHFSREVWLHETIVGNVVTRNETICDGSGEDPTCSRSVYGRSVADHLEYYGVSLHADSRGTCQFVIGSTNMAYGTILEVDRTIIMTRYPQECTGFSRSSPEDKERFEEPRTDENQKMQSSMVGGHC >ORUFI11G23530.1 pep chromosome:OR_W1943:11:25826464:25841537:1 gene:ORUFI11G23530 transcript:ORUFI11G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAKLASERAVVVFTASNCGMCHAVTSLLVGELGVNAAVHELDKDPRGRDMERELARRLNGGGGGGRAVPAVFVGGNLVGGANRVMSLHLAGELVPILKNAGALWLYELSQMVARLASERAVVVFTKSGCCMCTAVTTLLGELAVSAAVHELDRDPLGKEMEKELARRLYGSGGRGGPAVPAVFIGGSLVGGTSKVMAMHLKGELVPLLKSAANRWIGEATAPRSISDSGGCSVHQWRRWHACQGDGPSAAAASAGGRQQRSIGNPVRAAVRQRCGDVCPCRRSAIFSKVVDGGVTSRRNGKMALFRNFRCLDGYLVGGTSKVMAVHLKGELVPMLKSAGALWL >ORUFI11G23540.1 pep chromosome:OR_W1943:11:25846567:25846875:1 gene:ORUFI11G23540 transcript:ORUFI11G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEAATATTAAATTATTTTTTAVPSSQPPRTTWTTTGGAPDHHGRRPPSRSLPIKLLKPLLLSVVLVAGFLVVAALLLLGGAGASCSRGAVDAATGGERLA >ORUFI11G23550.1 pep chromosome:OR_W1943:11:25851540:25857495:1 gene:ORUFI11G23550 transcript:ORUFI11G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMFGFSRRRMKLGRLKGHLHDPFHGSRSPARTKRSSHPNGEDQVTTSVSGRADNLAWRCSSDTFDLNGRAFENSENWAVLSTEGDKPIPRFDHAAAMVGSKMVVFGGDSGHHLLDDTKILSLDKLTWDSVAPKIRVSQGGHAPKFRPCKGHSLVPWGKNVILVGGKTDPPSDRISVWSFNTETDLWSYIEVKGDIPVARSGHTVIRAGPVLILFGGEDGKGKKLHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDVHALDFETMVWSRVKTHGHHPSPRAGCCGALCGTKWYIAGGGSKKKRHPETWVFDVLESKWSVCVVPPSSSITTKKGFSMVPLYYRDKIVLVAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPDVEPLLYEDSPPSSKELSDHLNNNCAPLYSTGSVARHSLATTVESSSGRKSLPDSLLQQPNLGSSSLRRQFRQEEECSLAHKLQKPIDDDRYKDVDDCSELPSVTNQKQRNDIYQSPDADAKAKRVGRSSSDINHQYDTKIANLIRRNMALEEQLSAAMASKDEAEKNLSLVIDSKEELEKRLSEKDREVETLKEKVTGLELAQEESNSLSNTVHADNVRLEREVAFLKAELHSTRGVLAGERARAFQLQVEVFHLKQRLQTMEGRSPTPRKPQNL >ORUFI11G23550.2 pep chromosome:OR_W1943:11:25851342:25857495:1 gene:ORUFI11G23550 transcript:ORUFI11G23550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKTPRLLQISGEKANKLQSKEDAMPKMFGFSRRRMKLGRLKGHLHDPFHGSRSPARTKRSSHPNGEDQVTTSVSGRADNLAWRCSSDTFDLNGRAFENSENWAVLSTEGDKPIPRFDHAAAMVGSKMVVFGGDSGHHLLDDTKILSLDKLTWDSVAPKIRVSQGGHAPKFRPCKGHSLVPWGKNVILVGGKTDPPSDRISVWSFNTETDLWSYIEVKGDIPVARSGHTVIRAGPVLILFGGEDGKGKKLHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDVHALDFETMVWSRVKTHGHHPSPRAGCCGALCGTKWYIAGGGSKKKRHPETWVFDVLESKWSVCVVPPSSSITTKKGFSMVPLYYRDKIVLVAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPDVEPLLYEDSPPSSKELSDHLNNNCAPLYSTGSVARHSLATTVESSSGRKSLPDSLLQQPNLGSSSLRRQFRQEEECSLAHKLQKPIDDDRYKDVDDCSELPSVTNQKQRNDIYQSPDADAKAKRVGRSSSDINHQYDTKIANLIRRNMALEEQLSAAMASKDEAEKNLSLVIDSKEELEKRLSEKDREVETLKEKVTGLELAQEESNSLSNTVHADNVRLEREVAFLKAELHSTRGVLAGERARAFQLQVEVFHLKQRLQTMEGRSPTPRKPQNL >ORUFI11G23550.3 pep chromosome:OR_W1943:11:25851478:25857495:1 gene:ORUFI11G23550 transcript:ORUFI11G23550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMFGFSRRRMKLGRLKGHLHDPFHGSRSPARTKRSSHPNGEDQVTTSVSGRADNLAWRCSSDTFDLNGRAFENSENWAVLSTEGDKPIPRFDHAAAMVGSKMVVFGGDSGHHLLDDTKILSLDKLTWDSVAPKIRVSQGGHAPKFRPCKGHSLVPWGKNVILVGGKTDPPSDRISVWSFNTETDLWSYIEVKGDIPVARSGHTVIRAGPVLILFGGEDGKGKKLHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDVHALDFETMVWSRVKTHGHHPSPRAGCCGALCGTKWYIAGGGSKKKRHPETWVFDVLESKWSVCVVPPSSSITTKKGFSMVPLYYRDKIVLVAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPDVEPLLYEDSPPSSKELSDHLNNNCAPLYSTGSVARHSLATTVESSSGRKSLPDSLLQQPNLGSSSLRRQFRQEEECSLAHKLQKPIDDDRYKDVDDCSELPSVTNQKQRNDIYQSPDADAKAKRVGRSSSDINHQYDTKIANLIRRNMALEEQLSAAMASKDEAEKNLSLVIDSKEELEKRLSEKDREVETLKEKVTGLELAQEESNSLSNTVHADNVRLEREVAFLKAELHSTRGVLAGERARAFQLQVEVFHLKQRLQTMEGRSPTPRKPQNL >ORUFI11G23560.1 pep chromosome:OR_W1943:11:25857687:25862038:1 gene:ORUFI11G23560 transcript:ORUFI11G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLAGFRAPRPRVPAVSAAARSLPPNTSFFSGGRRRRRLLSSSVVCMAEPYLIAKLDSAEKAWKEMSVRLADPDIVSDPSEYQKLAQSVAELDQVVSTYRQFKDCEKQIEETKVLQKENEDDPDMAEMIDSEMESLSNQLEELEEKLKLLLLPNDPLDARNILLEVRAGAGGDEAGIWAGDLVRMYQKYCERNSWKFKPVSSSEAEKGGFKTYVMEVKGKQVYSKLKFESGVHRVQRVPQTETMGRVHTSTATVAIMPEADEVDVVIDPKDIELKTARSGGAGGQNVNKVETAVDLIHKPTGIRIFCTEERSQLQNRERAFQLLRAKLYEIKLREQQESIRNQRKLQVGTGARSEKIRTYNYKDNRVTDHRLKMNFELTGFLMGDIESAVQSCSSMEQKELLEEMATSVGAAKV >ORUFI11G23570.1 pep chromosome:OR_W1943:11:25862648:25864322:-1 gene:ORUFI11G23570 transcript:ORUFI11G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADDDELRRRAAALREVFGDSSDSEADDLPVGGAGREQWRWEAVEGVRGLWLCAAFLSADEQSRLLTAIRREGWFSDARNQAMRFGDLPSWAVELSALIHEAICFGDVRVGCGLELKNEDEDACPLPSDLLWRKPLFDQMIANRYEPGEGICAHVDLMRFDDGIAIVSLESPCVMHFSRAEQEVPICETLESVHAEPTKIPVYLNPGSLVLMSGDARYLWKHEINRKPGAQQWGGRELEQQIRTSITLRKLLPSPN >ORUFI11G23580.1 pep chromosome:OR_W1943:11:25864564:25866701:1 gene:ORUFI11G23580 transcript:ORUFI11G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVLYLRGVPEDAEIEDAE >ORUFI11G23590.1 pep chromosome:OR_W1943:11:25869439:25872323:-1 gene:ORUFI11G23590 transcript:ORUFI11G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLLLAPGGRRAAMALMLAVLAACLSSAANVGAVTSAEVSYIAHRQLLAMKEAGVSEEGDLPSDDFDFDDRVGVAVGDFPNPRLRKAYIALQAWRRAFYSDPKGYTNNWTGNDVCSYNGVICYAAIDDPKIMVVAGIDLNGADIAGYLPPELGLLTDLAFFHINTNRFCGIIPKSMSRLSLLHEFDVSHNRFVGVFPHVCLEMAVLKYLDIRFNDFEGELPPALFDKELDAIFVNSNRFVGYIPGNLGNSTASVIVFANNAFVGCIPKSIGCMAKTLDEISFMNNKLDGCVPMEMGYLQNTYVIDISGNVLVGTLPTSLSNCSKLEQLDVSRNVFTGIVHESICELPVLVNFSFAYNFFNSESAPCMPSESSKVNLDDKDNCLGALRPAQKTTLQCAPVLARPVDCSKHVCPGHPTPGKPSEPPEKPPLIPVPVGPPEKSPAYEEPPAAPSTPTSHGPPPPEEESPEEPPEEPTPSPTPSSPESPAKMAPPPAPAIKGVTSPPAEYGAPPPPSSGWLPKSPERKKAPPPQAEPPTEYSPPATPESSPPPEGKSPPTPTASHSPPPVPEGHTPSPPKSGPPAGESPPTPESKASPPPTPEEYTPSPPKSTPPAEKSPPTPESKASSPPPPAPEGHTPSPPESTPPSEKSPPTPESKASSPPPPTPEGHTPSPPKSTPPTEKSPPTPESESSSPPPPAPEGHMPSPPKSTPPVEKSPPTPESEASSPPPPAPEGHTPSPPKSSPPEEKSPPIPPTSHTSPPTPEEYTPSPPKSSPPEEKSPPPHSPEKSPPSEAHPTSPPPSEKSPPTPAEESSPPTPEKSPSPPSGHEGTPPSPVKSSSPPPEAHVSSPPPEKSSSPPPEAHVSSPPPPPEKSPPPPETKSPPTPTPEISPPPEGKSPPSHTPESSSPPSKESEPPPTPTPKSSPPSHEEREVPTITYSGELIPTI >ORUFI11G23600.1 pep chromosome:OR_W1943:11:25906874:25907221:1 gene:ORUFI11G23600 transcript:ORUFI11G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLVPKIIQPLNLGSGEKNGAPSPSLRLNHGLLRRRHRSGSSAAATAYSAAGSSASASAAADDAEADLAAPKERKRNTADTVTTGRKRNTAALERKRNTAVARKRNTVAADRDF >ORUFI11G23610.1 pep chromosome:OR_W1943:11:25908191:25911805:-1 gene:ORUFI11G23610 transcript:ORUFI11G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCMSLSNNFPQSDRKNEVSQQHLAGTLTLPRFHPPPIFFLLLPPAPGIHFPHSTSKAPSPTSMELAMGAIGSVLPRLAELLKEEYKLQKGVKNDVESLSRELAAMHIALERVAKVPREMVELDVKLWASNVRELSYAIEDAIDAFVVRVAEGSNLVDPINQGFFKRILRKTSDLIRKGKARREIAEGICDIKELADEVAELRARYKFDAAAAATTPATATVDPRILALYKDITELVGIEEARDELIGMLSRPADDDQLNIVSVVGFGGLGKTTLAKVVYDKLRGQFDCAAFVSVGQNPDLKKVLTDMIYDLDRQRYISIHNSRMDERLLINELRDFVQNKRYIIIIDDIWDEKLWEYIKCAFYRNKLCSRIITTTRKVTVSKACCSHDDAIYRMKPLSDDASKRLFYKRIFKHDNGCPPELEQVSIGILKKCAGMPLAIITIASLLANKQVQTRDQWHDVFNSIGRGLTKEPKVEDMTKILSFSYYDLPCHLKTCLLYLSIFPEDFIISRDHLVRMWIAEGVVQKTTNQKDDVLVELGENYFYELINRSMIQPWDENDFMYYKDGYDNAIISCRVHDMVLDLILSLSNEENFVTILDQERGASSLSKAHRISLRDCNVVHTIPEATVPKTLDVHRSLSIKELPPAIYQLRRLMFLYFHENISLSDRIGELTSLLELSPVDVFRRTSSIDVNGDSFSLLKALGNLTELRDLTIQVWSSEVSSIGRILGEVLCNLHKLRRLILQGVHRIVHLDSLPEFLDLPQHIHVLGIKPMYFFTVLPVWFNSPIDLPYLSFLDLSICDMRQEHVEKLGRLPALQVLWIQINRESEWLVIGAGAFPSLTDCTFIQYCGLVFQPGAMPKVRKLEFKINVVDSEDINFDVGLGNLASIEEVTIDLLCEDAVEWEVEEVENVLRHVADIHPKHPTLEMRRSDEDKMVLDDEEEQQSEDPMEDSDMEENRAPDSMASESS >ORUFI11G23610.2 pep chromosome:OR_W1943:11:25908191:25911805:-1 gene:ORUFI11G23610 transcript:ORUFI11G23610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCMSLSNNFPQSDRKNEVSQQHLAGTLTLPRFHPPPIFFLLLPPAPGIHFPHSTSKAPSPTSMELAMGAIGSVLPRLAELLKEEYKLQKGVKNDVESLSRELAAMHIALERVAKVPREMVELDVKLWASNVRELSYAIEDAIDAFVVRVAEGSNLVDPINQGFFKRILRKTSDLIRKGKARREIAEGICDIKELADEVAELRARYKFDAAAAATTPATATVDPRILALYKDITELVGIEEARDELIGMLSRPADDDQLNIVSVVGFGGLGKTTLAKVVYDKLRGQFDCAAFVSVGQNPDLKKVLTDMIYDLDRQRYISIHNSRMDERLLINELRDFVQNKRYIIIIDDIWDEKLWEYIKCAFYRNKLCSRIITTTRKVTVSKACCSHDDAIYRMKPLSDDASKRLFYKRIFKHDNGCPPELEQVSIGILKKCAGMPLAIITIASLLANKQVQTRDQWHDVFNSIGRGLTKEPKVEDMTKILSFSYYDLPCHLKTCLLYLSIFPEDFIISRDHLVRMWIAEGVVQKTTNQKDDVLVELGENYFYELINRSMIQPWDENDFMYYKDGYDNAIISCRVHDMVLDLILSLSNEENFVTILDQERGASSLSKAHRISLRDCNVVHTIPEATVPKVRFLSLLRADVHMTPAITMFPILRVLDLYNCHFEESYHLKHLGNLFHLRYLRLHCGCITKLPNEIGNLQFLQTLDVHRSLSIKELPPAIYQLRRLMFLYFHENISLSDRIGELTSLLELSPVDVFRRTSSIDVNGDSFSLLKALGNLTELRDLTIQVWSSEVSSIGRILGEVLCNLHKLRRLILQGVHRIVHLDSLPEFLDLPQHIHVLGIKPMYFFTVLPVWFNSPIDLPYLSFLDLSICDMRQEHVEKLGRLPALQVLWIQINRESEWLVIGAGAFPSLTDCTFIQYCGLVFQPGAMPKVRKLEFKINVVDSEDINFDVGLGNLASIEEVTIDLLCEDAVEWEVEEVENVLRHVADIHPKHPTLEMRRSDEDKMVLDDEEEQQSEDPMEDSDMEENRAPDSMASESS >ORUFI11G23620.1 pep chromosome:OR_W1943:11:25924649:25926527:-1 gene:ORUFI11G23620 transcript:ORUFI11G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARDDSARNDDDTEAMDHRDPRVSAVTGAEESHSKLRQGNGSGLMEQASVGNHEFQSPLPPGGRPNSSKAPELAEASEEDHGLRRGLMPPEPIKASGAHQGLRRGPWPPEPAKAFGAVQGLRGRPRPSGLPEAFGVIQGLRSRAGPSEPTMTLGASRCLRSQ >ORUFI11G23630.1 pep chromosome:OR_W1943:11:25929967:25931422:-1 gene:ORUFI11G23630 transcript:ORUFI11G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNLNNNIDDLENPNADDILDLEKGLNAHVHEGTSMRKNKKKKKNDDDDDDDDSADAQSAMGLMMLFLYYVFYVFYMMATCEEWWHAALGIGIATAFLLLSFCCLMPRNNKPANSQKKKKTPSTTQTDLSTILLSHP >ORUFI11G23640.1 pep chromosome:OR_W1943:11:25937270:25941151:-1 gene:ORUFI11G23640 transcript:ORUFI11G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMLPNKTTTTTTNNTTELKEGTDDGGSSINGLIGMALLGLYLYYIFFVFYMVATCEKWWHAALAIGIATAFLVLSFCLAAMQSNKKPSFFFFFPEDSASGHYPN >ORUFI11G23650.1 pep chromosome:OR_W1943:11:25945238:25949611:1 gene:ORUFI11G23650 transcript:ORUFI11G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALCAGDSIVGQGSDLCVEDSSPSLRRGDSASAMGRVKLKIKKLENSSGRHVTYSKRRSGILKKAKELSILCDIPLILLMFSPNDKPTICVGDHSSIEDVITKYAQQTPQERAKRKLESLEALKKTFKKLDHDVNIQDFLGSGGQTVEMLIKSIVWQELSSHLGALQCQMADVEKRLSYWSDPEKVENIDHIRAMEQSLKESLNRIRIHKENFAKQHLMSLQCAAAQFQNDMKLPLGLTGDPNTSSWFHGGGGAEAQQPMMLPEDPSLLHQRDIGCSASTSLQSYPGYFSMGKQSTDNAGGGEQHHHAAVQQQPEFSQADCLTSLQLGAQFPYPSAFDNAGLLSDRLFDNAAAAAAAMDFGGHYDLPRPGDEASFQNWASAACGATMYDHHQQQQQQQQPAQLPAAATVEAPSFNHPSPHRQLMI >ORUFI11G23650.2 pep chromosome:OR_W1943:11:25945238:25949611:1 gene:ORUFI11G23650 transcript:ORUFI11G23650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALCAGDSIVGQGSDLCVEDSSPSLRRGDSASAMGRVKLKIKKLENSSGRHVTYSKRRSGILKKAKELSILCDIPLILLMFSPNDKPTICVGDHSSIEDVITKYAQQTPQERAKRKLESLEALKKTFKKLDHDVNIQDFLGSGGQTVEELSSHLGALQCQMADVEKRLSYWSDPEKVENIDHIRAMEQSLKESLNRIRIHKENFAKQHLMSLQCAAAQFQNDMKLPLGLTGDPNTSSWFHGGGGAEAQQPMMLPEDPSLLHQRDIGCSASTSLQSYPGYFSMGKQSTDNAGGGEQHHHAAVQQQPEFSQADCLTSLQLGAQFPYPSAFDNAGLLSDRLFDNAAAAAAAMDFGGHYDLPRPGDEASFQNWASAACGATMYDHHQQQQQQQQPAQLPAAATVEAPSFNHPSPHRQLMI >ORUFI11G23660.1 pep chromosome:OR_W1943:11:25951494:25954685:-1 gene:ORUFI11G23660 transcript:ORUFI11G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRLVVVALVLLAVVELVHGDGWRWAPGSRPHSVTITEFGAVGDGKTLNTLPFQNAVFYARSFADKGGAQLYVPKGRWLTGSFNLTSHLTLFLEEEAVIIGTKDPSQWPIVEPLPSYGQGLDLPGPRHRSLINGYNLSDVVITGNNGVIDGQGSVWWDWLHSHELNHSRPHIVEFLHSEEVVISNLTFLNSPAWSIHPVYCSNVKVHNVTIKTSLDAPLTDGIVPDSCSNVCIEDSSISVGHDAISLKSGWDNYGISFGRPTSDIHISRVDLQASSGAALAIGSEMSGGISDIHVDHIRIGSSSKGISFRTTPGRGGYIAEVVVADVVMDSVHLAIEFTGNWSSHPDDHFDPSFLPVIDQITLKNMEGTNISVAGVLSGIEGDPFSAICLSNLNFSIADSAPSSAWTCSNVHGYSELVFPKPCSELHDTSTNSSICFSLSSYSALAVL >ORUFI11G23670.1 pep chromosome:OR_W1943:11:25965753:25969787:1 gene:ORUFI11G23670 transcript:ORUFI11G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGVASAAAAATAVLVYVALSSSGGGGEAARLRRPEEEDEEESKKRWPERAPASWREAAAVAARTVGFTYRETLGRWPLGDIAFGIRHYMRIQGNLQHEYTGRSCVPLEGPVTRQELIAILRYLRLCMFFAKKPYEVFLEFGGYGQSDILIRKSKARVMKPSFTIVRDKSTKSFILFIRGATSVKDRLTAATAAEVPFHHVVLKEGRVSNVVVGHVHCGMVAAARWIADQAIPCLSRAVEQFPDYRIKIIGHSMGAGIAAILTYMLRENKKLSSSSCIAFGPAACMTWDLAESGKEFVTTVVNRNDLVPSFGKVSAANLHTEVIGSSWAHDLLEQIQQTRILGFVNRSVTFMQSQFPFISNPRSKVADVDLMLSGTSEAETILSVDARAAIKKHSTLSFWSSAPSNRKTLESSLMNPTQSIAALMSTYVGTDKDTEEHKNQNSDTKELYRQDKEADAEKNLERFLEALRSSPSASQEPLQFYPPGRIMHMVVLPSPKEPSSIDQCSQDECVALYETPRSMYSKIRLARSMIRDHYMPRYIETMEMLIDKFEEEDSHT >ORUFI11G23680.1 pep chromosome:OR_W1943:11:25974079:25975432:1 gene:ORUFI11G23680 transcript:ORUFI11G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATIAPDISKTASNFSSWEDTAILETIRGRLGLAEDYCLKRAVSLLATKTTAAAANAEEDDRRREVLRSSMVESSFPQDEDDVHAGLFPYKAGRITVQNYLLEQLMMQASGGGDRRLQCIANYLKSLRRSSIVRLLNVLDLEGCTGFEEETDLRTICTEATHLKYLSLRNTGVTQLPKHIQNLQQLETLDVRGTNVSKLDVVLPMLKELHSGQSYRSRRRRERLLQTLSISGLHQLVKITLRETYLTGDALYVLGNLRSLRCLRFLPKALAEGIIKFSAGEFSNLVHLFFQEDYIISVIFDHETAPRLETVVFDVKIITSLHGIRNLPSLKDLQIKGELRGEQAVQQAIADHPNSSDYESKIQGKDRYRYSPGLFVLCCICC >ORUFI11G23690.1 pep chromosome:OR_W1943:11:25984170:25984693:1 gene:ORUFI11G23690 transcript:ORUFI11G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLCVLLEKQHAAAMTTATAAAARTAQVISKTAVLSTAGGGGSKIHGCYSSSSSSSRAPVVVATTASFLHRCFLCRRELAGGDDIYIYRGDRAFCSDDCRFRHILTEEEEEDGDMSCRAKDAAVAAPTRRRSRNRRAVAGCGGSGFFAY >ORUFI11G23700.1 pep chromosome:OR_W1943:11:25986921:25988058:1 gene:ORUFI11G23700 transcript:ORUFI11G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKSAVSFSRTEDTAQLHEETLNPNFPFVQFSNPQPVPCARRGAVGRRAARARVGGAAAGTGSAAQRREQGKGSHQPGTEGRQSSFKKWLLVFLLSSCDIAGYSLCFIM >ORUFI11G23710.1 pep chromosome:OR_W1943:11:25989139:25990983:1 gene:ORUFI11G23710 transcript:ORUFI11G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLINAVDHYEAMVSHGIETNCHIVSYLLQCFRKLGMTSEAIAYFLKFKDSGLHLDKVIYNIAMDTYCKNGNMNEAVKLLNEMKYGGLTPDKIHYTCLISGYCLKGEMQNAQQGESIG >ORUFI11G23720.1 pep chromosome:OR_W1943:11:25995423:25997666:1 gene:ORUFI11G23720 transcript:ORUFI11G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMSNPRFNPDIFVRQRMQLPGGEEDFRFPKSGVYTSLQISLSPHAIDGLVKGLEANQRLILFLKNTEYNSHFKISFRATEAREV >ORUFI11G23730.1 pep chromosome:OR_W1943:11:25997704:26007715:-1 gene:ORUFI11G23730 transcript:ORUFI11G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGTTVAQKVRASVAVVRGATLKKRRNSPVVSFLAPRKTSRGSTAEWRGADLHRRGEQSRGGEGILGSSIMAGSLAASAFFPGPGSSPAASARSSKNAAVTGELPENLSPCNAPKTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKKPDMLVDTFGFGRIIQDGMVFRQNFMIRSYEIGADRTASIETLMNHLQETALNHVRTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVEQYPAWGDTVQVDTWVAAAGKNGMRRDWHVRDYNSGRTILRATSVWVMMHKKTRRLSKMPDEVRAEIGPYFNDRSAITEEQSEKLAKTGNKVGDDATEQFIRKGLTPRWGDLDVNQHVNNVKYIGWILEVSAPISVLEKHELASMTLDYRKECGRDSVLQSLTTVSGECTSIGADKQASAIQCDHLLQLESGADIVKAHTEWRPKRSHAAAENAAPISVLEKHELASMTLDYRKECGRDSVLQSLTTVSGECTSIGADKQASAIHIGADKQASAIQCDHLLQLESGADIVKAHTEWRPKRSHAAAENA >ORUFI11G23730.2 pep chromosome:OR_W1943:11:25997702:26007715:-1 gene:ORUFI11G23730 transcript:ORUFI11G23730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGTTVAQKVRASVAVVRGATLKKRRNSPVVSFLAPRKTSRGSTAEWRGADLHRRGEQSRGGEGILGSSIMAGSLAASAFFPGPGSSPAASARSSKNAAVTGELPENLSPCNAPKTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKKPDMLVDTFGFGRIIQDGMVFRQNFMIRSYEIGADRTASIETLMNHLQETALNHVRTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVEQYPAWGDTVQVDTWVAAAGKNGMRRDWHVRDYNSGRTILRATSVWVMMHKKTRRLSKMPDEVRAEIGPYFNDRSAITEEQSEKLAKTGNKVGDDATEQFIRKGLTPRWGDLDVNQHVNNVKYIGWILEVSAPISVLEKHELASMTLDYRKECGRDSVLQSLTTVSGECTSIGADKQASAIHIGADKQASAIQCDHLLQLESGADIVKAHTEWRPKRSHAAAENA >ORUFI11G23730.3 pep chromosome:OR_W1943:11:25997702:26007715:-1 gene:ORUFI11G23730 transcript:ORUFI11G23730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGTTVAQKVRASVAVVRGATLKEVQSWQGLLPPQHSSQVQAHLLQHQLEAPRMLLAPKTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKKPDMLVDTFGFGRIIQDGMVFRQNFMIRSYEIGADRTASIETLMNHLQETALNHVRTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVEQYPAWGDTVQVDTWVAAAGKNGMRRDWHVRDYNSGRTILRATSVWVMMHKKTRRLSKMPDEVRAEIGPYFNDRSAITEEQSEKLAKTGNKVGDDATEQFIRKGLTPRWGDLDVNQHVNNVKYIGWILEVSAPISVLEKHELASMTLDYRKECGRDSVLQSLTTVSGECTSIGADKQASAIQCDHLLQLESGADIVKAHTEWRPKRSHAAAENAAPISVLEKHELASMTLDYRKECGRDSVLQSLTTVSGECTSIGADKQASAIHIGADKQASAIQCDHLLQLESGADIVKAHTEWRPKRSHAAAENA >ORUFI11G23740.1 pep chromosome:OR_W1943:11:25999444:26005272:1 gene:ORUFI11G23740 transcript:ORUFI11G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPDLRSVPVWAFEWGRSREEYVVDCLPSDRDIGDGYSEADGSDAFVAAEGRIQLTLQDKLQGNRGKGRLDNWKQSACSSPLK >ORUFI11G23750.1 pep chromosome:OR_W1943:11:26009646:26012014:-1 gene:ORUFI11G23750 transcript:ORUFI11G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKTQLLMKLGLLLLALASQPSPSQQSQAFFEIWLGQNSMQYGTPTMDTPSIAGDIVAANATAVHAAVDPAGGGKQYRTIADALAAVPDANNTRRYVFRLKPGQVFREKVAVGEGKRYVTFESDPANPAVVVWNNTAATPGKDGKPLGAAGSAIVAIEASNFIANGVVFKNDGPTGGKQGQTVALRVAEKRASFFNCTIEGGQGVLYDEMGTHYFRNCTINGGVDAIFGFGRSFYDDCRIDLQARPRRAHGASDKRPPSHTKQINSTWNGFAFHNCVIETGGADDKVYLGRAWEDSSFVAYTYSKIANEIVPIGYDDHGNIQKPPKGSGFYYGVYNCSGPGLDASKKMGWAEEIADSNVPFAYSYYAFVDGESWVVPRPADHIEIHM >ORUFI11G23760.1 pep chromosome:OR_W1943:11:26012078:26017743:-1 gene:ORUFI11G23760 transcript:ORUFI11G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKSLLFLQLGLLVAASLPPASKQMEVSLKKWLADNQLLYTTPDAEDTAMDAGVVTASKAQVHVGVDPAGSGSGGGKRRTIAAALAGVPDSGGGEPKSYELSLKPGQVFREKVVVGKGKAYVTLKSDPANPAVINDAPSGLEGGKTVALRHPSSNARSRPAGKARYTYDDDGQHYFKECTINGGADVIFGFGRSFYDDCRIISKKDPATVVYSTTQAQAQRAPKYDGLDGTTNPAFLGFSFHNCTIEAGAGDSGGADDKVYLGRAWDDSSFVVFSNTMMARSGDYYGVYKCSGPGLEASKKMGWAKELTDGFPYANQEFISGETRS >ORUFI11G23770.1 pep chromosome:OR_W1943:11:26023500:26026655:-1 gene:ORUFI11G23770 transcript:ORUFI11G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANINMADTAPSCDTYLLFNGETLLPIGVRAFIYTAVLAYCFIGLSAITGRHSREVVTVDPHTNATIVKHEKVWNYTIADVALLAFGTSFPQISLATIDAIRNLGQLTAGGLGPGTLVGSAAFDLFPIHAVCVVMPRAGSKKKISDLGVWTPRVITLWEALLTVLQYGLLLLHAYAQDKRWPYVSIPLARGERPEDWVPAEDASVDYDDNYDGIGDILPGQNEDIVDIFSAHSYSNEGYHHVSEEDVEESSTGLTLKNKWEDTHWFSIWWQQFVDAATLESSVSRKMDSTCLRVIGISWNLIIAPWKMLFAFVPPYEIAHGWIAFICSLIFISGIAYGVTKITDQISCVTGVSPYVIAFTALAAGTSWPDLVASKIAAERQITADSAIANITCSNSVNIYVGIGVPWLVDTMYNYFVYQKPLYIDNAAGLSFSLLVFFATSFGCITVLVLRRVILGAELGGPRMWAWATSVYFMILWVVFVVLSSLKISGVI >ORUFI11G23770.2 pep chromosome:OR_W1943:11:26023500:26026309:-1 gene:ORUFI11G23770 transcript:ORUFI11G23770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIMRHSREVVTVDPHTNATIVKHEKVWNYTIADVALLAFGTSFPQISLATIDAIRNLGQLTAGGLGPGTLVGSAAFDLFPIHAVCVVMPRAGSKKKISDLGVWTPRVITLWEALLTVLQYGLLLLHAYAQDKRWPYVSIPLARGERPEDWVPAEDASVDYDDNYDGIGDILPGQNEDIVDIFSAHSYSNEGYHHVSEEDVEESSTGLTLKNKWEDTHWFSIWWQQFVDAATLESSVSRKMDSTCLRVIGISWNLIIAPWKMLFAFVPPYEIAHGWIAFICSLIFISGIAYGVTKITDQISCVTGVSPYVIAFTALAAGTSWPDLVASKIAAERQITADSAIANITCSNSVNIYVGIGVPWLVDTMYNYFVYQKPLYIDNAAGLSFSLLVFFATSFGCITVLVLRRVILGAELGGPRMWAWATSVYFMILWVVFVVLSSLKISGVI >ORUFI11G23780.1 pep chromosome:OR_W1943:11:26032311:26035089:1 gene:ORUFI11G23780 transcript:ORUFI11G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAGLKSVDGAHEESIWAAAWVPAADHRPAALLLTGALDETVRLWAPDDLASAAASPSRGHALGVVSLAAHPAGALAAAVSLDSYVRVFDVDSGSSVATLEAPPSEVWGIQFHPKGSALAAAGGGSGSVKLWDTEKWKPITSLAVPRPEGARPDKTGSGKFVLSVAWSPDGKLLACGSMDGTIAVYDAVRMKFLHHLEGHHMPVRSMVFSPVDPHVLFTASDDCHIHIYDAKEKSLIGAMSGHASWVLSIDVSPDGMAVATGSSDRTVRLWDINTRASVQTMSNHNDQVWAVAFRPPGGTGVRAGRLASVSDDKSITLYDYS >ORUFI11G23790.1 pep chromosome:OR_W1943:11:26041914:26043704:-1 gene:ORUFI11G23790 transcript:ORUFI11G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYREIENGILWEVDGKWVVQGAIDVDIGANPSAEGGGDDEGVDDQAVKVVDIVDTFRLQEQPPFDKKQFVTFMKRYIKNLSAKLDAEKQEEFKKNIEGATKYLLGKLKDLQFFVGESMHDDGGLVFAYYKDGATDPTFLYFSHGLKEVKC >ORUFI11G23800.1 pep chromosome:OR_W1943:11:26045191:26045514:1 gene:ORUFI11G23800 transcript:ORUFI11G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPPVMACRATPPPLATAVVSAEGGRRGWKEPPPLPPNPFSATESTRGRHRRPICGGRKARGGGGSHRHRLLHPPDLAREEGEKGRRAADSVPRGRAPPVELVEDG >ORUFI11G23810.1 pep chromosome:OR_W1943:11:26050143:26056061:-1 gene:ORUFI11G23810 transcript:ORUFI11G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAATAVAAVRATAGSPAAVRGMHARILKEGLAHHPPNPAALVSAYAKSRLLPDALHLFDETPRRDIYIYSSLLTAVSHSASPELALPILRCMLSADALHPDHFVISSVASVFARLRSRRLGRQLHAHFVASPYNGDDVVKSSLVDMYCKCGSPDDGRKVFDSMSAKNSVVWTALVSGYASNGRSEEALQLFRSMPGRNLFAWTALISGLVNTGESVGAVELFVEMRRDGVRIDDAFVLSIVIGASADLAAFVLGRQLHGSAMRLGFLSNMIVGNALIDMYSKCSDILSAREVFEGITFRDVISWTTMVVGEAQHGRAEEALALYDRMVLAGAKPNEVTFVGLIYACSHAGLVQKGRQLFESMKNEYGITPRLQHYTCYLDLLSRSGHLLEAEELMTTMPYEPDEATWGALLSACTKYKDAEMCIRISDKLLELRPKDSSTYILLSNVYAVNGKWDSVAKVRKCMIGLEIRKEPGYSWIEAGREFRLFHAGEVPLDVREEIMVFLEEMVLEMRKRGYVPDTSSVMHDLEESEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRVCVDCHTVMKLISEITHRKIVVRDSSRFHHFEGGKCSCSEFWEDLSSGREDILWVVDDRTASNMQRNKWTMRGPMIREGQTTAAHMTGKGRTQLREASTHWAACGGWAVVSGRADEICSLTKGKR >ORUFI11G23810.2 pep chromosome:OR_W1943:11:26050143:26056061:-1 gene:ORUFI11G23810 transcript:ORUFI11G23810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAATAVAAVRATAGSPAAVRGMHARILKEGLAHHPPNPAALVSAYAKSRLLPDALHLFDETPRRDIYIYSSLLTAVSHSASPELALPILRCMLSADALHPDHFVISSVASVFARLRSRRLGRQLHAHFVASPYNGDDVVKSSLVDMYCKCGSPDDGRKVFDSMSAKNSVVWTALVSGYASNGRSEEALQLFRSMPGRNLFAWTALISGLVNTGESVGAVELFVEMRRDGVRIDDAFVLSIVIGASADLAAFVLGRQLHGSAMRLGFLSNMIVGNALIDMYSKCSDILSAREVFEGITFRDVISWTTMVVGEAQHGRAEEALALYDRMVLAGAKPNEVTFVGLIYACSHAGLVQKGRQLFESMKNEYGITPRLQHYTCYLDLLSRSGHLLEAEELMTTMPYEPDEATWGALLSACTKYKDAEMCIRISDKLLELRPKDSSTYILLSNVYAVNGKWDSVAKVRKCMIGLEIRKEPGYSWIEAGREFRLFHAGEVPLDVREEIMVFLEEMVLEMRKRGYVPDTSSVMHDLEESEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRVCVDCHTVMKLISEITHRKIVVRDSSRFHHFEGGKCSCSEFWEDLSSGREDILWVVDDRTASNMQRNKWTMRGPMIREGQTTAAHMTGKGRTQLREASTHWAACGGWAVVSGRADEICSLTKGKR >ORUFI11G23810.3 pep chromosome:OR_W1943:11:26050143:26056061:-1 gene:ORUFI11G23810 transcript:ORUFI11G23810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAATAVAAVRATAGSPAAVRGMHARILKEGLAHHPPNPAALVSAYAKSRLLPDALHLFDETPRRDIYIYSSLLTAVSHSASPELALPILRCMLSADALHPDHFVISSVASVFARLRSRRLGRQLHAHFVASPYNGDDVVKSSLVDMYCKCGSPDDGRKVFDSMSAKNSVVWTALVSGYASNGRSEEALQLFRSMPGRNLFAWTALISGLVNTGESVGAVELFVEMRRDGVRIDDAFVLSIVIGASADLAAFVLGRQLHGSAMRLGFLSNMIVGNALIDMYSKCSDILSAREVFEGITFRDVISWTTMVVGEAQHGRAEEALALYDRMVLAGAKPNEVTFVGLIYACSHAGLVQKGRQLFESMKNEYGITPRLQHYTCYLDLLSRSGHLLEAEELMTTMPYEPDEATWGALLSACTKYKDAEMCIRISDKLLELRPKDSSTYILLSNVYAVNGKWDSVAKVRKCMIGLEIRKEPGYSWIEAGREFRLFHAGEVPLDVREEIMVFLEEMVLEMRKRGYVPDTSSVMHDLEESEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRVCVDCHTVMKLISEITHRKIVGRSFIREGGHTGQTTAAHMTGKGRTQLREASTHWAACGGWAVVSGRADEICSLTKGKR >ORUFI11G23810.4 pep chromosome:OR_W1943:11:26050143:26056061:-1 gene:ORUFI11G23810 transcript:ORUFI11G23810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAATAVAAVRATAGSPAAVRGMHARILKEGLAHHPPNPAALVSAYAKSRLLPDALHLFDETPRRDIYIYSSLLTAVSHSASPELALPILRCMLSADALHPDHFVISSVASVFARLRSRRLGRQLHAHFVASPYNGDDVVKSSLVDMYCKCGSPDDGRKVFDSMSAKNSVVWTALVSGYASNGRSEEALQLFRSMPGRNLFAWTALISGLVNTGESVGAVELFVEMRRDGVRIDDAFVLSIVIGASADLAAFVLGRQLHGSAMRLGFLSNMIVGNALIDMYSKCSDILSAREVFEGITFRDVISWTTMVVGEAQHGRAEEALALYDRMVLAGAKPNEVTFVGLIYACSHAGLVQKGRQLFESMKNEYGITPRLQHYTCYLDLLSRSGHLLEAEELMTTMPYEPDEATWGALLSACTKYKDAEMCIRISDKLLELRPKDSSTYILLSNVYAVNGKWDSVAKVRKCMIGLEIRKEPGYSWIEAGREFRLFHAGEVPLDVREEIMVFLEEMVLEMRKRGYVPDTSSVMHDLEESEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRVCVDCHTVMKLISEITHRKIVGRSFIREGGHTGQTTAAHMTGKGRTQLREASTHWAACGGWAVVSGRADEICSLTKGKR >ORUFI11G23810.5 pep chromosome:OR_W1943:11:26050143:26056061:-1 gene:ORUFI11G23810 transcript:ORUFI11G23810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAATAVAAVRATAGSPAAVRGMHARILKEGLAHHPPNPAALVSAYAKSRLLPDALHLFDETPRRDIYIYSSLLTAVSHSASPELALPILRCMLSADALHPDHFVISSVASVFARLRSRRLGRQLHAHFVASPYNGDDVVKSSLVDMYCKCGSPDDGRKVFDSMSAKNSVVWTALVSGYASNGRSEEALQLFRSMPGRNLFAWTALISGLVNTGESVGAVELFVEMRRDGVRIDDAFVLSIVIGASADLAAFVLGRQLHGSAMRLGFLSNMIVGNALIDMYSKCSDILSAREVFEGITFRDVISWTTMVVGEAQHGRAEEALALYDRMVLAGAKPNEVTFVGLIYACSHAGLVQKGRQLFESMKNEYGITPRLQHYTCYLDLLSRSGHLLEAEELMTTMPYEPDEATWGALLSACTKYKDAEMCIRISDKLLELRPKDSSTYILLSNVYAVNGKWDSVAKVRKCMIGLEIRKEPGYSWIEAGREFRLFHAGEVPLDVREEIMVFLEEMVLEMRKRGYVPDTSSVMHDLEESEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRGRSFIREGGHTGQTTAAHMTGKGRTQLREASTHWAACGGWAVVSGRADEICSLTKGKR >ORUFI11G23810.6 pep chromosome:OR_W1943:11:26050143:26056061:-1 gene:ORUFI11G23810 transcript:ORUFI11G23810.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAATAVAAVRATAGSPAAVRGMHARILKEGLAHHPPNPAALVSAYAKSRLLPDALHLFDETPRRDIYIYSSLLTAVSHSASPELALPILRCMLSADALHPDHFVISSVASVFARLRSRRLGRQLHAHFVASPYNGDDVVKSSLVDMYCKCGSPDDGRKVFDSMSAKNSVVWTALVSGYASNGRSEEALQLFRSMPGRNLFAWTALISGLVNTGESVGAVELFVEMRRDGVRIDDAFVLSIVIGASADLAAFVLGRQLHGSAMRLGFLSNMIVGNALIDMYSKCSDILSAREVFEGITFRDVISWTTMVVGEAQHGRAEEALALYDRMVLAGAKPNEVTFVGLIYACSHAGLVQKGRQLFESMKNEYGITPRLQHYTCYLDLLSRSGHLLEAEELMTTMPYEPDEATWGALLSACTKYKDAEMCIRISDKLLELRPKDSSTYILLSNVYAVNGKWDSVAKVRKCMIGLEIRKEPGYSWIEAGREFRLFHAGEVPLDVREEIMVFLEEMVLEMRKRGYVPDTSSVMHDLEESEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRGRSFIREGGHTGQTTAAHMTGKGRTQLREASTHWAACGGWAVVSGRADEICSLTKGKR >ORUFI11G23810.7 pep chromosome:OR_W1943:11:26050143:26056061:-1 gene:ORUFI11G23810 transcript:ORUFI11G23810.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAATAVAAVRATAGSPAAVRGMHARILKEGLAHHPPNPAALVSAYAKSRLLPDALHLFDETPRRDIYIYSSLLTAVSHSASPELALPILRCMLSADALHPDHFVISSVASVFARLRSRRLGRQLHAHFVASPYNGDDVVKSSLVDMYCKCGSPDDGRKVFDSMSAKNSVVWTALVSGYASNGRSEEALQLFRSMPGRNLFAWTALISGLVNTGESVGAVELFVEMRRDGVRIDDAFVLSIVIGASADLAAFVLGRQLHGSAMRLGFLSNMIVGNALIDMYSKCSDILSAREVFEGITFRDVISWTTMVVGEAQHGRAEEALALYDRMVLAGAKPNEVTFVGLIYACSHAGLVQKGRQLFESMKNEYGITPRLQHYTCYLDLLSRSGHLLEAEELMTTMPYEPDEATWGALLSACTKYKDAEMCIRISDKLLELRPKDSSTYILLSNVYAVNGKWDSVAKVRKCMIGLEIRKEPGYSWIEAGREFRLFHAGEVPLDVREEIMVFLEEMVLEMRKRGYVPDTSSVMHDLEESEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRGRSFIREGGHTGQTTAAHMTGKGRTQLREASTHWAACGGWAVVSGRADEICSLTKGKR >ORUFI11G23820.1 pep chromosome:OR_W1943:11:26056560:26062210:1 gene:ORUFI11G23820 transcript:ORUFI11G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGVPELRLSSAEAHARFRYIVFEEWDRAKLTLSAASGGEGSGGGATESTWGRILGGGGVAVQKASRWRLKILRGGVGGVSRRGRQEGPRAGCVVSGEGRRRRRWRGARVSEAYREFATRSVGRGAVALAANGRVAAGERGGGGGND >ORUFI11G23830.1 pep chromosome:OR_W1943:11:26067225:26073811:1 gene:ORUFI11G23830 transcript:ORUFI11G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEGLLADFGVRPQGKAAPMAASRSRSTTTTGSAWPNPRSTPAPAPSYDGLFGAPPSAAATTTTSSPFDSLFNGPPTSSSSSSSAAARATVYDDDIFGAVPGLRPSSNSASSSSAARYDGDDVFGGGRRAAVSPAFDDVFSSNRSAAAPSYDDILGGFGVKPQAGEGKRSVVVEDDDLLGGFGRKPHSEAEKKPVVVEKANGGNGFDDLIPGFSGSGPQRSRKIIDDNKDEPAVRTSKSTASVLDDPFVVLETNSASGSTYPSPSSFTDPLEHLNNSASSKGKNVDNTTDNDSLPDDSSAFNQVPKSDPLFTSEFNGDTKYMNPPSKARDSNPLHGSMNGNSARGSSTEDLGDAKTKSQSARYSDIYVDGSSSDRYATDGVGDQSPRSTESEDDIWLTVSEIPLFTQPTNAPPPSRSPPLLKQRPLQAKANGNYDGYVRQSNQNHNQYRDMPDQAEVSSLDEMEGFAKDKSQMPSYDDNFFGEAEQSERTSSDREEKERQARLEREQEMKLMEEKKREQRRLEKERELEQQKERERQAMERATKEARERASAEARAKAEREATQRAQRAAVQRAQQEARERAAAEAKEKAARIAAEARERAASEAKERERAAAERAAAERVQQEARKRAERAAVERAAAEARERQAAAAAAAAREKQSSADDLESFFGAGARANSAPKQRTPTVDSMFDSQPQSRATTNGSQRSASTSASMRKAPSATNIGDDLSDLFGAPASSDVFQEVEGESEERRRARLERHQRTRERAAKALAEKNERDMQVQREQAERDRIGDTLDFEIRRWAAGKEGNLRALLSTLQYILWPECGWQAVSLTDLITGAAVKKQYRKATLCIHPDKVQQKGANLQQKYTAEKVFDILKEAWNKFNSEELF >ORUFI11G23840.1 pep chromosome:OR_W1943:11:26074061:26078005:1 gene:ORUFI11G23840 transcript:ORUFI11G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAAGDGKGKRRLVGMPPARAAAADFAMGGAAAVVAKTGAAPVERVKLLLQNQAEMLRRGSLTRPYRGIADAFGRVLREEGVAALWRGNQANVIRYFPTQAFNFAFKGYFKSIFGYDKEKDGKWKWLAGNVASGSAAGATTSSLLYHLDYARTRLATDAIESQGSKRQFSGLLDVYKKTLKTDGIRGLYRGFSVSIVGITLYRGLYFGIYDTMKPLILVGPLQENFFASFALGWAITTFSGACAYPFDTLRRRMMLTSGQPLKYKNAFHAAKQIVSTEGFFTLFRGVGANILSGMAGAGVLAGYDQLHRFAGQHGYNFESKMKGALK >ORUFI11G23850.1 pep chromosome:OR_W1943:11:26077892:26087670:-1 gene:ORUFI11G23850 transcript:ORUFI11G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAAASKRSSPSPSSSSAPPPKRPKAEAAPASPTASVPGRIEEDSAATKSAGSGEDAAAKRDQGGDKAAVAVVESSRKKKEQQQQQQQQQQQQATPWAKLLSQSSQQGTCELEVLGKKGTVQLNGRSITAGTKVPLKGGDEVVFSPCGKHAYIFQHPLNDKIPKMVPPSPVTLLEPPVAGVKRLRMENRTGDTSAVAGTELLASVSDQLKDLSAAPPASAGENNQRLVRPMASSASDKSKGNGIIPDKECENGENANEVNSNVEDSPLDVAAAPVVSPDAVPNDISQHNGFGSDAHLGAEIALEDQRDLIRHLNSSASLPPSRCQAFKDGMKQGIISPNDIDVTFENFPYYLSDNTKNVLLSCAFIHLEKKEFIKQFSEISSINQRILLSGPAGSEIYQETLIKALAKHFGARLLVVDSLLLPGAPSKDPESQKDAAKSDKSGDKAGSEKLAILHKNRSSLADAMHFRRPAVQPSSVHADIVGTSTLHSASLPKQESSTATSKSYTFREENGSSKIGVRFDKQIPDGNDLGGLCEEDHGFFCSADLLRPDFSGGEEVERLAMAELIEVISEEHKAGPMIVLLKDVEKSFTGITESLSSLRNKLEALPSGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGSRLHERNKESPKAMKHLNKLFPNKISIQLPQDETLLTDWKQQLDRDVETLKAKSNVGSIRTFLSRNGIECSDLEELFIKDQSLTNENVDKIVGYAVSYHLKHNKVEISKDGKLVLASESLKHGLNMLQNMQSDNKSSKKSLKDVVTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKWFGEGEKYVKAVFSLASKIAPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILKVILAKEELAPGIDMDSLATMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKNVAKAEGRPEPALYGSEDIRPLTLDDFKSAHEQVCASVSSDSANMNELLQWNDLYGEGGSRKKKALSYFM >ORUFI11G23850.2 pep chromosome:OR_W1943:11:26077892:26087670:-1 gene:ORUFI11G23850 transcript:ORUFI11G23850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAAASKRSSPSPSSSSAPPPKRPKAEAAPASPTASVPGRIEEDSAATKSAGSGEDAAAKRDQGGDKAAVAVVESSRKKKEQQQQQQQQQQQQATPWAKLLSQSSQQGTCELEVLGKKGTVQLNGRSITAGTKVPLKGGDEVVFSPCGKHAYIFQHPLNDKIPKMVPPSPVTLLEPPVAGVKRLRMENRTGDTSAVAGTELLASVSDQLKDLSAAPPASAGENNQRLVRPMASSASDKSKGNGIIPDKECENGENANEVNSNVEDSPLDVAAAPVVSPDAVPNDISQHNGFGSDAHLGAEIGKIATYKIRPVLRMIAGTTISEFDLTGDLFKALEDQRDLIRHLNSSASLPPSRCQAFKDGMKQGIISPNDIDVTFENFPYYLSDNTKNVLLSCAFIHLEKKEFIKQFSEISSINQRILLSGPAGSEIYQETLIKALAKHFGARLLVVDSLLLPGAPSKDPESQKDAAKSDKSGDKAGSEKLAILHKNRSSLADAMHFRRPAVQPSSVHADIVGTSTLHSASLPKQESSTATSKSYTFREENGSSKIGVRFDKQIPDGNDLGGLCEEDHGFFCSADLLRPDFSGGEEVERLAMAELIEVISEEHKAGPMIVLLKDVEKSFTGITESLSSLRNKLEALPSGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGSRLHERNKESPKAMKHLNKLFPNKISIQLPQDETLLTDWKQQLDRDVETLKAKSNVGSIRTFLSRNGIECSDLEELFIKDQSLTNENVDKIVGYAVSYHLKHNKVEISKDGKLVLASESLKHGLNMLQNMQSDNKSSKKSLKDVVTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKWFGEGEKYVKAVFSLASKIAPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILKVILAKEELAPGIDMDSLATMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKNVAKAEGRPEPALYGSEDIRPLTLDDFKSAHEQVCASVSSDSANMNELLQWNDLYGEGGSRKKKALSYFM >ORUFI11G23870.1 pep chromosome:OR_W1943:11:26098333:26101545:1 gene:ORUFI11G23870 transcript:ORUFI11G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLVATVTDDSAEIQHSDLGEKASLVVDADRSGDGRKAAAAGESHTSDDLLLLRRRLLDELRKLREAEKAERERDARSARLILLSLLLAVATPVLLWLSAGGEPLLLVWRLSLLLTAYFFLCAALLSLSRGLFDLAVDFSYGALLAYFAGHAITPRVGMVVVFLNSVSAAAMAGHALADRRQSDGAERSADEMPTLSHDKEEYARCCRITMAVLSSLMLVAPTVFVAWELISWWLTIADFPVDEIVGDLSIVVMFYVFCFLLLLIEEESHFYTIIAVFLVVAALPLFFSIVFGDVAAMVVSWIGVLALTVLLGYRLRLYSSYQQHKVMMTTRSDDKLDDQKQELAKSKDTPADDNHEPVDASSVPSPPPSAGSPPYIHPEEPILS >ORUFI11G23880.1 pep chromosome:OR_W1943:11:26106426:26107267:-1 gene:ORUFI11G23880 transcript:ORUFI11G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVGAILKVVQAISKAVSTARRNAASCKELAERAQQVAKILPDSNSKAVARGDATAANILRRLRGALDDALQLVESCQSGGNCLSWPLMLVTGDGLAAKFADVNARISNCLVDLQTANGVRIEEKIDRQAANGSRIEKKLDKLAAGSRDHHQPNQRRANNSSQREIINTGKNGNNHGGWNKGGGQQQNGGKGGKRRRGKKAAGPPPPPPPQFRPRAGAGVPLCHVHPHHHSMEEDPTSCSVM >ORUFI11G23890.1 pep chromosome:OR_W1943:11:26116223:26120350:1 gene:ORUFI11G23890 transcript:ORUFI11G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTYDKQTDIIQEFVRMLQKQYERIENVLRENQLELQEKFSSLTAAISGVGGYHPQFLDFLPPRVLSYQNCSGRLLRLQFVNSCSKDKYSTHKIEADDESPLQVAIYDHNNRIVTSEPFSSMRVQIVVINGDFDHDHRGQWTKKDFDTKIVHGRPQKGQLLSGELKFRLQNGVGYLSSAKFQDNSSFVPSKKFKLGVMAADERISERIQEGITESFAVKDVRGYIAKKNPNPSPRDAVYKLSKIAKSGDRHKLLEQNGIKTVEDFLSFYQKSPGDLRKILGKISDQDWDLIIGHAQKCNPRPGVYSSFLQESNVSHEHQALFGSNGRYYLQGSCSMHPSHMLQVPYFRGHRNKNLLILQNVTAQLDVQGTQQQISSEYNGLSSGGLPVTVPNSSNFQPETLYQNLMHHGQLEGTQVVGQQVSSVGNEVLPASSLDVSSSQQQQHSLECNRTPDQLDGNGLSHVNLSDWQPLQELWLDGHVDTQWDSSGNALSASAEGLVNEFTGWRQPSIPVTPGSGGSCSAAEQNYWGHSPVSEAGSISYNGISAVSEAAGNRSHGHRGLSPSPSPARGAAGSRRHRALSFSPARGAGNVRRRALSFSPGRGARSSSNGWPPLPPGPPSWWTDNTSNTSADCTDEEYCLDG >ORUFI11G23900.1 pep chromosome:OR_W1943:11:26121896:26127111:1 gene:ORUFI11G23900 transcript:ORUFI11G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRPRRGREEDEEEEKGDDGRAGGEGPQAQGTTTPAKRPRRCCSCCQDTKDFYEEQIEMLKKEMQCMSKGLSEERRILQREMQQFYQNSQLQLNEHINEQHWRMEQIWEQFNTLISGTGPPGGHVKKHTNPRVLTSQREIRTYRLKFESRCSNVKFSRHVMTADDGNPIKVAIYDHDNRIITNGPLSSMQVRIVVIDGEFNKENKVQWSRDSFLQNIVHGRPGKPPLFANELYLRLENGVANLYGAKFQDNSSFVPSKQFRLGVMAADDSISEKILEGISESFAVKDGRGFSTKKDPYPSLSDPICKLKRIAGDRKKLLEKMDINLVQDFLRFYNKDKNSLRKACGNIPDNDWNIIVEHALNCKPGHEHYSYCIPATDVIVFINSLYNIVGATINGIYTSYEELNDTHKDLVEEHRKDAYDNLEVVQYKDKIACHEHELIVGDRGSCYLQGSCSMPTRPTLPTGFHEESSNQGKDLQSGQPQASPHPHQRWVKIVTTVTTLRFWVWAVIIRQLSSTLEED >ORUFI11G23910.1 pep chromosome:OR_W1943:11:26132313:26136153:-1 gene:ORUFI11G23910 transcript:ORUFI11G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWGGLGQAATVAQLVGADIGGLITMIMQVAMTAQQNKKECEQLARRVFTIAELLQHLQDPDVLRRPEIRRPLTGLDDTLRKAHELVMACQAKSAVYRLVMAGRQADKFRDVQSRIDSYLLLFPVISHMDITRRVDRIYNILLPNDMTGPSVSPISMPQIPVPASQGSLELNSNFSLLPLCAHLYTRMLLRCIGRNTMEFSFKELAKASNNFAPDSKIDEGGFTKVYMGRLPDGREVAIKHYPVQAKEEFMREITVLSPIRYKHIVPLYGYCSVLVEKRRHLLRPFQKEKEDKKLLLYSLDHHLHGPTLSSSSSSPVVTSWKMRIDILLGVSRAIEYLQSCGEQPIIHRDVKPSNILLDGNWAPRLTDFGIALIWEGPGHEELRTCILSKEEWEEEKREECEQEGKNTEEDKEESEREEDGKTIEEWHEWRKQYEFGGLGLVSFALPLIEAGKLWKAAGSGATTEAAELVAQMAVRCLLLQWEERPPNSEVVANLEKALELARCDGYHSLGSRDILCELGSLFLIM >ORUFI11G23920.1 pep chromosome:OR_W1943:11:26140860:26147554:1 gene:ORUFI11G23920 transcript:ORUFI11G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEEDKARGSDAEEIRASKEDYTSIPVLPVQISKKESDKQTEMLQEILRMNRMMQQQNERIKLVLRENQELREKVSSLTAAISEVVGYHKRIPAPRMLPDQNCSEPLRLQFVNSCSNDKYSTHKIEADDESPLQVAIYDRNNKIVTSEPFSSMRVQIVAIDGDFDDDHKGQWTEKYFRSKIVPGRPQKRHLLSGKLYFRLQNGVGYLNAKFQDNSSFVPSKKFKLGVMAADERISERIQEGITESFAVKDVRGYLTKKNPNPSPRDAVYKLSKIAKNGDRHKLLEQNGIKTVEDFLSFYNKSPDDLRKILGKISDQDWDLIISHALKCNPRPGIYSSCLQESNVSHEHEAFLRSNGSYYLQGSCSMQPSHTSQGLLILRKLTEQLDVQGTRQQISSTCNGLSSGGLSVIVPNRSKFQPDTSDQNLMHHGQLERIQVVDRQVSSVGNEVMSVSSMDNNMLEVSSSQQQHSLGHINTAEIDGNGLSHANPSDWNSSLDWIHGHADVQLESMVNAQRRENLLSEYVGRGEHDFTGTPGSGGSCSAAEQNWGHSPVTAAEQNWGHSPVSEAGSMNYNGAVNEAGSWSHRGLPPSRAAGSRRHRRHSFSPARGAGSRRHREARSSSYGEQVFGEASSSDCLWFTPLPPVLFSDNISNTSKYFTDEE >ORUFI11G23930.1 pep chromosome:OR_W1943:11:26150284:26157959:1 gene:ORUFI11G23930 transcript:ORUFI11G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMCRRCLDGGFGLGDGPWRDYAFRRGNPPEGIVELWTDDDSVFRRRNPREGVVLESSCRSGVVGLVAIDGRHSGETGGAWCDRWPAGKKSGEGTRWRDGLRPGETGGRRLLVAHRHVAGPPPLPPRPGDLVPHHPPVGWLKGKKETQDFYKSQIEILKKEMQCMSKGFIEERKVLQREMQLFYQNSQLQLNEQISEQQRRMEQIWGQFNTLISDTSTPGDHVKKHTNHRCCNDKFSRHVITADDGSPIKVAIYDHDNKIITNGPLSSMQVRIVVMNGEFNKDNKVQWNRDSFLQNIVYGRPGKLPLFANELYLRLENGVANLYGAKFQDNSSFLPSKQFRHKLLQHKGIKTEGDFLCFFHKNPKELRKILGNISDQDWDMIINHALKCKPRPANYSSYTEEMNVHQEHESFHTSNGNCYLKGSCSMQPSPAPANLPVSENHTEQIDIQLSKQHASRFLQQIMDCHLVHHGEYLNKAKFHPNTLDQSVKAYGEFQTMQASQEVSTIENEVLSRVSEEQLSQVSTSAGDTMEEFLASLEKDLLQDDSRSDFTETYWGDAYNAVKQTGGLPRVNEAHNMSRGGISPASEVGSTVYGGISPASEVGSRSYTAFSPSPQCCCALKPSKDEKFKEI >ORUFI11G23940.1 pep chromosome:OR_W1943:11:26164075:26195456:1 gene:ORUFI11G23940 transcript:ORUFI11G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVCPRNGTAVLAAIAVAAVLLRALRHQPHTDSPARPVAYRCLSPLSHRLSTILRIHGREWLAPSSNGTEAGAVLLPPWRTRTDVTGGGRQHGWRRRLHSCFSENIGTNRIKNKLKRTKYEPPLQYRNTKTPKRQGVWAGNYAPGGAYARERNLESW >ORUFI11G23950.1 pep chromosome:OR_W1943:11:26198037:26198784:-1 gene:ORUFI11G23950 transcript:ORUFI11G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVGSIVGAVPKVASAIAKAVKTARRNKTRCRELAQRVKEVDDILREYKKVAMGDAAATTRKILGRLKDALDDALKLVESCGRSRDGLLFRLHRFVASDGLAAKLDDVNSRINNCLIALQAVNVAHLQKKMDRHLAVAAGGGDHRRTNNPREINAGKSGNKGNKGGQQHKQNGGKGGKRRKGKKAAGPPPQPQPCTPTGAVFPYYLVHSMEEDPTSCSVM >ORUFI11G23960.1 pep chromosome:OR_W1943:11:26211280:26213052:-1 gene:ORUFI11G23960 transcript:ORUFI11G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMPSPSSASHSHQVELQENVVLHGDEGEKFTFAELATATNNFAADREIGKGGFGTVYIGYLLPDGRDEVAIKRTHKDETYGTTAKEFMAELRAGTGEAPASSTVPEDEEGGGAPDRLRVHQQRLASRPPARYNAAAVVLVVAGEDVMELEDAHRDTAGRVASHRIPVVLQRATGDHPPRHQLVKHAVRRHLGATLWRLADFGASVRCDHSTRSVPADAFYGKFGYIDLEYATTAIAKPTIDVYSFGVVMLEVLTGMRALFYQEEDVHKVFDCSEEDRNAIPAVLAEVTPPFIEVGEFGLVKRLQFGLWKCFGYQLAAMVLRPTYMSVSIRTTATNEVLDRSCCADNHARCYSDSTLILSERVAHSKSATATVGGGRGRRELAVIDGTLRRKGSPCAYTTATTTSNSTFA >ORUFI11G23970.1 pep chromosome:OR_W1943:11:26222100:26228996:-1 gene:ORUFI11G23970 transcript:ORUFI11G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWGGLGQAATVAQLVGADVGGLITMIMKAAMTAQQNKKECEQLARRVFTIAELLQHLQDPEVLRRPEIRRPLTGLDDTLREAHELVLSCQDKSAVYQLVMAGRQDDRFRDAQSRIDSYLLLFPVISHMDITRRLDRIYNILLPNDMARPSMSPISMPPNPVPAAQASRRTRGGHQTHGREILRKENIYIMRELSILRSISHQHIIRVFGSCAKEKRQLLPPFRKKQEETLLVLEYMENGSLDSHLHGPPSSSPVMTAWRTRIEILLGVSRAIEYMQSYGERPVIHRDIKPANILLDASWAPRLSDFLLALTEEGEVIGTLGYMDPEYAMTGILNLMTDIYSFGVVMLEVLTGKKPYFSKEEWEEMEKTEECVEEEKREEREQEGKNTGEDKEESEREEEEKTTEESPPEWLKLNQYDFGGHYGLVSFALPLIEAGKLWKVLDRRPAAEPTARQLEAAELVAQTAARCLRLRWEERPAISEVVANLEKALELARCDGNKEQNPDLSAIALWDVTGHAATVAQLVGADVGGLISMIMQAAMTAQQNKKECEQLARRVFTIAELLQHLQDPEDKSAVYRLVMAGRQAERFREVQSRIDSYLLVSPFISHIDITRRLDRIYNILLPTNTPGPSTPAFPVPPNPVPAAQEKRQLLPPFRKKLEEILLVLEYMENGSLNSHLHGPRSSSPVITSWKMHIEILLGVSRAIEYLQSYAERPVIHRDIKTSNILLDISWAPHLTDFELALTWEGPDHVVDLLVQGTLGYLAPECIIDGTLNPTVDVYSLGVVMLEVLTGKKPYFSEEWKEKKTEECVEEEKREECDQQERKNTEEDKEESEEDGKTTKQRWYEWLEQDGIGHQSLVSLALILIEAGELWKFLDRRPAPEPTPRQLEAAELVAQIADRCLRLQWEERPAISEVVANLEKALELARCDG >ORUFI11G23980.1 pep chromosome:OR_W1943:11:26232852:26234382:-1 gene:ORUFI11G23980 transcript:ORUFI11G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVIECFERLPFVGRRRPPLRLRRGGGRGFECAAHGDYVMLAPHGPVAQAPTSALVFDSRRDEWRWAPPCPYFVVAHHGGAGGTGGRRGGDDTTTVRRQSGQVEWEPSHMSTHSAWKPWPHWEKNLASSPSSSSTRHTAHSTPSSSSSFATPVAVLRLYTVTGSDRSTSGLTPPPLPPSPPLPERRRCGRPCRPRTLEKNSSNQVIK >ORUFI11G23990.1 pep chromosome:OR_W1943:11:26234433:26234981:-1 gene:ORUFI11G23990 transcript:ORUFI11G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCPLSPSRTSLLWTLRRGGGGHGGGAGGGDGGRAARAAPVLRALQLLEFTVDAIRVTEIGTAVKPLRKHRSTLRESRFDNCASFSG >ORUFI11G24000.1 pep chromosome:OR_W1943:11:26241045:26241710:1 gene:ORUFI11G24000 transcript:ORUFI11G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSDRCFSYNTQEKIRPLLIPSAIVVVAVIGIFLTISFAVTPRMKANVEDARLNAFDYLAVDGGGNNTASSSFSYNLSVALAIRNPNKAIGIKHTKALVAVVAFHDRRLHNSTVVVADEGYKQRPGKVKLIRLTIDGEISSDLLGTAAAEDFKKQNATGLFQVDLRLSGEITNHPLVIPRKHELGTSCPLSLQLAPPGPEVVVFHQVNCNPVKPDKIYF >ORUFI11G24010.1 pep chromosome:OR_W1943:11:26242869:26246491:-1 gene:ORUFI11G24010 transcript:ORUFI11G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLGPIIAGLASRVLPEFVSWLRKEGKKFEGLQRDVDSIRDELELIDAAILDHRSNSGSNSQKVWISQVRRLANDIEDWIDQFRVAETKEARQELSGQILGLKQRCEKIGKEPPAPATSNNPPATGQKMVAMEGDLYHHKLPPLPASRHKMVGMKGALEELRELVVRRSDRQSERKLRVICIVGFGGIGKTFLADKVYTSVSKEYFPRHAWVNASGKRAGEVLKEILEELGKQVDKSKGKGKGVDGASSSNNIPEIDLHGASTSKRIRKVGVHVGSSSNEGPKVNNASSETPLAENVYLKSCLGTNRYLIVIDDVQRREVLHGIIREFPADMEDSRIIVTTSVQSVAYDINSDSRHMYKVKTLSCDDPKELFFQVASMEKYPEVDRNETLSAIDRCDGLPLALVSIAEFMKRNVAEINTVSAKENVIAKICEEALQTCRDCDDRCRDDRSAKGCDDGCCSDNNPLARMQRVLFDNYHSLHNDAIIQSCLLYFSMFPRGHPVKRNSLIRRWVAEELIQDGISSTDPVDVAAKNLSVLIDRNVIQPIDENVKRCQPPGMMLEYISHKSMCEGFMRVLHCHQQPRTDEYIRRLSLHNYNGKNIAERDGSTLFSRLRTLAVFPAKLKDAVTVGLGVKFADYKLLRVLDLEQCNGLDNSHLQEICDTPLLLLRYLSLGGSITAVPRKIARLKRLQTLDLRGSNANTVEAPIEAILLPELKHLLGVFRLSRFDFLVKGLKKKLSKTELETLAGFVIGKSRGISRLLFHMSMLRKIKIQCKPTADKENLTHVSRAIEKFIRNVHNTPGNRSLSIDLVGGCKTEFLDFLRAAPGTLNSLKLQGKLKEFPRFIVDLTGLTELCFWLTNLRGQDIISGVRGLGVLKYLKLVEDNLGDLVIESGPEPESESESESEHGHQSKPVYFPSLKRICLKSSKELPGITIQSGAVKHIVSLHLFSPQLLEPSKIEIAKLKTLKEVALQKVVDKRKVITRWKEAAKRHPNRPNILEIEDP >ORUFI11G24020.1 pep chromosome:OR_W1943:11:26250285:26255432:1 gene:ORUFI11G24020 transcript:ORUFI11G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASCICTQVHSCRYLIVIDGLWVSTTWDIISRALPRDNCYNRIITTTQTEDVAKACCSYNSTDIFEMRPLNNNLQFSGLPFETTIMVANLLKCNPMTVAQWMHLQNSIPSSLGTNSTAEGMNELLSLIYNILPHDLKTCLLHFNMYPEDYTIRKDDLVKQWVAEGFVHEVNGQDADVVAKIYFDELIIRGLIQPVDINYNNEVLSCTVHHIVLDFIRYKSKEENFITIMDYFQARPGNLDKVRRLSMQFGSVKGAKISAGSIRMSQIRSLVYFGFFKCVPSVTEYALLRVLILHVWADKDKICFDLSSIQELFRLRYLKVACNVGVKFPSKIRRLQYLETLDLDARVVGFPLDIVQLQNLLHVRLPRETNMPNGIDKMTSLRSLAYLQLSDSSRDNVLRLGKLTNLQDLHLTCSGIVQTDRVVVNLKSLGSIMEKLRKLKSLILDGGASTTSIPCDDLSILSYPPPNLQKLELSPQFFIFPSLPEWIGKLSKLCNLKIAVRDLPRNNIDIIKRLTTLTVLSLSVRTTPADRIVFDKGFHGIRYFKFTSTAPCLSFAEGAMVNVVRLKLVFNASSIEEYDLTSVCFQYLTSLKDISVKFGDTSSYLPSGRNAAESALVAAVSKHPSSPIVNVKWTVGISSDVILKSISARKGELQAPETPGIIARKDELEVQEKEERSTAAQKGKHHTPNHDVIKGKGADEHELQENISREGIGKQDNSRCPTDNVPLRTFKPSESSSGKQNAGNDIQKLANMEVDCLKQNHAMRLNG >ORUFI11G24030.1 pep chromosome:OR_W1943:11:26270515:26272482:-1 gene:ORUFI11G24030 transcript:ORUFI11G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWGGLGQAATVAQLVGADIGGLITMIMQAAMTAQQNKKECEQLARRIFTIAELLQHLQDPEVLRRPEIRRPLTGLDDTLREAHELVLSCQDKSAVYRLVMAGRQAEKFRDVQSRIDSYLLLFPDTAKICWNWKEPHGVQEFNFKELAKATKNFAPERKIGEGSFGSVYMGRLPDGRVVAIKHRSRNSLQGYKEFMAEITILSPIHYKHIVPLYGYCDVLVEEKQRRLLPPFRKEKEEKEHLLVYEYMENGSLDHHLHGPTSSSSSSSPVMASWKTRMEILLGVSRAIEYLQSCGEQPIIHRDIKTSNILLDGNWVPRLTDFGLALTWEGPGHEEAVVGTYRYVAPEYVMTGVLNPSVDIYGFGVVMLEVLTAKRPYYPKEESEEKKREDKREECEEEVQKREEWEKEDTTQKLHEDLRKRRDFVSFVLPLIEEGNLRKVLDRRPSAEPTPRQLQAVELVAQTAARCLRLQWEERPAILEVVANLETALELARCDG >ORUFI11G24050.1 pep chromosome:OR_W1943:11:26275525:26276651:-1 gene:ORUFI11G24050 transcript:ORUFI11G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSRLAASERTGVSGEVSSSTSSYRSSGSSSSPRVSQMLWRTQQAASTTGRSWSFTSVHSWRHAHRALSRVNASMATRLRSPTFSLKAWMGRRVEENKSG >ORUFI11G24060.1 pep chromosome:OR_W1943:11:26291290:26326378:1 gene:ORUFI11G24060 transcript:ORUFI11G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWGGLGQAATVAQLVGADVGGLISKIIQAAAMAQQNKKECDQLARRVLMIADLLPHLQDPEVMRRPEVRRPLTGLDDTLREAHELVISCQGRSAAYRFVMAGRLANRFRGVQSRIDSYLLVFPFISHIDITRRLHRIYNILVSNDTEASSSSAGFQADLLVSQEVVVHIYGVEKFTLKELMVATNNFVQEIGRGNFGRVYKGVLLDGREVAIKSLGKASPDDWKSLLTELVILSRVRHKHIVSLFGSCVVRQKKGMLSFRKKSVDQLQEELMLVYEYMKNGSLADHLHGPLSSSSSPVIASWKMRIEILLGVSRAIEHLHVYAMPPLIHRDIKPSNILVDSSWVSHLTDFGCAVNWEEKERSNAPIWGTTGYVDPMLYTTMSPTVSMDVYSFGVVMLEVLTGKKTIFNRQEEGEDSSFVPTSLVVFSLPIIEAGELGKVLDRRPAQEPTARQLEALDLVAQTAARCVRLQGKDRPAISEVVTILETALKLILCDDSPMSSTSRQVNPCEFGDRHGEIMWSGLGQAATVAQLVGADVGSLISVIMQAAMTARQNKKECEQLARRVFMIAELLPHLQDPEVMRRPEVRRPLAGLDDALREAHELVTSCQGRAAAYRLVMAWRQAERFREVQSKIDSYLLVFPFISHIDITRRLDRIYRVLLPNDAILPSASAGLPNHEMVLQILSNFIVLEEEGERFTMAELAAATNNFATDRQIGTNPVARVYKGWLADGREVAVKQLVGNSNLSLAVEEEFQAELSLLSIRHSHIVRLLGWCAAEGKHLVVYEYMKNGTLDDHLHGAPSSPVTTSWRTRVEILLGVSRAVEHLQSSSSDGERRRPVIHRDIKPSNILLDDAWAPRLTDFGLSLTWDERECSSELPVVGTCGYAAPEYVATGCIRPASDVYGLGVVMLEVLTGRKALSQRAVVLNDGCTRFAHESLVELALPMIRSGKARKLLDKRLTPTPTRRQLRAADMVARTAARCLLHDWVKRPAISEVVVDLKAALELVRFDMWSGMGQAATVAQLVGADVGRLISMIMQAALPAQRNKKECEQLARRPGGDAAAGGPAVAGRARRHAPAVTKYKLLDVNTRTSPAALMDLAAACDLGERDFDQLSKIAMALWGGLGQTATVAQLVGVDVGGLVSMIMHAALTARQNKRECEQLARRLVAAGRQAEKFRDIQRRIDSYLLLFPFISHIDITRRLDRIHREMIPSDHPKPTSLPSPSTGSQNHEAVVIQDVVIHGGEAGEKINLAGLAAATNNFAPDREIGKGGFSTVYMTRLPDGREVAIKRIDSASMEEDTLREATMLPSLRHEHIIRLFGWVSVRKKQHRQLPFWKKRKENQVEHIFVYEYLKNGSLHDHLHDPSFSSSPLRASWHMRIKTLLGVAQAVEYLHCYAQWPVIHRDIKPSNILLDAAWAPRLSDFGMSLIWDEANDDNGPTNRVYGTFGYMDPEYYMTCVAKPTMDVYSFGVTMLEVITGRRAVFNRKEEDMRKAFDSKADGGGIPTNLVEATVPRIKANNKEQLQMLFDKRPNPNDLLYRDNFEALELVAHTAVRCVQLEGKDRPTISKVVANLQAALARASNKQQKRILSSLVIAMALWGGLGQATTVAQLVGADVGGLISVIMKAALTAQQNKESEQLARRVFMIAELLPHLQDPEVMRRPEIQRPLVGLDDTLREAHELVISFQEKNAMHRLELAEEAAQEVVFHGEKGEKFTFAELATATNNFASDRLIGRGGVGNVHMGRLSDGREVAIKQFHDDLSIYAKHTILSHIRHKHIIRLFGCCTERQYKRKIKLSIWCWKKEVVLLQPMKRLLLVFEYMKNSSLDKHLHGSLSSSSPVTTSWSMRLEILLGVCRAIDYLHTHPTRPVIHRDIKPSNILLDSNWVTRLSDFGCSITWDDETEGSDYPMAGTLGYIDPECMIITMYPKPTMRHCHAGVLFALPLIEAGEVWELLDRRLLATEPTAKQLQAAELVAHTAASCVQLEGKDRPAMSEVVAKLQEAVELWEGMDRMATIAQLTGVDALGLISTIVQAAQAVRRNKETCQELVQEIQLIRDLLRMLQDPEMMCREEIVNVLSGLEGTLKEAYALVTSCRDCSTMYRFFMGWKQADQFRRIKKKIGKHLRFYPMISHADLTRRLEKLANSAALSTCSSQDAQDVPASSSTSHSNPEARAEEVNDEFEKGEVVTQSINEVERHEAGHQDAVQTSSVRKSRSWWHDVISSKKAADAVKAHVVPRAIELFSLSELAKATMDFALDREIGEGSFSNVYRGMLPDGREVAIERKKVDSSARGMEAFRAEVTIQSLLHHKHIIRLVGCCVMEEEEHWSLFQKKNMVEKRLLVFEYMENGSLFDHLHGPSTSSFSPVTASWKTRIEILLGVSRAIEYLHSYATPAVIHCDIKSSNILLDSSWSPRLSSFDIAVSCDEAECVDICVRGTLGYLDPEFVRTRTLKPASDVYNFGVVMLEVLSGRRAICRWKEDHGDGDGDSPMDSLVNHALPLIDAGQVLHLLDRRPAEEPTPRQLEAADLVARTAAHCLQENGDDRPAMSDVVTRLQAALELVRCDDE >ORUFI11G24060.2 pep chromosome:OR_W1943:11:26291290:26326378:1 gene:ORUFI11G24060 transcript:ORUFI11G24060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWGGLGQAATVAQLVGADVGGLISKIIQAAAMAQQNKKECDQLARRVLMIADLLPHLQDPEVMRRPEVRRPLTGLDDTLREAHELVISCQGRSAAYRFVMAGRLANRFRGVQSRIDSYLLVFPFISHIDITRRLHRIYNILVSNDTEASSSSAGFQADLLVSQEVVVHIYGVEKFTLKELMVATNNFVQEIGRGNFGRVYKGVLLDGREVAIKSLGKASPDDWKSLLTELVILSRVRHKHIVSLFGSCVVRQKKGMLSFRKKSVDQLQEELMLVYEYMKNGSLADHLHGPLSSSSSPVIASWKMRIEILLGVSRAIEHLHVYAMPPLIHRDIKPSNILVDSSWVSHLTDFGCAVNWEEKERSNAPIWGTTGYVDPMLYTTMSPTVSMDVYSFGVVMLEVLTGKKTIFNRQEEGEDSSFVPTSLVVFSLPIIEAGELGKVLDRRPAQEPTARQLEALDLVAQTAARCVRLQGKDRPAISEVVTILETALKLILCDDSPMSSTSRQVNPCEFGDRHGEIMWSGLGQAATVAQLVGADVGSLISVIMQAAMTARQNKKECEQLARRVFMIAELLPHLQDPEVMRRPEVRRPLAGLDDALREAHELVTSCQGRAAAYRLVMAWRQAERFREVQSKIDSYLLVFPFISHIDITRRLDRIYRVLLPNDAILPSASAGLPNHEMVLQILSNFIVLEEEGERFTMAELAAATNNFATDRQIGTNPVARVYKGWLADGREVAVKQLVGNSNLSLAVEEEFQAELSLLSIRHSHIVRLLGWCAAEGKHLVVYEYMKNGTLDDHLHGAPSSPVTTSWRTRVEILLGVSRAVEHLQSSSSDGERRRPVIHRDIKPSNILLDDAWAPRLTDFGLSLTWDERECSSELPVVGTCGYAAPEYVATGCIRPASDVYGLGVVMLEVLTGRKALSQRAVVLNDGCTRFAHESLVELALPMIRSGKARKLLDKRLTPTPTRRQLRAADMVARTAARCLLHDWVKRPAISEVVVDLKAALELVRFDMWSGMGQAATVAQLVGADVGRLISMIMQAALPAQRNKKECEQLARRPGGDAAAGGPAVAGRARRHAPAVTKYKLLDVNTRTSPAALMDLAAACDLGERDFDQLSKIAMALWGGLGQTATVAQLVGVDVGGLVSMIMHAALTARQNKRECEQLARRLVAAGRQAEKFRDIQRRIDSYLLLFPFISHIDITRRLDRIHREMIPSDHPKPTSLPSPSTGSQNHEAVVIQDVVIHGGEAGEKINLAGLAAATNNFAPDREIGKGGFSTVYMTRLPDGREVAIKRIDSASMEEDTLREATMLPSLRHEHIIRLFGWVSVRKKQHRQLPFWKKRKENQVEHIFVYEYLKNGSLHDHLHDPSFSSSPLRASWHMRIKTLLGVAQAVEYLHCYAQWPVIHRDIKPSNILLDAAWAPRLSDFGMSLIWDEANDDNGPTNRVYGTFGYMDPEYYMTCVAKPTMDVYSFGVTMLEVITGRRAVFNRKEEDMRKAFDSKADGGGIPTNLVEATVPRIKANNKEQLQMLFDKRPNPNDLLYRDNFEALELVAHTAVRCVQLEGKDRPTISKVVANLQAALARASNKQQKRILSSLVIAMALWGGLGQATTVAQLVGADVGGLISVIMKAALTAQQNKESEQLARRVFMIAELLPHLQDPEVMRRPEIQRPLVGLDDTLREAHELVISFQEKNAMHRLELAEEAAQEVVFHGEKGEKFTFAELATATNNFASDRLIGRGGVGNVHMGRLSDGREVAIKQFHDDLSIYASKYDPFVTHYDHDEFYQRSIEEFNTEHTILSHIRHKHIIRLFGCCTERQYKRKIKLSIWCWKKEVVLLQPMKRLLLVFEYMKNSSLDKHLHGSLSSSSPVTTSWSMRLEILLGVCRAIDYLHTHPTRPVIHRDIKPSNILLDSNWVTRLSDFGCSITWDDETEGSDYPMAGTLGYIDPECMIITMYPKPTMRHCHAGVLFALPLIEAGEVWELLDRRLLATEPTAKQLQAAELVAHTAASCVQLEGKDRPAMSEVVAKLQEAVELWEGMDRMATIAQLTGVDALGLISTIVQAAQAVRRNKETCQELVQEIQLIRDLLRMLQDPEMMCREEIVNVLSGLEGTLKEAYALVTSCRDCSTMYRFFMGWKQADQFRRIKKKIGKHLRFYPMISHADLTRRLEKLANSAALSTCSSQDAQDVPASSSTSHSNPEARAEEVNDEFEKGEVVTQSINEVERHEAGHQDAVQTSSVRKSRSWWHDVISSKKAADAVKAHVVPRAIELFSLSELAKATMDFALDREIGEGSFSNVYRGMLPDGREVAIERKKVDSSARGMEAFRAEVTIQSLLHHKHIIRLVGCCVMEEEEHWSLFQKKNMVEKRLLVFEYMENGSLFDHLHGPSTSSFSPVTASWKTRIEILLGVSRAIEYLHSYATPAVIHCDIKSSNILLDSSWSPRLSSFDIAVSCDEAECVDICVRGTLGYLDPEFVRTRTLKPASDVYNFGVVMLEVLSGRRAICRWKEDHGDGDGDSPMDSLVNHALPLIDAGQVLHLLDRRPAEEPTPRQLEAADLVARTAAHCLQENGDDRPAMSDVVTRLQAALELVRCDDE >ORUFI11G24070.1 pep chromosome:OR_W1943:11:26329377:26330770:1 gene:ORUFI11G24070 transcript:ORUFI11G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSSVSTIVRIAQEIAGAVSTVSRCRKLANRVQCIGDLLRELESSSAAAAGDDDEATRRLLAVLEDALHRALELVTSCQDSGCPRSLIDGGRMAGLFDEVDGDIDRCLLELGVANRILITRLEGLLHRNAFRSCDLPPPPPSPATIGTETETTVTVRIGMPRVEHIEHRVHMVQGATNVAAVVASSKDHLTDRSSAAPRGNVGVTDVVTVVASNKDKFTVKSGTAKRGNARVTDMAPVIASDSDKDKFTMRFGTATRGNTGVTNVAPVVASNRNKFTVRPDAATRGNARVTDVAPSAASNKDKFTVRSSGATRDNARVTNVAAIAGSNKNQFTFRNGATSIATLLQEPPSNGYGYCPYAEGLTTGESYRDTTGGGPFDAAATAAATYYYFPPFQHMFSEEDPTNAYTIL >ORUFI11G24080.1 pep chromosome:OR_W1943:11:26331886:26335662:1 gene:ORUFI11G24080 transcript:ORUFI11G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRTPSTWYTAVSGCVRCGHDATAVELPRGMPERTTVGLGISGGGGCRKQEHSEATCTSGKLLCSSHRY >ORUFI11G24090.1 pep chromosome:OR_W1943:11:26332729:26337729:-1 gene:ORUFI11G24090 transcript:ORUFI11G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFIHGCTSREIKNLQPIPNSPFSSCCERRMAEVAAVGWGISAVGWVVSPIITKILNKGFSYLGFHGSEKLKQLQTKVLQLELVLEAVEESPHRGRLEEWLQQLRSAVYEAEDILDDIEYHRLERQISSQPDDNLESNGRPPRRRNLVKMLQSALPKSVRIKNQLLNLPGHSNINKRQTVSDDSRIAVTTASPPFVVIGRDEDRDKILVLLHETENDGQHEPSRALSHSIIGIHGIPGSGKSTLAQYVCAHEKRGRQEKEAGHFDLIMWVHVSRNFNVDKIFSKMLEEATGNSCPQFNSLNTLEQKLEQALSRKRFLLVLDDVWYNKDDSQEELQKILFPLKVGALGSKILLTSRTRDALLALGAAKCIPISELDDTVFLELFMHYALDSAGIDERDRMIFRAIGSDIAKKLKRSPLAARTVGGQLHMRPTIDFWQDARNQNLLNETMGALWWSYQHLDQQVRRCFAYCSIFPRRHRLERHELINLWVAEGFITTTEVGLEMEAVGRKYFEELVSASFLQLGEKQAERFGASEYFTVHDLLHDLAEKVARNDCFKVENGWTGDLPGDVRHLYIESYNKTMITEKVLKMGNLRTLIIYSGNTEIPTEEKIFERMFMRLRKLRVLSVKIITGSHVFSFPESIGQLRHLRHLCFRTTLIRQVLPNTIAKLRYMHVLDFGVCGDLVFPSGEDMSNLINLQHIIATADLNCPNIGMLTSLQTLPLFPVKKEPGYELQQLRHLNKLRGKLHIHGLENVGSKEEALEAKLDGKERLKELVLVWDDESCSPEVEAEVLEGLCPPLELERLEITDYHGSSYPDWMIGGHKGPKYLRELELSGCSRLGPAPELFEFFIHLRSLWLWKSSWNFLPDNLEQLMSLHELKMYFCLNIQSLPKLPRSLEEFGLGACDDEFMRSCKTIGHPNWHKIQHIPRVTIALESTHSPHGSSSSSSIPVLYLSG >ORUFI11G24100.1 pep chromosome:OR_W1943:11:26343947:26350176:1 gene:ORUFI11G24100 transcript:ORUFI11G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKSPPPPPPHGPVVVVPPPPYRRRLEIIDLPQGAAAPTTPTPGKWQLPRVPIALIALYFIVLVEGKSNRRIRRVVRISQQNLQVRKENIISQGKMLQAFDNALQKHLNPIHRSLESLTERIDTLTHEVGQIKQSNFNHHANEHYRSEANQDAAGFAHYAEAVELRFLNKLKPRLYYTQEKIRAEDGTAIQIALFKDNQTVKSGPLSSARIEFVALEGDFNDVAPENWTEYMFNQNIARSPKGPVLGGVCQIKLKNGEASPSGISFVVPSSKSRSGMFILAARVHSSDKAGFRIMEALMNPVEVQVYRNKANKNSDTPKLKDDVYRLKGISKKGTRFDWLKHNGINTVEDMLKALNKNEKKIRTECFKLEKNSKDWKETVKHARKCDLEGNCNLKSYRVEEKHVVLFFNCVHDLVGAQFHDGYVTKDNFNSDQQDAVNCLKKQAYDALDDIAFDDKMKDNYPVSLSSAMNTSITDGDASIPLTDRAGTNYPDLHVTSQAVGNSHHAEIYQEPELLQALLNYNSAYEGYRAGAVAQIYGGFSEVDIPIGCYIGQTSEGTSSGGNALIGLMNMSQNGSDGSNIAELIDNDIDPYQYII >ORUFI11G24110.1 pep chromosome:OR_W1943:11:26354971:26355738:-1 gene:ORUFI11G24110 transcript:ORUFI11G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGIKEDHKDWKTIIEHARECDLKENHYLKAYKVVGNQINDVIFFNCVHDIVGAEFNGKYTAKENFNRYQKAIVDSQRKEAYNALESIPFDNIMNENGAPTKISPNRNVSEDLSLLMQDAAPPPNPSNHNNAAYQVNNFIPGLTHVSQTCPSLENCNTAARIPAPGGGGSNPLLAGSENDLSVSDGKLSHKLLFIPDF >ORUFI11G24120.1 pep chromosome:OR_W1943:11:26363657:26374745:1 gene:ORUFI11G24120 transcript:ORUFI11G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQDLEFQNEICFLGKLQHINVVKLLGYCIQGTERILVYEYMLNRSVDTFIFGIITSPQIWIKGARTRRLHLDWSTRSRIIHGIAEGLLYLHKHCGLHIVHGDLKPSNILLDSNMNPKISDFGLARRYNPGVDEEYADRILGSIGFIAPECRERRLFSTKSDVYGFGALVLEIISGKRCFSLASGDSGDDYGFLNKRAWYLWRTGRLIKLVDSPSGDELETREILRCIHIALLCVEENPANRPTMQEVVLMLSCRTALLPKPRRPAYLRAEIVANIAQLAGVDAYGLIKMIVEAAQTAKRNRETCQKLARHVKMIGDLLQRLQSTELMQHQETRNPVEQLEETLRHTYMLILSCQDSSYLHSCFMGGKQAHQLREVQSDITFYLQLFPLVSFVDTTRTWERLLRRAQPSCTESVTQCPEVFEEKRSEQTSIRSLNLDQLVVNDIGKGAVLTFSQILAATNNFSGRSLIGQGGFGPVYKGKLPNGLEIAVKRHDTSSHQGEEEFMAEIDVIPKLRRKNIIELIGFCVQGKECILVYEYIPNGNETKRILLNWSKRLKIIEGISDGLLYLHNHSPKCIVHRDIKASNILLDYEMNAKISDFGLAIKLAPKATTEVLVRGTWGYADPEYVATGVISEKTDVYSFGIVLLEIISGKLCVSGYNVKNRSRRTIFPEFALKNRKKLHKLIDPSLGAKKHERAQIMQCLRVAMLCVRDRAEHRPTMSEVVTMLPSIKTPKDRKFEDEIRTRR >ORUFI11G24120.2 pep chromosome:OR_W1943:11:26363657:26374745:1 gene:ORUFI11G24120 transcript:ORUFI11G24120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQDLEFQNEICFLGKLQHINVVKLLGYCIQGTERILVYEYMLNRSVDTFIFGIITSPQIWIKGARTRRLHLDWSTRSRIIHGIAEGLLYLHKHCGLHIVHGDLKPSNILLDSNMNPKISDFGLARRYNPGVDEEYADRILGSIGFIAPECRERRLFSTKSDVYGFGALVLEIISGKRCFSLASGDSGDDYGFLNKRAWYLWRTGRLIKLVDSPSGDELETREILRCIHIALLCVEENPANRPTMQEVVLMLSCRTALLPKPRRPAYLRAEIVANIAQLAGVDAYGLIKMIVEAAQTAKRNRETCQKLARHVKMIGDLLQRLQSTELMQHQETRNPVEQLEETLRHTYMLILSCQDSSYLHSCFMGGKQAHQLREVQSDITFYLQLFPLVSFVDTTRTWERLLRRAQPSCTESVTQCPEVFEEKRSEQTSNIGCYKQFFREILNWTRGYADPEYVATGVISEKTDVYSFGIVLLEIISGKLCVSGYNVKNRSRRTIFPEFALKNRKKLHKLIDPSLGAKKHERAQIMQCLRVAMLCVRDRAEHRPTMSEVVTMLPSIKTPKDRKFEDEIRTRR >ORUFI11G24130.1 pep chromosome:OR_W1943:11:26375246:26378495:1 gene:ORUFI11G24130 transcript:ORUFI11G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPASLIQGRRPLAIPPATASAASHRRHRLLPASPLLCLHRLLPLHKPRSPSTHPTRRSRPSCLVEVEARGIDSAPVLRLSPQATDLETVRSASRRRLSRTQTRKHEEATGNRGFTERGGYSPVDEQNEYVLVVGVVGNDCVPARLAVTI >ORUFI11G24140.1 pep chromosome:OR_W1943:11:26380348:26380902:1 gene:ORUFI11G24140 transcript:ORUFI11G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGYASSARGLIKMIMATVQTAKRNKKQCRELEERVRMVSAVLSRHRRHELQPSTTETTMAARLPPGAREAVDGLDGVLREAHELAVAFSQSGGGGKKTMRRRLVCWARRVVGARRDAERLARVLSRIDFYLSLYPAIAHADTACRVDRLLWTTTVNVVVSAAVAFAGFVVVSISMVSRKK >ORUFI11G24150.1 pep chromosome:OR_W1943:11:26381298:26392224:1 gene:ORUFI11G24150 transcript:ORUFI11G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDKVMNGEYKGCDCGVCKDTYNTTNKYLRRIAKYCNQEEISQAGIGIITSKTVGELGWGRSKRLAPRLLVARLLPGWNTLLHTLLPRGVVVVAVVGDEDDGVTGVVGVVGIDCVRLYATPLASPSPSKYSERNRKDTLFWSVERWTLLRCYGVHMRTSTLFRQFNLFEIKSREFD >ORUFI11G24160.1 pep chromosome:OR_W1943:11:26385371:26386440:-1 gene:ORUFI11G24160 transcript:ORUFI11G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLWDDVVAGPRPETGLEKLRKAATTRPLVINKDGDGEASGVAYKRTQSMPTTPTTPVTPSSSSPTTATTTTPRGSNVWRSVFHPGSNLATKSLGANLFDRPQPNSPTVYDWLYSDETRSSHR >ORUFI11G24160.2 pep chromosome:OR_W1943:11:26385295:26386440:-1 gene:ORUFI11G24160 transcript:ORUFI11G24160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLWDDVVAGPRPETGLEKLRKAATTRPLVINKGCTATRPGAAIAELNNPIDRRLVDLSVTPCLLVLVVI >ORUFI11G24170.1 pep chromosome:OR_W1943:11:26392265:26401198:1 gene:ORUFI11G24170 transcript:ORUFI11G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSLVSGVTTIVKLANDIDGAVKAASRSKKSCEKLAERVADIGDLLKGVDTSSPSTAAVATRRLVGRLERALRRALLLVTSCQSTSRIYSLVAGGGQVNSEIDRCLLDLSLSSLALVSTIDHKLNAAAAAGERNTVEVVAPPPPVKCSHDHDQDDGEDKMAAGGAAEKNGELICFGGEQDGKAETDAGEVTTTTTTIGVPAATAAYMHYHLSPPPPPPCYGYHLHYCHCTHGHCHCAGGRHYSPSYYSDDSADVRLRHMSQSQTIKALSLVSNVTSIVSIASDIAGAAKTARQNKKRCQRLAERVGDIGELTKDLAVDGGGSSSSSSSPSTAATRRLVVKLEEALGSALLLVRSCQASSRRTYYSLLVAGGWQYAEQFDEVNAEIDRCLRDLTVAIVSRIDRKLNAAAGDTNTDIVVDVDVVPADANIVGTHDDGADQVDDKDNNGEQDGKSNSGDDVVGVHHQFSPPPPPPPYYGYYLYYWQCTDGLAGGYHQQRGGHYCHCAAGDGHGHYYSPSSCQWHSDRVDSIRQMFSDDNPNSCSIA >ORUFI11G24180.1 pep chromosome:OR_W1943:11:26396440:26397501:-1 gene:ORUFI11G24180 transcript:ORUFI11G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTKRSNKEPYITDSDGKTNEKAITIDDDSEESLDDYFDEEYNKKVTQESTKTNPSKRRVTRRFKIANKLILAQQKYRKTRCRVEITLRMMAVQHRTVQT >ORUFI11G24190.1 pep chromosome:OR_W1943:11:26407298:26441621:1 gene:ORUFI11G24190 transcript:ORUFI11G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVGTFAMQETGAIQVSNERKMALVAQASTIAQFAGVDAYGLIKMIAEAAQTVRRNRATCLQLARRLKMIGDLLKQLHGAQLMQQPETRNPVEQLEETLRRAYLLVRSCQGRSYVYRCFMGGRHADELREVQSEIAFYLQLFPLVSYVDATLNWVRHLNKADPSCKEDYKVPGSARQLPIQLNRSMSSTPVLEQEAGGVTHFHYLKKNQEQQLTGLLSLAPFHVDAPPNPNHLPNPTSTTIPVPPQPVLHDGRRGHHRLLPLRRPHETRITPKSGDPTTAAPPGKIPQHGDGRMSRGLEERSVVVVVEGGELFLELLLLLLLQMAGLILQRQLQRGPCAPCNPRLLLAVEESPRDVLESPARRGAAVGKESLLPSSPVAVVSQCEDSAPETQSTLAVVGAFTRPVFWSPQPVFVPAAKDSPPESAAATEPPQSLLPATVGSQHESSPMTVKVSAESSKMLLRNDGAGNSDRDGLSAIVIAARGGANTATHDSTRSVQF >ORUFI11G24190.2 pep chromosome:OR_W1943:11:26403076:26407420:1 gene:ORUFI11G24190 transcript:ORUFI11G24190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAVSMAANIAQLTGVDALGLITMIVEAAKAARRNKRTCLELARLVEQVGDLLRALQEQPGVTVMERPETSAPLRELQETLRRAHELVESCRRRGSYPRRLFAGKEQGESLREVQSKISIYLQLFPIICHIDGTRLLVRVIADGAAAARSPRPEDEEDEVLMSLTNRPNPQARFEKFSYSQLVHATNDFSLDSQLEQSTLATLYKGKLHGNDVTIKRLSLLTSGQRLPECMSENELFKNEIKILPELEHKNVAKLVGFCTERRERTTVYEFMQNGNFVDELIVAILQAFAGAATARSILDWPTRFRIIEGIAQGLAYLHNYSRVCIIHRDLKPSNILLDSDMNPKISNFELAEMLSSGTDEQKTDNVVGSIGFSAPEYMHKGIFSVKTDVYSFGVMVLEIISGKRWTQPNQTRFHKDLLTWAWVRSWCCGARVARRLKELVDPPLHAASFRGGALPRCLSLPARRRALSQQREMRRCARAALLCIQESPKRRPAMPEVVHMLRPRGKATPPLPGRSRFTAARASSIHGGETSS >ORUFI11G24190.3 pep chromosome:OR_W1943:11:26403076:26407420:1 gene:ORUFI11G24190 transcript:ORUFI11G24190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAVSMAANIAQLTGVDALGLITMIVEAAKAARRNKRTCLELARLVEQVGDLLRALQEQPGVTVMERPETSAPLRELQETLRRAHELVESCRRRGSYPRRLFAGKEQGESLREVQSKISIYLQLFPIICHIDGTRLLVRVIADGAAAARSPRPEDEEDEVLMSLTNRPNPQARFEKFSYSQLVHATNDFSLDSQLEQSTLATLYKGKLHGNDVTIKRLSLLTSGQRLPECMSENELFKNEIKILPELEHKNVAKLVGFCTERRERTTVYEFMQNGRAATARSILDWPTRFRIIEGIAQGLAYLHNYSRVCIIHRDLKPSNILLDSDMNPKISNFELAEMLSSGTDEQKTDNVVGSIGFSAPEYMHKGIFSVKTDVYSFGVMVLEIISGKRWTQPNQTRFHKDLLTWAWVRSWCCGARVARRLKELVDPPLHAASFRGGALPRCLSLPARRRALSQQREMRRCARAALLCIQESPKRRPAMPEVVHMLRPRGKATPPLPGRSRFTAARASSIHGGETSS >ORUFI11G24200.1 pep chromosome:OR_W1943:11:26412955:26419059:-1 gene:ORUFI11G24200 transcript:ORUFI11G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPEGEEAVVATAAVVEDGLRGNGDGGGGGVGEVVGVGRSIDMEWRKAEEAAIRRYEAANWLRRVVGVVCGKDLAEEPSEEEFRLGLRNGIVLCNALNKVQPGSVPKVVEAPSDSADGAALCAYQYFENVRNFLMGLQDLGLPTFEASDLEKGGKGVRVVDCVLSLRSFSESKQVGRSAPLKYGGILKPSMSGKHFIRKNSEPFVKTMVRSYSAELLRDGVSLEQSLGLDFSLEHVERTTPDSIRMLVQTMLSDKKPEEIPSLVESLLSRVIHEFERRTANQNESVKHALDPNDDKLLSRADTPPEMESTCTCSTGNMDEEDHTSVSMKEEVSTAVLVNGENVVEHIQAKQTDKYFDQQQKHIKDLKSNLATMKSGMEHIKLQYSEDLDKLGKHVHTLSHAASGYHKVLEENRKLYNQIQDLREYIVEYDLSYLESVAGLEDRTITVMTPSKHGKDARKSFTFNRVFGPLATQEQVFADMQPLIRSVLDGYNVCIFAYGQTGSGKTFTMSGPKVLTEEGLGVNYRALNDLFNIQAQRKDTFCYEISVQMIEIYNEQKGIAVPDANIVPVTSTSDVIDLMNLGQKNRAVCSTAMNDRSSRSHSCLTVHVQGRDLTSRTVLRGCMHLVDLAGSERVDKSEVVGDRLKEAQHINKSLAALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHIAPEPDAIGESISTLKFAERVATVELGAAKSNKEGGEVKELKEQIACLKAALAKKDGETESIRSTQSSPDIYRMRMGSASPAFRNPMEEVGNLETRSNGTPRQKKRNFELPDVENDTSSWLDTSSQKEAALGEWVNNSQFGSSNSLLELGPDATQDVVFYQRNSPEPQWSWAGSVATEDSDDFEVTTSCSSEQDMVRPTSAPKAPGSANGSASIARKAQPKGAKSTDIRSTNPAKRAAPLQKKINGPPSASTKNGKQSSLSAADGKRAPNGKVSAKK >ORUFI11G24200.2 pep chromosome:OR_W1943:11:26412955:26419059:-1 gene:ORUFI11G24200 transcript:ORUFI11G24200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPEGEEAVVATAAVVEDGLRGNGDGGGGGVGEVVGVGRSIDMEWRKAEEAAIRRYEAANWLRRVVGVVCGKDLAEEPSEEEFRLGLRNGIVLCNALNKVQPGSVPKVVEAPSDSADGAALCAYQYFENVRNFLMGLQDLGLPTFEASDLEKGGKGVRVVDCVLSLRSFSESKQVGRSAPLKYGGILKPSMSGKHFIRKNSEPFVKTMVRSYSAELLRDGVSLEQSLGLDFSLEHVERTTPDSIRMLVQTMLSDKKPEEIPSLVESLLSRVIHEFERRTANQNESVKHALDPNDDKLLSRADTPPEMESTCTCSTGNMDEEDHTSVSMKEEVSTAVLVNGENVVEHIQAKQTDKYFDQQQKHIKDLKSNLATMKSGMEHIKLQYSEDLDKLGKHVHTLSHAASGYHKVLEENRKLYNQIQDLREYIVEYDLSYLESVAGLEDRTITVMTPSKHGKDARKSFTFNRVFGPLATQEQVFADMQPLIRSVLDGYNVCIFAYGQTGSGKTFTMSGPKVLTEEGLGVNYRALNDLFNIQAQRKDTFCYEISVQMIEIYNEQVRDLLQNETVDIKNSSQKGIAVPDANIVPVTSTSDVIDLMNLGQKNRAVCSTAMNDRSSRSHSCLTVHVQGRDLTSRTVLRGCMHLVDLAGSERVDKSEVVGDRLKEAQHINKSLAALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHIAPEPDAIGESISTLKFAERVATVELGAAKSNKEGGEVKELKEQIACLKAALAKKDGETESIRSTQSSPDIYRMRMGSASPAFRNPMEEVGNLETRSNGTPRQKKRNFELPDVENDTSSWLDTSSQKEAALGEWVNNSQFGSSNSLLELGPDATQDVVFYQRNSPEPQWSWAGSVATEDSDDFEVTTSCSSEQDMVRPTSAPKAPGSANGSASIARKAQPKGAKSTDIRSTNPAKRAAPLQKKINGPPSASTKNGKQSSLSAADGKRAPNGKVSAKK >ORUFI11G24210.1 pep chromosome:OR_W1943:11:26429902:26434300:-1 gene:ORUFI11G24210 transcript:ORUFI11G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYEREKKRLLDLAADSGFERDLAADCLDRIVRLYGEGGQGFITVENCGDDFLGALADATNNNDDWDDLNAIENEACGNLNGMMKHGVIDDKEVEVRTPLFRQAESSARQTRINLDSFGFSSDDDFETLESHCDRSVSTQKKVNRGNNRCESSTSTSNRETLSYQQLYSLDDINFANVVIFGNKSFRPLQYEACRAAVSNMDTFVLMPTGGGKSLCYQLPATLHPGVTVVVCPLLSLIEDQIVALNFKFAIPAAFLNSQQTPSQSSAVIQELRSGKPSFKLLYVTPERMAGNSSFIGILIGLHQRGLLARFVIDEAHCVSQWGHDFRPDYRGLGCLKQNFPRVPIMALTATATASVCKDILSTLRIPNATVLKRSFDRTNLNYEVIGKTKTPQKQLGDLLKERFMNMSGIVYCLSKNECADTAKFLREKYKIKCAHYHAGLAARQRSNVQGKWHSGEVKVICATIAFGMGIDKPDVRFVIHNTMSKSIESYYQESGRAGRDNLPAHCIVLYQKKDLGRIVCMLRNSGNFKSESFKVAMEQAKKMQTYCELKTECRRQTLLGHFGEQYDRQRCKHGCSPCDNCIKIPS >ORUFI11G24220.1 pep chromosome:OR_W1943:11:26442810:26443987:1 gene:ORUFI11G24220 transcript:ORUFI11G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADHAATAIAIPGDPLADSACSTPFVSAPSSPTREREREHHFASPHGAPCFFSAPASPTRGGGGGGCLGDFDFDFSSRFPSPSAAAMSSADELFHNGQIRAVRLSAMLLQPQPLAPLVDGDGHASHLAEEDAAAVEEDGAEADERGRIRSRSVRRKARSMSPFRTRWRAPSPAPETAEECGKTCKNCIENSSS >ORUFI11G24230.1 pep chromosome:OR_W1943:11:26443842:26450218:-1 gene:ORUFI11G24230 transcript:ORUFI11G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSTKAGTLWPPCCHTLKGPHWLLRLGIDAPVARCRPAVTPVGGATAVDPAAKCQWSRGASIIPKQYGLSTAAIYFPCAGECSCCVLLVTVRMKLPCNCDLYHVVNSTSKWFFHTAFATTQTSKQSSMHPSSKNGINGGSSHGGHES >ORUFI11G24230.2 pep chromosome:OR_W1943:11:26443842:26446837:-1 gene:ORUFI11G24230 transcript:ORUFI11G24230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSNDECPGDLIASRRTSVFAIGGHTMLPAKEHSKAWRLSFHPCIGLYLLWFASRYGDMLASERNTYGWEAGIVTSTCLNSHFVIFFPFSFPCAGECSCCVLLVTVRMKLPCNCDLYHVVNSTSKWFFHTAFATTQTSKQSSMHPSSKNGINGGSSHGGHES >ORUFI11G24230.3 pep chromosome:OR_W1943:11:26447012:26450218:-1 gene:ORUFI11G24230 transcript:ORUFI11G24230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSTKAGTLWPPCCHTLKGPHWLLRLGIDAPVARCRPAVTPVGGATAVDPAAKCQWSRGASIIPKQYGLSTAAI >ORUFI11G24240.1 pep chromosome:OR_W1943:11:26450276:26451823:-1 gene:ORUFI11G24240 transcript:ORUFI11G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEPPALSAAVTTPDGWHPRTAERRLLHLLHHSPPARRRPLELLAFAVRHCLPSSPPSPHHHSLAALLLLSSPPPPALPLLRLVPPHAPPPLPLLNAAIKALSASSPPLAFRLLSSLRRLHAPDRLSFLPLLGCVSSLSLLSAVHALLLRLGFLSHHAISLALLKPYPLHYARVLFDEMTQRNKCTVAYNTLITACFRADDLGAARHLFDEMQRYRRSRRSVVSWNVMIAGCAWCKKDDIAVLCFERMVREGEVAPDDGTLAAVLPVCGRLGNVGVGRWAHEHARKSGLLDRKVHVANAVMDMYCKCGDVHSAREVFKGMRQRSVVSWNTMISGFSLNGHGIEGIELYREMRTHGEMPNEVTFLGVLGCCAHAGAVDVGRDIFQSMQLEHGIEPVIEHYGCMVDLLGRSGLLEEAYALIQGMPMRPNAAIWGALLSACHAHSGISIAEVALKELINLEPWNSGNYVLLANLYAETGRWEEAGDARRLMRRMSMQKAPGQSLIEETEFQFTNT >ORUFI11G24250.1 pep chromosome:OR_W1943:11:26452444:26454681:-1 gene:ORUFI11G24250 transcript:ORUFI11G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAARFLTAVSFLAAGVLFAPDALLGGSGRSGAGVAAARLAHVLCFATAWGAALWVTFIGGIVMFKYLPRHQFGSLQGKMFPAYFMLISVCSAISVAAFAYLHPWKTASTIERYQLGFLISALGFDLSNLLVFTPMTTEMMMRRHKIEKDLGIGSEVGFSKNAEVARTSPTLAAMNKKFGMIHGLSSLANIMAFGSLAMHSWYLASKLQI >ORUFI11G24260.1 pep chromosome:OR_W1943:11:26454828:26456003:-1 gene:ORUFI11G24260 transcript:ORUFI11G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDVCDGLSVADRNGVRRIPAGEHRLIIGELTHTVTIALEQLGLILADGLPALTFLASKH >ORUFI11G24270.1 pep chromosome:OR_W1943:11:26456717:26462103:-1 gene:ORUFI11G24270 transcript:ORUFI11G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLLPLLVLAGAAAGGGVVPVAARSAFACAAGGPAATLPFCRRSLPARARARDLVARLTRAEKVRLLVNNAAGVPRLGVAGYEWWSEALHGVSDTGPGVRFGGAFPGATAFPQVICTAASFNATLWELIGQAVSDEGRAMYNGGQAGLTFWSPNVNIFRDPRWGRGQETPGEDPAVAARYAAAYVRGLQQQQPSSGRLKLAACCKHFTAYDLDNWSGTDRFHFNAVVTRQDLEDTFNVPFRSCVVDGRAASVMCSYNQVNGVPTCADAAFLRGTIRRRWGLAGYIVSDCDSVDVFYSDQHYTRTREDAVAATLRAGLDLDCGPFLAQYTESAVAQGKVGDGDIDAAVTNTVTVQMRLGMFDGDPAAQPFGHLGPQHVCTAAHQELAVEAARQGIVLLKNDGRALPLSPATARRAVAVVGPHAEATVAMIGNYAGKPCRYTTPLQGVARYAARAAHQPGCTDVACAGGAQPIAAAVDAARRADATIVVAGLDQKIEAEGLDRASLLLPGRQAELISSVAKASKGPVILVLMSGGPIDIGFAQSDPKIAGILWAGYPGQAGGQAIADVIFGHHNPGST >ORUFI11G24280.1 pep chromosome:OR_W1943:11:26467872:26468869:-1 gene:ORUFI11G24280 transcript:ORUFI11G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFLKRRNHVTNTELSNGCLLLLRVCGGAPNSTVVQVAETPTTNASATWFGTVVVIDNPLTDGPNLTSSRLVGRAQGMYVVAAGKDALSLMMAMNFVFADDGPCNGNSLAVFGAMFSSLAAWTPAGRRRAALSPPPPARAERSGRRPLSAAACAAG >ORUFI11G24290.1 pep chromosome:OR_W1943:11:26467999:26468325:1 gene:ORUFI11G24290 transcript:ORUFI11G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNTARLLPLHGPSSANTKFIAIISDSASLPAATTYIPCARPTSLEEVRLGPSVSGLSITTTVPNHVAEAFVVGVSATCTTVELGAPPQTRRRRRQPLLSSVLVTWF >ORUFI11G24300.1 pep chromosome:OR_W1943:11:26493685:26499348:1 gene:ORUFI11G24300 transcript:ORUFI11G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILDSLIGSCVNKLQGIITEEAILILGVEEELRKLQERMKQIQCFISDAERRGMEDSAVHNWVSWLKDAMYDADDIIDLASFEGSKLLNGHSSSPRKSFACSGLSFLSCFSNIRVRHKIGDKIRSLNQKLEEIAKDKIFATLENTQSSHKVSTSELRKSSQIVEPNLVGKEILHACRKLVSQVLTHKEKKAYKLAIIGTGGIGKTTLAQKSDVWTNLLRTPLYAATSGIILITTRQDTVAREIGVEEPHHVDLMSPAVGWELLWKSINIEDDKEVQNLRDIGIEIVQKCGGLPLAIKVIARVLASKDKTENEWKKILANNVWSMAKLPKEITGALYLSYDDLPQHLKQCFLYCIVYPEDWTIDRDYLIRMWVAEGFVEVHKDQLLEDTGEEYYCELISRNLLQPVVGSFDQSECKMHDLLRQLACYISREECYIGDPTSMVDNNMRKLRRILVITEKDMVVIPSMGKEEIKLRTFRTQQNPLGIEKTFFMRFLQAIITDKTILILGVKDELEELQRRTNVIRSSLQDAEARRMEDSVVEKWLDQLRDVMYDVDDIIDLARFKGSVLLPDYPMSSSRKSTACSGLSLSSCFSNIRIRHEIAVKIRSLNKKIDNISKDEVFLKLNRRHHNGSGSAWTPIESSSLVEPNLVGKEVIRACREVVDLVLAHKAKNVYKLAIVGTGGVGKTTLAQKIFNDKKLEGRFDHRAWVCVSKEYSMVSILTQVLSNMQIHYEQNESVGNLQSKLKAGIADKSFFLVLDDVWHYKAWEDLLRTPLNAAATGIILVTTRDETIARVIGVDRTHRVDLMSADVGWELLWRSMNVKEEKQVKNLRDTGIEIVRKCGGLPLAIRAIAKVLASLQDQTENEWRQILGKNAWSMSKLPDELNGALYLSYEVLLHQLKQCFLYCALFPEDATIFRDDLTRMWVAEGFIDEEKGQLLEDTAERYYYELIYRNLLQPVGLYFDHSRCKIHDLLRQLACRLSREECFVGDPESLGSNSMCKIRRILVVTEKDIVVLPSMDKERYKVRTYRISYEKPLQVDSSHFKKLKYLRVLDLTNSHVQRIPNYIENMIHLRLLDLDWTDISHLPESIGSLQNLQILNLQRCKSLHSLPLATTQLCNLRRLGLAGTPINQVPKGIGRLKFLNDLEGFPIGGESDNTKIQDGWNLEELAHLSQLRCLDMIKLERATPCSSTDSFLLAEKKRLKLLALWCTEQTDESYSEENASNVENIFEKLTPPHNLEKLVIVNFFGCRFPTWLGTAHLPSVKSVILTNCKSCVHLPPIGQLPNLKYLRIEGASAITKIGPEFVGCWEGNLRSTEAVAFPKLEALVINDMPNWEEWSFVEEEEELQEEETTAAAKEGGEDGTAASKQKGEETLSPRSLWLLPCLTKLELQDCPKLRALPPQLGQQATNLKDLLIREAECLKTVEDLPFLSGCLLVERCEGLERISNLPQVRELYVNLCPNLRHVEELGSLEQLGLTKNMQEISKLWVPRLQEQHRRLHGDEHELEVNEWL >ORUFI11G24310.1 pep chromosome:OR_W1943:11:26513170:26535857:1 gene:ORUFI11G24310 transcript:ORUFI11G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGWATVWLTKPNLVDKKISGSEQVADEQVVTVADGDMVLSAQPEKEMTAAMLLKSSGHAGSHYPETGSNEAVAVGLAPHMTPIRRSDRSNSETALGIASADDDSLLKAMKRKAAINLHDQFAPYGARPALLASPQALKHINVDKLKLLLDEGMQEISHLWVEQHRQLHGEEHELETVLLLHDLLGYIAYAKKKLGYIGASPSTSFSMATILDSLIGSCAKKLQEIITEEAILILGVKEDLRELQEKMEQIRCFISDVERRGMEDSSIHNWISRLKDAMYDADDIIDLASFEGSKLLNGHSCSPRKTIACSGLSLLSCFSNIRVHHEIGNKIRSLNRKLEEIAKDKIFVTLENTQSSHKDSTSELRKSSQIAESNLVGKEILHASRKLVSQVLTHKEKKTYKLAIIGTGGIGKTTLAQKVFNDGKLKQSFDKHAWICVSQDYSPASVLGQLLRTIDAQCKQEESVGELQSKLESAIKDKSYFLVLDDVWQSDVWTNLLRTPLYAATSGIVLITTRQDTVAREIGVEEPHHIDLMSPAVGWELLWKSINIEDEKEVQNLRDIGIEIVQKCGGLPLAIKVIARVLASKDKTENEWKKILVDKLPKEIRGALYLSYDDLPQHLKQCFLYCIVYPEDCTIRRDDLIRLWVAECFVEVHKDQLLEDTAEEYYYELISRNLLQPVDTSFDPSKCKMHDLLRQLACHLSREECYIGDPTSLVDNNMCKLRRILAITEKGMVVIPSMGKEEIKLRTFRTQPNPLGIEKTFFMRFTYLRVLDLTDLLVEEIPDCVGYLIHLRLLDLGGTNISCLPNSIGALKNLQMLNLQWCKSLYGLPSTITRLSNLRRLGLDFTPINQVPRGIGRLEFLNDLEGFPVGGGSDNTKMQDGWNLQELSHLSQLRRLDLNKLERATPRSSTDALLLTDKKHLKSLHLCCTEPTDEAYSEEAISNVDMIFEQLSPPRNLEDLMIVLFFGFPTWLSTSLLSSLAYLKLKDCKSCVHLPPIGQLPNLKYLRIKGASAITKIGPEFVGCWEGNLRSTEAVAFPKLKLLAIKDMPNWEEWSFVEEEEEKEVQEEEAAAAAKEGREDGTAASKQKGEEAPSPTPRSLWLLPCLTKLQLVECPKLRALPPQLGQQATNLKELDIRRARCLKTVEHLPFLSGILFVQSCQGLEIISNLPQVRELLVNHCPNLRHVEMLGGLEQLWLSKNMQKISSLWVPGLEEQHRQLHGDEHKLENATPAAVVAGKTCTPQPPGEKAELTQAMDAGARRPMFFSSRIAMGWKKTTTTTTRRKNRRRRYNAHGYRVEIYGRKTRGKVLVAAT >ORUFI11G24310.2 pep chromosome:OR_W1943:11:26513170:26526577:1 gene:ORUFI11G24310 transcript:ORUFI11G24310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGWATVWLTKPNLVDKKISGSEQVADEQVVTVADGDMVLSAQPEKEMTAAMLLKSSGHAGSHYPETGSNEAVAVGLAPHMTPIRRSDRSNSETALGIASADDDSLLKAMKRKAAINLHDQFAPYGARPALLASPQALKHINVDKLKLLLDEGMQEISHLWVEQHRQLHGEEHELETVLLLHDLLGYIAYAKKKLGYIGASPSTSFSMATILDSLIGSCAKKLQEIITEEAILILGVKEDLRELQEKMEQIRCFISDVERRGMEDSSIHNWISRLKDAMYDADDIIDLASFEGSKLLNGHSCSPRKTIACSGLSLLSCFSNIRVHHEIGNKIRSLNRKLEEIAKDKIFVTLENTQSSHKDSTSELRKSSQIAESNLVGKEILHASRKLVSQVLTHKEKKTYKLAIIGTGGIGKTTLAQKVFNDGKLKQSFDKHAWICVSQDYSPASVLGQLLRTIDAQCKQEESVGELQSKLESAIKDKSYFLVLDDVWQSDVWTNLLRTPLYAATSGIVLITTRQDTVAREIGVEEPHHIDLMSPAVGWELLWKSINIEDEKEVQNLRDIGIEIVQKCGGLPLAIKVIARVLASKDKTENEWKKILVDKLPKEIRGALYLSYDDLPQHLKQCFLYCIVYPEDCTIRRDDLIRLWVAECFVEVHKDQLLEDTAEEYYYELISRNLLQPVDTSFDPSKCKMHDLLRQLACHLSREECYIGDPTSLVDNNMCKLRRILAITEKGMVVIPSMGKEEIKLRTFRTQPNPLGIEKTFFMRFTYLRVLDLTDLLVEEIPDCVGYLIHLRLLDLGGTNISCLPNSIGALKNLQMLNLQWCKSLYGLPSTITRLSNLRRLGLDFTPINQVPRGIGRLEFLNDLEGFPVGGGSDNTKMQDGWNLQELSHLSQLRRLDLNKLERATPRSSTDALLLTDKKHLKSLHLCCTEPTDEAYSEEAISNVDMIFEQLSPPRNLEDLMIVLFFGFPTWLSTSLLSSLAYLKLKDCKSCVHLPPIGQLPNLKYLRIKGASAITKIGPEFVGCWEGNLRSTEAVAFPKLKLLAIKDMPNWEEWSFVEEEEEKEVQEEEAAAAAKEGREDGTAASKQKGEEAPSPTPRSLWLLPCLTKLQLVECPKLRALPPQLGQQATNLKELDIRRARCLKTVEHLPFLSGILFVQSCQGLEIISNLPQVRELLVNHCPNLRHVEMLGGLEQLWLSKNMQKISSLWVPGLEEQHRQLHGDEHKLEVNEWF >ORUFI11G24310.3 pep chromosome:OR_W1943:11:26507320:26514717:1 gene:ORUFI11G24310 transcript:ORUFI11G24310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATILDSLVGSCANKLKEIITEEVILILGIQEELAELQRKTELIHCCISDAEARRMEESAVDNWLGQLREVLYDVDDIIDLARFKGSILLTDHPSSSSRKSIACTGLSISTCFSNVQARHEVAMKIRSLNRKIENISKDRVFLTLKSTVPTGSSSVLRVRKSSHLLEPNIVGKEIIHAYRKMVDLVLEHKGRKLYKLAIVGTGGVGKTTLAQKIYNDRKIKGSFNKKAWVCVSKVYSEASLLRELLRIMEVHHDQDESIGELQSKLEIAIKETNFLLVLDDMWQSDAWENLLRIPLHAAETGTILITTRNNIVALEIGVDHTYRVDLMSTDVGWELLWKSMNISESIELQTLQDVGIEIVRKCGCLPLAIKVIARVLASKEQTENEWKKILSKNAWFMNNLLNDLRGVLYLSYDELPRHLKQCFLYCSVYPEDANIYRDDLTRMWIAEGFIEDHGGQLLEETADEYYYELIHQNLLQPDGLYYDHSSCKMHDLLRQLACYLSREECFVGNPESLVGNTVSKLRRVSVVTDKNMVMLPRMDEVQYKVRTWKTSYEKTLRVDNSFFKRFPYLRVLDLTNSFVPSIPGCIGNLIHLRLLDLDGTNVSCLPESIGNLKNLQILNLERRLGLNYSPIYQVPKGIGKLEFLNDVEGFPVYGGCNEPYTEKDFSNIEKIFEQLIPPCNLEDLAIVKFFGRQYPFWIDSTHLAYVKSLHLFNCKFCMHLPPVGQLPNLKYLKIEGAAAVTIIGPEFAGHRASNLGRTVAFPKLEELLIRDMPNWEEWFFIDEATSTAKERILSRLRRLELSGCPKLKALPRQLAQINSLKEIELRWASSLKVVENFPLLSEMLLIATCQALEKVSNLPQVRELRLQDCPNLRLVEDLSTLEQLWLYEDMHEVSTLWVPGLQQQCRQHHGEDLDIV >ORUFI11G24310.4 pep chromosome:OR_W1943:11:26507320:26514717:1 gene:ORUFI11G24310 transcript:ORUFI11G24310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATILDSLVGSCANKLKEIITEEVILILGIQEELAELQRKTELIHCCISDAEARRMEESAVDNWLGQLREVLYDVDDIIDLARFKGSILLTDHPSSSSRKSIACTGLSISTCFSNVQARHEVAMKIRSLNRKIENISKDRVFLTLKSTVPTGSSSVLRVRKSSHLLEPNIVGKEIIHAYRKMVDLVLEHKGRKLYKLAIVGTGGVGKTTLAQKIYNDRKIKGSFNKKAWVCVSKVYSEASLLRELLRIMEVHHDQDESIGELQSKLEIAIKETNFLLVLDDMWQSDAWENLLRIPLHAAETGTILITTRNNIVALEIGVDHTYRVDLMSTDVGWELLWKSMNISESIELQTLQDVGIEIVRKCGCLPLAIKVIARVLASKEQTENEWKKILSKNAWFMNNLLNDLRGVLYLSYDELPRHLKQCFLYCSVYPEDANIYRDDLTRMWIAEGFIEDHGGQLLEETADEYYYELIHQNLLQPDGLYYDHSSCKMHDLLRQLACYLSREECFVGNPESLVGNTVSKLRRVSVVTDKNMVMLPRMDEVQYKVRTWKTSYEKTLRVDNSFFKRFPYLRVLDLTNSFVPSIPGCIGNLIHLRLLDLDGTNSPSAITQLCNLRRLGLNYSPIYQVPKGIGKLEFLNDVEGFPVYGGCNEPYTEKDFSNIEKIFEQLIPPCNLEDLAIVKFFGRQYPFWIDSTHLAYVKSLHLFNCKFCMHLPPVGQLPNLKYLKIEGAAAVTIIGPEFAGHRASNLGRTVAFPKLEELLIRDMPNWEEWFFIDEATKGLMMEILSRLRRLELSGCPKLKALPRQLAQINSLKEIELRWASSLKVVENFPLLSEMLLIATCQALEKVSNLPQVRELRLQDCPNLRLVEDLSTLEQLWLYEDMHEVSTLWVPGLQQQCRQHHGEDLDIV >ORUFI11G24320.1 pep chromosome:OR_W1943:11:26519792:26520896:-1 gene:ORUFI11G24320 transcript:ORUFI11G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRARPHMAVRPGSQRTWRCGGQGFAVSDEESDGGGRPATARAWRAAALGRSAPMSGRRGTWMSARGRWRRRRGARPRRCCPRASKWHPRAAPRQRAWSPRRGP >ORUFI11G24330.1 pep chromosome:OR_W1943:11:26533917:26535595:-1 gene:ORUFI11G24330 transcript:ORUFI11G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLLKNIGRRAPASMACVSSAFSPGGCGVQVLPATTAAGVAFSETNKGYSELKQMYQAFCFNHPGLYDSDTGCDEYVKRMRAIVELVCRLEKEGQDWTSCTIEWDSERDLPIVPQWTPSSRTRTMTVGDRHALLAAAIVVAGAATLVAGAAVVSRLTESSVFSPPDGVNWLGKFIN >ORUFI11G24340.1 pep chromosome:OR_W1943:11:26539309:26540688:-1 gene:ORUFI11G24340 transcript:ORUFI11G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRIPTRANLALAALLIVLTVATTTVPLASAKCIAKNKPEGEEGEPGGAGAAEAHASPEKKPGSTGGLSTMSVGESVPEIKKDSSDDGATVNESKKPKSSGGLTTLSVDDSQAEPADSIAEPMEDGTDDGEDESEKKKKKKKKKSKSKSSDDDDDDAKKKSKKKPKNSDDDEDDKKKSKKKPKNPDDDEDDKKKSKKKSSDEDNDGAKKKKKKKSKGKSSDEEDDEKPTKKSKSKSKSSSSDEEDEKKSKSESQAAAKPKEEDEEGGSASASASASTSAPKNEHHSGTMSLPDPDMIAQPVMQALNPVVKALCGKTDHADLCESSIGQLPQQPPAQLDDIGVLRLSMNALRAKVQEAISVATNRMGEASGDEVSKDAMGDCLQMYDDMKSNLDSADAALKKGDKDTAHTMLDSARTDVDTCEDGFSEREGLKPIMGDLDKILAELSSNTIAIASAIIE >ORUFI11G24350.1 pep chromosome:OR_W1943:11:26541398:26544615:-1 gene:ORUFI11G24350 transcript:ORUFI11G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIPTRANLTLAALLILLTVAATTVPLASAKCTVKNKPEGKVEKPESSQIDAPTSFQLLVPRTIHMLRHHVKEFHEKYLGSSVMEAVTDKSSLVSGDNVKTGCSVNRLCLVRVLIRAFKEGSFEEGAVVCAPLPSDLSAWNRKTRSEEDEEECLEKWELQLPQSHVTSYFSWLDPSTSNLQLPNDDSTRKAFRWPIGFVTMGFVHGSSGQDAVAIAFCEAKLLAVLRRQQWTHENLKRKDICVLVRNARSAAYRRALATIVLEQQEDDLKFF >ORUFI11G24360.1 pep chromosome:OR_W1943:11:26545040:26549726:-1 gene:ORUFI11G24360 transcript:ORUFI11G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPPPPRQLDVRRFAAARAGELRSLHESVSARLAGRLTQPRSARRRTTGHLPSNKRRRRRSRDAEAADGTEEEEGRPSRRVRRRRELAGNPAEGFSAAGDGARRLRTHLWHAKRFSMERRWGFVLPIGAHGRGRGSRTVLKWLKNGTVVHDASYFTPVELDGPEDSLLSIVRMVLHPSPQDKTPGLKHLHGQVMRGVCYENAMLCRVGCPHLEIVGPVTYMWRPFLREGGELETEDVDLSNSQIISDERNSLRRQLWIWIHPSALSEGLETLRAACHQQMQESGDTISCCSLEGKMARLEVMGSNAMQSLKSILKPVSNPSISTKLVNKNNVTTSTDPLYCSTGSHLLKRSVFDNADILQSGAILSMIVHDPRDNSVEGTDSSKTVSLDQNNQLMEEYQVPNADETPSGIGNILSSIWLNPGNHDLALSDCRELWDSSLKINPPVDEKILCMEKHHRRMKFFCLNSGNDQGQTSQEKDSIGRSCPVILLKHAKGSLISVGWSVILPLSWVKPFWFYLVSHGAHAIGLRERRWIASKLKMPCFPYDYPDSKAYSLFMAEEAAVLDKATNCRPSSMRPPRVPVPPLWHCVIASFRKGDGILSNLEDDLKSVATVLSESIPVNSNSGDAESSPTSAPTSFQLLVPRTIQVLRQYVKKIDEKYLNSSDMEAVTGKSSLVSGDNVKTGCSINRLCLVRVLIRAFKEGSFEEGAVVCAPLPSDLSAWNRKTSFVSHCILLYEMSAGKYQFKKLIPVHASNRSEEEEEECLEKWELQLPQSHVSSYFSWLDPSTSNLQLPNGDSTRKAFRWPIGFVTTGFVHGSSGQDAVAVAFCEAKLLAVLRRQQWRTHESLKRKDICVLARNARSAAYRRALATIVLEQQEDDLKFL >ORUFI11G24370.1 pep chromosome:OR_W1943:11:26551905:26552456:1 gene:ORUFI11G24370 transcript:ORUFI11G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRGGSNIVVDGSGYAACGSVAAALDLVGAVDSKDVSVAMRLRGQRQRETRAADGKEAQLGWRGGRAASSWASTSSPLELTVVLETSGGGRIRRGRPGESAQERGGRGKGVGGGGSSFSLLVGTLFLSGASPLLREEFLGRVEAVAGQRGKLKLPNQCRSASSSTLAEHGKKAS >ORUFI11G24380.1 pep chromosome:OR_W1943:11:26563745:26564214:-1 gene:ORUFI11G24380 transcript:ORUFI11G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAWPLHLPVLPSCRRAILRVPDSSTPTTADSRRMSERPRPEGYVDLVYGGYPTKGGEEEADM >ORUFI11G24390.1 pep chromosome:OR_W1943:11:26569025:26575769:1 gene:ORUFI11G24390 transcript:ORUFI11G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAELVKKIRELEEGQAELKREISRIVPERGGARRPPTLPAQQRRTALPQAAAAAPSSRLLQRVGRAGLPDRHYVRILHSLGQAVHVISLDGKLMYWNRYAEHLYGYSVPEAVGQDALELIVHPSDYGAANDIIQNIFMGKCWRGKFPVKHKSGERFNIVASNTPLYDDDGSLVGLICLSTDTRTLEEILGHSTSGKVYPSSAKPRVQLNGSKSGLLNKVSCDSQQPLQSAITSRITNLATRVTNRVRSRVKTGQNCDDQFGGACESHYSEHDAREEQTSSEGSTPSGDVLHGAFVSEDNYSGKSSKTNSDDSGEGKLGLHKILSSTAEALWANRGIPWPWRGHGNDDAGKNRTNLPQFHEIQENGQSHKEVPEPIILPDCQDTEFVQEVKYEVSGSWWSFNASTSSMSSTGSTNSSAIERADREADCLDFEILWEDLAIGEQVGQGSCGTVYHALWYGSDVAVKVFSKYEYSEDMILTFRQEVALMKKLRHPNVILFMGAVASLQRLCIVTEFLPRGSLFRLLQKNAGKLDPRRRVHMAIDIARGMNYLHNSSPPIVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLTTKTGKGTPQWMAPEVLRNEPSNENYGVILWEIATQKIPWDNLNTMQVVGAVGFMDHRLDIPSDVDPHWASMIESCWDRCTYYIYFFDISTYYFFANSEETTVLNVKQLILVFNKPILSASSDPQRRPSFQELLDQLRDLQKQYNLQAQLQRTAAAKMSVDDC >ORUFI11G24400.1 pep chromosome:OR_W1943:11:26577706:26589612:1 gene:ORUFI11G24400 transcript:ORUFI11G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASWPAFGVSPEEAGKGKWYIATAATNHMTNDKSLISDLKPVADGRNIIADGNGAGLKVQGRGAVNTETVVLPAEVWYVPEIDENLVSVDQLNELGLSISIGRGVCTVTRVSDGSVVGKARRSGGVYEVEFLKFLSSSPRALAASPPSASPPPADSSEMASYPVFQVSPEEAAKGKWYMATAATNHMTRDQSLISDLKPVTGRVVSRGNGAGLKAHGSGAVNTETVAIPDVWHVPGINANLVSVPQLSLLGLNISFDRGGCTVTRASDGSLAKRVDQVRSMRWNSSKFRLISTRNSSLQSPEEAAKGKWYIATAATNHMTRDQSVISNLKPVTGRVIGGGNGAGLQVHGSGAVNTETVAIPDVWYVPGINCNLVSVGQLCQLGLEVSIFRGVCTVTRASDGSVVGKAHRSGAVYEVEFLKLIRTNSIDPVWLASSYASIVFVVGDRSWHLAKGRILEEERANVNVSSLNNIFISAEPGATFPRWFITEAATNHMTSDKISIFSDLKPMAGLVIDDRAGAGAGLPMHGIGAVYSWEVALQDVWYVPGMSAYIGLVSAGQLTAIGLKTEIAGDVCTITSADGSEVGKGRMACRNGRTMPDHPCLPHCPLQQGDPPHQQINNGKQLSCASTSSPGTPQQCKGKKTEIAAVCFKKETERLSRLDEKKERKALGPLEK >ORUFI11G24410.1 pep chromosome:OR_W1943:11:26593328:26593868:1 gene:ORUFI11G24410 transcript:ORUFI11G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDGHHTHGATDRCVVADRFEVDPWRRVGGEQELQTVACGGGDGAVGLSFETHHGGGVAPSPEFAACAASSCSAEIM >ORUFI11G24420.1 pep chromosome:OR_W1943:11:26599750:26602401:1 gene:ORUFI11G24420 transcript:ORUFI11G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVILLTVKKIGVALGNEAINQATSYFKKSVTQLTELQGSMGRIRRELRLMHEFLSGMDVRNRNNRKYEIWVEEVRMLVYQIEDIVDDYLHLVGHKHHIGWGTYLKKGFRRPNVLLSLNKIASLVKDVEASLVHLFQAKERWVFMDVGAATGGESSSYIVVEKSRHLASISRSLDEEDLVGVDENKKKLHEWLSSNELQRDVIVVHGMGGLGKTALAADVYRSEKEKFECHAWVSISQTYSIKAVLKCLINELDEKKSIRGNISDMDTGGLQDELKKFLKDQKYLIVLDDVWVPEAVNDLFGALVSNLSRSRVLVTTRIDGVAHLAFPDKRITLKPLSEQESWELFCRTAFPRDKDNECPAELMTLAKQIVSKCQGIPLAIVSVGRVLFVCEKTEEEFKRIHNQLDWELVNNPSLEHVRNILYLSYIYLPTHLKSCFLYCSLFPEDYLFTRKRLVRWWIAEGFVEKRGISTMEEVAEGYIKELVYRNMLQLVQKNSFGRMKSFRMHDILHELAVDLCRRECFGHSYNSKNKHEEFLEKDERRMVIHKLDKDVNQAISSEWSRLRSFVTLERNMSSPNLLTLVEGKCRYMSVLELIGLPKDNIPNVIGDLFNLKHLSLRDSMVKFLPNSIEKLSNLMTLDLCKSEIQELPGGIVKLKKLRHLFAEKLNGKFWRDFQWSTVGRYFEDLCESLCQMEYLSLLNIAASDEEEVLQLNGLKWLHPNVKKLRLIGRLAQTGLLSCAPEAGSHSLCSLCLFWSQLAEDPLPSLSRWSNLTDFRLTRAYLGEQLVFLPGWFPRLKTLYLVDMPNLKRLKIHQGSITSLEELHLINLRGMTEVPSDIIFLLPTLKYLYFLEITWDFFTALRRSRIGSIRWRYSLASDARL >ORUFI11G24430.1 pep chromosome:OR_W1943:11:26605095:26605567:-1 gene:ORUFI11G24430 transcript:ORUFI11G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARRMVSSIAVVLFMLLLIVADSTFSSCYALTPRQTCNDLGDLACTDETCKKIYGDRLEYYCKPGVTPTVCCCMNVTASVPSGVKNQNGDTLLN >ORUFI11G24440.1 pep chromosome:OR_W1943:11:26622951:26626527:1 gene:ORUFI11G24440 transcript:ORUFI11G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKLSHRLFSALVSLLLHGKPISRSSSNTNTTLPHPSLLHKSSSSSPPMEKLAVKTLVLDVEGGLLRSSSLFPYFMLVALEAGGFLRGLVLLLLYPLLCVMGSDMALKVMAMVSFCGLRASRFRAGRAVLPKWFLEDVGEEGFDVMRSAMRRVCVTKMPRIMVEGFLKEYLEVEVVLGREMKVIWGFFTGIMEEEEEGGDQEEVLLEEKKMLVDVVGFSTSLEFLQHHLSHCCKEVYLVTREEKARWSALPRDKYPKPMVFHDGRLAFRPAAGDTLAMFTWLPFGAALAVARLAVALAVPYRYSTPILAATGLSWRLKGEAPAPLAAGAGDGARRRRRGQLFVCNHRTLIDPVYVSVALDRPVRAVSYSLSRLSELISPIGRTVRLTRDRDSDGRAMARLLDGGDLVVVCPEGTTCREPCLLRFSPLFAELSDDVVPVGIAVDTAMFYATTAGGLKCLDPLYYIANPRTCYAVQFLERVDTSPARERRAPSTDVANLVQRRMGDALGYRCTMLTRKDKYLMLAGNDGVVNTTQDNHSAPGKKKMQ >ORUFI11G24450.1 pep chromosome:OR_W1943:11:26628709:26634341:-1 gene:ORUFI11G24450 transcript:ORUFI11G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLPLIPRRTRPPAIHRRRFHRPPPHPLLAAASSSSRRVSTTASTQQCAPSTLALLADHPHPAVAEFPRAGFSRLGDHPLLARAIHGLAVRHALPLSAFHRNTLLAFYFRQRGAAAAAAALHLFDEMPDRTPSTWYTAVSGCVRCGRDVAAFELLRGMRERGVPLSGFALASLVTACERRGRDEGIACGAAIHALTHRAGLMGNVYIGTALLHLYGSRGIVSDARRLFWEMPERNVVSWTALMVALSSNGYLEETLRAYRQMRREGVPCNANAFATVVSLCGSLENEVPGLQVASHVIVSGLQNQVSVANSLITMFGNLGRVQDAEKLFDRMEEHDTISWNAMISMYSHQGICSKCFLVFSDMRHHGLRPDATTLCSLMSVCASSDHFSHGSGIHSLCLRSSLDSSVTVINALVNMYSAAGKLSDAEFMFWNMSRRDLISWNTMISSYVQNCNSTDALKTLGQLFHTNEIPNHLTFSSALGACSSPGALIDGKMVHAIVLQLSLQRNLLVGNSLITMYGKCNSMEDAEKVFQSMPTHDVVSYNVLIGGYAVLEDGTKAMQVFSWMRSAGIKPNYITMINIHGSFTSSNDLHNYGRPLHAYIIRTGFLSDEYVANSLITMYAKCGNLESSTNIFNSITNKNIVSWNAIIAANVQLGHGEEALKLFIDMQHAGNKLDRVCLAECLSSCASLASLEEGMQLHGLGMKSGLDSDSYVVNAAMDMYGKCGKMNEMLQMVPDQAIRPQQCWNTLISGYAKYGYFKEAEETFKQMVAMGRKPDYVTFVALLSACSHAGLVDKGIDYYNSMASSFGVSPGIKHCVCIVDLLGRLGRFAEAERFIEEMPVLPNDLIWRSLLSSSRTHKNLEIGRKAAKKLLELDPFDDSAYVLLSNLYATNARWVDVDKLRSHMKTININKRPGCSWLKLKNEVSTFGIGDRGHKHAEKIYAKLDEMLLKLREVGYIADTSSALHDTDEEQKEQNLWNHSEKLALAYGLIVVPEGSTYNHLFGDHWSQQKRGSSIILDERRGGPGSSITERRQYEQETTGFIKDHEEIRRRPRCRRIKHDITFLFSPLIMVHDYRFMAHDDRLLCSVWANGDVMAGAEMLTSTTEL >ORUFI11G24460.1 pep chromosome:OR_W1943:11:26635207:26642890:1 gene:ORUFI11G24460 transcript:ORUFI11G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVTIGAAVGWGMKAAGWIISPIISNLMKEGFSYLGFDTSKKLRQLEMKVLELELMLGLEAAQIYPHRNRLEPLLKNLRSAYYEAEDILDDVEYHRLKGQIQSHPCHRNWVHKIRSALPSCSFMKNQENGNMSDEAPSGISRKKLKKSLDKIENIINEAHRILPLLSLPNQGNVNKRQIVHANSRSPVTTATPPPVVIGREKDCDNIISMLHEDVSNVQPGSSNSFLCYSIIGIYGIAGSGKSTLAQLVCASEKKDKQEKKDGHFDLIMWVHVSQNFIVDTILTEMLEAATGKKCDRFNNLDTLEQKLEEALGGKRFLLVLDDIWYHNSENQHEQQKILTPLRVGNPGSKVLVTSRTEYALLALGALKCIPISDLDDNVFLKLFLHYALPLSGYVYKLVLPSTISTLRHFQVLDFGNCMELVFSSEEDLSKLNSLRHVIVSPLFPLSIRHLGRLTSLQTMPPIKVERGEGYELQQLRRLNKLRGRLEIQGPENVESKEAAAEANLGAKERLQQLVLVWEDDNESCSPDVQEEVLEGLCPPMELESLEIKGYQGSSWDSLPDDMERLTSIKNLTLSHCYNILLLPALPKSLELLRVDGCSTELTSSCRTTGHPNWHKIKHIPHKYMGMSNVQLGSSNGSLCYSIIGIHGIAGSGKSTLAQLVCASEKKDKKEKKDGHFDLIMWVHVSQNFSVDAILAEMLEAATGKKCGHFNNRDTLQQNLEEALVGKRFLLVPDDIWYYNGENQQELEKIITPFAVGMAGSKILVTSRTEYALKALGALKCIPISDLDENVFLKLFMHFALPVANIDQRKFEEIGADIAKMLRRSPLAARTVGGQLRIRPSFDFWRDTRNRGFSDETMGALWWSYRQLDEQVRQCFSYCSIFPRRHLFKRDELVTLWVAEGFIRTTNKGDDLEAVGRQYFDELRGWTGDLPPDVRHLFIEAYDDKMITERILEMGSLRTDLIICMNNTEMMAEETVFETIHRDEKIACPEGCHFQQIFPSAITKLYHLQVLDFGSCGEIVFSSKEDLSKLVKLRHLISPQLLSIQNFDRLSSLQTIPTLTLEWKEVRYGLRQLKHLNNLRGKLIHIEGLRYVEGKNAAVVANLAAKERLKELVLHWSDMFNRCPVDVQEEVLEGLCPPTELEILEINCYHGSRYPSWLVDQQNNGPKYLDRVTFVRSSPLGSIPERFTHLRSLRMRKCSWDSISTR >ORUFI11G24470.1 pep chromosome:OR_W1943:11:26652434:26662191:1 gene:ORUFI11G24470 transcript:ORUFI11G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDMWGISMARSIISAVVRRLLASELIIISSDASDKLRNLEAWILPQLPLFDLQTIENNRRHELEILMRELKSAFYEADDILDEAEYRRLEEQVDGSTSNQVIRIPGTEALMSFPAKSLVISLQMIPFMLLQNVFTDLTIILTELLKKIERLIDDVEKTVANLPRTEATGRYDARNLGLSSDEPTKEDLLWSYHHLDEQLKRCFAYCCIFPREHQLRRDEIINMWMAEGFIKTSGEGDEDMEEVGARYFDELVEASFLKPTQRLRLKFYEINEFKINDMLHDLAEKVAGSDGLRIEDGWSGEAPKDVRHLVVQTSLSKLIIEEILKQENLRTLIIYTDIGDKLTEENLFERIFTRLRKLRVLRVHSHHYNVYPVPASIGQLKHLRYLAFRFHTPDLPSTFSKLYHIQTLDFGPCRNLSFLSVHMANLINLHHINIEYPDETSFPNIGRLTLLKVLPLYTVRMEQGYEIHQLEHLNKLSGRMQIHGLQNVQSKDEAAKAELSNKEGLTDLTLHWDDDSCNPDVQANVLEGLCPPMCLPKLRIVGYRGMKYPSWMVGEQNVPNELGELELFRCNLEQPFPSRLCNFFSRLRSLVLHQCSWDALPEFLKDLPELGEMIIYGCMKLRSLPVLPRSLYYLQIDDCDDDFMTSCRTSGHPNWQKINHIPELFVGREPIDSPRIHKIVRKFPYPSIDFVPSLCTSSMVFTGYFPILKCNYQLQTGSVKTRAFKVPRVRYVQEATRNVISVAQLASDHGLVTVFEATCCHVEVKETGEIIGEGRLCQGQYLLDYLRIHQIDDDIDMQDEEGAAGGPSGGDSDGGVDNNGQGIDREPGRGRGGSTGGYGDDIGGDYGGDIGGEGGGEKKEKEQDDKKGQEDDEDMKEEQEEEEEEERRVRMGMFGLSRHLKDDMYSTGAFSTLANDEHRPGEFLVDSGACFHLTWNKSVLYEYPEHLRDFARPPIDSIGGILAGSINVTESGYLNSEIMLDGVLLAPNSTLNLVSVGQLVRQYGVKVEMCMDVKIWKPTGGPPIGSGEMNRRSQHPYVLKKFQPGRLFVDSPPPHTNFFGEDFFNNQQ >ORUFI11G24480.1 pep chromosome:OR_W1943:11:26664654:26668476:1 gene:ORUFI11G24480 transcript:ORUFI11G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTSYPPTTRRPGSTKWSGSSRNATAGSTAARCTPRAPAGGPLRRVSAALRGQLPPRVAAGVLVHGYLYWLFTYVPRLRALHVERPVVAVAVVVGAVSEELAGERVIVPLCSAGAGGSSSKILLATGGHKVFVYDVERNAVERVFRMQDMVDVHAL >ORUFI11G24490.1 pep chromosome:OR_W1943:11:26670292:26673095:1 gene:ORUFI11G24490 transcript:ORUFI11G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIALTIAGWVISPVVEKLTDYCSELISFDASKKLRDLETCILPRLVLALEALDKSGRHDLEKLVREIKSALYEVEDFLDETEYCRLEKQVDLSNEARKKRGTSDYDAGPSNLLYKCY >ORUFI11G24500.1 pep chromosome:OR_W1943:11:26677910:26680699:1 gene:ORUFI11G24500 transcript:ORUFI11G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVTETTKDGHHTTNVTTVERPTTALPHNRAALMVTSELLVKVTGRDKNREQLQTLLRAEEHNVICIHGISGSGKTTLAQYVCEPEKKAGYFELVMWIHVTQNFTVDSIFREMFEAASGNKEPCPNSPDVLQTKLKGVLGEKRFLLVLDDVWYNKDDHKNKEQLQQVLSPLKVGKTGSKILVTSRRALSHIGLVKCSPFPIPEMESDDFFQLFMHYALGDITLDDMDVRKHIGKQIVKKLKGSPLAASIVGLRLRENQDISHWMEFIAQEHLDDVTDFLSWSYQHFDKQVRRCFSYCSIFPRRHRLDRDELISMWVAQGFIRSTGTRKDLETLGQQYFDELVSFSFVNKHTEEHTSRVYFTVHDLLHDLAEKVSGNDCFAVQKGWAGVLPRDVRHLFIEIYGDESISKKVLELEHLRTLIIIHRRQKEKSSAEVFESVFAKLPKLRVLILKIETMDNPDVVNYVPESIDKLKKHLRYFAFEGHVTEWKELPATFSQLYHLEVVHVKPFAFSSSWIYSTRTTNLRWSSYTTYHVEFPNIGNLESLRTLGRFTVKTKQGLRKLTGYELYQLKRLNKLRGRLKIQFLNEDSSREDALEAELHKKVYLTELDLQWSFGWATPQEDVQSQVLEALRPPKQLQKLEIHSYKGSSYPSWMMRDDSDVPMCLQLLWISDSTKLQAIPEHTVLLSNLRMLRISGDEWDYLPENMENLKLLVELEILDCKKLRSLPTVWPPSLKKLTITMMSWHRLRSLPTMPESLEHFRLVSLRHKFTKSCETPGHQNYENIRHIPNKTISCGGCLNHNSSQGNEDEGLEDDEEFEDSEYDQEDDEDRYPLEGDQEDDEDFEASEDHGEDHEIVEASEDDQQDDEDVPAKKKDEDIEASEDDERK >ORUFI11G24510.1 pep chromosome:OR_W1943:11:26692869:26695066:1 gene:ORUFI11G24510 transcript:ORUFI11G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPAKSPVIKQADSLKFRNERAIAPVRKEKVQVSVQDPVQDPKVQEFLAKLDKNARSESPTHPFFYPMSGLNDKIELLCQEKGSMHQFIASTSVPAAIHATNPFTALVLPKKTMFDFAPLVGQEIKDWALVPTKSDEDTMSSQAQVALEVLEVMPLSIQPLSSPICQAPTLPVLPKAPVKKRDGKTLLYNPYRRQSARLQQSKEEVQLQVDPRMGIGKPRGKSAKKLKELAGIAKIFDGGNFKEYDFAEYVPDDNHSDSSPSECSISLLQKMGVDMCGLAPEEEAESNLGGC >ORUFI11G24520.1 pep chromosome:OR_W1943:11:26702554:26703587:-1 gene:ORUFI11G24520 transcript:ORUFI11G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGLNSPKLRLIRIEVLVFLGVVGLFVLLILGSYRRRSSCEAVKMTIWVAYAASIPMVSYTLGLMQSSPYKNSLFSSSPYKNSLFSVWAIILFIFLGSADSFSAYSLLDNDDWKRFYLKQLIESFWVGWLVVSSGGSDFQYILWVIYCIVILKSGTRVASFKLASRSSMLSKSTKWVADYMSYEHLRPAGDGVQRRDAVDMLCGEDEQNPRVEPPEYHLRYNDDDRAKLVTVQDIWNCNGSLLSGGNGGRLKDVCLSMALSKMLAESNLHKTRDFLFGGLLHGARCVERA >ORUFI11G24530.1 pep chromosome:OR_W1943:11:26717759:26720789:-1 gene:ORUFI11G24530 transcript:ORUFI11G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTVLLILGCCFFFLPMPMVAAQPWMICGSSKYTANSIYQSNLDSLLSSSFLVVSGDSSSGALFAKGSRGAAPDTVYAVALCRGDANASACSGCVDAAYAAATARLCPLSKDAAVFYDECALRFSDEDILNMDAFGRVNTSAAVGVAPLVLMNITSEPMLSGWNTNIQGTKNFTQFFIKTMNYIVAQALSTTKHYAAIRVDMDDADASNTVTLPRRLFCLAQCAPDLVEDICYNCLQNFSDLATANFAGRQGGRILALRCNLRYDTDKFFAGKTNADEDEALIWGLQGRSSEFTIYDFSQVLEATDNFSEENKLGQGGFGPVYKGRFPDGVEIAVKRLASHSGQGLTEFKNEIQLIAKLQHTNLVRLLGCCYQGQEKILIYEYLPNKSLDFFIFDETRRALIDWHKRLAIIDGIAQGLLYLHKHSRLRVIHRDLKAGNILLDREMNPKIADFGLAKIFSVNDNEGNTKRIVGTYGYMAPEYASEGLFSIKSDVFSFGVLILEIVSGKKTSSFHRYGEFINLLGHAWQMWKDETWLQLVDPLLPTDSHTIEIMRCINIALLCVQENAADRPTTSEVVAMLSNETMTLPEPKHPAFFNMRLTNEEASTVIAASSVNGITLSAIDGR >ORUFI11G24540.1 pep chromosome:OR_W1943:11:26726321:26727145:-1 gene:ORUFI11G24540 transcript:ORUFI11G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAGYSQTKGIGVGNSINSSKFHAGGHTWYIAYYPDGDREEYSDWVSVYLCLARPAAAAAADDVVEAKFTLSLLSGTYGAVVEEKICTAKKFSFANGYWPSWGHTRFIKRKKMDSRLWSCLHLDDVISPWILQVVAAAVRHLAALLGSGVGADVRIRVGGKLFAAHKNVLAARSPVFMAELFGNNGGKDQKEAKAAAAATGNGVIRIDDMDLRVFRAMLQFIYTDTLPKIDKGDTAFMAQNLLVAAHRYGIERLKSISVDMIRKGA >ORUFI11G24550.1 pep chromosome:OR_W1943:11:26730754:26731008:-1 gene:ORUFI11G24550 transcript:ORUFI11G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVPPPLHLIASVTATILGSRAAASAFATTGEASATGVPPPPHLIASVTATILGSRAAASAFATTGEANATGSAPAARCLSVA >ORUFI11G24560.1 pep chromosome:OR_W1943:11:26732371:26737480:-1 gene:ORUFI11G24560 transcript:ORUFI11G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVAGGSSQQNLTSSSGSSDDKQPSSSSPMECQLKKYLVLLATLVATVTYAAGLNPPGGSWLEDGGGGGRWQLAGDAILQDTNYWRYIVFYWFNAISLAASLVVSLLFLLLHKGRPPPAPGDQAAHAHPGAGLNPPGGFWRSTEAAGDPVLPGLHPIRYKFFFFSNAISFIASLLAITFNVYYEKLDLKRIKFPLYGLTVTAILGLGGAYAAGSCRDSRHTGYVLGLIVPVLVCIFLQWFLVGSNAISLPFGTRGSKQYNNDLDKPCELIQLLAILAAIVAYQAGIDPPGGVWADNGASHGVGDPILLTTHPRRYKVFFYFNSVAFVASLVIMVMLQNEFLVRSHVLEAAMIFDLFCLIGAYAVGSCRDTSTSIYTVALAGGVLIYVVIHILFSILEKKSDKQGEEDKIKEHQLEKKPSFMASVALIVLLLNRNLYGPGIKCYALFVCMVAGMFGLIGAYAAGSSMHLRTSIVVLILVTVVFAAVVYVAIIGRGQRANINQHQSKQTQNQQTNKEDGMMDTPRQTQDQQEADMKKKADMMAKYLMLAGILAASVAYLTGLKPPGGLWRDEGNGHSAGNPVLYDIDKRRYNAFFYSNSTSFMASITVIVLLLRRMTKGDEHKLPLWPMRTAMLLDMLALLGAYAAGSTRNWCTFKDAILLLLPVLGFVVILFFWKKGGEEKHDGSK >ORUFI11G24570.1 pep chromosome:OR_W1943:11:26742261:26746639:1 gene:ORUFI11G24570 transcript:ORUFI11G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAIRIHVKTDYQNQEIKIEKEKKTRTGNATGTVTEIEEETEIGTRTRKKTETKKGTGIGTGTRIGIATIGITVKEENTEIALMIMIATEAVILKGEETMNGMGVAGIGLAHALVLGAGIADLGLALVQKGQFPGTAIPGMFPNMLPMGVGQFNPLVIQPQAMTQQATRHARRVYVGGLPPTANEQSVAIYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGILFEGAPVKVRRPTDYNPSLAAALGPSQPSPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGAAQPRPEQESILLQAQQQVQLQKLVYQVGALPTKVVCLTQVVSADELKDDEEYEDIMEDMRLEAGKYGNLIKVVIPRPDPSGLPVAGVGKVFLEYADVDGATKAKTAMHGRKFGGNPVVAVFYPENKFASAEYDA >ORUFI11G24580.1 pep chromosome:OR_W1943:11:26747247:26754182:-1 gene:ORUFI11G24580 transcript:ORUFI11G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCVAVSGLVGAVTAAGMETDEPATKAAGEQEKDDEEESSGGGGAEPEVTLHHIRQPAANSGKLCNAMRSKSLHSMANPWRIAAMGSVITVLGWLLSPIISLLVNRFISYLFDASPKIQELEIQTVPKLEQMLRKIEEERMHRKAKKERSAVQNLDTLAKLVKSALYEAEDVLDLIAYHQIEKDVIGDDEPQGSSSKWHPHIDDAIHACKTSWIGRCITTLLEWAQGLYRSLRSRSAALLPISRSRCCGSASDSLLERLSCFSGQFDFIRCCQSLFIWSVNWFEVARSYRDWFYDATGITATGYQLEDGTAVYSFMPAIARWKLRKRIEKLENTVTNVEKSPYLTQTSSGAWNDIVNMNRRSITSSSTRKVFGRDRERDMIRSMLREDDSLPSSSSRKCYSVICIYGIPGSGKTTLAQYVCEYEKEDKDRYFDTVMLIYVSKTYRLEDIFRDMLEEITRNLHSEINDCKGLEAKLVENLRGKRFLLVLDDLWVNDENHEKLLSPLSVGKSGSRILVTAQRKEAALGSNRLIPISDLEEEQYFSMFMHYALDSTIFDDREYIPIGRKIAKKLNRSPIAAVTVAGQLWRNPDIRFWQTTANLDVLNKTKGALWWSYNQLVVDVRRCFQYCSIFPRRYELERDNLVRMWIAQGFVKDNDGNNEDVEDVGQDYFHDLHSCSFLQLKRKAPSDISTAKTIAGSDCVKTEKSITEHLPKHVRHLCIESYSEILFPEKILELKNLRTLIMCYSVERMNQDDFERVLKKLTKLRVVHLDLRHLSRVPPCIGGLKHLRYLGIMSPPPHSLILPAEFSKLYHLQELSVNPNTRLHCPSQLKIANLINLRYMLTWYGLNIPDVGKLTSLRALYHFYVRKEKGYEIQQLEHLNNLRGKLFIDCIENVQSKEEAVRARLSDKVYLTELTLRWGGTDERCSKKALESYKRLFFPPVTEIKQHQPPELQEEVLEGLRPPSGITVLCIRDYGGVIYPSWLTGDGCDKEQEQDRPALQNLMFWSCKGSSDPPKIGEFFTCLHTLSVTDCSWNYLPVKLCRLKTLRELIVQECPNMMTLPKLPQSLKSIVISGCHPSLADTCLTPGHPNWRRIKHIDQQIIR >ORUFI11G24590.1 pep chromosome:OR_W1943:11:26763285:26763791:1 gene:ORUFI11G24590 transcript:ORUFI11G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVIADEIKAKAEVYYDDEICQQCTRLLLKEAGLPNGLLPLKDIMECGYVEETGFVWLKQKKRIDHVFQSLGRLVSYGTEITAFAEKGRIKKVKGIKTRELMVWVPVEEIALDEQKTGKLICKSIAGITKTFPASAFQIPEKEEKITCAIPKPVVLMERAHQVIKNN >ORUFI11G24600.1 pep chromosome:OR_W1943:11:26765465:26769364:-1 gene:ORUFI11G24600 transcript:ORUFI11G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAFVAAAARLAVLVAAAATAANAASYARYRRRHLRRIPSPIDESADPLADFRAFPSSDADDSEEDNFFFGLATAPAHVEDRLEDAWLQFATETSCDDNGNVRDQRPVDALMASAAGDGGSQQSWRSTGGENIGDREQRKPLRVAMEAMLRGFEILAESGESAGGDNCSHNVAAWHNVPCPQERLRFWSDPDAELKLAKETGISVFRMGVDWARLMPEEPTEELKSSVNFAALERYRWIIQRVREYGMKVMLTLFHHSLPPWAGKYGGWKMEKTVTYFMDFVRLVVDRVSNLVDYWVIFNEPHVFVMLTYCAGAWPGGDPNAIEVATSTLPTGVYNQALHWMAIAHSEAYDYIHSKSKNERKPIVGVAHHVSFTRPYGLFDVAAVALANSLTLFPYVDSICDKLDFIGINYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRILIQFNERYKRLNIPFVITENGVSDETDLIRKPYILEHLLATYAAIIMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYFLFSRVVTTGKITRQDRMFAWRELQQAAVQKKTRPFFRAVDKHGRMYAGGLDRPIQRPFILRDWRFGHYKMEGLQDPLSCFIRCIFAPFSRQKKIHYIEDDVISYSIN >ORUFI11G24610.1 pep chromosome:OR_W1943:11:26772583:26776808:-1 gene:ORUFI11G24610 transcript:ORUFI11G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEARKKKKKKLQLQQQQQLVTNKVMTMDRPNVAVAAVGLLVAAVAATLPAPSWGQQQPANKLITSTSGSGKGLSKWLAMNQEEYVEKKALHTMATAEELGGKKLDANLTAAEEAKVTWVIDPKGTPGDTTFTTITAALEKVPEGNKKRVILDLKPGAEFREKIFLNLSKPFITFKSDPKNPAVIAWSDTAATRGKDGKPVGTVGSTTVAIESDYFVAHGVVFKNDAPMAKPGAEGGQAVALRLFGTKAAIYNCTIDGGQDTLYDHKGLHYIKDCLIMGSVDFIFGFGRSYYEGCTIVSVTKEVSVLTAQQRSKTIEGALESGFSFKNCSIKGEGQIYLGRAWGESSRVVYAYTDMSKEVVPVGWDGWNIAKPESSGIYYGEFKCTGPGSDAKKRVASTSSNSTAEAPTASSNSTASANKKESNSTAEAPTATSNSTASATTSNSTASAKATNYSSSSPPAKGNGDDGADAMNRGQNELAAYCSN >ORUFI11G24610.2 pep chromosome:OR_W1943:11:26770798:26772576:-1 gene:ORUFI11G24610 transcript:ORUFI11G24610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPNLAVAVVGLLAVVAATLPAPSWQFFDLFLPAGPSHRSSGGGFGKWVLMNHEEYVEKKSLYAMKAAGDIGGKTIDASLSAAEEAKVTWVVDPKGTPGDTTFTTIAAALEKVPEGNTKRVILDLKPGAEFREKLLLNITKPYITFKSDPANPAVIAWNDMAATRGKDGKPVGTVGSTTVAVESDYFMAYGVVFKNDAPLAKPGAEGGQAVALRLFGTKAAIYNCTIDGGQDTLYDHKGLHYIKDSLIMGSVDFIFGFGRSLYEGCTIVSVTKEVSVLTAQQRTKTIEGAIESGFSFKNCSIKGQGQIYLGRAWGDSSRVVYSYTDMSKEVVPIGWDGWNIAKPESSGIYYGEFKCTGPGSDAKKRVGWALDLTADQAKPFIGTHYIYGDSWILPPPDGKSAASTSTASKSTASAIPRNSTAPATATESNSTAPATPSNSTAPVTASNSTAPATASSSNPPATKSYSGPPATPSASSTPAKASR >ORUFI11G24620.1 pep chromosome:OR_W1943:11:26778520:26781983:1 gene:ORUFI11G24620 transcript:ORUFI11G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNVGSPDAIHGEIKWPLPHPQPPAEMATAAPEPQQTLTHENMKQRRRRIIFLQQGLSLDRRPSPGPTSPLADRHAYSNSTIQNPSSRP >ORUFI11G24630.1 pep chromosome:OR_W1943:11:26784902:26787335:-1 gene:ORUFI11G24630 transcript:ORUFI11G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLQRTSHHPVPGEQQEAAAELSSAELRRGPWTVDEDLTLINYISDHGEGRWNALARAAGLKRTGKSCRLRWLNYLRPDVKRGNFTAEEQLLILDLHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLNCDVNSKRFKDAMKYLWMPRLAERIHARAGAVDDSGDYSNNDLSCVSGVTMATVANCFDGSPSMVTSSSSDSFTSESQDLKKINLHVHGDDEKMNSEDWMQEVDHEFWSTEIQPNNEQFQDQQLNGWVQGFSEGLSETLWSLEDIWKMQ >ORUFI11G24640.1 pep chromosome:OR_W1943:11:26796138:26798406:-1 gene:ORUFI11G24640 transcript:ORUFI11G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRETLRRIRVKVSSTVDDGFSWVKYGQKDILGTMYPRSYFRCIHRQTKGCLATKQVQPTDDDHQILDVIYYGEHTCDQSARSDDRQLKSSRPAASSNLQEPQQPGLEQSRPAAKRRRKTVRWKTQVRVSSVQDIQRRDGDPLLFDVVYHGDHTCSERASLNEQVTWPRSSASSTEQSSTITYTAAAGSVEDDEEGVTSATNFLSMDDMLDLGGGDVIDMAFPSFDFDAIDALLLG >ORUFI11G24650.1 pep chromosome:OR_W1943:11:26801017:26805092:-1 gene:ORUFI11G24650 transcript:ORUFI11G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFNLPGRLEELLCHHGSMLPKGADEEIPLIKQDLEEIISILHGHSEPKLEDHGMVVRCWMKEVRELSYDIEDSIDQYEHAARSQNRPNIHHRKFNRWRGNKIPCIPQKLKQRLWMANKIREFSLRAQEALQRHAMYNNLGGVASTASTTRGDVCSATPLHPTQTQFREHVDNVRSVSIEADGMEAALNDLNKLKNLLAGIPTASLVQFREHADKVRGIHTDIEAILNKLENIPPGITITTTTRGDVSSTSSRQPTRFMESTCHVGIDAAMDQLENLIDVCGEEKLKVVSIVGVGGVGKTTLANKLYHKLRWQFECWAFVRSSQKTDMRRLLINILSQVRPHQSPDNWKVHSLISSIRTYLQDKRGCVCCLLSLISALATIRPHLAKDIPPIKGFLIVIDDLWATSTWDIIKCALPEGNKSSRILTTTEIEDLALQSCSYDLKFIFKMIPFGEDDSRKLLFSIVFGSHSKCPPEVSETLYDIVRKCGGLPLAIVTVASLLASQLEKQEQWDYINKSLGYSLMANPTLEGMKQLLNICYNNLPQHLKVCMLYLSMYQENHIIWKDDLVSQWIAEGFICATEGHDKEEISRGYFDELVGRKIIQPVHIDDSGEVLSCVVHHMVLNFITYKSIEENFIIAIDHSQATIRFADKVRRLSIHFSNVEDATPPTNMRLSQVRTVAFFGVLKYMPFVMEFRLVKVLVLHILGDEDSIGIFDLTKISELVRLIYLKVTSNITVKLPTQMQGLQYLETLKIDGTISEVPTDIYLPGLLHLTLPAKTNLPNGIVHMTSLRTIGYFDLSCNSAENLWSLGELTNLRDLQLAYSEIHSDNLKDNMKYLGSILGKLRNLTSITLSPPGSSCPDTLHIDRDTKRRINVDGWSSVSSPPALLQRFELLPCVCVFSNLPNWIGQLGNLCILKIGIREVTSNNIDVLGVLPELTVLSLYVHTKPAERIVFDNAGFSILKYFEFICSVAWMKFEMGAMPSLRKLKLGFDVHRADQHDIIPVGIEHLSGLEEISAKIRVACSAHDHCKRFAESALSNAFMMHPGRPSVNIRCVDWTFDGKDDDNVRTQEEEHRTLQKQHHTAKEGSNEKSPVKQRDPREGAHKSVDGRYGLICHTKNTLAFNTTFPVCCEQC >ORUFI11G24660.1 pep chromosome:OR_W1943:11:26806051:26809922:1 gene:ORUFI11G24660 transcript:ORUFI11G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLQSSCPSCCCSSLWSPTMESAAASAFLKTVMGRLFMALEKEYNKHRGLAQESHSLQQDLRMIAAAMDDHQLSMGKSDAAARTAVARLHTEEMLDLAHDIEDCVDRFLHRLTCNHHKRGGAGAGASLLRRVTHELSKVKSRSSFGDEIQKLKKRLREAHQRVLTINPPPILTAGGGQHTASSSSAAVAPPCRAARSPVGIGEDVEELLSMLDEVEGEPVQMRVVSVVGFGGLGKTTLAKAVYDDPRAKDKFRHRAWVAAGGSPEIRGILRDVLQQVRPDDAMDVDGQRLEASLKDYLKDKRYLIVIDDIGMDQWSIISSAFEDNGTSSRIILTTTIQSVANMCSHGSGYVYQMNTLGEDDSKKLAFPGCRSPELEQGSASLLGKCDGLPLALVSVSDYLKSSSEPTGELCAKLCCNLGSHLKEKPGHDNFSELRKVLLDNYDSLSGYALSCLLYLGIFPSNRPLKKKVVIRRWLAEGYARSDSLHSEEDIADENFSKLIDRHIIQPIDTRNNSEVKTCKTHGIMHEFLLNKSLTQRFIATSSHDHPRVGIDTTNARHLSVHAGELTECVASDEELSRVRSLTIFGDAGDAISYFRKCKLIRVLDLQEWNNLDDDHLKHICKLWHLKYLSFGGNISELPRSIEGLHCLETLDLRRTKIKFLPIETIMLPHLAHLFGKFMLHKDDLKNVNKMSKLQKFFSSNKSNLRTLAGFITDQGKGFLQLVRQMKKLRKVKIWCKHVAGSSNYIADLSQAIQEFTRTPIDRDSDRSLSLDCEECSENFLSSLDLEPCFEDFKYHLRSLKLHGKLLRLPPFVASLSGLTELCISSAILTQDHLSALIKLNRLLYLKLIADKLENIDIKIGAFPSLRRLCFVMKSVTSALPTIEQGALPNLVSLQLLCQGLVGLSGIEIRHLKHLKEITIDSTVTVQTRQDWEQAAKNHPNRPRVLLFGKVDPMESEEPEKPCAIGEKRKLSVAQPTGSDGGLDSSLKKMRLSEPSSSRLQVIVHPVVVTATEAAPQHSFANL >ORUFI11G24670.1 pep chromosome:OR_W1943:11:26809392:26810294:-1 gene:ORUFI11G24670 transcript:ORUFI11G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSPSIWPTIQQPHRTSPSRTPDDGEGKSGAHGGGDDEGELHLLRCSFFLRPSVRLESSSASRRLIQRSHTHVCCS >ORUFI11G24680.1 pep chromosome:OR_W1943:11:26812183:26818221:1 gene:ORUFI11G24680 transcript:ORUFI11G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPNLDDYRISLNTNIVLDQRVYNAPTASQVAAIWIDGNDPRNQFDRSVIVFGRENHPHYIKPYHGNYDPLSYPLFFPGGEVGWNWGIPYDQEPLNEHPFDVYQIDEDAMDLEGDEDGVVEKHNGKYVTAREYYCYKLQICPGIFNVLFYGGRLFQQIVVDIYMKIEGLRLDFFLRPETQKLIRADLYQGVVDTIASGETRAAMAGKRIVLPASFTGGERDMKRRFFDAMALVQRYGKPCIFMTMTCNPHWEEIVRELAPGQSPQDRPDLVARVFRAKLRDIKELVIKKHYFGEVAAYVHVTEFQKRGLPHEHFLLIMKSGFKITTPDQYDAIISAELPDESKYRELHRLVVKHMLHGPCGSLKKDNTCMVNGSCRFRYPRQFSNATQQGKDSYPIYRRRNDRRGVKVWGAALDNRWVVPYNPGLLMRFNCHINVEICSSIKSVKYLFKYLCKGHDRASFSVDVAGEQANVDEIRMFRDARFIGPAEAMYRIYHFNLFEVYPPVLQLQLHLPGMHMVTFRGSDNLEDVVAREAASRTMLTEYFRMNQVNSDANNYLYREFPEHFVWQKGEKIWTRRKTKKIQIGRLVAAHPSEGERYFLRVLLNHVRGAKSPEDLRSFDGVTYPSFRLAAEKRGLIETDKTIDDCMLEATNFQMPSALRRLFATILVFCEATNIREMWDKHLDSMSEDYQRNQPNKHLVEQRVLRDIRDLLHSMGKDISSYGLPDIEEGPGSDSNDCKEVLEEMSIHVEQSDVDLYGSLNPEQQAGLAGLGMSSLLMVQEELGKPIFIEHC >ORUFI11G24690.1 pep chromosome:OR_W1943:11:26826633:26841732:-1 gene:ORUFI11G24690 transcript:ORUFI11G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTCGLWGAVLNLPGRLDGVLLRHGSILPKGAEEEIPLIKRDLHLMISILNGYYSESPELEDATATTMARRRCWTKEVCELSYDIEDYIDHYEHAATAGSAGGRTASGGIPPRRKITRRRWQRTTPLWIPERLKQRLWMANKIREFSLRTQDALKRHAMFCSSVGGNGIATSTASSSTAATGDASSSSSTICWHTTRFRERDFCVPHVGINVAMNKLEDWLTACDDEDQKRLRVVSIVGVGGIGKTTLANELYRKLRRQFECWAFVRSSQKPDVRRILISILSQLRLQQPPESWKCAFPDGTSSSRVLTTTQYDDLAVQSCGYDTKYVFKMKSLSQHDSRYLFFNTVSGSRFIYSPGSTEVSDDIIRKCGSLPLAIDDLVNQWLAEGLICATKDHTKEEISEACFGELVSSTMIQPVHTDGNGDVMSFVIQHMVLNFIRYKSIEENFVTVIHHSQTATKLSDKVRRLSLHFGNVKDAKLPINMRLSQVRTLAFFGAYKYWWRSIKDQFPLLQVLILHFWHDEDIISFDLTIISQLFRLKYLKITSDVTLELQTKTRGLQCLETLKIDARISTAPLDTTHLSGLLHLSLPADTNLANGIGHMTSLHTFGYFDLSYNSVENVLSLGKLTNLRNLQLTCSTIRLNSLEIKLQCLGFILQKLSNLKSVTMSTAGSSCVNSTDASSANVSVRISGDGLSSMSSPPALVERLELLPRICIFSYLPKWISLLSKLRILKIGVRELVRNDIDVLMGLRALTDLSLHVHTKPTEIIFFSGIGFKALKYLKINCCVAWLKLGAMHSLYKLKLGYNVDGVDQERTIADGIQYLHGLKEISVKIGGADPEKYDRRAEELAFMIDSGLHDRCMSITLQCVRQMFDFNEDKSSLTHEEQRKLKQQEILEDDSGEEYDEIIQDSGEQEVKQSTLSEWDIVHLLRNKLNNQQDGTTCHFPVVASYYVDTCQLTAMGAGAGEPWFYFRHHERWMHRMDRSTPSGYWKTAGKASFVYSADRHPVGLKKSMLFYRGPEPSGRKTKWKIDEFWALDNAANGSEELLAQLCRSRQNVGLMIKGKGPHFHY >ORUFI11G24700.1 pep chromosome:OR_W1943:11:26829659:26844754:1 gene:ORUFI11G24700 transcript:ORUFI11G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEPEQQRMAATSLSGGDEPGWWRMAATNPSGGSGSGWRQRIGAEPEQWWMAATSPTDGGGAQAAVDGGDEPKSLRLSPLRPFSLRSCLPLPWGRRRVVACSHPPPELAVDGSSALKIDNNGTPASHLPEERISASLLPASHLLPTSAPPLSASLRSHYLLPPPAIPPPPPAIRRHCPSIAAMPREGGERKEMKGRRVPRHWHNETQALQFNLQTVKSYGGTSELKISEIGQLAKAKHVLYRVIAEIKIPKCVKRCHVANSIGQNIVKRGGGGKETERRTPCGVWIERWR >ORUFI11G24710.1 pep chromosome:OR_W1943:11:26845150:26849975:1 gene:ORUFI11G24710 transcript:ORUFI11G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQLLSIRRSDARTAVARLHSKEMLDLAHDIEDYVDRFIHHLTCRQQCASAGRNSLLDRVAHELKKVQSRSSFANEIQKLKRRLRQVHQDVIKNNPLAGGQSSSPSPQDRRIADNPVGIEEPVEELLSLLDEVEGEPERMRVISIVGFGGLGKTTLAKAVYDSPRVKEKFHLRAWVPAGASPETSSGMRGILRAVIQKILPNVAMDVDGQLETSLKEYLKDKRYLIVIDDIGMDQWSIISSTFKDNGTSSRIILTTTIQSIANSCSHGNGYVHQMNTLGEEDCKEIALPTGIRSPELETGSVPLLGKCDGLPLALVSVSDYLKSSCEPTGELCANLCRNLGAHLKEQDGHPSFSELRKVLLDNYDSLSGYALSCLLYLGIFPSNRPLKKKVVIRRWLAEGYARSDSLRNEEDIAVENFNKLIDRNIILPVDTRNNSDVKTCKTHGIMHEFLLNMSLAQRFIMTLSRDHPRLISNARHLSVHDGELTGYVTSDEEFSRVRSLTVFGDTSDTVSYVRKCKLIRVLDLQECNDFADDHLKHICKLWHLKYLSFGYNINVLPRSIEGLHCLETLDLRRTKIKFLPIEAVMLPHLAHLFGKFMLHKDDLKNVNKMSKLNPCKKQKKGMNILPKFFTSKKSNLQTLAGFITGENEGFLQLMGHMKKLRKVKIWCKHVAGSSNYIADLSQAIQEFTKVPIDSDSNRSLSLDSEECSENFLSALHLEPCSEDFKYHLRSLKLQGRFLRLPPFVTSLSGLTELFISSATLTQDHLSALITLNRLLYLKLISDKLENFEMKHGAFPSLRRLCSVVKSVTSDLPTIKQGALPNLVSLHLLCRGLVGLSGIEIRHLKHLKEVVIDSDVTPQTKQDWAHAAKNHPNRPKFSWPRKVDLVESEEPAKHLKTEKRKYCSNYELDYNLQEMRLSESRDHKRQKIGEGDTSKSSVGLVYPMYGDVETDRTQVHLFNQETRRYDRTEVDQKCPEMLQEYKDKHSMVVDVDLRSDEQVNPPHPKLKNLMPGKEYDRQELIPTEGAKVGQCQSGGDEDQIVHNTNGKKVVVQANHFFEQEDQGSQVTMSYESSSLLSHMDTKSL >ORUFI11G24720.1 pep chromosome:OR_W1943:11:26853474:26860512:1 gene:ORUFI11G24720 transcript:ORUFI11G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLHNLMLLLPCLIFSTLLRTEAMSVAAAVKVSTTPIFPTIPRGQTNKDFQVLLRVEGPPAADLNSHVPLDVVAVLDVSGSMNDPVAAASPKSNLQGSRLDVLKASMKFVIRKLDDGDRLSIVAFNDGPVKEYSSGLLDVSGDGRSIAGKKIDRLQARGGTALMPALEEAVKILDERQGGSQNHVGFILLLTDGDDTTGFRWTRDAIHGAVAKYPVHTFGLGASHDPEALLHIAQGSRGTYSFVDDDNLANIAGALTVCLGGLKTVAAVDTRVSLKAAELSGGSARIVRVDSGGYESSVACDGASGEVVVGVLYAGEVKNFVVHLHVPAASSTTLTFSSVECGGYCDAATVCDHCHHRHQQQLLAVGYSYSHAPGAAAAAAMSVAAAVKVSTTPIFPTIPRGQTNKDFQVLLHVEAPPAANLKGHVPIDVVAVLDVSGSMNDPVAAAAAAASPESNLQASRLDVLKASMKFIIRKLDDGDRLSIVAFNDGPVKEYSFGLLDVYGDGRSIAGKKIDRLQARGGTALMPALEEAAKILDERQGSSRHRVGFILLLTDGDDTTGFRWTRDAIHGAVAKYPVHTFGLGASHDPEALLHIAQESRGTYCFVDDDNLANIAGALAVCLGGLRTVAAVDTRVSLKATELSGGGARIVRVDSGGYESSVACGGASGEVVVGVLYAGEVKNFVVHLHVPAASSTTTFSSVECGGYCGAATVCDHCHHRHQQQLLAVGYSYSHAPGAAAAAVSVEGHGVFVERPEVAAAFVSVDGVGGGGRQRQTLLPSPVVMPHMVRFELLELVAGFAEAEMPSKPAATCCRASGRSSGEPGSSGAASSWTASRGRWTPWWPASGAASLRRLVGVEPPDAARQDMLEEQPASSSVGRAKFIVFVTDITRFSSDMPELSKYPVHAYGLGASHDAAALRLIAQRSQGTYSFLDDANADKVAAALALCLGGLKSVAAVSARVVLKAASGSGVRIDRISSGGYASSVSHVDRASGEIAIGALYAGEQQLLVASLDGQLYTSGGGVDVVDDDAAAAGGGGPIQDVLVVERPPAAVLPKVPSAIVVNHIFQFRVLEMVDAFINDEILLRRTPATTGRTKDXAAATASATTSASTAAWSS >ORUFI11G24730.1 pep chromosome:OR_W1943:11:26876220:26883363:-1 gene:ORUFI11G24730 transcript:ORUFI11G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLQKFQLLAKRIYDPRHKHRDVCLQIAVPAAAAAIWFAPSTGLRFFVGLIQIGISSDTSCVLNVIGDVSDLLHPVLPPVVMVVTLRHNAKVTEEGLHAGKLVIDVLDVTTDAADQRILLGKKVAQLAQERSHGGFRSTYRQLHQALRDLKTKVKLEGWPGMGTGHPSNKSQRGNRLGQPPSKGTERREAQQLARSFGRRLQRPTAGAGLALR >ORUFI11G24730.2 pep chromosome:OR_W1943:11:26871406:26876081:-1 gene:ORUFI11G24730 transcript:ORUFI11G24730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYSVATGALAPVLSKLSSLLGDEHLELAERTRSDAMFIRSQLEAVHSLLLPRINWGMTGEDVDALCKDELMAAVRELSYDMDDAIDDLIFLEPMAPAGDGGDPFDELKTRVEDVSKRFSDSRYWRPPVEQHQPSLTAATVDSPPPHARARFVHNMMDVSELVEMEQHLKGLIKLLEQGADTSTYASRWRTATPWHDKEQSIVVKVPEGDWGFPMNPFRWARDSFERLRSGSLDGDTLQLDGEGASIRKLLSTLRNKAGHAQLVRVEDKGKKVEETTTMPCEFHEVKTVCILGLPGAGKTTLAKLLYSHHSTTEQQFQHRAFVSLSPGANLTDTLTDILLQVGAYNDDATPYCGTGTPHQQYLIDNISAYLIGKKYLIIIDDVWRWEEWEVIRKSIPKNDLGSRIIMTTRLNSIAEKCRNDDMDAFVYETEALDYVDAWLLCDKVARKSVTCMNINPCYDIVDMCYGMPLALIRVSSALAEEIQALDSDERQIWRALRRVEDGILDIPSLKPLAESLCLGYDHLPLYLRTLLLCCSVYHWLDGGIVQRGRLVTRWIAEGFVSEEKAAEGYFDELVGRGWMKHRELNEYEIHPMMLAILRYKSKEYNFVTCLGTGSDTCTSASLSYSSPTMAIRRLCLQRGYPMKCFSSMDVSHTRSLVILGDVIGVPLDMFKRLRVLDLEDNLDIDDSHLKKICEQLESLRLLKYLGLKGARITKLPQEIQKLKHLEILYVRSTGIKELPQEIGELKQLRTLDVSCTKIHKFPLHIRELQHLHMGYNYVTEVPKEIEELQHLRTLDVRNMWIKELPSQIGELKHLRTLDVRNTGVRELPWQAGQISGSLHVHTDDSDEGMRLPEGVCEDLIKGIPKAELAKCSEVLSINIVDRLGSPPIGIFKVIGLHKSIPKLIKDHFNVLSSLDIRRYNKLEEDDHEFLANNMPNLQMLVLRFEAPQREPIIINRTGFQMLERFLVESRVPRITFQEGAMSKLKHLEFKFYAGPPSKDPIGITHLKSLQKVVFRCSKWYKSDNPGIKAAIDVVKKEARQHPNQPISLLITEGDKEVPNIEAHGSSENIVVVHAAPDDAISCSSCGRTSTSIQEGTVRDQIPAMDLFWPEFNSYEKAKRN >ORUFI11G24730.3 pep chromosome:OR_W1943:11:26876220:26883363:-1 gene:ORUFI11G24730 transcript:ORUFI11G24730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLQKFQLLAKRIYDPRHKHRDVCLQIAVPAAAAAIWFAPSTGLRFFVGLIQIGISSDTSCEALRDLKTKVKLEGWPGMGTGHPSNKSQRGNRLGQPPSKGTERREAQQLARSFGRRLQRPTAGAGLALR >ORUFI11G24730.4 pep chromosome:OR_W1943:11:26871406:26883382:-1 gene:ORUFI11G24730 transcript:ORUFI11G24730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAWIDDQSSEIPTTSQTHLRPTAQTPGRVPADCGAGGGGGDLQSIVVKVPEGDWGFPMNPFRWARDSFERLRSGSLDGDTLQLDGEGASIRKLLSTLRNKAGHAQLVRVEDKGKKVEETTTMPCEFHEVKTVCILGLPGAGKTTLAKLLYSHHSTTEQQFQHRAFVSLSPGANLTDTLTDILLQVGAYNDDATPYCGTGTPHQQYLIDNISAYLIGKKYLIIIDDVWRWEEWEVIRKSIPKNDLGSRIIMTTRLNSIAEKCRNDDMDAFVYETEALDYVDAWLLCDKVARKSVTCMNINPCYDIVDMCYGMPLALIRVSSALAEEIQALDSDERQIWRALRRVEDGILDIPSLKPLAESLCLGYDHLPLYLRTLLLCCSVYHWLDGGIVQRGRLVTRWIAEGFVSEEKAAEGYFDELVGRGWMKHRELNEYEIHPMMLAILRYKSKEYNFVTCLGTGSDTCTSASLSYSSPTMAIRRLCLQRGYPMKCFSSMDVSHTRSLVILGDVIGVPLDMFKRLRVLDLEDNLDIDDSHLKKICEQLESLRLLKYLGLKGARITKLPQEIQKLKHLEILYVRSTGIKELPQEIGELKQLRTLDVSCTKIHKFPLHIRELQHLHMGYNYVTEVPKEIEELQHLRTLDVRNMWIKELPSQIGELKHLRTLDVRNTGVRELPWQAGQISGSLHVHTDDSDEGMRLPEGVCEDLIKGIPKAELAKCSEVLSINIVDRLGSPPIGIFKVIGLHKSIPKLIKDHFNVLSSLDIRRYNKLEEDDHEFLANNMPNLQMLVLRFEAPQREPIIINRTGFQMLERFLVESRVPRITFQEGAMSKLKHLEFKFYAGPPSKDPIGITHLKSLQKVVFRCSKWYKSDNPGIKAAIDVVKKEARQHPNQPISLLITEGDKEVPNIEAHGSSENIVVVHAAPDDAISCSSCGRTSTSIQEGTVRDQIPAMDLFWPEFNSYEKAKRN >ORUFI11G24740.1 pep chromosome:OR_W1943:11:26877185:26881622:1 gene:ORUFI11G24740 transcript:ORUFI11G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGASEATMRSLLGKLGNLLAQEYSLVSGVRGDIQYINDELASMQAFLRDLSVVTEGHNHDNRRKDWMKQIRDVAYDVEDCIDDFAHRLPQDSISDAKCSFILTKMYELLTWWPRRDIASRIAELKVRAQQIADRRNRYGVNNPEHCDSSNSPRPRAHAAAQDIAEYQDTKPQIVSIKEPVGMKTVMENLEKWLTEPQPDKGRAVLSIVGFGGVGKTTIAMALYRKVSGKFDCQASVAVSQNYDEDEVLRSILNQVSKQEEAGGSTESSSRDENTREPQGSSSTSSREENTAESGTKRMLNKLKKALPLSLLGGNDDKTSVRQQETMGSLQLREELKRRLAEKRYILLIDDIWSAKTWNSIIIPFLPSENDKDSRIIVTTRFHAVGSTCSPRHKNDEATSSPGHGKDLLHKVDFLTGDKPLDLFNASIPDPMKRTDRDKKLSKICGGLPLAIVTMAGLVACNPNKANSDWSKLCESLFPYPVTTLNLDGVTRILDCCYNDLPADLKTCLLYLSIFPKGWKISRKRLARRWIAEGFATEKQGLTEEEVAEAYFNQLARRNLIRPVEHGSNGKVKAFQVHDMVLEYIMSKSIEENFITVVGGHWQMTAPSNKVRRLSLQSSGSKHGNSTKGLNLAQVRSLTVFGNLNHVPFHSFNYGIIQVLDLEGWKGLKERHVTEICQMLVLKYLSIRRTEIAKIPSKIEKLEYLETLDIRETYVEELPKSVGQLKRISSILGGNKNTRKGLRLPQEKRNKAMKNPSPQGKTKEPAEKGFLSQEKAKGTMKSLRVLSGIEIVDESAAVAASLHQLTGLRKLAIYKLKISEENDTFKELLSSIEYLGSCGLQTLAINDENSKFINSLYNMSAPPRYLVSLELSGKLKWLPEWITSITTLNKLTISITVLTTETLEILRNLPSLFSLTFAFSLSAAKQDQDTVKGILEDNKLATDGEIVIPAKEFKSLKLLRFFAPFVPKLSFPDKSAMPALEIIEMRFQEFEGLFGIEILENLREVHLKVSDGAEAITKFLVSDLKDNTEKPKVFVDGIVTA >ORUFI11G24750.1 pep chromosome:OR_W1943:11:26883568:26883759:-1 gene:ORUFI11G24750 transcript:ORUFI11G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVSHADPWGPPVGLLGKATPRFHSCQRNADEKSGEPHHGHGFAASAGNSSAAAAAAAAGG >ORUFI11G24760.1 pep chromosome:OR_W1943:11:26884539:26889453:-1 gene:ORUFI11G24760 transcript:ORUFI11G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTEDGGEVQPTETTTDDSSSPTTVEKEEQAASTGMEIVKAGNSNAQETGGLSLNYEEARALLGRLEFQKGNVEAALCVFDGIDLQAAIQRFQPSLTDKTTSKKGQTKSESGIENPATLVLEAIYLKSLSLQKLGKSTEAANQCKSVLDSVESMFQNGPPDIEQKLQETINKSVELLPEAWKQAGSHQEALASYRRSLLSQWNLDDECCTRIQKRFAAFLLYSCVEGSPPSSGSQVEGSFIPKNNVEEAILLLMVVLKKWYQGKTHWDPSVMEHLTYALSLCDQPSLLAKNLEEVLPGIYPRTERWHTLSLCYYAIGQKEVALNFLRKSLNKHENPNDIMALLLASKICSEERHLASEGVEYARRAIKLSESSDVHLKSVGLHFLGNCLGKKSKTVSSDHQRSLLQNETLKSFSESIALDRHNPDLIFDMGVEYAEQRNMNAALRCAKEYIDAIGGSVSKGWRLLALVLSAQQRYPEAEVATNAALDETAKLDQGSLLSLKAKLKVAQSSPMEAVEAYRALLALVQAQKNSSGSSKTDAEGQNDSVSEFEIWQGLANLYSSLSIWRDAEICLRKARALKSYSAATMHAEGYMLEARDQNKEALAAYVNAFSIELEHVPSKVAIGALLCKQGSRYLPAARCFLSDALRIEPTNRMAWLHLGKVHRNDGRINDAADCFQAAVMLEESDPVESFRSLA >ORUFI11G24770.1 pep chromosome:OR_W1943:11:26890129:26891493:1 gene:ORUFI11G24770 transcript:ORUFI11G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKVEEARKLPPPVAAAAEAERERLPGCDGSDDLHYVIRQRLELWSKVRREAPLMYQPSSEQEDVQLTAVFREASLEAIDQAMHHDIYLAVVHVSNQRRC >ORUFI11G24790.1 pep chromosome:OR_W1943:11:26901572:26904476:1 gene:ORUFI11G24790 transcript:ORUFI11G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLHYLMLLSAGFFSTLLLAQAMSAAAGMTVKVSTTPIFSKIPRAQTNKDIQVLLRIEAPPPVDLKGRVPIDLVMVLDVGGGTVSLEPVKKAMKFAIRQLSDEDSVAIFGPPMSREVIPKFMNIHGSRRIAEKKVDELEGRRFAHPARSSLDEALKMLEEQSASSSVGRAKFIVFVTDITRFSSDMPELSKYPVHAYGLGASHDAAALRLIAQRSQGTYSFLDDANADKVAAALALCLGGLKSVAAIGARVVLKAASGSGVRIDRISSGGYASSIAQGDRASGEIAIGALYAGEVKSFVVHLDVPAAPETSPGERVCCDQQQLLVASLDGQLYTSGGVGVVDDDAAAGGGGPIQNVLVVERPPAAVLPKVPSAIVDAGDGRRLHQRRDPPAPHSGDDREDEGRGGGGGGDGECHDECEYSCVEQLPPAPPLLVASGRDDDSYRFNAAYEGVISLDDINQFMIKIYQGMVKANNLKQCHLMNQQPRAVA >ORUFI11G24800.1 pep chromosome:OR_W1943:11:26912396:26913659:-1 gene:ORUFI11G24800 transcript:ORUFI11G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRMEGALEAPKQCEQGTTTEGEGIIESVLLAGENANKNADKSRKRRATGSRLGGSRQLVRKVMTSCDPNADGLSGSWRMYGQKSYYRCTHRPTHDCPATRTVQQRRCTGDGTPMYEVLDYGEHTCPVQHQQQLPSHSDDDRGSGISAALQQPGAADTGAVVHVTTTTSVSGSASLASSPPAGNT >ORUFI11G24810.1 pep chromosome:OR_W1943:11:26913915:26914430:1 gene:ORUFI11G24810 transcript:ORUFI11G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPRHYGKPLAALASTGNWLEWIPMAVEVETDCATILGRLTTKGARSRWTFLFRATKKVMAFLQEVCLLHCERECNRIAHEFAQLGKRSAQSTDYFSPFAVNNMQNLLL >ORUFI11G24820.1 pep chromosome:OR_W1943:11:26917024:26920504:-1 gene:ORUFI11G24820 transcript:ORUFI11G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTCALWGAMFNLPRRIEVLLRRHGNILPKGAEEEIPLIKRDLEEIISILHNHDDEEMEGHASGAIMVRRCWLKEVRELGYDIEDCIDQYEHGAAGCSSSRSILHPRRKITRRRRRTIKMPPRLPDKLKQRLWMANMIREFSLRVQEALQRHGTYNLGGSSSSTNDDASSDHRLSVGEYAHDCRHFGIHSTAMDKLREWLDVDGGEEKLKAVFLVGAGGVGKTTVASELYGELRRRFECGAFVRTSQNPNITRLLISVLSQVRPQQSPENWKVHTLISSIRTHLQDKRYLIIIDDLWATSTWDIIKCALPDGNNCSRILTTTEIEDLAFQSYDYDYKYVFKMKPLGEDDSRDLFFSTVFGPNSTCPTNLREVSCDIIRKCGGLPLAIVTIASLLAKLRKWEQWGYVNKNLGYSLMTNPTMEGIEQVLNLSYNNLPQHLKPCMLYLSIYQEDYIIWKDDLVNQWMVEGLICGIQGHDNEEISGTYFEELVGRKMIQPVHINENGKVLSCVIHPMVLNFIKCKSIEENFTTAIDHSQINTVIADKVRRLSIHFGNTKDVSIPTNMRLSQVRTLAIFGFFKCMPFIVDFRLLKVLILHFWDDEDSTSFDLTKISELFRLRYLKIISNVTLKLQKQIQGLQHLETLKIDARVSAVPSDITHLTGLLHLNLPADTVLPDGIGQMTSLRTLSFYLNGNSIENVISLGELTNIRDLQFTCSSIQPDNLKKKMQCLRSIIQKLKNLKSITLLPTRSSYANSLEDAGATNMRIHVDDLSCVSSPPAHLERLELLPRICILSYFPMWIGNLSKLCILKIGVRELVKNDIDVLGGLPALIVLSLYVHTKPEEIIVFDKTGFPVLKYLKFNCCVPWLRFKEDSVHNLRKLKLGYNAHRADEESTIPDGMEYLSLHLNEVSVKIGVADPEKYDKLSAELEYKLAFGFDMIHPTVTIRCVKHIFDCKVSKSRLAQEDYGKVEQHEILEEDTDVPDEVDEIKQDYGQEASKRADSK >ORUFI11G24830.1 pep chromosome:OR_W1943:11:26943041:26957737:1 gene:ORUFI11G24830 transcript:ORUFI11G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVLAADKRLGPSAAKSTSARVPGVIPKPIDLPSQRGTATQGDKSMPPASNAWGSPSVMYPKNGGGSDLFSHISDRPSSRGSSTTSTIGSDFLDIPSLRGPKSSHSSVSHVLPPNHLPTAANRLQSTVTIARSSRPSRFPDSFTQILKAPLRTNNRKRGLKMPEKGFTLIMDDFPVLGSVNSESNTRRGHNLQGRSTFGSGTQIAQDEQRKIHLTGVGEVISSSNYEHEHDLRTDYVNEGDAQVTAAILPWGAKHAQQHGTNAPKQSVPPPWFNYWHPPPDHPPDGNEMLHEGATAYGSDKPADPHMICSVEPLAYYGQFLLNQEAAPMQDPGYSGYISDNQDGYHCDMEADALVIIQPHILGKVKHGHSEGLQKQPLIKKDVALLEKIKCLNIKARKLRACKISELSPSKESMIERSKNTDEKADHVKKDVLFSSITSDTMSAFDSASSFSESSDFVPSNSANVPGSATITSSSELEATEFRKAGEPGKLGDHDAYGRVSTSRSRHGGSAKNMSSNISENGWEEHSTVDSLQVVMANAQQDKSFSRNLSLQVHVAAVDEMLNLLDNEIQLHSRTRELSAHHAKQVLEDQDWNSQQKAKSITELDELIRHSPEQSQKTNDAPLEEDNLHLRQKDGSHGTTTYCIASESFNAPLPANRVNHITDSISFIPASNTTGISKDPVIHKVISPAKNTEINMMETAPKSTSQSQGNSAPKHWKIDNRQRHVESWERITIERSNIAENAEYAKNIAETPTDAPSAEAQCHEDLSTVDKNSWRDASAATTASQPVFDKKNATKVPSAHKTLSGVVISNSMIPVTSVSGLTVGSIMLGDISFISVNQVGATAAREIHDTKNTHSRTTTIQQPSKKEQPEEGALNNMAVAAPTLLSGNHSIVQDTAMIAEWSEMEKHKSVEKEQLNQWNLGKMLPAQSHRASYGNPGTFNFGAESRANKALYNFTADKVEPTTKLDKWLDRESSWVQVKTGQQYTDGSASLMQHLTEQVDKIDQWQSPEPDKQVKRQFEFKTHDGSDSRLEPVRTVPLPVNNWEMRHASYSQKQNHVEGQRNVRTSDATNIYEGRDRTRAFYEVPSLSKSFSDALNKSQGTVVSEWMQDPYQGVYNMDNSRGFDSAFVDSSCNELIQNVDGDSEMDLYSEQFKEQVKFEDGHLLIWNPREWEYQLPSPPPHGQHSGSEMELCSDQIEGDMIWEDGHPLIWNPRDWEYQPLNLEPHHHGHGQHKESEMVLLYSEQFEEDMVQEDGKPLISNPEDWEYKQLNPELNQHDHAHRGSEMVLYSEQMEEDVIWEDGHPLIWNPIDWEYQPLNPETHQHDHGQPMGSEMVLYSDQIEGNVIWEDGHPLIWNPTDWEYQTLNPEPHHLDHGQHRGSETDLYSDQVEGDVIWEDGCTLIWNPTDWEYQPLNPAPHHHDQHSGRYHRGGDTYSEWGYDAGEPTYGANEGRRKGGIHSEYQSKPVGSSDVAPDIQRNAGADDQSRRHPASGAAYRERRYYI >ORUFI11G24830.2 pep chromosome:OR_W1943:11:26943041:26954036:1 gene:ORUFI11G24830 transcript:ORUFI11G24830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEAATDSSGPRYAPVDPTLPAPWKGLIDGSTLYYWNPDTNETQYERPVAAVPPLPTGPPPVTTTPMPTSASGAFSQPSMQSNQGGQVSQSQQERPGQTVYPQASHLGHQQLQQPTQQSPFQPTAQHQAPFQHSQRAPYQQQQQQMSQQPPAHQYPSTHPQHMPYQHGHYMQPQQQQFQQGPQYSYQVGQQQQMPQAAYNQGQQQPISQAAYNQSQQPAQAVGAYNQGQQPPVSQASYNQSQQPTQAAGAYNQGQQPSMPQASYNQVQPPQMAHATYNQGQQPPGMRIPQGQVQPQQSPGFHQPAQVSQVLQGSQSQGLQMPPQQGQLQHGFHLTTPQGKQPHHGHVGPQLSQVPLGQQSSTLKVDETGVTGGLDGKQTGFSLPLSQQRGQGPVSKQQLPSNHQLSGSHNQPNIPGAGGPSYPAKHHLGGSSPGEANNMNFLSSPAQMHQGGMDTNYRQHPASSPVVPNHIGPSPVRPPMGFKMGSSEDHFERNELYSSGRMDGTNNLQQQPKLAALPPLNRPQDMRNGPPYPQPDNLGAFNMGPPHSVPNLHNHGPFPEASMRPPSRMFAPPNFPSIASADAYRQHHEVTAVGENVPPPFMTFEATGFPPEILQEIHAAGFLNPTPIQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFIHLRRYQNNPMLGPTVLVLAPTRELASQIQDEAVKFGRSSRVSCTCLYGGTSKGLQLRELERGADIVVATPGRLNDILEMRKISLHQVSFLVLDEADRMLDMGFEPQIRKIVDEIPRNRQTLMYTATWPKEVTKIAGDLLKDPVQVNIGSIDELVANKSITQYVEVVPPLDKQRRLEQILRAQERGSKVIIFCSTKKMCDQLARDIGRSFGAASIHGDKSQAERDNVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAGDLVKVLEGANQHVPPELQEMAARGAAGAPRNQAGGMSRWDGPGGGGNRFESAVGIPGSYGGIRDGPGGFGGRDGPGGFGGRDGPGGFGGRDGPGGFIGREGPGGFGGREGPVGFGGQESPGGFGGRKGPGAFEGREGAAPGSFGGRGGRGPGGFGGRGGGSPGGFGGRGGRGDSHGFGGRGRGDFSGFGGRGRGDSSGFGGRGRGDFSGGRGGRGRGFGGRGRSDRGPHDRFISDGRGRYDNRRGFGGKGRDRSYSRSPDRGRSRGYDRRSGSRSLSSRSRSRSRSWSRSRSRSRSWSRSRSRSRSPSRSRSRSYDQGAGPARRPRPRSGFDVLPPATGAGPASTGPGPVAVPAPGSAGPVPPQVPAQSLADASAMSPMSPGGLAQEGAPFNGGNDGGLVPAQGVLPFQGSDVAMPPNFAAAEAFPGPAVEQEAPDV >ORUFI11G24830.3 pep chromosome:OR_W1943:11:26943405:26954036:1 gene:ORUFI11G24830 transcript:ORUFI11G24830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEAATDSSGPRYAPVDPTLPAPWKGLIDGSTLYYWNPDTNETQYERPVAAVPPLPTGPPPVTTTPMPTSASGAFSQPSMQSNQGGQVSQSQQERPGQTVYPQASHLGHQQLQQPTQQSPFQPTAQHQAPFQHSQRAPYQQQQQQMSQQPPAHQYPSTHPQHMPYQHGHYMQPQQQQFQQGPQYSYQVGQQQQMPQAAYNQGQQQPISQAAYNQSQQPAQAVGAYNQGQQPPVSQASYNQSQQPTQAAGAYNQGQQPSMPQASYNQVQPPQMAHATYNQGQQPPGMRIPQGQVQPQQSPGFHQPAQVSQVLQGSQSQGLQMPPQQGQLQHGFHLTTPQGKQPHHGHVGPQLSQVPLGQQSSTLKVDETGVTGGLDGKQTGFSLPLSQQRGQGPVSKQQLPSNHQLSGSHNQPNIPGAGGPSYPAKHHLGGSSPGEANNMNFLSSPAQMHQGGMDTNYRQHPASSPVVPNHIGPSPVRPPMGFKMGSSEDHFERNELYSSGRMDGTNNLQQQPKLAALPPLNRPQDMRNGPPYPQPDNLGAFNMGPPHSVPNLHNHGPFPEASMRPPSRMFAPPNFPSIASADAYRQHHEVTAVGENVPPPFMTFEATGFPPEILQEIHAAGFLNPTPIQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFIHLRRYQNNPMLGPTVLVLAPTRELASQIQDEAVKFGRSSRVSCTCLYGGTSKGLQLRELERGADIVVATPGRLNDILEMRKISLHQVSFLVLDEADRMLDMGFEPQIRKIVDEIPRNRQTLMYTATWPKEVTKIAGDLLKDPVQVNIGSIDELVANKSITQYVEVVPPLDKQRRLEQILRAQERGSKVIIFCSTKKMCDQLARDIGRSFGAASIHGDKSQAERDNVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAGDLVKVLEGANQHVPPELQEMAARGAAGAPRNQAGGMSRWDGPGGGGNRFESAVGIPGSYGGIRDGPGGFGGRDGPGGFGGRDGPGGFGGRDGPGGFIGREGPGGFGGREGPVGFGGQESPGGFGGRKGPGAFEGREGAAPGSFGGRGGRGPGGFGGRGGGSPGGFGGRGGRGDSHGFGGRGRGDFSGFGGRGRGDSSGFGGRGRGDFSGGRGGRGRGFGGRGRSDRGPHDRFISDGRGRYDNRRGFGGKGRDRSYSRSPDRGRSRGYDRRSGSRSLSSRSRSRSRSWSRSRSRSRSWSRSRSRSRSPSRSRSRSYDQGAGPARRPRPRSGFDVLPPATGAGPASTGPGPVAVPAPGSAGPVPPQVPAQSLADASAMSPMSPGGLAQEGAPFNGGNDGGLVPAQGVLPFQGSDVAMPPNFAAAEAFPGPAVEQEAPDV >ORUFI11G24830.4 pep chromosome:OR_W1943:11:26947128:26957737:1 gene:ORUFI11G24830 transcript:ORUFI11G24830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVLAADKRLGPSAAKSTSARVPGVIPKPIDLPSQRGTATQGDKSMPPASNAWGSPSVMYPKNGGGSDLFSHISDRPSSRGSSTTSTIGSDFLDIPSLRGPKSSHSSVSHVLPPNHLPTAANRLQSTVTIARSSRPSRFPDSFTQILKAPLRTNNRKRGLKMPEKGFTLIMDDFPVLGSVNSESNTRRGHNLQGRSTFGSGTQIAQDEQRKIHLTGVGEVISSSNYEHEHDLRTDYVNEGDAQVTAAILPWGAKHAQQHGTNAPKQSVPPPWFNYWHPPPDHPPDGNEMLHEGATAYGSDKPADPHMICSVEPLAYYGQFLLNQEAAPMQDPGYSGYISDNQDGYHCDMEADALVIIQPHILGKVKHGHSEGLQKQPLIKKDVALLEKIKCLNIKARKLRACKISELSPSKESMIERSKNTDEKADHVKKDVLFSSITSDTMSAFDSASSFSESSDFVPSNSANVPGSATITSSSELEATEFRKAGEPGKLGDHDAYGRVSTSRSRHGGSAKNMSSNISENGWEEHSTVDSLQVVMANAQQDKSFSRNLSLQVHVAAVDEMLNLLDNEIQLHSRTRELSAHHAKQVLEDQDWNSQQKAKSITELDELIRHSPEQSQKTNDAPLEEDNLHLRQKDGSHGTTTYCIASESFNAPLPANRVNHITDSISFIPASNTTGISKDPVIHKVISPAKNTEINMMETAPKSTSQSQGNSAPKHWKIDNRQRHVESWERITIERSNIAENAEYAKNIAETPTDAPSAEAQCHEDLSTVDKNSWRDASAATTASQPVFDKKNATKVPSAHKTLSGVVISNSMIPVTSVSGLTVGSIMLGDISFISVNQVGATAAREIHDTKNTHSRTTTIQQPSKKEQPEEGALNNMAVAAPTLLSGNHSIVQDTAMIAEWSEMEKHKSVEKEQLNQWNLGKMLPAQSHRASYGNPGTFNFGAESRANKALYNFTADKVEPTTKLDKWLDRESSWVQVKTGQQYTDGSASLMQHLTEQVDKIDQWQSPEPDKQVKRQFEFKTHDGSDSRLEPVRTVPLPVNNWEMRHASYSQKQNHVEGQRNVRTSDATNIYEGRDRTRAFYEVPSLSKSFSDALNKSQGTVVSEWMQDPYQGVYNMDNSRGFDSAFVDSSCNELIQNVDGDSEMDLYSEQFKEQVKFEDGHLLIWNPREWEYQLPSPPPHGQHSGSEMELCSDQIEGDMIWEDGHPLIWNPRDWEYQPLNLEPHHHGHGQHKESEMVLLYSEQFEEDMVQEDGKPLISNPEDWEYKQLNPELNQHDHAHRGSEMVLYSEQMEEDVIWEDGHPLIWNPIDWEYQPLNPETHQHDHGQPMGSEMVLYSDQIEGNVIWEDGHPLIWNPTDWEYQTLNPEPHHLDHGQHRGSETDLYSDQVEGDVIWEDGCTLIWNPTDWEYQPLNPAPHHHDQHSGRYHRGGDTYSEWGYDAGEPTYGANEGRRKGGIHSEYQSKPVGSSDVAPDIQRNAGADDQSRRHPASGAAYRERRYYI >ORUFI11G24840.1 pep chromosome:OR_W1943:11:26975657:26991956:1 gene:ORUFI11G24840 transcript:ORUFI11G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYTPVADQCYNSSSTSAPGFVASLELTAPFLLAQSNEFTAIGCNTVAFLDGRNNGSYSTGCITTCGSVEAAAHDGEPCTGLGCCQVPSIPPNLTTLHISWNDQGFLNFTPIGTPCSYAFVAQKDWYNFSRQDFGPVGSKDFITNSTWDKSVPTVLNWAIRNNGSCSSITGLAPACVSANSNCVNTSNGVGYLCKCSPGYAGNPYATGADGCTNINECDLRRAEPAKYEKLYPCYSGSNCHDTEGDYKCKCRFWHRGDGKLDKGCRAIIPWTAVAAVATLLASAFLAALLLYIRRERKRRQRKGLFDKNGGNILRNVLNIKIYSEDELNKMTTNYSNMLGNGCFGEVYKGITDEKQEVAVKRFNPRDEERSRDDVVREITSQSSIQHDNLLRLVGCCLETDVPRLVLEFIPNGSLHTVLHRAGRNMHIPLLARLDIAVGSAEALAYMHSNIGHNSIVHGDVKSANILIGDNMEPKVSDFGASKLMSVAKYNKWSVFGDLNYIDPVYTSTGDFTDKSDVYSFGVVLLELITRRKAKCDGTSLRVQFDKHYKDDDMRRKMYDQDLLSDDAQPHCLECLDKMADIAVQCLRNNVDERPTMAEVLEDLKKLRESAKTHNTYPTRVDARLPIIIELGIEACCNCFLPICVVPDGVTHPIQLEAAAGALAAMAQGVMLWYDDLLAVLVLSVLVATSSTAANCGRKCGDVRIPYPFGIGVDCAWPGFNVSCNHSFSPPRPYYGNIEIMDISVAAGETRVYTSVLQNCFDLSNTSSSSESDVDSPWLNLTGTPFLVSPERNEFTATGCDTLGMMYGREDGSYLTGCVTTCASLDTAANDDDHCAGLGCCQIQSIPGNLTILEMTLSANITEGKIAAYNFSRKDFGRSGNKIFANRDGEMVVPTVLDWAIRGTNGSCSGSVAPACVSDHSYCANATNGDGYLCKCSKGYDGNPYLKAGNGGCTDIDECKEPDRCSTGSRCHNTEGDYYCKCRFPRRGDGKINGKGCHLPKYMVPTVATVCTVIFLVVLVCLYKRRKRRMFANNNGGRLLKDMNIVLFTEKDLNKMTKNRSTKILGEGSFGKVYMGTHKWEPTRTSLVAVKYSKGKRKAARMHGVDIKCMNQNVFKTPYVVPSPEDSSSPLPESVDEIRVQSLIQHENVVTLVGCCIETEEPTLILEFIPNGSLEKMLHGGDQRPLSLLQRLDIAIGSAKALSYMHSSTLVHGDVKLSNILLDDKLIPKVSDFGSAELTLKIKLVEKRPTMAEVVEELKQLREQISTRMS >ORUFI11G24840.2 pep chromosome:OR_W1943:11:26975657:26991956:1 gene:ORUFI11G24840 transcript:ORUFI11G24840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYTPVADQCYNSSSTSAPGFVASLELTAPFLLAQSNEFTAIGCNTVAFLDGRNNGSYSTGCITTCGSVEAAAHDGEPCTGLGCCQVPSIPPNLTTLHISWNDQGFLNFTPIGTPCSYAFVAQKDWYNFSRQDFGPVGSKDFITNSTWDKSVPTVLNWAIRNNGSCSSITGLAPACVSANSNCVNTSNGVGYLCKCSPGYAGNPYATGADGCTNINECDLRRAEPAKYEKLYPCYSGSNCHDTEGDYKCKCRFWHRGDGKLDKGCRAIIPWTAVAAVATLLASAFLAALLLYIRRERKRRQRKGLFDKNGGNILRNVLNIKIYSEDELNKMTTNYSNMLGNGCFGEVYKGITDEKQEVAVKRFNPRDEERSRDDVVREITSQSSIQHDNLLRLVGCCLETDVPRLVLEFIPNGSLHTVLHRAGRNMHIPLLARLDIAVGSAEALAYMHSNIGHNSIVHGDVKSANILIGDNMEPKVSDFGASKLMSVAKYNKWSVFGDLNYIDPVYTSTGDFTDKSDVYSFGVVLLELITRRKAKCDGTSLRVQFDKHYKDDDMRRKMYDQDLLSDDAQPHCLECLDKMADIAVQCLRNNVDERPTMAEVLEDLKKLRESAKTHNTYPTRVDARLPIIIELGIEACCNCFLPICVLAAMAQGVMLWYDDLLAVLVLSVLVATSSTAANCGRKCGDVRIPYPFGIGVDCAWPGFNVSCNHSFSPPRPYYGNIEIMDISVAAGETRVYTSVLQNCFDLSNTSSSSESDVDSPWLNLTGTPFLVSPERNEFTATGCDTLGMMYGREDGSYLTGCVTTCASLDTAANDDDHCAGLGCCQIQSIPGNLTILEMTLSANITEGKIAAYNFSRKDFGRSGNKIFANRDGEMVVPTVLDWAIRGTNGSCSGSVAPACVSDHSYCANATNGDGYLCKCSKGYDGNPYLKAGNGGCTDIDECKEPDRCSTGSRCHNTEGDYYCKCRFPRRGDGKINGKGCHLPKYMVPTVATVCTVIFLVVLVCLYKRRKRRMFANNNGGRLLKDMNIVLFTEKDLNKMTKNRSTKILGEGSFGKVYMGTHKWEPTRTSLVAVKYSKGKRKAARMHGVDIKCMNQNVFKTPYVVPSPEDSSSPLPESVDEIRVQSLIQHENVVTLVGCCIETEEPTLILEFIPNGSLEKMLHGGDQRPLSLLQRLDIAIGSAKALSYMHSSTLVHGDVKLSNILLDDKLIPKVSDFGSAELTLKIKLVEKRPTMAEVVEELKQLREQISTRMS >ORUFI11G24850.1 pep chromosome:OR_W1943:11:26994147:26995592:-1 gene:ORUFI11G24850 transcript:ORUFI11G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGGEADPATARRRGGSGGGSGAWVPDLAASASSFALPLLRQLLGRQWRCHRGYPLQLEGGWASTHEPATGWVGMHPAPRLLLADDSRCPDRFLAMQVMLAAAPTSWTKFPEAAVDGGQRPALKRLVDEVEKQTGTREDSASECHPGRHPRHGHRQRSSPAANMKGRGDAEMSTWDERAATNDWLRWQEI >ORUFI11G24860.1 pep chromosome:OR_W1943:11:27010924:27016104:1 gene:ORUFI11G24860 transcript:ORUFI11G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKNDVMRVVAILAMPQQLIIVLLLLFHAAAAGGQRAGCSSKCGDVDIPFPFGVGVGVDCALPGFNVSCNHSFTPPRPYYIDAEIMDISLEKGEMRVYSPVLQDYCNNSPNTIESSVSTPPAPRSRNEFTAIGCGAIGLLWGKDDGSYLTGCITSCASLDAAARDGEPCTGLGCCHVPSIPPNLNVLNLEWADPSLVPNVAWDQGAPCSYAFLAEKGWYKFSRKDFGRSGNKIFANRDGEMVVPTVLDWAIRGTNGLCSGSVAPTCVSDHSYCANVTNGDGYLCKCLKGYDGNPYLKGNGGCIDIDECHLRTKSSIYGQHYPCYNGSRCQNTDGGYKCICRFPHRGDGTGKGCKPIIPLRIVATLAMVCAMVALLGLAWFIRCEHKAWEQRGFFESNGGQLLKDMGVTTFTQEQLDTITNKKRTKIGKGTFGEVYKGLHDDQEVAVKYSTAKSSIRRGKYEFVKEMAFRKSISSNGDGTLGQKASVNEIIVQSQMRHDNVVRLIGCCMETEVPMLVFEFIPNGSLETSSIRRGKYEFVKEMAFIKSISSNGDGTLGQKASVNEIIVQSQMQHDNVVRLIGCCMETEVPMLVFEFIPNGSLETTVLHGPDLRALSLPERLDIAIGSAAALAYMHSLGLQSIIHGDVKPANILLGKDLVPKVSDFGSSKLGLATKEVCADKNYIDPVCMKTNIVTQKSDVYSFGIVLIELITRKKAKYDGRNVQSDFVNCHTDNNARREMYDQDMLHTDAHSLQPDQCIECLDTMAAIAVRCLKDDVDERPTMAEVLEELKQLRASNELMV >ORUFI11G24870.1 pep chromosome:OR_W1943:11:27027305:27079708:1 gene:ORUFI11G24870 transcript:ORUFI11G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKDISLEKGEMRVYTDVVYDSCFNSSSNTTDDSSSTLQLTMTGTPFLLSESSNEFTAIGCGSMAFLWGRHDGSYSTGCMTTCASLDEAAHDGEPCTGLGCCHAPTIPTSLSTVKLTVQCLGNSINTPNYGFVAEKGWYKFSRQDLSPAGNKNIDQGDGHRSTVPMVPIMVLDWAIWGNGSCSSATGAPACVSAHSYCVNATNGEGYRCNCSPGYTGNPYNVMRLAILAMAQLVLLWPATTAGQRAGCPSKCGGVDIPSPFGVGDDCAWPGPDNFTVTCNHSFSPPRPYYGNIEIMDISVAAGEMRVYSPVAYNCSNSSSTTENRKRNKPSIESSTTENVVPSIEFKATDTPVLLSKDKNEFTAIGCATLAWLWGRDDGSYLTGCISTCASLATAAKDREPCTGLGCCQVPSIPANLSVLNISLGTGIANVAWEESPCSYAFVAEKHWYNFSRQDFSRAGSKSFVNRTGDRSVPTVFDWAIRGNGSCSSATGAPACVSAHSSCQHLASYWPAMAQGVMLWYDDLLAVLVLSVLVGSAAEVRIPYPFGIGVDCAWPGFDLSCNHSFAPPRPYIADDNEFIDVSLETGETRVYTSVLQNCFDLSNTSSSSEFDVGSPWLNLTGTPFLVSPERNEFTATGCDTLGLIYGREDVSFFTGCVTTCTSLGTAANDGDNCTGLGCCQLQSIPGNLTLLGMTLTANITDTKISAWNPCRYAFITERDRYNFSRKDFGRSGNKIFANRDGEMVVPTVLDWAIRGTNGSCSGSVAPACVSEHSYCANATNGDGYLCKCSTGYAGNPYLKGNGGCTNIDECKEPDRCSTGSRCHDTEGGYYCKCRFPRRGDGKINGKGCHLPKDIVVTLATVCIVIFLVFFVCWYERRKRRRHFNNNGGRLLNGMEIKHFWKKDLDKMTKNRTTMLGEGYFGKVYMGTHKNQLVAVKYSKGKRKLAQMTHGKDIKCMNKKMFQNAFCWSKVPSSPEEDSSSRVSGPELVDELRVQSLIQHENVVTLLGCCMETEEPTLILEFIPNGSLEKKLHKDKQHPLSLSQRLDIAIGSAEALSYIHSSSDHQSIVHGDVKPANILLDDKLIPKVSDFGSAELTLKIKLVSDFGSAELTLKIKLVCGDLDYIDPVFLQTHNFTVKSDVYSYGVVLLELITRKRAKYDDGRSLPVEFVKHYKDNNERRKMYDQDMLSSMDALLQPYCTECLDRIAAIALAAMAQGVMLWYDLHALAAAVALLVSSVLVGTSTAVNCGRKCGEVRIPYPFGIGVDCAWPGFDLSCNHSFTPPRPYTGNVEIKDISLEAGEIRLYTHVVSNCYTSYNTTEYESTSSQDDLRDTPFLFARSRNEFTAIGCGAIAFLWGRDDASYSTGCITTCASLDEAAHDGDDCTGLGCCQVPSIPPNLDILNISFDPGSLIGNPAWRESPCSYAFVAEKHWYNFSRHDFSHAGSKSFVNRTGDRSVPTVLDWAIRGNGSCSSATGAPACVSAHSYCVNATNGKGYLCNCSAGYSGNPYVTGGCININECELRREGPAMYPCYSGSRCYDTEGGYKCKCRFLHRGDGKIDKGCKPIIPATVVATIATAVAGGILAFVVLYILKEHRRRQQNRSFDKNGGNILNKMMDIKIFSEEELKKMTKNYCEKRRIGKGYFGEVYKGITQDNQQVAVKRFVRNGEEHDKQDFADEITSQARIQHENLVRLVGCCLHTDVPMLVLEFIPRGSLYDVLHGNGRHTHDLPLPTRVDIAVGCAEALACMHSNIGHKSVVHGDVKSGNILLGNNLEPKVSDFGSSKLMSVAKSDNWSVMADKSYIDPAYIKTGRFTEKSDVYSFGVVLLELITRKKALYDDRKSLPLSFAKYYKDDYARRNMYDQDMLSSADDALRPRYMECLDRMANIAIRCLKEDIDERPTMAEALEELKQLSASLNVT >ORUFI11G24870.2 pep chromosome:OR_W1943:11:27027305:27079708:1 gene:ORUFI11G24870 transcript:ORUFI11G24870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKDISLEKGEMRVYTDVVYDSCFNSSSNTTDDSSSTLQLTMTGTPFLLSESSNEFTAIGCGSMAFLWGRHDGSYSTGCMTTCASLDEAAHDGEPCTGLGCCHAPTIPTSLSTVKLTVQCLGNSINTPNYGFVAEKGWYKFSRQDLSPAGNKNIDQGDGHRSTVPMVPIMVLDWAIWGNGSCSSATGAPACVSAHSYCVNATNGEGYRCNCSPGYTGNPYNVMRLAILAMAQLVLLWPATTAGQRAGCPSKCGGVDIPSPFGVGDDCAWPGPDNFTVTCNHSFSPPRPYYGNIEIMDISVAAGEMRVYSPVAYNCSNSSSTTENRKRNKPSIESSTTENVVPSIEFKATDTPVLLSKDKNEFTAIGCATLAWLWGRDDGSYLTGCISTCASLATAAKDREPCTGLGCCQVPSIPANLSVLNISLGTGIANVAWEESPCSYAFVAEKHWYNFSRQDFSRAGSKSFVNRTGDRSVPTVFDWAIRGNGSCSSATGAPACVSAHSSCQHLASYWPAMAQGVMLWYDDLLAVLVLSVLVGSAAEVRIPYPFGIGVDCAWPGFDLSCNHSFAPPRPYIADDNEFIDVSLETGETRVYTSVLQNCFDLSNTSSSSEFDVGSPWLNLTGTPFLVSPERNEFTATGCDTLGLIYGREDVSFFTGCVTTCTSLGTAANDGDNCTGLGCCQLQSIPGNLTLLGMTLTANITDTKISAWNPCRYAFITERDRYNFSRKDFGRSGNKIFANRDGEMVVPTVLDWAIRGTNGSCSGSVAPACVSEHSYCANATNGDGYLYIDECKEPDRCSTGSRCHDTEGGYYCKCRFPRRGDGKINGKGCHLPKDIVVTLATVCIVIFLVFFVCWYERRKRRRHFNNNGGRLLNGMEIKHFWKKDLDKMTKNRTTMLGEGYFGKVYMGTHKNQLVAVKYSKGKRKLAQMTHGKDIKCMNKKMFQNAFCWSKVPSSPEEDSSSRVSGPELVDELRVQSLIQHENVVTLLGCCMETEEPTLILEFIPNGSLEKKLHKDKQHPLSLSQRLDIAIGSAEALSYIHSSSDHQSIVHGDVKPANILLDDKLIPKVSDFGSAELTLKIKLVSDFGSAELTLKIKLVCGDLDYIDPVFLQTHNFTVKSDVYSYGVVLLELITRKRAKYDDGRSLPVEFVKHYKDNNERRKMYDQDMLSSMDALLQPYCTECLDRIAAIALAAMAQGVMLWYDLHALAAAVALLVSSVLVGTSTAVNCGRKCGEVRIPYPFGIGVDCAWPGFDLSCNHSFTPPRPYTGNVEIKDISLEAGEIRLYTHVVSNCYTSYNTTEYESTSSQDDLRDTPFLFARSRNEFTAIGCGAIAFLWGRDDASYSTGCITTCASLDEAAHDGDDCTGLGCCQVPSIPPNLDILNISFDPGSLIGNPAWRESPCSYAFVAEKHWYNFSRHDFSHAGSKSFVNRTGDRSVPTVLDWAIRGNGSCSSATGAPACVSAHSYCVNATNGKGYLCNCSAGYSGNPYVTGGCININECELRREGPAMYPCYSGSRCYDTEGGYKCKCRFLHRGDGKIDKGCKPIIPATVVATIATAVAGGILAFVVLYILKEHRRRQQNRSFDKNGGNILNKMMDIKIFSEEELKKMTKNYCEKRRIGKGYFGEVYKGITQDNQQVAVKRFVRNGEEHDKQDFADEITSQARIQHENLVRLVGCCLHTDVPMLVLEFIPRGSLYDVLHGNGRHTHDLPLPTRVDIAVGCAEALACMHSNIGHKSVVHGDVKSGNILLGNNLEPKVSDFGSSKLMSVAKSDNWSVMADKSYIDPAYIKTGRFTEKSDVYSFGVVLLELITRKKALYDDRKSLPLSFAKYYKDDYARRNMYDQDMLSSADDALRPRYMECLDRMANIAIRCLKEDIDERPTMAEALEELKQLSASLNVT >ORUFI11G24870.3 pep chromosome:OR_W1943:11:27051989:27079710:1 gene:ORUFI11G24870 transcript:ORUFI11G24870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDISVAAGEMRVYSPVAYNCSNSSSTTENRKRNKPSIESSTTENVVPSIEFKATDTPVLLSKDKNEFTAIGCATLAWLWGRDDGSYLTGCISTCASLATAAKDREPCTGLGCCQVPSIPANLSVLNISLGTGIANVAWEESPCSYAFVAEKHWYNFSRQDFSRAGSKSFVNRTGDRSVPTVFDWAIRGNGSCSSATGAPACVSAHSSCQHLASYWPAMAQGVMLWYDDLLAVLVLSVLVGSAAEVRIPYPFGIGVDCAWPGFDLSCNHSFAPPRPYIADDNEFIDVSLETGETRVYTSVLQNCFDLSNTSSSSEFDVGSPWLNLTGTPFLVSPERNEFTATGCDTLGLIYGREDVSFFTGCVTTCTSLGTAANDGDNCTGLGCCQLQSIPGNLTLLGMTLTANITDTKISAWNPCRYAFITERDRYNFSRKDFGRSGNKIFANRDGEMVVPTVLDWAIRGTNGSCSGSVAPACVSEHSYCANATNGDGYLYIDECKEPDRCSTGSRCHDTEGGYYCKCRFPRRGDGKINGKGCHLPKDIVVTLATVCIVIFLVFFVCWYERRKRRRHFNNNGGRLLNGMEIKHFWKKDLDKMTKNRTTMLGEGYFGKVYMGTHKNQLVAVKYSKGKRKLAQMTHGKDIKCMNKKMFQNAFCWSKVPSSPEEDSSSRVSGPELVDELRVQSLIQHENVVTLLGCCMETEEPTLILEFIPNGSLEKKLHKDKQHPLSLSQRLDIAIGSAEALSYIHSSSDHQSIVHGDVKPANILLDDKLIPKVSDFGSAELTLKIKLVSDFGSAELTLKIKLVCGDLDYIDPVFLQTHNFTVKSDVYSYGVVLLELITRKRAKYDDGRSLPVEFVKHYKDNNERRKMYDQDMLSSMDALLQPYCTECLDRIAAIALAAMAQGVMLWYDLHALAAAVALLVSSVLVGTSTAVNCGRKCGEVRIPYPFGIGVDCAWPGFDLSCNHSFTPPRPYTGNVEIKDISLEAGEIRLYTHVVSNCYTSYNTTEYESTSSQDDLRDTPFLFARSRNEFTAIGCGAIAFLWGRDDASYSTGCITTCASLDEAAHDGDDCTGLGCCQVPSIPPNLDILNISFDPGSLIGNPAWRESPCSYAFVAEKHWYNFSRHDFSHAGSKSFVNRTGDRSVPTVLDWAIRGNGSCSSATGAPACVSAHSYCVNATNGKGYLCNCSAGYSGNPYVTGGCININECELRREGPAMYPCYSGSRCYDTEGGYKCKCRFLHRGDGKIDKGCKPIIPATVVATIATAVAGGILAFVVLYILKEHRRRQQNRSFDKNGGNILNKMMDIKIFSEEELKKMTKNYCEKRRIGKGYFGEVYKGITQDNQQVAVKRFVRNGEEHDKQDFADEITSQARIQHENLVRLVGCCLHTDVPMLVLEFIPRGSLYDVLHGNGRHTHDLPLPTRVDIAVGCAEALACMHSNIGHKSVVHGDVKSGNILLGNNLEPKVSDFGSSKLMSVAKSDNWSVMADKSYIDPAYIKTGRFTEKSDVYSFGVVLLELITRKKALYDDRKSLPLSFAKYYKDDYARRNMYDQDMLSSADDALRPRYMECLDRMANIAIRCLKEDIDERPTMAEALEELKQLSASLNVT >ORUFI11G24880.1 pep chromosome:OR_W1943:11:27083639:27087648:1 gene:ORUFI11G24880 transcript:ORUFI11G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLGAFVERRSKSNGSDSDLAALLAFKGELSDPYNILATNWTAGTPFCRLPSLWFFSIDANNFTGPIPQGFAACQQLQVFSLIQNLFEGALPSWLGKLTNLVKLNLENQVEGWRKGGGRGSIADPSPRRSIAKQDFRRTMWAICQARCKYSSHVETIYPVSFHL >ORUFI11G24890.1 pep chromosome:OR_W1943:11:27087723:27089680:1 gene:ORUFI11G24890 transcript:ORUFI11G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEILQWLDLSENSLFGPIPSNIGVLKNVQRLFLGTNQFSGSISMGISNMTKLEYLDLSDNQLASTLVAS >ORUFI11G24900.1 pep chromosome:OR_W1943:11:27089711:27095287:1 gene:ORUFI11G24900 transcript:ORUFI11G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGSPVCITMSALLLITLSPVVAAAAASPPGPSKSNGSDSDLAALLAFKGELSDPYSILATNWTAGTPFCRWMGITCSRRQRQRVTGVELPGVPLQGKLSPHIGNLSFLSVLNLTITNLTGVIPASIGNLTRLGVLRLAVNQLAGPVPPGVFNMSMLGVIALALNGLTGPIPGNESFRLPSLWFFSIDANNFTGPIPQGFAACQQLQVFSLIQNLFEGALPSWLGKSFDTECRVLRMARHRNLIKILNTCSNLDFRALVLEYMPNGSLETLLDSDQRIHLSFLERLDIMLDISMAMEYLHHEHCEVVLHCDLKPSNVLFNDGMTAHVSDFGIARLLLEYGAIGKASRKSDVFSYGIMLLEVFTRRRPIEAMFVGELNIRQWVLQAFPADLVHVVDGQLLQDSSSCTSSIDGFLMPVFELGLLCSADSPEQRMVMSDVVVMLKKIRKDYMKSIATMGKAEHRPASSDMALGLLVWIYIVLLIALSTVSAASPPGPSKSNGSETNLAALLAFKAQLSDPLGILGGNWTVGTPFCRWVGVSCSHHRQRVTALDLRDTPLLGELSPQLGNLSFLSILNLTNTGFTGSVPNDIGRLHRLEILELGYNTLSGSIPATIGNLTRLQVLDLQFNSLSGPIPADLQNLQNLSSINLRRNYLIGLIPNNLFNNTHLLTYLNIGNNSLSGPIPGCIGSLPILQTLVLQVNNLTGPVPPAIFNMSTLRALALGLNGLTGPLPGNASFNLPALQWFSITRNDFTGPIPVGLAACQYLQVLGLPDNLFQGAFPPWLGKLTNLNIISLGGNQLDAGPIPAALGNLTMLSVLDLASCNLTGPIPADIRHLGQLSELHLSMNQLTGSIPASIGNLSALSYLLLMGNMLDGLVPATVGNINSLRGLNIAENHLQGDLEFLSTVSNCRKLSFLRVDSNYFTGNLPDYVGNLSSTLQSFVVAGNKLGGEIPSTISNLTGLMVLALSDNQFHSTIPESIMEMVNLRWLDLSGNSLAGSVPSNAGMLKNAEKLFLQSNKLSGSIPKDMGNLTKLEHLVLSNNQLSSTVPPSIFHLSSLIQLDLSHNFFSDVLPVDIGNMKQINNIDLSTNRFTGSIPNSIGQLQMISYLNLSVNSFDDSIPDSFGELTSLQTLDLSHNNISGTIPKYLANFTILISLNLSFNNLHGQIPKGGVFSNITLQSLVGNSGLCGVARLGLPSCQTTSPKRNGRMLKYLLPAITIVVGAFAFSLYVVIRMKVKKHQKISSSMVDMISNRLLSYHELVRATDNFSYDNMLGAGSFGKVYKGQLSX >ORUFI11G24900.2 pep chromosome:OR_W1943:11:27093941:27100250:1 gene:ORUFI11G24900 transcript:ORUFI11G24900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLTGSIPASIGNLSALSYLLLMGNMLDGLVPATVGNINSLRGLNIAENHLQGDLEFLSTVSNCRKLSFLRVDSNYFTGNLPDYVGNLSSTLQSFVVAGNKLGGEIPSTISNLTGLMVLALSDNQFHSTIPESIMEMVNLRWLDLSGNSLAGSVPSNAGMLKNAEKLFLQSNKLSGSIPKDMGNLTKLEHLVLSNNQLSSTVPPSIFHLSSLIQLDLSHNFFSDVLPVDIGNMKQINNIDLSTNRFTGSIPNSIGQLQMISYLNLSVNSFDDSIPDSFGELTSLQTLDLSHNNISGTIPKYLANFTILISLNLSFNNLHGQIPKGGVFSNITLQSLVGNSGLCGVARLGLPSCQTTSPKRNGRMLKYLLPAITIVVGAFAFSLYVVIRMKVKKHQKISSSMVDMISNRLLSYHELVRATDNFSYDNMLGAGSFGKVYKGQLSX >ORUFI11G24910.1 pep chromosome:OR_W1943:11:27100518:27104553:1 gene:ORUFI11G24910 transcript:ORUFI11G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFDTECHVLRMARHRNLIKILNTCSNLDFRALVLEYMPNGSLEALLHSEGRMQLGFLERIPLGLAACPYLQVIAMPYNLFEGVLPPWLGRLTNLDAISLGNLLDGSLPSTVDSMNSLTAVDVTENNLHGDLNFLSTVSNCRKLSTLQMDLNYITGILPDYVGNLSSQLKWFTLSGFIPSNTALLRNIVKLFLESNEISGSIPKDMRNLTNLEHLLLSDNKLTLDLSRNFLSGALPVDVGYLKQITIMDLSDNHFSGRIPYSIGQLQMLTHLNLSANGFYDSVPDSFGNLTGLQTLDISHNSISGTIPNYLANFTTLVSLNLSFNKLHGQIPEGGGVFANITLQYLEGNSGLCGAARLGFPPCQTTSPNRNNGHMLKYLLPTIIIVVGIVACCLYVVIRKKANHQNTSAGKADLISHQLLSYHELLRATDDFSDDSMLGFGSFGKVFRGRLSNGMVVAIKVIHQHLEHAMRSFDTECRVLRMARHRNLIKILNTCSNLDFRALVLQYMPKEALLHSEQGKQLGFLERLDIMLDVSMAMEYLHHEHYEVVLHCDLKPSNVLFDDDMTAHVADFGIARLLLGDDNSMISASMPGTVGYMAPEYGTLGKASRKSDVFSYGIMLLEVFTAKRPTDAMFVGELNIRQWVQQAFPAELVHAFPAELVHVVDCQLLQDGSSSSSSNMHDFLVPVFELGLLCSADSPEQRMAMSDVVVTLNKIRKDYVKLMATTVSVVQQ >ORUFI11G24920.1 pep chromosome:OR_W1943:11:27108286:27110879:-1 gene:ORUFI11G24920 transcript:ORUFI11G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLENLQGLDLTSNGISGPIPEEIGTARFVWLYLTDNKLSGSIPDSIGNLTMLQYISLSDNKLSSTIPTSLFYLGIVQLFLSNNNLNGTLPSDLSHIQDMFALDTSDNLLVGQLPNSFGYHQMLAYLNLSHNSFTDSIPNSISHLTSLEYLANFTYLTTLNLSSNKLKGEIPNGGVFSNITLISLMGNAALCGLPRLGFLPCLDKSHSTNGSHYLKFILPAITIAVGALALCLYQMTRKKIKRKLDITTPTSYRLVSYQEIVRATESFNEDNMLGAGSFGKVYKGHLDDGMVVAIKVLNMQEEQAMRSFDVECQVLRMVRHRNLIRILNICSNLDFKALLLQYMPNGSLETYLHKEGHPPLGFLKRLDIMLDVSMAMEHLHYHHSEVVLHCDLKPSNVLFDEEMTAHVADFGIAKLLLGDDNSAVSASMPGTIGYMAPEYVFMGKASRKSDVFSYGIMLLEVFTGKRPTDAMFVGDMSLRKWVSEAFPARPADIVDGRLLQAETLIEQGVHQNNATSLPRSATWPNEGLLLPVFELGLMCCSSSPAERMEINDVVVKLKSIRKDYFAFTGAI >ORUFI11G24930.1 pep chromosome:OR_W1943:11:27121342:27127704:-1 gene:ORUFI11G24930 transcript:ORUFI11G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHLKRLAGIDLQILSAQVTQSTDFTELVNQRPWLSTMKLVVKPDMLFGKRGKSGLVALNLDIAQVKEFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTIFLSTEKPMTPDACAPLIATLPLEARGKIGDFIKGVFAVFQDLDFSFLEMNPFTIVNGEPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVLSSTEGFIHDLDEKERLTNEEVDEMIHETDGSKRINYNEFTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVGATFSGIIRALREKESKLKAARMHIYVRRGGPNYQTGLAKMRKLGAELGVPIEVYGPEATMTGICKQAIECVMAAA >ORUFI11G24940.1 pep chromosome:OR_W1943:11:27123369:27136217:1 gene:ORUFI11G24940 transcript:ORUFI11G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFTVRSYMPSRVKQILPSFCKDMFRILESLDFNSQYEDRATTRLKTAKRCLIILCTLVTRHRKHADDQMAHIVNSATRISSQSIHLHKLDPLSDRIISLSFDVISRVLETGPGWRLVSPHFSSLLDSAIFPALALNEKDITEWEDDTDEYMRKNLPCELDDISGWAEDLFTARKSGINLLGVIALSKGPPVVSAASKRKKGDKSKGKGERSSIGELLVIPFLSKFPIPPQGEDVSSKAVRNYFGVLMAFGGLQDAMSTNIYHSLMKALTMEDFDELSCYPVRASASGAIAELIXMDFTCHLEVGTMLNGYAPPDWLVLLQVVMKRISVEDENESTLLFQLLGTIIESGQEKVLPHIPEIVSNIANTIMKLLPPVPDPWPQAVERGFAALVSMAQAWESSAPDENKDIEMRVWQSGQFAIAQTFSHVLQKAWLLPVEQMGLSVCSSLPPLSCVNDASILLEFIMRSITSMEETAKDTRMTLAVSFAQAAFSRFKSVSDSPSGIWKPLILVISSCYICYPDAIEQLLRKYDSNGYAIWASALAQISSSSFNPGLSSESEIKLAVLTLATVIERLLALSTGGTKLLHDCCVSLMESCIHLKEVQEDGDDGDGAEDLDDDDEEEEDTDEDDEDSDDDDDVREETEEEFLQRYAAAAAGESIEIVEDGDIDDETQDIELGSLDEMDVQQVVLSMMKIRPDLIRAQTFPDGLMERMAETFPEYEQLFHVHRQA >ORUFI11G24950.1 pep chromosome:OR_W1943:11:27146929:27152647:1 gene:ORUFI11G24950 transcript:ORUFI11G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKHNVAMQLQVVVLWLVGVALFSVMASSAPAAVAAAPPPAECPSKCGDVDIPFPFGVGDDCAWPGPDDFNVTCNHSFSPPRPYYGNIEIRDISVAAGEMRVYTPVVYQCYNTSDHVDSSTSFLQLNITDSPFLVAPGRNEFTAIGCDTLAWLQGRDDWSFLTGCITTCVSLDEAAHDGEQCSGLGCCQVPSIPPNLALVELNWGNLTKNYAWRYSPCSYAFVAEKAWYKFSRGDFSRAGSKSFMNRAGDRSVVTVLDWAIRSDGSCSSTSRVAPACVSPNSYCVNTTNGQGYLCKCSPGYDGNPYVTGDSGCTNINECKLRREDPAKYSELYPCYGGSKCHDTEGDYRCKCRLGRRGDGRIDNGCQPIIPPPVIGILVIAGVVLFGLVLVCLRKKWKLKGCYDRNGGQMLEKTSVKIFTKQELDKITNNKSNKIGKGAFGVVYKGTHDDQPVAVKYSIEKSISRTRGKDEFVKEITVQLQVSHDNLVCLIGCCLEVEVPMLVFEFVPNGSLESVLHGAERCALPLLKRLDIAIGSAKALTYMHSHSRRCIFHGDIKPANILLDDNLMPKVSDFGSSESVLKTKHRSVCADMGYIDPVYMVTGNFRLKSDVYSFGIVVLELITRKKAVYDGKSLPIEFTNCYEDDNARRNMYDQDILSAEALQPHCMECLDRMAGIAVQCLEYNIDKRPTMAEALQELIQLRAKVAGK >ORUFI11G24960.1 pep chromosome:OR_W1943:11:27158144:27163197:1 gene:ORUFI11G24960 transcript:ORUFI11G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISVEAGEMRVYSPVVYNCYTSYNTTEYDTISLQDDLRDTPFLFARSRNEFTAIGCGAIAFLWGRDDASYSTGCITTCASLEEAAHDGDHCTGLGCCQVPSIPPNLNILNISFGPGSLIGNPAWRESPCSYAFVAEQSWYNFSRQDFSRAGSKSFINRTGDRSVPTVFDWAIRRNGSCSSPTGAPACVSVHSYCVNAANGEGYLCNCSAGYAGNPYVSGDGGCTNIDECELRRAEPAKSKEVYPCYAHSTCHDTDGGYDCKCHFERRGDATVFAVVFLLALVWLHKRWKRRWFFDNNGGRLLQGMGITIFSEKELENITGKKKIEIGHGYFGTVYRGTHKNQPVAVKSGTRIWSASLGAAWRRRVRPQLVLEFIPNGSLEDILHKAKRALSLPERLDIAIGSVEALAYMHSHGLQSFIHGDVKPGNILLDDNLIPKVSDFGSAKLKIKNERRTVSADLNYIDPTYTSTGYFSDKSDVYSFGLVLLELITRKESIYANNKSLLVEFIKYKKDQQQWRGMYDQELLSTEALETHSIACIDRIAAIAIRCLKRNVEKRPTMAEVVEDLKQLRASVHADLLTATHVEPL >ORUFI11G24970.1 pep chromosome:OR_W1943:11:27164777:27168250:1 gene:ORUFI11G24970 transcript:ORUFI11G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPAAVAAAPRPADCPSKCGDVDIPFPFGIGDDHCFWPGFDVAIQDFGRSGNKIFANRDGEMVLPTVLDWAIRGTNGSCSACVSDQSDCANATNGDGYLCKCSEGYDGNPYLGSNIGGCTATVCSVISLTVLVCLYKRRKRRMFANNNGGRLLKDMNIVLITEKDLNKMTKNRSTKILGEGSFGKVYMGTHKNQPVAVKYSKGKRKLAQMHGKDIKCMNKNMFQNAFCWSKVPSSPQQDSSSRVSGPELVDELRVQSLIQHENVVSLLGCCIETEEPTLILEFITNGSLEKMLHGDDRRSLSLLQRLDIAIGSAKALSYMHSSSLMHGDVKPPTSSSMTT >ORUFI11G24980.1 pep chromosome:OR_W1943:11:27168344:27187100:1 gene:ORUFI11G24980 transcript:ORUFI11G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDVYNYGGVLLELITRKRAKYDDERSLPVEFVNQSKNNNERRKMYDQDMLSSTDSLYPYCMECLDRIAAIAVRCLKNKVDKRPTMAEVVEELKQLREQISTRIECGDVDIPYPFGVDNCSWPGPDDFDVSCNHSFSPPRPYYRDAEIVSISVEAGEMRVYSPVVSQCYNSSNTTDSDGFEFLQLNITNTPFLVAPERNEFTAIGCATLLAWLWGRDDGSYLTGCISTCASLATAAKDREPCTGLGCCQVPSIPANLSVLNISLGTGIANVAWEENFGPRSKSFEHRDGKMVVPTVLDWAIRKSGSCPSTGQGAPACKSEHSECVNATNGMGYLCNCSRGYAGNPYRVDGCKNINECKEPSITCYGGSTCQDTDGSYECKCQFGYTGDGRKNESQKGRCRPIIPATIANAIAIVCIVIVLLGLFWLPKRWKRRVFFDNNGGRLLKDMDIIVFTEKELNKITNKKCTKIGEGAFGEVYKGNHNNQPVAVKYSITKNMTQTHYKDVESVNQNVFQTVFRQSKVPPSTPGQNAVVNEIKAQLQIRHPNIVRLIGCCMETEVPMLVFEFIPNGSLETVLHGIDRCRLSLQQRLDIAIGSAEALAYMHWHGHHQIVHGDIKLGNILLGDNLMPKVSDFGSSELTLKVKRARKWNVYADMNYIDPVYIETGDFTDKSDVYSFGVVLLELITRKKAKYDDRSLPVEFVNHYEDEDTRRKMYDQDMLSTETLHPHCMECLDRMADIVLRCLENEVGKRPTMVEVLEELKKLMPLLTMTPVELLTNLVKLNLGENHFDGGSIPDALSNITMLASLELSTCNLTGTIPADIGKLGKLSDLLIARNQLRGPIPASLGNLSALSRLDLSTNLLDGSVPATVGSMNSLTYFVIFENSLQGDLKFLSALSNCRKLSVLEIDSNYFTGNLPDYRMRVSYQGRVRKSIFICVEGGTYEKD >ORUFI11G24990.1 pep chromosome:OR_W1943:11:27187828:27192120:1 gene:ORUFI11G24990 transcript:ORUFI11G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKHNVAMQLQVVVLWLVGVALFSVMASSAPAAVAAAPPPAECPSKCGDVDIPFPFGVGVDCAWPGFELSCNHSFTPPRPYYSNMEMKDISLPKGEMRVYTSVLQNCFDLSNTSSSSESDVGSPWLDLAGTPFLVSPERNEFTATGCDTLGMMYGREDGSYLTGCVTTQFRYNFSRKDLGRSGNKIFANRDGEMVVPTELDWAIRGTNRSCSVCVSDQSDCANATNGDGYLCKCSEGYDGNPYLKGNGGCTDIDECKEPDRCSTGSRCHNTEGYYYCKCRFPRRGDGKVNGKGCHLPKYIVPTLATVCSVISLTVLVCLYKRRKRRMFANNNGGRLLKDMNIVLITEKDLNKMTKNRSTKILGEGSFGKVYMGTHKNQPVAVKYSKGKRKLAQTTHGKDIKCMNKNMFQNAFCWSKVPSSPEEDSSSRVSGPELVDELRVQSLIQHENVRLDIAIGSAKALSYMHSSSLMHGDVKPANILLDDNLNPKVSDFGSSELILKFKHVCVDKNYVDPVCILTNKYTMESDVYSYGVVLLELITRKRAKYDDERSIRVEFVNQYKDNNERRKMYDQDMLSSTDSLYPYCMECLDRMAAVAVRCLKNKVDKRPTMAEVVEELKQLREQISTRMS >ORUFI11G25000.1 pep chromosome:OR_W1943:11:27193495:27197083:1 gene:ORUFI11G25000 transcript:ORUFI11G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSPVCISVSALLLITLSPAVAAAAASPPGRSKSNGSDTDLAALLAFKGELSDPYSLLATNWTAGTPFCRWMGITCSRRQQQRVTGVELPGVPLQGKLSPHIGNLSFLSVLNLTITNLTGSIPDDIGRLHRLELLDLGNNALSGVIPASIGNLTRLGVLRLAVNQLSGQIPAHLQGLHSLRSINIRNNGLTGSIPNSLFNNTPLLSYLNIANNSLSGSIPPCFGSLPVLQFLDLQVNRLTGPVPPGVFNMSMLGVIALALNGLTGPIPGNESFRLPSLWFFSVDANNFTELIRGCFAIMAGQVDESRQAQXALSNCRKLSVLEIDSNYFTGNLPDYVGNLSSTLQAFIARRNNISGVLPSTVWNLTSLKYLDLSDNQLHSTISESIMDLEILQWLDLSENSLFGPIPSNIGVLKNIQRLFLGTNQFSSSISMGISNMTKLEYLDLSDNQLASTVPPSLFHLDRLVKLDLSHNFLSGALPADIGYLKQMNIMDLSSNHFTGILPDSIEQLQMIAYLNLSVNLFQNSIPDSFRVLTSLETLDLSHNNISGTIPEYLANFTVLSSLNLSFNNLHGQIPETVGAVACCLYVILKYKVKHQKMSVGMVDMARHQLLSYHELARATNDFSDDNMLGSGSFGKVFKDFGIARLLLGDDSSMISASMPGTVGYMAPEYGALGKASRKSDVFSYGIMLLEVFTAKRPTDAMFVGELNIRQWVLQAFPANLVHVIDGQLVQDSSSSTSSIDGFLMPVFELGLLCSSDSPEQRMVMSDVVVTLKNIRKEYVKLIATMGRDDNRTAVFH >ORUFI11G25010.1 pep chromosome:OR_W1943:11:27198219:27202010:1 gene:ORUFI11G25010 transcript:ORUFI11G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVLPTHKSSDMAFRMPVRISVVLLIIALSAVTCASAVPSKSNGSDTDYAALLAFKAQLADPLGILASNWTVNTPFCRWVGIRCGRRHQRVTGLVLPGIPLQGELSSHLGNLSFLSVLNLTNASLTGSVPEDIGRLHRLEILELGYSSLSGGIPATIGNLTRLRVLYLEFNQLSGSIPAELQGLGSIGLMSLRRNYLTGSIPNNLFNNTPLLAYLNIGNNSLSGSIPASIGSLSMLEHLNMQVNLLAGPVPPGIFNMSTLRVIALGLNTFLTGPIAGNTSFNLPALQWLSIDGNNFTGQIPLGLASCQYLQVLSLSENYFEGVVTASAAWLSKLTNLTILVLGMNHFDAGPIPASLSNLTMLSVLDLSWSNLTGAIPPEYGQLGKLEKLHLSQNQLTGTIPASLGNMSELAMLVLEGNLLNGSLPTTVGSIRSLSVLDIGANRLQGGLEFLSALSNCRELYFLSIYSNYLTGNLPNYVGNLSSTLRLFSLHGNKLAGELPTTISNLTGLLVLDLSNNQLHGTIPESIMEMENLLQLDLSGNSLAGSVPSNAGMLKSVEKIFLQSNKFSGSLPEDMGNLSKLEYLVLSDNQLSSNVPPSLSRLNSLMKLDLSQNFLSGVLPVGIGDLKQINILDLSTNHFTGSLSDSIGQLQMITYLNLSVNLFNGSLPDSFANLTGLQTLDLSHNNISGTIPKYLANFTILISLNLSFNNLHGQIPKGGVFSNITLQSLVGNSGLCGVAHLGLPPCQTTSPKRNGHKLKYLLPAITIVVGAFAFSLYVVIRMKVKKHQMISSGMVDMISNRLLSYHELVRATDNFSYDNMLGAGSFGKVYKGQLSSGLVVAIKVIHQHLEHAMRSFDAECHVLRMARHRNLIKILNTCTNLDFRALILEYMPNGSLEALLHSEGRMQLGFLERVDIMLDVSMAMEYLHHEHHEVVLHCDLKPSNVLLDDDMTAHVSDFGIARLLLGDDSSMISASMPGTVGYMAPEYGALGKASRKSDVFSYGIMLLEVFTGKRPTDAMFVGELNIRQWVYQAFPVELVHVLDTRLLQDCSSPSSLHGFLVPVFELGLLCSADSPEQRMAMSDVVVTLKKIRKDYVKSISTTGSVALPAYTKE >ORUFI11G25020.1 pep chromosome:OR_W1943:11:27206193:27213949:1 gene:ORUFI11G25020 transcript:ORUFI11G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLEALLHSEGRMQLGFLERVDIMLDVSMAMEYLHHEHHEVVLHCDLKPSNVLLDDDMTAHVSDFGIARLLLGDDSSMISAMFELGLLCSADSPEQRMVMSDVVVTLKKIRKDYNIVKRGRGGKETERSTVPCASSPGPIASKSNGSETDLAALLAFKAQLSDSNNILAGNWTTGTPFCRWVRVSCSSHRRRRQRVTALELPNVPLQGELSSHLGNISFLFILNLTNTSLTGSVPNEIGRLRRLELLDLGHNAMSGGIPIAIGNLTRL >ORUFI11G25030.1 pep chromosome:OR_W1943:11:27214154:27216007:1 gene:ORUFI11G25030 transcript:ORUFI11G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILQHLNLQANNLTGAVPPAIFNMSKLSTISLISNGLTGPIPGNTSFSLPVLRWFAISKNNFFGQIPLGLTACPYLQVIAMPYNLFEGVLPPWLGRLTNLDAISLGGNNFDAGPIPTKLSNLTMLTVLDLTTCNLTGNIPTDIGHLGQLSWLHLAMNQLTGPIPASLGNLSSLAILLLKGNLLDGSLLSTVDSMNSLTAVDVTKNNLHGDLNFLSTVSNCVFANITLQYLVGNSGLCGAARLGFPPCQTTSPNRNNGHMLKYLLPTIIIVVGVVACCLYVMIRKKANHQNTSAGKPDLISHQLLSYHELRATDDFSDDNMLGFGSFGKVFRGQLSNGMVVAIKVIHQHLEHAMRSFDTKCHVLRMARHRNLIKILNTCSNLDFKALAFPAELVHVVDCQLLQNGSSSSSSNMHGFLVPVFELGLLCSAHSPEQRMAMSDVVVTLKKIRKDYVKLMATTVSVVQQ >ORUFI11G25040.1 pep chromosome:OR_W1943:11:27220715:27224515:-1 gene:ORUFI11G25040 transcript:ORUFI11G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLLLPPFNSIRLLMLVLPLTIPYASGSIPRDGGSSSNGTGDDLSALLAFKARLSDPLGVLAGNWTTKVSMCRWVGVSCSRRRPRVVGLKLWDVPLQGELTPHLGNLSFLRVLNLGGINLTGPIPADLGRLHRLRILRLAHNTMSDTIPSALGNLTKLEILNLYGNHISGHIPAELQNLHSLRQMVLTSNYLSGSIPDCVGSLPMLRVLALPDNQLSGPVPPAIFNMSSLEAILIWKNNLTGPIPTNRSFNLPMLQDIELDTNNFTGLIPSGLASCQKLEIISLSENLFSGVVPQWLAKMSRLTLLFLDGNELVGTIPSLLGNLPMLSELDLSDSNLSGHIPVELGTLTKLTLEVLDLSYNNLSGTIPKYLANFTYLTTLNLSSNKLKGEIPNGGVFSNITLISLMGNAALCGLPRLGFLPCLDKSHSTNGSHYLKFILPAITIAVGALALCLYQMTRKKIKRKLDTITPTSYRLVSYQEIVRATESFNEDNMLGAGSFGKVYKGHLDDGMVVAVKVLNMQVEQAMRSFDVECQVLRMVRHRNLIRILNICSNTDFRALLLQYMPNGSLETYLHKQGHPPLGFLKRLDIMLDVSMAMEHLHYHHSEVVLHCDLKPSNVLFDEEITAHVADFGIAKLLLGDDNSAVSASMPGTIGYMAPEYAFMGKASRKSDVFSYGIMLLENNATSLPRSATWPNEGLLLPIFELGLMCCSSSPAERMGISDVVVKLKSIRKDYFSFTGAI >ORUFI11G25050.1 pep chromosome:OR_W1943:11:27232396:27233018:1 gene:ORUFI11G25050 transcript:ORUFI11G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGKDNSKAVVFLAALMVMAIAFSSSHAAQVNGVKYFETEGVKDTCTYLRGCTTSLCQANHNEGGNCDSDSDQCCCGTRLGHGVGTGHVHK >ORUFI11G25060.1 pep chromosome:OR_W1943:11:27233915:27235462:1 gene:ORUFI11G25060 transcript:ORUFI11G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGCLVAFVILAVALSSCKADESTDVCFYAGLRACQVRMCGAYCLKYYGNLVDWKGAYCNEQGKCCCKARSISR >ORUFI11G25070.1 pep chromosome:OR_W1943:11:27236444:27240772:-1 gene:ORUFI11G25070 transcript:ORUFI11G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPSNDTDIAALLAFKAQVSDPLGFLRDGWREDNASCFCQWIGVSCSRRRQRVTALELPGIPLQGSITPHLGNLSFLIVLNLANTSLTGTLPGVIGRLHRLELLDLGYNALSGNIPATIGNLTKLELLDLQFNRLSGPIPAELQGLRSLGSMNLRRNYLSGSIPNTLFNNTPLLGYLSIGNNSLSGPIPHVIFSLHVLQVLVLEHNQLSGSLPPAIFNMSRLEKLYATRNNLTGPIPHPAGNQTFISIPMIRVMCLSFNGLTGRIPPGLAACRKLQMLELGLESNLLTGQVPETLGNLRSLYSLGIGKNHLQGKLHFFALLSNCRELQFLDIGMNSFSGSISASLLANLSNNLQYFYANDNNLTGSIPATISNLSNLNLIGLFDNQISGTIPDSIMLMDNLQALDLSINNLFGPIPGQIGTPKGMVALSLSGNNLSSYIPNGGIPKYFSNLTYLTSLNLSFNNLQGQIPSGGIFSNITMQSLMGNAGLCGAPRLGFPACLEKSDSTRTKHLLKIVLPTVIVAFGAIVVFLYLMIAKKMKNPDITASFGIADAICHRLVSYQEIVRATENFNEDNLLGVGSFGKVFKGRLDDGLVVAIKILNMQVERAIRSFDAECYVLRMARHRNLIKILNTCSNLDFRALFLQFMPNGNLESYLHSESRPCVGSFLKRMEIMLDVSMAMEYLHHEHHEVVLHCDLKPSNVLFDEEMTAHVADFGIAKMLLGDDNSAVSASMLGTIGYMAPEYAFMGKASRKSDVFSFGIMLLEVFTGKRPTDPMFIGGLTLRLWVSQSFPENLIDVADEHLLLDEETRLCFDHQNTSLGSSSTGRSNIFLMSIFELGLLCSSESPEQRMAMNDVVSKLKGIKKDYSASMLEMQRPRQY >ORUFI11G25080.1 pep chromosome:OR_W1943:11:27246035:27257539:1 gene:ORUFI11G25080 transcript:ORUFI11G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLGKGLSGSAIVTMYTQGDYTACHNQRLQTYRDYSITSYNRFFLAVNKRKHLCRSCHMNDNDDDDLLMQRETKRRQVGKRHGRCDAKMCDSLEHSRPSSNIDIRKLLLLPVEEDPNDVTHSNTAGLAQIST >ORUFI11G25090.1 pep chromosome:OR_W1943:11:27253735:27254480:-1 gene:ORUFI11G25090 transcript:ORUFI11G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMLDVSMAMEYLHHEHHEVVLHCDLKPSNVLFDEEMTAHVADFGIAKMLLGDDNSAVSASMPGTIGYMAPEYAFMGKASRKSDVFSFGIMLLEVFTGKRPTDPMLIGGLTLRLWVSQSFPENLIDVADEHLLLDEETRLCFDHQNTSLGSSSTGRSNSFLMSIFELGLLCSSESPEQRMAMNDVVSKLKGIKKDYSASMLEMQRPRQY >ORUFI11G25100.1 pep chromosome:OR_W1943:11:27260944:27263774:1 gene:ORUFI11G25100 transcript:ORUFI11G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPSHLHLLILFFAIAASSLLSTATSTRASPADTAVGGIAAKVYTKVCDATRFAGLGLNMTEFRYCDASLPYADRVRDLIGRMTVEEKVGALGDWTDGAARIGLPAYRWWSEALHGLSSTGPTTKFDDLATPHLHSGVSAVYNATVFANVINSAASFNETLWKSIGQAVSTEARAMYNMGKGGLTYWSPNINVVRDPRWGRALETPGEDPYVVGRYAVNFVRGMQDIPGHEAVAAGGDPNTRPLKTSACCKHYAAYDLDDWHNHTRFEFDARVDERDMVETFQRPFEMCVRDGDVSSVMCSYNRVNGIPACADARLLSQTIRRDWGLHGYIVSDCDAVRVMTDNATWLGYTGAEASAAALKAGLDLDCGESWKNDTDGHPLMDFLTTYGMEAVNKGKMRESDIDNALTNQYMTLMRLGYFDDIAQYSSLGRQDICTDQHKTLALDGARQGIVLLKNDNKLLPLDANKVGFVNVRGPHVQAPEKIMDGDYTGPPCRYVTPRQGVSKYVRFSHRANTTIYFGGLNLNIEREGNDREDILLPKNQTEEIIRVAKASPNPIILVILSGGGIDVSFAQNNPKIGAILWAGYPGGEGGNAIADVIFGKHNPSGRLPLTWFKNKYIYQLPMTSMDLRPVAKHGYPGRTYKFYDGPDVLYPFGYGLSYTKFLYEMGTNGTALIVPVAGGHCKKLSYKSGVSTAPACPAINVNGHVCTETVSFNVSVTNGGDTGGSHPVIVFSKPPAEVDDAPMKQVVAFKSVFVPAWSTVSVSFELNVCKAFGIVEKTAYTVVPSGVSTILVENVDSSVSFPVKIDFAI >ORUFI11G25110.1 pep chromosome:OR_W1943:11:27274238:27277225:1 gene:ORUFI11G25110 transcript:ORUFI11G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARNGASSPLSPSSRWLAPPFPLSPSSHPLRRGPFLLFIGWGEELGSGNEFDLGRPGNDVFAIIVQKKGDRYVCKCRLAERERERAMAHRSPFHFTLPLAFLLHLWPSRRLLLLGRCASLIRYIDRFFFNDALVLIFYIVCLLIHRRYDLMAGCTLALPESIHSSIA >ORUFI11G25120.1 pep chromosome:OR_W1943:11:27285356:27287602:-1 gene:ORUFI11G25120 transcript:ORUFI11G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAKIPAVLWLLACAVLTFAVAISPAHGGRTRRHYDFFITETNYRRLCHEKSVLTVNGQFPGPTIYARKGDLVIVNVYNHGNKNITIHWHGVDQPRNPWSDGPEFITQCPIRPDGKFTYQVIMSEEEGTLWWHAHSDFDRATVLGAIVVHPKHGDTFPFKRPDKEIPIILGEWWKNDVNHLLEEMKRIGEDVKPSDANTINGQPGDMFPCSRDDTFKVAVEHGNTYLLRVINAGLTNDMFFAVSGHRLTVVGIDARYTKPLTVEYIMIAPGQTMDLLLEANRSLGSKSNSRYYMAARTFITLPVPIPFNNSTATAVVEYYTGDSGAGPPDFPAVLPSLDDVDAAMAFLRQLRSLGSKDHPVHVPTHVDEHMLIDLAINFLPCNATNTTDTACKGPKGNTTRFAASLNNVSFVSPAIDVLHAYYYGSGRGVYEDDFPNNPAPVFVNLTGDNDRPGVTKHGAKVKVLEYGTVVEVVFQDTSFESHPMHLHGFAFYVVGLGSGKFDDRRDPATYNLLDPPYQSTVSVPKAGWAAIRFRADNPGVWFMHCHFDRHMVWGMNTVFIVKDGKTPQAQMLPRPPNMPKC >ORUFI11G25130.1 pep chromosome:OR_W1943:11:27291800:27293039:1 gene:ORUFI11G25130 transcript:ORUFI11G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRNPGSQEFVFTSKVTIDRVQENIQWWYMSCNLCNKIVIQKNDKYHCRNCNKYPENTTPRYRLRLQISDHTTSTSCTIFDDVAQSMLETPVSSLLNLLDGKNDEIPNIIQQLCGKQLIFKFKLSEQNLTEGTPNYVVKKTFVPDYMLEKQYLINKAEEELMDDDVDNILKQDRETNQQEQTSSGPLIKNRSISLLPVKQELEDSDQEITSEDELTSSDKPPSCKQIRRRSYIIEDDSEEESNETSMIKCVDAKVRGKNAKGAHAKSTKAEIRNDTYSHEINKSVVEESIKAGKR >ORUFI11G25140.1 pep chromosome:OR_W1943:11:27302034:27302399:1 gene:ORUFI11G25140 transcript:ORUFI11G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLASRRWLDPQHACQNHFMQHVKHHPRLLLLQAIDQWLTGKGGVGHGNGGGRRGRRGLISLSGAPLSGVLEGKWRGGRGDEDGAVARLGLVQSGWNDGDVVRRRSVRAPELPGATPRFR >ORUFI11G25150.1 pep chromosome:OR_W1943:11:27349540:27349818:-1 gene:ORUFI11G25150 transcript:ORUFI11G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHASICPARTAAHPSTSSSLLHLVPDGAADSPPATKSSSSTYGGSGGGVLRRRERCRDEAAPSGEAELAEDTRVGRSSSAMTTASLAHPFA >ORUFI11G25160.1 pep chromosome:OR_W1943:11:27351004:27352235:-1 gene:ORUFI11G25160 transcript:ORUFI11G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAGARRRRPHPPLFTPQWSSPPAASSSTVTSHVRARRRRPRPPSSTNHVGAHRRWPRPPPTPALVASGRVLRRQCPTPELVAGGHILRCHIPCRNSSPAALHIFSGDVLPDGAARRGSGGLLQRAPFSPFFLRCHMPDASARALGNEKEDDTIAGARDGIPKGEKGRSVRGRDGGCPIAHSDHPPISPPQ >ORUFI11G25170.1 pep chromosome:OR_W1943:11:27366479:27369669:1 gene:ORUFI11G25170 transcript:ORUFI11G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIARGAMGSLLSKLGELLKEEYNLQRSVKKDIRFLSRERPMMHAALRMVGEVPLDQLDDLVKIWAREVRELSYDMEDIADTFKVHIEQGSQQADLGCTQGFARKMVNLFKKGRSHRQIVNEIKNIKDRVKEVAERRDRYKVESISASYAQATATIDPRLTALFKKVTELVGINGARDMLIRRLSKGAGAFDEKLKVVSVIGVGGLGNTTIAKAVYDILVHGGQFDCCAFASVGQNPDMKRFFKDILLQLDKHKYMHITAVTLMDDRQLINELLEFLDKKRYLIVIDDIWETSTWDLIKNALPDSNCESRIITTTRISKVAEQVGDIYNIQPLSDDNAEKLFYTRIFGFDGKYPSNQLTEVSKKILKKCGEDYWIEKYQLIWRWIAEGFVHEEKGPVLFEQGERFLDELINRSLIQPSDRYHSGIVNGCRVHDMVLDLIRSLSSEENFCAMLHKEQTMLSQNNIVRRLAIHKRILEHNPKMNVRMAQVRSFNAYMCGHMDCMPPLWSFKVVRVLVLDLCNFTGSPHLEPIGKLLHLKYLGLVNTAIAELPKEVGNLTLLQTLDIWRTSIEELPSTVGKLKRLICLRADSNTRVPAGVIGSLTSLQQLRLHSADKSPSAVVELGKLVELRVLEIQFCKMDQNSRRSLVESVCNLFNIQVLEVHYDHSGPTEWAYLGVGFIDVQDLHTLGRLPALRCLYISSGILLSYVVAGGDGLFQNLRHCTTNLEFMFQQGAMPMLAHLEFRVSVLGLGRREDPAGLGLGHLPFLELVTLYLQCSGASIVEVAEVKVMLRHEVHVHPNHPTLNLEEYHCQSQQPEDDDSGGSV >ORUFI11G25180.1 pep chromosome:OR_W1943:11:27416248:27416577:1 gene:ORUFI11G25180 transcript:ORUFI11G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNQIHEFLPKTYVHAQSGGKWQSLQEGGFLQSSGGGTKLERNTICPMQASTHRLLKSNGNK >ORUFI11G25190.1 pep chromosome:OR_W1943:11:27428720:27429642:-1 gene:ORUFI11G25190 transcript:ORUFI11G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVREEMRKGPWTEQEDLQLVCTVRLFGDRRWDFVAKVSGLNRTGKSCRLRWVNYLHPGLKHGRMSPKEEHLIIELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERRGDMSPSSSSSSLVYQSCLLDTVPIISMDGGDIHDDRSCMARVLKSTQSVMDGYTMDQIWKEIEAPGAPSLLGIDEGKDKACSNLPCPLLTSTMSDYSCPEVFWKIDNEETRMLATQSGYGK >ORUFI11G25200.1 pep chromosome:OR_W1943:11:27438533:27439936:1 gene:ORUFI11G25200 transcript:ORUFI11G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSRTLELLGPEETSETILAFSNFSKDGCHNKDIFCVKAVSLPQFHFPFIVVHIAKGPTLISSYHFIGLIIKYVEIILGDPGDGSNRDVSADKTKTNLHDLVSTNLKL >ORUFI11G25210.1 pep chromosome:OR_W1943:11:27442892:27443659:1 gene:ORUFI11G25210 transcript:ORUFI11G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPADVTRATNPFENRRFKYKKLKFITDGFKTTIGKGGFGPVYIGYLENGTPVAVKMRSQTSSQGNTEFLAEARVHHRNRVSLIGYCKDKKHLALVYEYMDGGSLADHLKAISSILLNSEQLADSIFFFFTGQKACQTEHLTWLQRLNIALDSANGNQQALKFFSYDNMC >ORUFI11G25220.1 pep chromosome:OR_W1943:11:27447334:27448250:-1 gene:ORUFI11G25220 transcript:ORUFI11G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRRRSSATAVLLSLLLLLQLAAAYPQGKRQNVAVFWGRNKAEGSLRQTCDTGDYNIVIISFLSVFGHGKYWLDLSGHDLRDVGADIRHCQSKGVYMLLSIGGDGDGYSLPSSKSAADVAENLYYSVLGGDRPGAFHPFGDDTIVNGVDFFIDNGPADHYDDLANRINDYNQNIRDPIGIMLTATVRCSYPDPRMKAALDTKLFRRIHVRFYDDPSCSYNHAGLAGVMAQWSKWSATYPDGQIFLGVVAANLTGKNDMVAVGELRDKLLPAVQNTDTYGGVMLWNSYYDSLTHYGRYVKDLA >ORUFI11G25230.1 pep chromosome:OR_W1943:11:27452050:27452990:-1 gene:ORUFI11G25230 transcript:ORUFI11G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRRRSSAATIAVFFFLLSLLAVFFQPAAAYYHPQGKRQTVAVFWGRNKAEGSLRQTCDTGDYNIVIISFLSVFGHGKYWLDLSGHDLRDVGADIRHCQSKGVYMLLSIGGDGDGYSLPSSKSAADVAYNLYHSFLGRPRAGIFRPFGDDTIVNGVNFFIDHGPGDHYDDLANRINDYNQNIHDPIGIMLTATVRCSYPDPRMKKALDTKLFTQIHVRFYDDPRCSYNHAGLAGVMAQWNRWSARYPNSRIFLGLAAANVTGKNDMVGVGELSRKLLPAVQKTESYAGVTLWNSYYDSKTHYGRYVKHWA >ORUFI11G25240.1 pep chromosome:OR_W1943:11:27454455:27455463:1 gene:ORUFI11G25240 transcript:ORUFI11G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVHALLPFAAAAALLLLAAPPPATADDPGLAVYWGRHKEEGSLREACDTGRYTTVIITFYNAFGHGRYSLDISGHPLAAVGADIKHCQSRGITVLLSIGGQGGAYSLPTNASAADVADNLWNAYLGGHSAGVARPFGDDAAVDGIDFFIDQGGADHYDDLARRLDGYNKYYRGRVGVLLTATTRCSYPDHRLEKALATGVFARIHVRMFGDEQCTMSPRYSWEKWAAAFPGSKVYIGLVASPEQDSAWMFQKDLYYEMLQFVRSLPNYGGLAIYDRYFDKKANYTGEG >ORUFI11G25250.1 pep chromosome:OR_W1943:11:27457434:27458344:1 gene:ORUFI11G25250 transcript:ORUFI11G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRRSLFLPVVGVAAILLLAAGHATAVNTGETVVFWGRNKDEGSLREACDTGLYTSVIISFLAVFGHGRYSLDLSGHDVSAVGADIKHCQSKYIPVLLSIGGQGGAYSLPTNASAADVADHLWDSFLGGGRAGVPRPFGDAVVDGVDLFIDQGGAEHYDELARRLFSHYKFEMLLTATTRCSYPDHRLDMALATGLFTHIHVRVFGGGGDAGCTTRHRASWERWAAAYPGSLVYLGVVASPEQDANAYLPRKVLFSDVLSHIVEKPNYGGLMIWDRYYDKKTGYSAGKVF >ORUFI11G25260.1 pep chromosome:OR_W1943:11:27460253:27461122:1 gene:ORUFI11G25260 transcript:ORUFI11G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRRSCIPAALAVFFLLLAGQSTAGEDQTAVIWGRHGDEGTLREACDTGHYNTVIISFLSVFGHGRYSLDLSGHDLRRVGNDIKHCQRKGIVVLLSIGGQGGDYSLPSSRSAADVADNLWNAFLAGRRKGVLRPFGNAAVDGIDFFIDRGSGDHYDELARKLYSYRNNKGKGVMLTATPRCRFPDRRLEKALATGVFARIHVRMFGDDVNCTAAPRESWEKWAAAYPASQVYLGLVASSEQDPGYLSPKPLYYTLVMYIRDRLNYGGKMIWDRYYDKKTDYSIGKLI >ORUFI11G25270.1 pep chromosome:OR_W1943:11:27464090:27464944:1 gene:ORUFI11G25270 transcript:ORUFI11G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKALLPVAAMLLLVSGQLAAPVTADGYVGQLAVFWGRHKEEGSLREACDTGRYNIVVITFYNVFGYQRGRYGLDFSGHPVAAVGADIKHCQSKGVQVLLSIGGQGGEYSLPSSQSASDVADNLWNAYLGGRRAGVPRPFGDAVVDGIDFFIDQGGADHYEQLARQLHGRGVLLTATVRCAYPDSRMEAALATGVFARIHVRIFGDDQCTMFPKDAWEKWAAAYPRCTVFLTVVASPEQDEGYMFQKDLYYGVQQFIDKEPNYGGIAIWDRYYDKKANYSGEG >ORUFI11G25280.1 pep chromosome:OR_W1943:11:27465533:27468796:1 gene:ORUFI11G25280 transcript:ORUFI11G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHHSTSADILDEKLKSLSSLGLSPPPPLSLSPTPQPAREQRRFAGGIPLEGARRRLSFSPSLSPHWQRTAAPPPLRHRIQRLHLQASGSTATSSGVA >ORUFI11G25290.1 pep chromosome:OR_W1943:11:27469742:27470581:1 gene:ORUFI11G25290 transcript:ORUFI11G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSLLLVVVSCLAAPATADWYGPLAVYWGRHKDYEGSLREACDTGRYNTVIITFYSVFGYVKGRYGLDISGHPVAAVGADIKHCQSKGVQVLLSIGGQGGGYSLPSSQSAADVADNLWNAYLGGRRAGVPRPFGDAAVDGIDFFIDQGGADHYDELARQLHGRGVALTATVRCSYPDSRLQKALATGLLGRIHVRIFGDNQCTMSPLDAWEKWAAAYPRSKVWLALVASWEQDEVGYMFQKDLYYGVLQFILNKPNYGGIAIWDRYYDKKANYSGEG >ORUFI11G25300.1 pep chromosome:OR_W1943:11:27475614:27476528:1 gene:ORUFI11G25300 transcript:ORUFI11G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALGRRSWLVPLAMVLAVSSCLAGPAMAAGKTGQMTVFWGRNKNEGTLKETCDTGLYTTVVISFYSVFGHGRYWGDLSGHDLRVIGADIKHCQSKNIFVFLSIGGAGKDYSLPTSKSAADVADNIWNAHMDGRRPGVFRPFGDAAVDGIDFFIDQGAPDHYDDLARNLYAYNKMYRARTPVRLTATVRCAFPDPRMKKALDTKLFERIHVRFYDDATCSYNHAGLAGVMAQWNKWTARYPGSHVYLGLAAANVPGKNDNVFIKQLYYDLLPNVQKAKNYGGIMLWDRFYDKQTGYGKTVKYWA >ORUFI11G25310.1 pep chromosome:OR_W1943:11:27477825:27478727:-1 gene:ORUFI11G25310 transcript:ORUFI11G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQRRRALPLSFVVIVLLILAGPGPVAGDKTGELTVFWGRNKDEGSLREACDTGIYNTVIISFLTVFGHGRYWADLSGHPVAGVGADIKHCQHAKNVTVLLSIGGDGDQYSLPTPRSAKDVADHLWHAYLGGGRHGVFRPFGDAVVDGIDLYIDHGGSANYDELAKRLGEHGGVLLTATVRCMDGQETSGEAAVATGLIGRIHVRFYDDRRCSYDSSERRPFYGAWLGWTARYANASVHVGLPAAWDAASDGWINPAALVFDALPLVRGTPNYGGVVLWNRHFDRRSRYGQTIKGML >ORUFI11G25320.1 pep chromosome:OR_W1943:11:27478786:27483193:-1 gene:ORUFI11G25320 transcript:ORUFI11G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQLRPPLLATLHCSLLVLLIINGAAAAGKTGELTVIWGRNKDEGSLRSTCDTGLYTTVVISFLTVFGHGRYRTDLAGHPLAGVGADVKHCQKAKNVTVLLSIGGAGDQYSLPTAKSAQDVAEHLWHAYLGGGRRGVSRPFGDAVLDGVDVYVDRGRWGHYDELARRLRSFGREKPAVRLTASPACSLALFDDEVETMKTLSLFERLHVRFYNESSCDYNYFETRPFWGAWRTWTSRFPAARVQVGWPAMEEMSGFVDPQTLRESVLSSVQDDANYGGVMLWDRYYDKITGFGPMARHQLCLLTTTMLVAVVVFLPCLATATGKTGQIAVFWGRNKTEGSLKEACDTGLYTTVIISFFSVFGHGRYWTDLSGHDVSRVGADVKHCQSKNIPVLLSVGGDGYQYSLPTANSAKDVADHLWHAYLGGGRRGVFRPFGDAVLDGVDLYIDHGGPANYDVLVRRLAGYRGKPVLLTATPRCVYPDANAAAALGTGLVRRIHPRFYGDAACTNKTDGEGRRSLFDWEDWDAWTSRFPASQVYVGLPAEETAADWINPESLYYGVMQRAQTASNYGGAMLWDRGADKAYDNYYGRALKDFIFTNRSQQ >ORUFI11G25330.1 pep chromosome:OR_W1943:11:27484279:27490309:-1 gene:ORUFI11G25330 transcript:ORUFI11G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATNTATRSPVKLMLVLTLSPASKVIAKGGGGVKRVHLDGGAFQCRTCGRRFSTFQALGGHRTSHKRPRVRADGLDLLLGARPGKLGAGGASTPVVHRCDMCGKVFATGQALGGHMRRHRPLVSRNGTMSTTWTAAAAATTMSGSSSEERDDDDDDVHNYNFIHFLPVTDRSLLTDVRQSGGSARLPLVKFNAARGSWGTANIDGGTAWVPPPLAPPPHHMAAGRGPRGKRRKGPRAMTWHPEAPGCSRDSRDSDVFVVVTAMDQQEDYTSDLQLELEEEEDDNQLSQLAELDVQLSLSLACGSTTAMSSSGDEEDAEPRRRRRDEEAAAAAFECRTCGRRFPSHQALGGHRTSHLRPTTNKRRPGPSKPLIHACEVCGLGFQMGQALGGHMRRHRPRNIDLGHKQIVMPEIRPSSSLQLLDLFV >ORUFI11G25340.1 pep chromosome:OR_W1943:11:27493077:27493889:1 gene:ORUFI11G25340 transcript:ORUFI11G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERWPADEGGRRHRRFFLPPPAAAQGRDVPTVGEVAEHRVGGSVIRGGGEEENGEKMGKTERLTGDGMDPINPKSKWLSRGKVLQTG >ORUFI11G25360.1 pep chromosome:OR_W1943:11:27503560:27504621:1 gene:ORUFI11G25360 transcript:ORUFI11G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQADRRRRRRHQELEHAAADDDYGGASSYHLPLPSGFKIPRLPTTACALMRRKKTHRTKLRLPKEAGKTSILSSRWKDRWTYHSNLCFDHSEFPRYTADRFINYVNHVLQQHSFLAVDRFEIRFPLQKQQTKHVDSWVAFASASRAKHFVLDLSPAVHTNHQSEEHMYVFPVDLLDGQNGSPIISLRLGLVCLKLPSDFLA >ORUFI11G25370.1 pep chromosome:OR_W1943:11:27505034:27509977:1 gene:ORUFI11G25370 transcript:ORUFI11G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFAVLATFAAAATPTTTTNLTLHNLCTHPVWPLVTANAGLPAIADAAGAATRLDGNGDGLATLAFPPGAWSGRVVARTGCRGNGSSRCDTGDAPPVTVAQVSVHGAGGLAEYSVSLVDGFNVAVVVTPHGFEQGRLCPSLGCAAEAFKARCPDTRTTPTDVEVTPQRCIQPAELKAEAFKARCPDTRTTPTDVEATPQRCIQPAELKASMAFTVLALAVVAPAIITGGATIRLDPNGLASLAFPAAAGWSGRVVPRTGCTGAATCATGDAPPATVAQVSVNAAGGLAEYSVSLVDGFNVPATITPHAFDGSQTCPVLGCAADINAACPADARVGAGCRASPQFFKEIHHTKAVASIDQWRHHEGVHGVHRPRARRRRRLRCGGGVDHHLHHAQPLPLHGLAAXAACPADARVGAGCRASPQFFKEMCPEARTTATDVEATPQKCFGPGELKVVFCPTN >ORUFI11G25380.1 pep chromosome:OR_W1943:11:27510313:27515296:1 gene:ORUFI11G25380 transcript:ORUFI11G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGVSASTFKSPVFIGLLAVMCIAVVLLLHHCVLVTFCDTRRRRRRRRRRGATAQQQQQHVQQGEEEDDEEDDEDDMMSSSSQAKLVVCPYKKAEEWGEAMCPVCLSEFGDGEAVRVLPECMHYFHVDCIGTWLRANTSCPLCRADTTPSSGDLHHHLSISVSLEEILLAKPRHRLPAMSNNNGNAGYYNNRNAGDQSDYKATIAILFRVFFVVILIRLIHFIINQPNNLAPANGGAAAATSDRRLGGGTGGGVSRVPARLPRPRPAMACQPPPCTSTYRRDDGWKETACTVCLSEFADGEVIRLLPECMHYFHAACIDEWLRTRATCPLCRAAPAGDRENRGTLSLVPL >ORUFI11G25390.1 pep chromosome:OR_W1943:11:27512379:27514201:-1 gene:ORUFI11G25390 transcript:ORUFI11G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIFGKRKTPAELLRENKRMLDKSIREIERERQGLQAQEKKLIAEIKKVAKQGQMGAVKIMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMRQMNRQMNLPALQKIMREFEMQNEKMEIVSSTMNDAIDDALEGDEEEEETEELVNQVLDEIGIDVNSELVGAPSTAVAAPVGAGKVAAQAEAAGGGDGGIDDDLQARLDNLRKM >ORUFI11G25400.1 pep chromosome:OR_W1943:11:27516926:27518552:1 gene:ORUFI11G25400 transcript:ORUFI11G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQLSISIGDSSEELSELIQCISCLVISSPHHKQQQLPGKNKQQQQLVIDEEENRGWLDFSISLLIAAYICLTNRPSKPAMWPTRLAGPTCQRDTVQLTTPSPPFASRLLAT >ORUFI11G25400.2 pep chromosome:OR_W1943:11:27516926:27518552:1 gene:ORUFI11G25400 transcript:ORUFI11G25400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQLSISIGDSSEELSELIQCISCLVISSPHHKQQQLPGKNKQQQQLVIDEEENRGWLDFSISLLIAAYICLTNRPSKPAMWPTRLAGPTCQRDTVQQGITLH >ORUFI11G25410.1 pep chromosome:OR_W1943:11:27520506:27521249:1 gene:ORUFI11G25410 transcript:ORUFI11G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALSRVGVGLAVVSALLLLALAAELYYLFVYKRRRSAAISDAASSPSSSSRELLQLFCFKKPPALASTYAQEPHAGEAVVAVAVDDDDDTVEAQLMRLGSLVGPTRLLFTIKEETKEDLESEDGRSRCGRSRSLAELLHSSETPFMTPASSPLPMDKSFNPLFEPTVAAAVTVSPPPKFQFLKDAEEKMYRRALAEEAMRARRSPQTRSPAAAGEEDGGYITIMVGKNNKVIPLPSPPSNGDGDLQ >ORUFI11G25420.1 pep chromosome:OR_W1943:11:27528862:27530338:-1 gene:ORUFI11G25420 transcript:ORUFI11G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATGAGDVAASDGASPPVVKMTTEGGNAAAGGLTSLAAALGGLEAVLIVARSDNSSRRHPPSAAAFVGFPHGGAHARRVVRPATAAPEEAIEAPLKRKETASPPPPLVRTRMDTLPAVPIRTSRLSCSILRSTCSGLLRAFSFQCIIIALLCFVLDRLVTNKPPGMRFTARRNMRGPDGLSITFTIPTALMPFRCQVQMGAQGDQLHRVGQPPLLHLLQHADQLHRVGQSEGGEAAGRRKVAGPGGRHRR >ORUFI11G25430.1 pep chromosome:OR_W1943:11:27531035:27533845:1 gene:ORUFI11G25430 transcript:ORUFI11G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQADRRRRHPELEHAAADDYGGAFSCHLPLHSGFKIPRLPTTACALMRRKKTHRTKLSLENLPEVIYLSSSNYLPCYEDLLCKVVSQLTVKEAGQTSILSSRWKDRWIYHSNLCFDHSEFPRYTADRFINYVNHVLQQHSFLAVDRFEIRFPLQKQQTKHVDRWVAFASASRAKHFVLDLSPAVRTNHQTEEHKYEFPVDLLNGQNGSPIISLRLGLVCLKLPSDFLGFKDLKKLELHLISDLGNLINLFLAKCPALERLSLSHCSMTDLNIPNPLCHLQYLKATGFTKNHSQFTCLRHLMNANYSGPSTLTVTNCISPVVHHHLKRVHMTGMIGLAGQFELAKYILLSAVDLELMIVDVAKERFAHMPWVLYPDKVQTVEQIAKDYLDPRGLYRHVLKVWGIFPW >ORUFI11G25440.1 pep chromosome:OR_W1943:11:27539126:27543119:1 gene:ORUFI11G25440 transcript:ORUFI11G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPINTVFACDAKATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKNINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVESKNALENYAYNMRNTIKDEKIASKLPAADKKKIEDAIDQAIQWLDGNQLAEADEFDDKMKELEGICNPIIAKMYQGAGADMAGGMDEDDAPPAGGSGAGPKIEEVD >ORUFI11G25440.2 pep chromosome:OR_W1943:11:27539201:27543119:1 gene:ORUFI11G25440 transcript:ORUFI11G25440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPINTATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKNINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVESKNALENYAYNMRNTIKDEKIASKLPAADKKKIEDAIDQAIQWLDGNQLAEADEFDDKMKELEGICNPIIAKMYQGAGADMAGGMDEDDAPPAGGSGAGPKIEEVD >ORUFI11G25450.1 pep chromosome:OR_W1943:11:27544230:27546517:1 gene:ORUFI11G25450 transcript:ORUFI11G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKSPAATAAVGSPRKTRSMAAGKQRAEAPAKAAKKEAAAAAAPPEQKGRKRAKKEDAEVAAAAEKDSGAVVSDGKRIVVEACTQCRQFKIRAAKVKEDLESSVPGVSVVINPEKPRRGCLEIREEGGEVFISLLNMPRPFTAMKKLDMDEVIKDIANKIS >ORUFI11G25460.1 pep chromosome:OR_W1943:11:27547138:27549715:-1 gene:ORUFI11G25460 transcript:ORUFI11G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEVNEVELLRTALKSAGAGAGDARDSNKTLLVPALVDAIRNKRFFLVLDDVWSERAWDKLLKAPFSHGAAGSRVLLTTLHDGVARGMQAAYPLHHVDKLNPEDAWSLLKRQVASSEMEELEIDDTLKDIGMKIIDKCDGLPLAVKVMGGLLRRRGRRRADWEQVLQDFIRSVPPGELNDCFLHYSLLPNNVVFFDNMVIGMWISEGFLHGDTNDLEKLGQDCYKELIYRNLIEPDAGYADERVSSMHDVVRSFAQNLARDEALVVSFRDEITKGALKSQKFLRLSVETNHDEFGWKIIQGQKSLRTLIVIGELKINPGDSLINFSNLRTLHIQDTNYTASLVESLHQLKHLRYIFVYCSDIARLLRNIGKLKLLQHLEIMSENYVKLPDCIVKLGQLRFLNIPVTSIPRRFSRLTNLRNLFMFPAQADGDWCSLQELGPLTQLQELSLKNLENVPATSLATKARLGEKSHLSYLRLECSSRLGEDGLVEDKNGVSEEEQRRTEEVLDELTPPLCLENIDIVGYFGQRLPRWMTSRAASAYERLTIVTVEDLACCTQLPDSLCQLPCLNVFQVARAPVIKRVGPEFVTMQPSSSQRRHGHAFPRLKAMNLLGMVEWEEWEWDQQLNNVPAMPALEELMQLKSVGSFASLVKLELSNTPDLERITFVPRLQKLVIAHCPTMMGVPALQRLHLEDYDMEQLPRYLQQDVSPRHLQLDCSLELLTSIALGKSSPEWSKLSHVQHVKAYADQGDIERKWHVFYTRDPYSFETNIDNSSSSS >ORUFI11G25470.1 pep chromosome:OR_W1943:11:27549778:27556905:-1 gene:ORUFI11G25470 transcript:ORUFI11G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLDAFASYVGDLLKQVAKDELNLLFGVSGEIATLHDKLRILKDYLADADRRRITDQGVQGWVTKLKHAMYDATDILDLCHLKAMQRGGGSSSSSSEPVGCHDSLLFCLRNPLFAHDIGSRIKALNARLDAICKSAAAFSFLKLESYEDMAAPRRSSAADRKTDPVLERSAVVGEKIEEDTRALVRRLTDGKHKKQDAVMVVAVVGTGGIGKTTLAKKVFNDEAIKEAFDKKIWLSVTEDVNEVELLRTALKSVSGAGDGRESNKSLLVPALVDAIRDKRFFLVLDDVWSERAWDKLLKAPFSHGAAGSHVLVTTRHDTVARGMQAMHPFHHVDKLCPQDAWLLLKKQVASSDDMEEVQIDGTLKDIGMEIIEKCGGLPLAVKVMGGLLCRREKRRADWEQVLQDFIRSVPPAELNEAIYLSYQDLHPCLKQCFLHYSLLPKNVVFYNVTVIGMWISEGFLHGDTDDLEKLGEGYYQELIYRNLIEPDEEYTNQCVSSMHDVVRTFAQHLSRDEAFVVSTLDERGKGALKTQKFLRLSIETNDLQSNDEFEWRLIQGQGSLRTLIVIGELKINHGDSLINFSSLRILHIEDANCTAFSLVGSLHQLKHLRYIFLECNDIARLLQNIGKLKLLQYLEIISENLVRLPNSIVKLGQLRHLELLGTSISGIPRGFCGLTNLRYLYGFPAQADGGWCSLQELGPLAQLRELKLGNLENVPAISLAAKARLSEKLHLSYLRLDCSNRLGEDGLVEDEEGVSEEEQRRIEEVFDELTPPLCVENIEIRGYFGEQLPRWMVSRATGAYERLMIVMIKNLACCTQLPDGLCWLPSLQYFEVTRAPAIKRVGPEFVTMHASSIQLQHAHPFRRLKEMRLIKMVEWEEWEWDQQITTMQAMPELGELRLKSCKLRHLPPGLSSQATALTSMYLTDLQQLNSIDNFTSLVKLELQDNPDLERVTSLPKLQKLIIVGCPKMRALEGVPELRRLELKDYDMEQLPRYLQQSVSLVHLVLDCTLELLTSIALGESGPDWGKLSHIQHVKAYADQEDDERKWHVLYTREPYNFEINIVLEEAIVLDAFASYVGDLLKQVTEDEINLLLGVSGEIASLDDKLRSLKDYLADAERRRITDDSVQGWLPWWALAGSARRR >ORUFI11G25480.1 pep chromosome:OR_W1943:11:27559716:27563324:1 gene:ORUFI11G25480 transcript:ORUFI11G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRQHALLRRISLLKPPAATGIGCYYATEPEGRKPKTAPLQSRGMVDRFRLHAKGGDGGNGCISLRRSRSDRQGKPDGGNGGRGGDVILECSRSVWDFSGLQHHMKASRGANGISKNQIGTRGSDKIAQVPVGTVIHLVEGEQPSLSVNKATRALDPWDIPDAVEHSPFSSSCIGNKMMKGLDSSRSSQHISSKKNTAENDRERGNRNHREKEPCYMTEFVRTEDYDGTSYPRQVRVDENDQSDDEDDEFWEDDEEELDMEEVTEEKEEEEDVRYSVAEMTKPGQRLIIARGGEGGLGNACILKEMWLSKAHKEEEMASLSTGHPGTETYLILELKSIADVGLVGMPNAGKSTLLSALSRARPEIADYAFTTLRPNIGSLTYEDYFSVKVADIPGLIKGAHENRGLGHAFLRHIERTKVLAYVLDLAATLNGRKGVPPWEQLRDLVVELEHYQEGLTKRPSLIVANKIDEEGADEMYEELKKRVQGVPMFPICAILQEGVPDLRVGLRDLMDASDPQGIELSKIVVD >ORUFI11G25480.2 pep chromosome:OR_W1943:11:27559675:27563324:1 gene:ORUFI11G25480 transcript:ORUFI11G25480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRQHALLRRISLLKPPAATGIGCYYATEPEGRKPKTAPLQSRGMVDRFRLHAKGGDGGNGCISLRRSRSDRQGKPDGGNGGRGGDVILECSRSVWDFSGLQHHMKASRGANGISKNQIGTRGSDKIAQVPVGTVIHLVEGEQPSLSVNKATRALDPWDIPDAVEHSPFSSSCIGNKMMKGLDSSRSSQHISSKKNTAENDRERGNRNHREKEPCYMTEFVRTEDYDGTSYPRQVRVDENDQSDDEDDEFWEDDEEELDMEEVTEEKEEEEDVRYSVAEMTKPGQRLIIARGGEGGLGNACILKEMWLSKAHKEEEMASLSTGHPGTETYLILELKSIADVGLVGMPNAGKSTLLSALSRARPEIADYAFTTLRPNIGSLTYEDYFSVKVADIPGLIKGAHENRGLGHAFLRHIERTKVLAYVLDLAATLNGRKGVPPWEQLRDLVVELEHYQEGLTKRPSLIVANKIDEEGADEMYEELKKRVQGVPMFPICAILQEGVPDLRVGLRDLMDASDPQGIELSKIVVD >ORUFI11G25490.1 pep chromosome:OR_W1943:11:27566267:27574583:1 gene:ORUFI11G25490 transcript:ORUFI11G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCSCGSNCSCGKKYPDLEEKSSSTKATVVLGVAPEKKAQQFEAAAESGETAHGCSCGSSCRCNPCNC >ORUFI11G25500.1 pep chromosome:OR_W1943:11:27577596:27581531:-1 gene:ORUFI11G25500 transcript:ORUFI11G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLAALLVVAAASFRAAEAALPSMAVGVNYGANADNLPPPKDVAAFLAAHTTIDRVKLFDANPAFISAFANTPISLAVSLPNSDLPSLADKQTGLDTARAWVRANLSPYVPATNVTLLLAGNEILLSPDPNLVLSLLPAMRRLAQALRLEGLTGVRVTTPHYLGILAPSDGIPSNARFRPGYDTKLFPPMLQFHRDTGSPFMVNPYPYFSYNNQTLDYALFRPNRGVYDPNTKLNYTSMFDAQMDAIYTAMKRLGYGDVDIAVGEAGWPTQADPGQVGVGVEEARDFNEGMLRVVSSGKGTPLMPNRKFETYIFSLFDENQKPGPIAEKHFGILNPDFTPIYDLGLLRQSSDSGAPNPSPNPSPNPSPKPAPSGGGKWCVPKAGASDTDLQNNINYACGYVDCKPIQSGGACFDPNNVQSHAAFVMNAFYQANGRHDYDCDFKGTGAVTSNDPSKLRELQIRLLTGRSKPWQCRCSGTNLESTSRLKSRKRWRSKLLPN >ORUFI11G25510.1 pep chromosome:OR_W1943:11:27585220:27591579:-1 gene:ORUFI11G25510 transcript:ORUFI11G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSPSKRRRHGSRGRSPPSSRHGCAKDKEGAAVSLFVSNLPRSCRPEDVQVPFQKFGPVRDVYLPKDYNTGEPRGFAFVEFAHSSDASKARYHMNRKMLSGREISVAFAVQTRKRPEEMRRIIGARHNSPQRKEECRTNSPGQPKGHDEKRKRRSYTPKYKDRQYADIGRRRLHLIVSDPGLCAGHPGHPLQGNLILAHTHVPILFISMTMLEHGHALLPQEGKMTSMLPRRGRSTKQNHQDRQKDMMICADPILLNIMNARMLTMVLMRHLRHLMVSDPLCWAGHPGHPLQDGLIVIHTHVPALLNSVAMLDHGHALLPQEGKITSLLPHREGRSTKQNHQDRLKNMMRSADPILLNIMIAGMLTMVMIRRRRHLMVSDPGHWAGHPSHPLQDGVIFIHTRVPALLNSVAVLDLGHALLPPEGKEMTSMLPHRERRSSKQNHHDRLKNMMRSEDPALLNIAIAVMLSSDTAVSRMGE >ORUFI11G25510.2 pep chromosome:OR_W1943:11:27585171:27591579:-1 gene:ORUFI11G25510 transcript:ORUFI11G25510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSPSKRRRHGSRGRSPPSSRHGCAKDKEGAAVSLFVSNLPRSCRPEDVQVPFQKFGPVRDVYLPKDYNTGEPRGFAFVEFAHSSDASKARYHMNRKMLSGREISVAFAVQTRKRPEEMRRIIGARHNSPQRKEECRTNSPGQPKGHDEKRKRRSYTPKYKDRQYADIGRRRLHLIVSDPGLCAGHPGHPLQGNLILAHTHVPILFISMTMLEHGHALLPQEGKMTSMLPRRGRSTKQNHQDRQKDMMICADPILLNIMNARMLTMVLMRHLRHLMVSDPLCWAGHPGHPLQDGLIVIHTHVPALLNSVAMLDHGHALLPQEGKITSLLPHREGRSTKQNHQDRLKNMMRSADPILLNIMIAGMLTMVMIRRRRHLMVSDPGHWAGHPSHPLQDGVIFIHTRVPALLNSVAVLDLGHALLPPEGKEMTSMLPHRERRSSKQNHHDRLKNMMRSEDPALLNIAIAVMLSSVMMSKFCSYMTPPSAEWGSKLGTVQVISNTAASSN >ORUFI11G25510.3 pep chromosome:OR_W1943:11:27585220:27591579:-1 gene:ORUFI11G25510 transcript:ORUFI11G25510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSPSKRRRHGSRGRSPPSSRHGCAKDKEGAAVSLFVSNLPRSCRPEDVQVPFQKFGPVRDVYLPKDYNTGEPRGFAFVEFAHSSDASKARYHMNRKMLSGREISVAFAVQTRKRPEEMRRIIGARHNSPQRKEECRTNSPGQPKGHDEKRKRRSYTPKYKDRQYADIGRRRLHLIVSDPGLCAGHPGHPLQGNLILAHTHVPILFISMTMLEHGHALLPQEGKMTSMLPRRGRSTKQNHQDRQKDMMICADPILLNIMNARMLTMVLMRHLRHLMVSDPLCWAGHPGHPLQDGVIFIHTRVPALLNSVAVLDLGHALLPPEGKEMTSMLPHRERRSSKQNHHDRLKNMMRSEDPALLNIAIAVMLSSDTAVSRMGE >ORUFI11G25520.1 pep chromosome:OR_W1943:11:27592665:27596193:-1 gene:ORUFI11G25520 transcript:ORUFI11G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPAANLDVRVERPRPPPVHPHRPGSLRARPYYRRWTPWIVAAIALSCVVVFLVSMYVNDCPRRNSGDCAAGFLGRFAFQPLKENPLLGPSSATLLKMGALDVTKVVHGHQGWRLITCIWLHAGVVHLLINMLCLLFIGIRLEQEFGFVRIGLVYLISGLGGSLMSALFIRSSISVGASGALFGLIGSMLSELITNWSLYANKVAALLTLVFVIVVNLALGILPRVDNFAHIGGLISGFLLGFVMFIRPQFAWINQRRVAPGQQPAPVKRKHKTYQYILWLAAAIMLIVGFTVAIVLLLRGYNANDHCSWCHYLSCVPTKRWKCNSSPTYCTVMQQANTLNLTCEGTNVHRSYLIADATQDKINQLCNQLCS >ORUFI11G25530.1 pep chromosome:OR_W1943:11:27599784:27600257:-1 gene:ORUFI11G25530 transcript:ORUFI11G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSMLLQSFLIWLSSRASCAFSRMPPDATPPEPEPDGACGSMSGGSGDELEDMTCSSPDSTGEPSRIIIGSAIGIAAATVTAPVVVVAGTGGVPLHLPSDNVGLGPITLRRRRRRRRLLLDPLIRVTGLVAPGSVPRWIGCQLACPSSRCVAALGT >ORUFI11G25540.1 pep chromosome:OR_W1943:11:27605840:27607747:1 gene:ORUFI11G25540 transcript:ORUFI11G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPQLEELVDLEPFSPSLFLDLPPTPHSDDPNDDDLILPFISRMLMEDDIDDKFFYQFPDHPALLHAQQPYAQILDAPSDDTTTNSSDDSASATTNNTTNSAATANASWPYDPIELSQLLQSPPHPVSDNHDADVGDTRSAPEDDKDLKLLFSAADNMEMLNMAFLKGREEANKLVPTNNTLFAGFDGASLLKTEPAVDEPTLMFGRSGGSGRGRKNRHGEEDDLEAETGRSSKLMVPPQEDTAAASEMFDEIMFNGYEVIMKGMEELRVAMDSEAEKKARNGGGAGRRAARAKAAVVDLHTLLIHCAQAVATSDRRSATELLKQIKQNSSARGDATQRLACCFAEGLEARLAGTGSQVYKSLVAKCTSTVDFLKAYKLFAAACCIKKVSFIFSNKTILDAVAGKRKLHIVDYGLSYGFQWPGLFKCLSEREGGPPEVRITGIDFPQPGFRPADQIEETGRRLSNCARQFGVPFRFQAIAAKWETVRREDLHLDREEEEEEEVLVVNCLHFLNALQDESVVVDSPSPRDMVLNNIRDMRPHVFVKCVVNGAYGAPFFLTRFRETLFFYSSQFDMLDATIPRDNDERLLIERDILGRCALNVIACEGAEGGGWCAPTGPASRSCHCSLRWLSL >ORUFI11G25550.1 pep chromosome:OR_W1943:11:27632197:27634110:1 gene:ORUFI11G25550 transcript:ORUFI11G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPKPEELVVAIEQPFSPSLFLDLPPTPHHDDDPNNVNDDLLLPFISRILMEDDIDDKFFYQFPDHPALLQAQQSYAQILHAPATSSSSDDTTINNNTTNSTSVPDTLAMPDHDADTQSAPDDMEMLNMAFLKGREEATKFLPTNNTLFSGFKAEPVLDIQPTFTFGPSGGGRGRKNRHAEEDDLETETSRSSKLMAPEHDDAAAADEIFDEIILNGYQMIIKGIDELRVAMGSQSQADKNGRRASRAKTAVVDLHTLLIHCAQAVATGDWRSATELLKQIKQNSSARGDATQRMACCFAEGLEARLAGTGSQMYQSLVAKRTSTVDFLKAYKLFTAACCIKKVSVIFSNKTIYNAVAGRRKLHIVDYGLSYGFQWPALFFLLGAREGGPPEVRMTGIDVPQPGFRPADQIEETGRRLSICARQFGVPFKFRAIAAKWETVRREDLHLDPEEEEEVLVVNCLHGLNTLQDESVVVDSPSPRDVVLDNIRDMRPHVFVQCVVNGAYGAPFFVTRFREALFFYSAHFDMLDATIPRDNDDRLLIERDMLGRCALNVIACEGADRVDRPETYKQWQVRNHRAGLRQLPLEAEVVELVRGKVKSLYHKDFVIDVDHNWLLQGWKGRILYAMSTWVAHHP >ORUFI11G25560.1 pep chromosome:OR_W1943:11:27635954:27637882:1 gene:ORUFI11G25560 transcript:ORUFI11G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPEAAESLRFRWPAAEEEFDNDMVLPYISRLLMEDDVHDHFFYQYPDHPALLRAQQPFAQILASSPSSAAGASSSSSSSDAPPSRPFFDDEAATAKTFPTAAVHSVDHQYSGGLDMVNMAFLKGMEEANKFLPTNTLLLSTDSSTTLQLQVQGEVVVDGHGMLGGVGGAAAAHAHGAINSKKVNCRDDDLEAGTGRATKLMAPEPELEEEGARQMFDEMMLQEHEICMKGVKQLSLKSKSSSSKKARGRRTVIHTEPVDLHNLLLHCAQAVATDDRRSAHELLRQIKQHSSAWGDAGQRLAHCFAQGLEARLAGMGSQVYQSLMSQRTSVVDFLKAYRLYMEACCCKKVAFVFSNKTIYDAVAGRRKLHIVDYGLSYGFQWPGLLRELAARRGGPPEVRITGIDLPQPGFRPDQHIEETGRRLSRYAEELGVPFKFHGIAATKKESVRREELGEAEEDEVVVVISLCHFRNVMDESLQEDSSRSPRDEVLGNIRRMRPDVFIHGIMNGAYGATYFLTRFREALYYYAAQFDLLDATVGRESHERMLVERDIFGRAALNVIACEGAERVERPEMYKQWQARNQRAGLRQLPLNPQVVRLVLDKVRDKYHKDFVVDEDQRWLLHRWKGRVLYALSTWVAQH >ORUFI11G25570.1 pep chromosome:OR_W1943:11:27638072:27639616:1 gene:ORUFI11G25570 transcript:ORUFI11G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEEEDLNMETAFPDELDVFVQGIVNGSQGITFLVTHPRANCGWCWSRTSLDGMPMNAIACEGGKDRVIGIITH >ORUFI11G25580.1 pep chromosome:OR_W1943:11:27639702:27641501:1 gene:ORUFI11G25580 transcript:ORUFI11G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEDFFWEALLKEENEAPSPPSPSPVFFELPPTPLANSDGSTDPSSLLDNQLLSYVSSMLMEDEIGSSAAVTNLQCVNRGSTEEANNMLPGSEVVRAFLKGMEEASKLLPRNNSFRMLETVDQVSSHGHCRGGKKKNHDRDEQQLEEELGRSSKLAAMTNAGTEEAGARELLDELMLHSHETCIKDMEKLRIDMDNEADKTIKKKGKKGSSSKVVDLRMLLIQCAQAMATDNQQSAGELLKKIKQHALATGDAMQRVAHYFAKGLEARLAGSGKHLYQNHVRMSLVEYLKVYKLYMAACCFKKVALMFAAMTIMQAVQGKKRLHIVDYGIRCGLHWPDLFRRLGSREDGPPEVRITIVDIPQPGFRPFQRIEAAGHCLSSCANKFRVPFRFQAVVAAKWETVGAEDLHIEPDEVLVVNDLWSFSALMDESVFCDGPNPRDVALRNISKMQPDVFIQGIINGSYGASFLSRFRGALLYYSALFDMLDATTPRESGLRLALEQNVLGPYALNAIACEGADLVERPEKYRQWQARNHRAGMQQLKLRPDIVDTIREEVNKYHHKDFLLGEDGQWLLQGWMGRVLFAHSAWVPQQQDNSSG >ORUFI11G25590.1 pep chromosome:OR_W1943:11:27643544:27645346:1 gene:ORUFI11G25590 transcript:ORUFI11G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEDFFWEALLKENRRTKHHPQAPSPPPVFFDLPATPLSNSDGTDPSSLDNQLLSYVSRMLMEDEMGSSAAITNLQCVNRGSTEEANNMLPGSEVVRVFSKGMGEASKLLPRNNSFRTLETVDQVSSDGHCRGRKKKNHDRDEQQLEEERGRSSKLAALTIAGTQEAGARELLDELMLHAHETCIKDMEKLRIDMDNEAEKKINKKDKKGSSSKVVDLRLLLIQCAQATATDNQQSAGELLKKIKQHALATGDAMQRVAHYFAKGLEARLAGRGKHLYQNQMRMSLVEYLKVYKLYMAACCFTKVALMFAAMTIMQAVQGKKRLHIVDYGPRCGLHWPDLLRRLGSREDGPPEVRITIVDILQPAFRPFQRIEEAGHCLSSCANEFRVPFRFQAVAAAKWETVGAEDLHIEPDEVLVVNDLWSFSALMDESVFCDGPNPRDVALRNISKMQPDVFIQGITNDSYGASFLSRFRAVLLYYSALFDILDATTPRDSGLRLALEQNVLGPYALNAIACEGADLVERPEKYKQWQARNHRAGMQQLKLRPDIVDTIRDEVNKYHHKDFLLGEDGQWLLQGWMGRILFAHSAWVRQSQDTSSG >ORUFI11G25600.1 pep chromosome:OR_W1943:11:27644015:27649122:-1 gene:ORUFI11G25600 transcript:ORUFI11G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALLSPVRPLAGTTLSFGVPYQNKRRIPTMRVYCAADEEEEVNDLGVNVALSMLKFYKREISPLLPSSCRYVPTCSQYSMQAYKKYGVAKGTILTAWRLCHCNPLGVRLYIRKDSIAYCLPMQLSQARVFRSSTAPDKGNLFVYSSIDSCHVEKKKKKRKKPNSHPEEVSCDWRTHAEALHQVCTLTGDGVEGVRPQNVLLQRQPQPTIPWGGRVQYVKQSREGGTIAIIGDALDEHVWLHLADVTEGNIPGVWPVAEDALVHQSTETPEVIHHKHLIRLDVQVLGTNCLPLCCCNSLESEWHTKLIGTAAQAMPCLLNTLKWTKRRLEDVNDGDPHLRRPVFPASQPAEQVWPVQPTARAVINYVQPLLPLHSLHDCHGGKHECHLREAAGCHVELVHLEIAGCLSPWPVHTGSAATHQFVQQLASTSLLCPCNRQGS >ORUFI11G25600.2 pep chromosome:OR_W1943:11:27644015:27649122:-1 gene:ORUFI11G25600 transcript:ORUFI11G25600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALLSPVRPLAGTTLSFGVPYQNKRRIPTMRVYCAADEEEEVNDLGVNVALSMLKFYKTIIGLAPLPFDINYISIACSPLCEQEKYRPYYPQAAVMCQLAASTLCKHTKNTALQRVVMVMIPQGGLVRKSYQSNDGLDSIAYCLPMQLSQARVFRSSTAPDKGNLFVYSSIDSCHVEKQPLPVLSQQKVLVMVLVHLVPDCINDIWSQFQLLHACTVVPGLPLFVLLRALHQVCTLTGDGVEGVRPQNVLLQRQPQPTIPWGGRVQYVKQSREGGTIAIIGDALDEHVWLHLADVTEGNIPGVWPVAEDALVHQSTETPEVIHHKHLIRLDVQVLGTNCLPLCCCNSLESEWHTKLIGTAAQAMPCLLNTLKWTKRRLEDVNDGDPHLRRPVFPASQPAEQVWPVQPTARAIAGCLSPWPVHTGSAATHQFVQQLASTSLLCPCNRQGS >ORUFI11G25600.3 pep chromosome:OR_W1943:11:27644015:27649122:-1 gene:ORUFI11G25600 transcript:ORUFI11G25600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALLSPVRPLAGTTLSFGVPYQNKRRIPTMRVYCAADEEEEVNDLGVNVALSMLKFYKREISPLLPSSCRYVPTCSQYSMQAYKKYGVAKGTILTAWRLCHCNPLGVRLYIRKDSIAYCLPMQLSQARVFRSSTAPDKGNLFVYSSIDSCHVEKQPLPVLSQQKVLVMVLVHLVPDCINDIWSQFQLLHACTVVPGLPLFVLLRALHQVCTLTGDGVEGVRPQNVLLQRQPQPTIPWGGRVQYVKQSREGGTIAIIGDALDEHVWLHLADVTEGNIPGVWPVAEDALVHQSTETPEVIHHKHLIRLDVQVLGTNCLPLCCCNSLESEWHTKLIGTAAQAMPCLLNTLKWTKRRLEDVNDGDPHLRRPVFPASQPAEQVWPVQPTARAVINYVQPLLPLHSLHDCHGGKHECHLREAAGCHVELVHLEIAGCLSPWPVHTGSAATHQFVQQLASTSLLCPCNRQGS >ORUFI11G25600.4 pep chromosome:OR_W1943:11:27644015:27649122:-1 gene:ORUFI11G25600 transcript:ORUFI11G25600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALLSPVRPLAGTTLSFGVPYQNKRRIPTMRVYCAADEEEEVNDLGVNVALSMLKFYKREISPLLPSSCRYVPTCSQYSMQAYKKYGVAKGTILTAWRLCHCNPLGVRLYIRKDSIAYCLPMQLSQARVFRSSTAPDKGNLFVYSSIDSCHVEKQPLPVLSQQKVLVMVLVHLVPDCINDIWSQFQLLHACTVVPGLPLFVLLRALHQVCTLTGDGVEGVRPQNVLLQRQPQPTIPWGGRVQYVKQSREGGTIAIIGDALDEHVWLHLADVTEGNIPGVWPVAEDALVHQSTETPEVIHHKHLIRLDVQVLGTNCLPLCCCNSLESEWHTKLIGTAAQAMPCLLNTLKWTKRRLEDVNDGDPHLRRPVFPASQPAEQVWPVQPTARAIAGCLSPWPVHTGSAATHQFVQQLASTSLLCPCNRQGS >ORUFI11G25610.1 pep chromosome:OR_W1943:11:27662527:27665480:1 gene:ORUFI11G25610 transcript:ORUFI11G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVESSYRSEMMDGEGWKMMQPNTNKQLVQIKTRSSSMQVVKEEIEEEEVAGGRGRRRGGLRRSVSGRGYNSSNQKVIANANTNRDTNNLAMQTQVPTHTREGPTFTQLLLGEEDFDLPPYVPDDAKESNQFYQQTTNEFLNMNQLDNNGIGTAQLESREHMMTYGLSSGISSQLLGSQAIDVVDVVGCLTSPRGTQLHEGHLAHLMS >ORUFI11G25620.1 pep chromosome:OR_W1943:11:27667482:27668326:-1 gene:ORUFI11G25620 transcript:ORUFI11G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLLVVNAILIMAITACGGGGGNGGIQLIMVNNCGESVWPGLLGTAGHPTPQSGGFHLGAGEEAALEVPAGWSGRVWPRRGCSFDSRGRGSCATGDCGGVLRCNGAAGATPATVVEMTLGTSASAMHFYDVSLVDGFNAPVSMAAVGGGVGCGTAACGADVNVCCPSALEVRDREGRVAGCRSACRAMGGDRYCCTGDYASPSACRPTIFSHLFKAICPRAYSYAYDDATSLNRCHAKRYLITFCPPQPS >ORUFI11G25630.1 pep chromosome:OR_W1943:11:27669744:27671905:-1 gene:ORUFI11G25630 transcript:ORUFI11G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDDTSFNNSLDLYCDEDPFDSTPPPPPPPPEQQQQAGTTTPDDIDDEVMEYYKAKQRCYALQIRDYCCYLQRHHLLLQQQQHGVAAARLKAAMGRLGLEAATAFNAANYLDRFLSINCHLKWEEWMVEVVSVACLSLACKLDEVTIPSLHDLQMEEAMGHSFRASTIRDMELTLLKALRWRLACVTPFSFLPVTTTTTTTRALLLRSLLDPSFLRFDASLLAASALTLSSTTPQHPNHLLLNRLIHPFSQTISNKPMSIWQRQIKARSI >ORUFI11G25640.1 pep chromosome:OR_W1943:11:27677530:27680272:-1 gene:ORUFI11G25640 transcript:ORUFI11G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFSSTVGAPASTPTNFLGKKLKKQVTSAVNYHGKSSNINRFKVMAKELDEGKQTDQDRWKGLAYDISDDQQDITRGKGFVDSLFQAPTGDGTHEAVLSSYEYLSQGLRTYDFDNTMGGFYIAPAFMDKLVVHISKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRVGVCKGIFRTDNVPDEDIVKIVDSFPGQSIDFFGALRARVYDDEVRKWVSDTGVENIGKRLVNSREGPPEFEQPKMTIEKLMEYGYMLVKEQENVKRVQLAEQYLSEAALGDANSDAMKTGSFYGQGAQQAGNLPVPEGCTDPVAKNFDPTARSDDGSCLYTF >ORUFI11G25650.1 pep chromosome:OR_W1943:11:27682325:27684206:-1 gene:ORUFI11G25650 transcript:ORUFI11G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFSSTVGAPGSGLVVKNWLRTAGYSHHGGRSRCRCRKIMAMAGGSSKEVDEEKQTEQDRWKGLAYDISDDQQDITRGKGLVDSLFQAPMGDGTHEARVPQPGSQNVQPGQHHGHISKNLMKLPNIQGKSFQCELVFAKMGINLFMMSAGEPAKLIRQRYREAADMINKGKMCVLFINDLDAGRHDAVHGEQPDGERDADEHRGQPHQRAAPRDVQPPCPHHRHRQRLLPLIRDGRMDKFYWAPTREDRIGVCRGIFRSDKVPDAFPSTSSGRCGRGCTATREKENVKRVRLADKYLSEAALGDANHDSGEFYGKAAQQSPVPVPAGCTDQRAANYDPTARSDDGSCVYN >ORUFI11G25660.1 pep chromosome:OR_W1943:11:27684914:27685183:-1 gene:ORUFI11G25660 transcript:ORUFI11G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVTIENGAYGSEDPELGLAGTAGEEMAHRWHKAAAWSPFVKAPALVLDLLASGDGSRDWDARDEKLGFLVWAAGLLYDGFRPTCQW >ORUFI11G25670.1 pep chromosome:OR_W1943:11:27692233:27692970:-1 gene:ORUFI11G25670 transcript:ORUFI11G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRGEEEWVMWGSAGRRRIIRQSESWEEQAFARDAAANANLGGCVWPPRFYTCSFCQREFRSAQALGGHMNVHRRDRARLRQRQTSSSSSPSHQEEEAEAPQDQQAGPYYTSFSKPSTTSTDNTTCSNDILLLARDQETIKKRVPRQQVQVVADQDEDEPAGRRYKRRRLGLVDQLPSSCEGGDHHHQVLIITPTTAAASPSSIIASASALVVGDQQHQQAGSVLDLELRLGTSTPPKAVVHA >ORUFI11G25680.1 pep chromosome:OR_W1943:11:27698865:27701674:-1 gene:ORUFI11G25680 transcript:ORUFI11G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALDSAWEGLTGSFTEFQLATVVTFLLHETVFFLSGLPSLLFERFGLFAKYKIQKKSNTPSYQNRCVLRLILYHVCVNLPVMVLSYPAFKFMGLRSSLPLPHWTVIVSQVLFYFVLEDFIFYWGHRALHTKWLYKHVHSVHHEYVMLHLGLILLHFVIVPVLLNNNFLGRYATPFGLTSEYAHPAEILFLGFATIVGPALTGPHLFTLWLWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRVLYTKSGNYASTFVYMDWLFGTDKDYRNAKAIEEKDGKHL >ORUFI11G25690.1 pep chromosome:OR_W1943:11:27702717:27704969:-1 gene:ORUFI11G25690 transcript:ORUFI11G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKGEAPGHQTPPHLHKDDGDDDDDAPSGFVKLISAEGFEFVVDKKAAMVSNTLRNMLTSPGGFSETREGEVRFPEISTPILEKICQYFYWSLHYSSGKETSEFQIEPEITLELMMAANYLDT >ORUFI11G25700.1 pep chromosome:OR_W1943:11:27705638:27709556:1 gene:ORUFI11G25700 transcript:ORUFI11G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHGSKPDISFAGRFTASAIAACFAEVCTIPLDTAKVRLQLQKNVAADAAPKYRGLLGTAATIAREEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVRTVKSFYVGKDHVGDVPLTKKIAAGFTTGAIAISIANPTDLVKVRLQAEGKLAPGAPRRYAGAMDAYAKIVRQEGFAALWTGIGPNVARNAIINAAELASYDQVKQTILKLPGFKDDVVTHLLSGLGAGFFAVCVGSPVDVVKSRMMGDSAYTSTIDCFVKTLKNDGPLAFYKGFLPNFARLGSWNVIMFLTLEQVQKLFVRKPGS >ORUFI11G25710.1 pep chromosome:OR_W1943:11:27710037:27711182:-1 gene:ORUFI11G25710 transcript:ORUFI11G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVSVCGLHPGVAVTGFCPACLRDRLAGLHPPSDLRRCKSFSYYARSSSYLEPHPQQDALVLPDAADAPTNTKPALGSLGKRWQEWRRKSKLKHHHPPPATATAPALLARRSCDAFSTRTMLDEPRASYHPTLFVPRSDDQIPVEEEERAYLPGGSAQTRDYYLDSSSSSRRRRSVDRKSSSDAGDQIPRMVAAAANARVSPATELYHQPHTFHYHQPSFEPPPLLARERQEAKSKPKNKGIKGWSIWGLLHKKSSTTNSVGATASAFAGEARAAPPELRARGYDGQMLRCNSSVSARSSFSGIGSSFNGGRLGMRRADEVLLERNFSARYSSSSSCRYQFHKDDYSNSIANNSGAGAGAGSRPPRSSTLPRTSLGLYYY >ORUFI11G25720.1 pep chromosome:OR_W1943:11:27717866:27720668:1 gene:ORUFI11G25720 transcript:ORUFI11G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCTALSPALSSPSPAAGHAANMAVLPESRRLSLLLMAACFLLQALSAHAITRHYKFNVVMRNMTRLCSTKPILTVNGKFPGPTLYAREGDNVLVKVVNHVAHNVTIHWHGVRQIRTGWYDGPAYITQCPIQPGSSFLYNFTITGQRGTLLWHAHINWLRATVHGAIVILPKLGVPYPFPAPHKEAVIVLGEWWKEDTETVINQAMQLGVGPNISDSHTINGHPGPLSECASSQDGFKLSVENGKTYMLRIINAALNDDLFFKVAGHELTVVEVDAVYTKPFKTDTLLITPGQTTNVLVRANQGAGRYLLSVSPFMDAPVQVDNKTGTATLHYANTVSSSMASLTLVKPPPQNATHIVSKFTDSLRSLNSKEYPANVPQTVDHSLLLTVGVGVNPCPSCINGTRVVGTINNVTFIMPSTPILQAHYYNIPGVFTEDFPATPLHKFNYTGSGPKNLQTMNGTRVYRLPYNASVQVVLQDTGIISPESHPIHLHGFNFFVVGKGVGNYNPRTSPSTFNLIDPIERNTIGVPTGGWTAIRFRSDNPGVWFMHCHFEVHTSWGLKMAFVVDNGKRPSETLIPPPKDLPQC >ORUFI11G25730.1 pep chromosome:OR_W1943:11:27722837:27723950:-1 gene:ORUFI11G25730 transcript:ORUFI11G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding AYDPISEHSQATEPAATAAAAASVSSCPQTPNTSTAPGRFVLNDGAFALLLHLPPPGTKPYRAQLIREN >ORUFI11G25730.2 pep chromosome:OR_W1943:11:27717950:27723950:-1 gene:ORUFI11G25730 transcript:ORUFI11G25730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSLMTIYPHIELVMPGDGVGAESLKQEAGGHEEQGEPA >ORUFI11G25740.1 pep chromosome:OR_W1943:11:27725720:27726091:-1 gene:ORUFI11G25740 transcript:ORUFI11G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATKWINAGANCCSDGDGLIWHEGGTTPTRDSGGAAASFQQEESISANAHLLPCRHHLDLTVEVRALCCQPPSTNAALATDVTVVLMKIAATS >ORUFI11G25750.1 pep chromosome:OR_W1943:11:27727231:27730044:1 gene:ORUFI11G25750 transcript:ORUFI11G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFAPAPGRPLFVLFGSSIVQFSFSNGGWGAALADIYARKADILLRGYIGWNSRRALQVIDKIFPKDSPVQPSLVIVYFGGNDSVAAHSSGLGPHVPLEEYIDNMRKIADHLKSLSEKTRVIFLSCPPLNEETLRKSTSTVLSEIVRTNETCRLYSEACVSLCKEMDLKVVDLWNAMQKRDDWATACFTDGLHLSEEGSKIVVEEILRILKEAEWDPCLHWKAMPTEFGEDSPYDLVSSSGQSTVNPSDWTFHRTIQWD >ORUFI11G25760.1 pep chromosome:OR_W1943:11:27731826:27732917:1 gene:ORUFI11G25760 transcript:ORUFI11G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDGVVALYGGGKVSCKPPAATFSVKVGLAQMLRGGVIMDVVTPEQARLAEEAGACAVMALERVPADIRAQGGVARMSDPALIRDIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTPADDAHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGEIRALRNMDDDEVFSYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPEILADVSAGLGDAMSASTSTTKMSSATPPAPTEQLLLLLLLPLSSSLSAPHRWMVNVNQQSSSLTNFLLTMDWRMDDCCCHYY >ORUFI11G25770.1 pep chromosome:OR_W1943:11:27733932:27744530:-1 gene:ORUFI11G25770 transcript:ORUFI11G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEEDNIQGELLLVQAELDDIQGQISALIDRQEELYERESQLKAMLEVSKASNNTINNTPSVGPKDWSGSFLWDSRADDVRFNVFGISSYRQNQREVSFFILHPFKHIATFQIINAIMSGRDVLVIMAAGGGKSLCYQLPAVLHDGITLVVSPLLSLIQDQVMGLAALGIQAYMLASTTNKEVEKFVYKALHKGEGELKILYVTPEKISKSKRFMSKLEKCHHAGRLSLIAIDEAHCCSQWGHDFRPDYKNLGILKVQFPSVPMIALTATATSKVQIDLIEMLHIPRCVKFVSTINRPNLFYKVFEKSPVGKVVIDEIANFISESYPNNESGIVYCFSRKECEQVAKELSERGILADYYHADMDVISREKVHMRWSKSKLQVIVGTVAFGMGINKPDDSELVLNQRQEDNLACQLLEKGCRFRLGENIVRFVIHHSLSKSMETYYQESGRAGRDGLPSECILYYRPGDVPRQSSMVFYENCGLQNLYDIVRYCQFLLVGMCDNCTSSLELKEIDATHHTKIIVSLLHDIQLNDQRATLLQLVDKFKAKWKDLGCSNESIDLKKEGIEQLVVQLILDRVLVYNSPSTATIETFIWSTSLVTLNKEEFQHTAYATNAYVALGPLWKPALQGNRPVKLSVAFHSQDKGSGSKRTKRNQMSNLEAKLDDLRRELSSSNGGVFPHAVLSAQQISLLNRQKPTTVAELEKLIGKVKTVKYGSAIIELMLLHVDSEVAGGKACASKRQKKDKEDVICVESSEEEDV >ORUFI11G25780.1 pep chromosome:OR_W1943:11:27756855:27759109:1 gene:ORUFI11G25780 transcript:ORUFI11G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECETGVNGSGPRGSDPLYWGKAAEGVAGSHLEEVKRMVVEYRAPLVKIDGAMLSVAKVAAVAGEAARVQVVLDESARPRLEASREWVFESTMNGTDTYGVTTGFGGAAHRRTKEFAALQKELIRYLNAGVFGASRSDGYTLPTEVTRAAMLVRINTLTQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRHNSAAVAPDGTKVDAAEAFRMAGIEHGFFALQPKEGLAIVNGTAVGSGLAAIVLFEANVLAVLAEVLSAVYCEVMAGNPEYTDHLIHALKHHPGQIEAAAIMEHILEGSSYMKKLAKDKEQGQGELDRLTKLKQDRYAIRTAPQWLGPQVEVIRFATKSIEREINSVNDNPVIDVARRKALHGGNFQGTPVGVSMDNTRLAIAAIGRLMFSQFSELVSSFYSNGLPSNLSGGRNPSLDYGFNGAEVAMASYCSELQFLANPVTNHVQTAEQHNQSVNSLGLISSRMTAEAVTILKLMSSTFLIALCQAVDLRQLEESIKAAVNKCVTNVAKKSLTLAMDDDDHLLALLGAAIDRVAVFTYAEDPCRSSLPLMQKLRAVLMEHALANNGDILLAKVAEFEQQLRAVLPDEVEAARAAVESGTAPNRISDCRSYPLYRFVRKELGAEYLTGEKTRSPGEEVDKVVIAMNQHKHIHPLLECLSEWKGAPLPLS >ORUFI11G25790.1 pep chromosome:OR_W1943:11:27770024:27770506:-1 gene:ORUFI11G25790 transcript:ORUFI11G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSGRRRQLPNPLPSAAGSSPSRRGSSPLRAVTIEGRRRSGGGVAQIRPGLAGSGLGQRRRRLAGEVSARSPSSRSPLSLQSSPSPTPDSTAPCRLTGRLADDSAAPGTPAQRRLADRLADDWLALLLFKAAELLPAVAMVAGGADRRAGGAVGERRG >ORUFI11G25800.1 pep chromosome:OR_W1943:11:27771628:27776862:1 gene:ORUFI11G25800 transcript:ORUFI11G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVANMCWSLWIIFQAAVQKEYQDKMVVTVTQCLFSTVQSFVVAVVAERDFSRWKLRFDISLLAILYSGVMVTGVSYYLQTWCLEMRGPMFFASWTPLCFVFTIFCSSFFLGEIVHLGSILGGILLVGSLYTMLWGKSKEGNETDDVTDDDIEKSTQIYPGEQQHTTTD >ORUFI12G00010.1 pep chromosome:OR_W1943:12:5970:16884:-1 gene:ORUFI12G00010 transcript:ORUFI12G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKIKKKQQQHQEEEEVEEEEEEEKAVSVRALSSSLRAAATRSLSSLSSSLRWDHRGDDEEEAELTWAAIERLPTFDRMRTSVLSSEEVDVRRLGAAERRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNVQVEADCQVVSGKPLPTLLNTVLSLQQVLTTALGLSRRHARIPILNDVTGILKPSRHVTGQVEYNGVNLNTFVPDKTSAYISQYDLHIPEMTVRETLDFSARFQGVGTRAEIMKEVIRREKEAGITPDLDIDTYMKIMGLDICADIIVGDVMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQLCHISESTILVSLLQPAPETYELFDDIILMAEGKIVYHGSKSCILSFFESCGFKCPQRKGAADFLQEVLSKKDQQQYWNRSEETYKFVTVDHFCEKFKASQDGQNFAEELSVPYDKSKGHKNALSFNIYSLSKWDLLKACFAREILLMRRNAFIYITKAVQLGILAIITGTVFLRTHMGVDRAHADYYMGSLFYALLLLLVNGFPELAMAVSRLPVFYKQRGYYFYPAWAYAIPAFILKIPVSLVESIAWTSISYYLIGYTPEASRFFRQLFILFLVHTGALSLFRCVASYFQTMVASTVGGTMSFLVILLFGGFIIPRCFAIGLTIKKPIGTSRAIISRDKLAPPHGSGKDMSKYMDNKMPKLQAGNALAPNKTGRMVLPFTPLTISFQNVNYYVDTPAEMREQGYMDRKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGYIDGDIRVGGYPKIQQTFARISGYCEQTDVHSPQVTVGESVAYSAWLRLPTEIDSKTRKEFVNEVLRTIELDKIRDSLVGLPGVSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIEIFEAFNELMLMKRGGELIYAGPLGHHSCNIIQYFQAIPGVPKIKDNYNPSTWMLEVTSTSMEARLGVDFAQIYRESSMFQDKDTLVKCLSKPPVGTSDLHFQTRFPQKFGEQLKACLWKQCLSYWRSPSYNMVRILFTTISCIIFGALFWQKGDIKNINDQQGMMIVSLTPNIQVASILASMFYTVQNLMSGFIVPAPQIPRWWIWLYYASPLSWTLNVFFTTQFGDEHEKEIIVFGETKSIATFINDYFSFRRDLLPLAAIMLAMFPAMFAILFSLSISKLNFQRR >ORUFI12G00020.1 pep chromosome:OR_W1943:12:18205:20898:-1 gene:ORUFI12G00020 transcript:ORUFI12G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKPFKEEFTLEERAKESASMIASYPARIPVIVERFSRSSLPEMEKRKYLVPCDMPVGQFIFILRSRLHLSPGIALFVFVNNTLPQTAQLMGSVYESYKDEDDGFLYMCYSSEKTFG >ORUFI12G00030.1 pep chromosome:OR_W1943:12:20536:21697:1 gene:ORUFI12G00030 transcript:ORUFI12G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSLLDMSLDDLITNKYKRRSRPGPAPSARRSHSRAATRSAAAPYHAITFQAPPTAYVHPTPAANVETGTKLYISNLDYAVSNEDIKELFSEVGDVKRYSINYDRSGRSKGTAEVVFSRKSDALAAVKRYNNVQLDGKPMKLELIGINIEPPPPAIFGFAAPAGYFDFPPKSSRQ >ORUFI12G00040.1 pep chromosome:OR_W1943:12:26217:26678:-1 gene:ORUFI12G00040 transcript:ORUFI12G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGGDGGGYNYSEDWVVNSRGMRLFTCAWIPKESSRGVVCLCHGYAVECSVTMRGTAERLARAGYAVHGIDYEGHGHSDGLQGYVPDLDALVRDCDSFFSTATASFPRRRFLLGESMGGAVALLLHRLRPDFWTGAILVAPMCKVRSTPS >ORUFI12G00050.1 pep chromosome:OR_W1943:12:36107:37809:-1 gene:ORUFI12G00050 transcript:ORUFI12G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRLIGDMQRHRRTLSTTVVEETVAAAATLVSKWHPDDHHSSLFLHASSPEADHFLRAAADLHRAMLFFASDPTNAHNGHGLVQAHHLLDTAMRRLQLELPRLLAPPPAGSRDRLRALADTMMSAGYGKECISTFKEHRRAALAATLRRQHTTVQVQLSKLTWEQVDDNIQSWLAAARIAFSSVFPAEKELCDTVFAGDASVGDAVFEDVANNQAANLLDVAEAAVARARRAPERLFRVLDVHDALTEILPEIMSVFGDRSEVAKRGCSALFKAGEAARGALANLEVAIEKEPSKATVAGGGVHPLTRYVMNYLVFLADYEGALDRINQQQGSPERSWSIGWLVQVLMRKIEAKAGSYREAALRHLFMANNTHYVARKVAKIPSLGDDDVAKIPSLGDDDGEAQDAARRHVEAYVRAAWGKVLKAIAAADGVEVEEAVMQAVAKQEKWNQFSEETLHT >ORUFI12G00060.1 pep chromosome:OR_W1943:12:38262:39525:-1 gene:ORUFI12G00060 transcript:ORUFI12G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRVPHGSTKKRWYLSSVKGPPCISLPRNLGATNQDKCDFCHRGTDQFSSSVDAKEAGGGGGEGKGGVKGEGDACVVSARVEGGGGNGHQA >ORUFI12G00070.1 pep chromosome:OR_W1943:12:44959:51063:1 gene:ORUFI12G00070 transcript:ORUFI12G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGFFDLSILPDDSKSTTTNTSIIARALDLGYSAVALDHPHRGLLADSHAPIASSLLLPPSASLHHRRHPFLQYTRITLSLDSAAACASALAPSAARLLRTYDIVAARPLTQAAFDHLCQATFDHLDIVSIDFSHKLPFRLKLPMLKLALQRGLHLEIAYSPLIADAASRRQAVAEAKLLVEWTKGKNLIISSAAHTASEIRGPYDAINLSSYLLGLSTQRAKAALSVNCRGESLMTCVLSTLPASCEQHSVATNLDNPGNNETVMAHDVQTAAVSSFDLKGIEKHVESLHDAMELDGTESSKINLIADFTAPLSSDDSLVCYAIPCSMELSDTSVVNKCPHQSTGFPDYAKACTECDSGLTSCERVDRISQDHDILSGSSIYSKNKDLYSYSDISVFSETHKDFAEPLELPPCGKDDEAPPDLAAQLHCNSCKDVMMPPQVISDEVEPVDRGATILVEHTPCGPETALTAFLYDKGSIDTTSKTDELAKQNSNSLEGDVAKIHEQLLNYSYASGEVEISLTRSEKRTKKLRSQHPIYVPFLGFLKSVSFKKKASKQSGRA >ORUFI12G00070.2 pep chromosome:OR_W1943:12:47829:52518:1 gene:ORUFI12G00070 transcript:ORUFI12G00070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVLSTLPASCEQHSVATNLDNPGNNETVMAHDVQTAAVSSFDLKGIEKHVESLHDAMELDGTESSKINLIADFTAPLSSDDSLVCYAIPCSMELSDTSVVNKCPHQSTGFPDYAKACTECDSGLTSCERVDRISQDHDILSGSSIYSKNKDLYSYSDISVFSETHKDFAEPLELPPCGKDDEAPPDLAAQLHCNSCKDVMMPPQVISDEVEPVDRGATILVEHTPCGPETALTAFLYDKGSIDTTSKTDELAKQNSNSLEGDVAKIHEQLLNYSYASGEVEISLTRSEKRTKKLRSQHPIYVPFLGFLKSVSFKKKASKVTSKRKS >ORUFI12G00070.3 pep chromosome:OR_W1943:12:47827:60937:1 gene:ORUFI12G00070 transcript:ORUFI12G00070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVLSTLPASCEQHSVATNLDNPGNNETVMAHDVQTAAVSSFDLKGIEKHVESLHDAMELDGTESSKINLIADFTAPLSSDDSLVCYAIPCSMELSDTSVVNKCPHQSTGFPDYAKACTECDSGLTSCERVDRISQDHDILSGSSIYSKNKDLYSYSDISVFSETHKDFAEPLELPPCGKDDEAPPDLAAQLHCNSCKDVMMPPQVISDEVEPVDRGATILVEHTPCGPETALTAFLYDKGSIDTTSKTDELAKQNSNSLEGDVAKIHEQLLNYSYASGEVEISLTRSEKRTKKLRSQHPIYVPFLGFLKSVSFKKKASKVTSKRKS >ORUFI12G00070.4 pep chromosome:OR_W1943:12:47829:52518:1 gene:ORUFI12G00070 transcript:ORUFI12G00070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVLSTLPASCEQHSVATNLDNPGNNETVMAHDVQTAAVSSFDLKGIEKHVESLHDAMELDGTESSKINLIADFTAPLSSDDSLVCYAIPCSMELSDTSVVNKCPHQSTGFPDYAKACTECDSGLTSCERVDRISQDHDILSGSSIYSKNKDLYSYSDISVFSETHKDFAEPLELPPCGKDDEAPPDLAAQLHCNSCKDVMMPPQVISDEVEPVDRGATILVEHTPCGPETALTAFLYDKGSIDTTSKTDELAKQNSNSLEGDVAKIHEQLLNYSYASGEVEISLTRSEKRTKKLRSQHPIYVPFLGFLKSVSFKKKASKVTSKRKS >ORUFI12G00070.5 pep chromosome:OR_W1943:12:45144:49773:1 gene:ORUFI12G00070 transcript:ORUFI12G00070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGFFDLSILPDDSKSTTTNTSIIARALDLGYSAVALDHPHRGLLADSHAPIASSLLLPPSASLHHRRHPFLQYTRITLSLDSAAACASALAPSAARLLRTYDIVAARPLTQAAFDHLCQATFDHLDIVSIDFSHKLPFRLKLPMLKLALQRGLHLEIAYSPLIADAASRRQAVAEAKLLVEWTKGKNLIISSAAHTASEIRGPYDAINLSSYLLGLSTQRAKAALSVNCRFDMEKVLETMKAIEGLTPTDAPK >ORUFI12G00070.6 pep chromosome:OR_W1943:12:52474:60937:1 gene:ORUFI12G00070 transcript:ORUFI12G00070.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHMSAPPMPKRRRPGTKCEAYQKRARVKGRFVSSSGGNDNNAPAHELPPSLVNLSDGGAAAAIIPTRSVPEWWPEMQASLARDEMCGGAGMNLHLCDANEMEQVAAYVGVSSMDLCAYLHCSWPPV >ORUFI12G00070.7 pep chromosome:OR_W1943:12:49633:52518:1 gene:ORUFI12G00070 transcript:ORUFI12G00070.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHQGWSSSSSSSSSLRRVLSTGGGLVEEERRERIDKYRSKRNQRNFDKKITYACRKTLADSRPRVKGRFARNSSDDAAAAAAAQVEVSPATNNNVPEWWPAVQEALARQEQEAAGLHLCDTADDDLLAAYLGVSSIDLYSPRGH >ORUFI12G00080.1 pep chromosome:OR_W1943:12:61718:62236:-1 gene:ORUFI12G00080 transcript:ORUFI12G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVSYWELSDGEMAVALLAVVGKRRWAGSTQRETGVNQQWRMQWGTWGTSRVEDRRRVLLWKRIRWSVHRCLFFKHVCSTLTTARCTDTTSSMPLYALR >ORUFI12G00090.1 pep chromosome:OR_W1943:12:80786:81591:1 gene:ORUFI12G00090 transcript:ORUFI12G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSTLLSAEVVTTVTGCRAGDWAYKLTWQRSPPASVLTSPTSMPSLLALSVTFLGALPSSFFLPVLLAQDTWVWESNDDTLVIESAFSCFGVAGSSSQGLYGWFELRSFGKKYGYDLICMHGAMDGK >ORUFI12G00100.1 pep chromosome:OR_W1943:12:90192:105065:1 gene:ORUFI12G00100 transcript:ORUFI12G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEGGHGGVERKEQQQQRGYQLPRDSRGSLEVFNPSSASSFRTAAAAPKSASPFLAIPDREEDNVVAQQRAAEWGLVLQTDHHTGLPQGVSARPSSGSARTSSEDNPQQQQSAAAIPRVSEELRAALSAFQQTFVVSDATHPNHPIMYASAGFFNMTGYTSKEVVGRNCRFLQGSGTDPHEIDKIRQSLANGSNYCGRILNYKKDGTPFWNLLTIAPIKDEDGRLLKFIGMQVEVSKYTEGKKDTVVRPNGLSESLIKYDARQKDHARSSVSELLLALKNPRSLSESSNNTLKRKSQESLSMSMTEVPSKRSSESGSRRNSRSGTRSSLQKINEVPDQGNRTRKSGLRAFMGFLGMGHGSVEKNMLKPRDEDPLIDSDDERPESFEDEFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYNREEILGRNCRFLQGPETDRATVRKIRDAIDNQAEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTEHVQDDAAKEGVVLVKKTADNIDEAAKELPDANLRPEDLWANHSKVVLPNPHMKDTASWRAIQKVLESGESIGLKHFRPVKPLGSGDTGRATAERQILDLLDHPFLPTLYASFQTKTHICLITDYCPGGELFVLLDNQPLKVLHEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENILLHRDGHISLTDFDLSCLTSCRPQVFLPEDADEKKGRKNGSYPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALEEKRGNGHLLISCTRISDFLLVYRRHAHASPAAARAIPAACPRASPVLAVATSPPAGRAAQPASPPAVCARARGAPRRRPVAGRPQAIAGSAPRASSALAASPSRRTPARRLRVSARPHLAPGGRLHASRPRRLRPRDLDSTAARLLPVAAKRLTTTARARRLSTSTSTSPPATAVLYDQHGPPDKVLRVAELPAAEIGERDVCVRMLAAPINPSDLNRVEGVYPVRPPLPAAVAGYEGVGQVHALGGAVDSRLLSPGDWVIPSPPSLGTWQTYIVNPATAWHRVRSDVPPQYVATVTVNPLTALRMLCDFVNLAPGLLSLLSSLFFPFSPLALDTFVSGDTLVQNGATSIVGQCVIQLAKLHGLHTINIIRDRPGSQEAKDKLKQLGADHVFTESQLDIKNIKSLLGALPEPALGLNCVGGNAASVILKFLRQGGTMVTYGGMSKKPVTVSTSSFIFKDLSLRGFWLQKWMSSDKAEESRTMIDYLLDLVHEGKLKYEMELTPFSDFHLALDKALGKHGSQPKQVLKF >ORUFI12G00100.2 pep chromosome:OR_W1943:12:90192:105065:1 gene:ORUFI12G00100 transcript:ORUFI12G00100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEGGHGGVERKEQQQQRGYQLPRDSRGSLEVFNPSSASSFRTAAAAPKSASPFLAIPDREEDNVVAQQRAAEWGLVLQTDHHTGLPQGVSARPSSGSARTSSEDNPQQQQSAAAIPRVSEELRAALSAFQQTFVVSDATHPNHPIMYASAGFFNMTGYTSKEVVGRNCRFLQGSGTDPHEIDKIRQSLANGSNYCGRILNYKKDGTPFWNLLTIAPIKDEDGRLLKFIGMQVEVSKYTEGKKDTVVRPNGLSESLIKYDARQKDHARSSVSELLLALKNPRSLSESSNNTLKRKSQESLSMSMTEVPSKRSSESGSRRNSRSGTRSSLQKINEVPDQGNRTRKSGLRAFMGFLGMGHGSVEKNMLKPRDEDPLIDSDDERPESFEDEFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYNREEILGRNCRFLQGPETDRATVRKIRDAIDNQAEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTEHVQDDAAKEGVVLVKKTADNIDEAAKELPDANLRPEDLWANHSKVVLPNPHMKDTASWRAIQKVLESGESIGLKHFRPVKPLGSGDTGRATAERQILDLLDHPFLPTLYASFQTKTHICLITDYCPGGELFVLLDNQPLKVLHEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENILLHRDGHISLTDFDLSCLTSCRPQVFLPEDADEKKGRKNGSYPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALEEKRGNGHLLISCTRISDFLLVYRRHAHASPAAARAIPAACPRASPVLAVATSPPAGRAAQPASPPAVCARARGAPRRRPVAGRPQAIAGSAPRASSALAASPSRRTPARRLRVSARPHLAPGGRLHASRPRRLRPRDLDSTAARLLPVAAKRLTTTARARRLSTSTSTSPPATAVLYDQHGPPDKVLRVAELPAAEIGERDVCVRMLAAPINPSDLNRVEGVYPVRPPLPAAVAGYEGVGQVHALGGAVDSRLLSPGDWVIPSPPSLGTWQTYIVNPATAWHRVRSDVPPQYVATVTVNPLTALRMLCDFVNLAPGDTLVQNGATSIVGQCVIQLAKLHGLHTINIIRDRPGSQEAKDKLKQLGADHVFTESQLDIKNIKSLLGALPEPALGLNCVGGNAASVILKFLRQGGTMVTYGGMSKKPVTVSTSSFIFKDLSLRGFWLQKWMSSDKAEESRTMIDYLLDLVHEGKLKYEMELTPFSDFHLALDKALGKHGSQPKQVLKF >ORUFI12G00100.3 pep chromosome:OR_W1943:12:90192:105065:1 gene:ORUFI12G00100 transcript:ORUFI12G00100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEGGHGGVERKEQQQQRGYQLPRDSRGSLEVFNPSSASSFRTAAAAPKSASPFLAIPDREEDNVVAQQRAAEWGLVLQTDHHTGLPQGVSARPSSGSARTSSEDNPQQQQSAAAIPRVSEELRAALSAFQQTFVVSDATHPNHPIMYASAGFFNMTGYTSKEVVGRNCRFLQGSGTDPHEIDKIRQSLANGSNYCGRILNYKKDGTPFWNLLTIAPIKDEDGRLLKFIGMQVEVSKYTEGKKDTVVRPNGLSESLIKYDARQKDHARSSVSELLLALKNPRSLSESSNNTLKRKSQESLSMSMTEVPSKRSSESGSRRNSRSGTRSSLQKINEVPDQGNRTRKSGLRAFMGFLGMGHGSVEKNMLKPRDEDPLIDSDDERPESFEDEFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYNREEILGRNCRFLQGPETDRATVRKIRDAIDNQAEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTEHVQDDAAKEGVVLVKKTADNIDEAAKELPDANLRPEDLWANHSKVVLPNPHMKDTASWRAIQKVLESGESIGLKHFRPVKPLGSGDTGRATAERQILDLLDHPFLPTLYASFQTKTHICLITDYCPGGELFVLLDNQPLKVLHEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENILLHRDGHISLTDFDLSCLTSCRPQVFLPEDADEKKGRKNGSYPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDIRFPATAARLLPVAAKRLTTTARARRLSTSTSTSPPATAVLYDQHGPPDKVLRVAELPAAEIGERDVCVRMLAAPINPSDLNRVEGVYPVRPPLPAAVAGYEGVGQVHALGGAVDSRLLSPGDWVIPSPPSLGTWQTYIVNPATAWHRVRSDVPPQYVATVTVNPLTALRMLCDFVNLAPGDTLVQNGATSIVGQCVIQLAKLHGLHTINIIRDRPGSQEAKDKLKQLGADHVFTESQLDIKNIKSLLGALPEPALGLNCVGGNAASVILKFLRQGGTMVTYGGMSKKPVTVSTSSFIFKDLSLRGFWLQKWMSSDKAEESRTMIDYLLDLVHEGKLKYEMELTPFSDFHLALDKALGKHGSQPKQVLKF >ORUFI12G00100.4 pep chromosome:OR_W1943:12:90192:99973:1 gene:ORUFI12G00100 transcript:ORUFI12G00100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEGGHGGVERKEQQQQRGYQLPRDSRGSLEVFNPSSASSFRTAAAAPKSASPFLAIPDREEDNVVAQQRAAEWGLVLQTDHHTGLPQGVSARPSSGSARTSSEDNPQQQQSAAAIPRVSEELRAALSAFQQTFVVSDATHPNHPIMYASAGFFNMTGYTSKEVVGRNCRFLQGSGTDPHEIDKIRQSLANGSNYCGRILNYKKDGTPFWNLLTIAPIKDEDGRLLKFIGMQVEVSKYTEGKKDTVVRPNGLSESLIKYDARQKDHARSSVSELLLALKNPRSLSESSNNTLKRKSQESLSMSMTEVPSKRSSESGSRRNSRSGTRSSLQKINEVPDQGNRTRKSGLRAFMGFLGMGHGSVEKNMLKPRDEDPLIDSDDERPESFEDEFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYNREEILGRNCRFLQGPETDRATVRKIRDAIDNQAEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTEHVQDDAAKEGVVLVKKTADNIDEAAKELPDANLRPEDLWANHSKVVLPNPHMKDTASWRAIQKVLESGESIGLKHFRPVKPLGSGDTGRATAERQILDLLDHPFLPTLYASFQTKTHICLITDYCPGGELFVLLDNQPLKVLHEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENILLHRDGHISLTDFDLSCLTSCRPQVFLPEDADEKKGRKNGSYPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALEEKRGNGHLLISCTRISDFLLVYRRHAHASPAAARAIPAACPRASPVLAVATSPPAGRAAQPASPPAVCARARGAPRRRPVAGRPQAIAGSAPRASSALAASPSRRTPARRLRVSARPHLAPGGRLHASRPRRLRPRDLDSSLQR >ORUFI12G00100.5 pep chromosome:OR_W1943:12:101138:105065:1 gene:ORUFI12G00100 transcript:ORUFI12G00100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSAYKMAMAACSCCCHAMVGMPCYLWRTLRSTRRVRRVHCHDDDNVEEGGGGWDSSLSDFAWSSSKDEEEEGSTSTEGGEYGGHGGAAARLLPVAAKRLTTTARARRLSTSTSTSPPATAVLYDQHGPPDKVLRVAELPAAEIGERDVCVRMLAAPINPSDLNRVEGVYPVRPPLPAAVAGYEGVGQVHALGGAVDSRLLSPGDWVIPSPPSLGTWQTYIVNPATAWHRVRSDVPPQYVATVTVNPLTALRMLCDFVNLAPGDTLVQNGATSIVGQCVIQLAKLHGLHTINIIRDRPGSQEAKDKLKQLGADHVFTESQLDIKNIKSLLGALPEPALGLNCVGGNAASVILKFLRQGGTMVTYGGMSKKPVTVSTSSFIFKDLSLRGFWLQKWMSSDKAEESRTMIDYLLDLVHEGKLKYEMELTPFSDFHLALDKALGKHGSQPKQVLKF >ORUFI12G00110.1 pep chromosome:OR_W1943:12:102997:108579:-1 gene:ORUFI12G00110 transcript:ORUFI12G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYKYIEISVGSQDGYDLQSVWKIAEVATMCVKPKGVLRPSISEVLKEIQDAIAIELQRELPSSIHHLMSKTSPSEAAYIPVPYDVDGVKTMQFGELNDALADNAGSAILDKSVT >ORUFI12G00120.1 pep chromosome:OR_W1943:12:108702:114868:1 gene:ORUFI12G00120 transcript:ORUFI12G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVVENDDGGNPALLGSCNDRAKQLHASPSGRLLTALVSEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSGAEGSRSAESGPMLLDVLEGYLKYENLSQTRMAGTGRRIINSESDPALNAEHRNTRRPPSSSSVTGLPPMGRPMPSSQMSDRRGGSSASNARKDEYNWRYDADDISEEVLRASSALENVQLDRKARNLTTSWRHPGDGAE >ORUFI12G00120.2 pep chromosome:OR_W1943:12:108702:114868:1 gene:ORUFI12G00120 transcript:ORUFI12G00120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVVENDDGGNPALLGSCNDRAKQLHASPSGRLLTALVSEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSGAEGSRSAESGPMLLDVLEGYLKYENLSQTRMAGTGRRIINSESDPALNAEHRNTRRPPSSSSVTGLPPMGRPMPSSQMSDRRGGSSASNARKDEYNWRYDADDISEEVLRASSALENVQLDRKARNLTTSWRHPGDGAE >ORUFI12G00130.1 pep chromosome:OR_W1943:12:112439:116286:-1 gene:ORUFI12G00130 transcript:ORUFI12G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGEEEEERVLSHGDVVLLRCDLTILRGPHFLNDRIIAFYLAHLAADHHDDDLLLLPPSVPYLLSNLPDPASVAAVADPLRLASRRLVLLPVNDNPDVSHAEGGSHWTLLVLDNSNAVSGPRFVHHDSLPPTNLPAARRLAAVLRPLLPASAIPLIEGPTPRQTNGYDCGVFVLAVARAICNWWPTRARHSNSDSDWLEAVKREVNADSVKAMRTQLLQLIHTLIQNNTTTNQHSPSTQLPSHPSIASTSLPVTAQDFGTWCDMQSHKKVAAVKPVASRPSSRLRSFSMLQEDSTAIDSPRVTSLEEIILRRPKATRFTHPLSNSSTEIAATRLEDSGTHTAYDQKKADTGKGACWDNLTVSQSVRKPNVSAKNSLSYDGYSWRKYGQKQVKGSEFPRSYYKCTHPTCPVKRKVEMTPDGRIAEIVYNGEHNHPKPHPPRKPTLSTSVETLVATNDAGLENKLEGCDQAIGSDAVVEALRGGCHCLDGFRNGNEISDCKKRSN >ORUFI12G00130.2 pep chromosome:OR_W1943:12:112439:116286:-1 gene:ORUFI12G00130 transcript:ORUFI12G00130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGEEEEERVLSHGDVVLLRCDLTILRGPHFLNDRIIAFYLAHLAADHHDDDLLLLPPSVPYLLSNLPDPASVAAVADPLRLASRRLVLLPVNDNPDVSHAEGGSHWTLLVLDNSNAVSGPRFVHHDSLPPTNLPAARRLAAVLRPLLPASAIPLIEGPTPRQTNGYDCGVFVLAVARAICNWWPTRARHSNSDSDWLEAVKREVNADSVKAMRTQLLQLIHTLIQNNTTTNQHSPSTQLPSHPSIASTSLPVTAQDFGTWLEDSGTHTAYDQKKADTGKGACWDNLTVSQSVRKPNVSAKNSLSYDGYSWRKYGQKQVKGSEFPRSYYKCTHPTCPVKRKVEMTPDGRIAEIVYNGEHNHPKPHPPRKPTLSTSVETLVATNDAGLENKLEGCDQAIGSDAVVEALRGGCHCLDGFRNGNEISDCKKRSN >ORUFI12G00140.1 pep chromosome:OR_W1943:12:118167:124726:1 gene:ORUFI12G00140 transcript:ORUFI12G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGRDSLSKTLKPYKPGIAKETQAVTSLESSLDIDFSTIPSAWNDDDVTSDGMSKGSDEKQVVNDNGKFECSVSSKPAESGHLTSKSTTSPKKDIAVNSTRQSPLNCVSSPSVSKSEVKDGDGDYQVTNMASKTSTLVIRKDQSNQAAIDTATEDTRSESTDIDRLSVGVSSVTLSRKDGVQSIAENQQPDAILSTSVVVPFSQNLKLAECNDSTCQPSSDKHRDWCSDIQSSVSPQLNDIESYAVATDKSHGRVLDAADQASSSPYVHFPNTAPISLWNGKEINHTSTSDRTSTMMQPGLLSSVDSTSTMLNGHQEGLGTIYAPGKVSEHPRMKNHQPGAVGAVRIDNIGSFDKAVSVNKDESSIIADILSLEFDPWDESYSTANNFAKMLSASEKNDVLFDARSWKTKTSNSESRFSFARQDNQGSLLDSSMRNYKSEQNFSLPSQNSHGNIYQSGIAFQSPEEGFSKSNSLTMLDMLATGTSKPKVSAPPGFSAPARVPPGFSSGFSSHEGLNPPPGFSSHNGPNPPPGFSSQETRPFDDLLGINTSHYQPQLARQTSDIEFVDPAXSKQLPHAGFK >ORUFI12G00140.2 pep chromosome:OR_W1943:12:118111:124726:1 gene:ORUFI12G00140 transcript:ORUFI12G00140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGRDSLSKTLKPYKPGIAKETQAVTSLESSLDIDFSTIPSAWNDDDVTSDGMSKGSDEKQVVNDNGKFECSVSSKPAESGHLTSKSTTSPKKDIAVNSTRQSPLNCVSSPSVSKSEVKDGDGDYQVTNMASKTSTLVIRKDQSNQAAIDTATEDTRSESTDIDRLSVGVSSVTLSRKDGVQSIAENQQPDAILSTSVVVPFSQNLKLAECNDSTCQPSSDKHRDWCSDIQSSVSPQLNDIESYAVATDKSHGRVLDAADQASSSPYVHFPNTAPISLWNGKEINHTSTSDRTSTMMQPGLLSSVDSTSTMLNGHQEGLGTIYAPGKVSEHPRMKNHQPGAVGAVRIDNIGSFDKAVSVNKDESSIIADILSLEFDPWDESYSTANNFAKMLSASEKNDVLFDARSWKTKTSNSESRFSFARQDNQGSLLDSSMRNYKSEQNFSLPSQNSHGNIYQSGIAFQSPEEGFSKSNSLTMLDMLATGTSKPKVSAPPGFSAPARVPPGFSSGFSSHEGLNPPPGFSSHNGPNPPPGFSSQETRPFDDLLGINTSHYQPQLARQTSDIEFVDPAXSKQLPHAGFK >ORUFI12G00140.3 pep chromosome:OR_W1943:12:118167:124726:1 gene:ORUFI12G00140 transcript:ORUFI12G00140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGRDSLSKTLKPYKPGIAKETQAVTSLESSLDIDFSTIPSAWNDDDVTSDGMSKGSDEKQVVNDNGKFECSVSSKPAESGHLTSKSTTSPKKDIAVNSTRQSPLNCVSSPSVSKSEVKDGDGDYQVTNMASKTSTLVIRKDQSNQAAIDTATEDTRSESTDIDRLSVGVSSVTLSRKDGVQSIAENQQPDAILSTSVVVPFSQNLKLAECNDSTCQPSSDKHRDWCSDIQSSVSPQLNDIESYAVATDKSHGRVLDAADQASSSPYVHFPNTAPISLWNGKEINHTSTSDRTSTMMQPGLLSSVDSTSTMLNGHQEGLGTIYAPGKVSEHPRMKNHQPGAVGAVRIDNIGSFDKAVSVNKDESSIIADILSLEFDPWDESYSTANNFAKMLSASEKNDVLFDARSWKTKTSNSESRFSFARQDNQGSLLDSSMRNYKSEQNFSLPSQNSHGNIYQSGIAFQSPEEGFSKSNSLTMLDMLATGTSKPKVSAPPGFSAPARVPPGFSSGFSSHEGLNPPPGFSSHNGPNPPPGFSSQGGSNQIYGSAYSGSLSLCFS >ORUFI12G00140.4 pep chromosome:OR_W1943:12:120132:124099:1 gene:ORUFI12G00140 transcript:ORUFI12G00140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVSQRRAGTVLPPPAEDFSYSAVVAAKHPIKNGITNTANQSRLSPPNSSSGRSTLPPAASWGHRDLNTRTTATGVASSQSLTKSKADPQSNSFSSSSTVSSTKLPSSWNDDTSTVPKMTEGRDSLSKTLKPYKPGIAKETQAVTSLESSLDIDFSTIPSAWNDDDVTSDGMSKGSDEKQVVNDNGKFECSVSSKPAESGHLTSKSTTSPKKDIAVNSTRQSPLNCVSSPSVSKSEVKDGDGDYQVTNMASKTSTLVIRKDQSNQAAIDTATEDTRSESTDIDRLSVGVSSVTLSRKDGVQSIAENQQPDAILSTSVVVPFSQNLKLAECNDSTCQPSSDKHRDWCSDIQSSVSPQLNDIESYAVATDKSHGRVLDAADQASSSPYVHFPNTAPISLWNGKEINHTSTSDRTSTMMQPGLLSSVDSTSTMLNGHQEGLGTIYAPGKVSEHPRMKNHQPGAVGAVRIDNIGSFDKAVSVNKDESSIIADILSLEFDPWDESYSTANNFAKMLSASEKNDVLFDARSWKTKTSNSESRFSFARQDNQGSLLDSSMRNYKSEQNFSLPSQNSHGNIYQSGIAFQSPEEGFSKSNSLTMLDMLATGTSKPKVSAPPGFSAPARVPPGFSSGFSSHEGLNPPPGFSSHNGPNPPPGFSSQGGSNQIYGSAYSETRPFDDLLGINTSHYQPQLARQTRFQMQPELHGQYRSI >ORUFI12G00140.5 pep chromosome:OR_W1943:12:120132:124099:1 gene:ORUFI12G00140 transcript:ORUFI12G00140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVSQRRAGTVLPPPAEDFSYSAVVAAKHPIKNGITNTANQSRLSPPNSSSGRSTLPPAASWGHRDLNTRTTATGVASSQSLTKSKADPQSNSFSSSSTVSSTKLPSSWNDDTSTVPKMTEGRDSLSKTLKPYKPGIAKETQAVTSLESSLDIDFSTIPSAWNDDDVTSDGMSKGSDEKQVVNDNGKFECSVSSKPAESGHLTSKSTTSPKKDIAVNSTRQSPLNCVSSPSVSKSEVKDGDGDYQVTNMASKTSTLVIRKDQSNQAAIDTATEDTRSESTDIDRLSVGVSSVTLSRKDGVQSIAENQQPDAILSTSVVVPFSQNLKLAECNDSTCQPSSDKHRDWCSDIQSSVSPQLNDIESYAVATDKSHGRVLDAADQASSSPYVHFPNTAPISLWNGKEINHTSTSDRTSTMMQPGLLSSVDSTSTMLNGHQEGLGTIYAPGKVSEHPRMKNHQPGAVGAVRIDNIGSFDKAVSVNKDESSIIADILSLEFDPWDESYSTANNFAKMLSASEKNDVLFDARSWKTKTSNSESRFSFARQDNQGSLLDSSMRNYKSEQNFSLPSQNSHGNIYQSGIAFQSPEEGFSKSNSLTMLDMLATGTSKPKVSAPPGFSAPARVPPGFSSGFSSHEGLNPPPGFSSHNGPNPPPGFSSQETRPFDDLLGINTSHYQPQLARQTRFQMQPELHGQYRSI >ORUFI12G00140.6 pep chromosome:OR_W1943:12:120184:124099:1 gene:ORUFI12G00140 transcript:ORUFI12G00140.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYALLNAKIFSSSDWCFRLIISRSYRSRVPQMASNVSQRRAGTVLPPPAEDFSYSAVVAAKHPIKNGITNTANQSRLSPPNSSSGRSTLPPAASWGHRDLNTRTTATGVASSQSLTKSKADPQSNSFSSSSTVSSTKLPSSWNDDTSTVPKMTEGRDSLSKTLKPYKPGIAKETQAVTSLESSLDIDFSTIPSAWNDDDVTSDGMSKGSDEKQVVNDNGKFECSVSSKPAESGHLTSKSTTSPKKDIAVNSTRQSPLNCVSSPSVSKSEVKDGDGDYQVTNMASKTSTLVIRKDQSNQAAIDTATEDTRSESTDIDRLSVGVSSVTLSRKDGVQSIAENQQPDAILSTSVVVPFSQNLKLAECNDSTCQPSSDKHRDWCSDIQSSVSPQLNDIESYAVATDKSHGRVLDAADQASSSPYVHFPNTAPISLWNGKEINHTSTSDRTSTMMQPGLLSSVDSTSTMLNGHQEGLGTIYAPGKVSEHPRMKNHQPGAVGAVRIDNIGSFDKAVSVNKDESSIIADILSLEFDPWDESYSTANNFAKMLSASEKNDVLFDARSWKTKTSNSESRFSFARQDNQGSLLDSSMRNYKSEQNFSLPSQNSHGNIYQSGIAFQSPEEGFSKSNSLTMLDMLATGTSKPKVSAPPGFSAPARVPPGFSSGFSSHEGLNPPPGFSSHNGPNPPPGFSSQGGSNQIYGSAYSETRPFDDLLGINTSHYQPQLARQTRFQMQPELHGQYRSI >ORUFI12G00150.1 pep chromosome:OR_W1943:12:124387:131721:-1 gene:ORUFI12G00150 transcript:ORUFI12G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRVTETWMMQQQQGNSNRLLREMPSASAALVLLFVAFSLSNAQPGFISLDCGGDDDYTDGIGIQWTSDAKFVSAGQKANLLLQNQQLQQYTTVRSFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDLSLGPTPWTTVVIDDATTPVVQEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDEKQFFLRLSARINFGAESNASVSHLEDNQLTGALPPSLGELPNLKEFFSGNSDLRMGHSNTGRTIVIIVCAVVGAILILVAAIEEEIIGREVATESAHRFALSEIEDATDKFDRRIGSGGFGIVYYGKLTDGREIAVKLLTNDSYQGIREFLNEVTLLSRIHHRNLVSFLGYSQQDGKNILVYEFMHNGTLKEHLRGGPDDVKITSWVKRLEIAEDAAKGIEYLHTGCSPTIIHRDLKSSNILLDKNMRAKVADFGLSKPVVDGSHVSSIVRGTVGYLDPEYYISQQLTEKSDMYSFGHEPISNDNFGLHCRNIVEWARSHMESGDIHGIIDQSLDAGYDLQSVWKIAEVATMCVKPKGVLRPSISEVLKEIQDAIAIELQRELPSSIHHLMSKTSPSEAVNTTGSLQDLEQNASFDELLMRPGLR >ORUFI12G00150.2 pep chromosome:OR_W1943:12:124387:131721:-1 gene:ORUFI12G00150 transcript:ORUFI12G00150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRVTETWMMQQQQGNSNRLLREMPSASAALVLLFVAFSLSNAQPGFISLDCGGDDDYTDGIGIQWTSDAKFVSAGQKANLLLQNQQLQQYTTVRSFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDLSLGPTPWTTVVIDDATTPVVQEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDEKQFFLRLSARINFGAESNASVSHLEDNQLTGALPPSLGELPNLKEFFSGNSDLRMGHSNTGRTIVIIVCAVVGAILILVAAIVCYLFTCKRKKKSSDETVVIAAPAKKLGSFFSEVATESAHRFALSEIEDATDKFDRRIGSGGFGIVYYGKLTDGREIAVKLLTNDSYQGIREFLNEVTLLSRIHHRNLVSFLGYSQQDGKNILVYEFMHNGTLKEHLRGGPDDVKITSWVKRLEIAEDAAKGIEYLHTGCSPTIIHRDLKSSNILLDKNMRAKVADFGLSKPVVDGSHVSSIVRGTVGYLDPEYYISQQLTEKSDMYSFGHEPISNDNFGLHCRNIVEWARSHMESGDIHGIIDQSLDAGYDLQSVWKIAEVATMCVKPKGVLRPSISEVLKEIQDAIAIELQRELPSSIHHLMSKTSPSEAVNTTGSLQDLEQNASFDELLMRPGLR >ORUFI12G00150.3 pep chromosome:OR_W1943:12:124387:131721:-1 gene:ORUFI12G00150 transcript:ORUFI12G00150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRVTETWMMQQQQGNSNRLLREMPSASAALVLLFVAFSLSNAQPGFISLDCGGDDDYTDGIGIQWTSDAKFVSAGQKANLLLQNQQLQQYTTVRSFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDLSLGPTPWTTVVIDDATTPVVQEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDEKQFFLRLSARINFGAESNASVSHLEDNQLTGALPPSLGELPNLKEFFSGNSDLRMGHSNTGRTIVIIVCAVVGAILILVAAIVCYLFTCKRKKKSSDETVVIAAPAKKLGSFFSEVATESAHRFALSEIEDATDKFDRRIGSGGFGIVYYGKLTDGREIAVKLLTNDSYQGIREFLNEVTLLSRIHHRNLVSFLGYSQQDGKNILVYEFMHNGTLKEHLRGGPDDVKITSWVKRLEIAEDAAKGIEYLHTGCSPTIIHRDLKSSNILLDKNMRAKVADFGLSKPVVDGSHVSSIVRGTVGYLDPDFGVILLELISGHEPISNDNFGLHCRNIVEWARSHMESGDIHGIIDQSLDAGYDLQSVWKIAEVATMCVKPKGVLRPSISEVLKEIQDAIAIELQRELPSSIHHLMSKTSPSEAVNTTGSLQDLEQNASFDELLMRPGLR >ORUFI12G00160.1 pep chromosome:OR_W1943:12:137109:137300:-1 gene:ORUFI12G00160 transcript:ORUFI12G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTELDSPKHCNCRGMEFPPSRLSRKPGRRRKEERLGEAAGSLDDADVSNSPTNTPGWPTSG >ORUFI12G00170.1 pep chromosome:OR_W1943:12:137325:139745:1 gene:ORUFI12G00170 transcript:ORUFI12G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNALVQHYYSCKPPPPPRLVLVPFFFSGSGSGTNNTASSPAAASSTHTHTHTADANAQLDAHLLSLLRDGHTDAAYHLFASNPSLPLSPVSASRLLAQLSYSSFSRASALLHRLRARQALHLLDANSLSLASSASARSNNPHLAYSLLLSMLRRGLLPDRRAYTAALARLPPSRALRLFDALLHHLRHHHNKTNSLPDTAAFNAALSACADAGDCIRFRHLFDQMPAWNAPPDALTYNVLIKMCARAGRKDLVARVLHRILSSGLTPCATTFHSLVAAYVGFGDIPTAERIVQAMRERRTDMCLLFRAVADDHIISHDQQSCVLEDIVKPWEQEEVPLLPKAYPPNSRVYTTLMKGYMNAGRVEDVVAMLRAMRREGETSPASRPDYVTYTTVISTLVAAGDMERARAVLEEMGASRPDHVTYTTVISTLVAAGDMERARAVLEEMGQAGVAASRVTYNVLIKGYCQQLQAGKAKELLAVDMAEAGIQPDVVTYNTLIDGCVLTDDSAGAVALFNEMRERGIAPSAVSYTTLMKAFAASGQPKLAHKGKAKELLDVDMAEAGIQPDVVTYNTLIDGCVLTDDSAGAVALFNEMREWGIAPSAVSYTTLMKAFAASGQPKLAHKVFDEMEKDPRVAVDRAAWNMLVEAYCRLGLLESAKKVVERMKARGVQPDVATYGSLAKGIAVARRPGEALLLWEEIKEKEVDGEVVEALADVCVRAALFRKALEMVARMEEMGVEPNKAKYKRMYVDLHSRMFTSKHASQARQDRRRERKRAAEAFKFWLGLPNSYYATDWRLQDDGLN >ORUFI12G00180.1 pep chromosome:OR_W1943:12:140299:143504:-1 gene:ORUFI12G00180 transcript:ORUFI12G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPNQQQGQLQVSALLHVTITNSARHYFSVTSECTHKVEGLPLKSKTERSSVTKSSGPTIGKDMVPPTVTTICQETNGSGKCETFSPYSQDNPSSSVVAPARISAKPSALRMPSPSVGFFTQGKASVSHSGTAQRNPERCFSGNISSVKPPSLPVQPATSDSTPNVLASSLPGVEDATVCSLKQSLSESTVPYSEKSGNISYQEMPDDDFSLAGNGATTELSFRDNDDGRNSMPNECSVALSVGQDLNAICCSSTEPAEDSCFLKVICSSSEPSVGSNLTTSCISSPGCTPNDLNSQSKSDNGETAVDIENSLSGETSGTVCSSEGNNCTSATDSLQKSDSCHQQNMLVQSIHCTDQIPQFDSSTGIKPSLAYSQLDSNNSLCSEVQLTSSEGPDIDSEMELDTDDSFTVEEPPLLHVGDECDHDYRSAECSHMNLAAPSPCVDQEALAGNLTEKVDTADGRTESHHCSTQERRPILSEEQDTEDKIGFDTKLSSSEGASSIERIKSVGKSRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKTGAVQNSPTDKAAPEPSPWSPDHFLPLLVTKVKLSRLIDEEPFLVMVLQVKRKAQDVGPFDCTKYSKNVRTSD >ORUFI12G00190.1 pep chromosome:OR_W1943:12:144548:144775:1 gene:ORUFI12G00190 transcript:ORUFI12G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLACSGGGREGSCEERKRRMWQEEDEEMEQVGGGGVEDDTVGERRRRRRRLFVTETPGERGLASQREMKIYMGQ >ORUFI12G00200.1 pep chromosome:OR_W1943:12:144880:148255:1 gene:ORUFI12G00200 transcript:ORUFI12G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALHHQLSLASSAALSASDHDLAFHLQLTEAIQASLPSNAAAPAPPEEPSDASCALEIHAADLARAEHDRRDAQACRAYHARAAASVRACRAYHARAAASVRVAAHDALFARDLAAIPEDRWAHDGDYFERPLPLEGGGALFRVLFKGMASREVVGPRDRDPGVGVLAVAICGPRGEVVLRIHKPVQAQAQQGRMMLEAMALVEGLNAALALGIRTLNVLTDNKPLHNHMSGIWRPRQKRLVDLINEAFSTKQKFEQCEILCVARTQVNYVTKLATDSLHTQIAKAAVVSAGKEKKENCTICLEDTDVSKIHAVEGCAHRFCFSCMKERVKVKLLHGMLPACPQDGCTTKLNCGGFKDVPISTRIREAQIPPTHKIYCPYPKCSALMSMRELIHPMQASSSKYTLVDAATLRKCVKCSGSFCISCKVPWHHQMTCYDYKRRYPHARLEDAYLQNLARQRLWRQCIRCKHMIELAEGCYHMTCVCGYEFCYTCGKEWKEKKATCSFEGCAHRFCFSCMKERVKVKLLHGMLPACPQDGCTTKLNCGGFKDVPISTMTCYDYKRRYPHARLEDAYLQNLARQRLWRQCIRCKHMIELAE >ORUFI12G00210.1 pep chromosome:OR_W1943:12:148395:154848:-1 gene:ORUFI12G00210 transcript:ORUFI12G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSEHPMVAAARAALAHLQLPLPPAPAGSNMDHHLLPHFLHRSPPSPCFLLLRTQHKPKPPQPQLHLLLCTAFDSLAHSLQEVLDKHKPKLHLDTLFLHGNLPFAKVGVPPPPPPPAPLLSPPSVSAHHQHQPATPTETETEDTAANDSPPPRTLPVRLLNIPVDRLRSTLSTLSLTELIDLVPHLVARSLPSPDTHPDKKKLFSVHHFFRYAEFEGKRFFEELDRDGDGQVTLEDLEIAMRKRRLPRRRSQQYCGHTPPCLPANEDNAAAMLRYLNADSEESISYSHFRNFMLLLPSERLEDDPRNIWFEAATLVAVPPPVEISTGSVLKSALAGGLASALSTSVMHPIDSMKTRVQASSLSFPDLISTLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLKSVAPTLSDIQVQSLSSFCSTILGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMQKDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVLNRDLEPWETIAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQLIVFSILRNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIQTESDSADSVHEKRTTKTTLTLAIVLIEVAMVTVPSIILTM >ORUFI12G00210.2 pep chromosome:OR_W1943:12:148395:154848:-1 gene:ORUFI12G00210 transcript:ORUFI12G00210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSEHPMVAAARAALAHLQLPLPPAPAGSNMDHHLLPHFLHRSPPSPCFLLLRTQHKPKPPQPQLHLLLCTAFDSLAHSLQEVLDKHKPKLHLDTLFLHGNLPFAKVGVPPPPPPPAPLLSPPSVSAHHQHQPATPTETETEDTAANDSPPPRTLPVRLLNIPVDRLRSTLSTLSLTELIDLVPHLVARSLPSPDTHPDKKKLFSVHHFFRYAEFEGKRFFEELDRDGDGQVTLEDLEIAMRKRRLPRRYARDFLRRTRSNLFSKSIGWKQFLSLMEQKEPTILRAYTTLCLSKSGTLHKNQILTSLKGAGLPANEDNAAAMLRYLNADSEESISYSHFRNFMLLLPSERLEDDPRNIWFEAATLVAVPPPVEISTGSVLKSALAGGLASALSTSVMHPIDSMKTRVQASSLSFPDLISTLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLKSVAPTLSDIQVQSLSSFCSTILGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMQKDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVLNRDLEPWETIAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQLIVFSILRNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIQTESDSADSVHEKRTTKTTLTLAIVLIEVAMVTVPSIILTM >ORUFI12G00220.1 pep chromosome:OR_W1943:12:159743:170193:-1 gene:ORUFI12G00220 transcript:ORUFI12G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRGDDNVSGGRWLVIRSGRAAGRRTRRRTSVGGGCTRAGGGQRAHEGGRWVVGTRAAAAAVGARGRAMGGWHASGDSGHGWFS >ORUFI12G00230.1 pep chromosome:OR_W1943:12:162023:167314:1 gene:ORUFI12G00230 transcript:ORUFI12G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSMKLPLVDGEEQSSYDPMGEKLKMMSGKIISEEQRLVELELELEDEEEVEDEELAELITARDFRVSWEHRFTPRYSFNDTTTVCPMRYTEGPIPRYACCGDTLQIFSLQVKEAKGGLDWPLHVYGLVATRDSVDQRRNLLFRCTRDNCQILTPQDSHLLLTGPSRAVVVIDPVTFEVELKACRLQLIQSRPRSNRFNSLTPINIWMDAITKMQNEQDIFPTSIWDWKGHDMPTLVIVKYQS >ORUFI12G00240.1 pep chromosome:OR_W1943:12:170262:170587:-1 gene:ORUFI12G00240 transcript:ORUFI12G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSQSQSSVGAAAGAARPATVGPRGTAAAAAGMRRRRASTAGGSGGFSGGGGSNMLRFYTDEAPGLRLSPTMVLVMSLCFIGFVTALHVFGKLYRSRTAASASA >ORUFI12G00250.1 pep chromosome:OR_W1943:12:170987:174810:-1 gene:ORUFI12G00250 transcript:ORUFI12G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHNGEVSPVRTTGATFLIARGGIPFLGAMARLHNCSPKSRKLAYQHISSELDEGEKKVTVHSGSVNNEDGCKRRTVATLSNGCDCCVVSHVRPRCIHEEWTLVPRRYRWPDDDAGGLPEAETFAPTMPTKRSRSSWRGRPMATRNMKIRWEGGAVFVAG >ORUFI12G00260.1 pep chromosome:OR_W1943:12:175702:179038:1 gene:ORUFI12G00260 transcript:ORUFI12G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGSKKKTTASTRALPLLRRSAGETGTAESKEETGAASPIKNAIKNAAAGEMAEEEKLAGAASPLLRLRSARGETGTAIKNAAAAGEMGEEETAAMVITRTRGDQETPRKKKGRSWKESKAMDDGAAALRRKAREITKEEEDDERLRGEMVSRVRARIRKQQPDESKLDAFSIGVNANVRSYHKLMMKRYRRMKRICCMTLAGAPVTPPTTMRFDHPAAIARPQDVTTFHIVGVVDTDGARVRLLFRDSDLYFVAFKTGSCWFRFNDEHIPTFLTPTVPIAYTSGYMNIAQVRVGYHCLYDIFNVILRYTPESAQEKTNERQRILQCAALMLSESQRFGHVQLLVKRQIHKMMSKKITAKQNKKIHSWGSIARYCISHECKEDVLHHLSTLIVLFNPDQNKYENYKIVGRRKLLSRAAAAAAEAAARAAEAAARPSQAAAAEAEEEEEDDDDDDEDEYPWQCQTRAGQELLILLYDKRLPPPVTPMVVTQQKNHKKTQAEEVDEKKNQENPNTDATIGAPSGGENQQNRSLISCDGINLYESDLDSLRGPHWVTDAILGYALAKFSRAYSDDGLLLVQPTNAALLNNKHYVAAEADHLLLASRRLVLIPVNDNLDFNQAGDGKHWSLLVIHKTSNDGVIQFIHHDSVRSGDNSYNLHAAQKLANVLRGVLPTAGDVINAETPQQTNGNDCAIHVLAAVQVICRWWRANANKSGPADWIRKLDKRISEGNITQMRASLLQDIERDCQKKKSKDQ >ORUFI12G00270.1 pep chromosome:OR_W1943:12:178733:183451:-1 gene:ORUFI12G00270 transcript:ORUFI12G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVEGDEGGRGKTRGWSSVLGLLPTQRVSRIDPPVQALVETRPPAPPRHLRRLDASHPLSPVRLGGGAGGGGRGGGGGGRGERRGAPSARCCRGVVAESSPSPVVGGVVARSGALPRRRLRPPRRAAIADLSAPPPSPTFQPSQIWGGRGNLHSGGRRLKHPYPHNGFLPPAPGRKGAGDARSSEGTACERNETASTGGTTQRHALPVTNYSVNLQEGGPHLCNVAFTDSFVQFPDPISWATLVGIGSPPSAYDLYSSQNMYGAIISISLLGRFSVNNISSSGQHSPQNICKLLSSMQIV >ORUFI12G00270.2 pep chromosome:OR_W1943:12:179851:183451:-1 gene:ORUFI12G00270 transcript:ORUFI12G00270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVEGDEGGRGKTRGWSSVLGLLPTQRVSRIDPPVQALVETRPPAPPRHLRRLDASHPLSPVRLGGGAGGGGRGGGGGGRGERRGAPSARCCRGVVAESSPSPVVGGVVARSGALPRRRLRPPRRAAIADLSAPPPSPTFQPSQIWGGRGNLHSGGRRLKHPYPHNGFLPPAPGRKGAGDARSSEGTACERNETASTGGTTQRHALRSACLLLVNNKRPHKTAHPNPHKAGEEEPH >ORUFI12G00270.3 pep chromosome:OR_W1943:12:178733:183451:-1 gene:ORUFI12G00270 transcript:ORUFI12G00270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVEGDEGGRGKTRGWSSVLGLLPTQRVSRIDPPVQALVETRPPAPPRHLRRLDASHPLSPVRLGGGAGGGGRGGGGGGRGERRGAPSARCCRGVVAESSPSPVVGGVVARSGALPRRRLRPPRRAAIADLSAPPPSPTFQPSQIWGGRGNLHSGGRRLKHPYPHNGFLPPAPGRKGAGDARSSEGTACERNETASTGGTTQRHALEGGPHLCNVAFTDSFVQFPDPISWATLVGIGSPPSAYDLYSSQNMYGAIISISLLGRFSVNNISSSGQHSPQNICKLLSSMQIV >ORUFI12G00270.4 pep chromosome:OR_W1943:12:178733:179832:-1 gene:ORUFI12G00270 transcript:ORUFI12G00270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVALERQGRSPMAATKARSASSISALSLTDHTMIIPGPTSYVSIRMDIDLPVTNYSVNLQEGGPHLCNVAFTDSFVQFPDPISWATLVGIGSPPSAYDLYSSQNMYGAIISISLLGRFSVNNISSSGQHSPQNICKLLSSMQIV >ORUFI12G00270.5 pep chromosome:OR_W1943:12:179922:183451:-1 gene:ORUFI12G00270 transcript:ORUFI12G00270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVEGDEGGRGKTRGWSSVLGLLPTQRVSRIDPPVQALVETRPPAPPRHLRRLDASHPLSPVRLGGGAGGGGRGGGGGGRGERRGAPSARCCRGVVAESSPSPVVGGVVARSGALPRRRLRPPRRAAIADLSAPPPSPTFQPSQICVARVSC >ORUFI12G00280.1 pep chromosome:OR_W1943:12:184351:184779:-1 gene:ORUFI12G00280 transcript:ORUFI12G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVPLLADGANEQWPARTPEPLHRAGERVAAVVCGADTIARRHRGRHARGPRRRTARSPHFTPRAADVLNWSDKPVCQEKAAYILMVLAHRSYASWSPLPRRGSQDAEHGGNLPALGLEGRREGARGGRHARGSLPAPGSD >ORUFI12G00290.1 pep chromosome:OR_W1943:12:186827:187535:-1 gene:ORUFI12G00290 transcript:ORUFI12G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPEGVDVTSAVSNEVDRDDKVMHVLPLATEWPCSAASMALLGGSLAAGVARASHFSGFVTAVAPEQATLPPPPSPSRLRVLRTSPRLRLRCTSSSTARPPVHDSAVAQMESPEGVDVTSAVSDEVDRDDKVTHVLPLAAGWPCLAASMALLGGSFTAGVARASHSSGFVTVVAPEQATLPPPPSPSRSRVLRTLPPPLSKP >ORUFI12G00300.1 pep chromosome:OR_W1943:12:187572:213599:-1 gene:ORUFI12G00300 transcript:ORUFI12G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTSPTFMSSGSSSPLLAATPSSLTTVVPTFHNHNAVTMTWEGGGGGLGGVNERGGEIRALARLHVVVAEAEASLHLLQHGTAAGMHAKVLERAASGMLSMHVRDGTTA >ORUFI12G00310.1 pep chromosome:OR_W1943:12:213813:217198:1 gene:ORUFI12G00310 transcript:ORUFI12G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSHGGYWVLATWWGEPLLWLWQPFRAGGGAGPLGHLLEISGGLGRIRGWAAMEERGDERLEVEEEEEEESQAEDYEIVMFRRVWTRWHGRNFGSFDDTTYPAMRYTFGRIPKSSFAGCDNGLQIFSIKLLLRNTSTTDHQLQWPLHVYGLVATRDSLDPRRNLLFNRTRDNCQILTQQDPFLVLTGPSRAIVLIDPVQFEVQLKAKSNNNTLHDHPDQDQIVNFGVVDSGYLPGPTRHCIGKRSEVEFTISVLDRSIEATIISVQLVGGSSWPDHLQGKLVSRTASAIHQEIVLLDSQKQQDGKMPIDDGGFIQLSRRVVSVELAGQLIVQVLAFNSQQQVVDNDNDNKKDEIVAKHEIVFDPKEASLSVETCELQLGGGGGGGPCKLQISVAWSLVDRLPPVGYF >ORUFI12G00320.1 pep chromosome:OR_W1943:12:229566:234578:1 gene:ORUFI12G00320 transcript:ORUFI12G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKRSQANQRRNPDTFHFYHQAATAQTPAAVKVELSHLVLAILDDPVVSRLAILRPAPPMPLLGRLPTRTRPPPLFLCSFAAADDADVPSPAGNLAGAGEENCRRIAEILSRGRNPMLVGVGAASAADDFAAASPYRIIHVDPNTIDRSDLGFQTCYCSLMDSFVPFGGFLCDNYEENSLTANSCPQALRCQQCNDKYEQEVATIISASGITAEDHHQGGLPSLLQNGSMMGPNNGFDPVKVRDDRMVLNSKILNLRKKWNEYCLRLHQDCQRINRDPYKPFPRYIGVPADKERSANPSKGSESIGVQKDVIKPCAVSAVHSSSTARPISSPSVTNKRNEDLVLNLQARHSKSDENLQERGMQSQHGTLSNADNPDDHASPSSAAPVETDLVLCTPRDCSSKGSSSTCSKRVEDSERSVHLVPKKVDDLNLKHPQLSVQPNSCSWSSINVGKTSHSTLHSVASGGFSAFGQWQKRSPLAAQNSDLSNYKLLVERLFKVVGRQEEAVSAICESIVRCRSTESRRGPSRNDIWLCFHGSDSMAKKRIAVALAELMHGSKENLIYLDLNLQDWDDSSFRGKTGIDCIVEQLSKKRRSVLFLDNIDRADCLVQDSLSDAIKSGRFQDMRGKVVDINDSIVVLSRSMIHGSKNGLEEGLSFSEEKILATRGHRLKILVEPGRAITSGCPSGKVVVSPRHFLTKIQASLCSGSISKRKLSMSDDQEKLQESPSSLKRLHRTSSIPFDLNLPVDEDEPFDADDDSSSHENSYGNTEKSIDALLHSVDGSINFKPFDFDKLADDMLQEFSNILRKNLGAECMLEIDVGAMEQILAAAWKSEDKGPVQTWLEQVFARSLDELKLKYKHVSSSTLRLVPCEDTLPTVKGDGLGVLLPPRIILDC >ORUFI12G00330.1 pep chromosome:OR_W1943:12:235877:239180:-1 gene:ORUFI12G00330 transcript:ORUFI12G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATQEADCKASEDARLFFDAAKPPPFRIGDVRAAIPAHCWRKTPLRSLSYVARDLLIVAALFAAAATRIDVSVAWAAWPLYWAAQGTMFWALFVLGHDWRISHRTHHQNHGHIEKDESWHPITEKLYRKLETRTKKLRFTLPFPLLAFPVYLWYRSPGKTGSHFLPSSDLFSPKEKSDVIVSTTCWCIMISLLVALACVFGSVPVLMLYDKGSKASAGQILQGAREVRSAATSPVWRSAEELES >ORUFI12G00340.1 pep chromosome:OR_W1943:12:251926:254335:1 gene:ORUFI12G00340 transcript:ORUFI12G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAQWGNPNGIKCVKETLPVLNYTKPLDLNHDMRMYDLVAKVAKNMKNVPVSLIDITRMSDYRKDAHTSLYSIRQGKLLTPEQKADPQKYADCIHWCLPGVPDVWNQILYTRILSKSSPPSTHPSLPPQ >ORUFI12G00350.1 pep chromosome:OR_W1943:12:256945:267156:1 gene:ORUFI12G00350 transcript:ORUFI12G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIVDMAMPAQPLRRPITADSALMNPNTRILALKVVAEDEERPEHGALRRPVERPGRPGDGDVNPSGGCGEEGGDDEEVAGDVGEGAEGGLAPAVGGDGGADVADAEGRGLGGVEEETGVLGSLAVGLLGRRRRHCRRRVETLPVLNYTKPLDLNHDMRMYDLVAKVAKNMKNVPVSLIDITRMSDYRKDAHTSLYSIRQGKPGFSKKQADLFFPPDFQDDFPVAMQISQKYGLIYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSASGGFYAINRRGQVLHATVNDATIVPFVSSQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPDTVAKFQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANELPDIKRVMVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIVVQAAKEYSEQLGVDACIKLFEQFKSYEGLYFFLGAYLSSSEDPDIHFKYIEAAARTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPFYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWDKVLQPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLLMIWRNGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVAVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNESLNELYVEEEDYDRLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRADVALELAWMNNMVDFAFPYLLQFIREYTSKVDELVKDRIESQNEVRAKEKEEKDLVAQQNMYAQLLPLALPAPPGMGGPPPPMGMPGMPPMGGMGMPPMGPGPMPAYGMPPMGSY >ORUFI12G00360.1 pep chromosome:OR_W1943:12:269399:269848:-1 gene:ORUFI12G00360 transcript:ORUFI12G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASSVFAAFDKDGDGKVSASELRGCMAAALGEEVSEEEAAAILATADTDGDGLLDHHEFMRLSAAHQLQEPAEESLRCLREAFDMYAEEEETAVITPASLRRMLRRLGSEHQRLEMEECRAMICRFDLNGDGVLSFDEFRVMMLMA >ORUFI12G00370.1 pep chromosome:OR_W1943:12:272207:273965:1 gene:ORUFI12G00370 transcript:ORUFI12G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPSTASSPSSVPSPPPVTHYPNMRPWSTQCDLTDKLQQQHTVTMTKKKNPYAAAAEASRHPQHTALGSFLLSLSGDGEKLDRLRHLLRSITDGSGRVISDADWEQLNAMMIQERLMITKKIGRKRALPPPSAAPHDLAELSKRRSAYVKRQRFARSNLEELLLKYCRQHPWEPRYKLDVICGLEEPKSYHWRSYHANFLASANGTNVLNGGEANPPVRKLFFAEFWDSQSGRLCSFCDEPRTILHPPCATGSHSNDDDDADADVIPDYNVDDAIRMYGSVAPELSEGRDLVESDIIYFDHEKDAANLTQVLNDPSFKEEDNNLGRRRKQ >ORUFI12G00380.1 pep chromosome:OR_W1943:12:273998:275784:-1 gene:ORUFI12G00380 transcript:ORUFI12G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAVPEWLNKGDNAWQMLSATLVALQGFPGLALFYAGAVTRKCALTSAFMALYAMAATMPCWALWAHNMAFGHRLLPFVGRPAPALAQHYMLTQALLPFTLHLHSNGEVETAAVAPLYPSASMVFFQWAFAGVTVGLVAGAVLGRMSVKAWMAFVPLWTTLSYTVGAYSIWGGGFLFHWGVMDYSGGYVVHLAAGVSGYTAAYWVGPRRKEEEEMTMAGGGNLVAMVAGAGILWMGWTGFNGGDPFSANTDSSVAVLNTHICTTTSILAWVCCDIAVRGRPSVVGAVQGMITGLVCITPAAGLVQGWAALLMGVASGTLPCYTMNAAMSFKVDDTLGILHTHAVSGVLGGVLTGVFAHPTLCDMFLPVTGSRGLVYGVRAGGVQVLKQVAAALFVAAWNVAATSIILVVVRAFVPLRMTEDELLAGDIAVHGEQAYYFSSGTNFPRSNIKYSFLLVVISDEMPVPDLS >ORUFI12G00390.1 pep chromosome:OR_W1943:12:276752:279783:-1 gene:ORUFI12G00390 transcript:ORUFI12G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEQGSLGLTCGGEEWGCGSTAASTTGGLCRRLAHSQGSLDGGGGIGIGIGGEGSDGSGIEGGGGGSGERERAGRANIEDDVLLNPRATTPQSNIAAATASRNSTDDEDELRIRGDAEIQAQPPCSKLVISTKALICAPDSSAVTLSKTKKKPGLERKGKVVTDIKDAVEHYANAYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSPADEAKTGLHKLSKVELKEGPLEQFTHEMEPFLRKQGMPVRLNKGAVELVADHIVCEEGKPISPEAAQTLRLLGMQMATFRLYLVCRWSSDDFEVYKEGLAQLRAEADDSS >ORUFI12G00400.1 pep chromosome:OR_W1943:12:282323:289632:-1 gene:ORUFI12G00400 transcript:ORUFI12G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRLGVVVVASVAALTLKRANSGNRDGQARKGKDKTRYSEHGEKEEEKEERKLKKLKELEVEVVELRRKNKELLYEKRDLIVKLDAAQGKITESDVVSHAREEINKLRHANEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQAPSEKISARDLNKTLSPKSRERAKLLMLEYAGSERGQGDTDLETASSAPSSPRSEDFDNVSVDSSSSRYSFFGKRPNLMQKLKKWGRGKDDESSLASPTQSFTSDSPKSASQKPKGPLEALMLRNAGDGMGITTFGKREQDPSDIMDEANVASSFHLMSKTVQGFADDKYPAYKDRHKLATEREKAIKEKAEKARVQRYGGVNSSGIVPSPRSALPPKLAQIKEKAPTANAESSDQPSDNQNNPLVVTQLKLANIEKRAPRVXIGEIENRSTFLLAVKVDVETQGDFVESLANEVRAASFVNIDDVVAFVNWLDEELSFLVDERAVLKHFDWPESKTDALREAAFEYQDLLKLEHKVSSFTDDPKLACEEALKKMYSLLETVEQSVYALLRTRDMAISRYREYGIPVDWLSDSGVVGKIKLASVQLAKKYMNRVATELDALQGTEKEPNREFLLLQGVRFAFRVHQFAGGFDEESMKAFEELRSKMCTTQTSAPQIS >ORUFI12G00410.1 pep chromosome:OR_W1943:12:297179:298732:-1 gene:ORUFI12G00410 transcript:ORUFI12G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQVLRDAGAAVLTGATALAVLRFWEEVGNRALLDQKLCRKLVHITVGLVYFLMWPLFSADDVYAPFLASLVIAFNIIKVTLIGLGIVKDDGVINSMTRNGDPRELLKGPLYYACAMTLATVIFWRTSPISIAVICNLCAGDGVADIAGRQLGRIKLPYNPDKSYAGSIAMFLAGFLASILYMCYFHLFGFVEESWTMVIAFGVTSLSAAIVESLPISTRLDDNLTVPLASVLIGVLVFYYIGARNLCCMSADSSDISALVQNQMFLGRF >ORUFI12G00420.1 pep chromosome:OR_W1943:12:304243:305883:-1 gene:ORUFI12G00420 transcript:ORUFI12G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSAGKEEGSESKTAAANNDGSSTSSTTEEEESGESQRRTSSSSSVRPYIRSKNPRLRWTPELHLSFVRAVDRLGGQDRATPKLVLQLMNVRGLSIGHVKSHLQMYRSKKIDESGQVIGGGSWRSSDEQQYHHLQMQGGGDGGQAYNLGHLSLPAALHHRHITAGSGTILQSRVANAWSPWRCHGSYWLRAGHHLLVGSKPYYPPPPAEARANTSSNHPDFVQGSSSSPDDHTMNHQRPVVLKEMIYNEGSNHQGGPLNLDLSLDICPRGEKRKREFSWRKHEEDHDHTTIAIGGDQEAESCATGLSLSLF >ORUFI12G00430.1 pep chromosome:OR_W1943:12:310330:319091:-1 gene:ORUFI12G00430 transcript:ORUFI12G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWAIRVAYFIVFSFLVTARFGSCAPHSEEGRALPGYRESEQDQSIGSLSNWGEGKLIGRVFNLLLKENMFASETPSESKEHSSISESVPHDSAGFEPCRKCLAKTVHNATPRRLLQARELASNQTQTHPKSQSSPVQSSASHLVPRWAIYALPVAGVLFIAAVATAIYVFFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVNSSQQWSAQSEEQFRNKISVLSRVNHKNFMNLIGYCACEEPFTRMMVFEYAPCGSLFEHLHTYCLEHMSQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDQESVVYKFGILVLEVISGRRPFSEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVRRPEAGKRAISMGEVARLVRGIAGLSPEQAAPREKPLCLSNSGESSSSSPNSVEIPFRSSNIPMATASSPASVQDYPDLQEDDDDDFQDDDDDDLDDEDEEDDDQEPSPSPSDEARLESVLRRLTAEEVRIRVHDVEIRGCCRTRRAAVEAAVGSDLPRAATVRDLVRAAAAAADRIRRLGAFDTVSITLDAAPPGIPGNAAVIVLVDVAEARGRAAGELGIFANKGTRSCSVQGSVKLKNLFGYCETWDASGDLGLDQTVELSTGVAIPRIGAIPTPLVARISFLSEDWLKSSLKEHMMGVSVGLLSTMNHNLAYNLSWRTITDRALMSSNSIRGQLGHSLLSSIKYAYKVDQRDSRIRPTRGYAYLFSSQVGGLAPESKDARYIRQEIDLRVALPLGVLNGAVNAGVAAGIIHPLARGSTGSISPLSEQFYLGGNRSLMCRLGGPSSLL >ORUFI12G00430.2 pep chromosome:OR_W1943:12:310330:319079:-1 gene:ORUFI12G00430 transcript:ORUFI12G00430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWAIRVAYFIVFSFLVTARFGSCAPHSEEGRALPGYRESEQDQSIGSLSNWGEGKLIGRVFNLLLKENMFASETPSESKEHSSISESVPHDSAGFEPCRKCLAKTVHNATPRRLLQARELASNQTQTHPKSQSSPVQSSASHLVPRWAIYALPVAGVLFIAAVATAIYVFFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVNSSQQWSAQSEEQFRNKISVLSRVNHKNFMNLIGYCACEEPFTRMMVFEYAPCGSLFEHLHTYCLEHMSQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDQESVVYKFGILVLEVISGRRPFSEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVRRPEAGKRAISMGEVARLVRGIAGLSPEQAAPREKPLCLSNSGESSSSSPNSVEIPFRSSNIPMATASSPASVQDYPDLQEDDDDDFQDDDDDDLDDEDEEDDDQEPSPSPSDEARLESVLRRLTAEEVRIRVHDVEIRGCCRTRRAAVEAAVGSDLPRAATVRDLVRAAAAAADRIRRLGAFDTVSITLDAAPPGIPGNAAVIVLVDVAEARGRAAGELGIFANKGTRSCSVQGSVKLKNLFGYCETWDASGDLGLDQTVELSTGVAIPRIGAIPTPLVARISFLSEDWLKSSLKEHMMGVSVGLLSTMNHNLAYNLSWRTITDRALMSSNSIRGQLGHSLLSSIKYAYKVDQRDSRIRPTRGYAYLFSSQVGGLAPESKDARYIRQEIDLRVALPLGVLNGAVNAGVAAGIIHPLARGSTGSISPLSEQFYLGGNRSLMCRLGGPSSLL >ORUFI12G00430.3 pep chromosome:OR_W1943:12:314365:319091:-1 gene:ORUFI12G00430 transcript:ORUFI12G00430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWAIRVAYFIVFSFLVTARFGSCAPHSEEGRALPGYRESEQDQSIGSLSNWGEGKLIGRVFNLLLKENMFASETPSESKEHSSISESVPHDSAGFEPCRKCLAKTVHNATPRRLLQARELASNQTQTHPKSQSSPVQSSASHLVPRWAIYALPVAGVLFIAAVATAIYVFFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVNSSQQWSAQSEEQFRNKISVLSRVNHKNFMNLIGYCACEEPFTRMMVFEYAPCGSLFEHLHTYCLEHMSQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDQESVVYKFGILVLEVISGRRPFSEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVRRPEAGKRAISMGEVARLVRGIAGVVVFVSQFS >ORUFI12G00430.4 pep chromosome:OR_W1943:12:314365:319079:-1 gene:ORUFI12G00430 transcript:ORUFI12G00430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWAIRVAYFIVFSFLVTARFGSCAPHSEEGRALPGYRESEQDQSIGSLSNWGEGKLIGRVFNLLLKENMFASETPSESKEHSSISESVPHDSAGFEPCRKCLAKTVHNATPRRLLQARELASNQTQTHPKSQSSPVQSSASHLVPRWAIYALPVAGVLFIAAVATAIYVFFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVNSSQQWSAQSEEQFRNKISVLSRVNHKNFMNLIGYCACEEPFTRMMVFEYAPCGSLFEHLHTYCLEHMSQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDQESVVYKFGILVLEVISGRRPFSEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVRRPEAGKRAISMGEVARLVRGIAGVVVFVSQFS >ORUFI12G00430.5 pep chromosome:OR_W1943:12:316294:319091:-1 gene:ORUFI12G00430 transcript:ORUFI12G00430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWAIRVAYFIVFSFLVTARFGSCAPHSEEGRALPGYRESEQDQSIGSLSNWGEGKLIGRVFNLLLKENMFASETPSESKEHSSISESVPHDSAGFEPCRKCLAKTVHNATPRRLLQARELASNQTQTHPKSQSSPVQSSASHLVPRWAIYALPVAGVLFIAAVATAIYVFFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVNSSQQWSAQSEEQFRNKISVLSRVNHKNFMNLIGYCACEEPFTRMMVFEYAPCGSLFEHLHTYCLEHMSQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDQESVVYKFGILVLEVISGRRPFSEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVRRPEAGKRAISMGEVARLVRGIAGLSPEQAAPREKPLWWAELEIASSETA >ORUFI12G00430.6 pep chromosome:OR_W1943:12:316294:319079:-1 gene:ORUFI12G00430 transcript:ORUFI12G00430.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWAIRVAYFIVFSFLVTARFGSCAPHSEEGRALPGYRESEQDQSIGSLSNWGEGKLIGRVFNLLLKENMFASETPSESKEHSSISESVPHDSAGFEPCRKCLAKTVHNATPRRLLQARELASNQTQTHPKSQSSPVQSSASHLVPRWAIYALPVAGVLFIAAVATAIYVFFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVNSSQQWSAQSEEQFRNKISVLSRVNHKNFMNLIGYCACEEPFTRMMVFEYAPCGSLFEHLHTYCLEHMSQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDQESVVYKFGILVLEVISGRRPFSEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVRRPEAGKRAISMGEVARLVRGIAGLSPEQAAPREKPLWWAELEIASSETA >ORUFI12G00430.7 pep chromosome:OR_W1943:12:310330:314336:-1 gene:ORUFI12G00430 transcript:ORUFI12G00430.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSPASVQDYPDLQEDDDDDFQDDDDDDLDDEDEEDDDQEPSPSPSDEARLESVLRRLTAEEVRIRVHDVEIRGCCRTRRAAVEAAVGSDLPRAATVRDLVRAAAAAADRIRRLGAFDTVSITLDAAPPGIPGNAAVIVLVDVAEARGRAAGELGIFANKGTRSCSVQGSVKLKNLFGYCETWDASGDLGLDQTVELSTGVAIPRIGAIPTPLVARISFLSEDWLKSSLKEHMMGVSVGLLSTMNHNLAYNLSWRTITDRALMSSNSIRGQLGHSLLSSIKYAYKVDQRDSRIRPTRGYAYLFSSQVGGLAPESKDARYIRQEIDLRVALPLGVLNGAVNAGVAAGIIHPLARGSTGSISPLSEQFYLGGNRSLMCRLGGPSSLL >ORUFI12G00440.1 pep chromosome:OR_W1943:12:322869:325509:-1 gene:ORUFI12G00440 transcript:ORUFI12G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSLYCIFSYSWNNHFHVNIQAVVFTDISLEKAVEFDSYCHNHQPPIAFIKSEIRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMSELNDGKPRKIKNARPYSFTLEEDTTSYGTYVRGGIVTQVKPPKVLKFKTLKDAIKEPGEFLMSDFSKIDRPPLLHLAFQALDKFRNDLRRFPIAGSSDDVQRLIDFAISINESLGDSKLEELDKKLLHHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPAELKPENTRYDAQISVFGSNLQKKLEQAKIFMQLTYTFPEDAMTSSGAPFWSAPKRFPRPLEFSTSDPSQLNFILAAAILRAETFGIPIPDWVKNPAKMAEAVDKVIVPDFQPKQGVKIVTDEKATSLSSASVDDAAVIEELIAKLEAISKTLQPGFQMKPIQFEKDDDTNYHMDVIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLGGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMAWTVWDRWTITGNITLRELLDWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPPYRRHLDVVVACEDDDDNDVDIPLVSIYFR >ORUFI12G00450.1 pep chromosome:OR_W1943:12:330497:333085:-1 gene:ORUFI12G00450 transcript:ORUFI12G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRVAPSSLAAAAAAAPTYLAAAASTPASVWLPVPRGAGAVAVCRAAGKGKEVLSGVVFQPFEELKGELSLVPQAKDQSLARQKFVDECEAAINEQINVEFNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEERDHAEKLMKYQNMRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHSVASRCNDPQLTDFVESEFLEEQPSRRSLSMSPS >ORUFI12G00460.1 pep chromosome:OR_W1943:12:333945:338536:1 gene:ORUFI12G00460 transcript:ORUFI12G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDGDEHLLSLFASALSHRRFGDQELRLLDAALSAGADVPSLLHTRSSARCLLRKAAAQAFSSVPDLGTTLSTADFFARAFALAGDVESCLAMRYEALLLRQAEYSDDLHLQVSNEEWLTFAKDSLDNGFYTIASKAFANALVRIDPNHPEYLDSTNSILKKDKINDISGLQNLAKSLSALRSGEHFRAMGILILMSWMGIWDPETMRVSLASSTAVSLGT >ORUFI12G00470.1 pep chromosome:OR_W1943:12:347153:350761:1 gene:ORUFI12G00470 transcript:ORUFI12G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSALFSHLREVHKRSGVKEEKLIMKSPAAAGEAAGCHKPQATATNKMTVLQSPLGLRTILTSLVAFFIVVSSVSLLFDRGQDAQAQLAVEQHQHQEVLLKQKPASAAVGEQKSVVVDQSSLRSQEAQVQWTSELQDVATDSGDGGFDGEEDCNWSLGRWVYDNASRPLYSGLKCSFIFDEVACDKYGRNDTKYQHWRWQPHGCNLPRFNATKFLEKLRNKRLVFVGDSVNRNQWVSMVCMVEHFIPDGRKMRVYNGSLISFKAFEYNATIDFYWSPLLLESNSDNPIIHRVEYRIIRADRIEKHANVWKDADFIVFNSYLWWRKQRDGMMMKVMYGSFEDGDAKLDEVQMVDGYEIALKKLTEYLGANINKNKTRIFFAGSSPAHSWASNWGGDDNNKCLNETEPIQIEDYRSATTDYGMMDKAKEIFGTLEPKGIHVQILNITQLSEYRKDAHPTIFRRQYVPLTKEQIANPSIYADCTHWCLPGVPDVWNEFLYAYIMHK >ORUFI12G00480.1 pep chromosome:OR_W1943:12:356136:357860:1 gene:ORUFI12G00480 transcript:ORUFI12G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFLICLVAGCSICWFNTVCFVLCIRSFSSSNRPLALSLSISFNGLSAAFYTLFANALSPFSPSVYLLLNAIVPLVVSLVALPAILLCHPHDGHLHVVPKHDKHIFLGLYLLAFITGIYLVIFGSFNTTNSTAWVVLTGAMVLLALPLIIPASSSCSHVDTHDPEPTAQLNHDDSKKPLLLNNNHSTESNAMIQKTVEQPMQDCCLGTILEKGRMLVLCEEHSAKKLIQCVDFWLYYIAYFCGATVGLVYSNNLGQIAQSFHRESQLTMLLAVYSSCSFFGRLLSALPDFLHRKVSFARTGWLAAALVPMPMAFFLMWKLHDVNTLVAGTALIGLSSGFIFAAAVSVTSELFGPNSIGMNHNILITNIPLGSLLYGQIAALVYDANGLKMSVIDNHNGMIDTMVVCMGPKCYSTTFFVWGCITFLGLVSSIILFLRTRTAYSAANGQQVINTTAKFRVDRTP >ORUFI12G00490.1 pep chromosome:OR_W1943:12:356496:358839:-1 gene:ORUFI12G00490 transcript:ORUFI12G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGWYLKIAAGGAAIGAAMELFMIHTGFYEKGPVKEVWKCREKAAKEGTGGVDGKKHGELRFPLSSRFRVMCVHMRTTGGGRDDEREGEEDHGTCKDHPCSGVGCVERAEDH >ORUFI12G00500.1 pep chromosome:OR_W1943:12:359237:363596:1 gene:ORUFI12G00500 transcript:ORUFI12G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSPPMRSVAAAALVLTPTPTLNRLSFPFAHRHCPSTAAPRWRPARCRGKPAVEDVVHDDEEETWRREANPERKDGGEEMLGRGWFMVDEIGMEILTIALPAVLALAADPITALIDTAFVGHVGSTELAAVGVSISIFNLVSKLLNVPLLNVTTSFVAEQQAVDADYNSSVENSHIGEEISISQEKAGEQRKFLPAVSTSLALAAGIGLMETVALILGSGTLLDIVGVPVDSPMRIPAEQFLTLRAYGAPPVIVALAAQGAFRGFMDTKTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNSKIVLFSWNIVSGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIIGGVTGAALSTTLLLGFGYLSMLFTDDAAVLDVAQTGVWFVTVSQPINAVAFVMDGLYYGVSDFAFVAYSTLFAGAISSAVLLVAAPKFGLGGVWAGLTLFMSLRAIAGFWRLGSKGGPWKIIWSETE >ORUFI12G00500.2 pep chromosome:OR_W1943:12:359237:363596:1 gene:ORUFI12G00500 transcript:ORUFI12G00500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSPPMRSVAAAALVLTPTPTLNRLSFPFAHRHCPSTAAPRWRPARCRGKPAVEDVVHDDEEETWRREANPERKDGGEEMLGRGWFMVDEIGMEILTIALPAVLALAADPITALIDTAFVGHVGSTELAAVGVSISIFNLVSKLLNVPLLNVTTSFVAEQQAVDADYNSSVENSHIGEEISISQEKAGEQRKFLPAVSTSLALAAGIGLMETVALILGSGTLLDIVGVPVDSPMRIPAEQFLTLRAYGAPPVIVALAAQGAFRGFMDTKTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNSKIVLFSWNIVSGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIIGGVTGAALSTTLLLGFGYLSMLFTDDAAVLDVAQTGVWFVTVSQPINAVAFVMDGLYYGVSDFAFVAYSTLFAGAISSAVLLVAAPKFGLGGVWAGLTLFMSLRAIAGFWRLGSKGGPWKIIWSETE >ORUFI12G00500.3 pep chromosome:OR_W1943:12:363309:363978:1 gene:ORUFI12G00500 transcript:ORUFI12G00500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLFAGAVSSAVLLAVAPNKLGLGGVWAGLTLFMSLQAIAGFWRLRSKDGPWKIIWSVTE >ORUFI12G00510.1 pep chromosome:OR_W1943:12:364294:367551:-1 gene:ORUFI12G00510 transcript:ORUFI12G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKRPGTRRRRRPRRGWSHRFPAPRRARRSGAELAGVERDGTELGQVRERAEALRSNRSGSRNPLPVAAVRLLLLNTTTPSEPQLIGGYLLFLGVMPLPATPAYGAVHLPRHTGVSMDTSAEAIFVGPSPPVTADIEESLSDYTSMMEGWTKEHVLAARGLRNKVAPIRVEAMRLGIAADSAGITCFLDAFDECMKRVDLHLVTQKNSFQEFLGSPLQQTVPDTCAIVSSTKCVEVQHRREYETMHGTGSFPCNAAAPRKLRRACFCQKVWKPKEGARVGDVIDMIQRLGGARTTSAPAPAPYMLPVRSWQRHRWDVGGGLTADRIAELLDTRGPFIGTIWVCPWYDLFNSVEDEDLVYRSGCARSKMLQRLSKFCFGKDLVGLHSVLCFEYRICDGQLHIHILDNHETTGPQRWIHHSELEEVHTITVERINHLGDRHIRYPHSGVS >ORUFI12G00520.1 pep chromosome:OR_W1943:12:384382:388763:-1 gene:ORUFI12G00520 transcript:ORUFI12G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPSLLSSSPSSHLFQTSGKLGFRRLASGGRCSSPGSSRRPRRREGQSSVSILSSVGHPPSLSPVAIALFHWFALSVKPFGSAGSLCHAPLFRRLSPLSSLVTTLYFDMIKAPSLNWGRIANSMMGSAQDWAFGWQPWTGPALEDLLPQLSHEEQLRLHNHLREHERILKWHNKNSPPISSLHSEGERDFFIIIHVRYALHHYNAKHPDEEFDAVKPLMESSVRFRGQVWFHINFWARSRKSKKIKRFFAEVHYKPPSSSSSVCSYLPFPVPGAERPPSSSSVSSDLLLPLPIPVVEACTIIEEPLGQYRKSCAFCRGHLDILHPMGRKFVCGNDKDRFEQQLLPCGSIGLEMPFTCRLGPASVNSSHGEKED >ORUFI12G00520.2 pep chromosome:OR_W1943:12:384382:388763:-1 gene:ORUFI12G00520 transcript:ORUFI12G00520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPSLLSSSPSSHLFQTSGKLGFRRLASGGRCSSPGSSRRPRRREGQSSVSILSSVAPSLNWGRIANSMMGSAQDWAFGWQPWTGPALEDLLPQLSHEEQLRLHNHLREHERILKWHNKNSPPISSLHSEGERDFFIIIHVRYALHHYNAKHPDEEFDAVKPLMESSVRFRGQVWFHINFWARSRKSKKIKRFFAEVHYKPPSSSSSVCSYLPFPVPGAERPPSSSSVSSDLLLPLPIPVVEACTIIEEPLGQYRKSCAFCRGHLDILHPMGRKFVCGNDKDRFEQQLLPCGSIGLEMPFTCRLGPASVNSSHGEKED >ORUFI12G00520.3 pep chromosome:OR_W1943:12:384382:388763:-1 gene:ORUFI12G00520 transcript:ORUFI12G00520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPSLLSSSPSSHLFQTSGKLGFRRLASGGRCSSPGSSRRPRRREGQSSVSILSSVGHPPSLSPVAIALFHWFALSVKPFGSAGSLCHAPLFRRLSPAPSLNWGRIANSMMGSAQDWAFGWQPWTGPALEDLLPQLSHEEQLRLHNHLREHERILKWHNKNSPPISSLHSEGERDFFIIIHVRYALHHYNAKHPDEEFDAVKPLMESSVRFRGQVWFHINFWARSRKSKKIKRFFAEVHYKPPSSSSSVCSYLPFPVPGAERPPSSSSVSSDLLLPLPIPVVEACTIIEEPLGQYRKSCAFCRGHLDILHPMGRKFVCGNDKDRFEQQLLPCGSIGLEMPFTCRLGPASVNSSHGEKED >ORUFI12G00530.1 pep chromosome:OR_W1943:12:408145:408342:1 gene:ORUFI12G00530 transcript:ORUFI12G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLALPAAASSPSLPCYDGSRPEPRRAATVRPPMPLAGSGELIGGREKEPGETPAAAESSAVA >ORUFI12G00540.1 pep chromosome:OR_W1943:12:408972:413216:1 gene:ORUFI12G00540 transcript:ORUFI12G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNAENLAPLAQIRMGKVAKQQRRCGNETNRGEIGGGHRFIGVVLSVVGDVSIDSEASVVGNVPVDSEAPATYPSTARRLYWVEFPDSIPSRHDIYRLRAGQGMKGTREELASSDSDDRAASLARGLPAAGAGAARAWRTCDRGRRATSATQRES >ORUFI12G00550.1 pep chromosome:OR_W1943:12:413273:416142:1 gene:ORUFI12G00550 transcript:ORUFI12G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNVSTNVNLDGVDTSAVLADASKTVATIIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGIASILESKLSIPKGRFYLKFYDSKRSDFGWNGTTF >ORUFI12G00560.1 pep chromosome:OR_W1943:12:417012:417674:1 gene:ORUFI12G00560 transcript:ORUFI12G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPLLPTTVLPANTTATVSPAPTSVSSADAKPAATRAFLARLLDSVKRALSGARPWPELIDRSALSHSESLSDSGARLRKNLAYFRVNYAAIVALSLAASLLAHPFSLAALLALLAAWCFLYLLRPSDAPPLAAFGRTFSDRETLGGLIVASAFVIFLTSVGSLIFSALALGAAIVCAHGAFRIPEDLFLDEPDQANGAASVNLLSFITSATGGRV >ORUFI12G00570.1 pep chromosome:OR_W1943:12:420377:423305:1 gene:ORUFI12G00570 transcript:ORUFI12G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPFPATAHHRCTLLLLLTVTLLPSLAAAAAAHHHHLHDHAAGDGVVISQADYQGLQAIKHDLTDPYAFLRSWNDTGLGACSGAWVGIKCXELAASPSLVFLSLSHNKLSGHIPDTFAGSRAPSSSSLKESITGTYNLAVLELSHNSLDGQIPQSLAGLQKLQVMDLSGNRLNGTIPDRLGSLADLKTLDLSGNALTGEIPASLSNLTTTLQAFNVSNNNLSGQVPASLAQKFGPSAFAGNIQLCGYSVSVPCPASPSPAPSAPASPVQGVETTGRHRKFTTKELALIIAGIVVGILLLLALCCLLLCFLTKKRSGSGGKQTTSSKAAGGGAGGAAGGGRGEKPGSGAAEVESGGEVGGKLVHFDGPMAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKDFESEAAVLGKIRHPNLLPLRAYYLGPKGEKLLVLDFMPNGSLSQFLHARAPNTPISWETRMTIAKGTARGLAFLHDDMTIVHGNLTASNVLLDDHSNPKIADFGLSRLMTTAANSNVLAAAGALGYRAPELSKLKKASAKTDVYSLGVIILELLTGKSPAETTNGMDLPQWVASIVKEEWTSEVFDLELMRDGDNGPAGDELVDTLKLALHCVDQSPSVRPDAREVLRQLEQIRPGPEGGAGPSDEGGAGHVAAASAGNE >ORUFI12G00580.1 pep chromosome:OR_W1943:12:424274:427066:-1 gene:ORUFI12G00580 transcript:ORUFI12G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSGGDSQRFGKMVVESKFRRKRRRGGTTMILAGTTDTEGMMDQEEEEEDDDDQPADVLEDRKHQPADVLEDRKHRDGSVYRGTDYWSIYYRIADTNETPLKPMMLSDPTTDCRPNWSGCIVHSGCSMLQIFSLKLVSMAAPAIGDGPIQVYGFMAVRDHMDCLRNYVFNRGRDKPFIVNLSDPFILLSGPKRGFGMETPALLEYDIRIKRGDGEDDDLQLIDGAATISETELPPPYAQAYTRRIAGNYGSVNISLALLHNAIEATMHIQITEVRGSGGFNMSMACRVGQIPDEIKLFESVAIAKPCQLNKRFVLAIVKRGILVLDLKVKRSGASEEEEPVCMLRGLKAKAHSQVILPMIFDCATILVLQTAVRHRLAKVQGYLKAKKGSIRGNLMGKRVDFSARTVITPDSNITMNW >ORUFI12G00590.1 pep chromosome:OR_W1943:12:427649:428071:1 gene:ORUFI12G00590 transcript:ORUFI12G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTAVATALETSRRGSRTGAAAPKPSCADGIEDCDGNSSRDLPPQMASRTAVAMAVALETSRHGSRTEAAVAAPEPSRHRWCRGRRRRLLSPPVWMTPEPSRTDGVEDGGSDSSIDLSLQMASRTAAAAPEPSCGGRG >ORUFI12G00600.1 pep chromosome:OR_W1943:12:435589:439962:1 gene:ORUFI12G00600 transcript:ORUFI12G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGCSCWLLSLALLCSLAAAKEQYHEFVIRETTVKRLCKSQSIMTVNGQFPGPTLEIKEGDSLIINLINRGRYNMTLHWHGVRQMRTGWSDGPEYVTQCPVRPGQSYRRAPSGGTPTPPGSAPPSMAPSSSAPAMAPGEWWDMNPVDVVRAATRTGAAPNISDALTVNAQPGDLYSCSSHDTAFFPVTSGETNLLRFINAALNTELFTNLLRFINAALNTELFVSLAGHNMTVVAADASYTKPYTTSLLLLAPGQTTDVLVTFDQPPGRYYLAARAYASAQGVPFDNTTTTAIFDYGAANNASSTAIAMPTLPAYNDTTAATAFTTNLRGLRKAELPSRVDESLFFTVGVGLFNCTNATAQQCGGPNGTRFAASINNVSF >ORUFI12G00600.2 pep chromosome:OR_W1943:12:435589:439962:1 gene:ORUFI12G00600 transcript:ORUFI12G00600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGCSCWLLSLALLCSLAAAKEQYHEFVIRETTVKRLCKSQSIMTVNGQFPGPTLEIKEGDSLIINLINRGRYNMTLHWHGVRQMRTGWSDGPEYVTQCPVRPGQSYRYRFTVAAQEGTLWWHAHSSWLRATVYGALLIRPRDGTRYPFHVQPTRELAPILLGEWWDMNPVDVVRAATRTGAAPNISDALTVNAQPGDLYSCSSHDTAFFPVTSGETNLLRFINAALNTELFTNLLRFINAALNTELFVSLAGHNMTVVAADASYTKPYTTSLLLLAPGQTTDVLVTFDQPPGRYYLAARAYASAQGVPFDNTTTTAIFDYGAANNASSTAIAMPTLPAYNDTTAATAFTTNLRGLRKAELPSRVDESLFFTVGVGLFNCTNATAQQCGGPNGTRFAASINNVSF >ORUFI12G00610.1 pep chromosome:OR_W1943:12:440266:449466:-1 gene:ORUFI12G00610 transcript:ORUFI12G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPLLLPPLLPHSTTIVVFLSPLPHLQSCTKQAISKVSPLPATPHGSGFRSLFSIHYRIHFLKANFWFLTIVLDDPKMSSNSTLTDSLHERTIVFGLKLWVVIGISVGASLLGVLLILIVCLTIQTWIKRSRRTFKEIPITQIPSASKDIKEVRAVEEFLPNDFVVHDGLLLAIQNEPVEPVDKDVNQFAQEDKTIQGEDNSSSVPLHYVDNYDGIQSVSTCEQSSSHAPADSVPLPGLPEFSYLGWGHWFTLRDLELATNCFSKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQSLAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPPDEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNSEKRPRMDQVVRMLDSNEPIPQEERRQRQNHISNNSETEPLRGKSSSGKSDAPENEMRPPRSNLSLMARTTDAVPSPSSTVFSTYATLSAPALLYLCQNSCSASSSLISSRPPIPTQSRSFSRTTTGDDPFSEVNLAVMLISK >ORUFI12G00610.2 pep chromosome:OR_W1943:12:440266:449466:-1 gene:ORUFI12G00610 transcript:ORUFI12G00610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSTLTDSLHERTIVFGLKLWVVIGISVGASLLGVLLILIVCLTIQTWIKRSRRTFKEIPITQIPSASKDIKEVRAVEEFLPNDFVVHDGLLLAIQNEPVEPVDKDVNQFAQEDKTIQGEDNSSSVPLHYVDNYDGIQSVSTCEQSSSHAPADSVPLPGLPEFSYLGWGHWFTLRDLELATNCFSKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQSLAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPPDEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNSEKRPRMDQVVRMLDSNEPIPQEERRQRQNHISNNSETEPLRGKSSSGKSDAPENEMRPPRSNLSLMARTTDAVPSPSSTVFSTYATLSAPALLYLCQNSCSASSSLISSRPPIPTQSRSFSRTTTGDDPFSEVNLAVMLISK >ORUFI12G00610.3 pep chromosome:OR_W1943:12:440266:449466:-1 gene:ORUFI12G00610 transcript:ORUFI12G00610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MITPLLLPPLLPHSTTIVVFLSPLPHLQSCTKQAISKVSPLPATPHGSGFRSLFSIHYRIHFLKANFWFLTIVLDDPKMSSNSTLTDSLHERTIVFGLKLWVVIGISVGASLLGVLLILIVCLTIQTWIKRSRRTFKEIPITQIPSASKDIKEVRAVEEFLPNDFVVHDGLLLAIQNEPVEPVDKDVNQFAQEDKTIQGEDNSSSVPLHYVDNYDGIQSVSTCEQSSSHAPADSVPLPGLPEFSYLGWGHWFTLRDLELATNCFSKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQSLAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPPDEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNSEKRPRMDQVVRMLDSNEPIPQETGDDPFSEVNLAVMLISK >ORUFI12G00610.4 pep chromosome:OR_W1943:12:440266:449466:-1 gene:ORUFI12G00610 transcript:ORUFI12G00610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSTLTDSLHERTIVFGLKLWVVIGISVGASLLGVLLILIVCLTIQTWIKRSRRTFKEIPITQIPSASKDIKEVRAVEEFLPNDFVVHDGLLLAIQNEPVEPVDKDVNQFAQEDKTIQGEDNSSSVPLHYVDNYDGIQSVSTCEQSSSHAPADSVPLPGLPEFSYLGWGHWFTLRDLELATNCFSKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQSLAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPPDEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNSEKRPRMDQVVRMLDSNEPIPQETGDDPFSEVNLAVMLISK >ORUFI12G00620.1 pep chromosome:OR_W1943:12:450955:452538:-1 gene:ORUFI12G00620 transcript:ORUFI12G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYRSHLWGFTAKKEEAYSGENDERQQILQLIIEEIQPETSELPDGRIQVHQEIDAAVAELIEQEALDELEQLVGAQLEAPADQQNPVEQHQDEDDKLSLDNLLEQHRWEGALQRLMRMVRNGEAINGPVPDAPPQDIYVAHPEIVILLMAEEYLRLMRSGNAAAAMRYYHDKIEIIYSGNTGSAFVNGGVLKEIQDWVNAKRDTPSGKDEATTHMENTCQAIHDYLKLYFPAYRPQIGGKVRGHQVSKVWEFGERLGKDGGRCLACHKKVKGFNVTKLQNHLQGSVKRQGTQCPAINDYILSRLEQILKEMNEDQ >ORUFI12G00630.1 pep chromosome:OR_W1943:12:455442:458128:1 gene:ORUFI12G00630 transcript:ORUFI12G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVGTIRVAASIGVRLPVRWRPDWPLTSASSPYTRVGFSYVQAPSSVAPFIFTGFLWTAMRANALSSAQENIMDEAVNCSTEEQTQDKIIVNIHTALISAPSSKQEMGQMTCICSSSPDEGGHPRASIWGSHVILSHLPWQEAVSNIAMLTLRPLFVLWWEDKTKDMFICIGLGIDQLMF >ORUFI12G00630.2 pep chromosome:OR_W1943:12:455442:458128:1 gene:ORUFI12G00630 transcript:ORUFI12G00630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVGTIRVAASIGVRLPVRWRPDWPLTSASSPYTRVGFSYVQAPSSVAPFIFTGFLWANALSSAQENIMDEAVNCSTEEQTQDKIIVNIHTALISAPSSKQEMGQMTCICSSSPDEGGHPRASVLFGAPM >ORUFI12G00640.1 pep chromosome:OR_W1943:12:468732:472463:1 gene:ORUFI12G00640 transcript:ORUFI12G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPTHHAKRRRLALPPPPPPHLNDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLRPFRPDLLPAALARYPAISHLDLSLCPRLPDAALAALPAAPFVSAVDLSRSRGFGAAGLAALVAAFPNLTDLDLSNGLDLGDAAAAEVAKARRLQRLSLSRCKRITDMGLGCIAVGCPDLRELSLKWCIGVTHLGLDLLALKCNKLNILDLSYTMIVKKCFPAIMKLQNLQVLLLVGCNGIDDDALTSLDQECSKSLQVLDMSNSYNVTHVGVLSIKLKLDGCQFMDDGLKSIGKSCVSLRELSLSKCSGVTDTDLSFVVPRLKNLLKLDVTCCRKITDVSLAAITTSCPSLISLRMESCSLVSSKGLQLIGRRCTHLEELDLTDTDLDDEGLKALSGCSKLSSLKIGICLRITDEGLRHVSKSCPDLRDIDLYRSGAISDEGVTHIAQGCPMLESINMSYCTKLTDCSLRSLSKCIKLNTLEIRGCPMVSSAGLSEIATGCRLLSKLDIKKCFEINDMGMIFLSQFSHNLRQINLSYCSVTDIGLISLSSICGLQNMTIVHLAGVTPNGLIAALMVCGLRKVKLHEAFKSMVPSHMLKVVEARGCLFQWINKPYQVAVEPCDVWKQQSQDLLVQ >ORUFI12G00640.2 pep chromosome:OR_W1943:12:468732:472463:1 gene:ORUFI12G00640 transcript:ORUFI12G00640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPTHHAKRRRLALPPPPPPHLNDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLRPFRPDLLPAALARYPAISHLDLSLCPRLPDAALAALPAAPFVSAVDLSRSRGFGAAGLAALVAAFPNLTDLDLSNGLDLGDAAAAEVAKARRLQRLSLSRCKRITDMGLGCIAVGCPDLRELSLKWCIGVTHLGLDLLALKCNKLNILDLSYTMIVKKCFPAIMKLQNLQVLLLVGCNGIDDDALTSLDQECSKSLQVLDMSNSYNVTHVGVLSIKLKLDGCQFMDDGLKSIGKSCVSLRELSLSKCSGVTDTDLSFVVPRLKNLLKLDVTCCRKITDVSLAAITTSCPSLISLRMESCSLVSSKGLQLIGRRCTHLEELDLTDTDLDDEGLKALSGCSKLSSLKIGICLRITDEGLRHVSKSCPDLRDIDLYRSGAISDEGVTHIAQGCPMLESINMSYCTKLTDCSLRSLSKCIKLNTLEIRGCPMVSSAGLSEIATGCRLLSKLDIKKCFEINDMGMIFLSQFSHNLRQINLSYCSVTDIGLISLSSICGLQNMTIVHLAGVTPNGLIAALMVCGLRKVKLHEAFKSMVPSHMLKVVEARGCLFQWINKPYQVAVEPCDVWKQQSQDLLVQ >ORUFI12G00650.1 pep chromosome:OR_W1943:12:473412:476507:1 gene:ORUFI12G00650 transcript:ORUFI12G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKQGWKGANQDAMTTCQDFAGHKGQIFCGVFDGHGPLGREVARHVRDVLPMKLSSSLALKTEQDPSSNTDKEALEKSDCTSLSDTSNEKQLLSTWKNIFVKTFEDVDDDLRQNSGIDCICSGTTAVTVVRQGDHLIIANLGDSRAVLCTRDSKDRPIPVQLTTDLKPNLPSEAERILNCKGRVFAMDDEPDVSRMWLPDQDAPGLAMARAFGDFCLKSHGLICTPEVYYRKLSEKDEFLVLATDGIWDVLSNKEVIKIVSSATDHSKAAKQLVERAVRAWRRKFPTSMVDDCAVVCLFLKPSPSSEESTHVDAKAPQVVSFTGSFRKALGGGGGGEAEEGTNVWRALEGVARVNSVVRLPRMDAVLSWRRRSTSLEEDDDARID >ORUFI12G00660.1 pep chromosome:OR_W1943:12:485824:488572:1 gene:ORUFI12G00660 transcript:ORUFI12G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIASSSGILMDANGKANGSAPSALVAYFLGMGFSREMVFRAIKEIGNDNNNTFPHLLQLLPFLSGDTDSEQILELLLTYQILEEDEEEDVNWDEDDTVDNFDRATYSDGSGDEDFLQEMSEKDEKIKSLVSMGFPEDEAMRAITRCGLDASVDLLVESIYAPASAGNVYFTNLSDYEDTEFSSFGGRKKTKLIDGTKKKRERYRSRPQWNQVPFDGSHEEPMPLPNPMVGFSLPNDGLRSVHRNLPDHALGPPFFYYENVALAPKGVWTTISRFLYDIYPEFVDSKYFCAAARKRGHIHNLPIENRSPVLPIPPKTISEAFPSTKMWWPSWDPRRQFNCLQTYVASAKHTERIRCALGRFGDALPPAVQKSVLEECRKWNLVWVGKNKVATLEPDEMEFLLGYPRNHTRGVSRKRDIELLGIHSKLIQLHTTSLC >ORUFI12G00670.1 pep chromosome:OR_W1943:12:491407:491705:-1 gene:ORUFI12G00670 transcript:ORUFI12G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKQRVVAVIMVGGPTKGTRFRLLSLNVPKPLFPLAGQPMVHHPISACRRVWQI >ORUFI12G00680.1 pep chromosome:OR_W1943:12:493066:494495:1 gene:ORUFI12G00680 transcript:ORUFI12G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANASTVKPVVAACYDNNLVNSQGMFLGDEPLRFALPLLLVQVSIILTLSAAAHHVLRRLGQCRFVTHMLVGIFLGPSVLGRNPHLRTALFSERGTYILESVSLVALILFLFSMAVKTDLTLLRRPTARALAVGLAGSLVPLAVTLPVFHALSPSLPADLRGSSLITELAVRLSLSSFPHDVCLLAHSRKANLILLPFHKSSDGARSTANNAIRGINRSVMQYAPCSVGILIDHGVAAGSACATASNSTLQRVALYFLGGADDREALAYVARMAECGLVAVTVVRLKLRDWVGMGGRDEMRDEEALQEFWQRYSSAGAERVAYVEKTVEDGEGTASVVRAMSDKFDLLVVGRREGGGDGAEGSSAAALTSGLSEWSEFPELGVLGDMLASADFAAKVSILVVQQQAATRNDDDY >ORUFI12G00690.1 pep chromosome:OR_W1943:12:496152:501403:1 gene:ORUFI12G00690 transcript:ORUFI12G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGDDDGGDHYPYYKPTSRPHYQPPHYHGQPAAPPAPPQQQPLGPHGVTPSTVGVAALAHDLLNFESTSMVPDGLSQHVVSSRKAQKLLEAYKNTTPPPKTPADAAQLIARALNMIQRADLEVYDKCIGDGDGFTAYVSTTDPRESANVPLEVHELVIARTQARKCRDYQSADALLSSLDEAGYKGIDAPELKMPYGRESRNALVKLIGGKSVKIYVYDLDQFGRYVGANAEEWPCMALQDL >ORUFI12G00700.1 pep chromosome:OR_W1943:12:501603:504446:-1 gene:ORUFI12G00700 transcript:ORUFI12G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSQCDNKNSSRAVVAKPERLLLAGVAPSNRPPPLTVKLLHARLLRLDLLAALSPLLLRALSSSALHLHALRLHCLLPNPSHLTFPIALKSASRLPHPLRAGEQLHARSLKLPSHTNPHVLTSLLTLYARCGLLHRAQRVFDEMPHPSTVSWTALITAYMDAGDLREAVHVARNAFANGMRPDSFTAVRVLTACARVADLATGETVWRAAEQEGIAQSVFVATAAVDLYVKCGEMAKAREVFDKMRDKDAVAWGAMVGGYASNGHPREALDLFLAMQAEGVRPDCYAVAGALSACTRLGALDLGRQAIRMVDWDEFLDNPVLGTALIDMYAKCGSTAEAWVVFQQMRKKDIIVWNAMILGLGMTGHEKTAFTLIGQMEKSGVKLNDNTFIGLLCSCTHTGLIQDGRRYFHNMTKLYHISPRIEHYGCIVDLLSRAGLLQEAHQLIDDMPMPANAVILGALLGGCKIHRNAELAEHVLTQLIRLEPWNSGNYVMLSNIYSNRGRWEDAAKLRLDMKEKGVEKVPACSWVEFEGKVHEFRVGDKSHPLSDQIYKKLDELGLEMKTMGYEPTTEVVMFDVEDEEKEHTLVHHSEKLAIAFNLLVTGPGETIRVTKNLRVCSDCHTAIKLISRITHREIIVRDNNRFHCFRDGSCSCNDYW >ORUFI12G00710.1 pep chromosome:OR_W1943:12:507446:521052:-1 gene:ORUFI12G00710 transcript:ORUFI12G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELNKLFNRDESVEQSTPTGAAQEAQGEGEAPRLPPGGAVQDTKAPWFSFSGAAFLTRLASLRTTNNPAASRRLPAFVRSVDWRALRAKRLLLAGVAPSNRLPPLTVKLLHARLLLHRAQRVFDEMPHPSTISWTALITAYMDAGDLREAVHASEDSKIRRDDMRVNSVVVVVIGII >ORUFI12G00720.1 pep chromosome:OR_W1943:12:521193:525544:1 gene:ORUFI12G00720 transcript:ORUFI12G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSHLHAHALKLGTLAHTFNMNYLPIYYARRGLLDSALKVFDEMPHRNLVSWTAMVSASTRNGAPHLGFRFFVSMIRSGFCPNEFSLATMLTACHSMVAHSSNKLLIALSLHGVAVRAGLDSNPFVGSSLLLMYAKHGRIAAAQRAFAHIRNKDLTCWNAMLEGYVSNGFGHHAISTVLVMHHSGLAPDRYTYISAVKACSISAQWDLGRQLHCLVIHSMLESNTSVMNSLVDMYFRARQKETAASVFRKIRQKDTVSWNTMFSGFAHDEDDKAVFGYLIDMSRTGFKPNEVTFSVLLRLSGAKENASLGLQIFALAYRHGYTDNVLVANAVINMLFRCGLLDRAYGFFCSLTFRNIVTWNEIIAGYGLFSHSEDAMRLFRSLVCIGERPDEFTYSAVLSAFQEAHGARDHEQIHAIILKQGFASCQFVSTSLIKANAAAFGSVQSSLKIIEDSGKMELVSWGAIISAFLKHGLNDEVIFLFNLFRGDSTNKPDEFILATVLNACANAALIRHCRCIHSLVLKTGHSNHFCVASAVVDAYAKCGEITSAESAFTAVSSATNDAIMYNTMLTAYANHGLIHEALNLYEEMTKAKLNPTPATFVAILSACSHLGLVEQGKLAFSTMLSAYGMHPARANYACLVDLLARKGLLDEAKGVIDAMPFQPWPAVWRSLVNGCRIHGNKQLGVLAAEQILRMAPSSDGAYVSLSNVYADDGEWQSAEETRRRMVQNNLQKVHGYVALEYANSGLLNEKSDVYSFGWFCWKLLQVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQEERRQRQNHISNNLETEPLRGKSSSGKSDAPENEMRPPRYKNRSFPPK >ORUFI12G00730.1 pep chromosome:OR_W1943:12:526411:530074:-1 gene:ORUFI12G00730 transcript:ORUFI12G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPLPPETANTSPAPNGATAGIRVENCYVFKSRLQEYAQKTGLQTPEYHTFKEGPSHEPVFKSTVVINNTSYDSLPGFFNRKAAEQSAAEVALMEIVKSIPANANIPAVVHNSTQVLYEYNRKLVVKELLNSLFTVQDLXRTARALNSLLAAICSSPAFLRFAPKVLLLAAPSVSPDATTFHILTSTLCQAHRPAAAADLLCCMPSLLLDPDPASCRAVLSSLCQYASAQDAVAFLDKMCHWGISPSRSDYHAVFEALLQEGKVVEAYEVMKNKMGSNRVAPALAYFKLTMQAFSKCLEFDSVEEVFDEMLLRGLVPDVDVYNVYIGALCRKCDLARARQMMTCMEHAGCPPDVRTFGVVVAGCMSAGDMGTVRELVQEATRRGLQWDPPALSELIGLLQAGGGATQAQELLLEPLFVHDAPQETGLCKNLLQEYAQKMNYAIPSYICTKSASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGSANGATKYIVVPGKRVGKEVEKRPIETPKPLKVKKGGFKKKWNKRKFMKKDGQAVDVEKDEARVAGDAHDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEAEPPRDIEMVQPDKENQHSDAALVQPDDEARVEQEPSRDISVVQPNEEAISGKQEPSIDAAILQPKEEASSVKQEPFIDTAMLQACKEAGSVELGPARDTVISQLNEQDRAVKQEPAGDIVVPQPDVHARVVKE >ORUFI12G00740.1 pep chromosome:OR_W1943:12:532132:534123:-1 gene:ORUFI12G00740 transcript:ORUFI12G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRPHVLNSPDGLADPLLSSSAAPSNMGLDILPQSLIYRWSCGHFSRPLLTGSDDNEEANARREERERIAMDCIAKCQRSCDGNVRIWRNYTQKGGQKLVTAFSSVQGYRSAGRSIVFDWQQQSGYLYASGDMSSILVWDLDKEQVNTIQSTADSGISALSASQVRCGQFAAGFLDASVRIFDVRTPDRLVYTARPHAPRSEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRASEPYLTIEAHRGSLMALAVHRHAPVIASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHRYKSLLAAGAGDNALVSIYAEDNYQVR >ORUFI12G00750.1 pep chromosome:OR_W1943:12:537783:543116:-1 gene:ORUFI12G00750 transcript:ORUFI12G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRVFQNGASLFQGLVERARKTVRGSANDIGWLQQDQSLPPTEDGTARFLEILDACEKHSGVFRLAPQGGGLDDLGLFSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLRQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLNEEPRDQADTSQCSEQLLLGPMGKSPFWSVSMACGCWPANAEEKTWSNQGALILI >ORUFI12G00750.2 pep chromosome:OR_W1943:12:537783:543653:-1 gene:ORUFI12G00750 transcript:ORUFI12G00750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQKSLDSGRKKAARRPAGHGTVDITGKEKRFFPLFPNPNPWERIQPLMDKEPTMEDLPSTLGQPSTSASSVDARYSADRTEDSQLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISEEGQELATLPPASTVGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRVFQNGASLFQGLVERARKTVRGSANDIGWLQQDQSLPPTEDGTARFLEILDACLFSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLRQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLNEEPRDQADTSQCSEQLLLGPMGKSPFWSVSMACGCWPANAEEKTWSNQGALILI >ORUFI12G00750.3 pep chromosome:OR_W1943:12:537783:543056:-1 gene:ORUFI12G00750 transcript:ORUFI12G00750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPSTLGQPSTSASSVDARYSADRTEDSQLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISEEGQELATLPPASTVGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRVFQNGASLFQGLVERARKTVRGSANDIGWLQQDQSLPPTEDGTARFLEILDACLFSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLRQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLNEEPRDQADTSQCSEQLLLGPMGKSPFWSVSMACGCWPANAEEKTWSNQGALILI >ORUFI12G00750.4 pep chromosome:OR_W1943:12:540480:543653:-1 gene:ORUFI12G00750 transcript:ORUFI12G00750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQKSLDSGRKKAARRPAGHGTVDITGKEKRFFPLFPNPNPWERIQPLMDKEPTMEDLPSTLGQPSTSASSVDARYSADRTEDSQLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISEEGQELATLPPASTVGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRVFQNGASLFQGLVERARKTVRGSANDIGWLQQDQSLPPTEDGTARFLEILDACEKHSGVFRLAPQGGGLDDLGLFSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLRQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLNEEPRDQADTSQVCEALLTLLVEVAQKRRHEMAMKDE >ORUFI12G00750.5 pep chromosome:OR_W1943:12:540480:543056:-1 gene:ORUFI12G00750 transcript:ORUFI12G00750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPSTLGQPSTSASSVDARYSADRTEDSQLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISEEGQELATLPPASTVGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRVFQNGASLFQGLVERARKTVRGSANDIGWLQQDQSLPPTEDGTARFLEILDACEKHSGVFRLAPQGGGLDDLGLFSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLRQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLNEEPRDQADTSQVCEALLTLLVEVAQKRRHEMAMKDE >ORUFI12G00750.6 pep chromosome:OR_W1943:12:540480:543056:-1 gene:ORUFI12G00750 transcript:ORUFI12G00750.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPSTLGQPSTSASSLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISEEGQELATLPPASTVGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRVFQNGASLFQGLVERARKTVRGSANDIGWLQQDQSLPPTEDGTARFLEILDACLFSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLRQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLNEEPRDQADTSQVCEALLTLLVEVAQKRRHEMAMKDE >ORUFI12G00750.7 pep chromosome:OR_W1943:12:540480:543056:-1 gene:ORUFI12G00750 transcript:ORUFI12G00750.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPSTLGQPSTSASSVDARYSADRTEDSQLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISEEGQELATLPPASTVGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRVFQNGASLFQGLVERARKTVRGSANDIGWLQQDQSLPPTEDGTARFLEILDACLFSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLRQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLNEEPRDQADTSQVCEALLTLLVEVAQKRRHEMAMKDE >ORUFI12G00760.1 pep chromosome:OR_W1943:12:544903:548132:-1 gene:ORUFI12G00760 transcript:ORUFI12G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEAASTSEAEAEGRGKPIVVRVKRKPSQTRPDAFWLEINERPVKKAMLDFSSLSVSEPSSAPNKASEEPRVKKLLVQHIETVHHSEAVQDVLHSLLHSDLDAKEIKSKTKEWNNRTKQDKKQDQLRSAARQRHEDLGRNARFAQIWRSRKGDRNEVDESLREICHLYDAVQVDSDEEKHPTEPRITSFEEGAILCNFLPLIREHLPSAAEEIESDIISLAQSEDSDVYDIYTVKEVDDDTTMEGTSSAPYPLLQVDNDDDVCYDDDDPYGTDDSNAEDNPLYDYPEELSEDEDDDSNSENPFSELDGSDPEYEKEEVEEERDEDGR >ORUFI12G00770.1 pep chromosome:OR_W1943:12:548309:551164:1 gene:ORUFI12G00770 transcript:ORUFI12G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSLSLVVSAPPRPLSGEGDEEEEGDIAMVAAQSFVSTQDSASDTVVDYSVNEDEFHKIRLLHCDFFIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPMPQKYLRCTKKNFGRYHVSEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRCNDPDFFLDFEEIYVIDSKARSITRAKVVVSVPEGKKRDRRNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWAKSRQDVEKHFRKLRDFDYSNWF >ORUFI12G00770.2 pep chromosome:OR_W1943:12:548028:551164:1 gene:ORUFI12G00770 transcript:ORUFI12G00770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDILALDRARKKKKKKSSDMAATVSLSLVVSVSGFPYPFPSPLSKTLANPSSSRSLLLAAPLSRGATPLPLLRRDVSAAYGDDDMDDDFGDFDLDDGDGVGDDEDLDNEQDYDVDYDRLLAPVKAPPRPLSGEGDEEEEGDIAMVAAQSFVSTQDSASDTVVDYSVNEDEFHKIRLLHCDFFIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPMPQKYLRCTKKNFGRYHVSEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRCNDPDFFLDFEEIYVIDSKARSITRAKVVVSVPEGKKRDRRNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWAKSRQDVEKHFRKLRDFDYSNWF >ORUFI12G00780.1 pep chromosome:OR_W1943:12:551834:552534:-1 gene:ORUFI12G00780 transcript:ORUFI12G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLHQMGAYYYYKDMPRVGDPDAHLVPRVFSEIAYVYCIHYFSPNKTSLYLLSDWYDLFGGCLLMACNAMYLVLDKIDSPNARPWSRGRF >ORUFI12G00790.1 pep chromosome:OR_W1943:12:571210:573079:1 gene:ORUFI12G00790 transcript:ORUFI12G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKDHKKATALEEKLELLRAVTKSSAANETSILVDASKYIKELKDKVSQEPEQLGSTSSSMPMPRVSVSSVELEKKRGFRINVSMEKSQPELLTSVLEAFEELGLDVLDADVSCADDTAFRLEALGSSQSEAAERSVDEQMVRHAVLQAIKKCMDGSSI >ORUFI12G00800.1 pep chromosome:OR_W1943:12:573493:574020:1 gene:ORUFI12G00800 transcript:ORUFI12G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADLAPRGWIRRLHAGSGQSKPLPCGNDGKRRWRRLAIGRLVAASAGGRHARKTRWRPVVEAAVAKAGAGVTMAGAGASGGGSVEPAGASARPRLPPPPPAVGSGGYGLDGLCVVAGPGWRCADPQLVVGSVEPAAGYGLDSCGLRCQRPCPAPSSLPYPPSSLRRSITVMSL >ORUFI12G00810.1 pep chromosome:OR_W1943:12:574210:577104:-1 gene:ORUFI12G00810 transcript:ORUFI12G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEICLSKLPQLIADPNAEFQTGLCNTGSQQLNLREMHREADHHHRLLATLARHRRLAAAATLFSSTLRTARALNSLLAAICSSPALGNASTPHRKAFLPQVPAGAFWGKEALEEGGSLKVAAIGRPHRVFFNPTITIACKFHALQQKEASKEEGPLNNVVEDMPRKREEKNMELDKRKVVDGGILQMEFQQLR >ORUFI12G00820.1 pep chromosome:OR_W1943:12:581966:583635:-1 gene:ORUFI12G00820 transcript:ORUFI12G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMYRLPRNSICAACYEGAKAIIAFFNDDDDEQGDADQGSVKSRRGLAKLNSTTKGLRDAWEEVKRMRYREEDAKQRASFLQEGFAAAWKDGIHTDIAVRPGTGPPIKAHKAILAARSEVFRHILAGDDDCKAPAGDSLSLPELTHDELSHLLAFLYTGSLATCTEERHLHALLVAGDKYDVPFLRRACEARLAAGVEAGNVLRTLEVAELSSSAALMERAMETVVEHAEKVVFSPEYEEFAVRNAALCVQITRALLANKTFPAKTP >ORUFI12G00830.1 pep chromosome:OR_W1943:12:584970:585394:-1 gene:ORUFI12G00830 transcript:ORUFI12G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSSSVQSWVEEHKLASIGGLWATAVGASVAYGRRKTPQMRLIHARLHAQALTLAVLGGAALAHHYYNPSTNTNNKSSSSLDYDFYSQLPAATTDDGQENERWSW >ORUFI12G00840.1 pep chromosome:OR_W1943:12:587224:589689:1 gene:ORUFI12G00840 transcript:ORUFI12G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAATTTPPPSERHRSPKVKRQRSAAAQPLGDVTNLLLPSTPTNPITARPRPLPSDTTAAASTCSASPSHTPVSKPSSATAAEERSLVKSAISTVYTRRNTTQKRRRTNDNTPFPAGTASCPPPATLATKPLRLLRTKSTRMENTSSGKHMLPEDFVKNQRAYFEEVDAFELPEEEASETDLE >ORUFI12G00860.1 pep chromosome:OR_W1943:12:594061:594881:-1 gene:ORUFI12G00860 transcript:ORUFI12G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGQLEAALLLIMQRHHHHSLHQRKITDREKIDAVRSAARVADLLVATVDGGAQELYINERRIEIEARALLATIARYKKQTDQWLAATNAINSVLKEIGDYENWMKIMDFDCKSINAAIRNIHHS >ORUFI12G00870.1 pep chromosome:OR_W1943:12:604165:604797:1 gene:ORUFI12G00870 transcript:ORUFI12G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEELDGVGDGGGAGWWRRGRTERRGRSYEPAGVWGRSCARGLPSSSSSSSATATASPAFSARRNFAGFTRRYPTPLAVVLELVFFYLPRAPAARRRRGARLAPRHPPLPPHPQLRDALHAAHPDLVFRLFFPDFLGDAATVAFLVRACSSEGCPLDGLRLQRVRSWAALGRTREQSSDRNASMAAAAARMCHSMALLGWSTPRRSWMS >ORUFI12G00880.1 pep chromosome:OR_W1943:12:604506:604931:-1 gene:ORUFI12G00880 transcript:ORUFI12G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWRTSRVACVVAGEKDKHSKVVTSRGLRDRCVRLSVPMAIAFYDIQDRLGVDQPSKAIEWHIRAAAAAIDAFLSLDCSLVLPNAAQLLTRWRRRPSSGQPSEEQARTRKATVAASPRKSGKKRRKTRSGCAAWSASRS >ORUFI12G00890.1 pep chromosome:OR_W1943:12:605334:608427:1 gene:ORUFI12G00890 transcript:ORUFI12G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKVASFLLLLLIVTCGAAQGQGNDFVVLDLKTTEVGEDASPMYKEQIALTKIPVTLLRSKHSSLCSACENITSEAVNFLSEKQIQDKIMTILHDTCSQTFSFEQKCLETMDSYATLVFAKIAEIKPDEFCKQYGLCRDMALLSAVKSESTCVFCHHIIDEIMSKLKDPDAEFEIIQLLLKECNKIEGHQQQCKRMVLQYVPLVLVNGEKFLEKNDVCAMIQACDAGKRKAFNLFSARKLVRDA >ORUFI12G00890.2 pep chromosome:OR_W1943:12:605817:608427:1 gene:ORUFI12G00890 transcript:ORUFI12G00890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKVASFLLLLLIVTCGAAQGQGNDFVVLDLKTTEVGEDASPMYKEQIALTKIPVTLLRSKHSSLCSACENITSEAVNFLSEKQIQDKIMTILHDTCSQTFSFEQKCLETMDSYATLVFAKIAEIKPDEFCKQYGLCRDMALLSAVKSESTCVFCHHIIDEIMSKLKDPDAEFEIIQLLLKECNKIEGHQQQCKRMVLQYVPLVLVNGEKFLEKNDVCAMIQACDAGKRKAFNLFSARKLVRDA >ORUFI12G00900.1 pep chromosome:OR_W1943:12:609474:617662:1 gene:ORUFI12G00900 transcript:ORUFI12G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTRHRLRDAAVASVVAVLPHEISPLISAASTFFFILSAYFAVLPLRDEGAISLGLSSLPGLFAGSLLLTLVAAPVASLAFSLPSIPKPRALVFIHRFFSLSLLVFFVLWFASTPGHSPSISQSSEDASNKPPGWGNHSWFYILVRISLFLWVSLLNLIAISSTWARVIDIMDSESGSRLFGFIGAGATLGQLFGSLFAATMAWLGPFLLLFSSLLMELAALSSKGICTDDTHGSMELSSTIAEQSQNTEADDEMSSLVTSQGLPSQVSESQKTKPEIFVMFEGFWLIARSPYLMYISLFLWLSAVVSSFFYFQKVTIVATTISSPTARRRTFALINSFIAVFILAGQLTLTGRILTIAGVTVAICASPFIATLNMVALALWPTWVAVAVTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKVCIDVVVQRLGDATAAGIYTLLRVASNGISFGPAPDKPCQGSAGQPNPPVLSRVPASWSTLSSAMSP >ORUFI12G00900.2 pep chromosome:OR_W1943:12:609474:617662:1 gene:ORUFI12G00900 transcript:ORUFI12G00900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTRHRLRDAAVASVVAVLPHEISPLISAASTFFFILSAYFAVLPLRDEGAISLGLSSLPGLFAGSLLLTLVAAPVASLAFSLPSIPKPRALVFIHRFFSLSLLVFFVLWFASTPGHSPSISQVSLLNLIAISSTWARVIDIMDSESGSRLFGFIGAGATLGQLFGSLFAATMAWLGPFLLLFSSLLMELAALSSKGICTDDTHGSMELSSTIAEQSQNTEADDEMSSLVTSQGLPSQVSESQKTKPEIFVMFEGFWLIARSPYLMYISLFLWLSAVVSSFFYFQKVTIVATTISSPTARRRTFALINSFIAVFILAGQLTLTGRILTIAGVTVAICASPFIATLNMVALALWPTWVAVAVTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKVCIDVVVQRLGDATAAGIYTLLRVASNGISFGPAPDKPCQGSAGQPNPPVLSRVPASWSTLSSAMSP >ORUFI12G00910.1 pep chromosome:OR_W1943:12:617209:620126:-1 gene:ORUFI12G00910 transcript:ORUFI12G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATAPCFPATPGLPARGAVAARSRMAAGGSRSQRRRSSSGGFLCRSSTTGSSRMEDYNTAMKRMMRNPYEYHHDLGMNYAIISDSLIVGSQPQKPEDIDHLKDEEKVAFILCLQQDKDIEYWGIDFQTVVNRCKELGIKHIRRPAVDFDPDSLRTQLPKAVASLEWAISEGKGRVYVHCTAGLGRAPAVAIAYMFWFENMNLKTAYEKLTSKRPCGPNKRAIRAATYDLAKNDPHKESFDSLPEHAFEGIADSERRLIQERVRALREA >ORUFI12G00920.1 pep chromosome:OR_W1943:12:624596:629928:1 gene:ORUFI12G00920 transcript:ORUFI12G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCAVQRWSRRVATMLPWLVLPLILLWALSQLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSLWRARRSARLREERRAHALQLHKLRKTATRRCRNCNNPYRDQNPGGGKFMCSYCGHVSKRPVLDLGPAGTLPTGWPCTQDWPNAAGDPAYWLDLRCSSDNLYSGFSWRLFSSFCVSMRWFWREVLRFGSSGDGDGLGRDGKRLAKEGENGAKAEESRVEKAKRKAEEKRLARLEKEMLEEEERKQREEMAKLVEERRRLRDEKAEAEERSKGATPVGEKDPRKEVERRRQERRRKDEKDKGSSKSNSDCEDIERRVTREGERKRDSDRRNEPEKRDATRVGAEGHKPYNFDANNQGSKTVQSKAKYFGRMTGVQSKAKYFGRMTGGLLSSSRGFGGGSFFGRSAQTSAPQVNKVTKPLVTVTDQSNVVKRDAQPPATAKSATAGGTTNSWTNVSPNVQSQPTGLKKSWHQLFSRSASVSPCPDVPATAREMNGQPEPYGAQISNAQIFLSQYPPLDSNPSSSRCMQFPGFPPVNGAPANMSLSHFPAGHMPYYCEPEPTVFEEPEQFEDPCYDPDAIALLGPVSESLDNFPLDLDSGFISSDITKETHAKPSPIESPLSRSRTFEDNPIRRSTGKGPNGSILPEASNEHGGTWQMWGTPLVQESLGLRGPQTEWLLPNTNQFNHGASHLNGGTRSSVGSGLDDNDLWLQKAPFQQMPLDTRSLFLSHDVSENAIHNDLDFGSPNKSARLHPIGPPGHSWSKEAVVLNGPQEASKICSPTGAHVGGGFFSTNPDVQSVWSFNQKETT >ORUFI12G00930.1 pep chromosome:OR_W1943:12:634962:638000:1 gene:ORUFI12G00930 transcript:ORUFI12G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVILIFLSATIAGFFLIRGLNADPDLLHDDADADASESPRERAPVPLHSKVGSALKTGFWTMVDMASGKYLWRTLVSPPTKCESEKVQALVDHLWYHGEATSAGQ >ORUFI12G00940.1 pep chromosome:OR_W1943:12:637879:638865:-1 gene:ORUFI12G00940 transcript:ORUFI12G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTQDATKSYIDHDGKRPHPGALHFMDRFRNFPCPLLNLQPTPMHHRNERSLDCVTLLGDDETKILTADNYGHTVLFDAASYSVVHFPKLNCSKGYDAMAVSINRAAPQEPDCLYVLNLRTHPTTSNHCFEVLSYGGFCERIPIWRFLPPPPFTTTTQTTITSYTVVGGDTIYVSSKLCGTHAFDTVSRQWRPISSLWSMPFLGKAEYVPELKLWFGLSCHHPHSLCACDLTNIAQGQLHTWLDLDIPESWSPIQLDLISLGSGRFCVAKMFSSMMQDDEIDMEFAVLTGLQMLPPRGTKDDQQVPWMVKHKSIYYPFGYYNIKRVF >ORUFI12G00950.1 pep chromosome:OR_W1943:12:640162:642193:-1 gene:ORUFI12G00950 transcript:ORUFI12G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDCTGFSDYDDDDAMDSDLIQGKFAVLTGLQMVRPLCTVEAVIVGVDDDSGDLLDVGGGGL >ORUFI12G00960.1 pep chromosome:OR_W1943:12:642252:660726:-1 gene:ORUFI12G00960 transcript:ORUFI12G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVEMVYTWPDLDIPRSWLPFQLKLINLGSGRFCAVKIFTSGGYYNDSDDDMIHSDFAADFAVFTGLQMLRPHGKDDPRQGIASKSLAEPFGRLTTATPFGVVPLPEGVVLAYPSPFPTILQVKTLLRLPNERWRRSTSRPPWGHRFGETSSCKDVCYGVGVDVYSFGMCMLEMLTNEYPYSECDNPAQIYKKVTAGKLPDAFYLLTDADARRFIGRCLVDAAHRPSAEELLLDPFLSPPQNHDDHNTIAHATAPPPPLPLACSNSSEEQEEEEAPAAKTTGMAITGKLNKEHDTIFLKVQIGGGGNVRNIYFPFDVANDTAMEVATEMPIHSTYAFDTVSHEWRRLGSWTMPFHGRAEYVPELNLWFGLSARHPYSLSAFDLLSDDSSVAAKPPTVHHTWVDLDIPQSCFAIFTGLHMVRPRGKHDDVQMIKHKSMRFLNLVVENARSGLYSLRHIPANHLFYPSTRAAEEATAKSQESFNAYVKEHHGRKHPGLHTLEMLGKLPSPMLNFEPTPWDGQRRYRNLEFASLLGNENRILFADHSGHTIVFDADSSTVFAFPNLISDKGCAAISLSIKNNNTNKNISGGMWDEDSLYVMSQSADPETKDYCFEVLNYTSSCKDFRGRTPYWSSLQPPPFANYMHADITSYTVVDSSTIYVSSMEPDATYAFDTVGHQWRRLGCWTMPFDGKAEYVPELKLWFGLSVDHPYSLCACDLLSDAAKPPTVQQQHTWVDLDIPESWLPYNIDLL >ORUFI12G00970.1 pep chromosome:OR_W1943:12:660893:662212:-1 gene:ORUFI12G00970 transcript:ORUFI12G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGKILMERYELGRLLGKGTFGKVHYARNLESNQSVAIKMMDKQQVLKVGLSEQIRREITTMRLVAHKNIVQLHEVMATRNKIYFVMEYVKGGELFEKVAKRGKLTEVVAHKYFQQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVISKIGYDGAKSDIWSCGVILFVLVAGYLPFQGPNLMEMYRKIQHGEFRCPGWFSRKLQKLLYKIMDPNPSTRISIQKIKESTWFRKGPEENRILKERTLNENTTKNVALVLGVRRKKNAHEDVKPMSVTNLNAFEIISFSKGFDLSGMFIVKEWRNEARFTSDKSASTIISKLEDVAKALNLRVRKKDNGVVKMQGRKEGRNGVLQFDIEIFEVTTSYHIIEMKQTSGDSLEYRQLLEEGIRPALKDIVLAWHGDE >ORUFI12G00980.1 pep chromosome:OR_W1943:12:672049:672495:-1 gene:ORUFI12G00980 transcript:ORUFI12G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLKELPIWQAFGFSLFTGALAGSILYAVCVLLLCCVDRRRRHAGAPPPDPKIWLPDHAHHRRRRDESSEMECSICLGELEEGERCCTLAACRHEFHKECIYRWLANRNTCPLCRHISLPPASSPPPAPPPHHASPPPSNVLAAAHV >ORUFI12G00990.1 pep chromosome:OR_W1943:12:674077:676186:1 gene:ORUFI12G00990 transcript:ORUFI12G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTPRSSVARSTCNVPGLVLGFSKLCKITKICAAPEFADTKTEFGDYCGGYDQRLVITRLFEEIGALKSTYIKLQKAHIPYNRPKIAFADEIITYELDSVTALQSLCSWNGSVGSLINDRWSLVQELEAETRKKDSDIMLLRRELDGLKSANSRLNKQISSSKPSVNHHKDYSIVLKKLTTPSAVLELFKVASTSVHDFAELIFSLISSPDHRCPNNADEHSPYKRYSLEAYLSRTMLAVHDGAEDDDELDLARFDRIMRCCDPLDALMEHPNSSFARFCRTKYLAAVSSEMEAAMFRNNLDVRAFVSRGGHPRTWFYRAFATMARSAWALRVAVTARRRCCGRGSVRMLYARRGSRYAAEYMDSVVAAAAAAAADAGRGEGDGVAFTVTPGMKVGETMVACRVLLCHDQHDTISDETDPKFR >ORUFI12G01000.1 pep chromosome:OR_W1943:12:680171:681801:-1 gene:ORUFI12G01000 transcript:ORUFI12G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATEMVKELDIADREPTEIAAMIEQEIVRLVPGYKQHEYSYADDDDDDDVNGQPNPFYYLSSSPTSSQGSLCGVGPTSSEGFPGPHGKFDWSRDYCYYPPSSVSVSDDDDSSTSSLSAAVSASSLHQQHCSASSSRLGPASASASEDGGGHAGRPRQREGEEERRRRRMSRNRSMVDMRSQLLHRTLVEELNKRLFFNTVGAVHDIGFRDPTTYGSSSSSSSSQHRRRSSSKVDHKHHYMF >ORUFI12G01010.1 pep chromosome:OR_W1943:12:687946:693993:-1 gene:ORUFI12G01010 transcript:ORUFI12G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNQGCSPALEPVPTPSNPDPSSISQEAWDPLEAAAGAVVARIQPNPPSEDRRAAVIAYVQGLLRFNVGCQIYLGLIYHKLGPLYFLVVQQLVDDFFKVDQKFEKYHLFKRSIMLIKAWCYYESRILGAHHGLISTYALEILVLYIFHLFHGTLDGPLAVLYRFLDYYSKFDWDNKGISLYGPISLSSLPELVTDSPDTVNDDFTMREDFLKECAQWFTVLPRNSEKNTQVFPRKFFNIVDPLKQSNNLGRSVSKGNFLRIRSAFDFGARKLGKILQVPDNFTVDEVNQFFRNTLKRHCSRVRPDVQEIALDFNGERADNDSSPLYSNNSFGDLSDEFNNISISDSSNHGSLRQNGWNYVAENKERKSVSGGWLASKATNPAATNSTGMTNGSDSCEPASPSITGAHSLPSEEGHDALDLFNESESGTKAGIKYDTNPSHHGMSTVSYAGRSHQSFEEVDNDDRGTIDSNWSDLTGDYTTNFNNLLYAQGFHQDYPMNQYYPFGPVYYQMPSPPPARYQNRRSSNGHSRNNVYGYAGTNGIGPAPCPPGYLIMRTYSQIDDSHRARGTGTYFPNPNLSKDRSPSGRGGRGKTHFLSHNHQRPHHYGRADMSADLTPSEELRHIYDPDANDLGIPSSLHISIPSPSSESPREIVHGNGFIQPPAKKLEFGTLGALPLEVTSQELGINRLNTASDSQPSASASPMSLAHNPGISSNQMRNAQPYHLKDNGDFPPLSS >ORUFI12G01020.1 pep chromosome:OR_W1943:12:694933:696489:1 gene:ORUFI12G01020 transcript:ORUFI12G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASYLRLLRRFPRRSAAGGHQLHALLAKLGLLHRSEFLSALLSRLPPSPSALSLLLEAPPAVLSPSLVCPVIVAFSSSQAPSSALLLFNHASSCSLPTPLPTFPALLKSCARAFNHSSRASAASVFVSKGMELHCRVLKLGCGKDRYVRNALVSMYGKFGRLGDARKAFDEMPDKNAVSWNALVGAHRAAADWMGADRVSQAMPVRNLSWWNAEIARNVRIGYMDEAARIFSEMPERDAVSWNSLISGYTKLGKYTQALGIFQEMQENGIQPTELTLVLVLGACAKIGKLDLGTNIHRNLQNKGIVADGLVGNALIDMYAKCGMLDLAKKVFDRMSMRDITCWNAMIVGFSVHGCSREALELFDSMKIEPNPVTFLGVLTACSHGGLVNEGRKYFNSMIEDYRIVPDVKHYGCMIDMLCRYGKIEEAYLMIKENPSTASSVLWKMLLAACRVHGHIDLAYMFFHELRELILTDNGGLVTISNVYAEAKRWDDVEHLRMKVRCNSALKHAAHSQMDVM >ORUFI12G01030.1 pep chromosome:OR_W1943:12:699670:700789:1 gene:ORUFI12G01030 transcript:ORUFI12G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGEHAGAVHHVRDGEGVRPHRRLLRRGQDPQLRRRHHRRPPDHLRLPQAADQRHGRPEARPRRRHPLQVRRQHPLRYQPFHRLLQGALSGSTSSDATIIMERWIHRSAALILRLLSAIFVVVREIELEMHVTPPFCYYLLTCCFVAV >ORUFI12G01040.1 pep chromosome:OR_W1943:12:701497:702069:-1 gene:ORUFI12G01040 transcript:ORUFI12G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNHAISALEARLMPSQHLRPAQHRSVVGRAPDRSGSATKCRMSAPRDMATFYKEAQYRWQG >ORUFI12G01050.1 pep chromosome:OR_W1943:12:702090:702798:-1 gene:ORUFI12G01050 transcript:ORUFI12G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNHLDGLSGGEVEEWLKRVNKRERRRKRRSKWSSVPPSCRTLHNRCRGHLDHSARELMFPTEGTLLISLALQGVGRTPAKMALSSQSSAPLQLALRAEPGTN >ORUFI12G01060.1 pep chromosome:OR_W1943:12:704237:704893:1 gene:ORUFI12G01060 transcript:ORUFI12G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARRTMALVALVAVVAAAVVAERASAAVSCGDVTSSIAPCLSYVMGRESSPSSSCCSGVRTLNGKASRLQLPQEHGLLLQEPQHGQRRLHPQQMWRLRRLPHQHLRRLLQDQLITSLIISS >ORUFI12G01070.1 pep chromosome:OR_W1943:12:709628:714921:1 gene:ORUFI12G01070 transcript:ORUFI12G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQLVLVALVAALLLAAPHAAVAITCGQVNSAVGPCLTYARGGAGPSAACCSGVRSLKAAASTTADRRTACNCLKNAARGIKGLNAGNAASIPSKCGVSVPYTISASIDCSRVS >ORUFI12G01070.2 pep chromosome:OR_W1943:12:713449:714921:1 gene:ORUFI12G01070 transcript:ORUFI12G01070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFKPLHSRVFSSSIHHPSHHQQPIRTDRSIDPATRSTVLARKHGPCTVGVGRPGGSSAPRRPARRRGHHLRPGQLRRWALPDLRPRRRRAVGGLLQRREEPQGGSKHDRRPAHRLQLPQERGPRHQGAQRRQRRQHPLQVRRQRPLHHQRFHRLLQGELSHRSETDHIYTAARRLPPSRFCLGRCVEPNSVSSTSSIISVFWNKR >ORUFI12G01070.3 pep chromosome:OR_W1943:12:709488:710572:1 gene:ORUFI12G01070 transcript:ORUFI12G01070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQLVLVALVAALLLAGPHTTMAAISCGQVNSAVSPCLSYARGGSGPSAACCSGVRSLNSAASTTADRRTACNCLKNVAGSISGLNAGNAASIPSKCGVSIPYTISPSIDCSSVN >ORUFI12G01080.1 pep chromosome:OR_W1943:12:722439:723846:1 gene:ORUFI12G01080 transcript:ORUFI12G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNFKAVAAVMVMAMVVAAPGASAAITCGQVGSAIAPCISYVTGRGGLTQGCCNGVKGLNNAARTTADRQAACRCLKTLAGTIKSLNLGAAAGIPGKCGVNVGFPISLSTDCSKVS >ORUFI12G01090.1 pep chromosome:OR_W1943:12:739784:741814:1 gene:ORUFI12G01090 transcript:ORUFI12G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNGKVVVAVVVVAMVVAAPGASAAITCGQVGSAIAPCISYVTGRSGLTQGCCNGVKGLNNAARTTADRQAACRCLKSLAGSIKSLNLGTVAGVPGKCGVNVGFPISLSTDCNKVS >ORUFI12G01100.1 pep chromosome:OR_W1943:12:743267:743779:1 gene:ORUFI12G01100 transcript:ORUFI12G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVDVVEAPALVLTPAWAATASMQQAGGSSGALDANMVIVLAALLCVVICSLGLSSLIRCALHCARGLSPSPAMATPAAATTTGGLKKKELRRITVEVYGAKQAGVPDAECAICLGDFADGDKVRVLPRCHHGFHVGCIDTWLAAHTSCPTCRDSILSVHAGVTGGQT >ORUFI12G01110.1 pep chromosome:OR_W1943:12:745896:747130:-1 gene:ORUFI12G01110 transcript:ORUFI12G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVEGIPFPQEITVSKPLSLLAHGITDIEIHFLQIKYNAIGVYLEKDNVLGHLESWKGKKAEELVQDDGFFQALVSAPVEKLFRIVVIKEIKGSQYGVQLESSVRDRLVSVDKYEDEEEESLEKVTEFFQSKYFKPNSVLTFHFPNTPGIAEISFVTEGKGEAKLTVENKNVAEMIQKWYLGGESAVSPTTLLSCACYN >ORUFI12G01110.2 pep chromosome:OR_W1943:12:746003:747130:-1 gene:ORUFI12G01110 transcript:ORUFI12G01110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVEGIPFPQEITVSKPLSLLAHGITDIEIHFLQIKYNAIGVYLEKDNVLGHLESWKGKKAEELVQDDGFFQALVSAPVEKLFRIVVIKEIKGSQYGVQLESSVRDRLVSVDKYEDEEEESLEKVTEFFQSKYFKPNSVLTFHFPNTPGIAEISFVTEGKGEAKLTVENKNVAEMIQKWYLGGESAVSPTTVKSLADQFAALLSA >ORUFI12G01120.1 pep chromosome:OR_W1943:12:755325:757938:1 gene:ORUFI12G01120 transcript:ORUFI12G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRHGHLSPSGATAPPSSFAQLRRPSSCLAGPRPLRSRLTRVYALSSNDIRVGSNLEVDGAPWKIPEASISKETKQFTYKDGAQFVFMDLQTAANKEGKENEWFFYGVFPKCIIADD >ORUFI12G01130.1 pep chromosome:OR_W1943:12:758350:766094:-1 gene:ORUFI12G01130 transcript:ORUFI12G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRSPNSMLWLVLLVWAALLCGSCHGRFVVEKNSLKVTSPSDMKGTYECAIGNFGVPQYGGTMVGVVAYPKANKKACKSFDDFDISYKAKPGSLPTFLLVDRGDCFFTKKAWNAQNAGAAAILVADDKTEPLITMDTPEESGNTDYLENITIPSALITKSFGDKLKKAIDNGDMVNVNLDWRESLPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQVLEKKGYTQFTPHYITWYCPDSFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVYKVAKEHGKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIADPDADKENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKAICAGFRETTEPAVCLSEDIQTNECLENNGGCWQDKAANISACKDTFRGRVCECPVVKGVKFVGDGYTHCEASGSGHCEINNGGCWKDSRHGRTYSACTLQFKSIFMLFLMYRMMVVNVRMGSKVMESTNAKAKMQQQRGTWIQRSAPSWPSTCPWTTKEMFPIILTISSYEKSEDSMQLPPMLPKWDEKSFAWFLRKKKNQAFHTCWWKRMPFTSINWLRDRLFTSIRRRRRRALAPLAPTAIAMPSCFTLDSASDDGRSTAQGQGWCAGVGGFLSSFFSAGAARADGGKPSPDWDAHGLAASALPVPLSRLDGKKRYKVSELTFLDRRTRAAAEDPLFDALRPGGVYTRAQLRDELDALAASGMFDHWPAVEHLKCINVGGLMARPDGDELEPDDDMTARERMEHLRRQEREYRQLVRRAKPCVLPEKLQRELQGMVKKQRKVSSGLLKRMAGRIERWYHDEGFQCAQVVGYNGNLDAGEVLRPGHIYNNGAGKQALKNIDSLGLFSTVEVEPRPDETNQGGVIVAIKLKEHDPKSAQVITDWSIVSGSQGTPTLASIQPGGTVSFEHHNICGLKRSLIGSVTTSNLLNPEDDLSFKLEYAHPYLDGVDNRSRNRTFKISCFNSRKLSPIFVAGPNMYEAPPIWVDRIGFKANITESFTKQSKFTYGLVVEEITTRDENNNICTHGSRQLPSGALSMIGPPTTLSGTGVDRMAFLQANITRDNTEFVNGATIGDRCIFQYSEQSFLVGYRWIKASVLEARTLFNRHQLTVTKFINLNKQEKGPRKPPPAVLALHGRYAGCVGDLPSYDAFALGGPHSVRGYGMGELGASRNLLEVATELSVPITVKNRHTQVYAFAEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGVGVKLGAVRAEYAVDHNAGTGAFFLRFGERF >ORUFI12G01140.1 pep chromosome:OR_W1943:12:767705:768986:-1 gene:ORUFI12G01140 transcript:ORUFI12G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTESVCLSDEQQAVAVREVAQVYELIKTQQPLLLVHQQPQQLAHGLLNHAMRALNVALSVMNQPHASSSSAAAAAGGHHFPVMTMIKAESTPANSPAADVSDNHVAGKARRSSPAKRRRINCEDKSSWVYHTVVPHEDGYQWRKYGEKKIQGTHFTRSYFRCTYRDDRGCQATKQIQQEDKNDPPMFQVTYSNEHTCTTTRLINNTNNNPAALHSLTANPNGHPDDDSDDTILTKMIKQEQQAAWLPSPPPDLTTISNNFDETPGLHVSQEVPPCSSNSSAISHYADEFDHHQMGQQLETTVMEEALGLGADLDDPYFYDPNLLLIYENLMNCY >ORUFI12G01150.1 pep chromosome:OR_W1943:12:778560:778793:1 gene:ORUFI12G01150 transcript:ORUFI12G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATEPTTGAVGEAEMELTAAQEVPGSTARQRERTGPMSSQASQSSSLKAWKAMLPTKPPVLPACHWLLSSPTNR >ORUFI12G01160.1 pep chromosome:OR_W1943:12:779697:782635:-1 gene:ORUFI12G01160 transcript:ORUFI12G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSVPSYPSDLGSSRARTPQQQRVSPRKEERTWTTDTYAPYDDGHQWRKYGEKKLSNSNFPRFYYRCTYKNDMKCPATKQVQQKDTNDPPLFSVTYFNHHTCNSSPKIVGSTPDSTVQSRKAISICFNSHGKTGELPTFLSPSASLLSPSMQPYSSNQQPDMNTYSRQFHWADTSSSTSYAPVKMEADDYAEASASPSTTGALSRTLLPIGQSRCIEYFHFL >ORUFI12G01170.1 pep chromosome:OR_W1943:12:791217:799263:-1 gene:ORUFI12G01170 transcript:ORUFI12G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVSAGAASTPIHQIGIQGRTTKALHGCSVNTQVQLWLKHLTMMDINGGSMELLQLSPELGEKANCGRWVEGFGAERVEAGWVPRWQGRRGGARGGRRAVGLVLAAEKARAQCRGTDGEGRTAAEEDRQIQGLILARVSAAAVAREQAESAAQHNEKVELE >ORUFI12G01180.1 pep chromosome:OR_W1943:12:799921:810702:-1 gene:ORUFI12G01180 transcript:ORUFI12G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAYCMMMVGRERELVAELRHLLFPSPSPTTPASHSTTALTGDDECLPPGLTTTTTVSGGGGRRRGRKRVRRDNDNLKLLQADDDQEVLAAAVHGDANAKPLPNFTKTSRRKLQTTTSTMVTTVPDFDGYQWRKYGQKQIEGAMYPRSYYRCTNSTNQGCLAKKTVQRNGGGGAAGYTVAYISEHTCKSIEPSLPPVILDTTVRATNNHHPPAASSSCAAQSPAAAATSSSSDMMMTSTSSTSSETGNWSGQHGAYACRRQMIAADEEYCCWDTPATTTTSGSDGGNSSTCADQVIDLMSGPIRSPLHIAADGNWDPATLGSCPGSGAACIIRLVLNHGIKEMKNSSNKRPLVADQWHPSSVCCDHRAALREIARGQSLVTQLRAIVLPALHSDERGDLAAQMLEGILDCSRKAISELQLQLSSDSPHDDDGHLDDKRRVRKIVSSSSDDDDHSSSKAAEDHNAKPLRQHKRRRFGDSVSLETPVPHYDGHQWRKYGQKHINNSKHPRSYYRCTYRQEEKCKATKTVQQREDLHHANSYNGDHPVMYTVVYYGQHTCCKGPAASADDHVVVEASQISTDSHCQSPGSSSSELQAAAHAGDSSQCSNISVTCSSSVVVEDCNKLLDMLPAADELTTDVLGSDPQPPQYSTYL >ORUFI12G01190.1 pep chromosome:OR_W1943:12:817444:819859:-1 gene:ORUFI12G01190 transcript:ORUFI12G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDAEEPLDQNIMVSLNDIEKPILDEVSKENHILEEDGKESHILDVDGKESHILDVDVLEHESLRSSYKEQKRAVRKSILRSLSGGLVIRCRLKLQCHTTMATNGGNTGRSTSTTPNTQGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGDTTRVTAPAPTTVHDADGTPSVDTLLLQLRELGVREDHGIRLAALPPPPLPLPRHRRSASD >ORUFI12G01200.1 pep chromosome:OR_W1943:12:822034:823859:-1 gene:ORUFI12G01200 transcript:ORUFI12G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKLRFVRCPGCLQLLVEYPTIAVYQCGGCGTVLRVLMTSGIYCAAKNRVAPATNTNAESGEHNEFSNISTGDSQNNKLICTDGQKISPSSNAQPGVVQEKITFASEEKTVSTSNSIDSNEHVNIECSLFDGDASNHDVRTEGINDEDKVTVSNSTLDLVRKVENVETDGNEKGSFTDDGSISNEVATTQSMVHMDGAGFDNNFTEVQSAAEGKCALSDANLDSQEIVAICQPDNISVGTKERVQLYEGFHVESHEDLIEELVRSLSLSDDEEEFVDIAENSELNDALRSQMGSCRFSLGSKMNDGPRTDPHGRLIEELEMSFSDAEEPLDQNIMVSLNDIEKPILDEVSKESHILDVDGKESHILDVDGKQNHILDEDGKENHILNEDDKENLILDKGGEDTLDAGGANSYEERVLPSDDGLLKSGQSFQQCNF >ORUFI12G01210.1 pep chromosome:OR_W1943:12:830200:834139:1 gene:ORUFI12G01210 transcript:ORUFI12G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDAGDDFSESCRSTEHFVLVHGAGHGAWLLRLLQDSGHRVSAVDLAGAAGSLVDPNHVRSFDDYNAPLLDLMASLPAGDKVILIGHSAGGLSVVHAMHLFGDRIKQAIFIAATMLQFGYQTEQDIKDGVPDLSEHGDVYDLTFGLGADHPPTAVALRKEFQRIILYQQSPQEDSALASILLRPWPTALSTARFTGDDGGVESFIDRVRRVYIKTENDRMVQPEQQEAMIRRWPPSKVMVMDTDHSPFFSAPELLFNLILKSLARMSMAREHFVLVHGEGHGSWCWFKLRWLLESSGYQVTCIDLAGAGVDPTDPNTVRSFEQYDKPLLDLISAIPEDEKESVLASMLMRPWPVTAISTASFEGDDERLNRIKRVFIKTERDHMLNPQQQDSMIKKWPPSEVLEIDTDHSPFFSAPEQLFNLIVKSL >ORUFI12G01210.2 pep chromosome:OR_W1943:12:830200:834139:1 gene:ORUFI12G01210 transcript:ORUFI12G01210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDAGDDFSESCRSTEHFVLVHGAGHGAWLLRLLQDSGHRVSAVDLAGAAGSLVDPNHVRSFDDYNAPLLDLMASLPAGDKVILIGHSAGGLSVVHAMHLFGDRIKQAIFIAATMLQFGYQTEQDIKDDSALASILLRPWPTALSTARFTGDDGGVESFIDRVRRVYIKTENDRMVQPEQQEAMIRRWPPSKVMVMDTDHSPFFSAPELLFNLILKSLARMSMAREHFVLVHGEGHGSWCWFKLRWLLESSGYQVTCIDLAGAGVDPTDPNTVRSFEQYDKPLLDLISAIPEDEKESVLASMLMRPWPVTAISTASFEGDDERLNRIKRVFIKTERDHMLNPQQQDSMIKKWPPSEVLEIDTDHSPFFSAPEQLFNLIVKSL >ORUFI12G01210.3 pep chromosome:OR_W1943:12:830200:831914:1 gene:ORUFI12G01210 transcript:ORUFI12G01210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDAGDDFSESCRSTEHFVLVHGAGHGAWLLRLLQDSGHRVSAVDLAGAAGSLVDPNHVRSFDDYNAPLLDLMASLPAGDKVILIGHSAGGLSVVHAMHLFGDRIKQAIFIAATMLQFGYQTEQDIKDGVPDLSEHGDVYDLTFGLGADHPPTAVALRKEFQRIILYQQSPQEDSALASILLRPWPTALSTARFTGDDGGVESFIDRVRRVYIKTENDRMVQPEQQEAMIRRWPPSKVMVMDTDHSPFFSAPELLFNLILKSL >ORUFI12G01210.4 pep chromosome:OR_W1943:12:832568:834139:1 gene:ORUFI12G01210 transcript:ORUFI12G01210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAREHFVLVHGEGHGSWCWFKLRWLLESSGYQVTCIDLAGAGVDPTDPNTVRSFEQYDKPLLDLISAIPEDEKESVLASMLMRPWPVTAISTASFEGDDERLNRIKRVFIKTERDHMLNPQQQDSMIKKWPPSEVLEIDTDHSPFFSAPEQLFNLIVKSL >ORUFI12G01220.1 pep chromosome:OR_W1943:12:834814:836717:-1 gene:ORUFI12G01220 transcript:ORUFI12G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAHHHHWTMVECSGTQLWASGRPFIIHGFNTYWLMSFAADQATRPRVTAAIAEAAEAGLNVCRTWAFSDGGYRALQTVPFHYDEDVFQALDFVVSEAKRHNMRLILSLCNNWEDYGGKAQYVRWGKEAGLDLTSEDDFFSDPTIKSYYKAFVEAVVTRINTVTNETYKDDPTILAWELINEPRCPSDPSGDTLQAWIEEMASYVKSIDPVHLLEIGIEGFYGLSTPELLPVNPDEYSGHAGTDFIRNHQAPGIDLASIHVYSDTWLPHSIKENHLQFVDKWMQQHIHDAANLLGMPIVVGEFGVSVKDGKFGNEFREDFMKTVYRIFLSSWKEGVIGGGCLLWQLFPEGAEHMDDGYAVIFAKSPSTLSLLANHLRCLEC >ORUFI12G01220.2 pep chromosome:OR_W1943:12:835306:836717:-1 gene:ORUFI12G01220 transcript:ORUFI12G01220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAHHHHWTMVECSGTQLWASGRPFIIHGFNTYWLMSFAADQATRPRVTAAIAEAAEAGLNVCRTWAFSDGGYRALQTVPFHYDEDVFQALDFVVSEAKRHNMRLILSLCNNWEDYGGKAQYVRWGKEAGLDLTSEDDFFSDPTIKSYYKAFVEAVVTRINTVTNETYKDDPTILAWELINEPRCPSDPSGDTLQAWIEEMASYVKSIDPVHLLEIGIEGFYGLSTPELLPVNPDEYSGHAGTDFIRNHQAPGIDLASIHVYSDTW >ORUFI12G01230.1 pep chromosome:OR_W1943:12:836800:836991:1 gene:ORUFI12G01230 transcript:ORUFI12G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMPIARAFMCMCASIFNVGGQRHSRLHRSYGEYETDTWVPLRAAPGKLETASLMATAAVDA >ORUFI12G01240.1 pep chromosome:OR_W1943:12:837601:839288:-1 gene:ORUFI12G01240 transcript:ORUFI12G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKCGYIRKAVAAARGGATATVDLDLSALPGGADAFDKAARYCYGANFELSVRNAAALLCAAAFLDMHPTDGGLARRVEEFLAKVGLRTLPGAVAVLRYCEGLLPAAEEIGVVQRSADAIALRICNEVLFPTRSPPEWWTAELAALSPASFHKVITALRCRRAEPESVVAVLPSTDDAPLPAAFLCRLLHVAITICASAKTCRDLELRVAAVLDQATAGDLLTVALDSAGERVQNVDTVRRIITAFVERDSAASSGGANGRSRRASLSGAGALQGGGGAMQTVAKTVDEVAAEIATEESLPISKFVGLAGAVPKEARATHDCLYRAVDIYLKAHPALEEMEREKVCSVMDPLKLSYQGRLHASQNNRLPLQAVLSALYYDQLKLRSGDEGGGGWDAYGNGVMRSSAAGSARKQAKEEASLARENEALRSELARMRAYVSGMQQQSKGSSSSRGKKGSWLRTLSRLNPFKAGIWGKDTSGIVDGKTDAMNSVKSKRRRFSIS >ORUFI12G01250.1 pep chromosome:OR_W1943:12:841682:844207:-1 gene:ORUFI12G01250 transcript:ORUFI12G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESKDPDLSKLELVNVPGGALAFELAAKFCYGSNFEINTVNVAHLRCIAEYLEMTEEYQEENLIVRTETYLNEIVVKNLDKSLEVLCACDGLDPTVEDVGLVDMCVDAIAMNASKEQLVSGLAHLECDVGSGKLRMHYYRIEVEKRIGLQLEMASLDDLLIPATQTSDSMFDVDTVHRILVNFLQRIEEDDSGNLSPCGYESDDGLKSPSHSSVLKVGRLMDGYLAEIAPDPYLKLQKFMALIELLPDYARIVDDGLYRAIDIYLKAHPSLTESECKKMCKLIDCQKLSQDASRHAAQNDRLPIQTVVRVLYFEQLRLKSTVSSTTPHTTSLGGDGCGGSLSQRMMMTGGSGVASSCVSPQRDNYACLRRENRELKLEIARMRVRLTELEREQGVMRQGMRDGRGGGEHGRALLASISRGIGRIAMLGVGAQGGAERRRKTKTKKSSHSQSQWSSDGGGKTMMMSSRRRHKASSVTYAAAS >ORUFI12G01260.1 pep chromosome:OR_W1943:12:851333:852271:-1 gene:ORUFI12G01260 transcript:ORUFI12G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLACVCLLHLLFLATSRVAAQASSPARALDAMLQDHAYRAFVHPHTGIVYNATVPANLTGVALSAVRLRSGSLRRKGFSDYFDFTVPTGIVVQPYVERVVLVYHNLGNWSDHYYPLPGYTYLSPVLGLLLYDAANLSAVGLQELSFVASGSPISINFSDVRSVPAGGPAPRCVWFDLDGVPQFRDLEASNVCSTYRRGHFSIVVNSSAIPPGPVPSGNITPPIPTPTGRSKGSSKGWKIAVGVVGGVIALVLLASLVVCLARYKRDKKLELMEQNAETGETLRMAQVGRSQAPVALGTRTQPVIESEYVA >ORUFI12G01270.1 pep chromosome:OR_W1943:12:856178:858598:1 gene:ORUFI12G01270 transcript:ORUFI12G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSPGGLELATPEEGSDEAGSRRPKTPALQAAEVPFHHVLCRSIIFPDNSYMISIHDDKIEKFSTLKNRTGISSAQNNTRLDKNWR >ORUFI12G01280.1 pep chromosome:OR_W1943:12:859798:864483:-1 gene:ORUFI12G01280 transcript:ORUFI12G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPKLGLWETLARKAKGILDEDGTAHKSDEYTKQKTPRKFDSSTGAQESRSRWSFENHSRTGDTGSRTRSEALAASVNQLGGRIRDALEEGLTIVDNKTSNIIEETKKIQIRRKQANSNSYMPNPAFDTLRPPNLSHDQAETAAQETQLKASRDVANAMAAKAKLVLRELKTVKADLAFAKQRCAQLEEENKFLREAKQKGSKTEEDDDLIRVQLETLLAEKSRLAQENSMYARENRFLREIVDFHQFTTHDVAPLDDDMEDSIPGEDSNHTYSEDMFPVVEAYLDREELSPVPSRPESPILSSCESSSPKSSNSKSSAANLPSYVSKPNALVPDTD >ORUFI12G01290.1 pep chromosome:OR_W1943:12:866188:873023:1 gene:ORUFI12G01290 transcript:ORUFI12G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWFITTLSTVGYGDMHAENTGEMVYTTAYMLFNLSLTAYIIGNMTNPVVHGTSRTRKFLIRSMPMHLPRSLQYPPSTPHPLHSTAFSHSLRPRRPNGPPPAFASAEFPGSIPDSAQMPPRRRRRRSVAGIDQDDLLDPDALADPDSSFYEINGVRVHHKVCTHEDSSDQSPDSAITNADQNQIGLPIVLLHGFGSSVFSWTHIMRPLARIAGAKVLAFDRPAFGLTSRTIWSGDDTKPINPYSMAFSVMATLAFIDQLGAKKAVLVGHSAGCLVAVEAYFEAPERVAALVLVAPAIFVPVFRRKGVKENGVGEQEWQNKKDSNDSNLPTNPLNRIWGKFLELCLWIAGFLMNMIRAIGGVVRSLYYKSVVAVLRSSVGVMLVRLIMDKFGILAVRNAWYDPSKVTDHVIQGYTKPLRSRGWEMALLEYTISMIMDSISSSKVPVSERLSEISCPVLVVSGDTDRLVPRWNTERVARAIPGAGFEVIKNSGHLPQEERPEEFVSVVERFLRRAFGRPNNEQEQVLQAAV >ORUFI12G01290.2 pep chromosome:OR_W1943:12:869101:873023:1 gene:ORUFI12G01290 transcript:ORUFI12G01290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMHLPRSLQYPPSTPHPLHSTAFSHSLRPRRPNGPPPAFASAEFPGSIPDSAQMPPRRRRRRSVAGIDQDDLLDPDALADPDSSFYEINGVRVHHKVCTHEDSSDQSPDSAITNADQNQIGLPIVLLHGFGSSVFSWTHIMRPLARIAGAKVLAFDRPAFGLTSRTIWSGDDTKPINPYSMAFSVMATLAFIDQLGAKKAVLVGHSAGCLVAVEAYFEAPERVAALVLVAPAIFVPVFRRKGVKENGVGEQEWQNKKDSNDSNLPTNPLNRIWGKFLELCLWIAGFLMNMIRAIGGVVRSLYYKSVVAVLRSSVGVMLVRLIMDKFGILAVRNAWYDPSKVTDHVIQGYTKPLRSRGWEMALLEYTISMIMDSISSSKVPVSERLSEISCPVLVVSGDTDRLVPRWNTERVARAIPGAGFEVIKNSGHLPQEERPEEFVSVVERFLRRAFGRPNNEQEQVLQAAV >ORUFI12G01300.1 pep chromosome:OR_W1943:12:879891:888224:1 gene:ORUFI12G01300 transcript:ORUFI12G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLALIVVLVILLSLALFYLCNILWLRAVKIRKKLRRQGIRGPKPTFLYGNTKEIKRIRQELKFSQKQGTNNFISTLFPHFLLCRETYGPVFLYSTGALEILQVSHPDMVKDIGRWTPSELGKPNYLKKSRKALFGGGLFTENGDEWAYQRKIIAPEFFMDKIKGMIQLIEDATVTVLEAWEDMIDDVGGCREIVVDDYLRNLSADVIARACFGSSFTKGEEIFCKLRQLQKAIAQQDSFVGLSALWKYLPTKSNQEIQMLDEQVRLLILDVAKEQHHYQDSHNSLVNAIIDGAQDGRSAAEAEDFIVGNCKTIYFGGHESTAVTAIWCLMLLATHSEAMEVCRGRSTLDVDALRRLKIVTMVIQETLRLYPPASVMMQEALTDVKLGNIEVPRGTIVQVPRLMLHLDKEAWGADADEFRPDRFANGVAAACRAAHMYVPFGHGPRTCIGQNLAMAELKVVLARLLTKFAFSPSPRYRHSPAFRLTIEPGFGLPLMCCMIVTC >ORUFI12G01300.2 pep chromosome:OR_W1943:12:886119:889450:1 gene:ORUFI12G01300 transcript:ORUFI12G01300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLFSSQQWLALLPPIILCILLFSYVYIILWLRPERLRQKLRSQGVRGPKPSFLFGNIPEMRRIQQLAKSAHEQEAGSTDMFSSNYVATLFPYFLHWSRVYGSIYLYSTGSIQVLNVTDPNMVKELANCKSLDLGKPCYLQKERGALLGMGILTSNGDLWVHQRKVIAPELFMERVKGMVNLMMEAAMSMLNSWKNEVEDRGGSAEIVVDEFLRTFSADVISRACKEIFIKIRQLQKAMAKQSMLIGVPGSRYISETTHETLRLYPPASFVAREALNDMKLGGIDIPKGTNIWIPIAMAHRDPSVWGPSADKFDPDRFANGIAGACKPPHMYMPFGVGVRTCAGQNLAMVELKVVLSLLLSKFEFKLSPNYVHCPAFRLTIEPGKGVPLIFREL >ORUFI12G01300.3 pep chromosome:OR_W1943:12:880484:882034:1 gene:ORUFI12G01300 transcript:ORUFI12G01300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHRTHASDYHPVASQPDVQCLVCTRPFTLDAQVTDTFEALAICRDCKMTVLNDDERDEITSTYRQNTRRRQISRTASIDFLEDAFLQEFSQLIDLARRQGRETDIDSSSVAPQHASFNSTPSQSQRWHASDDESDGLNYVDSVFGEIESAISLGDYGGDSDTSIEEHSVMTRRRISIQLDNDSYMNTDTDIDPMNARLDQWDSDDQEDVEESGFDEIVNTMTQHQQQSHDIQLSGLSEDESEDDDMEEPEMRTTFVGNPDDYVDARQFEMLLEQFAEDNDSRRGAPPAATSFIENLPSVIISASHQTNGDVICPVCKDPIPTRARAKQLPCMHLYHSSCILPWLSSRNTCPVCRYELPTDDAEYERSKQATTNVRDIQVVEEISDEQEVQVTRQMAAGVIEETNTSEHNVRVDEQPNSARRRSGWLFIAAAPVIPLGVVEGNCTVDPQVPLKYMWTPKRVGGLCSRMFRWYI >ORUFI12G01300.4 pep chromosome:OR_W1943:12:881311:882034:1 gene:ORUFI12G01300 transcript:ORUFI12G01300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPEMRTTFVGNPDDYVDARQFEMLLEQFAEDNDSRRGAPPAATSFIENLPSVIISASHQTNGDVICPVCKDPIPTRARAKQLPCMHLYHSSCILPWLSSRNTCPVCRYELPTDDAEYERSKQATTNVRDIQVVEEISDEQEVQVTRQMAAGVIEETNTSEHNVRVDEQPNSARRRSGWLFIAAAPVIPLGVVEGNCTVDPQVPLKYMWTPKRVGGLCSRMFRWYI >ORUFI12G01310.1 pep chromosome:OR_W1943:12:889738:891365:-1 gene:ORUFI12G01310 transcript:ORUFI12G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPAASIGGEDGSAPRPPYSAMASFKLATNELEAFEPATNGLEAVADILRLPWEHCGLFAIRTSGI >ORUFI12G01320.1 pep chromosome:OR_W1943:12:891847:894239:1 gene:ORUFI12G01320 transcript:ORUFI12G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGGIVLAAGCLIPIPVGAAQTNLAPQSRAAARCANKEHASGLLPFSSIGLDWKIRPGLDWIGLISSFVDEDPLYGCQTPRESIFDPFAPGPKELACAPKKNVIKAPELPPRRQLSFDSGDYPVKRLSFEFDDAEEDDQFLERICKMFIDLIVSNQALETTGKDLIGSNSLGSCETSSSEPLLTSIAYTCPDVPLRRPLKAVQLSPSICRKLDFDSVSPRCLFVKENK >ORUFI12G01330.1 pep chromosome:OR_W1943:12:894981:900639:-1 gene:ORUFI12G01330 transcript:ORUFI12G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSCPSPVTPSEAEAGAEDYESWTLKQKIEDLVNCDPIHGIVPKNPKYKAYFEEKFQEKLSKYVRVVLPKLRPAIQKDSVKQFFQVYNCWSGFMGRGNFLLPDILTNMANENALRCARVALQGTSPLLRRRRADPNTRHRYGFAPLHMAAENFSVDMLRANIMGKMSKKVRHDEIVEHVSSILKSNGIAHSGESIDTGKLECYQHGGGMSIGKSDSQRVGYGETIEADKSSSDIGEVSKMILGKQPPKGSAIREVRDMFFPYWKSVLSRRLQLKIVPSCQLSRKDLLSAEASTKGTKSIDHPCNPIKSMGNLGSMGWPPLSSESRRMLYTVASMSRKVFKRT >ORUFI12G01340.1 pep chromosome:OR_W1943:12:902783:910679:1 gene:ORUFI12G01340 transcript:ORUFI12G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVHGGGTTGCGEEDQHSDAVGRGKEDQGGGAAGRGQFRCLSHATSNPHPRLHTLDILPPSPAASGPRRPNGSPPAFASAEFPGSIPDSAQMPPRRRRRRSVAGIDQDDLLDPDALADPDSSFYEINGVRVHHKVCTHEDSSDQSPDSGITNADQNQIGLPIVLLHGFGSSVIKAPELPSRRQLRFDSDDYPVKRLSFEFDEAEEDDQFLEQICKMFIDLIISNQALETTGKDLIGSNSPGSCEPLLIGIADTCPDAPLRRPLKAVQLSPSICRKLDFDSVSPSGAVKIVIDNVKCCLLDATAEFSVSVGTWQLKAVGMLLRKPIPSPMTMLPAYPPSLPPRVASRLSPPRNHQSRVDLLRVPLLRAPATNDGALYCGNLLLSRCIAAAWLRSLEVTYYLTSIAAAWLRSLSITYYLTSIAAAWLRSLSITYYPARSVLHAATAAVSTPSMHAVVRFAWMSDLSLMGGVVRTGVVAFKHWALAMPSLLLVVAAQGMVLYMASNFLLLLAWPLSMMNLPAACGTAIGEDKPIEAISITGILCASPRQCTVRGNSKGLILPINSNH >ORUFI12G01340.2 pep chromosome:OR_W1943:12:902783:910679:1 gene:ORUFI12G01340 transcript:ORUFI12G01340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVHGGGTTGCGEEDQHSDAVGRGKEDQGGGAAGRGQFRCLSHATSNPHPRLHTLDILPPSPAASGPRRPNGSPPAFASAEFPGSIPDSAQMPPRRRRRRSVAGIDQDDLLDPDALADPDSSFYEINGVRVHHKVCTHEDSSDQSPDSGITNADQNQIGLPIVLLHGFGSSVIKAPELPSRRQLRFDSDDYPVKRLSFEFDEAEEDDQFLEQICKMFIDLIISNQALETTGKDLIGSNSPGSCEPLLIGIADTCPDAPLRRPLKAVQLSPSICRKLDFDSVSPSGAVKIVIDNVKCCLLDATAEFSVSVGTWQLKAVGMLLRKPIPSPMTMLPAYPPSLPPRVASRLSPPRNHQSRVDLLRVPLLRAPATNDGALYCGNLLLSRCIAAAWLRSLEVTYYLTSIAAAWLRSLSITYYPARSVLHAATAAVSTPSMHAVVRFAWMSDLSLMGGVVRTGVVAFKHWALAMPSLLLVVAAQGMVLYMASNFLLLLAWPLSMMNLPAACGTAIGEDKPIEAISITGILCASPRQCTVRGNSKGLILPINSNH >ORUFI12G01350.1 pep chromosome:OR_W1943:12:912613:916853:1 gene:ORUFI12G01350 transcript:ORUFI12G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKTRGDCFGGGRPHPNPGWCHPNQSRAPIQSRREVRQQGTLIRFAPHLMYWVGLEDPSGLGLISSFVEAMDGVTTHQYHHPSSFVPNQWKARVPPTREWREGRSSVSTASSLSFASRHRSLPLRLTPHYSQMDQGVDKNVIDNSLVSNCDFPVVKKLDKCVNEEASVQSPFENKDTRSLGMVCDHENNKSGVAEVITPPENEAIESYISKSVADEDPSYGCQTPRESIFDPFAPGPEELACAPKKKVTKAPELPSRRQLSFDSGDYPVKRLSFEFDDAEEEDQFLERICMMFIDLIISNQALETTGKDLIGSNSPRSCETPSSEPLLTGIADTCPDAPLRRPLKAVHDVDRLNAAQ >ORUFI12G01360.1 pep chromosome:OR_W1943:12:920121:922621:-1 gene:ORUFI12G01360 transcript:ORUFI12G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTPGVLLKLLQAMHTDDRVAGDHRSPVLQPGSHSDSAPPLMPSSSGNAAQSDATNAINRTVLAHKNVPEAAPPPAVSAAKRRFSSPAPSKQRDPSPSVKGGASRPSSPSVKGASRASSPAVRGTPRATSPAPSKCVVPSLVAAKEENRRTAREPAIIVPSRYRQPSPVGGRRGAGSPAPGGRRASLSPSSRRLSGEGSSKKKVGVLEAMARRLSDVTTELSSNDDDSSVDEKPKPRKKIESPAVKTKAMAPKIMLHDPKWTDGSIPLDGVSDVLSKMGKEATERRDAAAIAAADALQEALITESVIRNLRQSHGTSAKVQSKVLPTSSASCAWNKTQGVNETAELATTLCCEMHTWFLKFVDEAMDLGFHLFEDQNVASRGKQSSHITMVLSQFKKISDWLDGVGKIAEEATTKDKVEQLKCKIYGFVINHMGSAFDSSVSISSRN >ORUFI12G01370.1 pep chromosome:OR_W1943:12:936194:938788:1 gene:ORUFI12G01370 transcript:ORUFI12G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALSAVLRRAPTPFSLLPLPLAPPPPPTLLRRRPLLLPRAISSSSSPPPVQEMEAAYKFGPYKIDAREVFHSTPLSYAMVNLRPLLPVCPKREVKRFADLSSNETSDLWVTAKEVGVRLEQYHKASSLTFAIQCLQDGPEAGQTVSHVHIHVIPRKKGDFEKNDEIYDAIDVKERELKEKLDLDIERKDRTMEEMAHEANEYRALFS >ORUFI12G01380.1 pep chromosome:OR_W1943:12:939888:949044:-1 gene:ORUFI12G01380 transcript:ORUFI12G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHPSYQRQDAAAAAASTAAPRAAVYTVWKRSSMGFQGTDGFSVYDDAGSLAFRVDNYSRRRKLFSGDLLLMDGHGSPLLALTPQIISMHDQWNCYRASEEGQGKRARSQQLFSMRKCSVMQSSHEAEVHMSGCTHASSDRTGHVPAFSIEGSFKRRSCKIRNSGGEEVARITRKKAGAASLSLTLAEDVFSLEVQPNVDCAMIMAFVIVLDRICWKPYTPMICSSYIKQVGYTHTEEEAKLVSSTKREPEKEMLSYFHQPHQAIASMRRIQIHPSHGGGGGTGGRARRQAADQPVVYTVWKRSSIGFQGTDGFSVYDSAGKLAFRVDNYSRRRKAFAGDLLLMDGHGTPLLSLRPQILSLHNRWNCYRAQEEEGLDSTSSPSVSQQQVFSMRKSSALQSNDEAEVFMSTRTSGDSQPDASPSPSFRIDGCFSMRSCKIRGSNGEEAARITRKNAGVMSRPVSLGDDVFTLVVRPGVDVAVVMAMVVVMDRICRRPYTPMACSSSGNSVVHSGEIIKSKEKYHLNRSNENLLLMEMKHAYYLGVYIPANICNNVLNTTIASKQQRQPVAGMQAKQTRSHDYDRSLGPVWHSSSSSSTPSGAGAQPNSFSSTXRIKIATYGIYA >ORUFI12G01380.2 pep chromosome:OR_W1943:12:939888:946963:-1 gene:ORUFI12G01380 transcript:ORUFI12G01380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCTHASSDRTGHVPAFSIEGSFKRRSCKIRNSGGEEVARITRKKAGAASLSLTLAEDVFSLEVQPNVDCAMIMAFVIVLDRICWKPYTPMICSSYIKQVGYTHTEEEAKLVSSTKREPEKEMLSYFHQPHQAIASMRRIQIHPSHGGGGGTGGRARRQAADQPVVYTVWKRSSIGFQGTDGFSVYDSAGKLAFRVDNYSRRRKAFAGDLLLMDGHGTPLLSLRPQILSLHNRWNCYRAQEEEGLDSTSSPSVSQQQVFSMRKSSALQSNDEAEVFMSTRTSGDSQPDASPSPSFRIDGCFSMRSCKIRGSNGEEAARITRKNAGVMSRPVSLGDDVFTLVVRPGVDVAVVMAMVVVMDRICRRPYTPMACSSSGNSVVHSGEIIKSKEKYHLNRSNENLLLMEMKHAYYLGVYIPANICNNVLNTTIASKQQRQPVAGMQAKQTRSHDYDRSLGPVWHSSSSSSTPSGAGAQPNSFSSTXRIKIATYGIYA >ORUFI12G01380.3 pep chromosome:OR_W1943:12:946977:949044:-1 gene:ORUFI12G01380 transcript:ORUFI12G01380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHPSYQRQDAAAAAASTAAPRAAVYTVWKRSSMGFQGTDGFSVYDDAGSLAFRVDNYSRRRKLFSGDLLLMDGHGSPLLALTPQSVRRRPRQEGKITTTLLNEKMLSYAKQS >ORUFI12G01390.1 pep chromosome:OR_W1943:12:942885:948075:1 gene:ORUFI12G01390 transcript:ORUFI12G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGSRYRFGPHEIAERQVFRTSPLSFAIVNLRPTRPGHILYFCQLCSLVKLFADLSPDETRDLWIMAKDIGVRVEQYQRASSLTFTIQDGPHSGQTVPHVHVHIVPRRKEDFENNDNNNGMMNAKNETLDLDIERKDRTMEEMAQEAKEYQLITLNYEEQIIGVYGFQQIRSRTMTKAMIIAQSTFGWTSRLKTSSARLLLLKLPSIEKAGTWPVRSDDAWVHPDMCTSAS >ORUFI12G01390.2 pep chromosome:OR_W1943:12:942885:948075:1 gene:ORUFI12G01390 transcript:ORUFI12G01390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGSRYRFGPHEIAERQVFRTSPLSFAIVNLRPTRPGHILYFCQLCDIGVRVEQYQRASSLTFTIQDGPHSGQTVPHVHVHIVPRRKEDFENNDNNNGMMNAKNETLDLDIERKDRTMEEMAQEAKEYQLITLNYEEQIIGVYGFQQIRSRTMTKAMIIAQSTFGWTSRLKTSSARLLLLKLPSIEKAGTWPVRSDDAWVHPDMCTSAS >ORUFI12G01390.3 pep chromosome:OR_W1943:12:942885:948075:1 gene:ORUFI12G01390 transcript:ORUFI12G01390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGSRYRFGPHEIAERQVFRTSPLSFAIVNLRPTRPGHILYFCQLCSLVKLFADLSPDETRDLWIMAKDIGVRVEQYQRASSLTFTIQDGPHSGQTVPHVHVHIVPRRKEDFENNDNNNGMMNAKNETLDLDIERKDRTMEEMAQEAKEYQLITLNYEEQIIGVYGFQQIRSRTMTKAMIIAQSTFGWTSRLKTSSARVSDNDAAPAFFLVILATSSPPLLRILQLLLLKLPSIEKAGTWPVRSDDAWVHPDMCTSAS >ORUFI12G01390.4 pep chromosome:OR_W1943:12:942885:948075:1 gene:ORUFI12G01390 transcript:ORUFI12G01390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGSRYRFGPHEIAERQVFRTSPLSFAIVNLRPTRPGHILYFCQLCDIGVRVEQYQRASSLTFTIQDGPHSGQTVPHVHVHIVPRRKEDFENNDNNNGMMNAKNETLDLDIERKDRTMEEMAQEAKEYQLITLNYEEQIIGVYGFQQIRSRTMTKAMIIAQSTFGWTSRLKTSSARVSDNDAAPAFFLVILATSSPPLLRILQLLLLKLPSIEKAGTWPVRSDDAWVHPDMCTSAS >ORUFI12G01390.5 pep chromosome:OR_W1943:12:942885:948075:1 gene:ORUFI12G01390 transcript:ORUFI12G01390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGSRYRFGPHEIAERQVFRTSPLSFAIVNLRPTRPGHILYFCQLCSLVKLFADLSPDETRDLWIMAKDIGVRVEQYQRASSLTFTIQDGPHSGQTVPHVHVHIVPRRKEDFENNDNNNGMLITLNYEEQIIGVYGFQQIRSRTMTKAMIIAQSTFGWTSRLKTSSARLLLLKLPSIEKAGTWPVRSDDAWVHPDMCTSAS >ORUFI12G01390.6 pep chromosome:OR_W1943:12:942885:948075:1 gene:ORUFI12G01390 transcript:ORUFI12G01390.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGSRYRFGPHEIAERQVFRTSPLSFAIVNLRPTRPGHILYFCQLCDIGVRVEQYQRASSLTFTIQDGPHSGQTVPHVHVHIVPRRKEDFENNDNNNGMLITLNYEEQIIGVYGFQQIRSRTMTKAMIIAQSTFGWTSRLKTSSARLLLLKLPSIEKAGTWPVRSDDAWVHPDMCTSAS >ORUFI12G01390.7 pep chromosome:OR_W1943:12:942885:948075:1 gene:ORUFI12G01390 transcript:ORUFI12G01390.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGSRYRFGPHEIAERQVFRTSPLSFAIVNLRPTRPGHILYFCQLCDIGVRVEQYQRASSLTFTIQDGPHSGQTVPHVHVHIVPRRKEDFENNDNNNGMLITLNYEEQIIGVYGFQQIRSRTMTKAMIIAQSTFGWTSRLKTSSARVSDNDAAPAFFLVILATSSPPLLRILQLLLLKLPSIEKAGTWPVRSDDAWVHPDMCTSAS >ORUFI12G01390.8 pep chromosome:OR_W1943:12:942885:946776:1 gene:ORUFI12G01390 transcript:ORUFI12G01390.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGSRYRFGPHEIAERQVFRTSPLSFAIVNLRPTRPGHILYFCQLCSLVKLFADLSPDETRDLWIMAKDIGVRVEQYQRASSLTFTIQDGPHSGQTVPHVHVHIVPRRKEDFENNDNNNGMYIVNIFRIFLRGTANLKHKYNANETRSAGLKKLSNT >ORUFI12G01400.1 pep chromosome:OR_W1943:12:960843:961335:-1 gene:ORUFI12G01400 transcript:ORUFI12G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPHVSISLTGLAMVVLLIFSSSFLQAAQGSDKKMAMKYDVPVKRLVYRPSAMQAAVIGTEAAAYEPFELCMGCRCCASSNASSCVDTRCCYAIDCNIPGKPFGVCAFSPHTCDCGATNCTSQQQP >ORUFI12G01410.1 pep chromosome:OR_W1943:12:963925:968055:-1 gene:ORUFI12G01410 transcript:ORUFI12G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQVQQLHLLQHPVKNAVAERKHTRISSDMSDPSTPRKIEDAKNISIYNDVIDFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVRFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRRTATPLSWATRMSIALGAAKGLACLHNAERPIIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREHSLVDWALPKLNDKRRLLQIIDPKLEGQYSVRAAHKACSLAYYCLSQNPKARPLMSDVVETLEPLQGSGGSDGAVQSVLGSGLPSYRVNRRLTTNSVHCRAIPNPKCSPAVPACRVR >ORUFI12G01420.1 pep chromosome:OR_W1943:12:977673:983234:-1 gene:ORUFI12G01420 transcript:ORUFI12G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPLIDSSVPRGSESPHLSSLSRSSERQEREPEAASPLPHLPDLLASRPPLEWRYLLHPRAPIPNPRSLLPRSLAHDQSPSSFLSLSLSHCSISQFIMEAGAGSSSAKLVAACVIGGIVLGASVVALHLAGPVAIPALPPVDALRRRFRRGRRRPVRVYMDGCFDMMHYGHCNALRQAHALGDELIVGVVSDDEITANKGPPVTPLHERLIMVRAVKWVHDVIPDAPYAITEDFMNKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSTTDIVGRMLLCVRERSASDSHNHSSLQRQFSHGHGQKIDDSGSGSGTRISHFLPTSRRIVHSTRGPHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDFMKDDLNPYAVPRAMGIYRRLESPLDITTSTIIRRIVANHEAYQVCNMVYWCYVKLAGGVWFFCLVHAACSKVHNPSQINAICLLSTSY >ORUFI12G01430.1 pep chromosome:OR_W1943:12:984451:988829:-1 gene:ORUFI12G01430 transcript:ORUFI12G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGHRRDKRSRSRSQPGLGRLAEVVNSASGPDLFFFRGRRSGGLRLVRLYGSVTQCGASQPVAKVKMLKKLLSKPKSKKKKEAASSALPTLDRLHETLEMLEKKECFLQKKASAEVERAKDYTKAKNKSAAIQCLKKKKLYETQIEQLANFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKAIHQSVSIDDIENAIEEANEHTENMRQIQEALATPIGASADFDEDELEAELEDLEEEELENDLPEPPQRTSMEPSARVTTTQPANDLAELTKLQAEMAL >ORUFI12G01430.2 pep chromosome:OR_W1943:12:984451:988829:-1 gene:ORUFI12G01430 transcript:ORUFI12G01430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGHRRDKRSRSRSQPGLGRLAEVVNSASGPDLFFFRGRRSGGLRLVRLYGSVTQCGASQPVAKVKMLKKLLSKPKSKKKKEAASSALPTLDRLHEKKKLYETQIEQLANFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKAIHQSVSIDDIENAIEEANEHTENMRQIQEALATPIGASADFDEDELEAELEDLEEEELENDLPEPPQRTSMEPSARVTTTQPANDLAELTKLQAEMAL >ORUFI12G01440.1 pep chromosome:OR_W1943:12:989254:990036:1 gene:ORUFI12G01440 transcript:ORUFI12G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLITSTTRTTTLLFSPLRLPTYIFQILADSVLGHLPHLSNLVFPIRNLFILESWPFSTSCTTPSSSASRSRSPPSSTLFF >ORUFI12G01450.1 pep chromosome:OR_W1943:12:990332:993097:1 gene:ORUFI12G01450 transcript:ORUFI12G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDKTHLMCFYPSKITMGGVWTGDNPLDFSIPLLLFQILLITSTTRAATLLLSPLRLPTYISQILAGFLLGPSVLGHLPHFSNLVFPVRSLFVLESMALLGLVYYTFIVGVEIEVSAITRAGIRSFGFAIGCTLPPFLVGALTGYVALSTDDKHKGDTFLNKLSFPIFLGSTFSSTAFAVLARNIAELKLAGTDVGQLTLSASLINDTFAWTGLTVATVLGHSRCTITQTTWTLTSGVVIFGASYLLLRPMLLRLARRAAEGEAVGEDRECWILIGVMVAALVADAGGTHAIFGAFVFGLAVPNGPVGVALVEKVEDFVVGALLPLFFALSGLRTDTAKITNMHSAVLLMVAAMVAAVLKVVAAIGVAGVFGMPLGDGTSIGLLLNTKGIIELVILNIARNKGIMSDQSFTVLVFVSALITAMVSPFLGMVVKPARRLVFYKRRTVAWAHPESELRVLACVHVPRDVPALLTLLDVVTPSSRSPVGVLALHLIEFVGRSSALLLINASAPSSSSYDASVHGRSHTEMHDDREVAALATRMVRNPTIDLTLLRFVQKGGSFTASEFDALKERKADEGCLRDFLERANEGGGATVEYRERGVFNASEMMVGEIQSVEAMGNKDLFVVGKVPGGSGLTAGMAEWSESPELGPIGDLLASKDFQTTASVLVLQAYGRPAAVRA >ORUFI12G01460.1 pep chromosome:OR_W1943:12:1009656:1015249:1 gene:ORUFI12G01460 transcript:ORUFI12G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLLLFPSSSSSATHSSYSPSSSSHAITSLLPPLPSDHHLLLYLDHQEQHHLAAAMVRKRPASDMDLPPPRRHVTGDLSDVTAAAAGAPTLSASAQLPALPTQLPAFHHTDMDLAAPAPPAPQQVAAGEGGPPSTAWVDGIIRDIIASSGAAVSVAQLIHNVREIIRPCNPDLASILELRLRSLLNSDPAPPPPPPSHPALLPPDATAPPPPPTSVAALPPPPPAQPDKRRREPQCQEQEPNQPQSPKPPTAEETAAAAAAAAAAAAAAAKERKEEQRRKQRDEEGLHLLTLLLQCAESVNADNLDEAHRALLEIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGLYAPLPSPSPAGARVHGRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPRVRLTGLGASMEALEATGKRLSDFADTLGLPFEFCPVADKAGNLDPEKLGVTRREAVAVHWLRHSLYDVTGSDSNTLWLIQRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYSEDSPERHVVEQQLLSREIRNVLAVGGPARTGDVKFGSWREKLAQSGFRVSSLAGSAAAQAALLLGMFPSDGYTLIEENGALKLGWKDLCLLTASAWRPIQASGR >ORUFI12G01470.1 pep chromosome:OR_W1943:12:1011494:1029516:-1 gene:ORUFI12G01470 transcript:ORUFI12G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGNRYSTRIQPGPKPPLSPIAKPPSGPAVVQPNKVSNTAFQVESDYAVASLYTGNTSPRQSLIASTNFRGSENSTPNPHLPIPVFEGFGELNPDHYGRERFLQPGMSAIVKKAIGSITSHENSQKDVAIANTLHQGNAVLPTPQVHNVISQEQRVVGAQCQKLPLFSKANPNQNIRDDIVMKNMQDNDIQG >ORUFI12G01480.1 pep chromosome:OR_W1943:12:1018526:1020559:1 gene:ORUFI12G01480 transcript:ORUFI12G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAAASHLLRRRAAHPPPSPATATGAACALRHVRLFSPPPHPPSSRPTEAEAEVTAAEARRLVRLVGVEALKRRLRDGREEVVGYGELLDACVEAGAARTRRDAEALARAMDEAGVVLLFRDKAYLHPEKVVELVRRAVPLALSPENDSRKEELKKLQEKKEEIDKLAHKQVRRILWSGLGFFMCQVGLFFRLTFWEFSWDVMEPIAFFTTASGLLVGYAYFLITSRDPTYEDFMERLYLSRHRKLCAKNSFDMEKYLELQKHCKCPLEGHYPHGPKFHDL >ORUFI12G01490.1 pep chromosome:OR_W1943:12:1037272:1041757:-1 gene:ORUFI12G01490 transcript:ORUFI12G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHHHHHSLPPSPPPKRRCTALAAAVPALVVCSILLPLVFLLGLHRPGHGSEERAAVVISTEMGFSKHKHLDGRMKHKLLKGYSLYTVSQGFVAILDVSRKKIPGSDGILGEKSGSRSKSKNVSTKSKEKLKGVFSLVQLKNETRKNKELHTQRRYQLKDLSWRSKDTTIDKKENQEVEHEENPKSCELEYGIYCLWSVEYKEVMKDFIVKRLKDQLFMARAHYPSIAKLKNQETFTRELKQNIQEHERMLSDTIADADLPPFFAKKLEKMEHTIERAKSCEVGCTSVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTMPKTHHCLNMRLTVEYFKSTSIHTVQSNKQKLEDPTFHHYVIFSKNVLAVSTTINSTVMNSKDSGSIVFHLFTDSQNFYAMKHWFDRNMYLEATVHVTDIEDHQKLSKDVDFHDMKLLRPAEEFRVTFRNHSQSFQKQMKTEYISTFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLNMGGKVVGAIQFCEVKLGQLKAYTEERNFGTNSCVWLSGLNVVELKKWRDLHITSRYEQLLQKLQKDSVTSFPLKVLPISLLVFQDLIYPLEDSWVQSGLGHDYGVSQTDIKRSVTLHYNGVMKPWLDLGIHDYKGYWRKYMTNGERFMTECNIH >ORUFI12G01490.2 pep chromosome:OR_W1943:12:1037272:1041757:-1 gene:ORUFI12G01490 transcript:ORUFI12G01490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHHHHHSLPPSPPPKRRCTALAAAVPALVVCSILLPLVFLLGLHRPGHGSEERAAVVISTEMGFSKHKHLDGRMKHKLLKDVSRKKIPGSDGILGEKSGSRSKSKNVSTKSKEKLKGVFSLVQLKNETRKNKELHTQRRYQLKDLSWRSKDTTIDKKENQEVEHEENPKSCELEYGIYCLWSVEYKEVMKDFIVKRLKDQLFMARAHYPSIAKLKNQETFTRELKQNIQEHERMLSDTIADADLPPFFAKKLEKMEHTIERAKSCEVGCTSVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTMPKTHHCLNMRLTVEYFKSTSIHTVQSNKQKLEDPTFHHYVIFSKNVLAVSTTINSTVMNSKDSGSIVFHLFTDSQNFYAMKHWFDRNMYLEATVHVTDIEDHQKLSKDVDFHDMKLLRPAEEFRVTFRNHSQSFQKQMKTEYISTFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLNMGGKVVGAIQFCEVKLGQLKAYTEERNFGTNSCVWLSGLNVVELKKWRDLHITSRYEQLLQKLQKDSVTSFPLKVLPISLLVFQDLIYPLEDSWVQSGLGHDYGVSQTDIKRSVTLHYNGVMKPWLDLGIHDYKGYWRKYMTNGERFMTECNIH >ORUFI12G01500.1 pep chromosome:OR_W1943:12:1048243:1048812:-1 gene:ORUFI12G01500 transcript:ORUFI12G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELDGESTCAAPCEKKRLINSKLFRVGYGKKKKKKKKKKKKKKVVKRLGKEEVERLLSYTVVVPTVSNKVLGSETVPARHKEILLQAVDSIKNSAVTILGMDKKLGLVSSARAWLELVKLGSARRLNEPSPSFLHSSFANRAKPELARKPLGRLVKSVENMST >ORUFI12G01510.1 pep chromosome:OR_W1943:12:1054013:1057736:-1 gene:ORUFI12G01510 transcript:ORUFI12G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPDDDEDDVWQKEVLLRANRLLRESAIRMRKNQELIRSLFEAKGYVDVEDEVSDNEMDMDMQLV >ORUFI12G01520.1 pep chromosome:OR_W1943:12:1058739:1061457:-1 gene:ORUFI12G01520 transcript:ORUFI12G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRGRVLAHAGGLAPWTPSVERSVASLPPVVRRRRRLRNHHQAPAPMPMDILGQSIPTIASLFGLTLHGLCDGPGPQNYPHHYQSLLPLPHTNRIGLGSPSAAAAAPMLGHLRRSSQRLPVANLAVYSIQSSHIEFIAGWCRNHSTRNFSVDTSNKVSNDRGYQSRELKPSLVKDDVAIIKHIQNSTKELKQGPVGKNLSSAEKRKFLVNTLLDLEDSKEGVYSTLDAWVAFEQEFPVASLKQALVALEKEEQWHRIVQVIKWMLSKGQGKTIRTYEQLVCALEKDNRADEACRIWELKIAHDLQSVPWRFCRLMLGIYYRNNRLDTLVKLFKNLEACGRKPPSKDIVRKVEDTYEMLGLVEEKKELLEKYKELFDKPSSNDRKKGRQFKKAEKNTKTG >ORUFI12G01530.1 pep chromosome:OR_W1943:12:1061288:1063961:1 gene:ORUFI12G01530 transcript:ORUFI12G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRIKGVEYDYIEEDLRNKSNLLLECNPVHKKVPVLIYQGKPIAESDVILEFIDDVWKDLRYRILPEDPYECAMARFWSKFGLDKLSPPIWKWFTTQGKEQEDAYEAAMEQLLVLEKVLDEKKFFGGERIGFVDLSLGSLSYVIPIYEDITGVRLITSDKFPWLSAWMEGFLGLPLVKEHLLPLDKLRPSDLGKRFGLGFYLGA >ORUFI12G01530.2 pep chromosome:OR_W1943:12:1061288:1063961:1 gene:ORUFI12G01530 transcript:ORUFI12G01530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRIKGVEYDYIEEDLRNKSNLLLECNPVHKKVPVLIYQGKPIAESDVILEFIDDVWKDLRYRILPEDPYECAMARFWSKFGLDKLSPPIWKWFTTQGKEQEDAYEAAMEQLLVLEKVLDEKKFFGGERIGFVDLSLGSLSYVIPIYEDITGVRLITSDKFPWLSAWMEGFLGLPLVKEHLLPLDKLRPSDLGKRFGLGFYLGA >ORUFI12G01530.3 pep chromosome:OR_W1943:12:1061276:1063961:1 gene:ORUFI12G01530 transcript:ORUFI12G01530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRIKGVEYDYIEEDLRNKSNLLLECNPVHKKVPVLIYQGKPIAESDVILEFIDDVWKDLRYRILPEDPYECAMARFWSKFGLDKLSPPIWKWFTTQGKEQEDAYEAAMEQLLVLEKVLDEKKFFGGERIGFVDLSLGSLSYVIPIYEDITGVRLITSDKFPWLSAWMEGFLGLPLVKEHLLPLDKLRPSDLGKRFGLGFYLGA >ORUFI12G01530.4 pep chromosome:OR_W1943:12:1061288:1063144:1 gene:ORUFI12G01530 transcript:ORUFI12G01530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRIKGVEYDYIEEDLRNKSNLLLECNPVHKKVPVLIYQGKPIAESDVILEFIDDVWKDLRYRILPEDPYECAMARFWSKFGLDKLSPPIWKWFTTQGKEQEDAYEAAMEQLLVLEKVLDEKKFFGGERIGFVDLSLGSLSYVIPIYEDITGVRLITSDKFPWLSAWMEGFLGLPLVKEHLLPLDKLRPRYQAIREAFLSK >ORUFI12G01540.1 pep chromosome:OR_W1943:12:1063191:1070844:-1 gene:ORUFI12G01540 transcript:ORUFI12G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGMVQDVRIPYQEKCMFGFVTFAYQKTVKLILAKGNPHYICDARATKVVFARLQALEPNLAPNIIGMLLTKDNNEMDMIRLACGPDNLLQSIIAKVRTDLTNKPSPPMASWGFPSDIGEEASFSVDKVGCDGGEEFSSKEYDWRLPIGGNHHRSFLSSTVDTLGWKPCLYSQSGVTTHLGSDDMQEYSSRPPQIDQRPRILYRDIASHEASFRMKQDEQQHATELQRCCLMRLPLLNLQDWGHHLSSPMGSHDWGHHLSSPMGSHVLLGQVDNKYNINENDNPTHLEDVTFRDNKLKNEFAMREIASTAISTAAKRTVISTEEGKREYGPKAATPNDACGFLESGMEYNLPHSPFSSPTKASNVAATAHTSNISSSSSPHKVASSLFPPTCTLELPPTTHASFKRQGKALTDHT >ORUFI12G01540.2 pep chromosome:OR_W1943:12:1063399:1070844:-1 gene:ORUFI12G01540 transcript:ORUFI12G01540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGMVQDVRIPYQEKCMFGFVTFAYQKTVKLILAKGNPHYICDARATKVVFARLQALEPNLAPNIIGMLLTKDNNEMDMIRLACGPDNLLQSIIAKVRTDLTNKPSPPMASWGFPSDIGEEASFSVDKVGCDGGEEFSSKEYDWRLPIGGNHHRSFLSSTVDTLGWKPCLYSQSGVTTHLGSDDMQEYSSRPPQIDQRPRILYRDIASHEASFRMKQDEQQHATELQRCCLMRLPLLNLQDWGHHLSSPMGSHDWGHHLSSPMGSHVLLGQVDNKYNINENDNPTHLEDVTFRDNKLKNEFAMREIASTAISTAAKRTVISTEEGKREYGPKAATPNDACGFLESGMEYNLPHSPFSSPTKASNVAATAHTSNISSSSSPHKVASSLFPPTCTLELPPTTHASFKRQDSPLAEE >ORUFI12G01550.1 pep chromosome:OR_W1943:12:1073574:1091006:1 gene:ORUFI12G01550 transcript:ORUFI12G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHLVVAMLLLLALSPPAVADDTAVLGRKGGVVEGQAAGPGRYAVILDAGSTGTRVHVFRFDNKLDLLKVGDNIELFAKVRDVVHTKSKYQYNPNWINVFEGSQEGSYIWVALNYLLDKLGGDYSKTVGVVDLGGGSVQMAYAISSNTAATAPKVPEGKDPYVVKEYLKGKDYNIYVHSYLHYGGFASRAHILERKDGPFSNCMLRGFSGNFTYNGKQYDATAAPQGADYHKCREEVVKLLKVNAPCETKNCSFNGVWNGGGGAGQDDLYVASAFYYIASHVGFINSDAPSAKSTPATFKAVAEKVCKLSVKEAKVEYPNVRDHAYLCMDLIYEYSLLVDGFGLHPSKEITLVDKVKHGEYYIDAAWPLGTAIEAVDPGLSSYAGRPQEAAKSIMPLLDKANHAIPIWLMNKTPLELGVPQLLDSDLLEMTRQTRFLKRLGGDYSKTVGVIDLGGGSVQMAYAISSGTAANAPEMPDGQDPYIIKEYLKGRDYNVYVHSYLHYGARASRVEILKRKNGTFSNCMLRGFCGKYIYNGEQYDATAAPQGADYHKCRDDVVKALNLDAPCETNNCSFNGVWNGGGGAGQDELYVATSFYYMASDPVARAAACVAAACGGGVTAGQLKTTALNLDAPCETNNCSFNGVWNGGGGAGQDELYVATSFYYMASDVSD >ORUFI12G01550.2 pep chromosome:OR_W1943:12:1073574:1091006:1 gene:ORUFI12G01550 transcript:ORUFI12G01550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHLVVAMLLLLALSPPAVADDTAVLGRKGGVVEGQAAGPGRYAVILDAGSTGTRVHVFRFDNKLDLLKVGDNIELFAKVRDVVHTKSKYQYNPNWINVFEGSQEGSYIWVALNYLLDKLGGDYSKTVGVVDLGGVVDLGGGSVQMAYAISSNTAATAPKVPEGKDPYVVKEYLKGKDYNIYVHSYLHYGGFASRAHILERKDGPFSNCMLRGFSGNFTYNGKQYDATAAPQGADYHKCREEVVKLLKVNAPCETKNCSFNGVWNGGGGAGQDDLYVASAFYYIASHVGFINSDAPSAKSTPATFKAVAEKVCKLSVKEAKVEYPNVRDHAYLCMDLIYEYSLLVDGFGLHPSKEITLVDKVKHGEYYIDAAWPLGTAIEAVDPGLSSYAGRPQEAAKSIMPLLDKANHAIPIWLMNKTPLELGMTRQTRFLKRLGGDYSKTVGVIDLGGGSVQMAYAISSGTAANAPEMPDGQDPYIIKEYLKGRDYNVYVHSYLHYGARASRVEILKRKNGTFSNCMLRGFCGKYIYNGEQYDATAAPQGADYHKCRDDVVKALNLDAPCETNNCSFNGVWNGGGGAGQDELYVATSFYYMASDPVARAAACVAAACGGGVTAGQLKTTALNLDAPCETNNCSFNGVWNGGGGAGQDELYVATSFYYMASDVSD >ORUFI12G01550.3 pep chromosome:OR_W1943:12:1073574:1091006:1 gene:ORUFI12G01550 transcript:ORUFI12G01550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHLVVAMLLLLALSPPAVADDTAVLGRKGGVVEGQAAGPGRYAVILDAGSTGTRVHVFRFDNKLDLLKVGDNIELFAKVRDVVHTKSKYQYNPNWINVFEGSQEGSYIWVALNYLLDKLGGDYSKTVGVVDLGGVVDLGGGSVQMAYAISSNTAATAPKVPEGKDPYVVKEYLKGKDYNIYVHSYLHYGGFASRAHILERKDGPFSNCMLRGFSGNFTYNGKQYDATAAPQGADYHKCREEVVKLLKVNAPCETKNCSFNGVWNGGGGAGQDDLYVASAFYYIASHVGFINSDAPSAKSTPATFKAVAEKVCKLSVKEAKVEYPNVRDHAYLCMDLIYEYSLLVDGFGLHPSKEITLVDKVKHGEYYIDAAWPLGTAIEAVDPGLSSYAGRPQEAAKSIMPLLDKANHAIPIWLMNKTPLELGVRDVVHTKTKFQYNPNWINVLRGDYSKTVGVIDLGGGSVQMAYAISSGTAANAPEMPDGQDPYIIKEYLKGRDYNVYVHSYLHYGARASRVEILKRKNGTFSNCMLRGFCGKYIYNGEQYDATAAPQGADYHKCRDDVVKALNLDAPCETNNCSFNGVWNGGGGAGQDELYVATSFYYMASDPVARAAACVAAACGGGVTAGQLKTTALNLDAPCETNNCSFNGVWNGGGGAGQDELYVATSFYYMASDVSD >ORUFI12G01550.4 pep chromosome:OR_W1943:12:1073574:1091006:1 gene:ORUFI12G01550 transcript:ORUFI12G01550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHLVVAMLLLLALSPPAVADDTAVLGRKGGVVEGQAAGPGRYAVILDAGSTGTRVHVFRFDNKLDLLKVGDNIELFAKVRDVVHTKSKYQYNPNWINVFEGSQEGSYIWVALNYLLDKLGGDYSKTVGVVDLGGVVDLGGGSVQMAYAISSNTAATAPKVPEGKDPYVVKEYLKGKDYNIYVHSYLHYGGFASRAHILERKDGPFSNCMLRGFSGNFTYNGKQYDATAAPQGADYHKCREEVVKLLKVNAPCETKNCSFNGVWNGGGGAGQDDLYVASAFYYIASHVGFINSDAPSAKSTPATFKAVAEKVCKLSVKEAKVEYPNVRDHAYLCMDLIYEYSLLVDGFGLHPSKEITLVDKVKHGEYYIDAAWPLGTAIEAVDPGLSSYAGRPQEAAKSIMPLLDKANHAIPIWLMNKTPLELGVPQLLDSDLLEMTRQTRFLKRLGGDYSKTVGVIDLGGGSVQMAYAISSGTAANAPEMPDGQDPYIIKEYLKGRDYNVYVHSYLHYGARASRVEILKRKNGTFSNCMLRGFCGKYIYNGEQYDATAAPQGADYHKCRDDVVKALNLDAPCETNNCSFNGVWNGGGGAGQDELYVATSFYYMASDPVARAAACVAAACGGGVTAGQLKTTALNLDAPCETNNCSFNGVWNGGGGAGQDELYVATSFYYMASDVSD >ORUFI12G01560.1 pep chromosome:OR_W1943:12:1090433:1094999:-1 gene:ORUFI12G01560 transcript:ORUFI12G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGQCGREDERNHGVTWWSRRLLRGRVVVMDVFKLFIDVETGRNVELVLPSATAAVPHSVEAAVVGFAGRVQAHLGTYDVQGL >ORUFI12G01570.1 pep chromosome:OR_W1943:12:1097217:1105928:1 gene:ORUFI12G01570 transcript:ORUFI12G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGGAMPALPPGFRFHPTDEELIVHYLMNQAASVKCPVPIIAEVNIYKCNPWDLPGKALFGEKNEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKSILSTPTSDNIGVKKALVFYKGKPPKGVKTDWIMHEYRLTGTSANNTTTTKQRRASSMTMRLDDWVLCRIHKKSNDFNSSDQHDQEPEGSTVDEQLEDIHDNNSSSQQPPAPPDMNNQQSDFQPMTAMSMSKSCSLTDLLNNLDCAALSQFLLDGSSDAIAELPAPPSPLIYPNQTLNYNINNNMPHAFESRLDHHDGYVNNYNVNGLRRKRMMACSATSFDDGSSSSSDFLHVAKKPLLLPSDSRGSGFGGGYCNQQLSETATGFQFQNGNMLSHPFPLNQQLLLNNHLQMHLASIQAREQAS >ORUFI12G01570.2 pep chromosome:OR_W1943:12:1105781:1107781:1 gene:ORUFI12G01570 transcript:ORUFI12G01570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESTTSLVKLEQDGGLFLPPGFRFHPTDAEVILSYLLQKLLNPSFTSLPIGEVDLNKCEPWDLPSKAKMGEKEWYFFSHKDMKYPTGMRTNRATKEGYWKATGKDREIFRQPAAVNTSSYGGSSNKKKQLVGMKKTLVFYMGRAPKGTKTNWVMHEFRLHANLHNHHPNLRLNPKDEWVVCKVFHKKQGDEAINNQQQQPQYAAVDQYSAETPNSGSSVVQAGDIDGGDDFFQLDDIIDPSIYFVSNSSNILSAPPNNNNAVYSVSASTTTTNTTAVSFQQQPNYYSLINKSSSSSSSNYSAPLQQHVSSWNNTPGAGGAHGIGSSYYNLQQQQAAMVKALENVIAVPNFGTLLPSSNKLKGLSKSAMAGVTQQNPLGVPQYKIENYGDHYISRQ >ORUFI12G01580.1 pep chromosome:OR_W1943:12:1110735:1114601:-1 gene:ORUFI12G01580 transcript:ORUFI12G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWAAAVAVMLLLAQVSAAAPVMGPAFLWAPKNYGFSSDETKEMVYYQTVSPKSLVKSVLEEGGWSNLVCSREDHAKSVDVAVLFLGSKLQSSDISKEKQADSTLVDMLKNSFASSEFSMAFPYVAMSDDDKLEKSLLSGFAENCNNGLGNNHITYTDTCSVSEDLKKHHDMDSIHGLVASQTKKNPSGQTDLVVFCDGGFKDNTKSEGELLSELVTLLKKSGAKYTILYASQPYGLLESPSNLPLGRYLAEKTNTTKPGRGKCDGECLVKSTLLEGSFVGIVLLIILISGLKCMMGIDTPSKFDAPPES >ORUFI12G01590.1 pep chromosome:OR_W1943:12:1115364:1118084:1 gene:ORUFI12G01590 transcript:ORUFI12G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVATPSLLFSSPTPRRPSSCLSLPPPCSSSYASNGAKLQQPRLQFVSQLTSRNSNGSGRRSISILSLRCSSSGTDSASSSATSERWVLEPAGDGDWRHIGYRVARPGGFQIASEAAVTVGRVPEQADIVLSVATVSGTHARLEKKEGSLLVTDLESTNGTYINERRLSPGFPTPIDPGDIHLAMFRVSKMVVDVPSDASGAEQEAAETAQVSAAAQQTN >ORUFI12G01600.1 pep chromosome:OR_W1943:12:1118623:1122585:-1 gene:ORUFI12G01600 transcript:ORUFI12G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKDLQELQDLVEEIKSGLQVAGDNAIGNKPPSNWLKKLKDFAYDLEDLVHEFHLQSEKHDTDNDRDKYAVLKYMRTKPKLAMFQLKMANKIKTIKNRFAAIVEQRGDVNTILNAIPIDHNVHKNKIISESSLLGNVDDSKIHVRDEEKHKIICKLIDDQQKISIVSIVGLGGTGKTTMATHICHDNKIKEHFEGSIFWVHVSQEFDNNKLVGKLYEAILKKTSYLRTDQQMVEAISNELNGNKFLLVLDDAWHKNQYDWERFMLYLKSGSPGSRILLTTRDQGVAEAVESTCTYKLAFLSDEDSWNLFQQSLRLAAKGLPSEFVEIGREIIKKCGGVPLAIKILAGVLRNKKTVDAWCALRDSNMWNVDDIEDRVFASLRLSYFHLPDHLKQCFVYCSIFPKGYKIYKHQLIGEWIANGFINPMNEIEQVEDVANDCFDSLLKVHFLQDLEVDEYDEMEICKMHDLVLDLTRQILQGEMVSHSQNATIGNSQKCRYLSLASCNENIEVKLFSKVHAIYISGDNFALNKPIKKRCHVRSIILESMGATNLLLPLIPKFEYLSYFRISHASCRAFPEEISHCWNLQALHVTYCRALTTLPESIGKLKKLRTLELSCLLDLESLPQSIGDCHNLQSFLLRGSGIREIPNSICKIKKLRVLNIMHCRSLRQQWSEFFGTLCNLQSINLAQIEGIHNLFSSFACHKLRTLTLSGTEITRLPQCLTLVSTLEYIDLQNCWGLLELSEGIGNLERLEVLNLKGCSNLGGLPVGIGQLTHLQRLHLFVIGGSSEHARISGLRNLNLLTDNDLEIKIIKYVEDPDDAEKASLKEKSGILNLTLDWSSNGAEGCSDSLEEEPLLDMEKELRVLNGLEPPSQIKKLNIYNYKDSLLEQIDPPHFTQLTKLVLEQFPNLENLQGLARLPSLNTFVLKGMPNLVELWTSSPALESSSICFSVDSPHLKKLELGGMAGSSSGWEVLQNLTGLESLSIYSSDLRQLGEIIRSLTSLQYLCISGCPVLAMLPEWLGGFRSLQTLVLKEIPLLASLPKSIMLLTSLEKLAIVECDNLKELPEVVNHLTSLKELDISSCRNLSQLPEGIQHLTNLEDLSIQDCLALHKLPEGLGMLGSLEDLMINILPVLTTLLESMQGLTSLRHINLMSCPMLTVLPESLGQLSALRSLYMQSCTGLRSLPSSIQHLTSLQHLVISYNPTLSRHYKNRVGKDWHIISHIPVVEIRD >ORUFI12G01610.1 pep chromosome:OR_W1943:12:1129541:1130171:-1 gene:ORUFI12G01610 transcript:ORUFI12G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTRPPPGTVQCFGRKKTAVAVSYCKPGRGLIKVNGVPIELIRPEMLRLKAFEPILLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEASKKEVKDIFARYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >ORUFI12G01620.1 pep chromosome:OR_W1943:12:1132895:1135370:-1 gene:ORUFI12G01620 transcript:ORUFI12G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLPPEFHFGLLGWSPPTDGAADAAMWPDVGSGAAAPRYPGGLNQQHSVEYWLTLDLLSSSSPPCGAAVRVADSRDADVVFVPFFASLSYNRHSRVVPPEKVSRDKELQEKLVRYLMAQPEWKRSGGADHVIVAHHPNSLLHARSVLFPVVFVLSDFGRYHPRVASLEKDDVIAPYKHMAKTFVNDSAGFDDRPTLLYFRGAIFRKEGGNIRQELYYMLKDEKDVYFAFGSVQDHGASKASKGMHASKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPYEDALDYSKFSIFVRSSDAVKKGYLMRLIRGVSKHQWTRMWNRLKEVDKHFEYQYPSQKDDAVQMIWQALARKVPAIRLKSHRSRRFSRYDRGK >ORUFI12G01630.1 pep chromosome:OR_W1943:12:1140414:1142037:1 gene:ORUFI12G01630 transcript:ORUFI12G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADGTAAALLCAACGCHRSFHRREVEAAAAECDCSSDTSSGTGRRAVISVSDCHCRNHNLTN >ORUFI12G01640.1 pep chromosome:OR_W1943:12:1145398:1153005:1 gene:ORUFI12G01640 transcript:ORUFI12G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEQHINGSGNLDPSGVGAVVTLKDGTKIRAPEASRIAYEDEPRLMLLREWSLFDSMLCSSYLKTWSDNGLKKLKLLLARMGFPLADCQKRFQYMSMEVKRKMQLRLDSFYARLHAAAAASAADASSPLLILPSTADADALCALKVLTHVLSADSIRFSIYPVASAAAAASLLASFSASQPLCLLLINWGAHRDLRAVLPPAATAFVVDSHRPIHLHNLSAANDRVVVLFTTDDEHTADLSYDFDVSSLADASDLSAQGEADDHLRVAEEDEDSDASDSDSDGEGGRRKRRRLSDDAEADGDPERLVHGYRSKVSAADVVYGVTALLESLNAESKDSKGSSAAEQFWVAYSALSLSNVDQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTDKLCQPQALTKFCFFLMDALRERGARMKPLICACLAREPEKVLVVGVCGKPRLGAVKGNAFGNAFRSAAEEIGADYFHDMFESSWIVLDVVAVSSFMIRLTEKL >ORUFI12G01650.1 pep chromosome:OR_W1943:12:1154279:1155430:-1 gene:ORUFI12G01650 transcript:ORUFI12G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARLRMTGWAAGGGLLSFLFHPKEQQRSWREKKDKMGQEICSAIPPDLQDYDRNFNIGREQTTKRKGQLFHLDY >ORUFI12G01660.1 pep chromosome:OR_W1943:12:1161069:1166087:1 gene:ORUFI12G01660 transcript:ORUFI12G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSSLEMLSMLEAYEEDGQSAKGERLAMAAIWVTSELLLTVWLPPAAEGKVASAKMGWRLEDEVELAAAASFFLRWVFQ >ORUFI12G01660.2 pep chromosome:OR_W1943:12:1155295:1163633:1 gene:ORUFI12G01660 transcript:ORUFI12G01660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCGWARKNVTWSASPRWSKACLIWGWNTKKHIAAVQSYSLCFTMFTRQKQRILQQPSERSMRSATCSAAASSNSHFSIDDSGGAAWSAPDMQSKDDSKGLPPTSTAGLTSSGGGGGDGELVFMKPSSSLEMLSMLEAYEEDGQSAKGERLAMAAIWVTSELLLTVWLPPAAEGKVASAKMGWRLEDEVELAAAASFFLRWVFQ >ORUFI12G01660.3 pep chromosome:OR_W1943:12:1155295:1166089:1 gene:ORUFI12G01660 transcript:ORUFI12G01660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCGWARKNVTWSASPRWSKACLIWGWNTKKHIAAVQSYSLCFTMFTRQKQRILQVGDLLRRRLVEQPLLDR >ORUFI12G01670.1 pep chromosome:OR_W1943:12:1162832:1164262:-1 gene:ORUFI12G01670 transcript:ORUFI12G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKEGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIKRGNFTAHEEGIIVHLQSLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLAAAANSTSSSNRHPIFADATFPSAAGGSHTVSSNSDVTQMAAIARRSPFADCPSSSYASSMDNISKLLDGFMKTNSPSPPPPPLQHYDSGYYDDVKPAVDVGGNPLLSSFDCMSGADLDCCFDQHQQQQPASFMEYGGYGGGYGDESKQQLMNQAAPPLSSIEKWLFDEAAAEQVADLMDLSDGCCSVPMMF >ORUFI12G01680.1 pep chromosome:OR_W1943:12:1164538:1166111:-1 gene:ORUFI12G01680 transcript:ORUFI12G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAAPPLSSIEKWLFDEAAAEQVADLMDLSDGCCSVPMMCGRVIVTDSCDAVDRKRKHPTYRQIDRSIDNQIN >ORUFI12G01690.1 pep chromosome:OR_W1943:12:1171813:1172452:1 gene:ORUFI12G01690 transcript:ORUFI12G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAYPLLHRQATAATGGGQWHNLGAAYAAVRFLRPQGRSLVLYSGPDGGAQQRIVFAYPILPGDAFERMDGETLSWEEPECGDEFALCFLDEAACAAVSGAISPVTESLAALDGLAERLAGLRVAREEGAPAGVDIAGRLAAISMGRP >ORUFI12G01700.1 pep chromosome:OR_W1943:12:1173576:1180536:-1 gene:ORUFI12G01700 transcript:ORUFI12G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVRSVLPVVLLGCGGVGRHLLRHILSCRPLHANQGVAIRVLGVADSSSLLVADDLHSNGFDDALLADLCAAKSAGSPLSSLLSRGQCQLFNNPEARRKVIDTASVLGKTTGLVLVDCSATYDTVGMLKDAVDRGCCVVLANKKPLTCAYVMSSLSLPSGTLGYVMSELEDGKRFSEVVKTAKSLGYTEPDPRDDLSGMDVARKVESLYPSEFGPDAMSTKDFLESGLVQLDKSIEERVKAASLKGNVLRYVCKIESTGCQVGLEELPKNSALGRLRGSDNVMDYVKDAKPLLKKMEKNRIGLKRSAFYMAYAVYYEKHKRFEDAENMYRLGTQNLAEPVGELQKAHEQFIRRVELYKRRKSRVQQERMPNKVQSIAISKNEVEGQSRSCTKPKSNPVQRSGSGSNPHLGFPHPLGRPLSRGTSGETMSLSRHNSDDTVVVRFVGSALVGKSETEDACHHGLVEPTINTKEAMDAISSMFLEPLEPETKLKRRSNRDKPSFNQEASAFEIFVDEDEPNKSGPSKLQDKNMKQDNPKLSQQASAFEIFVDEDDPYCNNQNMVQHRHFNKENTQVNQNASGFEIFVDENEAHGNGRNAMSHKSSGCPPKPSRDSKQQANFDFQKPFVGGFAILPDDEDEQLEKNDNGVKINSGTVQLTDDKDTSLCSRQTDSKIRCDDLRPAISGLREDTVFHRFVGSAVVGEPKVENACHHGLVEPTVNLKEAMDDINNMFGIPLNFKGEKPKNKKTTALSERKAALLSGFSILADDEPGENPAAQVKPSNASKFECQSGLFEPTITTRDVMAEINDMFGMPLDF >ORUFI12G01710.1 pep chromosome:OR_W1943:12:1181379:1184947:-1 gene:ORUFI12G01710 transcript:ORUFI12G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTEDDERLTDPLLEPKPAINGGGGGSNEEEEEVGSLGRRLVEENKKLWVVAGPSICARATSFGATVVSQAFIGHIGATELAAYALVSTVLMRLSVGILIGMASALETLCGQSYGAKQYHMLGIYLQRSWIVLFCCAVILLPIYLFTTPLLIALGQDPDISVVAGTISLWYIPIMFSYVWGLTIQMYLQSQSKNMIVTYLSLLNFGLHLFLSWLMVVKFHLGLAGVMGSTVIACWIPIFGQLAYVFFGGCPQTWTGFSSSAFTDLGAIIKLSISSGVMLCVELWYNTILVLLTGYMKNAEVALDALSICLNINGWEMMIAIGFLAATGVRVANELGAGSARRAMFAIFNVVTTSFLIGFVFFVLFLFFRGSLAYIFTESQEVVDAVADLAPLLAFSILLNSVQPVLSGVAIGSGWQSIVAYVNVASYYLIGIPIGAILGYALGFEVKGIWIGMLVGTLVQTLVLLFITLRTDWEKQVEIARERLNRWYTDDNGRSQNSRGNP >ORUFI12G01720.1 pep chromosome:OR_W1943:12:1201785:1203285:-1 gene:ORUFI12G01720 transcript:ORUFI12G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLSSGVMLCLELWYNTILVLLTSYMKNAEVALDALSICLNINGWEMMISIGFLSAIGVRVANELGAGSARRAKFAIFNVVTTSFLIGFVLFVLFLFFRGSLAYIFTESKAVADEVADLAPLLAFSILLNSVQPVLSGVAIGSGWQSIVAYVNVTSYYLIGIPLGAILGYVLGFQVKVEITRERLNRWYMDENGRSQNSIGNA >ORUFI12G01730.1 pep chromosome:OR_W1943:12:1215569:1219880:-1 gene:ORUFI12G01730 transcript:ORUFI12G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPGDEHDDCRTAPLLEPKHAHGEGSNNDKQEEDEEEVGSLGRRVLVESKKLWVVAGPSICARFSTFGVTVISQAFIGHIGATELAGYALVSTVLMRFSGGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIVLLCCAVLLLPIYLFTTPLLIFLGQDPKIAAMAGTISLWYIPVMISNVGNFTLQMYLQAQSKNMIVTYLAMLNLGLHLFLSWLLTVQFHLGLAGVMGSMVIAYWIPVFGQLAFVFFGGCPLTWTGFSSAAFTELGAIVKLSLSSGVMLCVELWYNTILVLLTGYMKNAEIALDALSICLNINGWEMMISIGFLSATGVRVANELGAGSARRAKFAIFNVVTTSFSIGFMLFVLFLIFRGRLAYIFTESKVVADAVAELSPLLAFSILLNSIQPVLSGVAVGSGWQSVVAYVNVTSYYLFGIPIGVILGYVLGFQVKGIWIGMLLGTLVQTIVLLFITLRTDWEKQVEIARQRLNRWSMDENGRQQNPGENP >ORUFI12G01740.1 pep chromosome:OR_W1943:12:1227091:1228723:-1 gene:ORUFI12G01740 transcript:ORUFI12G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPPPPLISTLNGTAAEVARGVNFAGEDGGRGAIFRLGAGYSARVAARLAALRPRLPGADITRKACCGLGPFGGTVGCLTKEMVCPTPQRHVWWDLYSPTEVVTSLLANWSWSAPSHSNTTICRPITLEMLTGHMSPISPSKS >ORUFI12G01750.1 pep chromosome:OR_W1943:12:1228778:1229023:-1 gene:ORUFI12G01750 transcript:ORUFI12G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLLLLLLLRIVASAPASLPATALFVLGDSTASCAATTLPINLSLTSSSGTCLFPSAHRLLPDLLGNQPPSSSSTDEL >ORUFI12G01760.1 pep chromosome:OR_W1943:12:1229193:1231096:1 gene:ORUFI12G01760 transcript:ORUFI12G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIKRKPYTADIDRSEKQMETIIPDSVREPLLGNRTHESKSERHEPNMQPNLWDGKGQERLGWMHIISTFIAQSVRKIGNALSQFGPLLAKFFSRSCASHGSHDEQAVLLDLSPLQEQ >ORUFI12G01770.1 pep chromosome:OR_W1943:12:1232055:1237194:-1 gene:ORUFI12G01770 transcript:ORUFI12G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSSPDPASSSPSASSSPSSPSSSSSEDSSSPMSMPCKRRARPRTEKSTGKAKRPKKESKEVADPSSNGGGGGKRSSIYRGVTRHRWTGRFEAHLWDKNCSTSLQNKKKGRQGAYDSEEAAARAYDLAALKYWGPETVLNFPVNTQEEAAKAYDLAAIEYRGANAVTNFDISCYLDQPQLLAQLQQEPQLLAQLQQEPQVVPALHEEPQDDDRSENAVQELSSSEANTSSDNNEPLAADDSAECMNEPLPIVDGIEESLWSPCLDYELDTMPGAYFSNSMNFSECNSMNFSEWFNDEAFEGGMEYLFEGCSSMAEYNLFEECNMLEKDISDFLDKDISDFLDKDISISDRERISPQANNISCPQKMISVCN >ORUFI12G01780.1 pep chromosome:OR_W1943:12:1243164:1244170:-1 gene:ORUFI12G01780 transcript:ORUFI12G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFEECVSISTLDSTDFSSLEDPQINSVVNIPQKNRNTSFDKGGSSIAETHYPTDRHWHNEESDNQTQCSVSLTGSDVSGGHTYSHTMMTPVSRTTNSSSDDLDGFDTPKGRSSCFSFTHEPTKTVEGDDVQQYLRSFGRGISKDLREIRSNYCDDDYVFQKMNADLIMDTVTFKNMVNFGGLLICNIRRY >ORUFI12G01790.1 pep chromosome:OR_W1943:12:1249845:1250246:1 gene:ORUFI12G01790 transcript:ORUFI12G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNCHTRTQYCNYHHITVDQQSIITMKKKALHRMFAAIFLLHILLAVTAAASPKTSTGLLRDGNNNAVAAVAARSSRRLLLQQQQPRAAAPTAAMATNTFRVNGVHQANGEPKVEFDASMKHNPGTNFNPRHN >ORUFI12G01800.1 pep chromosome:OR_W1943:12:1251501:1258944:-1 gene:ORUFI12G01800 transcript:ORUFI12G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKAEAKAETIGGGGGGSGSFSEQAFVEKLNKLNNTATRIQTLSNWCIFHRKRARKVVDTWEKQYNSANKDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLIDIWDERKVFGTRIESLKNDILGGSTHTMGNNVNSSNPSPNPSSVSKAARKDSGTVTRKLTVGGMPEKIVTAYQSVLDQHFDEDTALNKCNNAVSVLDRMDKDVDDACTQGIQQGSSLISDLQGQETVLKQCIEQLESVNMARITLINKLRKALGEQEAKSELLRNQLLVARAKAEHAMQLKQRLGSALNNGAGSSSSPLMVTLPPGQTAAMMQNSAAMPIFPQFQPLHPATSLPATSSAVGDEPKKTAAAMADKLASLSAPEKVLSSIFSSLAAEQARNSGSTSGDLSAGPPGFESNKKPRLDNPIHASDMSAPPFFGQLPQVQPQIGATAALGGTQPPTQANQAAGSFPPPPPPLPLMPQFVQNTGGMFGMGPFGMVSGSAPPPPPLPNIMSAGFPRLSVPPPLPLPTQSQNQSQPQQQQSPQAPQQSPTSTGFFQPPGAGFFPPVQVQQSPSAQRQ >ORUFI12G01800.2 pep chromosome:OR_W1943:12:1251501:1258944:-1 gene:ORUFI12G01800 transcript:ORUFI12G01800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKAEAKAETIGGGGGGSGSFSEQAFVEKLNKLNNTATRIQSILSSALSNWCIFHRKRARKVVDTWEKQYNSANKDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLIDIWDERKVFGTRIESLKNDILGGSTHTMGNNVNSSNPSPNPSSVSKAARKDSGTVTRKLTVGGMPEKIVTAYQSVLDQHFDEDTALNKCNNAVSVLDRMDKDVDDACTQGIQQGSSLISDLQGQETVLKQCIEQLESVNMARITLINKLRKALGEQEAKSELLRNQLLVARAKAEHAMQLKQRLGSALNNGAGSSSSPLMVTLPPGQTAAMMQNSAAMPIFPQFQPLHPATSLPATSSAVGDEPKKTAAAMADKLASLSAPEKVLSSIFSSLAAEQARNSGSTSGDLSAGPPGFESNKKPRLDNPIHASDMSAPPFFGQLPQVQPQIGATAALGGTQPPTQANQAAGSFPPPPPPLPLMPQFVQNTGGMFGMGPFGMVSGSAPPPPPLPNIMSAGFPRLSVPPPLPLPTQSQNQSQPQQQQSPQAPQQSPTSTGFFQPPGAGFFPPVQVQQSPSAQRQ >ORUFI12G01810.1 pep chromosome:OR_W1943:12:1260691:1261641:-1 gene:ORUFI12G01810 transcript:ORUFI12G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALAPCRSAASLHAARLLPQQRTPTAPRILLPAGGLLLRPQPPLHHPQRRSSSRRDLRCRRRLLTARGDYDFYENYADEEGDEEEESEVIGGSFDAAVALFNGGEFHACHDVVEELWYTAEEPTRTLLHAILQCAVAFHHLFNQNHRGAMMELGEGLCKLRKLRLDDDDDTTSPFSRFEEEVAAALNFIYRTQKELAACTDDLCLTMDGSATSYQLLGNFAAGQKLYRLETATGADGDGDGVPTIIFSASSRLVRVKLPTLSATEQHLAALQCTSEYI >ORUFI12G01820.1 pep chromosome:OR_W1943:12:1263292:1265496:1 gene:ORUFI12G01820 transcript:ORUFI12G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSWRHHTLLQALLSRGPLSERDFHALFSAISGGKNPATHRHLFNDTLLKINKELAYLQFELRAGINQYDGTVYYGVVNNIADEESKLGSKFSVPQIAFYKGLLEAIVHEAGNDGSITNIDALNTRIENQVVIADASQGSQSRLPTSITNFSLSQKEKTLNELIQDRWLSYTPTGKIGLGIRSFLDLRSWLRSNDIPSCEVCNEACIKASSCPNEGCNVRIHVYCLKKKFSQRKASRACGCGTEWPRLEGEDDGAEDVNEPEEDQVPSANQHSRTRRRGVKSELVEENERAGPSARMTRRSLRSSKAEAVEAAQEVPSAAGPSQSTRASKRRKN >ORUFI12G01830.1 pep chromosome:OR_W1943:12:1268167:1268994:-1 gene:ORUFI12G01830 transcript:ORUFI12G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPMPAPPPPSSSPPPGHDAAAATETTPLHPSFRGAPPPSPGTYIIQIPKDQVLRVPPPDRARRYKKLAARPARRRRLRHACCGAFCGVLLLLLLAAAFVGVVYLVFRPLAPSFSVASLSIRGLDALAVSSLTPQIDAAVRADNGANKKTGIDYRGGGEVTVSYSGERLAAGPWPAFHQAPRNVTVFSTALAGGGVSLTEEQRKQLAADQAAGAVPLAVDAIVPVRLRFGKVLRTWTVDVKARCEVTVNKLAAAPANRGCMVKVRPLWWWW >ORUFI12G01840.1 pep chromosome:OR_W1943:12:1273649:1275041:-1 gene:ORUFI12G01840 transcript:ORUFI12G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNVLVSSKIHGRSKSTTAKRNCIICGQRISKRRRTQHNFQKISRGQLNLQRTRPCLLNFQSLPEDIVLRVISKLTLKEVARLSVVSTNWRQAWTFHPNLYFGIKTVLGNNAKRKGTSSDLNCRISSANKFIKRVDAILEKHCGTMLISKCHVLEWLSIQSCNQLHNLHVSEPLCRLQCLSIQGCHLQRMELHAPNLTTFEYDGSLALVTLNECSNIKASTIRLFDEKTLQNILTGIPSVLPHVETLYVEVNVKTQMSGFTQSPLKFTQLKCNFGNNF >ORUFI12G01840.2 pep chromosome:OR_W1943:12:1271583:1275041:-1 gene:ORUFI12G01840 transcript:ORUFI12G01840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNVLVSSKIHGRSKSTTAKRNCIICGQRISKRRRTQHNFQKISRGQLNLQRTRPCLLNFQSLPEDIVLRVISKLTLKEVARLSVVSTNWRQAWTFHPNLYFGIKTVLGNNAKRKGTSSDLNCRISSANKFIKRVDAILEKHCGTMLISKCHVLEWLSIQSCNQLHNLHVSEPLCRLQCLSIQGCHLQRMELHAPNLTTFEYDGSLALVTLNECSNIKASTIRLFDEKTLQNILTGIPSVLPHVETLYVEVNVKTQMYCSLNRCPLDLDDIVDQPHYHLKMVCIFGFCGNTGQVELAKYILRNALILEQMIIDRKGRYRLDGCFGREEADEKLVPEDMDGVLTIL >ORUFI12G01840.3 pep chromosome:OR_W1943:12:1271583:1272692:-1 gene:ORUFI12G01840 transcript:ORUFI12G01840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRTVREGFKVSRAEEQFVKAPSLNRCPLDLDDIVDQPHYHLKMVCIFGFCGNTGQVELAKYILRNALILEQMIIDRKGRYRLDGCFGREEADEKLVPEDMDGVLTIL >ORUFI12G01850.1 pep chromosome:OR_W1943:12:1272592:1273149:1 gene:ORUFI12G01850 transcript:ORUFI12G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLLNEVDLGAFTNCSSALDTLKPSRTVLRLFIDSEMLLMMMTIDLHKLFFGPLTDDETLMLMKALHKLFFGPSLIDDDDEKLRCGDDEVTMKASSDGELMMMRTSSDREMIHRWVSSFLLLCSRRTLPKPHAAGRQADNHNGHAAQQWRRAAMTSVQGRPAKTGAVADDGGGLPPAARTRSAT >ORUFI12G01860.1 pep chromosome:OR_W1943:12:1275398:1277765:1 gene:ORUFI12G01860 transcript:ORUFI12G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRAESELELVEAEAEAEAEAEAEKNGRRAAGSQDGVNRAFILECSKHSDGSIYSGDDFWHRFYKVADTRETRMEAMMLSNPTNCRPHMWACKAHSVQFMMQIFSLKLSNITAAVDGPVHLYGYFAVRDHLDPLRNYIFNRTRDDPFIMGQDNGVDSDNSLIPMSGPKRGIGNQVRVLIEFDMKIKNGETQDDDFQLIDGAIICSEFVLPDRVFTQRIEGDCGAVDISRALFHEAVEATIQVSISQVHVNGLSLSLYSYTSRIPEKIRLFDGVISKPCDLNRVVAVVENTPLFLIFRAVHRDGCS >ORUFI12G01870.1 pep chromosome:OR_W1943:12:1281546:1282519:-1 gene:ORUFI12G01870 transcript:ORUFI12G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDSPLQETWTPLSYFSARRHRKHGNLYVRHSTSLHHNSFKLEKDEISENDAHKSHNSQSDGDAKQERNNSTLFGSLEAHIGEEIKILGMAISEVGVLEVNSGMVSSNQNADFSDDISSSPFQKPRQSTFEAKDTVHAGIEQLTSCSPYKVNNFEAHIVEADSIDEFNSLFKCRMEEVLVQSISKSSISQPLTVKLEDELSEPLSPDSGTGTHFIDGSSVEDSDPRFAQLNDEALVSSTSNATCRNESIEEKSSEALLAGNEDYSELPNELLKSGDPQTADSSEIQVQVIDATGH >ORUFI12G01880.1 pep chromosome:OR_W1943:12:1289340:1289900:1 gene:ORUFI12G01880 transcript:ORUFI12G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLDSSTSSSPWLPPDLMPPPPPPPPAAATLHHHFRAAAAAPGRRRIAKRRPRPSRRLPTTYISADPANFRRMVHQVTGADDLPPPPPSLSLQQTTELLLPAVHAAPAGSPGAAGALMLPTLDTSAFLLGRRAEPAAAAPPCDESVALVRGGAGNYGSNNNSISSSSSSGNCGGGFPTLDSWDLL >ORUFI12G01890.1 pep chromosome:OR_W1943:12:1292866:1295872:-1 gene:ORUFI12G01890 transcript:ORUFI12G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTLPLLLVHRSTPPTPRPTAPPLLHSRRLALPPRPASLPATTAVVHPRKGVRLSKLHAASCCDSASAAGVAAGGGGGGGGAKGEMDWRLLLAWYLLALDKHPITTKAVTSAVLTLTGDLICQLAIDKVPKLDLKRTFVFTFLGLVLVGPTLHVWYLYLSKLVMINGASGAIARLLLDQFIFSPIFIGVFMSLLVTLEGKPSLVVPKLKQEWLSSVIANWQLWIPFQFLNFYFVPQKFQVLAANFVALAWNVILSFKAHKEVTVISTTFHYTEGISIGISSIKTLVSSSIAVFKLFAISLACLCFPAAVY >ORUFI12G01890.2 pep chromosome:OR_W1943:12:1292727:1295872:-1 gene:ORUFI12G01890 transcript:ORUFI12G01890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTLPLLLVHRSTPPTPRPTAPPLLHSRRLALPPRPASLPATTAVVHPRKGVRLSKLHAASCCDSASAAGVAAGGGGGGGGAKGEMDWRLLLAWYLLALDKHPITTKAVTSAVLTLTGDLICQLAIDKVPKLDLKRTFVFTFLGLVLVGPTLHVWYLYLSKLVMINGASGAIARLLLDQFIFSPIFIGVFMSLLVTLEGKPSLVVPKLKQEWLSSVIANWQLWIPFQFLNFYFVPQKFQVLAANFVALAWNVILSFKAHKEVTGVFLTGIAVLKAAK >ORUFI12G01890.3 pep chromosome:OR_W1943:12:1292866:1295872:-1 gene:ORUFI12G01890 transcript:ORUFI12G01890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTLPLLLVHRSTPPTPRPTAPPLLHSRRLALPPRPASLPATTAVVHPRKGVRLSKLHAASCCDSASAAGVAAGGGGGGGGAKGEMDWRLLLAWYLLALDKHPITTKAVTSAVLTLTGDLICQLAIDKVPKLDLKRTFVFTFLGLVLVGPTLHVWYLYLSKLVMINGASGAIARLLLDQFIFSPIFIGVFMSLLVTLEGKPSLVVPKLKQEWLSSVIANWQLWIPFQFLNFYFVPQKFQVLAANFVALAWNVILSFKAHKEVTGISIGISSIKTLVSSSIAVFKLFAISLACLCFPAAVY >ORUFI12G01900.1 pep chromosome:OR_W1943:12:1298840:1300033:1 gene:ORUFI12G01900 transcript:ORUFI12G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVRLPEQQRRRPLTIASLPEEIMSEILLLLPPKSILQCRAVCKAWRDVTSDRAFLLTHHRRQPPQRLLTFIRDVGSHHDDLDILDYCVEAVDFRTHQFQSLARFTGQDYDCSLEDSPFTVHASCDGLLLMSYNNYLHLCNPTTRQWLWVSPPALQHDKVVGLYAHGHPSEYRVLYYREFGLGRTFYITTVGSRKERRIWPHSSSESLRKWLTKGTEDTEFNKPFLFHGNLHWLPQSGRQNKIVVFDTLDEAFRWLHVPFKMHNVSSLLEIEGSLAMSNSHIGSSKVDLWLLQDYKHMVWVHKYRIELPVIEIRRLVEDDVWFLHIVSQEGDVLVDGSYWQFHYDMKGNLLEKFQCSGRMLNITPHILQESLVPHEVFQILDNESRHAPHFFRGL >ORUFI12G01910.1 pep chromosome:OR_W1943:12:1302259:1304076:1 gene:ORUFI12G01910 transcript:ORUFI12G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPATAAAEAALARRAADPLPALRRRDALPLPARLFAQLHALVLTAGLARHSPNFSLLLRLASPLLPVSHRLRLLLSSPLPPTTFLANSLLLASSSSRCLPSALSLYALLFLSSSPPLLRPNAFTYPPLFRAAPPALALALATHSVKFLGAHAASCDRVLGAALLGVFARCGRIASCRRVFDRIAHPDLPAWNALLSAYARLRARDVACATSAADAILELFVRMLSLAIEPNEITLVAVIGACGELGAVSHGVWAHTYAVKRRLAVNCIVATALVEMYAGCGRLDLAEQVFAAASDRDTRCYNAMLHGLAVHGHGRAALSLLDRMHGAGVPVDGVTVLSVMCACAHAGLVDEGLDYFDRMEIEFGIEPRIEHYGCMIDMLSRAGRLNNAEKLIHEMLIVPNAAIYRSLIRACGIHGKLELGEKMIAELMRLEPDDSGNHVLISNFYARTNRWEDAKKARKEMKSMGIDKNPGSSLVDINGVLHEFLVGDKTHPASKEIYTMVEEIETRLIECGRRSSTSSALFDVEEEDKADTLSYHSERLAIAFALIASNPGAPIRIIKNLRVCADCHESAKLVSRVYGREIVMRDRTRFHHFRDGVCSCGDFW >ORUFI12G01920.1 pep chromosome:OR_W1943:12:1307872:1309695:-1 gene:ORUFI12G01920 transcript:ORUFI12G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHSSQQPQEAPASFSLPLPKSPVPIKATPISAAFPTPQHEDESSDQDYKPFCRQKKPTLPKRAKRPQQAEKSNDANIKRRSIRRSLDNEFNLCSSSSDNPKESVEGILMMFDSLRRRVLQLDEKEDASRRADLKAGTLMMQNNLRINNHKMIGHVPGVEVGDIFFFRIEMCIIGLHAPAMGGIDYISSKNKDETLAVCIISSGGYENDDDDTDILVYTGQGGNSRHKEKHDQKLERGNLALMNSKIKKNQIRVVRSAQDPFCNSGKIYIYDGLYRIEDTWTDTAKNGFNVFKYKLRRDPGQPDGISLWKMTEKWKANPATREKAILLDLSSKVEHLPVCLVNDVDDEKGPSHFNYVAGVKYLRPLRKTKPLQCCKCPSVCLPGDPNCSCAQQNGGDLPYSATGLLAKHTPMVYECSSNCQCSHNCRNRITQKGY >ORUFI12G01930.1 pep chromosome:OR_W1943:12:1311215:1312931:-1 gene:ORUFI12G01930 transcript:ORUFI12G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASALSNPRLQAMLEEEKRKAMANEFVAKLTDVCWDKCITGSIGSSFSNSEASCLSNCAKRFLELKMLTMQRVSSPR >ORUFI12G01940.1 pep chromosome:OR_W1943:12:1315272:1330508:1 gene:ORUFI12G01940 transcript:ORUFI12G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFIMLTSHAYCIIFWPYLFNRHTTCTSVYSSKYGFQRTLPECHLLLLLLAFCIASELHLHTTQTAVLKVDASLQHARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETVELTVSLTSSDGSQNLASSTIPVSGASNWTKLEQKLVAKGTNRTSRLQITTTKKGVVWFDQVSLMSADTYKGHGFRTELISMMLDLKPRFLRFPGGGNRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGSADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSRPLDHPADLYDFHVYTDSKTLFSMKSAFDRSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFRESSGAMFHPITITSSYSGSLAASAITWQDSENSFLRIINFGSDPVSLTISATGLQARVNALGSTATVITSSNVMDENSFSNPNKVVPVKSQLSNAAEQMQVTLAPHSFSSFDLALAQSKLVAEISRCEAETLETASLHVDYSVARRMPDTLFGLFFEEINHAGSAHGYSLSLSLSS >ORUFI12G01940.2 pep chromosome:OR_W1943:12:1315272:1330508:1 gene:ORUFI12G01940 transcript:ORUFI12G01940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREPFLSAIFFFFFLLLFCLGCKCIASELHLHTTQTAVLKVDASLQHARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETVELTVSLTSSDGSQNLASSTIPVSGASNWTKLEQKLVAKGTNRTSRLQITTTKKGVVWFDQVSLMSADTYKGHGFRTELISMMLDLKPRFLRFPGGGNRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGSADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSRPLDHPADLYDFHVYTDSKTLFSMKSAFDRSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFRESSGAMFHPITITSSYSGSLAASAITWQDSENSFLRIINFGSDPVSLTISATGLQARVNALGSTATVITSSNVMDENSFSNPNKVVPVKSQLSNAAEQMQVTLAPHSFSSFDLALAQSKLVAEISRCEAETLETASLHVDYSVARRMPDTLFGLFFEEINHAGSAHGYSLSLSLSS >ORUFI12G01950.1 pep chromosome:OR_W1943:12:1331979:1332311:1 gene:ORUFI12G01950 transcript:ORUFI12G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCADNSLYLTIRYMYIWNLEPLCLFLVAKWTAPSYLHTHSETNIDSFRQHYTGAEERAAMPIRSQKRARRRRAMPLRRHGREPPVARVEIEALSKSTSTTLSSSFPVR >ORUFI12G01960.1 pep chromosome:OR_W1943:12:1334018:1334347:1 gene:ORUFI12G01960 transcript:ORUFI12G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNVNNGVSVLFLFAVLLIAASQLAAGTNSFLYGACKTIAGGSELLSVTFCIDALSSDNRSSNISSYKEFAVIAVDLLTANATSTKSEIDGKLRNGSGDAAATRCL >ORUFI12G01970.1 pep chromosome:OR_W1943:12:1338916:1342121:-1 gene:ORUFI12G01970 transcript:ORUFI12G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKKKKIPNPPAYRVSPPPIRRSPRPPPRRDRSPPRRPPARRHRVLRGCHHLLNPASHHPIARWPPSSTVCLLSPLHHRGTSPSRKKRHCTMASRRNVRGYAPLPTEDRDDSNLTDDVDLRFTYTPKSLRKIPWKSIALALFLLLLGCSLLFLSYFIFTGHMEGDNSQAYGLLFLGILAFLPGFYETRVAYYSSRGAPGYTFASIPDY >ORUFI12G01980.1 pep chromosome:OR_W1943:12:1343935:1344510:-1 gene:ORUFI12G01980 transcript:ORUFI12G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHGIVNAFFLFAVALVAASQAQHAANADSFMSGACKIVAGSSSGVISVTFCMDALGSDSRSLSASYYSDLAIIAIDLLTSNTTSTKAKIDNILKDDGNGLKPGDATTVCFQSCQAAYASVLQGQLGIFYNVQAGRFPEAMSALEKAANMVEECEKGFGKSNVKSLLTTENHDSFELAKLGALLLNEEH >ORUFI12G01990.1 pep chromosome:OR_W1943:12:1348047:1355545:1 gene:ORUFI12G01990 transcript:ORUFI12G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDAFFCTFCSLLLFCFSSKCLSSELDLPQTALVEVDASWEVSRKIPDTLFGLFFEEINHAGAGGIWAELVSNRRFESGGPHTPSNIDPWSIIGDESSIYITTDRSSCFSKNSVALRMEILCENCPAGGVGIYNPGFWGMNIEEGKTYNLVMYIRSLESVELTASLTCSDGMQNLASVSIQEIDPSNWTKIEMQLSAQGTCRTSRLELTSMKRGVIWFDQVSLMPSDTYKGGCFVEGDWLRNAFRWRETIGPWEQRPGHFGDLAEDLGAAPIWVFNVGISHHDEVDTIIIEPFVKDVLDSLEFARGSAESTWGSVRSAMGHPERFPLKYVAIGNEDCGKEFYRGNYLKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFIMKNKFDRTSRIGPKVFVSEYAVNEQRDAGKGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNDNDSTWNPDAIVFNSWQQYGTPSYWVQTYFRESSGSVIHPITISSRYSDSLAASAITWQDSEDIFLRVKIVNFGPNAVNLTISSRGLQDGVNTAKSTVVPVESELPNAAEVMEAVLPPFSFTSFDLALDQYSKLVAEM >ORUFI12G01990.2 pep chromosome:OR_W1943:12:1348047:1355545:1 gene:ORUFI12G01990 transcript:ORUFI12G01990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDAFFCTFCSLLLFCFSSKCLSSELDLPQTALVEVDASWEVSRKIPDTLFGLFFEEINHAGAGGIWAELVSNRSNSQFDKHSSWKLKNSVALRMEILCENCPAGGVGIYNPGFWGMNIEEGKTYNLVMYIRSLESVELTASLTCSDGMQNLASVSIQEIDPSNWTKIEMQLSAQGTCRTSRLELTSMKRGVIWFDQVSLMPSDTYKGGCFVEGDWLRNAFRWRETIGPWEQRPGHFGDLAEDLGAAPIWVFNVGISHHDEVDTIIIEPFVKDVLDSLEFARGSAESTWGSVRSAMGHPERFPLKYVAIGNEDCGKEFYRGNYLKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFIMKNKFDRTSRIGPKVFVSEYAVNEQRDAGKGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNDNDSTWNPDAIVFNSWQQYGTPSYWVQTYFRESSGSVIHPITISSRYSDSLAASAITWQDSEDIFLRVKIVNFGPNAVNLTISSRGLQDGVNTAKSTVVPVESELPNAAEVMEAVLPPFSFTSFDLALDQYSKLVAEM >ORUFI12G01990.3 pep chromosome:OR_W1943:12:1348047:1355545:1 gene:ORUFI12G01990 transcript:ORUFI12G01990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDAFFCTFCSLLLFCFSSKCLSSELDLPQTALVEVDASWEVSRKIPDTLFGLFFEEINHAGAGGIWAELVSNRRFESGGPHTPSNIDPWSIIGDESSIYITTDRSSCFSKNSVALRMEILCENCPAGGVGIYNPGFWGMNIEEGKTYNLVMYIRSLESVELTASLTCSDGMQNLASVSIQEIDPSNWTKIEMQLSAQGTCRTSRLELTSMKRGVIWFDQVSLMPSDTYKGHGFRKELIYMLLELRPQFLRFPGISHHDEVDTIIIEPFVKDVLDSLEFARGSAESTWGSVRSAMGHPERFPLKYVAIGNEDCGKEFYRGNYLKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFIMKNKFDRTSRIGPKVFVSEYAVNEQRDAGKGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNDNDSTWNPDAIVFNSWQQYGTPSYWVQTYFRESSGSVIHPITISSRYSDSLAASAITWQDSEDIFLRVKIVNFGPNAVNLTISSRGLQDGVNTAKSTVVPVESELPNAAEVMEAVLPPFSFTSFDLALDQYSKLVAEM >ORUFI12G01990.4 pep chromosome:OR_W1943:12:1348047:1355545:1 gene:ORUFI12G01990 transcript:ORUFI12G01990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDAFFCTFCSLLLFCFSSKCLSSELDLPQTALVEVDASWEVSRKIPDTLFGLFFEEINHAGAGGIWAELVSNRSNSQFDKHSSWKLKNSVALRMEILCENCPAGGVGIYNPGFWGMNIEEGKTYNLVMYIRSLESVELTASLTCSDGMQNLASVSIQEIDPSNWTKIEMQLSAQGTCRTSRLELTSMKRGVIWFDQVSLMPSDTYKGHGFRKELIYMLLELRPQFLRFPGISHHDEVDTIIIEPFVKDVLDSLEFARGSAESTWGSVRSAMGHPERFPLKYVAIGNEDCGKEFYRGNYLKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFIMKNKFDRTSRIGPKVFVSEYAVNEQRDAGKGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNDNDSTWNPDAIVFNSWQQYGTPSYWVQTYFRESSGSVIHPITISSRYSDSLAASAITWQDSEDIFLRVKIVNFGPNAVNLTISSRGLQDGVNTAKSTVVPVESELPNAAEVMEAVLPPFSFTSFDLALDQYSKLVAEM >ORUFI12G01990.5 pep chromosome:OR_W1943:12:1348047:1355545:1 gene:ORUFI12G01990 transcript:ORUFI12G01990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDAFFCTFCSLLLFCFSSKCLSSELDLPQTALVEVDASWEVSRKIPDTLFGLFFEEINHAGAGGIWAELVSNRSNSQFDKHSSWKLKNSVALRMEILCENCPAGGVGIYNPGFWGMNIEEGKTYNLVMYIRSLESVELTASLTCSDGMQNLASVSIQEIDPSNWTKIEMQLSAQGTCRTSRLELTSMKRGVIWFDQVSLMPSDTYKGHGFRKELIYMLLELRPQFLRFPGISHHDEVDTIIIEPFVKDVLDSLEFARGSAESTWGSVRSAMGHPERFPLKYVAIGNEDCGKEFYRGNYLKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFIMKNKFDRTSRIGPKVFVSEYAVNEQRDAGKGSLLASLAEAAFLTGLEKNSWNPDAIVFNSWQQYGTPSYWVQTYFRESSGSVIHPITISSRYSDSLAASAITWQDSEDIFLRVKIVNFGPNAVNLTISSRGLQDGVNTAKSTVVPVESELPNAAEVMEAVLPPFSFTSFDLALDQYSKLVAEM >ORUFI12G02000.1 pep chromosome:OR_W1943:12:1356244:1397210:-1 gene:ORUFI12G02000 transcript:ORUFI12G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGAVTICEINRDLVAADAVSDDGAKDAYGDVLSDALVATHEPPAITEAAEPSEIVPRTSLASTIAESFKQMLFPSCDGQDHLHRPFFSSRADGEGLRRKPTPPLSSPSPSSSTPATASPPSSSPGPGANKTSPPARGVAMPSFPPPGGVTVCEINRDLVVADALSDDRAKDAYGDVLGMVFSPIPFQPDATVATHEPPAVTEAAEPAEVVPRTSLASTIAESFKQMLFPSCDPNLLQEIDTQKVSWNPHKHCLAFVSGKNQVTVHDFEEPDNKESYILTSDHQKDVKAVEWRPNSGKVIAVGCKGGICLWSASYPGNVASVKSGVTSSSFGAFPRGSGGQWILVDILRGSSAELGANWDPEGRTALLSFSNSTTLGSIHFSSKPPSLDAHLLPVELPEISSLIVSRGIDKLAWDSSGERLALSFKDGNEMYHGLVAVYDVRRSPLVSVSLVAGAVAGVVHIPSYFVLIDSLRGVRKARWVKR >ORUFI12G02000.2 pep chromosome:OR_W1943:12:1356033:1397210:-1 gene:ORUFI12G02000 transcript:ORUFI12G02000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGAVTICEINRDLVAADAVSDDGAKDAYGDVLSDALVATHEPPAITEAAEPSEIVPRTSLASTIAESFKQMLFPSCDGQDHLHRPFFSSRADGEGLRRKPTPPLSSPSPSSSTPATASPPSSSPGPGANKTSPPARGVAMPSFPPPGGVTVCEINRDLVVADALSDDRAKDAYGDVLGMVFSPIPFQPDATVATHEPPAVTEAAEPAEVVPRTSLASTIAESFKQMLFPSCDPNLLQEIDTQKVSWNPHKHCLAFVSGKNQVTVHDFEEPDNKESYILTSDHQKDVKAVEWRPNSGKVIAVGCKGGICLWSASYPGNVASVKSGVTSSSFGAFPRGSGGQWILVDILRGSSAELGANWDPEGRTALLSFSNSTTLGSIHFSSKPPSLDAHLLPVELPEISSLIVSRGIDKLAWDSSGERLALSFKDGNEMYHGLVAVYDVRRSPLVSVSLVAGAVAGVVHIPSYFVLIDSLRGVP >ORUFI12G02010.1 pep chromosome:OR_W1943:12:1360628:1371759:1 gene:ORUFI12G02010 transcript:ORUFI12G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKFLDPGDQVGQLRSTQTTSKRKAASRKGQREQRVNARLQEERRKVRAVPRAADMAFAESIWTFMPGKKGSVVQGPNTRTLTNAHDGILDDINCAQIAGKHVGDHSNCANVIKAGVISLLGKLVQYPERPGEPFCRYYMKFGECKHMTFCKYNHPKDRFSCKTTNTIRSESLCLHDQQTTILENQFGLPSLVDKATANTTNLVASASSSMTPDEIGEGKNNPDEVFVCICGEKLLFHTNFNTTAVKELVVFALQRRNIKLIPMEYERMDELIDRAVRDNNNDLFYYVNLPPELINPYKDTWQYLNDTFGDPPSWIADISWVPDMWKTYNYSPNNNSTLWTPRYTLDLNSCSHFARNFLNHFGREVSLFLIIILTFLYEPV >ORUFI12G02020.1 pep chromosome:OR_W1943:12:1384376:1385857:1 gene:ORUFI12G02020 transcript:ORUFI12G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPGSLPYGDDGNGCTWADLASPIYGGGGEVVDDGITCDFLLKLLRAGSMVGADAALLHELESRAAVVSLCRLRALGSKNGDDDPDGVASTSSLEDEAVHNHYQTTKAGCIFLKTTIREDVRTACVYVESLTKELVFTTKDVTQLLVKVHAPQYCRLKIR >ORUFI12G02030.1 pep chromosome:OR_W1943:12:1387897:1395953:1 gene:ORUFI12G02030 transcript:ORUFI12G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDPAMVLLNRSVLLVNEVTDVMKEKGWSLELDEKAISDACDGITDWQEKEKARQKAIRAEIKRFRANQDAAEAILRKSKASMPPSTVTKFCTDTDLRKVLDGIELDPQVHDIPGLSFFRLRLSSARHPPDLQGTSVAGADHNILVFYVGPYRPGFPAPGFYLVYDAWANSLSAIHQLPYLGGGSIGSEVAVLRHAPASDYILAELLLTGELPKASLWTWCSSGPSARQWIHKTVILPPEVCTPTYIFHADTTFSLGKFALCWVDLLVGILMTCDTLAPEPVFQFIPLPEGCYMEPPDPQDGRQVPQEYRSMCCGNDGIIRFISIDGYHQDLSINDMRNMFLRTWSLTLNPKEWKQEAALCIGDL >ORUFI12G02040.1 pep chromosome:OR_W1943:12:1399901:1400622:1 gene:ORUFI12G02040 transcript:ORUFI12G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHITVHRRGFLYGKLGWDPLKFSKSYLAIASPTVREFTPIPSKDPPNIDNQIALEIAVYPQFVGDDLPRLYIYSTVKFININMNVGNVRITYIVKQREYLVTNCIHTVFIRSTKIIWSLLFNLNIFHRKLNV >ORUFI12G02050.1 pep chromosome:OR_W1943:12:1401618:1405799:1 gene:ORUFI12G02050 transcript:ORUFI12G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDGGVKLKCFNLECSFVQTSKKHAIGAKYDKFSTDDGPAVWWVSLMDEPIGYFHESAFAAPFIESFHNEMGGHVLDRRPGGRHTLTPMGSGMYPSDGLQNAACIHAYLAIAYTGADQVDDPVNTIVTHPKCYDVKDDGPDLYRPGINVAFGGPVFGQRNVCVFPLRRHILDEVFQHGPCNCSTQRRWKKPIDSARTRLEGRIRDHKLDKLMIQLKNLRLALDLHEFISQQRNGYASLQLLSRWRHEVGLNIEIGAFLKKYPHIFDIYVHPIKNNQCCKVTPKMADLIAEEDAAIWENEPAIVKRLKKLLMLSTDGTLNMHALWLIRRELGDENLAVADVEEWRVKEYTEKWLAESETKYSFPINFPTGFKIEKGFREKLGNWQRLPYTKAYENNELHPIHNVEQLEKHIVGILHELLSLTVEKMIPLERFSHFRRPFDMEVNLRELILKHPGIFYISTKGSTQTVLLRESYSKGCLVDPNPVYNVRRKMLDLILSGCHGIDETDGPIQLVEEHNQESSNVS >ORUFI12G02060.1 pep chromosome:OR_W1943:12:1405976:1406929:1 gene:ORUFI12G02060 transcript:ORUFI12G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLRKSAVLRAYSAPREEWMHHGNYNPTPISVATLRWLTSGPANGLGVHSPDRETTAPIKGGGSGLGAMSRALEPLSWLMGLWGPRTGAGASGGPWLGSGILRAFRLASNFPEPTALFGLGRLPRPSEWVLPTETGSAGYWRRASGRRPYLLRSHQGVNGARSQSFPQQ >ORUFI12G02070.1 pep chromosome:OR_W1943:12:1407950:1410046:1 gene:ORUFI12G02070 transcript:ORUFI12G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPFLTTSLAVLAILFLLALPWSAATHDILPLKSSLFVEEYETNILQTFPTPFVRTMYIKLPSSLDVSKKPIPQSSIHDYTPSRLDCDRVNTITTEAVRNMNKIGGEEPKWFYFYGFIGVFFIVEVFFFAFAWFLVLRKEMWSSEVWAAEEGYRVMTSHFRMYSYRELVKATERFKHELGWGGSGVVYKGILDDDRAVVIKKLENVTRNREEFQDELHVISRINHMNLVRIYCFCSERFHRLLVLEYVENGSLANVLFNSKILLDWKQRFNIALGVAKGLAYLHHECLEWVIHCNLKPENILLDENLEPKIADFGLAKLLSRSGSKQNVSRARGTIGYIAPEWISGLPITAKVDVYSYGVVLLELVSGKRVFDLIIGEDKTKVHEMLKKFIKMICYRLDNEKSLWLAEFVDFRVGDEFNYLQAKTLVKLAVSCLEEDRKKRPTMESIVESLLSVDLARS >ORUFI12G02080.1 pep chromosome:OR_W1943:12:1424117:1444191:-1 gene:ORUFI12G02080 transcript:ORUFI12G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRLATFALLVIITLSSSSRPCPRRVDAAREWLARGASIAVEDHATDVLRSPDGTFAAGFYDASPTVFTFSVWFARAADRAVVWTAARARPVHSKGARVTLDARRGALDHATDVLRSPDGTFAAGFYDASPTVFTFSVWFARAADRAVVWTAARARPVHSKGARVTLDARRGALVLTDYGGEVVWNSSTPAAGGSGGARVRLHDTGNLVVEDACGKTLWQSFDFPTDTLLPAQRLTAATRLVSRDRLLSAGYYSLGFSDYAMLSLFYDNGNFSSIYWPNPYFSYWQNNRKIYNFSREAAMDALGQFLSSDGTTFEAADLGAAGVRRRLTLDTDGNLRAYSLDDATGTWSVSWMAFGNPCNIHGVCGANAVCLYSPAPVCVCAPGHERVDASDWSRGCRPTFRIECGRPAKLVALPHSDFWGYDLNDGEVMPLGDCANKCLDNCACVVFQYKEHMECYLKSVLFNGKTFPGLPGTVYIKVPADFDVPEFHVHQWQRGGDGGGGGLAIQEDIAGCAAAATGDSNRKVLLNVSSSLSSHDAGKTVWPYLYGFLSALLVVEAIVIGFGCWLFSSKGLFRHSRRYTYADIKKATANFTGVIGRGGSGVVYKGVLDDERVVAVKVLKNLSRQSEEEFQAELSVIGRIYHMNLVRMWGCCSQAKHRILVSEYIENGSLAQRLFDHGFDDDVLDWNQRFRIALGVAKGLAYLHSECSEWIVHCDMKPENILLDKDLEPKITDFGLSKLLNRDGSDAILTRIRGTRGYMAPEWVTNLPFTEKVDVYSYGVILLELVKGIRISEWVIHGIKVCEMDIRMVVRATCQKMESNEKRSIEDLVDYRLNGDFNHVQVKLMLEIAISCLEEDRSKRPNMNSVVQSLISVEGDADEPEQLFSARRPTAAGGNWGRNQALTLAEQMPTADAETVTSESTAVIQQDSTMVNVDAAGLHEQDELPHWMSQLFEKLDLEVDEDVVDEDICSIGNMTHEEEEADLERGIHSILKNHPIMKKLNKGIHPVVLALHVLLEEEEEEEVVQEEDMAKGLAELDEYLSRHTYHTIEEATASFTGVIGHGGSGVVYKGVLDDERAVAVKVLKNSEEFQAELSVIGRIYHMNLVRMWGCCSQGKHRILVSEYIENGSLAHKLFGRDGFDDDVLDWNQRFRIALCVAKGLAYLHSECSEWIVPCDMKPENILLDKDLEPKITDFGLSKLLNRDGSDAILTRIRGTRGYMAPEWVTNLPVIEKVDVYSYGVILLELVKGIWISEWVIHGIKVCEMDIRIVVRVTREKMESNEEKSIEDLVDYRLNGDFNHVQVKLMLEIALSCLEEDRSKRPNMNSVVQALISFEAMSTSCSSSGALRAAATKALLLVVFVSLSRPFPCTARDSLLRGASIAVEDHATDVLLSPDGTFACGFYGVSPTVFTFSVWFARAADRAVVWSANRARPVHSKRSRLKLSGRRGALVLTDYDGEVVWNSTVSASATAARARLHDSGNLAIEDGSGNVLWQSFDHPTDTLLPTQRIAAGEAMVSADKILAAGFYSFRFSDYAMLSLVYDNHEMSSIYWPNPYYSYWQNSRKIYNFTREAFFDASGHFSSSDNATFGAADLGKNVAVRRRLTLDTDGNLRLYSLDEVAGTWLVSWMAFSNPCIIHGVCGANAVCLYSPAPVCVCAPGYARADPSDWSRGCRPTFNSGDGGGRPRAMKLVALPHTDFWGFDINSSENLSLDECSTRCMSEPSCVVFQYKQGKGECYPKSLMFNGRTFPGLPGTAYLKVPADLDMPEIHIHQWQKDGDRHAIAIQEDIVGCGGMSSPEFLLNVSNASSSKSNQGKSIWFYFYGFLTAFFVIEVFVIAFGCWLFSNKGVFRRCQVSALDEGYRMVTNHFRAYSYVELRNGTRNFQSEIGRGGSGVVYKGILDDERTVAVKVLQDVKQGEDVFQAELSVIGRIYHMNLVRMWGFCSEGIHRILVYEYIENGSLAKVLFQGRDSGMFLGWKQRFNIALGVAKGLAYLHNECLEWIIHCDMKPENILLDEDMEPKITDFGLSKLLNRDGSGSDMSRIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVKGVRISDWVLDGKEELEAELRSVVKMVVSKLESNIESLVADLMDDRLHGEFNHLQARLLMQLAVSCLEEDKNKRPTMKYIVQMLISAEDDAHAFT >ORUFI12G02080.2 pep chromosome:OR_W1943:12:1412572:1424111:-1 gene:ORUFI12G02080 transcript:ORUFI12G02080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTQVTIRATATVLLLLVVVVFLSSSRPFPCEARRDSLPRGASIAVEDHATDVLLSPDGTFAAGLYGVSPTVFTFSVWFARAAGRTVVWSANRGRAPVHGARSRVALDGRRGALVLTDYDGEVVWNSTVANATAARARLHDSGNLAIEDASGNILWQSFDHPTDTLLPTQRIVAAGEAMVSAGKLLAAGFYSLRFSDYAMLSLVYDNHKMPSSIYWPNPYYSYWQNNRNIYYNFTREAFFDASGHFLSSDNATFDAADLGEGAGVRRRLTLDTDGNLRLYSLDETAGTWSVSWMAFVNPCVIHGVCGANAVCLYSPAPVCVCVPGYARADASDWTRGCQPTFNHTDGGGGRPRAMKLVALPHTDFWGFDINSSAHLSLHECTARCMSEPSCVVFEYKQGTGECYTKGLMFNGRTHPAHLGTAYLKVPADLDMPELHVHQWQTNGLAIEEDIAGCSGSSSSEFLLDVSDMSSSSSNNQGKSIWFYFYGFLSAIFVIEVFLIAMGCWIFSNKGVFRPSQVSVLEEGYRIVTSHFRAYRYSELERGTKKFNNKIGHGGSGIVYKGSLDDERVVAVKVLQDVSQSEDVFQAELSVIGRIYHMNLVRMWGFCSEGTHRILVYEYIENGSLAKVLFDRRDSSKFLGWKQRFNIALGVAKGLAYLHNECLEWIIHCDMKPENILLDEDMEPKITDFGLSKLLNRDGSGSEMSRIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVKGRRITEWVVDGKDGVETDVRSVVKMVVDKLDSKNESWIMDLIDDQFGGEFNHLQAQLVIKLAISCLEEDRNRRPSMKYIVQMLISAEDEAHAFT >ORUFI12G02090.1 pep chromosome:OR_W1943:12:1444947:1456207:-1 gene:ORUFI12G02090 transcript:ORUFI12G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIAVDADVPSCASSSSSTAVPAYAGASPLVFRQEGKIENLVRSVYSEVDEKDVNLDAAFNVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNYEVLKETLIRHGFTFESDTDTEVIPKLAKFVFDKAHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELISCKRGSTLILGVNELSGQNSGKPFHDVKALTTNGKPKELFFSSDLFAIVEHTKNYLAIEDDEIVHIKDGSVSILKFDHEKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRVKDSGVLLGGLKEKEYLKTIRRSRRLVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRRTHCGVHINAGCEIGVASTKAYTSQIVVMVMVALAVGSDQISTQVRRQAIISGLSNLPSNVSEVLKLDTEMKELSSSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSKGDASAVCPSGSCRVIEVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSAAAAAARAQLLPPASRRGAGGRGLGWPAERVVGGGGERARRRRRWLIGWLEERGDPVHLAAPSLAAAKQIHARALRAGVPTSHPLLAKHLLFHLAVLRAPPLRYAVAVLSRLLPHGPLDPFPLNTVHRIAAGSFRPCVALELHRRRLAPPDTHTYPSLLQACARLLALREGECLHTEAAKNGFVQNSPVHLYGACGLFESVHKVFDEMPVHGQNLVSWNSMLNSFAANGRPNEVLTVFREMLGVNFAPDGVTIVSVLTACAEIGALALGRRVHVYAEKVVLVDNSHVSNALINLYAKCGIVNDARLI >ORUFI12G02100.1 pep chromosome:OR_W1943:12:1448032:1449928:1 gene:ORUFI12G02100 transcript:ORUFI12G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHRGAMMGGGGVSDGYEGSKRPRMIESNPYFAVTAGSPLDVSKRARMMEPAPPYFGAMGSSAAGGTSAFYQPYGTNLPGAGANSAIQNFPGVRLRGLPFDCDDLDICKFFVGLDIVDCLLVHKNGRFTGEAFVVFPSAMQAEFALHRNRQNMGRRYVEVFRCKKQECKKQEYYSAIAAEVNQGGFFDSEYRHSPPPPRPKKPAEDKSSMEYTEVLKLRGLPYSATTEDIIKFFVEYELTDENVHIVYRPDGKATGEAYVEFPTAEVVKTAMCKDKMTIGTRYVELFPSTPEEASRAKSRVRQ >ORUFI12G02100.2 pep chromosome:OR_W1943:12:1448032:1449928:1 gene:ORUFI12G02100 transcript:ORUFI12G02100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHRGAMMGGGGVSDGYEGSKRPRMIESNPYFAVTAGSPLDVSKRARMMEPAPPYFGAMGSSAAGGTSAFYQPYGTNLPGAGANSAIQNFPGVRLRGLPFDCDDLDICKFFVGLDIVDCLLVHKNGRFTGEAFVVFPSAMQAEFALHRNRQNMGRRCKKQEYYSAIAAEVNQGGFFDSEYRHSPPPPRPKKPAEDKSSMEYTEVLKLRGLPYSATTEDIIKFFVEYELTDENVHIVYRPDGKATGEAYVEFPTAEVVKTAMCKDKMTIGTRYVELFPSTPEEASRAKSRVRQ >ORUFI12G02110.1 pep chromosome:OR_W1943:12:1458139:1461678:1 gene:ORUFI12G02110 transcript:ORUFI12G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMASSQEFEANDPQQLHAKPKRLYQVWKGNNIFLCGGRLIIGPDAASLLLSMFLILGPAIVFSYQMESTIHRSQQRMHRAAQLIVIITTAADLFFLFMTSARDPGIVPRNTRAPPEVDEFLGSTTPSMEWSSGRTPRMRFRRSKDVTVNGFTVKVKFCETCLRYRPPRSSHCSICNNCVEKFDHHCPWVGQCIGLRNYRYFFLFVATSTFLCIFVFIFSWVNVYYERGYNGGSIWKALRKEVYSFVLIIYTFIVVWFVGGLTVFHLYLISTNQTTYENFRYHYNKKDNPYRKSIAANFVDVFFTKIPPPQNNFRSWVGEGALEAGFYTPYIALDLTDPREKIDLEMGNKDILVGGIQIPTVLQNIDYGSFEDNPDDKNRNEDDRLVPFASTWVQQANEGARTSEIATVEYKDEISEDGGKEIISSNTSSEQTSIEANAAASEDESNEDNAGKSNSSDRSSTQNLGDVNYSSVLLI >ORUFI12G02110.2 pep chromosome:OR_W1943:12:1458139:1462470:1 gene:ORUFI12G02110 transcript:ORUFI12G02110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMASSQEFEANDPQQLHAKPKRLYQVWKGNNIFLCGGRLIIGPDAASLLLSMFLILGPAIVFSYQMESTIHRSQQRMHRAAQLIVIITTAADLFFLFMTSARDPGIVPRNTRAPPEVDEFLGSTTPSMEWSSGRTPRMRFRRSKDVTVNGFTVKVKFCETCLRYRPPRSSHCSICNNCVEKFDHHCPWVGQCIGLRNYRYFFLFVATSTFLCIFVFIFSWVNVYYERGYNGGSIWKALRKEVYSFVLIIYTFIVVWFVGGLTVFHLYLISTNQTTYENFRYHYNKKDNPYRKSIAANFVDVFFTKIPPPQNNFRSWVGEGALEAGFYTPYIALDLTDPREKIDLEMGNKDILVGGIQIPTVLQNIDYGSFEDNPDDKNRNEDDRLVPFASTWVQQANEGARTSEIATVEYKDEISEDGGKEIISSNTSSEQTSIEANAAASEDESNEDNAGKSNSSDRSSTQNLGDVNYSSVLLI >ORUFI12G02120.1 pep chromosome:OR_W1943:12:1462168:1463615:-1 gene:ORUFI12G02120 transcript:ORUFI12G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSAAVYRRVLKAVQKHVGGGDSKKHFREFVASEFRRPTGTDADARARLRLAGDYAYLLASVHHHKDLLFSYNIAVDRSEEMKKILNKSAASVGLQLPDVYQA >ORUFI12G02130.1 pep chromosome:OR_W1943:12:1464488:1465399:1 gene:ORUFI12G02130 transcript:ORUFI12G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGTVTICEINRDLVAADALSDDGAKDAYGDVLGMVFSPIPFQPDAIVATHEPPAVTEAAEIVPRTSLASTVAESFKQMLFPSCDGGICLWSASYHDNVAFVKSGTFPRGSSGQGMLVDILYCSSAEGSSLVGKDPMAYKILYTRFNKL >ORUFI12G02140.1 pep chromosome:OR_W1943:12:1466201:1470716:-1 gene:ORUFI12G02140 transcript:ORUFI12G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSRREEVDDDVSVGKKEEEAGYCSSSSISRLPEACLAHAISFTTPTDACRCSAVSADFQAAASSNAVWERFLPPDYHSILARADDPSFWLERTSGAKCYLLSSRSLEIAWGDDARYWRWIYLPDSRFERVAALVFVCWFHLRGRINCRELSPNTRYIVYLIFKLADKSYGLDCRTQEAYITMDDQVVSAKRTVSLHPRTQETPLDMGRSEVGRAEETVSYPRERGDGWMEVQLGHFYNHQGDGMVVINLQEIVQLNSKKGLILEGMEIRHSIGP >ORUFI12G02150.1 pep chromosome:OR_W1943:12:1472226:1478518:1 gene:ORUFI12G02150 transcript:ORUFI12G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREKDGGGNDASRATTIYQSNAKELLAELKAKLTMVKAISEAADSRLITNTNLLHWLMRLHAAAQEAKDVLDEFEVDGSNIARKRKASDLILSSRSLKNLVIADESLKRIKIPSIIFKISRNGKFFSKLSLKNLVIPDESLTRLEHVVKTLTQLCATSATFIELIKMDDSKTNQLHKAAEASSHLPVDVPVFGRDEHDHPESSIGTGKVRAARHNILVLPIVGMSGVGKTTLAQVIYNHARVKQHFEHRAWVYVSEDFTIKRTLQEILHSFQGHGGAIFNGDESMEATITKLRIKISGGCKFFLVLDNMWEEMCQEWSVLLTALSDEAPLPWESFWPLFQYHTFGGVEVPQQDDNRNMLLIAQGIAKKLDGLPLAAKVIGNLLRCRFSWDNWRRVAESDWWNLEEALQGILPYLRVSYQHLSPKQRQCLAYCSIFPRNYLFDKDRVVQMWLAHDFIQWNEIADVMRPDDVGRQLFDELVERSFFQPTFVSNNNSVSLHQCFFHGERSTGVSSLAPGNIRHLALQVNSLEQCQELHKYRNLRTLLIFGRCESDAFFNLLDGMLEKSPSIRVLDLLIRGKPDLLDVDLHHLSYVKAPGKVWPKDARPLRKLRFLDLSFTKITKLKDLPTNLQVLHLRGYDADRVPQSITKLTNLRHLYVDGSALSKIQSIGQQTELQELESFIARKGQGFMIRELKNMREFTGRLCIRGIENIRSKDEAMEARLMDKKHVGALVIEGKRVPKFALEGLQPHTNIQELTIKFFQEQDFPDWVCPDNLVNLESYHFLSTIPPLGHLPLLKLLTLRKLPSVKHANGTSFGGFPSLEELELHSMEKWEEWTEPDAAAHAYGSSLFLGHLRKLHLAYCPSLRRFPHLPCFICIERAEDLQAWKLDPGITSMFSIEYCNHNVVMSAQQFKSLENIELIKSEGLRLADGFQYFSKLRSAWVEGCPQLLSAITTSVSVGFGQDCCAAHDEKQQQEASLLTHLRANDSLMYGDYFRTVGKLPSLRNLTICNESNGTNFSVKQELWFQQQNSLEHLCIEGFHALQRLPSFLVTMLSIKILKLHGLHGLQSIPDNALPLTLQEFNINNCTSCLSTRVSKDGADWPYVAHVPYIRVNGTTVQNL >ORUFI12G02160.1 pep chromosome:OR_W1943:12:1494388:1500030:-1 gene:ORUFI12G02160 transcript:ORUFI12G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAACTKLPLLLLLVAVAVAASSASSLTPQHAAVPEKGPRPGGGGVTLHLDHRQVMVDNGIVQVSLSKPGGHITGVRYNGERNLLRFDGQPNSAGYWDVVWNFPGSNNPRGMIDMLDSTEFKVVSSSEDQVELSFRSTYNPSRPNSVRLNIDKRLVMLKGSSGFYCYAIFEHVRDWPALNISEARLAFKLNTDKFNYMAISDDIQRFMPSATDRDAPRGAPLAYKEAVLLVDPKEPQFRGEVDDKYEYSLDNKDNRVHGWISSSHPNPMGFWVITPSNEFKSGGPLKRELTSHVGPTSLTMFLGTHYVGNDIVLKIEEGEYWKKVMGPVFIYLNSNPRRGDLHSLWVDAKLQAEVEARKWPYSFPVSPDFHKASQRGSVTGRLFVRDRYMSSKDMAAGMAFVGLATPGQPGSWVTESKNYQFWTRATPCGSFSISNVRAGVYNLYAWVPGFLGDYISGPTLWEMGVPDRSAAEFFIPDPNPKYLNKIFITKDKYRQYGLWERYAELYPDGDLVFTIGESDISKDWFFAHVTRKQGDGYAATTRQIRFRLERVVADATYTLRVELAAAQMARVQVVVNGRADEALTTAAAFGDGNAIARHGAHGVQWSLEFAIKGYMLVEGEEENVVFITQTRALSPFFGVMYDYIRCSVPALLLVSSCTIDIMARCLSSPSLKSLATSRSSSSPTLAITTSNSSDSSSEVSQPSLALSVPTASMIRWHASGDGWRARVGFQVAEVTP >ORUFI12G02170.1 pep chromosome:OR_W1943:12:1508447:1513626:1 gene:ORUFI12G02170 transcript:ORUFI12G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRVTIPEILEDEWFKKGYKRPKFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEPEALNAFELISMSAGLNLGNLFDSEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKFYKNLEADHSMGFVCQVLYVNQNLVRNKYINWPALFIFIYTVKLFDFLVCIAPEQV >ORUFI12G02170.2 pep chromosome:OR_W1943:12:1508308:1513626:1 gene:ORUFI12G02170 transcript:ORUFI12G02170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRVTIPEILEDEWFKKGYKRPKFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEPEALNAFELISMSAGLNLGNLFDSEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKFYKNLEADHSMGFVCQVLYVNQNLVRNKYINWPALFIFIYTVKLFDFLVCIAPEQV >ORUFI12G02180.1 pep chromosome:OR_W1943:12:1513711:1514591:-1 gene:ORUFI12G02180 transcript:ORUFI12G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLTKEQIAEFREAFNLFDKDGDGTITSKELGTVMGSLGQSPTEAELKKMVEEVDADGSGSIEFEEFLGLLARKLRDTGAEDDIRDAFRVFDKDQNGFITPDELRHVMANLGDPLSDDELADMLHEADSDGDGQINYNEFLKVMMAKRRQNMMEGHGSGGHRSSNSHKKSGCCGPNSSCTIL >ORUFI12G02190.1 pep chromosome:OR_W1943:12:1516026:1519582:-1 gene:ORUFI12G02190 transcript:ORUFI12G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIKVKKKKASSKKAEASSSRVPSCPAKVWQPGVDTLEEGEELQFDPQAYNYLRGFNIGWPCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAERASWNYIGIFKICNINGKKREPIPASAIDGDSDMDSESSSDEEDEAANEDTMPILHLKKVAHAGCVNRIRSMNQEPHICATWGDTGHVQVWDFSSFLNSLAESGAVAHNEDDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNSWNVDTNPFWSPTEADIFASCSADRTISIWDIRTGKKPCISVRAHNADVNVISWNRLASCMIASGCDDGSFSIRDLRLIKDDSLVAHFEYHKHPITSVEWSPHEPSTLAVSSADHQLT >ORUFI12G02200.1 pep chromosome:OR_W1943:12:1520099:1521099:1 gene:ORUFI12G02200 transcript:ORUFI12G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVHFYIYKDKFVYKEGENMKTILSYFVFFLVILQISHRLVAGQNYEFQSGINHGFVNSRKNLYKHAIPRTLTELGELASREDSTTADNNVDLTPKHQSLTASKTGTIHFRAKNII >ORUFI12G02210.1 pep chromosome:OR_W1943:12:1521221:1525437:-1 gene:ORUFI12G02210 transcript:ORUFI12G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAPPSSPVMRAVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMKTYMWQKRNKILDYTLDFLMFASLFWGVVADRIGRKPIIEFSILSVVIFNTLFGLSVKYWMAIATRFLLGALNGMLAPIKAYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNVFSEKSIFGRFPYFLPCICISLIALVVLISCIWLPETLHKHKNTEGEIEMIDNSRSTLEEDSHKQKSLYKNWPLISSIIAYCVFTLHDTAYSEIFSLWAVSDKRYGGLSFSSKEVGQVLAVAGAGLLVYQLFIYRSVHKFLGSINSSRIASALSIPILAAYPFMTHLSGLRLGIALYLGTILKGVLSSQSQRGAANGISMTAMSFFKAIAPAGAGALFSWAQERQNAAFFPGI >ORUFI12G02210.2 pep chromosome:OR_W1943:12:1521217:1525437:-1 gene:ORUFI12G02210 transcript:ORUFI12G02210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAPPSSPVMRAVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMKTYMWQKRNKILDYTLDFLMFASLFWGVVADRIGRKPIIEFSILSVVIFNTLFGLSVKYWMAIATRFLLGALNGMLAPIKAYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNVFSEKSIFGRFPYFLPCICISLIALVVLISCIWLPETLHKHKNTEGEIEMIDNSRSTLEEDSHKQKSLYKNWPLISSIIAYCVFTLHDTAYSEIFSLWAVSDKRYGGLSFSSKEVGQVLAVAGAGLLVYQLFIYRSVHKFLGSINSSRIASALSIPILAAYPFMTHLSGLRLGIALYLGTILKGSLGPKNAKMQPSSQVYN >ORUFI12G02220.1 pep chromosome:OR_W1943:12:1539329:1543854:-1 gene:ORUFI12G02220 transcript:ORUFI12G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAMEQRKEEHKGIPYREFLFVAITTLASSLPISSLFPFLYFMVLDPFSSLEQIRDLHVARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQPLGLSIVSTAWGIGLVVGPATGGYLAQPVKQYPHIFHEKSIFGRFPYLLPCLCISLFALLVLLSCIWLPETLHKHKGLEVGVETAEASTTQESAESHQKSLFRNWPLMSSIVTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYGWVDKILGPIHSTRISAALSVPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAITIITGTSLLQNKAVPQGQRGAANGIATTAMSLFKAIAPAGAGVIFSWAQKRQHVAFFPGDQMVFLLLNLTEVIGLMLTFKPFLAVPQQYK >ORUFI12G02220.2 pep chromosome:OR_W1943:12:1539329:1543854:-1 gene:ORUFI12G02220 transcript:ORUFI12G02220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAMEQRKEEHKGIPYREFLFVAITTLASSLPISSLFPFLYFMIRDLHVARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQPLGLSIVSTAWGIGLVVGPATGGYLAQPVKQYPHIFHEKSIFGRFPYLLPCLCISLFALLVLLSCIWLPETLHKHKGLEVGVETAEASTTQESAESHQKSLFRNWPLMSSIVTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYGWVDKILGPIHSTRISAALSVPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAITIITGTSLLQNKAVPQGQRGAANGIATTAMSLFKAIAPAGAGVIFSWAQKRQHVAFFPGDQMVFLLLNLTEVIGLMLTFKPFLAVPQQYK >ORUFI12G02220.3 pep chromosome:OR_W1943:12:1539799:1543854:-1 gene:ORUFI12G02220 transcript:ORUFI12G02220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAMEQRKEEHKGIPYREFLFVAITTLASSLPISSLFPFLYFMVLDPFSSLEQIRDLHVARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQPLGLSIVSTAWGIGLVVGPATGGYLAQPVKQYPHIFHEKSIFGRFPYLLPCLCISLFALLVLLSCIWLPETLHKHKGLEVGVETAEASTTQESAESHQKSLFRNWPLMSSIVTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYGWVDKILGPIHSTRISAALSVPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAITIITGTSLLQNKAVPQGQRGAANGIATTAMSLFKAIAPAGAGVIFSWAQKRQHVAFFPGK >ORUFI12G02220.4 pep chromosome:OR_W1943:12:1539329:1543854:-1 gene:ORUFI12G02220 transcript:ORUFI12G02220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAMEQRKEEHKGIPYREFLFVAITTLASSLPISSLFPFLYFMVLDPFSSLEQIRDLHVARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQPLGLSIVSTAWGIGLVVGPATGGYLAQPVKQYPHIFHEKSIFGRFPYLLPCLCISLFALLVLLSCIWLPETLHKHKGLEVGVETAEASTTQESAESHQKSLFRNWPLMSSIVTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYGWVDKILGPIHSTRISAITIITGTSLLQNKAVPQGQRGAANGIATTAMSLFKAIAPAGAGVIFSWAQKRQHVAFFPGDQMVFLLLNLTEVIGLMLTFKPFLAVPQQYK >ORUFI12G02230.1 pep chromosome:OR_W1943:12:1549634:1555823:-1 gene:ORUFI12G02230 transcript:ORUFI12G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSEEAAPLLLPVAAATATAEERCPGCVQERRKASRGGRIPYTELFFVAVTTLASSLPITCLFPFLYFMVRDLQVAQTEEDIGYYAGFLGASYMVGRSFAAIFWGVVADRIGRKPVIVFSILSVVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQPVEKYPHVFSKESVFGRFPYLLPCLGVSLFAAIVLISCIWLPETIHKHKSPDKDIKRIKELPLQQAYWDSPRKKSLFQNRPWMSTMISYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGQVLAVAGASLLAYQLIIYHWVHKFLGPIISLRIASALSILILSTYPFMTYLSGAELSLALYSAAMMKSALAITISTGICLLQNNAVLQEHRGTANGVSTTAMSFFKAIAPVGAGVLFSWAQKRQDALFFPASAAWTQEPAAKQLAALASELTFKEEIRRD >ORUFI12G02240.1 pep chromosome:OR_W1943:12:1556991:1559164:-1 gene:ORUFI12G02240 transcript:ORUFI12G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGQGARRQHRQQGLPRAHAPPRRKFTFFFHGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAPVAAADSGAAAVAASKEEEKKEEPEEESDGDLGMSLFD >ORUFI12G02250.1 pep chromosome:OR_W1943:12:1561359:1567791:-1 gene:ORUFI12G02250 transcript:ORUFI12G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVPLLKGDYHQGCPGCAYDRKKEVYRGLPYKEFLYLWMICLTAALPISSLFPFLYFMAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPAEKFPNVFSPDSLFARFPYFLPCLCISVFAAVVLIGCIWMPETLHKHKADASRSQTVEALESHLIDPKEKADQNGSLDCKKSLLRNWPLMSSIILYCVFSFHDMAYTEIFSLWAESDRKYGGLSLSSEDVGQVLAITGVSLLVYQLFIYPRINKVIGHIKASRIAAILCIPILFAYPYMTYLSGPGLTIILNIALPSLQAVSSYKIMLWYVPXEAMKLLKFSWAQKRQHASFLPGDQMVFFLLNLFELLGLILTFKPFLAVPEQHDTN >ORUFI12G02260.1 pep chromosome:OR_W1943:12:1571020:1571709:-1 gene:ORUFI12G02260 transcript:ORUFI12G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGRRRQAAAPLLEKKGSTGTGVDDGYCIEGCPGCVVDRRKAASYGIPYGSFLFVWIVTLCTDLCTSYNRSILAMAYSRGF >ORUFI12G02270.1 pep chromosome:OR_W1943:12:1574565:1575537:-1 gene:ORUFI12G02270 transcript:ORUFI12G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQELEGTAMLQETTLTEAIQMTQPPCTVFWVEAPLLEKKGSTGIGDGYCTERCPGAASIGVRPRAPASPTGASSLSGSSRSAQIRAPQPTIEFGHDTKGFFGEILNSFSHNQKLSKQFSFSLIF >ORUFI12G02280.1 pep chromosome:OR_W1943:12:1577082:1581508:1 gene:ORUFI12G02280 transcript:ORUFI12G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKASMKPYFVAIVVQLIYTGMFVISKAAFNHGMNIYIFVFYRQEVGSLILLPAALLQRRSARPAMTLGVLIKLFFCALIGITLGVNLYHVSLKFTSATVASAVDSSLPAITFFLADRTEYVKLRSSSGIAKVTSVALCLAGVFTIVFFTGPSISPINHHRAFASDTSSKTVVPRGVWIKWTFLMAAVQKEYPDKMVVTVTQCLFSTMQSFVVAVVAERDFSRWKLRFDISLLAILYSGVMVTGVSYYLQTWCLEMRGPMFFASWTPLCFVFTIFCSSFFLGEIVHLGNILGGILLVASLYTMLWGKSKEGNETDDVTDDDIEKSTHIYPREQQHTTTDQAKESMLTSSAALHVQEL >ORUFI12G02280.2 pep chromosome:OR_W1943:12:1577082:1581508:1 gene:ORUFI12G02280 transcript:ORUFI12G02280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKASMKPYFVAIVVQLIYTGMFVISKAAFNHGMNIYIFVFYRQEVGSLILLPAALLQRRSARPAMTLGVLIKLFFCALIGITLGVNLYHVSLKFTSATVASAVDSSLPAITFFLADRTEYVKLRSSSGIAKVTSVALCLAGVFTIVFFTGPSISPINHHRAFASDTSSKTVVPRGVWIKWTFLMAAVQKEYPDKMVVTVTQCLFSTMQSFVVAVVAERDFSRWKLRFDISLLAILYSGVMVTGVSYYLQTWCLEMRGPMFFASWTPLCFVILGGILLVASLYTMLWGKSKEGNETDDVTDDDIEKSTHIYPREQQHTTTDQAKESMLTSSAALHVQEL >ORUFI12G02280.3 pep chromosome:OR_W1943:12:1577082:1581508:1 gene:ORUFI12G02280 transcript:ORUFI12G02280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKASMKPYFVAIVVQLIYTGMFVISKAAFNHGMNIYIFVFYRQEVGSLILLPAALLQRRSARPAMTLGVLIKLFFCALIGITLGVNLYHDRTEYVKLRSSSGIAKVTSVALCLAGVFTIVFFTGPSISPINHHRAFASDTSSKTVVPRGVWIKWTFLMAAVQKEYPDKMVVTVTQCLFSTMQSFVVAVVAERDFSRWKLRFDISLLAILYSGVMVTGVSYYLQTWCLEMRGPMFFASWTPLCFVFTIFCSSFFLGEIVHLGNILGGILLVASLYTMLWGKSKEGNETDDVTDDDIEKSTHIYPREQQHTTTDQAKESMLTSSAALHVQEL >ORUFI12G02280.4 pep chromosome:OR_W1943:12:1577082:1581508:1 gene:ORUFI12G02280 transcript:ORUFI12G02280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKASMKPYFVAIVVQLIYTGMFVISKAAFNHGMNIYIFVFYRQEVGSLILLPAALLQRRSARPAMTLGVLIKLFFCALIGITLGVNLYHDRTEYVKLRSSSGIAKVTSVALCLAGVFTIVFFTGPSISPINHHRAFASDTSSKTVVPRGVWIKWTFLMAAVQKEYPDKMVVTVTQCLFSTMQSFVVAVVAERDFSRWKLRFDISLLAILYSGVMVTGVSYYLQTWCLEMRGPMFFASWTPLCFVILGGILLVASLYTMLWGKSKEGNETDDVTDDDIEKSTHIYPREQQHTTTDQAKESMLTSSAALHVQEL >ORUFI12G02290.1 pep chromosome:OR_W1943:12:1584202:1584785:-1 gene:ORUFI12G02290 transcript:ORUFI12G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQVSYEHGPTTDVGEVLADATALHVNMVGKRSAGPVGSLQLIPLSTCSSFVLVTDVLA >ORUFI12G02300.1 pep chromosome:OR_W1943:12:1588307:1599845:-1 gene:ORUFI12G02300 transcript:ORUFI12G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAATPLLEMAYQYHEGCPACAVERSKALNPGIPYMRFFHIWIIILVSCLPISSLFPFLYFMVSTSWAIGLIVGPAISGYLAQVPIFSTLFMYIDLLLRYSYKLHLAPEFVKQHTGPAKDKSLFKNWPLMSSIVLFCIVSFDDMAYTEIFSLWSESDKQFGGLNFSSEDVGQVLAITGASILIYQTFIYPHIVKVLGIINTSRVAVILSMALLCSYPPMTYLSRPWLSIVVNIASMLKNNFVCKLPVYMVVQVFLGTKTPTCVFLSR >ORUFI12G02310.1 pep chromosome:OR_W1943:12:1600107:1604086:1 gene:ORUFI12G02310 transcript:ORUFI12G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCTGGGKAVAGDEAEPGTSKAAPPSRGTSSKNGSAKQQPCSPAAKAAATEAAAAASSSKKPAGPIGEVLERPMEEVRTTYSIGKELGRGQFGVTHLCTHKATGEKLACKTIAKRKLANKEDVDDVRREVQIMHHLSGQPNIVDLRGAYEDKHNVHLVMELCAGGELFDRIIARGHYTERAAAALLRAIVGIVHTCHSMGVIHRDLKPENFLLLSKGDDAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRKYGPEADIWSIGVMLYIFLAGVPPFWAESENAIFTAILRGQIDLASEPWPKISSGAKDLVRKMLNINPKERLTAFQVLNHPWIKEDGDAPDVPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEIKGLKEMFKNIDKDNSGTITLEELKNGLAKQGTKFSDNEIEQLMEAVSPHSEATNFSSVR >ORUFI12G02310.2 pep chromosome:OR_W1943:12:1600107:1601843:1 gene:ORUFI12G02310 transcript:ORUFI12G02310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIECERLADGDGLMAMGVGRWRCRWLVDVGRWRPSPAEFQAAAAVLPPHDRPAIHRFVREEDRKRALVSRLLKYSLVHHALGMPFHQIRINRTLEGKPYLNKNVNLLPGFNFNTNFNTSHQGEYVGIASEPLCLVGLDIVCISKPQRETALEFINNFTSYLTDHEWNCIVTADSHDGMLTEFYRYWCLKEAFVKATGAGVGFGLQRLEFHHMNWTNISLCIDGEEARKWMFWLFKIDEMHLASIAKGHPEDAIDSFRRTLSDVD >ORUFI12G02310.3 pep chromosome:OR_W1943:12:1600107:1601843:1 gene:ORUFI12G02310 transcript:ORUFI12G02310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIECERLADGDGLMAMGVGRWRCRWLVDVGRWRPSPAEFQAAAAVLPPHDRPAIHRFFSSSSRPHRCRFVREEDRKRALVSRLLKYSLVHHALGMPFHQIRINRTLEGKPYLNKNVNLLPGFNFNTNFNTSHQGEYVGIASEPLCLVGLDIVCISKPQRETALEFINNFTSYLTDHEWNCIVTADSHDGMLTEFYRYWCLKEAFVKATGAGVGFGLQRLEFHHMNWTNISLCIDGEEARKWMFWLFKIDEMHLASIAKGHPEDAIDSFRRTLSDVD >ORUFI12G02310.4 pep chromosome:OR_W1943:12:1602365:1605024:1 gene:ORUFI12G02310 transcript:ORUFI12G02310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCTGGGKAVAGDEAEPGTSKAAPPSRGTSSKNGSAKQQPCSPAAKAAATEAAAAASSSKKPAGPIGEVLERPMEEVRTTYSIGKELGRGQFGVTHLCTHKATGEKLACKTIAKRKLANKEDVDDVRREVQIMHHLSGQPNIVDLRGAYEDKHNVHLVMELCAGGELFDRIIARGHYTERAAAALLRAIVGIVHTCHSMGVIHRDLKPENFLLLSKGDDAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRKYGPEADIWSIGVMLYIFLAGVPPFWAESENAIFTAILRGQIDLASEPWPKISSGAKDLITRGSKKMGMLLMYHLTMLKQFRAMNQFKKAALRIIAGCLSEEEIKGLKEMFKNIDKDNSGTITLEELKNGLAKQGTKFSDNEIEQLMEAVSPHSEATNFSSHRREAFPQTAGAHARDKKQSTDARIELWGKGLARV >ORUFI12G02320.1 pep chromosome:OR_W1943:12:1604616:1605231:-1 gene:ORUFI12G02320 transcript:ORUFI12G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHARPMTGLLLFTGVNLVLLNTITPVYDFVCFHPYWERRRERRQKERKALQANGSLQTAK >ORUFI12G02330.1 pep chromosome:OR_W1943:12:1606008:1608800:-1 gene:ORUFI12G02330 transcript:ORUFI12G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGRRGTSLSIALLGSPGEALESLKEVNESACPNDGFLEQEGQSYKIGEKIGSYVFEDDPGLSGQPNSSTQNLPNKQTQQPAYRCKKCRRIIAVQGNVVSHTPGEGESCFQWQNKRKGERSYSKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDISTT >ORUFI12G02340.1 pep chromosome:OR_W1943:12:1612818:1614201:-1 gene:ORUFI12G02340 transcript:ORUFI12G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASVMTRLILIMVWRKLIRNPNTYSSLLGVIWSLVSYRWGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNSLASYAMAVRFLVGPAVMAAASIAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPNILSTAYDLFTTIFFSIGEMSPF >ORUFI12G02350.1 pep chromosome:OR_W1943:12:1617243:1621878:-1 gene:ORUFI12G02350 transcript:ORUFI12G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLDEPLDAEKGEAALRRLRDADPALYLSPSADLAAAAREASKHLYASLVPFSPAQPPPLSNLLAGPAFDAEQIWSQIELLSRPLIPHLRRQLRRLEKQPPSQPPPRTESKSADAEEKSEEEDEEDGEGDEELEELDDVDDEEEEEEEEEEEEEDKEGLEDKAGNQVEDEFFKIKDLDKFMVKGEEAEYGGGAKQGKKKKKTENWMEEDSDEEEEEDLDEDEDNEDEEDDDEHLDLEDFDDEEEEEEGAVGDIMYKDFFEEADDQKVRKKGGSKKVQFKDEPDEPEVDDKNDDGNVSQDEQGLSTHEKARLKMHAKIEEMEKANLEPSMWTMQGEVNASSRPKNSALEVDLDFEHNVRPSPVITEEVTASLEEMIKKRIIEGHFDDVEKPSPLQFKSPKEQKDLDESKSKKGLAELYEDDYAQKAGLAPAPLSISDELKNEANTLFKRICLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEIAPVAVSDAAMLAPEEIFEGKGDVKEEAELTQAERRRRRANKKRRYAGSHKERPAKMQKD >ORUFI12G02360.1 pep chromosome:OR_W1943:12:1624297:1628242:1 gene:ORUFI12G02360 transcript:ORUFI12G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPSACRASVDDSNTNNYKHRNGGNNQTIFHSSAHSWHMQGQYTDSSCNGVDMEFRALPRKVLWDLPRFVKIVEVGPRDGLQNEKNTVPTSVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVVEGIKHVPDVRFPVLTPNLRGFEAAVAAGAKEVAVFASASESFSKSNLNCTIKESLVRYHDVVTSAKKHGIRIRGYVSCVVGCPVEGTIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSVLAMLEAVMSFVPVDKIAVHFHDTYGQALANILVSLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >ORUFI12G02370.1 pep chromosome:OR_W1943:12:1629055:1653431:-1 gene:ORUFI12G02370 transcript:ORUFI12G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSPAAAAAAAAQTDAEREEALDRMLTRLALAEDARLAPLLARVLPYAITSLASPAASVRKLAIGECNTHKVGDNVASKYQSISGSNDDLVFADFCFHTVVYQTPPQGVGCPAGLSVAQSDRVTGKQPLKGDTLTSRKLGILNVIEAMQLAPEIVYPLYLAAASDSQESVTKRGEELLKRKASAVNLEDSNLMKKLFTLFNGTASAENIAAELKVAPAHSSLRVRLMGVFCRSIAAANAFPFDDDKDIGALYGELWEDIPSSERVTLQLYLPEIISLLCDSMSSSSWAGKRKSAKAIKKLCDALGESLSVHHNNILESLLKELPGRFWEVMEILSHINKRVKHRPEISLPMLDLWRIYTESTSSTIVRNFCIVYIEMAFERLLSEDKGSIAPDLLINISNVTEQHQGIILRLVVKAANDQLKLIGPVILSGILRSLDGSSTTEADSSSRDIKIFAYQAIGLLATRMPNLFSNKTDMAIRLFTALRLEEQSLRVGMREALTHLLGDQNDLTQDLASQGMSIVYELGDASMKEQLVHALVNTLSGAAKKKRAIKLMEDSEVFQEGTIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAAINSKRGAAFGFSKIAKQAGEALQPHLHTLIPRLVRYQYDPDKNIQDSMAHIWKLIVADPKKTIDEHYDLIVEDLLVQSGSRLWRSREASCLALADIIQGRRYGQKLEMHMFFELYSAITKLIFRFDDDKDIGALYGELWEDIPSSERVTLQLYLPEIISLLCDSMSSSSWAGKRKSAKAIKKLCDALGESLSVHHNNILESLLKELPGRFWEGKDAILDALAALCSSCHTAMSAEDSGMPSVILNAVCAACSRKSKLYREAAFSCLQQVITAFKDPGFFNIVFPMLYEVSNRSVICKTRNSSSLTASSSAEQDETEGVSVSLDKVLNCVASSITVAFLQDIINQRKNILEIILNSLSPEESWQIKLSSFLCIKELCYKFQNPDGNNTWPEETTYLVEELFHSTAPKVVDVIRLVKIAQVHTAASECLLELSKLYRDFPLVDRKGPKFSGELAELCESEKSEQAKAFLKQCMDILKDFEDPTGLAMEMD >ORUFI12G02370.2 pep chromosome:OR_W1943:12:1629055:1653431:-1 gene:ORUFI12G02370 transcript:ORUFI12G02370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSPAAAAAAAAQTDAEREEALDRMLTRLALAEDARLAPLLARVLPYAITSLASPAASVRKLAIGECNTHKVGDNVASKYQSISGSNDDLVFADFCFHTVVYQTPPQGVGCPAGLSVAQSDRVTGKQPLKGDTLTSRKLGILNVIEAMQLAPEIVYPLYLAAASDSQESVTKRGEELLKRKASAVNLEDSNLMKKLFTLFNGTASAENIAAELKVAPAHSSLRVRLMGVFCRSIAAANAFPYTLQCIFGCIYGNGTTSRLKQLGMEFTVWVFKHAANDQLKLIGPVILSGILRSLDGSSTTEADSSSRDIKIFAYQAIGLLATRMPNLFSNKTDMAIRLFTALRLEEQSLRVGMREALTHLLGDQNDLTQDLASQGMSIVYELGDASMKEQLVHALVNTLSGAAKKKRAIKLMEDSEVFQEGTIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAAINSKRGAAFGFSKIAKQAGEALQPHLHTLIPRLVRYQYDPDKNIQDSMAHIWKLIVADPKKTIDEHYDLIVEDLLVQSGSRLWRSREASCLALADIIQGRRYGQKLEMHMFFELYSAITKLIFRFDDDKDIGALYGELWEDIPSSERVTLQLYLPEIISLLCDSMSSSSWAGKRKSAKAIKKLCDALGESLSVHHNNILESLLKELPGRFWEGKDAILDALAALCSSCHTAMSAEDSGMPSVILNAVCAACSRKSKLYREAAFSCLQQVITAFKDPGFFNIVFPMLYEVSNRSVICKTRNSSSLTASSSAEQDETEGVSVSLDKVLNCVASSITVAFLQDIINQRKNILEIILNSLSPEESWQIKLSSFLCIKELCYKFQNPDGNNTWPEETTYLVEELFHSTAPKVVDVIRLVKIAQVHTAASECLLELSKLYRDFPLVDRKGPKFSGELAELCESEKSEQAKAFLKQCMDILKDFEDPTGLAMEMD >ORUFI12G02370.3 pep chromosome:OR_W1943:12:1629055:1653431:-1 gene:ORUFI12G02370 transcript:ORUFI12G02370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSPAAAAAAAAQTDAEREEALDRMLTRLALAEDARLAPLLARVLPYAITSLASPAASVRKLVMEILSHINKRVKHRPEISLPMLDLWRIYTESTSSTIVRNFCIVYIEMAFERLLSEDKGSIAPDLLINISNVTEQHQGIILRLVVKAANDQLKLIGPVILSGILRSLDGSSTTEADSSSRDIKIFAYQAIGLLATRMPNLFSNKTDMAIRLFTALRLEEQSLRVGMREALTHLLGDQNDLTQDLASQGMSIVYELGDASMKEQLVHALVNTLSGAAKKKRAIKLMEDSEVFQEGTIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAAINSKRGAAFGFSKIAKQAGEALQPHLHTLIPRLVRYQYDPDKNIQDSMAHIWKLIVADPKKTIDEHYDLIVEDLLVQSGSRLWRSREASCLALADIIQGRRYGQKLEMHMFFELYSAITKLIFRFDDDKDIGALYGELWEDIPSSERVTLQLYLPEIISLLCDSMSSSSWAGKRKSAKAIKKLCDALGESLSVHHNNILESLLKELPGRFWEGKDAILDALAALCSSCHTAMSAEDSGMPSVILNAVCAACSRKSKLYREAAFSCLQQVITAFKDPGFFNIVFPMLYEVSNRSVICKTRNSSSLTASSSAEQDETEGVSVSLDKVLNCVASSITVAFLQDIINQRKNILEIILNSLSPEESWQIKLSSFLCIKELCYKFQNPDGNNTWPEETTYLVEELFHSTAPKVVDVIRLVKIAQVHTAASECLLELSKLYRDFPLVDRKGPKFSGELAELCESEKSEQAKAFLKQCMDILKDFEDPTGLAMEMD >ORUFI12G02370.4 pep chromosome:OR_W1943:12:1629055:1653431:-1 gene:ORUFI12G02370 transcript:ORUFI12G02370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSPAAAAAAAAQTDAEREEALDRMLTRLALAEDARLAPLLARVLPYAITSLASPAASVRKLAIGECNTHKVGDNVASKYQSISGSNDDLVFADFCFHTVVYQTPPQGVGCPAGLSVAQSDRVTGKQPLKGDTLTSRKLGILNVIEAMQLAPEIVYPLYLAAASDSQESVTKRGEELLKRKASAVNLEDSNLMKKLFTLFNGTASAENIAAELKVAPAHSSLRVRLMGVFCRSIAAANAFPFDDDKDIGALYGELWEDIPSSERVTLQLYLPEIISLLCDSMSSSSWAGKRKSAKAIKKLCDALGESLSVHHNNILESLLKELPGRFWEGKDAILDALAALCSSCHTAMSAEDSGMPSVILNAVCAACSRKSKLYREAAFSCLQQVITAFKDPGFFNIVFPMLYEVSNRSVICKTRNSSSLTASSSAEQDETEGVSVSLDKVLNCVASSITVAFLQDIINQRKNILEIILNSLSPEESWQIKLSSFLCIKELCYKFQNPDGNNTWPEETTYLVEELFHSTAPKVVDVIRLVKIAQVHTAASECLLELSKLYRDFPLVDRKGPKFSGELAELCESEKSEQAKAFLKQCMDILKDFEDPTGLAMEMD >ORUFI12G02380.1 pep chromosome:OR_W1943:12:1641657:1642548:1 gene:ORUFI12G02380 transcript:ORUFI12G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVRACMPLPPAAVASSSAAPSTDAQAQRSPSSSSARVLVLGGTGRVGGENECTVLQAAIATKTAYIDVCDDTDYSWRAKGFHEQAKDCGIPAITTAGIYPGPEGVAIESRKVLLERASQGTTIFVMNK >ORUFI12G02390.1 pep chromosome:OR_W1943:12:1656035:1661586:1 gene:ORUFI12G02390 transcript:ORUFI12G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHSYCCLDYAQVYDVVRHRIHRMRKKLKDELDDRDTVQHYVCPNCKRRYSAFDALQLVSDMDDYFHCEHCKEQLLPESEKLTLDEVVCGGDNAIKHKHDKLKDMQQRMEEQLKPLIAVLDRVKDLPFPSFMSLQDWERATMEASANGAVGSSQNSEGRYSSKPMPFLGETEVEVNFLGSTGAQEGVESGMESIKPQPSWMNRKSTVLTGEHKGEISNTADLDQSSEAKSDKKQLSEKDEMKSIQEAYAKAYYEAIQKRQEDEDKRMIQEESLTCISDQPFASDAQFERRLGAKSKRDDGGDSGDDGIEMKVEQPTGNIGEVYKLADLDVETQESIDDDDDDDLVWVEG >ORUFI12G02400.1 pep chromosome:OR_W1943:12:1662689:1662949:1 gene:ORUFI12G02400 transcript:ORUFI12G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNWAEAAILEPTEDAALMEAVEAGRRPQQVSPLEVPQAHGARLLPRVGRPRRDQAQEEIERHRAYSSSALARRRRRLIGVVRRP >ORUFI12G02410.1 pep chromosome:OR_W1943:12:1663453:1665155:-1 gene:ORUFI12G02410 transcript:ORUFI12G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVKRSSVVPPPPRETEETPLTVFELVAPTYHVTVLFAFSPPNPTTRALLDALSATLPHFPLLTARLDRRGARRRPFFVTGRGGAGALVVEAEVGGAGALVVEAEVSSELADHLPLAPSPELARLHPPVNTDAPTPHVLLVQINRFACGGLVVASSAHHQAADGFSMSTFFHAWTDAIRRNGAPLLDRPVPYGPGALSPRRPPRCEFEHRGKEFLPHDGVTSRQGQGADTGAVRIDPSEVANVLLHYPSEFVAELKRRAQGKYTTFETVSAHLKRRAQGKYTTFETVSAHVWKKITTVRGLDAGARTSVNVSVNGRARLGTGTVPNGFFGNLIINASSGATARELTTGTLADAAALIRAGIRAVDRRYFQSFIDFGALHVDGGRDEEEPLQPANVDEPGVLSPDVDSDSWLHLELHRLDMGLGGRLAGILPAKVPEDGVVVVMPSLRKSGGVEVFVALWEKHANELTSIAYTMD >ORUFI12G02420.1 pep chromosome:OR_W1943:12:1665660:1666278:1 gene:ORUFI12G02420 transcript:ORUFI12G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPARRLMDSRAAAQLAAPLSDPYAAEKFEDLLRELGVDPSIHTVVRSAGRWMDPAAAAARVPMRFHRTLQRLGIDPNSDARSIRDMLQEFRRGVYQGEVYWAGRDDVAAPPTGMPRCKMSRDVLLGLALTKACDARQEECAVCLRDFEEKDMLRTMPCNHSFHEICLFRWLSESCLCPLCRYALPKQQQVQSC >ORUFI12G02430.1 pep chromosome:OR_W1943:12:1666725:1668330:1 gene:ORUFI12G02430 transcript:ORUFI12G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYYCSMLFFLPPILYVSYHLTRILADKKKPTTHGLKAHPLLGHLPAFVNNSHRFLDWTTELIVGSPEMRMGFWIPGMRTGIITGNPADVEHILRTNFANYPKGEHAIGMLEDFLGHGLFNSDGEQWLWQRKNASYEFSKRSLRRFVVDVVQAEVADRFLPLLRRAAGDGRGGDIVVLDLQEVLQRFGFDTICMVAFGHDPRCLADGGVMEDARSEYMHTFGEAQDLVVGRFFDPIEVSWKIKKWLNVGTEHRLRKAIADVHAFAMDIVRTRRQSASMSKTARFPDLS >ORUFI12G02440.1 pep chromosome:OR_W1943:12:1668694:1672162:-1 gene:ORUFI12G02440 transcript:ORUFI12G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGAAPTRACLGALVAAYADAGMLGKATEMCERVREQYGSLPEVTHCNRLLKLLVEQRRWDDARKLYDEMLGKDSGADNYSTCVLVRGLCLERRVEEGLKLIEARWGAGCIPHVVFYNVLIDGYCRRGDMGRGLLLLGEMETNGFLPTLVTYGSLINWLGKKGDLEKIGSLFLEMRKRGFSPNVQIYNSVIDALCNCRSATQAMVILKQMFASGCDPDIITFNTLITGLCHEGHVRKAEHFLREAIRRELNPNQLSYTPLIHGFCMRGELMVASDLLVEMMGRGHTPDVVTFGALIHGLVVAGKVSEALIVREKMTERQVFPDVNIYNVLISGLCKKRMLPAAKNILEEMLEKNVQPDEFVYATLIDGFIRSENLGDARKIFEFMEHKGVCPDIVSCNAMIKGYCQFGMMSEAILCMSNMRKVGCIPDEFTYTTVISGYAKQGNLNGALRWLCDMIKRKCKPNVVTYSSLINGYCKTGDTDSAEGLFANMQAEALSPNVVTYTILIGSLFKKDKVLRAVNGLTSCTPCVINSICCNTSEVHGKDALLVVFKKLVFDIGDPRNSAYNAIIFSLCRHNMLREALDFKNRMAKKGYVPNPITFLSLLYGFCSVGKSVNWRTILPNEFQQEEFEIIFRYKFLFDQYATESVCCEVSRQLEVERSGTFIVPSNWRSGINMTKSPAEISTQSVSNGHSTTVDAIVTLQARLFGRMRRCKSDCNVA >ORUFI12G02450.1 pep chromosome:OR_W1943:12:1672930:1675120:-1 gene:ORUFI12G02450 transcript:ORUFI12G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGKEMAYVQMKSIVANVIEELVVDVVKEVAGGGVPEHVFSISLRMKGGLPVKIRRKGHMRGTVRPSIQAAAPGPRWLRLAATLRPISPATAAVRYPLFPLACGHQVSAVTMAKEVDRFVELVVVRHGETSWNSSRIVQGQMDPELNEIGKQQAVVVARRLARKARPAAIYSSDLKRAAETVKIIAKVCDVSNLVLIEALRERHMGYLQGLTWDDAMNKSPGVFKGFANFEVKNGLDFDGRNHEFPDGGESLNQLSEQGISYLNKVAQNHIGERVIVVGHGAAILELCRHTDPPNSSIRRKIPNTSLNIFRISGVTGRWILERCGDVGHLSENGFLENAFGGDGASA >ORUFI12G02450.2 pep chromosome:OR_W1943:12:1672930:1675120:-1 gene:ORUFI12G02450 transcript:ORUFI12G02450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGKEMAYVQMKSIVANVIEELVVDVVKEVAGGGVPEHVFSISLRMKGGLPVKIRRKGHMRGTVRPSIQAAAPGPRWLRLAATLRPISPATAAVRYPLFPLACGHQVSAVTMAKEVDRFVELVVVRHGETSWNSSRIVQGQMDPELNEIGKQQAVVVARRLARKARPAAIYSSDLKRAAETVKIIAKVCDVSNVSSSSLPFPAFSSMSNTNNLFQLVLIEALRERHMGYLQGLTWDDAMNKSPGVFKGFANFEVKNGLDFDGRNHEFPDGGESLNQLSEQGISYLNKVAQNHIGERVIVVGHGAAILELCRHTDPPNSSIRRKIPNTSLNIFRISGVTGRWILERCGDVGHLSENGFLENAFGGDGASA >ORUFI12G02460.1 pep chromosome:OR_W1943:12:1676356:1682049:1 gene:ORUFI12G02460 transcript:ORUFI12G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGSVGLGMAVRVAMAGLGTNRVAAVVSAAAVRGHNAGGGALEGMVLVYDIAGGKWSRAPDLPPGFRRAACAGVDLQFAMWSSSCFINHDEREPRDCTNRFGSASTNSALNFVTPFRLIRRSHRSSFVPLSSRKPHFPAAAVSISSILFAHTEMHARLLLRMHAPISFAPASSPDAASFAPAAADVGGAVCLGYGIAIAVGVLVFISTVMLASYICVRAKAGAAAVLLADDDDDGGAPAASAVVVLGLDGPAIDALYPKFLHVGVGDDDNACAGAQCAICLGEFVAGDALRRGPGCGHRFHAECAERWLRVSATCPVCRDSPLPSPMATPLAEAVPLAAHAR >ORUFI12G02470.1 pep chromosome:OR_W1943:12:1686847:1687934:1 gene:ORUFI12G02470 transcript:ORUFI12G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTTAPETDPSKTVVEEITGWLRLYSDGTVERLTPPGAEPFTVTVPPYTEPRNGVTVHDSIVGSLPQLLRASLTTKLDVAGIVSVFLPLAPEHRLPAAIDAGHAALLWLRDVACGEDENNNGAAHHLDPAVERLRDEADFARVFLIGDSSGGNLVHLVAAHAAAKDDGAGADLHPVRLAGGVLLNPGFAREDKSRSELENPPSLFLTEEMVDKLLALGVPLGMNKDSPYTSPSLAAEAVARLHMPPMLLMVAEKDLLHDPQVEYGEAMARVGKTVETVVSRGAVAHVFYLNFFAVESDPLTAERTRELIDTIKTFIDRY >ORUFI12G02480.1 pep chromosome:OR_W1943:12:1692395:1694265:1 gene:ORUFI12G02480 transcript:ORUFI12G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPQMAATKERQEAANPTTTTRTLVESVTNWIRVYSDGSVDRLGPPEAAAFMVLVPPYDDPRDGVTVHDVATDHGVDVRLYLTTTTPAGRRPVLVHFHGGGFCLSHAAWSLYHRFYARLAVELDVAGIVSVVLPLAPEHRLPAAIDAGHAALLWLRDVACGTSDTIAHHAVERLRDAADFSRVFLIGDSAGGVLVHNVAARAGEAGAEALDPIRLAGGVLLHPGFILPEKSPSELENPPTPFMTQETVDKFVMLALPVGTTSRDHPYTSPAAAVTAAEGAQLPPMLVMVAEEDMLRDAQVEYGEAMARAGKAVETVVSHGRGIGHVFYLNWFAVESHPVAAARARELVDALNKFPAQCIRVFVSPIRLRNFDLMEKHVEKMLSLGFRFNPSAEDLITFYLPRLIAGKPMKDTEKFICRADVYGSEPSDLAGKFAPVPRCEKGGRFFFTSCKRHKGSSTKKERTAGAGTWVRQNSKEVKNKAGVKVGETQNFRFKKDGSYTDWLMEEHHCCRQQAVAGDEEPVICRMYVSPRAPPDSAARQESAAFVQQQPAPQVSEPPCDKKKRDDVAEEAPAAA >ORUFI12G02490.1 pep chromosome:OR_W1943:12:1694506:1696990:-1 gene:ORUFI12G02490 transcript:ORUFI12G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKILRGDNVMIIRGKDKGESGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVLDPVTGKPCKIGYKYLEDGTKVRFARGMNASGAVIPRPEILKERRKPRPTSPGPKDTRIEHVLEKTYDAKAGIGMPDL >ORUFI12G02500.1 pep chromosome:OR_W1943:12:1698841:1699836:1 gene:ORUFI12G02500 transcript:ORUFI12G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEKRTSPQQPPTLLPTNCSTHFLYARAPTTAGVTPRQPHQSFRSYLAQMGGTGDDGAKAPAAAAAMDVVSSSSSSPAPAPSVLKSVLLSYAYVSVWITLSFSVIVYNKYILDPKMYNWPFPISLTMIHMAFCASLAVVLVRVLRVVAVPASPPMTPSLYAASVVPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVAFRTDSFRRASMLNMLGISAGVAVAAYGEARFDAFGVMLQLAAVAAEATRLVLIQILLTSKGMSLNPITSLYYIAPCCLVFLTLPWYFVELPRLRAAAGAAVRPDVFVFGTNSLCAFALNLRCR >ORUFI12G02510.1 pep chromosome:OR_W1943:12:1702349:1708369:1 gene:ORUFI12G02510 transcript:ORUFI12G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTDNAASANSVEPEKSEECLEFDDDEEEEVEEEEIEYEEIEEEIEEEEVEEDEDVVEEVEEVDEEEDEEEEEESDETEGVSKTKGVHQKDVTEKGKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVRMMRGKDDSRGYAFVNFRTKGLALKAVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPHSWTDDDFRKVVEEVGPGVLKADLMKVSSANRNRGYGFVEYYNHACAEYARQEMSSPTFKLDSNAPTVSWADPKNNDSASTSQVKSVYVKNLPKNVTQAQLKRLFEHHGEIEKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGQVLDCSLAKPPAADKKDDRVPLPSSNGAPLLPSYPPLGYGIMSVPGAYGDAPASTAQPMLYAPRAPPGAAMVPMMLPDGRLVYVVQQPGGQLPLASPPPQQAGHRSGSGGRHGGSGGRYGGGGGSSGSSRPEECVSETRLYMRILPVSQSAESDGALYRQFTPVSHMDYEISGPESEVDSEVWLGWVAQRRRRRATAPYSGRRRVHEASDAGDDTAIRRSWQQVKEIPTSLDDFLPSIRTTCTHTHTCNPPGPSATEHTHTCYHTHTRVFSSDDDSCGGDKAKPKKSRKPLGNREAVRKYRQKKKAHTAHLEEEVKRLRVINQQLVKRLQGQAALEVEVVRLRSLLVDVRSRINGALGSCPIQAQCGVDNVLGCDGMAQCFAGKPELGVRQSCAPSTVNCHISSDSGQNLVVPHALSPSDVIGSFMVSSTSKDE >ORUFI12G02510.2 pep chromosome:OR_W1943:12:1702346:1708369:1 gene:ORUFI12G02510 transcript:ORUFI12G02510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQISIPIVFLSFQLETRARRWLIPPNLLGTRPHLPKLPWNFELFKMPRRTDNAASANSVEPEKSEECLEFDDDEEEEVEEEEIEYEEIEEEIEEEEVEEDEDVVEEVEEVDEEEDEEEEEESDETEGVSKTKGVHQKDVTEKGKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVRMMRGKDDSRGYAFVNFRTKGLALKAVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPHSWTDDDFRKVVEEVGPGVLKADLMKVSSANRNRGYGFVEYYNHACAEYARQEMSSPTFKLDSNAPTVSWADPKNNDSASTSQVKSVYVKNLPKNVTQAQLKRLFEHHGEIEKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGQVLDCSLAKPPAADKKDDRVPLPSSNGAPLLPSYPPLGYGIMSVPGAYGDAPASTAQPMLYAPRAPPGAAMVPMMLPDGRLVYVVQQPGGQLPLASPPPQQAGHRSGSGGRHGGSGGRYGGGGGSSGSSRPEECVSETRLYMRILPVSQSAESDGALYRQFTPVSHMDYEISGPESEVDSEVWLGWVAQRRRRRATAPYSGRRRVHEASDAGDDTAIRRSWQQVKEIPTSLDDFLPSIRTTCTHTHTCNPPGPSATEHTHTCYHTHTRVFSSDDDSCGGDKAKPKKSRKPLGNREAVRKYRQKKKAHTAHLEEEVKRLRVINQQLVKRLQGQAALEVEVVRLRSLLVDVRSRINGALGSCPIQAQCGVDNVLGCDGMAQCFAGKPELGVRQSCAPSTVNCHISSDSGQNLVVPHALSPSDVIGSFMVSSTSKDE >ORUFI12G02510.3 pep chromosome:OR_W1943:12:1702344:1708369:1 gene:ORUFI12G02510 transcript:ORUFI12G02510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTDNAASANSVEPEKSEECLEFDDDEEEEVEEEEIEYEEIEEEIEEEEVEEDEDVVEEVEEVDEEEDEEEEEESDETEGVSKTKGVHQKDVTEKGKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVRMMRGKDDSRGYAFVNFRTKGLALKAVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPHSWTDDDFRKVVEEVGPGVLKADLMKVSSANRNRGYGFVEYYNHACAEYARQEMSSPTFKLDSNAPTVSWADPKNNDSASTSQVKSVYVKNLPKNVTQAQLKRLFEHHGEIEKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGQVLDCSLAKPPAADKKDDRVPLPSSNGAPLLPSYPPLGYGIMSVPGAYGDAPASTAQPMLYAPRAPPGAAMVPMMLPDGRLVYVVQQPGGQLPLASPPPQQAGHRSGSGGRHGGSGGRYGGGGGSSGSSRPEECVSETRLYMRILPVSQSAESDGALYRQFTPVSHMDYEISGPESEVDSEVWLGWVAQRRRRRATAPYSGRRRVHEASDAGDDTAIRRSWQQVKEIPTSLDDFLPSIRTTCTHTHTCNPPGPSATEHTHTCYHTHTRVFSSDDDSCGGDKAKPKKSRKPLGNREAVRKYRQKKKAHTAHLEEEVKRLRVINQQLVKRLQGQAALEVEVVRLRSLLVDVRSRINGALGSCPIQAQCGVDNVLGCDGMAQCFAGKPELGVRQSCAPSTVNCHISSDSGQNLVVPHALSPSDVIGSFMVSSTSKDE >ORUFI12G02520.1 pep chromosome:OR_W1943:12:1709132:1709359:1 gene:ORUFI12G02520 transcript:ORUFI12G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGAWRSTSSGGRHGRGQGRGWRVGMGLWESSRSRRGINVERGETRQRSRPGVARRHGTMGELEVKTGHDDSGD >ORUFI12G02530.1 pep chromosome:OR_W1943:12:1709906:1712271:-1 gene:ORUFI12G02530 transcript:ORUFI12G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSPKPVIALVLFVVCIVSCFEDATAQYSGSSNNGAAATGPMAAGGSCSLAVAAAVLAVAAGKMPPRKRGINPPGSTAL >ORUFI12G02540.1 pep chromosome:OR_W1943:12:1712880:1713860:1 gene:ORUFI12G02540 transcript:ORUFI12G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAPPSEAAAAADDVVLPYISRILMEEDIDDDMFFCLYPDHPALLEAQQPFAQILSSSSGIAGEVNSAPMEDSAALMMQGSGNGRGRKGSKHGGDELEAEVGRASKLMATPEEEDDDDDGVGEMLEKMMLNGDEEMNAPRVPAEKNGGKAARRKRRQGKGEVVDLRELLMSCAQAGSHLRAVLERDVLRRAAVGVIAGEGAERVERPETYRRWQARNRRAGLRQVAVEADVVEAVRRRVRRRHHEEFVIEEDAGWLLQGWKGRILYAHSAWVVAEDGAH >ORUFI12G02550.1 pep chromosome:OR_W1943:12:1721326:1723758:-1 gene:ORUFI12G02550 transcript:ORUFI12G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLAASALRRGGANDGGVLAAVRAEIAHELSSSTPSSPPSLQSQDIPDFATVSDPPRGQEVLLRRRDASEEVLVSAVLAPLRFEGEEPLPRDALMKVFVSKPDVKPVMRFDCRAFADEGDGGSADYDVTNACYHPFAGDAGEDKYEGPEFRDLDPRLQVALKGYLVARGVNSKLASSLHHHLVEKERWQYMNWLKTLEDMFSSH >ORUFI12G02560.1 pep chromosome:OR_W1943:12:1726885:1734394:1 gene:ORUFI12G02560 transcript:ORUFI12G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDRYLQENFDVPAKNPSEEAQRRWRQAVGTIVKNRRRRFRWVPDLERRSLDKAKVRSTQEKIRVALYVQQAALIFSDGAKKKEYKLTGDIIKAGYAINPDELALITSKHDSKALKMHGGVDGISIKVRSSFDHGIYASELDTRQNIYGVNRYAEKPSRSFWMFVWDALQDMTLIILMSLQFKELDNEKKKIFIHVTRDGRRQKISIYDLVVGDIVHLSIGDQVPADGLCIHGYSLLIDESSLSGESDPVYVSQDKPFILAGTKVQDGSAKMIVTAVGMRTEWGKLMSTLSEGGEDETPLQVKLNGVATIIGKIGLVFAILTFLVLLVRFLIDKGMTVGLLKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWISEVSKSVTSNTISGELNSVVSSSTLSLLLQGIFENTSAEVVKEKDGKQTVLGTPTERAILEFGLGLKGDHDAEYRACTKVKVEPFNSVKKKMAVLISLPNGTSRWFCKGASEIILQMCDMMVMARSLPLDKHTLVTNLRGMFDEVVSVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACIIGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRKGESFITKFMWRNIMGQSLYQLFVLGALMFGGERLLNIKGADSKSIINTLIFNSFVFCQVFNEINSREMQKINVFRGIISNWIFIAVIAATVAFQVVIIEFLGTFASTVPLNWQHWLLSVGLGSISLIVGVILKCIPVGSGETSATPNGYRPLANGPDDI >ORUFI12G02570.1 pep chromosome:OR_W1943:12:1733947:1735044:-1 gene:ORUFI12G02570 transcript:ORUFI12G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDDKKQKGPDVTVPSGYFFVPKPEQLIRDYLNHWITGRPIEELRDIVREADVYGSDPATLTEAHRAYGHDGKSWYFLTVAKWKGGRGGAGTAGRLNRCVEGGGTWHNSQRRRVIEGYGDRQAFEYRAPGNKKTNWLMEEIASNLPAAITDEGIMVICKVYLSPRAKEATADEEERQETNVVPGPKRLREAEATGYDAPAPEPPQPDGGCSYSGGGETSQATASMDYCCSTTTHTADDTANAAAYYYGDVDAIKPDAYDGGDYGIGINADGELVLCGNGHGGIGTQGQMPLAMQNTNGEMTLFSPMNGYGVGFNEEVRQEPQVGGEVEMNDFFNDLFVDFDGAGDPNPNPNEGGDSHGHILCE >ORUFI12G02580.1 pep chromosome:OR_W1943:12:1738444:1739889:-1 gene:ORUFI12G02580 transcript:ORUFI12G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFELLEQCPKEFTSVFYSLQNWRSIFERFDRDRSGKIDATELRDALLSLGYSVSPTVLDLLVSKFDKTGGKNKAIEYDNFIECCLTVKGLTEKFKEKDTAFSGSATFTYEAFMLTVLPFLIA >ORUFI12G02590.1 pep chromosome:OR_W1943:12:1745509:1750799:1 gene:ORUFI12G02590 transcript:ORUFI12G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVRACMPLPPAAVASSSAAPSTDAQAQRSPSSSSARVLVLGGTGRVGGSTATALSKLRPDLNILIAGRNREKGESLASKLGEESEFVQVDIRDRNMLEEVLQDVDLVVHAAGPFQRENECTVLQAAIATKTAYIDVCDDTDYSWRAKGFHEQAKDCGIPAITTAGIYPGVSNVMAAELVHAARSENAGTGGAGPTILTTSFLLLAEDVIAYNKGEEIKLKPYSGALSIDFGKGVRKKDVYLLNLPEVKSAYKVLGVPTVSARFGTAPFFWNWGMQAFANFLPVEFLRDRNKVLKLVGFVDPFVRAIDGIAGERVSMRVDLDCSNGKNTIGLFSHRKLSVSVGYATAAFVLAVLEGSTQPGVWFPEEPEGVAIESRKVLLERASQGTTIFVMNKPSWMIETDPKEVGLGIYV >ORUFI12G02590.2 pep chromosome:OR_W1943:12:1745509:1750799:1 gene:ORUFI12G02590 transcript:ORUFI12G02590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVRACMPLPPAAVASSSAAPSTDAQAQRSPSSSSARVLVLGGTGRVGGSTATALSKLRPDLNILIAGRNREKGESLASKLGEESEFVQVDIRDRNMLEEVLQDVDLVVHAAGPFQRENECTVLQAAIATKGFHEQAKDCGIPAITTAGIYPGVSNVMAAELVHAARSENAGTGGAGPTILTTSFLLLAEDVIAYNKGEEIKLKPYSGALSIDFGKGVRKKDVYLLNLPEVKSAYKVLGVPTVSARFGTAPFFWNWGMQAFANFLPVEFLRDRNKVLKLVGFVDPFVRAIDGIAGERVSMRVDLDCSNGKNTIGLFSHRKLSVSVGYATAAFVLAVLEGSTQPGVWFPEEPEGVAIESRKVLLERASQGTTIFVMNKPSWMIETDPKEVGLGIYV >ORUFI12G02590.3 pep chromosome:OR_W1943:12:1745509:1750799:1 gene:ORUFI12G02590 transcript:ORUFI12G02590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVRACMPLPPAAVASSSAAPSTDAQAQRSPSSSSARVLVLGGTGRVGGSTATALSKLRPDLNILIAGRNREKGESLASKLGEESEFVQVDIRDRNMLEEVLQDVDLVVHAAGPFQRENECTVLQAAIATKTAYIDVCDDTDYSWRAKGFHEQAKDCGIPAITTAGIYPGVSNVMAAELVHAARSENAGTGGAGPTILTTSFLLLAEDVIAYNKGEEIKLKPYSGALSIDFGKGVRKKDVYLLSVGYATAAFVLAVLEGSTQPGVWFPEEPEGVAIESRKVLLERASQGTTIFVMNKPSWMIETDPKEVGLGIYV >ORUFI12G02600.1 pep chromosome:OR_W1943:12:1751178:1753727:-1 gene:ORUFI12G02600 transcript:ORUFI12G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPVSSTTSTCRPCRPAQAAASKPSTSSSPGTGVLVGVPRERGSSVSKAAIRGARLEAAARCSLVRQRPMLLATVAVGSLVAAGAANATEIGDSLLGSSGLALADLSIGDWFGNLLYSAGQQANEAVQDQLSALSFTSLAVIFGAGLVTSAFGSGKDRSEDPIVGGSLLLTYTTGYVAPLLIAASFAGALQSLLSFRRYSAWINPISGAFLLGGGVYTLLDRLFPATSMVM >ORUFI12G02600.2 pep chromosome:OR_W1943:12:1751178:1753727:-1 gene:ORUFI12G02600 transcript:ORUFI12G02600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPVSSTTSTCRPCRPAQAAASKPSTSSSPGTGVLVGVPRERGSSVSKAAIRGARLEAAARCSLVRQRPMLLATVAVGSLVAAGAANATEIGDSLLGSSGLALADLSIGDWFGNLLYSAGQQANEAVQDQLSALSFTSLAVIFGAGLVTRSVTIDGTDLMTYSLKSFRRYSAWINPISGAFLLGGGVYTLLDRLFPATSMVM >ORUFI12G02610.1 pep chromosome:OR_W1943:12:1756513:1758906:1 gene:ORUFI12G02610 transcript:ORUFI12G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHNCHPVPLWEREFCIYVGGISWHRFCDNKRYVCMYKNIEQWDDSEAFDNFKNAKARFWANYHGQPSDISLPDPDMYIDKIDHNSKIDPELIADLKMVRLPFERDDELLPADGLGSTDTDNKCQQKQNQSGNWDIYVEKPTEVNKWEQDSRSNMDWGTKHESWNEWSKNCSGWGSALADSSALADSSWGNWNNSNNHHSSNNRDSFNGVNRNRYQDPNSISGRKRNSGGHIQQRNSRQRNQTEGYQGSTPRW >ORUFI12G02620.1 pep chromosome:OR_W1943:12:1759913:1764142:1 gene:ORUFI12G02620 transcript:ORUFI12G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEEVSNKMQAQMRLHPAAAAEEEDADLPLPALFDKASHLHSLASSSSLDQEGIRKGVDLLRRCDEMVSKLGLFSSNETKDDVSTANLKYLLVPYYLGEMTERVAQEDRIPVLKASQDHLKEFISICEALELISEDELELSRQKQPDTMANRRAQKVARFKRQKAAETKLLEIKERKERRRRSLRAAALSAPIEAGEEDAFEDDGEEEREAWLATISLALCKAFDLLDMLKKEEEMLLAVKERQAKDGNAFAREMLDERTKKAEAWHHNAANRAPYSKPADPITCATFAQDVIEGRASVSQAHEHKHQPLIFGPASLVGGGLTSERERMAAQVFQPSYRLPTMSIEEAGLREMKMMEKWQERTAKMIQESNSAWHKDGSRSAQEDEDAEEEKARAWDDWKDDNPRGAGNKKLTPCG >ORUFI12G02630.1 pep chromosome:OR_W1943:12:1764775:1772127:-1 gene:ORUFI12G02630 transcript:ORUFI12G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWLKVLLNSALHCGTHDRAGGAQSFHQLSADEATAHNKEIYDQLRRVAETFPSAPSLIGLPCSRHPDGWYTFTNGVVSSMVIKEHLTARATDIFLTTFPKSGTTWLKVLLYSTLHRGTDELVAHSPHQLVPFLESQVFVNDRIPDLSSLSSPRLFMTHIPSQSLPNSVATSGCKVVYLCRDPKDCFVSLWHFWNRFMPWDIDEAHRQFCDGVSQFGPFWEHILGYWRWHVEKPNQVLFLTYEELAADTLGQLRRLAEFVGCPFTTEEQKHGVDRNXVDRNIVEACALENMSGLEVNRSGTITIVDSTVPNNTFFRRGVVGDWRNHLTPEMARRIDEITKSKFKGSGLTTLFMASSSTAEAADGTSHKEIYDQLRQVVETFPAATFPAAVSGIGQPYCRHPDGWYMSRRGVVSAMAIKRHLMARTTDVFIATFPKSGTTWLKALIFMSWNIDVAVRQFCDGISHFGPFWEHVLGYWRWHVETPSQVFFLTYEELAADTLGILRRLAEFVGHPFTVEEQEAGVDRKIVEICAMESLSRLEVNLSGTTDFIEKDVPNNIFFRRGVVGDWRNYLTPEMAMKIDEIIEIKFEGTGLLFHPQLLREKGE >ORUFI12G02640.1 pep chromosome:OR_W1943:12:1771188:1772166:1 gene:ORUFI12G02640 transcript:ORUFI12G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQELHCKYADLTLWNQNGIAANVCLDHYKLGRNGEDYPEGAASKKLCRNGFTTYMQAGPEPSLIYAFLVNEAEDVVVDEQLRLEERDELVEGLCATSSIVSATVESGVQKHLEPMSHVLPSEEASALIQ >ORUFI12G02650.1 pep chromosome:OR_W1943:12:1775072:1779964:-1 gene:ORUFI12G02650 transcript:ORUFI12G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFPLSFAPQSADEATAHKEIYDQLRQTVETFPTAPNSSNSFTYSRHPDGWYTFPEGVVSAMVIKSHLTARTTDIFMVTFPKSGTTWLKTLLHSALHRGANDLAAHSPHQLVPFLETQVFIKDRIPDLSSLPAPRLLMTHIPSQSPWDINEAHRHFCDGVSLFGPYWEHVLGYWRWHTKRPSQVLFLTYEELTTDTLGQLRHLAEFVGCPFMVEEQELGVDRKIVEACAMESLSRLEVNQSGTTDMVDKTYVNNIFFRRGVCGLFMASSFRLSSVTEAADEAKAHKKIYDQLRQVAETFPTAPSGIDVHTAATPTAGT >ORUFI12G02660.1 pep chromosome:OR_W1943:12:1790453:1796927:-1 gene:ORUFI12G02660 transcript:ORUFI12G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGTDSSSPELSLCSPYEPWTQGRGPTEELGLALLECAAHVETGPMEKATRCLARATGLAAAAAAGDGPQKRLAEAMVDCLARRLLRPVQAITDALIDPSVYLDRRSVRAARRGFFELSPFPKVAFVVGNRAIVEAVDNESLVHVVGMSGPFTQPCQWIQLLHELRRRPEGPPRVVRLTVVHDDGELLAKMAEVLSDEAEELDMEFQFHGVVGQLEDLDFSNLRDVLEIKSGEALVVSCTLQLHRLLAADDDAMYSSRSAHLNQMASIAQLQQMAVSSCPPSTGGGGSVQYKDDDDDPYRSPATPLTFVSPPASTPHLQMPAALANFLSAVRALSPKIVVVAEQDADHNGVSFRKRFCEALHHYAAVFDSLDDAAAATTSAASHLWSPDERAQVERVVVGEEIKGVLLRDGAHRRERHDRLRQWAARMEMAGFTGVPLSYAAIRKGNDMVRRCGLRRCENRECGGCLLLCWSSRPLYSISAWRPAASGGAGSGSERSDPHTDPPCRVGRSAAAAAMSASLHRPALASLLQIEAGGCGVLGVFAPGPRLPCRGYHPCRSSSILGSASLTPRRRHISPSGLRIRDVLVELQVVHIVDLSCSAAHPWQWLKLLDDFHGRPGGAPELYLTVLHDDNDFLAEMQSLLSKKAESLEVSFRFISVIGRLETLDFSNLRSTFQIKFGVAVAISCALQMHRLLLVDDNLSSTSIAQLQKMANFTQPKQMASSVCSPASTLNYLQTPSPRTPKLLARLLSAIRALKPNIMVIMEQDADHNALLFRDRFNEVLNYYAALFDCFHAVAAANPGRTDERLRVERMILREEIKNILVCEGVHRHERHERLDQWAMHMEESGFHNVQLSFSAIREGKENLLSFGLKNCQNKEDRGCLLLSWGSTNLYSISRSAAAAAERARVERVLLGEEIRGVVACEGAERTERHERARQWAARMEAAGMESVGLSYSGAMEARKLLQSCGWVGPYEVRHDAGGHGFFFCWHKRPLYAVTAWRPAASRRGHTRS >ORUFI12G02670.1 pep chromosome:OR_W1943:12:1815734:1821446:1 gene:ORUFI12G02670 transcript:ORUFI12G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAKLLALAVFAAAAILSLDSRSDVRQLEIRDGDVELIPLLDGAAGPESIVFGDAGEGPYTSVSDGRVLKWLPPPERRWVEHSCSVPELLDSCRGSKDTKREQECGRPLGLKFNSKTGELYVADAYLGLRVVSPGENVSRPLVPKWTESPFSFSNGVEIDHETGVIYFTETSTRFQRREFLNIVITGDNTGRLLKYDPKENKVEVLVDGLCFPNGLAMSIDGSYLLLAETTTGKILRYWIKTPKASTIEEVVQLHGFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRKVILKLPAQRIQRITSFLTGFGRQVIALRLSEDGKTIEAMSVHGDVRKLFKSISEVEEKDGNLWIGSVLSPFLGLYRI >ORUFI12G02670.2 pep chromosome:OR_W1943:12:1815734:1821446:1 gene:ORUFI12G02670 transcript:ORUFI12G02670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWWNIMSRSKCDKAINHISTLHKQIRSRSRVAISLIAVTISLIAVIHIGSGLLVPMKARLVVLAAAVAAAALLVSLDPRSDDVPVLEIWERDVELITVDAGGAVGPESVAFDGDGDGPYTGVSDGRVLKWLPLERRWVEHSSAVIEPHMLDSCRGSKDTKREQECGRPLGLKFNSKTGELYVADAYLGLRVVSPGENVSRPLVPKWTESPFSFSNGVEIDHETGVIYFTETSTRFQRREFLNIVITGDNTGRLLKYDPKENKVEVLVDGLCFPNGLAMSIDGSYLLLAETTTGKILRYWIKTPKASTIEEVVQLHGFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRKVILKLPAQRIQRITSFLTGFGRQVIALRLSEDGKTIEAMSVHGDVRKLFKSISEVEEKDGNLWIGSVLSPFLGLYRI >ORUFI12G02670.3 pep chromosome:OR_W1943:12:1819362:1821446:1 gene:ORUFI12G02670 transcript:ORUFI12G02670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAKLLALAVFAAAAILSLDSRSDVRQLEIRDGDVELIPLLDGAAGPESIVFGDAGEGPYTSVSDGRVLKWLPPPERRWVEHSCSVPELLDSCRGSKDTKREQECGRPLGLKFNSKTGELYVADAYLGLRVVSPGENVSRPLVPKWTESPFSFSNGVEIDHETGVIYFTETSTRFQRREFLNIVITGDNTGRLLKYDPKENKVEVLVDGLCFPNGLAMSIDGSYLLLAETTTGKILRYWIKTPKASTIEEVVQLHGFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRKVILKLPAQRIQRITSFLTGFGRQVIALRLSEDGKTIEAMSVHGDVRKLFKSISEVEEKDGNLWIGSVLSPFLGLYRI >ORUFI12G02670.4 pep chromosome:OR_W1943:12:1815734:1816686:1 gene:ORUFI12G02670 transcript:ORUFI12G02670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHPGTNKLRPSREKSKPYHLTLTAVWLTMARAAAAFVFVSLTLLCNASSQGEGAAAAAACRAADLVVRQRATGRVVEGKPEYAVEVANRCRWVTLRLHPVGPPLLVPHHARQDTVVAGYDVPADARVLVHVRAIAPDPASWPDRTDAFLPERFLPGAGGCDGGVDVHGQHFELLPFGSGRRISPATNLAKKMVALGVASLLQGFAWRLPDRGREHGGAGQAVPLVTVAEPRLPPHLYAAAAA >ORUFI12G02680.1 pep chromosome:OR_W1943:12:1822305:1827986:1 gene:ORUFI12G02680 transcript:ORUFI12G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSSPKPSSFSSANPTSTPRPRAQTLSPFRAAAPRFSHGLATAAAAANPSASRRCYHRAFARPAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLRLNIAHLTLRKALPGLLGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGDEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFNRAFSGSGAALDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >ORUFI12G02680.2 pep chromosome:OR_W1943:12:1822305:1827986:1 gene:ORUFI12G02680 transcript:ORUFI12G02680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSSPKPSSFSSANPTSTPRPRAQTLSPFRAAAPRFSHGLATAAAAANPSASRRCYHRAFARPAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGDEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFNRAFSGSGAALDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >ORUFI12G02680.3 pep chromosome:OR_W1943:12:1822305:1827986:1 gene:ORUFI12G02680 transcript:ORUFI12G02680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSSPKPSSFSSANPTSTPRPRAQTLSPFRAAAPRFSHGLATAAAAANPSASRRCYHRAFARPAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGDEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFNRAFSGSGAALDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >ORUFI12G02690.1 pep chromosome:OR_W1943:12:1828914:1834325:1 gene:ORUFI12G02690 transcript:ORUFI12G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQSIVMSEQQRAEFCLTLMAVMYGHTRQPADAELLRRPQRRRAAAAADPPPHIRNASVVENTEPDVLFAYTPHDGLSPEFDDDSGGVADYFAADDDEAYSNGGFGAVPALSEAIVSMPELSVGEAREKQCGVCLEGFEEGDKLRKMPCEHYFHESCVFKWLQGPSYVPHGVESAYIHINRDIEEDDDAYSEDGFCAVPASSDAIAALPETTVSETETREEEACAVCLEGFKEGDKVKKMPCSHDFHSKPWSRDASDDVPDTSHMSDEQFQQLIDQYWAEQGFNIWSWIRASRTSSSSTPGPTRRTAASWLAVTFDGDGVARFSGNSDRSGGLDDQATGGFSIVDLLDGILQPDDDGNGGGATPASSMAIVSLPEITVGDEKGEAKDCPVCLQGFEEGDKLRRMPCADSHCFHEQCIFSWLVINRHCPLCRFPLPAETEEEEEVAQAENDDDDDDGEETILCLHRLRLCPLCRFALPTQAEAEAGLWPLPTPGSGSGT >ORUFI12G02700.1 pep chromosome:OR_W1943:12:1834055:1841856:-1 gene:ORUFI12G02700 transcript:ORUFI12G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLLLAAAAAAVVVAMALRWLLLLGGPAAGRLGKRARMPPGSTGLPLIGETLRLISAYKTPNPEPFIDERVARHGGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVHSSYPSSIATLLGARSLLLTRGAAHKRLHSLTLTRLGRPASPPLLAHIDRLVLATMRQWEPAATARKKVAGALREVIKKRMEEKAENGGSIGDDEGKKEKKDMVEELLEAEGGSFSEEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPAALAELKEEHANIRDMKGKNQPLEWSDYKSMPFTQCVINETLRVGNIISGVFRRANTDIHYKDYTIPKGCKIFASFRAVHLNNEHYENARTFNPWRWQINNKLQNAVGANIFTPFGGGPRLCPGYELARVVVSIFLHHLVTRFRIDRPLESRVAKALQFRSSEHAVPTVTVFMAINRGRRSAEWETEFSMHSADHVPEPEPGVGNGHSPASASAWVGSAKRQSGQRRLTCXAAAAAVGACSLLYMSWGSSNRPVVAMLRRLGQECARRSIGTNEQLADREFED >ORUFI12G02710.1 pep chromosome:OR_W1943:12:1840865:1844336:1 gene:ORUFI12G02710 transcript:ORUFI12G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGRLLLPHDMYRRLQAPTAAAAASLFILAPHLHFQRPNAAAAAPEGYSNGRFGSVPACSEAIAALEETSAGEAKEKDCSVCLEVFEEESDKPMRKMPCCHAFHESCIFEWLQHEAVDVREEGRRRGAAEAGEGEGVEPLVRGAAGEEQGARAEERGDGGRVAGVDGAALGGEEEAVEGRVGGEHGALAEDVGGEHAAVARHALVDERLRVGRLVRRDEPQRLANQRQARAPRRHPRPLPQPPGGGAPEEEEPSERHGNHDGGGGRGEEEQRGRGHGGGSTGLEEGLRGEYKVESPIGPRSENVLVVAY >ORUFI12G02720.1 pep chromosome:OR_W1943:12:1847658:1851953:1 gene:ORUFI12G02720 transcript:ORUFI12G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIEAPFHVLAVDDSLPDRKLIERLLKTSSFQVTTVDSGSKALEFLGLHDHEDSPISTQSDQQEVAVNLIITDYCMPGMTGYDLLKKIKESSYLRDIPVVIMSSDNIPSRINRCLEEGADEFFLKPVRLSDMSKLKPHILKSRCKEHYQQEQHLQSNSESNNSSNPTSENSSSSTSTNSHKRKAVDEEILPHTIRPRHS >ORUFI12G02730.1 pep chromosome:OR_W1943:12:1850764:1853282:-1 gene:ORUFI12G02730 transcript:ORUFI12G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSPSQQSFTTIESAESATAATSIETAESTTAARDVSPPAAVSAARDMEVDDVDVHEVPECIASMIDRGSVESHRLFLARRTAMEMLRDRGYSVPEAEIARTLPEFRAWWAEKPGIERLAFTTTLVSDPSKKVQLVFCPPEPVKIATIREIYLQTKEENLSRLVLILQSKILSRAREAIKEIFKFKVDIFQATDLLVNITKHVLKPKHEVLSADQKAKLLKEYNVEDSQLPRMLETDAVARYYGFDKGTVVKVIYDGELTGKRVAYRCVF >ORUFI12G02730.2 pep chromosome:OR_W1943:12:1850764:1853282:-1 gene:ORUFI12G02730 transcript:ORUFI12G02730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSPSQQSFTTIESAESATAATSIETAESTTAARDVSPPAAVSAARDVSPPPAAARDVSPPSAAASAARDVSPPSAAAPAAARDVPPPPAVVPAAALDVPPPAVVPMAAEMEVDDVDVHEVPECIASMIDRGSVESHRLFLARRTAMEMLRDRGYSVPEAEIARTLPEFRAWWAEKPGIERLAFTTTLVSDPSKKVQLVFCPPEPVKIATIREIYLQTKEENLSRLVLILQSKILSRAREAIKEIFKFKVDIFQATDLLVNITKHVLKPKHEVLSADQKAKLLKEYNVEDSQLPRMLETDAVARYYGFDKGTVVKVIYDGELTGKRVAYRCVF >ORUFI12G02740.1 pep chromosome:OR_W1943:12:1855243:1863909:-1 gene:ORUFI12G02740 transcript:ORUFI12G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLAGILRRASSSPSSHHHLHLVRALSASSPLPASDADLRKPLGSGLSPNGLALSRAGMVNLALMDKVLDVDAKKKTVTVQAGIRVAELVDTLREHGLTLQNFASIREQQVGGIIQVGAHGTGARLPPIDEQGTIELSREKDPDLFYLARCGLGGLGVVAEVTLQCVERHQLIEHTFVSSADEVKKNHKWRTPKFTSKYGKDEAIQHVRDLYRESLKKYRTKAESNDPEVDQLSFTELRDRLLALDPLDKDHVIRINKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSETCFPAGTLAKPNMKDLDYIEELLQLIEKEDIPAPAPIEQRWTACSRSPMSPASSSQEDDIFSWVGIIMYLPTSDARQRKEITEEFFNYRSKTQTNLWDGYSAYEHWAKIEVPKDKDELTELLARLRKRFPVDAYNKARMELDPNKVLSNAKLEKLFPVTEVQHVK >ORUFI12G02750.1 pep chromosome:OR_W1943:12:1866441:1868333:-1 gene:ORUFI12G02750 transcript:ORUFI12G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEATEDLNQPGIVGDELDEGRGRSVYLVACHWDWSRYSKPYSVYNVGVTATATATSSPPQAKRKRLRRITRLPTAAGDPDFPPWFELLDLSQSKVVAATAGRGYHLEGCSWIKLPHPPCFPWKLRPVDYTLLPVVIVMSYVVVDAYILVSFNQPWGTYAFDTNSIKWHKPWGTYAFDTNSIKWHKVDDKRLPFTGCAAPHGSVFLGLSKDNGPINAYRINVTTSDKEYDPCLSIVVLPVKYMEHEVDAGSSVIRSKNLEVFPTKAHVDLRTYQTENTSPLEAPEETLLAVKPEVTVCSQWEQAFKISCSSHGFSPFAFALLSI >ORUFI12G02760.1 pep chromosome:OR_W1943:12:1869200:1877210:1 gene:ORUFI12G02760 transcript:ORUFI12G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPPLNFLLCDKHNTHSTCPSEHSETKARTELTHGDVDVVIAALGLRVNREGDECSLVEDEALVLLEEKQASWEELEEAFSVFDGDGDGFISPLELQSVMRRLGLQHDAGHEECERMLKVFDRDGDGMINFDEFKVMMQGIASTSSRESSALRMDPTTGTCSGCEVTKKIPSWMMRKTLMQCMEAGHFGKDAMDMARLEHALPRGDLHRPRVGSKTAERSCSVCLKNFEEDDYIWSMPCSHTFHQLCVLGDRSCRRWLRRSQSKMQVAWKQCEQGILVSFSPASKSSRHTAHPPLGLRVNGEGDERSLVEDEALVLLEEKQASWEELEEAFSVFDGDGDGFISPLELQNVMRRLCLQRDAGHEECERMLKVFDRDGDGMINFDEFKVMMQGVV >ORUFI12G02770.1 pep chromosome:OR_W1943:12:1869281:1871017:-1 gene:ORUFI12G02770 transcript:ORUFI12G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNSSKLIIPSPSLSKTLSILSHSSWPASCCKPNLLITLCSSRGLMNPSPSPSNTLKASSSSSQLACFSSNRTLVALPVDTEPKRCYYHIDIAMRELCSCFGFRMFRWACAVCVVLVTEKKIQRLEQRGRNTFVIWTSRLLVNIFPQKHSDKNENNK >ORUFI12G02780.1 pep chromosome:OR_W1943:12:1878083:1878463:1 gene:ORUFI12G02780 transcript:ORUFI12G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTAGTGSSTKKIPSWMVTELPMLSTEANRLPQIPSWMIRKPLMLCMEAGRSGKDAAAATATADAMEQSCSVCLKSFEKDDCIWSMPCSHTFHQLCILGDRSCRVCHPAAPPSTVEKPEAPRTMH >ORUFI12G02790.1 pep chromosome:OR_W1943:12:1878852:1879997:-1 gene:ORUFI12G02790 transcript:ORUFI12G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDASAVARALPRDFPPIENLSFEGIPRYTFKIGNRIHCTNLMYRPECSEKKKIQT >ORUFI12G02800.1 pep chromosome:OR_W1943:12:1881824:1891899:-1 gene:ORUFI12G02800 transcript:ORUFI12G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVSKRCSPEEEVIADILFALPRGPRPVLLRRRGEINRTGGDSTRDGDSAEKSRTGRGHEIRGRAGGVEAEAARGGYSREDLATKGGSVGSRDGGGEAFQSKREGALRYRCTIEDLSTSKGPGGGGGYDYELVGRGGVAAAERDTRCRYSVQDLSTVGKSGGMYDGGNGPGFKEGAQETVDCVQGFKTHPELGLPANDSGTDTETVDVSVSEELIRLQTTISKPKTNTATDDSADDSAAEIEAFDVSEELIRFQTVVSKPWDAIWREAPLVFCDSFLCPTGDRSGLSQSELKDAVASILLNHKGVVSYFRIDSSRSLNLQTLETWFNILSEKKVKEMVLFNCSGPQKLIEFPMDILDGSQVEVLRICFFKIPEVYAFDLSKLHLLDFSYCKFDTEHLLHFVEACPNIRELHLGYYDGNVRIRSDKLEIFQVWCSTMKSVNIEHAPELRKLTIAASPGKYSSSLSVRVINSLYLEHITCNISNQWITINGSNIQTRMDTVANNEDYDAALEDWSPKLRVKTCLKSLQICKMEGYQGGKLESDFASAVLVRANRLKRLIIESDKEDVFKKAVGILQKKCKLCDIPILWQSTDFQKEPDNLLASPCFCSKSIGNGESTLFWEDRWNGLQTLVAYVLDNCKLSFLLVMLVFASLVGNRYFDRELAMSINERNPRCAPNRDSLASLAFAFLPEPIRRAIGQPGNHNGGSNLSRSAAQDDQPPAAGTQPPAASRRTRRRNPAASCRSSRRSPSRHRAVRAVPNPFPPNLIAETLTLAPLYRTVISDEKFYEPFVRKGRLVKCAAQLLYGNVGFKAGEVRIDCDENRGVVVMVLDALTRYQWVPDTHLAKSLKVQKKKLCLILEFLEKQMFVRRCEVKAKTGRNVSNTATTAGVSAIPRNEKVKSKHPKWYCCINYAKICSVVRYHIMQMEANLKSQLENTNTVDKYTCPNCGKSFSAFDVKDLVSCTDGNFYCESCKHELVACSEYGNYNEREGRSANLLDFLENMKEKLRPLKTKLDLLEDLPAPDFGSTPDFKGTYNISDWSRTSVPLPEPTNGDDSFSSPCAKDDESDAGVSELKILPSWLIRKGMKLKQAHLSNSSTVCGEGGTNIQEEYMKAYYEAIQKRQEDRIRHSGQSSVPGGPSVSSERPMGVKRQKLCNDINNNALECQGEEPPGDTFRT >ORUFI12G02810.1 pep chromosome:OR_W1943:12:1893043:1893330:1 gene:ORUFI12G02810 transcript:ORUFI12G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRVGPLSTQRDAIAWKLTTNGVYSAKSTYMLQFMGSVGTISNNLRKINYRKGVGRTSNIVPYATHLLNQPYV >ORUFI12G02820.1 pep chromosome:OR_W1943:12:1900084:1901462:1 gene:ORUFI12G02820 transcript:ORUFI12G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAGGAGVTGVAAGGESGLWWCWKFRGRSYGGGTAELGEAAADGDGDVLGEGGGEAGVGDEAAELGLEVGGVGLTGASVARASPSPETGSAARRRRRRGLAKPLPAALRATARSANRSPGPPAGQCGGGNCRRRSALLLSRLAPATSRVVAAVSSPTRRSPFSYRLQLVISVLIPTLQQLTGINVVMFYAPVLFKTIGFAGTASLMSAVITGLVNMFATFVSIATVDRLGRRKLLLQGGIQMIFAQFVLGTLIAVKFGTAGVANISRGYAIVVVLCICVFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSVVVMFIMAFTFIIAQIFLMMLCHLKFGLPSPSPPFPSPPSPPPPPTSPSPRQHRGWAIFAPHGGHARPLAALQRVSEEKRERKGEKDGVDPDMWGPRGSHADLVTT >ORUFI12G02830.1 pep chromosome:OR_W1943:12:1903968:1904483:1 gene:ORUFI12G02830 transcript:ORUFI12G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPASRRHYDSLVARYGDQDALADRFGGQNDGPDAWWSNLRVRMSQDHLLRHEARRRAATLEVFEDRENSHDGAFGCGDRASAKAMVTLHQPNLGETREQDCAVCLEPFEEGNTLRMMPCFHSFHQRCIFSWLRISRICPVCRFTLPSQADFESEKAEKERVSASPEDGNN >ORUFI12G02840.1 pep chromosome:OR_W1943:12:1907761:1908360:-1 gene:ORUFI12G02840 transcript:ORUFI12G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDASAVARALPRDFPPIENLSFEGFPRYTFKIGNRIHCTNLMYRPGSRTLLTQEEAHEIIREGLRSDIRRGRIQLNADFLQQLVDQVRDHILQRQRRGTATAIAMDGVVEVEDAYRNGGFGAIPASSKAMAELQEAMASDARERGCAVCLEDFEAGEKLTRMPCSHCFHATCILDWLRLSHRCPLCRFPMPTQDQSY >ORUFI12G02850.1 pep chromosome:OR_W1943:12:1909426:1910831:-1 gene:ORUFI12G02850 transcript:ORUFI12G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRRVDSNRPPLRDANRIRVETMMRGRRYTLLHSPPVQAQQYDRYHHQLPHREMRQRRWLRDYLASVSEEMDRRRLQARAGIDDHRLESLHRPRLGETREEACALCLQDFGEKDEELRMMRARTHSCPVCRSAMSSYNDVLEELHSELEQWIQG >ORUFI12G02860.1 pep chromosome:OR_W1943:12:1911488:1911912:1 gene:ORUFI12G02860 transcript:ORUFI12G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLPLISEPPGPLFQAPEKRENGDRVGWVFCNAVRHVDDWVRVAKCDDKDARYEDCEKMIHVFDKDGDGRIRLDEFRAV >ORUFI12G02870.1 pep chromosome:OR_W1943:12:1913745:1914103:1 gene:ORUFI12G02870 transcript:ORUFI12G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGDAGRRAPAPATSPAAEEAEFLWELRKYVLLLATLAATVTYTAGLDPPGGFWTDNVGELLAGDPYLLIFATLAATVAYQAGLSTPGGFWLGSLENQHLAGDPMLRGNHPY >ORUFI12G02880.1 pep chromosome:OR_W1943:12:1914107:1916080:1 gene:ORUFI12G02880 transcript:ORUFI12G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFYFNTTAFVASLVTIMLLMSRTVSRHGFRSSALWVCVGAAMVGLMGAFAAGSCRSFKTSIYVIALVGAVLLYIAIQFMVFISEPVKDWLHRAGETLQKCLKLDELEQRNQQQITLSNQGNGDAYLLLKKSRMYLLLLGILAASVTYQAGLNPPGGFWQSDGTDGYRHYLAGDPVLHITYHRRYMVFFYSNATAFIASLVILILLLSNMISTQGIKYCALQVAMILNLFGLIGAYAAGSCRQASKSVYVSVLVLPVFLYVGIHVLVFMLEVSPTWATWRVEVREKLKQCMPEWLKNLLELEKHVEEEEEEWKLEKRRKLLLLVAILAASLTYEAGMNPPGGFWQEKKSGHVGDPVLNNDNYRHRYLAFFFCNTTAFVASLAIIMLLVNRKLSARGIRSYALRVCVILVLVGLMGAFAAGSCRKVKTSIYVFVLVLAVLLCIAFQVALVVSGSLRRLVNSLLSKLGAPLEEDAGERLPHTAADGGDGEPRDLWDEKLPKYLLLLAALAAAVTYQAAMSPPGGLWDDGQTEHIVGDPVLLTNYARRYKVFFYCNATSFMASLVIMVLLLIKRVSNTQPALLALHAAMILDLFGLMGVYAAGSCRRVTTSAYILALLVGVSAYIVVLVVVSVGVARWMKKVMDKVGEKLTHCFSFEDL >ORUFI12G02890.1 pep chromosome:OR_W1943:12:1917120:1921092:-1 gene:ORUFI12G02890 transcript:ORUFI12G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLPPPASRLWEASIRKLKYSTILRGSVVPSGAADGAAAAAATGGDPVTLTPSLSVSSSTSNTIYQYEDGDDDIDSVLDDVDTDDDDVDEASLGEPSHSDQLLPSGDFYQGDLRGDLPHGAGKYLWTDGSMYEGSWRGGRAAGRGKFSWSSGAIYEGDLAGGLVEGEDGGEETRNDRSWVRTPSCMRAPTLPKPGKKQGETISKGHKNYELMLNLQLGIRHAVGRQSAPASLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPGDYMLSICGDDALLELSSPGKSGSFFYFTNDDKYMIKTMKKAEVKVLLRMLPAYYKHVRSFDNTLVTKFFGLHCVKITGAIQKKVRFVIMGNLFCSNYSIHRRFDLKGSSHGRTTDKPLDQIDETTTLKDLDLNFIFRLEGSWYEDFCRRCILSHGPENTFFLVTDSSSPDNETTQTALEDEEKRKAPVKLGIGMPSRVENVVKNPESESQLIGEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIYRAFSEDVQ >ORUFI12G02900.1 pep chromosome:OR_W1943:12:1925048:1925389:-1 gene:ORUFI12G02900 transcript:ORUFI12G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGGGQAAHVAERCVLDTGAGGQSGGCGGFGPPVARSGAGSDKAHGEQVAPTTLLLATVAELAATADGWKRGVECGSAPVVGGGGGRQRKRWLHDTTVGEAEGMEAVTVRPA >ORUFI12G02910.1 pep chromosome:OR_W1943:12:1937573:1939124:-1 gene:ORUFI12G02910 transcript:ORUFI12G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNKVQQIREAKIKLFLFEGVRVDFCHVKMSMKHINTIRSHKPESSSDVQESASGQCELCILMTDYHSNSKTVEFFEGDVDHSYAVAIAPESKYSVHNMPGFIIHRSLEAASDSSESVYKGSEDYFTFACRYGDTSPNLVSRLITGPVFNLNGQVLGIVVDDIEYKFWPKKPRKEDGSQEIIHEDLFYRAGYFLKVAMRVNNLQQDLRSMVKDNDWQNGLKKIATERRV >ORUFI12G02920.1 pep chromosome:OR_W1943:12:1940253:1941050:-1 gene:ORUFI12G02920 transcript:ORUFI12G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCYHVISGNPSGALKVRLPRDTKDYVAELLYEHQGYDLAIIKVNGVSGECPILQFGDLEGVAHRANVVQLGYILGSQFALNLDPSVSPGSVIRPANQNGMMGSQDVVYSAAARHGASGSAVMFDDKVIGVLYSMSTNSQVAYARSSTTVHMALKNWLHPNDAAITTEKMIELVVKPLNDSELDD >ORUFI12G02930.1 pep chromosome:OR_W1943:12:1944917:1949946:-1 gene:ORUFI12G02930 transcript:ORUFI12G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRYDLRSNLKRDEKTDFVMTYSKKHRIKEDIVCNEGEIAESLVSGDLPCESNQGVFWSELSGEVASNLSKSVVSLALHDGNTMLFVCSGIAVHRNGHVIKLLTSASLLKAFNDARKDHDNLKVEVHHEDTVVIGFLREYNLDHNMSAVIVENLPDLRPVPFNNVQKFVPHSKVVALGRDISGKLMTTSGVLIGESYNGYLMSSTCKFSEVYEGGPLFDFDGDFLGMNLSFTTEGTVFVPGDRVLDQLVNCILDHEVRFAARLEALKEVWVGESPSGEMPSSHQVHRDVLNKDRYGDLESLGYPEPPKSKDGMILAYTFEEPFGDIFGKGVWSELSEDVASSICENTVALASFNGDKRTFACTGFFIEWNECATILTSANLLRDSSDENRIAENLRIEVLLPNNLRTVGTVQHYNLHYNVALVSVKDHCVRQPVKIQPYGHNCRKLLAVGRIFESGRLMAARGQQFPTVVTHDCKFLSYSGCTTTKAGIGGPLLCFDGTFVGMNFYDEGVEGTAFLSWCEIREILKYFKTKGAVAEHSHGNPSDVLDWKIAGDDSVHPDRWPVPMPYWTLPEDLVQRKLAAKIRRQEAILC >ORUFI12G02930.2 pep chromosome:OR_W1943:12:1944218:1949946:-1 gene:ORUFI12G02930 transcript:ORUFI12G02930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRYDLRSNLKRDEKTDFVMTYSKKHRIKEDIVCNEGEIAESLVSGNTMLFVCSGIAVHRNGHVIKLLTSASLLKAFNDARKDHDNLKVEVHHEDTVVIGFLREYNLDHNMSAVIVENLPDLRPVPFNNVQKFVPHSKVVALGRDISGKLMTTSGVLIGESYNGYLMSSTCKFSEVYEGGPLFDFDGDFLGMNLSFTTEGTVFVPGDRVLDQLVNCILDHEVRFAARLEALKEVWVGESPSGEMPSSHQVHRDVLNKDRYGDLESLGYPEPPKSKDGMILAYTFEEPFGDIFGKGVWSELSEDVASSICENTVALASFNGDKRTFACTGFFIEWNECATILTSANLLRDSSDENRIAENLRIEVLLPNNLRTVGTVQHYNLHYNVALVSVKDHCVRQPVKIQPYGHNCRKLLAVGRIFESGRLMAARGQQFPTVVTHDCKFLSYSGCTTTKAGIGGPLLCFDGTFVGMNFYDEGVEGTAFLSWCEIREILKYFKTKGAVAEHSHGNPSDVLDWKIAGDDSVHPDRWPVPMPYWTLPEDLVQRHPRRCCANSKMDNGLVRYVVRDGKDEAAVAEFDSEHGGADEAMQESDSIS >ORUFI12G02930.3 pep chromosome:OR_W1943:12:1944218:1949946:-1 gene:ORUFI12G02930 transcript:ORUFI12G02930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRYDLRSNLKRDEKTDFVMTYSKKHRIKEDIVCNEGEIAESLVSGDLPCESNQGVFWSELSGEVASNLSKSVVSLALHDGNTMLFVCSGIAVHRNGHVIKLLTSASLLKAFNDARKDHDNLKVEVHHEDTVVIGFLREYNLDHNMSAVIVENLPDLRPVPFNNVQKFVPHSKVVALGRDISGKLMTTSGVLIGESYNGYLMSSTCKFSEVYEGGPLFDFDGDFLGMNLSFTTEGTVFVPGDRVLDQLVNCILDHEVRFAARDVLNKDRYGDLESLGYPEPPKSKDGMILAYTFEEPFGDIFGKGVWSELSEDVASSICENTVALASFNGDKRTFACTGFFIEWNECATILTSANLLRDSSDENRIAENLRIEVLLPNNLRTVGTVQHYNLHYNVALVSVKDHCVRQPVKIQPYGHNCRKLLAVGRIFESGRLMAARGQQFPTVVTHDCKFLSYSGCTTTKAGIGGPLLCFDGTFVGMNFYDEGVEGTAFLSWCEIREILKYFKTKGAVAEHSHGNPSDVLDWKIAGDDSVHPDRWPVPMPYWTLPEDLVQRHPRRCCANSKMDNGLVRYVVRDGKDEAAVAEFDSEHGGADEAMQESDSIS >ORUFI12G02930.4 pep chromosome:OR_W1943:12:1944917:1949946:-1 gene:ORUFI12G02930 transcript:ORUFI12G02930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRYDLRSNLKRDEKTDFVMTYSKKHRIKEDIVCNEGEIAESLVSGDLPCESNQGVFWSELSGEVASNLSKSVVSLALHDGNTMLFVCSGIAVHRNGHVIKLLTSASLLKAFNDARKDHDNLKVEVHHEDTVVIGFLREYNLDHNMSAVIVENLPDLRPVPFNNVQKFVPHSKVVALGRDISGKLMTTSGVLIGESYNGYLMSSTCKFSEVYEGGPLFDFDGDFLGMNLSFTTEGTVFVPGDRVLDQLVNCILDHEVRFAARDVLNKDRYGDLESLGYPEPPKSKDGMILAYTFEEPFGDIFGKGVWSELSEDVASSICENTVALASFNGDKRTFACTGFFIEWNECATILTSANLLRDSSDENRIAENLRIEVLLPNNLRTVGTVQHYNLHYNVALVSVKDHCVRQPVKIQPYGHNCRKLLAVGRIFESGRLMAARGQQFPTVVTHDCKFLSYSGCTTTKAGIGGPLLCFDGTFVGMNFYDEGVEGTAFLSWCEIREILKYFKTKGAVAEHSHGNPSDVLDWKIAGDDSVHPDRWPVPMPYWTLPEDLVQRKLAAKIRRQEAILC >ORUFI12G02930.5 pep chromosome:OR_W1943:12:1945021:1949946:-1 gene:ORUFI12G02930 transcript:ORUFI12G02930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRYDLRSNLKRDEKTDFVMTYSKKHRIKEDIVCNEGEIAESLVSGNTMLFVCSGIAVHRNGHVIKLLTSASLLKAFNDARKDHDNLKVEVHHEDTVVIGFLREYNLDHNMSAVIVENLPDLRPVPFNNVQKFVPHSKVVALGRDISGKLMTTSGVLIGESYNGYLMSSTCKFSEVYEGGPLFDFDGDFLGMNLSFTTEGTVFVPGDRVLDQLVNCILDHEVRFAARLEALKEVWVGESPSGEMPSSHQVHRDVLNKDRYGDLESLGYPEPPKSKDGMILAYTFEEPFGDIFGKGVWSELSEDVASSICENTVALASFNGDKRTFACTGFFIEWNECATILTSANLLRDSSDENRIAENLRIEVLLPNNLRTVGTVQHYNLHYNVALVSVKDHCVRQPVKIQPYGHNCRKLLAVGRIFESGRLMAARGQQFPTVVTHDCKFLSYSGCTTTKAGIGGPLLCFDGTFVGMNFYDEGVEGTAFLSWCEIREILKYFKTKGAVAEHSHGNPSDVLDWKIAGDDSVHPDRYYDALHYYHVKYSYKFVDNITLWANY >ORUFI12G02930.6 pep chromosome:OR_W1943:12:1945021:1949946:-1 gene:ORUFI12G02930 transcript:ORUFI12G02930.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRYDLRSNLKRDEKTDFVMTYSKKHRIKEDIVCNEGEIAESLVSGDLPCESNQGVFWSELSGEVASNLSKSVVSLALHDGNTMLFVCSGIAVHRNGHVIKLLTSASLLKAFNDARKDHDNLKVEVHHEDTVVIGFLREYNLDHNMSAVIVENLPDLRPVPFNNVQKFVPHSKVVALGRDISGKLMTTSGVLIGESYNGYLMSSTCKFSEVYEGGPLFDFDGDFLGMNLSFTTEGTVFVPGDRVLDQLVNCILDHEVRFAARDVLNKDRYGDLESLGYPEPPKSKDGMILAYTFEEPFGDIFGKGVWSELSEDVASSICENTVALASFNGDKRTFACTGFFIEWNECATILTSANLLRDSSDENRIAENLRIEVLLPNNLRTVGTVQHYNLHYNVALVSVKDHCVRQPVKIQPYGHNCRKLLAVGRIFESGRLMAARGQQFPTVVTHDCKFLSYSGCTTTKAGIGGPLLCFDGTFVGMNFYDEGVEGTAFLSWCEIREILKYFKTKGAVAEHSHGNPSDVLDWKIAGDDSVHPDRYYDALHYYHVKYSYKFVDNITLWANY >ORUFI12G02930.7 pep chromosome:OR_W1943:12:1944220:1945022:-1 gene:ORUFI12G02930 transcript:ORUFI12G02930.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRFLCRIGLFLRIWSNCLSALPFEPDCPRAIRPVMDVRACTVMGHIAYGHPRRCCANSKMDNGLVRYVVRDGKDEAAVAEFDSEHGGADEAMQESDSIS >ORUFI12G02940.1 pep chromosome:OR_W1943:12:1955727:1957765:1 gene:ORUFI12G02940 transcript:ORUFI12G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGDHLILGPPVAGLPVGNASSSAEAGSTSTAGHVAGAEVVEHLQSGAFGNPCLSFFALVDRDTPPQHLRDLLAAAWEHDALTALKLVFNLRGVRDNGKGDREGFYTAALWMHQYHPRTLAGNLSAFAEFGYIKDFPELLYRIIHGADARKVQPQPQPQPQPDHLLADAVNLETEDVVGEAPVKGSPSKKFLCGQNLRSDLEHHKSGKLSKIGLTAKCCPSPDSSFDQSTLLCEAIARGLFPRESDASYANMKEKHYIFLVRRRLRREVLVPLRKDLELPEIYMSKNQWSDLPYERVASEAMRIYEHLFKKHDEGRFTAFLKDHKDSREAAKHKAKKAAPQPPLLQDIITSLGLASHASNIKRREDAAQQWRTLVDHLRGKGSLCNCMAVCDVNKGGLVKSEGQKLLKICVGLGFLISELSSPPWTNSVHAFASNYFPLVLPVGSYREKLNFIRQMPCEERFNLKKVFEGIITRAVTSGVTPDNMVKTIFIFTDKFFEKASVRPVELIEHEDFNPLSSRPWHEEYRRVCEEFKRVGFQDVVPQIVLWNLKGPRSAGLTATKDGVMTLSGYSDELMRLFLENNGVVEPEDEMLDAIAGDEYQKLQVID >ORUFI12G02950.1 pep chromosome:OR_W1943:12:1959312:1960178:-1 gene:ORUFI12G02950 transcript:ORUFI12G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITILLEMKLTILLTNKSALSLKVWMSLHITIRSNPVLLNLSTSPSHHLTLLKIGHNRLCYLDLYLIKVNVSSDVTGNDTVQVRMVTAGYQEELSGYQFGEQQMAYPEQWD >ORUFI12G02960.1 pep chromosome:OR_W1943:12:1960227:1967760:-1 gene:ORUFI12G02960 transcript:ORUFI12G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRLRSVPGGPSTRRRAPPPSPPPSPRSRSSSSLGGRKEITPKTVNDLKLINAGRILENNRTLVESRVRVEVPGGVITMHVVVHPPQSDKNSGDMQIHIWSLDWTMLYFTNLCTCVVEGNKRRSGISFSTATVKEDGLTNDIPTTLHRSKADCPVPEVAGPGQTRFYSETSSSKAYAVATTPSK >ORUFI12G02970.1 pep chromosome:OR_W1943:12:1970450:1972448:1 gene:ORUFI12G02970 transcript:ORUFI12G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPDPVYNGIYRSVVNIAIEKTPERTIRQNGIIVECDPTYAYIVADARAFEGNIAADKITVDFPGEQCIKPLPKHCNITNGLVGIYCCPGDEKFNTDLFKRVEMCNQPLQMSEAVYVYNGLYGQLIPGNVTHMTLNGHEFSHNCAVSVSAEFGTPVINKNGELVGMNCSLSYHLTARNISALVGTIRDIQNTLRNRV >ORUFI12G02980.1 pep chromosome:OR_W1943:12:2002245:2003883:-1 gene:ORUFI12G02980 transcript:ORUFI12G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVARALRLLEPEGRGEEIEETMVGVLRAMVAFQAEHLQHRPMKPRVKMRKKKDIKREEEMKRNTLDWMIKRRRGQEML >ORUFI12G02990.1 pep chromosome:OR_W1943:12:2003916:2004287:-1 gene:ORUFI12G02990 transcript:ORUFI12G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEPLPSAAGDATADETPPGRAVCSSGCGRPTTVCLCPYLPATPLPTSTTVVILHHPHALRRNPLSTLPLLARSLSNLRLIPGRRLLPSSXASRSCPPSPSPSRCPSTAALTATACSRGSLW >ORUFI12G03000.1 pep chromosome:OR_W1943:12:2005318:2011820:-1 gene:ORUFI12G03000 transcript:ORUFI12G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAVARGLPRDFPPIESYVDRHGFFHNSWTVGSRSYSSIMFRPRSTPPLTLAEVHESTREDVREAISEGARRGAAAGFLGHGTGGATAAPAVTGGDRGVLLVARHRAFRPFLGGGGSKKASKKAISSSSRRRRKVGLELSFHAEDGVWRKEILMEEQCQSLDFSGMIYYDVAGRRLEQPPPPRTLLCSPLPSSAFVAEIHGSSAAKERLGDTRGRSAGGLGVGTRPVPTACYTTTPSTTR >ORUFI12G03010.1 pep chromosome:OR_W1943:12:2033591:2038034:1 gene:ORUFI12G03010 transcript:ORUFI12G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRATRGVAAGAWYFEVKVLHLGSTGHTRLGWATNNADIHAPVGYDVFGFGYRDMDGTKVHKAWRANYAEQGYGDGDVLGFYIHLPDGELYEPKQPFLVHYKGLPFRAEAPKAAEQKTPDPVPGSEICYFKNGICQGTAFVDIPGGRYYPAASMYTLPDQPNCQVRFNFGPNFEFFPEDFGGRLIPRPMSDVPYRPFELANGGPAENGTAEKNI >ORUFI12G03020.1 pep chromosome:OR_W1943:12:2035126:2038650:-1 gene:ORUFI12G03020 transcript:ORUFI12G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSATFRSAKIPRALPPKSGEPAAAALASASCAPGNPSAEAAKGKAALGWCVYLIASSRISRTYVGVTTDFPRRLRQHNGELKGGAKASSAGRPWNLACLIEGFVNRSEACEFESKWKNISRKMARKRSEPSMTSLLQHRDMALSRNVSSDEDIDTLEQDNEVNFVTFHGYFLSLIEWQINKLCIL >ORUFI12G03030.1 pep chromosome:OR_W1943:12:2040548:2047154:-1 gene:ORUFI12G03030 transcript:ORUFI12G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKNYYKEKLMRRKEEKKEEPETPRYRDRAKECREDQNLDYEPTELGSFHAVAPPGADLRLADVHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPDAEDGKDTQTRSTKEDQAVSFRTATAKEDGLTNDIPTTLHRSKADCAVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKERDTKGNNSLASGDYDEVARPGQTGSSLKHQFQKDMPPPPPPRNNNLSKNEEQSIPVARADEDDIFVGDGVDYSVPNKEMSHSPVSEDMDESPHNHQKQSYFTEEKPVYGPIPPSDPAQAWPQTNGYDAIQAQMVAAGYQGEWSGYQYGEQQMPYPEQYMQQSTQDYDVLADPNIAQDPRLMTQADKDRGLGSVFKRDDERLKQLREKDSREKDPNFISDSYSECYPGYQEYNHEIAGSDEEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGDKDGGDDGGHYDDDLPSGKKQRA >ORUFI12G03040.1 pep chromosome:OR_W1943:12:2047694:2047957:-1 gene:ORUFI12G03040 transcript:ORUFI12G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWWKVWQQGIILSLSTATKSCRHTAHSTSLAPATVTSHRPWMARGVEAGNIVQSSAPVGGGGGSRVGGWRCGVRGVVVDLLLVVG >ORUFI12G03050.1 pep chromosome:OR_W1943:12:2052869:2055946:-1 gene:ORUFI12G03050 transcript:ORUFI12G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMCYVLRVVSPFCFARTLGALRRTLGTSSITRLARLDCARGTPALSGRSTPTRHRLEGFASPVVRALHVDSPQIRGLRIPHRPCTPRRLTTDPGGFTSPIVRALLADSPRIQGLRLRRPGALRRPRHGLGGLNLPRCPGALHRLRYGLGGFASSVVLALHADPPWTRGLRLSRRPGALCRLHHGLRGFASLVIRVPFADLATDSGASSPPLSKRFTPTRHGLGGSAFLSTRAFYADLTTNSGTSPPLSSGCSSLTRYGLGGFASLVVQELCTDSATGLGASPPSLSGRSAPTRHRLRGFAPSLSGRSAPTPPRARGLRLPRCLGTLRGLCHVLGGFAYLVVQDGYCVFERRLAPPSRPHTPPPGDLLPAQDDYCVIDWRLAPSGRPHTPPQGDPFPAQDGYCIADRKLAPSSQPPTPPPSDPLLAEDSRTSTSMPSDFLPMHHGCFTATD >ORUFI12G03060.1 pep chromosome:OR_W1943:12:2060587:2069879:-1 gene:ORUFI12G03060 transcript:ORUFI12G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQYSEGGQLQLMDAERIEEEEECFESIDKRLGIKLEFLLHFTFSGGSLTLASSILSLTGIKGLSEAKVDKICEAAEKLLSQGFITGSDLLIKRKSVVRITTGSQALDKLLGGGIETLCITEAFGEFRSGKTQLAHTLCVSAQIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVNGGYGDYSEGGAGRGTAWDGYQLEHGDSALKGDLQHLCAVVNMGHLLGASNRGANFDVDCSRIGSGRGGYYSKGGAVRDGDQLECRSSVLKGDQHWHAAEDGCRSLRAADGGGVQCPSATMPVDCLLVSSGREAMVCGIIGRAAPTKPVMVYGETLEVDAIGRGHGRGPQGGGGMEGSPDMVMS >ORUFI12G03070.1 pep chromosome:OR_W1943:12:2073725:2081737:-1 gene:ORUFI12G03070 transcript:ORUFI12G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQQQREEERAPMGAAQRRLRAVSGHLQPPTESGGVDLAANPTAGEYAHVQGYSAVLPEKLQTGKWNVYRSAKSPLKLINRFPDNPDIGTLHDNFVYAVETFRDCRYLGTRVCVDGTVGDYKWMTYGEASTSRTAIGSGLIYHGIPEGARIGLYFINRPEWTIVDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVQAIFCVPQTLSTLLSFITQMPCVRLIVVIGGDNANMPSTPTATGVEIITYSRLLSQGKMSSQSFRPPKPEDIATICYTSGTTGTPKGVVLSHGNLIANVAGSSLVIKFYPSDVYISYLPLAHIYERVNQVSVVHYGVAIGFYQGDNLKLMDDLAALRPTVFPSVPRLYNRIYAAITNAVKESGGLKERLFHAAYNAKRQAIINGRNPSPMWDRLVFNKIKARLGGRVRLMTSGASPLSADVMEFLRICFGGEVLEGYGMTETSCIISAMNIGDRLIGHVGSPNPSCEIKLVDVPEMNYTSEDEPYPRGEICVRGPTIFCGYYKDEIQTREAVDEDGWLHTGDIGLWMPGGRLKIIDRKKSQGKRTIFKLAQGEYIAPEKIENVYAKCKFIAQSFIYGDSLNSSLVAVVAVEPDVLKAWAASEGIQHEDLRQLCADPKARSAVLAEMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKVKRPQAKAYFAKEIADMYAQLRQAEQIKPKL >ORUFI12G03070.2 pep chromosome:OR_W1943:12:2073725:2081737:-1 gene:ORUFI12G03070 transcript:ORUFI12G03070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQQQREEERAPMGAAQRRLRAVSGHLQPPTESGGVDLAANPTAGEYAHVQGYSAVLPEKLQTGKWNVYRSAKSPLKLINRFPDNPDIGTLHDNFVYAVETFRDCRYLGTRVCVDGTVGDYKWMTYGEASTSRTAIGSGLIYHGIPEGARIGLYFINRPEWTIVDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVQAIFCVPQTLSTLLSFITQMPCVRLIVVIGGDNANMPSTPTATGVEIITYSRLLSQGKMSSQSFRPPKPEDIATICYTSGTTGTPKGVVLSHGNLIANVAGSSLVIKFYPSDVYISYLPLAHIYERVNQVSVVHYGVAIGFYQGDNLKLMDDLAALRPTVFPSVPRLYNRIYAAITNAVKESGGLKERLFHAAYNAKRQAIINGRNPSPMWDRLVFNKIKARLGGRVRLMTSGASPLSADVMEFLRICFGGEVLEGYGMTETSCIISAMNIGDRLIGHVGSPNPSCEIKLVDVPEMNYTSEDEPYPRGEICVRGPTIFCGYYKDEIQTREAVDEDGWLHTGDIGDSLNSSLVAVVAVEPDVLKAWAASEGIQHEDLRQLCADPKARSAVLAEMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKVKRPQAKAYFAKEIADMYAQLRQAEQIKPKL >ORUFI12G03070.3 pep chromosome:OR_W1943:12:2073725:2081869:-1 gene:ORUFI12G03070 transcript:ORUFI12G03070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQQQREEERAPMGAAQRRLRAVSGHLQPPTESGGVDLAANPTAGEYAHVQGYSAVLPEKLQTGKWNVYRSAKSPLKLINRFPDNPDIGTLHDNFVYKWMTYGEASTSRTAIGSGLIYHGIPEGARIGLYFINRPEWTIVDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVQAIFCVPQTLSTLLSFITQMPCVRLIVVIGGDNANMPSTPTATGVEIITYSRLLSQGKMSSQSFRPPKPEDIATICYTSGTTGTPKGVVLSHGNLIANVAGSSLVIKFYPSDVYISYLPLAHIYERVNQVSVVHYGVAIGFYQGDNLKLMDDLAALRPTVFPSVPRLYNRIYAAITNAVKESGGLKERLFHAAYNAKRQAIINGRNPSPMWDRLVFNKIKARLGGRVRLMTSGASPLSADVMEFLRICFGGEVLEGYGMTETSCIISAMNIGDRLIGHVGSPNPSCEIKLVDVPEMNYTSEDEPYPRGEICVRGPTIFCGYYKDEIQTREAVDEDGWLHTGDIGLWMPGGRLKIIDRKKSQGKRTIFKLAQGEYIAPEKIENVYAKCKFIAQSFIYGDSLNSSLVAVVAVEPDVLKAWAASEGIQHEDLRQLCADPKARSAVLAEMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKVKRPQAKAYFAKEIADMYAQLRQAEQIKPKL >ORUFI12G03080.1 pep chromosome:OR_W1943:12:2083431:2086916:1 gene:ORUFI12G03080 transcript:ORUFI12G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLRREEEFYASLFDSPKGGDAVKSRGQMIERKIEVLEDMATKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELPVSAFCRTSDGEWDAFRSIDMDVEARLMQQMKQSSTKQKNHVDSDELIALNAWHRIDRQTREAIKRNFLPDLLDIYEDRIRAFIEDASGKDVLVLNVQDPFQRLLLHGVCEFYNVSSTTTTTMRDGKLWKTTTIKRRPGTGAPSRLTLVSFLRMKKNGSQ >ORUFI12G03080.2 pep chromosome:OR_W1943:12:2083383:2086916:1 gene:ORUFI12G03080 transcript:ORUFI12G03080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLRREEEFYASLFDSPKGGDAVKSRGQMIERKIEVLEDMATKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELPVSAFCRTSDGEWDAFRSIDMDVEARLMQQMKQSSTKQKNHVDSDELIALNAWHRIDRQTREAIKRNFLPDLLDIYEDRIRAFIEDASGKDVLVLNVQDPFQRLLLHGVCEFYNVSSTTTTTMRDGKLWKTTTIKRRPGTGAPSRLTLVSFLRMKKNGSQ >ORUFI12G03090.1 pep chromosome:OR_W1943:12:2088654:2092718:1 gene:ORUFI12G03090 transcript:ORUFI12G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPPSSGYPITGSSLQVTPCGALVEQYNELNFRNLYLHHLYLGPNPTQSVMLAADAATGLGATTVNNWPIYDGLGSLVARARGLHVYAGDWHNSFTIVFEDQSKAIPLRLDHGVELEALLTTSLLHPSV >ORUFI12G03100.1 pep chromosome:OR_W1943:12:2095705:2096428:1 gene:ORUFI12G03100 transcript:ORUFI12G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRREAGFEVVSILLCVPAYDAVLMPLARRVTGVRRSPAVLMPPRSCSGSASAEPPAPTEEPRRRSTSHRRQEKERVGRASGGGAEEVRVRRRLVLRLLLLVVRQNFLEKEKYCFSEKEKFLVLVSWDLILGLNELVVANDDDDAVGSSKNVGADWIFGGRIWDFSERLRRKDTLRQRTDARGLVKAWYRVAMFGDEGPSLVAIPSST >ORUFI12G03110.1 pep chromosome:OR_W1943:12:2105986:2110319:1 gene:ORUFI12G03110 transcript:ORUFI12G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQKKVKKILHKIEGVYQTSIDAEQGKVTVSGLVDPATIIKKLNKAGKPAELWGSKMAPFAAAAPIKDPKSVKFNLPPEDDFGDDGSEFDDEFDEFDDEDDFDDDGLDEDYFDDPKMMKQMAMPPPNAGGGGDKKGGGKKGGGGNEIPVQIKGNANNAAGGGGKKDAGGKQNQGGGGGNGKNGGGGGQPNNAKGGGAPNGGGNHPAQGKKGGGGGGGGQGGGLVFTFLLKENSTSLKAKEGGGGGFLLAPYKVVAMHGRRTMCKAKCVAKWFVCASVTVTVDKDYNTPSQGEEGDNNDNCGSNAMEERGWMIMMMAVVVVVVHVLCEEEEGSHRGEELLQFWPWLQPFPAPCTYIIDGGGKFFRQLMTTGPYTRIAHFNPMTTVSTTASACPDHRTAWSLSLTTIDSTPNYRGKSCVVFVQVGKSSFLQSDPWSRRKDLIVGQAMEPDGRECYSLSMHVGQAGYVLLCMYGSIVVFIGAS >ORUFI12G03110.2 pep chromosome:OR_W1943:12:2105639:2110319:1 gene:ORUFI12G03110 transcript:ORUFI12G03110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFAAAAPIKDPKSVKFNLPPEDDFGDDGSEFDDEFDEFDDEDDFDDDGLDEDYFDDPKMMKQMAMPPPNAGGGGDKKGGGKKGGGGNEIPVQIKGNANNAAGGGGKKDAGGKQNQGGGGGNGKNGGGGGQPNNAKGGGAPNGGGNHPAQGKKGGGGGGGGQGGGLVFTFLLKENSTSLKAKEGGGGGFLLAPYKVVAMHGRRTMCKAKCVAKWFVCASVTVTVDKDYNTPSQGEEGDNNDNCGSNAMEERGWMIMMMAVVVVVVHVLCEEEEGSHRGEELLQFWPWLQPFPAPCTYIIDGGGKFFRQLMTTGPYTRIAHFNPMTTVSTTASACPDHRTAWSLSLTTIDSTPNYRGKSCVVFVQVGKSSFLQSDPWSRRKDLIVGQAMEPDGRECYSLSMHVGQAGYVLLCMYGSIVVFIGAS >ORUFI12G03110.3 pep chromosome:OR_W1943:12:2106177:2110319:1 gene:ORUFI12G03110 transcript:ORUFI12G03110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQKKVKKILHKIEGVYQTSIDAEQGKVTVSGLVDPATIIKKLNKAGKPAELWGSKMAPFAAAAPIKDPKSVKFNLPPEDDFGDDGSEFDDEFDEFDDEDDFDDDGLDEDYFDDPKMMKQMAMPPPNAGGGGDKKGGGKKGGGGNEIPVQIKGNANNAAGGGGKKDAGGKQNQGGGGGNGKNGGGGGQPNNAKGGGAPNGGGNHPAQGKKGGGGGGGGQGGGLVFTFLLKENSTSLKAKEGGGGGFLLAPYKVVAMHGRRTMCKAKCVAKWFVCASVTVTVDKDYNTPSQGEEGDNNDNCGSNAMEERGWMIMMMAVVVVVVHVLCEEEEGSHRGEELLQFWPWLQPFPAPCTYIIDGGGKFFRQLMTTGPYTRIAHFNPMTTVSTTASACPDHRTAWSLSLTTIDSTPNYRGKSCVVFVQVGKSSFLQSDPWSRRKDLIVGQAMEPDGRECYSLSMHVGQAGYVLLCMYGSIVVFIGAS >ORUFI12G03120.1 pep chromosome:OR_W1943:12:2128685:2131820:-1 gene:ORUFI12G03120 transcript:ORUFI12G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFSGDVVEVPAELVAAGSRTPSPKTRASELVSRFLGGAEPAVSVQLGDLGHLAYSHANQALLRPRSFAAKDDIFCLFEGVLDNLGNLNQQYGLSKGANEVLLVIEAYKTLRDRAPYPASFMLSQLAGSYAFVLFDKSTSTLLVASDPEGKVSLYWGITADGSVAFSDNIDLLKGSCGKSLAPFPQGCFYSNALGVGGLKCYENPKHKVTAVPAKEEEICGATFKVEGSTILTALH >ORUFI12G03130.1 pep chromosome:OR_W1943:12:2139135:2139816:-1 gene:ORUFI12G03130 transcript:ORUFI12G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPRGSHADSATTSDKTGVKTTEGPKMNDFVTGGGSLTAGAVPTPASSCRHRSSTPPPIHDETQVYGAIPLHPSNHRLGADHTKELCERTGQICFFVRLESSEAGENTCIVSTTSSSSLPESSGIKDFVCITAVH >ORUFI12G03140.1 pep chromosome:OR_W1943:12:2140049:2140925:1 gene:ORUFI12G03140 transcript:ORUFI12G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGFLALLVGGIRVPPPLRTWMTTAATSLSLLAPSASASSTRACPPTPPASIVSPRAAMDDDGGILPLPVGAIRLRLVLPRRRSRRASPTPPPLRRGRQICSGRRPAGEQLHGILALVGSWQAVRTSVLPRAGARVWRWWAAMAYRTSPTVPPHLAGLLPTSRPTSAELACSDLVDRGDFDGARRVADAVLAAAGPRGEVSDRLVHHFARTLLALRGEDKGGHSGDEGASETREAMAALDRDVLLCCTDDRARHTVLSRPGCSPPAIDVERRGERK >ORUFI12G03150.1 pep chromosome:OR_W1943:12:2141613:2142278:1 gene:ORUFI12G03150 transcript:ORUFI12G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEGRETVHARLGAESAEASQAPPPSPLCRPIPAAPPRRRSPLFRACSPPLSPLSRSSAAGPPSAEIDFEGDGDESHLTDGGNGGEEQKRYEAPDAPSFFLGIDSDSDGDKERRREEQRRSYEAPNVPSFSLGINSDGGDPELPLARCHTRPPLAAANADAPQPRSPTTADAPRSPLARPFAPPVPRAVARPPPPRLPAAPPASGREPLPLPIRGKENGD >ORUFI12G03160.1 pep chromosome:OR_W1943:12:2143714:2147426:1 gene:ORUFI12G03160 transcript:ORUFI12G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGSTSAAKRLARGVMRRPLEKAGAAGLVAMLAAAAALLLLVCAASLRCSAAVGSALAAPKKLLSGGVSIEEPRGGGAGGGGAGGGGGGEECDLFDGEWVWDDGYPLYASRDCPFLDVGFRCSENGRPDDSYTKWRWRPSRCDLPRFNARNMLEKLRNRRVVFVGDSIARNQWESLLCMLAAAVPDKSSIFEVNGNPITKHMGFLIFKFREYNCTVEYYRSPFIVLQGRAPAGAPAVVKYTIRVDAMDWMSGRGKWKDADLLIFNTGHWWNHEKTIKGGAYFQEGNEVKMEMTVRDAYQRSMQTLFHWLRNEVNTNKTQIIFRTYAPVHFRGGDWKTGGTCHLETLPDVTPIKSLEPWADLLEPINHVLGNSITTKLVGLDILNVTQMTALRKDGHLSVYLSPSGPASHHRQDCSHWCLPGVPDAWNELLYALFLRRKMVMPHNVSSVGAKRLNTG >ORUFI12G03170.1 pep chromosome:OR_W1943:12:2148456:2152204:1 gene:ORUFI12G03170 transcript:ORUFI12G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLEEDPAPVPAADSTSGASDDEITVEETSFVHTEPPQDGTAPPVVTSDMEVLNDKVKKQVIKEGHGKKPSRFATCFVHYRAWVQGSSHKFEDTWQEQHPIELVLGKEKKEMTGLGIGVSNMRSGERALLHVNWELGYGKEGSFSFPNVPPMADLVYEVELIGFDDVKEGKARSDMTVEERIEAADRRKIEGNEYFKEKKFEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRFDEAIAQCTIVLSEDENNVKALFRRGKARAELGQTESAREDFLKAKKYSPEDKEIQRELRSLAEQDKALYQKQKELYKGLFGPRPEPKPKASNFLVLFWRWLVSLIGYLVKLFKRKDE >ORUFI12G03180.1 pep chromosome:OR_W1943:12:2153326:2153619:-1 gene:ORUFI12G03180 transcript:ORUFI12G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLPSSAVPGVEAARRRAAVVAAVRVAKRHGGGVPGAAAVPRRCERERPGLGGWATDAAVVARWGAAAGQWERRRVAPEPKRQCFRRRRRPRWLC >ORUFI12G03190.1 pep chromosome:OR_W1943:12:2154039:2157553:1 gene:ORUFI12G03190 transcript:ORUFI12G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAARDVPKSLGSDQEDVSYLTCKQEQFSQMRFLSRQSNRIASSAANCLQILLVNTTHSRHLSSLASYLAHSGPLKSLLGNLARFLCGDKSFLPPSFSSPSNRVLAPLSCGRASSPSFLTEDWSLFTSVDENLNHVRTTNHIETCKSTGPNDAAILDLYKETELVDAVHMTQSSSESVQSPSDMFSNNEMNSSFETDENHSIKSSSDRTLIDFYHKLREETLTVIFRNGKDFKEICEKLPEALEDMSLAVELYKHSVSTLHTLEQASKEEQRDYVRAWYRMLLFCAQELQHGVVLWQESCQSNVCSVVISQGGQFFIALGEIYRVAQILNLSLQSFKPWVLADPGMVSKMLVCWDGCLNAWTNNGLGTALRMVVDSNNLDAPVAKVLLESIIKIDEIEVATLQCSLPNSKMTCRHTLLPTSVLPGMEVIIWDGDHYFVKVANLWTNRISSDPPQFSHSLQDSTFKCKTRDL >ORUFI12G03190.2 pep chromosome:OR_W1943:12:2154039:2157553:1 gene:ORUFI12G03190 transcript:ORUFI12G03190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAARDVPKSLGSDQEDVSYLTCKQEQFSQMRFLSRQSNRIASSAANCLQILLVNTTHSRHLSSLASYLAHSGPLKSLLGNLARFLCGDKSFLPPSFSSPSNRVLAPLSCGRASSPSFLTEDWSLFTSVDENLNHVRTTNHIETCKSTGPNDAAILDLYKETELVDAVHMTQSSSESVQSPSDMFSNNEMNSSFETDENHSIKSSSDRTLIDFYHKLREETLTVIFRNGKDFKEICEKLPEGFCIEEHTAKDVFISELLDSAREVHLKDFEEEYHLIEKIPMHGVVLWQESCQSNVCSVVISQGGQFFIALGEIYRVAQILNLSLQSFKPWVLADPGMVSKMLVCWDGCLNAWTNNGLGTALRMVVDSNNLDAPVAKVLLESIIKIDEIEVATLQCSLPNSKMTCRHTLLPTSVLPGMEVIIWDGDHYFVKVANLWTNRISSDPPQFSHSLQDSTFKCKTRDL >ORUFI12G03190.3 pep chromosome:OR_W1943:12:2154039:2160168:1 gene:ORUFI12G03190 transcript:ORUFI12G03190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAARDVPKSLGSDQEDVSYLTCKQEQFSQMRFLSRQSNRIASSAANCLQILLVNTTHSRHLSSLASYLAHSGPLKSLLGNLARFLCGDKSFLPPSFSSPSNRVLAPLSCGRASSPSFLTEDWSLFTSVDENLNHVRTTNHIETCKSTGPNDAAILDLYKETELVDAVHMTQSSSESVQSPSDMFSNNEMLREETLTVIFRNGKDFKEICEKLPEGFCIEEHTAKDVFISELLDSAREVHLKDFEEEYHLIEKIPMHGVVLWQESCQSNVCSVVISQGGQFFIALGEIYRVAQILNLSLQSFKPWVLADPGMVSKMLVCWDGCLNAWTNNGLGTALRMVVDSNNLDAPVAKVLLESIIKIDEIEVATLQCSLPNSKMTCRHTLLPTSVLPGMEVIIWDGDHYFVKVANLWTNRISSDPPQFSHSCHLNK >ORUFI12G03200.1 pep chromosome:OR_W1943:12:2158229:2162119:-1 gene:ORUFI12G03200 transcript:ORUFI12G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTNLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGPKSRSVDTISSCLKAGMSVARFDFSWGDAEYHQETLENLKVAIKSTKKLCAVMLDTVGPELQVVNKSEASISLEENGTVILTPDQGQEASSQVLPINFAGLAKAVKPGDTIFVGQYLFTGSETTSVWLEVSQIKGDDVVCVIKNTATLAGSLFTLHCSQIHIDLPTLSDEDKEVIRKWGAPNKIDFLSLSYTRHVEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNNFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKRTVKHVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTNATNESVLKVALDHGKVSGVIKSHDRVVVCQKVGDSSVVKIIELDD >ORUFI12G03210.1 pep chromosome:OR_W1943:12:2163952:2166368:-1 gene:ORUFI12G03210 transcript:ORUFI12G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLLLRSLEFLAIMAVASSLAMPVAAAAAVPQPEPEVKPSDTDALTIFRNGADAHGILAANWSTSNACAGGWIGVGCSGDGRRVTSLSLPSLDLRGPLDPLSHLGELRALDLRGNRLNGTLDTLLLGVPNLKLLYLSHNDISGAIPDAIARLLRLLRLDLADNSLRGAIPVAALANLTGLLTLKLQDNLLTGLLPDVTAALPRLAEFNASNNQLSGRVPDAMRAKFGLASFAGNAGLCGLAPPLPACSFMPREPAPTSPSVPSSPQSVVPSNPAASSSSVASSSPALATPESRDGPGKGGLSTGAIAGIVVGNALFLFAMLSLLVAYCCCSTGGESGGEPPKKRKRGGRVGLEDDDDGGMFGQGKGVQPGRPGSAGMRSDDGGDSDGARSKLVFFGVDGGEDDDDDDGGGSDSSAGRRATGGGWTAAPHQPHGRRSRFALEELLRASAEMVGRGSLGTVYRAVLSDGRMVAVKRLRDANPCARDEFHRYMDLIGRLRHPNLVPLRAFYYAKQEKLLIYDYLPNGNLHDRLHGHRMSGESPLDWTTRVRLLLGAARGLACVHREYRTSAIPHGNVKSTNVLLDKNGVACVADFGLALLLSPAHAIARLGGYIAPEQEDNKRLSQEADVYSFGVLVLEALTGKVPAQYPQPSPVVAADAAAADAQRKDKRCSTAVSLPEWVRSVVREEWTAEVFDVELLRYKDIEEEMVAMLHVALACVTPQPEQRPSMADVVRMIESIPVDQSPFPEEDRDISTMSPSIGITTDDGDGRLSC >ORUFI12G03220.1 pep chromosome:OR_W1943:12:2175294:2175599:1 gene:ORUFI12G03220 transcript:ORUFI12G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRVFHPLPVHSANFVDAFSFPCMDVAAAAATAGPLDGDDQRQGRVLLPGELVSAVDFYHRGAVVVSRVVKTSICVDRKHEAWEICLTPVQVQSSPSNV >ORUFI12G03230.1 pep chromosome:OR_W1943:12:2175929:2176302:1 gene:ORUFI12G03230 transcript:ORUFI12G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFFSNVATILIIFARVSLLAFYLHAAARCLIRCLARWRDSGEWAQPQPPRPASDDDANTDRAVGAAFGVPMVGGWAEVECAICLSELADGGVLPACGHSFHSTYVDGTKKRKQRGSTTSS >ORUFI12G03240.1 pep chromosome:OR_W1943:12:2176875:2188846:-1 gene:ORUFI12G03240 transcript:ORUFI12G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISPRSSSPRKSQKPIRSTERWRENVWWFWDSVGGDRKAIATPQVITGNPFGIKPSAALSFVGDVPSTTKVSGHYFHQLRHDYSFVDIADSAIERRPLPRETWQKASMN >ORUFI12G03250.1 pep chromosome:OR_W1943:12:2195746:2196543:-1 gene:ORUFI12G03250 transcript:ORUFI12G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSRPRHLLRPLLRGLHATAQALARPEPHEFSNPSEHLGSWGEPAGDPREAWARLERLRKGYARDVRAAGAMKVRGEKLENWREKEKLKADKRAEDRELLGRKSSVWIADNELENRILKAIKFTTPL >ORUFI12G03260.1 pep chromosome:OR_W1943:12:2197450:2199168:-1 gene:ORUFI12G03260 transcript:ORUFI12G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSGGGGERRVVGAVAFEVAALMSRAAGLWRALGDAEVGRLRGDRVRLEGVRLLVADDDAALLSLALAEMAAACADLSRAVARLSARCADPLLRRLDALFAALVRGGRLADPHRLRYSAARKMDRKARKMQRLVASTALLSQELDVLAELEQAAAGGLRRSGTRRKGAASGGGGEGEAARRVAQQRQEVDRLRAASLWNRSFDYAVRLLARSLFTIVARIAQVFGLEPKNVATMDDDAMISLATTRLSWTNSFVGSVHSLVYPSDFAADTHTPRRSLLDAKSGKVSNGGEHVRRFLVSRSQSLRQLKWPMAGKHLIGCMVSGSRSPDSERWKIHGDGDLPLSFSYYVSASNDDYSSINSPFQGDHTNSNLSIVFESSSHNWVMNAPAVTTLGAAALALHYANLIIFIEKLAVAPRHICPDERDALYNMLTDRIRASLRARLRPIAKNMAASSSSSSSACDPAMAAEWSGTVQRILGWLAPLAHNMLRWQSERNFEQRNVASSGTGVLLLQTLHFADQKKSEAAIVELLVGLNYLWKAGRELDAKAKKLVSGGGKRDEFTDYYSSNRMECR >ORUFI12G03270.1 pep chromosome:OR_W1943:12:2209087:2210407:-1 gene:ORUFI12G03270 transcript:ORUFI12G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAGAVDPPPGSGSGVHDGGGGDSGQRLGRRDEPSWIAAATAHPSEGSRGSGPHAAGSGLPGPNLAGKLQGRRPRLHDNGGGGATARQLRLDGVGVDGAGCGAVSGRLDGSGGAGTLGPDSTGRPASGVAEAAWVSALAEVTGAMAVLSLAGLEVGRWRGVGQVWRKPCAAGVRWWIRIERRTTAVGDFERKLSPISLGQHLLRALLRYPLLPWKGALGENLIQFFGWMTTASFGVTTLVRVSL >ORUFI12G03280.1 pep chromosome:OR_W1943:12:2212201:2218468:1 gene:ORUFI12G03280 transcript:ORUFI12G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRSREGIAVASGHEAAAAPAGYGLFIRTADCSMAEAAAQQEAAAAAKGYRSSPSSPSSMSPTPSPPPAAAVHGGGGAEDAAAAAIATPAARSLGAGDSGSMQVSGHGEHAGLSSGRRRGRPKGSGRRQILANLGEWYALSAGGSFTPHVIIVATGEDVAARIMSFSQKGPRSVCILAANGTISNVVLNQPGSSGSTFSYEGCFEILQLTGSFTIAEEGVRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNNLKQHQRRMGLHPQPSAAPAFPAPMAPLHPPPVLTAAMPISQAAPGNNGCRSPQVSISSMPPQAHAGVEQSRGAMNLNSSSSSTGFAMVGWPAAASSQSMVHRPSPDINVCLTPQE >ORUFI12G03280.2 pep chromosome:OR_W1943:12:2214091:2218468:1 gene:ORUFI12G03280 transcript:ORUFI12G03280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQQRQQATACSSEQQIVPWLKQLHNKKPQQQRKGEWYALSAGGSFTPHVIIVATGEDVAARIMSFSQKGPRSVCILAANGTISNVVLNQPGSSGSTFSYEGCFEILQLTGSFTIAEEGVRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNNLKQHQRRMGLHPQPSAAPAFPAPMAPLHPPPVLTAAMPISQAAPGNNGCRSPQVSISSMPPQAHAGVEQSRGAMNLNSSSSSTGFAMVGWPAAASSQSMVHRPSPDINVCLTPQE >ORUFI12G03290.1 pep chromosome:OR_W1943:12:2221227:2221670:1 gene:ORUFI12G03290 transcript:ORUFI12G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYMRATHRDEEGKKVTEKVAVPETRHPDTARHFERKLDQQGLHRMERHPANGSRGIGAPPPKSGRGGKFTWEGPDSIVDSQLDPLPAAIDRNDPNYEEEEGDHEREADVVGEVEVAKVAGDARDGVARVDVVAPPQLHEKLQLQPQ >ORUFI12G03300.1 pep chromosome:OR_W1943:12:2222390:2225588:-1 gene:ORUFI12G03300 transcript:ORUFI12G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKGFSVFRCTLVTSTAYCPESPRSPCNANNRTVLAPESSQGNQSVMQMQRWPNSGRQEDDGGGKDAEGEETPTVPEVERAGATDGDGEGRENVRGRRERRRRRGWGRRTATEEADKAATERAGDTDGDGGGGQGSDAGGGIATRLRATESDLMRYDKKSDLM >ORUFI12G03310.1 pep chromosome:OR_W1943:12:2226971:2230065:1 gene:ORUFI12G03310 transcript:ORUFI12G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDDALARKRNKVRRKRMRSSENAVSARVAGIIASKRRRKSGKRRACESMCFSLPTPEDPFNDRHGKKRKGDDEPTGDAPAAAAAGRDESKKKKKKKKKDSSAKKQPAREAAAAAAAANAKSREKDGAEYDRPSKFLVVCLNAIRDAAASEDGGGGGIHDTGSWGVELWNCCSAAPPTHVLDTSGECATREKTAWLVSTACDIVARKEKLGVVVSCPFLLYLVPSQEKAVQVRSICKPLKSLGIHSVSLHPGASIEHQISGLKSCEPEFLISTPERLLELIALKAIDISGVSMLVIDGLKCFTDLNVSDKLCSIRDAILSNPQITIFSDPSDRRVAALATKLVGGKKITRLCTNDSVTSRGAFITQKIHICPSKDQKAPKKQLQISCAIKICLFACHGKLMGFSNMQVKEILEQILNDHARKTAKVLLVTASDHEAQHLSSSLKLQNCTVTNDSHGNSFTICSSVGLINVLVKDWENITTANFEDFDTVLVADLPPSVDEYIEILAGASCHVLVGEVHCIFSSADALLAKPLSEVLTSCGQVVPEFLRKLASS >ORUFI12G03320.1 pep chromosome:OR_W1943:12:2245436:2246590:1 gene:ORUFI12G03320 transcript:ORUFI12G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTGRRSSPPVAAALALLLLLVLFFFSHCASAARPLPASAAAELVLQDGATGNGDEVSELMGAAEEEAAGLCEEGNEECVERRMLRDAHLDYIYTQKRNRP >ORUFI12G03330.1 pep chromosome:OR_W1943:12:2253450:2260663:1 gene:ORUFI12G03330 transcript:ORUFI12G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADNDVSTAALTDGGGGQRHRFTFLLPSQNDDEDATMPPPPTSTDDEDDDFSVDDVAQILSFLLVNGVISGETALLLQILTVALHFDLGGGGGGGHGENDDEDAMMAAPLPSIDDEDDDDGSPLLDQVLCYLLLNGIISGERALQILQNANMPLDLDLDDGGANMPLDLDDGGGFRGVPASAAAVAGLEKQVFHQFDHHGGDDDDDDEAKDSAAGCVICMEEFVAGDEVCAIPCAGNHSFHHHCITEWLGCSNVCPLGRHALPGNQACVAIGSRGCVLRLWAMDWGLSHAAVGAMAGGDGRGWSRAWGRRPIASKGIARRTKARRQRVARCWGLRWNSPEGVPTREFVAGDEVCAIPCAGNHSFHHHCITEWLGRSNVCPLCRHALPVEEQDEGVVASST >ORUFI12G03340.1 pep chromosome:OR_W1943:12:2263963:2264264:1 gene:ORUFI12G03340 transcript:ORUFI12G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADDDHGGAHRFTFLLPSQNAMMPPAPPTSIDDEDDDFSPDDVAQILSHLLLNGVISGETALLLQILTVALHFDLGGGGGGGGHGQNNDEDAMMAAPP >ORUFI12G03350.1 pep chromosome:OR_W1943:12:2270406:2287873:-1 gene:ORUFI12G03350 transcript:ORUFI12G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNPVLPKPNDPKRSDSCLIRPSSSSLLTFLRRKETQRVRVQHSNIVGRMAVAEPSPPAAAAAAAGQTHAGRVDALERMLTRLALTEDSVASLLCRFLPYTTISLVSPGASVRELLMENGSLFDKLVKQRPDISMMMDLWRIYTESTSTVVQNYCIVYVEMGFERLLSEDKAIIAPDLLINISNVPEQHQGIILRLVLKAIGEWDTHKVDQTVASKYKSISASNDGLVFADFCLHMILYQTPPQGIRCSAGLSVAQSDRVTGNLPLKGDTLASRKLGILDVIETMQFKLEIVYPLYLAAASDSQEAVAKRGEEMLILKASAVNLEDSDLIKRLFTLFNGTASSENIASELEVAPAHSSLRVRLMGVFCRSIAAANAFTHTFQCIFGCIYGNGTTSRLKQLGMEFTVWVFKHATNKQLKAISPFILSGILHSLDGSSTTEADSSSRDIRIFAYQAIGLLAFRMPNLFCNKTGMAIRLFTALRLEEQSLRSIIQEAATALATAYKGASNIVLKDFEALLLEYCQAEQSEVRFSAVRWAVTLYNMKHCPSRYICMLGASDVKLDIREMALAGLNLLNDERQSSTMATDISYPDIAEMVNYIYSQQPQLLHCYEQRNGKLLFPTDTFLAMIKFLMKCFNTHDGSDFLQEDLSNSTLAKMCVLLEHAMSYDGSSELHTLALKSLVDISSRQPKMVSSFYVNRLDWPRTLLHADTHEEAPRYGRQCQNTTDINEELGSCCNGRTNHSLEHEVCGGGNNSDNLGGDGCDEELVTGCHHRHGRIDHNLEFLIDRMMSWSVRDILKAPPVIKKAQTTFVSNDNYFESLCIEAVALTTAQLKMPLQLLGTANWHDVKLISEVQFPYYLLDIVHIPTDCYKNRCHTIRRGDLILLDPTSPYSKKPKGCFFAVAVEDEDEYFRSAFKVQIIRKSRPVDLVINYAALLDINIQGQVEFWSSIHQDIDNKCQCIINSILQAPLVCVVYAPSLFVITDLLHEIQYLGGKHNQFDNFCKKTIVLERKSDIGPEFGHLTLESYFDDTNMCFGFPGWKFFINMALDILDKFGPKYKSTTEMIKVLDEIEDLLGNRNLSDGSMRKAFEVEAVQTFDTGDCGIAEELNDKRMKCAQLLRKFKEDLQSIDLPTFKTREDLEEFCMKNSSIIFCSTNNSFHLREIQLKIDCLIIDSANLFNEYETLVPLCLPSLHSIILAGDEAKKPTVGNQVYQQDAFGVSLFQRLLDLGFNQHLLLDQYITGQHGRRVSSKSKVLKPEFTWIARPHNRKYILAPIRDQGPKVTCVLHSSMATTESLYKLICASHDPPTDFNVILDIQDMLLQYKEETGYTYGDELRGERGQIRLEKALQTLKKRGVRGIDGCDNDKKMEQIRISSFRRIDIEAEGFEQIFERLLQGRMLVGSFKVSCNYFELAEGEVYHYDYARPIVRDGVTWSHAVMFVGFGDPAGDVLLDNHLAAIDTCDFELERMKHNSIGHLVYQNSYGKLFGFPHFRCGYGRVGLQSIVSMWEYSN >ORUFI12G03350.2 pep chromosome:OR_W1943:12:2270406:2287873:-1 gene:ORUFI12G03350 transcript:ORUFI12G03350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNPVLPKPNDPKRSDSCLIRPSSSSLLTFLRRKETQRVRVQHSNIVGRMAVAEPSPPAAAAAAAGQTHAGRVDALERMLTRLALTEDSVASLLCRFLPYTTISLVSPGASVRELLMENGSLFDKLVKQRPDISMMMDLWRIYTESTSTVVQNYCIVYVEMGFERLLSEDKAIIAPDLLINISNVPEQHQGIILRLVLKAIGEWDTHKVDQTVASKYKSISASNDGLVFADFCLHMILYQTPPQGIRCSAGLSVAQSDRVTGNLPLKGDTLASRKLGILDVIETMQFKLEIVYPLYLAAASDSQEAVAKRGEEMLILKASAVNLEDSDLIKRLFTLFNGTASSENIASELEVAPAHSSLRVRLMGVFCRSIAAANAFTHTFQCIFGCIYGNGTTSRLKQLGMEFTVWVFKHATNKQLKAISPFILSGILHSLDGSSTTEADSSSRDIRIFAYQAIGLLAFRMPNLFCNKTGMAIRLFTALRLEEQSLRSIIQEAATALATAYKGASNIVLKDFEALLLEYCQAEQSEVRFSAVRWAVTLYNMKHCPSRYICMLGASDVKLDIREMALAGLNLLNDERQSSTMATDISYPDIAEMVNYIYSQQPQLLHCYEQRNGKLLFPTDTFLAMIKFLMKCFNTHDGSDFLQEDLSNSTLAKMCVLLEHAMSYDGSSELHTLALKSLVDISSRQPKMVSSFYVNRLDWPRTLLHADTHEEAPRLLGIASTLLSIAALYVLPELTSIFDQNPPSGYGRQCQNTTDINEELGSCCNGRTNHSLEHEVCGGGNNSDNLGGDGCDEELVTGCHHRHGRIDHNLEFLIDRMMSWSVRDILKAPPVIKKAQTTFVSNDNYFESLCIEAVALTTAQLKMPLQLLGTANWHDVKLISEVQFPYYLLDIVHIPTDCYKNRCHTIRRGDLILLDPTSPYSKKPKGCFFAVAVEDEDEYFRSAFKVQIIRKSRPVDLVINYAALLDINIQGQVEFWSSIHQDIDNKCQCIINSILQAPLVCVVYAPSLFVITDLLHEIQYLGGKHNQFDNFCKKTIVLERKSDIGPEFGHLTLESYFDDTNMCFGFPGWKFFINMALDILDKFGPKYKSTTEMIKVLDEIEDLLGNRNLSDGSMRKAFEVEAVQTFDTGDCGIAEELNDKRMKCAQLLRKFKEDLQSIDLPTFKTREDLEEFCMKNSSIIFCSTNNSFHLREIQLKIDCLIIDSANLFNEYETLVPLCLPSLHSIILAGDEAKKPTVGNQVYQQDAFGVSLFQRLLDLGFNQHLLLDQYITGQHGRRVSSKSKVLKPEFTWIARPHNRKYILAPIRDQGPKVTCVLHSSMATTESLYKLICASHDPPTDFNVILDIQDMLLQYKEETGYTYGDELRGERGQIRLEKALQTLKKRGVRGIDGCDNDKKMEQIRISSFRRIDIEAEGFEQIFERLLQGRMLVGSFKVSCNYFELAEGEVYHYDYARPIVRDGVTWSHAVMFVGFGDPAGDVLLDNHLAAIDTCDFELERMKHNSIGHLVYQNSYGKLFGFPHFRCGYGRVGLQSIVSMWEYSN >ORUFI12G03360.1 pep chromosome:OR_W1943:12:2294784:2300203:1 gene:ORUFI12G03360 transcript:ORUFI12G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQERLKDLRLQEEIWRILSKICAYEGTETISSPIPILVYSTSDPQLWEAAVRYQEQVGGRVRFPLLYLKVDNESGKVVDVGKYWDDRHGVGEPRGRFKSFKWFADKHKMFTAEAETASSTKSTAQLQQHSYSEEEDLTMYKSHQQLQVSEEKDTTIEENSEKKWHARLIDEYIRGILEGMITQIRRLRTMRMFSLRVLWKLTHVLLVNFNISRR >ORUFI12G03370.1 pep chromosome:OR_W1943:12:2300993:2302606:-1 gene:ORUFI12G03370 transcript:ORUFI12G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSKYHRDYPFLPAAASSSDGDGDGFYIGEPRNPAAGADSTDVFLFLAVPAGWLVRLAAFVGELVASAILGLVYPVAALIGWLRAVPAAVASLLRRAATGLLAAACTFAVLAAAFVVSLVLGFALVRHWVAEPVTARHPLYFDYTEAQPSAAVALGGGAAAAVPAGHAVRVSMALLLPDSYHNRHIGVFQAISASGITIASTTQPYLIKYKSSPVRLIQTALLCVPLTMGIRSESQDANLKLLHYREGHGRHKRTVLIRVMLQPRAMTVHLPQVYQAEIVVQTTLPWTKELVRALKWTLCVWVSLCVYVFILVLAMICWSRSPSAFSSRDRRLYDHQVIENSGMDMGDSGERSDKELYGGVGVKWKQRIRKRKAQHGTLQGDRMELKFTEGSTSGVAMDGE >ORUFI12G03380.1 pep chromosome:OR_W1943:12:2302800:2305870:-1 gene:ORUFI12G03380 transcript:ORUFI12G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALIPDSDLRERRSTSIPFRSGSMECLLGVCANASTVPVSLMGAPQGLLKNAANFSIGGHYPLHQLWDTASQLLGDRRSWASRSKVAATTHVSFRSFGEIAVWLGGQYGIHDSWWDCGRSQTLKMVTSGSDGPSWDGDED >ORUFI12G03390.1 pep chromosome:OR_W1943:12:2306194:2309510:-1 gene:ORUFI12G03390 transcript:ORUFI12G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTSSRRRRRGLPRWAVCAVCHRSSMMARKGERMVRWRWGWKGSGGCGGGDRHHAKYGMADLLDD >ORUFI12G03400.1 pep chromosome:OR_W1943:12:2309620:2311216:-1 gene:ORUFI12G03400 transcript:ORUFI12G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRLAVDLLTSRKEFLHALDNLRSLIVTIERPASGGGGEGRDSRLFHRLESGDDLTGARLPFPPPLPRRRPSHKDGGGGASSSRSGMGGGPARGWRNRPYPCRRLDLAVEQPAAGLSGAAAVSGGGGSGVEALAAAAEETARSAPRSGGTATGGGVWWRGWRRRPAAAFGGGDGDDGEGGKGMASMSARRSGCRDGGGDEFAATAAQATTAGRLRRVSSELDDGDKVREDDEMAAGMEG >ORUFI12G03410.1 pep chromosome:OR_W1943:12:2323918:2324904:1 gene:ORUFI12G03410 transcript:ORUFI12G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLPSSVRDFASCIGDGAACTAPSSTRTRRGSAAVQAQPSTLSVTASYRVALASSSSPPLQLRLTWAHSPLGPTLSFSPSASGRKVLVRRRRGSCSVPSSGEDEAVESESELSASSPRLALFWDLTAARFDPAASPEPVSGYYVVVAVESAEVVLALGNLAAEFVKAKFEGTTQIPMAAPFARGERVVVAVSSDAAAAVTHTARARFAEGGAEHEVSVGCAPGGGGGGGGDELWVSIDGKRAVQARRLRWNFRGNQTVFVDGQPVDVMWDLHGWWFRREPPAPGWAVVMLRARSALESRLWLEEEAAAPAFSLLVEAFKSPP >ORUFI12G03420.1 pep chromosome:OR_W1943:12:2333950:2337608:-1 gene:ORUFI12G03420 transcript:ORUFI12G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVSRSMVLTLLGFCVSVLFIVFVCSRLACALLRRRRGRARLRRASPLAVSGVLSIYVDRHGHHQPSSAAGAASGTGGLDPAAVAAFPTRAFSPAASSSASASTQCVVCLAEYEEKDVLRVLPYCGHGFHVACIDIWLMHHSTCPVCRISLCDYPDSKHTMSPVPSAVIIPLPPCSPEASRSDQCNCLFVGTGHSPRTSQVLRNEPDQVKLPVILETSTQGDPWIAVIGSDGDMISLLKPGASSGRRTAYMFVHAWMSAGPIMAHTIDLNFVCSKHQQQQWFFFPAATGKSRVEPRDADAGLHSCLLPDLRELALKI >ORUFI12G03430.1 pep chromosome:OR_W1943:12:2341253:2342644:-1 gene:ORUFI12G03430 transcript:ORUFI12G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGGGRPAVRQQAARGKQMQRTFNNVKITLICGFITLLVLRGTVGINLLTYGVGGGGGSDAVAAAEEARVVEDIERILREIRSDTDDDDDDEEEEPLGVDASTTTTTNSTTTTATAARRRSSNHTYTLGPKVTRWNAKRRQWLSRNPGFPSRDARGKPRILLVTGSQPAPCDDAAGDHYLLKATKNKIDYCRIHGIEIVHSMAHLDRELAGYWAKLPLLRRLMLSHPEVEWVWWMDSDALFTDMAFELPLARYDTSNLVIHGYPELLFAKRSWIALNTGSFLLRNCQWSLELLDAWAPMGPKGRVRDEAGKVLTASLTGRPAFEADDQSALIHILLTQKERWMEKVYVEDKYFLHGFWAGLVDKYEEMMERHHPGLGDERWPFVTHFVGCKPCGGYGDYPRERCLGGMERAFNFADNQVLRLYGFRHRSLASARVRRVANRTDNPLVNKEAALKMDAKIES >ORUFI12G03440.1 pep chromosome:OR_W1943:12:2348916:2353865:1 gene:ORUFI12G03440 transcript:ORUFI12G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDHGGDADLAADELQSLSFGSSERSRSGSTVSTATTVSTTTSGPPPPPPPPPPRAAAAPRLGAVSLSDIRFVRRLGSGDIGSVYLAEVKGARGGGAAVVAAKVMDRKELAGRNKEGRARTEREILEAVDHPFLPRLYGVAEGDRWSCLLTEFCPGGDLHVLRQRQPHRRFTESAVRFYAAEVVAALEYIHMMDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPIALAGGHYSASSSCIIPSCIVPAVSCFQLFPGRGRRRRHRRKKTASGGGGGISGSSFPAGGLELEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGVFVFELLYGVTPFKGYDNEMTLANIVARALEFPRDPPVSSAAKDLVTSLLAKDPTRRLGATVGAAAIKRHPFFSGVNWALLRCATPPYVPPPFSVAAATAAAAAADMSDDDSCPGTPVEYY >ORUFI12G03450.1 pep chromosome:OR_W1943:12:2356088:2356704:1 gene:ORUFI12G03450 transcript:ORUFI12G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQTYNRLGSLSKATAGAPSLPSVPRGVPVERRGRKWEEDTSEDGLGVIGGRGSLKSHGKRRASAAVGESSGCLSRRRPPLTSAGLLFPLFGWHQLLLPPPPPLTPLPSPHAQLAAISVWDSSRRKLAQASSLHRRPLRPSLGLLLPHLTPTRSVASPLAGHSARCRHHNPSGVTAGEHGGGARSSLGLASLAPICHRGF >ORUFI12G03460.1 pep chromosome:OR_W1943:12:2357035:2363872:1 gene:ORUFI12G03460 transcript:ORUFI12G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSGVKYPDGWELIEPTLSELHSKMREAENDPHDGRRKCEALWPIFKINHQRSRYLYDLYYNRKEISQELYEFCLDQGHADRNLIAKWKKQGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEQVIECVHCGCKGCASGD >ORUFI12G03470.1 pep chromosome:OR_W1943:12:2368920:2369372:-1 gene:ORUFI12G03470 transcript:ORUFI12G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSTELRHKYNVRSIPIRKDDEVQVVRGSYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKAILDRKASGRAADKAKGKFTAEDVAAAGASLQEID >ORUFI12G03480.1 pep chromosome:OR_W1943:12:2376133:2377662:-1 gene:ORUFI12G03480 transcript:ORUFI12G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELPWGARCAAAAFFVSSLCVAALGVVLLLLRRWPWCGCHVCRAYLAGSWRREFANLGDWYADLLRRSPTGTVHVHVLGCTVTANPANVEYMLKTRFDNFPKGRPFAALLGDLLGDGIFNVDGDAWRHQRKMASLELGSVAVRSYAYKIVAQEVEARLMPVLANAADSGAVVDLQDVFRRFAFDTICKISFGLDPGCLDREMPVSELADAFDAASRLSAMRGAAASPLLWKMKRFLNVGSERELKKAIKLIDGLAAAMIRERRKLGVANSHDLLSRFMASSGDDARGAADDKFLRDIVVSFLLAGRDTVSSALTTLFMILSKNPDVAAAMRAEAGAAAGESAAVSYEHLKRLNYTHAVLYENMRLFPPVQFDSKFCAAADVLPDGTYVDGGARVMYHPYAMGRMPRIWGADCDAFRPERWLTGAGGAFVPESLFKYPVFQAGLRVCLGKELAITEMKAVSVAVVRAFDVEVVGENGRCGGGAAAAPRFVPGLTASISGGLPVKIRRV >ORUFI12G03490.1 pep chromosome:OR_W1943:12:2406466:2407038:1 gene:ORUFI12G03490 transcript:ORUFI12G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATVFVGAASGASYTVGEPGGGWDTQTNLTAWASTVDLRRGDQLVFRYDASAYDVVEVTRAGYLSCSAASPVSAALRTGNDVVRLDSAAGWRYFIYGVEGRCAAGMKLQVRVTDAGAGCNNTLPSPSLAPAPPGAPSPGITICSGGPPTVIMTPGVISYGAASRSSANLSSSLLVAMVSLLLGIIVV >ORUFI12G03500.1 pep chromosome:OR_W1943:12:2421317:2423073:-1 gene:ORUFI12G03500 transcript:ORUFI12G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGKKLMDGGGVAFQTLPRAAGDDNADDDYVRPPPRPLVSTVHDKPATHPQQVHISVVGANRMRICWVTDDDDGRSSPPSVVEYGTSPGEYTASATGDHATYSYSDYKSGAIHHVTIGPLEPATTYYYRCGAGEEEELSLRTPPAKLPVEFVVIGDVGQTEWTAATLSHIGEKDYDVALVAGDLSYADGKQPLWDSFGRLVQPLASARPWMVTEGNHEKEKTPPPPPVAGAGAGVRLSPSRFAAYNARWRMPREESGSPSSLYYSFDAAGGAAHVVMLGSYAYVEERGEGTAEQRAWLERDLAGVDRRRTPWVVAVAHGPWYSTNGAHQGEGERMRRAMEPLLYDARVDVVFSAHVHAYERFTRIYDNEANSQGPMYITIGDGGNVDGHSDKFIEDHELAHLSEFREMSFGHGRLRIVSETKAIWTWHRNDDQHATVRDVVVLESMAGAKTN >ORUFI12G03510.1 pep chromosome:OR_W1943:12:2427082:2427563:1 gene:ORUFI12G03510 transcript:ORUFI12G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPPPNSQTCIVVAPFAPPGSPPRALSSATASTPTLKPPASSSVADAELCSLADKTTYAPSFPGPPIIIADARSELHLLNLITGDQISLLSVNPILKDDAGNNVTAYNVFFYDTNIPRK >ORUFI12G03510.2 pep chromosome:OR_W1943:12:2427567:2428316:1 gene:ORUFI12G03510 transcript:ORUFI12G03510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAIYQLDELHNFIYMKAMTSSDPATGDFTIMLIHNPYMQLDHQTSNGIRSPSTTTSASPTASSMTASFYTITYHRVVHLIDINPDSSYVTGVIVQETLPMMYLIVYLARSPNHGDFIQIFRFTSSLETDQTDRFVDYDLGDNDVFIGRNYTACLSTKDYPGLMPNHIYFTDDDECSLQAFKGTPRDIGVYNYEDDTLSEVVSPQPWLKWPPPFWITPSFKDFPNTYRQ >ORUFI12G03520.1 pep chromosome:OR_W1943:12:2430621:2433524:1 gene:ORUFI12G03520 transcript:ORUFI12G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASVAALRLVVLLAAAVPLLPPPAASLAVTSTYVRPTARATLSVLHDGDGRTPQQVHISAVGSDKMRVTWITDDDAPATVEYGTVSGEYPFSAAGNTTTYSYVLYHSGNIHDVVIGPLKPSTTYFYRCSNDTSRELSFRTPPASLPFKFVVVGDLGQTGWTASTLRHVAADVYDMLLLPGDLSYADFYQPRWDTFGRLVEPLASARPWMVTEGNHEVERIPVIHPRPFTAYDARWRMPHDAGASPSGSNLYYSFDVAGGAVHVVMLGSYAGYAAGSAQHRWLRRDLAGVDRAKTAFVVALVHAPWYNSNRAHRGEGDAMRAAMEELLYGARVDAVFAGHVHAYERFARVYGGGEDACGPVHVTVGDGGNREGLATRYVDPQPAASAFREASFGHGRLEVVNATHALWTWRRNDDDEAVVADEVWITSLASNPACNKKYSISLY >ORUFI12G03530.1 pep chromosome:OR_W1943:12:2441562:2442752:1 gene:ORUFI12G03530 transcript:ORUFI12G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRHLPPVLVLLLLSILSLSFRRRLLVLQGPPSSSSSSRHPVGDPLLRRLAADDGAGSSQILAEAAALFANASISTFPSLGNHHRLLYLRMPYAFSPRAPPRPKTVARLRVPVDALPPDGKLLASFRASLGSFLAGRRRRGRGGNVAGVMRDLAGVLGRRYRTCAVVGNSGVLLGSGRGPQIDAHDLVIRLNNARVAGFAADVGVKTSLSFVNSNILHICAARNAITRAACGCHPYGGEVPMAMYVCQPAHLLDALICNATATPSSPFPLLVTDARLDALCARIAKYYSLRRFVSATGEPAANWTRRHDERYFHYSSGMQAVVMALGVCDEVSLFGFGKSPGAKHHYHTNQKKELDLHDYEAEYDFYGDLQARPAAVPFLDDAHGFTVPPVRLHW >ORUFI12G03540.1 pep chromosome:OR_W1943:12:2446292:2446537:1 gene:ORUFI12G03540 transcript:ORUFI12G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVNLKLYLENVYIMEENERLRRKAQALNQENKALLAKLNTNHAAASSTSTTTQHRPPTAASAAGAGASSTLKPGKQQPK >ORUFI12G03550.1 pep chromosome:OR_W1943:12:2456584:2457177:1 gene:ORUFI12G03550 transcript:ORUFI12G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEANAATTHHEITTAAAVTAGERQQLNAGGEDDKNIGMIVTDDDDEDEDDELFELDIALIDHRDGEEYYTKRLVTSSCCVAVAAAAAAADDDDEEDGGDALLANCLLPVSSVSRAVPVTASSSFVVVSSYPVGRRYHGGGGCGDDDDDDASWRWRRFFFTSGGGGRTRIGRHNSNNSARFSFSRFQSLANFQRY >ORUFI12G03560.1 pep chromosome:OR_W1943:12:2458124:2463686:1 gene:ORUFI12G03560 transcript:ORUFI12G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRERTYQKRHPLFQVTIIFVHGLNESITSPITRPTTRGSTDLDMVGALEGQMHSTLSAWKPINPQMFVVSSLVCLD >ORUFI12G03560.2 pep chromosome:OR_W1943:12:2458124:2463686:1 gene:ORUFI12G03560 transcript:ORUFI12G03560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRERTYQKRHPLFQVTIIFVHGLNESITSPITRPTTRGSTDLDMVGALEGQMHSTLSAWKPINPQMFVVSSLVCLD >ORUFI12G03560.3 pep chromosome:OR_W1943:12:2458124:2463078:1 gene:ORUFI12G03560 transcript:ORUFI12G03560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRERTYQKRHPLFQVTIIFVHGLNESITSPITRPTTRGSTDLDMVGALEGQI >ORUFI12G03570.1 pep chromosome:OR_W1943:12:2459291:2460253:-1 gene:ORUFI12G03570 transcript:ORUFI12G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEPLVVGRVIGEVIDSFNPCTKMIVTYNSNKLVFNGHEFYPSAVVSKPRVEVQGGDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREIISYESPKPSIGIHRFVFVLFKQKRRQAVVVPSSRDHFNTRQFAEENELGLPVAAVYFNAQRETAARRR >ORUFI12G03580.1 pep chromosome:OR_W1943:12:2465434:2467727:1 gene:ORUFI12G03580 transcript:ORUFI12G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRILIVLLVVLLGLAFQAILRPPPQKLCGSPGGPPVTSPRIKLRDGRYLAYREDGVQKDKAKFKIISVHAFDSTKDFPLQVSKELVHELGIYIVGFDRAGYGESDPNPKRDVKSEALDIEELADQLELGHKFYVLGVSMGGYSIWGCLQYIPNRLAGAAMVVPIINYWWPSFPAELSRQAFKRLIVPEQRTLWIAHNMPSLLYLWMTQKWLPSSAAAMRHPEIFSKHDLEVLQKMMAMPLIENKSRQQGIYESTHRDLLVAFGKWEFDPMNITNPFPQNEGSVHIWQGYEDRLVLVELQRYIAQRLPWIQYHEFPEGGHMFMLVDGWTDKIIRALLVGEQL >ORUFI12G03590.1 pep chromosome:OR_W1943:12:2468205:2469464:-1 gene:ORUFI12G03590 transcript:ORUFI12G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPAAADWSELPADVLGLVLLELEFPDLFRSAAVCKLWRATARDIRRLGLYSRAQTPCLLYTTAAAGPRAAVLYSLADKTTSYTVPLPDPPIAERHIVGSSHGWLVTADHRSELHLLNPATGEQLDLPPVATIEHVRPLYDDAGNLNNYKLVYYDGGGNSHRSNDDDMHTVTHPPETFREFLYLKAVISSDPSRGDDYTVMLIHHPYLQLSFARSGDKKWTWIKMGNNECEWFEDCIYHDGVFYAQTVHGAIHAIDVVSASSSFTHRLILKPTMGELGTLYIVRTTEGDILQVLRVTEEDEGSEHKDVRTTEIGVYKVDYKKQDLDDVDDIGNNALFIGTSYSMCLPVKDYPHLMPNHIYFDDDYGYLVHRKHLRRDVGVYDYTNDTAIDVEYPQPWLNWPLAPVWITPSFTKTAKYS >ORUFI12G03600.1 pep chromosome:OR_W1943:12:2478645:2479380:-1 gene:ORUFI12G03600 transcript:ORUFI12G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQCDDPRYIPKHKFGRHMSRHHSKATVKCKDCLLAFDTAAAAGKHHHYAHSLPSGWWNFPT >ORUFI12G03610.1 pep chromosome:OR_W1943:12:2482375:2483947:1 gene:ORUFI12G03610 transcript:ORUFI12G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRIGLLVVLVGLAFQAILHPPPQKLCGSPGGPPVTSPRIKLSDRRHAYKEGGELVDELGIYLLAFDRAGYGENYPNPKRNVRSEALDIEELTDQLKLGQKFCVGNVDGRIPNLGCLQYIPNRLAGAALVLPIINYWWPSSPAELSRQAFMGLIMPEQRTLWIAHNINFLALPLDDPEVAPFFCGSHASSCNI >ORUFI12G03610.2 pep chromosome:OR_W1943:12:2482375:2483947:1 gene:ORUFI12G03610 transcript:ORUFI12G03610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRIGLLVVLVGLAFQAILHPPPQKLCGSPGGPPVTSPRIKLSDRRHAYKEGGVQKDKAKAGYGENYPNPKRNVRSEALDIEELTDQLKLGQKFCVGNVDGRIPNLGCLQYIPNRLAGAALVLPIINYWWPSSPAELSRQAFMGLIMPEQRTLWIAHNINFLALPLDDPEVAPFFCGSHASSCNI >ORUFI12G03610.3 pep chromosome:OR_W1943:12:2482338:2483939:1 gene:ORUFI12G03610 transcript:ORUFI12G03610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKWLPSSAAAMHHPAIFSKHDMEVLQHMIAMPVIEGYVHIWQGYKDSWCWLSCNGNFKEAFLGSIIMKSQKEDTCSCWQMDGLTKYSGHSWLENNSRSCEPVALTEDAILLCSPFFQVYFR >ORUFI12G03610.4 pep chromosome:OR_W1943:12:2482375:2483350:1 gene:ORUFI12G03610 transcript:ORUFI12G03610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRIGLLVVLVGLAFQAILHPPPQKLCGSPGGPPVTSPRIKLSDRRHAYKEGGVQKDKAKAGYGENYPNPKRNVRSEALDIEELTDQLKLGQKFCVGNVDGRIPNLGCLQYIPNRLAGAALVLPIINYWWPSSPAELSRQAFMGLIMPEQRTLWIAHNINFLALPLDDPEVAPFFCGSHASSCNI >ORUFI12G03620.1 pep chromosome:OR_W1943:12:2486601:2488751:-1 gene:ORUFI12G03620 transcript:ORUFI12G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSIHPCKSQAPAASAAAAAISGLRFVSSSSSSSSTALIPPPRTEESPFASLLASDPPPPEALREVLAAGDVHAALRGLPGLARQLFRWAEATPRGFPRCASAYAAVLVPLAEAKHLHAAHPVSVRAIHLGLLLPLVSLLLSFPTTVSLHYLLNLLLRLSTKFNTRARNPAPSTCSTLCLAAFREMAHHGVPPFIKECNLVLRALRDEARWDDMRSVYAEMLQLGIEPSIVTYNTLLDSFFREGRVDQAAKLLREMEARPGGCLPSDVTYNVVINGLARKGELEKAAQLVDRMRMSKKASAFTFNPLITGYFARGSVEKAGALQLEMENEGIVPTVVTYNTIIHGMFRSGNVEAARMKFVEMRAMGLLPDLITYNSLINGYCKAGNLKEALWLFGDLKRAGLAPSVLTYNILLDGYCRLGDLEEARRFKQEMVEQGCQPDVSTYTILMNGSRKVRNLAMVREFFDEMLSKGLQPDCFAYNTRISAELILGSTSEAFQLTEVMISRGISSDTVTYNIFLDGLCKSGNLKDAYVLWMKMVSDGLQPDCITYTCLIHAHCERGRLREARDIFDGMLVSGLPPSAVTYTVFIHAYCRRGNLYSAYGWFQKMLEEGVRPNEVTYNVLIHALCRMGRTNLAYQHFHEMLERGLSPNKYTYTLLIDGNCKEGNWEEAIRLYSEMHQHGIHPDHCTHNALFKGFDEGQSKHAIQYMENVVLGA >ORUFI12G03630.1 pep chromosome:OR_W1943:12:2489835:2493927:-1 gene:ORUFI12G03630 transcript:ORUFI12G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAAAAHHLVAPAAVSPYYSARVSPGFLRLHDFPRSVGLAATAAPRIFCQSINSADVLGASSTTSDDAIPQPVVLIDQDSDRDATIVQLSFGDRLGALLDTMKALKDLGLDVTKGSVSTESAVTQTKFHIMRSGRKVEDPDTLEKIRLTVINNLLQYHPESSENLAMGEFFGIKAPEKKVDVDVVTHVIVEDDGPKRSMLYIETADRPGLLLEIVKIITDVNVDVESAEIDTEGLVAKDKFHVSYRGAKLNSSLSQVLVNCLRYYLRRPETDEDSY >ORUFI12G03640.1 pep chromosome:OR_W1943:12:2494641:2504942:1 gene:ORUFI12G03640 transcript:ORUFI12G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTTLLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAACWACEKRAKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWTRAWCLLSGTRSPEGAEGTEAIMNAEKNYYLGTSSLEILLHLSQPQLAALKLLDVYA >ORUFI12G03640.2 pep chromosome:OR_W1943:12:2494641:2504815:1 gene:ORUFI12G03640 transcript:ORUFI12G03640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTTLLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAACWACEKRAKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWTRAWCLLSGTRSPEGAEGTEAIMNAEKNYYLGTSSLEILLHLSQPQLAALKLLDLQQQQWRRLQEDIIGEEEIKKCIQSCNCNIRKLLPAEALHWDHKGQVGPMWQ >ORUFI12G03640.3 pep chromosome:OR_W1943:12:2496338:2501840:1 gene:ORUFI12G03640 transcript:ORUFI12G03640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTTLLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAACWACEKRAKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWSKYRASQKKDK >ORUFI12G03640.4 pep chromosome:OR_W1943:12:2496338:2501840:1 gene:ORUFI12G03640 transcript:ORUFI12G03640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTTLLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAACWACEKRAKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWSKYRASQKKDK >ORUFI12G03640.5 pep chromosome:OR_W1943:12:2496338:2501840:1 gene:ORUFI12G03640 transcript:ORUFI12G03640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTTLLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAACWACEKRAKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWSKYRASQKKDK >ORUFI12G03650.1 pep chromosome:OR_W1943:12:2494876:2495954:-1 gene:ORUFI12G03650 transcript:ORUFI12G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASVPPGHDGARGQGYAPCFCSRDKVGEMATTSSSEVSKASIVLCILLALGEMAYTSPAVVSVSVMASQNDVLEARTQSNKT >ORUFI12G03650.2 pep chromosome:OR_W1943:12:2495954:2496609:-1 gene:ORUFI12G03650 transcript:ORUFI12G03650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQEPATGSIRQAGADLTRGVGDSARGGEAAQGRPSVFSTAAAREEEREEETTPTFVARTADRRRRETCFLLSDGNQQRGAEIRAQGQPKSGRCDPVASSCPLFSHTRAHLAGLSSTHGMKERATRQVVSEREKSSMGEEAAKRQQHPR >ORUFI12G03660.1 pep chromosome:OR_W1943:12:2500068:2506329:-1 gene:ORUFI12G03660 transcript:ORUFI12G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTGRMGEARRGQNPHHVLGYGFHGTTLPNSMASANLFEQGGGGGGGAAYFGELEEALVHQVATLRRRAQQTATTTTSHHGHTTPFSTAAAAATATATARPPATLDIFPSWPMRRSSLPTPKDGCSNVTADTTDSESSSKNNGDQGAAAADMASQFDQIPQQQQKQHKKMAASSTHSDHRMTKTLDPKIMRRLAQNREAARKSRLRKKAYIQQLESSKLRLAQMEQDLERARSQGLLLGGSPGGNTSAGAAMFDAEYGRWLEDGGRRMAELHGGLHAHLPDGDLRAIVDDALAHYDELFRLRAAAAKADVFHLITGTWATPAERCFLWMGGFQPSDLLKTVAPQLDPLTEQQVVGICSLQQSSQQAEEALSQGLEQLHQSLAETVANGGSVVNEASLGSFMGYMALALGKLSNLEGFVIQADNLRQQTLHQMHRILTIRQAARCFLAIGEYHNRLRALSSLWASRPREILVADEGNCGELSIAAQPSESQFSAF >ORUFI12G03660.2 pep chromosome:OR_W1943:12:2500068:2505885:-1 gene:ORUFI12G03660 transcript:ORUFI12G03660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARRGQNPHHVLGYGFHGTTLPNSMASANLFEQGGGGGGGAAYFGELEEALVHQVATLRRRAQQTATTTTSHHGHTTPFSTAAAAATATATARPPATLDIFPSWPMRRSSLPTPKDGCSNVTADTTDSESSSKNNGDQGAAAADMASQFDQIPQQQQKQHKKMAASSTHSDHRMTKTLDPKIMRRLAQNREAARKSRLRKKAYIQQLESSKLRLAQMEQDLERARSQGLLLGGSPGGNTSAGAAMFDAEYGRWLEDGGRRMAELHGGLHAHLPDGDLRAIVDDALAHYDELFRLRAAAAKADVFHLITGTWATPAERCFLWMGGFQPSDLLKTVAPQLDPLTEQQVVGICSLQQSSQQAEEALSQGLEQLHQSLAETVANGGSVVNEASLGSFMGYMALALGKLSNLEGFVIQADNLRQQTLHQMHRILTIRQAARCFLAIGEYHNRLRALSSLWASRPREILVADEGNCGELSIAAQPSESQFSAF >ORUFI12G03670.1 pep chromosome:OR_W1943:12:2516424:2516813:-1 gene:ORUFI12G03670 transcript:ORUFI12G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMVIQDRNREIKIMSMDVDGEILKLPPPPPPLNGVSSDDDDEALRPATADMADDPPGGAVVRVKLVVRKQELKKMLLHNDAAAISLNDMVSLMQKQAEADELLHQQESCGSVWQPTLQSIPEGSVF >ORUFI12G03680.1 pep chromosome:OR_W1943:12:2530220:2530636:1 gene:ORUFI12G03680 transcript:ORUFI12G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDRARRRPVSATTTRGRWIRRRALLVLLPPPPLPVAVATTAAGCHRLYRLSAASSGPAGSGCRDVGGGRIRCRVDGGGRSHHHHDGGGRIRRRVNRGGRSRRHHDGGGWIRRRDDNVTAAGPQAADPVVTSSSP >ORUFI12G03690.1 pep chromosome:OR_W1943:12:2534257:2535557:1 gene:ORUFI12G03690 transcript:ORUFI12G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNDAGGTSSKLNDRAEVSSKDKTSVSELEDGNVCSHHGIEEPNEESMQGIVMEQEELSDSEEDSQHVEFEREEMDDSDEDQVQGVDPLLAQNKEVSTSVGCGEYEGSNNQSQNQQMVSKQGAATQKPQRLSNATPAREKLKGDNAKRIGSRTSPRSSTSPTTEPNQTKTRRPKAQQMIARQSAVIRISVNGAARSTFEGETLQVHVHC >ORUFI12G03700.1 pep chromosome:OR_W1943:12:2536435:2537847:1 gene:ORUFI12G03700 transcript:ORUFI12G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRAAIVLVIAASSVAVAFSHAEGNEGPDFTYIEGAMDGPSNWGKLSPEYRMCGEGRSQSPIDINTKTVVPRSDLDTLDRNYNAVNATIVNNGKDITMKFHGEVGQVIIAGKPYRFQAIHWHAPSEHTINGRRFPLELHLVHKSDADGGLAVISVLYKLGAPDSFYLQFKDHLAELGADECDFSKEEAHVAAGLVQMRSLQKRTGSYFRYGGSLTTPPCGENVVWSVLGKVREISQEQLHLLMSPLPTKDARPAQPLNGRAVFYYNPPGSAVSFQEFAK >ORUFI12G03710.1 pep chromosome:OR_W1943:12:2540489:2545641:1 gene:ORUFI12G03710 transcript:ORUFI12G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKGRSASAPASPCVVLGDDAADAAAVRVSSSSSSSCLWFDWFAIRCARAPSFAFALKRVFVWVVAKVDRKYGSMLNAGSPLQILYTDQLADAKGGLKANAPCEITSVTECTCPLELQGMLKEECVDQYKLLSDRLLAKIVPKVARVKKPVSSSEVYAEFGVSAYSKYGTRTVSTSLRVCRQEKTSSSGMSNPVQKDHPVRNAHGTGNEVFKIPWIFGSVAFCVILLIFLWYLWWSKAASNACQLQSLPLNRNWRLSSDGGPWAERRRSSGRRSSAQLKERRMSYS >ORUFI12G03710.2 pep chromosome:OR_W1943:12:2540489:2544673:1 gene:ORUFI12G03710 transcript:ORUFI12G03710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKGRSASAPASPCVVLGDDAADAAAVRVSSSSSSSCLWFDWFAIRCARAPSFAFALKRVFVWVVAKVDRKYGSMLNAGSPLQILYTDQLADAKGGLKANAPCEITSVTECTCPLELQGMLKEECVDQYKLLSDRLLAKIVPKVARVKKPVSSSEVYAEFGVSAYSKYGTRTVSTSLRVCRQEKTSSSGMSNPVQKDHPVRNAHGTGNEVFKIPWIFGSVAFCVILLIFLWYLWWSKAASNACQLQSLPLNRNWRLSSDGGPWAERRRSSGRRSSAQLKERRMSYS >ORUFI12G03720.1 pep chromosome:OR_W1943:12:2543145:2544263:-1 gene:ORUFI12G03720 transcript:ORUFI12G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPANIPADSSTVIGDDSMRKNKYFMGISLGTPPVFNLVTIDTGSTLSWVQCKNCQIKCYDQAAKAGQIFNPYNSSTYSKVGCSTEACNGMHMDLAVEYGCVEEDDTCIYSLRYGSGEYSVGYLGKDRLTLASNRSIDNFIFGCGEDNLYNGVNAGIIGFGTKSYSFFNQVCQQTDYTAFSYCFPRDHENEGSLTIGPYARDINLMWTKLIYYDHKPAYAIQQLDMMVNGIRLEIDPYIYISKMTIVDSGTADTYILSPVFDALDKAMTKEMQAKGYTRGWDERRICFISNSGSANWNDFPTVEMKLIRSTLKLPVENAFYESSNNVICSTFLPDDAGVRGVQMLGNRAVRSFKLVFDIQAMNFGFKARAC >ORUFI12G03730.1 pep chromosome:OR_W1943:12:2550958:2551376:-1 gene:ORUFI12G03730 transcript:ORUFI12G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSISFAESGEAHPKIYGSASNLCFVPRWGLPAYQVECTSNESLGSSCHGIAVAPRQSAPFLLLSFIGKSTPLVQLNSHFNRVALCFQILSVMYWGLSPKL >ORUFI12G03740.1 pep chromosome:OR_W1943:12:2551789:2552657:1 gene:ORUFI12G03740 transcript:ORUFI12G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLAAIPRARPSLKSTHLAESTLLRVGGEVAWPDVYDRDDSLKENTNPKCILKTYGGGGGGNSSQRFSGNLKPTAAPIIGLSRKLGHGGGGGGGGGGGFRPPAIFPKKAKTGGGGRTPRAAVPDHEPGSPKVSCIGKVLSDRERARRHRRWSLETRPRGVGGGCCPGLGSLFVRRSRSRKNVVECVDDQSPPPPPPPPPTAAALRRREEKVVLMTEEAAAAPAPALGGMTRFASGRRAADWAAEMEMDGHVARSGPL >ORUFI12G03750.1 pep chromosome:OR_W1943:12:2555206:2559132:-1 gene:ORUFI12G03750 transcript:ORUFI12G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQHHHIRWNHHGQQGSDGYTWIQFRHNINWSSFCDNHLDDYRLSQPSHLPIPDLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDRVHYSRDTKLSIMVVLIGVAVCTVTDVSVNAKGLAAAVIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFFFLTLSCVIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNFQVVLGMILAVVGMIWYGNASAKPGGKERRSVLPVRSEKPNGALDEKDGSEKYIPEGSTIIGDDSNH >ORUFI12G03750.2 pep chromosome:OR_W1943:12:2555969:2559132:-1 gene:ORUFI12G03750 transcript:ORUFI12G03750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQHHHIRWNHHGQQGSDGYTWIQFRHNINWSSFCDNHLDDYRLSQPSHLPIPDLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDRVHYSRDTKLSIMVVLIGVAVCTVTDVSVNAKGLAAAVIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFFFLTLSCVIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNFQVVLGMILAVVGMIWYGNASAKPGGKERRSVLPVRSEKPNGALDEKDGSEK >ORUFI12G03760.1 pep chromosome:OR_W1943:12:2570222:2573752:1 gene:ORUFI12G03760 transcript:ORUFI12G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGVLWGDKVRDRAEDFKESVRVAALGHGYTESQLAALMSSFIIRKPPPKLPFTKAAIKTLESIRELEKFIVKHRKDYVDMHRTTEQERDNIEHEVSVFVKACKEQIDILKNRIHEEKGGSTKTWLGTSDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRTMRFQDAINRAMPRKRIQKKRETKAAEPSKPNLVLKSDVSKVEDQEVSTAPLRVQEQLLDDETRALQVELTNLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQRGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYSK >ORUFI12G03760.2 pep chromosome:OR_W1943:12:2570419:2573752:1 gene:ORUFI12G03760 transcript:ORUFI12G03760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDRAEDFKESVRVAALGHGYTESQLAALMSSFIIRKPPPKLPFTKAAIKTLESIRELEKFIVKHRKDYVDMHRTTEQERDNIEHEVSVFVKACKEQIDILKNRIHEEKGGSTKTWLGTSDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRTMRFQDAINRAMPRKRIQKKRETKAAEPSKPNLVLKSDVSKVEDQEVSTAPLRVQEQLLDDETRALQVELTNLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQRGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYSK >ORUFI12G03760.3 pep chromosome:OR_W1943:12:2570293:2573752:1 gene:ORUFI12G03760 transcript:ORUFI12G03760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDRAEDFKESVRVAALGHGYTESQLAALMSSFIIRKPPPKLPFTKAAIKTLESIRELEKFIVKHRKDYVDMHRTTEQERDNIEHEVSVFVKACKEQIDILKNRIHEEKGGSTKTWLGTSDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRTMRFQDAINRAMPRKRIQKKRETKAAEPSKPNLVLKSDVSKVEDQEVSTAPLRVQEQLLDDETRALQVELTNLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQRGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYSK >ORUFI12G03770.1 pep chromosome:OR_W1943:12:2576980:2580520:1 gene:ORUFI12G03770 transcript:ORUFI12G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSKSDKKKVLDFAAWSFNITTSVGIIMVNKALMATHGFSFATTLTGLHFVTTTLMTIVFRWLGLSQPSHLPLPDLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDHVHYSRDTKLSIMVVLIGVAVCTVTDVSVNAKGLAAAVIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDFLLTGKRVDHFGFTSIALFFLTLSCVIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNFQVVLGMILAVVGMIWYGNASAKPGGKERRSVLPVRSEKPSGALDEKDGSEK >ORUFI12G03780.1 pep chromosome:OR_W1943:12:2581291:2592168:-1 gene:ORUFI12G03780 transcript:ORUFI12G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNFFLLTAFIALVATQAMASDPIRVNGLPCKDAKDVSVDDFFLAANLDKPMDTTKSKAGSNVTLINVMKLAGLNTLSISMARIDYAPKGQNPPHTHPRATEILTVLEGSLYIGFVTSNQANRENKLFTKTLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITIANAVFGSHPPISDDVLAKAFQVDKKAMDWLQAQSNSSINLNMASSNFFLLIPLIALVTTQAMASDPSPLQDLCVADKNSPANLDKPMDTTKSKAGSNVTLINVMKLAGLNTLGISMARIDYAPKGQNPPHTHPRATEILSVIEGSLYVGFVTSNQANGENKLFTKTLNKGDVFVFPEGLIHFQFNPSYDKPAAAIVALSSQNPGAITIANAVFGSNPPISDDVLAKAFQVDKKAAMASDPSPLQDFCVADRNSPVRVNGFPCKDAKDVNVDDFFLAANLDKPMDTTKSKAGSNVTLINVMKLAGLNTLGISMARIDYAPKGQNPPHTHPRATEILTVLEGTLYVGFVTSNQANGENKLFTKTLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITIANAVFGSNSPISDDVLAKAFQQKFHQLNMASSNFFLPTALIALVATQAMAFDPSPLQDFCVADRNSPVRVNGFPCKDAKDVNVDDFFLEANLDKPMDTTKSKAGSNVTLINVMKLAGLNTLGISMARIDYAPKGQNPPHTHPRATEILTVFEGTLYVGFVTSNQANGENKLFTKTLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITIANAVFGSNPPISDDVLAKAFQVDKKAVDWLQAQFWENNHN >ORUFI12G03790.1 pep chromosome:OR_W1943:12:2597838:2598674:-1 gene:ORUFI12G03790 transcript:ORUFI12G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGALRRLFEKPLPVPENPTLLEALSACNHVHHRKKLVDTASFTEIFGELHFQEKPVDVAAAARFLPPPPPPVRAASWIDVADDKSKDGSSLDALLRPKSSAVKRSASFCLKSSESSLLLCTEGLGTESTVDADDMVKDGDGDGEAIRRGEEETDGVEEDDGAGREKRGTPTLAPTPPTFPPPIRSIGRGGKPCVCFRSFRAEGRFVLMEVVIPGKELLQATREGGRLTLRFANAAAVGGGGEADDDVNDDDVDGGETKNACAARDDMLANNCTC >ORUFI12G03800.1 pep chromosome:OR_W1943:12:2600749:2604956:-1 gene:ORUFI12G03800 transcript:ORUFI12G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVALFRGPTNLASPASRSSSSSSSSSLRYLATSDGDVLPRRSSSGSGSSPGSTGSLGIQERREEEGGESEEEEEEWSFLALLLALLRKSLLGCSAEDGGGGEGMEIGWPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLRAEGIFRINAENSQEELVRDQLNGGIVPEGIDVHCLAGLIKAWFRELPSGVLDSIPPEQVMQCQSEEDCARVAKCLPPTEAALLDWAVNLMADVVQEEQINKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKMLIQKTLKDREESDLDDLSLPQKDPSDENGHQTTGLSLDSHPDEGSRRPSFVSEEPLLNSPVHSTEEKPNKTNLAEGKFADSSCPENVALTSMETEGSTSCSQPALAAAAAAPRATAMNLLQGKGSRSLNSRRTRKGKVQFGTRAAPASEKSKGASIVSRINSKVERIEAWR >ORUFI12G03810.1 pep chromosome:OR_W1943:12:2605079:2608519:1 gene:ORUFI12G03810 transcript:ORUFI12G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPGGGVLGGELLQFRVGEDKICQAINKRNEEGDVGLKATSAPPPTSKDTNSWQTLEFAN >ORUFI12G03820.1 pep chromosome:OR_W1943:12:2605455:2611801:-1 gene:ORUFI12G03820 transcript:ORUFI12G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRIGTRFSKPSGPGLSRPNGNGELRSGKGAGEQEASGRVGEEQGRGRQRRGKGTTRGGRVCMVGAGTRDVFVMSSWVRTSEWEARAMAIIIINDASMAIA >ORUFI12G03830.1 pep chromosome:OR_W1943:12:2612122:2622598:1 gene:ORUFI12G03830 transcript:ORUFI12G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECVLNIQTLPGEYFCPVCRTLICPNEALQTQCTHLYCKPCLAYIVATTQACPYDGYLVTEADSKPLMESNKPLAETIGKVTVHCQYHKSGCQWHGNLSDCITHGTTCAYGNSPVVCNRCSTQIVHRQVQEHAQLCPGLQPQAQAQHTDSSMMQSSATGTQAAVQGPSAVASAVPMTPVTAAPTTVPSTTTARAGTDSAIAVASAGSTTVSTVAVAPSLPVAPTAASQGQALAPQTPTAEQYQQQLQYQQYYQQHYPGYNPYMQQYQQYGQYQQYTQPQTQIAPQNVAQAPAQSAPYAQPQVLQPNQPQHMVPFQPQNQPHLTQLQAPAAQSQSQQHPPLQSAAQTQIVQAQPQSQVSFQQPQPHAQPTTHTPVPTQLGSQPFAMPPTQATPSEVQPHVQPHIPQHHQVMAQQQQPQLQHLPQQQHPNAQQQSYPQMQAYHQPPPMSHAQPQNPSVHAVTGHQSFSQPQPAHQMQQGAPLQRSLHVSQQQMPSAQHHALAHTPQGQQPTMMAQGIQQTPQHQHVGHHALRPEIYASIPPQAAPQGFPLNAPAPSQTGQSYQQGMPSSQQLMHAPLQSQGQQFMQQHPTHTSAGRSMNYVAPQEQFQNQSGGPVKGLQAGVMNQQPPMRMASDNVGATSELHGAGQSFGQGSSSLKKPTSESEKSENATNGTGNTEVSGKNGSAESALVNPISLDGSDGSDKGKGKGKVDFSAWESNSHDPDARGGKGTRSGISNDLVKGGSLQQAPQHPYGPDSMLPQHMRQPGHMPYMQGLPNQMRPPKHSFPENSRPPMQQPFEMAPRVPGPNQNQMQISQSIRPDGAIVRPPMGAPMPGLHDSTVPPFAPEYVGTKKNNSVGNGPHGGSRALFEGGFNSSQKHSKSCAANPGRNNVSHKDFEDNMKQFPVPTHLDGEGHQRGPRPFEGGLGRPDGFADILPGRPPLTNHPGPFPIGFGEDYPRKPNSTVSYPDFISPGAEFGHRGIDGIPTLRNAGPFLQGMTGGPGGLHKDQLGSSNFPGSGHHDFDNSEFPRTRFHPGDAFVPRNLHGGGWGGGQLHGIEPSDYGYRGHMHADDPNIPIDYSRHGFPKESAHFGSGGHLRDGDVSWCRICNISCGTVENLNIHVETREHHQHAMDIVLKMKQDVAKGRKNSFRNSGGPKSFKKKVPGKGSFRGNRR >ORUFI12G03830.2 pep chromosome:OR_W1943:12:2612122:2622819:1 gene:ORUFI12G03830 transcript:ORUFI12G03830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECVLNIQTLPGEYFCPVCRTLICPNEALQTQCTHLYCKPCLAYIVATTQACPYDGYLVTEADSKPLMESNKPLAETIGKVTVHCQYHKSGCQWHGNLSDCITHGTTCAYGNSPVVCNRCSTQIVHRQVQEHAQLCPGLQPQAQAQHTDSSMMQSSATGTQAAVQGPSAVASAVPMTPVTAAPTTVPSTTTARAGTDSAIAVASAGSTTVSTVAVAPSLPVAPTAASQGQALAPQTPTAEQYQQQLQYQQYYQQHYPGYNPYMQQYQQYGQYQQYTQPQTQIAPQNVAQAPAQSAPYAQPQVLQPNQPQHMVPFQPQNQPHLTQLQAPAAQSQSQQHPPLQSAAQTQIVQAQPQSQVSFQQPQPHAQPTTHTPVPTQLGSQPFAMPPTQATPSEVQPHVQPHIPQHHQVMAQQQQPQLQHLPQQQHPNAQQQSYPQMQAYHQPPPMSHAQPQNPSVHAVTGHQSFSQPQPAHQMQQGAPLQRSLHVSQQQMPSAQHHALAHTPQGQQPTMMAQGIQQTPQHQHVGHHALRPEIYASIPPQAAPQGFPLNAPAPSQTGQSYQQGMPSSQQLMHAPLQSQGQQFMQQHPTHTSAGRSMNYVAPQEQFQNQSGGPVKGLQAGVMNQQPPMRMASDNVGATSELHGAGQSFGQGSSSLKKPTSESEKSENATNGTGNTEVSGKNGSAESALVNPISLDGSDGSDKGKGKGKVDFSAWESNSHDPDARGGKGTRSGISNDLVKGGSLQQAPQHPYGPDSMLPQHMRQPGHMPYMQGLPNQMRPPKHSFPENSRPPMQQPFEMAPRVPGPNQNQMQISQSIRPDGAIVRPPMGAPMPGLHDSTVPPFAPEYVGTKKNNSVGNGPHGGSRALFEGGFNSSQKHSKSCAANPGRNNVSHKDFEDNMKQFPVPTHLDGEGHQRGPRPFEGGLGRPDGFADILPGRPPLTNHPGPFPIGFGEDYPRKPNSTVSYPDFISPGAEFGHRGIDGIPTLRNAGPFLQGMTGGPGGLHKDQLGSSNFPGSGHHDFDNSEFPRTRFHPGDAFVPRNLHGGGWGGGQLHGIEPSDYGYRGHMHADDPNIPIDYSRHGFPKESAHFGSGGHLRDGDVSWCRICNISCGTVENLNIHVETREHHQHAMDIVLKMKQDVAKGRKNSFRNSGGPKSFKKKVPGKGSFRGNRR >ORUFI12G03830.3 pep chromosome:OR_W1943:12:2613721:2622598:1 gene:ORUFI12G03830 transcript:ORUFI12G03830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECVLNIQTLPGEYFCPVCRTLICPNEALQTQCTHLYCKPCLAYIVATTQACPYDGYLVTEADSKPLMESNKPLAETIGKVTVHCQYHKSGCQWHGNLSDCITHGTTCAYGNSPVVCNRCSTQIVHRQVQEHAQLCPGLQPQAQAQHTDSSMMQSSATGTQAAVQGPSAVASAVPMTPVTAAPTTVPSTTTARAGTDSAIAVASAGSTTVSTVAVAPSLPVAPTAASQGQALAPQTPTAEQYQQQLQYQQYYQQHYPGYNPYMQQYQQYGQYQQYTQPQTQIAPQNVAQAPAQSAPYAQPQVLQPNQPQHMVPFQPQNQPHLTQLQAPAAQSQSQQHPPLQSAAQTQIVQAQPQSQVSFQQPQPHAQPTTHTPVPTQLGSQPFAMPPTQATPSEVQPHVQPHIPQHHQVMAQQQQPQLQHLPQQQHPNAQQQSYPQMQAYHQPPPMSHAQPQNPSVHAVTGHQSFSQPQPAHQMQQGAPLQRSLHVSQQQMPSAQHHALAHTPQGQQPTMMAQGIQQTPQHQHVGHHALRPEIYASIPPQAAPQGFPLNAPAPSQTGQSYQQGMPSSQQLMHAPLQSQGQQFMQQHPTHTSAGRSMNYVAPQEQFQNQSGGPVKGLQAGVMNQQPPMRMASDNVGATSELHGAGQSFGQGSSSLKKPTSESEKSENATNGTGNTEVSGKNGSAESALVNPISLDGSDGSDKGKGKGKVDFSAWESNSHDPDARGGKGTRSGISNDLVKGGSLQQAPQHPYGPDSMLPQHMRQPGHMPYMQGLPNQMRPPKHSFPENSRPPMQQPFEMAPRVPGPNQNQMQISQSIRPDGAIVRPPMGAPMPGLHDSTVPPFAPEYVGTKKNNSVGNGPHGGSRALFEGGFNSSQKHSKSCAANPGRNNVSHKDFEDNMKQFPVPTHLDGEGHQRGPRPFEGGLGRPDGFADILPGRPPLTNHPGPFPIGFGEDYPRKPNSTVSYPDFISPGAEFGHRGIDGIPTLRNAGPFLQGMTGGPGGLHKDQLGSSNFPGSGHHDFDNSEFPRTRFHPGDAFVPRNLHGGGWGGGQLHGIEPSDYGYRGHMHADDPNIPIDYSRHGFPKESAHFGSGGHLRDGDVSWCRICNISCGTVENLNIHVETREHHQHAMDIVLKMKQDVAKGRKNSFRNSGGPKSFKKKVPGKGSFRGNRR >ORUFI12G03830.4 pep chromosome:OR_W1943:12:2612122:2622819:1 gene:ORUFI12G03830 transcript:ORUFI12G03830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECVLNIQTLPGEYFCPVCRTLICPNEALQTQCTHLYCKPCLAYIVATTQACPYDGYLVTEADSKPLMESNKPLAETIGKVTVHCQYHKSGCQWHGNLSDCITHGTTCAYGNSPVVCNRCSTQIVHRQVQEHAQLCPGLQPQAQAQHTDSSMMQSSATGTQAAVQGPSAVASAVPMTPVTAAPTTVPSTTTARAGTDSAIAVASAGSTTVSTVAVAPSLPVAPTAASQGQALAPQTPTAEQYQQQLQYQQYYQQHYPGYNPYMQQYQQYGQYQQYTQPQTQIAPQNVAQAPAQSAPYAQPQVLQPNQPQHMVPFQPQNQPHLTQLQAPAAQSQSQQHPPLQSAAQTQIVQAQPQSQVSFQQPQPHAQPTTHTPVPTQLGSQPFAMPPTQATPSEVQPHVQPHIPQHHQVMAQQQQPQLQHLPQQQHPNAQQQSYPQMQAYHQPPPMSHAQPQNPSVHAVTGHQSFSQPQPAHQMQQGAPLQRSLHVSQQQMPSAQHHALAHTPQGQQPTMMAQGIQQTPQHQHVGHHALRPEIYASIPPQAAPQGFPLNAPAPSQTGQSYQQGMPSSQQLMHAPLQSQGQQFMQQHPTHTSAGRSMNYVAPQEQFQNQSGGPVKGLQAGVMNQQPPMRMASDNVGATSELHGAGQSFGQGSSSLKKPTSESEKSENATNGTGNTEVSGKNGSAESALVNPISLDGSDGSDKGKGKGKVDFSAWESNSHDPDARGGKGTRSGISNDLVKGGSLQQAPQHPYGPDSMLPQHMRQPGHMPYMQGLPNQMRPPKHSFPENSRPPMQQPFEMAPRVPGPNQNQMQISQSIRPDGAIVRPPMGAPMPGLHDSTVPPFAPEYVGTKKNNSVGNGPHGGSRALFEGGFNSSQKHSKSCAANPGRNNVSHKDFEDNMKQFPVPTHLDGEGHQRGPRPFEGGLGRPDGFADILPGRPPLTNHPGPFPIGFGEDYPRKPNSTVSYPDFISPGAEFGHRGIDGIPTLRNAGPFLQGMTGGPGGLHKDQLGSSNFPGSGHHDFDNSEFPRTRFHPGDAFVPRNLHGGGWGGGQLHGIEPSDYGYRGHMHADDPNIPIDYSRHGFPKESAHFGSGGHLRDGDVSWCRICNISCGTVENLNIHVETREHHQHAMDIVLKMKQDVAKGRKNSFRNSGGPKSFKKKVPGKGSFRGNRR >ORUFI12G03840.1 pep chromosome:OR_W1943:12:2624499:2625095:-1 gene:ORUFI12G03840 transcript:ORUFI12G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSLKVLNLSGCSLATANQLLLPVNLTNLEVLDASSNSFDHPMSASWFWNITSLQYLDLSSNRLYGQIPHKLMMTSLQFLDLSSNGDGDKNMGVMATDLSNLCSLNVLKIRWALLYGDITEMFKNLSSNCSPNQLKELDLGVNQLTGTLPKWIGQLTSLVKQDLRGNTSHSVKSNVLKLLGLVVQQSYGRNSIGNTA >ORUFI12G03850.1 pep chromosome:OR_W1943:12:2635541:2636551:-1 gene:ORUFI12G03850 transcript:ORUFI12G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDALWCVSSHDDGWLMHMDVFKPCIMACDNRHFLKNSFSNATIDIPCRFDRPINAFVVDNRYSTWPERFTLHKIIVCSPDLIVAASRLIDNNIVSFRPGIDTSWSVLPYDDDDGDHKRMYEDIALYRGKLYALTSNGDLLVHEIRDNNTLSRAELVIRGAAAEHPLSHLQWQYSIDDIVHQYRVISCKYLVISCSGTLLMFRCTISPMLGTSANVDDYEIKFKVFEADLEGGQWLEVKSLDGQVVFLSKACSKAILSFDHGDPRFGGNCIFFLGGDLTRQWGDIHTRITNSYVYQQKEGIPMYGVYDFRTSKISLSTLGQHRFSSMVQWFFPRM >ORUFI12G03860.1 pep chromosome:OR_W1943:12:2637592:2638027:-1 gene:ORUFI12G03860 transcript:ORUFI12G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLKIMLPFANLCAIPVLRKMARDGGRRRGVLRGASWKPPRRSLTSPSPTWARPSTSRFGAYHPESECWAAPLTTTPDGDDTTAATATKVTQRWRQQHASSHLGEERRCSNRLPKIFYAKRPPYPSTGAVPPK >ORUFI12G03870.1 pep chromosome:OR_W1943:12:2639043:2641794:-1 gene:ORUFI12G03870 transcript:ORUFI12G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRNFEPLFTRTAPCLKLPNICADVTSYIHTGSHANGGGGDGAAAGKPLLRLPSHADRVRFGSVCRQWCHAATTAPPPLPPALPWLNFHDGTLQSFPGGERRRFSRLNRHTICAGSTAAGWLLFRRPGHGPRRRHYLKNPLTGEVVRLPGHCHCGDPARLRRQHPPGSPTAYFYIRKVIVCGGGGLVVARISYSHDNADDVVACCRPGTSPSWSAGPWEGKGYHDMAFHDGKVYTVAGRGDLFAHEITTHRKTKQPMVSDLATQIIQVGLFESFLLDGTYAAVRCKRLHYLVMSKQSNGLMFISRAWVMRRSSLVQAAPWQFVRRAMEVMWKQFVFAAHSRGAMGLCFGIDTRSSPPPSPPHPRPQPPPPGSSDLPTDVAILPSPPHPRPPPPPCWSDLPTDLAGQWRLGALRQHPLPPPPPWLLILRSPCVYQSLPDGELRPVPGANSSRAIPYFSSYDDGWLLEYQCFGRRDRIRNPLSRAAIDIPRCFDQRIRSLDYFLGDNDGSHSINPAEYTLPKIIVCSPGLVVAAVVHSTCIAAFRPGIDHSWSVISGDEVMSTTGGDDDDDDDDDYPWMRRKYEDISLYRGKLYALTSKEELLVHGIINDDDIDTVSANAVLSRAEHAIRAVHHHPLTLLERIQNFSSDESRYLVISCSGKLLMIRCTTKYSPDGSSSMGGTTIKFKVFEADFWRVVSGWR >ORUFI12G03880.1 pep chromosome:OR_W1943:12:2643384:2643881:1 gene:ORUFI12G03880 transcript:ORUFI12G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLLFRPRKPASSSSVAAVADGDLLERDVLWPASSAPGLLAALPDDEGSKKKKRAGPAAVRSASRPVPETAPLTPTAGAARSAPVRIPSETAAAAGRRGRWAAAQSSVGGEDGDAAMVVPPHEVVARRAAAHSSVLEGAGRTLKGRDLRRVRNAVLRRTGFLD >ORUFI12G03890.1 pep chromosome:OR_W1943:12:2654313:2655004:1 gene:ORUFI12G03890 transcript:ORUFI12G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSGGGGGARIVSDPAATPGFRFYPTEEELIGFYLRHRLAGTRADDVARVIPVVDVYGYHPSQLAALAGEASARDXSPPPPPTTTTTPLAWPCSCHQWRRRRRPPRANELSVCRVYVSTGTLRSFDRRPLDAPPVISHHQPQLQQQQRQLPSSAAAAATNGNLIALAGGYECSHDSSGGSSEDAAIDWSSLITAATDSATAAVDFSFNDDIDFSPAAVGPWAPQL >ORUFI12G03900.1 pep chromosome:OR_W1943:12:2664731:2666215:1 gene:ORUFI12G03900 transcript:ORUFI12G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDHSGGGGEQRQCRRGFAVDVVELGAALALADMAGAAVKPAMQLQLQPTAAQEEDEEMATTRLSLQLGNNVGSIIQSSSCSSSGSSAGLPAPPPPPPPPATAYGTKPLHMLTEEEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSTQNESMKKERETVMQEYLSLKETNKQLKEQAQHHLSLSLF >ORUFI12G03910.1 pep chromosome:OR_W1943:12:2669767:2672980:1 gene:ORUFI12G03910 transcript:ORUFI12G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAPATTAGAGGKVTPNLAMDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIELNQWSRKDVEGSLFVVKRNKQPRFQFIVMNRRNTDNLVEDLLSDFEFELQPPYLLYRNAAQEVNGIWFYNQQDCDAVAGVFGRILNAYAKVPPKPKVPSTKSEFEELEAVPTSAAIDGPLEPSSSSTVLVSNAPDESLTDYFSGAVNVGNVSITPMVGRTHQPIESVASSHVPLIIPSAAPTHQMGVPSGAASAPPLPVIDTNVHSSHSTNLVTPAFFAPPSSSSASLVAPASSFMPTASPFHPTSADAHRPAYGHGTPLLQPLPPPTPPASLTPVHNDEPVISRDKVKDALLRLVQNDEFINLLYQELQNAHA >ORUFI12G03920.1 pep chromosome:OR_W1943:12:2673668:2674651:-1 gene:ORUFI12G03920 transcript:ORUFI12G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCSAAAVSLDGAVAGATTTTAIEDIPGDVLSLVLRRLDDGASLAALGCACSAFRELAADAETWRGLCLATWPSLRDVDGLDECGGVTGGGGYRALFADAFPLPAEARGLVPSSASLLPARLVSAVDLHHGEVCLMSRVVETDASSEWFLGSPFRVDALVQEGFSAPAPITPAELTLSWILIDPATGRAVNASSRRPVSVDREWLAGETVARFTVVLGGGVVALDAAVTCDDRHGHVREVSLRAEDGDGGGVSGRGVLAAVAAAMEGARHGRGAEAAAWRRYEAFARGRAARKVKKARRDGAVDFFCSGVAAAAFVGVLSTLTLR >ORUFI12G03930.1 pep chromosome:OR_W1943:12:2684368:2684745:-1 gene:ORUFI12G03930 transcript:ORUFI12G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFQICAQVWLAKSLLADGLAVASQALLASVFAKKDHYKVAVTTARVLQLAVVLGVGLTAFLAAGMWFGGGVFTSDAAIISTIHKGVPVRWSS >ORUFI12G03940.1 pep chromosome:OR_W1943:12:2694286:2694837:-1 gene:ORUFI12G03940 transcript:ORUFI12G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFQICAQVWLATSLLADGLAIAGQALIASVFAKKDHYKVAVTTARVLQLAVVLGVGLTAFLAAGMWFGSGVFTSDTAVISTIHKGVPFVAGTQTINTLAFVFDGEWRGMASIRIG >ORUFI12G03950.1 pep chromosome:OR_W1943:12:2701092:2708326:1 gene:ORUFI12G03950 transcript:ORUFI12G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGALMPSPDETCCVGGGGGSSFSSLRAYGRALAQTPRRLAARACAAASPGEEMSRVRARSGADMARALRWPDFVGLGLGGMVGAGVFVTTGRATRLYAGPAVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGELAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIAVPGLPKGFNEVDLVAVGVILLITVCICYSTKESSSVNMVLTAVHVLFIMFVIVMGFWRGDTRNLTRPADPEHNPGGFFPHGAAGVFNGAAMVYLSYIGYDAVSTMAEEVERPSRDIPIGVSGSVVLVTLLYCLMAASMSMLLPYDAIDTEAPFSGAFKGSSGWGWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVNPRTATPVNASAFLGVFTAALALFTELDILLNLVCIGTLFVFYMVANAVVYRRYVAAAEDDEEGRRRGAVPTLAFLLAFSLVALCFTLVWKLAPRGGARTGLLVACGAAAAAAVAAFRALVPQARRPELWGVPAMPWVPAASVFLNVFLLGSLDRPSYVRFGFFTAAAALVYVLYSVHASYDADEAAAALDGAKVLDEGCKV >ORUFI12G03960.1 pep chromosome:OR_W1943:12:2717333:2719225:1 gene:ORUFI12G03960 transcript:ORUFI12G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWFHYHPLCQRTKALTLACSGIYFATRAFCDKPNHRIVHKDKNLVRVKRDLIDAFTLHELLQLCAKRRSLLVGKSCHGLAIHFGLVTDTVTCNILINLYTKCGQNDCARRVFDAMSVRSIISWNTMIAGYTHNREDVEALKLFSRMHREGTQMTEFTLSSTLCACAAKYAIIECKQLHTIAIKLALDSSSFVGTAFLDVYAKCNMIKDACWVFENMPEKTSVTWSSLFAGFVQNGLHEEVLCLFQSTQREGMQLTEFTVSSILSTCASLALIIEGTQVHAVIVKHGFHRNLFVATSLVDVYAKCGQIEKSYEIFADMEEKNVVLWNAMIASFSRHAHSWEAMILFEKMQQVGIFPNEVTYLSILSACSHTGLVEEGRHYFNLLLSDRTAEPNVLHYSCMVDVLGRSGKTDEAWKLLDKMPFEPTASMWGSLLGSSRIHKNIRLARIAAEQLFRLEPENGGNHVLLSNVYAASGNWENVVVARKYLRDSGAKKEMGRSWIEAKGKIHVFVAGEREHPGITDVYNKLEEIYHELRKISHRANTQCDLHDVHADQKEELLKHHSEKLAFAFGLISLPPNIPITIYKNLRICGDCHSFMKIVSCITERQVIVRDINRFHHFKDGSCSCGDFW >ORUFI12G03970.1 pep chromosome:OR_W1943:12:2723298:2724272:-1 gene:ORUFI12G03970 transcript:ORUFI12G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHPLAQGHPQAWPWGVAMYTNLHYHHHYEREHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLGGGDSGEKGLLLSFEDESGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDVVHFERVRGLGAADRLFIGCRRRGESAPAPPPAVRVTPQPPALNGGEQQPWSPMCYSTSGSSYDPTSPANSYAYHRSVDQDHSDILHAGESQREADAKSSSAASAPPPSRRLRLFGVNLDCGPEPEADQATAMYGYMHHQSPYAAVSTVPNYWSVFFQF >ORUFI12G03980.1 pep chromosome:OR_W1943:12:2727886:2734325:1 gene:ORUFI12G03980 transcript:ORUFI12G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGEVQMISRLELFFFLLFWRTEERLTDVIEKGRGGDDGREKLQQQQLVLFTAAPPRLLLLPHRNSGLSTTKTRRRRHAGCRRACCNWLGPGAGVHVPPRMLMHDTRLVGSCQLDACIGYVRHTVLVLLLLSLCCCPISNLNAD >ORUFI12G03990.1 pep chromosome:OR_W1943:12:2730464:2733590:-1 gene:ORUFI12G03990 transcript:ORUFI12G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDKEAVSGGGRQPAVEADLAHAVGGGGIPSSRRRRRASSGRRSAETNPAASGGGGPRLGSQRRRRASHRRMVAEANLAAGGGSGPRLGGWWRRRTWQPAAEAGLAWAAGGGGEPGSRRRMRASLGRLVAQTNPAASGGGGPRPGGGWRRRPRQPMAEATNAELGLQAAGASLHADYPPCISYLTFAASFVDPRPCHDTESEILGTVSTDIHATSGDGLVLVCFCDSRNHVPTVGSRGGELMREWSARRQSRERDSAGAWSASASIVGRHCLNHRLPRRASPQPPAARASSASAVDRLSACRTVPVGKEKKTRRSSSEKDQLLLLLR >ORUFI12G04000.1 pep chromosome:OR_W1943:12:2738544:2742571:-1 gene:ORUFI12G04000 transcript:ORUFI12G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAQASASSTSSPRFSMASRAFSRQELDDLRALFVSLAAQSQTGGRAISRPVFLEYFGIRGALGDRLFQLVARESGGGEGVTFEDLIVSKATYERGTRDEVDEFIYQLCDVTGDEVLERSDLETILASIRETIFSENKEAGEGSNKRTFEAFINSAVFSKDAEGVARKSMSLSDFRSWCILIPSLRKFLGSLLMPPDSGRPGFQVPALHYLENISPDLLLLNKEYAWHIGGGFSQQEVQEWRLLYHSSLHGQSFNTFLGNVTNGDAQTVIIVKDTEGFVFGGYASHPWERHSDFYGDMKTFLFKLYPEASIFRPTGGNRNLQWCAVNFSSDSIPNGIGFGGQPHHFGFFLSANFDQGHSFTCTTFTSPALSKTNRFRPEVIECWGIQTKGALDEKAELVKGTVLERFKEDRNMLKLVGLANASD >ORUFI12G04010.1 pep chromosome:OR_W1943:12:2743728:2744836:-1 gene:ORUFI12G04010 transcript:ORUFI12G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSGGCGISAYATTRSPARYLTCSAIAPPSTSRTSTTTTSIVGGVPRWLDALPNLMVLRLSHNSISGHIPPSLANLTKIVQLEFDQNLLESSIPDGLPRLPALRMLALSQNSLTGEILPSFFNMTSLRGLALANNVFRRRRTLPRRASARARETMRGAPAPGRGSSAGAGRPSCQSRTPTDDGGAWEFLDNLTNCNALAEIFLDGNMFAGVMLSSVVVPSAIGRLATSRSYSSST >ORUFI12G04020.1 pep chromosome:OR_W1943:12:2743771:2744798:1 gene:ORUFI12G04020 transcript:ORUFI12G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTTTELNITPANMLPSRNISAKALQLVRRRRHRSAYGSDKMDAPLLRSFPSLGQALLSSSPAPARMLSAAASDALVEIKPGEISMVSGIPKEHLRRKVLLWTYSDLCSVDRFASPRRSVWESLEMSRFGGFFWLLLGLGIEPGELGRNVLVRARPRQSARSSLRNTLFASARPRNDVMLKKLGRISPVRLFCDKANMRSAGRRGKPSGMELSRRFWSNSSCTIFVRFASDGGMWPEIELWLSRSTMRFGNASSHRGTPPTIEVVVVEVRDVEGGAIAEHVRYLAGERVVA >ORUFI12G04030.1 pep chromosome:OR_W1943:12:2754739:2756708:1 gene:ORUFI12G04030 transcript:ORUFI12G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNGNGGGGGGSTRGARLELQLNLSPPAVGMEVDGNDDSDSSSPTSCVSSDGRSSAGGSPGDKSPMVIGACTRCLMYCMVAKKDYPTCINCKQPSLVDLLQNQDAAAADADADKKRGGKRK >ORUFI12G04040.1 pep chromosome:OR_W1943:12:2783484:2786886:-1 gene:ORUFI12G04040 transcript:ORUFI12G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTGGRPGGGGGPALQRLRRHAAGKVASRSLARCREGARRRGRQAAGQRHSGRQGSNESTTQSQPTVTVIEDLKLEIVRWI >ORUFI12G04050.1 pep chromosome:OR_W1943:12:2790473:2796302:-1 gene:ORUFI12G04050 transcript:ORUFI12G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDVAIQAVGPTTSPSSSSSMIHRQCRRIFLDYTSLFSGNCVLLRQFSLYAVLAPRPSWRPSLLVSSDIGPPPPHRRGSSLSSSARSDSIRFGCQQVGGAPAAAARVLLAVDVVSSLTAAVASAVRVASGSHRVGHHRRGRRQAQIRPRQRPRRPRRGSAVGGGGDVAAGEPLQWAAAATSVGFHPVGRGCDVAPAPAAASPRAPATAPPAAPASSSPPAPVVAREEK >ORUFI12G04060.1 pep chromosome:OR_W1943:12:2796326:2802461:-1 gene:ORUFI12G04060 transcript:ORUFI12G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGATETTSGARRRASPESADSSLETEDSRRLRPPDSPERTAARKSSSEEEEEGSCDIEREGGRRRGERERGDAGAGGDRRRGGAVTRPRGTGAPVPAVSSSAWAAAACSHRVTGSPPTPSAIGTWPQVSVPGRWSAASSTSRISRCLRLVAGSLICLELPVHTAGHRPRRLHAAIFCRLLQLCCWSPPHNPVDRATSRTVSPDTWHFRIPGFDSHQPMRRTSYLRTRVLVPARQLGAWAVLSCTLTREPGHATRRARPLNVSPSTGDATLYPPFAAYSSNKDERLPRLARTTSRDGCIGLRRPPPRRHLCVSDIGIDFASTSSSCPRIIGLITRSSARLRQHLAANRLRLRIYAIKLRVAAASPLGPQCRCPWSTPPLVVAVLRGALLSMATSSVDFSSLHRHGAAAVLSSRTAASPLCRLHRRSRIDNLVVRTGHRQPLRVFFLNFEHRRRISKLPLSPL >ORUFI12G04070.1 pep chromosome:OR_W1943:12:2801575:2805418:1 gene:ORUFI12G04070 transcript:ORUFI12G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGHIPASLCNASLLRVIDLSSNNFTGHIPNSFGRLSGLSTLNLETNKLEARDNQGWEFLEALRSCKNLNVLSLADNLLFGDVPNSIGGLSINLTILLLGGNNLTGIVSLSIGNLQGLITLGLDFNGFTGTIEWIGNLRKLQVLNIQQNNFIGTIPSSISDLPQLTKLYLRNNRFEGSIPPTLGKLSQLSSLNLSYNNLQGPANPPRRRSPPAPASPLSLSPLLLPPSLSMSQDPSSSSSELDFRAAVLSGLSGGRSLRESSVSSEESADSGDARRRAPEVVSVAPPTILARSAPCAQPGAWSAAVRPAAAAAAVAQPPPSAAAAGRGLRSIVVRPGMARAGSPPAPPLPQSPGRARGLLSVMVAPASARFRNLKQGQSGRRDGSPPPPQSGQGSSGGRGGRDAVAAAVLKGAADRSAASCHPAQRRGRLAAPVEASQPSAAAEAAMARRRFRRAHARRRRQQKVIKLKSGKAKLSPIRSCCRWQPRRRQTSEPVLVAKEVSPLRSLSQDSLAGQGSRALVSSAGSVPEEDSAPTPKGDTACQSPLSPDEAQDCCWRTDVVSVVEACDPMLEEASGFFRCSHMHSPIGPRSLGPLPVGLSAQATNLQAEDKFSSPRVVGPQLVALSDGPAGVSAQHDDAPSLHGTVPDGPSPVEAVLPVTVLPQVVVPASSQGSPSSSPNEVSPLVEMAASATVLPSVPPLASSPAALLQEAPPTPGLLALSGDAAGVVPVEPMVVQSGTPFEVSGVVEMAPITPSTLITFSRRAKKSLPPALLPPPLPQQPLPLPSLQTPRRSERQAQQAMYGAPSISRCQSVLAKKMGEKTSDPPVAPPLVSCTLRHYNELFEKELSQDQLMALADLFGFCLPPPPASAVAIMPRQAA >ORUFI12G04080.1 pep chromosome:OR_W1943:12:2812264:2816346:-1 gene:ORUFI12G04080 transcript:ORUFI12G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRSKREGAPPPAAAPQAITSPSVPPLLLPFAMPGSYPPGAWFFSPTMQGHAGSSTPGLTTPQQQVEYSEIGNFKERCQLMQWILVWLE >ORUFI12G04090.1 pep chromosome:OR_W1943:12:2816839:2822156:-1 gene:ORUFI12G04090 transcript:ORUFI12G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHTNMWGPRGPTPTQLPRRIKPGVLFLLHPGDGKFVCGKRNQRDEFFLLRNRLLTPYTRPKKEAEREPPCPGLGRSNLGWGPLIYYTW >ORUFI12G04100.1 pep chromosome:OR_W1943:12:2823757:2824143:-1 gene:ORUFI12G04100 transcript:ORUFI12G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNVLKRNHVTDIVATFFQGAEFDPVKALGYASVSFRRALWVHVSFLARRRSSPVKPADEAAGAAADDDDPDVKRGRKRRSRNKRNRAPPESPDKQFFAELRYDDYNSATVVTCTIIDKSRLMLRIY >ORUFI12G04110.1 pep chromosome:OR_W1943:12:2832859:2833875:1 gene:ORUFI12G04110 transcript:ORUFI12G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGLTSLFSRLAVAAADSPSCAKNPPHTASFRGFYYVDEPCTTAGGGGGGRSPAAGRLRKGGDEMYKTVNSVFFDDSADAAHAVADGCAFSGEDDDDDDRFSTTTAADEEWSEAVIRSLGRRTSTDRFFFDAGPGRPAATNSILATVRPRRPPPPPPPPPPAEEEKEKAAAEAAQLPGKSSSTSSSQLVEESVAVAVESEDPYGDFRASMEEMVAAHGLRDWDALEELLSWYLRVNGKHNHPLIVAAFVDLLLALAAAPSSSSSDTTTTTTTAATTTTSDTSCSTASTSTTSNGATSVTAAATAAEQCGGGGGGGGRLLPPAAPPLTMTMKRSRP >ORUFI12G04120.1 pep chromosome:OR_W1943:12:2845728:2846455:-1 gene:ORUFI12G04120 transcript:ORUFI12G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAASRARRGGGGGGGRHFPVGGGRWRHVPVVDTGCGCRPRRPRQLLMSLPSFLRPSALKPPVPRSTSSHSSSFFPSSASTASFSSSSAATYTILSLCLLPRVGRFASTGGEQRWWLRGCAAAAAAAARRRPSRKKRYEKMAAAEEEEDVGVAVEKESSDPRADFRESMVQMVVEMGLCGWDDLRCMLRRLLALNAPRHHAAILTAFAEVCAQLAAPPAPAPPPPPQPTAAYHYHYYHY >ORUFI12G04130.1 pep chromosome:OR_W1943:12:2853801:2855323:-1 gene:ORUFI12G04130 transcript:ORUFI12G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEHFMALIRLIIGLPRPETQQNLWTKFYNTRICSLSGCSTSASSHGNKTCKPLKQQQQQQNEIKTLV >ORUFI12G04140.1 pep chromosome:OR_W1943:12:2857492:2861532:-1 gene:ORUFI12G04140 transcript:ORUFI12G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNHESREREREAESATHSRILERSSPNISPDRNAACLTGSGIRPEKRRKRSGGEAGKGEMEEGWKEAVASLWRDDDSRRRRKKFGRRDRVGVEFRRAESFASTRSVSGPQASLGSHLVGPTSRTLPLPLGVASTGAYLGTIVFPVLMRFKHHRPITELLAEKIPSWRLKMLAFGKETDQIKPEKILTCERILRINACHDPTTTSHECMESLDAASCPRRPRRTPYPTWWTTVWRGGHDGRWAEPRRTVEATGCAEEDTRGGARQRSWGGRRPVGCAEEDGEGNGGDD >ORUFI12G04150.1 pep chromosome:OR_W1943:12:2861155:2868698:1 gene:ORUFI12G04150 transcript:ORUFI12G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGELLSRILLLLFGYAMPAFECFKTVEARPNDAHMLRFWCQYWIIVAMVIAFESLISWMPMYGEIKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEQRLLHLRAKSGQLLSFYMKNFADKGTAFFMDVLRYVVSDKPEGSNQEDSDAQPAAAACSVVGKKNHPAGNVGGQFLPDDPQQRNKKSGGWSPFATKRRPPSPPRPPQESLFESNPEAAAVAEVLKATINPRPRRGAQNGKNYY >ORUFI12G04160.1 pep chromosome:OR_W1943:12:2868422:2868943:-1 gene:ORUFI12G04160 transcript:ORUFI12G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAAATGMTESSSPSSSPSPPRKFRRVRSPLANGGAAGDFELRHWRTPPKRARSSAAPPWAPPEIEIPCGGGEAAGRGGGYTSLRDILMSPGYAASCSPAACGGGGGGGSCGDIHMIRHPLVKHAAYAYLQMTPSARDDPGRRHRRRWRGPLCRLLLGCLSFIGALFRP >ORUFI12G04170.1 pep chromosome:OR_W1943:12:2873662:2878283:-1 gene:ORUFI12G04170 transcript:ORUFI12G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGSSEVAARVLLQRYQPFAPPPGEYHQFGSGGAAAAGDMTEAVLIRTPLKRKHDREENEAAESNDWMMSPGYTNPAGSPVPTPLSGKGSKAFAKSKAAKGQKSCPQTPLCASSPGNPVTPVGGCRYDSSLGLLTKKFLNLLKGAPGGIVDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQADIEALSLQEHSVDQQISEMRDKLRGLTEDENNQKWLYVTEDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSTMGPIDVYLVSQFEEMSGMETPPRTVQPVSMDSLENPRTPLAAEPNKAAESQPNIQDGLLMPSDAPSSSQDIGGMMKIVPSELDTDADYWLLSDAGVSITDMWKTAPEVEWEGIEKFNAEDFLEVSTPRQQDKPSSDIMDGDSCIS >ORUFI12G04180.1 pep chromosome:OR_W1943:12:2881196:2884820:-1 gene:ORUFI12G04180 transcript:ORUFI12G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGNQERTCCGSLFTFIVTGGFVVLIYWAIFQPHHIRATVASADLTNLTVAGAAVSYKLAVRLNLYNPSLRVNIYYDELDSELRFRGERLAHATGATPAEFYQRRKSSDDVTFEFAGTGVAVAGDAAGELGKEKGKGSVSLEVAVDGKVRYRFGSIKIRQKPRIWCSLTIPVTADGGGRLDSGDRCSVNCLCAFLVCIGVAVLIYWATYQPHRIRAAVESAELSNLTVVVRNGTADGGGSGGVVYYRLAVNVTMYNPSGRAGVHYDAIRPRLLLLLAGGASLGAANATVPGVFHQPRMSTTVVAIDFDRSGGGGVAVAGDVAAELDKEIKGSGGGGEVGFEMVIDARVRYKLGFIPIRARPKVRCPVRIPVKAERRGGGGGGGGVTGFLRSGDRCTVKY >ORUFI12G04190.1 pep chromosome:OR_W1943:12:2903140:2906285:1 gene:ORUFI12G04190 transcript:ORUFI12G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIVASVMESLLLGDPSMERLRLFMLGATPRCRQGEGANPTAAILLAGGRRPRARLLGCSRAQPAGAVRRPPLRCHRGDGVNLAATIRFGCRGIPARAKWLKAGRTTSLQPGSASEHVAASSAAAVETGLEFSKVDGEAHAPAPLCLARHQHKDALNRIAVAGGLDLDPKRSGVEIQKSKSKSKICMLQD >ORUFI12G04200.1 pep chromosome:OR_W1943:12:2911975:2912664:-1 gene:ORUFI12G04200 transcript:ORUFI12G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDCGKHGDDDFRQGCRRFITVLVVLAILVGIIALIVYLVLRPTHPRFYLQDATLRQLDLSNSSSTAGGVLSTTIQVTVASRNPNDRVGVYYDRLDVYASYKYQQITVAASLPPVYQGHGDVDVWSPVLAGPSVPFAPYLADAISQDCQAGYLILQVKIDGRVRWKVGSWISGHYHLFVTCPAFLVTAVTCPAFPRHRRRQWLPRRQRLQVPDYHLLPRRGLAKLVML >ORUFI12G04210.1 pep chromosome:OR_W1943:12:2918939:2925094:-1 gene:ORUFI12G04210 transcript:ORUFI12G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAELPRVMDALARRASTLRDALGRSQGNTESTVAILGSFDHRLSALEAAMRPTQVRTHAIRMAHENIDKTIKAADAILSQFDLARRAEATILRGPHEDLEGYLEAVDLLKSIVCFFASNKSLKNNDGLLNRVNNILSKSALKIEEEFKQLMTTYSKPIEPDRLFDCLPKPPRAPKDDNDADGGHTHSEHPSKGLETGICRTPTLMPPRILPLLHDIAQQLVQAGNQQSCYRIYRDSRGSALEVSLRKLGVEKLSKDDVQRMQWEALEAKIGNWTQFMRIAANRLCFNQVKLLLAGERRICDQVFDGINFNKDQCFAELAGSSVLTLLSFGDAVAKSKRSPEKLFVLLDMYEVMHELQPEVEEIFEGRFCSEMQEAALGLTRRLAQTAQETFADFEEAVEKDTSKTIVQDGTVHPLTSYVINYVKFLFDYQSTLKILFQEFETGSETESQLAVVTMKIMQALQNNLDGKSKQYKDPALTYIFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRVVQQNANQYKRVAWAKLLKYSNDAYSNLLIADQNGQLVLSQLKVHLKPTAEILQTLSVQATGSSPAELSSSGVSRAMIKERFKSFNMQFEELHAKQTQWTIPDQELRESLRLAVAEVLLPAYRSFIKRFGNLVEHGKNPNKYIRYSPENIDQALGEFFDGQQFGEQKR >ORUFI12G04220.1 pep chromosome:OR_W1943:12:2928237:2928647:1 gene:ORUFI12G04220 transcript:ORUFI12G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKWRSTSSWPPMLPVIMMAMAILAVSFNGAAAQPPPDTNVLCVSKCGTCPTVCSSPPPPASGNYNPVLSPPKGTGSGSVGGSSSSPSAPLAKGGQPGGSNYYYFFTSGGSSHGCAAALLLPPLVSLAVAALSQ >ORUFI12G04230.1 pep chromosome:OR_W1943:12:2935966:2937823:-1 gene:ORUFI12G04230 transcript:ORUFI12G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESYALEMDDLHRRWLPKEILDDIGFADDGDAPPPPAAIEGLAVHLAGILGSGARKAAAPPPTAAASPASYHNQLHRVPVCGQVLVAYGGAAGWPFTPYSSPAQWQVAAGLVNGGAVDHRRRLGSPPPKMRGGGGGGTGVFLPRTYVYHAKEESPAPAATKASSRDGKASNDLLVEEQQKQPGQGEEEEEGSPAAKAKIEQQCPPPMTGTASSEVTRVRPNAAAAAAAAALPELAALPQEWTY >ORUFI12G04240.1 pep chromosome:OR_W1943:12:2941360:2946829:1 gene:ORUFI12G04240 transcript:ORUFI12G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTAALAAVAVDTGACSMKCPSGTGHALCGCGIGGQARRSARSVPKAVQKDEMFDKVVEAALDCRNVQEWEEDVWRSSSVLSIYHQRGTRGYKQAAFKSKDKFYGSSSRVPAMTLSKKAKQSSTFDHKNGTTGLRSSIEAKKRFSYLVTSKRKCACKKSAAALNIMDGSTVASAEKHKGMASGQSSSDCSRKAIVAKKLPEDQNQDLPYDSGDSNFDRSELNRNNWSEKEKSKYVQAMSINRKNFTMISRYLGTKSIKE >ORUFI12G04240.2 pep chromosome:OR_W1943:12:2941360:2946829:1 gene:ORUFI12G04240 transcript:ORUFI12G04240.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTAALAAVAVDTGACSMKCPSGTGHALCGCGIGGQARRSARSVPKAVQKDEMFDKVVEAALDCRNVQEWEEDVWRSSSVLSIYHQRGTRGYKQAAFKSKDKFYGSSSRVPAMTLSKKAKQSSTFDHKNGTTGLRSSIEAKKRFSYLVTSKRKCACKKSAAALNIMDGSTVASAEKHKGMASGQSSSDCSRKAIVAKKLPEDQNQDLPYDSGDSNFDRSELNRNNWSEKEKSKYVQAMSINRKNFTMISRYLGTKSIKE >ORUFI12G04240.3 pep chromosome:OR_W1943:12:2941360:2943816:1 gene:ORUFI12G04240 transcript:ORUFI12G04240.3 gene_biotype:protein_coding transcript_biotype:protein_coding METTAALAAVAVDTGACSMKCPSGTGHALCGCGIGGQARRSARSVPKAVQKDEMFDKVVEAALDCRNVQEWEEDVWRSSSVLSIYHQRGTRGYKQAAFKSKDKFYGSSSRVPAMTLSKKAKQSSTFDHKNGTTGLRSSIEAKKRFSYLVTSKRKCACKKSAAALNIMDGSTVASAEKHKGMASGQSSSDCSRKAIVAKKLPEDQNQDLPYDSGDSNFDRSELNRNNWSEKEKSKYVQAMSINRKNFTMISRYLGTKSIKE >ORUFI12G04250.1 pep chromosome:OR_W1943:12:2951718:2958950:1 gene:ORUFI12G04250 transcript:ORUFI12G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEARWSYAVFWKAIGAADPVHLVWEDGFCGHASCSAGSEASEAGCESGGAVCTLVRKIMASQVHVVGEGTIGRAAFTGNHQWIVHETANDHGLRSEVAAEMNNQFRAGIKTIAIIPVLPRGVLQLGSTSVILENISSVQQYKKLCCQLNNRSSMVASASAKNDLSQKVQSRSLHGLPSIHPYEQCYGHDARALSSSTSANTGRNTSLLKVAQRNDQAIREQVLYAPDMRFRQQLPYSDRRVDINTHSSAMSSGFISSISASVEKYPLLTNNIGQVEHGNMEESSGPRNVLLKSLSCRNPVVHENTNTSLFHGGDEVPAFLNSHGSFDFLQAGPRVVEANLYNNGTSSQVLDQRCSSTSGMAGYKPSVSYKFPHSAQFIVKMENPRRQSFQDPAAPSSGSDVQVSSGLKTTTRQFNPEHMCQNKKTNEVNDSSAAVSTQDVKNMDRHKILDISNERTSSFLMDPSTENDLFDIFGTDFHQLHRSLDGDLSWNTAKPQSSDRDAPESSIYLDSSPAFGAQEDEFSYSGIFSLTDTDQLLDAVISNVNPGGKQISGDSASCKTSLTDIPSTSYCGSKETKQCKSSGAPPLLIKNELAVSNFVKQPCFLEKAEDGCLSQNNGVQKSQIRLWIESGQNMKCESVSASNSKGLDTANKANRKRSRPGESPKPRPKDRQLIQDRIKELRELVPNGAKCSIDALLEKTIKHMVFLQSVTKHADNLKDSNESKIHGGGENGPLLKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMLCEDRGIFLEIADFIKGLGLTILRGVMEARKNKIWARFTVEANRDVTRMEIFLSLMRLLEPSCDGSGGGVGDNPNNVKIPPGIVQHPVIPATGHLR >ORUFI12G04260.1 pep chromosome:OR_W1943:12:2957857:2961716:-1 gene:ORUFI12G04260 transcript:ORUFI12G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYFTGGGAGTDVVQAAGTDGLQTLYLMNPSYVGFTDAAAAPGGGAAAANMVFLNSAVSTLTPASFSRHHQPTPAAQHFVGIPLQSGYNLWGPDATGGNDVSPPRHGAQQQAPAAAGTSAAAVSPVLSLSSREAAPPVTVAAAAAAAAVPGGTDQEKVVMRSRYLKAAQELLDEAVSVSKGAATAVKKKEDSEGGVSGGGGGAEDGGGSKSGAAAEMSTAERQELQMKKSKLLNMLDEVEQRYRQYHRQMQGVAAAFEAAAGAGSATTYTSLALRTISRQFRCLRDAIAAQVRAASRGLGEDCGDDEGGGGGGRTTVGSRLRFIDHQLRQQRAMQQLGMVHAAAAGGAAGGGGGGRLRPPRLALRAFPPPDSDKVMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYAEETKAKEEEEEEHDAAAAAAGDRGGVAEQAPSKPDDSAGIGMSSSSPAVAASRSVGVHAGDQHAQASFYGGGGGGGDDPFQCRIKKARTTTADEPAAAAAFHVSGEAAVSHRELLMKFTEAGGEGVRTGHPHVNDDDDDVPGGAGGYSLFTAAQYGHQFGSDHFAFAGHGGGGGGGVSLTLGLPHGADQTPASFLIGAGAGSDGGGAPVTTAGYDMNMQSTKSLAAQLMRDFVA >ORUFI12G04270.1 pep chromosome:OR_W1943:12:2961811:2984799:-1 gene:ORUFI12G04270 transcript:ORUFI12G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETGDDVASLTYGVHMGPTLTHAPSRIKPGPPIKHSPPSSPAKGRRRRKREEEETHSYSNIQYLARVPAQSLRQIHSFVIGIIH >ORUFI12G04280.1 pep chromosome:OR_W1943:12:2985518:2986480:-1 gene:ORUFI12G04280 transcript:ORUFI12G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAEVGEDAGAAGEAKGGREIPVAEEEAVESGAAEDVGSEGHIKVMDGLVDEDEILYALGGDEARPLGMHQSNRSWSTSREEGSSTASSSPAGSEESGASVAPIVKSLHGLDVDRQNLPRAGLSGVDGEARVCAGVDGARRERRKTAPRRDDLKPKQTRKTHLIFPSLRSLESP >ORUFI12G04300.1 pep chromosome:OR_W1943:12:2995762:2997075:1 gene:ORUFI12G04300 transcript:ORUFI12G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGTPQHFMCPISLQPMQDPVTSPTGISYDRRAIHRWLAAGHSSCPVTGHPLSLSDLTPNLTLRRLIHSWHHSTTTPFPVERSTPSPPPLREVDDDDVVERLVMEMEGGGGGSWCPPSCDLLREAAAVAAGSGVARRRMVGAGVLRRVLRLVVWCGGRGSSSGEAAVMVEMFDACLALFHALDVSADELRPLVADGHDLVDAVTRVMATLEAGDANATRARESAVRLLEAVTEAADAPVLERLSPEFFSAATAVVRDRGAVSPGAARAAVRALANACRARASGACRNRALAVDAGAAREAIELELDAWSSPQAPGGRRATEAVMALLAELCACAEGRAAVASHPAGIAVVARRVLRVSAAADACAVRVLAAVAGRAASPEVLREMARVGAVGKLCCVLQAECDAGVKEAARAVLRMHSGVWSGSPCVSAYLLSRYL >ORUFI12G04310.1 pep chromosome:OR_W1943:12:3002098:3023168:-1 gene:ORUFI12G04310 transcript:ORUFI12G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRPRHLSTPSTTILSSASTVPVYLDNTKGNEVPNPSPLTKDGPKKHDRDECEDYKNLRPTKKRRRYIVNVDEEDDYDDKNPMDIKDSTKVAMPIAAESIKRQFYHCIQPIDEPIWSGIFKIGGNDYIPFSAHLSTKSCKKVWDLSVSIPSIVQVTKLSRSVVWPKSLEASSPTDDSIGLYFFPPKMRLDKGMDQLVKEIVEKDMALSAVIGEAQMLMFPSTLLPEKYRRKHYLWGVFKRRGGDEQQAAAMAAEQQRGSDQRVKQEQENTQFQGDETQQRIKKPNSNLQETAVIKQQPSSPSSSSVTAAHIGVDVVQEKSTAASGGRPAVPAGSHGRVIGLVVRQTPGVEELIQQMRRGGALLATMEGEMMGGFEANDDDGAKKFKMTPYACRFFMWEGQYEQFLADGRVGLGHQTEHEKFNVEAISSMGIEGLELKGFAALGRMLRIRWKNGIMSHTCTDECTL >ORUFI12G04310.2 pep chromosome:OR_W1943:12:3002098:3018848:-1 gene:ORUFI12G04310 transcript:ORUFI12G04310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKLPKKGNHNHDENRRGYDDKFKAIIADERTYKWNYLKDKQKKVTLQIPVLYPSKSSIRPPFTQLTDKNIGPMAKGDSKSNHVLAPMLRKEGLLANSIEKSNPQLTSPIRAHPIHALVRTKKGIPARSVLKSNPQPIRPKQMRGSVRGVSLKGQSTMANDNSGEELKSCKVKNYSISKESAKELMVHKGNSYEDNKCDKICGEVTMGDRKHHVNLGSTKSLKRDYIPSTCGNHYKDNTKGNEVPNPSPLTKDGPKKHDRDECEDYKNLRPTKKRRRYIVNVDEEDDYDDKNPMDIKDSTKVAMPIAAESIKRQFYHCIQPIDEPIWSGIFKIGGNDYIPFSAHLSTKSCKKVWDLSVSIPSIVQVTKLSRSVVWPKSLEASSPTDDSIGLYFFPPKMRLDKGMDQLVKEIVEKDMALSAVIGEAQMLMFPSTLLPEKYRRKHYLWGVFKRRGGDEQQAAAMAAEQQRGSDQRVKQEQENTQFQGDETQQRIKKPNSNLQETAVIKQQPSSPSSSSVTAAHIGVDVVQEKSTAASGGRPAVPAGSHGRVIGLVVRQTPGVEELIQQMRRGGALLATMEGEMMGGFEANDDDGAKKFKMTPYACRFFMWEGQYEQFLADGRVGLGHQTEHEKFNVEAISSMGIEGLELKGFAALGRMLRIRWKNGIMSHTCTDECTL >ORUFI12G04320.1 pep chromosome:OR_W1943:12:3023821:3024865:-1 gene:ORUFI12G04320 transcript:ORUFI12G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLTKEIPKTSKWRENISSAEISSKAKKVGKPYNAMTNTSSKLSKKNARPMCSEDLLRDGKDGGLRISDECSEHSTTDCVNQIKLVKRKLVGCSQGRIPNALGREEDDVGNVESTRGRKGQMGKKHKTNLDVRKDSRHLASNDSILEKKCRGSKANEDGQDSRLVKNGISGVSIPTILEAIKGHCSLPINEPIWRYNILFRLKIVCGPFL >ORUFI12G04330.1 pep chromosome:OR_W1943:12:3027153:3036167:-1 gene:ORUFI12G04330 transcript:ORUFI12G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMKNSTRSSFGPSQRKNCRSKRSKGFKSKVMNGIANDVRKSQFYYRQPNKRGNVNGDRRNDDRNKAQTHGVTSTVASKEIPKKSNRKESLSSSKPYNATTNTSSKLSKVLTSPPSRLPMLSPSKGVRSVPSTRREERRKEEATQGCRDHSWKNARQVRTEQSTRREDRGKEKNRHDRHDHSRKNAHQISREPSTRREESGNKKVTHDHQIHLGENENAHQMRSEPSTRREERGNKEVAKEHPNLLQKNARPMCSEDLLRDGKDGGLRISDECSEHSTVDHVNEFKLVKRKLEGCIQGRIPNVLGREEDDIGNVESTKGRNGQMGKKHKKNLDVSVESRHLASNGSIVQTQCRGFEDYEDGQDSRLVKDGIYGVSIPTILGAIKGHCSLPVDEPIWSGIFKIDSKEYVPLAGHLSTKSCENVCMLSRSLRALVNVTKHSRLEVWPASLGTSSFTDENIALFLFPPKMRPDGKLDQLVKEVIEYDLALRAVMGKTEMLIFPSTMLPKQYQAFQGKHYLWGLFRPRKDIVGVAEEQAAHAMCLENQEGSKDGTEQVEFHGVPDPNMDTEPQDPEAAEMQDAADRNMAPPIGGSNASRANHPSMAATQPANREQIDPSLGIPQGRMFAFVAQPTPRFEQLMQELEREGALISTMPRVTYGPGCGQSQATTAKG >ORUFI12G04330.2 pep chromosome:OR_W1943:12:3027153:3036167:-1 gene:ORUFI12G04330 transcript:ORUFI12G04330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIFKIDSKEYVPLAGHLSTKSCENVCMLSRSLRALVNVTKHSRLEVWPASLGTSSFTDENIALFLFPPKMRPDGKLDQLVKEVIEYDLALRAVMGKTEMLIFPSTMLPKQYQAFQGKHYLWGLFRPRKDIVGVAEEQAAHAMCLENQEGSKDGTEQVEFHGVPDPNMDTEPQDPEAAEMQDAADRNMAPPIGGSNASRANHPSMAATQPANREQIDPSLGIPQGRMFAFVAQPTPRFEQLMQELEREGALISTMPRVTYGPGCGQSQATTAKG >ORUFI12G04340.1 pep chromosome:OR_W1943:12:3044200:3050473:-1 gene:ORUFI12G04340 transcript:ORUFI12G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRITVCEVCGVLGYKNLLLSCKNCNGAAVHRYCLDKVDFDGTVDWSCDECHPRHDKGTNRRSLEVTLDDKTVVGKQPENQSSLDTNHDKPGMNGGNDDYVSDDLMLERNKERFQLHDEANNDIHLKSMSANVPRSSTLHENSVARNVSSSANTGLPMDSNCVPSAHIDIGNPRDSSVRLILTGENRRESSMLLDGACSGSLSKDSSKEKIDREANSSHMEPSDAVKNFCKDNPMKRRKLMLLDDDDDDVGVELSDTVQNVVKDNPSKRRKLILPDDDDVEVELCNTVQSIAKDNPGKTIQLIVLDDDDDKQEDAENLNHLSLEFEGPIEKHKIHIGYATGQRCLEDDEHGLLDSLDHRSLENTCPTKKRRRYICPSDDEEEEVIKGSTTTGCAPNDVANTASQHVDAKDHHLQSRMAFASDFTKHQYYIYSQPMGEPAWSGIFMTDSNVPIMLAAHLSTKACQRVSEFARSLQPVVEVIKLPRLKAWPERWDKSGPTDDSIGLFFFPHSMRPNEELDKLVKEVIESDVVLKAVLGTVELLIFPSILLPEQYHEFQGKYYLWGVCKARKHNPDTAVLVEEQCGLVSASEEGSSDKESYVMKHVEDRLPADCNPEAREGDIKTALGEGLGDKPHEPGVADQKEDEQDFTSLPRRNDQNATNPPIDSLPSATRLFGFVTARSERCQQLIQEMVKEGALLFSVPEDMTINRSTISKSNGVGAAQAPDSGCQHVQERCEPIEFVPIDHNDPDSASEACLDLFPVRHEQIGLTSQVDVKEVELDLSLGAFRRAPSELP >ORUFI12G04340.2 pep chromosome:OR_W1943:12:3044200:3050473:-1 gene:ORUFI12G04340 transcript:ORUFI12G04340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRITVCEVCGVLGYKNLLLSCKNCNGAAVHRYCLDKVDFDGTVDWSCDECHPRHDKGTNRRSLEVTLDDKTVVGKQPENQSSLDTNHDKPGMNGGNDDYVSDDLMLERNKERFQLHDEANNDIHLKSMSANVPRSSTLHENSVARNVSSSANTGLPMDSNCVPSAHIDIGNPRDSSVRLILTGENRRESSMLLDGACSGSLSKDSSKEKIDREANSSHMEPSDAVKNFCKDNPMKRRKLMLLDDDDDDVGVELSDTVQNVVKDNPSKRRKLILPDDDDVEVELCNTVQSIAKDNPGKTIQLIVLDDDDDKQEDAENLNHLSLEFEGPIEKHKIHIGYATGQRCLEDDEHGLLDSLDHRSLENTCPTKKRRRYICPSDDEEEEVIKGSTTTGCAPNDVANTASQHVDAKDHHLQSRMAFASDFTKHQYYIYSQPMGEPAWSGIFMTDSNVPIMLAAHLSTKACQRVSEFARSLQPVVEVIKLPRLKAWPERWDKSGPTDDSIGLFFFPHSMRPNEELDKLVKEVIESDVVLKAVLGTVELLIFPSILLPEQYHEFQGKYYLWGVCKARKHNPDTAVLVEEQCGLVSASEEGSSDKESYVMKHVEDRLPADCNPEAREGDIKTALGEGCFSPDSCLSSNKASPVKGGSPCFMQPGLGDKPHEPGVADQKEDEQDFTSLPRRNDQNATNPPIDSLPSATRLFGFVTARSERCQQLIQEMVKEGALLFSVPEDMTINRSTISKSNGVGAAQAPDSGCQHVQERCEPIEFVPIDHNDPDSASEACLDLFPVRHEQIGLTSQVDVKEVELDLSLGAFRRAPSELP >ORUFI12G04350.1 pep chromosome:OR_W1943:12:3054935:3057579:-1 gene:ORUFI12G04350 transcript:ORUFI12G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVEAEAEEQPPDEDGDEEGYVEADPAGRFIRYDEIVGSGAVKTVYKAFDKLEGVEVAWSQSRIDDSVMGSSKKMKQLNTEIQLLKTLKHKNIEKMFASWVDEEKKTVNIITELFTSGSLTQYRRKHKKVNMKAMKRWAIQILTGLEYLHSQKPAIIHRDLKCDNIFINGNHGKVKIGDFGLATFMQQQKKSIKGTLEFMAPELLTGHYNELVDIYSFGMCMLEMVTCEYPYSECQGMAHIFKKIDEGKKPAAFYKIKDAEVRSFIENCLAPVENRMSATELLKSSFLQDDDLISVSLVKNMSEDGQQPVSCMLRKGEFLLTGNVDVASHVDLWLRFPDPSGCFKSVEFPFNLTEDTSLSVAVEMVEQFGLTQDSRPIIAQLIDAFLVILIPEWTPCVAIRQVVSEGANGLTIEKR >ORUFI12G04360.1 pep chromosome:OR_W1943:12:3064296:3064788:1 gene:ORUFI12G04360 transcript:ORUFI12G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVEMEGCAERSGRDVGKGKEATEPNPSTGAVPGIGSNRSQPSPPYPSARILVDEELRREMQKRGGAAWSRERCAGRRGTEVGRESRRRHVDGINPGGGGGEKRERMRERRERNLGGDKESVFYGTKPSL >ORUFI12G04370.1 pep chromosome:OR_W1943:12:3071255:3075011:-1 gene:ORUFI12G04370 transcript:ORUFI12G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVDWGAAGCSLQLQMVDSSEGLRRLAALLFKCCSLDSSNRPNGLQDPERLARETVFNVNEIEALYELFKKISSAVVDDGLINKEEFQLALFKTNRKDSMFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIDFAFKLYDLKQQGFIEKQEVKQMVVATLAESGMNLSDEIIEGIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLPYLRLRTHEVKLQTIF >ORUFI12G04370.2 pep chromosome:OR_W1943:12:3071255:3075011:-1 gene:ORUFI12G04370 transcript:ORUFI12G04370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVDWGAAGCSLQLQMVDSSEGLRRLAALLFKCCSLDSSNRPNGLQDPERLARETVFNVNEIEALYELFKKISSAVVDDGLINKEEFQLALFKTNRKDSMFADRVFDLFDTKHNGILGFEEFARALSVKQMVVATLAESGMNLSDEIIEGIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLPYLRLRTHEVKLQTIF >ORUFI12G04380.1 pep chromosome:OR_W1943:12:3078470:3083058:1 gene:ORUFI12G04380 transcript:ORUFI12G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFPLPPASGGGRGHHRRAHSETFLRLPDADLLLDPEGDFSFSDLDFPSLSDDSPAISDPTPPPPPPMAATPAPAPRPPGGAHMRSLSLDTAFFEGFSLQGGGGGGGGGGSGGSGGHKRSGSMDGVNSPFEGESALSGGLPDYAKKAMPAERIAELALIDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSAQLTLLQRDTSGLTAENRELKLRLQSMEEQAKLRDALNEALREEVQRLKIAAGQAPNMNGNPFNGGLQQQIPPYYTQQQQQQQQMPYLGGHHAQQRHPSHQQSSSNGGQSLSGQSLNDSMDFM >ORUFI12G04390.1 pep chromosome:OR_W1943:12:3096123:3097795:1 gene:ORUFI12G04390 transcript:ORUFI12G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMSYPCSLLIPFSTQFEEISSSSLLLWSPQAEENPHENANMYEFDADHSHDQIHQDHQFLDMMVIQESANEFDGNHSHDQIHQDHEFLETMVIQESANEFDGDHSHDQIHQDHEFLEMMAIQESANDLLQLQDDFSVPNADPLAASFEFDERLAVAGHENGNVVATQEESAGDLLLAGAMAVDAGDAVHASAIMSRLDDLLADIAGRRSCEATSPVDHLAYYFARGLKLRISGAATPASSPPPPAANWSSPAYRMLQELTPFVKFAHFTANQAILEATADDLDVHVVDFNVGEGVQWSSLMLKLLLLGTITILQPKLVILIEDELSRISKNPPSPSLAAPPPFPEFFSDAVAHFTAVMESTASCLVSYDDEAWLSLRRVGEEVVGPRVEDAVGRYGSLAGGAQMMEGLRAREVSGFSVAQGKMLAGLFGGGFGVVHQEKGRLALCWKSRPLISVSLWCPK >ORUFI12G04400.1 pep chromosome:OR_W1943:12:3101653:3104157:1 gene:ORUFI12G04400 transcript:ORUFI12G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGGAASPPPPGAHHLTTKEEEEDAQRDRDRARDEDDEAPARRCSWVILQAVTRVKDLPPGEDLAFKSEKPPGTSVLYVDKAIGFFNFVVPRAKPFSVNLSRPRPTTPLVVAVHGSGMVVASAFNGVRYFCDAHTRVATMIPPIPPMEPSLIPPVISIGVVQDPIRPDYTMVACLVCTNSSPQFMELRCWTYTSGSQWVVKPLTNCLQHPVWGSQGGVLSHMNKIWFVDLPLGLLFCDPFIEKPKLTYVALPEGCLMLVPDIRSRHNLEKRRCVKISQDKICYVQLDEGEACLWSLLYSESESPEWQLEYKAPLADIWGDKIYKTSGLTPGKVPAIAMIDPTDCAVLYFIEQDVLFSFDIRSKRVLMSKSLEMRTDFCYPSQFLHSWLLPSNMFEENGPVESDKLPSNDLDEQSDSDDDEESDNEDDEDEEHGRQNSWVCAQEVISSGQAAWEYFESQLEAVQGNQDGEQ >ORUFI12G04410.1 pep chromosome:OR_W1943:12:3105798:3110201:-1 gene:ORUFI12G04410 transcript:ORUFI12G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLVLLRRIPVRRHCGPSSSRLLSSAAAGAGGVGRRGPYQQQQQEEEESKAVKVSVWWDFENCNLPNGVNPGRVAPRVTAALRAAGIRGPLSITAFGDVVQLARPSQEDLVATGVSISHVPNSGKNSSDRSFMADLVYWIAQNPPPAHFFLISGDKDFANILHRLRMSNYNILLASPGTATTSVLCSAATIMWPWEALVKGEDFSPKRFNHPPDGLAGSWYGHYKGALDDPFVDTEPEELVVVPSDLKHGSIPKYVVNAIQQVLKSYPNGVNLSDLRLELKKKNIYLGNDFFGHKKFSCLLQSLPNFVEFISPPVGANQPCVVAANRKLMGPDKQNFESSTESNVGDNNLNRAIHNDKPPPLPVSTSFSEKNAKTENSSQNIAKKLQTASENPPTFAVLSSRSDVLPEDQKEHPAANLNAQIESPEDHKEVDPTTASGIPSSSGVEDNVNKEGLFKRIWLLWKGPESAKSEVSHLESTSTVVVGDAQSPQQEHNANEHRRLLKRVYKKSCRNGSTDGSDSVTTGSASTSSHDDRSKKLKHVDDMRSLERDTSNSEPCDRSVSVSVDKAEKGDGISKMDKGLFSWVTGWLKFGKSDADNVTANRNVIDEASTDSLKGPESLKVSACGSGEEEVHEIFTKSFFWDVLQQQLSKPLGSELVSKAKTREELVHQLHKLDCWPLKGLAEKDLHQLRRNGLKKPLPENGNGQAGSKGNGNQSNGDDFVWEELGPVSATTETVQTVDKEMCYRPPTLSDDDFSEDENHADQQPRKEAEASSLLQIIDSWHNSKDVSSKKTQDIDGLVDCSRSDHGYLDNLTGGNAPKPTRPQHKQYSFVSDSEEDTEKGKLVESVLGSLQKARTSKLHN >ORUFI12G04410.2 pep chromosome:OR_W1943:12:3105798:3110201:-1 gene:ORUFI12G04410 transcript:ORUFI12G04410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLVLLRRIPVRRHCGPSSSRLLSSAAAGAGGVGRRGPYQQQQQEEEESKAVKVSVWWDFENCNLPNGVNPGRVAPRVTAALRAAGIRGPLSITAFGDVVQLARPSQEDLVATGVSISHVPNSGKNSSDRSFMADLVYWIAQNPPPAHFFLISGDKDFANILHRLRMSNYNILLASPGTATTSVLCSAATIMWPWEALVKGEDFSPKRFNHPPDGLAGSWYGHYKGALDDPFVDTEPEELVVVPSDLKHGSIPKYVVNAIQQVLKSYPNGVNLSDLRLELKKKNIYLGNDFFGHKKFSCLLQSLPNFVEFISPPVGANQPCVVAANRKLMGPDKQNFESSTESNVGDNNLNRAIHNDKPPPLPVSTSFSEKNAKTENSSQNIAKKLQTASENPPTFAVLSSRSDVLPEDQKEHPAANLNAQIESPEDHKEVDPTTASGIPSSSGVEDNVNKEGLFKRIWLLWKGPESAKSEVSHLESTSTVVVGDAQSPQQEHNANEHRRLLKRVYKKSCRNGSTDGSDSVTTGSASTSSHDDRSKKLKHVDDMRSLERDTSNSEPCDRSVSVSVDKAEKGDGISKMDKGLFSWVTGWLKFGKSDADNVTANRNVIDEASTDSLKGPESLKVSACGSGEEEVHEIFTKSFFWDVLQQQLSKPLGSELVSKAKTREELVHQLHKLDCWPLKGLAEKDLHQLVNILVSEKKWVEETPSRYFPFRLTLPHKRTCIPSNSRKFDGLSSLFSNGKPLPGKYTGDQSTNSPLPREETLSDCHKLLKDLLLEYEYGFNISIFKLRFAQKHGYELDPQKLGYPDLKSLLQIMPGVRVKFPRVLPAENGNGQAGSKGNGNQSNGDDFVWEELGPVSATTETVQTVDKEMCYRPPTLSDDDFSEDENHADQQPRKEAEASSLLQIIDSWHNSKDVSSKKTQDIDGLVDCSRSDHGYLDNLTGGNAPKPTRPQHKQYSFVSDSEEDTEKGKLVESVLGSLQKARTSKLHN >ORUFI12G04420.1 pep chromosome:OR_W1943:12:3112314:3115784:-1 gene:ORUFI12G04420 transcript:ORUFI12G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLHRHVLPPFRRPPLPFFRSGGGGRGGATASGPSAAGAPASASAAAAAPARRRPWTPRRILDPGDDVVLRWNRLFLVTCMVGLFVDPMYFYLLHTGLKSCVTMDMQIGVGVTAVRTVADLFYLAHMILKFRTAFVAPSSRVFGRGELVRDPDQIAIRYLKNDFIIDLAAMLPIPQVIIWFVIPAVNNSSANHTNNTLSMIVLIQYIPRVFLIVSLNSKIVKSSGVVTRTAWAGAAYNLLLYTLASHVLGALWYLLSIERQYTCWMDVCTRENGTNPAIPKCYMSYLDCKTLEDPIRMDWHSRSEIDHQCLLPEATYVYGLFADALNLDVAKVNFWDKYLYCLWWGFRNLSSYGQNLENSTYRGETIFCILICIMGLVFFSHLIGNMQTYLQSMTVRLEEWRVKRRDIEEWMRPRQLPLELQERVRRFFQYKWLATRGVDEESILQSLPLDLRREIQRHLCLALVRRVPFFSQMDEQLLDAICERLVSSLSTKDAYIVREGDPVSEMLFVIRGELESSTTDGGRTNFFSSITLRPGDFCGEELLTWALMPNPSLNFPQSTRTVRSVTEVEAFALRAEDLKYVANQFKRLHSKRLQHAFRYYSHQWRSWGACFVQGAWRRYKKRKLARELSKQEELYYMQGQGGDDGDGHDDSDSAPLLGAGVGAGGDHRDGAAAGAAHLGATFLASKFAKNTKKSAAAHHGKARMEDVSSIKFPKLAKPDEPDFSLSSDDVL >ORUFI12G04430.1 pep chromosome:OR_W1943:12:3126810:3132943:1 gene:ORUFI12G04430 transcript:ORUFI12G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLGKKARKFARKHLQSAAKRNRKQRSQFARRPRRGGSGRGNERDGDGDDEMPQRAIDNVMNNGDAAALVNGLEFPEDECELNSDLSDSDGYLSEDSECLYYSDSEDGNAVNDCFVQDGLDGENDKMNTEIKKQKKKLNKLMDKDPEFVNYLEKWQSELKSDGSKEDSDEDEMDSVDNDADSSDENLSNDKILTRKTISEWCQLVAKEPKAPSLRSLLNAYRDACRFGVSKSPSVQRIQSTEVFHQIITFVLSEADNIFHALLEISDDVNKGKIMNLRSAKKWKTIEPLVKSYLQNSLDLLSQLTDNQILAFVLTRLRASAVLFSAYPSTSRRLLKILIRLWASGDHNLSLSSFLMIREVASLLPECLDLCLNKSYNTYLASSKLVNEGNIKHIDFLMDCLVELYSLDVQKSCERATTSIGQLNSILRQACKTKEKEDLRKVDNWQYINCVNLWVRFLCCNYKDYNMHPLLSSVVQIIRGVAHLFPGTRYLPLRLRLVQMLNELSSCSQMFFPIPSLLFDCLEFRDTSQKEQGKKAKVNFSSLLKVPKNMLKSRDFHEECILSAIDVLSAHFAQWCYHVSFPEVATIPLILLKRLHEQTTIESLRRPIKRLIDQVDENKNIVERKRDGVSFSPNDTTSVESFVQDLQAEKSGAKISQFYKIVPKKLRDWL >ORUFI12G04440.1 pep chromosome:OR_W1943:12:3134105:3136334:-1 gene:ORUFI12G04440 transcript:ORUFI12G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAEVAASSTFGPTPEMEKFLCDLLLDTAQPIAERFRALFSLRNLHGDGPRCALLQAARDSSNLLAHEAAFALGQMQDAEAIPALEAVLKDLSLHPIVRHEAAEALGAIGLEKSIPLLEESLAADPAVEVQETCELALRRIEQQKNAGVSESTTISPFLSVDPALPAKQGLSVHQLREILLNEQESMYERYAALFALRNDSRDAAVSAIVAALGAKSALLKHEVAYVLGQLQNKAASDALSTVLKNVDEHPMVRHEAAEALGSIADQESIALLEEFAKDPEPIVSQSCEVALSMLEYERSGKSFETPGVQQES >ORUFI12G04450.1 pep chromosome:OR_W1943:12:3138509:3142905:-1 gene:ORUFI12G04450 transcript:ORUFI12G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRGFEVRLVGHRRGRSHSAVHELRDGHAAAAAADVVQSSCWANLPPELLRDVIERLEASEAAWPSRKNVVACAAVCRTWRDMCREIVKNPEFCGKITFPVSLKQPGPRNGAIQCFIKRDKSTQTYNLYLCLSSAVLVESGKFLLSAKRYSRATCTEYTIFMSADNTSRSSNMYIGKLRSNLLGTKFVIYDTQPPCNTANVSQSGKTSRRFYSRKVSPKNPSSTYSIAQVSYELNVLGTRGPRRMNCVMHSIPASSLEAGGTVPCQPDSVLARSLDESFGSISFSKSSIMDRSIRFSSSRYSDISVGGPMVGGQALGDSDESKERPLILRNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAATQPAAGAPTPSQPAPPPPPDHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >ORUFI12G04460.1 pep chromosome:OR_W1943:12:3146117:3147415:-1 gene:ORUFI12G04460 transcript:ORUFI12G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNNLPSGSLMRSNSGQMHAPNPGKQGFDHTQMPGNLSMHVNQSTDSDHLSEFQFGELGKVDHHHHHHHRQHAKNGMSDDEEHGVNEDATDSQSGKGKKGAAWQRMKWTDSMVKLLITAVSYTGEDPGADSGAGKRNSAIMQKKGKWKAISKVMGERGCSVSPQQCEDKFNDLNKRYKRLTDILGRGTACKIVENHALLDCMSNLSDKMKDDARKILSSKHLFYEEMCSYHNNNRVSLPEDPALQRSLQLALRCKDEHDLRRGTSGDADEDDQSVDSDSEEENDEENYTLQGDKSALPMHKRLRLMTDQEDVGFGNSSSSHGCSRRSDSHGISLDINKAFPDGTNLALAQKDLATQSADLEEQRLQIEVQAVYLAKQRLKWERFSKNKDRELEQMRLENEKMRLENKRLELEVRHKELELELKQKGSGNHA >ORUFI12G04470.1 pep chromosome:OR_W1943:12:3152325:3154139:-1 gene:ORUFI12G04470 transcript:ORUFI12G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSNAAAGAATLMPLLIHLLRGASDLASVSATHAKLLKLGAASSVASSNHLLAAYGRCGCTALARHLFDGMRDRNVVSWTALMSGYASAGRPRAAVALLRAMARSGVPPNAFTFSTAASSCARLADAGLGRQVHARAEVAGYACDSVVSTALVDMYGKAGRVGDARAVFDAMPVTARNAVSWASMLSVYAQNALGHDAIQLFAELRTNGEDMAPNHFMLSSVVNACAGVGRLGIGKCIHGMVLHHGHEDNDVVAVALVDMYSKCGIYEYSKKVFDRINQPSVIPYTSIIVATAKYGLGRCALALFSDMVGRGVQPNSVTLLGVLHACSHSGLVDTGLQLLRSMRSEYGIDPCASHYTCAVDMLGRAGQLEAAFELADEAQVNGNDALMLWSSLLSACRNHKRLDLATMAGQKVSEFTQDVAGALVVMSNAYASAGQADDAAAVWSNMRRRGIRKDPGCSWIEIKDISYVFYAGMVSPAGSRAGEVMLLLDELEGKMREKGYKGRIGSARVLDADEEEDGNHHHQEGRGVMVGVHSELLALGLGLLVIPKGMAIRVMKNLRMCRDCHEAFKVISAIVEREFVVRDLNRFHHFRMGSCSCNDYW >ORUFI12G04480.1 pep chromosome:OR_W1943:12:3156987:3159191:-1 gene:ORUFI12G04480 transcript:ORUFI12G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPALLTEAPLNPKANREKMTQIMFESFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELNAAAAAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLVGMEAAGIHETTYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >ORUFI12G04490.1 pep chromosome:OR_W1943:12:3161527:3168646:-1 gene:ORUFI12G04490 transcript:ORUFI12G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEGGGGGGVEEGVGESSSPPRDPAAAPAASGGSGGGGGGGAGGLNICNQVYERLVAEGNEEAAAPDFRAQLEAHFMRLPHSYQLDINVDKAEDVLVHQKVLAEAKDPDRRPAFHVRFLRIEEVDPTYDSDASEDGADDGDDLSVRQDTSYAHIHEVVFSTVDKPKLLSQLSALLSDIGLNIREAHVFSTFDNYSLDVFVVDGWPIEDTDGLHKALEASILRNEGSWSGSSHSSAAERTLPFQVKGGEWEIDKRLLKMGGMIASGSCGDLYHGTYLGEDVAVKILRSEHLNKNVWNEFTQEVYILREVQHTNVVRFIGACTKPPQFCIITEYMSGGSLYDFVHKQHNVLDLPTLLKFAVDVCRGMCYLHQRGIIHRDLKSANLLMDKDHVVKVADFGVARFQDQGGNMTAETGTYRWMAPEVINHQPYDNKADVFSFAIVLWELITSKIPYNTMTPLQAAVGVRQGLRPGLPENAHPQLLDLMRRCWEGIPSNRPPFSDILAELEDLLARVQGTSGEASQRQDDSGAKD >ORUFI12G04500.1 pep chromosome:OR_W1943:12:3196547:3203158:1 gene:ORUFI12G04500 transcript:ORUFI12G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIKINKIIAATFFMPPVMAPVKINKFITATFFMVLVIMSCALTSTLACQGGTECTVETPHCTMDSCNAKCKAEATSRKCNSLTVSCHKYATLEKALQMGQCKTKLLIMAPFKINKTIAATFFMVLIMSCALTSTSACQGGTECTVEEPHCTMDSCREKCKDIGHQPLVQPNDS >ORUFI12G04500.2 pep chromosome:OR_W1943:12:3192340:3195551:1 gene:ORUFI12G04500 transcript:ORUFI12G04500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGLKIRQASESGPSSRRRRAAEAAIPFWIGYDGSRSPPAGTERSRCPFDIVGMMAKRCTDILLAPRPRKTKRIDINSCRSRFLLPYIPDEVMFDVLLRLPSKSLMRFKSVCKAWHAMISSPIFINAHLEWSKLKPSSLLMAPGFYQKQKNGQNIAFLMGLYKYQGGNNNVLDTWTRPVHCDGLLLVSNMSKKMIIYNPSTREIVVSLPKGSRNLHKGTGIGFGFDPRSSKYKVARVFYQRDDKTSMLVCKFEVLTLGTINVWRQTEDPPYPIGKSTPVHVKGAIYWMVSRTSLCPDPPNTLVRFCLTDEKFSLFPCPCNVKPSCLTGLGDELYCGYFFSQPLQLEIWGCSVVGQKPEWTRRCALQIPPDVIKRPVASPLVVFHGKMLLLALKKVYKYDIQACKLEKIPLVVEDFMCYDRENNMYQTYSKKEVLTSWKTYICHANTLGHVFLLVN >ORUFI12G04500.3 pep chromosome:OR_W1943:12:3192340:3195845:1 gene:ORUFI12G04500 transcript:ORUFI12G04500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKMIIYNPSTREIVVSLPKGSRNLHKGTGIGFGFDPRSSKYKVARVFYQRDDKTSMLVCKFEVLTLGTINVWRQTEDPPYPIGKSTPVHVKGAIYWMVSRTSLCPDPPNTLVRFCLTDEKFSLFPCPCNVKPSCLTGLGDELYCGYFFSQPLQLEIWGCSVVGQKPEWTRRCALQIPPDVIKRPVASPLVVFHGKMLLLALKKVYKYDIQACKLEKIPLVVEDFMCYDRENNMYQTYSKKEVMDFHLFNYVESLVSIRKF >ORUFI12G04500.4 pep chromosome:OR_W1943:12:3192658:3195551:1 gene:ORUFI12G04500 transcript:ORUFI12G04500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRCTDILLAPRPRKTKRIDINSCRSRFLLPYIPDEVMFDVLLRLPSKSLMRFKSVCKAWHAMISSPIFINAHLEWSKLKPSSLLMAPGFYQKQKNGQNIAFLMGLYKYQGGNNNVVHLHDFPRDFPQVLDTWTRPVHCDGLLLVSNMSKKMIIYNPSTREIVVSLPKGSRNLHKGTGIGFGFDPRSSKYKVARVFYQRDDKTSMLVCKFEVLTLGTINVWRQTEDPPYPIGKSTPVHVKGAIYWMVSRTSLCPDPPNTLVRFCLTDEKFSLFPCPCNVKPSCLTGLGDELYCGYFFSQPLQLEIWGCSVVGQKPEWTRRCALQIPPDVIKRPVASPLVVFHGKMLLLALKKVYKYDIQACKLEKIPLVVEDFMCYDRENNMYQTYSKKEVLTSWKTYICHANTLGHVFLLVN >ORUFI12G04500.5 pep chromosome:OR_W1943:12:3192658:3196592:1 gene:ORUFI12G04500 transcript:ORUFI12G04500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRCTDILLAPRPRKTKRIDINSCRSRFLLPYIPDEVMFDVLLRLPSKSLMRFKSVCKAWHAMISSPIFINAHLEWSKLKPSSLLMAPGFYQKQKNGQNIAFLMGLYKYQGGNNNVVHLHDFPRDFPQVLDTWTRPVHCDGLLLVSNMSKKMIIYNPSTREIVVSLPKGSRNLHKGTGIGFGFDPRSSKYKVARVFYQRDDKTSMLVCKFEVLTLGTINVWRQTEDPPYPIGKSTPVHVKGAIYWMVSRTSLCPDPPNTLVRFCLTDEKFSLFPCPCNVKPSCLTGLGDELYCGYFFSQPLQLEIWGCSVVGQKPEWTRRCALQIPPDVIKRPVASPLVVFHGKMLLLALKKVYKYDIQACKLEKIPLVVEDFMCYDRENNMYQTYSKKEVLTSWKTYICHANTLGHIIHY >ORUFI12G04510.1 pep chromosome:OR_W1943:12:3206082:3207784:1 gene:ORUFI12G04510 transcript:ORUFI12G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTEHQGSSSSPSTFSFGRSLLSLRRDHVAMPSGEEADLEAFQRHFAASLGELLPGEVEGGGGGGGGGGGGGGEEILSVAWIRRLLEAFILCQEEFRVFVAQARRRGALPAAGEKLVVEFHERAVKALDVCNAARDGVDQVRRWERLADIAASVLLAPGEIHEGQLRRARKALSDLSVLLVDDTAASGSGGVASFLASHRNRSFGRARASPSRASFAGATSATSSSHFRSLSWSVSRAWSASRQLQAIGAGLAAPRAHEAGLAAPVYAMGCVLHLVAWALVAAVPCPDRSTALQAHHLPAAPARAAFPWAPPLLTLQERLAEEGKRKDRRNSCGLLKEIHVLEKSTQKLTDAIDAAPIPLFGDREADVREAAAELAAVCAAMRDGLEPLERGGGAGAAREAEDCMPTLA >ORUFI12G04520.1 pep chromosome:OR_W1943:12:3208021:3208979:-1 gene:ORUFI12G04520 transcript:ORUFI12G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSRRADLQRRDTAATTGGGAYHHIGDRQVLSMTVFPGSLNTAHSSRYQLSTTLCHSTNSAEIHNLLLEDEDIPPSLVMPSKQEQFCRCDFHGIDYLEDYYRYGKTCFETGQDHMAVETIKLMLATYTLVTELDDNLQYSTNFHAPQHKRLN >ORUFI12G04530.1 pep chromosome:OR_W1943:12:3209723:3215115:-1 gene:ORUFI12G04530 transcript:ORUFI12G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGMRCLVGGGVEDSPRGAVRRVSPALRRGHNANASSAAAAGAEGKSGLPFRSPDVMETVHEVAIYIHRFHNLDLFQQGWYQMKISATWEEGGSKTPASPARVVQYEASDVGADDALGIWKIDDADNSFYTQPFRIKYARQDIYLSVMVSFNIFNSEEEGPAASSVILKFELIYAPTLENGSDIQASSATSSAAVHEFRVPRRALLGSHSYCPVHFDAFHSVLVDLTLHIVYLKAGATKSSLKIPDQGLGPTSHHIVKALLTSREMLLEELKKISDAIGKTVEDLDVADLSLGKYEAVQPAKSGLPNSNKVFPATTKGVGHLAGILHDFLEKPNSAVDGANDAMLYTLPKEELLELFLTVSSQLSLLWNAFLKFHRINKTKILDYLRDIWALDRKSEWSIWTVHSKIEIPHRYLRSTDDESSHRHSLLRVSGSRKFHDDPVQNSASRAELHRKSIAQMKINTLSIQDMQIYADPSRAPVVLIEQHVMVVPQHGSSKDLATNSSKQKDTIVLPKLQGDSLALKSSAGKKGRILRAVIFGHHLDLRLVRNQWLLLDPGAECLMSEANEDKTSGDFKEMGGRLAGEVVAFLKKKVDKLAKYGGCKELKLSFVGHSIGNVIIRTALAEPALQPYLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCIHQLTFSDDQDPQNTFFYKLCKLKTLENFKNIILLSSPQDGYVPYHSARIELCPAASSDNSRKGQVFTEMLNNCLDQMRAPTSETRIFIRCDVNFDQSAQGRNLNTMIGRAAHIEFLETDIYAKFIMWSFPELFR >ORUFI12G04540.1 pep chromosome:OR_W1943:12:3216954:3217549:1 gene:ORUFI12G04540 transcript:ORUFI12G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSLVAGIYQERELGGLYSNQSGKGLEDVIGNADVGAQGPTGAAAVAIASLGPRGRAAEGSVAGDRKRGRGGSAADGSSCRRRRHRVAWAEGTSRRGERRRGQRKSGASGDTTQKPNVRGMEGINGKTERYRRERAKLEGMQGIG >ORUFI12G04550.1 pep chromosome:OR_W1943:12:3219563:3229479:-1 gene:ORUFI12G04550 transcript:ORUFI12G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGSAGNTAGSSRPRKEKRFTYVLNDADNKKHCAGINCLSYLNASTSGTSDYLFTGSRDGTLKRWEPKNGVASFSATFESHVDWVNDAIIVGQNLVSCSSDTTLKVWNCLSDGACTRTLRQHSDYVICLAASEKNSNIVASGGLGGEVFIWDLDSSLAPVAKSVDAKEDEAPNGNSGPALTTLCNVNSSSNLASTNGQSHGYSPITAKGHKDSVYALAMSDTGNTLVSGGTEKVVRVWDPRTGSKKMKLRGHTDNIRALLLDPTGRYCLSGSSDSMIRLWDLGQQRCIHSYAVHTDSVWALASTPSFSHVYSGGRDQSVYLTDLSNRESVLLCTNEHPILQLSLQDDMIWVATTDSSVNGWKAEAHEPLKLFQKGSSFLAGNLSFSRARASLEGSAPVPIHKEPSSIIPGVPAITQHEILNNRRHVLTKDTAGSVKLWEITRGAVIEDFGKVSFEDKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAVDLNVAGAQEDLKINLAQETLRGLLIHWSKKKQRSGSHGLSNGDTSTGKDVSLKNLPHPRSEVDDGAENHASQMLPSFEFSTVSPPSIITEGSSGGPWRKRITDLDGTESDIPWWIVDCVEHNRFPKENTKCGFYLHPAEGSPAPNITQGKLSAPRILRIHKVANYVVEKLDRPLDGNADSTFGMGLTSGQSQFSALDSSSRLGLKPWQKLKPSVLAPEMSLATVRAYVWKKPEDLILNYKVVQSR >ORUFI12G04560.1 pep chromosome:OR_W1943:12:3231690:3233184:-1 gene:ORUFI12G04560 transcript:ORUFI12G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLAVQVSRRPVSGEDFAFATSDTDAAFLVLAHLPGYDKEEVEVVVGDGGREVGVVVGARKDDAFAVEAAVVGRRLRVAHRQVVEGFCRVFDVPPGVEVGRITVGFEEDDELLVVIMPKFRPAPAVSGGDEGRRLDVESADSECGSSDVEDFDVESGPEERQDDDVAVETEVELDDDDDDEDELSSLELEYEDWINVDSSESEPEPEPPRDVAVETPVAVEEEVAVEEEVAVETPVAVEEPPPPPPPSVVDIECDVVFEPAYRELPVETPIEVVGPPHAEPEPPSDVPDPIDILCVVEEPKPPATVDEPEQPKPPAAVEPPVQEPPAEETPTATEPEPEPPAAEEPPAAEPEQEPQPETPPVESETPAEEQAPVQEPPAADETPAAGEPEEQPKPRSSDSEDYSTSGEEGDGANRGGGRRRPRGQGPRRRRRRRRGGFPLGMVVGPAVILLALAAAAARRRRQQRGAGGQ >ORUFI12G04570.1 pep chromosome:OR_W1943:12:3233505:3236267:-1 gene:ORUFI12G04570 transcript:ORUFI12G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRSVEQRGLVVLPGLKGRSEQSTHIRRTPSRNSYRCSGGRSYGLCSLNMSPVSSTAAKPAVGSTSAPELPSHGGKGKRMMMSHVRTGQDRFSLSMFKLDD >ORUFI12G04580.1 pep chromosome:OR_W1943:12:3234992:3235960:1 gene:ORUFI12G04580 transcript:ORUFI12G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCCWLPWLIIILLPLPPCDGSSGADVDPTAGFAAVELTGDMFKLHKPYDLPPEQRYEFRDGVRRMWVLCSDRPFSPGSTTKPRSEILLNKTYTSGVWQFEAYGYVPSGTTGVSILQAFGASGRNTTLMLHVYGGRLMYYHDEARVVDGDIYDRWFRLNVVHDVAGVGKLAVFVDGERRLAVAGHGGYRHYFKFGVYVQRDPSHYMESRWRDVKVYTKIVD >ORUFI12G04590.1 pep chromosome:OR_W1943:12:3236441:3238543:-1 gene:ORUFI12G04590 transcript:ORUFI12G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRDSDSRSSHHAPHKSSSFSQPSARDDKPRDAIDRNLSLGSAGHHHHHDGRRLDLHHPPPAGDAIKEEYEEEERGGAGAPCGGGDGGGGDGGGGGDGGGGDGGVPDLAALSVEIDAFVAAGQDGGEALSDATLERFAAAVEMEIAQSESAVDKWATGANGERRALLAAISRIAALAAALAKAPDGKHATSGAHRVTAVLHRAMAFLEDEFLALLDDPRVPKATTFDQVQHEVDRCVLPASVDVGAGVGESAPPYPPETVDRLRSMADAMVTAGYVTECTQMFLVARRNASDASLRALGYEKASIDDVVKMTWEALEAEIATWTKAFRHTINVGLSTEHDLCARVFAGRHAAVGRGMFADLARCVMLHMLNFTEAVTMTKRAADKLFKVLDMYEATRDASPVIDAFLTADDGNNSTALTDLKHELNSVRSRLGEFAAAIFRELESSIRADAGKQPVPGGAVHPLTRYVMNYLKYACEYNSTLEQVFREHGAHGGGGGGDGENPFAAQLMEVMELLHGNLEGKSRLYKDPSLSNIFLMNNGRYMLQKIRGSPETNAMLGEAWARKQSTNLRQYHKNYQRETWSRVLGLLRDDGVLTVKGSVQKPVLKERFKQFNAAMDEIQRTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFAQTFSAGRQSEKYVKLSADDVEAIIDELFDGNATSMTRRRT >ORUFI12G04600.1 pep chromosome:OR_W1943:12:3240057:3242481:-1 gene:ORUFI12G04600 transcript:ORUFI12G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDGRLRRALAAFGGGADVWDLVDAALASAARDRPAELRARRDGIVERLYATAAGGRCGNCDAPRSAEPEAAAAAAASPASPDEDADVDGLDDDEGGGMESKILAIRDFLEDPDQSEDELVSLLQSLADMDITYKALQETDIGRHVNGLRKHPSGEVRQLVKLLVRKWKEIVDDWVRLHNSGGDGGSSVLTDGDSPDKIQGRSYQNAQVSDFKYSPSPQRHNGLSSERSSNNNGVESMIEKRRTSPAPTYHNNKQNNSIGYSTTSSSAPVRTVREQKDNLMDLEKLDSARKRLQENYQEAQNAKKQRTIQVMDIHDIPKPKNRNAFIRKGGGGLPGKHR >ORUFI12G04610.1 pep chromosome:OR_W1943:12:3248717:3264235:1 gene:ORUFI12G04610 transcript:ORUFI12G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSNPFGQSSTSPFGQTSSNPFGAQTGGFGQANTTTTNPFAPKPFGSPITAFGAQTGNSPFGTTSTGAFGQPSAPTFGSTSTGAFGQPSAPAFGSMSTGAFGQPSAPAFGSTSTGAFGQPSTQAFGTPSSSPFGSSTPAFGASPAPVFGATSSTFGSGSSLFGQKPSFGGFGSSPSQSSAFGGPFQQAQPAFGGSTFGAASTPTFGTTTTPSFGATTTPAFGTTTPAFGSTSTSVFGASSAPAFGSTGFGSSTTPGFGSSGTTAFGAGSTLGFGASSSGMSTSAFNFGSSPSFGQTIPTFGSTPFGTTSSTFGSQTSTFGSQTTAPAFGQTSFGNQAGGTRIQPYTQTPDADSATSGTQPAAKLNSISAMEAYKAKSHEELRWEDYQRGDKGGPNPSGTPAVTPSFPSPVNNQFSPNPPNAFPSASANNPFAPKQPSTGFGSISSVFNSISSNTAPASSSPFAPTISNPFPTQTNCSQFVNSASSPSLFGTINQNSFSTSGTNSQSVGLFGPSPSIVQQPSQASSGFTSSFPSFSGSLFSPPTPVATGGLFGSGPSPSTPTLQQPVPAQMPSILFQPPAQTASTGGFPGVSNTNQAPFGQPTPSQSNMVMQPALVKNPFGMLPATPQMSIGNGGSAPSVQYGISSLPVAEKPHTSRASLSMVVPRHLSQRRIKLLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLVIRPIDQWPSRGTMDRQQIPKNSADIDEHEGSLAEREFNKTAISPTRSTSIENGIHRDDRASNEPDTVTRHGNGTSVERLAPKLVHADYYTEPSLEELAAKERAEPGYCSRVRDFAVGRHDYGSIKFIGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAVVTLLNIKCMNKKTGEQYTEGPRVGKYKEILVKKAEEQGAEFISFDAAKGEWKFRVKHFSSYGFGEAEIDSCYNPQPTGDSTSTPLRRGGGGEEGDQEAAAAAAAAAGLVPEQAAMFGSSNPFGQSSTSPFGQTSSNPFGAQTGFGQASTSTSNPFAPKPFGSPTTTFGAQTGGSPFGTTSTGAFGQPSTPAFGSTSTGAFGQPSTPAFGATSAGAFGQPSTPAFGTPSSSPFGSSAPTFGASPAPAFGATSSTFGSGSSLFGQKPSFGGFGSSPSQSNAFGGTFQQTQPAFGSSTFGASSTPAFGATTTTAFGATTTPAFGTTTPAFGSTSPSLFGATSAPTFGSSGFGSSGTPAFGASSTPGFGASSSASFGTSTSAFSFGSSPSFGQTASTFGSTPFGTSTSPFGAQTSPFGSQTAAPTFGQTSFGNQAGGTRIQPYTQTPDADSATSGAQPTAKLDSISAMEAYKAKSHEELRWEDYQRGDKGGPNPSGTPAATPSFPSPLNNQFPQNPSNAFQTSNAFQTTSVSNPFAAKPSTGFGSTSTTLFNSPFNNTSAASSSPFASTTSSPLFTQTSSSLFANSTPGFASSPFGASLSNPSSFSTGLSLVNTQSAGLFSSSPAFAQQPFTQASSGFGLSTPAFSTGSLFSTPTPGMTGGLFGSMSSPFSSTAFQQSAPTPSMFSFQPQTQTAPTGGFPGISNTMNQAPFGQPTPSQSNMVMQPALVTNPFGTLPAMPQMSIGNGGSAPSVQYGISSLPVADKPLTNRTSLSMVVPRHLSQRRIKVLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLIIRPIDQWPSRGTVDRQPIPKNLVDTDKHKGPLAISPTRSTSIENGIQRDDRASNEPDTVTRHGNGTSVERLVPKLVHADYYTEPSLEELAAKERAEPGYCSRVRDFAVGRHDYGSIKFIGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAVVTLLNIKCMNKKTGDQYTEGPRVDKYKEMLVKKAEEQGAEFISFDAVNGEWKFRVKHFSSYGFGEAEIVSC >ORUFI12G04610.2 pep chromosome:OR_W1943:12:3248717:3264235:1 gene:ORUFI12G04610 transcript:ORUFI12G04610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSNPFGQSSTSPFGQTSSNPFGAQTGGFGQANTTTTNPFAPKPFGSPITAFGAQTGNSPFGTTSTGAFGQPSAPTFGSTSTGAFGQPSAPAFGSMSTGAFGQPSAPAFGSTSTGAFGQPSTQAFGTPSSSPFGSSTPAFGASPAPVFGATSSTFGSGSSLFGQKPSFGGFGSSPSQSSAFGGPFQQAQPAFGGSTFGAASTPTFGTTTTPSFGATTTPAFGTTTPAFGSTSTSVFGASSAPAFGSTGFGSSTTPGFGSSGTTAFGAGSTLGFGASSSGMSTSAFNFGSSPSFGQTIPTFGSTPFGTTSSTFGSQTSTFGSQTTAPAFGQTSFGNQAGGTRIQPYTQTPDADSATSGTQPAAKLNSISAMEAYKAKSHEELRWEDYQRGDKGGPNPSGTPAVTPSFPSPVNNQFSPNPPNAFPSASANNPFAPKQPSTGFGSISSVFNSISSNTAPASSSPFAPTISNPFPTQTNCSQFVNSASSPSLFGTINQNSFSTSGTNSQSVGLFGPSPSIVQQPSQASSGFTSSFPSFSGSLFSPPTPVATGGLFGSGPSPSTPTLQQPVPAQMPSILFQPPAQTASTGGFPGVSNTNQAPFGQPTPSQSNMVMQPALVKNPFGMLPATPQMSIGNGGSAPSVQYGISSLPVAEKPHTSRASLSMVVPRHLSQRRIKLLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLVIRPIDQWPSRGTMDRQQIPKNSADIDEHEGSLAEREFNKTAISPTRSTSIENGIHRDDRASNEPDTVTRHGNGTSVERLAPKLVHADYYTEPSLEELAAKERAEPGYCSRVRDFAVGRHDYGSIKFIGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAVVTLLNIKCMNKKTGEQYTEGPRVGKYKEILVKKAEEQGAEFISFDAAKGEWKFRVKHFSSYGFAFGQSSTSPFGQTSSNPFGAQTGFGQASTSTSNPFAPKPFGSPTTTFGAQTGGSPFGTTSTGAFGQPSTPAFGSTSTGAFGQPSTPAFGATSAGAFGQPSTPAFGTPSSSPFGSSAPTFGASPAPAFGATSSTFGSGSSLFGQKPSFGGFGSSPSQSNAFGGTFQQTQPAFGSSTFGASSTPAFGATTTTAFGATTTPAFGTTTPAFGSTSPSLFGATSAPTFGSSGFGSSGTPAFGASSTPGFGASSSASFGTSTSAFSFGSSPSFGQTASTFGSTPFGTSTSPFGAQTSPFGSQTAAPTFGQTSFGNQAGGTRIQPYTQTPDADSATSGAQPTAKLDSISAMEAYKAKSHEELRWEDYQRGDKGGPNPSGTPAATPSFPSPLNNQFPQNPSNAFQTSNAFQTTSVSNPFAAKPSTGFGSTSTTLFNSPFNNTSAASSSPFASTTSSPLFTQTSSSLFANSTPGFASSPFGASLSNPSSFSTGLSLVNTQSAGLFSSSPAFAQQPFTQASSGFGLSTPAFSTGSLFSTPTPGMTGGLFGSMSSPFSSTAFQQSAPTPSMFSFQPQTQTAPTGGFPGISNTMNQAPFGQPTPSQSNMVMQPALVTNPFGTLPAMPQMSIGNGGSAPSVQYGISSLPVADKPLTNRTSLSMVVPRHLSQRRIKVLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLIIRPIDQWPSRGTVDRQPIPKNLVDTDKHKGPLAISPTRSTSIENGIQRDDRASNEPDTVTRHGNGTSVERLVPKLVHADYYTEPSLEELAAKERAEPGYCSRVRDFAVGRHDYGSIKFIGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAVVTLLNIKCMNKKTGDQYTEGPRVDKYKEMLVKKAEEQGAEFISFDAVNGEWKFRVKHFSSYGFGEAEIVSC >ORUFI12G04610.3 pep chromosome:OR_W1943:12:3248717:3264235:1 gene:ORUFI12G04610 transcript:ORUFI12G04610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSNPFGQSSTSPFGQTSSNPFGAQTGGFGQANTTTTNPFAPKPFGSPITAFGAQTGNSPFGTTSTGAFGQPSAPTFGSTSTGAFGQPSAPAFGSMSTGAFGQPSAPAFGSTSTGAFGQPSTQAFGTPSSSPFGSSTPAFGASPAPVFGATSSTFGSGSSLFGQKPSFGGFGSSPSQSNAFGGTFQQTQPAFGSSTFGASSTPAFGATTTTAFGATTTPAFGTTTPAFGSTSPSLFGATSAPTFGSSGFGSSGTPAFGASSTPGFGASSSASFGTSTSAFSFGSSPSFGQTASTFGSTPFGTSTSPFGAQTSPFGSQTAAPTFGQTSFGNQAGGTRIQPYTQTPDADSATSGAQPTAKLDSISAMEAYKAKSHEELRWEDYQRGDKGGPNPSGTPAATPSFPSPLNNQFPQNPSNAFQTSNAFQTTSVSNPFAAKPSTGFGSTSTTLFNSPFNNTSAASSSPFASTTSSPLFTQTSSSLFANSTPGFASSPFGASLSNPSSFSTGLSLVNTQSAGLFSSSPAFAQQPFTQASSGFGLSTPAFSTGSLFSTPTPGMTGGLFGSMSSPFSSTAFQQSAPTPSMFSFQPQTQTAPTGGFPGISNTMNQAPFGQPTPSQSNMVMQPALVTNPFGTLPAMPQMSIGNGGSAPSVQYGISSLPVADKPLTNRTSLSMVVPRHLSQRRIKVLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLIIRPIDQWPSRGTVDRQPIPKNLVDTDKHKGPLAISPTRSTSIENGIQRDDRASNEPDTVTRHGNGTSVERLVPKLVHADYYTEPSLEELAAKERAEPGYCSRVRDFAVGRHDYGSIKFIGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAVVTLLNIKCMNKKTGDQYTEGPRVDKYKEMLVKKAEEQGAEFISFDAVNGEWKFRVKHFSSYGFGEAEIVSC >ORUFI12G04620.1 pep chromosome:OR_W1943:12:3266758:3268327:-1 gene:ORUFI12G04620 transcript:ORUFI12G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMAMMVSWPAIAAAFIVAASLSSPAAMRASAAAAAGGGGGNNPTAGFAKVDLTGGDFQVQRPYDVPESRRFRYRDGVWTFWVYDSDKPFNTATHTNPRTEVRLRGHDYSSGVWQFEGYGYVPSGTSGVSVMQIHNEEGAEHATILMLHVYDGVLRFYDGPAIESNIYDRWFRLNVVHDVKASTVAVYIDGKQKFSTNVIPSESYYFKFGVYMQHRDWSNCMESQWTNVTVYTKSY >ORUFI12G04630.1 pep chromosome:OR_W1943:12:3270087:3279498:1 gene:ORUFI12G04630 transcript:ORUFI12G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAAAADDSIVYKLSALLEEVRPSAAALRAASEAADAVAGLVKRIPTQQATHEAVAGFVRDLGLAGEKLAFTFRPPEVVRVAGSHAAGGAVARPDVSADLLVRLPKECFHEKDFLNHRYHAKRCLYLHVIEKSLRSSPLIQKISWSTFLDEARKPILHVYPAKEIAELPGFYVRIIPTASFLFNVSKMNLSTRNNVRAYTKDGINLPTPKYNCSILEDMFLEENVEFISSSVADWKALQEALVLLKVWARQRTSIYTHDCLNGYLISAILVFLTVDSAGSMINRSMTSRQIFRVVMKFLATSKMWTKGLVIQPTKKRTITKEDMVCFLKTFDVVICDVSGHVNLASRMTKSAFIELQDEAACALNCLDKCKDGGFEELFMTKVDLGAKFDSCLRINLKGNLKITTSSFCLDDLAWRKLEKDVQSLLQQGLTDRTKMIRVLWRSTPSEWNIMDGFSEFGSSPLLVGIMLSSLEKSFRLVDIGPNPENRDEAIKFRKFWGEKAELRRFKDGTIAESTVWESESWEKHTIIKKIADHVLTKHLSLQKEDLIHVVDQLDFCLLVGGQDPVSSSGALFEAFDSLAKKLRLLGDVPLKISTVQPLDPAFRHTSVFPPEPHPLAYEKRSSQRLPNFTATCMRSLEVMIQLEGSGNWPLDPIAMEKTKSAFLLKMGESLEDQGMFVTASEDEVNVLTSGYSFLLKIFHERDGDGKAQNVPSEDKELFLRSQHSSMINGLHGRYQVYGPVVRLAKRWISAHLFSSFISEEAVELLVAYLFLKPYPFNVPSSRVAGFLSFDWTFSPMIIDINNDFNLKDEKEINENFMLCRKSYEQNPHDIEPAMFLATSYDKASEAWTRHSPSKPVLKRMASYAKSSAELLTNLIIQGQSGQYTWECVFRTPLSNYDAVVLLHQEKLCRPHQVLFPAETPNGKLVICGKPCKDFHPYMPLNKGVVKSLHDSREKILVNFDPTTYFLRDLKSAFPKTFKLWYDSIGGDAIGLTWENSKKRGRDEADETMLDPASILKEVGNVGKGLVRGVYLLKAPKLHLACKASDTGPIRCEDKVVASWSLSPSLKAARSRSAAKSTTQEDPVMPLLRAD >ORUFI12G04630.2 pep chromosome:OR_W1943:12:3270087:3279498:1 gene:ORUFI12G04630 transcript:ORUFI12G04630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAAAADDSIVYKLSALLEEVRPSAAALRAASEAADAVAGLVKRIPTQQATHEAVAGFVRDLGLAGEKLAFTFRPPEVVRVAGSHAAGGAVARPDVSADLLVRLPKECFHEKDFLNHRYHAKRCLYLHVIEKSLRSSPLIQKISWSTFLDEARKPILHVYPAKEIAELPGFYVRIIPTASFLFNVSKMNLSTRNNVRAYTKDGINLPTPKYNCSILEDMFLEENVEFISSSVADWKALQEALVLLKVWAPTSKMWTKGLVIQPTKKRTITKEDMVCFLKTFDVVICDVSGHVNLASRMTKSAFIELQDEAACALNCLDKCKDGGFEELFMTKVDLGAKFDSCLRINLKGNLKITTSSFCLDDLAWRKLEKDVQSLLQQGLTDRTKMIRVLWRSTPSEWNIMDGFSEFGSSPLLVGIMLSSLEKSFRLVDIGPNPENRDEAIKFRKFWGEKAELRRFKDGTIAESTVWESESWEKHTIIKKIADHVLTKHLSLQKEDLIHVVDQLDFCLLVGGQDPVSSSGALFEAFDSLAKKLRLLGDVPLKISTVQPLDPAFRHTSVFPPEPHPLAYEKSLEDQGMFVTASEDEVNVLTSGYSFLLKIFHERDGDGKAQNVPSEDKELFLRSQHSSMINGLHGRYQVYGPVVRLAKRWISAHLFSSFISEEAVELLVAYLFLKPYPFNVPSSRVAGFLSFDWTFSPMIIDINNDFNLKDEKEINENFMLCRKSYEQNPHDIEPAMFLATSYDKASEAWTRHSPSKPVLKRMASYAKSSAELLTNLIIQGQSGQYTWECVFRTPLSNYDAVVLLHQEKLCRPHQVLFPAETPNGKLVICGKPCKDFHPYMPLNKGVVKSLHDSREKILVNFDPTTYFLRDLKSAFPKTFKLWYDSIGGDAIGLTWENSKKRGRDEADETMLDPASILKEVGNVGKGLVRGVYLLKAPKLHLACKASDTGPIRCEDKVVASWSLSPSLKAARSRSAAKSTTQEDPVMPLLRAD >ORUFI12G04630.3 pep chromosome:OR_W1943:12:3270087:3279498:1 gene:ORUFI12G04630 transcript:ORUFI12G04630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAAAADDSIVYKLSALLEEVRPSAAALRAASEAADAVAGLVKRIPTQQATHEAVAGFVRDLGLAGEKLAFTFRPPEVVRVAGSHAAGGAVARPDVSADLLVRLPKECFHEKDFLNHRYHAKRCLYLHVIEKSLRSSPLIQKISWSTFLDEARKPILHVYPAKEIAELPGFYVRIIPTASFLFNVSKMNLSTRNNVRAYTKDGINLPTPKYNCSILEDMFLEENVEFISSSVADWKALQEALVLLKVWARQRTSIYTHDCLNGYLISAILVFLTVDSAGSMINRSMTSRQIFRVVMKFLATSKMWTKGLVIQPTKKRTITKEDMVCFLKTFDVVICDVSGHVNLASRMTKSAFIELQDEAACALNCLDKCKDGGFEELFMTKVDLGAKFDSCLRINLKGNLKITTSSFCLDDLAWRKLEKDVQSLLQQGLTDRTKMIRVLWRSTPSEWNIMDNLVAVLCLLA >ORUFI12G04630.4 pep chromosome:OR_W1943:12:3270087:3279498:1 gene:ORUFI12G04630 transcript:ORUFI12G04630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAAAADDSIVYKLSALLEEVRPSAAALRAASEAADAVAGLVKRIPTQQATHEAVAGFVRDLGLAGEKLAFTFRPPEVVRVAGSHAAGGAVARPDVSADLLVRLPKECFHEKDFLNHRYHAKRCLYLHVIEKSLRSSPLIQKISWSTFLDEARKPILHYFTVAAKEIAELPGFYVRIIPTASFLFNVSKMNLSTRNNVRAYTKDGINLPTPKYNCSILEDMFLEENVEFISSSVADWKALQEALVLLKMWTKGLVIQPTKKRTITKEDMVCFLKTFDVVICDVSGHVNLASRMTKSAFIELQDEAACALNCLDKCKDGGFEELFMTKVDLGAKFDSCLRINLKGNLKITTSSFCLDDLAWRKLEKDVQSLLQQGLTDRTKMIRVLWRSTPSEWNIMDAIKFRKFWGEKAELRRFKDGTIAESTVWESESWEKHTIIKKIADHVLTKHLSLQKEDLIHVVDQLDFCLLVGGQDPVSSSGALFEAFDSLAKKLRLLGDVPLKISTVQPLDPAFRHTSVFPPEPHPLAYEKSLEDQGMFVTASEDEVNVLTSGYSFLLKIFHERDGDGKAQNVPSEDKELFLRSQHSSMINGLHGRYQVYGPVVRLAKRWISAHLFSSFISEEAVELLVAYLFLKPYPFNVPSSRVAGFLSFDWTFSPMIIDINNDFNLKDEKEINENFMLCRKSYEQNPHDIEPAMFLATSYDKASEAWTRHSPSKPVLKRMASYAKSSAELLTNLIIQGQSGQYTWECVFRTPLSNYDAVVLLHQEKLCRPHQVLFPAETPNGKLVICGKPCKDFHPYMPLNKGVVKSLHDSREKILVNFDPTTYFLRDLKSAFPKTFKLWYDSIGGDAIGLTWENSKKRGRDEADETMLDPASILKEVGNVGKGLVRGVYLLKAPKLHLACKASDTGPIRCEDKVVASWSLSPSLKAARSRSAAKSTTQEDPVMPLLRAD >ORUFI12G04630.5 pep chromosome:OR_W1943:12:3270087:3274927:1 gene:ORUFI12G04630 transcript:ORUFI12G04630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAAAADDSIVYKLSALLEEVRPSAAALRAASEAADAVAGLVKRIPTQQATHEAVAGFVRDLGLAGEKLAFTFRPPEVVRVAGSHAAGGAVARPDVSADLLVRLPKECFHEKDFLNHRYHAKRCLYLHVIEKSLRSSPLIQKISWSTFLDEARKPILHVYPAKEIAELPGFYVRIIPTASFLFNVSKMNLSTRNNVRAYTKDGINLPTPKYNCSILEDMFLEENVEFISSSVADWKALQEALVLLKVWAPTSKMWTKGLVIQPTKKRTITKEDMVCFLKTFDVVICDVSGHVNLASRMTKSAFIELQDEAACALNCLDKCKDGGFEELFMTKVDLGAKFDSCLRINLKGNLKITTSSFCLDDLAWRKLEKDVQSLLQQGLTDRTKMIRVLWRSTPSEWNIMDGFSEFGSSPLLVGIMLSSLEKSFRLVDIGPNPENRDEAIKFRKFWGEKAELRRFKDGTIAESTVWESESWEKHTIIKKIADHVLTKHLSLQKEDLIHVVDQLDFCLLVGGQDPVSSSGALFEAFDSLAKKLRLLGDVPLKISTVQPLDPDTRLCFLLSLILWHMKKGLLRGCQILQLLACDH >ORUFI12G04630.6 pep chromosome:OR_W1943:12:3270087:3274927:1 gene:ORUFI12G04630 transcript:ORUFI12G04630.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAAAADDSIVYKLSALLEEVRPSAAALRAASEAADAVAGLVKRIPTQQATHEAVAGFVRDLGLAGEKLAFTFRPPEVVRVAGSHAAGGAVARPDVSADLLVRLPKECFHEKDFLNHRYHAKRCLYLHVIEKSLRSSPLIQKISWSTFLDEARKPILHYFTVAAKEIAELPGFYVRIIPTASFLFNVSKMNLSTRNNVRAYTKDGINLPTPKYNCSILEDMFLEENVEFISSSVADWKALQEALVLLKMWTKGLVIQPTKKRTITKEDMVCFLKTFDVVICDVSGHVNLASRMTKSAFIELQDEAACALNCLDKCKDGGFEELFMTKVDLGAKFDSCLRINLKGNLKITTSSFCLDDLAWRKLEKDVQSLLQQGLTDRTKMIRVLWRSTPSEWNIMDGFSEFGSSPLLVGIMLSSLEKSFRLVDIGPNPENRDEAIKFRKFWGEKAELRRFKDGTIAESTVWESESWEKHTIIKKIADHVLTKHLSLQKEDLIHVVDQLDFCLLVGGQDPVSSSGALFEAFDSLAKKLRLLGDVPLKISTVQPLDPDTRLCFLLSLILWHMKKGLLRGCQILQLLACDH >ORUFI12G04630.7 pep chromosome:OR_W1943:12:3270087:3274927:1 gene:ORUFI12G04630 transcript:ORUFI12G04630.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAAAADDSIVYKLSALLEEVRPSAAALRAASEAADAVAGLVKRIPTQQATHEAVAGFVRDLGLAGEKLAFTFRPPEVVRVAGSHAAGGAVARPDVSADLLVRLPKECFHEKDFLNHRYHAKRCLYLHVIEKSLRSSPLIQKISWSTFLDEARKPILHQKKSQNFLGSMIIPTASFLFNVSKMNLSTRNNVRAYTKDGINLPTPKYNCSILEDMFLEENVEFISSSVADWKALQEALVLLKMWTKGLVIQPTKKRTITKEDMVCFLKTFDVVICDVSGHVNLASRMTKSAFIELQDEAACALNCLDKCKDGGFEELFMTKVDLGAKFDSCLRINLKGNLKITTSSFCLDDLAWRKLEKDVQSLLQQGLTDRTKMIRVLWRSTPSEWNIMDAIKFRKFWGEKAELRRFKDGTIAESTVWESESWEKHTIIKKIADHVLTKHLSLQKEDLIHVVDQLDFCLLVGGQDPVSSSGALFEAFDSLAKKLRLLGDVPLKISTVQPLDPDTRLCFLLSLILWHMKKGLLRGCQILQLLACDH >ORUFI12G04630.8 pep chromosome:OR_W1943:12:3270087:3274927:1 gene:ORUFI12G04630 transcript:ORUFI12G04630.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAAAADDSIVYKLSALLEEVRPSAAALRAASEAADAVAGLVKRIPTQQATHEAVAGFVRDLGLAGEKLAFTFRPPEVVRVAGSHAAGGAVARPDVSADLLVRLPKECFHEKDFLNHRYHAKRCLYLHVIEKSLRSSPLIQKISWSTFLDEARKPILHVYPAKEIAELPGFYVRIIPTASFLFNVSKMNLSTRNNVRAYTKDGINLPTPKYNCSILEDMFLEENVEFISSSVADWKALQEALVLLKVWAPTSKMWTKGLVIQPTKKRTITKEDMVCFLKTFDVVICDVSGHVNLASRMTKSAFIELQDEAACALNCLDKCKDGGFEELFMTKVDLGAKFDSCLRINLKGNLKITTSSFCLDDLAWRKLEKDVQSLLQQGLTDRTKMIRVLWRSTPSEWNIMDSFRLVDIGPNPENRDEKEDLIHVVDQLDFCLLVGGQDPVSSSGALFEAFDSLAKKLRLLGDVPLKISTVQPLDPDTRLCFLLSLILWHMKKGLLRGCQILQLLACDH >ORUFI12G04630.9 pep chromosome:OR_W1943:12:3270087:3274927:1 gene:ORUFI12G04630 transcript:ORUFI12G04630.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAAAADDSIVYKLSALLEEVRPSAAALRAASEAADAVAGLVKRIPTQQATHEAVAGFVRDLGLAGEKLAFTFRPPEVVRVAGSHAAGGAVARPDVSADLLVRLPKECFHEKDFLNHRYHAKRCLYLHVIEKSLRSSPLIQKISWSTFLDEARKPILHYFTVAAKEIAELPGFYVRIIPTASFLFNVSKMNLSTRNNVRAYTKDGINLPTPKYNCSILEDMFLEENVEFISSSVADWKALQEALVLLKMWTKGLVIQPTKKRTITKEDMVCFLKTFDVVICDVSGHVNLASRMTKSAFIELQDEAACALNCLDKCKDGGFEELFMTKVDLGAKFDSCLRINLKGNLKITTSSFCLDDLAWRKLEKDVQSLLQQGLTDRTKMIRVLWRSTPSEWNIMDAIKFRKFWGEKAELRRFKDGTIAESTVWESESWEKHTIIKKIADHVLTKHLSLQKEDLIHVVDQLDFCLLVGGQDPVSSSGALFEAFDSLAKKLRLLGDVPLKISTVQPLDPDTRLCFLLSLILWHMKKGLLRGCQILQLLACDH >ORUFI12G04640.1 pep chromosome:OR_W1943:12:3285046:3293380:-1 gene:ORUFI12G04640 transcript:ORUFI12G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRRSLTLSGGRSGVSLLPVLCVGAVGVWMVLRSLAIVVDELIMIIGVDDDDTRRCRHGA >ORUFI12G04650.1 pep chromosome:OR_W1943:12:3285055:3290407:1 gene:ORUFI12G04650 transcript:ORUFI12G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASARVVVVDADDHDEFVDNNGQATELANKVSALREGVDDDKKRRVFSIVGFGGLGKTNAGEGAQVAVSQTFSGKDLQGLLRRVLRQITQPIVDRETVDDAEITQLQAAAASSQPNVNIDTMDVDDLATELKKRLDNKSPLDVNLRLDDTESKQLFISKAFGSKSPCPDDLKDAMESILKKCSGLPLAIVSIASLLANYKPPEGKEMWETIKNSIGSQMENNPTLEGMRQILTLSYNHLPHHLKACMMYLSIFPEDYMIAKDRLLKRWISEGLIVEKRGLCQMDLAEGYFNELVSRNMVDMVISKATFNLHQGIREDQFRVHDMMLEILVSKSLESNFVSLVGEFTLLRVLDLEDCTGLTNKHMSCICRMYLLRFLSLRGTDVKVMPSRIGDLEHLQMLDVRQTQLKDLPKSVTKLEKLEHLLFFDQGDSGWMLPQGINKMKALRQLKKAAVVFDAKVAEEIGELGQLQELAIFVDTGKKMNNGVVKKLASSLSKMYSLRWLDIGNLDAEPPPQLLRYLRICGHMNRLPDWVESLHDLVELQLRWTHVDGFQLFNVLCKLPNLKRLFLGSYFIHGKHMVVHSSQHFRELKELILGYTPSSCDVYEFEEGSMPNVERLVVYFGDQTKKIVGIEHFKKLKEVEYIGSKDNLRPVLEPVEQMNNKRDVSQRITIKVRYDW >ORUFI12G04660.1 pep chromosome:OR_W1943:12:3301381:3301728:1 gene:ORUFI12G04660 transcript:ORUFI12G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAKYKTTTSSWIMLRIGQVGVSSGRWQLQVCCVRPEVSDAGHRFLLPLLEVRSDRPCPKEYILIVVNIAALVVAINADKPDEAVVATAHGTALLLYLPPMLKARRVPFGAPCS >ORUFI12G04670.1 pep chromosome:OR_W1943:12:3303567:3305491:1 gene:ORUFI12G04670 transcript:ORUFI12G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTLQPGAVPLCKAVALKGSVTVTDVQAGSNTCHVWDQGHFALVLGGAGDGGVVAEAGEVSKWKLALFGAALGAGGTVLLGLVLVAVLSIPRRKSKVAEMAQRAYEEAVLGGGILCCRPIRFPRLYLLRIRIRIPFPLQTKNEIQSFDLDEQDQSPPLSQAMDAAAAAMVLLDRSVRFVDEVADVMKERGISHLDGDAAISAACAGITDWEERKAAEERAIEAESLHYRAERDAAAEAVIRRCKAGGGGGGGGGVTKMSFLSPGDLFERDGDILEGIDPDPPVVADVPGVSSLVFRLSSARTPADFERATYVAGADHNVVVVGAGHGHRRRRLDIIVTVERRSMCSGGDGVIRFVSIDDGEHPNDLTLTTWSLTMAPELKQWKQETLFSPGS >ORUFI12G04680.1 pep chromosome:OR_W1943:12:3309622:3310041:-1 gene:ORUFI12G04680 transcript:ORUFI12G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSVAVVLVVVSAQALAAVAVADAARVNAGAAAFSPAVPLGGRLDGGGGGLVECWSAVAELRSCTDEIVLFFLNGETTQLGAGCCRAVRAATRDCWPAMLAAVGFTAEEADVLRGLCDAEAAAAAADSTSPAPSAA >ORUFI12G04690.1 pep chromosome:OR_W1943:12:3310930:3321568:-1 gene:ORUFI12G04690 transcript:ORUFI12G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDFESMKRRELQALCKRHGLSAGGSNAALVARLAATLSGAATEEKAVGVVVGKGCMKRSGGGSEGFGAAKTVTFALEEEEEVMETRGRGRRGRRPRVMWSPVAAKTRGRQKAGEVVTDSEAGSGGGGKQRRGARVGGDAAAEGEPAAEAPRRRSRRNAVNSSDADGAEGDGAKEGEAVDNKRKQRQKQKQVAGDVGEEITVSVQDGVSGRSTRSSCLLTAVTVVQSPVVEKKRGRKRKGDVNEQICLEVQEHCAEVQDDGRKLRSGSTSVTTVSPPVVDCRRSRRKAEDPIVEKAAKVNVSTRSTRSSSVVTDIASPIVLENKRQKKEHIHLDVEPPTVPVVQANVAPSTRSLRNRVVQVKDNVMMETEVCKKLENKSLSCRPSTQYQTSAFVEEEDEQMVHDPSIGPKLRRSSRRQSVANELLLINSISESNNVSRAQTDAKGLKTAQPLMHNAAKTSMKNVVVRKDENAGLTKRKTGRGRDKIAKSVGKVSLSESSGEALPAEMQQEVIEPLKRSRRKSVVSTLLEEGTKCMHGSVRGDVLVKQPTEKRPVRRSTRKSVVSAMLDKESNGLTTEMMPEAHIRRSKRKSFLPNMLNDEKMDDCEMVRDEEHKHSKGNDPGKKLAIKEPARRTTRKSVVPIMFDRETKGLAAETNPEVHVRRSTRKSVVPNMPNSENKYHDELVRTVVGVAVAKQLEAKKPVRSNGGGFKLGKRRRTSMEMSCSSGNNTKVSDRQKSRKQQKVQTPASEGPCAKESTTDALQEIISFEESNADADDMVVRESTQDGNEGCHEYCNDSSASTQEVNRDNATVEETHREQWVNSEVHGSDSSDTAHEYVDLRTESIIHLSGISSEELGQSSSITELVSRAGISSENKVLLDDAEADLGAAVAQTLNANSNEEVLEDLDNPAAIAEELSSATALPLLDAEDHTDKNEIITLPEQLLGALSKLSSVDQLPPCTSDADALVIVNDSNACNIANWTAVKGTDDIQNVDIALSGDGIVASKSMTVAEVLSCAVATVLEHGTLAEHDFERTCMKNGDASLSLFDSGSPGNETDNGSFKALEFELNYLPTVNDERGKQAEEGGFTDVASQQFHDTTVAAVEYDLSCRNDIHDVRTCINSPFGSDVNYHQCSNGYKEADKCPEVHSDAASEKSNQGDDLCKNLSTVKGESPLASNFHVEDAAEHGSMLQIEINAERGSSDGMDSSYGLKSLFAEEGNQQHYMIDDGNIAAEVDSGNKLSDERHSSLGLKSLFAEEGNQQHNLIDVQHIAAKVDSGSKSSDVRHSSFGLKSLFAEEGNQQCNLVDDENIAVKVNSGSNSSDGRHSSFGLNSLFVEESNQQCNFIHDENIAVKVDSGNKSIGFKTSTFYTRVDCDLEDAAAQLIGEGDNALDVEQGVAYDKIMLSPLNDIGACSSYGRNPSIGQRSLYAQERGGSNVTNGAFVAAETDGKKDLDNIIVGLHMESDGIHTEMDVGLVSDSPENKLALEPVQQGDAEEGKFEKPSPGSAIPDCKHQQALVNEVVMHSVENKRGSPTAEQSSSSPQSMSLQESMDETMGYVSLAFAGVHSENGVDESNIDDQTPVLEPVEDHGAHVDSILVTKSGEGNGNEESSKASCEEQVECGQLGLFKDANCTETTNCQGIGYEGEVCNRVHSTDISTLCEKSDDNGSSKDAKGNSDALLSSSVIVPANDNDVHVSSNISQLESTDCLDEPTLFFNMGVHLGPNEKCNKRMEDQVPSGVSTIDISVPATANGLESGLTLLPANETLNLQDDQHNSELESTQVGQSGISCAEKSTNILELGTVNVVDKGNPCDHSLPQDCPMDHYQQHEGLNDIPVDKSLEASDMYLGNSVFRIEGIIEKPAINLATPDCKLQGTLLEFSLKNGAETPNSKHSPFGLQSLFSEENMDGSRQQDNAGFPCAENKVDESNSSHGKCRVEKPVSAEPVRCEGSHENLGIVKEIGSCVSSCQQVNEQEEFSEASHKKRWVAPIQLDLADDVNQTEREIISSELVCEKEEKIEVMSSDIDTPVRESHGTTHASPFSRPQICDPRSSQIFDDAHPSSNPSQLELPDVFHHDHEVLCSERNDQILPGIPSSPFSEAVSIKFSENETMLLEAAETSELLDEKLNPQPGCDELAEHDLSGFKDTEDSSDTEFMRYSIFRFPADGQIDSCQEMELPNDQSATKAREESAFSEGESVVGSCETNEQRCQVDCKEENEHKADQVTPCIPTFDLSGAASTKGSEGGITLLPDAKLPVFTAVQLNSKLDGEHNLSGGKDTGNIFDNRSVDDSYHEQELLNDLSAPKSLVEPCSACMDSCNGQAILEDMPGPKSPEDYQDDSVSGSVGDMFEPSPTERAEQETTLVSPAEMLVFKFGQHNNPNLVSVGGHNDSCDEDTADMFSTEPVASNNQHEQELPNDVSAPVSLKESAICQEDISVHTESCPGKSLPVPEDISAPKSPEERAIHQDDSVPRSAVLCQTSGRRRIDEISTKLLSFKISSTVKPSHIAMNAPSTKQVDNLSESAIALLRNRENTLAIKTDRPVKPNPDRSVAKNSSRRPLQPIGRRPEGH >ORUFI12G04690.2 pep chromosome:OR_W1943:12:3310930:3321568:-1 gene:ORUFI12G04690 transcript:ORUFI12G04690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDFESMKRRELQALCKRHGLSAGGSNAALVARLAATLSGAATEEKAVGVVVGKGCMKRSGGGSEGFGAAKTVTFALEEEEEVMETRGRGRRGRRPRVMWSPVAAKTRGRQKAGEVVTDSEAGSGGGGKQRRGARVGGDAAAEGEPAAEAPRRRSRRNAVNSSDADGAEGDGAKEGEAVDNKRKQRQKQKQVAGDVGEEITVSVQDGVSGRSTRSSCLLTAVTVVQSPVVEKKRGRKRKGDVNEQICLEVQEHCAEVQDDGRKLRSGSTSVTTVSPPVVDCRRSRRKAEDPIVEKAAKVNVSTRSTRSSSVVTDIASPIVLENKRQKKEHIHLDVEPPTVPVVQANVAPSTRSLRNRVVQVKDNVMMETEVCKKLENKSLSCRPSTQYQTSAFVEEEDEQMVHDPSIGPKLRRSSRRQSVANELLLINSISESNNVSRAQTDAKGLKTAQPLMHNAAKTSMKNVVVRKDENAGLTKRKTGRGRDKIAKSVGKVSLSESSGEALPAEMQQEVIEPLKRSRRKSVVSTLLEEGTKCMHGSVRGDVLVKQPTEKRPVRRSTRKSVVSAMLDKESNGLTTEMMPEAHIRRSKRKSFLPNMLNDEKMDDCEMVRDEEHKHSKGNDPGKKLAIKEPARRTTRKSVVPIMFDRETKGLAAETNPEVHVRRSTRKSVVPNMPNSENKYHDELVRTVVGVAVAKQLEAKKPVRSNGGGFKLGKRRRTSMEMSCSSGNNTKVSDRQKSRKQQKVQTPASEGPCAKESTTDALQEIISFEESNADADDMVVRESTQDGNEGCHEYCNDSSASTQEVNRDNATVEETHREQWVNSEVHGSDSSDTAHEYVDLRTESIIHLSGISSEELGQSSSITELVSRAGISSENKVLLDDAEADLGAAVAQTLNANSNEEVLEDLDNPAAIAEELSSATALPLLDAEDHTDKNEIITLPEQLLGALSKLSSVDQLPPCTSDADALVIVNDSNACNIANWTAVKGTDDIQNVDIALSGDGIVASKSMTVAEVLSCAVATVLEHGTLAEHDFERTCMKNGDASLSLFDSGSPGNETDNGSFKALEFELNYLPTVNDERGKQAEEGGFTDVASQQFHDTTVAAVEYDLSCRNDIHDVRTCINSPFGSDVNYHQCSNGYKEADKCPEVHSDAASEKSNQGDDLCKNLSTVKGESPLASNFHVEDAAEHGSMLQIEINAERGSSDGMDSSYGLKSLFAEEGNQQHYMIDDGNIAAEVDSGNKLSDERHSSLGLKSLFAEEGNQQHNLIDVQHIAAKVDSGSKSSDVRHSSFGLKSLFAEEGNQQCNLVDDENIAVKVNSGSNSSDGRHSSFGLNSLFVEESNQQCNFIHDENIAVKVDSGNKSIGFKTSTFYTRVDCDLEDAAAQLIGEGDNALDVEQGVAYDKIMLSPLNDIGACSSYGRNPSIGQRSLYAQERGGSNVTNGAFVAAETDGKKDLDNIIVGLHMESDGIHTEMDVGLVSDSPENKLALEPVQQGDAEEGVHSENGVDESNIDDQTPVLEPVEDHGAHVDSILVTKSGEGNGNEESSKASCEEQVECGQLGLFKDANCTETTNCQGIGYEGEVCNRVHSTDISTLCEKSDDNGSSKDAKGNSDALLSSSVIVPANDNDVHVSSNISQLESTDCLDEPTLFFNMGVHLGPNEKCNKRMEDQVPSGVSTIDISVPATANGLESGLTLLPANETLNLQDDQHNSELESTQVGQSGISCAEKSTNILELGTVNVVDKGNPCDHSLPQDCPMDHYQQHEGLNDIPVDKSLEASDMYLGNSVFRIEGIIEKPAINLATPDCKLQGTLLEFSLKNGAETPNSKHSPFGLQSLFSEENMDGSRQQDNAGFPCAENKVDESNSSHGKCRVEKPVSAEPVRCEGSHENLGIVKEIGSCVSSCQQVNEQEEFSEASHKKRWVAPIQLDLADDVNQTEREIISSELVCEKEEKIEVMSSDIDTPVRESHGTTHASPFSRPQICDPRSSQIFDDAHPSSNPSQLELPDVFHHDHEVLCSERNDQILPGIPSSPFSEAVSIKFSENETMLLEAAETSELLDEKLNPQPGCDELAEHDLSGFKDTEDSSDTEFMRYSIFRFPADGQIDSCQEMELPNDQSATKAREESAFSEGESVVGSCETNEQRCQVDCKEENEHKADQVTPCIPTFDLSGAASTKGSEGGITLLPDAKLPVFTAVQLNSKLDGEHNLSGGKDTGNIFDNRSVDDSYHEQELLNDLSAPKSLVEPCSACMDSCNGQAILEDMPGPKSPEDYQDDSVSGSVGDMFEPSPTERAEQETTLVSPAEMLVFKFGQHNNPNLVSVGGHNDSCDEDTADMFSTEPVASNNQHEQELPNDVSAPVSLKESAICQEDISVHTESCPGKSLPVPEDISAPKSPEERAIHQDDSVPRSAVLCQTSGRRRIDEISTKLLSFKISSTVKPSHIAMNAPSTKQVDNLSESAIALLRNRENTLAIKTDRPVKPNPDRSVAKNSSRRPLQPIGRRPEGH >ORUFI12G04700.1 pep chromosome:OR_W1943:12:3326872:3327427:-1 gene:ORUFI12G04700 transcript:ORUFI12G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTPSHQNPTAYHLLALNSGGNQITRSATTAMLRELQALCRVHGLPTGGSSADLADRLAALVILPGRGDAAAAAEMTAARWGGKGCLKRPGGGGGSGPTKKVKFILEEEEAAAVAAAAADAGMEVRQRSQRLAGNPPGDASC >ORUFI12G04710.1 pep chromosome:OR_W1943:12:3335908:3343952:1 gene:ORUFI12G04710 transcript:ORUFI12G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSVLALLLVPPVSVQRRLWVRCSISTRAHLHGVHTRVAMPLLPASPPPLSLSRSLAAAAAASRRGRAVTCAAARGAASSSSASFDAAGFEAERLRLDAEARAGMASAAAVAGAEAADPKAWKWAIRKRVWDALEAEGVARDPRPVHHRIPNFDGAAAAADSLGRLDVFQDAQCVKVNPDSPQKQVRFLTLSGDKKLLTPQPRLRTGFFSVLESHMIPIGCIPEACTSVGAAKYGRPIGLDEKIKVDLIVIGSVAVDPSTGARLGKGEGFAELEYGMLRYMGSISDSTMIVTTVHDKQLVNDIPVEKLLVHDVPVDIICTPTQVIFTNTTIAKPQGIYWEKLSPEKLGQIRILQELKRRIESETGTTLPCGPSEKLPPTAQRRRRKRRR >ORUFI12G04710.2 pep chromosome:OR_W1943:12:3335908:3342430:1 gene:ORUFI12G04710 transcript:ORUFI12G04710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSVLALLLVPPVSVQRRLWVRCSISTRAHLHGVHTRVAMPLLPASPPPLSLSRSLAAAAAASRRGRAVTCAAARGAASSSSASFDAAGFEAERLRLDAEARAGMASAAAVAGAEAADPKAWKWAIRKRVWDALEAEGVARDPRPVHHRIPNFDGAAAAADSLGRLDVFQDAQCVKVNPDSPQKQVRFLTLSGDKKLLTPQPRLRTGFFSVLESHMIPIGCIPEACTSVGAAKYGRPIGLDEKIKVDLIVIGSVAVDPSTGARLGKGEGFAELEYGMLRYMGSISDSTMIVTTVHDKQLVNDIPVEKLLVHDVPVDIICTPTQVIFTNTTIAKPQGIYWEKLSPEKLGQIRILQELKRRIESETGTTLPCGPSEKLPPTAQRRRRKRRR >ORUFI12G04720.1 pep chromosome:OR_W1943:12:3344504:3346677:-1 gene:ORUFI12G04720 transcript:ORUFI12G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCTGDEVAGPGEQRRRPLDADAGGDEGAVATTSSSLLAAPMLSRRRQAEEMAAMVSALASVVAGGGGTSLPAKRPAEREPEEAVEGVWWSYCSELAAAAAAPSPAAPFPAGYVPSPGQHSPASAAAGDEEELPSPSSADSSGGGGGTARKRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEDAARAYDGAALRFRGSRAKLNFPEHATLPPPPTTTTAAAHRCPPPPRTDALLESQELSPGAGGGDPYSQYARFLQGSGGDGGEAAARPGGGASQRTPPATTLLYSFEGDAASAACLWQPESGGGGMGWPATSPAAWLEYGFYPPPERRDTDT >ORUFI12G04730.1 pep chromosome:OR_W1943:12:3357287:3360662:-1 gene:ORUFI12G04730 transcript:ORUFI12G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRKMRFAMVCSSNMNRSMEAHSLLGRAGLDVASYGTGTHVKLPGPSLHEPNVYDFGTPYNVIYDDLRRKDPDLYKRNGLLPMLKRNTSVKLAPQRWQDNAGDGLFDVIFSFEERVFDLIVDDMHNREQRMLKNALIINMDVKDNHEEAGVGAKLALDLCQKLEGADEDWEEIIDDLIITFEKQHKRKLTYNMAFY >ORUFI12G04740.1 pep chromosome:OR_W1943:12:3362052:3369870:1 gene:ORUFI12G04740 transcript:ORUFI12G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAASRLAAAATSSSTLEMFSRHLAAASSAWGIASEGEEERELVVPYQVVPWGMNARDYYDVLGVNKDASASDIKKAYYLLAKKFHPDTNKEDADAEKKFQEVQRAYEVLKDDDKRETYDQLGAEAYERQASGGGPDDFSGSHPFGDIFGDMFDNPFAMRGGRDVKVPIELSFMEAVQGCRKTIAYEADMEVVFLLALYLKHVKPVEVLIFMQRGIMSIETTCSRCGGSGKIVKNFCKTCKGEQLVKGKKSVKLDIMAGIDDNDTMKVFGKGGADVERNKPGDLYVTIKIREDPVFRREGNHVHVDAIISIAQAVLGGTVTVPTLTGNVSVKVRQGTQPGEKVVLRGKGIKARNSPAYGNQYIHFNVRVPTDVTQRQRELMEEFDKEECNEGERVAAASG >ORUFI12G04750.1 pep chromosome:OR_W1943:12:3362974:3380187:-1 gene:ORUFI12G04750 transcript:ORUFI12G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMWMRQLGEEVHVVCGLMGPSPAWALGDRTTRLGPELAISIGPMTSIGGIRCPTPPSPPSASFLSLHLQYAFVVTPLAATDVVVVVTTASFRLNINKT >ORUFI12G04760.1 pep chromosome:OR_W1943:12:3386313:3387633:-1 gene:ORUFI12G04760 transcript:ORUFI12G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRVVTWAFWSLSVFKAAAMVAEFLVGRSNDVASRRRMGVEVIARYMEIEESLAAGDQPANPRTMKGYKYIFHGEATVAPMSRDGDILAQISSSKSVVTIDWVYPWIDDQVGYSELEKDLARDICLAFTLYKLLKLRLYGNWMMYIKTVYGCVRDERPWYNRRRRHLWWKEYIAPPKMNYWEDNLGEYVLLEGFNHRPWVWNLLSWLTLCLVEPRRQGQKRGRTKHLTREVSGAVLLSFKSSSGRLTNGISTLRRHGLSSRLGWACTFPNLTDQILVWHVVTTRCDWASGRGRSRRDDDHQNRLVARRLSNYCAYLVAFVPEMLPDPSYIAQQIFDTTVQQARDHFDGCRTTSSVLARLQEIQDKERCGARVRETSQLHHHREGGAARRPAQDGYGQRGAAVADAGRVLG >ORUFI12G04770.1 pep chromosome:OR_W1943:12:3390127:3395674:1 gene:ORUFI12G04770 transcript:ORUFI12G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFVGLLVPIAVSLLLRKRRMVGKMRALPVDVGGEPGYAIRNYRFKQPVETHWEGVTTLAELFEQSCKDYVNMPLLGTRKLISREQESSLDGRSFEKLHLGEYDWKCYAEVFKSVCNFASGLIRLGHQKTERVAIFAETRAEWQIALQACFRQNITVVTIYASLGEEALCHSLNETEVTTVVCGQKELKKMIDISGQLDTVKRVIYINEEGISAEVSLAQKSTSWIIEPFEDVGRLGDTAPVDANMPLPSDVAVIMYTSGSTGLPKGVMMTHRNVLATLSAVMTIVPEIGKKDVYLAYLPLAHILELAAEALIAAVGASIGYGSPLTLTDTSNKIKKGTLGDASALKPTLMTAVPAILDRVRDGVRKKVDTKGGVAKQLFDVAYNRRLAAVNGSWLGAWGLEKLLWDMLVFKKVRAVLGGKIRFVLSGGAPLSGDTQRFINICLGVPIGQGYGLTETCAGGTFSEYDDPSVGRVGAPLPCSYIKLIDWSEGGYLTSDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDEKGMRWFYSGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVENIMIHADPFHSYCVALVVAAHNELENWASQQGVTYTDFVDLCQKPEAVKEVLGSLSKAAKQARLEKFEIPAKIKLISEPWTPESGLVTAALKLKREVLRKTYEDDLAKLYA >ORUFI12G04770.2 pep chromosome:OR_W1943:12:3390170:3395674:1 gene:ORUFI12G04770 transcript:ORUFI12G04770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFVGLLVPIAVSLLLRKRRMVGKMRALPVDVGGEPGYAIRNYRFKQPVETHWEGVTTLAELFEQSCKDYVNMPLLGTRKLISREQESSLDGRSFEKLHLGEYDWKCYAEVFKSVCNFASGLIRLGHQKTERVAIFAETRAEWQIALQACFRQNITVVTIYASLGEEALCHSLNETEVTTVVCGQKELKKMIDISGQLDTVKRVIYINEEGISAEVSLAQKSTSWIIEPFEDVGRLGDTAPVDANMPLPSDVAVIMYTSGSTGLPKGVMMTHRNVLATLSAVMTIVPEIGKKDVYLAYLPLAHILELAAEALIAAVGASIGYGSPLTLTDTSNKIKKGTLGDASALKPTLMTAVPAILDRVRDGVRKKVDTKGGVAKQLFDVAYNRRLAAVNGSWLGAWGLEKLLWDMLVFKKVRAVLGGKIRFVLSGGAPLSGDTQRFINICLGVPIGQGYGLTETCAGGTFSEYDDPSVGRLIDWSEGGYLTSDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDEKGMRWFYSGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVENIMIHADPFHSYCVALVVAAHNELENWASQQGVTYTDFVDLCQKPEAVKEVLGSLSKAAKQARLEKFEIPAKIKLISEPWTPESGLVTAALKLKREVLRKTYEDDLAKLYA >ORUFI12G04770.3 pep chromosome:OR_W1943:12:3390259:3395674:1 gene:ORUFI12G04770 transcript:ORUFI12G04770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFVGLLVPIAVSLLLRKRRMVGKMRALPVDVGGEPGYAIRNYRFKQPVETHWEGVTTLAELFEQSCKDYVNMPLLGTRKLISREQESSLDGRSFEKLHLGEYDWKCYAEVFKSVCNFASGLIRLGHQKTERVAIFAETRAEWQIALQACFRQNITVVTIYASLGEEALCHSLNETEVTTVVCGQKELKKMIDISGQLDTVKRVIYINEEGISAEVSLAQKSTSWIIEPFEDVGRLGDTAPVDANMPLPSDVAVIMYTSGSTGLPKGVMMTHRNVLATLSAVMTIVPEIGKKDVYLAYLPLAHILELAAEALIAAVGASIGYGSPLTLTDTSNKIKKGTLGDASALKPTLMTAVPAILDRVRDGVRKKVDTKGGVAKQLFDVAYNRRLAAVNGSWLGAWGLEKLLWDMLVFKKVRAVLGGKIRFVLSGGAPLSGDTQRFINICLGVPIGQGYGLTETCAGGTFSEYDDPSVGRLIDWSEGGYLTSDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDEKGMRWFYSGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVENIMIHADPFHSYCVALVVAAHNELENWASQQGVTYTDFVDLCQKPEAVKEVLGSLSKAAKQARLEKFEIPAKIKLISEPWTPESGLVTAALKLKREVLRKTYEDDLAKLYA >ORUFI12G04780.1 pep chromosome:OR_W1943:12:3399693:3401538:1 gene:ORUFI12G04780 transcript:ORUFI12G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWAFRLPVFRPPPPPPPPPAKNPVKEEEGVARVVVVVAPPPPVDPGEEEAGKRAAKRARRCLNCDAVETPQWRSGPMGRSTLCNACGVRLRAVGSLPEHRAPAARTTTAAPASPPDSPIWTPGHKPPSSSPDIYLVRRTPKLPVTRPPRTKQAPPTAPAPAPPPPPPQPASPKTKTKAKAKKPKRKRSCVHCGSTETPQWREGPTGRGTLCNACGVRYRQGRLLPEYRPKGSPTFSPSVHAANHRQVLELRRQQRQSTNPSTPPPPPVSAAEPIPDEQKEEVVSVPVAAAAPATDGGAASSLDALLLDGPSAPLIVDGDDFLVS >ORUFI12G04790.1 pep chromosome:OR_W1943:12:3403640:3405798:-1 gene:ORUFI12G04790 transcript:ORUFI12G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSGDETAPFFGFLGAASALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >ORUFI12G04800.1 pep chromosome:OR_W1943:12:3407252:3413031:-1 gene:ORUFI12G04800 transcript:ORUFI12G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVYKPAAEVNLGPDSDEFYISPNVKAPRVAGLLVKIFVWILEMPIIGSMVLYILKKDNLINKLVQDAEIPEPPLFTSTHSWEDIPEQNVCLTKPDLSPPERVQEAVSCLPASLESTLAGSPPSSPKRWTIRDFNRAYSSGEVTPVQVAKRFLAAVKECSGPGLNMAFFISYSPEDIIRQAEESTLRYQRGTPLSAMDGILVAVKDEIDCLPYPTTGGTRWLGRARACAADAAVVAQLRACGAVLAGKTNMHELGAGTSGINPHHGSTRNPHNPGRVSGGSSSGSAAAVCAGLCPVALGVDGGGSVRMPAALCGVVGFKPTAGRLSNAGVLPLNWTVGMPGILAGTPELNLPLLKSSLSIKNIKLAKYAKWFNDSSEDIRSCCDKSLQMLHAHYGWETLDVTIPEIEEMRLAHYVTIGSECTASLAKYLDKLKRSEIGWDVRVALGVYGSFSSRAYLNSQRLRNRQMYFHKEIFKTADVIVSPMTGVTAYKLQDDALKSGELDYINGAALVRYSIAGNFLGLPAITVMEACKKHYKKPEVFYDLLKKD >ORUFI12G04800.2 pep chromosome:OR_W1943:12:3407252:3413031:-1 gene:ORUFI12G04800 transcript:ORUFI12G04800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVYKPAAEVNLGPDSDEFYISPNVKAPRVAGLLVKIFVWILEMPIIGSMVLYILKKDNLINKLVQDAEIPEPPLFTSTHSWEDIPEQNVCLTKPDLSPPERVQEAVSCLPASLESTLAGSPPSSPKRWTIRDFNRAYSSGEVTPVQVAKRFLAAVKECSGPGLNMAFFISYSPEDIIRQAEESTLRYQRGTPLSAMDGILVAVKDEIDCLPYPTTGGTRWLGRARACAADAAVVAQLRACGAVLAGKTNMHELGAGTSGINPHHGSTRNPHNPGRVSGGSSSGSAAAVCAGLCPVALGVDGGGKQTVVLPRSVSISSMTCTCADRRRRRRRGFAGSVRMPAALCGVVGFKPTAGRLSNAGVLPLNWTVGMPGILAGTPELNLPLLKSSLSIKNIKLAKYAKWFNDSSEDIRSCCDKSLQMLHAHYGWETLDVTIPEIEEMRLAHYVTIGSECTASLAKYLDKLKRSEIGWDVRVALGVYGSFSSRAYLNSQRLRNRQMYFHKEIFKTADVIVSPMTGVTAYKLQDDALKSGELDYINGAALVRYSIAGNFLGLPAITVMEACKKHYKKPEVFYDLLKKD >ORUFI12G04810.1 pep chromosome:OR_W1943:12:3418987:3425177:-1 gene:ORUFI12G04810 transcript:ORUFI12G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTPRLSFPSAFELASCSDLPAHSRLLRVVVEFEETSNLQLGELLINEKQHTVLMVMDRTSWLWRRKPSDKSPGGAENTVSVSSHSEHYSDDQEVLRPVSNNASPHLGQSPGMPSRVRDDGTQETGVTKPSNEKLALGFKLNDSSPRHGQSSEPQSSSNVRDEDVKENLKSLNDKLAAAFLTINAKEELVRQHAKVTEEAVLGWEQAESEVAALKKLLEASAQKNGSLEVQVSHLAEKNASLEVQVSRLDEALKECVRQLHLAREDQAEKVHDVVTKSQELESENSKLQNRITELKKQLETTKLEASNMSIDHDLQEKFQAIKKENMDLKSKLLVQSKDLKILSLERDLSNQAAETASKQHLENVKKIARLEAECRRLHHLTRKATLINDSRPLANNTCVESLTDSQSDSAERMAAVDNELRNSDSWASALVAEFDQFKNGNADEKNLVNNPVVIDLMDDFLEMERLAALPESDRTSSTFDMETDSDKAVTRNSSSKLETEELRNQVADLHAQVEKIESEKKELEMALMEARNQLDISCNALVAAKNRLVEMQMELDLANDSKHDALRDFEGLNSEKKALEFQLESKSVRVEELLQVVASLEENTDKKELESQLELLSVEAKELRLTVTSLEEKIEAERSLSVQHQAEAVAACNAKESLEEQLYSANTEVERLHVIVKELEDEVEKEKMRQEELVAELEMKMETAVEAIKESLEAQLCAANTEVERLNGIVQALENDIEKEKALHKELTAQLEVKFEEEKARSVQTVKESMEAQLCSSNTEVLKLRDIVKALENEVEKEKALHEDLSAQLEAKIEAERTFSVEAIKESFQSELQSVNSEVVELRGMVTALEHEVVKEKTFSAELQMQLEALEAIKRVLESEIESAHQDNRKLNDKVSSAADFTAKEEAMQSERRAMKQQLEAAKMEVGKLTNKVSLLQGEVLLERLLSEEFEQEYHKLEARLSRDSRDAKLWRLANSNGGLKAKQEKELANAAGKLAECQKTIASLGRQLKSLTDIDNTIVEPERLEPREIREMPLDFRNSDADFAVFADELYDFDLPKVNSSCFSPLPSIQPSSPPSEMSVFAGGLSSLSSFRSKRRK >ORUFI12G04820.1 pep chromosome:OR_W1943:12:3430131:3434695:-1 gene:ORUFI12G04820 transcript:ORUFI12G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASATAPPSRRTRSRPPSASSRKSDDPSAAAANGNGKASSKPTSPGQLTGERTVRKLRLSKALTIPEGTTVSEACRRMAARRVDAVLLTDAQGLLSGIVTDKDVATRVVAEGLRVEQTIMSKIMTRNPTYAMSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRLEKAAEQGSAIAAAVEGVERQLGGNFSAPYAFIETLRERMFKPSLSTIVTEGTKVAIVSPSDPVYVATQKMREFRVNSVVVATGNTLQGIFTSKDLLMRVVAQNLSPELTLVEKVMTVNPDFATLDTTILDALHIMHDGKFLHIPVLDREGQIAACLDVLQLTHAAIQLVVEGGNDTVNDVANTVMQRFWDSTLALESPDEECDSRRSVDGFHLLNVSLTCSPLIQFSSTFPSEVSLLLASETGDGKSSIYPPVIGNSFAFKLQDQKGRVHRFTCGSESLNELASSIKQRLSITDEEGIMQLLYEDDEGDRVLLTTDADLAGAVLHAKSSGLKVLKLHIDLSESSTEVTKPSQQLAAARRSRLSPVRVGLMAGVVALSGAAVMVYLKRAKV >ORUFI12G04830.1 pep chromosome:OR_W1943:12:3437010:3437535:1 gene:ORUFI12G04830 transcript:ORUFI12G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSAVGVHGSAADSGSVRSARTAAERDAEAEATRDTKAAAVEPPEWLQTLPVAPEYHPTLVEFADPIAYILRIKPEASRNGICKIVPLLLQPPEDDTIRRLLQCRQPLPDLPHVGLSTKNRRAASRRVWESGERYTLEAFCAKVPEFEPSRHAALPKNPTHLQLKALF >ORUFI12G04840.1 pep chromosome:OR_W1943:12:3441356:3443334:1 gene:ORUFI12G04840 transcript:ORUFI12G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSGGQSEVEATRKPQRHEPGGSVGQPVAVARLLLLRQGAAEHLPQDVGQPAGERRGGAGRTRWRSSASTPATARPPRPARACSSRTTPTENNFRLKNSEHTYILVSTLRELGSQEASLKTLNMYILAHRENASWLLYFTRFSRSQEAYLKTPKIDAANLLRHMDIERMHHGCCILQGFSRSLFFWFH >ORUFI12G04850.1 pep chromosome:OR_W1943:12:3447474:3451160:1 gene:ORUFI12G04850 transcript:ORUFI12G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYTVGKWIRGTGQAMDRLGSTIQGGLRVEEQLSRHRTIMNIFEKEPRVHKDVFVAPSAAVIGDVEIGHGSSIWYGSILRGDVNSIHIGSGSNIQDNSLVHVAKANISGKVLPTIIGNNVTIGHSAVLHACTVEDEAFVGMGATLLDGVVVEKHSMVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTEEEIAFIAQSATNYINLAQVHAAENSKTFDEIELEKMLRKKYAHKDEEYDSMLGVVREIPPELILPDNILPNKAQKAVAH >ORUFI12G04860.1 pep chromosome:OR_W1943:12:3452043:3456564:-1 gene:ORUFI12G04860 transcript:ORUFI12G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPQPHGRGREKAAGAGPRLPPPVTAPSVGRPASVLPHKTANVRDHYRIGKKLGQGQFGTTYLCVGKPDGGEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYTERAAALLIRTIVGVVEGCHSLGVMHRDLKPENFLFASTAEDAPLKATDFGLSVFYKPGDKFSDVVGSPYYVAPEVLQKIYGPEADVWSAGVILYILLCGVPPFWAETESGIFRQILRGKLDLESDPWPSISDSAKDLVRNMLIRDPTKRFTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITYDELKNGLKRVGSDLMEPEIQALMDAADIDNSGTIDYGEFLAATLHMNKLEREENLVSAFTFFDKDGSGFITIDELSQACEQFGLSDVHLEDMIKDVDQNNDGQIDYSEFAAMMRKGNAGGANAGGVTSTGGTGRRTMRNSLRVNLGDILKPNEN >ORUFI12G04870.1 pep chromosome:OR_W1943:12:3462450:3465130:1 gene:ORUFI12G04870 transcript:ORUFI12G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRGSGDGTRTQWAAAVRRGDGDSAATMRRWRGDATFARRTREARRCEVGAAQRWDGAGREAAWDSARRRRWLGAPSQLGAVAVGRKRARGTEEVISDVLGVEVFRQTIAGNILVGSFCSPADAINRGSEVVAAGMAVNDWAAFCGADTTADGAVRGGAWWRACSET >ORUFI12G04880.1 pep chromosome:OR_W1943:12:3465552:3470271:-1 gene:ORUFI12G04880 transcript:ORUFI12G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRAGNPLRVRAHQALSARGCANLEVILNADAKNVEEHHEGDCQKLDCCHQPKPLVCQPSFSSGRFMWSRGFSSQAGASSGDKQDELEEGFSDLDVPPEAHKKDLDLTSDESSDEDTIDAIGLSEVEADAKPEEPIKKSQSTLLKALLESPRVDVAGALKKWLNDGNTLDRSELFYVLLSLRRRKLYIKALQLLEYVEESKLIDLGERDYASRVDLVAKTHSIYKAEKYIENVPASHRGEIVYRTLLANCVAIANVKKAEQVFNKMKDLGFPVSVFSCNQLLLLYKRVDKKKLGDVLTMMEKENVKPSLFTYKLLVDTKGAARDIEDMEKVIQAMQADGIEPDLLFQATIARHYIFGGYREKAEAILEQMVGDDINENRSACKFVLPLYAFLGKNDDVERIWKVCEANARLDECMSAIEAFGKLGDVEKAEEIFDNMFKTWKTLSSKYYNAMLKVYANKKLFDKGKELAKRMGDDGCRLGPYTLDSLVKLYSDAGEVEKADSILHKLSYKNKIKPLYTTYLMLLDSYSKKGDVHNAEKLFSKVRQMGYTGRIRQYQLLLEAYLNAKTPPYGFKERMKADGIFPNRAVASLLAATDPFNRKNAMSKLLD >ORUFI12G04890.1 pep chromosome:OR_W1943:12:3471959:3475258:-1 gene:ORUFI12G04890 transcript:ORUFI12G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLLPPLRLLPATRLRATSRPASPRRGLSYSYPRRVAAVLRQCRAAAPPPPAAPDAVPRWHAALAAAAGLYPAYVTAGAAVAVARPDAFRWFVALAPGSYTFALGLIMLAMGLTLELREFLALLRERPLSILFGCAAQYTIMPAFGAIVSRVLGLSPPISVGLILLGCCPGGTASNVVTLVAKGDVPLSIVMTVCSTLGAVFLTPLLTKILAGAYVPVDAVKLSLSTLQVVVAPILLGSSIQSAFPSVVKFVTPFAPLLAVLTSSLLACSVFSENFVRLRSTIADASYGNGGLFSGDIGVVMLSVFLLHCAGFVVGYTTAAIGGFKERQRRAISIEVGMQNSSLGVVLATAHFSSSLVALPAALSAVIMNIMGSTLGLVWQFMTPPDSKNENR >ORUFI12G04900.1 pep chromosome:OR_W1943:12:3479358:3482031:1 gene:ORUFI12G04900 transcript:ORUFI12G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDQMMNTMRDQAANLTSMNPLFYPFMADDALLGMAPPPPQQLLPSVSIQHMDWIPDTMLDNLTFIEEKIRQVKDVIRSMAGSRASSSSAATPEQQLVNADLTCLIVQLISTAGSLLPSLKNSSFLSRTTPPPAAAAGAAQAVSLAAGESSSSARNNETNREDEEEQMGSPDYDELFKGWTNGGAMDEFVGAAGDEQDARENPAAAAAAEEEEKYEVLQLEEDEILAPHTHFCGICGKGFKRDANLRMHMRGHGDEYKSAAALAKPPPPPEGEEQPERRYSCPHAGCKRNRMHASFQPLKTILCVKNHYKRSHCEKRHVCGRCGAKRFSVMADLKTHEKHCGRDRWLCSCGTTFSRKDKLFAHVALFQGHAPALPPPPPPPPGDGATRHVLVLRVGSGSGGGDEFFSAGSFGAMDFGFGQLDASLAMLLPSESEQFAGDHQEENGDDK >ORUFI12G04910.1 pep chromosome:OR_W1943:12:3482958:3487204:1 gene:ORUFI12G04910 transcript:ORUFI12G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPKRINYMAPMLASAVILLLLVSGYFELPSISSYSAAPAPALFATALDAVGTRERSPFTSLLSAFADWDAAVGCPRIRAKLDAVGPPGYGANSTAAAAASITGGAGWGGGGGGGRCEDVRTRHVGVLVKGWTWIPDALDGVYTCRCGVSCVWSKSAAAVDRPDALLFEGATPPPQRMKGLPLRVYLDLEAARKPTGFEDIFIGYHAKDDVQVTYAGKSFHTSRSYHVSTEKRNDALIYWSSSRCLPHRDKVAKDFLSLVPHHSFGKCLNNVDGPDMALSMYPVCSTNDNGKPHWWDHLHCAMSHYKFVLAIENTKTESYVTEKLFYALEAGSVPIYFGAPNVWDFIPPNSIIDASKFSSLRELASYVKAVANDPVAYAEYHAWRRCGTLGNFGRSREMSLDTLPCRLCELVSKRGGRNADAL >ORUFI12G04910.2 pep chromosome:OR_W1943:12:3482958:3486535:1 gene:ORUFI12G04910 transcript:ORUFI12G04910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPKRINYMAPMLASAVILLLLVSGYFELPSISSYSAAPAPALFATALDAVGTRERSPFTSLLSAFADWDAAVGCPRIRAKLDAVGPPGYGANSTAAAAASITGGAGWGGGGGGGRCEDVRTRHVGVLVKGWTWIPDALDGVYTCRCGVSCVWSKSAAAVDRPDALLFEGATPPPQRMKGLPLRVYLDLEAARKPTGFEDIFIGYHAKDDVQVTYAGKSFHTSRSYHVSTEKRNDALIYWSSSRCLPHRDKVAKDFLSLVPHHSFGKCLNNVDGPDMALSMYPVCSTNDNGKPHWWDHLHCAMSHYKFVLAIENTKTESYVTEKLFYALEAGSVPIYFGAPNVWDFIPPNSIIDASKFSSLRELASYVKAVANDPVAYAEYHAWRRCGTLGNFGRSREMSLDTLPCRLCELVSKRGGRNADAL >ORUFI12G04920.1 pep chromosome:OR_W1943:12:3487799:3497702:1 gene:ORUFI12G04920 transcript:ORUFI12G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVRERQRSMFVIVGDKSRDQIVNLNYMLAKSRVKSRPSVLWCYRDKLEISSHKKKRAKQIKKLMQRGLMDPEKADPFSLFLETSDITYCLYKDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILLLRSLSSLTSLYTMVMDVHERFRTESHTQAAARFNERFLLSIASCKSCVVMDDELNILPISSHMKFIQPVTNNEDSEGLSERERELKDLKDQFREDFPVGPLIGKCFTMDQGKAVINFLDSILDKSLRSTVALLAARGRGKSAALGLAIAGAIAAGYSNIFVTAPSPENLKTLFDFVCKGMNALEYKEHLHYDVVKSADPELKKATIQINVYKQHRQTIQYLKPHDHGKLSQVELLVIDEAAAIPLPIVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLESQSQPSAPSNGPNSSRLFKKIELNESIRYASGDPIESWLNDLLCLDLANSIPNISRLPHPKECDLYYVNRDTLFSYHKESEIFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVVQVCLEGQISRKSAMKSLSEGRSPSGDQIPWKFCEQFQDNVFPSLSGARIVRIAVHPSAVRLGYGSAAVDLLTRYYEGQMTLFAEDEEENEEPEVRITEAAEKASLLEETVKPRANLPPLLVHLRERRPEKLHYLGVSFGLTQELFRFWRKHNFYPFYVGQIPSAVTGEHTCMVLRPLNSDDIEVNESSKCGFLDPFYQDFRQRFRRLLGTSFRHLNFKLAMSVLASKIDFSDHEPSDYYTNITSKILGDMLSPHDMKRLEAYSNNLVDYHLILDLVPILAHQYFSEKLPVTLHGAQAAVLFCMGLQDKDIGATKEELGIEREQVLSNFIKTMKKLYGYLHNIAGKEIEATLPRLKEIDTAPLKSLDEDLDEAAREVKEQRRAIDEDDVDPKFLQKYAIDADDDEIEKALNGGKISASGVISVKSNKTKADKQEKRKEMKKSKRKGNDGEKSESKKKRS >ORUFI12G04930.1 pep chromosome:OR_W1943:12:3500881:3503428:1 gene:ORUFI12G04930 transcript:ORUFI12G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSWWVVAACVVLAAAAAADPRDGFTAVSLGDGNFQLQWPYDVESSSRYSFDGTVRRLWVFSDDKPFKPQSGTNPRTEIRMTGYDYSSGVWQFEGTGYVPSGTTGVSIMQVFGGGTATTLMLHVYGGDLWYYHQQVVETNIYDRWFRLNVIHDVAASQLTVFIDGRERLRVAGKGGDSHYFKFGVYMQMNPSNRMESRWKGISILNKT >ORUFI12G04940.1 pep chromosome:OR_W1943:12:3509891:3510181:1 gene:ORUFI12G04940 transcript:ORUFI12G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSSPAAADPTEGFTAVRLGERNFQLQWPYDVKNSSRYSFDGTVRRLWVFSDDKPHTPRSKTKPRTEIRMTLVN >ORUFI12G04950.1 pep chromosome:OR_W1943:12:3517931:3525157:1 gene:ORUFI12G04950 transcript:ORUFI12G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLRGLLLLPLLRRRSCSPLLLRRRRLLRGWPPPLVSAIPSRAFGSSGLPARACASSGFSGMAAVSPPTPQQQQQRCVVEVREGVELTEKEERIFRRLLDVVRHFGLGTQLRVAGGWVRDKLLGKDSTDIDIALDNMTGQNFCEKVNEYSELLGEEQKGIGVIQCNPDQSKHLETARMLIFDIWIDFVNLRSEKYAENSRIPTVEIGTAKEDAFRRDLTINSLFFNINTNTVEDLTGRGIEDLKKGLIVTPLPAKATFLDDPLRVLRAIRFAARFNFTLTEDLKDAASDEKVKSELGSKISKERIGHEVDLMMSDKHPVNAMCYIRDLGLFYVVFAFPEKPDPPVPDKCDRLCVSHIEVAWNLAHSIGCSVFSGGSDSKSQDEHRRLCFYSALFTPVRNTIYLDKKSKKERWGEPSISPGLGQPMVYIGPHTSILTRLLHHLQIPVTNYIIRDSLKLKASDADTVVNVHAASEKFAELINLLESNENLTTVKEKLDDEYLEIPTDSVKRVLAGLILREIKDFWRVALFICTLTYPEVSSGGDSLGQPDELHQRKEKYTRAEQLDGVWKMKPLLDGKAIMGIMQVKGGPLIGKWQQRLLKWQLAYPRGTTEECIEWMKQSQSKRQKV >ORUFI12G04950.2 pep chromosome:OR_W1943:12:3517931:3525157:1 gene:ORUFI12G04950 transcript:ORUFI12G04950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLRGLLLLPLLRRRSCSPLLLRRRRLLRGWPPPLVSAIPSRAFGSSGLPARACASSGFSGMAAVSPPTPQQQQQRCVVEVREGVELTEKEERIFRRLLDVVRHFGLGTQLRVAGGWVRDKLLGKDSTDIDIALDNMTGQNFCEKVNEYSELLGEEQKGIGVIQCNPDQSKHLETARMLIFDIWIDFVNLRSEKYAENSRIPTVEIGTAKEDAFRRDLTINSLFFNINTNTVEDLTGRGIEDLKKGLIVTPLPAKATFLDDPLRVLRAIRFAARFNFTLTEDLKDAASDEKVKSELGSKISKERIGHEVDLMMSDKHPVNAMCYIRDLGLFYVVFAFPEKPDPPVPDKCDRLCVSHIEVAWNLAHSIGCSVFSGGSDSKSQDEHRRLCFYSALFTPVRNTIYLDKKSKKERWGEPSISPGLGQPMVYIGPHTSILTRLLHHLQIPVTNYIIRDSLKLKASDADTVVNVHAASEKFAELINLLESNENLTTVKEKLDDEYLEIPTDSVKRVLAGLILREIKDFWRVALFICTLTYPEVSSGGDSLGQPDELHQRKEKYTRAERLITELGKESTDDCRLPYDFLHDVVLLSEHLNVKTNLFISLLRFSDLYLTTSSPELDGVWKMKPLLDGKAIMGIMQVKGGPLIGKWQQRLLKWQLAYPRGTTEECIEWMKQSQSKRQKV >ORUFI12G04950.3 pep chromosome:OR_W1943:12:3517931:3525157:1 gene:ORUFI12G04950 transcript:ORUFI12G04950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLRGLLLLPLLRRRSCSPLLLRRRRLLRGWPPPLVSAIPSRAFGSSGLPARACASSGFSGMAAVSPPTPQQQQQRCVVEVREGVELTEKEERIFRRLLDVVRHFGLGTQLRVAGGWVRDKLLGKDSTDIDIALDNMTGQNFCEKVNEYSELLGEEQKGIGVIQCNPDQSKHLETARMLIFDIWIDFVNLRSEKYAENSRIPTVEIGTAKEDAFRRDLTINSLFFNINTNTVEDLTGRGIEDLKKGLIVTPLPAKATFLDDPLRVLRAIRFAARFNFTLTEDLKDAASDEKVKSELGSKISKERIGHEVDLMMSDKHPVNAMCYIRDLGLFYVVFAFPEKPDPPVPDKCDRLCVSHIEVAWNLAHSIGCSVFSGGSDSKSQDEHRRLCFYSALFTPVRNTIYLDKKSKKIPVTNYIIRDSLKLKASDADTVVNVHAASEKFAELINLLESNENLTTVKEKLDDEYLEIPTDSVKRVLAGLILREIKDFWRVALFICTLTYPEVSSGGDSLGQPDELHQRKEKYTRAEQLDGVWKMKPLLDGKAIMGIMQVKGGPLIGKWQQRLLKWQLAYPRGTTEECIEWMKQSQSKRQKV >ORUFI12G04950.4 pep chromosome:OR_W1943:12:3517931:3525157:1 gene:ORUFI12G04950 transcript:ORUFI12G04950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLRGLLLLPLLRRRSCSPLLLRRRRLLRGWPPPLVSAIPSRAFGSSGLPARACASSGFSGMAAVSPPTPQQQQQRCVVEVREGVELTEKEERIFRRLLDVVRHFGLGTQLRVAGGWVRDKLLGKDSTDIDIALDNMTGQNFCEKVNEYSELLGEEQKGIGVIQCNPDQSKHLETARMLIFDIWIDFVNLRSEKYAENSRIPTVEIGTAKEDAFRRDLTINSLFFNINTNTVEDLTGRGIEDLKKGLIVTPLPAKATFLDDPLRVLRAIRFAARFNFTLTEDLKDAASDEKVKSELGSKISKERIGHEVDLMMSDKHPVNAMCYIRDLGLFYVVFAFPEKPDPPVPDKCDRLCVSHIEVAWNLAHSIGCSVFSGGSDSKSQDEHRRLCFYSALFTPVRNTIYLDKKSKKIPVTNYIIRDSLKLKASDADTVVNVHAASEKFAELINLLESNENLTTVKEKLDDEYLEIPTDSVKRVLAGLILREIKDFWRVALFICTLTYPEVSSGGDSLGQPDELHQRKEKYTRAERLITELGKESTDDCRLPYDFLHDVVLLSEHLNVKTNLFISLLRFSDLYLTTSSPELDGVWKMKPLLDGKAIMGIMQVKGGPLIGKWQQRLLKWQLAYPRGTTEECIEWMKQSQSKRQKV >ORUFI12G04960.1 pep chromosome:OR_W1943:12:3528693:3531109:-1 gene:ORUFI12G04960 transcript:ORUFI12G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGQSGDGASHGHTSTVVETAKEEALAGGYDEEEEDCTPLPNGLICSRQNWETMYGKFGAFEDETDASPMRNTDRPVPPYYLPISMMQFFSVKVIEIMGGLQWPLHVYGIVATRDSQDNKRNFLFRCDREHCQTLASPQDSCLRLTRPSRAIVIVNPVVVEVDLKVKGSRGPPSEDKVLSEHAFVHNHTGHRIKPGFPHRQLESTEDSTMEFVFAHLQSAVEATITVGVVEGSPDFRARKLILRMEATDHGGDDTAVVKEEIFCARKTSRSQSYFMLGFCKLSIIIAWSLLP >ORUFI12G04970.1 pep chromosome:OR_W1943:12:3532593:3533542:1 gene:ORUFI12G04970 transcript:ORUFI12G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACCSLGCLVCGLLGAALFLGCLEPEGLPLGRLLDRRVAYANLLTDELEYSDLKAETSGNEHFNAQVLSILLALAHLQGPSRAILLLDNPLFEIDLKVKGQGSPSEDKALSYYVFPSECHRHRFSICTGFQETKNDDEPTLWHGYSDATSVKPPIYTAIGP >ORUFI12G04980.1 pep chromosome:OR_W1943:12:3535061:3536010:1 gene:ORUFI12G04980 transcript:ORUFI12G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDMEAEGASGELGHKRADLEKPTEEERASNGGGDAAKTPSEDHHDSARRRAMAMENDDDDDEYYDEPLPNPLDAYRQSWARSYGTNGATFEDETDLPPMPNTDIPVLPPSAQPMETMQVFTVKVTQITGGLRWPLGVYGVVAVRDSMDHKRNVLFRRGRNECQTLTSLQACANCSYPIIL >ORUFI12G04990.1 pep chromosome:OR_W1943:12:3537782:3541943:1 gene:ORUFI12G04990 transcript:ORUFI12G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRNNYLCAHQIIRNLFLSEDGSVPEDIQHLLNLILHEFDKREIFHFHGSLVSLANVSLFFKSMYDHIRFVMPPDDLRAILTNLPYADVWESKVKTNRILKKPYDFNPDGRIVPADKPSQTCLNKRQREFLHALGLTPIRGQKSLTPDQIALIETLFFFDFLRNRTSHRMDPWRSLILGYNAVDSEYACHVRFPLVVPYLQLELYNRGQLQALQLGHLF >ORUFI12G04990.2 pep chromosome:OR_W1943:12:3537852:3540202:1 gene:ORUFI12G04990 transcript:ORUFI12G04990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQPFPWSGDKPSSRRGGRFLSSSLDSTRRLRRPDSTHSPPHFFWSHFVHLSEVFCHY >ORUFI12G04990.3 pep chromosome:OR_W1943:12:3540702:3543504:1 gene:ORUFI12G04990 transcript:ORUFI12G04990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRNNYLCAHQIIRNLFLSEDGSVPEDIQHLLNLILHEFDKREIFHFHGSLVSLANVSLFFKSMYDHIRFVMPPDDLRAILTNLPYADVWESKVKTNRILKKPYDFNPDGRIVPADKPSQTCLNKRQREFLHALGLTPIRGQKSLTPDQIALIETLFFFDFLRNRTSHRMDPWRSLILGYNAVDSEELPPSRAGDGGQEQGGSLLRSRVRVVRSSRRRPLWVSRNVPGSMECWLEESGKKVVKYKMPNVVIKQMMSYPYTYPEYAYTEEELAQRSASNSESSSMKR >ORUFI12G05000.1 pep chromosome:OR_W1943:12:3543073:3559566:-1 gene:ORUFI12G05000 transcript:ORUFI12G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCGCTTPVAMVKGLVCITPSSSTTAATMPQALFRTVRRTRGAQRNVPSVSSPAMHHEGNGVGGSDPRPGNAVPVPKTWDSSNQHSMDPGTFLDTQRGLLLEDLTTLTRDRRRLPPCSWPPSPARDGGSSLQEEENRQATMAASGSEGTANDPTRVSPQPFSSLFSPSHQS >ORUFI12G05000.2 pep chromosome:OR_W1943:12:3543073:3559566:-1 gene:ORUFI12G05000 transcript:ORUFI12G05000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCGCTTPVAMVKGLVCITPSSSTTAATMPQALFRTVRRTRGAQRNVPSVSSPAMHHEDSSNQHSMDPGTFLDTQRGLLLEDLTTLTRDRRRLPPCSWPPSPARDGGSSLQEEENRQATMAASGSEGTANDPTRVSPQPFSSLFSPSHQS >ORUFI12G05010.1 pep chromosome:OR_W1943:12:3548997:3550016:1 gene:ORUFI12G05010 transcript:ORUFI12G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRDSEDGGGEVARSRGRRTRGGAGRWRWVERDALPPLAVSRCRVSVSLLYRLHLRAEPKRGRPSTGLFELGLQLHLRAEPKRGRAGTGLFELVNYRIWWEDRLRRAGTRLFEMLRAEPKRRPGTGLFELGLQLHLRAEPKRGRPGTGLFELGLQLHLWAEPKGDRPSKGLFELGLQLHLWAEPKREAKQIEAADCSRTKSENEGKNERSVQKQESIRSKNKGV >ORUFI12G05020.1 pep chromosome:OR_W1943:12:3563910:3564169:1 gene:ORUFI12G05020 transcript:ORUFI12G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARSFPNWVMLERFIFRVDDDKEFPDDENPFHVAFRLSNPPGISRIYVQLPGFPNSRNVLPFSVVATHRHLVLFSLISAAW >ORUFI12G05030.1 pep chromosome:OR_W1943:12:3564300:3565602:1 gene:ORUFI12G05030 transcript:ORUFI12G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGNPDDVHQLYSWKTDTVIPFDNQLCWIDYMRGILFYDPAAIVVSFLPFPVDHETPRRNKECFWLYRGVSVLDASGVLKFIDVARDDGLGFESLRRDAGFTVTCYSLVLGEHKKKKKKHRRTMEWREDYKITSNELWSINSLDCLPRTLLMFPQVDIDRPHIVHFLAPELRYVIKKMWVVAIDMNTKIVESSSLYIYGKEDLQTEDADLTRANTSSCPATILLSSKYAMVPGEDSVVSRHWLKELFHVVVYFYAV >ORUFI12G05040.1 pep chromosome:OR_W1943:12:3568103:3573834:-1 gene:ORUFI12G05040 transcript:ORUFI12G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANSSASAAGAGGGSAAAAGLKTYFKTPEGRYKLQYEKTHSAAVLHYNHGGKTVSQLTVAYLKEKPAGQGSTPSTPSSSSGMRSAAARLLGSGNGNRTLSFVGGNGVSRAVSGSSRIGGGLGTSGGIGSSQAVANYDGKGTYIIFNTADTLFISDLNSQDKDPIKSIHFSNSNPLCHAFDPEAKEGHDLIIGMGLFNVTEATIARFWKEACCGPTLQQGRCTSVAWVPEREGIFVVSHSDGNLYVYDKCKDGNTECTFPAIKDPAQLMISHAKSSKSNPIARWHVCQGSINAISFSPDGAYLATVGRDGYLRVFDFSKEQLIFGGKSYYGALLCCTWSSDGKYLLTGGEDDLVWSMDDRKIVAWGEGHNSWVSGVSFDSYWSPPNSDGTGENTVYRFGSVGQDTQLLLWDLALDEIAVPLRHPSSGSPTFSSGSPSAHWDNACLPTGVLQPSPRMRDVPKLSPLVAHRVHVDPLSGLVFTNESILTICREGLIKIWVRPDQNENNHQSNSSELVLGNPVSKDRVITTSNKATGSSFKQSSAVVFT >ORUFI12G05050.1 pep chromosome:OR_W1943:12:3574388:3591538:-1 gene:ORUFI12G05050 transcript:ORUFI12G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLPYRRGRGYRAPAEVTANEDINYIPRSSGLWAMVGMKIITHQYDLQLAHLNITAGWREYTFSMEEVKPERSNSSTSTISDQQQQQCCGFLLLCGGDGVGRRHARQNRDDELEASCGIKAARQTAASSPSRRRQQYLWEFRDE >ORUFI12G05060.1 pep chromosome:OR_W1943:12:3597811:3598717:1 gene:ORUFI12G05060 transcript:ORUFI12G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVVGERRRRAVILVEGAAAVVVVQAEPAIIAATGTGTIPANFSSLNISIRSGAASASSASNPNRASPFPALALHPHHQQHDVSAMLGYHHHLLPPPQQQQESPQDPGGAGAFMRKRYREDLFKEDDDRQDPSAPKAREQQPTTPQAAAAAAAAAMWAVAPNTAAPGGAFWMLPVSASSAAAARPTEQPMWSFAGGGGGAATVQAPLQFMSTRVNYPGSAGAGMSDTNLGMLAALNAYNRGGAGDQQPQQQPEMDQQGRNDDDDDDGDDSGDEDNGGANNNNNNSSQ >ORUFI12G05070.1 pep chromosome:OR_W1943:12:3607544:3607795:1 gene:ORUFI12G05070 transcript:ORUFI12G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANGDGPARGSAAAAASLRRRRTTGGAGAGARGGTSTMLQFYTEEAAGCKMSPNAVLIMSIGFFAVVALLHVFGKLYRTSSN >ORUFI12G05080.1 pep chromosome:OR_W1943:12:3611604:3614527:-1 gene:ORUFI12G05080 transcript:ORUFI12G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSGRNGTGHGQIAGPDEEIPAGGDAKRSREEGGRNTTTTCQEDEDEDNDYYNDDSNFLDSYRTTWATNYGKNDGSSFEDETAIRPMQHTDGPVLPISSWPMDVLQIFSVKVTEVMGNLEWPLRVYGIVAVRDSLDHKRNDSSLTLTGPSRAVVLINPVMFEVDLKVITHNNSGLPLSESEEDDKVLSYNAFFYDGVAHMNNTGFARRSVESTEHSTMEFVFAHLIFAVEATIAVRVIEGSTDFRARLTARTAGIDEDVVLLDSGDGKVAVVNDDDDSGPLVVLQRRVVVVEEKGSLILGVEAAEIGSEEIVTRQVDIRPRHALRSRCGFNLGFCRMSVMIAWSVLP >ORUFI12G05090.1 pep chromosome:OR_W1943:12:3625316:3630304:1 gene:ORUFI12G05090 transcript:ORUFI12G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSESSSDFIPYNLLLQGAFTMSLLGLHHIDIDVTDPTQGGYTTALNSIRERDQERAGQNTFHGVAITSLNKQWRRINLVHQDRPTVSLLIDESNGYLVGFRRGDGTWMHFNDQELPNVPGTEQRVPGIPIQLSSSYMNLIKDNERPYFSVGRQSLRHSYFVLLNYDAEVDLRSAMMKRQRKALTQLIILFCEAARIRPVLEFISEAMSTEDTTPLDETLWTWIKNWSTLSRFALHCRRCERDATPLDPNEIKHVSPYGITSREQVLEMLLFNSLMIFERKKCDDNGCDRCGENVVFLMILKLIH >ORUFI12G05100.1 pep chromosome:OR_W1943:12:3630980:3637077:1 gene:ORUFI12G05100 transcript:ORUFI12G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGGGGGGGGGGGGGGGRGGRGGHARRDARPRDDRGRDGPRDDRVRHGDRRPHDYRPRRTPSPGRDHRIRRTPSPYRDRRRIPDYHPRRTPSPHRDRDRDRHAAGRPRGRGDDDRDRDPPRGRSPPPYRGDDRGRYDGPPDYMLPDHPSSLRPARKDGEFFGEPGMTLRVCSTEMGRTSSLYLDRRSPPLPPPPPPPPVRVASPPLYTLVPPAETGFLTGGSAMKAGENFGTGSSRSLLDGGGEFQYRDRLDDPYVERSREREIDRLYAGRSLHLERDGEMDRLYPSKGVLGADLAPSAYAGSSSSLLAKERPYRLHDGTSYEPTNGYAMEELGRLSHDTLGHVSGHAHRFSGSPLEHGSGRDGKILLDITRQKHSNHSPRDASMEYVRRDPVNAYLPPENLHGNGPQVSSPSVRRMFGSSSLTGRKDERIDHQVRLPLRMAEDEDTFQGMHDGMERDVQHSYRGDALTRHRRTRNSDVRYSRSPESERIKLGRHPVQHGYSSFDDTHEFSDQEVSPVVSRGRPRKAMYRDHVTEHYQTDDSPLGREYYDDDVDSYDLSPQRMAEPYDMVDDQDKYDARYDLPNNRNVFSRITLRDDTNDGWADEDQENNHQSNSITYGHSQNKPISQRLSRPTGHSQFGGFPMHGRGRGKSAKKRLRSALPQFHVGSTQGGDGFVRPNKRFKLTEVNHDDPEMSHEDAPEDEDISMQKDPPEGSEEFTKQVHQAFLKYTKLLNESPTVQKRYREAAKGSLSCCVCGSVPRKFPDIDALISHAYDTCKMGLKTKHLGFHKALCVMMGWNWHVAPDTTKTYHSMPDEEVNAMKDDLMLWPPVVIIHNSSIATKAKATDAKIVSKEEIEGVLTEIGVACDKAKISHGRPANQSVFLVKFLPTISGFQEAMRIHEHFTVKSHGKEEFQHIKGGKGKKDAPVDELEELLYAHIAVAEDLGYLDEETKKRCLVRSKNDIEAKADATLNLDS >ORUFI12G05110.1 pep chromosome:OR_W1943:12:3641182:3646165:1 gene:ORUFI12G05110 transcript:ORUFI12G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVALYARGGGCGAKAAAARRSGRGGGGRRRAAVVLLLALAYVAGLLVFVLAGGGGVGVGGRVEVGREVGVMTVASLRRRGGGGGGGGGAPFFLRDDASSASAAASSLSSTSWRRSMLMTSHYQNPGELWMPCVNRKLIRPELPPSNGYLMIEANGGLNQQRLSICDAVAVASLLNATLVIPAFHFNSVWRDHSKFGDIFDEDHFIETLKEHVRVVKELPVDVLTRFDHNISSIPNMRTKAYSSPNHYMQKVLPKLLELGAVRIAPFSNRLAQSVPSNLQALRCFVNYQALRFAEPIRVLAEDMVERMVKRSTLTGGKFVSVHLRFEEDMVAFSCCTYDGGLKEKTEMENARERSWRGKFHRHGRVINPEANRRDGKCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNAEKYMAPLRQMFPLLATKDTLALPEELAEFEGHSSRLAALDYSVCLPSEVFVTTQGGNFPHFLMGHRRYLFGGNARTIKPDKRKLVLSFDDPNIRWNRFKRHMQDILHHSDMRGTALRKPNDSIYTFPMPECMCQQDGMM >ORUFI12G05120.1 pep chromosome:OR_W1943:12:3649528:3649869:-1 gene:ORUFI12G05120 transcript:ORUFI12G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAPLLPSWRAAAAAWVALCLVPVVLSLAVLWLPLLCCAVAVFRFRRVRMMRSSAATGGRRRCGGGGGGRWPEKGEGEDSGGDRGMLLQKYLEDQMELVGEFAADPPPVES >ORUFI12G05130.1 pep chromosome:OR_W1943:12:3665346:3665882:-1 gene:ORUFI12G05130 transcript:ORUFI12G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKGSPAPERAGTPSERRRRWCWRRRRGGGDARIGGGSGSAGSPAAEEDEASRPFLGMPGWSSHEKGTTTTEAVVVTSLVTAARDDEQRREGDGDVENMEAVEEDEVEEEEARRRWWRRRGMVVDDDGDDGEGSGRGGGGSGSGIVVVVGGGGGSGCGMPRSSGEGDRESDSGMDG >ORUFI12G05140.1 pep chromosome:OR_W1943:12:3665401:3666132:1 gene:ORUFI12G05140 transcript:ORUFI12G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPLPPPPPTTTTMPLPLPPPPLPLPSPSSPSSSTTIPRRRHHRRLASSSSTSSSSTASIFSTSPSPSRRCSSSRAAVTSDVTTTASVVVVPFSWELHPGIPKNGLDASSSSAAGEPALPLPPPIRASPPPRRRRQHHRRRRSDGVPARSGAGDPFTAAIAECTREEDSADDLPGRRRSDAAAAAASSEHRWRGLAGGSGFVGFLDLYGCKSAMAVADAAILARRRPVVARSKPSRATRRDK >ORUFI12G05150.1 pep chromosome:OR_W1943:12:3678527:3679081:1 gene:ORUFI12G05150 transcript:ORUFI12G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLISRRSIQLVLVVAAVVAIAGAVHAAAGETTATTTHIKVYWHDVVSGPSPTAVQVARAATTNSSASFFGAVVVIDDPLTSGPDLNASSPVGRAQGTYVSAGKDTVALLMNMNFVFQSGRYNGSTVAIMGRNEVFAAVREMAVVGGTGVFRWARGYAQARTHTFDMKTGDATVEYNLYINH >ORUFI12G05160.1 pep chromosome:OR_W1943:12:3681243:3686132:-1 gene:ORUFI12G05160 transcript:ORUFI12G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARFAGVPRRAAQSSSSSSQAPLDEPPPPALTPEQVGLCREALEYFEGMCGRPEAMSDEFRRLQDTRHELMRSSNEARNAANREKNRYIDVVPFDTTRVRLKRSTTSQTSSNDYINASFIKVTEDNRVAKFISTQGPLAKTFDDFWEMVYEYQCPVIVMLTQFDSLKCDEYLPLRKQREAYGKYNVKITNAKRDSHQLWLRDVMVQCNESSRVHSVRHIEYPDWPDHGVPTNTDAVRQIRKWLQNTPMEHPIVVHCSAGIGRTGAYITIHSTIERLLLGDKSSYHLDETVKTLRTQRVGMVQTEKQYMFCYRAIADELKDLLESNR >ORUFI12G05160.2 pep chromosome:OR_W1943:12:3681243:3686132:-1 gene:ORUFI12G05160 transcript:ORUFI12G05160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARFAGVPRRAAQSSSSSSQAPLDEPPPPALTPEQVGLCREALEYFEGMCGRPEAMSDEFRRLQDTRHELMRSSNEARNAANREKNRYIDVVPFDTTRVRLKRSTTSQTSSNDYINASFIKVTEDNRVAKFISTQGPLAKTFDDFWEMVYEYQCPVIVMLTQFDSLKCDEYLPLRKQREAYGKYNVKITNAKRDSHQLWLRDVMVQCNECGHWKNRTIERLLLGDKSSYHLDETVKTLRTQRVGMVQTEKQYMFCYRAIADELKDLLESNR >ORUFI12G05160.3 pep chromosome:OR_W1943:12:3681587:3686132:-1 gene:ORUFI12G05160 transcript:ORUFI12G05160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARFAGVPRRAAQSSSSSSQAPLDEPPPPALTPEQVGLCREALEYFEGMCGRPEAMSDEFRRLQDTRHELMRSSNEARNAANREKNRYIDVVPFDTTRVRLKRSTTSQTSSNDYINASFIKVTEDNRVAKFISTQGPLAKTFDDFWEMVYEYQCPVIVMLTQFDSLKCDEYLPLRKQREAYGKYNVKITNAKRDSHQLWLRDVMVQCNESSRVHSVRHIEYPDWPDHGVPTNTDAVRQIRKWLQNTPMEHPIVVHCSAGIGRTGAYITIHSTIERLLLGDKSSYHLDETVKTLRTQRVGMVQTEVG >ORUFI12G05160.4 pep chromosome:OR_W1943:12:3681696:3686132:-1 gene:ORUFI12G05160 transcript:ORUFI12G05160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARFAGVPRRAAQSSSSSSQAPLDEPPPPALTPEQVGLCREALEYFEGMCGRPEAMSDEFRRLQDTRHELMRSSNEARNAANREKNRYIDVVPFDTTRVRLKRSTTSQTSSNDYINASFIKVTEDNRVAKFISTQGPLAKTFDDFWEMVYEYQCPVIVMLTQFDSLKCDEYLPLRKQREAYGKYNVKITNAKRDSHQLWLRDVMVQCNECGHWKNRCLHNYP >ORUFI12G05170.1 pep chromosome:OR_W1943:12:3687390:3687692:1 gene:ORUFI12G05170 transcript:ORUFI12G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKEEFVDLRGMAMGGGGTGGWQGGDAAAEVVELVAGGGPVLVMGAKRSDRIMRRPVIADPVARSHWRWGRRRGQAVVLCGGGCDRGGGGIVSFPWQR >ORUFI12G05180.1 pep chromosome:OR_W1943:12:3688665:3690497:-1 gene:ORUFI12G05180 transcript:ORUFI12G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSCFNPQPAISHGIPFDSFLLQDELHHHADLDHPFEAEGITVHGSELEGGSVLPFATLHDLDHEFFRRGSRKDFIDNASSIFLLNPKTEVSHLVRDVQVGAFGAYEMNGRLISRNKASRKGIKKADAVKGHWTVEEDRKLVKLVEQFGLKKWSLIGGMLPGRVGKQCRERWFNHLRPNIKKDTWSEEEDMVLIQIHKEVGNRWAEIAKCLPGRTENSIKNHWNATKRRQFARRRNRSTSKSGSTVLQNYIKSLAISPQESQMNNERSESNPSDMMITQGTPCCFDGNNCSQSHTSEENIVPSCGDFAAEMWSGLFDTKEDEEDEAQYLLYDMDTHVDMNCIFSNMDYGSNIEPGLASVVKAECSAESWAVNLKETD >ORUFI12G05190.1 pep chromosome:OR_W1943:12:3716867:3718197:1 gene:ORUFI12G05190 transcript:ORUFI12G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHINSSSLSSPSSSSPLSTTIVVVAVFLSSIGAMGRSPCCEKAHTNKGAWTKEEDQRLIAHINQHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTDEEDELIIKLHELLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGLDPLTHRPLNAAAAVAGHHHLAAGGSSCSPDATSGHSSCSDGDEYRGGIDLNLSISPPSSSSQPSSPPPPSHEAEARRAGATASYTYHHHYSETREKICLCLNHLGLHGGDECSCGGSSASSSSSPPPATASSRAFTFANASSSTV >ORUFI12G05200.1 pep chromosome:OR_W1943:12:3726071:3729723:-1 gene:ORUFI12G05200 transcript:ORUFI12G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAAAALRLPASQLPLSARPSSSSTLRFPPRRPARRGGLAVSAFTKLSEASPVAIPPEPAQPLPDEEALPPKPGVYGVYDPAGELQFVGISRNVRASVEGHRRKVPADLCGSVKVSIADEETPDRTVLTNAWKSWLEEHITATGKAPPGNVAGNHTWVGPPQRPPDLRLTPGRHVQLTVPLEQLIDRLVKDNKVVAFIKGSRSAPQCGFSQRVVGILESHGVDFVTVDVLDEEHNHGLRETLKTYSNWPTFPQVFVGGELVGGCDIVSSMAEKGELAALFKK >ORUFI12G05210.1 pep chromosome:OR_W1943:12:3730264:3731968:1 gene:ORUFI12G05210 transcript:ORUFI12G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCHAHVDVICSNNGIASAIPSATLAALDLNDYNCVMDVNARSLVTLHLDDRVIALDLNISSSTRSQNT >ORUFI12G05220.1 pep chromosome:OR_W1943:12:3732163:3743920:1 gene:ORUFI12G05220 transcript:ORUFI12G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPTSASACRGSSRALLLALAAALLALATPRPASASESDHKYKVEEPVKLWVNKVGPYNNPQETYNYHSLPFCQPSENPAHKWGGLGEVLGGNELIDSQIDIKFLRNEERGSICTLELDSKKVQQFSDAIDNSYWFEFFMGFVGETDKNNENKRYLYTHKSILVKYNDNRIIHVNLTQESPKLLEAGKKLDMTYSVKWLQTDVTFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRSLAFLSAVVGIGTQLAALILLVIVLAIVGMLYVGRGSIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMILTASLFPFLCFAIGFVLNTIAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTICVTIVGTYFLLNAENYHWQWTSFLSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAIGYLGSTLFVRRIYRNIKYSKIE >ORUFI12G05220.2 pep chromosome:OR_W1943:12:3732163:3737402:1 gene:ORUFI12G05220 transcript:ORUFI12G05220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPTSASACRGSSRALLLALAAALLALATPRPASASESDHKYKVEEPVKLWVNKVGPYNNPQETYNYHSLPFCQPSENPAHKWGGLGEVLGGNELIDSQIDIKFLRNEERGSICTLELDSKKVQQFSDAIDNSYWFEFFMGFVGETDKNNENKRYLYTHKSILVKYNDNRIIHVNLTQESPKLLEAGKKLDMTYSVKWLQTDVTFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRSLAFLSAVVGIGTQLAALILLVIVLAIVGMLYVGRGSIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMILTASLFPFLCFAIGFVLNTIAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTICVTIVGTYFLLNAENYHWQWTSFLSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAIGYLGSTLFVRRIYRNIKCD >ORUFI12G05230.1 pep chromosome:OR_W1943:12:3737977:3739164:-1 gene:ORUFI12G05230 transcript:ORUFI12G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGFFDLSILPDDSKSTTTNTSVVARALDLGYSAVALDHPHRGLLTDSHAPIASSLRVSPSASLHRRRHPFLQYTRITLSLDSAAACASALAPSAARLLRTYDIVAARPLTQAAFDHLCQATFDHLDIVSIDFSHKLSFRLKLPMLKLALQKGLHLEIAYSPLIADAASRRQAIAEAKLLVEWTKGKNLIISSAAHTASEIRGPYDAINLSSYLLGLSTQRAKAALSVNCRSLVSKALRKKHFYKETIRIDRLLPNKQLNSADFKLADWISWDPMPHEVDLLSLELPAYKGEPQSLDINPEPSANEDD >ORUFI12G05240.1 pep chromosome:OR_W1943:12:3739182:3747820:-1 gene:ORUFI12G05240 transcript:ORUFI12G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKTRGTGNAAAAAEKRRGHGSTALFVAVDYAFLLAFAGFLSYLVVSHLLPSDIADNTHGARVLVACAKVFLIAPVAPDEAHLDTLVAVSLFGRGASAVIVDTNPRAPVKNPVFHMVSNRMGVRARDYPRKNS >ORUFI12G05250.1 pep chromosome:OR_W1943:12:3744125:3746321:1 gene:ORUFI12G05250 transcript:ORUFI12G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTTVPMAAAAAVAPSTTTSSSASFKLPLTAGNVESVLDQVRPYLTADGGDVALHEIAGNVVRLKLQGACGSCPSSLITIKRGIERRLMEKIPDVAAVEPVTDKETGLELNEENVEKVLNEIRPYLAGTGGGGLQFLMIKGPIVKVRLTGPAAVVRTVRIALCQRVQIRKT >ORUFI12G05260.1 pep chromosome:OR_W1943:12:3747475:3753799:1 gene:ORUFI12G05260 transcript:ORUFI12G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRSRRRVGVGRRVAARGGCQPTEEAGSEAAARHSPPPSARANPSLGGGDDQGGGGGSGARGRGSPMAPLVPSSQPWVEKYRPRQVKDVAHQEEVVRVLTTTLQTADLPHMLFYGPPGTGKTTTALAIAYQLYGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGSARKGGYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEVMSNRILHICNEEGLSLDAQALATLSTISNGDLRRAITYLQSAARLFGSSISSTDLISVSGAIPEDVVKSLLASCKSGEFDVANKEVNNIIADGYPVSQLISQFLDVIVNADDIPDEQKARICKKLGEADKCLVDGADEYLQLLDVASETIRALFDMPQTLVF >ORUFI12G05260.2 pep chromosome:OR_W1943:12:3753393:3770666:1 gene:ORUFI12G05260 transcript:ORUFI12G05260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKDDADARAKWRKRKRNANTSAADHSDDSDSAAAAAANDDNDDDDAALHAATAAANGGGGTLGGGDDDPVVDLREAEVHPTAIERVSAFPPAFRRVVNRLHPSVLAVMAAERAAAAAGAGAGGGGAAVPALENISHGQLQVLSSVLPDHPSLSNDPDKPSSYVCTPPLLMECRGVAKQFDGKLLMVPKHSDWFSPMTVHRLERQVLPQFFSGKSPGHTPEKYIMLRNRVITTYLERPARRLAFSECQGLVTSTPELYDLSRIVRFLDAWGIINYLAAGSVQRGLRMAATLIREEPTGELHLMSAPLKSIDGLILFDRPKCSVRAEDIASGASLSSSPGMENGDAGFDEKTLLERLSESFCSFCAQPLPSLHYESQKEADIALCSDCFHDARFVTGHSSLDFQRVDGKKDGLDNDGDSWTDQETFLLLEGIDKYKENWNAVAEHVGTKSKIQCLHHFLRLPVEDGLLENIKVPEASFSSKFWLMQNASGSVFKLPQLFALGSLPQSGEAGDLPFINTANPVMSLISIMSLALLFRDDLQIAFLASSLGPRVAASCASEALIVLTGGDSRISSIGNDVMGHAARPNCGDYLIPKINSSLAVSSENVRHAARCGLSAAATKCKLFADQEEREIQRLSATIINHQLKRLELKLKQFADIETYLLRDSEQSERMRQGLQAQRIRMMSGLRLASPRGNTMASNPLSQANIRPPGIPGSMPQAGTPAFYSNNMQDFCLEKHNSERAWWNFERRASLDLAPSDEAPMLLDTAAEGNLLTFACADRGSELKLGEIVLDSNHASTSRHRSDVKHEDFTLEGSGFISRDCGSPL >ORUFI12G05260.3 pep chromosome:OR_W1943:12:3753393:3770666:1 gene:ORUFI12G05260 transcript:ORUFI12G05260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKDDADARAKWRKRKRNANTSAADHSDDSDSAAAAAANDDNDDDDAALHAATAAANGGGGTLGGGDDDPVVDLREAEVHPTAIERVSAFPPAFRRVVNRLHPSVLAVMAAERAAAAAGAGAGGGGAAVPALENISHGQLQVLSSVLPDHPSLSNDPDKPSSYVCTPPLLMECRGVAKQFDGKLLMVPKHSDWFSPMTVHRLERQVLPQFFSGKSPGHTPEKYIMLRNRVITTYLERPARRLAFSECQGLVTSTPELYDLSRIVRFLDAWGIINYLAAGSVQRGLRMAATLIREEPTGELHLMSAPLKSIDGLILFDRPKCSVRAEDIASGASLSSSPGMENGDAGFDEKTLLERLSESFCSFCAQPLPSLHYESQKEADIALCSDCFHDARFVTGHSSLDFQRVDGKKDGLDNDGDSWTDQETFLLLEGIDKYKENWNAVAEHVGTKSKIQCLHHFLRLPVEDGLLENIKVPEASFSSKVQNNGFLHSNSNGSTSGSLPQSGEAGDLPFINTANPVMSLISIMSLALLFRDDLQIAFLASSLGPRVAASCASEALIVLTGGDSRISSIGNDVMGHAARPNCGDYLIPKINSSLAVSSENVRHAARCGLSAAATKCKLFADQEEREIQRLSATIINHQLKRLELKLKQFADIETYLLRDSEQSERMRQGLQAQRIRMMSGLRLASPRGNTMASNPLSQANIRPPGIPGSMPQAGTPAFYSNNMQDFCLEKHNSERAWWNFERRASLDLAPSDEAPMLLDTAAEGNLLTFACADRGSELKLGEIVLDSNHASTSRHRSDVKHEDFTLEGSGFISRDCGSPL >ORUFI12G05260.4 pep chromosome:OR_W1943:12:3753393:3770666:1 gene:ORUFI12G05260 transcript:ORUFI12G05260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKDDADARAKWRKRKRNANTSAADHSDDSDSAAAAAANDDNDDDDAALHAATAAANGGGGTLGGGDDDPVVDLREAEVHPTAIERVSAFPPAFRRVVNRLHPSVLAVMAAERAAAAAGAGAGGGGAAVPALENISHGQLQVLSSVLPDHPSLSNDPDKPSSYVCTPPLLMECRGVAKQFDGKLLMVPKHSDWFSPMTVHRLERQVLPQFFSGKSPGHTPEKYIMLRNRVITTYLERPARRLAFSECQGLVTSTPELYDLSRIVRFLDAWGIINYLAAGSVQRGLRMAATLIREEPTGELHLMSAPLKSIDGLILFDRPKCSVRAEDIASGASLSSSPGMENGDAGFDEKTLLERLSESFCSFCAQPLPSLHYESQKEADIALCSDCFHDARFVTGHSSLDFQRVDGKKDGLDNDGDSWTDQETFLLLEGIDKYKENWNAVAEHVGTKSKIQCLHHFLRLPVEDGLLENIKVPEASFSSKFWLMQNASGSVFKLPQLFALGSLPQSGEAGDLPFINTANPVMSLISIMSLALLFRDDLQIAFLASSLGPRVAASCASEALIVLTGGDSRISSIGNDVMGHAARPNCDSSLAVSSENVRHAARCGLSAAATKCKLFADQEEREIQRLSATIINHQLKRLELKLKQFADIETYLLRDSEQSERMRQGLQAQRIRMMSGLRLASPRGNTMASNPLSQANIRPPGIPGSMPQAGTPAFYSNNMQDFCLEKHNSERAWWNFERRASLDLAPSDEAPMLLDTAAEGNLLTFACADRGSELKLGEIVLDSNHASTSRHRSDVKHEDFTLEGSGFISRDCGSPL >ORUFI12G05260.5 pep chromosome:OR_W1943:12:3753393:3770666:1 gene:ORUFI12G05260 transcript:ORUFI12G05260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKDDADARAKWRKRKRNANTSAADHSDDSDSAAAAAANDDNDDDDAALHAATAAANGGGGTLGGGDDDPVVDLREAEVHPTAIERVSAFPPAFRRVVNRLHPSVLAVMAAERAAAAAGAGAGGGGAAVPALENISHGQLQVLSSVLPDHPSLSNDPDKPSSYVCTPPLLMECRGVAKQFDGKLLMVPKHSDWFSPMTVHRLERQVLPQFFSGKSPGHTPEKYIMLRNRVITTYLERPARRLAFSECQGLVTSTPELYDLSRIVRFLDAWGIINYLAAGSVQRGLRMAATLIREEPTGELHLMSAPLKSIDGLILFDRPKCSVRAEDIASGASLSSSPGMENGDAGFDEKTLLERLSESFCSFCAQPLPSLHYESQKEADIALCSDCFHDARFVTGHSSLDFQRVDGKKDGLDNDGDSWTDQETFLLLEGIDKYKENWNAVAEHVGTKSKIQCLHHFLRLPVEDGLLENIKVPEASFSSKVQNNGFLHSNSNGSTSGSLPQSGEAGDLPFINTANPVMSLIAFLASSLGPRVAASCASEALIVLTGGDSRISSIGNDVMGHAARPNCGDYLIPKINSSLAVSSENVRHAARCGLSAAATKCKLFADQEEREIQRLSATIINHQLKRLELKLKQFADIETYLLRDSEQSERMRQGLQAQRIRMMSGLRLASPRGNTMASNPLSQANIRPPGIPGSMPQAGTPAFYSNNMQDFCLEKHNSERAWWNFERRASLDLAPSDEAPMLLDTAAEGNLLTFACADRGSELKLGEIVLDSNHASTSRHRSDVKHEDFTLEGSGFISRDCGSPL >ORUFI12G05260.6 pep chromosome:OR_W1943:12:3753393:3770666:1 gene:ORUFI12G05260 transcript:ORUFI12G05260.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKDDADARAKWRKRKRNANTSAADHSDDSDSAAAAAANDDNDDDDAALHAATAAANGGGGTLGGGDDDPVVDLREAEVHPTAIERVSAFPPAFRRVVNRLHPSVLAVMAAERAAAAAGAGAGGGGAAVPALENISHGQLQVLSSVLPDHPSLSNDPDKPSSYVCTPPLLMECRGVAKQFDGKLLMVPKHSDWFSPMTVHRLERQVLPQFFSGKSPGHTPEKYIMLRNRVITTYLERPARRLAFSECQGLVTSTPELYDLSRIVRFLDAWGIINYLAAGSVQRGLRMAATLIREEPTGELHLMSAPLKSIDGLILFDRPKCSVRAEDIASGASLSSSPGMENGDAGFDEKTLLERLSESFCSFCAQPLPSLHYESQKEADIALCSDCFHDARFVTGHSSLDFQRVDGKKDGLDNDGDSWTDQETFLLLEGIDKYKENWNAVAEHVGTKSKIQCLHHFLRLPVEDGLLENIKVPEASFSSKVQNNGFLHSNSNGSTSGSLPQSGEAGDLPFINTANPVMSLIAFLASSLGPRVAASCASEALIVLTGGDSRISSIGNDVMGHAARPNCDSSLAVSSENVRHAARCGLSAAATKCKLFADQEEREIQRLSATIINHQLKRLELKLKQFADIETYLLRDSEQSERMRQGLQAQRIRMMSGLRLASPRGNTMASNPLSQANIRPPGIPGSMPQAGTPAFYSNNMQDFCLEKHNSERAWWNFERRASLDLAPSDEAPMLLDTAAEGNLLTFACADRGSELKLGEIVLDSNHASTSRHRSDVKHEDFTLEGSGFISRDCGSPL >ORUFI12G05270.1 pep chromosome:OR_W1943:12:3762146:3765502:-1 gene:ORUFI12G05270 transcript:ORUFI12G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDSVFSVLPAAIGIKAVGDLAFAVSNMSGRGLMKQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDEKCPRPMCYNFLLHKGLMEAGKEDSRKEDSPHCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQEGAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQGFSERMSLLTSEPFTQINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >ORUFI12G05280.1 pep chromosome:OR_W1943:12:3779488:3780027:1 gene:ORUFI12G05280 transcript:ORUFI12G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMAAWPDGAAVRQPTVVRTCGGAACAAVRRRGCAADDPTSPSAPFRFLFFFFVLGSASFLCPLRFAVAIMAAGVGLPSGTMLVCSTWPVHGLVARCVVQARPWHRVHASAGRVFAAVARVRRHAVSRHANSVSVYRDFHQSAYTGDSKSAVVDFIGNDKNGTRTGRGIRFRD >ORUFI12G05290.1 pep chromosome:OR_W1943:12:3790678:3791486:1 gene:ORUFI12G05290 transcript:ORUFI12G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELIAQGVKTDKGFKEVHLNYGLAKNLTDHYGLDTSGTQVYNHLRKWRSMWVRIGRLKDLSGALWDDQNNMIVLEDEHYMGHTKDKSKDVEFLNVPLENYTPMAIIFGGTQATGRFAMGSNEALGGPADMADGGLGVMDGDMEGGNGVGAGCESVAGASAAGPVSGNFIPTVNDIAGGSTSSGKRKRTPVVTEEEGAFLTNMTGAICMRWLLPYALQPILRCTLNSTMQ >ORUFI12G05300.1 pep chromosome:OR_W1943:12:3802654:3806302:1 gene:ORUFI12G05300 transcript:ORUFI12G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWARPAGFALLVVVVLAAAASADRPARGGLSVTAGAEESSAVFPLYGDVYPHGLYYVAMSIGNPPRPYFLDVDTGSDLTWLQCDAPCVSCSKVPHPLYRPTKNKLVPCVDQMCAALHGGLTGRHKCDSPKQQCDYEIKYADQGSSLGVLVTDSFALRLANSSIVRPGLAFGCGYDQQVGSSTEVSATDGVLGLGSGSVSLLSQLKQHGITKNVVGHCLSTRGGGFLFFGDDIVPYSRATWAPMARSTSRNYYSPGSANLYFGGRPLGVRPMEVVFDSGSSFTYFSAQPYQALVDAIKGDLSKNLKEVPDHSLPLCWKGKKPFKSVLDVKKEFRTVVLSFSNGKKALMEIPPENYLIVTVNILELRILLKYGNACLGILNGSEVGLKDLNIVGDITMQDQMVIYDNERGQIGWIRAPCDXRHHNARSDVTIPFMDLRTVIVGLSSPTSLVIRMSSPQSVTVQLQNERQGKRPLG >ORUFI12G05310.1 pep chromosome:OR_W1943:12:3806622:3808328:-1 gene:ORUFI12G05310 transcript:ORUFI12G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDPPPPLPPPPPPPPPPATPQQNKAVELPPGVYFNPTREEAMHHYLNRWIARKTIPEMEAGFVAGADVYGDGPDALRRRHRPGYWCNCVYKWFFLCHRKRQSSRRTTGNKRAERVVAAGGRWKVEQGKKVLGGGGERDSLGFYSSNSTKKTSWIMEEYTSSAADGAAAARGEEDRMEPVLCKIYLSPRAPAGEKRALFGEDGVAVGPDGRKRNARVTVLATLFDDVAALLGQPVAAPPLPAPGEQLGHGHGHFDDVAARFGQVAVAALPASGDLGHGDFDDDAAALLGQVAMAPAPAPGHHQQGYVVAEAPLPESGYLGHYHHDGHLAQAAAAPEQDHYLGYHSHDAHVVDAEATPEQGYHDDAHVAVAPAPEQGDLGHDQGHLAAALTPEECGEIVGAYEFHPEMVQMLSIGFAAPDEQLLPQLLDPTGGGYDMASSAIAAVGDVNAYAAAAPTPRIRPNAAEAMAATATAETMPPPLDAVAAELSAPPRGLPPELAFSALPSVQQEPSCDDGDNFGELVAEAMPPLIGENAGVDAGSDEPLPDLAGIMTELDFGHDFFSNQHRE >ORUFI12G05320.1 pep chromosome:OR_W1943:12:3808633:3814406:1 gene:ORUFI12G05320 transcript:ORUFI12G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRGEGGGFLRDCGCAHAVAGSPPSAVEASPARRQCAAAIFVLRRLLAWAALSPSGQHRLTAAPLAGHANYLHKGSSLSAKHASNVLRSTDGTFSFGFYNLSSTVFTLSIWFTNSADKTIAWSANQDRPVHESGSKVMLNKDGSMVLTDYDGTVVWQISSSAEAVRAELMDSGNLVVKDQGGSILWQSFDHPTNTLLPMQPVTATAKLVSTDPSHPTSYYTLRFDDRYVLSLAYDGPDIFNLYWPNPDQSSWTNYRISYNRSRSGVLDKLGKFMASDNTTFYASDWGLEIKRRLTLDYDGNLRLYSLNESDGSWYNSWMAFSQPCEIHGLCGWNGICAYTPKIGCSCPPGYVVSDPGDWSRGCKPAFNLTCSNDGQKMSFVRIPQTDFWGFDMNYVMSTSLHACRAMCLASCSCVAFVYKVYPNGCFLKSDLFNGKTVSGYPGAAYIKVPQSFLSRSQAHVSELANRHVCNASKTQTFNYATQSNKGTGMMWYYYYCFLAAFFLVELCFIAFGWWFMAKTHSARSAIWAAEEGYRVVTDHFRRFTYKELRRATRNFKDELGRGRYGSVYKGILDDNRIVAIKKLKDVKQGEAEFQTEVSVIGSIYHMNLVRVMGVCSEGSHRLLVYEYVENGSLAMFLFGSKGLLQWQHRYKIAVGVAKGLAYLHHECMDWIIHCDVKPENILLDQDFEPKISDFGFAKLLQRNQTDPNMSKIRGTRGYIAPEWVSGVPITEKVDVYSYGVVLLELVMGLRMSELPANGSADEGAALRQLVWTVTEKIKTGDQTLIDGVVDPRLNGNFVRSEVLLVLEFAVLCLEKERNQRPNMNHVVQKFLSYE >ORUFI12G05330.1 pep chromosome:OR_W1943:12:3817359:3826431:1 gene:ORUFI12G05330 transcript:ORUFI12G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSAEVCRALHADLAKPYTESYIALVKSSCKFALKNLKKWMKPQKPLGVVLIISAWNYPFLLSIDPIIGAIAAGNAVVLKPSEVAPATSSLLAELLPRYVDGSCIKVVEGGVAETTTLLEQKWDKIFYTGNGKVGRIVMASAAKHLTPVVLELGGKCPVVVDSNVNLHVTAKRIAAGKWGCNNGQACISPDFIITTKSFAPKLLEALEKVLEKFYGRDPLRSSDLSRIVNSNHFNRLKKLMDDENVSDKIVFGGQRDEHHLKIAPTIFMDVPLDSGIMKEEIFGPLLPIITVDKIHESFALINSMTKPLAAYLFTKDSKLQEQYEATISAGGMLVNDTAVHLTNQYLPFGGVGESGMGAYHGRFSFEAFSHKKAVLVRRFAGEAAARYPPYSPAKLKILRGVLKGNLGAMIKAILGFPRGK >ORUFI12G05330.2 pep chromosome:OR_W1943:12:3817359:3826431:1 gene:ORUFI12G05330 transcript:ORUFI12G05330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSVGMEAEVAALRGRFAAGGTRGAEWRAAQLRGILRMAAEAEAEVCRALHADLAKPYTESYIALVKSSCKFALKNLKKWMKPQKPLGVVLIISAWNYPFLLSIDPIIGAIAAGNAVVLKPSEVAPATSSLLAELLPRYVDGSCIKVVEGGVAETTTLLEQKWDKIFYTGNGKVGRIVMASAAKHLTPVVLELGGKCPVVVDSNVNLHVTAKRIAAGKWGCNNGQACISPDFIITTKSFAPKLLEALEKVLEKFYGRDPLRSSDLSRIVNSNHFNRLKKLMDDENVSDKIVFGGQRDEHHLKIAPTIFMDVPLDSGIMKEEIFGPLLPIITVDKIHESFALINSMTKPLAAYLFTKDSKLQEQYEATISAGGMLVNDTAVHLTNQYLPFGGVGESGMGAYHGRFSFEAFSHKKAVLVRRFAGEAAARYPPYSPAKLKILRGVLKGNLGAMIKAILGFPRGK >ORUFI12G05330.3 pep chromosome:OR_W1943:12:3817359:3826431:1 gene:ORUFI12G05330 transcript:ORUFI12G05330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSVGMEAEVAALRGRFAAGGTRGAEWRAAQLRGILRMAAEAEAEVCRALHADLAKPYTESYIALVKSSCKFALKNLKKWMKPQKIELAFYFIAMLSIDPIIGAIAAGNAVVLKPSEVAPATSSLLAELLPRYVDGSCIKVVEGGVAETTTLLEQKWDKIFYTGNGKVGRIVMASAAKHLTPVVLELGGKCPVVVDSNVNLHVTAKRIAAGKWGCNNGQACISPDFIITTKSFAPKLLEALEKVLEKFYGRDPLRSSDLSRIVNSNHFNRLKKLMDDENVSDKIVFGGQRDEHHLKIAPTIFMDVPLDSGIMKEEIFGPLLPIITVDKIHESFALINSMTKPLAAYLFTKDSKLQEQYEATISAGGMLVNDTAVHLTNQYLPFGGVGESGMGAYHGRFSFEAFSHKKAVLVRRFAGEAAARYPPYSPAKLKILRGVLKGNLGAMIKAILGFPRGK >ORUFI12G05340.1 pep chromosome:OR_W1943:12:3825023:3833842:-1 gene:ORUFI12G05340 transcript:ORUFI12G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVHRILRRGLSAASPLPSLGGLLLVSPQELGRRPASSSSSAAAAAGDVEAELRAAREDVRQLLKSNPCHPILVRLGWHDAGTYDKNITEWPKCGGANGSLRFGVELVHAANKGLLKALFLVIPIKSKYAGVTYADIFQLASATAIEEAGGPKIPMIYGRADVADGEECPPEGRLPAADPPSPAEHLREVFYRMGLSDKEIVALSGAHTLGRARPERSGWGKPETKYTENGPGAPGGQSWTSEWLKFDSSYFKEIKERRDEDLLVLPTDAVLFEDSSFKIHAEKYAEDQDAFFEDYAEAHAKLSNLGANEPLPKRHQNPPLPTSAAADMAVVHRLLRRGLSAASPLPSLQELGRRPASSSAAAAGDAAAELRGAREDVKQLLKSTSCHPILVRLGWHDAGTYDKNITEWPKCGGANGLVNALKLIQPIKDKHAGVTYADLFQLASATAIEEAGGPKIPMIYGRVDVAAPEQCPPEGRLPAAGPPSPAEHLREVFYRMGLSDKEIVALSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTSQWLKFDNSYFKDIKERRDEDLLVLPTDAVLFEDSSFKIYAEKYAADQDAFFEDYAEAHAKLSNLGAKFDPPKLTCKS >ORUFI12G05340.2 pep chromosome:OR_W1943:12:3825023:3833842:-1 gene:ORUFI12G05340 transcript:ORUFI12G05340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVHRILRRGLSAASPLPSLGGLLLVSPQELGRRPASSSSSAAAAAGDVEAELRAAREDVRQLLKSNPCHPILVRLGWHDAGTYDKNITEWPKCGGANGSLRFGVELVHAANKGLLKALFLVIPIKSKYAGVTYADIFQLASATAIEEAGGPKIPMIYGRADVADGEECPPEGRLPAADPPSPAEHLREVFYRMGLSDKEIVALSGAHTLGRARPERSGWGKPETKYTENGPGAPGGQSWTSEWLKFDSSYFKEIKERRDEDLLVLPTDAVLFEDSSFKIHAEKYAEDQDAFFEDYAEAHAKLSNLGAKFDPPKLTCKS >ORUFI12G05350.1 pep chromosome:OR_W1943:12:3837713:3841727:1 gene:ORUFI12G05350 transcript:ORUFI12G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFDRDRYQRLDGNGGGGGGGRRSSPSSCSTATIVLFVALCLVGAWMMSSTGNVPMAVSPEDKPPAVVKEDDASSIDVTDGVKGRGGGGDGGDTPRTTDEAADDVGKKVQDAGDTAKTTDDVGDTGVKGGGVDTQTATDAVAKTTTGGTGAGESGKPAGDKVGDGETTTTSKNQTFSDENGKTEGGEVVSPEDPDKQSADDAPTDGKDTGDQASGDADEAPSTDTKGKKNSTAEEPRDTKDAGENADEASTETKADKSSDDTPTDAKATGDGGTPSKNQTSFDDENGKMDGVETVAEDGKVTEKSSEQVPTNGDDGGGGGEAQTTDDDTATGASSNNQTISDMNDDSNTTTTTTTTLAAVDSSNGTVSQTTEDDAPANSAAAAAAATEKINPAAEQELLPSGQAELLNETASAVAQNGSFPTQASESSAEKKARDRNKNKSNGSDTAAAAAVAVAHGWKLCNVSTGEDYIPCLDNEAAIKKLKTTKHYEHRERHCPAAAPTCLVPLPGGYRRPIPWPYSRDKIWYHNVPHTKLASYKGHQNWVKVSGEHLTFPGGGTQFINGAAHYIDLIEEAVPAVAWGRRSRVVLDVGCGVASFGGFLFDRDALTMSLAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGGAYDAVHCARCRVPWHIWGGKLLLEVNRLLRPGGLFVWSATPVYRKTPEDVQIWHDMAALTKSMCWKMVKKTNDTVDETAMVIFKKPTSNGCYSNREKPEPPLCDADDDPDAAWNITLRACMHRLPTNKSVRGARWPELWPERMSAAPYWLSHSQVGVYGKPAPDDFAADEEHWNHVVNSSYLAGVGIDWSNDMNVWVMNVVPVDSADTLPIIYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSKLKKRCKLLPVMVEVDRILRPEGKLIVRDGRDTAAEVESILRSLHWEVRMTVSKQGEVMLCAEKTMWRPKEVEKAATTAS >ORUFI12G05360.1 pep chromosome:OR_W1943:12:3847667:3848460:1 gene:ORUFI12G05360 transcript:ORUFI12G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDLIRNVVGIVGNIISFGLFLSPVPIFWRIIKNKNVQNFKADPILVVTINGISLVIEAVYLTIFFLFSDKKNKKKMGVVLATEALFMAAVAVGVLLGAHTHQRRSLIVGILCVIFGTIMYSSPLTIMVVKTKSVEYMPLLLSVVSFLNGLCWTLYALIRFDIFITIPNGLGVLFAIMQLILYAIYYRTTPKKQDKNLELPTVAPIAKDTSIVAPVSNDDDVNGSTASHATINITIEP >ORUFI12G05370.1 pep chromosome:OR_W1943:12:3849565:3849807:-1 gene:ORUFI12G05370 transcript:ORUFI12G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPICAALGCAPSWRSRSRSAVVTARTHQLTGPPSPPSPSFRSLVPGEKLDQERGIWELGFCPSLLVGASSGAGTIGAVH >ORUFI12G05380.1 pep chromosome:OR_W1943:12:3849840:3851755:1 gene:ORUFI12G05380 transcript:ORUFI12G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAERPGPHRREGQRQADASRLRQGTVKGCSRRARARGTRRDCEEGEGAVVPFPESSALKDMNVWVMNVVSVDSPDTLPIIYERGLFGMYHDWCESFSTYPRSYDLLHADHFFSKLKKRCKLLPVMVEVDRRCSSS >ORUFI12G05390.1 pep chromosome:OR_W1943:12:3853727:3864893:-1 gene:ORUFI12G05390 transcript:ORUFI12G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPESLSPAAAAVEDDWEEARGLLYEAYNELQGLAAELGGAAAPAPAVVVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPVALHLRFNPRCHAPRCRLLAGSGAGDDEDEEAGVAGRAMPLADIQAYIEAENMRLENDPSQFSEKEIIIRIEYKHCPNLTIIDTPGLILPAPGRKNRVLQSQACAVETLVRAKIKHKETIILCLEDCSDWSNATTRRVVMQVDPDLARTVLVSTKLDTKILQFARASDVEVFLHPPTCALDGSLLGDYPFFTSVPSGRVGSCHEAVFRSNEEFKKAISLRELEDVASLEDKLGRGLTKEEKNRIGVSNLRLFLEELLRKRYIESVPLIIPLLEKEHRGATRKLREVNQEISDLDEAKMKEKARLFHDSFLSKLSLLLKGMVVAPPDRFGETLINERINGGTFTGSENFLIPNKLMPNAGMRLYGGAQYHRAMAEFRLVVGSIRCPPITREEIVNACGVEDIHDGTNYSRTACVLAVAKARDTFEPYLHQVIIHNEETDSDICFSVGEFQQKDGENCSSHDVLVKRVKAAFDRFAESTEQSCRERCMEDLVSTTRYVTWSLHNKFNCFLLMPIVDKLPALLREDLESAFEDDLDSIFDVTQLRHSLGQRKRDLEIELKRIKRLKEKFAEINKKLNSLQVRQYYPTPPLSLPRKPWWLAPRIGHRQERGVVDDMTGGDKDHRRGGSGGGKETFLRSLDRVPSGLHIDADFPSDDDDDDDDEEVRVSFASTMGDHKMYSFRHHQAAVLEEEEDDDDDDEFSKYDMDEDMSIQERRRRLHQGLGLASSRDLALRRHSTRKRMRPAKPITRRRSDGCLAARDGGSSGKPPPPSSPSVRRVRSLPARHAGCDDVSLVEKFRNAMAKRDLPTAAVPPTTPPPAAAAAAAAPVDKGRTDDGGGKDRDDATKNQESSKEVAVVAAPKDAAPASNTQTGVQLGLEEIEKFIGNTPIVKHLMRRGQSQHHSGQLASPSGGAPPKAEKPAGGKKKGGWLKNIKSVAIGFIDSGGNSKSTTSTTTSSAGANATSSSSSSASSTERLKVHQSGKSCKELTGLYMCQEIMAHEGSIWSIKFSTDGRWLASAGEDHVVRIWQVVEANSPACLPNDGHSGPLPPHPPGAAPADGTSSSSTPALSQLSKKSVKGKSGRDTLPEHLVVPDKVFALADQPACVLEGHQDDVLDLTWSKTDQLLSSSMDKTVRLWDTTTKACLKVFAHNDYVTCIQFNPADDRFFISGSLDAKVRLWSIPDRQVVDWTDLNEMVTAASYTPDGQGAIIGSHKGSCRFYKTTDCKLDQEAQIDIETKKRKSQAKKITGFQLLKFKSLARTWPNCSSWPWPWTPQFAPGNPSEVLVTSADSQIRVFDGVTMVQKFRGFKNTSSQISAAYTSDGRYVVCPSEDSHVYLWRAARGAPPAAAAIGSIGGIGMKPKTWCTIRSFENFYCKDVSAAVPWPLAPSGAGGDGSTSGSSPSRRQGGVSCTDDVCSMPAKSGELGSAGTPLTHSGQLGSPAPGGGKGGADGNAWGLVVVTASLQGEIRVYQNFGMPFRIRGQGNLFY >ORUFI12G05400.1 pep chromosome:OR_W1943:12:3870781:3873592:1 gene:ORUFI12G05400 transcript:ORUFI12G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSVPVDDTSADAAAAPEEEKKKKKMAGAIFDTQLIIREPVTGTGAASHRTTTTGFPFSVSLSLAAPPAISHVHLHPMAAPHTLKHGLSSLLAADAHHLLLCVVVPVKTRYYSYDHPEEFFVYTAASAVTPTLTRLPAFPDGRQRSSGDIGILTHGGGGFTVSSLQMWMVGEGTITVKELEEGTAIIEEFAKLTLLHCSGGDRDNNNSSSNSWVVKKLALPPFDSDYGGHSGLISKWSSQIAFSHGGKLQHWPYLLTVGVSDGKIKFVDVDKCRSRPSAIVIRAWTLQMPQMVWKLDDVLDVNGLWGSASFKKYGLHQWVPEYPVVSLLDPHIVHFVLRQPIYHEQVWMITVDMRAKSVVSCKNYPNGEKGYEYKGLLFNPYYISSELSNWL >ORUFI12G05410.1 pep chromosome:OR_W1943:12:3875496:3881420:-1 gene:ORUFI12G05410 transcript:ORUFI12G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVSGVPLLGAVAISAKKMYPGPDDRTEYKKRYIHLGSYTYPSVREYISRFLRLSSPSPARVSSLDLAVLVLLCSGAGALFHFLPPSLPPSMAPSPLAFPPQLTNPFDPIAGVRSVTRRSETRRCRRCLWSTRLQKTELAHTICVTSQTVYASAYTYEDMEALLSDLQSKMEKEPFKMLIINSVTTLFNTELCNGCAFDGCQIKTLEMMYCLRMIANKFHIGVYVTNHEEMGLTDAKIKRSLKQGLYFKEVKDLRKLNNAVQVTTGNSSLGDMHQGGDMHEDVFLGLQDFRTKIRVSYPNSWFYLDASPDDKIKQLSQMIEKRISLSRESFYLPYLGRKLEPESTLRELGLVVSLITFELHVRLRGGCPDKGNQSLREFIASNSVCWITMSHGKNLYSNRLVREVLVRYPGQKGMRQVVKLVMQPLAIRICNGILHHVHKAHDADKSWNGSISLETFRMVDGCMEVSQHAVKDLDETSVCEDYKKISKLFLDMLELNKGHPLYLSHLCSKMKSANVTSAKSNTFQLFLRVHPSLMTYSTRSSLLWEMKREIDGLLPPNAKNIQSAIDQILMNRDWTLLAKQEAAFSPTYNRSYKKSSSECFKFIRNWLTHGIENFNTSDPRRFTTEDMDYLLEIVFKDFLADIVWTLLQNNIGNLNRWCLPGPYNFCETVYKLEYLILFPFQGSPLGGGGGGGSDHQIRTAPARGAPWAAAAVTAKSAPLRLDLAAGGGDGDGDGGGDGDSSGRGEGWHGRRLAEGMATVARMVMAVGMATARRIRLRRRRIRRTEEDLAP >ORUFI12G05420.1 pep chromosome:OR_W1943:12:3884175:3884684:1 gene:ORUFI12G05420 transcript:ORUFI12G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLDTLVVRFHMKGVFILDGTKKKYCGGSEALSYIDRDKVSLPELFGHLRDHCSVMSRTLLHWLFPGRDLQTGLRALSNDKACKLMCDCTGELDVENIYVEEPEIVDLCDGSADNSNYEADMELKVDSEEECVNMDVEVDVGEATGSGCKGKAVEEHGEAGGSGSRAE >ORUFI12G05430.1 pep chromosome:OR_W1943:12:3885395:3886141:1 gene:ORUFI12G05430 transcript:ORUFI12G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNCGGDAQWLPNAGTRSGCGAPKGSGTGADLAPHLHSGPGAGGEVGGQGRGRSNPTRPRPIPFPSLIEIEKHYKEVKIKVKVGQLEDLDDFFLQSQRPETSMAGGVEEGYETPYADSDEDDSVDEMGSDGEVGTTKSKHPRYKKKIGVPTFELGMKFNCKKQIQESHHNICSC >ORUFI12G05440.1 pep chromosome:OR_W1943:12:3889756:3890159:1 gene:ORUFI12G05440 transcript:ORUFI12G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAAAHPRPDSRQAAWGQVCPAPSCVVGCLADCHLRCACAHEVAKHLIANDGGCLPCQPAGFSGDHPGVDAQIASGPRQCGPHSHEERVGAMHVVSPSSIKRGPCPTSRGTSLRKPDSGKRLAKP >ORUFI12G05450.1 pep chromosome:OR_W1943:12:3893324:3895739:1 gene:ORUFI12G05450 transcript:ORUFI12G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIDEMPDLRSWNPDDEEEEEEKEEMPAVVDYSPHHRRRPSTFTRCGVIFDTDLIVRKPVEGAATGGRASHRTSTGFPFSVSLNLAVPPALSSIYLHCAEAVMPPTLEHGYFGRSSLVAADATHLLLLVVVPVKIQGMYDHEYPEEYFVYTADALRPSLADALCPTLTRLPRFPDNRQRLAGDIGILNHAAAAGDGFSFAVASLQTFMEWQSGEGSAAILHLQEMAKLSVLQCSVGSDLDEDNTKNNDSRWVVKNLAMPFDSQGDFGPRQWKSNIAFAYAGKLYWADYDVGLIYCDVLESSPKLQLIKFPVPVRKFELGVSGPDDNCGNSESFRTAGVSNGKIKFVDVDNCRSQSFAVIIRTWTLQMPQMVWKLDDVLDVKQLWGSASFKKYDLHQWVPEYPVVSLLDPHIVHFVLHKHMYHDQVWMIAVDMRAKSVKSCKNYPKGEKEDGYKGLSFNIDFICSMLSKS >ORUFI12G05460.1 pep chromosome:OR_W1943:12:3897963:3902417:-1 gene:ORUFI12G05460 transcript:ORUFI12G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYIRLTTWAGLGPVGLIVLGLVFPLHVALVYFPPNKKTKSPSSFAARHHVGRPPPPPPPEREGLPDPPRQEGSNGGGGARVARFGSGFSPQLCNFHASVNNGLLHRRKIQLHFICSFRSIQARYNHSSAVAPKDYCETYIQFLRDKQIVPDSDPPSAKDVDLLYRFIDQSKKLMVLTGAGMSTESGIPDYRSPNGAYSSGFKPLTHQEFVRSIRARRRYWARSYAGWRRFRRAQPNSAHYALASLERIGRVHSMVTQNVDRLHHRAGSKPVELHGSVYEVACLDCGTSIDRESFQEQVKDLNPKWALAIDSLEVGQPGSDKSFGMQQRPDGDIEIDEKFWEQDFDIPSCNQCGGVLKPDVVMFGDNVPEERAESTKEAARNCDALLVVGSALMTMSAFRLARLAHEANAPIAAITIGETRADSILSLKINARCGEILPRILQMGSLSVPNVS >ORUFI12G05470.1 pep chromosome:OR_W1943:12:3909391:3910692:1 gene:ORUFI12G05470 transcript:ORUFI12G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPKRYVIVLLTFICTNVCYIERVGFSIAYTVAADAIGVNQANKGMILSMFYYGYVLSQIPGGWAAQRIGGRRVLLLSFVLWSLICGLIPLDPKREVILVLSRLFVGVAQGFIFPAIHTVLAQWVPPQERSRSVSLTTSGMYLGAAGGMLFFPSLVKHMGAQSVFFVEAVLGVAWSVIWLKFSSEPPRTDLPKVSMPKVASREKIKAQAGGVVAPRTVKIPWRRIIFSLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLQLSLQDMGSSKMLPYFNMFIFSNIGGVVADHLITRRILSITKTRKLLNTIGFVVSAVALMALPLFRTPSGTVLCSSISLGFLALGRAGFAVNHMDVAPKFAGIVMGVSNTAGTLAGIVGVGLTGSILEGAKASNMDLTNSETWKTVFFVPGYLCIFSSIIFLIFSTGEKIFE >ORUFI12G05480.1 pep chromosome:OR_W1943:12:3911710:3914990:1 gene:ORUFI12G05480 transcript:ORUFI12G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVWVGFMPYKLRDTVLSFPLSKRSKTLSDSPLLLPLFSGGGGSASEMIIPVRCFTCGKVIGNKWDLYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKTETAG >ORUFI12G05490.1 pep chromosome:OR_W1943:12:3916147:3919916:-1 gene:ORUFI12G05490 transcript:ORUFI12G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRTVVVGVRDDAEGRELLTWALAMVAFAGDRVVALHVATPAAAAGADQEGAMRMAARRIRATESLAALLRAATESLAALLRAYDGFCDLNQISLELRICHGSSIKKALALVNEASSYGAAHLILGVTNNSRSHLRPSSSPSSSSAAVAKYCAKIVPPSCSVLAVGNGRVVVVYRRDAAQQQLNQCISPLVETPRRIYRKIYRKLVRAATTITREKSQDDAAIAGGGRHLRRNISTPTSAPVSPVVAFTPRQAEVAAGWPLLSPDLKSALPEWTEMSVARWAMQLPSRRCPAPSPLNPRNNSGDQATSPAITASETPSPATDEAAEQVAQELASLRNKYSSKYTMFSYSELARITSNFSPDRIIGKGGASEVYKGCCDDGKEVAVKVLKSSDKVMEELVSEMEIVSSVRHGNAMPLTGFCLDGGGGAAKIMLVYDYMARGSLEEILHGEKEGKDLFGWPERFKVAAGVARALVYLHGGDGDGRPVIHRDVKSSNILVSEDFQPKLCDFGLALWAAEAASPVTGDDVAGTFGYLAPEYFMHGKVSDKIDVYAFGVVLLELVSGRKPVSSGGGKGKESLVMWANTIIQGGKLTDLVDPSLPTDGSGVAGEVERMTLAAALCIRRSPQRRPSIANVLKLLDGDGDAVRWARSQAGLSAGDDTDDGDGASPEKKDIQSYINLALLDVVDDSASVSSGGGDFTAANVSLEEYMKGRWSRSSSFD >ORUFI12G05490.2 pep chromosome:OR_W1943:12:3916147:3919916:-1 gene:ORUFI12G05490 transcript:ORUFI12G05490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRTVVVGVRDDAEGRELLTWALAMVAFAGDRVVALHVATPAAAAGADQEGAMRMAARRIRATESLAALLRAATESLAALLRAYDGFCDLNQISLELRICHGSSIKKALALVNEASSYGAAHLILGVTNNSRSHLRPSSSPSSSSAAVAKYCAKIVPPSCSVLAVGNGRVVVVYRRDAAQQQLNQCISPLVETPRRIYRKIYRKLVRAATTITREKSQDDAAIAGGGRHLRRNISTPTSAPVSPVVAFTPRQAEVAAGWPLLSPDLKSALPEWTEMSVARWAMQLPSRRCPAPSPLNPRNNSGDQATSPAITASETPSPATDEAAEQVAQELASLRNKYSSKYTMFSYSELARITSNFSPDRIIGKGGASEVYKGCCDDGKEVAVKVLKSSDKVMEELVSEMEIVSSVRHGNAMPLTGFCLDGGGGAAKIMLVYDYMARGSLEEILHGEKEGKDLFGWPERFKVAAGVARALVYLHGGDGDGRPVIHRDVKSSNILVSEDFQPKLCDFGLALWAAEAASPVTGDDVAGTFGFVAAAASSHLLEEFKYLAPEYFMHGKVSDKIDVYAFGVVLLELVSGRKPVSSGGGKGKESLVMWANTIIQGGKLTDLVDPSLPTDGSGVAGEVERMTLAAALCIRRSPQRRPSIANVLKLLDGDGDAVRWARSQAGLSAGDDTDDGDGASPEKKDIQSYINLALLDVVDDSASVSSGGGDFTAANVSLEEYMKGRWSRSSSFD >ORUFI12G05500.1 pep chromosome:OR_W1943:12:3920726:3930967:1 gene:ORUFI12G05500 transcript:ORUFI12G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIKAIGFKMEYTLINYKVTFENLAYVEAKKRLVNGKYPEKILEWNYNSEHMIRGLIIDKKKGNIIKVDHYNNVKMSYHGMNEMPYEESKNVYGSTFMFEEPEFAAMDTTFSCCEGYLFAQLVDLMDTNPQEVPAPTYMTLYKHLREAFDQGYKDGTLKQSVANDLARYIDKDPSLLPMLQNIKNKGISTFLITDSLWDYTDIVMDYALHQDKVNKHSWLQYFDIVFTGSRSFFSEESHVELFDVEIETGKISNPKEYQLGRSKTNQAPKEIQKVYQGGDVGHLQRLLPNTNDPKQVLYVTHHITKEMLNNKAQGWKTMQIIPELEKELQIIREQGSIRQIIIRARVKSNSVEDKICMLRSTTEVDVMEKINKLTSEKKEGNGQRT >ORUFI12G05500.2 pep chromosome:OR_W1943:12:3920726:3930966:1 gene:ORUFI12G05500 transcript:ORUFI12G05500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIKAIGFKMEYTLINYKVTFENLAYVEAKKRLVNGKYPEKILEWNYNSEHMIRGLIIDKKKGNIIKVDHYNNVKMSYHGMNEMPYEESKNVYGSTFMFEEPEFAAMDTTFSCCEGYLFAQLVDLMDTNPQEVPAPTYMTLYKHLREAFDQGYKDGTLKQSVANDLARYIDKDPSLLPMLQNIKNKGISTFLITDSLWDYTDIVMDYALHQDKVNKHSWLQYFDIVFTGSRSFFSEESHVELFDVEIETGKISNPKEYQLGRSKTNQAPKEIQKVYQGGDVGHLQRLLPNTNDPKQVLYVTHHITKEMLNNKAQGWKTMQIIPELEKELQIIREQGSIRQIIIRARVKSNSVEDKICMLRSTTEVDVMEKINKLTSEKKEGNGQRT >ORUFI12G05500.3 pep chromosome:OR_W1943:12:3921510:3930966:1 gene:ORUFI12G05500 transcript:ORUFI12G05500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIKAIGFKMEYTLINYKVTFENLAYVEAKKRLVNGKYPEKILEWNYNSEHMIRGLIIDKKKGNIIKVDHYNNVKMSYHGMNEMPYEESKNVYGSTFMFEEPEFAAMDTTFSCCEGYLFAQLVDLMDTNPQEVPAPTYMTLYKHLREAFDQGYKDGTLKQSVANDLARYIDKDPSLLPMLQNIKNKGISTFLITDSLWDYTDIVMDYALHQDKVNKHSWLQYFDIVFTGSRSFFSEESHVELFDVEIETGKISNPKEYQLGRSKTNQAPKEIQKVYQGGDVGHLQRLLPNTNDPKQVLYVTHHITKEMLNNKAQGWKTMQIIPELEKELQIIREQGSIRQIIIRARVKSNSVEDKICMLRSTTEVDVMEKINKLTSEKKEGNGQRT >ORUFI12G05500.4 pep chromosome:OR_W1943:12:3920726:3930967:1 gene:ORUFI12G05500 transcript:ORUFI12G05500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRPNLIAKFHPVHNCLFIAKRRWGLDIKIFSNTITGFEKESDKWVQVASLVDNIISFYKYDHYSGSEWTPTAKQRVSFQYKVNTGNRFKKILSMGCYIDSTIAIGYTDGSIEIFTKKRGKYVSALNKYWEHHWSKAKCWASTIPCLSWQYNTEKTPKVLAVGGEHGFVLFYDFIEAGPYTEIPNRDYEWETNYYKCPSEPDEEVEEEEEEEEEPTHDVTQEFNSQEEVEPVKETKFAICQQIFCSRTVNMEDIKAIGFKMEYTLINYKVTFENLAYVEAKKRLVNGKYPEKILEWNYNSEHMIRGLIIDKKKGNIIKVDHYNNVKMSYHGMNEMPYEESKNVYGSTFMFEEPEFAAMDTTFSCCEGYLFAQLVDLMDTNPQEVPAPTYMTLYKHLREAFDQGYKDGTLKQSVANDLARYIDKDPSLLPMLQNIKNKGISTFLITDSRSFFSEESHVELFDVEIETGKISNPKEYQLGRSKTNQAPKEIQKVYQGGDVGHLQRLLPNTNDPKQVLYVTHHITKEMLNNKAQGWKTMQIIPELEKELQIIREQGSIRQG >ORUFI12G05500.5 pep chromosome:OR_W1943:12:3920726:3930967:1 gene:ORUFI12G05500 transcript:ORUFI12G05500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRPNLIAKFHPVHNCLFIAKRRWGLDIKIFSNTITGFEKESDKWVQVASLVDNIISFYKYDHYSGSEWTPTAKQSTGSIIGQKLNAGHPPFLAYPGNTTDEEVEEEEEEEEEPTHDVTQEFNSQEEVEPVKETKFAICQQIFCSRTVNMEDIKAIGFKMEYTLINYKVTFENLAYVEAKKRLVNGKYPEKILEWNYNSEHMIRGLIIDKKKGNIIKVDHYNNVKMSYHGMNEMPYEESKNVYGSTFMFEEPEFAAMDTTFSCCEGYLFAQLVDLMDTNPQEVPAPTYMTLYKHLREAFDQGYKDGTLKQSVANDLARYIDKDPSLLPMLQNIKNKGISTFLITDSRSFFSEESHVELFDVEIETGKISNPKEYQLGRSKTNQAPKEIQKVYQGGDVGHLQRLLPNTNDPKQVLYVTHHITKEMLNNKAQGWKTMQIIPELEKELQIIREQGSIRQG >ORUFI12G05500.6 pep chromosome:OR_W1943:12:3920726:3923932:1 gene:ORUFI12G05500 transcript:ORUFI12G05500.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRPNLIAKFHPVHNCLFIAKRRWGLDIKIFSNTITGFEKESDKWVQVASFDHDGEISSINFIISSNGSSKSFAIARVDNIISFYKYDHYSGSEWTPTAKQRVSFQYKVNTGNRFKKILSMGCYIDSTIAIGYTDGSIEIFTKKRGKYVSALNKYWEHHWSKAKCWASTIPCLSWQYNTEKTPKVLAVGGEHGFVLFYDFIEAGPYTEIPNRDYEWETNYYKCPSEPYVLLEVKTLFVFL >ORUFI12G05510.1 pep chromosome:OR_W1943:12:3931425:3931832:-1 gene:ORUFI12G05510 transcript:ORUFI12G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSWLYVLAAKGVQELVLIFLPIWPVRVDLPTDLRVASFRHLYLGLLRLFPDIEHTFSPTPTSFLTSSSSLWSSPRASSGSSYGTRAPTPVVSVVIFDVWWPGAMASDSANEGVGDAWLLATLVGPEGERNEGL >ORUFI12G05520.1 pep chromosome:OR_W1943:12:3935744:3940180:-1 gene:ORUFI12G05520 transcript:ORUFI12G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFFPRMQRVASGLRAGHDRRGRYSGMGATGGVIDSCPIARSRSVPAAYGGGEGIFKYIEGLPLTSPDVSSFSGHRSATAEELMSTDTREQEITWLARSCSSSGSDPNKKKSEHQSPKVNLGEKIMALQQIMSPFGKTDTASVLLETITYIKFLHGTDAFNVFIWIDAGAESTAFLDGGSIEEAPCISARLPSWERSGGMDTSVKKGGVRTREQGMQIGKHHSAN >ORUFI12G05530.1 pep chromosome:OR_W1943:12:3942150:3945552:1 gene:ORUFI12G05530 transcript:ORUFI12G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGNRFALLEHGWDLSGDMAVDNILASQNVGTQLRAYPPPIKYFEALLRQEHAELLEYVDDVDRVVALLKPLPPPKTVRYPVLFERAWGFGRLFPCDVTDESPQYHAYLQEFYRRNCSAPPSVAAAISLCLKNERILRSEWQNRGVQVHPYNLSLSDNILECASNLVDKMNAESATVAYAALCVAKEAELMVQRLRLGDRIYVHYSDRNLSTRIRHEALGLMAGTGPTSSSVTDPMVHSALLGIAREAEWSWKIISHKDVGPLGDRRSEAIRGSALCIIKDELDEYAAAAATAADLSSEPFVVWQRNMIAVIEGGYPNWGTTVKKLDSAVYVAEDYSKDSLDNIFDEDMMSKYINGSHKRKMKKPRGKNSLKEELKYFKKDIL >ORUFI12G05530.2 pep chromosome:OR_W1943:12:3942150:3945294:1 gene:ORUFI12G05530 transcript:ORUFI12G05530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGNRFALLEHGWDLSGDMAVDNILASQNVGTQLRAYPPPIKYFEALLRQEHAELLEYVDDVDRVVALLKPLPPPKTVRYPVLFERAWGFGRLFPCDVTDESPQYHAYLQEFYRRNCSAPPSVAAAISLCLKNERILRSEWQNRGVQVHPYNLSLSDNILECASNLVDKMNAESATVAYAALCVAKEAELMVQRLRLGDRIYVHYSDRNLSTRIRHEALGLMAGTGPTSSSVTDPMVHSALLGIAREAEWSWKIISHKDVGPLGDRRSEAIRGSALCIIKDELDEYAAAAATAADLSSEPFVVWQRNMIAVIEGGYPNWGTTVKKLDSAVYVAEDYSKDSLDNIFDEDMMSKYINGSHKRKMKKPRGKNSLKEELKYFKKDIL >ORUFI12G05530.3 pep chromosome:OR_W1943:12:3942150:3944466:1 gene:ORUFI12G05530 transcript:ORUFI12G05530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGNRFALLEHGWDLSGDMAVDNILASQNVGTQLRAYPPPIKYFEALLRQEHAELLEYVDDVDRVVALLKPLPPPKTVRYPVLFERAWGFGRLFPCDVTDESPQYHAYLQEFYRRNCSAPPSVAAAISLCLKNERILRSEWQNRGVQVHPYNLSLSDNILECASNLVDKMNAESATVAYAALCVAKEAELMVQRLRLGDRIYVHYSDRNLSTRIRHEALGLMAGTGPTSSSVTDPMVHSALLGIAREAEWSWKIISHKDVGPLGDRRSEAIRGSALCIIKDELDEYAAAAATAADLSSEPFVVWQRNMIAVIEGGYPNWGTTVKKLDSAVYVAEDYSKDSLDNIFDEDMMSKYINGSHKRKMKKPRGKNSLKEELKYFKKDIL >ORUFI12G05540.1 pep chromosome:OR_W1943:12:3950973:3951282:-1 gene:ORUFI12G05540 transcript:ORUFI12G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPHTRLGLSCSSPSADFIDWWLTARKSVAKVDRKTFDAGVILVTWLIWKERNARVFDGIAATVPELCSAMGDEWETRMAAGLVSPICHSVANFAMRF >ORUFI12G05550.1 pep chromosome:OR_W1943:12:3951357:3952693:-1 gene:ORUFI12G05550 transcript:ORUFI12G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSSVRHDNTMPLAGFCLDDDGGSAKLMLVYDYMARGSLEEILHGEKEGKDLFGRPERFKVAAGVARALVYLHGGDGDGRPVIHRDVKSSNILVSEDFQPKLCDFGLALWAAEAASPVTGDDVAGKFGSATRSIVYAFSVVLLELVSGRKPVSSGGGKGKESLVMWANTIIQGGKLTDLVDPSLPTDGGDVAGEVERMTLPAALCIRRSPQRRPSIANVLKPLDGDGDAVRWASSQAGLSAGDDTDDGDGASPEKKDIQSYINLALLDVDDDSASVSSGGGDFTAANVSLEEYMKGRWSRSSSFD >ORUFI12G05560.1 pep chromosome:OR_W1943:12:3953272:3956751:-1 gene:ORUFI12G05560 transcript:ORUFI12G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAAPMMLALPAPPRLGWGGRTVVVVGVRDDAEGRELLTWALAMVASAGDRVVALHVATPPAAADQEGAMRMAARRIRATESLAALLRAYHDFCDLNQVPAHRPHVLGRQQCKVEEELGKGGVQESSAHNLFDRMTSQHKVFKDDERIAEPVPIKSTMNKEGISMDEALDRILEKFELMEANRRQEEKFNQILQKLEEVEARRSKAAEETIASIRATTAILKATSPTAPMAPPTLAPTKCLTECPNNNLTWATASSSHISEDTATTVPWELGDNKDKGHAPCVITKDSPEVTPTMCSTKCSGPTVEPDLTVAAVVTSATTAAASMELVAAGNTIGATYINNLDHPKVTHAKCLMLDLSSNSGANQTVVTFETLVDMTKGVFAPDATIEGSSPRKIVEIDLVIVMPTGCSMLFFDKGARQMLLEQCKRNPWSPPNSVYQVNGIWELWHVPWLDFNYFRTRLSLMPPWPPSTQIGTIMLWLVANSWLRMVELKPWPDPQSSQCSTGGRWTELKVPWSAPDCECSMGDDFCSANCIRNEALSVALSCAPKGDLNHEKIDGSQKDTLVALLILEHFHYVGDEEKVTQGMLNGLIAVGCNYLILIMCAAIITTTFHLAMITTQGNNVYGPNQLHEKLIPRLIPLTMRGLPLPIHAFEVVLHKGQVGHVYHIGTAKERRVIDEATDISVRDSILWPKLKHVDVLEDGNNRWTRDFSSRSIVLKGRQDCGLCWCALDVIRDVKVDLVLQLLKQYGSAKLVIINSLSIPWDPGGSRVTLAGRSSCHIGYITREALTEEIKYKSLHQTYPLVKFPHLRSSAWGQTKFQEMGIVRKSCGLQCGPVQ >ORUFI12G05570.1 pep chromosome:OR_W1943:12:3957702:3963552:-1 gene:ORUFI12G05570 transcript:ORUFI12G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVTEPGPVRSEGLLLQCPYCDSEAMHKLAQLLLPGLAAVSIDCTTGDLFRKPSVVAVDMRKEMVDYVTQRSETFISDSLIESEASQDEEKEMPEDPFEVVSIFMDDFSSTKRNIIGHVSGWLMSDNREDKIDDFVQEMEMTRFWPLERREAIAEVLLKNVDLKTKYHCPEKYENEQRLADHKAQCSFRPVTCPNDGCRAKVSVRCMTDHDSACLFKILTCEQSCEKRLMRRDMDRHCVTVCPMRPMKCPFGCDSSFPERNLEQHCSEFLQPHLLKVLKAIHKKGFTDEGFKDHALLLEKQDIDGKLGKSREVRSLTNVVKNLEAKMKNGGSMTKPRFENEEGQLDKEWISIQPALLSYRIGPCARGGGGRGRERAGEAAAASAGETKAAAATGERESAAAASRSPPTSRYGTSRRWRRPSPSTASYVGWMRLRLDYLAPPLQFLTNACVVLFMVQTSIDDEC >ORUFI12G05570.2 pep chromosome:OR_W1943:12:3960200:3963552:-1 gene:ORUFI12G05570 transcript:ORUFI12G05570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVTEPGPVRSEGLLLQCPYCDSEAMHKLAQLLLPGLAAVSIDCTTGDLFRKPSVVAVDMRKEMVDYVTQRSETFISDSLIESEASQDEEKEMPEDPFEVVSIFMDDFSSTKRNIIGHVSGWLMSDNREDKIDDFVQEMEMTRFWPLERREAIAEVLLKNVDLKTKYHCPEKYENEQRLADHKAQCSFRPVTCPNDGCRAKVSVRCMTDHDSACLFKILTCEQSCEKRLMRRDMDRHCVTVCPMRPMKCPFGCDSSFPERNLEQHCSEFLQPHLLKVLKAIHKKGFTDEGFKDHALLLEKQDIDGKLGKSREVRSLTNVVKNLEAKMKNGGSIKEINLKPC >ORUFI12G05580.1 pep chromosome:OR_W1943:12:3979511:3980778:1 gene:ORUFI12G05580 transcript:ORUFI12G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTYSGIGLSLGIVQTISNGGIQGSLTGISIGVGVSSTQKVWRSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEAKVMKSATRLSVATTTVFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFVQPIFAFVERWASRRWPDSAFIAKELRVGPFALSLFRLTWRSAFVCLTTVVAMLLPFFGNVVGLLGAVSFWPLTVYFPVEMYIAQRGVPRGSARWVSLKTLSACCLVVSIAAAAGSIADVIDALKVYRPFSG >ORUFI12G05590.1 pep chromosome:OR_W1943:12:3979553:3979833:-1 gene:ORUFI12G05590 transcript:ORUFI12G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLEKEYAKAMSPNACRLRHTFCVELTPTPMLIPVRLPWIPPLAANSQLITIVWTMPRERPMPE >ORUFI12G05600.1 pep chromosome:OR_W1943:12:3998228:3999918:-1 gene:ORUFI12G05600 transcript:ORUFI12G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQKVVKPMEVSVEAGNAGEAAWLDDDGRARRTGTFWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFAFVIYYTSTLLAECYRTGDPATGKRNYTYMDAVRANLGGAKVTFCGVIQYANLVGVAIGYTIASSISMRAIRRAGCFHHNGHGDPCRSSSNPYMILFGVVQIVFSQIPDFDQIWWLSIVAAVMSFTYSGIGLSLGIVQTDLMHMLTVMSCELAANGGIQGSLTGISIGVGVSSTQKVWRSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEAKVMKSATRLSVATTTVFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFVQPIFAFVERWASRRWPDSAFIAKELRVGPFALSLFRLTWRSAFVCLTTVVAMLLPFFGNVVGLLGAVSFWPLTVYFPVEMYIAQRGVPRGSARWISLKTLSACCLVVSIAAAAGSIADVIDALKVYRPFSG >ORUFI12G05610.1 pep chromosome:OR_W1943:12:4000078:4022489:-1 gene:ORUFI12G05610 transcript:ORUFI12G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIQPATIKSNVMRYKRIATVVHLPLILNLSSSHLFSASPPPTPPMTLLANVVHMCMRRALSTMTTIARKDDCEATLGKDYAAFRSDAKRDSRAHAAGRQATTYKWAGK >ORUFI12G05620.1 pep chromosome:OR_W1943:12:4016913:4022495:1 gene:ORUFI12G05620 transcript:ORUFI12G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHARLVVAGHGGSLPLVTKLATLAVAAGAAPYAHLLAASHPACDSFLLSSLARAAAHRGLPGEAIAFYGRLLAAALPFSSFAFTAAAKACADLSALRTGMAVHAHSVLLGFGSDRFVQTALVVLYSKCGQLPVARKLFDAIRDRSVVAWNAMISGYEQNGLAERAIEVYREMQVAQVVPDSATFVATLSACAQAGALDLGREVERRIVSDQMDVSVFLGSALVNMYARCGLVSKARDWFDRLQERNVVTWTSMIAGYGMHGHGREAIKLFHLMRREGPTPNDVTFVAVLAACAHAGLVNEGRNAFDSMKRVYGLVPRAEHYCSMVDMYGRAGLLDDAMQFIRDSIPEEPGPEVWTAMLGACKMHKNFNLGVEVAERLIALEPENPSHRVLLSNIYALSGKMNHVEKVRNVMIKRRLKKQIGYSLIELGGTSHLFRMGEKSHQQTREIYRYLEELIHRISDAGYVPETDSVLHELEEEEREVALRYHSEKLAVAYGLMMSSGSTAPIRVQTTLNSMQKLWKRYILITYLYVHE >ORUFI12G05630.1 pep chromosome:OR_W1943:12:4022513:4023091:-1 gene:ORUFI12G05630 transcript:ORUFI12G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQRQAAADQAGRAAGGACVWAVAAVLFLAVLAGGGCLVFYLALPPAEVPEWLPVAGLSLVALPWAFWIATCAYRLCCCCFSSSSAPEKANAAAAAAGHVERQPSSAAVAPLPSSTNLKSAVRSAMGSYSHSGTRRVHFGDSTVLGEKAAGAGGGEPAVVEEVEEEEEKECSSATSSHESEAPIAQSMHSS >ORUFI12G05640.1 pep chromosome:OR_W1943:12:4024097:4025089:-1 gene:ORUFI12G05640 transcript:ORUFI12G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASPEHARAEVDTSSAFRSVKEAVAVFGERILVGENRNGGGGYGGGDRCAGREGRTRSNTMAIAASFAKLEGGGGGGGDGVRVSSHSKPNAIGANAKLPVASDAAPAAMYLVPSSSPPFFASSPSLANDDDGVSAASASDAMVMGSIRKVEEEAARARQEVVQLKRRLAETELAMATLSAKLHRALSKLAHMEADRAAAERARIQRRDGRDMALAVWAASGGGDRRRGVATAAVHAAATARRQPLGELLRLGEADVVGAGAGAGGEMVIGSQRRAAVAPRRKVQKEKPIVPLLVPLINGIIFSRKKRNKDKESLYMKELYSLLRLS >ORUFI12G05650.1 pep chromosome:OR_W1943:12:4026028:4030997:-1 gene:ORUFI12G05650 transcript:ORUFI12G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLHLQSSATAAAAPSFLLLRRRGGGVTAPAGSSRRRRACFRVEAKIREIFMPALSSTMTEGKIVSWTASEGDRLAKGDPVVVVESDKADMDVETFHDGFLAAVLVPAGESAPVGSAIALLAESEDEIPAAQSKAASLSSSSSSSPPPPPPQESTPPPPPPPPPAPVAAAVSAPAPPSPASQGGLRVVASPYARKLAKDLNVDLNSITGSGPGGRIVAKDVEAAAAAPKKAAPVAAARPDVPLGSTVPFTTMQGAVSKNMVESLAVPTFRVGYTFTTDALDALYKKIKPKGVTMSALLAKATAMALVQHPVINSSCRDGKSFTYNSSINIAVAVAIDGGLITPVLPDADKLDIYSLSRKWKELVDKARAKQLQPHEYNSGTFTISNLGMFGVDRFDAILPPGTGAIMAVGSSQPTLVGTKDGSIGIKNQMQVNVTADHRVIYGADLAAFLQTLSKIIEDPKDLTF >ORUFI12G05660.1 pep chromosome:OR_W1943:12:4033103:4036615:-1 gene:ORUFI12G05660 transcript:ORUFI12G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRPCYCRNNGFLLLLVQVLLFLFLFLDSSMVATAASAPAPLNTTQVSIMKELSGLVTASAKWNTSDSNPCRWDGVSCSSSSNSISVVTNLTLSGYGLSNSTIFATICSLDTLQILDLSKNSFTNSIEQFFTSSCSMKAGLRSLNLSSSQLSMPLSNFSGFPLLEVLDLSFNSFSGDVRTQLSSLLKLRSLNLSSNNLAGDVPTSMTPSLEELVLSINNFSGSIPIALFNYQNLTMLDLSQNNLNGDVPDEFLKLPKLKTLLLSGNQLSGNIPVSVSNVASLARFAANQNNFTGFIPSGITKNVKMLDLSYNELSGVIPSDILSPVGLWTVDLTHNKLEGPIPSSLSPTLYRLRLGGGNSLNGTIPATIGDASTLAYLELDSNQLTGSIPLELGRCKSLSLLNLASNKFQGPVPDAISSLDKLVVLKLQMNNLDGPIPSVFSNLTSLITLNLSGNSFTGGIPREIGKLPKLSILNLQCNKISGTIPDSLHLLTSLIELNLGNNILTGTIPTMPTKLSTVLNLSHNNLSGSIPSNIDLLSDLEILDLSYNNLYGEVPASLAKLESLTQLVLSYNHLSGSIPIFRQHVDIATNGNPDLTNGTRNYDNAPTSGKRRTHNTVIIVVAITGALVGLCLLAAIVTISYSKRIYRVEDEGPSTEDVARIINGHLITMNSIHTSAIDFVKAMEAVSNHSNIFLKTRFCTYYKAVMPNGSTYSLKQINCSDKIFQIGSQGKVAHELEVLGKLSNSNVMVPLAYVLTEDNAYIIYEHVHKGTVFDFLHAGRSDVLDWPSRYSIAFGLAQGLTFLHGCTQPVLLLDLSTRTVHLKSMNEPQIGDVELYKIVDTLKSSGSLSTIAGTVGYIPPEYAYTMRLTMAGNVYSFGVILLELLTGKPSVSDGIELAKWALSLSGSPDQREQILDTRVSRTSAAVHSQMLSVLNIALACVALSPDARPKMRTVLRMLFNAK >ORUFI12G05670.1 pep chromosome:OR_W1943:12:4043713:4044255:1 gene:ORUFI12G05670 transcript:ORUFI12G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFTFPSVAPERCNAGKKPPFSPHFATPPPWFGGGVVVDAVVYDHRRSFSAVEKGEEEGTVRGGWYYCYDDGGCGNVSARFAGGEETKTMDMLWEDFNEELSRAAAAAPCPLSKEWTKEAWLAGDGTPEMRRHAAAAAAVASGSVVRRRRLSLLMMLKLLKKLFLAHKSNAASRKAPPI >ORUFI12G05680.1 pep chromosome:OR_W1943:12:4046166:4048207:-1 gene:ORUFI12G05680 transcript:ORUFI12G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSKEPCKKEACDIQACLSKNMFDSKKCVRVIQLLQSCCEQCEYKSTHCDDSGGSNKPVLSLKKMNGVLMAELFCA >ORUFI12G05690.1 pep chromosome:OR_W1943:12:4048486:4053538:1 gene:ORUFI12G05690 transcript:ORUFI12G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLWVPSLPILGGRILPMLRHYCGFGSHHPLTWRSLQITGRKQKHNGCWIAYCLPSHNGTSISDTNGVRKDLALPDNLLRDAHILYCTSPAMGHNKEAHPETNKRVPAIVDALEKLELTSKHRGSQVLEIQDFQPASLDDIALVHSRSYITGLEKAMSRASDEGLIFIEGTGPTYATQTVMIYAHSLLFLFPQCFSRWKTFQECLLSAGAGITLVDSVVAASKLGPKPPLGFALVRPPGHHAVPEGPMGFCVFGNIAVAARYAQNQHGLKRVMIIDFDVHHGNGTCDAFYEDPDIFFLSTHQLGSYPGTGKIHQVGQGNGEGTTLNLPLPGGSGDYAMRCAFDEVIAPAAQRFKPDIILVSAGYDAHALDPLAGLQFTTGTFYMLAARIREVAAELCGGRCVFFLEGGYNLESLSSSVADTFRAFLGEPSLAARFDDPAMLYEEPTRKIREAIDKAKHLHSL >ORUFI12G05700.1 pep chromosome:OR_W1943:12:4053919:4057448:1 gene:ORUFI12G05700 transcript:ORUFI12G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEELRTSFSDLVVGSSSRTDSQVESSGDPSSEGSVQVTCFTEDLHDVILHFQIIRFSKQIYAWVGCNTAKFGHLYAAASTRPGNGVSVTSVLGGTSDNTGSGMARRLVLKTGLNIVLACNIPKDSPMLEAAAERKLIEKLRGLGYVRPRAGEANTSTSQ >ORUFI12G05700.2 pep chromosome:OR_W1943:12:4055139:4057448:1 gene:ORUFI12G05700 transcript:ORUFI12G05700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEELRTSFSDLVVGSSSRTDSQVESSGDPSSEGSVQVTCFTEDLHDVILHFQIIRFSKQIYAWVGCNTAKFGHLYAAASTRPGNGVSVTSVLGGTSDNTGSGMARRLVLKTGLNIVLACNIPKDSPMLEAAAERKLIEKLRGLGYVRPRAGEANTSTSQ >ORUFI12G05710.1 pep chromosome:OR_W1943:12:4059115:4063360:1 gene:ORUFI12G05710 transcript:ORUFI12G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWRAAAMASSQLARREARRLLSSQHRRHCAAPACPWLLGSAPPVLGPSPAAAAAAGDRRGFCSVRSFTGESSAAAAAAAAVEEAENGSVAGGDQAIDFPGGKVSFVAEMNFLPESQRERINCYRVLDDDGRTISGSRFQEVSKELALKMYSEMVTLQVMDTIFFEAQRQGRISFYLTSHGEEAINIASAAALTIDDIVLPQYREPGVLLWRGFTLQEFANQCFGNKLDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVLEAPVIFFCRNNGWAISTPTSEQFRSDGAVIRGQAYGMRSIRVDGNDALAVYSAVHTAREMAIKEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNGWWCDEEESELRNNVKQELLKAIQVAERMPKPPLAELFTDVYDEVPSNLREQERLLRDTIKKHPADYPADVHI >ORUFI12G05710.2 pep chromosome:OR_W1943:12:4059193:4063360:1 gene:ORUFI12G05710 transcript:ORUFI12G05710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHLISSLSNSNTDIYKRLVRLEAQLRRRRLMAPWRAAAMASSQLARREARRLLSSQHRRHCAAPACPWLLGSAPPVLGPSPAAAAAAGDRRGFCSVRSFTGESSAAAAAAAAVEEAENGSVAGGDQAIDFPGGKVSFVAEMNFLPESQRERINCYRVLDDDGRTISGSRFQEVSKELALKMYSEMVTLQVMDTIFFEAQRQGRISFYLTSHGEEAINIASAAALTIDDIVLPQYREPGVLLWRGFTLQEFANQCFGNKLDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVLEAPVIFFCRNNGWAISTPTSEQFRSDGAVIRGQAYGMRSIRVDGNDALAVYSAVHTAREMAIKEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNGWWCDEEESELRNNVKQELLKAIQVAERMPKPPLAELFTDVYDEVPSNLREQERLLRDTIKKHPADYPADVHI >ORUFI12G05710.3 pep chromosome:OR_W1943:12:4059193:4063360:1 gene:ORUFI12G05710 transcript:ORUFI12G05710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHLISSLSNSNTDIYKRLVRLEAQLRRRRLMAPWRAAAMASSQLARREARRLLSSQHRRHCAAPACPWLLGSAPPVLGPSPAAAAAAGDRRGFCSVRSFTGESSAAAAAAAAVEEAENGSVAGGDQAIDFPGGKVSFVAEMNFLPESQRERINCYRVLDDDGRTISGSRFQEVSKELALKMYSEMVTLQVMDTIFFEAQRQGRISFYLTSHGEEAINIASAAALTIDDIVLPQYREPGVLLWRGFTLQEFANQCFGNKLDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVLEAPVIFFCRNNGWAISTPTSEQFRSDGAVIRGQAYGMRSIRVDGNDALAVYSAVHTAREMAIKEGRPILVEYRPVDEIEHWRTARDPISRYRKWVQGNGWWCDEEESELRNNVKQELLKAIQVAERMPKPPLAELFTDVYDEVPSNLREQERLLRDTIKKHPADYPADVHI >ORUFI12G05720.1 pep chromosome:OR_W1943:12:4062035:4065930:-1 gene:ORUFI12G05720 transcript:ORUFI12G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLSPPPAAAGNQYGAEHAAAKKAVALAARLCKKVQQDLLKLDVQTKADRTPVTVADYGSQVLVSVVLKMELPSNPFSMVAEEDSEDLRKDGAQEMLGHITKLVNETIINDGSYSITLSKEDVLVAIDCGKSEGGPSGRHWILDPIDGTKGFIRGDQYAIGLALLDEGKVVLGAMACPNLPFKSIDHNGVSSGDQVGALFSATIGCGSTVESLEGSQPQKINVCSISNPVDASFFESYERKHCMRDCTSSIAEKLGIQAPPVRIDSQAKYGAVAQGDGAIYWRFPHKRSKEAVWDHAAGSIIVTEAGGLVKDASGNDLDFSKGRYLDRDAGIIATNKYLMPLVMSSDGRPVGVLIAQPLLRQKKITGASKTAAKLSAAWKSP >ORUFI12G05720.2 pep chromosome:OR_W1943:12:4063166:4065930:-1 gene:ORUFI12G05720 transcript:ORUFI12G05720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLSPPPAAAGNQYGAEHAAAKKAVALAARLCKKVQQDLLKLDVQTKADRTPVTVADYGSQVLVSVVLKMELPSNPFSMVAEEDSEDLRKDGAQEMLGHITKLVNETIINDGSYSITLSKEDVLVAIDCGKSEGGPSGRHWILDPIDGTKGFIRGDQYAIGLALLDEGKVVLGAMACPNLPFKSIDHNGVSSGDQVGALFSATIGCGSTVESLEGSQPQKINVCSISNPVDASFFESYERKHCMRDCTSSIAEKLGIQAPPVRIDSQAKYGAVAQGDGAIYWRFPHKRSKEAVWDHAAGSIIVTEAGGLVKDASGNDLDFSKGRYLDRDAGIIATNKYLMPLVVKAAQEAMKEEGILG >ORUFI12G05730.1 pep chromosome:OR_W1943:12:4066389:4069098:-1 gene:ORUFI12G05730 transcript:ORUFI12G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVGLVSSSFSHALHASSSSTSTFTVSLRPPRLRLPPYLPRHHLLPTNYPRPSSSAARPRRLRATMSQAAGNPYAAELAAAKKAVTLAARLCQAVQKDILQSGVQSKADQSPVTVADYGSQILVSLVLKMEAPASASFSMVAEEDSEELRKEGAEEILENITDLVNETIVDDGTYSVFFSKEGILSAIDDGKSEGGPSGRHWVLDPIDGTKGGDQYAIALALLDEGKVVLGVLACPNLSLGSIGNLNGGSSGDQVGALFSATIGCGAEVESLQGSPAQKISVCSIDNPVDASFFESYEGAHSLRDLTGSIAEKLGVQAPPVRIDSQAKYGALARGDGAIYLRFPHKGYREKIWDHAAGSIVVTEAGGLVTDASGNDLDFSKGRFLDLDTGIIATNKQLMPSLLKAVQDAIKEQNQAASPL >ORUFI12G05740.1 pep chromosome:OR_W1943:12:4071438:4075123:1 gene:ORUFI12G05740 transcript:ORUFI12G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGIQQRSSPVLERNEMEEHLSVRNATRSATAWAASPVGRLVRIEVLVTISCCLLAVLVLLGSGRRAIHSAGFRLAVWSALMLSYPAVSYTIGLMQSASTFRNELIVAWGCFLLLLLGCADGIAAYSLNDSDQQARTVLNQGLQLVYVFILLISYVGSLPLQLKVLLLLLWALSAIKLGMRVRSFLSAGRDSVLTVENKLIADYMSREHEYSGRNYDAATMKGYKYVVVGEADQKDDNGDYHPIDQSNLDRSIITVEKVWECQGRLLLSSNGGDDAAASRRRDLCLSFAMFKLLRRRLGGYPLSEAHLNKTRDFVKVGLLAAADDHERMYRVIEVELGFLFDFYYARYRSPRETLIPDTLLFAAVLVASLSTLLSPAVLNHRARSNSVANGFDIWLTRTVIALFLFLESFQYLTLVFSDWHKVKMLCRYVREPTWQEHPGLELILKWMCHVRLIRYWNNSVGQYSLLLACLPPSRWRCTAGAGGVLCLPFPKPMARFLLRSRMTHHRKLPEEVKRAIYLFLRSGLARVRHGQYALEKNGALGVLYPPHVRQPAEMTTGTVQLILIWHIATELCDTKPQRQLADSGAKQDHLVATTLSSYCAYLVSSAPELLPERSYDTQRLLEGVQRKAREFLRGCRSRDDMYDKLPATVSDSQASDVHNILVEGRRVGEILGKMDTTKKWKLLAELWVELILSVAPSDNAASHVQMLANGGELITHLWALLTHAGVVDKRTCTENKSP >ORUFI12G05750.1 pep chromosome:OR_W1943:12:4090959:4094998:1 gene:ORUFI12G05750 transcript:ORUFI12G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYLVVEVISADIPSSSNTSQTNYSVELRFNSQSFSTTIKENVAVWNERFYFDMREQEDPSGDLTLEATVYSINKITYSKSLLGKVLLSDDYFHRHSVNVAAIHHPLKNTNCLNGTVQLKLFLTAAADKILLESEDNNYETEDEGNRLNNMYNFLFKKNPSYDEDDNVDQHGPVVLQPADSVLREINPNFEPGRVVERMQHLFVRVVKARNLPDMDANGSLDPYVEIKFGAYNKGVTRCLKRNKNPEWNETFAFPFQHGKMPSLSVDIVVNDKDLVRDDFVGKLHLDLKDIPKRSLDDVPLEPTWYPLLDQDGTKLAQASLLLAIWIGSQADEAYRHVGLSGYIPKVYENPNLWCLRVTVVDVQGVTVGDDEQEDMAGCNTGTDTGVFCRARLGKQVQRTRALGKQRTTSGSYEWKEDLLFVAAEPFFEDDLELHVIVANPGKDEVVIGQLTVPLSDIVKGGDEHDHFDVMPSKWFDLKNPDKPQFDSSVDDGNDNSSRMRICLKNMLDGRYCIVHDSKGYMDDTRPADRKLWRPPVGRVHLGILRATGLPLRMGKSTVNPYCVAKYGDKWVRTRTILDGPEHVFNEQHTWSVYDIATVLTVGVFDHFPHSRKAHREIGKVQIHLSCLETDRVYAHSYPLIILNRRGFKKAGELQLAVKLSSESFISLLGMYARSTLPKMHYEHPLTVMEEDKFRSEVAEVMALRFSRVEPPLRSEIVAYMCNATRGNNCWSMRKSKLNFFRLMEVASPFVDLFKSVTSWKNPAVALISHVIFVLALCFHKLVLSMVIIYFVLVALWNYRFRPRKPPFFDHTVSLLGSVHPDEIDEEFDSVESSCSVDLDTNHITSAYYHGVGGLYVYF >ORUFI12G05760.1 pep chromosome:OR_W1943:12:4095654:4098282:1 gene:ORUFI12G05760 transcript:ORUFI12G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTYLSWTQKRNCGTHVSLLSPLPQVPSPSSPLPLSLPQRRAVETSASGGGVGVAWRPTSCGRHYSGDGGGGARVELHRGEDGGDQSGGGGRHTQRGTASSAVPCSTCRPPPTRSSTPSRHGYACYHPSVVQDVLHFFQQPRPRRLWRDHLIPHAPECDSVGGEERFDDAGDDASSFSWRPRECGGDDGDFLEIQLVRLVPVVLEDRVGEVEVLKVVEVDEELVRVLCENRELQFEKVYFDSLNYSPMLVAAFSVGMALRCRSHVVGAELFAHGEVAPRRLEDKREREMTPVVLTSTAMVMAEAVASEKLGGVGFRRRRQTPAAGAVGSCIEEEAPLDVGWRPVVSRAAADLTGRVSETMTAGSRTPCAAASGPKWFSAAARLNDEACSSGAWWSGRKRCGAAGGRRRAWAEKEEHGERGGRKKRKRKGKKEREEGRGRRGEEG >ORUFI12G05770.1 pep chromosome:OR_W1943:12:4104187:4107036:-1 gene:ORUFI12G05770 transcript:ORUFI12G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEMVADEKAKVEATGRMASIEMEPKTLTLDQLKFAREAALYVLSTKPAEEAIRIFTEGLKPVHLAAGCGGGGRKSSTMAADSSSDDDLDIGCFHDDYAGKSYCRHHGGGRRRRRRSSSAVEKDVATAPF >ORUFI12G05780.1 pep chromosome:OR_W1943:12:4138975:4143661:1 gene:ORUFI12G05780 transcript:ORUFI12G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVLHSLPSLPFALSSHTPTRKCRRPARRRWPPPPRRSRRRHPQGPPPRQPWCCSFALDPLSAVHRSPAGTTTAAPPPHHRRRSKHAATTAPPLSRRMRSPGRVSPVDDATAYGGAAASASACSSARLSSVSECPPPPPPPLPRPRPPPPAVEALRIRLVSKGVILEVAEVERVRRECRVVGRILGGGGGEVAVEGKVEVEAIREAVEMMLEDADEAAAMRRLSRAGVARAIAVLEVSFSLMFDRGVTNCLKYLEAVPWSEPEEERIKSLLSQYPCNKTVSQDLLARLQPQEPSSSAELVVELMDSITKGTNNNARKDLRTLVDGILSRTSIYIKSDKELDMMNIYSICHTCLNCLVELFGEASDLGPSGQTTISVGKGPHERICKQVENLTWLLQILIDRQMGEEFVDLWASQNTLSTMHERFSPMVRYELSRISATIFIAMGSGKLHCTSTSRLGIFEAWFRPLLVDFGWLRRCPKGLNMATLEDGIGQALLTLTLKHQQVLFMEWFETFSGQGRECPNLMRAFQVWWRRSFVKLELPVFGLLTMPISP >ORUFI12G05790.1 pep chromosome:OR_W1943:12:4146831:4153788:1 gene:ORUFI12G05790 transcript:ORUFI12G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMRVGRAAVAAAAESLLEATSASRALDSVKDPRISPRITTIKDDEEKGVAGDTHREAKQGLKKKRKKTTMMMQSAADVLVDDGGEGGLGDGADDALLLLAVLEHEDGGDAPDALVAMFGESSVFTLQHLILPTYSLASSSITGAIILHGPHHGAQNSTSTGASLPSTMLSQFFSSATTTATTPHAHIRWFSI >ORUFI12G05790.2 pep chromosome:OR_W1943:12:4146831:4154315:1 gene:ORUFI12G05790 transcript:ORUFI12G05790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGESSVFTLQHLILPTYSLASSSITGAIILHGPHHGAQNSTSTGASLPSTMLSQFFSSATTTATTPHAHIRWFSFCFAPEYDEWSNMCFTFVDDVAALAGEAGGRSER >ORUFI12G05800.1 pep chromosome:OR_W1943:12:4153408:4154011:-1 gene:ORUFI12G05800 transcript:ORUFI12G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETCFRAWATLHAPQPPSSGGSRDRLLLSGAGSSQSKPRLSVASPSPLRPASRFACQCSNVVDEVVVADEKNWDSMVLGSEAPVLVEFWAPWCGPCRMIAPVIDELAKEYVGKIKCCKVNTDDSPNIATNYGIRSIPTVLMFKNGEKKESVIGAVPKTTLATIIDKYVSS >ORUFI12G05810.1 pep chromosome:OR_W1943:12:4161146:4166878:1 gene:ORUFI12G05810 transcript:ORUFI12G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLHKSPFHHRGNTNISPSTTLSRSWVRPPQIRGLPCIILPPPRQSPRSAADAHMAAPSGSGEAAPASSTYYDVYGPDDVQGLVTWVIGDGMLPSWVFVKNKPLIPKVVLLYVPGLDAALYMSQSRHLSSLKEFCGNPKPVLASSCIPDERHTIDALLTCRVKRKRALKTTDQSHESDGQEKLSSLDDLKDIPFPIKYYTLSEKDLEDNGYNFSLEGFVPTVSAPPGSSPYAILALDCEMCVTAAGFELTRVTLVDIKGEVVLDKLVKPANPITDYNTRFSGITAEMLADVTTTLQEIQEEFVGLVHKETVLVGHSLENDLMALRISHDLIIDTAVLYKHNRGHRFKIALRVLAKKFLNREIQNTGSGHDSVEDAKAALELALLKIKYGPDFGSPPSTSRRKLASILHESGKKCSLIDDASVLERYSDASCNSIAVFSDDDALSRSMKEVKNDKVSFVWTQFSKLISYLRTRAQDPDKVKSCVAEAIALQTCDRKTAQKRKKHQTCPELKEILIGLDKKIRKLYSVLPDNAMLIICSGHGDTPLVQRHYSDDILADSVYCRKDGAPCIPTNIRTSG >ORUFI12G05820.1 pep chromosome:OR_W1943:12:4170594:4171030:-1 gene:ORUFI12G05820 transcript:ORUFI12G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLPSLLFWLPIPPVMLGLEEAAALRKLKEIGGMNSPAIGVAHQGVNVNRNGGVIEAECLVEWAGWEARMIVRAAPP >ORUFI12G05830.1 pep chromosome:OR_W1943:12:4193084:4197337:1 gene:ORUFI12G05830 transcript:ORUFI12G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTGFYAGFISGYAVSGSFLGTPDVGLLTPPEMAEVARRICASAPNTLFIADADTGGGNALNVKRTVQDLMAAGAAGCFLEDQAWPKKCGHMHGKQVIPAEEHAVKIAAAREVVGDRDFFIVARTDARSVTGLDDAIRRANLYIDAGADACFVEAPRSDEELMEICRRTKGYRVCNMLEGGKTPLHTRQELMEMGFHLIKSPLTTVYAAARALVDVLAALKRAETTRDELHRLTTFTEFNNLVGLDSWLDIEARFSVNSSSSVPKPLPAPPALETTTEMEKAKAKAAAVVAGNGATNGVH >ORUFI12G05830.2 pep chromosome:OR_W1943:12:4192939:4197337:1 gene:ORUFI12G05830 transcript:ORUFI12G05830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVNGANGNGHHGNGNGNGAAAGRSTRVSRKIESEGAVLMPGVYDALSAAIVQKTGFYAGFISGYAVSGSFLGTPDVGLLTPPEMAEVARRICASAPNTLFIADADTGGGNALNVKRTVQDLMAAGAAGCFLEDQAWPKKCGHMHGKQVIPAEEHAVKIAAAREVVGDRDFFIVARTDARSVTGLDDAIRRANLYIDAGADACFVEAPRSDEELMEICRRTKGYRVCNMLEGGKTPLHTRQELMEMGFHLIKSPLTTVYAAARALVDVLAALKRAETTRDELHRLTTFTEFNNLVGLDSWLDIEARFSVNSSSSVPKPLPAPPALETTTEMEKAKAKAAAVVAGNGATNGVH >ORUFI12G05840.1 pep chromosome:OR_W1943:12:4199874:4201216:1 gene:ORUFI12G05840 transcript:ORUFI12G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLTLSTRQPHPQHFPSQIRISPTQLSSHTKPINHHHTVLVVVSNQPNSCTRAARAMAGVSTSVVGLKPAAAVPQSAASPVPKRVHVAVPPKEEQGGGRRAALLGLAAVFAATAAGQAKAGVFDEYLEKSKANKELNDKKRLATSGANFARAYTVEFGSCQFPYNFTGCQDLAKQKKVPFISDDLEIECEGKEKYKCGSNVFWKW >ORUFI12G05850.1 pep chromosome:OR_W1943:12:4201917:4210483:-1 gene:ORUFI12G05850 transcript:ORUFI12G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALVLIVGAGPSGLATAACLGQLSIPYVIIEREDCTASLWRKHTYDRLKLHLAKEFCEMPHMPYPEDTPTYIPKIQFLRYMDDYVEHFNICPKFNSSVESCLYDDVQKYWVVTTHDQVNGMVSKYAARFLVVASGENSAGNIPSIPGLEDFSGHVIHSSSFRSADSYAAQRVLVVGCGNSGMEIAYDLSSHGANTSIVIRSPLHVMTKELIHMGMKLASWSLPVKFVDFILVVLAYLWFGNLSKYGIVRPNKGPLLLKANTGRSAVIDVGTVELIKKGDIKVFGTISCIKGNVVEFDDGKESYFDAIVFATGYTSTANNWLKNGEDMMNKEGMPKKDFPNHWKGSNGLYCVGFARRGLSGIAHDAKNVANDWMEEDLTPKPAVGSSKNSQPSLITSMDGERCFALVAEQTVSIGGN >ORUFI12G05860.1 pep chromosome:OR_W1943:12:4215734:4216411:1 gene:ORUFI12G05860 transcript:ORUFI12G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPVTPASSAAGAPPPPPPPTTTCSTSCRFVLPPTRRHLLASSASSLLLAAAAAAAPRAASSEDDDAVTSYDPVTAAERAASASVSRRVGEAVRLLDLGRDLQARGEFPAALASFTAVATEYGDLSLSGYARVGRALVLYEVGDRDDAIAEMEDASVALRGYPEIHAALAAALYADKHAALLAENQFAIATLLDPHYTDLAYVRDTKHWPPSLVDSLRHFITLS >ORUFI12G05870.1 pep chromosome:OR_W1943:12:4221820:4227279:1 gene:ORUFI12G05870 transcript:ORUFI12G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAPEATGGFCGGGGGLSTGRKLVPWSSWAEWRHVRDGLFSASPAAALRRIAAWRSRGTLPVPVDVTAAFVEIRLRDPFFRSVMAVDDALESEEMLSMLYSMAIMRRVHSYRDLRFCDVWGRTLKLEIVMLVNGFVENPHKKTGYSISELAEAVGIPRVLVDIRHESSHRTLPSLRLLRLAAIKAFDWLKCIYWDSQTNAIPDVQVEVRSKLHEINNFMKGKDSMKAKSGSKRKRSEKMISRNIKYVRRLYYACPSEVAFVILDFFQRGAPESSENSDVLETDKDVDQSSDIHSEISNNDMRTIITKLSEKEPRLLLGILKSVIETIETMEDLENKGEYNASLPAKVELLSSHVLWLVTKLKELKDSGCIGVVHEIGVLSSDRNAVPRFCLAKLLRKLLSLSIIDERCIIDAALVLIEMATNNVQEKLRKLPMLSLGKVARDSTLPEPTKETESVEEATEKLEMFKSRLKQKDLRLAENDTGASLNTIMPEKRNRWSTAKSWTPCPIGMIPCSFSSVAVLPTLDVVDHESRDEILEQHVSVEPDDHTERIGYYSDPEKQLDAERIPELSRPSPEECEISDMPELAFPLKGRLLVGGVWKMVSEEELLFIKSKMKILL >ORUFI12G05870.2 pep chromosome:OR_W1943:12:4221820:4227279:1 gene:ORUFI12G05870 transcript:ORUFI12G05870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAPEATGGFCGGGGGLSTGRKLVPWSSWAEWRHVRDGLFSASPAAALRRIAAWRSRGTLPVPVDVTAAFVEIRLRDPFFRSVMAVDDALESEEMLSMLLVNGFVENPHKKTGYSISELAEAVGIPRVLVDIRHESSHRTLPSLRLLRLAAIKAFDWLKCIYWDSQTNAIPDVQVEVRSKLHEINNFMKGKDSMKAKSGSKRKRSEKMISRNIKYVRRLYYACPSEVAFVILDFFQRGAPESSENSDVLETDKDVDQSSDIHSEISNNDMRTIITKLSEKEPRLLLGILKSVIETIETMEDLENKGEYNASLPAKVELLSSHVLWLVTKLKELKDSGCIGVVHEIGVLSSDRNAVPRFCLAKLLRKLLSLSIIDERCIIDAALVLIEMATNNVQEKLRKLPMLSLGKVARDSTLPEPTKETESVEEATEKLEMFKSRLKQKDLRLAENDTGASLNTIMPEKRNRWSTAKSWTPCPIGMIPCSFSSVAVLPTLDVVDHESRDEILEQHVSVEPDDHTERIGYYSDPEKQLDAERIPELSRPSPEECEISDMPELAFPLKGRLLVGGVWKMVSEEELLFIKSKMKILL >ORUFI12G05880.1 pep chromosome:OR_W1943:12:4226449:4229852:-1 gene:ORUFI12G05880 transcript:ORUFI12G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKLTIKRVPTVVSNYQEDAAATAGERPRAGCGRDCLGDCCLPDSKLPLYAFKASPKKPSSQEDASNDEFFVNLLLGLWEDRMARGLFRYDVTACETKVIPGNLGFVAQLNEGRHLKKRPTEFRVDRVLQPFDAAKFNFTKVGQEEVLFQFENGGGDDSFFVESSPISVADRAPNVVAINVSPIEYGHVLLIPRVLDRLPQRIDQESFLLALHMAAEAASPYFRLGYNSLGAFATINHLHFQAYYLTVPFPVEKAATKRIFLAEGTMNSGVKVSKLMNYPVRGLVFEGGNSLSDLANVVSSACIWLQDNNVPYNVLISDCGKKIFLFPQCYAEKQALGEVSQELLDTQVNPAVWEISGHIVLKRRSDYEEASEASAWRLLAEVSLSEERFEEVKAYIFDAAGLVQSDEEEVSEDEDATYTPVSIAPPAVAEGCLVLQ >ORUFI12G05890.1 pep chromosome:OR_W1943:12:4244149:4251182:1 gene:ORUFI12G05890 transcript:ORUFI12G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRGGGGGGARHHLPLRPRRLLRSPISRCAVLLAASAALLLVLSLRQEPNEQLWGSDGYGSHACVTPTSRYMAPKGSERYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFNEPRFIKALEGDVSIVNDLPQSLQSVPRARKHFTSWSGASYYEEVKQLWKDHKKLLERLRSRGKFIALHLRYEKDMLAFTGCTYGLSESEADELRIMREKTSHWKLKDINSTEQRSGGNCPLTPEEVGIFLRAMGYPESTWIYLAAGEIYGGDKYISKLRSYFPNLVSKEVLATKEELGKFNDHASQVAALDYIVSVESDVFIPSHSGNMARAVEGHRRFLGHRKTVTPDRRGLVELFDLLQKGELMEGPKFSSLVTEMHKNRQGAPRKRYGSLPGSKGRARLRTEESFYENPFPECICLNGKH >ORUFI12G05890.2 pep chromosome:OR_W1943:12:4244149:4248480:1 gene:ORUFI12G05890 transcript:ORUFI12G05890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRGGGGGGARHHLPLRPRRLLRSPISRCAVLLAASAALLLVLSLRQEPNEQLWGSDGYGSHACVTPTSRYMAPKGSERYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFNEPRFIKALEGDVSIVNDLPQSLQSVPRARKHFTSWSGASYYEEVKQLWKDHKKLLERLRSRGKFIALHLRYEKDMLAFTGCTYGLSESEADELRIMREKTSHWKLKDINSTEQRSGGNCPLTPEEVGIFLRAMGYPESTWIYLAAGEIYGGDKYISKLRSYFPNLVSKEVLATKEELGKFNDHASQVAALDYIVSVESDVFIPSHSGNMARAVEGHRRFLGHRKTVTPDRRGLVELFDLLQKGELMEGPKFSSLVTEMHKNRQGAPRKRYGSLPGSKGRARLRTEESFYENPFPECICLNGKH >ORUFI12G05900.1 pep chromosome:OR_W1943:12:4249414:4250976:-1 gene:ORUFI12G05900 transcript:ORUFI12G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSVLFLPSSSLFLTKQLVPATKGRASAAAAVRCSSGPNLSEAHEEEDGVASLMGRRHAMASAAAACGVSVFGFAGESMAVKQGLLAGRIPGLSDPDEKGWRTYRRPDDKSGGHGVGWSPIIPYSFKVRDGWEEVPVSIADLGGTEIDLRFANSKQGRLFVVVAPVRRFAELDDATIEKIGTPEKVIDAFGPEVIGENVEGKVLSMATAEYSGRTYYQFELEPPHIFITATAAGNRLYLFNVTANGKTTVPISKLYDIFFRTPNAE >ORUFI12G05910.1 pep chromosome:OR_W1943:12:4256224:4256814:-1 gene:ORUFI12G05910 transcript:ORUFI12G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRRKDVNDGQTGLSKTKLATPCPGSNKLGAAWSRCKWPMEVKVEMVSESELEPRDIVNNSVDGGLVDRKGSLHHVQTFPGRLGLLPFHGASPLLLTSLLPDSGRGEFHGKR >ORUFI12G05920.1 pep chromosome:OR_W1943:12:4262090:4265622:-1 gene:ORUFI12G05920 transcript:ORUFI12G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLQEAAAVDEAVSSMMSLLGAAMSSEKKGSAAAAAEQRVEWLRSQLIGKDAEFDTPFGRRLLTYADHTASGRSLRYIEDYLLNEVLPFYGNTHTEDSHVGSKTTRLVHKAARYVKRCMGGGAGDALLFCGAGTTAAIRRLQEVIGVAAPSAAPLRARLAAGLRREERWVVFVGPYEHHSNLLSWRQSLAEVVEVGVDGDGLVDVAALRRALASPRYADRPMLGSFSACSNVTGIAVDTRELARVLHQHGAFACFDFAASGPYVKIDMKSGEVDGYDAVFLSPHKFVGGPGTPGILLMNKSLYRLNSQPPSMCGGGTVAYVNGFNEEDTLYYDDIEEREDAGTPPIVQKIRASLAFWVKEYIGYETMELHERVYSEMAMKRLLDNPNIKVLGNTTVDRLPIFSFLIYPPVEDSLFLRVEPGCYNSLENKTNKRLPLHGRFVTKLLNDLFGIQARGGCACAGPYGHILLDVNNELSVRIRSAILEGYSGLKPGWTRLSFAYYLSKEEFKFILSAIEFIAAYGHRFLPLYKFDWITGNWTFREQAIKYHVLREELSLATSVQYAENIKSRIAKNLDKKPEPNHMRFETYLENARKIARSLPNINQQIVSIPKGVDPDMVLFHI >ORUFI12G05930.1 pep chromosome:OR_W1943:12:4269934:4270137:-1 gene:ORUFI12G05930 transcript:ORUFI12G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVADLTTATRMGDGSDEGKVTMYPPRAARGRLPRRHGGESRLPDQILGEDGGILPATMTTTTASN >ORUFI12G05940.1 pep chromosome:OR_W1943:12:4284340:4284549:1 gene:ORUFI12G05940 transcript:ORUFI12G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVVGACSMAIGDFASIYTQLNIELAEQAAPWPDWPASSDMTGEYHGVQSRKNGSEAAISIIKIGHV >ORUFI12G05950.1 pep chromosome:OR_W1943:12:4330373:4334890:1 gene:ORUFI12G05950 transcript:ORUFI12G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQTAAAEAVVDEAVVAGKGGAMPTSTQDLLFRPCPTTTLLTLLRDTSEKRAAAAEQKMEWLRSQLVGKDVEFDTPFGRHLLTYADHTASGRSLRYIEDYLLNEVLPFYGNTHTEDSHVGRKTTRLMHRAARYVKRCMGGGAGDALLFCGAGTTAAIKRLQEVMGVAAPSAAAPLRARLAAGLRWDERWVVFVGPYEHHSNLLSWRRSLADVVEIGLVGDGLVDVAALRRALASPQYADRPMLGSFSACSNVTGIVVDTREIARVLHQHGAFACFDFAASGPHVKIDMKSGEIDGYDAVFLSPHKFIGGPGTPGILLMNKSLYRLNSQPPSTCGGGTVNYVNGFNEEDTLYYDDIEEREDAGTPAIIQKIRASLAFWVNEYIGYDTIDLHEQIYTEMAMKRLVDNPNVKVLGNTSANRLPIFSFLIYPPMVDSIFHGDDRLAIVRRKRLPLHGRFVTKLLNDLFGIQARGGCACAGPYGNILLEINNELSLRIRSALVEGYLGLKPGWTRLSFAYYISKEEFKFILDAIEFLAAYGHRFLSLYKFDWRSSNWTFSKQAAKELSAATGVLLGEDLQFKAEDKSDNNKPEPNHTKFATYLENAKRIALSLPDINQQIIRYFVLSRDGVTKRDRHKDSRKKRADEPAMRV >ORUFI12G05970.1 pep chromosome:OR_W1943:12:4344609:4344845:-1 gene:ORUFI12G05970 transcript:ORUFI12G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLDCFGVLERKRSKPSPKQQEAEDAKMQKGAVEVGGSSKAGEKMEEKEKSKKGKECRGAPLLVPHFPCRSTHGLL >ORUFI12G05980.1 pep chromosome:OR_W1943:12:4385842:4386729:-1 gene:ORUFI12G05980 transcript:ORUFI12G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTDSFVGAAAAAAAPLDRQDGGGGPGDQKLEAFLREAEAAKDEMAALRDELSRLQAAHEASKALLRPGAPRAATQAALVRLIGSAGRLRARLASMDRRAPAPATTAATAGLRGRLQDLTAGVQVLRRQVSAERRGDAARCYLAVAGEAPTEEQLDRLVAAGGANTDAEAAVRAAMKSSSEAEEVEGGLLELQQLFLDMAALVESQGARVDDIERHVAAAAGDVGAAEGELREAQRLRVAARRRRLWLSAGLAVLLLVVLAAAAAALALALARRKGGATQLAADAADLAAFL >ORUFI12G05990.1 pep chromosome:OR_W1943:12:4389761:4389994:1 gene:ORUFI12G05990 transcript:ORUFI12G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTSRSLLPFFLAESPVARTVAVEGEAAMGKGLGEVATPSASSVRARKLHAKAEDRAIDQVQWQKGRGEKIANAAT >ORUFI12G06000.1 pep chromosome:OR_W1943:12:4394310:4398803:1 gene:ORUFI12G06000 transcript:ORUFI12G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPQQSVHPSLPSSTSTLRLLISFSPRRPPPPPPRARRYNRLAASASAAREMPWPHVLTVAGSDSGGGAGIQADIKACAALGAYCSSVVTAVTAQNTAGVQGIHVVPEEFIREQLNSVLSDMSVDVVKTGMLPSIGVVRVLCESLKKFPVKALVVDPVMVSTSGDTLSESSTLSVYRDELFAMADIVTPNVKEASRLLGGVSLRTVSDMRNAAESIYKFGPKHVLVKGGDMLESSDATDVFFDGKEFIELHAHRIKTHNTHGTGCTLASCIASELAKGATMLHAVQVAKNFVESALHHSKDLVIGNGPQGPFDHLFKLKCPPYNVGSQPSFKPDQLFLYAVTDSGMNKKWGRSIKEAVQAAIEGGATIVQLREKDSETREFLEAAKACMEICKSSGVPLLINDRVDIALACNADGVHVGQLDMSAHEVRELLGPGKIIGVSCKTPAQAQQAWNDGADYIGCGGVFPTSTKANNPTLGFDGLKTVCLASKLPVVAIGGINASNAGSVMELGLPNLKGVAVVSALFDRPSVVAETRNMKSILTNTSRT >ORUFI12G06010.1 pep chromosome:OR_W1943:12:4399888:4400379:1 gene:ORUFI12G06010 transcript:ORUFI12G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILPGFFRRRRSSAVVPAATKEEMLELERRLWDIAPAAAYELQKRRHWTPEQVAREAEKQRWIAEEKRRIEKETKRQQQRRSSGFAVVVVVDLDKELGEEFERTRFYEELRLQQAEARRAAASKVVTTTEEEDDDDDVPARGDEGYLERRRELLGRYRLT >ORUFI12G06020.1 pep chromosome:OR_W1943:12:4423350:4423964:1 gene:ORUFI12G06020 transcript:ORUFI12G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKRQHNQHGTLKMFLKEENLDMEGFIWKSMLRHVTKAFGRACRNTSSSSWRSIKRAKHLLERRPKPWSNIARRSTKGEAKDPRPKGDEEKGLCQQARRTKPQVGQPLGKAHLE >ORUFI12G06030.1 pep chromosome:OR_W1943:12:4424401:4429168:-1 gene:ORUFI12G06030 transcript:ORUFI12G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRAKDEMYAVGWCHADIDNTTCKSCVTDALRKVKVGENIIEGSNDLGGENKRIIEGSGDSGCENDTCKTQCTSTLVSFDEITSNAQGKKIALFLDYDGTLSPIVNNPEMAFMSPEMRETVRDAAKIFPTAIVTGRSRRKVFEFVKLVERYYAGCHGLDIMVLEVRPEDVADKGKVIKYLIGTLGLNDSNVLPIYIGDDETDEYAFKI >ORUFI12G06040.1 pep chromosome:OR_W1943:12:4436450:4437130:1 gene:ORUFI12G06040 transcript:ORUFI12G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWILPSCFFPRRSAAAIAAAVVPVATKEELLELERRLWDIAPAAAYELQKRRHWTPEQVAREAEKNRWIAEKKRRIEKESKRQQRRRNSGDSAAATTTVLLDGAGVNLDKVLGEDFERKRFYEEIRLQAETRRRATPEEEPSTAAVVVTEEDDEESDDDDVPARGEEGYLERRREILGRYCLTPAHDPAGSRADIKIGEEDGGSWSPFLIARNLGRRITLRAAD >ORUFI12G06050.1 pep chromosome:OR_W1943:12:4440680:4441586:1 gene:ORUFI12G06050 transcript:ORUFI12G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCFHGGGGGGGGRLAKSFNVISDFTKILIGRRGGDHALARRRRMRQCKDAAPAAAAAAVASASAKIAPEEEGEGGGGGKEEEFCDKCCSALSGGGGAEEEAAAEGEREGXVTVAVDVHLLRGSGGGSTGTLGSPILGLVTAPNTTGGGEHDAVRDQHQPTAATWREWVEEYEPGVFITVGAYPGHRLQLRCVELSREKFGEVKARVWWEENKARLHHLYSF >ORUFI12G06060.1 pep chromosome:OR_W1943:12:4441971:4442225:-1 gene:ORUFI12G06060 transcript:ORUFI12G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGAAAAAGGGVVVSPSAAKDSWPELVGVSSEAAKTKIGEERPELDVQVVPADAFVTTDYNAGRVRVFVDSDDKVARPPKIG >ORUFI12G06070.1 pep chromosome:OR_W1943:12:4442275:4452484:-1 gene:ORUFI12G06070 transcript:ORUFI12G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRDRLPRRFIEDGRGYPDIRVVDERRGYPDIRVVEDRRGYHGIRAIEDHRGYPDIHEGLVMRVAPRSHTAMLEEEIEIQGAEFRRLMADHRALAEERLALHRELQAGKDEVRHLNTIIADISAKKETYIGELVDKRRKLEAELRANESLRDEIVQLRGEIDKHLVVRKELSAKSASIMHELTREQSNKQQIPMLKAEIDALRQELVHARSACELEQKGNFQLVEQKKAMEKNMISMAQEIEQMRAELANSEGRPWAPGATYGMKLGSPEVTFPTSYGDNYNIHVGGSEKGHSHLPESSSWGTYDNNRLQPHL >ORUFI12G06080.1 pep chromosome:OR_W1943:12:4457227:4467677:-1 gene:ORUFI12G06080 transcript:ORUFI12G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPSPEEDDQSYEEHADFSQSEHAESAVEIMRREREERRRKLKREQHDDGPRLNRQPIRNDHMNQNKIIRHGRIKEPPQGWLDCPGSGEPIDRIVPSKVPLDETFNESVPAGKRYSSKQVVNKQRKAGRDIGLVIDLTNTTRYYSPTEWTRQGTKYVKIACKGRDAVPDNESVNTFVYEVMAFLDRQKQSRNPKYILVHCTHGHNRTGFMIVHYLMRTQLSSVTEALNIFAQRRPPGIYKNDYIQAIYSFYHEIPENIVCPPTPEWKRPSDLDLNGEAKQDDDDDGNVDRAPSPNHEDDKVITNDDILGDAVPYDQQDFLRSICFRLLELVPSGRANAQFPGSHPVSLNSENLQILRQRYYYATWKADGTRYMMLITRDGCFLIDRNFCFRRVQMRFPLRNSNEGFHHYTLIDGEMIVDTVPDLGLKRRYLAYDLMSINSQSVVKLPFSERWKLLDDEIIRPRYHDKGRSPSYKYDMELFSVRRKDFWQLSAVNKILKEFIPKLCHESDGLILQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEIGSENRQFIFLYERGRKKLMDGARVMKLTRLQYQGKLLSALGIKKRTVMRSITDNITEDKLLEEIYEIMNLPMYADRKAKAHARSMAQQRRR >ORUFI12G06090.1 pep chromosome:OR_W1943:12:4467704:4469331:-1 gene:ORUFI12G06090 transcript:ORUFI12G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDQPSPTKRSARPKQTRGGFCRVVSFFLSGVGQHAVHSVHARQNIAAPKAASAPPRAPPPTRSNSIASASSTTSTPVSVGGGGELPNPRLAQAPQHPPQKPRGSPFPQLHRLSSAAGEIGRIPSARAAAGRS >ORUFI12G06090.2 pep chromosome:OR_W1943:12:4467753:4469331:-1 gene:ORUFI12G06090 transcript:ORUFI12G06090.2 gene_biotype:protein_coding transcript_biotype:protein_coding LDQPSPTKRSARPKQTRGGFCRVVSFFLSGVGQHAVHSVHARQNIAAPKAASAPPRAPPPTRSNSIASASSTTSTPVSVGGGGELPNPRLAQAPQHPPQKPRGSPFPQLHRLSSAAGEIGRIPSARAAAGSVPYLSVWIVLYSSSAAEVTLLFGSEAAYS >ORUFI12G06100.1 pep chromosome:OR_W1943:12:4469630:4471754:-1 gene:ORUFI12G06100 transcript:ORUFI12G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEIKTLQVVKFSGVYYTLPLHLVAPAVQHVLGRKTTILRMRGATLDENNMLHLEIEFLSRHAAMKAMEHCNDIDPRHKRYIQVKWNDTNLDVSANNEEPVLQELSYLEPQPDSTEEKKVHDGPDVMGGEQLRSPQLVHDRREQMRRVLRCHGLHQFIRRGVVCLDGGDPECDLHPAIVGKQGWSGKTAAAVMVDELDGEEKVVGGEVEVLRSAGGEVGDGVEDDVGDGVGEAKAVSDRADAVDDLRDLLEG >ORUFI12G06110.1 pep chromosome:OR_W1943:12:4474966:4475521:1 gene:ORUFI12G06110 transcript:ORUFI12G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYGHTGRQFVILHDGPATGDAGGGAALAAAGETAEDLKEALLCCCTTKQKSRNKKPPLEPTFSNQNTSNQTTTTRRFK >ORUFI12G06120.1 pep chromosome:OR_W1943:12:4475573:4476693:1 gene:ORUFI12G06120 transcript:ORUFI12G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVPGVQISASPASWRPDPAASAVGGGGEGGVGGVGGREAGGGTSEGGGVGGGRLARAEAEARLEVSLAEAVEVETTAADLDEEQPREIEQHLKATQEALLAGCFIKTRQGIVRKPGSTPKVTVNEFSYDRFDIYFCCKY >ORUFI12G06130.1 pep chromosome:OR_W1943:12:4489696:4493056:1 gene:ORUFI12G06130 transcript:ORUFI12G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRKIAKSTASRGSRSGVKSPNPRSRAANPSRTGGFFSDELRLGRWTCYHGEEEEEKPWLPPADKSSESIREYVAEAARRLPLEEMPELLHCVGAVGYCFGLADPVTNIILNAITHLASDDLEEHLPPLPPPKKRTRRTYNHGWGYISLNSFCGLLAFMKVYFRYLTDNQARHYLYLASYDLLLAIELVHHDRRRCLPRPSLLPDDGRMKIALRIAAVQADHPAPDKLVQTMTAQYPSHLLSPIMDKLRGSELLTTHDVRAIMDLLLARQCLPANMDFLCCPNGDACAHRATNHGTLQVATCIGGGAFARISTEIVTPDHIRPQQLQYISNLTFDDSSAMEMKLSKCSSGGCQLDYDFSSPCEYILSLKMCLLDAIHGFYIRALAVLPTGDDSMRLGRLLRALLVSGHCYGPLDPVSNIILNAVLYDAAYPPQPHEGDGKAELPHDIFDTRAMRDMASCSLDGLVALLCTITTTTTGTPLSKHEAVEYLWSWQCDLTEKLQQTVMAKNPYAAAAMASKHPQHTMLGALLVSFSSEKLDRLRYFLRSISDGSGCVISGDDWEQLNKMIKTQLTTIITTREVLPFNPQALSASLRVSAYVNSHSFARSKLEELLLRYSRQHPWEPRYKLDLICGVEEPRSARCGCYHANFLASAHGSVLKFNGETTVAQTTVRRLFFAEFWDSQPGRFYESHAKPMCCPVQDSSPCFGRCIFCGEASTIVHPPCAARSHLDDDDYAPILDYDVQAAIRGFQKPNQGMLLTWGKLAYESLRLSQLVVNYVGIQVDDIPDAI >ORUFI12G06140.1 pep chromosome:OR_W1943:12:4507483:4515323:-1 gene:ORUFI12G06140 transcript:ORUFI12G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSGAINSLLSKLAALMGEEYGKLRGVRKEVASLEDELRSMRALLEKLAAMDELDGQAKEWRDQVREMSYDIEDCIDDFLHQLDKNNGSNGFVHKTVKFLKEIRARHQIGNSIQEIKNLVKEVSERRMRYKIDEYTPNSRHVPVDPRVVAIYSEAAGLVGIDAPRDELLKLLMGEEQGLKVASIVGFGGVGKTTLAKEVYRKLERKFDCGAFVSVSQKPDIPKLLNRILLEVRGQCSVHNTNLDGILNDIINSLRDKRYFIVVDDLWDSFEWNIIRCAFPENKYGSRVLTTTRILSVATTCCSNSQAYIYKMKSLTDQNSRNLFYSRIFGSHEAFPNKFEEVTTNILKKCDGLPLAIISIASLLSGQPYITWEYVNNSMRSMFEGNPTLGGMRQILELSYNNLPHHLKTCLLYVSMYPEDYIIKKNDLVRQWIAEGFVSKISGLDVDDVAGSYFNELINRSMVQPIYTDYNDEVLSCRIHDIMLEIIRSKSAEENFFSVIDDRTVAPGLHTKIRRVSFHYADEEDGVIPASNNRSLSQVRSAAFFKNSFRPSSLEFKYVRVLLLEFPRRWRGKRVDLTGICGFSLLRYLKISHDVKLVLPSQLGGMWHLETIELHTSEELSIPSDIVSLPHLSQLFIPANTVLPNGIGDLKSLRNLEWFDLIKNSMSNIECLGELTNLRDLKLDCSSSEPLEDVTSRIEALRCSLERLSRSSGSLRNIVLLKHFPSWLQVDGLSTLSPPPRHLWKLHLERCLFSRIPSWIVQLRDLHSLKLTIRKALPMDDGVTILACLPSLVHLDLRLLVCPEERVIFSGTGMAFRALKHLLFRCHKPFLDFKACSMPSGTCLPVGIDHLPACLREIHINRDYGADRRDIETAKSALSSLFATHHPGAGLIFGGAPWNYPSNDPDQCFFHLSQNKFTIASEFVKIQMGSWVIGPAPEISELDERAAMYRDKRKAMDYVRNWICPGCRKEYEPIKNMLVDLPPFGCVDCGLKNDAAYEWKITQCTLNGISLDFKTYDQKLEPHCTLYAITAVIDCTRRLEGAQKGFVFSAPFDINEMVETYNKRTGFKLGNEPQDKLYETYDNCPIVMEVLKSDGIAILIGASDVTTTPVPRLKIQSYFRVDPKDVLYITRLLAGGFPLVAGIRHGFLFNYLSDGQYYCAPTCENTSDAHAVALIGCGVGSNGNKTETFYKIQSARNARGGFRPSVIFTGLNIRNDKDFQV >ORUFI12G06150.1 pep chromosome:OR_W1943:12:4526687:4531082:-1 gene:ORUFI12G06150 transcript:ORUFI12G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGVMNSLLTKLATLIREECYSKLKGVRNEVVSLEGELRSMEALLEKLACMDELDVQVKEWRDQVREMSYDIEDCIDDFVHRLGKYDVRSGLIKKTTELPRKLRARHQIAKKIEEIKNHVKEVNERRMRYKLDEYTSKSSCEPIDPRVVTIYANTADLVGIDIPRDDVGFGGLGKTTLANEVYRKLDGHFHCRAFVSVSQRSDITRLMSKVLSELTGQYNLHIGELDNLLKVIREYLQDKRYLFMCRYFIVIDDLWDSSAWNVIRCAFPENNHGSRVLTTTRIYSVAISCCSNKKEYVYNMSPLGEVDSRRLLFSRIFGTGEACSEVFEEISGDILKRCGGLPLAIMSISSLLAGQSKTKWEYVRNSLGSMFERNPTLEDMKHILDFSYRNLPQHLKTCLLYLSIYPEDHTIERNDLVRQWMAEGFVSRTHGLDSEDVAQSYFNELINRSMIQPVQVDYNDEVLSCRVHDIMLDFIRSKSAEENFIVVLDHPQVVVGAHKKIHRVSVQYDADEEHGIISTTILGSLSQVRSIAVFRSSFRPSLLELKHLRVLHLELPMREVMDLTGICGLSLLRYLKIRGYYACFKLGMKIRQLLHLETFDLGESFVPRIAIPSDIVHLPCLLHLVIPCGTTLPDGIGSLKSLRTLTSLDLALNSVNNIECLGELTNMRHLGVHLGDIGLLADADVARRLDALCSSLESLSRSSSCLRSLDLDSHFILVSFDRLSDLCPSPRHLRRLNLYGCRLPRIPRWISQLHNLYSLGGVVPIEFEGDDGICILAKLPSLVQLDLGIRECPKERIVVSGAGTAFRALRDLTFSCPKPRLAFLVGAMPRLQRLDLRFYVNGWEQQGGTCLPVGIENLPSSGLKIHLVNVFTDSGSQDVISAKSVLRRTFEVHQPSADLIFHF >ORUFI12G06160.1 pep chromosome:OR_W1943:12:4538345:4544921:1 gene:ORUFI12G06160 transcript:ORUFI12G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANARFQKQALLPPRSPFPAAVGAAPSPSPHAELGPIARPRDAPHRHGHQRTSSESVLIDEQPSWLDDLLAEPEAPARPHGRPGHRRSSSDSFTLFDGGASAAAAGMYDNVFDGMRGRGGGGQQVGSWGAAPEFFPEQSSFGRPQGQGGRPWDSRLMLRQGGGGGGGMPVPMNGGHHGPPSAFGDHGHGSLPNGVDRKGPGDSAHDQRMGAERKEGAHLRHSQSEADTKRAKQVYWYILNIMSYAFNKLLPTTHFRQYAQRSRVRKLQYIAELERRVQALQTEGVEVSAEMDFLGQQNIMLDLENKALKQRLESLSQEHLIKRFQQEMFEREIGRLRSLFQQQQQQQHIPQQQGPTHSRSNSRDLDSQFANMSLKHGDPNSGRDAVPGLRI >ORUFI12G06170.1 pep chromosome:OR_W1943:12:4545624:4548446:-1 gene:ORUFI12G06170 transcript:ORUFI12G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESEPTPSLPQIAPSPPSFPSASFPPQTTGAATDPPAQCGTHRQGEAIMEHGSVTDSTASTFSIVEEDHTLANSVRFVLNQDPRVAFCGYSIPHPADNKVNIRVQTTGDPAKDVLKDSLQDLMVMCQHVRGTFDTAVTQFRQNNPTGMNIDQNKKK >ORUFI12G06180.1 pep chromosome:OR_W1943:12:4548459:4548755:1 gene:ORUFI12G06180 transcript:ORUFI12G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATPDSAAAAKRPIDPSHADPSPAAKLQRSSPPDPSPPAEAQGDATDGGGEVAGGGSGGMAQRYLVAVEYVGTRFSGSQQQLNQRTVVGVLEVKCF >ORUFI12G06190.1 pep chromosome:OR_W1943:12:4555084:4560315:1 gene:ORUFI12G06190 transcript:ORUFI12G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQIPLDRLLLQPQLITSCEMLIDAKCSSHVTPMLLNYFRRHFTNSLDTGVHALSNVCHVDVERISKRKPGEMKNEGDIMVTDVRCVAPDFHARYKALERTYHYRLLSGSEPLSVFEKTSAWHIPEDLNVQAMKANSPMRTLDELSVTEVFPSMFFPSSMERSEMESLDGHLVYSRTSVVESSGKGSDASSTSEQSRFENGEEFGKRLRHRCFVVTARARSFLYHQVRLMVGLLKSVGTGDLTTEDVERILNLKAVTAAPPMAPACGLYLANVKYDLSV >ORUFI12G06190.2 pep chromosome:OR_W1943:12:4555084:4559308:1 gene:ORUFI12G06190 transcript:ORUFI12G06190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQIPLDRLLLQPQLITSCEMLIDAKCSSHVTPMLLNYFRRHFTNSLDTGVHALSNVCHVDVERISKRKPGEMKNEGDIMVTDVRCVAPDFHARYKALERTYHYRLLSGSEPLSVFEKTSAWHIPEDLNVQAMKANSPMRTLDELSVTEVFPSMFFPSSMERSEMESLDGHLVYSRTSVVESSGKGSDASSTSEQSRFENGEEFGKRLRHRCFVVTARARSFLYHQVRLMVGLLKSVGTGDLTTEDVERILNLKAVTAAPPMAPACGLYLANVKYDLSV >ORUFI12G06190.3 pep chromosome:OR_W1943:12:4555084:4559308:1 gene:ORUFI12G06190 transcript:ORUFI12G06190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQIPLDRLLLQPQLITSCEMLIDAKCSSHVTPMLLNYFRRHFTNSLDTGVHALSNVCHVDVERISKRKPGEMKNEGDIMVTDVRCVAPDFHARYKALERTYHYRLLSGSEPLSVFEKTSAWHIPEDLNVQAMKANSPMRTLDELSVTEVFPSMFFPSSMERSEMESLDGHLVYSRTSVVESSGKGSDASSTSEQSRFENGEEFGKRLRHRCFVVTARARSFLYHQVRLMVGLLKSVGTGDLTTEDVERILNLKAVTAAPPMAPACGLYLANVKYDLSV >ORUFI12G06200.1 pep chromosome:OR_W1943:12:4565841:4570187:1 gene:ORUFI12G06200 transcript:ORUFI12G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRAVVYDAEAVDDHERQGTVWTATSHIVAAVVGSGVLALAWTVAQLGWVVGPLVLVGFSCVTYYTSTLLANCYRYPDPVTGTANREYIDAVRCYLGPKNVMLCGCAQYVNLWGTLVGYTITASASMIAVKRVNCFHREGYGAGDCGASGSTYMVVFGVFQLLLSQLPSLHNIAWLSVVAVATSFGYSFISLGLCAAKWASHGGAVRGTLAGADLDFPRDKAFNVLLALGNIAFSYTFADVLIEIQDTLRSPPAENKTMKRASFYGLSMTTVFYLLLGCTGYAAFGNDAPGNILTGFAFYEPFWLVDIANICVIVHLIGAYQVFAQPIFARLESYVACQWPDAKFINATYYVRVPGRWWPAATVAVAPLKLVLRTIIIMFTTLVAMLLPFFNAVLGLIGALGFWPLSVYFPVSMHVARLGIRRGEPRWWSLQAMSFVCLLISIAASIGSVQDIVHNLKAAAPFKTVN >ORUFI12G06210.1 pep chromosome:OR_W1943:12:4574891:4575613:1 gene:ORUFI12G06210 transcript:ORUFI12G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPKSRCSRTAKDETSHRACQTPGQLGTNARAGVANTARARQGTTMNHTPMSEETSREDLQDVADDDQYGRRGCQCANGDEDDKSGEAAVREDNIAAAPCRCNGEKSTDDVAMLRTVSLSTAITSGGVDHGQRHCGPRGRRSGNPKLDGVDA >ORUFI12G06220.1 pep chromosome:OR_W1943:12:4575843:4576322:1 gene:ORUFI12G06220 transcript:ORUFI12G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALTRSTSLCTHLVAPMLSLSSLANHGKITAKETVKRTIGKVARDRLDVDERMGTVLASWRKTAGRGRPGGSRPAAEAEIQGADPRGRGGRAGLVGTGGGPGGRGDDGDGRTGPPVPCCVQRRRRQPCMRGSVGHAGGAPWRSAEDEVLAATQDRRLL >ORUFI12G06230.1 pep chromosome:OR_W1943:12:4577983:4579389:-1 gene:ORUFI12G06230 transcript:ORUFI12G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIEPLEVSLEAGNQADSALLDDDGRPRRTGTFWTASAHIITAVIGSGVLSLPWATAQLGWVGGPAVMVVFGGVTYFTATLQAECYRTGDEETGARNYTYIGAVRAILGGANAKLCGIIQYANLVGTAVGYTIAASISMQAIKRAGCFHANGHNVPCHISSTPYMLIFGAFEIVFSQIPDFHEIWWLSIVAAVMSFTYSGVGLGLGIAQTVADGGFRGTIAGVTNVTATQKAWRSLQALGNIAFAFAFSNVYTEIQDTIKAPPPSEAKVMKQASLLSIVATSVFYALCGWMGYAAFGNAAPDNLLTGFGFFEPFWLVDAANVAIAVHLIGAYQVYCQPVFAFVERKASRRWPDSGFVNSELRVWPFAISAFRLAWRSVFVCFTTVVAMALPFFGVIVGLLGAISFWPLTVYLPTEMYIAQRGVRRGSALWIGLRALAVAGFVVSAAATTGAVANFVGDFMKFRPFSG >ORUFI12G06240.1 pep chromosome:OR_W1943:12:4582482:4582721:-1 gene:ORUFI12G06240 transcript:ORUFI12G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRAQAELVRCCNTDDGKGDNGEKAGDAGIREGSVVDSLAGADRFTDSDNIGYVVDSLANNTFVADSLEETDAKEKNN >ORUFI12G06250.1 pep chromosome:OR_W1943:12:4588436:4593103:-1 gene:ORUFI12G06250 transcript:ORUFI12G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDDSDHTALFEKKASVKQIGIEEMRGKAKDVSSEEGNSEEVKSSDDDEDMVIGGYTQDPYDDSGLEDLLQDQDALEKSIMEMGYISGLYP >ORUFI12G06260.1 pep chromosome:OR_W1943:12:4594419:4595033:-1 gene:ORUFI12G06260 transcript:ORUFI12G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASIDVTRDFTKILIAREGGNHALARRGGAGAAAGRVGGWDAAHAGAVATRQTEQPTGSGGYGSGTGRRSKGVDTVGMLVGLTPTTSPSLGHTEPMVAASWAPWDDSIDTPSPLPHQILDFARKVSVRYVQRH >ORUFI12G06270.1 pep chromosome:OR_W1943:12:4601977:4603257:-1 gene:ORUFI12G06270 transcript:ORUFI12G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWSPLVMEVVCYFSFARRLYDMNNNMMDGCYCAMSSPSLYHATTPSLDSIITYRSPPDMGG >ORUFI12G06280.1 pep chromosome:OR_W1943:12:4605992:4607999:1 gene:ORUFI12G06280 transcript:ORUFI12G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFERSGCSTSGPAAGEWRALTVNPFSLPRLLVLHRHPLRALPPWPFSATAAHPTSSPITPRTPMGYRPRRCWPSTPWLIRRSSRCMRCVGRGGGVCSPEKKLVVPESVCGRPLGLQFHHASGDLYVADAPPEGAGAQRAGREVVTTEAAGVPFNFLNGLDIDQRTSDIYFTDSSSTYWRRYPKLNQSSNIPTPQNTVSVLDLPMDPFQQNVSPALPPKPKRRAGKVILMDLERRRSASINKLSDGFMSPDPNLGVGKPRGKDRAKSTKKLKMLAQESGILLSLNPLPLELNDPNASDDEIDAPLADCSIRLLQQIGTEVCGMNREMVSAKALTAHAGTGSHPAAAS >ORUFI12G06290.1 pep chromosome:OR_W1943:12:4636758:4637309:-1 gene:ORUFI12G06290 transcript:ORUFI12G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLPCRSLWATREAKNLYAWGLPRRRRRLPPSSSPLLPPLFSLPPSFSLDLGLPAARASPPHPAPAASVPDQPRRPRQGLAVACQAGGLLLWPDLGRRWVASGSGDLLPAYGAVAASAGGGGVRGTAGPGPFRSRSSASSVGVRWGRAPSGGGDVGCSTELGRWCRQSGSSSRCSPAIVQVM >ORUFI12G06300.1 pep chromosome:OR_W1943:12:4642216:4643078:1 gene:ORUFI12G06300 transcript:ORUFI12G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFQMSLTVVFLPLLWTGLQAKGSMEEKSTRNIVPMQSMNTTSEAGYFPLSVTRECDPCTCCKGSNCFASSCCYESTCNQTQPPNHCIIRRISSCGCGSRCI >ORUFI12G06310.1 pep chromosome:OR_W1943:12:4649570:4663566:1 gene:ORUFI12G06310 transcript:ORUFI12G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDIGKDGPTTVAAAAPPLFLPAPRSGCRRGSDGFGVDEATAARTTTAARTGQRLAMGGSSDVVVVVIVGNGRFS >ORUFI12G06320.1 pep chromosome:OR_W1943:12:4664966:4668309:-1 gene:ORUFI12G06320 transcript:ORUFI12G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFIGCICSLALLLLCSHVFQLLSDARRPLPPGPRPLPVIGNLLDVAGELPHRSLSRVAQRYGPLVTLRLGTTLAVVASSPATAREVLHRHGASITDRGTPDAWRTDGHETNSIFALPTRHHRWRALRRLGAEQLFSPRRVEKQRPLRRDAVRGLLRHVSELAAASGGGTGTAVVDVGRAAFAAMANLLFGSLFSVGIDAATSCRFRDAAREFALLTLTPNVSEFFPVVAMADLQGLRRRTARHITWMYQLIDGHVERRMRGRETAGALGEKEKDLLDDLLTAGSETSSAVIEWAMAELQQNPQTMRKLQEELKKVIGSKTYIDEEDINQLPYLQAVIKETHRLHPAIPLLMYKAAVPVEIQGYKIPKETTVVVNTWAIHQNSEVWIEPDKFIPERFLQKEISLSSGSTNMELVPFSAGRRFCLGYPVANRMLHLMLGSLVHQFQWTLPEVVKKNGGVDMAEKFGLTLSMATPLHAIAKNIV >ORUFI12G06330.1 pep chromosome:OR_W1943:12:4673721:4677167:-1 gene:ORUFI12G06330 transcript:ORUFI12G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVISRSDDSEATAARPIGDTIIPRAYGMISKLGSAQARCIPWPRDNLMHPSGQALHSKVSTIARHNSANQGNSVALTEVFKHNSIDNALQSVVGHKRKVHDIIQENIGREDTHSTAKKIGKARPDSPYANFTNSQLLTTSRKTILHPGSNARTVEAFLNASESLRFGGAGVFHYWCLRYGCPQVIGWAKRW >ORUFI12G06330.2 pep chromosome:OR_W1943:12:4673721:4677167:-1 gene:ORUFI12G06330 transcript:ORUFI12G06330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVISRSDDSEATAARPIGDTIIPRAYGMISKLGSAQARCIPWPRDNLMHPSGQALHSKVSTIARHNSANQGNSVALTEVFKHNSIDNALQSVVGHKRKVHDIIQENIGREDTHSTAKKIGKARPDSPYANFTNSQLLTTSRFKCTDRIGVEAFLNASESLRFGGAGVFHYWCLRYGCPQVIGWAKRW >ORUFI12G06330.3 pep chromosome:OR_W1943:12:4674098:4677167:-1 gene:ORUFI12G06330 transcript:ORUFI12G06330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVISRSDDSEATAARPIGDTIIPRAYGMISKLGSAQARCIPWPRDNLMHPSGQALHSKVSTIARHNSANQGNSVALTEVFKHNSIDNALQSVVGHKRKVHDIIQENIGREDTHSTAKKIGKARPDSPYANFTNSQLLTTSRFKCTDSA >ORUFI12G06340.1 pep chromosome:OR_W1943:12:4678312:4678852:1 gene:ORUFI12G06340 transcript:ORUFI12G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEMNHWKSYAFKLRERVVQILDPKKLFCGNDSHAENNHQWNGPILAKAMQECLSMFFLDWTDEVTNVDSGINSLVYMKNWMASNVSEVSIWYPLTISEQTL >ORUFI12G06350.1 pep chromosome:OR_W1943:12:4694554:4698100:-1 gene:ORUFI12G06350 transcript:ORUFI12G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAASSVKGSLNVGGAKAFSNNLFRDYLKSSVSYPVSEISSPIRAQHVAYGSNLIVRGSKSRDFSSKLASTNGSSKISEERVTVLVIGGGGREHALCYALERSPSCDAVFCAPGNSGIAQSGDATCIPDLDISNSDAVISFCRNWGVGLVVVGPEAPLVAGLANELVKAGIPTFGPSSEAAALEGSKDFMKKLCDKYHIPTAKYQSFTDPSDAKKYVEEQGAPIVVKADGLAAGKGVVVAMTLEKAFEAIDSMLVEGSFGSAGSRVIVEEYLEGEEVSFFALVDGETALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEKLKCKVMESIIVPTVKGMATEGCKFVGVLYAGIMIEKKSGLPKLIEYNVRFGDPECQVLMMRLQSDLAQVLLAACRGELGSVSLTWSPELATVVVMASEGYPGVYKKGTVIKNIDEAEQVSPAVKIFHAGTALDRDGNFVAAGGRVLGVTAKGKDIEEARAKAYDALDVVDWPEGFFRHDIGWRAQKYRRMVAH >ORUFI12G06360.1 pep chromosome:OR_W1943:12:4711177:4714794:1 gene:ORUFI12G06360 transcript:ORUFI12G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFRDWISYRLGSSVLSARPFSLSAHHDGAAAQPGGDGDGVMQNEIVETVSANRFPSNDIRASDSEVTSSPSYEPDHDSTKSDPLKHVEALQIKFLRLVHRTGVPPTTDVVAQVLYRLHLANLIKAGESDSKRTNLAINKARVIAAEQEAPGGPDLDLPLRILLLGKTGVGKSATINSIFDEPKVATNALAPATERIRRIDGTIKGIRVTVIDTPGLLPHYHRQRKNRKILHAVKRFIKRSPPDIVLYFERLDHINSRYCEYPLLKLITDILGSSMWFNTVLVMTHCSSSPPEGPDGYPLEYDSYARYCKNVVQRHIQVAASNTQMENPVVLVDNHPMCRRNTKGERVLPNGKVWVSELLLLCGATKLLAEANSLLKFQDSFLLSQANTRLPSLPHLLSSLLKPNPSSRSEDVGIDMTEVSDDEDESDQLPPFRVLKKSEYEKLSKEQRSAYLDELDYRETLYLKKQWKEGIRRQKLVEAQNVDASNAVGDDYEESVSPEVVHMSDMEIPSSFDSDYPVHRYRYLITDDMVFRPVLDPQGWDHDIGFDGINFEVSQDLEKNTTASIAGQMRKDKEDLYIQSECSVSYSGKNGRSLIGSMNMQTANKDLVCTVNGDARFHNLPWNTTGGGISITKFGSKYFTGAKLEDSITVGRRVQLVGNAGRMVGCGQVAHGGGLEMTFRGKDYPVREESITVAATALSFEKETVISTNLQSDFRMGRGSKVSVSANLNSRNLGRFCVKTSTSDHSEIALVAAVTLFQFFLRRRTASTDKGEQQFEFDTYSDE >ORUFI12G06370.1 pep chromosome:OR_W1943:12:4715914:4730800:-1 gene:ORUFI12G06370 transcript:ORUFI12G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRRGGGGRPGSGSSSNGGGSGYSWVEKKSKKSEKSVGKGQCAPCTSSNAAPKPAMAWQARSGNGSLHPPGNGRVQHSDHRPAARGSPRSLPQNKHTETKLQAPCPVVTAPLANGLQWVPKSRSSGSESNMDDAPTSAEKNFETRKRHKLLKSIFELLEKLSVEQINEKTRQWHCPACKNVRGGVTWYKGLQPLMNHARTKGSKRVKLHRELAALLEEELYRTGISMASSGEFFGIWKGLRENTDRPIVWPPVVIIMNTRLEQDKDGKVWKGMGNKELLSYFSKYHVKEACHAYGPDGHSGMSALIFEGSAVAYKEAERLHNHFVDQRTDKYAWLNHRIVIPGGKRQLYGFLAEKEDLEAFNRHHGKDYLKYEMKSYNEMVVTQLKQMSEDNQQLNYVKNEMVKTERHSKEVEEALGVETQKLQGAIEDNIILKRKTKEMLSECVEQMEFNAKFYHEQIERLRKDTEEKENEFERLLQEELARAIECDVDSETTENCKLREEQIQRIIDCQVKDAEEFDAEQDELIKTHEEKKANVKMEYMAKDVELEEELYAALTSLMEKHKPDIFQPNKIQDDASWSEQFRENSIEPEDLHKPSIHCFKLPSVSSNIILSNLCSARVRFGFSLSLSLSPRKPQHTQREPHSAMASAGDRRGGGGPPGSGDDSGGGWETVEKRVKKPAQQVGKGQWGQWNSPNAAPAPTAPRSGSGAFHHSGNTLVRHSDRRPARGTPRPPPQNRSIEAELQAPHGVVTAPLANGWQWGARSCPPGTESKEGGLPLSGCDPETDNAEGYDTSDDDNDDDMSDDLSDDYDSDASEKSFETRKNHKLFKGFFEVLEALSVEQLNEPTRQWHCPACKNGPGAIDWYKGLQPLMTHAKTKGSIKVKRHRELASLLEEELSRRGTSVVPSGEQFRKWKGLRESTDREIVWPPMVVVMNTVLEQDEDDKWKGMGNQELIDYFSEYAASKARHAYGPNGHRGMSVLIFDSSAVGYMEAERLHDHFVRQRTDRNTWNSAHKVTFLPGGKRQLYGFLATKDDMETFNRHCHGKSRLKYEMRSYNEMVVTQMKQMSEDNQQLNYLKNKMVKKEQHSKLVEDTLSVVTQKLRETMEENTIVRNKAKEKHLEYEKEMKYQEEFFHDQIEKIHKATEEKEIKFEKLLQEERAKARQSDVDSGSTEDRRQRKEKIQNFIDCQVKDVEEFEAERDKLIKLHEEKKVKLKKEYLAKEFELEKELDTALTSLMDKHKPDIFKSSTSPST >ORUFI12G06370.2 pep chromosome:OR_W1943:12:4715914:4730800:-1 gene:ORUFI12G06370 transcript:ORUFI12G06370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRRGGGGRPGSGSSSNGGGSGYSWVEKKSKKSEKSVGKGQCAPCTSSNAAPKPAMAWQARSGNGSLHPPGNGRVQHSDHRPAARGSPRSLPQNKHTETKLQAPCPVVTAPLANGLQWVPKSRSSGSESNMDDAPTSGSDPEMDNGATHPVVTATLANGLQWVPRSRSSDSQSNKDDAPTASSDPETDNVAPHPVVSAPVANGLQWVPRSHSSGSEMDNGEDYDSYDDDSDDDMVDDTSGDFDSKAAEKNFETRKRHKLLKSIFELLEKLSVEQINEKTRQWHCPACKNVRGGVTWYKGLQPLMNHARTKGSKRVKLHRELAALLEEELYRTGISMASSGEFFGIWKGLRENTDRPIVWPPVVIIMNTRLEQDKDGKVWKGMGNKELLSYFSKYHVKEACHAYGPDGHSGMSALIFEGSAVAYKEAERLHNHFVDQRTDKYAWLNHRIVIPGGKRQLYGFLAEKEDLEAFNRHHGKDYLKYEMKSYNEMVVTQLKQMSEDNQQLNYVKNEMVKTERHSKEVEEALGVETQKLQGAIEDNIILKRKTKEMLSECVEQMEFNAKFYHEQIERLRKDTEEKENEFERLLQEELARAIECDVDSETTENCKLREEQIQRIIDCQVKDAEEFDAEQDELIKTHEEKKANVKMEYMAKDVELEEELYAALTSLMEKHKPDIFQPNKIQDDASWSEQFRENSIEPEDLHKPSIHCFKLPSVSSNIILSNLCSARVRFGFSLSLSLSPRKPQHTQREPHSAMASAGDRRGGGGPPGSGDDSGGGWETVEKRVKKPAQQVGKGQWGQWNSPNAAPAPTAPRSGSGAFHHSGNTLVRHSDRRPARGTPRPPPQNRSIEAELQAPHGVVTAPLANGWQWGARSCPPGTESKEGGLPLSGCDPETDNAEGYDTSDDDNDDDMSDDLSDDYDSDASEKSFETRKNHKLFKGFFEVLEALSVEQLNEPTRQWHCPACKNGPGAIDWYKGLQPLMTHAKTKGSIKVKRHRELASLLEEELSRRGTSVVPSGEQFRKWKGLRESTDREIVWPPMVVVMNTVLEQDEDDKWKGMGNQELIDYFSEYAASKARHAYGPNGHRGMSVLIFDSSAVGYMEAERLHDHFVRQRTDRNTWNSAHKVTFLPGGKRQLYGFLATKDDMETFNRHCHGKSRLKYEMRSYNEMVVTQMKQMSEDNQQLNYLKNKMVKKEQHSKLVEDTLSVVTQKLRETMEENTIVRNKAKEKHLEYEKEMKYQEEFFHDQIEKIHKATEEKEIKFEKLLQEERAKARQSDVDSGSTEDRRQRKEKIQNFIDCQVKDVEEFEAERDKLIKLHEEKKVKLKKEYLAKEFELEKELDTALTSLMDKHKPDIFKSSTSPST >ORUFI12G06370.3 pep chromosome:OR_W1943:12:4715914:4730800:-1 gene:ORUFI12G06370 transcript:ORUFI12G06370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRRGGGGRPGSGSSSNGGGSGYSWVEKKSKKSEKSVGKGQCAPCTSSNAAPKPAMAWQARSGNGSLHPPGNGRVQHSDHRPAARGSPRSLPQNKHTETKLQAPCPVVTAPLANGLQWVPKSRSSGSESNMDDAPTSGSDPEMDNGATHPVVTATLANGLQWVPRSRSSDSQSNKDDAPTASSDPETDNVAPHPVVSAPVANGLQWVPRSHSSGSEMDNGEDYDSYDDDSDDDMVDDTSGDFDSKAAEKNFETRKRHKLLKSIFELLEKLSVEQINEKTRQWHCPACKNVRGGVTWYKGLQPLMNHARTKGSKRVKLHRELAALLEEELYRTGISMASSGEFFGIWKGLRENTDRPIVWPPVVIIMNTRLEQDKDGKVWKGMGNQELIDYFSEYAASKARHAYGPNGHRGMSVLIFDSSAVGYMEAERLHDHFVRQRTDRNTWNSAHKVTFLPGGKRQLYGFLATKDDMETFNRHCHGKSRLKYEMRSYNEMVVTQMKQMSEDNQQLNYLKNKMVKKEQHSKLVEDTLSVVTQKLRETMEENTIVRNKAKEKHLEYEKEMKYQEEFFHDQIEKIHKATEEKEIKFEKLLQEERAKARQSDVDSGSTEDRRQRKEKIQNFIDCQVKDVEEFEAERDKLIKLHEEKKVKLKKEYLAKEFELEKELDTALTSLMDKHKPDIFKSSTSPST >ORUFI12G06380.1 pep chromosome:OR_W1943:12:4741094:4748772:1 gene:ORUFI12G06380 transcript:ORUFI12G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAAPVRSEPHPKSPNPTAPPNPSPKGGKKTQTQNQKSERNISPPAATTPATATATMSALAPAPKLQPDERSNLLSLLAAASRPLADIAADFLARFPLERRLRVGAALGFLLEDKKMLHPTGRLIAFAILHQSYSSEPANPYVPLLLNAACDETSEKSERAFVQLLLTSASGNNNIEVLKQSAVDYINGSDSASQALLPREQLEKLFSSNSVQSQPQTSSFSAGTVRCAIPDPDVPQSCVNSSEPSISLPGTKPKSASDDRDFALAGLLQEKSWGRLGPQWIRPTPPRLHILDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPSQQEQVVIELAKDSKLVYHCGMTPQKLPDLVEHNPLIAVEILSKLMNSPDIDGYLDVLVHMEMSLHSMEVVNRLTTAVELPTEFVHEYISNCIQSCQNVKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLEQYRNIK >ORUFI12G06380.2 pep chromosome:OR_W1943:12:4741094:4749410:1 gene:ORUFI12G06380 transcript:ORUFI12G06380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAAPVRSEPHPKSPNPTAPPNPSPKGGKKTQTQNQKSERNISPPAATTPATATATMSALAPAPKLQPDERSNLLSLLAAASRPLADIAADFLARFPLERRLRVGAALGFLLEDKKMLHPTGRLIAFAILHQSYSSEPANPYVPLLLNAACDETSEKSERAFVQLLLTSASGNNNIEVLKQSAVDYINGSDSASQALLPREQLEKLFSSNSVQSQPQTSSFSAGTVRCAIPDPDVPQSCVNSSEPSISLPGTKPKSASDDRDFALAGLLQEKSWGRLGPQWIRPTPPRLHILDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPSQQEQVVIELAKDSKLVYHCGMTPQKLPDLVEHNPLIAVEILSKLMNSPDIDGYLDVLVHMEMSLHSMEVVNRLTTAVELPTEFVHEYISNCIQSCQNVKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >ORUFI12G06380.3 pep chromosome:OR_W1943:12:4741094:4749410:1 gene:ORUFI12G06380 transcript:ORUFI12G06380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAAPVRSEPHPKSPNPTAPPNPSPKGGKKTQTQNQKSERNISPPAATTPATATATMSALAPAPKLQPDERSNLLSLLAAASRPLADIAADFLARFPLERRLRVGAALGFLLEDKKMLHPTGRLIAFAILHQSYSSEPANPYVPLLLNAACDETSEKSERAFVQLLLTSASGNNNIEVLKQSAVDYINGSDSASQALLPREQLEKLFSSNSVQSQPQTSSFSAGTVRCAIPDPDVPQSCVNSSEPSISLPGTKPKSASDDRDFALAGLLQEKSWGRLGPQWIRPTPPRLHILDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPSQQEDLVEHNPLIAVEILSKLMNSPDIDGYLDVLVHMEMSLHSMEVVNRLTTAVELPTEFVHEYISNCIQSCQNVKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >ORUFI12G06390.1 pep chromosome:OR_W1943:12:4751091:4751594:-1 gene:ORUFI12G06390 transcript:ORUFI12G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHPLLLLLLAAAAVVVLVVAATPAAASGNGTSTPTAYEMLERYDFPRGILPVGVEGYELREDGSFEVYFPRDCEFMLARTWLVRYGARIAGAAASGRLTSLQGVYVKVLFVWLPVGEVDRSGDTLSFYIGPVSTSFPLSDFAHSPHCRGYDHLPAAAAAAAAAL >ORUFI12G06400.1 pep chromosome:OR_W1943:12:4753382:4754368:1 gene:ORUFI12G06400 transcript:ORUFI12G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRAAGERDGGSASRMASSSSSSTCCSSAAKSNSFVGTEDYVVPEIVAGSRHDYAVDWWGLGVVLYEMLYGRTPFRRRSRRESGKPTPLRDLIGLLLEKDPGRRLCAHGVKRHAFFRRSSRRLTTTMLAPRPRRWTWRRCCTRMRGGGRRDGELGQRGAAAEAAGKERRRSARRRARPARQWRAGGRREERSGARRGARLLPLQALADLLDGIPALLTGLPIPFLSAGADHIAATAGHHDSRIWRSSAPAAVPAATLAATPRAAPLPRRCATRCSSLPPSSPSRRPPFLLSRRRGAVERIRRGKERKEGINVGTHHFLSFTCGPTY >ORUFI12G06410.1 pep chromosome:OR_W1943:12:4756599:4760968:-1 gene:ORUFI12G06410 transcript:ORUFI12G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGVEILCSVVAVVARVLGRALMTICSPKFSTAGLIGSPTTAWGGGGAKKKVHMESEKQQLPPPPSPPALVLPAFKRNCTDQEQRAPATSTKAARGRPPRLVIPAAAPVAVARGGVDPFGGRETDVATETEVKGEGFCLASRRGVRHAMEDGYGVITRHKIEGGSQLAFYGVYDGHGGRAAVDFVADKLGKNVVTAAAAATTMSRHQAAGSSSPSQQRREEEDDVTAAIRAAYLTTDSEFLSQGVRGGACAATALVKDGELYVSNVGDCRAVLGSRGGVATALTSDHTPGREDERLRIESTGGYVSCGGSGVWRVQDSLAVSRAFGDAGVKQWVTCEPETARVSLAADGDCRFLVLASDGLWCKVSNQEAVDAVAAAAAAAAGVAGSTDPCKELAAMARSRGSRDDITVMVVDLQPFLPV >ORUFI12G06420.1 pep chromosome:OR_W1943:12:4775229:4779952:-1 gene:ORUFI12G06420 transcript:ORUFI12G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKVIQVVLIFCVHVNLYDCIFMDEFTSSINNLLKPACYKIHRNLASRGTSFLPASCFNDVTL >ORUFI12G06430.1 pep chromosome:OR_W1943:12:4780111:4785283:-1 gene:ORUFI12G06430 transcript:ORUFI12G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDAMPLLLTPTLGPPIDAPQPETTAVVHESAGEASTTSFDWSKLTEMGYRAVEVIQTWSSEVKLLKTIFVEEKDRNEHLKTMLGEEKDKNERLKIMLAMDKDKNEHHMTMLAEERDRNERLKIMLMWEKDKNEHYKTMLAKEKDKAEHHKNMLEEEKDNNKRLKTMLVEEKDKNKHHKIILEEEKDKNERLKTMLSEEKVKNEHLKSMLAEEKDKNERLQLRLHGEEKDKNELLKTMLAEKKDKNDRLQLSFPKNEHLPLGLQRVHTRLDECIALIDRITQKDTTGGAGPDSYPSSVSMARGRGGRTKGGSVGHSGKRGRPSAMAEEPEGGAARKRRKQDDPTNESGDTGPVQGEGAKLPDCTFGKKKSSVAEDSADSLSFLYLGSDWIENKSNKQAPVRFGPCPADSNAMPLLTPSSGPPTNPPRPETTVVVHESAGGALSSSFDWSKLAEMAQQAAEGIHTWSSEVKRLKTNLTEEKNKNECLKIMLVDEKDNNECLKTNLAEEKDKNGLLKTMLAEEKDKYERLKTMLADEEDKNKCLRPTLQRRKTRTSTLRPHLWRRRTKLGTLRPCSQRRETNENERLKINLAEEEDEDEPLKGMLVGEKDKNECLKTMRSSSGPCPSFTLTDAMPLLTPTSGPAVDTPWPEMTTAIRDSAGGAPTTPFDWSKLTEMAQQAVEVILTQTSEVEHLRATLVEEANKIGDLKTMLVEEKDKNEHHKTMLEEEKDKNERLNTMLVEEKEKNERLQLSLHQANTKLGQSMPYADVLGILDKSIDKAFQKRDMKKSSWKKL >ORUFI12G06430.2 pep chromosome:OR_W1943:12:4780111:4785283:-1 gene:ORUFI12G06430 transcript:ORUFI12G06430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDAMPLLLTPTLGPPIDAPQPETTAVVHESAGEASTTSFDWSKLTEMGYRAVEVIQTWSSEVKLLKTIFVEEKDRNEHLKTMLGEEKDKNERLKIMLAMDKDKNEHHMTMLAEERDRNERLKIMLMWEKDKNEHYKTMLAKEKDKAEHHKNMLEEEKDNNKRLKTMLVEEKDKNKHHKIILEEEKDKNERLKTMLSEEKVKNEHLKSMLAEEKDKNERLQLRLHGEEKDKNELLKTMLAEKKDKNDRLQLSFPKNEHLPLGLQRVHTRLDECIALIDRITQKDTTGGAGPDSYPSSVSMARGRGGRTKGGSVGHSGKRGRPSAMAEEPEGGAARKRRKQDDPNNYAHHAKDSDGSFHSPANESGDTGPVQGEGAKLPDCTFGKKKSSVAEDSADSLSFLYLGSDWIENKSNKQAPVRFGPCPADSNAMPLLTPSSGPPTNPPRPETTVVVHESAGGALSSSFDWSKLAEMAQQAAEGIHTWSSEVKRLKTNLTEEKNKNECLKIMLVDEKDNNECLKTNLAEEKDKNGLLKTMLAEEKDKYERLKTMLADEEDKNKCLRPTLQRRKTRTSTLRPHLWRRRTKLGTLRPCSQRRETNENERLKINLAEEEDEDEPLKGMLVGEKDKNECLKTMRSSSGPCPSFTLTDAMPLLTPTSGPAVDTPWPEMTTAIRDSAGGAPTTPFDWSKLTEMAQQAVEVILTQTSEVEHLRATLVEEANKIGDLKTMLVEEKDKNEHHKTMLEEEKDKNERLNTMLVEEKEKNERLQLSLHQANTKLGQSMPYADVLGILDKSIDKAFQKRDMKKSSWKKL >ORUFI12G06430.3 pep chromosome:OR_W1943:12:4780113:4782129:-1 gene:ORUFI12G06430 transcript:ORUFI12G06430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGGRTKGGSVGHSGKRGRPSAMAEEPEGGAARKRRKQDDPTNESGDTGPVQGEGAKLPDCTFGKKKSSVAEDSADSLSFLYLGSDWIENKSNKQAPVRFGPCPADSNAMPLLTPSSGPPTNPPRPETTVVVHESAGGALSSSFDWSKLAEMAQQAAEGIHTWSSEVKRLKTNLTEEKNKNECLKIMLVDEKDNNECLKTNLAEEKDKNGLLKTMLAEEKDKYERLKTMLADEEDKNKCLRPTLQRRKTRTSTLRPHLWRRRTKLGTLRPCSQRRETNENERLKINLAEEEDEDEPLKGMLVGEKDKNECLKTMRSSSGPCPSFTLTDAMPLLTPTSGPAVDTPWPEMTTAIRDSAGGAPTTPFDWSKLTEMAQQAVEVILTQTSEVEHLRATLVEEANKIGDLKTMLVEEKDKNEHHKTMLEEEKDKNERLNTMLVEEKEKNERLQLSLHQANTKLGQSMPYADVLGILDKSIDKAFQKRDMKKSSWKKL >ORUFI12G06430.4 pep chromosome:OR_W1943:12:4780113:4782129:-1 gene:ORUFI12G06430 transcript:ORUFI12G06430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGGRTKGGSVGHSGKRGRPSAMAEEPEGGAARKRRKQDDPNNYAHHAKDSDGSFHSPANESGDTGPVQGEGAKLPDCTFGKKKSSVAEDSADSLSFLYLGSDWIENKSNKQAPVRFGPCPADSNAMPLLTPSSGPPTNPPRPETTVVVHESAGGALSSSFDWSKLAEMAQQAAEGIHTWSSEVKRLKTNLTEEKNKNECLKIMLVDEKDNNECLKTNLAEEKDKNGLLKTMLAEEKDKYERLKTMLADEEDKNKCLRPTLQRRKTRTSTLRPHLWRRRTKLGTLRPCSQRRETNENERLKINLAEEEDEDEPLKGMLVGEKDKNECLKTMRSSSGPCPSFTLTDAMPLLTPTSGPAVDTPWPEMTTAIRDSAGGAPTTPFDWSKLTEMAQQAVEVILTQTSEVEHLRATLVEEANKIGDLKTMLVEEKDKNEHHKTMLEEEKDKNERLNTMLVEEKEKNERLQLSLHQANTKLGQSMPYADVLGILDKSIDKAFQKRDMKKSSWKKL >ORUFI12G06430.5 pep chromosome:OR_W1943:12:4782292:4785283:-1 gene:ORUFI12G06430 transcript:ORUFI12G06430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDAMPLLLTPTLGPPIDAPQPETTAVVHESAGEASTTSFDWSKLTEMGYRAVEVIQTWSSEVKLLKTIFVEEKDRNEHLKTMLGEEKDKNERLKIMLAMDKDKNEHHMTMLAEERDRNERLKIMLMWEKDKNEHYKTMLAKEKDKAEHHKNMLEEEKDNNKRLKTMLVEEKDKNKHHKIILEEEKDKNERLKTMLSEEKVKNEHLKSMLAEEKDKNERLQLRLHGEEKDKNELLKTMLAEKKDKNDRLQLSFPKNEHLPLGLQRVHTRLDECIALIDRITQKDTSRINLNP >ORUFI12G06440.1 pep chromosome:OR_W1943:12:4780233:4784809:1 gene:ORUFI12G06440 transcript:ORUFI12G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIFVFLLRKHGLKEPVLIFLLRKSELKTLVLVFLLREHALKTLVLVLFLLEYDLMVFVLVFLLHEHGLKALVIVFLLLEHVLMVLGLVFLLREHGLIVLILVFLPHEHDLKALVPVSLLCEHGHMVLVLVFVHREHDLKALILVFLLPEHGLKMLIPVFLFHEYGFKKLDFAAPSLDHLHRPVPHLC >ORUFI12G06450.1 pep chromosome:OR_W1943:12:4791424:4791648:1 gene:ORUFI12G06450 transcript:ORUFI12G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRKAYNLNDVVFEGYRSLLAMHGRAKPDENSTPYAENDDEESFDEIGSDGEVIRRKSKLRESLVGQRMVERH >ORUFI12G06460.1 pep chromosome:OR_W1943:12:4792679:4793293:-1 gene:ORUFI12G06460 transcript:ORUFI12G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSECSSTSSHPTNRAPIPPHVGPFEYHPVVMCKGGAKAARWISWGVDNPSRRYHKCHNQREKAELEHFVDELRTKEEEQCAEITAARHELAALRLVASKDEAKIIGLKPSRLEKQRYVLLMLLLTCVFMLFVLVLVKN >ORUFI12G06470.1 pep chromosome:OR_W1943:12:4805670:4810143:1 gene:ORUFI12G06470 transcript:ORUFI12G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSVLDVVLSSVGPAVADEVARFLGVPKEVQFIRNELEMMQAFIKTASSSLHPDAAAAAGGGDNDILRTWVKQVRDLAYDIEDCLLDFALYAARISSSPTGSSWLRPGPLAARRRIADRIRELKASVEELNQLRLRYHIVVDDHHHPSRTAWVTVPHPLDGADEFRRRLRKQLGLGLGAAAGDDQNVIQDYLREKRYIIMVDDLLSQEEWDQIWQVLKPLNNKGSVVIVTTRRKDVAGHCAGLAPEEHGHVYRTPNYTLPEDMKPHISRILKGCWGLPLAISTIGGLLANRPKTGMEWKKLHEHLGVELESDQLQDITKVLVSSYHGLPYHLKPIFLYLSIFPENNEIRRTRLLRRWIAEGYIANNRDMPVEVVGERFFNELINRSMIQSSKVNHGLKVDRCRVHGMMLHIILSKSIDENQLFVIKKHCNEVPQSKIRHLVVNRWKKRDEKLENINLSLIRSLTVFGECPASLITPEMRMLRVLDLEDMANLKNEDLKHIGKLRHLRYLGLRGTDISKLPSSLQNLLYLETLDIQDTQVTQLPDGIAKLEKLRYLLAGVNFSRDLLQKMPQFGMENHNSNLLGNLASCLYCYNAQSCEISGMDQFSVMVPEGIEKLRNLHMLSVVNVRKSKDVAGKLERLTNLQRLGVTGLGQEEGKELWNSIKNLNRLQRLEVRSESLDFLVNNKDIDATPPKYLVSLRLCGLLDELPVWIKSLNDLTKVKLIGTQLKQDDIHRLKDLRNLASLGLWEKSYKEKSLIFNDGTFRKLIFLDIDGLEIIETVNIEKGAMPELQQLWVNRCQKLSDDDNGLSGVLHLLNLNELVLKKCGPKEKLVQLLQRQLSTHVKRPNFLVGKSISPTSSEASTSTATQTG >ORUFI12G06480.1 pep chromosome:OR_W1943:12:4818450:4819781:-1 gene:ORUFI12G06480 transcript:ORUFI12G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNLKSLQNLPSVVELHLYKNPDMESIRGVPKLQVLSINYCPKLKLLEGIPALQKLYLLDFSMKTLPGYLQDVKPKSLVLDCSLPLLGNISMGASCSDWDKISHIQQISGYAGEMRIRRRWYVFYTREPFKLDTNIVCSSISRDKTTLPPTDLAGLRRLLATASPQ >ORUFI12G06490.1 pep chromosome:OR_W1943:12:4819799:4829528:-1 gene:ORUFI12G06490 transcript:ORUFI12G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTTRSALMAVVLEALASNLSNVLAKMARKEVGMLLGISDKIDSLRVRLDGLKEFLADAERRRITDLHVQGWVKELKDAMYDATDILELCQLKAMDQDSRRSNNPSLLSLRNPLNAHHIGSRIMALNQRLDGIKQRAEQFSFIKLDRYGDCSRTAQGHGLRRTTPELDRSGVVGNKIEQDTRRLVELLTREEEEASAASISSNVRVVAIVGVGGIGKTTLAQNIFNHQDIKEKFDKIIWLSINQEFSDPELVRTAITGAGGEHSGHQELSLLQPILREAISGKKIFLVMDDMWSVHAWNNSLRIPLVNSAAQGSWVLITTRDERVAREMKAIQPYHRVDILSRQDAWLLLKKQIASTLKDEYAIEKLKSTGFRILERCGGLPLAIKAIAGLLNHKEINEIEWGKVLRSPSWLVDGMPEEINHAIYLSYDDLDPHLKQCLLYCSLFPKYGKPNKHLIVEVWISEGFVNGKSNEPEELGKEYYNELIIRNLLQTMPGDDNNWTMHDVVRSFCRHVAKDEALPFHMEHLRVTDLDSNRYRWLCIQNELDWSAWQEQNSVRTLFFYGSTHIKLKANDLCSKFSNLRVLSIVYAQLATFDSLCQLKNLRHLYFSRTDIRSLPDGIGKMKFLEYIGITCCEQIQQLPGSIIKLERLRSLNLMGTNIKSIPRGFGRLTSLRTLYSFPAQMGSSSSKDEWCSLEELGPLSQLRDLHIKGLENVSASSSAAKAMLGAKKHLAIWWLECTDRQRDDGFLKEEGIISIDEQRRIKEVFDELCPSYCLEQLYIRGYFGWQLPKWMTSKASVRLDRLTSLKLDGLPCCTKLPDGLCQLSCLKLLQIRRAPAIERIGHEFLQIQQHNGDCHPSRAAVAFPILETLEFTVVLELEEWVWEEHIQAMPLLHELTLDRCKLRQLPLGLAENMPGL >ORUFI12G06500.1 pep chromosome:OR_W1943:12:4829606:4829932:-1 gene:ORUFI12G06500 transcript:ORUFI12G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLGKVDFSNKVNKPRMSFSPLGLLPPPDPQQQKPLRQERQPEMAIGADKIVIPQQVVVFPQCGALHGELVRGYSPSLSQHCRNGCGRGAMQMSSLRPGLGIPACAG >ORUFI12G06510.1 pep chromosome:OR_W1943:12:4847705:4850637:1 gene:ORUFI12G06510 transcript:ORUFI12G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNARESEDEHCLYAQELVFAYNRSMVLRAAIQLGLLDALAAGGDALTTDELAGKIQATDGVAVDRILRFLASFDVVRCSTETSPDGGAALIRRYTPAPVCRWLTKNNGEGSLAPFSMFIIDEDHLLPWQHIAEAVASGGPAPSERTHGMPYHEYIGKNKRLGGLFDHAMAQHSAIRARKMLERFEGFDGIQRLVDAGVEHIAGDMYESVPNGDAILLQWMLLMFSDEDCIKILKNCHQALPEGGKVIIVEGLLPESPNTTPAAQDSFTMDMILFVLFKVGKHRTEQEFAKLAKESGFTGFTAGLNVGMDSESNTIKSARRSPRIKSSSKSQSNTQYPTLIFVLPMTVVPLYGGGRIDREDDHIGEKNVMAFTAPPPTCAAVVEVVATCACLPPHLNARLLVTGRERKRVGTPAREEERRALRLDDMEEAEDPTTKLKTTLEGGDASGDGES >ORUFI12G06510.2 pep chromosome:OR_W1943:12:4847705:4850462:1 gene:ORUFI12G06510 transcript:ORUFI12G06510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNARESEDEHCLYAQELVFAYNRSMVLRAAIQLGLLDALAAGGDALTTDELAGKIQATDGVAVDRILRFLASFDVVRCSTETSPDGGAALIRRYTPAPVCRWLTKNNGEGSLAPFSMFIIDEDHLLPWQHIAEAVASGGPAPSERTHGMPYHEYIGKNKRLGGLFDHAMAQHSAIRARKMLERFEGFDGIQRLVDAGGGDGSTLGMIT >ORUFI12G06510.3 pep chromosome:OR_W1943:12:4847991:4850637:1 gene:ORUFI12G06510 transcript:ORUFI12G06510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLTSSLRPHLFQWMLLMFSDEDCIKILKNCHQALPEGGKVIIVEGLLPESPNTTPAAQDSFTMDMILFVLFKVGKHRTEQEFAKLAKESGFTGFTAGLNVGMDSESNTIKSARRSPRIKSSSKSQSNTQYPTLIFVLPMTVVPLYGGGRIDREDDHIGEKNVMAFTAPPPTCAAVVEVVATCACLPPHLNARLLVTGRERKRVGTPAREEERRALRLDDMEEAEDPTTKLKTTLEGGDASGDGES >ORUFI12G06520.1 pep chromosome:OR_W1943:12:4855813:4856343:-1 gene:ORUFI12G06520 transcript:ORUFI12G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGADLTPSHPDPTLSWLDLAVAQGSGCPAQGVLPGEDVSADNQRSVEAGWRRGGMAGVARTAEKTNTVRPRQREACVRQKQQVAQWTGMVGGTACAAGAHITEAQRSIEEASATRGQVRSARVARRLVVVKHGAQPTVVWQRAWHGRRTARLEWRGGEATVVGGRVGDGKATT >ORUFI12G06530.1 pep chromosome:OR_W1943:12:4857503:4858969:-1 gene:ORUFI12G06530 transcript:ORUFI12G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLWFLGISLAVLLLCYVGTNRRGDGQRPPGPRTLPIVGNLLDLRGGNLHHKLASLAHAHGPVMTLKLGLVTTVFISSRDAAWEAFAKHDRRLAARTVPDTRRALAHAERSMVWLPSYDPLWKTLRSIAVTHVFSPRSLGVARSARERKVHDMVDSFRRRAGQEVDIGQVLYHGMFDLLANVLLSVDAHPNLRDLMEDIVAILAKPNASDFFPLLRPLDLQGIRHWTAIHMSRVLHILDSIIDCRLAQGTDDQCKDVLDSLLVLMSTGKLSRRDVKILLFDILAAGTETTKITVEWAMAELLRNPNVMATTRAEMKAALGGNGTITEADVVNLPYLQAAVKESMRLHPVAPLLLPHLVVEDGVRIGGYAVSKGTTVIFNSWAIMRDSTAWERPDDFLPDRFLGKTELDLWGKQAKFIPLGSGRRLCPALPMVELVVPFTVASLLHAFEWHLPKGMSAEEVDVTERYTSNDILVMATPLKAVPLIVT >ORUFI12G06540.1 pep chromosome:OR_W1943:12:4877532:4877973:-1 gene:ORUFI12G06540 transcript:ORUFI12G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSARKRLCRVLNCRKLLDKACMHAAQNELLLLRALLKARAPRPRSREDDTDRVDEQRLRVLAAGASPGDDWSVEGLRHTASKIATLRMKLEEDDHDGAGDDDEEFVRRQQAGLVQG >ORUFI12G06550.1 pep chromosome:OR_W1943:12:4904254:4904874:-1 gene:ORUFI12G06550 transcript:ORUFI12G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPCSGNPKLPYQPFSRTTQHWWKGERKRKASSLITYAELDLSKMGIEIGDLPEEVEELQLWQRKRDGCCFSCGQFGHYAIGCTQDTNEEQETLPSQISPEEDRVPDPSKEVSKIKACSRCGEIGHYGSNCVTQCPYCDEDHQNGECPTTKITCFLCEKMDHVPQDYQLSPLLTKAAEVQRASLRFAHQLMTSGSHEDPGVSTFD >ORUFI12G06560.1 pep chromosome:OR_W1943:12:4924095:4934506:1 gene:ORUFI12G06560 transcript:ORUFI12G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIVAASDAEEKEDEHCLYAQELMFAYNRSMVLRAAVQLGLLDALSAAAGNALTADELAEKIQATDKAEVAVSVDRILRYLASFDVVRCSTETSPDGALLRRYMPAPVCRWLTRNNGEGSLAPFTVFVVDEDHLLPWQHIAAAVTSGGPAPFERAHGLLYFEYMGKNQRLGALFDHAMAQHSVILVSKMLERFQGFDGVQQLVDVGGGDGSTLGMITSRYKHIRGINYDLPHVISQAPSLPGVEHIAGNMYESVPNGDAILLQWMLLMFSDEDCIKILKNCHQALPKGGKVIIVDGLLPETPNTSPAARDSFTMDMIMFVLFKVGKQRTEQEFAKLAKEAGFTGTFRSTYIFLNFYALEFNKQLYT >ORUFI12G06560.2 pep chromosome:OR_W1943:12:4924095:4934298:1 gene:ORUFI12G06560 transcript:ORUFI12G06560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIVAASDAEEKEDEHCLYAQELMFAYNRSMVLRAAVQLGLLDALSAAAGNALTADELAEKIQATDKAEVAVSVDRILRYLASFDVVRCSTETSPDGALLRRYMPAPVCRWLTRNNGEGSLAPFTVFVVDEDHLLPWQHIAAAVTSGGPAPFERAHGLLYFEYMGKNQRLGALFDHAMAQHSVILVSKMLERFQGFDGVQQLVDVGGGDGSTLGMITSRYKHIRGINYDLPHVISQAPSLPDCIKILKNCHQALPKGGKVIIVDGLLPETPNTSPAARDSFTMDMIMFVLFKVGKQRTEQEFAKLAKEAGFTGTFRSTYIFLNFYALEFNKQLYT >ORUFI12G06570.1 pep chromosome:OR_W1943:12:4935740:4940049:-1 gene:ORUFI12G06570 transcript:ORUFI12G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFAGKAVATAAISFWINKAFTYLKEYKVEGIEDIKNRLLQSMPKIQVVLDIVNPRYVKEQSSALDAWLWQLRHAVEEAEDVIDVLEYYKLKEMAKDHKVSVWGSSFSKVKHKVIKSVKHVSILDKNLKQFTHRGTLKRLREAVEGLDKVASDIMSILTVTEHLKEVASCSQQQEYSTNDDRATGLTLTPPKFVGREKEKEKIVRWLTKASTDASGNLMSTNHIPILSLIGHGGMGKTTLAQRVCEEMVRKNFKVIWVCVSDSFDVTSVTSKILESATGAKPNANCLETLQQSLIHKLKYSTDFLLVLDDVWEDKKIDKWEKLFAPLRTGKSGSKILLTTRMQSVAVLATEAMGIETEFLSIEGLEEGENLELFSHSVFSGQNPQDFPNLKSVGKQIVKKLGGCPLVTKVVGGHLRSNMSFQHWNNFLQEGLQHFKGSEDDIMEVLRLSYYCLPTDLQICFRYCSIFPQDYAFKMKDLVLMWIGSGLISEAGNKPPKRLEDIGEQILAQLAGKSFFETNIKVDPYSQRKENYYIMHDLMHELARYVSSGECARIISPGMLENEKDTVRHLCISGIDHLSTEEVKKILHFKNVRTVIIEGSGLIDSNLFHAVENVMEQSKSLRLLQSNLENTFHLPKIAHLKHLCYIDLPRISPDTICGLVKLYHLLLVKCFNGSREEPKQVRYLGNIDHLRYVNYGKIGEFPIGRLTSLQELHNYRIQGGKGNKISAISNLSTLRELEVLGLENVESHEEADNVELNDKKYITLLSLAWSARAAVENRKDELLLNHLEPYANIETLRISGYGGVRSPIWIENLCIKNLVSLELARCLYWEKLPSLGELVSLKHLWLECLPSLQQIGQSSEASSSNCVDLSLPPNLDTMIVRRCKELRALPILPTTLVHFETSNVGLTKLPRIGKECNENLETKSSRLLVVVVEECKCLNSLEESLLVQGDYIKSIHVLRISDCEDLEAAPLVFEQMNELRELDIRNCPKLRTSRGVGDTFLPLALQKLNVNYCGELELPLIGALQGLTHLSELVLEKCKYLESLPSHDVFKSLKSLKSLEIIGCENLSSLGGLGSLQYLLELKISACSKLMAIGSSQTPDASSTSTLQIDYIEIDLPDILHLEPLKGLCHTKGLVIRGGTQLESLPEEWLLQNRGKLQSLKIYSASSLESLPLHMRDLCSLNLLLLSGARKLQSLPDFPSSLQKLDITCCCKELEEKVGVYESPEWNNISHIARVHIGDSYFMDGKKCSEETLDRQQ >ORUFI12G06580.1 pep chromosome:OR_W1943:12:4943515:4950506:1 gene:ORUFI12G06580 transcript:ORUFI12G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSLAAANHPLLPSKPLSCPKPLTRATPLSRALHAGAAAAARGASSSQAELRPDSKNAPALSAELRRLARVGRLPSALALLDHLSHRGVPATASAFAALLTACRSLAHARQVHAHLRVHGLDSNEFLLARLVELYLALGAREDARKVLDGLPRASSFSWNALLHGHVRRGRGQAGAAVVDGFAEMRAAGADANEYTYGCVLKSISGSAAPSMAMATATHAMLIKNAYAGAPRMLMTGLMDVYFKCGKVKPAVMVFEEMPERDVVAWGAVIAGFAHKGMKREALEHFRWMVEDGIKVNSVVLTSVVPVIGELRAWNLGREIHGFVLKKFQHRKDVGNIQAGLVDMYCKCGDMVSGRRVFYSAKKRNVVSWTALMSGYASNGRPDQALRCILWMQQEGIRPDLIAVGTVLPVCTKLKALREGKELHAYALRRWFLPNISLCTSLITMYGTCSHSDYSQRVFHVMDKKTVQAWTALVDAYLKNGDPSTAVDVFRSMLLSNRRPDAVAISRMLSACRDIGASKLGKELHGQALKLRMEPLPLVAAGLVSMYGTCGDLKAAQRVFNRIESKGSLTCTAIIEAYAINQRHKEALELFAWMLSNRFVPNNGTFDVLLRICEAAGLHDEALQIFNSMVQEYNLEASEHNFDCIIRLLTAADRTSEAHREKQINTRFFVLAFGMCLHTKLKFAYSCLLPKIFGRNSVKIGLNLIHSFKKASYVLMGCLCSKGAKDDTDATSENKTPSRNSAAASAKTATGTNDGNSAVIDGQLKENASKLQLGDGEKAIVALDVRTGSGNNAELKGLSGEHVAAGWPAWLANVAPKAVEGWVPRRADSFEKLDKIGQGTYSIVYKARDLETGNIVALKKVRFVNMDPESVRFMAREIKILRTLDHPNVIKLQGIVTSRVSQSLYLVFEYMEHDLSGLIATPGLKLSEPQIKCFVQQLLHGLDHCHKNGVLHRDIKGSNLLIDNNGVLKIADFGLAISYDPKNPQPLTSRVVTLWYRPPELLLGATEYGVAVDMWSTGCIVAELFAGKPIMPGRTEVEQIHKIFKLCGSPMDDYCKKSKVPETAMFKPQHQYRRCVAETFKVFPTSAVVLIDSLLSLDPEARGTAASALQSDFFTKEPFACDPSSLPKLPPSKEYDVRLRQEEARRQKTAALAGQGAESVRPENDNRVTNRTISGVNGELKQQTHTSSKSNSEVFNQEDSVPGFRVEPRERPTAVQLPGYSSTWNNMEGDNDQPTVPGRACCSVRVANPCGIRKKGSSHSLIPQFGATDLRSTVEATDHNDSPDRHDENKNPEVKDGMNHGRKYRRIHHSGPLMPPGGNVEDMLKEHERQIQEAVRKARVSKPGSPALLEHEKLSWIQRRKRRVALVVLVWFCIKSMENGMIQKAMVHLASEPLPMAIHLPEQKTGKT >ORUFI12G06590.1 pep chromosome:OR_W1943:12:4950959:4953220:-1 gene:ORUFI12G06590 transcript:ORUFI12G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETDALADAVADNLLDRLEDCRKAFPSALCLGGSAGAVRRSLRGRGGIEKLIMMDMSADMVRKWREMDNATDDVLETQFVVGDEEYLPIKESSQDTIISCLGLHWTNDLPGAMIQCRLSLKPDGLFLAAILGGETLKELRIACTIAQMEREGGISPRMSPLAQVRDAGNLLTRAGFTLPGVDVDRYTVKYNSALELVEHLRAMGETNALFQRNPILKKDTALATAAIYQSMFGLEDGTVPATFQVIYMTGWKEHPSQQKAKRRGSATVSFSDLQKQFGSNQN >ORUFI12G06600.1 pep chromosome:OR_W1943:12:4957615:4959874:1 gene:ORUFI12G06600 transcript:ORUFI12G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYLPQQKKVDEEQAAAVDTGKTNGKEAAKQHGHIRIDDDLVEYVNFGAEKKYEAATGEKAADKVVRLIGKEETKDRDQEEKNKGVVYQF >ORUFI12G06610.1 pep chromosome:OR_W1943:12:4960331:4960714:-1 gene:ORUFI12G06610 transcript:ORUFI12G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMPPKAGQGHQSLSAQSPSNPRIQPRAPEPIKPPDSRGYQARLMHLAFGANQGLRSWPRLRSAEEAPGRRRRRTPRAQWAVINTHYAPANHIWRHYRDGPAQATTRKARLCPGNSQHPREALSPS >ORUFI12G06620.1 pep chromosome:OR_W1943:12:4970388:4971195:-1 gene:ORUFI12G06620 transcript:ORUFI12G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREWRRRRPALATPSLSLLLSRSERWWAETAAEARARQAQRHWTTGGSDTWAELHRLLADDDQAFEQEDGGGRHTRPPYIYGLPSRFVNGSRLGRIPPRALSLVTPTGLADNMNKNAAPEDGESGEHERKGTVWAATAHIVTAVIGSGVLALAWSVAQLGWVSGPLALAGEGTGTDLDRVDMSR >ORUFI12G06630.1 pep chromosome:OR_W1943:12:4974276:4991465:1 gene:ORUFI12G06630 transcript:ORUFI12G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTMAMGGIRCPVCFAAMAAGQAIFTAECSHTFHLRCVPGGAAACPVCATPWRDAPSPLTTSSIPAAYDDDDPVEPVATAARNSSGGGGGVLVLKTHCEYPALSRGAARDGFAVLVHAKAPAAAAEAAGRAPLDLVTVLDVSTSMTGDKLALDGKATAKRAVDALVANGNTNIRDGLDVDAKVLDGRRHTDAVASVILLSDGQDNQTMGYRGRFHMTDFKAAATSYDVLVPPSFTRAGGGERCAPVHAFGFGTDHDAAAMHSISEITGGTFSFIENLAVIQDTFARCIGGLLSVAAQNARISVECLDPGVRVRAVKSGRYESRIDAEGRAATVDVGELYADEERRFLLLLDVPRADGDAAVATRLASVRCTYRDTATEQSVDVAGEEDAVVLRPAVATGVAPSMEVELERERVRLEAADDIALARAAAERGAYGEAARILDARREALSRSAPAASGDAMCAALVAELRELSERVADEREYAQTGRACVLAGMSSHGQQRASSVQLGELRVRVFVRVRDTGDAEDGEALGDFASTHRRRSQAQISKIALRSDVWNHFTRFTDGDGRAKARCKHCPQVLGAETKSGTSTLWAHWNRHEQREEIPGEESPQQTPPPLPPAGPEEAARGDLARMIALHGYNPSVVKDDYFRSFLCRLNPEYEVPSRLAIEEMCDAIFDETMKGLFSKLHDVPGKVSIAVGTVRTIRGNMLYTACHFIDDQWNLHKIIMDVYADVPFLNYHGPLLGVDEVCLDRDLSRDISIDKVMVRFKAREVLHNLFMMIWETKGNDINLEYELRNEIEDNPFKINPNRRQLFYTTYMDNVIHSIARLLVMDPEFKDDYIISDLENLHLTRQERHQLLSQLGLDYDLWAYDEKWYSEYCSMEVLRKKGSAITNTVFAELLCMLWGEIYRSIQRISAPDCPTSSNLCLIELFKLREVFKHQLAQASGENAIAYNEFNGCFGAEDHKDVADVLTEAMVAIDKAIQDSYPVWSIPLVLDPRYKLARTKFIFQTAFSTEAAADYISEVTRNITELYSDYVEDDDSTMNAVAVGSTDPLQEAWDEHRRAEAQTDLDRYLKDELVHDPAQGFDILSWWKVHGSVLYPRVAQMARDALAMPTCIRVVDDDDDAMCAALVAELRELSERVADEREYAQTGRACVLAGMSSHGQQRASSVQLAKGSSVYGCSSAFATPEMQRMEKLSVILPPSSFTQTLSKMPYSTHRRRSQAQISKIAPRHQESRHEHPGAHWKRHEQRKETPGAAESAQHPAPPPPPSSGPEAAASGDLARMIALHGYDPSLVEDDYFRSFLRRLNPNFEVPSRLAIEEMCDAIFDETRKDIFSRLYDFPSKVSIAVGTVRTIQGNVLYMACHFIDDEWNLHKVIMDAYVIVPFLDYHGPLLGVDEVCLDPDIREFAIKKVMVRFSNDEVLNNLFMMVWETKDNDINLEHELRNEIEKNQNYTNPNRRELICTTYMDSVIHSIARLLIVHQGFKDDIISNLLHLDLTRQERHQLLSQLGLDYHLWAYDEKWYSEYCSLEVLRNKCLKNYRICFGEKYTVPFKGFLPPIVTLHQICLIELFKLREVFKDQKARASGENAISYNESNGFPGAKDGNYVADVLGEAMVAIEKAIQDSYPVWSIPLVLDPRYKLARTKFIFQTAFGTEAAADYISKVTQNITKLYSDYVEDHGSAMNVVAVSSTDPLQQAWDEHCRGEAQTDLDRYLKDELVHDPTSGFDILNWWKVHGSVLYPTVALMAGDALAMPTCTPNSVSLMFLQFTVQVQQSSENSLLFSTESPKTE >ORUFI12G06640.1 pep chromosome:OR_W1943:12:4992602:4996080:1 gene:ORUFI12G06640 transcript:ORUFI12G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKTHSASKKMDEGSSPASTSATAAAAAANLESTSFDDGRSHSSKITPIELVVVNPEEPPPASRSRGHGPRRRSWRRRPCPPLAKKAAAEFVGTFILIFAMLSTIVTDAQRGGVEGLVGVAASIGLAVAVLVMSLAHVSGAHINPAVSVAMAAFGRLQPAHLLPYAAAQVLGAVAAAAAVDGIFHPASRGWMVSVPKVGTVEAFFLEFVTTFVLLFVITAVSADPNAVKELIAVAVGGTAMMNVLVAGPSTGASMNPARTLGTAIVAGNYTQIWVYMVSTPLGAIAGTGAYFAIKL >ORUFI12G06650.1 pep chromosome:OR_W1943:12:5011658:5012545:-1 gene:ORUFI12G06650 transcript:ORUFI12G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPMHVRKAIHFVSMKAKLQSFGGLRLLLVGCLAALLLLFAVRTLSFTTSSATATAAREAAEAGCGKLPAAVAQAMVHYATANVTPQQTAAEIGVSLRVLQLRAPCNFLVFGLGLDSAMWAALNHGGRTVFLEEDASWIASVKAGHPGLESYHVAYDTRVTDADELIALRHEPACTSQPDLAAAAAASCRLALRGLPPVFHEVEWDLIMVDAPTGWTPESPGRMGAIYTAGMAARARTPGAGATEVFVHDVDRHVEDTFSKAFLCDGYLVEQVGRIRRFVIPSHRDKDGTPFCP >ORUFI12G06660.1 pep chromosome:OR_W1943:12:5026173:5028095:-1 gene:ORUFI12G06660 transcript:ORUFI12G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVFAGQSATDEVIANLIDKASSYLGSNPDRLQESMRMLRLKLPKMRDTLIPAQSRESYSGLGVLLDESLWRLRDAVEELEDAVDEHAYHEKKTKEREVSDGDLGSSFSKMKQRVIKSLANGRTLERRLRKAIEALDMVIVDIAKRLPSDVRLTQEQLDQHRLQLYRRTVDFTQRYFMTAGFKDRVLGREKETDVIVRWLIDPLDDDDAETQVSPNNHHVSVMSIVGHGGVGKTTLAQLVYNDPRVRDHFDMVAWLCVTSSFDVGRIMREIVQCVTRSPCSSDSLENMQHILQDKINSTKAFLLVLDDVWEENLHEWEKLFSVLRGINTRIKILLTTRTQSVATLVESVTGCEDQHLRLHELEENGNLQIFCQLALADLNERSEDYAELQSIGAKIVKKLGGTPAAIKSAAYLLRVRSLESWRRFLHGMDNFGAAVPNIMDVLKISYYRLSAELQSCFRYCSLFPKNHPFRKEELVRAWIWSGLIFPQFGKKDGELYLAQLTANLFLDRFGGENEEPAYYVMNDTMHDFATYISQGECKRLTEAADLRNVKSSVRHISIAGINNFTVVDVKELLRLTKLRTVIIEDCGNVEEDVVYAMAEVVKNSKSLRLLECSLFKMCHLPDRLSSLMHLRHVKISML >ORUFI12G06670.1 pep chromosome:OR_W1943:12:5032055:5034010:1 gene:ORUFI12G06670 transcript:ORUFI12G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVLVFAGKSLATPAISFFVNKAFSYLNEYRKAEGLEAVKNRLEENIPKIQSVIDVADPDYIKDKSEALDAWLWQLRDAVEEAEDAIDELEVERDQNKVSHQAGSSSFTRMKHKFVQSVKHVRVLGTTSNSPLKRLKKALEGLDEAAKGVKNFLTLVQIHQNTRSNLNNPEQDDISFRAKGKDLNADRVFGRENEKEHIVGWLTNTSSEDNQDAKNNNHVPIMSIVGHGGIGKTTLAQLISHDSRIKKHFDTVIWVAVSMSFDAKTLLDKIIQSVTLLKPSVDTYEALQQHLKKEIETIKYLLILDDVWEDKDISKWENLFSSLRTGVCGRKILLTTRMQSVADLASAVMRCEREFFPLCGLEEDENLRLFNHHAFINPDPQEFEDFQQVGEEIAKKLRGCPLVTKVVAGHLRAHMKVQFWNTFLHEHLDNFDGSMEDVVKVLKLSYYHLVPGLQVCFRYCSIFPKNHEFKKEELVKMWIAAGLISQTTGEAERAQDAAEEYLDLLNRKSFFELKLRNFRFGRNECHEYFVMHDLMHDLATWVSSGECARIADVASSKKLKPTVRHLSVAGIDGFPVDAIKSLSQFKNLRTIIIEDCHDIQDDTSREVEKVIESLKALRVVQYHMFSRSRFPGKEANLKHLRYVSVSMN >ORUFI12G06680.1 pep chromosome:OR_W1943:12:5041298:5043226:-1 gene:ORUFI12G06680 transcript:ORUFI12G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECTLSGRSVTAMFIVNLIDKALPYLDEDRNSDELSQPLMMNKLCEDWLMIQPMFDALTANQSGETRSLGDLDVWLWNLRDAIEELEDAIDEHEYYKLREKAKEQEVCELGSYFSKMKQVVTNFLTDSDSTLERLRKAIEDLEIVTSADAAHLMGRTTYSHVLDTHVLPQDRETGFTPEVPNKMFGRDKEMEMIVQWLINPLDGNAETQVSSTNPMHVPVMSLVGPCGMGKTALAHLVCTDASVRNHFDVIAWVCVSTSFDAERVIDEIVEQITCSPYKCAHGEETHYILRDKLMSTKSLLVLDNVWEDRDISQWERLLSVFSASKTGSKILLTTRLNSVATLARRITGCEERVMSLFVIEQNEISLLFNHFALGSLEVGAPNYAELQPIGAQIAKDLSWSPLGTKVAALHLRDNLTAEYWRKFLQYVDNFQRTTTRDMAVLKVSYYSLLPELQVCFRYCSIFGKNHPFRKEQLVQTWISSGLISAQSRGENKENLGELYLARLTAKSFFDRFGREDDEHAYYVMNDMMYDLAAFVSRGECARLVFAADFKRVNSSVRHINIAGINNFSVGDVEELLRLKKLRTIIVEDCGHVQEEVVSAMAEVVQNSKSLRLLECSLFKRWHFPDGLSGLKHLRYVKISML >ORUFI12G06690.1 pep chromosome:OR_W1943:12:5044457:5044907:1 gene:ORUFI12G06690 transcript:ORUFI12G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWKGLDEAAKGVDKFTIVRFQIHTELMRSILAAYSAATTRTTTTHNNEDDDGGEELEQRSAGLPSAVAEALVHYATPQLMRQEVRLATLLIEVEAYHVACHTKLTDADELRGAGPPLGLAYL >ORUFI12G06700.1 pep chromosome:OR_W1943:12:5051148:5052114:-1 gene:ORUFI12G06700 transcript:ORUFI12G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIWPPLGAMSVDPGWRGGSSMIDGTVAGGGRLRWRGEGGWEARQLHLLHRRASPLSGRVAGFAGSATSGSANVDKEAGGAGEREAWRLARCAVASAWRGGSTAPFLRGSCCRSTPGARIRRRHGGSGVGDGGGAVASGGRLGIVVDKEVAGCGAGRLGTAVDEEVAGSGRLHILHRRATPPPASRADPVTTMMRVDICTGMHEGAPPAWKKNAIFTGLLVQTAGSTTYGEIGFGRMEKWSL >ORUFI12G06700.2 pep chromosome:OR_W1943:12:5051180:5052114:-1 gene:ORUFI12G06700 transcript:ORUFI12G06700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIWPPLGAMSVDPGWRGGSSMIDGGRHGGGRRPSQVAGRGRVGGAAAPPPPSSGESTSIYAPACMRVHHQHGKKMLSSQAFWCKRLAQPHTEK >ORUFI12G06710.1 pep chromosome:OR_W1943:12:5055446:5057888:-1 gene:ORUFI12G06710 transcript:ORUFI12G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQVVVALDRSVLKLIDGILSLLDCIPELPQPCIKVVEGLVGKEGDRRSVSAMFIVNLINKASAYLDKDRKSDKLKPLMNKLHEDWLMIQPMFDALTANQVESFGYLDMWRLRDAIEKLEDAIDEHEYYKLREKANDQQEQSRKAEESYQGFRKIYCTPIGTNQLYTCFAPRLRDKLLSSNAQ >ORUFI12G06710.2 pep chromosome:OR_W1943:12:5054065:5057888:-1 gene:ORUFI12G06710 transcript:ORUFI12G06710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQVVVALDRSVLKLIDGILSLLDCIPELPQPCIKVVEGLVGKEGDRRSVSAMFIVNLINKASAYLDKDRKSDKLKPLMNKLHEDWLMIQPMFDALTANQVESFGYLDMWRLRDAIEKLEDAIDEHEYYKLREKANDQQEVSELGSCFSKMKQVVTSFLTHSGSSLEKLRKAIKDLGRSTARLLEQTNYTHVLRQDCETSFSPVMLNKMFGRHEEMDMIVQWLIKPLDGNAETQVSSTSPMHVPVISIVGPGGMGKTTLAHHVCTDARVRNHFDKIAWVRVSTIFDVERVIGEIVEQVTFSPCNYAHLEGMEHILRDKLMSTKALLVLDNVWEDQDISQWEKLFSVFSASKTGSKILLTTRLNSVATLPGLITGCEEHLMSLFVIEKNEILLLFNHFAFGGLEVGAPDYAELQSIGARIAKDLSWSPLGTKVAALHLRYNLTPEYWCKFLQDIDNFRATTTRDIAVLKISYYSLLPELQVCFRYCSIFRKNHPFRKEELVQTWISSGLISAQFRGEKKENLGELYLARLTAKSFFDRFGGEDDEPAYYVMNDMMYDLAKCVSRGECARLVDSADFRHVNSSVRHINIAGINNFTVGDVKELLRLKKLRTIIVEDCGHVQKEVVSAMAKVVKNSKSLRLLECSLFKRWHFPDGLSGLKHLRYVKISEL >ORUFI12G06710.3 pep chromosome:OR_W1943:12:5057890:5062239:-1 gene:ORUFI12G06710 transcript:ORUFI12G06710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEKPNRPSEPKRPNTGKAHPDLRLDSSSPPPDRPHNSGRWRRRRTGGASRQAARAAGAGRLLATRLSRLSESHRPGCHGNLQR >ORUFI12G06710.4 pep chromosome:OR_W1943:12:5060613:5062239:-1 gene:ORUFI12G06710 transcript:ORUFI12G06710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEKPNRPSEPKRPNTGKAHPDLRLDSSSPPPDRPHNSGRWRRRRTGGASRQAARAAGAGRLLATRLSRLSESHRPGCHGNLQR >ORUFI12G06710.5 pep chromosome:OR_W1943:12:5054065:5056846:-1 gene:ORUFI12G06710 transcript:ORUFI12G06710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMKCWVMYTTGRSVSAMFIVNLINKASAYLDKDRKSDKLKPLMNKLHEDWLMIQPMFDALTANQVESFGYLDMWRLRDAIEKLEDAIDEHEYYKLREKANDQQEVSELGSCFSKMKQVVTSFLTHSGSSLEKLRKAIKDLGRSTARLLEQTNYTHVLRQDCETSFSPVMLNKMFGRHEEMDMIVQWLIKPLDGNAETQVSSTSPMHVPVISIVGPGGMGKTTLAHHVCTDARVRNHFDKIAWVRVSTIFDVERVIGEIVEQVTFSPCNYAHLEGMEHILRDKLMSTKALLVLDNVWEDQDISQWEKLFSVFSASKTGSKILLTTRLNSVATLPGLITGCEEHLMSLFVIEKNEILLLFNHFAFGGLEVGAPDYAELQSIGARIAKDLSWSPLGTKVAALHLRYNLTPEYWCKFLQDIDNFRATTTRDIAVLKISYYSLLPELQVCFRYCSIFRKNHPFRKEELVQTWISSGLISAQFRGEKKENLGELYLARLTAKSFFDRFGGEDDEPAYYVMNDMMYDLAKCVSRGECARLVDSADFRHVNSSVRHINIAGINNFTVGDVKELLRLKKLRTIIVEDCGHVQKEVVSAMAKVVKNSKSLRLLECSLFKRWHFPDGLSGLKHLRYVKISEL >ORUFI12G06720.1 pep chromosome:OR_W1943:12:5056883:5061367:1 gene:ORUFI12G06720 transcript:ORUFI12G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLVFAGKSVASPTISFFANKAFNYLQNQYRKAQGIEDMKIRLQRNIPKIQSVIDIVDTDYIKESSEDLDTRLWQLRDAVEEAEDAIDELEYYDLKEKEKDQKVSHQGSSFTKMKRKCLQSIKHISVFGKTSDCPLKRLKNAMEGLDEAVKGVDNFLALVDQIKRTTLDNSQRVDEMNRTNMAFSVLVFAGKTVATPAISLLVNKLRDAVEEAEDAIDELEYYELKEKEKDQKVSHQGSSFTKMKSKCFQSVKHISVFSKTFDCPLKRLKDACGSCLDPKEFEDIREAGEKITKKLRGCPLVTKVIAGHLREHMTVQFWDRFLHEHLGYFDGSMENIMKVLKLSYFHLPPGAQVCFQYCSIFPQNHEFKKGELVQMWIASGLIPQTTGEAKRTQDVGEEYLIQLSRKSFVDLKLRNFHFGRNEGHEYYVMHDLIYDLATWVSSSECARIAYVNGSERVKRTLCHLSVVGINSFPVEAIKSFCQFKYLRTIIFEDSHDIQDDAVRAVEEVLESLKALRVVQCKVQ >ORUFI12G06730.1 pep chromosome:OR_W1943:12:5064156:5070157:-1 gene:ORUFI12G06730 transcript:ORUFI12G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAWTIVPGIIVSLIVLVVTKLWDPIAQVYGYPFDAERRVQKLVDEFSKLQDQLGELGILDPKPSSAVLSGWLQRAAGCKDKVEEIKRRHESVKSVGVQGLLPRINVVRHLCAIGRDADLELEEVKDLIAKGEGHLKEAGAAPHPIPIPIPLLPPPAAEFDNGQLAQSILDTAAAGTWGVGIQAMKPHLTSVLDFVREDGGGAPGVLGVWGMGGAGKTTLLKLARDPRVQTLDHIVLAEAGKCCDIAKLQDSIAQGTSLVLPPSLSVTNRATVLCNHLRNKKFLLLLDDLWNYIDLEAVGIPLPLGRGNQRKVVLTSRSEAVCVSMARQGVTIRMGCLDQQDAFKLFEDKVGSATINADTRIPELARQVAEMCGGLPLVLCVIGRSMCTKKNYKLWVDAVNRLEKSKVHNNLVGDDDIFNILRYSFDGLHDDEARGCFLACTLFPPFYIEKKRLIRWCMGLGFLDPANGFEGGESVIDSLQGASLLESAGSYSVDMHDIIRDMALWIVRGPGGEKWSVLNRAWVQDATIRKMNNGYWTREEWPPKDTWPELEMLAMESNRSYLDPWKVSSIGQMTNISFLELVSLDTFPMEICELHKLEYLCIKAGSMSRLPIELGKLSKLKQLHLRQSCSLGEIPTGLISQLVNLQVLDLFCSSIDYPYRPKSAAGGLYNFLGELAEARASEKLKILGICLDATRDNRAFLKQLMQKQVRIRSLCLSFINPISPGHDQPQPATSRYMIAELQPFSNDLGELAISSSDILQELVATSDGKELIQNLEHLCLENLNVLERVIWLNAARNLRRVDIKKCAKLTHATWVLQLGYLEELGIHDCPQFKRLIDHKELAENPPDHVIFPRLTYLDLSDLPELSDICVLPCEFKSSLALLVENCDKLMNISFHYPPGHDQKNIRVFCDNEWFNRLECKPNIMKSYLSQNMRFSAI >ORUFI12G06740.1 pep chromosome:OR_W1943:12:5092109:5092905:1 gene:ORUFI12G06740 transcript:ORUFI12G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNQFCCCLLQSFYTLPNPLPRSSRRGASLRRRDEEDDSDDDDRKEEAVELEMLRSERRAVRLPRSHSTGQSLVASAAAAAEAGDHERVTLRAXVALDGALAGRVGGRRRRVRRPQSVHAAAAATRTQGAKWL >ORUFI12G06750.1 pep chromosome:OR_W1943:12:5094698:5098087:1 gene:ORUFI12G06750 transcript:ORUFI12G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRDATLAEGGGKPTGRDSRDRNPNHVLKLIDSILSLLNCIPELPQPCIKVFTPLLDVIRISYIDH >ORUFI12G06760.1 pep chromosome:OR_W1943:12:5097038:5098246:-1 gene:ORUFI12G06760 transcript:ORUFI12G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLVFAGRSVATPAISFFVNKAFSYLNKYHKSEGLGDMKKRLKMNIPKIQSVIDVADPDYIKERSEDLDTWLWQLRDAVEKAEDAIDELEYYELKEKQKDLKDSHLGSSFAKMNHKFFQSVKHVKMLGKTSDSPLKRLKKAMEDLDEAAKGVENFLTVVDQITRPNLNIPQRHHSISRYRETGRMLTADRVFGRENEKERIVGWLTSTSSEENEVVMNNNPVPIMSIVGHGGIGKTTLAQLIAKENRIKEHFKTVIWVSVSTNFNAETLISKIIQSVTLSKPSFDTYDALQEHLARTLETIKYLLILDDVWEDKEISEWEKLFASLRTGVYGRKILLTTRMQSVADLASAVMRCERERFPLCGLEETENLELFNHHVFTYPDPQKFEELQEAGEKIARN >ORUFI12G06770.1 pep chromosome:OR_W1943:12:5100223:5113155:1 gene:ORUFI12G06770 transcript:ORUFI12G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVFAGKSATAVVIVDLIKKASAYLDTDCMSDRLQPSRDKLYQDLQMFMRAHLLHQDHRETYVTVDSMLCQHKERDLIVRWLINSLDDDSETQVISTKHVSVMSIVGHTVSQTDFMDLKTWAIKWASPTMEKLVDTLVQYKGTQLMDRDNMVSEPTIRGIPGQEARWMTHSGSSDVQRLDPSIGGGCTGGSCQVFGEMPSWLGAGAGAALHVQVSQVLYPVTSEVLHQVYNGYGAVAVQVLATSCWGVEALVWFRSSCDTERARSDTNEHNIYDGCCLLDVQHTQSFPGNGANVIPTKCSTLGPSYATTTSGAKSIPAATERVFLATKASLAPSTSSTTMTAPAPSTETKDVGAGMDKAVLKSEETTQDLYTKMMAMIDKLLETCRDTKEDYTMSVDSNGDAAAQCINIDPVPILLEVSNEANSTQLVNTNKLCLVKVKSTKDLKKRKKEKVDGDAGGMVTDDCVAFTNVDTKLISVFRPFRDLDIINQGSEGVVVKLLQPWPPPTQAEVKAKKKVLNLYGQKPEVQIIVTVCSVSKATIKGLQLLGERMLQEEQLKCEVVKSNWYSFSNLLVGDIMDIALPMQSLRQVILSYGLAQSQNENSVIQEAMSYCQFKFSANYVMSPSQWRKDIVDSPTNKGFHFQEMLKQQIDGVDKRLLYYHQISTVFCSVSKDVVYDVTWTPIVPSKWIHGVAIGRIGLLSTFALMHFLEAWTMQLATKLGVIKFGLDKLPNHSVGSIMAMALLLAQSLEDRFIEWECADLDGMEQILREKLSSTKAALLVLDDVWEDKARDQLEKLFRVLKASKTRSKILLTTRTQSVQLITGCKELKLRLHELDDDENLDLFWRYAFAGQEVGAEDYLELRKIGAEIAKKLGGTPMTTGIDFFKICVILEQQQQGECKRLTNPEDSRDVKSSVRHISIADNGDVEEDVVYAMAEVVQNSKSLRLLECSLFKRCHFPVRLSGLKHLRHVKISML >ORUFI12G06780.1 pep chromosome:OR_W1943:12:5116234:5116671:1 gene:ORUFI12G06780 transcript:ORUFI12G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGTLAPLFLLPLRRRPPLPHPISLMPTSPSTLRPRHRRRLAVALAANGGGGGDGDGGPPAEEGEEKRRKGPSLPALSEIRWGELLTPEPANAAAVALSAALAWAGASLLLQLALISFAIFTAAVKYSFVAALLLFVLIALL >ORUFI12G06790.1 pep chromosome:OR_W1943:12:5117016:5120381:-1 gene:ORUFI12G06790 transcript:ORUFI12G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVQMRRIENPVHRQVTFCKRRGGLLKKARELSVLCDADVGVIIFSSQGKLHELATNGNMHNLVERYQSNVAGGQMEPGALQRQVAEQGIFLLREEIDLLQRGLRSTYGGGAGEMTLDKLHALEKGLELWIYQIRTTKMQMMQQEIQFLRNKEGILKEANEMLQEKVKEQQKLCMSLLDLHSQQPTQPMTYGNRFFSI >ORUFI12G06800.1 pep chromosome:OR_W1943:12:5125326:5125928:-1 gene:ORUFI12G06800 transcript:ORUFI12G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGLAMGTREVSRWLVEARDDAAAMEDEWGIGDDRSATEARDQATAKTMEGGGGGKWEEELTLRGSRGAGRTKDMEKGGSKQGYGEGSSSGLVGHVVEE >ORUFI12G06810.1 pep chromosome:OR_W1943:12:5132113:5137717:1 gene:ORUFI12G06810 transcript:ORUFI12G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNNVKATIDRYKKAHACGSTSGAPLIEVNAQQYYQQESAKLRHQIQMLQNTNKHLVGDNVSNLSLKELKQLESRLEKGISKIRARKNELLASEINYMAKREIELQNDNMDLRTKIAEEEQQLQQVTVARSAAMELQAAAAAQQQQQNPFAVAAAQLDMKCFFPLNLFEAAAQVQAVAAQRQQIIPTELNLGYHHHLAIPGAAAADAPPPHF >ORUFI12G06810.2 pep chromosome:OR_W1943:12:5132113:5137717:1 gene:ORUFI12G06810 transcript:ORUFI12G06810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNNVKATIDRYKKAHACGSTSGAPLIEVNAQQYYQQESAKLRHQIQMLQNTNKHLVGDNVSNLSLKELKQLESRLEKGISKIRARKNELLASEINYMAKREIELQNDNMDLRTKIAEEEQQLQQVTVARSAAMELQAAAAAQQQQQNPFAVAAAQLDMKCFFPLNLFEAAAQVQAVAAQRQQIIPTELNLGYHHHLAIPGAAAADAPPPHF >ORUFI12G06810.3 pep chromosome:OR_W1943:12:5132083:5137717:1 gene:ORUFI12G06810 transcript:ORUFI12G06810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNNVKATIDRYKKAHACGSTSGAPLIEVNAQQYYQQESAKLRHQIQMLQNTNKHLVGDNVSNLSLKELKQLESRLEKGISKIRARKNELLASEINYMAKREIELQNDNMDLRTKIAEEEQQLQQVTVARSAAMELQAAAAAQQQQQNPFAVAAAQLDMKCFFPLNLFEAAAQVQAVAAQRQQIIPTELNLGYHHHLAIPGAAAADAPPPHF >ORUFI12G06810.4 pep chromosome:OR_W1943:12:5132634:5137717:1 gene:ORUFI12G06810 transcript:ORUFI12G06810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNNVKATIDRYKKAHACGSTSGAPLIEVNAQQYYQQESAKLRHQIQMLQNTNKHLVGDNVSNLSLKELKQLESRLEKGISKIRARKNELLASEINYMAKREIELQNDNMDLRTKIAEEEQQLQQVTVARSAAMELQAAAAAQQQQQNPFAVAAAQLDMKCFFPLNLFEAAAQVQAVAAQRQQIIPTELNLGYHHHLAIPGAAAADAPPPHF >ORUFI12G06810.5 pep chromosome:OR_W1943:12:5133454:5137717:1 gene:ORUFI12G06810 transcript:ORUFI12G06810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNNVKATIDRYKKAHACGSTSGAPLIEVNAQQYYQQESAKLRHQIQMLQNTNKHLVGDNVSNLSLKELKQLESRLEKGISKIRARKNELLASEINYMAKREIELQNDNMDLRTKIAEEEQQLQQVTVARSAAMELQAAAAAQQQQQNPFAVAAAQLDMKCFFPLNLFEAAAQVQAVAAQRQQIIPTELNLGYHHHLAIPGAAAADAPPPHF >ORUFI12G06820.1 pep chromosome:OR_W1943:12:5141264:5143132:-1 gene:ORUFI12G06820 transcript:ORUFI12G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEGRLAADEGTLRPNLRLVDRLHARPAPDLRRLFSRLDRLHARRRKPISSAPPHSIICRGWNCCGIKRSLVRPCPRLIPQFIESIPSRI >ORUFI12G06830.1 pep chromosome:OR_W1943:12:5145222:5147472:1 gene:ORUFI12G06830 transcript:ORUFI12G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAAEWNDENTKIICELYAEQVRAGNRPSTHLNSIGYSQVALKFQQRTHLLYTKRQLKNKWDKLRNEYTIWKKLLIRGSGLGWDSTKGTIAADENWWNKTNTELPGAKKFRKAGMKNLDHLRVMFDDIASNGVDHTPVPATSSPSTLESPVNVANLDGLDNDMEDNDDTQLEEESPLNRNKKRPRHANNANKNKSSRTEIALLMQAQLKGMADLAEKAQATFENFTSLVGSLGSSIQYVMTLVQECGARSGSDEHFIATELFVSREQREMFLTLSTAEERLEWLRRKYKAKYGA >ORUFI12G06840.1 pep chromosome:OR_W1943:12:5150903:5154882:1 gene:ORUFI12G06840 transcript:ORUFI12G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSMCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKRAIIERMGELEKLE >ORUFI12G06850.1 pep chromosome:OR_W1943:12:5165224:5166445:-1 gene:ORUFI12G06850 transcript:ORUFI12G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATDGLMRGMEVIDTGAPLSVPVGGATLGRIFNVLGEPVDNLGPVDTNTSATFPIHISAPAFIELDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEKNLEESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNKQDVLLFIDNIFRFVQAGSEVSSLLGRMPSAVGYQPTLSTEMGSLQERITSTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGNEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARA >ORUFI12G06860.1 pep chromosome:OR_W1943:12:5173303:5174232:-1 gene:ORUFI12G06860 transcript:ORUFI12G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESIKIIQQAVEKIPGGPYENLEVRHFKKAKNSEWNDFEYQFLGKKPSPNFELSKQELYARVEAPKEFFMN >ORUFI12G06870.1 pep chromosome:OR_W1943:12:5188308:5189557:-1 gene:ORUFI12G06870 transcript:ORUFI12G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPNGMFRVRLENDTIILGYILGKIRSSSIRILMGDRVKIEVSRYDPSKGRIIYRLPHKDSKLRS >ORUFI12G06880.1 pep chromosome:OR_W1943:12:5189894:5190906:-1 gene:ORUFI12G06880 transcript:ORUFI12G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEVAGSTQTLQWKCVESRVDSKRLYYGRFILSPLRKGQADTVGIALRRALLGETEGTCITHAKFGSVPHEYSTIAGIEESVQEILLNLKEIILKSNLYGVRTTSICVKEPTDFRIELQIKRDRGYHTEVRKNTQDGSYPIDAVSMPVRNMNYSIFACGNGNAKYEILFLEIWTNGSLTPKEALYEASRNLIDLFLPFLHTEEEGTRFQENKNRTYNCLKRANIHTLLDLLSKTEEDLMRIDSFRMQDGKQIWDTLEKHLPMDLPKNKF >ORUFI12G06890.1 pep chromosome:OR_W1943:12:5208762:5210756:1 gene:ORUFI12G06890 transcript:ORUFI12G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGAQGELPLPMHAAASPYLGLHHDHHHHHGGGGGGGGMNGRHMSPPTPPAAAEESKAVVVVSSSATAAARYRECLKNHAAAIGGSATDGCGEFMPGGEEGSLDALRCSACGCHRNFHRKELDAAAAPPLHHHHHQLLGVGAHPRGHGHHHHHLLVAALPPPTRMVMPLSAMHTSESDDAAARPGGGAAARKRFRTKFTAEQKARMLGFAEEVGWRLQKLEDAVVQRFCQEVGVKRRVLKVWMHNNKHTLARRHLHPSPAAAAGDDDDDGAPPPHPDPGGASSPPPPHHLRLHELIGDHYGSGAPEELKKLDFFWERRGGGGGNPLRNKMKIHLASASTSIRLQLSPTETL >ORUFI12G06900.1 pep chromosome:OR_W1943:12:5218142:5223176:-1 gene:ORUFI12G06900 transcript:ORUFI12G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPTRLLHLPLLAPKPSPPRCLVSRRSRPRPAGDAARCGCATEAGGGGGGGGSVVVEDDLYELLQVLPRDLRDNLQNEPRKDQLLEVILDLGRRPEARFLGDSGGQYLRDSEISQQELEEAQRAVGEFGGDNRAGIEGTLHRISAIRSRKGMVVGLTCRVGRAVTGHVDMVRDLLNYKESILFLGRPGVGKTTVMREIARVLADEFQKRVVIVDTSNEIGGDGDIPHAAIGGARRMQVAQPSMQHRVMIEAVENHMPEVVIVDEIGTEAEAQACRSIAERGVMLIGTAHGEHLANIIKNPTLSDLIGGVETVTLGDEEARARRSQKSILERKAPPTFPFLIEMRERHYWVTHRTERSVDMLLHGKKPLVERVVGIDSKDLSSYSTATPAKELVLFIEKMK >ORUFI12G06910.1 pep chromosome:OR_W1943:12:5226123:5230055:1 gene:ORUFI12G06910 transcript:ORUFI12G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLTRTRKLVKGMAKPAPAWLKAMEQAPPPTFPRTDGKIKKIELPEDVYVKRFFKKHPDSLYHDAIKISGFDPPPARVFAWRVLELKKDGVNEDDAMAVADMEYAAEKKAKKKAYKELKEIARIEGKRPPPNPYPSAIKEIQAEEKKYVSERFHNPKILEIVKKMKEDKELFFKDREASRAGQ >ORUFI12G06910.2 pep chromosome:OR_W1943:12:5227306:5230055:1 gene:ORUFI12G06910 transcript:ORUFI12G06910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLTRTRKLVKGMAKPAPAWLKAMEQAPPPTFPRTDGKIKKIELPEDVYVKRFFKKHPDSLYHDAIKISGFDPPPARVFAWRVLELKKDGVNEDDAMAVADMEYAAEKKAKKKAYKELKEIARIEGKRPPPNPYPSAIKEIQAEEKKYVSERFHNPKILEIVKKMKEDKELFFKDREASRAGQ >ORUFI12G06920.1 pep chromosome:OR_W1943:12:5232909:5236858:1 gene:ORUFI12G06920 transcript:ORUFI12G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCYYHGISEPHSSSLTG >ORUFI12G06930.1 pep chromosome:OR_W1943:12:5236015:5241172:-1 gene:ORUFI12G06930 transcript:ORUFI12G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMVEGCVGPSELHLRKELTALRKARFLRDPETSSTWRSPLDSRPLAAASNIVHNSNGVGDSIAPKHTESPTPSKGEKKQKKVYLYNWRQYSNKSSESGMKLDEDINLESPHNSNGVGLKSNACQDDPVNIYNFQSSTSCTPIKRVARRSRVLLSKKGTARDPTVSKLLDLHVNSTEQTEDTENSEVGYFSRPTSPLFAACGCVSASNTSRLLKVGRREGSSFSCTPISTRSYYKHGRANSSTIGSWDGRTATSFDGDESNQSTLWRSRRSHVPGYSSKRNKCKGSKRSYSPSLSDILRRKGSGLLCGSQTLHRKKRSFGSLKWGHSKTSAHGMSLLDGSCDFGSSSFDSSSDELSTNVGELDLEALSRLDGRRWSSCKSQDGVDPAVHGADLAMADRRSLSQKYRPKLFSEIVGQHIVAQSLSNAITREKIAPAYLFQGPRGTGKTSTARIFSMGLSCLAPGENKPCGICKECTDFLSGNGTNLIEVDASNRKSINRIKNLLENIAPSATSSRYKLFVVDECHMVSSKLWSAFMKFLDEPLPRVVFVFITIDPDNLPRAVISRCQKYVFSKIKDIDIVCRLRRICVKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDTAETVKRSRELMDSGIDPMALMSQLAGLIMDIIAGTYKLADSTANDMAVGGRSLTDGELERLQQALKILSDAEKQIRLSSERSTWFTAALLQLSCGHSSEMNQARSSTTECHKSMNDAVTEAGRESSSSRAASHSISAFGVSKKLLDPKATSLHSSPQALASHSSRSRLNGNFAYGGECMSADRFLQDSTQRSNYSEQKVLVNGNLESLAHIWMRCIENCHSKTLQQLLFDHGKLVCVRQCEGCVLAFIAFEDSSIRSRAERFLSSITNSIETVLRCTVEVRMGLLPDFIASGLTSEMGPNVRRAESDVLSCSSNSDRLKGTLNTSSRNFDYSDEVKKGLEQFKFASAGDERLQSASVTSVNSGKTKATHMSKISMIDEQRLESAWLQAAEKHTPGMVNQTRPDRHQVVPQIVSPYERRSSMALVVPSGQEDEDLSHGIKAMKIVDSYGVQKDQNGRNVNRNVVSPSKLHSDDYYMENCDKESIVLNHSYRLKFIVARKLDDLVALAFSTVGKLKDQRG >ORUFI12G06940.1 pep chromosome:OR_W1943:12:5259875:5260599:-1 gene:ORUFI12G06940 transcript:ORUFI12G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQEQHGVEAVQQQQQESAEESPGLQRDEYETEDEHGGPLLADDTRLPLLADYALLQGAVIDSAAAPATDGLLTTRMGMEDRCSPTTRGCRCSSRCCRAPSWTLRQHQCPRSGAPGADSPASPLTRPPPRHRPWKCGR >ORUFI12G06950.1 pep chromosome:OR_W1943:12:5263744:5271888:1 gene:ORUFI12G06950 transcript:ORUFI12G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQMDVDGESSGARALGDMGDLETYAFENESCGICRDIVIDRGVLDCCQHWFCYTCIDNWSAITNRCPLCKSEFQRITCTPVYDTTGANNEDEYSLTSGDDDWLQGESNTLSFPSYYIDAEAVVCLDDGDCKIRSGLVSVEDDSTFDTSIACDSCELCGDCSTGSDRTTIDASFSGRVSVSVADEGETALVVSMVGVHSEIRDGLKTEQKGLNSNLYPSYSKDDLLNETVADAKILRNSDGFSISHNRYSEMNLVHTVSSEPTERPLEFSPIRESAHTLFRPEQGNMSNVQAPSCSFSQTSKVPENSGEENALFRNNARSTVIESPQLSSPAGNVARSNDIDMIDAGEVQQMRSAPVGQLTSMHDGESISDMEEVKYKESGDEIGHPAKRARSEVPEQEINMIGNSGISPTDDRTTSSAAKAAIGDTPEFLRSDKSVPDIMSIVEGEDYSRDPGRELARPVGRRAGDKPGLRVKKIFRKEEGKKSTAVVQKLQQEIREVVRDTGTTILEKENAFDEKLLTAFRAAIGKPADEPAGRANLSLIKSRRALLQKGKIRENLTKKLYGSSAGRRRSAWHRDWEVEFWKHRCSSGINPEKIETLQSVLQLLKKSSEMDKEIAQDKKGVNTDSILSRVYLADASVVPRKDDVKPLSAVAGCPPNNSKAPNKPTPGTETTKISSPNSTGKVLSSSIISKEASSRRENKNSQAAPNQQNQSAGDIKHDKRKWALEVLARKNASSITSKDKSEVADDLKGNYPLLAQLPVDMRPQLTTGRHNKVPLSVRQAQLYRIAEHYLQKANLSVIRRCADTELAIADAVNVEKDISERSSSKSVYVNLCSQATRQPAKGKSENDASDLIEKSESENGPLPQQVQTENTDICNIDTEESLNRTGHSDLHASPRQTIKGEIGGDLVPEKTVGFSNVEEALKMAGLLDSPPNSPERKNTKIEGGCIVDTDSEPSKKLQSTSDSIVRDISSHKDADDSSMLIDLHDENGQNLHTVTSSQQSKHTGDEHQKLILGEESTDVTANKIVSVNLDEAGCSAEHENSNGSNKEIPADTNMPDEGAGHVGGAKEVKVPASDLCNHSCQANSSPTEGKKDSKIPILDSTEDRSCSNNAELNNRLPNRNKLSTDPAQSGDDSKKSARDPDNHKPDSSGSIHKKVEMFVKENIRPLCKIGVITVEQYRWAVAKTTDKVMKYHSDAKNANFLIKEGDKVKKLALQYVEAAQRKVT >ORUFI12G06960.1 pep chromosome:OR_W1943:12:5278524:5281437:-1 gene:ORUFI12G06960 transcript:ORUFI12G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPKRSCWHCAGMFVCQLKLAIPDRVKPDEEAKRSSKRCASKFMRLCELELLIPYIMCFIIIVI >ORUFI12G06970.1 pep chromosome:OR_W1943:12:5285515:5293209:1 gene:ORUFI12G06970 transcript:ORUFI12G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEKTKPVLYSEWMSSCSYRVRIALNLKGIDYEYRAVTRGDPDYGKINPIKYVPALVDGDFTVSDSLAIILYLEDKYPQHPLLPQDLTKKALNMQIANIVCSSIQPLQCYAGLADGKMSANESLQIVQHYTDKGFRAIEKLLEGCRSKYATGDEVQLADVFLAPQIHAGVTRFQIDMSKYPILARFYKAYMELPAFQAALLAEEEEQVPAMASSKPILYGAWISSCSHRIRIVLNLKGVDYEYKSVNPRTDPDYEKINPIKYIPALVDGDLVVSDSLAIALYLEDKYPQHALLPKDLKKKALNLQIANIVCSSIQPLQGYAVIGLHEGKLSPDGSLQIVQHYIDKGFKAIEKLLEGSNFKYATVDEVQLGDVFLAPQIHAGINRFQIDITKYPILARLHDTYMEIPAFQAALPKNQPDAPSC >ORUFI12G06970.2 pep chromosome:OR_W1943:12:5285515:5289584:1 gene:ORUFI12G06970 transcript:ORUFI12G06970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEKTKPVLYSEWMSSCSYRVRIALNLKGIDYEYRAVTRGDPDYGKINPIKYVPALVDGDFTVSDSLAIILYLEDKYPQHPLLPQDLTKKALNMQIANIVCSSIQPLQCYAGLADGKMSANESLQIVQHYTDKGFRAIEKLLEGCRSKYATGDEVQLADVFLAPQIHAGVTRFQIDMSKYPILARFYKAYMELPAFQAAWKSARLHEVYPRHHAFQAAHPQNQSDAPLS >ORUFI12G06970.3 pep chromosome:OR_W1943:12:5285515:5289584:1 gene:ORUFI12G06970 transcript:ORUFI12G06970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEKTKPVLYSEWMSSCSYRVRIALNLKGIDYEYRAVTRGDPDYGKINPIKYVPALVDGDFTVSDSLAIILYLEDKYPQHPLLPQDLTKKALNMQIANIVCSSIQPLQCYAGLADGKMSANESLQIVQHYTDKGFRAIEKLLEGCRSKYATGDEVQLADVFLAPQIHAGVTRFQIDMSKYPILARFYKAYMELPAFQAAWKSARLHEVYPRHHAFQAAHPQNQSDAPLS >ORUFI12G06970.4 pep chromosome:OR_W1943:12:5289685:5293209:1 gene:ORUFI12G06970 transcript:ORUFI12G06970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPILYGAWISSCSHRIRIVLNLKGVDYEYKSVNPRTDPDYEKINPIKYIPALVDGDLVVSDSLAIALYLEDKYPQHALLPKDLKKKALNLQIANIVCSSIQPLQGYAVIGLHEGKLSPDGSLQIVQHYIDKGFKAIEKLLEGSNFKYATVDEVQLGDVFLAPQIHAGINRFQIDITKYPILARLHDTYMEIPAFQAALPKNQPDAPSC >ORUFI12G06970.5 pep chromosome:OR_W1943:12:5289770:5293209:1 gene:ORUFI12G06970 transcript:ORUFI12G06970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPILYGAWISSCSHRIRIVLNLKGVDYEYKSVNPRTDPDYEKINPIKYIPALVDGDLVVSDSLAIALYLEDKYPQHALLPKDLKKKALNLQIANIVCSSIQPLQGYAVIGLHEGKLSPDGSLQIVQHYIDKGFKAIEKLLEGSNFKYATVDEVQLGDVFLAPQIHAGINRFQIDITKYPILARLHDTYMEIPAFQAALPKNQPDAPSC >ORUFI12G06980.1 pep chromosome:OR_W1943:12:5295370:5302996:1 gene:ORUFI12G06980 transcript:ORUFI12G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSPWIIIFLLIVLVQAFVASADTNAQDTSGLNGLAGSWGSAPSNWAGNDPCGDKWIGIICTGNRVTSIRLSSFGLSGTLSGDIQSLSELQYLDLSYNKNLNGPLPSTIGTLSKLQNLILVGCGFTGEIPKEIGQLSNLIFLSLNSNKFTGSIPPSLGSLSKLYWFDLADNQLTGGLPISNATSPGLDNLTSTKHFHFGINQLSGSIPSQIFNSNMKLIHLLLDNNKFSGSIPSTLGLLNTLEVLRFDNNAQLTGPVPTNLKNLTKLAEFHLANSNLTGPLPDLTGMSSLSFVDMSNNSFSASDAPSWITTLPSSLTSLLSGNPYCNQVNDDVHCKATGQSNPALPPYKTTSNCPALPPTCLSTQQLSPTCICSVPYRGTLFFRSPGFSDLGNSSYFIQLEGTMKAKFLNLSLPVDSIAIHDPFVDTNNNLEMSLEVYPSGKDQFSEQDISGIGFILTVIAALLALTICIARRKRSPKQTEDRSQSYVSWDIKSTSTSTAPQVRGARMFSFDELKKVTNNFSEANDIGTGGYGKVYRGTLPTGQLVAVKRSQQGSLQGNLEFRTEIELLSRVHHKNVVSLVGFCFDQGEQMLVYEYVPNGTLKESLTGKSGVRLDWKRRLRVVLGAAKGIAYLHELADPPIIHRDIKSSNVLLDERLNAKGYLDPEYYMTQQLTDRSDVYSFGVLLLEVITARKPLERGRYVVREVKEAVDRRKDMYGLHELLDPALGASSALAGLEPYVDLALRCVEESGADRPSMGEAVAEIERIAKVAGAGGAAAAESAASDSMSYAASRTPRHPYGGGGGDSASEYSGGGLPSMRVEPK >ORUFI12G06990.1 pep chromosome:OR_W1943:12:5305198:5305779:-1 gene:ORUFI12G06990 transcript:ORUFI12G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYSLQENFQIRLVRAKKLILITRYIIFRNPSQKISQQQTVRTTHFMLLEHLMITIEDQMFREQQMQRGGRHHQHHTAREQEQQQKQQQRRRLMNNAANGGGGDGGGRSYFSTEAILVLACVTVSLLVLPLILPPLPPPPTLLLLLPVCLLALLVVLAFMPTDMRTMASSYL >ORUFI12G07000.1 pep chromosome:OR_W1943:12:5316214:5316761:-1 gene:ORUFI12G07000 transcript:ORUFI12G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIPMFAGVLCVCSCRRPLRLDGLAVSTLDAGGVLNGGRGADFFNGRSDISRCRFHLGDELLLGGAELVVLDAVIRGRQLHASLLHAGGRRGKKFTGAEMEMEREAASDETIKVDKTESAMRKYRNTLPPPHPNTMPPQGRVGTSRYPAMTAVGSYPLNGDDLVPLWPAVR >ORUFI12G07010.1 pep chromosome:OR_W1943:12:5323159:5328294:1 gene:ORUFI12G07010 transcript:ORUFI12G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMGVSASEAVGPMAAIAMYDRSVTQELDGDDDDCGGESELARAMEGSGQSDKGGHVTWTSSMSTYMLEYLEGIVASGNKTSSGFKQVHLKACAKALNDHFNINLTSDQITNHIRTWKRKYSKIADLRKLSAALWDDENFIISLDHKHYADHIKDHKADAEYLNKPIHNYGKMLVIFGNSLATGKYAKGSGDPLATESIPIDDDEEEEIGIGSAAATGSASRVHALNEENGRALIGAFKSVGDKLAGAIVEAGKEAAKSNKELPDNLYESVHSIPGFEDTHLAHYHAHLVDNPPTARVFVTLEFAHKVTWVARYVATTFNG >ORUFI12G07020.1 pep chromosome:OR_W1943:12:5330312:5336381:1 gene:ORUFI12G07020 transcript:ORUFI12G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSVALYGQLKAAQPFFLLAGPNVIESEEHVLKMAKHIKGITTKLGLPLVFKSSFDKANRTSSKSFRGPGLEEGLKILEKVKATYDLPVVTDVHESHQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMANSAEKIRLAGNQNVMVCERGTMFGYNDLIVDPRNFEWLREANCPVVADVTHALQQPAGKKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEVHDDPLNAPCDGPTQWPLRNLEELLEELIAIARVTKGKKPLKIDLTPFKE >ORUFI12G07020.2 pep chromosome:OR_W1943:12:5330312:5336381:1 gene:ORUFI12G07020 transcript:ORUFI12G07020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHIKGITTKLGLPLVFKSSFDKANRTSSKSFRGPGLEEGLKILEKVKATYDLPVVTDVHESHQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMANSAEKIRLAGNQNVMVCERGTMFGYNDLIVDPRNFEWLREANCPVVADVTHALQQPAGKKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEVHDDPLNAPCDGPTQWPLRNLEELLEELIAIARVTKGKKPLKIDLTPFKE >ORUFI12G07030.1 pep chromosome:OR_W1943:12:5346872:5349938:1 gene:ORUFI12G07030 transcript:ORUFI12G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAIAGGRTPTCTRGRQLLVALAPARGKTTEDLEKDLQPEEESRPSPPPPPPAKGSLCLPAACHEDGVIPAFVIPGSKHRDGSIYRTDAHYWHGLYHLDDTSETRLEPMTPSYSEQDCKPCVADCQWHIGGSMMQIFSLKLAEISNFATRAPGAGAIQLYGFMAVRDLLDPLRNYVFNRTRDNPFTIGDVSYPFIQMTGPKRGIAMNARVMIEYDMRIKMGESEQDDLLLVDGAATFSEITNFIPYIYRINGDCGMAVDIRLAHFSRAIEATAQVWVYKLKDGCGSLNLTITCHVSYMPPQIKLFQGPIDKLGDQNRFVVAAKLNTLMITEFKLVHQHDSISQRFESMVMPHGSKFHYAKLADLATIGVEIFWICAMYVSFPYEPTKASCVCVNCQGKSCRPNHSRNKLQEVNEMWAGLGYYRRA >ORUFI12G07040.1 pep chromosome:OR_W1943:12:5351995:5353835:1 gene:ORUFI12G07040 transcript:ORUFI12G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNLFDSNPMEGGGSDCRRPASDDHEGTVVASLPSSRKRKAAKDLEEEEEDLQPEEESRPPAPPAKGRSCLPAACHEDGIIPAFVIPGSKHRDGSIYRTDAHYWHGLYHLDDTSETRLEPMTPSYSEQDCRPCVTDCQWHIGCSMMQIFSLELAEISNFATGAAGAGAIQLYGFMAARDLLDPLRNYVFNRTRDDPFTIRDVSYPFIQMTGPKRGITMNSRVMIEYDLRIKRGENEQDDLVLIDGAATFSEITNFIPYIYRIHGDCGMAVDITLAHFILAIEATLQVRIYELKDGCGSLNLTITCRVSHMTPQIKLFQGPIDKLRDQNRFVVVATLNTLMITEFKLTHQHGSISRRFESRVVPHGSMSHCAKFADLATIGVEIFWSILPTSLQEGF >ORUFI12G07050.1 pep chromosome:OR_W1943:12:5354260:5356191:-1 gene:ORUFI12G07050 transcript:ORUFI12G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLNKPVDEGDEQALPDLNEEMAEVDIHVGQEEDQLGVNNGDSMADAHNDNKSKDITARYRRSCLDTNQMGPGEKG >ORUFI12G07060.1 pep chromosome:OR_W1943:12:5357068:5360113:1 gene:ORUFI12G07060 transcript:ORUFI12G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPKAAKNRRPTTRRPRAAAAASSADIEDLAPPSGCRAVAGPTTAAAAVRAELLRWYDANRRDLPWRRAAEPPGSGRGEEQRAYAVWVSEVMLQQTRVPVVVDYYSRWMVRWPTVDSLAAATQEEVNEMWAGLGYYRRARFLLEVVPVVDGNVVRVISRLYAIPDNPKESSTVKRFWQLTGELVDPSRPGDFNQAMMELGATLCSKTKPGCSQCPVSSNCQALTLSNQNASVKVTDYPRVVPKAKPRSDFAAVCVVQLAQCLDEGIADSTGNDNLFLLIKRPEEGLLAGLWEFPSVLLSAEKTDALNRRKEMDKYLKQVLSIDVKRRSSVILREDIGQHVHIFSHIRLTMFVELMILNLKDDGDELCLEGQDSSKLRFIDENAVESMGLTSGIRKVYNMVKAFKEKKLKEQSQVPTRKRSRRLK >ORUFI12G07070.1 pep chromosome:OR_W1943:12:5362434:5365314:-1 gene:ORUFI12G07070 transcript:ORUFI12G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKRDAHYIMPLLTALLILLQVHATTKTDDGHTSSSIAPVRINPALFRLTSLKHLNLSSNNFNMSKFPVMNGFEKLTELTHLGLADTNIAGMVPVSIGRLTNLMYLDLSTSFSIVYYDNENSVMQYTSDSFWQLSAPNMETLLANLTNLEELHMGMVDMSKNGEQWCDGIAKFTPKLQVLSLPWCSLTGPICASFSAMESLTMIELQYNHLTGLVPEFLATFSNLTVLELSRNYFEGLFSPIIFQHKKLRTINIIDNPWLSGNLPDFSQDSRLENLLISLTNFTGKIPSSIGNLKSLRKLDLGASGFSGMLPSSLATFKYLDLLQVSGLQLEGSIPSWISNLTSLTVLQFSDCGLSGQLPSSIGNLKGLNKLALYNCKFSGKVPPHILNLTRLQTLLLHSNNFPGTVEITSFSKLENLSVLNLSDNKLVVVDGENSSLVFPFPKIEFLRLASCNISTFPNTLKHLNELTSLDLSCNQIQGAIPQWAWETWKGLYFILLNMSHNNFTSLGADPLLPLHIEYFDLSFNSIEGPIPIPQEGSSMLDYSSNQFSSMPLHYSTYLGETLVFRASKNKLSGNIPSSICTTVRTLQLIDLSYNNFSGPIPSCLMEDLTALQVLSLKENKLVGKLPDSIKEGCVLEALDLSGNLIEGKTPRSLVACKNLEFLDIGSNQISDTFPCWMSELPKLQVLVLKSNKFTGQVMDPSYMFGGDTCEFTELRIADMASNNFNGTLPEAWFKMLKSMMARSDNETLVMENQYYHGQTYQFTATVTYKGNSMTISKILRTLVLIGFSNNAFHGTIPKTIGELILLHGLNMSHNALTGPIPPQLGRLNQLESLDLSSNKLSGEIPNELASLNFLSTLNLSYNVLVGRIPDSFQFSTFSNSSFLGNTGLCGPPLSRQCDNPKGPTEMPYTSEKSIDVVLLLFTALGFGISFAMTILIVWGSHMRKQH >ORUFI12G07080.1 pep chromosome:OR_W1943:12:5434487:5434771:1 gene:ORUFI12G07080 transcript:ORUFI12G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARLSADDVTGVRPVTDRSFLSTARQFSMPHVCPSSYNDFLAMVAMKPGMNLAGTDIPTPGVSTSALARDECLEVLIIPTGRGEACDRPPVAT >ORUFI12G07090.1 pep chromosome:OR_W1943:12:5443549:5443755:-1 gene:ORUFI12G07090 transcript:ORUFI12G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALRTTGGRRLAAVLVMMLFVLAAALLEAPAMASAARVLLQSGQLPTPYPTCNPGQYPCPSRTTVP >ORUFI12G07100.1 pep chromosome:OR_W1943:12:5447325:5447531:-1 gene:ORUFI12G07100 transcript:ORUFI12G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRATGGRRLAAVLVLMLFVLAAALLEAPVMASAARVLLQSGLLPTPYPTCNPDQYSCPPPTTVP >ORUFI12G07110.1 pep chromosome:OR_W1943:12:5456734:5457191:1 gene:ORUFI12G07110 transcript:ORUFI12G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRATARGAGLPAPFVVVVSVLLLLLGSLPLHEVAAAEDEDEIGGGGGGARGPPWRGCCNQYKEGGSYTGRGRFGPCIPTQPCHKPIP >ORUFI12G07120.1 pep chromosome:OR_W1943:12:5463729:5466728:1 gene:ORUFI12G07120 transcript:ORUFI12G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMRVALLAMLPILLVDTQSMAAPIQCLPDQAAALLQLKRSFDATVGGYFAAFRSWVAGADCCHWDGVRCGGDDGRAITFLDLRGHQLQAEVLDTALFSLTSLEYLDISSNDFSASMLPATGFELLAELTHLDLSDDNFAGRVPAGIGHLTNLIYLDLSTSFLDEELDEENSVLYYTSYSLSQLSEPSLDTLLANLTNLQELRLGMVDMSSNGARWCDAIARFSPKLQIISMPYCSLSGPICRSFSALKSLVVIELHYNYLSGPIPEFLADLSNLSVLQLSNNNFEGWFPPIIFQHKKLRGIDLSKNFGISGNLPNFSADSNIQSISVSNTNFSGTIPSSISNLKSLKELALGASGFSGELPSSIGKLKSLDLLEVSGLELVGSMPSWISNLTSLTVLNFFHCGLSGRLPASIVYLTKLTKLALYNCHFSGEVANLVLNLTQLETLLLHSNNFVGTAELASLAKLQNLSVLNLSNNKLVVIDGENSSSEATYPSISFLRLSSCSISSFPNILRHLPEITSLDLSYNQIRGAIPQWVWKTSGYFSLLNLSHNKFTSTGSDPLLPLNIEFFDLSFNKIEGVIPIPQKGSITLDYSNNQFSSMPLNFSTYLKKTIIFKASKNNLSGNIPPSICDGIKSLQLIDLSNNYLTGIIPSCLMEDASALQVLSLKENNLTGKLPDNIKEGCELSALDFSGNLIQGKLPRSLVACRNLEILDIGNNQISDSFPCWMSKLPQLQVLVLKSNRFIGQMDISYTGDANNCQFTKLRIADIASNNFSGMLPEEWFKMLKSMMTSSDNGTSVMESRYYHGQTYQFTAALTYKGNDITISKILTSLVLIDVSNNDFHGSIPSSIGELALLHGLNMSRNMLTGPIPTQFGNLNNLESLDLSSNKLSNEIPEKLASLNFLATLNLSYNMLAGRIPQSSHFSTFSNASFEGNIGLCGAPLSKQCSYRSEPNIMPHASKKDPIDVLLFLFTGLGFGVCFGITILVIWGSNKRKQQA >ORUFI12G07130.1 pep chromosome:OR_W1943:12:5502579:5502794:1 gene:ORUFI12G07130 transcript:ORUFI12G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAAVLLLMIAYGVARNEAAVPDSSLSCLSMPRQQDGATTPNRRSLSVHPSCCTSSPPPSCYKPQPCPPP >ORUFI12G07140.1 pep chromosome:OR_W1943:12:5510648:5515568:1 gene:ORUFI12G07140 transcript:ORUFI12G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKQMQMVELCGFLSHIYGKGYIDDMNIFISNYQYNLDLMGVVREHSGDNRDCAEQVAFNPSHKKPDDQQQQSYNITQFSNSRALELRRKHAIERYASPIAEQKEDRTTYLLLTLLSTPDGQKRDVSDDYSSLLKKADRCW >ORUFI12G07140.2 pep chromosome:OR_W1943:12:5510648:5514741:1 gene:ORUFI12G07140 transcript:ORUFI12G07140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKQMQMVELCGFLSHIYGKGYIDDMNIFISNYQYNLDLMGVVREHSGDNRDCAEQVAFNPSHKKPDDQQQQSYNITQFSNSRTTYLLLTLLSTPDGQKRDVSDDYSSLLKKADRCW >ORUFI12G07150.1 pep chromosome:OR_W1943:12:5519503:5522208:1 gene:ORUFI12G07150 transcript:ORUFI12G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPCLPDQASALLQLKRSFNTTVGDYSAAFRSWVAGTDCCHWNGVRCGGSDGHITSLDLSHRDLQASGLDDALFSLTSLEYLDISWNDFSASKLPAIGFEKLAELTHLDLCTTNFADRVPVGIGRLKSLAYLDLSTTFFLYEQDEENSIIYYYSETMSQLSEPSLETLLANLTNLEELRLGMVNMSSNGARWCDAIARSSPKLRVISMPYCSLSGPICHSLSALRSLAVIELHYNHLSGPVPGFLATLSNLSVLQLSNNKFEGWFPPIIFQHEKLTTINLTKNLGISGNLPNFSGESVLQSISVSNTNFSGTIPSSISNLKSLKKLALGASGFSGVLPSSIGKMKSLSLLEVSGLDLVGSIPSWISNLTSLNVLKFFTCGLSGPIPSSIGYLTKLTKLALYNCQFSGEIPSLILNLTKLETLLLHSNSFVGIVELTSYSKLQNLYVLNLSNNKLIVIDGENNSSLVSYPSISFLRLASCSISSFPNILRHLPEITSLDLSYNQLQGAIPQWTWETWTMDFSLLNLSHNNLRSIGPDPLLNLYIEFLDLSFNNFEGTIPIPEQGSVTLDYSNNRFSSMPMPLNFSTYLMNTVFFKVSRNSLSGYIPPTICDAIKSLQIIDLSYNNLTGSIPSCLMEDVGALQVLNLKGNKLDGELPDNIKEGCALSALDFSDNLIQGQLPRSLVACRNLEILDIGNNQISDSFPCWMSKLPVLRVLVLQSNKFIGQVLDPSYTRYGNNCQFTSLRIADIASNNFSGTLPEEWFKMLRSMMSSSDNGTSVMEHLYPWERYKLNVAVTYKGSHMTFSKILTSLVLIDVSNNKFHGNIPAGIEELVLLHGLNMSHNVLTGPIPTQFGKLDNLETLDLSSNKLSGEIPQELASLNFLSILNLSYNMLDGKIPQ >ORUFI12G07160.1 pep chromosome:OR_W1943:12:5525889:5526215:-1 gene:ORUFI12G07160 transcript:ORUFI12G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEECRCRCRLCRAAGDYRLPFHRIWQRGGCGSHHHLRAAAGLGSPPSPSGSLHRATASLWSTPPSPDSRGRPPPPLPLDRAEGRAPQPLPPPGIRPPREAAATAG >ORUFI12G07170.1 pep chromosome:OR_W1943:12:5526014:5526223:1 gene:ORUFI12G07170 transcript:ORUFI12G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRGWRLPDGGFPTVTVGSQGQRLPGGGGGCRTLPSARSGGRGGGSLPRPDKGGSGSGTPPPPPSR >ORUFI12G07180.1 pep chromosome:OR_W1943:12:5533215:5535635:1 gene:ORUFI12G07180 transcript:ORUFI12G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEAASAKKLFSASDVVGHASRKDCWVVIHGKVYDVTKFLEDHPGGEDVLLHASASGDATEAFEDVGHSTSAISMMNNYLIGSIKDYVPPSASEATTIGGNDVPPNFRRMPQKKGPPAPNTFLDFLLPLFVLGLAFAAWYYLTFIAKA >ORUFI12G07180.2 pep chromosome:OR_W1943:12:5533345:5535635:1 gene:ORUFI12G07180 transcript:ORUFI12G07180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEAASAKKLFSASDVVGHASRKDCWVVIHGKVYDVTKFLEDHPGGEDVLLHASASGDATEAFEDVGHSTSAISMMNNYLIGSIKDYVPPSASEATTIGGNDVPPNFRRMPQKKGPPAPNTFLDFLLPLFVLGLAFAAWYYLTFIAKA >ORUFI12G07190.1 pep chromosome:OR_W1943:12:5538849:5541715:-1 gene:ORUFI12G07190 transcript:ORUFI12G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVRDNMVSEQSIRGVLGVEMKWKSAGGQRNSQQPGGGVFGGDAHHLFNEMPSCPRGDSAAVLCVTVSQIIYPVTSEVLHQVYDTYGAVAVQVLAVSTWQVKALVSFMSSHDAERARSTTHGHDIYDEGCLLDMQHVQMFPGDGATATHTTCSTMVPSSATARPVAKSTAAAPERVFPATTASSVPSITSAAMVTSVPFNETKKADADMDKAVENSDKTIQDLCTKIDRMLEAFRDTKEDLPLSKDSTRDVAALSANTDPTSISLELADDDDGKYMANKEWMELMEVDTKFTAMYLCFRDPMLVLNAIPPRNWSWCLIRDFGVVSLSFVSSKLEVIYGCFDRSSEYTVRSPPVPPWRAVIPWNKAEMTSSSRPLPWPDPQLCQGNGGVVVKLLQPWSSPIQEGVRAEIEALNLHGEHPEISLNYSVAQFMSRTITSTKGLLQNLVVGWCICYELHSSGTCWTAYQHMQYSIYGWSFDDPGMFVQLKLISWPMHDTWGECLFNCANEDQEAQKVLVNGRNLQGVLIPTELKIPWPPPNLTVQIYGVLTTITLCNGDNFSINYTIGGIHNEMVWGESLEDSSHISNCFTMLQSAELVQYKVDFAGSSLLENLALQGDDSLSFLLPEGVTVEDQEMVTRIKMTEQSVSKDEMDGPKLGVAKFSLDKLPNHSVGSIMAMALLLVQSSAQIVPSSILEMGLFRCNQVRLQIENSIFSASCFMSMHFQMRNDSSSFQGMKEKQTVDIVETSAIQEAIVIELEKNWPWDPGVSSSASPQCRQGYHPLDRLGDKSNFKEWSGFVTGV >ORUFI12G07200.1 pep chromosome:OR_W1943:12:5548561:5549438:1 gene:ORUFI12G07200 transcript:ORUFI12G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFKKDGTAVLFVMVTAMFLSSWQAAFHYKLSCANLPKCTEHACVADCNRRGFQVGVGLVHCMDGRPDQCCCVHGGLLHPDDKLTTN >ORUFI12G07210.1 pep chromosome:OR_W1943:12:5561879:5563169:-1 gene:ORUFI12G07210 transcript:ORUFI12G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPSKLPTPSLPPSRSSPHVPPCSTLLRQAPTSPCQVPRPTSCFHSDAAGEVYEQLDRMPEMAAVEVFGSIAPFMEAAENFRVLIQETRAKAEEACRLAVVIQKAVAAAALGGGSWDAAAAEEVCKKAAAAVGGGSWDAAAAEEVCKKAAAVGGGCWDVAAASEVCKAADVMLKEVAAPANLIKEGTVEDEADQPLILIPASTTRVFGGGMRRLTQSSQLILIDSK >ORUFI12G07220.1 pep chromosome:OR_W1943:12:5565945:5569425:-1 gene:ORUFI12G07220 transcript:ORUFI12G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGSLLVNMTHLVDTSGLQFFGWLITAGSFGLAALIYALLRLQRAASLYWIKAAAREKKAAWKSLRCPSSGHTWTEDYFRGGQPSTCCVCLSSLTTAQGVGSRGADAVVVHRCSVCGVAAHSGCSRGAEKDCKCVSQHGASTLLHHWSERWVELDDNPEMSSFCYYCDEPCGVPFLGVSPIWRCLWCQRQIHVDCHAKLLKETGNTCDLGLLRRLIVPPLSVKEVAQGPAITGMLNSIKEGLVTSSVRGRIKRRGNKKRMNNHPGGKTSPVPTNSSILDSVLEGFARLQNLNGKYALANHKSSRDSVKQTHGYGSPNGGKKKYEIIDLPQDSRPLLVFINGKSGGRNGPSLRRSLNMLLNPIQIFELSASQGPEVGLQFFHNVKHFRILVCGGDGTVAWVLDAIEKQNYESPPPVSILPLGTGNDLSRVMRWGGGLSSVEGQGGICALLNDVDHAAVTVLDRWNVAIKEKNGAEDQCTKQVKFMTNYIGVGCDAKVAYDFHTTREEKPDKFCSQFVNKLIYAREGAKDIMDRSCSDLPWHVSLEVDGKNVEIPEDAEGVIVLNIPSYMGGVDLWQNDNEHDDDFGLQSMHDKMLEVVCISGTWHLGKLQVGLSRAHRLAQGKVIRLHLHSSFPVQVDGEPWIQPPGCLEISHRGQMFMLRRTSEEPTGHAAAIMSEVLMNAECNGVIDAAQKRLLLHEIALRLSS >ORUFI12G07230.1 pep chromosome:OR_W1943:12:5584764:5586429:-1 gene:ORUFI12G07230 transcript:ORUFI12G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANYPKYVLYGLLIVGSWLLSCLLHFQVFHLSLFPYPSYLLSRRVILPLALDARFLPPPPDVAGDDDGGIVRRRSSSPAKAAAEASCDGRYVYVLEVPRRFQMLTECVEGPKVFDDPYHVCVVMSNSGLGPVIPPAAAGNATVDGDIIPNTGWYNTDQYALEVIFHNRMRRYECLTSDMAAATAVYVAFYPALELNRHKCGSSATERNEPPREFLRWLTSQPSWAALGGRDHFMVAARTTWMFRRGGAGDSLGCGNGFLSRPESGNMTVLTYESNIWERRDFAVPYPSYFHPSSAREVSAWQATARAARRPWLFAFAGARRANGTLAIRDHIIDECTASPPGRCGMLDCSHGLEGSITCRSPRRLVALFASARFCLQPPGDSFMRRSSIDTVLAGCIPVFFHEASTFKKQYQWHERDADADNDNATVDRRRYSVVIDPDDVVEGRVRIEEVLRRFSDDEVAAMREEVIRMIPRFVYKDPRVRFEGDMRDAFDITFDEIMARMRRIKNGEILGWKLDGDDDVVAKDS >ORUFI12G07240.1 pep chromosome:OR_W1943:12:5590022:5592508:-1 gene:ORUFI12G07240 transcript:ORUFI12G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPRRPGSDRRYPPLRFRKRKRSSSDSRNSKLNNKPGTDKWEDVCSICDDGGDFLCCEGRCLRSFHATEKHITEINQCTTLGLTEEQWKIFTQNDEKSEPLYICKNCKYNQHQCFSCGLLGSSDLSSGAEVFQCEDEKCGHFYHPKCLARLLYPDSSMQPLNFEEEVARGLKFLCPVHKCHVCKGGENKNDMENQFAVCRRCPTVYHRKCLPSDIVFEDDESNGIVQRAWDDVLRDQILIYCMKHEIDPELGTPARNHIIFPDCKNLLTRGPSKPKGQEDAPAVLDIPEEDMSPDHSSEPSQPPPQPAAETDQTDQDLSNGFNSFAPKALFPLPYPGSCGWLDD >ORUFI12G07250.1 pep chromosome:OR_W1943:12:5628170:5635087:-1 gene:ORUFI12G07250 transcript:ORUFI12G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQEYYAPVRGGGFAAAAAAEEQEAEVDPDATEAAEPLVDGLPDEVVHKITKQVEYYFSDINLATTEHLMRFITKDPEGYVPITVIAGFNKIKALVHNNSMLAAALRTSSKLVVSDDGKRVKRLQSFTVSDMQDLQSRIVVAENLPGDPCYQNLMKIFSTVGSVKTIRTCYPQSSNGNSPATNRSAKLDMLFANKLHAFVEYETLEDAEKAILELNDEKNWRNGLRVRLLNTCMTKGAGKGKKGVHEADGNGEEDVSTSNQSNEKQLEEPSQLSDMLPDHLFDDSSNDKEGPRRGRGRGRGGRGRGRGYHQHNNNHFNNQNYHNGQHHNHHANNHHHQGGNRGGAHHVGTPPNQQQTKPEQHPQLPIGATKQPPGPRMPDGTRGFTLGRGKPQPLLPVLCAAVEP >ORUFI12G07250.2 pep chromosome:OR_W1943:12:5628170:5635087:-1 gene:ORUFI12G07250 transcript:ORUFI12G07250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQEVADQIAAAAAERGLQRSGSASRLNAGAPEFVPRVAAPVVPPPPPVIRVFAAAPPPPRAAFFAPPPPHHRPYEYYAPVRGGGFAAAAAAEEQEAEVDPDATEAAEPLVDGLPDEVVHKITKQVEYYFSDINLATTEHLMRFITKDPEGYVPITVIAGFNKIKALVHNNSMLAAALRTSSKLVVSDDGKRVKRLQSFTVSDMQDLQSRIVVAENLPGDPCYQNLMKIFSTVGSVKTIRTCYPQSSNGNSPATNRSAKLDMLFANKLHAFVEYETLEDAEKAILELNDEKNWRNGLRVRLLNTCMTKGAGKGKKGVHEADGNGEEDVSTSNQSNEKQLEEPSQLSDMLPDHLFDDSSNDKEGPRRGRGRGRGGRGRGRGYHQHNNNHFNNQNYHNGQHHNHHANNHHHQGGNRGGAHHVGTPPNQQQTKPEQHPQLPIGATKQPPGPRMPDGTRGFTLGRGKPQPLLPVLCAAVEP >ORUFI12G07260.1 pep chromosome:OR_W1943:12:5642916:5647155:1 gene:ORUFI12G07260 transcript:ORUFI12G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALLSAPPPPPPMDEDASAGAHHAFPGPAPPPSPEGAPPPPRRRRPAVRVTSEFDSDARLFSHRLSCRVMDGLAKLRVRVHHGVGGAGAAAVEPPPPEVALLARNFSVAVDTVARSAMLRGAADLAGSLRLSAKHDTKEQKGEVAVRANLGDSPCKIELSSVVPPDGLPRTAFIFPNGEVSVKQKYLDEGDRILSVNGIVRSHVLNGVCTALYSDNEMNIKYRYKDEELSFIPSLSLPSNSLSFAFKRQFTPSDKLSYRYQFDTNYWSAIYKHKASKHVKWKAGYESDQRLGWASLWVGDAGGKTKEVPMKAKVQLTLKVPQDNVKNSAIMFNVKKRWDF >ORUFI12G07260.2 pep chromosome:OR_W1943:12:5642916:5648348:1 gene:ORUFI12G07260 transcript:ORUFI12G07260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALLSAPPPPPPMDEDASAGAHHAFPGPAPPPSPEGAPPPPRRRRPAVRVTSEFDSDARLFSHRLSCRVMDGLAKLRVRVHHGVGGAGAAAVEPPPPEVALLARNFSVAVDTVARSAMLRGAADLAGSLRLSAKHDTKEQKGEVAVRANLGDSPCKIELSSVVPPDGLPRTAFIFPNGEVSVKQKYLDEGDRILSVNGIVRSHVLNGVCTALYSDNEMNIKYRYKDEELSFIPSLSLPSNSLSFAFKRQFTPSDKLSYRYQFDTNYWSAIYKHKASKHVKWKAGYESDQRLGWASLWVGDAGGKTKEVPMKAKVQLTLKVPQDNVKNSAIMFNVKKRWDF >ORUFI12G07280.1 pep chromosome:OR_W1943:12:5677761:5678039:-1 gene:ORUFI12G07280 transcript:ORUFI12G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWWSKEEDVAHAQAAGRGDAGGGGCRGEDGSARTPTAKAQSVAGCGHATSGVARTAVVEPRRADDRDGGAKSRGRRRVDGESSG >ORUFI12G07280.2 pep chromosome:OR_W1943:12:5678049:5678486:-1 gene:ORUFI12G07280 transcript:ORUFI12G07280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTAGYGQDGAQVARRWPREVPRSSSDPPPATAEQDGAKACGSALHAMKGEPPGWHVHSDLHEQSFGARVGIVRAILDEIDGELVPSGIGGVIGVRYYREMEE >ORUFI12G07290.1 pep chromosome:OR_W1943:12:5682510:5685484:1 gene:ORUFI12G07290 transcript:ORUFI12G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVTNRRVILKRYVTGLPSEDDMEVVTAKTTLAVPAGSEAVMVKNLYVSCDPYMRGRMTHHEVPSYVPDYVPGEVITNCGVMKVVSSGHPDFKDGDLVWGVTGWEEYTLVNNPKPYLHKINYPEFPLSYYTGVLGIAGLTAYGGFFEVSKPKKGDYVFVSAASGAVGQIVGQLAKITGCYVVGSAGSDEKVKLLKTKFGFHDAFNYKKELDLEGALKRCFPDGIDIYFDNVGGAMLDAVLPNMRIGGKITICGMISQYNLERPDGVRNLFYLFAKSLRMEGFLVSNYIAIYHRYEKEMAGYLREGKVVYVEDIVEGLEAAPAALIGLFTGRNVGKQLVTIAWE >ORUFI12G07300.1 pep chromosome:OR_W1943:12:5707052:5717091:1 gene:ORUFI12G07300 transcript:ORUFI12G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRRRRARRRSMAAATVSNKRVILKRYVTGLLSEDDMEVVTVEAPPLDVPTGSKGVVVKNLYVSCDPYMRNRMTHHELPSYIPDFVPGEAGDLVWGMTGWEEYTVINNPESLFKINHPELPLSYYTGILGMPGLTAYAGFFDVPKPKKGEYVFISGASGAVGQVVGQLAKITGCYVIGSAGYDEKSKFGFDDAFNYKKEQDLEAALRRYFPEGIDIYYENVGGKMLDAVLPNMSLGGRIVACGMISQYNLEQPEGVRNLYYIVTKRLRMEGFHVFDYYDRYYRFEEEMAGYLKEEKVSYVEDVVEGLDTAPAALIRLFTGRSVGKQLVAVAREQAPAHQEN >ORUFI12G07300.2 pep chromosome:OR_W1943:12:5699850:5717091:1 gene:ORUFI12G07300 transcript:ORUFI12G07300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAVSNKRVILKRYLTACEIGQLGDEMEVVTAEAVPLSVPAGSFAVLVKNLYISCDPYLRNRMIRHEVPTYISDFVPGEVVTSHGVMKVISSGHLDFKAGDLVWGMTGWEEYTLINNPESLFKINYPEFPLSNYTGVLEMHGLTAYVGFFEMSKPKKGASGAVGQVVGQLAKITGCYVIGSAGYDEKSKFGFDDAFNYKKEQDLEAALRRYFPEGIDIYYENVGGKMLDAVLPNMSLGGRIVACGMISQYNLEQPEGVRNLYYIVTKRLRMEGFHVFDYYDRYYRFEEEMAGYLKEEKVSYVEDVVEGLDTAPAALIRLFTGRSVGKQLVAVAREQAPAHQEN >ORUFI12G07300.3 pep chromosome:OR_W1943:12:5699850:5707281:1 gene:ORUFI12G07300 transcript:ORUFI12G07300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAVSNKRVILKRYLTACEIGQLGDEMEVVTAEAVPLSVPAGSFAVLVKNLYISCDPYLRNRMIRHEVPTYISDFVPGEVVTSHGVMKVISSGHLDFKAGDLVWGMTGWEEYTLINNPESLFKINYPEFPLSNYTGVLEMHGLTAYVGFFEMSKPKKACSAIGQIVGQLAKIKGCYVVNLLKTKFGFDDAFNYKKEPDLEAALKRCFPEGIDIDFENVGGAMLDAVLPNMRLGGRITMCGMISQYHLERPEGVRNLMYIITKRLRMEGFVIFDSIAVYRQFEEEMAGYLREGKVTYVEDIVQGLDAAPAALIGIYNGLNVGKQLVSIAQA >ORUFI12G07300.4 pep chromosome:OR_W1943:12:5699850:5719374:1 gene:ORUFI12G07300 transcript:ORUFI12G07300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAVSNKRVILKRYLTACEIGQLGDEMEVVTAEAVPLSVPAGSFAVLVKNLYISCDPYLRNRMIRHEVPTYISDFVPGEVVTSHGVMKVISSGHLDFKAGDLVWGMTGWEEYTLINNPESLFKINYPEFPLSNYTGVLEMHGLTAYVGFFEMSKPKKAASGAVGQIVGQLAKIIGCYVVGSAGSDEKVSLLKTKFGFNDAFNYKKEPDLEAALRRYFPEGIDIYFENVGGETLDAVLPNMRLGGRIAACGMISQYNLERPEGVKNLFYIVTKRLRMEGFLVFDFYDRYYQFEDEMARYLKEGKVAYVEDVVEGLDAAPAALIKLFTGRNVGKQLVAIARE >ORUFI12G07300.5 pep chromosome:OR_W1943:12:5716956:5719374:1 gene:ORUFI12G07300 transcript:ORUFI12G07300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASNKRVILKRYVTGLLSEDDMEVVTTEVPPLAVVPAGSEAVVVKNLYVSCDPYMRNRMTRHEVPSYVSDFIPGEVLANFGVMRVISSGHPDFKAGDLVWGITGWEEYTVINNPESLFRINHPKLPLSYYTGMPGLTAYAGFFEVSKPKKGEYVFISAASGAVGQIVGQLAKIIGCYVVGSAGSDEKVSLLKTKFGFNDAFNYKKEPDLEAALRRYFPEGIDIYFENVGGETLDAVLPNMRLGGRIAACGMISQYNLERPEGVKNLFYIVTKRLRMEGFLVFDFYDRYYQFEDEMARYLKEGKVAYVEDVVEGLDAAPAALIKLFTGRNVGKQLVAIARE >ORUFI12G07310.1 pep chromosome:OR_W1943:12:5733597:5738086:1 gene:ORUFI12G07310 transcript:ORUFI12G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVVSNKRVILKRYVTGLVSEDDMEVVTVEAPPLAVPAGSKTVVVKNLYISCDPYMRNRMTYHEEPSYVPDFVLGEVLVNFGVMKVISSGHQDFKAGDLVWGITGWEEYTVINNPETLFKINHPELPLSYYTGILGMPGLTAYGGFFEVAKPKKGEYVFISSAFGAVGQIVGQLAKITGCYVVGSAGSDEKVNLLKTKFGFDDAFNYKKELDLEAALKRCFPDGIDIYFENVGGAMLDAVLPNMRVAGRIAACGMISQYNLEQPEGVYNMICIVTKRLRMQGFLVFDFYDMYYQIEEQIAGYLKEGKVAYTEDVVEGLDAAPAALVKLFTSSSIGKQLVAVARE >ORUFI12G07320.1 pep chromosome:OR_W1943:12:5746291:5748880:1 gene:ORUFI12G07320 transcript:ORUFI12G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYYEITSRGALIKGREFNFSNLYLYHIYNSSEPNQQQIIDNVSSTAMGGLTVNNWTVYDGVASDATLVARGQGLHTYAGNWHCSFTLGIFEETHDWAIVGGTGEFAMASGVIKKTVYERTPEGTIIELTIRGFCPDF >ORUFI12G07330.1 pep chromosome:OR_W1943:12:5763090:5764136:-1 gene:ORUFI12G07330 transcript:ORUFI12G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLLPPPMNSSPDPASVVAIFELELHASVRQRRWIGQGNHQEESQFAEQRGQMQWLSLH >ORUFI12G07340.1 pep chromosome:OR_W1943:12:5773847:5774398:1 gene:ORUFI12G07340 transcript:ORUFI12G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPKRGIGMVADVIFEFDMRIKNGEKEEDDLQLIDGIIEIDDNVVTMIGTPRAFRLSGDCGSVDMSMAIFDNAVEATVEVAISELHYGFDLSLSYVLSELEENREFQLFGGTIGESCGLRRFVVAVNLDTLMHLKFKVHKEGSNLVEHCCSFESNLHGCASQEIKLEEASILVKVTWSPLIA >ORUFI12G07350.1 pep chromosome:OR_W1943:12:5807635:5808153:-1 gene:ORUFI12G07350 transcript:ORUFI12G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTELRKVFKMFDKNGDGRITKKELGESFKNFGIFIPDDELDATMDKIDANGDGCVDVEEFGLLYRSILGDDAAGRAPRTAAAAIGGEGGAPDDEDEGMREAFNVFDQNGDGFITVDELRSVLSSLGLKHGRTADDCRRMISMVDADGDGRVDFKEFKQMMRGGGFAALGG >ORUFI12G07360.1 pep chromosome:OR_W1943:12:5811137:5815913:-1 gene:ORUFI12G07360 transcript:ORUFI12G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEDAAVPSPPPSLLSLCLEAVASHLTAGAGGVGQAGGRWGRDHFDGGEGGGDTMITPEEVAEALPWELLHRLASLLPPAALEALHHAAHDRCCFSAATAAVGFAGPDGDRRGIKRSRCEDFNPEWQALFGLRWPRCDNAGHDGLLTVDWQRQYWEKHLQECLRLQSVLCTAEISDLLQGSKLEKLMFVRIISDLEVNGVCMLLSCHAETLLSLEFIHCQLSPAVMDKICNSVLQKGSVNHGIQNFSIKSSRICESNTLNISAGLLDFLSMGKSLQWLSLNDTKMQPLFAKIIVHTLLGSSSGIRTLEISENNIAGWLKTMDKRFACFSSALESNISLNSLTLLNLRGNNLNKGDIEDLCKILVKMPNLRDLDISDNPIMDEGIRLLICFISRTLRKEKSLSRLRAENCDLTNIGVTELLECLSSVSEPLNLLSIADNHLGSSVAVALGKFLGSGVRELNIEDIGFGPLGFQILEEALPADVALSHINVSKNRGGIRAARFVSRLIKQAPGLVSVNAGSNLLPPESMEVICDVLKQKNTCNLERLDLMGNMHLSDAAFPAALEFRKHGKQILIVPSQPGACAPYDDDP >ORUFI12G07370.1 pep chromosome:OR_W1943:12:5819972:5820385:-1 gene:ORUFI12G07370 transcript:ORUFI12G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPQATVRRRSQAALSGGGAGGPRRRGSSGGLSGSQVATRRPPATARGPKAAARRPLATTRGSQAAARWLQATAQRPSAMARGSQAVVRRPSIAMWCSQAAARRLQAAARQPQAEIIDSKISEIILMPKFVNLILK >ORUFI12G07380.1 pep chromosome:OR_W1943:12:5855700:5866302:1 gene:ORUFI12G07380 transcript:ORUFI12G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAQPDQPVAAAAPGHVVLCFGASTAVAERQPEEGPAADSPVADAATGGAAAEPYARHDVDPPAAVAHVHALDHPAASIDAALPPRMPKHLYLAVFNGEKANVIEMLQLPNNGAPHGEEEEGQATDGASHSQPQTIDGAHHAEDQTVYDFPIAQVAINEEVGGAQNIHRDPHENKEGAQGQGHFVRNRVAIARREQYESRIDAVTAEGNTVLHIAASRGHAHAPGPDGTSQQEDLITVLYKARWHLLSSLNSEGETPLHRAARAGHVHAVQRIIAGVKENLEKLAENQLMDIIATRNCAGENALHLAAMHGHAQVVTTLLKYARDARLSSVLTEANNASALYLAVMSTSVATVKALLAHECNDTSAQGPKGQNALHAAAVLQNREMVNILLEKKPELASGVDDMKSTPLHFASSDGAYSIVHAILYPKSKSLFGDPAGQSLVAMQDSEGSTALHIAALMGHVNVVRLLIKASPDSADIRDKQGRTFLHIACADEGWQRPTVRYVVKNPMLHDLLNSQDKEGNTPLHLAANHGKFVDVYALISSGKVHPDIMNAEGETAFDIAKNTVSFFFMLHDPYTPATYNDQLGFSVEISTVMIMSNYKARFAPGRQDLVTKWTGKDMKNWRDSTSKNLIIVSALVATVAFAAIFNVPSTIGDNGKANLTRNVTYNAYIVLDTVAVLASVLATLLLVYGRTSESQRSWGYFTVSFHLLWISLLCLINAFLAALAAVETKRSFTRLIFYIVYYGTYSLILLLVTLGGPASSLPVLVKFVINIPRRSRLVISRHYPMIGSFVVNAIILSVINYIAIIVPLVIYSLWTFGTFGRGNQQS >ORUFI12G07390.1 pep chromosome:OR_W1943:12:5875355:5880111:-1 gene:ORUFI12G07390 transcript:ORUFI12G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLLQPVALGTTFAGRVSGQRWKSHGTRRPPSMLAMSLSRPVKMAAFVGLRSVHSFSVTPVTNFRSTVASYRSRRGRRARFVTRSMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGNGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVIRMIGETTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISTGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVRVPEPTVDETIEILRGLRERYEIHHKLRYTDDALISAAKLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELDKELKQITKDKNEAVRSQDFEKAGELRDREMELKAQITALIDKSKEMSKAETESGETGPLVNEADIQHIVSSWTGIPVEKVSSDESDKLLKMEETLHQRVIGQDEAVKAISRSIRRARVGLKNPNRPIASFIFAGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDSSYSRIKSLVVEEMKQYFRPEFLNRLDEMIVFRQLTKLEVKEIAEIMLKEVFDRLKAKDIDLQVTEKFKERIVDEGFNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNGQSGLPELSTPAVTV >ORUFI12G07400.1 pep chromosome:OR_W1943:12:5882647:5885627:-1 gene:ORUFI12G07400 transcript:ORUFI12G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGKQESRAAARKAGGRFSKNEPQIQAIFWMAKKLLQCRLLYKLLSKKTQFVIILGDCLKQFSYFSKFQEDKNVYSKEIATLLMVEEKVSEELTSETENPYAVSDFSKLSPTANPASPPECGRSEFEHNMTSLHNHVMAHLSLCSLNMKEVTTSTDREVPSAILNCPLKGVNKDANTELVIEWRLGMGNFPLVLSECGDSSCDSSVSEQSSVTSSPCTSFTAHSDTRSEDLDGVDIWVSSLDLDEEDSDLLQEKEQDLGFLSCDFPSPSFSAVRRSLQFGPSSSSPATSHGKQANDSEEPIFWPFEHASYYSPEFDKFLLVSPRRVTMDVGSAEFRRLNPIVQRLHKNKLSSARKNVGPHHGSVSLGAKGTKSSQDKVQNAAAVPSWLSRTTKTSAKTSSSKHQQLSNSEKRKPSHLKISPPRKDRFPQLQSGHTVQELEASDHRKLAVEKILIEQFIGLDEFDGHEGISSDSSDNQLSLFLSPR >ORUFI12G07410.1 pep chromosome:OR_W1943:12:5891726:5895530:1 gene:ORUFI12G07410 transcript:ORUFI12G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVSRPSGADKRRRCGSSTAPHTRGGRRVIGAANMRCLSTVSSVSDAARAVMSNEPATVLGNSGSSGNGGVMAAEEMLRRYEIGEELGRGEFGVTRRCRDAVTGERLACKSISKRKLRSSVDVEDVRREVAIMRSLPAHANVVRLREAFEDADAVHLVMEVCEGGELFDRIVARGHYTERAAAAVMRTIMDVVQHCHKNGVMHRDLKPENFLYANASENSPLKVIDFGLSVCFKPGARFNEIVGSPYYMAPEVLKRNYGQEIDIWSAGVILYILLCGVPPFWAETDEGIAQAIIRSHIDFQREPWPKVSDNAKDLVRRMLDPNPYTRLTAQQNEEHPWIQNASAAPNIPLGEAVRSRLKQFTVMNKFKKKALLVVAEYLPTEELDAIRELFNMLDTKKKGHLTLEELRKGLQVIGHNIHDTDVDMLMEAADIDGNGILDCKEFVTVSIHLKKIRSEEHLPKVFSFFDKNGSGYIEIEELKEALSPRGDQKSIDDIFLDVDIDKDGKISYEEFELMMSAGMDWRNASRQYSRAVYNTLSRKIFKEVSLKLDHSGPLVAAGK >ORUFI12G07420.1 pep chromosome:OR_W1943:12:5905287:5906081:-1 gene:ORUFI12G07420 transcript:ORUFI12G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGGCGGVGGGWAAMREPAAAVRRMLVTPAAVHDEGAHAVDTRDGSGRAGRWRRPCNKRGIRRRLSRTTTAVRQTRNMGVAEPEDGGSRSRRRVCRRRGRPSWTTVDAEDGGGRAAGGRTTDDGSRAAGAEDGGRTTDDGSRAAGADDGGRAEDEQDDGGCAADMESDGGRAAGAEEGGRAVGAEDGGRAVDDWQTRKTSGTTAALAGVGDSGDPDNGRGDEGGRRHAASAGTPSSLAVAGGPTISVCAWSRATKSRYNKLV >ORUFI12G07430.1 pep chromosome:OR_W1943:12:5907347:5908626:1 gene:ORUFI12G07430 transcript:ORUFI12G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTTSSSSSSSPSSSPPPPRRRHRHHRRAARRTHPVEAEAAEEVWRGAQWEAAWPRRTKPVVVAEDEPPSGGVIVGACTAGGGEDGGGGGGVGRARSLTDDDLEELKGCVDLGFGFSYDEIPELCGTLPALELCYSMSQRFLDDHPQSHHSPPPPPPPMPSPSIPNWKISSPGDSPDEVKARLKYWAQAVACTVRLCS >ORUFI12G07440.1 pep chromosome:OR_W1943:12:5914202:5914830:1 gene:ORUFI12G07440 transcript:ORUFI12G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLSSLTVTTFLCKTSATGQMNPRLGPRVKNRDSDQEGVLDSAPGKQLANVKARVARSSTPELHILSPCEVDDAPQVVEVDEDADFAPETKEWLDNLSITDIPLDQAAVDLGLAMHGCNASASLDDAGRSKDANMLDLAQISRTHRRTRPRSGILASFDRPASSREAEALHPCMASPRSTGT >ORUFI12G07450.1 pep chromosome:OR_W1943:12:5942210:5947408:1 gene:ORUFI12G07450 transcript:ORUFI12G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVQGLVDWRGRPVDPRRHGGLKAVMFIYVLIVMTNMGNIPTMLNIVSYLHGTMHMGIADASTTAANFYGAICVFSFLGAFISDSYIKRFYTILIFAPIEILGYMLLACQAHFPSLHPPPCDAAAGQCAAVSGRNLSLLRLGLYVIPLGEGALRVCGAALGGDQFDGGEVGGDDPAAAEARGKASFFNWFAFCISLGGLVGLVLVVWVQNNEGWDLGFALAALMALVAMAVVLAGLPFYRHRVPTGSPLTRILQVFVAAFRKRNVTMPESLVEMQECSDGSTIELLDKTPDFKFLDKAAVDDGDRRRWSACTVTQVEEAKIILRMLPVFLTSVLGYVPIPLLLTFTVQQGGAMDTRLAGTSVPPASLFVVPIVFQMLILVAYDRAAVPWLRRATGYAAGVTHLQRVGLGFASSAAALALAAAVESRRRRCLGVAAPAMSVFWLTPQFFLLGVMDVTSFVGLLEFFYSEASAGMKSIGGAVFFCILGVASWLGGALIQAVNRATAGGAGHGGWLDGADLDASHLDRFYWLLAVFELVAFFLYLYSAWRYTYRHHPRVQPSMEDAKVSATATTTTKKAEV >ORUFI12G07450.2 pep chromosome:OR_W1943:12:5942210:5943346:1 gene:ORUFI12G07450 transcript:ORUFI12G07450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVQGLVDWRGRPVDPRRHGGLKAVMFIYVLIVMTNMGNIPTMLNIVSYLHGTMHMGIADASTTAANFYGAICVFSFLGAFISDSYIKRFYTILIFAPIEILASILNHSYIFRII >ORUFI12G07460.1 pep chromosome:OR_W1943:12:5949144:5953517:-1 gene:ORUFI12G07460 transcript:ORUFI12G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGHAADKPTPPPPPRPVLLAGASGDAKLCRAAALSREEVIRRRRRGLLQLHSLYRAQLWALADELSARHAEYWWEHGSSPDAGNAVGGGAPPPPHPAVNGGGAAVEIAAARAGCSAANCGAKAMPFAAYCFDHILFDPKQLLYKPCAFVTNRSGMQNGVETCGKPVLTGITPSRCSDHDPKSQRLVIEALKKVGIDLHLTSNGVPKLNLLICETVRQIQRKRKMQLNGAKNAPFHRSSD >ORUFI12G07470.1 pep chromosome:OR_W1943:12:5954981:5955289:-1 gene:ORUFI12G07470 transcript:ORUFI12G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALCGASASLDFANSAWLLHVPLAPVVSTPDSDHQLPDVQRAASKAIAEGVRTATATATSGDAASTAPPWLHRHPFCVSQTMQIHLCFSTRLLDVNVKAYQ >ORUFI12G07480.1 pep chromosome:OR_W1943:12:5959430:5965107:-1 gene:ORUFI12G07480 transcript:ORUFI12G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGGNGAADGGGEHLELDFFPSPLLDNFDYGGASTSSSAAPAPAPPQEDYCSGCQVLREVVHSNGLEITKLCIHGGVASGEFYHAILDVYRVSASAPAPALAHHSIVKGRGYDWVKQYLTEYALRRAGGGFAVVQDSLSAFHDALCTTMAPCSSHVGDDDAHRRASSSAAAAAEERTNGNGDHGQLVVHNAAVLPMLESSRCLVAADQAATTNNNGSGDRRLVVLDTTAIQPPASGCILHMAFPSKSYQSSRPTTLPSRYQECTCNPVAYEMDGVRSSLAPICWPELLGYNVTNREKTKQLQLSDIAPYFELPIAKAAKKLDICATALKGICRKHGVLRWPYRKVRSIDRQIATLRRSGNGDATRNEIETLIASRRRIVAGLDQ >ORUFI12G07490.1 pep chromosome:OR_W1943:12:5970605:5978918:-1 gene:ORUFI12G07490 transcript:ORUFI12G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVPDILYEADDEDSMASDSMDEASEEELVVLDMHVVVDSMDVMAGKEVHVVADSMDDEVGEEVLLLVADSMDDAAGEEVHVVADSMTTSEEVLLVVADSMNDEAGEEALLVVADSMDDAAGEEMHVVADSMDDAAGEEVVMVADSMDDVAGEEVVVVADSMDDEAAEVVEVEQNKKSAKNM >ORUFI12G07500.1 pep chromosome:OR_W1943:12:6012536:6015053:1 gene:ORUFI12G07500 transcript:ORUFI12G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSRDPLVVGSIVGDVVDHFGASALLRLFYNHREMTSGSELRPSQVAGEPAVQITGGRDGRALYTLVMVDPDAPSPSNPSKREYLHWLVTDIPEGGDTSKGTEVVAYESPRPTAGIHRLVFIVFRQTVRQSIYAPGWRSNFNTRDFAACYSLGSPVAAAYFNCQREGGCGGRRYRS >ORUFI12G07510.1 pep chromosome:OR_W1943:12:6023066:6024126:1 gene:ORUFI12G07510 transcript:ORUFI12G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLRALSASRQTCARHWRASYSIMTRTKAATSAALYMPYYAPTSNPAVLADWTNYAASTCVMQLLPCAIVAPATYPVVTLVAHTIEHWMCAKHRPPHEFRHTLKEHDSVALLEYNAPEEDEIPKNAKVYLILSRGLEKNNGTRTLAP >ORUFI12G07520.1 pep chromosome:OR_W1943:12:6039162:6039350:-1 gene:ORUFI12G07520 transcript:ORUFI12G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNGTMAVDSGGWRRLRQRRGFNPRCRTPGNILTNKEVFTWAKRNNRRLLHVGDIDKTSK >ORUFI12G07530.1 pep chromosome:OR_W1943:12:6041899:6042403:1 gene:ORUFI12G07530 transcript:ORUFI12G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMVAGLLDDALGAPESVEAAPEERDGNCGAVEMVEAADGGDGGLIWIDQLGGMLGALSNAEMATRARVVLAGDGGRAGEVGDVGGGYPVRLCLSFNGALGAPVIATMTWTRASMADEAKVSGRKARPQCDLVMS >ORUFI12G07540.1 pep chromosome:OR_W1943:12:6047752:6056859:-1 gene:ORUFI12G07540 transcript:ORUFI12G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPPVPLPNPAGSAAAAVLPRPAWNTNRNLVVTHPLLSLLESCASFRRLLQLHALLTVTGLAAHRFPASRLLAFCALSTPPRLAHAAAILARASPGPNAYMLGTMMRGFLRARLPARALGLFRRVVRDRLPADARTFVFAVKAAAAAAESEHGGTPSGGEAIHCAALKCGFVGESVLVGNALVHFYANHKSLDDAGKMFDEMPERDVVSWTTLVDGYARAGLADEAWRLFCRMVVVGGMRPNAVTLVAAVSAIGQMGLLAFGIMLHKYVTEGGVARSVNLDNALVDMFGKCGCVRYAREVFDGMEVKDVYSWTSMVNAYAKCGDLESAEQLFEDMPRRNVVSWSCMIAAYSQLNQPEEAVWLFREMIAAGVDPIDATLVSVLSACAQLGCLDLGRWIYENYIVSNKIGLTVNLGNALIDMFAKCGDVGEASKLFDEMAERNVVSWNTMIMAHAVHGQSEEAIRLFEQLKGENIVPDQITFLGLLASCSHSGLVSEGRLYFKEMEMFYRIEPRVEHYACMIDLLGKVGLLEEAFEVARGMPMEADEAGWGALLNACRMHGNVEIGACVADKLVELDPSDSGIYVLMSQIYASKNKWDQVKMLRMTMRDRGVKKNPGCSSIEVEGKFHDFLVADVSHACSEEIYSALKNIYFHLKQEDMSWQGTIGNLIEPRSTVMACVPPAAAPKSSAPPFLNGEDESKDDTFTAQLIASVSLKPAEQRRAVLLRGAVYSDPKPWRCCTRRPLLQAATVSSGLTASSLRCGMAGGGGANGCTNLQLGQDGEDLQSMTRRWQLTQGTATMLPPGPELTMVPPFFVSSATTATGVAAAPVLPPSIMVGTTTTVGWVVEMLSSRFTLCSLGLIQLFFKVFLFRSRHCSQSRGRGSLSWSSRLACDTGSSQVKCFLFFLELPSDSDDELLVLLVVEETKPGAGHVGPSESSGCSSILFTLRLIMNQEGILETGSKKEAGDSRVDGRFY >ORUFI12G07550.1 pep chromosome:OR_W1943:12:6051078:6052687:1 gene:ORUFI12G07550 transcript:ORUFI12G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEFLRVEKYLSVKRMELQPELSLGPTWPAPGFVSSTTKSTKSSSSESDGSSRKKRKHFTWEEPVSHANLELQLNDPLPLDWEQCLDLQSGRMYYLNRKTLKKSWIRPKEQSVNLELNISTTQPTVVVVPTIIDGGSTGAAATPVAVVAEETKKGGTIVSSGPGGNMVAVPCVNCHLLVMLCKSSPSCPNCKFVQPLAPPPPAMPHRKLDAVKPLETLSLLH >ORUFI12G07560.1 pep chromosome:OR_W1943:12:6058496:6063903:1 gene:ORUFI12G07560 transcript:ORUFI12G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVSSGAPVQERRLGVLLSHLRPCAPPAARRGNHHHHHHHDLRVREAEGTGGLAASPCAADGSGETSGGQRCVFCEIVKGNKPAYKLYEDDVCLCILDTKPLSTGHSLIIPKRHFPSLQATPPSVIAAICCKLPLLSSAIVKATQCDAFNVLVNNGKVAGQVIFHTHVHLIPRRKGDNLWSSETYERNSIKHNQETKDLVSGIKELLFPPQDDSAEGSTIPKEL >ORUFI12G07560.2 pep chromosome:OR_W1943:12:6058496:6063410:1 gene:ORUFI12G07560 transcript:ORUFI12G07560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVSSGAPVQERRLGVLLSHLRPCAPPAARRGNHHHHHHHDLRVREAEGTGGLAASPCAADGSGETSGGQRCVFCEIVKGNKPAYKLYEDDVCLCILDTKPLSTGHSLIIPKRHFPSLQATPPSVIAAICCKLPLLSSAIVKATQCDAFNVLVNNGKVAGQVIFHTHVHLIPRRKGDNLWSSETYERNSIKHNQETKDLVSGIKELLFPPQDDSAEGSTIPKEL >ORUFI12G07570.1 pep chromosome:OR_W1943:12:6071528:6076465:1 gene:ORUFI12G07570 transcript:ORUFI12G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRGVRARLGDGGGQTGTGLVGPALAQTHDAAVRFLGGRGADSSPPPSPPLSLAPPAAGDPPAGARSPDLAASAASNRSPQLPLPLFLSRQSPTPLCCTGLGVGWSGRSIQDSSDENKYLRSPPIILQKVVEAWRMPRREGGRSRSAAYLVLFASCLLAVAAASHQEFHEAAGSRTLLMSHEHTNQVHCSRERSRAAWKAIDEYLMPFVEKEKYELPSKCRLHPGNDMFREQEQHKIHFDINEWRCGFCKKAFRAEKFLDQHFHNRHNNLVDNSQGRCLADLCGALHCDLMLEFKKPKSKCSATAAARNRHLCEIVAFLLIKGNLPAVFMKQTNRFYLALCALTIGNEERRSKFKTNFESCPKEKTILGT >ORUFI12G07570.2 pep chromosome:OR_W1943:12:6071528:6076465:1 gene:ORUFI12G07570 transcript:ORUFI12G07570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRGVRARLGDGGGQTGTGLVGPALAQTHDAAVRFLGGRGADSSPPPSPPLSLAPPAAGDPPAGARSPDLAASAASNRSPQLPLPLFLSRQSPTPLCCTGLGVGWSGRSIQDSSEMPRREGGRSRSAAYLVLFASCLLAVAAASHQEFHEAAGSRTLLMSHEHTNQVHCSRERSRAAWKAIDEYLMPFVEKEKYELPSKCRLHPGNDMFREQEQHKIHFDINEWRCGFCKKAFRAEKFLDQHFHNRHNNLVDNSQGRCLADLCGALHCDLMLEFKKPKSKCSATAAARNRHLCEIVAFLLIKGNLPAVFMKQTNRFYLALCALTIGNEERRSKFKTNFESCPKEKTILGT >ORUFI12G07580.1 pep chromosome:OR_W1943:12:6079488:6092969:1 gene:ORUFI12G07580 transcript:ORUFI12G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGERWATSSRPRATVAGGGMHGRRRAFGGGGGGRGSVPRFGRPAAKSEAPTLNLADGGATTASAAEPDNREAVAFACHLARNDGAIRLTVIPTTSVVDQIGIYDNDGGGGEEEVLSIVVHDDDPDNSRGLVPGDNLTAQQEYEVTSSLLSRRGAGEDPQPPPHATSTSAAAAAPCDAYLVLRSSSLYVSVISISNLLNVTAAAVTESNTVNLVAADPLVLAPVVGDLLPTRWLAYPRGEKKFGRWPGPSQPIFMPVVTSHREEEKKKEKKKRGGDSSNPRLEQELPTPGEISGCEARSGGGRWSAVAAAGMAGEDEALYEIRRLASGSHEILREGEITGTSGAAGGVLSYLSLQGVSKLKERWTRNSALGWSKKRSRINAALLFVSRNADYVGVAVGNRITVLRKGDGYASPCGVYTNNDRMAFFTNGAWLEAQGILGVVDDLNTLHLIKENGEALTRRTSNQLKLSYPIVNIVVHDGSSSERPGFYIFTSDGMVHKFDYMQDHEANLQKVAILIQDAVSAKTPQLPHSVSCVDYHQDHSLVVLIGNPNAFLSSNGSSGACFLYVLHFNGNLEFSLSFPSLQLEGTFFPPKDQATFASSAKVRISPQSKHIATLDLNGSVNIFVLANDKRSASLHPPRNGTQLSDVKDISWWTDNILMVVKEKGSINMYSISGNRVVSEDGHVLSTPQLEKARAVEGYTFILQSSRYEGNTTFEEVDSNSMPNLQNVSRNNQRSEMDKIIWSLISFSKITVPEKYSILIRGNRYKEALDFACKHNLDKDEVLKAQWLSSDGDVHDIDTYLANIKDQVFVLSECLNKVGPTEIALKALLSFGLRITDRFKFSKLDNSIDTSAWDSRIIRLRLLRYNDLLETFLGINMGRFSAVEYRKFRLMPLVETAVALAESGKIGALNLLFKRHPYTISFDILHILSAIPETVSVQSYSQLLPGKSPPSVVILRDGDWVECEQMASFINTCSDQLEKNGEFKTELLLKHSAGFSWPSIAKLCEWYKSRARDIDCLSGQLENCLAMIELGCQKGIVELEPFFDDIKCLYEVVYSDELSEFIMNLAMWEDLPNYEKFKIILKGAKEGTVVQRLEEKAIPFMKKRSHLIFLSNEEKHRESYLIRWLKEVASQNELSICLAVFENGCGDSPIHGLFKDIAEMIETAVHCIYLCSATNQWNTMSSILSKLHHKMKREKSMLASEEDYNFKDAKQALGTCVVSFDDMQYVCTRILSGLSSPGDSYSHDSINYQLDNIKSLDMLEKKLKVAEGHVEVGRLFAYYQVPKPIHFFLSTHLDEKNAKQIIRLLLSKFGRRQPVRSDNEWANMWRDLKHFQEKAFPFLDSEFMLVEFIRGLLKAGKFSLARNYLGGTSAVSLSIEKAENLVVQAAREYFFSASTLSCNEIWKARECLNLLPNSISVQAETDIIDALTVRLPYLGVTILPVQFRQIKDPMEIIRMVITSQTGAYLHFEEITDVAKLLGLKNEEEIAAVEEAIAREAVVNGDLQLAFDICLTLTKKGHGAVWDLCAAIARGPQLDNLDTSTRGKLLGFSLSHCDEESVGELLNAWKELDVHDKFEQLMISTGTNPPNFSTDGSSITPLPVQSVQDILDLREDISDDRGIDHVGIVKQMLSKVCTDLSNEDAYRRESSLAESRKLFSFSALELPWLLKLSNDEEHDGKKQSLKTDHPIRRYQFSTKVKAINCIIHWLAVSGFSPNDDLVMSLAKSVIEPPVDEEDYVLGCSILLNLMDPFNGVKIIEEELKKRECFQEISSIMNLGMTYSSLNSLKKECSTPEQRRNLLLEKFHEKFTSVESDELDQIDEANATFWREWKAKLEEERRLADQAMMLKQVLPDVDTSRFLSGDVNYIKNVLFSFIDSVKLEKKHILKEAVKIAETYGLRRTEVLLRFLGCALLSESWDNNDILSEISEFRDDIVNSAKGVIDMIHSDVYPEINGYNKQRLSYIYDILSACHSYLKRSSEIELTYPEHVHTHKFEPFQYYKVLAEECKKVSFIDGLNYKNIAGLDNLNFEHFNEEVCKNIHASTVRALADMVQALVSMYVDVLAKGLISRQGVYKHYVLGLLASLEGRTEARSDNIDSEKLQAVLSEIELNYDSCKEYIQVLPATDISCIIIRYCMLCFPCDLTRNHPQEPSWKKPLDVLVMLWIKLIDDIPVESMDACPYGRAEYLDSNRLSHCMRVFRQLLVDDKITVCQGWDAISMYVKIGLGDEIPMEISYFCRSMILSGCAFESVAQVYHGGQEQLENESVDPSNPLDLLELYSATLDDCLSDLIKSPSESQILLHKLLSSLSRSTEKHAGTLEMIRSGVWGKLISFSENMQLDSQLRVYALQLMQCITGRNLKSLPNELVSQVEPWELWYEPGTGSSVADDNNSPSSSITGTLVALRSTQMITTVLPNANITPNNLGTLDSAVSCFLHLSESASSVETIAVMEAVLEEWEQLFSSKEEYVPPQESPKETNDWSDDWDDGWEALPEELESPTKKHGRTSLSVDPLHTCWMEIIRKLVELGEPHKVIELLDRASSRNSMLIEDDEANRLLELISAMEPLMALKIMLLLPYETTRLWCLQMVEAKMREGTVSTSSNADDHELLALVLSSGVLQRIVTEVEYSKLFSHICHLVGHLARSSQNDLLVKWNDEANAPGTSKTNKSLLFARVLFPSFISELVLRGQYLLAGFVISRWMHTHPSLGLMDVAEASVRRYLNGQIVQAQQLGGTDVFLTDNELSVSHALSTLRSNLVSLVQAALATLPNQDL >ORUFI12G07590.1 pep chromosome:OR_W1943:12:6095976:6096707:1 gene:ORUFI12G07590 transcript:ORUFI12G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLAASLLIALVVSGSNVAATPSPSAVVGNGDIASTAQEMQRARYFTFVMLIRMVQEKIPHNTTFLMPNDRMLSTATIPESQVMEFLSRHSIPAPLMFDDLIKLPNATIVPTAHSSQTITITNVKHQKIYFNNIELISPDVCRVGDLFRCHGINGVIRPIVPRGKGSACPGHLAPATAAPGPASGANRSLETSSLTSPNMSSATSPSLQPAAESPQSSDTSTSQIASSYTTLILVLVFSIF >ORUFI12G07600.1 pep chromosome:OR_W1943:12:6096111:6103769:-1 gene:ORUFI12G07600 transcript:ORUFI12G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGAQPMIPPYGTPPPPYVMYPPGVYAHPSMPPGAHPFTPYAMASPNGNADPTGTTTTAAAAAAGETDGKSSEGKEKSPIKRSKGSLGSLNMITGKNSTEHGKTSGASANGAISQSGESGSESSSEGSEANSQNDSHHKESGQEQDGEVRSSQNGVSRSPSQAKLNQTMAIMPMTSSGPVPAPTTNLNIGMDYWANTASSTPAIHGKATPTAAPGSMVPGEQWVQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRAEVLKQENTSLRDEVNRIRKEYDELLSKNSSLKEKLEDKQHKTDEAGVDNKLQHSGCRDGLVAELMLGEVSEDVSKDRFAPEAGPGAAVAGASLMRSSNMSGAGMECLDRNSITWLSGMVAVDSILSLGIRKVVLCGIFSCTILMSMTKVK >ORUFI12G07600.2 pep chromosome:OR_W1943:12:6096111:6103769:-1 gene:ORUFI12G07600 transcript:ORUFI12G07600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGAQPMIPPYGTPPPPYVMYPPGVYAHPSMPPGAHPFTPYAMASPNGNADPTGTTTTAAAAAAGETDGKSSEGKEKSPIKRSKGSLGSLNMITGKNSTEHGKTSGASANGAISQSGESGSESSSEGSEANSQNDSHHKESGQEQDGEVRSSQNGVSRSPSQAKLNQTMAIMPMTSSGPVPAPTTNLNIGMDYWANTASSTPAIHGKATPTAAPGSMVPGEQWVQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRAEVLKQENTSLRDEVNRIRKEYDELLSKNSSLKEKLEDKQHKTDEAGVDNKLQHSGMDLWQNSCWERLAKTSPRTGLPLKLVQVLQLLEQGGQGKLTLFLLIFWCFTLVIVIVWLEWAVGTIVALGSLMRSSNMSGAGMECLDRNSITWLSGMVAVDSILSLGIRKVVLCGIFSCTILMSMTKVK >ORUFI12G07610.1 pep chromosome:OR_W1943:12:6110097:6110450:1 gene:ORUFI12G07610 transcript:ORUFI12G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVSGQPDTSASQPISPRRIWRRTDRGGKHVSQRATDAGPRLGRVSLQAMASLSTSLLLPGSSYWIWQPLSRIWQSEAEWARKGRRWQPGGAEIEERKRRQWRRGDDGCRWARMRR >ORUFI12G07620.1 pep chromosome:OR_W1943:12:6129321:6129700:-1 gene:ORUFI12G07620 transcript:ORUFI12G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCLGTSTPQALALRSDLATSAEPQTCNPSGRLRAETLAPAKMADLSAQSLALRSHLAASAEAQAIQPSGWLRARTSAPVHVALFLGTSAPQALVLRSHLATSAEAQVLQPSGWLRVGPQR >ORUFI12G07630.1 pep chromosome:OR_W1943:12:6129783:6130760:-1 gene:ORUFI12G07630 transcript:ORUFI12G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRNYAYNLEKPKPVPKGKKGKAKMPVKWIYKEMTEFYKGMIQTWREHQKEHEERQRQRAAKEKAERERREELDLREFARLNREKEEGAKDQARKLDLSTSKNGALPRDLSASKNGALPRDLGAISTSD >ORUFI12G07640.1 pep chromosome:OR_W1943:12:6139984:6148983:-1 gene:ORUFI12G07640 transcript:ORUFI12G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEQDLCGINVSERGKMNLELELLMKQCRNMAEHYHELEKDLKLHDVLLLLQILPAVRQDLGWIRLYINNAADQDNTQLLHQQWNAQLAINPPAKDLQHILFLTYLPRGPGNQQKVLRETFNNHKWELKEKIKSNNTSHVDIRKLLYAKKNKSQKDDVDATFKLNMIELSLSEDPNSLPCIALCLVYQSNKSPMLYLIQSLVHRLQIQVRPSAQIEQKKIVKNSTLIINWHTLSDADNGKYSEDEDDYKRSHKYLMKGKYKVVDEHSYNNIQSAITLRDTIVRRLDTWLQQHQDIASTIEALLPFQNDLDDFILEKMNDMLSVVHRMLVKRKGTLKGSQVFEENKRACLRQEPAIESSQIKDFSNLDIFTTTKHQILNTSQVSQNEAVITQQSQRYPQASSIPINEAQQGEALQCLEQAWLEEVIKFPEFKELLKSFSKYDDQENDFPSEMRINELPSSPSVMAAYNSQDEILDPEVPPLLGSFAVKLTETEPLDSYNDLKGHFEDIENWEAS >ORUFI12G07650.1 pep chromosome:OR_W1943:12:6150345:6153510:-1 gene:ORUFI12G07650 transcript:ORUFI12G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPEGGLTAQRTPWDSKNGRIRSPGSKRRIPSSPRHPRRRRRKQRKCSYNREKEILQMKKKLAVFHLAVSMDDNKVKTIGKKGEHGSEASKERKRNRGSRNGRGQLGGIDEDTVKHSCSQTVTGNYGRHCRTTVGCPVRTDKPSQACSQRMDGLLFFPAHHTLVEWTMSTKPMAIFTRAKEISHCSNTSVLGKQKQTPWMNLTTLQFHHLLPDDGRASGGRGSVAAPMQRERPMADPAATATATAEVAGDNGDSGGQLGTAFDKEARGREPAAPVRGRATTGSVRRLLGATSGGGGSARPMATAGSGRRRLRATSGDGRAPQPPTRRRPGAAPSRSPAVRRRRGGGGGGGGHRDAREAAH >ORUFI12G07660.1 pep chromosome:OR_W1943:12:6170130:6175020:1 gene:ORUFI12G07660 transcript:ORUFI12G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLRGARRRSSGLHLVADRSRPLPLEPPR >ORUFI12G07670.1 pep chromosome:OR_W1943:12:6180168:6188541:1 gene:ORUFI12G07670 transcript:ORUFI12G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLPTAILLLLLLLALPPPSAVVALTADGLALLALKAAVTDDPLSVLSSWSESDPDPCRWPGVTCSNVTAAGGEPRRRVVGVALAGKNLSGYIPSELGSLLFLRRLNLHDNRLAGGVPAALSNASSLHSLFLYGNRLTGALPAALCDIPRLQNLDVSRNALSGGLPGDLRNCRSLQRLILAGNSFSGEVPAGIWAEMASLQQLDISSNGFNGSIPADLGELPRLAGTLNLSHNRFSGVVPPELGRLPATVTLDLRFNNLSGAIPQTGSLASQGPTSFLNNPGLCGFPLQVPCRAVPPPTQSPPAPTTTTTTTTPSSASAAAAAASEHHQPIRTSLIALISIADAAGVALVGVILVYVYWKVKDRKKQGRSSTVAAGDENESRHGLCRCIWGHRGVDSDTDTDDSSASENGGGGGKYGEGELVAIDRGFRVELDELLRSSAYVLGKGGKGIVYKVVVGNGATPVAVRRLGGGGGGGERCKEFAAEARAVGRARHPNVVRLRAYYWSADEKLVVTDFVGNGNLAAAMRGRPGQTPLSWSTRLKIARGAARGLAYLHECSPRRFVHGEVKPSNILLDADFTPRVADFGLARLLAVAGCADDVVDAPPAAPSSGGLLGGAIPYAAKPASSVAADHRGGGYRAPEARTAGARPAQKWDVFSFGVVLMELLTGRGPGDHASPSTSASFSAPSSSSAATTDRSGSGEHGHGAGVGGAVVPEVVRWVRRGFEEEARPVSEMVDPALLRDAPPLPKKEVVAAFHLALACTELDADLRPRMKAVADTLDKIASS >ORUFI12G07680.1 pep chromosome:OR_W1943:12:6191346:6195597:1 gene:ORUFI12G07680 transcript:ORUFI12G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHALGCGAATAPAGVGLLHHHEKAGALFSAAGSIVRVQQLSGKGSKSQAIRCAMPSASEHGGISTATASSSDQKKGGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGDIELEGLEKKAKASGASQLVVKDLKEEFVSEYIYPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFYALNPELKVVAPWREWDITGREDAIEYAKKHNVPIPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMYMMSVAPENAPSKPEYLEIGIIAGVPVSINGKDLSPASLLAKLNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTIMAAAVRELESLTLDRETMQWKDMLALKYAELVYAGRWFDPLRQSIDAFMENITATTTGSVTLKLYKGSVNVASRKSPYSLYREDISSFENGEIYNQADAEGFIRLYGLPTRVRAMLEKGI >ORUFI12G07690.1 pep chromosome:OR_W1943:12:6202018:6206727:-1 gene:ORUFI12G07690 transcript:ORUFI12G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRTKTRKDASFHVDFNIFIQEISPWPPSESLKSLKSVVLFWENGERNSGKTNAVAPSIGSGTTVGKVEFNEFINLQAVFQKEGSSKSGKWQKNLLELNLYEPRRDKLKGQHLGTATLDLAEHAMFHEDTSVPVPLNSKRSLRNNVQPMVYLRIQPLDGDNSSVSSRDALSKEASVDRDSKELMSEYTEDTEFASFTDDEEEEAPYPYRSDGNLRAGSNRSQESLKGKDVRTVGNEGSRSPFDSQREMPSSSTKVRSEEVEKYPIQVQKANGHPGNLSLLSDLPGEQTPSFPAQNALRAGRKMSFAYGMSDSNQRNFGERTYSTLTSDRAKNMRYSMRVPDFSGSVINKKVDSQKEEVKEVDSQDIAVSNDTRTDTYDGAQAQVPIRISNNRNDTKVRELELRVELLEAELRETAAAEIGLYSVIAEHGSSSNKVHTPARRLSRHFIHALKNWSRDKMGSAARSASSGLVLVAKACGYDVARLSFWLSNCVVLRAIVSETSKQSNSNAINNGSKTGPRRNSASMWESLNRKKGKLLSPEFDNWEDVDTFIAALKKIESWIFSRIVESIWWQAFTPHMQSANISSEPMPSSNAKKCYGRITVVGNQQQATLSIDIWKKAFKEASEKLCPVMEQCIARLDVAMFNAILRESDDEIPTDPMSDPITDPKVLPVPSGKFSFGAGVQLKNAIGSWSRCLSDSFGMDMDDYPEVESGDGDNGAAELRKPFYLLNALSDLLMIPKDVLMETSTRKEACTLILFNKCTLFPSELVKFSFLLCPTFSSSIIKRILDGFVPDDFCPDPVQHSLLEALESEDHLEGNTKGIRAVPCSASPILYPYPASGAILSMIGDPRKSGSAILRKSNTSDDELDELSSPLTFISKASSNPLAKLKQIGNPNSARYRLLHEVWKLDDQ >ORUFI12G07700.1 pep chromosome:OR_W1943:12:6216051:6218442:-1 gene:ORUFI12G07700 transcript:ORUFI12G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLPLSFSFTQKGIEFPKFLQTAVVVGLSVAAGHRSSYPRATRLFQSRSSLRRRRKGKNSMVLTSSCSRSICSQALPVVFLSYFVFGSFVCRECVWPVGP >ORUFI12G07710.1 pep chromosome:OR_W1943:12:6222061:6223506:-1 gene:ORUFI12G07710 transcript:ORUFI12G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVDFEEGWRLLATSLAKQRSIIDGSMSKSSSEDDNMQLYMYVLPSMRQINGETLLKGLVDRWRNHKKIVISETRFFFYLDRYYILRKSLVPLEQLNLCSFRDQVYSELKDKITRTVVDMINDERDGKVIDRDLLKDVLDVYVQIGLGMECYEVDFENAFRESTRNYYSNKAQTSILECNGADSPEYMLKAVECLQAELERVSHYLHSSTEPKLMQDLQSELMITPVETHTEEAD >ORUFI12G07720.1 pep chromosome:OR_W1943:12:6236613:6240777:1 gene:ORUFI12G07720 transcript:ORUFI12G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLEDVPSMELMTELLRRMKCSSKPDKRVILVGPPGCGKGTQSPLIKDEFCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKTSCQKGFILDGFPRTVVQAQKLDEMLAKQGTKIDKVLNFAIDDAILEERITGRWIHPSSGRSYHTKFAPPKTPGLDDVTGEPLIQRKDDTAAVLKSRLEAFHVQTKPVIDYYTKKGIVANLHAEKPPKEVTVEVQKALS >ORUFI12G07720.2 pep chromosome:OR_W1943:12:6236438:6240777:1 gene:ORUFI12G07720 transcript:ORUFI12G07720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLEDVPSMELMTELLRRMKCSSKPDKRVILVGPPGCGKGTQSPLIKDEFCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKTSCQKGFILDGFPRTVVQAQKLDEMLAKQGTKIDKVLNFAIDDAILEERITGRWIHPSSGRSYHTKFAPPKTPGLDDVTGEPLIQRKDDTAAVLKSRLEAFHVQTKPVIDYYTKKGIVANLHAEKPPKEVTVEVQKALS >ORUFI12G07730.1 pep chromosome:OR_W1943:12:6244816:6249864:-1 gene:ORUFI12G07730 transcript:ORUFI12G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVAVAPVVSDLVDFLNASPTAFHAVDEAKRRLKAAGFSQLSEREEWAGLQPGRKYFFTRNHSTIVAFAIGAKYAAGNGFHIIGAHTDSPSLKLKPVSKVTKGGYLEVGVQTYGGGLWYTWFDRDLTVAGRVIVREKKDGAVSYAHKLVRVQEPVMRIPTLAIHLDRNISSEGLKINNQNHLVPVLATSVKNEMQKLVAENGSESSESKNTKHHPLLLQLIAKEANCKPDEICDFELQLCDTQPSTVAGAMKEFIFSGRLDNLCMSFCSLKALIESTSSEESLAHESGVRMVALFDHEEVGSDSAQGAGSPAMLDALSRITGSFNSSNSRLLEKAIQRSFLVSADMAHALHPNYMDKHEENHQPKLHGGLVIKHNANQRYATNAVTAFIFREIAERHHLPIQDFVVRNDMGCGSTIGPILASGVGIRTVDIGAPQLSMHSIREMCAVDDIKHSYEHFKAYFEEFTELDSKVKVDC >ORUFI12G07740.1 pep chromosome:OR_W1943:12:6256914:6258537:1 gene:ORUFI12G07740 transcript:ORUFI12G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREASSPPPPPPPPAVVHGAGSSLSGQKRKAAMDDGSGEDDNVPPWLKLSLGPVAYGVATGVVDDDSSSCAPAVTTSIEVRPPVATGVVSGSAAQPSIEHVPADDAVVTPSFVASAAGVLFTGCASGLIPNGAVSVFPCFNFLGPSMSSSILSHLHQQFSSTRRQSNASMARSSRTCGGDDDMALSNIAAPNVTNGGGNNNNDGNALPDPPYPWATNEPAKHHSLAELARRDITTIQGDARCRRCDARKARWMNPVVPNCDDCGHEKCLRPVIAAEKERINWLFLLLGETLGLCTLDQLKYFCAHTNRHRTGAKDRVLFSTYEELCNQLVPGLITRRDQLRMR >ORUFI12G07750.1 pep chromosome:OR_W1943:12:6282118:6299117:1 gene:ORUFI12G07750 transcript:ORUFI12G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMCHSCESEDATMDGVDDGLICSTSCYNMCGHADKSSIIDGEIGCPSMEISPCNTPYGTPLFSRESSCSSFASCFSSLDDYLVETDSEEEIEVLDTGQLHPGILFSDESMEQRTVGSVQVEECQVSHAAVVDDDSSISIPTNQNISSGQQQLEIHLDATNENSVPSNVIIDANVTDPHQDVISNGGLIEACYGVPLDDIDLKQSNILDGEEITSLPMADNEMTPLNDQIMDQIDDMKEISTIVYNNTISAEQHANSGSEFEKGNESSENLYPPANPHIWLPPDPVNKDDDTDIVANNDDNSDNNDSWVQSSFNISFDAKRNKTSCEDQLQKAMSEVMNGQFKILVSRFLAAEGLSLSDGEADKNWLDIVASLSWHAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVITKCKPDAILVEKAVSRNGNNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFQKPLGCTILLKGSTSEELKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDPQLLVPCTAAPSSKFCSDIAQNSDPTQHALNILASDGQYVNQDDFVNPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDMSLDFAKLASCDDFAGSTSGAPSNNGVLQTNGADGKDCLETISDGISTGTRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEKHNCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKKECREVEFNNVLRKWRLLLSEAENKIQILKSGGSGQALGENTKVSVHEELFLEVNRILAQEKYEFGVYPKTFDFLVKSGTCAHEILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASPDSTIQIKTPENKPKNSEITSVHGDTLSLTNVGMERQEARIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHREDGGSYEVDKYAHISDSFCLEKLIDLPVKNNELPELARGNEMYPVAKPSKCFDIFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLAQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGIYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKEARTNFMVMENLLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQYFLSVSSPKTTTGLELPSGGHNNKGNILSANGARKT >ORUFI12G07750.2 pep chromosome:OR_W1943:12:6282118:6299117:1 gene:ORUFI12G07750 transcript:ORUFI12G07750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMCHSCESEDATMDGVDDGLICSTSCYNMCGHADKSSIIDGEIGCPSMEISPCNTPYGTPLFSRESSCSSFASCFSSLDDYLVETDSEEEIEVLDTGQLHPGILFSDESMEQRTVGSVQVEECQVSHAAVVDDDSSISIPTNQNISSGQQQLEIHLDATNENSVPSNVIIDANVTDPHQDVISNGGLIEACYGVPLDDIDLKQSNILDGEEITSLPMADNEMTPLNDQIMDQIDDMKEISTIVYNNTISAEQHANSGSEFEKGNESSENLYPPANPHIWLPPDPVNKDDDTDIVANNDDNSDNNDSWVQSSFNISFDAKRNKTSCEDQLQKAMSEVMNGQFKILVSRFLAAEGLSLSDGEADKNWLDIVASLSWHAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVITKCKPDAILVEKAVSRNGNNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFQKPLGCTILLKGSTSEELKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDPQLLVPCTAAPSSKFCSDIAQNSDPTQHALNILASDGQYVNQDDFVNPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDMSLDFAKLASCDDFAGSTSGAPSNNGVLQTNGADGKDCLETISDGISTGTRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEKHNCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKKECREVEFNNVLRKWRLLLSEAENKIQILKSGGSGQALGENTKVSVHEELFLEVNRILAQEKYEFGTFDFLVKSGTCAHEILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASPDSTIQIKTPENKPKNSEITSVHGDTLSLTNVGMERQEARIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHREDGGSYEVDKYAHISDSFCLEKLIDLPVKNNELPELARGNEMYPVAKPSKCFDIFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLAQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGIYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKEARTNFMVMENLLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQYFLSVSSPKTTTGLELPSGGHNNKGNILSANGARKT >ORUFI12G07750.3 pep chromosome:OR_W1943:12:6282118:6295750:1 gene:ORUFI12G07750 transcript:ORUFI12G07750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKKECREVEFNNVLRKWRLLLSEAENKIQILKSGGSGQALGENTKVSVHEELFLEVNRILAQEKYEFGTFDFLVKSGTCAHEILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASPDSTIQIKTPENKPKNSEITSVHGDTLSLTNVGMERQEARIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHREDGGSYEVDKYAHISDSFCLEKLIDLPVKNNELPELARGNEMYPVAKPSKCFDIFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLAQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGIYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKEARTNFMVMENLLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQYFLSVPDA >ORUFI12G07750.4 pep chromosome:OR_W1943:12:6282118:6299117:1 gene:ORUFI12G07750 transcript:ORUFI12G07750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKKECREVEFNNVLRKWRLLLSEAENKIQILKSGGSGQALGENTKVSVHEELFLEVNRILAQEKYEFGTFDFLVKSGTCAHEILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASPDSTIQIKTPENKPKNSEITSVHGDTLSLTNVGMERQEARIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHREDGGSYEVDKYAHISDSFCLEKLIDLPVKNNELPELARGNEMYPVAKPSKCFDIFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLAQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGIYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKEARTNFMVMENLLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQYFLSVSSPKTTTGLELPSGGHNNKGNILSANGARKT >ORUFI12G07750.5 pep chromosome:OR_W1943:12:6282118:6296908:1 gene:ORUFI12G07750 transcript:ORUFI12G07750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRRLERIARCTGSPIFLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFQKPLGCTILLKGSTSEELKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDPQLLVPCTAAPSSKFCSDIAQNSDPTQHALNILASDGQYVNQDDFVNPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDMSLDFAKLASCDDFAGSTSGAPSNNGVLQTNGADGKDCLETISDGISTGTRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEKHNCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKKECREVEFNNVLRKWRLLLSEAENKIQILKSGGSGQALGENTKVSVHEELFLEVNRILAQEKYEFGTFDFLVKSGTCAHEILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASPDSTIQIKTPENKPKNSEITSVHGDTLSLTNVGMERQEARIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHREDGGSYEVDKYAHISDSFCLEKLIDLPVKNNELPELARGNEMYPVAKPSKCFDIFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLAQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGIYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKEARTNFMVMENLLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQYFLSVPDA >ORUFI12G07750.6 pep chromosome:OR_W1943:12:6282118:6295750:1 gene:ORUFI12G07750 transcript:ORUFI12G07750.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKKECREVEFNNVLRKWRLLLSEAENKIQILKSGGSGQALGENTKVSVHEELFLEVNRILAQEKYEFGTFDFLVKSGTCAHEILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASPDSTIQIKTPENKPKNSEITSVHGDTLSLTNVGMERQEARIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHREDGGSYEVDKYAHISDSFCLEKLIDLPVKNNELPELARGNEMYPVAKPSKCFDIFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLAQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGIYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKEARTNFMVMENLLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQYFLSVPDA >ORUFI12G07750.7 pep chromosome:OR_W1943:12:6282118:6287605:1 gene:ORUFI12G07750 transcript:ORUFI12G07750.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMCHSCESEDATMDGVDDGLICSTSCYNMCGHADKSSIIDGEIGCPSMEISPCNTPYGTPLFSRESSCSSFASCFSSLGECCCTVLL >ORUFI12G07760.1 pep chromosome:OR_W1943:12:6308469:6316117:1 gene:ORUFI12G07760 transcript:ORUFI12G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASVSPAAASHHRLLLPCSPRRLPRPRPRPRPRLLRSARPRLVACHADTLLPSSSPAAAAAACASTASANGFSDWLREHGLPPGKVAILDRPVPCFREGKDLPLHYVAAGQDLEAGDVAFEVPMSLVVTLERVLGDESVAELLTTNKLSELACLALYLMYEKKQGQDSFWYPYIKELDRQRGRGQLAVESPLLWTESELNYLKGSPIKDEVVARDEGIRREYNELDTLWFMAGSLFQQYPFDIPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLTYKSNCKAMLTAVGDSVRLVVDRPYKAGEPIIVWCGPQPNSRLLLNYGFIDEDNPYDRIVIEASLNIEDPQFQEKRMVAQRNGKLAIQNFHVSPCTERAVLDQLVGYLESRLADYPTTLDEDDAMLADGNLEPKKEVATRLVRLEKKLLHGCLQAANEFINDLPDHTVSPCPAPFAPELK >ORUFI12G07760.2 pep chromosome:OR_W1943:12:6308469:6316117:1 gene:ORUFI12G07760 transcript:ORUFI12G07760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASVSPAAASHHRLLLPCSPRRLPRPRPRPRPRLLRSARPRLVACHADTLLPSSSPAAAAAACASTASANGFSDWLREHGLPPGKVAILDRPVPCFREGKDLPLHYVAAGQDLEAGDVAFEVPMSLVVTLERVLGDESVAELLTTNKLSELACLALYLMYEKKQGQDSFWYPYIKELDRQRGRGQLAVESPLLWTESELNYLKGSPIKDEVVARDEGIRREYNELDTLWFMAGSLFQQYPFDIPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLTYKSNCKAMLTAVGDSVRLVVDRPYKAGEPIIVWCGPQPNSRLLLNYGFIDEDNPYDRIVIEASLNIEDPQFQEKRMVAQRNGKLAIQNFHVSPCTERAVLDQLVGYLESRLADYPTTLDEDDAMLADGNLEPKKEVATRLVRLEKKLLHGCLQAANEFINDLPDHTVSPCPAPFAPELK >ORUFI12G07770.1 pep chromosome:OR_W1943:12:6323652:6324525:-1 gene:ORUFI12G07770 transcript:ORUFI12G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRKQNLISLLCLIDVNFLLKNLFIHEFRMIDRRTFIACAGELCRADVLLNAYGKMLREQCDKLVPGIGTIIKDHAKYANVMVMILTPQMMCFPADVVRKIEEADAAADDAREKVDCSLYYSINRKADKLEELSRLKAGALRKLKSLVCDCSGGQKRSLTEVDDGVLGKKKVKGTPRLIGGAKAKMRSLRQRAPRHCRCCC >ORUFI12G07780.1 pep chromosome:OR_W1943:12:6337512:6338766:-1 gene:ORUFI12G07780 transcript:ORUFI12G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRRRRSISPSVAPLPLSSHHRLRLPPTSPFFPFPPSLPLHSSHHHHFFKRSEQVLAASVLVSFLFLSTSHRPPRVRIEREHDMPPASPHSGNRRAGAPLALSSLSPSRLPTEKEKRNREEEEEKKKRKRKRTANDSTPRLDAYNGWSFTISSTSTAGHTVCSQALCNLSHSVTTHGCQSNTSAPLNLHPPPISLADILSTDTG >ORUFI12G07790.1 pep chromosome:OR_W1943:12:6342979:6343464:1 gene:ORUFI12G07790 transcript:ORUFI12G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASQLTETWRGQKRDEAGMGDKGDSGIPLISRLNKGVDEDSEDEGGETDDDL >ORUFI12G07800.1 pep chromosome:OR_W1943:12:6353652:6354155:1 gene:ORUFI12G07800 transcript:ORUFI12G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLGAQGTGNSESTKAAVGEVVYSDEVSTQLVKLATTKVLSTEVAVQLAGTDEVVTNGKEQQQEPVSIAQELQHVSASLLSPVEHVLDCNVQSELFPENSRLAGDGRVASTKNLMAVLATNEDQLSASRAATSKAQDSAQAVGARSPTRRRPRRPVDPIPTRQSER >ORUFI12G07810.1 pep chromosome:OR_W1943:12:6359573:6360025:1 gene:ORUFI12G07810 transcript:ORUFI12G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAAVVMTTTMMETRGLFTADPTVGRDVGNGCGSEEASRRWIRRWEVRRRRIREQEGTRAMDPAVGGMRASDPAVGRYLGGGSSGGEARGWAGGAWLRVWAGNEAQTAADPVAGRLAVVSGLVELGHDYVYGRGLRVRVLRHVGHSKDN >ORUFI12G07820.1 pep chromosome:OR_W1943:12:6362130:6367006:1 gene:ORUFI12G07820 transcript:ORUFI12G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMVVSAYKGVIDSVLAKLRELMGGDMCANLIGVSSRDILFLRDELPAMSALLEKLDDAEELDSEAKNWRNQVREMTYDIEDCIDDFSNNITSVDAKTGFLYKASQFLKTCRAHLEAAWQIKELKTRLQEINERRKRYKVGHYISNTTSVTVDPRISAFYKETAGLVGIDSPKRELTKLVMDEETQLKVMSIVGFGGLGKTTLASQVYREVGVQFNCKAFVSVSQKPDMVRLLTSLLLQLKQHPSHACGVQELIDNLREYLMDKRYFIVVDDLWDVPSWNIITCAFPQNNQHSRVIITTRHGDVARTCSSDHGSINNMKPLSAQNSRELFFNRIFGSKDYCPSYLEEVSCKILKKCGGLPLAIVTVASILACQPTRLKEEWEYIQSSLATNKFARKSTLEDMMQILELSYKSLPHHLKACFLYLGAYPEDCVISKVDLIKRWVAEGFVSHSPGQDAWVVAESYFNELVNRSMIQLPYQGYYNEVSHCKVHDMMLDMILMRCKEDNFISVIQDPRAAIEVQDKIRRLTIDLNGAMGDTMDMNITRKVSQVRSLGVFGGSKWIPPLLEFKFLRVLFLEFFLREMIIDLTGINQLSQLRYLKVECKECLMDGDIPSQVSIVLPSQIRRLQHLETLELPWVSECSIPSISGIIDLPRLTHLVLRQHKGGLPDGIRKLKSLRTLHGFNLPVSSLENIDALGELTSLADMSLHCGKQDTKSTTPGWMTALSCSIEKLGNLKGLYVRSNSPSCCADAMSSWFSPPFLNLEKLDLLDWTFSKVPRWIGQLHSLRELALGGKKILQEDVSMIGTMLPFLTHLSLRIVTCNIAVKESRIMIEGSIGFAALRFFCFDSNRMSHLEFGVGAMPQLKRLLLALDPWEWDEATPVGLKHLLYLEEIRVLTASTAVASAGSESMNGKSALVKGVFHDAANALQSRPAFTVLPRIRSLSDHVNCCKINMETVACK >ORUFI12G07830.1 pep chromosome:OR_W1943:12:6370928:6371254:1 gene:ORUFI12G07830 transcript:ORUFI12G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAHRAPRNAAVVLLMASVGEAGLIAVATIGVNTEGEGGRVGSLVTSSLLLPAALLIVVIVRVDAEREGWCIRSLITLMFLPSIAAVGEVGFVTVALEIDFKREG >ORUFI12G07840.1 pep chromosome:OR_W1943:12:6372654:6380042:-1 gene:ORUFI12G07840 transcript:ORUFI12G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSDNGKAPEKGGEASGPSSAPQEGEISNEPQRRRPLSGRTTGPTRRSTKGNWTPEEEDDIIVQMVNKLGPKKWSTIAQALPGRIGKQCRERWHNHLNPGINKEAWTQEEEITLIHAHRMYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKVDSYMSSGLLTQVSCLPLNEYSAHCNSSPALTQQNSEDSGSYAVREVENSSVCSQSSLAKVSCSQVHNANVALGCDLQVNANVDNNEAHDSQSSVGHEACYTSVGAVATAIPEVHYHVSSSNFDPDQHLQEEFAQGLNLHMSMDEVPSNSSFADNPTICSIENHERSLEPYDVAMEMPLSMLPSDSGAEQKLHFMSEADFNSPNCLKSELWQDISLQSLLSGPDAVETDSFSRSNHQSDVYSSQADNEFLAPPYLLQTSNSSSVMEATYGQSPQMSVPPSLICSNVMTDVPSDNRSEPKEMTVSQAEMVTQSSSSSGDAEMSANPGSSNGSDIPSMMERIPECADQHVTNAEEPEASIEKEPSVTPSATADEKQDEGALFYEPPRFPSMDVPFVSCDLVTSGDLQEYSPLGIRQLMRSTMNVCTPMRLWGSPTHDESPDILLKSAAKSFICTPSILKKRHRDLVSPIPDKRIEKKSGTEKDCGVSDTSSIGIQTCFINATKDDAVITKSVLRIERSASSKPLEKKLEFSDENKENLDNTIEQAKDGQSAGNYKHIDEQARGERRTATNITTTYDDLPGNLQPAGILIEHNGDDLVSPDYGKNTMKQKQNTNMESLSVCKEGVSAKKPAELIVEKSSACINVDYEYVNILADTPGIKRGLESPSAWKSPWFVDMQFQGSYFVSPANGSYDALGLVKQINVQTAAALAEAREVLASGGQSENINSDKENLENPDAKKEPGATTKLQAKIKTEGKILDFNECATPIRSSDKKAGSSLGRSLSSPIPSSHLLKSFR >ORUFI12G07850.1 pep chromosome:OR_W1943:12:6389116:6405257:-1 gene:ORUFI12G07850 transcript:ORUFI12G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATDPPAALPLTLDLDDFKGDFSFDALFGTLVDELLPEFRGDDAPGAPPPPPPVLGAAPPVFPAVDELLGLFKHSCKELVDLRRQIDKRLQNLKKEVATQDAKHRKTLGELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSAESQRETASQTIDLIKYLMEFNSTPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDVGRHGVPSAVGSANASRGLEVAVANLQEYCNELENRLLARFDTASQRREMSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVDIMSIDIQVVLGEEGPQADHICIAEGLSVLYKEIADTVRREATTIMAVFPSPNEVMSILVQRVLEQRVTAILDKLLIKPSLANLPPIEEGGLLHYLRVLAVAYDKTKELAKELQSISCGDLDIEGLTESIFVSHKDEYTEFEQASLRQQYQSKMAELRAEAKQQSESTGTIGRSNGAAVTTSLQQQISVTVVTEFVRWNEEAISRCTLLFSQPATVAANVRSIFACLLDQVSQYLTEGLDHARESLNHAATQRDRYVIGTSVSRRVATAAANAAEAAAAAGESSFRSFMIAVQRCASSVAILQQYFSNTISRLLLPVDGAHPSACEDMGSAVSVVEAAAHKGLLQCIDTVMSEVERLLSSEQKATDYRTPDDGAAPDHRPTNACIRIVAYLSRVLEVAFSALEGLNKQSFLTELGNRLHKGLLNHWQKFTFSPSGGLRLKRDITEYGEFVRSFNAPSIDEKFELLGIMANVFIVAPESLASLFEGTPSIRKDALRFIQLRDDYKTAKIASMLNSHGSRKVCLIWIDS >ORUFI12G07860.1 pep chromosome:OR_W1943:12:6418477:6423216:1 gene:ORUFI12G07860 transcript:ORUFI12G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGRLWRPRVESRGTFRRRLLTVTAGCFLFFIVFLLSSRHDAIVLLDTRLGVGRPTAASLRSPAAADTLPDAGSAGRRNHEAPSSPAFSSGVRETVTGVETNDDGAERGAEPAERDNAAPAAAAAISGGDQEAQPGTAAVDAAPGHDKSLRTAAATGTSPPRHEQPGETTTRADSVDQPRHPLCDLSDHRTDVCDLAGDIRMDANASAFVVVVDPAVGADGPTYKVRPGYTGNLFHDFTDVIVPLYNTAARYCGDVQLVVTDGNAATRRWLARYGAVLRGLSRHVPLDLAAEAAAGGGEVHCFGHTVVGLRAHGELIIDRERSPDGLGMPDFTRFLRRALSLPRDAPTRPGGGHGDATKPQPRPRLLIISRRGTRLLLNTDAVARAAEQVGFEAVASELDMAGADHDDVARVARLVNSFDAVVGVHGAGLTNMVFLPPGAAAVQIVPWGGLRWLARADFGEPAVAMGLRYIQYEVAAGESTLKDKYPRDHEIFTNPTALHKKGFTFMRHTFLNGQDIIVDIDRFKPVLLRALNSLAR >ORUFI12G07860.2 pep chromosome:OR_W1943:12:6418477:6424053:1 gene:ORUFI12G07860 transcript:ORUFI12G07860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGRLWRPRVESRGTFRRRLLTVTAGCFLFFIVFLLSSRHDAIVLLDTRLGVGRPTAASLRSPAAADTLPDAGSAGRRNHEAPSSPAFSSGVRETVTGVETNDDGAERGAEPAERDNAAPAAAAAISGGDQEAQPGTAAVDAAPGHDKSLRTAAATGTSPPRHEQPGETTTRADSVDQPRHPLCDLSDHRTDVCDLAGDIRMDANASAFVVVVDPAVGADGPTYKVRPYPRKGDATSMGRVTEITVRTTAAGAPPPRCTTTHAAPAVVFSISGYTGNLFHDFTDVIVPLYNTAARYCGDVQLVVTDGNAATRRWLARYGAVLRGLSRHVPLDLAAEAAAGGGEVHCFGHTVVGLRAHGELIIDRERSPDGLGMPDFTRFLRRALSLPRDAPTRPGGGHGDATKPQPRPRLLIISRRGTRLLLNTDAVARAAEQVGFEAVASELDMAGADHDDVARVARLVNSFDAVVGVHGAGLTNMVFLPPGAAAVQIVPWGGLRWLARADFGEPAVAMGLRYIQYEVAAGESTLKDKYPRDHEIFTNPTALHKKGFTFMRHTFLNGQDIIVDIDRFKPVLLRALNSLAR >ORUFI12G07870.1 pep chromosome:OR_W1943:12:6429449:6430470:1 gene:ORUFI12G07870 transcript:ORUFI12G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPRRLSSPARWFRCSWPMGLLVELEVIFEFSGNGGSPHSTFEDSKIWTIAGDARLFGHALAWPPRWAPGVSKRTARVPQRPVGHATEHHGVGVGAWRSCWHPRRVDVLRDRRDDLRACALHRLVGFLASMTGARMSIV >ORUFI12G07880.1 pep chromosome:OR_W1943:12:6432656:6452847:-1 gene:ORUFI12G07880 transcript:ORUFI12G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQKAVKDATLTLNKEISFKGNFPQEAKLSYFPDVKLGVNIPTRRESHDLLDVDGDKNDYEWLLTPPETPLFRSLDDEEEQSAGQDSRGRTKSKPIRISGSSTVDNTQRSSRSSASPIRLSPSPRSMSRTRPSSAASRSSPPFALQPPTPSWRPSTPPSAKTLTPPRRSPSPASTLTPPRRSPSPASRRMSTGSTPALNRTRGPSPVKTNRISSSPKLQGWHSNVPGFSHDAPANLRTSLPDHPLSHSRGGSPSPPISGRDMGSRGRRQSLSPTPSRRASSSHSIERDRLSSYSKASATSSGEDDLDSMQSLPVAYSTSPAVKKSLSMMKTRTIASSKKPSTTFSTSSVPKRSFDSAVWLMDHRKAPNDMFRPLLSSVPTTTFNAGKGNVVQRPMFSHNSYMTTSSNASSEHSASFGPYVDNDQEQHDQISEWDDNHQVHGDIFMFDKLDELNEETSHEETTKFVESDRQDIDMEKGWAASQTSGTNSSHVGYGEMATCTRCGNVFKVMDVDRQGDYCEECGLLLSICSAGPVTQTLQEAHQQDEITANCKSYAESGTSIASDCVEYREEASLGHQFNDEPPADCIKACSPLQSMVDTNEEMLLAHEVSDNQQASAEHEHFRDQINSHSESLPQCLPELNRQHNDSISQTASGDNYQLGSTAYSSPKVENTDATGISVLLLQKSSSNKWPVVEGRTLSATNILCSEPYYTRDSISAMRRSFGRDSSSATSSIDLGSSRQSDVRFERLRSGKRGDFEKARMSSTMSHQSVASVSDMSISGSSASLCPQSDVIGDTCFPIDMLERSASRTTVSIEEHDSSCMDALSSGMECSSAVQPIINDEILVDLNTSGFHRLSETEDILIKNHNMEMVADNDHLSTNLCLSDIEMPSDALESSAAEESYIPKTEEDTSTKAHCYTISTLEHPSDENNFDDLQMQSEAVQSSNEENKSNGCCTLAVSEDDVLVSGTDTNIKELPNDESPEAVEGSRKEIQRCFTLEEATDTILLCSSIVHDLAYKAATIALDHEQERVHAEPTRPSVTIVGKSIPKEDGLLKLTHRRTPNRKVKRKRLEGETTITENAEKKDDISTDHSPVRSSSGITRTSESMKPPKLESKCNCIIIPGSIVQSTPTAAPLHCLLLAAATHRIHLQQHGNPSQPIPTRRGAPNAAAAAAFPAASSRICAPTHTTRLPRFGASACREAECGEEEEEEAESMPPSPSLRRSPSKEISHRRGHSFGSTVPAKPKDDELALFNDMRKNEEDNFLLESSDNFDETISKLSYFPDLKLGVNIARREESRDFLNTDGDKNDYDWLLTPPETPLFRSLDDDEDKLAGPAPRGRAQTKPILISRSSTMENAQRSSRSSASPNRLSLSPRSSSSNTALTRTRSTNSSSRCSPPLSLQSSTPSRRSPTPPGNKTLTPPRRSPSPASRRMSATSSGPTLNGTRGASPVKTNRRSSSPKFQGWQSSDPGFSFDAPPNLRTSMSDRPLSRSRGGSPSSFSGLNMVSRGRRQSMSPTPSRRTISSHSTERDRFSSYSKASATSSGEDDLDSMQSVPIDYSSSPAVKKSLAVMKTRTIASSQKPSKSFSPSSAPKRSFDSAVWLMDHRKAPQSMFRPLLSSVPTTTFVAGKGNVVHRPTFSHISSVTTSSNASSEHGATFSPCVDIDHERHDLVGQWETNDSSRIHEEIFMFDKSDELNEGSNCHQHSLSTTCSGLENSSGRVNCVESTKEGLDMKSRRTADQISCGFASSSEVGDGEMATCTRCGKIFNVMYLSGDNYCEECDFGDGIFSAGSKIQTTEGLHQKDHKFTQSKVCIPSEDRRPIALDCVEDSSDVSLDHQLVSNEPPADYLQRYSTESVVHTNEEKMLGKHLMNLKENISPHDIGDSSMGNSNDISPHTCSVSDYQEAEAAHVTEYKLFRLQKGNQNHEMAQCLSESDCQHNGFISGMVASGSHELGSTGPPSLKVENAEGNGISVLLLEETRSKKWPIVEGRTLVTTNIHCLEPYYTADSVSLMKRSIGRDSSSAASSIDLGPSGQSDVRFERLRSGKKGDFEKSQISCQSIASVSDMSISGSSASHYPQSYVNGDGCHPIYSLESSALTAAVFTEEHDGSFKEALSSAIECWSVAQAIVNDDCEVEDEVTQNQDKERMARDDNLGVDMCSSYTEVPSDMPQSPAADVSFIEKTENDSQEVPAIADYSVATPEHPCDENNSDIPRILSETVAASDDESKLDDCGVSSVPEEGALVSARDETKDEGRRKQFQRCFTLEEATDTILFCSSIVHDLAYKAATVGLEREQEAEFAHAPRPTVTMVEKFIPREDGLLRGPQRRTTRRKVERKIPEGDGESITDTARTEVITKEPAPVRSSSEITTFDSLKPPKMESKCNCTIM >ORUFI12G07890.1 pep chromosome:OR_W1943:12:6480724:6492799:-1 gene:ORUFI12G07890 transcript:ORUFI12G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRETLDFSARFQGVGSRAEIMKEVIKKEKEAGITPDPDIDAYMKIMGLDKCADVKVGNAMRRGISGGEMKRLTTEGHNTRFYNPNVSQNTNLKEIPAELAKWSLNNSKHYLVLFGLRPSTMSCRIVTTVGPNFSTQLDFLIGEMIVGPCKVLLMDEISTGLDSSTTFQIVSCLQQLAHISEYTILVSLLQPAPETYDLFDDIILMGEGKVVYHGPKNLIMTFFESCGFKCPERKGPADFLQEVLSKKDQQQYWSRSEQWYNFITVDQFCDKFKASQVGQSLAEDLSKLYEKSKANKNALSCSIYSLSKWHLLKACFDRELLLMKRNAFLHITKAVQLGLLAIITGTVFFRTHKNFDIVSANYYMGSLFYALILLMVNGIPELVMSISRLPVFYKHRDHYLYPGWAYAIPAFILKIPASLVAALSWTSISYYLIGYTPEAPRYFRQLLVLFLVHTGALSLYRCVGSYCQTIAVGPIAATMSLLVILLFGGFLIPRPSMPNWLKWGFWLSPLSYAEIGLTGNEFLAPRWLKITISGVTIGRRILIDRGLDFSVYFYWISVAALIGFILLYNIGFAIGLTIKQSPGASQAIISNDKIRICHGRDQEKSKDIKIGMRRMALPFTPLTISFRDVNYYVDTPPEMRKKGYMGRKLQLLRNITGAFQPGILSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRMGGYPKVQQTFSRISGYCEQNDVHSPQITVGESVAYSAWLRLPAEIDTKTRKEFVDEVLEIIELDEIRDALVGTPGVNGLSREQRKRLTIAVELVSNPSIVFMDEPTSGLDARAAAIAMRAVKNVAETGRTVVCTIHQPSIEIFEAFDELMLIKRGGELIYAGPLGQHSCKVIQYFQSIPGVPKIKDNYNPSTWMLEVTSTSMEAQLGVDFAQIYTGSSICKDKDELIKGFSMPPPGTSDLHFPTRFPQKFLEQFKACLWKQFLSHWRTPSYNLVRIVFMAFSSIIFGVLYWQQGNIRHINDQQGLFTILGCMYGITIFTGINNSQSAMPFVAVERSVMYRERFAGMYSPWAYSFAQVAMEIPYVLMLALLFMLIAYPTIGYAWTAAKFCWFFYTMFWTLLYFVYFGMLIVSITPNLQVASIYASSFYMTQHLLSGFVVPPSQIPKWWIWLYYISPMSWTLNLLFTTQFGFEDSSNILVFGETKPIAAFVRDYFGFHRELLPLSAIILAAYPVLFAILYGYSISRFNFQKR >ORUFI12G07900.1 pep chromosome:OR_W1943:12:6506274:6506729:-1 gene:ORUFI12G07900 transcript:ORUFI12G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNGGGVDPPQRPEEEEDEEDAAAGSSCRVTGTNEHHHQQQLVVSGELEGGGGGGREKEDDELKRKWAAIERLPTADRLRLSLLSSTRGGGSNGDVSEGGGGAASSELEVVDVGGLGAAERRAVVQRLVADVKHDHVRMLRKQRERMER >ORUFI12G07910.1 pep chromosome:OR_W1943:12:6514904:6516214:-1 gene:ORUFI12G07910 transcript:ORUFI12G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVPIPVPSRAPFHVPVGSRPDDNSSCRKLYEPRLVAIRPYHHGRDELHAMEQHKWRFLQRAPTVPLSDFVDAVRAVEQRARCCYSESTAILDDDGDGFAEMLLLDGCFILEG >ORUFI12G07920.1 pep chromosome:OR_W1943:12:6523799:6527838:-1 gene:ORUFI12G07920 transcript:ORUFI12G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPVVHRHAAGGGGGDDDDQACMYALELLGGSVVSMTLKAAIELGLVDELLAAAAAGVTAEELAARLRLPAAAAAAAVDRMLRLLASYGVVRCATEAPVCKWLAGGSSGGEESMAPLGLLNLDKVFMENWYYLAQDANERSNTLFNQAMASHSVVITSKLLQFFRGFDDGAGVDVLVDVGGGTGATLRMITARHPHLRGVNYDLPHVIAQAPSAVEGVEHVGGSMFDHVPSGSAILLKWILHLWGDEDCAKILKNCYKALPAKGKVILVEYVLPASPEATLAAQEAFRLDVMMLNRLAGGKERTQQEFTDLAVDAGFSGDCKPTYIFTNVWALEFTK >ORUFI12G07930.1 pep chromosome:OR_W1943:12:6566699:6571324:-1 gene:ORUFI12G07930 transcript:ORUFI12G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAARSGALARWRPRETLLPRLLSSSAASPPARQAALLELPEVEKVLRDVRAGDVRVFPVGEGGLHGGSCADYMVVATGRSDWHIKQKQKGSDRILMPSVEGQQAGKWIVIDSGSIIIHALEERAREYYDLESIWTKEVSPNISVQVNKDDKVVSSMYLHPMNFEDG >ORUFI12G07940.1 pep chromosome:OR_W1943:12:6589697:6590881:1 gene:ORUFI12G07940 transcript:ORUFI12G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSHAHVQPGKACVHRVSDKQGIRLAVAIDPSQHTSHVPLLIGPSPRTKRIMAAKIPDLHSTSSTPTPWYSIGIYLYGKNPDLAIWIWQQQHQPQEHRCTRVLRRQPGDGGELFLQESGQDGDEMEGRLLATMQCSDGDKMEVNSAGENLFPNVGMVIATFLEVSHWRFNVGAASLVLSLLDQLRWIGCGKIWVIDCLLLSYSRTLSSILV >ORUFI12G07950.1 pep chromosome:OR_W1943:12:6599156:6599935:-1 gene:ORUFI12G07950 transcript:ORUFI12G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKIGVIAFVLLVSVGLSNAARVARYTTEGGGGGGGEGGGGGGGDGGGGGSGYGSGYGEGYGQGGGTSGGDYGQGGGGGGGGGQGGGSGSGYGSGYGQGGGASRGGYGKGGGGGGGGGQGGGGGSGYGSGYGSGYGQGGGASGGGYGQGGGGGGGGAQGGGNGSGYGSGYGSGYGQGGGASGGGYGQGGGGGGGGGQGGGNGSGYGSGYGSGYGQGGGAQAGGYGQGGGGGGGGGQGGGSGSGSGYGSGYGGGAGGRT >ORUFI12G07960.1 pep chromosome:OR_W1943:12:6612595:6613255:-1 gene:ORUFI12G07960 transcript:ORUFI12G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHVVAICVAVAALALAAAVLGVVGEATKSKAPPSSRSNTRLLATVSAAAFVVGAFRSQSGERRRREDGVETYYRCTVLVAGMFAGASSLAFLAAAVGIAS >ORUFI12G07970.1 pep chromosome:OR_W1943:12:6626240:6627229:1 gene:ORUFI12G07970 transcript:ORUFI12G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKGGCCLAPRYGAASAAAAAGAGGQAAMAWQMGRIMLKFRPIAPKPAAMAPAPVAGVGAGKGKRKAVSGSGGGRRGRKPKKAATAATLAAAHAPAPAPSVAGKTVPKVVGDCKEMEREKEKEKSLSSPSSSSSGMTSVESSPPPPPSAMLPLLPVRPLDTTTTTPPPVAPAHAAAQSVVVAPPPRALLPAAAVVTVEDVTSVWRDGGSGAARAGDDGDGAPAFVSDQWGRVTWKNAAFHRAVAPDASAPDQARVALAARDGDAAAAVPAWGTCAGFTCRVRVHPSPYSPRRSSVVAPCDVWRLDAGGCYLWRLDLQAALSLSLGALP >ORUFI12G07980.1 pep chromosome:OR_W1943:12:6640839:6643814:1 gene:ORUFI12G07980 transcript:ORUFI12G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAYYAGLVAGTPPPPCRPGRLRRCRRSHQAIRVTNGVNMDGRAKLAAPVAVVTGASRGIGRAIAVALGKAGCKVIVNYAKSGMEAEEVCREIEESGGTAITFSADVSIEAEVESMMRAAIDTWGTLDVLVNNAGITRDALLMRMKRTQWQEVVDVNLTGVYLCAQAAAGVMMMKKKGRIINITSVSGIIGNIGQANYCAAKAGVNAVAPGWVTSNMTAKLGDNVEQKALETIPLGRFGKPEEIAGLVEFLAVLPVDGGLSI >ORUFI12G07990.1 pep chromosome:OR_W1943:12:6644812:6645597:1 gene:ORUFI12G07990 transcript:ORUFI12G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAEAAAESMFLPFLAMFAAIYLVGYLVVFRRWGGAQRAEASSCFASLFHGTPAAALALRAVLSNPRGCCVGVAGDLAAPNTRADELALDFSTAYFAVDLAHYLVLLPGERLFVAHHLATLYVLATCRAAARRGACALLVMEVLAEATSLAQNLWTLAGMRRAGSPAAAAAHARLSLPFYAAYTAMRAVLGPAWFVRMVRFYYASDGGGGAGGEALPAWARASWTVVIGAGIVVSVLWVSNLWLEFFRENKRKESSKEQ >ORUFI12G08000.1 pep chromosome:OR_W1943:12:6647136:6651827:-1 gene:ORUFI12G08000 transcript:ORUFI12G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIRAEFESSGFSIGGADPGAAAEILSTLLTYCINYKMSPADLVSNWEVYYLNRQLNGLKLESSYLDGFLSHLQNEVKDRIIKEETSLHIYSSNDVDMLLSSTHTDEEGFLDTPSTKQEKPNGESCNSELTPLTTDRPSSSRLAKTNGDRITPFSQRVNKFTQQYVLNSDNVASVPSKDEIESTEDEVIRRVQPSKRCTLQVQRSQPEPGCRFMYDRIEDRFNYLEDRIRRSGSLFSASGLCGEPADATLASEESMFSVGMVICDGEGHLNEKSILLQGSVEHSRGQRVRLDLKDVDRFSLFPGQVVGIQGHNPSGHCFVVSKLNDSIPNFVDAQLPRAKKQAVDSEGNQSSDVVSRVLSSMGPFIDSDHPEIKKGAVDKSFQDIFLFEILRKLQDFTQYLGHNVRVILIPSVRDAHHDFVFPQPAFDLNLPEDITHQIHFGCCTMDILKHLSGEEISRKPPGEKHGDRIGRICTHILKQQSYYPLYPPPAGVPLDFSLANGALEIASAPDVLLLPSDLAPFVKVLSLDESSEEPKRFICMNPGRLAKGIGGGTFVELNYNEDTDKTSASLIRI >ORUFI12G08010.1 pep chromosome:OR_W1943:12:6660706:6661089:1 gene:ORUFI12G08010 transcript:ORUFI12G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWRVAAAGGLLLILLVAQQASAQAAEKVISVSAVVQPNTKKKPPHQQPKIRKCTEAQKQDILHECRGYVTAGSHIILPDLHSACCDAARSVQNLDMDCIVDLLTSEERSRYNANRIKHLNEMCRP >ORUFI12G08020.1 pep chromosome:OR_W1943:12:6696942:6702800:1 gene:ORUFI12G08020 transcript:ORUFI12G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTSQLGAMACGAAPSTSPLAARRSGQLFVGRKPAAASVQMRVPRAGRARGVAMRVACEKVVGIDLGTTNSAVAAMEGGKPTVITNAEGQRTTPSVVAYTKGGERLVGQIAKRQAVVNPENTFFSVKRFIGRKMAEVDDEAKQVSYHVVRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKITKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKKVVDWLASNFKKDEGIDLLKDKQALQRLTEAAEKAKMELSTLSQTNISLPFITATADGPKHIETTLSRAKFEELCSDLIDRLKTPVTNALRDAKLSVDNLDEVILVGGSTRIPSVQELVKKITGKDPNVTVNPDEVVSLGAAVQGGVLAGDVKDVVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAIDKGTGKKQDITITGASTLPKDEVERMVEEADKFAQEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPAPVKEKVDAKLNELKEAIAGGSTQSMKDAMAALNEEVMQIGQAMYNQQPNAGAAGPTPGADAGPTSSGGKGPNDGDVIDADFTDSN >ORUFI12G08030.1 pep chromosome:OR_W1943:12:6703965:6705562:-1 gene:ORUFI12G08030 transcript:ORUFI12G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRRVLKHGVIPRDAAQVVGIRGFAIASKAKKGGKGAADAAKTPVLSKELKSTTVFGANILKEGSDPKLQPDSEYPEWLWHLLDKRPMLSELRRKDAKTLPYEDLKRFVKLVNRARIKEQNALTAKN >ORUFI12G08040.1 pep chromosome:OR_W1943:12:6706226:6707548:1 gene:ORUFI12G08040 transcript:ORUFI12G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRIEGGARRQRRDEGNDKNYGELAPLTALAGQRTYGAAANREGSADKHGGTVSGVSTCRSRIGERRRGEWGCGARLREKSGDTQDRLQRDAALLKTVVTDFYNTRFG >ORUFI12G08050.1 pep chromosome:OR_W1943:12:6708211:6711253:1 gene:ORUFI12G08050 transcript:ORUFI12G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSAMNTSRAEEKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPFAMSELGWGPGVAAMIMSWVITLYTLWQMVEMHECVPGRRFDRYHELGQHAFGDKLGLWIVVPQQLVVEVGVCIVYMVTGGKSLKKFHDLVAPPSAPPIRTSYFIVIFGCLHLVLSQLPNFNSISGVSLAAAVMSLSYSTIAWAASLHHHNHNNGAAAGGVDYSLTEATPAGRTFNFLSALGDVAFAYAGHNVVLEIQATIPSTAERPSKGPMWRGVVLAYGVVAVCYLPVAFAGYYVFGNAVDDNVLITLERPAWLIAAANMFVVVHVVGSYQIYAMPVFDMLETFLVKKLRFKPGMPLRLIARSLYVLFTMFVAIAVPFFGGLLGFFGGFAFAPTTYFLPCIMWLSIMKPKRFGLSWCINWFCIIIGVLLSVFAPIGGLRSIIVNAQSYKFFS >ORUFI12G08060.1 pep chromosome:OR_W1943:12:6711670:6714985:-1 gene:ORUFI12G08060 transcript:ORUFI12G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPAFRTGSPKKHELKSKQKLEKKLSFYTKVKDAVTSLNATKTICKKSKQRSRQKKLKAYDLSMLSEFLPETDASNLHTEAKLNCKSKQALVQREAAQLNAVLTNPQFQLDPFAAIHQHLLSTQPPSARKESNPAKQGKDPKDKKRKKNKKKNASSASEAMDI >ORUFI12G08070.1 pep chromosome:OR_W1943:12:6736002:6737776:1 gene:ORUFI12G08070 transcript:ORUFI12G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGDAALAGLRVAERAYWKEFVDRVLKDTSSIDITASNIAVPTRLPVELQVLIKVMIHQILNTQPGAIPPLAFHWCCNQLLQHLVNSVRTQGQPTVEPNVHGHQRCRGAGWSSGIPERLADRNVSEDGGIGGVDSRRNIREMNGKIKETNASRESPRILKPTGEPNPSTGGIRIQNNRQEQPAEMTIFHPWKNITDRTSAYELSHIDNLVNHEDDETKRQKEQSVHITLLYEINLINEELFDTVISITGHKHGGTVIKFSYNAVSLAQDMELPFAAYGTSPLKPAKLFVPADYPRSSPVYEDGDEQHQGMYSVISGMVDKVFQRALRKLPVPMSIRDMARQWGISVRTVTNGGGTFSSGYGQWESCTDEFASP >ORUFI12G08080.1 pep chromosome:OR_W1943:12:6742000:6747269:1 gene:ORUFI12G08080 transcript:ORUFI12G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGSLLDQSRHAMRSWVLKNIEKLAGHYGAEFNSLRAFEDRVFNETSSIDDYISKISTKMAILERPQSSSGMQHQGQMGNQTRLPTTVMQEQPQPQQQPHLMSNNHHQTHGGWQSNVARVQMITGVGEVNWREEMFQKISVLKNSFFSELTDFDRLLHNCQKTEEQLQSLPKKQADQYKRITKLKDAVRSALDLLQLQKSSIDEGMKVKFCKYESSIHSLLRFYRETKAKINEMNANRHNNQQEQPAGLPRQRITDRTPSSARRQNRNDNVIGQSEDKLRCRVESVVAKKKPIDRLINALRHSVEDDRTDVKRQKTRHVNSALANEIDAMNAKLIDTVVRIAGEKDGGTEIEFSYTAVSLALDMKQLFAAYGTSPVKPVKLFVPADYPRSSPVVSNNNDDGDEQQRGMFGEISGMVSAAFHCALRELPPSMSVKQMASEWNSCVQMIMKKFAIRHGGGTFSSRHGQWMDCTVE >ORUFI12G08090.1 pep chromosome:OR_W1943:12:6779576:6779996:1 gene:ORUFI12G08090 transcript:ORUFI12G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSRASRQTACARWQARDGGRMWKVMVTGPNLDPVETEAPARIWRRGPSSARISVDPAAGTAGAAVAADGQARARAGAVAGVLWGGVAVPANPATATTRASVSASKGAPPARQNSDFR >ORUFI12G08100.1 pep chromosome:OR_W1943:12:6782356:6782604:1 gene:ORUFI12G08100 transcript:ORUFI12G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRAAADGARRRLRRQLSMPGSGRPQQQTKEASAALVRLPCNKGKASRFKRSCFSEEDDAASAAMLLLACVVCAPSLPLIN >ORUFI12G08110.1 pep chromosome:OR_W1943:12:6788486:6789821:-1 gene:ORUFI12G08110 transcript:ORUFI12G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDELNVCNADFSPKIITKLAPKGWQSGTTGTGSDYPYPCPRGNSCPRVYPLLYDEQGTLPMPVAHRRHVPTGMPVYPSQTHSSTFQPQISTSRETLSQFKERVQLYQVQQYKHYKFINTRWWHLY >ORUFI12G08120.1 pep chromosome:OR_W1943:12:6840864:6841926:1 gene:ORUFI12G08120 transcript:ORUFI12G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEDSVVEAEADMLNASVSTLATGGSYACGAARCGGRVHISGSGEAWRSGRACSSSAPARQDGGMLFFCSTAVPATGCTHCFFSSRQNGRIPKASPS >ORUFI12G08130.1 pep chromosome:OR_W1943:12:6848996:6851025:1 gene:ORUFI12G08130 transcript:ORUFI12G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKPQGERSSPSRLQEIFLFGSWDQLGIVILEMTHLNNVLQPNLNNFWGCHEGKGRNRLGREREKGDEEMEPVSMTEKGAHHPPSSQLRAVSRSLLSSPSPHCQEGHDPRLCVSAGLVAIPRCPPPTSSPSLDPPESLTTVALIELDDRRLDLEAVGRGRSRRGQDGRSALTPRSSPHQSSMASLEVVTGRGGEGGGSWERDGKPSSACSPAAICARW >ORUFI12G08140.1 pep chromosome:OR_W1943:12:6856947:6859766:1 gene:ORUFI12G08140 transcript:ORUFI12G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVFGILCKIGSILGSHLTQALVSHLGKEVSVLIEVESIVKQIRSEFRLMQSFLQDGQEKESSSRQAETFLQEVQQIAFEVEDILDEFVYYFGRKETPSVELLKNFFRKSESVMPLRRIAAELKEVQNRLQNIRNLKLQYNIDLSEESASSIRYEDSKGHTLHHIMHNKKLVGFVNERQKLQELLMANERSCSIISIWGMGGSGKTTLVKTVSESKASKNRFDCQIWVTVSQTYDITEIMRKIIQCALKDTCSADLGSMSSEGVVLMLQETLQGRTYMMVLDDVWDTNVWFSLEGFLDESSIRSKVVITTRINDVASLAEDKRRLQLRGLDEAESWDLFCMWAFRHGEDQTCPPAMDRVARQIVGRCEGLPLAITAVGNLLSFKRLDLMEWEKFYNQLNWELHNRLDNQGLSMVTRLLGLSYKHLQVHLKNCFLLCSIFPEDYMIRGKRLCKLLVVEGLVEPRKNMTLEEIAMEYIEKLVDRCLLQVARRNKLGRVWELQMHDIIWELAISISEKEGFCMIHNKAQRSVVECEPRRLSIHENSVRVQLSINASRVRSFYQFDIDCSSVSKVQWVSRTARYLKVLELSSVPIRKLPRVIGNLFNLHYLGLRRTKIKQLPESIDRLQNLRTLDIFLTEIASLPRGVTRLRMLRHLIAGKAVASYFGLEDVFTGVKVPNGLWRSLDLNVLTGISASSNLVEQLASFTQLTALKLTDVKNIHYTKLFASIRKMQLLKNLLIGTANSDEYVSLEALDPAPQNLEILFVKGRLHDRVICSDLFEANRLTLMELTLENSRLSIDPLPSLSNFCNLTLLGLFNHYIGETLLFQAEWFPKLHTLTLAELQNVSSIVIEKHSMPNLYNFALICLTNLEDLPEGIEFLGSVEELSLVGMHQKFMEHVQGSSNIKVKHIPVVDYFDQTKGRWDRLSPLYFEGESKTCP >ORUFI12G08150.1 pep chromosome:OR_W1943:12:6926327:6928588:-1 gene:ORUFI12G08150 transcript:ORUFI12G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGKERDTSANAPRREKKGVAAPHHTKPVARCIVSTVARRQAFMVTLRAARSMAMHRLQGLFPPQHLDAPPFIPSRHQPSCLMSRVPAIATGCTTTCGHPLHTHRRHGHGLSRFAPHYLLYTSCGLATAITESR >ORUFI12G08160.1 pep chromosome:OR_W1943:12:6937332:6955034:-1 gene:ORUFI12G08160 transcript:ORUFI12G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAIAKMKEDQAEEAGFEARAAGGRREPRQPYLFYAFSSSPNLLFRSARTPQPNQNFRPSPGVLRDGLEVAIRKQANARPNRYDEMPEMRREQLDWSSRFRIIHGITQGIIYLHTHSGKPTIVHLDLKPDILLLDSDMNPKIGDFGLAKVLKDDEVNASVRGTLGYMPPEYIVEGVISVKNDVYGFGVTLLETISGMSDSGRDARHQASIEWAWNVRLSGGIHKLLDPSLCDESQLKEIKRCMEIGLLCTQNKPSDRPTMPDVLEMLQGKKKVPTPKQPGYIKRVRAAGRHKQPAGGRAAAAASGAAGAGREAAAGAGWEAAADGQHVLFLLFSVLCDEC >ORUFI12G08160.2 pep chromosome:OR_W1943:12:6937332:6955089:-1 gene:ORUFI12G08160 transcript:ORUFI12G08160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMRREQLDWSSRFRIIHGITQGIIYLHTHSGKPTIVHLDLKPDILLLDSDMNPKIGDFGLAKVLKDDEVNASVRGTLGYMPPEYIVEGVISVKNDVYGFGVTLLETISGMSDSGRDARHQASIEWAWNVRLSGGIHKLLDPSLCDESQLKEIKRCMEIGLLCTQNKPSDRPTMPDVLEMLQGKKKVPTPKQPGYIKRVRAAGRHKQPAGGRAAAAASGAAGAGREAAAGAGWEAAADGQHVLFLLFSVLCDEC >ORUFI12G08170.1 pep chromosome:OR_W1943:12:6956069:6956314:1 gene:ORUFI12G08170 transcript:ORUFI12G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHSQIVLPRRCFLHCKISSTPRSSLKRSSAPQSLPPATGEIEKLDITRLWGAEDGEDEIPEGALWSKVEGADEIPEGAL >ORUFI12G08180.1 pep chromosome:OR_W1943:12:6956324:6956545:1 gene:ORUFI12G08180 transcript:ORUFI12G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGEKTAAVADDVGLGGWTVCGSVGSAADSSRDTQQCWLGTDDDKDAHELRNDVAPRVKDGARSLPIVPC >ORUFI12G08190.1 pep chromosome:OR_W1943:12:6959172:6959675:1 gene:ORUFI12G08190 transcript:ORUFI12G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAFSLSLFQQPPTMEPPTTSPSSASTVLVSRRSPSLTPAPSAASATASSRLLPALEEGAGAPALRRADVERERGLDILVNLGQGRTGSTSRWRRLEKVVVAMAEAEAEEDKGVGEEGRGLEGTGGTARARQRWHRLQRQDEQSRHRDQRAAARSQPLRQLHCSWP >ORUFI12G08200.1 pep chromosome:OR_W1943:12:6963382:6963738:-1 gene:ORUFI12G08200 transcript:ORUFI12G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVKMAHPSTVQIKEEPAEAPACASMDATRLSSNPAGKRAGVGQDGFVRPGGMAVPAASKMAPPSKVHVKEETVDSDMRTGWARYGQLFLRTKLPLANGDYSINQLLILTIDVL >ORUFI12G08210.1 pep chromosome:OR_W1943:12:6965462:6965876:-1 gene:ORUFI12G08210 transcript:ORUFI12G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVSSVKHITEMALKIKHAVETVQRNKEDCIQIRRRVMRVSDVLTLLQETENMLSNPAIRAALEDLADTLHHAHTLVVSSQEKNAVCLFCAATTLSKKLRRVNDQISNQVMVGILATTVHAIANTM >ORUFI12G08220.1 pep chromosome:OR_W1943:12:6978717:6979025:1 gene:ORUFI12G08220 transcript:ORUFI12G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPRCGGCGLALHGPVSLICTGVGGERGEAATGVWEPWSSAVRERGRALRAVSRLRPLVGDQGGAPPAVAALLESVAGKFSVPTPRFLYDGEDDEKQKSEK >ORUFI12G08230.1 pep chromosome:OR_W1943:12:6983627:6985214:1 gene:ORUFI12G08230 transcript:ORUFI12G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLVPLDPTCWEGGEKRLRARCFSVGARGGGNAISGLGVDVGQAGSGSVGARGGDDGVAWLAGDVGARRGQEEKEKNRPWEKRDGRQGLHVSEVETDILGNTKLQC >ORUFI12G08240.1 pep chromosome:OR_W1943:12:6993770:6995312:1 gene:ORUFI12G08240 transcript:ORUFI12G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGVREYRQFERMTPERRAEYLVGMAGVLTLVTSIVLLLSGSTYGACLRDGDVCSGMTRLVRDPDTGIFVPELSPYERWLKEHGIKKGVHVMSPIESGCCKPPSSCGFTYVNGTTWIPTPAAAGAPAAATNVDCSRWSNNQQTLCFQCDSCKAGFLDDIKKAWSLDALYPILALIGAFLSCFAGVKYWRPRLDTGYSLIRERAVA >ORUFI12G08250.1 pep chromosome:OR_W1943:12:7001629:7002113:-1 gene:ORUFI12G08250 transcript:ORUFI12G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGERWPAALEAKALLQASLPDVWPMANEVDVVGTSDFVWVEHSSWRASLFSLAWRRSFYDSEAVTTATVTSIFGSVAGSGSYRGHSGQT >ORUFI12G08260.1 pep chromosome:OR_W1943:12:7020260:7023237:-1 gene:ORUFI12G08260 transcript:ORUFI12G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKHPLIILRSMLEHKNIVELLGYCQEYMDVKIPKENATEGTVPAKEEFLLLVEEYMTNGNLGNLICGGLDWSTRLWIIEGITQGVVYLHTHSEKPIVHLDLKPDNILLDSNMNPKIGDFGLSKELQDDETNASVRGTLGYMPPEYIVEGIISLKNDVYGFGVTLLEIICGMSESGRGARHQASIEWAWNVRLCGGMNKLFDPSLCDESQLKEIKRCMEIGLLCTQNKPTERPTMQDVLKMIQGKKKIEGCQYYQWVDEMVDTRVKTPHAPAPIHAVPLQVVAPPRGFPTAAPLAIMQEGSQAAGVVGNAQVGNSVMQ >ORUFI12G08270.1 pep chromosome:OR_W1943:12:7057462:7057677:1 gene:ORUFI12G08270 transcript:ORUFI12G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAREECAVCLQDFVAEEKLRMMPCSHTFHQRCIFDWLRLGCICPLCRRAPPTQQEDDKLGCPELGATG >ORUFI12G08280.1 pep chromosome:OR_W1943:12:7063689:7064032:-1 gene:ORUFI12G08280 transcript:ORUFI12G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLEELDETLRHAHTLIAACQERNIVCLFCAATALSKKLRRVQDDISDKMIEGMLATNVHVTIVLARIQDDVDYTRPPRLYKSKVGH >ORUFI12G08290.1 pep chromosome:OR_W1943:12:7070060:7073326:-1 gene:ORUFI12G08290 transcript:ORUFI12G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMATHHFATRIGQGGSATFYKGVLRDGLEVAIRKHENAHPNRYDGKPEMHRLIHLCSMLEHKNIVKVLGYCDENRGVDLSNENTPKEVVGEQLDWSSRFQIIQGITQGIIYLHTHSGKPTIVHLDLKPDNILLDSDMNPKIGDFGLAKVLEDDEINASVRGTLGYMPPEYIVEGVICVKNDVYGFGVTLLETISGMSKSGRDTRHQASIEWAWGKRNSGVMNKLFDPSLCDNSQLKEIKRCIEIGLLCTQKKPTDRPTMSDVLQMLQGTKKVPTPKQPGYIKRVREAERYKQV >ORUFI12G08300.1 pep chromosome:OR_W1943:12:7085122:7086470:-1 gene:ORUFI12G08300 transcript:ORUFI12G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMHRLVHLCSMLEHKNIVTLLGYCDENRGFDMSNENITKGIVGGQLDWSSRFRIIQGITQGIIYLHTHSGKPTIVHLDIKPDNILLDSDMNPKIGDFGLAKELKDDEINARVRGTLGYMPPEYIVEGVISVKNDVYGFGVTLLETISGMSDSGRDACHQASIEWAWNLRLSGGIHKLFDPSLCDESQLKEIKRCVEIGLLCTQNKPSDRPTMPDVLEMLQGKKKVPTPKQPGYIKRVRAAGRYKQV >ORUFI12G08310.1 pep chromosome:OR_W1943:12:7109908:7110322:-1 gene:ORUFI12G08310 transcript:ORUFI12G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVSSVKHITEIALKIKHAVETVQRNKEDCLKIRRRVMRVSDILSLLHETQNILSSPAVRAALEDLAETLHHAHTLVVSCQEKNVVCLFCAATTLSNKLRRVNDQITDQVMVGILATTVHEIANTM >ORUFI12G08320.1 pep chromosome:OR_W1943:12:7117466:7117984:-1 gene:ORUFI12G08320 transcript:ORUFI12G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVSSLKHITQIALTIKEAVDTVRRNKEDCVQIRRRVARVSDVLSWLQETGNVTSCSNRAMGDALEDLSETLHHAHALVVSRQEKNAVCLLCAATALSNKLRRANDHVSDQMMVAILAATLHATCALGQIQGDVKHDVMYALPVTEITDDIEVTKKEEPKLPPPPMEAEA >ORUFI12G08330.1 pep chromosome:OR_W1943:12:7122948:7123476:-1 gene:ORUFI12G08330 transcript:ORUFI12G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRAAYNNPEQAVEGFLSKLRPLPQSKHLLSLLQK >ORUFI12G08340.1 pep chromosome:OR_W1943:12:7124576:7124980:1 gene:ORUFI12G08340 transcript:ORUFI12G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASKQLPSQRCGGHCQLHHHRPEEIAGAESHERDGSSGCGGAGPMVVLIPGSGAAAEAEDDGGGRWRCCYGCSCGAGGGADAGDGLLPICGPSFSDLRTVSPPDLLFPDLVASDRCRRHRHLHLNLGDHAAAN >ORUFI12G08350.1 pep chromosome:OR_W1943:12:7188727:7189034:-1 gene:ORUFI12G08350 transcript:ORUFI12G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAAIPSICASSPKKISIYNEEMIVARCFIGFLIFSRKSLGKTFKETLDGRIESIQEELQQFFNPNERRSRIITNGTLCA >ORUFI12G08360.1 pep chromosome:OR_W1943:12:7191220:7192062:-1 gene:ORUFI12G08360 transcript:ORUFI12G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGGLHTTGAKWFMIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGATLLSLGLIFLLYTMFVWWRDVLRESTLEGHHTKAVQLGPRYGSILFIVSEVMFLFAFFWASSHSSLAPTVEIGGIWPPKGIGVLDPWEIPLLNTPILPSSGAAVTWAHHAILAGKEKRAVYALVATVLLALVSTGFQGMEYYQAPSTISDSIYGSTFFLATGFHGFHVIIGTLFLIVCGIRQYLGHLTKKHHVGFEAAAWYWHFVDVVRLFPFVSIYWWGGI >ORUFI12G08370.1 pep chromosome:OR_W1943:12:7192396:7192824:-1 gene:ORUFI12G08370 transcript:ORUFI12G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSTDKKDRRNMLFAAIPSICASTTLLNATSSRRIRLQDDIVTGFHFSVSERFVSGSTFKASTVEQIREAFVPIDLIREGLIVLRKV >ORUFI12G08380.1 pep chromosome:OR_W1943:12:7202913:7204094:1 gene:ORUFI12G08380 transcript:ORUFI12G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSETTTDQSGSNKQQQRRRHHFILVHGVCHGAWCWYRVATALSSAGHRVTALDMAACGARAGRADEVPSFERYSAPLLDAVADQDGEEKAVVVAHSFGGQSLALAMERHPEKIAVAVFVTATMPAAGKPMSFAFKQLSQGKDADFFMDCTIRTIGDPQNPDKTFLFGPEYLARRVYQLSPPEDLTLAMSMVRPSRRFLNDATMNGDVLTVGRYGAVRRVYVVAEDDEWKPAEIQRLMVSWNPGTEVRALLGADHMPMFSKGRELSELLVEIANR >ORUFI12G08390.1 pep chromosome:OR_W1943:12:7211945:7218816:-1 gene:ORUFI12G08390 transcript:ORUFI12G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASLAVRRALDTLTSFLPASLVASSSSGAARAREEQDLEDLRMLERTMRRIHATLNDAEQLWDIREETTKLQLKELKELAYDMEDVVDEYEYEVNRCKVEALELSASAASNKRKHQTENEALLHDLGIVAVPEELATKTRKLIERFHEIKYYSDNFTLTDNDGERRVAPNIGSFQKTSSVVFEKSILGREKDKDKIVEKLLSMGGDNIASPIFVLAIVGMGGIGKTTLAQLVYNDSKMRESIDKHAWVCVSQPFDVTNITKSIISSLKKDRCDLSELAILQEILLRQIKGKKVFLVLDDVWNERSDFWELLCIPMRSTKLCNIVVTTRSESVARLVQTMPDFYSLNCLSSYDSWLLFKQFAFPNQDSGTPANLVEIGRDIVRKCKGLPLAIKTVGSMLHCEANETAWRNVAESELWDLEQIQNEILPSLHLSYKHMPLYLKRCFAALSLFPKDYQLEDSLVVHLWEYLDLLQSDRNDIENKTGYLYFNELVQRSFLQEYDAFCYTMHDLFHDLACFLAGEDFFRLEGKILTEIPPNTRCMSLFINCRIDETLVAPISLRSFVIFGKTGFGDFMDVDTFLLNCKKLRNLSMVCKPSMAFPDFIGGLKLLRRLSVLPPLFGFKIIKCTTMPQLYNLHDLHLNGTHAVLGFGKLIKLQTLEVYVTRYGCNCNIRELRNLNEVRNLTIYGLDNVAHIEDASKAQLQNKRHLESLSLQFSNVILDCGHMLEPKPVAVSHEKVLHNLRPHHNLRKLHIYGYNSPIFPSWLGNASFLNMTELDLQCSECHKLPTLGELPSLRFLRLAKMKNLQHIGRELCSNVIGVKGFPSLTALSINFMPGWYKWSGVVDGDFSCLRSLTIFDAQKLRSIPLALFMSVITLCLWSCGNLHTFPASHNLIELRITECDGLTELPALPSLVELNIKECPNLCTIGSLPSLLELQIHKCPNLSVVGSLPSLTTINLKDPQLKDEALYSLLNVIDHPSLNCITIICETMADLNLEPQRLSSLKKLRLCCPNLQYCHGLSDLTFLEEIKIWGCPNLPTDGLLQRLQQSLDVQKNAGGML >ORUFI12G08400.1 pep chromosome:OR_W1943:12:7255062:7256033:1 gene:ORUFI12G08400 transcript:ORUFI12G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPPPSLPPASPLPLPPWRPDPSPAVLPWPDLLAGAAAATRRLIAAHSRHFLALSSLLLLPLSLLLLSLPAPFLPPVSPSVSLRSTTTPQPALPLPLLALVAAAALLYLAAFAAAAASAHAGFFGRPVKLLASLLSVPASLLRLLLTALPAAPLLLLPLLPLPFPLTAALAVLGLLLLVPFWSLAGAAAVVESSACVSPLRQSCRLLNGARLAALSAFLVFGAGIGVTLWGFGGVAAETYDASAGWAGMAPVVVKAVVGTAVLVVLMLYGMVINVVLYMHCRALHGELTGEIYNEFANSYVFLPFDEGKDRHVVSVVTVWP >ORUFI12G08410.1 pep chromosome:OR_W1943:12:7265069:7268713:1 gene:ORUFI12G08410 transcript:ORUFI12G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCLLHYDTCTSLCKDNMACCSSLLRLMILPVAFAVLVIASVAHGAVVEHTFNVGNLSISRLCQPEMIITAVNGQLPGPTINVTEGDTVVVHLVNESPYNMTIHWHGVFQRGSQWADGPSMITQCPVGPSDNYTYRFNVSDQEGTLWWHAHISFLRATVYGAIVLNPRAAAPFPAKPDTEHVVLLGEWWNANVVDLERMAFLTGIPARNADAYTINGKPGDLYNCTAANQTEVFRVRRNETHLLRIINAALNTPLFVKVAGHGFTVVAVDASYTTPYATDVVVIAPGQTVDALMVADANATASPGGRFYMAATPYDSAVPSGPPFSQTTATAVVEYVGEADDAVPPVLPARPDYNDTATAHRFWSNLTALVLPGKPTVPLAVDTHMFVTVGLGVSDCQPAQLLCNRSAPPVFSSSMNNASFVAPTAISLLEAHFSNASAGVYTRDFPDTPPVVFDYTGDESDNATMQFTTKSTKVKTLRYNETVEMVLQNTRLIAKESHPMHIHGLNFFVLAQGFGNYDEATAAPLFNLVNPQERNTIAVPTGGWAVIRFVANNPGMWYMHCHFEAHIEFGLAMVFEVLDGPTQETSLPPPPADLPRC >ORUFI12G08420.1 pep chromosome:OR_W1943:12:7276808:7278139:1 gene:ORUFI12G08420 transcript:ORUFI12G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSHQHHHVLVLQLILLLPFLHLVVPGTPAAARKFSDVLASGRNVSDGDVLVSPGGSFTLGFFSPAATRRRYLGIWFSVSPDAAVHWVANRDHALNDTSGALMLTDAGVLLLLDGSGKVVWSSSATALPSATTSAAARLLDSGNLVVQGQGSGTALWQSFDYPTNTLLPGMKIGKNRWTGAEWYLLSWRSPADPSPGSYRYVTDGDEALPENVVLDGNGTEVYRTGVWNGRRFNGVPEMASFADMFSFQLTVSPGEVTYGYVAKAGAPFSRVVVTDDGVVRRLVWDAATRAWKTFFQAPGDSCDSYAKCGAFGLCDSNAGATSICRCVKGFSPASPAEWSMREYSGGCRRDVALDCGTDGFAVLRGVKLPDTRNASVDMGVKLDECRARCVANCSCVAYAAADLSGGGCIMWTKPFVDLRFIDNGQDIYQRLAKSEIGNLT >ORUFI12G08430.1 pep chromosome:OR_W1943:12:7284374:7286975:1 gene:ORUFI12G08430 transcript:ORUFI12G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTANGILPAESTITGSRQENEIVAVKLLQPSGTGTFVAELEAMFNAIHVNLVRLLAFCSDNDDRHTGEKFRALVYEYMPNNSLHHYIFGTTEEVFFKIIKKWLPSYLSLAYDLSAQNSELRAMLDWPLRLKIVDGIVEGIRYLHVGSNTPIIHRDLKPSNILLGRDWTPKISDFGLARGYTAPECWQLGRVEPESDVYSFGVILLEMISGKPNGLMQQLLPHVSTPIPYRVLDQRQSTQLY >ORUFI12G08440.1 pep chromosome:OR_W1943:12:7308752:7316696:-1 gene:ORUFI12G08440 transcript:ORUFI12G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAGEGSNSMAAAPPPRLLLLVVLLLVPVSNAIYCEEDDCYDLLGVKQDANVSEIKKAYYKLSLKHHPDKNPDPESRKLFVKIANAYEILKDESTRGQYDYAIAHPEEVFYNTAQYYRAYYGHKTAIETVKQTPAYKNRLKALEFERTGGISSKKKGHKQMDKKVEEVLSNEVELQIQGVEKPSLWRLYGVQFILLPYSIGKVLSWKFCWFWRYRIKKLPYAWEDACYLTRMSLKIPANTWENIDDYRKENLVMKRLWEKNNMERYIAEMRKESKRRR >ORUFI12G08440.2 pep chromosome:OR_W1943:12:7308752:7316644:-1 gene:ORUFI12G08440 transcript:ORUFI12G08440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAGEGSNSMAAAPPPRLLLLVVLLLVPVSNAIYCEEDDCYDLLGVKQDANVSEIKKAYYKLSLKHHPDKNPDPESRKLFVKIANAYEILKDESTRGQYDYAIAHPEEVFYNTAQYYRAYYGHKTAIETVKQTPAYKNRLKALEFERTGGISSKKKGHKQMDKKVEEVLSNEVELQIQGVEKPSLWRLYGVQFILLPYSIGKVLSWKFCWFWRYRIKKLPYAWEDACYLTRMSLKIPANTWENIDDYRKENLVMKRLWEKNNMERYIAEMRKESKRRR >ORUFI12G08450.1 pep chromosome:OR_W1943:12:7355097:7358443:1 gene:ORUFI12G08450 transcript:ORUFI12G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPVHLEHPFGTQIVKDNMARSWSLLLLPFALALVASVAQAAVVEYTFNVGNLSISQLCQQEMIITAVNGQLPGPTIVATEGDTVVVHMVNESPYNMTIHWHGIFQRGTPWADGPAMVTQCPVRPGGNYTYRFNVTGQEGTLWWHSHFSFLRATVYGALIIKPRGGAKAYPFPVPDEEVVVILGEWWKTNVYDLQQRSLVTGNPAPHADAYTINGKPGDFYNCSAPNQTHKFELKQNKTYMLRIINAALNTPLFFKVANHSFNVVAADACYTKPYKTDVVVISPGQTVDALLVPDAGVAAAVGGRYYMAVIPYNSAVNAADPSFLYSLTNSTAIVEYGGGPATSPPMVPDMPEYNDTATAHRFLSNMTALVPNRVPLAVDTHMFVTVSMGDTFCGPEQTMCMPDDKGTIFASSMNNASFILPNTTSMLEAMYKGSIDGVYTRDFPDTPPIVFDYTADASDDNATLKHTFKSTKVKTLKYNSTVQMVLQNTRLVSKESHPMHLHGFNFFVLAQGFGNYNETTDPAKFNLVDPQERNTVAVPTGGWAVIRFVADNPGVWFMHCHFDAHLEFGLGMVFEVQNGPTQETSLPPPPSDLPQC >ORUFI12G08460.1 pep chromosome:OR_W1943:12:7429955:7437811:1 gene:ORUFI12G08460 transcript:ORUFI12G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMAFLTGIPAPHADAYTINGKPGDFYNCSAPNQTAKFEVRQNGTYLLRIINAGMNTPLFFKVAKHRLTVVGADACYTKPYKTDVVVVSPGQTVDALMVASAAVGRYYMAASPYDSAIPQGPPFSDTTATAILQYAGARRKTVRWRPPVLPRRPPVNDTATAHRFFSGMTALLRHGKPSAVPLAVDTHMYVTVGLGVSLCQPEQLLCNRSAPPVFSSSMNNASFVVPKNTSLLEAHFRREPAGVYTRDFPDTPPVVFDYTGDESDNATMQFTTKSTKVKTLRYNETVEMVLQNTRLIAKESHPMHIHGFNFFILAQGFGNYDKRRAERRFNLVDPQERNTIAVPTGGWAVIRFVADNPGMWYMHCHFDAHISLGLAMVLEVLDGPTPETSVPPPPADLPRCS >ORUFI12G08470.1 pep chromosome:OR_W1943:12:7475502:7477517:-1 gene:ORUFI12G08470 transcript:ORUFI12G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAEKTPIVYMPATPSRMFRVTQLLRETTKRVIGTKTTSRADLTLSCFSTVSNLQRLAGKVAVITGAASGIGKATAAEFIKNGAKVILADIQDDLARSVASELGPDAAYTRCDVADEAQVAAAVDLAVRLHGRLDVFHSNAGIPGRIPQDDALSVDLAGFDRVMAVNARPALAAIKHAARVMAPRRTGCVICTASGAGVVPMPALAMYSVSKATVIAVVRAMAEPLARHGLRVNAISPGATRTPMMLNEIPRLAVVSPGLSGELRRMVEEGASDAVKVLEPEDITRAAVYLASDEARYVNGHNIVVDAGYSVHKGAENSPAR >ORUFI12G08480.1 pep chromosome:OR_W1943:12:7480459:7481309:1 gene:ORUFI12G08480 transcript:ORUFI12G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPCAIAAPAAYPVVTLDTHDIEHRMRAKLALSKCNAPEEGEVPKNAKVYLILSRGLEKNNGTRTPAP >ORUFI12G08490.1 pep chromosome:OR_W1943:12:7512865:7523456:1 gene:ORUFI12G08490 transcript:ORUFI12G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNVDDDSQWVEVVMINSYALFMGYLSMAIRGMGFLVVLWTTVILLGGFVSMLEKKDFWSLTVITLAQTTGVFDVFLNEKLTYFRKSFFGLVGTISAMLVKVEKGSFCRMEGVRLMLARVLLVLQLVVLVVILSPLAVFYLFGLLITAGLSLWRLLQRDYGAGEEAANLAPALNVLYSLALVQGALFFYYFTSRLLGRRLANLVAGVYSFGGEGEEEDDGGRTSVVEYMRQTRNGCEKDPSSVRGRNLVTFAVTMMKESSSSSLSSGDYSSGARILDKLLSQAWLREQHELIRQLVGSSTDLMEKLLQTLRCTGTRDRGVREHAARIVAHLAGEITLARFPQGIRCIYSLLTTPRNQQQDDDNDDDDDDSAQSSDHYKKLMVQGLVILHKLAAAEHNRRIIINSTQGRQLLSMAMAPVSADLLHRIDHEAWNDIVACSLQLMCRLVTAPGETGDKLRSQVLNDKDAIGTMERILNCDGCNEKRLYILAINILTQLPMAAKNKVVDEASSMSVESRRKFTKLLLLIYTDEEKDAFMRQMAGEALAMLPERSKSDATIILKASDSTLKDLTAMLLDVNSNRGYRICAAEILEHLYIRYTKQDGYLNNLTEAMKDVLPKVLGEIFLVSWTHKEKQPGMTEQGTEGVNFSAQKADIESQDPVACQHEKVKEENEKVKEENEKVKEQDEKVKEQTVDMKLYAALLSLSEAIFQRLVNDDKDLAELTDKIAPGGGTAFSFAGKLKEMVEGNSEQATANCLRMLKITTRMIISLINLNGAKVGADLESLMHSLLKASEKMLELEGFMIFSSSDRTESTNPANILASLVKEAQELLEKKRQAQTTPAPSMETS >ORUFI12G08500.1 pep chromosome:OR_W1943:12:7523657:7523839:1 gene:ORUFI12G08500 transcript:ORUFI12G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQNAITEIKDGLDKVTKSVLALRAGFEAKKVRGETTTMTTTPSLSATWSEVELLQATR >ORUFI12G08520.1 pep chromosome:OR_W1943:12:7563545:7564734:-1 gene:ORUFI12G08520 transcript:ORUFI12G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSRVICRVYIYKEAGSLNLENMYHSWGSNGSSSGSGSSTSSSSSSRPFNSVRMDGAVLPVSSRQPPPSSDHSPTKEELPRATAAVGRYSAEERQERIEKYRSKRNHRNFERKITYACRKTLADSRPRVKGRFARNSTTGDAADGSQSTGLAEVVSSPLATTNAMCNDDDDGGSGDLPEWWPAMKEALARQEDDDEDLLAAYLGVSSINLYSPRGHSS >ORUFI12G08530.1 pep chromosome:OR_W1943:12:7579863:7583777:1 gene:ORUFI12G08530 transcript:ORUFI12G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGFKYHEEYVTNKRGMKLFACQWSPLDHEPKALIFLCHGYAMECSISMRGTGVRLAKAGFTVHGLDYEGHGKSEGLQGYINSFDDVVVDCSNYFASVCERGECKGKKKFLLGESMGGAIVLMLHRKEPTNWDGAILVAPMCKIVEDMKPRPIVITILSKLSNVIPTWKIIPTEDVIDKAIKSEEWRQEVRNNPYCYKGRPRLKTGYELFMASLDIESTLDKVTLPFIIVHGGDDAVTDPSVSEELYTSAQSKDKTLKLYPGMCHALTSGEPASNIDIVFLDIIKWLDERVSVS >ORUFI12G08540.1 pep chromosome:OR_W1943:12:7586070:7586568:1 gene:ORUFI12G08540 transcript:ORUFI12G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYVKCSFWWKDGFVLQGPMVYLHSRHRISNLFSYAQKEDISFESFMQHQEITSNFHFPISTQALRELQELNELMATIQVSTLEDTWTYIWGTNLYQSKKVNSLFFAPIHPSPSLTVIWKSKCTIKIKVSFWLLLVDHLNIRGMMQRKP >ORUFI12G08550.1 pep chromosome:OR_W1943:12:7587460:7588585:1 gene:ORUFI12G08550 transcript:ORUFI12G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAMCGSSVGQRQRGVTGQWQCDMLGCKARITRWHQGEHATLARQPPHRSVLPWCVHSAWEVAIVRAHCHSMAQGRRPGAGVAAGRGRGGLTCMWQCGGVGMARRDGNLSTGAGCAIRPRPRCGPRARSGVAAQQWRHGRTVASEGRQSVELIGALFFYFAFLPLLHLLLSFPLSALIAAKRACYRVHGMATGYCHGCRCQRCSSRPSRDRDGVAWAPLQHAAGEAPPMQRRFMDISDTFAQVEGPTINFTLDGELNDLPQNLYGIRKLKKV >ORUFI12G08560.1 pep chromosome:OR_W1943:12:7596512:7603205:-1 gene:ORUFI12G08560 transcript:ORUFI12G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAARSGAHPPSFASSLGRCRVLPVVVVRRPGGAARPSPLLAPARCAAAVGTAAPKVEGGGRRSSEQGQLAVAPARLVDELVEEALVWSSQHGLVVGDKNHPRSGKAPGVGLLHAPFALLPMSFSKVYWDQAVELAPLFNELVDRVSLDGDFLQETLARTKEVDSFTGRLLDIHAKMMKLNRKEDVRLGLTRSDYMVDGATDQLLQVELNTISTSSNGLACGVCELHRNLIRQHERELGLDPESVVGNTAIAQHAEALAGAWAEFNNQRFSVVLVVVQPEERDGLPVAVVYFRAGYTPNDYPSEAEWRARLLIECSSAIKCPSIAHHLVGTKKIQQELAKENVLERFLDNKADIEKVRKCFAGLWSLENDSIVMSAIESPELFVLKPQREGGGNNIYGDNLRETLISLKKDGSNELAAYILMQRIFPPASLCYLVRDGTCIRENAVSEFGIFGAYLRNKDRVIINDQCGYLMRTKAASLNEGGVVAGYAFLNSVFLT >ORUFI12G08560.2 pep chromosome:OR_W1943:12:7596512:7597667:-1 gene:ORUFI12G08560 transcript:ORUFI12G08560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDAEGELRPDGTLTIDGLPVAVVYFRAGYTPNDYPSEAEWRARLLIECSSAIKCPSIAHHLVGTKKIQQELAKENVLERFLDNKADIEKVRKCFAGLWSLENDSIVMSAIESPELFVLKPQREGGGNNIYGDNLRETLISLKKDGSNELAAYILMQRIFPPASLCYLVRDGTCIRENAVSEFGIFGAYLRNKDRVIINDQCGYLMRTKAASLNEGGVVAGYAFLNSVFLT >ORUFI12G08560.3 pep chromosome:OR_W1943:12:7597859:7603205:-1 gene:ORUFI12G08560 transcript:ORUFI12G08560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAARSGAHPPSFASSLGRCRVLPVVVVRRPGGAARPSPLLAPARCAAAVGTAAPKVEGGGRRSSEQGQLAVAPARLVDELVEEALVWSSQHGLVVGDKNHPRSGKAPGVGLLHAPFALLPMSFSKVYWDQAVELAPLFNELVDRVSLDGDFLQETLARTKEVDSFTGRLLDIHAKMMKLNRKEDVRLGLTRSDYMVDGATDQLLQVELNTISTSSNGLACGVCELHRNLIRQHERELGLDPESVVGNTAIAQHAEALAGAWAEFNNQRFSVVLVVVQPEERYMYDQYWITVALREIYPWVLSRIKETVNYC >ORUFI12G08570.1 pep chromosome:OR_W1943:12:7607781:7610312:-1 gene:ORUFI12G08570 transcript:ORUFI12G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPRWRRRHGWQLPLHPLQLVGMAVFAVLVAAFYVVLGPYLGSTVAGNTLLALFSSSAAGAAALYVRCTAVDPSDRTHAKKMKRRRQLARARAGRRGGGGGGRLPRLRYGYILWRYVVRLLRRVEVRVMNRWVRRSYLEQWNSSVQLDPMLPFAFTSLDDIVSPHAAAGHDISYCPVCDCEVKLRSKHCKTCDRCVDGFDHHCRWLNNCIGRRNYASFILLMFFVLLMLVIEGGTAIAIFVRCFTDSKGLKMEMEHRLHIRLPKGAHAALSMVFVIFTLYSTVALGQLFFFHVVLIRKGMRTYDYILAMREAAQAFDPFDDSDSSSDESIDFDSPERPSFLSRIFCRKDELSESTRKLSIRIENEPSDGTRRKDDIQINPWTLIKMSKEKAMAAAERARERIRNKLPSTTTSPMKPLPVETKRGPLNQERKHIMTGKEIVPVFTKSWLSGSPTARLSSPRRRFSGSPSPKPQRHRSNFDLRLAEVSRELESHISKQVLCSVVMKGVEDEDSPS >ORUFI12G08580.1 pep chromosome:OR_W1943:12:7612593:7639068:-1 gene:ORUFI12G08580 transcript:ORUFI12G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKSRVLIVGGTGYIGRRIVAASLAEGHPTFVLLRPEIGLDIDKLQILMAFKAQGARLLEASLDDREGLVAAIRQVDVVVSAMSGVHLRSHNLMLQLKIVEAIKQAGNVKRFLPSEFGADPARLGDALEPGRVTFDEKMAIRRAIEEANIPHTYVSSNCFAAYFCPNLCQLKSLLPPKERVDVYGNGNVKAFFVDEDDIGTYTVKSIDDPRTLNKTIYIRPQDNCLTQNELIAKWEELTGKSLEKVHIPGVEFLAAMKDLNFAIQVAVTHYYHIFYDGCLTNFDIGEHGAEATMLYPEVPRGLKYRYPVSNSNVQSSHSAQQPYMAMEKSRVLIVGGTGYIGRRIVAASLAEGHPTFVLLRPEIGLDIDKLQILLAFKAQGARLLEASLHDHEGLVAAVRQVDVVVSAMSGVHLRSHNLLLQLKLVEAIKEAGNVKRFLPSEFGMDPSRMGNALKPGRVTFDEKMEIRRAIEDAKIPHTYVSSNCFAAYFCPNLSQLTSLLPPKERVNVYGDGNVKAFFVDEDDVGTYTIKSIDDPRTLNKTIYIRPQDNCLTHNELIAMWEKLSGKSLMKFHIPAEEFLAPMKDMQFAFQVGITHFYHIFYEGCLTNFDIGDNGAEATMLYPELALLSQILSRCENSITPCLFEFMLIGYVESSNEIELIIMFMFTLDCEHY >ORUFI12G08580.2 pep chromosome:OR_W1943:12:7612593:7639068:-1 gene:ORUFI12G08580 transcript:ORUFI12G08580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKSRVLIVGGTGYIGRRIVAASLAEGHPTFVLLRPEIGLDIDKLQILMAFKAQGARLLEASLDDREGLVAAIRQVDVVVSAMSGVHLRSHNLMLQLKIVEAIKQAGNVKRFLPSEFGADPARLGDALEPGRVTFDEKMAIRRAIEEANIPHTYVSSNCFAAYFCPNLCQLKSLLPPKERVDVYGNGNVKAFFVDEDDIGTYTVKSIDDPRTLNKTIYIRPQDNCLTQNELIAKWEELTGKSLEKVHIPGVEFLAAMKDLNFAIQVAVTHYYHIFYDGCLTNFDIGEHGAEATMLYPEVPRGLKYRYPVSNSNVQSSHSAQQPYMAMEKSRVLIVGGTGYIGRRIVAASLAEGHPTFVLLRPEIGLDIDKLQILLAFKAQGARLLEASLHDHEGLVAAVRQVDVVVSAMSGVHLRSHNLLLQLKLVEAIKEAGNVKRFLPSEFGMDPSRMGNALKPGRVTFDEKMEIRRAIEDAKIPHTYVSSNCFAAYFCPNLSQLTSLLPPKERVNVYGDGNVKDMQFAFQVGITHFYHIFYEGCLTNFDIGDNGAEATMLYPELALLSQILSRCENSITPCLFEFMLIGYVESSNEIELIIMFMFTLDCEHY >ORUFI12G08590.1 pep chromosome:OR_W1943:12:7642372:7643130:1 gene:ORUFI12G08590 transcript:ORUFI12G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQWASLLLILVVIVMACSGSIKELVGYAFPLEDGGNDSDTIPRYSTTTDATSSGQKCKSVKSPTMEILKQKTTRHKTRRR >ORUFI12G08600.1 pep chromosome:OR_W1943:12:7657254:7680015:-1 gene:ORUFI12G08600 transcript:ORUFI12G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKSRVLIVGGSGYIGRRIVAASLAEGHPTFVLLRPEIGLDIDKLQILLAFKAQGARLLEASLDDHDGLVAAVRQVDVVVSAMSGVHHRSHNILLQLKLVKAIKEAGNVKASEVTLQRWDEVEVTVEPRFLPSEFGMDPSRMGNALEPGRVTFDEKMEIRRAIENANIPHTYVSANCFAAKFSPNLCQMKTLLPPKERVGVYGDGNVKVFFVDEDDVGTYTIKSIDDPRTLNKTIYIRPQDNCLTQNELIAMWEKLSGKSLTKFHIHGDEFLASMKDTDFAHQVGVTHFYHIFYEGCLTNFDIGDNGAEATLLYPDVQYTRINEVLKRYLAMAMEKSRVLIVGGTGHIGRRIVAASLAAGHPTSVLLRPEIGLDIDKLQILLAFKAQGARLLEASLDDHDGLVAAIRQVDVVVSAMSGAHIRSHNLMLQIKLVEAIKQAGNIKRFLPSEFGMDPSRMGNALEPGRVTFDEKMEIRRAVENANIPHAYVSANCFAAYFSPNLCQMKTLLPPEERVGVYGDGNVKDDVGTYTIKSIDDPRTLNKTIYIRPQDNCLTQNELIAMWEKLSGKSLTKFHIHGDEFLASMKDTDFAHQVGVTHFYHIFYEGCLTNFDIGDYGAEATLLYPDVQYTRINELASLSQILSRYENSIPPCLFEYMFV >ORUFI12G08600.2 pep chromosome:OR_W1943:12:7657254:7680015:-1 gene:ORUFI12G08600 transcript:ORUFI12G08600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKSRVLIVGGSGYIGRRIVAASLAEGHPTFVLLRPEIGLDIDKLQILLAFKAQGARLLEASLDDHDGLVAAVRQVDVVVSAMSGVHHRSHNILLQLKLVKAIKEAGNVKRFLPSEFGMDPSRMGNALEPGRVTFDEKMEIRRAIENANIPHTYVSANCFAAKFSPNLCQMKTLLPPKERVGVYGDGNVKVFFVDEDDVGTYTIKSIDDPRTLNKTIYIRPQDNCLTQNELIAMWEKLSGKSLTKFHIHGDEFLASMKDTDFAHQVGVTHFYHIFYEGCLTNFDIGDNGAEATLLYPDVQYTRINEVLKRYLAMAMEKSRVLIVGGTGHIGRRIVAASLAAGHPTSVLLRPEIGLDIDKLQILLAFKAQGARLLEASLDDHDGLVAAIRQVDVVVSAMSGAHIRSHNLMLQIKLVEAIKQAGNIKRFLPSEFGMDPSRMGNALEPGRVTFDEKMEIRRAVENANIPHAYVSANCFAAYFSPNLCQMKTLLPPEERVGVYGDGNVKDDVGTYTIKSIDDPRTLNKTIYIRPQDNCLTQNELIAMWEKLSGKSLTKFHIHGDEFLASMKDTDFAHQVGVTHFYHIFYEGCLTNFDIGDYGAEATLLYPDVQYTRINELASLSQILSRYENSIPPCLFEYMFV >ORUFI12G08610.1 pep chromosome:OR_W1943:12:7684101:7690307:1 gene:ORUFI12G08610 transcript:ORUFI12G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFEQPPSSLEATGLSPAPPLNLLIPINGEPPEAEIGHRVEDLWEVAEPQLSPSEKLNSCFEDIVVASFPCPLGSQDHCYNSYFSAEIEHRVEDLREVAEPQLSPSKKLNSCFEDIAVASFPCPLGSQGK >ORUFI12G08620.1 pep chromosome:OR_W1943:12:7694890:7696894:-1 gene:ORUFI12G08620 transcript:ORUFI12G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAIEHQAAAAPELYVRYTAVEGGCVGQDARQKDEEATAASERGHAPATCGGSGGRSLPRLRYWYILWCGGDSFVRMMSKDTDVEHKLRNSPKFQTLESHKRPRSRKSSTPIDRAGEEDEGDDASKSTAPDLSQPSAKKRPIGRKQAKEKLKNGGEDGPYKEAMKDLLDAKEKEAKLKEERWKETW >ORUFI12G08630.1 pep chromosome:OR_W1943:12:7698877:7699791:-1 gene:ORUFI12G08630 transcript:ORUFI12G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLNFAIQVAVTHYYHIFYDGCLTNFDIGDHGAEATLLYPELASINQILSRYENSITPCLMVFVLYASQFKYTLFYHQVDRGTWKVQMKLNYHVHVQTCFRTLRGLKYGYPVSNSNVQSSHSAQCSV >ORUFI12G08640.1 pep chromosome:OR_W1943:12:7705220:7727376:1 gene:ORUFI12G08640 transcript:ORUFI12G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTPVEEQPPPAADASTLEEAEHAAAAATEGEGEHKSVMPVPDELLATTEGGVVQSNESSAKVDEPLLLLRHLGRSWPGAAAAAGGSGAARRERRGSGGGSPAAPTTTMGGAALVAATAKTRTRTAVEASSDVDSDNEDGVAGGVVQSNESSAKVDEPVATENSDAAPAEASVDAVNGKIPPTKLIPQHPLEGITLTERTVRITGNKKQIEAAKDIIKQAMSQVLVEANGVTRTLVLNRPKQLNALSSAMITCFLRCFTAYEEDDGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYGAHFFRNEFLLNYIIATYSKPQVSLLTGIVMGGGAGVSIHGRFRVVTDSTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLTGVRLDAAEMLACGLATHFVPSDRLSLLEESLKKVDTSDPFAICGIIDQYSQQPSLKEKSALNRLEIINKCFSKKTVEEIIASLEQEAPNVADEWIASAIQSMRKASPTSMKISLRSIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDRDRNPKWMPPRLEEVHDEVVEKYFSKVDEKNIFPKVDDPEWEDLDLPPRRSHGRRLVPKL >ORUFI12G08640.2 pep chromosome:OR_W1943:12:7705220:7727376:1 gene:ORUFI12G08640 transcript:ORUFI12G08640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTPVEEQPPPAADASTLEEAEHAAAAATEGEGEHKSVMPVPDELLATTEGGVVQSNESSAKVDEPLLLLRHLSPASIEEVEAAPDANGGGEEANRPRVEGDGEGVVQSNESSAKVDEPVATENSDAAPAEASVDAVNGKIPPTKLIPQHPLEGITLTERTVRITGNKKQIEAAKDIIKQAMSQVLVEANGVTRTLVLNRPKQLNALSSAMITCFLRCFTAYEEDDGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYGAHFFRNEFLLNYIIATYSKPQVSLLTGIVMGGGAGVSIHGRFRVVTDSTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLTGVRLDAAEMLACGLATHFVPSDRLSLLEESLKKVDTSDPFAICGIIDQYSQQPSLKEKSALNRLEIINKCFSKKTVEEIIASLEQEAPNVADEWIASAIQSMRKASPTSMKISLRSIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDRDRNPKWMPPRLEEVHDEVVEKYFSKVDEKNIFPKVDDPEWEDLDLPPRRSHGRRLVPKL >ORUFI12G08640.3 pep chromosome:OR_W1943:12:7705220:7727376:1 gene:ORUFI12G08640 transcript:ORUFI12G08640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTPVEEQPPPAADASTLEEAEHAAAAATEGEGEHKSVMPVPDELLATTEGEAAPDANGGGEEANRPRVEGDGEGVVQSNESSAKVDEPVATENSDAAPAEASVDAVNGKIPPTKLIPQHPLEGITLTERTVRITGNKKQIEAAKDIIKQAMSQVLVEANGVTRTLVLNRPKQLNALSSAMITCFLRCFTAYEEDDGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYGAHFFRNEFLLNYIIATYSKPQVSLLTGIVMGGGAGVSIHGRFRVVTDSTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLTGVRLDAAEMLACGLATHFVPSDRLSLLEESLKKVDTSDPFAICGIIDQYSQQPSLKEKSALNRLEIINKCFSKKTVEEIIASLEQEAPNVADEWIASAIQSMRKASPTSMKISLRSIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDRDRNPKWMPPRLEEVHDEVVEKYFSKVDEKNIFPKVDDPEWEDLDLPPRRSHGRRLVPKL >ORUFI12G08640.4 pep chromosome:OR_W1943:12:7705220:7727376:1 gene:ORUFI12G08640 transcript:ORUFI12G08640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTPVEEQPPPAADASTLEEAEHAAAAATEGEGEHKSVMPVPDELLATTEGGVVQSNESSAKVDEPVATENSDAAPAEASVDAVNGKIPPTKLIPQHPLEGITLTERTVRITGNKKQIEAAKDIIKQAMSQVLVEANGVTRTLVLNRPKQLNALSSAMITCFLRCFTAYEEDDGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYGAHFFRNEFLLNYIIATYSKPQVSLLTGIVMGGGAGVSIHGRFRVVTDSTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLTGVRLDAAEMLACGLATHFVPSDRLSLLEESLKKVDTSDPFAICGIIDQYSQQPSLKEKSALNRLEIINKCFSKKTVEEIIASLEQEAPNVADEWIASAIQSMRKASPTSMKISLRSIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDRDRNPKWMPPRLEEVHDEVVEKYFSKVDEKNIFPKVDDPEWEDLDLPPRRSHGRRLVPKL >ORUFI12G08640.5 pep chromosome:OR_W1943:12:7705220:7727376:1 gene:ORUFI12G08640 transcript:ORUFI12G08640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTPVEEQPPPAADASTLEEAEHAAAAATEGEGEHKSVMPVPDELLATTEGGVVQSNESSAKVDEPVATENSDAAPAEASVDAVNGKIPPTKLIPQHPLEGITLTERTVRITGNKKQIEAAKDIIKQAMSQVLVEANGVTRTLVLNRPKQLNALSSAMITCFLRCFTAYEEDDGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYGAHFFRNEFLLNYIIATYSKPQVSLLTGIVMGGGAGVSIHGRFRVVTDSTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLTGVRLDAAEMLACGLATHFVPSDRLSLLEESLKKVDTSDPFAICGIIDQYSQQPSLKEKSALNRLEIINKCFSKKTVEEIIASLEQEAPNVADEWIASAIQSMRKASPTSMKISLRSVSSQTFPKHTSLCYSSHSILVPSDANYCSIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDRDRNPKWMPPRLEEVHDEVVEKYFSKVDEKNIFPKVDDPEWEDLDLPPRRSHGRRLVPKL >ORUFI12G08640.6 pep chromosome:OR_W1943:12:7705220:7727376:1 gene:ORUFI12G08640 transcript:ORUFI12G08640.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTPVEEQPPPAADASTLEEAEHAAAAATEGEGEHKSVMPVPDELLATTEGGVVQSNESSAKVDEPVATENSDAAPAEASVDAVNGKIPPTKLIPQHPLEGITLTERTVRITGNKKQIEAAKDIIKQAMSQVLVEANGVTRTLVLNRPKQLNALSSAMITCFLRCFTAYEEDDGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYGAHFFRNEFLLNYIIATYSKPQVSLLTGIVMGGGAGVSIHGRFRVVTDSTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLTGVRLDAAEMLACGLATHFVPSDRLSLLEESLKKVDTSDPFAICGIIDQYSQQPSLKEKSALNRLEIINKCFSKKTVEEIIASLEQEAPNVADEWIASAIQSMRKASPTSMKISLRSIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDRDRNPKWMPPRLEEVHDEVVEKYFSKVDEKNIFPKVDDPEWEDLDLPPRRSHGRRLVPKL >ORUFI12G08640.7 pep chromosome:OR_W1943:12:7705220:7725777:1 gene:ORUFI12G08640 transcript:ORUFI12G08640.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTPVEEQPPPAADASTLEEAEHAAAAATEGEGEHKSVMPVPDELLATTEGGVVQSNESSAKVDEPLLLLRHLGRSWPGAAAAAGGSGAARRERRGSGGGSPAAPTTTMGGAALVAATAKTRTRTAVEASSDVDSDNEDGVAGGVVQSNESSAKVDEPVATENSDAAPAEASVDAVNGKIPPTKLIPQHPLEGITLTERTVRITGNKKQIEAAKDIIKQAMSQVLVEANGVTRTLVLNRPKQLNALSSAMITCFLRCFTAYEEDDGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYGAHFFRNEFLLNYIIATYSKPQVSLLTGIVMGGGAGVSIHGRFRVVTDSTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLTGVRLDAAEMLACGLATHFVPSDRLSLLEESLKKVDTSDPFAICGIIDQYSQQPSLKEKSALNRLEIINKCFSKKTVEEIIASLEQEAPNVADEWIASAIQSMRKASPTSRQRRKP >ORUFI12G08640.8 pep chromosome:OR_W1943:12:7725583:7727376:1 gene:ORUFI12G08640 transcript:ORUFI12G08640.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEVSNLQLSLPTKIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDRDRNPKWMPPRLEEVHDEVVEKYFSKVDEKNIFPKVDDPEWEDLDLPPRRSHGRRLVPKL >ORUFI12G08650.1 pep chromosome:OR_W1943:12:7734095:7734499:1 gene:ORUFI12G08650 transcript:ORUFI12G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGEVVPVMAWRQGGAAAVAVQGRGGAASRRSGGGGSGMRSSGSRRRRGAWTGSVVADAASTGAAAAAGDGRLRAGGEATVDPAATLRHGGXSASHAHGSGYGGADPARLQAVRPWIRLRRRAPHMVHSLSS >ORUFI12G08660.1 pep chromosome:OR_W1943:12:7734659:7734986:1 gene:ORUFI12G08660 transcript:ORUFI12G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEETAAHHPQQSHPSLSRRRQCTLAFTLCGGDRLEPRRPVTCSHRSSMGWRWKPASNHVHVLCQEDGRSSLWSSAPRNCLPQESSEADMFSSEATA >ORUFI12G08670.1 pep chromosome:OR_W1943:12:7761358:7764437:-1 gene:ORUFI12G08670 transcript:ORUFI12G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKSRVLVVGGTGFVGRRVVAASLAAGHPTYVLLRPEIGLDIDKLQMLLAFKARGARLLEASLDDHEGLVAAVRQADVVVSAMSGVHFRSHNLMLQLKLVEAIKDAGNVKRFLPSEFGMDPSRMGDALEPGRVSFDEKMVIRRAIEDANIPHTYVSANCFAAYFCPNLCQMKTLLPPKERVGVYGDGNVKVFFVDENDVGTYAIKSIDDPRTLNKTIYIRPQDNCLTQNELISKWETLTGKSLEKFHIPGDEFLASMKDLDFASQVGVGHYYHIFYEGCLANFEIGDNGAEATQLYPEVQYTRMDEYLKRYI >ORUFI12G08680.1 pep chromosome:OR_W1943:12:7780015:7781776:1 gene:ORUFI12G08680 transcript:ORUFI12G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHDTAMGQAGTRMDYQQSDGIYDMPDEPLFTLNLRLSHSNDLDRVHKSFTSKIDKARTYLTGRFRRIVKVKGSKRKEFVLTPEGPDVFTIAFTDGSNTVTAIIEEKNMWLRGLQTADGHIFEFNDEHGNEGKDANLDDKTDEMNNQFNGNDKTRDEEVKDEEEAIKDQKLKVIKDPKMKAIKDRGMNKHCKQKEKSKAACGSKKKQVNRKDQLNPKKYIRGYVLLRHDSNYGSLLGPNRLPLFQNLAHFDPNNYSDKHKRSMAALIIHTAETLKNKYISSLVLNSFNPHIGARLQALDDMGAAHINGWSTASELILKSIHLPSKVWDFQQQEFSKCNEFYKNQCWTTDPNVAMKSIVFLKGDAYTDSKLSVKDGDTITISHSLNASVGVVLKFP >ORUFI12G08690.1 pep chromosome:OR_W1943:12:7785033:7785590:1 gene:ORUFI12G08690 transcript:ORUFI12G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFMRKKKEEEEEGEKETRKTARLNGCGSGSASCVIAKRPTPASLREAARPRAYGQDSTGDTLCRLPPGAGPVWRVLPPSRPLPLDHATAQRLAPADSTVLPLAPHSSAGHAGLKAKLHRPRVASRGTPALAFAHYGRRCLRRAPRLSPLEGVNRTPHLKSLRRRRLFSVVFAEREGIRCKMLA >ORUFI12G08700.1 pep chromosome:OR_W1943:12:7799047:7802700:-1 gene:ORUFI12G08700 transcript:ORUFI12G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLDLGLLNTSRAGPLRCPIAGAVETGARVFAELDDLDTAAFESPSAEEEEAAKCPQSVMRSADEFHGRGRVVELSCGLTLGKGEQPIMVSQFMMELRGLKTVDGEDPPHILHFNPRLRGDWSSRPVIEQNTCYRMQWGAPLRCEGWKSHSDEETGWGPLQFQFDYFENWILDADGRSKESTTTWLNRLIGQKEMNFDWPYPFVEGRLFVLTISAGLEGGFVLEDATGLSLSGDLDVQSGPYPLHTQALPQSYLDMSTVWQSSPLPNEPVDIFIGILSSGNHFAERMGVRKTWMSAVRNSPKCQQGTKVAEALYIGNINFHHRSLRHGKWAVTYEEWPEEVYPPYANGPGYVISSDIAGAIVSEFRDRKLRSDRRRAAFVQVTSSVVAPRRQFDACRDWHLPDADDNGCVPARDPSLILGK >ORUFI12G08700.2 pep chromosome:OR_W1943:12:7799047:7802700:-1 gene:ORUFI12G08700 transcript:ORUFI12G08700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLDLGLLNTSRAGPLRCPIAGAVETGARVFAELDDLDTAAFESPSAEEEEAAKCPQSVMRSADEFHGRGRVVELSCGLTLGSHITVVATPRRAHAEGDPKIAVLSKGEQPIMVSQFMMELRGLKTVDGEDPPHILHFNPRLRGDWSSRPVIEQNTCYRMQWGAPLRCEGWKSHSDEETALGWRGYHVNVDVNVDGRHGFVLEDATGLSLSGDLDVQSGPYPLHTQALPQSYLDMSTVWQSSPLPNEPVDIFIGILSSGNHFAERMGVRKTWMSAVRNSPKCQQGTKVAEALYIGNINFHHRSLRHGKWAVTYEEWPEEVYPPYANGPGYVISSDIAGAIVSEFRDRKLRSDRRRAAFVQVTSSVVAPRRQFDACRDWHLPDADDNGCVPARDPSLILGK >ORUFI12G08700.3 pep chromosome:OR_W1943:12:7799047:7802700:-1 gene:ORUFI12G08700 transcript:ORUFI12G08700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLDLGLLNTSRAGPLRCPIAGAVETGARVFAELDDLDTAAFESPSAEEEEAAKCPQSVMRSADEFHGRGRVVELSCGLTLGSHITVVATPRRAHAEGDPKIAVLSKGEQPIMVSQFMMELRGLKTVDGEDPPHILHFNPRLRGDWSSRPVIEQNTCYRMQWGAPLRCEGWKSHSDEETGWGPLQFQFDYFENWILDADGRSKESTTTWLNRLIGQKEMNFDWPYPFVEGRLFVLTISAGLEGGFVLEDATGLSLSGDLDVQSGPYPLHTQALPQSYLDMSTVWQSSPLPNEPVDIFIGILSSGNHFAERMGVRKTWMSAVRNSPKCQQGTKVAEALYIGNINFHHRSLRHGKWAVTYEEWPEEVYPPYANGPGYVISSDIAGAIVSEFRDRKLRSDRRRAAFVQVTSSVVAPRRQFDACRDWHLPDADDNGCVPARDPSLILGK >ORUFI12G08710.1 pep chromosome:OR_W1943:12:7835006:7846933:-1 gene:ORUFI12G08710 transcript:ORUFI12G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICPWSVAAAAVALLLLSPGENQMVRVSAQPTKTRCAAGVVDTCGDVGVPYLFGIDGGSCSFLPGFNLTCDRTKQPHRLFLGDGSHLQVTEISLANYTVRVLNGVGTVNFTFAGHNDSTAKWAGVGVGQDDGPYIVSEEHNQLVVTGCNIMASLLGNSGSNVIIGCSSFCSITDWWGADPIVHSGAGGACSGLGCCDVNITIGRPSYDLQLRWLDWDHNYDDLLPIAVRIAERGWFDGMSTKLLRKNSRSAVPVPVVLEWAVASVHKPPTPVDVNSTCPKDPARSECRSSNSFCRNIANMYRSGYISTNVRCRASASVSVQTRQETTVAVVRVRKWIGADWICFAVSPNGPFSHLLGMLMRNRRGRRDGITLNRNASDAGAERNGFNDLGGDVKAISDGWWLRRTQATDVGDSAPCPPIALLQEEHGTIYCHRFLARFRRSELTPSIPRHQTSVEELNGGYSPLRPSLLFPNLILPRYQASVGIGVGSGAGLLFLVFGARFATREIKHRRAKRVKQKFFKQNRGHLLEQLISQRADIAERMILPLVELEKATNNFDKSRELGGGGHGTVYKGILSDLHVVAIKKSKEVIQREIDEFINETKVPLLVYEFISNGTLYEHLHVHGPISLPWEDRLRIATETARALVYLHWAVAFPIIHRDIKSHNFLLDNTFTTKTHSSGSIRSYNSCPRNTWILRPHVLLHRKAYGENNLVDILDPQIIEEGGKGMMEVAALAAVCVKLEAEERPTMRQVEMYLESLGGSLQEHTTGLIATESRRIRHIATREGTGNEEASRQYSLEVEYLLSSRYPR >ORUFI12G08720.1 pep chromosome:OR_W1943:12:7850651:7851725:-1 gene:ORUFI12G08720 transcript:ORUFI12G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDDEPWWLMAVAGERWPTALEAKALLQASLPDAGQHLNQQGGPTLAHLAPYGWPQRIGWSPLEVVSYFSFPIFFCACYMMDGCYCATSSSSLYHTATSFHDSIVDYCSPPGMASYDVEASCE >ORUFI12G08730.1 pep chromosome:OR_W1943:12:7864447:7865995:-1 gene:ORUFI12G08730 transcript:ORUFI12G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPSKLPSPSLPPSRSSPHVPPCSTLLHQAPTSPCQAPCPTSCFHSDAAGEGYEQLDPMPETAGVEVFGLIAAFMEAAENFRVLIQETRAKAEEACRLAVVIQKAVAAATAAVAEVCKKAVGGGSWDAAAAVEVCKKGAAVGGGSWDAAAASEVCKAADVMLKEVAAPADLIKEGAVEDEADQPLILIXIEMTMLVDDSDHTALFEKKASVKQIGIEEMRGKAKDVSSEEVKSSDDDEDMVIGGYAQDPYDDSGLEDLLQDQDALEKSVKKFLECFKSAKFR >ORUFI12G08740.1 pep chromosome:OR_W1943:12:7874252:7885069:1 gene:ORUFI12G08740 transcript:ORUFI12G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASFHEEVDRSRPSGSSFSHNSPQDRAFPAGGRRANQQGNEDNEVLPAATSVSSHSTGGTIRERQQRRRSIIKAKKVKEEKIFALTMLYYAHIICSYSDMDDIIFISHGAPSAIYDRNPYELN >ORUFI12G08750.1 pep chromosome:OR_W1943:12:7889596:7889804:1 gene:ORUFI12G08750 transcript:ORUFI12G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFIIGLDLYFLKKSLGYAQLYIVDTENEVHNRISIFDKDRDCDDDNGVDKKIVEGLIRMFDESNEL >ORUFI12G08760.1 pep chromosome:OR_W1943:12:7895453:7896453:1 gene:ORUFI12G08760 transcript:ORUFI12G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGVSGLAAAHELATSCAGGVDVTVYEKEDSLGGSFARTVGVDGGAGGEVVHLHLGFMPFNSQWRRRWDDGFTSAGLRGNGSIGVGLRDSGYTDSYSFDADPSPSHYTATPKKSQLSVGNKNFRCHSDC >ORUFI12G08770.1 pep chromosome:OR_W1943:12:7898222:7907976:1 gene:ORUFI12G08770 transcript:ORUFI12G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAPSAGASGERGATLRRGGGSPVAVRRALTAPPSCVTSPNMMQWFADLGANMERSDMSFSVRTQLDACGECEWASGNGISGLLAKRSNALSPSFWHMISETLKFKRDALRYLEDCENNLDLEQSETLGQFVQSHGYCQFFQEAYLFPICGWMWSCPSQRVLGFSASSVLSFFRKHNLLQLFSRTQPLIVNGRSQSYFNKVREDLESRSCRIKTNCHVKSISSFDRGYRVLEVDGSEEMYDRIIVGIHAPDALKLLGAEATHEESRILGAFQYVSSNLYLHCDESFMPCNSSTWSACNITRTRSGSVCVTYWLNLLQNIESTRTFLVTLNPSYVPDHVLLKWNTNHFVPTVAASKASLELDQIQGKRGSGFHEDGFQAGKAAAQSLLGNKIDPLTNPKQMVLSWTETGARLLVLRFLKQYISVGNLILFEEGGTMFSFGEACEKCNKKSVLQVQDPLFYWQVATEADLGLADAYINGCFSFVNKREGLLNLFLILIASRDAHRSSCRNSSRRGWWTPLLFTAGVASAKYFLRHISRKNSVTQTRQNVSQHYDLSNDFFSLFLDKSMTYSSAIFKDEEESLEEAQLRKINLLIHKAKVGQDDEVLEIGSGWGSLAMEVVKQTGCKYTGVTQSVEQLKYAQRRVKEAGLEDRITFLLCDYREIPCHKYDRIICCEMIEEVGHEYMDEFFGCCESLLAENGIFVTQFISIPEERYDEYRRSSDFIKEYIFPGGCLPSLTRITSAMSAASRLCIEHVENIGYHYYTTLIRWRDNFMANKDKILALGFDEKFIRTWEYYFIYCAAAYV >ORUFI12G08770.2 pep chromosome:OR_W1943:12:7898222:7907976:1 gene:ORUFI12G08770 transcript:ORUFI12G08770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAPSAGASGERGATLRRGGGSPVAVRRALTAPPSCVTSPNMMQWFADLGANMERSDMSFSVRTQLDACGECEWASGNGISGLLAKRSNALSPSFWHMISETLKFKRDALRYLEDCENNLDLEQSETLGQFVQSHGYCQFFQEAYLFPICGWMWSCPSQRVLGFSASSVLSFFRKHNLLQLFSRTQPLIVNGRSQSYFNKVREDLESRSCRIKTNCHVKSISSFDRGYRVLEVDGSEEMYDRIIVGIHAPDALKLLGAEATHEESRILGAFQYVSSNLYLHCDESFMPCNSSTWSACNITRTRSGSVCVTYWLNLLQNIESTRTFLVTLNPSYVPDHVLLKWNTNHFVPTVAASKASLELDQIQGKRAAQSLLGNKIDPLTNPKQMVLSWTETGARLLVLRFLKQYISVGNLILFEEGGTMFSFGEACEKCNKKSVLQVQDPLFYWQVATEADLGLADAYINGCFSFVNKREGLLNLFLILIASRDAHRSSCRNSSRRGWWTPLLFTAGVASAKYFLRHISRKNSVTQTRQNVSQHYDLSNDFFSLFLDKSMTYSSAIFKDEEESLEEAQLRKINLLIHKAKVGQDDEVLEIGSGWGSLAMEVVKQTGCKYTGVTQSVEQLKYAQRRVKEAGLEDRITFLLCDYREIPCHKYDRIICCEMIEEVGHEYMDEFFGCCESLLAENGIFVTQFISIPEERYDEYRRSSDFIKEYIFPGGCLPSLTRITSAMSAASRLCIEHVENIGYHYYTTLIRWRDNFMANKDKILALGFDEKFIRTWEYYFIYCAAAYV >ORUFI12G08780.1 pep chromosome:OR_W1943:12:7909592:7910093:1 gene:ORUFI12G08780 transcript:ORUFI12G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLHMCIFWTMHVS >ORUFI12G08790.1 pep chromosome:OR_W1943:12:7913743:7914069:1 gene:ORUFI12G08790 transcript:ORUFI12G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFDEDLAAAAATKIGASVVPSPFAAASCFAEACGGADDGRRAEKGVEPRNPGGCDPQRRWVGETTLVTRRARVRDADRWFDASSEFDAAVPHSIDAAPGGQQRWR >ORUFI12G08800.1 pep chromosome:OR_W1943:12:7921900:7924423:1 gene:ORUFI12G08800 transcript:ORUFI12G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRVVEARGVGRLNGRRSSPTASPIDEAAPSDWFKGTENPPLQEHYKGVCFSAQKGGQDLQSLWFQEYYGFSLAICSSHLVHRQVRQQGRTYFLEESLSKHGSRVEAKSGIGRELVYAWKQG >ORUFI12G08810.1 pep chromosome:OR_W1943:12:7932258:7932739:-1 gene:ORUFI12G08810 transcript:ORUFI12G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAAEITCWRIIGKRSALRMRREYLKAVLRQEIGFFDTEVSTGEVMHSISGDVAQIQEVMGEKVATAPSPLNSGRRSTTATAPPHPPAGERRGRERIKRVDREKG >ORUFI12G08820.1 pep chromosome:OR_W1943:12:7932293:7937351:1 gene:ORUFI12G08820 transcript:ORUFI12G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAREAAESPNPRSAPRRAAAAAAKDASSFLSPRFRSAAAQAGWDEESLLHAALVVEDTPVRESRRRRRASTTSSSAGGGGSAGSNTRKRRSWRQPPGSIPPVVFLLDDDEKKPDTTADGKKEVKEEEKKTVVVGEKEACSEKAAATSELPCMDRLREELSCAICLEICFEPSTTPCGHRITKLIFLSLILLNSFCMKCLKHAAAKCGKRCPKCRQLISNSRSCTVNTVLWNTIQLLFPSETEARRTSIASSSETNDDLAQQISQRSNSMAQGGIRSSSSNGIGYITQRSTRSSATNNRSFTTTGSRRSTFVAQEGSSTATGRGFVRASQLVPSARVVSVRSHQSDDAALAYRLQQEEFMTAFESEGERQPPRSSSSTVSAARANLRAMASRAIRLRARGWPV >ORUFI12G08830.1 pep chromosome:OR_W1943:12:7946321:7947988:-1 gene:ORUFI12G08830 transcript:ORUFI12G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTMASTMSLALLVLSAAYVLVALRRSRSSSSKPRRLPPSPPGWPVIGHLHLMSGMPHHALAELARTMRAPLFRMRLGSVPAVVISKPDLARAALTTNDAALASRPHLLSGQFLSFGCSDVTFAPAGPYHRMARRVVVSELLSARRVATYGAVRVKELRRLLAHLTKNTSPAKPVDLSECFLNLANDVLCRVAFGRRFPHGEGDKLGAVLAEAQDLFAGFTIGDFFPELEPVASTVTGLRRRLKKCLADLREACDVIVDEHISGNRQRIPGDRDEDFVDVLLRVQKSPDLEVPLTDDNLKALVLDMFVAGTDTTFATLEWVMTELVRHPRILKKAQEEVRRVVGDSGRVEESHLGELHYMRAIIKETFRLHPAVPLLVPRESVAPCTLGGYDIPARTRVFINTFAMGRDPEIWDNPLEYSPERFESAGGGGEIDLKDPDYKLLPFGGGRRGCPGYTFALATVQVSLASLLYHFEWALPAGVRAEDVNLDETFGLATRKKEPLFVAVRKSDAYEFKGEELSEV >ORUFI12G08840.1 pep chromosome:OR_W1943:12:7968846:7970598:-1 gene:ORUFI12G08840 transcript:ORUFI12G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRTSSEIELAMVGYFNFSDEASEICRQFLTNIKNAQSNYISMGCFLATISDSVAATDGAVATTAAPPPSCGATRSATRSSFRRVHDMYSSILRGIKVAVGERREERGSGVGGEEEAGAPAARAERRGRRRHGGAAREDGRRGGERGARRQGKEGCRGRCSERPASARPFPAGRRSEGGQAASGGWGRRSGGWGRR >ORUFI12G08850.1 pep chromosome:OR_W1943:12:7996691:7997161:1 gene:ORUFI12G08850 transcript:ORUFI12G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFFFALLAIAACSASAQFDAVTQVYRQYQLQPHLMLQQQMLSPCGEFVRQQCSTVATPFLQSHVFQLRNCQVMQQQCCQQLRMIAQQSHCQAISSVQAIVQQLRLQQFAGVYFDQSQAQAQAMLALNMPSICGIYPSYNTAPCSIPTVGGIWY >ORUFI12G08860.1 pep chromosome:OR_W1943:12:8032541:8033011:1 gene:ORUFI12G08860 transcript:ORUFI12G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFFFALLAIAACSASAQLDAVTQVYRQYQLQPHLMLQQQMLSPCGEFVRQQCSTVATPFFQSPVFQLRNCQVMEQQCCQQLRMIAQQSHCQAISSVQAIVQQLQLQQFAGVYFDQTQAQAQAMLALNLPSICGIYPSYNTAPCSIPTVGGIWY >ORUFI12G08870.1 pep chromosome:OR_W1943:12:8037468:8037938:1 gene:ORUFI12G08870 transcript:ORUFI12G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFFFALLAIAACSASAQFDAVTQVYRQYQLQPHLMLQQQMLSPCGEFVRQQCSTVATPFFQSPVFQLRNCQVMQQQCCQQLRMIAQQSHCQAISSVQAIVQQLQLQQFAGVYFDQTQAQAQAMLALNLLSICGIYPSYNTAPCSIPTIGGIWY >ORUFI12G08880.1 pep chromosome:OR_W1943:12:8056348:8059883:-1 gene:ORUFI12G08880 transcript:ORUFI12G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTFPLVSHLPSRKPPPIRPRPPPVRPYASSADSPPPPPPPPASAYVHLPFCRKRCHYCDFPIVALGTSSPPTSPHGGDGDDPRIADYVRLLLREVAATRPVSDDGVPLETVFFGGGTPSLVPPRLVAAVLDALRARFGLSASPEVSIEMDPGTFDAAKLRELVGLGVNRVSLGVQAFQEDLLRACGRAHGLREVHDAVGIVTACEGLQNWSMDLISSLPNQTEEMWEESLRCTVDARPTHVSVYDLQIEQGTKFGQLYTPGVYPLPNEKDSANFYKIASKRLSEAGYNHYEISSYCKTGYECKHNVTYWQNRSFYAFGLGSASYINGIRFSRPRRMKEYAEWVQKLENGVWSHESGNSGIKDMAMDVVMLSLRTAWGLDVQSFSKTFGRSLTESLCNTFRPFVESGLVIAMDMERRALQPSEFELDLQHDGENGSRLAFIRLSDPDGFLLSNELISLAFGIISP >ORUFI12G08890.1 pep chromosome:OR_W1943:12:8065251:8069989:1 gene:ORUFI12G08890 transcript:ORUFI12G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESAAAAARHLESLLPRLASLRHYLQFHARLLTSGHLGAHPGLRARFLDRLALSPHPAALPHALLLLRSLPTPATNDLNAALRGLAASPHPARSLLLLAGRLLPALLPRPDALSLSFALKASARCSDAHTTVQLHALVLRLGVAADVRLLTTLLDSYAKCGDLASARKVFDEMTVRDVATWNSLLAGLAQGTEPNLALALFHRLANSFQELPSREEPNEVTIVAALSACAQIGLLKDGMYVHEFAKRFGLDRNVRVCNSLIDMYSKCGSLSRALDVFHSIKPEDRTLVSYNAAIQAHSMHGHGGDALRLFDEMPTRIEPDGVTYLAVLCGCNHSGLVDDGLRVFNSMRVAPNMKHYGTIVDLLGRAGRLTEAYDTVISMPFPADIVLWQTLLGAAKMHGVVELAELAANKLAELGSNVDGDYVLLSNVYASKARWMDVGRVRDTMRSNDVRKVPGFSYTEIDGVMHKFINGDKEHPRWQEIYRALEDIVSRISELGYEPETSNVLHDIGEEEKQYALCYHSEKLAIAFGLIATPPGETLRVIKNLRICGDCHVVAKLISKAYGRVIVIRDRARFHRFEDGQCSCRDYWLAVADTFNLVPIEINLTLSSTRGKRYRMWTEKKMLDAQTGMISYKIAAHAADLAKCHPYAQAWDDTLSKARFEFRWLDQFALYLDPVTTMSFHDETLPSEGAKVAHFCSMCGPKSCSMKITEDIRKYADEHGYRIVEEAVIPGMNAMSAEFLAARKTISGEQHGEAGGEIYVQKAIQLANKIILASIYSG >ORUFI12G08900.1 pep chromosome:OR_W1943:12:8070308:8073879:-1 gene:ORUFI12G08900 transcript:ORUFI12G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFVSASVGVLNPLLTKLSALVEGEYKLLKSVKKDIIFLRNELSSISVLLEHLSTKEDKLDGPTKEWRNNMLELAYDIEDCIDLFIHKLSCGDANANFVQKIGSKIKKLWGKHQITECIQELKNRVMEEDQRRKRNQIDDFISEPSVVEIDPRLPALYEEVERLVGIDGPREKIIKWIMTKGKPLEQRKVVSIVGLGGLGKTTLANEVYKTIQGDFKCITFVSISRTPNIRKILVDMLKGLGSNGDVSEDEQNLISHLRGFLKDKRYLIVVDDIWDIEDQWMQVHNSIGVTLNSDVEVRKILMLSYYDLPYPLKNCLLYLSMYPEDYVIDRQELIWRWIAEGFIIEAKGKTREQVGENYFNELINRSLIQPVYIQYDGRASCCRVHDIVLDLIISLSTGQNFVTIVHEQQHWSSFKKIRRTWFPSNGTDNRIVKEITNNCSHVRSLFFDSPKPEQIPQFKKCHALRVLVLDGCMSLESQHINSLTYLFQLKYLKLNVANVTEMPKDIGRLQQLETLIIHGGGHVNEINIPSSVCRLQKLERLIVDYPMRLPDEIGFLQALEMLSLFYNIEYSIKCLQELRRLTRLRYLRIRTPFGGDVARFERYKDAFYMTLDELGKNSLQSLHVHVTTKFSDTLMDSCCSSAPGLRELSTSGVGISKLSEQMVSLSNLAYLEIFYNTRSNDQKDINLLGCIPKLLYLEVIFAQGWEDGLTVGCGGFPCLKELMFRHSRLHWLLFEPGAMPKLQRLSIELFAQKAASNLGFEQSFVHLSSLQHLIVVLDCSDATTRDVKALEDAIRNVASIQTRCLTLEILRRYKDEMVKEDEEEQLKGSTEGGGTEEHHIQQPLIIVGNQKRWKPVLFGNSDKVQAS >ORUFI12G08910.1 pep chromosome:OR_W1943:12:8091386:8099532:-1 gene:ORUFI12G08910 transcript:ORUFI12G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIVSASVGVLNPLLTKLSSLIEGEYNLLKSVKKDIIFLRNELSSISVLLEHLSNKEDKLDGPTMEWRNNMLELAYDIEDCIDLFIHKLSCGDANANFVRKIGSKIKKLWGKHQITECIQELKNRVMEEDQRRKRYQIDDFISEPSMVEIDPRLLALYEEVERLVGIDGPREKIVKWIMHKDWSSEQRKGSSSMSDATAAEDAEDCSATSLMPESKKEEGKGEMGGVVRSFSTQFAEFEYINEHLPIEDLLVTVVGSTVSYPMRLMKSKDKQANFTTGWNKLVGDKLFELCDVCVFMFSENDAELTLSLELLNNCSMRRRRGSFDAPKKWAAQTNSLLAEWAYDGSAKYCRVHDIVLDLIISLSTGQNFVAIVDEQQHWSSFKKIRRTWFPSNGTDNRIVKKITNNCSQVRSLLFFENPKPKQIPQFKKCHALRLKYLKVFGVNVTELPKDIGRLQQLETLTIHAGDVDEINIPSSVCRLQKLQRLNFNYPMRLPDEIGVLQALEVLYLNSIRYSIKCLQELCWLTRLRQLRILCPGIYDFGGDVARFQRYKEAFYFTLNELVACIGCCLNLELCPKLQRLCFSLYAREAASNLGLEQSYVHLSILQHLTFYLCCTNATITDVKAVEATIRNVISIHTRRPTLVLRRYLQDRMVKEDEEEQLKDSTDGCGVEEHHIQ >ORUFI12G08920.1 pep chromosome:OR_W1943:12:8109592:8111058:-1 gene:ORUFI12G08920 transcript:ORUFI12G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSKPQHGAIGPVPFKDVIGDAMAQRSSPEEEYAGVVSSLPSYPKLRLRHYQGMWLMEYTLPGIMAIQRSFVPRRHGDVVLASPGKCGTTWLKALAFAVLARGAYSPASDRHPLLRLNPHDCVPFMEGAISEGWGGKIDELPSPRLMSTHMQHAALPKSIADEPGCKVVYICREPKDILVSAWHFFRIIEPDLSFQEVFEAACDGKFLTGAIWDHIIGYWNACKANPEKVLFLVYEDLLRDPANIVRKLADFLGQPFSSTEEEAGLVTDIVRLCSFENLKSLEVNKMGEASFAFPNASYFRKGKAGDWKIHMTPEMVECFDTIVKEKMHGSGLVFA >ORUFI12G08930.1 pep chromosome:OR_W1943:12:8137593:8140249:1 gene:ORUFI12G08930 transcript:ORUFI12G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMGGAVGGLDGDRGRGELLLLLVLPLPRGLVLQRSRRRLIAAGRDELLPLPLPRVWRRLGAAGRDGLVLAARSRKRTTCHSESGFDKMPPPTIQRIKCHFNRLLSGYFVTLEDFKTKIPLDVDKLEEGGAGKVEIDGTHASRRRAWGVGCDGRTGRGRR >ORUFI12G08940.1 pep chromosome:OR_W1943:12:8175385:8185446:1 gene:ORUFI12G08940 transcript:ORUFI12G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAAAQQEEESLHLAETNKQKADQLRKEIATIQESLTHVKAATEQAHEEEAQILAEKDVTRKTYKQALEEAEKKLSSLKKDFDPAVYKSLKEKLDETNLEISSMQKKIEDARAQDLESIATVSTELDDAKEMLQKVAEEESSLRSLVESLKQELEAVKEEHDQLKQKDTETESIVGDLHVKLQKCKSELEAAVAAESKATSASDDLMLALQQLSSESKNALQEAEVMQKSAADLRDEAEAARVALAEAEQKLQSALKEAEEAKSAEAKALDQIKQLSERASAARASTSESGAKITISKEEFESLSRKVEESEKLSEMKVAAAMAQVEAVRASENEAIKKLEAARKEMEDMELATEEALKRAEMAEAAKRAVEGELRRWREKEQKKAAEAQPAPEAQAHGTASSPVQKASAGKANEKNDGPHKNSRTLLKKSFMLPNITSMFHKKKNHADGSSPSHLPGDKSV >ORUFI12G08950.1 pep chromosome:OR_W1943:12:8177608:8177868:-1 gene:ORUFI12G08950 transcript:ORUFI12G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALPHAPRRDSGNRTSPSPAARLQRCFLSSLRSVATTLPQPPSAQQQQHSPVLLSATAVAFLHALRCDGSKQRGSNNSARPLRL >ORUFI12G08960.1 pep chromosome:OR_W1943:12:8183711:8189264:-1 gene:ORUFI12G08960 transcript:ORUFI12G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSLASSSHLSRRATAAASPSIPPPSPPPPPQRLRCGWVGRAAPPTRRAPGVCSVVSPSKPGVAAVDVPAATIPDAAATGVGVAERISVSSLLEVVADDLLKLNNNLKSKIQELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDITLDDYLLKSYYKTASLIAASTRSAAIFSGVSTAICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPQLREIIDSEFSETNSLATAIELVHRSGGIKRAHELAREKGEIAIQSLQCLPRSEFRSTLENMVKYNLERID >ORUFI12G08960.2 pep chromosome:OR_W1943:12:8183711:8189264:-1 gene:ORUFI12G08960 transcript:ORUFI12G08960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSLASSSHLSRRATAAASPSIPPPSPPPPPQRLRCGWVGRAAPPTRRAPGVCSVVSPSKPGVAAVDVPAATIPDAAATGVGVAERISVSSLLEVVADDLLKLNNNLKSVSFTFSSQKHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDITLDDYLLKSYYKTASLIAASTRSAAIFSGVSTAICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPQLREIIDSEFSETNSLATAIELVHRSGGIKRAHELAREKGEIAIQSLQCLPRSEFRSTLENMVKYNLERID >ORUFI12G08970.1 pep chromosome:OR_W1943:12:8192453:8192734:-1 gene:ORUFI12G08970 transcript:ORUFI12G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLRRLRFYIRAEETGSKIGFEFGFEHLASLQQLSVTVDCRGATRQRVEAAEAAMRDAASIHPGRPALEISRRWERDMIKDKDDHEEIVQVE >ORUFI12G08980.1 pep chromosome:OR_W1943:12:8192738:8203769:-1 gene:ORUFI12G08980 transcript:ORUFI12G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATVSVSTGAMSTLLPKLSLLIQGEYKHLKGVKGGISFLKDELSSMHTLLVKLANNEEKLDDQVKDWRNKVRELSYDIEDCIDLFMHKVSSSNAKASLVQKTAAKIRKLWSRHKIANLIEELKARVIEESDRRLRYNFDEVADKFGHVQIDPRLPALYVEAEKLVGIDGPREKIIKWLEKDESRKLKIVSIVGFGGLGKTTLANQVYHKIKGQFDCSSFVPISRNPNTTKILADMLKELGSDVDTSDDQRQLISKLRTFLKHKRYLIIVDDIWSTQAWELVKCALPENNLCSRIILTTRNTDVASSCCSSLAGYIHNIQPLNEQDSQKLFFKRIFGDKSACPPYLEQVSHGIISKCHGLPLAIISIASLLAGKSRLKEQWEQVYNSIGCLKNLVRLLVNEFVKLPNEVGDLQALQQLSFAGNYNSIVFVEQLKRLANLREIGILLHGSAQLGDRDMARFMEALKSSLAVMGKQGLQSLQISYGHDMVIGEKLMDLLCYSPCLRKLVIDSSRISRLSKQMALLVNLRHLDIGVSNIKQGNLCVLGSIPTLLFVRLFVKNGPDERLAISSHQFQCLKQFIFISYGGGLEMLFLQEAMPELRRLSLSFSAEETDCKMGFEFSFKHLASLEHLNVRINCNDATRSRVETAEASVRNAASAHPGCPRIEIIRKYENCMRDDKVDKEILKDIDGHEVISNVGSLTVNERILLALHFTQRVEVHGKYLIIVDDIWSTKAWEFVKSALPDNNLCSRIITTTRDTNVATSCCYTVAGHIHNIQPLSEQDSRELFLKRVFRDVSACPPYLEEVSCGIIRKCHGLPLAIISVASLLVGKPNIVEQWEEVYNSIGSAFTQQGMTDILLLSYYDLPHYLKTCLLYLSMFPEDYMIEREVLIWRWIAEGFISKVKGLRLDQVAENYFNDLVNRSMIQPIDIQYDGRANVGPDLQTLHCLRVLVFENCHGIGTQHIKHLESFFRLAYLSISSDGITELPEQIGDLKYLQTLDIRRSGIKKLPPTIGRLQNLARLLVGNDVELPNEIGDLQALQELSDAGKYDSIKFVQELRRLTRLSVLRIMLHDSNKLGDHNTENYEESLNIKQEELLIKLNSQHAPEERLIISSQQIRCLKGFEFGSYYHGGGLEML >ORUFI12G09000.1 pep chromosome:OR_W1943:12:8231024:8232882:1 gene:ORUFI12G09000 transcript:ORUFI12G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPRSSSAIDLQQQPHLHRTVRHPHNPRHARPLCVPPCPHSAVQTPNQIKRRMLNTAIVRRDSLEYCHVAGIVTGLTNSPLMSLSSGHITLSSAKYEHRSNFVPPPPSPSRRQGLNIGTSAAFMQVTSSVVPPRRQSYARRDWHLPDSDANGCVPARDSSLTLRTDSTPPATASVPPRP >ORUFI12G09010.1 pep chromosome:OR_W1943:12:8257841:8272624:-1 gene:ORUFI12G09010 transcript:ORUFI12G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAVSAATGVMGSLLAKLSALLGEEYRLLKGVNSDIRFLRDELTAINNFLIKMSNMEENLGEQEKEWRNRVREIEDCIDLFMRKFNHGDVDANFVRRTAKKIGMLWSRHEIASQIHQLKDCVNEESARRLRYRFGESNARIVEIDPGLPALYVEAEKLVGIHGPMEKIIDLLTKQDGSSQQLKVVSIVGFGGLGKTTLANQVLKKIKHQFDCTALVSISRSPDIKKILFVLLKDMINKNNSNDEKHKKVVGIKAEKSDDEKQLINKLREYLTNEQDSYKLFLKRLSHTESDFPSHLGELSHAITKKCHGLPLAIICVASLLANKPETKDQWEHVHNSISSAFSSQIMKDILLLSYYDLPYHLKTCLLYLSIFPEDYWISKVDLLLRWIAEGFIPEVKDQALYQVAENYFNELINRSMIQPVNIDYDGSANACRMHDVMLELIVSLSEDENFNTLVDGKVYKCSSSNIRRLSLQSSCVENDVMQDLMNKCSHIRSLSFFRENKETPHLPKFRYLRVLIFEDCDSLGNQHIKYLRFFCQLKFLRINSEGITELPDKIGDLKNLQTLNIHGSKIGKLPAAIGHLQNLLYLHVNSDVELPDEVGDLQALQVLSDAFSYNSIKFVEELRRLTKLRSLHIGLHSSLKLCYHDMRTYEEALKSSLTVLGKHSLRSLVISRADCLGDYLMDLLCDTVPVSKSIKQKDLWVLGGLPSLLKLELHLLYGPDERLIISSQLFQCLKKFKLKYELGGGLSMVCEKEAMPKLQMLHLRFKAMETKSNTGFELRLEHLSSLRHLSVTVDCDDATRRRVEAAEATIRNTVRIHPRCPTLEIKRKWESDMVKDEDEDEMERRECTIEEEEVNMAGAIVSVSTGALSTLLPKLSLLIQGEYKLLKGVKGGISFLKDELSSMHTLLVKLANNEEKLDEQVKDWRNKVRELSYDIEDCIDLFLHKKVMVARWRHKIANLIEELKARVIEESYRRSRYNFDEVAEKFSHIQIDPRLPVLYVEAEKLVGIDGPREKIIEWLENDESHKPQICIGIVGFGGLGKTTLANQVYHKMKGQFDCSSFMPISRNPNITKILADLLKELGSSVDTSDDERQLICKLRTFLQCKRYLVIVDDIWSTKAWEVVKCALPENNLCSRIISTTRNADVATSCCSSLAGYIHNMQPLNEQDSQKLFYKRIFGDKLACPPYLEQVSHGIISKCHGLPLAIISIASLLAGKSRLKEQWEQVYNSIGFAFSQQGIRDILLLSYYDLPIHLKTCLRYLSVFPEDYEIDREELIWRWIAEGFISEVKGQTLDQVAENYFNDLVNRSMIQPVDIKYDGRADACKLHDMVLDLIISLSTQDNFTTIVEGEQYKCPPNKIRRLSIHSKCLEDEVMQEIMTNCSQVRSISFYGLQDQEISLLPTLNSLRLLAFENYWHRHGNKNIKYLGRFFQLTYLKIKSITELPEQIGDLRNLLTLDIRSSRVEKLPSTIGCLKNLVRLLVDYNVELPNEIGDLQALQQLSRASVVFVEQLKRLTNLRAIDIDLRGSEQLGDHDTARYMEALKSSLAVMGKLQILQISYGNDTVIGEKLMDLLCYSPCVRKLVIDNCIFSRLSKQLGLLVNLRHLEIGVRNIKQDDLCVLGSIPTLLFVRLFVKNEPDERLAIGSHQFRCLKQFIFKNHGGGLEMLFMQEAMPELRWLSLEFRARLTDCKMGFEFDFKHLASLEHLEVSINCHFATWSGVEAAEAAVRNAASAHPGCPTVEINRVWESYMVDNEQGGGVTYDQ >ORUFI12G09020.1 pep chromosome:OR_W1943:12:8287078:8291046:-1 gene:ORUFI12G09020 transcript:ORUFI12G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIVSVSTGALSTLLPKLSLLIQGEYKLLKGVKGGISFLKDELTSMHTLLVKLANNEENLDEQVKDWRNKVRELSYDIEDCIDLFLHKVSSSNAKAGLVRKTAAKIRKLWSRHKIANLIEELKARVIEESDRRLRYNFEEVADNFSHVQIDPRLPALYVEAEKLVRIDGPREKIIEWLEKDESQKLKVVCIVGFGGLGKTTLANQVYHKMKVSRNPNMTKILVDLLKELGSRVDTSDDERQLICKLRTFLQRKRYLVIVDDIWSAKAWEVVKCALPENNLCSRVISTTRNADVATSCCSCLAGYIHNMQPLTEQDSQKLFFKRIFGDKSACPPYLEQVSHGIISKCHGLPLAIISIASLLAGKSHMKEQWEQVHNSIGFVFSQQGIRDILLLSYYDLPINLKTCLLYLKDYKIDREELIWRWIAEGFISEVKGQTLDQIADNYFNDLVNRSMIQPFDIKYDGRADACKLHDMVLDLIISLSTQENFTTIMEGQQYKCSSNKIRRLSVHSKYLEDEVMQEIMMNCSQVRSISFYELQDQEISLLSTLNSLRVLAFNNSHHLGNKSIKYLGRFFQLTYLSIASRGITDLPEQIGGLQNLLTLDIRGSSVEKLPSTIGCLKNLVRLLVNEAVKLPNEVGDLQALQQLSFAGNYNSIVFVEQLKRLANLRAISIRLHDSARLGHHDMARYMEALKSSLAVMDKQGIQSLEISCFDSVIGEKLMDLLCYSPCLQRLVIHGCCIGLLSKQMTLLVNLRHLEIWVRNIKQDDLCVLGSIPTLLFFREQCLSSDGSLEFRAHESDCKMGFEFSLKHLASLEHLKVTIFCHVATRSRVEAAEASVRNAASAHPGCPIFEINRCGEQNMVDDKVDKEEILKDIDAHEVVRRDMNN >ORUFI12G09030.1 pep chromosome:OR_W1943:12:8293936:8298266:-1 gene:ORUFI12G09030 transcript:ORUFI12G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAVSVATGVMGSLLAKLSALLGEERGLLKGVKGDIRFLRDELSTMNVFLMNMASVEENMDVQVKDWRNKVRELSYDIEDCIDLFMHKLNRGDDKVNIVLKMAKKIRMLWSRHQIANQIQELKARVKEESDRRLRYYFSECNAHVDGTKIDPRLPALYVEEEKLVGIHGPMEEIIELLMKEDGSGQKLKVVSIVGFGGLGKTTLANQVYNKIKDQFDCSAFISVSQSPNIKKILFDMLKDVTSRDNSDDDKQIKVVGVKGDKSDDERQLIGKLRVFLENKRYFIIVDDIWSASAWEHVRLALPENSLCSRIITTTRNVNVAKSCCSGFQGSVYNIQPLNEQDSKKLFLKRLFHSDSNFPTHLEEVSHAIIKKCHGFPLAIICLASLLASKSDTKDQWEQVHNSLSSAFSSQGMSDILLLSYYDLPYHLKTCLLYLSVFPEDYKIDRDELIWRWIAEGFITEVKGQTLDQVGGSYFNELINRNMIQPIDIKYDGTANACRVHDMVLNLIISISSEENFLTVVDEQGYKYLGNKIRRLSFQSNSVENDVNVVQKIMDNLSQYLRVTSYGITQLPDQFGNLHYLQTLDIRGSGIEKFPPTVVRLHNLARLLVGNKVQLPDGIGDLQSLQVLSSARLYKPLKLVEDLRRLTKLRTLEIVLHGSDTLGAHEMGRYEEALESSLTVLGKHKIQSLEISCCDYLRDKLLDLLCCTVPNIQKLVISGNCINRPSQQMLSLVNLAHLDIYFQRIKQEDLSVLGSISTLLYLRLKLHFVPDERLCISSQQFQSLMEFRFIYYEGGGLRMLFQQEAMAKLRRLQIRFRAEEMESNAGFEFSFHHLSSLEDLHATISCYRATRSSVEAAEAAIRNAASIHPGHLKVSIIREWESRMAGLRER >ORUFI12G09030.2 pep chromosome:OR_W1943:12:8293936:8298266:-1 gene:ORUFI12G09030 transcript:ORUFI12G09030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAVSVATGVMGSLLAKLSALLGEERGLLKGVKGDIRFLRDELSTMNVFLMNMASVEENMDVQVKDWRNKVRELSYDIEDCIDLFMHKLNRGDDKVNIVLKMAKKIRMLWSRHQIANQIQELKARVKEESDRRLRYYFSECNAHVDGTKIDPRLPALYVEEEKLVGIHGPMEEIIELLMKEDGSGQKLKVVSIVGFGGLGKTTLANQVYNKIKDQFDCSAFISVSQSPNIKKILFDMLKDVTSRDNSDDDKQIKVVGVKGDKSDDERQLIGSVYNIQPLNEQDSKKLFLKRLFHSDSNFPTHLEEVSHAIIKKCHGFPLAIICLASLLASKSDTKDQWEQVHNSLSSAFSSQGMSDILLLSYYDLPYHLKTCLLYLSVFPEDYKIDRDELIWRWIAEGFITEVKGQTLDQVGGSYFNELINRNMIQPIDIKYDGTANACRVHDMVLNLIISISSEENFLTVVDEQGYKYLGNKIRRLSFQSNSVENDVNVVQKIMDNLSQYLRVTSYGITQLPDQFGNLHYLQTLDIRGSGIEKFPPTVVRLHNLARLLVGNKVQLPDGIGDLQSLQVLSSARLYKPLKLVEDLRRLTKLRTLEIVLHGSDTLGAHEMGRYEEALESSLTVLGKHKIQSLEISCCDYLRDKLLDLLCCTVPNIQKLVISGNCINRPSQQMLSLVNLAHLDIYFQRIKQEDLSVLGSISTLLYLRLKLHFVPDERLCISSQQFQSLMEFRFIYYEGGGLRMLFQQEAMAKLRRLQIRFRAEEMESNAGFEFSFHHLSSLEDLHATISCYRATRSSVEAAEAAIRNAASIHPGHLKVSIIREWESRMAGLRER >ORUFI12G09030.3 pep chromosome:OR_W1943:12:8293936:8298266:-1 gene:ORUFI12G09030 transcript:ORUFI12G09030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAVSVATGVMGSLLAKLSALLGEERGLLKGVKGDIRFLRDELSTMNVFLMNMASVEENMDVQVKDWRNKVRELSYDIEDCIDLFMHKLNRGDDKVNIVLKMAKKIRMLWSRHQIANQIQELKARVKEESDRRLRYYFSECNAHVDGTKIDPRLPALYVEEEKLVGIHGPMEEIIELLMKEDGSGQKLKVVSIVGFGGLGKTTLANQVYNKIKDQFDCSAFISVSQSPNIKKILFDMLKDVTSRDNSDDDKQIKVVGVKGDKSDDERQLIGKLRVFLENKRYFIIVDDIWSASAWEHVRLALPENSLCSRIITTTRNVNVAKSCCSGFQGSVYNIQPLNEQDSKKLFLKRLFHSDSNFPTHLEEVSHAIIKKCHGFPLAIICLASLLASKSDTKDQWEQVHNSLSSAFSSQGMSDILLLSYYDLPYHLKTCLLYLSVFPEDYKIDRDELIWRWIAEGFITEVKGQTLDQVGGSYFNELINRNMIQPIDIKYDGTANACRVHDMVLNLIISISSEENFLTVVDEQGYKYLGNKIRRLSFQSNSVENDVNVVQKIMDNLSQLPDQFGNLHYLQTLDIRGSGIEKFPPTVVRLHNLARLLVGNKVQLPDGIGDLQSLQVLSSARLYKPLKLVEDLRRLTKLRTLEIVLHGSDTLGAHEMGRYEEALESSLTVLGKHKIQSLEISCCDYLRDKLLDLLCCTVPNIQKLVISGNCINRPSQQMLSLVNLAHLDIYFQRIKQEDLSVLGSISTLLYLRLKLHFVPDERLCISSQQFQSLMEFRFIYYEGGGLRMLFQQEAMAKLRRLQIRFRAEEMESNAGFEFSFHHLSSLEDLHATISCYRATRSSVEAAEAAIRNAASIHPGHLKVSIIREWESRMAGLRER >ORUFI12G09040.1 pep chromosome:OR_W1943:12:8299013:8299390:-1 gene:ORUFI12G09040 transcript:ORUFI12G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPDRGATEESVKVVPPLVIVALNYGHRRGEGRRDLAPARRVEKGDPASPGLDLATPLLCLVPSLLGSSLPVAARWTEESTSNKQGNKQPRSHTWRKYEEKSQKLAASNQEVTPTWRISGTTAQ >ORUFI12G09050.1 pep chromosome:OR_W1943:12:8304101:8304933:-1 gene:ORUFI12G09050 transcript:ORUFI12G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAYNKKLANWEKSNRMCLIYVKDAISPEVIGGIIDSNDIKTYLANIEESFEFAPEAHANTLVNEMITSHYDGESGIRKHILEMTHMANQLRSMDMKISDGFLVHIIMRSLGPNYDPFKKIYNTQKEEWTIQELILHSVEEEERQKAEKQKIKDRLNLTNAFDKGKKVYQGESSNKNSEPEGEQNQEGIKASTSAVPLSTSPYCHFCASDGHWQRNCTRFTAWLVKKGNSHHPNGSKEGSEHSE >ORUFI12G09060.1 pep chromosome:OR_W1943:12:8312580:8316675:1 gene:ORUFI12G09060 transcript:ORUFI12G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADLDFSPPPPPPEPALSPEPEILAPDHQSWKAEMMSALGESVSFGRFLAEPLEWGKWSAFAHNRYLEEAAHQSRPGSVAQKKAFFEAHYARKRKTDADADATGSDVDPDEDAAAAVSSARSSSSSCMTDEPAAEETTSCVVGSGVVAAGPVEEMEELDVIADGGVGSSCGVDADGAAHHKQDGVHAGESREVLQAMEKQEAATPDPCADNSVPADADDKQPLKESSIVNQGSAESVKRRRLPSLLQKPAKFSSPSSGSKGPTSSAKRRSRLHSAKENSSPPNNESDQQATSSVPQNRSILEAFQKSKNFGRCETGNAASSSKNLGTTIAARISQLESATGPVKHTDSALSQVKPPIEAFPKDVPEITSRTSQLEEQRSSHVTRVKEKLFGFTSQSAHQKANTPRKEKGKTQNESFKARPLPNFYRRNKQAKDSIHQSSQDVNNSHAHPTNKEASKDKQICCFPLRRLAYLHCPPG >ORUFI12G09060.2 pep chromosome:OR_W1943:12:8312580:8316580:1 gene:ORUFI12G09060 transcript:ORUFI12G09060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGADLDFSPPPPPPEPALSPEPEILAPDHQSWKAEMMSALGESVSFGRFLAEPLEWGKWSAFAHNRYLEEAAHQSRPGSVAQKKAFFEAHYARKRKTDADADATGSDVDPDEDAAAAVSSARSSSSSCMTDEPAAEETTSCVVGSGVVAAGPVEEMEELDVIADGGVGSSCGVDADGAAHHKQDGVHAGESREVLQAMEKQEAATPDPCADNSVPADADDKQPLKESSIVNQGSAESVKRRRLPSLLQKPAKFSSPSSGSKGPTSSAKRRSRLHSAKENSSPPNNESDQQATSSVPQNRSILEAFQKSKNFGRCETGNAASSSKNLGTTIAARISQLESATGPVKHTDSALSQVKPPIEAFPKDVPEITSRTSQLEEQRSSHVTRVKEKLFGFTSQSAHQKANTPRKEKGKTQNESFKARPLPNFYRRNKQAKDSIHQSSQDVNNSHAHPTNKEASKDKQICCFPLRRLG >ORUFI12G09070.1 pep chromosome:OR_W1943:12:8316974:8318763:1 gene:ORUFI12G09070 transcript:ORUFI12G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAISKPLLLAILCCIVCLYSSSGGAIVAAARELGGDAAMAARHERWMAQHGRVYKDAAEKARRLEVFKANVAFIESFNAGGKNRYWLGVNQFADLTSEEFKATMTNSKGFSTPNNGVRVSTGFKYENVSADALPASVDWRTKGAVTRIKDQGQCGCCWAFSAVAAMEGFVKLSTGKLISLSEQELVDCDVDGNDQGCEGGEIDGAFQFILSNGGLTAEANYPYTAEDGRCKTTAAADVAASIRGYEDVPANDEPSLMKAVAGQPVSVAVDASKFQFYGGGVMAGECGTSLDHGVTVIGYGAASDGTKYWLVKNSWGTTWGEAGYLRMEKDIDDKRGMCGLAMQPSYPTE >ORUFI12G09080.1 pep chromosome:OR_W1943:12:8321183:8322693:-1 gene:ORUFI12G09080 transcript:ORUFI12G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLNALGTMNLSYNNLSGRIPRGNTMGSYDASSYIGNIGLCGPPLTRNCSGNATSKDLPRNHVDLEHISLYLGMAIGFVLSLWVVLCLLLFKTSWRKSYFMFVDRQQKKIYVSVKIRSAVLKRKNLTGRRRCGSAPRMGGVSGASRRRGGWPPSGSGLQPPPLLLPRDRESFGMSDGVRVVASGSAVRAAGKAPSRFWGDGDRRRLPAGAVKPEPKASAAALLGLFSGLAAVNLG >ORUFI12G09090.1 pep chromosome:OR_W1943:12:8321193:8326505:1 gene:ORUFI12G09090 transcript:ORUFI12G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLSRSRGSKSGGGWSPEPEGGQPPRRRLAPETPPIRGALPHRRRPQTKNHPEAEDKSNSHAKIKGYMFQINMISRHWYSLSVSVIPPRPMEVKKLIQNLVFLVNFVD >ORUFI12G09100.1 pep chromosome:OR_W1943:12:8322841:8325177:-1 gene:ORUFI12G09100 transcript:ORUFI12G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRFPPPETLKIALLCLLLPLFSPATASIPSAASTSSDRSCIADERAALLAIKATFFDPNSRLASWQGEDCCSWWGVRCSNRTGHVIKLRLRGNTDDCLSFYGDKLRGEMSYSLVSLQKLRYLDLSCNNFNWSQIPVFLGSLPSLRYLNLSYGFFYGSVPPQLGNLSKLAYLDLTSYSYNQLYSVALSWLSHLSSLKHLVMNHVNLTTAVDWVDEINMLPALKVLYLKQCGLRKTVPFLRRSNITGLEVLDISGNRFHTKIAPNWFWNITSLSALDIRSCGFFGSIPDEIGRMASLEEVYFQGNNLMSTMIPSSFKNLCNLKVLDLRSTNTTGDIRELIEKLPNCHWNKLQQLGLSYNNIGGTLPNWSEPLANLTVLLLSNTNISGAMPSSIWALTKLNILDLCSNKLNGTVREDQLGNLTNLVYLGLGNTHLQIKASSDWIPPFKLQVVLFYSLQLGSEVPPWLRSQTSIQHLQIANTSITTIPDWFWIVFSRADFLDVAYNQITGTLPATLEFMAAKTMDLSNNRFTGMVPKFPINVTYMYLQRNSLSGPLPSDFGAPLLQSLTLYGNLISGTIPSSLFSLEHLEILDLSGNKLSGEVPTYQEDSNPRTRQLIVVNLNSNNLSGEFPLIFRSCPRLVFLDLSYNQFSGNLPLWMGKKFLPILSLLRLRSNMFSGHIPTELTRIDQLQFLDLAENYFSGSIPDSLVNLSAMARTSGYSVLLDEVIATGQGAMYDINYFYELVSVQTKGQQLEFSRGISRVVNLDLSKNKFTGAIP >ORUFI12G09110.1 pep chromosome:OR_W1943:12:8329576:8330353:-1 gene:ORUFI12G09110 transcript:ORUFI12G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVPGVQICASPASWRSDLAASAVGGGAKGGVGGIGGREAGGGAGGGGGVGGGRLAREEAKARLEASPAAAAEEMEGV >ORUFI12G09120.1 pep chromosome:OR_W1943:12:8330407:8330838:-1 gene:ORUFI12G09120 transcript:ORUFI12G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVVLHDEPATRDTGGDAALAAAGEAAEDLEEALHCCCTTKQKSRNKKPPLEPTFSNQTPQIIIIINNNNNNNNNNNNNNKEKI >ORUFI12G09130.1 pep chromosome:OR_W1943:12:8331863:8332162:1 gene:ORUFI12G09130 transcript:ORUFI12G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDDVAPAHGGGGSGRGRRVRKTDAGEEGGGVRPQRGGGRGEVEEERKRCSTMAGEVALRQRRNGEIWRVADGRGTKKRRSGRRERIKLGLINLAWFL >ORUFI12G09140.1 pep chromosome:OR_W1943:12:8335690:8337611:-1 gene:ORUFI12G09140 transcript:ORUFI12G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKARSPVALVLLVVAMAASPALVAAAAQQQQLVPCMYIFGDSLVDSGNNNNILSLARANYQPYGIDFSGAAPPGRFTNGLTVVDMLADMLGLRPPLIPAYAMAQPGDFARGLNFASGAAGIRPETGNNLGRHYPFSEQVEHFRAAVRQMGPNAGSPERLGRCIFYVGMGSNDYLNNYFMPNYYTTAQSYDPAAYAADLLQEYSRQLAALHALGARKFVLAAVGDIGCIPYELARISNNQDDDDAAPSSDSGTGISISLGGVGLTVGGGGGGGSTRAANASRSGGNGGGGACNEEINSAIAIYNRGLLSMVKRLNGGGGGGRMAGATVVYLDTVRTGRAVAASAAAHGFEVLDRGCCGVGRNNGQITCLPMQQPCGDRSKYVFWDAFHPTEAANRIYAARAFNSSAAAGDAYPINVSQLAAI >ORUFI12G09150.1 pep chromosome:OR_W1943:12:8343834:8345386:-1 gene:ORUFI12G09150 transcript:ORUFI12G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGPVARPTRGARSRGGKRASSLALEQSNGWSLHCGERASDSPSPRALPLRVADLIVVLGSASRCRLRNLIAGRKWRQSEEDDDHEQLRLLVVGRERRSPDPLCHHLQNRATAAVLPPALLQGRYRHCSARRTLLLPPHGMEDAVARPGGEESRRRLREEGSRSRRREQGRASMEQRAYYFVIISETCQDEQLDDSNVETFRRLLPSSDALGAKSKPELTLPSTDWSAWRTEAMAAAASRDPHDTRKASLTWPPSVVTSEPTTSSPSLSRALHCRSVSMDEEAATLLCDGEERVSERR >ORUFI12G09160.1 pep chromosome:OR_W1943:12:8346282:8346918:-1 gene:ORUFI12G09160 transcript:ORUFI12G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVMASSATTVAPFQGLKSTAGMPVARRSGNSSFGNVSNGGRIRCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQLISFIAYKPPGCEESGGN >ORUFI12G09170.1 pep chromosome:OR_W1943:12:8350909:8351747:-1 gene:ORUFI12G09170 transcript:ORUFI12G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSTANHRCSDFGFGYGGRVGCGELAGAKARFIGEGGAKGGRVEHLSRHVRQEHGGSGESRQLCRRALSVGHGISRAARRLARGAAAAGRLRLAEAGARRRGDVSLAVSASALQSGDRGGRASRVSSFRVEGQCWFATANGEVSGDLDRASGTAASALQARVSGFAGLARSGGQGFWPRRVVNGSLCSSRSLHAKCLLKCLQGGREKGRWGRDGALVRLACGVQGSWAHRSERRRRKRGEAIFLKILVKTESVIEFSGYATV >ORUFI12G09180.1 pep chromosome:OR_W1943:12:8371792:8373368:-1 gene:ORUFI12G09180 transcript:ORUFI12G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILEKALHSDIADDVIRCNILPRLPFKLTTRLKVISSTPDIVGIPSGFDFLGCCLENGILSLLASTNGLVCILYTRIGLAFDPVDKFQENTVSKFKIVKAVGARTIEDNGTKFCFATLSSNTSCWAMSSTTVYVDTKINCNNKKVAYGSGIMYWDYQEIVLWFDIATDMAGIVKIPWIQLGVEVKGPVHHDIDTSANGMLVCTTIDKGGLVVYHLVGVNTDFSWEIKHERRWIDMMKDSISAFGFCHSMQLRSGQEWKTKDKILCYNVVTGKTYGTGKELGNRYSKNPFYVYRNSMANIPHIAVPAQGKICEGSTGGCICAICGVEVKGPVHHDIDTSANGMLVCTTIDKGGLPMYHLVGVNTDFNWEIKHDRRWIDMMKDSISAFGFCHSMQLHSGLQTNLIDAGSKPALELAPI >ORUFI12G09190.1 pep chromosome:OR_W1943:12:8384560:8384986:-1 gene:ORUFI12G09190 transcript:ORUFI12G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKFMYENIQSLHKTSARSNNEALPGLTILDLAGSVGSWSKIHYEVAKCKSYVLLEAISWSYWTKKAEHFIF >ORUFI12G09200.1 pep chromosome:OR_W1943:12:8385001:8395636:-1 gene:ORUFI12G09200 transcript:ORUFI12G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHPPLSLALAARRNHRALLIPSRTHAAPPPHPLPHPPPSLSLDRRNRRASSAEIAAPPRHGAAPPLRAVSALRLLRIAASPLRAFSALRLLRIAAPPLRADPVSALRLLRIAVKSPRQAASATGILGLRLNRRRRHHRIPASSILLHTCEHSSGCEGVDATNPQTEERIAFRDITNRLDVLQTTPITPSDEVLRKREAHNRSQREYRARKKAAANTPCSATLRQPTTASLSKEFEIVNAQLAEATNDAREERNRKQREYRQKKKVGSTNLDGSVTSLTPVQPANEERNRKQREYRARKKAKSDIVRGSNSQSTDHIATSVLYNEDGDPGSVISLTAVQPANVERNRKQREYRARKKAESSIVSGSNSELTNQDDGVTAYESIPVQDVHQDIPSFDYIEFDSRIFEPALNNLDDESNVKLTQTCDVVDSDDEEFQNKEGTEPEEPLQDKESGEHVGSKVSMPNNGVPSKLIRSIIGQTYDYLPQDYVLTNQDVTAQDIILVSSENETLVNMGGFSVKKHHLSCLLTKDKWVNDDVISAYIHCIKEAQSKTDKKVYYENPFLIAMLQRDAVYIIDEHIDNFITNTVKNYLFHELIQGVQKHLDIITRRQDLPSHEWIDLNVVTWPIIEQLQVKIQLDGSSCGLFMLKFMEFFTGDKFSYPVTQKDIELFRYKLAGILLCWKTNMAAEASDVEQVEDTDNEDDVVIVGSRQRERWDMKESKEYMGAKFTAAHHKNKSQNTSISTKGWTT >ORUFI12G09210.1 pep chromosome:OR_W1943:12:8395820:8397027:1 gene:ORUFI12G09210 transcript:ORUFI12G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATDDDEDVEEMQGYRIGRIGSASCKEDRLDQMGSAAGTRLCTSANNAAVSLRPGWGRSRSIAFGGRQRRTAGPATGTGRASQVAVDGDGGLRHSCSIPFVHVRPAGTVPVLGEAHGVMVSQVATVCSGSSPSTLRLTFGLH >ORUFI12G09220.1 pep chromosome:OR_W1943:12:8403374:8404717:-1 gene:ORUFI12G09220 transcript:ORUFI12G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILEKALHSDIPDDVIRCNILPRLPFKLATRLKVISKKYYGILTNNATLSARQARLCPPCPALIHMDLPDRHLGAYTSKVEAIDVLSSTPDIVGIPSGFDFLGCCLENGILSLLASTNGLVCILYTPTNFISHSRAPTLFIANPATQKAQSIPGTTKHITRFDKGIGLAFDPVDNFQENTVSKFVIVKAVGARTIEDNGTKFCFATFSSNTGCWAMSSTTVYVDTKINCNNKKVAYGSGIMYWDYQEIVLWFDIATDMAGIVKMPWIQLGVEVKGPVHHDIDTSANGMLVCTTIDKGGLIVYHLVRVNTDFSWEIKHERRWIDMMKDSISAFGFCHSMQLRSGLQPERLTERRLVRPIGIVDGRFVYIGVRQEWKTKVKIVCYNMVTGKTYDTGKELGNRYSKNPFYVYRNSMANIPHIAVPVQGKICEGRAGGCICAMYTGEG >ORUFI12G09230.1 pep chromosome:OR_W1943:12:8433905:8434263:-1 gene:ORUFI12G09230 transcript:ORUFI12G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIMKMPWIQLGVKVKGPVNHDIDTSELNTNFNWEIKHERRWIDMMKDSISAFGFCHSMLLCSGLQTNVIDAGSKSDIGTGTYLISTSVPPSWCRLGIRHIQHLCRVPNQHQ >ORUFI12G09240.1 pep chromosome:OR_W1943:12:8434297:8434746:-1 gene:ORUFI12G09240 transcript:ORUFI12G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYFLGCCLENGILSLLASTNGLVCILYTPIYFMFHSRAPILFIANPTTQKAQLILGTTKHIARFNKGIGLAFDPENTVSKFKIVNAVGARTIEDNRTKLCFATFSSNTSCWAMSSTTVYVHTKINCNNKKMAYGSGIMYWDY >ORUFI12G09250.1 pep chromosome:OR_W1943:12:8434838:8436065:-1 gene:ORUFI12G09250 transcript:ORUFI12G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMAPRILEKALHSDIPDDVTRCNILPRLPFKLATCFKVISKKYYGILTNNAPLSAWQARLCPLCPALIHMDLPDRHLGAYTSNVEAIDVL >ORUFI12G09260.1 pep chromosome:OR_W1943:12:8437751:8439496:-1 gene:ORUFI12G09260 transcript:ORUFI12G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGNRQPAAAVDVRCGKDGRNHWREDVGCGLGFTFACVDWSAGVEVLVLKRHQKRHLFDFHISAVFVMPVGNLTYLAPMLDSQLAPMAFSVVVFEFNVLSKLSLLR >ORUFI12G09270.1 pep chromosome:OR_W1943:12:8450347:8451212:-1 gene:ORUFI12G09270 transcript:ORUFI12G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVREHKLPPTSPIPGHWSPSAPSLSLSLCVLLHGKKERRRKMTGGRRRKKRKRKIKTDRWAPAQSPCLLNRRSDSTTKKPSVGTLRLPWGCSRFTIPDVGPLVVKPGKTQTHGRSAAPGTPRLDAYNCQSFTIPSTSTARRTISSRALCDLSRSAATHGCRSNTSAPLNPHKPPIPLTVMLSIDTG >ORUFI12G09280.1 pep chromosome:OR_W1943:12:8461681:8461962:-1 gene:ORUFI12G09280 transcript:ORUFI12G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFQMWAGGALLGARKGRSFTAEIGSTSSLQRMKTAVMNQEGFPVQHQRPFFGGVEMQEKGDDTTREYSIVKGSTIDLLIPYRYRGAAADR >ORUFI12G09290.1 pep chromosome:OR_W1943:12:8462330:8465602:-1 gene:ORUFI12G09290 transcript:ORUFI12G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAARLPPAAARRARLEATTPSSPFAVAAPRRVMAPTRRPRLGTRLVVVSAQSNFSRVVQTAWKVGRDAVEAGTTLVPGSVPRPVARIGVTFVALSIALFLLKSVVSTAFFVLAMMGLIYLGFLAMNPKEGSRVDEGANSSEDPIIVALKKN >ORUFI12G09290.2 pep chromosome:OR_W1943:12:8463527:8465602:-1 gene:ORUFI12G09290 transcript:ORUFI12G09290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAARLPPAAARRARLEATTPSSPFAVAAPRRVMAPTRRPRLGTRLVVVSAQSNFSRVVQTAWKVGRDAVEAGTTLVPGSVPRPVARIGVTFVALSIALFLLKSVVSTAFFVLAMMGLIYLGFLAMNPKEGSRVDEGANSSEDPVEEARRIMEKYK >ORUFI12G09300.1 pep chromosome:OR_W1943:12:8466113:8466997:-1 gene:ORUFI12G09300 transcript:ORUFI12G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTLETVAGRRFTVEIWFFSTVRRIKEYVLRQEGIPVESQRLFFAGAELDDDGDTERYSILQGSTVLLLLPEDGAAPPSSGGGGGGGGGTKAMVRVVVNAPAALAGKGGAVTVEVDAAACTVAGLKERVQEGTDGALPAARVALMFGKVEMEDGRAVAEYVPPGAAADGTATVVVSAVVRPPPPPTPTAASPVAVSKKRPPTPTPQPPQQPRVTVNVKWGAKAAAVEVSDMLAVKDLRAELGGAAAHLPLPKDGGYFFIYKQNVMEEDRTLRWHDVKNGDTIEIFNGRVTGGA >ORUFI12G09310.1 pep chromosome:OR_W1943:12:8494094:8496998:-1 gene:ORUFI12G09310 transcript:ORUFI12G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVELLEEEQRRSSGSEWASAAAHESAAARLLLCRLKDGIDDALKLVQSFGSRGPVDRFFHGRSLAGKLENVHEEINSCLRLYHLANRTLLYHNIKLLEEIICSLLRPEEGKELRRTLSSPSIPSDDKKEVFMWFISHSNQDNKFQIKGKFERNQPVNNAIEIPAGNVEKHAGPYDGKGSELNIVSQDVATAENNSATEIVEELRKLAEMVIKTNHAMKKWLPLVRSAKLILDFMNYLQGLGMTAQDVASLNQLTRLKRLLGNAYNYLTMYSQSGWTTISRFGRSSRRIDEQITDAQNKIDLYLEQLPAVSHSQMSGLLGGILRSSESHDMKMLQELFKTFRKTM >ORUFI12G09320.1 pep chromosome:OR_W1943:12:8509819:8512897:-1 gene:ORUFI12G09320 transcript:ORUFI12G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSQPHNRQLQLGFSSSSSSSRGVDDDGEWPSAAKDRSAKNKGARDWCGEGSDDGEEGEEEEEDGVLSAFLCPITMEVMRDPVVVETGHAFEREAIARWFSECASLGAAPRCPVTMEVVDGADVKPVVALRAAIEEWTSRRETAALRRACRWLTKAASEKEALRGLDAVMRGWKLARVGKRVVRRDGMVPMVAAMLRNGSARVRLKALQALREFAREDDEYRDSVSEGDTIRRIVKFIDFEDCQERELAVSLLCELSKSEMVCEKISELNGAILILGKVACSKSQNPALAEEAEMTLENLEKCEKNVLQMAENGRLEPLLNLLIEGSPEKQLRIASSLEKIVLSNDLKNLVAQRVGLLFAGVVENGTLPAKEVAFKVLDHISTNTESAKVLIEDGILLPLFRVLSVDGVKFLPPRLQEAAAAVLSNLVACGIDFGTVPLDGNRTIVSEDIVHSLLHLISNTSPPIQCKLLEIFVMLSSSTTTVLSIISAIRSSGAITNLVQFVESDHQESRAASIKLLCKISFDMDHEIAQVLRSSPTLLGCLVRIVSENDANADEQDAALQILANLPKRDRRLTMELMEQGAFKYIARKVLNICRRGTANNIVDNTMLEGLVKVLARITYILREEPRCVALAREYNLASLFTSLLRLNGLDGVQLLSAKALVNLSVESRYMTGTPNFDEHEQKSGLTWFGKKPPGIQLCRVHSGICSIRDNFCILEGKAVERLVVCLSHQNKKVVEASLAALCTLLGDGVEITEGVSVLYMANAVEPIFEILKGNPTGTLQQRVTWAVERILRAENIAKAASSDRGLSSALVHAFQNGDSRTRRIAEASLKHINKLPTFSQIIDKHPSRRGSSIGSMERYFRSDR >ORUFI12G09330.1 pep chromosome:OR_W1943:12:8529584:8530367:-1 gene:ORUFI12G09330 transcript:ORUFI12G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQPEVTGVAVHMWKIGCYSVREIHGEFCIDEMAFNMNGLYIRKVYQVYFPLNQTACHSELSTNRNCKLKTSCVSRPGDAQVTTMVMNVGFARHAFQNKEFKDKKEKIQFGLGLGQLGHTWTKTLVSLSSGVRFGRVSTRWIANFMAHVVDRAQDTNSFWFHRKSQNKLTVLQRSFHIVLYLILS >ORUFI12G09340.1 pep chromosome:OR_W1943:12:8535302:8539157:-1 gene:ORUFI12G09340 transcript:ORUFI12G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQDGYFDSSTDSLRVEPIYESFLCPLSKQVMRDPVTIESGATFEREAILKWFKDNGSGGRRLVCPVTNKELSSTELNPSIALRNTIDEWMHRNEAAKLDVARKSLTSDCSEGDILQALEYVAEICQRSRSSRHLVRKVGLISLITDLLKNSSPKVRQKALGSLRFVAKNDNDNKNEIAAGDNIRTIVKFLNHGHSQEKEQAVSLLYELSEYKPLSEKIGSVSGAILILVGLSSSKVENLLTVDRAEKTLENLESCEKNVRQMAENGRLQPLLRLLLEGSSDTQLSMAAHLGELVLSNDVKVLVAQTAGSTLVNIMKSGNREAREAALKALNQISSYDTSAKILIEAGILPPLITDLFTVGSNQLPMRLKEVSATILANIVASGASFESVPLDHNRQNLVSEEIVHNLLHLISNTGPAIECKLLQVLVGLTTSATTVQSIVDAIKSSGATVSLIQFVEAPQREVRLASIKLLNNISPFMGQELAEAFRGNFSQLSSLVRVIADNNGISEEQAAAAGLIADLPPRDSVLTRRLLQDGAFSTIITKVTMVRQGDIRGGRFVNPFLEGLVRVVSRITFILDDLDIIDVARDYNLTPLFTDLLQMNGLDTVQIVSATALENLSRQSKLLTRIVPAPNPGFCFSIFPCLSQKSVATGACRVHVGICSARESFCLLEGKAVEKLVACLDHNNEKVVEAALAALSTLLEDGVDIEQGVMVLCDAEGVNPILEVLCENRNEALRQRAVWAVERILRIDEIAYEISGNQNVGTALVEAFRHGDSRTRQIAERALKHVDKLPNFSGIFSKMGAA >ORUFI12G09350.1 pep chromosome:OR_W1943:12:8539177:8540533:-1 gene:ORUFI12G09350 transcript:ORUFI12G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRRRASNPARVASRRFGFGLAQPLPCHCQPDSQQQSPPRANASSLTSPHLAPPSPPPPPPPPPVRVPSSSSSSGEIHPIPPQSPLGATAARSAAAAAMPR >ORUFI12G09360.1 pep chromosome:OR_W1943:12:8547391:8551879:1 gene:ORUFI12G09360 transcript:ORUFI12G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANAISTASLLRSFSSQGRVRRAKNGRAQRLVVRADAKDIAFDQKSRAALQAGVEKLANAVGVTLGPRGRNVVLDEYGSPKVVNDGVTIARAIELYDPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSLKKGIDKTVHGLIEELEKKARPVKGSGDIKAVASISAGNDELIGSMIADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNLEKSIVEFENAKVLITDQKITSIKEILPILEKTTQLRAPLFIIAEDITGEALATLVVNKLRGILNVAAIKAPSFGERRKAVLQDIAIVTGAEFLAKDLGLLVENATEEQLGTARKVTIHQTTTTLIADAASKDEIQARVAQLKKELSETDSIYDTEKLAERIAKLSGGVAVIKVGAATETELEDRQLRIEDAKNATFAAIEEGIVPGGGTAYVHLSTTVPAIKETIEDHDERLGADIIQKALVAPASLIAHNAGVEGEVVVEKIKDGEWEVGYNAMNDKYENLIEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKPKAPVAEPAEGTLTV >ORUFI12G09370.1 pep chromosome:OR_W1943:12:8556080:8561727:-1 gene:ORUFI12G09370 transcript:ORUFI12G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPTGVRAALRIVRRAFGMVCDQWSSLLPVVVGIFLLNLALTLFMVVNLASPLADLHVMRPFYDIDQANLTAGVTTAAADTVTCSMVAAPGGGAHGHGAASPMWELHLTGELFWSLSMAVAMFSFSRVCRLQQEPEGMEVLQHARTWRDYGSIALAVLGWQTISYYAFGAMQAVDREDLLREFDAIFGCGYLLVIVVVSRENIHGFLAIEKAWGLVFQRFKVVSCISIGFLITLASMDHIYNKEQDRAILSSEAVKEDTTAEILTFSLVAALLDVIMQLVVCPRARLLPVAEQLPPATLADIQALEASLTQTIQNSLATIEERVAALPRP >ORUFI12G09380.1 pep chromosome:OR_W1943:12:8591696:8592051:-1 gene:ORUFI12G09380 transcript:ORUFI12G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSQMDRKLTSVCWDKCITGTQGRTYVKFCSGFATAGTPGSKFSSVETTCPNNCAHRFLGMSMMIAKRFLQMQ >ORUFI12G09390.1 pep chromosome:OR_W1943:12:8594361:8595383:-1 gene:ORUFI12G09390 transcript:ORUFI12G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVGRERDPTGARTALRIVREAFAETRGKRWRLLLVAFVMLCVNFVLMILWVKMASQQAVNLDALRPFYEVEENSTKSAAAGSGEGKELASPLWELDVAGELLWDVSTVMAIFLFSKAMFFLQGGGQHRRGIRSLLKECLSVAVAIVVWEVMGNFVLGTLQANGFQDLSRKFDAAFGYGYLLTAVVISQEDVHNFRAVERAWELAGQKLKNVYVVGVMIILVREALEIVYHLLLKYRLVYHQHHVVTTAVSRHDDTTADVVRFSLVAALLHVIMQSFVCTMVLALYRETRNNNRQDIRRNDAAAHND >ORUFI12G09400.1 pep chromosome:OR_W1943:12:8597939:8598193:1 gene:ORUFI12G09400 transcript:ORUFI12G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSHSLIVEWEGENIEGFVAVSVDREGLAEVAGNTKYHGARMRPMRPWRKYDVEIQSDGKRQGGPGASVARDVRYRRGSVGI >ORUFI12G09410.1 pep chromosome:OR_W1943:12:8608642:8608845:1 gene:ORUFI12G09410 transcript:ORUFI12G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGEHTEGLAAVAVDGEGLAADSGWDEGLVTPTMTTTRNWKYHGNMMRPWRKYVVEMRNPMRKGK >ORUFI12G09420.1 pep chromosome:OR_W1943:12:8636068:8638117:-1 gene:ORUFI12G09420 transcript:ORUFI12G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPKKQPELVDGPGGDIQVVQDPVWQQEDIEEAFALAEHEQANAEQAHVLAEQHNPVVEQQDDALAKQNNNPVVEQQGDALAEQNNNPVVEQQEQNNNPVVEQQDDDQARLSLETLIGENRWEEALRRLERMVRDGEANNGPVPTAPSHDIYRAHPELVLLLRGEEYLRIKRLPNAAADATCFYHEHIQNLYRSGATGSSFVDTGVLKDIQDWENGSRATPSGHQSEIHMQETRQAVNDYLKLYFPAYRPQILRVNGRSLSRAGELGEKIQNNCRCLACHKRFNSWSVSNLKNHIQGLRQEKDGKQCPAFNNYILDRLKELLALDNKAKKKPPKDQERAQQPTHGRRDDGGGDGAAPAAAAGLSAAS >ORUFI12G09430.1 pep chromosome:OR_W1943:12:8660028:8667603:-1 gene:ORUFI12G09430 transcript:ORUFI12G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWNSVGMEVLYQVLGWVAFFAWSFSFYPQVFLNFKRKSVVGLNFDFLVLNLTKHSSYLIYNAALFFSPFIQRQYHETYGDKEMIPVAANDVAFSVHAVALTAFTLFQVFIYERGNQKISKVCISITAIVWTAAIVCLIVAWPKSNWLWLIDVFNSIQVGMTAIKYIPQAIMNFRRKSTIGWSIGNILLDLTGGVLNFGQMGVQSIDQHTLVNFYGNIGKTLLSLEVVFFDILFIIQHYVLYPVKRDENAANGYPILPIEAKHVAAA >ORUFI12G09430.2 pep chromosome:OR_W1943:12:8660028:8667603:-1 gene:ORUFI12G09430 transcript:ORUFI12G09430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWNSVGMEVLYQVLGWVAFFAWSFSFYPQVFLNFKRKSVVGLNFDFLVLNLTKHSSYLIYNAALFFSPFIQRQYHETYGDKEMIPVAANDVAFSVHAVALTAFTLFQVFIYERGNQKISKVCISITAIVWTAAIVCLIVAWPKSNWLWLIDVFNSIQVGMTAIKYIPQAIMNFRRKSTIGWSIGNILLDLTGGVLNFGQMGVQSIDQHTLVNFYGNIGKTLLSLEVVFFDILFIIQHYVLYPVKRDENGKAIISERVAPLIRPSDKPEEDTANGYPILPIEAKHVAAA >ORUFI12G09440.1 pep chromosome:OR_W1943:12:8667833:8668042:-1 gene:ORUFI12G09440 transcript:ORUFI12G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASPPTVQHIATSAYLRAIRTPGRNPVPLLVITPLNLCCTGTNVAGIPTRFVPPKPQPEEQSRGMAEP >ORUFI12G09450.1 pep chromosome:OR_W1943:12:8678303:8685813:-1 gene:ORUFI12G09450 transcript:ORUFI12G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADARAPPKSDPGATPIGSISPSSAAPAAGEDEVEVEVEVEEQLAGLAIADQGEELLLPKPTGWEDGPVVVAGDEVSGGEKLPGEVAAAVGVEGAAADSRPRFPRRPGEPDCTYYVKFGSCRFGMKCKFNHPARKKKSRVKGSNGGSGSGGSNSSSNKASSPDDEQAPKEEYGSYVPDISPEVDSLGFADKGSASNLENFKKYSYEIIDVKKGRVEPKELKVAKEKRKEFISEGSSQEECKYYSTPGGCKFGKACKYLHRDGKEGKTDAEKVDLNFLGLPLRPGEKECPYYMRTGSCKYATNCKFHHPDPSNVASKDPQLEHENGDAPQQDVQGSSSQPNASIWPDQRTVNEHHVPFIAPSPSYSAGMLPPQGMYPPPEWNGYHQVPLNPYYPPGVPFQHFPAAPINHPMYKAPEIPGHQQVPSEEYPERPGQPECQHFVKSGFCKFRMKCKYHHPRSPVPPAGALSPLGLPIKPDQPVCTYYSRYGVCKFGPACAYNHPFNFSPVPAAGPPLLPAQYPTPGNYTL >ORUFI12G09460.1 pep chromosome:OR_W1943:12:8696151:8697630:-1 gene:ORUFI12G09460 transcript:ORUFI12G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWWITTTDGRQHWPLMFFSETKTVRLYRSISINFGNIIGSRGKETPSRILQLGGTAYSAALCCLVDTGFDLCNDHIREKYYLIDNQEVLGFQIKFRSLLKKKTT >ORUFI12G09470.1 pep chromosome:OR_W1943:12:8698680:8699875:-1 gene:ORUFI12G09470 transcript:ORUFI12G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGDHSSGPAELSPDCFDPEAPDDPATCFAHDEKDFESNETVWALYERWCSFHSAEHDHDDMVRWFGCFKDRARRIIEFNKSSKPYKWGVGAWGLNIFDDMTPEELFEFGNN >ORUFI12G09480.1 pep chromosome:OR_W1943:12:8700390:8707647:1 gene:ORUFI12G09480 transcript:ORUFI12G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKRKADSAAAELASPPPKAPRGSEALAESPSLAGEGSEPVACVHDVSYPEGYDPSAPATHLLNGVGGAEGAGPAKTFPFQLDPFQAEAIRCLDNGESVMVSAHTSAGKTVVALYAIAMSLRNQQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEVMREVAWIIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPSGGDGLYLVVDEKSKFREDSFQKGLNALVPASENDKKRENGKWQKGLLTGKPSEDSDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNDDDEKANIETIFWSAMDLLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDRFRWLSSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKMILKGSADSLNSAFHLSYNMLLNQIRCEDGDPEKLLRHSFYQFQADRALPDLEKQVKELELERNSMIIEEEENLKSYYDLLQQYKNLKKDVRDIVHSPKYVLPFLQPGRLARVQYSTDEQSTFSIDENITWGVTINFEKVKTHSEDRRPEDSDYTVDVLTRCSVSKDKSGKKTMKIIPLKDRGEPVVISLPLSQIDGLSSIRMHIPKDLLPVEARENTLRKVDEVISRFAKDGIPLLDPEEDMKVQSSSFRKASRRIEALESLFEKHDVHNSPHIKQKLKVLHAKQELSTKIKAIKRTMRSSTALAFKDELKARKRVLRRLGYITSEDVVEVKGKVACEISSADELTLTELMFSGTLKDATVEQMVALLSCFVWQEKLQDAPKPREELDLLFFQLQETARRVANLQLDCKIQIDVESFVNSFRPDIMEAVYSWAKGSKFYQIMEMTQVFEGSLIRAIRRLEEVLQQLILASKSIGETQLEAKLEEAVSKIKRDIVFAASLYL >ORUFI12G09480.2 pep chromosome:OR_W1943:12:8700390:8707647:1 gene:ORUFI12G09480 transcript:ORUFI12G09480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKRKADSAAAELASPPPKAPRGSEALAESPSLAGEGSEPVACVHDVSYPEGYDPSAPATHLLNGVGGAEGAGPAKTFPFQLDPFQAEAIRCLDNGESVMVSAHTSAGKTVVALYAIAMSLRNQQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEVMREVAWIIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPSGGDGLYLVVDEKSKFREDSFQKGLNALVPASENDKKRENGKWQKGLLTGKPSEDSDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNDDDEKANIETIFWSAMDLLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKMSGRAGRRGIDQRGICILMVDEKMEPSTAKMILKGSADSLNSAFHLSYNMLLNQIRCEDGDPEKLLRHSFYQFQADRALPDLEKQVKELELERNSMIIEEEENLKSYYDLLQQYKNLKKDVRDIVHSPKYVLPFLQPGRLARVQYSTDEQSTFSIDENITWGVTINFEKVKTHSEDRRPEDSDYTVDVLTRCSVSKDKSGKKTMKIIPLKDRGEPVVISLPLSQIDGLSSIRMHIPKDLLPVEARENTLRKVDEVISRFAKDGIPLLDPEEDMKVQSSSFRKASRRIEALESLFEKHDVHNSPHIKQKLKVLHAKQELSTKIKAIKRTMRSSTALAFKDELKARKRVLRRLGYITSEDVVEVKGKVACEISSADELTLTELMFSGTLKDATVEQMVALLSCFVWQEKLQDAPKPREELDLLFFQLQETARRVANLQLDCKIQIDVESFVNSFRPDIMEAVYSWAKGSKFYQIMEMTQVFEGSLIRAIRRLEEVLQQLILASKSIGETQLEAKLEEAVSKIKRDIVFAASLYL >ORUFI12G09490.1 pep chromosome:OR_W1943:12:8710035:8719643:-1 gene:ORUFI12G09490 transcript:ORUFI12G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPPAVEPPEWLRTLPVAPEYHPTLAEFADPIAYILRIEPEASRYGICKIVPPLPRPPEDDTFRRLQAAFAAAASSNGDPSPTFPTRLQQVGLSARNRRAASRRVWESGERYTLEAFRAKAAEFEPPRHAAPPRNPTHLQLEALFWAACASRPFSVEYGNDMPGSGFASPDELPDAANATDVGETEWNMRVAPRARGSLLRAMARDVAGVTTPMLYVAMLYSWFAWHVEDHELHSLNFLHFGKAKTWYGVPRDAMLAFEETVRVHGYADDLNAIMAFQTLNEKTTVLSPEVLLSAGVPCCRLVQKAGEFVITFPGAYHSGFSHGFNCGEASNIATPHWLQVAKEAAIRRASTNCGPMVSHYQLLYELALSLRPREPKNFYSVPRSSRLRDKNKNEGDIMVKENFVGSVTENNNLLSALLDKNSCIIVPNADFFVPSFPVALESEVTVKQRFTAGPCSISQQGAENMAADHVAVDKVTEIQDMSGSLYPCETSLVGCSNRKLYETKYGQRDAAALCLSTSEIQSRGIDTARSHPAGGILDQGRLPCVQCGILSFACVAIIQPREAAVQFIMSKECISSSAKQGGIGASDDTSNWIDQSHEISPPPGPASGTDDNVKHAVSLAHVSDRCRELYASNTDGCTSALGLLASAYDSSDSDDETTEDVSKHSKKNDSVNQSTDPQILETSASCSSTVQCQKTNSHLHEEECEARATSLMKPVSHNSRPISQSNRDTDIDHFIELGKSGTQCSGYLDLVDDLTTSVLKSSSDTCVSAAKASMDPDVLTMLRYNKDSCRMHVFCLEHALETWTQLQQIGGANIMLLCHPEYPRAESAAKVIAEELGIKHDWKDITFKEATEEDVKKIQLALQDEDAEPTGSDWAVKMGINIYYSAKQSKSPLYSKQIPYNSIIYKAFGQENPDSLTDYGCQKSGSTKKKVAGWWCGKVWMSNQVHPLLAREREEQNSSVVYGKAMFTTISHGKVQDEASTRCNTSNRTPSRRTSRRKKGVSAEKSKPKNKRSTASDEASMLCSGLGMNSGVIHDQTENSDDYDKHGNGDEIEEGTNPQKYQQRKLQNVTRKSSSKKRKDEKRTDSFHELYDEDNGVDYWLNMGSGDDATLGNSRQQSPDPVKVKSGGKLQGKRKSSKYKSNDDLLNEENKLQKMNKKSSSKKQKNDKINRQLQEDQTEDDHMDHLVDVAVADEVTLDNEDKITEDKIDDVKVKSRGKSQNGKRKGSKHQATDGLRAGNKVAKFPCDIEGCDMSFSTQQDLLLHKRDICPVKGCKKKFFCHKYLLQHRKVHIDERPLKCTWKGCKKAFKWPWARTEHMRVHTGVRPYECQEPGCGQTFRFVSDFSRHKRKTGHSSDKRRKNST >ORUFI12G09500.1 pep chromosome:OR_W1943:12:8724637:8726316:1 gene:ORUFI12G09500 transcript:ORUFI12G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGTGSVVAVELALEEEIHAGGRLIERKLCNFPALKAMEVPGTERSGCGRPLRCLDEPYAAGCGCPSSRPELRCPLGPKFRSLIVKLMVMVSCTLVTGISVDGSTSGGELWHASACRALARFSANPCMHMCLHGAWILTRHHARVGEDRTGKRWPEVRKTMLISHLGCGGHDGSVARSGVVHSGQNGDEIMAAVLGACSRADNGMATFPSLQWMK >ORUFI12G09510.1 pep chromosome:OR_W1943:12:8728263:8743725:1 gene:ORUFI12G09510 transcript:ORUFI12G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGDEVSGSAGNIDYRSRGGASRSDSDGEVSETTVQKQLDMAQENPAAVASLSCRRRRIPKQPEP >ORUFI12G09520.1 pep chromosome:OR_W1943:12:8744223:8752862:-1 gene:ORUFI12G09520 transcript:ORUFI12G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFFQPVPKDGSPAKKRPAAAASDSDSLGGDAPAAAACAVGEGDSPPAPREEEPRRFVTWNANSLLLRMKSDWPAFCQFVSRVDPDVICVQEVRMPAAGSKGAPKNPGQLKDDTSSSRDEKQVVLRALSSPPFKDYRVWWSLSDSKYAGTAMIIKKKFEPKKVSFNLDRTSSKHEPDGRVIIAEFESFLLLNTYAPNNGWKEEENSFQRRRKWDKRMLEFVQQVDKPLIWCGDLNVSHEEIDVSHPDFFSSAKLNGYIPPNKEDCGQPGFTLSERRRFGNILSQGKLVDAYRYLHKEKDMDCGFSWSGHPIGKYRGKRMRIDYFLVSEKLKDQIVSCDIHGRGIELEGFYGSDHCPVSLELSEEVEAPKPKSSN >ORUFI12G09520.2 pep chromosome:OR_W1943:12:8744223:8751497:-1 gene:ORUFI12G09520 transcript:ORUFI12G09520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGSKGAPKNPGQLKDDTSSSRDEKQVVLRALSSPPFKDYRVWWSLSDSKYAGTAMIIKKKFEPKKVSFNLDRTSSKHEPDGRVIIAEFESFLLLNTYAPNNGWKEEENSFQRRRKWDKRMLEFVQQVDKPLIWCGDLNVSHEEIDVSHPDFFSSAKLNGYIPPNKEDCGQPGFTLSERRRFGNILSQGKLVDAYRYLHKEKDMDCGFSWSGHPIGKYRGKRMRIDYFLVSEKLKDQIVSCDIHGRGIELEGFYGSDHCPVSLELSEEVEAPKPKSSN >ORUFI12G09530.1 pep chromosome:OR_W1943:12:8761861:8762589:-1 gene:ORUFI12G09530 transcript:ORUFI12G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPRLLIYSHPRLVLIYSHALSLSRLSPSPLSPRVSPSPLSSGSCLAVAAGSRGWRLPRPPSSRFGGRGGVGLPRPVAARRRRQRPRPPLTCKSSTTAILATLKGKKEATQGPRHRRIILNAAVVRGYCSGSEDVEGITTKRYRFYFTISCRYQQ >ORUFI12G09540.1 pep chromosome:OR_W1943:12:8763433:8765252:-1 gene:ORUFI12G09540 transcript:ORUFI12G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARHVASYMGRFAPRCGYAGDTTCTAAAWTRPQLPHAPSGPLVILRRGIAMIPGDHSPGPADLSPDCFDPEAPDDPATCFAYDEKDLESEEAVWALYQRWCSFHDIERDRDDMVRRFVYFKDRAHKIIEFNKSGKSYTWGLNIFGDMTPQEQSKLERPPLHRRI >ORUFI12G09550.1 pep chromosome:OR_W1943:12:8854416:8854628:-1 gene:ORUFI12G09550 transcript:ORUFI12G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPAAERRPGRHSYDGAHPKLKGPASSMLQEVSQGARIYHGTDGRRRAKTRGAVRTKQRWLAIGDVRRC >ORUFI12G09560.1 pep chromosome:OR_W1943:12:8870312:8875544:-1 gene:ORUFI12G09560 transcript:ORUFI12G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVSASQGVIMRSLTSKLDSLLLQPPEPPPPAQPSSLRKGERKKILLLRGDLRHLLDDYYLLVEPPSDTAPPPDSTAACWAKEVRELSYDVDDFLDELTTQLLHHRGGGDGSSTAGAKKMISSMIARLRGELNRRRWIADEVTLFRARVKEAIRRHESYHLGRRTSSSRPREEADDDDREDSAGNERRRFLSLTFGMDDAAVHGQLVGRDISMQKLVRWLADGEPKLKVASIVGSGGVGKTTLATEFYRLHGRRLDAPFDCRAFVRTPRKPDMTKILTDMLSQLRPQHQHQSSDVWEVDRLLETIRTHLQDKRYFIIIEDLWASSMWDIVSRGLPDNNSCSRILITTEIEPVALACCGYNSEHIIKIDPLGDDVSSQLFFSGVVGQGNEFPGHLTEVSHDMIKKCGGLPLAITITARHFKSQLLDGMQQWNHIQKSLTTSNLKKNPTLQGMRQVLNLIYNNLPHCLKACLLYLSIYKEDYIIRKANLVRQWMAEGFINSIENKVMEEVAGNYFDELVGRGLVQPVDVNCKNEVLSCVVHHMVLNFIRCKSIEENFSITLDHSQTTVRHADKVRRLSLHFSNAHDTTPLAGLRLSQVRSMAFFGQVKCMPSIADYRLLRVLILCFWADQEKTSYDLTSISELLQLRYLKITGNITVKLPEKIQGLQHLQTLEADARATAVLLDIVHTQCLLHLRLVLLDLLPHCHRYIFTSIPKWTGKLNNLRILNIAVMQISQDDLDTLKGLGSLTALSLLVRTAPAQRIVAANEGFGSLKYFMFVCTAPCMTFEEGAMPSVQRLNLRFNANEFKQYDSKETGLEHLVALAEISARIGGTDDDESNKTEVESALRTAIRKHPTPSTLMVDIQWVDWIFGAEGRDLDEDLAQQDDHGFCMLPESSSRLQSRGNFVSCHFTVTVHLLKELMGGPIFSRVPRRYCASWVHFSANVYNIYPMVLTHVLTIIAHQHKTYTTTEISIEEDMPTGAEGTVIVPHTMEQFALHMSQAKQSHKLVVIQFTTSRCPASRYIAPAFTEYAKEFAGAVFIKVNVDSDELESVTDWYDIEGIVPTFFFVKDGEKIDKIPGANKELLRTKIRRHTASPYFLR >ORUFI12G09570.1 pep chromosome:OR_W1943:12:8887853:8899652:1 gene:ORUFI12G09570 transcript:ORUFI12G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSAATAFTKCVVGKLLEVLDTRYKMLRDLSHESASMQNDLLLLAAFMDDQLRRSSSSPAAERPTAVLRAYTELMRELTHDMEDSIERFLHRVAPRDDHGGAGAPSWPRRAARWVATLRTRLRFAAEIRKLKTRLEDETKRLRNAVEAAAAAGGGGHSSATPALAAAAPRGGHVEPNPVGMEKPIEHLVQLLDEAGAGGGPQQLRVIAIVGFRGSGKTTLARAVYSRSGRQFRERAWVDASRWTDVGDLLADIVRQVCLGEYDVSESHEENLRNRLKNKRYLIVLDDISMEQWNAIESIFENNGRGSRVIVTTAILSVANSCTAYKSGANGGCCIRRHGCVYKMQTLGEAHAKELALGGGSDQRPPELEHGSATLMAKCDGLPLALVSVANHLRCLGNLTGRHCADLCHLLGSLLLDERNVPRLAGTAAAADSFARLRRVLMDSYAGLPDYAARTCLLYLAVFPNDGRRLKRSVLVRRWLAEGYARGGEDVLGNSTDVDVADGHFRSFVDQSIIVAHPADDDDDDDHRTRRCRTHGIVHEFVLHKSIAESFIFSSRAPPRRKRVRHLSIQGGGGNTTTAALSTTDLSCVRSLTVFGDGGDAVSNLRKCKLLRVLDLEQCTTALSDDHLADICKLWNLRYLSIGMSSNVTMLPDKIRRLKLLETIHLSKTKVTMLPLQVVGLPCLAHLVGKFKLLLPDQRGKKTVVISNELEKLAKKSNLQTLAGFVADESQQAFPQLMRHMRKLIKVKIWCEFGGEESDSVSTTATDHLADAIRSYIEAPKVEETDARSLSIDMEQCSKQLIRSCHGESKLLHSLKPPCRSYLTSLKLHGDLFRLHGLISMLKNLYELCLSSTTTTLTRDLVSAIGGLPLLLRLKLVANHIEHFAIGAGEFRSLQHLLLVVHRQNPILLPKIEEGALPQLVSLELLCKHLRGLSGIQIRHLQRLKEVALDSRVSEGTKREWEAEARRHPNRPSILLLKNRYSTVLPDDTDRLDDQMDGELAREKSAPDDDAGNQEQIAEVESESSAFQLANSTMNNSVAGKESTADDADEEGLGSTNAVPMEQINSTGSINETEVSNRGKFTMSYFEDCCPYHED >ORUFI12G09580.1 pep chromosome:OR_W1943:12:8908984:8909334:1 gene:ORUFI12G09580 transcript:ORUFI12G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRAGRLDEAEELVAAMPVHPDALIWGSLLAACRAHGEVERAERVMRRRTTDADADAGDYVLMSNTYASNGRHGEAVKVRRQMRRNEIDKVPGCSLIEIDGVVNEFEAIPANSIR >ORUFI12G09590.1 pep chromosome:OR_W1943:12:8920826:8921991:1 gene:ORUFI12G09590 transcript:ORUFI12G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTQHLGSEGDGAQVQYEATLAYSGAVAGVTIEELAGRTSSWSSVSYVLDLGFVHPTPRCPCLPSMGAASAMPTLPPAEHHRHSRTATPTTAHSWPLYIAHSFADNIDLHLMHEWMWVSWVTSQDRRTRDAKREWQGADVEVSNSTV >ORUFI12G09600.1 pep chromosome:OR_W1943:12:8934651:8937429:1 gene:ORUFI12G09600 transcript:ORUFI12G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLIGTKSIVQIASELRNPETGAWPSAVDVWRAIYMKANGTWSIPNGAEILNNLEEAAETHKERIAAAPIPLAEHFALVLGRKPNHSRSVGIGAVNQGAKERYRIHARAEAADQRASDAQNQAAALLEEVERLT >ORUFI12G09610.1 pep chromosome:OR_W1943:12:8948582:8951561:-1 gene:ORUFI12G09610 transcript:ORUFI12G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLTTGRRRCTGTSIVTREWAQPPPLLLAPQSVPAVTQALSAAGTCQQGAITLTQQSQGSSSTGRMYDCEDKGVGDSDQYLAERRTARR >ORUFI12G09620.1 pep chromosome:OR_W1943:12:8960228:8963276:-1 gene:ORUFI12G09620 transcript:ORUFI12G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSLGRVRRSAPPSVDQCGGGTVALGVLPTPVPAPPPPLPPEEEPGFRMGMVTTRWLGGATAMGNGDRLNSYAAAGTGRGDGVKYSLVEAVGGNTVSSRPPVPPCLPPPLPLEEEHGFRMGMVTTRWPGASTATGNGDRERDRESEDEGDAPPSLSMAADLAPVPSPARGRREECEGTRAFWLIVVDFVASGSEGTTTETAEQQLSERGA >ORUFI12G09630.1 pep chromosome:OR_W1943:12:8969058:8969571:1 gene:ORUFI12G09630 transcript:ORUFI12G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAESPTLGESLAHAPPRSSLAYGYHLGFPSFFSSVPWRLPLLLSMGAYFSVLYATTSYAQLFLPRTPVRVYDNIFDAGTWGGFFIICIMVCVVLGLRVDDARVLVACTCVVAAFVVGVVVVWVWLARTYGGDEDEDEASSESTSARLPV >ORUFI12G09640.1 pep chromosome:OR_W1943:12:8970194:8970442:1 gene:ORUFI12G09640 transcript:ORUFI12G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGAALHASTACLPRGQPPPLGPPRGAAAAGSPPRLRHLPPPGRPSAPPPPALHAGHRLSPPGRQGRGEGEEEEEEEEE >ORUFI12G09650.1 pep chromosome:OR_W1943:12:8971650:8977118:-1 gene:ORUFI12G09650 transcript:ORUFI12G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCEAGGPVGRSPPSGSGGGGRRKTLAEVMATRAPWPASIRPEATRAAEAAAREVLLRVAPTEEAERRRQDVVGYLRRLLGTALGCEVIAFGSVPLKSYLPDGDVDITVLGNTALDGACISDVHSILESEEQDSGAELEIKGLHFIDAEVKLIKCVIENIVVDISFNQIGGVSTLCFLELADRKVGKNHLFKRSIMLIKAWCYHESRILGAHHGLLSTYALETLVLYIFNIFHKSLHGPLEALYKFLEYFSKFDWDKYCISLNGPVLLSSLPSPAVEPSSIQDELLFGKKTLPEVSDGSNINFCLKHLNIIDPLKWSNNLGRSVSRGSFYRIRGALSFGAQKLGQILMLHSDLIPTEIFGFFANTLKRHGRGERSDVGNNDSIESLLDPEYALGKDAPDFTNSDRNQDENRNPNLQTTSYRYFHGDAKDRPWNKIWLTNFDIQYYNMVSGASLMSHSTSSTENGNHNIKQRCSRSLMEQQIYASNQPHILTPSTHKNTLDVINSCKAELNRSDLHEEKVILSPFSPSNLLDLSGDLDLHLRCLQKIQYHLESMFDGLVQLIQEAFLSGLLDEDSFKIPTRSFSNSTDERPTGLLPVASVDTGTRNLCPVSYFPSTGDVSHKSHTEDQVNAVCQKNVVLSSGTCIISNGFASSPSPPADLESYPVSWFHNTQEIMAHGTGMQTLKNVSLLPGTDVLSNVVGQLPFLAADSENYSFSRSHNTREKRVMRGTGTFLPRMSYYTYKERILSEKGRRERERLPDRPWKIKTNPTGYLHQHTSPEVGCSGASTGGITIDQNSSQQDYSRSSVPAEGGVFQKRALINHVSEQIQTSLPWDVHNNQHGYVYSDMNMVDNQNPGTTSEGLVGHNSESRELPIHHPPEVQFSETMASSPCILLPHCIGNGQGNLLQESNTCQPFPPATDVFHSIKTKQDENLEVESFRPIPFSSPCAKFGEAFPLPTSKGPAETESRPDGLYQLRDEADFPPLQTRSC >ORUFI12G09660.1 pep chromosome:OR_W1943:12:8985141:8985385:-1 gene:ORUFI12G09660 transcript:ORUFI12G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPEGVGPTVSERNSKIALEAKSKRVACQGSLKGIQGGKKPGLEILLVRGDVESKCAEVGWVRSLWFRFDGVMNMC >ORUFI12G09670.1 pep chromosome:OR_W1943:12:8985404:8986089:-1 gene:ORUFI12G09670 transcript:ORUFI12G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPASSHREQPWLSSVYGSPAAIGGSNRFEHKIENVENVTFHRREERGTDRGCTATTAKSPEPGKMAELWAFCGWGVFSWTWNVMWGVCCFFWCFGLGNKEEWSGGVRERGGWCTHCVPWAFIGHEGRWML >ORUFI12G09680.1 pep chromosome:OR_W1943:12:8997528:8998199:1 gene:ORUFI12G09680 transcript:ORUFI12G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAMVLIVLLVLVVLPSSTLCSRAGPSSKHGHGGGHAKRVPPPASVPPPPPAPAALVRATCNSTSYYDLCVAELSADPSSATADVRGLSSIAVSAAAANASGAAQAAAALANATDAGTTAGVPGDGGGAVVQRLLATCAAKYGDARDALAAAKGSIAQQDYDMASVHVSAAAEYPQVCRTLFGRQSPGDYPPELAATEVALRQLCSVALDIIALLSSSDNN >ORUFI12G09690.1 pep chromosome:OR_W1943:12:9006467:9009525:-1 gene:ORUFI12G09690 transcript:ORUFI12G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANREEHYSTTGSTAPPLAMDAKLLMAECPEDRKLLKELVHKEDASKMMVVLASTTNQPAAEKPAAARAVMNPLLLAAASFGACEVFYFLFCREDAREPLPTMTARAFHAMLAGDASGADGRWPSTHQQALDEVEEGGAGAAIAVDHQSTRRLPAPDAPLLEGITVEGDTALHVVATHGNAANFLECAEIICNRARRLLLATNDKGDTALHCAARARRLEMASRLIALAKARDDDEVERGQAASFVKVLLRTENERNETALHDAVRAGDGDMVRRLMDEDPDLALFPVQGTSPLYLAISLRNGTIAEILHEKSNGNISYSGPHGQNALHAAVLLRHTAVLELLLKWNSNLTKQGDENGSTPVHFAASFPLWHRKFHWRHPWIIRVPHSLSMLLNTGEVDPFYQSDKNGMFPVHVAAAVGAKLTVAFLLDKFPNSAGLRDAKGRTFLHVAVEKQSLAVVRLPDYVVTVDFDMQDKDGNTALHLAIQANHLLLFCALLGNPEVNLDLTNHSGHTPLDLSRSMLPRGMIYMLNTEELIYLTLKQVGSEHYHDRQDHIEEIYSRRVVSKEDLAEELDKMKESTQTLGIGSVLIVTMTFGAMFALPGGYRADDHPYGGTPTFSGRYAFHAFMMANTLAFICSSIATIGLMYSGSSMVNLLTRHENFKISAFLLTNSVTSLTIAFALVAYMVLAPVDHKTAVNGVTFDTAKGHMDDAM >ORUFI12G09700.1 pep chromosome:OR_W1943:12:9015600:9022021:-1 gene:ORUFI12G09700 transcript:ORUFI12G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAAFHPAAPRAGAHHPRRPNPATGLLRLRLPAPPRRRARAAPRLAVSASSAGAGAASPSPAAGWDRSDAASSLERCLAASGSAAPASAPTRAPPAMKGGKQYGSFGAVTLERKVDLSKGRKKITPELATGGGGGDIGKRIGHGGGDGGDDDGDDDDYFDDFDDGDEEEGGLFRRRIVIQELFNREFVDAVMQEWCKTMSNLPAGLRQAYEMGLVSSAQMVRYLAIFARPTHSRSFSRALPGWLSRGLVGRTLADPSFPHKIAFEFMATFFSSVWWEMNIRKERFEQEWDLAVVNALTASCCNVMVLGLLAPCRSYGSTSRFDFQNAIEKLPNNIFEKSYPLRQFDLQKRISAFFYKAAELSLLGVVAGSIQGGLSKALSARKERRLSVTIPSVSTNALGYGAFLGLYANLRYQLLCGLDQYMVRRFDVLGVAIFFSTALRLTNIQIGESSRRTWLGEEADPQYSDRLLRAYKRPVEVAGDQQDSRWFISKDAIVSGLGLLGIKQGGTEAALSKPRRKRVVRKKVAST >ORUFI12G09710.1 pep chromosome:OR_W1943:12:9025227:9027644:1 gene:ORUFI12G09710 transcript:ORUFI12G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLAVFHPASATAAAAARRHHPPRPPPLHLHLPLHHQPSSSRRRFAAEFAVGGADGGTGGGGGRRARGGGGGVDVAAVGAALRDARTADEVETLVKGFLDDGGGGEEHLPLQVYTSVIRGLGKERRLDAAFAVVEHLKRGSGSGGGVNQFVYNCLLGAVKNSGEFGRIHDVLADMEAQGIPPNVVTFNTLMSIYVEQGKIDEVFRVFDTIEGSGLVPTAATYSTVMSSYKKAGDAFAALKFLTKLREMYNKGELAGNREDWDREFVKFEKLTVRVCYMAMRRSLVGGENPVGEVLKVLLGMDEAGVKPDRRDYERLVWACTGEEHYTIAKELYQRIRERGDGVISLSVCNHLIWLMGKAKKWWAALEIYEDLLDKGPKPNNLSYELIMSHFNILLNAAKRRGIWRWGVRLLDKMQQKGLKPGSREWNAVLLACSRAAETSAAVDIFKRMIDQGLTPDVVSYGALLSALEKGKLYDEALRVWEHMCKVGVKPNLHAYTILVSIYIGKGNHAMVDSVLRGMLSAKVEPTVVTFNAIISACVRNNKGGSAFEWFHRMKVQNIEPNEITYQMLIEALVQDGKPRLAYEMYMRACNQGLELPAKSYDTVMEACQDYGSLIDLNSLGPRPVKKVEPIRIENKFSSSYYVGDLPSSTKHFGSTGTSNLYRYRTERWIM >ORUFI12G09720.1 pep chromosome:OR_W1943:12:9031041:9034229:1 gene:ORUFI12G09720 transcript:ORUFI12G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSSGASSSLPLHLIIDDALALVSPLQQSFQRSQRHCFGDSAPGEFPLAANPSIVLHVLTSCNLEPDDLAHLEASSVLFFLSNATCSFFRKPANFPPDFQLSMSELAALDMCQKRAIFKPMTQQEREMFKQRCGGSWKLVLRFIMAGEACCRREKSQAIAGPGHSIAVTTGGAVYTFGSNSSGQLGHGSLEEEWRPRIIRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEVEYAAQGSRVVTTPQLVESLKDIYIVQAAIGNFFTAVLSREGHVYTFSWGNDMKLGHQTEPNDVQPHLLAGPLENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQALNIQPVVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHGNEECESVPKVVESLVNVRAIHVATGDYTTFVVSDKGDVYSFGCGESSSLGHSTITEGNNRHTNVLSPELVTSLKRTNERVAQISLTNSIYWNAHTFALTDSGKLYAFGAGDKGQLGTELVAQQSERGTPERVEIDLS >ORUFI12G09720.2 pep chromosome:OR_W1943:12:9031041:9034229:1 gene:ORUFI12G09720 transcript:ORUFI12G09720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSSGASSSLPLHLIIDDALALVSPLQQSFQRSQRHCFGDSAPGEFPLAANPSIVLHATCSFFRKPANFPPDFQLSMSELAALDMCQKRAIFKPMTQQEREMFKQRCGGSWKLVLRFIMAGEACCRREKSQAIAGPGHSIAVTTGGAVYTFGSNSSGQLGHGSLEEEWRPRIIRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEVEYAAQGSRVVTTPQLVESLKDIYIVQAAIGNFFTAVLSREGHVYTFSWGNDMKLGHQTEPNDVQPHLLAGPLENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQALNIQPVVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHGNEECESVPKVVESLVNVRAIHVATGDYTTFVVSDKGDVYSFGCGESSSLGHSTITEGNNRHTNVLSPELVTSLKRTNERVAQISLTNSIYWNAHTFALTDSGKLYAFGAGDKGQLGTELVAQQSERGTPERVEIDLS >ORUFI12G09730.1 pep chromosome:OR_W1943:12:9036786:9037103:-1 gene:ORUFI12G09730 transcript:ORUFI12G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGRHNEEVSGQRQAEEVEVEALGGRGGGARAGRLGRARGRCSQRGGGPEQDKDGGWWRSGSGARVVLVRGMWPYAAAAAEHGDDLARRQRGERSSWRRWGVA >ORUFI12G09740.1 pep chromosome:OR_W1943:12:9055214:9057819:1 gene:ORUFI12G09740 transcript:ORUFI12G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLTISAYEENKLVRNVADAYGFDEASRGSVLDYLHEIKVGCEKDPSFAGGRNMITYAAKLMESTSPNRYLSGVRILDTLIRFNSGAIGSGFPGQSMLISNTIGSASSGPILHNLVQMLDSKSPYDEEIRLRAARIVEHFVIDIRLDKILQGIQCISSLLDLKPFHQPDEPLEEYGHRISVGEEGQMQVRGIQILLKLSDDENNLRLMSNTDDLVSKIVALINDKELHLRKHDKWSRDIVEPGVKLIKRFMSATTRSNNILWREISTSLEAISALESIIDCDKCDEEVKKQAIRVLAQICWDTSSVMGDQNRERFIGSLIDMFLHKSKGSQFENLAGEVLAQLSFGFGSCATIILEKYGPNMIDCVGKTDSGLYNSIHRKIAADVLKHLYGNYSIDDEHFQNLKEAMIDLLPKVLREVLGWGLTETHIQRVPSYTAPAGSGLAATQDNDGRLQEALASLCATVYNRMVNTDADLADRFEDIAARMCDLAAEPLKTFSDLIQEAMQRQPPYYPPEHAMRSNDENPNECCIS >ORUFI12G09750.1 pep chromosome:OR_W1943:12:9087620:9088826:1 gene:ORUFI12G09750 transcript:ORUFI12G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNRYQQAIWSVHVRGKREEKARGCHLQAREADSRLGKGGSRWRRRGHRGARCGFTPCDLQGRVGEEVEDAGGDNALLSLNVRAGKERRRNDIVIGGTVANSFGQRKGDDSEMWASWSQL >ORUFI12G09760.1 pep chromosome:OR_W1943:12:9101821:9107460:1 gene:ORUFI12G09760 transcript:ORUFI12G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGQSRGHRLGLHIDSDWPEVLLINDYAVFMGYLSMVVTGTGFLVLTWSTVILLGGFVSMLSNKDFWSLTVITLVQTRIFDVFLNGKVSHIGYSLKRLCKAARFIALPHNHKKVGFRGAVRVLVFTIVLCPLFLLYMFGLFVSPWISLWRLIQQDYGVTAGDSSSKAHLQPALVVLYSLALFQGVLFYYRAISAWEEQKLVKDVADKYMFDTVSRSSVSDYLHEIKVGCENDPSFARGRNLITYAVKLMESTSPDGYLSGARILDTLIKFNRDADASGSEFPGQSMQIYNMIGSATSSPILHNLVQMLDSKSAYDGEIRLRAARIVDHFAGEIRLDKILQGIRCVSSLLELEPKLFQDSSEEEDDHQISVKEKDYYPKDYEQMQLIGMQILLNLSYDKNNLSLMSNTDDPALINKIVALITSKGSLHKKEHNEWSRMAELGVKILSRFMRFMYGPTKSNNILWHEISTSSKAIGTLESILECDQCDSVLKKHAIRILRRIFMDTSSAMGEGDRERFIGSLMDMSLHNSNGDFQNLAGVDLALKKQGLSILKEIYLNPSSIMDEGDRERFIGSLMDMFLDNSKGDFGNLPGEDLDLKKQELSILKEICMDPSSFMGESDREKFIGILMDMFLHNSKGDLFEKLAGDDLVQICRRSGSSAAIILKKYGHDIVNCIADTRSSVYSSMHRKIAAKILNHLCSPYSTDEEHLQNLKEAIIDLIPKVLREALGWGLTGKEIQGVAVSGLEGTQDDDWKLQEALASLCATVFNRIVSKDADLTARFNNIAAGICDQAAKPRVTFADLIKEAVKVYRMEFKKLEEPKPAASPALYEFMPAYYPPPYFMYLSEEDPNACCIS >ORUFI12G09770.1 pep chromosome:OR_W1943:12:9123388:9131661:1 gene:ORUFI12G09770 transcript:ORUFI12G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGGSRRRPTLLCPKKSLVSPPTPSLRWLLGSPRFLPALTVAAALRSLSLPDDASSPDLQREAEEIRGLLVRGFDIVGAVHVGSADAGGALELARAVRERLYGERASHGMVGGCVELGSGEIRFVVSEGDGVEAVEVTEVVWEDDPGRLLWEKGCLLRCELPLKLPLYVPSDDTSGIEARFYSLIESTASKLRDPHVSYLIEGPRTTPGESHYSIILHGNDLNSVPHLSRNGSTEEYDANIVSCSKFFPAKRSLSLTRENADAIQITILSNQSFNSSKASTPAVEYFPAPALASLRAINLKLDILCYTSVDFPVAAAVSELVIPGLADQLSIMKKAIVSELTTQQPQLSPYHFVPPGLLIPVTTIYDTRYGEIEEKQSSSLLRDVHREIPSSGVSGGIISLIDGSYEYYHYLHDGIDDNGWGCAYRSLQTIMSWYRLQQYSSINVPSHREIQQVLVEIGDKDPSFIGSREWIGAIELSFVLDKLLGVSCKVINVRSGDELPEKCRELAIHFETQGTPVMIGGGVLAYTLLGVDYNEASGDCAFLILDPHYTGADDLKKIVNGGWCGWKKSIDSKGRSFFLKDKFYNLLLPQRPNMV >ORUFI12G09780.1 pep chromosome:OR_W1943:12:9132001:9132500:-1 gene:ORUFI12G09780 transcript:ORUFI12G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASQVVCLILVVAALFVALASLQASADASGLHEEAGAFKKGKLPWWWDTDYTRSKRRRPIHN >ORUFI12G09790.1 pep chromosome:OR_W1943:12:9134510:9143234:-1 gene:ORUFI12G09790 transcript:ORUFI12G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLCCIAPVSLDSAVEHHHQPPRILAAAAAAPTSPTPPAAAGAAAAAQVVLDAAQAAVAGVLHKWVNYGKGWRLRWFVLEGGVLSYYKLRVGGGGDSAASPAVAARVIGEGGALRRAREEAAAAGKQWKPFGEIHLKVSSIRASKSDDKRLYIFSGTKTLHLRCETEEDRNAWIQALQAAKDRFPRSLTSNDFSPMSDVLLSTEKLRVRLLQEGLNETVVKECESIMMTELLELHNQMKSQQQQYSILIDRLRQLETEKVELERTVVHETKEREAHGYANGRYSGGSASESDPDTASQVADVETDEDEVMYFDTRDFLSAESLRSASCRRRELLTNGCNGLEHIDPAANVVKTKYPSVKRRDKLPEPKEKENPVGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDHALQWGKQGDSLMRTLHVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGRGWKFWGDSNLKGKFWGRSIQLDPIGVLTLQFDDGETFQWSKVTTSIYNIIIGKIYCDHYGTMRIKGSGDYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGQKVAMLIGKWDEAMYYVLGDPSAKPKGYDPMSEAVLLWERDKSLNQTRYNLSPFAISLNELTPNLAKKLPPTDSRLRPDQRHLENGEYEMANAEKLRLEQLQRQARRLQEKGWQPRWFSKDDDDSYRYVGGYWEAREKGNWDGIPDIFGQRSASPGWHISGTKPQVED >ORUFI12G09810.1 pep chromosome:OR_W1943:12:9170364:9170621:1 gene:ORUFI12G09810 transcript:ORUFI12G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLIAAGLAIGPASIGPGVGQGTAAGQDVEGIARQPEAEAFMEVKDFSMGNVAPIPNQRATAVPIRKTVVATG >ORUFI12G09820.1 pep chromosome:OR_W1943:12:9172675:9173157:-1 gene:ORUFI12G09820 transcript:ORUFI12G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEPASPSADSGVTSLTSTANNVAVIGRGGDSDDSDDSDSSDGVGYDSDGLMDDMMDDMFEKFIEKNELLDRIGARLVAPLLPAATRAQRRQILKQRERARAAREELRRGVARSRELTRKIRRLKRMANADVSGYPAARREAHERETRRLAREIFGSDA >ORUFI12G09830.1 pep chromosome:OR_W1943:12:9186578:9188336:-1 gene:ORUFI12G09830 transcript:ORUFI12G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRSKCSSVGRTLMGGLGNNLFWGVNSSVETMTRPSHCDAICQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDTIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKRGRNDGSEIQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >ORUFI12G09840.1 pep chromosome:OR_W1943:12:9189552:9198625:-1 gene:ORUFI12G09840 transcript:ORUFI12G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISQENGIPYGWLWSHCYCVSCLVDPFVGHQTEHQYSSKLVQGKLPPGSRGLPILGETLDFFSQSPSLELLGFFKRRLDNEQLRVPARGEAFRMWYPDSIMRIIGADSIITTLGSSHKYIKNMVFRLFGPENLRRDMIKDMQKTAEASLLSWLHHPSIELKEAASSGRKNVMKMLKEMMDERKKATGRQESIDFFDVLLEELKEEKHAMSENVALDLIFLLLFVSFETTASGITAILCFLSDNPKALQELTEEHDYIQKKRPDLNSEITWEEYKSMKFTSHVIHEALRLTNIAPVMFRKATEDDTAEPAGGASKDFMAFGGGRRLCVGADFAKLQMAIFLHCLVTKYRWKVIKGGKMVLCPGLQFPEGFHIRLLPKG >ORUFI12G09850.1 pep chromosome:OR_W1943:12:9220760:9226844:-1 gene:ORUFI12G09850 transcript:ORUFI12G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKMAFFPPTPPSYALVDDPAAGVTTMTGQPHRENVEVLRLRTRRGNTVAAVYVRHPDAATTLLYSHGNAADLGHLYQLFLHLSFNLRVNVLGYDYSGYGQSSGKPSEHNTYADIEAAYKCLIENFGAKEEEIILYGQSVGSGPTVDLASRLHRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPQVTCPVLIIHGTADEVVDWSHGKQLWELCKEKYEPLWLKGGKHCDLELFPEYLRHLKKFVNTVEKSPSPRNAWRESLDRIEPSRKSVDCFEPSRRSVDFFEPSRKSTDRREKSRPTRDRMRGVEHRYSNVEKTDKLKFSFDHMEKSRRSIDIFERPKKNIEQLDCGRKSVDRLDRIWAS >ORUFI12G09860.1 pep chromosome:OR_W1943:12:9243868:9246956:1 gene:ORUFI12G09860 transcript:ORUFI12G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGAAGEDVPGGGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSVLREARRIIQESEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQTSKDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQS >ORUFI12G09870.1 pep chromosome:OR_W1943:12:9248199:9258613:-1 gene:ORUFI12G09870 transcript:ORUFI12G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAAAASSLRCFPPSLGNRGPGAATARGGLVRLSSRRARSTAGVAAPSREAESAASLGDLTRVDFPILDQEFDGSKLVYFDNGATSQKPSCVMKTLDEYYRFYNSNVHRGIHVLSAKATDAYESARTKVANFVNAANSREIVFTRNATEAINLVAYSWGMSNLKQGDEIVLTIAEHHSAIVPWQFVSQKTGATLKYVGLTKEGVPDIEQLKGLLSNKTKIVVVHHVSNVLGSMLPIEDIVTWSNRIGAKVLVDACQSVPHMPVDVQRLGADFLVASSHKMCGPTGVGFLHGKFDLLSSMEPFLGGGEMIADVFQDKSTYAEPPSRFEAGTPAIGEAIGLGTAIDYLSQIGMQKIHEYENELATYLYESLIAVPNVRIYGPAPCQTVHRAPLCSFNVENVHPTDIAEILDLQHGVAIRSGHHCAQILHRTLGINASARASLHFYNTKEEVDVFVDALKDTIDFLTSEH >ORUFI12G09880.1 pep chromosome:OR_W1943:12:9268436:9270577:-1 gene:ORUFI12G09880 transcript:ORUFI12G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLYIDNLKVPKDSLTVDRCQTPRIQLYTKQLVEDISQEDRVTDSSGNYVFGNLPMSGILGSCYSHPDYDKEKEPRGDNSSTPFADELVSAVEISFPSMFDTVGPHLSGLQDEHKQRVLDALGEYDRQSKLSADAIAKQIRLVQTCHARVSDHIVSIIRGESRNQPPLGPQPQPASHSQPDSQHGPVASPTSEEAQDHHIHNTPDISPTNSPAPQPCRIITPDAAFNPTPQITSTEPHPHLPGELFPTMDKTAIADENQALTPQPDADFQGGCDVGIPLQGIIDFTMTSEGTCTTQSHSADGFEGHHDLPDVDDEHGIETDTSMQGNTAVNVTTEGTNTAKSPSAHQNDGHHHYPDADVENNSDFDIPTLGFLLI >ORUFI12G09890.1 pep chromosome:OR_W1943:12:9277369:9279959:1 gene:ORUFI12G09890 transcript:ORUFI12G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAIGHCRGDTNSTTCKNCISQALWDVQMVCALRMQAIIHYDLCSLRISSEKIHFDRNDMVHLIAMRSDKSYIKIQQEFDKAVILLITAVASKASNLSTKFATGQEMFLVECLSALLSFEEITANAHGKRIALFLDYDGTLSPIVDDPERHSCPLRKESSEQRFV >ORUFI12G09900.1 pep chromosome:OR_W1943:12:9327943:9332573:-1 gene:ORUFI12G09900 transcript:ORUFI12G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLINRSPQYYESYVRKWPKGIRALHLGLKGLSKGTNMMAETMTTKTKPGMAERLLLPLSMVLVQLFTIGALLLAKLSFNSGMAPFVLLAYRNLIGTVTMLPFAFWFERQMMKKVNYKVLGWIFFNALFGIVLAMGLHYYGLRATNAGYTVNFLNLVPVVTFIIAAIFRLEKLKLKTRSGMIKVIGTVICVVGTMVVSLYRGKLLHLWPTHLLKPAQLRAIGGSDDSTFPTHHNMLIGTLFLCGSCLSYAFWFIVQAKVNKEFPSKYFSTMLACLMGTIQAVVIGIAVDRDRSAWALHWDLQLITIIYSGVFNTAATFCLITWAVSRRGPTYPSMFNSLALIITVVLDSMLLGSDISVGSLLGAFMIIIGLYSFLWGKGKEIKEQQQQVPTNTEADQSKITYPKSNGEVRIMLDT >ORUFI12G09900.2 pep chromosome:OR_W1943:12:9327943:9332573:-1 gene:ORUFI12G09900 transcript:ORUFI12G09900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGTNMMAETMTTKTKPGMAERLLLPLSMVLVQLFTIGALLLAKLSFNSGMAPFVLLAYRNLIGTVTMLPFAFWFERQMMKKVNYKVLGWIFFNALFGIVLAMGLHYYGLRATNAGYTVNFLNLVPVVTFIIAAIFRLEKLKLKTRSGMIKVIGTVICVVGTMVVSLYRGKLLHLWPTHLLKPAQLRAIGGSDDSTFPTHHNMLIGTLFLCGSCLSYAFWFIVQAKVNKEFPSKYFSTMLACLMGTIQAVVIGIAVDRDRSAWALHWDLQLITIIYSGVFNTAATFCLITWAVSRRGPTYPSMFNSLALIITVVLDSMLLGSDISVGSLLGAFMIIIGLYSFLWGKGKEIKEQQQQVPTNTEADQSKITYPKSNGEVRIMLDT >ORUFI12G09900.3 pep chromosome:OR_W1943:12:9327943:9330556:-1 gene:ORUFI12G09900 transcript:ORUFI12G09900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAETMTTKTKPGMAERLLLPLSMVLVQLFTIGALLLAKLSFNSGMAPFVLLAYRNLIGTVTMLPFAFWFERQMMKKVNYKVLGWIFFNALFGIVLAMGLHYYGLRATNAGYTVNFLNLVPVVTFIIAAIFRLEKLKLKTRSGMIKVIGTVICVVGTMVVSLYRGKLLHLWPTHLLKPAQLRAIGGSDDSTFPTHHNMLIGTLFLCGSCLSYAFWFIVQAKVNKEFPSKYFSTMLACLMGTIQAVVIGIAVDRDRSAWALHWDLQLITIIYSGVFNTAATFCLITWAVSRRGPTYPSMFNSLALIITVVLDSMLLGSDISVGSLLGAFMIIIGLYSFLWGKGKEIKEQQQQVPTNTEADQSKITYPKSNGEVRIMLDT >ORUFI12G09910.1 pep chromosome:OR_W1943:12:9365289:9371360:-1 gene:ORUFI12G09910 transcript:ORUFI12G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLAVVGKVGTFLLWLLFLVLQTATKIVGSLLAAGPPPADAQEAAAAAAARRRSPPASPHSGGGATDPYQPPQLWDPPPPSSPYSAGHAADEYSSSSSYRRRGASAPPAEDVVVSSSYSRPAAAVASAPQACTVSSAPPLRAVETRSPAPSRAAAAVGGKRPKLERKYSKIVDQYRSLDEVIEALAQAGLESSNLIIGIDFTKSNEWTGKRSFNGMSLHHIGDSPNPYEQAITIIGQTLSAFDEDNLIPCYGFGDASTHDQDVFAFYPDERPCNGFQEALARYREIVPHLRLSGPTSFSPIIEMATTIVEQSGGQYHVLVIIADGQVTRSVDTEFGQLSTQEQMTVDAIVQASQSKKETEFALSALMEIPLQYKATLELGILGRRFAKSPERVPLPPPFASYNTISRAAPSRANSFRSMPSHPRDEAPVDSAITASVTSPPSVDARAAEPQLCPVCLSKPRDMAFGCGHQTCAECGPQVEHCPICRRPIDTRVKLY >ORUFI12G09920.1 pep chromosome:OR_W1943:12:9375287:9390336:-1 gene:ORUFI12G09920 transcript:ORUFI12G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPAQAVRLRLVFDNQRILRRSLRESGLRRCWLLLPPELSTVSDLAAHIAARFRLRRSCPSCVILSIDGFVLPPFESTCIFRDNDIIRVKQKASKRITQHNDVHCIEGPEIVEKQPLPTDDEMLAIEYKKDDDSNQQEGVQYNHQNVVNAASHYNTRNDDITLKRKCQDGERGSPGTSKKKKLKVANTGKHTGCSNEDKAHQDQDHSGSKKLKSPCIDDAKKVMLAEADVTLEKEQISKRDNQTKLNSETKEDDCNTQSDIKKVSRSARRKKLKRQLRQKAKEQLKEKEHCQEQPTVADCPSSNNRDVLPSPSSNQNNSSLPFVRHEADEEESDTSDDIVPVVVRPGHIRFESAGGESDKSPVKEIQMSSRGGDDVFELDDVAASTISISCCCPPPAPAPSPISAHTLSVLSGNTTFQWSGTTSKKKGQKWGMDNSNKKSSDISYHGRITGTDTEVNHHVAGNSKTSDNDFGLASNQKVGESSHVGSASEKIVAEKGKSSSEPLDFDNLYPLTRLPKVGKVLIYDPISLRIILLPVPEYPFTAGEKNGEDESEMLVDMSPYKEDGSLEIEYSSLLDIRLLKDTDSVQPAVSTPLTETGIKGGSHAQKPANLDNHKGKIHSEKLPNNTKDPEATQEKTQNTVWEENGEVANDEPAVQENGWGTWTPNASTSAWSYRALRSSALGPTLAHLRGKNTKRGRPYNRKYGK >ORUFI12G09920.2 pep chromosome:OR_W1943:12:9375287:9390336:-1 gene:ORUFI12G09920 transcript:ORUFI12G09920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPAQAVRLRLVFDNQRILRRSLRESGLRRCWLLLPPELSTVSDLAAHIAARFRLRRSCPSCVILSIDGFVLPPFESTCIFRDNDIIRVKQKASKRITQHNDVHCIEGPEIVEKQPLPTDDEMLAIEYKKDDDSNQQEGVQYNHQNVVNAASHYNTRNDDITLKRKCQDGERGSPGTSKKKKLKVANTGKHTGCSNEDKAHQDQDHSGSKKLKSPCIDDAKKVMLAEADVTLEKEQISKRDNQTKLNSETKEDDCNTQSDIKKVSRSARRKKLKRQLRQKAKEQLKEKEHCQEQPTVADCPSSNNRDVLPSPSSNQNNSSLPFVRHEADEEESDTSDDIVPVVVRPGHIRFESAGGESDKSPVKEIQTTFQWSGTTSKKKGQKWGMDNSNKKSSDISYHGRITGTDTEVNHHVAGNSKTSDNDFGLASNQKVGESSHVGSASEKIVAEKGKSSSEPLDFDNLYPLTRLPKVGKVLIYDPISLRIILLPVPEYPFTAGEKNGEDESEMLVDMSPYKEDGSLEIEYSSLLDIRLLKDTDSVQPAVSTPLTETGIKGGSHAQKPANLDNHKGKIHSEKLPNNTKDPEATQEKTQNTVWEENGEVANDEPAVQENGWGTWTPNASTSAWSYRALRSSALGPTLAHLRGKNTKRGRPYNRKYGK >ORUFI12G09930.1 pep chromosome:OR_W1943:12:9396337:9398450:-1 gene:ORUFI12G09930 transcript:ORUFI12G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMDGSGVPETAGVEAASSSDARGDNRHMPSEDCVAGINSALQHPTVRFLREQMEKAGCPVLPRMIRAMNCMSTNHNGSYGSGLGITVCCDHMRSQDEINQLLIHELIHAYDDCVVKNMDWKNCAHHACSEIRANHLSGDCHYKRELLRGFMKIRGHEQECVKRRALMSVKNNPYCSGTAAKDAVESVWDICYNDTRPFDRVP >ORUFI12G09940.1 pep chromosome:OR_W1943:12:9403333:9403644:-1 gene:ORUFI12G09940 transcript:ORUFI12G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMEGAAVQGRRIQQLHGRGATATAWSGSGVDEPWEGGSTVAGPRERHRDGAAAALSVSYTGRGDGGSQRQRREEGWREADPAPVSLGRVDLSPVLGSGTGE >ORUFI12G09950.1 pep chromosome:OR_W1943:12:9441380:9447182:1 gene:ORUFI12G09950 transcript:ORUFI12G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMRRHSVDVPLSRTLVQLKRVRSLRDPATNSLSKYASPSDSMIWETASSNGVAMDLGRSAHHQLIEEDGDLEAEATLGSERSFRAPNARTASYRKSSVVKIRGLNPPRNKQAHRARQDGHRKSVDSSHSNHSSIRQLANTMVNNVAEEKEEEEEVNSYERSVPTPPAKTDEEVKMPGFSKFRNKSSAAMSRVGSPCMSASEARSVRSRRTEETQVRSNDVVGSNFSGCGISYCWSGASKYRELYYDSDGPEQPLLSPEGTDAAFQENVPYTETPRCLSQKFRPRSFSELIGLNVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCLSLEEQRPCGFCKECVILFSGRSRDVKELDAAKMDRLGRVKALLKSASLVPYSSRFKVFIVDECHLLPEDAWSAILKSLDEPYRHAVYIMITSDIDSLPRTSITHCQKFHFPKIKVADIVYRLERICIEEGLEFDHDGLYFISAKSNGSLRDAEIMLDQLSLLGKKITISLVHELVGSVSDDELIELLDLALSSDTTNTVRRARELMASAIDPLQLVSQLANLIMDILSGRCQSAVTEVSKSFLGRYALAEVGIKKLRHALKILSETEKQLRTSRNQATWVTVALLQFGTNESNLIAESNDMHAHSAIGYTDDWVSKVNSSSNFCHACNSKSNCSERHCRRLKLENIWRRAIGKCQSRSAKNFLRKEGFLSSVHVTEELAIAEVGFSHPDHISRAEKMQSLIESTLQNVLGCNVEVKFKLVPRAVRKDARSKRQSFSLLSCSGRKQELSDSAVTDEDETVRHGARETPSKGYSSSQQQSPFIMQRTDSKPTVHGCEDDARSTLTSNRSMTDDMTRTCRSETNYSKGVSEQGRFDSIQEPDLQPNCFSRTLKLQKRLFSSDAAHTICLKIQPHNKMDFLPKKEFDTYFCAYEPYEQCGTRIRGLARICSAGGALNKPSNRTENGCAN >ORUFI12G09950.2 pep chromosome:OR_W1943:12:9441463:9447182:1 gene:ORUFI12G09950 transcript:ORUFI12G09950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADMRRHSVDVPLSRTLVQLKRVRSLRDPATNSLSKYASPSDSMIWETASSNGVAMDLGRSAHHQLIEEDGDLEAEATLGSERSFRAPNARTASYRKSSVVKIRGLNPPRNKQAHRARQDGHRKSVDSSHSNHSSIRQLANTMVNNVAEEKEEEEEVNSYERSVPTPPAKTDEEVKMPGFSKFRNKSSAAMSRVGSPCMSASEARSVRSRRTEETQVRSNDVVGSNFSGCGISYCWSGASKYRELYYDSDGPEQPLLSPEGTDAAFQENVPYTETPRCLSQKFRPRSFSELIGLNVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCLSLEEQRPCGFCKECVILFSGRSRDVKELDAAKMDRLGRVKALLKSASLVPYSSRFKVFIVDECHLLPEDAWSAILKSLDEPYRHAVYIMITSDIDSLPRTSITHCQKFHFPKIKVADIVYRLERICIEEGLEFDHDGLYFISAKSNGSLRDAEIMLDQLSLLGKKITISLVHELVGSVSDDELIELLDLALSSDTTNTVRRARELMASAIDPLQLVSQLANLIMDILSGRCQSAVTEVSKSFLGRYALAEVGIKKLRHALKILSETEKQLRTSRNQATWVTVALLQFGTNESNLIAESNDMHAHSAIGYTDDWVSKVNSSSNFCHACNSKSNCSERHCRRLKLENIWRRAIGKCQSRSAKNFLRKEGFLSSVHVTEELAIAEVGFSHPDHISRAEKMQSLIESTLQNVLGCNVEVKFKLVPRAVRKDARSKRQSFSLLSCSGRKQELSDSAVTDEDETVRHGARETPSKGYSSSQQQSPFIMQRTDSKPTVHGCEDDARSTLTSNRSMTDDMTRTCRSETNYSKGVSEQGRFDSIQEPDLQPNCFSRTLKLQKRLFSSDAAHTICLKIQPHNKMDFLPKKEFDTYFCAYEPYEQCGTRIRGLARICSAGGALNKPSNRTENGCAN >ORUFI12G09960.1 pep chromosome:OR_W1943:12:9468484:9473528:-1 gene:ORUFI12G09960 transcript:ORUFI12G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLTASAVFRSNQELTSLARSGQLAAARRLFEEMPRRNVVSYNAMVSALAHHGRLAEARRLFDEMPRRNPVSWNTMMVACSQHGRVEDARGLFDAMPARNEYSWTIMVSCYVRAGELTLARELLDRMPGEKCAACYNTMISGYAKNGRFEDAIALLQEMPAPDIVSWNSVLGGLIRNEEISRSVQFFDEMPDKDLVSWNLMLEGYVRAGDLDVASAFFSRIPSPNVISWVNLVNGYCQAGRMGEARELFDRMPERNVVAWNVLLSGYVQFSQVEAAYNLFIEMPEKNSISWTTMVSGFVRSGKLQEAKDVLSKMPSDNVGAKTALMHGYLKSNLIDDARQLFDGIVVRDAVCWNTMISGYVQCGMLDEAMVLFQQMPNKDMISWNTMIAGCAQGGQIRKAASIFRKMKRRNTVSWNSIISGFVQNGLFVEALQHFMLMRRDAKSADWCTYACCLSASANLATLQIGRQFHSLLVRTGFISDSSPGNALISAYAKCGRMLEARQVFDEMVVQDIVSWNALIDGYASNGNGSEVIAVFREMEANSVRPDEITLVVVLSACSHAGLIDEGLHFFNSMIKLYSLKPVAEHYTCMVDLLGRAGRLREAFELVQGMQIQPNAGVWGALLGACRVHKNHEIAWLAAEKLFELEPCKASNYVLLSNICVEAGKWDDADKVRVLMKESILRSCNIHISNKMLDNSGYRCYGASWKPRHCLWKWQEQKDFKALLELSLEFGSKKDDAVQQYDDHGLMDGRPVADSLLYSVVPTTMGRDGLQAPSTDSSGANGWCTARVNVGNFATAHACDAWLTIQGRWEWCSATKWRQRDSWRGALASLSASTNSRSDRYNLA >ORUFI12G09960.2 pep chromosome:OR_W1943:12:9468484:9473528:-1 gene:ORUFI12G09960 transcript:ORUFI12G09960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLTASAVFRSNQELTSLARSGQLAAARRLFEEMPRRNVVSYNAMVSALAHHGRLAEARRLFDEMPRRNPVSWNTMMVACSQHGRVEDARGLFDAMPARNEYSWTIMVSCYVRAGELTLARELLDRMPGEKCAACYNTMISGYAKNGRFEDAIALLQEMPAPDIVSWNSVLGGLIRNEEISRSVQFFDEMPDKDLVSWNLMLEGYVRAGDLDVASAFFSRIPSPNVISWVNLVNGYCQAGRMGEARELFDRMPERNVVAWNVLLSGYVQFSQVEAAYNLFIEMPEKNSISWTTMVSGFVRSGKLQEAKDVLSKMPSDNVGAKTALMHGYLKSNLIDDARQLFDGIVVRDAVCWNTMISGYVQCGMLDEAMVLFQQMPNKDMISWNTMIAGCAQGGQIRKAASIFRKMKRRNTVSWNSIISGFVQNGLFVEALQHFMLMRRDAKSADWCTYACCLSASANLATLQIGRQFHSLLVRTGFISDSSPGNALISAYAKCGRMLEARQVFDEMVVQDIVSWNALIDGYASNGNGSEVIAVFREMEANSVRPDEITLVVVLSACSHAGLIDEGLHFFNSMIKLYSLKPVAEHYTCMVDLLGRAGRLREAFELVQGMQIQPNAGVWGALLGACRVHKNHEIAWLAAEKLFELEPCKASNYVLLSNICVEAGKWDDADKCYGASWKPRHCLWKWQEQKDFKALLELSLEFGSKKDDAVQQYDDHGLMDGRPVADSLLYSVVPTTMGRDGLQAPSTDSSGANGWCTARVNVGNFATAHACDAWLTIQGRWEWCSATKWRQRDSWRGALASLSASTNSRSDRYNLA >ORUFI12G09960.3 pep chromosome:OR_W1943:12:9469649:9473528:-1 gene:ORUFI12G09960 transcript:ORUFI12G09960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLTASAVFRSNQELTSLARSGQLAAARRLFEEMPRRNVVSYNAMVSALAHHGRLAEARRLFDEMPRRNPVSWNTMMVACSQHGRVEDARGLFDAMPARNEYSWTIMVSCYVRAGELTLARELLDRMPGEKCAACYNTMISGYAKNGRFEDAIALLQEMPAPDIVSWNSVLGGLIRNEEISRSVQFFDEMPDKDLVSWNLMLEGYVRAGDLDVASAFFSRIPSPNVISWVNLVNGYCQAGRMGEARELFDRMPERNVVAWNVLLSGYVQFSQVEAAYNLFIEMPEKNSISWTTMVSGFVRSGKLQEAKDVLSKMPSDNVGAKTALMHGYLKSNLIDDARQLFDGIVVRDAVCWNTMISGYVQCGMLDEAMVLFQQMPNKDMISWNTMIAGCAQGGQIRKAASIFRKMKRRNTVSWNSIISGFVQNGLFVEALQHFMLMRRDAKSADWCTYACCLSASANLATLQIGRQFHSLLVRTGFISDSSPGNALISAYAKCGRMLEARQVFDEMVVQDIVSWNALIDGYASNGNGSEVIAVFREMEANSVRPDEITLVVVLSACSHAGLIDEGLHFFNSMIKLYSLKPVAEHYTCMVDLLGRAGRLREAFELVQGMQIQPNAGVWGALLGACRVHKNHEIAWLAAEKLFELEPCKASNYVLLSNICVEAGKWDDADKCYGASWKPRHCLWKWQEQKDFKALLELSLEFGSKKDDAVQQYDDHGLMDGRIKQ >ORUFI12G09970.1 pep chromosome:OR_W1943:12:9473609:9475830:-1 gene:ORUFI12G09970 transcript:ORUFI12G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVPTAHPNRRPLSIRRRSSRSAAESEGLASPRCRPPRFVVASPPIVPPRAHARNSASPSPPASRTPPRRGDSLPPPSFPSYLIHRRPHRGGSPPPTVGHCVREDAASAEVLVPSLRLYLVATFASPRVESLRANNENHPCPSACLISTAIHRCPSPLLVARLGCLLPSSAPPPISTARTPSPALSTNSRISDSHKVKRVTSSGTNQTTPARTPAAHLLPSPGNKLATAAHQGTGHRASLAAQHLRPPPPEAAGGGRARGSRPEELLHCWRSSAG >ORUFI12G09980.1 pep chromosome:OR_W1943:12:9475599:9475808:1 gene:ORUFI12G09980 transcript:ORUFI12G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMNHRGGGECGRRAATVRRCFWRGRGEERWVARRRRIEGGGSEARRGPRSRRPIWTSDGESRGGGG >ORUFI12G09990.1 pep chromosome:OR_W1943:12:9476969:9481116:1 gene:ORUFI12G09990 transcript:ORUFI12G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGFDDAADKADSMAMYEAGLKIVDRSSAKREALQSIFDNVTEIECSGSSSSSSRRRRRSGTSGEGAMGEMRT >ORUFI12G10000.1 pep chromosome:OR_W1943:12:9491252:9498388:1 gene:ORUFI12G10000 transcript:ORUFI12G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKDRGKPASPTAARKDGAAAMAEDGEEAISSTTATKVAAAKQFIENHYKDQMRSLEERKERRRMLESKLADPDVSEEEQNNILKDFENREREIMRSRRHKMGVDDFELLTIIGRGAFGEVRLCREKATSNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSAYIVKLYCSFQDEEFLYLVMEYLPGGDMMTLLMRKDTLTDDESRFYVAETILAIESIHKHNYIHRDIKPDNLLLDRTGHLKLSDFGLCKPLDSSSFPNLSEFDYAVGKNINPSMDGDKLLSSSAAPRRTQQEQLLHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDLWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNADLRLGTKGAHEIKAHPWFKGLEWEKLYQMEAAFIPEVNDELDTQNFEKFEEIAPMQTSSKAGPWRKMLSSKDTNFLNFTYKNLELSDDPEHPGIAQVKKKNNKPTRRTFKSILHEFADTEEEPQSSSLNSTPSQLDQLPESLEPSPHSSISSEDSQSRHR >ORUFI12G10010.1 pep chromosome:OR_W1943:12:9500935:9511630:-1 gene:ORUFI12G10010 transcript:ORUFI12G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSLAAAAPSLVAPPLHHKPHLAFPPHHPSPARGSIGVRCAHSPSPHPLRPSAATADEEVSLPPSLRVSRLAEEFRVSPDAADRARRLLARAAALPRLGEADRVAANRVMGCVAQVWLVGRCDGAGRMRFAADSDSELSRGYCACLVSALDGARPEEVLDVDPADLAPLGGAAAGTGARSRASTWHNVLIGMQKRARAAIAAREGRPAGEPFPSLIIGRDGAIRAQGTYAEAQAMFLSPNESKTSELVKSLREKKIGIVAHFYMDPEVQGILTASKKHWPHIHISDSLVMADSAVKMAEAGCEYITVLGVDFMSENVRAILDQAGYSKVGVYRMSSDQIGCSLADAASSSAYTHFLKEASRSPPSLHVIYINTSLETKAHAHELVPTITCTSSNVVATILQAFAQIPGLNVWYGPDSYMGANIADLFQRMAVMSDEEIAEVHPSHNKKSINALLPRLHYYQDGNCIVHDMFGHEVVDKIKEQYCDAFLTAHFEVPGEMFSLSMEAKTRGMGVVGSTQNILDFIKNHLMEALDRNIDDHLQFVLGTESGMITSIVAAVRELFDSYKTSQQSANIEVEIVFPVSSDAVSNTSVNGSHHLDSSTVTDLDNVSVVPGVSSGEGCSIHGGCASCPYMKMNSLRSLLKVCHQLPDRDNRLVAYQASRFNAKTPLGKLVAEVGCEPILHMRHFQATKRLPDKLVHHVIHGKGEPTS >ORUFI12G10010.2 pep chromosome:OR_W1943:12:9500937:9511630:-1 gene:ORUFI12G10010 transcript:ORUFI12G10010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSLAAAAPSLVAPPLHHKPHLAFPPHHPSPARGSIGVRCAHSPSPHPLRPSAATADEEVSLPPSLRVSRLAEEFRVSPDAADRARRLLARAAALPRLGEADRVAANRVMGCVAQVWLVGRCDGAGRMRFAADSDSELSRGYCACLVSALDGARPEEVLDVDPADLAPLGGAAAGTGARSRASTWHNVLIGMQKRARAAIAAREGRPAGEPFPSLIIGRDGAIRAQGTYAEAQAMFLSPNESKTSELVKSLREKKIGIVAHFYMDPEVQGILTASKKHWPHIHISDSLVMADSAVKMAEAGCEYITVLGVDFMSENVRAILDQAGYSKVGVYRMSSDQIGCSLADAASSSAYTHFLKEASRSPPSLHVIYINTSLETKAHAHELVPTITCTSSNVVATILQAFAQIPGLNVWYGPDSYMGANIADLFQRMAVMSDEEIAEVHPSHNKKSINALLPRLHYYQDGNCIVHDMFGHEVVDKIKEQYCDAFLTAHFEVPGEMFSLSMEAKTRGMGVVGSTQNILDFIKNHLMEALDRNIDDHLQFVLGTESGMITSIVAAVRELFDSYKTSQQSANIEVEIVFPVSSDAVSNTSVNGSHHLDSSTVTDLDNVSVVPGVSSGEGCSIHGGCASCPYMKMNSLRSLLKVCHQLPDRDNRLVAYQASRFNAKTPLGKLVAEVGCEPILHMRHFQATKRLPDKLVHHVIHGKGEPTS >ORUFI12G10020.1 pep chromosome:OR_W1943:12:9512263:9512643:1 gene:ORUFI12G10020 transcript:ORUFI12G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDPDLLATFCGAVDTYAWVLEEAKHHDDDDVVVGLGLRRGWLLLLLLAQSQPTISLLSHFFCSCYFGRHSDIGISEDSVGDGASRDELRATGPGRRVAALVLPQLFVDGRYSGSRRGGHAPPLP >ORUFI12G10030.1 pep chromosome:OR_W1943:12:9515189:9515721:-1 gene:ORUFI12G10030 transcript:ORUFI12G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGENGDQRGGRRWRDSGQRCGRGSSGRWRGEGVDEVGGDGDASGGGTEARGVL >ORUFI12G10040.1 pep chromosome:OR_W1943:12:9525428:9539466:-1 gene:ORUFI12G10040 transcript:ORUFI12G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGGGGGGGAGGGGGGGGADIASLLDKAKELDQLRKEQDEVVTEINKMHKKILSSPEMVDKQVDATLIRLRALYTRAKELCESEVSASSALVGLLDGLLQSGTSAAQRKKIEVGEQKKKRMKSDTDTTRFSSASMRSQLDQATNLKGEQVAAKVKSDEEKDEWFVVKVIHFDKETKEYEVLDEEPGDDEESAQKKYKLPMSDIIPFPKRGDPSSAPDFGQGRQVLAVYPSTTALYRATVASNRKESGKLIYLLLFDDDEEDGNLPQRAVPFYRVVPLPEGHRQ >ORUFI12G10040.2 pep chromosome:OR_W1943:12:9525382:9539466:-1 gene:ORUFI12G10040 transcript:ORUFI12G10040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGGGGGGGAGGGGGGGGADIASLLDKAKELDQLRKEQDEVVTEINKMHKKILSSPEMVDKQVDATLIRLRALYTRAKELCESEVSASSALVGLLDGLLQSGTSAAQRKKIEVGEQKKKRMKSDTDTTRFSSASMRSQLDQATNLKGEQVAAKVKSDEEKDEWFVVKVIHFDKETKEYEVLDEEPGDDEESAQKKYKLPMSDIIPFPKRGDPSSAPDFGQGRQVLAVYPSTTALYRATVASNLIYSCLMMTRRMEIYHKELCRSIEWFLSRRATGSENLSWIRLYPGQCSV >ORUFI12G10050.1 pep chromosome:OR_W1943:12:9549487:9568726:1 gene:ORUFI12G10050 transcript:ORUFI12G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSRANIDQFFPAKKRRPSSRKDEPPSGSPRGAKGSLEGYLVRSPSTAAAASLDSPRGGGDAAGARRSLSAAMDVDVGHPATAVATDEGDFELKRFAMEFLSLSHYCSVIPSVMGGASNGEAGKQQKRSAIQSFLAPCSNASAKKQRVAHSGDLEALKDVGHKTPFREKCGTQYGGPEALEELGEGTKLSSEGFVALQRCSFTPNTGQKRVGFSTAPGAGETPMSVSTNSLISPGEEFWNAAIEFADGISARAEKGPGRPECDADDKSSCAVALCSKILPRSGNGGSDHENTVGSNETKQMDRSSYKEEPVAANNHHVNSSPLPVKHLDFFHEDEIQVPGLKIEEKGGAVDLSQKSQMKNRENLTHSVDNVNKSTFDMHIDSSATIHDECLSKLTTEGKNHPTRVGDSGSCLTRRDLNQLIYSEDKLLTAYSDHGKPSKDCTNKFASQEMEANTPTSSVPQKDHSKLSSWLPPELCAIYMKKGIPELYPWQIELITNPKNLFQLVECLLVEGVLEKRNLVYCASTSAGKSFVAEILMLRRILFSGKMAILVLPYVSICAEKAEHLEQLLEPLGRHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLVNKLLEEGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGEISGSSSGKMDATHGLQIIGMSATMPNVAAVADWLQKDEEFTAQAALYQTEFRPVPLEEFIKVGNQVFDKDMNVVRVLPKVADLGGKDPDHIVELCNEVVQQGHSVLLFCSSRKGCESTARHVAKFLKVASVGSSDVGSEFPDATFAVEALKRSPSGLDPVLEETLPSGVAYHHAGLTVEEREIVETCYRKGLVRVLAATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYKQMSGRAGRTGIDTKGESILVCKPEEVKRITGILRSNCTPLESCLSEDKNGMTHAIMEVVAGGIVQTASDIHRYVRCTLLNSTKPFEDVVKSAQDSLRWLCHKRFLEWNNETKIYSTTPLGLAAFGSSLNPEESLVVLDDLSRAREGFVLACDLHLVYLVTPINVDVEPDWELYYERFMQLTSLEQSVGNRVGVIEPFLMHMAHGAAMPVRGKPQRAGIRNRSPTRGSGGNALINEQALRVSKRFYVALMLSRLAQEIPVTDVCEAFKVARGMIQALQENAGRFASMRLGWHDLEGLVAKFQNRVCFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQGDSGLRRMQLGIAKKIKNGARKIVLEEAEAARVAAFSAFKSLGVQVPQFTTPLLPTIDEPPTRDSMVSVGRGQASDDTNNCFSYGSQRASTERTLGNDMHPGSSIQITESARIVNNANIVVQVASPFSIETKLSSRNVADKGPVNAYNFPGGFDSFLDQWSTVSEFSFDLHYVKKSIKSSSTYFDILGLAVCWENSPVYYCNFPKDLMMAGSNDSIEMWDELTRRWNRVIEIMRQNSVKKMTWNLKFQIQALKYPCVSCQRLSRLHLDYKMLKNVEVLDNLYVFLQPVSVHSGLDICLVAWVLWPDEESKTVPNLEKLVKRRLHNEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALCSVLRKLLVSQNLNDLLETIEGPLVNVLADMELWGIGADMDACLRARHIIIRKLKELEKEAYKLAGKSFSLNATADIADVLYTHLKLPVPKGCGKGKLHPSTDKQSLDHLRDQHPIISVIKEHRTLAKLLNGTLGSICSRAQLCSKSQRYIIQGNWLQTSTATGRLSMEEPNLQCVEHLVDFTTLKNDHDSTSMSMVDHHEINPRDFFIPTQENWLLVTADYSQIELRLMAHFSKDTALIELLSKPDGDVFTMIASRWTGKAESLICSKERETTKRFIYGILYGMGANSLAEQLECSPEDAAQKIQSFKRFFPGVSSWLHEAVASCRHKGYVETLMGRRRFLSKITAGNSKEKAKAQRQAVNSICQGSAADIIKVAMIKVHSAITNGSTVGATVDSIDVAMQNFSEIRGRCHLILQVHDELVLEVDPCMVAEAVRLLQTVMENSASLLGPSVSFLLLRATLNLYKTGYIASVTESIVENED >ORUFI12G10050.2 pep chromosome:OR_W1943:12:9549487:9568726:1 gene:ORUFI12G10050 transcript:ORUFI12G10050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSRANIDQFFPAKKRRPSSRKDEPPSGSPRGAKGSLEGYLVRSPSTAAAASLDSPRGGGDAAGARRSLSAAMDVDVGHPATAVATDEGDFELKRFAMEFLSLSHYCSVIPSVMGGASNGEAGKQQKRSAIQSFLAPCSNASAKKQRVAHSGDLEALKDVGHKTPFREKCGTQYGGPEALEELGEGTKLSSEGFVALQRCSFTPNTGQKRVGFSTAPGAGETPMSVSTNSLISPGEEFWNAAIEFADGISARAEKGPGRPECDADDKSSCAVALCSKILPRSGNGGSDHENTVGSNETKQMDRSSYKEEPVAANNHHVNSSPLPVKHLDFFHEDEIQVPGLKIEEKGGAVDLSQKSQMKNRENLTHSVDNVNKSTFDMHIDSSATIHDECLSKLTTEGKNHPTRVGDSGSCLTRRDLNQLIYSEDKLLTAYSDHGKPSKDCTNKFASQEMEANTPTSSVPQKDHSKLSSWLPPELCAIYMKKGIPELYPWQIELITNPKNLFQLVECLLVEGVLEKRNLVYCASTSAGKSFVAEILMLRRILFSGKMAILVLPYVSICAEKAEHLEQLLEPLGRHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLVNKLLEEGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGEISGSSSGKMDATHGLQIIGMSATMPNVAAVADWLQKDEEFTAQAALYQTEFRPVPLEEFIKVGNQVFDKDMNVVRVLPKVADLGGKDPDHIVELCNEVVQQGHSVLLFCSSRKGCESTARHVAKFLKVASVGSSDVGSEFPDATFAVEALKRSPSGLDPVLEETLPSGVAYHHAGLTVEEREIVETCYRKGLVRVLAATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYKQMSGRAGRTGIDTKGESILVCKPEEVKRITGILRSNCTPLESCLSEDKNGMTHAIMEVVAGGIVQTASDIHRYVRCTLLNSTKPFEDVVKSAQDSLRWLCHKRFLEWNNETKIYSTTPLGLAAFGSSLNPEESLVVLDDLSRAREGFVLACDLHLVYLVTPINVDVEPDWELYYERFMQLTSLEQSVGNRVGVIEPFLMHMAHGAAMPVRGKPQRAGIRNRSPTRGSGGNALINEQALRVSKRFYVALMLSRLAQEIPVTDVCEAFKVARGMIQALQENAGRFASMRLGWHDLEGLVAKFQNRVCFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQGDSGLRRMQLGIAKKIKNGARKIVLEEAEAARVAAFSAFKSLGVQVPQFTTPLLPTIDEPPTRDSMVSVGRGQASDDTNNCFSYGSQRASTERTLGNDMHPGSSIQITESARIVNNANIVVQVASPFSIETKLSSRNVADKGPVNAYNFPGGFDSFLDQWSTVSEFSFDLHYVKKSIKSSSTYFDILGLAVCWENSPVYYCNFPKDLMMAGSNDSIEMWDELTRRWNRVIEIMRQNSVKKMTWNLKFQIQALKYPCVSCQRLSRLHLDYKMLKNVEVLDNLYVFLQPVSVHSGLDICLVAWVLWPDEESKTVPNLEKLVKRRLHNEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALCSVLRKLLVSQNLNDLLETIEGPLVNVLADMELWGIGADMDACLRARHIIIRKLKELEKEAYKLAGKSFSLNATADIADVLYTHLKLPVPKGCGKGKLHPSTDKQSLDHLRDQHPIISVIKEHRTLAKLLNGTLGSICSRAQLCSKSQRYIIQGNWLQTSTATGRLSMEEPNLQENWLLVTADYSQIELRLMAHFSKDTALIELLSKPDGDVFTMIASRWTGKAESLICSKERETTKRFIYGILYGMGANSLAEQLECSPEDAAQKIQSFKRFFPGVSSWLHEAVASCRHKGYVETLMGRRRFLSKITAGNSKEKAKAQRQAVNSICQGSAADIIKVAMIKVHSAITNGSTVGATVDSIDVAMQNFSEIRGRCHLILQVHDELVLEVDPCMVAEAVRLLQTVMENSASLLGPSVSFLLLRATLNLYKTGYIASVTESIVENED >ORUFI12G10050.3 pep chromosome:OR_W1943:12:9548919:9568726:1 gene:ORUFI12G10050 transcript:ORUFI12G10050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDVGHPATAVATDEGDFELKRFAMEFLSLSHYCSVIPSVMGGASNGEAGKQQKRSAIQSFLAPCSNASAKKQRVAHSGDLEALKDVGHKTPFREKCGTQYGGPEALEELGEGTKLSSEGFVALQRCSFTPNTGQKRVGFSTAPGAGETPMSVSTNSLISPGEEFWNAAIEFADGISARAEKGPGRPECDADDKSSCAVALCSKILPRSGNGGSDHENTVGSNETKQMDRSSYKEEPVAANNHHVNSSPLPVKHLDFFHEDEIQVPGLKIEEKGGAVDLSQKSQMKNRENLTHSVDNVNKSTFDMHIDSSATIHDECLSKLTTEGKNHPTRVGDSGSCLTRRDLNQLIYSEDKLLTAYSDHGKPSKDCTNKFASQEMEANTPTSSVPQKDHSKLSSWLPPELCAIYMKKGIPELYPWQVECLLVEGVLEKRNLVYCASTSAGKSFVAEILMLRRILFSGKMAILVLPYVSICAEKAEHLEQLLEPLGRHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLVNKLLEEGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGEISGSSSGKMDATHGLQIIGMSATMPNVAAVADWLQAALYQTEFRPVPLEEFIKVGNQVFDKDMNVVRVLPKVADLGGKDPDHIVELCNEVVQQGHSVLLFCSSRKGCESTARHVAKFLKVASVGSSDVGSEFPDATFAVEALKRSPSGLDPVLEETLPSGVAYHHAGLTVEEREIVETCYRKGLVRVLAATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYKQMSGRAGRTGIDTKGESILVCKPEEVKRITGILRSNCTPLESCLSEDKNGMTHAIMEVVAGGIVQTASDIHRYVRCTLLNSTKPFEDVVKSAQDSLRWLCHKRFLEWNNETKIYSTTPLGLAAFGSSLNPEESLVVLDDLSRAREGFVLACDLHLVYLVTPINVDVEPDWELYYERFMQLTSLEQSVGNRVGVIEPFLMHMAHGAAMPVRGKPQRAGIRNRSPTRGSGGNALINEQALRVSKRFYVALMLSRLAQEIPVTDVCEAFKVARGMIQALQENAGRFASMRLGWHDLEGLVAKFQNRVCFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQGDSGLRRMQLGIAKKIKNGARKIVLEEAEAARVAAFSAFKSLGVQVPQFTTPLLPTIDEPPTRDSMVSVGRGQASDDTNNCFSYGSQRASTERTLGNDMHPGSSIQITESARIVNNANIVVQVASPFSIETKLSSRNVADKGPVNAYNFPGGFDSFLDQWSTVSEFSFDLHYVKKSIKSSSTYFDILGLAVCWENSPVYYCNFPKDLMMAGSNDSIEMWDELTRRWNRVIEIMRQNSVKKMTWNLKFQIQALKYPCVSCQRLSRLHLDYKMLKNVEVLDNLYVFLQPVSVHSGLDICLVAWVLWPDEESKTVPNLEKLVKRRLHNEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALCSVLRKLLVSQNLNDLLETIEGPLVNVLADMELWGIGADMDACLRARHIIIRKLKELEKEAYKLAGKSFSLNATADIADVLYTHLKLPVPKGCGKGKLHPSTDKQSLDHLRDQHPIISVIKEHRTLAKLLNGTLGSICSRAQLCSKSQRYIIQGNWLQTSTATGRLSMEEPNLQCVEHLVDFTTLKNDHDSTSMSMVDHHEINPRDFFIPTQENWLLVTADYSQIELRLMAHFSKDTALIELLSKPDGDVFTMIASRWTGKAESLICSKERETTKRFIYGILYGMGANSLAEQLECSPEDAAQKIQSFKRFFPGVSSWLHEAVASCRHKGYVETLMGRRRFLSKITAGNSKEKAKAQRQAVNSICQGSAADIIKVAMIKVHSAITNGSTVGATVDSIDVAMQNFSEIRGRCHLILQVHDELVLEVDPCMVAEAVRLLQTVMENSASLLGPSVSFLLLRATLNLYKTGYIASVTESIVENED >ORUFI12G10050.4 pep chromosome:OR_W1943:12:9549487:9568726:1 gene:ORUFI12G10050 transcript:ORUFI12G10050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSRANIDQFFPAKKRRPSSRKDEPPSGSPRGAKGSLEGYLVRSPSTAAAASLDSPRGGGDAAGARRSLSAAMDVDVGHPATAVATDEGDFELKRFAMEFLSLSHYCSVIPSVMGGASNGEAGKQQKRSAIQSFLAPCSNASAKKQRVAHSGDLEALKDVGHKTPFREKCGTQYGGPEALEELGEGTKLSSEGFVALQRCSFTPNTGQKRVGFSTAPGAGETPMSVSTNSLISPGEEFWNAAIEFADGISARAEKGPGRPECDADDKSSCAVALCSKILPRSGNGGSDHENTVGSNETKQMDRSSYKEEPVAANNHHVNSSPLPVKHLDFFHEDEIQVPGLKIEEKGGAVDLSQKSQMKNRENLTHSVDNVNKSTFDMHIDSSATIHDECLSKLTTEGKNHPTRVGDSGSCLTRRDLNQLIYSEDKLLTAYSDHGKPSKDCTNKFASQEMEANTPTSSVPQKDHSKLSSWLPPELCAIYMKKGIPELYPWQIELITNPKNLFQLVECLLVEGVLEKRNLVYCASTSAGKSFVAEILMLRRILFSGKMAILVLPYVSICAEKAEHLEQLLEPLGRHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLVNKLLEEGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGEISGSSSGKMDATHGLQIIGMSATMPNVAAVADWLQAALYQTEFRPVPLEEFIKVGNQVFDKDMNVVRVLPKVADLGGKDPDHIVELCNEVVQQGHSVLLFCSSRKGCESTARHVAKFLKVASVGSSDVGSEFPDATFAVEALKRSPSGLDPVLEETLPSGVAYHHAGLTVEEREIVETCYRKGLVRVLAATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYKQMSGRAGRTGIDTKGESILVCKPEEVKRITGILRSNCTPLESCLSEDKNGMTHAIMEVVAGGIVQTASDIHRYVRCTLLNSTKPFEDVVKSAQDSLRWLCHKRFLEWNNETKIYSTTPLGLAAFGSSLNPEESLVVLDDLSRAREGFVLACDLHLVYLVTPINVDVEPDWELYYERFMQLTSLEQSVGNRVGVIEPFLMHMAHGAAMPVRGKPQRAGIRNRSPTRGSGGNALINEQALRVSKRFYVALMLSRLAQEIPVTDVCEAFKVARGMIQALQENAGRFASMRLGWHDLEGLVAKFQNRVCFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQGDSGLRRMQLGIAKKIKNGARKIVLEEAEAARVAAFSAFKSLGVQVPQFTTPLLPTIDEPPTRDSMVSVGRGQASDDTNNCFSYGSQRASTERTLGNDMHPGSSIQITESARIVNNANIVVQVASPFSIETKLSSRNVADKGPVNAYNFPGGFDSFLDQWSTVSEFSFDLHYVKKSIKSSSTYFDILGLAVCWENSPVYYCNFPKDLMMAGSNDSIEMWDELTRRWNRVIEIMRQNSVKKMTWNLKFQIQALKYPCVSCQRLSRLHLDYKMLKNVEVLDNLYVFLQPVSVHSGLDICLVAWVLWPDEESKTVPNLEKLVKRRLHNEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALCSVLRKLLVSQNLNDLLETIEGPLVNVLADMELWGIGADMDACLRARHIIIRKLKELEKEAYKLAGKSFSLNATADIADVLYTHLKLPVPKGCGKGKLHPSTDKQSLDHLRDQHPIISVIKEHRTLAKLLNGTLGSICSRAQLCSKSQRYIIQGNWLQTSTATGRLSMEEPNLQENWLLVTADYSQIELRLMAHFSKDTALIELLSKPDGDVFTMIASRWTGKAESLICSKERETTKRFIYGILYGMGANSLAEQLECSPEDAAQKIQSFKRFFPGVSSWLHEAVASCRHKGYVETLMGRRRFLSKITAGNSKEKAKAQRQAVNSICQGSAADIIKVAMIKVHSAITNGSTVGATVDSIDVAMQNFSEIRGRCHLILQVHDELVLEVDPCMVAEAVRLLQTVMENSASLLGPSVSFLLLRATLNLYKTGYIASVTESIVENED >ORUFI12G10050.5 pep chromosome:OR_W1943:12:9549487:9568726:1 gene:ORUFI12G10050 transcript:ORUFI12G10050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSRANIDQFFPAKKRRPSSRKDEPPSGSPRGAKGSLEGYLVRSPSTAAAASLDSPRGGGDAAGARRSLSAAMDVDVGHPATAVATDEGDFELKRFAMEFLSLSHYCSVIPSVMGGASNGEAGKQQKRSAIQSFLAPCSNASAKKQRVAHSGDLEALKDVGHKTPFREKCGTQYGGPEALEELGEGTKLSSEGFVALQRCSFTPNTGQKRVGFSTAPGAGETPMSVSTNSLISPGEEFWNAAIEFADGISARAEKGPGRPECDADDKSSCAVALCSKILPRSGNGGSDHENTVGSNETKQMDRSSYKEEPVAANNHHVNSSPLPVKHLDFFHEDEIQVPGLKIEEKGGAVDLSQKSQMKNRENLTHSVDNVNKSTFDMHIDSSATIHDECLSKLTTEGKNHPTRVGDSGSCLTRRDLNQLIYSEDKLLTAYSDHGKPSKDCTNKFASQEMEANTPTSSVPQKDHSKLSSWLPPELCAIYMKKGIPELYPWQVECLLVEGVLEKRNLVYCASTSAGKSFVAEILMLRRILFSGKMAILVLPYVSICAEKAEHLEQLLEPLGRHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLVNKLLEEGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGEISGSSSGKMDATHGLQIIGMSATMPNVAAVADWLQKDEEFTAQAALYQTEFRPVPLEEFIKVGNQVFDKDMNVVRVLPKVADLGGKDPDHIVELCNEVVQQGHSVLLFCSSRKGCESTARHVAKFLKVASVGSSDVGSEFPDATFAVEALKRSPSGLDPVLEETLPSGVAYHHAGLTVEEREIVETCYRKGLVRVLAATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYKQMSGRAGRTGIDTKGESILVCKPEEVKRITGILRSNCTPLESCLSEDKNGMTHAIMEVVAGGIVQTASDIHRYVRCTLLNSTKPFEDVVKSAQDSLRWLCHKRFLEWNNETKIYSTTPLGLAAFGSSLNPEESLVVLDDLSRAREGFVLACDLHLVYLVTPINVDVEPDWELYYERFMQLTSLEQSVGNRVGVIEPFLMHMAHGAAMPVRGKPQRAGIRNRSPTRGSGGNALINEQALRVSKRFYVALMLSRLAQEIPVTDVCEAFKVARGMIQALQENAGRFASMRLGWHDLEGLVAKFQNRVCFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQGDSGLRRMQLGIAKKIKNGARKIVLEEAEAARVAAFSAFKSLGVQVPQFTTPLLPTIDEPPTRDSMVSVGRGQASDDTNNCFSYGSQRASTERTLGNDMHPGSSIQITESARIVNNANIVVQVASPFSIETKLSSRNVADKGPVNAYNFPGGFDSFLDQWSTVSEFSFDLHYVKKSIKSSSTYFDILGLAVCWENSPVYYCNFPKDLMMAGSNDSIEMWDELTRRWNRVIEIMRQNSVKKMTWNLKFQIQALKYPCVSCQRLSRLHLDYKMLKNVEVLDNLYVFLQPVSVHSGLDICLVAWVLWPDEESKTVPNLEKLVKRRLHNEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALCSVLRKLLVSQNLNDLLETIEGPLVNVLADMELWGIGADMDACLRARHIIIRKLKELEKEAYKLAGKSFSLNATADIADVLYTHLKLPVPKGCGKGKLHPSTDKQSLDHLRDQHPIISVIKEHRTLAKLLNGTLGSICSRAQLCSKSQRYIIQGNWLQTSTATGRLSMEEPNLQENWLLVTADYSQIELRLMAHFSKDTALIELLSKPDGDVFTMIASRWTGKAESLICSKERETTKRFIYGILYGMGANSLAEQLECSPEDAAQKIQSFKRFFPGVSSWLHEAVASCRHKGYVETLMGRRRFLSKITAGNSKEKAKAQRQAVNSICQGSAADIIKVAMIKVHSAITNGSTVGATVDSIDVAMQNFSEIRGRCHLILQVHDELVLEVDPCMVAEAVRLLQTVMENSASLLGPSVSFLLLRATLNLYKTGYIASVTESIVENED >ORUFI12G10050.6 pep chromosome:OR_W1943:12:9549487:9568726:1 gene:ORUFI12G10050 transcript:ORUFI12G10050.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSRANIDQFFPAKKRRPSSRKDEPPSGSPRGAKGSLEGYLVRSPSTAAAASLDSPRGGGDAAGARRSLSAAMDVDVGHPATAVATDEGDFELKRFAMEFLSLSHYCSVIPSVMGGASNGEAGKQQKRSAIQSFLAPCSNASAKKQRVAHSGDLEALKDVGHKTPFREKCGTQYGGPEALEELGEGTKLSSEGFVALQRCSFTPNTGQKRVGFSTAPGAGETPMSVSTNSLISPGEEFWNAAIEFADGISARAEKGPGRPECDADDKSSCAVALCSKILPRSGNGGSDHENTVGSNETKQMDRSSYKEEPVAANNHHVNSSPLPVKHLDFFHEDEIQVPGLKIEEKGGAVDLSQKSQMKNRENLTHSVDNVNKSTFDMHIDSSATIHDECLSKLTTEGKNHPTRVGDSGSCLTRRDLNQLIYSEDKLLTAYSDHGKPSKDCTNKFASQEMEANTPTSSVPQKDHSKLSSWLPPELCAIYMKKGIPELYPWQVECLLVEGVLEKRNLVYCASTSAGKSFVAEILMLRRILFSGKMAILVLPYVSICAEKAEHLEQLLEPLGRHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLVNKLLEEGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGEISGSSSGKMDATHGLQIIGMSATMPNVAAVADWLQAALYQTEFRPVPLEEFIKVGNQVFDKDMNVVRVLPKVADLGGKDPDHIVELCNEVVQQGHSVLLFCSSRKGCESTARHVAKFLKVASVGSSDVGSEFPDATFAVEALKRSPSGLDPVLEETLPSGVAYHHAGLTVEEREIVETCYRKGLVRVLAATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYKQMSGRAGRTGIDTKGESILVCKPEEVKRITGILRSNCTPLESCLSEDKNGMTHAIMEVVAGGIVQTASDIHRYVRCTLLNSTKPFEDVVKSAQDSLRWLCHKRFLEWNNETKIYSTTPLGLAAFGSSLNPEESLVVLDDLSRAREGFVLACDLHLVYLVTPINVDVEPDWELYYERFMQLTSLEQSVGNRVGVIEPFLMHMAHGAAMPVRGKPQRAGIRNRSPTRGSGGNALINEQALRVSKRFYVALMLSRLAQEIPVTDVCEAFKVARGMIQALQENAGRFASMRLGWHDLEGLVAKFQNRVCFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQGDSGLRRMQLGIAKKIKNGARKIVLEEAEAARVAAFSAFKSLGVQVPQFTTPLLPTIDEPPTRDSMVSVGRGQASDDTNNCFSYGSQRASTERTLGNDMHPGSSIQITESARIVNNANIVVQVASPFSIETKLSSRNVADKGPVNAYNFPGGFDSFLDQWSTVSEFSFDLHYVKKSIKSSSTYFDILGLAVCWENSPVYYCNFPKDLMMAGSNDSIEMWDELTRRWNRVIEIMRQNSVKKMTWNLKFQIQALKYPCVSCQRLSRLHLDYKMLKNVEVLDNLYVFLQPVSVHSGLDICLVAWVLWPDEESKTVPNLEKLVKRRLHNEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALCSVLRKLLVSQNLNDLLETIEGPLVNVLADMELWGIGADMDACLRARHIIIRKLKELEKEAYKLAGKSFSLNATADIADVLYTHLKLPVPKGCGKGKLHPSTDKQSLDHLRDQHPIISVIKEHRTLAKLLNGTLGSICSRAQLCSKSQRYIIQGNWLQTSTATGRLSMEEPNLQENWLLVTADYSQIELRLMAHFSKDTALIELLSKPDGDVFTMIASRWTGKAESLICSKERETTKRFIYGILYGMGANSLAEQLECSPEDAAQKIQSFKRFFPGVSSWLHEAVASCRHKGYVETLMGRRRFLSKITAGNSKEKAKAQRQAVNSICQGSAADIIKVAMIKVHSAITNGSTVGATVDSIDVAMQNFSEIRGRCHLILQVHDELVLEVDPCMVAEAVRLLQTVMENSASLLGPSVSFLLLRATLNLYKTGYIASVTESIVENED >ORUFI12G10060.1 pep chromosome:OR_W1943:12:9569534:9585616:1 gene:ORUFI12G10060 transcript:ORUFI12G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVMASSATSVAPFQGLKSTAGLPVSRRSTNSGFGNVSNGGRIKCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQLISFIAYKPPGCEESGGN >ORUFI12G10060.2 pep chromosome:OR_W1943:12:9584132:9585616:1 gene:ORUFI12G10060 transcript:ORUFI12G10060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVMASSATSVAPFQGLKSTAGLPVSRRSNSAGLGSVSNGGRISCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQLISFIAYKPPGCEESGGN >ORUFI12G10060.3 pep chromosome:OR_W1943:12:9569534:9570815:1 gene:ORUFI12G10060 transcript:ORUFI12G10060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVMASSATSVAPFQGLKSTAGLPVSRRSTNSGFGNVSNGGRIKCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQLISFIAYKPPGCEESGGN >ORUFI12G10070.1 pep chromosome:OR_W1943:12:9611607:9612730:1 gene:ORUFI12G10070 transcript:ORUFI12G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVMASSATSVAPFQGLKSTAGLPVNRRSSSSSFGNVSNGGRIRCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQLISFIAYKPPGCEESGGN >ORUFI12G10080.1 pep chromosome:OR_W1943:12:9619686:9621783:1 gene:ORUFI12G10080 transcript:ORUFI12G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSNGDSYIRPPKASSSGTKILPKPVLPCSSPIFLNQMPFSMPAITLVHHSGSLSNSGLNSALSGGFNCSRCLGAGHRPSPAKRQKVAIVGRHVARSLQFASDESSLQNEIQATPKPRKQRQKGPISTENLRRSPRFLGQEKQVLAFDNPKKKSKVQPVRALLPAISKGLPPPVPVAQLQKIGVEKCGLLPEEVAEAKLLKTKK >ORUFI12G10090.1 pep chromosome:OR_W1943:12:9639915:9647803:1 gene:ORUFI12G10090 transcript:ORUFI12G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLDTPYLALRVRLPRFPTPHNPRPAVAIEAQHSFPHGLLARAACQPLRHVAAPAEGEGEEVEDLGTPSAAAVAEAIRRASSASPVRFRRVHREENEKLRGEGGLTEPSTDFRRLCGDQLEMFRVVISRDAVLSVYVRPAGSYIMDQLELRRVALYPETNVSKGDTVILVGNFTISAGLRAAEAFLVKHQMEIITEFGAVVLPMVKHPFVVGFLVAELPELVGCTKNSETSDIQIPSHSFLDKSSDITPYTKGEAWDFQTSGDQANSYAQLVTEWKNSALMISRTLAMAYVMDQIRGPLANIRALAKMLSVHTKRNEISYDIVEDVMIQGDHLKDALQQIQDAVYLTKVNIVRYNEETLKKIQGSPSSRTLPHYQSDPKNSSQKVDSLSSHDSDNGDMVIPMPPLWLAPLQPQDARQCDLSVVLEDLVGAAQPLAFRQQRTLDVTGISHPLQVAVEESALRQALSNLIEGALLRTQLGGRVQIYAGEAPAGGILVVIDDDGPDMQYMTQMHCLAPFGSDLADGLTVAREILENYGCVLRVISPRRPDAIIGTGGSRIEIWLPTSQTELSEITEGA >ORUFI12G10110.1 pep chromosome:OR_W1943:12:9686879:9693493:1 gene:ORUFI12G10110 transcript:ORUFI12G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKITFRSVTPSTVSSGGAARITQSSRANCAACNGGQGDSEALTVVRIKAWGARSSTSTLGLVFAGLYNQRFDVEVRRPRKRPHGRRKAGGFLVAKGYFGNKRKYLESKYKFKL >ORUFI12G10120.1 pep chromosome:OR_W1943:12:9700267:9700964:1 gene:ORUFI12G10120 transcript:ORUFI12G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAILERRESTSLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPADIDGIREHVSGFLLYGNNIISGTIIPTSTAIGLHFYSIWEAASVDEWLYNGVDSQGRVINTWADIINRANLGMGVIHERNTHNFPLDLAALEVPSLNG >ORUFI12G10130.1 pep chromosome:OR_W1943:12:9701715:9706869:1 gene:ORUFI12G10130 transcript:ORUFI12G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFPSPPPWGWSTGFITTPLTMGRLPSQHLDPALPKLFWFTPTLPTYPTVAKQFWDTKRTSPDGNLKVTDLPSFAISFATAPAALANCPPLPLVIPMLCMAMPKGISVEVDSSFLSKNPFPNCTSFFQSIRLSRYIA >ORUFI12G10140.1 pep chromosome:OR_W1943:12:9708521:9717742:1 gene:ORUFI12G10140 transcript:ORUFI12G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEDTAAAMAMEVEIEAEAVLCMGTSSPATSNSSSPSPNSGISSRRLGLKNSIQTNFGDDYENSTLAVSLSTNALKLYSPATGQFLGECTGHSGTIHEISFSAPSSPQVICSCSSDGTIRAWDTRSFKQISLLRGSQELFSFSFGGSSGNLLAAGSNSQVLLWDWRNSKQLACLEESHMDDVTQVKFAPHQHSKLISAAVDGLICVFDTDGDMNEDNHLLSVMNVETSVAKVGFFGNTYQKIWCLSHIETLSTWDWNDGSRELNIDDARSLATDRWNLDHVDYFVDCHYSLPDDKLWVIGGTTAGTIGYFPVRAGLEGAIGSAEAILEGGHTDVVRTVYPAANTHTGQNRGIFGWTGGEDGRLCCWRSGEIAEMNKSWISSSLVSRVQKKAKCRQQPY >ORUFI12G10140.2 pep chromosome:OR_W1943:12:9708521:9717742:1 gene:ORUFI12G10140 transcript:ORUFI12G10140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEDTAAAMAMEVEIEAEAVLCMGTSSPATSNSSSPSPNSGISSRRLGLKNSIQTNFGDDYVFQIASWTYALCILFHISGNKSGELYARSFLVNKCTKIIFSSNWAIFGGSQELFSFSFGGSSGNLLAAGSNSQVLLWDWRNSKQLACLEESHMDDVTQVKFAPHQHSKLISAAVDGLICVFDTDGDMNEDNHLLSVMNVETSVAKVGFFGNTYQKIWCLSHIETLSTWDWNDGSRELNIDDARSLATDRWNLDHVDYFVDCHYSLPDDKLWVIGGTTAGTIGYFPVRAGLEGAIGSAEAILEGGHTDVVRTVYPAANTHTGQNRGIFGWTGGEDGRLCCWRSGEIAEMNKSWISSSLVSRVQKKAKCRQQPY >ORUFI12G10150.1 pep chromosome:OR_W1943:12:9720041:9720246:1 gene:ORUFI12G10150 transcript:ORUFI12G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGAVRADDVDAIALVANDGTGRLVRLPCGAALPVTLGALEYEVFRQCHRSGTGAGSKHLETSKT >ORUFI12G10160.1 pep chromosome:OR_W1943:12:9720364:9720771:1 gene:ORUFI12G10160 transcript:ORUFI12G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCSRRLLCSLFLAAAALCGVTSAAAPRLGHHLPVHVPGLRPQAGRHHQRPHAEPHHQRRLEGQYRHQHQELAADGERRHPLAQHPADQQAVGRRHGGHHPAMLSRSALSTPPQPLCHSGCAVAAVSMPTSRGG >ORUFI12G10170.1 pep chromosome:OR_W1943:12:9778264:9778714:-1 gene:ORUFI12G10170 transcript:ORUFI12G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSRLHHRRGTSSFRRPSGHNRDKPELRSSARGGHRGHAIRGALPSIFLEKRNPHAP >ORUFI12G10180.1 pep chromosome:OR_W1943:12:9798181:9798708:-1 gene:ORUFI12G10180 transcript:ORUFI12G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQDVAEPWGLSAWPPLTSLLLRAMRKRRTWAALFLAVYASLLCSSWRLVESVRAWYYSAAGGAGAVAAWPAALYASVMYGAVFGLLSMGAALAVAAPAMLITWITVLVLLAFAGKPRRSLVAEGRRATADIARLALRVLLREGNAVAALCAAASFAALLLGRRDDDPAEGSGS >ORUFI12G10190.1 pep chromosome:OR_W1943:12:9801801:9802064:1 gene:ORUFI12G10190 transcript:ORUFI12G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEYEIPDLNLDPGVQEVLQDEGDGIPDLNLDPAVQGEDAFQYEDEELPDNQCFAAHEDGHPDPAMQVVELSNGWSAQEICHLNM >ORUFI12G10200.1 pep chromosome:OR_W1943:12:9820161:9820999:-1 gene:ORUFI12G10200 transcript:ORUFI12G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLPSARMTSVQDPVLDPQVQEFLARLNRIARNEAPRHPYFYPMKGILDKIDFLCKAKGIMQTLIQGKPIPAALRMSNYFSTLLLPRKSIFDSSPSVGQHEPEWVLQPFESISPPQPKRVIEDVLPINVRPPSSPISVASPISYFPPRHLQRGKMGRPFSIVPTEDKAQDFCRVMQPKNCRWTQEWALGSQGESLLKRLRNLQKMGVDMCSLSPEEVAKSSLGGERRQKIPRPNMEDN >ORUFI12G10210.1 pep chromosome:OR_W1943:12:9893130:9893485:-1 gene:ORUFI12G10210 transcript:ORUFI12G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIENVAADMGDEPTNVTNEDEDASNIPEDKSSKASEQPPPLPPRRRPTPSKNKNHISFHSSATNSSTDTSSIGKFSLNSPSFILINTINSNI >ORUFI12G10220.1 pep chromosome:OR_W1943:12:9895244:9903477:1 gene:ORUFI12G10220 transcript:ORUFI12G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASLRHVPLSSALAIHEPLPSSSIASLPNIFRGVRGGKVLDPNRVAPVTPLSGAAYLLILSLTRLQDLNHSGDTSSPPTEIAIAAASSRKLALEPNTSKRKCARISELANMLRAVCDFTQKHKAATKSLKIERLSALLPDIGAIQKLI >ORUFI12G10230.1 pep chromosome:OR_W1943:12:9906649:9923147:-1 gene:ORUFI12G10230 transcript:ORUFI12G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRPLETSLSIGGRPRRGLVLPPPGVGAGVLLRRGAMALPGRRGFACRGGSAASAAERTKEKKRRDSSKQPLVHLQVCLEHQVKFGEHVGIIGSTKELGSWEEQVELEWTTNGWVCQLKLPGETLVEFKFVIFLVGGKDKIWEDGNNRVVELPKDGKFDIVCHWNRTEEPLELLGTPKFELVGEAEKNTGEDASASVTFAPEKVQDISVVENGDPAPEAESSKFGGQWQGSKTVFMRSNEHLNKEADRMWDTTGLDGIALKLVEGDKASRNWWRKLEVVRGILSESFDDQSRQISCFEDGGHHRPNKHAEISRQIFRELEMMYYGKTTSAKDVLVIRKIHPFLPSFKSEFTASVPLTRIRDIAHRNDIPHDLKVQEIKHTIQNKLHRNAGPEDLIATEVMLARITKTPGEYSETFVEQFTIFYSELKDFFNAGSLFEQLESIKESLNESGLEVLSSFVETKRSLDQVDHAEDLDKNDTIQILMTTLQSLSSLRSVLMKGLESGLRNDAPDNAIAMRQKWRLCEISLEDYSFVLLSRFINTLEALGGSASLAKDVARNTTLWDTTLDALVIGINQVSFSGWKTDECIAIGNEILSWKQKGLSESEGCEDGKYIWSLRLKATLDRARRLTEEYSEALLSIFPEKVMVIGKALGIPDNSVSKLCTVLQKAIREVLGSTGWDVLVPGVAHGTLMRVERILPGSLPSSVKEPVVLIVDKADGDEEVKAAGDNIVGVILLQELPHLSHLGVRARQENVVFVTCEYDDTVTDVYLLEGKYIRLEASSINVNLSIVSEKNDNAVSTEPNSTGNPFQQKLQNEFSLPSDIEMPLQMSKQKSKSGVNGSFAALELSEASVESAGAKAAACRTLSVLASLSNKVYSDQGVPAAFRVPSGAVIPFGSMEDALKKSGSLESYTSLLEKIETAKVENGEVDSLALELQAIISHLSPPEETIIFLKRIFPQDVRLIVRSSANVEDLAGMSAAGLYDSIPNVSLMDPCAFGAAVGKVWASLYTRRAILSRRAAGVYQRDATMAVLVQEMLQPDLSFVLHTVCPADHDPKVVQAEVAPGLGETLASGTRGTPWRLSCNKFDGKVATLAFSNFSEEMVVHNSGPANGEVIRLTVDYSKKPLSVDTTFRKQFGQRLAAIGQYLEQKFGSAQDVEGCLVGKDIFIVQSRPQP >ORUFI12G10240.1 pep chromosome:OR_W1943:12:9982909:9983154:-1 gene:ORUFI12G10240 transcript:ORUFI12G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGDERRTPELRWREKRQCERERGLSLGALPAAAAREGDGDDDGAAPDGGTKQRWRRLLTVEMAAALEKGGKRGGGRWYL >ORUFI12G10250.1 pep chromosome:OR_W1943:12:10002473:10003887:-1 gene:ORUFI12G10250 transcript:ORUFI12G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLASRRSSSSSAAKRPAAGEGGGKAAAGAAAAKKRVALGNITNVAAAANNAKFNSATWAAPVKKGSLASGRNVGMNRVSAVKSASTKPASAISRHESAPQKESVLPPKEIQVSVDETMSTCDSMKSPDFEYIDNGDSSSVLGSLQRRANENLRISEDRDVEETKWKKDAPSPMEIDQICDVDNNYEDPQLCATLASDIYMHLREAELIHS >ORUFI12G10260.1 pep chromosome:OR_W1943:12:10013111:10013814:-1 gene:ORUFI12G10260 transcript:ORUFI12G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDMADLLHISWPHLPDQCVGYLGQDHAGEWKNPSSVPAVPQILLLQVRRAARHVQAKASISNFAFLQDGDECNYVSRFDRQRPHRDLNTIEIQRHTIDCARYRNEASVLPLDPTLIGHALTWQVGPAADVE >ORUFI12G10270.1 pep chromosome:OR_W1943:12:10049782:10050109:-1 gene:ORUFI12G10270 transcript:ORUFI12G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRATATASGNGRGRRRATPYGDAGVSWRPLTLNSRWRLARRRYDAGVRGGAALARVFGGSVGGGPTRRGATRCGTRARPVRCTSRKGRCAAWQWLERATSGVRSG >ORUFI12G10280.1 pep chromosome:OR_W1943:12:10050152:10050716:-1 gene:ORUFI12G10280 transcript:ORUFI12G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVGTAMTARAYHGVAGWRSSMARGAVWPKQGQPAVGDCRRCSTPGLPCSAAPGSCHQQQRQCEHLLCTPRHPHRPRGPAAAAQPRPPGFGSMHWRGMITYSVGSQERGEANCGIWRHITQ >ORUFI12G10290.1 pep chromosome:OR_W1943:12:10051262:10055845:-1 gene:ORUFI12G10290 transcript:ORUFI12G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLSFADKWDFSLGLDFASQIWSRLRSPFLVSDRKVGLWMYSLKSFQNKFFKLHFHLWRNGGPDWYREYTLWVLEEENSWTKVGRKSKPISNGVIRPGVSFANVFSSEPTRSRAAVLIKKVFDTIKDSILDPNRPSVLPVRKLVFDYLDFPRRNVVQVSGNNLLHDGSSSKAGSLGSFKCSKCLATGHSRSDCRFRVCFKACFAYGHKARYCLAQSKKKALTKWFNLARFTPPGLHLQEGSPFRLPRADLSVEPPTRRHECYKLAEVEPAIHEDIFDNELGIVVGHCSPHLLAVGLFAVRSPLVRDTLVEAFGFQYSKFHTVHFRHHDRGSNWRAAHTNRRGWVMFLGYPLDFRKQHYINKEVSLFGRLVDWQERDPIPGRVMLRAVFDDIDAVPRVFLLKELPLRGGLGQSWTFGVFVLNTEFADIHLGDEDLPPLMVHLQEPTQQHNDHMDVQPDAPPQHDQPWGNWDQQGENNPENTGNSGISAGPNQNLAMSILENEASPVFFVLDSVQGKIQEVVLRNQGPPTVLLVHAPFISLVLPRRNVAFDSLPLVYHSSQLPLVVVQPLGHDEDMDHDMGSPLGHDEIFDVQPLAISEPLDQAQPKSPPRIGPVPLLLEPPRASVKKRDGKTVMFDPDRRQSSRLRSSSQGLTQPDPRMGIGKPRGKSAKKLKELVENAVSLIGGSDPL >ORUFI12G10300.1 pep chromosome:OR_W1943:12:10059824:10060477:-1 gene:ORUFI12G10300 transcript:ORUFI12G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDELELVVGYTIELALKYLRGLLRRESGARLDPSARPYLFLFPSAIFPLPLYSRSSLLARLYLLSTAAPSPQPTTPSLSAAPPPPPPPPPAAPLPLRPLPPQPRPTAACSTLARRRRPPSAGDALPSAGGALSSAASLSSPAQWRQPLPVSASAITSSLRRTVGRSPSRHDLGAAACLPLM >ORUFI12G10310.1 pep chromosome:OR_W1943:12:10062561:10064715:-1 gene:ORUFI12G10310 transcript:ORUFI12G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFNAPAAATAPPTKLLVGVRLNGDGVKVEELIRRRRRREQSIRRPLPLLHGSHGLSAPSCADPSSAATGGVRSFDLFLSRSDPVVSQRPAHADPWPATTGGSRSGGVHCGRGFPATAASARAAHTPHPAVSFFPRWWPRWRGDVTRSCLGSRNEGGDGGGKVGLLALDVALAFPQATTSQFPPAGETSLAFPVEKMFASYLAM >ORUFI12G10320.1 pep chromosome:OR_W1943:12:10066317:10069859:1 gene:ORUFI12G10320 transcript:ORUFI12G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKRSTSTSTSSKRGCSATRTATAGSIAGVDHNTYHCLIVLVLFETPSGFAMFGMSGDRLIQPNALQFIWLKEFREIKDKSSAINHDTGVSCDLAEMIMKWHHPGQKMAVGKPEYKEIIERSLSVPCMFDEIVMEVMWGLKNLMHVLVPQEKMKLSKDDYLPMSQGLYMLLNRYGLDVKPEMVTDSIIKLACFLLDCEYCDVKNSKHLRWTGEYIEKRSGIKCLDWDLMKLATGIKIICYPTERSTAEEAMFTQDELSKLVKDAHKYEGKIRKRSFMNAYSEMVEARQLIPMAQKQLEDLVKEAKDACEAEQST >ORUFI12G10330.1 pep chromosome:OR_W1943:12:10087580:10089659:-1 gene:ORUFI12G10330 transcript:ORUFI12G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRPDLCQAAWGQHVVQLGHVLAHNTHVGFGLCLEVSVRLNGCEHGGSRSLLGRGSGLG >ORUFI12G10340.1 pep chromosome:OR_W1943:12:10142638:10142874:-1 gene:ORUFI12G10340 transcript:ORUFI12G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVVEEEEVAAYTPTPTGSEDDGGSCDPPSPPSGHSDSPSLESQAARGPGQVGGLGPFPASRQVKGMPRRGAPPPYL >ORUFI12G10350.1 pep chromosome:OR_W1943:12:10237011:10249640:1 gene:ORUFI12G10350 transcript:ORUFI12G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSEGEKHFIRGGIAQDLRTDGRRRLQFRAISVETGVIPQANGSARVRLGATEVIATVKAELGKPSILHPDKGKVSIFVDCSPTAAPMFEGRGSEEFSAELCVALQRCLLGGKSGAGAAIDLSSLIVVDGKVCWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSLSATTDEEPEVNVSDEEFLQFDTSSVPVIVTLTKVGKHYIVDATSEEESQMSSAVSVSVNRHGQIRGLTKRGGAGLDPSVIFDMISVAKHVSRQFISVLDSETLAAEAAE >ORUFI12G10350.2 pep chromosome:OR_W1943:12:10237056:10249640:1 gene:ORUFI12G10350 transcript:ORUFI12G10350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHARYAPAAPRTMVGLSEGEKHFIRGGIAQDLRTDGRRRLQFRAISVETGVIPQANGSARVRLGATEVIATVKAELGKPSILHPDKGKVSIFVDCSPTAAPMVEVLKNFLLSSVLHCKDAYSVVKVGQVCWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSLSATTDEEPEVNVSDEEFLQFDTSSVPVIVTLTKVGKHYIVDATSEEESQMSSAVSVSVNRHGQIRGLTKRGGAGLDPSVIFDMISVAKHVSRQFISVLDSETLAAEAAE >ORUFI12G10360.1 pep chromosome:OR_W1943:12:10252224:10252526:-1 gene:ORUFI12G10360 transcript:ORUFI12G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRSSKGDDETSLLPNTRLEKPIQAVAKVITAPTDRLDLDYDESSKATAMATMPAGCCDQIDLLLGAGRALF >ORUFI12G10370.1 pep chromosome:OR_W1943:12:10263990:10265758:1 gene:ORUFI12G10370 transcript:ORUFI12G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASWLDYSRLCAPGTLKTLVARGLGQRRLQSTGTAKWPTAALWTSRAASSLAQGVQPALNGKFETWIDQNFGETRLLQGGQGFDGSMFQVPRLDYFLFTMVI >ORUFI12G10380.1 pep chromosome:OR_W1943:12:10278224:10279137:-1 gene:ORUFI12G10380 transcript:ORUFI12G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDKDKKRLERNIYQREYRAKKKAEKVETATGFGISPMFHKDIDLVGSVVCAVGSYILVILDQESRTLYLLDPNPLNPMYENNPTMRYTKKLLNVTNYFNRAMRVACPGSRWIDDIDLWRHIYVTNPVADSMLTS >ORUFI12G10390.1 pep chromosome:OR_W1943:12:10344059:10344514:1 gene:ORUFI12G10390 transcript:ORUFI12G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGLRASRRWGSVAARRLMRRRGSAAVDGGGVGGSAAVDGGDVGGSSRMTAVKDGGVDGSAAVDGEGMGRLVAVDGGGVGGSSRTAAVEDVGVGGSAAVDGGGIGLAALEGGGNRGRWRRRCDSRGRRRGRIDGVVAHVEGSGVGGSAAS >ORUFI12G10400.1 pep chromosome:OR_W1943:12:10375229:10375492:1 gene:ORUFI12G10400 transcript:ORUFI12G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARKVVLSMAAAALMILGEGGGGRCVSRGGERGGGRGWAWSGRTPCRPTAAEQSRKAMGQCWRVLTAISAGKVREEHVEEAGTFN >ORUFI12G10410.1 pep chromosome:OR_W1943:12:10381129:10389146:1 gene:ORUFI12G10410 transcript:ORUFI12G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGAGGGGGEGDSNGGGTSPGGVSAAAPAIGPHHLGVAAAEEAMWQMTLGGGESMESTPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPPNRKLAVAAARMNGEYPYRVGQPECQYYLKTGTCKFGATCKFHHPREKAALANRVQLNVLGYPMRPNEKECAYYLRTGQCKFASTCKFHHPQPSNTMVAVRNSMYSPGQSATSPGQHTYPGAVTNWTLSRSASFIASPRWPGHSGYAQVIVPQGLVQVPGWNPYAAQMGSSSPDDQQRTPVTTQYYGSRQSETGGMGDHGMYQSYQGGSVPVGVYTVQGENIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERLVPAPNCALNSLGLPLRPGEPVCTFYSRYGICKFGPNCKFDHPMGTLMYGSATSPRGDVSSMHYQLSPSPGHPGILLDGGSGRSHRVPQSDSQQIPSGDGNAEREAS >ORUFI12G10420.1 pep chromosome:OR_W1943:12:10390322:10402045:1 gene:ORUFI12G10420 transcript:ORUFI12G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLLRLPAPPPLLLHFPQRRVTLPQSRVSPTAPLLGARLVFSPASAVRPARARGSSIGGAGEDDSDGEVDGAPRLVGEDSAAFRLGDQRVASWVYFGGILAVVLWGLNVLWIDPSTGVGTRFLEAVAAVSDNHEVTMLLLTIIFAVVHSGMASLRETGEKIIGERAYRIMFAGISLPLAVSTVVYFINHRYDGIQLWQVQGISGIHELVWLSSFISFFFLYPSTFNLLEVAAVDKPKFHMWETGIMRITRHPQVIWCLAHTLWIGNSVAVAASVGLIGHHLFGVWNGDRRLASRYGEAFEVLKKRTSVIPFAAVIDGRQKLPKDYYREFIRRPATNSLGRRRIHGSDGGGCRRSRVPAGGEELRRRRGEVRLSGERYSDRSRERSGKGKKKLISRRRLPEPLAASSRAPAGPPGAAASSERSPAGAAPPTPPPPHARPTLALPRCCRLLAAAGEPGLPSAGAFSTAAAASSRAASGDLGSPSASSSSRAPARELWWVTALRSIDSRLRHREATIQSRRDGMGSQLKGALAAATIGRT >ORUFI12G10420.2 pep chromosome:OR_W1943:12:10390322:10402045:1 gene:ORUFI12G10420 transcript:ORUFI12G10420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLLRLPAPPPLLLHFPQRRVTLPQSRVSPTAPLLGARLVFSPASAVRPARARGSSIGGAGEDDSDGEVDGAPRLVGEDSAAFRLGDQRVASWVYFGGILAVVLWGLNVLWIDPSTGVGTRFLEAVAAVSDNHEVTMLLLTIIFAVVHSGMASLRETGEKIIGERAYRIMFAGISLPLAVSTVVYFINHRYDGIQLWQVQGISGIHELVWLSSFISFFFLYPSTFNLLEVAAVDKPKFHMWETGIMRITRHPQVIWCLAHTLWIGNSVAVAASVGLIGHHLFGVWNGDRRLASRYGEAFEVLKKRTSVIPFAAVIDGRQKLPKDYYREFIRRPATNSLGRRRIHGSDGGGCRRSRVPAGGEELRRRRGEVRLSGERYSDRSRERSGKGKKKLISRRRLPEPLAASSRAPAGPPGAAASSERSPAGAAPPTPPPPHARPTLALPRCCRLLAAAGEPGLPSAGAFSTAAAASSRAASGDLGSPSASSSSRAPARELWWVTALRSIDSRLRHREATIQSRRDGMGSQLKGALAAATIGRT >ORUFI12G10420.3 pep chromosome:OR_W1943:12:10390322:10402045:1 gene:ORUFI12G10420 transcript:ORUFI12G10420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLLRLPAPPPLLLHFPQRRVTLPQSRVSPTAPLLGARLVFSPASAVRPARARGSSIGGAGEDDSDGEVDGAPRLVGEDSAAFRLGDQRVASWVYFGGILAVVLWGLNVLWIDPSTGVGTRFLEAVAAVSDNHEVTMLLLTIIFAVVHSGMASLRETGEKIIGERAYRIMFAGISLPLAVSTVVYFINHRYDGIQLWQVQGISGIHELVWLSSFISFFFLYPSTFNLLEVAAVDKPKFHMWETGIMRITRHPQVIWCLAHTLWIGNSVAVAASVGLIGHHLFGVWNGDRRLASRYGEAFEVLKKRTSVIPFAAVIDGRQKLPKDYYREFIRDRSRERSGKGKKKLISRRRLPEPLAASSRAPAGPPGAAASSERSPAGAAPPTPPPPHARPTLALPRCCRLLAAAGEPGLPSAGAFSTAAAASSRAASGDLGSPSASSSSRAPARELWWVTALRSIDSRLRHREATIQSRRDGMGSQLKGALAAATIGRT >ORUFI12G10420.4 pep chromosome:OR_W1943:12:10390322:10402045:1 gene:ORUFI12G10420 transcript:ORUFI12G10420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLLRLPAPPPLLLHFPQRRVTLPQSRVSPTAPLLGARLVFSPASAVRPARARGSSIGGAGEDDSDGEVDGAPRLVGEDSAAFRLGDQRVASWVYFGGILAVVLWGLNVLWIDPSTGVGTRFLEAVAAVSDNHEVTMLLLTIIFAVVHSGMASLRETGEKIIGERAYRIMFAGISLPLAVSTVVYFINHRYDGIQLWQVQGISGIHELVWLSSFISFFFLYPSTFNLLEVAAVDKPKFHMWETGIMRITRHPQVIWCLAHTLWIGNSVAVAASVGLIGHHLFGVWNGDRRLASRYGEAFEVLKKRTSVIPFAAVIDGRQKLPKDYYREFIRRPATNSLGRRRIHGSDGGGCRRSRVPAGGEELRRRRGEVRLSGERYSDRSRERSGKGKKKLISRRRLPEPLAASSRAPAGPPGAAASSERSPAGAAPPTPPPPHARPTLALPRCCRLLAAAGEPGLPSAGAFSTAAAASSRAASGDLGSPSASSSSRAPARELWWVTALRSIDSRLRHREATIQSRRDGMGSQLKGALAAATIGRT >ORUFI12G10420.5 pep chromosome:OR_W1943:12:10390322:10402045:1 gene:ORUFI12G10420 transcript:ORUFI12G10420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLLRLPAPPPLLLHFPQRRVTLPQSRVSPTAPLLGARLVFSPASAVRPARARGSSIGGAGEDDSDGEVDGAPRLVGEDSAAFRLGDQRVASWVYFGGILAVVLWGLNVLWIDPSTGVGTRFLEAVAAVSDNHEVTMLLLTIIFAVVHSGMASLRETGEKIIGERAYRIMFAGISLPLAVSTVVYFINHRYDGIQLWQVQGISGIHELVWLSSFISFFFLYPSTFNLLEVAAVDKPKFHMWETGIMRITRHPQVIWCLAHTLWIGNSVAVAASVGLIGHHLFGVWNGDRRLASRYGEAFEVLKKRTSVIPFAAVIDGRQKLPKDYYREFIRRPATNSLGRRRIHGSDGGGCRRSRVPAGGEELRRRRGEVRLSGERYSDRSRERSGKGKKKLISRRRLPEPLAASSRAPAGPPGAAASSERSPAGAAPPTPPPPHARPTLALPRCCRLLAAAGEPGLPSAGAFSTAAAASSRAASGDLGSPSASSSSRAPARELWWVTALRSIDSRLRHREATIQSRRDGMGSQLKGALAAATIGRT >ORUFI12G10420.6 pep chromosome:OR_W1943:12:10390322:10400277:1 gene:ORUFI12G10420 transcript:ORUFI12G10420.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLLRLPAPPPLLLHFPQRRVTLPQSRVSPTAPLLGARLVFSPASAVRPARARGSSIGGAGEDDSDGEVDGAPRLVGEDSAAFRLGDQRVASWVYFGGILAVVLWGLNVLWIDPSTGVGTRFLEAVAAVSDNHEVTMLLLTIIFAVVHSGMASLRETGEKIIGERAYRIMFAGISLPLAVSTVVYFINHRYDGIQLWQVQGISGIHELVWLSSFISFFFLYPSTFNLLEVAAVDKPKFHMWETGIMRITRHPQVIWCLAHTLWIGNSVAVAASVGLIGHHLFGVWNGDRRLASRYGEAFEVLKKRTSVIPFAAVIDGRQKLPKDYYREFIRRPATNSLGRRRIHGSDGGGCRRSRVPAGGEELRRRRGEVRLSGERYSDRSRERSGKGKKKLISRRRLPEPLAASSRAPAGPPGAAASSERSPAGAAPPTPPPPHARPTLALPRCCRLLAAAGEPGLPSAGAFSTAAAASSRAASGDLGSPSASSSSRAPARELWWVTALRSIDSRLRHREATIQSRRDGMGSQLKGALAAATIGRT >ORUFI12G10420.7 pep chromosome:OR_W1943:12:10390322:10402045:1 gene:ORUFI12G10420 transcript:ORUFI12G10420.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLLRLPAPPPLLLHFPQRRVTLPQSRVSPTAPLLGARLVFSPASAVRPARARGSSIGGAGEDDSDGEVDGAPRLVGEDSAAFRLGDQRVASWVYFGGILAVVLWGLNVLWIDPSTGVGTRFLEAVAAVSDNHEVTMLLLTIIFAVVHSGMASLRETGEKIIGERAYRIMFAGISLPLAVSTVVYFINHRYDGIQLWQVQGISGIHELVWLSSFISFFFLYPSTFNLLEVAAVDKPKFHMWETGIMRITRHPQVIWCLAHTLWIGNSVAVAASVGLIGHHLFGVWNGDRRLASRYGEAFEVLKKRTSVIPFAAVIDGRQKLPKDYYREFIRRPATNSLGRRRIHGSDGGGCRRSRVPAGGEELRRRRGEVRLSGERYSDRSRERSGKGKKKLISRRRLPEPLAASSRAPAGPPGAAASSERSPAGAAPPTPPPPHARPTLALPRCCRLLAAAGEPGLPSAGAFSTAAAASSRAASGDLGSPSASSSSRAPARELWWVTALRSIDSRLRHREATIQSRRDGMGSQLKGALAAATIGRT >ORUFI12G10420.8 pep chromosome:OR_W1943:12:10390322:10400956:1 gene:ORUFI12G10420 transcript:ORUFI12G10420.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLLRLPAPPPLLLHFPQRRVTLPQSRVSPTAPLLGARLVFSPASAVRPARARGSSIGGAGEDDSDGEVDGAPRLVGEDSAAFRLGDQRVASWVYFGGILAVVLWGLNVLWIDPSTGVGTRFLEAVAAVSDNHEVTMLLLTIIFAVVHSGMASLRETGEKIIGERAYRIMFAGISLPLAVSTVVYFINHRYDGIQLWQVQGISGIHELVWLSSFISFFFLYPSTFNLLEVAAVDKPKFHMWETGIMRITRHPQVIWCLAHTLWIGNSVAVAASVGLIGHHLFGVWNGDRRLASRYGEAFEVLKKRTSVIPFAAVIDGRQKLPKDYYREFIRRPATNSLGRRRIHGSDGGGCRRSRVPAGGEELRRRRGEVRLSGERYSDRSRERSGKGKKKLISRRRLPEPLAASSRAPAGPPGAAASSERSPAGAAPPTPPPPHARPTLALPRCCRLLAAAGEPGLPSAGAFSTAAAASSRAASGDLGSPSASSSSRAPARELWWVTALRSIDSRLRHREATIQSRRDGMGSQLKGALAAATIGRT >ORUFI12G10430.1 pep chromosome:OR_W1943:12:10404198:10405639:-1 gene:ORUFI12G10430 transcript:ORUFI12G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDMIEDPGDTCIRVLKRMQADLVILVASRKAEKAPNGKEESHTEQKVCRCQPAHTLGPPLKRHIRSKSGRRVSSQLQPKEKEAVRSPCDVILQSTTVGYSAADKAAPRRPTTSLLALATAASSPSSAEKAEHCAEAWGRHGSCAGHVHSRQKRGSNSWQRRVQWIRIHVPGWMRRWVKPNWWLLGL >ORUFI12G10440.1 pep chromosome:OR_W1943:12:10416154:10416369:1 gene:ORUFI12G10440 transcript:ORUFI12G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKQGTTSAGAAAAAHRIVVVFLLLLLQLAFFHAFAYDPLNGNKAACNPNCPAPGGPYSHGCQNIYQCQH >ORUFI12G10450.1 pep chromosome:OR_W1943:12:10430951:10432334:-1 gene:ORUFI12G10450 transcript:ORUFI12G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLFPTESNVCSAGMWSAAISGISGVKFEIQYISGIAKGIFDAVLKGHIDFQSVLKISDSAKDLIEKKKLSHCSSERLKDHEVLRFYGQKDQLELALYILRNSVRLKSMKINPKPIIAEYLGEVALKFLCKEDRYNVVDVKEVGLSVIESAPDL >ORUFI12G10460.1 pep chromosome:OR_W1943:12:10437368:10446643:-1 gene:ORUFI12G10460 transcript:ORUFI12G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGSKKKTVDPFAKKDWYDIKAPSVFNVRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKIRLRAEDVQGKNVLTNFWGMSFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMVNQASSCDLKELVSKFIPEVIGKEIEKATSSIFPLQNVFVRKVKILKAPKFDLGKLMEVHGDYKEDVGTKLERPAEDEVVVGQEFFVLWWPQKLWLWLCLTQICRLSPLKMYILETYTPWDKSTDVLHVLLNYWYWVASVRFLGPSLPFSLGSGDYQLEQKGASMGLRSKQPKALAFRCYAASHRSLTLAVWSLAALVVVVNFHLLIIHKEDESTSTHEINRSIVSELEEVEEEKFRVSPPRSRRNPRAVRRKGEQKPPSVVDEFLDESSAVHDMFFPERNMAIDPINGGNDSMYFYYPGRVWLDTDGNPIQAHGGGVLYDEKTETYFWYGENKDGKTYKAHSKGADRISVRPYVIPNHDNLFQVDIVGVSCYSSKDLWTWRNEGVVLRGEKKNVTHDLHKSNVLERPKVIYNDRTGKYVMWMHIDDTNYTKASVGVAISDSPTGPFSYLYSKQPHDCESRDMTIFKDDNGKAYLIYSSEDNSELHIGQLTDDYLDVTDNMRRLLIAQHREAPALFKYEGTYYMITSGCTGWAPNTALAHAATAIMGPWETLGNPCVGGNDIFRSTTFFSQSTFVLPIPGLLGSFIFMADRWSPSELRDSRYVWLPLTVGGLPDEAADYSFMFPLWSRVSIYWHRRWRLPEGWRDS >ORUFI12G10470.1 pep chromosome:OR_W1943:12:10467125:10469784:1 gene:ORUFI12G10470 transcript:ORUFI12G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEVAATWYGGGRESMRGGSGEASGVARTAAAPLLQRQGARRGGEGCREHLHQGGDNMQRETAPPDPDIAACP >ORUFI12G10470.2 pep chromosome:OR_W1943:12:10467125:10469784:1 gene:ORUFI12G10470 transcript:ORUFI12G10470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEVAATWYGGGRESMRGGSGEASGVARTAAAPLLQRQGARRGGEGCREHLHQGGDNMQRETAPPDPDIAALLLSSLGRSWSCDIW >ORUFI12G10480.1 pep chromosome:OR_W1943:12:10472162:10472425:-1 gene:ORUFI12G10480 transcript:ORUFI12G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGETVLGRVGAMGRGARWGGDECARASIGSAAVPICATARASVDWRLLGIGGDLAEVNRRWRDGRGEMRASCVGAMESMASRRP >ORUFI12G10490.1 pep chromosome:OR_W1943:12:10476685:10477269:-1 gene:ORUFI12G10490 transcript:ORUFI12G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPLGRTSMGRQRIEIRRIDNKERRQVTFTKRRGGLFKKASELALLTGASVAVVVFSPAKHVYAFGHPSVDAVLRSYASVPGEAAAVAPVPVHGGSGGEDVDLLGLRLAADDTGAQVAAEHARMRDVAARIVQAKAGRRFWWEADVDALGEAELLEFFTALKKLRDNVGRHANALLAPQPPPLPLQQKQRRRR >ORUFI12G10500.1 pep chromosome:OR_W1943:12:10479231:10482386:1 gene:ORUFI12G10500 transcript:ORUFI12G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLKCCQVPPRVSFFASQGSDVAQDNVLGLYKQTVDAIMCILLHTKYHPLQSHVCCYSLLSGIHSSFLNLVGGGVRFPRHSFYSDYMLSLGKTELSGSRKSFSLADLRKFAKPQGNQNQGPMKYASIYNLAFLKQPRLESYLHSP >ORUFI12G10510.1 pep chromosome:OR_W1943:12:10483275:10486822:1 gene:ORUFI12G10510 transcript:ORUFI12G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAISESMDSSKVIFFNKACVEEKGKRGKFVGEEIDRLQWAPVVLVTLLIQATTNSVELNLALSIQIQRTGVRIANERRKTASKSLVPILYMAVMIVDIDQDLFRAPSWISRHARTSIQIDATSPISSVPPPTIRHRTQIVVEDSLPVVDDFIAAISDFFALDLRHWAPSTGIQIAVCSLRSGMSMLNSQIHVYLLFLLFAAVCSNHFFLGNGFTNCSS >ORUFI12G10530.1 pep chromosome:OR_W1943:12:10495707:10496006:-1 gene:ORUFI12G10530 transcript:ORUFI12G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLGRTSKGRQHIDNKERRQVTFTKRRGGLFKKASELALLAGASIAVVVFSETNLAYAFGDPSVDAVLSYGPVPGEDAEPAPVHSGGLGKDVDLEMLR >ORUFI12G10540.1 pep chromosome:OR_W1943:12:10497712:10506225:-1 gene:ORUFI12G10540 transcript:ORUFI12G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTTVWSTKIAISFCNEREWSSIERWSTRVSPPQAPTPTPPTRPKENLPYRLLRSLRHLAADQGRRRRSPSGEQSGEGAPSSCCILDSTAPPVRTPDPVSQATSNDSATQFASTVHVAPSPAPVPNAVPIQDAAGREAQSSIFSTSGLSSWAKNLKIPQPSSGQESPTGKNTFSRLTSGLGLRLSPKGPQQDESAEGSTTPTTAQSGVFGSFTKGIVDSSKNAVKAVQVKARHMVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDLSSGLFGYFEGFYRNHMEEVIRFFEMHHKGKYKVYNLCSERLYDASLFEGKVACFPFDDHNCPPIQLVISFCHSAYSWLKEDIENVVVVHCKAGKARTGLMISSLLLYLKFFPTAEESIEYYNQKRCVDGKGLILPSQIRYVKYFERILTYFNGENQPPRRCMLRGFRLHRCPYWIRPSITVSNHNGVLFTTKKHPRTKELMPEDFWFSAPKKGIMVFALPGEPGLTEVAGDFKIQFHDRQGDFYCWLNTTMMENRVTLNPTDLDDFDKRKLPSPGFQVEVVLVDYDGSQPPKPKPAAASTDQKSEADSSTGTVAKGNNASSAEVSNKESARNDKDDVFSDSEAEDGSSKGRREKVSRNVEGTTNAAKASETSSVQKEASAAASRIEKVSITSEQGSARTPDAAPLKSGVSSKSSSTTAPPPPPAAADSRMSEFKAIAADASVFSFGDEDDYESE >ORUFI12G10540.2 pep chromosome:OR_W1943:12:10497710:10506151:-1 gene:ORUFI12G10540 transcript:ORUFI12G10540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDLSSGLFGYFEGFYRNHMEEVIRFFEMHHKGKYKVYNLCSERLYDASLFEGKVACFPFDDHNCPPIQLVISFCHSAYSWLKEDIENVVVVHCKAGKARTGLMISSLLLYLKFFPTAEESIEYYNQKRCVDGKGLILPSQIRYVKYFERILTYFNGENQPPRRCMLRGFRLHRCPYWIRPSITVSNHNGVLFTTKKHPRTKELMPEDFWFSAPKKGIMVFALPGEPGLTEVAGDFKIQFHDRQGDFYCWLNTTMMENRVTLNPTDLDDFDKRKLPSPGFQVEVVLVDYDGSQPPKPKPAAASTDQKSEADSSTGTVAKGNNASSAEVSNKESARNDKDDVFSDSEAEDGSSKGRREKVSRNVEGTTNAAKASETSSVQKEASAAASRIEKVSITSEQGSARTPDAAPLKSGVSSKSSSTTAPPPPPAAADSRMSEFKAIAADASVFSFGDEDDYESE >ORUFI12G10550.1 pep chromosome:OR_W1943:12:10519784:10521163:1 gene:ORUFI12G10550 transcript:ORUFI12G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDPTADPSSAYFIDADHPYAAAAASALTSHRSRSKWSHLSSLAVPDPLPASAVAAVPLLLRRRPHVALSFHLFATRRLLPSGSPPPLLLSVSAAHVAAASRLRRAAISLLSSAARHYPHSQIFAALAATYRRFASAPFVFDLLLLAYLRSRRDALAAASVARRILAAGARPLPSTSAALLRSLPSAAAALDMYREIYTRPSSRINSQLLPTVHTFNSLLLAFYREGKCDVFKVVLQEMDRYSCVHNVCTYNIRMAAYCDSKEVEKARGLWDEMVEGGIQPDVPAYNTMISGYCGVGEVGMAEEMFKDMEMGGIDPSVTTFEWLVRGHCRVGDIDAAMLVRADMSRRGFQMAAEVVEEVVNVLCQKKRVKEALGVLKEEMRKEEFVPSRECYEVLIRELCEQGEVEVAMRLQAEMAGMGFKAGSEVYCAFVCAYEKAEDYEMAEKLRKELSVISIQDG >ORUFI12G10560.1 pep chromosome:OR_W1943:12:10524889:10527133:-1 gene:ORUFI12G10560 transcript:ORUFI12G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLPYMHFGPSNQLQAASHVMQPREIARLLLGFWSEWATQILEPRQLYRVYLEEDELPRGGFKGKEVDEEEFLMRRAHAVFLVCKSAMVDSSMYDPDRYFLRILAYLRENRVDLWTLMEMELSLMYDILYTKAAVIHTWTGYCIRIVSSLTVAASFLLFQLYGKEGQSSRADITITYVLLSSSLLMEMASLLSALWSTWTFSFLCATRWTSLRHAALCSKKWHCLRNMVLSFRRLACSTGIWSYLSLSRRWSGTLGQYNMLDACTARPPLLGKLVVRLVFSRLSKKLGFSRLAEMLGFGRLAEELSYNVVTADIPKGLKDMVIEYIKFMIKDRTVNTLGIFREQWGKVAIKRWLEDKQVDDEYKEYLEKRLGAELHEGIIVWHIATDIFIAQRKADDQDAVKEAVKALSNYMMFLLVKQPDMLPGLAQNKMYQWTKESLAKEWEEAGVPAYVSGLHPSQKLANMLHDKEVTQDLISNRLFFATQLAKRLLERDDTMKLVYGIWVDFLIYASNRCSRESHAKRLNNDGEFTTIVWLTAEHLYQLQIYDAQEKHH >ORUFI12G10570.1 pep chromosome:OR_W1943:12:10530748:10531489:1 gene:ORUFI12G10570 transcript:ORUFI12G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTVAAAAAIAVTSSSTAAAAAVAAAASSSAAAPATRSRVIDREFGMLLLARRSRKAVMVKAQASLLQKRGGAMAELAIPAHFQCPISLDLMRDLVMATMGITYDREGIEAWLDTGRAVCPITHAPLWLEDLVPNHAIRHVIQDWCVANRSHGVERIPTPKIPATPIQASEMLFNVAESARCGAAERATERSLLLVLLPSFYNC >ORUFI12G10580.1 pep chromosome:OR_W1943:12:10532287:10532727:-1 gene:ORUFI12G10580 transcript:ORUFI12G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLSMAILYIREEGIRARLVNKDMAPWWDPPAVEYTYQQTLKWSIFTSLTWINLSMN >ORUFI12G10590.1 pep chromosome:OR_W1943:12:10548237:10554242:-1 gene:ORUFI12G10590 transcript:ORUFI12G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWERRSRTSACGSIAALSRPSGPARYRIPGLRDRLLDKQKFLSSLNWQYHHTSRRRKGCSAGFVGIPASSPPHLNQDLAYIFRQEVYGEAVVRSQVPPLIGNGCKHRQIRFTEKFFLGDTVVHKATLELEKKSGIARALQGRTSEKELLSLSLVPILFGLGNYKKLIRKGKQLILHGLNEESEAVRLIKRRLLYLGPETIEEGSLLVLKVSPHSVSKEDHFEPHTTVGNFFFFGKKTITIAVTNHMLMKKTHWPRRSA >ORUFI12G10590.2 pep chromosome:OR_W1943:12:10548237:10552004:-1 gene:ORUFI12G10590 transcript:ORUFI12G10590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAIYESMIIYPCYGYNVLHLNQDLAYIFRQEVYGEAVVRSQVPPLIGNGCKHRQIRFTEKFFLGDTVVHKATLELEKKSGIARALQGRTSEKELLSLSLVPILFGLGNYKKLIRKGKQLILHGLNEESEAVRLIKRRLLYLGPETIEEGSLLVLKVSPHSVSKEDHFEPHTTVGNFFFFGKKTITIAVTNHMLMKKTHWPRRSA >ORUFI12G10600.1 pep chromosome:OR_W1943:12:10553070:10553399:1 gene:ORUFI12G10600 transcript:ORUFI12G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVHHRRQGIEIRPEGSSLAVDLSSVNTDREADWALDHCHRREVIPGEAAACGGDRASKRVEAIDGGGDGEAKGDARRRGLRRGGGVGGVGGEVKGVGGATVKIGGGA >ORUFI12G10610.1 pep chromosome:OR_W1943:12:10558747:10559591:1 gene:ORUFI12G10610 transcript:ORUFI12G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAASLVYSRDGWLLFLSLPGEKKRDCQMVLMNPFSGETFTMPPAPLGLRCGRPKASFTYWSSYSVYLRGPYLVEFEGRVLSVCAPKPFEPDGGGKFFVGELTVGENRAALVELDDSELSNKSWFLGPVQSFRARIKGHRVYNFAYKPQWDGEDIEKNHHYHVHYHDLLKKKFRYLTVDEFSSGHSWVDLGGVLVTRYPKTQA >ORUFI12G10620.1 pep chromosome:OR_W1943:12:10562445:10565966:-1 gene:ORUFI12G10620 transcript:ORUFI12G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASHHLAQPPTRAALSSRPTYPLSSHHHSSRLQLPLVSGARRSRLSPAVATSPVAAPAMDAVADWGLTTLEEADPEVYDLVEREKRRQRAGVELIASENFTSLAVMEALGSPLTNKYSEGMPGSRYYGGNEVIDEVEELCRARALAAFHLDPEAWGVNVQPYSGSPANFAAYTGLLQPHERIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSSETGYVDYDKLEEKAMDFRPKLIICGGSAYPRDWDYARFRAIADKCGAMLLCDMAHISGLVAAQEAANPFQYSDVVTTTTHKSLRGPRSGMIFYRKGPKPPKKGQPEGALYDYEDRINFAVFPSLQGGPHNHQIAALAVGLKQTMSPGFKSYIKQVKANAVALGNHLMSKGYKLVTDGTENHLVLWDLRPLGLTGNKVEKVCDLCSITLNKNAVFGDSSAMSPGGVRIGTPAMTSRGLVEEDFVQIAEFLHQAVTICLDVQKERGKLLKYFNEGLENNKDIEDLRAEVEKFATSFEMPGFRVSDMKYKD >ORUFI12G10630.1 pep chromosome:OR_W1943:12:10586365:10588022:-1 gene:ORUFI12G10630 transcript:ORUFI12G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLSLLIVGCETVEMHAHGNGTITVATKCDMDAGPKQREAASDGRGEDEGALRSRFGTWDSWWSSVPGHPMTAHDRVAPGTRWFLGRNSVEQRGDDPSVHEQQKNMGRWYMQRRTTMTCFVICKWNHDHVHTQDDKLGRKKMMKEVDVGQPSSLRLRRWHRLEVPRQWSVPSTIASSNNSRTMAYKRSGRASMLQHGVAGAVVWWLNKEEGKRGAAAIREIV >ORUFI12G10640.1 pep chromosome:OR_W1943:12:10597082:10604122:1 gene:ORUFI12G10640 transcript:ORUFI12G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTPDTAHNSLSNDRSATPEPPVGSGLNHAGSEMFQPTERKGRLKGSAPHDTLSNAGTAASAGMGTSVLVPVKATQFESGSAITHTKDIPRPAGDISNAIISTGTLIPQKVSQVKLVKDISQQTNTQKLVGSTSNTGDRNDPMISAKVPQLQLVRDTTLQMVTGKPASIATSASHGTAVPISRQVPWVKLVKDVTPQMLTSRLGSAAVKVDYRTAVAIPQKLSQLKLVKDITPHTATQKPATIAAKAIHQKKRKANSDPGESPLARHKPTINDVPPSPFERSSVSSIPHHFSKAMLTDNLRSLTKLHLADELPRTLTTSTKNDDMRFLTRSELMENLRFLAKNHNFSNVATNDGN >ORUFI12G10640.2 pep chromosome:OR_W1943:12:10597156:10604122:1 gene:ORUFI12G10640 transcript:ORUFI12G10640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTPDTAHNSLSNDRSATPEPPVGSGLNHAGSEMFQPTERKGRLKGSAPHDTLSNAGTAASAGMGTSVLVPVKATQFESGSAITHTKDIPRPAGDISNAIISTGTLIPQKVSQVKLVKDISQQTNTQKLVGSTSNTGDRNDPMISAKVPQLQLVRDTTLQMVTGKPASIATSASHGTAVPISRQVPWVKLVKDVTPQMLTSRLGSAAVKVDYRTAVAIPQKLSQLKLVKDITPHTATQKPATIAAKAIHQKKRKANSDPGESPLARHKPTINDVPPSPFERSSVSSIPHHFSKAMLTDNLRSLTKLHLADELPRTLTTSTKNDDMRFLTRSELMENLRFLAKNHNFSNVATNDGN >ORUFI12G10640.3 pep chromosome:OR_W1943:12:10597082:10603013:1 gene:ORUFI12G10640 transcript:ORUFI12G10640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTPDTAHNSLSNDRSATPEPPVGSGLNHAGSEMFQPTERKGRLKGSAPHDTLSNAGTAASAGMGTSVLVPVKATQFESGSAITHTKDIPRPAGDISNAIISTGTLIPQKVSQVKLVKDISQQTNTQKLVGSTSNTGDRNDPMISAKVPQLQLVRDTTLQMVTGKPASIATSASHGTAVPISRQVPWVKLVKDVTPQMLTSRLGSAAVKVDYRTAVAIPQKLSQLKLVKDITPHTATQKPATIAAKAIHQKKRKANSDPGESPLARHKPTINDVPPSPFERSSVSSIPHHFSKAMLTDNLRSLTKLHLADELPRTLTTSTKNDDMRFLTRSELMENLRFLAKNHNFSNVATNDGGCSRQPSQHPQAFAKLIQAQKLIMPCKI >ORUFI12G10640.4 pep chromosome:OR_W1943:12:10599365:10603011:1 gene:ORUFI12G10640 transcript:ORUFI12G10640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTPDTAHNSLSNDRSATPEPPVGSGLNHAGSEMFQPTERKGRLKGSAPHDTLSNAGTAASAGMGTSVLVPVKATQFESGSAITHTKDIPRPAGDISNAIISTGTLIPQKVSQVKLVKDISQQTNTQKLVGSTSNTGDRNDPMISAKVPQLQLVRDTTLQMVTGKPASIATSASHGTAVPISRQVPWVKLVKDVTPQMLTSRLGSAAVKVDYRTAVAIPQKLSQLKLVKDITPHTATQKPATIAAKAIHQKKRKANSDPGESPLARHKPTINDVPPSPFERSSVSSIPHHFSKAMLTDNLRSLTKLHLADELPRTLTTSTKNDDMRFLTRSELMENLRFLAKNHNFSNVATNDGGCSRQPSQHPQAFAKLIQAQKLIMPCKI >ORUFI12G10640.5 pep chromosome:OR_W1943:12:10597156:10600998:1 gene:ORUFI12G10640 transcript:ORUFI12G10640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTPDTAHNSLSNDRSATPEPPVGSGLNHAGSEMFQPTERKGRLKGSAPHDTLSNAGTAASAGMGTSVLVPVKATQFESGSAITHTKDIPRPAGDISNAIISTGTLIPQKVSQVKLVKDISQQTNTQKLVGSTSNTGDRNDPMISAKVPQLQLVRDTTLQMVTGKPASIATSASHGTAVPISRQVPWVKLVKDVTPQMLTSRLGSAAVKVDYRTAVAIPQKLSQLKLVKDITPHTATQKPATIAAKAIHQKKRKANSDPGESPLARHKPTINDVPPSPFERSSVSSIPHHFSKAMLTDNLRSLTKLHLADELPRTLTTSTKNDDMRFLTRSELMENLRFLAKNHNFSNVATNDGN >ORUFI12G10650.1 pep chromosome:OR_W1943:12:10601867:10602103:-1 gene:ORUFI12G10650 transcript:ORUFI12G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKACADPNSTQLLKTANALGASSSKALSITKVREQGTMVRVEALKNRVTDEWHGALVQSRVWAFEPAYDGISEPKCA >ORUFI12G10660.1 pep chromosome:OR_W1943:12:10604652:10616881:-1 gene:ORUFI12G10660 transcript:ORUFI12G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLWPNGGSTGSSVDVSLTSGRRRPTSAGSGSGSGGGGRAEVYFADVEEVRPAPADACREDPAVYLTWEDVCVTASGAGSRATPARILEGISGHARPGEVLAIMGPSGCGKTTLLDALAGRLGPGMSKTGLILINGRQEKLAFGTSAYVTQDNVLMSTLSVREAIYYSAHLQLPDTMLVSEKRTHAEHVIQEMGLDDIMDTRIGGRITKGISGGQRKRVSICVEMLTRPRLLFLDEPTSGLDSAASYHVMSHITSVAAGEGMTVVAAVHQPGEVFELFHSLCLLAHGRTVFLGTVSDATEFFNLNGFPCPCLRNPSEHFLGTINKDFDEEIVEDSRYRRKTAAKAIDTLTNAYQSSAYSEKTTNQIIQMKEMGGAPFRTRERASFCTKLLALTKRSFVNMHRDMGYYWMRFAVFTVACTCVGTVFHHIDNSYNSIQTRCNVIMYMTIFLTFMAIGGFPSFAEDIKVFRRERLSGHYGVTEFVISNTLSATPYLAVMIIIPGAILYYLTGLTRGGSNIAYFVVTLYMCIVLVESIMMVIAAVVPDFLMGIVVGSGVQALMMTNGGFFRLPNQLPKPVWKYPCYYISFHKYAVQGFYKNEFVGQTFASDQLIMANATITNHQVLEALQVEMWYSKWVNLAILFGMAVIYRMMFFAIVKVAEGIRPKMRRMKCSLI >ORUFI12G10670.1 pep chromosome:OR_W1943:12:10611169:10612686:1 gene:ORUFI12G10670 transcript:ORUFI12G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMRSSGYYDFLKDPDLAHPFGEAATQGDFSQPSEDFPYAHGQFPLFSTQPPTTVAVNGGRTAATRSRVRQRVQANPAGQDDGRGRMYYTRDEDLRLVSAGLENSTDVIEGNSRKGDTYWKQVAATYNSTIEEERKRDPKHPARRFLHLPLRLQEPAAELHAMAQLGKMEWKKTRRGREKWRGRKREEEDEESRGRNREKKKKRLVGEQAGLMAEAVAVG >ORUFI12G10680.1 pep chromosome:OR_W1943:12:10621024:10621754:-1 gene:ORUFI12G10680 transcript:ORUFI12G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAFPPTTFFQGSWGSEIESNHFFIAGEGGAVEAIHMLQHIRRPSICWEPQRRLWWERTPHIRSSTLPVASGGVLMAGTSHCLSAISLQLKRYDIGRHYW >ORUFI12G10680.2 pep chromosome:OR_W1943:12:10621024:10621754:-1 gene:ORUFI12G10680 transcript:ORUFI12G10680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAFPPTTFFQGSWGSEIESNHFFIAGEGGAVEAIHMLQHIRRPSICWEPQRRLWWERTPHIRSSTDIGRHYW >ORUFI12G10690.1 pep chromosome:OR_W1943:12:10633422:10637178:-1 gene:ORUFI12G10690 transcript:ORUFI12G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHRRSPLHRRPRFAAVTHKSWPPAPHCDAAPRLSTAPPPYGDPPLPPPKPSRPYSLLDPPVARSPPGR >ORUFI12G10700.1 pep chromosome:OR_W1943:12:10713939:10731052:-1 gene:ORUFI12G10700 transcript:ORUFI12G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPLPRWAPTPSPSRPLWRWGWGGGATPDARADGGVTGWTSSFFAAVFPWTRRRRVDDRWAPSAGGGVGTFDGVEVPLPAAQAVSLPRAAADVVDDPAVFLTWEDVRVTVPGRTRGSPPARILDGITGHARPGEVLAIMGPSGCGKTTLLDTLAEPAMRACEVAVVDGWDLPKMTMDRDIATTTTSLSLPRICSHATAARCCHDCCIMDSCPLPGLRRRPSPSPTPFAYGSGQGETGGLLHLWIWWEGSSNRCCRVCCRCCGEEGSPSLRGRLGPEMNQTGVILINGRQEKLAFGTSAYVTQDNVLMSTLSVREAVYYSAHLQLPDTMLASEKRAHAERVIREMGLSDTMDTRIGGRITKGISGGQRKRMSICIEMLTRPRLLFLDEPTSGLDSAASYHVMSHITRVAAREGMTVIAAVHQPSGDVFDLFHGLLLLAYGRMVFFGTVSNATEFFTQSGFPCPHLRNPSDHFLRTINKDFDEGTMESSKANRKTAAEATNILTNAYHSTYSEKTANEIGGTPFRRKEQASFLTKLLVLTRRSFLNMHRDIGYYWMRLGIYLGIGICLGTIFYQVGYSYSSIQSRCEVIMYTTALVTFMAIGGFPSFVEDIKLFRRERLSGHYGVMEFVISNTLSATPYLAVIAVIPGAMMYYLTGLTRGAEHFAYFVATLCMCTLLVESMMMIIAVIVPDFLMGIIIGAGIQGMMMLNGGFFRLPNELPKPVWKYPCYYISFHKYAVQGFYKNEFIGLSFPSDQLIEANATISGLQVLKERLQVEMGYSKWVNLAILFGMMVTYRMIFFVIVKIAEELRLKLRGIRFRRLK >ORUFI12G10700.2 pep chromosome:OR_W1943:12:10713939:10731052:-1 gene:ORUFI12G10700 transcript:ORUFI12G10700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPLPRWAPTPSPSRPLWRWGWGGGATPDARADGGVTGWTSSFFAAVFPWTRRRRVDDRWAPSAGGGVGTFDGVEVPLPAAQAVSLPRAAADVVDDPAVFLTWEDVRVTVPGRTRGSPPARILDGITGHARPGEVLAIMGPSGCGKTTLLDTLAEPAMRACEVAVVDGWDLPKMTMDRDIATTTTSLSLPRICSHATAARCCHDCCIMDSCPLPGLRRRPSPSPTPFAYGSGQGETGGLLHLWIWWEGSSNRCCRVCCRCCGEEGSPSLRGRLGPEMNQTGVILINGRQEKLAFGTSAYVTQDNVLMSTLSVREAVYYSAHLQLPDTMLASEKRAHAERVIREMGLSDTMDTRIGGRITKGISGGQRKRMSICIEMLTRPRLLFLDEPTSGLDSAASYHVMSHITRVAAREGMTVIAAVHQPSGDVFDLFHGLLLLAYGRMVFFGTVSNATEFFTQSGFPCPHLRNPSDHFLRTINKDFDEGGTPFRRKEQASFLTKLLVLTRRSFLNMHRDIGYYWMRLGIYLGIGICLGTIFYQVGYSYSSIQSRCEVIMYTTALVTFMAIGGFPSFVEDIKLFRRERLSGHYGVMEFVISNTLSATPYLAVIAVIPGAMMYYLTGLTRGAEHFAYFVATLCMCTLLVESMMMIIAVIVPDFLMGIIIGAGIQGMMMLNGGFFRLPNELPKPVWKYPCYYISFHKYAVQGFYKNEFIGLSFPSDQLIEANATISGLQVLKERLQVEMGYSKWVNLAILFGMMVTYRMIFFVIVKIAEELRLKLRGIRFRRLK >ORUFI12G10700.3 pep chromosome:OR_W1943:12:10713939:10731052:-1 gene:ORUFI12G10700 transcript:ORUFI12G10700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPLPRWAPTPSPSRPLWRWGWGGGATPDARADGGVTGWTSSFFAAVFPWTRRRRVDDRWAPSAGGGVGTFDGVEVPLPAAQAVSLPRAAADVVDDPAVFLTWEDVRVTVPGRTRGSPPARILDGITGHARPGEVLAIMGPSGCGKTTLLDTLAGRLGPEMNQTGVILINGRQEKLAFGTSAYVTQDNVLMSTLSVREAVYYSAHLQLPDTMLASEKRAHAERVIREMGLSDTMDTRIGGRITKGISGGQRKRMSICIEMLTRPRLLFLDEPTSGLDSAASYHVMSHITRVAAREGMTVIAAVHQPSGDVFDLFHGLLLLAYGRMVFFGTVSNATEFFTQSGFPCPHLRNPSDHFLRTINKDFDEGTMESSKANRKTAAEATNILTNAYHSTYSEKTANEIGGTPFRRKEQASFLTKLLVLTRRSFLNMHRDIGYYWMRLGIYLGIGICLGTIFYQVGYSYSSIQSRCEVIMYTTALVTFMAIGGFPSFVEDIKLFRRERLSGHYGVMEFVISNTLSATPYLAVIAVIPGAMMYYLTGLTRGAEHFAYFVATLCMCTLLVESMMMIIAVIVPDFLMGIIIGAGIQGMMMLNGGFFRLPNELPKPVWKYPCYYISFHKYAVQGFYKNEFIGLSFPSDQLIEANATISGLQVLKERLQVEMGYSKWVNLAILFGMMVTYRMIFFVIVKIAEELRLKLRGIRFRRLK >ORUFI12G10710.1 pep chromosome:OR_W1943:12:10757346:10757956:-1 gene:ORUFI12G10710 transcript:ORUFI12G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSIENLLHIINPLQHSGRSTSFPHWQTSSEHAPSHSIRLSIAITPMKAQPQTAAPRILATFPPLDTIRSALLDITNRLNAPLDALVENCVPIWGRIEEVQNLLPDEVINDLAPTAYLETYRIKVFRAR >ORUFI12G10720.1 pep chromosome:OR_W1943:12:10803937:10804467:-1 gene:ORUFI12G10720 transcript:ORUFI12G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAGDGDGGE >ORUFI12G10730.1 pep chromosome:OR_W1943:12:10878838:10881754:-1 gene:ORUFI12G10730 transcript:ORUFI12G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSTSFSSSSSHEGDAGSSYIECFKYSRRATLRSVVGRPDGGAGLVGERAVVGGWVRSSAVVRARRAAAGPASPSRKPEVEATGLTCTEGATHAGLMAGGIPAAASAGGSARRPAVGTALVRINDGSCVADLQIVVDSALLPLDQITATGACVLVEGKIEQVEGTLPQYVVQMKVEKILHIGPVDSEKYPRSNAHSSPDLVRGYPHLAARTATVASTARVRSELVHAVHAFFQSNGFFHVNTPTITTTTATSAGNRGKMLRLTRLFSKSDNGNRITPEAVRAAIKEKTKQVEALKRSESNREALEAAEQDLQRANALSRQLEQGASAESSQDEFFHRPAYLTPCHTLHLETYACALSSVYTFSPVFQAESESLDSDRSLAERWTVDVELAFAELEDAISCAEDCVKSLCSTVSKDCSDELKFLSSNQAGDATSSVIEAAVSSPWQKIKYTEAVNTLLQVTDKTFESKLELGMPLSREHLSYLADDLYKKPVIIYDYPKQLKPFYARLKEDLKTVSAFDLVVPKVGIVACGAQKEERMDNLTSRIEESGLQVEQLEWYLDTRRHGTVKHSGFSIDLESLILFVTGLKDVRDAIPFHRTKGHAKC >ORUFI12G10740.1 pep chromosome:OR_W1943:12:10896703:10900684:1 gene:ORUFI12G10740 transcript:ORUFI12G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLFSLAPSPRLLLPLRRLDPKPLLRPKPLLLLSTAMGFSARAQPSSWRSAGRPPPQQQWSCATCTLDNPGHSRACDACGNSRPVEVDGDAVAKAQTPTLPTMSTPPARASTSSGCGAGRPPTERKWSCAACTLDNPGHSRACEACGNSRPMEVVAVDDDDEDALDLGALAGASFLPLQRRSMKRERAASPEVVGVCADEGDGAKGGEDKPAKKKACAEIILDKKTFKIMTYNVWFHEDLELCRRMDALGDLIKNHNPDLICFQEVTPNIYLLLQKSDWWQEYRCSLSNSMAMQRKYYCMQMSKLPVESFDCTPFSNSIMGRELCVAHVKTGGAVKLVLATSHLESPMPGPPTWDQMYSTERVAQANKSLKILGSFRNVIFCGDMNWDDKGDGPFPLPAGWTDAWIELKPGEDGWTYDTKANSMLSANRKLQKRLDRFVCKLADFKINNIQMIGKNAIPGLSYVKEKKVRKEVRKLELPVLPSDHFGLVLSITLES >ORUFI12G10750.1 pep chromosome:OR_W1943:12:10902914:10904326:1 gene:ORUFI12G10750 transcript:ORUFI12G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLHLLLELGFRFNPSPEEVVTYYLPRLIAGHPPKDTESCIHRANVYGAEPRELAAQFAPVARSSNGDRFFLTECKRIKGKVSRVAGGGSWVSQTSKDIKNREGIKVGEAKNFRFKKDGTNTDWLMEEYHLCLRQASDLEPVLCRVYVSPRAAKDSAAHQESAALTPQEPAPPLAHAPAPAPIQEPAALPRQELAPAPPRLEAVITQQQATMKMGGSVPASKATRQSCVTASAPPPRRVAPQPAPPSLRTAPAAVAPPRQVPVITQQQAPPLKRPAPPVPSPPCAKKIRGPVSASPAARQSCVAASAPPPWCVPPPPRPAPPSRRVMAPLPPYPMDPFETPPSPHAPRHDPFEPPPSPDPPIQSYAIDPPIQSYAMDPFEQPPSPYAPHGVDDMDEFTRSLEAQLEEADGDEIAAATVAPPMAQNVAPDDDMDEFTRSLEAQLEEADGDDKIDDDEIDEEIFQIPLKD >ORUFI12G10760.1 pep chromosome:OR_W1943:12:10907046:10907390:1 gene:ORUFI12G10760 transcript:ORUFI12G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGGDGAHRRAREMGLRRDSGEEEGNAEERCYGWPWRSLGWLRAAANGDQRRRMRRRHRVRGGGGDLVVDWGNGGKTGVRRGVAMLVVQMARHGDGGSGGGGRQMVAGERR >ORUFI12G10770.1 pep chromosome:OR_W1943:12:10908689:10909099:-1 gene:ORUFI12G10770 transcript:ORUFI12G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTAKKSTAGNVPRKLLVMKVARKSAPMMAGLKKPHRFNPWIVALREIRTYQKSTELLIRKLPFQRLVQEITQDIRSYVRFQSSAMAVLQEAAETYLVGLFEDTNLCVIHAKRVTIMPKDIQLARCIGGEKA >ORUFI12G10780.1 pep chromosome:OR_W1943:12:10923885:10924295:-1 gene:ORUFI12G10780 transcript:ORUFI12G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTAKKSTASNVPRKLLVMKVARKSAPTMAGLKKPHRFKPGTVALREIRTYQKSTELLIRKLPFQRLVQEIAQDVRSYVRFQSSAVVALQEAAKTYLVGLFKDTNLCVIHAKRVTIMPKDIQLARRIRGEKA >ORUFI12G10790.1 pep chromosome:OR_W1943:12:10965518:10972777:1 gene:ORUFI12G10790 transcript:ORUFI12G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKAENIESWHPAGGQLEVALLQIMQRHHHESLRQRKQTERAKMDAVRSAARVADLLVATVDSGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINCLEDTEVTRPRNAYPEIGDFENWMKIMDFDCKISCRTVKGSGSSSRKKMETADDHEYCYYYYEEQASSVAVGALTMANTGLGFPSLLPFPSSTHRAGPAVWSCSGADADADHVLISGSGLGLGLGLGSFGFGSALSLSCSGGGMARTQEGSWMPERRGEERRRRGLHVHQLELEKTNLLPGTLYPLQERLERGRAEQRAVGQGLKARPGGGSIGGGRAPAGEWARAAAGAREGGGGGAAAQAQVPRGRGGRRARQAQDADLLPCSLKSTGREIPDNLFNGSNFLKFLKIYCFIQHDGHYNSVLCCSPHSTHKPV >ORUFI12G10790.2 pep chromosome:OR_W1943:12:10965506:10972777:1 gene:ORUFI12G10790 transcript:ORUFI12G10790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHHHESLRQRKQTERAKMDAVRSAARVADLLVATVDSGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINCLEVSCRTVKGSGSSSRKKMETADDHEYCYYYYEEQASSVAVGALTMANTGPGKNHLLKRSGKIPREERRRNEHTDATAGVACGATWRRRQRRRHGSLLRPPPSLRLHVHQLELEKTNLLPGTLYPLQEIDILLFLEIDW >ORUFI12G10790.3 pep chromosome:OR_W1943:12:10965506:10972777:1 gene:ORUFI12G10790 transcript:ORUFI12G10790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHHHESLRQRKQTERAKMDAVRSAARVADLLVATVDSGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINCLEGNWRF >ORUFI12G10790.4 pep chromosome:OR_W1943:12:10965746:10972777:1 gene:ORUFI12G10790 transcript:ORUFI12G10790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKAENIESWHPAGGQLEVALLQIMQRHHHESLRQRKQTERAKMDAVRSAARVADLLVATVDSGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINCLEDTEVTRPRNAYPEIGDFENWMKIMDFDSCLSDGLQADAFRTMHYDRRSKYDAIVVTGDARFTRLHVHQLELEKTNLLPGTLYPLQERLERGRAEQRAVGQGLKARPGGGSIGGGRAPAGEWARAAAGAREGGGGGAAAQAQVPRGRGGRRARQAQDADLLPCSLKSTGREIPDNLFNGSNFLKFLKIYCFIQHDGHYNSVLCCSPHSTHKPV >ORUFI12G10790.5 pep chromosome:OR_W1943:12:10965518:10972777:1 gene:ORUFI12G10790 transcript:ORUFI12G10790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKAENIESWHPAGGQLEVALLQIMQRHHHESLRQRKQTERAKMDAVRSAARVADLLVATVDSGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINCLEVSCRTVKGSGSSSRKKMETADDHEYCYYYYEEQASSVAVGALTMANTGLGFPSLLPFPSSTHRAGPAVWSCSGADADADHVLISGSGLGLGLGLGSFGFGSALSLSCSGGGMARTQEGSWMPERRGEERRRRGLHVHQLELEKTNLLPGTLYPLQERLERGRAEQRAVGQGLKARPGGGSIGGGRAPAGEWARAAAGAREGGGGGAAAQAQVPRGRGGRRARQAQDADLLPCSLKSTGREIPDNLFNGSNFLKFLKIYCFIQHDGHYNSVLCCSPHSTHKPV >ORUFI12G10790.6 pep chromosome:OR_W1943:12:10965518:10972690:1 gene:ORUFI12G10790 transcript:ORUFI12G10790.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKAENIESWHPAGGQLEVALLQIMQRHHHESLRQRKQTERAKMDAVRSAARVADLLVATVDSGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINCLEDTEVTRPRNAYPEIGDFENWMKIMDFDCKISCRTVKGSGSSSRKKMETADDHEYCYYYYEEQASSVAVGALTMANTGLGFPSLLPFPSSTHRAGPAVWSCSGADADADHVLISGSGLGLGLGLGSFGFGSALSLSCSGGGMARTQEGSWMPERRGEERRRRGFIASYSMMGIIIVSYAAHLIPLINLFSL >ORUFI12G10790.7 pep chromosome:OR_W1943:12:10965506:10972777:1 gene:ORUFI12G10790 transcript:ORUFI12G10790.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHHHESLRQRKQTERAKMDAVRSAARVADLLVATVDSGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINCLEGNWRF >ORUFI12G10790.8 pep chromosome:OR_W1943:12:10965518:10972054:1 gene:ORUFI12G10790 transcript:ORUFI12G10790.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKAENIESWHPAGGQLEVALLQIMQRHHHESLRQRKQTERAKMDAVRSAARVADLLVATVDSGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINCLEDTEVTRPRNAYPEIGDFENWMKIMDFDCKISCRTVKGSGSSSRKKMETADDHEYCYYYYEEQASSVAVGALTMANTGTNSCIGTLLLMMMIDGALCCS >ORUFI12G10800.1 pep chromosome:OR_W1943:12:10968867:10969061:-1 gene:ORUFI12G10800 transcript:ORUFI12G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEQDKDKALPKPKLPKPKPKPKPEPEMSTWSASASAPEHDQTAGPYGTVRRRREREKRRKP >ORUFI12G10810.1 pep chromosome:OR_W1943:12:10973421:10974404:-1 gene:ORUFI12G10810 transcript:ORUFI12G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLHDWECRFTPRKLASLNKWSSRAGLSPMELQSCAGEVTDEVTAIAWGGRIRYLHLAFGGSSCWQYVVGLREGNKNVGAIVRGFHDGVAMGVFSLVDSREAAEAPTASHGDGLESQCGGSHAAVQGEHNLEPWAEACVCSIAF >ORUFI12G10820.1 pep chromosome:OR_W1943:12:10982274:10982573:1 gene:ORUFI12G10820 transcript:ORUFI12G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSNGAGQETARSRSGASSLPTDIGDGKGEDGGGEVMAVLGRTEEDPAGEWIWRRLGATNPSPPAALGGSNAWEGRRGADPPMAIHTHPPSSSLTV >ORUFI12G10830.1 pep chromosome:OR_W1943:12:10983612:10984756:1 gene:ORUFI12G10830 transcript:ORUFI12G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGRRRHIPAFGEWNQQCEELPITQYFDSAMEQGLVVTAGHYYHATAGELLFKVRSTKNGMENQAARRRDGPSVVVDGGVVVHGSRRPRVVRAVDEDLYKVPSELLRKKAKGRKHVRSLWMGCVGLNCVA >ORUFI12G10840.1 pep chromosome:OR_W1943:12:11003277:11003663:1 gene:ORUFI12G10840 transcript:ORUFI12G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSLSLGALPLLSSSRAARRLRRPAAAGAAQQPAWVRRLTAGAARRPAWVRRLTAGAVRQYPPFPPHPYLPFSSSMRKVAVVVEDGWISPSPSLPGDLPPPARSWVAAAGAEVSAVRRRHRGGHG >ORUFI12G10850.1 pep chromosome:OR_W1943:12:11010906:11011322:-1 gene:ORUFI12G10850 transcript:ORUFI12G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLLPQTSGVFRRCSRLGVIRRRARLGVVCRRALLGVVAARLGVVHSRARLQGPPPPRSSATAPASGVVRHVAVEACLRVAAGQPPDLAVGEGVMVIVPVGSGEEGSRGRRLWKDEREPWSPPCRLWKGNGWCDRV >ORUFI12G10860.1 pep chromosome:OR_W1943:12:11017933:11018776:1 gene:ORUFI12G10860 transcript:ORUFI12G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQSAMYIGAEIPATSAGDRLGRHTGTGSAPMYLALLCITSAPITYFINRPFQKFIPGIDPFVGTSDHGTEVQCLGVNDIGTDTCTTLAVDWCQ >ORUFI12G10870.1 pep chromosome:OR_W1943:12:11020522:11020875:1 gene:ORUFI12G10870 transcript:ORUFI12G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVDLVAARGVVGESVAGKDVGVGSTAWRGAGSRQRRRRAVAVEEAYLCGAEVQCLGTNDTDSNTSTTLEAEM >ORUFI12G10880.1 pep chromosome:OR_W1943:12:11022463:11024023:1 gene:ORUFI12G10880 transcript:ORUFI12G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKGRRRHVVPAFGEWNYYYHHQQYDDENHHQAPPEVMRSAPAVAVAAAAADDEWYAYGGGAAAEECSDVWFRYSPPPRRPTPKKARRPEGRVAPEKAAPYDDGGGKGRQQQQAARAARAYHSGGVAVARTPARGGATCRVVKRPVDADLYQVPPPEFVSRRPRRKRAMSSLWMGCLGLNCVA >ORUFI12G10890.1 pep chromosome:OR_W1943:12:11046373:11048530:1 gene:ORUFI12G10890 transcript:ORUFI12G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSIHAATSSIAILRRRRLFHRAAPCPNPPRRPAVRDWSADIQFAVAARRRGDGPGRSDGKDGFMVVTTIMRQSTDSGETWHSKPKVENDLRL >ORUFI12G10900.1 pep chromosome:OR_W1943:12:11068672:11069234:1 gene:ORUFI12G10900 transcript:ORUFI12G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARRRPRRVPAFGEWNYYHGGDELPSAAAAGGAPDDQEASSDVWFRYSPAPRKPAPKKARRRAADNRQKPVGGGNKRRPARTSSSDSGAATAASNTPAKLQQAAATAKVAVVRRPPAVDADLYQVPPPDFLPGEPIRRVLTYADATRLPFLLCFLAIN >ORUFI12G10910.1 pep chromosome:OR_W1943:12:11076913:11078166:1 gene:ORUFI12G10910 transcript:ORUFI12G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKLDFLLELGFRFNPSPEQVVTYYLPCLVAGQQPKDTEGCIHSADVYGADEPRDLAGKYAPVARSSNGDRFFFTGCKRMKGKFSRSAGGGTWVSQSSKDLKNREGIKIGEVKNFRFKKDGKNTDWLMEEYHLCGQESGDVVEPVVCRIYVSPRAAPDSVAHQESAVLQPQEPAPLPVPAAPAPPRQVPVVTQQAPPPPPPLVPVITQDAPPQKRPAPVAAPPCAKKMRGDVSAFPVVRQSCVAAPRCAPRVVAPPPRHPPIQTYPTDPFESAPLDPFEPPPAAASVTGGHHTPQPSVPVPATTEQGLSLAASNSPELDPANIGIDMDELMRYLGNTPLDGVLPSQLFVLPTNDDEDVELAKVLEDGLQGGGGRQWQSTAVCDSSSSATGILARHGATAASSTHPDLSQGSVRAQ >ORUFI12G10920.1 pep chromosome:OR_W1943:12:11087674:11087990:-1 gene:ORUFI12G10920 transcript:ORUFI12G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNFNESKIGSREIINNVSFSDGYSNFDTESINNSEFTNDYSPLFFEVFMVGAPEDEQARIAREQEEQRAKAEKNAHAKKKRNMHEGSAI >ORUFI12G10930.1 pep chromosome:OR_W1943:12:11123697:11125495:-1 gene:ORUFI12G10930 transcript:ORUFI12G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLLKAFTFIFASSPDHCWSTGQQKRQWRLRRSPSTTDNDNATPLPPQEPPLPIRTHATVPPAPKGTGHPSPPLPHP >ORUFI12G10930.2 pep chromosome:OR_W1943:12:11123697:11125495:-1 gene:ORUFI12G10930 transcript:ORUFI12G10930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLLKAFSFGKGLGVLGRSTAPVSWGPWAGPRQWRLRRSPSTTDNDNATPLPPQEPPLPIRTHATVPPAPKGTGHPSPPLPHP >ORUFI12G10930.3 pep chromosome:OR_W1943:12:11123697:11126071:-1 gene:ORUFI12G10930 transcript:ORUFI12G10930.3 gene_biotype:protein_coding transcript_biotype:protein_coding SPGRSPPSSSREVLADPLRRRRVNSSPIPFLRRAVTPPIPPSSSGAPRGSVGADPHPPGRRRRSCISSVPSSPIPRLPRAVTPPILASPRPRQWRLRRSPSTTDNDNATPLPPQEPPLPIRTHATVPPAPKGTGHPSPPLPHP >ORUFI12G10930.4 pep chromosome:OR_W1943:12:11123665:11125495:-1 gene:ORUFI12G10930 transcript:ORUFI12G10930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLLKAFKAVETEEVAIHNRQRQRDAAAASRATAAYPYSRHRSSCAERYRTPFATPTPSLICLLSPDKYP >ORUFI12G10940.1 pep chromosome:OR_W1943:12:11150771:11152163:1 gene:ORUFI12G10940 transcript:ORUFI12G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDLLLKLGFRFNPSQEEVITYYLPRLIAGHPPKDTEGYIHRADVYGADEPRDLAGKYAPVARSPNGDRFFFTGCKRVKGKFSRSAGGGTWVSQSSKDLKNREGIKIGEVKNFRFKKGGNNTDWLMEEYHLCGKEAGGVVEPVVCRIYVSPRAAPDSVAHQESAALPPPQELVPPPQELAPPPYPAAQAAPQAPAPPRQVPVITQQQAPPQKRPAAPVAEPPCATKKMKGAVSAKPMAPQSSVTASAAPPRCAVAPSQHHPPFQTYPTDPFEPPAPAASVTQPSVPATPEQGPAYVPDPADIGMEMDELMSFLDSTPVDGILPSQLYEYDELAKELEDALQGGGEEDGNDNPPRCATPPPPRPASWPAMAPPPPPHPPILTFPKDPRRGRGGCDKQSQGGYRVLLKDMGDDQIDQQWLKVSLKDYHHLMKSCKL >ORUFI12G10950.1 pep chromosome:OR_W1943:12:11153376:11157853:-1 gene:ORUFI12G10950 transcript:ORUFI12G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLVGRNRVEGAHHAPEDPSLLDKQRRYEPIVGLEFCNPLFVSQSGPKMHRFSLYWRTCCAKV >ORUFI12G10960.1 pep chromosome:OR_W1943:12:11180370:11180680:-1 gene:ORUFI12G10960 transcript:ORUFI12G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHQLDSSDIVLSLQKARGLFGRFSILRKLRCSKIPSTWMVPRGSLASPYMLPLSLYLPISFVRH >ORUFI12G10970.1 pep chromosome:OR_W1943:12:11180765:11182006:-1 gene:ORUFI12G10970 transcript:ORUFI12G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGSTPIVLTTQRRLPSHAHTRRGGGGGGAGAVPVAKLEDAATLIDSVETFIFDCDGREADRRSARDARHARSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAYVAYLQSIDFPKDKKVYVIGEDGILKELELAGFQYLGGPSDGDKKIELKPGFYMEHDKDVTTIPTSTRHLFHMYRMVVGDSVSTASSVCCATPVDLQSAKRRPMCTIFLYTLILDMAKSGVEFEAALFYSDG >ORUFI12G10980.1 pep chromosome:OR_W1943:12:11184562:11185125:1 gene:ORUFI12G10980 transcript:ORUFI12G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPMAEYKGNIREIKVVWGFRDKEYIQRRRSYEWVEGGPVEDWWKIAGHGQQLDLNPVFPNLKAISSLSCPLVQTVFNPSPD >ORUFI12G10990.1 pep chromosome:OR_W1943:12:11185339:11188573:1 gene:ORUFI12G10990 transcript:ORUFI12G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPLLLLIAIVVVSLSRGNGEQTDLTRETFPAGFVFGTASSAYQVEGNALQYGRGPCIWDTFLMQPGVTPDNSTANVTVDEYHRYMDDVDNMVRVGFDAYRFSISWSRIFPSGLGKINKDGVDYYHRLIDYMLANNIIPYVVLYHYDLPQVLHDQYKGWLHPRIVRDFVRFADFCFKTYGHKVKNWFTINEPRMMANHGYGDGFFPPGRCTGCQPGGNSATEPYIAAHNLLLSHAAAAIQKGKIGILLDFVWYEPLTDKEEDHAAAHRAREFTLGWYLHPITYGHYPETMQNAVKERLPNFTREQSEMIKGSADYIAINHYTTYYVSHHVNKTSISYLNDWDVKISYERNGVPIGKQAYSNWLYVVPWGIYKAVMHVKEKYKDPIIIIGENGIDQPGNETLPGALYDFFRIQYFDQYLHELKRAIKDGARVTGYFAWSLLDNFEWRLGFTSKFGIVYVDRSTFTRYPKDSTRWFRKMIKSEV >ORUFI12G11000.1 pep chromosome:OR_W1943:12:11189141:11192305:1 gene:ORUFI12G11000 transcript:ORUFI12G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASLKSSLLLPSPISDFSSAALSISTQARRRSWQPRGARMQVAAAADSKNILVMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPITQQLPGESDAEYAEFSSKVLHLKGDRQDFDFVKTSLAAKGFDVVYDINVLSRDFSRISAHGFTGREAVEVAPILDALPNLEQYIYCSSAGVYLKSDLLPHFETDAVDPKSRHKGKLETESLLETRDVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPVPGAGNQITQLGHVKDLATAFVLALGNPKASKQVFNISGAKYVTFDGLARACAKAGGFPEPEIVHYNPKDFDFGKKKAFPFRDQHFFASIEKATLELGWKPEYDLVEGLTDSYNLDFGRGTFRKAADFTTDDMILGKKLVSV >ORUFI12G11010.1 pep chromosome:OR_W1943:12:11197440:11201075:1 gene:ORUFI12G11010 transcript:ORUFI12G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLKPPNPKTNLSTRPTTKSTNRNRSPVSPLRSRPVQVAPPASTKRSSPTSRPLRPRVATPPSPSFRRRRRRALAATPTDLDPPTPRANRRRIRPRIRGIRAGSAMILEQFDESAAEKADAAMVVAPPSNFGMVDTGVYRSGFPDPASFGFLRGLGLRSVVYLCPEPYMETNAEFLKAEGIRLFQFGIEGNKLLMYKKPHDPNVSIPVDAIMGALRM >ORUFI12G11020.1 pep chromosome:OR_W1943:12:11201813:11203736:1 gene:ORUFI12G11020 transcript:ORUFI12G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYAPMASQLMKSSLVCSKPRGLSGASLTRRPRFTVKAIQSEKPINGDPFIGSLETPVTSSPLVAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFALTGPLRNTPVHGQAGALGAAGLVAILSVCLTMYGVASFGEGEPSTAPTLTLTGRKKEADKLQTADGWAKFTGGFFFGGISGVLWAYFLLYVLDLPYFFK >ORUFI12G11030.1 pep chromosome:OR_W1943:12:11207085:11208000:-1 gene:ORUFI12G11030 transcript:ORUFI12G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTSGGGAAQCMTNAMPHPSYEETKKGDEVEDSASRLAGRLDTHVAAVATAAVACCSFATPLLLDWLGCDCERGGTGNDAASSASRWENNGEVEVEGAVALEDEEDEYGGRTEVSVVVEEDTIGEAELRSGVMRWCEGREQIKVWLDTGWKTSPALTPTGDPAGERAPAPPGWPTTAMHLLWLLEVACRDEVTVGDGDKVSTAEDGGH >ORUFI12G11040.1 pep chromosome:OR_W1943:12:11228314:11230107:1 gene:ORUFI12G11040 transcript:ORUFI12G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRRWLLSPLAVEVDNGHGGGSKPSRDEVASTTARREGGRWRHDSGGLRALSPWRWTWFSPETLAKRRYLGSALRRVTVFKGVIVAVPVQHWERLLPRTPYNIDRARATVCPSQAVNPPLIIGPPPHGTNNPPQANFQSYYQQDRCCALLLAPFSVLRNHQFG >ORUFI12G11050.1 pep chromosome:OR_W1943:12:11242477:11243646:1 gene:ORUFI12G11050 transcript:ORUFI12G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLDLHDNKDKQKAMKAVSSVIGIDAISMDMASRKMTVIGTVDPVDVVSKLRKASWAAYIESVGPAKEPEKKEEKKEEAKKDAGGGGGDGKKEGGDGKKEEGGDGKKEGEGKKEGDGGGDKKEGEGKKEGDGGGDKKDGNGDGKKEGDAKAAKKEEGGGGGGDGGGKKPAAVAPMPMPMPLHHLPPQYFNMEYMNQYHRPPPPPPAYPYVPPPQYYYVRNMSMEENPNSCAIC >ORUFI12G11060.1 pep chromosome:OR_W1943:12:11245041:11245576:-1 gene:ORUFI12G11060 transcript:ORUFI12G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAXGLRQGCSLAASLLLVMCGCGCVGVFGYVEVKTLFRFRTRGGDVTRRVLLGGVASEEFLCIDDRGWSFSVQKLSYLGVRRGLRLLGSASLLWWATRSSVASADEVGAAR >ORUFI12G11070.1 pep chromosome:OR_W1943:12:11262655:11263401:-1 gene:ORUFI12G11070 transcript:ORUFI12G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTKATSAKVAFYVDFVIMFLGDGHDGDLSGDGEPALFLHSKNLKESHGQARFGGQELTATRSSGPEFAANKSNLSMPLGGGGEVDEDMVQY >ORUFI12G11080.1 pep chromosome:OR_W1943:12:11265959:11266709:1 gene:ORUFI12G11080 transcript:ORUFI12G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQNKEDKASAANIDPKLPISESDEARAHAANVATDKGECFRANRWLSLGLVVFLSAACVFFAFTDSVLYKGKRDLGYVDFVHAFLSAVVFLSVVFSDVGLQKCFFPNAGKNDKELLKNLPLGMAVPSSFVFMIFPTNRRGIGSHCSNSEHIDDSSSKSGKKIDGSSSNSAGEKEKANKPTAKNV >ORUFI12G11090.1 pep chromosome:OR_W1943:12:11274459:11274896:-1 gene:ORUFI12G11090 transcript:ORUFI12G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSRVGEDAQCHCGGAALWRVADAVTMRLLVASVVVCVSGGARLAHGHGCGAIARLYRSFRHSGACEIVRGAEARFGAIWHAGGMDVGGIQYKRCTVHGRYTTLRAWSVLVGLTGCMQDEMDRSVRPPCGVATRRGRLASSAY >ORUFI12G11100.1 pep chromosome:OR_W1943:12:11323561:11328225:1 gene:ORUFI12G11100 transcript:ORUFI12G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFPPPGPPHPAPMADGGEPPPPWADLPIHIVRGIASRLLCKIDRRFGEGVCRSWREAFLQVGPPPPPLPLLVVANAGEHAFHCVPSNWRTHPIPIPMPRFAHHRYFGSYDGGWLFLSISQSSRHGLHNINKNVNSKRFRFSLPDQRLYQIRPTTAEADKFIVIVAATLSCQPTEPGCVAAGIIDLHSFPDHPSRHGAGAFLFLTNGEHIRELPQPIFPPPGTAKRVRNELYFKPRGDDGGGGRPVLARYLVESRDELLMVVRLGTLEHSWIKLADLGGRMLFVGRGCSRSYEAADGYPGMEGVYFLDDRSFYDRTTVFKNDDKRKYHRSDIGKWSGSPPQVRHCLPEQVLNHVHNEADRVADREFDGIALSPPWAELVPDIVRKIGRHLLCEIDRHHADGLCRSWRDALLQLRPPPPPLPRLVLPEADGPAFYCAVDQAANHVLLNLITHQQLNLPNLIRFPSFRLPGLTFDFEVAFVAATLSSQPTDQGCVGASIIGFKRRPLNPRHIAFWRMGDEAFSQSIESRWIDEELELDVVDLLYSGHGAGAFLFLTRGENIRVFRQPIFPLGDMQTTVLYFERRDGYPGMEGVYFLDDRSFHDPMVVYKDAAQRRYPCSDNGRWSGAPPPAEVERCFPEQ >ORUFI12G11110.1 pep chromosome:OR_W1943:12:11378456:11379845:-1 gene:ORUFI12G11110 transcript:ORUFI12G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVEALKHIPHHLAYHKVNETGIFTDIFINEVWDNSDAPPPPPPPPSHRAHSRSNRAPRAPADDIGDQTRTAGQVPALESNFSQGSSSKTISCYIGVFGNMALRAQHAVARNAYPNLGDLLAFFTLLPKLALPAPPVATAHASIIFEQGLLPSIDCRYSTKASIPVSPIAVDVTPLALAPLTSQPAIKQVFLAQTIYLLQLEPLLSFLPSPPSICTMPPTLPITEYPRFDVFGMSQSMATSPHVEGAYSLIRHPLAERVASYDRKAKEAHDVQKELDDALNKPNDPRIDQLLPLVGTLPNLNITVYVRRNARIRDTYNGKWINPVARASDRVVAQNKTGSCSNNGSKSRPKKKLKKLEEIVEMPILATPQPTPKKKIKELANFCGLDEKKLVLEAEKEFSRKKEQTDPNA >ORUFI12G11120.1 pep chromosome:OR_W1943:12:11409732:11412781:-1 gene:ORUFI12G11120 transcript:ORUFI12G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMQCKQGASSTSSDHTKGIGIVQLLRGKNFLITGATGFLVKVLLDPILRTNPDVGKIYVLIKAKDNEAAMKRLKNEVEDTELFRCLQEIHGKNYHSFVSSKQEIHGKNYHSFVSSKQVPVVGNVREANIGIAPELAKEIAEEVDVIVNSAANTTFDERFVKLY >ORUFI12G11130.1 pep chromosome:OR_W1943:12:11429612:11430364:1 gene:ORUFI12G11130 transcript:ORUFI12G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPLHPRSRPLPRNLWSVKSGIRIPLRFNLDPRDLLSPTTLGSRWNNERRFRSSLYDPSRGKAEWESRNRGNLGVGQSTGMEKGLYGVLGWMKEATTPLHFLLIVHLFGERSTSLIRDSEMIVISRHILWADVAPRKTRIVVSLAFVDGTNAPVYALQGN >ORUFI12G11140.1 pep chromosome:OR_W1943:12:11483700:11484229:-1 gene:ORUFI12G11140 transcript:ORUFI12G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKERAVSQCPRLFLIEGSWRPGTEAFHPAAASPSTTRGPRMTCGGTEGTDSASVPHPLRKKLLNHYKIKKYDAVSDSIGHSSLLLRPVLVCARVTAIHKRVVVVTGSR >ORUFI12G11150.1 pep chromosome:OR_W1943:12:11487188:11487475:-1 gene:ORUFI12G11150 transcript:ORUFI12G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPPLSWGLPGRGGKEDCYRHPFSRCM >ORUFI12G11160.1 pep chromosome:OR_W1943:12:11504753:11507039:1 gene:ORUFI12G11160 transcript:ORUFI12G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGESPPWAELVPDIVREIGRHLLCEIDRHHADGLCRSWRDALLQLRPPPPPLPRLVLPEADGPAFYCVPSGCRPHPFVLPPAALRARCFGSYDGAWIFQAVDQAANHVLLNLITHQQLNLPNLLRFHSFMLPALTFDFEVAFVAATLSSRPTDQGCVGAGIISFNRVPHDPRHIAFWCMGDEAFSWSIQMTRNIGGALELDVVDLLYSSHGAGAFLFLTRREDIHVFRQPIFPQGDVMQSTPLYFERRGDDDDDDGRPVLDRYLVESRGKLLMVVRLGDREPARLPTTTFRVFEREDELFNNYWTKLPDLGGRMLFVGRGCSRSYEAADGYPGMEGVYFLDDRSFHDPMVVHMNAADRQYPCSDNGRWSGAQPPAEVELCFPEQGRSNYSPPPKNVFLLTIY >ORUFI12G11170.1 pep chromosome:OR_W1943:12:11514660:11515640:1 gene:ORUFI12G11170 transcript:ORUFI12G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYECLGKIGEGAAGVVHVARDRTTGETVAVKRLHGGIGCGEEEWLREARCLQACRGHPHLVELRAAHREMRRGGGACCYVVMEYVDGPSLSRVVREERRGRPFPEAETRRLMRQLLDGVAAMHAAGVMHRDLKPDNVVVGPRGDLKICDFGMSRVATAGAPPYTSPVVTLWYRAPELILGSQEYDSLVDTWSLGCIMAELLAGAPLFPGRSEMDQLNRVFDTVGMQDMKSWPGFARLPRAESALCSRARPPSRLREMFPKLSAAGFDVLSGLLACRPDRRLTAADALRCAWFTEADTPPDATPVTCGSARFTPCVSGVADAIVV >ORUFI12G11180.1 pep chromosome:OR_W1943:12:11519026:11534472:1 gene:ORUFI12G11180 transcript:ORUFI12G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITREPSLCAATAAASGNDAAAIAVVRSARFRKERARAKRKKRRALHQAARTLAPAHKQAATPIPATTRRPSAVVATSTPTAPSRTTAPSLATILVPATTSAPKPATASSPTKVPTLSVNPILSIIGVPSPTTTSSLATTTTPTAVLFPRSTLSSASTPSFTTTSSPVTAPKSSTKYTVATEIVLSPVFPPSCQTTSPCTGGMPISANRNVTFKKEGSSICAATRAAERKKRIVLQRTFVLPNQATTPNPATMPAAVNRADVISLPNTPSPDVMPTIAATGQPNTANWPTTQSNEDDALREQRQQAGAAGSGSCGLGRGRAQSGAARRAWEERRRPVAAAAGDDDGDGNKLGEWPTALGDDVTATAVVHATRFREERARSSGATRAAEHKKRRPLHQTAMAAGPVVLHQIRLPCLFRQHAYSGSHKSMPGARNQHAAAPSQIAAPNLAIILVPAATRATRPAAASSPTKCSVAS >ORUFI12G11190.1 pep chromosome:OR_W1943:12:11534899:11535099:1 gene:ORUFI12G11190 transcript:ORUFI12G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGGPSLGAATSAAPGDDMDANAVVHAARFRKERAMASGATRAAERKKRRALHHMATAVGPTPN >ORUFI12G11200.1 pep chromosome:OR_W1943:12:11546227:11549674:1 gene:ORUFI12G11200 transcript:ORUFI12G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPTRSVPPPSAPDGDEVVPHRHRTPPRPALAGAAHPRVDGSDALRPPPSSHPLPSGVYRRQYWMQAAAPASTALTIGSRPSTTAGRHPLPRPPAARSSRPTLHLQRLRAL >ORUFI12G11200.2 pep chromosome:OR_W1943:12:11546227:11549674:1 gene:ORUFI12G11200 transcript:ORUFI12G11200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPTRSVPPPSAPDGDEVVPHRHRTPPRPALAGAAHPRVDGSDALRPPPSSHPLPSGVYRRQYWMQAAAPASTALTIGSRPSTTAGRHPLPRPPAARSSRPTLHLQRLRAL >ORUFI12G11200.3 pep chromosome:OR_W1943:12:11546227:11549674:1 gene:ORUFI12G11200 transcript:ORUFI12G11200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPYAHRPPAIRCRLASIAVSIGCRPLPRRRLLSLLDQGRQPPPGVIHCHGRPPPDRAGQHSISNACEVNHVQRMLVEAWGLITNQTYFRGSNLLPEFSPLWHGQLHTLVVVDAECIVEAGNVIEGPMMIMVFVTSNIDLMVPAFDTTGD >ORUFI12G11200.4 pep chromosome:OR_W1943:12:11547209:11549674:1 gene:ORUFI12G11200 transcript:ORUFI12G11200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNLDFKLSGSKFKHNGSRQAHMHGQLHTLVVVDAECIVEAGNVIEGPMMIMVFVTSNIDLMVPAFDTTGD >ORUFI12G11210.1 pep chromosome:OR_W1943:12:11562187:11569496:1 gene:ORUFI12G11210 transcript:ORUFI12G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVAVAVLVAGTVWLCASSEGFMGGPYGGYRVQDVDVNKLWTTAGSNGWRASSAPRSYWPPPPAETNGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWRDKSGFPGIYDVPHFLKTLKHDVHIVTSLPGIMSKGKTKKLKAHKIVPPRDAPLSWYTTLALEEMKKYGAIYLTPFSHRLAEDIDDPELQRLRCRVNYHALRFKPHIMKISNEIVNKLRSEGHFMSIHLRFEMDMLAFAGCIDIFTPEEQKILIEYREKNFAKKILVYRDRRIIGKCPLTPEEVGLILRAIGFDNSTRIYLASGEIFGGDRFMSPFRAMFPRLDNHSSVGPEKLEENTRGLAGSAVDYMVCLLSDIFMPTYDGPSNFANNLMGHRLYYGFRTTITPNRKALAPIFMDREEGHVTGFEDRVRLVMFNTHFGGPHKRIHPESFYTNSWPECFCHMNPMNPSDKCPSDDPHDVLGGQLQNEEIEDVDLKTTDNIESTTQIEETMI >ORUFI12G11220.1 pep chromosome:OR_W1943:12:11572551:11573575:1 gene:ORUFI12G11220 transcript:ORUFI12G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAGKRLTPQLSPSTFHLLRVKREKKVSSSCACHVVWVFDCRQCNVQTLRTTSPSSWPSALSTSLDWMTSISDT >ORUFI12G11230.1 pep chromosome:OR_W1943:12:11578544:11579275:1 gene:ORUFI12G11230 transcript:ORUFI12G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGADELPFHDWQVVDPASMAYIRHLVESLEHLTFDDACMLQLDGGDNASDLFNLHRPVITGVPHDVASALNTLEEILSRGSPTLEAYQREDIRETRVLQEEKVRTTMAEVRYIDGLVDEHMDAVEGTRARLHAARDTKQQLLEKITAATADGDVASLELELSEAEESEAALLAEFMNQWQSVLAVHKHRGVAKNRFEDEVVALMAIPQLPGHSEDQHLVGDAEERYEDSVLLLDEFLDMQY >ORUFI12G11240.1 pep chromosome:OR_W1943:12:11585196:11587394:1 gene:ORUFI12G11240 transcript:ORUFI12G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVEGTRARLHGARSTKQKVLGKLTTAEATAADGIGKANAVASLELELDEAEDREATLEAEFMTLWPSVLTLNKHRGVAKNRFEDEVEELMDIPELPGRLEDEHLVSDAEERYDDGVLLLDEFLDMQYRTYEVEELMTILELPGHLEDEHLIDDAEERYDDNILLLDEFLDMQY >ORUFI12G11240.2 pep chromosome:OR_W1943:12:11584845:11585192:1 gene:ORUFI12G11240 transcript:ORUFI12G11240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSTSCKPSTRRETSLAYILHLVESLERLNFDDACMHQLDDDASDLFSLQRPVFTRVPDDIGSPTLVAYQREDIRERRALQEKKVRATMAEQSYVDGLVE >ORUFI12G11250.1 pep chromosome:OR_W1943:12:11622165:11622464:-1 gene:ORUFI12G11250 transcript:ORUFI12G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVPAGPIRRWRWHQRLTLANPVEVAAAVFPAGGSVGSGVSSGRIRRRLFRRTDPAVLYPFSSAPRPSFSCDGGGGIGDLSGRSGGGGGSGVSGGRI >ORUFI12G11260.1 pep chromosome:OR_W1943:12:11623240:11623494:-1 gene:ORUFI12G11260 transcript:ORUFI12G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRPDADGEKINKSTTLKDVVGGATEVLPANKVTPREDADKVATAAAQNDQSRLEIQSRIVWMRFSFPRGKQSPMVGTKSVRE >ORUFI12G11270.1 pep chromosome:OR_W1943:12:11624331:11625470:-1 gene:ORUFI12G11270 transcript:ORUFI12G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGVESMTGRFELTGLTGVGVFGAVYKAWDNCCGTVVAVKRLSGRGRRGRHGGGGDEPYSLVHTGVRDLAREAMSLYACRGKRGVAHLRPNGAYAEVSGSCDSFLVMDYAGGFNLKDLMKRRRKEPGGGRPFSENEVRRIMRRLLVGVNAIVEAGLLHRDIRPENVVVDDGTEDLKQKPTAAATTGKKKAQSKKRKMKYTICDLGMSVPAVDSPGYSPDGVLTSIYNAPEILLGSRQYDSRVDTWGLGCIMAELLDGPGEPLFDGETNLAIMGSVLRVIGAEGVKSWPGLKRLADEPQALVRGFRDSSRLREKFPGAREARVARRPALSQAGFDVLSGLLEGNPEKRLTAIAALHMPWFEGSGGLRRVIGSCAGTSF >ORUFI12G11280.1 pep chromosome:OR_W1943:12:11627525:11635954:1 gene:ORUFI12G11280 transcript:ORUFI12G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVKRAARPRPVAVAQADDDDDDDTGTSSDSDGAAKPQSFGDPIGGRYQRLAVIGSGSFGRVYRAVDNRTGEIVAVKCLFRAFNDPYGIVLESDVTDEVRALEACRGHPHIVQLIDHGRRPRHDGPVVGTGADAYIVMELVGPSLLDTICQRGTDAGARRYPESEVRHLMRQLLSAVGRMHVLGLMHRDLKPSNVLVDGRGVLKLCDLGMAFAMEESIPPYSNPVGSLPYKAPELLLRSSIYDETIDMWALGCIMAQLLGGQLLFRGMSHEDMLIRIIQVLGVDDIAGWRGYDDSMIPKTLRSGRPRHSRVLRIFSFLRVAVGAGVPEPVKRARRRSRLHRFFSIPGKADGRAGLPELSEAGFEVLSGLLTCNPEKRMTAAQALQHPRRKPTASAHRQYRYWAPPHPPAAALLPHSAPSPPASAEAPLGDPIGGRYQRMSRIGSGTYGHVYRAVEISTGKVVAVKCLRRKDDDPDGLVLAGEVRALEECRGHPHIVQLIDHGRGAGAATGQEDYIVMELVGPSLDLTIRQRGDDAAARRYAEGDVRLLMRQLISGVRGMHEVGLMHRDLKPDNVLVDGSGNLKICDLGFARTMTKDKEESAPPYSNPIAALAYRPPEVILGSTTYDETVDSWGLGCIMAELLAGERLLVGTTDEELLVRIADVLGMDDISGWSGYEDCMIPKILTKIRRRSSRLRQMFALPGRGGGPGRRPELSKAGYQVLSGLLRCSPEKRMTAAQALQHRWFDV >ORUFI12G11290.1 pep chromosome:OR_W1943:12:11645255:11645536:1 gene:ORUFI12G11290 transcript:ORUFI12G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGWRSQLHERSRRRSPCHPKLALSPPISAAMRARSSKLPTTKGGIRGVGRPQSSHDTDATAAVAASTKRATCAKLQLEVAAAAEEDSPEDE >ORUFI12G11300.1 pep chromosome:OR_W1943:12:11645931:11652298:1 gene:ORUFI12G11300 transcript:ORUFI12G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMELGHLWALGYQKFRTSKWAIFIFLGSSMVAATQQPSAASSPQPAAARHFPLSLDSSLDRTSFFPGVRYFSASF >ORUFI12G11310.1 pep chromosome:OR_W1943:12:11649899:11652100:-1 gene:ORUFI12G11310 transcript:ORUFI12G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHTLLLSFLFVSILHVHTTSSTGTENFDASRLDTYIVRVRPPPNFSIDMSNIKLEKWYRSFLPPRMTSSNTRQAFIYTYKTTIFGFAVNITEAEKDYVMKNNGVLKVYKDSLLPLLTTHTPDFLGLRLREGSWKKTGMGEGVIIGVLDTGIDFTHTSFDDDGIQEPPTKWRGSCKSSLMKCNKKLIGGSSFIRGQKSAPPTDDSGHGTHTASTAAGGFVDGASVFGNGNGTAAGMAPRAHLAIYKVCSDKGCRVSDILAGMEAAIADGVDIMSMSLGGPAKPFYNDIIATASFSAMRKGIFVSLAAGNSGPSSSTLSNEAPWVLTVGASTIDRQMKALVKLGDGDLFVGESAYQPHNLDPLELVYPQTSGQNYCFFLKDVAGKIVACEHTTSSDIIGRFVKDAGASGLILLGQEDSGHITFADPNVLPVSYVDFPDATAIRQYINSSNSPTASIIFNGTSLGKTQAPVVAFFSSRGPSTASPGILKPDIIGPGVNVIAAWPFMEGQDANNDKHRTFNCLSGTSMSTPHLSGIAALIKGTHPDWSSAAIKSAIMTTAYVVDNQKKAILDERYNIAGHFAVGAGHVSPSEAIDPGLIYDIDDAQYISYLCGLGYTDVQVEIIANQKDACKGSKITEAELNYPSVAVRASAGKLVVNRTVTNVGEANSSYTVEIDMPREVMTSVSPTKLEFTKMKEKKTFSLSLSWDISKTNHAEGSFKWVSEKHVVRSPIAIF >ORUFI12G11320.1 pep chromosome:OR_W1943:12:11681416:11682466:1 gene:ORUFI12G11320 transcript:ORUFI12G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRGGGGGGGGGGDCSNKQEETERMMRSVDAEEACALLSSGRHQYLDVRMWEDFDKGHVAGARNVPYYLSVTPCAKEKNPHFVQQVAALYHAHDHIIVGCRSGVRSKLATADLVAAVCTPCPALP >ORUFI12G11330.1 pep chromosome:OR_W1943:12:11691665:11691961:-1 gene:ORUFI12G11330 transcript:ORUFI12G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSHVKLFDNNQCAWFAVEQDPDPESLSCENLKCGDDSGIGYIVAIVRVIFVHDRVLVIVGDLEGVKVFRLDKMTFTTATSCHPLVQCLIEWSWMMA >ORUFI12G11340.1 pep chromosome:OR_W1943:12:11692894:11705936:1 gene:ORUFI12G11340 transcript:ORUFI12G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRPRGASPAASASLARRLRLARTPPPPAASSRPRSPPRRDRVPPHLRRRADAVMGEAKAGAARTPPAPPTTPTPAARAAVGALAPASPLCESIPNDIHNCSCNVCMYEASTNAGDTGGKVGTDTFAVGTAKANLAFGCVVASNIDTMDGSSGIVGLGRTSWSLVTQTGVAAFSYCLAPHDAGKNNALFLGSTAKLAGGGKTASMPFVNISGNDLSNYYKTADAVMCILLPDSETAAFRTKGGLLYVDEWNSLQHPVASAFLAAVYSDYMQSSRKTELSCSGQGFSPSDLRKFAKSQADYLLGSNPMKISCLVGYGDRYPERVHHRGTSIPEDVDTGLIILGKREGRGGHIGVRGCWPSLAPTVASPLHKWLETSKPNPNVTTDALVGGMYKNNSFVDERDNFFSSIHPFIHPLPARRDTHQQLRRRRRQPRQPRLLIRRFGVAEMNCETCQLKELELEPREIKDVLRCILHTIFFHRTLSLVRPKDVDCDFFEITYVQCGLPELEKEVDEKINQFVAWVEKHPNRSSQVCLSFFDEKNKLPSWFGNKTERIYWEQWFINLHVISPKRHGKSHSSKALTNIGGQALEESSSRRAALESSIHEVLFQIINFANEKKDHIPPIPDRIFNHEISIPSSSDSMFGWNTDVLRRVLNSGHPYSL >ORUFI12G11340.2 pep chromosome:OR_W1943:12:11692894:11705936:1 gene:ORUFI12G11340 transcript:ORUFI12G11340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRPRGASPAASASLARRLRLARTPPPPAASSRPRSPPRRDRVPPHLRRRADAVMGEAKAGAARTPPAPPTTPTPAARAAVGALAPASPLCESIPNDIHNCSCNVCMYEASTNAGDTGGKVGTDTFAVGTAKANLAFGCVVASNIDTMDGSSGIVGLGRTSWSLVTQTGVAAFSYCLAPHDAGKNNALFLGSTAKLAGGGKTASMPFVNISGNDLSNYYKTADAVMCILLPDSETAAFRTKGGLLYVDEWNSLQHPVASAFLAAVYSDYMQSSRKTELSCSGQGFSPSDLRKFAKSQADYLLGSNPMKISCLVGYGDRYPERVHHRGTSIPEDVDTGLIILGKREGRGGHIGVRGCWPSLAPTVASPLHKWLETSKPNPNVTTDALVGGMYKNNSFVDERDNFFSSIHPFIHPLPARRDTHQQLRRRRRQPRQPRLLIRRFGVAEMNCETCQLKELELEPREIKDVQCGLPELEKEVDEKINQFVAWVEKHPNRSSQVCLSFFDEKNKLPSWFGNKTERIYWEQWFINLHVISPKRHGKSHSSKALTNIGGQALEESSSRRAALESSIHEVLFQIINFANEKKDHIPPIPDRIFNHEISIPSSSDSMFGWNTDVLRRVLNSGHPYSL >ORUFI12G11340.3 pep chromosome:OR_W1943:12:11692894:11705792:1 gene:ORUFI12G11340 transcript:ORUFI12G11340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRPRGASPAASASLARRLRLARTPPPPAASSRPRSPPRRDRVPPHLRRRADAVMGEAKAGAARTPPAPPTTPTPAARAAVGALAPASPLCESIPNDIHNCSCNVCMYEASTNAGDTGGKVGTDTFAVGTAKANLAFGCVVASNIDTMDGSSGIVGLGRTSWSLVTQTGVAAFSYCLAPHDAGKNNALFLGSTAKLAGGGKTASMPFVNISGNDLSNYYKTADAVMCILLPDSETAAFRTKGGLLYVDEWNSLQHPVASAFLAAVYSDYMQSSRKTELSCSGQGFSPSDLRKFAKSQADYLLGSNPMKISCLVGYGDRYPERVHHRGTSIPEDVDTGLIILGKREGRGGHIGVRGCWPSLAPTVASPLHKWLETSKPNPNVTTDALVGGMYKNNSFVDERDNFFSSIHPFIHPLPARRDTHQQLRRRRRQPRQPRLLIRRFGVAEMNCETCQLKELELEPREIKDVQCGLPELEKEVDEKINQFVAWVEKHPNRSSQVCLSFFDEKNKLPSWFGNKTERIYWEQWFINLHVISPKRHGKSHSSKALTNIGGQALEESSSRRAALESSIHEVLFQIINFANEKKDHIPPIPDRIFNHEISIPR >ORUFI12G11350.1 pep chromosome:OR_W1943:12:11708720:11709551:1 gene:ORUFI12G11350 transcript:ORUFI12G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRPAEGGGRPLGGGATAAAWQPMVTAWRPMVTARRLQRWWHGIAVAAGVAPAVVQWPEWRRRGDREAGRRWWRQHGRHWWCGGSAQAQGNDGCAKGAGGGGSSSSLPVGTLVLPGAPPLLCGEFLSWIEMTAGETQAFDETSSSSGFSFCQNQRGGQRVAGRRRPGLAFRGGGKRGAGAVGWRPHAATASAVVSELTADKLQCKVAGASGESLVWWFIRPAAATPLGVVTLPWGAVEDASLPWRVSLDENHIFLRWAWRCP >ORUFI12G11360.1 pep chromosome:OR_W1943:12:11715832:11732371:1 gene:ORUFI12G11360 transcript:ORUFI12G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMAAHRASFPLRLQQILSGSRAVSPSIKVESEPPAKVKAFIDRVISIPLHDIAIPLSGFRWEFNKGNFHHWKPLFMHFDTYFKTQISSRKDLLLSDDMAEGDPLPKNTILQILRVMQIVLENCQNKTSFAGLEHFRLLLASSDPEIVVAALETLAALVKINPSKLHMNGKLINCGAINSHLLSLAQGWGSKEEGLGLYSCVVANERNQQEGLCLFPADMENKYDGTQHRLGSTLHFEYNLAPAQDPDQSSDKAKPSNLCVIHIPDLHLQKEDDLSILKQCVDKFNVPSEHRFSLFTRIRYAHAFNSPRTCRLYSRISLLAFIVLVQSSDAHDELTSFFTNEPEYINELIRLVRSEEFVPGPIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMVLLSVLQKAISSLSSPNDTSSPLIVDALLQFFLLHVLSSSSSGTTVRGSGMVPPLLPLLQDNDPSHMHLVCLAVKTLQKLMEYSSPAVSLFKDLGGVELLSQRLHVEVQRVIGVDSHNSMVTSDALKSEEDHLYSQKRLIKALLKALGSATYSPANPARSQSSNDNSLPISLSLIFQNVDKFGGDIYFSAVTVMSEIIHKDPTCFPSLKELGLPDAFLSSVSAGVIPSCKALICVPNGLGAICLNNQGLEAVRETSALRFLVDTFTSRKYLIPMNEGVVLLANAVEELLRHVQSLRSTGVDIIIEIINKLSSPREDKSNEPAASSDERTEMETDAEGRDLVSAMDSSEDGTNDEQFSHLSIFHVMVLVHRTMENSETCRLFVEKGGLQALLTLLLRPSITQSSGGMPIALHSTMVFKGFTQHHSTPLARAFCSSLKEHLKNALQELDTVASSGEVAKLEKGAIPSLFVVEFLLFLAASKDNRWMNALLSEFGDSSRDVLEDIGRVHREVLWQISLFEEKKVEPETSSPLANDSQQDAAVGDVDDSRYTSFRQYLDPLLRRRGSGWNIESQVSDLINIYRDIGRAAGDSQRYPSAGLPSSSSQDQPPSSSDASASTKSEEDKKRSEHSSCCDMMRSLSYHINHLFMELGKAMLLTSRRENSPVNLSASIVSVASNIASIVLEHLNFEGHTISSERETTVSTKCRYLGKVVEFIDGILLDRPESCNPIMLNSFYCRGVIQAILTTFEATSELLFSMNRLPSSPMETDSKSVKEDRETDSSWIYGPLSSYGAILDHLVTSSFILSSSTRQLLEQPIFSGNIRFPQDAEKFMKLLQSRVLKTVLPIWTHPQFPECNVELISSVTSIMRHVYSGVEVKNTAINTGARLAGPPPDENAISLIVEMGFSRARAEEALRQVGTNSVEIATDWLFSHPEEPQEDDELARALAMSLGNSDTSAQEEDGKSNDLELEEETVQLPPIDEVLSSCLRLLQTKESLAFPVRDMLLTMSSQNDGQNRVKVLTYLIDHLKNCLMSSDPLKSTALSALFHVLALILHGDTAAREVASKAGLVKVALNLLCSWELEPRQGEISDVPNWVTSCFLSIDRMLQLDPKLPDVTELDVLKKDNSNTQTSVVIDDSKKKDSEASSSTGLLDLEDQKQLLKICCKCIQKQLPSATMHAILQLCATLTKLHAAAICFLESGGLHALLSLPTSSLFSGFNSVASTIIRHILEDPHTLQQAMELEIRHSLVTAANRHANPRVTPRNFVQNLAFVVYRDPVIFMKAAQAVCQIEMVGDRPYVVLLKDREKEKNKEKEKDKPADKDKTSGAATKMTSGDMALGSPVSSQGKQTDLNTKNVKSNRKPPQSFVTVIEYLLDLVMSFIPPPRAEDRPDGESSTASSTDMDIDSSAKGKGKAVAVTPEESKHAIQEATASLAKSAFVLKLLTDVLLTYASSIQVVLRHDADLSNARGPNRIGISSGGVFSHILQHFLPHSTKQKKERKADGDWRYKLATRANQFLVASSIRSAEGRKRIFSEICSIFVDFTDSPAGCKPPILRMNAYVDLLNDILSARSPTGSSLSAESAVTFVEVGLVQYLSKTLQVIDLDHPDSAKIVTAIVKALEVVTKEHVHSADLNAKGENSSKVVSDQSNLDPSSNRFQALDTTQPTEMVTDHREAFNAVQTSQSSDSVADEMDHDRDLDGGFARDGEDDFMHEIAEDGTPNESTMEIRFEIPRNREDDMADDDEDSDEDMSADDGEEVDEDEDEDEDEENNNLEEDDAHQMSHPDTDQEDREMDEEEFDEDLLEEDDDEDEDEEGVILRLEEGINGINVFDHIEVFGGSNNLSGDTLRVMPLDIFGTRRQGRSTSIYNLLGRAGDHGVFDHPLLEEPSSVLHLPQQRQQENLVEMAFSDRNHDNSSSRLDAIFRSLRSGRSGHRFNMWLDDSPQRTGSAAPAVPEGIEELLVSQLRRPTPEQPDEQSTPAGGAEENDQSNQQHLHQSETEAGGDAPTEQNENNDNAVTPAARSELDGSESADPAPPSNALQREVSGASEHATEMQYERSDAVVRDVEAVSQASSGSGATLGESLRSLEVEIGSVEGHDDGDRHGASDRLPLGDLQAASRSRRPPGSVVLGSSRDISLESVSEVPQNQNQESDQNADEGDQEPNRAADTDSIDPTFLEALPEDLRAEVLSSRQNQVTQTSNEQPQNDGDIDPEFLAALPPDIREEVLAQQRAQRLQQSQELEGQPVEMDAVSIIATFPSEIREEVLLTSPDTLLATLTPALVAEANMLRERFAHRYHSGSLFGMNSRGRRGESSRRGDIIGSGLDRNAGDSSRQPTSKPIETEGSPLVDKDALKALIRLLRVVQPLYKGQLQRLLLNLCAHRESRKSLVQILVDMLMLDLQGSSKKSIDATEPPFRLYGCHANITYSRPQSTDGVPPLVSRRVLETLTYLARNHPNVAKLLLFLEFPCPPTCHAETSDQRRGKAVLMEGDSEQNAYALVLLLTLLNQPLYMRSVAHLEQLLNLLEVVMLNAENEISQAKLEAASEKPSGPENATQDAQEGANAAGSSGSKSNAEDSSKLPPVDGESSLQKVLQSLPQAELRLLCSLLAHDGLSDNAYLLVAEVLKKIVALAPFFCCHFINELAHSMQNLTLCAMKELHLYEDSEKALLSTSSANGTAILRVVQALSSLVTTLQEKKDPDHPAEKDHSDALSQISEINTALDALWLELSNCISKIESSSEYASNLSPASANAATLTTGVAPPLPAGTQNILPYIESFFVTCEKLRPGQPDAIQEASTSDMEDASTSSGGQKSSGSHANLDEKHNAFVKFSEKHRRLLNAFIRQNPGLLEKSFSLMLKIPRLIEFDNKRAYFRSKIKHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNDLTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPAYYKNLKWMLENDISDVLDLSFSMDADEEKRILYEKAEVTDYELIPGGRNIKVTEENKHEYVNRVAEHRLTTAIRPQITSFMEGFNELIPEELISIFNDKELELLISGLPDIDLDDLKANTEYSGYSIASPVIQWFWEIVQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGPQRFQIHKAYGSTNHLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEANEGFGFG >ORUFI12G11360.2 pep chromosome:OR_W1943:12:11715832:11720195:1 gene:ORUFI12G11360 transcript:ORUFI12G11360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMAAHRASFPLRLQQILSGSRAVSPSIKVESEPPAKVKAFIDRVISIPLHDIAIPLSGFRWEFNKGNFHHWKPLFMHFDTYFKTQISSRKDLLLSDDMAEGDPLPKNTILQILRVMQIVLENCQNKTSFAGLEHFRLLLASSDPEIVVAALETLAALVKINPSKLHMNGKLINCGAINSHLLSLAQGWGSKEEGLGLYSCVVANERNQQEGLCLFPADMENKYDGTQHRLGSTLHFEYNLAPAQDPDQSSDKAKPSNLCVIHIPDLHLQKEDDLSILKQCVDKFNVPSEHRFSLFTRIRYAHAFNSPRTCRLYSRISLLAFIVLVQSSDAHDELTSFFTNEPEYINELIRLVRSEEFVPGPIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMVLLSVLQKAISSLSSPNDTSSPLIVDALLQFFLLHVLSSSSSGTTVRGSGMVPPLLPLLQDNDPSHMHLVCLAVKTLQKLMEYSSPAVSLFKDLGGVELLSQRLHVEVQRVIGVDSHNSMVTSDALKSEEDHLYSQKRLIKALLKALGSATYSPANPARSQSSNDNSLPISLSLIFQNVDKFGGDIYFSAVTVMSEIIHKDPTCFPSLKELGLPDAFLSSVSAGVIPSCKALICVPNGLGAICLNNQGLEAVRETSALRFLVDTFTSRKYLIPMNEGVVLLANAVEELLRHVQSLRSTGVDIIIEIINKLSSPREDKSNEPAASSDERTEMETDAEGRDLHFPCDGIGSSDNGELRNLPVICGERRPASTFDTPVAT >ORUFI12G11370.1 pep chromosome:OR_W1943:12:11733813:11734911:-1 gene:ORUFI12G11370 transcript:ORUFI12G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGHDGGTGSDYPCPCPRGNLCPRVYPLLYDGQGVLPMPVTRGHARLPIQRSVQGYSCTVVPSKWAKLPSLGKKHQSRSRSVVIFGRRVATSTKVEAFCGADCIRLRAVAHSHGFAAPSPAAWTTICASGKAEILLKTHLTSLN >ORUFI12G11380.1 pep chromosome:OR_W1943:12:11746120:11770868:-1 gene:ORUFI12G11380 transcript:ORUFI12G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRGPPLLGFRALALALLLAILLLLGCSAAAAYAGAEGVLRQVVGRRGDDGGGGNFFEPFNVTYDHRAVLIGGKRRMLVSAGLHYPRATPEMWPSLIAKFKEGGADVIETYVFWNGHEPAKGQYYFEERFDLVKFAKLVAAEGLFLFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTDNEPFKAEMQIFVTKIVTLMKEEKLYSWQGGPIILQQIENEYGNIQGNYGQAGKRYMQWAAQMAIGLDTGIPWVMCRQTDAPEEIIDTCNAFYCDGFKPNSYNKPTIWTEDWDGWYADWGGALPHRPAEDSAFAVARFYQRGGSLQNYYMYFGGTNFARTAGGPLQITSYDYDAPIDEYGILRQPKWGHLKDLHTAIKLCEPALIAVVGSPQYIKLGSMQEAHVYSTGEVHTNGSMAGNAQICSAFLANIDEHKYASVWIFGKSYSLPPWSVSILPDCENVAFNTARIGAQTSVFTVESGSPSRSSRHKPSILSLTSGGPYLSSTWWTSKETIGTWGGNNFAVQGILEHLNVTKDISDYLWYTTRVNISDADVAFWSSKGVLPSLTIDKIRDVARVFVNGKLAGSQVGHWVSLKQPIQLVEGLNELTLLSEIVGLQNYGAFLEKDGAGFRGQVTLTGLSDGDVDLTNSLWTYQVGLKGEFSMIYAPEKQGCAGWSRMQKDSTMFSTPKGTDPVAIDLGSMGKGQAWVNGHLIGRYWSLVAPESGCSSSCYYPGAYNERKYHIPREWLKESDNLLVLFEETGGDPSLISLEAHYAKAVCSRISENYYPPLSAWSHLSSGRASVNAATPELRLQCDDGHVISEITFASYGTPSGGCLNFSKGNCHASSTLDLVTEACVGNTKCAISVSNDVFGDPCRGVLKDLAVEAKCSPPSTTKEPRGDM >ORUFI12G11390.1 pep chromosome:OR_W1943:12:11780591:11785077:1 gene:ORUFI12G11390 transcript:ORUFI12G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHHHHRPPPPPSPRRLLRPVSVPAPPHPRRRPRPPLASLQPPPPPLPPLPGKRSSDPVEANRESAAAAAAVLEEETGEREEEEEGYVASVGAGAYPAAGLPAHLRAGVGDPVFFLLAFVAVATSAAFTSMVAVAIPTMLAMRRAANSFTLLADAALEELPSTMAAVRLSGMEISDLTLELSDLSQEIADGVNKSAKVAQAVETGLGQMRDLAMQQATSMIEERANLQTIPNSAKKSNGSSTRQRRQEKGKDHSTDRMEP >ORUFI12G11390.2 pep chromosome:OR_W1943:12:11780591:11786461:1 gene:ORUFI12G11390 transcript:ORUFI12G11390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHHHHRPPPPPSPRRLLRPVSVPAPPHPRRRPRPPLASLQPPPPPLPPLPGKRSSDPVEANRESAAAAAAVLEEETGEREEEEEGYVASVGAGAYPAAGLPAHLRAGVGDPVFFLLAFVAVATSAAFTSMVAVAIPTMLAMRRAANSFTLLADAALEELPSTMAAVRLSGMEISDLTLELSDLSQEIADGVNKSAKVAQAVETGLGQMRDLAMQQATSMIEERANLQTIPNSAKKSNGSSTRQRRQEKGKDHSTDRMEP >ORUFI12G11390.3 pep chromosome:OR_W1943:12:11780591:11786825:1 gene:ORUFI12G11390 transcript:ORUFI12G11390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHHHHRPPPPPSPRRLLRPVSVPAPPHPRRRPRPPLASLQPPPPPLPPLPGKRSSDPVEANRESAAAAAAVLEEETGEREEEEEGYVASVGAGAYPAAGLPAHLRAGVGDPVFFLLAFVAVATSAAFTSMVAVAIPTMLAMRRAANSFTLLADAALEELPSTMAAVRLSGMEISDLTLELSDLSQEIADGVNKSAKVAQAVETGLGQMRDLAMQQATSMIEERANLQTIPNSAKKSNGSSTRQRRQEKGKDHSTDRMEP >ORUFI12G11400.1 pep chromosome:OR_W1943:12:11785187:11798739:-1 gene:ORUFI12G11400 transcript:ORUFI12G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRPPPPFAPQNPTPAPNPAASSLPASFSNLHVSRAPPPFAPPGGNGPVPSSIRAPQAPPPGARPFPGSPPPPSQPPPPFARPAAPVQQQPPPFGGPPGVMPSQPLQQQQQQQQQRPAFGGPPSGAPPAQAQRTPFGGPPSAMSQGPLPFGGPPAAVASHPPPFGGPPVAAAQPPPFGRPPSAAAAGQSAPLGGALFAAAQPPPFGGPPGAAPQPAPTGGLRTPYGGPPAPSQQVPFGGAPQWPGTQPPPFGAQAAPSSQPPPFMGVPGNAPPFRPPGWQGQARPGAMSAGMQLMPGGMLSNALGQGMPSTPSMPYSPHAGAQVSTPSKIDPNQIPRPMPETSVVIFETRQGGQAAVPPAASSEFIVKDTGNCNPRLMRCTLNQIPCTGDILTTSAMPLALMVQPFALPHPSEEPIQLVDFGGMGPIRCSRCKAYINPFMRFIDQGKHFVCNLCGFSNDTPREYLCNLGPDGRRRDADDRPELCRGTVEFIASKEFLVRDPMPAVYFFLIDVSMNAIQTGATAAACSAISQALSDLPEGPRTMVGIATFDSAIHFYSLKRDQQQPLMLIVPDIQDVYTPLQTDLILPISECRENLEQLLESIPNMFENNRVADSAFGAAMKASFLAMKSTGGKLLVFQSEKKRQFMCILALAVLPSVGIGSLSAREAEGRSNISTGDKEAHKLLQPVDKTLKTMALEFAEYQVCVDVFLTTQSYVDISSISVVPSTTGGRVYHYYPFSALSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYSGNFCRRVPTDIDLPAIDSDKTIMVTFKHDDKLQENSECAFQCALLYTTVYGQRRIRVINLSLSCTNVLSNLFRYADLETQFTYVVKQAANAIPSTPLSQVRDQVTSTCINILQSYRKHCASVSSSGQLILPEALKLLPLYTLALIKSVGLRTDGRLDDRSYWVSTVSSISVLLAIPLVFPRMIALHDLASRSDDDSLIPNPLTLNINPATLEQIFGVSSLAGVPNQLVLEQYDNELSRKVNEVVNEIRRQRCSYLRLRLCKHGDPSGDFFRSLLVEDKAPGGLSYVEFLEPQKKLISDDDTPKGMEQVLSKALIEMALGDLLQIFQDFAIF >ORUFI12G11400.2 pep chromosome:OR_W1943:12:11785187:11798739:-1 gene:ORUFI12G11400 transcript:ORUFI12G11400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRPPPPFAPQNPTPAPNPAASSLPASFSNLHVSRAPPPFAPPGGNGPVPSSIRAPQAPPPGARPFPGSPPPPSQPPPPFARPAAPVQQQPPPFGGPPGVMPSQPLQQQQQQQQQRPAFGGPPSGAPPAQAQRTPFGGPPSAMSQGPLPFGGPPAAVASHPPPFGGPPVAAAQPPPFGRPPSAAAAGQSAPLGGALFAAAQPPPFGGPPGAAPQPAPTGGLRTPYGGPPAPSQQVPFGGAPQWPGTQPPPFGAQAAPSSQPPPFMGVPGNAPPFRPPGWQGQARPGAMSAGMQLMPGGMLSNALGQGMPSTPSMPYSPHAGAQVSTPSKIDPNQIPRPMPETSVVIFETRQGGQAAVPPAASSEFIVKDTGNCNPRLMRCTLNQIPCTGDILTTSAMPLALMVQPFALPHPSEEPIQLVDFGGMGPIRCSRCKAYINPFMRFIDQGKHFVCNLCGFSNDTPREYLCNLGPDGRRRDADDRPELCRGTVEFIASKEFLVRDPMPAVYFFLIDVSMNAIQTGATAAACSAISQALSDLPEGPRTMVGIATFDSAIHFYSLKRDQQQPLMLIVPDIQDVYTPLQTDLILPISECRENLEQLLESIPNMFENNRVADSAFGAAMKASFLAMKSTGGKLLVFQSVLPSVGIGSLSAREAEGRSNISTGDKEAHKLLQPVDKTLKTMALEFAEYQVCVDVFLTTQSYVDISSISVVPSTTGGRVYHYYPFSALSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYSGNFCRRVPTDIDLPAIDSDKTIMVTFKHDDKLQENSECAFQCALLYTTVYGQRRIRVINLSLSCTNVLSNLFRYADLETQFTYVVKQALIKSVGLRTDGRLDDRSYWVSTVSSISVLLAIPLVFPRMIALHDLASRSDDDSLIPNPLTLNINPATLEQIFGVSSLAGVPNQLVLEQYDNELSRKVNEVVNEIRRQRCSYLRLRLCKHGDPSGDFFRSLLVEDKAPGGLSYVEFLEPQKKLISDDDTPKGMEQVLSKALIEMALGDLLQIFQDFAIF >ORUFI12G11400.3 pep chromosome:OR_W1943:12:11785187:11798739:-1 gene:ORUFI12G11400 transcript:ORUFI12G11400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRPPPPFAPQNPTPAPNPAASSLPASFSNLHVSRAPPPFAPPGGNGPVPSSIRAPQAPPPGARPFPGSPPPPSQPPPPFARPAAPVQQQPPPFGGPPGVMPSQPLQQQQQQQQQRPAFGGPPSGAPPAQAQRTPFGGPPSAMSQGPLPFGGPPAAVASHPPPFGGPPVAAAQPPPFGRPPSAAAAGQSAPLGGALFAAAQPPPFGGPPGAAPQPAPTGGLRTPYGGPPAPSQQVPFGGAPQWPGTQPPPFGAQAAPSSQPPPFMGVPGNAPPFRPPGWQGQARPGAMSAGMQLMPGGMLSNALGQGMPSTPSMPYSPHAGAQVSTPSKIDPNQIPRPMPETSVVIFETRQGGQAAVPPAASSEFIVKDTGNCNPRLMRCTLNQIPCTGDILTTSAMPLALMVQPFALPHPSEEPIQLVDFGGMGPIRCSRCKAYINPFMRFIDQGKHFVCNLCGFSNDTPREYLCNLGPDGRRRDADDRPELCRGTVEFIASKEFLVRDPMPAVYFFLIDVSMNAIQTGATAAACSAISQALSDLPEGPRTMVGIATFDSAIHFYSLKRDQQQPLMLIVPDIQDVYTPLQTDLILPISECRENLEQLLESIPNMFENNRVADSAFGAAMKASFLAMKSTGGKLLVFQSEKKRQFMCILALAVLPSVGIGSLSAREAEGRSNISTGDKEAHKLLQPVDKTLKTMALEFAEYQVCVDVFLTTQSYVDISSISVVPSTTGGRVYHYYPFSALSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYSGNFCRRVPTDIDLPAIDSDKTIMVTFKHDDKLQENSECAFQCALLYTTVYGQRRIRVINLSLSCTNVLSNLFRYADLETQFTYVVKQALIKSVGLRTDGRLDDRSYWVSTVSSISVLLAIPLVFPRMIALHDLASRSDDDSLIPNPLTLNINPATLEQIFGVSSLAGVPNQLVLEQYDNELSRKVNEVVNEIRRQRCSYLRLRLCKHGDPSGDFFRSLLVEDKAPGGLSYVEFLEPQKKLISDDDTPKGMEQVLSKALIEMALGDLLQIFQDFAIF >ORUFI12G11410.1 pep chromosome:OR_W1943:12:11840365:11841403:1 gene:ORUFI12G11410 transcript:ORUFI12G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSGLATRRGGSGGAPILPPFLLDLSIGGRGRAEEELMVVAIADNVGPSPPRSGRKRGAGQRRRRTEEESRRPQGEGLWIYHYEDGDAACENDFHRPLCTSGHFPRLEKLFMAAWENDFPSSGPSLGTAKVRALVSLGVCCSIHHPSRQPRRQSHFDLHTDQRHLSPRTRKHGGGQRRMIREGGMAVVTSIAGRHCEKLRWPMTPLSMLPMSIPPPRPSLQADPPDHRCWQIHFRGPDTCRASLVFLVVLPRRVGEEVAPPRSGEVMPGRGEVLPGRGKAILPGGEGERW >ORUFI12G11420.1 pep chromosome:OR_W1943:12:11843624:11845994:1 gene:ORUFI12G11420 transcript:ORUFI12G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNFEMWGAVWSALASLVFLWPMLQNHVPAGLRHWLTAMADKLASHLSPYLHITISEYGDHRFRRSDFFLAVEAYLSHACARRARRLKADLGRDARSVQVSVDDHQEVTDSFRGATLWWYPSSKSNKSSVISFYPGEDERRLYRLVFHRRHRDLVLDGYLPHVLAEGRAVTVRNRQRRLFTNNASTSWNPYRRGKGVWSHVPFEHPASFDTLAMDPGDKDAIVDDLVAFRDGKDYYAKVGKPWKRGYLLYGPPGTGKSTMIAAMANFLDYDVYDLELTAVKNNTELRKLYIETTGKSIIVIEDIDCSIDLTGKRKKSSGDNKASDGGGEGSDDKPKLPTEADKDDGGSKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDVHIEMSYCGFEAFKVLASNYLGVEQHELLGDIRRLLEEADMSPADVAENLMPMSKRKKRDPDACLAGLVEALNMAKEEAQANKAAKEDEEAKAAKGIEEMKTKEQATTNVGSYRAAADPWSCKPCILAECS >ORUFI12G11430.1 pep chromosome:OR_W1943:12:11849389:11852601:-1 gene:ORUFI12G11430 transcript:ORUFI12G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRGSIAFFGTYRPPVPLDIFSCPANPPPQSAKDELLLTDGESYNQNGQPIPAAALKELLTFLGKKNPKLASECGATPEDAEKGRVTGLVFVSERDRGLETLHVALRFVAGGKQVKVLSLGNIYGADTFGGVRMEDSGCVAGGFKVGRTTVGHSLVYVSTKAPVKARRTPWTVVYRTDLADGKTERLTPLGQYDLSPAVSPSGKMVAVANFQQNRWNGEIENLKTDIVVMNVDKRAQGRSDRKVLIKDGGWPTWGSDNVIFFHRGFDTTPPSNTARWGVFRFDIAAGKEERVTDESIDAMTPAAIRETQVAVATVREKSKQVLMKVERVVTQYRHIEIFDTASKTSVPITQKTRPEGDHYNPFVLDGGTRVGYHRCRTDKLLKVQNQKSTPTTSIQRRFDKVQPPESHADVGLFRVTGVFPSVSKNGKKLAFVDNEFKAVWLADGRGLRVVYKVRATKSVFSTSWNQNDDLDTLYVCEGPAFSIDKPVQIMRIPNVSREDYENMETFPLTDEEYNCAFPSTNAEGTKLVFRSSRNRVAGGERQHKNLYIIDAEKGEAAGVVPLTDGPWTDTHCSWSPREGCDWIVFSSTGRPEKDIVKGKDEPEKDHGLDPGYFAVYLVNAKDIKKGEVPVPVRVIHSAPTIAGHINHPVFSPDMKSIVFAADLAAVSADPISMPHFTHSVRPYGDIFSVNLRDTTDMAKNRDIQEFHRITHSRYEYSTPTWTGIADDEEDPNAKWKMLESLPNFTPWCPYACGEAGEKEGADCSAACSAGACILHSDRSSPLLSHLRTPGALLRDAGRDREPRRPALCPHTRNPDGSDAGAANDG >ORUFI12G11440.1 pep chromosome:OR_W1943:12:11866616:11868783:1 gene:ORUFI12G11440 transcript:ORUFI12G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNDDEKPATTPIPNGGSKQGLVTMNIPTYSKKDVALTADSVTAVVEIKATSSTAVREGLDLVAVVDVSGSMRGHKIESVKKALQFVIMKLTPVDRLSVVTFESSAKRLTKLRAMTQDFRGELDGIVKSLIANGGTDIKAGLDLGLAVLADRVFTESRTANIFLMSDGKLEGKTSGDPTQVNPGEVSVYTFGFGHGTDHQLLTDIAKNSPGGTYSTVPDGTNLSAPFATLLGGLVTVVAQDVRLTLTPKTADGDLDKMEVADGTDYTQTTDAKGEITIKFGTLFSGETRKVAVNFTLNESPDTEEYNATLAVARHSYAAQEAPQPAQNIVRLRKPEPTTPGSDDGIEERSVQAEVVRRRHADLIGKASELANGQKLGDARETIMDAQNALGDILLDDGDRMVNALQAELLRLLEYMETQALYDKLGHPYALATIISHRRQRAAGRGDEEVISLYVTPRMIAYLAQAKKFEENPEAPVPSADEDVKQEMAANPLAAISAPLGFYLENAIQALQAIQKIIAANTI >ORUFI12G11450.1 pep chromosome:OR_W1943:12:11876775:11877790:-1 gene:ORUFI12G11450 transcript:ORUFI12G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRLEGQHCDENEYQDDFAEFLYEEEPSDNIDMVEQRAQPQINNEQSSPQTPLHINIASARTPATKQVIQISRTCTAEVHSGCHGGVALLRLCFWNEGVAQEVAATDNK >ORUFI12G11460.1 pep chromosome:OR_W1943:12:11878852:11885508:-1 gene:ORUFI12G11460 transcript:ORUFI12G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKYSKMDECKTGDFGKRPIKAMEKLSYDAICSGARCIKQQGNNSNMSCSDALQQYHSKSFNPRVYFLKNWEGAGKEEDYENCARQAFTFARNKKPLHYYDLQYFFSETNFFSENVTCSQLQTTPPSKPTEQENSKSNSNKDNACKETQHADKKASSKDFVCSQQSNNSVASRTRAKRLHSASPLSVVSISPSKKNSSDQKIRKAVRKQLLKATQPTITQPDKEESNKDGIFVEPICTIPAKKEEVQPTKDLESNSTEFVIDIEGPYDVEDITGHTMDKTKFILVNYSNSSEDHKSQDPTQDESDNIPHKSTNVSNIYHAF >ORUFI12G11470.1 pep chromosome:OR_W1943:12:11888694:11889662:-1 gene:ORUFI12G11470 transcript:ORUFI12G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATASSVVISRFVKLDELASGGCGVVYRARDRRSGEIVAMKCIRSYRDDCGELVDRSDFDREVAAMEVCRGHPYIVQPRAHGRCDDGEAVLVMEFVGPTLRQVLRRERGGRTRRSELEVRVAMRQLLSGAKRMHDAGLMHRDLKPDNVLVDARGNLKICDLGLSQSTASPPPYSNPIGTRWYCAPEILLGSTDYDERVDAWSLGCIMAELLARKPLFRGSSDREQLGEIVDVLGVNDIKRWRGYKGQRLLGGCGPDSFLRGFFPSPADARMLRRPPLSEAGFEVLSGLLTCNPEKRMTVAQALRHRWFKEADSASLRHRR >ORUFI12G11480.1 pep chromosome:OR_W1943:12:11932167:11932724:1 gene:ORUFI12G11480 transcript:ORUFI12G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRRRSNGAWEINLVRRSPATARTDRCSRLLLLWSGFVGVVVVLYLFVGHVWASVATAVLLAAAGWFTWYYFGAAPAPPVLPDHHQPAAPVEARGLSQEDIEAIPAFEYRRGSSGSGVAQCAVCIAAVKDGDTVRRLPACGHAFHAPCVDGWLRDHATCPMCRADVVKVAGETTPATEEEPPV >ORUFI12G11490.1 pep chromosome:OR_W1943:12:11937405:11942168:-1 gene:ORUFI12G11490 transcript:ORUFI12G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHEDEEEETAVLLARQWHMVRRGGGGCRFGAVLREEKRQPIECGSAEPVWGGDGVQCCRVGASVRLGFWQCGPVKALPRGCGNRAKNGKGNPGERDMDGILWRRDKFPISAAAADDEATTARPLGTAGGIRIQLPASYKVFILGEVRHQVQQPATLSHLLSQITVETTVYNHSRWITLGASRSSPMQFPQQSAECHLVRSTSGDWAPMLVCGGAATRQGHARDRRRASRQQERCGRRKASSSSKILCILLPDSKDYCHAKY >ORUFI12G11500.1 pep chromosome:OR_W1943:12:11961479:11962120:-1 gene:ORUFI12G11500 transcript:ORUFI12G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVARRGVVVLRLRHGEPPPSAAARSGGRCSRLLLLWTFAVGFAAGLFMFASHVLAAVAAAVLFAAMCLYTCLCLNNAEPEEEEDQQQQPPVLLLPGDQALRVAVMPPSVRRLQQQAVNGGGGGGGLSQEDVEAAIPAFEYSKGSGGAAEAEQCAVCIGVVRRGETVRRLPACGHAFHAACIDGWLRAHATCPVCRADVKVAGGGGGGAPV >ORUFI12G11510.1 pep chromosome:OR_W1943:12:11964382:11970269:-1 gene:ORUFI12G11510 transcript:ORUFI12G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAGSAPNGCTSTVKGSEERHSLERHHDDGSCISEIKLISNMVVKKKRGRRAPPSSRRLSGNKVISGEDAAQNRNHAKEEDQAGNSSDVALSPSSRKTEGQDQSTNPKDLFEKACHQATEMVTESTTGCKKSFWEEKGSDNRRGRQATLCVKQDGLDIETTGKDVSASEAYEKSSTLEDTSVGHAAAKSVNPEDNSLDPMDNVSDTHVNATSSEDKSSEEVEDVKVCDICGDVGEEERLAVCTRCNDGAEHIYCMRVMMEEVPEGEWLCEECENELEYEKKKKLEKSQLKVGASKSQFFERKTNKIANASKSKSYEDETSKALEGKISKPDTALKNRSSFENEVENENGDKKELNSTNQCNNSNSKRKEEGAGIISSIKQSITERCGLSMGAESRKRLPLSRESSFRLDVEKGKQAATKVPTSLAFDAAKNLGPPLRDLGKENLHRKVPKVKQLVNEVPQKPNNLKDHIPFLAKKEGPVGILAKSPFFKKPKSCESANKAKSSILPPTEESKVVNPPVSHNVTSDRDTSILGCPSVTASMTTQVSSKEESKAQHLTTGYSEVNKQLVAKAPGSTTVSSAEKSSGILGSGAQRKVIQNTDPAHRDDKVKDPTSLRPGGSSINRSMRCQCCNEAGHSTQFCSVDKLSLSAVKPMSERNMKDSSAKRNKTFEATNMIAAEKAASRPADQSEHIVKCGPSHNPMCRPKDLLSTSFGHVKKPSQLYGQTNEQDMRNTSSNKASTDGSKLKPNECQTVSVKTGRLVDGSLTMPDALMDKSSTVPELDFIWQGGFELRRTGRSPELCDGFQAHLSCSASPKVLEVAKKFPSKVQLEELPRQNSWPTQFQENGPSYENIGLFFFARDTDSYENYYSKLVENMLKNDLALRGNIETAELLIFPSNILSKNFQRWNMFYFLWGVFRVRKKDQMNIPPDVPFSTCEPNLNTDRMDVDESISVLTSGPSFSEGQNNGAKSDHDLVKSVSCVDYQCPQSTETNYQRCSNGETESNQPVSRNESEDHHQVPITASSSTNNSTDLATEQQKLSCSGDEDTKDSSNSIACEAIIDVNTMPVTCSVSSVYEIGKGIRVINLNEADNLVDVDINSSEVNSGTVDLISHTTATPHKRNVEVANWADEVNGKLEQKKIKLDNVGSANSSLSENTSDGRLSSKVHPLVSSSFDDSVDQSLAGSSKCNGKRIFPLDLNTVDAGNVVNISDDEEMPERDAPDFELELTDNNSPRKTMFSFLSPKVEENRSKEHSLPTDSPGSLSLSLAFPASREHAGVLTSSYIQGAELLNCKEQSPGRECYFAGNSDMLFKT >ORUFI12G11520.1 pep chromosome:OR_W1943:12:11994025:11994718:-1 gene:ORUFI12G11520 transcript:ORUFI12G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHLVFVPLMAQGHLIPAVDTALLLATHGALCTIVATPATVARVCTHRRLGPAVRLEAVLEEKSGELAFPRMRQVFMLNNTNAIVRRAVRSNLAMFLPPGWARAREEHNEEAASIDNDKVCRSTF >ORUFI12G11530.1 pep chromosome:OR_W1943:12:12008239:12009333:-1 gene:ORUFI12G11530 transcript:ORUFI12G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQCSAKSIAGRRWPCQCGEARPGGSTKRRRGGYDGSRRHGLGPGVTTATMASALAPFGALDECRQRRWVQIGADEEGISGNGDDVTTAVHKACSRAPVGTAAFPLIGCTNREVVKARFDRHGEKIIERELDRNGDSSFVKIEREKKIRAKLSFYIVSLSSIDRILYFNVYSVLQQITTFLECPRVNSRL >ORUFI12G11540.1 pep chromosome:OR_W1943:12:12011456:12016065:1 gene:ORUFI12G11540 transcript:ORUFI12G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTEWEGDILAVLVTETDVSKATSSSSRFTNAAAALAKLDGELGGLLSEASAEEEFAGRAGQSVALRLPTAPGLHGFKRVCLVGVGNNMPSSAAACRSTGETIAAVAKSAQARSAAVALASPPPGWVQGEDLRLNAAAAVASGVVLGLHEDRRYKSDSKKVHLKQVDLIGFGSGQEMGRKLQYANHVSSAVIFAKELVNSPANVLTPAVLAEEASNIASSYSDVLTATILDEEKCRELKMGSYLAVAAASANPPHFIHLCYKPPGGNVKRKLAIVGKGLTFDSGGYNIKIGAVTTIELMKKDMGGSAALFGAAKALGQIKPPGVEVHFISAACENMISGTGMRPGDIVTASNGKTIEVDNTDAEGRLTLADALVYACKLGVDKIIDLATLTGYCRIALGPSIAGILTPSDELDKEVAAAYEASGEKFWRLPLEESYWEQMKSSVADMLNTGSPLGGAITAGLFLKQFVDEKVKWMHVDMAGPVWNYKKQEATGFGVSTLVEWVLINSS >ORUFI12G11550.1 pep chromosome:OR_W1943:12:12022741:12026842:-1 gene:ORUFI12G11550 transcript:ORUFI12G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEVISAIGPYFGKKLDLELIYGKKSQCSKEAALDSSTVSTTYPLDLRLMKEDTHNIWLAPVVGYVFEVIRWEYNLIYSVMATSDHATVILE >ORUFI12G11560.1 pep chromosome:OR_W1943:12:12027844:12028957:-1 gene:ORUFI12G11560 transcript:ORUFI12G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKLFGVNRRWTQSELLGTKLDWWTTTQGISSSLVPTCQKERRSGQEGLANNQWIADIRHNLIVELVKESFEFLVVVVSPGQSNG >ORUFI12G11580.1 pep chromosome:OR_W1943:12:12120292:12121022:1 gene:ORUFI12G11580 transcript:ORUFI12G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCYCAMSSPSLYHATTPLHDFIVDYCSPPGCGGIHESKFVTFEGGIHASKFVTFEGAEVGGWELNENFTG >ORUFI12G11590.1 pep chromosome:OR_W1943:12:12126534:12128264:-1 gene:ORUFI12G11590 transcript:ORUFI12G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPIAAMTFAHPANVMTLASRQPKSKRSHISPATTAHRNLQTRLAHHHHATPASLPMAICNTVDKVINRFIDLPEQRPTVDPRRVLSGNFAPVDELPPTSCHVIRGSIPSCLAGGVYIRNGPNPQHRLPQRTHHLFDGDGMLHSLLLPSASSTLLSEPVLCSRYVHTYKYLLERETGGPVLPNFFAGFHGVAGLARAAVMIARVLAGQINLNKGFGLANTSITLFADCLYALCESDLPYSMHINPANGEVTTLGRCDFGGDLSFRMTAHPKKDPVTMELFAFRYNVFQPFITYFWFDRAGSKVADVPILSLQKPSVMHDFAITERYAIFPESQLIVNPMDMVMRGSSLVGLDRTMVPRIGVLPRYAKDESDMRWFEVPRFNMLHTTNGWEEADGEEIVLVAPNILSIEHMLGNMELMRARVDMVRINLCTGDVSCTALSPESLEFGVIHQGYVGRKNRYGYFGVSGPLPKIKGIRKLDFDLVGSGDCTVGRRDFGLGCFAGEPFFVPDNIDGYGNEDSGYVVCYTHEEDTGESWFVVMDAKSPELDIVAEVQLPSRIPYGFHGIFVKQAELLAQQ >ORUFI12G11600.1 pep chromosome:OR_W1943:12:12155472:12158083:-1 gene:ORUFI12G11600 transcript:ORUFI12G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQDEFVSAYDNFKRDMIDLHAKRTCDMISDISKDKLICNSEKFVSESCVKWVKSINKEQKLPKLDLFSFNISYFFFASHPIKLFFPIVRDKHWVLTCINLLWEQINYFDSIKRGDISQWFILSQNLVTNFTKVAVDAKIPIKDISKFQTCSPPQYPVQSNLFDCGFFSLRYIENWDGKNLQSFNEGDMPNYQKFMTHMMVSSHLAKVDHDQLQAN >ORUFI12G11610.1 pep chromosome:OR_W1943:12:12187938:12188872:1 gene:ORUFI12G11610 transcript:ORUFI12G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNKSSNTSALPQAPSAAATHGDSNNIVTKVMNPSLLHWEHAQREECTSKIHQVRRVSIVLSRDITSRDSSILQQRMQSMSQASDFNMVVNISHALHAAPTQWSGKKLTEELHQQ >ORUFI12G11620.1 pep chromosome:OR_W1943:12:12225059:12225403:1 gene:ORUFI12G11620 transcript:ORUFI12G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHAASVLGVGGSRHRQPSAWQRRLCGAARRRSSALNAATLGVGGPRRGGSRRRRRGMRGAAVAPMHDHAASVLGVGGGGPRCRICGAAAAAMRSRASSVLGVSRRPARSEEA >ORUFI12G11630.1 pep chromosome:OR_W1943:12:12274195:12274833:-1 gene:ORUFI12G11630 transcript:ORUFI12G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTHCVEFVGVLDVQSAAVGRFAVPDNPVHLRPSRCAMSVARVPGKGLPRENCAADKEILSFFLKSGRRPTSSVPRSRSGVWKEADAVAMPFLAVAGASFTPSSPFKRYRRMSNPQGTCIHSFTPKEAGARHAHACRSSPPLALPPSLTPTTTARETTTISFAMFHSAFSILVAS >ORUFI12G11640.1 pep chromosome:OR_W1943:12:12274232:12275928:1 gene:ORUFI12G11640 transcript:ORUFI12G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMVVVSRAVVVGVSDGGSASGGELRHACACRAPASFGVNECMHVPLRGCWKLTVGWTCAGCSGDGKKRHSDGVGLLPDSGLPRREVERLKDNLRMLQERGTAPKLTRERGTDDVGRRPDLRKKERISLSAALHRNFPLAFSWNPGDAHGAAARSEMHRIIGNGEATDGGTLDIEDADELDAMCSIHEKGEPRTENFGKTRLLQGGQGFDGSMCQVPRFNYICFYQGNFVSLTK >ORUFI12G11650.1 pep chromosome:OR_W1943:12:12352556:12353031:-1 gene:ORUFI12G11650 transcript:ORUFI12G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSHFVAISFFHHQLDQTRRGKMSSSAKTSWPEVVGLSIEEAKKVILKDKPDADIVVLPFGTAVPEDFRFNRVRIFVDTVAETPRVG >ORUFI12G11660.1 pep chromosome:OR_W1943:12:12361188:12362399:1 gene:ORUFI12G11660 transcript:ORUFI12G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGWWGLPSQTPGILRPEAWPSTKANKRIVRESHKQKANRTRCRDCHRPRLLIFSSRRRIRAAQQRKATHRSAGGRLPIFLPLLRTTVDGSRARGHRLCYYHQLTNQPFGDRGDGLGGEDGGGIVADDGSSNRGRGPLRTSTVVVALQTLELVWRELCSDIHHGAVSPVR >ORUFI12G11670.1 pep chromosome:OR_W1943:12:12364885:12365495:-1 gene:ORUFI12G11670 transcript:ORUFI12G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKAGSSKASHADDDDN >ORUFI12G11680.1 pep chromosome:OR_W1943:12:12371541:12371982:-1 gene:ORUFI12G11680 transcript:ORUFI12G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCRRRMTSPLSSLVAVLLIVVAVQSRAWALDQLDDMVPMKTAKPVVVAIAGGGGSGVVGTLAAPLCLQCRCCSKANPSNCQLTSCSSTFNCDPAGKCTLVQQRCGC >ORUFI12G11690.1 pep chromosome:OR_W1943:12:12373409:12373747:-1 gene:ORUFI12G11690 transcript:ORUFI12G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREVDSRKRRGHSLIGIGKTDKSSSSLFSFDLAAACVKVGRMVALNLKTDLGDYYDSIFDVEGVKM >ORUFI12G11700.1 pep chromosome:OR_W1943:12:12374504:12374938:-1 gene:ORUFI12G11700 transcript:ORUFI12G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYCRRMTSPLSSFVPVLLLIVVALQSQAWALDAQVADDMVPMKPAKPVVVAIGGGGVVGTLAAPLCLQCRCCSKTNPSNCELTSCSSTFNCDPAGKCTLVQQRCGC >ORUFI12G11710.1 pep chromosome:OR_W1943:12:12384026:12384559:-1 gene:ORUFI12G11710 transcript:ORUFI12G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLICAQVWLATSLLAGQALLASAFAKKDHYKVAVTTARVLQLAIVLGVGLTAFLATGMWFGAGVFTSDAAVISTIHKGVPFVVGSQTISTLAFVFDGKWRGMASIRIG >ORUFI12G11720.1 pep chromosome:OR_W1943:12:12386219:12387757:1 gene:ORUFI12G11720 transcript:ORUFI12G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIFSAIVGDVIGRVISLVVSNFNGDHSTEVKLQRICRMLIKIHSVVEEAKGRQITNHGTLEWLSELIDGAYQGRYLLDTIGCGEPDLDDKNRDEVDPKPFSLSKFNPAKRVRVAAFTVRNILSRHDIGVDEIDRVVESLQSMCGDLKEFMMLLQACQPIQRPLATNIFIEGQMFGRHVEKEMIINFLLHEDDLPRGKLGVLPILGDIGVGKTTLVQHACDDARVRSHFTTILLFNFSHTYKMEMCEPKPVLRPKHVIGDVGNSDDPLHELEQSFFNKRFLIVFEDVDIHKKNMLEELLKSLSCGKQGSKIIVTTSNKHVTTIGTVQPIKLKFLPCPEYWFFFKAHAFAGTDVQENPRLVAAGKSIAAKLNGSFFGAKIIGAILKENPDPKFWCTVLQRDIGGLSLLGDGLGYIADLVEILLPSRLSVKEVFVSKNSLSSETELARLQGLCLPCPSSAPLATHSSELSLAKATSYERVLLCKAVLPFYSLYYTAKCAVDSENCYSKFSVV >ORUFI12G11730.1 pep chromosome:OR_W1943:12:12389360:12393359:1 gene:ORUFI12G11730 transcript:ORUFI12G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIGRGKSSKAKKGSSTPLQSKEKIAANVETVAVGSNNRQVAPDDNMPLGEAGYASSRDEVFFEACPWLESDCEDEFYSINGDGTPARSFRTNSSNHAIQPEPRKLPTLGAILKAEPLRPPPPPQETQPTPPSPATTMRLADLLRERQESFTCYDGPACAISRTGSSCGAGNGEQWSHCCIPSFVPRTSVSYAKGRRKRR >ORUFI12G11730.2 pep chromosome:OR_W1943:12:12389302:12393359:1 gene:ORUFI12G11730 transcript:ORUFI12G11730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIGRGKSSKAKKGSSTPLQSKEKIAANVETVAVGSNNRQVAPDDNMPLGEAGYASSRDEVFFEACPWLESDCEDEFYSINGDGTPARSFRTNSSNHAIQPEPRKLPTLGAILKAEPLRPPPPPQETQPTPPSPATTMRLADLLRERQESFTCYDGPACAISRTGSSCGAGNGEQWSHCCIPSFVPRTSVSYAKGRRKRR >ORUFI12G11740.1 pep chromosome:OR_W1943:12:12423795:12427433:-1 gene:ORUFI12G11740 transcript:ORUFI12G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQSPRLAAGEPERKLEDRVTDADAEDPGCTGNAAMSSLEQPLLKRSNTLTASHLAMVGAKVSHIESLDYEIIENDLFKHDWRRRSNVEVLQYIFLKWAMAFLVGLLTGVIASLINLAIENISGLKMLHMVQLVREKTHNSSFFFLIAGDEFLMVKNLPSPYCRYWAGFLYFAGVNFGLTFVAAMLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLIVKIIGSICAVSSGLDLGKEGPLVHIGACLANLLSQGGSGRHRLRWRWLRYFDNDRDRRDLITCGASSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTATVVVVLRGFIEVCRNGRCGLFGEGGLILFDVGDVAVRYHAGDLLPVTIVGVLGGVLGALYNHVLHKVLRVYNLINEKGRAAKLALALAVCALTSALLYVTPFAVPCTPCDPAFGGACPTLGKSGNFKRFNCPEGHYNDLATLLHATNVDATRNIFSTGTAGEFRLDSLLIFFAVYCVLGLFTFGIAVPSGLFLPIILMGSAYGRVTALVLSRFARIDHGLYAVLGAAALMSGSMRMTVSLVVIFLELTNNLLLLPITMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEAKPEPWMKDLTVGELAAAKPRAVALQVVERVSTVVEALRATRHNGFPVLDRPRPGVSELHGLVLRSHLVAALRKRWFLPERRRTEEWEAREMFSSAELADKCGGVDELEISPEEMGMYVDLHPLTNTTPYTVVETMSVAKAVVLFRSISPIVGILTRQDLIAHNILVILFSI >ORUFI12G11750.1 pep chromosome:OR_W1943:12:12446448:12446738:-1 gene:ORUFI12G11750 transcript:ORUFI12G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQKLAEMLMQLLLVASAVAAFVVGYAMADFQLMLLVYAGGVVLTALVTVPNWPFFNRHPLKWLDAAEADRHPRPQVSATPSTVGKKKTGKNK >ORUFI12G11760.1 pep chromosome:OR_W1943:12:12518416:12518770:1 gene:ORUFI12G11760 transcript:ORUFI12G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAGAALPSPRATPRNLAPPTRLASPRNPPTSAAGDHGDLPRWRRWVRLRVSGAVAHRVVAGVPAQHYLDRVAPHTAGRWAGTLVAAAVYALRVYYVQGF >ORUFI12G11770.1 pep chromosome:OR_W1943:12:12545303:12548761:1 gene:ORUFI12G11770 transcript:ORUFI12G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPPPTPQCDGGFLQSRGSLEDSGRSSDGDGDGWQIRWRHTVGSRQSCLPRDVEEVNNRNKMTWWLAGRLGEDGNDARGMMTMACHREFPSPIKTRGWGIPVAVCLAAPEAPRPPQAIATPEAPQPSPAIAVQVRWKPATAAYLTAQGRGKCSRRHTGDLSSTRAQLLPRPSPHALPDRTAAHAGIDTIAAVPALAAHVGTATVAEHTEAVAGRRLNTTELSEGMGLGPYPFTQGHGCHLTGVKSEGKRRVRFQKEVAKMQTLKSRGKTAVDIQNEGMDAIAP >ORUFI12G11780.1 pep chromosome:OR_W1943:12:12550643:12552210:1 gene:ORUFI12G11780 transcript:ORUFI12G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASDTCGCGLASATCVGSDGTGSGGDTAMRRRRRRGGGCWPTRRRRLRGGGCGEGARRRRRRQRGEVAEAAAKGEEAVKGEESGDVLAFATSNRSTTPRPLDTSTRGKMQPRAPIDFIGTSSLGGNATS >ORUFI12G11790.1 pep chromosome:OR_W1943:12:12554442:12554873:-1 gene:ORUFI12G11790 transcript:ORUFI12G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGREREKESLRSSLTLVTDPSRRRQDDGGGAKRRVASGSGETAAKAAPFACAKCTQRRRERLGHGPIWAGSARLG >ORUFI12G11800.1 pep chromosome:OR_W1943:12:12554878:12557010:1 gene:ORUFI12G11800 transcript:ORUFI12G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTGRSPSPELRKPNPRATNSASSRSCYRRSGHLPLSLRLPPLSPGARCSETPSPTGVPTSRPPESRHLSRPPFYFLSAAREKKRRDRTASSIGIQGADVDPNGYAEAAWQEFDHYDEPKPHCAVTSSKWDLRTALLFSA >ORUFI12G11810.1 pep chromosome:OR_W1943:12:12575844:12578900:1 gene:ORUFI12G11810 transcript:ORUFI12G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSSFLIERSGFSRSNPTSQIAPTISSHGHTTSSPHPSLPSFTPPNRAPRSKSLLPIRFLTHNLALPLSRSTAVVSTRHWGHRLRLASPPPISSPPKPSCAATGALSTTPPVKSASIPRALPPAPSPPCRHQFVDPSRAATGFHPTASQVSSATPRTPRHRRSSSPPANKHSSSFMEFIYGWVVDSNDFPLPIALVEISGRCKTNDHGKKNYLSFLVLNLGDERTYGLVWVLETGGVAEKLMMNVVFFMGDGDLVVLVVGKIALVLSNMLYEDMKAGPNRVLVVYDQTS >ORUFI12G11810.2 pep chromosome:OR_W1943:12:12575844:12578900:1 gene:ORUFI12G11810 transcript:ORUFI12G11810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSSFLIERSGFSRSNPTSQIAPTISSHGHTTSSPHPSLPSFTPPNRAPRSKSLLPIRFLTHNLALPLSRSTAVVSTRHWGHRLRLASPPPISSPPKPSCAATGALSTTPPVKSASIPRALPPAPSPPCRHQFVDPSRAATGFHPTASQVSSATPRTPRHRRSSSPPANKPVICLLRRQSPPKVKLKDERTYGLVWVLETGGVAEKLMMNVVFFMGDGDLVVLVVGKIALVLSNMLYEDMKAGPNRVLVVYDQTS >ORUFI12G11810.3 pep chromosome:OR_W1943:12:12575844:12578900:1 gene:ORUFI12G11810 transcript:ORUFI12G11810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSSFLIERSGFSRSNPTSQIAPTISSHGHTTSSPHPSLPSFTPPNRAPRSKSLLPIRFLTHNLALPLSRSTAVVSTRHWGHRLRLASPPPISSPPKPSCAATGALSTTPPVKSASIPRALPPAPSPPCRHQFVDPSRAATGFHPTASQDFPLPIALVEISGRCKTNDHGKKNYLSFLVLNLGDERTYGLVWVLETGGVAEKLMMNVVFFMGDGDLVVLVVGKIALVLSNMLYEDMKAGPNRVLVVYDQTS >ORUFI12G11810.4 pep chromosome:OR_W1943:12:12575844:12578900:1 gene:ORUFI12G11810 transcript:ORUFI12G11810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSSFLIERSGFSRSNPTSQIAPTISSHGHTTSSPHPSLPSFTPPNRAPRSKSLLPIRFLTHNLALPLSRSTAVVSTRHWGHRLRLASPPPISSPPKPSCAATGALSTTPPVKSASIPRALPPAPSPPCRHQFVDPSRAATGFHPTASQDFPLPIALVEINERTYGLVWVLETGGVAEKLMMNVVFFMGDGDLVVLVVGKIALVLSNMLYEDMKAGPNRVLVVYDQTS >ORUFI12G11820.1 pep chromosome:OR_W1943:12:12614771:12615863:-1 gene:ORUFI12G11820 transcript:ORUFI12G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDQNGQYKNVKKSERFCAGIRTELLSSHRRDRAQQGELGVARNKATRSRTGNRLKAEKKEASSPRKGVIVKLTLSMLGRIGPCNSWACVSQSRRVSETATQT >ORUFI12G11830.1 pep chromosome:OR_W1943:12:12623904:12624512:-1 gene:ORUFI12G11830 transcript:ORUFI12G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGSIFWPRRLIWEIMRGARGIGPTEGAGAARRMAGGGGGSSCSVRAEPVLPRRRCRGAAGSNAAETGGRPSWSPRRGSEESGQRRPAHVGGGGESPRSGTGDGEAGAGVIRPGGDGDDGEAGARRDGIDRNDDDIQIRAAAWMAEDASTVKRRPAGVGGCGGHELPRRRRPQRRSRQGRVPPYPVSRRRRRDELDDAGGA >ORUFI12G11840.1 pep chromosome:OR_W1943:12:12628537:12630854:-1 gene:ORUFI12G11840 transcript:ORUFI12G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQSNDHGAGLLDAQLELYANTLAVVKSMALKTAMDLGIADAIHHHGGAATLPQILTRVTLHPSKIPCLRRLMRVLTLTGVFAVEKPTAADEPPVYALTPVSRLLVSSGNLQQAPIMSLLLHPSCITPFLRIGDWLQRELPGSSIFEHTHGRSLWEVADGDAAFSKVFNDAMVSDSRLVMDVVVREHGDVFRRISSLVDVAGGHGTAAQAIARAFPEVKCSVMDLAHVVAKAPGGTGVEYIAGDMFESIPPANAVFLKWIMHDWGDDECVKVLKNAKKAIPSKDAGGKVIIIDVVVRAGSPDQKHIELQALFGAYMMLINGVERDEKEWKKVFIEAGFSGYKIIPVLGFRSIIEVYP >ORUFI12G11850.1 pep chromosome:OR_W1943:12:12664718:12666372:-1 gene:ORUFI12G11850 transcript:ORUFI12G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIQSNGQHATSSLDALSELYGNTFSVIKSMALKAALDLGIADAIHHHGGAATMAQIATRVTLHPSKIPCLRRLMRVLTLSGIFAVQKPAPGDAAAEADEAPMYTLTPVSRLLIGAGNQRHMMSMLLHPCFIAPFFRISDWLQLELPEPCMFKHTHGQSFWEMTNEDAAFNTVVNDGMASDSAFMMDILVREHGEVFQGISSLVDVAGGNGAAARAIAKAFPEVKCSVMDLAHVVADAPRGTGVEFIAGDMFDSIPAANAVFLKWIMHDWSDNDCVKILRNSKKAIPSRDAGGKVIIMDIVVGVGPSDQKHRDVQILFDALIMFVNGVERDEHEWKKLFVEAGFSSYKIMPVMGFRSIIEVYP >ORUFI12G11860.1 pep chromosome:OR_W1943:12:12728117:12730913:-1 gene:ORUFI12G11860 transcript:ORUFI12G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRQGALRGPSDYSQEPTRHPSLRINAKEPFNAEATRRDLVASYVTPVDLFLKRNHGPIPILHDIDSYYVTVAGLIERPAKLYLNDIKKLPKYNVTATLQCAGNRRTEMSKNRKVRGVGWDVCALGNATWGGAKLSDVLQLIGVAYHTEITPSGGKHVEFTSVDQCPEEKGGPYKASIPLGHATNPAADVLVAYEMNGEVLKRDHGYPLRVVVPGVIGARSVKWLDRIDIIEEECQGFFMQKDYKMFPPSVDWDNIVWSTRKPQMDYPVQSAICSLEDTNAIIPGEVTVTGYALSGGGRGIERVDISTDGGKTWFGAVRYQKEGVPYVAGDISSDKWAWVLFKTVVDVKGDTEIIVKAVDSSANVQPESVETIWNLRGILNTCWHRVRLLTIHNLRSLL >ORUFI12G11870.1 pep chromosome:OR_W1943:12:12740272:12746787:-1 gene:ORUFI12G11870 transcript:ORUFI12G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGGGGGGRKSSSPAGKPAEAARAGSLLAGLPSRGNFVADSIASSMGGLPVYVCLHDTAPPEGQVIDTDTTNILIRSLQLSKQKNEAKDVGSRTPGESSKGKRSASRLLDGKNPSKRANTGSTAGSSAHGELGSVFSEQTLQSFTVEKLRILLKERGLSPKGKKDELIARLIESSE >ORUFI12G11880.1 pep chromosome:OR_W1943:12:12749221:12750278:-1 gene:ORUFI12G11880 transcript:ORUFI12G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQVGVTRKISDPNTKAVATDRTIRNLVCHEDLRLTCRNHLSHQKYRSSLLGETPGRSRRAKTKGFVTKNRAKLGDEDQWVRHQAW >ORUFI12G11890.1 pep chromosome:OR_W1943:12:12766247:12769795:-1 gene:ORUFI12G11890 transcript:ORUFI12G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESCSYVLPLLTCCLFLLYSITCYALAAAAGGGGSCGGSDDHAAPRSYPLVGCLLDFYRNRRRLLAWYTGLLAASPSQTIVVDRLGARRTVVTANPANVEHVLRANFGNYPKGKAFTDVLGDLLGGGIFNADGERWFAQRKLVSHEFSARVMREAVGVALEREARARLLPALDAAAEGGGGVVVVDVQELLRQFAFNVICRVALGGGGGEVALALPLSRLAAAFDAAAAISARRGAAPVAAAWKVKRALGVGSERRLREEVKVIRDAIMEFVRDSSSRRRREQLLAAARGRDDLVSRMAASGYPDEAICDMVVSFIMAGRDTTSSALTWFFWLMTRHRNVEREVLDEVDACMGDGGGLAGVDLEGSRRARVLHAALCETMRLSPPVAWDSKHAAEDDVLPDGTRVGRGDRVTYFPYGMGRMEAIWGADAGEFRPGRWLAAAAAGGGVSPFKYPVFQGGPRTCLGKDMAFVQMKFVASAVLRRFELRPVAPEGSPEFVPLLTAHMAGGLKVTVRRRQRQNGTFSIDKIVMQKPVVD >ORUFI12G11900.1 pep chromosome:OR_W1943:12:12791805:12799121:1 gene:ORUFI12G11900 transcript:ORUFI12G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDISKPRIDAWNSEQLPIYEPGLDEVVKECRGRNLFFSTDVEKHVAEANIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGKKAVQALKEVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVAEVAYSVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRDLAMSKFDWDHPMHLQPTSPTAFKQVSVVWDAYEATKGAHGVCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNVVDAEKLREIGFIVYSIGKPLDAWLKDMPAERLPFCQTEIVSSQSKHFSSSVWSHSPPSPPPLLSTPTPTRQLGRRRTSISIRSPPSVADPRADTDTDLDPDPRSPRRKMVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDISKPRIDAWNSDQLPIYEPGLDEVVKECRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGKKAVQALKEVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVASMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRDLSMAKFDWDHPRHLQPTSPTAFKQVSVVWDAYEATKGAHGLCILTEWDEFKTLDYQRIFDNMQKPAFVFDGRNVVDPEKLREIGFIVYSIGKPLDAWLKDMPAVA >ORUFI12G11900.2 pep chromosome:OR_W1943:12:12791805:12799121:1 gene:ORUFI12G11900 transcript:ORUFI12G11900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDISKPRIDAWNSEQLPIYEPGLDEVVKECRGRNLFFSTDVEKHVAEANIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGKKAVQALKEVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVAEVAYSVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRDLAMSKFDWDHPMHLQPTSPTAFKQVSVVWDAYEATKGAHGVCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNVVDAEKLREIGFIVYSIGKPLDAWLKDMPASKHFSSSVWSHSPPSPPPLLSTPTPTRQLGRRRTSISIRSPPSVADPRADTDTDLDPDPRSPRRKMVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDISKPRIDAWNSDQLPIYEPGLDEVVKECRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGKKAVQALKEVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVASMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRDLSMAKFDWDHPRHLQPTSPTAFKQVSVVWDAYEATKGAHGLCILTEWDEFKTLDYQRIFDNMQKPAFVFDGRNVVDPEKLREIGFIVYSIGKPLDAWLKDMPAVA >ORUFI12G11910.1 pep chromosome:OR_W1943:12:12800148:12807290:-1 gene:ORUFI12G11910 transcript:ORUFI12G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKGGGGGGKGGGGKKKEVKKETKLGMAYKKDDNFGEWYSEVVVNSEMIEYYDISGCYILRPWAMEIWELLKEFFDAEIKKLKLKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSDLEAPIAIRPTSETVMYPYFSKWIRSHRDLPLRCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADEEVLQILELYRRIYEEFLAVPVSKGRKSEMEKFAGGLYTTSVEAFIPNTGRGVQGATSHCLGQNFAKMFDITFENDKGSRSMVWQNSWAYTTRSIGVMVMTHGDDKGLVLPPRVAPLQVIVIPVPYKDVDTTAIVNECKKTVETLEKAGIRADLDTRENYSPGWKYSHWEMKGVPLRIEIGPKDMANNQKNLFETAKQKRDACLKVVNTWDEFIVALNDKKLILAPWCDEEEVEKDVKARTKGDLGAAKTLCTPFEQPDLPEGQHDNIEENQTKTKCA >ORUFI12G11920.1 pep chromosome:OR_W1943:12:12811934:12818618:-1 gene:ORUFI12G11920 transcript:ORUFI12G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEKDVGCVFAKTGEVNIEKIKCSKYFQALLQKSKGHAAEQMKTTDCQESTIEEGPHVEENSTDMENEKLSIRASKLVMQRKLTSLRSHKPLKANVVQDGNMFKSVSNISNESVAVENGVRTNHTDNKYTAYMDLEDDDRPRGLLQNAKRKHAGFRSPICEHANSPLSNDEADAPANEFVTARTKLEMDSVQKYGHNGTQGASVSPQCDNNPNNRNYGVRPTWNSRRGLRGNFVPPIRNNGGSTSNMTSRVIGKNDDSMGDSTRKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWDDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNDQILLIGTSCVKKMKISSVICINEQQTDLKNWMKQHGGDLQNVYIFPFLHQARAWIIRNLLEKDGLFKLTEEETNIVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVGITKLNKEDMRPVMLKDFETALQEVRPSVSSSELGTYEEWNRQFGSLAN >ORUFI12G11920.2 pep chromosome:OR_W1943:12:12811934:12818618:-1 gene:ORUFI12G11920 transcript:ORUFI12G11920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISEKDVGCVFAKTGEVNIEKIKCSKYFQALLQKSKGHAAEQMKTTDCQESTIEEGPHVEENSTDMENEKLSIRASKLVMQRKLTSLRSHKPLKANVVQDGNMFKSVSNISNESVAVENGVRTNHTDNKYTAYMDLEDDDRPRGLLQNAKRKHAGFRSPICEHANSPLSNDEADAPANEFVTARTKLEMDSVQKYGHNGTQGASVSPQCDNNPNNRNYGVRPTWNSRRGLRGNFVPPIRNNGGSTSNMTSRVIGKNDDSMGDSTRKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWDDIANSCQPAIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNDQILLIGTSCVKKMKISSVICINEQQTDLKNWMKQHGGDLQNVYIFPFLHQARAWIIRNLLEKDGLFKLTEEETNIVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVGITKLNKEDMRPVMLKDFETALQEVRPSVSSSELGTYEEWNRQFGSLAN >ORUFI12G11920.3 pep chromosome:OR_W1943:12:12811934:12818618:-1 gene:ORUFI12G11920 transcript:ORUFI12G11920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISEKDVGCVFAKTGEVNIEKIKCSKYFQALLQKSKGHAAEQMKTTDCQESTIEEGPHVEENSTDMENEKLSIRASKLVMQRKLTSLRSHKPLKANVVQDGNMFKSVSNISNESVAVENGVRTNHTDNKYTAYMDLEDDDRPRGLLQNAKRKHAGFRSPICEHANSPLSNDEADAPANEFVTARTKLEMDSVQKYGHNGTQGASVSPQCDNNPNNRNYGVRPTWNSRRGLRGNFVPPIRNNGGSTSNMTSRVIGKNDDSMGDSTRKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWDDIANSCQPAIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNDQILLIGTSCVKKMKISSVICINEQQTDLKNWMKQHGGDLQNVYIFPFLHQARAWIIRNLLEKDGLFKLTEEETNIVCKLTEDASMGPLREALQQGVGITKLNKEDMRPVMLKDFETALQEVRPSVSSSELGTYEEWNRQFGSLAN >ORUFI12G11920.4 pep chromosome:OR_W1943:12:12811934:12818618:-1 gene:ORUFI12G11920 transcript:ORUFI12G11920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MISEKDVGCVFAKTGEVNIEKIKCSKYFQALLQKSKGHAAEQMKTTDCQESTIEEGPHVEENSTDMENEKLSIRASKLVMQRKLTSLRSHKPLKANVVQDGNMFKSVSNISNESVAVENGVRTNHTDNKYTAYMDLEDDDRPRGLLQNAKRKHAGFRSPICEHANSPLSNDEADAPANEFVTARTKLEMDSVQKYGHNGTQGASVSPQCDNNPNNRNYGVRPTWNSRRGLRGNFVPPIRNNGGSTSNMTSRVIGKNDDSMGDSTRKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWDDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQEQQTDLKNWMKQHGGDLQNVYIFPFLHQARAWIIRNLLEKDGLFKLTEEETNIVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVGITKLNKEDMRPVMLKDFETALQEVRPSVSSSELGTYEEWNRQFGSLAN >ORUFI12G11920.5 pep chromosome:OR_W1943:12:12811934:12818618:-1 gene:ORUFI12G11920 transcript:ORUFI12G11920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MISEKDVGCVFAKTGEVNIEKIKCSKYFQALLQKSKGHAAEQMKTTDCQESTIEEGPHVEENSTDMENEKLSIRASKLVMQRKLTSLRSHKPLKANVVQDGNMFKSVSNISNESVAVENGVRTNHTDNKYTAYMDLEDDDRPRGLLQNAKRKHAGFRSPICEHANSPLSNDEADAPANEFVTARTKLEMDSVQKYGHNGTQGASVSPQCDNNPNNRNYGVRPTWNSRRGLRGNFVPPIRNNGGSTSNMTSRVIGKNDDSMGDSTRKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWDDIANSCQPAIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQEQQTDLKNWMKQHGGDLQNVYIFPFLHQARAWIIRNLLEKDGLFKLTEEETNIVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVGITKLNKEDMRPVMLKDFETALQEVRPSVSSSELGTYEEWNRQFGSLAN >ORUFI12G11930.1 pep chromosome:OR_W1943:12:12848501:12848776:-1 gene:ORUFI12G11930 transcript:ORUFI12G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCCVFLRWPSTLPSLLGYRFLDDSKVTGLSSPVVTVVVEKEWYVFSIDYLMLDSYPSRLLRETAVRKDESKATLFVNVTILFLSVLCAK >ORUFI12G11940.1 pep chromosome:OR_W1943:12:12865650:12873022:-1 gene:ORUFI12G11940 transcript:ORUFI12G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFTTAAKRLPSAGIIPEFHAAISDAKQASHNAFSGSSYCVLQMVPFHYGEGVFQISYHGGSLVCPWASHQLFDEMHGRGALLLLQIFHFCRNSMPPSVMPRKQATMSAPRPSAAPATACCRWYPSITVRGSSRLAITVVPWCAHGHLTNCLMKCMVETERRLRLISHHPLNARLTPRVVVPFRHRRALNERRGLPHRWSFPIHRGRPQPLFSSCASLPCAMFSCLHPRRLGAGRPLPTTTPPDNLYPCRPPLHRVLAFFVIYTPEERGFLPRLSVVPVSSSMNDFTTAAKRLPSAGIIPDH >ORUFI12G11940.2 pep chromosome:OR_W1943:12:12867562:12873022:-1 gene:ORUFI12G11940 transcript:ORUFI12G11940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFTTAAKRLPSAGIIPEFHAAISDAKQASHNAFSGSSYCVLQMVPFHYGEGVFQISYHGGSLVCPWASHQLFDEMHGRGALLLLQIFHFCRNSMPPSVMPRKQATMSAPRPSAAPATACCRWYPSITVRGSSRLAITVVPWCAHGHLTNCLMKCMVETERRLRLISHHPLNARLTPRVVVPFRHRRALNERRGLPHRWSFPIHRGRPQVPIRRPEPTSSPTAAAGDKVFPMRRRRRSPPLPMRSFPSAAAAAVTAH >ORUFI12G11940.3 pep chromosome:OR_W1943:12:12865650:12873022:-1 gene:ORUFI12G11940 transcript:ORUFI12G11940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFTTAAKRLPSAGIIPEFHAAISDASQASHNAFSGSSYCVLQMVPFHYGEGVFQISYHGGSLVCPWASHQLFDEMHGRDH >ORUFI12G11940.4 pep chromosome:OR_W1943:12:12867562:12873022:-1 gene:ORUFI12G11940 transcript:ORUFI12G11940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFTTAAKRLPSAGIIPEFHAAISDASQASHNAFSGSSYCVLQMVPFHYGEGVFQISYHGGSLTERRLRLISHHPLNARLTPRVVVPFRHRRALNERRGLPHRWSFPIHRGRPQVPIRRPEPTSSPTAAAGDKVFPMRRRRRSPPLPMRSFPSAAAAAVTAH >ORUFI12G11940.5 pep chromosome:OR_W1943:12:12865650:12867502:-1 gene:ORUFI12G11940 transcript:ORUFI12G11940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCLHPRRLGAGRPLPTTTPPDNLYPCRPPLHRVLAFFVIYTPEERGFLPRLSVVPVSSSMNDFTTAAKRLPSAGIIPDH >ORUFI12G11950.1 pep chromosome:OR_W1943:12:12867517:12867849:1 gene:ORUFI12G11950 transcript:ORUFI12G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMKTGAGEDLASGSVRGDGGGGGGRERPHGQRWTAAAAAHREDLVSGGGGWGRRRLRATDGDLRPAAVDGEAPAVGKTSPLVQCAPVAEGDDDARSESRVERVMTDEA >ORUFI12G11960.1 pep chromosome:OR_W1943:12:12880264:12882839:1 gene:ORUFI12G11960 transcript:ORUFI12G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAKSNGYHASDATSSLDALSELYGNTFAVVKSMALKAAMDLGIADAIHHHGGAATLSQIVTRVALHPSKVPCLRRLMRVLTLSGVFAVQKLAPGDAAAPADEAEAAVYALTPVSRLLIGAGNQGHMMSMLLHPNFITPFFRISDWLQRELPGPCIFKHTHGRSLWEMADDDAAFNTVVNDGMASDSIFTMDILVREHGEVFQGISSLVDVAGGNGTAAQAIARAFPEVKCSVMDLAHVVAEAPGGTGVEFIAGDMFESVPPANAVFLKWIMHDWGDNDCVKILGNCKKAIPTRDKGGKVIIMDIVVGTGPSDQKHRDVQILYDAYILFINGAERDEQEWKKLFLEAGFSDYKIMPIMGFRSIIEVYP >ORUFI12G11970.1 pep chromosome:OR_W1943:12:12900243:12905911:1 gene:ORUFI12G11970 transcript:ORUFI12G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQSNEHGAGLLDAQLELYVNTLAVIKSVALKAAMDLGIADAIHHHGGAATLSQIVTRVTSLHPSKIPCLRRLMRVLTLTGVFAVETTEPVDGAAADELPAAVYALTPASRLLLVGSGNHHQQASLMSMLLHPSFIASFLRISDWLQRELPGPCIFEHTHGRSMWEMANDDAAFNKVVNNGMVSDSRLVMDVVVREHGEVFRGISSLVDVAGGHGTAARAIAEAFPEVRCTVLDLPHVVAGAPVGAGPGVEYVAGDMFESVPPANAVFLKWIMHDWGDDECVKILKNCKKAIPSRDAGGKVIVVDMVVGVGPPDQKHLEMQTLFDAYIMLINGVERDEQEWKKVFVEAGFSDYKIMPVLGSRYRIEMN >ORUFI12G11980.1 pep chromosome:OR_W1943:12:12909574:12910152:1 gene:ORUFI12G11980 transcript:ORUFI12G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIEEPSGKLFPHFMIPEATAGITSSCIGRESVRVIACLLCDDGVLEKQEIVAQSNFNILRSLASGENDDDDEEDATLLSLLHQQLVIRELFLDARGLGHVGICCNLK >ORUFI12G11990.1 pep chromosome:OR_W1943:12:12964507:12964689:1 gene:ORUFI12G11990 transcript:ORUFI12G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCRNVRPCPALRRRCRWRAARAPPQTPCPPPCRPRAVPAALGRAQPCPGCCLPFGWR >ORUFI12G12000.1 pep chromosome:OR_W1943:12:12970545:12971360:1 gene:ORUFI12G12000 transcript:ORUFI12G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPASGDDDVVNEKKEEGKGKAFCSCRRRACLHKIFLRFDLDGDGSLTKLELGVLLRSLKLLRLLSLRLAAEDEIHALLAIMELGAFLHSLLLRPAARDEINAFMSAWSSARSSAYSAPVWQSSTWVPSSLIDVLASILTELIVGPCCPIITLDQAEVAEAFRAFDCKGNGFISAIELTRSIADTATPTASSSFYLTVGPITH >ORUFI12G12010.1 pep chromosome:OR_W1943:12:12974427:12977634:1 gene:ORUFI12G12010 transcript:ORUFI12G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILEALQQTSIPVTGSSSIAVSPENFIKCLKKFYHHWKEDGSNLWGSSTAIAIATPPPSDDIRYKKSLALSMWFFNRELPETIMVFTEKQIHVLCKQKGCDALKPLKMPVSKAVSIEIVLHNLAKGDNGSSLMDEILHAVCSHFESKSAVIGHLAREKPEGKILEVWSEKLNGSRLRLSDVSSGISDLLSVKDATEIISVVPKLEKIIADEMKVQHSKLTDLMEKILLSPTKIDVKLKAENVDICYPPIFQSGSKYDLRPAAASNNDNLYYDSGSLIVCAMGAKYSNYCSNVARTFLIDCAGEKCNAYKVLCQAHDAAIAALVPGSKASDSYQAAVSLVRDKAPDLLPFFTKSAGTGIGIEFRETWLSLNEKNDRILKEGMVFNVSLGFQNLPEKTGDYKNKEFSLLLADSVLVCKEKPHVLTAFVSKADGDVFYSFDEEKTGSPSVKPSLNAKVMVPEKPVPKAELMLPLKENLRSRSRTPKEDLRKQLQAEILQKRTAEIAMNSNASNHKLLEGQGLRAMREPVAYKSTRDIPCSNRLEIQVDKQNEAILLPIYGVIVPFHVCTVKKAEIRGDSNRGVYVCITFNVPGTASNLQDPCLKTNANRIFLKAVTFISKDRKHADEAIQLMRIIQRGVLERAKRASLVSQERLQLCDRMTRDRIQLMDLWIRPTFAGRGRKSPGILVLHVNGFQYSASKSEKIEIMFCNVKHAFFQPAEKEMITLLHFHLYNDIMVGNKKTRDVQFYIEVMDTVDSVGLRRRTAWDPDEIEEEQRERARRSGINRQFELFVKRVNSIWSQPRFEQLGLQFETPSQKLGFNGVHGRTTCFIVPTPSCLVQLVESPFLVTSLREVDIVCLERVALGQKSFDMVFVFQDLKRDVIRIEVIPMTSIDGIKDWLNGCNLKYYESKLNLSWRKVLKEVMNNKESDENNRWEFLNPDASDSDSESSQTEDDQYEPSDADSCSESDDEDSDSESVVDSGEDDGAMDGSEDDGGDAAESWDEMERKARDADMEMGRESDSEDERQRRREKALAKSRCPSHPQAKGAAHKRQRIN >ORUFI12G12020.1 pep chromosome:OR_W1943:12:12979931:12981249:1 gene:ORUFI12G12020 transcript:ORUFI12G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGQPPPPQACQAAAFPCLPPATCYLRYKSRTSLRPSAFFATGHCFREPLPDRVSRVAPPRAHPLPIPAIPGLPGRPFWALPPTPRRSSAAAFRASPSGSAGSEAARGTKVVVRAARVSPPSRPQRPHRGLSSIPTLDMAYLMITVFSSTIQHSSKDVGVPPEYRIRRAVNTSKEKVSPGDSDIDTESSTNLQNSSVIRGYPTKCSVQLDM >ORUFI12G12030.1 pep chromosome:OR_W1943:12:12981058:12983280:-1 gene:ORUFI12G12030 transcript:ORUFI12G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSCWTVGPSCGSGTHMSVAQLRLLRFSYPDFGEQGGDRSRASAAAAAEELEQDEIKDVLRCILHTIFFHRTLTLVRPKDVDCDLFEITYVQCGLPDLEKEVDEKINQFIAWVEKHPNRRSQVCLSFFDEKNKNPSWFTSKTERIYWEQWFINLHVISPKGHGKSRSSKASTSIRGKALEEASSKRAALGLLIQEVLFQIINFANEKKDHIPPISDRIFNHEISIPSSSDSVFGWNADVLRRVLNS >ORUFI12G12030.2 pep chromosome:OR_W1943:12:12981058:12983003:-1 gene:ORUFI12G12030 transcript:ORUFI12G12030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCETCQLKELELEQDEIKDVLRCILHTIFFHRTLTLVRPKDVDCDLFEITYVQCGLPDLEKEVDEKINQFIAWVEKHPNRRSQVCLSFFDEKNKNPSWFTSKTERIYWEQWFINLHVISPKGHGKSRSSKASTSIRGKALEEASSKRAALGLLIQEVLFQIINFANEKKDHIPPISDRIFNHEISIPSSSDSVFGWNADVLRRVLNS >ORUFI12G12040.1 pep chromosome:OR_W1943:12:12983279:12993634:1 gene:ORUFI12G12040 transcript:ORUFI12G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAHAEISRQKKEKKTRLLAPPPDHHLGDSTATARCWPPPAWFRLAAPSRIWHKIRLACVRHRLLPPVRLCAAIYVPPPVVPLPAPSLPCAAAPPPALPPLRRRLCSFPPVRREPERERLRERERDAREKSNILILRLQSLKYLEFRDKLNFSRFHKSQVIVMSTNVNNGNSESNLKRSYQVVVSATRDMGIGKDGTLPWKLPGDLKFFKDITVTTSDPSKKNAVVMGRKTWESIPLKFRPLPGRLNVILTRSGSFDFATAENVVICGSLDSALQLLATTPYCLTVEKTFIIGGGEILRQSLNAPACEAIHLTDIESSIECDTFIPPIDLSMFHPWYSSFPVVENGIKHSFISFVRVTESIAEANDSSGKELTGNDSKKVKFEIENFSFLPKMIFERHEEYQYLNLVQDIIRNGAKKNDRTGTGTVSKFGCQMRFNLRRNFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKGIHIWDGNASRQYLDSIGLTQREEGDLGPVYGFQWRHFGAEYTDMHADYVGKGFDQLMDVIDKIKNNPDDRRIILSAWNPTDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAQVCDLSPGDFVHVIGDAHVYRTHVEALEEQMRKQPKPFPILKINPVKKDIDSFVTSDFKLVRYDPHHKIEMKMAV >ORUFI12G12040.2 pep chromosome:OR_W1943:12:12983279:12993634:1 gene:ORUFI12G12040 transcript:ORUFI12G12040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAHAEISRQKKEKKTRLLAPPPDHHLGDSTATARCWPPPAWFRLAAPSRIWHKIRLACVRHRLLPPVRLCAAIYVPPPVVPLPAPSLPCAAAPPPALPPLRRRLCSFPPVRREPERERLRERERDAREKSNILILRLQSLKYLEFRDKLNFSRFHKSQVIVMSTNVNNGNSESNLKRSYQVVVSATRDMGIGKDGTLPWKLPGDLKFFKDITVTTSDPSKKNAVVMGRKTWESIPLKFRPLPGRLNVILTRSGSFDFATAENVVICGSLDSALQLLATTPYCLTVEKTFIIGGGEILRQSLNAPACEAIHLTDIESSIECDTFIPPIDLSMFHPWYSSFPVVENGIKHSFISFVRVTESIAEANDSSGKELTGNDSKKVKFEIENFSFLPKMIFERHEEYQYLNLVQDIIRNGAKKNDRTGTGTVSKFGCQMRFNLRRNFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKGIHIWDGNASRQYLDRYTDMHADYVGKGFDQLMDVIDKIKNNPDDRRIILSAWNPTDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAQVCDLSPGDFVHVIGDAHVYRTHVEALEEQMRKQPKPFPILKINPVKKDIDSFVTSDFKLVRYDPHHKIEMKMAV >ORUFI12G12040.3 pep chromosome:OR_W1943:12:12983279:12993634:1 gene:ORUFI12G12040 transcript:ORUFI12G12040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWAHAERFARASFPQSPAKKKKKKRGSSRLPPTTTSAIRRRPLAAGLRRLGFGSRRHLGKSNILILRLQSLKYLEFRDKLNFSRFHKSQVIVMSTNVNNGNSESNLKRSYQVVVSATRDMGIGKDGTLPWKLPGDLKFFKDITVTTSDPSKKNAVVMGRKTWESIPLKFRPLPGRLNVILTRSGSFDFATAENVVICGSLDSALQLLATTPYCLTVEKTFIIGGGEILRQSLNAPACEAIHLTDIESSIECDTFIPPIDLSMFHPWYSSFPVVENGIKHSFISFVRVTESIAEANDSSGKELTGNDSKKVKFEIENFSFLPKMIFERHEEYQYLNLVQDIIRNGAKKNDRTGTGTVSKFGCQMRFNLRRNFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKGIHIWDGNASRQYLDSIGLTQREEGDLGPVYGFQWRHFGAEYTDMHADYVGKGFDQLMDVIDKIKNNPDDRRIILSAWNPTDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAQVCDLSPGDFVHVIGDAHVYRTHVEALEEQMRKQPKPFPILKINPVKKDIDSFVTSDFKLVRYDPHHKIEMKMAV >ORUFI12G12040.4 pep chromosome:OR_W1943:12:12983279:12993634:1 gene:ORUFI12G12040 transcript:ORUFI12G12040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWAHAERFARASFPQSPAKKKKKKRGSSRLPPTTTSAIRRRPLAAGLRRLGFGSRRHLGKSNILILRLQSLKYLEFRDKLNFSRFHKSQVIVMSTNVNNGNSESNLKRSYQVVVSATRDMGIGKDGTLPWKLPGDLKFFKDITVTTSDPSKKNAVVMGRKTWESIPLKFRPLPGRLNVILTRSGSFDFATAENVVICGSLDSALQLLATTPYCLTVEKTFIIGGGEILRQSLNAPACEAIHLTDIESSIECDTFIPPIDLSMFHPWYSSFPVVENGIKHSFISFVRVTESIAEANDSSGKELTGNDSKKVKFEIENFSFLPKMIFERHEEYQYLNLVQDIIRNGAKKNDRTGTGTVSKFGCQMRFNLRRNFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKGIHIWDGNASRQYLDRYTDMHADYVGKGFDQLMDVIDKIKNNPDDRRIILSAWNPTDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAQVCDLSPGDFVHVIGDAHVYRTHVEALEEQMRKQPKPFPILKINPVKKDIDSFVTSDFKLVRYDPHHKIEMKMAV >ORUFI12G12050.1 pep chromosome:OR_W1943:12:13015462:13015704:1 gene:ORUFI12G12050 transcript:ORUFI12G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPPDPAGREAAAVGLGGRERAAMAVAATTMMTAMVATTMTMMLTAAATKTMSKPSTDGATSFYASDTNFGSARVVF >ORUFI12G12060.1 pep chromosome:OR_W1943:12:13088225:13089725:-1 gene:ORUFI12G12060 transcript:ORUFI12G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIRKKVIVATGSGTAGDGVEEVSGGHWPAWGQHGGGQWRTAADGVEEVSGGRRQMAWRRSTAADTGSRTASGSQTTAAARGLGDGSRRRQR >ORUFI12G12070.1 pep chromosome:OR_W1943:12:13125190:13125512:-1 gene:ORUFI12G12070 transcript:ORUFI12G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGTMLREEQRSGMVKRPCDKVKIKVTHGGRIPCREDFATGFTRSSVQVPGSRRKWRIEEELQYFEVL >ORUFI12G12080.1 pep chromosome:OR_W1943:12:13152461:13153354:-1 gene:ORUFI12G12080 transcript:ORUFI12G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLAQVAEREGRYLAQIKIQQHAKPSIGTRGIRKLHTGMGIPISEGSHYCCSHVRIAGTFRDSDSHVGSSVERVGLYFLIELQDLQCQPRVLVRECF >ORUFI12G12090.1 pep chromosome:OR_W1943:12:13153893:13158079:1 gene:ORUFI12G12090 transcript:ORUFI12G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMQEAKSYSCSSLKPTQKNRKEEVRFTFDVSKCDRIFDELVKKENIKLSDTIPLLEELKRAYCKWHNSSSHASNEGRLVLYEMQVDKIPFPVHTIDLNNAKMLIRPEQAEGAKGKNVIICEERLKTSEDKILARKVVVEKTPDGKESLKITVKASRLGGKKFPVRLWSPYCSGATSQTGHPTRSGRS >ORUFI12G12100.1 pep chromosome:OR_W1943:12:13159066:13165307:-1 gene:ORUFI12G12100 transcript:ORUFI12G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLFKPRVHPDLRDVFSKMSFFDKIGFLFIHAFDKRNLWHKVPVPIGLLYLNTRRTLLEKYNLLAVGRSSHGALFDPKEFLYRTEDGKYNDPHNAEAGSQNTFFGRNMEPVDQQDELMSPDPFVVATKLLARREYKDTGKQFNILAAAWIQFMVHDWMDHMEDTGQIGITAPKEVANECPLKSFKFHPTKELPTNSDGIKIVYGNNEERAEKLRTYVDGKLVIGDDGLLLHKENGVALSGDIRNSWAGVSILQALFVKEHNAVCDAIKEEHPNLSDEELYRYAKLVTSAVIAKVHTIDWTVELLKTKTMRAAMRANWYGLLGKKIKDTFGHIGGPILGGLVGLKKPNNHGVPYSLTEDIDIGEMIGLKGEEQLSKIGFEKQALSMGYQACGALELWNYPSFFRNLIPQNLDGTNRSDRIDLAALEVYRDRERSVPRYNEFRRRLFLIPIKSWEDLTSDKDAIETIRAIYGDDVEKLDLLVGLMAEKKIKGFAISETAFNIFILMASRRLEADRFFTSNFNEETYTKKGMQWVKTTEGLRDVINRHYPEITAKWMKSSSAFSVWDADY >ORUFI12G12110.1 pep chromosome:OR_W1943:12:13176982:13177254:-1 gene:ORUFI12G12110 transcript:ORUFI12G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDHCTGAPCLLAFVCRRPRHTHRQLLLAPRSPPPPPAASHRAAPHRPPPALDAPLAPIRSPATGRASRAKPASTAVDHASSLHAGLRYH >ORUFI12G12120.1 pep chromosome:OR_W1943:12:13217778:13220800:1 gene:ORUFI12G12120 transcript:ORUFI12G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMAEASHVTTSWETAASTAVVVAALSEAHRHHPRSGKRRIRSAATTLANAAAAVIDAAASLTDAAAPATLDVATVAGNG >ORUFI12G12130.1 pep chromosome:OR_W1943:12:13232672:13233861:1 gene:ORUFI12G12130 transcript:ORUFI12G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCGTMIIILAMLPAILTMADPYCDCDCPQQCEVKLHYYLHQFRAGANHPNRNEEFVTSGGPSGLGAGLIHDWSLTTGLDPNVNIVGRAQGWHIVASQSSPANWYLSQNIVFQDSKYAGSTLQVMGIIEGSEEKVGEWSIVGGTGEFTNARGNIKYRAIKKEDVEWIRELDIQVFYTPNTPSDVQVAKNITKGN >ORUFI12G12140.1 pep chromosome:OR_W1943:12:13241635:13254066:-1 gene:ORUFI12G12140 transcript:ORUFI12G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVELGLHDRGARAEHRKRRNTRRRLPSLDPSDTDWLEVQYINSYGVLMGYMTIAVNGLGFLVVTWTTVVLLGGFVSMLGKKDFWCLTVITLFQGRYYRNFKPCVTYAAQTVPSNRVFNVIMNKQLSNTGFSYLAICRSRAEISAGPSAYITVMKIQAAMLSIVMWLLFAIYLYGLYISAGISLWRLIERDYGGDNLKQSALDRDYGGDNLKQSALDVLYTLALLQGVIFGYRETFANFKGSLVDAVSLQYDEPDLGVAVLQYLQEIRSGCAKNPSFAAGRNLVTFAVDLLESKSGDGYVRGLEILGALLRLPIMETSANSGDRRRRRVQLGQLVLIRQQLTASASFGHIVHRLLVTLGPRSPYNRRIRECAATIVLHVAGEILVEQFRRGIHCISSLLDPYGQCCLSHDRRRPAWLPEAYGRSTVLEPYEREWLMETWKSSRGCDQEHDRPDRLSPESDEKDDDHCKDMMFLGMLILGALAVDDVNRTAMANSRGLIAKLIAPVSLDLLHSVGHGAWSKIMSKSLQVMNLLVDAPGEAGTDLRHEMLGNKKAISAMEAILECDQCGEKLQMLAMEILAALAIVDSGKDCASREEFVQMLLCAFSDCSRGIGVRAAAAAEALVMLSSKMEGSAMIIMKANNNSVDNLVKILLDRDNIILFRVATAVILERLCANYTEDDECLQNLKGVMTKAMPKLCVTICDKFTFSYQDLNQQFYATCHGVDKFSVWRKLKEIVETNNDPKVDSLRIAQHTTKMVISMMKNEGSYVDEDLQSLMQSLSLASKTMSDISTALCFSPVVITEERYFKSFVIWAH >ORUFI12G12150.1 pep chromosome:OR_W1943:12:13277169:13278182:-1 gene:ORUFI12G12150 transcript:ORUFI12G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWEARGFIFAGAATGDCPTTCGDVAMPFPFSIGAGCCRSLGFDLTCHWSSDPPRQLLGDAAAFQVLNVRRRWHSARAGTAPPLWPMLTRTAQTRSAGPSPPCADDVLAAAADALGRALASLHGRRARRRRLDFTVGMGEGKKTENEGIDGNVTISSRPSKMLYAETATGAVTTVKAPYRGGLAVWVLGCIKLPAN >ORUFI12G12160.1 pep chromosome:OR_W1943:12:13281004:13282413:-1 gene:ORUFI12G12160 transcript:ORUFI12G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMAVSTLLLLAAASLLSLQLLHPPPVASAAAVRARPKVGGYSAKSRPWVSKLVAGFLKKQLRNRGNKQQQQQLGGEAASGAAPPLVINITVGTPVAQTVSGLVDITSYFVWAQCAPCAAAAGCLPPPATAFRPNGSATFSPLPCSSDMCLPVLRETCGLAGAAANATAGARCDSYSLTYGGSAANTSGYLATDTFTFGATAVPGVVFGCSDASYGDFAGASGVIGIGRGNLSLISQLQFGKFSYQLLAPEATDDGSADSVIRFGDDAVPKTKRGRSTPLLSSTLYPDFYYVNLTGVRVDGNRLDAIPAGTFDLRANGTGGVILSSTTPVTYLEQAAYDVVRAAVASRIGLPAVNGSAALELDLCYNASSMAKVKVPKLTLVFDGGADMDLSAANYFYIDNDTGLECLTMLPSQGGSVLGTLLQTGTNMIYDVDAGRLTFETAPAAAAAVSLMTMMLVPLVASLLLF >ORUFI12G12170.1 pep chromosome:OR_W1943:12:13293394:13294170:-1 gene:ORUFI12G12170 transcript:ORUFI12G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIPNSAASARLRGIAILRARLPPPPLHRRRPTPPRNSPTPDPNADQLLHPPPCLILLFPHRLRRPSPPPPSIAASAVAPLDLLFPHSAHPHLSHHPPGRGILHLQAFLYPPRLHWLFPPSHIQSDLVIQIISKLNTREIF >ORUFI12G12170.2 pep chromosome:OR_W1943:12:13291683:13294170:-1 gene:ORUFI12G12170 transcript:ORUFI12G12170.2 gene_biotype:protein_coding transcript_biotype:protein_coding EIPNSAASARLRGIAILRARLPPPPLHRRRPTPPRNSPTPDPNADQLLHPPPCLILLFPHRLRRPSPPPPSIAASAVAPLDLLFPHSAHPHLSHHPPGRGILHLQAFLYPPRLHWLFPPSHIQSDLVIQIISKD >ORUFI12G12170.3 pep chromosome:OR_W1943:12:13292523:13293183:-1 gene:ORUFI12G12170 transcript:ORUFI12G12170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGPAHRQGSASLDRFQKNMEKKEKRPMGIFEAYAEARKSGKDGEDYCTDRVKDKLALQSAMKLNVLPPRPAAPTCPTVYLGEQSYTNINDLFTG >ORUFI12G12180.1 pep chromosome:OR_W1943:12:13313170:13313811:-1 gene:ORUFI12G12180 transcript:ORUFI12G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQAILKTIWSPLAAVLRPKNCAATSRNLIVVNAGGEIIAGGGREDATVTRKSRSSLEDLLKIEASTNPPETKAAADPQPADMATPAINLSKESELQIVLAGIPKNGHGGVIAGRPPPSLGGGGGAVVVRAPTVRVKVNRLVVLVPSALRARSRAAKMVDAAALPAKRGSYWRIAGRGRGGDKSELFYQRPIPLGRRCRVQHLEEVTLSDD >ORUFI12G12190.1 pep chromosome:OR_W1943:12:13319449:13319637:1 gene:ORUFI12G12190 transcript:ORUFI12G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLMSRWQIQRRIRQRGKSRVTGDSEGLDPAMGSEGQGRSWWRRWIRWRGGAWGWIEGCAR >ORUFI12G12200.1 pep chromosome:OR_W1943:12:13418208:13419063:-1 gene:ORUFI12G12200 transcript:ORUFI12G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVDLAIGRGWVGADLWAEEVRAAMVTVDGMNPAVGRGAGGVSTAERGMGQRGASGGKGASCRKRL >ORUFI12G12210.1 pep chromosome:OR_W1943:12:13420246:13420881:1 gene:ORUFI12G12210 transcript:ORUFI12G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQAILKSIWSPLTAVFRTKNCAATSSNLVVVNAGGEIIAGGGREDAIVTRKSRSSLEDLLKIESSSNPGTMAADEPLPNHMASPKNSSMESELQVVLAGYPENSHGGVIAGRPPESLDGGGGAVVVRAQTVRVKVNRLVVLVPSALRARSRAAKMVDAAALPAKRGSYWRIAGRGRGGDKSELFYQRPIPLGRRCRVQHLEEVTLSDN >ORUFI12G12220.1 pep chromosome:OR_W1943:12:13442551:13443284:-1 gene:ORUFI12G12220 transcript:ORUFI12G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSISLLFFSSSFVSFLTSLSASLFHPAFPHSAPLLLRLLASALSALVSVAENGAANNLGHLHSPHHLRRLDGHVLAAPGTDKATSHGKTRRDVGDAIPRHIWMLEAKAVSERDAAARALAALVATSGCRKLFKKKEQGIVNVVQLLDPSTVRGGIDARFLVSVLLAVSPSWRCQKHMGFLATEGDGAKKLANCLARGKMLGMFLRS >ORUFI12G12230.1 pep chromosome:OR_W1943:12:13511324:13512336:-1 gene:ORUFI12G12230 transcript:ORUFI12G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPSLHPSTGRSWPPRRQVVLSGGEGKPAAAPPPAPGTVTAMVARIDSRNRRGPYERGRLLRRAATRFGEGAARSVVLSGGEGKPAAAPPPAPSTVTAMVARIDSRNWRGPYERGRLLRRAATRFGEGAARDPIWRGSSPVGAIGVVRFGAVVPLAACRRVIRLWKSVLCTADLFLIRF >ORUFI12G12240.1 pep chromosome:OR_W1943:12:13516545:13518522:1 gene:ORUFI12G12240 transcript:ORUFI12G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSTAATAAVVVAVAVVAAMAMPAAGQGAPSGSPAPPYKNHTVAGADGWFFNATSNTTSGNYSDWAAGETFYLGDYLIFKTDDSSSVVQTSNATAYSLCDAEGPETLIYSPGHGDAASASPRAATIAVPLTVEGANYFFSEAGDGAQCEEGMRFEIKVAHGRGLPPDLAHPPPPPKPRVLAPPPDGTSMSPGVAGAGAGAAGDLTEGKSGGSRAGVGLLGVAVGVGLAVLVAA >ORUFI12G12250.1 pep chromosome:OR_W1943:12:13534487:13537333:1 gene:ORUFI12G12250 transcript:ORUFI12G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLWVFSCSLFSLWILSFMRSQATEARHGTLAVMCDERARILQDQVKVSMNHLQALAILVSTFHHSKSPSAIDQTTFARYVERTAFERPLTSGLAYAARVTHSERELFERQQAWSIRAMNFSSKRPRAEEYAPVIFAQDAYKHVVSIDMLSGAEDRGNLLRARESGKVVLTAPFQLLNKRIGVVLTYAVYKSELPLNATVHDRIQSSIGYLGGVFDIEGHVDKLLEKLAGKEPMTVNIYDTTGESMIRMYGSSNESASGMCHVSTLDFGDPLRKHEMHCRFTQGPPWPWLAVASSYGTLVISLLVGYIFHFTDKWIAKVEDGYKATDMQMPAKDEFAATERISDMERDLKEDALFFDTTKSPSLLEISRLLNHRDPAQNVHQEEQELNLPLEAQDKLKETERKLGRMSKFITKVMKLTSASIRCLPSRFHCFNKKVWSISLLGFLLFILVIGAFDQPYNQPLGMGGEGDNNMMLKNFGFSRGRLLIDTLHGTWTKRGVQSSDTIRVDLRKMTRNNDSSGQQLKHWSSHKSSEIPAVLYVPMNGKQVIVHCNLIVDEKALVNWISNGDTDQSSKYQKETAGIQNRTDKPHLPANKSHKTTVSPWIVLLPVIMLVLLGSIIWRRCNDHRRRVQQKELELLGIMGPSRFQLQDLVAATGNFADENKLGQGGFGPVYKGYLRDQDLHVAIKVLSRRQSCQEQSAQGLREFKAEVKVMTQLRHRNIVKLVGWSDSKKQLLLVYELMAQGSLDKHLYDPEKILTWQQRYQIKFANLFNSTDKIVLDLGSALLYLHHDCEKCIVHGDIKPANVMLDVSHNAKLGDFGLARLVEHGGEPQTTQVVAGTPGYIDPEFINNRWPRTELDVYSFGIVLLEIACGKRPASRQLPNGASSLLAWVRDLYDQGKILDAADQRLNGEFNQQQMERVIVMGLCCSHQDPIQRPSIVQAMDVL >ORUFI12G12260.1 pep chromosome:OR_W1943:12:13545900:13546172:1 gene:ORUFI12G12260 transcript:ORUFI12G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPVKVAVVAAVCVVLVVLSSSPAPAAAQMICSKCDQYCNSSCAGGMGGCSGACTDPASSSCTSCKQAYYYKCMNYCGSYCRSNCVNS >ORUFI12G12270.1 pep chromosome:OR_W1943:12:13560219:13560503:1 gene:ORUFI12G12270 transcript:ORUFI12G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRAASPKAAIVVAVCIPLILSSSSVGPATAAMFCGDCDIICGASCDGSGVTSACGDKCDGQSPAEACDNCLRVTKRKCLTSCADYCSTHCT >ORUFI12G12280.1 pep chromosome:OR_W1943:12:13578706:13578999:1 gene:ORUFI12G12280 transcript:ORUFI12G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAASPKAATVAAAAAVFAALVVLSSSLVAPAAAKMFCSNCDDICNASCVNSDTIAKLCAPQCDGCSPEACQSCLQALKQECLTGCSDYCHKNCT >ORUFI12G12290.1 pep chromosome:OR_W1943:12:13583841:13584122:1 gene:ORUFI12G12290 transcript:ORUFI12G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGANSPTAAIAVAAVFAVLVLSSSVAPAAAKMFCGSCDDICTASCIYADTIPRACAPQCDGCSPEACQSCLQALRQECLTSCGDSCRKNCT >ORUFI12G12300.1 pep chromosome:OR_W1943:12:13584887:13585240:-1 gene:ORUFI12G12300 transcript:ORUFI12G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDPATLESGGDDAGEEGCRWLQQREEELSWAKRTVGDCSCISGEEEASWANRTDCGCGRGEEEAQRWREWHLPPALERYPRTGDRGEERRLGEERRWGDGEEDTDGGGERTRCHQ >ORUFI12G12310.1 pep chromosome:OR_W1943:12:13590248:13590828:1 gene:ORUFI12G12310 transcript:ORUFI12G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVVLVVLLFSIKSSQFYFSLEWSKLVAEFPIASSRKGSRLERTEPKLNSKLDVKLGLSLFRAQAYIGKFAQAWLVDSPIHAD >ORUFI12G12320.1 pep chromosome:OR_W1943:12:13591026:13597892:-1 gene:ORUFI12G12320 transcript:ORUFI12G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCGRGEERVSVTARRRRAVARGWEKMRGAAAPAAADATLDSVEGREEGEGCETMDLHPTLSALHSLLTNGPHLSFSSPFLLPPPLSKTLSRRAAPPPLPPSLATLGGRLGGNGALLEAELRRGFKTLAVTPPDPSTVVYKVRLNRSAQLNALSLDAFAEIPRAMALLDRILAAHAVVLSPAGPHCPGNPAMAPSSPGGGDPAPAAGGGRRGLQVVLTAIERCRKLVVVAVHGGGVEVMASCAVLEKSRRRWGWNCVRQSSPQMIKHPWLIASTQFWQASGEQPPSHCGAHAVATVSVYSHEWAHVSSQLPAEHLRRRRRDGGGGEDNQHDAGHGGDGDGSRRRGYAGGHLLQDG >ORUFI12G12330.1 pep chromosome:OR_W1943:12:13597977:13601330:1 gene:ORUFI12G12330 transcript:ORUFI12G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSAAARLLSSSSAAAAASPLWSRRRRRHPFLHPPSRSKTTSSSSSSSKPPRRPPPRKDGGGPRPCLFQELSGLVAPSASDDPAFQPRRDEQERCGLLGHDTAQCAEGARRITPEGAAAASGSFTGSVPNNDALGFLPDGGIGPRSTATGGAPDSEQSIQEVGDGNAGDVENISEVVHRVTEVLRAEVPGLSVEQRLENLGVTYTPRLVSLVLNRCFKKRHLGFKFFDWVRQVPGFQHTTETYNAMLYIAGEERNFGAMEKLMDEMDKEMCLKDIKTWTIVISSYGKARQIGKMLSTFQAMGKSRHVAADSKVYRTILRALCNSAKSELALEFYKDMARNTEVGSDIFRLLLCCLAGSDNAEGVFYVRDDMIKSMKYPEEYCYLEALRSFCVSGKIEEAQKIFQQMMNKSIASSSAFEILLRGLCKDGRMDKALQVMEYMKSNSSASSATFGSLIDGYLRKGERMKALEVLQEMREYGCVPLASSYTQLMQHLFAFDQHEAACRLYEEMQENGIEPDVVAITALIGGHVRNGHISEAWDAFRNINENGQKPTLKAYTVFIQELCKASRPLEALKLLKEMLESDFRPSEQTFSRIISTLYDNHYLEEASNIERMRASFNCCSPIEELQRRTLDQVDYTDKFEKSSGSGPEEKERTVEFVGHPSYKDCEVSGSFPCDDTQDLEQAKDYNNEDVEQICRILSSSDCWSSIEQALEMTSISFTPDLVDAIMKRCKANSRAALQFFSWVGKRSYYMQTTKTYNTAIKLAGSAKDFKHMRHLYREMIWAECCPTVDTWNVMICQYGNAGLTEMALETFYQMKQGGFQPDKTTYSHLIMYLSRRKGRKVDAAVKIFHEMCRAGYIPDNGMVCTYLSVLCECGMIDRAESSVVLLCKHGFSIQAGYSILIRSLCRSDRMAEALSLFDNIKNYGCSRSAYMYGSLIHALLRRDRFEDASAKLAEMKNLGIAQSTHMYTSFMIYYLGKRDVSKAMDVLKEMTENGCEPTVVTYSALIRGHMAMGMVSEAWDVFQRMKLKGPVPDFETYSMFMSCLCKAGRSEDGLQLIHDMLNSGIIPSAVNFRTVVHGLNMEGKYKLADSVLQSKWQLRNRRTFSDSFIVNSSA >ORUFI12G12340.1 pep chromosome:OR_W1943:12:13604543:13606956:-1 gene:ORUFI12G12340 transcript:ORUFI12G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRPGQLRLHLAAAAGAAGRGARRRNPLPPVPTVPSSSSSSASTTTTRDLAAFARGSSSSSRSLSDRAGGGECWSCGASGAFLSCGSCGSVQPVDPAVDYFRIFGLEREYTIKDNNLEGKYKDWQKKLHPDLVHSKSEKERAFAAEQSALVIDAYRTLSKPLSRALYLLQLEGIPIDEEKTITDPELLMEMMEIREAVNDANDSQTLEKIQSQIKRKLETWSHSFQEAFERRDFDRAVKATQRMRYYERAVEETIKKL >ORUFI12G12340.2 pep chromosome:OR_W1943:12:13604545:13606956:-1 gene:ORUFI12G12340 transcript:ORUFI12G12340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRPGQLRLHLAAAAGAAGRGARRRNPLPPVPTVPSSSSSSASTTTTRDLAAFARGSSSSSRSLSDRAGGGECWSCGASGAFLSCGSCGSVQPVDPAVDYFRIFGLEREYTIKDNNLEGKYKDWQKKLHPDLVHSKSEKERAFAAEQSALVIDAYRTLSKPLSRALYLLQLEGIPIDEEKTITDPELLMEMMEIREAVNDANDSQTLEKIQSQIKRKLETWSHSFQEAFERRDFDRAVKATQRMRYYERAVEETIKKL >ORUFI12G12350.1 pep chromosome:OR_W1943:12:13613879:13622647:1 gene:ORUFI12G12350 transcript:ORUFI12G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAYDGGSGAGGKIRRRPPSRAAAASPYARPAPASAATRLGGGGGGGGSGGWFSRLVASGASLLLPSVFRKPPPPQPEHEREREHLGEPPSLPELLEEAPSQAETLDTPPSPPPPPLEDDIPEEEEEGTGTVANNSAKDGDDILRRSDSYDVMDLEELLKQRTFTRQDQVASPAELAKAYMGSRSSKGSPLRLRLHDPSVLSKSIEANTTQITRPPTLSLLASARNHAPTTSDRLGSNYTTPNRSAIYKMSSSPYFKSAVSSRDLFGTVSPYQAPSSLHTFGRQVLKRKSAALNNESASVGPIRKMHQRYNRVSPLLQTRSGHRGYSGSHASKLDEGLEHSVQSQKRRCLDKVGDITRSGVHDRANDSSFGQAPAQSIQMAAKILKQLDTIVPSQKEGTLATRQKHVDILDVEDPISQKTEVSAQGSLLKPSSSRVKESLPNNSNCAAKFTSAAKDSNTVDATSDKSAKLMPKDWLEMDNCRGSTKLSLNQGNDKTERKQSPIPENNDMSSGIINKEKPPTLPLRSHAPSNLVLSSEIDRNKILASSNGFSFPVPAALTGHSQAPPTPTLASPPVLSVEKQQLSASSSAPVTSVESIPRVFKPVSEEASVSNQRDTKSNTDKPPISQSSGQIVSFTSNPVFNVISSKPTTLSNGLADTTKSASAAVFPSNGSTKSVCSTNAGSSTPSFPKFSFQPGFRTSTSSVQSSGIQEPAITAPFSTVSSLAGGSSSPSSMIFAGATSQSSSITTSGSVPFQFSSQSSSESSLAGQDKSKAASSSAPFSFSPQFGSTSPFAGQGKSNSVSSQSTLLSGSQFSNSLSAQTSTSNSNLLSSEKVNPGSSPSFAFGSSAPGSSPVFSLAVGSGTTSATPASASSPIFCNRLTSTNAPPFGSPATSPFSSTSSPVFSFTSATPTIPNASPTTPLFGTPSPTVGLSTGTDQMNGGQMAGDKNPFAFSAASPFGLPSSSPSTPTLFSTPATQFASATSASPGMFQFGQHSQSSPGGFSIGTTGGNSEKSARRILKVKRKK >ORUFI12G12360.1 pep chromosome:OR_W1943:12:13623572:13627458:1 gene:ORUFI12G12360 transcript:ORUFI12G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIRHTCPASFVVHASTRSAISDLQPRQIGATADPKLVVEHSPSPHLPRADPSLAPSLIHRHQGYSSEEQQPPYYYLAVAQFLFICLLEVMLID >ORUFI12G12360.2 pep chromosome:OR_W1943:12:13623572:13627458:1 gene:ORUFI12G12360 transcript:ORUFI12G12360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIRHTCPASFVVHASTRSAISDLQPRQIGATADPKLVVEHSPSPHLPRADPSLAPSLIHRHQVEKRDIMALQGRYTHRRRCQSGCPSEAGALE >ORUFI12G12360.3 pep chromosome:OR_W1943:12:13623572:13626910:1 gene:ORUFI12G12360 transcript:ORUFI12G12360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIRHTCPASFVVHASTRSAISDLQPRQIGATADPKLVVEHSPSPHLPRADPSLAPSLIHRHQVLDGIPQ >ORUFI12G12370.1 pep chromosome:OR_W1943:12:13642761:13643914:-1 gene:ORUFI12G12370 transcript:ORUFI12G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIIIVVLDILLTEVLLLWIGLLMIFTVTIGIVVLLVFRWMKGLRDGDGSAASKSVSFKLATPSRDGSKPAASSVSKSKSKVESTAASGSKSRSVECYTCGGRGLYMRDCPNQKKVLMTKEGYVSESILENSEGIRKFRKVYNLIILLLRAIEILMIHLWMMVLKRIMVYLCLHMQFSEMALMLMQRGSAVIFFSQSANFKRRYAN >ORUFI12G12380.1 pep chromosome:OR_W1943:12:13643925:13644346:-1 gene:ORUFI12G12380 transcript:ORUFI12G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAHQVVSEHGSSRFCIMVKSGGSESSGGSHHGFDEEESIVYHCHVRNMLDRVDVHHLNIMSMMRRMRV >ORUFI12G12390.1 pep chromosome:OR_W1943:12:13646763:13651420:-1 gene:ORUFI12G12390 transcript:ORUFI12G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYRWILLLSVVVVVAVAAELPLASTTFKTLTGNAPLVIARGGFSGLFPDSSQFAFGFALSATSTDTSLWCDVQLTKDGVGFCLRDLLMQNGTTISQVYPGGKQTYRINGVPKTGWFPVDYNMSLLTNALFSRTDKFDFCNFRIFSVTGFMSSIEPSSLWLNVEHDVFYTEHGLNMTNYILSVQKLGFVKYISSPELGFLETLSGGIDHKVKLVFRFLDKAVSDLSTNKTYDSMLSDLAFIKTIASGIMVPKSYIWPVTSDNYIQLPTQIVKDAHDAGLEIYASDFSNDGIFPYNYSYDPLEEYLSFVSNGGFSVDGVLTDHPLTASEAIGNILIISHNGASGDYPDCTDLAYEKAVGDGADVIDCSIEMTKDGIPICMSSINLYDSTDVQNSKFSSLASVVPEIQTKPGIFTFNLTWEEISTLRPKITHPYHDFVRNPRYANQGKFFKLSDFLTYAKDKDLSGIMIIMKNAAFMAKSLGFDVVDLVTTALSNAGYDNMDPTTKNNKEIMIQSKDSAVLVKLKQRLTQCKLVYSLPLKVGDVSDSCVADIKKFADAVIVDRESVFAESKGFIIRKSKVVEDVQSAGLAVYVEVFRNEYVSPPVDFFADGTVEINNYVQLQVPIGDLAQLLDAECSTGGMLPALAPMPVLNSSDVIEPPLPAAEPKSAAGSSATNACVVGVLAPPPLFSSSREYVLLATLLLLQML >ORUFI12G12390.2 pep chromosome:OR_W1943:12:13646763:13651420:-1 gene:ORUFI12G12390 transcript:ORUFI12G12390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYRWILLLSVVVVVAVAAELPLASTTFKTLTGNAPLVIARGGFSGLFPDSSQFAFGFALSATSTDTSLWCDVQLTKDGVGFCLRDLLMQNGTTISQVYPGGKQTYRINGVPKTGWFPVDYNMSLLTNQFSTHIYRWAVTQALFSRTDKFDFCNFRIFSVTGFMSSIEPSSLWLNVEHDVFYTEHGLNMTNYILSVQKLGFVKYISSPELGFLETLSGGIDHKVKLVFRFLDKAVSDLSTNKTYDSMLSDLAFIKTIASGIMVPKSYIWPVTSDNYIQLPTQIVKDAHDAGLEIYASDFSNDGIFPYNYSYDPLEEYLSFVSNGGFSVDGVLTDHPLTASEAIGNILIISHNGASGDYPDCTDLAYEKAVGDGADVIDCSIEMTKDGIPICMSSINLYDSTDVQNSKFSSLASVVPEIQTKPGIFTFNLTWEEISTLRPKITHPYHDFVRNPRYANQGKFFKLSDFLTYAKDKDLSGIMIIMKNAAFMAKSLGFDVVDLVTTALSNAGYDNMDPTTKNNKEIMIQSKDSAVLVKLKQRLTQCKLVYSLPLKVGDVSDSCVADIKKFADAVIVDRESVFAESKGFIIRKSKVVEDVQSAGLAVYVEVFRNEYVSPPVDFFADGTVEINNYVQLQVPIGDLAQLLDAECSTGGMLPALAPMPVLNSSDVIEPPLPAAEPKSAAGSSATNACVVGVLAPPPLFSSSREYVLLATLLLLQML >ORUFI12G12390.3 pep chromosome:OR_W1943:12:13646763:13651420:-1 gene:ORUFI12G12390 transcript:ORUFI12G12390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYRWILLLSVVVVVAVAAELPLASTTFKTLTGNAPLVIARGGFSGLFPDSSQFAFGFALSATSTDTSLWCDVQLTKDGVGFCLRDLLMQNGTTISQVYPGGKQTYRINGVPKTGWFPVDYNMSLLTNALFSRTDKFDFCNFRIFSVTGFMSSIEPSSLWLNVEHDVFYTEHGLNMTNYILSVQKLGFVKYISSPELGFLETLSGGIDHKVKLVFRFLDKAVSDLSTNKTYDSMLSDLAFIKTIASGIMVPKSYIWPVTSDNYIQLPTQIVKDAHDAGLEIYASDFSNDGIFPYNYSYDPLEEYLSFVSNGGFSVDGVLTDHPLTASEAIGNILIISHNGASGDYPDCTDLAYEKAVGDGADVIDCSIEMTKDGIPICMSSINLYDSTDVQNSKFSSLASVVPEIQTKPGIFTFNLTWEEISTLRLQNAAFMAKSLGFDVVDLVTTALSNAGYDNMDPTTKNNKEIMIQSKDSAVLVKLKQRLTQCKLVYSLPLKVGDVSDSCVADIKKFADAVIVDRESVFAESKGFIIRKSKVVEDVQSAGLAVYVEVFRNEYVSPPVDFFADGTVEINNYVQLQVPIGDLAQLLDAECSTGGMLPALAPMPVLNSSDVIEPPLPAAEPKSAAGSSATNACVVGVLAPPPLFSSSREYVLLATLLLLQML >ORUFI12G12390.4 pep chromosome:OR_W1943:12:13646763:13651420:-1 gene:ORUFI12G12390 transcript:ORUFI12G12390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYRWILLLSVVVVVAVAAELPLASTTFKTLTGNAPLVIARGGFSGLFPDSSQFAFGFALSATSTDTSLWCDVQLTKDGVGFCLRDLLMQNGTTISQVYPGGKQTYRINGVPKTGWFPVDYNMSLLTNHDVFYTEHGLNMTNYILSVQKLGFVKYISSPELGFLETLSGGIDHKVKLVFRFLDKAVSDLSTNKTYDSMLSDLAFIKTIASGIMVPKSYIWPVTSDNYIQLPTQIVKDAHDAGLEIYASDFSNDGIFPYNYSYDPLEEYLSFVSNGGFSVDGVLTDHPLTASEAIGNILIISHNGASGDYPDCTDLAYEKAVGDGADVIDCSIEMTKDGIPICMSSINLYDSTDVQNSKFSSLASVVPEIQTKPGIFTFNLTWEEISTLRPKITHPYHDFVRNPRYANQGKFFKLSDFLTYAKDKDLSGIMIIMKNAAFMAKSLGFDVVDLVTTALSNAGYDNMDPTTKNNKEIMIQSKDSAVLVKLKQRLTQCKLVYSLPLKVGDVSDSCVADIKKFADAVIVDRESVFAESKGFIIRKSKVVEDVQSAGLAVYVEVFRNEYVSPPVDFFADGTVEINNYVQLQVPIGDLAQLLDAECSTGGMLPALAPMPVLNSSDVIEPPLPAAEPKSAAGSSATNACVVGVLAPPPLFSSSREYVLLATLLLLQML >ORUFI12G12400.1 pep chromosome:OR_W1943:12:13688214:13690487:1 gene:ORUFI12G12400 transcript:ORUFI12G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAILGKPPDCWTFIDPQCAETGKSMQIREALQHRTVARFEFLEGDEEPKGLLLFLGEVSPAHSYTGSLTLSETWRRVTGDGKSSIPSSSFSCPTRRGQRSKALHQLILDIQETKEAIAAHTIPGDLICMEKVSMRLGLVALVLLGIMLAASQQAVDASSPTSAISYEGLYRKPEDRPKKGDPVMKARGCTEAMKCNG >ORUFI12G12410.1 pep chromosome:OR_W1943:12:13691301:13692065:1 gene:ORUFI12G12410 transcript:ORUFI12G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHVWMSDLYAALQGGDELSRRCSGVASQGARRAVPEAPDKAGWCSQLFFLHRFLLMVWHIINNTIYNASNSDIPAKRVSTDEEGITE >ORUFI12G12420.1 pep chromosome:OR_W1943:12:13694548:13697136:1 gene:ORUFI12G12420 transcript:ORUFI12G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAANSPSRCKTMVAPSPFPRPLSEGWWRRHLIPRPCPLAAGTISVPFPSQFGCRRISLELVRHLRELPGLVRHLCGLTICYPRTLLLPRHQQTQFGSLQWPTRCHGHMCGGDGSIQAHIKACAALRAISVVTAHNTISSGLLASYLEEAQLFYESYCHQYIGSILGL >ORUFI12G12420.2 pep chromosome:OR_W1943:12:13694556:13697136:1 gene:ORUFI12G12420 transcript:ORUFI12G12420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAANSPSRCKTMVAPSPFPRPLSEGWWRRHLIPRPCPLAAGTISVPFPSQFGCRRISLELVRHLRELPGLVRHLCGLTICYPRTLLLPRSTLHSICLILLIAMINPACLLVLIPYSLFNSSGSLQWPTRCHGHMCGGDGSIQAHIKACAALRAISVVTAHNTISSGLLASYLEEAQLFYESYCHQYIGSILGL >ORUFI12G12420.3 pep chromosome:OR_W1943:12:13694560:13697136:1 gene:ORUFI12G12420 transcript:ORUFI12G12420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPTRPLAARRWWRRLHSLALSLKDGGGAISFPGRSLQWPTRCHGHMCGGDGSIQAHIKACAALRAISVVTAHNTISSGLLASYLEEAQLFYESYCHQYIGSILGL >ORUFI12G12430.1 pep chromosome:OR_W1943:12:13698985:13702666:-1 gene:ORUFI12G12430 transcript:ORUFI12G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDSFMVTRRGNPELVAPARATPRGTKPLSDLDDDWDLRYLQPCLEFFRAVDDGERRKPARPGDAIRAALAEALVYYYPIAGRLRELPKGGRLAVECTGEGVVFVEAEADVRIEDLGEPPLPTFRGAESFLCDVGDAGVVVGRPLFYMQITHLKCGGFVLGTHICHCIADAFGTLQFLKAIVDIARGEAKPTTLPVWEREHFVATSLPPNIKEEQEKLFDELENTTCDDIMVTMPAENMVSEYFTISQRDMIALRRHVPFNLTKTVTSFELLTAVLWRSRTMALGYKPCQIVRLMITVNARGRWKKLPLGYYGNGLLCPVIEITVNDLCTNSLGHTIELVRKAKHEMKTKENMQLMVDLLPLWREKPYIKVERIFETCDIKWIGQDTLDIGWAKRIGGGIPTVSLPDMTSYQFMCKNEKGERSTVISMLLPRPAMERFKQEMATWLIE >ORUFI12G12440.1 pep chromosome:OR_W1943:12:13715470:13715739:1 gene:ORUFI12G12440 transcript:ORUFI12G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLQTLVTLPRRQLRVIVAKLAMTSSSGSSLTVNYTAAQPETTSYTGTQQQQTYVAAGDQQGRTPETNSARHPYRTKELPATTGSSLA >ORUFI12G12450.1 pep chromosome:OR_W1943:12:13725447:13732219:-1 gene:ORUFI12G12450 transcript:ORUFI12G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDSFTARRGNPELVAPARATPRETKPLSDLDDHWDLRYLQPSLEFFRVVDGDRRPARPGDGIKAALAEALVYYYPIAGRLRELPTGHMLAVECTGEGVVFVEAEADVALEDFGEPLMPTFHGAEGFLCDVGDTRVIVGRPLFYLQITYLKCGGFVLGTYMCHCIADAFGTIQFLKAIVDIARGEAKPTTLPVWERELFLATSLQPHIKEDQKKLFDELESTTCDDIMVTMPTENMVSEYFILSQIDMAALRRHVPLNLNKTVTSFELLTAVTWRSRTIALGYRPCHIVRLMIVVNARGRWKKLPLGYYGNGLLCSVIETTVNDLCTNPLGHTIELVRKAKDEMKTEENMQLRVDLLPLWREKPYIKVQRIFEACDIKWIGQDTLDIGWAKRIGGGIPTVSPPNLTSYQFLCKNEKGEKSTVISMLLPQPAMDRFKKEMAAWLIE >ORUFI12G12460.1 pep chromosome:OR_W1943:12:13733260:13733744:-1 gene:ORUFI12G12460 transcript:ORUFI12G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWHTRGGEAQAQHGRSTALFMRAENDFRSHATQDGAVGGVGACAGGGGGRAVDGSAERKGKKRRGKT >ORUFI12G12470.1 pep chromosome:OR_W1943:12:13747969:13748337:1 gene:ORUFI12G12470 transcript:ORUFI12G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRRQRAQHRVEDGGGQVEIGGCLEVIVRRSSLQIWQRQRKAGTGGDGSEKTMMAARAEGGSNWVEVIGCHEKAERRARPRGRMLRVGRPIVRKYRMPDLSLPRTYACMHAQISIVSTLVD >ORUFI12G12480.1 pep chromosome:OR_W1943:12:13756355:13762213:-1 gene:ORUFI12G12480 transcript:ORUFI12G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKSFMARRRGNPELVTPARATPCETKPLSDLDDHWDLRYLQPALRFFRAVDSDRRPARPGDTIRAALAEALVYYYPIAGRLRELPKGHKLAVECTGEGVVFVEAEAEATLEDFGDPPMPTFCGAEGFLCDVGDARVIVGRPLFYMQPYPNTLRYKMSLRACPLDVAIIIFAVDVLQYLPSSSIQTYTYRIMKQKSFMSRRWGNPELITPARATPQESKPLSDLDDHWDLRYLQPGLDFFHAVDGDHWPARPGDSIKTALAEALVYYYPIAGRLREMPKGHRLAVECTAEGVVFVEAEAEATLEDFGEPPMPTFHGAEGFLCDVGDARVIVGRPLFYMQITHLKCGGFVLGTHICHCIADAFGTFQFLKAIFDIARGEAKPTILPVWKRELFVGTSLPPHIQEGQEKLFDELENATCDDIMVTMPTENMVSEYFILSQIDMDALRRHVPLNLTETVTSFELLTAVTWRSRTVALGYKPCHIVRLMINVNARGRWKKFPSGYYGNGLMCSVIQTTVNDLCTNPLGHTIELVRKAKDEMMIEENMQLRVDLLPLWREKPYIKLQRIFETCDIKWIGQDTLDIGWAKRIGGGIPTVSLPNMTSYQFMCKNENGEKSTVMSMLLPQPAMDRFKHEMTAWLNEYSIRPKI >ORUFI12G12490.1 pep chromosome:OR_W1943:12:13780696:13781127:1 gene:ORUFI12G12490 transcript:ORUFI12G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSVLEIGVSTKDWEHGRSDFVTRVRLIVYLSRRGDGGAAQGFISGGAVTGSSTPWLNGAWRRLSGGAHAGGRCRGGRSQHSGVGPRAARLGRGNGEACSKRAALTALLLVTMAELMVIAAGWRRGVEWGSAPMAGGGGGQL >ORUFI12G12500.1 pep chromosome:OR_W1943:12:13784636:13786917:-1 gene:ORUFI12G12500 transcript:ORUFI12G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDSFVVRRRNPELVAPARATPRDTKPLSDLDNDWFLRYIQPCLEFFRAVDDDDGHHHHRRRPADAIKAALAEALVYYYPMAGRLRELPNGKLSVECTGEGVVFVEAEADVRIEDMGEPPMPLFRGSDEFLCDVGDAKVIVGRPLCWMITQLKCGGFVLGTYHCHCIADGSGIFQLLKAIFDIARGEAKPTVLPVWDRELFVATSLSPHIIEEHQTLFDELESATCDDIMVTMPTENMVSEYFTISEKQMSYLWRNVPLNLTKTITSFELLTAVLWRCRTVALGYKPWQNVLLKIIINARGRWRKLPLGYYGNGLMYPIVETTVKELCTNPLEHTIELVRKAKHKIRTEENMQLMVDVMPLWYEKPYIKVQRIFETCDIKWIGQDTLDIGCAKRIGGGIPTVNLPDTTSYQFRFKNEKNEKSIVISMLLPRPAMDIFKEEMAAWLNEYSIRPKM >ORUFI12G12510.1 pep chromosome:OR_W1943:12:13850618:13851313:1 gene:ORUFI12G12510 transcript:ORUFI12G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSASQAKALFKATLLGLAILSMVTWVPHMYSCIKMFLVSVPSAASTLATPRCLFIFSNIIVIFLASELKLSEGESFGESPIPTNHGSDDSIRYRVEAFTLTTKSNDVIVNHVVEEQVSTVIVHDDSLQQLDQCEQVDASSTMSMDKESRRDNNNNNLAIGANVGNNGESEEVEEQGGAISLGKVIEEEMIEEEDVGLPTDELNRRVEDFIARFNMERQLEARMLVCCY >ORUFI12G12520.1 pep chromosome:OR_W1943:12:13869780:13870475:1 gene:ORUFI12G12520 transcript:ORUFI12G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQEIVKISLLALGILFMATWVPHMYSFMRALFMVYLPSFTSAVVAPKCLFVFSNIIVVFLVGESKFGHPKIDKENVAAVVEGDMVVHEKEGEEEVVVDSVIPAITGDDRCEELEDEEFAVLEEQVDALLSSDGVEIDQIREEGHDLAVGEILITDMMRKEEEEGVVDQLVLEDGEVLVVEERGRREAEEAAEERDDLPPADELNRRVEEFIARFNMERQLEARMLVCCC >ORUFI12G12530.1 pep chromosome:OR_W1943:12:13884844:13886070:1 gene:ORUFI12G12530 transcript:ORUFI12G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEQYNRQPTRSTIGRRKRSDCSSAPVKIAALAGNVIFHETPITDLLRTALGTSYNAMRTMSACIKLSDFKPVAHRNAESEKTSFLMYAPLGADAISKVGEVFRQLFTEYTNQVITETENTNQSNGMERANHVNEMDVSQQLVEQDNMNSQKSSTELNDYLQDETVPMDQKDFDILKWWKDNCHRYPTVARMARVSWPFQLALFHLLS >ORUFI12G12540.1 pep chromosome:OR_W1943:12:13889251:13892268:-1 gene:ORUFI12G12540 transcript:ORUFI12G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLAEMPRAPLADRRPRKRQRLGWDVGPEIHQVQIGLCGQEVANVISAVTLGLSSQEIPRFASPPLREDDKDGHFVFAVGDNLTPRYRINAKMGEGTFGQVLECWDRERKEMVAIKIIRGIKKYRDAAMIEIGMLEQLGKYEKSRSSCVQIRNWFDYRNHICIVCEKLGPSLYDFLRKNSYRSFPIALVREVAKQLLEFMHELRLIHTDLKPENILLVSPEYIKVPDYKVSSRSPKEGSYFKRLPKSSAIKVIDFGSTTYDQQDQTYVVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVFGPLPCHMLKRADRHSEKYVRKGRLNWPEGCASRDSMKAVMKLPRLQNLVMQNVDHSGGEFIDLLQGLLRYDPASRLTAQEALRHPFLREQSERRR >ORUFI12G12540.2 pep chromosome:OR_W1943:12:13889251:13892268:-1 gene:ORUFI12G12540 transcript:ORUFI12G12540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECLAEMPRAPLADRRPRKRQRLGWDVGPEIHQVQIGLCGQEVANVISAVTLGLSSQEIPRFASPPLREDDKDGHFVFAVGDNLTPRYRINAKMGEGTFGQVLECWDRERKEMVAIKIIRGIKKYRDAAMIEIGMLEQLGKYEKSRSSCVQIRNWFDYRNHICIVCEKLGPSLYDFLRKNSYRSFPIALVREVAKQLLEFMHELRLIHTDLKPENILLVSPEYIKVPDYKVSSRSPKEGSYFKRLPKSSAIKVIDFGSTTYDQQDQTYVVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVFGPLPCHMLKRAEYVAVLDPSHCHRHSEKYVRKGRLNWPEGCASRDSMKAVMKLPRLQNLVMQNVDHSGGEFIDLLQGLLRYDPASRLTAQEALRHPFLREQSERRR >ORUFI12G12540.3 pep chromosome:OR_W1943:12:13889251:13892268:-1 gene:ORUFI12G12540 transcript:ORUFI12G12540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MECLAEMPRAPLADRRPRKRQRLGWDVGPEIHQVQIGLCGQEVANVISAVTLGLSSQEIPRFASPPLREDDKDGHFVFAVGDNLTPRYRINAKMGEGTFGQVLECWDRERKEMVAIKIIRGIKKYRDAAMIEIGMLEQLGSYFKRLPKSSAIKVIDFGSTTYDQQDQTYVVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVFGPLPCHMLKRADRHSEKYVRKGRLNWPEGCASRDSMKAVMKLPRLQNLVMQNVDHSGGEFIDLLQGLLRYDPASRLTAQEALRHPFLREQSERRR >ORUFI12G12550.1 pep chromosome:OR_W1943:12:13948337:13948723:-1 gene:ORUFI12G12550 transcript:ORUFI12G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMRSILIEHGLANGEAERNVDTSVFAKVATFEEELRVALPREERRLPRAAVENGTAAKANRITECRSYPLYRSVRKELGTEYLTGEKTRSPGEEVNKVSVAMNLGKHIDALLECLKEWNGEPLPIC >ORUFI12G12560.1 pep chromosome:OR_W1943:12:13994398:13995018:1 gene:ORUFI12G12560 transcript:ORUFI12G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWPGSSATAGSHRCRAPPCRTSSSGSPSVASMSTSLPPAPSTSMSASSLGWRTVKGVAAAVPVCSTSAPPRRWGRRSTSPPCSMSCCSRRRLRCHWSRPSSSIRRLTKYQDLAGLCWGCSCWWEASGAATHQIPSQRESKFLGDK >ORUFI12G12570.1 pep chromosome:OR_W1943:12:14010745:14012115:1 gene:ORUFI12G12570 transcript:ORUFI12G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMTSEMKPPPSSSSLSSRGLPIDVIVEIAERSDPITLLRCAAACRQLRRAISGEGLRRELRLRNAAGFVPVLLRGFYYQPLHGAHGFLQEPVRFFAAGAGAGDHHGQLPAGDDAVESLAVGFETVEARGGFVVARTGSSSGKVCNPMTGYELPIPLPRKDLVTSYLLLTADDGVGLGASSDDDSELHRFRLLAVQLCQPTNKGRRLRLKMQALTPATGRWGPTTKIPVHGGGGDRHQHPGAELLARHPVVVNGVANFLGVSHSFDRQRPHPPSHYFILRVDVSDHGGDGRNGTTKAATIIPAPEGLKPPSCSRCTSSEAAAAVVTSKQLLLTPSRDRRSVALLVCRTTRVEIHTLDMLATPSAWARATEVVDTAAVRRRPCDLSESEVELHWSGEASGAVVLRLGGTVCQLDRATMAIRTIDEEFPEFRYRSRHMLLPYEMGLSSWVPSISTSA >ORUFI12G12580.1 pep chromosome:OR_W1943:12:14014042:14015514:1 gene:ORUFI12G12580 transcript:ORUFI12G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPSSLSSPALPLDIVVEIAERSDPITLLRCAAACKHLRRVISGEGFRRDLRLRNADGFVPGLLHGFFFQPRCPSPHYHGYNYDPLRFVAAGRHDQLSAAEDIASGGSGGGGDTYQIPSFVSDSNRVHKNKRSRRIEPVAARGGFVVLRTGKFSGKVCNPMTGYTRPIDMPRKTAKGEGSSYLLITADDGGDGVDVGVTSDESELHRFRLLAVRLFARTRVEVQELTPDTGTWGPVTTLPVVDADHYLHPHPVLVRPPVVIAGVAYFLGEMSGRDDQTYQLLLRMSLDRESLGRQYHHSPPSYSYFILAVDVSIRRDGETGTTAAATIMLLPTELRAPSYTGEATVTPTAGQLLLSPSSRGGGRRSSLALLVGRRTHVEIWTMKLIRRGMALLRMACTKVVDLMGVPRSPCSPPVSESEVALLWSGDASGGVVLRLGGTVCLLDRRRRAVVVRALGDGEEFVEFGSGRRHVLLPYEVGLSSWVPSISA >ORUFI12G12590.1 pep chromosome:OR_W1943:12:14050913:14057420:1 gene:ORUFI12G12590 transcript:ORUFI12G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPSSSSSPALPLDIVVEIAERSDPITLLRCAAACKHLRRVISGEGFRRDLRLRNADGFVPGLLHGFFFQPRCPSPHDHGYNYEPLRFVAAGRHDHIASGDNCQIPSFVSDSDENNPCRRIKPVAARGGFVVLRTGEFSGKVCNPMTGYVRAIDMPRKTSKGEGSSYLVLTADDGGDGVDVGVTSDDSELHPFRLLAVRLFARTRVEMQALTTDTGTWGPATTLAVVNTGCLGPRPVLVRPPVVVAGVAYFLGEMSGRDDRTYQLQRRMSRVSFDPPYQHSPPPSYTYFVLAVDVSIRRRDGETGGTTAAATIMPLPTTELRAPSCTGEATVTPGQLLLAPSSWRGGDRRSSSLALLVGRSTQVEIWTMKLTCHGMALLRMACTKVVDLMGVPRSPCSPPVSESEVALLWSGDASGAVVLRLGGTVCLLDRRRRAVVVRALGDGEEFVGSSSSFALGVAMCCCRMRDHQIEMEEPPSSLSSPALPLDMVVEIAERSDPITLLRCAAACRHLRRVICGAAGFSRNLRLRNADGFVPGLLRGFFLQPRRPSPDPDYQPLRFVAAGHAIVGGGGGADQIRSFVSSSDHVYGSIHWRIEPVAARGGFVVLRTGDSSGKVCNPMTGYVRCIDMPRPWSSGSYLLLTGDDAGVTSELHPYRLLSVSLHLTGTGRERRRIHIEMEALSPDAGSWGPTTAIPVEIAGGGEYGSPRALLIRTPAVVDGVAYFLGGHPSLVFDLQYQRRQLPYDYFILCVDVSGETEIGSATSPATITRLPTELRLLSSCTGEADVSPGQLLLVPPSSCGGGDRKSLALLVGRRTQVEIWAMNFGGGGGASARSAPRLLSVSCTRVVDLTTTGVHRSPCSPPLPVPESDEVFVWSGEASGAVVLRLRGTLCLLDRRTMAVRALGEDFSEFRDGPNGVFLPYEIGVSSWVPSISP >ORUFI12G12600.1 pep chromosome:OR_W1943:12:14058778:14059086:-1 gene:ORUFI12G12600 transcript:ORUFI12G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASFPAMASSSSSLVTATHPAPIENIRIEAWGLDQGRRWYLVQEKKEVFLALRGMTIGGGVNEAAQHCSCGTGSWRWARLGATIMVKDTVVVEADGTSCQR >ORUFI12G12610.1 pep chromosome:OR_W1943:12:14062747:14065054:1 gene:ORUFI12G12610 transcript:ORUFI12G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLVNGVLNWVATPAMVASLLLFYPPYYLFKTVHSFLSYLFPDDLARKVVLITGASSGIGEQLAYKYALNRASLVLVARREWSLRKVADQAFELGAPDVIILPGDVANPEDCKRFVQTAIDHYGRCKSPISLICSVNFFSKMDHLVCNAGIASVGAFQEIPDVTNYSSQFDVNFWGSVQSTFEALPHLKRSRGRIVVTASATGWNPVPRMTFYNAANAALINFYETLRTELGSQVGITIVTPGWIESEMSKGKFLKDHGEMEVDQEMRDYAKNCAKAMVQAVRQGKRCLTVPPWFSTMYLWRVFALEVVEFCYRLLYMHRHGGSQADAPSKKMAEAGGKKLLYPTSLRSDDIKDE >ORUFI12G12620.1 pep chromosome:OR_W1943:12:14067058:14070505:-1 gene:ORUFI12G12620 transcript:ORUFI12G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDIHLFRILLTVWSGHDQASMCCAVGLASPTVSLCVGTALLVIDRDSTWKKMTTGRLAYYAGCFG >ORUFI12G12620.2 pep chromosome:OR_W1943:12:14067058:14067413:-1 gene:ORUFI12G12620 transcript:ORUFI12G12620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRILLTVWSGHDQASMCCAVGLASPTVSLCVGTALLVIDRDSTWKKMTTGRLAYYAGCFG >ORUFI12G12630.1 pep chromosome:OR_W1943:12:14070897:14071199:1 gene:ORUFI12G12630 transcript:ORUFI12G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRWGRGWSQLQRWEGVGRKRSRQRKPAGGIEGEVEEDGEAWSRRRWSMRGSVGGVGVGLAGGGEASGWGSGGGGEASAGGGRRRRKRRRTDGESR >ORUFI12G12640.1 pep chromosome:OR_W1943:12:14074874:14078002:1 gene:ORUFI12G12640 transcript:ORUFI12G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASEVDDGVGGSAGMESTNTGKKVGALDTMFQVSVTNRCTCTVRTVFLRADGFTSAVAVDPKLFRQAGSAGYLVGDGRRIPSAKSVTFQYAWDHYFKMTPASIQAN >ORUFI12G12650.1 pep chromosome:OR_W1943:12:14090944:14091597:1 gene:ORUFI12G12650 transcript:ORUFI12G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESKPLVALLLCIMIAKGNCLCMGCMNDHVVVSQLADDRSKVGGSGGARTLFKVTVANQCCCDVGHVVVAAPGFRSAIPVDPKLFRRNPGGDRESYLVGDGGTIPANGSVTFYYAWSSMFRISVLGMTVANCL >ORUFI12G12660.1 pep chromosome:OR_W1943:12:14095735:14098121:-1 gene:ORUFI12G12660 transcript:ORUFI12G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPAAAASKDWAALPSDLLWSVFAAAGQEEILRGAGLACSARDEPALWRRIDLHSDVAAHGADSSFSDGGDDDDDDADDSDGYSVPVEDGSRSDALVEESNSDDAENKGDDAASVQSNRSSDDDFFGFSDAFVDVEESDDDDDDVPRKESADYEYEPKGWKEMARAGECVAFWGRADDKLLLYLSDNYTQYKWAPYLKSLRVSTHYDVSCQVLTNVIQKFPMLKELELVLKCSFYYVARPSYDFAHLLQSAMKSCIHLKTFAIRCADKSLASTYYHDDESQEAFTVPKKHPIILSVLNCCPKLRSLDVTNVAYLRMDEEEELRNKCLKIKDFRLFSPPPKVSSSESDDDCIGGCCCCDSWY >ORUFI12G12670.1 pep chromosome:OR_W1943:12:14099603:14106910:-1 gene:ORUFI12G12670 transcript:ORUFI12G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAANPTPTSSSSSAAAAAAAAAAQHQQLQRQIFLMQQAQAQSQSQGHAPTPQQLSQQAMSRFPSNIDAHLRPLGPIRFQQPPQPQPQPPQPPPQPQPQQQQPHSGAPSPSQSQASPQQQQQQQAAAMAAAAARVRSPEVEMALQDAMRVCNPDIKTPFQSLEDAVNRWGNRTKFLCIFFFLIYVIIHDFSGNPHPFDFAASAEIGDWFNKFCPKQKPHHFPQIFSSSQSTSAPTMDAAANSTPTSSASTVAQNQLHQRQIFLMQQAQAQAQAQGQGHTPTLQQLPCPSSPPTSMPTCPCLARAAANSTPTSSASTCQIFLMQQAQAQAQAQGQGYTPTPQQLSQQAMSFFPSNIDAHLPLPGPIRFQQPLPQQPPPPQQQIHSWGPSLLQSWASLQQQQQAAVAAVARVQSPEVEMALQDVMQVCNPDIKTPFQSVEDAVNRLLPYHVVADYEAEEDDRILDSDTTGQIPSRLQQWDHNILVKIAEFTTTFEKQVLAYNIMTKKRAIGEFRSEERLMLEQALLMEEKQAMMGLRAEIESREKAGREAAEAKMRMAMAEQARAEAQAHSEMIGHGPLRAHAAASQGEDGPSHEMMQEQGEDGWGNAQRDDEDPSEDFLNDENEPENGNSDMQEDWRRSGELDLNSR >ORUFI12G12670.2 pep chromosome:OR_W1943:12:14099601:14106910:-1 gene:ORUFI12G12670 transcript:ORUFI12G12670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAANPTPTSSSSSAAAAAAAAAAQHQQLQRQIFLMQQAQAQSQSQGHAPTPQQLSQQAMSRFPSNIDAHLRPLGPIRFQQPPQPQPQPPQPPPQPQPQQQQPHSGAPSPSQSQASPQQQQQQQAAAMAAAAARVRSPEVEMALQDAMRTPFQSVEDAVNRLLPYHVVADYEAEEDDRILDSDTTGQIPSRLQQWDHNILVKIAEFTTTFEKQVLAYNIMTKKRAIGEFRSEERLMLEQALLMEEKQAMMGLRAEIESREKAGREAAEAKMRMAMAEQARAEAQAHSEMIGHGPLRAHAAASQGEDGPSHEMMQEQGEDGWGNAQRDDEDPSEDFLNDENEPENGNSDMQEDWRRSGELDLNSR >ORUFI12G12670.3 pep chromosome:OR_W1943:12:14099601:14106910:-1 gene:ORUFI12G12670 transcript:ORUFI12G12670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAANPTPTSSSSSAAAAAAAAAAQHQQLQRQIFLMQQAQAQSQSQGHAPTPQQLSQQAMSRFPSNIDAHLRPLGPIRFQQPPQPQPQPPQPPPQPQPQQQQPHSGAPSPSQSQASPQQQQQQQAAAMAAAAARVRSPEVEMALQDAMRVCNPDIKTPFQSLEDAVNRLLPYHVVADYEAEEDDRILDSDTTGQIPSRLQQWDHNILVKIAEFTTTFEKQVLAYNIMTKKRAIGEFRSEERLMLEQALLMEEKQAMMGLRAEIESREKAGREAAEAKMRMAMAEQARAEAQAHSEMIGHGPLRAHAAASQGEDGPSHEMMQEQGEDGWGNAQRDDEDPSEDFLNDENEPENGNSDMQEDWRRSGELDLNSR >ORUFI12G12680.1 pep chromosome:OR_W1943:12:14126468:14128783:1 gene:ORUFI12G12680 transcript:ORUFI12G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKENQRISEHVPNNSTMNKEEKWLDEALDRILEKFKQMEAKRMQEEKINRIFQKLEEIEVRRSKASEEMIAAIRATTAILKVASSPTPMAPPPPAPTNCLIECPNNNITWVTMNSSHIGEVLVPTADQELGDSEDKDDTPYIITKDFSKVTHDKCSTVGFVIKCGANQADDTFQAMTGASKVVPTYAQPMDNFSSRMNDDNKLITLISTRCSVKWHGEDKNIFYQVAMPSKVGKWAMRVICMVMLGIESWQPANNMVLNSKEEMLNENASFYAKVICEKQLAKGYRRTSSTGEGANSIQDEIYPFDLVSANVLEEVVYITSGYTWPCKCLMGGMRMPRNVLNHNTWTQQLSKLLSKLDPSKPKEERTV >ORUFI12G12690.1 pep chromosome:OR_W1943:12:14136536:14143770:-1 gene:ORUFI12G12690 transcript:ORUFI12G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNISTGVMNSLLVKLEEVCRYDLAEFQFSTQFDFKNNIWNLKEELSCMNALLEKLPDMEALNIQERELRNKVRELAYFVEDKIDMFMHSFGTAVDKATLLTDTMELMLPNLFAKIDEIKDYYAVEEVKRVERYNLDVDECISSNPRQIDEIDDDISPVLCGEANSLVGINVPCEVITQLLMEDMEGESGQHPKVLSIVGLGGLGKSTLAIQVYNKIHGRFECAVFVFASRNRSASVILKDILSQLKYDGSADGIQSLIDATREKLACKRSVVIASGGCGFRLGGDGLGACPRGCGINGGRRWIGLEPSMGEEADDEEGDSEEDEVSVTAGGALCLFLRFLVVIDDISSIETWNSISGAFVENNSGSRIITTTRTKDVANACCSSFRGIVYKMKPLGWTDSRSLFFRRLYGSDNYIPEPEELVIVVDILRKCGGVPLAIVVIASLLASQREVNKLDNWLKIKNSMGFELETNPNSKWMKHILKLSYNNLSWDLKTCLLYLHMYPENINIMKKDLVRQWIAEGFITQRDNRDLEDIAESYFRDLINRSLIKPVQFKYGEVVSCRVVHNLLLDLIVEKSTEENFVTVISTDQDCSRRGNFLIRRLNYRSNCGNIIQASESLHQVRSITYFGNWLHQRGESLPRLRMFKALRFPDTIGELRYLQVVDINCGTNLVLVGGFLSDACLPSLRHLRAAGSEELGRGINRLTSIRTLEGINFCNCSVENIRHLGVLTNLRTLSVIYNRRRGNDEDDLIDMVKFAALATSLRELGGCNLRCLDFRVVLEGNIRQPPISFLCSWSPPPQFLQRCHLYKAFHRVPYWIQQVETLTSLCLKVVELKGDDMRVLSRLPCLAYLDLQVFMVPGMEIIIDSVSFSVLKELKLTYGSSTSSLSIEPGAMPKLRIMHLIVFGQAEQDTKSLYGIQHLHSLEDVIITSDYNNVLVSFREALDRHPRIGSIQVCIGASSDL >ORUFI12G12700.1 pep chromosome:OR_W1943:12:14147617:14148901:-1 gene:ORUFI12G12700 transcript:ORUFI12G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENDDTVAVDGGGRRARLRRRHYFGPRCRTLGITLTSKEVFTYTNSNNQRLLHVDDIDRVSKSYICTSCSMWLAAKDRVESAGDGGIASYDVEVFMQVNLLLLNEQMWEAGSK >ORUFI12G12710.1 pep chromosome:OR_W1943:12:14155301:14162687:1 gene:ORUFI12G12710 transcript:ORUFI12G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPDKKRRNKLAAPEPTPAPVPRLVRVRTGNRKKRKVASSPDKQPQPPVYMVLAHGRLTTVYDPEASTERGGPRLVVPKLNPVLISISDDGGGGGGGGKLYALSRTPAVVRQLDFEPWFFVLDDLSHTVWRQLPSPPLFPCRLNPLEFLDPPKVRVAAYALVGSHILLSVSVQPPQQQQQQQQDHKGTCAFDMDTEQWEMVHDTNLPFDGQALPLGSDDHRFVAAAADVAVYRMVVGISAVTGKKELTIVELRVVVASNCRIVPGNLLCAMGKGSFASFEFRSIAAASVGKVGKARIVHRTYSLVENTTDDGEGDWVVMVKKQDRQVYKRRDRHAYLAHPSWAVAVFTM >ORUFI12G12720.1 pep chromosome:OR_W1943:12:14167367:14173464:-1 gene:ORUFI12G12720 transcript:ORUFI12G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSQFLVVIDDIASIETWNSISGAFVETWNSGSRIITTTRRKDVANACCSSFHGIVYKMKPLGWTDSRSLFFRRIYGSDNYSPELEELIIAIDILKKCGGVPLAVVVIASLLASQEEVNKLDNWLKIKYSMGFELERNPNSKWMKHILKLSYNNLSSDLKTCFLYLHMYPENYNIMKKDLMRQWIAEGFITQKDNRDLEDIAESYFSDLINRSLIKPAQFKHGEVVSCRVVHNLFLDLIVEKSTEENFVTVIRTHQDCLRRHNFLIRRLSYQSNRGNLIQASESLHQVRSVIYFGDRLHQRGESLPHLQMFEASRVLHLRMEGYFPFRATYDLSPVCNLFQLRYLKLEGNRFQFPDTSGELRYLQVVDIKCSGDLVLVGGFLSDACLPSLRHLRTPWNAELGRGINRLTSIRTLEEINFCNCSVENIRHLGMLTNLRTLGVIYNRRRGNDEDDQTDMVKFAALATSLRELGSCNLRCLDFNVALMEHRQPPIGFLYSWFPPPQFLQKFHPHMIFFFRVETLTSLRLIVDKLEGDDMRVLTRLPCLAYLDLQAIEVPGMEIIIDSVSFSALKELKLIYKSSSLSIEPGAMPKLRIMHLIVFGHAEQDTRSLVGIQHLHNLEDVIITYDYNNVMVAFREALDRHPRVGSIQVYIGASPKASQSHS >ORUFI12G12730.1 pep chromosome:OR_W1943:12:14173547:14174338:-1 gene:ORUFI12G12730 transcript:ORUFI12G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNISTGVMNSLLVKLEEVCRYDLKEIQFSTEFDFKNNIWHLKEELSCMNALLQKLPNMEALNIQKRELRNKVRELAYFVEDRIDRFMHSFGTVADKATLLTDTMDLMLPILFEKIHEIKDYVVEEVRRVESIYNLDESISSNPRQIEIDDISPVLCGKANRLVGINVPCEVITQLLMEDMEGESVQHPKVVSIVGFGGLGKTTLASQVYKKIHSRFECAVFVFASRNRSTSMILNDILSQLRYDGSADGIKSLINATREKL >ORUFI12G12740.1 pep chromosome:OR_W1943:12:14177115:14177444:-1 gene:ORUFI12G12740 transcript:ORUFI12G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNPAAVDLAMGSSSAADLALGSSTTANPAGSPPRAHVDLAVGRVLATARASALTSSRPSSTKTKPVCSSLRRLWLRAANRDFAYRMMLAVLFLCSDSDTPVRHGCGN >ORUFI12G12750.1 pep chromosome:OR_W1943:12:14178862:14179614:1 gene:ORUFI12G12750 transcript:ORUFI12G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSPDAVSPSLPRTEKLVLAVTHHWPACLPESLSAATPDGLIVYSNQQSDGAVERRRKRKKK >ORUFI12G12760.1 pep chromosome:OR_W1943:12:14179912:14182302:1 gene:ORUFI12G12760 transcript:ORUFI12G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPDKKRRKKLAEPEPTPTPAPEPVPRLLRVRPGNKKKNKGASPEKQPPVYMVLAHGVEEEPTTHSVIEVAACAAARRLLNTGSGRGRGRGMSFAAVGTRTVGVGVELTTVYDPETSTERGGPRLIFPKVNPVLISISDDGGGVVGGGTLYALSRTPAVVRPLDFEPWFFVLDDLSHTVWRELPSPPLFPCRLNPLEFLDPPKLRVAAYALVGSHILLSVSVQQLQPQQQQEDKGTCAFDMDTEQWEMVHDSNLPFDGQALPLGSDDDHRFVAVASAAADVTVYRMVVGISAVTGKKELTIVALRVVVASNSKCRIVPGNLLCAMGKGSFASFEFRSIAAASMGKVGRARIVHRTYSLVDDGEDDWVVMVKKQDRQVYKLRDPSFLGGCSFFHEKIVYAATRRKINILEFAK >ORUFI12G12770.1 pep chromosome:OR_W1943:12:14184681:14191076:-1 gene:ORUFI12G12770 transcript:ORUFI12G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNSSMGVMAPLLTKLAMLLSNKCKKLKGMRKNIEFLSHELTEMNAVLENLADMEKLDGQQKLWRNDIREMVYDIEDCIDVFMYHLGDGNNKDGLLRKTARKLRNLRARYQIADKIQELKARVMQVAERRDRYANLGVSTSSIPKVVEVDPRLPALYEDAKNLVGIDGPCMEITQWLMDEVENGSIQQLKVLSVVGFGGIGKTTLAKQVYNQLKKRFNFTSFVSVSQNPDMVKLLRNLLSGTGFQGYGILDDHQKLIDTLRGHLANKRYLIVVDDIWNTQAWSIIRCAFAQNNHGSRVIVTTRIEDVATKCCVDFHGMVYKMEPLNEFNSQKLFCKRIFDSDSIPEQYKNVSEDMLRKCKGVPLAIISIASLLSSEGMNVGKWKKIHNFMGSESETNPTLEWMRHVLNLSYLDLSHNLKTCFLYLGIYPEDHTIFKADLIRLWIAEGFIHEKPGLDLEETAESYFNELINRSMIKLDDYRSSEACHVHDLMLDLIISKCQEENFITIASKQPVKNVTKLPVRRLCHQLSYGNLAMERMKLSQPPISMFEHLRVLELRAYSTSVFLDLSAVSNLFLLRHLSIRGFRLKLPQKIGRLQCLRTLDLLGSLLVTGFPSDVISLSSLCHLTVSGDAELPNGIQKLVSLQTLLTFNSGGLPDIFTIVEKISRFNSSAIRLAKTRRFNNGASGYTSFLTFGPLLAKFGCLFTAYSEFCIQLIIRKNIRNVPSWLWFSLKLTMLELRVEELSCRDVQFLAGLPCLVDLDLTAQATPENIITKRVTTRLGRITHTDNFPKLQKFVLTCDLACLTFEPGAMPQLQILKLDDKKPSNLEEGHGTSGAAQHGKTPLIGIEHLPRLEEVQVTSHSSKVPAYRDAVQRHPRFQDIHALGDEYKLLKGEWKDKVRELAYDIEDCIDIFLHQLHPREDKDRLVWKAVRKIKATMCLPAIKSPSKFKNSRHMSIYMIDVSTSGDSYVNSNSDQFDYTDF >ORUFI12G12770.2 pep chromosome:OR_W1943:12:14184681:14191076:-1 gene:ORUFI12G12770 transcript:ORUFI12G12770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNSSMGVMAPLLTKLAMLLSNKCKKLKGMRKNIEFLSHELTEMNAVLENLADMEKLDGQQKLWRNDIREMVYDIEDCIDVFMYHLGDGNNKDGLLRKTARKLRNLRARYQIADKIQELKARVMQVAERRDRYANLGVSTSSIPKVVEVDPRLPALYEDAKNLVGIDGPCMEITQWLMDEVENGSIQQLKVLSVVGFGGIGKTTLAKQVYNQLKKRFNFTSFVSVSQNPDMVKLLRNLLSGTGFQGYGILDDHQKLIDTLRGHLANKRYLIVVDDIWNTQAWSIIRCAFAQNNHGSRVIVTTRIEDVATKCCVDFHGMVYKMEPLNEFNSQKLFCKRIFDSDSIPEQYKNVSEDMLRKCKGVPLAIISIASLLSSEGMNVGKWKKIHNFMGSESETNPTLEWMRHVLNLSYLDLSHNLKTCFLYLGIYPEDHTIFKADLIRLWIAEGFIHEKPGLDLEETAESYFNELINRSMIKLDDYRSSEACHVHDLMLDLIISKCQEENFITIASKQPVKNVTKLPVRRLCHQLSYGNLAMERMKLSQPPISMFEHLRVLELRAYSTSVFLDLSAVSNLFLLRHLSIRGFRLKLPQKIGRLQCLRTLDLLGSLLVTGFPSDVISLSSLCHLTVSGDAELPNGIQKLVSLQTLLTFNSGGLPDIFTIVEKISRFNSSAIRLAKTRRFNNGGLRSTNGGLRSPPASLEFPSFDDGWPTESILDYLSQHLDTPASSHSDLFSPNLVACSPHILNFASLWLQQLIIRKNIRNVPSWLWFSLKLTMLELRVEELSCRDVQFLAGLPCLVDLDLTAQATPENIITKRVTTRLGRITHTDNFPKLQKFVLTCDLACLTFEPGAMPQLQILKLDDKKPSNLEEGHGTSGAAQHGKTPLIGIEHLPRLEEVQVTSHSSKVPAYRDAVQRHPRFQDIHALGDEYKLLKGEWKDKVRELAYDIEDCIDIFLHQLHPREDKDRLVWKAVRKIKATMCLPAIKSPSKFKNSRHMSIYMIDVSTSGDSYVNSNSDQFDYTDF >ORUFI12G12770.3 pep chromosome:OR_W1943:12:14185555:14186712:-1 gene:ORUFI12G12770 transcript:ORUFI12G12770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTREAQPQLLLSYALGDEYKLLKGEWKDKVRELAYDIEDCIDIFLHQLHPREDKDRLVWKAVRKIKATMCLPAIKSPSKFKNSRHMSIYMIDVSTSGDRFIRI >ORUFI12G12770.4 pep chromosome:OR_W1943:12:14186857:14191076:-1 gene:ORUFI12G12770 transcript:ORUFI12G12770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNSSMGVMAPLLTKLAMLLSNKCKKLKGMRKNIEFLSHELTEMNAVLENLADMEKLDGQQKLWRNDIREMVYDIEDCIDVFMYHLGDGNNKDGLLRKTARKLRNLRARYQIADKIQELKARVMQVAERRDRYANLGVSTSSIPKVVEVDPRLPALYEDAKNLVGIDGPCMEITQWLMDEVENGSIQQLKVLSVVGFGGIGKTTLAKQVYNQLKKRFNFTSFVSVSQNPDMVKLLRNLLSGTGFQGYGILDDHQKLIDTLRGHLANKRYLIVVDDIWNTQAWSIIRCAFAQNNHGSRVIVTTRIEDVATKCCVDFHGMVYKMEPLNEFNSQKLFCKRIFDSDSIPEQYKNVSEDMLRKCKGVPLAIISIASLLSSEGMNVGKWKKIHNFMGSESETNPTLEWMRHVLNLSYLDLSHNLKTCFLYLGIYPEDHTIFKADLIRLWIAEGFIHEKPGLDLEETAESYFNELINRSMIKLDDYRSSEACHVHDLMLDLIISKCQEENFITIASKQPVKNVTKLPVRRLCHQLSYGNLAMERMKLSQVRSYNTFPAFGCSMQPPISMFEHLRVLELRAYSTSVFLDLSAVSNLFLLRHLSIRGFRLKLPQKIGRLQCLRTLDLLGSLLVTGFPSDVISLSSLCHLTVSGDAELPNGIQKLVSLQTLLTFNSGGLPDIFTIVEKISRFNSSAIRLAKTRRFNNGGLRSTNGGLRSPPASLEFPSFDDGWPTESILDYLSQHLDTPASSHSDLFSPNLVACSPHILNFASLWLQQLIIRKNIRNVPSWLWFSLKLTMLELRVEELSCRDVQFLAGLPCLVDLDLTAQATPENIITKRVTTRLGRITHTDNFPKLQKFVLTCDLACLTFEPGAMPQLQILKLDDKKPSNLEEGHGTSGAAQHGKTPLIGIEHLPRLEEVQVTSHSSKVPAYRDAVQRHPRFQDIRATFNIYN >ORUFI12G12780.1 pep chromosome:OR_W1943:12:14207865:14208242:-1 gene:ORUFI12G12780 transcript:ORUFI12G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGGKVFFKCEENEQDVPNSCKFFKWIECYKKMVEGLSLHAVNEAPSDVALEHMVAAPVEMKLRSVDDGKMDKLINWIQVLVMINIGLLVLCFIGVLVMIFK >ORUFI12G12790.1 pep chromosome:OR_W1943:12:14212997:14214529:1 gene:ORUFI12G12790 transcript:ORUFI12G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLETWVGFGSAMAGVGLLWSRMPEHVHEEARYIISSVVPMVMSYFNPYEQITVSEYGEERFRRNKMFDAVSTYLRSACLGSATKLKAKLGNNIGDDPLVILDENQEVVDCLDGARMWWRLYPKASKNTGSTIISMFPGDTDEPRCYRLVFHKRHRQLVLKTYLPGIIRRWRELTAKDRQRLLFTNHSKQGEISMWTSVPYNPPSTFDMLAMDHAKKVEIMDDLRAFQKGKEYHSKVGKAWKRGYLLYGPPGTGKTTMIGAMANFLDYDVYDLDLTSVKDNAELRKLFLDTTDKSIIVIEDIDAIEVELTTKRKGKKMDNSDEVDNNHVLVELSNKTDDKSKVTLSGLLSFVDGLWSACGSERVFVFTTNHVDRLDPALIRPGRMDKHIEMSYCRLDAFKVLAKSYLDITEHSLFGEIGRLLDETDTTPADVADNLMPRGKRNGEISRLIDEIDAPADVAGNHMLRCKRKRDADECLAGLVETLKKAKMESATPPMDTIEEEANKEEQT >ORUFI12G12800.1 pep chromosome:OR_W1943:12:14255577:14260105:-1 gene:ORUFI12G12800 transcript:ORUFI12G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVLEKLADMERLDGQQKLWRDNVREMAYDIEDCIDVFMYHLGDGHNKDGLLQKTARKIRKLRVRYQIANKIQELKARVMEVAQRRSRYIGLGEATTSCPKVVEIDPRLPALYEAAKNLVGIDGPREEISRWLTEEGQSGPTQQLKAVSIVGFGGLGKTTLANQVYKQVKDKFNCTSFLSVSKNPDVLKLLKNLLLEVQKKEIIIKSSLTPSEFTKFPDDNQKLIDTLREHLANKRYLIVIDDIWSTKIWNIIQCAFVQNNYGSRVIITTRIEDVATKCSFDFHGMVYKMQPLDEVNSRSLFFKRLFASEDGFTEQYRKISEDMLRKCKGVPLAIISIASLLASHGMNVERWEKVQNYLGYELETNPTLEWMRHVLNLSYSDVPHDLRACFLYLGIYPEDSKILKVDLTRLWIAEGFVEEKAGLDLEEAADSYFNELINRSLIEPNNNRLGEVVSCRVHDLMLDLILSKCQQENFITVATKQSILNDRGFPVRRLCCQLSYENLEMERMEVSQVRSFITFPVRGCSTQPPISKFEHLRVLNLVAYVAPTLLDLSAVSNLFLLRHLRIRGFQVIMPQKIGRLQCLRTLDLLCSLLVTGIPSDVISLSSLRHLAVSGVLQLPNWIGKLVSLKTLFAFDVGKMHFQDTVSVGPGDDEPILTKLDKPKFVLDQKQRDILAKIKALEGVPRAAKRFLCDTSFEKFCSTFLGDYSPGIVYGAQKSLHRLIIRKSIHTVPEWMEQCDKLTMLEIRVKQLQSTGVHVLSNLPCLIDLDLTAQATPEDDIEIYTHRFPKLEKFILACDFLPCLKFCIGAMPQLQTLKLDDRRPAQLEQGCSSSSGAAAAQYGSSPLVGIEHLLKLEEVEVTANSSKVSAYRDAVQRHPRIQDIHVTFNTRDGSARTPK >ORUFI12G12810.1 pep chromosome:OR_W1943:12:14283005:14287053:1 gene:ORUFI12G12810 transcript:ORUFI12G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSCGGGYRTQTINGRKGTFVRLEQQEDQERQPAATYTMDGSGGGGRVQHVMDSYFSSAPKIRTRSVRMAAAGVMSIGGYRAERLKSIGRVFQEDLTNMSQKIFDPQDAFLVRMNRLFVMACIVSVAVDPLFFYLPAVTATDSNTCIGFDRGLATGATAVRSAIDLFYLARIALQFRTAYIAPSSRVFGRGELVIDPAAIARRYVRRFFVVDLLSVLPLPQIPIWNFLHRPKGADLLPTKNALLFIVLVQYIPRLVRFYPITSELKRTTGVFAETAFAGAAYYLLLYMLASHMVGAFWYLLSIERLDDCWRENCRVLKFHQCKKYMYCGGGNLGQSGFLEWRTMIRQVLVMECAPADEAGTGFQYGIFTTAIQSGVVSTTNLVAKVLFCLWWGLQNLSTVGQGLKTTHYKGEALFAIFLAVFGLILMALLIGNMQTYLQSMTLRLEEMRLRRRDSEQWMRHRVLPVDLQERVWRHDQYRWLETRGVDEDSLVRSLPKDLRRDVKRHLCLRLVRRVPLFANMDERLLDAICERLKPSLCTEATYILREGDPVDEMLFIIRGRLESSTTDGGRMGFFNRGLLKEGDFCGEELLTWALDPKAAANLPLSTRTVKAISEVEAFALHADELKFVAGQFRRLHSKQLQQTFRFYSQQWRTWASCFIQAAWRRHLKRKAAEQRRREEEEEEAASASSSCQITTTVLVSRFAKNAMRGAQRQRSRRDANLIVLPKPPEPDFQTMEY >ORUFI12G12820.1 pep chromosome:OR_W1943:12:14284736:14286338:-1 gene:ORUFI12G12820 transcript:ORUFI12G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRSSMLANSGTRRTRRRQRCRFTSRRRSLGRLRTRLSSSTPRVSSHRYWSCRHTRSWRSTGSTRWRIHCSESRRRSRISSSRSVIDCRYVCMLPMRSAMRMRPNTARKMANRASPFPCPTVLRFWSPHQRQKSTLATRFVVDTTPDWIAVVKIPYWNPVPASSAGAHSITSTCRIIVLHSRKPDCPRFPPPQYMYFLH >ORUFI12G12830.1 pep chromosome:OR_W1943:12:14287192:14293431:-1 gene:ORUFI12G12830 transcript:ORUFI12G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVADEVTVLHGGVVVTMDGGFRVFRDGAVAVAGDRIAAVGPSADVLSSFPGAAATVDLAGRILFPGFVNTHVHTSQQLARGIADDVDLMTWLHGRIWPYESHMMEEDSYASTLLCGIELIRSGVTCFAEAGGQYVSEMARAVELLGLRACLTKSIMDCGDGLPPNWSSCSTDDCIQSQKDLYEKHHNTADGRIRIWFGLRQIMNATDRLLLETRDAAQKLNTGIHMHIAEIPYENELVMRTKGIDHGTVTYLEKIDFLRSNLLAAHSVWLNKPEIGHFLKADVKVSHCPASAMRMLGFAPIREMLDSGVCVSLGTDGAPSNNRMSIVDEMYLACLINKGREAYITGTTNPTALPAETVLKMATINGAKAVLWDNEIGSLEVGKKADMVVVNPLIWSMVPVHDCIANIVYCMRTENIESVMCNGRWIMREKKIVNLNEEEVIASAGKRASDLLARAGINLPNRMNYL >ORUFI12G12840.1 pep chromosome:OR_W1943:12:14308493:14308978:-1 gene:ORUFI12G12840 transcript:ORUFI12G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEGNRAYIWAAGTGKSTMIRPTDNFLDYEVYGNHLTSAKNNTDCRSSSTDKSRTHYQAQGQEGSPMATKINDDNHTLADRLHQRAVVDVQRILVFMTTLFDRLDLALIRPGKTGKHMEMSCCWLVRFMVLAK >ORUFI12G12850.1 pep chromosome:OR_W1943:12:14311571:14313097:-1 gene:ORUFI12G12850 transcript:ORUFI12G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEKWVGFGSAMAGVGLLWSRMPEHVHEEARYIISSLVPMVMSYFNPYEQITISKYGEERFQQNKMFDAVSTYLSSECAGGASKLKANLSNNRKDKPLITLDENQEVADTFEGARMWWRLYPKAPRTRGGVIVSLHPRDTDEPPCFRLVFHKRHRQLVLNSYLPSVVTRWRELSAKNRQRLLFTNHTKDGKSDWSNVPYNPPTTFDMLAMDPEKKYEIINDLTAFQEGKDYHSKVGKAWKRGYLLYGPPGTGKSTMIGAMANFLDYDVYDLDLTSVKNNAELRKLFLDTKDKSIIVIEDIDAIEVELTTKRRGKKAANGNEIDDDNRVLIEFSDKNDDKGKVTLSGLLSFVDGLWSACGSERIFVFTTNHVDRLDPALIRPGRMDKHIEMSYCRFEAFKMLTKNYLDITEHPLFCEIEQLLNETNTTPADVADNLIPRGKRNNEISQLLDGAPADVANNLMLRCKRKRDADECLKGLVETLKKGKMHSATPPIDTMDQAKYKKPKN >ORUFI12G12860.1 pep chromosome:OR_W1943:12:14314861:14315790:-1 gene:ORUFI12G12860 transcript:ORUFI12G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGNAMESGARDVLSLCARMNAHFRVGSGSPSCRLDSEPIAAIDSASVEAADIWIMPCSLSTPDLEKSKEKEAGSGSKGTRCYAHNREGSSGQMEALGGFSISS >ORUFI12G12870.1 pep chromosome:OR_W1943:12:14315826:14317210:1 gene:ORUFI12G12870 transcript:ORUFI12G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLGGPAFAARRRRRLADGGWRPDETTPAAGRQPHKATLGLGRGACQGSPGSLVPAKVQIFNPGVGITLMTQLQNREYGTFE >ORUFI12G12880.1 pep chromosome:OR_W1943:12:14319063:14319497:1 gene:ORUFI12G12880 transcript:ORUFI12G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRLELDKTKWNYFIKAERKQRGGRARCRLSGGREVGTPVGDGVPEQCAPGEGGVRCRERGRWPEQRQQELRPVGKRRWRWRLFSKWRERGKGKNGITSTRRSWWREGLACDAPVREIGRTWQ >ORUFI12G12890.1 pep chromosome:OR_W1943:12:14319585:14319824:1 gene:ORUFI12G12890 transcript:ORUFI12G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHRGEGRLGHGGGDVKKRTTAPALCSDWPARVARTRGGCSRVGRRRRRVTARRRLAVVGKAAATVAEDHGRRRAHDT >ORUFI12G12900.1 pep chromosome:OR_W1943:12:14320460:14321857:1 gene:ORUFI12G12900 transcript:ORUFI12G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGRLKRIFYGLWEYLGGDPKEEIKEKYLVEDKTGFGGSVEERAQEAGPAGRLSTRRRGGPRSGAAAAGEEGRRLRLRRPWAREEGGPVDGRAAVTGGQ >ORUFI12G12910.1 pep chromosome:OR_W1943:12:14339509:14340577:1 gene:ORUFI12G12910 transcript:ORUFI12G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLVESRGGFTRNQNQIKLHSPKSKRDHGMLATILGSEQAAIDSILYSYRHGFSGFAAVLTYGKVARLSGELAAFPDGHLAAAIVNLARAEGWRRRCVTATHEQETDGGGGDDTTTCVQERATAAGSGDADARSNTAEIDGASAAQIDRAAEVRGLIDHDSRSNFADDAMKDQATAHHQHIISSI >ORUFI12G12910.2 pep chromosome:OR_W1943:12:14339509:14340577:1 gene:ORUFI12G12910 transcript:ORUFI12G12910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLVESRGGFTRNQNQIKLHSPKSKRDHGMLATILGSEQAAIDSILYSYRHGFSGFAAVLTYGKVARLSGWRRRCVTATHEQETDGGGGDDTTTCVQERATAAGSGDADARSNTAEIDGASAAQIDRAAEVRGLIDHDSRSNFADDAMKDQATAHHQHIISSI >ORUFI12G12920.1 pep chromosome:OR_W1943:12:14355668:14357257:1 gene:ORUFI12G12920 transcript:ORUFI12G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVETWVGFGSAMAGVGLLWSRMPEHFHEEARYIISSLVPMAMSYFNPYEQITVSEYGEERFRRNKMFDAVSTYLSRVCAGGACKLKAELCNNTKDDPVVTLDENQEVVDSFDGARMWWRLCPKASKNKGAINVSYYPGETDEPRCFRLVFHKRHRQLVLSSYLPSVVRRWRELTAKNRQRRLFTNHASEGNKSVWTSVPYNPPATFDMLAMDHAKKVDIMEDLTAFQKGKEYHSKVGKAWKRGYLLHGPPGTGKSTMIGAMANFLDYDVYDLDLTSVKNNSELRKLFLDTTDKSIIVIEDIDAIEDELTTKRKGNKVVNGDDEIRDKRMLVEFADKNDEKSRVTLSGLLSFVDGLWSACGSERVFMFTTNHIDRLDPALIRPGRMDKHIEMSYCRFEAFKVLAKSYLDITDHSLFAEIGWLLDETDTTPADVADNLMVRSKRNGEISRLLLDDEMDGSPPADVANNFMLRCKRKRDADECLAGLVETLKKSKMESATPPMDTIEEEAKEEHNITQKKDTERGERNTT >ORUFI12G12930.1 pep chromosome:OR_W1943:12:14358288:14363950:-1 gene:ORUFI12G12930 transcript:ORUFI12G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPPSGAMTTLGTGGLGIGTVAAASD >ORUFI12G12940.1 pep chromosome:OR_W1943:12:14375076:14378195:1 gene:ORUFI12G12940 transcript:ORUFI12G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLYRFNILSASKSTRGRKEEEAEKATKNPIPQKRNPEFKPTKSLPKNPQTFQRPIHTTQCHVPVPSLSLPPSLCRLCAAALTRTGPDRITSFWSLSGRSPPPSPSLSPPRRPGSTRPQHATPRLLPIRSGRAAYVGLWVHSHRLFSCRFAFRFRRARARARGERGIATPRPNPTTPPPPPPPRPRRPLVAGLRRRLRLVIHQKAFVRTGFRAMRVSSASSTPPPPAFAAAAWAVVLLAMLRSDVALAAAASSNDDTGLSPLMPPPPLAAPVPAAVSPAPATPPAVLSPRKLLRPPGADVVGVGFVSGSGGGGGGGGGDGVRTRRVDDGCAGADDIAIYQGRATPLPSGVPAYTVDVMNRCAGGGGGDEECAIAGIHVRCGWFSSVSLVDPRVFRRLGHDDCLLNDGRPLLAGETVSFEYTNSFPYKLSVSVATCVVDPAAP >ORUFI12G12950.1 pep chromosome:OR_W1943:12:14390508:14391015:1 gene:ORUFI12G12950 transcript:ORUFI12G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHHPELLEVNLRRLSSGGGGVERPMEWDRGARGVVGHRRWSSWPSAKKCATTAAPSPAPRSLSPFFHHRFAPLATAASKGCDKRRTGGGGGNGVGLRPAAELLPVGLVAAVDLGELVAGVIAELAGPSRLLRTLAWSKSGKPTEAGGASARKGGVLVRKEERGAG >ORUFI12G12960.1 pep chromosome:OR_W1943:12:14401311:14403466:-1 gene:ORUFI12G12960 transcript:ORUFI12G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHYTMKKPRLLLLSLEVILIALLLPLRGAHCESSTQGEGGGGGAGAGAANLTVTGTVFCDACSSSSFSNHSYFLPGVKVRIDCMISVKSASKEEIKITAEKVTNTFGAYQLDIPAIDGFECATSAAAAADSFCRAAVIDNPSPLCNVPAVTTTVGHISSSSSGHDHDQPNACLYNLNSLYYRPGGAKNGGQCGGTGGGGDVPPAALNTSLFYCPPWPWPPIPFCTPRPWPPAFPFPLPPWPWAPPPAFPFPLPPWPWAPPPAFPFPHLPPIFSPPSPPPPPPPAFPFPFPQLPPLPHFPPLPSFYPSPPPPPPPPPPPPPSFPWPFPPLAPLFPPYPSPPPSMYSRKDPSTWSSSSKQQP >ORUFI12G12970.1 pep chromosome:OR_W1943:12:14412978:14419751:1 gene:ORUFI12G12970 transcript:ORUFI12G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMQQHPPPPDAAAAAGSADDDDCSAAVAYIRLIAQELCNCWHGVDATGGDALSRLLHRRPRGGGVTFPAAVAAALDDLEDLYAEGSAPMAASARHAIRERRAAHVERLRDATSRRLSVARRIRDLGMDLGAASGRFEEARAGVRRTLRRLAVVAGGGGAMEHAASVARLVELLGHGQGAEAALEAAMEAMEADYERLLQQRDAAEASELAEMAALEEIPQLPRATEEEDQLTLEAAGRFFKTRHANKVMASQNIEKRKEPMAAATQDHLPSSPAAAAADQPQAAAYIRLVIEQLLSRDTASDTGRIRLLLRRRPRGVVAASFPAAVTAALDDLEALYSEGSAPMAALARDAARSRRAGHEERLRRAASQRLAVEGPIRDLAAGIDAAGKRLGEEEAVVRRTQRRLLLLSGAVAEEADVGAVVSLVERLARAQGAEAALAVAMEAMKARHRRLLLQREAAEVAELTEISALEDIPQVARGRKEDDQLLREADDRLRADLTVLIECFVEPL >ORUFI12G12980.1 pep chromosome:OR_W1943:12:14443366:14444229:-1 gene:ORUFI12G12980 transcript:ORUFI12G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGFASSNLLCVPVGAHARQGWACCGWCACSARASKARMRADGEPEYLVDYWMQETVREIDEAKAARKPPPMQTISPLSFPFIPAR >ORUFI12G12990.1 pep chromosome:OR_W1943:12:14445264:14445794:1 gene:ORUFI12G12990 transcript:ORUFI12G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFARHGIRRERARHVARLRAAASLRLSVASAIRGLAVRSTATRRCVKTASGLIRQTQRLLPVGERDLDGGGEATTTERVVTVVEFLATFQGMEAELEADMEAMGPEHERLLRRHDAAVGAELAEAAALEAIPELPPATEEEVQLVREACRRVLSDLVVLIGFFKAVANNLRD >ORUFI12G13000.1 pep chromosome:OR_W1943:12:14451219:14451737:1 gene:ORUFI12G13000 transcript:ORUFI12G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVSTVIFLAVIILPLLSFAEAQQPQTPPRRNPPRAPPSPRRAPPPSVAAEPTPAPVIAPSPPPVVAPSQPPVVAPTQPPTPSGGSQCPRENVIALNICAQLDLSTLLNNPTKAMQDCCPPISNLSSTIAAGCLCEAVKINLGVTADVLFLKAVLRVCGKAELGNLGCFL >ORUFI12G13010.1 pep chromosome:OR_W1943:12:14535009:14535368:-1 gene:ORUFI12G13010 transcript:ORUFI12G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHEREPVNKVDVEARGGGGQQRAAAAAGERMWLTWSCLAMAARVVATGVVGAAVLVWWAVVFHPAHAQVAVDGARWPRPPRHPARRLTLPLCLRRVPPTQQPPHRPGSGPAALRRES >ORUFI12G13020.1 pep chromosome:OR_W1943:12:14555666:14558101:-1 gene:ORUFI12G13020 transcript:ORUFI12G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLQHPWAFAFGLLGNLISFTTYLAPIPTFYRIYKSKSTEGFQSVPYVVALFSAMLWIFYALIKSNEALLITINAAGCVIETIYIVMYLAYAPKKAKVFTTKILLLLNVGVFGVILLLTLLLSHGEQRVVSLGWVCVAFSVSVFVAPLSIIKRVIQSRSVEYMPFSLSLTLTLSAVVWFLYGLLIKDKYVALPNILGFTFGVVQMGLYVFYMNATPVAGEGKEGKGKLAAAEELPVVVNVGKLAAATPDRSTGAVHVHPVPRSCAAEAAAAEPEVLVDIPPPPPPRAVEVAAV >ORUFI12G13040.1 pep chromosome:OR_W1943:12:14623639:14625285:-1 gene:ORUFI12G13040 transcript:ORUFI12G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYYTRCLTQIKNRLLEKTSPHIELMNGDSLVLHFLSLLRERKLFEIIDLQVMEGEDKYQRSSKTSGNVY >ORUFI12G13050.1 pep chromosome:OR_W1943:12:14641451:14642151:1 gene:ORUFI12G13050 transcript:ORUFI12G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGLHPQMQYISYSGRLMNIMMTKISHTGKVHHMRAKHRMAQSLGQIAKFKRRYELEAEENNGRCGCHKREVIQRRAKMEVSAAMDLVRPADPR >ORUFI12G13060.1 pep chromosome:OR_W1943:12:14643890:14644546:1 gene:ORUFI12G13060 transcript:ORUFI12G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAAAAGPHHHHRLPSSSSASPPPPQPDPGGKRQRRPSVRLSGSIPLPSHLPHPRRIPITPASRSRKPLHLQPHHPKPEHEEDDNDHNPSSPASADADADDLVLAAAFPRKPRSLEAVQGESVAAAAAAAEEEEAAAEGEVVDVVEWLWGIGMGRYAAAFEAHEVDGEVLPCLTMDDLRDMGIGAVGARRKLYCAIQRLPPPPALPPPPPPPPRR >ORUFI12G13070.1 pep chromosome:OR_W1943:12:14650332:14652396:1 gene:ORUFI12G13070 transcript:ORUFI12G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEGKKGGRGGSVPAWAVKTNYNVKICCVCLSVSCSLQVLAPAVIQRHDLVRAQRAGGRQNRCSLCRRGAPDGVADVLQCHLRRKALPSTVWNK >ORUFI12G13080.1 pep chromosome:OR_W1943:12:14664634:14666786:-1 gene:ORUFI12G13080 transcript:ORUFI12G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDPSHPHRQSKDTAAAPPPPPPQEQPQQQQPELITAPPPRDDVAHQEPSTSSSSGGGGTDAAGLSLQLGLGPSAPGSPSSSRRKRPRTDAHHEAAAGPSTSAADPQLPELRLSVLHPGPGPSSSASAVGAVVAAAPPPPAHEAGTWFVLRAAQNQRREPPLPQIPRSFLRVRDGRMTVRVVMRYLVNKLSLEDDSQVKGVRPSVTSWVTPHD >ORUFI12G13090.1 pep chromosome:OR_W1943:12:14671486:14672001:1 gene:ORUFI12G13090 transcript:ORUFI12G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDLRRAKEDPLIAAGRFQVRRRRICPCVVVVVVVALAIAIVVFIVFVVAKAGGGGFAAPEFGATGSGLTQLATVIVVVLAVAVIVIIIVIVADASGDGSAAPKSGTAGSTHPGPEGGRSAAAWLCRSHNHRCHGHGGGKGGGGDGRWRRGKERRREQSGVGGEGGEEIF >ORUFI12G13100.1 pep chromosome:OR_W1943:12:14681953:14688342:-1 gene:ORUFI12G13100 transcript:ORUFI12G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWARGPTGHRLVAQISPFPGPGPTTKPTRRARPPLRRPGRIHGAAAAAAL >ORUFI12G13110.1 pep chromosome:OR_W1943:12:14694855:14700838:-1 gene:ORUFI12G13110 transcript:ORUFI12G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVELKAEPDTDEVYAQLTLLPEKQQDGNGSGNGNVSKDKVEEEEVVPPAATERPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQHPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKHLVAGDAFIFLRGENGELRVGVRRAMRQQANIPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPSEFVVPRDLYKESLKRNHSIGMRFKMTFEGEEAAEQRFTGTIVGVGDSDPSGWADSKWRSLKVRWDEAASVPRPDRVSPWQIEPANSPSPVNPLPAPRTKRARPNVLASSPDLSAVNKEVASKVMANSQQNGLPRAFHSQENMNLRSRFGDSNELNTSQKLTMWSLGSNQEKNNVSVQRELGSQSWMQMRRPDGSSEILSGFQPLKDTRNPLSSFTSQISGNRSNTWNTINVHYPDQNANHNMYPGTWSLMPPNTGFGVNQQNYLMTPDITLPQRSLNAKFGGNGAFTSLRAHGIDQRSSGWLGHIEPSSHIDDASSSLIKPQPLVIDHNVQKAKGSSCMLFGISLDSPAKPELLISPPSVAFDGKLQQDALEEDECSDPSKTVKPLDGAQHDSAREKHQSCPDGTKNIQSKQQNGSSRSCKKVHKQGIALGRSIDLTKFTCYDELIAELDQMFDFNGELNSSSKNWMVVYTDNEGDMMLVGDDPWNEFCNMVHKIFIYTREEVQKMNPGALNSRSFVVCKSRRRHIHGRKESFRGVVVVAGALYVSGKPRSTPFVDGACPGISCPLFVFDKFWVCFVEVLSYCMICPVVVKGAEAKLPRPVRLLACALPPS >ORUFI12G13120.1 pep chromosome:OR_W1943:12:14728487:14729706:1 gene:ORUFI12G13120 transcript:ORUFI12G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETTVPRRRGVHVLAYGYAASWVSFAPTSCSFLRDSDGGVTQLLAIHGADGHLTFMRTTATSRSFLTSMASGHGKRRWQDASNEVSRGGDVITSARGEIRSSPRWFNVYLGRKGYMRLEVKRHTFNEFAFIKGYIGI >ORUFI12G13130.1 pep chromosome:OR_W1943:12:14732086:14738355:1 gene:ORUFI12G13130 transcript:ORUFI12G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEEKRRLGEGEGEGGGVMRDARKDGVVREVIRMEREAVIPVLKPKLVMKLAYLIEHDLDRSEFLKLCKKIEYTIRAWYLLQFEDLMQLYSLFDPVSGEKRLEQQNLTPEEIETLEFNFMTYLFQVMEKSNFKLLSDEEYDVAQSGKYLLNLPIKVDEPKLDSKLLSTYFKKHPHDNLPSFANKYIIFRRGIGIDRTTDYFIMEKLDVIISRAWSSLLRVTRIDRLFSKKPQVKSKKDTKKTDEINEDLEEPELFVERIRLEKIELSVRNLMSKMTIQEPTFDRMIVVYRRAGTKTKPDRGIFVKHFKNIPMADMEIVLPEKKNPTLTPMDWVKFLISAVIGLVTLFGSLEMPKADIWVVIAILSGVIGYCAKIYFTFQQNMTIYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMEQGKATEQDLDLRCEELIKEEFGAECNFDVRDAVKKLERLGIVHRDSIGRIVCVSLKRANEILGNTTEELVMRAQQSPAAS >ORUFI12G13140.1 pep chromosome:OR_W1943:12:14739007:14743606:1 gene:ORUFI12G13140 transcript:ORUFI12G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAAEPGVRFSDRAARRSSLGLRSMVLLMHVVFVGAVFLLDPTLDRRIREEPWGIWSACFDRLSAVFLHSWFISWVCSRCNESWFDDARNLHQYCHSFEKRQSSPNNGSLNSPLSLPQQQKLNPTTSTSTWLQRVAELYPPGSSSSHLVLGIAMTVTNVSFSLIITVFGLEHALGKRTTADSETILSIWTVALYIDSIRLDVDKACYIALTNQTTYEVARRKRIFYMRGIPDKVHPFSKGICRNIYVFCFSRQKGYDLEEVPPLEELEARAAPYTCRDIICCRCC >ORUFI12G13150.1 pep chromosome:OR_W1943:12:14743850:14745801:1 gene:ORUFI12G13150 transcript:ORUFI12G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLISIVQPPSLLAIQFQPLQNNRFKRNNPAISARARTVRARAAELPAGVVVPREQPKLSEPFLGFTKTAEVWNSRACMIGLIGVFIVELVLSKGVLQTIGLEVGKGLDLPL >ORUFI12G13150.2 pep chromosome:OR_W1943:12:14743850:14745801:1 gene:ORUFI12G13150 transcript:ORUFI12G13150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLISIVQPPSLLAIQFQPLQNNRFKRNNPAISARARTVRARAAELPAGVVVPREQPKLSEPFLGFTKTAEVWNSRACMIGLIGVFIVELVLSKGVLQTIGLEVGKGLDLPL >ORUFI12G13160.1 pep chromosome:OR_W1943:12:14747968:14750886:-1 gene:ORUFI12G13160 transcript:ORUFI12G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCSSEIVEFTEEFDDNAPVSCPNLMHIRVKPEEKDGRCYPVEDDLEQLLKAIDSRTFRRTLSPGQAGADALRKNGQKKSSRSGPAQAAGIGISSKPVNMKQALRRLCISQASEMAAMKRLSMSPGSSSSSEVGTIHRLYASLMVQSNGESHLHDDEKMNLIEISITPEKFSKNSSRATSEFSEDCDFETADGSAVTSLHSASSTSAEIQKIRIQDVISGDPIDSESSMVENEKLNKKVSTATDGSSPRAPILSKPITTSRLVKPVFRCKTIGKKKLKEEPPSLGTSSNSTKFCSSKESISLASTSCSSTSSITNPTSCADDEKTNLGPEKSGDKSPEWLRSEEKGECSQNSNSISEYGCSTSISDESQFGLCSYNNRPHMAKDLRWITIRELALQQGSLGLDNFKLLKRLGCGDIGTVYLAELVDSECLFALKVMDIEYLINRKKMLRAQAEREILEMLDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQRQPGRSFPEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPVLLRSSSVAANHQPRKLAGPCAESSCISSSCQPSCAQTSCFMARPPLPKPRKPKSSHRKLPQLVVEPIDARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFRGPGNDETLANVVSQNLKFPENPSVSSNAKDLIKGLLVKEPENRLGSLRGAAEIKQHPFFEGLNWALIRSAAPPETRPCDVVTLTTAKKKKEGKCLEFRSNSEDLEFEVF >ORUFI12G13170.1 pep chromosome:OR_W1943:12:14774129:14774971:1 gene:ORUFI12G13170 transcript:ORUFI12G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNFSHLVLFFFCVASLLTSPSLAIRQGAFQAGTYLTNLTRILKTKLFAVSYKNLVGSYHQLTKRPSFSQSGGYRNLFRQLISLDYDPTIGAPPQIHSVPSQHERTGNHF >ORUFI12G13180.1 pep chromosome:OR_W1943:12:14779455:14781728:-1 gene:ORUFI12G13180 transcript:ORUFI12G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKTGLVIFETVEAFGSSEIRRGGGGQLQKFRCLLHAKLLGLGLSRVTPLQQSWQIWVVLDYNLTKAAAILQVEAYLTLSHNNLSRSIPAEFAAVNFARIDLLRNQPTGDASPLFGRGKPAQAIDVSRNALAFELTGVELPEQVTTVVRGREPQHDLRRHPDAGGEAEQAASVQRPGRPRRRAATGRASSATPPRAA >ORUFI12G13190.1 pep chromosome:OR_W1943:12:14786593:14788126:1 gene:ORUFI12G13190 transcript:ORUFI12G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGNKRAWVADLEGGLAGAGAASERALWSKHSVHRVPAAVKDLHPHAYRPQVVSLGPFHHGDQRLVPMEPHKLRAVARFVGRSRRPVAEFVAAVEAAAAELEESYQDLAGEWRGERFLQVMFTDGCFLLEMMRTAKVIGGGGGGKHDEASGAVGGYAHNDPVFGRHGAVYMVPYVRRDMLIVENQLPLLLLQKLVAGDVDEEDGAEVHLAVVQDAAGEGTPGTPPARLVPQELAQRPAPETTRRQGQRRRRERRPPRDDDEEANGGIIRSAAELYEAGIRFRRSPTASLHDITFRRGVLALPFVVVDDSTEYAFLNLMAFERLHAGAGNDVTAYVLFMDSIIDSARDAALLTARGVIQNAVGSDKAVARLFNGLSKDVVALDGAGGDGELYAVRRAVSRYCRKPCHVWRANLVHTYFRSPWAFMSLAAAVFLLAMTVAQTVYTVLPFYQQGGNGGEATYAAPAPR >ORUFI12G13200.1 pep chromosome:OR_W1943:12:14797236:14799128:-1 gene:ORUFI12G13200 transcript:ORUFI12G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRRTAEESSWVVEVEKAVRSGGGADGDPSAEEAAWWRRHSIYRVPACIKDLNRRAYQPQVVSLGPFHHGDPNLLPMEDHKRRALLHFLRRAAPRRRPLAEFAAAVADAAEQLVGAYQGLADEWRRGEPEKEKREAFLEMMVTDGCFLLEVMRTAAGWEVSDYADDDPVFSAHGLLYTVPYIRRDMIMIENQLPLLVLDKLVAVESGIQQQNEDYINRLVHNFLSPTAWPPVTGVGLALHPLDILRRSLLFGPAPSPRAPAPETAPTDDIIRSAEELYEAGIRFRRSPTSSLLDIRFHRGVLSLPAIAVDDTTEYMLLNLMAFERLHAGGCGGGGGNDVTAYVFFMDNMIDSPRDVALLAARRVVHNTLGSDKAVARMFSGLSRDVVLEPRSALDGVHREVNAYCRRRWHRWRANLVHTYFRSPWSLMSLAAAVFLLVLTVMQTVYTVLPFYQGGNN >ORUFI12G13210.1 pep chromosome:OR_W1943:12:14802301:14811671:-1 gene:ORUFI12G13210 transcript:ORUFI12G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFRFDPDGSDDDGAAASAAAGRRKPAQSPWEFSSYAESVAAEHARRRTTSIDEKISQALSGSRRGGKPSIPDGDSEGDEDDSEVEDDSEEDDKEVVEGEIDDEEDEVEESEDDDEGVEVSDEEVEELEEGKGEEKSDEVEEGEEGQDGEEEEKEEGDEEAAEEEEETDKKSGVVDPSKFFASSEGASFHANSFLELNLSRPLLRACEALGYQKPTPIQAACIPLALTGRDICGSAITGSGKTAAFSLPVLERLLFRPKRVPAIRVLILTPTRELAAQVHSMIEKLAQFTDIRCCLIVGGLSTKVQEVALRSMPDIVVATPGRIIDHLRNSLSVGLEDLAILILDEADRLLELGFSAEIQELIRMCPRRRQTMLFSATMTEEINELVTLSLNKPVRLEADPSLKRPATLTEEVVRIRRAREANQEAVLLALCLKTFKDKVIIFSGTKHSAHRLKIIFGLSGMKAAELHGNLTQAQRLEALELFKKQEVDFLIATDVAARGIDIVGVRTVINFSCPRDARTYLHRVGRTARAGREGYAVTFVTDDDRSLLKAIAKKAGSQLKSRIVAEKPVAECAKLIEELEDQISTIIQEEREERILRKAEMEATKAENMIAHKDEIYSRPKRTWFATEKEKKLLAKAAKESTSQGKSNSGVISAQQAEDLHLKEKKRREREKNLPRKKRRRLEAEREMLEDESEDEEEAKESKGGKKEKKGQSLVDVAYRRAKSMKASGKRGAGTGKGKNDKKAKQHSGKGPTRQEEMQELFQNDMSEWKQGRSLKKNNVMRKKSKNSFKSKSRYNRRK >ORUFI12G13220.1 pep chromosome:OR_W1943:12:14811909:14815219:1 gene:ORUFI12G13220 transcript:ORUFI12G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVGGGEKQLEDCTVSNALGTWFFSVAGALVAIPVGIKKKSLAPLVFFGTTGTMLDIIMGISQCEREHAERQMKLLEAQNLSANASADGEN >ORUFI12G13230.1 pep chromosome:OR_W1943:12:14815517:14826579:-1 gene:ORUFI12G13230 transcript:ORUFI12G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKMSEIVEQLKPVCDAVDRILGPLQPSGHSKNAKTQCIDLEKRPKTTPTIIEHELFGRKDLKRIVADEIMIGKYRDNDITVVPIVGPGGIGKTTFTQHIYEEVKNHFQISVWICVSQNFNANVLAKEIVEKMPKGNNEKENESDQEKIEKRIQSQQFLLVLDDVWEYHEDEWKTLLAPFRKSGTKGNMVIVTTRKPKIAKMVESTDCSIKLDRLDHEDSMQLFQACVFDNKKTWEDYASGLQKVGVDIVKRLKGFPLAIKTVGRLLRNKLTLDRWTRVYESKEWELQSNDDDIMPALKLSYNYLPFHLQQCFSYCALFPEDYRFCGQELINLWIGLGLLGTDDQNKTREYLGLEYLDQLVDNGFFKQVGKEHDLLHEHVENRIAFENHKKDLSTLGNKLKAGNLRTIMLFGQYHGCFYKIFGDVLIDAKSLRVIFLSRASYDVGDVLCKFSELVHLRYLRIKDSWMGGASLPSNIIRFHHLLVLDLQHHNGELNFLGDMGKLLKLRHFLVKDVSTHSSIHGMGKLKFLQELCKFEVKREIKGFELEQIGNILDLQGSLRIYNLEKVEAINEADEAKLARKNHLHGLVLKWDKHRRNMDPIREGSVLDSLKPHYNLRELHIVGHGGATCPNWLGRDLVIRNLESLHLESVNWDIISLPGKLYMTDGQERHGCVTSHDFHYLKMLELVNIPKLKKWHGDGTINLLPHLQSLTISDCPELTELPLSDSTSCQFQQSMICFPKLQKIKISECPKLLSFPPIPWTNSLLYVSIEGVDSGLEKLNYSKDKSSLYITGKDAPDSMFWNVLDFNNLTELQLLEIKKCPPISLDHLKMLTCLKTLEITDSGSILLPVDSENEVKYNLLVEKLEINSCGASGRELTQVLSHFPKLSNLKIWDCQKVQGLGVAEQRTIPTPESSLSPSTNEAAKAPTTRLQQQTGEAEEMEAEAAAAAAEEIKKMVISGCSLDGGGIHGLPSLQSLGIYGLLLCSSSSSSSPFPTSLQRLELWKVEGVETLPSPLPNLTSLSISSCGNLRGGEVLWDLLAQGHLTFLSVDKTPNFFLGSEQSCSQVDEQEDMHRSWRLLELRTDDFARVLAAPVCHIISSSLTKLVLSWNDEVECFTKEQEKALHILNSIEDLQFWSNEKLQSLPVGLSQIPTIKKLRIYNCPAISSLGNLPNSLQQLKISSCPAISLLDSLPNSLQQLKIYNCPSISSLDGTTIRSLPKDRLPTTLREIDVHYCGNEELKRQCRKLQGTIPIVPCRSSSSNFF >ORUFI12G13240.1 pep chromosome:OR_W1943:12:14826764:14827355:-1 gene:ORUFI12G13240 transcript:ORUFI12G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVSAARWVVGRALGPVTGELMEAWAASKKLGPNIRELKLLLLHAQAMLENAEGRDIRSGALDQLLSQLRDLAYDADDVLDELDYFRIQDELDGTYEAVDDAEEERGLVRGLALHARHTARAIARKLM >ORUFI12G13250.1 pep chromosome:OR_W1943:12:14843637:14848070:-1 gene:ORUFI12G13250 transcript:ORUFI12G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVSAARWVVGRALGPVTGGLLETWAASKKLGSNIRELKLQLLYAQATLENAEGRDIRGDALAQLLFQLRDLAYAADDVLDELNYFRIQDELGGTYEAVDDVEEERGLARGLALHARHTARAIARKLSCTCSAGTRSHADAPAPADAEQGRCLPANAAAHAVGKRLPCCSLPTVGNVDSTGAKTNEQHLQAPKLKFVTVEMSKKMSEIVEQLKPVCEAVKAILGPPSGHSNNTKTQGIDLEKRPKTTPTIIEPELFGRQNRKTTVADEIMNGKYCDNDLTVLPVVGPGGIGKTTFTQHIYEEVKSHFHISVWICVSQNFNSNILAEEIAKQMPTENNEKENDSDQEKIVKRTQSKQFLLVLDDIWACQEDEWKTLLAPFRKGGTKGNMVIVTTRFPKVAKMVESTYCSIKLERLEHEDSMRLFQACVFNDKKTWDDYPLGLQKVGVDIVKRLKGFPLAIKTVGRLLRNKPTLDRWTRVLESKEWELQSNDDDIMPALKLSHSYLPFHLQQCFSYCALFPEDYKFHSQELVNLWIGLGLLDASDQNNKIEDIGLGYLEELVDNGFFEQDGKEYDNHYVIHDLLHELATNVSSKESLRLNSSDVRSIQIPTSIRHMSIIIDNTHVKDRRTFENHQKDMSSLGKKLKAGNLRTIMLFGEYHGSFYKILGDILRDAQSLRVIFLSGASYNVEDLLPNFSKLVHLRYLRIEDSWLCGASMPNCITRFYHLLVLDLQRHRGELGFPTDMGNLLKLRHFLVHDDNIHSSIFEVGKLNFLHELRKFEVKREMKGFDLEQIGQLLELRGSLSIYNLEKVEGTKEADDTKLAYIKHLDRLVLNWDNSRCNKDPVREGNVLERLKPHNNIRELHIAGHGGSTCPNWLDGDFSIGNMESLHIESVNWGTLPLQGKLCMIECQELEGCVTSHGFQNLKSLKLVNIPKLKKWHGDGTINLLPHLQKLTISDCPELLELPLSHSTSSQFQQSVVCFPELREITISNCPKLLSFPPIPWINSMCNVSIKGVGSGFENLNYKKYEQPKSRLEITGKDAPGSMFWNMLDFNNLTALEVLEIKNCPPVSLDHLKTLACLKTLEITDSFSILLSADSEDDIQYNLSVEELMINSCGASGRELTYVLSHLPKLSNLVIQKCQNIAGLGVAEQRTITTPESSLSPSANKATNTQTTIPRQMETAAAADDGLLLLPPQIKELKITNSKELSLDGGGIQGLLSLQSFVIIDCPKLLCSSSSSSSPFPTSLQRLDLRYMESMETLSYPLPNLTCLSISDCGNLRGGEILWDLLAQGHLTILSVDKTPNFFLGSEHSCSQVDVQEDVHRSWRLQELWTDDFARVLAAPVCHHLSSITTLGLNFNDEAECFTKEQEKALHILTSIEYLQFWRCNKLQSLPDGFSQITTIKTLHIFHCPAITSLGSLPISLQELKIYRCPAIHSLPKDGVPISLREIDVSYCGNEELKRQCRKLQGTIPIIMV >ORUFI12G13260.1 pep chromosome:OR_W1943:12:14871355:14872602:1 gene:ORUFI12G13260 transcript:ORUFI12G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQSISSQEFGIDECNGADESSLRKTKLWPWILRSGEDRAFLLIQPSDEGKAPVLKEKKKTKTMRFTQEQINNCIAYKDVEIPFDDNMPSLLEALDEETLANFPQDLIDKLKAYEDEREAEKASFIEIQNHIRGERDGILNQYYTKGYAKYEVVVDDDGEEDSKVPPRVVAPPAGQRRFRNGVTVQKNQSGGGGSILQIPMQVGSTPQTRQYAGDNFLSLACKP >ORUFI12G13270.1 pep chromosome:OR_W1943:12:14877138:14880131:-1 gene:ORUFI12G13270 transcript:ORUFI12G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELIAVVARAALQWALSSLLLANGAAFCLIAAAASWLRLGPPLCLLCAGVHRLLCSDPAAADADDARGQERAAFRRLLCDAHVAAMAASEPEKKKQQQQPDQQKMISASDGEEKRDAAEVEIADKPGGMEGHRVVSIGSEICEQDHAGGDQRSTAGEEGYGDGPYVSLFELAPIAARAPQDEDGHGHAHEVFDDLPAELRRDGDGALTVGRLAAALRAQRRELEAVRAELDGERRACAEAAEYQRQLEEQGEFDREAVRLAMQLVHEAETEKHALQRQLDAFRVKAHLYDYEAAATAAAATDHDAAGDGGGGNNYQSLVDFLPGSVFSSSPDLANLLKLYTEGNGGGRRLTDAPVPVVTEVVEEEEEEEEEEEVAVAAIGGVDSNGNGDAAATIAIAGDSLQEGSSDHLEPTNGEL >ORUFI12G13280.1 pep chromosome:OR_W1943:12:14883675:14885964:1 gene:ORUFI12G13280 transcript:ORUFI12G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRERERERDRDKDQLLLIPVAADRAAAAVAAGGHEEETSSLLPAFSRVIRSWAWKKFMTGCVILLPIAITFYTTWWFIRVVDGFFSPIYIHLGINVFGLGFATSITFIFLAGVFMSSWLGASLLGLGELFIKKTPLVRHIYSASKQISAAISPDQSSRAFKEVVIIRHPRIGEYALGFITSTLTLRGVADGRRGGGSGAGGGRELACVYVPTNNLYLGDIFLMSRADVIVPDLSVREAIGKLKIEPFLFLNL >ORUFI12G13290.1 pep chromosome:OR_W1943:12:14886642:14888690:-1 gene:ORUFI12G13290 transcript:ORUFI12G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRELGATGLRVSPVGFGASPLGHVFGDVPRDVARAAVRRALDLGINFFDTSPYYGGTVSESVLGDCLRAAGVPRDRFVVATKCGRYREGFDFSAARVTRSVDESLARLGLDYVDILHCHDIEFTDLDQIVNETIPVLQKIKESGKARFIGITGLPLSIYTYVLDQVPPGSVDVILSYCHYGINDTTLVDLLPYLKSKGVGVISASPLAMGLLTDNGPPEWHPAPKELKLACRAAADHCKKKGKNITKLAMQYSLMNNEISTVLVGMNSPEQVEENVAAAIELSTSGIDKELLHEVEAILEPVKNMTWSSGIEQA >ORUFI12G13300.1 pep chromosome:OR_W1943:12:14913719:14915928:-1 gene:ORUFI12G13300 transcript:ORUFI12G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMISSAVVGETLNRVVSRLVSGGSHNDDGMRGNSMERLEMAHSRMEAVLDLSTRWPVTDVSLLRWRRRLKRATEECDGALRRRRRKQRAVEDEAAAVSRSSFPRRVAHAARSYVVSALIGGYDDDDSHVRRFERVSDGASEFLKLVELGGTTRPRLAFFDDPLIGRIMAGETLRYLALRGSRLYYVGARPMSFEERGVEAMVGFVVQDFEAPSRSFSVGLMMRLSESTDVLGTMIDWLQLKRKELIQLPTQDLTWVARSPYGHNKYWIDVHTTLTQWYRPNPLCCTEHQHSHLDDMGTSPSPATPLSTTYPEHVIALQLQCYVPAPTTEQSPNDDHGREEQLLPPPLNMKLSMLFIPHDTPDPEEEDDDGEKHGGSYGHHHQSRALEVIDEEERDGGACQLQDVDERLLPRAMDRLRRNAASRTYQVCLTSGHGAAHICVEKTSPSTASASGRRRRRIRSSTGGAAAAARSAARSSVGTRQGETAEKRRERYSIEGWRESARQLLKLWVVRSSEKLRGSVSSWTTEHYYKNTP >ORUFI12G13310.1 pep chromosome:OR_W1943:12:14916965:14917762:1 gene:ORUFI12G13310 transcript:ORUFI12G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVDDHEAVADDFRGATFHHRHHALVKNAYLPHILAEGRTVTVRNHLRRLFTDGWWRSGVAAASSEARLRRPLVVLLLCAPTVDEFIPVNPLLGLFVITACGLTGLWKRLEVRKGGDSDRAPTRRMAWEAGRAGRASSPIITGETALVVRRWSWQLVLARF >ORUFI12G13320.1 pep chromosome:OR_W1943:12:14925851:14926451:1 gene:ORUFI12G13320 transcript:ORUFI12G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEGAVGGRAAFQWWRRTRRRAVAAEDVDDGGCGGLEGRGGSRTDVNLAVPAPARCSTRGGGGGDAARFLGNDDGSDGRWRPQAIRGSGGVGKSGAQPRERSGAGGRAGGERRAAPRRRI >ORUFI12G13330.1 pep chromosome:OR_W1943:12:14930044:14930848:1 gene:ORUFI12G13330 transcript:ORUFI12G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQEKLQFVGHVHIHLYSNSWRSKVKGVDQWSYHEVEIHVVIAMYSSFAPDSEAVGNHPSVKAPGDEHPTWLKPSRQRLGQVLCISPFSNLHKFTAEWNLSTEFIKILVWVDAVPQGNALTYLFGFLMYLYEVADLKNVLDNFSH >ORUFI12G13340.1 pep chromosome:OR_W1943:12:14964840:14965073:1 gene:ORUFI12G13340 transcript:ORUFI12G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRPSSEWLRGDPSVRGGVVMHPGRRGRLASSCLPLEGARSDGRRRWSFFFGVRESGSGWLRRPWRASWSVQGAHK >ORUFI12G13350.1 pep chromosome:OR_W1943:12:15007438:15009237:1 gene:ORUFI12G13350 transcript:ORUFI12G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGGEPTLMPVSAMCTPAFVARVVRSRWFVVFASMVVMAASGSTYIFALYSKELRSTLGYNQQTLNTLSFFKDLGTNVGVVSGLVQQVAPTWAVLLIGAAMNLAGYLMVYLALDRRTAAPVWLMCVYICVGANALTFSNTGALVSCVKNFPESRGIVIGLLKGFVGLSGAIYTQLYLAIYGDDAKSLVLLIAWLPAAVYIFFVHTIRVLPYRRRGDGEELDSKPFFCFLYISIALAAYLLVMIVVQKQVRGFSHAAYVVGAAALLLILFLPLAVVVKEERKNASHLERALQQPPSIAVEHPTPTKEADGEPATSCVGRMFRPPELGEDYSIMQALVSVEMAVLFVVSVFGIGGTLTAIDNMAQIGQSLGYPAKSINTFVSLISIWNYAGRVGAGYLSEMLLARYRFPRPLALTAVLLASCVGHLLIAFGVPGSLYAASVIIGFCFGAQWPLLFAIISEVFGLKYYSTLFNFGSAASPIGAYVLNVRVAGRMYDAEAARQHGGVAVAGDKICKGVMCFKRSFLIITGVTFAGALVSLLLVWRTRSFYKGDIYARFKVAPATAATSAAAAAAEASSPEVEEKKGTEKNKKDGVHEHVSN >ORUFI12G13360.1 pep chromosome:OR_W1943:12:15014004:15015088:-1 gene:ORUFI12G13360 transcript:ORUFI12G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMAELVGPRVYSCCNCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNVVVGAKEDRQLMTGLHTVADIYCNDCREVLGWKYERAYEETQKYKEGKFIFEKSKIVKENW >ORUFI12G13370.1 pep chromosome:OR_W1943:12:15017745:15018622:1 gene:ORUFI12G13370 transcript:ORUFI12G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYGLEAAEKFDEFNGVIKKLFDVYATSACATSKKKGAEMHVHQLQIQSDPVHNTDEFDDIFNENDSSHDHEQHFQRFLLERSYKTQAIINELDIESVERHLANLLIVEKEENNDDMEQDDVLMDEMDE >ORUFI12G13380.1 pep chromosome:OR_W1943:12:15021471:15025337:1 gene:ORUFI12G13380 transcript:ORUFI12G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATPTTNGSFLLGSGLDCGSSDVARMQGVLARVRGPFTPTQWMELEHQALIYKHIVANAPVPAGLLLPIRRSLHPPLGYSGSADSEPGRCRRTDGKKWRCSRDAVVDQKYCERHINRGRHRSRKHVEGQSSHAAKATVPAIAQPPIGASNGKLSGSHGVSNELTKTLATNRMMLDKANLIERSQDYTNQQHNILQNNTKGDNWSEEMSSQADYAVIPAGSLMNTPQSANLNPIPQQQRCKQSLFGKGIQHDDIQLSISIPVDNSDLPTNYNKAQMDHVVGGSSNGGNNTRASWIPGSWEASIGGPLGEFFTNTSSASDDKGKSRHPPSLNLLADGHTTSPQLQSPTGVLQMTSFSSVPSSTVSSPAGSLCNGLLTSGLVNAQTVQTL >ORUFI12G13390.1 pep chromosome:OR_W1943:12:15030708:15037301:-1 gene:ORUFI12G13390 transcript:ORUFI12G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFRLPIVGVHHMEAHALVSRLVNKDLDFPFLALLISGGHNLLVLAHGLGQYVQLGTTIDDAIGEAYDKSARWLGLDMRKGGGPALEQLALEGDPNAVKFSVPMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNQIAEKNGLQLVVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >ORUFI12G13390.2 pep chromosome:OR_W1943:12:15030710:15037506:-1 gene:ORUFI12G13390 transcript:ORUFI12G13390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLPTLSPPASRAAAALLLRRAPPFPSPLPAPLLRRLLAPAPSPPLRSSPTFRSLATMPSAAAAADLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFRLPIVGVHHMEAHALVSRLVNKDLDFPFLALLISGGHNLLVLAHGLGQYVQLGTTIDDAIGEAYDKSARWLGLDMRKGGGPALEQLALEGDPNAVKFSVPMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNQIAEKNGLQLVVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >ORUFI12G13390.3 pep chromosome:OR_W1943:12:15030710:15037506:-1 gene:ORUFI12G13390 transcript:ORUFI12G13390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLPTLSPPASRAAAALLLRRAPPFPSPLPAPLLRRLLAPAPSPPLRSSPTFRSLATMPSAAAAADLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFRLPIVGVHHMEAHALVSRLVNKDLDFPFLALLISGGHNLLVLAHGLGQYVQLGTTIDDAIGEAYDKSARWLGLDMRKGGGPALEQLALEGDPNAVKFSVPMRQHKDCNFSYAGLKTQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNQIAEKNGLQLVVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >ORUFI12G13390.4 pep chromosome:OR_W1943:12:15030708:15037301:-1 gene:ORUFI12G13390 transcript:ORUFI12G13390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFRLPIVGVHHMEAHALVSSSRARLVPMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNQIAEKNGLQLVVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >ORUFI12G13390.5 pep chromosome:OR_W1943:12:15030710:15037506:-1 gene:ORUFI12G13390 transcript:ORUFI12G13390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLPTLSPPASRAAAALLLRRAPPFPSPLPAPLLRRLLAPAPSPPLRSSPTFRSLATMPSAAAAADLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRDDAIGEAYDKSARWLGLDMRKGGGPALEQLALEGDPNAVKFSVPMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNQIAEKNGLQLVVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >ORUFI12G13390.6 pep chromosome:OR_W1943:12:15030708:15037301:-1 gene:ORUFI12G13390 transcript:ORUFI12G13390.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFRLPIVGVHHMEAHALVSRSTDDIPISSATKDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNQIAEKNGLQLVVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >ORUFI12G13390.7 pep chromosome:OR_W1943:12:15030710:15037506:-1 gene:ORUFI12G13390 transcript:ORUFI12G13390.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLPTLSPPASRAAAALLLRRAPPFPSPLPAPLLRRLLAPAPSPPLRSSPTFRSLATMPSAAAAADLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFRLPIVGVHHMEAHALVSRSTDDIPISSATKDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNQIAEKNGLQLVVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >ORUFI12G13400.1 pep chromosome:OR_W1943:12:15041106:15043113:-1 gene:ORUFI12G13400 transcript:ORUFI12G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSPSPASGSSSSSDGGGARRLSELLEEQQEPFSLHLFLLDKGCSPALLDAACWPAAARAMRRRRRRPASALLSVILSKFLPRWREAAAPAIGDGAGEDDMEDEEEEEEEKQLSPVSVLEQSPFQPPASPAYSKSKQTCTLTSRLLRLRRGGAIAAATWIAQSSLAPRTSSIAEAIVIFRELLAAAYTPALPDHPVNNHTASSPSTSSSSSSSSSAAASRYWEEEEKLEAEIAKVHGLIAAEMAAGWSVCPVGDARRRVGAELAAAVLESLTEEAAAALMLTWSNGRPRCDDDDDDDAIDDDASKPSDEAVNRGDRGFKT >ORUFI12G13410.1 pep chromosome:OR_W1943:12:15057651:15066235:1 gene:ORUFI12G13410 transcript:ORUFI12G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPSDSSHHGIVENSPYRTTQGRNEETGELGASWYFSRKEIEENSPSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFYLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAGQRIKQKEVYDQQKELILLAERVVLATLGFDLNVHHPYKPLVEAIRKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRAAQAQPSHGNEAEGSSASVPNQRVSVKSEETPLPHQSKQSSSQHSTGAPSDHGVEHSNLEKQTVDQKMLQNDNGDHGSNKTRSNQSGSRVDFGANDGLHHDKQSMTENKNLPSHGNSSEIRDVNRNGNDGTNVTSLMVNKIDKDKVKAQMEKQRKLKGDVARKVEVIDDDDDLERQLEHDIELAVEDNKIKQERKQSSPHVMHRGDHRNADQVTGNGHLGKQNTPETAQDAPMDDIKEQRNSHGSKHHDSHDTAHERGERDYKRPRPEDYMLLLDDDGWITGFMFETN >ORUFI12G13410.2 pep chromosome:OR_W1943:12:15057650:15066155:1 gene:ORUFI12G13410 transcript:ORUFI12G13410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPSDSSHHGIVENSPYRTTQGRNEETGELGASWYFSRKEIEENSPSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFYLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAGQRIKQKEVYDQQKELILLAERVVLATLGFDLNVHHPYKPLVEAIRKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRAAQAQPSHGNEAEGSSASVPNQRVSVKSEETPLPHQSKQSSSQHSTGAPSDHGVEHSNLEKQTVDQKMLQNDNGDHGSNKTRSNQSGSRVDFGANDGLHHDKQSMTENKNLPSHGNSSEIRDVNRNGNDGTNVTSLMVNKIDKDKVKAQMEKQRKLKGDVARKVEVIDDDDDLERQLEHDIELAVEDNKIKQERKQSSPHVMHRGDHRNADQVTGNGHLGKQNTPETAQDAPMDDIKEQRNSHGSKHHDSHDTAHERGERDYKRPRPEG >ORUFI12G13410.3 pep chromosome:OR_W1943:12:15058956:15066233:1 gene:ORUFI12G13410 transcript:ORUFI12G13410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPSDSSHHGIVENSPYRTTQGRNEETGELGASWYFSRKEIEENSPSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFYLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAGQRIKQKEVYDQQKELILLAERVVLATLGFDLNVHHPYKPLVEAIRKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRAAQAQPSHGNEAEGSSASVPNQRVSVKSEETPLPHQSKQSSSQHSTGAPSDHGVEHSNLEKQTVDQKMLQNDNGDHGSNKTRSNQSGSRVDFGANDGLHHDKQSMTENKNLPSHGNSSEIRDVNRNGNDGTNVTSLMVNKIDKDKVKAQMEKQRKLKGDVARKVEVIDDDDDLERQLEHDIELAVEDNKIKQERKQSSPHVMHRGDHRNADQVTGNGHLGKQNTPETAQDAPMDDIKEQRNSHGSKHHDSHDTAHERGERDYKRPRPEDYMLLLDDDGWITGFMFETN >ORUFI12G13420.1 pep chromosome:OR_W1943:12:15067122:15068830:-1 gene:ORUFI12G13420 transcript:ORUFI12G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFKAMAEKAGPSVRKQALTLTDAAASRVRQLLGVRQRAYLRLGVKARGCNGLSYTMNYADEKGKFDELVEDKGVKILIDPKALMHVIGTKMDFIDDPLRSEFVFMNPNSKGECGCGESFMTSTKNKGPA >ORUFI12G13430.1 pep chromosome:OR_W1943:12:15072202:15072635:-1 gene:ORUFI12G13430 transcript:ORUFI12G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGIVVGGDASGSDSRHASACRAPARFHVSEYMRAWLQGSWRFTEGRLRFGDGWAVMATFEMLQQRQRTAGRQRRLLLAFGLSKGGRKRFKDHMRMLHVNCAKAHPGARNRRKEPAAGLEEERFDLLNGSASDRFLRQTSF >ORUFI12G13440.1 pep chromosome:OR_W1943:12:15081188:15084830:-1 gene:ORUFI12G13440 transcript:ORUFI12G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVEGAGAGVVVSEPEVVSIPPTPRGMSTPDGTATPPPAAGRGAATPARRVVEGLRGYLEEVGHLTRLNPQDAWLPITESRSGNARYAAFHTLNAGLGFQALLLPLAFPALGWSWGIISLTVAYFWQLYTLWILVKLHEAVPGRRYNRYVELAQAAFGEKLGMWLSLFPTIYLSAGSATALILVGGETMKLFYQIVCGPICSPSPISTIEWYLVFTSLAIVLSQLPNLNSIAGVSLIGGTTAITYCTMSWVLSVSQPQPPTVSYEPQAYTSLGSSLFSTLNALGIIAFAFRGHNLALEIQATMPSTFKHPAHVPMWRGAKVAYALIAMCLFPVPQGGMLTALYAFHSHDIPRGLLAATFLLVVFNCLSSFQIYSMPVFDSFEAAYTGRTNRPCSVWVRSGFRVFYGFLSLFISVALPFLSSLAGLLGGLTLPVTFAYPCFMWICIKKPERFSSGWYLNWGLGLLGTAFSLALCVGGVWSIISSGMKFKFFKPPS >ORUFI12G13450.1 pep chromosome:OR_W1943:12:15097851:15100551:1 gene:ORUFI12G13450 transcript:ORUFI12G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPSGADKEVINEQMVANMYANMRSEMNQLYSKITELEMEVSEHSLVIGAIEPLDPSRRCYRMIGGVLVERTIKEVLPAVQRNKEGLEEVVARMNEALEKKKKEITEFELKYKIRIRKADSDTQEEGSMKEGSAQGVLVGPASGQ >ORUFI12G13460.1 pep chromosome:OR_W1943:12:15102802:15110743:-1 gene:ORUFI12G13460 transcript:ORUFI12G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTAIVGATTGVMKPLLSKLTKLLGEEYAKLKGVRKQIKFLRDELSTMSAALEMLADSDQQLNPEMRDWRDKLRELAYDLEDCIDDFMSRVDHDGEKMGPRKFFRKLKKLKARHEIANEIEELKIRAIEASERHKRYNFDQLAHNSSTFSIDPRLSAFYEEVDKLVGIDGPKKRIIELLAMEMKGSLKVVSIVGCGGLAFVSVSQRPDMKKILNDIAEGVGISSRTPAGNDEKKLINILREHLKNKRYFVVIDDLWDAKAWKTIELALLNSNCGSRVITTTRSVAVASCCSSQDGYIYEMKPLSFDDSKWLFLKRAFGYEKSHYPHLEDVLDKILGKCGGLPLAIITISSLLSYQHAIDEWHRVLNDIGYGLARDPYAETMSNILSLSFFNLPHHLKTCFMYLSVFPEDYNIDKRRLVSKWIAEGFIQDEQGRSAYRTGELYFNELINRSLIEPVDVKYGQAKACRVHDIILDYIKCKATEVNFVTSLGSTVPGCTTECKVRRLSVNNSNEEDVNIPTSLDLSQVRSLTIFGNPMQTSVFDFKFLRVLDLVYRDSMGDLFANVEKLFHLKYLRISSHLMDYLPEKIGELQYLETLDIRYTSVKTLPSTITKLQRLARLFISRRTRFSDETTIGQLKNLEEIKEFAVSRSEQVTVLHEFSKLTKLRTLKVTLESPLSLDDYHSCVGTLLQSLCNLYDLCIMDQSDENYCLTLDSWHIASPCSLRKLLIKLVITKVPNWMGVLGNIGVLYLGILCMAPEDIEILGAIPSLVFLKLETLGGTNGRIIIHGNNRFISLKYFSLAIGACGTALEFEEGSMPKVEHLKLDFRLHELECLNGASDLGIQHLSALCKVEVEINCNCFKHTSNFFDDFELKCLNYDLMEETSDCIVRCVARTIKSAVDTLPNHPTISFQINISNCAEAHAVVSLILDPTEIVVIKIIQTNILPLKVL >ORUFI12G13470.1 pep chromosome:OR_W1943:12:15151031:15151762:-1 gene:ORUFI12G13470 transcript:ORUFI12G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSCPNTSNSNGALTYSREAGKGCSNEKPFLLLQKMTLKYQNGKFRSLPPNGSLLHLDSMSDQVESSGINWRV >ORUFI12G13480.1 pep chromosome:OR_W1943:12:15203310:15206515:1 gene:ORUFI12G13480 transcript:ORUFI12G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCIGPRRNFAKNGLLGILRPRHAAPSSPSQPTTTSRSIPVVLPSAPSSKPPPPTQTAPPVPVVISEPPPPQPQPEPQPAAPSQPPPPQEQPSPPPPASSNTTQQPPPPQQRQQSRAKKPAHIKRISSAGLQVESVLRRKTENLKDKYSLGRKLGQGQFGTTYLCVDKANGGEYACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHPNIISIRGAYEDAVAVHVVMELCAGGELFDRIVRKGHYTERQAAGLARVIVAVVESCHSLGVMHRDLKPENFLFVGNEEDAPLKTIDFGLSMFFRPGEVFTDVVGSPYYVAPEVLKKSYGQEADVWSAGVIIYILLCGVPPFWAETEQGIFEQVLHGTLDFESDPWPNVSDGAKDLLRKVLVRDPKKRLTAHEVLCHPWLQMSGSAPDKPLDSAVLSRLRQFSAMNKLKKMALRVIAENLSEEEIAGLKEMFKMMDTDNSGQINYEELKAGLERVGANMKESEIYQLMQAADIDNSGTIDYGEFIAATLHLNKVEREDHLYAAFQYFDKDGSGYITSDELQQACDEFGIEDVRLEDMIGEVDQDNDGRIDYNEFVAMMQKTTTGFGKKGGHNFSGFRDALKSHS >ORUFI12G13490.1 pep chromosome:OR_W1943:12:15213529:15214165:1 gene:ORUFI12G13490 transcript:ORUFI12G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKATASNPLGGSTILEKLSKTNHAILGLVRGAHLEGHLTGTTAAPTAIITKQGDNELIKDRGPGTQIQSMKNGAI >ORUFI12G13500.1 pep chromosome:OR_W1943:12:15214193:15214656:1 gene:ORUFI12G13500 transcript:ORUFI12G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLSDEMTAAVKKVVDDEDLISYFIASSTTTLSRKTEPMINFWERHTPSGLALSSAWPVDKPPKRQSIWPIEDVAANRAGTMATMTTGTMEATTVQTATEIMETVPPAGAKEELILDASANSAIKEDIYTNLGIYFSLIQICGTST >ORUFI12G13510.1 pep chromosome:OR_W1943:12:15218236:15219640:1 gene:ORUFI12G13510 transcript:ORUFI12G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIKRMAVTSPEPRTIRGEESKALQLQPGPSQPGDRRPADKSFWLDATSNAKCYMLSSRLLKVTWGESPEHWKWITLPDSRFAECAELLNVYWLAVIGEIATEDLTPGTRYAVYLVYKLTGTAVGLRGGQTQRLYGEITVSTGKVSVDVVPAAARGMAAGVAYPVARGDGWMELKLAEFAADEKLLGEKKVIVDFREENDHVKKSGLVIEGMEFRPNK >ORUFI12G13520.1 pep chromosome:OR_W1943:12:15220790:15221041:-1 gene:ORUFI12G13520 transcript:ORUFI12G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTEVVVFVVNSERFKLYHHGAAAADPRGTLLGFLRSRTRFTSAKPHHCAAIGVGHPAASPPPPTSSSPLCQSGGHGGCPP >ORUFI12G13530.1 pep chromosome:OR_W1943:12:15248283:15249804:1 gene:ORUFI12G13530 transcript:ORUFI12G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLLKIDTKHISSAGLQVESVLQQKAENLKDRYNLGRKLGQGLFGTTYLCVDKATRKEYACKSIAKQKLVTDDDVEFIRREIRIMHHLAGHPNIISIRGSYEDAVAVHIVMELCTGGELFDRIISKGYYTERQAAEVARIIVSVIESCHSLGVMHRDLKPENFLFVSNEEDAPLKLIDFGLSIFFRQGETFTDVVGSMYYVAPEVLRGNYGQQADAWSAGVIIYILLCGVPPFWADTKQGVFDKVLHGMLEFDADPWTNVSEGAKDLLRKVLVRDPKERLTAHQVLRHPWLEMCSNGTGKTTELNVEHLYKREEMYYK >ORUFI12G13540.1 pep chromosome:OR_W1943:12:15255535:15258707:1 gene:ORUFI12G13540 transcript:ORUFI12G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQHMSCKMG >ORUFI12G13550.1 pep chromosome:OR_W1943:12:15262160:15262716:1 gene:ORUFI12G13550 transcript:ORUFI12G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSPGPGALPLLSSSRAARQWRRPAGAGTDATEVAPVVMENDGTAVLPRLWGPPPSLLLQGGAGEVENDATSIDAGFKADTNKIKAKMPAGKLAPIASSQPAPIGIFVVT >ORUFI12G13560.1 pep chromosome:OR_W1943:12:15288073:15290121:-1 gene:ORUFI12G13560 transcript:ORUFI12G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDAGEAGRRHDFILDYTGKVHRIPFSTMLLLYLVELLYDTWFYLWENYVLEPEV >ORUFI12G13570.1 pep chromosome:OR_W1943:12:15301659:15302710:1 gene:ORUFI12G13570 transcript:ORUFI12G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALPRGAAASLLRAAAAAAPCRPRPVQLQRRLPDADRLLAMVRVLPPSPHPLHSSSDPSCVGCPILCGILIAVCLQRGKPSTEPAIAMRDRSPPGQQHHHDTNTLKRRPHEGCDAERRCRHPSKELDKVFTQSSLPREEGYFNSALRRVTTPEGEVTAGPKKLG >ORUFI12G13580.1 pep chromosome:OR_W1943:12:15304189:15308107:1 gene:ORUFI12G13580 transcript:ORUFI12G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKIISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFEDLEVPSHKTKNVVSYISQMEDTKKVLPVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRDAVNRIVERMHTPINR >ORUFI12G13580.2 pep chromosome:OR_W1943:12:15303566:15308107:1 gene:ORUFI12G13580 transcript:ORUFI12G13580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKIISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFEDLEVPSHKTKNVVSYISQMEDTKKVLPVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRDAVNRIVERMHTPINR >ORUFI12G13580.3 pep chromosome:OR_W1943:12:15304189:15308107:1 gene:ORUFI12G13580 transcript:ORUFI12G13580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKIISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFEDLEVPSHKTKNVVSYISQMEDTKKVLPVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRDAVNRIVERMHTPINR >ORUFI12G13590.1 pep chromosome:OR_W1943:12:15309879:15314211:1 gene:ORUFI12G13590 transcript:ORUFI12G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCHHLPPSTATATAAAAGEPPPPLCPLCGHPAASYTSSSSSSPSPSQPTARPPLKRKAPPEAPPAVVRVEIGDEAAALREALARQHGALADLQAELDAERGAAAGAASEAMTMILRLQREKAEAMMEARQFRRYAEEKMSHDAAELAALEDLLAKRDAALRALQSSSSSRGGGGGRGVGGGSGRATPSFPGSSPHHYPSTPTPASAAAAANYPPLRCFIDHPPTASEADALDAQTPREHLTRLAHRVHMLERGVHPMATTTTTTPIIRVAPGSAFPRPTRAYSDADSLEFCDGEYFPDDVDCGASDRVYTVDAIHGRPLAVPEGSCTPGGSSCCGGGGVPWAEDEEMRRLSARLQALEADRETMRQAIVSMGAEKAQVVLLKEIAQQLCKEATPPLPPSAVTVGHHLYKGAAAPPAVTVKMRPPRPPVVMHRRVIDAPPAAKTSYIGAVAKWFTSIVSRYKKTPRTKYPIGQCGNNVGLLLLLDKAPRPGHGHQKMPKKI >ORUFI12G13600.1 pep chromosome:OR_W1943:12:15312944:15314924:-1 gene:ORUFI12G13600 transcript:ORUFI12G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEKTNAGFLTNFEVLDFLRSRGAKTDPMGCLGAVAASECKVYEYLLKTPACNQTRESINEFVTRCESFKLTNADKLNVINWRPSSAADAYAMIEECGKRFSKDERGEACNEDERVEEFLELVKEAFPPPPPKPEAMIE >ORUFI12G13610.1 pep chromosome:OR_W1943:12:15317307:15320264:-1 gene:ORUFI12G13610 transcript:ORUFI12G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVISRYLRVVWNRRCRGIGDSVLHCLIDLALTVSCLCCSADCCPATEMDEVPGNQEDPRLGAYADKITDAMFADHVELGSHYAGDSSSEAGWAPMIARMQSQSQLGSNQLGVSFENGSLPDDPASLASAFKNMSLGFRDFTVGTPANPVSVAPLGGHYPASHVISSGETTMNSFHQQEFAQDGFRPSSLNPNVAEYMKPKYGVHNVQMCTGLHGSDYVSGDPYNLPSSASPLQKQYFIDGQFRANAPYQQTGSNFMRQDFDADSHYLLQSQYAYQQMPQVAGSDVHWVRSNQHGVHSSIPAASPYLRTPMVGQQAHSSADTYWNGAAISHGNNQLNSTFVNNCSCIIYPDCSREICEYCQMKQAEKLKHRYMFRRSSKGFLQPQIFDKVNIKCFPGKTMVKSDDINSARNIQSVFEPNGRIEMNQRINQHGHNQHLNIQGNDFLLFDRLNSQALSPVESEYGLAMKIPQMSYSSVDEVVGKIHLLAKDQNGCRFLQRIFTEGTSENVKKVFDGIIEHIGELVVDPFGNYLVQKLLEECNHDQKMHIVYEITKRPGQLIKFSCDMHGTRVVQKVIETINSPDEVSMVVCALSSGAITLMMDANGCHVALRCLQKFSHEHKAFLLNVAMEYYFELAQDRQGCCIIQKCILHANKEQKNQLLYNITSRALELSEHQYGNYVVQYILDLHISWATDEILDKLEDHFGSLSMQKSSSNVVEKCLKEASWPKRVKIIHELINDPKLLHILIDPYGNYVIQTALKECEDAAVRAVLIGAIRPHVAALRNNMFGKRILSKTYLKNRKH >ORUFI12G13620.1 pep chromosome:OR_W1943:12:15336926:15351390:1 gene:ORUFI12G13620 transcript:ORUFI12G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPHQELPAAAAVVADAVQPMEVVAQTEPANAAESQPPEDPQTSRFTWTIENFTRINGKKHYSEPFVVGGFKWRVLIFPKGNNVDHFSMYLDVADSVNLPYGWNRYAQFSLAVVNQIHPKYTIRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTVVVEAEVAVRRMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKETVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCSDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYTLHRYKFDDERVTKEDTKKALEEQYGGEEEVLPQINPGFNNTPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKEQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKECGIPVQFQRFWLWAKRQNHTYRPNRPLGPHEESQSVGQLREVSNKAHNAELKLFLEVETGVDLRPIRPPEKSKEDILLFFKLYNPEKEELWLFVKATGKPSEILTKLNEMAGFAPNEEIELYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIICFQKSPVSDGETQVRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFCLELSKLHTYDDVVERVARQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQIQNFGDPFLLVIREGETAAEILERIQKKLRVPDEEFSKWKLAFISMNRPEYLQDVDVRRDVYGAWEQYLGLEHTDTTPKRSYTANQNRHTFEKPVKIYN >ORUFI12G13630.1 pep chromosome:OR_W1943:12:15354024:15355565:-1 gene:ORUFI12G13630 transcript:ORUFI12G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVREEEEQVVEEVVRAGAVAEEEEGPEEKEVAMVGEEMAEAEHDEEEAEAGASAKKNRIQVSTNKKPLYFYVNLAKRYMQNYDEVELSALGMAIGTVVTVAEILKNNGLATEKKILTSTIGTKDESKGRLVRKAKIEILLCKSENFNSIMSSKKSDRPKSAEEEIKV >ORUFI12G13640.1 pep chromosome:OR_W1943:12:15362984:15364138:1 gene:ORUFI12G13640 transcript:ORUFI12G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSDDLAASTIDLALEEKIAANALGGGNGGAEEEAAAWEIDLSKLSIRSVVAQGYHGTLFRADYGGHDVAVKVLDWREDGYSTPEQIAHLRASLADLAAVWHSFEHPNVARFFGASMGTTDLNIPAAASAAGGGEQRNTGEKPPADRACCVVVEFLGGGTLKKYLIEHYRSKLPYGEVVRLALSMARGLSFLHANNIVHRDVKTENMLFLGGGGGGDLKIADFGVARVEARDPREMTGATGTVGYMAPEVLVGKPYNRKCDVYSFGICLWETYCCEMPFTFGLSVAEASAAVAQRGMRPPIPPCCPPAMARVMARCWDADPAARPEMEEVVRMLEALDTSNGGGMVAPGKMKKKKKTTKKKKNEEEKMPGCFCFFGRS >ORUFI12G13650.1 pep chromosome:OR_W1943:12:15366292:15367024:1 gene:ORUFI12G13650 transcript:ORUFI12G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATATTFSHLRVPYRRPALTRRSEMDKLNRVFKHVRGLPPCQSTVTVGFDVLSGLLACQPNRPLTTVDVLWCARFTEADMPPTRTPIPNPLATLLAGPPTAASHAAAIAGHGCYSSSSSSHHLHPPFPSPARHHHPPPVWLTTGVLPRSGHRSRGGGASKVFRNAVDEEVCRRCLATWLVAVGGEGRQQSLSGVGWPRGAPAALRAKVGRQFRWRRTLRLA >ORUFI12G13660.1 pep chromosome:OR_W1943:12:15370943:15375628:1 gene:ORUFI12G13660 transcript:ORUFI12G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERVQWYQYYSVVRSNWLKTMSWYEPTVLDCNIRRRSLYCCFFSLFLAPYSPVRIGSGRLKAKRKCFAFSWSVSQKPQNDFVKEEIQHLQSDLWQLQTTLPKMRNLVEILEWQIYKKPAAELLPHIKDALLDAEDIIDEFNYYELKAKIEGRIEECLTSSGCQEFYMSVIRGSFNRVKEIQEKLDHLHRQSMDLGLHCAAQRFDKIVRPETSSFLNSQIFGRQEEEKMVLELLGVQLQANAGYKRKRSSRVEVLPIVGLGGVGKTTLAQQICKNQMVKAHFDMILWACVSDDFNAKRLTKEVIQSSKKETSFDNLDSLQSILKDTVELKRFLLVLDDIWDDVMADGGQDWQRFCAPLSNALQGSMILITTRSQKVADKSELWKLEQDRTDILPALRLSYMYLPPHLKRCFSFCAVYPKDYRFEKDTLVDIWLAEGFVEHASSFPTVTVVQQYFEELLSRSFFQKVTHGKYVIHDLMHDMAQLVSQDECFIIRNANDLRTIPSNVRHLSIFTKRYIGCHDLMGLCRSLPCDFGNLISLRKFRAKNFSYLPGEDSRMQFLRGERIKVLKYVNQVQGSLLVNLPGLKSKKNIGLTVLKKENNLYSLHISQFAEDARYEQEQLEVCENLHPHPDLQHLEVTGYQGENFCPSWFLPDNLPNMISLIFEECHNAKKISLHRLPCTGFQYLINLYIIECTNLSSIEQFLQPCHIPAIKMISIKGCQELSLISAERFGGFRFLEALVIRDCPRISWENGLALPPTLTSLSLVRCGDISKWIPDCLLNLSSLVRLQLVGLSGTMFIPGSIWRNNLPLLDYLEICNFQELRFTGVPEAIEEINNVLIDKCPMLKELKQPFSRGDVTFLWGIPTSKWYLS >ORUFI12G13670.1 pep chromosome:OR_W1943:12:15383933:15387901:1 gene:ORUFI12G13670 transcript:ORUFI12G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRALPRRLLRHPLLHSMPLSSSPPDPRELLRIERILSTPTSSSTPPPQRQEGPRPAATSSLHETAGPTAAESASLLRRGLPTSSKLPHHHHHHRHRQLRDELRGLRLGEREIRAALASDAEGLLLSMSPGEPTRLVGLVRDELRCRAAVRDRVLAGGALAAAVAARRRVELLHARGLTRRDALRVLAAEPRAILYGLEDVERKVDFLVSRMGFEIGWLVEFPEFLGVNLERRIVPRHNVVEHLRSIGGLGDPIEMKHYVRFSRQRFYNMFVKPYPECERIFGGIVREKGKEVRRWRHPVGLWKLFKPVKYESTEEDVNNMKMQLQDKKYPFFSLKGLRVLQESKATPTSDATTEAIDFEESEFTRSGSMGFELNDYPGSGANNRHSPHSEGNR >ORUFI12G13680.1 pep chromosome:OR_W1943:12:15388457:15390133:1 gene:ORUFI12G13680 transcript:ORUFI12G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPPLPALLTGLARRGATSPAAAAARQLHAHLLIRGLPLPARAAVALVASSSSPRHARAVFDSVPAASANVYLWTATISAYARHASSSSSHALEVAGEAVALFALMLWSGPRPNAFTVTSVIKCCSKVRAVHEGLQVHAFLAKAGLGCSSVHVGAALLDLYGNIGLVGDARRVFDEMPVKNVVVGNTMVACNVRAGDMGAAREVFDGMAERDPISWNTLMSGYLHLGDTATVRELFDEMTVRNVNSWNTMIAACSEEGSLADTVKVFNRMRATGFEPDAATMAVLMSACAQLGSLTIAGQVHGLLQKSCVEMNCHVQNSLIDMYAKCGCISQAQFLFTETYPKDTVSYNVMIIAFAQHGHARDALDLFNAMVDSGLQPDAVTFLGVLSACAHAGLVEYGKNYFELMKTTYAVQQSPDHYACVVDLYGRAGLIEEAHCFVKTMPVKPHAGVWGALLNACRKHCHVDVGEIAAKELIRIEPKNPGNYVLLRNTLARGQQWDAVEDVQLSMRRKVIDKTAGCSWVEVDSVVHEFLAGDFYHPKFDEIYTILEHLYMELT >ORUFI12G13690.1 pep chromosome:OR_W1943:12:15390311:15391356:1 gene:ORUFI12G13690 transcript:ORUFI12G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSSHLHLNGLCAEDVQGCPLKLRKLLPYFPEAGETPALKGAITTHSGISSEALLNVVENKKGFRV >ORUFI12G13700.1 pep chromosome:OR_W1943:12:15402369:15403421:1 gene:ORUFI12G13700 transcript:ORUFI12G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFDVYGFPNLNKDQVSSADLAVLNEQRHDLTDGNALFAGWMVDNGVSTGCYNLDRDGFVPVNNSPITPGDILEPTNGTLSITIKIFKKKDDGDWWLYFGHDNNNLSPVGFWLSSVLTNLADHANVIAWGGYTYTQSTTPSFPKCLTPLTFLNMFDHSFYSKTFMKYC >ORUFI12G13710.1 pep chromosome:OR_W1943:12:15428937:15434037:-1 gene:ORUFI12G13710 transcript:ORUFI12G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGIKCSGAILISLIRLSKQYAAYFFKARKSVRALEAATERLRERLSNVETRLDSATRKGMQPRNEVERWLKRAEHVCVETEKIQAKYDKRTKCMGSLSPCICVNYMIAKSAAANCQAAEKIYSEGMFEEYGVMVPQASTEVPITDISLTSTDRYRNLAVKFIRDEAVSKVGLWGPGGVGKTHLLHQINNLFHKNPAFDVVIRVTASKGCSVAKVQDAIVGEQMLVKKDDTESQAVIIYEFLKSKNFLILLDDLWEHVDLDKVGIPNKVSSIGNYKQKLLLTTRSESVCGQMGVKNGQRIKVDCLDETDAWHLFKENVGTEIIENHPLVLKLAKEVANELAGLPLALIVVGRAMSTKRHPREWQNCIDFLQQSRLNEIEGQFATKKETDDDRLVKMHDVIRDMALWIVGDEGREKNKWVVQTVSHWCNAERILSVGTEMAQLPAISEDQTKLTVLILQNNDLHGSSVSSLCFFISLHYLDLSRNWLKTIPSEVCKLVNLYYLNLSDNKIKDLPQELGLLFKLQYLLLRSNPIREIPEVILSKLSRLQVADFCSLQLEQPASFEPPFGALECMTDLKALGITVGKIKYLNMLCKTSLPVRSLCVIIKSKSLDEWKRFAFSDSLFGNDLIQRNLLELYIYTHEEQIVFESNRPHRSSNLEKLYICGHYFTDVLWEGVESQDLFQNLRRLDLISCISLTNISWVQHFPYLEDLIVYNCEKLQQIIGSTSNNDNLPNTDEKERISLSQPCLKRFTLIYLKSLTTICDSSFHFPSLECLQILGCPQLTTLPFTTVPCNMKVIHCEEELLEHLQWDNANIKHSFQPFFKVISMNNNSAPQNFLDGLYAEWIYHRFEDDELEELKARWNKDRGLDS >ORUFI12G13720.1 pep chromosome:OR_W1943:12:15438960:15440445:-1 gene:ORUFI12G13720 transcript:ORUFI12G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNIFPEDYMVPKGRLLERWIAEGLVPEIRGLTSREVAETCYEELLSRNMIKPGQVEYDGEVVQCCVHDMMLEVIVSKSLEENFVRLIGGQGGGTTYDNVRRLSIQGEDSSTLLEAIPNSVVKLDKLEKLGLSKRNAWRLRYLDVILNFRDCYDGQVLREFASSISKICYLDDFGVQDMSRGANNMKFLHDIPNPPLHIKHLLIGGTIDNLPDWVKSLTYLTHIELWWIDLHDRSCCKDEELVARTTFKFQVLRELFFVPYGDGEFKPRAIQFENEAMERLEKLIINFEDVERKLVGVENLISLKEVEIRGKRDNKALHSTVKQLKTESNNREGSNRSFKVLLKYM >ORUFI12G13730.1 pep chromosome:OR_W1943:12:15465813:15473964:-1 gene:ORUFI12G13730 transcript:ORUFI12G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSSCSMGQFPRASPHSHGMVSRHFRKGPPPKVTRATGVEKRIEFEGNVGSLQVMHNKELEANIRKKLQGVELSPALYDTAWVAMVSERGSPQAPCYPQCIEWILQNQHDDGSWGINPSSLSVDKDILLSTLACVVALKRWNVGPYHIKRGLNFIGRNFSVAMDVKTIAPVGFIITFSGLISLAAGIGLGSLQDWDEVMEYQRKNGSLFNSPSTTAAAAIYSFNDKALNYLDSLTNKFGGPVPAMYPQNIYSQLCTVDALERTGISRIFMGEIRDILDTTYRCWLHNEEEVMLDIPTCAMAFRLLRTHGYDITSDEMAHFCEQSSFDDSIHGYLDDTKTLLELYKTSQIRFSREDLILENIGNWSAKQLKQQLLSNKLSTSARSEVEYVLKFPLHSTLDRLEHRRNIEQFKFKDSQVLKSGYCGSHSNKEILALAIDDFHSSQSVYQQELQYFESWVRQCRLDELKFARVMPLIVHFISTATMFAPELADARMALSQTSLLVTVYDDFFDCPETSREEKENYIALVEKWDNHAEIGFCSKDVEIMFYALVSSAKAMMVEADWTATKYIPSTMEEYMSNAEVSAAFGAFVCPPVYFLGPKLSEEDVKSEEYTQLLKLTNVIGRLLNDSQTYRKEILAGKVNSVLLRALTDGGDTSPESIEAAKKVVKCFAESSMVEMRKLVFSEGGPIPRPCKNRFWEMCKIVFYFYRENDAYLTPKEMMSSARAVILDPLQLTHSPSCLGTLSL >ORUFI12G13740.1 pep chromosome:OR_W1943:12:15484671:15500025:-1 gene:ORUFI12G13740 transcript:ORUFI12G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSICSMGQIPRISPHSYGMLPKQMSKGHPPMITRGGVEKGEVGGNVQSLQVMHSKELQAKIRKQLQRIELSPSLYDTAWVAMVPERSSSQAPCYPQCIEWILQNQHDDGSWGINSSSLSVNKDILLSTLACVVALKKWNAGSYHIRRGLNFVGRNFSVAMDVQNIAPVGFNITFSGLITLASAGGSGEGGDDDGTGRRWWRALSVITDVGDDDRETHGGRGGGEDVAQHGGPSAHPRRWWSEAEKEPKLKRPLDAGGTISARKAFMAYVADGFGNLQDWDQVMAYQRKNGSLFNSPSTTAAAAIHSFNDRTLNYLDSLTNKFGGPVPAMYPQNIYSQLCTVDALERTGISQKFAREIRDILDTTYRSWLHNEEEVMLDIPTCAMAFRLLRTHGYDITSDEMAHFSEQSSFDDSIHGYLNDTKTLLELFKTSQIRFSCEDLVLENIGTWSAKLLKQQLLSNKLSTSAQSEVEYVLKFPLHSTLDRLEHRRNIEQFKVEGSKVLKSGYCGSHSNEEILALAVDYFHSSQSVYQQELNWVKQCRLDELKFARVMPLIVHFISTATMFAPELADARMVLSQTSLLVTVYDDFFDCPETSREEKENYIALIEKWDNHAEIGFCSKNVEIVFYAVYNTYKQIGEKAALKQNRSIMDQLVEDLVSSAKAMMVEADWTATKYIPATMEEYMSNAEVSAAFASFVCPPLYFLGLKLSEEDVKSHEYTQLLKLTNVIGRLQNDSQTYRKEILAGKVNSVLLRALTDSGNTSLESIEAAKDMVNSDAESSMVEMRSLVFSEGGPIPRPGKDRFWEMCKIVFYFYREDDAYLTPKEMMSSARAVILDPLRLIPPPSCPEMLSS >ORUFI12G13750.1 pep chromosome:OR_W1943:12:15539432:15541551:1 gene:ORUFI12G13750 transcript:ORUFI12G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDDDRWEDLPMDLLTEILLRLPPISGRRRVRLVCSRWRDAVDELEPETTAHSRAKPLTFLKRSVESGRPATARVFDDLAGGGLGGSREIWNGGESRSSPDIVGTCNGLLCLMQRGGEITLVNPVTGESLAIPPPPPPPRCRNHTAAAPEAERLSFSYHPLTGRYSIVHSPPPSATAAATTSPTRRWKCSRSAARARRRRGGRWRRRPARGAASLRASVDGATYWVTKVDARIMSLDHEHERVTPVPPLQPAIAGELDEVAGRLGVAITSNHKTTASKYNNVEVWVLDGACARGKMIWSHRYTIQGLQYHQEIAWPHFAYGENVLTILDSGHLRNQLYTHWLWPHKNRTSVATGDVVKGTLVEEFVIDPENVKMFSVINPEINVRMFSYVETNEPLNIYKEVCMDS >ORUFI12G13760.1 pep chromosome:OR_W1943:12:15542692:15565170:-1 gene:ORUFI12G13760 transcript:ORUFI12G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDDRWGDVVPTDVLWEILRRLPQISGRRRLRLVCRRWRDVVDEVEPEVQRRRAKPLVFFKDGRYEPASAFLLHDLAGDDCDVTSLSLFREEEDGGGDDRDFFARYNNDDMVGSCNGLICLWFDRDPLYYGSIVVINPVTGESLNIPSPPTAIRAGTISFGYHPTTGKYKIVHFPSNGGVVDDVTLGYSAAASSSSSSSSPSRHGRGGHGDGVVDVLTLGDDTAGGYGGARWRAVKAPPWSLCAAWGVVSVDGATYWVTEGREIMSFDLEHERVAAVAPLPAMSMCRLPVSMAKEDACCQLTDVGGRLGVSIAIHRRNSFRIEVRILEGRGDKQRWSQWRTIQGLQPNQMIGRPYFAYGKCVLTNIYRTMFRERLNIVYRHLPCSLKAGSILSRAIEGTPVANCIETSEPLNIYKEEEDKKDKKDKKEEKKKKKKKKKKKKKKKKKRNLTRTRTRMTKKKKKKWQWRHWRTIQGLQPSQKIGQPYFAYGKWVLTNIYRQMFNERLSNIVYRHLPCSLKAGSILSRAIEGTPVAKFKTRELRMFSYIETSEPLNIYKEEDNKDKKEEAEESSSSSLKYEEEEEENNEDEDNEEEVAVISGRRCLRLVCRRWRDVVDEVEPEVQRRRAKPLAFFKNGCYEPPSAFFLHDLAGDCDVTSLFREEEQEEDDGGDRDFFSRYNDDDMVGSCNGLICLWLDRSYSGGCGVFVLNPVTGETLHIPPPPLETMATSSHRRRREGPLSFGYHPTTGKYKIVHFPSNGRRVDDVTLGGTTSGAAAAASSFPSRHGGMVNVLTLGDGAASTWRAVGTPPWSLCVAWGVVSVDGAAYWVAEGREIMSFDLEHERVAAVAPLPAMSKCRLPVSMAKEDACCQLTDVGGRLGVSIAIHQRNSICIEVWLLEGRGGKQKWSKWRTIQGLQPTQKIGRPYFAYGKCVLTNIYREMFDPGLNNIVYRHLPCSLKGGSIISRAIEGTPEEDKKDKEEEESSSSSKEEEEEEEEEEEERLPPISGRRRIRLVCRRWRDGVDEMEPEMAATMRAKPLAVLKDSRRRTLSAFVIDGLPPAPRRATTRCIFQHVDEGGGAGGHHRHRYDRWPNNVGDQVVGTCNGILLLAHCRYVGSHTLVLLNSATGERLVVQPPLKAKEILGGSAALSFAYHPLTGEYKVVHLPVSSWKRALAVAEVLTVGDGDGGATSWRQVPAPAGSTCYLSWGVVSVDGATYWVGKGGKIMSLDLEHEIIAEVKQLPPMMEAHNVSSPPPPPTTATMGVDNGGCHLTEVAGRLGVAIATSQRGTTDVEPLATQGLAMAAMPRRSSTRRRTPWSPVGGRRSPSASPLFATEPSPAEPSRGAVPWVLPSVRLEVWVLHGRGDKQHWIRWWSMQGLQHNRKIGHPCFAFGKYVLSNVHHRTERSNLKYMCLPPPVEDDGIILVRFDDKADVQVTLLNTSFELRLFAHVETSEPLEIYKKKNVKS >ORUFI12G13770.1 pep chromosome:OR_W1943:12:15569354:15569686:-1 gene:ORUFI12G13770 transcript:ORUFI12G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYSIQGLAPGWQRMVWPYFCHGEHVLTTDARTRRRSAHAHRLHRLRDGSRLALAHLATADTAAAPMYDVQAFPYVGTTEPLGEYAVRDAGGSSRYPHKNLFLSLFLD >ORUFI12G13780.1 pep chromosome:OR_W1943:12:15571639:15572571:-1 gene:ORUFI12G13780 transcript:ORUFI12G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSILDGLPTDAFVEILLRLPPSARRRSRLVCRRWRDVVDARTPEGQSRRAKALVFFFNRSSHSPEPRCSAHVFDDLSPPSSSGREIWNSGTETAAELAMVGCCNGVIALWEEGTGRLTLVNPSTGETLAIPPPPRLPPKRRRRRAPPVVSCLSFGYHPITGKYKIVHLPADDAMAAASSSSWCSPFDVVEVFTLGDVGVAGDGATWREVAAPPGSSCDVRLGVVSLDGAAYWVAADNAVMSFDLEHERVAAVEAPLPAMPLGTWLGALAVVGGRLGVAVMGCADSYPTTTIVEVQISRPYKHLISVNI >ORUFI12G13790.1 pep chromosome:OR_W1943:12:15576155:15578888:1 gene:ORUFI12G13790 transcript:ORUFI12G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDTEMERLLDEIPMLHHGDLLGRRDAAGDDGGGGGGGGFDVSCLIRELAEMGVVEGDDDDGMLSSPPGFFGGGGGGGGLSPTSSLCFVGQDAGFTAPSRPFSLERRRVDAPPPTPPSSLFDPFAGFCLFDATAAAGADSDGWDVRCSPPPPPPPPQAPPARGRSKAARRKGGCAAPAAAAAAAAAASPTKKSAAAASAAAARYESLAGLRGFMYHIARDQHGCRFLQQRLDDGKREVDFIFAGVARHAVELMVNPFGNYLMQKLLAVCDDEQRMAIVLTLTKDPFVLVRISLNVHGTRAVQKLIESLRTREEIQLVVEALRPGFLELIKDPNGNHVVQRCLQSFDANDNKPIFEAAAVHCLDIGMQCHGCCVLQRCIARSGGEQREKLVAAIASNGFELAQDAYGNYVVQYVIDLKVPTANASLTKQFQGRYIHLSMQKFSSNVVEKCLKVFKEADKATIILELLAVPHFEQLLQHPFANYVIYSAIQNSKGSLHSALTNAIRPHVELLRTSPYCKRIYSRALLKK >ORUFI12G13800.1 pep chromosome:OR_W1943:12:15581640:15582196:-1 gene:ORUFI12G13800 transcript:ORUFI12G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKGEIVAVLPARDGGLGTTAVDGGLDETVAAARRPRCDRRPRRETILDAAPPRRPRCNGDAADGREKKRAARRNLLLVEWAVMSSAWWQTVGSHSTN >ORUFI12G13810.1 pep chromosome:OR_W1943:12:15582277:15583325:-1 gene:ORUFI12G13810 transcript:ORUFI12G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGEIGLYDGIVGTSWYRTSSLKQYRGWEGLVQIESRALCEVVLDSIIGEHGVSLAAKQSIAARVSQLLKAESTSDVAPAAAAEPALVSA >ORUFI12G13820.1 pep chromosome:OR_W1943:12:15583462:15585903:-1 gene:ORUFI12G13820 transcript:ORUFI12G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSTNHQVTFSKRRSRILMKAREIYICKKPITWNCNTNSNKAQQQSCIRDEQIEVQDLKSGSSSGDSGATTVDRRLAAVAHWESNPAVRIHQASA >ORUFI12G13830.1 pep chromosome:OR_W1943:12:15596709:15597940:1 gene:ORUFI12G13830 transcript:ORUFI12G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYLPTDAFIEILLRLPPFTRRRFRLVCRRWRDLLDERTPRIHARAKPLAFFTRGRHAAQPGSSSAYVYDDDDLSGEFVCCRQVWCGGAAAAAGLVMFGCRNGILAMLDERTGDITLANPATGETLAVPPPPRLPRNCAFHRAAVGFGYHPTTGKYKVVHLPPLRGEASALGVVEVFTLRGSNATWREVVVAPPPAGSSCDVDCGLVSVDGAMYWIAMGGAAAAIMSFDLEHERVARVTSLPAMARRKLAAGRCCHLTVIRRRLAVAITASDVTVVESDTPTVESRFSDRDYESGTKDRYL >ORUFI12G13840.1 pep chromosome:OR_W1943:12:15637306:15637524:-1 gene:ORUFI12G13840 transcript:ORUFI12G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRALVVAQLWCGFSAARSSAGPRGVARRSAAHGYDQCGGRVGWQARGTTAVGEGGVGCSVGLGPDRAPGTE >ORUFI12G13850.1 pep chromosome:OR_W1943:12:15663575:15669152:-1 gene:ORUFI12G13850 transcript:ORUFI12G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPVSASMGVMVPLLSKLIESMEQPRFNDLKNDLKSLRAELASMEAVMLKFATQDDTDLQVKEWMRQVREVGYDTDDWIDSHPLVAAETKGSRIFSWNNRRRKLAELIKELKDRVKDASKRRTRYMLQSAPVVDDVTDDLRPSNVTVDPQLLYGVSGRLVGLDEPMEELVRELRPGGGEQQHFKVVSIVGGGGLGKTTLAKEVYRKIHGEFVSCAFVTVGQNPRIPTVLLNMLRQLNPLQPQGDDTEQQMDEPTVVGKIREFLDKKRYFITIDDIWSISAWKDIKYALPENTPGSRIVATTRISDVAKSCSVRPTDFTYPMKHLSKTDSKQLFLGRLQSPKKIYSSETDLEEGVFHDVWNICGGMPLAMIVIAGMLNRKSPGCPEDNKSIKKALEKHPTLQGMRRILRICYSDLSLPVRTCLLYLSIFRPEDETVEKNRLIWRWIAERFIPVLKQEQVTLWETAESYFNELVNRQLIQPVEAGDVVKVKVHDVVLEFIASVAAEENFVTSDMVLRCKPRDIVRRASLNCIDDDESTGGLSQVRSLTVFGVLAEPMKSSIVYLQLLRVLDFKDAKGLKDEDVQGLERLFFLKYLGLGGKFVTKLPEKWKELQNLEALDVRETAVKELPEAIARRCSKLACLLARRLAVGEGMHSLLHLQELSMVSVTDAASLDRMTNLVVGLTKLRKLGVSLFFDATGDQHQHAVAAAAPDDAKLAASLERIEKCGVESLLLDVVGEGKTRAALDQLVEKWALPRRLQKFTMRSEHYYFPKAPPKMAPHESLSHLEISIAELRKSDVDVLCTMPSLFYLKLSTRSSPLEADQTVVITNVGFQCLQVFWFKCQDGGLGFDFGDGAMGQLLKLDLHFKQAKKPKLTGISNLSSLRQFHATVCCGKDDSEFKATEENIKQIVSNHSKNPTWEVTMEMELDKPTAAISI >ORUFI12G13860.1 pep chromosome:OR_W1943:12:15675354:15683172:1 gene:ORUFI12G13860 transcript:ORUFI12G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCYPQLPLTAPHHAKPASTAAGRASLCQDDLHCHRSHLFPLCRPPLPPAAPPCAVPASDNSSIRCRAGQMQPSTMPVPALRFPPSTPRPGPAPGATTERALHIATITKRTTSGRRCQHEAPQPPPAATPPNPDADLAVSSATASTPSRHRHYHLSPRPRHLASPPAASSPNPTERHAAGRSPPPRADAPLPEHGEKISPATAVFAAGTAARVAIRRRSIYGGGDGLRRGRRRPPESLAHGGGAT >ORUFI12G13870.1 pep chromosome:OR_W1943:12:15683390:15687272:1 gene:ORUFI12G13870 transcript:ORUFI12G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFRAVESASVKIFDLIKTEYELRNKMENKIKSISLVMNMMNVFISSSSRSKAEAFGEKWVMQLQELLYDMEDALDLMGPKTKVSFLKRALRTVGLMDPRPQHILLIQELDERLKILLELWDQFRDQSGEDTPATTKPDETAGPRVPLGCRLAPVGIQHHKDDLIDLLQHVDGQPKQLRVISIVGFRGVGKTTLANEVYCHDDGSDGEPFDCKAFVRVAWSAPLAAESKDDAARLLKETVYQLHCASADINDNTDIMTLRETICKFLQDKSRIIVTTSVQSVAKKCSLGGFMYRLEGLNEVDSDKLLWQLVGCDRNELPQDVQSHSVDIVRKCDGLPLALTGVAEYVRLKLEDLRRGELRCSAIVRDLGNNLAGRLHNAAFKAAHATLKECYEGLYSHDLKTCLLSVSMFPKNYSIRRKSLVRRWMAEQLVPVPFDGQEHASTVADKNFEKLVDRIMIQRADDEEDPAPAVVPGAVKNKCRVQGVLLDFLHNKSVSSKFARLIQNGEHLQTTSGDNNLGPRRLAIVYDPKANRAGGGNSNKAKLAEMVEGLDLSHVRSLTAAGTELSDFKLKRCKVLRVLDLDGCTGITGGVLRRICKLKVLRYLSLRGTTIDACGLPAEIAEMRFLETLDVRDIVIVVRGSKSKAEEDHVATITLPCNVLCLPYLKYLFGAFELPRDIAGPARGGLALWPKAARASGGAAAQACKLHTLAGIFIGGGDREGHQRLQNLFGLMHQDRLKKIKIWWNKKGVKGSKPKPTTAHTDLLVKFLENRCFTLESLSLDFGDESLDFLDFAPVNAHLPCKIESVKLRGKLSKLPAFITANEHTMLDHALSKLYLSYTGLSCEALLLLQCLPNLKYLKLIEDNSNFTDGTLTLNDARGFRSLRGLHVQAPKLPKVIIVVSGKDKTVAMKHLTTLQLLCKDISGFQAAHIDYFHRLEQVVLLKSSLDINEKTAMEWEEARKKHMNRPRIDTIECRGQDQ >ORUFI12G13880.1 pep chromosome:OR_W1943:12:15724725:15728386:1 gene:ORUFI12G13880 transcript:ORUFI12G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEHAEDNLTARVEAYLEQAVLRHPCEATKALKSREELLPHAEELGIVDAAAAASSEVEQRDLLEAVVTSVPTDKCSGRVVTAKFLFVLLWTAHILLALDAALGRKAATQLEHATLEDVLIPSYSGGTETLYDVDCVERVVTHLLAEEEHGEAGESTSAAAITEKSWGGARARVMVGACWRCGVPAWRGGGAGGSPAYDELVRMVERAGLRLQMPAFSWWWDNAVVTSLTFSRIAGRPSTMAVVNLPPRRPSRSSPAYKREPRLLLYLHIPSARLSLSSAAAPLSSCAAPSCNSGRPSPAVRTSSDSGDTTFGFVSSSSSPSTPPSSTRTTGTTTTTRSSPPFRPHRWHHLKRTAPPPGGRATDSSLEWEPVKDDALVCVRVELTAWNVTPLRCAQSTWR >ORUFI12G13890.1 pep chromosome:OR_W1943:12:15726907:15727170:-1 gene:ORUFI12G13890 transcript:ORUFI12G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWKTPVKDVSRRYSGGPCWHSFFESTQFLVSAFSPLYSYGQRKNDAESRTRKCHSIPGIERYNFHTVSDDVEFLRIDEGIASARI >ORUFI12G13900.1 pep chromosome:OR_W1943:12:15731552:15733233:-1 gene:ORUFI12G13900 transcript:ORUFI12G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVKKSVLVAHESHGEVNPPEKEGGGSPKRRRTEEEEDDGDEEAGAGDLIGDLPDDILRDIIARLPTREACSTQVLAKRWRHLWRSTPLRLDYRSLLPLRRHNKGNALAVAVAGVISAVLSAHPGPCRCLCVPADSSRDTVDTWLRSAAVDNLQELEFLSNRGGAPPPPPPPPPVSLFRFSYTLHIATISRCELQYTTVHDLRFPRLKHLGLEDVTITEASLHAMIARCPLLECLLLARSVGFRRVRINSPSLRSLGVLVASRRIAALPRQMQLEEIIVEDAPLLEKLLDLALRNNLQLSVISAPKLETIGCLTDRWFGPRIMFGTTTVVKGVSVVRLTEAVRTVRILAINMFVLNLAKVIDLMRCFPCLEKLYLKCCLSAGNNYWRCEYQTLIKILDIRLKTVELENYRGTKPQIDFAQFFVLNAKVLESMKFVVKSEDYYDGFVAKQHKMLQLDKRASRCAHFKFTTDRCHHHADPECPIDIEHVQDLSFTDPFECRC >ORUFI12G13910.1 pep chromosome:OR_W1943:12:15736551:15741029:-1 gene:ORUFI12G13910 transcript:ORUFI12G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPLLFPNPPKSKPLLLLSNAINHREGEKKWKREPPQPHAMQHQPMPMPAQAPPTAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADTQPQTTISRPQMVPHGASPGLGGQYMSQVPMFPPRTPLTPQQMQEQQLQQQQAQLLSFGGQMVMRPGVVNGIPQLLQGEMHRGADHQNAGGATSEPSESHRSTGTENDGGSDFGDQS >ORUFI12G13920.1 pep chromosome:OR_W1943:12:15742077:15743012:-1 gene:ORUFI12G13920 transcript:ORUFI12G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKALAMENVDVDFLTDVLCPRTINKRTLERDVRTKMLTFVKGLDNEASVYVVDEARRRHRRAWTSSCSVDMIGTRNGLICVLDGGTGAVTLANPTTRESLSAGLLPCSPDARTHEAHGFAFHSLMLRYAAVYTLVGGGGDTPPSWRSVPTPGACGRFQLGGVACVDGVANWITAGTPPVAATLQPLSPRRTTRTASPGRPGRMTGTAAPGRPWGTDWRQVAGASMEISATGGMSMGIPETGGASMGNWRHLAGKKGRGQFVGEIDLQGFSLFGQQGWIN >ORUFI12G13930.1 pep chromosome:OR_W1943:12:15759133:15763606:1 gene:ORUFI12G13930 transcript:ORUFI12G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGAAMFGPQIKPIGGNIMAHASTTRLFLRKGRAEERICKVVSSPCLAEAEARFQISPEGVTDVKD >ORUFI12G13940.1 pep chromosome:OR_W1943:12:15761948:15764680:-1 gene:ORUFI12G13940 transcript:ORUFI12G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDASSAAAAQNPNPNPSTGLPPISAYYQTRAEHHAVVSSDWLAHAAAAASLPPSSDAADADAASDAAALLSPGSNGGGGGVIEEFNFWRRKPEAAEAVAAIMALAAVIRSSRATTMMELEIELKKASDKLKSWDATSISLSAACDLFMRFVTRTSHLEHEKFDAAKSRLIERGEKFGEISLKARKTIAMLSQDFISDGCTILVHGYSRVVLEVLKLAASNRKLFRVLCTEGRPDRTGLRMSNELAALGIPVKVLIDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQIALVAHSMNKPVYVAAESYKFARLYPLDQKDMTPAHRPIDFGVPIPAGVEVETSARDYTPPQYLTLLLTDLGVLTPSVVSDELIQLYL >ORUFI12G13950.1 pep chromosome:OR_W1943:12:15766058:15766685:1 gene:ORUFI12G13950 transcript:ORUFI12G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVGTFFPGTVGSKPIPCTCVIPKMSLKSRMPPNFDAYARSCRRHRPGVPCGRQVVPAIAVVVQGSTEEGRQDAIRLGDSFPQAVVAPLAAGACETKPHHAAPHSLLDLSIRRLKVEEEGWTLHLHRECGGAPTPNSRGFFGCAGSDGVVYIADGHDETKNALSFTGCLLADSLRRRASRRRLREKRERGVGSQN >ORUFI12G13960.1 pep chromosome:OR_W1943:12:15775250:15778034:-1 gene:ORUFI12G13960 transcript:ORUFI12G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSSRTSVGFLHQKKGKSFSASPICSASQGGWRCLLLLLLLLLAHAAVEKTHGSSPVRPHLLALNPLLPFKRAIMGISKVIGIAGTSLLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWMLGKNSKGRFPIWSSVLFGPFLILARVYATMKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAARWACEKRAKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWWWWGWRRRWRSTVQRCRHHGLLPDNFNRYIVIFKGVTEDINEGVFLFVVDMDPNAEEDEQLVQDNHKWQFVRDYPRRREIHIFSSSYPPAVG >ORUFI12G13960.2 pep chromosome:OR_W1943:12:15775250:15778034:-1 gene:ORUFI12G13960 transcript:ORUFI12G13960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSSRTSVGFLHQKKGKSFSASPICSASQGGWRCLLLLLLLLLAHAAVEKTHGSSPVRPHLLALNPLLPFKRAIMGISKVIGIAGTSLLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWMLGKNSKGRFPIWSSVLFGPFLILARVYATMKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAARWACEKRAKGKPVYVHCAFVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWWWWGWRRRWRSTVQRCRHHGLLPDNFNRYIVIFKGVTEDINEGVFLFVVDMDPNAEEDEQLVQDNHKWQFVRDYPRRREIHIFSSSYPPAVG >ORUFI12G13960.3 pep chromosome:OR_W1943:12:15775250:15778034:-1 gene:ORUFI12G13960 transcript:ORUFI12G13960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSSRTSVGFLHQKKGKSFSASPICSASQGGWRCLLLLLLLLLAHAAVEKTHGSSPVRPHLLALNPLLPFKRAIMGISKVIGIAGTSLLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWMLGKNSKGRFPIWSSVLFGPFLILARVYATMKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAARWACEKRAKGKPVYVHCAFVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWWWWGWRRRWRSTVQRCRHHGLLPDKGVFLFVVDMDPNAEEDEQLVQDNHKWQFVRDYPRRREIHIFSSSYPPAVG >ORUFI12G13960.4 pep chromosome:OR_W1943:12:15775250:15778034:-1 gene:ORUFI12G13960 transcript:ORUFI12G13960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSSRTSVGFLHQKKGKSFSASPICSASQGGWRCLLLLLLLLLAHAAVEKTHGSSPVRPHLLALNPLLPFKRAIMGISKVIGIAGTSLLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWMLGKNSKGRFPIWSSVLFGPFLILARVYATMKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAARWACEKRAKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWWWWGWRRRWRSTVQRCRHHGLLPDKGVFLFVVDMDPNAEEDEQLVQDNHKWQFVRDYPRRREIHIFSSSYPPAVG >ORUFI12G13960.5 pep chromosome:OR_W1943:12:15775250:15778034:-1 gene:ORUFI12G13960 transcript:ORUFI12G13960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSSRTSVGFLHQKKGKSFSASPICSASQGGWRCLLLLLLLLLAHAAVEKTHGSSPVRPHLLALNPLLPFKRAIMGISKVIGIAGTSLLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWMLGKNSKGRFPIWSSVLFGPFLILARVYATMKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAARWACEKRAKGKPVYVHCAFEGVFLFVVDMDPNAEEDEQLVQDNHKWQFVRDYPRRREIHIFSSSYPPAVG >ORUFI12G13970.1 pep chromosome:OR_W1943:12:15782624:15783393:1 gene:ORUFI12G13970 transcript:ORUFI12G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATTRLGVRRPTPAPVFDFDRLLLGSSSGGGMVGDDVQQQQQRWELPDAVFVRGAGAAEAKKGGAAASHDDHDDGRHHHHHNQAVQSAAARERRRRISSKTAELSRLIPGAARMNSTAEMLQAAARHVRLLQAQVGMLALIHSSGEAKAASSMAASREHHQMMMMRALLASGGVQERLAGEGRCLVPTSLVRAIADDDAMATSNPALSRDVNRFKDSLDQQQQQ >ORUFI12G13980.1 pep chromosome:OR_W1943:12:15787541:15794396:1 gene:ORUFI12G13980 transcript:ORUFI12G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPKRSQLRVRLRVTARRRGGDGGADGGGSGGGGGGAGGRKRLLDAPAFNSAAKLQRREIGGRQLAARGGGAASAVPERFRNMHLQEEFDTYDHNAHLFVKLQFLKKRSKIIEIVAAKDIIFALAHSGLCAAFSRVTNKRISFLNLSPDEVIRSLFYNKNNDSLITVSVYASDHFSTLKCRTTPIEYIRRNQLDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDTNVQEIKISPGIMLLIYERTPCHVPLKILSIEDGRPLKSFTHLLHRNKKIDFIEQFNEKLLVKQEDENLQILDVRSSELIEVSVTKFMTPSAFIFLYENNLFLTFRNRTVAVWNFRGELVTSFEDHLLWHQDCSTNNIYITSDQDLIISYCKSEAGVDDGTVPPIGSINMSDIMTGKCIAKIAANDPTLRIGPRKNGNSERSSIWSTIPEALEDVTALFYDEDRNEIYTGNRHGLVHVWSN >ORUFI12G13990.1 pep chromosome:OR_W1943:12:15792256:15794334:-1 gene:ORUFI12G13990 transcript:ORUFI12G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSISAGFGGEPGAVLAPSASVLPAPRRRNPAASSKAQFSSFPRGQSCNLMLTPKHLCIEHQSCSKSSIQTFALSRNDFSPIAQEVEGFLHNIVNMGFLDRLKLAWKIIFPAPSIKENSNANIAKQRLKMILFSDRCEVSDEAKKKIVENIVEALSEFVEIESRDNVQVDISTDAGLGTVYSVTVPVRRVKPEYQESEEQYRGKIVGVDFKDTGETSGSVDVTFDFFVPNKNY >ORUFI12G14000.1 pep chromosome:OR_W1943:12:15796854:15799061:-1 gene:ORUFI12G14000 transcript:ORUFI12G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGVVSPPAPPAVAAASTSSPRRRVRLPLGRVSSSAASFRARCAAAAEDGGATAAPEDAAAAAAVAEAVEGDPEAGTDVAGGAATSTRPPYSLISADNVQKAMRGLAITECDHYGRLGITRSASTDEVKAAYEKKCEELNSKGLEEEEINKEHDLLKESFTILWTEEERRLYDWSLARSGKPERYVWPFEVDPMELAPDPPKEPEDEFPTKLVGYFFLAWFILSVALSVTLNR >ORUFI12G14010.1 pep chromosome:OR_W1943:12:15801237:15809847:1 gene:ORUFI12G14010 transcript:ORUFI12G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHGCSRHRHCSFVLLIVVVVVAYLLVGVVPALQASVIAEDDGRALMQFQSLITEDPYGALASWGGSSGSNHSASPATPCGWCGVTCGVRGRSRGRVTALDLRGLGLGGAIVAQSSLSSLTYLRWLDLSQNRLCGGVPTPLPLSLEYLNLSCNALQGAVSSELGSLRRLRILSLILLAEISVRNKHLVMQFNNLTGTLPPCAGNRLPRLKVLSVDRNQLHGAIPVSLCNSSKLEVIQMMKNSFSGVIPDCLGAHLQNLWELTLDDNQLEANSDSDWRFLDSLTNCSNLKVIGLAGNKLQGLLPGSIANLSTSMEFLSIYNNMIHGQIPQGIGNLVNLDSIYMHLNNLAGTIPDSIGKLKKLSNLYLYDNNLSGQIPATIGNLTMLSRLSLNENMLTGSIPSSLGNCPLETLELQNNRLTGPIPKEVLQISTLSTSANFQRNMLTGSLPSEVGDLKNLQTLDVSGNRLTGEIPASLGNCQILQYCIMKGNFLQGEIPSSIGQLRGLLVLDLSGNNLSGCIPDLLSNMKGIERMDISFNNFEGEVPKRGIFLNASAFSVEGITGLCGGIPELKLPPCSNYISTTNKRLHKLVMAISTAFAILGIALLLALFVFFRQTRNSRKGEHALLLISDQHVRVSYTELVTSTNGFASENLVGVGSFGSVYKGTMMSNEEEVVVAVKVLNLQQRGASQSFVAECETLRCARHRNLVKILTVCSSIDSRGLDFKAIVFDFLPNGNLHQWLHPREHGNQTGLSLIQRINIAIDVASALEYLHQYRPAPIVHCDFKPSNILLDNDMVAHVGDFGLARFVDHGQHSLPDISSGWATIRGTIGYAAPEYGLGNKISIYGDTYSFGVLLLEIFTGKRPTDADFAQDLSLHRLEFGVRRMFQQIAC >ORUFI12G14020.1 pep chromosome:OR_W1943:12:15811213:15814544:1 gene:ORUFI12G14020 transcript:ORUFI12G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLAGVVRLAAASRALLLALSLLSRLLFRPYDTSASLHPPCLLPSPSPNSTTTAANSIASLAVWDGVHFLRAAECGYEYEQSFAFLPLLPASLNLLARSVLAPLVPVLGYRAVLVVSGYVLNNVAFVAAAAYFYRLSVLILKSPSAAYRASVLFCFNPASVFYSSLYSESLYALFSLGGVFYVFTGANTIAMIMLALSGSARSNGALNAGYFCFQALLHAYDAAFQKKQPMLAVQVLVTGFLRSVFVFIPFFAFQAYGYLNICLHGNMEELRPWCKSKVPLLYGFIQSHYWGVGFLRYFQVKQLPNFLLASPVLSLAVYSIVHYTKMLRQLFESNSIHELIVATVEGRSIEAYKSSDVDTVLKSKVSTNVTNKAQGNAVAKRRKSVATETDSNDQIIEVNKDVCPIVVLPFILHLAFMTFTAFFVMHVQVSTRFLSASPPIYWAASHILVSPSSSKRWGNIICAYFIAYILLGSLLFSNFYPFT >ORUFI12G14030.1 pep chromosome:OR_W1943:12:15817050:15821592:-1 gene:ORUFI12G14030 transcript:ORUFI12G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGIALLLDLASRGPRYASGVHAHSAISASAAAAAAAAAALSATGIPLSARHLFGFPGFTIAHCDAGAPTGLNDAPDLINGLNDKIQDSLQYPIKEYPLELKPLFSAFALKNFSLTTLRSFLLYYLPLLEPHPHTDGDDEDDLLQDESENRPPVDLVTPFYNSVKQIIRETSVVTTRRILERIAVCHVSQRTAWKLLKDASKSSKRKSVRGMPFQEYSYCVARTTFRAHALGVAAAWVVQSIVEVYRCFFGKPSNDQAMFDEMDKVKLFGKKIYGITVKCGFSLVFASIGAGIGVLVHPVHGQWLGCTLGDFAGPIVAILVFEKFQLPL >ORUFI12G14040.1 pep chromosome:OR_W1943:12:15832511:15833240:1 gene:ORUFI12G14040 transcript:ORUFI12G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFFSITASFVPLKLLPFLYSMYSSSSSSSSASSSSSSFSTSSSYLALLVLVISTGLSLLFTNLRQMIRARSHRGKPHPSMEDQAVHQEEESIIVPQDEVADDAPEDLTGSLSESSDSPVSEECTEEGSVSDDGDDDDDESLIEISLVDGHYLGQEKKKCAWKEQDLLSEFLPDLLLDKRDFMDILSEIGEEDNLIEIDIARGSIKCSSFGIKA >ORUFI12G14050.1 pep chromosome:OR_W1943:12:15835776:15836237:-1 gene:ORUFI12G14050 transcript:ORUFI12G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARKLAAPLAGAREALVSAAAVVGGGEGGDGPLLPWDAVRERLDELLRYLAAALPELAAWLRDWAAAAARRASSALAVALPAAAAVALVLVLFCCCVSACGGGVGGRRRRRGPDGEEAGGGDGPVVSYRRGAGGGYRGGIFSLHPNKPIRG >ORUFI12G14060.1 pep chromosome:OR_W1943:12:15837288:15840005:-1 gene:ORUFI12G14060 transcript:ORUFI12G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALILVLLSKIATTLGKAALNGIKSRLGKEIIILFQVENSMKEIESEFEIMQAYISQVQLQDRNNQIFVSWLKLVRKIAFEVEDIIDEYAFLLGKMGGTESFLKKTFCHSKNRTTWHNVSSQLQLVKIRLQHMTVMRERYGIKISDNGQKTLSNNINRQIYLSDSSYFNIDDDDDDDDDAIVGQQGYAQKLIDCLNDNSVDRAIISILGMGGSGKTTLASSIWRRHDILNNFKCHAWVSVSQNYQIENLLSKILNQLDSKSMGHATDDHNVMVGKIHSYLMDKKYLIVLDNMWDKDSWLLFDRAFPNNVFGSTALLAQGNNSIRIGFLSPDDSWKLFCKKAFSKLTEAECPASLKTQADRILAKCQNLPLAIGAIGSLLSCRGMDEQEWASFYDQLNWQVTSNPELSWVSDVIHLSLNDLPNHLRNCFLYCGLFPEDSQIRRKWIIRMWIAEGFVEDRGTDTTPKEVAEEYLRELTQRSLIEVVERNVFVRARKFELHNMVKEIIRTTSRKQLFSLICEHPDVTSLGDAARRVSVHTGGQDFQSGLAWQQLRSFLLFDRYVPVPWIFTSVSSFRLLRVLCLRYSLLKDFPNAIASLFNLHYLDLSCTKVNKIPKSVARLKNLQTLHLRDTYVNKLPYEIALLTSLRHLIVSKGLYGASIPGNISVLKCLQTLRDVKASKNLVENLGHLTQLRTLSITKVSRSHAKDLWTSIRKMTKLTRLAVSTHGMNEVLSLEKFRAPRYLQKFYLYGRLAEGVIFPVSGHFQNLKVLSMRWSGLTQDPLGSLSQMPSLVYLELCEAYGGEALVFQDGWFPKLRQLYLIRLQNLNSLEISNGAMMNLAYLELRALKNLKAVPKGLEFLRLLKHLHAEKMPGGFTDGITGDQAFLERVEVECW >ORUFI12G14070.1 pep chromosome:OR_W1943:12:15852532:15855192:1 gene:ORUFI12G14070 transcript:ORUFI12G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEILRTESTGAGSSANVETETLAKFELMDGAPVRGDLGQCSGKVFRGTVLGHDGLQAPVAIKRFHAAISKEDVELVRNDLAGQPLRHRNLVYIIVYDLMDNGNLEKHLFATERHPLLSWSRRFNIIRGVALGLQHLHRNGSIHGSIKASNVFLEEGDLTPRLGDFGCSWLEPSSTRDDHHIRAVYGLPEVLGCPVPNCTSKATFRADVLATQAERLLLVGLLCSNEDRDKRLDMDAIVEILQSDNVPPPVVPPKRPIFAPTYHHIHTMTTV >ORUFI12G14080.1 pep chromosome:OR_W1943:12:15856994:15864671:1 gene:ORUFI12G14080 transcript:ORUFI12G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAIPVLVLQAKGDASDDVKAPIPAAQVKFIYILTRQSRPESLQFLLAEEKKTISIRRSPPISNSRPSLLLLRRRRRRSSPATSTAAMNYIVGAFKPPCDISIAFSDARSRKQIAVKNDNGRTVMVPVFQSLETISGEVSVVPVPGKRIEHLGVKIELLGQIELYLERGKFYDFTSLVRELDIPGEIYEKKTYPFEFSTVEMPYESYNGTNVRLSRNIMGMGSIVEFREFCVRNYSPAPTINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIIGKIYFLLVRIKIKNMELEIRRRESTGAGSSAYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPSYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLLETPQAS >ORUFI12G14090.1 pep chromosome:OR_W1943:12:15871804:15876304:-1 gene:ORUFI12G14090 transcript:ORUFI12G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQIQDKEKELKRDYRCLKDARTQSGASWDEKLGMITADDPAVWDNIIHSFPRAKKFRNKPFPIFEALGELYDGQTAEGLLNFTSLQPTNTLDQSRNTEDDIVTQVGADDLLMENNQDDLNNDDPITASEQSEVEVSNQLNQRRQTVSTSRKPPEDKREKNPKRHKQNGNVADAMEKYIELRHKQAEEEMVREKEATKQVDEFSIKKCIDVLSTMNELSPEENAQAFSVFKDAQNREIFISANPTARILWLKLQMCHLSMLSYLVDISDPLLTWFSCIGRVDLHEIGCFCESC >ORUFI12G14100.1 pep chromosome:OR_W1943:12:15878446:15878907:1 gene:ORUFI12G14100 transcript:ORUFI12G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQSSGGGHCSNPPSCGFCGRATVAISFAAVPAGFCTCNVCLRDLAGVLGYRCPLCNFTVHRQGCRRRHPPAAAAAYKQRQQQHQRASSYDQAPVAASSPRASGSRRKRVKTFVIRLVEKVIGPEKNGRGGGGRKKKGKGKGGGGEGGRGEI >ORUFI12G14110.1 pep chromosome:OR_W1943:12:15886125:15890379:-1 gene:ORUFI12G14110 transcript:ORUFI12G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSLPSFCLHRIRSDGRRGAPPSIRASSTGAGNDGGRNEDVDGKEEEEEKEKKSEVGRKVMVVADGGGDEARTALQWALSHSVRPCDTVVLLDVVRSVGGGGKDWDDPSRGCQHLETMRSICQAKRPEVRVELSLVEGKERGPAIVEAARKQGVSLLVMGQKKRSITWRLLVMWMTGGKGGGRGTAEYCVQNAACMALAVRRKSRRGGGYLITTRRQRDFWLLA >ORUFI12G14120.1 pep chromosome:OR_W1943:12:15901561:15907095:-1 gene:ORUFI12G14120 transcript:ORUFI12G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVKVRRIENEVSRQVTFSKRRPGLLKKAHEIAVLCDVDVAAIVFSAKGNLFHYASSHTTMERILEKYDRHELLSEGNNVIEEFPELEGSMSYDHIKLRGKIEALKRSQRNLMGQELDSLTLQDIQQLEDQIDTSLNNIRSRKEKLLMEKNTILEKKITELETLHTSIRASPTEAAAPPACNTADAFVPNLNICCGDSGEPETVTAPLGWTSSNNGLPWWMLQSSSNC >ORUFI12G14130.1 pep chromosome:OR_W1943:12:15914207:15916309:-1 gene:ORUFI12G14130 transcript:ORUFI12G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADRIAAASSDPTPPPPPLVRRLRGIDRAARPRPSSLPDPARKFYKMGRGVSSGGGQSSLGYLFGGGEAPKSAEKPAPVQKPAPSSSAEKLKEIPAGIQSSKANNYMRAEGQNCGNFLTDRPSTKVQAAPGGGSSLDYLFSGNKDGK >ORUFI12G14140.1 pep chromosome:OR_W1943:12:15918216:15921589:-1 gene:ORUFI12G14140 transcript:ORUFI12G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPREKSAAATSSAAAADAAGAGGGGDRWGAAVGNLTELGANVAALQRLLAKKAVFVDEDIFSKASLAADQARTIKILDQRVQSLERELDAAISAAARARTEKRQAEAAQRAAELRAQEVTKELENTAKVFKLHMEELRAKQEEIAKKESDIKVLEAIIRTLSNKDDGGSSE >ORUFI12G14150.1 pep chromosome:OR_W1943:12:15921740:15925955:1 gene:ORUFI12G14150 transcript:ORUFI12G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANKIGNLLKRATSSSPALYQSIRCMSSSKLFVGGLSYGTDEQSLRDTFANYGQVIEAKIINDRETGRSRGFGFITYASSEEASAAITALDGKDLDGRNIRVNTANERTGGFRSGGGGYGGGGYGGGGGGYGGGGYSGGGGYGGGGYSGGGGGGRGYQGGGGGYGGNNGGYGNRGGGGGGYGVAEGSADAFSGINLGGDGSFGGNPAGSFGDAGGSTGGGDFSGAGGDSFGSRKNDELMDDLFKDDEPDNYANKQG >ORUFI12G14150.2 pep chromosome:OR_W1943:12:15922885:15925955:1 gene:ORUFI12G14150 transcript:ORUFI12G14150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALANKIGNLLKRATSSSPALYQSIRCMSSSKLFVGGLSYGTDEQSLRDTFANYGQVIEAKIINDRETGRSRGFGFITYASSEEASAAITALDGKDLDGRNIRVNTANERTGGFRSGGGGYGGGGYGGGGGGYGGGGYSGGGGYGGGGYSGGGGGGRGYQGGGGGYGGNNGGYGNRGGGGGGYGVAEGSADAFSGINLGGDGSFGGNPAGSFGDAGGSTGGGDFSGAGGDSFGSRKNDELMDDLFKDDEPDNYANKQG >ORUFI12G14160.1 pep chromosome:OR_W1943:12:15930648:15935632:-1 gene:ORUFI12G14160 transcript:ORUFI12G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKENPVLTACQAPSGRITRAQAAANRGRFGFAPSVSLPARTERKQTAKGKTKRGVLDEITSASTATSAPQPKRRTVLKDVTNIGCANSSKNCTTTSKLQQKSKPTQRVKQIPSKKQCAKKVPKLPPPAVAGTSFVIDSKSSEETQKVELLAKAEEPTNLFENEGLLSLQNIERNRDSNCHEAFFEARNAMDKHELADSKPGDSSGLGFIDIDNDNGNPQMCASYASEIYTNLMASELIRRPRSNYMEALQRDITKGMRGILIDWLVEVSEEYKLVPDTLYLTINLIDRFLSQHYIERQKLQLLGITSMLIASKYEEICAPRVEEFCFITDNTYTKAEVLKMEGLVLNDLGFHLSVPTTKTFLRRFLRAAQVSRNVPSITLGYLANYLAELTLIDYSFLKFLPSVVAASAVFLARWTLDQSDIPWNHTLEHYTSYKSSDIQICVCALRELQHNTSNCPLNAIREKYRQQKFECVANLTSPELGQSLFS >ORUFI12G14170.1 pep chromosome:OR_W1943:12:15939658:15942145:-1 gene:ORUFI12G14170 transcript:ORUFI12G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNSESSLPLKEVIWAWCNADAVCFDVDSTVCLDEGIDELADFCGAGQAVAEWTAKAMTGSVPFEEALAARLSLFKPSLGQVEDCMEKRPPRISPGIAELVKMLKAKNVDVYLVSGGFRQMIKPVAMQLGIPPENIFANQLLFGTSGEYVGFDPSEPTSRSGGKAVAVQNIRQKCGYRTLFMVGDGATDLEARQPNGADLFICYAGVQMREAVASKADWLIFDFDELMGYLV >ORUFI12G14180.1 pep chromosome:OR_W1943:12:15942247:15943028:-1 gene:ORUFI12G14180 transcript:ORUFI12G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLKRDEWARAGGHVDGSWPSDRHGRCLYPPFDSASPRIHPVTRQLVSPFAAAAAAFAAGDTVVSAAAAARIWPKWLVSVSLHGSPFAFLVFHQLGLR >ORUFI12G14190.1 pep chromosome:OR_W1943:12:15943118:15947972:1 gene:ORUFI12G14190 transcript:ORUFI12G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASLAAAASLLPAAAARSRVSLARCRGMASSSSPSAAAGASAAARVGVVQMTSEAASSGVKFLCFPEVFSFIGSKDGESIKIAEPLDGPIMQRYCSLAKESSMWLSLGGFQEKGPDDSHQYNTHVLIDDSGEIRSSYRKIHLFDVDVPGNMVYKESRFTTAGDTVVAVDSPFGRLGLTVCYDLRFPELYQCLRFKHQAQVLLVPSAFTKVTGEAHWEILLRARAIETQCYVIAAAQAGKHNEKRESYGDSIIIDPWGTVIARLPDQLSTGFAVADIDLSKVEAVRTKMPISEHRKFDSVWKTSSL >ORUFI12G14190.2 pep chromosome:OR_W1943:12:15943118:15947972:1 gene:ORUFI12G14190 transcript:ORUFI12G14190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALASLAAAASLLPAAAARSRVSLARCRGMASSSSPSAAAGASAAARVGVVQMTSEAASSGVKFLCFPEVFSFIGSKDGESIKIAEPLDGPIMQRYCSLAKESSMWLSLGGFQEKGPDDSHQYNTHVLIDDSGEIRSSYRKIHLFDVDVPGNMVYKESRFTTAGDTVVAVDSPFGRLGLTVCYDLRFPELYQCLRFKHQAQVLLVPSAFTKVTGEAHWEILLRARAIETQCYVIAAAQAGKHNEKRESYGDSIIIDPWGTVIARLPDQLSTGFAVADIDLSKVEAVRTKMPISEHRKFDSVWKTSSL >ORUFI12G14200.1 pep chromosome:OR_W1943:12:15950006:15954924:1 gene:ORUFI12G14200 transcript:ORUFI12G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPKRCYYEVLGVPRDCSPADIKLAFRRLALSLHPDKQPPGSDVAAATAAFQELQHAHSVLSDPHERSYYDSHRSQILFSDHHPSSGPGRGGGVASASASPVPDLFAFFSSSAFSGFSDSGRGFYKVYGDVFDRVFAQELAYARRMGMPADAVPTPPVIGNLDSPHAQVAAFYSYWLGFGTAMDFGWAAEWDAARGESRRVRRLMEEDNKKATRKARREYNDAVRGLAAFCKKRDKRVVDMALKKKAEEEKRKAEEAARKKEKERRRKERAMAYQEPEWARVDEDEAAVFEDEEEETRAKRKEELYCVVCNKKFKSDKQWKNHEQSKKHRDKVSELRMVFEEEEEALKDAEEEEPEEVDVGFDFQPAQESEESEFSDAAEELADELSEGLEVRDEEEKGDKHLGNGEQKVGSYDETSVLEEMLSRSRRKNRKSGFVAPQEEASPAGAMDDDDDEDTSYEINNVKKKGRRRRAAKKGGTYADNGQGRKSENQPEESRHNNDENGADDKMEGPSSNEDSAAASKEDQQKGKTGNTKKNKKGTEKNTTTISSEQKGTSKGKKQKEVSKAPSNDCETCGSTFDSRNKLFSHLEETGHAMLKTRQKNR >ORUFI12G14200.2 pep chromosome:OR_W1943:12:15950006:15954421:1 gene:ORUFI12G14200 transcript:ORUFI12G14200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPKRCYYEVLGVPRDCSPADIKLAFRRLALSLHPDKQPPGSDVAAATAAFQELQHAHSVLSDPHERSYYDSHRSQILFSDHHPSSGPGRGGGVASASASPVPDLFAFFSSSAFSGFSDSGRGFYKVYGDVFDRVFAQELAYARRMGMPADAVPTPPVIGNLDSPHAQVAAFYSYWLGFGTAMDFGWAAEWDAARGESRRVRRLMEEDNKKATRKARREYNDAVRGLAAFCKKRDKRVVDMALKKKAEEEKRKAEEAARKKEKERRRKERAMAYQEPEWARVDEDEAAVFEDEEEETRAKRKEELYCVVCNKKFKSDKQWKNHEQSKKHRDKVSELRMVFEEEEEALKDAEEEEPEEVDVGFDFQPAQESEESEFSDAAEELADELSEGLEVRDEEEKGDKHLGNGEQKVGSYDETSVLEEMLSRSRRKNRKSGFVAPQEEASPAGAMDDDDDEDTSYEINNVKKKGRRRRAAKKGGTYADNGQGRKSENQPEESRHNNDENGADDKMEGPSSNEDSAAASKEDQQKGKTGNTKKNKKGTEKNTTTISSEQKGTSKGKKQKEQVVFSLGRNRSCNAEDTTEKSLKRTRNYLKIV >ORUFI12G14210.1 pep chromosome:OR_W1943:12:15954083:15957060:-1 gene:ORUFI12G14210 transcript:ORUFI12G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVLGCATSLVSCQKVFEGPLVNSLLSIRQGGLKMYIIEDKGGAIALMLASLFFLGTWPAVLTLLERRGRLPQHTYLDYSITNLLAAVLIALTFGQLGDSKPNMPNFFTQLSQDNWPSVLFAMAGGVVLSIGNLSTQYAWAYVGLSVTEVISSSMVVVIGSRSENSHVGSSGTTLNYFLDNRINRAEILFPGVACFLVAVILGSAVHASNAADNEEKLNGSTNIYKLGENGSVEPNKEVIEKDAPKDLENGASATKYVAKAEAGTAEYLIELEERRSIKVFGSSTFIGLGIVFFSGVCFSLFSPAFNLATNDQWHTLKQGVPHLVVYTAFFYFSISCFVIGIGLNILFLYRPMAGVPKSSFKAYLNDWEGRQWALLAGFLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSRKTYILLGFMLFMFIVAVAVLMASSGHRSTK >ORUFI12G14220.1 pep chromosome:OR_W1943:12:15973160:15976471:-1 gene:ORUFI12G14220 transcript:ORUFI12G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLVLLGTWPVVLAVLERRGRLPQHTYLDYSITNFLAALLVAFTFGQIGADTPETPNFLTQLTQDNWPSILFAMSGGIFNGLGTLATQYGWAFVGLSVTEVMASSLKVVIGTTLNYFLDGRINKAEILFPGVGCFLIAACLGSLVHSSNAADNQEKLSSAMVNHSNTNGDTENEELTKHLLEIEQPKDCEEQEPEVAPAAQAVEKLEAGTADFLVDLEGKRSIKVLGSNTFLGLGIVTFAGVSYSLFSPAFNLATNDQWHTLGEGVPHMVVYTAFFYFSLACFAIGIALNIWFLYHPMVGVPRSSLTAYLKDWKGRDLALVAGMVCGLGNAFQFMAGQAAGYAAADAVQALPLVSTVWGIVLFGEYRRSSRRTYTLLGSMLFMFVVAMVILMASSAHRRPL >ORUFI12G14230.1 pep chromosome:OR_W1943:12:15991181:15995960:1 gene:ORUFI12G14230 transcript:ORUFI12G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRKTAGPRGGGGGGGAAAAGTKKVGSGPSRVTLERLREGIERRGRGWGSGAEEERRAEAERAAAEERARREEEEGRARAEEERRRREEERRRRRKEEKVREEARRREEQRRRLGITVVADSPGGGGDGDRRRPVYDSRKTKLQAKPHGDAQSEADTAELLSSKPQLEDEQINALSIEVAAAADAVELGVGRKTGSSEEDGTVSDGGDDSWEDKSLDGFDVQSDGNSPCVAEGETEDKLATSASQVVNPVDIDVAGEVEEDGILDSQDACAIEGDRVLRETICCILGHVDAGKTKLLDCIRHTNVQKGDAGGITQQIGATYVPVEYVKERAKPHKVPGLLVIDTPGHESFSNMRSRGMSLCDIAVVVVDIMHGLEKQTVETLALLKDRNVRFIVVLNKVDRLCGWKHCPDAPIKKALENQSGDVKREFGWRLTKVVTQLKENGFNTALYYDNQKFRKVFDIVPTSAISGEGIPDLLLMLVLRSQATMMEKLTFVNTVECTVLEVNDDKDLGTTIDVVLINGVLHKGDQVNVCTKQGPVTTTIRDLLTPHPLKELRVKGIYKHHKELKAAQGVKIVARGLKYAIPGTSLVVVKPGDDLGQSEAKSQRNENEEGNVIQEISRLKTCKEGVYVQASTFGILEAIIEHLNSPGVNVPVSGCNLGPIEKKDVMKASAMLKRKEEYAAILAFNVRVMPEADVLASESGVKIVTADTVYKLVDSFNEHIKRSKELKKMQCAANAVFPCTLKILPNRVYRKKDPFLCDVEVLEGVVKVGTPICVYVGGTVHGLGRISSMQTSNGNHIDSAKRGVVVSVKITGESPKEKTRLYGRHFDESNELISQISRRSIDVLKEYYRDEMNDENWQLIRRLKKLLDIA >ORUFI12G14240.1 pep chromosome:OR_W1943:12:15995903:15997964:-1 gene:ORUFI12G14240 transcript:ORUFI12G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVEDRGGAVALMVASLLFLGTWPALLTLLERRGRLPQHTYLDYSVTNLLAAAVIAITFGQVGETRPGMPSFFTQLTQDNWPSVLFAMAGGIALSIGNLISQYAWAFVGLSVTNIICSSLAVVLGTTMNYFLDGRINRAEILFPGVACFLVAIFLGSAVHSSNAKDEEEKLSMSRGIELSSDVADQGVKLLPNQEELENGEDDDFDTGTAEFIVQVEKRRSIKVFGSSRWLGLGMVLLAGVCFSLFSPAINLATNDQWRTLRDGVPHLTVYTAFFYFSVSCFAVGIGLNARLLYRPVAGVPPSTIGAYAGDWNGRHWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGVILFGEYRRSSRKTYLLLGCMLSMFIVAVVLLMASAAHRKTG >ORUFI12G14250.1 pep chromosome:OR_W1943:12:16015508:16017680:1 gene:ORUFI12G14250 transcript:ORUFI12G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWWRWRPLLHRIQREGRRSRRRRAATGGGGQWAAAAVSPPPDPAGGEAQQAAAGGDGWRRAMGGSGGLPSAGGAAGGGASWRATSDGLPSAGSGGRGDGRWAAAAVSPPPDPAGGEAAGGGSALPFTPLPSSHVLAFIHLQVKN >ORUFI12G14260.1 pep chromosome:OR_W1943:12:16018333:16018725:1 gene:ORUFI12G14260 transcript:ORUFI12G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLERAAGALVDREGRGGALQAEKDAAQPLPLWNSSSPHSSNGHRVAFAPALPLPLYSTPRIFGQLRMFTGSDVAAPPPPPPAPSHALAPAPPPPLFPPFYVIPTSVGGAAHRYVSMGDNNDDADIPVPP >ORUFI12G14270.1 pep chromosome:OR_W1943:12:16024650:16025193:-1 gene:ORUFI12G14270 transcript:ORUFI12G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNIMIHKRNLWDLKVLLSRLSSCIPIESTFNIPCYHKRAGYYMHNSAILLESVSKDVLFYGWCSSITIVG >ORUFI12G14280.1 pep chromosome:OR_W1943:12:16106180:16111181:1 gene:ORUFI12G14280 transcript:ORUFI12G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLATRSSKSLAIVVAAAVPLLMCLFLVAVAGVAASSDQTAVASSPQCRDLGYNPGSACWTSKDIKLYCCCGHGRRLPSLAMHSRKKPSPVAAAAAVPLLLMCLLFAATAMAASAAAASFVEPSDADTYSTCFEVGGCNNTGCAIRCRDLGHNPAGSACRTRDTAIYCCCGVGRDTPPSVA >ORUFI12G14290.1 pep chromosome:OR_W1943:12:16134367:16135963:1 gene:ORUFI12G14290 transcript:ORUFI12G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGRRRRLPSSPPSQIWPEEGGGRPAGATAATMRAGGRRWLPSPPPSQNSPTLGGLNSQTCHHLLHLLHHLFQLAAFFFRSKMFHSLQTS >ORUFI12G14300.1 pep chromosome:OR_W1943:12:16140394:16141552:-1 gene:ORUFI12G14300 transcript:ORUFI12G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKSAQPQLSRLLSPPFLLWAPPCAIGARARRRHLAVVAKESSMTSVAVPCSSFSAANSSAAATCTRRRCRPIRRRGRRRFLFPYAAVAVPSSSSTGEPTFMNRPTKKPPVGTLRLPWGRSRFTIPDVGPLVVEPGKTQTQGRSAAPGTPCLDAYSGRSFTIPSTSTARRTVSSRALCDPSRSAATHGYLSNTSAPLNPHKPPIPLAVMLFVDTG >ORUFI12G14310.1 pep chromosome:OR_W1943:12:16142707:16142925:-1 gene:ORUFI12G14310 transcript:ORUFI12G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRDRLAALMMTPDGVEEEATVPVMSLLEWLDGAESEEAALSVAVDGFANLVEQLVGRRQGETGGGEGEA >ORUFI12G14320.1 pep chromosome:OR_W1943:12:16147657:16149249:-1 gene:ORUFI12G14320 transcript:ORUFI12G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFFTQSALLCVLFLASSTVGGCFPLMEEEVQKNWQTLMAPILDVNLSSSGHESNQAGTLYLAVYGWGPSDNAITYYGVEATMDVYGFNLEHGQQTGGFISIYNKDEASAINNVIAGWNIEPESYNDSQTHFSTWFTQGSNACTDMRCPGFESVFSSEIVPGMVINPVSTTSSDKQYITVRVSKDPNSGDWQVYYGFNGEARLTGYYPRSLFTSLSYKPVTIMFGGYAFKKEHKLPSPPMGSGNASIKNAASFSSVKFFDAGGNSHQINSALGYISNCYRVSDFEHDGFFYGGPGNFC >ORUFI12G14330.1 pep chromosome:OR_W1943:12:16153882:16154610:1 gene:ORUFI12G14330 transcript:ORUFI12G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAREDIHPATMAYIRHLVEVFRTTSFHDACYDQNYMGSDADIFRHRPGTTAVPDDVDAALDAIEEILRKGSPTLAADERLDILYNRTLQEETVGAVEDAVASMEAQVAGERDIVDAKKLRLKAVRAAVAEYRDGLAALMTPADGVEEQEATASVMSLLERLDAAESEAAALAADVDGFDGLVEQLAAARERLVEEKARLDAIPVPSGDHRKDDVIVFRAADRFNRSVRVLREFVAQYDA >ORUFI12G14340.1 pep chromosome:OR_W1943:12:16161564:16162345:1 gene:ORUFI12G14340 transcript:ORUFI12G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVLVVVRLNAAAVDPATVAYLRDLVGALNGKTFQLACDSQIAAAAAADNDAGMFRLRPEPSLLAGVPDNVASAINALEKLLRKGSPALAAYERHATFLRRARQEEAVGAAMADVVAVNNLINDLQDALDARRVQLSTKSQIFAEITAAARSPAVNTEESRSWAAAELAALLPRLRQAQERETEVEMAMARMMPSFLVMFWHLEIAKARAEAADAALDAIPEMPSNWMDDFQVVRDGAMRFEESVGVLREYMA >ORUFI12G14350.1 pep chromosome:OR_W1943:12:16166651:16166833:-1 gene:ORUFI12G14350 transcript:ORUFI12G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITYLTQQLDLPLVEASNLITNFTGTADSFAGRLWTIAAGGVLSQLGMLGLVVSALVPTL >ORUFI12G14360.1 pep chromosome:OR_W1943:12:16169436:16170176:-1 gene:ORUFI12G14360 transcript:ORUFI12G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDSTTAASAAAGVEPAALAYIRHLVEELEDTAFEDACSDQADEFNDGDLFHRRPEPSEVPAAVARALDGVEDLLWKGSPTLAAYARQDARNRRLEQQNVVAATAAAVADTGAAVDAHRRAIAAKLPRLRALRARLAALTTTASAAAGSAEEVTGAVVSVLERMNRAQEEEAAAAAAVDGLRASLAGLLERLVLAVEEAEEEEAKLEAMGPELPGLAEDVGVLFRAQKRFLDCLRVLRQFAASAR >ORUFI12G14370.1 pep chromosome:OR_W1943:12:16201243:16202013:-1 gene:ORUFI12G14370 transcript:ORUFI12G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASSPVIRLDAAAVDPATVAYLRDLVERLEGKCYHQASDVQIFAADGDADLFRLRPEPSLLAGVPEVVVSAINTLEELLRKGSPALAAYRRHATRVRRLELQEAADAAMDELMSVNDVITDLQIAFRAKRAQLAAAQQAKGQIAAQIFAVVGAPATTRDSLARGAAALASLLPWLGAAHEREAELEMALGRMAPSFAPLNWNLEVATQRFEAADAVVHAVPHVAGSWRDDVQVVRDGGDRFEESASVLREYMA >ORUFI12G14380.1 pep chromosome:OR_W1943:12:16213247:16216009:-1 gene:ORUFI12G14380 transcript:ORUFI12G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVCALVTNHESLTSVAAMLTLLLPRLGRAHEREVELEMVLGRMMPSFLALDWNLEVAKQHDEPTDTTVHTIPDVADSWHDDVQVSFATEGTTSSRASAINILEELLRKGSLALVAYRRHVTRLKCIELQEAINATMYDVMSANDVITNLHLTFKAIGRSWRLEIGAHRLWVKRIELHDAAIEEVTLVNNVITDLHLAFEAKRAQLAASQLAKRQIAVQIVAMVCTLVTNHKSLACAVAVLNSLLPQLERAHEREAELEMALGRMVPSFLALNWSLMVAKQRDEYSVDAAVTLSLTSPAAGTTTYRSYLMEASEKSVSVLHEHMA >ORUFI12G14380.2 pep chromosome:OR_W1943:12:16216013:16222638:-1 gene:ORUFI12G14380 transcript:ORUFI12G14380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVMSVNDVITNLRLEFEAKRAQLDAAAQAKRRIAAEIVAVVCAPADTHESLSRAAAVLSSLIPRLESARESENELEMALARMVPSFATLNWNLEVAKQRVEAADAAVEAIPDVAGSWRDDVQVVHDGGDRFEESASVLQRVWTRTLQSPYSGTLSTPRPEFMPSQSCPAGNRRDDACKSSVMERCASRRVACIELQDAVDAAMDEVTSVNNVITNLHLAFQAKRAQLASSELAKWQITS >ORUFI12G14390.1 pep chromosome:OR_W1943:12:16222657:16222968:-1 gene:ORUFI12G14390 transcript:ORUFI12G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASPVIRLDAAAVDPVTVAYLRDLVERLEGKCYHLACDVQIAAADADADLFRLRPEPSLLAGVPEVVVSAINTLEELLRKGSPALAAYGRHVTRVRRIEL >ORUFI12G14400.1 pep chromosome:OR_W1943:12:16239499:16243576:1 gene:ORUFI12G14400 transcript:ORUFI12G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIELILWLFSFASIMLICLSDLEFDYINPYDSSSRINSVVLIEYALQGALCASFLLTLHWFPFLVMAPVAYYHGKLYMDRKHLVDVTEIFRQLNWEKKYRMIKLAFYFSLFIITIYSEVKLVVCIIEQQTNTASL >ORUFI12G14410.1 pep chromosome:OR_W1943:12:16244605:16245991:-1 gene:ORUFI12G14410 transcript:ORUFI12G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAGGAGSSEGRRRPRHRGEAKASAVPACYHRPSAGSCHHACKYGGAHAFEERESRRPAAAQPRPRKTPPPPPLAAATAMAKLRSASSRRRVGDLTKPVKAGKKAAATAVDDTGKKGDAGVVVWKDIVAYESTPLPPEKTTIAAGGGDARKKKDVSVTKGKKPIKSSPHGKSKIVAESTDDVIDGPSSKKKLVKSVGSKLTGKPPPSPELKAGEKSTPPSSHKSKKKMTTTRTNSLKPPKPKRNLVEISQQISHQSSSSSAANDIKEEKPHNPPCQEEKKSGMAPPPSPPPRPSHRRARSMSITGSAKSVRFPFTRQASRSTTTTTTTAFKVIRSRSSRAAATAAPPEDAPATTRLRFFRRGDAGGSSSGGGGGSGFHLRMRSLRRRGSIGGTAAAGGGGGFVVPAVALRHQKTLEKKRSRRLYNSVIEETAGKLAIARKSKVKALVGAFESLISKIGK >ORUFI12G14420.1 pep chromosome:OR_W1943:12:16249878:16253401:1 gene:ORUFI12G14420 transcript:ORUFI12G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDHVVAATGGGGGERAAHQYHVTDDTDAATASEEVGGGGGWPRALLRRGWELAGKAAVAGAAATAAPVVVPPVMLLTAAGLALSLPFAAYLATLAATHRLMAALLPPHESGLDGAAVEQELLDAFYHFSTTDQEEDGGGGGVGIGLASPPPSVDEPVSFQESSVSRNGGKIEDGTTKETVSLTTDVPEFPELPVVETREEDGVIPVQQLGQDHHHTHVLDTGDKAEESTISSVSGTPVEIFTDKDNVEKGVVEDTVVVEAAVVEQLASNAGIVAQELVDTNVAIVAISAPENEATTPTSDLVARVSEEVVGSSCDGEMQETAVVDDTMRELSDANMDEDVQHHDQRVVCSSVLMASPLAVGDYEDVMSSGSTQDIPEVCDETSQPGQEHDQSDGFEAKVISGDKDLYTEEHVRQQLETLRTITGYRSPFSSTLEGELAALYLFIGVEPPVSSRNASDLMEINAKLRLLKSIVGVD >ORUFI12G14430.1 pep chromosome:OR_W1943:12:16256049:16259132:1 gene:ORUFI12G14430 transcript:ORUFI12G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSIGEGTLSLGDFVASAKALIEKWKVIDVEDSLPDWQWKPCGKTGVPSEEEGYLALEGVYRNPGGRHEQIGDSSNFDDADIVSDDAWAQSSSESVHIYDYHVVYSFSYKVPVLYFQGHQAGGQLLTLDEIKEDLPSHSLKLLGESKWTFITREEHPHFSRPWFTLHPCGTSDCMKLLLEGVENKDQHVQYLPAWLSVVGQAVGLKIPLELYEMHHVSWKPGNN >ORUFI12G14430.2 pep chromosome:OR_W1943:12:16256049:16259132:1 gene:ORUFI12G14430 transcript:ORUFI12G14430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSIGEGTLSLGDFVASAKALIEKWKVIDVEDSLPDWQWKPCGKTGVPSEEEGYLALEGVYRNPGGRHAQSSSESVHIYDYHVVYSFSYKVPVLYFQGHQAGGQLLTLDEIKEDLPSHSLKLLGESKWTFITREEHPHFSRPWFTLHPCGTSDCMKLLLEGVENKDQHVQYLPAWLSVVGQAVGLKIPLELYEMHHVSWKPGNN >ORUFI12G14440.1 pep chromosome:OR_W1943:12:16264515:16269010:1 gene:ORUFI12G14440 transcript:ORUFI12G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPLRCLSELARRRRGCCRPDHAVDAMRAGLQCLMSTCSSTKATDNHSFSNTYATSCANLPEVAPSEAPLSDMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPSSELLSHDEIIRVADLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGLVLSKKLPRLKECGLNALNISLDTLVPAKFEFMTRRKGHSRVMESIDAAIELGFQSVKVNCVVMRGMNDDEICDFVEMTRDKPVNVRFIEFMPFDGNVWNVKKLVPYAEIMDKVRQRFNGVERLQDHPSETAKNFKIDGHAGTISFITSMTQHFCAGCNRLRLLADGNLKVCLFGPSEVSLREPIRAGVDDAGLREIISAAVKRKKAKHAGMFDIAKTANRPMIHIGG >ORUFI12G14440.2 pep chromosome:OR_W1943:12:16264594:16269010:1 gene:ORUFI12G14440 transcript:ORUFI12G14440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPLRCLSELARRRRGCCRPDHAVDAMRAGLQCLMSTCSSTKATDNHSFSNTYATSCANLPEVAPSEAPLSDMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPSSELLSHDEIIRVADLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGLVLSKKLPRLKECGLNALNISLDTLVPAKFEFMTRRKGHSRVMESIDAAIELGFQSVKVNCVVMRGMNDDEICDFVEMTRDKPVNVRFIEFMPFDGNVWNVKKLVPYAEIMDKVRQRFNGVERLQDHPSETAKNFKIDGHAGTISFITSMTQHFCAGCNRLRLLADGNLKVCLFGPSEVSLREPIRAGVDDAGLREIISAAVKRKKAKHAGMFDIAKTANRPMIHIGG >ORUFI12G14450.1 pep chromosome:OR_W1943:12:16275018:16278128:1 gene:ORUFI12G14450 transcript:ORUFI12G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTAKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTENGNTKDDLRLPTDDNLLSQIKDGFGEGKDLVVTVMSAMGEEQICALKDIGPK >ORUFI12G14460.1 pep chromosome:OR_W1943:12:16286527:16291446:1 gene:ORUFI12G14460 transcript:ORUFI12G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPPPPPRPRLALPPRSAAESLFTGAGDASPGPLTLASALFPSDPDGGGGGGGVMTSSSSAAGATSFTQLLIGNLSAPPPPPPPPQQQQQREAARGGGVARAGPALSVAPPPTAGSVFTVPPGLSPSGLLDSPGLLFSPAMFDAFDVLDMFIPDKILPKRATRIKLDIYFVKTSPEGGFGMSHREALAQVTAQASHSPLRMFDHTEQPSFSAAPTSSEAMQHMNAAVNMTGISDMVMGPTNNENVAFQPAEASQRYQVNAPVDKPADDGYNWRKYGQKVVKGSDCPRSYYKCTHPNCPVKKKVEHAEDGQISEIIYKGKHNHQRPPNKRAKDGSSSAADQNEQSNDTVSGLSGIKRDQEAIYGMSEQLSGLSEGDDMDDGESRPHEADDKESDSKKRNIQISSQRTSAEAKIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYAGCNVRKHIERASSDPKAVITTYEGKHNHEPPVGRGNNQNAGNAAPSSSAQQNMQNLSSNQASLTMADFNNINQRPIGVLQFKSEE >ORUFI12G14470.1 pep chromosome:OR_W1943:12:16294187:16295173:-1 gene:ORUFI12G14470 transcript:ORUFI12G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATRIVRMALHAFFSHYHPAASAAALLALPFSAAALVSRSPALLQLAAPPRAILLLQPALSRRLRRVFVAAGFPPASQLLFLLNHRLSQSIVSFLATLPLAMSFLLLAKAYAVHAVVAARGRGARRCVGGAAPSMASLVRASYPAMARTQLASFGALLSAHAAVFAALLAAFNAAEALRLGSDGRAVLALSAAGVIVYSVALANAAAVCNLATVVAAAEGGRGGARAVLRAVLLVRGDAATAVAVALPATLATAAVEGLFQLRIARPYAVTGELTSSMVCEGFLIAYIYSIICVLDTVITCMVYQTCKMSHSCDLLELEEKGDLAA >ORUFI12G14480.1 pep chromosome:OR_W1943:12:16300999:16305484:-1 gene:ORUFI12G14480 transcript:ORUFI12G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATATAAAIRSGELLACPMALRRAAAPVAAAVSFRMGRAATARAGRLATRVRVRVVAAAEEGAAEGKSSGKKKRAASGIMKPKPISAELREFVGGAEELPRTEALKIIWAHIKGNNLQDPNNKKIIVCDEKLKKIFGGRDRVGFLEISGLLNPHFQK >ORUFI12G14490.1 pep chromosome:OR_W1943:12:16305944:16307805:1 gene:ORUFI12G14490 transcript:ORUFI12G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNNFTGPGIGLGFGIGCGFGVGWGFGGMPLNMFGLGIGGGCGVGLGLGWGFGKAYGCQYRSSRVQFQGIEFQKKTEGDEASSLVSPERVEKSHHPFSVEMDWV >ORUFI12G14500.1 pep chromosome:OR_W1943:12:16308209:16309455:-1 gene:ORUFI12G14500 transcript:ORUFI12G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGWGGKVPSKKELPSAHRLVDTKEERSASRTFIVFLHLASMGRSAIAKSAGLLREIKNKQSSNLMSRVQPSEARSAETALWVPHPRTGIYYPKGFEWVMEDVPSSAASFRQLYWLRSGDAETASSPTSNDAAAFDHPFV >ORUFI12G14510.1 pep chromosome:OR_W1943:12:16313539:16313880:-1 gene:ORUFI12G14510 transcript:ORUFI12G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTEARLGDELRDGEASGAAADDGVGCATNETARRVCLCSLPCIVGPIKWWSGVGVGARRFTEHAQLMAALGSDDRDNNTVDMLSRTRASHAATAVSSMGAGDEQKDLELRL >ORUFI12G14520.1 pep chromosome:OR_W1943:12:16314240:16316906:1 gene:ORUFI12G14520 transcript:ORUFI12G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAACTALISSASADGVNERRDVAPVLDLGCGPKLGRNNAEISTKQNCTVAQDRHALCDQTRNAPMNLNRKRTHAQPVRAIPAVSPRPVVHRFKHFDNVEFEDCMVNRAFSVIVMGSKMEAVVSGELATRFQNILIVGRNYTIYGVYFQPNYWKLNFRAIKRQYECFFTRNTIVESYNQPLQFPIYPKQLTEFGELSAYYNKMFVDIAGVIVYLGPLQCVSNRLYKEVTLVDTRCQLVVIGVYANHLTTHVLQWASTFANNHVVVGTMLQLDRTYYFRWFVVNGEVNLSFVDRYHVTRWVHIAEVLDSKSSFNKNIKHA >ORUFI12G14530.1 pep chromosome:OR_W1943:12:16319773:16327527:1 gene:ORUFI12G14530 transcript:ORUFI12G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLGPEDSLDLVRTLRMASYGLLISGPSLHIWFNFVSKLLPKQDVMNTFKKMFLGQAVYGPIINSVFFSYNAGLQGETIPEIMARLKRDLIPTIKSGLIYWPLCDFITFKFIPVHLQPLVSNSFSFLWTIYITYMASLKKADVDVTTSS >ORUFI12G14540.1 pep chromosome:OR_W1943:12:16325295:16325908:-1 gene:ORUFI12G14540 transcript:ORUFI12G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEHIKMAILKQEQTFRQQVNELHRVYRVQKQLMIEMQSISTQAQAKADNRTIPRLEMDHQQWYRNSGEKKAPEFVEDFDLELTLATGAGRKQEKPSNSDSGATVSSSTSAESESEQRFPESNVALRFQNESKRHDDQLMQSPWLYQCLSLKMA >ORUFI12G14550.1 pep chromosome:OR_W1943:12:16337066:16339738:-1 gene:ORUFI12G14550 transcript:ORUFI12G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSAAAGAGSGEPSSTSRPGKEREDGGDGGGRKEGEGGADFDLAGAAAGWVEARTSCPHLPAMPAASADDLARVPPPDSPCSRCHHPAENWLCLICKDVLCSRFINKHMLYHYQDTGHCLALSFSDLSIWCFACDSYLDVQSILELRPVYEVAHLMKFGQRPPFRSLDVLDLSSGQNGGSPSQS >ORUFI12G14560.1 pep chromosome:OR_W1943:12:16341147:16343256:1 gene:ORUFI12G14560 transcript:ORUFI12G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCFVCSSISELEYFLYDSWDKNWCSPGVREPSWTDQEVRAHVLQTVLPQQRQGHRLHQVPLKSSWFPQPYCWVKRWSI >ORUFI12G14570.1 pep chromosome:OR_W1943:12:16349463:16349711:1 gene:ORUFI12G14570 transcript:ORUFI12G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGLLPLTILLLAVAAALHGADRVTAVPSLEGLEAAGEGLAEPIKKVVGGAVAAGVESVGRKRPAMKDFAAGVKVGRKFH >ORUFI12G14580.1 pep chromosome:OR_W1943:12:16350122:16350426:1 gene:ORUFI12G14580 transcript:ORUFI12G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAWPQACGFNLRLGCYGGGQKLWFREKKKWSKPRLTIILEKCEKECMLSRCREDSHHRHQPLRSSLTAPEWGEDSGGVVV >ORUFI12G14590.1 pep chromosome:OR_W1943:12:16352116:16353124:1 gene:ORUFI12G14590 transcript:ORUFI12G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSSFEAICNESEMIAHLQSLFWSSSDADPCFGSSSFSLISSEGYDTMTTEFVNSSTNVCFDYQDDSFVSAEETTIGNKRKVQMDTENELMTNRSKEVRTKMSVSKACKHSVSAESSQSYYAKNRRQRINERLRILQELIPNGTKVDISTMLEEAIQYVKFLHLQIKLLSSDEMWMYAPLAFDSGNNRLYQNSLSQE >ORUFI12G14600.1 pep chromosome:OR_W1943:12:16383347:16385933:-1 gene:ORUFI12G14600 transcript:ORUFI12G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGRNSDELDKIMQQIQNLQVESSSSADDRRRRHERSAIFHVNEKYRAYLDIFGGFIQGYEFDCERGDAVAHGLVTVECDPDTGEISHREATFLVLRRGESGRRDAVRMFEATCRLRGSVAIANALLVANCDGLKRWVICYEKLAGILSDYPDDHMLTTFGAFSSNGTDSLSPYWSNQIKMIITTLSDMGIRNVSHGGMSNPESYALTESLDIKLINMGKNENSRTYQHDLADFGTFLCQTPYIGASKCTSWTGFHFLINSNAMSQRYLWADIVKGHPLFLDPPSKLRCYVNLFEWSELIQISEKTAFCDACYKLMPDLTQKTLWGWISCCPASVKIYLNQAYKGISIYEDIITFVKNMILHGRQELMNANGGRWSDAHFCHKIEQLFPGFMSVAYALSKATRSDLNKLLGRA >ORUFI12G14610.1 pep chromosome:OR_W1943:12:16401229:16406450:1 gene:ORUFI12G14610 transcript:ORUFI12G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTILDVFKSRNINGEFSGTVIHFYSFSYEGKDDTWYKGEIFPVMSLKTPLEKGKGIRVSIWTFPAEKEKELKEMILDLLPLESTALLKPSFAAYNSHIDRAILCCTPCTSNMTSWIAKQVQIPSDSYLQEDSKNMIRSILNLSKVMWDLGYACDGQRWSDVEVDEFIKLMRNPSASLEHLLNHPLLLPPEIRMSSYLNLWIENLTPDQHNLYNSITSYKEKVPNNKTFMYGDTTREAHRFSRNTASHYISHWRRLIPSSNRTKAPFDMVDKELKKCFPGLPCKIYAMTLDPLWNK >ORUFI12G14620.1 pep chromosome:OR_W1943:12:16412136:16416053:1 gene:ORUFI12G14620 transcript:ORUFI12G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGRGGAAEEEEEGCDLFQCFCKWRKRCSPRIPRVSPGRDYVCISSDASGDSTPKWSVLVGCTSIYEPFHNLRTHRFRVSDSGRVMGCSDDMLERFRGVSPHDDEHTVFSSATAAMAPNSRNMCIICAHSPSFDQRSTDTSGGGGGGGQDIGLLPKVFFMDCVDKSLTVLPPIPFPHGSYQSVSAHGELWTLATVEDPGPSGVKRRLLVYRLDGTSNSWAKISDIDFPYRRPSVNIFCGGPLLQGYAVISDRFILMSFIDLSFFCFDCVSSSLTRVTTEDETFQYVPIRGRAAHVAHNDNGIYFIERGTLFRYNYSPESNKPLKPPEVIDAICPYRKEGYGFVIHLRNDILCAVWMNMNIPCKCATRHVLITTFRIECQLDKDDFEPKVLEVLHSTCRRIGMLRSKAPGHESYDRLCFIQEYLDDSSEIDPSIALMMGARSSYSEADEVDPKMLLCCREFLSIRELSSCVVLEECRVMVKSEFYFICQSGQHTYLYKISTARGKLTCHETILEAEHSLETIRNGDVGIDDPPAWHFVNYGVKLYVIPSVPQYNHYYEVDVYRNSSLILESKRPSICFSAVCRVGQRIVALGDTLEAVYILDLQNVEWVFCKTSSTFLDLRKEIKISGFVDLGNDSMMISEVDACECFILDLKKKQWFVVEPPNGDIWQYCVGLLSGTCMFIEGFIYTCSDGEMVAYELIEKDGLYHWDAPVIMRLPWKKFSNRKFMAFCPICKDVIHDDIAFSIVEARPFGSSHTVATTIVQLEVSPAGTLLLEHGDGMLLTTTVDMSGVTVHVSPSSLRRRGRGLMSGVTAPARGSMKQASWEAPTARRRPLAPITMVCAGPAARLGGQGQSRVVPEKTKRL >ORUFI12G14630.1 pep chromosome:OR_W1943:12:16415412:16416169:-1 gene:ORUFI12G14630 transcript:ORUFI12G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKKARRSTGSVSGRGGDDDDDDASAATGMWSISVPDDLLGMIAAQLDDVVDFVRFLGVCRTWRETGASSPAATKPNAFLPWLLAPHHRHDPLLHSRFVFSGTTRDCPWPPSLAAGPAQTMVIGASGRLLAVGASHEACFIDPLAGAVTPLISPLPRRLKLDGLTCTVTPDMSTVVVSSIPSPCSSRRVPAGETSNCKYINAYVKSDKTE >ORUFI12G14640.1 pep chromosome:OR_W1943:12:16419001:16428890:1 gene:ORUFI12G14640 transcript:ORUFI12G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEHLIAYLAKSLNSMHQKLSKREFLALIMVVYCWRPYLQKVEFVIRTCSHVIYKTISFCMTCPQNAMTKPNELQFMIQCKKVKRKECRSMPWLVLPHHLQSKLSQNANRVCLQEVISSEQLSFVFFRKMLKLVHK >ORUFI12G14640.2 pep chromosome:OR_W1943:12:16416625:16428890:1 gene:ORUFI12G14640 transcript:ORUFI12G14640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFLISPPLRDAPPPPSPVPLSVLAPREQQLRHQSSLHPFPWLRLLSWTSQVAPFLKKNDTFVAALKKELSEHIADVHVQNDFLDGMFTFCGATMAPAPLNAKERD >ORUFI12G14640.3 pep chromosome:OR_W1943:12:16423724:16428890:1 gene:ORUFI12G14640 transcript:ORUFI12G14640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQHLGTPQLRKNLIEITSIQIQRGLTGPGRRVRRSGRTQTYDKMTNSWAGILDVISSTLPLLFGLPAAEAVSHLFC >ORUFI12G14640.4 pep chromosome:OR_W1943:12:16416625:16427965:1 gene:ORUFI12G14640 transcript:ORUFI12G14640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFLISPPLRDAPPPPSPVPLSVLAPREQQLRHQSSLHPFPWLRLLSWTSQVAPFLKKNDTFVAALKKELSEHIADVHVQNDFLDGMFTFCGATMAPAPLNAKERD >ORUFI12G14640.5 pep chromosome:OR_W1943:12:16426322:16428890:1 gene:ORUFI12G14640 transcript:ORUFI12G14640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQHLGTPQLRKNLIEITSIQIQRGLTGPGRRVRRSGRTQTYDKMTNSWAGILDVISSTLPLLFGLPAAEAVSHLFC >ORUFI12G14650.1 pep chromosome:OR_W1943:12:16432233:16434718:1 gene:ORUFI12G14650 transcript:ORUFI12G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADESTGEIWTVVVGCTSADIRMHNLRLHRLRVDATSGRVLGRPGDLLRRLMRVAPADDEAEVFPDARAALITRDDDQRRLYLFCDRWLLSPGSGWGDSGQVATPISKPTVAMALDLSDRTLPTIFAMDLPSSSCALAWPVPAAAKIWAPYVAPIPGGRGRSRRLTMLHLDETSDDQYCWIDAGSIDLPQEDSSSSSTISMSASGTGYYSSIYGGYAMSGKTPLLQGFAVLGDVILVSLRTCDFYLFRCSTCHWSRVYLVGDCCHYIPLNGRAVFSEEDDHIYFFRDSCLYAYKFSLEEGKMAPPIKLASLYDDFSAHGNAFIAGLSNRVVEFGEDDLWLEEDTNDAVDSSSQVSLPCCRTFPKGSLEK >ORUFI12G14660.1 pep chromosome:OR_W1943:12:16438149:16438674:-1 gene:ORUFI12G14660 transcript:ORUFI12G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTEARLGDELRDGEASGAAADDGVGCATNETARRVCLCSLPCIVGPIKWWSGVGWWSGVGVGARRFTEHAQLMAALGSDGRDDNTVDMLSWRRASHAAATAVSSMGAGDEQKDLELRL >ORUFI12G14670.1 pep chromosome:OR_W1943:12:16439206:16439877:1 gene:ORUFI12G14670 transcript:ORUFI12G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQRRNIFFFDWYENSQIIHFEEFFRTQKKGKEVCNEERKQEHDKSVIKTRKKGKENNRRLKIYPLNNKIPKQFLWNPLF >ORUFI12G14680.1 pep chromosome:OR_W1943:12:16443860:16444129:1 gene:ORUFI12G14680 transcript:ORUFI12G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKCKNIPIPRERNVGTHNAVRTAERGPTAGPFLWHGRERGTAVWSDGVGGRHRHGPTPQKRGNAVASRIVRYQVTNAIPRVGSAASI >ORUFI12G14690.1 pep chromosome:OR_W1943:12:16444594:16445153:1 gene:ORUFI12G14690 transcript:ORUFI12G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSEPAQVLPSSFSLHLRRRRRLRRGRTDAAPVVAAARDLQWRQPSSLVSLLSVPCVVVLRPPPSSASSSSGPLHSAHPLHSSLLPKSTAPLRGRQLNSSPDLTV >ORUFI12G14700.1 pep chromosome:OR_W1943:12:16445189:16450278:1 gene:ORUFI12G14700 transcript:ORUFI12G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADWQKLAVEISVKPVPFKHPGPTSSAHGQQKNFGRVKQQRFSSYPSSSPSVLNVLEGAISGTDTLRSLPVGSSAAGKLLFFEQEIDGGYGLALQNILIIEGFKFNFNEDIMAYQALLGSKDQD >ORUFI12G14700.2 pep chromosome:OR_W1943:12:16445584:16450278:1 gene:ORUFI12G14700 transcript:ORUFI12G14700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFFNGVTISEEQARSGIVVRDSESPQPHKLLFFEQEIDGGYGLALQNILIIEGFKFNFNEDIMAYQALLGSKDQD >ORUFI12G14700.3 pep chromosome:OR_W1943:12:16445189:16445534:1 gene:ORUFI12G14700 transcript:ORUFI12G14700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADWQKLAVEISVKPVPFKHPGPTSSAHGQQKNFGRVKQQRFSSYPSSSPSVLNVLEGAISGTDTLRSLPVGSSAAGKVPPLPVL >ORUFI12G14710.1 pep chromosome:OR_W1943:12:16453382:16453858:1 gene:ORUFI12G14710 transcript:ORUFI12G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPSGKRRPLTPNWEDTGQGWGGWGGSGGLGLMKGVAGDGDDYARRRRRRRQGRWRLATEAAEGGGWTGGGGASGRRKRRRRRDGRRRRRRREXHRRRARSCSLSRAAPSLPPPAVPARVHQVEGVVEDGTSGRGRRRRHEAAAARVRGEWVRASFS >ORUFI12G14720.1 pep chromosome:OR_W1943:12:16454379:16454724:-1 gene:ORUFI12G14720 transcript:ORUFI12G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAEDDAAAVRSASPKHMTVGSGGVSRRWRTRQRPREAEGKAEEAEAEVAARGGEVEAEAAALGNGDDDGDSAMRRWQRDGEAEETRGGGSGRGSERDERESGRRRLPARI >ORUFI12G14730.1 pep chromosome:OR_W1943:12:16454395:16462444:1 gene:ORUFI12G14730 transcript:ORUFI12G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVVVNARDPLFYRCPDLEVANGMVERRVSEDGGRSGAKVDGDGQGIAAADPGRLAWHGSRDNDKRQRKWAANLGGTPPGSCIVLLHISLLSRVPNSAPKFCWLIVMPGWFSGWCCPGFQVQQLPSSKGTGLVFAMLLLLHQVR >ORUFI12G14740.1 pep chromosome:OR_W1943:12:16469090:16472176:-1 gene:ORUFI12G14740 transcript:ORUFI12G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCACFGGGGAERERRAEAELAESKEARAKAAEAAQRRQEEFDRSAAGRAAKAQMKAMKEAKTSSNQGEPVLKWQMGSLIMVDKSLTALDFREDSAESFSDV >ORUFI12G14750.1 pep chromosome:OR_W1943:12:16475368:16479586:1 gene:ORUFI12G14750 transcript:ORUFI12G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDARELPQGHGMDLRQDATARRWLNSLRDVAYDAEDLIESAVLQQQGDRYSAVNSVLHPISRYRSAQKVEEIRSRIRDMTAGPAMLPWHVSLDIELERPASAPSVVISWRSSSYHIGNNTIFGLEQDTDMIMERLLQPTEHREVIVIVGMGGIGKTTLASLVFNKARGVEERNTWSGQAPPKATDPGSSSKRSHFDVCAWVPVGQDPDTLHLFSTISIQIGANLDLSRDVAEIKHHMFTFLLDKRYLIVLDDVWREETWHELVDAFPMSTNGSKILMTTRSKVIAISADPASYPHELNPLSDEVSFHLFLSKVFPNSNLNQAMSYPPLMEDLGRQLSKKCGGLPLALVVLGGLLSAKEKKHDVWSSILNSMNWNDNEAEKQCLKILALSYDDLPYRMKLCFLYLGAFREESEISISKLTKLWIGDDLIPQQSGRRRKEDTATDYLNELIQRCLVQPVLLKHKQRSTRVRVHALLRELAISEGRENRFLYCEYSNQAVSEMEMETLSSFGSPSGTQ >ORUFI12G14760.1 pep chromosome:OR_W1943:12:16487179:16487805:-1 gene:ORUFI12G14760 transcript:ORUFI12G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRRKSSMGGGGGGGRGLRRVVSLPRSPMALSLAPRASLAKTESIKKRDKNNNKGSKRARLRAGLSAALHLGGGHRRAGRRGGGGDEGAGAVSSAAAPGVAVLLRAAADDADERPAAAAANVGHGGRDHASGGRGRSWAVAVAMVLVLACVVALGRGPAICCCTCAAWWCGGRAVDPACRRRWSSIGGGGGGRHSSVPPQAGCLKH >ORUFI12G14770.1 pep chromosome:OR_W1943:12:16491503:16493618:1 gene:ORUFI12G14770 transcript:ORUFI12G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFQGTTTKCMACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLANYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPEKTVENENAIKVSSAFAGTREKCVGCNKTVYPIERVTVNNTMYHKSCFKCCHGGCTISPSNYIAHEGKLYCKHHHIQLIKEKGNFSQLENDHEKASQSGSVEDEDSEY >ORUFI12G14780.1 pep chromosome:OR_W1943:12:16496637:16499282:-1 gene:ORUFI12G14780 transcript:ORUFI12G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPPVAVAGTVLEDLPEDALLAILALLAPTDAAAAACACRRLAAAASSPSLPLALALRLGLPPPRPLLPASAARLLRSLHRLRRLLGLWRRLPSSSFSGSGYRSTSSSSSLAAFEWAPGGTLAASLLAPSARGLAVAKSPFVTLSIDETGETVAAMGDVPVSVNFVGNNHIVVEAAAASSGDDDDEAAMEGGSPPEVMYMHFANRRSPGAGRKRRSKQGRRRGRAMEAEHFVRIADAEPTEARPLQGLWKGISESRTLEFYLVTYDDIGGITCRQVSDTRGQNSGFTPIFWTTNTTFLEQPFSEKELDHYIRREHIQGVDSDHAATENRAISRILCINSSYDVVDHHLSAPLDDMRNVEGRIWLYDDGTFGFGFSGSNSIIDLKHVSSDGCILDALH >ORUFI12G14790.1 pep chromosome:OR_W1943:12:16503997:16507290:1 gene:ORUFI12G14790 transcript:ORUFI12G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMSLEEEETMASPTTSSCGTCKCGANDDKAKKMKTKTKKCELVGYEELPEWLKDNEFIHGYYRCEWPMKETILSIFSIHNETLNVWTHLIGFLLFLCLAIFTAMVIPSGDNLHSNSSRSRSNATAMDYYYIHGDLMVMSNMTRVLRHEALAAAACLLLHDPADLSQHEQISTSCPTNTSSCYTSSSSFSHLHNVRQHAIQDAGKVTAATAAAIAEPITRWPVFAYLGGAMACLLASTACHLLLCHSERANYVTLRLDYAGIAALIVASFLPIVHYSFLCDPWLRRAYTAAIACAGAATVTASLVPAFQSPRLRPLRAALFSGLAASGVVPVAHKMVLYGGTVREAATSARCEAAMGALYALGVAVYAARVPERWFPGRFDLVGHSHQLFHLLVVAGAYAHYLGALEYLKWRDAVKC >ORUFI12G14800.1 pep chromosome:OR_W1943:12:16510156:16519364:1 gene:ORUFI12G14800 transcript:ORUFI12G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAIAAASAAVVALAVANRVLYKLALVPLKQYPFFLAQLTTFGYVAVYFSILYARYRAGVVTGDMLALPKRRLAAIGLLEALGLAAGMSAGAMLPGPAIPILSQSFLVWQLIFSALLLGRTYSMRQIIGCFLVASGVILAVASGANEGQFLSEVKFIWLALMVASSAFQAGASILKESVFIDGAKRLKGRRPDIFVVNSFGSGFQALFVFLLLPLLSNLKGIKFAELPAYLNGGAECFLNVDDSLIDCGGAPFLPLLFILVNMAFNIALLNLVKLSSALVASLTATSAVPISIYILSLPLPYIPHGAELSSSFILGGVVLLMGLIIYNLPQSSKKQSKIE >ORUFI12G14810.1 pep chromosome:OR_W1943:12:16513310:16518840:-1 gene:ORUFI12G14810 transcript:ORUFI12G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALDWSFCEPLLTVAISLMDRALFYFGGVKEKEKGSKKRFGGVDKEKILERLGHVRAIFDEFRHLVLTNLKIPFSYNLKEIWSFRIDIEGFEHWIEEIEYRGLVGQGRHPTFNILKAKITNKFAKSPDSYAIREGLDHLMRLADIAARIRYLRFEISVSSSRTTTPVAVGRGREKEDIEVVSRGREKEEIQLFGREREKEQIVQWLIKQPAENSESEIFSTDHIRLFAILGVAGMGKTALAKVACQEPIVSTIFDFVVWVQVPYDFTTETIAKIIMETVTSVSPEYYSLKFLQHALTGKRLLLVLDDTWEDESVKKWEALVATLSNCKRGSSILLTTRMQSVVDMAAEAVGSPAECLELDELGKSDNLLLFMSRLPSQVHSEGYYHLRLIGEQIAENTGGCPLVTEKVASWLGSCVENHHWNAVLQKGWQKLGLNAIFASSRLSYERLPSELQICFRYFSIFPKGYKFNKVELANMWISSGLIPFGLSKQDDTGLQHKKAAYLFSAEDVGEQYFAALVRKSFFCRLLETDPSNGNMKEYYVLHNLMHDCAQFVARNECARVDDNNFQDVRRTTVHLSIAHCGSLRAIPPITNLRTLIIQSEFCLDQEAELMLGEVLRKSARLRLLYLDVPSLSNALDEIPSLTQLRYLFLFSCDKSHIRSILKLCHLQVFKLKYFTGKQADLDGIRNMRFLRCLHVPDSMLSKILKTGMPTTLQELQEFEVAKNDGHMLSALSTLTNLKRLSLRNLQNVRNCKDAMEIKLKDKPDMMFLSLSWNKHSNDPEDIDHQIIDNLEPNKGIQQLHVYGYNGVQLPVWIETSFLIHLVSLELQYCMEWRTLPSFKELSSLKYLKLEHLFQLGSVIEEQSGSIESDNAFLPPLLNTLIVRWCPNLKKLPALPCTLEKLIIRHVGLAVLPRLHQAYASTCESLSVDSRLSLLHIESCAHLTSLDGLLDQQQHLQHLKTLLVRHCAKLCHLPANGFTELHHLNFLEIVACPMLRNVKTDSNLFPTSLNNLDINPCCHIEASVLMSLPNLTYLRRLSLVSCSSVEKLPSDEVFRTLKNLNDMLIARCESLLSLGGLGAAASLRTLSILYCDKIYSSSSPQAGCSFMLWKLKVDREAMLLVEPIKSLRYTLELHIGDDYAMDSLPEEWLLQNASSLRLIEIGVAKNLQTLPTQMEKLVSLQSLHIEKAPRIQFLPKLPFSLNKLTIWGCDPRFLKLYERNVGSDWGKIENIDHVDMKAYSEAIDHATGV >ORUFI12G14810.2 pep chromosome:OR_W1943:12:16513690:16518840:-1 gene:ORUFI12G14810 transcript:ORUFI12G14810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALDWSFCEPLLTVAISLMDRALFYFGGVKEKEKGSKKRFGGVDKEKILERLGHVRAIFDEFRHLVLTNLKIPFSYNLKEIWSFRIDIEGFEHWIEEIEYRGLVGQGRHPTFNILKAKITNKFAKSPDSYAIREGLDHLMRLADIAARIRYLRFEISVSSSRTTTPVAVGRGREKEDIEVVSRGREKEEIQLFGREREKEQIVQWLIKQPAENSESEIFSTDHIRLFAILGVAGMGKTALAKVACQEPIVSTIFDFVVWVQVPYDFTTETIAKIIMETVTSVSPEYYSLKFLQHALTGKRLLLVLDDTWEDESVKKWEALVATLSNCKRGSSILLTTRMQSVVDMAAEAVGSPAECLELDELGKSDNLLLFMSRLPSQVHSEGYYHLRLIGEQIAENTGGCPLVTEKVASWLGSCVENHHWNAVLQKGWQKLGLNAIFASSRLSYERLPSELQICFRYFSIFPKGYKFNKVELANMWISSGLIPFGLSKQDDTGLQHKKAAYLFSAEDVGEQYFAALVRKSFFCRLLETDPSNGNMKEYYVLHNLMHDCAQFVARNECARVDDNNFQDVRRTTVHLSIAHCGSLRAIPPITNLRTLIIQSEFCLDQEAELMLGEVLRKSARLRLLYLDVPSLSNALDEIPSLTQLRYLFLFSCDKSHIRSILKLCHLQVFKLKYFTGKQADLDGIRNMRFLRCLHVPDSMLSKILKTGMPTTLQELQEFEVAKNDGHMLSALSTLTNLKRLSLRNLQNVRNCKDAMEIKLKDKPDMMFLSLSWNKHSNDPEDIDHQIIDNLEPNKGIQQLHVYGYNGVQLPVWIETSFLIHLVSLELQYCMEWRTLPSFKELSSLKYLKLEHLFQLGSVIEEQSGSIESDNAFLPPLLNTLIVRWCPNLKKLPALPCTLEKLIIRHVGLAVLPRLHQAYASTCESLSVDSRLSLLHIESCAHLTSLDGLLDQQQHLQHLKTLLVRHCAKLCHLPANGFTELHHLNFLEIVACPMLRNVKTDSNLFPTSLNNLDINPCCHIEASVLMSLPNLTYLRRLSLVSCSSVEKLPSDEVFRTLKNLNDMLIARCESLLSLGGLGAAASLRTLSILYCDKIYSSSSPQAGCSFMLWKLKVDREAMLLVEPIKSLRYTLELHIGDDYAMDSLPEEWLLQNASSLRLIEIGVAKNLQTLPTQMEKLVSLQSLHIEKAPRIQFLPKLPFSLNKLTIWGCDPRFLKLYERNVGSDWGKIENIDHVDMKAYSEDPDSREGPNSRRYSMQFCSVVTNNHTSRFSMKP >ORUFI12G14810.3 pep chromosome:OR_W1943:12:16513853:16518840:-1 gene:ORUFI12G14810 transcript:ORUFI12G14810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALDWSFCEPLLTVAISLMDRALFYFGGVKEKEKGSKKRFGGVDKEKILERLGHVRAIFDEFRHLVLTNLKIPFSYNLKEIWSFRIDIEGFEHWIEEIEYRGLVGQGRHPTFNILKAKITNKFAKSPDSYAIREGLDHLMRLADIAARIRYLRFEISVSSSRTTTPVAVGRGREKEDIEVVSRGREKEEIQLFGREREKEQIVQWLIKQPAENSESEIFSTDHIRLFAILGVAGMGKTALAKVACQEPIVSTIFDFVVWVQVPYDFTTETIAKIIMETVTSVSPEYYSLKFLQHALTGKRLLLVLDDTWEDESVKKWEALVATLSNCKRGSSILLTTRMQSVVDMAAEAVGSPAECLELDELGKSDNLLLFMSRLPSQVHSEGYYHLRLIGEQIAENTGGCPLVTEKVASWLGSCVENHHWNAVLQKGWQKLGLNAIFASSRLSYERLPSELQICFRYFSIFPKGYKFNKVELANMWISSGLIPFGLSKQDDTGLQHKKAAYLFSAEDVGEQYFAALVRKSFFCRLLETDPSNGNMKEYYVLHNLMHDCAQFVARNECARVDDNNFQDVRRTTVHLSIAHCGSLRAIPPITNLRTLIIQSEFCLDQEAELMLGEVLRKSARLRLLYLDVPSLSNALDEIPSLTQLRYLFLFSCDKSHIRSILKLCHLQVFKLKYFTGKQADLDGIRNMRFLRCLHVPDSMLSKILKTGMPTTLQELQEFEVAKNDGHMLSALSTLTNLKRLSLRNLQNVRNCKDAMEIKLKDKPDMMFLSLSWNKHSNDPEDIDHQIIDNLEPNKGIQQLHVYGYNGVQLPVWIETSFLIHLVSLELQYCMEWRTLPSFKELSSLKYLKLEHLFQLGSVIEEQSGSIESDNAFLPPLLNTLIVRWCPNLKKLPALPCTLEKLIIRHVGLAVLPRLHQAYASTCESLSVDSRLSLLHIESCAHLTSLDGLLDQQQHLQHLKTLLVRHCAKLCHLPANGFTELHHLNFLEIVACPMLRNVKTDSNLFPTSLNNLDINPCCHIEASVLMSLPNLTYLRRLSLVSCSSVEKLPSDEVFRTLKNLNDMLIARCESLLSLGGLGAAASLRTLSILYCDKIYSSSSPQAGCSFMLWKLKVDREAMLLVEPIKSLRYTLELHIGDDYAMDSLPEEWLLQNASSLRLIEIGVAKNLQTLPTQMEKLVSLQSLHIEKAPRIQFLPKLPFSLNKLTIWGCDPRFLKLYERNVGSDWGKIENIDHVDMKAYSEAIDHV >ORUFI12G14820.1 pep chromosome:OR_W1943:12:16524927:16528333:-1 gene:ORUFI12G14820 transcript:ORUFI12G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAVFAGKAVVQPAITYLVKKIVDRIPDGDLCKRLQQKLPFVEAILYAVDRQQTLSNPSLSEVVWQLRDAIQEAEDALDTLEFLDLKSSANNRSKVSLSSLLSSISRKFHVADSSRATENLKDALKNLDAVLDNAGRSLPAIYPSSCSHGKAHIQDSASIQEVTKELRTTIFGRLKEKDAIIDWLGVLTPNIRDQKLSLCAIVGAGGMGKTTLAQFVCQDKKVQDHFANKIIWADVSKIFDPKVLVRKISGSFNRYKASADGLDTIMTDKFLLVLDDARDDAQSERWQQFLDLIRKNAPMGGKILLTTQIRPVANAVEGQMPPDTYKCLELGGLDQENTLKLFNHHAFGDLSPSDCFELQLIGEQIARKLKGCPLLARTIGRHLQGNTDHARWNTILNHDIHKVDDVATEIKKVLRLSYESLSNEVQVCFRYCSIFPPHYKFKMEEMVEMWKLHLPKTTVSRIAQIGSLTTLRELNGFSVKRTDGHKITELKDLRKLQKVIVLDVQNVIDHTEASDAELDKKSDLKVLSLEWCADQASCDGRILNKLVPDSNLKHLVISGYNGTRPPLWMESKYFSNLVYLKLDGCVEWDKLPPFGYLWTLKHLFLKNLPKLEYIASSSYSTVVYGYRDTSPDVLPPHLITFVVKNCLGLSELPSLPFSLRYLDIDRVGMSSLPTMCTHMGRRRVSLVESQLSILNVESCNLLVSLNGFLQEEHCRVLTVLSLVCCHMLISLPDASDFKRMSKLESIRIIECNRLSSLGGLEALSHLKILRIEHCANLVTTSSRLPPASDESTYLKLETLEIDDHQLLAISPIRNLCLTKKLIILEREKMAELPLEWLLQNRSHLEHIEISNAELLKSLPNMHEWHTLRSMLLHNTPLLQSLPLMPPNLWVLDINGCCNELHGECQSGGSEWSKICRIHSCNITPKI >ORUFI12G14830.1 pep chromosome:OR_W1943:12:16534282:16570509:-1 gene:ORUFI12G14830 transcript:ORUFI12G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTAPPAGEARSARVGNAGDGALSATPRPPGIGVWGMGGSKPVDWCDEEPERRRAQRRRTRPAAASVALAPPRPSLQDFAFSSSEHALSSMVEAAAIAFAKSSAVFVGKKVAETVISYVVNKALDRLPLENEDLKTKLKSKLSKTQAMLYGITLQEIQDNQGLVEWLWQFRDAIQEAEDALDELDFFDLEKVCNRKAESSSSLVPKFMRLQLSVSSNNSNSSRKNLKNALMRLESVFDDAANFRVVTGHGLHTSPQRNEGRIQDTTNRNETTRVLATPVFGRQKEKDEIIEWLGVEAPGRDSKLSVCAIVGGGGMGKTSLAQLVCQDKKVQDHFGDMIIWVHVPKRFEPVVLVARMLESINRNRVTASSLDILQLDLTKELVTKRFLLVLDDAWEDGENELWGQFLSPLRNIIAPMGGRILLTTRMGSVADAVKRQMPSNEYKCVVLGGLDHRDIMQILNHHVPPNEDLELRSVAEWIVHNLEGCPFVAKVIGQMEELTEMWVSSGLILQSTKGNSGQEKIAREHFNILLKKSFFSLIPRELHPDPSTDYYVMHDLIYELSCLVSSEEFSTFKVTKCNTADVSERVRHLYIEGINSEAINVISKSKYLRTLIIANEEWPLKAGLADNLKKAMKGITSLRLLKFDGHGWFDINDAIAELKHLRYICMSATNKSNLNKLFKLFHLEVLKLLKIEGEEQASVSDLCNLANLQKLYLPKPALSRVPHIGRLTNLRELNGLSVKKEEGHKISELKDLKNLRKVFVFDVENVSNCSEASLAELSNKNDMELLSLEWSNQHNRINERILDTVVPYKRIRHLRISGYKGVLPPLWIRRKVLTKLVRLKIVGCPKWDDLPSFASLSSLKHVLLEDLPNLKCIGGPDGDGLPPFLVTLVVKECLELLNLPHLPYSLKHLGINKVGISCLPTSSQMALQNVSTVDPQLCSLHVDSCPNLLSFGSCIVEEQHYKALTSLKVIGCSMLEKLPSEEHFRRISTMESIEILQCQSLSTLGGLGALASLKILKIQQCTHLTATSSGIPVAPAMRSSLVLDTLEIDDHLLLLQNPFRNFCLTRRLVSNGSEMLELPQEWLLQNSSQLEHIEINNANLLRSLPSTMDTLHSLRSLVLCNAPLLETLPAMPPNLWALQISGCCTRLKVGCKTNGSEWEKILPIHKAREYSISPLEEKMVPNSSGLIWQAGRRGWHLASICHLCSRGEETLLTSFKVVLTHKKCASIRGWLGLCCSTPMESLPSWWCDARKAIKKRDRRAFDAGIILVTWLIWKQRNARVFEGHTVLPVNLCAAIEDEWKLLFHCGSPLLFQLCRQPHLRATAEALDRAEEASQVRSQMSSNRCYKRDPRKMTASGQNFLDLTSLDKGLLEKQQYLQSLKTLLVRHCENLRHLPANGLTELHHLTSLEIVACPMLRNVEAKGNLWPMSLKKLDINPCGHIEDSVLMSLQDLTSLRNWVKIKDIAHVDMKAYSEDSSDDDDKIQYFDDSTTNPSPRFVSPIAARVPDQMGYGVMPTGLSSGFDMRP >ORUFI12G14830.2 pep chromosome:OR_W1943:12:16534238:16570509:-1 gene:ORUFI12G14830 transcript:ORUFI12G14830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTAPPAGEARSARVGNAGDGALSATPRPPGIGVWGMGGSKPVDWCDEEPERRRAQRRRTRPAAASVALAPPRPSLQDFAFSSSEHALSSMVEAAAIAFAKSSAVFVGKKVAETVISYVVNKALDRLPLENEDLKTKLKSKLSKTQAMLYGITLQEIQDNQGLVEWLWQFRDAIQEAEDALDELDFFDLEKVCNRKAESSSSLVPKFMRLQLSVSSNNSNSSRKNLKNALMRLESVFDDAANFRVVTGHGLHTSPQRNEGRIQDTTNRNETTRVLATPVFGRQKEKDEIIEWLGVEAPGRDSKLSVCAIVGGGGMGKTSLAQLVCQDKKVQDHFGDMIIWVHVPKRFEPVVLVARMLESINRNRVTASSLDILQLDLTKELVTKRFLLVLDDAWEDGENELWGQFLSPLRNIIAPMGGRILLTTRMGSVADAVKRQMPSNEYKCVVLGGLDHRDIMQILNHHVPPNEDLELRSVAEWIVHNLEGCPFVAKVIGQMEELTEMWVSSGLILQSTKGNSGQEKIAREHFNILLKKSFFSLIPRELHPDPSTDYYVMHDLIYELSCLVSSEEFSTFKVTKCNTADVSERVRHLYIEGINSEAINVISKSKYLRTLIIANEEWPLKAGLADNLKKAMKGITSLRLLKFDGHGWFDINDAIAELKHLRYICMSATNKSNLNKLFKLFHLEVLKLLKIEGEEQASVSDLCNLANLQKLYLPKPALSRVPHIGRLTNLRELNGLSVKKEEGHKISELKDLKNLRKVFVFDVENVSNCSEASLAELSNKNDMELLSLEWSNQHNRINERILDTVVPYKRIRHLRISGYKGVLPPLWIRRKVLTKLVRLKIVGCPKWDDLPSFASLSSLKHVLLEDLPNLKCIGGPDGDGLPPFLVTLVVKECLELLNLPHLPYSLKHLGINKVGISCLPTSSQMALQNVSTVDPQLCSLHVDSCPNLLSFGSCIVEEQHYKALTSLKVIGCSMLEKLPSEEHFRRISTMESIEILQCQSLSTLGGLGALASLKILKIQQCTHLTATSSGIPVAPAMRSSLVLDTLEIDDHLLLLQNPFRNFCLTRRLVSNGSEMLELPQEWLLQNSSQLEHIEINNANLLRSLPSTMDTLHSLRSLVLCNAPLLETLPAMPPNLWALQISGCCTRLKVGCKTNGSEWEKILPIHKAREYSISPLEEKMVPNSSGLIWQAGRRGWHLASICHLCSRGEETLLTSFKVVLTHKKCASIRGWLGLCCSTPMESLPSWWCDARKAIKKRDRRAFDAGIILVTWLIWKQRNARVFEGHTVLPVNLCAAIEDEWKLLFHCGSPLLFQLCRQPHLRATAEALDRAEEASQVRSQMSSNRCYKRDPRKMTASGQNFLDLTSLDKGLLEKQQYLQSLKTLLVRHCENLRHLPANGLTELHHLTSLEIVACPMLRNVEAKGNLWPMSLKKLDINPCGHIEDSVLMSLQDLTSLRNWVKIKDIAHVDMKAYSEEPDCSKGARPNGLWSDAYRPQQRVRYEAMKSKTGLDGDHLQRL >ORUFI12G14830.3 pep chromosome:OR_W1943:12:16534282:16570509:-1 gene:ORUFI12G14830 transcript:ORUFI12G14830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTAPPAGEARSARVGNAGDGALSATPRPPGIGVWGMGGSKPVDWCDEEPERRRAQRRRTRPAAASAREYSISPLEEKMVPNSSGLIWQAGRRGWHLASICHLCSRGEETLLTSFKVVLTHKKCASIRGWLGLCCSTPMESLPSWWCDARKAIKKRDRRAFDAGIILVTWLIWKQRNARVFEGHTVLPVNLCAAIEDEWKLLFHCGSPLLFQLCRQPHLRATAEALDRAEEASQVRSQMSSNRCYKRDPRKMTASGQNFLDLTSLDKGLLEKQQYLQSLKTLLVRHCENLRHLPANGLTELHHLTSLEIVACPMLRNVEAKGNLWPMSLKKLDINPCGHIEDSVLMSLQDLTSLRNWVKIKDIAHVDMKAYSEDSSDDDDKIQYFDDSTTNPSPRFVSPIAARVPDQMGYGVMPTGLSSGFDMRP >ORUFI12G14830.4 pep chromosome:OR_W1943:12:16538899:16570509:-1 gene:ORUFI12G14830 transcript:ORUFI12G14830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTAPPAGEARSARVGNAGDGALSATPRPPGIGVWGMGGSKPVDWCDEEPERRRAQRRRTRPAAASVALAPPRPSLQDFAFSSSEHDSSDDDDKIQYFDDSTTNPSPRFVVID >ORUFI12G14840.1 pep chromosome:OR_W1943:12:16591056:16594276:-1 gene:ORUFI12G14840 transcript:ORUFI12G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASKSVIEQRPPARHYTRKGRRARTNSRSIMPEAPKSRLNDSRGHMTDFSMSEVVHFETSSNHGKSEHSKTFHLTQMQWHHSQRDSNGCCKEDAWFDSVSILEDDSDDEFKSVNGDWPDDDNQMKSENASRFADALSRIGDLCRGVPMTLSVEKYLKRDNGNDPDRRSQSMAMCATRCLPSSFSFKGLKEANDADEKSKECSTPSRIRKLLHSFSFNDKMQQLTCGSPAKKKSTVIRLSYKRTSCDDYEDDSELGESEKYVVRPKGGTTILYGGEKPTSGCWSRIDPSLFKLRSETFLKDKKKCAAPNYAAYYPIGVDLFASHKKVQHIAQHIELPQVKPHDKLPSLLIVNIQMPTYPAAMFLGDSNGEGLSLVLYFKISEYFDKEVSEHFKESIMRFIENESEKVKGFASESTIPYRDRLKIMAGLVNPEDLQLSSTERKLVQAYNEKPVLSRPQHNFFEGENYFEVDLDIHRFSYIARKGLESFRERLNNGILDLGLTIQAQKQDELPEQVLCCVRLNKIDFINHGQIPTIVTLDDK >ORUFI12G14850.1 pep chromosome:OR_W1943:12:16609619:16616674:1 gene:ORUFI12G14850 transcript:ORUFI12G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSQQDGGGGGERLFHGGGAGDGDGGGGGGAAAAAAARQVWVPGPVIVGAGPSGLATAACLKARGVPSLVLDKDATVAASWRERTYERLRLHLPRGFCELPLAPPFPPGTPPYPTRDQFVAYLDAYARAFAVEPRLGSRVRAASYDAAIGFWRVAAVDEAGGGGAGGETEFLSRWLVVATGENAVAAWPAEGVGAYRGAVMHTSSYKRGDEFAGKKVLVVGCGNSGMEVSLDLCNNGAATSMVVRDKIHVLPREILGISTFGLSVFLLKWFPIKWVDALLLIFSRLILGNIEKYGLRRPKIGPLQIKCSTGKTPVLDIGALKKIKNGEIKVVPAIHCFTEDGVEFVNGCREDFDAVIFATGYKSNVPSWLKEEEFFSESDGFPRKAFPHSWRGKNGLYATGFTKRGLQGTSYDAAMIAADIARRWTKSLAGPTAAADADHHETYIAN >ORUFI12G14860.1 pep chromosome:OR_W1943:12:16618648:16621361:-1 gene:ORUFI12G14860 transcript:ORUFI12G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDDDVESPLLAAAADADHHDVDNSHPAAGSSFALACAVAASLTSIIYGYNRGVMSGAQKFVQLDLGVSDAEIEVLIGATSIYSLVGSLAAGGAGDRAGRRRTIALSAAMFLAGSAATAAASGYAALMAGQLVAGVACGFGLVVAPVYIAEIAPPSSRGFLASIPEIAGNSGILLSYIADFALAGLPMSLNWRLMIGIGAVPPLFLAAAALLAMPETPRWLVLHGHHDDARQVLVRTTGGDAALAERRLQEIVSSVKESATKQQLSSAAAAGGGGASTGVWRDILVRPTPAVRRVLFAILGLQFFQQASGVAAMVLYAPRVFNHVGVTSERAVLGATVLLGATKTASIVVPLFLADRLGRRPMLLSSAGGMAVSLLVLGFSLRVSSSSGSGSEWWAAATSVAAAAAFMATFSLGFGPVIWMYGSEILPLRLRAQGTGIGTAANRVMSAAVGMSFISLYEAAGMAGTFYLFAACSAAAWVFVYACLPETKGRSLEEMEALFDAAHPSSPPPAS >ORUFI12G14870.1 pep chromosome:OR_W1943:12:16633648:16635475:1 gene:ORUFI12G14870 transcript:ORUFI12G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSSGLILQSTKGNSGQEKIAREHFNSGSGTLGDLTAREYSISPLEEKMVPNSSGLIWQAGRVVRASLLNADGESSLLVV >ORUFI12G14870.2 pep chromosome:OR_W1943:12:16633648:16635180:1 gene:ORUFI12G14870 transcript:ORUFI12G14870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSSGLILQSTKGNSGQEKIAREHFNSGSGTLGDLTAREYSISPLEEKMVPNSSGLIWQAGVRQKSRPFP >ORUFI12G14880.1 pep chromosome:OR_W1943:12:16653375:16661361:-1 gene:ORUFI12G14880 transcript:ORUFI12G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRETLDFSSRCQGVGRRPKILKEVSARESAAGIIPDADIDIYMKAISVEASKRSLQTDYILKIMGLEICADTMVGDAMIRGLSGGQKKRLTTAEMIVGPARAYFMDEISNGLDSSTTFQIISCFQQLTNISEYTMVISLLQPTPEVFDLFDDLILMAEGKIIYHGPRNEALNFFEECGFICPERKEVADFLQEILSCKDQQQYWSGPNESYRYISPHELSSMFKENHRGRKLEEPIVSPKSELGKEALAFNKYSLQKLEMFKACGAREALLMKRSMFVYVFKTGQLAIIALVTMSVFLRTRMTTDFTHATYYMGALFFSILMIMLNGTPEISMQIRRLPSFYKQKSYYFYSSWAYAIPASVLKVPVSILDSLVWICITYYGIGYTASVSRFFCQFLMLCFVHQSVTSLYRFIASYFQTPTASFFYLFLALTFFLMFGGFTLPKPSMPGWLNWGFWISPMTYAEIGTVINEFQAPRWQKETIQNITIGNRILINHGLYYSWHFYWISIGALFGSIILFYIAFGLALDYITSIEEYHGSRPIKRLCQEQEKDSNIRKESDGHSNISRAKMTIPVMELPITFHNLNYYIDTPPEMLKQGYPTKRLQLLNNITGALRPGVLSALMGVSGAGKTTLLDVLAGRKTGGYIEGDIRIGGYPKVQETFVRILGYCEQADIHSPQLTVEESVTYSAWLRLPSHVDKKTRSEFVAEVLETVELDQIKDVLVGTPQKNGLSMEQRKRLTIAVELVSNPSVILMDEPTTGLDTRSAAIVIRAVKNICKTGRTVVCTIHQPSTKIFEAFDELILMKNGGKIIYNGPIGERSSKKISGVLKVKSNCNPAAWMMDVTSTSMEVQHNMDFAILYDESSQHRDIVELVEKLSIPIPNSEILSFSHRFPRNGWIQLKACLWKQNLTYWRSPEYNLRRIMLTVISALVYGVLFWKRAKILNDEQDLFNVFGAMYLGSTTIGSYNHQSIIPFSTTERIVMYREKFAGMYSSWSYSFAQAAIEIPYVFIQVVLYTLIIYPSIGYYWTTHKFIWFFYTTFCSSLSYIYVGLLLVSLTPNVQVATILASFFNTMQTLFSGFILPAPQIPKWWVWLYYLTPTSWTLDALLTSQYGNIEKEVRAFGETKSVSIFLNDYFGFHKDKLSLVAAVLIAFPFVLIILFSFSIEKFNFQKR >ORUFI12G14880.2 pep chromosome:OR_W1943:12:16653375:16661361:-1 gene:ORUFI12G14880 transcript:ORUFI12G14880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRETLDFSSRCQGVGRRPKILKEVSARESAAGIIPDADIDIYMKAISVEASKRSLQTDYILKIMGLEICADTMVGDAMIRGLSGGQKKRLTTAEMIVGPARAYFMDEISNGLDSSTTFQIISCFQQLTNISEYTMVISLLQPTPEVFDLFDDLILMAEGKIIYHGPRNEALNFFEECGFICPERKEVADFLQEILSCKDQQQYWSGPNESYRYISPHELSSMFKENHRGRKLEEPIVSPKSELGKEALAFNKYSLQKLEMFKACGAREALLMKRSMFVYVFKTGQLAIIALVTMSVFLRTRMTTDFTHATYYMGALFFSILMIMLNGTPEISMQIRRLPSFYKQKSYYFYSSWAYAIPASVLKVPVSILDSLVWICITYYGIGYTASVSRFFCQFLMLCFVHQSVTSLYRFIASYFQTPTASFFYLFLALTFFLMFGGFTLPKPSMPGWLNWGFWISPMTYAEIGTVINEFQAPRWQKETIQNITIGNRILINHGLYYSWHFYWISIGALFGSIILFYIAFGLALDYITSKMTIPVMELPITFHNLNYYIDTPPEMLKQGYPTKRLQLLNNITGALRPGVLSALMGVSGAGKTTLLDVLAGRKTGGYIEGDIRIGGYPKVQETFVRILGYCEQADIHSPQLTVEESVTYSAWLRLPSHVDKKTRSEFVAEVLETVELDQIKDVLVGTPQKNGLSMEQRKRLTIAVELVSNPSVILMDEPTTGLDTRSAAIVIRAVKNICKTGRTVVCTIHQPSTKIFEAFDELILMKNGGKIIYNGPIGERSSKKISGVLKVKSNCNPAAWMMDVTSTSMEVQHNMDFAILYDESSQHRDIVELVEKLSIPIPNSEILSFSHRFPRNGWIQLKACLWKQNLTYWRSPEYNLRRIMLTVISALVYGVLFWKRAKILNDEQDLFNVFGAMYLGSTTIGSYNHQSIIPFSTTERIVMYREKFAGMYSSWSYSFAQAAIEIPYVFIQVVLYTLIIYPSIGYYWTTHKFIWFFYTTFCSSLSYIYVGLLLVSLTPNVQVATILASFFNTMQTLFSGFILPAPQIPKWWVWLYYLTPTSWTLDALLTSQYGNIEKEVRAFGETKSVSIFLNDYFGFHKDKLSLVAAVLIAFPFVLIILFSFSIEKFNFQKR >ORUFI12G14880.3 pep chromosome:OR_W1943:12:16653375:16661361:-1 gene:ORUFI12G14880 transcript:ORUFI12G14880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRETLDFSSRCQGVGRRPKILKEVSARESAAGIIPDADIDIYMKAISVEASKRSLQTDYILKIMGLEICADTMVGDAMIRGLSGGQKKRLTTAEMIVGPARAYFMDEISNGLDSSTTFQIISCFQQLTNISEYTMVISLLQPTPEVFDLFDDLILMAEGKIIYHGPRNEALNFFEECGFICPERKEVADFLQEILSCKDQQQYWSGPNESYRYISPHELSSMFKENHRGRKLEEPIVSPKSELGKEALAFNKYSLQKLEMFKACGAREALLMKRSMFVYVFKTGQLAIIALVTMSVFLRTRMTTDFTHATYYMGALFFSILMIMLNGTPEISMQIRRLPSFYKQKSYYFYSSWAYAIPASVLKVPVSILDSLVWICITYYGIGYTASVSRFFCQFLMLCFVHQSVTSLYRFIASYFQTPTASFFYLFLALTFFLMFGGFTLPKPSMPGWLNWGFWISPMTYAEIGTVINEFQAPRWQKETIQNITIGNRILINHGLYYSWHFYWISIGALFGSIILFYIAFGLALDYITSIEEYHGSRPIKRLCQEQEKDSNIRKESDGHSNISRAKMTIPVMELPITFHNLNYYIDTPPEMLKQGYPTKRLQLLNNITGALRPGVLSALMGVSGAGKTTLLDVLAGRKTGGYIEGDIRIGGYPKVQETFVRILGYCEQADIHSPQLTVEESVTYSAWLRLPSHVDKKTRSEFVAEVLETVELDQIKDVLVGTPQKNGLSMEQRKRLTIAVELVSNPSVILMDEPTTGLDTRSAAIVIRAVKNICKTGRTVVCTIHQPSTKIFEAFDELILMKNGGKIIYNGPIGERSSKKISGVLKVKSNCNPAAWMMDVTSTSMEVQHNMDFAILYDESSQHRDIVELVEKLSIPIPNSEILSFSHRFPRNGWIQLKACLWKQNLTYWRSPEYNLRRIMLTVISALVYGQIPKWWVWLYYLTPTSWTLDALLTSQYGNIEKEVRAFGETKSVSIFLNDYFGFHKDKLSLVAAVLIAFPFVLIILFSFSIEKFNFQKR >ORUFI12G14890.1 pep chromosome:OR_W1943:12:16663518:16664991:-1 gene:ORUFI12G14890 transcript:ORUFI12G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSHHPEFASCTANDDEHHLDEFELELVVQDVQRQQNNGSANTDQHERENLLLLDDSSKSGALKRRLFFDNLLKNVQDDHIRFLHRQKERIDRHGLVKLLGLETERAKINVLEDVSGIIKPCRLTLLLGPPGCGKSTLLRALSGKLDKSLKVPTSSSFHK >ORUFI12G14900.1 pep chromosome:OR_W1943:12:16673543:16675913:-1 gene:ORUFI12G14900 transcript:ORUFI12G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLITSLLFSLPQQWQPVVLTGLLPVIVSLVLLARKGRLKMPPGPEQVPLLGNLHQLAGPQPHRALRDLARVHGPVMRLRLGKASAVVLTSAEAAWEALRGHDLDCCTRPVSAGTRRVTYGMKNVAFAPYGAYWREVRKLLMVELLSARRVKAAWYARHEQVEKLLSTLRRAEGKPVALDEHILSLSDGIIGTVAFGNIYGSDKFSQNKNFQHALDDVMEMLSGEGSSAEDLQLPAAVGRLVDRLTGFAARRERIFRQLDSFFEMVIEQHLDPNRAPPENGGDLVDVLIGHWKKNEPRGTFSFTKDNVKAIIFLARKPRVLKKVQAEIRAAVGVNGRVQPDDITKLSYLRKVVKETLRLHPPTPLLLPRETMRHIQISGYDVPAKTRIYVNAWAIGRDPASWPDEPEEFNPERFEANEIDFKGEHPELMPFGTGRRICPGMAMAMANVEFTLANLLFAFQWSLPEGTTPDNVCLEEEGRLVCHRKTPLVLVPTVYRHGLE >ORUFI12G14910.1 pep chromosome:OR_W1943:12:16690158:16691351:-1 gene:ORUFI12G14910 transcript:ORUFI12G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRKRAKVEKPHCSRVVYVILEGGKSRPACSMCASRIGARQAAQLWQTGHRWREESHQGVQAGGVEEPPLFEAECVHGQIEMRVKKRKRERELLRIALEGAIVESPQAVPISVRGADLESGEGSSDSVTSDAGSAKAAADDVVKSPKAVNEFVRRVSPATVGRSIDWDLVRDNDSSKGAARWVSPGSGERRSGGDADGGSGRARWQSARQAPMASVGRGVVAAVEVAG >ORUFI12G14920.1 pep chromosome:OR_W1943:12:16695727:16699405:-1 gene:ORUFI12G14920 transcript:ORUFI12G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLAPCTSINPSSYTRNTQSFIKKYKKYTSSTIVLSAAIAMEINNLVQLPTYMREANRGLFEPRVVSIGPYHHGNKSTSNMEVHKNRFCRSFLQRLGNVSHQDAIDHCIEGAFRCYSGNVGLYTADMLTRDGCFIVELLLQWREGDHAHVDNHMRLMSNSIYYDLLLVDNQIPFFVLDRLFNEFRRHMGANPVFNNDSQLVDLVTEFFNNRQGQFSWANLDQLRLPDATNQQIRHLLDLQYKLVINNNMGIEPNNRNCPFSLCINICPNTIVPRGIPAASELQDNGVRFRVRGLSEQVKMFDATFQGKIIRIPRFQINFGSKILLANLFAYDQIKGEPADNGAAVGPVTSYVVLMNALINTREDVAVLQRKGILDNLLSNEDEVASFFNELGRCALVDVSNHRYTSMFEDVNRYWRNGFCCKYFAICCMRHCKNPLTCLSLLAAFLLLSFSCTSMVFAILKYYTRG >ORUFI12G14930.1 pep chromosome:OR_W1943:12:16701031:16702319:-1 gene:ORUFI12G14930 transcript:ORUFI12G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGCVDGLQRRPRGGLATACHSVDGLRSASLLRRGRPSTARWQRCSDDGGGVSCVIREPEFLSTEVLIRPCWLSTPIGDDA >ORUFI12G14940.1 pep chromosome:OR_W1943:12:16702362:16717537:-1 gene:ORUFI12G14940 transcript:ORUFI12G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLVAWGLATRQPLFVTVPLGLRRVRSRTGRRSYKASAPPKKNGAGDDVDGIFVTGCHAVAYSGKQQDLFKLCSAGHLWQAHDLCQVRMYNPQIIIELALKQCPVCASFLNGNNSTIAMEVPEIAVEVAPEIIIAVEMPEAINTTIVRLPMYMQEANKGLFKPRVVSIGPYHYGQGSTLDMETHKDRFHHAFFQRLGNHVNHQDLIAQCTEGAMQCYNGNVDFRLYTLEKLMHDGCFILELLIQWEEGEHAHVDDHMRLMSNSIYYDLLIVDNQVPFFVLARLFEEFRRYNGEHPIVLVNTPLVNLISNFFNYDGQFSWVHSNLLNEDLPNANHRHLLEIQYNLVIRRNNNRNNNDEQMHYYSCLCGLCSRNICHKSPMPLGIPGANELQDYGVKFHQKENHRITDIFDVTFSHKTMSIPQFKINFGSKILLANLFAYDQIAGQQGRNNNGVVVGPVTSYVALMNALINTKKDVMVLQREGILDNLLSNEEEVASFFNRLGRCALVDVSNHRYTGMFEDVNRYWRYGCCCKHFVTFRMKHCRNPWTCLSLMGAILLLFISLISMIYTILQYYNRLESGGSNTATIGRGGSIVLGLGRGDDDGERVICIGPYHRSNEGTLGIEDYKERFLQGFFQRFGNTMAMEMMTNTTIVRLPAYMREANKGLFEPRVISIGPYHRSNESTHDMEAYKERFLRQCFAPPLGHMNLEQCIQDIARNSLAEALENYSGNVGDYTAEMLTLDGCFIIELLIRWNMGRLNHDSYVRSMRNSIYYDLLLVDNQIPFFILSRLFHKLKGDEELDNADVENELLTLAKKFFNHEGQFSWAKSPGLLDLSNASEVRHLLDLQYKLIISTNDTTISIDQTDNSYLRGIPGANELEDYGVKFYQDEDEHTKMFDVKFEGTNMMIPRFEINFGSKILLANLFAYDQSRDNVRPHQGDQTEDQPDNTVGLVTSYVVLMNALINTKRDVMVLQREGILDNLLSSEEEVASFFNNLGRCALVDVTKHHYTTMFNNVNRYCRNPFSLGRHLVILRRKHFSNPWTFFSLVGALMLLGFSFTSMLFTILNNARAAPLASSSIAARRGQAWEQRICRRRRSRA >ORUFI12G14950.1 pep chromosome:OR_W1943:12:16718697:16719951:-1 gene:ORUFI12G14950 transcript:ORUFI12G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWNGAAEAAARASAALVVSKLASKKRNTLRVAGFPGSIESVLLLLYIADEECNLLGLLIIKKLARDHDNCSKIGYAIRLLDKIIDFSAIGGGASGAGSAVITPSCAKAVKRSLQVIKMLAETTGSTGKLLRREVAEIVFTVSNIVRAVLQHEAGHLELQRLGAEVRRGRVGRSLPPPPRLRFHSTPHRSHAASAGLILPSPLSATERSSAAASSSKCRFDGGYVTR >ORUFI12G14960.1 pep chromosome:OR_W1943:12:16720924:16722804:-1 gene:ORUFI12G14960 transcript:ORUFI12G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDVEARLLAASSKPATAAAASLPRRNKYPFFCAVLASMTSVLMGYNVAVTSGAQIFMAEDLGVSDAQIEVLSGAINIYSLVGALLAGWTSDRLGRRLTIVLTNGFFLAGPLVMSLAGGYAALMAGRFVAGIGVGYALVIAPVYAAEISPASSRGLLSSLPEIFINGGVMLSYVSNFAFSGLPVHLSWRLMFAAGVVPTVFLAAGVLTMPESPRWLAMKGRRGEARVVLDRTSDTPAEAEQRLQEIEDVVAAAGSVAGNGNGGGGAWKEVATKPGVRRVLAIVLTLQFFQQASGIDSVVLYGPRVLAAAGVASNTLLLGLNVVFGVAKASSILVAMALTDRVGRRPLLLASTGGMTASLLALGSVFAAFGGARDDAAVAAGAAVAVVVAFVCAFSVGIGPLAWVYSSEILPLRLRGQGAGVGTAMNRVVSGVVTMTFISLYGAITMAGAFYLYAAIAAASFVFIYACLPETRGRSLEDMEELFHTK >ORUFI12G14970.1 pep chromosome:OR_W1943:12:16731807:16732127:-1 gene:ORUFI12G14970 transcript:ORUFI12G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKMRGSDGAGAPDQEGLPPRRPTATATATATGSNGELAHAMGVDLDLSDKPAGLDVRSHRYTFLAKDDARWV >ORUFI12G14980.1 pep chromosome:OR_W1943:12:16735004:16735600:-1 gene:ORUFI12G14980 transcript:ORUFI12G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMGLERKAKVAEVALRCAVCALAALAAALVGTGSQTRTFFSLEKKARFTDMKALVLLVAAHGAAAVYSLLQLARCAAAAAWKGGSNGGAAVVAWSVFSCDQAVAYALMAATAAALQSSVVGKRGQPELQWMPVCGLYGAFCRRVGEGLAAAVAAGLAAVLLAAVSAFNLFRLYGGGGGGRKSSAGAVSGNGANTW >ORUFI12G14990.1 pep chromosome:OR_W1943:12:16738890:16742272:-1 gene:ORUFI12G14990 transcript:ORUFI12G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPWAQPSIHSAFSPPTGQTARPGLHYSQKSQIQSNQNPTQLRRGGRTNSGYSPPPPPPPPSAGGGGARSPPRPTSRIRVCFVFARREEDGDLIGSQLPPAATAPADVLRRGRGRSSGGGAGDAAAAEAHGAADLAVASLLGAGRLAGDAGAGFEFRPGARLRHRERGGPPVGPPPPAASGGGGVVGGIGVGVRIVDKEKEVGNGIPKLQVIRGSSRLDRMSLREVARERVDLVAEKMKVMPEEHLEDMKNELRSILEGTGGSHHIEEFLYLQKFVQSRGDLTQTMLSMAHHVQLEILVAIKTGIQAFLHPSVTIPQNRLVEVFLYKRCRNIACQSALPAEECRCNVCSNRNGFCNLCMCVICNKFDFEVNTCRWIGCDFCSHWTHTDCAIRDGQIGMGQSIKSGTGHAEMLFRCQACQKTSELLGWVKDVFQQCAPGWDRDALLRELEFVCKIFRLSEDSKGRKLFRKCADLIERLRNSPADAINPRMILQVLQELELDSPKSTENEEIGRLITPQEACNRIAEVVHEAVKKMELVAEEKMRLYKKARIAVEACDRELDEKARELQELKAERLRKKQQVDELESIVRLKQAEAEMFQLKANEARQEADRLQSIALAKSERAEQDYASLYLKRRLEEAEAEKQFLFEKIKYQETQRPMQASGSGAGDSSQTPMLSKIHDLLKNVRSIPPKTEGHQ >ORUFI12G15000.1 pep chromosome:OR_W1943:12:16746070:16751749:-1 gene:ORUFI12G15000 transcript:ORUFI12G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGASRRSVCTAAAAAAAGSRRRAAAGVASAVSGDSSVSSSSAPPRSVINGEPGVPQLQKRLLSVLAAPKLTGTDNAASLKLREGSLVGRRYESSAAAVDSSDTPPVEKHEYQAEVNRLMDLIVHSLYSNKEVFLRELVSNASDALDKLRYLSVTDPDLIKDGAGLDIRIQTDKENGIITITDTGIGMTRQELVDSLGTIASSGTAKFLKALKESQEAGVDSNLIGQFGVGFYSAFLVSDKVAVSTKSPKSDKQYVWEGEAESSSYTIREETDPEKLLPRGTRLTLYLKREDKGFAHPEKIQKLVKNYSQFVSFPIYTWQEKGYTKEVEVDEPVEAKEGDDETKTEVKKKTKTVVEKYWDWELTNETQPIWLRNPREVSTEEYNEFYKKTFNEYMDPLASSHFTTEGEVEFRSILYVPATKKEDITDRKTKNIRLYVKRVFISDDFDGELFPRYLSFVRGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISCSENRDDYERFWENYGKFLKLGCMEDKENHKRIAPLLRFFSSQSNEELISLDEYVENMKPEQKDIYYIAADSLSSAKHAPFLEKLNEKEYEVLFLVDPMDEVSVTNLNSYKDKRFVDISKEDLDLGDKNEEKEKEIKQEYSQTCDWIKKRLGEKVARVDISNRLSSSPCVLVAAKFGWSANMERLMRAQSMGDMSSLDFMRSRKVFEINPEHEIIKGLNAACRANPDDPEALKAVDILFETSMISSGFTPDNPAELSGKIYEMMSTAMASKWASHAQPAEMNLQRDSPVSSEPIEAEVIEPELVDDSQKK >ORUFI12G15010.1 pep chromosome:OR_W1943:12:16752975:16756649:-1 gene:ORUFI12G15010 transcript:ORUFI12G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRSPEPLDFFIWTVEDVGLWLEEINLGSYRQVFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPSCLSVVFVRAAKRNRQSRVVSLKLEP >ORUFI12G15020.1 pep chromosome:OR_W1943:12:16772069:16777239:1 gene:ORUFI12G15020 transcript:ORUFI12G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGDARRRKEEGEEDGFSSDGGDTTDAESSDERAGAGAGRRAVPGANPILSRLAVSRNPSPLAAATAAPGVCLLRFAWESAAGSLVGAVVGYGKGLVTTKGFKGSFADAASSAKIFAVLAGVQSLVACTLRQLRGKDDVLNAGIAGCCTGLALSFPGAPQTMIQSCLTFGTFSYIIEMLNKQEPALARPSITGTKDLKAGHRVLPPFTLPLPQEAMEGFSSFQNFLSKFQGK >ORUFI12G15030.1 pep chromosome:OR_W1943:12:16778128:16779152:-1 gene:ORUFI12G15030 transcript:ORUFI12G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASAAAEGTTTAPAVAERVSHSSSGSWGRPQLRWGQRWSVGMAAAREELTPTAAIHARRRSKKPEDRIKNRHGPACRMLQKDEGAKGEAYL >ORUFI12G15040.1 pep chromosome:OR_W1943:12:16810682:16813627:-1 gene:ORUFI12G15040 transcript:ORUFI12G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPDCGGGGGAAKGGGVLGVAGGNNAAVVGGKVRKGLWSPEEDEKLVAYMLRSGQGSWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEDLIVNLHAILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKISSSSASPATTTDCASPPEHKLGAVVDLAGGGGATDDVVVGTANAAMKSMWVDSSSSSSSSSSSMQSRPSIMAAAAAGRSYGGLLPLPDQVCGVDTSPPPPFFHDHSISIKQAYYGSTGAHHHHHAIATMDGSSLIGDHHHHSSSILFGGASVPPLLDHQTILDDDDDHPNKTGSNTTAATLSSNITDNSNSNKNNSDNNNNISSSCCISLMNSSSNMIYWEGHHQQQQQQHQMLQQQQQHMSRNVMGEWDLEELMKDVSSLPFLDFQVE >ORUFI12G15050.1 pep chromosome:OR_W1943:12:16824205:16827525:1 gene:ORUFI12G15050 transcript:ORUFI12G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAARGRGAQRVAAAVHLPRHHRRDHHPASPARRRRAARPRRRRAHADAHVRRRVLRVRRPDPVAHRARLLLRPRLHQDRPRKPRRLRLRLRLRRLVARARLRARVRRGAAGAGHPVGVGARGGHLPAARQVAVRGVRLARRRRHGEEARVVADAHVLPDVGDLVGDVPHRHGSEPAGGEPDGGDDRAGDRVDAVGEGRHCAGLAVAGVCAVDSVPDLPAGGEDQPRRAAAGEGAVGEDGAYEQGGEDHGWNAVPHGRSLDLWWNAECGCSVCCNSWPIRPPDFWSCYMERVFGGGCSVGYPYMVCCSYCNGWIPQQIRVDLLVQ >ORUFI12G15060.1 pep chromosome:OR_W1943:12:16837461:16839364:1 gene:ORUFI12G15060 transcript:ORUFI12G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPGGAEAAVSARLLELAADDNAAGLGELLAAWPSLADEPAPWYTPARGAEPLTPLMVAAVYGSVGCLDALLSPPYLVDPNRASASSLSTPLHLAAAGGSASAPAAVSRLLAAGADPALLDHLQRRASDLVALPPNSLPLKNHLLSLLGARKEWPPDPSLPDIKNGAYASDDFRMYSFKVRACSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFKKGAGCRRGDMCEYAHGVFESWLHPAQYRTRLCKDGVGCARRVCFFAHTPDELRPLYLRPLYVSTGSAVPSPRGALEMAAAAAAMGMGLSSPGSSSFTPPLSPSAGGGGGGGGGGGGGGAWPQQPSVPALCLPGSAGNLHLSRLRTSLSARDMAVDELLAAAAAAADYDGLVASPASIRSARGKALVPSNLDELFSAELAAAAASRSPRYADQGGAAFSPTRKATVLNQFQLQQQHSLLSPRAAAVTPEPVSPMSSRLLAALAQREKMQQQTLRSMSSRDLGNAASLLVGSPVSSSMSKWGFPSGNPDWGADDEELGRLKRCSSFELRSGAANGNHEPDLSWVNTLVKEPTPEKMMTTTSAMDSIGILGQNTSRDHIVGGEDDTAGVISSWLEQLQLDEMVV >ORUFI12G15070.1 pep chromosome:OR_W1943:12:16843027:16851421:-1 gene:ORUFI12G15070 transcript:ORUFI12G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQGKSRFWSGVGNSASSPLPLTNDDQIHRIPAAASTSTPSPPPPHQIPPPIRSSPLLHRRRRRPPPASDPLLLGVSILGRVSVSWGRRRSGHREGIKKLRIAVGQRAFGMVMSHVGLVIGGASALITAHFMGEEAPEFRVESVALESKDCLQNAIDIGDKTYVISRSDDPKSSITIKILDKLTQTWVVPTVLGAPPNPTSSHSAVLVNNEKILIIEKGVPLNDSIWFLEVDTPFVKQQSKIKGTVVVAWSKGVIGEGQKPIVISGPSGVGKGTLIAKLMKEYPSKFGFSVSHTTRAPREKEIDGVHYHFTERSKIEEEISEGKFLEFAHVHGNVYGTSVEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNARAELDQSNSPGLFDHLLVNDDLEACYENLKKLLSLDDDHEDSNDSFIKDGKETACYSILSKTNSEILLQSETNEAEKGTTNLLSLDLSLSGGAPGRTRGLKISPIELMPVRVEGQDSATWPSDPCKIDQASQEPGAKLLCS >ORUFI12G15070.2 pep chromosome:OR_W1943:12:16843657:16851421:-1 gene:ORUFI12G15070 transcript:ORUFI12G15070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQGKSRFWSGVGNSASSPLPLTNDDQIHRIPAAASTSTPSPPPPHQIPPPIRSSPLLHRRRRRPPPASDPLLLGVSILGRVSVSWGRRRSGHREGIKKLRIAVGQRGASALITAHFMGEEAPEFRVESVALESKDCLQNAIDIGDKTYVISRSDDPKSSITIKILDKLTQTWVVPTVLGAPPNPTSSHSAVLVNNEKILIIEKGVPLNDSIWFLEVDTPFVKQQSKIKGTVVVAWSKGVIGEGQKPIVISGPSGVGKGTLIAKLMKEYPSKFGFSVSHTTRAPREKEIDGVHYHFTERSKIEEEISEGKFLEFAHVHGNVYGTSVEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNARAELDQSNSPGLFDHLLVNDDLEACYENLKKLLSLDDDHEDSNDSFIKDGKETACYSILSKTNSEILLQSETNEAEKGTTNLLSLDLSLSGGAPGRTRGLKISPV >ORUFI12G15070.3 pep chromosome:OR_W1943:12:16843027:16851421:-1 gene:ORUFI12G15070 transcript:ORUFI12G15070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQGKSRFWSGVGNSASSPLPLTNDDQIHRIPAAASTSTPSPPPPHQIPPPIRSSPLLHRRRRRPPPASDPLLLGVSILGRVSVSWGRRRSGHREGIKKLRIAVGQRGASALITAHFMGEEAPEFRVESVALESKDCLQNAIDIGDKTYVISRSDDPKSSITIKILDKLTQTWVVPTVLGAPPNPTSSHSAVLVNNEKILIIEKGVPLNDSIWFLEVDTPFVKQQSKIKGTVVVAWSKGVIGEGQKPIVISGPSGVGKGTLIAKLMKEYPSKFGFSVSHTTRAPREKEIDGVHYHFTERSKIEEEISEGKFLEFAHVHGNVYGTSVEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNARAELDQSNSPGLFDHLLVNDDLEACYENLKKLLSLDDDHEDSNDSFIKDGKETACYSILSKTNSEILLQSETNEAEKGTTNLLSLDLSLSGGAPGRTRGLKISPIELMPVRVEGQDSATWPSDPCKIDQASQEPGAKLLCS >ORUFI12G15080.1 pep chromosome:OR_W1943:12:16860701:16861721:1 gene:ORUFI12G15080 transcript:ORUFI12G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNGSSVMISPVVQGKMQQGVMNGMQNSNIGNSTSVPVSGSSFNGTAFLSGNYANAPAPARQVPSFSITGIGGNATF >ORUFI12G15090.1 pep chromosome:OR_W1943:12:16864000:16864693:1 gene:ORUFI12G15090 transcript:ORUFI12G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNGSSVMISPVVQGKFQQGIMNGMQNRDIGNSTSVPVSGSSFNGTSFLSGNSVNAPPAPEVPSYSITGIGGDATF >ORUFI12G15100.1 pep chromosome:OR_W1943:12:16882058:16895026:1 gene:ORUFI12G15100 transcript:ORUFI12G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTWMSGGGQRPSGEIGWPRRTRCGAGDAANAYGGATRQRRMASTTQSLVVRHGPLRRPGGAAAWHSVATLSRGQIGSAAADHIGGEAAGLGQRAVAGVVGLRRAAMALQMLTLRGSIKWCSGF >ORUFI12G15110.1 pep chromosome:OR_W1943:12:16895400:16903270:1 gene:ORUFI12G15110 transcript:ORUFI12G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETVASNFEPSLWSTFFIDYELKPLQRSEEWMRKRADELKEKVRTQLGTCEDIVGKMNLVDAIQHLGIEHLFKQEIDNTIRDIHTSEFTSSSLHEVALSFRLLREHGLWVSPDVFGKFDGDDARLSSVIADHDTRGLLSLYNAAHLLVHGEPELEEAISIAKHHLESMTKDCDLNPVLANQVNRALNIALPRTCKRLETSLFISEYEQEEGWWRDLYTYVGLNYARDRAVEGYLWSCLVFYEKDLSFTRTFVAKMILLVTVMDDTFDSHATIQECRQLNSAIQRWDESAVTLLPEYLKKFYRELLRNFKVLQDQVTDNDKYRVTYTRKELQKLSTYYLQEAKWSHQRHKPSFRDQITLSTMSSVIPLLCVSGTVGMGNVVTKETFEWVASRTSAIVASAKIGRFMNDIAAMKRGKNKGDVASSVECYINEHKVTMEVAIDKIDSLIKDEWRTVNQALFEDRKLFPVVEQLVNLTASMAFFYDDRKDAFTFATHLQDTIESLFVNPVPIQTIYYIS >ORUFI12G15120.1 pep chromosome:OR_W1943:12:16916878:16917676:-1 gene:ORUFI12G15120 transcript:ORUFI12G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNGSSVMISPVVQGKEQTDIMNNMQWIMMCPPQLCLLEPQHRQQYQCPCEWLMLQWDLISQWQLC >ORUFI12G15130.1 pep chromosome:OR_W1943:12:16921715:16926116:-1 gene:ORUFI12G15130 transcript:ORUFI12G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLPKLGELLVGEYKLQKGVKGEIEELEKELKGMTAALHKVAETPVDQLDQQVKIWASEVRELSYDIEDAIDTFMLNEVRELSYDIEDAIDTFMLKCKGHEPATSFKKVTNLFNKFKTNHQIHGVMKDIMDQVKKVSERRDRYIVDDNAARPTVVDVDSRLEAMYRKATELIGISKPKNELTKQLLEYDGSSSQQSNIISIAHDDSYRDAWDTIKLAVQDAKLGSKIIVTTRNKVVAEHAGSGVYEMKPLSDDDSRQLFCKRIFDSNDDCPADLCGVTEKILKKCGGVPLAIITTACLLASKPRNSEEWDKVNKSISLGLENNLDVDKMRKILSLSYNDLPFHLKTCLLSLSKYPEDELIRKDVLIWGWLAEGFITDETRPVGTSLQEIGESYFNELINRSLIQPMSEDNFWDEDGKVHVFWDEDGKVHACKVHDMVLELINQLSVEEDFVTTYLSDGQQTGKHTCTAQKKKIRRLSLHNSNKSYASPEAREQLSKVRSITIFGKVDSIPPLSSFHVLRVLQLEDCSGMDKNHLNHLDKLRLLRFLRLGHYSATELPESIGKLESLETLDIRVKLAQGLMLGNMKSLQELVIEATRKLAFVSEQLQEKLTIHRGACAFRSLKDFQFYSSMMPSFQPGAMPHLERLYLSIWTRLRRGGLNDLGLENLYSLRHATIYSFDDDSKAVIEEALKDYPNQAALKIQSMMMN >ORUFI12G15130.2 pep chromosome:OR_W1943:12:16921715:16926116:-1 gene:ORUFI12G15130 transcript:ORUFI12G15130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLPKLGELLVGEYKLQKGVKGEIEELEKELKGMTAALHKVAETPVDQLDQQVKIWASEVRELSYDIEDAIDTFMLNEVRELSYDIEDAIDTFMLKCKGHEPATSFKKVTNLFNKFKTNHQIHGVMKDIMDQVKKVSERRDRYIVDDNAARPTVVDVDSRLEAMYRKATELIGISKPKNELTKQLLEYDGSSSQQSNIISIAHDDSYRDAWDTIKLAVQDAKLGSKIIVTTRNKVVAEHAGSGVYEMKPLSDDDSRQLFCKRIFDSNDDCPADLCGVTEKILKKCGGVPLAIITTACLLASKPRNSEEWDKVNKSISLGLENNLDVDKMRKILSLSYNDLPFHLKTCLLSLSKYPEDELIRKDVLIWGWLAEGFITDETRPVGTSLQEIGESYFNELINRSLIQPMSEDNFWDEDGKVHVFWDEDGKVHACKVHDMVLELINQLSVEEDFVTTYLSDGQQTGKHTCTAQKKKIRRLSLHNSNKSYASPEAREQLSKVRSITIFGKVDSIPPLSSFHVLRVLQLEDCSGMDKNHLNHLDKLRLLRFLRLGHYSATELPESIGKLESLETLDIRGARKPSSFKSHKVLFPMSFAKLRKLLRLYAGRVKLAQGLMLGNMKSLQELVIEATRKVIKEIGNLTELRTLRIVFGSEKTFELKESIQTSIQRLTNLQDLDLRNNISFEEIIDMQQVPSGLQRLFMPDSFMKAFPCWINSLMLSHLTTLSICLDFEYLQSDHLDRLAELPSLRFLRLQLRRGGLNDLGLENLYSLRHATIYSFDDDSKAVIEEALKDYPNQAALKIQSMMMN >ORUFI12G15130.3 pep chromosome:OR_W1943:12:16921715:16926116:-1 gene:ORUFI12G15130 transcript:ORUFI12G15130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLPKLGELLVGEYKLQKGVKGEIEELEKELKGMTAALHKVAETPVDQLDQQVKIWASEVRELSYDIEDAIDTFMLNEVRELSYDIEDAIDTFMLKCKGHEPATSFKKVTNLFNKFKTNHQIHGVMKDIMDQVKKVSERRDRYIVDDNAARPTVVDVDSRLEAMYRKATELIGISKPKNELTKQLLEYDGSSSQQSNIISIAHDDSYRDAWDTIKLAVQDAKLGSKIIVTTRNKVVAEHAGSGVYEMKPLSDDDSRQLFCKRIFDSNDDCPADLCGVTEKILKKCGGVPLAIITTACLLASKPRNSEEWDKVNKSISLGLENNLDVDKMRKILSLSYNDLPFHLKTCLLSLSKYPEDELIRKDVLIWGWLAEGFITDETRPVGTSLQEIGESYFNELINRSLIQPMSEDNFWDEDGKVHVFWDEDGKVHACKVHDMVLELINQLSVEEDFVTTYLSDGQQTGKHTCTAQKKKIRRLSLHNSNKSYASPEAREQLSKVRSITIFGKVDSIPPLSSFHVLRVLQLEDCSGMDKNHLNHLDKLRLLRFLRLGHYSATELPESIGKLESLETLDIRGARKPSSFKSHKVLFPMSFAKLRKLLRLYAGRVKLAQGLMLGNMKSLQELVIEATRKVIKEIGNLTELRTLRIVFGSEKTFELKESIQTSIQRLTNLQDLDLRNNISFEEIIDMQQVPSGLQRLFMPDSFMKAFPCWINSLMLSHLTTLSICLDFEYLQSDHLDRLAELPSLRFLRLQLAFVSEQLQEKLTIHRGACAFRSLKDFQFYSSMMPSFQPGAMPHLERLYLSIWTRLRRGGLNDLGLENLYSLRHATIYSFDDDSKAVIEEALKDYPNQAALKIQSMMMN >ORUFI12G15140.1 pep chromosome:OR_W1943:12:16926132:16927604:-1 gene:ORUFI12G15140 transcript:ORUFI12G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARTLKSIVELRPPKSGRVARQEQRGLLHAPGSGCAAARLHHRAVRPGGRPPPRAPGRGGRAGRPGASPVAATRLPADSRRRPDEGEMKRRRLPPVDPSSPTLAAGRFHHGIDRSRATWLSGGGPDSRRSKGGAERSGASDGATASTVPIDLRFRHSTSDRPR >ORUFI12G15150.1 pep chromosome:OR_W1943:12:16932643:16934313:1 gene:ORUFI12G15150 transcript:ORUFI12G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAAATSSSSSYTDTSGSSSDSSSSSSYDSEHEGKSRKHKKSRSSRKRHHRRSRLSGPSRAKLSRQRFRLDLLSISRQESRRMVLRVRRSATVFFTSGRRRHCRFRLLR >ORUFI12G15160.1 pep chromosome:OR_W1943:12:16936920:16939846:-1 gene:ORUFI12G15160 transcript:ORUFI12G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNLNGLGFKQLKLVCLALFVVLLTWKWEKGSLRNRGELLRSEPLALSHPVQSNYVDHDISKEEIFSSLGPLVQSVDEVGREATAASPPQSVVHEAENVTGKREASPPEKKECDYRNGRWVPDDRRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLTRMQDKTIAFVGDSLGRQMFQSMMCMLTGGDDHSHVEDVGKRYGLVVARHAKRPEGWAYRFRRTNTTLLPLRRSDQATGYAMHLDRPPAFLQKNLHRFHVIILNTGHHWNRGKMKANRWQMYVSGVPSHDRDIAVIWKAKNFTIHNVVRWLDDQLPRHPHLKVFYRSLSPRHFFNGEWNTGGTCDNKDPLSKGNSVFRNRSDDAEAEGAVRGTGIKFLDITAISRLRDEGHISRYSIKGRGGVQDCLHWCLPGVPDTWDEILAAQL >ORUFI12G15160.2 pep chromosome:OR_W1943:12:16936922:16938728:-1 gene:ORUFI12G15160 transcript:ORUFI12G15160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNLNGLGFKQLKLVCLALFVVLLTWKWEKGSLRNRGELLRSEPLALSHPVQSNYVDHDISKEEIFSSLGPLVQSVDEVGREATAASPPQSVVHEAENVTGKREASPPEKKECDYRNGRWVPDDRRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLTRMQDKTIAFVGDSLGRQMFQSMMCMLTGGDDHSHVEDVGKRYGLVVARHAKRPEGWAYRFRRTNTTLLPLRRSDQATGYAMHLDRPPAFLQKNLHRFHVIILNTGHHWNRGKMKANRWQMYVSGVPSHDRDIAVIWKAKNFTIHNVVRWLDDQLPRHPHLKVFYRSLSPRHFFNGEWNTGGTCDNKDPLSKGNSVFRNRSDDAEAEGAVRGTGIKFLDITAISRLRDEGHISRYSIKGRGGVQDCLHWCLPGVPDTWDEILAAQL >ORUFI12G15160.3 pep chromosome:OR_W1943:12:16937714:16938728:-1 gene:ORUFI12G15160 transcript:ORUFI12G15160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNLNGLGFKQLKLVCLALFVVLLTWKWEKGSLRNRGELLRSEPLALSHPVQSNYVDHDISKEEIFSSLGPLVQSVDEVGREATAASPPQSVVHEAENVTGKREASPPEKKECDYRNGRWVPDDRRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLTRTKPLLL >ORUFI12G15170.1 pep chromosome:OR_W1943:12:16940533:16952817:1 gene:ORUFI12G15170 transcript:ORUFI12G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIQSYEMSRFSVSFDLNIPAFEEQADILAEKVCLHFVIGLTDSDVITLAQNCSNLKSISLQLKPVSCEEPEGSIYRTPLTDESLKALALGCPLRCLWNLGITNLVHPYFTMEDLPGAALAEIMKRITRTSDLSSLSLVSKRLYTIDAEHRSTIRVGRGLWPAKEALLTLCSRFSNLRKVEINYHDSTRGDGNQIDNQGLLTLSTCCPLLTDLILSFCYYIDDSGLAYLTDCKKLVSLRLNSAKNITSSGLLVVAIGCKNLSGLHLINCNKISGNPEWLKYLGSDGSLEELVVKNCGGISQYDLLLFGPGWMKLQRFVFEVSNLYNILRLRDPSFVANYQHSYDFCCESLKDLRLRGIATVEEIGLRSLLGKSKSLQILCLHFVLGLTDSDMITLSQNCSNLKSISLQLESVLGVGPQRRVFRMLLTDVSLKTLALGCRMLQIVELAVYSRHTPYPEIGFSQEGLATFFQSCPIREPVLCGVNIFDDEVMKALSSAQFLETLKLMDCNRITDAGMRLLANSSSLVNLTLQDCRGFTDDGVSEVVRARNLDSLIVQGCRVSWKAVKGAAKSVRYDRGGEVQTA >ORUFI12G15170.2 pep chromosome:OR_W1943:12:16940815:16952817:1 gene:ORUFI12G15170 transcript:ORUFI12G15170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIQSYEMSRFSVSFDLNIPAFEEQADILAEKVCLHFVIGLTDSDVITLAQNCSNLKSISLQLKPVSCEEPEGSIYRTPLTDESLKALALGCPLRCLWNLGITNLVHPYFTMEDLPGAALAEIMKRITRTSDLSSLSLVSKRLYTIDAEHRSTIRVGRGLWPAKEALLTLCSRFSNLRKVEINYHDSTRGDGNQIDNQGLLTLSTCCPLLTDLILSFCYYIDDSGLAYLTDCKKLVSLRLNSAKNITSSGLLVVAIGCKNLSGLHLINCNKISGNPEWLKYLGSDGSLEELVVKNCGGISQYDLLLFGPGWMKLQRFVFEVSNLYNILRLRDPSFVANYQHSYDFCCESLKDLRLRGIATVEEIGLRSLLGKSKSLQILCLHFVLGLTDSDMITLSQNCSNLKSISLQLESVLGVGPQRRVFRMLLTDVSLKTLALGCRMLQIVELAVYSRHTPYPEIGFSQEGLATFFQSCPIREPVLCGVNIFDDEVMKALSSAQFLETLKLMDCNRITDAGMRLLANSSSLVNLTLQDCRGFTDDGVSEVVRARNLDSLIVQGCRVSWKAVKGAAKSVRYDRGGEVQTA >ORUFI12G15180.1 pep chromosome:OR_W1943:12:16952859:16953311:1 gene:ORUFI12G15180 transcript:ORUFI12G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPEVETAVRLGAADPAPLWPDLASPPVDPVGAVGGATKAERAASGACVCPAGAAGGEMGGATDAERVAGSATQAPAGKVAWRGGGGAGWGCCGDGACSCSASCPRSASLLVGRDARGMARRRKARPAAKARRRPVVCEVVLAGHATMA >ORUFI12G15190.1 pep chromosome:OR_W1943:12:16957094:16959402:1 gene:ORUFI12G15190 transcript:ORUFI12G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGSFFRYGARQIAAKPEGCPAMSSKLSLEDLPDALLAEIVKRIANTSDLKSISLVSKRLYTIEAEQRSSICVGSDLCPAIDALSALCSRIPQFVGSRDGLLWLNSVSAIGSRGLLSVAVGCKNLTSLHIIKCNHIVGSDKWLEYIGSAGSLEELVVKNCKRISQYDLLKFGPGWMKLKKFEFEFKRSFNTYEPRDPCYVDNYQYGYDFCCESLKDVTLATIVTKPENCSNLRSISLSQEVLLCEIPGGTGVMARTPLTDDSLNALALRSHMLEAVELMFYGCAPDWPSEIAFTQDGLVTLLQSCPICHLVLRGANFFDDEGMEALSSAQFLETLELMQCVAVTDVGMRFLAQSPCLKNLTLQMCYEVTDDGVCEVARARDLESLTVESCSQISLEALQGAAKSVHYKVDCPSYYDRYKD >ORUFI12G15200.1 pep chromosome:OR_W1943:12:16962953:16964188:1 gene:ORUFI12G15200 transcript:ORUFI12G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIRIVMKSFMSQANKVEGVIPYAQKKAETHELQKKLFWLKRLRLLGAQYELQISFKTRLDKKLLQAALSSGC >ORUFI12G15210.1 pep chromosome:OR_W1943:12:16980574:16989207:-1 gene:ORUFI12G15210 transcript:ORUFI12G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNNTHDVTPPAVDADIISELNDDEKFAVSRRDAARRLIAAVDATLLARRAAAGEGSDEVDVMEISLVYSSEHNCLCIYGVVRRYYFHDHRHEADITLSHVDGWLRFAERHVKGSFILELPLVAPVAARTPRRGEARSQAADTAATSVTDDGEAAAPADEEVVVVELPRSTRAETMSLTLGYATASVPAAAVAGAFRALTELTLQHAIIDDDGGDLRLGHLLSSPCCPRLRRLSLRHAASPHCASTRPPRSRSSGWCICPTCDGSTWTRRGSGCSRVGDCSRLPYSDSSAMAISAARLEVFSCESLVDPERLEFDGAAAVRRIKKLRLMSFGGGGGDDQYDANAAAVWLLKNCNAVVHLGVKLDIYVDEGHEDTIKDVPQLPSVTSLTIKAALSPARGLGRPNDIIEESKSDIRKFASLDDQISLIQLLFASSPSLDTMTVELDYEYLFHRRRAKIINLTCLVTKGIGEPCAWEFGVHRFNGGTKFKWTRERHTKE >ORUFI12G15220.1 pep chromosome:OR_W1943:12:16991265:16992627:-1 gene:ORUFI12G15220 transcript:ORUFI12G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPATQRRRRLKIVDDGAACTAGGQVSPMMRHGFGPPGPQNLQGHFYLPAKVTVQMCSSITPSPAVYISQSHNTIPLNRMMG >ORUFI12G15230.1 pep chromosome:OR_W1943:12:16992685:16993089:1 gene:ORUFI12G15230 transcript:ORUFI12G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAAGDGCGVAAAAGVCLAPGGGVPPDSPSPPQPPLNGSGGSLGVSGGGSTVEEKGVAAATATATATTLASGGWRLGAGSAGEETGVTGSDGPGLLTRRSDGSLGGSGGGSTVEKRELRRRRRTRAEEAGEPT >ORUFI12G15240.1 pep chromosome:OR_W1943:12:16993718:16996470:-1 gene:ORUFI12G15240 transcript:ORUFI12G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKASMKPYFVAIIVQLIYTGMFVISKAAFNHGMNTYIFIFYRQAVMTLGVLIKLFFCALIGTEYVKLRSSSGIAKVTSVALCLAGVFTIAFFTGPSISPINHHRAFASDAGSKNVVPRGVWIKWTFLMVIANMCWSLWIIFQAAVQKEYPDKMIVTLTQCLFSTVQSFVVAVVAERDFSKWKLRFDISLLAILYSGVMVTGVSYYLQTWCLEMRGPMFFASWTPLCFVFTIFCSSFFLGEIVHLGSILGGILLVGSLYTMLWGKSKEGNETDDVTDDDIEKSTHIYPREQQHTTTDQGKESTLTGSAALHVQEL >ORUFI12G15250.1 pep chromosome:OR_W1943:12:17003736:17003930:1 gene:ORUFI12G15250 transcript:ORUFI12G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFSGDIGAFVLPAEFHVKEVDKDQDDVAQNNADKETLDGHKMAKQDIVQVKKSSSISNTSDK >ORUFI12G15260.1 pep chromosome:OR_W1943:12:17005185:17009515:-1 gene:ORUFI12G15260 transcript:ORUFI12G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQAWLPIRDAALLPLHLRFPHQCRGPPSATIVTVDMPRKRMAEAICMLHELKLLSVSGTGWILLVKDYSTISPFHNQCETIQQSPPSHIGVPQPLTVYGPCPQSIRFHLWHTFSKSGGLKWLERSISASLWEPTAYTSGFISESSTSDLDADTEHVHGWDKKPVRFQLSTSVLCSLGEPGSWVLYHAGDFVVSKPDQTIKLMFSMAARKGIPGNGFHDIGIDMTLVKNINNMVTKVRDDWIFGCEPLIWHTPLNSLLLVSLSFCT >ORUFI12G15270.1 pep chromosome:OR_W1943:12:17034258:17035328:-1 gene:ORUFI12G15270 transcript:ORUFI12G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSAGGSHGAGGDDQEAEEAATAKKLRWDLHLLLTELCHHAHHCRFITCGDEVNIHQHNRSGEQSDLYNKKAEAMPSSALINNKAKVQFADLPEVCLPKRNILQISVCKKLSYLK >ORUFI12G15280.1 pep chromosome:OR_W1943:12:17036848:17038529:1 gene:ORUFI12G15280 transcript:ORUFI12G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRVPILIPSHGRRARPCTSSRSARLPIIIELGIEACCYCFLPIYVCLGQELDNDFEKVANSRNTEEICNDKDLNILNGSSVQHDDNDCKILLF >ORUFI12G15290.1 pep chromosome:OR_W1943:12:17042183:17042791:-1 gene:ORUFI12G15290 transcript:ORUFI12G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVGGVRLLARASSGSQRWRRLAAAAGAAGDDDGLRRRRLAAAAGGRPPPGSGGGGPVAAGGEPSGGVGNGVAGDGDGLRRWRMGEARGKRLRAPPVGRIRRHSARARRGHRRRWVGRERLGGDGRGAQTARAGPDRDLLPTPVACELSGARSVGYDWATADPGKASCSLVLPSPMTPTSLSSRRRRPPLDAVNVGSGLA >ORUFI12G15300.1 pep chromosome:OR_W1943:12:17114862:17115251:1 gene:ORUFI12G15300 transcript:ORUFI12G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAHNNIKSTNILLGKAGKAWLADYGLARVVSSLAAAAASSAWYRAPEAPPVPRPWASQKGDVYAFGVVLSCPGSELPNGDAAVPTSCGHGCVIPLGETSGHSNSSEEKMSRAAVVAIVAGDFAGTG >ORUFI12G15310.1 pep chromosome:OR_W1943:12:17116371:17118878:1 gene:ORUFI12G15310 transcript:ORUFI12G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECETGLVDRPLNGDPLYWGKAAEGLAGSHLDEVKRMVVEYRAPLVKIDGAMLSVAKVAAVAGEAARVQVVLDESARPRLEASREWVFDSTMNGTDTYGVTTGFGGAAHRRTKEFAALQKELIRRSDGYTLPTEVTRAAMLVRINTLTQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNSAAVAPDGSKVDAAEAFRIAGIEHGFFALQPKEGLAIVNGTAVGSGLAAIVLFEANVLAVLAEVLSAVYCEVMAGNPEYTDHLIHALKHHPGQIEAAAIMEHILEGSSYMRLAKEQGELDRLTKLRQDRYAIRTAPQWLGPQVEVIRFATKSIEREINSVNDNPVIDVARRKALHGGNFQGTPIGVSMDNTRLAIAAIGRLMFSQFSELASSFYSNGLPSNLSGGRNPSLDYGFNGAEVAMASYCSELQFLANPVTNHVQTAEQHNQSVNSLGLISSRMTAEAVTILKLMSSTFLIALCQAVDLRQLEESIKAAVNKCVTNVAKKSLAMDDDDLLALLGAAIDRVAVFTYAEDPCRSSLPLIQKLRAVLMDHALANGDNQLAKVAEFEQQLRAVLHDEVEAARAAVESGTAPNRITQCRSYPLYRFVRKELGAEYLTGEKTRSPGEEVDKVVIAMNQHKHINPLLECLSEWKGAPLPLN >ORUFI12G15320.1 pep chromosome:OR_W1943:12:17176208:17177283:1 gene:ORUFI12G15320 transcript:ORUFI12G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDPNKDDSQPPGHGTIFVRLKMVVIFGRLKMVVDCASWTYGSTCVKRSIYDTILVTTWPDGEAEGRCESREMAPRRFDSSTVVRATSLAVRKKPRMCSSTSSASELADVINGGGASSSSSRASSPNPWVVIGTAYASVGKANRFEFEKKLAVIYNSIELVDKTKSQEKIAKIIIAVRTQ >ORUFI12G15330.1 pep chromosome:OR_W1943:12:17180264:17191469:1 gene:ORUFI12G15330 transcript:ORUFI12G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNNTHDVTPPAVDADIISELNDDVLLHILGFLPSARDVARATMPSKRWRRLWALVPVLRFAVGPGSFADEHGEVDREKFAVSRRDAARRLITAVDATLLARRAAGEGNDVDVMEIALVYSSVDKYYVCSYGMERRYYLHDHRHEADITLSRVDSWLHFVERHVKGSFTLELPLVAPVAAAVAAAEARRAAWLEANTASDTDEGEVIFVEHVAPPADVEEQEEEIDVEVVELPRSTRAEVMSLTLGYATVSVPATGAFRALTDFTLHHAVLDAGSGDDDLRLGHLLSSSCCPRLRRLSLRHVAGVATLRLDAAATLEELRLVHLPDLQWLDVDAPGLRLLRVGGCSRLPYSDSSAMAISAARLEEFSCESLVDPERLEFNGAAAVRRIKNLEIMSFGDADDNAAAVWLLKNCYAVDHLKVKLEILLAQQDDDDIIKDVPQLPSVTSFTIEASSWFDGHAVGASIAKFIAKCNNIKYLRIDFSGWYNLYCSEPGCLCHQPEDWKDQMISLENLRVGIGTLVLGNSVFISSMVARSLSGHGKGVPKRHELNDDVLLHILCFLPSATDVARAAVLSQRWRRLWALAPELHFAVGPGSFADEQGKDREKFAVSRRDAARRLIAGVDATLARRAASGEGDDDVDALAISLVYSSNVNRRRRGERYYFHDHHHEADVTPLRFGGWLRFAERHVKGSFALELPLVAPVAAAVAVAAARRAAWEKANITSMTDEGVVIFVEHVAPSANAEEEEEAAPEVVELPRSTRAEVMSLNLGYATVSVPATGAFRALTDFTLHHAVLDAGGGDDDDLRLGHLLSSSCCPRLRRLRLQQIGGLAALRLDAADTLEELRLVNLPDLRRLDAMAISTPRLEDLACDGMVHPDRLRFAGAATVRRIKKLFLFTHGSGNDGDDANAAALWLLNNCVALDHLDVALFFAMIKARAWMGGHSISPTLARFLSKCERIEQLSIHIQDGCLVCSDPLCLCGQPEDWEDQMIPLEHLKNIEIRGFAPFKDDRKRLVRLLLKQTRTRTLTV >ORUFI12G15340.1 pep chromosome:OR_W1943:12:17209078:17210441:1 gene:ORUFI12G15340 transcript:ORUFI12G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDRMRQSIKGRALCRAFSSRSISILVFLVFYRIVLPIVQMFSLLTCCSFLITLPPEVQDPQALAHLEGLNFYLSLYEQDPEWVAFIQQELNHNTPLEDIPGRLRLFLMEERTSNAAYQDLRDHEEGSFFFRDVVSHNPPSPSLIRLESFQNENQMTNLVRWLFSTNHKDIGTLYFIFGAIAGVMGTCFSVLIRMELARPGDQILGGNHQLYNVHLTWHFHD >ORUFI12G15350.1 pep chromosome:OR_W1943:12:17211991:17212744:-1 gene:ORUFI12G15350 transcript:ORUFI12G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPGLFEIRLVPKAASDFKIQFGKLAMEILCGQRFIQTQRGPYFKAGKSFRSNPFLGSEKDTGYVSDFARQSVLRGHGMYHFLVRILTVMSMLDSPVEIWENSIKFFMETEFCEFSPELEDHFEIFEHIRGFRHDGA >ORUFI12G15360.1 pep chromosome:OR_W1943:12:17219008:17219658:-1 gene:ORUFI12G15360 transcript:ORUFI12G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPPGPGPSEAGPEGSAAPPAGEIALALTPPSSSFQESTGEMDALMASTTPSAPRTPSGGEPSVNQPLPGEQAMPPALPVMQEAANRAPPYAPYPYPVDEIIGGDSVQSIQRRILGANWNPSAHDMQMSRIQAEDLFELKVEIIRKMAGLHPSGDWMGWGARALDNPRTATGEEDLARLHQMLDDLQSRNEQSATFWRLVERVRLRADEDQNSAS >ORUFI12G15380.1 pep chromosome:OR_W1943:12:17239128:17239727:1 gene:ORUFI12G15380 transcript:ORUFI12G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARLGHLKWREPHAGRPARTVFRGIRPAGAHPTRGTEKLIEYKTYLQALPYFDRSEGDRGSNGGVNARCQRNDRPDRG >ORUFI12G15390.1 pep chromosome:OR_W1943:12:17241840:17245970:1 gene:ORUFI12G15390 transcript:ORUFI12G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLRTVNGFASGLWARRNRMSSPGWSTVRQNRHRLGTDSASVPHPLRKKLLNHYKIKKYDALCLTLLVIVPCCCGRCSFARWWAYPSQPGVCWDSRRAAPYDVHDQSDLDVPVGTRGDRYDRYCIRIEEMRQSVRIIVQCPNQMPSGMIKADDRKLCPPSRSRMKLSMESNGSNRPYRCKIRAPGFAHSQGLDSMSKHHMPADVVTIIGTQDIVFGEVDR >ORUFI12G15400.1 pep chromosome:OR_W1943:12:17257808:17262122:1 gene:ORUFI12G15400 transcript:ORUFI12G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMHLSFELLIEWNFAPETFLGEVRIRSVRILIGLESISPLAKPFLTLPLDSYFVRTQSTEAPPTYVATSSIACSYFVFPLISHQIWCFSIPSCYGEQRQKYNRFLHLSGSRFSLFLFLTPPRVVPNVWHFPYFVGATSTNSLMIKLQPKIYDYIMLTVRILFIPSVPVIVICLPEPRGLSVETFTSNRRFLMVFPLITAALSTPPDIWCQIVAPFLIYSIIEFAIFVALIVQVREEGWTIRMRGSIEKKEE >ORUFI12G15410.1 pep chromosome:OR_W1943:12:17276704:17277552:1 gene:ORUFI12G15410 transcript:ORUFI12G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDRMRQSIKGRALRHFTLSTGKSAGRNSSGRITVFHRGGGSKRLQRKIDLKRSTSSIGIVERIEYDPNRSSRIALVRWIEGVLPGRQRKFKTIEEFALPRKILESTTATIFCLFSFSSLSSPLAQGETASLSFGSSLGFPRIAVAGAKPAFFAERMREKKIGKKTFSLCEIRKWRTHCVLWAHRIKRKAALSWQQKTLELVGAAEHNESKLKADQGSLLPRQVLAYALCSGRPSYLHASRSFYKALLPVEASRFGSLPAKPPIGEGPKDGAYKVDRAPVV >ORUFI12G15420.1 pep chromosome:OR_W1943:12:17292939:17293837:1 gene:ORUFI12G15420 transcript:ORUFI12G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPQPMGMTQGAQAQRGVKGSPRRAHRFVLAWLKEMLLLDGMLLFRGAMILLSGIIEGTD >ORUFI12G15430.1 pep chromosome:OR_W1943:12:17296945:17297574:1 gene:ORUFI12G15430 transcript:ORUFI12G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLSLRVAPPDLQQGGNSRISYFLVPINKTSPFSSLFRNRYRNWCFFYFVYVSDWGISGKAYVGYLSASCRTGSYFNPCWTDRYTNNKVSSQLVEYIASTWEY >ORUFI12G15440.1 pep chromosome:OR_W1943:12:17298324:17299524:1 gene:ORUFI12G15440 transcript:ORUFI12G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPSDWMRGDPNETLLRQTDKQIEKVNEELRNVTSQAVEKAQQFQLNLPGTTEIHEEVLRYLPVSGIIGLIFWWEMFFILDNETIPLLPTHRNTTSLRYTVYAGKDYKGSKTGCIPTKCLGF >ORUFI12G15450.1 pep chromosome:OR_W1943:12:17305548:17310074:-1 gene:ORUFI12G15450 transcript:ORUFI12G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPAEKGGRTGEHADVKINRKKREILKLRNQLLSHRGNKIRFKDPKNLEDISRKGFSTGLSYMYSSLSEVSQWCKTVDYLGKRRKITLISDFGEISGSRGMERQILYLISKSSYNTSSSRITWLEGGLGARKVLSPGALWYD >ORUFI12G15460.1 pep chromosome:OR_W1943:12:17316478:17317817:1 gene:ORUFI12G15460 transcript:ORUFI12G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLGRMTDDPVQLVADLMLQNTNQWDVEKVQRIFFPLDAAAILNMPRPRTEQDDFWAWAWDRTGIFTVRSAYRELIQRCGLSEPATGSSTGDEATWKALWRLRIMPKIRVFWWRVDLFDLKMPRLNPATWSRDVLDADIMSKREAAIAVSVIRNSYNHGDVKYQPLRSVELVDELIKSLEIPAQEDPSAVDGVAGAGIVARDNTGNFVTAECRRYDHISDPSTVEMLACRDAVMLAL >ORUFI12G15470.1 pep chromosome:OR_W1943:12:17339976:17345648:1 gene:ORUFI12G15470 transcript:ORUFI12G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFGKEYITAFLIREFLMIAVSCMLDPLLFYIDLKKIIAYSSVAHMNLDYMKSKSINPFFYVLVFYMTDIRLDLLDIMEV >ORUFI12G15480.1 pep chromosome:OR_W1943:12:17350784:17352352:-1 gene:ORUFI12G15480 transcript:ORUFI12G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARESGTEDSTSVPEAKKEEQEQQVVLSWPSSSATTTVVVANGDPPFRKVTGPALRSKVVCHCCGADRFTTKAPLSDIAGLASIFRISLHHTEKRYVYLQQ >ORUFI12G15490.1 pep chromosome:OR_W1943:12:17362372:17362902:1 gene:ORUFI12G15490 transcript:ORUFI12G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCVPIACLVVFPVQPPQLVTYNDAGNTTLLRINDERTLENRIGIIHLNRWETQSKVSLFINVSISSVDKDHDEIA >ORUFI12G15500.1 pep chromosome:OR_W1943:12:17372122:17372643:-1 gene:ORUFI12G15500 transcript:ORUFI12G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRLEQQIFQLHMPPILDRQKKTSIPRLAFFLVWWGSPPLPARSFLATIVVPVSPPLLRNQRSSSSCVPLVTRLKPHRFFTVKVQEIGFLISRKNEL >ORUFI12G15510.1 pep chromosome:OR_W1943:12:17413734:17414095:-1 gene:ORUFI12G15510 transcript:ORUFI12G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVYPQLKREKGTLFRWITDVHPWCLFWFLYLTRVEHLHIPQALLERGSAPLRLIAFLAQMKFVPVHAVAELRIKSLRKANPWGIEIPV >ORUFI12G15520.1 pep chromosome:OR_W1943:12:17415584:17416239:1 gene:ORUFI12G15520 transcript:ORUFI12G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPFGRDSISHSIPLLSLKESRKREEEGLSTGARKEIVPSILEFVVKLTFIHLSWTGAGKRRCLEQEMEAWVEEAVNESSKAIDWSESTAGEESSDDN >ORUFI12G15530.1 pep chromosome:OR_W1943:12:17416452:17416743:1 gene:ORUFI12G15530 transcript:ORUFI12G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYLARFREHVYVVCAGEWKPPPQKRSGSSHGSVTIDSIYYYGVLFYIFPKGHSFISFFPVDHYD >ORUFI12G15540.1 pep chromosome:OR_W1943:12:17419451:17420930:1 gene:ORUFI12G15540 transcript:ORUFI12G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFDPVVVLNHFVAPGVAEPSTMGGAKGGSLDKRIRSRIAFFVESSTSEKKCLARAKKRLIHFIRQANDLRFAGTTKTTISLFPFFGATFFFPRDGVGVYNNPFFEYAREQLLGQLRIKCRNLMGKDKVMELIEKFIYLGRIGKLIKGIEMMIEIILRKRIIPYGYNSYLNEDIPLIMPKGVEGIRICCSGRLGGAEIARTECGKYGKTSCNVFNQKIDYALAEVSTRNGISGVKVRISYSQNKKGRAISETGCEPDGTQLGFGRYGTKSCRAGRLSYRAIEAARRATIGQFHRAMSGQFRRNCKIWVRVLADLPITGKPAEVRMGRGKGNPTGWIARVSTGQIPFEMDGVSLSNARQAARLAAHKPCSSTKFVQWS >ORUFI12G15550.1 pep chromosome:OR_W1943:12:17426189:17426794:1 gene:ORUFI12G15550 transcript:ORUFI12G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTTNSKKMNVTTIPAAAPAAVDLISELDDDVLLHILGFLPSARDVARATVLSRRWRHLFGIAPRLRFDVGPGSFAEGGDEDEEEHGARCHDAARRLIAGVDACLAADVDVDVLEIFLVYTDKSKHGLYKRVAVGKRFYFHDHRHEADVTPSLVGSWLRFAERHVKGSFVLELPLNAAAAAKLDREEAAAAEEEDQADLL >ORUFI12G15560.1 pep chromosome:OR_W1943:12:17426890:17436183:1 gene:ORUFI12G15560 transcript:ORUFI12G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEEDVAVAPVVLEEAVDEELELPPAAAVAEEEEEDDDDEVVEAFRALTDFTLCRAKINAYDNDDLRLGHLLSSRCCPRLRRLKLRHVAGLIRLHLDAAGTLEELRLVYLPDLLCLHVDAPGLRLLRVGHCDHLPLSDDPALARVSAPRLEALAWDGLEHIACREVVVATATVRRLKKISLLSHDGDGDQTNVAAVRLLKSCTAVDHLELRLTVRVLHNSIIDTKLQLPSEDTVKKDIPHLPTVSKLRLDVNTWWHGHTIGVTLARIIERCNNIENISIRVRGLWEVCSDAQCNCSQPKGWEDQKIQLDNLKRAEFKGFIPFDDRKSLLRLLLKNAPALEKITMNDTTTTSPAAPAPAAVDDLISELDDAVLLHILSFLPSAGDVARTTVLSRRWRHLCGIAPCLRFAVGLGSFAEDDDEDEEEHGARCHDAARRLIAGADACLARRRHAAAAGVHDALRALEISLVYGDADNDSSWYKREPYIHDHHHEADITPSLVDSWLRFAECHVTGSFVLELPLNAAAAAKQDQEEADEAKRDVAPPVEEGEAVVVEEEELDLLPAAEEEAVELPATARATAMSLTLCDANTVVPIASAGAFRALTDFTLCRAKINAYDNDDLRLGHLLSSPCCPRLRRLELRHVAGLIRLRLDAVAGTLEELRLVYLPDLRRLHVDAPGLRLLRVGHCDNLPYSDDPGAARVSAPRLETLAWDGLEYRACREFIATPTVRHLKKLSLYSHGGADDETNVAAVRLLKSCTAVDHLELRLTVRVLPAEDTVKDIPHLPTVTKLRLDVNTWWYGHTIGATLARIIAKCNNIEHLSILVRGLLEVCSDAQCKCSQPKGWEDQKIQLEHLKKVEFKGFIPFDDRKRLLRLLLENVPALEKITMEFDPSYIFENPKEVRDKIDFDMPDYQGSWTPCDWDFHECGFFDGATKYEWTREKPTDGI >ORUFI12G15570.1 pep chromosome:OR_W1943:12:17438972:17439430:1 gene:ORUFI12G15570 transcript:ORUFI12G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFKKCFLQLLLKNPLALEKITVKFDPSCIFENPKEFREKINFNICLVIRGSRPHVIRSSVSVAYSMAP >ORUFI12G15580.1 pep chromosome:OR_W1943:12:17441143:17444037:-1 gene:ORUFI12G15580 transcript:ORUFI12G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCQFSLTAQGWQRHSSFPFCFFHSTSRGGGGKSARREERVRVLASLGEKRSEGGGGGRARLSPLPPSSRPSPPCSFFFFVFPFRSLWRKGAALFLDSGVRSQVQLVHTYFTMEGLPDALIGEIVKRIESTSDLSSLSLVSKRLYKIEAEHRHSIRIGHNLPPATDAIISLCSRFHNLFNVDINYSGWTQGHGNQLDNHGLHILSSYCLSLSDITLSFCSYIDDTGLGYLAFCKKLMTLRLNSAKRITSSGLLAVAVGCKNLSAIHLINCNKISGTFEWLKYLGSDGSLEELVYCYEFCCESLKELSLRRIVTVEEIGLRSLLGKCKSLEKLCLHFILGLTDSDMITLAQNCSNLRSISLQLEPLFCEGPEGRVFRTPLTDESLKALALGCRMLQVVELTMFACEPAYPEIGFSQEGLVILFQSCPILDLVLCGANIFDDEGMKALSSAQFLQTLELMDCIHITDAGMRLLADSPSLVNLTLRQCNGFSDVGVGAVVCARKLDSLVVEGYGRVSRKAVLGAAKSVRYERDYPGYGHLRRSSLSILQD >ORUFI12G15590.1 pep chromosome:OR_W1943:12:17444903:17445651:-1 gene:ORUFI12G15590 transcript:ORUFI12G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVLRNMHTGDDRLRRLKGREALELCSGKVLVQWCSLLVAILTDAGLSWKQSCLLVEKGFPLPSNASGRTVLVILSHICCVRAPILSNISLFPRKKKRKDVSDAGSSEQRVHDSCSTNVPVVVAAATVRRSCRVPGSWFGMAPAITG >ORUFI12G15600.1 pep chromosome:OR_W1943:12:17445988:17446209:-1 gene:ORUFI12G15600 transcript:ORUFI12G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALDAATVALLEALDQLDVATAMRYFRYLERRGAGGTLRQQVGNVVDFRWCGVEEGTRRQDERTVYLEFWI >ORUFI12G15610.1 pep chromosome:OR_W1943:12:17446212:17446786:-1 gene:ORUFI12G15610 transcript:ORUFI12G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVALLHRTSTTSRDYRIRNYQKELDRGTAGVRRYDRTRERGEVHNGRRFKIVNRHNYANRQRRNRSRNDKKNNRFSELAGLPIYLLSCISSVEVLGLGGLCGHAGRTPLGMALA >ORUFI12G15620.1 pep chromosome:OR_W1943:12:17448981:17452728:-1 gene:ORUFI12G15620 transcript:ORUFI12G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNTRLLDATSAAAAVDLISELNDDVLLRILGLLPSARDVARTAVLSKRWRHLCGIAPCLRFDVSSSARCHDAARRLIAGVDACLARRRGGGAANAADVDVLEISLVYTDTSESKHGTFKRVPVGKRFYFHDHRHEADVTPSLVDSWLRFAERHVKGSFVLEVPLNAAAAAALDRAEETSTAEQDYQEEESPAPELEEEEVVELPATSRAEAMSITLGGANTAVPIAGAGAFHALTDFTLCRAKINAYDDGDLRLGHLLSSPCCPRLRRLELRHVAGLIRLHLDAADALEELRLVYLPDLLRLHVDAPGLRLLRVGHCDNLPCSGDPAPVRVSAPRLEALAWDRLECIACREVIATATVRHLKKIYLFSHGGDDDETNVAAVRLLKSCTAESSEDTMKEIPYLPSHQLEFKVCSDPQCNCSQPEGWEDQKIQLEHLKKVEFKGFIPCDDRKSLLRLLLKNVPVLEKINVKLNNTYILQSTEEFRENINFDVPGYQGFWTPYERKYLECGIFDGATKYEWT >ORUFI12G15630.1 pep chromosome:OR_W1943:12:17454232:17480343:1 gene:ORUFI12G15630 transcript:ORUFI12G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKMRRRKKMTTSPAVAAAVDLISELSDDVLLHILSFLPAASDVARTTVLSRRWRHLWSAAPCLRFAVEPAPPPSTSSRRADTGSRLVAAVDSVLARRAIDGADVETLKISFVFSSSPNDDGGGGRSAFDGDWHDHADDIESEHVAAWLRFAERHVTGDFRLDVLTLPRQRRQAELPSSARFKSMRLWLAYAELTVPTAAAAAADRAFAALADVRLSTVKVDDVNGRRLCDLFSSPACCPRLRRLTLEDIVGLTELRLRLDAAHAATLETLKLLGLPDVKAVEVDAPGLRELAITGVSLDEMAAPPMISAPRLRRLTFESDETCRGGGLMVLDGARMEIDILSHGFSGVADNRDLAWFLQHCAAADRLDVRLLVPLGEDLMNDIPEFPNITELRITAQVSIPTHTIGASIAKFVAKCSRIEYLSIDINKQGGDSHPGCKCEEPKDWKDMNLSLDHLRSIDIHHFRPSQDQMQLVSLLLANASSLQRMTIALHKRYVEAMEREDGKEVYLHIPCYGGHWTPCAWGSSSRQSKFRSATKYEWAPCNVNHEKGMKTGGITNFEYITKEKNKGKVTNRTSDIFSNIGRCSTTVACMQGHMRSKDTTTPPPPADDMISGLTEDLLLIILGFLPAARDVVRTSALSTRWRNLWTLAPALRFDIGQRNLRLTDDAEAAAAGRLVAAVDSVLARRDVDAGAPDVKDLEINFVFRSVVGDDQTTAAGRYYRSSSRFGLSRHRRRLPMDVAPASVAAWLRFAECRVAGAFSLELPALSSSRKVVADLPCSERLRTMRLTLGGATVGVPVAGAGADAYRSLADLLLSNVCLDDGDGVRLCNLLSSTSCPSLRRLELSVITGLTILRLDAAATLEELRLIGLRDMEQMEVDAPGLRDLTVKGITVHLMAAAAARIAAPRLQALAYEYRRSWDDCQLMVLDGERTAKLRVLSHGDPAGKHNNGAAAWKNSMRDVIKDIPKLPNITDLRITVAMSTDTMDTHAVAMSTDTMDTHAIGASTTKLIAKFSRIEYLSIDIDKKAGDCTNFDCKCEQYKGWNNKMIPLERLRIADIRNFLPFDDQIELETAAPAAAAADVDIISDLTNDVLLIILGLLPAARDVVRTSALSTRWRHLWTRAPALRFDVGPRSWRLGGCGATDEAAAAARLVEAVDSVLARREGGADVKDLEINLVHHRSDGGDRPPMCHHYAAMFVSSPSPSRHREEPRCDLLAVPLDVVTPARVAAWLRFAERRVAGSFSLRLPALSRRIAAAGSPPAVLPCSERLHTMRLALGGAALAVPDAVAAAAYRSLTDLLLSKVSLDDDGDDLRLCSLLSSASCPNLRRLELSDIDGLINLRLDAAATLEVLRLIGLRHMEQMEVDAPGLRELVLKRIYAHLMAAASASSVRIAAPGLQALTYEYDYACWGGAFPMVLDGERTAKLQVLSHGVPDKDNNGAAAWFLQHCAAANRLDVVLKMEFDEEKMEEDIEDLIKDIPEVLNITDLRITVAISTGTVDTHAIGASVTKLIAKFRRIEYLSIDIDKKAGDCTNFDCKCEQHKGWSNEMIPLDHLRMVDIRDFLPFNDQIELVCALIASAPALEKMIVALHESYEETRERTNNMEAYLCIPSCGGRWTPCAWNGGKFGSATKYEWKPCKRKRSEEGVEKTICESRAKAGKGKGCRSIALGGGTSVPYAKVVATDRVKRKGMGAFRTGLRMGTSVLPSTLVSMDSKKMNGAMTSPAVAAVDLISGLSDDVLLLILGFLPAASDVARTSVLSTRWRHLWALSPALRFAVGPLSDADVAAARRLVPAVESVLARRDAGGGADADVKDLEISFPHDRAAADDIITPARVAAWLRFAERRVTGAFTLELPFELDMFGRSRRLLPHAELPRSARFTAMRLALGGADLAVPPAIAAARAAAFPALTDVHLSHARLDVGARGDDLRLCNLLSSSCCPRLRRLRLSHVGGLPTLRLDAAATLEELHLRHLTGTWCLQVDAPGLRSFAVEETRLYFGPEPEATTRIAAPRLDALTYRYSDPGGETNLRFNGGRVEELRLASHAVHGGTNNAVAAWFLRQCAAAADRLDVELTVPVGKLIIDHEDIMKDIPELLNVTDLRINVEASMSPHRAGASLAKLIAKCCKAECLSINISDQGRNQCVNSMCICDQPEGWEKETISLECLRIVEISSFLPCKDQIRLMHLLLASAPVLERMTVTIYKQYEDAKDLDLGILGFRGRWSYSGPEYHRSGFSVRYEWTPSKRRKVVEMNQEEGKL >ORUFI12G15630.2 pep chromosome:OR_W1943:12:17454232:17480343:1 gene:ORUFI12G15630 transcript:ORUFI12G15630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKMRRRKKMTTSPAVAAAVDLISELSDDVLLHILSFLPAASDVARTTVLSRRWRHLWSAAPCLRFAVEPAPPPSTSSRRADTGSRLVAAVDSVLARRAIDGADVETLKISFVFSSSPNDDGGGGRSAFDGDWHDHADDIESEHVAAWLRFAERHVTGDFRLDVLTLPRQRRQAELPSSARFKSMRLWLAYAELTVPTAAAAAADRAFAALADVRLSTVKVDDVNGRRLCDLFSSPACCPRLRRLTLEDIVGLTELRLRLDAAHAATLETLKLLGLPDVKAVEVDAPGLRELAITGVSLDEMAAPPMISAPRLRRLTFESDETCRGGGLMVLDGARMEIDILSHGFSGVADNRDLAWFLQHCAAADRLDVRLLVPLGEDLMNDIPEFPNITELRITAQVSIPTHTIGASIAKFVAKCSRIEYLSIDINKQGGDSHPGCKCEEPKDWKDMNLSLDHLRSIDIHHFRPSQDQMQLVSLLLANASSLQRMTIALHKRYVEAMEREDGKEVYLHIPCYGGHWTPCAWGSSSRQSKFRSATKYEWAPCNVNHEKGMKTGGITNFEYITKEKNKGKVTNRTSDIFSNIGRCSTTVACMQGHMRSKDTTTPPPPADDMISGLTEDLLLIILGFLPAARDVVRTSALSTRWRNLWTLAPALRFDIGQRNLRLTDDAEAAAAGRLVAAVDSVLARRDVDAGAPDVKDLEINFVFRSVVGDDQTTAAGRYYRSSSRFGLSRHRRRLPMDVAPASVAAWLRFAECRVAGAFSLELPALSSSRKVVADLPCSERLRTMRLTLGGATVGVPVAGAGADAYRSLADLLLSNVCLDDGDGVRLCNLLSSTSCPSLRRLELSVITGLTILRLDAAATLEELRLIGLRDMEQMEVDAPGLRDLTVKGITVHLMAAAAARIAAPRLQALAYEYRRSWDDCQLMVLDGERTAKLRVLSHGDPAGKHNNGAAAWKNSMRDVIKDIPKLPNITDLRITVAMSTDTMDTHAVAMSTDTMDTHAIGASTTKLIAKFSRIEYLSIDIDKKAGDCTNFDCKCEQHKGWSNEMIPLDHLRMVDIRDFLPFNDQIELVCALIASAPALEKMIVALHESYEETRERTNNMEAYLCIPSCGGRWTPCAWNGGKFGSATKYEWKPCKRKRSEEGVEKTICESRAKAGKGKGCRSIALGGGTSVPYAKVVATDRVKRKGMGAFRTGLRMGTSVLPSTLVSMDSKKMNGAMTSPAVAAVDLISGLSDDVLLLILGFLPAASDVARTSVLSTRWRHLWALSPALRFAVGPLSDADVAAARRLVPAVESVLARRDAGGGADADVKDLEISFPHDRAAADDIITPARVAAWLRFAERRVTGAFTLELPFELDMFGRSRRLLPHAELPRSARFTAMRLALGGADLAVPPAIAAARAAAFPALTDVHLSHARLDVGARGDDLRLCNLLSSSCCPRLRRLRLSHVGGLPTLRLDAAATLEELHLRHLTGTWCLQVDAPGLRSFAVEETRLYFGPEPEATTRIAAPRLDALTYRYSDPGGETNLRFNGGRVEELRLASHAVHGGTNNAVAAWFLRQCAAAADRLDVELTVPVGKLIIDHEDIMKDIPELLNVTDLRINVEASMSPHRAGASLAKLIAKCCKAECLSINISDQGRNQCVNSMCICDQPEGWEKETISLECLRIVEISSFLPCKDQIRLMHLLLASAPVLERMTVTIYKQYEDAKDLDLGILGFRGRWSYSGPEYHRSGFSVRYEWTPSKRRKVVEMNQEEGKL >ORUFI12G15640.1 pep chromosome:OR_W1943:12:17481060:17482394:1 gene:ORUFI12G15640 transcript:ORUFI12G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRSVFCREDDSFPCDDPTTARGTNSRGHAVCVCFRLHVSPWPSKIHMEWPAGIGDYDWPKLCVWRSEWWELKWPPIFPVGGGNLDVDKLTWNWDTDTVIAFGNYLCFVDYCNGVLFCDAFDDNPKLLYLEFLCKIPGLDRFYHGRAWSDVNEELAPSFGFTKGEKDRGYHYDDTWIVAIDMSCKIVGVTFRYIEAVEKSTLEVTRCIEHKYWYFEPFLHVEFPAYFHLDDR >ORUFI12G15650.1 pep chromosome:OR_W1943:12:17483119:17487000:1 gene:ORUFI12G15650 transcript:ORUFI12G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCPSCHFWLKGLGSGHLALAYHPGMLSWEIREPFNVFARSISLSTSNLSFCISDGLYEMDRRDRDNFTDCEFSNSALLGSNTQHSVEPCATQVILARDRSKFSNMRHLPSCRFITYKELRALHGPKASNTQHSVEPCAMQVILARGRSKFSNMRHLPFCRFITLSVIFLLSIPLLVASVPQDILSPGSSIPVEDNSNMLVSPNGLFSCGFYEVGANAFIFAVWVNQSIGKTVVWTADRDVPVNGRGSRIELRDGNMVLLDFNSRLVWSTGTTSGQVRSAKLLDTGNLVLLGHDGSRIWQSFDSPTDTLLPTQPIAANLKLVSGKYMLSVDNNGSLALTYDTPEGHSKYWPRNINATPFSGDQPQGLDMLGCISAGNHIRYCASDLGYGVLRRLTLDHDGNLRLYSLLEADGHWKISWIALADSCQVHGVCGNNGICRNLMNPICACPPGFVFADVSDLSKGCKPTFNISCDKVAQAYFVEIEKMSVWGYNSNYTASTAFDVCRKSCLDDLHCEAFSYQYGLGGCTLKSSLYTGGFTPSEISITCMKLTADAAVQNSIDYKPHGPYLSCQGRGFSTSADTKAFQWNYLYMPIGSIFAVEAILFPLAWCFLRKRKQDSISRNDGFALIRDHFRKFTLKELVAATAKFKHEIGRGGSGVVYEGILDDGKKIAVKKLQDMVQGELDFQSELSVIGRIYHMNLVRMWGFCSECGHKLLVFEYVENGSLAKLLFDTASTTGALLRWEQRLRVALGVARGLAYLHHECLEWVIHCDVKPENILLDEELEPRLADFGLAKLLNRGKDVQMLSRVQGTRGYIAPEWASNLPITGKVDVYSFGVVLLEIVRGLRVSDWTVVDGEEEEVEMVFRTTVAVLKERLRGEDRSWLPGFVDPRLDGNFCRLQAAAMVELAVACVEEERSRRPNMKLVVEKLLNFL >ORUFI12G15660.1 pep chromosome:OR_W1943:12:17487982:17495411:-1 gene:ORUFI12G15660 transcript:ORUFI12G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITCRRVCDCELGFGAAGRGRGGGLAEAAAALEGFLADPWLLRPAAAGEGVGVEEEGGVATVQVEVPPVEAAEEGEEEAGQAAAAEDYARRLEGAAAAAYGSQEEGDEEDWGQEDVGNAAVKVMCRICFSGENEGSTKAAKMLPCKLCNKKYHRSCLKNWGEHRDLFHWSSWVCPSCRSCEVCRRPGDPNKLMFCKRCDGAYHCYCQQPSHKNVTHGPYLCPKHTRCHSCGSGVPGSGHSTRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSEVIPMVCCDVCEKWVHIECDGISEEKYQQFQSDQNLQYTCGACRGECSQIRDTEDAVRELWKRRDVVDHDLMASLRAAAALPSLEDVSPSHPNSDDEKLGAYVMKNDGRNTLKFSFKSNSTKPALDSSEQEKNAIKSSGSNKKHSKKKGNQNNKTVSEQDEIFLEKRNETKSLGSLGDQIADVTRDKSSFKNDADAFVLSSAQSAEKALKLQSAKAAAHNADMIPKVKIKGTKVPSLHFKDVGEENAAKSDTGKGTKLVIHIGSRHKSRSGSPKSEMSNSQKEQELVSMHGGKVDVTSQFKSSRSEIKEKSVMKLVRETGVQQNSLLGDLGASKKHATGKRSNAIVSAMENASESGTRSRSFGQKQSVNHLTENQGNASFSVNNSPDSLKPSLLKLKFKRPIFEQPSTQSSQPEEPGTWASPQEELNVAKGQRSKRKRPSLDKMDGSESKAPAAKRHEQSTGEEAMDANWILRKLGKDAIGKRIEVQLASDGKWHQGVVSNVINGTLCLQLDNGRSENIELGKRAIRLIAQSQLPATRVVSRPLQAPAFGSSQSLIHGRLGGLKRIGFILTSGSVGTNNWEGFLGATLDVEEMPDDGHVHVAVAAE >ORUFI12G15670.1 pep chromosome:OR_W1943:12:17495935:17497955:1 gene:ORUFI12G15670 transcript:ORUFI12G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSRRLVPAAAAARMASSSSSSAAAAVSGGAEGGGGEGRGGDTLGRRLLKLIYPKRSAAVVLRRWAEEGRTVQKYQLNRVVRELRKYRRFKHALEICEWMRTQPEMRLLPGDHAVHLDLVAKVRGLPSAEKFFEDMPERAKGPSTCNALLHAYVQHGRRDKAEAMLEEMAKAGYLTCALPFNHMMSLYMSSGELEKVPEMIKELRRYTIPDLVTYNIWLTYCSKKNSVKAAEKVYDLMKDERVVPDWMTFSLLGSIYINAGLHVKGRDALVEMEKRASRKERAAYSSLLTLYASLSDRGNLDRVWRKMRETFRKFSDTEYKCMLTSLTRFGDIAEAESFYSEWESASGTRDSRIPNTILAFYIKNGMMEKAEGFLDHIVQKGVKPSYSTWELFVWGYLSDGRMDKVLECLKKALSCLEKWDPNPQLATAIYSQIEEKGDIEAAEKLLVMFREAGYVTTEIYNSVLRTYAKAELMPLIVDERMDQDKVSMDDETRSLLRLTSKYPIGEVSTLMS >ORUFI12G15680.1 pep chromosome:OR_W1943:12:17498452:17502447:-1 gene:ORUFI12G15680 transcript:ORUFI12G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTDRDPLKTAEEGRERDTRRPSKTPNLVAISSSPPASIATLAARRPPLGGWRRRRRRRMQATGSGSWVAQASVLGCGGGGGGVVVVRRPSWDGGAAVGGRAKGFGVVRCCVQEKKPRVRKTKEERREMVESFVNTYRVSNDGKFPSVNLTHKEVGGSYYIVREIVRDIIQENRVLGPGGLNATALSFEDCPDSVESPVTHELGQDSVEILDMSDDNQAGMDTVTDMSTQQLLGSSNLLDAGILNGALQNGNIADMACLETNSEKQDEVPCAQSAEIDPSSSEKLPPSFSHAPDSESEFEMDSRVVAHETTSSLTNGVISSGPSAVITNESLLQDHDDTTDNAVDEAVLCLQTNGSSQANETILQEHETRPESVMSNDVQTIDSQSNSRVDTFNSNTSEDTTKSIEVSEVQRLHPDEEEKAENLVSHAELDTKVFSHVEGKNGMVEEDNSELKQSISIITMEEHDSKPEHGDSTTTAISRHALCLLTLRCMLTVYNFLHASQNTTAYLENWEGAAEERDQPVLANH >ORUFI12G15690.1 pep chromosome:OR_W1943:12:17509439:17511907:1 gene:ORUFI12G15690 transcript:ORUFI12G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHGGDGGAGGGGGGEGERSVRVNIEAQASDPAGMVVAMATPFEPQLSDDSPPRSSMQSVAEVVDDAAAVEATGGGGDEVVAVAAVGPERRLTMLALRLAILEKAASGLGALGFIWATVVLLGGFAITLGRVDFWSVTVILLVEGARIFSRSHELEWQHQATWSLAAAGRSSFRLVARSFRFVFHLDDGKAAADAGGGGGGAPRMGRRRWRISWSFLSRHVGRVFYWLQLASATACVAVSAVRLAGQDFGEAEDARTNRRSALDIFYGLALAEALLFLMEKAMWEWEVSYGRLLQRVAGECHLAGEPGLVAVRRFFYDAYSRCVDGSIFDGLRMDLVSFAEELLVGGSHDEQRIGVGILVNVAANPRLGEAALRRVGTSAAVVDRLVEMLTWSGGAAEAAARASAALVVSKLASKKRNALRVAGVPGAIESVSSLLYAVGDEECNLLGLLIIKKLARDHDNCSKIGNARGLLDKIIDFSAIGGGAVITPSRAKAVKRSLQVVKMLAETTGSTGKLLRREVAEIVFTVSNIRAVLQHGAGHLELQRLAAEVLTRLAMDADAREKIGGTGGVVSLLLAMFLQPSITDEGDAARVEAGEALAMLALDSRRNCDRILRAGGGGGAATVARLVDALTDDAAGIGAARILTNLCAYAGGEWFSDLHLVTSGAATALRNVMTTKKSKLLEVSLGLVAQMARFMGPHELSHHLAGAGVAGGEEELVGRLVAVLARYGSPSIRVPRIRRFAVELAVAMMTEGRRRRGAVAVMMAAAGMGPVLRRVAETTSELECFHVFSGSAGLSRHAVSLSALVDTALELMGARGTDDQ >ORUFI12G15700.1 pep chromosome:OR_W1943:12:17513380:17523804:1 gene:ORUFI12G15700 transcript:ORUFI12G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGCSVRAIWILTPHDAVAFSRRFAVVEKRWRVAWEAEGGARAEMMPLPADYEVAAAFAERRRREGTARGSGIRTSMSSAGSDSWVDDPITRHIISLHIDKEEGEGFMLWPVVLQKRGSYYILVLPLVDPQSFKAYESLLKRSDCGSSAKEKGNLSSILLNLPCITGALMVAHVIGDIITGDTAEPEVIVSTGPSVGGLLDSLTGSIGISARPKPIAAPVAAPTASISSPVGAPQSESLKGGMRPFDKDLLRNFILGAMPFGTPQDLNYANVTSVRTTGFSADPLPTDQKQPAWKPYLYKGRQRTLFSSLETLNAALYDRDDVQDFLSVSGQVTCRAELEGLPDVSLPLSGLKAARVEVSSFHHCVQASEPTNDKQTLIFQPPLGNFVLMHYQASCNMDPPVKGFYQLSMVSENEGAFLFKLRLMEGYKSPFLMEFCMVTMPFPRRRVASYDGNPSVGTVSMTEHSIEWRIVSSGRGLSGRSIEATFSGTVRFHPITIQRVNSSFRSVPSTAFVEDSDSEQDNTKNGANLDDYLMEKMNKDLQAVNLEEPLSWQAYNYAKVSFKIVGGTLSGLTIDPKSVTIYPSVKAPVEYSMQRSGTVPGVGLVHAPFSLLPTHLPESHWRQACELAPIFNELVDRVSLDGDFLQDSLSKTKQVDDFTSRLLEIHRKMMEINKEENIRLGLHRSDYMLDSETNSLLQIELNTISASFPGLGSLVSELHRTLIDQYGHLFCLDSKRVPGNEASSQFAKALARAWDEFNVDRFDGKTVSVVYFRAGYTPNDYPSEAEWAARLLLEQSSAVKCPSISYHLVGTKKIQQELARPNVLERFLENKEEITKIRKCFAGLWSLDDEEIVKSAIQKPELFVLKPQREGGGNNIYGIDVRETLIRLQKEGGDALAAYILMQRIFPKASLSNLVRGGVCHEALTISELGIYGAYLRNNDKVVMNEQSGYLMRTKVSSSDEGGVAAGFAVLDSLYLTDKAM >ORUFI12G15710.1 pep chromosome:OR_W1943:12:17525868:17526276:1 gene:ORUFI12G15710 transcript:ORUFI12G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNFIRRCDDDFLNLYLAGLSGCTALRELHLAENKISDVEGLHRLLKPTWRVPTL >ORUFI12G15720.1 pep chromosome:OR_W1943:12:17526372:17526764:1 gene:ORUFI12G15720 transcript:ORUFI12G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDPELNKRLASASAPEHDQTAGPYGTVRATTGKGEEKETLTLNLKEEGRGDKGSVLTGEKVAAGGESRAIAVASGSCHAPRAAPAVASVSSLRRREEFFCSSRVDDFFPGLTEQGNPWWAGLKFVGA >ORUFI12G15730.1 pep chromosome:OR_W1943:12:17526783:17531036:1 gene:ORUFI12G15730 transcript:ORUFI12G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDYFLAGVIHGDWAPIPAVPGPTEQGLTGLTPEVTRPSPLEPRDRDPSTTAAPGRRRRRRHRRPIPPLPHRALLPGTIVTFFHQIGPEDASRDLPSPAFLPGGDSIDKKLGLEVQDETVPIMQFKAKNKLVFLAKQSSKRQNSAKLSFGQHPLSTYEVVGSWSNVSLPFCTEVCKKLILSVIEGVKANLEDGNCFEKFDENNLVVGPSGKVMFKHVRFFPTTYEAKRAMWKNTHDFIESLFGEKTIPKDIEHLLSLINKQTKVLLYTVHPSLESHSERGWCFTKMYEHIKFKITAAQKNMILMKVPYHEKWRTIANQNAVLHETINFIYSNYGRLPKFNEKGVLYTEIEIQLHEAEMFLDSSRYSSPSPKKHKLDTTSA >ORUFI12G15740.1 pep chromosome:OR_W1943:12:17539866:17541218:1 gene:ORUFI12G15740 transcript:ORUFI12G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPDWVMLDPYVFRDGPFPDDDPTAAIGHNSRGDKVGVRFLLRAPPEPSSIFLDWEAGTGDCDDFSVVAAHRDAVLFQMGYLVSLGSSNAYKAFDYLLYRAAGAGGSSPSLDLLAPFGGSVDELKARMEADGLIRLTNQHLRRLKCLDIGVLCRDGEEFAVAELQITRSEVGPELHVLRSSTSSRKWELKRPPITPANGGSLDLEKFLWDWDADTVIPFGSYLCWVDYCLGIFFCDMFDENPQLHYLEFPADVRGACFGGCCQAVGVTNGVMKLVSLVPDDGLIAENYTPESGFTIVCWTLRIDEMDKMVWEKDAVLKSDYLWSMLKPDFLWPLDEFPFITTNRFPRVQFPLISIDDSSVIYLVLAQNGRVEEAGYSYDETWLLAIDMSKMTLKMSFPYIEDEMGDPSPEMLAFAEDKFWRFEPFLAADFSRHFNLRCPRHVLLKPAEA >ORUFI12G15750.1 pep chromosome:OR_W1943:12:17542860:17543201:1 gene:ORUFI12G15750 transcript:ORUFI12G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVNDPHQVVYVGFILVGCAIYIKVWNSDEHEVRICR >ORUFI12G15760.1 pep chromosome:OR_W1943:12:17547040:17550578:1 gene:ORUFI12G15760 transcript:ORUFI12G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPGQPQEIPVFQNSTFTIPVNDPHQVWNSDEHEDLQVIVVISRPPIKVRKEMETRTGPTAAVAAGGGGHGAHLVCAGRGALLLALVVVSAAAFLPVAESSCPRDNSLVKDVSKMYQSNYGREGFSHITIAGALAHGMKEVEVWLQTFGPGQRTPIHRHSCEEVFVVLKGKGTLLLGSSSMKYPGQPQEIPVFQNSTFSVPVNDPHQVWNSDEHEDLQVLVIISRPPVKIFTYDDWSVPHTAAKLKFPYFWDEDCLPAPKDEL >ORUFI12G15760.2 pep chromosome:OR_W1943:12:17547040:17550153:1 gene:ORUFI12G15760 transcript:ORUFI12G15760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPGQPQEIPVFQNSTFTIPVNDPHQVWNSDEHEDLQVIVVISRPPIKVRKEMETRTGPTAAVAAGGGGHGAHLVCAGRGALLLALVVVSAAAFLPVAESSCPRDNSLVKDVSKMYQSNYGREGFSHITIAGALAHGMKEVEVWLQTFGPGQRTPIHRHSCEEVFVVLKGKGTLLLGSSSMKYPGQPQEIPVFQNSTFSVPVNDPHQVWNSDEHEDLQVLVIISRPPVKIFTYDDWSVPHTAAKLKFPYFWDEDCLPAPKDEL >ORUFI12G15760.3 pep chromosome:OR_W1943:12:17547040:17550153:1 gene:ORUFI12G15760 transcript:ORUFI12G15760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPGQPQEIPVFQNSTFTIPVNDPHQVWNSDEHEDLQVLVIISRPPVKIFTYDDWSVPHTAAKLKFPYFWDEDCLPAPKDEL >ORUFI12G15770.1 pep chromosome:OR_W1943:12:17552942:17554007:1 gene:ORUFI12G15770 transcript:ORUFI12G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRCEKEEAVAHPRAMAAGGRRSTGKGPTGEEEGKKVTRVLEWEGCVVLPVPTATADEAWVLLSDFLAFHRWHPRVAKCRLASPSAAAALAMFETRRQGWRGRRRPEVDGEEGYHNREHSEGGVGDSGQREQQATRMAAATRNPHAACSSRPLDFYACYQAVLSHSHATTSKSEILLVGHLHVCSETECWEHAADACLVALLVRSDHGTPCGDGTPPDWAHEMLLEHDAARRFFRYEMNGNSMGFGVFFAMFRVVVVVPDAAAGDAPGCELR >ORUFI12G15780.1 pep chromosome:OR_W1943:12:17555922:17559102:-1 gene:ORUFI12G15780 transcript:ORUFI12G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEVLGFESGGSHVTMDILPHEVSEPESNLLDINEKRRLAKESRPPLPPAMPWRGKRIVLDRYDGRLIMSQVPTKLPFTLHASRVDGRLRLSCMHPSDSVDELKVASNGKKDVEDHAKGNHGEKNVEYISSKAKVGEGNNLTGCGRSSGNAMCSEVLGFESGRSHVTMDILPHEVSEPESNLLDIHKKRRLAKESRPPLPPAMPWRGKHIVLDRYDGRLIMSQVPTKLPFTLHASRVDGRLRLSCLHPSDSVDELKGGKKDVEDHAKGNHGTLHTALNCNYPKSINFERKYESFFTHWILTNLVKRIVGYYINSTTCTFLYN >ORUFI12G15790.1 pep chromosome:OR_W1943:12:17563793:17565328:1 gene:ORUFI12G15790 transcript:ORUFI12G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKSSAAAAAAAANGNGHHAAADGIVVNGNGFHAEAMEVEKQGGDQKAEKLKALNSILLKEAADRRGQVAALTSRLDELSADDAALAAAERAVAQAALAAPLRAAADEVSALRARLAAVEGSLRSAESRGASEAAAKDEANARLEAVAEEKGHVLKLLRAKEAEVASVSHKVSKLEAMVAQLERNNSALFGEKDELAKQLEVTKEEIRMVSDQKAAVERSLGELKNTAQADQIEMEEKVKAKVEELKVLGAKKAEMDARVVSLEAELKAAVAKRGELEADAVAKKGEFDMVKGKNDRLCSEVATAVKKHRASEAEVERLCAELGVLTKEKEAAAKAFDAEKAGIMREFGELKQKVEEIQASKGAAEEAGREKDAQAVKLRNELKELHVSMSQLQASCDELDTKRSLLNDEKNSVQEALDAEKAEARKLKSKIEAIENCNVEKDGEIGKLKVALMEKREKIDVLIKDIEQLNLEVAEAHRKRKGGIWAWLYAATTTMVAAISFIYATKSR >ORUFI12G15800.1 pep chromosome:OR_W1943:12:17567569:17568083:-1 gene:ORUFI12G15800 transcript:ORUFI12G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGGGGGRGKSKGSKSVSRSSKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSRLLGAVTIAAGGVLPNIHQTLLPKKGGKDKADIGSASQEF >ORUFI12G15820.1 pep chromosome:OR_W1943:12:17583530:17588183:1 gene:ORUFI12G15820 transcript:ORUFI12G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRADAMAGSHRRRVRRRGRLRPHPLLPSPIPAKTDGGGHDNDNRNIADGRGPESSLCGGDGGDRAGAVCELAIADANSTVVWSVLPAAETTGPRTARIRDDSNLVVTDARGRVAWQGFDHPTDTLLPNKNMMLTVWKSPSDPSPSSVVVAMDTSGDPEVFVWNGPNKRSRPWDGLQFTGVPDTVTYKNFSFTFVNSAREVTYSFQVPNASIMSRLVLNSSGAGVGGGLLQQWTWVEAAGAWNLYWYAPKDQCDAVSPCGANGVLPVCSCLRGFAPRSPAAWALRDGHDGCARETPLDCANGTRQASTDAIRQQRVMNEPSL >ORUFI12G15830.1 pep chromosome:OR_W1943:12:17603238:17605008:-1 gene:ORUFI12G15830 transcript:ORUFI12G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNPNPHCFLRQGHIVHLGGNLRVPRVDLTIPQRPERRHEDFCLALVHPQVPEQDWDHHRLLILDHILDERLFEGPNWRASQYNREGWFLFLDFPLDFIDWEHLNLATASFGQLSFWLERDQMKGRVLIRAKYKENDSVPRKIVLHEPVGMGGGGESWTVSVFLLEGDFINMPPEEDLPPAGPQPNLAADDDEDPNDGHIWQMGYPQAGPGDWDDLVQQQNAADEQVEDGWGQDYPMGQIMEANPEGIIHLAAANPGHENVMVPFVPATNKGKKVQESDQDAQVQRFLARLEKIAQSEYPRSPYYYPMKGINEKIDLLCKERNYLSQFLASHSIPAALYEPSSFKTLVLPKKTMFDFSPQSQAHEDMEILDVMPLAAQLPSSPVCQTSAPLMLPKAPIKKRDGKTLLYNPYRRQSVRLQLNKGDFELKVDPRMGIGKPRGKSARKLKELAGIAKIFDDTSIKESDFNANVYDDIHSDSSPSDCSISLLQKMGVDMCGLAPEEVAESSLGGQRGKKMPRPDMEEK >ORUFI12G15840.1 pep chromosome:OR_W1943:12:17611681:17613123:-1 gene:ORUFI12G15840 transcript:ORUFI12G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIYATGLSGYLLWHNGWQDEVAAAHGQRLLGYFAAAHSGSLCHPYRLNMNPWPGELAFSDRTKLECKVELDFVRDAVH >ORUFI12G15850.1 pep chromosome:OR_W1943:12:17613125:17613892:1 gene:ORUFI12G15850 transcript:ORUFI12G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVQEQDKQLVVDLTSTAADQLARMCSAGEPLWCGCVARMMVVEEHARKFRCEILLASMNYQGMAIKYLINAHTRKPSRRSGNRKVLVNIIESQNHEAMDHQKDAKD >ORUFI12G15860.1 pep chromosome:OR_W1943:12:17633159:17634128:1 gene:ORUFI12G15860 transcript:ORUFI12G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGTKRKRGEDIHPSTATKGQAVPLAFAAPAPHGVLINLDSGAGSSASGKSDASSAPPCDNDINVAARFLTTVCATSPEGVRSFVRRVSPATVVRSLDLDLIRPISPQKMAEGKIRWSDDEIGIFLEACLEELSAMTITSTCPKPQGNKNLIQKMKERIGRNLTKDQVKYIWRQCRKRWMLWTWLESKATGIGRDPITQAIVADDDWWEDKDQV >ORUFI12G15870.1 pep chromosome:OR_W1943:12:17642675:17643523:-1 gene:ORUFI12G15870 transcript:ORUFI12G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQIPIKINPAVKEAIIPKKGEYNQLLLRISSLDQKQARGGIPQRERVFFVGMHWARTWSLLLKGVDEETVKSSCKLLEKCVLEFFSVYHWNFGGRFEA >ORUFI12G15880.1 pep chromosome:OR_W1943:12:17654678:17655670:-1 gene:ORUFI12G15880 transcript:ORUFI12G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSACPLFLKCGAFLSACSARRSDSSWLNVTAMNTDGTFSSHGVISIFSASSQAYCKFSNEKETVKDRLTGVECSSAYRSFDNSIAKALAMKDRKKDNS >ORUFI12G15890.1 pep chromosome:OR_W1943:12:17662563:17663203:-1 gene:ORUFI12G15890 transcript:ORUFI12G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENKLSPWASSTRPRATAQVARDLGPLLVVFTWPSERDFAGSGNMFLDGVDEACGEGHCLAGGWTD >ORUFI12G15900.1 pep chromosome:OR_W1943:12:17717272:17718012:1 gene:ORUFI12G15900 transcript:ORUFI12G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAASLLAALVSRTIAAYRAAYRRPPPTRFNIATAASASGPRLDYSVTALFSATPSACRLGHLLLLSRAGDAIATVPPAPCVSYDAKSDWCGQCNFCIILGSCTGTCNQIVD >ORUFI12G15910.1 pep chromosome:OR_W1943:12:17730279:17730953:-1 gene:ORUFI12G15910 transcript:ORUFI12G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSHVLTVDGYSGTKGFAVGSGVAVNEHVKFGTFVAGGHSWYIKYLPNITAVVTEWVSVFVCLNGKSDAAKKKDTAVTMKARCKLTLLDGRDGKAPLLPPRSPRAASSRPSPPARASLQLLHPRPPTVAAVFIAVVMAPLLLAALGCMNPATSLDTVWNRAASCTIRSSTATTSLVRCCTAPLVRSAGVRAASAFTACVFCYGPSVTPVSLSVSPRSPYEPT >ORUFI12G15920.1 pep chromosome:OR_W1943:12:17733637:17737015:1 gene:ORUFI12G15920 transcript:ORUFI12G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVVVVSPSGCKGGGGGGGGVGKKRGSGEEERERERQQLSVLEVLLAAVRRSVVACRVEREGGGGWGEEGEAEAEEGDAAAEVGEMEIGWPTDVRHVAHVTFDRFHGFLGLPVEFEVEMPCRVPSASASVFGVSAESMQCTYDGKGNSVPTILLHMQERLYAQGGLKAEGIFRINPENDQEEHVRDQLNKGVVPEDIDVHCLASLIKAWFRELPEGVLDSLSPEQVLQCNSEGEFLELVTLLRPTQAALLNWAVELMADVVEEEELNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNFLKTLILRTLRERDDAASGDYTPYSSPASSSQQNDAEYYGSERDMDRSCEMSDMHSEISRSGRQVDFLVRYNTCFDSEQEGVDPLSDVEEGFLRQLEHDLEADKREESAKKQHEISSEIMAVKDVQAELKVEAKAAGNTQKEEGAGSLQ >ORUFI12G15930.1 pep chromosome:OR_W1943:12:17737406:17741278:-1 gene:ORUFI12G15930 transcript:ORUFI12G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGGDPPPPGLFAAALHACSGASALEEHIHADDSNTISDNTLEQLGFLDQESNDASVNTEKIQSSTPKCKSVEDIPIAPAAKRCKNMDSKKLVPNSNNNSCLTGSQAPRKLPRKGDYPVQLRRNETFQDTKPPSTWICKNAACKAVLTADNTFCKRCSCCICHLFDDNKDPSLWLVCSSETGDRDCCESSCHIECALQHQKVGCVDLGQSIQLDGNYCCAACGKVIGILGFWKRQLMVAKDARRVDILCSRIYLSHRLLDGTTRFKEFHKIVEDAKAKLETEVGPLDGTSSKMARGIVGRLPVAADVQKLCSLAIDMADAWLKSNCKAETKQIDTLPAACRFRFEDITTSSLVVVLKEAASSQYHAIKGYKLWYWNSREQPSTRVPAIFPKDQRRILVSNLQPCTEYAFRIISFTEYGDLGHSECKCFTKSVEIIHKNMEHGAEGCSSTAKRDSKSRNGWSSGFQVHQLGKVLRKAWAEENGCPSEACKDEIEDSCCQSDSALHDKDQAAHVVSHELDLNESSVPDLNAEVVMPTESFRNENICSPGKNGLRKSNGSSDSDICAEGLVGEAPAMESRSQSRKQTSDLEQETYLEQETGADDSTLLISPPKHFSRRLGQLDDNYEYCVKVIRWLECSGHIEKDFRMKFLTWFSLRSTEQERRVVITFIRTLADDPSSLAGQLLDSFEEIVSSKKPRTGFCSKLWH >ORUFI12G15940.1 pep chromosome:OR_W1943:12:17743338:17745535:-1 gene:ORUFI12G15940 transcript:ORUFI12G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALREAEAELTVYVHPSNAADVRRAVSRQLSTTLFSYEDRFEGVLLAHEVVVNGDRAKILNGLVPYFGVPVHANLLLYSPQPDMILEGKVELLGKESIHAIVLGVFSAAIMADDINEKFKFKRKGDGGKFISRSDRHHVIRKGSMIRFSVKRVDTEMNCHITGSLLPPHTGSMPWLSTHDAEYASEISSGTRRPSNVGIKIKNEQDHKTSDNEDSVINSERPHKKSRKRAWEE >ORUFI12G15950.1 pep chromosome:OR_W1943:12:17747431:17752642:1 gene:ORUFI12G15950 transcript:ORUFI12G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLIRSASSRLLRARARAMATPSAARFSPSSNPARPPPPRLPLPRFLPPTPPQALLRARPLRTSAAVAAAAAVVEVGGVKIAREDVVKEEDPTNNVPDTIYSKIGLQLHRRDNHPLGILKNTIYDYFDKNFSGNFNKFDDLCPLVSIKQNFDDVLVPADHVSRSYNDTYYVDAQTVLRCHTSAHQAELLRDGHTHFLVTGDVYRRDSIDSTHYPCFHQMEGFRVFTPDEWSDSGMDGTAYAAADLKKTLEGLAKHLFGAVEMRWVDTYFPFTNPSFELEIYFQDDWLEVLGCGVTEQEILKNNGRIDNVAWAFGLGLERLAMVLFDIPDIRLFWSNDKRFTSQFSEGKLGVKFKPFSKFPPCYKDMSFWINEEFTENNLCEVVRGIAGDLVEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINDMQWNVREEVQNKLKVELR >ORUFI12G15960.1 pep chromosome:OR_W1943:12:17752766:17755687:-1 gene:ORUFI12G15960 transcript:ORUFI12G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGHGGALYHFGTSGAAVAAATAVTHPLALGSVRYSSSSVGLGNGSKEIGLQNWGADDSGLVSSIRYVIKVRLQMQLAGQRGNLVGMGTIFTQMVQVEGPRSLYLGLAPALTRSVIYGGLRLGLYEPCKYVCNYAFGSTNFAFKFASGVIAGALATALTNPTEVLKVRSQMSPSRTSTIGVLKKIVAEEGVKALWKGVGPAMARAGCLTASQMATYDEAKQRECKGARVYRNGFHCGYQVVVTEGVTSLYKGGFATFARLGPQTAITFVVCEKLRELAGMTAI >ORUFI12G15970.1 pep chromosome:OR_W1943:12:17757079:17761687:-1 gene:ORUFI12G15970 transcript:ORUFI12G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPSAAAAVARARTLLCVPATARAPREMAAELAAAAALGADVAELRLDRLAGFAPRRDLPVLLAQPRPLPALVTYRPKWEGGEYEGDDEPRFEALLLAMEMGAEYVDIELKVADKFMKLISGKKPETCKLIVSSHNFENTPSTEELENLVAQIQATGADIVKIATTATEIVDVAKMFQILVHCQEKQVPIIGLVMNDRGFISRVLCPKFGGYLTFGSLEKGKESAPAQPTVTDLINVYNIKQIGPDTKVYGIIGKPVGHSKSPILHNEAFRSVGLNAVYVPFLVDDLANFLNTYSSPEFAGFSCTIPHKEAAVRCCDEVDPIAKDILEPFNKSRVTFVSNNFVQDIGAVNTIIRKPNGKLVGYNTDYVGAISAIEDGIRASQPTDTTTSPLAGRLFVVIGAGGAGKALAYGAKEKGARVVIANRTFARAQELGNLLGAPALTLAELENYHPEEEMILANTTAIGMHPNVNETPLSKQALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAMGQFEHFTGMPVVDCKFRALANSLDNPDAMKAAGITAHKARL >ORUFI12G15980.1 pep chromosome:OR_W1943:12:17764854:17766056:-1 gene:ORUFI12G15980 transcript:ORUFI12G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSVLSPLLAHAHGRASPTSRAQPLIASRLNLAGFSASSPRRILPAPRASGGGGGGEEEDSRVQELRVPGSWLTPAGAAQESEWLRETLHKWLDDEYCPEPANVDISNTAARSFYESLTAKESDLGEILLKMVGDLQKLSYKESFHGAFSAANAAVSLISQRMESSSDD >ORUFI12G15990.1 pep chromosome:OR_W1943:12:17767040:17769638:-1 gene:ORUFI12G15990 transcript:ORUFI12G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLAAAASSFSPPAARRRLPGQLLVSEVTNVISRRSSVSFNSQRISFMSIRSRPSQLRFKICCSAKKETVDKVCNIVKTQLALPEGTAVTGESKFSELGADSLDTVEIVMGLEEEFNITVDETSAQDIATVQDAANLIEKLVLEKAA >ORUFI12G15990.2 pep chromosome:OR_W1943:12:17767040:17769638:-1 gene:ORUFI12G15990 transcript:ORUFI12G15990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLAAAASSFSPPAARRRLPGQVTNVISRRSSVSFNSQRISFMSIRSRPSQLRFKICCSAKKETVDKVCNIVKTQLALPEGTAVTGESKFSELGADSLDTVEIVMGLEEEFNITVDETSAQDIATVQDAANLIEKLVLEKAA >ORUFI12G16000.1 pep chromosome:OR_W1943:12:17773917:17776553:1 gene:ORUFI12G16000 transcript:ORUFI12G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAARTGMSVFVRGGGDGFAPVHAVVAGIRPSPPVLPFRSATASTSTATAGPPPPASASSTSPHGAPPPLGALDVGGRRKPMVPLPDTGGCVKPLSWLSGTGSGGSV >ORUFI12G16000.2 pep chromosome:OR_W1943:12:17774637:17776353:1 gene:ORUFI12G16000 transcript:ORUFI12G16000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSILLCALLLPDWYPKESPSLWGWPDGRCPRRQPSSHIHRSRAVVTDICRQGGLENLQHFVGSTLICFIGVVLLVGFVHA >ORUFI12G16010.1 pep chromosome:OR_W1943:12:17779332:17779613:-1 gene:ORUFI12G16010 transcript:ORUFI12G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIKAEEVDGPISPAPRQRTRVKSRRPSGAAAERMGAQATPATWCERDGEEEGMEVATESSENVDQRRATLVVLLLLLLLLLLLLLLLTQMQ >ORUFI12G16020.1 pep chromosome:OR_W1943:12:17780294:17783539:1 gene:ORUFI12G16020 transcript:ORUFI12G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEGEATTCHKRSAAGQEEEEESKRPRDGSEEEEELLTDLSRYRRYWTDLWSDVSGDIAKRTEFGPMRYTEEPVPPFAKLQDLLEVFSFEVTELKGILSWPIDVFGLISVRDSLDRNRNYIFERTRNYCQTLTAKDSSLVLTGPSRAVQLIDPVEFEIELRVKGTSPSKDKILSAEAFGYNCIAQRLRCGSLRSMMLSGARSTLEFKYAHIPLALEATIKVRITRGSTDFCGKFIAHTASIKEDVILLDSGEEMVAISHDGAINFSRSVVAVEGNGGVLTVGVHARQSGGENMRCSYKEFIPVRCGRSHDTLDVGFCQMSVEVAWSLIF >ORUFI12G16030.1 pep chromosome:OR_W1943:12:17789679:17790377:-1 gene:ORUFI12G16030 transcript:ORUFI12G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETINDPAETQKVLAHPGVLLPFRPISNDVFSHYPAIPIAQPVPARPRVDAPPLVALQLPPAHPSLPPHHAGSARRFLGAGSQLPFTTAATG >ORUFI12G16040.1 pep chromosome:OR_W1943:12:17794488:17796607:-1 gene:ORUFI12G16040 transcript:ORUFI12G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDAGSRVAAWDWACGMGAPGRAGARAWRGPAAARLCDRVIRENHRMRSRHRVTSAWVEIVFVCLADHFNLCENCCEPGSRGFNGLWKLMNIQMKDLVIDDFMNLNVWVSLVFFPSCMPTTLQVIATSRPLCRLQHASRCEGISG >ORUFI12G16050.1 pep chromosome:OR_W1943:12:17797694:17805485:-1 gene:ORUFI12G16050 transcript:ORUFI12G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAACDAEIPVLNINVVDLSSQNYNDLMDDIGQLFQQRAHHDFRNTMVTFCRTVGPPSVLDSYYRLLNFNNGLPRDHPLIHVQRKAIARLAVMFCEAARLRSVRALVYHQMDLYVNGTITSLITRKRITSWSLISAFALHCWRREHDGIEGYLQEELDKLHPIDIYDANLVAGEPDGELLLILYREEAFAGLQQHAPEPQLQ >ORUFI12G16060.1 pep chromosome:OR_W1943:12:17805524:17805968:1 gene:ORUFI12G16060 transcript:ORUFI12G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVGNSRLAALEGGERVVLQKGGEHKHQERRSTTVQRNGMIGRNPERKWSEAPVVVAEEEGDPPVAEEEDEEEGVDFISDLPDAILGEIIVRLPTKEANSTQVLAALMLHTAQPRLLSSPREKGCPRWLRFRIPS >ORUFI12G16070.1 pep chromosome:OR_W1943:12:17809939:17810478:1 gene:ORUFI12G16070 transcript:ORUFI12G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIEFEIELRVKGTSPSEDKILSAEAFGYNGTAQRHRCGSLRSMMLSGARSTLEFNYAHIPVALEATISVRITGGLTGFCGKFIAHTASIKEDVILLDSGEEMVAISHDGAIDFCRSVVALEGNGGVLTVSVHARQSGDENIICAYKHFIPMSVEVAWLLIF >ORUFI12G16080.1 pep chromosome:OR_W1943:12:17813800:17820263:1 gene:ORUFI12G16080 transcript:ORUFI12G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKPEAGEGEATNCHRRSAAGQEEEEEESKRPRDGNDEEEELLTELSRYRRYWTDLWSDDSGDIDRRTEIGPMRYTEESPRFAMLLDLLEVFSFEVTELKGILRWPIDVFGLISVRDSLDRNRNYIFERTRNNCQTLTAKDSSLVLTGPSRAILLMDPIEFEIELRVKGTSPSEDKILSAEAFGYNGTAQRHRCGSLRSMLLSGARSTLEFKYAHIPVALEATIKVRITGGLTDFCGKFIAHTASIKEDVVLLDSGEEMVAISHDGAIHFSRSVVAVEGNGVLTVGVHARQSGDENNSCDYKNFIPVRCGRSHDTLDVGFCQMSVEVAWSLMLSY >ORUFI12G16090.1 pep chromosome:OR_W1943:12:17817760:17821497:-1 gene:ORUFI12G16090 transcript:ORUFI12G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVASSPSSRRRCTAPDSLPSQCQIHWQAVDPAFGLPPVDPTAQSLAGAEDSELARRLRGPAVAMGKRLSFMNAYLAEDCNPVRCWVITAAVAFVTLIGIPGIKPSLLEKFGARLWKSFLKPDDVATLESMAAALQDAVNGLRPSRAGIG >ORUFI12G16100.1 pep chromosome:OR_W1943:12:17833189:17838734:-1 gene:ORUFI12G16100 transcript:ORUFI12G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIPILHISVVDLSALSYNKLMDDIGGRFQRRAHHNFRNVPITSNEEGWHIISLDMPESPSVQILIDQRNAYLIAIRNGAGQWFNFSDTPAPDIFNAQPILYLKADYGHLLQDWDEVTVGPPSVLDSYYRLLNFNNGLPRDHPLLHVQRRAIARLAVMFCEAARLRSVRALVSHQMDLYMNGTITSLITRKRITSWDLISGFALHCWSREQDGIGGYLQTELDKLRRIGIYAANHVAGEPDGELLLILYRQDVFANLQQPAQQQQ >ORUFI12G16110.1 pep chromosome:OR_W1943:12:17838285:17838728:1 gene:ORUFI12G16110 transcript:ORUFI12G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVGNSRLAALEGEERVIRALEPAPHLGHPAKGGEHKHQERRSTTVQRNGMIGRNPERKWSEAPVVVAEEEGDPPVAEEEDEEEGVDFISDLPDAILGEIIVRLPTKEANITQVLAALMLHTAQPRLLSSPREKGCPRWLRFRIPS >ORUFI12G16120.1 pep chromosome:OR_W1943:12:17840114:17845348:1 gene:ORUFI12G16120 transcript:ORUFI12G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRDGSEEEELLNELSHYRRYWTNLWSDDSGDIAKRSILRWPIDVFGLISVRDSLDRNHNYIFERTRNNCQTLTAKLNMKNTSKLLLPCACFTSKTSNKLTHGLYNNVEYITPHHQLTGDGCRHAMRRLRLAAQDLVRSCAARALDQPGLSSLLRRLSHRPPSPVRWLVADNAGVKGTSPSEDKILSAKAFGYNGTAQRHRCGSLRSMMLSGARSKLEFKYAHIPVALEATIKVRITGGSTDFCGKFIAHTASINEHVILLDSGEEMVAFSHDGAIHFCRSVVAVEGNGGALIVDVHARQSGDENISCASKKFIPVRCGWSRDTLDVGFCRMSVEVSWSLMFSY >ORUFI12G16130.1 pep chromosome:OR_W1943:12:17846884:17848929:1 gene:ORUFI12G16130 transcript:ORUFI12G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNNNLEDLLLQAAGRTTGAGKGGGARGPSSGQGQRWSSQQQQRGRRGSSYSGGSGSDDDGGGGGDGGDSDDSDAAPTLSRKRPPSGSQVPLKKRHQPEKGGGRGGGGGGWKEGDDDDDDDDDDELDEGRRSGDDSDSAPSVGSDLYKDEDDKEQLEKMSELDREMILAERGARIDDYKLKQLARASSSSSKADKSRRDSSPPPPPSRARSSARTDRSAAKSALDELRAKRMRQQDPEGYRNRFKDLIPQSGSPPRRRAGSPPSDGSNDGDNRGRITDETRDDEFDESPSRLDPLKFDDVKSITLRRSKLVKWFMEPFFEDLVSGCFVRLGIGKSKSGTPDYRLCIVRNVDANDPDRKYKLEGYTTCKYLNVVWDNEANAARWQMTQVSDSFPSEEEFKKWLQVAEKNGVRVPTRQDVLEKKEAIQQAYNFVYSAHTVQKMLKEKKSAIRRPINVAAEKDRLRNELDMAIARRDEAEAERIRSKLNQLQKMSKPISNNEKAAKLEAMNKKNRAENFKNASEMKPVNTSLKVGEAGYDPFSRRWTRSRNYYAAKPEGESAEVPNGNDGSAVAGDEDTKNGPRGGSAATAAALVAAADAGKLVDTNAPVDLGTESNLLHNFELGISLAGLEEFGGPKGLFDGYMARKQKIEATMGYKVPDNDGRRHALTLTVSDYKRRRGLL >ORUFI12G16140.1 pep chromosome:OR_W1943:12:17849839:17852424:-1 gene:ORUFI12G16140 transcript:ORUFI12G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSRVAFVLVDGIGDVSIPSLRGRTPLEAAAAPGLDAVAAAGVAGLMDPVEPGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMAPGDIAFKSNFATLDESTGIIVSRRADRHFEEEGPILCAALDGLKLPSFPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLHLKAEPLDDSEEAKNTAAVVNELSKEITRILVSHPINAQRSAEGKNIANVVLLRGCGIRIEVPAFETKHGLAPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKAKAIAKALSAPLDTPPRVFVPGEDEYKSGKENGYDFGFLHIKAIDDAGHDKAVKLKVLGLEAVDRAIVQLARLLWEAEKSGKYQYFLCVTGDHSTPVEYGDHSFEPVPFVLCRLRDFVGAMGEDNVINFPLDDFLLPSVKSGEDVAENIDVADRKSDQRKAFSGDSVFEFNEIAAARGCLGRFPGSEMMGIIKKFIKAKND >ORUFI12G16150.1 pep chromosome:OR_W1943:12:17853981:17861450:1 gene:ORUFI12G16150 transcript:ORUFI12G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMSGHFQMASVLKLVMMESHATPDDLTGDKGAAQILHRQLFDAHEPNLLDEDDMHIFGSKPMADPLDLVFCNTCKKPIKASQYAVHAERCSSGKVNPNDSMGTGIDDDCGTKKPPKKGRKIKLTNQKVHIKLKAKSQSENKNIANGFELDNVLANKVQPIGSTIDQRLKSSANNPALTSVPQGHHRDAPVPLATKMYHSQGNYRLRLELGQLYRQSCSEHSGSYSIPNSSQENGLMVSHLSPRDNSSLNVAQKSFVPQTKSMDQLLASTPESCPVIPQQVAASVPNRQATKSQKAEVQVSAVKNEMARSRCSKDAVRHSKNTGRLLV >ORUFI12G16150.2 pep chromosome:OR_W1943:12:17855574:17861450:1 gene:ORUFI12G16150 transcript:ORUFI12G16150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMSGHFQMASVLKLVMMESHATPDDLTGDKGAAQILHRQLFDAHEPNLLDEDDMHIFGSKPMADPLDLVFCNTCKKPIKASQYAVHAERCSSGKVNPNDSMGTGIDDDCGTKKPPKKGRKIKLTNQKVHIKLKAKSQSENKNIANGFELDNVLANKVQPIGSTIDQRLKSSANNPALTSVPQGHHRDAPVPLATKMYHSQGNYRLRLELGQLYRQSCSEHSGSYSIPNSSQENGLMVSHLSPRDNSSLNVAQKSFVPQTKSMDQLLASTPESCPVIPQQVAASVPNRQATKSQKAEVQVSAVKNEMARSRCSKDAVRHSKNTGRLLV >ORUFI12G16160.1 pep chromosome:OR_W1943:12:17861361:17862069:-1 gene:ORUFI12G16160 transcript:ORUFI12G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRGLELSLACAAPSPAADFGEEEEEELRRPPPPRRRRQQPTMAALYAELASLLPGLRSRASREEIVEAAAKQVKVLEDTVAVLEAYRAVQVGGAAAAEEVSVSYRETVCFAARLPAARRPGALTRVLEVFDRRGVEVLAATLAGGGRAAMVTVTAAAAAPDIAEMIKADIASIE >ORUFI12G16170.1 pep chromosome:OR_W1943:12:17862954:17863629:1 gene:ORUFI12G16170 transcript:ORUFI12G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRHASTAPAPTTLLPRAPAATFGFSSSSMPFLYWCWCDVMVYVIAVGGLCLAVVVFATAGVGRRGWAAWGCKRPGQSSSLGEDGAADELKEQLQGALQENGQLKRELQQYTXPPPPPLRCLCSAAALARRLLRPQPAAALLLRSPIAHIGARRFRPPPARRRGREERKEKKRKRKEMCS >ORUFI12G16180.1 pep chromosome:OR_W1943:12:17879584:17886559:-1 gene:ORUFI12G16180 transcript:ORUFI12G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGGGGEGGKEEGHSASEAVSIVLRIVTVGLSLASAITTAASTQCLSPAIAAAIAGDLKLWRESRAVLRILLEATPAAPSAMVYKRSRYY >ORUFI12G16190.1 pep chromosome:OR_W1943:12:17900413:17900856:-1 gene:ORUFI12G16190 transcript:ORUFI12G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGSEEEGHSASEAASIVFRIVTVGLSLASAITTAASTQCVRGDDXGLLPSRRDLLLRLRLHQARRQARRRRHAAADDEEEETAVLPSSALQDTSTGTTRVPFAAEGSSAHAAAAAAAAADDAAAAVRGVPEADDPVQLREPRA >ORUFI12G16200.1 pep chromosome:OR_W1943:12:17900910:17906512:-1 gene:ORUFI12G16200 transcript:ORUFI12G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTPDPLEATHPTTGSKPNQTGKTGEETISLGAEEGVEDARHGLAGGDIGQPSARRVLESSLHSAGSSCYVRTGDCAVVVRGVEQYLYIVVAAASHGSAVFRGAPPPPRRRPSPQEPAMKRPPPREDGAGSGGGDGAKKGKGRWGGGGRRRNEQRRGSGGGGALSLAAFAYAKSRNTGYNPALIKKQKEFYKNAKLISKYKRSKKQQNQSSNPPPFPIPKEGGDDANNASKLHSKKKKRVAPSLNEEYEKKRAEDEKAKKEREAIIQAKREERERSEARRRDLREKMFKKTRSGQPVMKYRIQHLLETALESSNKRCNLPNQLVLSVNSSSSSYLAF >ORUFI12G16210.1 pep chromosome:OR_W1943:12:17908784:17915227:1 gene:ORUFI12G16210 transcript:ORUFI12G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLDAGHRATPEPSSSSSSAAAADMSGASVLPLAGAAYQPYVSELLSFSIERLHKEPELLRVDAERVRRQMQEVAVENYGAFIAASEALSFVRAQLEGFDRHLEALIEEIPNLTSGCTEFVESAQQILEERKLNQTLLANHSTLLDLLEIPQLMDTCIRNGNYDEALDLEAFVSKISKLHPDLPVIQGLAVEVKKTIQSLISQLLQKLRSNIQLPECLRIVAHLRRIGVFSESELRLQFLRCREAWLSGILDDLDQRNVYGYLTGMVGYHRTHLFDVVNQYRAIFNNDKSGSDENYDGGLLFSWAMHQISNHLTTLQVMLPNITEGGSLSNIREQCMYCAMGLGLVGLDFRGLLPPIFEKAVLNLFSKNMGTAVENFQVVLDSHRWVPMPSVGFVANGVVDETSDDVTPPSVLMEHPPLAVFVNGVSAAMNELRPCAPLSLKNVLAEEVVKGLQAVSDSLVRYNAMRMLRGNESSLFLSLCQAFIEVAYPYCSACFGRCYPNGAILITERRSTFDAVSQLLTVPARSNSSGISIERRQSGSIERKQSGAIERKQSEGIERKQSIDESAGTTATDNGTPANGPPPVANDDSGTSSAPAQPDGQTTAAAST >ORUFI12G16220.1 pep chromosome:OR_W1943:12:17918015:17927609:1 gene:ORUFI12G16220 transcript:ORUFI12G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGPTTNPNPPVGSYPLPLMLCETSCGGSSSPKQRRWLLLLLVAVAPPPSSDGSCCFSRRRRLLFLPQWRLLLPAAGAASPGDDYCLFPTTNGHHSSPSLSPIMEKMEKLTQGHFGDEGESLANKLTSSRCTYLFMAPMHRSIIREKRIPWPRSGWDLIPFVALQLNVQVRGAVGGEVANGLRGLGSADAVRHRHRGGWIRPPAEGRTSRGGGGGGWRRDGCGGGGGVKVKAVLKRAEMEWLMAQLKTGDRRLAGHRSRPVSFVGTNHGSSTLQDERDRIYKEDEYRPGAGGFLQKCIQQPPPLPPFYIQTPLPLPSPPPPPPSGRMGGAHFPGEGEVVAGEGDAVVPLRDVGKMEHGCEHYRRRCKIVAPCCGEVFACRHCHNDATASGDRHTICRQDVEKVVCLLCDTEQPVSQVCINCGVNMGEYFCDVCKFYDDDTEKGQFHCYDCGICRVGGKENYFHCAKCGSCYAVALRDNHQCVENSMRQNCPICYEYLFDSLKGTRVLDCGHTMHMECFSEMVEHNKYTCPICSKTALDMTHHWALLDQEIEATIMPPVYRYKVWVLCNDCNKVSEVDFHVIGHKCSHCNSYNTRSTSRPADLSGSSSPSTSDSSENNP >ORUFI12G16220.2 pep chromosome:OR_W1943:12:17921689:17927609:1 gene:ORUFI12G16220 transcript:ORUFI12G16220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMEKLTQGHFGDEGESLANKLTSSRCTYLFMAPMHRSIIREKRIPWPRSGWDLIPFVALQLNVQVRGAVGGEVANGLRGLGSADAVRHRHRGGWIRPPAEGRTSRGGGGGGWRRDGCGGGGGVKVKAVLKRAEMEWLMAQLKTGDRRLAGHRSRPVSFVGTNHGSSTLQDERDRIYKEDEYRPGAGGFLQKCIQQPPPLPPFYIQTPLPLPSPPPPPPSGRMGGAHFPGEGEVVAGEGDAVVPLRDVGKMEHGCEHYRRRCKIVAPCCGEVFACRHCHNDATASGDRHTICRQDVEKVVCLLCDTEQPVSQVCINCGVNMGEYFCDVCKFYDDDTEKGQFHCYDCGICRVGGKENYFHCAKCGSCYAVALRDNHQCVENSMRQNCPICYEYLFDSLKGTRVLDCGHTMHMECFSEMVEHNKYTCPICSKTALDMTHHWALLDQEIEATIMPPVYRYKVWVLCNDCNKVSEVDFHVIGHKCSHCNSYNTRSTSRPADLSGSSSPSTSDSSENNP >ORUFI12G16220.3 pep chromosome:OR_W1943:12:17918094:17927607:1 gene:ORUFI12G16220 transcript:ORUFI12G16220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPCSGCCFSRRRLLPLPNNKRTPFLTVPFTNRPLCAIKCEHYRRRCKIVAPCCGEVFACRHCHNDATASGDRHTICRQDVEKVVCLLCDTEQPVSQVCINCGVNMGEYFCDVCKFYDDDTEKGQFHCYDCGICRVGGKENYFHCAKCGSCYAVALRDNHQCVENSMRQNCPICYEYLFDSLKGTRVLDCGHTMHMECFSEMVEHNKYTCPICSKTALDMTHHWALLDQEIEATIMPPVYRYKVWVLCNDCNKVSEVDFHVIGHKCSHCNSYNTRSTSRPADLSGSSSPSTSDSSENNP >ORUFI12G16220.4 pep chromosome:OR_W1943:12:17918015:17921353:1 gene:ORUFI12G16220 transcript:ORUFI12G16220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGPTTNPNPPVGSYPLPLMLCETSCGGSSSPKQRRWLLLLLVAVAPPPSSDGSCCFSRRRRLLFLPQWRLLLPAAGAASPGDDYCLFPTTNGHHSSPSLSPIVLYVQSSLTLS >ORUFI12G16230.1 pep chromosome:OR_W1943:12:17918108:17918302:-1 gene:ORUFI12G16230 transcript:ORUFI12G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVCCWEEAVVVAGRSSTRCREKQPPLREKQQSSSPGEAAAAVTAWGRSHRHQEKQQPPPLLG >ORUFI12G16240.1 pep chromosome:OR_W1943:12:17921961:17922958:-1 gene:ORUFI12G16240 transcript:ORUFI12G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETAVAGLELRHEPLHLGALQDRLHLHPAAAAAAVSSPSSSSSSSAGSSLSRWTNPSSAVAMANGIGATQSPKAVSNLAPDRSPDLDIELQGYEGNQVPTRSGPRS >ORUFI12G16250.1 pep chromosome:OR_W1943:12:17928297:17931404:1 gene:ORUFI12G16250 transcript:ORUFI12G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGLAMGEYCRSGIRKRSRSTGTILERDDATHCTCMHPFVSAMRLKIEKCLLSFEQIVVLKYHGSFSENITRLGRTKEPKSTEYPLRM >ORUFI12G16250.2 pep chromosome:OR_W1943:12:17928297:17931404:1 gene:ORUFI12G16250 transcript:ORUFI12G16250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILCYVCVAAERAGALGGDSRCSTICSRGHRVQGFYSVPHDFWAGHGRILQEWHTEEIKKHGNHSRA >ORUFI12G16250.3 pep chromosome:OR_W1943:12:17928297:17929655:1 gene:ORUFI12G16250 transcript:ORUFI12G16250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGLAMGEYCRSGIRKRSRSTGTILERDDATHCTCMHPFVSAMRLKIEKCLLSFEQIVVLKYHGSFSENITRLGRTKVRKHPNQYITSKEDSLEVKMRDDDRAIGIIFI >ORUFI12G16260.1 pep chromosome:OR_W1943:12:17936752:17937063:1 gene:ORUFI12G16260 transcript:ORUFI12G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMKLASERAVVIFTLSSCCMCHTVTRLFCDLGVNALVHELDQDPRGKEMERALLKLLGRGPPVPVVFIGGKLVGGTNKIMSLHLGGELIPMLKNAGALWL >ORUFI12G16270.1 pep chromosome:OR_W1943:12:17947850:17948164:1 gene:ORUFI12G16270 transcript:ORUFI12G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAKLSTEKAVVIFTASNCPMCHTVVSLFSDLGVGAAVHELDRDPLHGRDMERDLARRLGRSPPVPAVFIAGKLVGSTDRVMSLHLAGKLVPMLKAAGAIWL >ORUFI12G16280.1 pep chromosome:OR_W1943:12:17954602:17961077:1 gene:ORUFI12G16280 transcript:ORUFI12G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMFGFSRSRMRIGRSKGHSTDPLDSSKSPSGLVKHLSLPNGDDQITTSVSGRVDDLAFRCSSDTYDLDDRALVSSRNWAVLSTEGSRPSPRFAHAAALVGSKMVVFGGDSGDQLLDDTKILNLEKLTWDSVAPKVRPSPNRRPSKLPACKGHCLVQWGNSVILVGGKTEPASDRLAVWTFNMETEVWSLMEAKGDIPAARSGHTVTRAGATLILFGGEDTKGKKRHDLHMFDLKSSTWLPLNYKGSGPSPRSNHVAALYEDRILLIFGGHSKSKTLNDLFSLDFETMVWSRVKIHGPHPTPRAGCSGVLCGTKWYIAGGGSKKKQTWAFDVVEYKWSDFSMVPLYHRDKIVLVAFGGNRKEPSDKVEILVVLQNEHSFSRRSAPDVDPLLYEYSPSTKELASHLNNCAPLYSNSSVARHSLASTVEHPPRRELLSEPLLQNPNLGASLHRQFHQSEACSLAQKLQKPIDDDKYDDTDDCSSCQASTPKEYRSKRTGTDAQINMARILSSKEENLETTGSSARRIARCSSDISHLYNTKIVDLIKRSSALEDQLATALVSKEQAEKNLSSVINSREQLERRLANKEKEAEMLKEKIAGLELAQEESNNLSNTVHADNELHSTRRVLAGEQSRAFQLQDSLLALM >ORUFI12G16290.1 pep chromosome:OR_W1943:12:17961288:17968223:-1 gene:ORUFI12G16290 transcript:ORUFI12G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSINLRGFAGNAGKNIMQGIGGFVFGNERSESKEDSYVERFLDRISNGTISDDRRSAMTELQSLVAESRSAQMSFGAMGFPVLLNVLKEDREDVELVRGALETFVSALTPIETSQGPKTEVQPASVNSDLLSRETENISLLLSLLSEEDFYVRYYTIQLLTALLTNSLKRLQEAILLIPRGITVLMDMLMDREVIRNEALLLLTYLTRDAEEIQKIVVFEGVFEKIFSIIREEGYSDGGVVVQMLLKETIGFDPLIAILKIRRGSAFNFTQQKTVNLLGALDTVELLLMGGGSSGEPGKDANKTTNQTALAQKNILDHLLLLGVESQWAPVALRCMALRCIGNLVLRNPQNLDSLASKQVGEDPHVQPALSAIFSIILRTSLAQEFVAADYVFKCFCEKNPGGQALLASTIAPHPNQAHATFGATTDMPFGSILLQALVSSDDNGDMEACCRASSVLSHIIKDNLQCKDRVLQIQLETPAPSLGRTEPLLHRIVTCLSIAASAEGENGQSGQPEESYIQPVILRLLIVWLVDCANAVDCLLESAVHLNYIIELASSKRYSACVRGLAAVVLGACVLYNASREKGRDAFAVADAISQKIGLSTYFLRFDELRKRFAHSSSGQQNRKQLSRSSANSMSDFQEIEEEEINKGDQHPVLSEIFDSHFVSFLSKLETDIRDNIMDIFSRTKTATAIIPAELEQKNGEVDGEYIKRLKSFVEKQCNEMQKPSSGRERVQIESLRQELEGATRRIEALRAEHTQIEAEASNQRNLAAKLESDLKSLSDAYNSLEQANFRLDSEVKALRQGGSAPYPDVEAIKAEAKEEAEKESEAELNDLLVCLGQEQSKVEKLSTRLAELGEDVDTLLQGIGDDTALPDDDDDEDEDDE >ORUFI12G16300.1 pep chromosome:OR_W1943:12:17970680:17971405:-1 gene:ORUFI12G16300 transcript:ORUFI12G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLGMGRRLASRAVAASVSLAATAPSQTAAQSTPPVHAHRPFSRCPR >ORUFI12G16310.1 pep chromosome:OR_W1943:12:18008748:18009074:-1 gene:ORUFI12G16310 transcript:ORUFI12G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVYCKEPGYYGFARFVGGCLWTIGDCSVGPLSFGPQARLDNHHACTGYGHRPLLVGAWAAIGPLPMTCGPLSHFVLLSPWTGSMFLLPRFFSLGG >ORUFI12G16320.1 pep chromosome:OR_W1943:12:18015821:18016132:-1 gene:ORUFI12G16320 transcript:ORUFI12G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVGTSTQYFRQGKKEASIMLISVGWAQRGTHLTAGTNQGRFQGLAMEMVAMMARPECMLPRQQDCFKNAGVLKALSVE >ORUFI12G16330.1 pep chromosome:OR_W1943:12:18034347:18039841:1 gene:ORUFI12G16330 transcript:ORUFI12G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTAHTTVKEDTEAPSTVPSHAYKVLSFDDILSDAIGIMTPIGPVQTVSCGGVMKAVLNVHITNGSETAVIALWGAHDTQFHAENLQQQADHGPVVIFLHGNPRVVRAIEPNFGQKEAVHVKVSDICDLNPHEALSLLKPGGVDPSDLANHDAKTAEFTFFGEIGYQLIGIPVLNLVASVQGARDIVPSKIKAVFGKQYVIRTSVSRGSLQRNRISYQVDSLMLASLDAAHTSTLPSHDASMASSQHGSSPADAIEPHTIIGSSLQSMTPSTPLVLPDPKLLAMAQLDKKRKSSAIDEDLAQEGDGATIVLCHERTVATGLATAAAPLRGEPYEDQGSIFTKTVHIVEYSNLTMTKIISIRTS >ORUFI12G16330.2 pep chromosome:OR_W1943:12:18034626:18039841:1 gene:ORUFI12G16330 transcript:ORUFI12G16330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHINARGASLMQLKQGVDPSDLANHDAKTAEFTFFGEIGYQLIGIPVLNLVASVQGARDIVPSKIKAVFGKQYVIRTSVSRGSLQRNRISYQVDSLMLASLDAAHTSTLPSHDASMASSQHGSSPADAIEPHTIIGSSLQSMTPSTPLVLPDPKLLAMAQLDKKRKSSAIDEDLAQEGDGATIVLCHERTVATGLATAAAPLRGEPYEDQGSIFTKTVHIVEYSNLTMTKIISIRTS >ORUFI12G16330.3 pep chromosome:OR_W1943:12:18034626:18039841:1 gene:ORUFI12G16330 transcript:ORUFI12G16330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHINARGASLMQLKQGVDPSDLANHDAKTAEFTFFGEIGYQLIGIPVLNLVASVQGARDIVPSKIKAVFGKQYVIRTSVSRGSLQRNRISYQVDSLMLASLDAAHTSTLPSHDASMASSQHGSSPADAIEPHTIIGSSLQSMTPSTPLVLPDPKLLAMAQLDKKRKSSAIDEDLAQEGDGATIVLCHERTVATGLATAAAPLRGEPYEDQGSIFTKTVHIVEYSNLTMTKIISIRTS >ORUFI12G16330.4 pep chromosome:OR_W1943:12:18034347:18036365:1 gene:ORUFI12G16330 transcript:ORUFI12G16330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTAHTTVKEDTEAPSTVPSHAYKVLSFDDILSDAIGIMTPIGPVQTVSCGGVMKAVLNVHITNGSETAVIALWGAHDTQFHAENLQQQADHGPVVIFLHGNPRVVRAIEPNFGQKEAVHVKVSDICDLNPHEALGNSYIVKIAIKDLVPAEAWW >ORUFI12G16330.5 pep chromosome:OR_W1943:12:18035193:18039841:1 gene:ORUFI12G16330 transcript:ORUFI12G16330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHINARGASLMQLKQGVDPSDLANHDAKTAEFTFFGEIGYQLIGIPVLNLVASVQGARDIVPSKIKAVFGKQYVIRTSVSRGSLQRNRISYQVDSLMLASLDAAHTSTLPSHDASMASSQHGSSPADAIEPHTIIGSSLQSMTPSTPLVLPDPKLLAMAQLDKKRKSSAIDEDLAQEGDGATIVLCHERTVATGLATAAAPLRGEPYEDQGSIFTKTVHIVEYSNLTMTKIISIRTS >ORUFI12G16330.6 pep chromosome:OR_W1943:12:18026508:18034441:1 gene:ORUFI12G16330 transcript:ORUFI12G16330.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRRRRGWLRLLLHYAESPMRTRGGCGLQLASLPHIASSFGGREGGIFAFVSYFSLRDVTQESHRWQVRVRVTRFSQFTTANEPDKILRLDLVLLDEQGDMMDAQIPGRHVSQFKPLLKEDAVYYIKYFEVAEARP >ORUFI12G16340.1 pep chromosome:OR_W1943:12:18039701:18045801:-1 gene:ORUFI12G16340 transcript:ORUFI12G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYNWLLLYTEWLRRRCYCFVRSCCYKRDYPVGTEEPAQEQRRLVQIRSKTLLSEVLRGIGANEARYSCRAVADGYVGFAEATVYGGPDADDLSHREVGVFYDVHRLGEYAESFVDEGLANLTSVAARYI >ORUFI12G16340.2 pep chromosome:OR_W1943:12:18039701:18045801:-1 gene:ORUFI12G16340 transcript:ORUFI12G16340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWYNWLLLYTEWLRRRCYCFVRSCCYKRDYPVGTEEPAQGGPDADDLSHREVGVFYDVHRLGEYAESFVDEGLANLTSVAARYI >ORUFI12G16350.1 pep chromosome:OR_W1943:12:18047021:18050452:1 gene:ORUFI12G16350 transcript:ORUFI12G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTTLVAASSHRRRRRRGSTPAQAQAQAPAPEGVPGWILLNSGAHIGSRSNATTAVGEARDKLTIEVSLWPAQPPHPSDVFVCCPGVRHPRESEILFTAQDLLLLRVPVAAGAAPSLISFTECDYLIYRAAAGDRRPSLTLLPNPKPNYFHDGDVGILPRGGGEYTVAALVARRRRGQTVWMDDPRRPPPVEIPINARRLNHHITTTTITLGGELAGAMGWVDLWTGILIYDLLHDDKDQDRPTLRHMPLPLPMHAITGNHGMGDKLALGCPRSLRGIASVTRRGKACLKLAGVHVTGERLPYNDAETQLPAFAVDDWTVTTWSNDKMKGYFEDWQEDFTIRGSEVRISNAMRSDLLRSGLLYRKPSRGDGDEATVEELALHNLWVSHPTPSLDGEEDVIYLLAKPKCFHPKAWVLALDTRSSTLLGVVEFGTETAPSAGVTYRPSTISKYMSLVTSPVLINALTLEPQPYAKHGI >ORUFI12G16360.1 pep chromosome:OR_W1943:12:18052191:18053598:-1 gene:ORUFI12G16360 transcript:ORUFI12G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKKGLCWNGKWKAEHMKVRNDIKDFVITEVPNDTTSKEGMQADFRNFFEIIFPYYEHEEIDSASGEKKKVLPCYFLQFQHNCMEVPEVHEREKLEKFQRFLGCHPAFMSPAALSTLICHLYRDCDSLRKLQDTVYEPLQVSETLLIEWRGVRHFGIPFSNVYWHFFVDVYELGYWFLLKYLRNFIEHAHRYTKDQGTVLDIVTTALMIGEYLSKFVPQLILFIVRNCDIDGPFSTTWTMFEDSE >ORUFI12G16370.1 pep chromosome:OR_W1943:12:18070103:18070309:-1 gene:ORUFI12G16370 transcript:ORUFI12G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKETATSAGALARRLGRAAGGGGVAATPLFAGEDVPPVVSTRNGSDAGEEDTPARARAVTTRPDGA >ORUFI12G16390.1 pep chromosome:OR_W1943:12:18086723:18096867:1 gene:ORUFI12G16390 transcript:ORUFI12G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVQDKEITMEGLCSISSYDQWARIPVSGPLPKPRYKHAAAVVQEKMYVFGGNHNGRYLGDMQVLDFKSLSWSKLEAKIQSEEPSDLTGTASLPPCAGHALVPWGNKILCLAGHTREPTESLSVKEFDPQTCTWSTLRTYGRSPSSCGGQSVTLVGGTLVVFGGEGDGRSLLNDLHVLDLETMTWDEFETTGTPPSPRSEHAAACYADRYLLIFGGGSHSTCFSDLHLLDIQTMEWSRPEHQGITPEPRAGHAGVTVGENWFITGGGNNKKGVPETLVLNMSTFVWSVVTGLEGRAPPTSEGSSLVLHKVNGEDFLVSFGGYSGRYSNEIYALKSSRKSGVPSGQLNEPETNGLASVAENSSRGVIFEIEELQDEKTIKRADTSKTLLQAVKGEKSHIEEKLNQEELQSSRLKQELANVETKNVELTKELDLVRNQLSAEEARASQLENEISDLQQRLQKMETLEKESESLRLEKDAESDDSSSGSNQRPADKGFWRWNG >ORUFI12G16390.2 pep chromosome:OR_W1943:12:18091315:18096869:1 gene:ORUFI12G16390 transcript:ORUFI12G16390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVSHPVKSASEESIVLVVENGKMVDVQDKEITMEGLCSISSYDQWARIPVSGPLPKPRYKHAAAVVQEKMYVFGGNHNGRYLGDMQVLDFKSLSWSKLEAKIQSEEPSDLTGTASLPPCAGHALSSCGGQSVTLVGGTLVVFGGEGDGRSLLNDLHVLDLETMTWDEFETTGTPPSPRSEHAAACYADRYLLIFGGGSHSTCFSDLHLLDIQTMEWSRPEHQGITPEPRAGHAGVTVGENWFITGGGNNKKGVPETLVLNMSTFVWSVVTGLEGRAPPTSEIYALKSSRKSGVPSGQLNEPETNGLASVAENSSRGVIFEIEELQDEKTIKRADTSKTLLQAVKGEKSHIEEKLNQEELQSSRLKQELANELDLVRNQLSAEEARASQLENEISDLQQRLQKMETLEKESESLRLEKDAESDDSSSGSNQRPADKGFWRWNG >ORUFI12G16390.3 pep chromosome:OR_W1943:12:18086723:18090081:1 gene:ORUFI12G16390 transcript:ORUFI12G16390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTLRGAAGRVRAPPTPAPPRPHHLPPAPPSPAGAGGAAAQDRLRVFQDDVTTQPKDAPGVLKERDPSYDEMLKHMVGRITTKPGGKPEMGEAFVVDQYNRPLPRVRTSRPEPGEGGHRQLPPGTISVAHVHEIIQLYQGKSSNHPGPMSVDKIASKFRVEASVVQNIVQFVSLPQEEHVTKKEEY >ORUFI12G16390.4 pep chromosome:OR_W1943:12:18086723:18089473:1 gene:ORUFI12G16390 transcript:ORUFI12G16390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTLRGAAGRVRAPPTPAPPRPHHLPPAPPSPAGAGGAAAQDRLRVFQDDVTTQPKDAPGVLKERDPSYDEMLKHMVGRITTKPGGKPEMGEAFVVDQYNRPLPRVRTSRPEPGEGGHRQLPPGTISVAHVHEIIQLYQGKSSNHPGPMSVDKIASKFRVEASVVQNIVQFVSLPQEEHVTKKEEY >ORUFI12G16400.1 pep chromosome:OR_W1943:12:18097665:18101465:-1 gene:ORUFI12G16400 transcript:ORUFI12G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAVTEVDATNEEGEEEEQVVNPWEVSAGKGGIDYDKLVDQFGCQRLDDALVARVARLTARPPHRFLRRGLFFAHRDLNEILDLYEKGEKFYLYTGRGPSSEALHLGHLIPFMFTKYLQDAFKVPLVIQLTDDEKFLWKNLTVEETKRLARENAKDIIACGFDVERTFIFSDFNYVGGAFYENMVKVARCVTYNKVVGIFGFTPEDHIGKVSFPPVQAVPSFPSSFPHLFSGNDQLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPSLIESRFFPALQGENTKMSASDPNSAIYVTDSAKEIKTKVNKYAFSGGRDSIELHRKLGANLDVDVPIKYLNFFLEDDNELEHIKKEYKEGRMLTGEVKQRLVAVLSELVARHQRARALVTEEMVDVFMAARPLPNMFG >ORUFI12G16410.1 pep chromosome:OR_W1943:12:18109071:18111204:1 gene:ORUFI12G16410 transcript:ORUFI12G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTMTTAAAATATAMAAGVLPRPRPHFLRRGILPSPASPLPFASRVSSAAPLRHRLPPPRFSLSPIPKTLSSPSHVPVRSLFTGIVEDVGTVRRIGPPPAPPSGGGGEAPGVDLEVETSTLLAGTQLGDSVAVDGACLTVAAIDAAASTLTFGVAPETLRRTSLGGRSAGDAVNLERALTPASRMGGHFVQGHVDGTGEIAAFRAEGDSLWVTVRAPPEILRLLVSKGFVAVDGASLTVVNVDEEGGWFDFMLVRYTQDNIVLPNKKVGDKVNLEADILGKYVEKLLAGRLEAMSKAGKRRE >ORUFI12G16420.1 pep chromosome:OR_W1943:12:18112549:18113068:-1 gene:ORUFI12G16420 transcript:ORUFI12G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLETGGGGVNRSGGGASGSGGGATSGGVWSVRRRQPTSPVAGDGGERRTADLGFRSRSGAFSVGVKGGAESGHCAGGVHSAAPSDAVHLLEGVAFGALVSTPLQGNSSGENLRFVRIGRCRRSVSVTFLKDSF >ORUFI12G16430.1 pep chromosome:OR_W1943:12:18119579:18125026:1 gene:ORUFI12G16430 transcript:ORUFI12G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREESGNGGGGGATPAADYRSSDSRSSSRRSTRFKEDNEYVEITLDVKGDDTVAIQSIRNGADMPEVALLARGLAQQPPPSAAPGPGGLSSRLKAVRTELRRIASWKFPSGVLSGGGGGGDAPGNGNDRRPRLDRSMTGAARALRGLQFLNSSAVTNGWPEVEKRFERLAVDGFLLRSRFGQCIGMVGSEEFAVQIFDSLARRRGIAAQLLTKDQLREFWEQLSDPGFDAKLQTFFDMVDKNADGQITEEELKEVLTLTASANKLSKILERVDEYTALIMEELDPDQLGYIDSTRVAVSAILNSDTVHGHLPFPKISNLESLLLLPPSQAPSKLVTHSSNISQLISQKLVPTHDRNPLRRGLRRLSYFMEDNWKRVWVMALWLAINAGLFTWKFMAYKRHPTFDVMGYCVCVAKGGAETTKFNMALILLPVCRNTITWLRSRTKLGAVIPFNDNINFHKVVAGGVVVGVALHGVTHLTCDFPRLLHASDAAYEPMKKYFGQTRIPDYWWFVRGVEGITGVIMVVLMAIAYTLAHPWFRRSKLSDSNPLKRLSGFNMFWYSHHLFVIVYIAFVVHGVCLYINRTWWKQTTWMYLAIPILLYAGERIFRALRSHGFTTVRIEKVAIYPGNVIAIHMTKPHGFKYKSGQYIYVNCGEICRPPMNGQSGLLRADCMSMEHHSRFPKLLIDGPYGAPAQDYWKYDVLLLIGLGIGATPLISIVKDVLNHIYDDPESAASPHTTNGGGAAAAARRAFMTKRVYFYWCTREEGSFEWFRGVMNEVADRDAGRELIELHNHCTSVYEEGDARSALVTMLQALHHAKNGVDVVSGTRVRTHFARPSWRDVFKRVAVNHQGQRVGVFFCGDQALTPELRRLAQDFSHKTTTKFVFHKENF >ORUFI12G16430.2 pep chromosome:OR_W1943:12:18119730:18125026:1 gene:ORUFI12G16430 transcript:ORUFI12G16430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASREESGNGGGGGATPAADYRSSDSRSSSRRSTRFKEDNEYVEITLDVKGDDTVAIQSIRNGADMPEVALLARGLAQQPPPSAAPGPGGLSSRLKAVRTELRRIASWKFPSGVLSGGGGGGDAPGNGNDRRPRLDRSMTGAARALRGLQFLNSSAVTNGWPEVEKRFERLAVDGFLLRSRFGQCIGMVGSEEFAVQIFDSLARRRGIAAQLLTKDQLREFWEQLSDPGFDAKLQTFFDMVDKNADGQITEEELKEVLTLTASANKLSKILERVDEYTALIMEELDPDQLGYIDSTRVAVSAILNSDTVHGHLPFPKISNLESLLLLPPSQAPSKLVTHSSNISQLISQKLVPTHDRNPLRRGLRRLSYFMEDNWKRVWVMALWLAINAGLFTWKFMAYKRHPTFDVMGYCVCVAKGGAETTKFNMALILLPVCRNTITWLRSRTKLGAVIPFNDNINFHKVVAGGVVVGVALHGVTHLTCDFPRLLHASDAAYEPMKKYFGQTRIPDYWWFVRGVEGITGVIMVVLMAIAYTLAHPWFRRSKLSDSNPLKRLSGFNMFWYSHHLFVIVYIAFVVHGVCLYINRTWWKQTTWMYLAIPILLYAGERIFRALRSHGFTTVRIEKVAIYPGNVIAIHMTKPHGFKYKSGQYIYVNCGEICRPPMNGQSGLLRADCMSMEHHSRFPKLLIDGPYGAPAQDYWKYDVLLLIGLGIGATPLISIVKDVLNHIYDDPESAASPHTTNGGGAAAAARRAFMTKRVYFYWCTREEGSFEWFRGVMNEVADRDAGRELIELHNHCTSVYEEGDARSALVTMLQALHHAKNGVDVVSGTRVRTHFARPSWRDVFKRVAVNHQGQRVGVFFCGDQALTPELRRLAQDFSHKTTTKFVFHKENF >ORUFI12G16440.1 pep chromosome:OR_W1943:12:18126309:18130647:1 gene:ORUFI12G16440 transcript:ORUFI12G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPGPRSPSPLLRSSLSSQPFHHFKFQIHPSSPLLSSSPSTPRAPHPPTRRALTLTLTLLLSLAMSEPASPPPAMPEDAAPPQPQPEPAVPAGEEAAPSLERKEELLPVEEKISELDESQSQLMGRLRGLKEDLLNWRSSLDTQVTKYKSELSDIKTALNSEIEQLRSDFQELRTTLKKQQEDVSNSLKNLGLQDATDNDGNKGSGEENASEGVSDTLGNLKLDDTPKNHDESSDANDEKNETIAEDGTVDKTVKAESASDE >ORUFI12G16440.2 pep chromosome:OR_W1943:12:18126309:18130647:1 gene:ORUFI12G16440 transcript:ORUFI12G16440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPGPRSPSPLLRSSLSSQPFHHFKFQIHPSSPLLSSSPSTPRAPHPPTRRALTLTLTLLLSLAMSEPASPPPAMPEDAAPPQPQPEPAVPAGEEAAPSLERKEELLPVEEKISELDESQSQLMGRLRGLKEDLLNWRSSLDTQVTKYKSELSDIKTALNSEIEQLRSDFQELRTTLKKQQEDVSNSLKNLGLQDATDNDGNKGSGEENASEGVSDTLGNLKETIAEDGTVDKTVKAESASDE >ORUFI12G16450.1 pep chromosome:OR_W1943:12:18132097:18132516:-1 gene:ORUFI12G16450 transcript:ORUFI12G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNLEPSHRTQSSTDNERTLVTSARARALSRARARAGISTNTWRAPGKNEPKRHRANGERRVHEAAGVTFDIKTAARGCPAASGGPVRSGEAVDHRGEAERGGESEEERKEMMGIYIALSGRKRVRREGEAMRNERSS >ORUFI12G16460.1 pep chromosome:OR_W1943:12:18132113:18140633:1 gene:ORUFI12G16460 transcript:ORUFI12G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVVHCSVGNISLFHIGSFRPSHEIQIRRFRSTERYSRVPSRRLLQPQRAFNLISIYKRSSWSSARRPRTLSAATVGTDVTVEDPNPPPSGETSEESSEDTAPDTAEASEQAEASTSSIPKAGRNIRKSEMPPLNDEDLVPGASFTGKVRSIKPFGVFVDIGAFTEGLVHISRVSDGFVKDISSLFTVGQEVSVRLVEANKETGRISLTMRTGGDYVKPKTETPKAASGGRNTTATTSRGSPRQTRERDEAKSMGETNYVQGQFLDGVVKNSTRAGSFVTLPDGSEGFLPREEEAVALFTLIGHSALEVGQQVRVKVLNVVRGQVTLTMKEGEDDEEDLASLNTQLKQGWSRGTNAFELAFRRNKEISAFLDQREKIIVPDVQEAAVASVGTELDAEVGIEQSPGKEPETGNAESVAIDSSITEVKETDSIAAVEKDSEISKTESVETASSVVISEDDSTVDGKLVEPTASVSATETEIKEDSSEGSVTTEPTEAASTEFVTAVVEESAPTASSVETSEDDSTVDDKLVEPTASVSATEAESKEDSSEGSVASTESVTAVVEESAPVSSVAIEVPAPEASEASAQEIIEDSTTVEGAADDQTVESDSPPPEGVELSSNGAPDSSIAEDKPDEPEESLIVEEVPVTASSESEDKEPAAVPEEVAASSEKTADVAVAGAEASTATATISPALVKQLREATGAGMMDCKKALAESGGDIEKAQEFLRKKGLAAADKRAGRATAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFKELVDDLAMQVAACPQVQYISLDDVPEEVMKKETELEMQREDLLSKPEQIRSKIVEGRVKKRLGEYALLEQPFIKNDKVTISEWVKQTIATIGENMKVNRFVRYNLGEGLEKRSQDFAAEVAAQTAAKAPPAAPPKDDKPEETAETEEKKPAVAISAALVKQLRDETGAGMMDCKKALAETGGDIQQAQEFLRKKGLSSADKKSSRLTAEGLIGAYIHDNRIGCMIEINSETDFVARNEKFKELVNDLAMQVVACPQVEYVSIEDIPESVVIKEKEIEMQREDLQSKPENIREKIVEGRISKRLGVLALLEQPFIKDDSKTVKDLVKETIATLGENIKVRRFTRYTLGEN >ORUFI12G16470.1 pep chromosome:OR_W1943:12:18138222:18140820:-1 gene:ORUFI12G16470 transcript:ORUFI12G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLAPLLLLLLAPLPPPAAAAEPEEARCPRERLPPFVAAAAAALRPSCRASAERCPAEEINGEELVKELSGKEECTAVLFYASWCPFSQRMRPVFDDLSSMFPRIKHLAVEQTNAMPAVLSRYGVRSFPSILIACGPYAYWPVGSKELDSLVNVYTAVTGQEPIAYLGPRKWSAARTGSTQHVKLWKSSIIEALKSEPYLAFSILFICLKILVAFFPKFFSCIKGIWVQYFRHANLGILAKLTQLLECVPHAVDLRKIWSKCRLMGGAMNSRVWASSLASMSFGERSSPRAAVLD >ORUFI12G16480.1 pep chromosome:OR_W1943:12:18154475:18154858:1 gene:ORUFI12G16480 transcript:ORUFI12G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARFLAVLLLVLAVAAASSAAASLAGGGDRHLQLGMLSTGGECRGTVGECLEGGDVDGEEGELGSASGEAHRRVLAGRGYISYQSLRRDSVPCSRRGASYYNCRPGASANPYHRGCSRITRCRG >ORUFI12G16490.1 pep chromosome:OR_W1943:12:18157247:18157645:1 gene:ORUFI12G16490 transcript:ORUFI12G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVRSVAISLFVVALAVAAFAWSPSSLAGGASHHLRLEEVAGAGGAGAAGHGSMSAAAGKDGGAGAAAHGSAPAAAGMDKNGYLSFFAAMRRDSVPCTRKGASYYNCVPGAPPSPYNRSCEHITRCHG >ORUFI12G16500.1 pep chromosome:OR_W1943:12:18163179:18165502:-1 gene:ORUFI12G16500 transcript:ORUFI12G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAARRLAVAAAAVALLAVTAVTALSDAEASYIAGRQLLSLKEGGGNGELPDDFEFDIHVDVTFANDRLRRAYIGLQALRQAIYSDPKNFTGGWVGADVCSYFGITCAAALDDPAVTVVAGVDLNGGDIAGYLPAELGLMTDLALFHVNSNRFCGVIPKSFSRLALLHELDVSNNRLVGGFPEVVLDMAVLKYLDIRFNDFEGELPPQLFDKGLDAIFLNSNRFVGRIPDSIGGSTATVVVLANNHFVGCIPRSVGRMKGTLNELLLLNNRLDGCIPPEIGELADAEVVDVGGNMLVGSLPEELAKMGKLEQLDVSRNLLAGAVPEPVCKLPSLANFSFAYNYFSVEPPACVPAETAMVELDDKGNCLGGGRPEQKTSLECAPLLKRPIDCRTNTCSARPSSPKPAPKKITPTPPTLAPPPLISPKETPSPSPYIEPPKSSPPPVKFPPPRVPVSYPPPPVKSPPPPAPVSSPPLAPFSTSTYGIPTTTCTSKLTASSCKVSSPTGACKFSTSTSTFTPTSFSNDLTASTSTFPTTARLIPTATNRPIASATGTIVASSSP >ORUFI12G16510.1 pep chromosome:OR_W1943:12:18212819:18213241:-1 gene:ORUFI12G16510 transcript:ORUFI12G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQRDTRPRSSARGRRPSHDQRARMASLGFLRTVWDMNLSARENGDEVVVGVVIGHAEALGGAAERRSGDRREVKLDAVAELGRQLLERLHAAQGSLGGGCGARRSPRRAHLWPRLLVSSSPRTSSSAACAPSQPRVA >ORUFI12G16520.1 pep chromosome:OR_W1943:12:18226160:18226507:1 gene:ORUFI12G16520 transcript:ORUFI12G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPCSCIICGVGEKDCDLAPFTPVGSRGPPPGGLPPTPGGVHLRRKGPYRRPRKPTESKEGSQLREVRSQAKCPGDLRAQSRALKATELAQGPRRIRSWLKGLQSSYQEFGAGA >ORUFI12G16530.1 pep chromosome:OR_W1943:12:18250546:18251343:-1 gene:ORUFI12G16530 transcript:ORUFI12G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPRPAPSSSNPTEIRHGRLLAMQGKTFSSPAICIIAAASLATSSSKTCLANRWIHGSSRAFLAVQQRVVPKPELWDDAVIWEKQGEYIISDSASCDYHVLAVDMTDA >ORUFI12G16540.1 pep chromosome:OR_W1943:12:18270766:18271315:1 gene:ORUFI12G16540 transcript:ORUFI12G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIVAATAASELEEFRSCAHERWQRLHPKGKGEKNLSCSYDLAIGGWIHPKGGSGCSDPKAGPALAAEAENGSMMLWSCAPPKLVTIHARGEVNSCTTTDASITGPHGGWPNLATMNSGKSLIPQWSAREWRWRWQPTLRGKKMQPLVRG >ORUFI12G16550.1 pep chromosome:OR_W1943:12:18299731:18300021:-1 gene:ORUFI12G16550 transcript:ORUFI12G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPRVAVWRQKIHRCEGAAAVTRVDPMTTALTTHGGGGSGGGDGRQRRIWWWGYAAAVDLAVVRSDGGGSIQWWGGMGVADTTTTMGAGGPGRP >ORUFI12G16560.1 pep chromosome:OR_W1943:12:18299914:18300159:1 gene:ORUFI12G16560 transcript:ORUFI12G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGESSRHRIHAGDSRRAFTPMDFLPPHGHAGEGGHRRIPAIAHLHHPAPWPEPSIVAAARRRHHRAIAGAAAEREEWGEE >ORUFI12G16570.1 pep chromosome:OR_W1943:12:18313996:18315614:1 gene:ORUFI12G16570 transcript:ORUFI12G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATNGGFDVIVVGGGIMGIVGVPRRRRARPAPGEVRPAPPPRLVARRVPRHPRHARYPPMVRLAARLWGDAEAEAGYRVLTPTPHLDMGPRADPALRAAIENGGAAEVVGASDDSAASWPWSAVFRLPEGWTAASSELGGVIKATKAVAMFQSLAAKNGAVLRDRTEVIDIANQVGAWASKLVKSVAGVDLPVQPLHTLICYWKARPGREHELTPESGFPTFASYGDTCIYSTPSMEFPGLIKVCAHGGAPCDPDRRDWCAGGDALADPVARWIDELMPGHVDTAGGPVIRQSCMYSMTPDEDFIIDFVGGEFGKDVVVGAGFSGHGFKMGPAVGRILAEMAMDGEAKTAAEAGVELGYFRIGRFEGNPEGNRAENKVKF >ORUFI12G16580.1 pep chromosome:OR_W1943:12:18320132:18320639:1 gene:ORUFI12G16580 transcript:ORUFI12G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLPHPVRPRRRDGATALCPSRRRQACPLPESRQRRHGALPLMPAAGLPAFPSPDSRRCGCTASGLPDARRCARPAPAAGGRRPAGIPHPAALPLR >ORUFI12G16590.1 pep chromosome:OR_W1943:12:18320999:18322335:1 gene:ORUFI12G16590 transcript:ORUFI12G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHVKNKNHLHLQILLMKGQFTLSFRYEDKFGNSHFWQEILEVMVGTLYSGKMVGGRPLNDRFPELYNVAVTKKLNENDRDKIWWNLTKEGRFTVKSFYSAMKMEQVNFPQKKKTLKIKVFIWLFVGGKGVRIVNFVIERKQFNTCSLIVLWLDYFGTLVPN >ORUFI12G16610.1 pep chromosome:OR_W1943:12:18332825:18333728:-1 gene:ORUFI12G16610 transcript:ORUFI12G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEARRSALQIRWHRACDGMDRAAGANELGGGALVVEPYGEVRKPVAAVASSLQIGQSRCSFKEALARQWPRGMGIAAQTHNIVDSSATQQLGIASHSTSQHLAACLHGVSPPAANRQQPPAWSPGRNHARQQLGKSARLAVQASS >ORUFI12G16620.1 pep chromosome:OR_W1943:12:18425082:18426943:1 gene:ORUFI12G16620 transcript:ORUFI12G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVDRAAPAALVDPVGNDDNNDIDGDGLGGLSSNCGSCGTSRQRHDDLSDDNPGGDDDNLDGNGPSGSSGLGGVIRHPMSPNRASQNILITLSIYCENKYLRRGRAEIMVSSDLRDSVCFVKINGDHQRMMSVYYYVPMSF >ORUFI12G16630.1 pep chromosome:OR_W1943:12:18438702:18445050:1 gene:ORUFI12G16630 transcript:ORUFI12G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGVTCHLCREHQMEMECCRGGLLPRGSTTALPDAAGGEAEEEEEDRLSALPDDILLPILAALRCARAAAHTSVLARRWRGLWARLPVLTFHRVAPAPLHAALATVAAAGGAAPALLDIDLFSHHDLDPASLLAAAAAVAPGELVVNASWSARSTELPCFDRTASIKIDVHFAGFTLPPAGVFPALETLHLENCFVDLGDMIPRCPRLRKLSIPFWNATAVTVRSPSLEEMEVYANFKITIDIVAPALKRLYLDARRGINTDAGGFTLSAPSVEDLTWNCECQAVSDSFGVRWRMWSLSFSSSCLDPHGIMQMQQMDNNGEAETAHSLSLSSSSQRRPRGGVLSLNLETNVMTGDSTRSFEQEIFRFQVTDNFSVLELDLKAQGHVYGAIVLHLLGICTSTQRLRVLLDEFLSQDSCFVSCRCDQPNNWRNQSISLTDLKEVEIRGFRGQNHEVDLLKVLLRCATVLERVTVRFSRKVTPSDCRCRELSGILEAYPSVKCSVYYLQSGKQVFICRQQ >ORUFI12G16630.2 pep chromosome:OR_W1943:12:18438702:18440759:1 gene:ORUFI12G16630 transcript:ORUFI12G16630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGVTCHLCREHQMEMECCRGGLLPRGSTTALPDAAGGEAEEEEEDRLSALPDDILLPILAALRCARAAAHTSVLARRWRGLWARLPVLTFHRVAPAPLHAALATVAAAGGAAPALLDIDLFSHHDLDPASLLAAAAAVAPGELVVNASWSARSTELPCFDRTASIKIDVHFAGFTLPPAGVFPALETLHLENCFVDLGDMIPRCPRLRKLSIPFWNATAVTVRSPSLEEMEVYANFKITIDIVAPALKRLYLDARRGINTDAGGFTLSAPSVEDLTWNCECQAVSDSFGVRWRMWSLSFSSSCLDPHGIMQMQQMDNNGEAETAHSLSLSSSSQRRPRGGVLSLNLETNVMTGDSTRSFEQEIFRFQVTDNFSVLELDLKAQGHVYGAIVLHLLGICTSTQRLRVLLDEFLSQDSCFVSCRCDQPNNWRNQSISLTDLKEVEIRGFRGQNHEVDLLKVLLRCATVLERVTVRFSRKVTPSDCRCRELSGILEAYPSVKCSVYYLQSGKQVFICRQQ >ORUFI12G16640.1 pep chromosome:OR_W1943:12:18487172:18487516:-1 gene:ORUFI12G16640 transcript:ORUFI12G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGARRATLHHSLPGSFGGDRAPSGGPPPVPYGARLRRENPIRRPRKPAEPEEGSSLPGVRSQPEGPGDPKAQSRASEGPRAGSRDLEAHEPAQRLQSSCHGSKAEAYRGHRE >ORUFI12G16650.1 pep chromosome:OR_W1943:12:18504888:18505519:1 gene:ORUFI12G16650 transcript:ORUFI12G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKCDITLVPSRVKLDDPNPKSGQFALSHPLQSGLFLHRRRRKMQGGRRGLWRSPTQDPLLCKVPVLPPAPMTQGY >ORUFI12G16660.1 pep chromosome:OR_W1943:12:18530189:18532585:1 gene:ORUFI12G16660 transcript:ORUFI12G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTTTMAPLPLLTTTSLLLFFFLASSFAADVVVAGGGGGGGYDGGGDGEGGGGGGAKMPHVNHGRYKCGPWVDGHATFYGGRDASGTTEGGACGYKDADGYGAMTAAVSPALFDNGAGCGACYELKGDSGKTVVVTATNQAPPPVNGMKGEHFDLTMPAFLSIAEEKLGVVPVSYRKVACVRQGGIKYTITGNPSYNMVMVKNVGGAGDVVKLTVKGTKRVKWTPLQRSWGQLWKTEANLTGESLTFRVMTGDHRKATSWRVAPRDWTYDNTYQAKKNF >ORUFI12G16670.1 pep chromosome:OR_W1943:12:18538720:18540187:1 gene:ORUFI12G16670 transcript:ORUFI12G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRARAWPCAAAEEADRASGVVVGGAMGCGAPGRGWRDVGGSPMRGEGEDVGKQGCLEVVVFSFIGGMFFSLNIDSINPTWATTIAVTVGGGTNGILLLPPQRHNRIPMYMDAIMKCKIDDWDIMHIICHSKIGVKPIGGQANLIDRTIRLLDVR >ORUFI12G16680.1 pep chromosome:OR_W1943:12:18541589:18541887:1 gene:ORUFI12G16680 transcript:ORUFI12G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSERLGEEAARRQLGEARKARGGCSATRDGADDEGRRQINPPSPICPEGDGWRPAASLPSFLSDPPGGERPPAAAAWPSPLQQ >ORUFI12G16690.1 pep chromosome:OR_W1943:12:18542451:18543227:1 gene:ORUFI12G16690 transcript:ORUFI12G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSLFFVSSFTPSPLSVPRGLTTSTAFLQLQGGRAKRWHRRRRRWELSVARAIKSAADKRATSTNAEPYALLSAISRGPQELLSLISLIAAALAKTPKGSSGEHTTMDTRSPPVLLVEMAAYSSGALVREMSSGLVCLALE >ORUFI12G16700.1 pep chromosome:OR_W1943:12:18566599:18576098:1 gene:ORUFI12G16700 transcript:ORUFI12G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTPRKNYYSQLSPYPPHVVFNSSLPLPSAIAIRKPRPPSSPSKPSHNHPVPPPDPALLAAIHSPGRLLLAPTIPPVDRAAVIGAGSRRRDWCRFEAMMAAAVEEEEMVERMHGWARDMDVASRRAEEEAMRRYDAASWLRSTVGVVCARDLPDEPSEEEFRLGLRNGIVLCNALNKIQPGAIPKVVQAQSDAAGPTDGSALCAYQYFENLRNFLVVVEDLRLPTFEVSDLEKGGKGVRVVDCVLALKSFSESNKTGRQASCKYGGLSKPLTARKYFILKNTDAFMNKIMKGHSAEAIQSEFSEGQSIVTDFSIESNEMTTSDSLSILLRKVLLDKKPEEVPLIVESILSKVIQEYEHRIAIQNKMDEEEQNLLNITEQVNHVVVNGDGEVKQFQLEAQTNFDVQQKQIQELKGALSFVKSGMEQLRLQYSEEFAKLGKHFYTLSNAASSYHKVLEENRKLYNQIQDLKGNIRVYCRVRPFLPGHRSLSSSVADTEERTITIITPTKYGKDGCKSFSFNRVFGPASTQEEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTFTMSGPKVLTEESLGVNYRALNDLFNIKAQRKGTIDYEISVQMIEIYNEQKGLAVPDASIVPVTSTADVVELMNQGQKNRAVGSTAINDRSSRSHSCLSVHVQGKYLTSGAMLRGCMHLVDLAGSERVDKSEVVGDRLKEAQYINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHVSPELDAVGETISTLKFAERVASVELGAAKANKEGSEVRELKEQIATLKAALAKKEGEPENIQSTQSSPDMYRIKRGNAIPAFPKNRQPMEEVGNLEVRNNATPMQKKASFQFSGVLSENNSSDLAENCNGIQKTDRMAVGNNQFENGNSILELEPGATQLPTFFYQRYDPDKQRRRAEPVETDDSDSFDAATSSPSDQEMLLSTSGLKADGIASRGAFIIKKPQTKNTKITATKIPNLAMKSPMSEKRLQTPIRNSKQLPFSTTGGRRTRNGKINTPK >ORUFI12G16700.2 pep chromosome:OR_W1943:12:18566599:18576098:1 gene:ORUFI12G16700 transcript:ORUFI12G16700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTPRKNYYSQLSPYPPHVVFNSSLPLPSAIAIRKPRPPSSPSKPSHNHPVPPPDPALLAAIHSPGRLLLAPTIPPVDRAAVIGAGSRRRDWCRFEAMMAAAVEEEEMVERMHGWARDMDVASRRAEEEAMRRYDAASWLRSTVGVVCARDLPDEPSEEEFRLGLRNGIVLCNALNKIQPGAIPKVVQAQSDAAGPTDGSALCAYQYFENLRNFLVVVEDLRLPTFEVSDLEKGGKGVRVVDCVLALKSFSESNKTGRQASCKYGGLSKPLTARKYFILKNTDAFMNKIMKGHSAEAIQSEFSEGQSIVTDFSIESNEMTTSDSLSILLRKVLLDKKPEEVPLIVESILSKVIQEYEHRIAIQNKMDEEEQNLLNITEQVNHVVVNGDGEVKQFQLEAQTNFDVQQKQIQELKGALSFVKSGMEQLRLQYSEEFAKLGKHFYTLSNAASSYHKVLEENRKLYNQIQDLKGNIRVYCRVRPFLPGHRSLSSSVADTEERTITIITPTKYGKDGCKSFSFNRVFGPASTQEEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTFTMSGPKVLTEESLGVNYRALNDLFNIKAQRKGTIDYEISVQMIEIYNEQKGLAVPDASIVPVTSTADVVELMNQGQKNRAVGSTAINDRSSRSHSCLSVHVQGKYLTSGAMLRGCMHLVDLAGSERVDKSEVVGDRLKEAQYINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHVSPELDAVGETISTLKFAERVASVELGAAKANKEGSEIATLKAALAKKEGEPENIQSTQSSPDMYRIKRGNAIPAFPKNRQPMEEVGNLEVRNNATPMQKKASFQFSGVLSENNSSDLAENCNGIQKTDRMAVGNNQFENGNSILELEPGATQLPTFFYQRYDPDKQRRRAEPVETDDSDSFDAATSSPSDQEMLLSTSGLKADGIASRGAFIIKKPQTKNTKITATKIPNLAMKSPMSEKRLQTPIRNSKQLPFSTTGGRRTRNGKINTPK >ORUFI12G16710.1 pep chromosome:OR_W1943:12:18572584:18576899:-1 gene:ORUFI12G16710 transcript:ORUFI12G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTSLLSWSSSDDDDGAGAGGELSRPRRRWRALVLGLGVRRKRSMEGVFGFREMMGEEFMGMFLPFFGKMVQKVVSEEVEKAIFRQVSTPAPPRLLAGLNQQRPRYQLVFLNELKPVYTLMKLEAKDGPGLKVAIVERLENNQMRIVRFGHLASAKVEVVVLHGNFNAKNEEQWTPEDFSKQIVCGREKSAQLLTGNLTLKLNGGEALLENATFTDNSSFTSTKKFRLGLRLANNSEDRVLEGITEPFRVKERRVEGFEKHYPPMLDDEVWRLEKIGRNGAHHQALTNSGVDTVQKFLQSYFTDEKKLFQTFSKMSQAAWKTIISHAMTCEVGDDLCLYEVKGNNVGLFFDAIYQLVGVKFGDSYKPINELDEIEQSAVETMKQLAYANISGIQYDHKMVNNYPVPLHRFHCGGTSMLTDFIPKQQIPTCGQYNSALAGQPFESTENFSSFQEASNASVDMSRFVQGQTSNVQFSQQLGMGNFIPHHSNQGTFIPRPRITPLCIPNTEKTYFNPNAHSSIQADHAATRIGQYAHNERSHSPEESYKRFSPDNFLHTDEVVALMQPHLVPPSNSENFSNLLNLSSNDQTSQQIAAPFQPSRTNSFDSSSCDQLIQNFISQFSSNERVAVPLSPRKWVKIRAALKLASALQSLEKGFASPPSKAKACPNSTSAGNTISFEATGGQQHFLVGRRASSSIKAI >ORUFI12G16720.1 pep chromosome:OR_W1943:12:18596598:18597653:-1 gene:ORUFI12G16720 transcript:ORUFI12G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKKVFIFRKAADVAMAVSAAYAPFAAAVSYHAAAAAPAALAFALGHGALLFMLPFSVYALAFLRAPRGLHQTPYPMLACAVAAPAALLAAVLAVPVLAADDVALAWAANASAAAALWWCLTNGGYTAVAFSRVDQYASFMDAVERTPEIAFPLVFDVPATAKAARRDAVRFAAALSATCAVAGGAAVGVLGGLSSAAAAIAAALCIFALPMCLLYVPENHMDPYPTIDGVLQRNPAAAWCTLLAPVALVLCGLVRASAATNAGDVGTFATVIAGAFWAMDAGAAVLLGRVIAGEITMARETGKHSIYRSSSSEIMSALLMVWVRYFVYLHVFHLIGCGGHLTWFNSSH >ORUFI12G16730.1 pep chromosome:OR_W1943:12:18599035:18604893:-1 gene:ORUFI12G16730 transcript:ORUFI12G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLVRALAPVALSGSRSAARAAAVEFLARKVVPLGVEGGEDGVRKAVGYLPRYLAAKAPEKSEARAMAVEAIVEVVRAMGQLEMEGFAGYVVAMAKGKAKGRLLAVDLILAMLPLLLPSEGDDCGLQEGSWGLKFVRVLVERCSDTVGGVRARALTNAAQALDVLSERGMEVDRLQEVMRIGNIGLGELLRLRCADDKAAVRKAALVLITKSIRLIGRPVDESLLSAMGAACSDPLVSIRKAALAAISEVFRNFPDERVTKEWLQAVPPLVIDSETSIQEECENLFLELVLNRVCQAANLNLNDDSNDMEEVFPKGTLDLLKSICDGEVAPCIKKICASLGKKKKLKPLLASSLQNIITISESLWLRGCKPIEMWTAPAGAWWLLSEVSSFAPKSVNWKFLSHHWKLLDNVGQDKGKVRPKGEPNSALWAVDRVSLLQTISNVSMELPVEPAAELAHSLLTRIENFDMNLSEVDAHVKSLKTLCKRKAKTAKEGETLILKWVQQLICKAVNILDEYIKETSEAAKGPKFFTPLSGKLKGRKDASAQKSMSHAVIAVFTIGSLILACPTANVQGVIPSLHTIITSGNSQPRPKNLAGGTVSFKELAPSLYIQSWDTMAKICLVDDKLAKRYIPLFVQELERSDLATLRNNIMIALADFYVRYTAMVDCYMSKITKSLRDPCEVVRRQTFILLSKLLQRDYVKWRGVLFLRFLPSLVDESEKIRHLADFLFGNILKAKAPLLAYNSFIEAIYVLNNCLGNGAQTESQGRLDGGPTLFAIRGTDERSRSKRMHIYVSLLKQMAPEHLLATSAKLCAEILAAACDGLLNVDDAAGRAVLQDALQILACKEMRIHPNICTDNSEMDEECGDGGSTNATLQAAKGRAVTQVAKKNLIQIAIPIFIELKRLLESKNSPLTGCLMECLRTLLKDYKNEFDEILVADKQLQKELLYDMQKLDVAGKGKAKAAAAAEAGPSGASPAVNGGGKRAADGSARATARSVLKEVNRNTPTPPLNSMSVPKVRSMLGVGSGGGSRRPAVLESVRRLEPFGSDDEN >ORUFI12G16740.1 pep chromosome:OR_W1943:12:18608907:18615239:-1 gene:ORUFI12G16740 transcript:ORUFI12G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFQGLLARDFGLRPQGKAAPISASASSAAAATAPPAPSYDDLFGAAAPSAPPPKAAPSPSLDAIFDSFKEPSAPDAPPKPKHSSMPVFDKPVYDDDIFDGVPGVKSSSSARFDDVFGGSHAPPPPAYDDLLGGFGSKPEVKEVLPEEKRKPEPAASSAGFDDLIPGFGGRIPMRARETVGTKDKNVSMSTSKPASMASDPFDVLGTTSTSKHTSSGIFTDPLDELGRPAKSQGKKHDNTAVDSGLFEDSSTFNQVPKSEPLFTSELNDDLKDRNGSTKDRDSSPVQNFSRKNTTQKPSVENFENIFPKSQSARYSDVHVDIGASGSEKYSGNGMDDQSPRSDESEDEIWLTVSEIPLFTQPTSAPPPSRTPPPLAVKQKPHGSQAKRKDDNYPRRSNQNHNHHRSSSNQAGSSSIDELEDFAMGKSQSSAYDNANPFNEEEFEHSSSAAASAAAMKEAMDKAEAKFKHAKVVRERERDAKHRNREQQEQDDEARFNTQDHEERDRQERLEREREMRQREEKEREQRRLEEERELEKQRERERAARQAVERATKEARERAAAEARAKAEREARQRAERAAVQRAQKEARERAAVDARERAERAAAEAKERAAAEAKEKVATQARDRAAAERAAVERAQQEARRRAERAAVERAASEARERQAAEARERQAAAAAAAAAAKEKQSKPDDLESFFGMGARANSAPKQRAPTADSMFNSQTQNRGAASSASASMRKASSTTNIVDDLSAIFGGAPTSSEEFQEIEGESEERRRARFERHQRTRERAAKALAEKNERDMQVQREQAERHVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYVAEKVFDLLKEAWNKFNSEELF >ORUFI12G16740.2 pep chromosome:OR_W1943:12:18608907:18615239:-1 gene:ORUFI12G16740 transcript:ORUFI12G16740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFQGLLARDFGLRPQGKAAPMSAARAAASSGSAWSNSRSASASSAAAATAPPAPSYDDLFGAAAPSAPPPKAAPSPSLDAIFDSFKEPSAPDAPPKPKHSSMPVFDKPVYDDDIFDGVPGVKSSSSARFDDVFGGSHAPPPPAYDDLLGGFGSKPEVKEVLPEEKRKPEPAASSAGFDDLIPGFGGRIPMRARETVGTKDKNVSMSTSKPASMASDPFDVLGTTSTSKHTSSGIFTDPLDELGRPAKSQGKKHDNTAVDSGLFEDSSTFNQVPKSEPLFTSELNDDLKDRNGSTKDRDSSPVQNFSRKNTTQKPSVENFENIFPKSQSARYSDVHVDIGASGSEKYSGNGMDDQSPRSDESEDEIWLTVSEIPLFTQPTSAPPPSRTPPPLAVKQKPHGSQAKRKDDNYPRRSNQNHNHHRSSSNQAGSSSIDELEDFAMGKSQSSAYDNANPFNEEEFEHSSSAAASAAAMKEAMDKAEAKFKHAKVVRERERDAKHRNREQQEQDDEARFNTQDHEERDRQERLEREREMRQREEKEREQRRLEEERELEKQRERERAARQAVERATKEARERAAAEARAKAEREARQRAERAAVQRAQKEARERAAVDARERAERAAAEAKERAAAEAKEKVATQARDRAAAERAAVERAQQEARRRAERAAVERAASEARERQAAEARERQAAAAAAAAAAKEKQSKPDDLESFFGMGARANSAPKQRAPTADSMFNSQTQNRGAASSASASMRKASSTTNIVDDLSAIFGGAPTSSEEFQEIEGESEERRRARFERHQRTRERAAKALAEKNERDMQVQREQAERHVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYVAEKVFDLLKEAWNKFNSEELF >ORUFI12G16750.1 pep chromosome:OR_W1943:12:18617693:18621702:1 gene:ORUFI12G16750 transcript:ORUFI12G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMAVLARTSRPAPTLLAATSPAVSRRPAAVSFAAAASPGSRGRVALSAAWSGRAARGRVSAAGRIVASSVEQSYIMIKPDGVQRGLVGEIISRFEKKGFVLKGLKLFQCPKDLAQEHYKDLKEKPFFPGLIEYITSGPVVCMAWEGNGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIALWFKEGELCEWESVLTPWLVE >ORUFI12G16760.1 pep chromosome:OR_W1943:12:18626106:18630979:-1 gene:ORUFI12G16760 transcript:ORUFI12G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGKQDGAAAAGEEERKTSWPEVVGLPVEEAKKVILKDMPDADIVVLPAGSPVTKDFRPNRVRIFVDTLIIINRSWPELSAACSGGEGERKTSWPEVVGLSAEEAKKVILKDMPDADIVVLPAGSPVTLDFRSNRVRIFVDTVAGTPTIG >ORUFI12G16770.1 pep chromosome:OR_W1943:12:18635462:18635668:-1 gene:ORUFI12G16770 transcript:ORUFI12G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGEEGKTSWPEVVGLRAEEAKKVILKDMPDADIVVVPVGTPVTMDFRPNRVRIFVDTVAGTPTIG >ORUFI12G16780.1 pep chromosome:OR_W1943:12:18640472:18645506:1 gene:ORUFI12G16780 transcript:ORUFI12G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGMEPVDDSEWEEVSSINNYALFMGHMSMAVKGLGYLLVLWTTVILLGGFVSILGKKDFWCLTIITLVQTAGVSDIFLNEKLRYTWRSFLGFFSATDTLETERGAISWFYGRSRSRSYYLNNLVVVVIWLLHLFVFATIICPLAVLYMFGLIITTAISACRLIQHDYGGEVNNGGANLKSALKFVYSIALLQGVLFCYRFTLCYEQKRLVDLVVNKYRFVTSLSESVMAYMQETMIGCEKDPSFVKGRNLVTYAVGLTKSGSPDGFLSGVRILDSLLNTPMYKYNFFLEVEVEVEEQKAMVKQLLLSASYSQDGGLHTLLQSLDCTRAYNAEAREHAARIVAHLAGDLHLEQFPQGIHCIASLLEGPPKDCDGNIPVNYRELMLQGLSILMKLAAHEDCQRSINKTEGLLAKIMAPLRSGLLNHNDDPNSAWFRTVHASMVVILRLVDAPGQTGKELRRKISGDVEAMASMERILGCQGCGLGSFHDSSLFMQALDIYTRMHEHTLSNIVTREYFIEKLLLIFTHKVYKEANIIFGLAVVGEKLATLCSHGKANAKLILQVKDDVVGDLTKILVQDRYPKEYRISAAQILEQLCVHHTDDDEYIQSLKEALKVKSPEVLVRTLRAESVDRQMLAAVLSLIVTMTRNLMDAEDLPPLIDAINRAANGFSILTELQSMVTKLSMKSKVTIVNRLNTLKLITEIFILIVRHGSRYTVEEAENLIKSLSKAARNMSEIEDIMVISGCSSSLKTLGSLVKEAEELLPRARASEMEVIIEHPSCVNRNQIN >ORUFI12G16790.1 pep chromosome:OR_W1943:12:18650268:18650657:1 gene:ORUFI12G16790 transcript:ORUFI12G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIVPASDEAPTGREGQNPSSQIPLSRSPFSLATSERYNGEGGTRAVTTAKRLLLWRMGDGPEGGGKPCHDVSGPTRQARKRRQAPSGLDLVGGRGDAKPTLPVFGKGRWRSTPGQRAHGEPDATARR >ORUFI12G16800.1 pep chromosome:OR_W1943:12:18652529:18653742:1 gene:ORUFI12G16800 transcript:ORUFI12G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELGDEESELISYSRSERTNRHGQRQRQPMDELWPEVVNINKYAVLMGYLSMAVRGLAFLMFTWTTVVLLGGFVSALNKKDFWCLTMITLIQTAGAMTSQL >ORUFI12G16810.1 pep chromosome:OR_W1943:12:18652567:18652848:-1 gene:ORUFI12G16810 transcript:ORUFI12G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIVGGKTTNPAVWMRVIIVRHQKSFLFNAETKPPRRTTVVQVNIKKARPLTAIDKYPMSTAYLLMLTTSGHSSSMGCRCRWPWRFVRSDRL >ORUFI12G16820.1 pep chromosome:OR_W1943:12:18656085:18663047:1 gene:ORUFI12G16820 transcript:ORUFI12G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVVVAACLSPVCAAAASVPRTRVCFWRAPVCAKVDEVDKEVGLGFRKPERRRIRLWLQRLLPRDLPGDAAAALRVFDVFMKEKLSSIWDGYRGLLQTTGVIFTYRVSYSSAAEAIVNDVCRYYHMDARESVWDYLRDTMIGCEEDPSFARGRNMVTYAVEQVESSSSPDGYLSGLRILSTLVGEIASSYACTTTHVEQHRMIKHMLISRHHVVMLKLIQTLEPRGPHDAEARERAAVIVAYIAGGVHLERLPRALCCVTSLLDDEYRLAEPYDRDLVLEKLQLRPPPCPDEEDNCRIMSDTRGLVSTIMAPISSGSDHGGRPRRRLHDDHRYELSVMAKGSITLIGQLVSVPFQDSRVKLRRQITSCKPAISTMESILRCDGCDAELRRLAAQLLTVLSLDKSSGGMSSENIQGLIRILTDIFVHDSKRSSVRKCAGEELVKLSLESESNASIILKLKLNDSIVVDRLSEMVVDVEDNTFRTSAADILKHLCINHTTDDEHWDKLKNSMTIAIPKVLRELLGCDWRRPERQTVATQANNSGNFSPPDADIEALPDGDPSRVSNGGNNASSCSNQQNGKQYTPEEIEVQEALASLCVTVCDKLISTDPVLADRFDKIAADVCLDSSITANTTANTAFAALVKEAGEVVEKRKAQSIKGLRWDGMDGFALTVSAVWLLAVGAQQAQMPQTDTPSGLFRNANLDNKLSAPLVNPRMEVVLLKPVIQQNFEKISIAFSKPHR >ORUFI12G16830.1 pep chromosome:OR_W1943:12:18664850:18665438:1 gene:ORUFI12G16830 transcript:ORUFI12G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERNIVAGSVHGCRHHRRIQPPMLPPPPDLPREKGERGRREPLPPDPPATAVDVATILGSACVRRQPAATARGRGRRDGAERAAANEGGETGRRARPQPLVGERTPPPPLVGSGGRAPPQSLVRGEGAAAVAIRSRQRGGIGGREEMKFKIGINLNRPFNQTALASLALGRCTIGPPNWSASLG >ORUFI12G16840.1 pep chromosome:OR_W1943:12:18670756:18671287:-1 gene:ORUFI12G16840 transcript:ORUFI12G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAERNPWPPPPSNKLTGSICELWPEPWSSFACNRCKVWYLFRLESTLLLYVNGVEQFLKELPCWPPPQEDMGAMKPSSVIQWLNFPYCTYDGFKRKLRGLFTNGALCIHSSQYDPPDGLCE >ORUFI12G16840.2 pep chromosome:OR_W1943:12:18671294:18672226:-1 gene:ORUFI12G16840 transcript:ORUFI12G16840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLGRQQCKVEEDLGNAHNLFDRIPNQHKVFKDDERISEPVSIKSTMNKEGISMDESLDQNLGKLELMEAKCMQEEKFNQILQKLEEIEACRSKATEVTIAAIRTTTAILKASSSPTPMAPPPPTPTKCLTKCPNNNFTWVMANSSHIGEVLAPTAAWELGDNKDKGHAPCIVTNDSPKVTPAKCSNCSSPDIMLDLTVAVGVSCATTSMASMELLVGEDETSVIYIDNPHCSIATHAKCSTLGLDVNGSANQPVVVFPTITAMNGHSIIPTLPLTIMNTSVILVVKSSCASDNSIRLMTLC >ORUFI12G16850.1 pep chromosome:OR_W1943:12:18677036:18680526:-1 gene:ORUFI12G16850 transcript:ORUFI12G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLPKLGELLVGEYKLQKGVKGQIEELEKELASMTTALRKVASVPADQLDEQSKGHESAMNFMGLIHRTTNLFKTLKTNHRIHGIIKGIMDQVKNVSQRRDRYRVDDVAARPTVVDVDPRLEAMYRKATELVGISEPKNELTKRLLEHDRSSNQQSNIVSIVGLGGLGKTTLANALLQELKAKFDCHFFVSVSLNPDINKIFKNIFLQLNEKKYTHIDEAWETKQLIDKIRDFLNNRSYISMVLCVIDDVWKESPCDTIKLVVQDAKIGSKIIVTTRNKVVAEHAGGGIYELKPLSVDDSRHLFYKRIFDSNDDCPADLCGVTEKILKKCGGVPLAIITIACLLASKPRHSQEWEKVNKSISFGLEDSLDVGRMRKILSLSFDDLPFHLKTCMLSLSKYPEDELIRTDVLIWSCCQLKKILLQRIYQMVNKQLEDCSGMDKNHLNHLDKLRLLRFLRLGHYSATKLPESIGKLESLETLDIRGAKKRGIWKISQGIIDMQQIPSGLQMFFMWEFYLEAFPSWINSSMLSCLTALSIRLNLEYLQPDHLDRLAELPCLHFLRLDFRNGPRWMQRNLIINRGPCAFRSLKHFHFYTGSMLPSFRPGAMPHLERLCLRIRTNLVRGDFKYLGLENLQSLRHATIDSCFAVDELVAMKTEAVIREALKDHPNQAAIELLY >ORUFI12G16860.1 pep chromosome:OR_W1943:12:18686117:18696563:1 gene:ORUFI12G16860 transcript:ORUFI12G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEPDQSEWKEVDGINSYALFMGYLSMAVKGMGFLVGLWTTVVLLGGFVSMLEKKDFWSLTIITLVQTAGVFDVFLNEKLRYAWKSFDGLLVTVAMVFRKEDDDDDSDQYWRALVGILVLLLQALVIAIILLPLALLYLFGLLVSTGLSMWRLIERDYGGEDGGANLTPALNVLYSLALFQGVLFCYQSASYFAGKRLARVVADDYGFDKEDEEGRESVRDYMRKTKIGCEKDPSFVKERNLVTFAVELMKSESSSADYVSGARILDKILAQEELQGQHALIRKLVGSASASQVLERLLQSLRSTSPLDRDVRVLAARIVAQLAGEISLASFPQGLRCISSLLDTTTTTTTTKQQDGDSAPSGHYKELMVLGRDILHKLAAADEHNCSAIGSNQGLVSKAMVPVTADLLHNIGHDAWSDIVAASLQLLFRLVALPGKAGDKLRSNKRAINTVEKILGCDECNEKLHVLAIKILTQLPMEAPSTSTADSKEKITKLLVDIFFTKENKDASTRQLAGEALAMLSVDQSESNAAIIFKASDTVVDDLKTTLLDVRTKSGYRISAAEILEHLYICYTKEDDNLKKLTEAMKDVLPKMLKEILPSPPLKQGEKHTEKGTDATKFVTQDPEIGEGAVATKDSGNVNEQKDDNKKIVDRKLHAALLSLSAAIFEKLISNDTDLAQLANAIATGDSASSFAGKLKKLVEENSEPTANCLRILKIASRMIISLINLEGNYPKAELESLMESLSKASKEMFELEAFMMFSSSDQSAMNPVSILGSQVKQAQVLLENKKEQNVATTATSTYALDDPLKD >ORUFI12G16870.1 pep chromosome:OR_W1943:12:18701925:18712470:1 gene:ORUFI12G16870 transcript:ORUFI12G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILLPAFKVLLQAFKEMDSSNQXFHLQENMVHSPNQGFGTANHQAIFALQHPSVRATPNSSSHGSESSTPCPARQQEAPVNLESGHSDDMIMEKAHLWYKNQSNQKKPFTLEYMWRELKDQPKWRSIIKKEENKNKRTKISESGAYTSSSNQETEEESSNKERRPEGQKKAKERLKGKGKGKAQSSPLGNQPSQNIVLYNEAMKIKAEALLKSAEAKREQIRMEKWQAYIKLEEKDTSNFSGEKLRRHEAMLNKLSKELAEE >ORUFI12G16880.1 pep chromosome:OR_W1943:12:18715523:18718363:1 gene:ORUFI12G16880 transcript:ORUFI12G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAVRVMGYLVVLWTTVILLGGFVSALEKKDFWCFTIITLVQTIGKDDKNIRAENMKDEDGDGGRRTSKEKPKHWPRWLRRLAAFVAYLVQQLVFAVVAGPLALAVTVLYACGLVITTGLAAWRLLHRDYGDGSNNLRPALDVLYTMVLLQGVLSYYRFSSDFWQSRLTNNVAKAYNFHHWRHFYAFVSLRRYIHETRIGCEKDPSFAKRRNLVKYAVDTMIESEFPNCWSFVCGASILGALLENPKLEEQHSLIKEHLAWSPSGAGDLLQKLLNFPQGIHSIASLVEPPGDEKGDEYNALEHFQELMVEGLRLLAKLVSDEEWCRVIAEKEGLLAKIMVPLRSDMHHTKYHSVCSDSERPICREMIGASIQVMRQSGDYSWRHRRDGALEIYSRLRRGDDAPSTIATMREHFIKRLVLIFTQHTTAEDDRVDLLAGEMLAKLSSHGKENTTKILRAKQDVIGDLTGMLEDKITKCDIIAAQILEHLCIHHSDDDECAQNLKERIKFGMLKVLAKPRDEYVNRETVTAVLSLSVTISRNLMNARDLPPLFDAITSEAGGFSILGKVQLQKMIERSSCLNTANELKTVKLVADLLILTVKHGSRDAIRDAKELIESLSEVAKEISDTENFMVIAGGSDPKTLDSFVKEAEDQLLRRVGREDTPEMAVVIQHPSSVNGAQAE >ORUFI12G16890.1 pep chromosome:OR_W1943:12:18720646:18722228:-1 gene:ORUFI12G16890 transcript:ORUFI12G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTRKRKHRASAQEKTECAAGRPKAAQHGTPKVWPAGGAARCWKINLPGDEGCDKIDATPSRTISSNIVQFFCLSLSLSTCIFQAFSTYMHGQSFNSHQSIHWRRLRRRQNTEGGRTRRHERSRWLPAGASDARRGYRRSPKRRRQAIDIRPAIPLPDEKIRSAIGGLMYTHRMAATQAEAKQQHAESTPFSKYMVWQRGRPTGG >ORUFI12G16900.1 pep chromosome:OR_W1943:12:18724091:18729458:-1 gene:ORUFI12G16900 transcript:ORUFI12G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVNQNSEEWPEVRYINSHAVFKEYLSRVVAGLGVLIFTWSTVVLLGGFVSLLDKKDFWCLTMITLCQTKEASGAITGGVIEGFSGVTRLLATAFSTPKSPPTSTEQKAEITADTLAYSSLRKIETDPAETPAETPAKTPAKIVAEWLKHYILDHGPIVAYATLQLFRLLVFTTIVVPLVFVYTLGMYISTAISLFRLTRRDLGFGMANAAADGGANLQKPALRVLYLMALAQGVLYFYGMTFITTGRKMERKVADKYNLNYGAKSPVREYAVETMAGCMKDPSFVRGRNMVTHAIGLIESSSIDTDNFINGVRIIDSLVQSQLQGHHALMRQLLTGSASSSHILVKLLEAATRCSPTQNNMTKSAARIVEYFAVDIHLKKLPGGIECISDMLELSTTGPQLEQFKDTLKLDQCMEMLHWGLKILRILAAHSDNCRVICDTKGLLSRIMAPMSSDLLHRIDHEVWHSVVEESMQLVALLVVAPGVTGVRLRREISGNKEAVTTMDSIPKCSKCKPLLQIMAIKILSQLAFDKSLSMSTSVASREELAKYMLCIFTDDNKDMSVRKSAAQALAMLCVESQSIAVVILQADGNVVGVLKDMLLHSKENESRISAAEILAHLYNHYTYDDEYLGELNKVIKDVMPKVLGEMFGCGDIQTAGTKADKAMFSPPGSVSIEVQDGDNWLRVDSKLLEAFLYLITTVFDVSQDQDLVQLVDVVFPGDATFTPIGKLKEMVCIYILPNYELTAHWLRIVKLIFMMFISMLRLRSSSYAKEEENLKELMGYLSEVSIQMYGVDGVLSLADSNNGAKPPLKTLVSLFIEAQEIVDGQKEGIIYLRGSVEVNPMA >ORUFI12G16910.1 pep chromosome:OR_W1943:12:18733104:18733630:1 gene:ORUFI12G16910 transcript:ORUFI12G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGAPPTTSHQPKSGQYMDMDLLAALFSLTATIFEVSQVQDLVQLVDAIAPVDAAFTFAGKLIEMVKRKIIERIDFLVDEWSRILKPTTKMVISMGVMSYHGAKQPFKTRASLVKEAQELWDKKKEDASSVERNQIT >ORUFI12G16920.1 pep chromosome:OR_W1943:12:18747560:18753934:-1 gene:ORUFI12G16920 transcript:ORUFI12G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGLDHSEWLEVKYINNHALFVGYLSMAVKGVGFLVGLWTTVVLLGGFVSMLEKKDFWSLTIITLVQTAGVFDVLLNEKLRHAVNSVDGFLVTMDMVFRCEDDELDSVIQLWRSRAGRLVTFIQAVVFAIILCPLAVLYLSGLVISTSLSLWRLIQRDYGDGDGSANLTPALNVLYSLALFQGVLFFYQWVSYFAGKRLIKKVATKYWQNSKEAGGAGAGAGEWEWHKLVKVYMKKTKIRCEKDPSFLEEWNLVTFAVELMKPESKSSDYVSGAMILDTILGQKDLTAQHALIRKLVGSASSRKVMKKLLRSLRSASQYNRNIRVHAARIVSRLAGEISLASFPEALSCISSLLDTTTTEQQEQQQQDGDSAPSAHYRDLMVLGRVILQKLAAADEHNLFLIGKKQSTISKAMLPVRKDLLHIHNNGHVDAWKDIVTESLQLMSQLVSAPGKTGDDLRSHILTIHKDDIRVNNIIICKQCQSNKKLHMQAINIFTQQQHPMEALSTTSSNIDTTYSETNIRLLVDIFLTNKDASTRKMAVGILAILLSDQNKSNANANATIIFKASDTVVHDLKKVLLHDEETEYRICAAEILEHLHRTKEASYLKKLMEAMQNVLPKILNEIFISLSPPKQGEKQAEKAEKGTDGTKTDPDIEEGAGAVASKDNVDVNDQKEDIGKKKKDRTRKLHAALLSLSVAIFEKRIRDGKDLDTLAGEIARGDSASSFVGKLRTMVDQNSEQTVNCLRILKIATRMIISLLKLEGCYPKQELENLMVSLSKASEQMFELEALMMLSSSDHTGKKTESFGSLVKEAEGLMKNKKEKNVATTPASTMNGNQ >ORUFI12G16930.1 pep chromosome:OR_W1943:12:18771782:18784831:-1 gene:ORUFI12G16930 transcript:ORUFI12G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIALASASGFVETHALGSSVHTPTARRPPRSSWNPLPALPRLDDEVDADKIVLAASFHSLWLPRLLAQVRRSRHHYMTICCPTFPSPGQETLKSPQQSNDAFLFTVRSMTSLPHSLRQSTSSALHGASASPPHAGLPAIPAAFSPSQLCLFAIDTG >ORUFI12G16930.2 pep chromosome:OR_W1943:12:18771782:18784831:-1 gene:ORUFI12G16930 transcript:ORUFI12G16930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIALASASGFVETHALGSSVHTPTARRPPRSSWNPLPALPRLDDEVDADKIVTGTGSSQPPPLHDDLLPNLPLSSLRQSTSSALHGASASPPHAGLPAIPAAFSPSQLCLFAIDTG >ORUFI12G16940.1 pep chromosome:OR_W1943:12:18789942:18792489:1 gene:ORUFI12G16940 transcript:ORUFI12G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRIEEWWRHGRQRSLVEGTVSGSGGQPGCIASALMAARMLRFTTARLLRPRCRRLYHGCSDGAAACSVVGERVTVLTIDGGGIRGIIPGKVLEFLENELQQLDGPEARLADYFNYIAGTSTGGLITAMLAAPGAGGDGRRRPLFAAKEICPFYQEHGPRIFPQRWCKLASTVAAVWGPKYNGRYLRNMVREVLGEMTVGDTLTNVVIPTFDVRLLQPVIFSTYDAKHSPLKNALLSDVCIGTSAAPTYLPAHCFRTHDGAGETREYNLIDGGVAANNPTMVAMTMITEEMIAEEKARLFLAKPPEECGRFLLLSIGTGLASDEGLYTAEKCSRWGTLGWLRHRGMAPIIDIFMAGSSDMVDIHIGVKFQLLHIERNYLRIQEYQDYDPLKATAAAALDEATPENMRNLVGVGERMLEQQVTRVNVETGRYEKVSDEGSNADALIRMARQLSEERTARLQRRMGEVTAASACATGF >ORUFI12G16950.1 pep chromosome:OR_W1943:12:18815092:18819728:1 gene:ORUFI12G16950 transcript:ORUFI12G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPREPIDTSKWAEVKSINNYALFMGYMSMAVKGLGYLVVLWTTVILLGGFVSVLGKKDFWCLTIITLVQTAGNDVYKSLPSYPKTSPIRHRLTPQTDILPQTVHAETAVTQAEETIPKSDALLNQFPPKLARLKFTKKSKDVGVAVSLCMPILLCDYILNELVLVFVWVVHVLVFGIILCPLAAFYIFGLLITTGLSVWRLIQRDYGEADGGANLRPALNVVYSIAVLQGVLFCYRFASRSVGRELVVDVLTKYNFDSFGSSRALVEYLHETRTGCEKDPSFVEGRNLVTYAVELTRSESPNDFLSGARILATLLDQPELSEQHRMIKLLVISASSSQVLDKLLQTMDCNARPKNAEARELAARIVAHLAGNLHLEQFPGGIQFIASLLDGPVEEEEEDDDDNIYYRVLLDYNKELMRQGLLILGKLAADANCRQAIVDTEGLLTKIMEPLRSGLLHLNGHNDTWSDTVYASMEVMRRLVTAPGKTGEEVRRRISGDMEAMASMERILKCKECSDLLLQSLEIYTRLHERTLSNKESWRSLINILVHTFTQFYVKDDIRGLAGEKLAALSSHGHGKENAKIILQVKEEVIDDLTKMLANPNERNKFKIRAAQILEQLCIHLTDDDEYLQYLKKALKITMPTVLSIALGEGYPSSNLLATVLSLCATMLRNFTNAEDFARRFDDEITSAGFSFPWRGITSAGFSFPRRLKHIVIRNSYPSISCLKTLKLITEIFMLMVRHGSRYTKEETDSLMESLSEAAVEMSDLENFMLISRNNRDGTKTLDFIVKEAKEELMQRERAPETGEV >ORUFI12G16960.1 pep chromosome:OR_W1943:12:18829418:18831466:1 gene:ORUFI12G16960 transcript:ORUFI12G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSFTSARLLPRCRRLYSACGAGAAACGVVGERVTVLTIDGGGIRGVIPGTVLAFLEGELQRLDGPGARLADYFDYIAGTSTGGLITAMLAAPSEVADRDGRRRRRPMFAAADITPFYLEHGPRIFPQRWSTLAAKIAAARGPKYDGRYLRGVVRRMLGETTVGDTLTNVVVPTFDVRLLQPVIFSTYEAKNSPLKNALLSDVCIGTSSAPTYLPAHCFRTHDGASGETREYNLIDGGVAANNPTMVAMTMITEEIMAKEKAAALYLLKPPPEEEEEHGRFLVLSIGTGLTSDEGLYTAEKCSRWGALSWLRHGGMAPIIDIFMAASSDLVDIHVAVKFQLLHSERNYLRVQANSLRGAAAAVDAATPENMGSLVGVGERLLAQRVSRVNVETGRYEEVPGEGSNADALARIAGNLSEERTARIKRRNTVQAGVTGF >ORUFI12G16970.1 pep chromosome:OR_W1943:12:18832305:18839371:-1 gene:ORUFI12G16970 transcript:ORUFI12G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRGAASLGRTLAGFLAHEDPAKVLSLFADKARQHGGLGPLDFACALRACRGNGRRWQVVPEIHAKAVTRGLGKYRIVGNLLIDLYSKNGLVLPARRVFEELSARDNVSWVAMLSGYAQNGLGEEALGLYRQMHRAGVVPTPYVLSSVLSSCTKAELFAQGRLIHAQGYKHGFCSEIFVGNAVITLYLRCGSFRLAERVFCDMPHRDTVTFNTLISGHAQCGHGEHALEIFEEMQFSGLSPDCVTISSLLAACASLGDLQKGTQLHSYLFKAGISSDYIMEGSLLDLYVKCGDVETALVIFNSSDRTNVVLWNLMLVAFGQINDLAKSFELFCQMQAAGIRPNQFTYPCILRTCTCTREIDLGEQIHSLSVKTGFESDMYVSGVLIDMYSKYGWLEKARRVLEMLKEKDVVSWTSMIAGYVQHECCKDALAAFKEMQKCGIWPDNIGLASAISGCAGINAMRQGLQIHARIYVSGYSGDVSIWNALVNLYARCGRIREAFSSFEEIEHKDEITWNGLVSGFAQSGLHEEALKVFMRMDQSGVKHNVFTFVSALSASANLAEIKQGKQIHARVIKTGHSFETEVGNALISLYGKCGSFEDAKMEFSEMSERNEVSWNTIITSCSQHGRGLEALDLFDQMKKEGIKPNDVTFIGVLAACSHVGLVEEGLSYFKSMSDEYGIRPRPDHYACVIDIFGRAGQLDRAKKFIEEMPIAADAMVWRTLLSACKVHKNIEVGEFAAKHLLELEPHDSASYVLLSNAYAVTEKWANRDQVRKMMRDRGVRKEPGRSWIEVKNVVHAFFVGDRLHPLAEQIYNFLAVINDRVAKVGYKQEKYHLFHDKEQEGRDPTDLVHSEKLAVTFGLMSLPPCMPLRVIKNLRVEKYTSLYANFLHQKRTNGQVGQIEKTERKERAGGMKGKQLETPISHLKSGARRNILKPASAAAAGIRCLLEREAGAYFVCFQRNSREYVGCHAIRCWATWRKETRLLTGGDIIHKLVQTLSPRSSPYDREIHLEELPPRGLQCIASLLVTFQEYSGMETYERDWRPGLNFRFSRFDRSDGNDSSIRELTGQMLQDMKYSYRSILVYVLLLYDKTNDDQLLKHLKREIIHGMQQSCRDPEMGREMDEVAAMFCSKQGKPVGNYASLVKEARERLVVKKKEQESATNVSATSSSMAT >ORUFI12G16980.1 pep chromosome:OR_W1943:12:18840372:18844244:-1 gene:ORUFI12G16980 transcript:ORUFI12G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAASSAAVGEAAAGEGRMTMVVGVDESEHSYYALQWTLRHFFAAAAAGQPPQYRLVVVNAKPTAASAVGLAGPGAADVLPFVEADLKKSSMRVIEKARELCAQVSDALFEVLEGDARNVLCEAVERHQAEMLVVGSHGYGAIKRSHYSGTYNSKLITTMVKGGKPVMLVGIDDSDHSYYALEWTLKHFFALGQPQQYHLVLLTSKPPASAVIGIAGLGTTELLPTLELDLKRGAARVIEKAKEMCSQVIDASYEVLEGDARNILCEAVERHHADMLVVGSHGYGAWKRAVLGSVSDYCSHHAHCTVMIVKRPKHNTHS >ORUFI12G16990.1 pep chromosome:OR_W1943:12:18865118:18866536:1 gene:ORUFI12G16990 transcript:ORUFI12G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKLMRRLCLPISALNLLHYVKSMVTVLCLGRLGRAELAGGALAVGLTNVTGYSVLSGLALGLEPLAGQAFGSGTGRTRSRPRRALRRAVLLLLAASLPVAALWACAGPAARAARQDAAVARAAGSYCRYAIPDLAAASVLLPARVYLRSKGETGRLASCAALAVALVHAPATAYLGARLRVPGVAMAACMTSFATLGFLWISLTWAPAQNEPDEPADLDEWAGVGQWAEWADLLRLSLPSCLSVCLEWWWYELMTIAAGYLRDPHTALATAAIVIQTTSLLYTIPVTLSSAVSTRVANELGAGRPRSAQVSFIVAMGIAMVGSYVGLTWTTFGRGLWVQVFTTDPTIQSLTTSVLPVIGLCELANCPQTTGCGVLRGSARPAVGAAINLYSFYLIGAPVALVLAFGLDMGFLGLCLGLLSAQIVCLISVGFATFQTDWEAEALKAFHLVGGGDEKCGDDLPCLAHKENV >ORUFI12G17000.1 pep chromosome:OR_W1943:12:18876295:18880674:1 gene:ORUFI12G17000 transcript:ORUFI12G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVQRYGGGGGGGMAALSLDLLGQVLDRVREPRDRKACRLVSRAFARAEAAHRRVLRVLCRLRVLRREPLARLLRAFRALERLDLSACASLDDASLAAALSGADLAGVRRVCLARASGVGWRGLDALVAACPRLEAVDLSHCVGAGDREAAALAAATGLRELSLEKCLGVTDMGLAKVVVGCPRLEKLSLKWCREISDIGIDLLSKKCHDLRSLDISYLKVGNESLRSISSLEKLEELAMVCCSCIDDDGLELLGKGSNSLQSVDVSRCDHVTSQGLASLIDGHNFLQKLNAADSLHEMRQSFLSNLAKLKDTLTVLRLDGLEVASSVLLAIGGCNNLVEIGLSKCNGVTDEGISSLVTQCSHLRVIDLTCCNLLTNNALDSIAENCKMVERLRLESCSSISEKGLEQIATSCPNLKEIDLTDCGVNDAALRPLAKCSELLVLKLGLCSSISDKGLAFISSSCGKLIELDLYRCNSITDDGLAALAYGCKKIKMLNLCYCNKITDTGLGHLGSLEELTNLELRCLVRITGIGISSVAIGCKNLIEIDLKRCYSVDDAGLWALARYALNLRQLTISYCQVTGLGLCHLLSSLRCLQDVKMVHLSWVSIEGFEMALRAACGRLKKLKMLSGLKSVLSPELLQMLQACGCRIRWVNKPLVYKD >ORUFI12G17000.2 pep chromosome:OR_W1943:12:18876295:18880744:1 gene:ORUFI12G17000 transcript:ORUFI12G17000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVQRYGGGGGGGMAALSLDLLGQVLDRVREPRDRKACRLVSRAFARAEAAHRRVLRVLCRLRVLRREPLARLLRAFRALERLDLSACASLDDASLAAALSGADLAGVRRVCLARASGVGWRGLDALVAACPRLEAVDLSHCVGAGDREAAALAAATGLRELSLEKCLGVTDMGLAKVVVGCPRLEKLSLKWCREISDIGIDLLSKKCHDLRSLDISYLKVGNESLRSISSLEKLEELAMVCCSCIDDDGLELLGKGSNSLQSVDVSRCDHVTSQGLASLIDGHNFLQKLNAADSLHEMRQSFLSNLAKLKDTLTVLRLDGLEVASSVLLAIGGCNNLVEIGLSKCNGVTDEGISSLVTQCSHLRVIDLTCCNLLTNNALDSIAENCKMVERLRLESCSSISEKGLEQIATSCPNLKEIDLTDCGVNDAALRPLAKCSELLVLKLGLCSSISDKGLAFISSSCGKLIELDLYRCNSITDDGLAALAYGCKKIKMLNLCYCNKITDTGLGHLGSLEELTNLELRCLVRITGIGISSVAIGCKNLIEIDLKRCYSVDDAGLWALARYALNLRQLTISYCQVTGLGLCHLLSSLRCLQDVKMVHLSWVSIEGFEMALRAACGRLKKLKMLSGLKSVLSPELLQMLQACGCRIRWVNKPLVYKD >ORUFI12G17010.1 pep chromosome:OR_W1943:12:18882312:18884975:-1 gene:ORUFI12G17010 transcript:ORUFI12G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSTTRSRSGSNQPHETVEGERKGKGKSKKKLIIREEDVDPFHIILFPRKFDTPSKLGYREGRQRDPRVDL >ORUFI12G17020.1 pep chromosome:OR_W1943:12:18886089:18889006:1 gene:ORUFI12G17020 transcript:ORUFI12G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGDLGVARGAREEVERGGGGGGGGGMRKARVCVRAPHGAGALLVVGGAVVGAAVFAWCRRRGEGERKRGAKNHGEHPAKEEEGLDGGVVEGEQGDAQRLHQIYQNLSRENVVVGVNGSDGKATDELYQIQKDDEVVPNGDKIVLSELVSEAVEKYDHNSVKDCAGIAADVMVNEAVETYDHNSERDCTEITAHAMDTENVTEDDDNSVKNSVENEITDTEGEENSVDSTLSLSSPDITLEEHENHNCVVQDTASREITLSTGSVMHQEQFSEEAKNEETAEVKLAQETVTTPMVEAEVKLEEETETIPIAETTEVKPAEETETSTMAETVQVKPAKETEITSMADTAELKLAEETETASMAETAEVKLAEETETIPMAETAEVKLAEEKARKKNEFEQEEENSKEESVKPYNSLAYSSVPSLLKRTVKKGQVNPRWNETGMKLEQDCTNGELNEHELTKGGAAAMGGAVLTMARRPDSMAILALXATKLC >ORUFI12G17030.1 pep chromosome:OR_W1943:12:18891101:18894278:-1 gene:ORUFI12G17030 transcript:ORUFI12G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCKGHEPATSFKKVTNLFNKFKTNHQIHGVIKDIMDQVKKELKAKFDSYCFVSVSLNPDINKILKNILLQLDEKMYSHIDETWETKQLIDKIRDFLNNRRQLFCKRIFDSNDDCPADLCGVTEKILKKCGGVPLAIITTACLLASKPRNSQEWNKVNKSIGFGLEDNLDVDKMRKILSLSFNDLPFHLKTCLLSLSKYPEDELIRKDVLIWSWLAEGFITDETRPAGTSLQEIGESYFSELINRSLIQPMSGYYFWLEDGKVHACKVHDMVLELINQLSVEEDFVTTYLSDGQQAGKCTYTTQKKKIRRLSLHNSNKSYASQEAREQLSKVRSITIFGKVDSIPPLSSFHVLRVLQLEDCSGMDKNHLNHLDKLRLLRFLRLGHYSATELPESIGKLESLETLDIRGASKPNSLKSHKSEAGTRSDAGRYEIFTGACNRRYPKEIIDMQQVPSGLQRLFMHDSYMEAFPCWINSSMLSRLTTLSIWLGFEYLQSDHLDSLAELPSLRFLGLALAFVSEQLQEKLTIHRGACAFRSLKDFHFYSSMVPSFQPGAMPHLERLYLRIWARLRRGDLNDLCLENLHSLRHAIIYSLGDDSKAVVREALKDYPNQAALELL >ORUFI12G17040.1 pep chromosome:OR_W1943:12:18900581:18903992:1 gene:ORUFI12G17040 transcript:ORUFI12G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVDYIQINGLTGFDGSTQKKDSERTSGPVQLSKFLGRDKDEGVQRSAISGKKFLGRDKDEGVQRSAISGKKIMMKLEKSKEDKQAESKRNELLKFLNASYD >ORUFI12G17040.2 pep chromosome:OR_W1943:12:18900581:18903992:1 gene:ORUFI12G17040 transcript:ORUFI12G17040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVDYIQINGLTGFDGSTQKKDSERTSGPVQLSKFLGRDKDEGVQRSAISGKKIMMKLEKSKEDKQAESKRNELLKFLNASYD >ORUFI12G17040.3 pep chromosome:OR_W1943:12:18900581:18903992:1 gene:ORUFI12G17040 transcript:ORUFI12G17040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVDYIQINGLTGFDGSTQKKDSERTSGPVQLSKFLGRDKDEGVQRSAISGKKIMMKLEKSKEDKQAESKRNELLKFLNASYD >ORUFI12G17040.4 pep chromosome:OR_W1943:12:18900581:18903992:1 gene:ORUFI12G17040 transcript:ORUFI12G17040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVDYIQINGLTGFDGSTQKKDSERTSGPVQLSKFLGRDKDEGVQRSAISGKKIMMKLEKSKEDKQAESKRNELLKFLNASYD >ORUFI12G17050.1 pep chromosome:OR_W1943:12:18905433:18908258:-1 gene:ORUFI12G17050 transcript:ORUFI12G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNLNGLRFKQLKLVCLALLVVLLTWKWEKGSLRNRGELLRSEPLALSHPVQSKYIDHDISKEEIFSSLDPLVQLVDEVGREATAASPPQSVVHEAENVTGKREASPPEKKECDYRNGRWVPDDSRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLKRMQDKTIAFVGDSLGRQMFQSMMCMLTGGDDHSHVEDVGKRYSLVVARHAKRPEGWAYRFRRTNTTILYYWSATLCDLEPLRRSDQATGYAMHLDRPPAFLQKNLHRFHVIILNTGHHWNRGKMKANRWQMYVSGAPSHDRDIAVIWKAKNFTIHNVVRWLDDELPRYPHLKVFYRSLSPRHFFNGEWNTGGTCDNKNPLSKGNSVFRNRSDDAEAEEAVRGTRIKLLDITAISRLRDEGHISRYSIRGRGGVQDCLHWCLPGVPDTWNEILAAQL >ORUFI12G17050.2 pep chromosome:OR_W1943:12:18905433:18908258:-1 gene:ORUFI12G17050 transcript:ORUFI12G17050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNLNGLRFKQLKLVCLALLVVLLTWKWEKGSLRNRGELLRSEPLALSHPVQSKYIDHDISKEEIFSSLDPLVQLVDEVGREATAASPPQSVVHEAENVTGKREASPPEKKECDYRNGRWVPDDSRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLKRQMFQSMMCMLTGGDDHSHVEDVGKRYSLVVARHAKRPEGWAYRFRRTNTTILYYWSATLCDLEPLRRSDQATGYAMHLDRPPAFLQKNLHRFHVIILNTGHHWNRGKMKANRWQMYVSGAPSHDRDIAVIWKAKNFTIHNVVRWLDDELPRYPHLKVFYRSLSPRHFFNGEWNTGGTCDNKNPLSKGNSVFRNRSDDAEAEEAVRGTRIKLLDITAISRLRDEGHISRYSIRGRGGVQDCLHWCLPGVPDTWNEILAAQL >ORUFI12G17050.3 pep chromosome:OR_W1943:12:18905435:18907271:-1 gene:ORUFI12G17050 transcript:ORUFI12G17050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNLNGLRFKQLKLVCLALLVVLLTWKWEKGSLRNRGELLRSEPLALSHPVQSKYIDHDISKEEIFSSLDPLVQLVDEVGREATAASPPQSVVHEAENVTGKREASPPEKKECDYRNGRWVPDDSRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLKRMQDKTIAFVGDSLGRQMFQSMMCMLTGGDDHSHVEDVGKRYSLVVARHAKRPEGWAYRFRRTNTTILYYWSATLCDLEPLRRSDQATGYAMHLDRPPAFLQKNLHRFHVIILNTGHHWNRGKMKANRWQMYVSGAPSHDRDIAVIWKAKNFTIHNVVRWLDDELPRYPHLKVFYRSLSPRHFFNGEWNTGGTCDNKNPLSKGNSVFRNRSDDAEAEEAVRGTRIKLLDITAISRLRDEGHISRYSIRGRGGVQDCLHWCLPGVPDTWNEILAAQL >ORUFI12G17060.1 pep chromosome:OR_W1943:12:18913075:18922844:-1 gene:ORUFI12G17060 transcript:ORUFI12G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLAQFQTIKSSCDRIVVAVEDVSDLWLNVKESFEQRLPVKKACLNNKARNPVFVENLPAEFIQTTDSRLRSRFPQDQYLFWFREPYATVVLVSCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPSNDQASKMAKRVYARLESDFNTKKRERCCKFDLYGPDAEFWDDFDCKMVDCIRNTLDRRVQFYEEEIRRLSEQRFTPIWNFCNFFILKESLAFMFEMTNLHEDSLREYDELELCYSESVNSPGKHREFGGLDTGDDQAALLNPGFKALTQIVQDDVFREFEFRQYIFACQAKLLFKLHRPIEVAARGYAFVVSFSKTLALQENGLPFCFREVWVITACMDLIKATTSHYDGTAVAIDSEREFCRIQGDLYSLCRIKFLRLAYLIGYGVEIEKSPVNSASLSMLPWPKPATWPSIPPDSSAETMAKEKASSFQHYFLTFNLLVMLHMILQAKSREKIFNIHRKPLPLEPSLLLREANRRRAFLSVGNISELYDSGDGSGLDANSKPSPNKSASNYMARTMSGPATSETSLPVDRPMRLSEIHVAAEHALKQTVSDPNFMTSLSSLEEFEKRYMELTKGAADNYHHSWWKRHGVVLDGEIAALFFKHENYDLAAKSYEKVCALYSAEGWEELLADVLPDLAECQKILNDEAGYLTSCVKLLSLESGLFSSKERQAFQSEVVRLAHSEMKHPVPLDVSSLITFAGNPAPPLELCDGDPGTLSVAVWSAFPDDITLESLSLRLSASSSADEGLKAIKSSDARVLVPGRNIITFDIPPQKPGSYVLGALTGQIGKLSFRSHGFSQDGPVDTDEFMSFEKPTRPVLKVRKPRALVDITPAVSSALLMNELQWIGLIVKPIDYSLKDGILHIDAGAGLKIEESQMIEIETYGSDVEHVGGTDASKTSSSSTDTRKVEKVPIEDGKIKIPDWASDVTTLVWFPVRAIDDTIARGASPASPQKQSIVDGMRMIALKLEFGVFLNQVFERTIAVHFTNPFHVSTRVVDKCYDGTLLLQVILHSEVKATLHVKDIWLDLQSGFEHTGKGDGRPTSNLFPLVIAPSSRAGILFVIRLSALGDMDELEKADSMLNIKYGISGDRTTGAHSPVPVKPDDSEELVFKIAVKMKRPVLDPCVAVGFLPFSSDCLRVGQLVNMRWRVERLKNPEDASLLADEILYQVDANPQNWMVAGRKCGHVSLSNKQGSRIEITVTCVPLVSGYVHPPQLGLPHVGEANISCNPAGPHLVCVLPPTLSTSYCIPA >ORUFI12G17070.1 pep chromosome:OR_W1943:12:18924300:18928746:-1 gene:ORUFI12G17070 transcript:ORUFI12G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKGGMATAAGTAVLVYLVLSGRLCGDAAGDEDQTISAAVSAAVEARRRRRKEEAGRARRRGARARRWPERAPAGWGEAAAAAARTVRYTYGETLGKWPLGEIAFGLKYYMRQQGNLQHEYAGSNSQLLEGPVVKEELISLLGYLKLCMYFSKKPYKVFMEFGGYDESDVLIKKSKARLMKPSFTVVRDRSAKCFLLFIRGAISVKDRLTAATGAEVPFHHVVTQDGHVSKLVLGHAHCGMVVAARWIANQAIPCLNEAVAQFPDYGVKIIGHSMGAGIAAILAYILRENEKLSSSTCLAFGPAACMTWDLAESGKDFITTIVNRNDLVPSFGKVSAAKLRTEVMASSWVHDLREQIQQTRFLGFVNRSVSFIRSHVPFVSDPRSKVVDVDMLVPNSSEADQVKPSSNSDAVVKKRHAIACWSCVAAHKHSIDSAKHGTHDITNQTDVNVKAEKTDIETAQLVSISMEELDLQESDNDDDTDRGENEPALNETDEDQAVELLETLTDNPHEPSSSSSQSQDPPQLYPPGRIMHMVGLPSSSEPNSTSEQGEEVVALYETPRHLYSKIRLARSMIREHYMPKYIRTMELLIDKLVAEEEDGIDDDHRLGSL >ORUFI12G17080.1 pep chromosome:OR_W1943:12:18954391:18958331:1 gene:ORUFI12G17080 transcript:ORUFI12G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVVLVVAMAAVAVAVGVASGEEEAAAARCARRPRPRPHSVTISEFGAVGDGVTVNTLPFQNAIFYLRSFADKGGAQLYVPRGRWLTGSFNLTSHLTIFLEKDAVIIGAKEVSEWPIVEPLPSYGQGIDLPGARHRSLINGHNVTDVVITGNNGIIDGQGLTWWNWFRSNKLNYSRPHLVEFVDSEDIVISNLTLLNSPAWGIHPVFCSNVMVHDVTIRTSLDAPLTDGIVPDSCSNMCIEDSSISVAHDAISLKSGWDNYGITIGRPASDIHISRVDLQASLGAALAFGSEMSGGISDIHVDHLNIHGSSRGILFKTAPGRGGYIRDVVISDVQMEDVNVAIKFTGDWSTHPDNHFDPSALPMINRITLKNMVGTNISVAGVLSGINGDPFTNICLSNISFSLADSTQSSSWSCSNISGYSELVFPEPCPDLHHSSSNSSICFSLLTYHALAAA >ORUFI12G17090.1 pep chromosome:OR_W1943:12:18959923:18960425:1 gene:ORUFI12G17090 transcript:ORUFI12G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGRPLPISLPLDPLPLPDLAGGEWSVKTKEGGGGDDAVEEEDEGKARWRKGRRALDPSGGGGVMCEQRSSVAGGKWRIRRWLVHVLYRQRIFSLAVLLRMRL >ORUFI12G17100.1 pep chromosome:OR_W1943:12:18964143:18968279:1 gene:ORUFI12G17100 transcript:ORUFI12G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLWTRSVTGRSSLMAPVCISDERSVAVSAERFWKVFSNPPAMPKVCAGFFDAAEVEGDGGPGTVVILKFNPAVKQGLYKTRVVARDNASHFLKSEVLEVALGRAGKLKTHSTETKLEATGAGSCMAKLRVECEPEDGGSLSPEKQKIILEGYFGMLKMIENYLVAHPAEYA >ORUFI12G17100.2 pep chromosome:OR_W1943:12:18962439:18964342:1 gene:ORUFI12G17100 transcript:ORUFI12G17100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSISDERAVSVSAERVWKVFSDAPAMPKVCAGFIDAIEVEGDGGAGTVTTMKLNPAVDDGGSFKTRVVARDNAAHIIKSEVLDVPAGSKVGKLKSHVTETKIEAAGAGSCLAKINVEYELEDGGSLSPEKEKLILDGYFGMLKMIEDYLVAHPTEYA >ORUFI12G17110.1 pep chromosome:OR_W1943:12:18973155:18975295:1 gene:ORUFI12G17110 transcript:ORUFI12G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFVSDERAVAVSVERLWKVCLDVHSLPKVCAGFIDAVEVEGNGGPGTIHIMKLNPAADAGSVYKTKIVVCDSATHVLKVEVLEVKSRVGNLKSHSTETKLEATGDATCVAKLTVEYELEDGASLSPEQEKMIVDGYFSMLQMIEAYLVAHPAEYA >ORUFI12G17120.1 pep chromosome:OR_W1943:12:18977101:18978528:1 gene:ORUFI12G17120 transcript:ORUFI12G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAMPKVCAGFVDDIVVEGNGGPGTIYTMKLNPARVLKSEVLEAESKVGKLKSHSTETKLEGTGDGSCVAKLKVEYELADGSSLSPEQEKTMVDGYFGMLKMMEAYLAAHPAEFA >ORUFI12G17130.1 pep chromosome:OR_W1943:12:18979768:18980909:1 gene:ORUFI12G17130 transcript:ORUFI12G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGCPIYVSDKPGNHNLELLRKLVLPSGSGLRIWNLNKCGGIVGVFNCQGAGWCRVAKKTHVHDAAPGTLTGAVRADDVDAIAQVADDGDGDDGWDGEAVAYMQRARELVRLPCDAVLPVTLGALDYEVFHVCPVRAIAMAPGGTVVAFAPVGLLDTVDATAAAVALRVHGCDHFGAYFSRRPARCTLDGADVGFTYDGDTRTCSQRDPRRINLSQGKTGL >ORUFI12G17140.1 pep chromosome:OR_W1943:12:18981592:18983126:1 gene:ORUFI12G17140 transcript:ORUFI12G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPACVSDEHAVAVSAERLWKAFMDASTLPKACAGLVDDIAVEGNGGPGTIYTMKLNPAAGVGSTYKTRVAVCDAASHVLKSDVLEAESKVGKLKSHSTETKLEATGDGSCVAKLKVEYELEDGSSLSPEKEKDIVDGYYGMLKMIEDYLVAHPAEYA >ORUFI12G17150.1 pep chromosome:OR_W1943:12:18984918:18988780:-1 gene:ORUFI12G17150 transcript:ORUFI12G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLSLPAGAPVTVAVSPVRSPGGDAVVRRGSGLTSPVPRHSLGSSTATLQVSPVRRSGGSRYLGASRDGGADESAEFVHYTVHIPPTPDRATASVASEAEAAAEAEEVHRPQRSYISGTIFTGGLNCATRGHVLNFSGEGGATAASRAAASGNMSCKMRGCDMPAFLNGGRPPCDCGFMICKECYAECAAGNCPGCKEAFSAGSDTDESDSVTDDDDDEAVSSSEERDQLPLTSMARKFSVVHSMKVPGAAANGNGKPAEFDHARWLFETKGTYGYGNALWPKDGHAHSGAGFVAADEPPNFGARCRRPLTRKTSVSQAILSPYRLLIAIRLVALGFFLAWRIRHPNPEAVWLWAMSVACEVWFAFSWLLDSLPKLCPVHRAADLAVLAERFESPTARNPKGRSDLPGIDVFVTSADPEKEPPLVTANTILSILAADYPVEKLACYLSDDGGALLSFEALAETASFARTWVPFCRKHGVEPRCPEAYFGQKRDFLKNKVRVDFVRERRKVKREYDEFKVRVNSLPEAIRRRSDAAADHARGDHAGIIQAMLAPPTSEPVMGGEAAECGGLIDTTGVDVRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYVHNSSALREGMCFMLDRGGDRVCFVQFPQRFEGVDPSDRYANHNLVFFDVSMRAMDGLQGPMYVGTGCVFRRTALYGFSPPRATEHHGWLGRRKIKLFLTKKKSMGKKTDRAEDDTEMMLPPIEDDDGGADIEASAMLPKRFGGSATFVASIPVAEYQGRLLQDTPGCHHGRPAGALAVPREPLDAATVAEAIGVISCFYEEKTEWGRRIGWIYGSVTEDVVTGYRMHNRGWRSVYCVTPRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASPRMKLLQRVAYFNAGMYPFTSVFLLAYCLLPAVSLFSGKFIVQRLSATFLAFLLVITLTLCLLALLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKPGNGGGDGGVGGEGNDDEAFAELYEVRWSYLMVPPVTIMMVNAVAIAVAAARTLYSEFPQWSKLLGGAFFSFWVLCHLYPFAKGLLGRRGRVPTIVFVWSGLISMIISLLWVYINPPAGARERIGGGGFSFP >ORUFI12G17160.1 pep chromosome:OR_W1943:12:18989713:18990235:1 gene:ORUFI12G17160 transcript:ORUFI12G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASNALPRWAPVATDSPSTPLLSLFLSLARSPLSGPTPPRQLPGKSSDEEREEAVVRAAARSGSRAAEVELGTVDGLEAPVLAAEEHVDDVVVVVVVLRDDGDEIRPSHALPGLLCRRLACHHILPDLAVRGPDPPTTALDLASPTSDGARRRMPGRMATGEREREE >ORUFI12G17170.1 pep chromosome:OR_W1943:12:18998750:19003955:1 gene:ORUFI12G17170 transcript:ORUFI12G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSWERLAADARLQDALIGMEEAEGSEGEEEAAEVACPFCDEEFDGFGLCCHIEDEHQAENRAGVCPICYDAVGMDLVSHITSEHPSFFKGKWRNRRVSHGSHSSTRATLKKDAAYLQYRYGGSTRAASHNTDPDPLLSSFVGNFTDTDLPKDVQQEFRDETDEKSDSLVQKEQKPVESADEPLLPEVKEERTRRSQFVQGLVLSLMFDDIL >ORUFI12G17180.1 pep chromosome:OR_W1943:12:19010747:19015273:1 gene:ORUFI12G17180 transcript:ORUFI12G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRRPEEESPQAAALKKRCRSFDLEIRGCRHLQELAAGCVRTLEAALESAISRIPEEVTKALTSFLIRAPRTLSDQNQPPRYKLRFSNGLSNEVFTKKGICDVNGESLKISVHVNNPQEACSHRLLSAKIRVVVLDGDFNKNDQGCWTSEEFRNNIVRPRDKVGAVLTGELELSLKNGEAYIHDATFVDNSKFMRSGKFRLGVMVIDDLGERIQEGITEPFVVKDRRGEGSKKHEIPSLDDDVWRLKKISKDGVFHDALKQSGISNVKEFLRLYYTDEPALRKILIKATDKVWTTIIEHAKKCDPGRELYSFLPENRNVVLFFNSIHQIVGVITGDHYTPFSDLDKSMQDDVGQLSKLAYADLNHFLPDFEMKNGKPRQINQCAFQESRMVEPKFTDQIQGHMDPNFAGLIQGNMDQKKRNVHESDDQQGTSGSHPRQCKLSRFGSVRVTRVASLNKNDEDSLDFSFLLNSLSDQHDASMNTNEIAASVTFHCPTASTNEITGSVVMRQASFRIDHPACENDASVAEFHQEQQVVTAQFDPSFLAVLADAPMYSTHNSFKESECHEALQKLSED >ORUFI12G17190.1 pep chromosome:OR_W1943:12:19018251:19022794:1 gene:ORUFI12G17190 transcript:ORUFI12G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELMAPKKRELLLLERRGSEKRLRVTVPVAAAAAVAAVGVGTAALASPATRMLRKIVLVLLFLLRMSERVTVVESISQIGRMVQRLHNAQGVIIKKLENIQENMLERMENMQERMENMQERMEDISHEVKQLKHLHSNRHADQHPGLEPNTNVQLRFLDNLKTPVYTEKNITAESNEAIRIGIFEGDNMITDGPLSKVKVEIVVLRGDFSNDGRVSWTEEQFNNHIVQGRNGQGFVLGGDCGVWLKKGENRLGKIRFKEGSSRTRSRMFILGARVCKSENTGVRVQEAVMKPVTVLDRRNEANEKRHPPMLDDEVFRLEEICKDGTYHKRLQKAKIFTVHDFLKALNTNAKKLREEVLQMKKKTNSWDKMVGHARECCLRDQHELKAYQSEEENATLFFNGVHQIVGAKFGGDYVIYENFDPAQKTKVNKLKDRAHAKLDDIPSDFVMKNNIPEPISPTSAAAAGPSNRSDHQMPNQGAENLCNGVAFYSNAICDCSTSNPNDVSTHDYPDQAPTPFPDWQQDLQRLMSSSDTIDWPSFERIVLGGTSEESSSAQHQVHQLHESMPPATSPWVAAPQSRAQHGEEPSRLPFPGSDHNNNC >ORUFI12G17200.1 pep chromosome:OR_W1943:12:19023691:19027736:1 gene:ORUFI12G17200 transcript:ORUFI12G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEATSGGGGGFRARVEHYLYSGEKKHVVAGIAIFAAIFGVPWYLMTRGAKHQSHQDYMERANKARSERLSSGQASSPKE >ORUFI12G17210.1 pep chromosome:OR_W1943:12:19030424:19038650:1 gene:ORUFI12G17210 transcript:ORUFI12G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKTELKKCHLQLYSVFVSLQVAATTRATTPRAESAGTEEREREREREREAPGGRRKRIISRSMDGNKRRRTRRRLSLATATAGDGDGDGMAAAPPPAKRQRCHAVEELPSPRRGLLRQSVLVVVFLRRAMLLAWGGRKADDDDGGGGAAVGVSRIGGVVRDELRRCLGPIARSFSLQFSKLERKLESRLERIDQRIENLNHKVDQITPLRRSHCNHQHPMQGTNNEGANAEGVETNEDDDKNTCVRLRFLNEMKPPIYHDDELKAENSEDIRIGIFDGEQMIKSGPLSKVKLEILALEGNFPYNSMESWTTKEFNEHRACGRDERGDVLAGERTVQLINGEASLGAIKFREGSCKARKGKFILAARVCDSARTGVHVQEAVMTPVVVQDRRNKSNEKSHPPKLDDKVHRLEEIAINGIYCKRLVEKGIKTVKDFLKALNKDPDNLANILHMKKGSKAWEKMVTHARDCSLEGKPELKSYPVAQTNVVLIFDCVNSLVGAWFGGSYIASDSLSSAQQVIVDKLKGEAYQLLDQLPFDYIMEGGFPILNPMNANADYRAQGTEAVGGLDHAQIDPSFANANYQDQSTAQAGQEQFSSAAVAGWCQDSIAQPSSSHQTNHVVYPGGAQVNYSHQTNCVAPCDYPCQGASMVPGFDQVELQGRPFLGRDDLEASTSAHNNLPFPPQQQFTFSGDPGSSAQVNMQSQGHSKPSTSTTQGNLPTQQQWSQSQYHGNNWG >ORUFI12G17220.1 pep chromosome:OR_W1943:12:19039203:19044879:-1 gene:ORUFI12G17220 transcript:ORUFI12G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGEDAAAAAAAASTKYVLITGGVVSGLGKGVTASSVGVVLKACGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKQWIQSVSSVPVDGQTRPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKDNFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSVKEKLSQFCHVPVENILNIHDVPNLWHVPLILRNQKVHEAIIKQLNLGRSAGPPELRDWTQMAESYDDLKNSVKIALVGKYTNLTDSYLSVVKALLHASVACSLKPSIQWIAASDLEDATATSAPDAHAKAWETLKGSSCILIPGGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRNVLGLKDADSEEFNSETPFRVVMYMPEVSKTHMGNTMRLGCRRTFFRRTDCLTSKLYGSPEHVDERHRHRYEVNPSFVAMLENAGLHFVGCDESGKRMEPEPASTYEQVVELQDHPFYIGVQFHPEFKSRPRRPSPPFTGLILAAAEYMGTPANNSNGHVGTSE >ORUFI12G17230.1 pep chromosome:OR_W1943:12:19049689:19050150:-1 gene:ORUFI12G17230 transcript:ORUFI12G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLYIKKKRVDPLEKKQIQKLHNSIVSLEKPKKIHMERQDKKTCNSTTAAIQYKSFFPGLSQDKQLRYAIAIDGLNHYSTPKQDLRSEAFKKKITSKTLTTPVYNIRLGFYPKEKKINHQHNATKKENGSYIRQHHYHQSKTGQGLRHRLL >ORUFI12G17240.1 pep chromosome:OR_W1943:12:19053444:19056526:1 gene:ORUFI12G17240 transcript:ORUFI12G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAARRAEVEEMRRRTFLPYQQGLLFGCLGLTSRLSGAAAAAAAAAGKSRVFTPSTPRALSECQEHFLSASPECQAVISMPNGQPNDGTWMVYSIHLLIMLALLGFLPEDRCRLLTGQRGMLGIMTASSFSGSKP >ORUFI12G17250.1 pep chromosome:OR_W1943:12:19069577:19071732:-1 gene:ORUFI12G17250 transcript:ORUFI12G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWATRFLAAVCFFAAGVVFAPDVLLGARSGSGSGGGAAAAAKVAHLLCFATSWGAALWATFIGGIIMFKNLPRHQFGNLQGKLFPAYFMLISACAAVSVAAFAYLHPWKTASTVERYQLGFLLAALGFDLSNLLVFTPMTIEMMKKRHKIERDLSIGEEVGWSKNVQVAKNNPTLAAINKKFGMIHGLSSLANIMSFGSLAMHSWYLASKLEM >ORUFI12G17260.1 pep chromosome:OR_W1943:12:19074784:19078210:-1 gene:ORUFI12G17260 transcript:ORUFI12G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLAVFFLLAGGAGLGMTAAAAAKAVHLLCFATSWGVTVWAILVGGVIMFLYYTFSPCSFNVFPPNLPRHAMGRLRGKVFPACFALNAACTAASAAAFAWLHRPPWPPAERRQLAVLLVAAGYDLANLLIFTPRTLEAMRERHKVERSLGIGGDGSFVGWRQNARAARSSSTLAAENARFWVAHSFSAVALVISAAGLFSHFCYLSGKIVI >ORUFI12G17270.1 pep chromosome:OR_W1943:12:19080391:19080717:-1 gene:ORUFI12G17270 transcript:ORUFI12G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGAGAVGRRAWLWRVLLARRQADAVVARDSGGGGGCTKARDASEVENKVGRSRSDDLDVISCGDMGGGVGDDNDKDAKHLNPRKRQSAFDLTRCCALLCCLRARKK >ORUFI12G17280.1 pep chromosome:OR_W1943:12:19083200:19085290:-1 gene:ORUFI12G17280 transcript:ORUFI12G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIHHPNLLLLRRRRCRRLSGANRRPETPPRSSLSTKSAANPPDPADPARAASILAEDDWFPRLNAEFAAALPRLGPRFVVRALRAAAEREGLGEPLLCVRLYVWASRFGAHFARDGGVRRALVGALWRRGPVVLSGRLVAEVRGCGCEVSEELVCALVESWGRLGLARYAHEVFVQMPRLGLRPSTAVYNALIAASVRAGAVDTAYLRFQQMPADGCRPDHFTYNSLVHGVCRRGIVDEAVRLVRQMEGEGIRPNVFTYTTLVDGFCNAGRVEEAFRMLDKMKEKGVAPSEATYRTLVHGVFRCLERDKAYRMLSDWLGHETSLHPSACHTMLYCLSKKDMAKEAVEFEKRMSARGYLLDSTAFGIVMSCALKCLEVSDLCELLDSFIKNGGNPGFDVYIMVIKSLLNCKNFSKANHYLGHMVLKGLLSSVMSYNMVIDCFVKAGAVDKAEEIVKEMQDKGFLPNLVTFNTLISGYSKLGNVHNAKVVLKMLMEHGFMPDIITFTSLIDGLCNTHQLDDAFVCFEEMAEWGVRPNAQTYNVLMHTLCSAGHVNKAIDLLNKMKIDGVTPDAYSFNALILSFCRMRKVDKAEDIFNDMVRFGVVPDSYTYNSLIKALCDERRVNKAKEILFARERSGCSTSNNQSYWPIVAALAKMGQFSEAGELMDKYLSRNAQSSCGSNQSIESEVAVQVVNA >ORUFI12G17290.1 pep chromosome:OR_W1943:12:19088807:19093118:1 gene:ORUFI12G17290 transcript:ORUFI12G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRGKKRRLDDESSNPQLAPRGGEDLISRLPDDILTGIITLLPTKDGACTQMLSRQWRPLWQSAPLNLEARVNGCTLGKDVATIYSTLLTHSGPEFELFYDSVGVQNPPVPPSVLRLSSTLRVLRILSTCDTLQFPMETACTLDFPHLKELTLSQVNIADSILHGILSRCIVLESLVLDANRGCSRLRISSLTLQSLGVSDTYFSVEGMLEEVIIEDAPLLERLTPPVIWHEGFVIRVIQAPKLKTLGYLSQKISTLQLGNMVFQKLVPVSLSNVMRAMKILALHTAPDLDVVIDFLKFFPCVEKLYIVALNQGNFKNVRRYVSLECLDLHLKMVEFINYHGNLSDLNFIKFFVLNAQVLECIKFVACRDKCDAKWIKTQHQRLQLYSRASRGVTLDFQADYGVDSLVHVKHISDLITDDPFDRSFCRCRDEEI >ORUFI12G17290.2 pep chromosome:OR_W1943:12:19088807:19093126:1 gene:ORUFI12G17290 transcript:ORUFI12G17290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRGKKRRLDDESSNPQLAPRGGEDLISRLPDDILTGIITLLPTKDGACTQMLSRQWRPLWQSAPLNLEARVNGCTLGKDVATIYSTLLTHSGPEFELFYDSVGVQNPPVPPSVLRLSSTLRVLRILSTCDTLQFPMETACTLDFPHLKELTLSQVNIADSILHGILSRCIVLESLVLDANRGCSRLRISSLTLQSLGVSDTYFSVEGMLEEVIIEDAPLLERLTPPVIWHEGFVIRVIQAPKLKTLGYLSQKISTLQLGNMVFQKLVPVSLSNVMRAMKILALHTAPDLDVVIDFLKFFPCVEKLYIVALNQGNFKNVRRYVSLECLDLHLKMVEFINYHGNLSDLNFIKFFVLNAQVLECIKFVACRDKCDAKWIKTQHQRLQLYSRASRGVTLDFQADYGVDSLVHVKHISDLITDDPFDRSFCRCRDEEI >ORUFI12G17290.3 pep chromosome:OR_W1943:12:19088807:19092072:1 gene:ORUFI12G17290 transcript:ORUFI12G17290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRGKKRRLDDESSNPQLAPRGGEDLISRLPDDILTGIITLLPTKDGACTQMLSRQWRPLWQSAPLNLEARVNGCTLGKDVATIYSTLLTHSGPEFELFYDSVGVQNPPVPPSVLRLSSTLRVLRILSTCDTLQFPMETACTLDFPHLKELTLSQVNIADSILHGILSRCIVLESLVLDANRGCSRLRISSLTLQSLGVSDTYFSVEGMLEEVIIEDAPLLERLTPPVIWHEGFVIRVIQAPKLKTLGYLSQKISTLQLGNMVFQKLVPVSLSNVMRAMKILALHTAPDLDVVIDFLKFFPCVEKLYIVALNQGNFKNVRRYVSLECLDLHLKMVEFINYHGNLSDLNFIKFFVLNAQVLECIKFVACRDKCDAKWIKTQHQRLQLYSRASRGVTLDFQADYGVDSLVHVKHISDLITDDPFDRSFCRCRDEEI >ORUFI12G17290.4 pep chromosome:OR_W1943:12:19088807:19090691:1 gene:ORUFI12G17290 transcript:ORUFI12G17290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRGKKRRLDDESSNPQLAPRGGEDLISRLPDDILTGIITLLPTKDGACTQMLSRQWRPLWQSAPLNLEARVNGCTLGKDVATIYSTLLTHSGPEFELFYDSVGVQNPPVPPSVLRLSSTLRVLRILSTCDTLQFPMETACTLDFPHLKELTLSQVNIADSILHGILSRCIVLESLVLDANRGCSRLRISSLTLQSLGVSDTYFSVEGMLEEVIIEDAPLLERLTPPVIWHEGFVIRVIQAPKLKTLGYLSQKISTLQLGNMVFQKLVPVSLSNVMRAMKILALHTAPDLDVVIDFLKFFPCVEKLYIVALNQGNFKNVRRYVSLECLDLHLKMVEFINYHGNLSDLNFIKFFVLNAQVLECIKFVACRDKCDAKWIKTQHQRLQLYSRASRGVTLDFQADYGVDSLVHVKHISDLITDDPFDRSFCRCRDEEI >ORUFI12G17300.1 pep chromosome:OR_W1943:12:19098963:19099508:-1 gene:ORUFI12G17300 transcript:ORUFI12G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDNTVAVDGGGRWRLSNNQRLLHIANIDRTSKSYICTSCSMWLGAEDRVESADDGWLILCNVELISIPHHYILP >ORUFI12G17310.1 pep chromosome:OR_W1943:12:19101068:19101250:1 gene:ORUFI12G17310 transcript:ORUFI12G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRAKKRMLDEESSNPQLAPRGGEDLISRLPDDILISILLSKDAARTQMLSRRWQLL >ORUFI12G17320.1 pep chromosome:OR_W1943:12:19101296:19101813:1 gene:ORUFI12G17320 transcript:ORUFI12G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDVTTIDTVLQAHRGRVRQFSLSWTLDYNHFFIVDYLLGSPQLCKLQEFELFYFNIDAQNLWICSTCDTLQFPMETDCMPNFPHLKELTLSNINIVDGERGGAARGGRSGVAGGECGRGSTRWEEQCCRWGERRGTTGRRERWPAVEGGRGKMN >ORUFI12G17330.1 pep chromosome:OR_W1943:12:19108392:19110023:1 gene:ORUFI12G17330 transcript:ORUFI12G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRAMKRRLDEESSNPELAPRGGEDLISRLPDDIFTSIITILPGKDAARTQMLSRQWRPLWQSAPLNLEAMVDSRTLCKLQEFELFYFNIDAENLLVPLSVFRRSHTLCVLRICSTCDTLQFPMETDCMPNFPHLKELTLSNISIADSTIHCLLSRCPVLESLVMDANRGCHRLRISSLTLQSLGVSDACSYVEGKLEEVIIENAPLLERLTPPCIRNEGFVIWVTQAPKMKTLGYLSHKISTIELGTMVFQKLVPVSLSNVMCTVKILALDRAPDLDVVIDFLKCFPCVEKLYVVAFIQGNFKNALRYVSLECLDLHLRMVEFINYQGNMLDLNFIRFFVLNARVLECVKLVAAHDKYGRKWMEKQQQKLQLYGRASRGITFDFQADYGSNGSVHMKHISDLTTDDPFDSSFCRCRDEEL >ORUFI12G17340.1 pep chromosome:OR_W1943:12:19124371:19125552:-1 gene:ORUFI12G17340 transcript:ORUFI12G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGSHGDVTNLTHAKVVRKRARNWYASLTQEKKDERNKKDRERRKRKEESHVLNKSATNSDVAPLCELSKKSAADLITCQLEVNDYSTLHQGKANSSHYCYICIPWMNSVLFDIMEIDIISNTSGQILTLTSELLVW >ORUFI12G17350.1 pep chromosome:OR_W1943:12:19130761:19132564:1 gene:ORUFI12G17350 transcript:ORUFI12G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPRNFAIMGRKEATDGGRFFYDWQRGDRRMVVVTRATKRRLKEESCDPELRKMRRVEELRRLRRLEEESGDPRLGEDLISRLPDDVLRGIITLLPTKDGASTQVLSRWWRPLWRSAPLNLEAWVNGETMGKDVAAIHDTLRAHEGHVCRLSLIWRDGHDRRFPVVESILGSPELDRLQEFELFYDNVCSQNPPVPLSVLRMSAALRVLRIRSTRDGALQFPMETACMLDFPHLKALTLSNVNIMDSALHGLLSRCPVLESLVLVGNRWCRCLHISSLTLQSLGVSDGFSSVEGKLEKVTIVDAPLLERLIIPRDKWQDDFVVRVTQAPKLEALGYLSDGISRLEIGTMVVQKLVPVSLSNVVRTVKILAINTNFYPNVVIDFIKCFPCVEKLYVKVRYYAYFNNVQQNVSLECLDLHLKMVEFINYQGNVEDLNFIRFFALNALVLECMKLVTRRNKCDVKWIEEQHQKLQLYSGASRRVTFDFQADYEGDSLVHMKHISDLTTNDPFDRSFCRCRDEER >ORUFI12G17360.1 pep chromosome:OR_W1943:12:19141766:19143886:-1 gene:ORUFI12G17360 transcript:ORUFI12G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRAKKRKLEEESSNPHLAPGGGEDLINRLPDDILIGIITILPGKDAARTQVLSRRWRPLWRSAPLNLEARVNGGKIYKDVATIRSTLQTHKGPVCRFSVSWTFDYNHFPVVDSLLGSPRLDNLQEFELFYHYNCSRYPPVPRSVLRLSPTLRVLWISGTCDTLLFPMETAYFPHLMQLTLSNHSPCSSVTMPCLGELGAGWNRGCRRLRISSLTLRSLGVSNDTRKDEKLEEIIVEDAPLLERLTPHTIWQGDFVIRVIQAPKLKTLGYLSHKITTLELGTMVFQKMVPVSLSNVMRSVKILALDTTPDLDVVIDFIKCFPCVEKLYIVAFNQGNLKNIRRNVSLECFDLHLKMVEFINYQGNMLDLNFIRFFVLNARVLECIKLVARRDKCEAKWIEKQNQKLQLYGRASRRVTFDFQADYGVDSLVHMKHISDLTTDDPFDRSFCRCRDEEIY >ORUFI12G17370.1 pep chromosome:OR_W1943:12:19158380:19159543:-1 gene:ORUFI12G17370 transcript:ORUFI12G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRAWWWRVLLARRQADAVVARDSGDGGGGRAKARDASEARDASEVDNKMGRSGSDDLDVISCGDMGGGMGDDDDEDVEHRNPRKRQPAFDLTRRFALQCCLHARKK >ORUFI12G17380.1 pep chromosome:OR_W1943:12:19164293:19172144:1 gene:ORUFI12G17380 transcript:ORUFI12G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCWEAAGGDVKAAGMRKKKESPSKMPRPLISIMICLLSPPLIVAAADALIPTSCRLFVVSSNISLAFMSCLLFFYRQKDYFYCNQRKSLGKIMLVLLAIIIMLITAAFTIIIAVGMRPCAFTSGTPPQEAHAEFSSHQITTHRGRNLLQIPQAQHPSPPPHAICVERIHAVGSYTAVAEFPDGSGRLLLVSQDGKMIWLVTFFSGGGSAITTLFLDLSDMVVGHGADGVGIKGIAFDPDFINNGRYYVSLTCDSVSSSNCGAAAAAAGANGAQPQRYRYWLLVAMFSVKDSMGMTKTFKPKEMMTIYKIALPPPQEVKIYGLNQGGQIFFNQYTKDGYIYVAIGHGVIQTATGLVDFSSDMSTALGKVARIRVNINYFPGMQQQPAEIIVMGIGDPKGCSFHPNMPLMFCGLVVNGSAQVRLIDTEGGRYSVIHHGSLPNITGGFYFRASTDPSLKGWYSPQGSGQYTSASITKMGCSASSPLPCDDPKGTATIGEDNNGNALFLTTKGIYQVVHPSLCHFATGDGGAGAVSMRVAELTYVMQC >ORUFI12G17390.1 pep chromosome:OR_W1943:12:19176584:19178911:-1 gene:ORUFI12G17390 transcript:ORUFI12G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQIASSVRLRASFIHRCRSGALFRWCWWGYSVSAASAAPAAHYTSTPLTSCHTLPAACNGTTSPVLSAPPGGRTSLPCATISSPQALSRKETWTPYLLAVATNSSIGNSTRHICLRRFACKASVRSVDPRGQSCCVRIEAACDLGHWWKRGAKSRMFSAVKLTDPRKYFVQHAYRILLHGARAMSKPMMIEEAIFILVKDLATDLQNSPYEIIGYSVWN >ORUFI12G17400.1 pep chromosome:OR_W1943:12:19191464:19204002:-1 gene:ORUFI12G17400 transcript:ORUFI12G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTATQTLAPAVLSRSHGAPSSFSSQPRRTAAAASRVSCTRVGALSEVVNGELVVGDQEQTTDDLLTRHKNVVADYTLSATVTVSLKQDDSTPQKVADMVNRDWLFLDFFSSHIGSFIYEASFSIPSSLDAVGAVQVVNRYSSEVYISDIDVHLCGGRHQWTDITFHCNSWIDYNPNDQRFFFPLKSYLPSQTPRGVKNLRKEELRAIRGDGRGERKEWERIYDYDVYNDLGDPDNDPATRRPVLGGRGRPYPRRCRTGRRRCRTDPSSESPPAKDGAGIYVPRDEAFTERKAGAFATKKALSALSAFTTAQRVSGDRRRGFPSLAAIDALYEDGYKNRPSSSQQEADNLEGYFREVLQKQVKLLLKGEKEEFKEELRKVFKFQTPEIHDKDKLAWFRDEEFARQTLAGMNPLSIQLDFPIFSKLDEETYGPGDSLITKELIEEQINGVMTAEEAVEKKKLFMLDYHDVLLPFVHAVRELDDTTLYASRTLFFLTEDGTLRPIAIELTRPKSPNTPQWRQVFTPGSSVAASWLWQLAKTHVLAHDTGYHQLVSHWLRTHCCVEPYPYVIAANRRLSQMHPIYRLLHPHFRFTMEINAQARGMLINANGIIESAFAPGKLCMELSSAPYVIAANRRLSQMHPIYRLLHPHFRFTMEINAQGRGMLINANGIIESAFAPGKHCMELSSAVYDKFWRFDMEALPADLIRRGMAIECEDGELELTIEDYPYANDGLLIWDSIKEWVSDYVNHYYQLASDIHMDKELQGWWNEVRTKGHPDKEEGWPELNCHGSLVEVLTTIIWVASGHHAAVNFGQYPYAGYFPNRPTIARRNMPTEGQACSHDGMQPTFVEDPVRVLLDTFPSQYQTTLVLPVLNLLSSHSPGEEYMGTHAESAWMADREVRAAFGRFNERMMSIAEMIDCRNKDPERKNRQGPGVVPYVLLKPSYGDPKDMTSTHSRSAALVRDGPMSAITSWSAASDAEVTAAPASSERTALRKGRSTAEGSAAAGVAAAAAGEKMRRRSLEGGDEWVVRRGVVGCGARVAEAARPARRWPQWISRKRGRHPSRRRRPS >ORUFI12G17410.1 pep chromosome:OR_W1943:12:19203643:19204068:1 gene:ORUFI12G17410 transcript:ORUFI12G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVITYMRAEEIKEKPVSINHVRHLLGSGIVLLQAHRHRGAQRVVGDDILVPRKEVVGRLFLVADDEFAIDDLRQGADAGAAYSRGGGGGAARLAGEGRRGAMAPGEHCRRQSLRGREHSDKLSCQCVEVIYQDRKGGDV >ORUFI12G17420.1 pep chromosome:OR_W1943:12:19211248:19219405:-1 gene:ORUFI12G17420 transcript:ORUFI12G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPITSSLASCTCPSFLKMTRLAGNVWCADGVQRVHDIMREIAISKSKEENLVLRLEGGRRLHNHDTVRHLSITNSSEDWETDVGELKTTVDMSRIRSLTVFGEWRPFFISDKMRLLRVLDLEDTKDVRNHHIKQIGELLHLRYLSLRGCMRIAYLPDSLGNLRQLETLDVRDTFILRLPKTITNLRKLKYLRAIVDKITYEGIAEELPEKNSKKFFSVLAALSRLESLSLLSKGKPGLCGCLDAQEKFSPPKDVKSLKLQGNLVELPKWIKQLNNLVKLKLSETMLKDHDAAIQVLGMLPNLTILCLSRESFHSLEGEELNFSEGSFKSLVVLELNFSGSKCVKFEQGAFLNLELLLLSVYYEEVETKFSGLEFLQSIKEVQIDGYCPNRKGLKKDLLPPGAESLSLLSKGEPGLWGCLDADEEFSPPMNLKSLKLQGNLVELPKWIRQLNNLVKLKLSETMLKDHNAAIQVLGELRNLTILCLSREPFHSLEGGELNFSEGSFKSLVVLELHFGGSKCVKFEQGAFLNLELLLLSVYYEEVETKFSGLEFLPGIKEVRLHGEFYARNEQSAPRLKEDLLAQLSENPKKPILKTSGRKRLVRRWCAEGYSRELWDKSAEEIANNYFFELIDRSMILSTQNSTYSSRGADSCQIHDIMREIAILKSKEENLVLRLEGGRRLHNHDTVRHLSITNSSEDWETDVGELKTTVDMSRIRSLTVFGEWRPFFISDKMRLLRVLDLEDTEGVRNHHIKQIGELLHLRYLSLRGCMHIAYLPDSLGNLRQLETLDVRGMHIDRLPKTIINLRKLKYLCASVDENTYEGIAEELPELIRNRLCISTVALLGLCLFCSASVIVKFDTGINTRDICCLFCCGILPSIAMRLQGNGVVAPRGLRRLTALHTLGVVDISWQPSILQDIKRLTHLRKLEVTGVNKKNSKKFLSALAALSRLESLSLISKGKLGLWGCLDAEEKFSPPKDLKSLKLHGNLVELPKWIGKLNNLVKLKLSEIGLKDHDAAIQVLGKLRNLSIHCLPRKSFHSLEGGELNFSEGSFKSLVVLKLHFGGSKCVKFQQGAFHDLELLVLSGYIEEVGTKFSGLEFLPRIKEVQLHDYFYDQATRRLKEDLLAQLSENPKKPILKTSGCF >ORUFI12G17430.1 pep chromosome:OR_W1943:12:19219444:19230206:-1 gene:ORUFI12G17430 transcript:ORUFI12G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSIGRSVLKGALGFAKSTLVEEVSLQLGVQRDQAFIRDELEMMNSFLMAANDEKDDSKVVRTWVKQVRDVAYDVEDCLQDLAVRLGRKSSSWWLSPHTLWERRRIAKQMKELRGKVEDVSQRNMRYQLIKGSKPTVATNVAPSSTARATMSGVHEERWQHDKAVAGLVRLVIKTKVDELRVIAVWGTSGDIREMSIVGGAYDHLKRSNKFECCAWVNLMHPLNPTKLLQTIVRQFYVRSLQEAGKATPSCQILSSMLIKEDQGLGLKEDHLNDEFNEYLSDKCYLVMLNDLSTAEEWKQIKMLFPDNKKGSRIIVFTQHVEVASFCARTEEGAKDGVDSMEDSSNLNEDTTYNAVEGKSLPRTYSMVTAFKESEIVGRVDEIKEIIELISKGSQQLEKISVWGMGGIGKTTLIQNVYRSEKVKKMFDKHACVTIMRPFNLNDLLMSLVRQLEDSKTSGEKELASILEGKKYLIVLDDVLSTTEWNAIESYFPAMETGSRIIITTRHESIAKHCSGDQQGKIYQLNRLGDSDAKNLFAKKQQQLHTHGQHRGSSAPSPPHRTPEPVAPVWHLRPHGSTQATVNSWQRWDGWLQTHNGSGEINGEIHNLNTACIHYLCHGGGGGKSNGRIGVFKESVNLDQEDLELIEEAKLILKKCKGLPLAIVTIGGFLASRPKTALEWRKLNEHISAELETNLRA >ORUFI12G17440.1 pep chromosome:OR_W1943:12:19244749:19248360:1 gene:ORUFI12G17440 transcript:ORUFI12G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMATQPLGPVLSPSHGGPSSFSSSVSLGGQWAPRRPAVSSKVSCTRIGLSEVDNGKVVGHIDVDEEEQTMQVQGITTVTATVAVRLKEGISTPEKVANMVNRNWLFLDFFSSSTERHTEPQPAKYLRMDDVTGSFIYESSFGVRSSFGAIGAVDVVNRFNTEVYISDIESSYLPSQTPRGVKNLRKEELKAIRGNGRGERKEWERVYDYDVYNDLGDPDNDPATRRPVLGGRERPYPRRCRTGRHRCRADPSSESPPATADGIYVPRDEAFTERRAGAFATKRALSMLSAFTTARRVSGDRRRSFPSLAAIDALYEDGYKNRPPSSQPEADDVDGYLAGMVQRQVKLLLKGEEEEFKEELRKLFKFQTSEIHDKDKLAWLRDEEFARQTLAGMNPLSIQLTYGPGDSLITRELIEGQINGVMTAEEVNEQAYIYSQELNKSKKIIYKY >ORUFI12G17450.1 pep chromosome:OR_W1943:12:19264209:19265366:1 gene:ORUFI12G17450 transcript:ORUFI12G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINAQARRMLISANGIIESAFAPGKHCMELSSAVYDKFWRFDMEALPADLIRRIDLGNGIPWGGWQAEANDRGLADSIKEWVSDHVNHYYPSVSDIYSDEELHGWWNEVQTNGHPDKKDGWPELDCHGSLIKVLTTIIWVASGHHAAVNFGQYPYAGYFPNRPTIARRNMPMEEEHGCEGMQPTFVEDPVRTTLILPALNLLSSHSPSEEYMGTHTEAAWMANREVRAAFGRFNERMMRIAETIDRRNRDPERRNR >ORUFI12G17460.1 pep chromosome:OR_W1943:12:19268705:19271926:-1 gene:ORUFI12G17460 transcript:ORUFI12G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFSESKKELDALLSDDSLATVPFLILGNKIDIPYAASEEELRYHMGLSNFTTGKGKVSLGESNVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >ORUFI12G17470.1 pep chromosome:OR_W1943:12:19274863:19276497:1 gene:ORUFI12G17470 transcript:ORUFI12G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDRDQKILLYACRNCDHQEVADNNCVYRNVVHHSAGEFTQVLQDVAGDPTLPRTKAVRCAVCGHGEAVFFQATARGEEGMTLFFVCCNPSCGHRWRE >ORUFI12G17480.1 pep chromosome:OR_W1943:12:19277323:19279423:-1 gene:ORUFI12G17480 transcript:ORUFI12G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPLLLHSPRFAAALTTPPPPPLPPARRLVAAAAGGDLSLAMSAATGEYPVPVSPPYPAASKDVELRRAMTASARSAAYSSAPVVFEDEWLAVVDKPAGVYCDALLSALPCSAATLGDEATKPNLHLANRLDRDTSGLMVITKCNKVAGKLVKAFTEHKVKKTYLALCIGYPPAWEKIKICSGHGRSKHGAWRVYAMSDVGRSLPGGSVVRDMSTRFEVLGINGKGQFREPSNFEVDETESITVQEKAADLTSDGDEKNSIILVRAYPQSGRTHQIRLHCQYLGFPIRGDVKYSGVIEWNGVDYDGHALHAESLSFVHPVTGLPVTFRSPLPSWANEFISTMA >ORUFI12G17490.1 pep chromosome:OR_W1943:12:19279939:19283456:1 gene:ORUFI12G17490 transcript:ORUFI12G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKVVRPEEVLDSLANDGTIDALRMKIIAQLKANEDMKKNTMMMVEQSRVLNTPGAEKKTKRELFDALRQELENPVLEKASREVWDLILENGGLGKEITDTIESVFCRLSGIDMMPPPPSTSIPSHQERERNMAADGGEKSKEIDTPEKPSSSSRKRPYSDTTTKGAGAVPNGGATSQHDGSEDSSQK >ORUFI12G17500.1 pep chromosome:OR_W1943:12:19282836:19287670:-1 gene:ORUFI12G17500 transcript:ORUFI12G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATKTIDFAAERALAKDFLANFAGPRGEPKYLNILQDVANRKIRAVQIELDDLFHYKDADDEFLQRVTENTKRYIGIFADAIDELMPESTEAYAVDEDRDILMTQRVDEGADGGADGTDPLQRMPPEIRRFFEVYIKAFSKVTPLTIRQVKASNIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLFECPSQRCKLNKAKGNLILQLRASKFLKFQEVKLQELAEHVPKGHIPRSLTVHLRGELTRKVAPGDVVEMSGIFLPMPYYGFRAMRAGLVADTYLESMSITHFKKKYEEYELKGDEQEQIDRLAEDGDIYNKLARSLAPEIFGHEDVKKALLLLLVGAPHRKLTDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPVTNEFVLEGGALVLADMGICAIDEFDKMEESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDMRRTPAENINLPPALLSRFDLLWLILDRADMETDLEMARHVVHVHQNLESPALGAYISTARRVVPSVPRELEEYIATAYSSIRQEEAKSNAPHSYTTIRTLLSILRISIALARLRFSETVAQSDVDEALRLMQMSKYSLYSDDRQRSGLDAISDIYSILRDEAARTNSMDVRYAHALNLISRKGYSEAQLKECLEEYASLNVWQIHPNTFDIHFIDA >ORUFI12G17510.1 pep chromosome:OR_W1943:12:19292167:19295576:-1 gene:ORUFI12G17510 transcript:ORUFI12G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKVSTPSITTENKKNYVPIKEKQRCHRFLIHRIRAEVERATPSAAGACASRSLPPAAILSGAPSCSVPFSAGVRFPAAGASGAALTTSGVRTGAAVQRSPAWFYLLSRDAAGGGGNCDDSYRRAWSRLLRRLVRESRSFCSLSISRHVCSIAIPESRT >ORUFI12G17520.1 pep chromosome:OR_W1943:12:19296261:19296698:1 gene:ORUFI12G17520 transcript:ORUFI12G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLSPAGSSDGDSAGVVVAADHRREKRRLSNRESARRSRLRKQQHLDELVQEVARLQADNARVLARASEIAGQYARVEQENTVLRARAAELGDRLRSVNEVLRVVEEFSGVAMDIQEECPPDDPLLRPWQIPCPAAAHMLQY >ORUFI12G17530.1 pep chromosome:OR_W1943:12:19297984:19298175:-1 gene:ORUFI12G17530 transcript:ORUFI12G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRIAHATLKGPSVVKEICIGLTLGLVAGGLWKMHHWNEQRKTRSFYDMLEKGQISVVVEE >ORUFI12G17540.1 pep chromosome:OR_W1943:12:19301905:19304044:-1 gene:ORUFI12G17540 transcript:ORUFI12G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGSRLDNSLTRKRRSSSTARRRPRPESNHVVDVEQQQQQQQQQRDGYSSSSSSAIGSDEDANSDGEEHQRREIHLNAPSPDRAARRAAMEGGAMSSNPNPRSSHKTKGSNQLHSEGSGGGGGGSSRKGESGHGAVVSAGNRESSTGDKTRKLKLKIGGIRRSVPAKPSPDMSHSRSLPVKPPRPGDSQQRQKHSSQAEGVKDSSRLASSRDKKTKKEKSIDDALTPEQPAKVHREPSSDPVRKSRRIAKKSILDSELDEDYDTNILDDFGTSEGVEVHTREPAKKTGSSSKKNAAKKAKTKSTSYEIDNDFVTSRSKRDGNKRSRESTDADNSEEEPTSDSELDAQNRKQKAVTESPANVRSEPLTTRRRALQSWMDGNSNSAIEFPDGLPPAPSRGKKDKLSDAEMLAKKAEAAQRRKMQVEKATKESEAEAIRKILGLDSEKKKEERKQKEREDKERAARAQTIPENTIRWVMGPKGTVVSFPEEVGLPSIFNSKPCK >ORUFI12G17550.1 pep chromosome:OR_W1943:12:19307438:19308133:-1 gene:ORUFI12G17550 transcript:ORUFI12G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPLVACVLLAAVVFTAVAPPPAGAVCVPRNGKAAPGKPGMSPAPPKKLTPAPPTTPPPKAKPILPGPGGNLVKALCAKTDYPVVCQMTVVPPPAAGAAAKLDATAVLRLAMGAVRAKAAAAKKAAGALAADARTPALAKPVLRDCMDSYDDIAYSLDEADKAMAAGDKDTTGTMLDTARTDVDTCDQGFEERDGDIPPLMSKQDAELAKLASNCIAIAVAAGLRSSS >ORUFI12G17560.1 pep chromosome:OR_W1943:12:19312882:19319239:-1 gene:ORUFI12G17560 transcript:ORUFI12G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNNGLLRSKGGACEQPQANIKHLKLQLKKGPQMTSHPCATRPSKGSISKKNKNMALNLNDSTTAIAMAKSEVSIREYDRERDMEAIEKLERSCEIGAGKGFSIVTNMMGDPLCRIRLFQLHVMMVAELTGGGGELVGVARGCVKRVATGVVDGDTVLAGYVLGLRVSPVHRRKGIGLKLVESVEAWAARHGARHVVAAADAANAASRGLFVGRRGYATAARLSILVQPLADVRPPPAAASSRSDVRIERLAVEQAAMLYKRRFGGEPLCPSDVDAVLGAAPSLGTWMARFAGGGGGDGAWACVSLWNTCASYRLQVVAPPPRPAGGGRALLARLAAVAPTPPLLPILYFTQDFDAPIVWLIGLSME >ORUFI12G17570.1 pep chromosome:OR_W1943:12:19327235:19328396:-1 gene:ORUFI12G17570 transcript:ORUFI12G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGSPPLRVVIFPWLAFGHLLPYMELAERMASRGHHVSFVSTPRNIARLPAPVASAVELVALPLPRVDGLADGAESTNDVPDDEQGLLMEAFDGLAAPFADFLAAACADDGGGGRRRRPDWVIADSFHHWAAPAAARHGVPCVALLPSAAVMAAWVVPPPATSSPSPAAAMPSYEWEKLKASFLAATSHGASSSASGGMSRATRCSLTLERCTLAAMRSCVERTASRGVVTMGWAPQIAILEHAAVGAFLTHCGRNSLVEGISAGNPLVMLPIAGDQGPNARLMEARKVGLQVARDGADGSFDRHGVAAAVRAAIVDEETRKVFVANALKLREVVADEELHERYIDEFIHQLRLSSPTYLASS >ORUFI12G17580.1 pep chromosome:OR_W1943:12:19341752:19346104:-1 gene:ORUFI12G17580 transcript:ORUFI12G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVTATAAAALLASPPQGHLGRRCHLVVPGLRLRPPASSSPPHAAPPLRLSNFVPRCYITNVEVDVSHTSEQEALDDHPPLLPACAIPVVHLRDVPDASPFPLHESASHSTDFEELPVLSEGELHTIAATPAHPAGLYALYASYLFGNLVEQLWNFAWPAALAILHPSLLPVAIVGFFTKLSVFIGAPIVGKLMDHFPRIPMYTGLNAVQVATQLISAAMVIYAMKNVTHASTSAVVLKPWFIALVAAGAIERLAGLALGVAMERDWVVLLAGTNRPVALAQANAVLNRLDLVCETVGASVFGLLLSKYHPVTCLKIACGLMICSFPVLVVLGQLINRFSCHALDSSRTPSEESICANLLDVRKIVQNGLSAIRNGWNEYKQQTVLPASVATVFLNFNVALAPGAIMTALLMHRGISPSIVGAFSGLCSIMGLVATFISSSLVERVGILKAGAAGLIVQASLLSVALVVYWTGSISQRTPLLIFLAAIALSRLGHMSYDVVGTQILQTGVPASKANLIGGMEVSISSLAELVMLGMAIIANDVSHFGFLAILSVSSVAGAAWMFCQWLGNPTDEQRELFMFDPHFQVEPMLVADVEHHNNQLQ >ORUFI12G17590.1 pep chromosome:OR_W1943:12:19349205:19353521:1 gene:ORUFI12G17590 transcript:ORUFI12G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTHFTPSQTHAAASHHHPSAAAAASAASARLHASAQPASAAASASAAAAALCPPFPAAGAACPPAQGPIFGGGPGAKPWVVQQQQPQRAAAAALGPEFRRARSTRNISKRSRGGAWGAQDRGGGARGGPFSAAAGRCVEKLLRVAPDDRRALGAALASSRGELVAPDDYCHVLRELGDRDKSALRAFEVFHAALPHVGNGAVDKGKLLTASIGALGKMGRPDLARRAFDAGVAGGYGNTVFAHSALISAYARSGLANEAMGVLESMKGAGLRPTTVSYNAVIDACGKGGVDLRFTLGYFRQMLKDGLCPDRKTFNSLLAACSRVGHLEDARAVFDEMIHLGIGRDIYTYNTFIDAICKCGNMELAMQVLLDMEAKGVKPNVVTYSTLIDGYSKLEKYEEALKLCEKMKSMRIQLDRVCYNTLLAIYVKAGKYAEIANVCDEMEELGIEKDTVTYNSLINGYGKQGRLDIVSILVQDMRKRGVAPSVLTYSTLIDIYSKAGMHGDAFNVYLDFKESGLKPDVVLFSSFIDTLAKNGLIEWALSLLNDMTEMGIKPNVVTYNAIIDAFGKSKVMMEDDSEVGDMGIVGVYGGQIVRVANPVSRGGRSATDVRMRRSQELFFILELFQKMVQQGVRPNVVTFSAILNACSRCNSFEDAALLLEQLRLFDNFVYGVAYGLLVGSREVWSQAQSLFNQLGRMDSPTSSAFYNALTDVLWHFGQRRKAQQVVFEGINRRVWENTWGEFCLDLHLMSCGAAQAMVHAWLLNVRSIVFEGRAMPEFLSILTGWGKHSRIAGASTLRRVIEALLNSIGAPFQVERFNIGRFVSPSAVVAAWLRESGTFNILLLHDERVQQAAPSNLVPRLQALQL >ORUFI12G17600.1 pep chromosome:OR_W1943:12:19357089:19361711:1 gene:ORUFI12G17600 transcript:ORUFI12G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYAYKYCMCFTRKFRSPAADPPPDVRAAFFAAGGGDGGLRRFLAQAQGEAPAEVDRILALLSGGGGGGGGGGIAARLVGPRPGPAPSLDDFFGFLFNADLNPPIATQVHQDMSAPFSHYYIYTGHNSYLTGNQLNSDSSDIPIIKALQRGVRVIELDMWPNSAKNNIDILHGGTLTAPVQIIKCLKSIKEYAFCASPYPLVITLEDHLTPDLQAKVAEMLVKTFGNLLYIPSSDPINEFPSPESLMKKIIISTKPPQEYKKFLKSKDNQNINGGLANLAEEGSLRRIDSNAEESDGKDELDDQDEDSSDEDDPKFQQETACEYRELITIHAGKPKGHLKDALKVDPDKVRRLSLSETQLAKATASHGADVIRFTQKNILRVYPKGTRINSSNYDPMNAWTHGAQMVAFNMQGHDKALRLMQGFFRANGGCGYVKKPDFLLRTGPNGEVFDPNASMPVKKTLKVKVYMGDGWRMDFSKTHFDTFSPPDFYTRVGIAGVRADCVMKKTRTIEDQWVPMWDEEFTFPLTVPELAVLRIEVHEYDMSEKHDFGGQTCLPVSELKQGIRAVPLHDRRGTRYKSVRLLMRFDFL >ORUFI12G17610.1 pep chromosome:OR_W1943:12:19362619:19363683:1 gene:ORUFI12G17610 transcript:ORUFI12G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLWFWEMQTFIPYSQNNPSSSVVIPAPMSAKPSALQMPSPSVGSFTQLPYHMVVVLKSIPRGDLVEYFFCETSQPVDTKSILHPTKQLPMNFSADSNLPLQPVTRESSLSILAYSFPGFEDVNVCYLKQPCQKILYHIQQNLGIETIKKCQMLMFSSLEMQLLHSNYHDARNGMPHECHDALCVGRGTIEGIEPIEGTHYLKAIFVPQALGLLRIHAL >ORUFI12G17620.1 pep chromosome:OR_W1943:12:19364134:19364552:1 gene:ORUFI12G17620 transcript:ORUFI12G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGVQNVHLDQEERSADLIEKIDTVYCKTESHRSLTEERRPVLSEEQNTVDRIDFGTNKLSSPHRIFEYTKRNKSVSKIGTDTVSKDHPKNLVPFTEECLAVMEAFGEVSLLDIIDF >ORUFI12G17630.1 pep chromosome:OR_W1943:12:19369709:19377218:1 gene:ORUFI12G17630 transcript:ORUFI12G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGEELLKKIRELEVGQAQLKQEMSKLGGAAAAAERRRSQSVSPRRGAAPPPPHQPPLPARRLSGGFEGGARAWARGSASFPHSSPLQREGRAAAAAGGGLTEKQYTRVLQSLGQSVHILDLEGKIMYWNRSAEKLYGYPASEALGQDGLMLLIDSCDINVVNDIFRRISLGESWTGKFPVKNRAGDRFSAVATNTPFYDEDGSLVGIVCVSSDLRTMEEIISGPSICARPHPESSRTYCEASCSNSNRKASLLSRSPFDSQQPLQSTIASKITNLATKVTNKVRSRVRADENGIEREGGSGESHCSDRDAKEEPTSSGTTTPRGDAPRGAFATEESSPGKTAKMNSDESEGKVGFHRILSSKAEALLNKKGISWPWKGRDNDGPDVKNQATWPWLHGEQDGSQNHQKISDSAITQDGQGAEYNQPNKNEASGSWSSFNNNSTSSASSTGSTNSSALYKVDHEADCLDYEILWEDLVIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEEVIQTFRQEVSLMKKLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNNTKLDWRRRVHMALDIARGMNYLHHFSPLIIHRDLKSSNLLVDKNWTVKVADFGLSRLKRETFLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWENLNSMQVIGAVGFMNHRLEIPSETDPQWTSLILSCWETDSQLRPSFQQLLERLRELQRQYNVQTQMQRNASAAAKNSSIEE >ORUFI12G17640.1 pep chromosome:OR_W1943:12:19380288:19387104:1 gene:ORUFI12G17640 transcript:ORUFI12G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWWMNPLIKKGYEKPLEETDIPALGIEDEAGTQYSMFMNKIDASKSSLFWIIVSCYKREILVSGFFALLKVLTLSAGPLFLKEFINVSSGKEAFKHEGFVIVLGLLFSKCLESLAQRQWYFRTRRVGVQVRSLLSAAIYRKQQKLSCSASTEHSSGEIMNYLMVDTYRIGEFPFWFHRTWTTGLQLCIALMVLYNAVGPATVASVFVIVLTVMLNAPLAKQLQNIQSKLMEAQDMRLKTMSESLTNMKVLKLYAWENHFKGVIEQLRELELKWLSAFQLGKAYTSVLFWASPALVSAATFLACYFLGVPLDPSNVFTFVAALRLVQDPINHIPNVIGSVIQARAAFNRLNEFLGASELQKDQVSMEYSAHSQYPIAIKSGCFSWDSSENYNLRNINLMVKSGTKVAICGEVGSGKSSLLAAILGEVPRTDGVIQVSGKIAYVSQNAWIQTGSVKDNILFGSTMDKPRYEETLKFCSLVHDLEILPFGDLTQIGERGANLSGGQKQRIQLARALYHDADIYLLDDPFSSVDAHTATSLFNEYVMGALSEKTVLLVTHQVEFLHAFDSVLLMSQGQIMHAASYQELLLSSREFQNLVNAHKDIVNFPNDNMVDYNGDKSPFKRETAVVLDGGKESIKNAEFDQLIRREEREIGGTGLKPYLMYLGQNKGYIYATLVAIANIAFTSGQLAQNSWLAANIQNPGVSTFNLVQVYTAIGIGSIMFLLFRALLAVDLGLQTSRSLFSQLLTALFRAPMSFFHSTPIGRILSRVSSDLNVIDLDVPFTLSFSISATLNAYINLGVLCFFTWPILFIAAPIIIMAVRLQRYYSASSKELMRINGTTKSLVANHLAESISGAVTVRAFKQEGRFFARFLELIDNNASPSFHCFAATEWLTQRLEIMATTILSSSAFVITLLPQGTLSPGVAGMVLSYGLSLNMLFLFSIQNQCSLANQIISVERISQYMDIVKYTQDASPVLKGISCTFQGGDKIGIVGPTGSGKTTLINAIFRLVEPSGGKITIDGQDITTMGLHDLRSRIGLIPQDPILFNGSIRYNLDPHGHFSDKQIWEVGEMVEYEEPQKLMQTEGSFFKELLNEYRLQISRAVLQISS >ORUFI12G17640.2 pep chromosome:OR_W1943:12:19380288:19386726:1 gene:ORUFI12G17640 transcript:ORUFI12G17640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWWMNPLIKKGYEKPLEETDIPALGIEDEAGTQYSMFMNKIDASKSSLFWIIVSCYKREILVSGFFALLKVLTLSAGPLFLKEFINVSSGKEAFKHEGFVIVLGLLFSKCLESLAQRQWYFRTRRVGVQVRSLLSAAIYRKQQKLSCSASTEHSSGEIMNYLMVDTYRIGEFPFWFHRTWTTGLQLCIALMVLYNAVGPATVASVFVIVLTVMLNAPLAKQLQNIQSKLMEAQDMRLKTMSESLTNMKVLKLYAWENHFKGVIEQLRELELKWLSAFQLGKAYTSVLFWASPALVSAATFLACYFLGVPLDPSNVFTFVAALRLVQDPINHIPNVIGSVIQARAAFNRLNEFLGASELQKDQVSMEYSAHSQYPIAIKSGCFSWDSSENYNLRNINLMVKSGTKVAICGEVGSGKSSLLAAILGEVPRTDGVIQVSGKIAYVSQNAWIQTGSVKDNILFGSTMDKPRYEETLKFCSLVHDLEILPFGDLTQIGERGANLSGGQKQRIQLARALYHDADIYLLDDPFSSVDAHTATSLFNEYVMGALSEKTVLLVTHQVEFLHAFDSVLLMSQGQIMHAASYQELLLSSREFQNLVNAHKDIVNFPNDNMVDYNGDKSPFKRETAVVLDGGKESIKNAEFDQLIRREEREIGGTGLKPYLMYLGQNKGYIYATLVAIANIAFTSGQLAQNSWLAANIQNPGVSTFNLVQVYTAIGIGSIMFLLFRALLAVDLGLQTSRSLFSQLLTALFRAPMSFFHSTPIGRILSRVSSDLNVIDLDVPFTLSFSISATLNAYINLGVLCFFTWPILFIAAPIIIMAVRLQRYYSASSKELMRINGTTKSLVANHLAESISGAVTVRAFKQEGRFFARFLELIDNNASPSFHCFAATEWLTQRLEIMATTILSSSAFVITLLPQGTLSPGVAGMVLSYGLSLNMLFLFSIQNQCSLANQIISVERISQYMDIVKYTQDASPVLKGISCTFQGGDKIGIVGPTGSGKTTLINAIFRLVEPSGGKITIDGQDITTMGLHDLRSRIGLIPQDPILFNGSIRYNLDPHGHFSDKQIWEVGEMVEYEEPQKLMQTEGSFFKELLNEYRLQISRAVLQISS >ORUFI12G17650.1 pep chromosome:OR_W1943:12:19388433:19393129:1 gene:ORUFI12G17650 transcript:ORUFI12G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSSSSSAAATPQGVTERRGIPAASFVEDVETYLRQAGLDVNSGLAFLQERLQQYKIVEMKLLAQQRDLQAKIPDIEKCLDIVATLQAKKALGEALTADFELSEGIYSRAKIEDTDSVCLWLGANVMLEYSCDEANALLKKNLENAKASLEVLVADLQFLRDQQTITQVTIARVFNWDVHQRRSKQAIKET >ORUFI12G17660.1 pep chromosome:OR_W1943:12:19399994:19402501:1 gene:ORUFI12G17660 transcript:ORUFI12G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKLFPALFSLLLHGGAATLPPPRVPAVTVHGCTPPAARLSAGGEKTVTMVVDVEGALLRSSSSRSLFPYFMLVAVEAGSFLRGLLLLLLYPVISLLAGAGGGDVAVRAMAAVAFCGLRESRFRAGRTVLPRWLLDDVGKEAVDAIVTLTRRRSSPAATATVVWASSMPRVMVEPFLREYMAAAEGGGEVVVAAREMKVVWGFYTGVMEDGGEVAAASPEVRRAMEGVDDVVGFSGGSMDLLRSPLVSFCKSKWRPLPRRREYPRPLVFHDGRLAFLPTPLAAAAMLVWLPFGAALAATRLAVALALPYRHATLLLAATGQSWRLRGSPPPTPTPPPRRATGERRRGQLYVCNHRTLIDPVYVSIALDRPVRAVSYSLSRVSDLLSPIGATVRLARDRAHDGAAMARLLEAGAHVVVCPEGTTCREPYLLRFSPLFAELADGVVPVALAAEAAAFHGTTAGGWKSMDALCYLANPRMCYTVEFLPAVDASPVREGKAASTELANAVQRRVAEALGYESTMLTRKDKYLMLAGNDGVVRRRGDVGAK >ORUFI12G17670.1 pep chromosome:OR_W1943:12:19405497:19407724:-1 gene:ORUFI12G17670 transcript:ORUFI12G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAPRPLSLLARQPQPQPQQLRLRLQRVAAFTGVGGGGRRLMVAAAAKKRRGKGGEGEEEEERVDTHSFAPKAGEATGPFPEAVLLRKKMVKDDGQVAPEFADADEEKLYEFLNIQMESDLNLKRMRHYEVVYLIHEDRVEEVEDVVSKVQDFVREKKGRIWRLNNWGLRRLAYKIKKARHANYILMNFEIQAKYINDFKTLLDKDERIIRHLVMKRDEAITEDCSPPPEFHTLRAQQQYMDDEYIDDEDDGKEEQEPEEDWDDKGEMENAGYEDDDEPEIILVDEVERDNNENIRRRNRTMKVEKYAAEKVLR >ORUFI12G17680.1 pep chromosome:OR_W1943:12:19408540:19409312:1 gene:ORUFI12G17680 transcript:ORUFI12G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKELDYFKEYAARLQSFREDEDAAAATLSEALYVVSMGTNDFLENYYAMAHAQAAEYSTAVAYGDYLLGIGASPSRTHSSASCTHSVPARSTLTASRPWAASRWSAPRRAVARRFNAGLQDMIARLNDELGDDEMIVYGDVYRPVAAGGVRGGECRQQHRAHAGQPEAAHLCHLLRHVRPR >ORUFI12G17690.1 pep chromosome:OR_W1943:12:19410708:19413878:1 gene:ORUFI12G17690 transcript:ORUFI12G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAPPPRGQEGQGDRSGGDEGGRYGVLLYYKYAEVPDAAALAAFYESHCRALALVGRVRVGPDGVNATLGGRMAALEKHVAEMSSNALFDGTDFKLASCDDPVDERVARECGFTSLSVRLVKVFLLLPFRNSMQPRAGNALCKPVVGNPADYMRREAFVGCGVPFGATSDSEATVEKSEVVVLDARNVYETRIGKFRVPNVETLDPEIRQYSDLPLWIDEHAEKLRGKSIMMYCTGGIRCEMASAYIRSKGEGFENVFQLYGGIQRYLERFPDGGYFEGKNFVFDHRISVGSLKENILGTCLLCGSSFDDYSPRCRCSHCRMLVLVCSTCQDSTKDYVCELCQKNGKQCCQTSPRQGCKTESELIDSSDFGIPMIINQSATSTIPRSNGSEQLKKLKILCLHGFRQNASNFKGRTSALAKKLKHIADLVFIDAPHELSFVYKPNPDHCSGRSSLPSGTPKRKYAWLVAPNSIFYAEHDWKIADAPFDPLQYQQQTDGFEESYAYLEHAISQMGNIDGILGFSQGAAMAALFCRQQQKTCGSLKFRFGIFCSGYPAPIISDFDGEPIKLPSLHCFGNSEDHDRQIANRASTELANRFDKSCRSVIEHDMGHIIPTRPPFIDKIKEFLSNFI >ORUFI12G17690.2 pep chromosome:OR_W1943:12:19410708:19413878:1 gene:ORUFI12G17690 transcript:ORUFI12G17690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAPPPRGQEGQGDRSGGDEGGRYGVLLYYKYAEVPDAAALAAFYESHCRALALVGRVRVGPDGVNATLGGRMAALEKHVAEMSSNALFDGTDFKLASCDDPVDERVARECGFTSLSVRLVKELVTLCANPSLATPQITCAGRHLSAAEFHSVLQSVGATSDSEATVEKSEVVVLDARNVYETRIGKFRVPNVETLDPEIRQYSDLPLWIDEHAEKLRGKSIMMYCTGGIRCEMASAYIRSKGEGFENVFQLYGGIQRYLERFPDGGYFEGKNFVFDHRISVGSLKENILGTCLLCGSSFDDYSPRCRCSHCRMLVLVCSTCQDSTKDYVCELCQKNGKQCCQTSPRQGCKTESELIDSSDFGIPMIINQSATSTIPRSNGSEQLKKLKILCLHGFRQNASNFKGRTSALAKKLKHIADLVFIDAPHELSFVYKPNPDHCSGRSSLPSGTPKRKYAWLVAPNSIFYAEHDWKIADAPFDPLQYQQQTDGFEESYAYLEHAISQMGNIDGILGFSQGAAMAALFCRQQQKTCGSLKFRFGIFCSGYPAPIISDFDGEPIKLPSLHCFGNSEDHDRQIANRASTELANRFDKSCRSVIEHDMGHIIPTRPPFIDKIKEFLSNFI >ORUFI12G17700.1 pep chromosome:OR_W1943:12:19414788:19420158:1 gene:ORUFI12G17700 transcript:ORUFI12G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVRLLRRSLRGGEAAPRFLSASQNLVRLVANNTRSVDVGQPTPRSHPELLAEGEITPGITSDEYIFRRKKLLEVLPEKSLAIIASAEQQMMTDVVPYSFRQNGDYLYITGCAQPGGVAVLSEETGLCMFMPDTSKEDVVWQGQTAGVEAAENFFKADKAFPLSEMQKILPEMIERSKVVYHNVKTLSPSYKNLDSFRRASLNNKVKDIAYYTDELRWIKSKSEIGLMRESASIVSQSLLQTMLLSRTHREESQLAAKIEYECKMRGAQRMAFHPVVGGGANGSVIHYSRNDGRVKAGELLLMDVGCEYHGYLSDLTRTWPPCGRFSPAQVKMLIKGFQELGILEKGKSIQYNYLNPTAIGHSLGMDIHDSVKLSKDKPLEPGVIITIEPGVYIPPVPILKENAPDRYRGIGIRIEDEVLITESGHEVLTASVPKEISHITTLMNMGSNSMMDAHELRAACS >ORUFI12G17710.1 pep chromosome:OR_W1943:12:19424239:19424850:1 gene:ORUFI12G17710 transcript:ORUFI12G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTIPDDVRAKAEVYVGDEAGQEKTRLLLEETGLPSGLLPLRDIIECGYVEETGFVWLKQRRKVDHFFAKAGRHVSYAAEVSAVAEKGRLRKITGVKAKELLIWVTLHEIAVDDPPTGKLTCKAIGGLSRSFPVDAFEAPPPPPKNPSPAAGDTTKVDEEKKKEEEVAGDAAAAAIDEIEGKMKEMNSKEVQVQAEGVAAKN >ORUFI12G17720.1 pep chromosome:OR_W1943:12:19427825:19430776:-1 gene:ORUFI12G17720 transcript:ORUFI12G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIITPSSSSNNNSPVLATFLVVLVVLLASSRPASSQNQQSTFTINPGGAAAAVARPGGGKGGGGGGGPGSFSDFVTQNVQHYVLSEQKYAGKVKALDAELSAAEAGAARYVVSGDGKGKFRTITDAIKAVPEYNKKRPFITFVGNPRSPPTIMWDDRAATHGKDGQPMGTMLSATVAVEADYFMASGIIFKNHAPMAAPGAHGGQAVALRVFGSKVAMYNCTIDGGQDTLYDHKGLHYFKNCLIRGSVDFIFGFGRSLYAEVAVVTAQQRSKNIAEAIDTGFSFLRCKISGIGQIYLGRAWGDSSRVVYSYTTMGKEVVPIGWDGWEVQKPEHGPGALPSKRIGWSLVLSDIQAKPFTGSHFVYGDSWILPPPKSM >ORUFI12G17730.1 pep chromosome:OR_W1943:12:19437145:19439043:-1 gene:ORUFI12G17730 transcript:ORUFI12G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQRSRSTAAQLDVDDQAAADQLMTMMRRPAAVLQDEAAAEEEASAAAADLQLELRRGPWTVDEDLTLVNYIADHGEGRWNSLARAAGLKRTGKSCRLRWLNYLRPDVKRGNFTADEQLLILDLHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLNCDANSARFKDAMRYLWMPRLADASQLGDHHGYNSTTAMGDAHGMPVMTSSSSDSFATSESYDGGLYANVQDNEMVNGGDYWMQGANKGFCSNYESEQLHPHEHSQFQDPDLVGWVQGFSEGISENFWSLEDIWKM >ORUFI12G17740.1 pep chromosome:OR_W1943:12:19449846:19453800:1 gene:ORUFI12G17740 transcript:ORUFI12G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLSEARRNVLSTMLSTSTVFLFGPKQITLAETTGGTFREYIDTFDGYSFLYPKSWIQVRGAGADIFFRDPFFLDENMSVEISSPSSSKYMTVEDLGPPEKAAERVLQQYLTEFMSTRLGVRRTSNILSASSKVADDGKLYYEVEVNIKSFASNNELAVMPQDRVQRLEWDRRYLSVLGVENKRLYELRLQSPELQSPESPEKVFKEEEGDLRRVMDSFRVNKTA >ORUFI12G17750.1 pep chromosome:OR_W1943:12:19454366:19461479:-1 gene:ORUFI12G17750 transcript:ORUFI12G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARMTTADVAAEVKCLRRLIGMRLSNVYGITPKTYLFKLMNSSGITESGESEKVLLLMESGVRLHTTQYVRDKSTTPSGFTLKLRKHIRSKRLEDVRMLGYDRIILFQFGLGSNAHFVILELYAQGNILLTDSEYTVLTLLRSHRDDNKGLAIMSRHRYPVEACRVFERTDFTKLKDTLMMNAVDDKESSQVTPGSIDAQEPSVTPSDGVPVTDKSEEPSTTTGKKSASKNKQSSSNAKASNNAPSNKSTLKTLLGEALAYGPALAEHIILDAGLLPSTKVGKDPESSIDDHTIQSLVESISKFEDWLVDVMSGQRIPEGYILMQNKAAAKKNLTPLEGSSASQKIYDEYCPVLLNQFKSREFNEFETFDAALDEFYSKIESQRVNQQQKSKEDSAAQRLNKIKLDQENRVHTLRKEVDHSIKMAELIEYNLEDVDAAIVAVRVSLANGMSWDALARMIKEEKKAGNPVAGLIDKLSFERNCITLLLSNNLDDMDEEEKTAPVEKVEVDLSLSAHANARRWYELKKKQESKQEKTVTAHEKAFKAAEKKTRLQLAQEKTVAAITHMRKVHWFEKFNWFISSENYLIISGRDAQQNELIVKRYMSKGDLSLRFSRKLLVYFASLDSYVHAELHGASSTIIKNHKPDNPIPPLTLNQAGSFTVCHSKAWDSKIVTSAWWVYPYQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESSLASHLNERRVRGEDEEALPDVESQKLESNAELDGELDSDSETGKEKHDDESSLDNINVKKIDNPIPSNAPYVKDNADSSEQLSEIRTVVNSTTSTSKGQTSDRTVSSQLEDLLDKNLGLGPTKVLGRSSLLSSNSASVADDIDDLDTKKTSVRDKPYISKADRRKLKKGQNVGDSTSDSPNGEAAKKPVNSQQEKGKTIEKPANPKVSRGQKGKLKKIKEKYGEQDEEEREIRMALLASSGRASQKDKPSEDVDGATAAQSKPSTGEDDRSKICYKCKKSGHLSRDCPESTSEVDPADVNVGRAKDGMDRSSAPAGSSVTMDEDDIHELGDEEKEKLIDLDYLTGNPLPSDILLYAVPVCAPYNALQAYKYRVKITPGTAKKGKAAKTAMSLFLHTADATNREKELMKACTDPELVAAIVGNAKITAPGLTQLKQKQKQKGKKSAKEN >ORUFI12G17760.1 pep chromosome:OR_W1943:12:19461799:19462412:1 gene:ORUFI12G17760 transcript:ORUFI12G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESAAIGGREEDPRRDGEVGGGGGGDENGRGREGSESRAAGETASAAKEATRETKEGYDEEAYSCGGGRRRTSSRTRRGKVGEEGGGGPHTRNHPARAADANDDDELLRLLSLAETDLDASHLRAVHKHAHRAARLDPDSPRGSLLLTAVSVLVADHSSHRATLLLPDSDS >ORUFI12G17770.1 pep chromosome:OR_W1943:12:19464161:19467205:-1 gene:ORUFI12G17770 transcript:ORUFI12G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVCASHGAVGSLLWKLSALLSDEYNLLTGVKSNIMFLKAELESIDVFLKKMYEFEDPDEQSLFWMKEFRELSYDIEDIIDASMFSLGHESNRRPRGFKGFAGRCMDFLTNVKTRHWIAKKIHCLKCCVIEASNRRARYKVDGSVSKLSRTSLDPRLPAFYTETTRLVGIDGPRDKLIKMLVEGDDALVHQLKVVSIVGFGGLGKTTLANEVCRKLEGQFKYQAFVSVSQKPDIKKILRHILSQICWRECISDEAWDEQQLIHTIRQFLKDKRYFIVIDDIWSTSAWRTIKCAFPENNCSSRILTTTRIIAVAKYCCSPHHDNVYEIKPLGAIHSKSLFFKRTFGSEDKCPLHLKEVSNAILRKCGGLPLGIITVASLLANKASTKEEWESIHNSIGSALEKDTDMEEMKRILLLSYDDLPYHLKTCLLYLSIIPEDYEIKRDRLIRRWIAEGFIPTEGVHDMEEVGECYFNDLINRSMILPVNIQYDGRADACRVHDMILDLIISISVKENFVTLHGDQNYKIVQQNKVRRLSLNYHAREDIMIPSSMIVSHVRSLTIFGYAEHMPALSKLQFMRVLDVENKMVLDHSFLKHIHRLSQLKYLRLNVRRITALPEQLGELQNLQTLDLRWTQIKKLPSSIVRLQKLVCLRVNSLELPEGIGNLQALQELSEIEINHNTSVYSLQELGNLKKLRILGLNWSISDSNCDIKIYADNLVTSLCKLGMFNLRSIQIQGYHIISLDFLLDSWFPPPHLLQKFEMSISYFFPRIPKWIESLEYLSYLDIYINPVDEETFQILAGLPSLIFLWISSRAATPKKGLIISYNGFQCLRELYFTCWESKTGMMFEAGAMPKLEKLRVPYNACDICSLNGGMDFGIQHLCSLKHLHVEIICRGAKLQEVEALENAIKSAAGLLSDELTFEVSRWDEEEIIDMDQELAEDDFDTIN >ORUFI12G17780.1 pep chromosome:OR_W1943:12:19470042:19472827:1 gene:ORUFI12G17780 transcript:ORUFI12G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRPKGRRSPPDLVHGWIILDRFVHSSDGDVDAADVVTASEIALTCSGRRVRASLRVADPPAVSRLRIHRLDQPWPDAYILRHAQVVAAHRGSILFWARVPFADDEFVVPGYFPVDYFVYTAGASGAASSSLTRLPPCFIGGAAPDDEDHYFKPYRKQHQRIMLDENVGFLSGDSDGEFTVADITIVDCTSLTYASSTNHHERSPSPMRRKLSKWVNDVVLPLHDGRHLCLVDYYRGILLVDAAKELRHFSGRKKNKSASPATFIIKSWTLIDIHKCIWLHDFTMEADEFWSICARENERRLPLVTPSFPVVSLVDLHAISFLLKDGDNGLYWLVEVDMRNKAMISPAALYINEEEEEDAAVTTRSGSLLMAITSSPAGSPLICTRIPSRVGDKAK >ORUFI12G17780.2 pep chromosome:OR_W1943:12:19470042:19472827:1 gene:ORUFI12G17780 transcript:ORUFI12G17780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRPKGRRSPPDLVHGWIILDRFVHSSDGDVDAADVVTASEIALTCSGRRVRASLRVADPPAVSRLRIHRLDQPWPDAYILRHAQVVAAHRGSILFWARVPFADDEFVVPGYFPVDYFVYTAGASGAASSSLTRLPPCFIGGAAPDDEDHYFKPYRKQHQRIMLDENVGFLSGDSDGEFTVADITIVDCTSLTYASSTNHHERSPSPVQWRMHRLEMQADAPQALQMGERRRPPLARRPPPVSRRLLPWHPARRRREGAATLQAMMHGCRHYEEDDPDPARCASATCAGSITLVCIYNGSGRKKNKSASPATFIIKSWTLIDIHKCIWLHDFTMEADEFWSICARENERRLPLVTPSFPVVSLVDLHAISFLLKDGDNGLYWLVEVDMRNKAMISPAALYINEEEEEDAAVTTRSGSLLMAITSSPAGSPLICTRIPSRVGDKAK >ORUFI12G17790.1 pep chromosome:OR_W1943:12:19478913:19492306:1 gene:ORUFI12G17790 transcript:ORUFI12G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALTGVMTSVINKLTALLGKEYMKLKGVQGEVEFMIDELSSMNALLHRLAEEDQHLDVQTKEWRNQVREMYYDIEDCIDDFMHHLGHTDIAESAGLVHRMAQHLKTLRVRHQIANQIEKLKARVEDASKRRLRYKLDERTFNLSTAGAIDPRLPSLYAESDGLVGIEQPRDVVIKLLTEGEGASSQKLKVISIVGPGGLGKTTLANEVFRKLESQFQCRAFVSLSQQPDVKKIVRNIYCQVSQQEYGNIDIWDEEKLINATREFLTNKRYFVVIDDIWSTQAWKTIRCALFVNNCGSRIMTTTRNMAIAKSCCTPDHDRVFEIMPLSIDNSKSLFLKRIFGSKDVCIPQLDEVCYEILKKCGGSPLAIITIASLLANKANTKEEWERVRNSIGSTLQKDPDVEEMRRILSLSYDDLPQHLKTCLLYLSIFPEDYEIERDRLVERWVAEGFIITEGGHDLKEIGDCYFSDLINRSMIEPVKIQYNGRVFSCRVHDMILDLLTCKSTEENFATFMGGQNQKLVLQGKVRRLSLNYYTQDHIMVPSTAIITHCRSLSIFGYAEQKPPLSMFPVLRVLDIENGEDMESSYTKHIRKLIQLKYLRLNVRSVAELPEKLGELQHLQTLDLRRTNIRKLPESFVRANRDQNQPGLLGIFLVGAKKSDEAHIDYKVFADNLVSSLRKLGRLNLRIMCILGYYACSIEFLLDSWFPSPHLLQSFAMGMNYHFPRVPSWIASLDNLTCLEINIDLVDEKVIQILGDLPVLIFLWLISKEAGPNERLVIRSNMFVCLKEFHFTCWRNWEGLMFEAGAMAKVEMLRVSFDAGGSVLDFGIQHLASLRHLIVEIVCGGATLREVEALEDAIRHSADLLPCHPAVEVRTWDEEKMVKEESATAAVDFAANPLHSVPAASVMTRPSRPVKKPLGDSLSCAPQNTRIIDLGGEMASALTGAMTSVIIKLSALLGEEYAKLKGLQREVEFMKDELSSMNALLHRLAEVDSDLDVQTEEWRNQVREMSYDIEDCIDGFTHRLGHIGIAEAAGPVQRVAQQLKVLKVRRQIASQIQELKGRVEDASKRRMRYKLDDRIFEPSIARAIDPRLPSLYAESDGLVGIETPRAVLVKLIMEGDDASFQQLKVISIVGPGGLGKTTLANEVYRRLEGQFQCRAFVSLSQQPDVKRILRNIFCQVSQQVYDSTSVWDEENLIDAIRGFLKDKRYFIVIDDIWSIQAWKTIKCALLMNNLGSRIITTTRSVTIAKSCCSPQHDHVYEIMPLSTANAMSLFLKRIFGTEDICPPQLEEISCKILKKCSGSPLAIITIASLLTNKASTKEEWERVHNSIGSTLEKDPSVEEMQRILSLSYDDLPHHLKTCLLYLCIFPEDCEIERDQLVKRWIAEGFINTGSGQDLEKIGESYLNDLISRSMIQPVKVRYDGQVDSCRIHDMILDLLMSKSIKENFATFLGEQNQKLVLQGKVRRLSLSYYSQENVMVPSTAIISSCRSLSIFGYAEEMPSLSEFRVLRVLDIEHGEDMDSNYLEHVRRLSQLKYLRLNVRSIDALPEQLGELQHLQTLDLVSTKLRKSPKSIVRLQNLTCLRINNLELPEGIGCMRALQEVSEIKISRNSSASSLQELGNLTKLKILGLCWCISDIHGGTKTLVNNLVSSLRKLGRLNLRSLCIQSSFKYSIDFLLDSWLPTPHLLQKFQMGMCYYFPRIPVWIASLENLTYLDINLNPVKEEVLEILGNLPALLFLWLTSKSADPKQRLIINSNMFMCLKELYFTCWSIESGLMFQEGCMAKLEKLHLPFHAATALEFGIHHLSSLRLLVVEIICSGATIRQVESLEETIRKTADLLPYRPTVEIRTWDEENMVEEQKEKDMGEEGTQTSC >ORUFI12G17790.2 pep chromosome:OR_W1943:12:19479338:19492306:1 gene:ORUFI12G17790 transcript:ORUFI12G17790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLSPAHDALCLFVFYEIGNVAEAVKTFQQMASALTGVMTSVINKLTALLGKEYMKLKGVQGEVEFMIDELSSMNALLHRLAEEDQHLDVQTKEWRNQVREMYYDIEDCIDDFMHHLGHTDIAESAGLVHRMAQHLKTLRVRHQIANQIEKLKARVEDASKRRLRYKLDERTFNLSTAGAIDPRLPSLYAESDGLVGIEQPRDVVIKLLTEGEGASSQKLKVISIVGPGGLGKTTLANEVFRKLESQFQCRAFVSLSQQPDVKKIVRNIYCQVSQQEYGNIDIWDEEKLINATREFLTNKRYFVVIDDIWSTQAWKTIRCALFVNNCGSRIMTTTRNMAIAKSCCTPDHDRVFEIMPLSIDNSKSLFLKRIFGSKDVCIPQLDEVCYEILKKCGGSPLAIITIASLLANKANTKEEWERVRNSIGSTLQKDPDVEEMRRILSLSYDDLPQHLKTCLLYLSIFPEDYEIERDRLVERWVAEGFIITEGGHDLKEIGDCYFSDLINRSMIEPVKIQYNGRVFSCRVHDMILDLLTCKSTEENFATFMGGQNQKLVLQGKVRRLSLNYYTQDHIMVPSTAIITHCRSLSIFGYAEQKPPLSMFPVLRVLDIENGEDMESSYTKHIRKLIQLKYLRLNVRSVAELPEKLGELQHLQTLDLRRTNIRKLPESFVRANRDQNQPGLLGIFLVGAKKSDEAHIDYKVFADNLVSSLRKLGRLNLRIMCILGYYACSIEFLLDSWFPSPHLLQSFAMGMNYHFPRVPSWIASLDNLTCLEINIDLVDEKVIQILGDLPVLIFLWLISKEAGPNERLVIRSNMFVCLKEFHFTCWRNWEGLMFEAGAMAKVEMLRVSFDAGGSVLDFGIQHLASLRHLIVEIVCGGATLREVEALEDAIRHSADLLPCHPAVEVRTWDEEKMVKEESATAAVDFAANPLHSVPAASVMTRPSRPVKKPLGDSLSCAPQNTRIIDLGGEMASALTGAMTSVIIKLSALLGEEYAKLKGLQREVEFMKDELSSMNALLHRLAEVDSDLDVQTEEWRNQVREMSYDIEDCIDGFTHRLGHIGIAEAAGPVQRVAQQLKVLKVRRQIASQIQELKGRVEDASKRRMRYKLDDRIFEPSIARAIDPRLPSLYAESDGLVGIETPRAVLVKLIMEGDDASFQQLKVISIVGPGGLGKTTLANEVYRRLEGQFQCRAFVSLSQQPDVKRILRNIFCQVSQQVYDSTSVWDEENLIDAIRGFLKDKRYFIVIDDIWSIQAWKTIKCALLMNNLGSRIITTTRSVTIAKSCCSPQHDHVYEIMPLSTANAMSLFLKRIFGTEDICPPQLEEISCKILKKCSGSPLAIITIASLLTNKASTKEEWERVHNSIGSTLEKDPSVEEMQRILSLSYDDLPHHLKTCLLYLCIFPEDCEIERDQLVKRWIAEGFINTGSGQDLEKIGESYLNDLISRSMIQPVKVRYDGQVDSCRIHDMILDLLMSKSIKENFATFLGEQNQKLVLQGKVRRLSLSYYSQENVMVPSTAIISSCRSLSIFGYAEEMPSLSEFRVLRVLDIEHGEDMDSNYLEHVRRLSQLKYLRLNVRSIDALPEQLGELQHLQTLDLVSTKLRKSPKSIVRLQNLTCLRINNLELPEGIGCMRALQEVSEIKISRNSSASSLQELGNLTKLKILGLCWCISDIHGGTKTLVNNLVSSLRKLGRLNLRSLCIQSSFKYSIDFLLDSWLPTPHLLQKFQMGMCYYFPRIPVWIASLENLTYLDINLNPVKEEVLEILGNLPALLFLWLTSKSADPKQRLIINSNMFMCLKELYFTCWSIESGLMFQEGCMAKLEKLHLPFHAATALEFGIHHLSSLRLLVVEIICSGATIRQVESLEETIRKTADLLPYRPTVEIRTWDEENMVEEQKEKDMGEEGTQTSC >ORUFI12G17790.3 pep chromosome:OR_W1943:12:19479338:19492306:1 gene:ORUFI12G17790 transcript:ORUFI12G17790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLSPAHDALCLFVFYEIGNVAEAVKTFQQMASALTGVMTSVINKLTALLGKEYMKLKGVQGEVEFMIDELSSMNALLHRLAEEDQHLDVQTKEWRNQVREMYYDIEDCIDDFMHHLGHTDIAESAGLVHRMAQHLKTLRVRHQIANQIEKLKARVEDASKRRLRYKLDERTFNLSTAGAIDPRLPSLYAESDGLVGIEQPRDVVIKLLTEGEGASSQKLKVISIVGPGGLGKTTLANEVFRKLESQFQCRAFVSLSQQPDVKKIVRNIYCQVSQQEYGNIDIWDEEKLINATREFLTNKRYFVVIDDIWSTQAWKTIRCALFVNNCGSRIMTTTRNMAIAKSCCTPDHDRVFEIMPLSIDNSKSLFLKRIFGSKDVCIPQLDEVCYEILKKCGGSPLAIITIASLLANKANTKEEWERVRNSIGSTLQKDPDVEEMRRILSLSYDDLPQHLKTCLLYLSIFPEDYEIERDRLVERWVAEGFIITEGGHDLKEIGDCYFSDLINRSMIEPVKIQYNGRVFSCRVHDMILDLLTCKSTEENFATFMGGQNQKLVLQGKVRRLSLNYYTQDHIMVPSTAIITHCRSLSIFGYAEQKPPLSMFPVLRVLDIENGEDMESSYTKHIRKLIQLKYLRLNVRSVAELPEKLGELQHLQTLDLRRTNIRKLPESFVRANRDQNQPGLLGIFLVGAKKSDEAHIDYKVFADNLVSSLRKLGRLNLRIMCILGYYACSIEFLLDSWFPSPHLLQSFAMGMNYHFPRVPSWIASLDNLTCLEINIDLVDEKVIQILGDLPVLIFLWLISKEAGPNERLVIRSNMFVCLKEFHFTCWRNWEGLMFEAGAMAKVEMLRVSFDAGGSVLDFGIQHLASLRHLIVEIVCGGATLREVEALEDAIRHSADLLPCHPAVEVRTWDEEKMVKEEMASALTGAMTSVIIKLSALLGEEYAKLKGLQREVEFMKDELSSMNALLHRLAEVDSDLDVQTEEWRNQVREMSYDIEDCIDGFTHRLGHIGIAEAAGPVQRVAQQLKVLKVRRQIASQIQELKGRVEDASKRRMRYKLDDRIFEPSIARAIDPRLPSLYAESDGLVGIETPRAVLVKLIMEGDDASFQQLKVISIVGPGGLGKTTLANEVYRRLEGQFQCRAFVSLSQQPDVKRILRNIFCQVSQQVYDSTSVWDEENLIDAIRGFLKDKRYFIVIDDIWSIQAWKTIKCALLMNNLGSRIITTTRSVTIAKSCCSPQHDHVYEIMPLSTANAMSLFLKRIFGTEDICPPQLEEISCKILKKCSGSPLAIITIASLLTNKASTKEEWERVHNSIGSTLEKDPSVEEMQRILSLSYDDLPHHLKTCLLYLCIFPEDCEIERDQLVKRWIAEGFINTGSGQDLEKIGESYLNDLISRSMIQPVKVRYDGQVDSCRIHDMILDLLMSKSIKENFATFLGEQNQKLVLQGKVRRLSLSYYSQENVMVPSTAIISSCRSLSIFGYAEEMPSLSEFRVLRVLDIEHGEDMDSNYLEHVRRLSQLKYLRLNVRSIDALPEQLGELQHLQTLDLVSTKLRKSPKSIVRLQNLTCLRINNLELPEGIGCMRALQEVSEIKISRNSSASSLQELGNLTKLKILGLCWCISDIHGGTKTLVNNLVSSLRKLGRLNLRSLCIQSSFKYSIDFLLDSWLPTPHLLQKFQMGMCYYFPRIPVWIASLENLTYLDINLNPVKEEVLEILGNLPALLFLWLTSKSADPKQRLIINSNMFMCLKELYFTCWSIESGLMFQEGCMAKLEKLHLPFHAATALEFGIHHLSSLRLLVVEIICSGATIRQVESLEETIRKTADLLPYRPTVEIRTWDEENMVEEQKEKDMGEEGTQTSC >ORUFI12G17800.1 pep chromosome:OR_W1943:12:19492892:19496772:-1 gene:ORUFI12G17800 transcript:ORUFI12G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAESDNNGSEVSPGGGGRDSASAAAAVASPVKAKARALLELETASAAAAASESSEARVDDGNIQEAESSLREGLSLNYEEARALLGRLEYQRGNVEAALRVFDGIDLQAAIQRFQPSLSEKPPSKRSNKLRSDSSNSGSQHAASLVLEAIYLKSMSLQKLGKAVEAAQQCRSVLDAVESIFQRGIPDVMVEQKLQETVSKSVELLPELWKQAGAYQEALASYRRALLSQWNLDDDCCTRIQKRFAVFLLYGGVEASPPSLASQTEGSYVPKNNLEEAILLLMIILKKWYLGKTHWDPSVMEHLTFALSLCGQTSVLAKHLEEVLPGIYPRTGRWYSLALCNYAASHNEAALNLLRKLLNKNESPGDIMALLLAAKLCSSDYSLASEGVEYARRAVTNVDSSDGHLKSAALHFLGSCLAKKSRIATSDHQRSLLQTESLKSLSEAISLDRHNPDLIYDMGIEYAEQRNMQAALKCAKEFIDATGGSVSKGWRLLSLVLSAQQRYSEAEVVTDAALDETTKWEQGPLLRIKAKLKVAQSLPMEAVEAYRALLALVQAQRKAYGTVKNGTEEVDNKVSEFEVWQGLANLYASLSYWRDAEICLQKAKALKSFSAITLHAEGYTREVREQTQDALAAYFNAVSTEVEHVPSKVSIGALLSKQGPKYLPVARSFLSDALRHEPTNRMAWFYLGKVHKHDGRLADAADCFQAASMLEESDPIESFRSL >ORUFI12G17810.1 pep chromosome:OR_W1943:12:19501447:19502043:1 gene:ORUFI12G17810 transcript:ORUFI12G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTAAAAALSLRGGAADDEAINHAFNRLESSPLHAQSAKCFSSAPPLPPAQAPRLSRCSASRSGAPPHPRRDTLRILPSCRGARLLAIVCRTEEEEDYASGVAFAETDVEFEALAGAKKLDADVFTQLAPDIREDELPAPVLAVQVTELACGGVAVGLVLHHAATDGNGRIRFMQAWSAAVADAVENPEAILHN >ORUFI12G17820.1 pep chromosome:OR_W1943:12:19540112:19544930:1 gene:ORUFI12G17820 transcript:ORUFI12G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESFVPLRGIKNDLHGRLQCYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTALCGIIHSFLGGQPLLILGVAEPTVLMYTFMFNFAKDRPDLGRRLFLAWTGWVCVWTAILLFLLAILGACSIINRFTRIAGELFGLLIAMLFMQQAIKGLVDEFRIPERENRKALEFVSSWRFANGMFAIVLSFGLLLTALRSRKARSWRYGTGWLRGFIADYGVPLMVLVWTGVSYIPYGSVPKGIPRRLFSPNPWSPGAYDNWTVIRDMPNVPLLYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTLLCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNRLVATARQSMSQNASLSQLYGSMQEAYQQMQTPLIYQQPSVKGLNELKDSTVQMASSMGNIDAPVDETVFDIEKEIDDLLPIEVKEQRLSNLLQATMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHTTFVETVPFKTIAMFTLFQTMYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKLFKGAHLTDLDAAEYEESPAIPFIAAQDIDVALARTQSAEILDDIVTRSRGEIKRLNSPKITSSGGTPVAELKGIRSPCISERAYSPCITELRHDRSPLGGRGSPRTGETRSSKLGEGSTPK >ORUFI12G17830.1 pep chromosome:OR_W1943:12:19547767:19549848:-1 gene:ORUFI12G17830 transcript:ORUFI12G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDEELVRPQASGAKNMGFAKFDSMSELHCKIPTVLVHWGLGLMRLKSGWKEMPSIQKALPPELADNVIRLYRECLRRARFIGHQKHNTGLIVSMVREQFKKNMHETDPEKIQKMKDDAARGLINHILYESEKMTGRKFSS >ORUFI12G17840.1 pep chromosome:OR_W1943:12:19555489:19564129:1 gene:ORUFI12G17840 transcript:ORUFI12G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLTTDKRWAAPARKSGMTVLGKIPKPINLPSQRLENHGLDPNVEIVPKGTLTWGSKPTPTTPNAWNSSSLLSPKNDGSSNSPSHFNGRPSSGGGSRPSTAGSESLDSPNAWGSSSRPSTASGTLPSNHLQTTTNRPRSAETRPGSSQLSRFADNSSENMKVSIRTIDKSGSSSHGHGFTLSTGDFPTLGSESNSQRGHSSKGRPTSSSGKETAQNEQGKSITAGPAEEILSSNSQSADNIKTDQHVYDGGAPFPGTSLPNKAQQPQPYPGNFCVAPPHFDSWHAPPGHPPDGMWHRGAAPGGPYRPLGPPGGGFPVEPFAYYGQFQPSSEAAARQGPGHGGYQPKNGDAYLSVPPSSYMMNQPVIPVRPVYQGPMSYDGYYGPPRANFNNPNVRDPPFVGGPHQPGILNQFPNQHEKFHPGHPQNRPGKHETAPNEHFESDRVHVIQRGQPRILHDNLRGPREVERNAQPAPPLLPHPNGNRIDVNKRSDIRESFNEKNRILMKSAPDHRGPAGTSHLSIPENVHSHPREADDGTLRKKFKEDNSVVPDQQPVIKKNVALIEKIESLNNKARNVDARNITEPFPSKQAKEMQKSTSSKEDQKLPNEPVLEPSQSELTEIITAGKLGESTRDRTHRRGDSSRSSHHGSSKDSQHEQPPEDALKLAPVMVTDDTSLDFESQRAKMRELAAQRAKQLQAEEEERTKQQRAKALVKLEELNRRSSVHQKSSNDVPPDIADVQQKQKVGFEETAKPANLSAESCDVACDGHNSLQPPNDPKHTEFSVQPKPTVLTHTLGVGKDPTIHNTTTLARNSEHEAQKGVAQSHDINVPKPKQGYRRRQAVSEEKNPSEKSSGAISTESGKKIAEAFSNTSTAVVTSHDDTLAHNKKSARHSRNKKKVDEAPVTSKHPPVALNEQNAVKVPNEPKPQTAGVIISSSIVPTEGTVVTVGSIMVGGISFGSLNQECVKPQESVKPADEVHSSTSNSHPKRQQAKRSGKNQQSIQPIERPHGNEGAVWAPVKPSGHSEQSGEAMWSTGVVAPTQPAGLNTNDGENVTKTKRAEMERYVPKPLSKELQQQNLRQILPSEKSCEENKIRDKEIVERSTGAKPETAPEAKKWEGKKTSKGHGKSHPSWRRRNTDESTLVGPKATELADNYQESHELQKHTVHQPPEPDKQADAPARNSSVPAETVSSVVTVAKEHGAANKQRRQHVKAQRNEGSNYPNENKDQMAAPPAPGIDSNSYERRNMSRSDVKHSGTVPQPRSHWKPKTIPQSQGNSHGNNAKDGHVDSATPQDSSNNNLAENIGWNDENHAHSEEVKGEKRHVDDYQKSESHENAEQQQQLSHAPRRQGHHNGGRYHRGGGTNRGRGYDVGKPSHVTNAERRRGGTHLEYQPVGSYNKTADFQQNPGTDERTEGAPVHRERVHNRGPRPAGQFVKRNPASTPAANSYRDE >ORUFI12G17840.2 pep chromosome:OR_W1943:12:19555489:19564129:1 gene:ORUFI12G17840 transcript:ORUFI12G17840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLTTDKRWAAPARKSGMTVLGKIPKPINLPSQRLENHGLDPNVEIVPKGTLTWGSKPTPTTPNAWNSSSLLSPKNDGSSNSPSHFNGRPSSGGGSRPSTAGSESLDSPNAWGSSSRPSTASGTLPSNHLQTTTNRPRSAETRPGSSQLSRFADNSSENMKVSIRTIDKSGSSSHGHGFTLSTGDFPTLGSESNSQRGHSSKGRPTSSSGKETAQNEQGKSITAGPAEEILSSNSQSADNIKTDQHVYDGGAPFPGTSLPNKAQQPQPYPGNFCVAPPHFDSWHAPPGHPPDGMWHRGAAPGGPYRPLGPPGGGFPVEPFAYYGQFQPSSEAAARQGPGHGGYQPKNGDAYLSVPPSSYMMNQPVIPVRPVYQGPMSYDGYYGPPRANFNNPNVRDPPFVGGPHQPGILNQFPNQHEKFHPGHPQNRPGKHETAPNEHFESDRVHVIQRGQPRILHDNLRGPREVERNAQPAPPLLPHPNGNRIDVNKRSDIRESFNEKNRILMKSAPDHRGPAGTSHLSIPENVHSHPREADDGTLRKKFKEDNSVVPDQQPVIKKNVALIEKIESLNNKARNVDARNITEPFPSKQAKEMQKSTSSKEDQKLPNEPVLEPSQSELTEIITAGKLGESTRDRTHRRGDSSRSSHHGSSKDRLVNNFAGEGLRENSAADSSPIVGSRNSQHEQPPEDALKLAPVMVTDDTSLDFESQRAKMRELAAQRAKQLQAEEEERTKQQRAKALVKLEELNRRSSVHQKSSNDVPPDIADVQQKQKVGFEETAKPANLSAESCDVACDGHNSLQPPNDPKHTEFSVQPKPTVLTHTLGVGKDPTIHNTTTLARNSEHEAQKGVAQSHDINVPKPKQGYRRRQAVSEEKNPSEKSSGAISTESGKKIAEAFSNTSTAVVTSHDDTLAHNKKSARHSRNKKKVDEAPVTSKHPPVALNEQNAVKVPNEPKPQTAGVIISSSIVPTEGTVVTVGSIMVGGISFGSLNQECVKPQESVKPADEVHSSTSNSHPKRQQAKRSGKNQQSIQPIERPHGNEGAVWAPVKPSGHSEQSGEAMWSTGVVAPTQPAGLNTNDGENVTKTKRAEMERYVPKPLSKELQQQNLRQILPSEKSCEENKIRDKEIVERSTGAKPETAPEAKKWEGKKTSKGHGKSHPSWRRRNTDESTLVGPKATELADNYQESHELQKHTVHQPPEPDKQADAPARNSSVPAETVSSVVTVAKEHGAANKQRRQHVKAQRNEGSNYPNENKDQMAAPPAPGIDSNSYERRNMSRSDVKHSGTVPQPRSHWKPKTIPQSQGNSHGNNAKDGHVDSATPQDSSNNNLAENIGWNDENHAHSEEVKGEKRHVDDYQKSESHENAEQQQQLSHAPRRQGHHNGGRYHRGGGTNRGRGYDVGKPSHVTNAERRRGGTHLEYQPVGSYNKTADFQQNPGTDERTEGAPVHRERVHNRGPRPAGQFVKRNPASTPAANSYRDE >ORUFI12G17850.1 pep chromosome:OR_W1943:12:19566352:19570436:1 gene:ORUFI12G17850 transcript:ORUFI12G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLREHLKRLAAIDLHILSAQVTESTDFTELVNQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTVFLPTEKAMTPDACAPLIATLPLEVRTKIGDFIRGVYSVFQDLDFSFLEMNPFTMVNGEPYPLDMRGELDDTAAFKNFKKWGNIQFPLPFGRVLSPSESFIHELDEKTSSSLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDESKLKAARMNIYVRRGGPNYQTGLAKMRTLGAELGVPIEVYGPEATMTGICKQAIDCIMAEA >ORUFI12G17860.1 pep chromosome:OR_W1943:12:19570708:19574029:1 gene:ORUFI12G17860 transcript:ORUFI12G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQATVDPKGYRSSPSSSRQRNPTSPGRDELQIESHRGREREREREREREREDPDAAAHGGSRRRAGRGGRQQLAGVSSSLANLAAWGSATCSASSAAPSSPTPPTPPSSIAPC >ORUFI12G17870.1 pep chromosome:OR_W1943:12:19575334:19583863:1 gene:ORUFI12G17870 transcript:ORUFI12G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLVIQLRRQPLRARFTAPSNVSKYADASTQVLSANFPGKGSNSLSLSSAFPHKTVAPSYKKTTRVNQGLFKPGTNRNLTWQKPVSSDNLVISFSDDDSGSDSGMSKQDKRGRKDSSQGTYKTGINVHTGIMREEAPQQKIHAAKVGSANWSAVPLTYRNSGVGRGLSATFARRDPPVRQVTPQKAIHKDGNVVGVSSAVHNLESLRHKIAARENELKVKRPMSPSLLKDSSFPTGQTRLPLEKIGFEASSIGACSHLNGPVGHDIRPIKRLKPNQECSNNQVLVNQIPPVPTGKSLGKSNVQPCERREHIENGIRDCNVNEAVHTVTTEPGGHHIGAIKSLSLSKMQHTVIPDADNHVTGKQHVKHAAPPTANEQSVVEDANTLVPITSVRAGANVEMSSIQVKDNMLSTWNGQHIMPADTSTVPNLRPQLGPGVENAENCGDQIVITGQNTSLLSLLEMEEFQERELEDAQEHRRKCEVEEREALRAYRRAQRALIEANERCAILRRKRELCSAQVHGFIAENSSLAQPLSIQNAGHGLVMPSVLNSQANADGQMPGNQGGRSGSPYPEESPQQPVDKHEARSHNFNDNSTPSDYMEDDLLPPSKRARSDCISNLEDHMEETIHVYPVENRQISGESVQDYELLEASLRSRLVERFGKKSYLNNSGEATEELSFGKVSEIEREKAYVGPLLQEADENVMTNIEGMVELGNDAHEKRADSSNAPSIGNYDHEENISSFGELCMPLSVTHPIIPSSAPQNAARHMKGLFPDLHKKASDYKNDCQTSNAASVATVRLPDVAKDNAKMHSTTRKDMDIVSGAVDPFWPFCMFELRGKCNDEECPWQHVEHHAWRKSKHTKHSKPSFSGWIPYGLFQHILPVPTYRVGSNLIRADLNLIQSVLASSIWQYWQRGFCASFPFPLSVQRVLPSDAPSLQAGDDSSANFDRDRQLLNLRMLDSRKVGRIRHITVIVRIVGVISIWFSALNLSFQNKIVQGSVDAELFLEGALILYFGKINKPDRLKALLYLARAIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSHAVQHNVCSYELWLMYINSRLRFDDRLDAYNDALSMLCQMTAVTDMELKDRSSFVLDIFLQMVYFLCMSGNVDKAISRIYGILPTATPDCSGDKLLADVISCLTISDRCIFWISCIYVSIYRKLPEEIIDQLEFLKDLPNSLVWSPIELTVDNRSQILVLLNYAAGKIAVDINETVKNGDPSYLRLSQFLAVNHINCLATLEGFQSCANLLVKYMEEYPMCPHILVFSARLHRKYGSCPGLKGFDELLLGWPKEVQGIQYLWNQCAEHALADNIELAEKLLTRWFEEYGKDGDIQSGGATRPMEISNEESVRSSVSSIQEVGSGTSTSEDQIFWLLNLSLYRTIENNLQEAKVAMDKALKLAHGESYEHCIKEHAAIHTLEKTSSSTDVQTQATFSLISGYLVDQRNLPVRDLLSRRFMKNVKKHRLKRLIDETIGPTSANPALINSVLEVCYGPSLLPETIGEVKYLVDFVESVMEVLPANYRLALAVGKFMVKHCTGDDSISMGTRFWASSILINAIFRAVPVAPESVWLEGASLLEKLQAAETVKRFYQQATSVYPFSFKLWHSYLNSCKASGSSTESIAEAARQRGIELSVTPP >ORUFI12G17870.2 pep chromosome:OR_W1943:12:19575334:19583863:1 gene:ORUFI12G17870 transcript:ORUFI12G17870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLVIQLRRQPLRARFTAPSNVSKYADASTQVLSANFPGKGSNSLSLSSAFPHKTVAPSYKKTTRVNQGLFKPGTNRNLTWQKPVSSDNLVISFSDDDSGSDSGMSKQDKRGRKDSSQGTYKTGINVHTGIMREEAPQQKIHAAKVGSANWSAVPLTYRNSGVGRGLSATFARRDPPVRQVTPQKAIHKDGNVVGVSSAVHNLESLRHKIAARENELKVKRPMSPSLLKDSSFPTGQTRLPLEKIGFEASSIGACSHLNGPVGHDIRPIKRLKPNQECSNNQVLVNQIPPVPTGKSLGKSNVQPCERREHIENGIRDCNVNEAVHTVTTEPGGHHIGAIKSLSLSKMQHTVIPDADNHVTGKQHVKHAAPPTANEQSVVEDANTLVPITSVRAGANVEMSSIQVKDNMLSTWNGQHIMPADTSTVPNLRPQLGPGVENAENCGDQIVITGQNTSLLSLLEMEEFQERELEDAQEHRRKCEVEEREALRAYRRAQRALIEANERCAILRRKRELCSAQVHGFIAENSSLAQPLSIQNAGHGLVMPSVLNSQANADGQMPGNQGGRSGSPYPEESPQQPVDKHEARSHNFNDNSTPSDYMEDDLLPPSKRARSDCISNLEDHMEETIHVYPVENRQISGESVQDYELLEASLRSRLVERFGKKSYLNNSGEATEELSFGKVSEIEREKAYVGPLLQEADENVMTNIEGMVELGNDAHEKRADSSNAPSIGNYDHEENISSFGELCMPLSVTHPIIPSSAPQNAARHMKGLFPDLHKKASDYKNDCQTSNAASVATVRLPDVAKDNAKMHSTTRKDMDIVSGAVDPFWPFCMFELRGKCNDEECPWQHVEHHAWRKSKHTKHSKPSFSGWIPYGLFQHILPVPTYRVGSNLIRADLNLIQSVLASSIWQYWQRGFCASFPFPLSVQRVLPSDAPSLQAGDDSSANFDRDRQLLNLRMLDSRKNKIVQGSVDAELFLEGALILYFGKINKPDRLKALLYLARAIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSHAVQHNVCSYELWLMYINSRLRFDDRLDAYNDALSMLCQMTAVTDMELKDRSSFVLDIFLQMVYFLCMSGNVDKAISRIYGILPTATPDCSGDKLLADVISCLTISDRCIFWISCIYVSIYRKLPEEIIDQLEFLKDLPNSLVWSPIELTVDNRSQILVLLNYAAGKIAVDINETVKNGDPSYLRLSQFLAVNHINCLATLEGFQSCANLLVKYMEEYPMCPHILVFSARLHRKYGSCPGLKGFDELLLGWPKEVQGIQYLWNQCAEHALADNIELAEKLLTRWFEEYGKDGDIQSGGATRPMEISNEESVRSSVSSIQEVGSGTSTSEDQIFWLLNLSLYRTIENNLQEAKVAMDKALKLAHGESYEHCIKEHAAIHTLEKTSSSTDVQTQATFSLISGYLVDQRNLPVRDLLSRRFMKNVKKHRLKRLIDETIGPTSANPALINSVLEVCYGPSLLPETIGEVKYLVDFVESVMEVLPANYRLALAVGKFMVKHCTGDDSISMGTRFWASSILINAIFRAVPVAPESVWLEGASLLEKLQAAETVKRFYQQATSVYPFSFKLWHSYLNSCKASGSSTESIAEAARQRGIELSVTPP >ORUFI12G17880.1 pep chromosome:OR_W1943:12:19584816:19585202:1 gene:ORUFI12G17880 transcript:ORUFI12G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVGALVAGARERWWCRGEGGAAVRHAVAWAGALALAVSVASFAPEAAFVWALTGGGGGGGSGELCAAGAVRVPLDGGGDFVCVPARMAVRSGADMIVPPAFAGLAVGASACFVRALAIGRRLDDY >ORUFI12G17890.1 pep chromosome:OR_W1943:12:19586585:19590260:-1 gene:ORUFI12G17890 transcript:ORUFI12G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERRWSTAAAAAGWLRVAAVVVAAASAASSAAGEGVGRQTVPAMYVFGDSLVDVGNNDFLPPPAPRPPEPPCGIDLPPEAAAADGGGGGRFTNGFNLADVIAQHVGFKKSPPAYLSLTTPGRDGELRRGLVGANYASSGSGILDFIGNGTISLGEQVKLFTKTKEAMITAGEVDGENIDNLLSQSLFITCTGGNDYNAFTDGIVPVSDAPAFIAHMVATYIKHIKTLYNLGARRLGILDVLPLGCLPISRVPIENGSCSGTDNWQARLFNRLLRREMTAAATASMPDLVYSIGSIYYTFYDMIKNPSSAGVREVARACCGDGKLNAEADCSATTHLCPDRDNYIFWDKVHGTQAAYHNCVHAFFHGSPRYAEPISFTQLVASPAVDLGRPSPGTNRTARI >ORUFI12G17900.1 pep chromosome:OR_W1943:12:19593866:19603332:-1 gene:ORUFI12G17900 transcript:ORUFI12G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARGGHHRDEEEEAAAAPLLAGAAPLGYSGHRRSHAGDLHVLSAAFLFVFSAYCAAQNLESSVNTEGDLGTVSMGILYTSFTLFSVAASPVVTWLGSKRALVVGTSGYVIFILANLVPTWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDGGSVTGKSLLFVVFLGCMIVGIILMCLLSKRDEKGNNAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQQAFVWAVFTKNIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLHSATSIVSVGAILQAVVLFWLLLFYSPMGGLLGAAVPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPNITLQAMLILMATALIISFGAFLLLTLVVEKPSTVRSNQFQSGANFTTYLDQCYQQPKQHILYARAIRNQKEMRYLSVDVQHNTTLTPFVSAIFAELKMSVISTWFDEKKKKVTPSKKLPASTLKPRGSIASRSFRLRRRGPWTREEATTATTKAMRRRPAGAGADGGATAPLLAGVAPLGYSGHRWSHAGDLHVLSAAFLFIFSAYCAAQNLQSSVNTEGDLGTVSMGILYTSFTLFAVTASPVVTWLGSKRALVVGTSGYVIFILANLVPTWYTMVPASLYLGFSASIIWVGQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDEVSRGKICCLLDEKGNTAPTHSSFGAMMKYIVAPLKDRRMILIIPLIVYSGLQAAFVWAVFTKNIVTPVLGVSGVGGAMAIYGAADAVCALVAGRLTSGLHSATSIVSVGAILHAVVLFWLLLFYSPMGGLLGAAVPLFIGALWGVGDGVLHTQLSALLGLLFEDVKEAAFAQWRVWQSGAIAVIFFLSPNITLQAMLILMAIALIISFGSFLLLTLVVEKPSTTRS >ORUFI12G17900.2 pep chromosome:OR_W1943:12:19593650:19606593:-1 gene:ORUFI12G17900 transcript:ORUFI12G17900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGHAPAGAELAVALDEEEEEAAPLVSGRRGAAAAARAATSQTRDLHLLSSAFLFVFLAYHAAQNLQSTVNTDENLGSVSLGLLYTSFTAFSVVGSPVVRRMGSRRALVLGTSGYLLFIAANLVPSWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDGGSVTGKSLLFVVFLGCMIVGIILMCLLSKRDEKGNNAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQQAFVWAVFTKNIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLHSATSIVSVGAILQAVVLFWLLLFYSPMGGLLGAAVPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPNITLQAMLILMATALIISFGAFLLLTLVVEKPSTVRSNQFQSGANFTTYLDQCYQQPKQHILYARAIRNQKEMRYLSVDVQHNTTLTPFVSAIFAELKMSVISTWFDEKKKKVTPSKKLPASTLKPRGSIASRSFRLRRRGPWTREEATTATTKAMRRRPAGAGADGGATAPLLAGVAPLGYSGHRWSHAGDLHVLSAAFLFIFSAYCAAQNLQSSVNTEGDLGTVSMGILYTSFTLFAVTASPVVTWLGSKRALVVGTSGYVIFILANLVPTWYLLASELVKSSVASSNPAHIIYLTLQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDYKRHLAVFTKNIVTPVLGVSGVGGAMAIYGAADAVCALVAGRLTSGLHSATSIVSVGAILHAVVLFCPMGGLLGAAVPLFIGALWGVGDGVLHTQLSALLGLLFEDVKEAAFAQWRVWQSGAIAVIFFLSPNITLQAMLILMAIALIISFGSFLLLTLVVEKPSTTRSNQFQSGANFTAHLLHQCYQQPKQHTLYARAIRNQKEMKYLSVDVQHNTPLSAICFSDFFAELK >ORUFI12G17900.3 pep chromosome:OR_W1943:12:19593866:19603332:-1 gene:ORUFI12G17900 transcript:ORUFI12G17900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDARGGHHRDEEEEAAAAPLLAGAAPLGYSGHRRSHAGDLHVLSAAFLFVFSAYCAAQNLESSVNTEGDLGTVSMGILYTSFTLFSVAASPVVTWLGSKRALVVGTSGYVIFILANLVPTWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDGGSVTGKSLLFVVFLGCMIVGIILMCLLSKRDEKGNNAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQQAFVWAVFTKNIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLHSATSIVSVGAILQAVVLFWLLLFYSPMGGLLGAAVPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPNITLQAMLILMATALIISFGAFLLLTLVVEKPSTVRSNQFQSGANFTTYLDQCYQQPKQHILYARAIRNQKEMRYLSVDVQHNTTLTPFVSAIFAELKMSVISTWFDEKKKKVTPSKKLPASTLKPRGSIASRSFRLRRRGPWTREEATTATTKAMRRRPAGAGADGGATAPLLAGVAPLGYSGHRWSHAGDLHVLSAAFLFIFSAYCAAQNLQSSVNTEGDLGTVSMGILYTSFTLFAVTASPVVTWLGSKRALVVGTSGYVIFILANLVPTWYLLASELVKSSVASSNPAHIIYLTLQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDYKRHLAVFTKNIVTPVLGVSGVGGAMAIYGAADAVCALVAGRLTSGLHSATSIVSVGAILHAVVLFWLLLFYSPMGGLLGAAVPLFIGALWGVGDGVLHTQLSALLGLLFEDVKEAAFAQWRVWQSGAIAVIFFLSPNITLQAMLILMAIALIISFGSFLLLTLVVEKPSTTRS >ORUFI12G17900.4 pep chromosome:OR_W1943:12:19593650:19603332:-1 gene:ORUFI12G17900 transcript:ORUFI12G17900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDARGGHHRDEEEEAAAAPLLAGAAPLGYSGHRRSHAGDLHVLSAAFLFVFSAYCAAQNLESSVNTEGDLGTVSMGILYTSFTLFSVAASPVVTWLGSKRALVVGTSGYVIFILANLVPTWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDGGSVTGKSLLFVVFLGCMIVGIILMCLLSKRDEKGNNAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQQAFVWAVFTKNIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLHSATSIVSVGAILQAVVLFWLLLFYSPMGGLLGAAVPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQWRVWQSGAIAVIFFLSPNITLQAMLILMAIALIISFGSFLLLTLVVEKPSTTRSNQFQSGANFTAHLLHQCYQQPKQHTLYARAIRNQKEMKYLSVDVQHNTPLSAICFSDFFAELK >ORUFI12G17900.5 pep chromosome:OR_W1943:12:19603357:19606593:-1 gene:ORUFI12G17900 transcript:ORUFI12G17900.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGHAPAGAELAVALDEEEEEAAPLVSGRRGAAAAARAATSQTRDLHLLSSAFLFVFLAYHAAQNLQSTVNTDENLGSVSLGLLYTSFTAFSVVGSPVVRRMGSRRALVLGTSGYLLFIAANLVPSWPSRRSFPPQAARIDRF >ORUFI12G17910.1 pep chromosome:OR_W1943:12:19603444:19606669:1 gene:ORUFI12G17910 transcript:ORUFI12G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERRRRGAEAEVGPTWQWLEAAGFVPTCRVSSIPLFASANLRCDREEEEEGIEQPEVPSTSARLDPIRRTTGEPTTENAVNDVYRSPSDTDPRKTKRKAELSRCRSRVWDVAALAAAAAPRLPDTSGAASSSSSSRATASSAPAGAWPSSMADLGGGVGGGVASVPNCASTLLCL >ORUFI12G17920.1 pep chromosome:OR_W1943:12:19610334:19615952:1 gene:ORUFI12G17920 transcript:ORUFI12G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATAAATATIAAAAAKLAATPAPAPSRRRLTLRGNPTARRCVAAMAVSTPRSAAAAAFLERRESERALHFVKYQGLGNDFIMVDNRDSAVPKVTPEEAAKLCDRNFGVGADGVIFVMPGVNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLQGTHSFKIHTGAGLIIPEIQNDGKVKVDMGQPILSGPDIPTKLPSTKNEAVVQADLAVDGSTWQVTCVSMGNPHCVTFGTKELKVLHVDDLKLSDIGPKFEHHEMFPARTNTEFVEVLSRSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAERKCVVDLPGGPLEIEWREDDNHIYMTGPAEAVFYGSAVH >ORUFI12G17930.1 pep chromosome:OR_W1943:12:19618089:19619036:-1 gene:ORUFI12G17930 transcript:ORUFI12G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVREEIRKGPWTEQEDLQLVCTVRLFGERRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGRMSPHEERLILELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKSNMSPSSSSSSLTYQSCHPETPSMIIGIEEQELHGGSGCITSIMKSTPVDMDGYPMDQIWMEIEAPNVLPGPCFDEAKDSASNSLSGPLLPYPMWDYYCPETCLRMDDEIKVAPQFGYGKGVGPCY >ORUFI12G17940.1 pep chromosome:OR_W1943:12:19630376:19637038:-1 gene:ORUFI12G17940 transcript:ORUFI12G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAAVHRRWRRRLLPKLMLLLCAVVHGQQPDSLGFISIDCGIPDGGGYSDESTRGLRYVPDAGFLDAGAGLSAGINPPYTDRDLAARYLTVRYFPGAASAAGERGGCYTLRQLSPGGRYLVRATFYYGNYDGAIAMLPVVFDLHLGANRWTAVNVTAADAIYIFEAVVSPPADFLQVCLVNIGKGTPFISGLDLRPLKPELYPEATANQSLLLLNHDRPPARFAFNRYQFWRPASYYKLFRYPFDPYDRLWQPYGDDPSWTNITVAAAVDVTNISRSDDPSPILRSAATPANATVRRLDFPWSSDDAATTTYLLLLYFAELQRLPAGAARRFDVLVDGDASAGGGRRGYTPRYLAAEVVRSTVRAARPGQRHVVSLVAAPDSALPPIVNGLEIYSVQPMPELATNDRDAKAMMEIRDNYELKKNWMGDPCAPKAFAWVGLNCGYSSSDPALVTALNLSSSVLIGPVNLSFGDLKSLQYLDLSNNSLSGPIPDFLVQMPALKFLDLSSNKLSGSIPSDLLQKRENGSLVLRIGNNANLCYNGANNTCAPESKQSKRILVIAIAVPIVAATLLFVAAKFILHRRRNKQDTWITNNARLISPHERSNVFENRQFTYRELKLMTSNFKEEIGKGGFGTVFLGYLEDGTPVAVKMCSKTSSEGDKKFLAEAQHLTRVHHRNLVSLIGYCKDKKHLALVYEYMQGGNLEDRLRGEASIAAPLTWHQRLKIALDSAQGLEYLHKSCQPPLIHRDVKTRNILLSGDLDAKIADFGLTKVFAGDVVTHVTTQPAGTLGYLDPEYYHTSRLSEKSDVYSFGVVLLELVTGRPPAVPLGDGDGGGGESVHLAVWARQRLAEGDIESVADAAMGGCFEVNSAWKVAELALRCKERPSRERPAMADVVAELKECLELEASRALGRGYSCYSSGSGGGSSVATTTTTSGAANISAAASAASVSDAQIGELRQESVLELGPR >ORUFI12G17950.1 pep chromosome:OR_W1943:12:19642104:19644032:1 gene:ORUFI12G17950 transcript:ORUFI12G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDIIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSIGNVLPIRSVPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKAT >ORUFI12G17960.1 pep chromosome:OR_W1943:12:19644624:19645305:-1 gene:ORUFI12G17960 transcript:ORUFI12G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSCGCSGGCGKMYPDLAEKITITTTTTTTVLGVAPEKGQFEGVGKAAESGEGAHGCSCGSSCKCNPCNC >ORUFI12G17970.1 pep chromosome:OR_W1943:12:19652044:19654184:-1 gene:ORUFI12G17970 transcript:ORUFI12G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLVQSTGRWQKSGCGLVDREVRRLIASRHSGDGDHHLIAGGHSDAGDHLPTSSLMSQLGRTSWRDVVLSRPAVNAEHSSGEPGNRMAGRGDDAACNVVPRVLATSTALVAGRDVLATGKDVLATENGVLATGKDVLATGGEVALVARSDA >ORUFI12G17980.1 pep chromosome:OR_W1943:12:19654383:19655325:-1 gene:ORUFI12G17980 transcript:ORUFI12G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSSCGCGGGCGKMYPDLAEKNTTTTSVTMVLGVAPEKGHSEAGFELAAGSGEAGHGCSCGSSCKCNPCNC >ORUFI12G17990.1 pep chromosome:OR_W1943:12:19654431:19655550:1 gene:ORUFI12G17990 transcript:ORUFI12G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTGAGSQLETRFAVPAEERSREIQTWNKNKKKILESGATPRTMVTEVVVVFFSARKMH >ORUFI12G18000.1 pep chromosome:OR_W1943:12:19658884:19661583:-1 gene:ORUFI12G18000 transcript:ORUFI12G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVGVVFLLEGIITLLSPFLRVKILFRFSDGRCLRFNAIFLLGDFVLKTLPYVVCGLFIRSRSCSFELGNDDLCFNLQLYRCKFLLSISHFIQLHKFLKSLQETQKIKMSCGGSCNCGSCGCGGGCGKMYPDLAEKITTTTTTATTVLGVAPEKGHFEVMVGKAAESGEAAHGCSCGSSCKCNPCNC >ORUFI12G18010.1 pep chromosome:OR_W1943:12:19662937:19663838:1 gene:ORUFI12G18010 transcript:ORUFI12G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQLLRRFPEWEGNGVADVPFEMYTSSQFTRKNTQYARACSRDKSNACRDRSSDSGSDGNSLCGDDSVSGGSSTTTTATDASASASSRPLLDRRGSTRSSPPPRQASVAAALLLLLV >ORUFI12G18020.1 pep chromosome:OR_W1943:12:19665489:19666156:-1 gene:ORUFI12G18020 transcript:ORUFI12G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSCGCGGGCGKMYPDLAEKINTTITTATTVLGVAPEKGHFEVMVGKAGESGEAAHGCSCGSSCKCNPCNC >ORUFI12G18030.1 pep chromosome:OR_W1943:12:19667396:19670152:-1 gene:ORUFI12G18030 transcript:ORUFI12G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVATEPGTCLRRLPDDLVADEILTRLPARSLARFASVCAAWRAAISGDPSSFLRRRRKKEHSSSFLLLLYALVEDAEGRLAFSNHVPFYRLRWPDGAGDGRRRCPRLAHVSVFGDGGEEPTLSLPRTCDGLVLLPNGDDVHVINPATGDVLTLPQSSRVAAAGASWRHSTGLGLDARTNTYKVARYVHLSTAAADAAAAAADDDGAAVMEVFTIGHGDAAAWRETTPPPPPSYPVCHVRTAIHSSGNLFWKLQLRRSPAAAAGSFLLLRFNLAHETFTVVHHPRLSSPLAPPLLSELDGELCIGLLVSGRQELWVYSNNGGGGGDDQWQWEQRFSIAVSGPDAMYLPLGVLRRHDRLLLQKGVHLYHHDSGGGEESVMEVARMDEFEFEWGFPGKPYAFMVIPYTESLVRVTATRPINKAVTVTATATAGETAAMKMGALERPKRLIWALNYQTQNPAQFVIGI >ORUFI12G18040.1 pep chromosome:OR_W1943:12:19674726:19675454:1 gene:ORUFI12G18040 transcript:ORUFI12G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSPAAAAAGEGSGRKKAAGRRLAGVMLLLRLASLCFAVAAAAFAATDGAALRAAPFRFLLAANAIVAVYSAFEVAAAAWEVAGGATLLPEAMQLWFDFGHDQIYSIRVLCVFCVSAAVASCTRRELAKEIEENEKLIN >ORUFI12G18050.1 pep chromosome:OR_W1943:12:19678000:19685061:1 gene:ORUFI12G18050 transcript:ORUFI12G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFFPFSFSPHYLISSLSLSPLSLPPPPLRRRRRAPPIGPAAGGPPARGEGGSGCRRLLRRACGFTAAVSLSPRTPPRDNIVYRMRVNMSLDITQVLLSAQSPDGATRKLAEESLKQFQEQNLPGFLFSLSNELANEEKPEESRRLAGLILKNALDAKEQHRKNELFQRWLALDVGVKAQIKGFLLQTLSSPVASARSTSSQVIAKVAGIEIPQKQWPELIASLLSNIHQVQPNVKQATLETLGYLCEEVSPEAVDQDQVNKILTAVVQGMNASEGNSDVRLAATRALYNALGFAQVNFSNDMERDYIMRVVCEATQSTDVKIRQAAFECLVAISSTYYDKLATYMQDIFNITAKAVRGDEESVALQAIEFWSSICDEEIDILDEYSSEFTADSDVPCYYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFVEENITKPDWRHREAATYAFGSILEGPSADKLAPLVNVALNFMLSALVNDPSNHVKDTTAWTLGRIFEFLHGSALETAPIITSENCQQILTVLLQSMKDVPNVAEKACGALYFLAQGYVDAGSASPLTPFFQDIIQSLLFVTHREDAGESRLRTAAYETLNEVVRCSIEETGPIVMQLVPVIMMELHQTLEAGKLSTDEREKRSELQGLLCGCLQVIIQKLGAMESTKYSFLQYADQMMELFLRVFACRNATVHEEAMLAIGALAYAAGPNFSKYMPQFYQYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAADLSAHAAAADDEMLDYTNQLRNGILEAYSGILQGFKSSPKTQLLMQYAPNILNFLDALYNGKDMDDTVMKTAIGVLGDLADTLGVHAGPLINQSISSKKFLEECLASDDPLVKESADWARVAISRAISYLAF >ORUFI12G18050.2 pep chromosome:OR_W1943:12:19678082:19683466:1 gene:ORUFI12G18050 transcript:ORUFI12G18050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDITQVLLSAQSPDGATRKLAEESLKQFQEQNLPGFLFSLSNELANEEKPEESRRLAGLILKNALDAKEQHRKNELFQRWLALDVGVKAQIKGFLLQTLSSPVASARSTSSQVIAKVAGIEIPQKQWPELIASLLSNIHQVQPNVKQATLETLGYLCEEVSPEAVDQDQVNKILTAVVQGMNASEGNSDVRLAATRALYNALGFAQVNFSNDMERDYIMRVVCEATQSTDVKIRQAAFECLVAISSTYYDKLATYMQDIFNITAKAVRGDEESVALQAIEFWSSICDEEIDILDEYSSEFTADSDVPCYYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFVEENITKPDWRHREAATYAFGSILEGPSADKLAPLVNVALNFMLSALVNDPSNHVKDTTAWTLGRIFEFLHGSALETAPIITSENCQQILTVLLQSMKDVPNVAEKACGALYFLAQGYVDAGSASPLTPFFQDIIQSLLFVTHREDAGESRLRTAAYETLNEVVRCSIEETGPIVMQLVPVIMMELHQTLEAGKLSTDEREKRSELQGLLCGCLQVIIQKLGAMESTKYSFLQYADQMMELFLRVFACRNATVHEEAMLAIGALAYAAGPNFSKYMPQFYQYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAADLSAHAAAADDEMLDYTNQLRNGILEAYSGILQGFKSSPKTQLLMQYAPNILNFLDALYNGKDMDDTVMKTAIGVLGDLADTLGVHAGPLINQSISSKKFLEECLASDDPLVKESADWARVAISRAVSG >ORUFI12G18060.1 pep chromosome:OR_W1943:12:19685541:19694841:1 gene:ORUFI12G18060 transcript:ORUFI12G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAFVAVLLAAAAAATSPAAVAATTLTIQNLCPHPVWPLVTPTSGQPISDNTARLDPNSLISLAFPPTPWSGRVAARTGCDAAASPPAGCETGASPPSTVAQLSVHGGGDVATYSVSLVDGFNVPVVVSPQAVGGGQCPALGCVVDLNCDCPLGQRFSDRFSDDAACRGPPEYFKGRCPQTRTTPGDVEPVPQSCRSPGELKVIFCPPTMLTAAAAAAASDMLIRTLTP >ORUFI12G18070.1 pep chromosome:OR_W1943:12:19694923:19695417:1 gene:ORUFI12G18070 transcript:ORUFI12G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKLQLALLAAAMAAAAISPTASGAYTGCATPRKVTIQNLSGRDLPLSETPLANSGALFGAGYVLRHGTHAEFTTCLWTGRVAAPGAAVVEFHVGPDGGAWYQVDNRQAGSPVKVTVTPHGRPLQGHCPAAGCRGGGQCFADAVPGGNCHAVDELKIIYYSP >ORUFI12G18080.1 pep chromosome:OR_W1943:12:19702854:19703555:1 gene:ORUFI12G18080 transcript:ORUFI12G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQLALVLLVAVVAAAATSVAAATKLTLHNLCPYPVWPLVTPNTGFPSISGNTARLDGGGRGLVSYDFPASFWAGRVVARTGCGGGGRLARCETGNAPPATVVQLVVHSPEGAQDLAAYSVSLVDGFNVPAVVSPQAIAGGGQCPALGCAADLNAGCPRSQRVVGAGGAVVACRGTADYFKARCPLTRTTGSDVEPVPQHCLAPGELKVVFCQPSMVAAAVPELIRTVVANI >ORUFI12G18090.1 pep chromosome:OR_W1943:12:19710309:19711010:1 gene:ORUFI12G18090 transcript:ORUFI12G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLQLAIAFVVVVVVLGAMAASAAAAVAPMLTMHNLCPYMVWPIVSPDSGSPPIADGIRLEGRGVGLRSLNLPAGFWSGRVVPRTWCRDGGRCDTGNAPPATVVRLSFNGAGGLAEYSVNLGEGFNVPTVVSPHAIGGGMCPALGCTADLNAGCAAGQRVYGGDTGGDVVACRGPASYFKQRCPLTRTGGGDVEPVPQHCISPGEIKLVFCQAAMVAGEPELIRTVDVADN >ORUFI12G18100.1 pep chromosome:OR_W1943:12:19718528:19721417:1 gene:ORUFI12G18100 transcript:ORUFI12G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENAKRLIGRRFTDASVQSDIKLWPFKVIAGPGDKSTIVVQYKGEEKQVAAEQIDDETISPSVCYADPYDGGLCASYADAKRLIGQRFTDASVQSDIMLWPFKVIAGPGDKSMIVVQYKGEEKQFAAEEISSMVLIKMREIAEAYLGTTIKKAVVTVPAYFNYSQRQATKDARVIAGLNVMRIINEPTAAAIAYGLDKKASSVGEKNVLIFDLGGGTFDEFKRKSKKDITGNPRPVGRLRTACEWAKRTLSPPLPRPPTIEIDSLYEGIDFYSNITCARFEELTMDLFRKCMRGYQDGQRAACTMFILVGGSTRIPRVQQLLQDYFNGKELCKNINPDEAVAYGAAVQAPILVWRRPEE >ORUFI12G18110.1 pep chromosome:OR_W1943:12:19727431:19728734:1 gene:ORUFI12G18110 transcript:ORUFI12G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSLPIFLDPPNWTQMQQQSLQCLIGGGGGSDHHHLMPPPSGLAPLPSAAGAADTAASAPAGGSSAAAATQQQRPAVVSMSERARLARVPLPEPGTLRCPRCDSTNTKFCYFNNYSLRATSARLXGLPSSAAAAAALEASLEGYHHHGHGHGHQLPFLQPPPFLQQGLHGYHFADGDVIAGGGALADGGFPRGVASGLLAQLASVKMEEHGTNNGGGGGVGGFVGAHEQYWHGGNGGGGGWPAEFLSGFSSSSSGNVL >ORUFI12G18120.1 pep chromosome:OR_W1943:12:19738156:19742904:-1 gene:ORUFI12G18120 transcript:ORUFI12G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRAEEEEGEAPPPEARAAAAAERVAAAVEAVAAGAAAGVGEYRNAYRRQLLALSRRIRLLGPFVEELRERRGEGEEEERALAPLAAALEAALALLRLGREGSRISLVLERDSVMKKFQGVILQLEQALCDIPYNELDISDEVREQVELVHAQLKRAKERIDMPDDEFYNDLLSVYDKNYDPSAELAILGRLSEKLHLMTITDLTQESLALHEMVASGGGQDPGEHIERMSMLLKKIKDFVQTQNPDMGPPMASRVLDSNGDSRPITIPDEFRCPISLELMKDPVIVSTGQTYERACIEKWIASGHHTCPTTQQKMSTSALTPNYVLRSLISQWCETNGMEPPKRSTQPNKPTPACSSSERANIDALLSKLCSPDTEEQRSAAAELRLLAKRNANNRICIAEAGAIPLLLSLLSSSDLRTQEHAVTALLNLSIHEDNKASIISSGAVPSIVHVLKNGSMEARENAAATLFSLSVIDEYKVTIGGMGAIPALVVLLGEGSQRGKKDAAAALFNLCIYQGNKGRAIRAGLVPLIMGLVTNPTGALMDEAMAILSILSSHPEGKAAIGAAEPVPVLVEMIGSGTPRNRENAAAVMLHLCSGEHHLVHLARAQECGIMVPLRELALNGTDRGKRKAVQLLERMSRFLVQQQEEQESQSQASAQVPPQATPEQVPENDIPEQLDSPASQYPMVV >ORUFI12G18130.1 pep chromosome:OR_W1943:12:19749297:19749584:-1 gene:ORUFI12G18130 transcript:ORUFI12G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASQKGRDPGDELAAERLADAGMIQFTALPEPRAPPPPAATAARARRWRCRVCQVECGGVEGFREHCMSDEHYAGLQLFALHSHLFTDRPNL >ORUFI12G18140.1 pep chromosome:OR_W1943:12:19751068:19751655:-1 gene:ORUFI12G18140 transcript:ORUFI12G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRVCGDDQAEAAPVRGGAGEDAVVVVVSGEGAASEAGAASTTAVAGDGVVVEASASVDLTGERGRRRDDEAPTTAAAVAEEEEASAPPDAAVAGDGDGDDEDGYVTPTSPRHRLQPPTVCPPAPRAARSAPTRLPAMRFEGALVMAASASASPPGRKRVQANPDSESDEVVVAFIRSLRQRLLPPEDEKKNPM >ORUFI12G18150.1 pep chromosome:OR_W1943:12:19755925:19757158:1 gene:ORUFI12G18150 transcript:ORUFI12G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWIRLNTFLTSDELFMNRNATARLNSWRRRKYQNIQVTDKSSIDELQFDRQSTVASCQGFPNRRGGGYCAPAEVTAIFILPYPRGKPGNRARPLNKKAAAGGFQGKLQKILRTSLAKAFLGHTMWPTQIYKKLGPPWPGPIRWSPCNGRVVKCICKLILPKLNCKCFCYMGALPGLTWKDDLSLSLVMI >ORUFI12G18160.1 pep chromosome:OR_W1943:12:19757648:19758688:1 gene:ORUFI12G18160 transcript:ORUFI12G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAARRGGGGRWLHAAWLALTGGAAELITAEEVAGGGGGAVRSGSRYELVSTEEPDGDETSWESNPGPASEAALFLVAREEDPKTTTTSSPESIFACDELRVSRPEFWRWPAKKGSGGDGEPAAAVESEPFLTRRRGAKRVNDAEMEDHPFSFGRHGRMESSSSAAAAALLLLSSS >ORUFI12G18170.1 pep chromosome:OR_W1943:12:19760294:19765113:1 gene:ORUFI12G18170 transcript:ORUFI12G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKRFDTQSPGCLEGLFNFLALNQRLQMPKAIAYRKHNEGSSNTLRVKVPKPKNRSENDESVPKGTNSPKAKVGTFIWRTLMFKKKTLKKDQKKSDSPANSPSSSRLTRSRSIHHSKCFEYVVPDELASQYHTMIESSSNEVDSFHSAPPLVQESPKVPNFQESCKSSGAKHSFNAEAPCETVPQSSNDETEAASKQKSRDAATHHSKEFMDFLELFNAHRELFLKILHDPSLLVPLENQDQEASSSGAVPLNKSDSFPRPGGSSGKRNPIFDRNDSENNRRSEIQKSPSRLKSDIETAKVIGTRMPDGVEGSSVSLTESKSLRKSGTTSNRFKAIRKKIKAAVKENRKELARITKDGVFHKLPYGQKMAGFMKSPSTDKYVQEEKQMRKSYSIAESIDKYSTLYESISRDPKISPERPSTMFEGDAKLKDKKPPLSMKRIASLPEMRLYSPQRDVLSEVSDSQIVPKTHDLESGCFSSQQTDPFSICTDGSFYPDDITERTADIYSEHNYGESALLGSLEEDLRSILRSPSLPSVVQSFSHRRINSLPSFDRSFFQDRVTNVTEHSIADSEPTFEHMQLEDDDWLVKPPHPPGPYDASLKDDEWLVRPLKSSGADTIDHEDEEWLVSTSQLPGGNAADFEDEEWLVKPVQSSSADALDSEFQFIHEFAEDAGSLHIYVNDKNEADFHYVKDILKKSGFSCGEADWYASNQPLSPVIFEEAEFSCQETYTANDDPHSVVRRMLLFDLINEVLMDIYDSSLVTGPWHSRFDSRTRPIPMGSHVLGEVWGKVSYNLSLQWKPDLTVEDVVAHDVMMKDRWMNLVYDAECLALDLEDMVVDDLLDDIVLQIVLISIDA >ORUFI12G18180.1 pep chromosome:OR_W1943:12:19787432:19788503:1 gene:ORUFI12G18180 transcript:ORUFI12G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGSCNCGSSCKCGSGCGNMYPDLAEKTTNTSATMVLGVAPAKEQFEGVGKAAESGEAAHGCSCGSSCKCNPCNC >ORUFI12G18190.1 pep chromosome:OR_W1943:12:19795680:19798408:1 gene:ORUFI12G18190 transcript:ORUFI12G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSITSLQETQTIKMSCGGSCNCGSCGCGGGCGKMYPDLAEKITTTTTTATTVLGVAPEKGHSEGVGKAAESGEAAHGCSCGSSCRCNPCNC >ORUFI12G18190.2 pep chromosome:OR_W1943:12:19795680:19798411:1 gene:ORUFI12G18190 transcript:ORUFI12G18190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSITSLQETQTIKMSCGGSCNCGSCGCGGGCGKMYPDLAEKITTTTTTATTVLGVAPEKGHSEGVGKAAESGEAAHGCSCGSSCRCNPCNC >ORUFI12G18190.3 pep chromosome:OR_W1943:12:19789538:19796029:1 gene:ORUFI12G18190 transcript:ORUFI12G18190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGSCSCGSCGCVGCCGKMYPDLAEKNTTITTSDTMILGVAPEKGRGEAVFEAAAGSGEADHGCSCGSSCKCNPCNC >ORUFI12G18200.1 pep chromosome:OR_W1943:12:19798865:19801183:1 gene:ORUFI12G18200 transcript:ORUFI12G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMLGDLDGLPEEDKMRMAAMVDQLQIRDSLRMYNSLVERCFTDCVDTFRRKTLDKQEESCVRRCAEKFLKHSMRVGMRFAELNQGVATPD >ORUFI12G18210.1 pep chromosome:OR_W1943:12:19802761:19803519:-1 gene:ORUFI12G18210 transcript:ORUFI12G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKAAKSKSKSKSGGHGHDAAAASKKSKGGKAKANNAAAAAPATSLDALFRPCGDVKGLRFGAQLVTRALTVRRAAPLELPHLLRVADERQREAPLAFAPTTTAYIPTNFAILAHHAWHTLTLGLGTRNSKAAVFVFESAAMKAAADAAWPQVVPLGDAGRRLIRAAPGAPEMARFKFRKGCVTFYVYAARTAGARGFARADELRAVVEAVAKLKDFLDHTAMLALPGQRSIDVAAAADAAAAAPVGVVH >ORUFI12G18220.1 pep chromosome:OR_W1943:12:19806665:19807108:-1 gene:ORUFI12G18220 transcript:ORUFI12G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACVRRPAGRRRGGGGPAGATAATAPVVRHRPAGVGVRPTPTGGAAARARRPVGDERPRGAARRRRLGGEAAAHHRRPEEELIVRRRHLLQQLPRRQRQHGGVDDAASCTRVSVVAGDEDDVDEEEEEDGGGRDSKAVVAHRVAAG >ORUFI12G18230.1 pep chromosome:OR_W1943:12:19808945:19809358:1 gene:ORUFI12G18230 transcript:ORUFI12G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGVLPLFVLVAGVLIVAAGAGTATDDAAGRRHRRVVGVDDDVDVAAALMDHDHHPQRRRRLEDEVVVETELPVVDGGGGGLAVRARRHYGGGGGFSYGCLSRARPACPRAGGCAARGRPYTRPCTYGNRCGHRRP >ORUFI12G18240.1 pep chromosome:OR_W1943:12:19812751:19813203:1 gene:ORUFI12G18240 transcript:ORUFI12G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSDRRPAGLVAQLLLITLAALLILLLTTVAAAAPAAGGGYSARVVIVAGKKSSGSGATATAHRRVVVDDLAGMMAAALGRQRLEDVVAPEEELLIPGGLVVGAGGGGGGYGALEANQPACPRGGCAGKQPGGPYTRPCTYGNTCFRPS >ORUFI12G18250.1 pep chromosome:OR_W1943:12:19814994:19815359:1 gene:ORUFI12G18250 transcript:ORUFI12G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVVPAAAAVSAQLAAALLLLHAVTVVVVAGGGGSEVAVDRYAAAGAMLLLPRRRRQQLEDEVVFPAAMAVVGAEQLQQGGSFSGLTANKQVCLQGHSCAAFAMPYTGHGCIYRNNCKQ >ORUFI12G18260.1 pep chromosome:OR_W1943:12:19821489:19821890:1 gene:ORUFI12G18260 transcript:ORUFI12G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLDLPSPPPRAVVILAAALAAAVATGGVEAAGNMYASSARATTTSSSWGSYAGGAMHGAEMALAAAAAVEDEVAPEFFPVGLVVGDGHGSYFDGLKRDQPFCPPHKTCAANGGTPYTPGCLTIYQCNNGRG >ORUFI12G18270.1 pep chromosome:OR_W1943:12:19827668:19836849:1 gene:ORUFI12G18270 transcript:ORUFI12G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYNVEAAEILANEAQLLPIGEAAPIYEKLLSTFPTAAKYWKQYVEAYMSAKDDEATKQIFSRCLLSCLQINLWRCYINFIRRVNDKRGSDGLEETKKAFDFMLNYVGNDVASGPVWMDYIAFLKSMPVVTPQEESHRMTTVRKVYQKAILVPTNHVEQLWKDYENFENSVSRTLAKGLLSEYQPKFNSAKAVYRERKKYIDDIDWNMLAVPPTGSYKVINANDQYLTGRGNPQRIDATTANRRVTFTFEQCLMYLYHHPDIWYDYAMWHAKNGSVDSAIKIFQRAVKALPDSGVLKYAFAELEESRGAIQPAKAIYESLIAENAGMTSLAHIQFIRFLRRTEGIEAARKYFLDARKLPGCTYHVYVAYATMAFCLDKDAKVAQSVFEAGLKRFMHEPGYILEYADFLCRLNDDRNVRALFERALSLLPPEESIEVWKRFAQFEQTYGDLSSMLKVEQRRKEALSRTSEDALSALENTLYDVVSRYSYMDLWPCSTKELDYLSRHEWLAKNIANRGDKSVVLTGGATLDKGDIRVGSNKKSFPQSSKVVRPEISRMVIYDPRQMKGPDFSTTASGYTKEIDEILKRLSPQMMSFITNLPAIEGPSPDMDIVLSVLMQSTLPVGDKPGSQVPGPATSDLSGPGKSGLNQNGSIHRPPRDGQPTKRKNSERGRAQEEDDTSTTVQSRAMPRDIFRLRQIQRNRGLGPSQSGSAALSSGSVFSGDQSASSG >ORUFI12G18280.1 pep chromosome:OR_W1943:12:19837444:19838823:-1 gene:ORUFI12G18280 transcript:ORUFI12G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPMRERQRWRPEEDAILLAYVRQYGPREWSLVSQRMNRPLHRDAKSCLERWKNYLRPGIKKGSLTDDEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQRELRDRDRRRLPPPLDGDERGGAGGRYDWLLEDFADKLVNDHHRRMMAAPILPPWMSSSPSSSSSPSVTLSLASAAVAPAPAAPPPTWGGGGGGEVVVAELMECCREMEEGQRAWTAHRKEAAWRMKRVEMQLETERACRRREAAEEFEAKMRALREEQAAAVERVEAEYREKMAGLRRDAEAKEQKMAEQWAAKHARLAKFLDQVAACRRWPPVEINGGGGRGPGGGR >ORUFI12G18290.1 pep chromosome:OR_W1943:12:19857787:19860778:-1 gene:ORUFI12G18290 transcript:ORUFI12G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAGYFSFTRKVGGGYDPTKVQNQSIIHFFQSFNTQFLGTPPSHRPPAGLQPAVRLQHCRAPASVRHVHPTTSSGAHIRAAVAQRVPQWSSRSHLLHLGRADVPTAVRQCVPVAIVQIVPKITRLGAFGEWSTKSRIDPDGRIKRCRGPTKLANIENLPEGVKIIVKLDRFNIPCSQSAIVLGSYLGTLVRKPHLAPLNILQWNHKLYKRAYHPKMISEVELDGKWRQYKSKLKRGYYKPNLPMERVLQTVPKIVAKSQWATLVSYWYLEDSKNEVYMKLAEKRVDCQELSEADFEQAMLEVLGKDHRGRVRGMGPTITPTNYYGRRFLNISGSNEGNGSSNVNGFISFIVSYLTEKYPEDNLISRLPPSVARVIPRQ >ORUFI12G18300.1 pep chromosome:OR_W1943:12:19865845:19868390:-1 gene:ORUFI12G18300 transcript:ORUFI12G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYRSPPRRGYGGRGRSPPRRGYGGRREQGSGSLLVRNIPLSCRGEDLRVPFERFGPVRDVYLPKDYYSGEPRGFAFVEFVDPYDASEAQYHMNRQVFFGREITVVLAAESRKRPEEMRSRARVRGYSGNEGRRSSYYGHTLLLQDGEMTTQLPHRERIRTPQNLLGVSQKNMMKRRSGDPTLLPVEMATLAMLITVMRRGRPHLTAMDPLHTGGLLGTPQGRLQDPALGPPMFPLPAATDRTLNQRNGIAA >ORUFI12G18310.1 pep chromosome:OR_W1943:12:19871940:19876151:1 gene:ORUFI12G18310 transcript:ORUFI12G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRGVGGRLAALASKVDSKIYYLKDVLVEYMDMKNVVAEIAEERERFQLEQRGNDALLEAMKEELVAANNELEAAKEEISRKNNELESVKKQLQESEARNIQAEQQSGIVVELMQPRGVQTRSMQKRKRPLQGPSGCEADDQEYTSQINVQSPRCLESMRTPDVKKRSVQKQKHLSQGLPGDPGELQLMEGHLSDPNAGEASGALVSKDDDLEAVREELIKGFLDIDNGGRKLGIKEMGQLNEKVFQIACLAKLPPEEVGEASYELYSSWQKQLSDLSWNPFKTITVDGNGKEIVNVDDEKLQELKRDYGEGAHKAVMNALMEMKEYNVLADRSIAYELWNYKDGRKATLRECVEYVCNQVKQLTVTKRRKSRRW >ORUFI12G18320.1 pep chromosome:OR_W1943:12:19878655:19880235:1 gene:ORUFI12G18320 transcript:ORUFI12G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHGSAAALRATGRCLAPLIIPASCVVWVLFFFPSPSPDVAVRRDGFLPAVTLPVQRAGDTPPPPPIIDASPPPPSTSPPPPPPRRGRPARRDRCAGRYVYMHELPSRFNSDLLRDCRTLSEWTDMCRHVANGGIGPRLPPAARGGVLPATGWYDTNQFTLEVIFHARMRRYGCLTADASRAAAVYVPYYPGLDVGRYLWGFSNGVRDLLAEDLAEWLRGTPAWAAHGGRDHFLVGGRIAWDFRREDGGGEGSQWGSRLLLLPEAMNMTALVIEASPWHRRTDVAVPYPTYFHPWRPSDVSSWQRDARRARRPWLFAFAGAGRGNGDDHDRHHGGGVVRDRVIAQCARSRRCGLLRCGARGRRDDCYDPGNVMRLFKSAAFCLQPRGDSYTRRSVFDAILAGCVPVFFHPGSAYTQYRWHLPRDHAAYSVFVPEDGVRNGTVRLEDVLRRVSAARVAAMREQVIRMIPTVVYRDPRAPSARGFTDAIDVAVDGVIERVRRIKQGLPPGGDDDDDHRWDAYFDTQ >ORUFI12G18330.1 pep chromosome:OR_W1943:12:19882268:19887209:-1 gene:ORUFI12G18330 transcript:ORUFI12G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAKAAAREDKAAAAATGKETAVSRAVAEDDTALLLAAVGSFRKEAMGRLRKGSDASRMLDQEMSTRLLHLACKHDAVQCARLLLEGGHGITASPVDARDQLTRTPLQVAAEAHSARCIELLLSKNARTDLKLVDGRPLVALEIALLSRRAQVKWSLDNSIEDLLSSLQEKDLNAVRLLAEKTREVGEVAYRYAMEGRVTVLAMLLLVAEEKISAPVSVVIEGVRTKKSIYYSIVDEALSIGDAPARDSNERRKALLSEIQLLNQFGAALWRDRNIDKRSLPPLLKAAKVGDVNVTKMLLMGDVDVNEADPEGNTALHWCLSGSSSTQEPRIVWLLLKNGARVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDTPSKTKETPLFLAVKNGSLDCVKLLLRSGASTKVQNLRWVIFGFKKNMLLFDDLMHLPSFDPLTLNRKQRPIDVATSQDMRFILTSANVAPWNRSSHPKKSVTNKESCKEFLEDFGDYDSDDLNESFTGLKTSASHRDFRSSNGSAQGGKSKNHCAPKQGSKFVPRPNHWPKHDYTRKIFVGGLPPSVGAEYLTEFFTAEFGPVEEAVVIGIRMGDRVQSRGFGFVKFKREEDVISAKETHHVYMLGKRVEVKDAVARGSLPAEIQKIAPFRHHSQEVPKVTHHLLDGELKEEHYIRKRRPLPEKCLPSWFFIFRKWLPGFLADATERLGDRYPLSSLKGDFRAICRMELDHGTLGYPKLSDFMRSLPGICRMCVVPVGSGPATHMVLLPPVSRPKYVPLLEPFSFDHDELPESVSDHQSPRSPLTTNITEDSPHNTDSQQGDTCSESNVQSHQGDECCGSNTESQQDSASTDNGSLLGEVTVSTPKPDSIESIPTGKSDLIELVPTRKPHLIDTVTTRKPDLIVTEPTRKPIVIEPVPTRKPSVIEPVPTRKPMAIEPVVPTRKPIVIEPVPTRKPIVIEPVPTRKPIVTEPLPTRKPTVIEPASLTQKIVSEPMRKTDLFESGLARRIGLIGSRPTTCFVDCPVERPAVTPSNCEADMRFSFFQSQWDRFLAPYPKSDYCIICRSYDAVMQLVPCLHKICVACMMRCNVRACMTCGTAGVMERASDQRCQLMVVCRGAEAIVRCSPCMHSIACRGCFLASVTLLKGCTTCGCMIEHFKFC >ORUFI12G18340.1 pep chromosome:OR_W1943:12:19891019:19891474:-1 gene:ORUFI12G18340 transcript:ORUFI12G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACRPVVGHGRPTVAEGDLAAMHIVVDLAMDFFSPTVMESLMLEDKAMRLEILEVSNEECRREEEARGIEKAATASCLPQLLERVARGGDNHCYNGQWTCLFPVDLHNHYRRLCMLGLERLVAWWLCLGPDLLQRYIIDATGAVSRSHPT >ORUFI12G18350.1 pep chromosome:OR_W1943:12:19892784:19900201:1 gene:ORUFI12G18350 transcript:ORUFI12G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTDEIVQVDVLERHLLAGLSPDDYKGISEDEILYDASFEATEDKFVKYQITWWILLSVLLILAWGVGLLMLLYLPIWIYVCRKDFRSRKLCLTPHAIVYKVTRPATFPCFGVLRNEKHVVLHSVSDIVVEQGYLQSLFGIYSIRFENIGVRKPSSDDIKITGISHPHDFRKAVLVHLLNTSNLNLSRKAYVHDDQQSTSSKPITMSSVPPLGDLILEKLDEVEISVKGLTMGFIGSTIRPTDSNIFSGTSPLTVDLGCHILKR >ORUFI12G18350.2 pep chromosome:OR_W1943:12:19892915:19900199:1 gene:ORUFI12G18350 transcript:ORUFI12G18350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTDEIVQVDVLERHLLAGLSPDDYKGISEDEILYDASFEATEDKFVKYQITWWILLSVLLILAWGVGLLMLLYLPIWIYVCRKDFRSRKLCLTPHAIVYKVTRPATFPCFGVLRNEKHVVLHSVSDIVVEQGYLQSLFGIYSIRFENIGVRKPSSDDIKITGISHPHDFRKAVLVHLLNTSNLNLSRKAYVHDDQQSTSSKPITMSSVPPLGDLILEKLDEVEISVKGLTMGFIGSTIRPTDSNIFSGTSPLTVDLGCHILKR >ORUFI12G18350.3 pep chromosome:OR_W1943:12:19892915:19900199:1 gene:ORUFI12G18350 transcript:ORUFI12G18350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTDEIVQVDVLERHLLAGLSPDDYKGISEDEILYDASFEATEDKFVKYQITWWILLSVLLILAWGVGLLMLLYLPIWIYVCRKDFRSRKLCLTPHAIVYKVTRPATFPCFGVLRNEKHVVLHSVSDIVVEQGYLQSLFGIYSIRFENIGAVLVHLLNTSNLNLSRKAYVHDDQQSTSSKPITMSSVPPLGDLILEKLDEVEISVKGLTMGFIGSTIRPTDSNIFSGTSPLTVDLGCHILKR >ORUFI12G18360.1 pep chromosome:OR_W1943:12:19910098:19912317:1 gene:ORUFI12G18360 transcript:ORUFI12G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQAHPPSPSPAQPSPNHPSPAHPAADXEDDPSLFLYLSDLAPVSPSAYLDLPPSPPPPTTTATTMVKEGEEAPEDLVLPFISRMLMEEDIDDKFFYDYPDNPALLQAQQPFLEILSDPSSNSRSSNSDDPRLSPTSSSDTSAAINSYDAAATATAVAAAAVPVPQYESIELDPAAFFAAANSDLMSSAFLKGMEEANKFLPTENKLIIDLEASSENNYLRGLEEAKRFLPSDDKLQVGFAAAAAPVVSVKKEAVDVVVATASGGGGRGRKNPYDDEELELEGGRSSKQTAVQGDDVAARAMFDKVMMPSHENCTEMMEKLRIAMKEEAAKNEASAGGKGGNGKVKGGRRGGRDVVDLRTLLIHCAQAVATDDRRSATELLKQIKQHAKPTGDATQRLAHCFAEGLQARIAGTGSLVHQSLVAKRTSAVDILQAYQLYMAAICFKKVSFIFSNQTIYNASLGKKKIHIVDYGIQYGFQWPCFLRRISQREGGPPEVRMTGIDLPQPGFRPTERIEETGHRLSKYAQEFGVPFKYNAIAAVKMESVRKEDLNIDPDEVLIVNCQYQFKNLMDESVVIDSPRDIVLSNIRKMQPHVFIHAIVNGSFSAPFFVTRFREALFFYSALFDVLDATTPRESEQRLLIEQNIFGRAALNVIACEGIDRVERPETYKQWQVRNQRAGFKQLPLNPEIVQVARNKVKDCYHKDFVIDIDHQWLLQGWKGRILYAISTWTPNDALSYF >ORUFI12G18370.1 pep chromosome:OR_W1943:12:19919105:19920100:-1 gene:ORUFI12G18370 transcript:ORUFI12G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPSSSAPPRPGAGVATMAAGRQGRGDGDGAVSARSWAQRSRCRLSAAPATASPDLVSPLGRGDRRRARSSPAPLRGPSRGVASSGDGGGCGGDEGITGESLAEPFGWLTTATPFGVVPLLGGVHTPFLSLPYSPGENLASVPNEWWRRSTSHPPWGHRFGETSSCKDIVIGLCIGFELQS >ORUFI12G18380.1 pep chromosome:OR_W1943:12:19926286:19926474:1 gene:ORUFI12G18380 transcript:ORUFI12G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCMDDKWKLTKKGSRRLEEGRASRGPSRSVPGRLASLVKEQRARFYIMRRCVTMLVCWRD >ORUFI12G18390.1 pep chromosome:OR_W1943:12:19927224:19935923:1 gene:ORUFI12G18390 transcript:ORUFI12G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGSGDESAAAAAAATSTDPGRGRKRPPSPSTPTPSDDGEDSDDGWAVSDSGSEEEEEEYDDEEEQEGMHRPFTVDDFPRLSSDHSVQTDALYDIPHLRLRGPSPLSLFRAFNDPLTDKRMHCTSLDCIITHLLVGMACLPLTSPARGICITSRALFEFQLCIRTEDSPEAEDEPIVQATVDVEIIHSPACGLNLKLYAKTSGFSDVIRLFRGVAQSGRRIRSLVAVVRRSHLDLCIEGSPADIGLGEKLPCVRWEHKFGAGFHRTADEVVKLGDFTTISLHGKLSTSIRRRRDRGASICNGLWERSPAVAAVAAAEAEAVLRRNGGRWRRRRGCTAHLRLMIFQGLVVIILRRLKRGIDFQIFAFKALCHSFFSVPSTILSLTNAGIVNVNSARTVDCLNGCRCHSMNLLQLIDLKISGYRHTQPGRAKIFGFFAVRDDLEPLRNYVFRHAIDNYEAVSVKPKTLEVFALQAVHCLNSNSVFGLKTHLRLRDEPKPKGGTLIEGCTEFTNILRSTSFTETVRLYGEKCGLGVKFLLLVNAVQATVDVEIIHSPACGLNLKLYAKTSGFSDVLRLFRGVAQSSHKISSVVAVVRRSHLDLCIEGSPADIDLGEKLPCTRWEHRFGAGFHGTVEEVVKLGDFTTISVKVTWKAVSKLPP >ORUFI12G18390.2 pep chromosome:OR_W1943:12:19937621:19944558:1 gene:ORUFI12G18390 transcript:ORUFI12G18390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGGGDESSAATPDPGRGKKRPPSPSTPPTPSDDGEDSDDSWAVSDEEDEEEEEDEEDQEGKHRPFTVDDFPRLSSDHSVQTDALFDIPHLRLGGPSPLSLFRAFNDPLTDKRRHWFGSYYRLDDESEISVDSAGAVDCLNGCRCLSKNLLQFIDLKISGYRHTQPGRAKIFGFFAVRDDLEPLRNYVFRHAIDNYEAVSVKPKTGMACLPLTSPARGICLTSRALFEFQLCIRTEDSPEAEDEPKGCTEFTNILRSTSFTKTVRLYGEKCGLDLKFALLVNAVQATVDVEIIHSPPCGLNLKLYAKTSGFSDVIRLFQGAAQSGHRISSVVAVVRRSHLDLCIEGSPAGIGLGEKLPHVRWEHRFGAGFHGIEDEVVKLGDFSTISVKVTWKAVGKRPAPKGYSDTSHPSKLGYIPLRITSELQHKPEGEGGRARAGREILELDGWIVDRGAVDPSRWSHSRGRGRDETKRRRSREAIAANKTAGWSSSSSAAAIGGRASARARDDTMDGWS >ORUFI12G18390.3 pep chromosome:OR_W1943:12:19933153:19944558:1 gene:ORUFI12G18390 transcript:ORUFI12G18390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGGGDESAAAAMADPGRGKKRPPSPSTPPTPSDDGEDSDDSCAVSDEEEEEDEDEGEEDQEGMHRPFTVDDFPRLSSDHSAQTEARYRFPDLRLQGPLPLILFRAFNDPLTDKRRHWFGSDYRLDDESEINVNSARTVDCLNGCRCHSMNLLQLIDLKISGYRHTQPGRAKIFGFFAVRDDLEPLRNYVFRHAIDNYEAVSVKPKTGMACLPLTSPARGCTEFTNILRSTSFTKTVRLYGEKCGLDLKFALLVNAVQATVDVEIIHSPPCGLNLKLYAKTSGFSDVIRLFQGAAQSGHRISSVVAVVRRSHLDLCIEGSPAGIGLGEKLPHVRWEHRFGAGFHGIEDEVVKLGDFSTISVKVTWKAVGKRPAPKGYSDTSHPSKLGYIPLRITSELQHKPEGEGGRARAGREILELDGWIVDRGAVDPSRWSHSRGRGRDETKRRRSREAIAANKTAGWSSSSSAAAIGGRASARARDDTMDGWS >ORUFI12G18390.4 pep chromosome:OR_W1943:12:19933181:19935923:1 gene:ORUFI12G18390 transcript:ORUFI12G18390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGGGDESAAAAMADPGRGKKRPPSPSTPPTPSDDGEDSDDSCAVSDEEEEEDEDEGEEDQEGMHRPFTVDDFPRLSSDHSAQTEARYRFPDLRLQGPLPLILFRAFNDPLTDKRRHWFGSDYRLDDESEINVNSARTVDCLNGCRCHSMNLLQLIDLKISGYRHTQPGRAKIFGFFAVRDDLEPLRNYVFRHAIDNYEAVSVKPKTLEVFALQAVHCLNSNSVFGLKTHLRLRDEPKPKGGTLIEGCTEFTNILRSTSFTETVRLYGEKCGLGVKFLLLVNAVQATVDVEIIHSPACGLNLKLYAKTSGFSDVLRLFRGVAQSSHKISSVVAVVRRSHLDLCIEGSPADIDLGEKLPCTRWEHRFGAGFHGTVEEVVKLGDFTTISVKVTWKAVSKLPP >ORUFI12G18390.5 pep chromosome:OR_W1943:12:19933153:19935923:1 gene:ORUFI12G18390 transcript:ORUFI12G18390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGGGDESAAAAMADPGRGKKRPPSPSTPPTPSDDGEDSDDSCAVSDEEEEEDEDEGEEDQEGMHRPFTVDDFPRLSSDHSAQTEARYRFPDLRLQGPLPLILFRAFNDPLTDKRRHWFGSDYRLDDESEINVNSARTVDCLNGCRCHSMNLLQLIDLKISGYRHTQPGRAKIFGFFAVRDDLEPLRNYVFRHAIDNYEAVSVKPKTLEVFALQAVHCLNSNSVFGLKTHLRLRDEPKPKGGTLIEGCTEFTNILRSTSFTETVRLYGEKCGLGVKFLLLVNAVQATVDVEIIHSPACGLNLKLYAKTSGFSDVLRLFRGVAQSSHKISSVVAVVRRSHLDLCIEGSPADIDLGEKLPCTRWEHRFGAGFHGTVEEVVKLGDFTTISVKVTWKAVSKLPP >ORUFI12G18400.1 pep chromosome:OR_W1943:12:19946476:19950170:-1 gene:ORUFI12G18400 transcript:ORUFI12G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKKAARARVREGARGKPNKTTTPSSSPRFARARVSLRPKLAQQIDLDRSTDPPARSLLFIGDEDDDLEADRDGGSTPSSDPGSFSDRSDPPSIDDIDEDDDDVVGDGRRAPRDDDDDQRGTWPQSFRQSIDMMSAVPSPAMSSIITAASPNLGRLAAVGSSLLKRATSSAVGQEGSSLPLSRPLLPPSSLSQLSTASGPPVRDSADSLPPRARPPPPPLQGESVVPPPLPRPSSACLRSNYIDLPPPSTRCGQKQAILNGLNVLCGVGILTTSYGIKQGGWLSLILLPLLGCCSCYTGLLLKKCIDSSPSIDTYPDIGQAAFGIYGRIFVSACGVEYITLLGDSLSSVFPSADLAFGGIYLNAHNLFAITMALAILPSVWLKNLRLLSYLSAGGVIATTTVIVCLFWVGIGEGVGFHPGGTALNLTHFPVALGLYGYCYSGHSVFPNIYSSMEERPKFTFVLLFCFIVVTFVYAGVAVAGFLMFGESTMSQFTLNMPQQFIPSKIAIGMTIINPYTKYALTLTPVALSIEEALPRRMQTYQVGMCVRTALVASTVVVALTFPYFALVMALLGSVFTMLVALILPCACYLSIKKGSTPLWEVVLCITIILLGILCACVGSYTSVSQMISR >ORUFI12G18410.1 pep chromosome:OR_W1943:12:19960646:19961093:1 gene:ORUFI12G18410 transcript:ORUFI12G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQGGSRPLDQFFDRMETMSELGFVGSDVDDGEHGGGGAAPSMWDNLAGGGGGSAVAATTPANLLQQQYVLSNLLC >ORUFI12G18420.1 pep chromosome:OR_W1943:12:19961658:19971269:1 gene:ORUFI12G18420 transcript:ORUFI12G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGGGRPPASRRRRRKPRGGRMERVSTPARLRRPPRLDAAEWFDIPGEHMSAPDEKAPTEVAGMPFSWDMQSEAAKYFGNFDTEIQASCQTQEPSYRAIYGSCNSGQMIGLGDSQYKLAGSSSSQSLYSDNFSDATGFNQLKLQKQTSADGNTESWSELNRDAIGGLSGKGSPLAKSGKQLEQRMAKALSAQPYHPVFPVTQGVSSSNSSSQHVVSSSGEAKYIGNSGQEMQVACETAKEPSHRALYGSKNPYQLTGLSDLRDKIIASSSFEGVNTGSLTSTLSGMNLPTNSAKENTEYNQLKLQKQIDGYQRFESGSGLNRDDAGDITVTGVPQQVQVGQNMVNALSAKPYHPVFSVSHGTSASSSSQHPYMARELLEHPEYNPRMHRTHPSLQPTIEAASTSMTSHVKEPCYQENVGDSNPGMSLLRSTAVSSRDVENKSPNLGDRVHADVSEIYSTLDHQTSANSMQIQYAPQVVRQPSQQSLHADVTETYSAFGHQSAASNIQRQFAPRVTRQASHRSLYESSNSSLWTGPHDDSQMELFRPSSSRRISTNVISGELPRINLQSETMMGNADFNQQNLRRQISLRENTESHSFGTYNELPRTSSFLRRQSSESSQLNSASVKCSPGSGSSSTMMGPPRPFNTVSGGASSLLGSPLSSMSGGSSSGGNPDHQGTVLSSIYQPDGYNINSTLPLRMRTHAREAYATSVLPQATRRSHQVNFDSAERRSQYVQQRGLDDTINSNMLSARQQFQRQVERQLQRQLERQLQRQLERQLQRQVQRQLQRHHERAAASEAHPFYGNDETSVRMNPTEEFLDIVKDHEAFFLSFYHPSRIPARVMNTVDQCYGVDMEREMPHQESHPTPGCGTELSHKNDTSGFERSDVDVAHIHDSKRLNNEELNALLLHRKFSSLNKGNYRLFHIEGHVLQCSIDQCGSRFIQQKLPTATPDEKLMVFKEIMPHFLEMVTDVFGNYVLQKMIEHGAPFQRREITACLFGSVSSLSCQLYGCRVVQRIWRQLEMERSKMAVIAVEGWRRRKYEVVESNFSLAQEAVELSDLDQKIQIAKELNSNIMKCIHDPNANHVVQKCIEHVPPRFIQFFVESMYGRVVELSVHPYGCRVIQRILEYFDSSIQEIFLEEIIEEVYYMAKDQYANYVVQNILQHGKALVRSAIIKKFIGRVVAMSKQKFASNVIEKCLIFGSYDEKQKIINEVIGTTDLVRSGETEALVVMVNDQYANYVVQKVIETCDEWQRKLILRRLRAHHSLLHDCTYAKHVVARLDRLIDIGERKMANPRRPRRHGKDPVPPLT >ORUFI12G18420.2 pep chromosome:OR_W1943:12:19961698:19971269:1 gene:ORUFI12G18420 transcript:ORUFI12G18420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGGGRPPASRRRRRKPRGGRMERDIPGEHMSAPDEKAPTEVAGMPFSWDMQSEAAKYFGNFDTEIQASCQTQEPSYRAIYGSCNSGQMIGLGDSQYKLAGSSSSQSLYSDNFSDATGFNQLKLQKQTSADGNTESWSELNRDAIGGLSGKGSPLAKSGKQLEQRMAKALSAQPYHPVFPVTQGVSSSNSSSQHVVSSSGEAKYIGNSGQEMQVACETAKEPSHRALYGSKNPYQLTGLSDLRDKIIASSSFEGVNTGSLTSTLSGMNLPTNSAKENTEYNQLKLQKQIDGYQRFESGSGLNRDDAGDITVTGVPQQVQVGQNMVNALSAKPYHPVFSVSHGTSASSSSQHPYMARELLEHPEYNPRMHRTHPSLQPTIEAASTSMTSHVKEPCYQENVGDSNPGMSLLRSTAVSSRDVENKSPNLGDRVHADVSEIYSTLDHQTSANSMQIQYAPQVVRQPSQQSLHADVTETYSAFGHQSAASNIQRQFAPRVTRQASHRSLYESSNSSLWTGPHDDSQMELFRPSSSRRISTNVISGELPRINLQSETMMGNADFNQQNLRRQISLRENTESHSFGTYNELPRTSSFLRRQSSESSQLNSASVKCSPGSGSSSTMMGPPRPFNTVSGGASSLLGSPLSSMSGGSSSGGNPDHQGTVLSSIYQPDGYNINSTLPLRMRTHAREAYATSVLPQATRRSHQVNFDSAERRSQYVQQRGLDDTINSNMLSARQQFQRQVERQLQRQLERQLQRQLERQLQRQVQRQLQRHHERAAASEAHPFYGNDETSVRMNPTEEFLDIVKDHEAFFLSFYHPSRIPARVMNTVDQCYGVDMEREMPHQESHPTPGCGTELSHKNDTSGFERSDVDVAHIHDSKRLNNEELNALLLHRKFSSLNKGNYRLFHIEGHVLQCSIDQCGSRFIQQKLPTATPDEKLMVFKEIMPHFLEMVTDVFGNYVLQKMIEHGAPFQRREITACLFGSVSSLSCQLYGCRVVQRIWRQLEMERSKMAVIAVEGWRRRKYEVVESNFSLAQEAVELSDLDQKIQIAKELNSNIMKCIHDPNANHVVQKCIEHVPPRFIQFFVESMYGRVVELSVHPYGCRVIQRILEYFDSSIQEIFLEEIIEEVYYMAKDQYANYVVQNILQHGKALVRSAIIKKFIGRVVAMSKQKFASNVIEKCLIFGSYDEKQKIINEVIGTTDLVRSGETEALVVMVNDQYANYVVQKVIETCDEWQRKLILRRLRAHHSLLHDCTYAKHVVARLDRLIDIGERKMANPRRPRRHGKDPVPPLT >ORUFI12G18420.3 pep chromosome:OR_W1943:12:19961698:19971269:1 gene:ORUFI12G18420 transcript:ORUFI12G18420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGGGRPPASRRRRRKPRGGRMERDIPGEHMSAPDEKAPTEVAGMPFSWDMQSEAAKYFGNFDTEIQASCQTQEPSYRAIYGSCNSGQMIGLGDSQYKLAGSSSSQSLYSDNFSDATGFNQLKLQKQTSADGNTESWSELNRDAIGGLSGKGSPLAKSGKQLEQRMAKALSAQPYHPVFPVTQGVSSSNSSSQHVVSSSGEAKYIGNSGQEMQVACETAKEPSHRALYGSKNPYQLTGLSDLRDKIIASSSFEGVNTGSLTSTLSGMNLPTNSAKENTEYNQLKLQKQIDGYQRFESGSGLNRDDAGDITVTGVPQQVQVGQNMVNALSAKPYHPVFSVSHGTSASSSSQHPYMARELLEHPEYNPRMHRTHPSLQPTIEAASTSMTSHVKEPCYQENVGDSNPGMSLLRSTAVSSRDVENKSPNLGDRVHADVSEIYSTLDHQTSANSMQIQYAPQVVRQPSQQSLHADVTETYSAFGHQSAASNIQRQFAPRVTRQASHRSLYESSNSSLWTGPHDDSQMELFRPSSSRRISTNVISGELPRINLQSETMMGNADFNQQNLRRQISLRENTESHSFGTYNELPRTSSFLRRQSSESSQLNSASVKCSPGSGSSSTMMGPPRPFNTVSGGASSLLGSPLSSMSGGSSSGGNPDHQGTVLSSIYQPDGYNINSTLPLRMRTHAREAYATSVLPQATRRSHQVNFDSAERRSQYVQQRGLDDTINSNMLSARQQFQRQVERQLQRQLERQLQRQLERQLQRQVQRQLQRHHERAAASEAHPFYGNDETSVRMNPTEEFLDIVKDHEAFFLSFYHPSRIPARVMNTVDQCYGVDMEREMPHQESHPTPGCGTELSHKNDTSGFERSDVDVAHIHDSKRLNNEELNALLLHRKFSSLNKGNYRLFHIEGHVLQCSIDQCGSRFIQQKLPTATPDEKLMVFKEIMPHFLEMVTDVFGNYVLQKMIEHGAPFQRREITACLFGSVSSLSCQLYGCRVVQRAVELSDLDQKIQIAKELNSNIMKCIHDPNANHVVQKCIEHVPPRFIQFFVESMYGRVVELSVHPYGCRVIQRILEYFDSSIQEIFLEEIIEEVYYMAKDQYANYVVQNILQHGKALVRSAIIKKFIGRVVAMSKQKFASNVIEKCLIFGSYDEKQKIINEVIGTTDLVRSGETEALVVMVNDQYANYVVQKVIETCDEWQRKLILRRLRAHHSLLHDCTYAKHVVARLDRLIDIGERKMANPRRPRRHGKDPVPPLT >ORUFI12G18420.4 pep chromosome:OR_W1943:12:19964806:19971269:1 gene:ORUFI12G18420 transcript:ORUFI12G18420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMQISKPPPFVLLKPFPFPAQDIPGEHMSAPDEKAPTEVAGMPFSWDMQSEAAKYFGNFDTEIQASCQTQEPSYRAIYGSCNSGQMIGLGDSQYKLAGSSSSQSLYSDNFSDATGFNQLKLQKQTSADGNTESWSELNRDAIGGLSGKGSPLAKSGKQLEQRMAKALSAQPYHPVFPVTQGVSSSNSSSQHVVSSSGEAKYIGNSGQEMQVACETAKEPSHRALYGSKNPYQLTGLSDLRDKIIASSSFEGVNTGSLTSTLSGMNLPTNSAKENTEYNQLKLQKQIDGYQRFESGSGLNRDDAGDITVTGVPQQVQVGQNMVNALSAKPYHPVFSVSHGTSASSSSQHPYMARELLEHPEYNPRMHRTHPSLQPTIEAASTSMTSHVKEPCYQENVGDSNPGMSLLRSTAVSSRDVENKSPNLGDRVHADVSEIYSTLDHQTSANSMQIQYAPQVVRQPSQQSLHADVTETYSAFGHQSAASNIQRQFAPRVTRQASHRSLYESSNSSLWTGPHDDSQMELFRPSSSRRISTNVISGELPRINLQSETMMGNADFNQQNLRRQISLRENTESHSFGTYNELPRTSSFLRRQSSESSQLNSASVKCSPGSGSSSTMMGPPRPFNTVSGGASSLLGSPLSSMSGGSSSGGNPDHQGTVLSSIYQPDGYNINSTLPLRMRTHAREAYATSVLPQATRRSHQVNFDSAERRSQYVQQRGLDDTINSNMLSARQQFQRQVERQLQRQLERQLQRQLERQLQRQVQRQLQRHHERAAASEAHPFYGNDETSVRMNPTEEFLDIVKDHEAFFLSFYHPSRIPARVMNTVDQCYGVDMEREMPHQESHPTPGCGTELSHKNDTSGFERSDVDVAHIHDSKRLNNEELNALLLHRKFSSLNKGNYRLFHIEGHVLQCSIDQCGSRFIQQKLPTATPDEKLMVFKEIMPHFLEMVTDVFGNYVLQKMIEHGAPFQRREITACLFGSVSSLSCQLYGCRVVQRAVELSDLDQKIQIAKELNSNIMKCIHDPNANHVVQKCIEHVPPRFIQFFVESMYGRVVELSVHPYGCRVIQRILEYFDSSIQEIFLEEIIEEVYYMAKDQYANYVVQNILQHGKALVRSAIIKKFIGRVVAMSKQKFASNVIEKCLIFGSYDEKQKIINEVIGTTDLVRSGETEALVVMVNDQYANYVVQKVIETCDEWQRKLILRRLRAHHSLLHDCTYAKHVVARLDRLIDIGERKMANPRRPRRHGKDPVPPLT >ORUFI12G18430.1 pep chromosome:OR_W1943:12:19972183:19974240:-1 gene:ORUFI12G18430 transcript:ORUFI12G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLAVVLLLLLLAALAASQEFTYSGFRNGGGGGGAGNSPNLTLNGVTELRPDGILRLTNETSRLIGHAFYPSPLRLLAGGAAVSFSTEFAFAVVPEYPKLGGHGLAFVVAPDPRLPGALPSQYLGLLSAADVGNATNHVLAVEFDTVQDFEFGDINDNHVGVDLNSLVSNASASAAPVNLKSGDTIVAWVDYDGGAKLLNVSIAAASASKPASPLISFHVDLSPIFLDQMFVGFSASTGLLASSHYLMGWSFKLGGGAAPPLDVPSLPSLPRPAAGGKNRTSAILAAAFSAFVALVALAGAAAYAAHRYKNRDVVEPWELDYGPHRYSYAELRRATRGFRDRELLGAGGFGKVYRGVLPGKPPRTVVAVKRVSHESRQGLREFVAEIASIGRLRHRNLVQLQGWCRRRGDLLLVYDYMPNGSLDKHLFGDGLAAARLTWGARVKVLRDVAAALLYLHEGWERVVLHRDVKASNVLLDGDMSGRLGDFGLAKLHEHGANPSTTRVVGTLGYLAPELTRTGKATAAADVFAFGALALEVVAGRRPIEPRAPPEELVLAEWAWERYAAGEVGAVVDARLRGEFDAGEAEAAVKVALWCSHPAPAVRPTMREVARYLDAGGAAEVPEPPPPPPPPPVSSGEVGYYDFVHSYPTSSYERAAAAADGVTQTSVATFPYSPLSMRSSHVSV >ORUFI12G18440.1 pep chromosome:OR_W1943:12:19982945:19984036:-1 gene:ORUFI12G18440 transcript:ORUFI12G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGSGSGSGSRDEYGRAVARAAVAQALEAAGFDCAHRSAVDALVDVILRYVVHLGRTAAFNANLAGRVLANEYDIIQALEEIGTDFDGFVGAATSDRCLVGSGVVRELIDYVESKPEVPFVRPLPSFPVPRVEPQPAQSFAMAGKESGMKHVPEWLPVFPDPHTYIRTEVWSEEEAKARVDKVEQVRQRRKAEKSLLSLQRRLALAGADGFRPAVTENTAEKGKEIQVAGSKRNPFLEPALPPGEKEVSDVAMQPQRRKISVLDAFAPAIQAANMMDIDTGPGWDNNQNQKSIVPKERAPVHLKIGIDKKPLSAVLNSKPLDLREDPSFLKEEVKDERKRRAGMILRASMENPQELPQL >ORUFI12G18450.1 pep chromosome:OR_W1943:12:19984997:19989560:-1 gene:ORUFI12G18450 transcript:ORUFI12G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALVLSGGGRVVVAPSAAAAAAVAAVGIQPSDEGKGVTVDELKAALRRRGPDSLGCERLRVRADGTTLGSDGCDCGVGNGGDVGNTELCFIGATLQLRGAEPILQPMVGQSGNVLVYNGEIYGGVHVADDQNDTQSLLSSLESCCSCECHALVRDEACLCCGSVGKSKDSKTMWFGRDAFGRRSLLVHWPSPDDPRFILSSVSPPSFASNNSAPTVKVMESGEDNDFPESTNMSYWEELPCGIYSIQLKSLEKSGMCMKEACVSEVRRHDWINSSLDELIQWKRKSIVPTVDDLTSHQNSVGDYCLSQSFRNSTEADKNAAYKVLIALRESVMLRTNLNRLFQDDLNKLKDDELAPIAILFSGGLDSMILAALLDQCLDSKWTIDLLNVSFDGQLAPDRISALAGLKELQRISPIRRWRLVEIDTVLTNLKGESEHVMSLIYPSNTYMDLNIGIALWLAAGGDGWVDGSICNMQDGCRYKYKSTSRVLLVGSGADEQCAGYGRHRTKYRLGGWVLLDEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIKTLLEIPLWDIAKLDEPVGKGDKKILREVANLLGLKEAALQPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVEIHQRAR >ORUFI12G18450.2 pep chromosome:OR_W1943:12:19984997:19989560:-1 gene:ORUFI12G18450 transcript:ORUFI12G18450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALVLSGGGRVVVAPSAAAAAAVAAVGIQPSDEGKGVTVDELKAALRRRGPDSLGCERLRVRADGTTLGSDGCDCGVGNGGDVGNTELCFIGATLQLRGAEPILQPMVGQSGNVLVYNGEIYGGVHVADDQNDTQSLLSSLESCCSCECHALVRDEACLCCGSVGKSVPQILSTIKGPWALIYWQAEGLKNNVVWPGCIREKKPLAPTVKVMESGEDNDFPESTNMSYWEELPCGIYSIQLKSLEKSGMCMKEACVSEVRRHDWINSSLDELIQWKRKSIVPTVDDLTSHQNSVGDYCLSQSFRNSTEADKNAAYKVLIALRESVMLRTNLNRLFQDDLNKLKDDELAPIAILFSGGLDSMILAALLDQCLDSKWTIDLLNVSFDGQLAPDRISALAGLKELQRISPIRRWRLVEIDTVLTNLKGESEHVMSLIYPSNTYMDLNIGIALWLAAGGDGWVDGSICNMQDGCRYKYKSTSRVLLVGSGADEQCAGYGRHRTKYRLGGWVLLDEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIKTLLEIPLWDIAKLDEPVGKGDKKILREVANLLGLKEAALQPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVEIHQRAR >ORUFI12G18460.1 pep chromosome:OR_W1943:12:19990767:19991437:-1 gene:ORUFI12G18460 transcript:ORUFI12G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAARAVAMPSLSPATVAARPSSRRLHKVAAMATQKPTSGTRRGTTVYFPVGEPGPRQTTSGKAAAPPVKLLTNVEKLRLLTKAEKAGLLSAAERAGLSLSAVERLGLLSKAEELEVLSAATDPGTPGALLGVALLLLAAGPAVVYLVPEEYPWEVAVQAVVALACVVGGSTAFAASSFVSKLQSSSS >ORUFI12G18470.1 pep chromosome:OR_W1943:12:19991932:19995226:1 gene:ORUFI12G18470 transcript:ORUFI12G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVEKVKALWDSQVNDEEAWAFNYKLMKAGGLFVASIFVMRNFGDLMAI >ORUFI12G18480.1 pep chromosome:OR_W1943:12:19994896:19995204:-1 gene:ORUFI12G18480 transcript:ORUFI12G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNEVKEKEKTDKPSTRRRILGVTAFIAAAVAGTLFLLSAIGESSGDPDKTADDDPPTTRTMKAPGFGGKVMISRNKFEDNPKDYFRASRKGDKEDVGAFK >ORUFI12G18490.1 pep chromosome:OR_W1943:12:20006325:20009268:-1 gene:ORUFI12G18490 transcript:ORUFI12G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKHHKNVEAGKSSFHRMVLGQLVGEFGFDEENVPCNTPRSSVRSRFGASASRIVASTSGASVSPGEYVRDPGSILSLQPWIFKRSGSQKNEEKMMLASGSRVVGEGKNLMDSFRDGSAVEVSPRSPGLGSGPGRGRGALRSRRSRRHLIRPLVPMENSYIPQLYSADFEIDECTFGPAPSPASARPFIVTDGRRVISKSRYQPVPVPFHIGFEKEGRRNSSEMVESVIGIAPLPELKKSKRERQGSHNGGMGLSAFESSKPSKSTDLLARLRIFSTGVSIGIISSTLSNKNELDALKGTVKRMENLIQDLHDELEMREGLTVKELPNEMSVKIDDDESKAHVTDSEPMSKIEEELEAELARLELNITSNCLKEQTFDFSEVEQDLIGDIVQGELKIDTTHCDLADHSSESAHGRDSRESSPDYTHDANYPVSPRDLSLRLHKVIQQRLEERIKELETTLAQSEMQTQVQVMATEQILCERTCSDSDSGSPNQESPVYIQETNSLVEPFCLNLAGDALEAYDEAYEEFMRIADSPCTTSTNGKPQVHEDYSVDRSLIWGLEDGSARKLKKVPTWERILKSREPNRTQESDGDDEDEFEDDDQDSKMLIQQIIERTKQGSPVLIHAQRILFSVDD >ORUFI12G18500.1 pep chromosome:OR_W1943:12:20009377:20009912:-1 gene:ORUFI12G18500 transcript:ORUFI12G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFSVLGCSCCLFRTNPNPPKSHSPNASSPLIPLRRRRRVASSSPARRAGGSIVSLPPLPVAVPPPVASRRPLAVVPARRRAGGEAGLDAAEATPSFHLGFESNSKREKNLGGLS >ORUFI12G18510.1 pep chromosome:OR_W1943:12:20016213:20019429:-1 gene:ORUFI12G18510 transcript:ORUFI12G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLWVVATWLIVCAAAHPGEQPLSRIAVERTVLAVNESAHVKASPWVLGLKGQNSEWVEVEFFHPSPSNDDWIGVFSPANFSAAICEPENKRQRPPVLCTAPIKYQFANFNNDGYNKSGKGYLKLQLINQREDFSFALFSGGLLKPKLIAVSNKVAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDIKEAVPFVEWGAKGGRSFLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKDLWPDSLLGHRLPNSTLIWSKSYSFKASPYPGQDSLQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLENIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENQQLSFRYATDYGMFRFCIAHTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCSYYEEQGTFGEPMGRDTIEELLQKYRVDLAFYGHVHSYERTCPVYQSQCVVNASDHYNGPFKATTHVVVGGGGASLSEFTTSKIKWSHYTDFDFGFVKLTAFNHSSMLFEYKKSHDGNVYDHFTISRDYRDILACSVDNCPRTTLAT >ORUFI12G18510.2 pep chromosome:OR_W1943:12:20016213:20019429:-1 gene:ORUFI12G18510 transcript:ORUFI12G18510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLWVVATWLIVCAAAHPGEQPLSRIAVERTVLAVNESAHVKASPWVLGLKGQNSEWVEVEFFHPSPSNDDWIGVFSPANFSAAICEPENKRQRPPVLCTAPIKYQFANFNNDGYNKSGKGYLKLQLINQREDFSFALFSGGLLKPKLIAVSNKVAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDIKEAVPFVEWGAKGGRSFLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKDLWPDSLLGHRLPNSTLIWSKSYSFKASPYPGQDSLQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLENIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCIAHTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCSYYEEQGTFGEPMGRDTIEELLQKYRVDLAFYGHVHSYERTCPVYQSQCVVNASDHYNGPFKATTHVVVGGGGASLSEFTTSKIKWSHYTDFDFGFVKLTAFNHSSMLFEYKKSHDGNVYDHFTISRDYRDILACSVDNCPRTTLAT >ORUFI12G18520.1 pep chromosome:OR_W1943:12:20021514:20033315:-1 gene:ORUFI12G18520 transcript:ORUFI12G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLIMAAIRWVVLAYIVVIGCATIARGDEQPLSRIAIERATVAAVDSASVKAQPTVLGLKGQSSDWVVVEFSHPKPSNDDWIGVFSPSGFSSEICQPEYYGDLPPYLCTSPIKFQYANFNNADYNRSGKGLLRLQLINQREDFSFALFSGGLSAPKLIAISNKVSFQNPKAPVYPRLAQGKSWNEMTVTWTSGYSIKEAIPFVEWGHKGGNQMLSPAGTLTFSRNSMCGSPARTVGWRDPGYIHTSFLKELWPDSLYTYRLGHRLLDGTHIWSKSYSFRASPYPGQDSVQRVVIFGDMGKAEIDGSDEYGNYEQASLYTTNQLIKELDSIDMVIHIGDLSYANGYLSQWDQFTQQIEPIASTVPYMIGSGNHERDWPGSGSFYGHNDSGGECGVPTQTMFYVPAENRAKLWYSTDYGMFRFCIADTEQDWRPGTEQYKFIEQCLSSVDRSKQPWLIFLAHRVLGYSSASWYEIMMGSYGEPMGRDGLEELWQKYKVDLAVFGHIHSYERTCPIYQNRCVQDGSNLYTGQFNATTHVIVGGGGAMLSPFRATVPYWSFFRDYDFGFSKLTALNHSTLLFEYKKSRDGKVYDHFTISRDYRDIMACSIDNCPRTTLASHTNFDSNLKRRSMIRLWVVVTWLVLWAAAAVHPGEQPLSRIAVERMVLAVNESAHVRASPLVLGLKGETNEWVEVEFFNPNPSNTDWVGVFSPADFSSAICEAYGVPQYYPMLCTAPIKYQYANFNNNGYSKSGKGKLKLQLINQREDFSFALFSGGLENPKLVAVSNKIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDFKEAVPFVEWGAKGGQRVLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKELWPDSLLGHRLPNGTHIWSKSYSFKASPYPGQDSVQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLKNIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGMGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKLWYATDYGMFRFCIANTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCTFYEEEGTFEEPMGRESLQELWQKYKVDLAFYGHVHNYERTCPVYQNKCVVSGSDHYSGPFTATTHVVVGGAGAGTSDSEFTTSNIKWSYYRDFDYGFVKLTALNHSSLLFEYKKSSDGNVYDHFTISRDYRDILACSIDNCPRTTLAT >ORUFI12G18520.2 pep chromosome:OR_W1943:12:20021514:20033095:-1 gene:ORUFI12G18520 transcript:ORUFI12G18520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLIMAAIRWVVLAYIVVIGCATIARGDEQPLSRIAIERATVAAVDSASVKAQPTVLGLKGQSSDWVVVEFSHPKPSNDDWIGVFSPSGFSSEICQPEYYGDLPPYLCTSPIKFQYANFNNADYNRSGKGLLRLQLINQREDFSFALFSGGLSAPKLIAISNKVSFQNPKAPVYPRLAQGKSWNEMTVTWTSGYSIKEAIPFVEWGHKGGNQMLSPAGTLTFSRNSMCGSPARTVGWRDPGYIHTSFLKELWPDSLYTYRLGHRLLDGTHIWSKSYSFRASPYPGQDSVQRVVIFGDMGKAEIDGSDEYGNYEQASLYTTNQLIKELDSIDMVIHIGDLSYANGYLSQWDQFTQQIEPIASTVPYMIGSGNHERDWPGSGSFYGHNDSGGECGVPTQTMFYVPAENRAKLWYSTDYGMFRFCIADTEQDWRPGTEQYKFIEQCLSSVDRSKQPWLIFLAHRVLGYSSASWYEIMMGSYGEPMGRDGLEELWQKYKVDLAVFGHIHSYERTCPIYQNRCVQDGSNLYTGQFNATTHVIVGGGGAMLSPFRATVPYWSFFRDYDFGFSKLTALNHSTLLFEYKKSRDGKVYDHFTISRDYRDIMACSIDNCPRTTLASHTNFDSNLKRRSMIRLWVVVTWLVLWAAAAVHPGEQPLSRIAVERMVLAVNESAHVRASPLVLGLKGETNEWVEVEFFNPNPSNTDWVGVFSPADFSSAICEAYGVPQYYPMLCTAPIKYQYANFNNNGYSKSGKGKLKLQLINQREDFSFALFSGGLENPKLVAVSNKIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDFKEAVPFVEWGAKGGQRVLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKELWPDSLLGHRLPNGTHIWSKSYSFKASPYPGQDSVQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLKNIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGMGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKLWYATDYGMFRFCIANTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCTFYEEEGTFEEPMGRESLQELWQKYKVDLAFYGHVHNYERTCPVYQNKCVVSGSDHYSGPFTATTHVVVGGAGAGTSDSEFTTSNIKWSYYRDFDYGFVKLTALNHSSLLFEYKKSSDGNVYDHFTISRDYRDILACSIDNCPRTTLAT >ORUFI12G18520.3 pep chromosome:OR_W1943:12:20021516:20033082:-1 gene:ORUFI12G18520 transcript:ORUFI12G18520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLIMAAIRWVVLAYIVVIGCATIARGDEQPLSRIAIERATVAAVDSASVKAQPTVLGLKGQSSDWVVVEFSHPKPSNDDWIGVFSPSGFSSEICQPEYYGDLPPYLCTSPIKFQYANFNNADYNRSGKGLLRLQLINQREDFSFALFSGGLSAPKLIAISNKVSFQNPKAPVYPRLAQGKSWNEMTVTWTSGYSIKEAIPFVEWGHKGGNQMLSPAGTLTFSRNSMCGSPARTVGWRDPGYIHTSFLKELWPDSLYTYRLGHRLLDGTHIWSKSYSFRASPYPGQDSVQRVVIFGDMGKAEIDGSDEYGNYEQASLYTTNQLIKELDSIDMVIHIGDLSYANGYLSQWDQFTQQIEPIASTVPYMIGSGNHERDWPGSGSFYGHNDSGGECGVPTQTMFYVPAENRAKLWYSTDYGMFRFCIADTEQDWRPGTEQYKFIEQCLSSVDRSKQPWLIFLAHRVLGYSSASWYEIMMGSYGEPMGRDGLEELWQKYKVDLAVFGHIHSYERTCPIYQNRCVQDGSNLYTGQFNATTHVIVGGGGAMLSPFRATVPYWSFFRDYDFGFSKLTALNHSTLLFEYKKSRDGKVYDHFTISRDYRDIMACSIDNCPRTTLASHTNFDSNLKRRSMIRLWVVVTWLVLWAAAAVHPGEQPLSRIAVERMVLAVNESAHVRASPLVLGLKGETNEWVEVEFFNPNPSNTDWVGVFSPADFSSAICEAYGVPQYYPMLCTAPIKYQYANFNNNGYSKSGKGKLKLQLINQREDFSFALFSGGLENPKLVAVSNKIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDFKEAVPFVEWGAKGGQRVLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKELWPDSLLGHRLPNGTHIWSKSYSFKASPYPGQDSVQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLKNIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGMGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKLWYATDYGMFRFCIANTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCTFYEEEGTFEEPMGRESLQELWQKYKVDLAFYGHVHNYERTCPVYQNKCVVSGSDHYSGPFTATTHVVVGGAGAGTSDSEFTTSNIKWSYYRDFDYGFVKLTALNHSSLLFEYKKSSDGNVYDHFTISRDYRDILACSIDNCPRTTLAT >ORUFI12G18520.4 pep chromosome:OR_W1943:12:20021514:20033315:-1 gene:ORUFI12G18520 transcript:ORUFI12G18520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLIMAAIRWVVLAYIVVIGCATIARGDEQPLSRIAIERATVAAVDSASVKAQPTVLGLKFQYANFNNADYNRSGKGLLRLQLINQREDFSFALFSGGLSAPKLIAISNKVSFQNPKAPVYPRLAQGKSWNEMTVTWTSGYSIKEAIPFVEWGHKGGNQMLSPAGTLTFSRNSMCGSPARTVGWRDPGYIHTSFLKELWPDSLYTYRLGHRLLDGTHIWSKSYSFRASPYPGQDSVQRVVIFGDMGKAEIDGSDEYGNYEQASLYTTNQLIKELDSIDMVIHIGDLSYANGYLSQWDQFTQQIEPIASTVPYMIGSGNHERDWPGSGSFYGHNDSGGECGVPTQTMFYVPAENRAKLWYSTDYGMFRFCIADTEQDWRPGTEQYKFIEQCLSSVDRSKQPWLIFLAHRVLGYSSASWYEIMMGSYGEPMGRDGLEELWQKYKVDLAVFGHIHSYERTCPIYQNRCVQDGSNLYTGQFNATTHVIVGGGGAMLSPFRATVPYWSFFRDYDFGFSKLTALNHSTLLFEYKKSRDGKVYDHFTISRDYRDIMACSIDNCPRTTLASHTNFDSNLKRRSMIRLWVVVTWLVLWAAAAVHPGEQPLSRIAVERMVLAVNESAHVRASPLVLGLKGETNEWVEVEFFNPNPSNTDWVGVFSPADFSSAICEAYGVPQYYPMLCTAPIKYQYANFNNNGYSKSGKGKLKLQLINQREDFSFALFSGGLENPKLVAVSNKIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDFKEAVPFVEWGAKGGQRVLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKELWPDSLLGHRLPNGTHIWSKSYSFKASPYPGQDSVQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLKNIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGMGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKLWYATDYGMFRFCIANTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCTFYEEEGTFEEPMGRESLQELWQKYKVDLAFYGHVHNYERTCPVYQNKCVVSGSDHYSGPFTATTHVVVGGAGAGTSDSEFTTSNIKWSYYRDFDYGFVKLTALNHSSLLFEYKKSSDGNVYDHFTISRDYRDILACSIDNCPRTTLAT >ORUFI12G18530.1 pep chromosome:OR_W1943:12:20034879:20040090:-1 gene:ORUFI12G18530 transcript:ORUFI12G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESVIFASVTGVTIYYTVNQLNKNISLSLMKAIRARARKYKKLKDKVPASSHIWRKELGSRSKGLKCCVCLKSVSSPQYMGGVIHQCDICGATAHPSCSGNAHKDCKCVSMVGFEHVIHQWAVQWIDTSDRSEEDSFCCYCDESCNGAFLAGSPIWYCMWCQRLVHVDCHNNLSIETGDICDLGPLKRLILSPLCVKELHWTGAAGLISSITHGANELASNVRERIRSRGKKYRKGTISVDSDSSGTIDPPSDIEGDSQETNNAAKRREDHANGELPEVHESSESENDKQLLTENKTSIPNGQHEDSHVHNNQKYEIVDVPSDSRPLLVFINKRSGAQCGDSLRQRLQILLNPIQVFELGKQQGPEVGLTLFRKVPHFRVLVCGGDGTVAWVLDAIEKQKFEAPPPVAILPAGTGNDLARVLSWGGGLGIVEKQGGLFSVLKDVEHAAVTVLDRWKITIKDNQGKLMSQPKYMNNYFGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAKEGAKNMMDNTFDYFPWDVKLEIDGSKINIPQDSEGILVANIQSYMGGVDLWKNEDDVSDNFHPQSMHDKMLEVVSFTGMLHLGRLQVGLSRAQRLAQGHHIKIEIKTKMPIQVDGEPWSQDPCTIVVSHHCQAFMLKRVSEEPIGHAASIMADVLENAENNGIITASQKRTLLHEIASRLL >ORUFI12G18540.1 pep chromosome:OR_W1943:12:20045946:20057197:1 gene:ORUFI12G18540 transcript:ORUFI12G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKTAFVGNLPANVTEEYLRKLFEHCGEVVRVAVSRKGQYPVGFVHFASRTVSVARPVVENDKKRIREEVKTRRSNVSTDKPDHSYGRRGHDSYDRQAKAPRLYNEVSDTDPYEAAVVSLPSAVKELLLRILRLRIGTRYDIDIHCIRSLNELPEKAAVAVLNQFLISGADKHNKGDYFASLIAKYQAETFSSALRLQGSTYLPRNPEIQNKRFPHQDYEYTASGSSRYSSLGDYPSSSYVDDPASSQSRNRRYDEYRPDLVRYPDSRSRQEEIVRIERYPEPRFAHEPRQDTGRHLDLGYVQERNSNIERSAQVAFSSREGGYLSASRYNTNIVPEFSSRSSAEYSTARQQVRFDPFTGEPYKFDPYTGEPIRPESNPRRSGSLY >ORUFI12G18540.2 pep chromosome:OR_W1943:12:20045946:20057197:1 gene:ORUFI12G18540 transcript:ORUFI12G18540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGAASHGDYDEQDRRVKGAEVFVGGLPRSVTERALREVFSPCGEIVDLRIMKDQNGISKGYGFVRFAERECAYIAKRQINGFELQGKRLAVDLSLDQDTLFFGNLCKDWGIEEFEELIRKALEDVVSVDLAMARNHDSSVGKRRLNRGFAFVRFSSHAAAARVLRIGSRTDFLLGGLHPSINWAEKESHVDEDEMAKVKTAFVGNLPANVTEEYLRKLFEHCGEVVRVAVSRKGQYPVGFVHFASRTVSVARPVVENDKKRIREEVKTRRSNVSTDKPDHSYGRRGHDSYDRQAKAPRLYNEVSDTDPYEAAVVSLPSAVKELLLRILRLRIGTRYDIDIHCIRSLNELPEKAAVAVLNQFLISGADKHNKGDYFASLIAKYQAETFSSALRLQGSTYLPRNPEIQNKRFPHQDYEYTASGSSRYSSLGDYPSSSYVDDPASSQSRNRRYDEYRPDLVRYPDSRSRQEEIVRIERYPEPRFAHEPRQDTGRHLDLGYVQERNSNIERSAQVAFSSREGGYLSASRYNTNIVPEFSSRSSAEYSTARQQVRFDPFTGEPYKFDPYTGEPIRPESNPRRSGSLY >ORUFI12G18540.3 pep chromosome:OR_W1943:12:20045947:20057197:1 gene:ORUFI12G18540 transcript:ORUFI12G18540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKTAFVGNLPANVTEEYLRKLFEHCGEVVRVAVSRKGQYPVGFVHFASRTVSVARPVVENDKKRIREEVKTRRSNVSTDKPDHSYGRRGHDSYDRQAKAPRLYNEVSDTDPYEAAVVSLPSAVKELLLRILRLRIGTRYDIDIHCIRSLNELPEKAAVAVLNQFLISGADKHNKGDYFASLIAKYQAETFSSALRLQGSTYLPRNPEIQNKRFPHQDYEYTASGSSRYSSLGDYPSSSYVDDPASSQSRNRRYDEYRPDLVRYPDSRSRQEEIVRIERYPEPRFAHEPRQDTGRHLDLGYVQERNSNIERSAQVAFSSREGGYLSASRYNTNIVPEFSSRSSAEYSTARQQVRFDPFTGEPYKFDPYTGEPIRPESNPRRSGSLY >ORUFI12G18540.4 pep chromosome:OR_W1943:12:20042449:20048807:1 gene:ORUFI12G18540 transcript:ORUFI12G18540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTPVMMRRARARRPFAVLAAAAPPPPSAGRNRENVEGEAMSFSQCVRRFGKPAAKKGSARTPRRGTPGGGGGVRRAAGAAVGPIGGAGEDQGQAVVSRRRWRGGGGVVGEEEDEDEDEDEDEEERGAAGGHGGAPGARRRPREVPGRHVREAPLALQRPGGAEQEEEEEEDAGGEEDGLLVAAAADSDSDDDESGRDRRVVPLHRLLLRGLLPHQRCRAQSICSSERSWSRKKIGVTIQRPFNLRTERRGKMKEESLVQRMKNKLLEEERLRNPVAQGLPWTTDVPENPVKPLGKEPTEPIDVVLHSEIRSVGRARFDHQVAERNSFLEKLNMERERQQKLDEELEIKQLRKEQVPRAHPMPDFSKPFVPKRYFVFIANPDRRVKGAEVFVGGLPRSVTERALREVFSPCGEIVDLRIMKDQNGISKLQGKRLAVDLSLDQDTLFFGNLCKDWGIEEFEELIRKVRPVAPCFEKNIRTFSAFIGHWGMLYQR >ORUFI12G18550.1 pep chromosome:OR_W1943:12:20062872:20063927:1 gene:ORUFI12G18550 transcript:ORUFI12G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGFHGAAAASPTTVARAGRVMRAAVAAFFHGYHCYTSVAGLLVLPFSAAVLASGAMASPSSGALAAVSARIRRMFDAAGFPPSSFFALFNAKLSQTVFTFAAALPFTLTFLLLAKACVAAMLRPDDDGEGVARRGRGVARATRLPPCGSVAGAYPAMVATHLFGAFVMLSANAAVFSLMFLAFNGADLLGLTTTSHAAATLALSAAGAIAYSVAVGIATVVCNLAVVVSAMERRAGHAAVLRACVVIRGRVPTALALALPTNLGMAAAEALFQLRVVSQAQRHRLAGAGDGKRLAAGVAGEAFSIAYIHALCVVLEIIVSCMFYRSCRRSEADELRELEPEEKGDLQA >ORUFI12G18560.1 pep chromosome:OR_W1943:12:20070290:20071208:-1 gene:ORUFI12G18560 transcript:ORUFI12G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWIRLLLCAPCTWIQLQRRGSSAVAGGASADPAPPSSRMDPARVKTMGRRQDGGNLRGAYGINAKSMHAMISQFLDQRIRRHGVEFYPVN >ORUFI12G18570.1 pep chromosome:OR_W1943:12:20090770:20096080:1 gene:ORUFI12G18570 transcript:ORUFI12G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRSQKLCCIYRRYRGKVLSNAPIVRASDAGSRAAPGEVVHVEAKSDGSNVTFHLTQLQWHHSELDSENGNVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPAMSNSAGTQLMHCEDASSIADAIQKFERIFDGSCVAQAIGQYLKRDANKMDRPNQADIQESEKPKIPSPESCDVSDVKVDETKTRNEGIKILTKLRRGEDACNTLKSSKEGDKAHESIFKSLTPVCTPRHANKVQPLAVASPRGQKKKSGVVRLSFKRKSFDGEQTTEICSSRRYLIHPRAGLLVPQGSEKISESCWSVLEPSTFKLRGETFFKDKKKLPAPGSSPYTPIGVDMFMSPRKIHHIAQHIELPSAGPSEKIPSLLIVNIQMPTYPTAMFLGDSDGEGISLVLYFKLNENYEKEISPLFLDSIKRLVNDEIEKVKGFPLDSTVPYRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGPNYFEIDLDVHRFSFISRKGLEAFRERLKHGVIDLGLTIQAQKQEELPENVLCCVRLNRVDFVDHGQIPTLLPCDDD >ORUFI12G18570.2 pep chromosome:OR_W1943:12:20090770:20096080:1 gene:ORUFI12G18570 transcript:ORUFI12G18570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRSQKLCCIYRRYRGKVLSNAPIVRASDAGSRAAPGEVVHVEAKSDGSNVTFHLTQLQWHHSELDSENGNVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPAMSNSAGTQLMHCEDASSIADAIQKFERIFDGSCVAQAIGQYLKRDANKMDRPNQADIQESEKPKIPSPESCDVSDVKVDETKTRNEGIKILTKLRRGEDACNTLKSSKEGDKAHESIFKSLTPVCTPRHANKVQPLAVASPRGQKKKSGVVRLSFKRKSFDGEQTTEICSSRRYLIHPRAGLLVPQGSEKISESCWSVLEPSTFKLRGETFFKDKKKLPAPGSSPYTPIGVDMFMSPRKIHHIAQHIELPSAGPSEKIPSLLIVNIQMPTYPTAMFLGDSDGEGISLVLYFKLNENYEKEISPLFLDSIKRLVNDEIEKVKGFPLDSTVPYRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGPNYFEIDLDVHRFSFISRKGLEAFRERLKHGVIDLGLTIQAQKQEELPENVLCCVRLNRVDFVDHGQIPTLLPCDDD >ORUFI12G18570.3 pep chromosome:OR_W1943:12:20092055:20096080:1 gene:ORUFI12G18570 transcript:ORUFI12G18570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRSQKLCCIYRRYRGKVLSNAPIVRASDAGSRAAPGEVVHVEAKSDGSNVTFHLTQLQWHHSELDSENGNVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPAMSNSAGTQLMHCEDASSIADAIQKFERIFDGSCVAQAIGQYLKRDANKMDRPNQADIQESEKPKIPSPESCDVSDVKVDETKTRNEGIKILTKLRRGEDACNTLKSSKEGDKAHESIFKSLTPVCTPRHANKVQPLAVASPRGQKKKSGVVRLSFKRKSFDGEQTTEICSSRRYLIHPRAGLLVPQGSEKISESCWSVLEPSTFKLRGETFFKDKKKLPAPGSSPYTPIGVDMFMSPRKIHHIAQHIELPSAGPSEKIPSLLIVNIQMPTYPTAMFLGDSDGEGISLVLYFKLNENYEKEISPLFLDSIKRLVNDEIEKVKGFPLDSTVPYRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGPNYFEIDLDVHRFSFISRKGLEAFRERLKHGVIDLGLTIQAQKQEELPENVLCCVRLNRVDFVDHGQIPTLLPCDDD >ORUFI12G18580.1 pep chromosome:OR_W1943:12:20094944:20099859:-1 gene:ORUFI12G18580 transcript:ORUFI12G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKGAAVASPAYEATTTSSSSASAAYSVSRSASTGAAAAEVASIWSRPVRLDAYEDDGDGKKKAAARGGGECSSVVVVGGGVRLGNIHRYVEAEQVAAGWPSWLSAAAAEAVHGWIGQGTYSSVFRARNVETGRMVALKKVRFDSGEPESVRFMAREILILRRLHRHPNVVSLDGLITSRSSPNLYLVFDYSDHDLAGLSSDPSLSFSLPEIKCYMRQLLLGLEHCHARGVMHRDIKCANLLVSGGGELKVADFGLANVFDASSAAAMTSRVVTLWYRPPELLLGATAYDASVDLWSAGCVFAEMHARRPILQGRTEVEQIHRIFKLCGSPGDAYWRRAAAGGGGGAGFRPQQPYESRLRETFGGMMGDDAFALLSKLLSVEPSARGTATEALASEYFRTEPYACEPSSLPKYAPNKEMDAKLREDSRRRVNVGGRNHGGVGGGEATKRLSRGHKSMQDTTAAATAAAVVASQRHGHGHVHAEESLPRANGGEARLFVDMQPVPVIASKRHDDPTPPPPPPPMSRSYQDDAGDRLPLSGPVQLTASTGFAWAKMPRPDSTTTAAAAAKRSSSKVPRTNSNGGAYEAEKQEAMKQWAQVADAFTSSESYNNRFKEPTATAGAGAAAATKEVKSSKKHKVGGGRLHRVGFSGPLLSQPRRIEELLQNHEQQIRRAGRHSWFRKVISEMDAELINIKRA >ORUFI12G18590.1 pep chromosome:OR_W1943:12:20104097:20106679:-1 gene:ORUFI12G18590 transcript:ORUFI12G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVESKTYLPGYFTMADSSVNSNGNWLSYHEESKPSGHVSDSFTITTANASPDYDKEMLKRTMLVHEATFRKQVYELHRLYKTQKDLMAQFQREECNGYPRSADMLQARSYSSQATSRDVKRVCQVMPPTSGNDIKQSSINFAKGSEYARNGAPLMNNNNGRSTKKMLDLQLPADAYADDDDDDDDDVEILEEKPAKILPRINGSVVGGIVKLNVGNSEGSSHMEKSWIAGLHPQHVSTVNVLNKAVEESSSMKMPDFLGVGTSTSQSQRYSSGRVNLNHLSLEDTMKEKRIGEASGSNFFGANEEVKRNSSFNNKTDYQNVSMGWFKQEPNGINFSAAHYLPRCNPFNQLIDAPTSSNAAVKSPWQSSNTSYTANGYYGSVYTPFAQNGFFNGFSVDSINTPMATNHYHNQRSSKFPGEPQYQKHSPLHGVNLNDTPQDVTAIQEQGSENSPVDISWLRKDPVDLMKSHVQPSCANGQSQISLGSTAYSEGSTRVLGFPINAAAERNTEPLIKREADMEMHKKDDANARNLIDLNAAPSMDEPDIDVHQSEGGTVPQQPDDPSEDSLARTAAESLVALCKDVFQAGSPLADILHWFADLAIASKEDAVVCSSESDSDDEFEALTLQLEETKGYELYSTPKTPVEHKSNEDHGSVAASLLQTKPRRGRARKRPQKKDFQKDILPNLASLSKHEVSEDLHTLGRSTPSKRGGRNGSQSRGRRRARSVAIAVEEVEVSPPPAPAPPPPPPADLDANALGITGWGRTTRRCRRPRCPPANNASLRLA >ORUFI12G18600.1 pep chromosome:OR_W1943:12:20123894:20125729:-1 gene:ORUFI12G18600 transcript:ORUFI12G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSTAASSSASAVRPPPTWGAPSQRRLVEQHLASLPRGLPRAAHVRELHAQVLKQGLHLNPRAAARLVSAYALLRLLPSSRRVFDAIRDPHADAFLANTMLRAYALGGAPRDALAAFSAMPRRDSFTYSFLIKALSAAGVAPVRAVHSHVVKLGSVEDTFVGNALIDAYSKNGGFSDARKVFDEMPERDVVSWNTAMAAMVREGELAGVRKLFDEMPEKDTVSWNTILDGYTKAGEVEAAFELFQRMPERNVVSWSTMVSGYCKKGDLEMARVIFDKMPSKNLVTWTIMVSACAQKGLVDEAGKLFAQMKEASVELDVAAVVSILAACAESGSLSLGKRIHRYVRKRNLGRSTHVCNALIDMFCKCGCVNRADYVFDTETVQKDSVSWNSIIGGFAMHGHGDKALELFAQMKQQGFNPDAVTMINVLSACTHMGFVDEGRRHFSNMERDYGIMPQIEHYGCMIDLLGRGGLIKEAVDLIKSMPWEPNEVIWGSLLSACRLHKNVEYAEIAVNELSKLQPSNAGNYAVLSNIYAEAGQWSDMAKARMQMKGTGSQKTAGSSWVELNETFHEFTVGDRKHQDSDQISEMVDRLSSHVKHVGCVPAGHELLVQ >ORUFI12G18610.1 pep chromosome:OR_W1943:12:20129541:20134028:1 gene:ORUFI12G18610 transcript:ORUFI12G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLDKAAARSTPLALPAAGAAAAANQSPASLPLGPPAAASAKPLVAAANPPLLPVVAPAAAAPKSSSGAVEESKEGVEESNKSNVLTIGSIRSTLMKHEDTIIFGLLERSQFCYNPDTYDPNASRIVRFNGSLVEFMVKKTEKMHARGQNNVVTSFQMGRYKSPDEHPFFPENLLEVVEPSVEYENVLHPAAANININKRIWDVYFGDLLPRLVKEGSDGTLQEDSLWQDSDKLMELLTFAKVEDDVRARVMSKAMTFGQVVSEDLENEIKLKIEPELAVELYDKWIMPLTKEVQVQYLLKRLD >ORUFI12G18620.1 pep chromosome:OR_W1943:12:20136323:20139520:1 gene:ORUFI12G18620 transcript:ORUFI12G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEVVKEVIIVSTPESSKSTSGDFPVNSLENVDVSSVPSDLKRKEKSVPHYLRASTGSCHDSCKFGAHHSPEPKKYWPVRRRRHQDRANAGCGKQGQDEIQTQKGRSRNKDLELKICLVKDGNVHDKPEFIEVKKPPIEMASDNSETSPCVQDQLSSAEESKRVEDGADLPCGDDKFLIPDGNAACFVDGESSEGAVSIELEMPLAIQDSDASDDHIADAISPPECVYKAGEQLLVDDMCDDGSGNECAGSEKKSTQIVMASEKREKSGHGTKSKSLYNVSVKPKVKETSTATRSNASSQKIVRTLDRKASGTTIESSNGSKVVRATKFNRDKKFRSTVASNVPKVKEIKVTSPATVMDQSSKPTRQSKLKSLVANDAPSPSVNSEKQTDRKMTVMNVAKNARVWQKKEEEKISPVKLSRSINLSSKSLLSIKMRAVKKEKPASLVKSNKKVYGAENAVADVKEKNLKSASPKVRKVEVSKKESRSQKENSATPRTENTRQPKSSTIPAQSPRKLTFRRGKVLNLQSNSESSSTPRRLRFRPAKTVEDSNRSKESTRGRRKSDSAASSGSKDSGSSKPEVVILRHQDVRDKKKNEQGLLNNVIEETASKLVRRGRAR >ORUFI12G18630.1 pep chromosome:OR_W1943:12:20141981:20148316:1 gene:ORUFI12G18630 transcript:ORUFI12G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWFLIPLLLCFPTLIRSEDYSDVTIVVRGAETIASTSNEFICATIDWWPPEKCNYDQCPWGQASILNLDLTNPLLAKAIQAFSPLRIRLGGSLQDQVVYGTPNLGSPCTPFSKSSSGLFGFSQGCITMERWDAINKIFMDTGAVVTFGLNALQGRQQMGRGVWGGAWNSSNAREFMEYTVSMNYPIDSWEFGNELSGSGIGASVGAEQYGKDIIELKNIISQLYGNSRKPLVVAPGGFYDQKWYAQLLDISGPNVLDTMTHHIYNLGAGNDPQVANRILNPQYLSQSSDTFRDLQMTIQRHGPWSAPWVGEAGGAYNSGSRKVSNTFLNSFWYLDQLGQSANALLWHRLMGKGVISLDTSGSSYLRAYAHCGKQKGGVALLMLNLNKNMGFMVSVRNDLNINFREMAGIKRDNSFVHGLKRTVSWVGSKASDGLEKREEYHLTPQDGNPFARTVLLNGAPLQLTGDGDIPSLPPVMVSVNSPIYVAPLSIAFVVFPDFEAEGCER >ORUFI12G18630.2 pep chromosome:OR_W1943:12:20142615:20148316:1 gene:ORUFI12G18630 transcript:ORUFI12G18630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSGEESMRLWFLIPLLLCFPTLIRSEDYSDVTIVVRGAETIASTSNEFICATIDWWPPEKCNYDQCPWGQASILNLDLTNPLLAKAIQAFSPLRIRLGGSLQDQVVYGTPNLGSPCTPFSKSSSGLFGFSQGCITMERWDAINKIFMDTGAVVTFGLNALQGRQQMGRGVWGGAWNSSNAREFMEYTVSMNYPIDSWEFGNELSGSGIGASVGAEQYGKDIIELKNIISQLYGNSRKPLVVAPGGFYDQKWYAQLLDISGPNVLDTMTHHIYNLGAGNDPQVANRILNPQYLSQSSDTFRDLQMTIQRHGPWSAPWVGEAGGAYNSGSRKVSNTFLNSFWYLDQLGQSANALLWHRLMGKGVISLDTSGSSYLRAYAHCGKQKGGVALLMLNLNKNMGFMVSVRNDLNINFREMAGIKRDNSFVHGLKRTVSWVGSKASDGLEKREEYHLTPQDGNPFARTVLLNGAPLQLTGDGDIPSLPPVMVSVNSPIYVAPLSIAFVVFPDFEAEGCER >ORUFI12G18630.3 pep chromosome:OR_W1943:12:20142615:20148316:1 gene:ORUFI12G18630 transcript:ORUFI12G18630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACSGEESMRLWFLIPLLLCFPTLIRSEDYSDVTIVVRGAETIASTSNEFICATIDWWPPEKCNYDQCPWGQASILNLDLTNPLLAKAIQAFSPLRIRLGGSLQDQVVYGTPNLGSPCTPFSKSSSGLFGFSQGCITMERWDAINKIFMDTGAVVTFGLNALQGRQQMGRGVWGGAWNSSNAREFMEYTVSMNYPIDSWEFGNELSGSGIGASVGAEQYGKDIIELKNIISQLYGNSRKPLVVAPGGFYDQKWYAQLLDISGPNVLDTMTHHIYNLGAGNDPQVANRILNPQYLSQSSDTFRDLQMTIQRHGPWSAPWVGEAGGAYNSGSRKVYLDQLGQSAKYDTKVYCRQTLIGGNYGLLDTETFVPNPDYYRQVFLYFALPQSCFTTLYTFCTKPRYMFSALLWHRLMGKGVISLDTSGSSYLRAYAHCGKQKGGVALLMLNLNKNMGFMVSVRNDLNINFREMAGIKRDNSFVHGLKRTVSWVGSKASDGLEKREEYHLTPQDGNPFARTVLLNGAPLQLTGDGDIPSLPPVMVSVNSPIYVAPLSIAFVVFPDFEAEGCER >ORUFI12G18640.1 pep chromosome:OR_W1943:12:20147156:20151574:-1 gene:ORUFI12G18640 transcript:ORUFI12G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRLSPSMRSITISSSGIGIGGGNGGGGGGGGGVGSGLLDLMKLKAAARHFSYRTVFHTVLILAFLLPFVFILTALVTLEGFNKCSSLDCLGRRLGPRLLGRGNDGSMRVMRDLYRMLDEINSEEVPVDLKVPDSFDEFIWDMKNNDYDLRSFAFRLKATMESMDRELRTSRLSEQLNKHYAAIAIPKGLHCLSLRLTDEYSSNALARKQLPPPELIPRLSDNSYLHFVLASDNILAASVVVSSTIRSSLKPGRIVFHVITDKKTYPAMHSWFALNTLSPAIVEVKGVHQFDWLTRENVPVLEAIETQHTVRSRFHGNHLARNSAGDSPRVFAAKLQAGSPTYTSVLNHIRIYLPELFPNLNKVVFLDDDVVVQRDLSSLWDIDLGGKVNGAVETCRGGDTWVMSKRFRNYFNFSHPLIANNFDPSECAWAYGMNIFDLSAWRKTSIKDKYHHWVRENLSSNFTLWRLGTLPPGLIAFRGHVHPIDPSWHLLGLGYQEKTDIPSVQKAAVIHYNGQSKPWLDIGFKHLQPFWTRHVNYSNEFIRNCHIMEPQL >ORUFI12G18650.1 pep chromosome:OR_W1943:12:20155187:20156269:-1 gene:ORUFI12G18650 transcript:ORUFI12G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEWDSPCQFCGKNFKRRGDLLNHELTCKYISDVHPQTESSGDAQARKDILGFHIPRQKRTVPAFPASDYAQGGINGATSEVKVDWDPEEETDVLEALLLLREQPAYPGEETFSDDEPGAGNVDDEPAASNNPDQVLKMCSDKGSSPVECYGGDERRVGACAIAGVEEDDLSPNVGCRGVTDCVDESDVIQKQKRKPDLSVADLRDLVEMKQQNMKADTNIHDQGHPSVMQMQKNELSLDLLPHKNSSDHEVPTLSVSSMDDPDGLVSTDTNSDKETETVNVGIDINIPEQRESSVTRMQNEKLGLNLLPRNDSSGHEVPILSVSSTDDLNSLIPTDTSSDKDTNSNVADRLGHCPAQE >ORUFI12G18660.1 pep chromosome:OR_W1943:12:20159038:20166197:-1 gene:ORUFI12G18660 transcript:ORUFI12G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGKPWKHCCNKCDKSFRSGNALGGHMSCHRSVETQCQMCSKVFSTSGSLREHMMMHGGEKVVVKAEEEAAGLIEALGIADSMQDVMVFSSVKRKRSFRSKRQTPALSLEEIEAADALLLLSGCFDKTSAYEDCYLGDIEDSSLRSIVLTEVNMNAVDRCSVRSVGSKEPINDNNSGYKDCYGQSDKENCLIVPKEEMDPNDFDHELVRDAALRKPRTDNSDEEMKFGDLPAAAMKDNSHRCNTCGKSFGSGQALGGHMRRHYVRKCNRQRGVADHAGSVLMKVQKLKLRLDPILFDVTLPALTDGDCCISVGVKPEPQLWCVTSNLHRPIMTRVLILRLTTNPLFFPDASLSPLLSPLPQPKPPQLAAALARPRRMNLGREMASDVPQDDVQCHFCGTYLRPRSFRKHQQRCKYNPDALTRQNLSASSIPTSATRATHSEMASDVPQGYVQCHFCDTYLRPRSLRKHQQRCMYNPDALTRQNLSASSIPTSARRATHSEMASDGPQENCQCSFCHKDFEGRSSCAEHELQCKNNPDVHSQTEPSGNAQGGIGSLDVHVPRRKRTARNQPLPEQHLKKPASDYAQGGTSDAPETPPKPTTSEVKVDSAVNAPDITIPDNKDPSVMQKQKKKLDLNLQPHSDSSDQEEQADNFSSINDLSTIDTNSDKKKNTITGVADTNIPDHKDPSRMQMQIKLDLNLPPHDDSSSSMDDLKTLAPTDTNSDKETNTGNGGVDTNIPDHSDPSAMQTKNKLDFNLPPRNDSSDSLV >ORUFI12G18660.2 pep chromosome:OR_W1943:12:20159038:20166197:-1 gene:ORUFI12G18660 transcript:ORUFI12G18660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGKPWKHCCNKCDKSFRSGNALGGHMSCHRSVGNQPKSTSSPPTVVDLHMPLLSSCDDNLLLLPPETQCQMCSKVFSTSGSLREHMMMHGGEKVVVKAEEEAAGLIEALGIADSMQDVMVFSSVKRKRSFRSKRQTPALSLEEIEAADALLLLSGCFDKTSAYEDCYLGDIEDSSLRSIVLTEVNMNAVDRCSVRSVGSKEPINDNNSGYKDCYGQSDKENCLIVPKEEMDPNDFDHELVRDAALRKPRTDNSDEEMKFGDLPAAAMKDNSHRCNTCGKSFGSGQALGGHMRRHYVRKCNRQRGVADHAGSVLMKVQKLKLRLDPILFDVTLPALTDGDCCISVGVKPEPQLWCVTSNLHRPIMTRVLILRLTTNPLFFPDASLSPLLSPLPQPKPPQLAAALARPRRMNLGREMASDVPQDDVQCHFCGTYLRPRSFRKHQQRCKYNPDALTRQNLSASSIPTSATRATHSEMASDVPQGYVQCHFCDTYLRPRSLRKHQQRCMYNPDALTRQNLSASSIPTSARRATHSEMASDGPQENCQCSFCHKDFEGRSSCAEHELQCKNNPDVHSQTEPSGNAQGGIGSLDVHVPRRKRTARNQPLPEQHLKKPASDYAQGGTSDAPETPPKPTTSEVKVDSAVNAPDITIPDNKDPSVMQKQKKKLDLNLQPHSDSSDQEEQADNFSSINDLSTIDTNSDKKKNTITGVADTNIPDHKDPSRMQMQIKLDLNLPPHDDSSSSMDDLKTLAPTDTNSDKETNTGNGGVDTNIPDHSDPSAMQTKNKLDFNLPPRNDSSDSLV >ORUFI12G18670.1 pep chromosome:OR_W1943:12:20171175:20178834:1 gene:ORUFI12G18670 transcript:ORUFI12G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTPTPAPATTRKKWKGEADNGGEGEAEGEGESEGQVGMEEESEAEAHRADLDQGESDGEKVQSSPGELSDRVMQNDAAGMDSEDEAYQQRPVASRRRGVVASESEGSEDDYYAGRAHEDEEPRQTRKASSSPVEEERDQEVVRDVFGESDEDEPAPYRDQQEIDEDSHRSPMEDEGHYEKDLQPDDVVADEDMRYESDENRELKPKEKPVGPPLNLVVPLKQPPAQPERMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVKNANGTTSVVGALCGYPYDI >ORUFI12G18670.2 pep chromosome:OR_W1943:12:20171175:20178834:1 gene:ORUFI12G18670 transcript:ORUFI12G18670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTPTPAPATTRKKWKGEADNGGEGEAEGEGESEGQVGMEEESEAEAHRADLDQGESDGEKVQSSPGELSDRVMQNDAAGMDSEDEAYQQRPVASRRRGVVASESEGSEDDYYAGRAHEDEEPRQTRKASSSPVEEERDQEVVRDVFGESDEDEPAPYRDQQEIDEDSHRSPMEDEGHYEKDLQPDDVVADEDMRYESDENRELKPKEKPVGPPLNLVVPLKQPPAQPERMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVKNANGTTSCESNARIVKWKDGTMQLLIGNEVLDISVHEAHHDQSHLFLRNGKGVLQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWIESKDPERVKQEKERALGQNIRAHSILQRKREKVSRKYTQPARQRRQLSPGFLEDALDEDEEPDHQYGSRRMPARSRFGDELEAEALAERRIVSAKKSSMGRNIPRKPSFPARPPRRQENEYK >ORUFI12G18670.3 pep chromosome:OR_W1943:12:20171175:20178834:1 gene:ORUFI12G18670 transcript:ORUFI12G18670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTPTPAPATTRKKWKGEADNGGEGEAEGEGESEGQVGMEEESEAEAHRADLDQGESDGEKVQSSPGELSDRVMQNDAAGMDSEDEAYQQRPVASRRRGVVASESEGSEDDYYAGRAHEDEEPRQTRKASSSPVEEERDQEVVRDVFGESDEDEPAPYRDQQEIDEDSHRSPMEDEGHYEKDLQPDDVVADEDMRYESDENRELKPKEKPVGPPLNLVVPLKQPPAQPERMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVKNANGTTSVSGMFFK >ORUFI12G18670.4 pep chromosome:OR_W1943:12:20171175:20177652:1 gene:ORUFI12G18670 transcript:ORUFI12G18670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTPTPAPATTRKKWKGEADNGGEGEAEGEGESEGQVGMEEESEAEAHRADLDQGESDGEKVQSSPGELSDRVMQNDAAGMDSEDEAYQQRPVASRRRGVVASESEGSEDDYYAGRAHEDEEPRQTRKASSSPVEEERDQEVVRDVFGESDEDEPAPYRDQQEIDEDSHRSPMEDEGHYEKDLQPDDVVADEDMRYESDENRELKPKEKPVGPPLNLVVPLKQPPAQPERMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVKNANGTTSCESNARIVKWKDGTMQLLIGNEVLDISVHEAHHDQSHLFLRNGKGVLQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWIESKDPERVKQEKERALGQNIRAHSILQRKREKVSRKYTQPARQRRQLSPGFLEDALDEDEEPDHQYGSRRMPARSRFGDELEAEALAERRIVSAKKKHSSQTILSCSSA >ORUFI12G18670.5 pep chromosome:OR_W1943:12:20177183:20178834:1 gene:ORUFI12G18670 transcript:ORUFI12G18670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKERILSTHQPRGGKMSLMKRMNMRKMWKKKQLCQMKKSRNQNGGENLGVPVPAKGARRSTRMMILLQENNRQFIVGRQSFLTVMTNDEKKKVANTNICLRIVV >ORUFI12G18670.6 pep chromosome:OR_W1943:12:20171175:20172188:1 gene:ORUFI12G18670 transcript:ORUFI12G18670.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRERDVEEETRNQMMQNLFGDQSEEEEEDDDEAVDFVDEDDHPHPHQQQQQLRHQVVDDDDDDDAHARSGYHSEEVEAGRSGKVRLIMEGKVKRKEKVKVRGKLGWKKKVKLKLIVLILIKEKVMGKRSRAPQENLVTG >ORUFI12G18680.1 pep chromosome:OR_W1943:12:20181368:20182307:-1 gene:ORUFI12G18680 transcript:ORUFI12G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIFMEEVNRTNHSELKLVVRVGSFFSLPDEGPKTYMEGKTLPTQLVDMHNYDLLELVNFIAEHFIWGSKQYMTLWCSMDGDSVEITSDEQLLDWFQLNLEKGDVSSFSEEVQVWVLLLPLIVMEMEVEVEVVLLVVVGGEEQVLLLPLVEVEVVVVVVGGEGEEEEGG >ORUFI12G18690.1 pep chromosome:OR_W1943:12:20188457:20188951:1 gene:ORUFI12G18690 transcript:ORUFI12G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADAGTVTEEGYFVFVQQLVVRITGGGAAAAAGISLPTSSSMQEEASAVVDVTIDGLASATTHGNAIVADDDAGDDGNVRRPPSSSSRGGGGGGGGTIRTSRSRRRRRRSVVWEHMEVDPSGNEASCRYCTKTLSANSRGGTSHLRRHVNRCALRQLARALDI >ORUFI12G18700.1 pep chromosome:OR_W1943:12:20191242:20197767:-1 gene:ORUFI12G18700 transcript:ORUFI12G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPCAGDQRRRPSGKLAACGTSKLNGGRGGASRLEVDDAGEAITSWTSLHVDCNMEIDIQPSGLHSGVTEQDLKKSVEAGTPAAS >ORUFI12G18700.2 pep chromosome:OR_W1943:12:20191120:20197767:-1 gene:ORUFI12G18700 transcript:ORUFI12G18700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPCAGDQRRRPSGKLAACGTSKLNGGRGGASRLEVDDAGEAITSWTSLHVRIAFGCNRTRPKEICRGRDTGGKLAGKPTRKLATRSITWPARANHLLPPRMYTSARSSPRRSVS >ORUFI12G18710.1 pep chromosome:OR_W1943:12:20192220:20192654:1 gene:ORUFI12G18710 transcript:ORUFI12G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAAPPFPHVASIRFQAVRRLWAMRRIPPHPRSRPDAAHAIDRATSASRVAPVHRIVPRPNSVSRQVRPSAANPGIRQRHARWARDSTPVKPPSPSAVTPRDEERSRLDKRVAIATSAKLSRRMTSRRPSMHPLDKTMRTLSS >ORUFI12G18720.1 pep chromosome:OR_W1943:12:20201462:20202517:1 gene:ORUFI12G18720 transcript:ORUFI12G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLAGLLAQDQDGDDQEVTSHAAAASTQGDANFTGGLDGVDDAASLWVQPKAKNPLVDDPDLIRFADDLLNLTAAAIGAASVEYDVHPNGGQGRRRSTIRRRRRRRRRSVVWKYMTLDPSGNEASCTYCTKKLSANSKGGTSHLRRHVNKCALRRLQALLMDI >ORUFI12G18730.1 pep chromosome:OR_W1943:12:20208540:20211235:1 gene:ORUFI12G18730 transcript:ORUFI12G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFSSSMEEAGHCHRRPQRGPLVPVAAIAEDHHVPDAPPPPPAAAAAEIRQNVAKFIIKRPLLAAAYTGLSEEESTNDSFKNQDLFDVVASCVKQTADAGQQQPSFSYPAASTPISVLQVLRPRDPNLNFTGSRKRKDEPNGCGAHHLGKENMPIGEGNLPKESKSPVWEHMEKDQPSKDMATCVHCSKVYTAKSTNGTSHLRRHLTSKCLKRKGLTEELAKLTSTKKARKIR >ORUFI12G18740.1 pep chromosome:OR_W1943:12:20229212:20229568:1 gene:ORUFI12G18740 transcript:ORUFI12G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPHIFFLSFFFSSLLFSFLSHLLVLLFATEEGGAAASEDERPEQAGERVATGGVEWGDSGSGRARIQSSVASASRSWRVSAGRGVGRLSVSPGGETPKTVAAAIDAMSSSTPLISF >ORUFI12G18750.1 pep chromosome:OR_W1943:12:20231216:20235314:1 gene:ORUFI12G18750 transcript:ORUFI12G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAAAALEGSEPVDLVKHPSGIIPTLQFSLGGYYMFEVLICLIDEKGGRSEIRESEKVEHAYIAACHSVPASMCSHKNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFAAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKVQQCLLPI >ORUFI12G18760.1 pep chromosome:OR_W1943:12:20237826:20239316:1 gene:ORUFI12G18760 transcript:ORUFI12G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGACEAAPARRRGLTVLPLVALIFYDVSGGPFGIEDSVRAGGGALLPILGFLVLPVLWSLPEALVTAELASAFPTNAGYVAWVSAAFGPAAAFLVGFSKWASGTLDNALYPVLFLDYLRSGGGLVLSPPARSLAVLALTAALTYLNFRGLHLVGLSALALTAFSLSPFVALAVLAAPKIRPSRWLAVNVAAVEPRAYFNSMFWNLNYWDKASTLAGEVEEPRKTFPKAVFGAVGLVVGAYLIPLLAGTGALPSETAGEWTDGFFSVVGDRIGGPWLRVWIQAAAAMSNMGLFEAEMSGDSFQLLGMAEMGMIPAIFARRSRHGTPTYSILCSATGVVILSFMSFQEIVEFLNFLYGLGMLAVFAAFVKLRVKDPYLPRPYRIPVGAAGAAAMCVPPVVLITTVMCLASARTLVVSAAVAVAGVAMYYGVEHMKATGCVEFLTPVPPDSLRGSSSSSSSSAASDNGGDDDVEDVCALLLAAGEHAGEGVSVSKENY >ORUFI12G18770.1 pep chromosome:OR_W1943:12:20245612:20247955:1 gene:ORUFI12G18770 transcript:ORUFI12G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPVAKKVAARVDTMEIKSQIAKKLGAERSEHYFHSLKKFLGGQLGKEEFDKICVATMGRDNIKYHNFLIRSILSNAYSATAPPPPPPPSRQATTGNSQTSTVSVSNGAVANHGVMAGVMRGPALATREARFERPSPLGKSPLGHQGTGEFVSAGSKAPLEVVSVEDGEEVNQAGGSPVYAQSRSPIRAPLGVSFGDPKAQNSRPSIPHPSLICYKNGELPEAQRLLKLLENKLQAEGLGLTQECADVLNSGLNAYLSRLLKSCMGVAKSRGKRVMMNYPNVTTVAVINGVQYQRSTGSADYSYQASLLDLETAVVCNPQLLGGNHVQTTCSTNRTTGV >ORUFI12G18780.1 pep chromosome:OR_W1943:12:20252873:20254843:1 gene:ORUFI12G18780 transcript:ORUFI12G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMDKLVRQCDMEVMKMAMLKHEETFRQQVHELHRLYRIQRQLMSDLTMAELSSGHRRRQPRRSSKQPRRALNLQLPADEYIVSADAADDNDDTAELDLTLAVGGGRSSRKCNAAIAAAAAAAGGSSPFASDCSGSGLSSSPSSAEYSDGAPMFLHAPPPMPPPCQRAMAFDLAMGDAMKQQQSPWLVQCQYLSLRMT >ORUFI12G18780.2 pep chromosome:OR_W1943:12:20250567:20254843:1 gene:ORUFI12G18780 transcript:ORUFI12G18780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMDKLVRQCDMEVMKMAMLKHEETFRQQVHELHRLYRIQRQLMSDLTMAELSSGHRRRQPRRSSKQPRRALNLQLPADEYIVSADAADDNDDTAELDLTLAVGGGRSSRKCNAAIAAAAAAAGGSSPFASDCSGSGLSSSPSSAEYSDGAPMFLHAPPPMPPPCQRAMAFDLAMGDAMKQQQSPWLVQCQYLSLRMT >ORUFI12G18790.1 pep chromosome:OR_W1943:12:20260328:20264886:1 gene:ORUFI12G18790 transcript:ORUFI12G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASKLVYFQRRRPSPAPPEPEPEPPDPRRRPCRAGAGASAARRRKPILLVEAGVAMHLAEGVDAVPDSGQMAKAIAAVVGDKGKPFRERAGQEHVPGSKRDTSKGVASAGNITGQAGSTGSSSRLNRSVSDHGRLPDSVQQARERLLQRLNSVDLSGRRQNTSLSSETIHGGVAPGVSTTADSIFSSLTSCFHTDVSIAPCKLQESTAETFNTADKHTFIAHCSEPAPTQEVASCRVTDDDELAGPSTECSICLERCGDADGLLELRCKHIFHSACLERWLRSRSDCPYCRASVLLTAEG >ORUFI12G18790.2 pep chromosome:OR_W1943:12:20260328:20264886:1 gene:ORUFI12G18790 transcript:ORUFI12G18790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASKLVYFQRRRPSPAPPEPEPEPPDPRRRPCRAGAGASAARRRKPGQEHVPGSKRDTSKGVASAGNITGQAGSTGSSSRLNRSVSDHGRLPDSVQQARERLLQRLNSVDLSGRRQNTSLSSETIHGGVAPGVSTTADSIFSSLTSCFHTDVSIAPCKLQESTAETFNTADKHTFIAHCSEPAPTQEVASCRVTDDDELAGPSTECSICLERCGDADGLLELRCKHIFHSACLERWLRSRSDCPYCRASVLLTAEG >ORUFI12G18800.1 pep chromosome:OR_W1943:12:20266055:20269760:1 gene:ORUFI12G18800 transcript:ORUFI12G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRWLARPAERCLGRGGGGGGGGGGDGLLWHAELKPHASGEYSIAVAQANAELEDQGQVVTSPAATFVGVYDGHGGPEASRFISSRLFPHLHRFASEQGGMSTDAIKRAFHATEEEFLHMVKRSWLKQPQIASVGSCCLVGAITDNVLYVANLGDSRAVLGRRGPDGREVVAERLSNDHNVAEEEVRKELTEQHPDDSRIVIYTRGVWRIKGIIQVSRSIGDVYLKKPEFARDPIFRQYVCSIPLKRPVMTAEPSIKEHQLRQQDLFLIFASDGLWEQLTDKAAVDIVFKNPRAGIAKRLVRAALTEAARKREMRYTDIKHIERGSRRNFHDDITVVVVYLDHHKHGVRPNLGNRNSFRFTNAPVDIFSGSSEEVDHHPLRLNLAMDGAVG >ORUFI12G18810.1 pep chromosome:OR_W1943:12:20270748:20271867:-1 gene:ORUFI12G18810 transcript:ORUFI12G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAARGYSAVGGGAALLLMLLLSLTVGPAFV >ORUFI12G18820.1 pep chromosome:OR_W1943:12:20271689:20272300:1 gene:ORUFI12G18820 transcript:ORUFI12G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFFGFLVAGAGAGTSSDGAGAAAMTGAGARGAGVGGGDDDNAGAGASATGGAATGGGNVGGGAPSTGAGAGGDGDTVVGAGAAAGGGNAGDGEVAAAGGGDVVGAGEVAGEVSLLPEWDGDAAGEVEMWRWRFLEEDEGAEAAGECAAPELGAPAGDDWEVTAEAAARRSTGRRRWRAAIGNGSEISVWRSEGGESKAELK >ORUFI12G18830.1 pep chromosome:OR_W1943:12:20283930:20285689:-1 gene:ORUFI12G18830 transcript:ORUFI12G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPESGGAGKGSNGEAGGSGAVHKHGAVVRRAAGIASGEAKRSATADVRRAAGASGTVGYPDGGGRRDDEPVREELHGSGGDYNDPSKSKRVRGEPHVERALSAVFRGEETHVERVPSEEAKEAAKQWANGNQNVANNAFSSAPSKKRRNLAGHKKKVEQFRIEEYLTGIEEIDDDLRKLIGLFNQMIPLVKAAQEESLSQEGLSDVNREMKFATDEMRVLRKKINGKGGKAPIIPPQFLERYY >ORUFI12G18840.1 pep chromosome:OR_W1943:12:20292871:20296325:-1 gene:ORUFI12G18840 transcript:ORUFI12G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQGFFSALREEVARGLSPARARRRSQAAAAAEVAAALRYAGGGGGGEMLAPLMEGPDPESGDGGRSSSSAAAARREGWGRWVRGQLSRAPSTAGAAVVAAGGGGGAARRNDLRLLLGVMGAPLAPVHVAAGEPLPHLSIKDTPIETSSAQYILQQYLAASGGQKLLSSVRNSYAMGKVRMVATEFETGGRVVRNRMAARATESGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHSAKGPVRPLRRALQGLDPLTAASMFAGARCIGERKVNGEDCFILKLCADPETLRVRSEGLAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQSTTGGDAVYWETTINSFIEDYRPVEGIMVAHAGRSAVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSIDCFIPPTDIKSGSISETVELPHGEKSKVGLLQCHSAKVAALEKADDNVAWSGALQRDFKTRRGVSHPAPNHMVRDHGGGAVLSLIAILIYRSPARKKGVLSLL >ORUFI12G18850.1 pep chromosome:OR_W1943:12:20314446:20320571:-1 gene:ORUFI12G18850 transcript:ORUFI12G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRLRLHHLLLSILSALPTCPLDHSTPTSAAAAAAATASHHHVVTTLPGYDGELPFRLETGYVAVDEEEHGAELFYYFVESESGDPRRDPLLLWLTGGARCSVLSGVFFEVGPVRLALEHHRPYDAGELPRLRYHPHGWTKAASVLFVDSPVGAGWSFSRHPDGYLVGDVSASLQLKHFLAKWISDHPEYLANPFYIGGDSYAGKIVPFLAQKISEDIEAGVRPIVDLKGYLVGNPVTGESIDFDSRVPYAHGVGIISDQLYETIMEHCQGEDYSNPKNAICRQALDRFNELLGESSGGHILYNYCIYDSDIDGSIQEKPKIPPFPPRECIGSVDEWLRCHNGDLPYSMDIKSNIKFHHNVTTKGYRALVYSGDHDAMIPFLGTQAWVRSLNFSVVDDWRAWHVDGQSAGFTITYMNNMTFATVKGGSHTVPEFEPERSLAMFKRWISNEPL >ORUFI12G18860.1 pep chromosome:OR_W1943:12:20325972:20329459:-1 gene:ORUFI12G18860 transcript:ORUFI12G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPAAATATASSAATPADDEAHSLLPSTPSNEEDDDDLEERAYEATEKVIVSISDFPDADDDEEESGLATSTAASGIPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAVAGDTLLWLLLWATSMGLLVQLLAARVGVATGRHLAELCRDEYPSWARRALWLMAEVAMVGADIQEVIGSAIAIKILSRGFLPLWAGVVITALDCFIFLSLENYGVRKLEAVFAILIATMAVSFAWMFTDTKPNMKNLFIGILVPKLSSRTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDPNKEHQVREALRYYSIESTIALAVSFMINLFVTTVFAKGFYGTKEAGNIGLENAGQYLQEKFGGGFFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLKLKKWIRSLITRSFAIVPTIIVALFFDKSDSLDVLNEWLNVLQSIQIPFALIPLITLVSKEKVMGVFKIGRNTQAVTWTVATLLITINGYLLLDFFSSEIRGLLSGSILCVAVLAYASFVLYLILRGTELPNQIIRTIRKSFS >ORUFI12G18870.1 pep chromosome:OR_W1943:12:20341110:20345245:1 gene:ORUFI12G18870 transcript:ORUFI12G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSGILAPTRGASSSSSAVGRKLLLLGARHPPSSVAVAGRGVWRRGLAGVGVAAAAASSSSPDELHARGRPLRGGAYEERSALWNLIKDIEPLDLSIIQKDVPSETVDAMKRTVSGMLGLLPSDQFHVVIESLWNPFFKLLASSIMTGYTLFNAQYRLSLERTLEFSEEETECKKRDSCEEIHSVGRPSMFLSLPEDVGLTIESEMADEKLCGNMDGLGSLSIEAKKLILGMQSRLDSMEKELHELKKKNSSQQMQQFAGEEKNELLYYLRSLSPEKVVELSESSCPGVEEAVYSVVHGLLATLSPKMHTNRSPTSENMAGGAVNFGMEEDDEFTELVEDVSLPFQPLISIPRDRLARLLFWCMMLGHYIRGQECRLELMHLLAVSSDAHS >ORUFI12G18880.1 pep chromosome:OR_W1943:12:20345995:20348641:1 gene:ORUFI12G18880 transcript:ORUFI12G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENSTPASVARLTRSSAAAGAQAKRSAAAGVADGGAPPAKRKRVALSDLPTLSNAVVVAPRQPHHPVVIKPSSKQPEPAAEAAAPSGGGGGSPVSSASTSTASPSSGWDPQYASDIYTYLRSMEVEARRQSAADYIEAVQVDVTANMRAILVDWLVEVADEYKLVADTLYLAVSYLDRYLSAHPLRRNRLQLLGVGAMLIAAKYEEISPPHVEDFCYITDNTYTRQEVVKMESDILKLLEFEMGNPTIKTFLRRFTRSCQEDKKRSSLLLEFMGSYLAELSLLDYGCLRFLPSVVAASVVFVAKLNIDPYTNPWSKKMQKLTGYKVSELKDCILAIHDLQLRKKCSNLTAIRDKYKQHKFKCVSTLLPPVDIPASYLQDLTE >ORUFI12G18890.1 pep chromosome:OR_W1943:12:20349250:20349843:-1 gene:ORUFI12G18890 transcript:ORUFI12G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQADESSVNKESSEQQQQQLTSEQDDDGATWLSLTLATQGSPEEATAEAEETEAANCSESEAPKPSSAPHKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRSYHAQRMMMGLPLEAHAAFVHSLRVNQSSVIQKASQQAQIRTAPRFHEGSISWPPIAYEEVPNSTWPGSFRLRSQPSDQPSEQSKIDLNLRL >ORUFI12G18900.1 pep chromosome:OR_W1943:12:20351485:20353858:-1 gene:ORUFI12G18900 transcript:ORUFI12G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGGAAPFLIVIAVLFLVVAILARTRRRDGDAAPSQPVLGHLHLLKRPPLHRSPRRRGQGAAGVAAAGPRGGRCSRRRTPRRGGALHGVRRRDGGEAPGCSPWTSSGTATPPSPTAKGILENPNNCTFEEEREKSQRRNFIYLLDKGICMTNPPHLLTVVKKVCSFITWDGYQYMSNQFGRTNAVALPLKKGAGFELILQQDLHY >ORUFI12G18910.1 pep chromosome:OR_W1943:12:20356026:20361720:-1 gene:ORUFI12G18910 transcript:ORUFI12G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGLEVAMVAGGGNGGAAVLVGITVLLFVVVVVVVVLVRWWSGGEGGAAPSPPALPVLGHLHLLKKPLHRSLAAVAAGVGAPVVSLRLGARRALVVSTHAAAEECFTACDAALAGRPRTLAGEILGYDHTIVLWAPHGDHWRALRRFLAVELLSAPRLAALAADRHAEAASLVDAILRDAAGGAKVTLRPRLFELVLNVMLRAATTRRRHASVDARKLQEIIEETFSVNGTPSVGDFFPALRWVDRLRGKVGSLKKLQARRDAMVTGLIDDHRQWRSGSAGDGDQDKEKKGSLLFAGTDTSALTIEWAMAQLVTHPETMKKARAEIDANVGTARLVEEADMANLPYIQCVIKETLRLRTAGPVIPAHEAMEDTTVGGFRVARGTKVLVNAWAIHRDGDVWDAPEEFRPERFVDSDAGGAVTAPMMPFGLGRRRCPGEGLAVRVVGVSVAALVQCFDWEVGDDDVVDMTEGGGLTMPMATPLAAVCRPREFVKTILSTSIGHSIAHVSRSNA >ORUFI12G18920.1 pep chromosome:OR_W1943:12:20365030:20365374:1 gene:ORUFI12G18920 transcript:ORUFI12G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATVAGSRGDRRAREAPDLLPPPPPPALLLFSSLRCRQPRLPARAATDVRGKRPREAPAPAEDDAPMGCGGRGGGCGGRRQISSPRRRRREEEEVVLVKEDEQKQKHCGRVL >ORUFI12G18930.1 pep chromosome:OR_W1943:12:20366062:20366803:-1 gene:ORUFI12G18930 transcript:ORUFI12G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSCEFTLKLLVIQKWLFSWPNSNTDCSRVGCLFPLISRMYEASLAPHLMTQTGLGIHSISLNTGISSLSAAIEVNLADLDASICGPATHLYDYEDAMCLSTGGWDRGGLVANCFSSYHENRGAIPWCNRTQMSYLDDDFSLFTQPLKAANHQISTGQCPSSPLPSSTLLGKPGNQEARPIVSRLFCSQTQRSDHTTTKAEAVRHELQLC >ORUFI12G18940.1 pep chromosome:OR_W1943:12:20367358:20367852:-1 gene:ORUFI12G18940 transcript:ORUFI12G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDCCGRGAGGAAEAGAAGAGGGRKRGCAGTALALVALAAAAAVAVLEGTAGGVSYVGDGWLHECAKWDADGRRLLVSNFFGAGVSELRAEAKGKEKEEERVVLADPDVAGRVALGLTVDAPRGRLLIVYADRLPRFAYSAVAAYDLASWRRLFLTRLDGPGA >ORUFI12G18950.1 pep chromosome:OR_W1943:12:20374306:20375084:1 gene:ORUFI12G18950 transcript:ORUFI12G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAGVADPATAEPRAADPAAGGPRAAVAGHASPPGADRGAGGGARLIRARAAALGRRRRCAADPDAGGGYQALAGRLDLPPPDRRRLAERRHDRTRGSSLQNHFCSGLRWRLRRGSVQRRWRPAGLGSGVVEAEAVEA >ORUFI12G18960.1 pep chromosome:OR_W1943:12:20380549:20382559:-1 gene:ORUFI12G18960 transcript:ORUFI12G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMITAYHFFMQGVDVFYSDAPLPWVHCKRRADESPSVERSLKCTASGTTYAGTPGRVVEGPKGFGKVSTQDDPGRAESSLGIEGAGAQADSEPTSAAAGEQADASGDAAPGTQAAADGVKAGDASAQPGAAGEQANVYGVAAPGAQAGAEGAKAGGDAGAGTNAGGRLSSSWRRSLPRTFSPLGVEGGPGRHAGKEATGDDEEEVEDILRRQGVLPWTNYISPLQASWFQVGQQEREALKKGFDDAADKADAEAMYEAGIMLMQKMQKIAERFEAKSEPLEHVFSNVTEIEGIRREGLPKRRRPVAKTSVAKLTAKLEEALKESNDLRTQLAGNFRLSFALTGFVLALWIDVSFPVVAQATIESDAAEEEQLAKDLKDKTTAFDNLLRLMCERRTVECNLSTLNGKCGWPRLGVRSWLNRWLPPFGCCFPPGKKTRIPSNWRLS >ORUFI12G18970.1 pep chromosome:OR_W1943:12:20459856:20460845:-1 gene:ORUFI12G18970 transcript:ORUFI12G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVTARRHAGDETRRFQEIVEETFAASGAPTVGDFFPALRWVDRLRGVVATLQSLQKRRDAFVAGLVDDHRRTRRAAAAAADKDQKKNGIIDALLTLQETDPDHYTDNVVKGIVLVLLTAGTDTSALTTEWAMAQLVAHPEAMTKVRAEIDANVGAARLVEEADMASLPYLQCVVKETLRLRPVGPVIPAHEAMEDCKVGGYHVRRGTMILVNAWAIHRDGDVWGSPEEFRPERFMDDGAGAGAVTAVTAPMLPFGLGRRRCPGEGLAVRLVGLTVAALVQCFDWEIGEGGAVDMAEGGGLTMPMATPLAAVCRPREFVKTVVSDCF >ORUFI12G18980.1 pep chromosome:OR_W1943:12:20460884:20465766:-1 gene:ORUFI12G18980 transcript:ORUFI12G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISQAFVFASLLLLLLLTWLLFHLLSYQAPPPNGDGGRRIPSPPALPVVGHLHLLKKPLHRSLAALAARYGGGAGLLLLRFGARPVVLVSSQAAADECFTAHDAALAGRPGLASRRLLTDGCPTIATAGHGARWRHLRRLATVHALCARRLAATSPARDAEARAMAARLYSSSSSSSAASAVVVGVKPAAYGFVASVIMSMVAGERMAEEDVLRFKAITEAGLAAAGAANRQDFLPFLRLLDFGRARRRLAGIAKERHDFGQRIVDEYRRRHRRRLAVAADDFSSSPPRRTVIGDLLRQQESSPESYADEVIRTVCLSLLQAGTDTSASTIEWAMALLLNNPDVLRKATDEIDSVVGMSRLLQEPDLANLPYLRCIITETLRLYPLAPHLVPHEASRDCMVAGHVIARGTMVLVDVYSMQRDPRVWEDPDKFIPERFKGFKVDGSGWMMPFGMGRRKCPGEGLALRTVGMALGVMIQCFQWERVGKKKVDMSEGSGLTMPMAVPLMAMCLPRVEMDLVVVVGVLFLMVAVVVMTRLGDGGAAPSPPAMPVLGHLHLIKKPLHRSLAEVAARVGAAPVVSLRLGARRALLVSTHAAAEECFTACDAAVAGRPRLLAGDVLGYGHTTVVWASHGDHWRALRRLLGVELFSNARLAALAADRRAEVASLVDAVLRDAAAGAAAPR >ORUFI12G18990.1 pep chromosome:OR_W1943:12:20470703:20476906:1 gene:ORUFI12G18990 transcript:ORUFI12G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALATSVGINLGLTVLLAAAYTLLRRRPPYVAVYSPRRPYAPPEPWLPAAWRRTEADVHAAAGLDGVVFLRIFVFSIRVFAAAAVVGVGVLMPVNFMGDQLRQIDFSDLPNKSVDLFSVSNVQDGSNKLWLHFSAVYIITGITCYLLYYEYKYISGKRLEYFMTSKPLPQHFTVLVRAIPVTNGVSVSDAVDKFFKEYHSSTYLSHTVVHQTGKLRRLLNDAENICTKLANLKSVRRTSGDPPGKFLGIFGRNDLVGKYQKRLEDLEENVRMEQSDTTRSRQEVPAAFVSFRSRYGAANAIYIRQSDKPTEWQTEHAPDPHDVYWPFFSTSFMDRWISKFVVSVASILLILVFLLVSAFVQGLTYMEQLETWLPFLRNILEIAVVSQLVTGYLPSVILHFLSSYVPSIMKLFSTMQGFISVSGIERSACNKMLRFTIWSVFFANVLTGSVLGQLEIFLDPKEIPKRLAVVVPAQASFFITYVVTSWTSIASELTQTAALLFHLWGSCAKCCKRDESKPPSMHYHSEIPRVLLFGLLGLTYFIVSPLILPFVLVYFCLGYFIYRNQLFNVYSPKYDTGGRFWPIVHGGTIFSLVLMHVIAIGVFGLKKLPLASSLLVPLPVLTLLFNEYCRNRFLPIFEAYSTESLIKKDREEESKPEMAEFFSNLVNAYCDPAMKPIQHSSNSDERTTPLLS >ORUFI12G19000.1 pep chromosome:OR_W1943:12:20482010:20483218:1 gene:ORUFI12G19000 transcript:ORUFI12G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLQPHSLTQAKLAIDRSSSMPPSMATARVASPSTDRKRKASSEDDIDAAAAAAALTSPLAPARGRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPRPSPPPAAVAPPETTTTPALPSKVTNLLLLRLKARNQQVVDAGAAAPQEAALLQLQQQTPASCQEVFVRSHGEEYGFHVDDFLSDACSNEQYSGDSSLGLDDDDGEVEDEEEEELDFQFMDVAPGASSAAAEAGLGGEGALCSPFEMVAAELGGAVDVAAHDAMRQMDYERKISASLYALTGVSECLRIRAAAGATAAARDHLTGLREACRKKQKFAAAAAAPPQQQEPSPPPQQPETPASSGGGMSETASGGGGDGDVLMWSSLDLAPICHMA >ORUFI12G19010.1 pep chromosome:OR_W1943:12:20484295:20487515:-1 gene:ORUFI12G19010 transcript:ORUFI12G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAGLAALLAALLAAAFRRIRRHPHPAPAAGFFHPYTNDGGGGERVLWCAVRAAQELRPGLPCAVFTGDADASPDGLAARALDRFGVRLLRPPQVVHLNRRKWIEASTYPHFTMIGQSLGSVYLAWEALNKFTPQFYFDTSGYAFTYPLARLFGCKVICYTHYPTISSDMVERVKQRSSMYNNDSRIAGSIWLSRCKILYYSIFSWLYGLVGSCAHLVMVNSSWTRSHIENIWRIPERTRRVYPPCDTSALQMLPLERSTTPPILISVAQFRPEKAHGLQLEAFAIALKKLSPEFPKPKLQFVGSCRNKEDLERLQKLKDRSTELHIDELVEFHKDISYRDLVQLLGGAVAGLHSMTDEHFGISVVEYMAAGAIPIAHKSAGPMMDIVLDEDGQQTGFLASEKEEYAEAIVKVLRMPEAERHEMATAARKRAQRFSEHRFHEDFTDAVRPILSAT >ORUFI12G19020.1 pep chromosome:OR_W1943:12:20491412:20492752:1 gene:ORUFI12G19020 transcript:ORUFI12G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLFVLVLIMCSTTALITCTNGGAGDGGEGLHMKLTHVDAKGNYTAEELVRRAVAAGKQRLAFLDAAMAGGGDGGGVGAPVRWATLQYVAEYLIGDPPQRAEALIDTGSDLVWTQCSTCLRKVCARQALPYYNSSASSTFAPVPCAARICAANDDIIHFCDLAAGCSVIAGYGAGVVAGTLGTEAFAFQSGTAELAFGCVTFTRIVQGALHGASGLIGLGRGRLSLVSQTGATKFSYCLTPYFHNNGATGHLFVGASASLGGHGDVMTTQFVKGPKGSPFYYLPLIGLTVGETRLPIPATVFDLREVAPGLFSGGVIIDSGSPFTSLVHDAYDALASELAARLNGSLVAPPPDADDGALCVARRDVGRVVPAVVFHFRGGADMAVPAESYWAPVDKAAACMAIASAGPYRRQSVIGNYQQQNMRVLYDLANGDFSFQPADCSAL >ORUFI12G19030.1 pep chromosome:OR_W1943:12:20494175:20497070:1 gene:ORUFI12G19030 transcript:ORUFI12G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGICSPQNHLPTPHLLAAAAGHRRRRRRRGEGDDDGGAHAGHDGRAADEQAGRALHTSHGFGCGVDKMNRLRLAIPSASKNVSRCGKLAICRAQSEDSKGGGGFLTGFLIGGAIFGTLGYVFAPQISKTLDSLLDENGQDSESDEHGLVKPRYGRYYDEGLEKTRQTLGDKISQLNLAIDKAASRLKRVAGNVEKEAINDESEIEISSFNDNELVAENLNEQGFVQAEQPSKAISAFGTDDFEIV >ORUFI12G19030.2 pep chromosome:OR_W1943:12:20494175:20497215:1 gene:ORUFI12G19030 transcript:ORUFI12G19030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGICSPQNHLPTPHLLAAAAGHRRRRRRRGEGDDDGGAHAGHDGRAADEQAGRALHTSHGFGCGVDKMNRLRLAIPSASKNVSRCGKLAICRAQSEDSKGGGGFLTGFLIGGAIFGTLGYVFAPQISKTLDSLLDENGQDSESDEHGLVKPRYGRYYDEGLEKTRQTLGDKISQLNLAIDKAASRLKRVAGNVEKEAINDESEIEISSFNDNELVAENLNEQGFVQGETAT >ORUFI12G19030.3 pep chromosome:OR_W1943:12:20494175:20497215:1 gene:ORUFI12G19030 transcript:ORUFI12G19030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGICSPQNHLPTPHLLAAAAGHRRRRRRRGEGDDDGGAHAGHDGRAADEQAGRALHTSHGFGCGVDKMNRLRLAIPSASKNVSRCGKLAICRAQSEDSKGGGGFLTGFLIGGAIFGTLGYVFAPQISKTLDSLLDENGQDSESDEHGLVKPRYGRYYDEGLEKTRQTLGDKISQLNLAIDKAASRLKRVAGNVEKEAINDESEIEISSFNDNELVAENLNEQGFVQGETAT >ORUFI12G19040.1 pep chromosome:OR_W1943:12:20497690:20502383:-1 gene:ORUFI12G19040 transcript:ORUFI12G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDVFQTEGNNIRFVATELATDIVIIVGEVKFYLHKFPLLSKSSRLQTLVASTNEESNDEIDISDIPGGPAAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLSSSIFRTWKDSIIVLQTTKSLLPWSENLKVINHCVDSIATKASIDPSEVEWSYTYNRRKLPSENGLDSHWNGVRKQQMVPRDWWVEDLCDLEMCLYKKVIMAIKAKGRISSEVIGEALRAYAHRRLFSSLESAVSNGLDCTRHSAALETIISLLPSEEGSVPCSFLLKLLRASCLLGSDEACRDNLTKRIGAKLDEASVSDLLIPANSDEAAMYNVDMISAMLEEFMAQHREDDDGAKLQEDDDQEAMDGDDDNLNGVSRSSKLAIAKLVDGYLAEIAKDPNLPLSKFIALTEMVPLATRPVHDGLYRAIDMYLKEHPGLTKGEKKRLCGLMDCKKLSPEASMHAVQNERLPLRVVVQVLFFEQVRAASSASAAAAADMPPAARSLLPREQDGNSYGSSRSTATEDDQWAPPPTTTSVDVTSFRSMSLANNKNGGVSGGGGDQAACKKPPPSSASAKGSGGGGLMPKKILSKLWSGKASSGENSSSDTSESPGEETRSTPSRNTRHSVS >ORUFI12G19050.1 pep chromosome:OR_W1943:12:20516263:20516708:-1 gene:ORUFI12G19050 transcript:ORUFI12G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAVLEASRSSSEEEAEVIVTHGGGGGGGGGGGQVEGWGKRKRSRRRRPQLPPSEEEYLALCLLMLARGRRDGDDVAASASAAAAAVEHRRGFDLNLPALPDVAAAADQRCAAEDDEVLSPLAFKKPRLMIPA >ORUFI12G19060.1 pep chromosome:OR_W1943:12:20521104:20521301:-1 gene:ORUFI12G19060 transcript:ORUFI12G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERVKGMVMWFDVIGGFRPSNGGDHSYGWGDCGYGGGGWYDGKEAAVRATSVARRTTWPRTPP >ORUFI12G19070.1 pep chromosome:OR_W1943:12:20524552:20531316:1 gene:ORUFI12G19070 transcript:ORUFI12G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNQPPPPPVAVPPPMVMQPIMHPPVGTGAVFPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGTPGDKARVIQSFLFMSGINTLLQTLVGTRLPTVMNASFAFVVPVLSIAKDFEQNNYASSHQRFTHTMRATQGALIVASILNMILGFSTIWGAFARKFSPVIMTPVVCVVGLGLFALGFPQVGKCVEIGLPMLILAVVVQQYVPYYFHHYHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLISSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPASVLSRSVGLQGIGMFLEGIFGAPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIFCILFGIVAAVGVSYMQFVNKNSMRNIYIIGLSLFLGISVPQYFHEYTASASTGPARTNAGWFNDIINTVFASGPTVSLIVASILDNTLEFRGYENDRGLPWFMPFLHRRKGYSDPRNDEFYSFPIRVHDVIPSRFL >ORUFI12G19070.2 pep chromosome:OR_W1943:12:20525173:20531316:1 gene:ORUFI12G19070 transcript:ORUFI12G19070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNQPPPPPVAVPPPMVMQPIMHPPVGTGAVFPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGTPGDKARVIQSFLFMSGINTLLQTLVGTRLPTVMNASFAFVVPVLSIAKDFEQNNYASSHQRFTHTMRATQGALIVASILNMILGFSTIWGAFARKFSPVIMTPVVCVVGLGLFALGFPQVGKCVEIGLPMLILAVVVQQYVPYYFHHYHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLISSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPASVLSRSVGLQGIGMFLEGIFGAPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIFCILFGIVAAVGVSYMQFVNKNSMRNIYIIGLSLFLGISVPQYFHEYTASASTGPARTNAGWFNDIINTVFASGPTVSLIVASILDNTLEFRGYENDRGLPWFMPFLHRRKGYSDPRNDEFYSFPIRVHDVIPSRFL >ORUFI12G19070.3 pep chromosome:OR_W1943:12:20524552:20531316:1 gene:ORUFI12G19070 transcript:ORUFI12G19070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNQPPPPPVAVPPPMVMQPIMHPPVGTGAVFPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGTPGDKARVIQSFLFMSGINTLLQTLVGTRLPTVMNASFAFVVPVLSIAKDFEQNNYASSHQRFTHTMRATQGALIVASILNMILGFSTIWGAFARKFSPVIMTPVVCVVGLGLFALGFPQVGKCVEIGLPMLILAVVVQQYVPYYFHHYHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLISSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPASVLSRSVGLQGIGMFLEGIFGAPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIFCILFGIVAAVGVSYMQFVNKNSMRNIYIIGLSLFLGISVPQYFHEYTASASTGPARTNAGWFNDIINTVFASGPTVSLIVASILDNTLEFRGYENDRGLPWFMPFLHRRKGYSDPRNDEFYSFPIRVHDVIPSRFL >ORUFI12G19070.4 pep chromosome:OR_W1943:12:20525173:20531316:1 gene:ORUFI12G19070 transcript:ORUFI12G19070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNQPPPPPVAVPPPMVMQPIMHPPVGTGAVFPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGTPGDKARVIQSFLFMSGINTLLQTLVGTRLPTVMNASFAFVVPVLSIAKDFEQNNYASSHQRFTHTMRATQGALIVASILNMILGFSTIWGAFARKFSPVIMTPVVCVVGLGLFALGFPQVGKCVEIGLPMLILAVVVQQYVPYYFHHYHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLISSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPASVLSRSVGLQGIGMFLEGIFGAPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIFCILFGIVAAVGVSYMQFVNKNSMRNIYIIGLSLFLGISVPQYFHEYTASASTGPARTNAGWFNDIINTVFASGPTVSLIVASILDNTLEFRGYENDRGLPWFMPFLHRRKGYSDPRNDEFYSFPIRVHDVIPSRFL >ORUFI12G19080.1 pep chromosome:OR_W1943:12:20544388:20551289:-1 gene:ORUFI12G19080 transcript:ORUFI12G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCCASDSEGRWETGSSDSQRNGDPSDGWIHPPCDGGRRPRRRWDLSPIQSGRRQKWRIDTSDLARASPLETNPHRTATSTPQNRRIQYVFGRSCLRSRLAATAGRWRAHPSLHVLVGWARRMIGFRVSTPVGGCDDEEDGRRQPQPGPATVWRNMDENQSFRQQIDALNERIRILERYIEEKKREFDNLQQQYDTLRRRELYAVLLRGHTNTRTRRKILKMREIAFLAYCSAQEASGLTMLHALGRYLHSAAMTEIFEHIGLSEKQTMSSQKKNHGQFTRGTQRSGPD >ORUFI12G19090.1 pep chromosome:OR_W1943:12:20552469:20553722:-1 gene:ORUFI12G19090 transcript:ORUFI12G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARGLGSQGHEVTAKLLQRLPQRRPPLPPSAMFMKETGCNPIRWDQRRWHQESRDRSGASGMASSSLLITDQRCIGETWRLEAGRPCAMRGFQADLALMADIACAGPHLLLVNFFPIYKLGPHQHLRHFSCWSLALLSFISSLSIEGVGTSNLLLANCRHPKTAEDFLKLSPGRKSTRAYCNFGAVLQWHGNNVPASLSHGSAQPTRRSGHMLSV >ORUFI12G19100.1 pep chromosome:OR_W1943:12:20593620:20595158:1 gene:ORUFI12G19100 transcript:ORUFI12G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCNCSTQLDIYVLLLQLKSSSEYLLFLNPECCHVQFLQCHQQQYMLYDNNAVKQQCNFRSVSRIMEMIGMCTEANASWILSYTLCMKEMRSLLELRVG >ORUFI12G19110.1 pep chromosome:OR_W1943:12:20599168:20599702:-1 gene:ORUFI12G19110 transcript:ORUFI12G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAPSSAWQDLPTDLLVLVLLRFPSLTDRARLRTCIIHDDPKQPVMTDHQYVLSPMAPKVFEAWSCGAVSDLVLPG >ORUFI12G19120.1 pep chromosome:OR_W1943:12:20601112:20603061:1 gene:ORUFI12G19120 transcript:ORUFI12G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVEAELGEQWQQRRMSLGMGAEAMGWEGHRCRPPHRLAYIQSPSPSKLRKTTHTSFGSKLKCDGHYQLHPAVAANLHVELDPAIESTVAEWSPRRSCRVPSSEMAAVCDLDSIATTGGLMGMAFHRCSARL >ORUFI12G19130.1 pep chromosome:OR_W1943:12:20607730:20609546:1 gene:ORUFI12G19130 transcript:ORUFI12G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGDGSSGAMVGDREKQGEGPACQWLGWSSPSSVSFFLPTEREQQGKHDAHGKAGRGRPDGGQGRVRQGSGDLPPAATAQDGAGASGTAWPPWLGTCG >ORUFI12G19140.1 pep chromosome:OR_W1943:12:20609558:20609834:1 gene:ORUFI12G19140 transcript:ORUFI12G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVRWRGGAPGWLVHGELAGGGTAAEPARLRLLIGEIKGGSSFYGTRRGQRSEDLPRGGGTTKFDVAAVE >ORUFI12G19150.1 pep chromosome:OR_W1943:12:20609843:20610112:1 gene:ORUFI12G19150 transcript:ORUFI12G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAHKVFDEMCRREEEWLRGEDELGGSWGLVMAAKRVWGRREMGGGIYRVWEGWLNGRRDGWRTGLEDDMMARGARGRHDGARGSRVT >ORUFI12G19160.1 pep chromosome:OR_W1943:12:20610957:20613210:1 gene:ORUFI12G19160 transcript:ORUFI12G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGKARRGPGLSVARLVFSFLRLLLPPNRAGAAGETRRARQGRPRATRRRLGKGAARLRRSPTGCHGAGRRGGEWHSMAAMAGHGWLGARAMGRVSDQIVRWRGGAPGWLVHGELTGGGTAAEPARLRLLIGEIKGGSSFYGTRRGQRSEDLPRDGGMMKFDVAAVE >ORUFI12G19170.1 pep chromosome:OR_W1943:12:20613219:20634138:1 gene:ORUFI12G19170 transcript:ORUFI12G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAHKVFDEMSRREEEWLRGEDELGGSWGLVMAAKRVWGRREMGGGVTWRQQQPPRSLATTMTDEFSGCYMAATATSKVAGDDDDRFKGMKDPLKQITKPNGKYDIGNMTVAEALSGKGSRDEQLLHWTLACIQGWGHTSIWIVEVLSDGAKLTREWKPLLAKSKLTVDNDGPRLGVGTIGLYHLCVTCVAVWWSHQFCFAARSSPVVVQVLRVEDQASGWLYARHGRLRELFKPPSKMISTISTHLDDSFVEIGRFKPSSACPQVASSNVSYMDAAVKTHKGKTI >ORUFI12G19180.1 pep chromosome:OR_W1943:12:20636399:20637655:-1 gene:ORUFI12G19180 transcript:ORUFI12G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQPPLPWGDLPADLLGLVLLRLPSLPDRVRLRAVCRSWRAGAARGRHPRLPPPLPWLALRDGGLVDLDGEPIRCPTPIPRHGVVGHLAVDNLAFLIHRDGGCSLLNPLSSSASAATAAITPLPWLNLAAVDGAIGQPGVFIGIGAYVNVYSKSVLSSPLDSSPDPLVAVVTSGGRHVAVAPCKRRGVVTIVSGLMAPQIPGLNPTRFSDIAFLGGNLYTLTNAEGLLVLDLGSNGVDDPPNASHRRCIADDPNQHEYYIDGSTKNKSLVLRYLVGSNGRLLMVRRWMNCRQQYYAGDMDKTRGFEVFAAVISDGHGQWVKVDSLGDQAIFLSSECSKSVTASQCADGIQQDCIYFMHRIYDNPTKECHGPCVDPLGDSGVYNMRDGTINLLRPRAVMSELRWKRQYLTWFFPSDE >ORUFI12G19190.1 pep chromosome:OR_W1943:12:20639375:20640910:1 gene:ORUFI12G19190 transcript:ORUFI12G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWDAIALPRVGRNIEGRGRADDNLVSDSIIACCSQVENGILSNSFCRVALSSPEAFSDHGGWRWPRIHGDYTDMLQDVDERLLPSETAPNFKIFEYE >ORUFI12G19200.1 pep chromosome:OR_W1943:12:20669373:20673154:-1 gene:ORUFI12G19200 transcript:ORUFI12G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDRGHVGPRPRWPLRRLPRIPDNRIPWTARAPEPGRDLEIAGRAPGGRNIRGLRSPRKHLELRNSEGFRSLAKELRRRPGTSELRRPPKLEMLPGANRASICPQRLKLGIRRLVGWNKCTLCAPTTSETVDDDQTEHDITRGMPLSRLPNLTQGLRCLLIKLNTYVHIIPCGRVSILFEQEMMVTTTMCNTGDVEIGFSREQPTYIIVNQEDQVVDGEADGSTTEAPIFFVSHGCDGGGGSGWLAVSVVPAWYYTS >ORUFI12G19210.1 pep chromosome:OR_W1943:12:20719847:20724493:1 gene:ORUFI12G19210 transcript:ORUFI12G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLFEELAGEAVKELLRAVRGTFFCRSTAERLRRNVEPLLPLVQPQAAQGGGGWGHGRSAGELAELAAQLREALELARRAASAPRWNVYRTAQLARRMEAADTAIARWLSRHAPAHVLDGVRRLRDEADARIGRLERRVEEVAAAQQQQQAAATALPPPAISLPFALPPPPPPPKAMAMMAMDTPPTKGMAVGMEVELPFPDDEEDESMVGGGVRVGKEKVKEMVMSGGGGGWEAVGICGMGGSGKTTLAMEIFKDHKIRGYFSDRVFFETISQSANLDTIKMKLWEQISGNLVLGAYNQIPEWQLKLGPRDKGPVLVILDDVWSLSQLEELIFKFPGCKTLVVSRFKFPSLVTRTYEMELLDEEAALSVFCRAAFDQESVPRTADKKLVRQVAAECRGLPLALKVIGASLRDQPPKIWLSAKNRLSRGETISDSHETKLLERMAASIECLSGKVRECFLDLGCFPEDKKIPLDVLINIWMEIHDLDEPDAFAILVELSNKNLLTLVNDAQNKAGDLYSSYHDFSVTQHDVLRDLALHMSGRDALNNRRRLVMPRREESLPKDWQRNKDTPFEAQIVSIHTGEMKESDWFQMSFPKAEVLILNFASSVYYLPPFIATMQNLKALVLINYGTISATLDNLSAFTTLSDLRSLWLEKITLPPLPKTTIPLKNLRKISLVLCELTNSLRGSKVDLSMTFPRLSNLTIDHCIDLKELPSSICEISSLESISISNCHDLTELPYELGKLHCLSILRVYACPALWRLPPSVCSLKRLKYLDISQCVNLTDLPEELGHLTSLEKIDMRECSRLRSLPRSSSSLKSLGHVVCDEETALLWREAEQVIPDLRVQDGGTIHSAILTCSVFMQLTLQQQPKLAEKIEP >ORUFI12G19220.1 pep chromosome:OR_W1943:12:20724877:20727125:-1 gene:ORUFI12G19220 transcript:ORUFI12G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAAGPLGMEMPIMHDGDRYELVKEIGSGNFGVARLMRNRASGDLVAVKYIDRGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKTIQKILGVQYSIPDYVHISPECRDLITRIFVGNPASRITMPEIKNHPWFMKNIPADLMDDGMVSNQYEEPDQPMQNMNEIMQILAEATIPAAGTSGINQFLTDSLDLDDDMEDMDSDLDLDIESSGEIVYAM >ORUFI12G19220.2 pep chromosome:OR_W1943:12:20724877:20727125:-1 gene:ORUFI12G19220 transcript:ORUFI12G19220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAAGPLGMEMPIMHDGDRYELVKEIGSGNFGVARLMRNRASGDLVAVKYIDRGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKLAQSLIICLWMEIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKTIQKILGVQYSIPDYVHISPECRDLITRIFVGNPASRITMPEIKNHPWFMKNIPADLMDDGMVSNQYEEPDQPMQNMNEIMQILAEATIPAAGTSGINQFLTDSLDLDDDMEDMDSDLDLDIESSGEIVYAM >ORUFI12G19230.1 pep chromosome:OR_W1943:12:20740440:20743160:1 gene:ORUFI12G19230 transcript:ORUFI12G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSASAAQHEEAAAAAAFVLGGVDMRMLAARTATGALARAGGGEAAAAAAAARFEDCIRSLEAEKAKMEVFRRELPISVHLIADVIEWLKDEVDSGGGAATGDVRAAGDGEEEVGGVGGGGGGEGGGGRERQAELDELGAAVELREPHKHQHQQWRQRQEAAAQVLSISNHDQVSNAFMPLATLPAFAKSLEKADAAVPDLSLSSRVAMADAPACPAAPSATSSAVTDVAVAQRQQAVQRKARRCWSPELHRRFVAALQRLGGPQAATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRASDGGDGGGDHQTVGGRLWPLPPEQYTTSQHSTSQSGSPQGPLQLTVSSSHAVSVTAGDSCDGGEEEEEDGKSGSYSWEMQNGARASSSS >ORUFI12G19240.1 pep chromosome:OR_W1943:12:20744270:20748341:1 gene:ORUFI12G19240 transcript:ORUFI12G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVTVQVGGFANYVGSHFWNFQDELLGLADDPDADPVFKNAALDMDVLYRSGETHQYTLLDFTRVLLPTALVWCLLVLEVTMMNFPCLCRSGNVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGGSNSQKSVEYKDLIECLENGINFWTDYSKVHYHPQSLYELYGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQFLENIADDYTNTLVLLYCVRDPMTLGPSRRNQRESIMRALHDAVSFSKLSSFCNLMVPIGPPSLSRSCMSPYLYIQDEKPFHASAVCAAAIHSITVPFRLQRTGPSSDLAHSSGNLDIGELVHILSDQGRQNMVTALDVAMPAPSLTDRDAMGNIEMKLHSLTPEISDEDEDPYSVESLVVHGALDRGGQRTSISQVKDSVCSVYEARETKPKFSHLSASLCPLPVPLPFPSIFRGNIGRHGEILSDHTEESQPKGSLDIESIPMAARLRSSSAVLPFIERRSGSLQKHGVARGAIGSLVLHDWGFGREEVEDMGEHLAKLLRPFHPEMDLTSDSD >ORUFI12G19250.1 pep chromosome:OR_W1943:12:20750675:20756914:1 gene:ORUFI12G19250 transcript:ORUFI12G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKIQVQVTRNGFRQRLSIYDLLPGDVVHLAIGDQVPADGLFISGFSLLINESSLTGESEPVVVNEDNPFLLSGTKVQDGSCKMLITTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFIVLSQGLISKKYHEGLLLSWSGDDALEMLEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGNIKEVNNPKNASDLCSELPETVVKTLLESIFNNTGGEVVIDQDGKYQILGTPTETALLEFALSLGGNFKAKRDETKIVKMEPFNSTKKRMSVVLELPGGGCRAHCKGASEIVLAACDKFMDETGAVVPLDKTTADKLNGIIESFANEALRTLCLGYREMEEGFSVEEQIPLQGYTCIGIVGIKDPVRPGVRESVATCRSAGIMVRMVTGDNINTAKAIARECGILTEDGLAIEGPEFREKSLDELLKLIPKIQVMARSSPLDKHTLVKHLRTTFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKREPVGRTGKFITNVMWRNILGQSFYQFIVMWYLQTQGKSMFGLEGPDAEVVLNTIIFNSFVFCQVFNEISSREMEKINVLRGILKNYVFLGVLTSTVVFQFIMVQFLGEFANTIPLTRLQWIASVLLGLIGMPISAIIKLLPVGSS >ORUFI12G19250.2 pep chromosome:OR_W1943:12:20750760:20756914:1 gene:ORUFI12G19250 transcript:ORUFI12G19250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLEENFGGVKAKNSSEEALRRWRKLCGVVKNPKRRFRFTANLDKRGEAQAIKHANHEKLRVAVLVSKAALQFIQGLSLRSEYVVPEEVKAAGFQICADELGSIVEGHDSKKLITHGGVTGIADKLATSPADGLSTAEESIKRRQDVYGLNKFTESEVRSFWVFVWEALQDTTLIILAVCAFVSLVVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKIQVQVTRNGFRQRLSIYDLLPGDVVHLAIGDQVPADGLFISGFSLLINESSLTGESEPVVVNEDNPFLLSGTKVQDGSCKMLITTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFIVLSQGLISKKYHEGLLLSWSGDDALEMLEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGNIKEVNNPKNASDLCSELPETVVKTLLESIFNNTGGEVVIDQDGKYQILGTPTETALLEFALSLGGNFKAKRDETKIVKMEPFNSTKKRMSVVLELPGGGCRAHCKGASEIVLAACDKFMDETGAVVPLDKTTADKLNGIIESFANEALRTLCLGYREMEEGFSVEEQIPLQGYTCIGIVGIKDPVRPGVRESVATCRSAGIMVRMVTGDNINTAKAIARECGILTEDGLAIEGPEFREKSLDELLKLIPKIQVMARSSPLDKHTLVKHLRTTFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKREPVGRTGKFITNVMWRNILGQSFYQFIVMWYLQTQGKSMFGLEGPDAEVVLNTIIFNSFVFCQVFNEISSREMEKINVLRGILKNYVFLGVLTSTVVFQFIMVQFLGEFANTIPLTRLQWIASVLLGLIGMPISAIIKLLPVGSS >ORUFI12G19260.1 pep chromosome:OR_W1943:12:20778775:20780521:-1 gene:ORUFI12G19260 transcript:ORUFI12G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEEAEECSAACGFSLTCQEDGADLGDGVVDEDDDGDVFLFYNAVAAADDEEEEEEYVEQMVSKEASFCCSSSSSLFDAAAGDGYGDGDGDGDWFRQARLAAVKWILETRGYFGFGHRTAYLAIAYFDRFCLRRRVDREAMPWAARLLSIACVSVAAKMEEYQSPALSEFDAGGGRVFCSDSIRRMELLVLSTLGWRMGAVTPFDFLPCFSSRLHRHHHGGAGAAGHGAAAAARVALNAVGFIFATAEAGSVLDYRPSTVAAAAILAASYGAPLTKEALESKMSNLSPSCLIDKENVHACYSMMVGDMNNNRRSSKRPLQCSDSNEITTTSTYDSVLVDDVTDIAAFAATAMNKRLRPEPPRIR >ORUFI12G19270.1 pep chromosome:OR_W1943:12:20787093:20789458:-1 gene:ORUFI12G19270 transcript:ORUFI12G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAASSSCGGAGCGPHCSSSSAAAVEDAAAAAAEKVGRLSLSRECGKCGGGAAVAVAGGLGLCGECFRANLLGKFKLAVTSNAMVRPTDSVLLAFSGGPASRVALQFIHEMRCKAIESWDVSNSQALPVFGVGVAFVDESVLCSKPRDEIEMAIEDIRSIVSSLSTGVKAMHIARLEDVFSTESEDGERRLREAVDMIGDDTGREDFLRCLRMLSLQKIAMENGYAKIMLGSCASAIACHVLSATVKGQGYSLPADVQYVDTRWEVPVVLPLRDCLAQELTLLCELDSLKTQQHLDRPSNGINSLVASFIKRLREENPSREHTIVRTAQKLKPFCFNKFSADGYHDFLPSRLRPKFQKVDSDESTFSEILCLMCGSPFSESELQNLESTKHKAQKKIDLYTAHCCQSCYFQILPAGENLNEHFFSLLPKLWTGKMDTISDSHSLLRDQIEEYLLEENDDEN >ORUFI12G19280.1 pep chromosome:OR_W1943:12:20792826:20794124:-1 gene:ORUFI12G19280 transcript:ORUFI12G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGLIADMSWTVFDLPSHGDESEMMAQLFSAFPIHGEEEGHEQLPWFDQSSNPCYYSCNASSTAYSNSNASSIPAPSEYEGYCFSDSNEALGVSSSIAPHDLSMVQVQGATEFLNVIPNHSLDSFGNGEPGHEDLDSVSGTNKRKHSAEGEFDGQTRGSKCVRKAEPKRAKKAKQTVEKDASVAIPNGSCSISDNDSSSSQEVADAGATSKGKSRAGRGAATDPQSLYARKRRERINERLKTLQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDEMWMYAPIAYNGMNIGLDLNIDT >ORUFI12G19290.1 pep chromosome:OR_W1943:12:20797716:20800029:-1 gene:ORUFI12G19290 transcript:ORUFI12G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGGGFEARVARIASTIRVIPDFPKPGIMFQDITTMLLKPDAFRDTIELFVERYKDKGITVIAGVEARGFIFGPPIALALGAKFVPLRKPKKLPGEVISEEYSLEYGTDKIEMHVGAVEPNDRAVVVDDLIATGGTLSAAVKLIERAGAEVVECACVIELPELKGRDKLGNKPVFVLVKAD >ORUFI12G19300.1 pep chromosome:OR_W1943:12:20802199:20805276:1 gene:ORUFI12G19300 transcript:ORUFI12G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPRRSRARPRRPPDTIHSWIILDRCAHSSDGDVVVGGDDDVTASEIAHTCSGRRIRASLRVADPPAVSRLHVHRLDGPWPADAYDLHHAEVLAAHNGAILFRTGVPFSDPGFVAPGRFPVDYFVYTAGGGDAAASPPSLTRLPPCFIGGFSDPAEDEYYKPYRVQRQRIMLGENIGFLSGDVAGEFMVADIRNYDGNSLELCIFNHHATSPSPSPEQSPEQWRIQRVPKIADDDELPKWVNDLVLPLHGHYLCCVDFYNGILLIDADDLQSFSYIPLPEEAMNGCRVDDDEEGPTQLAASPSPAPASSRSSASTSFAMGSDEFWRICAENHRRLPRRGAAAPPAFPVVSLADPHAVSFLLKDDDTDLYWIVEIDMVKKAMRSPVALYIHEEEEEEGEGEGEGEEEECGGGSCMLWNSFCGHYFIPSWFPSYLHEDPIQSRKRSETMQKAKQERTMDKVGGIGCICLERMEVLRQEREKQEFAILDSIDWELMATDPMKVLRSLKLVDQ >ORUFI12G19310.1 pep chromosome:OR_W1943:12:20806285:20807338:1 gene:ORUFI12G19310 transcript:ORUFI12G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYCRISGQLLEEGENFVLDTQDGSDDDQIEFIPDSDDEGIEYCFSSDQEFVPETEFQDCGEVEEKGGGIQDCGEVNEKGGVN >ORUFI12G19320.1 pep chromosome:OR_W1943:12:20810112:20810613:1 gene:ORUFI12G19320 transcript:ORUFI12G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGGRGHRATGPSSSFLLLIHSLCDGQRPDRTEVASVDDDKACELVSGVDLVIVVDIDDEDKDICASPPAAATRHHHRQQRLDGGGVESISVMMAPDTTIADRTSGTGRLASSLHPNPAHRHSGATVAGRTTLRPCAPPPVREQRARRKEREKQKEKSGKESRC >ORUFI12G19330.1 pep chromosome:OR_W1943:12:20811738:20812614:1 gene:ORUFI12G19330 transcript:ORUFI12G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEEARLCEEKGKRRRCARRCSRHRRWQEKDEAAVLVKREHPGRAVAADAAGRERLDRTAASDSAAAACHLWLAFDSMTRRKDPLDGLKLYSGDEHYWSGRFDESTTATVEYMTGRGGERANVGHSGGDGVVEAKRWSSLVTATRLWRSERITARKGILVSPGVKLGRNREN >ORUFI12G19340.1 pep chromosome:OR_W1943:12:20813077:20815780:-1 gene:ORUFI12G19340 transcript:ORUFI12G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHGWMVLDRIVRCWSDDDDGVVGGDDDPTASEVTYTCSGHPIRVSLRVADPPAVSRLYVHRGPGWPRVYDLGDAEAIAAHRGSILLSARVPFADLGTVAPGQFPVDYFVYTAAAPGEGLRRRPSLTRLPPCFIGGFSSPEVDRHYKPHRCQQQRVMVEQNVGFFSHSGDNAAGDFTVADINMHKGGRAVELCVLNHYHHAPDCPQQQPQWKVDILEMQQQPNQNENHHLRGWWTDAVLPLHERYLACVDCYHGIILIDVKNQRYFNYIPLPAEAMRGRRVDKYSPDPARSASINSAGNITLVCIDDNAFIESWSLVDIHESRWILDFTMEAGKFWDICSAANQPLLPHEPPTFPLVSMTNPFAISFLLYDKANNFLLENKGNGLYWMIEVDMRNQALLSPATLYITEEEEELFINGKEEEEQERCCDERYPPMKYFHGHNFIPSWFPSYLKGGDTTSRMRSIMMQQAKQENAIEKIGRENIQKEKQERAMQKNGLETGSNSKMKGKQQMATESSGMEAHLSRPLAGLKIA >ORUFI12G19340.2 pep chromosome:OR_W1943:12:20813077:20815780:-1 gene:ORUFI12G19340 transcript:ORUFI12G19340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHGWMVLDRIVRCWSDDDDGVVGGDDDPTASEVTYTCSGHPIRVSLRVADPPAVSRLYVHRGPGWPRVYDLGDAEAIAAHRGSILLSARVPFADLGTVAPGQFPVDYFVYTAAAPGEGLRRRPSLTRLPPCFIGGFSSPEVDRHYKPHRCQQQRVMVEQNVGFFSHSGDNAAGDFTVADINMHKGGRAVELCVLNHYHHAPDCPQQQPQWKVDILEMQQQPNQNENHHLRGWWTDAVLPLHERYLACVDCYHGIILIDVKNQRYFNYIPLPAEAMRGRRVDKYSPDPARSASINSAGNITLVCIDDNAFIESWSLVDIHESRWILDFTMEAGKFWDICSAANQPLLPHEPPTFPLVSMTNPFAISFLLYDKANNFLLENKGNGLYWMIEVDMRNQALLSPATLYITEEEEELFINGKEEEEQERCCDERYPPMKYFHGHNFIPSWFPSYLKGGDTTSRMRSIMMQQAKQENAIEKIGRRKNKREQCKRMDWRRDRIGCFLHFLLPIFLRVACIDPPLGEPSKMKGKQQMATESSGMEAHLSRPLAGLKIA >ORUFI12G19350.1 pep chromosome:OR_W1943:12:20817352:20830224:-1 gene:ORUFI12G19350 transcript:ORUFI12G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLAAVRRTPARASTSSSASEVGNDENAPVDASDAAVVDPEAAAARPPLLAIQPPQSGLKRKPESPAPTPSKLPFRTPEKAAARSRFGWVPPRGDEQPPPRVGGTPYSAVSTPGRHRGKSSAAAAAASEGGGGGGGGSTQSTPTKSVTKPAYSIGMSASRPPMSGGQRGAGLGLGFSMAARGTPMSFAPMTVVNTAEVPHFELREDPSFWMENNVQVVIRVRPLNNTERNLHNYNRCLKQESAQSITWIGQPESRFTFDHVACEAVNQEVLFRVAGLPMVENCMAGYNSCIFAYGQTGSGKTYTMLGEISELEVRPSPDRGMTPRIFEFLFARIRAEEESRRDEKLKYNCKCSFLEIYNEQITDLLDPSSTNLPLREDIRNGVYVENLTELEVGCVSDIIKLLMQGSANRKVAATNMNRESSRSHSVFTCIIESRWEKDSASNLRFARLNLVDLAGSERHPPEHRQRTSGAEGERLKEAANINKSLSTLGLVIMSLVDQAHGKQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSVCSASETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRLLKEELAVLKRQRVPRSLSFTSDIFERSGVDVDDGTESMNMDEENDNDARDRRSLQDLRISNKQLRLLEETLAGAFRRESVAEATVKQLEAEIEQLNRLVYERENDTRSAKMTLKFREDKIHQMEALVRDKLPAESYLLEENSTLLKEIDLLRAKIDKNPEVTRFALENIRLSNKLKSYNQFCNEGEREHLLNEVSILRNQVLQILERRAEAEQPNNFPANFELKRTSQELETCRGELQACLEANKKLAREIADLQNELSNIHSSNREGHPNVVEKFSSALNQYDSHAPEKKDQCFHAPEKKDQCFQEGFMINTDDILNLQLELDIIKTILAEERTTRAEVEKRITCLDDELNAANIHILQTCRQSETMQRELSDARSVIEALESQQIMLINELDELKESNQQSLEHLKKRDLEISRLNNELDVHRRQEFLAMEEPKVQLLKCFENDDSPLQTKLKRMQASLEKARKLNTRYQRDQASHSSAQQEMDEVSRQVEVETAEVIMCLQEELISLQQQLDASSKNELLANQRIDEARLEREQLNDRLLEVTKENECFSALIEEKGKKIGMLTNDWDKLASDIGNFLLDGNAALDEASDQVAFISESISQRKWIEDQVQKMCRGISQRDELLKELQSRLKEADDIRCDLDLKLRSLRGAMQAINDTHQQEKNDQENVMSVLRSQVSNERYVNQQQLQELQRIQLLLDESIESFVQKEVIEQSYISLQRAMEEVIHHLESQLDQSKRDLTQLLSETQDKEQAFERLKNEENGVLLTVLSDVLKAKGVIHEFETGFNAIQSSFSVDPEEVVCQNSDLNLEDRVGCDPTGAFEAGEKHNGDVLCKLSKEMECVVYTMQMLQSQMVKLLQEKENAKEYHFQSQRTIKDVSAKVLQLKSEIIDKEKGYEARLKELEIKMQEKEKDTAESFISWNKEREALELEVSEAKSLAIQKSFEASTLISKFEEAQATISDADTTVNALVEANEKAKLQIQNFKENEALFLSEKERLLTEISSLKMLLGVKDQTYENLLLEKLEFVSSDVEWMKLKLQQFTELARTWLEENWLEIIGKDCAVSVLHLCHMGILLERITGLNAENGFLQRGLCESNSLISKLREHNDRAKNELEMCSVLKGKLLLDINHNFSRITKKEQEATELNSRLDAFEKKILHLQAQEEAMLARSNSMYNELSILVEEIDATNKSALATESKEKEELRHQLDEALLCNAMLKDIIQEDMDLPQVNNYMKGCSEFELCNRLADYHNELVLTNIIAKDIESFVLSSELVQHKAQLQKQELMFIDALDGLATEATLSRVDKDLGSAVIFSLLDDSNKIMIDFDNLKHNKDELMENLHVLSEENLNLRSVVGSLESSIELLQTELDGKTKALMELQYSHTAILEEFKLKSKATELGVSRENDLRSENNLLKHEYLDIVRKEQMMAELVANLDSEKLFVTIQGRLEQVADQVQMYTSDQLNMVTKVSNEIDFIQMSIEGLITHNGFLQSELIRKDELAKGLSFDLSLLQESASVAKDQADELIQLTEAIESLEQELDSKSNELDDAVSGRQLLEAQILKSNQKVSALEEQLSSNINELKEVSVEKDELTSKLNHIEGISYTMEDELADKGKAIERLEEELIELRSLLDSRSSFLQNLQNDFSKLLDEKKYCETQVLILNEKLEMAQALAEESEAIATEAKQMAEERKTHAEEKDEEVKLLERSIEELENTVCALENKVDIIKEEAERQRMHREEIELELQKVRQQMLAVPSSGQATSSLEGGMGDFTDSSRHSREIKNELLAAQENIRILQKDVAEKETEIAQCKAHISELNIHAEAAAREYKQKFMELEAMAQQVKSDNTSANACSTRPEKISLKPRGSGSPFKCIGLGFVQQMNSEKDEELNAAKQRIMELEGIAASRQREIFMLNARLATTESMTHDVIRDMLGVKMNMATWAALVDNQQQMDTQESAVTQAHESKEQSDELMKLRSQLDELIEERQSWLDEINQRQSELGAARITIEKLRQKEHFMVAEIELLKAENANGKAIIFNLEDEVKKLTRQQNLQLRINHHEENNLLKKQNEELSAKLQKLGAVVARTKEELARYRVSDGKDPYEQMEEEELLRNRLQESEQDRSKLAENLSSLCATVLKVAGVRNHESDASLLKALEALNQIQLRIASMEAEVEDLKLKCKLLHEKARLSELRSESSSLGSGRSRSPSVCRSPSISSFR >ORUFI12G19350.2 pep chromosome:OR_W1943:12:20817352:20830224:-1 gene:ORUFI12G19350 transcript:ORUFI12G19350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLAAVRRTPARASTSSSASEVGNDENAPVDASDAAVVDPEAAAARPPLLAIQPPQSGLKRKPESPAPTPSKLPFRTPEKAAARSRFGWVPPRGDEQPPPRVGGTPYSAVSTPGRHRGKSSAAAAAASEGGGGGGGGSTQSTPTKSVTKPAYSIGMSASRPPMSGGQRGAGLGLGFSMAARGTPMSFAPMTVVNTAEVPHFELREDPSFWMENNVQVVIRVRPLNNTERNLHNYNRCLKQESAQSITWIGQPESRFTFDHVACEAVNQEVLFRVAGLPMVENCMAGYNSCIFAYGQTGSGKTYTMLGEISELEVRPSPDRGMTPRIFEFLFARIRAEEESRRDEKLKYNCKCSFLEIYNEQITDLLDPSSTNLPLREDIRNGVYVENLTELEVGCVSDIIKLLMQGSANRKVAATNMNRESSRSHSVFTCIIESRWEKDSASNLRFARLNLVDLAGSERQRTSGAEGERLKEAANINKSLSTLGLVIMSLVDQAHGKQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSVCSASETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRLLKEELAVLKRQRVPRSLSFTSDIFERSGVDVDDGTESMNMDEENDNDARDRRSLQDLRISNKQLRLLEETLAGAFRRESVAEATVKQLEAEIEQLNRLVYERENDTRSAKMTLKFREDKIHQMEALVRDKLPAESYLLEENSTLLKEIDLLRAKIDKNPEVTRFALENIRLSNKLKSYNQFCNEGEREHLLNEVSILRNQVLQILERRAEAEQPNNFPANFELKRTSQELETCRGELQACLEANKKLAREIADLQNELSNIHSSNREGHPNVVEKFSSALNQYDSHAPEKKDQCFHAPEKKDQCFQEGFMINTDDILNLQLELDIIKTILAEERTTRAEVEKRITCLDDELNAANIHILQTCRQSETMQRELSDARSVIEALESQQIMLINELDELKESNQQSLEHLKKRDLEISRLNNELDVHRRQEFLAMEEPKVQLLKCFENDDSPLQTKLKRMQASLEKARKLNTRYQRDQASHSSAQQEMDEVSRQVEVETAEVIMCLQEELISLQQQLDASSKNELLANQRIDEARLEREQLNDRLLEVTKENECFSALIEEKGKKIGMLTNDWDKLASDIGNFLLDGNAALDEASDQVAFISESISQRKWIEDQVQKMCRGISQRDELLKELQSRLKEADDIRCDLDLKLRSLRGAMQAINDTHQQEKNDQENVMSVLRSQVSNERYVNQQQLQELQRIQLLLDESIESFVQKEVIEQSYISLQRAMEEVIHHLESQLDQSKRDLTQLLSETQDKEQAFERLKNEENGVLLTVLSDVLKAKGVIHEFETGFNAIQSSFSVDPEEVVCQNSDLNLEDRVGCDPTGAFEAGEKHNGDVLCKLSKEMECVVYTMQMLQSQMVKLLQEKENAKEYHFQSQRTIKDVSAKVLQLKSEIIDKEKGYEARLKELEIKMQEKEKDTAESFISWNKEREALELEVSEAKSLAIQKSFEASTLISKFEEAQATISDADTTVNALVEANEKAKLQIQNFKENEALFLSEKERLLTEISSLKMLLGVKDQTYENLLLEKLEFVSSDVEWMKLKLQQFTELARTWLEENWLEIIGKDCAVSVLHLCHMGILLERITGLNAENGFLQRGLCESNSLISKLREHNDRAKNELEMCSVLKGKLLLDINHNFSRITKKEQEATELNSRLDAFEKKILHLQAQEEAMLARSNSMYNELSILVEEIDATNKSALATESKEKEELRHQLDEALLCNAMLKDIIQEDMDLPQVNNYMKGCSEFELCNRLADYHNELVLTNIIAKDIESFVLSSELVQHKAQLQKQELMFIDALDGLATEATLSRVDKDLGSAVIFSLLDDSNKIMIDFDNLKHNKDELMENLHVLSEENLNLRSVVGSLESSIELLQTELDGKTKALMELQYSHTAILEEFKLKSKATELGVSRENDLRSENNLLKHEYLDIVRKEQMMAELVANLDSEKLFVTIQGRLEQVADQVQMYTSDQLNMVTKVSNEIDFIQMSIEGLITHNGFLQSELIRKDELAKGLSFDLSLLQESASVAKDQADELIQLTEAIESLEQELDSKSNELDDAVSGRQLLEAQILKSNQKVSALEEQLSSNINELKEVSVEKDELTSKLNHIEGISYTMEDELADKGKAIERLEEELIELRSLLDSRSSFLQNLQNDFSKLLDEKKYCETQVLILNEKLEMAQALAEESEAIATEAKQMAEERKTHAEEKDEEVKLLERSIEELENTVCALENKVDIIKEEAERQRMHREEIELELQKVRQQMLAVPSSGQATSSLEGGMGDFTDSSRHSREIKNELLAAQENIRILQKDVAEKETEIAQCKAHISELNIHAEAAAREYKQKFMELEAMAQQVKSDNTSANACSTRPEKISLKPRGSGSPFKCIGLGFVQQMNSEKDEELNAAKQRIMELEGIAASRQREIFMLNARLATTESMTHDVIRDMLGVKMNMATWAALVDNQQQMDTQESAVTQAHESKEQSDELMKLRSQLDELIEERQSWLDEINQRQSELGAARITIEKLRQKEHFMVAEIELLKAENANGKAIIFNLEDEVKKLTRQQNLQLRINHHEENNLLKKQNEELSAKLQKLGAVVARTKEELARYRVSDGKDPYEQMEEEELLRNRLQESEQDRSKLAENLSSLCATVLKVAGVRNHESDASLLKALEALNQIQLRIASMEAEVEDLKLKCKLLHEKARLSELRSESSSLGSGRSRSPSVCRSPSISSFR >ORUFI12G19350.3 pep chromosome:OR_W1943:12:20817352:20830224:-1 gene:ORUFI12G19350 transcript:ORUFI12G19350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLAAVRRTPARASTSSSASEVGNDENAPVDASDAAVVDPEAAAARPPLLAIQPPQSGLKRKPESPAPTPSKLPFRTPEKAAARSRFGWVPPRGDEQPPPRVGGTPYSAVSTPGRHRGKSSAAAAAASEGGGGGGGGSTQSTPTKSVTKPAYSIGMSASRPPMSGGQRGAGLGLGFSMAARGTPMSFAPMTVVNTAEVPHFELREDPSFWMENNVQVVIRVRPLNNTERNLHNYNRCLKQESAQSITWIGQPESRFTFDHVACEAVNQEVLFRVAGLPMVENCMAGYNSCIFAYGQTGSGKTYTMLGEISELEVRPSPDRGMTPRIFEFLFARIRAEEESRRDEKLKYNCKCSFLEIYNEQITDLLDPSSTNLPLREDIRNGVYVENLTELEVGCVSDIIKLLMQGSANRKVAATNMNRESSRSHSVFTCIIESRWEKDSASNLRFARLNLVDLAGSERHPPEHRQRTSGAEGERLKEAANINKSLSTLGLVIMSLVDQAHGKQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSVCSASETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRLLKEELAVLKRQRVPRSLSFTSDIFERSGVDVDDGTESMNMDEENDNDARDRRSLQDLRISNKQLRLLEETLAGAFRRESVAEATVKQLEAEIEQLNRLVYERENDTRSAKMTLKFREDKIHQMEALVRDKLPAESYLLEENSTLLKEIDLLRAKIDKNPEVTRFALENIRLSNKLKSYNQFCNEGEREHLLNEVSILRNQVLQILERRAEAEQPNNFPANFELKRTSQELETCRGELQACLEANKKLAREIADLQNELSNIHSSNREGHPNVVECFQEGFMINTDDILNLQLELDIIKTILAEERTTRAEVEKRITCLDDELNAANIHILQTCRQSETMQRELSDARSVIEALESQQIMLINELDELKESNQQSLEHLKKRDLEISRLNNELDVHRRQEFLAMEEPKVQLLKCFENDDSPLQTKLKRMQASLEKARKLNTRYQRDQASHSSAQQEMDEVSRQVEVETAEVIMCLQEELISLQQQLDASSKNELLANQRIDEARLEREQLNDRLLEVTKENECFSALIEEKGKKIGMLTNDWDKLASDIGNFLLDGNAALDEASDQVAFISESISQRKWIEDQVQKMCRGISQRDELLKELQSRLKEADDIRCDLDLKLRSLRGAMQAINDTHQQEKNDQENVMSVLRSQVSNERYVNQQQLQELQRIQLLLDESIESFVQKEVIEQSYISLQRAMEEVIHHLESQLDQSKRDLTQLLSETQDKEQAFERLKNEENGVLLTVLSDVLKAKGVIHEFETGFNAIQSSFSVDPEEVVCQNSDLNLEDRVGCDPTGAFEAGEKHNGDVLCKLSKEMECVVYTMQMLQSQMVKLLQEKENAKEYHFQSQRTIKDVSAKVLQLKSEIIDKEKGYEARLKELEIKMQEKEKDTAESFISWNKEREALELEVSEAKSLAIQKSFEASTLISKFEEAQATISDADTTVNALVEANEKAKLQIQNFKENEALFLSEKERLLTEISSLKMLLGVKDQTYENLLLEKLEFVSSDVEWMKLKLQQFTELARTWLEENWLEIIGKDCAVSVLHLCHMGILLERITGLNAENGFLQRGLCESNSLISKLREHNDRAKNELEMCSVLKGKLLLDINHNFSRITKKEQEATELNSRLDAFEKKILHLQAQEEAMLARSNSMYNELSILVEEIDATNKSALATESKEKEELRHQLDEALLCNAMLKDIIQEDMDLPQVNNYMKGCSEFELCNRLADYHNELVLTNIIAKDIESFVLSSELVQHKAQLQKQELMFIDALDGLATEATLSRVDKDLGSAVIFSLLDDSNKIMIDFDNLKHNKDELMENLHVLSEENLNLRSVVGSLESSIELLQTELDGKTKALMELQYSHTAILEEFKLKSKATELGVSRENDLRSENNLLKHEYLDIVRKEQMMAELVANLDSEKLFVTIQGRLEQVADQVQMYTSDQLNMVTKVSNEIDFIQMSIEGLITHNGFLQSELIRKDELAKGLSFDLSLLQESASVAKDQADELIQLTEAIESLEQELDSKSNELDDAVSGRQLLEAQILKSNQKVSALEEQLSSNINELKEVSVEKDELTSKLNHIEGISYTMEDELADKGKAIERLEEELIELRSLLDSRSSFLQNLQNDFSKLLDEKKYCETQVLILNEKLEMAQALAEESEAIATEAKQMAEERKTHAEEKDEEVKLLERSIEELENTVCALENKVDIIKEEAERQRMHREEIELELQKVRQQMLAVPSSGQATSSLEGGMGDFTDSSRHSREIKNELLAAQENIRILQKDVAEKETEIAQCKAHISELNIHAEAAAREYKQKFMELEAMAQQVKSDNTSANACSTRPEKISLKPRGSGSPFKCIGLGFVQQMNSEKDEELNAAKQRIMELEGIAASRQREIFMLNARLATTESMTHDVIRDMLGVKMNMATWAALVDNQQQMDTQESAVTQAHESKEQSDELMKLRSQLDELIEERQSWLDEINQRQSELGAARITIEKLRQKEHFMVAEIELLKAENANGKAIIFNLEDEVKKLTRQQNLQLRINHHEENNLLKKQNEELSAKLQKLGAVVARTKEELARYRVSDGKDPYEQMEEEELLRNRLQESEQDRSKLAENLSSLCATVLKVAGVRNHESDASLLKALEALNQIQLRIASMEAEVEDLKLKCKLLHEKARLSELRSESSSLGSGRSRSPSVCRSPSISSFR >ORUFI12G19360.1 pep chromosome:OR_W1943:12:20836896:20837309:-1 gene:ORUFI12G19360 transcript:ORUFI12G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPVGHRWSSGDAAEARGGGQPVELASRASTSFKSPRGSGSTPARRSSGGGGKPVKLAGRASSSSSAQQHVAGRSTPARARLAANGGTRAKADATAAEPELACRQRRARCRSRAFSHFVSHARKILTTYF >ORUFI12G19370.1 pep chromosome:OR_W1943:12:20837710:20838507:-1 gene:ORUFI12G19370 transcript:ORUFI12G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLHQSPVPLLPPPPPPPRVVGVQQQQQQEAVVPPPPAMAAAAGGGGREQCPRCASRDTKFCYYNNYNTAQPRHFCRACRRYWTLGGSLRNVPIGGSTRKRPRPSRPARAAVAAAIAAAAAASASGSQIAAQQQQAPPVVMSQHEAAAAAAAASGGGGGDGLLVSLLGAAPVLEGRLGGGIGVDLLGGEQLGFGAMAMPPAPLLWPARVLEGGDAWKSAAAAAGVSYSPFPALWQELAAAAPVELAGGGGLLRHGGGGAPQLM >ORUFI12G19380.1 pep chromosome:OR_W1943:12:20841666:20844064:-1 gene:ORUFI12G19380 transcript:ORUFI12G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDRLGPAPPNCATDLPWDTAAPRDHLDSALPVRATESVPHRQSTPLLSRVAALRYHLVSAYPACGEGVLYMGLKWVGKEDDRAFKIVEIIDVMNYD >ORUFI12G19390.1 pep chromosome:OR_W1943:12:20860429:20863155:1 gene:ORUFI12G19390 transcript:ORUFI12G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPLSHCAAVLLAKNHRRRGGGGGSNGHRGDDPASFLRQLRDALDAASEDGSLCPPPDAAGAGADADADAAVSRSRSLARLRAQRDFLRATALAAAGGPFRSPSDIPLLPAAIAGFLAMYPDYATTSDVDRLRVEHYSHLDAAAPGGGAGGRVCLDYCGFGLFDSGWDSSSSSFTLSELNANLSNHALYGGAEAGTVENDIKERILEYLNVPASEYALVFTVSRGSAFRLLAECYPFETNRRLLTMFDHESQSVSWMAQSARAKGAKTRTAWFRWPTLKLCSTELRKEIVGKRRGRRRDSAVGLFVFPAQSRVTGAKYSYQWMALAQQNGWHVMLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVIGSLQGRNGCNASGMVRIVPVFPQYLSDSVDGFDAMDGLEDDSGVHKDEKPASDARNGSQLPAFSGVYTSAQVREAFESDPGRDSSSDRDGASTIFEETESISVGEVMRSPAFSEDCSSENSFWVDVGQSPLGSEKGGHFKKGKLGSPLPSSWFAGRKSNKRMSPNLTSRISRSPLYDGQVISFDAAVLSVSHDADCLKEDPEEEIYENGRRNHFRQVSEIQEEPEVEEVVCQHAMNGGGDHKESAIRRETEGEFRLLGGRDGNSRFAGGRLFGVEEIDAGLSMGRRVSFSTEANIIADRLHRASDAAEASGYAFRDDDGCVSDGYDNAQDWGRREPEIICRHIDHVDMMGLNRTTLRLRYLINWLVTSLLQLRLPGSKGGDGDPLVHIYGPKIKYERGAAVAFNVKQSDGTFVNAEVVQKIAEKNGISLGIGFLSHIKVDLNHKQLNGAFDIPEASFYKNGRKDSKKVTIRVEVVTASLGFLTNFEDVYNMWAFVAKFLDPSFLESERLTIAADHSEGQT >ORUFI12G19400.1 pep chromosome:OR_W1943:12:20883225:20892692:1 gene:ORUFI12G19400 transcript:ORUFI12G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNTPCGHEGSMSYHDNHLQSPSESSSFFNISLPPHSPFQELSGVDSTSLLVSDPPNMQQFCLRCSWTNPKRLAKPSLAIASLSHQHLAFDKTRCMFILKIENDTLKTILKMFAKNVQGLISGVAKLEVPDGKTYDVEISKEHNELVFRSGWEVFAIAYELEQGDILAFGYSGNSHFKVQIFNPSNCEKELSCVVMNRSISDDNHRQSPRRERMNKPSTTCMDCITNHYWLHMDDRERYFFKVMMSVSDIKDELAIPKKFAANVRGKIPEQVRLEVSDDVPSSEDIKDPMSSGGLQKSKKSCYVLPMLYNMTSAQESEVLALEKKIQPQIPLYITAMDKTSVASGSLVFCKDYAVRYLLDQNRTIKLCQSGGSKTWDISLDMDTDDLYALSTGWLDFFRCNLLQEGDICVFEASKSKRGVALTFHPFKESHCPKSSEYTLSTKSPTRRVPKRDYFATNLTNLTDQQERKVKNKIKSIQSDIPIFLSVMRSSNCTRQSSLCFSVKYASKYLPHKDQNMRLRLPETKYKCKAALHIDTSTNLHKLLKGWGKFVNDNKLEIHDICLFQLMKNKKKLTMTVHIIRKGEMEKSHRVCKNCVANHYWLHMDNHGKSFIKVMITDFKNGVTIPAKFARNFGGQMSGTVKLETRNGKTYEVQVAKELNNLVLRSGWERFASAYELEKGDILVFIYSGNSHFKVWIYDPSACEKGLPCIITEQLPRVQQRSISHNNHTQLKRNAKSAKLYVDSSGHSKETSEINPANSPSWKPTERVPSSEELDEPVDLANVQKATKSFYSLPRMCNMTSAQKAEVDALEKRIKPQIPFYITVMDKASATDGLLAISKDYAVSYLLDKNETIKLCHSGRSMTWDISLDIDTDDQYALSTGWLDFIRNNHLQEGDICVFEASKNKRGVALIFHPLKQSHHPKPPGCVPSTKFPRHGVSKPNYIVSRFTTLSGQLKIKVEAKVQAIQSEIPIFVAVMRESFIRGRSRYMCFSAKYAAKYLPREKNKIMRLRLPNKSYKYKAVFKINNKVHKLGGGWGKFVDDNKIKLGDICLFQLMKNKKKLMMMVHIIRKSEFC >ORUFI12G19410.1 pep chromosome:OR_W1943:12:20894049:20895728:1 gene:ORUFI12G19410 transcript:ORUFI12G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGCESCRKWQEHYYREHMDVSRIRFFRLMTGDFAHGISIPEKVAEIFSGQITKGFNLKSPSGETWRVGVAKVADELILKSGWEDFAKAHELQENDLLFFTCNGHGNGSCSFDVLIFDASGCEKVSCFFTGKKNSYMCKNFNSIGGQVAGQYLSSDSEDTSTPSVLIGSPHKASTSKKLSGKTKTNPRKEPEDPNCSHWHVIEEKNTDDDEHADYHYTRFANYLTGEERDEIFSLVSLQPGNPVFVVVLQTAHVRRRNILIVPTRFAADHLERKSHDILLIRPNRKQKWSVKYYYLSNTTRGFNCHRWIKFIRENRLREGNVCIFELMKGARRPTMTVHVIGKADNRFVLLG >ORUFI12G19420.1 pep chromosome:OR_W1943:12:20901351:20907571:-1 gene:ORUFI12G19420 transcript:ORUFI12G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLSLHISPPSPAPATEPRLELGLADDTAVAAAKTTTDDERRRQPHQPIQTAHGFKKSSGSGGGGKRSARAPRMRWTTALHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHMYRTVKGTDRSCVAGHGQASRKMGFLMRRGLVAEVDSFDGFNNNNMVNTTSNNTTSRKSQSPGEQQLQDLQSGWPPHYLASSESDHLLMEQRLMQEIWKGAAAAAAHDHHDQEAATAAALHHDQHVRRLDHIVAGRPPPPPASSSSGGGGDTMASSLDWLLASRRQEQHKYGDRHYDDDDGGYYTAAHRGQAAAAMSAAAAAASGVVKDRRPSLEMSLGRQGWEISMEQRSSVEYSSKELTLLKCL >ORUFI12G19430.1 pep chromosome:OR_W1943:12:20922824:20925153:1 gene:ORUFI12G19430 transcript:ORUFI12G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRTVLAAVRRPGPSPSAAARALRAPPPVAAPRPRRVPSPFASSSPTAARPLAAMMGSPLTTAALLARLTAHPAASARACCELSQGESGEDG >ORUFI12G19430.2 pep chromosome:OR_W1943:12:20922824:20925153:1 gene:ORUFI12G19430 transcript:ORUFI12G19430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRTVLAAVRRPGPSPSAAARALRAPPPVAAPRPRRVPSPFASSSPTAARPLAAMMGSPLTTAALLARLTAHPAASARACCELSQGNGKDG >ORUFI12G19430.3 pep chromosome:OR_W1943:12:20922824:20925153:1 gene:ORUFI12G19430 transcript:ORUFI12G19430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRTVLAAVRRPGPSPSAAARALRAPPPVAAPRPRRVPSPFASSSPTAARPLAAMMGSPLTTAALLARLTAHPAASARACCELSQGESGEDG >ORUFI12G19430.4 pep chromosome:OR_W1943:12:20922824:20925153:1 gene:ORUFI12G19430 transcript:ORUFI12G19430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRTVLAAVRRPGPSPSAAARALRAPPPVAAPRPRRVPSPFASSSPTAARPLAAMMGSPLTTAALLARLTAHPAASARACCELSQGISFRRTCQDR >ORUFI12G19430.5 pep chromosome:OR_W1943:12:20922824:20925153:1 gene:ORUFI12G19430 transcript:ORUFI12G19430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRTVLAAVRRPGPSPSAAARALRAPPPVAAPRPRRVPSPFASSSPTAARPLAAMMGSPLTTAALLARLTAHPAASARACCELSQGT >ORUFI12G19440.1 pep chromosome:OR_W1943:12:20925599:20927585:-1 gene:ORUFI12G19440 transcript:ORUFI12G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGCESCREWQEHCYREHMDVSRIRFFRLMTGDFAHGISIPEKVADRFSGQITKGFNLKAPSGETWRVSVEKVADELILMSGWEDFAKAHELQENDLLFFTCNGRCNGSFSFDVLIFDASGCEKVSCFFIGKKNSIGGQTQNAEQYHLSDSEDTSTPSTFLVGSPHKASTSKKLNGKTKTNPNKDDPFSTGKEPEDPNSSRSHVKHEMIEEEKSDDDDEHADYEHADYYYSRFANYLTGEEREEIFSLVSLQPGNPVFVTVLQAPQIHRKGLLIVPSGFAADHLDSRSQEILLMRPNKKEKWYVKYYHASTTRGFNCQRWIKFIRENRLREGYICIFELMKGARRVTMTVHVIGKVDDRFVLLG >ORUFI12G19450.1 pep chromosome:OR_W1943:12:20928688:20930091:1 gene:ORUFI12G19450 transcript:ORUFI12G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGDDSNHGVDDARIARIAAAITVFPGFPKPGISFQDVTGIFHKPEVFRDAIGLFVERYKGKGVTLVAGIEARGFFFAPTIALEVGAKFVPLRKPRKLPGEVISEEYSLEYGTDKIEMQIGAVEPNDRAIIVDDLIATGGTLCAAVKLLERAGAEVVECACVVELQELKGREKLGKIPVFVLVETN >ORUFI12G19460.1 pep chromosome:OR_W1943:12:20930939:20934422:1 gene:ORUFI12G19460 transcript:ORUFI12G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKQVKVTAAAAEAATSSWSELPADLIGQVLLRLPSLADRVRLRAACRPWRTDAKRQAALPPPLPWFALRDGGLVDHHGAPVRRCAPILREGVTDYLAVDNLAFLAHNRAACCSLVNPLSASEETPLPQLANAVLRAMNDSKFYTVGNTKMPYVKVILSSPPLDSSPDPLVAALIFEGYYVAISACNLPRFRMHQDCIYFMHRTFDNPSREYFGPCVDPLGDSGVYNMTNRRITPFLPEAVMEKLCLKRQFLTWFFPADQELKGTRVIYAPDDVSDASLPAPKLNGGHRGEASPPLQASHRRRTSSQ >ORUFI12G19470.1 pep chromosome:OR_W1943:12:20934260:20935976:-1 gene:ORUFI12G19470 transcript:ORUFI12G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRMILAYQHINCTNTPEPEQPFKQTKNLHISREEPGQKLAFETQLCHHGLWKQVEQAAVAEGEPRQREAAHRAEERPGPEKARFAGARPEAAAGGGSRGTARRILRSASGSATPLPPHHLHMRTFLAEARAYWLLVRRRWEAWSGGDASPRWPPLSFGAGSEASLGKCV >ORUFI12G19480.1 pep chromosome:OR_W1943:12:20934450:20935341:1 gene:ORUFI12G19480 transcript:ORUFI12G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRWCGGSGVAEPEADRRILRAVPRLPPPAAASGRAPANRAFSGPGRSSARCAASRCRGSPSATAACSTYTAPASAVTASLLRDDATMEDLTNDYPLRCGWRKVDSLGGHAIFLGSQCAKFVRSSQCVGGVQADCIYFMHRTFDNPSRVYWGPCVDPLGESGVYNMRNSEITPLLTCFQRP >ORUFI12G19490.1 pep chromosome:OR_W1943:12:20964346:20964744:-1 gene:ORUFI12G19490 transcript:ORUFI12G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGREGGGERQAAGPAPGRVDWGWLEVVGRAVESGKTLVMESLWMTVGAAKHGCGLVAAAPVGANGPRGCGGPGGRRLPSPPAALGAAAEDGVGAGGPGQRSTVNPMKWKPIPSVRVWLTSSSQTTNDDG >ORUFI12G19500.1 pep chromosome:OR_W1943:12:20972755:20975793:-1 gene:ORUFI12G19500 transcript:ORUFI12G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANKKDMPKKDLRSLILLVVWEIWKEQNQRIFEHKETTALGLVAKIKEEARTWTMIGAKKLQECNQRIEYGVRKKQQGIHTSRGSWSVLGEVILLLQNVGFNKGVADFLLGQISSVMDGSELRHQELSFHRGSVTLAREFKINQWTNGKAVRTSKPGGRCLPAKKMCPRKTCVAWSSLLSGRFENKETNEFSNIKRQLV >ORUFI12G19510.1 pep chromosome:OR_W1943:12:20978860:20979153:1 gene:ORUFI12G19510 transcript:ORUFI12G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATATASGGLHAAGGEWLGELSAALQGKWQAMVSTDQRRRRQRGDEADEKKGVAGAGAALGVETRRRKEEGDVGACGGAMSDTTVFLLLDHFAPS >ORUFI12G19520.1 pep chromosome:OR_W1943:12:20979766:20984241:-1 gene:ORUFI12G19520 transcript:ORUFI12G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEYSFAAEYDGPPLPYSLPRAIPLDLSRIPLASLSSPTSPPPPTAPPLPVVRPLTPSSLCSAIHGHRAGVSSAAAGAAAAQSSSSSSSAAASASAGGGGGVAGAAAVDSPTSVIENHHAALHHSAELPSTPSDDDENADEDDYDGRRAGAPPPPPLKSHHQPMVTFAETSGSLLQSSEDDDDDEEDEEEDEEYGEDAMPVRSSGSLSPAHWRGASKSRGCFRCGKGGSFWARGDKEACLACGARYCGGCLLRAMGSMPEGRKCLDCIGRPVAESKRDSLGRGSRVLRRLLSATEVELVMRSERECAANQLRPEDVYVNGTKLSPEELVMLQGCQCPPSKLRPGFYWYDKVSGFWGKEGHKPHCIISPNLNVGGALDQKASNGNTGILINGREITKSELQMLKLAGVQCAGKPHFWVNADGTYQEEGQKTVKGKIWDKPIVKLLSPVLSLPMPNKANNQCGEEPVNVVNRPIPDYLEQRTIQKLLLVGSGTNTILKQAKFLYKSRPFSVEECEDLRLIIQSNIYNYLGILLEGRERFEEEAIADRRTTCQSDPSSSGHCEPELCNDITEYYIAPRLKAFSDWILKAMAIGNLEDIFPAASREYAPMVEELWKDPAIQATYNRRSELPFLPSAASYFLDKAVDISRTEYELSDMDILYADGITSSDGLASTDFSFPQLALDGRGFDEPDPQDTLLRYQLIRINNKGLHENCKWLQMFDDVRLVIFCVAVSDYDEYYEDANGNVVNKMMETRQLFESIALHPIFEQMDFLLLLTKFDILEQKIGKSPLTSCDWFSDFTPLVSRNLINGTSKSSRGSSTGSTLAQMAGHYIAVKFKSVFQSFTERKLYVSYVNALDQESVRSAIRYGREIIKWEDEKPVFGSSETTYSEEPSSYSH >ORUFI12G19530.1 pep chromosome:OR_W1943:12:20990925:20992769:-1 gene:ORUFI12G19530 transcript:ORUFI12G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHYLSADSVAILVLGHLAVREREPGHQLVSFWAPFVLVHLGGQDTITAFSRQDNELWLRHLLNLVTQVAVAGYVVAKASWPDVRLRAAMIITFVSGSIKYAERTLCLYLASPEKVSPNSLFTAANKAGQLHPSSSRRSADVTVSYILLVGAIILDATIKKLILDNLLHFGTRAEWNCSSSRGQLALRKWKAAAAGSALDKSTSSGVDFSTSVLIWHIATDMRYYTTTRDGDSSSICSDDDRVKTSRQLSSYIIYLVFNCGVMCLLASQGNNPDEKVAVVTKLLLEGGNNNDELLQRAKAALYYPVVPHAQKVAKELININDEAHRWGLIADVWVEMLYYTAPRCGAAFHYEHLSTGGEFVTHILFLIQILLPLLASLLGT >ORUFI12G19540.1 pep chromosome:OR_W1943:12:20999474:20999910:1 gene:ORUFI12G19540 transcript:ORUFI12G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVFVLRKNNSENIKKLFRGKADAILIKVVKEFPSHANTEMGNVQTSDTIANFWHAVPDLFYLLV >ORUFI12G19550.1 pep chromosome:OR_W1943:12:21022326:21023723:1 gene:ORUFI12G19550 transcript:ORUFI12G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHKKLLQFLRPADTAVAAARLSDDDDDGGAPSSVPPSPMSTWSGRTSAAASPSPYVMSPWVNLPGFGVGGGDEMVAGGGGTGLLGSLVKEDGHVYSLAAAGELLYTGTDSKNVRVWRHRREFAGFRSGSGLVKAIVVAGDGRIYTGHQDGKVRVWRASADDPAVHRRVGSLPGLGDVLRSAVRPSRYVETRRRHSALWLRHFDAVSCLSLDAAAGLLYSGSWDKTFKVWRVSDSRCLESVRAHDDAVNTVAAAGFDALVFTGSADGAVKVWRREPGKGGATRHAMERVLRKGESAVTAIAVAAEARVVYVGSSDGAVTHWQWRRGGAGVAGPPRNGGALRGHRMAVLCLAVAGRVVVSGSADRTISVWRREEGADHARLAVLAGHTGPVKCVAMDEEDDTAGDKRWVVYSGSLDGSVKVWRVSSTPDAAAARTPAHGWKATPSPLGAWTPYAATPARKRMAAA >ORUFI12G19560.1 pep chromosome:OR_W1943:12:21029176:21029649:1 gene:ORUFI12G19560 transcript:ORUFI12G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRIMPTSSRFDQEAFAEELNRIIGGLAAAGDDDPRAAERRYARLSEADREELRCVRRAFANLDRACRSTRVPPAGRPARRIRFRLVGGRLVREEGDEEEEVKGKGKGKHEGVPTANGGVGESPSSSVAAAAGSEEEVEEDELCSAFRSACGASS >ORUFI12G19570.1 pep chromosome:OR_W1943:12:21030897:21049787:1 gene:ORUFI12G19570 transcript:ORUFI12G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAEAARARLLAALRPWLAAEPRELRVELGLLRSRAVARGVELDAAALGDAVPGSFPARVDRVAVAEVELVASPWAAPGLDAVVRGVDVALTLREPAPKKQRPDIKEWISNEKKRVIAAMDPQGQMLHGKIEDLVSSLEDKFTSVFSTALLNCSKVRFDDVTVQVRYLDDSHLVILRTHDLQFGPELVFRCSLFRGLVGSYMPSRKKNHMFVKCDHFEFLLKGNDHTDCTVSLTGTTASVRLDNLHLTAFGIHVASAFWEIAPKFIPSLMVILEITSQKEDYEVRSGRELWKIAAQKLENSIACRRFSLRKAMSCASFWQHYVHTYILLLSLLGYPSGEVIKRNCSRVQSTRKVRETIRNHLKTVSELEEKIPVEAIARGRSAARSKLTVSQQQSEQELSKALLVSNTLKFLSPLLYVWKFLVFICWSLWRFMSSRSRGCKSSVQNFPCASDDSEIKVQFSICLGELSVTFLPLSDHHFTGTPKLNNGNKAYHIDTPSVHLVIKSSSILYTDGFTTQSFFFVIGELKADVSGIPKLLQAANGSITRRNSSFGTEEFSEDINSKTILWSDSASMHPFSGKQPDESFSYNGDSSIALLQSDMEELWSFWTVVSTFYNDSGVMHHEKPSVIFEFKSFLIDPYKSTSGFQQCRFTVGRVNLDVDYLCASSTYLLYRQFVHYKELKELTEKSAEFSNRSDSCATRTSGIADKLRSFNQRLKFLIADAIPINTLQISALIAGPSIRLIFDKNSLLQNSKNKQVPLFSQMNNTSCITLSLAYVECVIWPASLSSLTQKADLHAKESHDTFDGVEEQLESHRLALDSAGHVYSGTVVLDSCFKFADLTLLVDHIEANQQFHIFGPMSANFQLSTSRKYASSFFVTRNILSINLGGRIVGCMAFLFMDDLFPIFQVIKGMQMLALNSELGDIKYSQCFIGRLASFCNRHMDGSTMGTAVEYIIHEETVDCYTELVAEMKLDLEPTHIIVSASRDGLIFNPAMFSNSDINYISSSTVFEGVAALESLDILALGIWFSSRSSSLKLLLDGECTDLLVNLSGIQSVVFENQPQMSICDDILQYSTVLSSSPYDKSQFILSDCVFHLCAGPNKDSLMNDKMQVESISGCSTDSSGIYYFIELEFTEVYIGDYNMHNFLIEVNKPSKQKIALLIHDDLQIVKCKIKGGLIFLETLSLAKFVVCCKIYFRLLMDLSSWAASNSVKDSVTSVSAGSETTVTNRPHVSSGVHSQSEESQLGSVKCLDVDLSQFSLTLAIADESGRYQGLTLEVDAILQQLNLGMKILFEVKRISISSISIMPNTGHVKLRDVPAPRFRSSKSLALPSQSEIQEYLPFLEADNVLTYDHDAPSSSNSTVESSTGNPPLELSSHKSYILRHFATYLKLEKKELNGDSNLMRSSGDWFGNGSVSGLEMILSLFAPFHEILRSGSTQKEIQTGDTPHQELLDNRDYTIPDGAIVAIRDLDQQMYVSIKNTGKKYQVVGTYHYSLSSECALFKVKHHKGWRSDTPCISLLSLYAKTDEGKELALSFSHGSDLVEVSSSVDKPSSLWTTSPLRFDGFEDDGDDGKYCKIISRSSNHLVNKKSNYGIAFNDGLLEFVRKPGNPFKVKVLDESLFSDVARPFVPNVNLDNNTYLDVENELPFGMGDSLETGVSSQHVIISIDKIVFTITHEVLDTGNVFPLVQNCINDTRIITQIFPSKIRILSSFKVIIHYFNARKYLWEELVSPITAYMFFRYRFFNLVPVTRCRRMPLRFFVHLKQVDIFVNELSIDILLYVAGKLNVMGPYAVKSSAVFPNCCKIENNSRLTLVCHFQNNEDAIVSGQQSASVFLRHLTFEDNHPPDQSIVSISLFKEGLFSTAPINVSLQDSGVFASRTRVLSLKDSRSFSGPFVVVKVSQNSEEGLSLSVQPLLRIYNKSDFPLELRFQRPQKSSEEAAFVTVRSGDMVDESTGVFDSMDLSGGSKRALMSLALGKPEISEHSGNFGPTTLVKWSEDITGEKAVRISGVMEKLNYNIRRAFSIDSMKSSFSSLSCDVSIDGQHVTALHFLVHTLSREVPLHPTNGSPVFDRNATVAFQLQREIFIYPTVQVYNFLQTDIHVILTDCEPENTRDDNFDIIGKQATITSGSSAYLYVNPAMFTFSVKLISYGSKSKAVNTSDWVKRMQKQISRAQFLDMELEFVIGTGRFHSSLRLLRQEKGFLEVAVFTRYTLHNTSDYPLLCTAPHKKSLPMYVNLNNWSGTVKETINLPPQDGCILASMSMSSWFTRSSKLRIGLQHEKGSEAFIDLEALSGFTEFSLEIHDNILPRRMATFGMYLQPVLYDLPVPSQVVLIVPRYVFSNESATAVAVRQCFVEFCPKETGYCWSGPICVSSIGRFFVKFRRSQGTVTDDMKRGTLQDGKWKQFASVDVIQETASFVLHFSKPPKAALPYRIENCLNEASIMYFQKTFLSAWPSSQDLAHATHCIHTPALREIKIDKISPWKPFLKMSQNSRLNIDSSFNNGLSSGKQRFDESFGLRVFKIGYEVYADGLTRVLRICEHKDNPKADNIEHPIANVQFRMTYMCIHLLEKGQQGEEKGQSPSAILAARFQHISADSVITDRYRHISLAIHSVNLDEKWEGASFGSILRRNKLQDATLSENILRIIIKLNSTNSSVKQVQYCSIILQPIDLKVDEETLMKIVPFWRTSLAPPGTPSTQFYFRHFEIIASFRPGSPYTTYSSAQEALRALLHSVIKVPEISSSAVELNGVLLNHALVTFRELFLKCAQHYSWYVLRAIYVTKGSSLLPPSFASIFDDSASSVIDVFFDPSDGSLNLPGLTIGMFKFISKNMKSGGFSGTKRYLGDLGKTVKTASSNALFAAVTEISDSIVRGAEANGFNGMVTGFHQGILRLAMEPSVLGQAILEGGPDRKIKLDHSPGLDELYIEGYLQAMLDVMYKQEYLRIRVIDDQVILKNLPPNSALINEIVDNVKSFLVSKGLLKGDSSTIRPLRHLRNEPEWRIAPTVLTLAEHLFVSFAVRVLHREATKAIAGVVSKAKRPAGGGEDDGKGESPSSSSVGVLARRSRVWSVGRFAVSGMVAYVDGRLCRHIPNPIARRIVSGFLLSFIDSRDND >ORUFI12G19580.1 pep chromosome:OR_W1943:12:21050827:21056469:1 gene:ORUFI12G19580 transcript:ORUFI12G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQVGSSNQKNLNVACYEDLTKILGLSRFDSENLSDVSNTGLPMRCALDPTNPARMRCIPPENGLKSADVSSDNLQDMSSCCDSPHSQSGKAKFMCSFGGKIMPRPSDGKLRYVGGETRLISIPRNFSWNELVQKTLKIYSQPHIIKYQLPEEDLDALISLSCDEDLQNMMEEYSSLEKANSSPRLRIFLVSQTECEDSSLDSRSLESEPEYQFVVAVNNLAQLKRSTSGNSLMSQSKHHLDNSPLHFRDTPVRQTDRDSGAKSLGGNSLNEPPSQFFIDPFTQPMVSESSATPSACLTRQRTMKQSRMQSSADKSILNQEYENKSEVYNGSNLKTMFPDHQHNKQNNTDTVIGVGTSPRHFQIQSQVKDLAVPRNESGLSSHTNYDMPIPVETPFYSEKLSVHPENAVLSAEGMTHAFSDPLLKDRTQVLAANLSLAAGSHIASSFSQEIYQTKELERKLSVTRPDFVCVKPTDVARTEEPRHLVSNHTDQPYNQGIVSGTSVEPTIYYQQDSLSSNVRQGHDGGSTVQQWDKPYHQENRAGTNVAHQFSFVDTGLKSYCARGARMSSDELDALESSVPTSVPANDHSCSFLNECSIGSRIENSDLGSQLDKLNLGRAAADYETAGCVSGNDKVFLPINSFDAFASQISMVNRESSVYQNGKLDQSSVHNYGLATSPLTGMSDSNVSANLLSSQNPFPVCVSSREVPLEYNITRNYVVNGFDNESMKLNDRMHNNVQMDAPVIVEDATDNAPLGILSSRPLVPLVEVAAEEQQQVIISSLKDDDARSDVPELANEDHDDEPAADGSISDAAVAELEASMYGLQIIRNADLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFAGRSSEQEKLTKDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRNVLLRKDRMLDRRKRLIIAMDAAFGMEYLHSKSIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGIALWEILTGEEPYANMHCGAIIGGIVNNTLRPPIPKNCEPEWRQLMEQCWSADPDIRPSFTEVTDRLRAMSSALKGHSQGNK >ORUFI12G19590.1 pep chromosome:OR_W1943:12:21060164:21061468:1 gene:ORUFI12G19590 transcript:ORUFI12G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESQWSSSSSLPPAKRNPSPWQSELCSDLLGLVLQRLPSHADRVRLRAVCRSWRAAAAAAGAPPPMPWLALRDGGLIDLDGNPVRCPRPILRDGVVSFHPVGGGNLVFLEHDDGGCSLMNPLSAASGDRDDPLLPLPELAAAVRRAVDSCETPTVATYTPKPSYSTVIMSSSPVVADSSSSPPDTLVAALILNRCAVAISTCKRHDGAAASFSFMDERSRIRSVWLRATAICAIVFLHGKLYAVTSKEGLHVLDLDNGGGGEGGAVLRPCIADDPEKKSVHVDVERRGHLVVRYVVESGGRLLMVRWWKSLPPPVWSADRPPSRFDVLEAADGLGRWKAVDSLRGRALFLGKADSRSVVAGGGGGGAGAREDCIYFMRRSFWYPSKEEDFGQSGVYDMRSGEISPPQLPERGTAELRLHCEYPRWFYPADYSY >ORUFI12G19600.1 pep chromosome:OR_W1943:12:21063789:21067077:1 gene:ORUFI12G19600 transcript:ORUFI12G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGAAAAPSRLMLRFRDGELRFAATDDALRVPLGYAIGAPFIRNERIFRLLDEYARTHARGGGADAVANIAAWDRDFMAREVTDTVTLYDLFVGATALGIDGLSDLCAQMTADAVKGRPVGEVKALLGITDVGMTQEEELKLQQDNDAILYLR >ORUFI12G19610.1 pep chromosome:OR_W1943:12:21067604:21073397:1 gene:ORUFI12G19610 transcript:ORUFI12G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARARIVASAVARLNLVPWATRTHATPAAALAPPVGDIPHAQWRGLPDDVVARVLVRLPVLDLFRLGYLFSPRWLDIWRANPLHLHDRQFASPRIAADDVADAIANVLELHVGDGVQFVGVQGGVGSDDDDDGDGGGGDEVVGADGDDLGVIVNPGLVDDDDDGGDDHDGGLDVAEDEAAVQNAGVVDDSGPGVAVELEEGPEDEASGVEDESADQAGRHRPPSPGGIGADDGVISDDDLYGHDDIPAGGYEIGRVYSFRVETTRWRLDHLDRWCAALQRGRVREVILANLAIEGHPDLPQGIRDCGTSLKGLHVSFTVEADHIDPLVNLRGLGLCGCAINHGVISRALRPESEIRGLTVDFNRQLGDVSVQNTRLRSLEMFDNLMEGSTITVDDAIQFRNLDLYPTRPSRICIVDAPSLRRIGSLDLFITVLEIKGVVIQAGMVQRPPKKRSVRILGLRVNYTEMGHRVPREIEQILKCFPLLEQLEIMRDDEVTQEERLLEADDEHIYQGNNFFRDLGCFKHHLRRIYLTGFRGGKYELALGKAILDEARAGTQFKMLLPLGSNTDNISNQQRWLIEHFRMNTPNDAVRDGHVSIILKTLYKYPTPRYIRKRDQNLKPQREAAACSTRLRPVEISDSPERGMDNVRRSSVTSVMSGIGSSPAALTESTPRATLAPPSNRHADWRGLPDAAVARVLDRLPVLDLFRLGYLFSPRWLDIWRRLPLYLHDHQFAAPPIAADDVAQAITNVLELHVRNGVQFVPVQGGGGGGGGGGHGGNEVAARDGGGGDVSSDDEEYGIYDDVTANDDGGYEIGRVWCFRVETTPWRNGHLHRWCAALRRGRARVVVLANLYLLEHTRLPRALLDGTSLVALHLFYFTVEAYHIDRLRGLGLYGCVLEPGMIERVLHPESEIRELAIHSAMGGTIAVVAAAATRLRSLRMFNIQVGTVAVDDAVELRNLHMRDTRPSRIAINGAPRLRRIISLDIFHTVLEIQGIVIQAGMVEQPPEIRSVRHLGLRVNYTAMVDMLPRQIEQILRSFPRVKSLDIWRCDDVTQAEGLLQWDDVHYDGSNFFDGLESFNHHLRWIYLRGFRGGKCEVALMKIMLDKARVLTLLRMEYSPLPSSLIEHTLNELDLSLWIFKTHTPNDAVRGDLVSFVAADASGRCVRLAAQG >ORUFI12G19620.1 pep chromosome:OR_W1943:12:21077070:21077378:1 gene:ORUFI12G19620 transcript:ORUFI12G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVSKNSPPATARHEDGGAGGAAPPVTSCLYLHRPEPGAGALDKDAVLRRIRHRRRANRLRESLQSLLLTQQQQAAPPPETAADKGRERLAWLDDAFSSP >ORUFI12G19630.1 pep chromosome:OR_W1943:12:21078694:21083030:-1 gene:ORUFI12G19630 transcript:ORUFI12G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSAIPAVAIMPSPLFLWRFKVVLFLLWGLCCCKIGWDSVMRMSADLRDLFLYEAFLYYNPLLLVALMIWLWGVNLWVFAQSSVNYARVFDLAQTHLSHREIWRVLLYAILLIVLLSPFDMFYLSSRFYFLRTVWRIMLPLQAITFPDFFLADIFTSMSKVFSDLERSVCRMVNRQVATTAWLEADSICGSHSVAIPLVLVFPYLCRLFQCLRQYKDTKEKTCLLNALKYSTAVPVIFLSALKYHVFPDKWVSFYRPLWLMSSVINSLYSFYWDIKRDWDLSILTRIFMFKNPSTWTNLLYGQIWVYYWVLASNLVLRCTWTYKLSAHLRHNYLTVFTIAALEILRRFQWVFFRVENEWNKMTAKQSLEMSSDMPSEGDRLLDSNSHTV >ORUFI12G19640.1 pep chromosome:OR_W1943:12:21092784:21099090:-1 gene:ORUFI12G19640 transcript:ORUFI12G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGADGHDLGVVVHPWREDDDDDSDDHDGGPDDAEDEAAVQDAGVVDDSGPGVAVELEHEAADQGGGVRVVDDSDGEGAAGRCRLRFPGGIGADDGVISDDDLYGHDDIPDGGYEIGRVYSFRVETTRWRADQLHRWCAALQRGRVREVTLTNLTMEGFPDLPQGILDCGTSLKGLHVFFFTVEADHIDPLVNLRVLGVYGCSGMITRALRPESEIRVLTIDFNHLGDVVVQTTRLRSLWMFNNVVQGTVIVNDALQFRDLHLLPPTRPSRIFIGDAPSLRSIGSLDLFNTVFVIKGIVIQAGMVQRPPKMRSVRILGLRVNYTDMGHRVPREIEQILKCFPCLEKLEIMRDDEVTPEEGLLKADGEHIYQGNNFFRDLGCFSHHLRWIYLTTFRGGKYELALGKAILDEARAGTIFRMVPPPGSNTDNIVNQLRRAMQHFRMTTPNHAVRDRHPIARSKFETAERRQLAPYASSSAAWRGRRRPPAKSPERARWPERMDHESIVASDLSIYPLPPGTRTLATPRVVLAPPPVTVVNQPHADWRGLPDAAVSRVLDRLPVVDLFRLGYLFSPRWLHIWRARPLYLHDRQFTTPPIPAANVANAITNVLHHHVGEGVQLLPVQGGGGGGGGQGEGALVGGGDADEAVTSDDEIYEDEGIIQNAGHEIGRVYCLRVETTRWSLDHLVRWCAELQRGGARVLMLANLAIPEHPELPQAILNCGASLLGLHVFFFTVEAYHIAALVELRALGLYGCIEGHGMIDRVLHPESPIRKLAIHGGMGRTFAVAGATRLRSLVLFDNQVGTVAVDGAARFRNLYMSDTKPSRIRIGAAPRLRRILSLDIFNTVLVIQGLAIQIGMMEPPPQIRSVRHLGLRVNYTEMDVRLPRLMEQILKSFPRVKSLDIMRCDDVTQAEGLLQWNDAHYDGNNFFDGLDSMALREGGKCEVALMKAMLDKASVLRQLMIQYSTSSVPQLTLNQLDLSLQNFKLHTLNGAIRGNLVSFVAADASGSCRDDEVTVAEGLLKATDEHIYKGNNFFHGLRGFRGGKRELALIKAILDEARAGTRLRMEYSTRSNPELTMDQLDQLVSPDFRAAHSK >ORUFI12G19640.2 pep chromosome:OR_W1943:12:21086154:21092784:-1 gene:ORUFI12G19640 transcript:ORUFI12G19640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSETIVYPLLQLIILELAYDQQHKLAASNPKAISKFETAQRRQLAPHASAVSRFPTRRRGTMEEDRRRSAAFVMSGFKSSPTEATESTPRALLAPPSTNRHADWRRLPDAAVARVLDRLTVLDLFRLGYLFSPRWLHIWRLLPLYLHDRQFTTPPIPAGNVAQAITNVLELHVGNGVQFVPKQGGGGHGGNEVAAPDGGGGGGGHGGADDSSSDEESGLCDDVIAHDAAMLNGGFEIGRVFCFRVETTRWSLEQLNRWCAALHRGRARVIVVANLHLPGYPRFPQALLDCTSLLELHLFFFTVEAYRIDRLLVLGLYSCAWGLGMIDRAIHRESEIRELAIDGVEGSTFRLADTRLQTLRMYENQVGTVAVDNATRLRKLHMHHTWPSRISINGAPRLRKIVSLDLFTTVLEIQGIVIKAGMVEQPPEIRSVRYLGLRVNYTTMVDMLPRQIEQILRSFPRVKSLKILRCDDVTQAEGLLQWNDAHYDGNNFFDGLECFNYHLRWIYLTGFRGGKCEVALMKAMLDKASVLTQLRMEYSTGSLPQLTLNQLNLSLRNFKLHTPNGAVRGDLVSFVAADASGSCCTQMR >ORUFI12G19650.1 pep chromosome:OR_W1943:12:21101052:21102184:-1 gene:ORUFI12G19650 transcript:ORUFI12G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAAAGAGAGAGLPMVKLRGGDGVEFSVQARRLAELAPRHVWDLPAIESGDIYDTVQLYRRNAERFTSRATGGLLPQGVLNVQTIFAQRVNDLDTLGHLTRAAIVLGMEDLKDECYNRMLQDHQMGPQQVKLFLQNVLGHP >ORUFI12G19660.1 pep chromosome:OR_W1943:12:21103279:21105897:-1 gene:ORUFI12G19660 transcript:ORUFI12G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAMAMEAAPAPVPLPLVLRFRNGEDRFALTDQPRQLPLGYAIDAPSIRSARTFGLLDEYARVHAQHARGGPGAVPDIAAWDRAFMEREVTDTDELHDLFMAASTLEMDGLSVLCAQKTADVVKKRTVEEVKALLGIADVGMTPEEELKLQHDNDAILCLR >ORUFI12G19670.1 pep chromosome:OR_W1943:12:21106540:21107116:1 gene:ORUFI12G19670 transcript:ORUFI12G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFIVNFIPDTFSSVILCAKKKTGWKCRRGWSRGGEGVDTTRSPPLGMVKGDGDGEAKSESGSVVPPHKSNSFSSVATTTEWWVRLVAKGRDVAGSTSLVELKPSELACRCDSDGPPKLLGIYMAARRGGSEDDVYLPPLLSSLGHPQCETLLECPH >ORUFI12G19680.1 pep chromosome:OR_W1943:12:21113780:21116185:1 gene:ORUFI12G19680 transcript:ORUFI12G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAGGLLLLLLLLTIPDDGALSASPAAAGGERCRRQCGGLDAVPYPFGFSGSCPIKLACNESGSTAALILPDNAAADTAAESYTVVASSFNRTASTFVVSLPPACNRTVAGARRRLSGANYGVSSRTGMFLRGGCRNATGATACSVPAEVMSKMLRTAQCAGGDEAASASSLTCIASIPPNSTAEALGVGMFARWDTVEEPRCDNLLTSAFYGETPEGVFALELAVAELGWWVNGSCKHSAAAAGDLSGRCAANATCHDVQTPGGEWGHQCKCLPGFTGDGFAAGDGCNLSGSINERKKFTVEGWRGRDAMTTEGRAMAIYAG >ORUFI12G19690.1 pep chromosome:OR_W1943:12:21117475:21125293:1 gene:ORUFI12G19690 transcript:ORUFI12G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAGGFVFLLCVHLFLIPPDGALSAPGGGGERCRRQCGGLVVPYPLGFSGSCPILLACVDAGNSTAALILPGGGGGGNATTTTSSYSYTASNFNASRSTFVVALPPSCSRTVSEARRWLSGANYGVSSRTGLFLRGDCHRNSSASNATNCSIPAETMSRMVPTASCGAEGNTTASALTCVTSTSPAPDPAKPESSSGSGIVGLFAEWKKLEEPSCDNLLTSVYGDTREGVFSLEFSVAEMGWWVNGNCTHGGGGGDLAWRCAPNATCLNVQTPTGGWGHQCKCLHGMVGDGFAAGEGCYFAAKLKMHLAGGRLLLLLLCVGVQFLLILPYGALSASPAAAATGGDERCRRKCGGLDVPYPFGFSGDCPILLACDEGNSTAALLRPTNGTSTTMEPLSYAVVGKSFNSTASTFVVSLLPSCNRTVSDARLWLTGANYGVSSSTGLFVRGCQNAKNNSCSVPAEAMSSMLTTAKCGGGGGGNGTASSPVTCIPTMSTEADMAKGVGLFAQWDKVEEPRCDNLLTSVYGETTNDGVFTLEIAVAEMGWWVNGNCSNHSAAAADLVGLCAANATCHDVRTPSGAWGHQCRCLEGMDGDGFAAGEGCHFPAKKSSTKKILIIVGGVLAGTVAAGVLFLCCARCRRSGGGGGRSGFDRLAAKRLLSEAASSSGVPVYSYHEVARATNSFSHTHRLGTGAYGTVYVGKLPASSPSLVAIKRMRRRHDDGDDDAAVAVLLNEVKLISSLSHPGLVRLLGCCLDRGEQILVYEFVPNGTLAHHLAGGGLPWRARLGVAAETAAAIAYLHAKRPPILHRDVKSSNILLDGDLRPRLADFGLSRAVGRLDQASLSHVSTAPQGTPGYLDPEYHQNFHLSDKSDVYSFGVVLLELITAMKVVDFARPAAEVNLASLALDRIGKGRVDDIVDPALVDRADEWVMRSVRHVSELAFRCLAFQKDVRPAMSEVAAELARIRDAAPASVPGARTGAGSRPPMVIDVGVGFDGVDAAVKKVGSPVSVQDVWVSDQSSPSTNGSMPRFA >ORUFI12G19700.1 pep chromosome:OR_W1943:12:21126132:21128558:-1 gene:ORUFI12G19700 transcript:ORUFI12G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHKLDMILRRMEEFERRRVEAEQTRRADFQSLKAALASWIPHVQNNTEDSHFLVGNKQYKMTPTMCSTECFSPNVEPNLAVDVVVTCATTSMTSVDLVSAEDAIGATYIYNPVQPMVTPAKCLTNCSNPNNIPDLTVAAVVTCTSTSLASMDLEVGKDVACTTEIDGPDCHKETHTKCSMLGLDVKGGADHVGGVFLTMSGVAKSVPISIESIDIFSARLVSDLKQDIPTPTGCLLRIPRYDSKTQFNKKLRLEGIELKPWPPPTYSGVISGLEFRPMPWPAFIYCWLEEHLLDPWPPPTEWAELELWPPPHENDILPLLINGFTHILVDRKAISKFWKAIWSELGEEWSLFVPKLYELHLSGLLQHSVSMISQQLMSRRIWSTKAKMKMLNGWDSKQYLSIMRPIPGLFVKLIQDISPKSHHQAYIEAQVAKKFLENFGEDKVHFLAQSIAVPDTHLGQGCIGCCWLYGPNAISTFHLLIAILHIVRPLYMENIFTRTSHVAKKWATDLKGVVFDWNTLGIVVQEVNYIELICDRDSYGCSVQKCYPQSILFMHNGWSFGGLLDWNSKQYKNSMLIVNPLELMQVLLVPLVWDPDAEMGQIGSYALQPENCQLTTCMRAHCIKPSDYEIITAKENHAADAPRLLIVVMEDEGNTVWTLEFSVSGVVQKKRDLWLCAFAVNKDMKVVMFLQQYGYANLVIVNLLSVPWDPGGSHLALAIKQGTRLSLWAITSIGWLCFLWSYWLHYKRNSNRGDQVGEACTASSHRLGDKPNFKGRRMLGAMWAAIWAGSATFQEVQASPRGNHI >ORUFI12G19710.1 pep chromosome:OR_W1943:12:21129230:21131043:-1 gene:ORUFI12G19710 transcript:ORUFI12G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCSYGSHCAALPFPAVVSRAASSPPPRSRGRRPSLPPAGCFHAAPRDAALVSGSAAGGVSRRRCELFDLHQHIVPFAESWAWQQSIVTRRKGLVGTDEDHSDTLIALQHPPVYTLGTDSTEDYLHFDVKDAPFEVHRIDRGGEVTYHGPGQLVMYPIINLRYHQMDLHWYFRSLEEVIIRALQSAFSIKASRVEGLTGVWVGDKKVAAIGIHVSRWIAYHGLALNVTTDLTPFQLIVPCGIKDRGVGSIKEILQIASDGKEIDETALIDKAYRTLLKEFAEVFQLSLYHNPNWDLQESKNFS >ORUFI12G19720.1 pep chromosome:OR_W1943:12:21134169:21135417:-1 gene:ORUFI12G19720 transcript:ORUFI12G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGGGISSPPAPNPATSPVPGPAPAPVPGSVAEREFAGGRRGAASSSLSPPLIAMLAVVGAALLVVLYARLVSRVFRAARRRWRRRRRRRLLMIPGSPADDSFATFTTYDNYYHTFSPYGLDDAAIKSLPSAQYLKSSAMAARGGGGGGGADNRRARLRRFADGDELRALPLCAHAFHADCIDVWLRAHASCPLCRAAVALPPPVASPLRAARRVRPSLDDLLFFHPVPQPPHAAADPSAAPAEITPASPDHVGPRDDFLLKRSYSFGFERHLLAVEAPSTASPPWRYRLNIGGGGGGADGPNRGGRSSFWSKRWPSPFGGGGGAAAASRVFSFRSYRSAAAKSSPFSRRRGGTGPGGATAPGGGFFMSLASEPPSILAAARRASAAAAASSRLRCGDPEALLSPDRLSR >ORUFI12G19730.1 pep chromosome:OR_W1943:12:21139033:21139857:1 gene:ORUFI12G19730 transcript:ORUFI12G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGEVVHFSHPHHRLRLEHADTPFRCDGCREVGIGARFRCPFAGCDHDLHRQCALPLSPPPPPLRHPFYPRCAFVFLARAPGAPGTRYCNACGRDVAGFVYHCRACGFDLHPCCATLPHVLDAAAGAASGGGGGGARLYLHPKATAACHRCGHRGRSWTYRSSCKSYSLHVACVMDMLVESWNGVGRHKGGVAGGGNVYDGVTVAGSGGYRVPVIRGAAKSSHASRGGSYWGRKGKVKRCCEIAGFAAQVVISAVLGDPTALIAGVIGSLIAR >ORUFI12G19740.1 pep chromosome:OR_W1943:12:21141620:21147650:1 gene:ORUFI12G19740 transcript:ORUFI12G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRAKRVDASARMRAVPIAVTPEGFWCCPSPAALHKSLKNNPHHHGGGGNHKPPPPTPPPQQHKTTTPSAPPSRAPSVLSEPVAGDAPDEPPPQPAAAEAEAERHKICVGFGQPETSDVTVVLYGKEGIAVRMSVHGDVLRRSSAFFAEKLSSSSSSSGSGGHGHGSCLEIHDCDDAEIYVETVGLMYCDEAKHKLLKQNVSRVLRIMKVAESLGFHACVKSCLDYLEAVPWVGEEEDNVVSSIRHLQSKAYGVSPLLKRITSDNLKSPTDTLALIMEMVLKSTDDRARREMKALVLNLLKDSNHCTDGSSDISSELLYSSCQGCLDRLRLLFSEATGQEFSVELTRQITLETDNLLWLVEILVNQRICDDFVALWANQSEIAELHGKLPVASRHTVSCITARLFVGIGRGEMLPSKNTRLLLLQVWLQPLIDDYSWLQCSCRSFDRKLVEEGIGQTILTLPLEDQRSMLLAWLGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVSQAR >ORUFI12G19740.2 pep chromosome:OR_W1943:12:21143666:21147945:1 gene:ORUFI12G19740 transcript:ORUFI12G19740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRAKRVDASARMRAVPIAVTPEGFWCCPSPAALHKSLKNNPHHHGGGGNHKPPPPTPPPQQHKTTTPSAPPSRAPSVLSEPVAGDAPDEPPPQPAAAEAEAERHKICVGFGQPETSDVTVVLYGKEGIAVRMSVHGDVLRRSSAFFAEKLSSSSSSSGSGGHGHGSCLEIHDCDDAEIYVETVGLMYCDEAKHKLLKQNVSRVLRIMKVAESLGFHACVKSCLDYLEAVPWVGEEEDNVVSSIRHLQSKAYGVSPLLKRITSDNLKSPTDTLALIMEMVLKSTDDRARREMKALVLNLLKDSNHCTDGSSDISSELLYSSCQGCLDRLRLLFSEATGQEFSVELTRQITLETDNLLWLVEILVNQRICDDFVALWANQSEIAELHGKLPVASRHTVSCITARLFVGIGRGEMLPSKNTRLLLLQVWLQPLIDDYSWLQCSCRSFDRKLVEEGIGQTILTLPLEDQRSMLLAWLGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVSQARYD >ORUFI12G19750.1 pep chromosome:OR_W1943:12:21149667:21155743:1 gene:ORUFI12G19750 transcript:ORUFI12G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRARRRRAPPPRGGRRLPRRPPRARAHKRDVTAPPVRARGGRHPFFFDPGAEAPGQQVRLLLPVHVTLPSPPLSSFPSPWIPTCRLAGSRVSLASPSSSSFLYPVEGVLGWGEIKNRSCPWPVVRLSRARLVTLCLGSWCVVNRDYRELEFLVGVSGSLRGESGLSGRRGDRRRTEFLVCCRLKLWFSEVLETFSIGEKFMRFLSSGYRKFDPQITSSHGLGAYDESDNEDIDRAIALSLSEEQNKGKAVDIDYNLEEDEQLARALQESLNADSPPRQNIPVENVPSEPPRELPPILFASSGSRTCAGCKNPIGHGRFLSCMDSVWHPQCFRCFACNKPISEYEFAMHEDQPYHKSCYKDFFHPKCDVCKNFIPTNRNGLIEYRAHPFWMQKYCPSHEDDGTPRCCSCERMEPMDIKYITLDDGRKLCLECLNSSIMDTPECQQLYMDIQEFFEGLNMKVEQQVPILLVERQALNEALETEKNGHHLPETRGLCLSEEQIVRTILRRPIIGPGNRIIDMITAPYKLERRCEVTAILILYGLPRLQTGSILAHEMMHAYLRLKGFRSLSPQVEEGICQVLSHMWLESEIIFGSSIDISATSVASSSSSSSTPTTSKKGAKTEFEKKLGAFIKHQIETDSSEAYGDGFRAANRAVESYGLRSTLNHMKMTGSFPY >ORUFI12G19750.2 pep chromosome:OR_W1943:12:21149803:21155743:1 gene:ORUFI12G19750 transcript:ORUFI12G19750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSSGYRKFDPQITSSHGLGAYDESDNEDIDRAIALSLSEEQNKGKAVDIDYNLEEDEQLARALQESLNADSPPRQNIPVENVPSEPPRELPPILFASSGSRTCAGCKNPIGHGRFLSCMDSVWHPQCFRCFACNKPISEYEFAMHEDQPYHKSCYKDFFHPKCDVCKNFIPTNRNGLIEYRAHPFWMQKYCPSHEDDGTPRCCSCERMEPMDIKYITLDDGRKLCLECLNSSIMDTPECQQLYMDIQEFFEGLNMKVEQQVPILLVERQALNEALETEKNGHHLPETRGLCLSEEQIVRTILRRPIIGPGNRIIDMITAPYKLERRCEVTAILILYGLPRLQTGSILAHEMMHAYLRLKGFRSLSPQVEEGICQVLSHMWLESEIIFGSSIDISATSVASSSSSSSTPTTSKKGAKTEFEKKLGAFIKHQIETDSSEAYGDGFRAANRAVESYGLRSTLNHMKMTGSFPY >ORUFI12G19760.1 pep chromosome:OR_W1943:12:21156104:21157815:1 gene:ORUFI12G19760 transcript:ORUFI12G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGPWLLVVVVAWVLGVAAASSSSSSPGQAGTSQKFNKCMPCSRRYVADSYLDTLIGQLAQHRDLTETSDSADSCKGLDYDLDAPMLTELHSELVGEGSHRRLVYHVEFANCADAMVNFLDNYDANLVVIENLPNGVFADPFELQHFVERKVYLDMVVFGDTNLELPSALSNRSYVEIHVDLAPLASMNCNLVIDLPLHARYPVRFSMNFLK >ORUFI12G19770.1 pep chromosome:OR_W1943:12:21161910:21166961:1 gene:ORUFI12G19770 transcript:ORUFI12G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAPPPNAETKRLSNPVTSAAAARSELRVNLLHREAWNLSLSRSLHLRIEDRNPWHRLGPRNGRPRSAAAELLLLVVVVVEEELAAEGAGALVVVVCLDGVRQLLAVVFKCCDLELKQPRGLEDPQVLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFDEFARALSVFHPSAPLDEKIDFSFQLYDLKQQGYIERQEVKQMVVATLAESGMNLSDEIIESIIDKTFEEADTKHDGRIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >ORUFI12G19770.2 pep chromosome:OR_W1943:12:21161960:21166962:1 gene:ORUFI12G19770 transcript:ORUFI12G19770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCLDGVRQLLAVVFKCCDLELKQPRGLEDPQVLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFDEFARALSVFHPSAPLDEKIDCEFRLSFQLYDLKQQGYIERQEVKQMVVATLAESGMNLSDEIIESIIDKTFEEADTKHDGRIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >ORUFI12G19770.3 pep chromosome:OR_W1943:12:21161960:21166962:1 gene:ORUFI12G19770 transcript:ORUFI12G19770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCLDGVRQLLAVVFKCCDLELKQPRGLEDPQVLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFDEFARALSVFHPSAPLDEKIDFSFQLYDLKQQGYIERQEVKQMVVATLAESGMNLSDEIIESIIDKTFEEADTKHDGRIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >ORUFI12G19770.4 pep chromosome:OR_W1943:12:21161925:21166960:1 gene:ORUFI12G19770 transcript:ORUFI12G19770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCLDGVRQLLAVVFKCCDLELKQPRGLEDPQVLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFDEFARALSVFHPSAPLDEKIDFSFQLYDLKQQGYIERQEVKQMVVATLAESGMNLSDEIIESIIDKTFEEADTKHDGRIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >ORUFI12G19780.1 pep chromosome:OR_W1943:12:21168249:21176491:1 gene:ORUFI12G19780 transcript:ORUFI12G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLIEDYTGDGRSSSTEELPSDQQSHSGESLAEWRSSEQVENGTPSTSPAYSDSDDDDCGPRPSELYGKFTWRIDNFSQINKRELRSNSFDVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVINRDPKKSKYSDTLHRFWKKEHDWGWKKFMELTKLHEGFVVDDVLTIKAQVQVIREKADRPFRCLDGQYRRELVRVYLSNVEQICRRFIDERRSKLSRLIEDKLRWSSFNAFWLGMDPSVQKYMTREKTDTVLKVLVKHFFIEKEVTSTLVMDSLYSGLKALEYQTKNKKGVPKLAETVQMDIRSTPMVLVDQDMFVLADDVILLLERAALDTLPHQPLPTKDDKSSQNRTKDGSSGDDFNKDSIERDDKRLVELGWKTLEFFALAHIFSRIEVAYQEAVALKRQEELIREEEAAGLAEIELKAKRSAAEKEKRIRKKQAKQKKNSRKNNKGKNEKVDIKEIVIESSSPPDDRILDDLSSQAEEITSNADNPEEVSDISDNRDDSSELLHNDLEDSESSPVNWETDASETQATVPEGGEMQNEHAGKRTSFLDDSSSTCSSDSVPSVILNTGGAWTNVKSSSNRGNNRRNNKDHDARSGLGHGGLNSVPNGIAGSSSSTSVNSKDHQHEDDRVVSQKKQNAHRQTDVMSPSKLRMTESSVSPIKKQHIFSQQPKSSLESANNLSSRASEASGAVTASSVMGVTTTPTAQLVSNKGPLTIQATHNERSVPVARHPQVPTVSKSEAQKQTSLVSSGTATQAITVSRPLSAPQVPAAKQTAPVASAVQTVPLLSRSMSAVGRLGNEPSASAPSYIPRSYRNAMMERSSVGASSFTHQTSSEQRVAQSQSMFSLSPSILSPEHLVGNDRSSLRQGLTFGTVKPETLNQWREESSQQASSSSSSSSNDHGAVSSSNGGEFEKFYLFGKPRSKQLSEISARSTPFQPQGLVSDEFPHLDIINDLLNDEQCERRTLKVLQRSQQAFARQYSMPNNSSTPDYGMFAQSDPYLFDQPEQYYDEEIPRFYNSLNGTSRGLRERSYSHFDLPSYSNGQFDDMMMNQWPYGQTDLSLPNFGADMNGYPYQSSDYPNSANGLSRYPPYRPANGH >ORUFI12G19780.2 pep chromosome:OR_W1943:12:21168346:21176491:1 gene:ORUFI12G19780 transcript:ORUFI12G19780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLIEDYTGDGRSSSTEELPSDQQSHSGESLAEWRSSEQVENGTPSTSPAYSDSDDDDCGPRPSELYGKFTWRIDNFSQINKRELRSNSFDVGGYKCFNAFWLGMDPKKEVTSTLVMDSLYSGLKALEYQTKNKKGVPKLAETVQMDIRSTPMVLVDQDMFVLADDVILLLERAALDTLPHQPLPTKDDKSSQNRTKDGSSGDDFNKDSIERDDKRLVELGWKTLEFFALAHIFSRIEVAYQEAVALKRQEELIREEEAAGLAEIELKAKRSAAEKEKRIRKKQAKQKKNSRKNNKGKNEKVDIKEIVIESSSPPDDRILDDLSSQAEEITSNADNPEEVSDISDNRDDSSELLHNDLEDSESSPVNWETDASETQATVPEGGEMQNEHAGKRTSFLDDSSSTCSSDSVPSVILNTGGAWTNVKSSSNRGNNRRNNKDHDARSGLGHGGLNSVPNGIAGSSSSTSVNSKDHQHEDDRVVSQKKQNAHRQTDVMSPSKLRMTESSVSPIKKQHIFSQQPKSSLESANNLSSRASEASGAVTASSVMGVTTTPTAQLVSNKGPLTIQATHNERSVPVARHPQVPTVSKSEAQKQTSLVSSGTATQAITVSRPLSAPQVPAAKQTAPVASAVQTVPLLSRSMSAVGRLGNEPSASAPSYIPRSYRNAMMERSSVGASSFTHQTSSEQRVAQSQSMFSLSPSILSPEHLVGNDRSSLRQGLTFGTVKPETLNQWREESSQQASSSSSSSSNDHGAVSSSNGGEFEKFYLFGKPRSKQLSEISARSTPFQPQGLVSDEFPHLDIINDLLNDEQCERRTLKVLQRSQQAFARQYSMPNNSSTPDYGMFAQSDPYLFDQPEQYYDEEIPRFYNSLNGTSRGLRERSYSHFDLPSYSNGQFDDMMMNQWPYGQTDLSLPNFGADMNGYPYQSSDYPNSANGLSRYPPYRPANGH >ORUFI12G19780.3 pep chromosome:OR_W1943:12:21168249:21176491:1 gene:ORUFI12G19780 transcript:ORUFI12G19780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLIEDYTGDGRSSSTEELPSDQQSHSGESLAEWRSSEQVENGTPSTSPAYSDSDDDDCGPRPSELYGKFTWRIDNFSQINKRELRSNSFDVGGYKCFNAFWLGMDPKKEVTSTLVMDSLYSGLKALEYQTKNKKGVPKLAETVQMDIRSTPMVLVDQDMFVLADDVILLLERAALDTLPHQPLPTKDDKSSQNRTKDGSSGDDFNKDSIERDDKRLVELGWKTLEFFALAHIFSRIEVAYQEAVALKRQEELIREEEAAGLAEIELKAKRSAAEKEKRIRKKQAKQKKNSRKNNKGKNEKVDIKEIVIESSSPPDDRILDDLSSQAEEITSNADNPEEVSDISDNRDDSSELLHNDLEDSESSPVNWETDASETQATVPEGGEMQNEHAGKRTSFLDDSSSTCSSDSVPSVILNTGGAWTNVKSSSNRGNNRRNNKDHDARSGLGHGGLNSVPNGIAGSSSSTSVNSKDHQHEDDRVVSQKKQNAHRQTDVMSPSKLRMTESSVSPIKKQHIFSQQPKSSLESANNLSSRASEASGAVTASSVMGVTTTPTAQLVSNKGPLTIQATHNERSVPVARHPQVPTVSKSEAQKQTSLVSSGTATQAITVSRPLSAPQVPAAKQTAPVASAVQTVPLLSRSMSAVGRLGNEPSASAPSYIPRSYRNAMMERSSVGASSFTHQTSSEQRVAQSQSMFSLSPSILSPEHLVGNDRSSLRQGLTFGTVKPETLNQWREESSQQASSSSSSSSNDHGAVSSSNGGEFEKFYLFGKPRSKQLSEISARSTPFQPQGLVSDEFPHLDIINDLLNDEQCERRTLKVLQRSQQAFARQYSMPNNSSTPDYGMFAQSDPYLFDQPEQYYDEEIPRFYNSLNGTSRGLRERSYSHFDLPSYSNGQFDDMMMNQWPYGQTDLSLPNFGADMNGYPYQSSDYPNSANGLSRYPPYRPANGH >ORUFI12G19790.1 pep chromosome:OR_W1943:12:21181518:21184724:-1 gene:ORUFI12G19790 transcript:ORUFI12G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPPPLLLPAPRCPRSTAVLAASRFAASASSSSGGGGGGSSSGARTARPPPAPRRRRGKPGFSRQSAIKKSFHQEQVVFSTPVPPDPSVAVVGGGASGLACAASLAARGVRAVVFDTGMHGLGGRMATRAIAAAGDQQQQQQLVFDHAAQFFTASDERFKRVVDEWMDKGLVREWGGLIGELDAGGHFRPMPSSSPPRYIGVDGMRPLADAILPESDLIEVVRPCWISKLEPFNGLWRLFENEKPHGQYDAIVIAHNGKCANRLLSTSGLPLLTRQMKRLQLSSVWALLAAFQDPLPIPQTDSYGTFEGAFVKDVDSLSWMANNTQKLFPLQTGRPECWTFFSTAAYGKRNKVPQENIPKITAEKVKEDMLRGVELALGLSRGSLQQPFYTRVQLWGAALPMNTPGVPCIFDPQGRAGICGDWLTGSSIEAAVLSGMSLANHIADYFASSGEQPEEFAIGLHENLSQVEGHDIGQFPGLESQKPHVAEAQLTPST >ORUFI12G19800.1 pep chromosome:OR_W1943:12:21186133:21190264:-1 gene:ORUFI12G19800 transcript:ORUFI12G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAARFLAALAGAAVLLVLLGGAAGAVVGHDDDAAAARRTMEEFAGFPASDYRGDGGGGSGGSSPFYVDSDGLQRQIDELASFSDSPVPSVTRVLYSDKDVQARRYIKGIMNQLGLSIREDAVGNIFGRWEGSEAGLGAVATGSHVDAIPFSGKYDGVVGVLGALEAIRMLKRSGFQPKRSLEIIMFTSEEPTRFGISCLGSRLMAGSEELARSLKETVDNQNVSFFDAADSAGYKMHPEELHNVFLKKDDYFAFVELHIEQGPILEKEGIKIGVVTAIAAPASIKVEFEGNGGHAGAVLMPARSPPHIYMGKETFYRNDAGLAAAELALAVEKHVLESGSIDTVGTVGILQLHPGAINSIPSKSHVEIDVRDIDEKRRNNVIEKVHQSTIEISKNRGVLLSEFKIINQDPPALSDKSVISAMEFAAKQLNLEYKLMISRAYHDSLFMARISPMGMIFIPCYKGYSHKPEEYASPEDMANGVKVLALAMARLSLQ >ORUFI12G19810.1 pep chromosome:OR_W1943:12:21190429:21197100:1 gene:ORUFI12G19810 transcript:ORUFI12G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGPGVGEREAHGLVGVARLNQTEEATKPHTLSLSFLPNPHGSRALLSSPLKTLHGGGGGGGGGTPSLARPQNMDGNIENPAEDMSGIASNLDNEEQAIPLSDVPEQYKEDPENTYDEETKDSSYEESGIPYNEDQVNINDGNVGHQHEEDQAIPSEEGHAYGGEAQGEQQANAVTDEKKWPGWPGESVFRILVPAQKVGAVIGRKGEFIKKMCEESRARIKVLDGPPGVPDRAIPVKKLHMLSAKDEPDAPLPPAVDGLLRVHKRITDGLDGESDQPQRAAGTVGPTRLLVPASQAGSLIGKQGATIKSIQDASKCVLRILESVPPVALSDDRVVEIQGEPLDVHKAVELIASHLRKFLVDRSVLPLFEMQCTMRTESNLCHLLRRGVLLHRGVIHQTFLLVAQVMVVIHSSCLLGLKTTIILLLMYLLSKSNHTMEFLHMAVMHLLLVLLLPQGINTHHMITHSMQVPLSYADAVIGAAGASISYIRRHSGATISIQEGVPGEMTVEISGSASQVQTAQQLIKNFMAEASPQGPPQAPAPPAQPVDTGYSSYPPYGGTSYGSPPGGAGPHNGGSYGGAPPPYPPSYGY >ORUFI12G19810.2 pep chromosome:OR_W1943:12:21190429:21197100:1 gene:ORUFI12G19810 transcript:ORUFI12G19810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGPGVGEREAHGLVGVARLNQTEEATKPHTLSLSFLPNPHGSRALLSSPLKTLHGGGGGGGGGTPSLARPQNMDGNIENPAEDMSGIASNLDNEEQAIPLSDVPEQYKEDPENTYDEETKDSSYEESGIPYNEDQVNINDGNVGHQHEEDQAIPSEEGHAYGGEAQGEQQANAVTDEKKWPGWPGESVFRILVPAQKVGAVIGRKGEFIKKMCEESRARIKVLDGPPGVPDRAVMISAKDEPDAPLPPAVDGLLRVHKRITDGLDGESDQPQRAAGTVGPTRLLVPASQAGSLIGKQGATIKSIQDASKCVLRILESVPPVALSDDRVVEIQGEPLDVHKAVELIASHLRKFLVDRSVLPLFEMQCTMRTESNLCHLLRRGVLLHRGVIHQTFLLVAQVMVVIHSSCLLGLKTTIILLLMYLLSKSNHTMEFLHMAVMHLLLVLLLPQGINTHHMITHSMQVPLSYADAVIGAAGASISYIRRHSGATISIQEGVPGEMTVEISGSASQVQTAQQLIKNFMAEASPQGPPQAPAPPAQPVDTGYSSYPPYGGTSYGSPPGGAGPHNGGSYGGAPPPYPPSYGY >ORUFI12G19820.1 pep chromosome:OR_W1943:12:21200378:21203031:-1 gene:ORUFI12G19820 transcript:ORUFI12G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVEAANRAAVESCHRVLALLSQQQDPALLRSIASETGEACAKFRKVVSLLGNGGGGGGGGGGGGHARGRMAGRSRPSAVLREKGFLESSSGGGQLGMMMSGAATPSTSSAAHLRNRIGGGSGVPPDSLRGLDLVSSSSKGGAHQFDPPKLVQPLSVQFQFGATAHRYPFQQHQHQQKLQAEMFKRSNSGISLKFDSPSATGTMSSAFMSSLSMDGSVASLEGKPPFHLISGPVASDPVNAHHVPKRRCTGRGEDGSGKCATTGRCHCSKRRKLRIKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPAMLIVTYEENAEAPSFCSGTVGPVM >ORUFI12G19820.2 pep chromosome:OR_W1943:12:21200463:21203031:-1 gene:ORUFI12G19820 transcript:ORUFI12G19820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVEAANRAAVESCHRVLALLSQQQDPALLRSIASETGEACAKFRKVVSLLGNGGGGGGGGGGGGHARGRMAGRSRPSAVLREKGFLESSSGGGQLGMMMSGAATPSTSSAAHLRNRIGGGSGVPPDSLRGLDLVSSSSKGGAHQFDPPKLVQPLSVQFQFGATAHRYPFQQHQHQQKLQAEMFKRSNSGISLKFDSPSATGTMSSAFMSSLSMDGSVASLEGKPPFHLISGPVASDPVNAHHVPKRRCTGRGEDGSGKCATTGRCHCSKRRKLRIKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPAMLIVTYEGEHNHTRLPTQSAQT >ORUFI12G19830.1 pep chromosome:OR_W1943:12:21215212:21222018:1 gene:ORUFI12G19830 transcript:ORUFI12G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFPYSSGDLGEATTAAAAAVDMITLDQMFRDYDASTGDDLFELVWESCGGGEIDSGAGEVQPAGVPCCRRLLPGSSPEPTSEDEMAAWLSTIVTGSGGSGGDDVAAGGDHQDPAVKKPDGEPLTEKMDKKLPTRTEERRRVKHKARRNPGYAETHGLTEKRRRSRINEKFKMLQRLVPGCDKAHSLIPTFTAGGPPAASQGDLHRHRRLMVVVSVHHRCRG >ORUFI12G19840.1 pep chromosome:OR_W1943:12:21238006:21244406:1 gene:ORUFI12G19840 transcript:ORUFI12G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTSCYNDVDAGDMFAAADYYSAGDGGDLFDMVWPGRRRRREEDNTSGCLPLSPPPPPELAVDDQLLAGGDGGGGEPVAVAVAEDDDSGERWTEDQVPTDEGICVMKRRCKINENLKTLQQLVPGCDKSNNQASTLDKTIRYMKSLQQHVQAMSVGCIMKPAAAGVSYHPFLQPPPPEAVTCWSSWRRSPQDPGMPLLDLPPPPLPLSTTTTMTCSLTSSFCWCR >ORUFI12G19850.1 pep chromosome:OR_W1943:12:21248070:21248630:-1 gene:ORUFI12G19850 transcript:ORUFI12G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRSGQQRAADGGEAASERARPSSTAGEDGERRPAALAGEWGEEVREREQSGRRWRRRRGGRASVGTRQASVRPAERSGGVRPEQARERAASRADPRDLTSPRWCPTTKAAAATHGSAAMVLFTPSLSVDPNPEPPVVEAPDPKPPTSHHDADAVAVAGADVAGYLPFANRRPRSALPAAGGGA >ORUFI12G19860.1 pep chromosome:OR_W1943:12:21256585:21262020:1 gene:ORUFI12G19860 transcript:ORUFI12G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTSCYNDVDAGDMFAAADYYSAGAGGDLFDMVWPGRRRREEDNTSGCLPLSPPPPPELAVDDQLPAGGDGGGGEPVAVAVAEDDDSGERWTEDQVPTDEGICVMKRRCKINENLKTLQQLVPVCDKSNNQASTLDKTIRYMKSLQQHAQHEKTAAAAGVTCPFLPPPPSSALLVIVEEGPTRPWDATARSTSAAATSFDHHHHGALIDKLLQVVQPYMLSLKTSVRENNAMVKPNFYHS >ORUFI12G19870.1 pep chromosome:OR_W1943:12:21262345:21263084:1 gene:ORUFI12G19870 transcript:ORUFI12G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTSYNDVDAGDMFAAADYYSAYMTSCYNDVDAGDMFAAADYYSAGCLPLSPPPPPELAVDDQLLAGGDGGGGEPVAVAVAEDDDSGERWTEDQVPTDEGICVM >ORUFI12G19880.1 pep chromosome:OR_W1943:12:21300932:21303346:1 gene:ORUFI12G19880 transcript:ORUFI12G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGGGGACSFNQPPPLLPPAGIIVPGTTTTTLLPEQPVVDDHLPAAAAAPPSEEEMAAWLYPIVGGHQVAAGGWLSPEAGDDRRAAPPAPEKKQMDNMPAVASPTTTMNKDEASDDSGERKKKKKKASSAAGKASRHRHAAGAHNLTEKRRRFKITERFRTLQRLVPGCDNKSNQASTLDQTIQYMKSLQHQLEATSAVGSPAAAVLYPAAVHPQSYMHPPAPPPPVAGAAAPVTMAPMPAGMVLAAAPPGAAPPPGPPATMMPFGAMLPYPPYPAVLLPPPPATLYGRPPAAAPSVAARRHGSTSGGGRISKSSSSSLRKEL >ORUFI12G19890.1 pep chromosome:OR_W1943:12:21306820:21309849:1 gene:ORUFI12G19890 transcript:ORUFI12G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAAAARPKPEQGFHLEIYGGKWESAMTTHSRRETARRRRHRTGQRHGKAFASVYTLPTQAPPHRRPHNSPRRLTSSQPSMQPTITHTPLLHRPEPAKAAKGPVVETRLATVATSARSPAAPPPRPVGAAGGCHRSAPWIIWDFHIERNIILPPDFVRSDIGGGGAASPAKEVYCSGYAGGLQQEILCWESRRTETARQDQRQVEDAAASD >ORUFI12G19900.1 pep chromosome:OR_W1943:12:21307053:21307244:-1 gene:ORUFI12G19900 transcript:ORUFI12G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGAERWQPPAAPTGLGGGAAGLRALVATVASRVSTTGPLAALAGSGRCSRGVWVMVGCIEG >ORUFI12G19910.1 pep chromosome:OR_W1943:12:21314079:21316644:-1 gene:ORUFI12G19910 transcript:ORUFI12G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTTTLLPEQPLVDDHHLPAAAAAPPSEEEMAAWLYPIVSGHEVAGGGWRSPEAQDDRRAAPAPEKKQMEKMPAAASPTTTMNKDETSDDSGERKKKKASSAAGKASKHHHAAAGAHNLTEKRRRFKITERFRTLQRLVPGCDKSNQASTLDQTIQYMKSLQHQLKAMSVVGSPPALLYPAAVHPQSYMHPPPPPPPVTMPMHPGMVLAAPPPGAAPPPGPPAMVPFGAMLPYPPYPAVLLPPPAAATLYGRPPAPAPGVAARRHGSSGGGRISKSSSSSLCKKL >ORUFI12G19920.1 pep chromosome:OR_W1943:12:21332268:21332831:-1 gene:ORUFI12G19920 transcript:ORUFI12G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLLRGTVLLVAAAVVLASAPVSPGGGGVDTVAESCNAIRDFVEVAFCEAALRSSGPGAATADRHGHLLIAADLAAARGDSARDDAVAAAAAARASGGGERDGLEACGILYGSSVAAVRLMRGYAAARSWEAARSLLWLSGQAGMGCAAALDSPAPGMDRANEDFSRLTTMATALLNSVAATSG >ORUFI12G19930.1 pep chromosome:OR_W1943:12:21333848:21334208:1 gene:ORUFI12G19930 transcript:ORUFI12G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAVVLAVVVFLAAGVQAGSGGGGGGAAWTALDGVCGDLGGYYVTPELCKSALCGGGGGGDTSSSSSPXAPYVSLGCEGMAGGGGGAAALPRENERFSVMAIVAHAVVASMLGP >ORUFI12G19940.1 pep chromosome:OR_W1943:12:21334526:21340526:1 gene:ORUFI12G19940 transcript:ORUFI12G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSAVVLQAALDGDLHLLCEMAKKLDLRGFKDMNGRNALHLAASYGHLEICKFLVEESGLDVNSGSHRGETPILLAACDGDINVLIYLLDHGGDPAIPNAGGFTPLHYAAEYGHVDVVRLLLSKGVHVDPLNYSGAPLHLATANDHDQVAKVLLEHGADPNRVVNHVLSPLVVACCSHSLKCMKLLIKAGADVNDRSSTGPRTTPLVLAVDDGSADIVKILLEAGADPNIRNEDGRIPIMMAAARGQRELVEILFPRTKPIPCLPDWSVDGIIRTMRFTRTEPEDAVPVEILVSDSKLNAKEAFAEGEYITAIYFYTKALEKAPLDATLFANRSLCWLRLREGDVALLDARRCKALRPGWSKAWYREGAALSLLKNYREAAAAFTEALKLDPENYEIKNALREALECRKRAARSEEDKNP >ORUFI12G19940.2 pep chromosome:OR_W1943:12:21334526:21340526:1 gene:ORUFI12G19940 transcript:ORUFI12G19940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQTGSMIFVYDICEVRQFQYSVIWVAIKKRNDSNQPKQRRRRRQRQLPLRWAPGGGEQGGGVSLLSVLADKEERWATQRRGDAAAADGGPPGQRPRHLADGDLDQDRDGDEHPHQAPARLTVLARPPPSSPCRFPNRRAASLSSPCRSFPSISSPPANLHAGEVGGARCHGVGCVVRPGHAGARRAAASGGGRRGAADDDVDSAVVPHLGVGLQRLPPAERGDAGVDVRRELLGDDGRHLPAPPTHLLLRGHHRRCIAGRRPPPPILLSRLAPSTSSPTRWPACPGENGEKRNG >ORUFI12G19940.3 pep chromosome:OR_W1943:12:21334526:21339250:1 gene:ORUFI12G19940 transcript:ORUFI12G19940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSAVVLQAALDGDLHLLCEMAKKLDLRGFKDMNGRNALHLAASYGHLEICKFLVEESGLDVNSGSHRGETPILLAACDGDINVLIYLLDHGGDPAIPNAGGFTPLHYAAEYGHVDVVRLLLSKGVHVDPLNYSGAPLHLATANDHDQVAKVLLEHGADKLEQKLGQAPNRVVNHVLSPLVVACCSHSLKCMKLLIKAGADVNDRSSTGPRTTPLVLAVDDGSADIVKILLEAGADPNIRNEDGRIPIMMAAARGQRELVEILFPRTKPIPCLPDWSVDGIIRTMRFTRTEPEDAVPVEILVSDSKLNAKEAFAEGEYITAIYFYTKALEKAPLDATLFANRSLCWLRLREGDVALLDARRCKALRPGWSKAWYREGAALSLLKNYREAAAAFTEALKLDPENYEIKNALREALECRKRAARSEEDKNP >ORUFI12G19940.4 pep chromosome:OR_W1943:12:21334526:21339250:1 gene:ORUFI12G19940 transcript:ORUFI12G19940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSAVVLQAALDGDLHLLCEMAKKLDLRGFKDMNGRNALHLAASYGHLEICKFLVEESGLDVNSGSHRGETPILLAACDGDINVLIYLLDHGGDPAIPNAGGFTPLHYAAEYGAFHAFQFTRHLWDLRMVLAYKLLGILCANIVVPSGHVDVVRLLLSKGVHVDPLNYSGAPLHLATANDHDQVAKVLLEHGADKLEQKLGQAPNRVVNHVLSPLVVACCSHSLKCMKLLIKAGADVNDRSSTGPRTTPLVLAVDDGSADIVKILLEAGADPNIRNEDGRIPIMMAAARGQRELVEILFPRTKPIPCLPDWSVDGIIRTMRFTRTEPEDAVPVEILVSDSKLNAKEAFAEGEYITAIYFYTKALEKAPLDATLFANRSLCWLRLREGDVALLDARRCKALRPGWSKAWYREGAALSLLKNYREAAAAFTEALKLDPENYEIKNALREALECRKRAARSEEDKNP >ORUFI12G19940.5 pep chromosome:OR_W1943:12:21334526:21339250:1 gene:ORUFI12G19940 transcript:ORUFI12G19940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSAVVLQAALDGDLHLLCEMAKKLDLRGFKDMNGRNALHLAASYGHLEICKFLVEESGLDVNSGSHRGETPILLAACDGDINVLIYLLDHGGDPAIPNAGGFTPLHYAAEYGHVDVVRLLLSKGVHVDPLNYSGAPLHLATANDHDQVAKVLLEHGADPNRVVNHVLSPLVVACCSHSLKCMKLLIKAGADVNDRSSTGPRTTPLVLAVDDGSADIVKILLEAGADPNIRNEDGRIPIMMAAARGQRELVEILFPRTKPIPCLPDWSVDGIIRTMRFTRTEPEDAVPVEILVSDSKLNAKEAFAEGEYITAIYFYTKALEKAPLDATLFANRSLCWLRLREGDVALLDARRCKALRPGWSKAWYREGAALSLLKNYREAAAAFTEALKLDPENYEIKNALREALECRKRAARSEEDKNP >ORUFI12G19940.6 pep chromosome:OR_W1943:12:21334526:21339250:1 gene:ORUFI12G19940 transcript:ORUFI12G19940.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSAVVLQAALDGDLHLLCEMAKKLDLRGFKDMNGRNALHLAASYGHLEICKFLVEESGLDVNSGSHRGETPILLAACDGDINVLIYLLDHGGDPAIPNAGGFTPLHYAAEYGHVDVVRLLLSKGVHVDPLNYSGAPLHLATANDHDQVAKVLLEHVDDGSADIVKILLEAGADPNIRNEDGRIPIMMAAARGQRELVEILFPRTKPIPCLPDWSVDGIIRTMRFTRTEPEDAVPVEILVSDSKLNAKEAFAEGEYITAIYFYTKALEKAPLDATLFANRSLCWLRLREGDVALLDARRCKALRPGWSKAWYREGAALSLLKNYREAAAAFTEALKLDPENYEIKNALREALECRKRAARSEEDKNP >ORUFI12G19950.1 pep chromosome:OR_W1943:12:21340876:21345883:1 gene:ORUFI12G19950 transcript:ORUFI12G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSDVALQAAQDGNLRLLRKMAKKLDLRGVKDKNGLSALHFAASHGHLDCCKFLVEESGLDVNSVGHKGETPVFYAAIDGNVQVLGYLLDHGGDPVKPEERGCTPLHNAAENGHDEAVRLLLSKGVHVDPLNYRGAPLHLAASKDRVQAMKVLLEHGADLNRVVNHIFSPLMMACCGHSLKCVKLLVEAGADVNGNSTNGPTPLTEAVDDSLTDVVKFLVGAGADPNIPDEEGRIPIMVAAARGQRELVEILFPRTKPIPCLPDWNVDGIIRTMRTTRIEPQSAIPVEEQVSDAKSKGKEAFAKGDYLTAIYFYTLAMDKSPLDATLFANRSLCWLRQREGDRALLDAQQCRMLRPGWSKAWYREGAALSFMKDYKGAVDAFGEALKLDPMSDEVKNALRRWVTFGLFGTAPTQLQELSLEWSCGAD >ORUFI12G19960.1 pep chromosome:OR_W1943:12:21346581:21352134:-1 gene:ORUFI12G19960 transcript:ORUFI12G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGPASPEAAKEEEEVVEEEDDEEEGEEDGGEQPRRERERERRRRRRRKREAAAAASEVVMVKRELLARCMTCPLCRRILRDATTVSECLHTFCRKCIYKKINDEELEHCPVCKIDLGCAPLEKLRADHNIQDVRSKIFPLKRKKVNAEEVESPIAPPAKRKERSISSLVVNTPEITPKSLTGRRTRASTRKSAAALRDLGPIIPPVKKDSDNTNKNADNSSLLDSLSKVPQTRRQVLSNAETSSHPSSKDKGGDDKDLDKSELWRPLNCLVEAASKTKSYRSSSAARGNQPTESPSSANASRTKAREYLLKSKVQDEKKEVPVATVPFKRKGPGRGRKPAQPPAAAVSSHSASKHEKLLTPVWFSLIASFDQKGAPPLPQIPTHYLRIKDDNMPASSIQKYIMQKLSLPSETEVEISCCGQPVNPIQPLRNLIERWLRFGPARTLQTVVGSSGGDYVMVISYGRPKAA >ORUFI12G19970.1 pep chromosome:OR_W1943:12:21356013:21356316:-1 gene:ORUFI12G19970 transcript:ORUFI12G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAAIQLCDPSRRYEALGAPKVTVVQSVRLLGAGGTTVGGSRGAGRLEAVLRLDGSDLEAVTTRAHQGQPEDGHQASASPVELGSGEAEKKAGPA >ORUFI12G19980.1 pep chromosome:OR_W1943:12:21357192:21362719:1 gene:ORUFI12G19980 transcript:ORUFI12G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVAVPSPPLLHPTCPSWWSSPRPSFFFFFLREERWLLRRRRTAMARPPVLSVALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGYPTFRGQVLNGSQLWDLIEGLAENDLLHYTHLLTGYIGSVSFLTTVLQVVDKLRSVNPDLVYVCDPVLGDEGKLYVPQDLISVYQQKVVPVATMLTPNQFEVELLTGLRITCEEDGLKACNALHSAGPRKVVITSALIEDKLLLIGSHKKAKEQPPEQFKIEIPKIPAYFTGTGDLTTALLLGWSNKYPDNLERAAELAVSSLQALLRRTVEDYKRAGFDPSTSSLEIRLIQSQDEIRRPQITCKAVKYS >ORUFI12G19990.1 pep chromosome:OR_W1943:12:21367901:21368475:-1 gene:ORUFI12G19990 transcript:ORUFI12G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFAAAMKSPLPVAASAAVATGGDGKSPLFCPKPRRPVAPLRCHHDMDLLDLLLSKGEETLFCGSPPRRASNPVVHDSRFGLDCPPMPAWSPAPPVAPPVVVHRPTPRPAVAMPMSSPRGSGGCTARARLAFQPAAVRVEGFDCLDGGRGGRGHGITAMA >ORUFI12G20000.1 pep chromosome:OR_W1943:12:21382950:21387578:1 gene:ORUFI12G20000 transcript:ORUFI12G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDASSALAAAAAVGKKRGSYNCGRCGLPKKGHVCAVAGEEQKPPRRALHFDEAAPPPPPEKKVKVEVVEVDSSSEEEEREARGWVEVGGGRRVPGEVVVEVMRRLPPRGVAASAAVCRGWRGCARRVWRAADELRLRAAGVRPVGALLPRCPALSRLVLRMESDIDATMLACVAFSCPNLQYLEISMVGSAANRMTGDELTRFVSEKRSLSVLKLDGCSNLNFLNISSSSLSTLWLSDLSSLSKSVINCPNLNELSLGFTQQNNDSTDLISLMDSLGRTCSNLRNLHISSIHLCNEAVFSLESANLRGLCMLSLILGSKITDAAVASIVRSYTSLDLLDLSGSSITDNGLGMICKAFPHTLTRLLLALCPNITSCGVQVATSQLPLLQLMDCGKSLCANSQPEAERSYFGEIYGGIKFCSKLPIQRKQQPNYQKLIIKHANLKKLSLWGCSALDALYVNCPELSDLNLNCCTNLHPGAMSLPENAERLLLQCPSLKDVHASGCRDMLIGAIRNQVLNEFASAEPRVPCKRLADGSKRVQVPHFMLEQQFEEEKWGSGSKRSQCTVHLS >ORUFI12G20010.1 pep chromosome:OR_W1943:12:21390588:21394695:1 gene:ORUFI12G20010 transcript:ORUFI12G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTVKLGGWSSYCYCPTTTRRSKPAAVKMMMALCPTSFRIELPRRTIRAAILNKRHTVPCYQRQEGASSPAPQIEAKLMEEVYDALAEHLFSVLKNIEHLDSKYIVGIAGPPGAGKSTVASEVVQRVNKRWSQKHENGSSLISTEEIATMLPMDGFHLYRSQLDAMEDPKEAHARRGAPWTFDPSRFLKCLQTLREEGSVYAPSFDHGVGDPVENDIFVKPQHKIVIVEGNYLLLEEDAWRDIRALFDEKWFIDIDIDVSMQRVLQRHVATGKEPDVAAWRISYNDRPNAELIMKSKKSADLVIRSVDLSR >ORUFI12G20020.1 pep chromosome:OR_W1943:12:21395958:21400765:-1 gene:ORUFI12G20020 transcript:ORUFI12G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLAFEATELRLGLPGGGGDGDAAAAAARSSSGKRGFAETIDLKLKLEPAAAAHRGQWRNARTEAEKEEHLCLIPVSYSLAVLISKSVPFLISESHFGVVVSFCSVAVIKFLSVSSCLIHKKEAKNMYQ >ORUFI12G20020.2 pep chromosome:OR_W1943:12:21396156:21400765:-1 gene:ORUFI12G20020 transcript:ORUFI12G20020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLAFEATELRLGLPGGGGDGDAAAAAARSSSGKRGFAETIDLKLKLEPAAAAVDDDDDKEEAAADDREKKVDIVGADNDDASPPAAAAAGGMKRSPSQSSVVTAAADPEKPRAPNTEGNGEMQEQKLRKKNMSPSKTDGSSSDATIYL >ORUFI12G20030.1 pep chromosome:OR_W1943:12:21410611:21412329:1 gene:ORUFI12G20030 transcript:ORUFI12G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLKATELRLGLPGTEEEAAPPPSTPRAGSKRALAGEPDQAKIKPAAAAKAQVVGWPPVRSYRKSCLQPTTTTTKSKPPPAAAAAETQQKEDVAGAGGLFVKVSMDGAPYLRKIDLKVYKGYRELREALEAMFLCFSGGAAADAAVNPSDFAVTYEDKDGDLMLVGDVPFEMFISTCKRLRIMKGSEARGLGATRG >ORUFI12G20040.1 pep chromosome:OR_W1943:12:21417733:21417996:-1 gene:ORUFI12G20040 transcript:ORUFI12G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRAPTTQTKLGSGGWSYGGADGVLTTQIELRQRDQTLVAADRAPTVERRSVEPSSDSEHTRGWQIRAMTSMTTRVGGYVDLEWPR >ORUFI12G20050.1 pep chromosome:OR_W1943:12:21419742:21426431:1 gene:ORUFI12G20050 transcript:ORUFI12G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGAGVGGGGGGGDPGAYAAVLKTKLDLYCAAVAKSMEAKLQESSLGYLNLQASDTSQLVSQDSFNGYGSTRVTNSNAIHEDDDQGKPANSGTSKEQSDDDGDLEEDTDPVNAKRTRRMLSNRESARRSRKRKQAHLNDLESQVSQLRSENASLQKRLSDMTQKYKQSTTEYGNLQDDMNAMRRKVNIAEEAVRRVTGIGLQLFTTSEMPASSMPFSSGVSDAASAALVEDDWTNCSLPDEAIPVPSAAMALRSPSVRRVASLENLQKRIHAGDVTHFEAASALSLPEATACDNK >ORUFI12G20060.1 pep chromosome:OR_W1943:12:21426757:21429304:-1 gene:ORUFI12G20060 transcript:ORUFI12G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERDAHPQDRRLDAPFLLPAAPCAPPRSPRSCHQQTSQPAIEEGDGSYGRMLQLSAPHPPSLSPRRRQRWTSTCVDAAAQPAGGEEAGGGGGSPVARQWRGDDAASPTVRVRCSICSCAMLLAWLAGRLEEYLWEVGSGVGKAAAVAARWRWRRRGGLGGDPLKAEGEHGGGGGGLDLEVVVLAEDVLCYGLDVFGAVFGLGDGFLGLAVEGRSSSRLAARSCRRYACAWGWGFEGDGDFWEEEEVEGGGGRGTKGPLRRVVAAGFSSLVHSLGGRTEVGGCR >ORUFI12G20070.1 pep chromosome:OR_W1943:12:21427432:21434887:1 gene:ORUFI12G20070 transcript:ORUFI12G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPRPSERIRSIWAGLWDEKHRHMLRSIVGGGAARVMVGEALKVGASAHMIHPLLLWPVGRSAGGSCAAILGVRMALQGGEMEHPSGDLEEGQSSTARCGGGGVEERCGSAAGPACLATTE >ORUFI12G20080.1 pep chromosome:OR_W1943:12:21448990:21453625:1 gene:ORUFI12G20080 transcript:ORUFI12G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPCGCEEKLKTTVVVVLSDDDDDDDYEEEFRRTLIHIYTRKRRPTARKKQHRHRFHGIHRRKSGRWSAEIRDNMIKGSRSWVGTFDTAEEAAWAYDAVARRLYGPNARTNFRIPPPPVAPLLPAPAVANKKMDSKRKKPVVVAEMVAPAGVEPAAGEMAPGNTIRKCILYSHPRET >ORUFI12G20090.1 pep chromosome:OR_W1943:12:21464132:21464554:-1 gene:ORUFI12G20090 transcript:ORUFI12G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMPSGGGARVRAHFRGVQWRKSGRWSAEIRSRGAWGRRRRLWIGTYDTAEEAARAYDAEARRLHGAKAKTNFPPPPPPTAVHDDHVDLEAHIKFLSEVELDGDQETPPESQVGDDQAGGQHQHHHGCRLDHLLLMMCN >ORUFI12G20100.1 pep chromosome:OR_W1943:12:21467752:21477635:1 gene:ORUFI12G20100 transcript:ORUFI12G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDMGRGRRRSRPPATTSDVLATNNIVTYVVVGQNPIIRFTTVLAIGPCGCGGRGLTRRGRVASGQNRLVASWAWAMDACSSAQWRHQPG >ORUFI12G20110.1 pep chromosome:OR_W1943:12:21471144:21471743:-1 gene:ORUFI12G20110 transcript:ORUFI12G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDDEVVTKKGNKAGRRRPASRHRFRGVRQRPYGRWAAEIRDTVVQGTRIGTFDTAVEAALAYDATARWLYGSKAVTNFPTTTGDGDDGLPVAEPAVVVVAAPAFAGEEMDKQVAPAAATAAETVAADPGAGELGPVVLCHELEATNGWQYEAYGYGYSMGLELLENYAYAGDVQPLGLGGSTSNAAAANDCLWMF >ORUFI12G20120.1 pep chromosome:OR_W1943:12:21478289:21480254:1 gene:ORUFI12G20120 transcript:ORUFI12G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVVVATYPNPRSVTVAPTQPDRSAKKVVETASAMPTREGGNGIQDHSEGCTRLHQQHAPLVQVGHLCNLSYGSFSWHIMAALRVLATYMFVLSKWDIYIVLVHMY >ORUFI12G20130.1 pep chromosome:OR_W1943:12:21486037:21489059:-1 gene:ORUFI12G20130 transcript:ORUFI12G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGGPDNHHAITVAADLPPPPPSVVAVEMAPPRGHRPGKEVEYNEEEDDDDEYDGREFEEEFLRFSMMVDEEDDDGDDDDEVEVEIIAVVSPPHRPLVGARGTTSAVESVTNLQARTSPLPNPVVPQTGTKASKRGDSGAKAKPAAAKKRRSKHGFLGVHQRTYGRWSAEIRDNVIKGSRFWIGTFDTALDAALAYDAVSRRLYGLNAKTNFPAAAGEDDLPPPPPPAKPCSSTKRPKKCNTSGDLGAAAAPPQAVDTPAAAAAGVELTSLLCSVAAQAQEVSDGWEFIQELLLLGGGVSPLDYLNGQELAGAAVGDLWSF >ORUFI12G20140.1 pep chromosome:OR_W1943:12:21491335:21491706:1 gene:ORUFI12G20140 transcript:ORUFI12G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADLGRIEGVAAEVGEERDKWRMSKKRESSSGGWRGGGVAMEVGVTLMVPMKKVAVSAAPLVALWKVDIWSWTQQ >ORUFI12G20150.1 pep chromosome:OR_W1943:12:21503054:21511533:-1 gene:ORUFI12G20150 transcript:ORUFI12G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRGTFAKVYLARAVAGGEAVAVKVIDKAEVMGTAGMAPRVLREVAAMRRLRHPHVLRLHEVLATRARIYLVMELATGGDLLSRLAALPRRRLPESAARRVFVQLVDALSYCHARGVAHRDVKPQNVLLDGDGNLKVSDFGLAALPDTLRDDGRLHTACGTPAYAAPEVLRRRAYDGAKADAWSCGVILFVLLAGHLPFDDSNIADMCRKAHRREYELPRWVSQPARRLVSRLLDPNPDTRVAVESLAAHHPWFKRSLSVDSQLDGLLNGEPERAVAFQAAPPPPLNAFDIISMSPGLDLSGLFGEHDKSLREKRFTTTASPEKTLEQLGLAGGKLGYVVVVGKKGVECLPLAGGRLSSGIAAMSVEMSEVAPPLLLVELRLEVAAGDVDGGDGEVKGFGWEQLRMELGDSPLLLVDLRLEVTVDVDGNGGEVQGFGWEQLRMELDVNNHINW >ORUFI12G20160.1 pep chromosome:OR_W1943:12:21528054:21528554:1 gene:ORUFI12G20160 transcript:ORUFI12G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVRVRQEQVAEFREAFAFFDKDGDGCITLEELDTVVRSLGQTPTREELAEMIRDVDVDGNGTIEFAEFLALMARKASRGGENGGGGDDSGDAADEELREAFKVFDKDQDGLISAAELRHVMISLGEKLTDEEVEQMIREADLDGDGQVNFDEFVRMMMLSDQ >ORUFI12G20170.1 pep chromosome:OR_W1943:12:21531077:21531532:1 gene:ORUFI12G20170 transcript:ORUFI12G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGTKRKGEGGTIVYARGQSPSCCHLDLERGRAEGIKKMVVARSRGSHMNEEEQMKQKPQLPSSLPSTLRRAPFAHEDAICHVSIVASCIVRVFIIGLAEDMALRGSTSLLLPAASSNLRHAVCTYNIYYPPLIRHRCKMAHHQLDPFLS >ORUFI12G20180.1 pep chromosome:OR_W1943:12:21553328:21558959:-1 gene:ORUFI12G20180 transcript:ORUFI12G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGRVTARGGRGELRPPELPRDPALEFLSRSWSASGAADVSRRALAAAAFPAAAASSAVIAEDVSGELDVDGSASGSSFSFASAATSQLIMDRIMSQSIDTENLLVSCFMEQQEVSPLTSGRLSHSSGPLNGGGSLSDSPPVSPDVDDSKFCRAVSTPKPQPYRGVVGGGGKTVGRWLKERKEKKKEEARAHNAQVHAAVSVAAVAAAVAAVAAATAASGGGRDDRAARTDMAVASAATLVAAQCVEAAESLGAERDHLAAAVASAVNVRTPGDIVTITAAAATALRGAATLKARALKDVWNVAAVIPVEKNAIAAATTGGGHYKHNAQKQQHHHRHHGNGSNTSSSFSDEVAAVDDDDDDDNNFLTICSQELLARGTELLKRTRKGALHWKVVSVYIHRTGVVMLKMKSRHVAGTLTKKKKNVVVDVCRDVAAWPGRHLLEGGEHRRYFGLRTAEHRVIEFECGSQREHDMWTKGVARLLATIDGRRKRFA >ORUFI12G20190.1 pep chromosome:OR_W1943:12:21569115:21572012:1 gene:ORUFI12G20190 transcript:ORUFI12G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCSAACSLLLQHCSGLQEMGKAGRWLRSFLTGGKKDRKGKDGGQPPAPPSAKEKRRWSFRRPPAQATTNTSSLCFSDVHAVSPAPEAESSAAADVAEENEAAAAAAVRIQAAFRSYLARKALCALRGMVKLQAMVRGQLVRRQASTTLRRMQALVAAQRRARAERLRLLDDDKDKHARSPRPPTTTSRRSSPQHHRSRKPLEVVDRGSEENVRVVEVDNGGGGGGAARGCGRRSTCGAAAAAAKGELYQKVSPTPSALTEASARTMSGRLDDYSFSAAASEASGRHHSVPAAAVAGGDHAAALQQLFPKNYMSNTESSRAKARSQSAPRQRHDQPISAAASPSPSPSCGEWTTTPGDRRRRASLDPRDLAAPASAAGVGVGARMERCASQARASASASAACPWAVRMDRSTASLAGGSDGGSSAATAVTAATAARVTS >ORUFI12G20200.1 pep chromosome:OR_W1943:12:21573648:21582658:1 gene:ORUFI12G20200 transcript:ORUFI12G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAAAAEAVQLIDGEGEFAADSAERFMAAAGVAGCGLSYAVVSIMGPQSSGKSTLLNQLFGTNFREMDAFRGRSQTTKGIWIARCVGVEPCTVVMDLEGTDGRERGEDDTAFEKQSSLFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLEHLEPVLREDIQKIWNSVAKPEAHKDTPISEFFNVQVTALPSFEEKEEQFREQVQQLRQRFSNSIAPGGLAGDRRGVVPASGFLFSSQQIWKVIRENKDLDLPAHKEWMELESDVQSGPVPGFGKKLGYIVDVHMQEYDKEAIYFDEAVRTAKRQLLKSRVLNLVQPAFQKMLAHLRTRALEKYKTELNLTLESGKGFAAAVRDTTESNLNEFDQGCADAVIEQADWDYSKILEKVRRDVEDHTLSIREGKLSELTNHAKEKLRKALVEPVESLFDAAGPSTWASIRNLFKRETEAILPEFQKNLAGFEMESATSEGMVSKLRDYARSIVENKAKEEAGKVLIHMKERLAKSSIIRSPYRSISRTSDKVACHCQDSIPRVWTGKEDVRAIAKDARSAALKLLSVLAAIRWDEKPDKIEKILTSTLLDGSVTPKSKGASASSDPLASTTWEEVSPKYTLITPSQCKSLWKQFKAETEFAITQAVSTQQAHKRGNGRLPPPWAMVAIAVLGFNEIMTLLRNPIYLFLLFVGYLLVKALAVQLDINREFQNGVVPGIISVTAKLIPTLQNILNKVATEQQQQQGHHQDAAAEAPQQQQQPQPQPPPLLLSPRSPMSELRRPLHMPFSPVRKAVSPSPSSSSSTVTSPRNAGEDQKPRQMVQPDNESNNAYSIV >ORUFI12G20200.2 pep chromosome:OR_W1943:12:21573648:21582658:1 gene:ORUFI12G20200 transcript:ORUFI12G20200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAAAAEAVQLIDGEGEFAADSAERFMAAAGVAGCGLSYAVVSIMGPQSSGKSTLLNQLFGTNFREMDAFRGRSQTTKGIWIARCVGVEPCTVVMDLEGTDGRERGEDDTAFEKQSSLFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLEHLEPVLREDIQKIWNSVAKPEAHKDTPISEFFNVQVTALPSFEEKEEQFREQVQQLRQRFSNSIAPGGLAGDRRGVVPASGFLFSSQQIWKVIRENKDLDLPAHKEWMELESDVQSGPVPGFGKKLGYIVDVHMQEYDKEAIYFDEAVRTAKRQLLKSRVLNLVQPAFQKMLAHLRTRALEKYKTELNLTLESGKGFAAAVRDTTESNLNEFDQGCADAVIEQADWDYSKILEKVRRDVEDHTLSIREGKLSELTNHAKEKLRKALVEPVESLFDAAGPSTWASIRNLFKRETEAILPEFQKNLAGFEMESATSEGMVSKLRDYARSIVENKAKEEAGKVLIHMKESHDKDSIPRVWTGKEDVRAIAKDARSAALKLLSVLAAIRWDEKPDKIEKILTSTLLDGSVTPKSKGASASSDPLASTTWEEVSPKYTLITPSQCKSLWKQFKAETEFAITQAVSTQQAHKRGNGRLPPPWAMVAIAVLGFNEIMTLLRNPIYLFLLFVGYLLVKALAVQLDINREFQNGVVPGIISVTAKLIPTLQNILNKVATEQQQQQGHHQDAAAEAPQQQQQPQPQPPPLLLSPRSPMSELRRPLHMPFSPVRKAVSPSPSSSSSTVTSPRNAGEDQKPRQMVQPDNESNNAYSIV >ORUFI12G20210.1 pep chromosome:OR_W1943:12:21583758:21587213:-1 gene:ORUFI12G20210 transcript:ORUFI12G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEVLEQIGKGAFGSALLVRHKLEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMAEAIKRANGTYFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFIARDQSIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMTALRPAFKAFDMQALINKITKSIVSPLPTKYSGAFRGLIKSMLRKSPEHRPSAAQLLKHPQLQPYVLQVQLKSSPTRNILPIHQSLTDKVKKMTFPSDVVDSARRRMARRNSLGNERTVTFSKPSPERNSVSSTRSIKEYTTTQSVKGLSVDSSEAGDEVTSKAIITKTSSILRTPKSLPAKTYTARNQLEPPKTSYNRTYRSELPSKTTPNKIARPARRASLPLSTYETPTKRSISILEQLDSPDVSVNAPRIDRIAEFPLASSEDPLLPIHNKLSPGHGSCSTPPFINRSITKDKCTIQVLRTDGDNGSDSSGRNATAASSRGSNDSRQQRFDTSSFQQRAEALEGLLEFSAQLLQQERYEELGILLKPFGPEKASPRETAIWLTKSFKETAS >ORUFI12G20220.1 pep chromosome:OR_W1943:12:21593857:21597885:-1 gene:ORUFI12G20220 transcript:ORUFI12G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGGGGGSAASAALKDQGNEQFKAGNYLKAAALYTQAIKLDPDNPTLYSNRAAAFLHLVKLNKALADADTTIKLKPQWEKGHFRKGCVLESMEHYEEVRVKDNSLLFLNREKDNYLFFIFCYVSFSQAFRIWQAEKIVAISSFQIALQHNPQNTEVSRKIKRLTQLAREKKRALDVENMRSNVDVAKNLDSLKTELAGKYGDAELGQSIFTFVVNVIESAIKVWHDTGKVDARVNFLLDDQKTDTEKHAPVVNIDKAFDSPHTHSSCFTFLRQYSEESFSKAACMVAPKSAISYPQVWKGQGSRKWKLDQSDGFFVQFESPILRKIWFVPSTTEKGRVLCRSPELLDISIHEVLPRIFKETA >ORUFI12G20230.1 pep chromosome:OR_W1943:12:21601335:21609625:-1 gene:ORUFI12G20230 transcript:ORUFI12G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPTNPMRKYSWWWDSHISPKNSKWLQENLTDMDSKIKRMIKIIDEDADSFARRAEMYYRRRPELMSLLEELYRAYRALAERHDHAAGELRSAQRKMAEAFPDEFQLDLDDDLPAETLSTETEADSRDMTPFFLSFINSGDSKKRAKDDQEHEKLQKEISSLSQENQELKKKISSVLENSDRAESEVASLKEALAQQEAEKEAAFSQCQQSSDRLQALKSEILQTQEEFKRLKEEMQNGLENLSTAEERCLLLERANQNLYSELDKLKNDSKERHGELNEKHVELEKLSISIQEEQLKSMQAEMTRLSLEKQLAQAKEKLRILTLEKNGEASKFNDIEASKVRLQNDLDKIREENRKLEEQNNSSISAIIRLQDEVISLKNAQRLLEEEVSRHVEEKKVLQYELSQLKDDKGDSERKHFSIKEQIQVVNFNVESLQALAQEVRDGNVELKETIKHHEGVKALYVDNLMQLERTLERNAHLERSLSAATTEVEELREKKVALEESCKHLNSKINGFQSERSMFIARIEGISHTMEKLSEKNVFLENLLSENNTELEILRRKLNDSEESTHALLNQNSVLRSEKRTLVREVDSMNGALLNLEAQFTELEGHHLDLQQEKNKASSEVIMLQEMLRLEREAHKELNYSGKTQFSAVQKQLSFLLEEGRRRENQLQDEEHKIVEAQMEIFVLQKCLGDMAEANSDVSGQLQKQKELCEIQEEKLTFLTENNQRLTEGIGSVMEELHLDDKYGSLDLMKLDVIVQLILHEIKCLLNTISDAQDVKQNQILEKSLVVTLLEHFGREVADLRSERSVLRQEWQAKSEELLQLQNERHDLMKISCELRKEMEARNRRVEEMKGEAKFLVRQLSELQELRQSLQAEVIKLIEENSSLSGKLYDSREKEKTADDDFNTLLGEAISTDILGVVFKSLHDERTSQLQSLHEDFGSLHAAGNELYQEIKLMNKKLGDLQLENNYLEKELSKTMSICDSSGSEIGAGRKRTMRRDTKLLKSGRKSQQESTVNIEQRKEIDHAGLEKSNELLREKLHKLQSEVQALRSKEQPVIDVKSCDAEITKLLTNMQMATANAALFKEKVLELIASCESFEISEMVQKEVLKEEITRRNSYVNALKDKLNAVEIENSRLKVDLNGDFTLLGALQTEVSALEKQTMSLAKDCLPSNKLRMEEFSVSPQLSKIAVKPIHGEPNATKMVKDMELEKLHGTIKALQKVVTDTGVVLEQERLDFNANLLDARRQIDLLRLRDDMATAADDSDAASDPAAAAYDRRLLKDIQLDLVQTTTPTNRSRAATATATAVASSQRHHRRRNGGSTEAPPLGLWSVVRASRRRQQEEGGDGDDDDLRPPQSEASAERGRRSCSSEVSQLTVVKDLSVDKQELLLPPRPPPPAMAEAPHREWKKKVIERLTADAQRLVDLQSIVGELRASAEAAPELDDVTAQMVDAESAVAQLIDTNGKLLRKAEEFTSADAAGGAAGDDLRSRSQRKILERVRKMSEKIARLEQETQRFQHALLRHEEERATRRAAAAAAAAASSGKSSAAVQRRSSRVQLVEYLYGRRRDSRRQRRGPSCCMRAKAIDD >ORUFI12G20240.1 pep chromosome:OR_W1943:12:21618682:21622630:-1 gene:ORUFI12G20240 transcript:ORUFI12G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEDPAKPPPPPPPQLEASGSDPDDPLLRDRVVVIAPPPPPPPPPPPPAPAPALLAPAENASAEAAFAVPPAAIAAVVEANGNSEKKKKSVAFVFWSSISCFWRKTDEGEGCKSCSCKKSKCLKLYCVCFASGSHCSESCGCDPCYNKSIHGVPRSTPALPLKAVQTLETGQDSVEQLIRSPMDFGRRKCTCKKSGCLKKYCDCYQGGAGCSINCKCDDCKNPYGRKVGVILDGKNILAGPTPNERNGTEADSSDDEEDDYYMNRPLSPVSPSPVSRESSFQQETLVGVEVQTMNGHLYPKPLTQVRPESWQLTRRPTEEVRGEAWHYLRRPSEDGTSDVMEGHADPKFQRDNKQLENHVDRYSIPRCIEVMNAMADLSPIEKSLAPDVFLDPSNREIFLSLTVDIRTMWLKRKMKSLV >ORUFI12G20250.1 pep chromosome:OR_W1943:12:21624474:21626216:-1 gene:ORUFI12G20250 transcript:ORUFI12G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDPSAVVVPRNFRLLEELERGEKGIGDGSVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPDRPPTVKFHSRINMTCVNPENGLVDQRKFSLLSNWRREYTMEAILTQLKKEMAASHNRKLVQPPEGTFF >ORUFI12G20260.1 pep chromosome:OR_W1943:12:21627746:21634154:-1 gene:ORUFI12G20260 transcript:ORUFI12G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDRPPRAAATAAVEDSPVFNFINSLSPIPPPKPSDSAHNVQLFKSSDLAPVSSIFASPHVNPAKESKLPIREDSVQLSRESHSPNSVRTRTGATSSIIRMIRCKNIVSENCSITCYLNDSTSSKASQPIQLCGGSAESDTNQNTDGKKDPTTEQDRTDIEFVLLDQCGPEKMDSSQSGNNACENQLSEQHKDELGSFDGGYMFAHQPHSDMLRLAPPFESETQLVNETLQTDNVYCETLLTDGPSGSYTQNSAPDPHLYWAGAVEGCATDYTPQMLPGACQSQLVPNDQINNKLNEPSDYMPMDHNVSSQNLRGMRRRCLFNEKSGAANEGAKNSSARHSTNSTTPRRKISSSDNNLKTLRTPPCALPGIGLHLNALATVPKDKMVPHNDIQSSLNQASNVPSAVGSSPPTDDPHTINDDSSQTAVVAYVGESSQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNMPSNMETVLSTREQIESRNPLAFAPKVIRTEPGQELAGGVGCSVSCRCEGCKNAFGRREGVALLGIEEAKRGCEEKDGGVKEETTDNDKQLVIYQDSINLTPAESVLATPSVVDYRPLVALPPLSSKKPRSSTKLGGYSSRLEGHLKSDILLSPFESYAEMMLGDGTSNVLKGESSPQTSVKVVSPNKKRVSPPRIGTGLSPICKSGRKLILKSIPSFPSLGVDITNEDPNTSSLAP >ORUFI12G20270.1 pep chromosome:OR_W1943:12:21639245:21644963:1 gene:ORUFI12G20270 transcript:ORUFI12G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLLLPRRRSRHGGASLLLARLLSSSSSEAGGGGAVEKVLVANRGEIACRVMRTARRLGIPTVAVYSDADRGALHVRAADEAVRLGPPPARESYLNASAIVDAALRTGAKAIHPGYGFLSESADFAQLCKAEGLTFIGPPPSAIRDMGDKSASKRIMGAAGVPLVPGYHGAEQDIELLKLEANKIGYPVLIKPTHGGGGKGMRIVQRPEDFVDSVLSAQREAAASFGINTLLVEKYITQPRHIEVQIFGDQHGNVIHLYERDCSLQRRHQKIIEEAPAVRLHYLCPRPNVTAQFRSHIGEAAVSAAKAVGYYSAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRIANGECLPLSQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYRPVPSTATVRVETGVEEGDTVSMHYDPMIAKLVVWGESRNAALVKLKNSLSNFQIAGLPTNVGFLQELAGHSAFEKGLVDTHFIERYQNDLLSTSTQALSGSHEAEELGAILAAACICKKDHVSSEVSLHDKKLSMWYAHPPFRMHHFAKRLMEFELDRELGGSSDDLLKLSVTYRSDGTYFVETEDGSSPGLDVKVDSRGDHDFRVDVGGLQTDVTLAFYSKDNCNHIHIWHGKHHHHYRQTLRAEQSPDDSSQPSASSEARSHPKGSVLAPMAGLVVKVLLKDGARVEEGQPVMVMEAMKMEHVVKAPCAGYVEGLKATAGQQVFDSSVLFTVKENKPN >ORUFI12G20270.2 pep chromosome:OR_W1943:12:21639245:21644963:1 gene:ORUFI12G20270 transcript:ORUFI12G20270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLLLPRRRSRHGGASLLLARLLSSSSSEAGGGGAVEKVLVANRGEIACRVMRTARRLGIPTVAVYSDADRGALHVRAADEAVRLGPPPARESYLNASAIVDAALRTGAKAIHPGYGFLSESADFAQLCKAEGLTFIGPPPSAIRDMGDKSASKRIMGAAGVPLVPGYHGAEQDIELLKLEANKIGYPVLIKPTHGGGGKGMRIVQRPEDFVDSVLSAQREAAASFGINTLLVEKYITQPRHIEVQIFGDQHGNVIHLYERDCSLQRRHQKIIEEAPAPNVTAQFRSHIGEAAVSAAKAVGYYSAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRIANGECLPLSQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYRPVPSTATVRVETGVEEGDTVSMHYDPMIAKLVVWGESRNAALVKLKNSLSNFQIAGLPTNVGFLQELAGHSAFEKGLVDTHFIERYQNDLLSTSTQALSGSHEAEELGAILAAACICKKDHVSSEVSLHDKKLSMWYAHPPFRMHHFAKRLMEFELDRELGGSSDDLLKLSVTYRSDGTYFVETEDGSSPGLDVKVDSRGDHDFRVDVGGLQTDVTLAFYSKDNCNHIHIWHGKHHHHYRQTLRAEQSPDDSSQPSASSEARSHPKGSVLAPMAGLVVKVLLKDGARVEEGQPVMVMEAMKMEHVVKAPCAGYVEGLKATAGQQVFDSSVLFTVKENKPN >ORUFI12G20270.3 pep chromosome:OR_W1943:12:21639245:21644963:1 gene:ORUFI12G20270 transcript:ORUFI12G20270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLLLPRRRSRHGGASLLLARLLSSSSSEAGGGGAVEKVLVANRGEIACRVMRTARRLGIPTVAVYSDADRGALHVRAADEAVRLGPPPARESYLNASAIVDAALRTGAKAIHPGYGFLSESADFAQLCKAEGLTFIGPPPSAIRDMGDKSASKRIMGAAGVPLVPGYHGAEQDIELLKLEANKIGYPVLIKPTHGGGGKGMRIVQRPEDFVDSVLSAQREAAASFGINTLLVEKYITQPRHIEVQIFGDQHGNVIHLYERDCSLQRRHQKIIEEAPAVRLHYLCPRPNVTAQFRSHIGEAAVSAAKAVGYYSAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRIANGECLPLSQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYRPVPSTATVRVETGVEEGDTVSMHYDPMIAKLVVWGESRNAALVKLKNSLSNFQIAGLPTNVGFLQELAGHSAFEKGLVDTHFIERYQNDLLSTSTQALSGSHEAEELGAILAAACICKKDHVSSEVSLRMLCGCPLILSCNILLVWTDNDIILLADDKKLSMWYAHPPFRMHHFAKRLMEFELDRELGGSSDDLLKLSVTYRSDGTYFVETEDGSSPGLDVKVDSRGDHDFRVDVGGLQTDVTLAFYSKDNCNHIHIWHGKHHHHYRQTLRAEQSPDDSSQPSASSEARSHPKGSVLAPMAGLVVKVLLKDGARVEEGQPVMVMEAMKMEHVVKAPCAGYVEGLKATAGQQVFDSSVLFTVKENKPN >ORUFI12G20280.1 pep chromosome:OR_W1943:12:21646026:21649249:1 gene:ORUFI12G20280 transcript:ORUFI12G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCTMVPADLVEEKAAAAAVEEDAGSYLRADQVDLMSLDFEIEERMADRFRKLNSGGVERGDEGPKAAWEIDLSKLEIGHVVEHGDHGTLFRGKYYSQDVAVKLLDWGAEGDSSEDQIAHFRTSLKEVVAVWHEFNHPNITKFIGASMGTTNLNIPKDIPDHSSRKGARTDLPDRACCVVVEYLTGGTLKQHLIKHYRKNKKLLYEEVVRLALDLARGLSFLHSKKIVHRDVKSENMLLDPQLNLKIADFGVARLVEAQDPKDLTRTTGTLGYMAPEVLDGKPYNRKCDVYSFGICLWETYCCDMPYGPYSDLSFADFSSFVVHKNLRPEIPDCCPSAMASIMRRCWDANPEVRPEMEEVVRLLESLDTSNGGGMLLEKKKKKHPGGGCFCFFVPRAA >ORUFI12G20280.2 pep chromosome:OR_W1943:12:21646026:21649249:1 gene:ORUFI12G20280 transcript:ORUFI12G20280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCTMVPADLVEEKAAAAAVEEDAGSYLRADQVDLMSLDFEIEERMADRFRKLNSGGVERGDEGPKAAWEIDLSKLEIGHVVEHGDHGTLFRGKYYSQDVAVKLLDWGAEGDSSEDQIAHFRTSLKEVVAVWHEFNHPNITKFIGASMGTTNLNIPKDIPDHSSRKGARTDLPDRACCVVVEYLTGGTLKQHLIKHYRKNKKLLYEEVVRLALDLARGLSFLHSKKIVHRDVKSENMLLDPQLNLKIADFGVARLVEAQDPKDLTRTTGTLGYMAPEVLDGKPYNRKCDVYSFGICLWETYCCDMPYGPYSDLSFADFSSFVVHKNLRPEIPDCCPSAMASIMRRCWDANPEVRPEMEEVVRLLESLDTSNGGGMLLEKKKKKHPGGGCFCFFVPRAA >ORUFI12G20290.1 pep chromosome:OR_W1943:12:21655355:21672003:1 gene:ORUFI12G20290 transcript:ORUFI12G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRHHQLLAVLLAAAAAVVAAAGAQEVPYAPISNTGGCSSWNNFSVGSGYQVNLFKLLGNLAAGGAAAGSGGFYSGSYGALSDMVFGVAMCYVDRHWTKCRRCLDAATSGAAAFCPYSRRVDVMYDECVLRYSDEINLFSFADSSGWYERLRRRHGEHERDAVGGVHRARGGGDGGVAAKKQEYTDSRGESLTVYGMVQCGRGLLPEECSKCLRHQLGELTTGLPNNTAGIIRGYSCYSRYDMASFPIQITSQSSPQEADGASAGSQWRLYIRRQVLAGVAAGSAAIFLCLSLSVCYILHRRRRDSKIRPVKLPSSSRDESVEPDLEHGGGPRRFSYGELAAATNDFSDDRKLGEGGFGSVYRGFLEGLNLHVAVKRISRSSQQGWKEFVSEVKIISRLRHRNLVLLIGWCHEPAASAAGGDGDGDGGGDKLLLVYELMCNGSVESHLYNRDTLLPWPARYEIVLGIGSALLYLHQETEQRVVHRDIKPSNVMLDASFNAKLGDFGLARLIGDRRTPSQTTATPTTRLAGTMGYMDPDRTCTASASRSSLVMTRPDGSAVHLAQRVRELHDAGRVTAAADGRLNGGFDGDEMERVLVVRLWCAHPDRGMRPAIRQAVNVLRFDAPLPSLPPPAKMPAAAYARPATVDTSFVINVCRHAPAATGTTGKPMQRHVDGNMAKRKLPAAPAAMGTAASSCLVAGARRLHRVEARHRVAVHHGHAQEHRERQRAEPVPVVPRRRRAGAVDEAEAAAGRDEVVAHGARAVAVAVGEGEQEAAECIGADEAGDERTVGGRVAVVRGGGRREHLEGEHGAGREVKAAHVKAKGRNDCGSWRKSGSRVDPPMVLPQQNAGLMEADGSASFIRKLQLSVSDGLPHAAPVPELSTQEHELVKSVFQVLQGFDTVLLYWDKTAPGYCEKAGVYVSHLSQTSLRAVLKPFLFAATWLKQVELFVGRVRSCGHGTPTLSAFASSVDSWLMRLRKAALKEEEQLFLSVDRTITLLGLTDSMSSLCSGAEHLYQVVQGAVPDAFWNSGAQIASSEVAVHAVNHLFKKLNEVCLVEDGEGEPYHMLLVIFAETLLPYLQCLDSWLYDGILDDPYEEMFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGCADILCPVFLKDIARAILSAGKSFQLVQHVQETHRIQTREVVHEFNVDQHGNYISQQKFRPDTSSIRIQDKREDIIEESAGQFGNNACKMGFLTLSESFLICLSGLLENGDHVDDYLRKLCADHAPVNKSIVHSKSNVQETEEVCGENSSEKTWLKLLRDATSGRDYDGMEKTLAKNAVMRDPTFVPGDHQDVSSTAVESHFNLSCYENPGITACQEILERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTSPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIRQEDDRRTLEALYTFPTLLPCVNENVPLSEILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDHIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLESIRNSADKMLLTAPDSLVVSLAKHDTRNDEETTSISRKGRAQGFGIEALDVLNFTYKVSWPLDLIVNTEALKKYNQVMAFLLKVKRAKFILDKTRKWMWKTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGSRPRFGVALLELACGRCLVMTRPDGSAVHLAQRVRELHNTLSFIRVL >ORUFI12G20290.2 pep chromosome:OR_W1943:12:21655355:21672003:1 gene:ORUFI12G20290 transcript:ORUFI12G20290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRHHQLLAVLLAAAAAVVAAAGAQEVPYAPISNTGGCSSWNNFSVGSGYQVNLFKLLGNLAAGGAAAGSGGFYSGSYGALSDMVFGVAMCYVDRHWTKCRRCLDAATSGAAAFCPYSRRVDVMYDECVLRYSDEINLFSFADSSGWYERLRRRHGEHERDAVGGVHRARGGGDGGVAAKKQEYTDSRGESLTVYGMVQCGRGLLPEECSKCLRHQLGELTTGLPNNTAGIIRGYSCYSRYDMASFPIQITSQSSPQEADGASAGSQWRLYIRRQVLAGVAAGSAAIFLCLSLSVCYILHRRRRDSKIRPVKLPSSSRDESVEPDLEHGGGPRRFSYGELAAATNDFSDDRKLGEGGFGSVYRGFLEGLNLHVAVKRISRSSQQGWKEFVSEVKIISRLRHRNLVLLIGWCHEPAASAAGGDGDGDGGGDKLLLVYELMCNGSVESHLYNRDTLLPWPARYEIVLGIGSALLYLHQETEQRVVHRDIKPSNVMLDASFNAKLGDFGLARLIGDRRTPSQTTATPTTRLAGTMGYMDPDRTCTASASRSSLVMTRPDGSAVHLAQRVRELHDAGRVTAAADGRLNGGFDGDEMERVLVVRLWCAHPDRGMRPAIRQAVNVLRFDAPLPSLPPPAKMPAAAYARPATVDTSFVINVCRHAPAATGTTGKPMQRHVDGNMAKRKLPAAPAAMGTAASSCLVAGARRLHRVEARHRVAVHHGHAQEHRERQRAEPVPVVPRRRRAGAVDEAEAAAGRDEVVAHGARAVAVAVGEGEQEAAECIGADEAGDERTVGGRVAVVRGGGRREHLEGEHGAGREVKAAHVKAKGRNDCGSWRKSGSRVDPPMVLPQQNAGLMEADGSASFIRKLQLSVSDGLPHAAPVPELSTQEHELVKSVFQVLQGFDTVLLYWDKTAPGYCEKAGVYVSHLSQTSLRAVLKPFLFAATWLKQVELFVGRRLRKAALKEEEQLFLSVDRTITLLGLTDSMSSLCSGAEHLYQVVQGAVPDAFWNSGAQIASSEVAVHAVNHLFKKLNEVCLVEDGEGEPYHMLLVIFAETLLPYLQCLDSWLYDGILDDPYEEMFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGCADILCPVFLKDIARAILSAGKSFQLVQHVQETHRIQTREVVHEFNVDQHGNYISQQKFRPDTSSIRIQDKREDIIEESAGQFGNNACKMGFLTLSESFLICLSGLLENGDHVDDYLRKLCADHAPVNKSIVHSKSNVQETEEVCGENSSEKTWLKLLRDATSGRDYDGMEKTLAKNAVMRDPTFVPGDHQDVSSTAVESHFNLSCYENPGITACQEILERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTSPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIRQEDDRRTLEALYTFPTLLPCVNENVPLSEILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDHIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLESIRNSADKMLLTAPDSLVVSLAKHDTRNDEETTSISRKGRAQGFGIEALDVLNFTYKVSWPLDLIVNTEALKKYNQVMAFLLKVKRAKFILDKTRKWMWKTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGSRPRFGVALLELACGRCLVMTRPDGSAVHLAQRVRELHNTLSFIRVL >ORUFI12G20290.3 pep chromosome:OR_W1943:12:21655355:21672003:1 gene:ORUFI12G20290 transcript:ORUFI12G20290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRHHQLLAVLLAAAAAVVAAAGAQEVPYAPISNTGGCSSWNNFSVGSGYQVNLFKLLGNLAAGGAAAGSGGFYSGSYGALSDMVFGVAMCYVDRHWTKCRRCLDAATSGAAAFCPYSRRVDVMYDECVLRYSDEINLFSFADSSGWYERLRRRHGEHERDAVGGVHRARGGGDGGVAAKKQEYTDSRGESLTVYGMVQCGRGLLPEECSKCLRHQLGELTTGLPNNTAGIIRGYSCYSRYDMASFPIQITSQSSPQEADGASAGSQWRLYIRRQVLAGVAAGSAAIFLCLSLSVCYILHRRRRDSKIRPVKLPSSSRDESVEPDLEHGGGPRRFSYGELAAATNDFSDDRKLGEGGFGSVYRGFLEGLNLHVAVKRISRSSQQGWKEFVSEVKIISRLRHRNLVLLIGWCHEPAASAAGGDGDGDGGGDKLLLVYELMCNGSVESHLYNRDTLLPWPARYEIVLGIGSALLYLHQETEQRVVHRDIKPSNVMLDASFNAKLGDFGLARLIGDRRTPSQTTATPTTRLAGTMGYMDPDRTCTASASRSSLVMTRPDGSAVHLAQRVRELHDAGRVTAAADGRLNGGFDGDEMERVLVVRLWCAHPDRGMRPAIRQAVNVLRFDAPLPSLPPPAKMPAAAYARPATVDTSFVINVCRHAPAATGTTGKPMQRHVDGNMAKRKLPAAPAAMGTAASSCLVAGARRLHRVEARHRVAVHHGHAQEHRERQRAEPVPVVPRRRRAGAVDEAEAAAGRDEVVAHGARAVAVAVGEGEQEAAECIGADEAGDERTVGGRVAVVRGGGRREHLEGEHGAGREVKAAHVKAKGRNDCGSWRKSGSRVDPPMVLPQQNAGLMEADGSASFIRKLQLSVSDGLPHAAPVPELSTQEHELVKSVFQVLQGFDTVLLYWDKTAPGYCEKAGVYVSHLSQTSLRAVLKPFLFAATWLKQVELFVGRRLRKAALKEEEQLFLSVDRTITLLGLTDSMSSLCSGAEHLYQVVQGAVPDAFWNSGAQIASSEVAVHAVNHLFKKLNEVCLVEDGEGEPYHMLLVIFAETLLPYLQCLDSWLYDGILDDPYEEMFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGCADILCPVFLKDIARAILSAGKSFQLVQHVQETHRIQTREVVHEFNVDQHGNYISQQKFRPDTSSIRIQDKREDIIEESAGQFGNNACKMGFLTLSESFLICLSGLLENGDHVDDYLRKLCADHAPVNKSIVHSKSNVQETEEVCGENSSEKTWLKLLRDATSGRDYDGMEKTLAKNAVMRDPTFVPGDHQDVSSTAVESHFNLSCYENPGITACQEILERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTSPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIRQEDDRRTLEALYTFPTLLPCVNENVPLSEILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDHIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLESIRNSADKMLLTAPDSLVVSLAKHDTRNDEETTSISRKGRAQGFGIEALDVLNFTYKVMAFLLKVKRAKFILDKTRKWMWKTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGSRPRFGVALLELACGRCLVMTRPDGSAVHLAQRVRELHNTLSFIRVL >ORUFI12G20300.1 pep chromosome:OR_W1943:12:21661784:21662421:-1 gene:ORUFI12G20300 transcript:ORUFI12G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPSGGDEEFPEAKAPLLARRNRRHRRRRASSARTLNSTADLAKHLPTGAVLAFEVLSPSSTADDSYTATNRALIACLVGAYALCCFLLSFTDSYRDGTGAVRYDFVTPSSRLRLIDGSGSPPPRDNRYRLGALSFAVFLGVAMVDCNAVARRAPATRQLLAAVPMAAGAAGSFLFAMFPSTCRCIGFPVVPVAAGA >ORUFI12G20310.1 pep chromosome:OR_W1943:12:21672402:21674243:-1 gene:ORUFI12G20310 transcript:ORUFI12G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRKERSSELEVAVAGDDDDDRLSSLPDDVIGRILSFLPARQAARTTQLSRRWRRVWPAHVAALNLSVLDLPRRRRRCPGMIQREFAALAGEALLRFPTTGIPSISVEVDHYINVAADGWFGQAMERAVGSVRVTWLRGLVGWLPLPPCARAAAMAVAAPRTVLTLPGVDDRQVFGRLSELSLSLVRLGGGGERPLDEFLSSCCPRLRRLRLRGVRGRHAVRRLALHTLDHLEVLDIDGVDDLEALDVSAPNLRCLNVRSCFRGGGGDVAVTAPGIEAVVWHRSYPEHLAFRSGLARVRRLAGPLKLAAVGRRDLFDAPYTTQLLRSCSLAVGHLDMELVMPEDMALANWLGGGGGACEDLIRHLPELPRVTVLSLNIRWSFASGGGGGIAASLASLLSKTPSLTRLHIRTSPYCFSVFVCSLIVSCRPETRKPRLGRRLDSLREVSVDGLTGGDREEYSVVVELLLATIVPPSLERMSLAFHGHAAPAIIDDIAREIPLHFPIMATGRWERSPPSAVTWKSS >ORUFI12G20320.1 pep chromosome:OR_W1943:12:21674555:21675464:1 gene:ORUFI12G20320 transcript:ORUFI12G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAAASGGGRRCVEDDACRICGWRSHNESFCVFNYMDGYFSSRSCREQCKPGWHRHAAAAADDFDADEWRRCFVRVTNVAAGVEGHSLRWLFQRFGPVRACSLSREGQPAGDGDGGGLAFVTFYSGGDAEAAVEGLNGHLAGDRRLRVDLAYPRVVNKAPF >ORUFI12G20330.1 pep chromosome:OR_W1943:12:21675625:21676059:-1 gene:ORUFI12G20330 transcript:ORUFI12G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRSSRRRRWWLLGMLVEASFSAGGREGAGGGRGDEIYVKKPVAAAATQDETLVAMLMSFMTRSVVGKWFYRKAPVRRTDDRNNGRRGSGGAAAGGGGVSLSVAEVMPPVKASRAHGCTECGVEFDSASTYGGTTSWAPRLRR >ORUFI12G20340.1 pep chromosome:OR_W1943:12:21682170:21687582:1 gene:ORUFI12G20340 transcript:ORUFI12G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEAKDGEEVMGEGREGDPVDKIRRLLRIAIYNIGYVEGFFPDAYFSDIVVREDDMVIKQLVPIVDSESRRLMNLIDEAEACLCDALKKKYLKTLVFSICEKYEGPVLQEFIFSFSYPSTGPDEVVMMMTRTGSKVITKFEASAVKVTPNQMRSSACKMIRLIVQQTRTYPVQEEHAIEMKLSFYEDTTPEDYEPPFPKYCVNSEDVAIWNNNILKMEVGNINNKHVVLTLKVKSAQSYCKNSIVDDCSDYEMCECETYGYTSAPNDDTEEDYHTGMLASPIKAWYPQDTGTQMTRKRKTGFVLVSSSKKIKFLLDSGASHHICNDKAIMRNLKDVKKEYQVSLASCGGLELKAEMMGTVVTKDMKLSQVGYIPEMEFNVVSIGQLAVQGLITTGGDGRFSVIDAKEARVVGEGHLQRKTEKVDGRVYHEYVFKSLIREIEGDDEKLIEPLRADDDEIDEEEEKKCWVIDTGCGRHMIPDISILTQVKCEEVTFQAACGIVSSTHKGLVKEGNLILRDVLCCPKVTRKMISGPMLDLSGHRFTFNDKKCCIVHKDGLELRGVGKLDRATRTYLLKPGEDSRAAAAAKEEPKMLAAAAEGYGGPKQEADTKNMRGKGKKTAQATKRLRLS >ORUFI12G20350.1 pep chromosome:OR_W1943:12:21688441:21688801:1 gene:ORUFI12G20350 transcript:ORUFI12G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRKEISSDQSDVDPDEVVAVAGDDRLSSLPDDLICRILSFLPTREAALTSQLSRRWRRVWPADVAALNLDWTCRCASYSPLSPAAREALLRFPTTGIPSFSLEIDHYINVAADGW >ORUFI12G20360.1 pep chromosome:OR_W1943:12:21688814:21689355:1 gene:ORUFI12G20360 transcript:ORUFI12G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAIGSVRVTVFGRLAELSLSSCCPRLRLRAVRRLALHTLDHLEVLDINGVDDLEALDVSAPKPPVPEPERPLLLPPSPRRLPGASHPSAPAWRVFAGSTGHSSSRSIDAPYTMQLLQACSLAVGHLAMELVMPNQLRLTAAATLSPASART >ORUFI12G20370.1 pep chromosome:OR_W1943:12:21689925:21690440:-1 gene:ORUFI12G20370 transcript:ORUFI12G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNAAAAASSTAATINFWKDPNAESCCICGGEAAAATTVAEAEEEEHTELTCPYNYLAPAAARCYVPCRARRAAWRGDAAGASERRRFLRRFVRVSNLPASCRPADLAGLLTGFGPLRMWHVAMDGPRECKGFAAVVFERREHADEAIEGLNCFSFGGRSLRVDWAYPSA >ORUFI12G20380.1 pep chromosome:OR_W1943:12:21691713:21697697:1 gene:ORUFI12G20380 transcript:ORUFI12G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDELRREMAFAGRLRPRFEGILCDGGEGNRRRRALLEAEARRRGIGPAAAKDGDDRHSTSRDVFSFDIEDQLGEDASRRFCGKPWPVSSTKENYGQLGIETRRSSRQIEQRKPISVDKMYSSKPCSSTLSGHKLRVHAIDPDEKADDEKSEPSTRYSFRNYIKNIAILVIVHEISKIEISRTFNPSNEKCNETFVSLIDLEFIHAQLCVQQGGRKNISRVSLIFKRWVQDVVLLDDEDVQPEGQVDCRMHDRRNETMIYYPSRDDPEAVELSSSDIKCLDPGVYLSSPVINFYIQYMKRTKLHDDDCREKFYIFNTYFYSKLEEALLGKGEFLKLRRWWKGVNIYHTSYIILPIHGTAHWSLIIICFPSKESNSGPIILHLDSLELHSSAKIFDTVRRYLEAEWCHLRKNPPPDISISETIWDDLPSNIQKEKVQVPQQKNEYDCGIFMLYYIERFIRLAPERFTRDNLSMFSRSWFQPEDASDLRQRIRELLLEEFESARLDEALSEADTSDRSDNEEDATKSAESEQAAAAAAPGNGSSEMIVEGGDTGISNEDIKGVAASKEASSSICRSADNLAGCVLLEEATLSDSVMKDEEDTTKADPVSSQDEQEVAVLSPGAWKNSEENTHKQPQPDICCDSSDSEMDDVKIIEDPYQRTNKQNCRIF >ORUFI12G20380.2 pep chromosome:OR_W1943:12:21691713:21697697:1 gene:ORUFI12G20380 transcript:ORUFI12G20380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDELRREMAFAGRLRPRFEGILCDGGEGNRRRRALLEAEARRRGIGPAAAKDGDDRHSTSRDVFSFDIEDQLGEDASRRFCGKPWPVSSTKENYGQLGIETRRSSRQIEQRKPISVDKMYSSKPCSSTLSGHKLRVHAIDPDEKADDEKSEPSTRYSFRNYIKNIAILVIVHEISKIEISRTFNPSNEKCNETFVSLIDLEFIHAQRKEKHQSCLSDFQKVQDVVLLDDEDVQPEGQVDCRMHDRRNETMIYYPSRDDPEAVELSSSDIKCLDPGVYLSSPVINFYIQYMKRTKLHDDDCREKFYIFNTYFYSKLEEALLGKGEFLKLRRWWKGVNIYHTSYIILPIHGTAHWSLIIICFPSKESNSGPIILHLDSLELHSSAKIFDTVRRYLEAEWCHLRKNPPPDISISETIWDDLPSNIQKEKVQVPQQKNEYDCGIFMLYYIERFIRLAPERFTRDNLSMFSRSWFQPEDASDLRQRIRELLLEEFESARLDEALSEADTSDRSDNEEDATKSAESEQAAAAAAPGNGSSEMIVEGGDTGISNEDIKGVAASKEASSSICRSADNLAGCVLLEEATLSDSVMKDEEDTTKADPVSSQDEQEVAVLSPGAWKNSEENTHKQPQPDICCDSSDSEMDDVKIIEDPYQRTNKQNCRIF >ORUFI12G20380.3 pep chromosome:OR_W1943:12:21691713:21697697:1 gene:ORUFI12G20380 transcript:ORUFI12G20380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDELRREMAFAGRLRPRFEGILCDGGEGNRRRRALLEAEARRRGIGPAAAKDGDDRHSTSRDVFSFDIEDQLGEDASRRFCGKPWPVSSTKENYGQLGIETRRSSRQIEQRKPISVDKMYSSKPCSSTLSGHKLRVHAIDPDEKADDEKSEPSTRYSFRNYIKKRKEKHQSCLSDFQKVQDVVLLDDEDVQPEGQVDCRMHDRRNETMIYYPSRDDPEAVELSSSDIKCLDPGVYLSSPVINFYIQYMKRTKLHDDDCREKFYIFNTYFYSKLEEALLGKGEFLKLRRWWKGVNIYHTSYIILPIHGTAHWSLIIICFPSKESNSGPIILHLDSLELHSSAKIFDTVRRYLEAEWCHLRKNPPPDISISETIWDDLPSNIQKEKVQVPQQKNEYDCGIFMLYYIERFIRLAPERFTRDNLSMFSRSWFQPEDASDLRQRIRELLLEEFESARLDEALSEADTSDRSDNEEDATKSAESEQAAAAAAPGNGSSEMIVEGGDTGISNEDIKGVAASKEASSSICRSADNLAGCVLLEEATLSDSVMKDEEDTTKADPVSSQDEQEVAVLSPGAWKNSEENTHKQPQPDICCDSSDSEMDDVKIIEDPYQRTNKQNCRIF >ORUFI12G20390.1 pep chromosome:OR_W1943:12:21701027:21705508:1 gene:ORUFI12G20390 transcript:ORUFI12G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKSGVAEEGGAAAAAAVRRWVEAGGGRLVMDGGLATELEANGADLNDPLWSAKCLLSSPHLVRKVHLDYLEAGANIIITASYQATIQGFESKGFSKEQSEDLLAKSVEIAREARDMFLKEHSDRPIQHPILVAASIGSYGAYLADGSEYSGDYGEAGTLEFLKDFHKRRLEVLAEAGPDLIAFETIPNKLEAQAYVELLDECNISIPAWFSFNSKDGVHIVSGDSLIECATIANGCSKVGAVGINCTPPRFIHGLILSIRKVTDKPILIYPNSGERYDAEKKEWVESTGVSDGDFVSYVNEWCKDGAVLIGGCCRTTPNTIKAISRSLNQRHSSLHLPVA >ORUFI12G20400.1 pep chromosome:OR_W1943:12:21706576:21712101:1 gene:ORUFI12G20400 transcript:ORUFI12G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDEKCPRPMCYKAYGSGKEDSPHCDVPGFENTRMKLLRHVSFVDCPIVRYDGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >ORUFI12G20410.1 pep chromosome:OR_W1943:12:21715557:21723631:1 gene:ORUFI12G20410 transcript:ORUFI12G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQHLILLLAIFVSLCVAAIGQGNKIVPFNPSCSTTGNYSGDSQYKKNLDQLLSTLATAATDDGWFNTSSVGTGGDDQVFGLIMCYADRNPTQCKECLAGAPAGITQVCPGSRTVNANYDACLLRYSDVSFFSVADKTVAFNVYAKSYVENMAAMNETRWQLMSQLAETAGQTKLRLDTGSTRLGSTSMMYGLAQCTRDLAVSECSTCLSDYIVQLSKIFPNNSWAAIKGYSCYLRYDLSPFGITLPPSSPVPPPSSTRSTGFVAGLSVAGAVSFMVILGVSIWLLLRRRRKHARLMREHQEMEDDFEKGTRPKRFRYDELSVATDFFSDDCKLGEGGFGSVYKGFLKDLNLEVAIKKVS >ORUFI12G20420.1 pep chromosome:OR_W1943:12:21725022:21726294:-1 gene:ORUFI12G20420 transcript:ORUFI12G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAEVEGEGKGKHWMEDDKVGHVSYSPSSLTKRHSALCSSSRTPRPSRYGGTPSISTSRGQPRFPRRRYVLLPPGSLAESPPLLDRICLAHGHSGGVALTSASLVEPFLVEEQHNSPSQDMQPRLVPEAHLDVLVEDKCAKNQLNREKVQFQQRTGSRSYIAHAYVVQRGLALYSAFAALVLHCIGIAICHSVVAYLYSAKQGQQEVAKL >ORUFI12G20430.1 pep chromosome:OR_W1943:12:21738565:21739718:1 gene:ORUFI12G20430 transcript:ORUFI12G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSPATASWSDMVFDLLATSAAAADDDDEDDYDYEIVQYDWTMEQRDDRPPTQAKAGELAEYLLMRAFLSSDPSSDSGGGGCIVVLLHRPKYQLSFARVGVDERWPWVNLPDSDFYTDVVYNDGDGMFYAVRHQAAIHAYDLSGGPSAVRRTIVLADQLHGVIDLETKYLVRAPDGGEWLQVWRMLKPVRRAADTHGDTTPTTAVYRKTTIWIKVFRVDLAAQRLQETATLGDGGDSHALFIGCNQPFWVPAGGGDGECPAGGVLPNHIYYTDNDEDYALLYPEAPRDIGVYSVADGSFSPFRPSLPWLTWPLPIWLVPNFGYYCQG >ORUFI12G20440.1 pep chromosome:OR_W1943:12:21746237:21746684:1 gene:ORUFI12G20440 transcript:ORUFI12G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSSMVTSPASWSDMVFDLLATVFHTFSDPADLLRCAAVYQTTSSRSRGWASTSAGLGFLVVEISYSYSSPGLDKFFQTTYPSTARYSW >ORUFI12G20450.1 pep chromosome:OR_W1943:12:21750235:21751685:-1 gene:ORUFI12G20450 transcript:ORUFI12G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGAAALWIKVFRVDLSAQRLEEMATLGDGGDSHALFIGCNQPFWVPAGGDGECSSGILPNHIYYTDKEDGNTEAPRNIGVYSIADGSFSPY >ORUFI12G20460.1 pep chromosome:OR_W1943:12:21753343:21755710:-1 gene:ORUFI12G20460 transcript:ORUFI12G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTGAGWSPVSWSDMVFDLLATVFHTFNDPADLLRCAAVCRSWHAAAASVRERHPLFSRRPPPPCLVYTADQGNDHGDRHRVATVFALAGGGRTYEVTLPAEPPIRNSSHGWIVTADADSAELRLVNPVTGQQIDSLPPVDTIEHVRHRSQSAAAADDDEDDYDYEIVQYNWTMEQRDDRPPTQAKADKLAAYLLMRAFLSSDPAADGGGCIVVLLHTPVAKPPGGGGGCIVVLLHRPKYQLSFARVGVDERWAWVNLPDSDFYTDVVYNDDDGMFYAITYLAAIHAYDFSGGPSAVRQTIVLADQLHGVIDSETKYLVRAPDGDGWLQVWRMMKPVRAAAAHDAGGDTTRTTAVYRKTTIWIKVFRVDLAAQRLEETATLGDGSDSLALFIGCNQPFWVPAGGGDGECPAGGVLPNHIYYTDNEEDYALLFPESPRDIGVYNVADGSFSPFRPTQPWLTWPLPTWLPFWVPAGGGDGECPAGGVLPNHIYYTDNEEDYALLFPESPRDIGVYNVADGSFSPFRPTQPWLTWPLPTWLVPNFG >ORUFI12G20470.1 pep chromosome:OR_W1943:12:21759250:21765654:-1 gene:ORUFI12G20470 transcript:ORUFI12G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNWRHIVLKKNIGVTESATQHCCCFPHRPASPFLYQLHYRSTIPTLPCQLIHGKEAAAATGGLPIAVRAIGFCARDNSARVARPRRHGRRHHAPPASFLLLPPASPPPEQPPAKPRGGKDGGGGASFSSLKEHAGAPAVLERLKAAAVVPARSTPLRPDLAGWRLAAGKVTAAGVTTASEMGHGGGDGDGGEAGDGGLDPGAPEVGRAPPWADPGTPEADPAPPWADLERELLVLGVRWLLAVTAAGDGDEAVAVAALAGDGDGGHGGCVGAVAGSGCVGGGGDYYTDVVYNDGDGMFYAITYLAAIHAYDFSGGPSAVRRTIVLADQLHGVINSETKYLVRAPDGDGWLQVWRMMKPVRTGAAHDAGGDTTRTTAVYRKTTVWIKVFRVDLAAQRLEETATLGDGGDSHALFIGCNQPFWVFFSDVFVVL >ORUFI12G20480.1 pep chromosome:OR_W1943:12:21766738:21768801:1 gene:ORUFI12G20480 transcript:ORUFI12G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHLVAVAVVIGSLCVAAVGQGNNIVLPFAPSCSTAGNYTGDSQYKKNLDQLFTTLSAGAIAGDWFNTSSVGTGADQVFGLIMCYADRNSTQCQECLAGAPAGIVQVCPGSRTADANYDACLLRYSDKSFFSELTYGADPTIAWNVYFTPFVDNMTTMNDTRRRLMSQLAERAGDTKLRLDNGSLPYADSKLGTSALYGLAQCTRDLAASECRRCLSGYVDDLSNTFPNNSGGAIKGYSCYLRYHLWPIDITLPPPPLPPPSRPPSSSPAPPSPPPSVSGGLVAGSTVGAVSFLVVLGVSIWLLLRRRRKRAGEARELEMDEGDFFDDEADDFEKGTGPKRFHYGELAIATDDFSDEHKLGEGGFGSVYRGFLKELNLDVAIKRVSKSSKQGRKEYASEVRIISRLRHRNLVQLIGWCHGGGELLLVYELMPNASLDTHLYSANAGVLPWPLRHEIVLGIGSALLYLHEEWEQCVVHRDIKPSNIMLDAAFNAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECMITGRANAESDVYSFGVVLLEIACGRRPIMADHQSEVDEDRIHIAQWVWDLYGNGRILDATDRRLNGEFDGGEMEAVMVVGLWCAHPDRSLRPTIRQAVGVLRGEAPPPSLPARMPVATFLPPVDAFNHTSSSVATGSSSASTDTTRSSRTTETSSLLK >ORUFI12G20490.1 pep chromosome:OR_W1943:12:21769264:21773795:-1 gene:ORUFI12G20490 transcript:ORUFI12G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLSRAAAAAAVSLRGARSHHILSSSLPKETLLPPPLLILLATTIASLLAVGGRVGWARAAEEGAGFGCRASVPAALGGVGSFGIAARCNATSSSAVSEATNALPRTEPVVSAEWLHANLKDPDVKVLDASWYMPAEQRNPLQEYQVAHIPGALFFDVDGISDRTSSLPHMLPSEKAFSAAVSSLGIYNKDGIVVYDGKGLFSAARVWWMFRVFGHDKVWVLDGGLPQWRASGYDVESSASSDAILKASAAREAIEKVYQGQLVGPSTFEAKLQPHLIWNLDQVKENIDAKTHQLIDARGKPRFDGAVPEPRKGIRSGHVPGSKCVPFPQLLDSSQKLLPPEELRKRFEQEGISLDQPLVSSCGTGVTACILALGLHRLGKTDVPVYDGSWTEWGAHPDTPVATAA >ORUFI12G20500.1 pep chromosome:OR_W1943:12:21777542:21779680:-1 gene:ORUFI12G20500 transcript:ORUFI12G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLVIILFLLAVVASAAVARSNYEEPLWPDCSTTGNYTVGNQFEKNLDQLLSTLATAATDDGWFNTSSVGTGTAYQVFGLIMCHADYNATECKKCLAGAPAGIKQHAVRRLEARHVAVVRAGAVHEGPSGSECQKCLKRYVDDLGQYYTNQSAGNIKGYSCYLRFDLWPFNITLPPAPSPLSSSPPPPIPATPVPPPSVSAGLVAGLTVSAISFLVVLGFSVRFVLRRRRKHAGLTKEQEMEEGGIFDDETAMEDDFEKGTGPKRFRFGELAIATDDFSDEHKLGEGGFGSVYRGFLKELNLDVAIKRVSKSSKQGRKEYASEVRIISRLRHRNLXVQELEAGEEGLIGWCHGGGELLVYELMPNASLDTHLYKASAGVLPWPLRHEIVLGIGSALLYLHEEWEQCVVHRDIKPSNIMLDAAFNAKLGDFGLARLVDHGRGPHTTVLAGTMGYMDPECMITGRANAESDAYSFGVLLLEIACGRRPIMADHQSEVDEDRIHLAQWVWDLYGNGRILDAADRRLTGEFDGGEMERVMVVGLWCAHPDRSVRPVIRQAISVLRGEAPPPSLPARMPVATFLPPIDAFGYTSSLAVTGSSSGSTGAPHTETSSLLR >ORUFI12G20510.1 pep chromosome:OR_W1943:12:21780202:21781844:-1 gene:ORUFI12G20510 transcript:ORUFI12G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTDCSTTGNYTRGSQFEKNLNQLLSSLSAGAIAGDWFNTSSVGTGPDQAFGLIMCYADAGDATRCKECLARAPAGVRQECPGSRAVTASNDACLLRYSDKPFFSAYDASTNISYTKLAGDQIVVQNVTTMNNTRWQFLNKLAERAGDNTLRIDNRSEPYVAASAQLYAKSVFGSRERS >ORUFI12G20520.1 pep chromosome:OR_W1943:12:21785541:21787589:-1 gene:ORUFI12G20520 transcript:ORUFI12G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLVIFLLFLAVVVGSLCETAIGHHNLNLPYQPSCSTTGNYTGDSQYKKNLDQLFTSLSGGAIAGDWFNTSSVGTGADQVFGLIMCYADSNATECQKCLAMAPAVVQHPCRGSRSVNANYDACLLRYSDEPFFSELTTYGGNTNVSLDVDDTNLYVENMTAMNDTRWQLMSQLAERAGDTKLRLDNGSLPYADSKLGTSALYGLAQCTRDLAASECRRCLSGYVNDLSNTFPNNSGGAIKGYSCYLRYQLWPIDITLPPPPSPPPAPPAPPPPSVSIGLVAGLTVGAVSFVVVLGVSIWLLLHRRRKHAGLTMEQEMDEGDFFDDEAGDFEKGTGPKRFRYGELAIATDDFSDEHKLGEGGFGSVYRGFLKELNLDVAIKRVSKSSKQGRKEYASEVQIISRLRHRNLVQLIGWGPGGGGGLLVYELMPNASLDTHLYNHSANALPWPLRHEIVLGIGSALLYLHEGWEQCVVHRDIKPSNIMLDAAFNAKLGDFGLARLVDHGRGSHTTVIAGTMGYMDPECMITGRANTESDIYSFGIVLLEIACGRPPVMALEHQAEKGQDMIHLVQWVWDLYGKGRILDAADHRLDGEFNGGEMERVMIVGLWCAHPDRSVRPVVRQAVSVLRGEAPPPSLPARMPVATFLPPIDAFGYTSSAVTGSSTSSGNIGVPNTQTSSLLR >ORUFI12G20530.1 pep chromosome:OR_W1943:12:21789725:21798041:-1 gene:ORUFI12G20530 transcript:ORUFI12G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLFFSFLFLLLARETSAVAADGCSRRCGGLVVPYPFGFSGSCPIMLSCNVDGGSNSTAALILQGNDATTTDRSYTVVDGSFNSTASTFTVSVPPSCNRTVSDARRWLSGANYGVSSRTGLFLRGCRNATSSDCSVPVETMLRTTRCSGGGGNETASSSLTCIASLSPATPAERGLGGLFAQWEKVEEPRCENLLTSVYGDTREGVFSLEFAAAEMGWWVNGSCGGGVDDLGRCAANATCIPMQTPSGNWGHRCECLPWMAGDGFAAGEGCYAGKRRRMRVVEFATAGSVAFLLCLALSVWCLLRRRQWRRNNAKLTVKMARKHLPKDARFFRGKPIEDELELEAAGPRRFHYGELAAATANFSDDRRLGSGGFGSVYRGFLNGGDVAVKRVAETSRQGWKEFVAEVRIISRLRHRNLVPLVGWCHDGGDELLLVYELMPNGSLDAHIHSSGNVLPWPARYEVVLGVGAALMYLHHEAEQRVVHRDIKPSNVMLDASFSARLGDFGLARLIDDGRRSRTTGIAGTMGYIDAECFLLAGRASVESDVYSFGVVLLEVACGRRPAVVINGGEDAIHLTQWVWDTHGGAAGGGILDAADTRLNGEFDVAEMERVLAVGLWCAHPDRGLRPSIRQAVSVLRFEAPLPSLPVRMPVATYGPPVSTASAPTSNDTSAGR >ORUFI12G20540.1 pep chromosome:OR_W1943:12:21794283:21797087:1 gene:ORUFI12G20540 transcript:ORUFI12G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAGNLHTVSLLSSSAAELGCGGGGCADPATLPWSADSRATALDALVDSVGSPLSAAAVSAVAVMLDSGEILPGGGFQGGGGVLPLLPLRVPPTAAPVSPGDAFDGAAAASTMKQRQFGFDGRQQYAATEQHGHREQGVDSYGVAAPHHFPSPSPRHAVQFSQANPSTLRGSVDERFPVFVLLAVSAVPEADGGRRRVRLPAGAISIKVLIH >ORUFI12G20550.1 pep chromosome:OR_W1943:12:21803672:21806689:-1 gene:ORUFI12G20550 transcript:ORUFI12G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARTLAAAAAAARAFSLTATSGGGVSMVQGASRGIGLEFVRQLLKRSDEGRVVATCRAPDSAVELQKLRQEHEQRLAVLPLDAAAASIGETHGSLNLLINATGILSIPNVIHPETTFSKVQKSSLLLAYEVNAVGPILVIKHMWPFLKAGGCSETGRGFSLVANMSARVGSIGDNGLGGWHSYRASKTALNQLTKTVSVELGKKDNIACILLHPGTVDTDLSRPFQKNVPKDKLFTREFSVQKLLSIIDNVKKSDNGKFFAWDGQEIPCQFLNYCIMSISYPATTIDL >ORUFI12G20550.2 pep chromosome:OR_W1943:12:21804033:21806689:-1 gene:ORUFI12G20550 transcript:ORUFI12G20550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATARTLAAAAAAARAFSLTATSGGGVSMVQGASRGIGLEFVRQLLKRSDEGRVVATCRAPDSAVELQKLRQEHEQRLAVLPLDAAAASIGETHGSLNLLINATGILSIPNVIHPETTFSKVQKSSLLLAYEVNAVGPILVIKHMWPFLKAGGCSETGRGFSLVANMSARVGSIGDNGLGGWHSYRASKTALNQLTKTVSVELGKKDNIACILLHPGTVDTDLSRPFQKNVPKDKLFTREFSVQKLLSIIDNVKKSDNGKFFAWDGQEIPW >ORUFI12G20560.1 pep chromosome:OR_W1943:12:21810078:21810509:-1 gene:ORUFI12G20560 transcript:ORUFI12G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTREHVVAGGDGGIVGGGDSKAVSAGGDGAVVFVGSSRRRYVISNKHLSHPLIAALIDDDVPAAAAGDGKEGGGGGGVVERRRSTSAATTTSSSTKPIAVNCEVVLFDHLLWMLDNAVDLRAGGDGDDDDAAMRELAQLYAY >ORUFI12G20570.1 pep chromosome:OR_W1943:12:21817361:21823644:1 gene:ORUFI12G20570 transcript:ORUFI12G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGGQSYVSAPPAFSADGRLLLACTGRTVSVFSASTGMLVSELEGHEGDVTAVVVAPPPVAAAAKLASYCWTAGLDGFLVYWDFAAAELVRKVQVGLPVHSMVIPNIARISRGAEIYTPFAFVSVEDTSKPSNEKLRGQLRIYDLTKGRQVGSLLAETRKPEMIVASSSGEFLGITNKRKLHVWRIPTKNFKPDKIRKIKLSHTKNLNTLAFHPSERIVASGDATGRILIWRGFGNAKFSGSDGTKSELDEDRGDVRGNGDADTCTTWHWHSSGVRFLKFSTDGAYLFSGGMEGVIVVWQLDTGKRRYKPRLGSPLLSFVDSPDSSIACVSCMNNQVHLLKMPNMEVMKSIAGIKLPISSPNLGGCYRDVYGFDYSNKLVAVPTEDYCIQFYDLFENTEVSEVQVCERNFHPVDDITMYISLVSLSIDGKFMCTIDVKLPEEELGGLVTLKFWNQGSRAGQYFLSTVIYEPHSDAGISAIAFRPGKNMAVSSSFGGNFKVWVQSMLSQPSDEKNQSGWRCQSVGSYKNKPMTAATFSSDGSVLAVAAENVVTLWDPDNNTLVGVIAEALSPITKLSFIGTSPFLMSLSQSSKPQVAMWNVPNLSMQWSYSLFAEAACCSSSRSEFAVLALLSCPDGETLAEQDGVILLFDAENPKPVSSWSVKKVRGGSVAFLKGDSSLDANRKDRIDGEASSLVYVNGSHEYVIFDPRSNEELHIGKSAHKNIQTEEPGPIGYASIFGELPKLESKKDVPEILFIPSERPWETIFSGSTHVLPPLTKLCPAFLASLLEKRPVANE >ORUFI12G20580.1 pep chromosome:OR_W1943:12:21824084:21824905:-1 gene:ORUFI12G20580 transcript:ORUFI12G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADCLVEIFRRLPLDDVAAAAPLVCRSWRAAARDASLWRALDLRGGGGAAARFMPWSPLGAAFAARYGVRRFTFAGYLRLCVARAAGRAAELALPPLLGAPDLDLVSLRCTELRRVALPALSAADDARLPGLVARWRRLEHLELEHRPASFPVTAARVGAGCPGFSSLKMAGAIRDVDAAAMAASLPRLKRLCLDGCYLPRHELLAVIHGCLELESLSAKHCVGFDEGDEEVAREAAMIGRLEVGGSRLVDKFDQRDVDGLDDDTSSYVDVM >ORUFI12G20590.1 pep chromosome:OR_W1943:12:21830574:21831101:1 gene:ORUFI12G20590 transcript:ORUFI12G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHHHHHQLRRLAVLAAALCVVVVATAARPLQARRHGEAVEMDVSAAAPSGDGAVREGKWLPLLPAGGGLMHFPVVGGVSMPWMAGAPPAMAGPGVQLVPPYVGATRQEQLSLWASLFNPFQVRPRLPAAAAETTSPAVPVDIPAIAGVSPEKTTVDEPAAGEPKWGVFFGNNN >ORUFI12G20600.1 pep chromosome:OR_W1943:12:21834427:21838827:1 gene:ORUFI12G20600 transcript:ORUFI12G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWNTTSMGDGFAPLGEDDGLVELLWCNGHVVMQSQAPRKPPRPEKTTAAAAAAMAEDESASWFQYPVDDVLEKDLFTELFGEMTAAGGGGGDVRRAACKEERGAVAAFQSRMMPPPWPARGKAEFGDVDDVCGVSEVVMAKMDGAAAAETVGESSMLTIGSSICGSNHVQTPPVGNGKAGAGTAGAARRAHDTATVASSSMRSRSCTAKAEPRDVAAAGVGGKRKQRGGAAMESGSPSEDVEFESAAATCSPAQKTTTAKRRRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWMGGGMAPPAVMFPAAGVHQYMQRMGAVGMGPPHMASLPRMPPFMAPPPAAVQSSPVVSMADPYARCLAVDHLQPPPPMHYLQGMSFYQLAAAKNLQQQQNTAEAPPPPPAGGNRAAADS >ORUFI12G20610.1 pep chromosome:OR_W1943:12:21837130:21841447:-1 gene:ORUFI12G20610 transcript:ORUFI12G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYGNLAFSSSALPFPARLVGLTGIDDPYKFLLLVLLEDQYPRILRMSSGVSGCAVASGRWRWRRLRRVLLLLKVLGRGELVEAHPLQARALLTIGGGGWRWSTARQRA >ORUFI12G20620.1 pep chromosome:OR_W1943:12:21846665:21847535:-1 gene:ORUFI12G20620 transcript:ORUFI12G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPPPPPAAAAIWLSPRVSFSLDDAGGGGGVGRAEAVAVAGKGSADFEFLLGCSAVSMLPADELFSGGKLVPLRLPGVAASSFSLAAVDGEAAAAAATVVSQSPAALQVEAVAMKEKVVVEAKIPARRWRDLLRLRKQQASSSSSSSSATSSSEPKPLRRLLRRGPKPPEPEPSLSLPLLREPVSVPADEPDTTKHDKLTPPSPSTQQLPPKIRLSPTQAPPPPPPPPPSVFGIDRLFSPSSSSSSAAAAAAASKKGKLAKKEAATTMAAAAAAPQ >ORUFI12G20630.1 pep chromosome:OR_W1943:12:21855250:21863162:1 gene:ORUFI12G20630 transcript:ORUFI12G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPASGGGGGGYSCETAEQTREWMEAISAFLHLHRPLLEAHVVNFFKDRLWEMVDAEWMECLRREPVESLLMLPSGCEHWPSSLRDFVLTAKSLVLPREQKSPRSLLPDLHVASINTVLAQGMNSKKKHEIETLAGLVHAITKSCGAKTVIDVGSGQGYLAQALSFEYQLPVVAIDASSHHASVTNTRAERIKNVGKQQLRVPRTVTCHVLSSDTLAAVTLEACQDDHAEHVPESKNFNESSPQIEKPNDSIPPLVLAGLHACGDLSVNMLRLFVSCEQVKALISIGCCYNLLSEECHEDTNTCPGFPMSKAAKLSNLVLRKSTRDLGCQSAERWRSLTKDIALQNFDIHAFRAAFQMFLEKHFPEVSRSSPSIGRQGKALRRQRLRKVMESPMAMAETDALSYSTQKEQIMTKDDPLPTGPNNFKEVHVDFLPELSTGFVDSAVSGAAVVPDDIYLDKSQKFTLFKDFTVSGLGRLGCDFVENVSLLEIWKDVQPFTEFIGPFWCLRVALGPLVETYILLDRLLFLQEQGSVIEASLFPLFNPTMSPRNMAIIAWKLSANPSKMAARTTVPVLPMMDQHQGGHSEPSRLFPSPNPYPDLCTRRCHAKPQAKSDGTGGNRRAVLGRAKEGEKWGEDCRRNISSRRGAETPGYCLLLHP >ORUFI12G20640.1 pep chromosome:OR_W1943:12:21865260:21870814:1 gene:ORUFI12G20640 transcript:ORUFI12G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMSFLSMVEAELPPGFRFHPRDDELICDYLAPKVAGKVGFSGRRPPMVDVDLNKVEPWDLPEVASVGGKEWYFFSLRDRKYATGQRTNRATVSGYWKATGKDRVVARRGALVGMRKTLVFYQGRAPKGRKTEWVMHEYRMEGVHDQQASSFSSKEDWVLCRVICKRKSGGGATSKSRSLTTTTTTIVHDTSTPTSSPPLPPLMDTTLAQLQASMNTSSSAAIAAVAALEQVPCFSSFSNSIASNNNNSNSATVNAQQCYLPIVTGSNNNGMSYLDHGLPEFGSFLDTQSCDKKMLKAVLSQLNSIGGEVLPGLPPPSEMAAAVSSSWMNHF >ORUFI12G20650.1 pep chromosome:OR_W1943:12:21872418:21876952:-1 gene:ORUFI12G20650 transcript:ORUFI12G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEPQPMGVGRLLGELSASLAYCCPDDVDQPQRRELVITAVSGDYLYIGFGSWTPSPLYNLPKISAPIIQNLPHIQSLDQLMDLEKGKKPSEQAAACRIMQVKDKLITLQPVVRACVFLATAVAAVIMGLNKQSYTTVVAIVGTRPVTQTFTAKFKDTPAFVFFVIANAIASGYNLMVLVTRRILQRRAQSLSVHLLDMVILTLLATGSATAASMAQLGKNGNLHARWNPICDKFGSFCNHGGIALVSSFIGVALMLALNLLSAAANSPRSNVTGQ >ORUFI12G20660.1 pep chromosome:OR_W1943:12:21879395:21885350:-1 gene:ORUFI12G20660 transcript:ORUFI12G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYGAPSVKCAICNFITNTGPTTQSQNVTVVVENPMTVDAKGKLVSNVVVGVTTGGKKY >ORUFI12G20660.2 pep chromosome:OR_W1943:12:21879397:21884662:-1 gene:ORUFI12G20660 transcript:ORUFI12G20660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCHGCRNILLYPRGAPSVCCAVCHAVSSTAPSPGMDIAHLICGGCRTLLMYTRNATSVRCSCCDTVNLVRPVSSIAHLNCGQCQTVLMYPYGAPSVKCAICNFITNTGPTTQSQNVTVVVENPMTVDAKGKLVSNVVVGVTTGGKKY >ORUFI12G20670.1 pep chromosome:OR_W1943:12:21887460:21891471:-1 gene:ORUFI12G20670 transcript:ORUFI12G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPCYFPELQPAKVNPSGTSAGGLPYIQGFRAESSMLLLLKLQIHTLSSSFSSSPRVLCWVLAVLGYSYRLQASSLSAGELTDLQILFFVSALWAHSKEKHKKRDDTPIIRNVKIYSSKELRKATKNFCSGHKLGQGSFGCVYLGKLRNGQKVAIKVLSSESRQGTREFLNELSVISNINHHNLVKLHGCCVEGDQKMLVYNYLENNSLAQSLFGNSHSSIQLDWKTRVKICIGVASGLKYLHEEVRPVIVHRDIKASNILLDKDLSPKISDFGLAKLFPGNMTHISTRVAGTLGYLAPEYAIRGQLTKKADVYSFGVLLLEIVSGRCHTDPRLPLQDQFLLERAWALYESGDLKSLVDGTLKGVFDTEEAQRLLKIGLLCTQDTPKIRPSMSTIVKMLKGECAIGDKIMRPGLITDVMDLKIRTVEPVQFSASPPKSPSDSNSQVSMLAVAGSTVVEESP >ORUFI12G20670.2 pep chromosome:OR_W1943:12:21887460:21891471:-1 gene:ORUFI12G20670 transcript:ORUFI12G20670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPCYFPELQPAKVNPSGTSAGGLPYIQGFRAESSMLLLLKLQIHTLSSSFSSSPRVLCWVLAVLGYSYRLQASSLSADTPIIRNVKIYSSKELRKATKNFCSGHKLGQGSFGCVYLGKLRNGQKVAIKVLSSESRQGTREFLNELSVISNINHHNLVKLHGCCVEGDQKMLVYNYLENNSLAQSLFGNSHSSIQLDWKTRVKICIGVASGLKYLHEEVRPVIVHRDIKASNILLDKDLSPKISDFGLAKLFPGNMTHISTRVAGTLGYLAPEYAIRGQLTKKADVYSFGVLLLEIVSGRCHTDPRLPLQDQFLLERAWALYESGDLKSLVDGTLKGVFDTEEAQRLLKIGLLCTQDTPKIRPSMSTIVKMLKGECAIGDKIMRPGLITDVMDLKIRTVEPVQFSASPPKSPSDSNSQVSMLAVAGSTVVEESP >ORUFI12G20680.1 pep chromosome:OR_W1943:12:21895896:21899554:-1 gene:ORUFI12G20680 transcript:ORUFI12G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSILRRAAPLRRRAVSALAAAVLRREEAAAEVVVSRRATIPAAWFHSSPAWLGFRETGAAGAAARPQYAADEGLFYEEDKRGAKAGGVAAGGAEEGLEVAKLGISPKIVSQLASRGITKLFPIQRAVLEPAMQGKDMVGRAKTGTGKTLAFGIPILDAIIRHNEKNSPGKFPLAIVLAPTRELAKQVEREFSDSSNVETICVYGGTPISQQIRQLNYGVDVVIGTPGRVIDLLKRGALNLSEVRFVVLDEADQMLSVGFDEDVETILDRVPPKRQTLMFSATMPTWIQRLTQKYLKNPVTIDLVGEDDQKLAEGISLYSIASEGHAKPAVLGELIKEHAKGGKCIVFTQTKRDADRLSYTMGRSFQCQALHGDITQAQRERTLKGFREGHFNILIATDVAARGLDIPNVDLVIHFELPNSSELFVHRSGRTGRAGKKGKAIVMHSYQQSRAIRMVENDVGCKFTELPKINVEGSDLMSGGFDSFGGGGFGREGGGSYGRRGSFGSSSSRGGGFGDSGFGRSGGGFGRSGGGGFGRSSGGGFGDSGFGRSGGGGFGDSGFGRSGGGGYGDSGFGSSGGGSGRSGFGRSGGFGDSGSGRFGGGFGNSGSGSFGNFGGNNSGQSGGFGSS >ORUFI12G20690.1 pep chromosome:OR_W1943:12:21905580:21906946:-1 gene:ORUFI12G20690 transcript:ORUFI12G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTPQPPPPVDVDLGKLSYEIFSLLESNFLFGGGGGGGGGGGGVCSLPGTPGRAFLGGKVRVLAIDGCGPGPGDALLAAAALVRLETALREKAGDGDARVADFFDAAAGAGAGGVLAAMLFLKGADGRPRYTAADALAFEFPLAAGVDDLLVVSIGSGSSSTATPSTAAGWRTPLPSRSPSPAEMVRLTAEGVADMVDQAVAMAFGHTCGRNYVRIQAASPAYKTKALSSLDAKKAAAIADGMLTQRNVEAELFRGRRLSEKSNREKLDAFAAELVKEHERRRASPGLPNVVIKQVAAAAAAVTPARLSSATTTSSATATTARTTVSSMPSPAASLDSGRH >ORUFI12G20700.1 pep chromosome:OR_W1943:12:21924934:21925128:-1 gene:ORUFI12G20700 transcript:ORUFI12G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGSLAPKTKNIVVAGGLSAFVLGVYYYTMRAVGGTDELQVAIDKFEGMKKKDAGNSSAAGS >ORUFI12G20710.1 pep chromosome:OR_W1943:12:21929290:21929691:-1 gene:ORUFI12G20710 transcript:ORUFI12G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWGTGLDLVWRLSSPTWICSGGESPRPLLIPVPYCPLPSPSLSTPLHARSYGCVATAREERRRRLDGEEVQPLGWEERGWRGEWGLGRQVDKVKGNEGVMAEEVARSSGASTSRHGGRHNACETTVGDCSP >ORUFI12G20720.1 pep chromosome:OR_W1943:12:21934438:21938907:1 gene:ORUFI12G20720 transcript:ORUFI12G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSPFPPLTRRKIASLKLLIPCVLVLSVAVIVVTQYFHNISYLLRPLWDTPPKPFIRIPHYYAPNISMPQLCQLHGWGILPTPRRVFDAVLFSNELDILEIRYGELLPYVDRFVILEANATFTGIPKSLSFLENINRFAFAGSKIVYDMLPVMEMDPGSHRQPFHVEAGHRRALNMLLRRSGIAVGDVLIMADSDEIPSPETVQLLKWCDGIPQVMHLELKNYMYSFEFPVDYNSWRATAHVFTEHTLYRHSRQSNLLLADAGWHCSFCFKDIKEFAFKMKAYSHADRVKQDSFLNPDRIQRVICNGEDLFDMLPEEYTFKDLFKKMGPIPKSGSAVHLPSYLIKNADKFRFLLPGGCLRPG >ORUFI12G20730.1 pep chromosome:OR_W1943:12:21940431:21948852:-1 gene:ORUFI12G20730 transcript:ORUFI12G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAESLEYELQKEVSNIMIQSYITSMRREFETKLWENQNCISTLNKNWKEKISKIAALRDELSTIYSVVSASESGVFSSHGSHEKVEELNFLKMKDDNESSITERTTDSGELMLDIPDFSLLKHMPSEEVTNFLKSEWLKLRRQHESELHEKTEELFRLKREFAKDIALLPSRKERELEIIKSKLLQSISKLDEITSREDNPYCDNYDDAEVCGFEDRIGSLLHENEQLQGLLADKKMVAKHLSLQVLDAERKMAQHSLSELKLVKQIEKLSHELEDLKIESHIKDLLELSTLREVFDNYENHIDDANQEETFLRELLVEKEEQLSIMYEDRQKLKYENNQLVAIAGSTLMQHHEQVNLVNDLTNFREKVCEQELLILESKSESNSMKSSLYEALQQINVCKQEIHGLTDNLTAMSIALEEAKEQNASLDATIQEMKKTSAPSMNSHTGQAGHLEYALVSMEKLSKSYSDFESRLAQSMKRNEIRLTNIICQFNPLAQQVAVLKKKEFWYKQILEIKCSNLQKAEAEVDILGDEVDALLSILRKIYIALDHYSPVLKHYPGILSIVSMVSTTEFKGEYSLPSKKSPLDQFEGVKTHLYRLGAEHENGTLKSFAYTDQGSPSLLDTIILSQWEDYAWKGHFGYDVTACNLKVVEGGWSFVVQLNDKWNSCVLKEHDKFLEPVGCLKPNCMNSYDELLLCIAQGDKDIPEVVPSTKPPKDGLLLIANAYPVEYGHIFLVPSATNQLSFFWDKRMFSLIARIASEVNSAAFRVFFDSCTSTMPDHMFFQACYFANPLPVESASTVAIYHGKATSAVHLYEIIDYPMKALVFTGKDVNMLANFVSEVSLTLHDNNTAYSLLISNNGTKVKNLATGCFLSAWECSGYFIYRAKYDFDRASENEISNRMASVTLQDGAFENLKNLCCAVADDLVM >ORUFI12G20730.2 pep chromosome:OR_W1943:12:21940431:21942998:-1 gene:ORUFI12G20730 transcript:ORUFI12G20730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTTEFKGEYSLPSKKSPLDQFEGVKTHLYRLGAEHENGTLKSFAYTDQGSPSLLDTIILSQWEDYAWKGHFGYDVTACNLKVVEGGWSFVVQLNDKWNSCVLKEHDKFLEPVGCLKPNCMNSYDELLLCIAQGDKDIPEVVPSTKPPKDGLLLIANAYPVEYGHIFLVPSATNQLSFFWDKRMFSLIARIASEVNSAAFRVFFDSCTSTMPDHMFFQACYFANPLPVESASTVAIYHGKATSAVHLYEIIDYPMKALVFTGKDVNMLANFVSEVSLTLHDNNTAYSLLISNNGTKVKNLATGCFLSAWECSGYFIYRAKYDFDRASENEISNRMASVTLQDGAFENLKNLCCAVADDLVM >ORUFI12G20730.3 pep chromosome:OR_W1943:12:21945306:21948852:-1 gene:ORUFI12G20730 transcript:ORUFI12G20730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAESLEYELQKEVSNIMIQSYITSMRREFETKLWENQNCISTLNKNWKEKISKIAALRDELSTIYSVVSASESGVFSSHGSHEKVEELNFLKMKDDNESSITERTTDSGELMLDIPDFSLLKHMPSEEVTNFLKSEWLKLRRQHESELHEKTEELFRLKREFAKDIALLPSRKERELEIIKSKLLQSISKLDEITSREDNPYCDNYDDAEVCGFEDRIGSLLHENEQLQGLLADKKMVAKHLSLQVLDAERKMAQHSLSELKLVKQIEKLSHELEDLKIESHIKDLLELSTLREVFDNYENHIDDANQEETFLRELLVEKEEQLSIMYEDRQKLKYENNQLVAIAGSTLMQHHEQVNLVNDLTNFREKVCEQELLILESKSESNSMKSSLYEALQQINVCKQEIHGLTDNLTAMSIALEEAKEQNASLDATIQEMKKTSAPSMNSHTGQAGHLEYALVSMEKLSKSYSDFESRLAQSMKRNEIRLTNIICQFNPLAQQVAVLKKKEFWYKQILEIKCSNLQKAEAEVDILGDEVDALLSILRKIYIALDHYSPVLKHYPGAL >ORUFI12G20740.1 pep chromosome:OR_W1943:12:21953024:21957640:-1 gene:ORUFI12G20740 transcript:ORUFI12G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGLWKQGWRWVVSQKHILTWAHMAASGGTERLAFLVDRHWPAVSRACVSSGRLALAALRQWRGCAARGILEMASLGPASVFVILWSCFVCITSPACALYALLGMGAAGAVIHYMGYTPGLFIVGLFGILIMWMYGYFWITGMLLIAGGCMCSLKHARFVIPVLAMYAVYCVAVRVGSLGVFLTLNLSFLTNDLLNKLLQGYEGSTEERQFEEPKHSDPVMDEFYRSCEFPSAPDSEPETVSSAKPFCSTPVQDVLHVQKEASPSKVVKSDSVSLDEMKRIMDGLTHYEVLGIPRNRSIDQKILKKEYHRMVLLVHPDKNMGNPLACESFKKLQSAYEVLSDFTKKNTYDDQLRKEESRKMTQRSRVVSQQTGVEFLSEESRRIQCTKCGNFHLWICTKKSKAKARWCQDCSDFHPAKDGDGWVENKFSSSFKEIPRAFVCAESKVFDVSEWATCQGMECKPNTHGPSFMVNMVGADRMSQRSYSSRYPFSLNAEMIPEDEFELWLQQALASGVFSDSPKRRKSWSPFKLPQKGIKSWRRSS >ORUFI12G20750.1 pep chromosome:OR_W1943:12:21964025:21965717:-1 gene:ORUFI12G20750 transcript:ORUFI12G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASAAAAVGVAALLPGRLKPKPTPRLLKRLATASSSASTPPSPRSGGAGVEAEADTTPLFLRPAAHPVAAASLAAFRRRAAELVPDSAPHLHRHLRWLLADAAARDAGADPALLRAPLGDLESLWLRHVRDRRPFQYVVGNEHWRDLVVAVREGVLIPRPETEAVVDMVAKVQGFEAGWWADLGTGSGAIAVAVARMLGPEGRVFATDVSEVAIDVARLNVQRYGMQDKVEIKHGSWFEPLEDLKGKLMGVISNPPYIPTEDLPGLQPEVGWHEPKLALDGGKDGLEHLLHLCEGLSSVLKPGGFFVFETNGNKQSEFLVDFIQTKWDSSFRDVEAVLDFADIKRFVTGYRR >ORUFI12G20760.1 pep chromosome:OR_W1943:12:21967043:21968555:-1 gene:ORUFI12G20760 transcript:ORUFI12G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAEEKSRARGTALWRLLRPLAVLGPRMQRRREAAVPFRGQVRNTAAASLLLLLSLSHVPLYAGAGDADPDPLFWARPLLAAPRGTVMELGVAPVVTSWVVVRLLAALLFDSDSSTTVASCELLARCLAYVTNASRLVIGIAAALGMGGSGGAGNAALVVLQLFAGGVVVVLADLLHETGYGVEGVSAASLLIATNACERAVSHLFSPVKLRLAGAGPEFEGPVFAVTHRVAAAPPSWRHKAGALLFTLLRLDLPNLSNYMTTCVMFVLAVRLDETHLRRLYRSRPRRGTDEFVPIKLLYTSAMPIMLHASAVSAFCVLHLHSSGGGGGGFVVNLLGRWKDAGGGAAYPVGGLVYYVTPPSKLLVDPGLIHELLIHSVFVVASCTLLSMAWAEASRSSAREFRTRVIGTGYFVWDETSRRIDRVIAAAAAVGGFAVGGLAVYAGAVGAIGEAGPELLFAVLVIKNLAETFYAKSKLTTTYS >ORUFI12G20770.1 pep chromosome:OR_W1943:12:21969287:21970007:1 gene:ORUFI12G20770 transcript:ORUFI12G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTRWRPVGLEVARRGGARGEAGVEDTVDRVEEEVERRDVQRILAISEIYSGDACMTITGEMNQELI >ORUFI12G20780.1 pep chromosome:OR_W1943:12:21972918:21976332:1 gene:ORUFI12G20780 transcript:ORUFI12G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDDCRRRDVTLGDEFMVLQPENGGARSLADLLLSCKVGENKAVRCGRPGAEVALPWHRWIIAVSLLAQMLLRLSKGVMAKVGRAVEYWMNLVSENDNVLGLIRNALHGKVKTPDRNSPNYRSFIGLLDTRIDLDEKIKPGDSNYHAALCIMAAKLAYENELVIKDAVEKNWKMTFLEFFNCWNDFQNDYTTQAFMFADKPEDAELVVVAFRGTQPFDMEQWSTDVDISWYEIPGVGKVHGGFMKALGLQNNAAAGKKPSWPAEIAPPSAAKNSEKTKSFAYYAIRARLRAFLAANPRARLVVTGHSLGGALAALFPVVLALHGGEADAAALGRLDGVYTYGQPRVGDAALGEWVAAASSLEGKHLRFVYCNDVVPRVPYDDAAFLFRHFGRCVYFDAAYRARAMAEEPNKNYFSPAFALAKHANAAWELARGLAIGRVAGGEYAEGWAMRAARVVGLVFPGLPPHAPQDYVNATRLAGASLRKLLD >ORUFI12G20790.1 pep chromosome:OR_W1943:12:21979037:21980378:1 gene:ORUFI12G20790 transcript:ORUFI12G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATPTSTISPAARGKSAAIDADECIQWLDSKDPSSVIYVSFGSIARTDPKQLIELGLGLEASAHPFIWMVKNAELYGDTAREFFPRFEISGVDTVNADPVARHGRWLRDALRVNSIMEVVATRLPMVTWPHSVDQLLNQKMAVEVLGIGVGVGLDESVTEGHCGGEGGGGEGNREHT >ORUFI12G20800.1 pep chromosome:OR_W1943:12:21981226:21982083:-1 gene:ORUFI12G20800 transcript:ORUFI12G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRFVALSFIVLLSIGLSNAARVARYASAGGGGGGGGGGGGSGNGSGWGSGSGSGYGQSSGSGGAYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGAYAQGGGGGGGGGGGQNGGSGYGSGFGSGYGQAGGYGPYGGNAYAQGGGQGGGGGGGQNGGSGSGSGSGSGYGQAGGYGPYYGPYGGSGAYAQGGGQGGGGGGGQNGGSGQGSGSGSGYGQAGGYGPYYGGYWPYGGGYAQAGGQGGGGGGGQSVPGGSGSGSGSGSGSGSAGVRYP >ORUFI12G20810.1 pep chromosome:OR_W1943:12:21992182:21997197:1 gene:ORUFI12G20810 transcript:ORUFI12G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPADGSALARQGSIYSLTFDEFQSALGSAGKDFGSMNMDELLRNIWTAEESQAIAPAAAAASAAAVVGDAQQQQQPIQRQGSLTLPRTLSQKTVDEVWRDIMGLGGSDDEDPAAAAAAAAPAQRQPTLGEMTLEEFLVRAGVVREDMGQTIVLPPQAQALFPGSNVVAPAMQLANGMLPGVVGVAPGAAAAMTVAAPATPVVLNGLGKVEGGDLSSLSPVPYPFDTALRVRKGPTVEKVVERRQRRMIKNRESAARSRARKQAYIMELEAEVAKLKEQKAELQKKQVEMIQKQNDEVMERITQQLGPKAKRFCLRRTLTGPC >ORUFI12G20810.2 pep chromosome:OR_W1943:12:21992182:21997197:1 gene:ORUFI12G20810 transcript:ORUFI12G20810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPADGSALARQGSIYSLTFDEFQSALGSAGKDFGSMNMDELLRNIWTAEESQAIAPAAAAASAAAVVGDAQQQQQPIQRQGSLTLPRTLSQKTVDEVWRDIMGLGGSDDEDPAAAAAAAAPAQRQPTLGEMTLEEFLVRAGVVREDMGQTIVLPPQAQALFPGSNVVAPAMQLANGMLPGVVGVAPGAAAAMTVAAPATPVVLNGLGKVEGGDLSSLSPVPYPFDTALRVRKGPTVEKVVERRQRRMIKNRESAARSRARKQAYIMELEAEVAKLKEQKAELQKKQVEMIQKQNDEVMERITQQLGPKAKRFCLRRTLTGPW >ORUFI12G20820.1 pep chromosome:OR_W1943:12:22003451:22003720:1 gene:ORUFI12G20820 transcript:ORUFI12G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAGEEIARLEARGVGVSAGCEAGGGGSTDIRARAWAGDRLSWAATGPKQDTILFVAEISEQDVALRKVYLGSLNYVPQSAKDNGLS >ORUFI12G20830.1 pep chromosome:OR_W1943:12:22004187:22010315:-1 gene:ORUFI12G20830 transcript:ORUFI12G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGGRGERLSSSSPTAAAPQVDAGKYVRYTPEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLNAMNKLLMEENDRLQKQVSRLVYENGYMRTQLHNPSAATTDTSCESVVTSGQHHQQQNPAVLHPQRDANNPAGLLAIAEETLAEFMSKATGTAVEWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDIIHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWTLRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFIRAEVLPSGYLIRPCEGGGSMIYIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEIPYGAGRQPAVFRTFSQRLSRGFNDAVSGFPDDGWSLLSSDGSEDITISVNSSPNKLVGSHVSPNPLFSTVGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAASLRASPYAVPGLRTSGFMGSQVILPLAHTLEHEEFLEVIRLEGHGFSHDEVLLSRDMYLLQGVLQLEDELLKKGGLCSGVDENATSASAQLVFAPIDESFADDAPLLPSGFRVIPLDTKMDGPSATRTLDLASALEVGPGGASRASVEASGTCNRSVLTIAFQFSYENHLRESVAAMARSYVRAVMASVQRVAVAIAPSRLGPQIGMKHPPASPEALTLASWIGRSYRAHTGADIRWSDTEDADSPLALLWKHSDAILCCSLKPAPMFTFANNAGLDILETTLVNLQDISLEMILDDEGRKALCSEFPKIMQQGFTYLPGGVCKSSMGRQASYEQAVAWKVLSDDDAPHCLAFMLVNWTFM >ORUFI12G20830.2 pep chromosome:OR_W1943:12:22004187:22010315:-1 gene:ORUFI12G20830 transcript:ORUFI12G20830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGGRGERLSSSSPTAAAPQVDAGKYVRYTPEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLNAMNKLLMEENDRLQKQVSRLVYENGYMRTQLHNPSAATTDTSCESVVTSGQHHQQQNPAVLHPQRDANNPAGLLAIAEETLAEFMSKATGTAVEWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDIIHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWTLRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFIRAEVLPSGYLIRPCEGGGSMIYIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEIPYGAGRQPAVFRTFSQRLSRGFNDAVSGFPDDGWSLLSSDGSEDITISVNSSPNKLVGSHVSPNPLFSTVGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAASLRASPYAVPGLRTSGFMGSQVILPLAHTLEHEEFLEVIRLEGHGFSHDEVLLSRDMYLLQLCSGVDENATSASAQLVFAPIDESFADDAPLLPSGFRVIPLDTKMDGPSATRTLDLASALEVGPGGASRASVEASGTCNRSVLTIAFQFSYENHLRESVAAMARSYVRAVMASVQRVAVAIAPSRLGPQIGMKHPPASPEALTLASWIGRSYRAHTGADIRWSDTEDADSPLALLWKHSDAILCCSLKPAPMFTFANNAGLDILETTLVNLQDISLEMILDDEGRKALCSEFPKIMQQGFTYLPGGVCKSSMGRQASYEQAVAWKVLSDDDAPHCLAFMLVNWTFM >ORUFI12G20840.1 pep chromosome:OR_W1943:12:22030553:22033138:-1 gene:ORUFI12G20840 transcript:ORUFI12G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVVHDVSGNHGADERQKQQRQGEPEDQQEASVTSTDSHTMVATPSTDYATPYAHHDMAHAMGQIAYANIDPYYGSLYAAYGGQPMMHPPLVGMHPAGLPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESEKKLVKGRKPYLHESRHQHALKRARGAGGRFLNSKSDDKEEHSDSSSRDKQDGVAPRDSGQPSTSPSSKGASSAKQNKKSKTSN >ORUFI12G20850.1 pep chromosome:OR_W1943:12:22037555:22040879:-1 gene:ORUFI12G20850 transcript:ORUFI12G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAGGEVRRRGGCCGGGGGGGGLFPEESFASWGAYGRALMETGPRLVERATARSAAAVEVNEVRGRSGAEMKRNLTWWDLAWFGVGAVIGAGIFVLTGQEARDAAGPAVVLSYAVSGVSAMLSVLCYTEFAIEIPVAGGSFAYLRVELGDFVAFIAAGNILLEYCIGGAAVARAWTSYFATLLNHRPNDFRIHAASLAADYSRLDPIAVAVIAVVCALSETRDPARDIPAGLVGAMAVTTAAYCALAATLCLMQPYREIDPDAPFSVAFSAAGMGWARYVVAFGALKGMTTVLLVSAVGQARYLTHIARAHMAPPCLARVHPRLGTPVNATVAMLAATAAIALFTDLGVLANLLSISTLFIFMLVAVALLVRRYYATGETARGDRNRLAGCLAVIVASSVATAAYWGLGGDGGGWAAYAVAVPAWLAATLFLQLRVPMARTPEKWGVPLVPWLPSASIFINIFLLGSIDGRSFMRFGVWTAALLAYYFFFGLHASYDTAKALAAEVAAGKVEEGGSKPAVGGAAGN >ORUFI12G20860.1 pep chromosome:OR_W1943:12:22043450:22052642:-1 gene:ORUFI12G20860 transcript:ORUFI12G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSRSDSGSGSRGADPCRGRKRGRLLMLEEEEEEEESGMEGCSAPACGDVRGDFVGWCSDRHQVASCSGDQTQSASMFAAMQENACSIDSKGVVCPQSGLGYSAGQNGTHGGGGSMLHQNLEGCMYMNQLGQMCGPYPPEQLSDSVVATRDESAENKKMAPVNKLILPDNLSSEESCWMFEDAEGCRHGPHSLAELSYWHHSSYLHDLSMIYHVDSKFGPFTLVSLIDWWSGGTEHSESSANDSGSLNALMDDVVEDISHQLHAGIMKSARKVFIDEIFSSVLPEMIACKKTEKQMAAKRKSQAAKTDNVSNKNALVLKGKGDGTSTRPKSLNSYNNKVPEDPSVAVQSTAMQYEFADILSAVWETIYNKSMKSIWDEVLYDPVMDYCDAWLKRKNESNLLSTVVPGASDNQKMQDTDEMSPKAICDSDAPESDMDFPPGFGPNQESAEHSHSACVEYVTEKTDGRSGSSITLFSGPLGRVQVMLANELYVAAKEALFQHFEEVISEEITNCLCIGFEDDINQERIRTPVHAPEPSSPPGISVHETPSPAEMPRDEISDMAVDTIPCPADMAASGTSTVPEVTTDKLIIPYVEHQSPSASHASIFEKLDAHEEAELDDSFDEVPPGTEAGLASLVIMEKNKYQPSKSVDSVLDIYRYTSWAFFRQILHESVMKEWASLFSGALSNCFDSWYARKNIVAKTMDDTLRPKEYTYYRKRKLRKNCEASSSEKPMDEQLSRPLRDLVECKVNMKNIHRSSKAGISQRVSVVEKPSKKRAKPSHNDNINLNIQQDLKLLSDKVPKRNRSSHPTSKPPVSSKVPTEDRTTSAMPAKKRKQKNLATESNLKTKAVILSPESHGCEAPTEKRTTAIMPVNKRKKNLSGESKLKAKPLTSPESYVCEAPIDNRTTSTMPAKKRKQKNLSNESNLKKKPLVLFPESYGCARASVSGWEWRDWARNATPSERAQVRGYRVRSILSAPENNVLKSSQVKGSSARTNRVKLRNLLAAAEGTDLLKIMQSKSRKKRLRFQRSKIHEWGLVALESIDAEDFVIEYVGELIRRQVSDIREDQYEKSGIGSSYLFRLDDDYVVDATKRGGLARFINHSCDPNCYTKVITVEGQKKIVIYAKRRKKDSMPLWFPEVPRINELGSKVYKSGGNCNWVLPQYVCVMRACRLYWAEVHCRNVLGFTDRLDGLIRAIQRKANNRAKASH >ORUFI12G20860.2 pep chromosome:OR_W1943:12:22043450:22052642:-1 gene:ORUFI12G20860 transcript:ORUFI12G20860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSRSDSGSGSRGADPCRGRKRGRLLMLEEEEEEEESGMEGCSAPACGDVASCSGDQTQSASMFAAMQENACSIDSKGVVCPQSGLGYSAGQNGTHGGGGSMLHQNLEGCMYMNQLGQMCGPYPPEQLYDGLSTGFLHRDLAIYAVFGGKMANPVSLGSLKQFLSQWSSDSVVATRDESAENKKMAPVNKLILPDNLSSEESCWMFEDAEGCRHGPHSLAELSYWHHSSYLHDLSMIYHVDSKFGPFTLVSLIDWWSGGTEHSESSANDSGSLNALMDDVVEDISHQLHAGIMKSARKVFIDEIFSSVLPEMIACKKTEKQMAAKRKSQAAKTDNVSNKNALVLKGKGDGTSTRPKSLNSYNNKVPEDPSVAVQSTAMQYEFADILSAVWETIYNKSMKSIWDEVLYDPVMDYCDAWLKRKNESNLLSTVVPGASDNQKMQDTDEMSPKAICDSDAPESDMDFPPGFGPNQESAEHSHSACVEYVTEKTDGRSGSSITLFSGPLGRVQVMLANELYVAAKEALFQHFEEVISEEITNCLCIGFEDDINQERIRTPVHAPEPSSPPGISVHETPSPAEMPRDEISDMAVDTIPCPADMAASGTSTVPEVTTDKLIIPYVEHQSPSASHASIFEKLDAHEEAELDDSFDEVPPGTEAGLASLVIMEKNKYQPSKSVDSVLDIYRYTSWAFFRQILHESVMKEWASLFSGALSNCFDSWYARKNIVAKTMDDTLRPKEYTYYRKRKLRKNCEASSSEKPMDEQLSRPLRDLVECKVNMKNIHRSSKAGISQRVSVVEKPSKKRAKPSHNDNINLNIQQDLKLLSDKVPKRNRSSHPTSKPPVSSKVPTEDRTTSAMPAKKRKQKNLATESNLKTKAVILSPESHGCEAPTEKRTTAIMPVNKRKKNLSGESKLKAKPLTSPESYVCEAPIDNRTTSTMPAKKRKQKNLSNESNLKKKPLVLFPESYGCARASVSGWEWRDWARNATPSERAQVRGYRVRSILSAPENNVLKSSQVKGSSARTNRVKLRNLLAAAEGTDLLKIMQSKSRKKRLRFQRSKIHEWGLVALESIDAEDFVIEYVGELIRRQVSDIREDQYEKSGIGSSYLFRLDDDYVVDATKRGGLARFINHSCDPNCYTKVITVEGQKKIVIYAKRRKKDSMPLWFPEVPRINELGSKVYKSGGNCNWVLPQYVCVMRACRLYWAEVHCRNVLGFTDRLDGLIRAIQRKANNRAKASH >ORUFI12G20860.3 pep chromosome:OR_W1943:12:22043450:22052642:-1 gene:ORUFI12G20860 transcript:ORUFI12G20860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSRSDSGSGSRGADPCRGRKRGRLLMLEEEEEEEESGMEGCSAPACGDVASCSGDQTQSASMFAAMQENACSIDSKGVVCPQSGLGYSAGQNGTHGGGGSMLHQNLEGCMYMNQLGQMCGPYPPEQLYDGLSTGFLHRDLAIYAVFGGKMANPVSLGSLKQFLSQWSSDSVVATRDESAENKKMAPVNKLILPDNLSSEESCWMFEDAEGCRHGPHSLAELSYWHHSSYLHDLSMIYHVDSKFGPFTLVSLIDWWSGGTEHSESSANDSGSLNALMDDVVEDISHQLHAGIMKSARKVFIDEIFSSVLPEMIACKKTEKQMAAKRKSQAAKTDNVSNKNALVLKGKGDGTSTRPKSLNSYNNKVPEDPSVAVQSTAMQYEFADILSAVWETIYNKSMKSIWDEVLYDPVMDYCDAWLKRKNESNLLSTVVPGASDNQKMQDTDEMSPKAICDSDAPESDMDFPPGFGPNQESAEHSHSACVEYVTEKTDGRSGSSITLFSGPLGRVQVMLANELYVAAKEALFQHFEEVISEEITNCLCIGFEDDINQERIRTPVHAPEPSSPPGISVHETPSPAEMPRDEISDMAVDTIPCPADMAASGTSTVPEVTTDKLIIPYVEHQSPSASHASIFEKLDAHEEAELDDSFDEVPPGTEAGLASLVIMEKNKYQPSKSVDSVLDIYRYTSWAFFRQILHESVMKEWASLFSGALSNCFDSWYARKNIVAKTMDDTLRPKEYTYYRKRKLRKNCEASSSEKPMDEQLSRPLRDLVECKVNMKNIHRSSKAGISQRVSVVEKPSKKRAKPSHNDNINLNIQQDLKLLSDKKRKQKNLSNESNLKKKPLVLFPESYGCARASVSGWEWRDWARNATPSERAQVRGYRVRSILSAPENNVLKSSQVKGSSARTNRVKLRNLLAAAEGTDLLKIMQSKSRKKRLRFQRSKIHEWGLVALESIDAEDFVIEYVGELIRRQVSDIREDQYEKSGIGSSYLFRLDDDYVVDATKRGGLARFINHSCDPNCYTKVITVEGQKKIVIYAKRRKKDSMPLWFPEVPRINELGSKVYKSGGNCNWVLPQYVCVMRACRLYWAEVHCRNVLGFTDRLDGLIRAIQRKANNRAKASH >ORUFI12G20860.4 pep chromosome:OR_W1943:12:22043450:22052642:-1 gene:ORUFI12G20860 transcript:ORUFI12G20860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSRSDSGSGSRGADPCRGRKRGRLLMLEEEEEEEESGMEGCSAPACGDVASCSGDQTQSASMFAAMQENACSIDSKGVVCPQSGLGYSAGQNGTHGGGGSMLHQNLEGCMYMNQLGQMCGPYPPEQLYDGLSTGFLHRDLAIYAVFGGKMANPVSLGSLKQFLSQWSSDSVVATRDESAENKKMAPVNKLILPDNLSSEESCWMFEDAEGCRHGPHSLAELSYWHHSSYLHDLSMIYHVDSKFGPFTLVSLIDWWSGGTEHSESSANDSGSLNALMDDVVEDISHQLHAGIMKSARKVFIDEIFSSVLPEMIACKKTEKQMAAKRKSQAAKTDNVSNKNALVLKGKGDGTSTRPKSLNSYNNKVPEDPSVAVQSTAMQYEFADILSAVWETIYNKSMKSIWDEVLYDPVMDYCDAWLKRKNESNLLSTVVPGASDNQKMQDTDEMSPKAICDSDAPESDMDFPPGFGPNQESAEHSHSACVEYVTEKTDGRSGSSITLFSGPLGRVQVMLANELYVAAKEALFQHFEEERIRTPVHAPEPSSPPGISVHETPSPAEMPRDEISDMAVDTIPCPADMAASGTSTVPEVTTDKLIIPYVEHQSPSASHASIFEKLDAHEEAELDDSFDEVPPGTEAGLASLVIMEKNKYQPSKSVDSVLDIYRYTSWAFFRQILHESVMKEWASLFSGALSNCFDSWYARKNIVAKTMDDTLRPKEYTYYRKRKLRKNCEASSSEKPMDEQLSRPLRDLVECKVNMKNIHRSSKAGISQRVSVVEKPSKKRAKPSHNDNINLNIQQDLKLLSDKVPKRNRSSHPTSKPPVSSKVPTEDRTTSAMPAKKRKQKNLATESNLKTKAVILSPESHGCEAPTEKRTTAIMPVNKRKKNLSGESKLKAKPLTSPESYVCEAPIDNRTTSTMPAKKRKQKNLSNESNLKKKPLVLFPESYGCARASVSGWEWRDWARNATPSERAQVRGYRVRSILSAPENNVLKSSQVKGSSARTNRVKLRNLLAAAEGTDLLKIMQSKSRKKRLRFQRSKIHEWGLVALESIDAEDFVIEYVGELIRRQVSDIREDQYEKSGIGSSYLFRLDDDYVVDATKRGGLARFINHSCDPNCYTKVITVEGQKKIVIYAKRRKKDSMPLWFPEVPRINELGSKVYKSGGNCNWVLPQYVCVMRACRLYWAEVHCRNVLGFTDRLDGLIRAIQRKANNRAKASH >ORUFI12G20870.1 pep chromosome:OR_W1943:12:22054602:22058996:-1 gene:ORUFI12G20870 transcript:ORUFI12G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMKLSSKPDAFTRRGQAWYCTTGLPSDVLVEVGEMSFHLHKFPLLSKSAILGRLIEENSDSDECVIILSDIPGGAKSFELVARFCYGLKIELSSENVVYLRCAAEHLEMTEETSGDNLINQTESFFNQVVLRSWKDSLEALRTCDGLLPHAEDLHIVKRCIESLAGKASIDPDLFGWPVSEHSTMQSPGGSVLWNGISTGAKVRNCSSDWWYDDASSLSFPTYKRLISSMESRGIKQEIIAGSLTYYAKKFLPGLNRRQSTGPMPLPAATLSDEEQRRLLEEIDRMLPLQRGLISTNVLLWLLRTAMILKVDRACISNLEKRVGMQLDEATLEDLLLPNFSYTMDTLYNVECVHRILDHFLAMDQTMGGGGASPCLDDVMASPSLAPITAVAKLIDGYLAEIAPDINLKPLKFQSLAAVLPEYARPLDDGLYRAIDVYLKSHPTLPEAEREQLCRLIDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSVAGCLLVSDNLEASSRTLRGGGAVAASGEAGCWATAAVRENQALRVGMDSMRLRLMELERECSDMRQDIRKLGRGRRRLRGGGGIVAGGKDGGGWAARVQRMLTPRMMKLQMCSAQHDAAEQQRMNNEHKKVEKVAKNKKQLSMDDGDDEEEA >ORUFI12G20880.1 pep chromosome:OR_W1943:12:22061617:22064153:-1 gene:ORUFI12G20880 transcript:ORUFI12G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALKAGIAKHGAGKWRTILKDPDFSNVLRYRSNVDLKDKWRNMNVTVNASGARDRVKAPVVKKPRSAPKHEGHSTSTAIAAVTSDGDDDVAEPVPLATSTSSKRSLSRLDNIIVEAVRSLNEPTGSYKTAIANYIEEQYWPPADFDHVLSSKLNDLTASGKLIKVNRKYRIAPSSSLSEGRSSKVVLLEDIKKEPTKLDREDVAVLTKSQIDAELARMRTMTAEEAAAAAARAVAEAEAIMAEAEAAVREAEAAEADAVAAQAFAEAAMATFKNRNHSSLKLPVGAGAASVSSDLVVCTLVPRSNAMQLLLQLG >ORUFI12G20880.2 pep chromosome:OR_W1943:12:22061619:22064153:-1 gene:ORUFI12G20880 transcript:ORUFI12G20880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALKAGIAKHGAGKWRTILKDPDFSNVLRYRSNVDLKDKWRNMNVTVNASGARDRVKAPVVKKPRSAPKHEGHSTSTAIAAVTSDGDDDVAEPVPLATSTSSKRSLSRLDNIIVEAVRSLNEPTGSYKTAIANYIEEQYWPPADFDHVLSSKLNDLTASGKLIKVNRKYRIAPSSSLSEGRSSKVVLLEDIKKEPTKLDREDVAVLTKSQIDAELARMRTMTAEEAAAAAARAVAEAEAIMAEAEAAVREAEAAEADAVAAQAFAEAAMATFKNRNHSSLKLPVGAGAASVSSDLVVCTLVPRSNAMQLLLQLG >ORUFI12G20880.3 pep chromosome:OR_W1943:12:22061619:22064153:-1 gene:ORUFI12G20880 transcript:ORUFI12G20880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALKAGIAKHGAGKWRTILKDPDFSNVLRYRSNVDLKDKWRNMNVTVNASGARDRVKAPVVKKPRSAPKHEGHSTSTAIAAVTSDGDDDVAEPVPLATSTSSKRSLSRLDNIIVEAVRSLNEPTGSYKTAIANYIEEQYWPPADFDHVLSSKLNDLTASGKLIKVNRKYRIAPSSSLSEGRSSKVVLLEDIKKEPTKLDREDVAVLTKSQIDAELARMRTMTAEEAAAAAARAVAEAEAIMAEAEAAVREAEAAEADAVAAQAFAEAAMATFKNRSSAKLVIALNHSSLKLPVGAGAASVSSDLVVCTLVPRSNAMQLLLQLG >ORUFI12G20890.1 pep chromosome:OR_W1943:12:22064177:22066621:-1 gene:ORUFI12G20890 transcript:ORUFI12G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRVCGGARLSVAVWVLTVRLGVEASGRGRRKSATRITAWVLSYVEDGGNMRNNRANEEDGGRLAIGVGPAPPPRRRTPAHPAPPPPPPSSQAARPAPPSIVAFPVLPIPPLELRVKRGSKRLLRQAPPLALLPSRRACRRRRHPAASCWTRPWKKKK >ORUFI12G20900.1 pep chromosome:OR_W1943:12:22066706:22069929:1 gene:ORUFI12G20900 transcript:ORUFI12G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLPRQLALSAAAAAAAMAKEESRKEKKAKTKAASSAAAVPDARRAAVVAAVAAFLESSGFPRALAALQSEANLEAGSWRSSPVSLEELVSGFLEDSSNYAPGASINGSIEHEKTAAVVAEDAGKKKKKKGSDTKVSEAENKVAEPSAVEKPSENADVETKEKKQKKKSKKQENDEDVEARLEKAESAIINKFETVDTLKEDSKNGLVDVAPVEKGKKKKKGKSTPETSDKVDTGSTDAGADCAKGKGDAAEMEKDNNEKKSKKKLKKSKENVEVVENKEVAGKDSAPKSNDENNSGMETEKGENGMPPSDNVVVGKKRKLEEVEGSNLPAKEDNTASQKLSNGSSEDDGAKPNKRQKKSSEPKTVNAFQRVKLEDVKFADDRLQDNSYWAKGGADSGYGAKAQEVLGQVRGRGFRHEKTKKKRGTYRGGQIDLQTHSIKFNDSDDE >ORUFI12G20910.1 pep chromosome:OR_W1943:12:22075042:22078587:1 gene:ORUFI12G20910 transcript:ORUFI12G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKVQMGVMSPTKLRMKLLGSHGGSIGRVDEAKKSPRASPARLDADEDDDDHPKNSLLPQELDEDYPKDQSDSSRSRSDASHGRAGNGYDSGGFEFYREERPPPPPAAAVAVVGGTFFRQVPSKWNDAEKWLAGRHVVHSNPIFSKKAAAAAAAVSGRVAPEASASSSPPSVASRQRQQKRLRVSSEAAAVSMRDVGTEMTPMASKEQSRSGTPAGAATPSLSPLCSVPTSPRGAASASSASSERELQIRTRREIAALGLQLGKMNIASWASKDDDDELPRASPEKRPRPRPRPHSGDGGGEAKKREFEARAMAWQETHKCKLALRFQRKEVKIQEWESCQKAKFEAKMRHAEVQAEQMKARAKQKLSRRLSALSHKAEGKQARVEARRSRQAARLARQVHRIRETGAAPSRLRRCCSWLFL >ORUFI12G20920.1 pep chromosome:OR_W1943:12:22079344:22084140:-1 gene:ORUFI12G20920 transcript:ORUFI12G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSPPASADMPQALPENDGEQRCLNSELWHACAGPLVSLPVVRSRVVYFPQGHSEQVAASTNKEVDAQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPEEQKEPFLPMELGAASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVIFIWNDNNQLLLGIRRANRQQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITSISDLDSVRWPNSHWRSVKVGWDESTTGDKQPRVSLWEIEPLTTFPMYPSAFPLRLKRPWASGLPMHGMFNGGGNDDFARYSSLMWLRDGNRGTQSLNFQGHGVSPWLQPRIDSPLLGLKPDTYQQMAAAALEEIRYGDPSKQHPATLQYQQTHNLNSGLNSLFASHVLGQVQFQPQQSPLQVVQQGHCQNTGDSGFLQGQLPRLQLHNTQQLLKEQELQQQQRQHVLQEQSSQEMQQQLPSSDHHVADVASESGSAPQAQSSLLSGSSFYNQNLLEGNSDPPLHLHNNFHNFSNQEASNLLILPRSSQLMASDGWPSKRLTLESAVHPEAPSMHPKIEKVNHQGISHFPGAFPPQSARGCSIVQDCRADAENRLLSSSFELQDGMTSIITDANRETDTMAIPLLRYSGADLTTENTLATSNCLGESGTFNPLNNISVNPSQGATFVKVYKSGSLGRSLDISRFSSYCELRSELERLFGLEGQLEDPVRSGWQLVFVDRENDILLVGDDPWQEFANSVWCIKILSPQEVQQLVRGGDGLLSSPGARMQQSNACDDYSASHNMQNIAGNIASVAPLDY >ORUFI12G20930.1 pep chromosome:OR_W1943:12:22093090:22097425:1 gene:ORUFI12G20930 transcript:ORUFI12G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARSSEVFLGGRGRARRRVAPLLAAVAFVYLLFVSFKLSGLAGIADPAAVTRPASGGAGEVVMPRRLEDPAPRARGDGDGVAVAGYGRITGEILRRRWEAGGRGRRRWGRGGNFSELERMADEAWELGGKAWEEACAFTGDVDSILSRDGGGETKCPASINIGGGDGETVAFLPCGLAVGSAVTVVGTARAARAEYVEALERRGEGNGTVMVAQFAVELRGLRAVEGEEPPRILHLNPRLRGDWSHRPVLEMNTCFRMQWGKAHRCDGNPSKDDDQVDGLIKCEKWDRRDSVDSKETKTGSWLNRFIGRAKKPEMRWPYPFSEGKMFVLTIQAGIEGYHVSVGGRHVASFPHRMGFSLEDATGLAVTGGVDVHSIYATSLPKVHPSFSLQQVLEMSDRWKARPVPEEPIQVFIGIISATNHFAERMAIRKSWMQFPAIQLGNVVARFFVALSHRKEINAALKTEADYFGDVVILPFIDRYELVVLKTVAICEFGVQNVTAEYIMKCDDDTFVRLDVVLKQISVYNRTMPLYMGNLNLLHRPLRHGKWAVTYEEWPEFVYPPYANGPGYVISIDIARDIVSRHANHSLRLFKMEDVSMGMWVEDFNTTAPVQYIHSWRFCQFGCVHNYFTAHYQSPWQMLCLWNKLSSGRAHCCNYR >ORUFI12G20940.1 pep chromosome:OR_W1943:12:22098280:22102659:1 gene:ORUFI12G20940 transcript:ORUFI12G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDKKFGKGPRELTGAVDLISHYKLLAHHDFFCKKPLPLAISDTHYLDNVVGDTEIRKGEGMELDQLVQNAYLRDKPAYIQPFDMETLGQAFQLRETAPVDLPSAEKGIPTISGKPKSESKDKEKKHKKHKDKDRDKDKEHKKHKHRHKDRSKDKDKDKDKDKKKDKSGHHDSGGDHSKKHHEKKRKHEGMEDSADVHKHKKSKVAHTAIMILAGIANFLIE >ORUFI12G20940.2 pep chromosome:OR_W1943:12:22098291:22102659:1 gene:ORUFI12G20940 transcript:ORUFI12G20940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDKKFGKGPRELTGAVDLISHYKLLAHHDFFCKKPLPLAISDTHYLDNVVGDTEIRKGEGMELDQLVQNAYLRDKPAYIQPFDMETLGQAFQLRETAPVDLPSAEKGIPTISGKPKSESKDKEKKHKKHKDKDRDKDKEHKKHKHRHKDRSKDKDKDKDKDKKKDKSGHHDSGGDHSKKHHEKKRKHEGMEDSADVHKHKKSKVAHTAIMILAGIANFLIE >ORUFI12G20950.1 pep chromosome:OR_W1943:12:22102933:22110519:-1 gene:ORUFI12G20950 transcript:ORUFI12G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTARRMNHNKASNDSVATSKVYEHPSSSWRKPARTSRMISSAMAAGADDGDRDELLGYGLMVDAAYLTYDAVTKQQPGGGERYEAVLSGELDKLIATADASRRRRRHVVTAHFFATIEPLQAVLDALPVVGGVDKTYWFGYVAVARRGDCWDVVVAWRGSSTLADWMMDMHVMNLVDFGGGAGTAGHVAEGFYNVYTSKDVKVKHGTVSAKEQAVMEVKRLVDHLRRRSGAAGEKPVKVRVTVTGHSLGGAVAVMTAHDVAAALAADADAEGVRVRAVTFGAPRVGDDAFRRAVAARGVEVFRVIVKQDIVPKLPMGKEYVDASDGDYDIIKLDDGGNWLSPLELIRAHSLNLYLQLITLRNPAITSVLSNSNSDAPPPPPAVREEWVNMKEEEGYMRLPLEKLEEELDKLEGPSPRK >ORUFI12G20960.1 pep chromosome:OR_W1943:12:22110592:22122531:-1 gene:ORUFI12G20960 transcript:ORUFI12G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDKGSLLAGFATFLQLICGGGGGGENYQLPIDHNHPDHKADILMYGDMVEAAYKAFAGDDDEKEVHYYGGGGYLYLASTNLYATIDAVPAPLEAALPVLRGVDKPYWFGYVAAAWRGGYWDVVVPWRGSVNVADWSMNIQFPLVPFKPYTSKDKGIGGGAAAAAAAGEVEKGFHKVYASKDKAGKGQRGELSAQEQVVEEVRRLVGHFRREDPGVGVRVTMAGHSLGGALALMAAHDVAAALADDDVPVRAVTFGAPRVGDGAFRDALIKGRHVDVVSLVVKQDLVPRLPPGHRYVQVTEKVVELVVDDAAVAMSLSASHSLEQYLHLFRRLCDDDGQAFAAPHDGGEVAPEKKNKWPEMEEEADGYKRLPLSRLSVPAYLDMVGGEQSQIQGNGGNGCSGKVVHQRRLVINLEDKNHRADLLRYAVMVDAAYKTFDEVKKHPGESYETVLSSRLATNAGYVVTAHLYATVEPLPLPPWVVDSLPAAIAGLNKPYWFGYIAVGAGKQQGDDCWDDIVVVLRGSATVADFMMDIHVERVAFQGLDGEPAGGEVAEGFHKVYRSNDADKEHGELSVQQQVVEEVKRLARHLRRKQQQPGKLIRVTITGHSLGGALALMAAHDAAVALADEDRHRRSSEPLIGVRAVTFGAPRVGDDAFRGALAARRVQVSRVVVKQDIVPKLPANNVLDGDYNIELDDHDASKSPRELIKAHSLDMYMHLILMTLPNTDYYSTMFKINETSTDQAPEADGEADDKPKWIKMREDNGDGFMRLPDSDLDDALL >ORUFI12G20970.1 pep chromosome:OR_W1943:12:22131112:22132506:1 gene:ORUFI12G20970 transcript:ORUFI12G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYSSDADTTAPNSSLSSAASSTGSLARCSSLSRLSFDCSPSAAVAAAATSCSPPRASVLLRPHRSGDVAWAAIRAASTTSAAPLGPRDFKLVRRIGGGDIGTVYLCRLRSSPERESPCMYAMKVVDRRAVARKQKLGRAAAEKRILRQLDHPFLPTLFADFDATPHFSCAVMEFCPGGDLHSLRHRMPSRRFPLPSARFYAAEVLLAIEYLHMMGIVYRDLKPENVLIRADGHIMLTDFDLSLQSTTSPSLDGDTDTDDEASGGASCFPDHLLRFKRRRNAVAAPRPRFVAEPVDARSCSFVGTHEYVAPEVASGGAHGAAVDWWAYGVFLYELIYGRTPFAGATNEATLRNIVRRPLAFPSGSGSCGPADADARDLIARLLAKDPAARLGSRRGAADVKSHPFFKSLNLALLRSSRPPVVPGAGAGAAPLHRSQSCKAAPTTPPPPTTTKPANATARFDLF >ORUFI12G20980.1 pep chromosome:OR_W1943:12:22150361:22173379:1 gene:ORUFI12G20980 transcript:ORUFI12G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVTTKHPAMQEALVLAMKVIPSIIFLAVAVQDAASSGYSLSLPGCPDKCGNVSIPYPFGVGPSCAATSISSYFNLTCNNTFNPPRPMVGDSEALVEVTDISLEHGEMRVLSPVYYICFTANTTFTKFTEGYELKHTPFLPSPSRNRFTVIGCNTLGLIGGYKGTVSHYVTGYLCQCSKGYEGNPYLLNGCQDVDECALRKQDPKYEDMYPCRKGICHNTPGGYLCKCKLGKRSDGTNYGCRPLRTTAEKVVIVQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIRILTEKDIKKATDNYSEDRVLGIGGHGMVYRGILDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDRRSSIPLDIRLKIATQSAEALAYLHSSTSRAILHGDFKSANILLDDQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHRLTDKSDVYSFGVVLLELMTRKRAIYANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVLECLQMIRRHPMHGASDHKGDSYAHHNYEGSPSMVVHLNETIYESIETSRLVDDLKYEQVGSKIVPQQLASDHHTSNSATMQEASVLLMLIVFHAMAISTTTSEPAISLPGCPGKCGNVSIPYPFGIGAGCSATSLSSYFTITCNDTFQPPRPMVRDLLSETEVIDISLERGEVRVYGPVSYICFSSNTTIPENHTTGFTLEGTPFVPSTTRNRFMAIGCHTLGIIGGYMHSNSNLYVAGCYSYCQSINSTSNGAPCTGMGCCETTIIPDLKDFAAILVMNQSAVWEFNPCFYAMLVEAGWYSFRQQDLVGHLRFVNGRANRGVPVIHDWAIRNGSCPEGKKVPKDYACVSSNSKCVQASNSQGYLCKCSEGYEGNPYLPKGCQDIDECKLRKEDPKYKELYPCRHGMCQNIPGNYLCKCGVGKRPDGTNYGCQTVLNQVERVIAGLSVSAVVLMALICLLVMKLQRRKYRKEKEEYFKQNGGLRLFDEMRSRQVDTILILTEKEIKKATENYSDDRVLGCGGHGMVYRGTLDGDKEVAIKKSKVIDDDCREEFVNEIIILSQINHRNIVRLLGCCLEVDVPMLVYEFVSNGTLSEFLHGNDHRTPIPLDLRLNIATQSAEALAYIHSSTSRTILHGDVKSLNILLDDEYNAKVADFGASTLKSMDRNDFIMFIQGTLGYLDPETFVSHHLTDKSDTYSFGVVLLEIMTRKKALYNDTLNGNEALSHIFPLMFHQKRHCDMLDFDMIDEKVMVVLQKLAELAMHCLNPRGDDRPTMKEVAERLQMLRRLHMQLVSKSSPTRVSCSYEGSSMRIPSDPMKYQSMETAKLCEMRKLDPKYEELYPCRKGVCQNTPGSYICKCKKGKKSDGTGYGCQPADSPDYRMVVGLSVSAIVVTAMACMLIMQLQRRRHKKEKIEYFKQNGGLRLYDEMISRQVDTIRILTEREIKRATENYNEDRVLGSGGHGMVYRGTLDDNKEVAIKKSRVINDDCREEFVNEIIILSQINHRNIVRLLGCCLDVDVPMLVYEFAHNGTLSEFLHGTDHRSPIPLDLRLKIATQAAEALAYLHSSTSRTILHGDVKSANILMDDQYNAKVADFGASTLKSMDESEFILFVQGTMGYLDPESFTSHQLTERSDVYSFGVVLLELLTRKKALYTNDFNKNESLSYRFLSMFRQNKHQAMLDPEIVDGSNVVAIEKLTKVVVQCMSPRGDDRPTMKEVAERLQMLRKLQMQATCDGENDRDVHDNFGGSPSHPAMQEALALAMKLIPSIIFLAVTAQAAASSGYSMALPGCPDKCGNISIPYPFGIGPSCAATSISSYFNLTCNNTFNPPRPMVGDSEALVEVTDISLEHGEMRVLSPVYYICFTANTTFTRFTEGYELKHTPFLPSPSRNRFTVIGCNTLGLIGGYKGTVSHYVTGYLCQCSKGYEGNPYLLNGCQDVDECALRKQDPKYEDIYPCRKGVCHNTPGGYLCKCKLGKRSDGTSVSAIALMALTCVLAMQIQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIRILTEKDIKKATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDHRSPIPLDIRLKIATQSAEALAYLHSSTSRTILHGDFKSANILLDGQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHCLTDKSDVYSFGVVLLELMTRKRAIFANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVAECLQMIRRHPMHAASDHKGDSSAHHNYEGSSSPSMSAHFDETIYKSIEASRLVQDLVR >ORUFI12G20980.2 pep chromosome:OR_W1943:12:22150361:22163428:1 gene:ORUFI12G20980 transcript:ORUFI12G20980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVTTKHPAMQEALVLAMKVIPSIIFLAVAVQDAASSGYSLSLPGCPDKCGNVSIPYPFGVGPSCAATSISSYFNLTCNNTFNPPRPMVGDSEALVEVTDISLEHGEMRVLSPVYYICFTANTTFTKFTEGYELKHTPFLPSPSRNRFTVIGCNTLGLIGGYKGTVSHYVTGYLCQCSKGYEGNPYLLNGCQDVDECALRKQDPKYEDMYPCRKGICHNTPGGYLCKCKLGKRSDGTNYGCRPLRTTAEKVVIVQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIRILTEKDIKKATDNYSEDRVLGIGGHGMVYRGILDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDRRSSIPLDIRLKIATQSAEALAYLHSSTSRAILHGDFKSANILLDDQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHRLTDKSDVYSFGVVLLELMTRKRAIYANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVLECLQMIRRHPMHGASDHKGDSYAHHNYEGSPSMVVHLNETIYESIETSRLVDDLKYEQVGSKIVPQQLASDHHTSNSATMQEASVLLMLIVFHAMAISTTTSEPAISLPGCPGKCGNVSIPYPFGIGAGCSATSLSSYFTITCNDTFQPPRPMVRDLLSETEVIDISLERGEVRVYGPVSYICFSSNTTIPENHTTGFTLEGTPFVPSTTRNRFMAIGCHTLGIIGGYMHSNSNLYVAGCYSYCQSINSTSNGAPCTGMGCCETTIIPDLKDFAAILVMNQSAVWEFNPCFYAMLVEAGWYSFRQQDLVGHLRFVNGRANRGVPVIHDWAIRNGSCPEGKKVPKDYACVSSNSKCVQASNSQGYLCKCSEGYEGNPYLPKGCQDIDECKLRKEDPKYKELYPCRHGMCQNIPGNYLCKCGVGKRPDGTNYGCQTVLNQVERVIAGLSVSAVVLMALICLLVMKLQRRKYRKEKEEYFKQNGGLRLFDEMRSRQVDTILILTEKEIKKATENYSDDRVLGCGGHGMVYRGTLDGDKEVAIKKSKVIDDDCREEFVNEIIILSQINHRNIVRLLGCCLEVDVPMLVYEFVSNGTLSEFLHGNDHRTPIPLDLRLNIATQSAEALAYIHSSTSRTILHGDVKSLNILLDDEYNAKVADFGASTLKSMDRNDFIMFIQGTLGYLDPETFVSHHLTDKSDTYSFGVVLLEIMTRKKALYNDTLNGNEALSHIFPLMFHQKRHCDMLDFDMIDEKVMVVLQKLAELAMHCLNPRGDDRPTMKEVAERLQMLRRLHMQLVSKSSPTRVSCSYEGSSMRIPSDPMKYQSMETAKLIKENHT >ORUFI12G20980.3 pep chromosome:OR_W1943:12:22163545:22173379:1 gene:ORUFI12G20980 transcript:ORUFI12G20980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAQLLVFLIPMALFLKLAMPVDGAMAMPGCPDKCGNVAIPYPFGIGENCSATNLNSYFNLMCNDTFHPPRPQIREPEAHIEVTGISLERGEMRVLSPVNHICFTSNTTSTKSSGVGYDLSRTPFLPSPSRNRFTVIGCNTLGLITGYRGASGQYVTGCYSYCEGINSTSDGAPCAGMGCCEASIPANLTAFAVTFDLNHSKVWTFNPCFYSVVAEVGWYNFKKQDLVGHLGFIKERAQNGVPIVADWAIRNGSCPKKGEKEPSSYACVSANSYCTAVINSPGYLCNCSQGYGGNPYLSDGCQDIDECEMRKLDPKYEELYPCRKGVCQNTPGSYICKCKKGKKSDGTGYGCQPADSPDYRMVVGLSVSAIVVTAMACMLIMQLQRRRHKKEKIEYFKQNGGLRLYDEMISRQVDTIRILTEREIKRATENYNEDRVLGSGGHGMVYRGTLDDNKEVAIKKSRVINDDCREEFVNEIIILSQINHRNIVRLLGCCLDVDVPMLVYEFAHNGTLSEFLHGTDHRSPIPLDLRLKIATQAAEALAYLHSSTSRTILHGDVKSANILMDDQYNAKVADFGASTLKSMDESEFILFVQGTMGYLDPESFTSHQLTERSDVYSFGVVLLELLTRKKALYTNDFNKNESLSYRFLSMFRQNKHQAMLDPEIVDGSNVVAIEKLTKVVVQCMSPRGDDRPTMKEVAERLQMLRKLQMQATCDGENDRDVHDNFGGSPSVILHFDEMTDSSIETWIHPMQEALALAMKLIPSIIFLAVTAQAAASSGYSMALPGCPDKCGNISIPYPFGIGPSCAATSISSYFNLTCNNTFNPPRPMVGDSEALVEVTDISLEHGEMRVLSPVYYICFTANTTFTRFTEGYELKHTPFLPSPSRNRFTVIGCNTLGLIGGYKGTVSHYVTGYLCQCSKGYEGNPYLLNGCQDVDECALRKQDPKYEDIYPCRKGVCHNTPGGYLCKCKLGKRSDGTSVSAIALMALTCVLAMQIQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIRILTEKDIKKATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDHRSPIPLDIRLKIATQSAEALAYLHSSTSRTILHGDFKSANILLDGQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHCLTDKSDVYSFGVVLLELMTRKRAIFANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVAECLQMIRRHPMHAASDHKGDSSAHHNYEGSSSPSMSAHFDETIYKSIEASRLVQDLVR >ORUFI12G20980.4 pep chromosome:OR_W1943:12:22163545:22173379:1 gene:ORUFI12G20980 transcript:ORUFI12G20980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAQLLVFLIPMALFLKLAMPVDGAMAMPGCPDKCGNVAIPYPFGIGENCSATNLNSYFNLMCNDTFHPPRPQIREPEAHIEVTGISLERGEMRVLSPVNHICFTSNTTSTKSSGVGYDLSRTPFLPSPSRNRFTVIGCNTLGLITGYRGASGQYVTGCYSYCEGINSTSDGAPCAGMGCCEASIPANLTAFAVTFDLNHSKVWTFNPCFYSVVAEVGWYNFKKQDLVGHLGFIKERAQNGVPIVADWAIRNGSCPKKGEKEPSSYACVSANSYCTAVINSPGYLCNCSQGYGGNPYLSDGCQDIDECEMRKLDPKYEELYPCRKGVCQNTPGSYICKCKKGKKSDGTGYGCQPADSPDYRMVVGLSVSAIVVTAMACMLIMQLQRRRHKKEKIEYFKQNGGLRLYDEMISRQVDTIRILTEREIKRATENYNEDRVLGSGGHGMVYRGTLDDNKEVAIKKSRVINDDCREEFVNEIIILSQINHRNIVRLLGCCLDVDVPMLVYEFAHNGTLSEFLHGTDHRSPIPLDLRLKIATQAAEALAYLHSSTSRTILHGDVKSANILMDDQYNAKVADFGASTLKSMDESEFILFVQGTMGYLDPESFTSHQLTERSDVYSFGVVLLELLTRKKALYTNDFNKNESLSYRFLSMFRQNKHQAMLDPEIVDGSNVVAIEKLTKVVVQCMSPRGDDRPTMKEVAERLQMLRKLQMQATCDGENDRDVHDNFGGSPSVILHFDEMTDSSIETWIHLTAQAAASSGYSMALPGCPDKCGNISIPYPFGIGPSCAATSISSYFNLTCNNTFNPPRPMVGDSEALVEVTDISLEHGEMRVLSPVYYICFTANTTFTRFTEGYELKHTPFLPSPSRNRFTVIGCNTLGLIGGYKGTVSHYVTGYLCQCSKGYEGNPYLLNGCQDVDECALRKQDPKYEDIYPCRKGVCHNTPGGYLCKCKLGKRSDGTSVSAIALMALTCVLAMQIQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIRILTEKDIKKATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDHRSPIPLDIRLKIATQSAEALAYLHSSTSRTILHGDFKSANILLDGQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHCLTDKSDVYSFGVVLLELMTRKRAIFANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVAECLQMIRRHPMHAASDHKGDSSAHHNYEGSSSPSMSAHFDETIYKSIEASRLVQDLVR >ORUFI12G20980.5 pep chromosome:OR_W1943:12:22163545:22173379:1 gene:ORUFI12G20980 transcript:ORUFI12G20980.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAQLLVFLIPMALFLKLAMPVDGAMAMPGCPDKCGNVAIPYPFGIGENCSATNLNSYFNLMCNDTFHPPRPQIREPEAHIEVTGISLERGEMRVLSPVNHICFTSNTTSTKSSGVGYDLSRTPFLPSPSRNRFTVIGCNTLGLITGYRGASGQYVTGCYSYCEGINSTSDGAPCAGMGCCEASIPANLTAFAVTFDLNHSKVWTFNPCFYSVVAEVGWYNFKKQDLVGHLGFIKERAQNGVPIVADWAIRNGSCPKKGEKEPSSYACVSANSYCTAVINSPGYLCNCSQGYGGNPYLSDGCQDIDECEMRKLDPKYEELYPCRKGVCQNTPGSYICKCKKGKKSDGTGYGCQPADSPDYRMVVGLSVSAIVVTAMACMLIMQLQRRRHKKEKIEYFKQNGGLRLYDEMISRQVDTIRILTEREIKRATENYNEDRVLGSGGHGMVYRGTLDDNKEVAIKKSRVINDDCREEFVNEIIILSQINHRNIVRLLGCCLDVDVPMLVYEFAHNGTLSEFLHGTDHRSPIPLDLRLKIATQAAEALAYLHSSTSRTILHGDVKSANILMDDQYNAKVADFGASTLKSMDESEFILFVQGTMGYLDPESFTSHQLTERSDVYSFGVVLLELLTRKKALYTNDFNKNESLSYRFLSMFRQNKHQAMLDPEIVDGSNVVAIEKLTKVVVQCMSPRGDDRPTMKEVAERLQMLRKLQMQATCDGENDRDVHDNFGGSPSVILHFDEMTDSSIETMALPGCPDKCGNISIPYPFGIGPSCAATSISSYFNLTCNNTFNPPRPMVGDSEALVEVTDISLEHGEMRVLSPVYYICFTANTTFTRFTEGYELKHTPFLPSPSRNRFTVIGCNTLGLIGGYKGTVSHYVTGYLCQCSKGYEGNPYLLNGCQDVDECALRKQDPKYEDIYPCRKGVCHNTPGGYLCKCKLGKRSDGTSVSAIALMALTCVLAMQIQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIRILTEKDIKKATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDHRSPIPLDIRLKIATQSAEALAYLHSSTSRTILHGDFKSANILLDGQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHCLTDKSDVYSFGVVLLELMTRKRAIFANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVAECLQMIRRHPMHAASDHKGDSSAHHNYEGSSSPSMSAHFDETIYKSIEASRLVQDLVR >ORUFI12G20990.1 pep chromosome:OR_W1943:12:22159417:22162694:-1 gene:ORUFI12G20990 transcript:ORUFI12G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRPCVRLIVVGPVDLWAFCFFSAARGSPGAGAPPPAATLLPLAAAALRSPPYWDSLRCCLLPIQIVEIFLRDLPLGNRLARDGDAIGVGSPVAGWSRPTVGWIDGGEEGGIGGSDQASKRSKFLSGTGCELRSSDQISTSLAVSMLWYFIGSDGIRIDDPSYEQETLVGLDFETSCMWSLLNICRRSATSFIVGLSSPLGFRQCMASSASFCSTTITFSSIMSKSNISHLWEDKDSLHILQSICTGIVFGHLLPLWAGAIPDGPVMDDRNTSVGPPIDKPEVPNEVLLPEAVPSGLHKHGVEAWVEFPHRALVHHQDRCEVLQVWDDGGLAAAHPSAGSTVGCAVDALAVGVAASHI >ORUFI12G21000.1 pep chromosome:OR_W1943:12:22176965:22179012:-1 gene:ORUFI12G21000 transcript:ORUFI12G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSAYAPAGGVGTHSAPGRIRPPRGLGFSTTTTKSRPLVLTRRGGGGGNISVARLRCAASSSSAAARPMSQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLYSRKLRVVDVGGGTGFTTLGIVKRVDPENVTLLDQSPHQLEKAREKEALKGVTIMEGDAEDLPFPTDTFDRYVSAGSIEYWPDPQRGIKEAYRVLRLGGVACMIGPVHPTFWLSRFFADMWMLFPKEEEYIEWFKKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKREHGDSPLQLGPKVEDVSKPVNPITFLFRFLMGTICAAYYVLVPIYMWIKDQIVPKGMPI >ORUFI12G21010.1 pep chromosome:OR_W1943:12:22179133:22191287:-1 gene:ORUFI12G21010 transcript:ORUFI12G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPNGHGAATATGEGGTPPPPPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSWFPPSTEHGHILYSFCIGCNARMLVLIGNSPLDGLVLTTMHRTSSLCTYAYLSAISKSGGGQLDLLILDCLSRTLDAVKRICPKRALLIGMTDEMDHHKDNETLEEWSRREGIDVQLARDGSRVYIDLSGIFLSSYDGILLASTPSVVIFGGYESDRKWPEDAGECAGGGAGGGFLMKAVVGSGRQGRGTYLQNDLPNHFRIFSSPPDSVSVSPPLTDSSSSSPRSPAERREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEVTHKYILIDVGKTFREQIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGEGQLDLLILDCLYRTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRREGIDVQLARDGLRVYIDLGSTSSTSNSKPQHKGKGPVTDQWAPLGQVPRAHWTGGREPCVRRKTTRGEAHPTTHH >ORUFI12G21010.2 pep chromosome:OR_W1943:12:22179133:22191287:-1 gene:ORUFI12G21010 transcript:ORUFI12G21010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPNGHGAATATGEGGTPPPPPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSWFPPSTEHGHILYSFCIGCNARMLVLIGNSPLDGLVLTTMHRTSSLCTYAYLSAISKSGGGQLDLLILDCLSRTLDAVKRICPKRALLIGMTDEMDHHKDNETLEEWSRREGIDVQLARDGSRYESDRKWPEDAGECAGGGAGGGFLMKAVVGSGRQGRGTYLQNDLPNHFRIFSSPPDSVSVSPPLTDSSSSSPRSPAERREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEVTHKYILIDVGKTFREQIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGEGQLDLLILDCLYRTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRREGIDVQLARDGLRVYIDLGSTSSTSNSKPQHKGKGPVTDQWAPLGQVPRAHWTGGREPCVRRKTTRGEAHPTTHH >ORUFI12G21010.3 pep chromosome:OR_W1943:12:22179133:22191287:-1 gene:ORUFI12G21010 transcript:ORUFI12G21010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPNGHGAATATGEGGTPPPPPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSWFPPSTEHAISKSGGGQLDLLILDCLSRTLDAVKRICPKRALLIGMTDEMDHHKDNETLEEWSRREGIDVQLARDGSRVYIDLSGIFLSSYDGILLASTPSVVIFGGYESDRKWPEDAGECAGGGAGGGFLMKAVVGSGRQGRGTYLQNDLPNHFRIFSSPPDSVSVSPPLTDSSSSSPRSPAERREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEVTHKYILIDVGKTFREQIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGEGQLDLLILDCLYRTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRREGIDVQLARDGLRVYIDLGSTSSTSNSKPQHKGKGPVTDQWAPLGQVPRAHWTGGREPCVRRKTTRGEAHPTTHH >ORUFI12G21010.4 pep chromosome:OR_W1943:12:22179133:22191287:-1 gene:ORUFI12G21010 transcript:ORUFI12G21010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPNGHGAATATGEGGTPPPPPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSWFPPSTEHAISKSGGGQLDLLILDCLSRTLDAVKRICPKRALLIGMTDEMDHHKDNETLEEWSRREGIDVQLARDGSRYESDRKWPEDAGECAGGGAGGGFLMKAVVGSGRQGRGTYLQNDLPNHFRIFSSPPDSVSVSPPLTDSSSSSPRSPAERREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEVTHKYILIDVGKTFREQIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGEGQLDLLILDCLYRTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRREGIDVQLARDGLRVYIDLGSTSSTSNSKPQHKGKGPVTDQWAPLGQVPRAHWTGGREPCVRRKTTRGEAHPTTHH >ORUFI12G21010.5 pep chromosome:OR_W1943:12:22179133:22191287:-1 gene:ORUFI12G21010 transcript:ORUFI12G21010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPNGHGAATATGEGGTPPPPPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSWFPPSTEHGHILYSFCIGCNARMLVLIGNSPLDGLVLTTMHRTSSLCTYAYLSAISKSGGGQLDLLILDCLSRTLDAVKRICPKRALLIGMTDEMDHHKDNETLEEWSRREGIDVQLARDGSRVYIDLSGIFLSSYDGILLASTPSVVIFGGYESDRKWPEDAGECAGGGAGGGFLMKAVVGSGRQGRGTYLQNDLPNHFRIFSSPPDSVSVSPPLTDSSSSSPRSPAERREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEVTHKYILIDVGKTFREQIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRREGIDVQLARDGLRVYIDLGSTSSTSNSKPQHKGKGPVTDQWAPLGQVPRAHWTGGREPCVRRKTTRGEAHPTTHH >ORUFI12G21010.6 pep chromosome:OR_W1943:12:22179133:22191287:-1 gene:ORUFI12G21010 transcript:ORUFI12G21010.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPNGHGAATATGEGGTPPPPPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPVMTSSLCTYAYLSAISKSGGGQLDLLILDCLSRTLDAVKRICPKRALLIGMTDEMDHHKDNETLEEWSRREGIDVQLARDGSRVYIDLSGIFLSSYDGILLASTPSVVIFGGYESDRKWPEDAGECAGGGAGGGFLMKAVVGSGRQGRGTYLQNDLPNHFRIFSSPPDSVSVSPPLTDSSSSSPRSPAERREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEVTHKYILIDVGKTFREQIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGEGQLDLLILDCLYRTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRREGIDVQLARDGLRVYIDLGSTSSTSNSKPQHKGKGPVTDQWAPLGQVPRAHWTGGREPCVRRKTTRGEAHPTTHH >ORUFI12G21020.1 pep chromosome:OR_W1943:12:22193947:22198556:1 gene:ORUFI12G21020 transcript:ORUFI12G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYSRAGKLRSAMRVLHLMQKDGCAPDISICNMAVNVLVVAGRVDKALEFAERMRRVGVEPDVYTYNCLIKGLCGARRVVDAMEMIGVMLQNGCPPDKISYYTVMSFLCKEKRVEEVRGLLQRMRNDAGLFPDQVTYNVLIHGLAKHGHADEALEFLRESEGKRFRVDEVGYSAIVHSFCLNGRMAEAKEIVGEMISKGCQPDVVTYSTVVDGFCRIGELDQARKMMKHMYKNDCKPNTVTHTALLNGLCKVGKTSEAWELLNKSEEEWWTPSDITYSVVMHGFRREGKLKESCDVVVQMLQKGFFPTTVEINLLIHALCKDRKPAEAKDFMEQCQSKGCTINVVNFTTVIHGFSRQGDLESALSLMDDMYLSNRHPDVVTYTVVVDALGKKGRLKEATGLVEKMLNRGVLPTPVTYRTVIHRYCEKGNLEDLLNLLEKMLARQEMKSAYNQVVEKLCAFGKLNEAYSLLYKILRTASVRDAQTCHILMESFLNRGLGLQAYNVACRMFRRNLIPDVKLCQKVDNQLASEKNKPVPGKLMVKFAERGLLKQVKQDSN >ORUFI12G21030.1 pep chromosome:OR_W1943:12:22199807:22202341:-1 gene:ORUFI12G21030 transcript:ORUFI12G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAEGMDRFDMDGDFEGGRFGRDGEFYYERRRERAPQTRDDALYGVFAEGDSDYDSDDGRRRSRRKRRRDEAEPDLSRPVHFQSAGKFMPSKEPEPELEERPGLGAAASASASASAAGEDDAAEEEEDLDLPTAFGQRIAEGARARREERARERETAARRRQASGVASGKPAPALGSLGSNTKVAKMMAMMGYKDGMGLGKNEQGIVAPVETTLRPKNAGLGSVEGFKEPKPFFTKENLPPPPPPAPAKKEQQRWSKKAGAKKGPVLTKNELLAMRSEQEQDEQPTVVQKVIDMRGPQARVLTDLKGLNVEQEMEANDVPMPELQYNVRLLVDEAKADIVRLDGQLRREQEKVASLVREKEKVAKQEALQKRQLQVMEKIAGVLEQVRVDDTAGILSLEGLLKTFQELKACYVEEFKMCSVAWIACRFAHPLLIRVFQGWQPLQNPLFGLEIMSSWKDLLQGDQAYDFSGDVESMAPYAQLVCEVILPAVRISGTNSWEARDPEPMLRFLESWERLLPPIVLQSILEHVIMPKLSAAVDSWDPRREKVPIHVWVHPWLPMLGQRIDTLCHSIRYKLSSVLHVWQAHDASAYAVLSPWKDVFDAASWEDLIVRYIVPKLKMALQEFQINPANQKLDQFNWVMIWASAIPVHHMVHMLEVDFFSKWQQVLYHWLCSPNPDFNEIMNWYKGWKGLFPPELLANERIRMLLTAGLDMMNRAAEGLEVVQPGARENVGYLRATEKRQFDAAQQASQYMTHHAVPGAAMADMSFKESIQAYAMDQGLLFMPRVGKFYNGMPVYEFGTVSICIDSVKRLLYAQLQEGIERWSAVSLTQLIEMNRKARQR >ORUFI12G21040.1 pep chromosome:OR_W1943:12:22204199:22206313:1 gene:ORUFI12G21040 transcript:ORUFI12G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIAGSYERFIWGFSLKTLTSSSASASSSETLALAPLFSYPAHAGPIRCAAAAARAGLAASGGSDDTVRLYDLPAAADLGPLLDPAAPVSALAIHSLGPVPRNLLAASDDGLLHLYDAGEGFPLLASLRVFPRHREPADALAVHPTGRVALAVGRSGGLAMLNLLRGRRSFSCRLEHPATAIAYAEDGAGGDRFVMAAEEKVTVHDSEDARIIHEIDCGKRVLAFAPAKKGILYTGGEERGITAWDLSSGKVTSRIEDAHSTRVKGIVVFDDKNDGSELCNLIASASSDGIIRIWDARMIAKEKTTPLAEANTKARLTCLAGSSLNSKESRNEADVELNC >ORUFI12G21050.1 pep chromosome:OR_W1943:12:22207085:22211764:-1 gene:ORUFI12G21050 transcript:ORUFI12G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGSGRGGDGPAAHGRIGGDSPVMVSSASVRKTVKMSETCDFIPYVDDDDDGNSEEENSASSGVLPCDGMQHDTPDYIRRGAAAARHRIAPLELFSGPSPPQGPPSPSPAIGGAALEATSNDGVAEPQVHPPEGISSIISTGGGEQETATMGSQSVHETLHIEENEGKCSCCGQLKQEYSLLLREKEECRRVLEDLMRENELKSRECHEAQASLHELRMELMRKSMHVGSLAFAVEGQVKEKSRWCQLLNDLSEKFKALKAEHQILLQESLECKKFVADATQMTTTIQQHVNQYASLECEFKDLKEKFTEETKERKDLYNKLIEVKGNIRVFCRCRPLNGEEIEEGASMAVDFESAKDGELIVRGHVSSKKVFKFDSVFSPEEDQEKVFEKTVPFATSVLDGYNVCIFAYGQTGTGKTFTMEGIEDARGVNYRTLEELFRITKERQGLFQYEITVSVLEVYNEQIHDLLLTGTQPGATAKRLEVRQVAEGVHHVPGLVEARVTNMNEAWEVLQTGSKARVVGSTNANEHSSRSHCMHCVMVKGENLMNGEQTKSKLWLIDLAGSERVAKTDAQGERLKEAQNINKSLSALGDVISALATKSQHIPFRNSKLTHLLQDSLSGDSKTLMFVQISPNENDVGETLCSLNFASRVRGIELGQARKQVDVGELSRYKLMAGRAKQDSKNKDAQIKSMEETIQSLEAKNKAKDLLTMNLQEKIKELEAQLLVERKIARQHVDNKIAQDHLHQQQQSKKPENSPCPTRSPMAERNLNSTAEKPVTLLKDLGIARQMFSDSNTDTYSINHLMSMSSEKENNPAGGAQPTKARRVSLCGGAHQQPAAPPRRGSLIPLPRRNSLMLPLPLPKPATPAAAASPLDMITEQCSSPLVIAPNDIRGGGGGGGRNKRIINSILRRSLQKKVIIRPPLMAAHQSGRRAGAGVAGTTTHGGGGGGVMRARRVPVSGGRGGGGVQHNREKERGWNNGTSLRQLN >ORUFI12G21060.1 pep chromosome:OR_W1943:12:22213891:22214301:-1 gene:ORUFI12G21060 transcript:ORUFI12G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYAPFSSPPLRGAVAAAGRRSRPASRSSSIGGWSGSGSGSASAEYISLRDVLVEGGGSGSGGGGGGGGGGGGGXAARGGGGGGGDGGARGRGRGRVLLRACSWQGCVDDPAELCASFLARSARRIAAFLAGIWA >ORUFI12G21070.1 pep chromosome:OR_W1943:12:22215162:22216656:-1 gene:ORUFI12G21070 transcript:ORUFI12G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRSKCSSVGRTLMGGLGNNLFGAVNSSVETVSRPSHCDPIFQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDTIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKRGRNDGSEIQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >ORUFI12G21080.1 pep chromosome:OR_W1943:12:22222705:22231229:1 gene:ORUFI12G21080 transcript:ORUFI12G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQASATNSGNRPAATTSSKRRWAVGVEPRIGCRVRWRHISEHLSKGTGRQDRWCSGADCQATSRSGLPPLGHQGIRRRAAVASRGRAKLEEATREDLPLVVTMDELSQLQLQLESALSKACERLGGSAGVPSAGWPQDKLATYNGHLLLLKSSPCMVLCAGHIHEENGDELMKDSDEAEADFA >ORUFI12G21090.1 pep chromosome:OR_W1943:12:22231249:22231976:1 gene:ORUFI12G21090 transcript:ORUFI12G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVGGKERKRPRGALVGVGGGGASAATAAAWRTSRVARAAAGGKDRHSKVVTSRGLRDRRVRLSVPTAIAFYDIQDRLGVDQPSKAIDKPLQQQQQATLAYYAAAQSAHMAAPMSFEVMAMPPHLAFSQEQQQHATVAAFDRGTLQSNASLWPPPPQPPPSQHPFLLQRFAAAPAEVAGLPFFLAGGVGGAAAAAPAATTNGGERRLQLWDFKEERKT >ORUFI12G21100.1 pep chromosome:OR_W1943:12:22248261:22254925:1 gene:ORUFI12G21100 transcript:ORUFI12G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGSCPAAMKATSQGAFQKENPLDFALPLIILQICLVVVVTRGLAYLLRPLRQPRVIAEIIGGILLGPSALGRSSKFLHNVFPPKSMTVLDTLANLGLLFFLFLVGLELDISAIRRTGKKALAIALAGISAPFALGIGTSFAFRATIVKGAPQAPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAVALSGSGSPIISLWVLLTAAGFVAAIFLFLRPALAWMARRSPEGEPVKELYICATLAIVLAAGFVTDTIGIHALFGAFLVGIAVPKDGPFAGVLIEKVEDLISGLFLPLYFVSSGLKTNVATIRGAKSWGLLVLVIVNACLGKIGGTVITSLLVKIPVREAVTLGFLMNTKGLVELIVLNIGKDRKVLNDEAFAIMVLMALFTTFITTPIVMAIYKPARPTAPYKRRTVDGGEADGELRVLACFHTNRNIPTLLNLVESSRGTGRGRLVMYAMHLVELSERSSAITLVQRARRNGMPFFNSGDKAEQMVVAFEAFQQLSSVRVRPMTAISDLDTIHRDVIDSATAKRAAIVVMPYHKMLQHDGTFQSLGSAYHAINKRVLREAPCSVAVLVDRGLGGHAQVSAKNVAFSVAALFFGGPDDREALAYATRMAEHPGVAVTLERFRPSRAQPDEEDAADEAAVEAFKSKVGMVKDGSVRFDERPAQSKAEVMEAINSLSMFSVFVVGRMPPTAPLVEKPDELGPVGSYLASPEFRTSASVLVVKRYDPAANPASKRYDPKARPPAATEEDALDELTGAAVVPVAHSPMNHDIV >ORUFI12G21110.1 pep chromosome:OR_W1943:12:22255673:22260846:1 gene:ORUFI12G21110 transcript:ORUFI12G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHPLLRLLLLRPPPPPPPPPPNSPPFATTRRASSASAAAAAALLLLAASPRPRPARADPGGGGGEDIDEARVVRLFQEASPSVVFIKDLVVGRTPGRGGGQAVEAEDGEEGGATVEGTGSGFVWDTAGHIVTNYHVVAKLAGDGSAFHRCKVLLEDSSGNSYLKEGRLVGCDPSYDLAVLKVDVDGDKLRPALIGTSKGLRVGQSCFAIGNPYGYEHTLTTGVVSGLGREIPSPNGRPIRGAIQTDAAINSGNSGGPLIDSYGHVIGVNTATFTRKGTGISSGVNFAIPIDTVVQSVPNLIVYGTSVSNRF >ORUFI12G21120.1 pep chromosome:OR_W1943:12:22270620:22271423:-1 gene:ORUFI12G21120 transcript:ORUFI12G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLNMQAEAAGGDGGDAEKKSGNNKQMMVAAKVSISILVMSLPVLYVSFLRIPPATLFRDTTFWFLMSNSIIIVIAADSGMLFFAGRPASSSGELQAMVVTDVSLSHALVVAPPRGGQEDDDGVVVAGEPAEEESTMMLVPYYGGEVVQAAARPTRLTASSEAERTTTMARRRRRSRSHSSHHALMMTPPVVQEKSIVVVREEKLRRTATERPPEPEEEMTTTSSSEYSRLSDEELNRRVEEFIARFNMEIRLQLEKEQEQAAAA >ORUFI12G21130.1 pep chromosome:OR_W1943:12:22275902:22279163:1 gene:ORUFI12G21130 transcript:ORUFI12G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAASLQYALHGAATASAKPRSAAPGRSVRVAAARRSVRARGGAVVARAAASADATAESKSGGHEVLLFEALREALIEEMKEDPTVCVFGEDVGHYGGSYKVTKGLAEMFGDLRVLDTPIAENSFAGMGVGAAMKGLRPIVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYICCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAATLEDATVVQPAQIVAAVEQICQ >ORUFI12G21140.1 pep chromosome:OR_W1943:12:22279305:22280367:1 gene:ORUFI12G21140 transcript:ORUFI12G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICPESRCVAAAYPIHGHEAMITIHRPTGRCREQLPSVGDDGHQWGSSSSWRQEAVEDRAKISCRCRDMPAQLMLLMAMASNGHEGFTHEEEGQRKKTINLTLHIPMDPTCHSLPFLLPPLLSNPLSCRRRLLRATRPKADGVTRRGEVGGGDADGINGKGSNRRRRCYCSCQWGQRRRCCGGGGEGIEPPCRRSLPPSPHYEKKPSALGGQIKEEEPLQGKGGEGRAAVGIVCAAVGG >ORUFI12G21150.1 pep chromosome:OR_W1943:12:22280449:22286009:1 gene:ORUFI12G21150 transcript:ORUFI12G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTVAIAHGWRRRWSRLDRGRRQWGVCKRREAAPAPLSFVSSSMLGQQRASRCKRGDGRRDVGGAHANIKSEGVN >ORUFI12G21160.1 pep chromosome:OR_W1943:12:22287065:22297494:-1 gene:ORUFI12G21160 transcript:ORUFI12G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALGLQPTLHAHATSPPLRLLLLPRRRRPIPRATSTTASAAAVDAGMDLRRPTTRSSSGGVEPRYRQVGFVTTTAEPAAAAASSSSSPRGSDVYPGSLSPVMIPPPRIPDHLTALSPAPVSLLPSSPPPPSSSRLDAAESDLDDDDDDDDVDVSWARPPPPALLESKEGLPDTQNEGAPAPAPVQQKQKLSKAERRAIQEAQRAAKASAKEAGLSRKFVATVSTSNAAMSKQLKTVKTPLKKDLPQLTPPVASEKKTSEHPPDRDRKKDVPPPRMQFDNVHRVEKTKKRSLVNQAEAQNRVELFRHLPQYVHGTQLPDLESKFFHLDLMHPSVYKVGLQFLSGVISGGNACCVAMLLAFREAIKDYSTPSTKTLNRDLTAKISSYVSFLIECKPLSISMGNAIRFLKNRIAKLSLTLSESEAKASLQSDIDRFINEKIIIADHVIVSHAIAKVRDDDVLLTYASSSVVEMIFDHANELGKKFRVVVVDSRPNNEGQALLHRLVAKGISCTYTHINAISYIMHEVTRVFLGASSILSNGAVYSSVGTAAVAMVAHAFGVPVLVCCEAYKLHERVQLDSICSNELGDPDVISRVPEREDLCHLKNCTYNENLQLLNLKYDTMPSDYVSMIITEYGMLAQTCPNIVVAAYIYADQDLFTATVPGKAPVDQLSENLGNYHCQFKVILVSMSAKVLGYKDCHSSVPGCYDSLLPHLITHPLIIHFSSLS >ORUFI12G21160.2 pep chromosome:OR_W1943:12:22286313:22297494:-1 gene:ORUFI12G21160 transcript:ORUFI12G21160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIALGLQPTLHAHATSPPLRLLLLPRRRRPIPRATSTTASAAAVDAGMDLRRPTTRSSSGGVEPRYRQVGFVTTTAEPAAAAASSSSSPRGSDVYPGSLSPVMIPPPRIPDHLTALSPAPVSLLPSSPPPPSSSRLDAAESDLDDDDDDDDVDVSWARPPPPALLESKEGLPDTQNEGAPAPAPVQQKQKLSKAERRAIQEAQRAAKASAKEAGLSRKFVATVSTSNAAMSKQLKTVKTPLKKDLPQLTPPVASEKKTSEHPPDRDRKKDVPPPRMQFDNVHRVEKTKKRSLVNQAEAQNRVELFRHLPQYVHGTQLPDLESKFFHLDLMHPSVYKVGLQFLSGVISGGNACCVAMLLAFREAIKDYSTPSTKTLNRDLTAKISSYVSFLIECKPLSISMGNAIRFLKNRIAKLSLTLSESEAKASLQSDIDRFINEKIIIADHVIVSHAIAKVRDDDVLLTYASSSVVEMIFDHANELGKKFRVVVVDSRPNNEGQALLHRLVAKGISCTYTHINAISYIMHEVTRVFLGASSILSNGAVYSSVGTAAVAMVAHAFGVPVLVCCEAYKLHERVQLDSICSNELGDPDVISRVPEREDLCHLKNCTYNENLQLLNLKYDTMPSDYVSMIITEYGMLAQTCPNIVVAAYIYADQDLFTATVPGKAPVDQLSENLGNYHCQFKVILVSMSAKVLGYKDCHSSVPGCYDRGGGGGTSGGDNNRGSRGGGNGGGGGGVRLFPCLFCNKKFLKSQALGGHQNAHKKERSVGWNAHLYLPAGVATTTTTTAMAVPDMVGMPTHQMSSMALHSCRPHQGSHVTAVDIATLAAPPHYTVDHGVAGIASGVGDSSVGWRQRQREAGGEKQRQVDLNLKL >ORUFI12G21160.3 pep chromosome:OR_W1943:12:22290541:22297494:-1 gene:ORUFI12G21160 transcript:ORUFI12G21160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIALGLQPTLHAHATSPPLRLLLLPRRRRPIPRATSTTASAAAVDAGMDLRRPTTRSSSGGVEPRYRQVGFVTTTAEPAAAAASSSSSPRGSDVYPGSLSPVMIPPPRIPDHLTALSPAPVSLLPSSPPPPSSSRLDAAESDLDDDDDDDDVDVSWARPPPPALLESKEGLPDTQNEGAPAPAPVQQKQKLSKAERRAIQEAQRAAKASAKEAGLSRKFVATVSTSNAAMSKQLKTVKTPLKKDLPQLTPPVASEKKTSEHPPDRDRKKDVPPPRMQFDNVHRVEKTKKRSLVNQAEAQNRVELFRHLPQYVHGTQLPDLESKFFHLDLMHPSVYKVGLQFLSGVISGGNACCVAMLLAFREAIKDYSTPSTKTLNRDLTAKISSYVSFLIECKPLSISMGNAIRFLKNRIAKLSLTLSESEAKASLQSDIDRFINEKIIIADHVIVSHAIAKVRDDDVLLTYASSSVVEMIFDHANELGKKFRVVVVDSRPNNEGQALLHRLVAKGISCTYTHINAISYIMHEVTRVFLGASSILSNGAVYSSVGTAAVAMVAHAFGVPVLVCCEAYKLHERVQLDSICSNELGDPDVISRVPEREDLCHLKNCTYNENLQLLNLKYDTMPSDYVSMIITEYGMLAQTCPNIVVAAYIYADQDLFTATVPGKAPVDQLSENLGNYHCQFKVILVSMSAKVLGYKDCHSSVPGCYDRYE >ORUFI12G21170.1 pep chromosome:OR_W1943:12:22338885:22340726:1 gene:ORUFI12G21170 transcript:ORUFI12G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTFTPNSPASSCSIHHRASPSRGARNSVRFTRPRAAAAATNSVLSAPSSVPPAYVPPPPPPPTKMFPEAGDAAAAKAAARRCGKKKDGLNFFQRAAAVALDAFEEGFITNVLERPHALPRTADPAVQIAGNFAPVGEQPPVRSLPVSGRIPPFINGVYARNGANPHFEPTAGHHLFDGDGMVHAVRIRNGAAESYACRFTETARLGQERALGRAVFPKAIGELHGHSGIARLALFYARGLCGLVDPSHGTGVANAGLVYFNGRLLAMSEDDLPYQVRVTADGDLETVGRYDFDGQLGCAMIAHPKLDPVSGELFALSYDVIKKPYLKYFYFDADGTKSPDVEIELEQPTMIHDFAITENFVVVPDHQVVFKLGEMFRGGSPVVLDREKTSRFGVLPKHATSSLEMVWVDVPDCFCFHLWNAWEEAESGEVVVVGSCMTPADSIFNESDEHLESVLTEIRLNTRTGESTRRAVLPPAAQVNLEVGMVNRAMLGRKTRYAYLAVAEPWPKVSGFAKVDLATGELTKFEYGEGRFGGEPCFVPMGGAGAAASPARGEDDGYILSFVRDEAAGTSELLVVNAADMRLEATVQLPSRVPYGFHGTFINAGELATQA >ORUFI12G21180.1 pep chromosome:OR_W1943:12:22368409:22369012:1 gene:ORUFI12G21180 transcript:ORUFI12G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRNASLLGLVLQLALLLAAAAAAGGRAQRQAAPPPATTITHQEMNDNGAAAAVAATAMSVQGEAQQQQVMVGSRPPDCTGSCGPSCVGRCEAVLVRPVHPPKPPPAPTDAGAGAAAPPVKEVGDVGGGGGDDYKPLRWECKCRALPPSTATSSKSTLN >ORUFI12G21190.1 pep chromosome:OR_W1943:12:22370761:22376111:-1 gene:ORUFI12G21190 transcript:ORUFI12G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAPRHHHHHVVVGSSRSAPVSVSVCVRRWCGGASSSALLRVGMIGGASASSAEGRGRRRWWRRGRRALRVRAAAGMDIASAVEVINDLGFDTLTFLGVTVLVVPAFRVVKASPILGFFCAGVVLNQFGLIRNLTDVKLLSEWGILFLLFEMGLELSLSRLKALARYAFGMGLPQVLLSTLAFTAFELPPNGAIGTKILQFLFDSRPDLVNIRSVDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNVVEQSVWPMLLAESLKALGGLGLLSLGGKYLIRRIFEFVAESRSSEAFVALCLLTVSGTSLLTQWLGFSDTLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMELLIREWPNVLSLLGGLIAIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNEIGRRAAGIIDEKSETKEKPAEMVNYDATEPIVILGFGEMGKVLAKFLSAPLSFGLDKDAEGWPYVAFDLNPAVVKSARKSGFPVLYGDGSRPLVLQSAGVSSPKAVMVMYTGKEKTIEAVNRLRQAFPGVPMYARAQDMSHLLDLKKAGATEVVLENAETSLQLGSMLLRGLGVMSDDVSFFSKLVRDSMELQAQEALNNIENREIDIMKPLEIRISDLVERNGNGSRMIAQEDSLRLSSRPNIPLIEATLEDRIPETTGENDQTGYDFNNIDSEDGVKYCLLEASDDESEASNSSKEMIDQSV >ORUFI12G21200.1 pep chromosome:OR_W1943:12:22377653:22385544:-1 gene:ORUFI12G21200 transcript:ORUFI12G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSRMTTESDSDSDAAAQGGGGGGFGSETSSASPSAPGTPTAMGAGGGAAPIAAAAIAAAASAAVVAGPRPAPGYTVVNAAMEKKEDGPGCRCGHTLTAVPAVGEEGAPGYVGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVSSNKWSRLTPVGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDASVELTRRCRHAAAAVGDMIYVYGGLRGGVLLDDLLVAEDLAAAETTNAANQAAAIAAASDIQAGREPGRYAYNDEQTGQPATITSPDGAVVLGTPVAAPVNGDMYTDISPENAVIQGQRRMSKGVDYLVEASAAEAEAISATLAAVKARQVNGEAEHSPDREQSPDATPSVKQNASLIKPDYALSNNSTPPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVIYGTPESATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPLNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHLEDTWMQELNANRPPTPTRGRPQAANNDRGSLAWI >ORUFI12G21210.1 pep chromosome:OR_W1943:12:22389971:22392301:1 gene:ORUFI12G21210 transcript:ORUFI12G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAARLLLLLPLLLLRISLAAASPNAPLAVALVEVRSAAAFDRARPPTPCTAPSSPGRITTAPSRGRLAGSAAGTGSAATAGSGGDAEAANATRTTSDAATGSDKAARNNPTTTTTTTAATTTTTTGGDDAAAPAPPPSPGKAFSTTSDTTSASATGTAASASVGAGSVAHESSSRCATFAEQRAPTTNKPTSRAPSAAVRDDGYATPATAPVGAVHDAGDATPAAAPVGTAMNTAQRGANTSLGVIREAPTMMLIFAAALLTLVVIRGGRRMGAGGQNQRGDEEEDQTKCLVCKFEDPVFRLACCNKVLCNLCLRDFVRTMHGECTRGLSAGCILSLRPPESLPVGVDLNRATPYQHVPEFPPWYFSSAVKKNSRVHLFYYNVKELEEGREPTVTEVIHVLYPPPAPMSPPIVTVSATKRPFWEL >ORUFI12G21220.1 pep chromosome:OR_W1943:12:22393411:22397151:-1 gene:ORUFI12G21220 transcript:ORUFI12G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPESSAAPARHIPDELVEDIFARMPARSVLRCRCLSRAWAAALSTDAFVDHHLLLANRRGGPKLCIPPRSASADTINAWSPEAETTTPLMAVPHGTRNGRIIPYGRPCRGLLLLHAIFARLYFVCNPSAGEVAALPDGRMAGDPRPGEDYASVGLGYDARTRTHKAVRLLYHHGHPAACHVYDIAAATSTGHWRPAATGAKPPDLVHMNKLAVYAQGHLHWITTKSVGDADAIMSFSMAAEVFGRVPPPPGTTDMKGFMITELAGCLCVYPAYLSSERSLDIWLLTDYSTATWELRCRIDPTSATSPETNDFFLVNREVTPLVLTDDHRRVLLLSEEHEVAEYDAASGTLRRHAGPPELRRRHGDGTPQLVPYEESLVSAGRPYEDILFSPPAARAVALVLRRLPARELGRLKLVCRSWRAMIETDRFAASHNAHARETAMASFAAGCHVSLGSYYYYSLVFVPLESCSNRKPPLMSTRTVVRNACHGLVLVTDVNGERNIVHNPVTGAGRNFSFLTPRRCPPKIPEVDDGRGCAGLGYDASREEHVLVRLAYAGGEDCAAVQVWRLRDIGPYKLTESRPPIPPDVGVPPVHVAGKMHWMGEQRRLGILVFDVSTMAFDTMPAPPALPDAGGAVLATLAGKLCVAHSCRETETMSIWAKSAGDEGEWETLHVIDLARWPAFSPRAAELVVPMAVDGRDGRVLLDAGKALGYYDARSTTLETTLRSPLSGRTAREDVRESASMRCRGRGAAGDNNKSMGEEQRQRPSSAMPVAPDLEEETGIPRCSPEMAVADDDDDKSMGRSGGGASGVGSRGGDGDPRDAARRLVALRSGSSSGGTVRAGRGRGGAAAAVTLAGGEKARPTWYGGVGGEEDVVRWRRQDEDGVREEDEKSDGSGMLCDKEVIVRHPSGYTFNLCIPTKKSRKKVEKRTGKIDQLVIFHFSKLNSSYIDRNKNNEPQHIFNCLICYFCFYVCRLILNLHVVECIIIYLNNLFYKKNMTF >ORUFI12G21230.1 pep chromosome:OR_W1943:12:22399352:22399852:1 gene:ORUFI12G21230 transcript:ORUFI12G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMPESSSAPARHIPDELVEDIFASMPARSVLRCRCLSLAWAAALSSDAFVGHHLCLSNLRRHGPKLCIPPSSLTILLEYSLNVETGYE >ORUFI12G21240.1 pep chromosome:OR_W1943:12:22403587:22406927:-1 gene:ORUFI12G21240 transcript:ORUFI12G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKKEKGEGLGRALIRQRNKAAAAVKERGDALAHARRRAQPLESVIEVSDIDAVLERAAEADRLHSALADSVSSSDLVIDLDATGETDEERRRMQKEQEALHAGSLRVPRRPPWNSRMTVEELDENERRAFLVWRRNLARLEENDKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEVYAKEIDEHKRTMILVNKADLLPMNIRKKWADYFKAHDILYVFWSAKAATATLEGKILSGYSEQDSASLDLDTKIYGRDELLKKLQTEAEFIVAQRRAAAIKEDSRATSSDSVSSVAKHVVVGFVGYPNVGKSSTINALVGEKKTGVTHTPGKTKHFQTLIISEELTLCDCPGLVFPSFSSSRHEMVSCGVLPIDRMTKHREAIQVVADRVPRSVLEQIYKITLPKPKPYESQSRPPTAAELLRAYCASRGHVSHAGLPDETRAARQILKDYIDGKIPHFELPPGMVDTENEHEETSGLEGPTTSAYNESGGSDSDERDDTVDPAQPDMRHVLSDLESFDLATEGSKPAGKKKKEASHKQHKKPQRKKDRSWRVGNDGGDGTAVLRVYQKPAVNLSAVSASDKT >ORUFI12G21250.1 pep chromosome:OR_W1943:12:22408294:22414646:-1 gene:ORUFI12G21250 transcript:ORUFI12G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGGAAGDAEEEVDARIRWVPWWLLWNGGIAWMATKGIDANCLATSTIESRRVGSAFHEWDSFSCAGAVFSNGKWRQASALFHGDLLLISLEDITAGFMGHDGFQMVASAMSQDSVDGPQVSGCSATSLGQPKSKRRTGDKARGEKKALKVKINLASPAKKIKKSSKKKGKKGTVAGRIGRKCTLSRDSKGRFLPRESKGGDIGGNATESEVDYDRFMNFQAPDFATILSILKGWKGMKQCNKIRRLKDPDFVPLMNVMSNTGYVTEDDGHYDVLKVLMHADGWSA >ORUFI12G21250.2 pep chromosome:OR_W1943:12:22408292:22414646:-1 gene:ORUFI12G21250 transcript:ORUFI12G21250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGGAAGDAEEEVDARIRWVPWWLLWNGGIAWMATKGIDANCLATSTIESRRVGSAFHEWDSFSCAGAVFSNGKWRQASALFHGDLLLISLEDITAGFMGHDGFQMVASAMSQDSVDGPQVSGCSATSLGQPKSKRRTGDKARGEKKALKVKINLASPAKKIKKSSKKKGKKGTVAGRIGRKCTLSRDSKGRFLPRESKGGDIGGNATESEVDYDRFMNFQAPDFATILSILKGWKGMKQCNKIRRLKDPDFVPLMNVMSNTGYVTEDDGHYDVLKVLMHADGWSA >ORUFI12G21260.1 pep chromosome:OR_W1943:12:22413912:22414298:1 gene:ORUFI12G21260 transcript:ORUFI12G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGESALSQEILKDAFLPKGSNGEDTRESTTESEIDYDRFMNSQAPDFATILSILEGRKGMKQCNRSRRLKDPDSIPHAMNNTGRDRGRQLLRRAQIFYHLQNLLDYLPFIKLPFLRCSITKPNYI >ORUFI12G21270.1 pep chromosome:OR_W1943:12:22416464:22419435:-1 gene:ORUFI12G21270 transcript:ORUFI12G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFNKSQEGFGQVAAVATLASNGGGSLPWLLYGEPLGQGKPAMSPEGVVPRAQTPLDPPQVPAMDRGVPEILNFSMVPGKGEKCSEHSTTIALQSPFAEYNGCFELGLGQSVVPSNYPYADQHYGLLSPYGVRPTPSGRILIPPNMPADAPIYVNAKQCSAIIRRRHARAKAERENRLVKARKPYLHESRHLHAMRRARGSGGRFLNTKKETNGKTTGGGRKVMDIIIPPLCPAASPSSEQCNPSSVSSLSGSEVSSIYEHEDMDHFHSFDHLRTHFFTPLPSLMDVEHGAGNPFKWTAASDGCCDLLKA >ORUFI12G21280.1 pep chromosome:OR_W1943:12:22433026:22434083:-1 gene:ORUFI12G21280 transcript:ORUFI12G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHAASPYVLSLLLLLSIPAVFLLAPRLLPPKTLPSIPDADETDDLALFRRAVLLSAAPDSSSASAGAASLFGRRPQPKVAFLFLTNSDLVFSPLWEKYFAGNHHLLNLYIHADPSAAVDLPATASFRGHRQQWRLASNEPTLHDRYYARGDDVMLPEVPYDSFRVGSQFFVLVRRHAVMVVRDRRLWNKFKLPCLTKRKDSCYPEEHYFPTLLDMQDPQGCTKFTLTRVNWTDSVDGHPHTYRPDEVSGELIRELRKSNGTHSYMFARKFAPDCLKPLMEIADSVILRD >ORUFI12G21290.1 pep chromosome:OR_W1943:12:22438523:22442260:-1 gene:ORUFI12G21290 transcript:ORUFI12G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAKWIKSLVGIKKHEKAQTSESSGVRSSTAQLLHKRKHSIDTESAAAVEELSVQTEPLACDTNIQAISNITSSPGTTLQVSQIELDTRENHAAIVIQSAFRAFLARRALRALKGLVRLQALVRGHAVRKQAAETLQCMQTLVRAQARVRARRVRISLESQVTQKKASEQNVHEDHVWEIEERWCDGIGSAEQMQAKVLKRQEAAAKRERAMAYALTHQWQAGSRKQKAATLQGLEVDENQWSQNWLERWMAARPWENRLLDTNAKESAPTGDDNHADENEAKAPNKPKGKVSISTTHPNGSNKKNGANHKKSHSDISGSSSGQSAGVLPTNSLGSSKLKPKPSDEISEEVNSQPSNLASRSTSNPKERPTQVNASSKKRLSLPNNGTMGGGVGKGATNGRTNQSMSSKNAAKGSSKLESKQQQRPNPPNTTVKRVEVKA >ORUFI12G21300.1 pep chromosome:OR_W1943:12:22446822:22448802:1 gene:ORUFI12G21300 transcript:ORUFI12G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGCNSDNSRYYDLLGVPRGADGDEIRRAYRRAAVTHHPDKGGDEEAFKEVARAYQVLGDPALREVYDVYGEDGVNGGVGAAAAGFGRYDDAFDEFVETFRYLVAAGGADRAFGDAVEMLRHLVAGVAAGGGADDGGKAFDEVIVGMFKNMMSGGDSSVEFVDLSLEEFYNGATKKTGSTLASPATCAACSGAGYKVVSQLMRLRRRGSEPCAACGGRGEVSRGLKRCSACRGSKVATDTKVLELAVEKGVPDGHRITFPGEADVKENGVAGDLVMGLRQKKHGKRRPRLRARAALAEALCGFQFVITHLDGRRLLVTSGAGEVIRPGQLKAIDGEGMPVHGMPFAKGTLYVAFRVAFPGTMTPALRDAVAAAFPAATKAAAVEDGGGCEETTTTTRDVGGEEEMKLNAKGEQSPTTRMEHGAGGEDEYVHVHGHVDEEEEDNEEM >ORUFI12G21310.1 pep chromosome:OR_W1943:12:22450638:22451930:1 gene:ORUFI12G21310 transcript:ORUFI12G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERESAIAEEKKVVVEEEEEEVGDLKPFFYDEAAAAAAEKRRLQEEAVAAGKAERGRRRAAHRAVLDRISERDPEMGETYYTRYHDQDLSEFNVDKVSPLPPMRFTAKAYRLSEASLYILNMVNVLAIRIDDGDVPFPIAVYGSVIARDDLDHNAEEDKQISKIVWRMNGVFLETIFLSQNLLTVVRPITIDPCRNLYPLQLMYAFVSNAVEATVSVKVLQGHFYGKITALPQHGQRLHFAS >ORUFI12G21320.1 pep chromosome:OR_W1943:12:22463638:22466427:1 gene:ORUFI12G21320 transcript:ORUFI12G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNRPKPNDGPPASEHTRARRGIENLRNPGLRFCETLACFPPFLLQFGGGMATSELDSAIAREEEEKVLVPEASLYILNMVNVLAIRIDDGDVPFPIVVYGSVIARDDLDRKCIPLFARSRDDPQLIASKGHFYGKITACTSMVKDNILLHDSSLMPGGGVMAADHGNDQFVQLLRPVTAVYLHETLIVTILAQVDGTKYNRRTMYFKPAVNGEGEAQITCGVNSLLVKGHFYGRITACTSTVNDSILLHDSGLVPVGGGVMAADRGNDQFACVRRLRPVMAVCLQETMMVTVLAQVDGTEYNGQTMHFKPVVNGEGEARITCGVNSLLVKVWYS >ORUFI12G21320.2 pep chromosome:OR_W1943:12:22463638:22465463:1 gene:ORUFI12G21320 transcript:ORUFI12G21320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNRPKPNDGPPASEHTRARRGIENLRNPGLRFCETLACFPPFLLQFGGGMATSELDSAIAREEEEKVLVPEASLYILNMVNVLAIRIDDGDVPFPIVVYGSVIARDDLDRKCIPLFARSRDDPQLIASKGHFYGKITACTSMVKDNILLHDSSLMPGGGVMAADHGNDQFVQLLRPVTAVYLHETLIVTILAQVDGTKYNRRTMYFKPAVNGEGEAQITCGVNSLLVKGHFYGRITACTSTVNDSILLHDSGLVPVGGGVMAADRGNDQFACVRRLRPVMAVCLQETMMVTVLAQVDGTEYNGQTMHFKPVVNGEGEARITCGVNSLLVKVCWSLMD >ORUFI12G21330.1 pep chromosome:OR_W1943:12:22477875:22478717:-1 gene:ORUFI12G21330 transcript:ORUFI12G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCPSIQISLLDSIMHIQISLLDSIMHIQISLLDSIMQKRIQVPVQLLGREGRGGAVWARTLTGKRGSSTASWWRFANRLTTAVLL >ORUFI12G21340.1 pep chromosome:OR_W1943:12:22478274:22484018:1 gene:ORUFI12G21340 transcript:ORUFI12G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSEMHGQCLFIIVFLIHSVHVLPGCIAQSSDEQTLLAFKAAISGDPNGVLDTWVTTKGSMNATDSICRWRGVSCRSRQHPGRVTALELMSSNLMGVISPSLSNLSFLHTLNLSGNRLTGGIPLELGQLPRIRVISLGGNSLIGNIPVSLTNCARLTHLELPRNGLHGEIPANFSNCRELRVFNISANSLSGGIPASFGSLSKLEFLGLHRSNLIGGIPPSLGNMSSLLAFDASENSNLGGSIPDTLGRLTKLNFLRLAFAGLGGAIPFSLYNISSLTVLDLGNNDLSGMLPPDFGITLPRIQFLNLYNCRLQGSIPPSIGNATKLRRIQLQSNGLQGIVPPDIGRLKDLDKLNLQFNQLEDKWDKDWPLMAALGNCSRLFALSLSSNKFEGDLPASLVNLTIGIEKIFMNENRISGAIPSEIGKFRNLDVLALADNALTGTIPDTIGGLSSMTGLDVSGNNISGEIPPMLVANLSKLAFLDLSENDMEGSIPLSFERMSSIAILDLSYNQFSGMLPKQVLSLSSLTLFLNLSHNTFSGPIPSEVGRLSSLGVLDLSNNRLSGEIPQALAGCQSMEYLFLQGNQFGGRIPQSLVSLKGLQHLDMSQNNLSGPIPDFLATFQYLRYLNLSYNQLDGPVPTTGVFNATKDFFVGGNRVCGGVSELQLPKCPDRAGKGSHRSRTVLIVSVSVGSFVALVLIAGALFVCVLKPMKQVMQSNETSPRPLLMEQHWKLSYAELHRATDGFSAANLIGVGSFGSVYKGVVGSEEEEVAIKVLNLLQHGAERSFLAECEALRSVRHRNLVKIITACSTVDHYGNDFKALVYEFMPNRDLDKWLHPTIDDDDESFSRVLTMSERLRIALDVAEALDYLHRHGQVPIVHCDLKPSNVLLDNDMVAHVGDFGLSRFVLGTNNNSIQYSSISAGIKGTVGYIPPEYGMGGEISVEGDVYSYGILLLEMFTAKRPTDDLFQGSRSIRSYVATAYPDRAMEIVDQAMLQLKEKDMFEKKTEGCIMSVLRVALQCTEDSPRARMLTGYVIRELISVRNTYEDTVDYP >ORUFI12G21340.2 pep chromosome:OR_W1943:12:22479333:22484018:1 gene:ORUFI12G21340 transcript:ORUFI12G21340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSEMHGQCLFIIVFLIHSVHVLPGCIAQSSDEQTLLAFKAAISGDPNGVLDTWVTTKGSMNATDSICRWRGVSCRSRQHPGRVTALELMSSNLMGVISPSLSNLSFLHTLNLSGNRLTGGIPLELGQLPRIRVISLGGNSLIGNIPVSLTNCARLTHLELPRNGLHGEIPANFSNCRELRVFNISANSLSGGIPASFGSLSKLEFLGLHRSNLIGGIPPSLGNMSSLLAFDASENSNLGGSIPDTLGRLTKLNFLRLAFAGLGGAIPFSLYNISSLTVLDLGNNDLSGMLPPDFGITLPRIQFLNLYNCRLQGSIPPSIGNATKLRRIQLQSNGLQGIVPPDIGRLKDLDKLNLQFNQLEDKWDKDWPLMAALGNCSRLFALSLSSNKFEGDLPASLVNLTIGIEKIFMNENRISGAIPSEIGKFRNLDVLALADNALTGTIPDTIGGLSSMTGLDVSGNNISGEIPPMLVANLSKLAFLDLSENDMEGSIPLSFERMSSIAILDLSYNQFSGMLPKQVLSLSSLTLFLNLSHNTFSGPIPSEVGRLSSLGVLDLSNNRLSGEIPQALAGCQSMEYLFLQGNQFGGRIPQSLVSLKGLQHLDMSQNNLSGPIPDFLATFQYLRYLNLSYNQLDGPVPTTGVFNATKDFFVGGNRVCGGVSELQLPKCPDRAGKGSHRSRTVLIVSVSVGSFVALVLIAGALFVCVLKPMKQVMQSNETSPRPLLMEQHWKLSYAELHRATDGFSAANLIGVGSFGSVYKGVVGSEEEEVAIKVLNLLQHGAERSFLAECEALRSVRHRNLVKIITACSTVDHYGNDFKALVYEFMPNRDLDKWLHPTIDDDDESFSRVLTMSERLRIALDVAEALDYLHRHGQVPIVHCDLKPSNVLLDNDMVAHVGDFGLSRFVLGTNNNSIQYSSISAGIKGTVGYIPPEYGMGGEISVEGDVYSYGILLLEMFTAKRPTDDLFQGSRSIRSYVATAYPDRAMEIVDQAMLQLKEKDMFEKKTEGCIMSVLRVALQCTEDSPRARMLTGYVIRELISVRNTYEDTVDYP >ORUFI12G21350.1 pep chromosome:OR_W1943:12:22484613:22489044:1 gene:ORUFI12G21350 transcript:ORUFI12G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVAISAVMLACSNRRRRRRRRSPSQRSIDDVELGRAAGLDEAVLAEYPTTVYSCSSSSAAVPEEAAAAAVDAGDGTGCAVCLAEYEDGDELRRLPGCGHAFHRRCVDEWLRRRPTCPVCRSSPPARGAAAAAAAAGARSLVAGEMEVMTIVFMVVSVGAIVAMAVMLHMCARSGVPAAAAVASTRRRRETAGGGAAAGGGGVVVMVEAAAGGLDEAAIKALPKVVYGTAAAAESSCAVCLGEYGGGDELRVLSWCAHSFHRHCVDPWLRLNPTCPVCRTSLADQPTQS >ORUFI12G21360.1 pep chromosome:OR_W1943:12:22489845:22493860:-1 gene:ORUFI12G21360 transcript:ORUFI12G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGDEQQQQAAAAQTAEVTEAAAKEVVSVEMPAPEGWTKKFTPQRGGRFEIVFVSPTGEEIKNKRQLSQYLKAHPGGPASSEFDWGTGDTPRRSARISEKVKAFDSPEGEKIPKRSRNSSGRKGKQEKKEATENEEAKDAEADKEAPSEDAPKETDVETKPAEEAKEAPSEDAPKDTDVEMKTAEDASKTADADTPAPAPAGTEKEDAKPAESEAAPPAPSEGGEKKEDAKPAEPEAAAAPPSNPTEPSAPKAAAAAPVENSADKGPHQDSQPPSAAAPAKESSPVNNGQLPAGASAVKCT >ORUFI12G21370.1 pep chromosome:OR_W1943:12:22494112:22495906:1 gene:ORUFI12G21370 transcript:ORUFI12G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRSHRLPRGSGPHVTVGLRVGGWLTLLTLTLLEGNTLISGQVVTRKLGFLMSLLNCPTSYNSIYGYAKADAASHSVPVLILCLEFQT >ORUFI12G21380.1 pep chromosome:OR_W1943:12:22497610:22501644:-1 gene:ORUFI12G21380 transcript:ORUFI12G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMWPWPPPARKFRVRLVVRRAEGLTATATATASSSPVAEARVAAEVRWKGPKASPLGSLRRVMHSNRTRLESAAEAAVAWEEEFERVETFTATSHRKSGAAFHPWDLAFSVFVNDSNKGPKGELILGTASLNLAEYTSASEEVEIILPLSVPNGSSESSPSLHLTLSLVELGPPHQSPDASQRSAVTAPLSPSSGDSVPSSKDEVSSVIKAGLRNLKILTDLVSTRRSKKTNRDDDGSEDKCYVHSDGAEYPSDTDSLDEDLDDRERDDGLGGSTVRKSFSYGSLQSVNYAGGLLYAHARIDGEHEDWIYYSHRKSEAGYSVEQEASSTAEEPVVSVSRRSLLPWKKKRKLNLRLLKVLKNKGEPLLKKGNDEEGGDDIDYDRRLLTTSDGNALEGSDSSINSMVSIFGDDNFVVGNWESKEVLSRDGHLRLSTQVFFASIDQRSERAAGESACTALVAVIADWFEANQDLMPIRSQFDSLIREGSLEWRKLCENETYRERFPDKHFDLETVLHAKIRPLTVSPNRSFIGFFQPESTEDGSGFDFLDGAMSFDNIWDEISRAAECSTEKPTLYIVSWNDHFFVLKVEAGAYYIIDTLGERLYEGCSQAYILKFDDNTTIHKVPAEKKEANPDSSGRLKDSSDSSSTDQDSGTDTEECELVSKGKESCKEYIKSFLAAIPIRELQADIKKGIIASTPLHHRLQIEFHYTESCPEEIPLPAPLPAIEAPFEFSWPEPPPAMEVTLAPAVAAI >ORUFI12G21390.1 pep chromosome:OR_W1943:12:22515234:22518091:1 gene:ORUFI12G21390 transcript:ORUFI12G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACAALVYCSFVIIVQSTISDQGVIFGEYVSEFSCSNGTFLQPPLCASVWRLALFAGCFNDTTIYPKGLKPQN >ORUFI12G21400.1 pep chromosome:OR_W1943:12:22518519:22525552:1 gene:ORUFI12G21400 transcript:ORUFI12G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPDDDPPAAASEAPSTSSAAADEDDRVFLVLHRWWREAQEGGGIEAAGVPYAAAPSGPTSYGIGMKVLSMFISDQAFTLRRADDLLQPDASASNAASSRTYALVAADLFSKARAWHIDSGKNAGKKSLSIEEGSVNIYPIMLRVSVTRDTNALTVKISKKDNSAENFKRANKILTSDSEPVHIWDFSGRTTFILMNEWNRMPQDTRSSDQEMPLEIHFYDLSEPTANGTNGKKDELALTMSRSMSNGSIMGMDLDSSGSSKQVGTGLIGLDNLGNTCFMNSAVQCLAHTSKLGELAYSFGDLLRKLWALDRTPVAPRQFKGKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYSEAKDSDGRPDEEVADEYWGNHIARNDSIIVDICQRKGSVGQIKFPSLAGVVVALLFLLPKWTHGQYKSTLVCPDCRKVSVTFDPFMYLSLPLPSTTMRTMTITVFNTDGTTGPSPYTVSVPKSGDTKTLIDALSIACSLKGDERLLVAEVYNSALIRYLEEPSEVISLIRDGDRLVAYKLPKDSEDAPIVVFRNQRMESTLTSFGRKSWKSFGTPLVSSLPDTINGSTIFELYQKVMTPFRVPKDDSSGADHIIGKSSPVEETTDVDMNSDATESTSINNNDCDDETMTEDGMQFYFINERFPDQRMKIEMDQPIRLTASQKRLHVVVCWQDNGLEQYNFSSLDNLPEIYKAVLFSRRPQETCSLYACLEAFIKEEPLGPEDMWYCPGCKEHRQASKKLDLWRLPEILIIHLKRFSYSRYTKNKLETCVDFPVHDLDLSKYIGSRGQQISNHYRLYAISNHYGSMGGGHYTAYVYHEGKKGWYDFDDRHVGPISEESIKTSAAYVLFYRRIQGDDNRLDDTETGIDSSDCTT >ORUFI12G21410.1 pep chromosome:OR_W1943:12:22540960:22550630:1 gene:ORUFI12G21410 transcript:ORUFI12G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQIAPAEQVCYVHCNFCNTILAVSVPGNSMLNIVTVRCGHCTNLLSVNLRGLMHSAPALQDHHHHHLQESGLSGCFRDQSGYPEFGFSAASSSSKLRLPPAAAAMVSYSQQNQQLEQALHARPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHYPNIHFGLSPGHEGGKKLVDVDPIPTAPSSKKIQGFYS >ORUFI12G21420.1 pep chromosome:OR_W1943:12:22553633:22553881:-1 gene:ORUFI12G21420 transcript:ORUFI12G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPRTRPPPPPPPEAAPAFQGHWFCAPASLLRMGASDPPRGHWIRALAPLVPEAAISARKWRKEEERKVEEEKKSEMERK >ORUFI12G21430.1 pep chromosome:OR_W1943:12:22569076:22570062:-1 gene:ORUFI12G21430 transcript:ORUFI12G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPIAMPARVHHHHHHHRRALAASPAALAAAGNGLSATRRVRRSPAVEMRRERERRRAREQQPRCGEVAGGTAAECAAVFCCFPFAVVELVVLAAVRAPAALCRRAVRGGRRRRVRSTKPKETGAMDIASPRSLAAAAAKARKVDADFPATPKAEHLVDMEKEVWASFYGGGFWGSPPQREDRXRRR >ORUFI12G21440.1 pep chromosome:OR_W1943:12:22570404:22576562:1 gene:ORUFI12G21440 transcript:ORUFI12G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKDSGGGGGGLARRLMLDASGREMDSPRFRAILRATSGRRKRAPDVKSFSHELNIRGPLLHPLQQRKPRGCVNSPEEFIGAIRTKFIRLKEEVDAELGVFAGDLVGALDKADGDEERVALEDLLVVAQRCAEMSPEELWVKCECIVQGLDDRRQELTAGVLKQAHTRVLFILTRCTRLLQFRKEGGGYVAADDKQHVLSLHQLSDLGLYPFKVAGGSSELGRKSTSSLTELKERLIRRRMLEHKQLTLDFSAGRVISSDTGGDSPGSGGKISSWKKLPSPAEKTLHKVTEPTTEEKTTPTKKKAITRNKVAVDEIVERIDAASIHPDGLSYLGDSAVKLEVPSGFPEAQQIIVDGKPRMICRICDFEIPMVCAEGHFIVCTLADRCDSKGLNTDQRLQRVAEVLGRVLGCFESKSPQTAECNHNETARGSTSSLTESDGSMDHDILSHLLTVPSTELFSEGALTPASGSMPQSPLLTPRTSHAESQLTKHKAFVELENFQQVESLMTIARGIERIKNSEYNSLEDLSSYLEDLNAVIDTRKVDALVVETFGRRIAKLLQEKFIQLCGQIEDSNTDSLGSIDEDGPMESSVSSRTSQMNGKFKDRTSIEDFEIIKPISRGAFGRVFLAKKRVTGDLFAIKVLKKADMIRKNAVESILAERDILISARNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARTYMAELVLALEYLHSMNVIHRDLKPDNLLISRDGHIKLTDFGLSKVGLINSTDDLSGPDVSNVLVGDHQPADAEQRAQKREQRQKQAAVGTPDYLAPEILLGMTHGPTADWWSVGVILFELLVGIPPFNAEHPQIIFDNIMNREIPWPQVPEELSFEAYDLIDKLLIENPVQRLGATGAGEVKAHPFFKDINWDMIARQQAAFIPSTDDEYDTSYFACRHTWDDQVNVASNDYDDRSETSSMSCGSSPHSCDYEEDADEFGSMEEFGAPSLSVKYSFSNFSFKNISQLASMNYDLITKHNEDPLQACKSSY >ORUFI12G21440.2 pep chromosome:OR_W1943:12:22570404:22576172:1 gene:ORUFI12G21440 transcript:ORUFI12G21440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKDSGGGGGGLARRLMLDASGREMDSPRFRAILRATSGRRKRAPDVKSFSHELNIRGPLLHPLQQRKPRGCVNSPEEFIGAIRTKFIRLKEEVDAELGVFAGDLVGALDKADGDEERVALEDLLVVAQRCAEMSPEELWVKCECIVQGLDDRRQELTAGVLKQAHTRVLFILTRCTRLLQFRKEGGGYVAADDKQHVLSLHQLSDLGLYPFKVAGGSSELGRKSTSSLTELKERLIRRRMLEHKQLTLDFSAGRVISSDTGGDSPGSGGKISSWKKLPSPAEKTLHKVTEPTTEEKTTPTKKKAITRNKVAVDEIVERIDAASIHPDGLSYLGDSAVKLEVPSGFPEAQQIIVDGKPRMICRICDFEIPMVCAEGHFIVCTLADRCDSKGLNTDQRLQRVAEVLGRVLGCFESKSPQTAECNHNETARGSTSSLTESDGSMDHDILSHLLTVPSTELFSEGALTPASGSMPQSPLLTPRTSHAESQLTKHKAFVELENFQQVESLMTIARGIERIKNSEYNSLEDLSSYLEDLNAVIDTRKVDALVVETFGRRIAKLLQEKFIQLCGQIEDSNTDSLGSIDEDGPMESSVSSRTSQMNGKFKDRTSIEDFEIIKPISRGAFGRVFLAKKRVTGDLFAIKVLKKADMIRKNAVESILAERDILISARNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARTYMAELVLALEYLHSMNVIHRDLKPDNLLISRDGHIKLTDFGLSKVGLINSTDDLSGPDVSNVLVGDHQPADAEQRAQKREQRQKQAAVGTPDYLAPEILLGMTHGPTADWWSVGVILFELLVGIPPFNAEHPQIIFDNIMNREIPWPQVPEELSFEAYDLIDKLLIENPVQRLGATGAGEVKAHPFFKDINWDMIARQQAAFIPSTDDEYDTSYFACRHTWDDQVNVASNDYDDRSETSSMSCGSSPHSCDYEEDADEFGSMEEFGAPSLSVKYSFSNFSFKNISQLASMNYDLITKHNEDPLQLQEGASSTVNSHSFATMVWSMTN >ORUFI12G21450.1 pep chromosome:OR_W1943:12:22577089:22577600:-1 gene:ORUFI12G21450 transcript:ORUFI12G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQQQQQPSPTLVGGRRCMDRGGGSGGSMGLIALALASTGIIIISYSLHRRFLADLKLSIARAQQQQEQQEQEKPQRPRERTRRVRFAADVVEPSSDGDEYRRRYVAGRPSPAMGNSSSSSPPARPFARPRRVMQSHPAS >ORUFI12G21460.1 pep chromosome:OR_W1943:12:22586894:22595129:1 gene:ORUFI12G21460 transcript:ORUFI12G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAVQSSLNGDLLIGRAAGVPRMKRKTPSELRGEQLKRRASDKPANDQSLHSAAFDRASNGFRNPEQSKISKYISTRVTEVFPVKKSRNLGKENFKNNEKAPKSADAVTTSDFASPSLPCGYGDSAKLDSAVPSHTEAAKPSFRKVEKCSENALRSVSELHIGDEQQSGTNKFDMEKVLKGFGARDAFVASKLTDPNIQVGVVPSKSLDLCPSEIAVPGKRAPLDLTLKTTLQFVSSSSVKCHKISTSFGRSSIVGPIAQSYHHGCQNSGCSRPERNKEFLFSKALQSWVYPQSLLPASIISAMVSSTVRGESDFLLKRHQDWEDSFQNLYYMLRKNLLNIFYVYTPQFVALFIGGNCLDKKQTCNAYLSQSTRGIRSLLRRHGVCFSMPLCNTEVEQVTEDDLIELSEIQKRNLGQALHIDAMSEVDNTTQSLLSFVGNKSVHGLYDFLLNYKSFLNSLSATDIPVLYSPVPFQNGCLHIPEVKCKEMRKADIGLVSGGFDAGEPGSTFASLTGNICYSMEIKDPVLPPWVVSGICAAMSSDANSFDLMMATEPSSMGLNAALSCVSSNSQSKAHSSEGCESLGIPEATLVPSLRSASSLRRLSYKDGERTPKNAIYEHMSLFLQLSMESNSSPASHSSKLFPF >ORUFI12G21460.2 pep chromosome:OR_W1943:12:22586894:22595129:1 gene:ORUFI12G21460 transcript:ORUFI12G21460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAVQSSLNGDLLIGRAAGVPRMKRKTPSELRGEQLKRRASDKPANDQSLHSAAFDRASNGFRNPEQSKISKYISTRVTEVFPVKKSRNLGKENFKNNEKAPKSADAVTTSDFASPSLPCGYGDSAKLDSAVPSHTEAAKPSFRKVEKCSENALRSVSELHIGDEQQSGTNKFDMEKVLKGFGARDAFVASKLTDPNIQVGVVPSKSLDLCPSEIAVPGKRAPLDLTLKTTLQFVSSSSVKCHKISTSFGRSSIVGPIAQSYHHGCQNSGCSRPERNKEFLFSKALQSWVYPQSLLPASIISAMVSSTVRGESDFLLKRHQDWEDSFQNLYYMLRKNLLNIFYVYTPQFVALFIGGNCLDKKQTCNAYLSQSTRGIRSLLRRHGVCFSMPLCNTEVEQVTEDDLIELSEIQKRNLGQALHIDAMSEVDNTTQSLLSFVGNKSVHGLYDFLLNYKSFLNSLSATDIPVLYSPVPFQNGCLHIPEVKCKEMRKADIGLVSGGFDAGEPGSTFASLTGNICYSMEIKDPVLPPWVVSGICAAMSSDANSFDLMMATEPSSMGLNAALSCVSSNSQSKAHSSEGCESLGIPEATLVPSLRSASSLRRLSYKDGEYIAYTTAYSKKFPF >ORUFI12G21460.3 pep chromosome:OR_W1943:12:22586845:22592691:1 gene:ORUFI12G21460 transcript:ORUFI12G21460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAVQSSLNGDLLIGRAAGVPRMKRKTPSELRGEQLKRRASDKPANDQSLHSAAFDRASNGFRNPEQSKISKYISTRVTEVFPVKKSRNLGKENFKDALQNNEKAPKSADAVTTSDFASPSLPCGYGDSAKLDSAVPSHTEAAKPSFRKVEKCSENALRSVSELHIGDEQQSGTNKFDMEKVLKGFGARDAFVASKLTDPNIQVGVVPSKSLDLCPSEIAVPGKRAPLDLTLKTTLQFVSSSSVKWCHKISTSFGRSSIVGPIAQSYHHGCQNSGCSRPERNKEFLFSKALQSWVYPQSLLPASIISAMVSSTVRGESDFLLKRHQDWEDSFQNLYYMLRKNLLNIFYVYTPQFVALFIGGNCLDKKQTCNAYLSQSTRGIRSLLRRHGVCFSMPLCNTEVEQVTEDDLIELSEIQKRNLGQALHIDAMSEVDNTTQSLLSFVGNKSVHGLYDFLLNYKSFLNSLSATDIPVLYSPVPFQNGCLHIPEVKCKEMRKADIGLVSGGFDAGEPGSTFASLTGNICYSMEIKDPVLPPWVVSGICAAMSSDANSFDLMMATEPSSMGLNAALSCVSSNSQSKAHSSEGCESLGIPEATLVPSLRSASSLRRLSYKDGEYIAYTTV >ORUFI12G21460.4 pep chromosome:OR_W1943:12:22586845:22592691:1 gene:ORUFI12G21460 transcript:ORUFI12G21460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAVQSSLNGDLLIGRAAGVPRMKRKTPSELRGEQLKRRASDKPANDQSLHSAAFDRASNGFRNPEQSKISKYISTRVTEVFPVKKSRNLGKENFKNNEKAPKSADAVTTSDFASPSLPCGYGDSAKLDSAVPSHTEAAKPSFRKVEKCSENALRSVSELHIGDEQQSGTNKFDMEKVLKGFGARDAFVASKLTDPNIQVGVVPSKSLDLCPSEIAVPGKRAPLDLTLKTTLQFVSSSSVKWCHKISTSFGRSSIVGPIAQSYHHGCQNSGCSRPERNKEFLFSKALQSWVYPQSLLPASIISAMVSSTVRGESDFLLKRHQDWEDSFQNLYYMLRKNLLNIFYVYTPQFVALFIGGNCLDKKQTCNAYLSQSTRGIRSLLRRHGVCFSMPLCNTEVEQVTEDDLIELSEIQKRNLGQALHIDAMSEVDNTTQSLLSFVGNKSVHGLYDFLLNYKSFLNSLSATDIPVLYSPVPFQNGCLHIPEVKCKEMRKADIGLVSGGFDAGEPGSTFASLTGNICYSMEIKDPVLPPWVVSGICAAMSSDANSFDLMMATEPSSMGLNAALSCVSSNSQSKAHSSEGCESLGIPEATLVPSLRSASSLRRLSYKDGEYIAYTTV >ORUFI12G21470.1 pep chromosome:OR_W1943:12:22602918:22610116:1 gene:ORUFI12G21470 transcript:ORUFI12G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGCVIGVQRGRTVEVVDTSDILLDTDPGTLDRDLLKKKLETYKKAFPGLAILGWYSIDTHVTNTDMETNQALMDASGTTFYLLFNPAMNLSLKDLPVTIYEKEAERISLDHTCPVVSDVSVPPLVGKGQHANIMQTSGFKLMLFEIPSGFAMFEVSQELIARPKDIWARFAYQDDITNVIVTLGSIQIHNKSVARDIIVGPGDELKEFILSFCTHNYLIVQDVELKDVIEKKLNIYCYCNPTVVGELTWGLNYVLHKLLPQEQSLTHEPYLPLSKAMSKQIKEYGFKISPREIDREFLRAMSFLNYLGYMSERYSLLLDHLFGQYRKNNMSDIEFAKSIASHLHSSEGIVPRDERYTRAEIMEFIDFIIAAPENRSRTLSFLRRIEAASIDDSPPPPSLPGGMGVSLLKRLRLDWITVCCLGCAVALYPFYRRV >ORUFI12G21470.2 pep chromosome:OR_W1943:12:22605517:22610116:1 gene:ORUFI12G21470 transcript:ORUFI12G21470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSCISFGNIHNVLFEVSSQTKTSPKTQGYPKFPIAAAPDPAMPLRPPPPPQGFLTAYPSAAFPPVKRPHEDDLGAGDVLTFRFHSLAVLKLFDRIREYRLHDYRYQATRGWYSSGTAPEDALLPCAVARPPRMYGCVIGVQRGRTVEVVDTSDILLDTDPGTLDRDLLKKKLETYKKAFPGLAILGWYSIDTHVTNTDMETNQALMDASGTTFYLLFNPAMNLSLKDLPVTIYEKEAERISLDHTCPVVSDVSVPPLVGKGQHANIMQTSGFKLMLFEIPSGFAMFEVSQELIARPKDIWARFAYQDDITNVIVTLGSIQIHNKSVARDIIVGPGDELKEFILSFCTHNYLIVQDVELKDVIEKKLNIYCYCNPTVVGELTWGLNYVLHKLLPQEQSLTHEPYLPLSKAMSKQIKEYGFKISPREIDREFLRAMSFLNYLGYMSERYSLLLDHLFGQYRKNNMSDIEFAKSIASHLHSSEGIVPRDERYTRAEIMEFIDFIIAAPENRSRTLSFLRRIEAASIDDSPPPPSLPGGMGVSLLKRLRLDWITVCCLGCAVALYPFYRRV >ORUFI12G21470.3 pep chromosome:OR_W1943:12:22605517:22610116:1 gene:ORUFI12G21470 transcript:ORUFI12G21470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSCISFGNIHNVLFEVSSQTKTSPKTQGYPKFPIAAAPDPAMPLRPPPPPQGFLTAYPSAAFPPVKRPHEDDLGAGDVLTFRFHSLAVLKLFDRIREYRLHDYRYQATRGWYSSGTAPEDALLPCAVARPPRMYGCVIGVQRGRTVEVVDTSDILLDTDPGTLDRDLLKKKLETYKKAFPGLAILGWYSIDTHVTNTDMETNQALMDASGTTFYLLFNPAMNLSLKDLPVTIYEKEAERISLDHTCPVVSDVSVPPLVGKGQHANIMQTSGFKLMLFEIPSGFAMFEVSQELIARPKDIWARFAYQDDITNVIVTLGSIQIHNKSVARDIIVGPGDELKEFILSFCTHNYLIVQDVELKDVIEKKLNIYCYCNPTVVGELTWGLNYVLHKLLPQEQSLTHEPYLPLSKAMSKQIKEYGFKISPREGIVPRDERYTRAEIMEFIDFIIAAPENRSRTLSFLRRIEAASIDDSPPPPSLPGGMGVSLLKRLRLDWITVCCLGCAVALYPFYRRV >ORUFI12G21480.1 pep chromosome:OR_W1943:12:22613079:22615710:-1 gene:ORUFI12G21480 transcript:ORUFI12G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLSSLSLTSNDSLMVCGWNGAIDLVKNVTQCKLQDLALCCWSRGTPIIYPTVFWVAQLELAAAAATTPVIDIAVASCWYNRTTQIHRCHGCRGRSTAHRCRRPLVAASVGGSGWGRAAAVAHVHPHLGPRPPPPLESALPEVVIVAKVVVVSEVVIVTGEAPPPDPRGGRAVVPRSTRGRAVVPRSARIRRWAPPPPAFSRGIWRRRRRREVGAADPRTSPIALGRAPLCHRAHYRSRPLSPTTPPLPPAAPCLEMAMGTRSLIPRGEFTH >ORUFI12G21480.2 pep chromosome:OR_W1943:12:22613079:22616063:-1 gene:ORUFI12G21480 transcript:ORUFI12G21480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISPRPDLTSGSTDMKQAAAAPAKSTGSCEDAGQESAAAAATTPVIDIAVASCWYNRTTQIHRCHGCRGRSTAHRCRRPLVAASVGGSGWGRAAAVAHVHPHLGPRPPPPLESALPEVVIVAKVVVVSEVVIVTGEAPPPDPRGGRAVVPRSTRGRAVVPRSARIRRWAPPPPAFSRGIWRRRRRREVGAADPRTSPIALGRAPLCHRAHYRSRPLSPTTPPLPPAAPCLEMAMGTRSLIPRGEFTH >ORUFI12G21480.3 pep chromosome:OR_W1943:12:22613079:22615710:-1 gene:ORUFI12G21480 transcript:ORUFI12G21480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLSSLSLTSNDSLMVCGWNGAIDLVKNVTQCKLQDLALCCWSRGWLPTTAVFAAAAATTPVIDIAVASCWYNRTTQIHRCHGCRGRSTAHRCRRPLVAASVGGSGWGRAAAVAHVHPHLGPRPPPPLESALPEVVIVAKVVVVSEVVIVTGEAPPPDPRGGRAVVPRSTRGRAVVPRSARIRRWAPPPPAFSRGIWRRRRRREVGAADPRTSPIALGRAPLCHRAHYRSRPLSPTTPPLPPAAPCLEMAMGTRSLIPRGEFTH >ORUFI12G21480.4 pep chromosome:OR_W1943:12:22615728:22616063:-1 gene:ORUFI12G21480 transcript:ORUFI12G21480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MISPRPDLTSGSTDMKQAAAAPAKSTGGDSWRSLRRSESAWNLFVLDVILKSTASRQWILLGSACALTPV >ORUFI12G21490.1 pep chromosome:OR_W1943:12:22629033:22632003:1 gene:ORUFI12G21490 transcript:ORUFI12G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSTDDDSYHPRKHYAYDRQVSSSRWRTSREYIRGPGPETHTTESAQDGQDPPAGVYSYGYFSGSGNDPQVQGHFVPEIQKYNPYVIFKGEQLPVPIWELPEEKVQDFHDRYFIAKDKSRVEARKTLNRLLEGNINTIERGHGYKFNIPKYTDNMEFNEEVKVSLAKAGKTISRSFCNANQREVASRTGYTIDLIERTLGAGLNISKRTVLYTNKDLFGDQSKSDQAINDICALTNIRRGSLGIIAAEKGIVVGNIFLELTNGKSISCSIGVQIPHRLDQIKDVCVEIGSRNIEYILVVEKHTMLNYLLEMDYHTNNNCIILTGCGMPTLQTRDFLRFLKQRTGLPVFGLCDPDPEGISILATYARGSCNSAYDNFNISVPSICWVGLSSSDMIKLNLSETNYSRLSREDKTMLKNLWQDDLSDVWKRRIEEMISFDKKASFEAIHSLGFDYFATNLLPDMINKVREGYVQVQEKKEPQDTEASED >ORUFI12G21500.1 pep chromosome:OR_W1943:12:22629199:22636205:-1 gene:ORUFI12G21500 transcript:ORUFI12G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAPSNYTTTHGKSAHPQQNETPDQENDASAVVQPSPRTNIGTEYSDENMSPTIATSEVRNETPSNVSIPSTIVTGTPANVTNLSAIELRRKRDRESLPGFNSTLVFCNKVPIMKILDLLLWQFPYRNRELFTFENHHVAGQIGRAFGFFAQCGSDGKIWQNSGAMLAGSDKAAAQRITRHCAGDEARLGFGLPRIQTCPIQNHPNGPQGTRTSKP >ORUFI12G21510.1 pep chromosome:OR_W1943:12:22638046:22638717:1 gene:ORUFI12G21510 transcript:ORUFI12G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHKPLSSKNAITVNSTPLEPLFFNNDHFALGAKYTQFTVKEKNYNPNPIATDPNPPDPAFYRSQSPMASRGGSPRRCPWHRYASGPRGRCGEEDQGGGVVGRHEEVHGDGGVAGCGEEEQGGDAAGHGEEEQGGGVARRREEVHGGAAVTGCGAGAVGAGEGKSRSGEEAKGGGAARRSRERRGGPRRRRGRERRGGPVAAALQGTLTRFMEVRRGGRRCR >ORUFI12G21520.1 pep chromosome:OR_W1943:12:22645981:22651561:1 gene:ORUFI12G21520 transcript:ORUFI12G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHEDALDAGAVDVLTFRLHPLAVLKLSHRIREFVHLHDDQYQQARMSGCVIGVRRGGTVDISDTFDILHDPDPGTFDRALLEKDQEICNPKSSPHKKAFPDLSVLGWYSIGTNVHATDTGIHHGDYTDMQIHQTLMDANGTAFYLLLNPAINFSHKDIPVTIYERGAERISLDHADFLYPSPCVVFDVLAPPLGEKKNAFRTMLFETPSGFAMFRVSDVLFRYPEDIWLSFTDPHTAHQVVRTIGFIEVGDKSVVWDNDIGPGEDIEHFILKFPIKSLVVPDAQLKFIIEKNLNISCWFNGRIVPELIWGINYALDEFVPREKGNLSNERHFPLSKQLHEQLKAYGFSISPQLKFDRFFCGLEMSEGEFVRGVAHRLHSMECNTISRLKRMEAEDRGTGLGHPWVCAAAVAVGFGVMAGLCIAMKRAN >ORUFI12G21520.2 pep chromosome:OR_W1943:12:22645925:22651561:1 gene:ORUFI12G21520 transcript:ORUFI12G21520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHEDALDAGAVDVLTFRLHPLAVLKLSHRIREFVHLHDDQYQQARMSGCVIGVRRGGTVDISDTFDILHDPDPGTFDRALLEKDQEIYKKAFPDLSVLGWYSIGTNVHATDTGIHHGDYTDMQIHQTLMDANGTAFYLLLNPAINFSHKDIPVTIYERGAERISLDHADFLYPSPCVVFDVLAPPLGEKKNAFRTMLFETPSGFAMFRVSDVLFRYPEDIWLSFTDPHTAHQVVRTIGFIEVGDKSVVWDNDIGPGEDIEHFILKFPIKSLVVPDAQLKFIIEKNLNISCWFNGRIVPELIWGINYALDEFVPREKGNLSNERHFPLSKQLHEQLKAYGFSISPQLKFDRFFCGLEMSEGEFVRGVAHRLHSMECNTISRLKRMEAEDRGTGLGHPWVCAAAVAVGFGVMAGLCIAMKRAN >ORUFI12G21520.3 pep chromosome:OR_W1943:12:22645925:22651561:1 gene:ORUFI12G21520 transcript:ORUFI12G21520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHEDALDAGAVDVLTFRLHPLAVLKLSHRIREFVHLHDDQYQQARMSGCVIGVRRGGTVDISDTFDILHDPDPGTFDRALLEKDQEIYKKAFPDLSVLGWYSIGTNVHATDTGIHHGDYTDMQIHQTLMDANGTAFYLLLNPAINFSHKDIPDIWLSFTDPHTAHQVVRTIGFIEVGDKSVVWDNDIGPGEDIEHFILKFPIKSLVVPDAQLKFIIEKNLNISCWFNGRIVPELIWGINYALDEFVPREKGNLSNERHFPLSKQLHEQLKAYGFSISPQLKFDRFFCGLEMSEGEFVRGVAHRLHSMECNTISRLKRMEAEDRGTGLGHPWVCAAAVAVGFGVMAGLCIAMKRAN >ORUFI12G21530.1 pep chromosome:OR_W1943:12:22655013:22663458:1 gene:ORUFI12G21530 transcript:ORUFI12G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSGPCSGVGWGVRKRVRYVGRHHHLARHHAPERAVDAARDDDEASSAKAKNESPKEEAAAAEEDDDNVEHKVAVPTTSEEKKRRRRRKRGRGRVGGHGVAKPMTAAAVNASRVMRRRAAGEDLGDGDFWAGGAPRLYDFSQQEQKPFLPAPPSPAPVPASPPSPAAESVAPCLLTLQCSGVGWGVRKRVRYVGRHHHLARHHAPERAVDAARDDDEASSAKAKNESPKEEAAAAEEDDDVEHKVAVRTTSEEKKKKRRRKRGRGRVRGHGVAKRPKKEDEEGTKLSAPKAEQLEEEEEGAAVAAPTGMIDRWKATRYATAEASLLAIMRAHGARAGKPVPRAALREEARAHIGDTGLLGRCGRRRAPTLATRASSTTSSGTSPTRWRPAAPSGSGGGTTPAAGWSTGSSPPSSPPCGGTPAWPTRDPVSPEGYLLEVRKQVEQLAVELAGVRRHMDHLSSNVSQVGKEIKSEAEKSYNTCQEKYACMEKANGNLEKQLLSLEEKYENATHANGELKEELLFLKEKFVSVVENNTRLEHQLTALSTSFLSLKEELLWLEKEEADLYVKEPWEDDDEKQEHDAGKEAKDDDVAGVSAANDQPDVDGDGTTTTTTTSSNGGSGKRTSRKCSVRISKPQGAFQWPTPSLPFSPELAAPPSPPLTPTAPVVAGAANFATMDELYEYMMAGGLPTPPSTTSNAGKLPSLPAATACATTPPVKTADAAGDVGTELALATPAY >ORUFI12G21540.1 pep chromosome:OR_W1943:12:22664074:22667702:1 gene:ORUFI12G21540 transcript:ORUFI12G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKISSLCTALSSVLNHADDSSRALSDALSRRPIHLESAARGFLQGLERRSEAAGADLSRLESMAFGTVSFEELLGHCGEALAMFSRHADAIESRLVAFGYVPPDEEASEDVEEDWDVEKLPGVAGNGCFGGTSSVLRSSREMVDDDDALFENSMSLKNLGFSDACLATLSSQDSGLSGSTEILYRKPESVADVENKVNDAESMIPPKETNGQGNDAQGAIKASKEEYEKLPPYMKTLATWEELQEAISKLNSYFSSDKTQGNVALNQDDVGEIGLGRKGRSYLLILLRMNQLAMENIDGSIFYNIRKSDS >ORUFI12G21550.1 pep chromosome:OR_W1943:12:22667381:22671614:-1 gene:ORUFI12G21550 transcript:ORUFI12G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEGGAMRALMRRKQVDSDRVRAAGGHQLAKELSVTQLVAIGVGSTIGAGVYVLVGTVAREHAGPALTLSFLIAGVAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLDSLPWFLARHELPWFDVVVDPCAAFLVLVVTALLCKGIKESSFVQGVVTVLNCFVMLFVIIAGSYIGFQTGWVGYKVAGGFFPYGANGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIGTALSVCCSLYMLVSVVIVGLVPYFAMDPDTPISSAFARHGMHWAMYLVTTGAVLALCSTLMGSILPQPRILMAMARDGLLPSFFSDVNQRTQVPVKSTIVTGICAACLAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYAPPDEVPLPSSLEASFRLSQEYDEEKVRGPPVDANHEQLSSVVESINDTLIEKKQDTSVEESKRRKAAVCSISSVCVGVVVLTTSASFTFLPFLLRCFFCVFGGLLLLAGLGVLCYIDQDDGRHSFGHSGGFICPFVPLLPVMCILVNTYLLVNLGGGTWMRVGVWLVMGVFVYIFYGRTHSSLTDVVYVPVAQAEEIYGSSSSSGFVA >ORUFI12G21560.1 pep chromosome:OR_W1943:12:22676096:22678100:-1 gene:ORUFI12G21560 transcript:ORUFI12G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGRGSRGGGGRDGGGVVEEERSGGVEAAPPVKRRRVAVAPSAGAGGSRRVQAEASPLQRLFRACRAVFRGTGTVPAPGEVDLLCSMLDKMKPEDVGLRADQEFFTARDDDEGIPLIKNTTLYECDNFTMIIFFLPRNAIIPLHDHPGMTVFSKLLIGSLHIRSYDWVDPEPALSCSSSSGDQLRLAKRVVNGVFTAPCDTSVLYPTTGGNMHRFRAIAPCAILDILGPPYSTEDGRDCTYYRAIPYSRHSVKNGAADQLTGVDEEGHRLSWLTETIPRMLRMRQIRYGGPPISDDE >ORUFI12G21570.1 pep chromosome:OR_W1943:12:22681201:22689041:-1 gene:ORUFI12G21570 transcript:ORUFI12G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSSVVTRLRPKNEIEKWRKRSGPSTSYGPLKSSGPTKRRRAAHPRQGDNLCDDTAAPPLLPPANSAAAAGATAVRPHRMLPRFPVSLLRSRSLLRGSARRGLVTAMAGARGGGGGGGEDGQLGEFYEYMERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHTHFPAVHIAGTKGKGSTAAFLSNIMREQGYNVGCYTSPHLLTIRERISVGQSGGPVSAELLRDLFGHAKEAIGQSIESEDGALTHFEVFTALSYLLFSQENVDIAIVEAGLGGARDATNVIQSTELAASVITTVGKEHLAALGGSLQSIAVAKSGIIKQERPVIIGGPFSPDIEQILRDRAFLTQSPVISACDPGIRSITRCIGWDCGKPYQSCDIVIEISNDIPLFIELQDLKLQLLGDHQRQNAVTACCTALCLRELGWNISDTSIQAGLEKTQLPGRSQFLTKEETSVLGLDGTSSVLVDGGPRPDVVLLTEASIAGGRSRSMPASSLKEIWMAAAQSQGISCYSDIGTITGVEAPIIASRPTTSRSLSGDKPMLIGCCTPFSSDLIRVASRLLQTQEDGDTGLICVTGSLHMVSSVLGQLRQV >ORUFI12G21570.2 pep chromosome:OR_W1943:12:22681624:22689041:-1 gene:ORUFI12G21570 transcript:ORUFI12G21570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSSVVTRLRPKNEIEKWRKRSGPSTSYGPLKSSGPTKRRRAAHPRQGDNLCDDTAAPPLLPPANSAAAAGATAVRPHRMLPRFPVSLLRSRSLLRGSARRGLVTAMAGARGGGGGGGEDGQLGEFYEYMERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHTHFPAVHIAGTKGKGSTAAFLSNIMREQGYNVGCYTSPHLLTIRERISVGQSGGPVSAELLRDLFGHAKEAIGQSIESEDGALTHFEVFTALSYLLFSQENVDIAIVEAGLGGARDATNVIQSTELAASVITTVGKEHLAALGGSLQSIAVAKSGIIKQERPVIIGGPFSPDIEQILRDRAFLTQSPVISACDPGIRSITRCIGWDCGKPYQSCDIVIEISNDIPLFIELQDLKLQLLGDHQRQNAVTACCTALCLRELGWNISDTSIQAGLEKTQLPGRSQFLTKEETSVLGLDGTSSVLVDGGPRPDVVLLTEASIAGGRSRSMPASSLKEIWMAAAQSQGISCYSDIGTITGVEAPIIASRPTTSRSLSGDKPMLIGCCTPFSSDLIRVASRLLQTQEDGDTGLICVTGSLHMVSSVLGQLRQ >ORUFI12G21580.1 pep chromosome:OR_W1943:12:22692202:22709547:1 gene:ORUFI12G21580 transcript:ORUFI12G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSVQLENSGMPFIIVRKMASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMADAGIKYIPSNTFSYYDQVLDTSAMLGAVPERYSWTGGEIGFSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFSYSSHKAVNEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKSFALLSLLSSILPVYKEVIAELKAAGATWIQFDEPTLVLDLDSHQLAAFSAAYTELESALSGLNVLIETYFADIPAESYKTLTSLNSVTAYGFDLIRGAKTLDLIKSAGFPSGKYLFAGVVDGRNIWADDLAASLTTLESLEAIVGKDKLVVSTSCSLMHTAVDLVNETKLDSEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFAANAAAQASRRSSPRVTNEEVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEEEYISAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKLAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRVNKMLAVLDTNILWVNPDCGLKTRKYNEVKPALTNMVSAAKLIRTQLASAKNSPKPLFSVLLLLLPRRPPPPLASSPLPRKMASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFSYSSHKAVNEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKSFALLSLLSSILPVYKEVIAELKAAGATWIQFDEPTLVLDLDSHQLAAFSAAYTELESALSGLNVLIETYFADIPAESYKTLTSLNSVTAYGFDLIRGSKTLDLVKSAGFPSGKYLFAGVVDGRNIWADDLAASLTTLESLEAIVGKDKLVVSTSCSLMHTAVDLVNETKLDSEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFAANAAAQASRRSSPRVTNEEVQKAAAALRGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEDEYVSAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNAMTVFWSKMAQSMTSRPMKGMLTGPVTILNWFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLDTNILWVNPDCGLKTRKYTEVKPALTNMVLAAKLIRTQLASANLSRHKSQELKVRHTDTMIPTSTAAAAAMFTISLLSSASLLPPPLAVAVAGGRSSSAAAAPARPISCASFSLFSFLFCFESGVVAGSLGSPPFAAGLGAGSGGRGESFVLGGDEDVGLPAAGFAGSGVIAGGGVQYRCDGCCGGGGGDGGGGFGFVGSGGVTAVGDQ >ORUFI12G21590.1 pep chromosome:OR_W1943:12:22714668:22716428:1 gene:ORUFI12G21590 transcript:ORUFI12G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRTLHRRRTRNAAAAAFLALAVVVVFFLSVFVATRNEADIFFSGVAAASSSSPDSSSGGCRELQALDGDGARCRYLRAHAPCAPVGYVDYLRLLYCGFGRAPWLGYAALALWLLVLFYLLGDTASAYFCASLEGLSAVLRLPPAIAGVTLLSLGNGAPDVLSSVVAFAAGARGGDGGGGGGEDAGDVGLSGVLGGALFVSTVVAGVVAIVAGRRGGGEPVIIERRGFVRDVCFLLVALCYLLAVLLTGAVTVWSAASFLSLYAGYVLLVWTSHCCANASDELEVDDTKQPTSDLAAPLLVVDDDDASPPPLPVSSSSKPTSAPRTFARRLVDLLHSPLYLPRRLTIPDIAAHRWSKPTAVATALLSPLLLAATTAPTTTATTLLAATLAGALLAAAAAATTDAASPPKSRSARLPWLAGGFLMSVLWSYVLARELVALLVSIGVAAGVEAGVLGATVLAWGNSLGDLVADVALATRRGDGGAGAQTAVAGCYAAPAFNTVVGLGLSLTVAAGARHPEAYAVEGGAAVYVAVGFLAAALVWAVAVLPARGMRLDAVLGVGLLVIYFVFLCVSLAILTPLPSPH >ORUFI12G21600.1 pep chromosome:OR_W1943:12:22717913:22719094:1 gene:ORUFI12G21600 transcript:ORUFI12G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRRNDGGEADGRASKTARRRPAQTKMKHLYLVLDDGDEATTTYTIHKLDVDDDAGIIHAPDVDRPATRFAAAPAAGGGQMHFLAMAGKNIVAVDGQGGGPTAVYDTEAAALTFASPLPGRLSSSGAGLAVVVEDGGHGGGEALYALTSLGERMPASFEALSWARDPCASPSSLLFSSSSSPGRHYYWSWKNVADTPPPFAEEEAVTAYAVHPDGRTIFVSTTGGGGGGTYSFDTERRKWRRHGDWVLPFRGQGYFDGELDAWVGLHREVHGRVCACQVASRGGARPPEYRETLDYDSVSSSRSKNRRQRATLTYMGDGMFCAVETCETPPTSSSSSEGGGGGGGVEVHVTVFGLKYNRRGELQARVRRAAGAFPLPKQHVPSFSPVAFWM >ORUFI12G21610.1 pep chromosome:OR_W1943:12:22720124:22723698:-1 gene:ORUFI12G21610 transcript:ORUFI12G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLMGPRYGGGGGGGGGGGGGAGQSGDGGGKQEGCDAAVARSKATVKQEGCDAAVVDGEEVGSRRKYAGEVEERKPVVGLKVKAETGKRSAADGEKSFAAAAAVVGRYAAGLKNKAVPGDTSTAGGGARSGGGFGRRSGDEESGIDLEARGHISGEGSAAGADRGGRINRPFAGMRFILHGFPHLLKEQQFYRSIVSVNQIFSGTSPFRTAFSACAYFDIYAISSNVKSKRKIEVLGGFVLQSIDYDICTHVAMAGDYSEGAVLIWNGEGKKVVNIQWIDDCYIKGIKIPENDAPAIQALIKTPATSQGARDTIVSTSKKLRPCYGYQRRSVRRKLEYDRAFEEKAYHLNHNLFVCKMDETMYTSLRNYCKLILQTITPQVKSPPEQIPWTNPLEPKEGASTSTEEEEKNMCRHISYTTSNEVKCKIRNAYVLLKNFHWAGLTFGGHFTSENFLMDTCGNMRFGNLSKGVINKLEDGDIEKDTDRFVKMTREEVFVSVTTLPLDIIQWIELIDRCARGYDSLAEDYITLKDGYRSAAHFMSLHNMFEKMETTDNPTYEKIKTKLSKYTKWKKGVEAPDGNTHLKETLDFIDPATGRKAYYSDDICGLLKLLRNTRQHSARAKEDVFVLIVAQNFPRLMGDFQKVMFKQGYTLNESVDSSKNQTFACQFTKSHTDSGIQKVDHEDSRHLQVTLKELN >ORUFI12G21610.2 pep chromosome:OR_W1943:12:22720144:22723698:-1 gene:ORUFI12G21610 transcript:ORUFI12G21610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLMGPRYGGGGGGGGGGGGGAGQSGDGGGKQEGCDAAVARSKATVKQEGCDAAVVDGEEVGSRRKYAGEVEERKPVVGLKVKAETGKRSAADGEKSFAAAAAVVGRYAAGLKNKAVPGDTSTAGGGARSGGGFGRRSGDEESGIDLEARGHISGEGSAAGADRGGRINRPFAGMRFILHGFPHLLKEQSKRKIEVLGGFVLQSIDYDICTHVAMAGDYSEGAVLIWNGEGKKVVNIQWIDDCYIKGIKIPENDAPAIQALIKTPATSQGARDTIVSTSKKLRPCYGYQRRSVRRKLEYDRAFEEKAYHLNHNLFVCKMDETMYTSLRNYCKLILQTITPQVKSPPEQIPWTNPLEPKEGASTSTEEEEKNMCRHISYTTSNEVKCKIRNAYVLLKNFHWAGLTFGGHFTSENFLMDTCGNMRFGNLSKGVINKLEDGDIEKDTDRFVKMTREEVFVSVTTLPLDIIQWIELIDRCARGYDSLAEDYITLKDGYRSAAHFMSLHNMFEKMETTDNPTYEKIKTKLSKYTKWKKGVEAPDGNTHLKETLDFIDPATGRKAYYSDDICGLLKLLRNTRQHSARAKEDVFVLIVAQNFPRLMGDFQKVNSLKATQILESKRLTTKTADIYK >ORUFI12G21620.1 pep chromosome:OR_W1943:12:22727430:22728515:1 gene:ORUFI12G21620 transcript:ORUFI12G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRDDDDDDGGEAYRRHHHDKRPRPPAPPQQKHLYLVLDDWDRGYSIHKLDVDLDDAGVIHGGGGGPAVRFAAPRSSCDARFFPMRGDSVVMVSDAAPTLVYDTGAAALTVESPLPGLLAGGMAIAMPGGERLYALTSLGERFPRAFEVLSTGGGWSWKNAPVAPPPPPVEEAVAAYAVHPDGHTVFVSTSGDGGGGTYTVDTKRGEWRRHGGWLLPFHGQGFFDAELDAWVGLPRHGDTICACQVPSRGGARPPEWDTMDDDDVVPSWKKSRRRPGGRRRATLTYMGDSKFCVVDCVECDGDDGGGVVTPPQCEVHVAVFGLKYNRRGELKATARRAAGSFRVPKHFSWFSPVAFWM >ORUFI12G21630.1 pep chromosome:OR_W1943:12:22729678:22731767:-1 gene:ORUFI12G21630 transcript:ORUFI12G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDPLTNKAAFSGLRQYVKELDSNTLPPFLARVCDPVKPCSFSEEEMLCIFETAAQAHGRKIVPHIALIVSAIVRMMSSRNAVGCSKVVCALSRYVVDPLATEALKSAIIGSLCRPFADCLMSTKVESNSFGSALCVAALVQSNNWRFASNELVNDVCLKVSGALEEAHAQSIAHLNLVVVLLTQNPLMLEPYGRSLIRSGLQILDESAKASSSQMIISSIQMIHSIMKGLDLGIISSEISSIIHAMEQFQDDTMPAISIAAFEASETAKLLVGRQKESGHDNNLSQLANYSVRNGRKGSYSHSLMDDADIRDDGSCDSHSCDLNSVHLSTDFDSQHSVGQCGSGSTRARRQLWCNKSDKSHGMSNHDLFRTVIPDSHEASGLMAHFSSVDPIKPDRRLSDVPTRVAGPCYVCSAAHETNHCSQISRAQVLSGDMRMNSTPRKQLHSCTFCRDPEQDGHPPLESPAIQHCSGPGTNILQFRKNSELKERKEFWDSIRQENQCHMQNTDALIEDLKFPADDDDEDFDGAAKSPCQECQAVNEKKTGGKKRNANRSHYPVFLFVFVVAIIALFFPWWKDYKEPYVVPT >ORUFI12G21640.1 pep chromosome:OR_W1943:12:22736496:22740039:1 gene:ORUFI12G21640 transcript:ORUFI12G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHPPNAAASSLEELFPHQPATESDRSGIEWLSVYVEDCLSTSASCTNPVSAELPPITMASQGAAKPKLPPRSSTNARKKKRSLASVISDTDDQHCITLFVEPPLLLLDHKDWLAESELILPKKDKDEELVQEQEQEEEENYKMSAGMQFQQEQLVITCSYCLSSQSPQWWDGPSGPTCDACRLRIEARNGHTTSSKKRYGQEIDKEQDIGKRRDKKKIKKAVYVNDELLSEEPMKRCTHCLSYKTPQWRTGPLGPKTLCNACGVRFKSGRLLPEYRPANSPTFVSDIHSNSHKKVMQLRNSVPHPRK >ORUFI12G21650.1 pep chromosome:OR_W1943:12:22741881:22746266:-1 gene:ORUFI12G21650 transcript:ORUFI12G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQVQRTPLPRSTYTGHRGYLLRAPPAVTRCTVDRAFPRSHGPPLPPLLYKTSRPDASSSNSTSRHFPRRSKDCCCFAVRSIPDGIMGETIAKDVTELIGNTPLVYLNRVTDGCVGRVAAKLESMEPCSSVKDRIGYSMITDAEEKGLITPGKSVLIEPTSGNTGIGLAFMAAAKGYRLVLTMPASMSMERRIILKAFGAELILTDPLLGMKGAVQKAEELAAKTNNSFILQQFENPANPKIHYETTGPEIWKGTGGKVDGLVSGIGTGGTITGAGRYLREQNPDIKIYGVEPVESAVLSGGKPGPHKIQGIGAGFFPGVLDVDLINETVQVSSDEAIEMAKALALKEGLLVGISSGAAAAAAVRLAQRPENEGKLFVVVFPSFGERYLSSVLFQSIKKEAENMVVE >ORUFI12G21660.1 pep chromosome:OR_W1943:12:22755643:22757442:-1 gene:ORUFI12G21660 transcript:ORUFI12G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSHGSPGKKILLSPLLSGLVMGMDAMAQQCQVFSPFLQCAVKCSFDQSIAPQFVIVTLGAGPDDFSSSYRCVQRCISPQVNARHCDVRIARNNGMSTTIGTSPSSRIAQNDTSLHREILYPFLPVDIAAQPPQFMFRSHFCCSTLMFYLDDRKASRQ >ORUFI12G21660.2 pep chromosome:OR_W1943:12:22755643:22757442:-1 gene:ORUFI12G21660 transcript:ORUFI12G21660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSHGSTPSSESSNHTISFISTPEIGISMSVFCRPFQRPVNRRLAFNPINPATPIDQHFVSSSDGPTVPGVQSLPAVRGKGAGPDDFSSSYRCVQRCISPQVNARHCDVRIARNNGMSTTIGTSPSSRIAQNDTSLHREILYPFLPVDIALIMMLLDIQAQPPQFMFRSHFCCSTLMFYLDDRKASRQ >ORUFI12G21660.3 pep chromosome:OR_W1943:12:22755643:22757442:-1 gene:ORUFI12G21660 transcript:ORUFI12G21660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSHGRISMSVFCRPFQRPVNRRLAFNPINPATPIDQHFVSSSDGPTVPGVQSLPAVRGKGAGPDDFSSSYRCVQRCISPQVNARHCDVRIARNNGMSTTIGTSPSSRIAQNDTSLHREILYPFLPVDIAAQPPQFMFRSHFCCSTLMFYLDDRKASRQ >ORUFI12G21670.1 pep chromosome:OR_W1943:12:22779043:22782933:-1 gene:ORUFI12G21670 transcript:ORUFI12G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFQRFLITDPIYQRKIKVPSVVKATVFEAFKGQMFVQDEGLKCCCHPPITLLCLPGVPELLPGDNEWCKSLYKAVKKDADGVLAGCAALSMPEADYQLLVDRLKEKSKHKVLKHGATLGQELVESNTGWEKLEGFWSKMILYLAPSENLDGHKEAVACGGELITLLWAMLAHDGIVGRPDAAGITGASGDLV >ORUFI12G21680.1 pep chromosome:OR_W1943:12:22803045:22806518:1 gene:ORUFI12G21680 transcript:ORUFI12G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAVASARLLARLLPEVEDSSTNAGGVMAVSFRRKVLYTAVSLLVFLVAGELLLYGVQNYYGGGEHDPRYWMNAMSASLRPTVMALGLVPLLYSEMVVHLCMALKIIGVHDDRLPDHRRRLERTRRLFAMQIAIVSPVAIVLYASAIAGGTPFITTAALVFVFQLIAGGLIAIYLDDLLRKGYGFLSGLSLFSAANCCACIFWKALNHAYPWMMMLSTLAFFLLVLIILGDHHITLPPMLSIKYGETNKVVNMLGIWKEMRQYPGHYWPVSGIASYITTPLTVCSRSKRYLVRLLKKTQRTRVSPEQLLQEHDEDESISPRRCRHYMTMAAYIVGICVGLLNLLAGFMGLGGSGPAIMLAVTVIHNLVEGRNTGHVAAFGL >ORUFI12G21690.1 pep chromosome:OR_W1943:12:22808451:22809740:1 gene:ORUFI12G21690 transcript:ORUFI12G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEFELDEEVFLDIPVDVVHSVVALAAGGGGALQPAQESGGGGGGDALHPERERALHPAEDRGGVALAVEVVPPREPDDEQGTGAGHGGEEEETDIVVGDYMERGGGDDDDVFSSTCLTTVLFTLLSIIVWAVFLYGAAKLCVNYLVPLFRQQPPPSLPSPASHTYDADADMRCPCPCPSDLQAWRNLVGEDGDAYASELSWLPPLGNASAAVLPVDTLRVAAGAGGPYHSVVALLVAAVIFAVLGYLVKHLMTH >ORUFI12G21700.1 pep chromosome:OR_W1943:12:22810786:22813081:-1 gene:ORUFI12G21700 transcript:ORUFI12G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLCDRLLDAAQPIAERFRALFSLRNLRGDAPRRALLQAARDSSNLLAHEAAFALGQMQDAEAIPALEAVLKDLSLHPIVRHEAAEALGAIGLEKSISLLEESLAVDPAVEVQETCELAIRRIEEQKNTSGVESATVSPFLSVDPALPAKQGLPVEQLRHVVQHSPTCLHCALELLLNEQESMYERYAALFALRNDSGDAAVSAIVAALGVKSALLRHEVAYVLGQLQNKAASDALSTVLKNVDEHPMVRHEAAEALGSIADQESIALLEEFAKDPEPIVSQSCEVALSMLEYERSGKAFEFLFPQTPQVQQES >ORUFI12G21710.1 pep chromosome:OR_W1943:12:22815022:22817321:1 gene:ORUFI12G21710 transcript:ORUFI12G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYEFTFVPLSEWIENWRLNPSPPNPKPIGGAWQGSSGEGVRAARQRLQGRGLARRSDGAVARRRQRPLPGARSSRRPSRRRRGRPVSHHLRALMPGNAAPAAAPDGRLPAAEDEQLALDDVAGISELAKCFFSAHKVPVQDDATGYLHVLLLAPLLVYNATTGGAGYYAQLCYTLRIGSQGSLIPLWVA >ORUFI12G21720.1 pep chromosome:OR_W1943:12:22819414:22819845:1 gene:ORUFI12G21720 transcript:ORUFI12G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMIRRLSRVGDCSSPSSPPPFSPAPRRGGGGGGSSGKRSSSAAAAHEGVPEGHVPVYVVGEGDGGEAAAAERFVVRAELLGRPALAELLRRAAQEYGYDHRGPLRIPCSPAAFRRALRIPCRADVFRAALAAAGDEDDDSE >ORUFI12G21730.1 pep chromosome:OR_W1943:12:22822387:22830186:-1 gene:ORUFI12G21730 transcript:ORUFI12G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFAGVGGGAAGKEAGTVGGGALLELTPHKLALCHLVQVFAPPPQAGVSAPALPFPFESVAHHNRLGLFLFALTRSCEDFREPPLEELLRQLKAVDALVNGWLCEQLTSTLSALTSPDDLFNFFDKLRGVLSAPEGANVEDEFLDPNSQLGVFLRCCILSFNTMTFEGVCHLLANLVEYCNSADTSYDLAEDEDFNSEMEMSNFMDTNMHVRDGVFDKYNQGYAPRSHMVDSSSSLVHAPASLHDFEEANMFKADDNLGPTCLRSRWQLEAYLNQQADILEKDPSSVPLNSFNATMSQLQKLAPELHRNCEEFLVTMVYFDLYSTCQVQFLQYLNALTHDDYVAALDNLHRYFDYSAGMQGLFSRTASPFQDIIVGKYESALLCLGNLHCYFGHPKKALEAFTEAVRVSQMNNDDSCLAYILGAISNLLSKIGMSSTVGTIGSPYSLGNNIGLGTPLSIQQQLLVLLKRSLKRADTLKLTSLLSFDHLSLAKFDLKHVQRPLVSFGPNASTKLRTCPADVCKNLRLSSRVLTDFGTDGLSASNDNGSFSTSWLRNLSAASNSWCSSSKKSGKLLTNDFDNFHFHAQPSPIPASVLQLAGSAYLLRATAWEHYGSAPMVRMNSLVYATCFADAASSSELSLAYVKLIQHLATFKGYSAAFSALKLAEEKFPLSANSHIQLLKMQLLHERALHRGHLKVAQQICDEFAVLSSSVSGVDIELKTEARLRHARTLLAAKQFSQAANVANSLFSTCYKYNMQVENASVLLLLAEIQKNSDNAVLGLPYALASQSFCKSFNLDLLEASATLTLTELWLALGSTHAKRALSLVCQSLPMILGHGGLELRARAHIVLAKCYLSDPKFSEKPVPLCPFIMAVSEDPSAVLDPLNQAAEDLEVLEYHEMAAEAYYLKAMVYNNLGKLDEREEAAASFKEHTLALENPYNEEDSLAC >ORUFI12G21740.1 pep chromosome:OR_W1943:12:22831897:22834687:-1 gene:ORUFI12G21740 transcript:ORUFI12G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAAALWTAAPHPHGSCIRIHAIFHQRHQRRGRRPVVVASSVRPLQAASLAVATAPVAVASRRTAAEEAVYEVVLRQAALVEEATHRRGAGAPRWAEEDAVDWGLLLGDAYHRCGEVCAEYAKTFYLGTQLMTPERRKAVWAIYVWCRRTDELVDGPNSSYITPKALDRWEKRLEDLFEGRPYDMYDAALSDTVSKFPVDIQPFKDMIEGMRLDLWKSRYRSFDELYLYCYYVAGTVGLMTVPVMGIAPDSKASTESVYNAALALGIANQLTNILRDVGEDSRRGRIYLPLDELAEAGLTEEDIFRGKVTDKWRKFMKGQILRARLFFDEAEKGVAHLDSASRWPVLASLWLYRQILDAIEANDYNNFTKRAYVNKAKKLLSLPVAYARAAVAS >ORUFI12G21750.1 pep chromosome:OR_W1943:12:22844295:22844957:1 gene:ORUFI12G21750 transcript:ORUFI12G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAQAQPIRPGDVYPPSAADHHQARRERDKAVAADQGGGGGDLRVTEADLPAAGKRVVTATAGGQVMAQFTVPVPSAEEETTDAVTIGEALQAAGSDDNEPVGLADAAAVQAAEMRATGLAGVVPGGVAAAAQQAAEANMRRRPDGDDGENKATTTTLMKDVVGGAAEALPADMVATREDADRVAAAAARNAARRGGGGGKGVAEAVAAAAEMNEGRMV >ORUFI12G21760.1 pep chromosome:OR_W1943:12:22845394:22849495:-1 gene:ORUFI12G21760 transcript:ORUFI12G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSPPLSTSLPLSSSFLSLFSSVGQHSRRWGRRESGSGGDEAAAAGDEATTLEKGDACIRRLAFASQPRGSPRLLCLPNPPTVASLSSSAACTAAGSFPRTSSPSAPSPWSPADNDDTSQPAIETSRGTGEQSDDEFCCPLPDYNTYLTYLGRCYLYEPDLSRIVHPPSGNHQGADCAKIEGLLGTTTCVNLQEHFIVVLSLTPSCDFNLGLLAEKNVP >ORUFI12G21770.1 pep chromosome:OR_W1943:12:22920126:22925153:1 gene:ORUFI12G21770 transcript:ORUFI12G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGRYEDSGVSVSFRRKAAYTAASLLVFLVAGQLPLYGVKKYNGDKDVPDPLYWMNCMFASSNNTLMTLGIIPLLLSEMAVRIFSALIITRWPPFHHVRLNRARKLLAIAMAMVMAVSGVLSAGVAAELGTMASLVVMFQLFLGGMIAIYLDELLQKGYGLLSGVSFACIFWKAFTAEDPLLHWAAIIVFFKLVLQLQSCHITLPAVTSPDDPTLQTTYTISPSYMAYVPILFQPAFFSFPLVSISQTLSIKYGETNRVVNLLGKWQQMCKYPGYYVPVARIASYIVTGDPGSLLYAVFLLTGCAFLSLFCFKVCAKSSKRYLVRLVGKPKQTRLSPDDEQLPDEDESISPKQCRRYMTIAAIFVGFCVGFLSLLAGFLGLDGPAIMLAVTVIHSVVQDHSESDGIRAKVYKHTRLVSSLFLSIIMFDHRSPMAGGEGFFVDVQVDAVRAVVMTPAAGGNALRLLVQEQEQRAGGRRRTDGGDSEEDDGGDCLRPYEPIIICCAALVYLALWLCGLLLFCRSVQFLI >ORUFI12G21780.1 pep chromosome:OR_W1943:12:22926939:22927256:1 gene:ORUFI12G21780 transcript:ORUFI12G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDLLVVAPAGSQEEQRDWRTDDDDVDYCDDYGMLNFQPGFDGGNDDDDDSFPYETITMCLVIVFLAMCLYGLSKLVLPYVPASWIHRGFLILRMHIVILSS >ORUFI12G21790.1 pep chromosome:OR_W1943:12:22931211:22931576:1 gene:ORUFI12G21790 transcript:ORUFI12G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDVLVVAPGGGRDALLLVAQESAWRNSDGAGDGDDDDYGMLNFRPGLDGGDDDDGFPYETITMWLVVVFLAMCLYGLTKLVLAYVPASWIIIHRGFLIDPARAHYCCFELLRTGKLY >ORUFI12G21800.1 pep chromosome:OR_W1943:12:22935910:22936251:1 gene:ORUFI12G21800 transcript:ORUFI12G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGEDFFVDDDVVVHAAVAPPPAIGDDDDYGMLNYRPAGCDDDDGGGFAMYEPMIIVFTVVVYLAVWLYGLSKLLPYVRARLHLLRLPDYYCIYAHLFWTGLIGLIISPRD >ORUFI12G21810.1 pep chromosome:OR_W1943:12:22937819:22938434:-1 gene:ORUFI12G21810 transcript:ORUFI12G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSTTSARRSSSKRQVHRPRASTISWTHSLNLTAATPSTTSTSSPRRTARRARSSSSHGRLRFLASAPRCCMLPPKNGSGESWMVCTMRFRQLIRRSWTLSFLESVLIEKNQSINH >ORUFI12G21820.1 pep chromosome:OR_W1943:12:22943972:22944970:1 gene:ORUFI12G21820 transcript:ORUFI12G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLKRRIPGRLGRLLASLRPPSRAGPLPVQTGFPTSLADLLVKNHDRLLLTKPRRRRRPTPPTTTTATASVAAADVETPLPSGREELEEEKVGGVAVRLRPELLGVGAAAALALMVIWSRWLVAAVTVASLSLFWIESVRSSSSRLRPRPRSTPAAETAAELPDLRGRGVVSPIREAAAATPRPSSADSDVGSEVSVSVSVSSIWTDGDEMSQLVVGDSTTNPTKRKEKRRWLRKLIAKRKEKKDSSVVSPSHGEVVGNAAAEQQQQPSTSAEPIMAEASPADGRRGGALPVAAFVPVVLVGLVGGKLPAVALTVIFAVFFSSVERRSPA >ORUFI12G21830.1 pep chromosome:OR_W1943:12:22949376:22954280:1 gene:ORUFI12G21830 transcript:ORUFI12G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKKMVGHLGEQYQEWVHQPIVSKEGPRLFANDVLEKYHLNHHFRIQNKGFGITSTLWDHVFGTLPSTKTIDKKSS >ORUFI12G21840.1 pep chromosome:OR_W1943:12:22954447:22958459:1 gene:ORUFI12G21840 transcript:ORUFI12G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSADAVTKEMEALLVGQNPNAVSGETCETSSKEGKVADSNGSHSSPPEDDDDEAQGDGPSQDGGSEAAKKKKKKSKSKKKKGPLQQTDPPSIPIDELFPSGDFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNAVRRAAEVHRQVRKHMRSILKPGMLMIDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMFDSLLQASKDATNTGVKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQIKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRVAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDVGIVQPYPPLCDVRGSYVSQFEHTILLRPTCKEVISRGDDY >ORUFI12G21850.1 pep chromosome:OR_W1943:12:22961625:22962159:-1 gene:ORUFI12G21850 transcript:ORUFI12G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATSSAVLVVVLVATLAAGGANAATFTITNRCSFTVWPAATPVGGGTQLSPGQTWTINVPSGTSSGRVWGRTGCSFDGSGRGSCATGDCAGALSCTLSGQKPLTLAEFTIGGSQDFYDLSVIDGYNVAMSFSCSSGVTVTCRDSRCPDAYLFPEDNTKTHACSGNSNYQVVFCP >ORUFI12G21860.1 pep chromosome:OR_W1943:12:22969179:22969703:-1 gene:ORUFI12G21860 transcript:ORUFI12G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATSSAVLLVVVLVAAGTANAAIFTITNQCPYTVWPAATPVGGGVQLNPGDTWTIDVPAGTSSGRVWGRTGCSFNGAGTGSCATGDCAGALSCTLSGQKPLTLAEFTLAGSAGGSQQLDFYDVSVIDGFNVGMSFSCSSGETLTCRDSCCPDNTKLRHCNANSNYQVLFCP >ORUFI12G21870.1 pep chromosome:OR_W1943:12:22970794:22971345:1 gene:ORUFI12G21870 transcript:ORUFI12G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALKESTSKTKRRWRRWWHRGWLRPDTAGLDYVNDRWRDPPKNLVLHVGVKPPGKIVYFDMPWIIGDATDLMLKLDSEYYV >ORUFI12G21880.1 pep chromosome:OR_W1943:12:22982798:22997701:-1 gene:ORUFI12G21880 transcript:ORUFI12G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAASPAVLVVVVLVATLAAGGANAATFTITNRCSFTVWPAATPVGGGTQLSPGQTWTINVPAGTSSGRVWGRTGCNFDGAGRGGCATGDCGGALSCSLSGRPPMTLAEFTLGGSQDFYDLSVIDGYNVGMSFSCSSGVGLTCRDSRCPDAYLFPSDNSKTHACRGNSNYQCTLSGQPPLTLAEFTIGGSQDFYDLSVIDGYNLMASPATSSAILVVVLVASLAAGGANAATFTITNRCSFTVWPAATPVGGGRQLSPGDTWTINVPAGTSSGRDFYDLSVIDGYNLPMSFSCSSGVTVTCRDSRCPDAYLFPEDNTKTHACGGNSNYQVVFCP >ORUFI12G21890.1 pep chromosome:OR_W1943:12:22996878:23014048:1 gene:ORUFI12G21890 transcript:ORUFI12G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIVSVGASAVPAGQPHAGAAGEAHADVVPVDHRQKSRLPPDVPSVNSASVSGGCPDSLHDSAPPQSPVAHLPRPAPSKLHPVRPHTLPELVPAGTLMVHVCPGFSCVPPPTGVAAGHTVNEQRLVMVKVAALAPPAARLATRTTTRSTAEEAAGADAIVKYEQVERSKLGLLGESNQPIPTELGTIEMKSPAHKGSEITISGPSQKGKCIGR >ORUFI12G21900.1 pep chromosome:OR_W1943:12:23006371:23006919:-1 gene:ORUFI12G21900 transcript:ORUFI12G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAASSAVLLVVVLVASLAAGGANAATFTITNRCSFTVWPAATPVGGGTQLNPGQTWTINVPAGTSSGRVWGRTGCSFDGAGRGRCATGDCGGALSCRLSGQPPLTLAEFTLGTSGGNRDFYNLSVIDGYNVAMSFSCSSGVTLTCRERSCPDAYQYPSDDSKLRSCNGNSNYRVVFCP >ORUFI12G21910.1 pep chromosome:OR_W1943:12:23014359:23015075:1 gene:ORUFI12G21910 transcript:ORUFI12G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRVFVLLLLAVAVAVVYSADAATITVVNRCRYTVWPGALPGGGVRLDPGKSWTLNVAAGTKAARIWPRTGCDFDGAGRGRCLTGDCRNALSCAVSGAPPTTLAEYTLGTPGAAGGDATDYFDLSLIDGFNVPMSFQPTSNAARCGARRRGPSCGVDITAQCLPELKVAGGCDSACGKFGGDAYCCRGKYEHECPPTKYSKFFKDKCPDAYSYAKDDRSSTFTCPAGTNYQIVMCP >ORUFI12G21920.1 pep chromosome:OR_W1943:12:23018183:23018959:-1 gene:ORUFI12G21920 transcript:ORUFI12G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSISRRRRIDIALVSLLLLAAAAAAVDAATITMVNRCSYTVWPGALPGGGARLDPGQSWSISVAAGTPAARIWPRTGCSFDGAGRGRCATGDCAGALSCAVSGEPPTTLAEYTLGRPGAGGDDFLDLSLIDGFNVPVSFQPANGGGARCSKGRGPSCAVDITARCLPELRVPGGCASACGKFGGDTYCCRGRFEHVCPPTSYSMFFKGLCPDAYSYAKDDQTSTFTCPAGTNYRVDFCPPTSGVTAGDEDDEIASA >ORUFI12G21930.1 pep chromosome:OR_W1943:12:23021504:23022724:-1 gene:ORUFI12G21930 transcript:ORUFI12G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVRAAHGASRVARLAAAAAAARRDDEGASSRAASLAASHDGKCVTKEDLESDEAVWALFERYCKSYKRKYDDAEMVRRFHIFKFNAKTTYCWNNYLHKDVKELARAKRDRDLGLPVDSWYLQKELGQFDDGGDPLTQNRRYYF >ORUFI12G21940.1 pep chromosome:OR_W1943:12:23023751:23024415:1 gene:ORUFI12G21940 transcript:ORUFI12G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATRSSKLLALWLCCALPLIMSSTAAGTTTATTPAVQQQPQPTAAAGAGEGQCRASSDDLDGGVERGSCRYDFQEDTRPHEEYVPAAEVASALPVPIPDGNPVEGAFTNGGLGGNQAFFPGSSGYGTAAGLGAGGFGPYGGYGGGPGTYGYNGPLYFGSAPTRTGSPAGSCAAAAVLLLLSAAAMYI >ORUFI12G21950.1 pep chromosome:OR_W1943:12:23036435:23040324:-1 gene:ORUFI12G21950 transcript:ORUFI12G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARCLPLRASPPEVAKAFSSSIPASSSSSSSSSSTTTAAASLPLRAAVPPSSGRRIPPLRCASSSSSSQGSASPDIAVLLEVEGVLADVYRFGNRQAFNVAFQNLGLDCANWTEPIYADLVRKASGDEERMLLLFFNRIGWPTSLPTNEKESFMKSVLREKLKALEVFSASDSLPLRPGVEQFIDDALNEGVPVAILTTYGRNGEKTSRYIIEKLGQERTSKIHIVGKEEVERSLYGQLVLGEGVASSLDEQLVKEAQKAASAEKQRIAEEVASILKLSVDINAASKSSEKIIVTLRAGSEYVGRDVQNCVLVAGSQSGVLAAERIGMPCIVVRSSLTARAEFHSAKAVMDGFGGTDLTISKLLSKKWS >ORUFI12G21960.1 pep chromosome:OR_W1943:12:23040760:23043580:-1 gene:ORUFI12G21960 transcript:ORUFI12G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRRVLLGGEGAIGGGLEVAAHGPSASARLAGQEASANRGAGEEQAAGAVVGEGADWRDALERGHRTRAHSSNPEDIKFVLEMINTNWMAMWDIKNIIQAPHQHMAMIKRGTIRVLQDRHYVNKLNNFLGVQRGFHVHTY >ORUFI12G21970.1 pep chromosome:OR_W1943:12:23046258:23048288:-1 gene:ORUFI12G21970 transcript:ORUFI12G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKRLERIIKEIDSPISPGGGAALLAEDDDLVFPGFRFHPTDQELVGFYLTRKVEKKPFSIDIIKEIDIYKHDPWDLPKVSHGAVALQGSSSSSSLSTAAAAEKECGYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDKPIYSSSLAAAAAAAGAGDCIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPSSISDSDHLQDASETWTICRIFKRSMTYTKGRAAAAAASMNKRISHELQHIHHHQQQQFYYHEVVHDGHGHHHRRHLQHYAGSASMAAAAANIVDVIDHSSDAETTTRSHSHSQSHLVADIRHRQSPFMLDFHAGTASSSSSTAAGWSEVMSFSRDGGSSSGSSWDELGRIMDISTNSANNNYYL >ORUFI12G21980.1 pep chromosome:OR_W1943:12:23054206:23055119:-1 gene:ORUFI12G21980 transcript:ORUFI12G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLVASAAACRAARAAVARHDAAAASRAARLAEAAACRAARLAAAADVSDRLSIRYSSEPPDDGKCVTAEDVRRVRRSCIVQVEA >ORUFI12G21990.1 pep chromosome:OR_W1943:12:23060924:23064864:1 gene:ORUFI12G21990 transcript:ORUFI12G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPGNKIRNAKLVLLGDVGTGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNAASFTRAKKWVQELQAQGNPNTIMALAGNKADMVEARQVPAEEAKTYAQENGLFFMETSAKTAINVNDVFHEIAKRLLQGQQAQDTPAGMVLNQRPAERMVSSSSCCS >ORUFI12G22000.1 pep chromosome:OR_W1943:12:23064578:23067615:-1 gene:ORUFI12G22000 transcript:ORUFI12G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLADVEGSFACNSRGEDDFRSCCGDEDEWEDTEESFTAGVAKGELDESSVRLFFKGVSSPEAEGKKLSGIGVVMERSPGVPMLQVQKKLDFYVDELVADHLALMDGLLVALQNGIRKIFAFTNSEKLYFQARIAEAEILEDQLLVALGHRILELVEKLEDFDIILLPSFELERPLQLAKEAIGIMYLSPYEVGTCSICCEEKRGAQMIKVGCAHTFCYSCLTSYTQEKLQTNKVPIRCPQLRCKYHISASECKSFLPVSCYDSLERAFAEAGTSDMERFYCPFPNCSVLLDLSQHFSRASTSSQSDLNCVECPECHRDICINCGVPWHVMMGCDEYQSLPVDERDAGDLSLHRLAQNNRWRRCQRCRRMIELTQGCFHMNCWCGHEFCYSCGAEYTNGIQTCQCVFWDEESIEAASAAHSTQASEIWAWDTFDCMPTAIEGYSEQERAQLALIQRFLSGGFNLGEPPSQSPPRCADSYIIDTMKDLHQLPWLERFVSVISDSYNEDYIQ >ORUFI12G22010.1 pep chromosome:OR_W1943:12:23081108:23081851:1 gene:ORUFI12G22010 transcript:ORUFI12G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRRSEMVAGDKGAVESCRNHHHGECAVDLASSCCTYAPPATTAASSRKKRPRVVVADETTTTNTVVDDDDEDDDPSALLRRRARWKQGLEAVKTAVAWRLAAKDDEIRRARREMAERLRCAWAVSRAWQSIAVAREGEKAALQGENAALRVELDHVLRAKPRWHHDDDDAESCCYGDNFTDDTGGKEEDEGGGDASTAARCFGCGERACCWQWHLCAACAAVAAAWACPACGYSNMDMDDTCMV >ORUFI12G22020.1 pep chromosome:OR_W1943:12:23085716:23089480:1 gene:ORUFI12G22020 transcript:ORUFI12G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSSSDDWVMLDSDNPADSSSDDDYVLALSSSCNTPACSDEEEEDDEVGSDADGDDLYGGELEEEDSPRPPPPRPLSGLFYHTASDNQPGYLAFDAIRSAKHLIPDPRFSALPEHVAVLASTRGLVCLRGETTGSYYVANPATFRRVRLPRHTRDHVDPAVVITFEEPTASASCFGGIGVEHYHVVVAFNLGGGVWSFESFSSRTWKWRVSPGISIVEQVESFSGVGAHGRAFWRTSIGFVYYDPEKGYPHEFAAPPEVEARPFWEIGEMEGNLCVTCMDQRVTEVAVLNLDMDVLAADGVGSWSWAGQFEGSSLRNREGVELLRSQGMAEVVMWDPSEERVVAMDLEGRTTRNIGPLTGEDYSRGFIPYVASIAEISSDQISSKCSASAADANTPNLGAADATTLNNLAAPAAQVH >ORUFI12G22030.1 pep chromosome:OR_W1943:12:23092052:23096567:-1 gene:ORUFI12G22030 transcript:ORUFI12G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAARSLLLSAARRQRRRFSTEAPSSTPQQQLLPKGKRWDAVVIGGGHNGLAAAAYLARAGRSVAVLERRGVIGGAAVSESDLVPGFRFSRCSYLLSLLRPALIQELELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAELNHSEISKFSENDAGAYPRYEEKLEKFCKLMDFVIDSPPPEMRHEYHLSMVDRMKDKVDKSVFWSKLLGIVMQQGQKDMVDFFDLLLSPASKILNNWFEGDVLKATLATDAVIGSMAGVHTPGSGYVLLHHVMGETGGQRGVWAYVEGGMGSVSSAISKAALEAGVSQISVHHTSGKVQGVVLADGTEVLTSVVLSNATPYRTFVDLVPANVLPDNFLSAIKTADYSSATTKINVAVDRLPQFSCCKDFNPEGGPEHMGTIHIGSESMEEIDLAYREAANGVSSTRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPYKLSEGSWQDSNVRKSFAERCFSLIDEYAPGFSSSVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAASVVLEDLRTK >ORUFI12G22030.2 pep chromosome:OR_W1943:12:23092052:23096567:-1 gene:ORUFI12G22030 transcript:ORUFI12G22030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAARSLLLSAARRQRRRFSTEAPSSTPQQQLLPKGKRWDAVVIGGGHNGLAAAAYLARAGRSVAVLERRGVIGGAAVSESDLVPGFRFSRCSYLLSLLRPALIQELELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAELNHSEISKFSENDAGAYPRYEEKLEKFCKLMDFVIDSPPPEMRHEYHLSMVDRMKDKVDKSVFWSKLLGIVMQQGQKDMVDFFDLLLSPASKILNNWFEGDVLKATLATDAVIGSMAGVHTPGSGYVLLHHVMGETGGQRGVWAYVEGGMGSVSSAISKAALEAGVEILTNAEVSQISVHHTSGKVQGVVLADGTEVLTSVVLSNATPYRTFVDLVPANVLPDNFLSAIKTADYSSATTKINVAVDRLPQFSCCKDFNPEGGPEHMGTIHIGSESMEEIDLAYREAANGVSSTRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPYKLSEGSWQDSNVRKSFAERCFSLIDEYAPGFSSSVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAASVVLEDLRTK >ORUFI12G22030.3 pep chromosome:OR_W1943:12:23092052:23096567:-1 gene:ORUFI12G22030 transcript:ORUFI12G22030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAARSLLLSAARRQRRRFSTEAPSSTPQQQLLPKGKRWDAVVIGGGHNGLAAAAYLARAGRSVAVLERRGVIGGAAVSESDLVPGFRFSRCSYLLSLLRPALIQELELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAELNHSEISKFSENDAGAYPRYEEKLEKFCKLMDFVIDSPPPEMRHEYHLSMVDRMKDKVDKSVFWSKLLGIVMQQGQKDMVDFFDLLLSPASKILNNWFEGDVLKATLATDAVIGSMAGVHTPGSGYVLLHHVMGETGGQRGVWAYVEGGMGSVSSAISKAALEAGVEILTNAEVSQISVHHTSGKVQGVVLADGTEVLTSVVLSNATPYRTFVDLVPANVLPDNFLSAIKTADYSSATTKINVAVDRLPQFSCCKDFNPEGGPEHMGTIHIGSESMEEIDLAYREAANGVSSTRPVIEMTIPSVLDKTISPPVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAASVVLEDLRTK >ORUFI12G22040.1 pep chromosome:OR_W1943:12:23099879:23101521:1 gene:ORUFI12G22040 transcript:ORUFI12G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDVEYRCFVGGLAWATDDRSLEAAFSTFGEILESKIINDRETGRSRGFGFVTFSSEQAMRDAIEGMNGKELDGRNITVNEAQSRRSGGGGGGGYGQRGGGGGYGGGGGYGGGGGGGYGQRREGGYGGGGGYGGGRGGGGGYGGGYGSRGGGNSDGNWRN >ORUFI12G22050.1 pep chromosome:OR_W1943:12:23129120:23130566:1 gene:ORUFI12G22050 transcript:ORUFI12G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSFFMQWAMDTLHQLPSDSTAAAAYATDVAGDSGAFPSLQALRNASAAGGGGGFRDLTVQVDQVHRANSWSSSDSPGGGAATAAAGWSPHVTGGGGRGHRPMSWNFSAASAQPTTEDSGGGGGGGVVPAPLQAMETTATARAAVKKGGGGGSSSSAAAPGYVQDHIIAERRRREKINQRFIELSTVIPGLKKMDKATILGDAVKYVKELQEKVKTLEEEDGGGRPAAMVVRKSSCSGRQSAAGDGDGEGRVPEIEVRVWERSVLVRVQCGNSRGLLVRLLSEVEELRLGITHTSVMPFPASTVIITITAKASSLSNHPALLCLYICIKLPCVISS >ORUFI12G22060.1 pep chromosome:OR_W1943:12:23134806:23139694:-1 gene:ORUFI12G22060 transcript:ORUFI12G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAAAARRMERLASHLRPPASQMEESPLLRGSNCRAKGAAPGFKVAILGASGGIGQPLALLMKMNPLVSVLHLYDVVNTPGVTADISHMNTGAVVRGFLGQPQLENALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVRTLCEGIAKCCPNAIVNVISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVAEVLGLDPRDVNVPVIGGHAGVTILPLLSQVNPPCSFTSEEISYLTTRIQNGGTEVVEAKAGAGSATLSMAYAASKFADACLRGLRGDAGIVECSFVASQVTELPFFASKVRLGRCGIEEILSLGPLNEFERAGLEKAKKELAESIQKGVAFINKFINIYMYVCNTRKSYIVKRREYISSNQVNVNKIM >ORUFI12G22060.2 pep chromosome:OR_W1943:12:23134806:23139694:-1 gene:ORUFI12G22060 transcript:ORUFI12G22060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAAAARRMERLASHLRPPASQMEESPLLRGSNCRAKGAAPGFKVAILGASGGIGQPLALLMKMNPLVSVLHLYDVVNTPGVTADISHMNTGAVVRGFLGQPQLENALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVRTLCEGIAKCCPNAIVNVISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVAEVLGLDPRDVNVPVIGGHAGVTILPLLSQVNPPCSFTSEEISYLTTRIQNGGTEVVEAYAASKFADACLRGLRGDAGIVECSFVASQVTELPFFASKVRLGRCGIEEILSLGPLNEFERAGLEKAKKELAESIQKGVAFINKFINIYMYVCNTRKSYIVKRREYISSNQVNVNKIM >ORUFI12G22070.1 pep chromosome:OR_W1943:12:23149805:23152828:1 gene:ORUFI12G22070 transcript:ORUFI12G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLLPPLLLLLGLHAAAAAVSEVSALMAFKNALTIPPTAAAFFARWDAAAASPCNFTGVDCANSGGGGVTAVAVEGLGVAATSVPFDVLCGSLPSLAKLSLPSNALAGGIGGVAGCTALEVLDLAFNGFSGHVPDLSPLTRLQRLNVSQNSFTGAFPWRALASMPGLTVLAAGDNGFFEKTETFPDEITALTNLTVLYLSAANIGGVIPPGIGNLAKLVDLELSDNALTGEIPPEITKLTNLLQLELYNNSLHGELPAGFGNLTKLQFFDASMNHLTGSLSELRSLTQLVSLQLFYNGFTGDVPPEFGEFKELVNLSLYNNNLTGELPRDLGSWAEFNFIDVSTNALSGPIPPFMCKRGTMTRLLMLENNFSGQIPATYANCTTLVRFRVSKNSMSGDVPDGLWALPNVDIIDLANNQFTGGIGDGIGRAALLSSLDLAGNRFSGAIPPSIGDASNLETIDISSNGLSGEIPASIGRLARLGSLNIARNGITGAIPASIGECSSLSTVNFTGNKLAGAIPSELGTLPRLNSLDLSGNDLSGAVPASLAALKLSSLNMSDNKLVGPVPEPLSIAAYGESFKGNPGLCATNGVDFLRRCSPGSGGHSAATARTVVTCLLAGLAVVLAALGAVMYIKKRRRAEAEAEEAAGGKVFGKKGSWDLKSFRVLAFDEHEVIDGVRDENLIGSGGSGNVYRVKLGSGAVVAVKHITRTRAAAAAARSTAASAAMLRSPSAARRTASVRCREFDSEVGTLSSIRHVNVVKLLCSITSDDGAASLLVYEHLPNGSLYERLHEGQKLGGRGGLGWPERYDIAVGAARGLEYLHHGCDRPILHRDVKSSNILLDESFKPRIADFGLAKILDGAAATPDTTSAGVVAGTLGYMAPEYSYTWKVTEKSDVYSFGVVLLELVTGRTAIMAEYGESRDIVEWVSRRLDSRDKVMSLLDASIGEEWEKEEAVRVLRVAVVCTSRTPSMRPSMRSVVQMLEAAAIGREFAVVTSVKVKVIP >ORUFI12G22080.1 pep chromosome:OR_W1943:12:23162837:23166704:1 gene:ORUFI12G22080 transcript:ORUFI12G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINLSSPPIFLLFFLWCVVVFFVAGDGGAVVAEAALDAQAAYLSQMKQEFAGPAMARWDFSAPAVDYCKFQGVGCDASGNVTAIDVTSWRLSGRLPGGVCEALPALREVRLGYNDIRGGFPGGLVNCTSLEVLNLSCSGVSGAVPDLSRMPALRVLDVSNNYFSGAFPTSIANVTTLEVANFNENPGFDIWWPPESLMALRRLRVLILSTTCMHGGVPAWLGNMTSLTDLELSGNLLTGHIPLSLARLPNLQLLELYYNLLEGVVPAELGNLTQLTDIDLSENNLTGGIPESICALPRLRVLQMYTNKLTGAIPAVLGNSTQLRILSVYRNQLTGELPADLGRYSGFNVLEVSENQLTGPLPPYACANGQLQYILVLSNLLTGAIPASYAACRPLLRFRVSNNHLDGDVPAGIFALPHASIIDLSYNHLTGPVPATIAGATNLTSLFASNNRMSGVLPPEIAGAATLVKIDLSNNQIGGAIPEAVGRLSRLNQLSLQGNRLNGSIPATLADLHSLNVLNLSYNALAGEIPEALCTLLPNSLDFSNNNLSGPVPLQLIREGLLESVAGNPGLCVAFRLNLTDPALPLCPKPARLRMRGLAGSVWVVAVCALVCVVATLALARRWVLRARQDGEHDGLPTSPASSSSYDVTSFHKLSFDQHEIVEALIDKNIVGHGGSGTVYKIELSNGELVAVKKLWVSRRSKQEHGHGGGGGCLDRELRTEVETLGSIRHKNIVKLYCCYSGADSNLLVYEYMPNGNLWDALHGGGGWGFGFLDWPTRHRVALGVAQGLAYLHHDLLFPIVHRDIKSSNILLDADFEPKVADFGIAKVLQARGDRDASTTTIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELATGKKPIEPEFGDTRDIVQWVSGKVAAGGEGEALDKRLEWSPFKEEMVQALRVAVRCTCSIPGLRPTMADVVQMLAEAGPAAGRTAKDAANKKDSSGEPKL >ORUFI12G22090.1 pep chromosome:OR_W1943:12:23168899:23175067:-1 gene:ORUFI12G22090 transcript:ORUFI12G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRMPLLGWWLMLVGSLRLASVWFGFFNIWALRVAVFSQTDMTEIHGRTFGVWTLLTCTLCFLCAFNLENRPLYLATFLSFIYALGHFLTEYLIYHTMAVANLSTVGFFAVLSCNTQMTCLKLIGLHKEVENYFVSCTNLTMVMHRSLKATVLDAGLTAVAHDAVHFDSDLPHYATHGGVLRDPSGGDPGRVVSPPLMWAEALDLLLARLAPRVDYARVAAVSGGAQQHGSVYWKRGAAAVLASLDPARGLAPQLAAALATTESPVWMDSSTAAQCREVEAAVGGALELARMTGCRAHERCTGPQIRKMYQARRRVYDDTERISLVSSFMASLLVGGYACIDETDGAGMNLMDITTRELRRDALEATAPDLEDKIGKLAPAHAVAGTLSPYFVQRFQFSSNCLVVQWSGDNPNSLAGLTLSDPGDLAISLGTSDTVFGITDLPEPSLDGNILPNPVDPKTYMVMLCYKNGSLTREDVRNRYADGSWDVFNKHLENTAPLNGRKLGYYYNEPEILPPLPVGFHRYVVSSSASGSLDEMVEHQINQFDPPSEVRAIIEGQFLSMRGHAERCGLPVPPKRIIATGGASSNQIILKTMASIFGCSVYTVQRPDSASLGAALRAAHGWICNQKGKFVPVSCLHSDRLNRTSLSIKLAAPFGDSEEDIDLLNNYTLLMKKRLEIEQKLVEKFGRHS >ORUFI12G22100.1 pep chromosome:OR_W1943:12:23186580:23187924:-1 gene:ORUFI12G22100 transcript:ORUFI12G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMMRTVIAAVVVGLLAAAHTATTAPEQSYGGATATVAPKQPAAGEDVNKALAAETAAAEAEDAAEEEDAAAALVTGFTAGAANSAPGVTAVMKPVLEKADAGQFYQDVPWIPDPNDEGNPPKSLPAAQVLPAKGGAGAAGSSPPSKEVQVDYYATTQPKKPEEPPTVAAVQKDNCVPPAAPKPAVPATPSTSPPSNKEYAPAAPGVVPVNQPSSPAAPAGVVPAPVQPSSPAAPAGVVPAPVQPSSPATAVPKPPSNDPYAPATSNTPAAEEQKDGLNEKAISDIVREHNMFRTREHVPPIVWNATLAKYAQEYADLRRGDCQLEHSHGPYGENMMFGTGKQWTWKKTVDEWSDEKKSYDYKSNSCKAGAMCTHYTAIVWKNTTAVGCGRVVCTSGDTIMVCSYWPPGNYVGVKPY >ORUFI12G22110.1 pep chromosome:OR_W1943:12:23192199:23192624:-1 gene:ORUFI12G22110 transcript:ORUFI12G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEDVVVAASFFSDSDVHAAATGASNVQLVGGEEEDRPGADGGVEGEDEAVVVVGEGAVADAGAEVVEPAEAAALAAAAEAIQLPPVPCCWMYDRSRSSSSAVHAPRFGFTAALSQHADDLPIARSLDRKGDARVARYK >ORUFI12G22120.1 pep chromosome:OR_W1943:12:23195169:23199409:-1 gene:ORUFI12G22120 transcript:ORUFI12G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISGLLTSAGINIALSVLFISLYSVLRKQPANVRVYFGRRIAEEHNRLREAFILERFVPSTGWIVKALQCTEEEILAAAGLDAVVFNRILVFSLRIFSLAAILCVFGILPLNYFGQDIHHVRIPSESLDIFTIGNVKEYKHIARLRLRHLTCAMPNPSHFTVLVRGIPKETKESCSNAIDDFFTKYHGSSYLFHQVVYKVGKVQKIMTGAKKAYRKFKHFTDSTIDQRCRAISYRCCLCGASSNSFQLLATGLEQNQGKSDLQDSSLKLDDQECAAAFVYFRTRYAALVASEILQTSNPMKWVTDLAPEPDDVYWSNLWLPYKQLWIRRIATLLGSIVFMLFFLIPVTFIQGLSQLEQLQQRLPFLKGILEKKYMSQLVTGYLPSVILQIFLYAVAPIMILFSTLEGPISHSERKRSACCKVLYFTVWNIFFGNVLSGTVISQLNVLSSPKDIPVQLARAIPVQATFFITYVLTSGWASLSSELMQLFGLIWNFVRKYILRMPEDTEFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYIVYRNQLLNVYRTRYDTGGLYWPIAHNAVIFSLVLTQIICLGVFGLKESPVAAGFTIPLIILTLLFNQYCRNRLLPLFRTTPAQDLIDMDREDERSGRMDEIHHRLHSAYCQFHDTEDIPLEKIQTVGSDEEQGCSSDKSNGKESFEEPRAELSHPTLNGLPVSRLRHAVKSITFLVRLQKRGLSE >ORUFI12G22130.1 pep chromosome:OR_W1943:12:23203539:23207688:1 gene:ORUFI12G22130 transcript:ORUFI12G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGNATLSSGWARTVSMTYMRPIFIGPERDCYFVRLAAARAVCHAWRAIVDEHSLTTQAAALLPNHLRGLFVCLNESCLHGFFARPSPPATIPGIDLDYDLDDDATIEVHCNGLLLLDRHIVNPATRQWMRLPPVPPYASLPNIMYGDRGLVFDPAASPHYDVLWMPYLILHRLPAASLSDQWPPSPFILHVFSSTTGRWEEKSFLREGDATMGTMADVSLARVPYHCKTHSVYLRGALYMHCQNDCVIKITLNDHKYRVIRLPGDSASNRKTRDPFLGKSKDRVCYVLVTGLSRLQIWLLNETSSSSSSSSSYDDNEWVLKHGVDLGPIIQSYPCNHGRQQWIWHNADTKQDKTRELPAVNDMEEFEWAIDKDSDDIISGANESIHHNGEYISAVLGFHPFKDIVFLHDTNLRVVAYDYNKAKVQDLGMMFLYHNTDRVPSDGKAQGSQHVRPMSPSSSHLILISPSHAFGLPNDASNPVLEARSVDRPPPPSSYLGLTQPHSALG >ORUFI12G22130.2 pep chromosome:OR_W1943:12:23203539:23207688:1 gene:ORUFI12G22130 transcript:ORUFI12G22130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGNATLSSGWARTVSMTYMRPIFIGPERDCYFVRLAAARAVCHAWRAIVDEHSLTTQAAALLPNHLRGLFVCLNESCLHGFFARPSPPATIPGIDLDYDLDDDATIEVHCNGLLLLDRHIVNPATRQWMRLPPVPPYASLPNIMYGDRGLVFDPAASPHYDVLWMPYLILHRLPAASLSDQWPPSPFILHVFSSTTGRWEEKSFLREGDATMGTMADVSLARVPYHCKTHSVYLRGALYMHCQNDCVIKITLNDHKYRVIRLPGDSASNRKTRDPFLGKSKDRVCYVLVTGLSRLQIWLLNETSSSSSSSSSYDDNEWVLKHGVDLGPIIQSYPCNHGRQQWIWHNADTKQDKTRELPAVNDMEEFEWAIDKDSDDIISGANESIHHNGEYISAVLGFHPFKDIVFLHDTNLRVVAYDYNKAKVQDLGMMFLYHNTDRVPSDGKAQGSQHVRPMSPSSSHLILISPSHAFGLPNDASNPVLEARSVDRPPPPSSYLGLTQPHSALG >ORUFI12G22140.1 pep chromosome:OR_W1943:12:23207403:23208287:-1 gene:ORUFI12G22140 transcript:ORUFI12G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNDAGDGDDDKPEMPVVLITGCANGGIGYEYCKAFASLGCRVVATDVPDRVPDLAGLDADHLPLDVTSDESVEGAVARVLAEHGRVDVLVNNAGIGCTGPLAELRGEAVRRAMDVNFLGQLRMVRAVAPHMASRRSGRVVNVGSVVGTAATPWAGPYCASKAAVHAATDALRVELRPFGVHVVKVVPGAVRSGLGHANTAQLAGGQAEWRLYREFAAAIAERARASQAGGATDGGVFAAHVARRVMSARPPREIVYGNMTLLFAALAAAPLWARDAFFAKRFGLDKMLPPR >ORUFI12G22150.1 pep chromosome:OR_W1943:12:23211803:23212246:1 gene:ORUFI12G22150 transcript:ORUFI12G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEEAAAAPATVMFSWEQELGVPKQKMASDDDMPESPRKAPPPPARRLSVPPPPGRMLMAGSKSFSKARAVRPEDDPFLAAYLACTKSSNGGGGGVARESKGQRRSRWAGLGLGLGLGLSCKSSNGVVEDSMVKMAKLPEVHPRDA >ORUFI12G22160.1 pep chromosome:OR_W1943:12:23222175:23223477:1 gene:ORUFI12G22160 transcript:ORUFI12G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRSPRPVCSIFSSTQILGPTAAALLPCTLRGIFVQIGVPTLSGFFARPSPSAGTKTTRPPIPGALHLDYLDTDEIEKSLLTIIDHCNGLILLDHHVVNPATRQWTRLLPYSPPESSPPGSEIILDGYHALINEFQVT >ORUFI12G22170.1 pep chromosome:OR_W1943:12:23223931:23225319:1 gene:ORUFI12G22170 transcript:ORUFI12G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLPDDVLRHLPRRSLAASRCVCQSWRVIIDDRSLLATAALLPCTLRGIFVQIGVPTLSGFFARPSPAGTRRPPIPGVLDLDYLDTNQIEKSLLTIVDHCNGLILLDHHVVNPATRQWTRLPPYPPESPGSDIILDGYHALVFDPAMSPHYQVFLMPYVLRRATVSGQWPPSPLLLHVFSSRTEPEGSTWRWEERSFVREGNATMGTIDEVCSSSGWEPFDTYSVYFRGSLYVHCQNNCVIRITIANHRYRIIKLPGDFVGNQNITVDPYLGKSQEGVYYALVIGLCRLQIWFLKEYQSSYSSYSNSYCGGINGDGGGMETTTMDHEHEWVLKHDADLGPVLAAGYTLNDVKEEEFEWNDSDDENGSAGEDRQYKGYISQVFGFHPFKEIVFLYDTDTRVVAYHYNRSKVQDLGVLQVRERVSRSFSYTPCWMPDLPGMHN >ORUFI12G22180.1 pep chromosome:OR_W1943:12:23226975:23227839:-1 gene:ORUFI12G22180 transcript:ORUFI12G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESCYVRRLSDLLQEQQEPFLLAGGASLADACRRRLLAFCHRRAAVSNKSRALGGLGAAVFCGAAVRRALLAGCFSCGARQSFRRLRHAGAGDIAAGCDVDDDEEEECARQLSPMSVLDLDINSDDESSSMLGVGHREKDDESPSTSGKSSPPPPPEQNPLDAAAAPCFTFYEAGKNCKAETGDEEEYETTRSKLEEQMIISSWERISGDISRIPALVELDLTGSLQQWRRLREEEASQVGASIEAMIFEEMRVEAVRDMMLVA >ORUFI12G22190.1 pep chromosome:OR_W1943:12:23233191:23233697:-1 gene:ORUFI12G22190 transcript:ORUFI12G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPFPSDSDTALEAFLADIGFGAPCPEAAPAPSPSHPDTTAAAATDEPVMAGDDQADEERRRRLRRRISNRESARRSRARKQRHLDELRAKASALRATSRDLVARLRGARARAALVALTNAQLRDEAGKLALRLAAARRVIALRQLYSAAAAGGGFEMQALASLIA >ORUFI12G22200.1 pep chromosome:OR_W1943:12:23241553:23241912:-1 gene:ORUFI12G22200 transcript:ORUFI12G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRAATSSPRCASFSCNRGGAHAHLGQSCGRPLGRAAGCLAAAFFASLERCSCVEFPTDDDDDHPPRSRDVVVVSEAAPLLPRATTAAPKKSTSTTTAGKGKISRGGFRCCDNTTTAN >ORUFI12G22210.1 pep chromosome:OR_W1943:12:23245126:23245557:1 gene:ORUFI12G22210 transcript:ORUFI12G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAPRLLIVVVSVLFTAGIIASAAAARDLTADPAGQAPPAYDFGIPAGFFVPGTNNPYNGDPAAWAAGYGSAAAGDVGGFGNGGAEAPSMVCSDKGPCNGKKLTCPKKCFVSFSRSGNGYAAGGGGGGCSFDCSTKCEATC >ORUFI12G22220.1 pep chromosome:OR_W1943:12:23246483:23248884:-1 gene:ORUFI12G22220 transcript:ORUFI12G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTRRRRRRQPAPGAPLPSGGDGTAGEATQEQPLPVVMPPSADSVHVLLKQALRADDHASLLNCLYNKDHKVIVNSISLLTPADAVKLLKFFILLMQSRGAVLVSLLPWLQSLLSQHMSSIVSQESSLLLLNSLYHLIDARTSTFKSSLQLSTCLDYLFSEIDDDGSGEEEGSPPIIYEDKDTDDEESEVDDMETSGEGEDLGGVTDASEHSDGSEVMSD >ORUFI12G22230.1 pep chromosome:OR_W1943:12:23249726:23254736:-1 gene:ORUFI12G22230 transcript:ORUFI12G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPDLFEKLLGDDQDSWPAEANLLLAAHDGNLRRIKEIAATLDDDGEGIAATVARTAFHGMNALHAARSLHVYRYLLEVANMDVNKPDTTPGRKTPLERAIAGGDLPSVRYLIDHGADIHHEREGNITFLHSAAKKGRTEIVKLLLSRGAHVDGKSDHGTPLHFAAIKGYESTVKILLEHQADPNKVMPSSQATPLSAALFTTSLPCVKLLIQAGADVNATNNPLARAAGSGLTEAIKLLLKAGANPNCPDTHGRMPIELAAVYGTREDVEILFPLTNPIPTVADWSVDGVITYANLERKKLEDDDYVNTKMSDLKQKGNEAFDEQDYEKASVWYTQALEVDPCDATTLLKRCLCWLRMGEGKKAVKDATTCAKHHPKLSEAYHRLGEALMLEKDYEKACVALTHGIELDPESDEMDKLFWEAMDLKN >ORUFI12G22240.1 pep chromosome:OR_W1943:12:23264072:23264407:-1 gene:ORUFI12G22240 transcript:ORUFI12G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHAADARRPAHEAALRAVQKPPTKPWRASPSAAPGPAPPKVYRVEPREFRDLVQRLTGAPAPPPPRQPVAPAQPVPVRPAVDEAQLYAPWFGFPMPMAASLDAAHGALI >ORUFI12G22250.1 pep chromosome:OR_W1943:12:23268684:23271261:1 gene:ORUFI12G22250 transcript:ORUFI12G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGVAMVVTVNGGNGKDSFGNMARPPSRSLLPLKLLKPFLIFAVLATGFLAAAAAALLLLGGGAGASYVPNVLSATAWQQQPRCAQQQEDGGRAALERWTRLPAASAWHNMSDEELLWAASMEPRVRRDPYRRVPKVAFMFLTRGPLPLAPLWERFFNGSGGRELFSIYVHSTPGYNPDFPTTSVFYRRQVPSQVAQWGQTNMFDAERRLLANALLDGGNERFVLVSESCVPLHGFPAVYGYLTASRHSFVGAFDDPGPHGRGRYRAGLAPEVSPEQWRKGAQWFEVDRSLAVFVVGDERYYPRFRELCRPPCYVDEHYLPTVLSIEAAGRIANRSVTWVDWSRGGAHPATFGGADVGEAWVRKAAAGQRCLYNGQPSEVCFLFARKLAPSALQPLLALPPTLLGY >ORUFI12G22250.2 pep chromosome:OR_W1943:12:23268684:23271261:1 gene:ORUFI12G22250 transcript:ORUFI12G22250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMQGGVAMVVTVNGGNGKDSFGNMARPPSRSLLPLKLLKPFLIFAVLATGFLAAAAAALLLLGGGAGASYVPNVLSATAWQQQPRCAQQQEDGGRAALERWTRLPAASAWHNMSDEELLWAASMEPRVRRDPYRRVPKVAFMFLTRGPLPLAPLWERFFNGSGGRELFSIYVHSTPGYNPDFPTTSVFYRRQVPSQVAQWGQTNMFDAERRLLANALLDGGNERFVLVSESCVPLHGFPAVYGYLTASRHSFVGAFDDPGPHGRGRYRAGLAPEVSPEQWRKGAQWFEVDRSLAVFVVGDERYYPRFRELCRPPCYVDEHYLPTVLSIEAAGRIANRSVTWVDWSRGGAHPATFGGADVGEAWVRKAAAGQRCLYNGQPSEVCFLFARKLAPSALQPLLALPPTLLGY >ORUFI12G22260.1 pep chromosome:OR_W1943:12:23271286:23277191:1 gene:ORUFI12G22260 transcript:ORUFI12G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENGMDFPNRIDYRFRLEKGPERLKLGSLEHSTSAAVGAPAGGAHAPPSPADPDAPLGGLLAVGRRSPDLQRALSTVLRFAKTERRHVLGNLAATASSTSVRCPPGSGQHYPRLRVHSAPTLLRRCGTQVSGSQLQSACSPTTAASHIKVRLYCAFAATRRLPPRLRAGSEREEGHPPS >ORUFI12G22270.1 pep chromosome:OR_W1943:12:23275077:23275961:-1 gene:ORUFI12G22270 transcript:ORUFI12G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSTAAYWLAEHPAIVSFRWSPTGLWFSTWAFLLGFLASYVALCLALDAILAALLRRRRPLPLGPLPAAHALLMAAVSAAIFAGTLLSALAEIRDTRWSWRGRSRTTPFRWLLCFPPGTRSSGRVFFWSYAYYLSRYLHAARGLFAVLQRRRGAAARVFAHAASVAMAFLWLEFSQSFQVLAILASTLAHAVAFGFRFWVGAGLPAARGAPVALACQCALLGCNLLCHVGVVWMHFGGVAGGGCSGIGAWVFNTLLNAALLWVFLHCYGKRGVCDDDGGATAASARHDKDL >ORUFI12G22280.1 pep chromosome:OR_W1943:12:23288831:23290663:1 gene:ORUFI12G22280 transcript:ORUFI12G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPIPSPASNLLRLPLPLRLPLRRTQAANPPPSTRLLLRRPPLISSHYGSQCWGIHKWQQQRRCRFWGKLREPIVTLCGHLFCWPCLYKWLHIHSHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNVPGADIPNRPAGQRPATAPQANPNTHFPNANPNPWFMGGGIPLANARWGNYTFSAAFGGLFPLLSFQVHGFPDATAYGQPAGFPYGYGHGHGHGHGHAFHGGHAHAAAAPRHGPPGQQQQADVYLKALLILVGFLVIASLITF >ORUFI12G22290.1 pep chromosome:OR_W1943:12:23291595:23296587:1 gene:ORUFI12G22290 transcript:ORUFI12G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDMALPQAFELLLGRDRDAWPRGAAFLVAAHYGDVREMKRIAKELDEDGKGIEATVANTSFLGMNALHALGWLGKVPAYRYLVEEVKMDVNKADTAQGFTPLEHAVYHGRLPATRYLLDHGADVHQIRSTGNVSLLHSAAVKGFSEVAKFLLSRGVNVDAESEMGTPLALAAFRGYDSTVKVLLEHNADPNKVTNKALGAPLDLALTSSSVSCVKLLVQAGAEVKVEGPNNHLVRAAEKGLTEAIKCMLEAGANPNVPDRLGRMPIELAAEYGTREDVEILFPFSSAIPTVANWSVDGIINHVQSEIKQLEDDNFIKKRRSDMKQQGDAAFKKQDYLNASVFYTQALKVDPFDGTLFSNRSLCWLRMGDGERALDDANACEKLRPKWAKSYYRQGAALMFLKEYERAHRALGRALELDPESEEIENLYWESMELCG >ORUFI12G22300.1 pep chromosome:OR_W1943:12:23297124:23299498:-1 gene:ORUFI12G22300 transcript:ORUFI12G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSSPGSERDSQTMYSRDPGSASYPMSSALGNLLYLNNPSSGPYTEFSGILQPQQNCMEMPGPGHASAMSQDPSSRESDMLSSHQGQRSFSHVKDMKNEMLMHMMDGAQGSGSELIHDDAHTGSQLEFGVLNNHNSSSVPSMQSQGLSLSLNTQIMAPSLPYWSIKPDMLTPQSYHDNLRGEDMRMKNLQSEASRAIRNSRYLKAAQELLDEVVSVWKSIKQKAQKEKVESGKADGKETDGGPKSEGVSSNPQESGANAAPELSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQTVVSSFDVVAGPGSAKPYTAVALQTISRHFRCLKDAINDQINVIRKKLGEEENSSGKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGDLEQDSNSSSDNAPRSKDKMASSEDKEDLKNSRARICETSQLSESRTSIGAMNVGGAPVGFQNEPNPDDSFMNLMLKDQRSNEVDGGLLLHNTVAQHSDENARFMAYHLAELGRYGNGNVSLTLGLQHSSSNLVPNAQPGFPGVNEDDIYNATAPLGVTVASSDYDSMNQMDQRQRFEHSPLLHDFVA >ORUFI12G22310.1 pep chromosome:OR_W1943:12:23311900:23313756:-1 gene:ORUFI12G22310 transcript:ORUFI12G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVVRHWNADVNGISLHVAEQGPADGPPVLLIHGFPELWLSWRHQMAALAARGFRALAPDLRGYGDSTVPDDPAAYTVFHIVGDLVALLDHLALPKVMVVGHDLGAQVAWHLCLFRPDMLLAVVNLGVPFFPRGPSSLSEAFAGRDGLYIMQFQEPGRAERAFARYDVATVLKKFFSIEIDDLTAPPGVEIIDFLEARSTPLPWISEEELGQYAEKFQKSGFTGPINYYRMMDTNWRLTAPWQNAKIMVPTKFICGDKDTGLKSFGTEHFVKSGAFKSVVPNLEVVIIEGHHFIQQEQAERVNSEILSYFDKFTGEKA >ORUFI12G22320.1 pep chromosome:OR_W1943:12:23314506:23317275:-1 gene:ORUFI12G22320 transcript:ORUFI12G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDRQEAEAPVAGIKRVKEEEATASPSGGEKKKILPNWRKSSIPCEGSEILKKKKEAIAARPLWVSRDVPGAMECWIEEREKALAEEEADIASGKKKRKKVVKYKMPNEVIQQMMRYPYTYPECTEEELARRSASNRQLHRLRMFIDGKMFAYEQTLIDQYLKHGYAFDEAEISDEEEEEEEEQNLPVFGRGGQNGRHRLTLLRPLSDEELANCSASYRQLYGIAKFIDRKMNDYEQLLIDQYVKQGYAEEETEATDDDDDDE >ORUFI12G22330.1 pep chromosome:OR_W1943:12:23318334:23321276:-1 gene:ORUFI12G22330 transcript:ORUFI12G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPSRKALSKIACNRLQKELAEWQVSPPSGFKYRVSDNLQRWVIEVTGAAGTLYAGETYQLQVDFPEHYPMEAPQVIFLNPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPQDNDRYVRNCRNGRSPKETRWWFHDDKV >ORUFI12G22340.1 pep chromosome:OR_W1943:12:23323942:23329739:-1 gene:ORUFI12G22340 transcript:ORUFI12G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLRWGAHLLLLLLAAATWTCAGAGAGVTSEYRRKLEATVDMPLDADVFRVPPGYNAPQQVHITLGDQTGTAMTVSWVTANELGSNTVRYGSSPEKLDRAAEGSHTRYDYFNYTSGFIHHCTLTGLTHATKYYYAMGFDHTVRTFSFTTPPKPAPDAPFKFGLIDSKVAANRPHPNVSHTLAHYEANGGDAVLFVGDLSYADNYPLHDNNRWDTWARFVERSVAYQPWIWTAGNHELDYAPELGETVPFKPFTHRYPTPYRAAGSTEPFWYSVKIASAHVIVLASYSAYGKYTPQWTWLQEELATRVDRKLTPWLIVLMHSPWYNSNNYHYMEGETMRVQFERWLVDAKVDVVLAGHVHSYERSRRFANIDYNIVNGKATPAANVDAPVYITIGDGGNIEGIANNFTVPQPAYSAFREASFGHATLEIKNRTHAHYAWHRNHDGAKAVADAVWLTNRYWMPTNDDVHITLGDQTGRAMTVSWVTPKLPDSNVVRYGLRADNLTHTANGTFRRYSFGRKYRSGFIHHATLTGLDYGTKYHYAVGSGDTASARSFSFTTPPKPGPDVPYKFGLIGDLGQTFHSNDTLSHYEACGGDAVLFIGDLSYADNHPGHDNNRWDTWARFVERSVAYQPWIWTTGNHELDFAPELGETTPFKPFTNRYPTPFGASGSTRPLWYSVRMASAHVIVLASYAAYGKYTPQWRWLEGELRRVDRAVTPWLIVCVHSPWYSSNGYHYMEGESMRVEFERWLVDAKADVVLAGHVHSYERTRRVSNVAYDIANGMATPVFNRSAPVYINIGDGGNIEGLADDFRWPQPDYSVFREASFGHATLQIVNRTHAFYEWHRNSDGVKVVADHAWFTNRYWFPTDTN >ORUFI12G22340.2 pep chromosome:OR_W1943:12:23323940:23337863:-1 gene:ORUFI12G22340 transcript:ORUFI12G22340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGARLCSSSIIVVVVVLIVATAAEAMRCPGTTSVYRRPKKKAADMVDMPLDADVFAEPAGRNAPQQTGTAMTVSWVTANELGSNTVRYGSSPEKLDRAAEGSHTRYDYFNYTSGFIHHCTLTGLTHATKYYYAMGFDHTVRTFSFTTPPKPAPDAPFNTLAHYEANGGDAVLFVGDLSYADNYPLHDNNRWDTWARFVERSVAYQPWIWTAGNHELDYAPELGETVPFKPFTHRYPTPYRAAGSTEPFWYSVKIASAHVIVLASYSAYGKYTPQWTWLQEELATRVDRKLTPWLIVLMHSPWYNSNNYHYMEGETMRVQFERWLVDAKVDVVLAGHVHSYERSRRFANIDYNIVNGKATPAANVDAPVYITIGDGGNIEGIANNFTVPQPAYSAFREASFGHATLEIKNRTHAHYAWHRNHDGAKAVADAVWLTNRYWMPTNDDVHITLGDQTGRAMTVSWVTPKLPDSNVVRYGLRADNLTHTANGTFRRYSFGRKYRSGFIHHATLTGLDYGTKYHYAVGSGDTASARSFSFTTPPKPGPDVPYKFGLIGDLGQTFHSNDTLSHYEACGGDAVLFIGDLSYADNHPGHDNNRWDTWARFVERSVAYQPWIWTTGNHELDFAPELGETTPFKPFTNRYPTPFGASGSTRPLWYSVRMASAHVIVLASYAAYGKYTPQWRWLEGELRRVDRAVTPWLIVCVHSPWYSSNGYHYMEGESMRVEFERWLVDAKADVVLAGHVHSYERTRRVSNVAYDIANGMATPVFNRSAPVYINIGDGGNIEGLADDFRWPQPDYSVFREASFGHATLQIVNRTHAFYEWHRNSDGVKVVADHAWFTNRYWFPTDTN >ORUFI12G22340.3 pep chromosome:OR_W1943:12:23323940:23329739:-1 gene:ORUFI12G22340 transcript:ORUFI12G22340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLRWGAHLLLLLLAAATWTCAGAGAGVTSEYRRKLEATVDMPLDADVFRVPPGYNAPQQVHITLGDQTGTAMTVSWVTANELGSNTVRYGSSPEKLDRAAEGSHTRYDYFNYTSGFIHHCTLTGLTHATKYYYAMGFDHTVRTFSFTTPPKPAPDAPFNTLAHYEANGGDAVLFVGDLSYADNYPLHDNNRWDTWARFVERSVAYQPWIWTAGNHELDYAPELGETVPFKPFTHRYPTPYRAAGSTEPFWYSVKIASAHVIVLASYSAYGKYTPQWTWLQEELATRVDRKLTPWLIVLMHSPWYNSNNYHYMEGETMRVQFERWLVDAKVDVVLAGHVHSYERSRRFANIDYNIVNGKATPAANVDAPVYITIGDGGNIEGIANNFTVPQPAYSAFREASFGHATLEIKNRTHAHYAWHRNHDGAKAVADAVWLTNRYWMPTNDDVHITLGDQTGRAMTVSWVTPKLPDSNVVRYGLRADNLTHTANGTFRRYSFGRKYRSGFIHHATLTGLDYGTKYHYAVGSGDTASARSFSFTTPPKPGPDVPYKFGLIGDLGQTFHSNDTLSHYEACGGDAVLFIGDLSYADNHPGHDNNRWDTWARFVERSVAYQPWIWTTGNHELDFAPELGETTPFKPFTNRYPTPFGASGSTRPLWYSVRMASAHVIVLASYAAYGKYTPQWRWLEGELRRVDRAVTPWLIVCVHSPWYSSNGYHYMEGESMRVEFERWLVDAKADVVLAGHVHSYERTRRVSNVAYDIANGMATPVFNRSAPVYINIGDGGNIEGLADDFRWPQPDYSVFREASFGHATLQIVNRTHAFYEWHRNSDGVKVVADHAWFTNRYWFPTDTN >ORUFI12G22340.4 pep chromosome:OR_W1943:12:23332821:23337863:-1 gene:ORUFI12G22340 transcript:ORUFI12G22340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGARLCSSSIIVVVVVLIVATAAEAMRCPGTTSVYRRPKKKAADMVDMPLDADVFAEPAGRNAPQQVHITLGDQTGTAMTVSWVTMEEAGNSTVLYGLAMDKLDMAADATYGVKYYYAMGFGFTVRSFWFTTPPRPGPDVAFRLGLIGDIGQTFDSNATLTHYEASGGDAVLFMGDLSYADKYPLHDNNRWDTWGRFSERSVAYQPWIWVAGNHEIDYAPELGETKPFKPFTHRYPTPHLASASPEPYWYSVKLASVHIIVLSSYSAFAKYTPQWKWLEAELGRVNRSETPWLIMASHSPWYNSNNFHYMEGESMRAQLEKMAVDARVDLVFAGHVHAYERSFRVSNIRYNITDGLCTPVRDRRAPVYVTIGDGGNIEGLADEMTWPQPPYSAFREDSFGHAVLDIKNRTHAYYAWYRNDDGAKVAADAVWFTNRFHMPNHDDSTPTPTKRHYYG >ORUFI12G22340.5 pep chromosome:OR_W1943:12:23323940:23329739:-1 gene:ORUFI12G22340 transcript:ORUFI12G22340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLRWGAHLLLLLLAAATWTCAGAGAGVTSEYRRKLEATVDMPLDADVFRVPPGYNAPQQVHITLGDQTGRAMTVSWVTPKLPDSNVVRYGLRADNLTHTANGTFRRYSFGRKYRSGFIHHATLTGLDYGTKYHYAVGSGDTASARSFSFTTPPKPGPDVPYKFGLIGDLGQTFHSNDTLSHYEACGGDAVLFIGDLSYADNHPGHDNNRWDTWARFVERSVAYQPWIWTTGNHELDFAPELGETTPFKPFTNRYPTPFGASGSTRPLWYSVRMASAHVIVLASYAAYGKYTPQWRWLEGELRRVDRAVTPWLIVCVHSPWYSSNGYHYMEGESMRVEFERWLVDAKADVVLAGHVHSYERTRRVSNVAYDIANGMATPVFNRSAPVYINIGDGGNIEGLADDFRWPQPDYSVFREASFGHATLQIVNRTHAFYEWHRNSDGVKVVADHAWFTNRYWFPTDTN >ORUFI12G22350.1 pep chromosome:OR_W1943:12:23345449:23347374:1 gene:ORUFI12G22350 transcript:ORUFI12G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAAFAAQVLRGRWFMAYGSFLIMSAAGATYIFAIYSKDIKSTLGYTQEQLNTVGFFKDVGANIGIHAGLIAEVTPPWFAFANTGALVTCVKNFPESRGVMLGLLKGFVGLSGAIFTQLYLSFYGGGGSNTKPLILLVGWLPAAISVAFLGTIRIIRAPRSPTAARREYRAFCGFLYVSLALAAYLLVVIVLQKRFKFTRAEYAVSAAVVFAALLAPFAIVLREEAALFRKTPPKEEADDVPALSAATKPSPAAAETPPATAMERVVRALRPPPRGEDYTILQALVSVDMIGESLGYPQRSIATLVSLISIWNYLGRVSAGFASDALLSRYGISRPVVVTGVLLLTVAGHLLVAFGVPGSLYAASVLIGFCFGAAYPMILAIISEVFGLKYYSTLYNVGNVACPVGSYILNVRVAGRMYDREARRQGAVAVAAGKKELTCIGVKCYKDSFLIVAGVTVAAAVVMAALAWRTRKFYAGDIYARFREEAAAGGGGAGNGTGAGEDEKVESKEEKAVMTPTST >ORUFI12G22360.1 pep chromosome:OR_W1943:12:23350922:23352661:-1 gene:ORUFI12G22360 transcript:ORUFI12G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAAAAAFAAHVLRGRWFMAYGSFLIMSAAGATYIFAIYSKDIKSTLGYTQEQLNTVGFFKDVGANVGIHAGLIAEVTSPWFILAIGAAMNLGGYLMLYLSVTGRVGAKTPLWLVCLYIAVGANSQAFANTGALVTCVKNFPESRGVILGLLKGFVGLSGAIFTQLYLAFYGGGNTKPLILLVGWLPAAVSLAFLGTIRIIRTPRSPAAARREYRAFCGFLYVSLALAAYLMVAIILQKRLRFTRAEYGVSAAVVFAMLLLPFTIVVREEAALFKNKSPEEEEADDVPRALSVVTAPAKPAAQPSPESQRPTTATARILQALRPPPRGEDYTILQALVSVDMVLLFTATVFGVGGTLTAIDNMGQIGESLGYPQRSVATFVSLISIWNYLGRVAAGFASEALLARHRLPRPLILAVVLLLTAPGHLLIAFGVPGSLYAASVVVGFCFGAAQPLILASVSELFGLKYYSTLYNFCGTASPVGSYILNVRVAGRMYDREAARQGHGVAAAAGKKALTCIGVRCYRESFLVMTAVTVAAAAVAAVLAWRTRVFYAGDIYAKFKDGKTELGVDSNGSGTAKE >ORUFI12G22370.1 pep chromosome:OR_W1943:12:23357060:23358527:-1 gene:ORUFI12G22370 transcript:ORUFI12G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGRGGGGGGRSSFRGSSTGTKAVPRAAPATTPAAKSTGTAPATKNTSSGSNDSVIGSIGSAFFDGWGWGTGYGMVQRGMDAVFGPRTVNVVDATSTSSSPAPAAAAAAHPMLDACGAHKKAFQECVAQQGIHVSRCQPYLDMLNDCRRDSAASAAVGVATTTRIL >ORUFI12G22380.1 pep chromosome:OR_W1943:12:23361251:23364828:-1 gene:ORUFI12G22380 transcript:ORUFI12G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSNKMKKMEPTSGGRHGTPGQVVGLCAALVTAMAASLLCSTSMALTPDGQALLELKLSFNGSSQRLTTWKPTDPNPCGWEGISCSFPDLRVQSINLPYMQLGGIISPNIGKLDKLQRIALHQNSLHGPIPSEIKNCTELRAIYLRANYLQGGIPSEIGELIHLTILDLSSNLLRGTIPASIGSLTHLRFLNLSTNFFSGEIPNVGVLGTFKSSSFVGNLELCGLPIQKACRVSPINNNKTSHFLNGIVIGSMSTMALALIAVLGFLWICLLSRKKSIGGSYVKMDKQTIPDGAKLVTYQWNLPYSSGEIIRRLELLDEEDVVGCGGFGTVYKMVMDDGTAFAVKRIDLNREGRDRTFEKELEILGSIRHINLVNLRGYCRLPTAKLLIYDFLELGSLDCYLHGDAQDDQPLNWNARMKIALGSARGLAYLHHDCSPVIVHRDIKASNILLDRSLEPRVSDFGLARLLVDNDAHVTTVVAGTFGYLAPEYLQNGHATEKSDVYSFGVLLLELVTGKRPTDACFLKKGLNIVGWLNTLTGEHRLEEIIDENCGDVEVEAVEAILDIAAMCTDADPGQRPSMSAVLKMLEEEILSPCMSELYYEQHLEL >ORUFI12G22390.1 pep chromosome:OR_W1943:12:23367229:23371264:-1 gene:ORUFI12G22390 transcript:ORUFI12G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPSQEQTKLAPEKQQHISGHGEQAVRYHGWKVMPYVIGNETCERLGTIGTTANLLVYLTTVFHIPSAAAATLLNVFSGTSNLAPLLGAFLCDAYLGRYATLAAASIASFLGMLVLTLTAAIPSLHPPPCASSSSTSCQGPTHRQLAALLASFAFLVVGAGGIRPCNLAFGADQFDPATAAGRRGIASFFNWYYFTFTIAMMVSATLIIYLQSNINWAIGLAVPTALMALSCALFFMGTRLYVRVRPEGSPFTSFAQVLVAAARKRRLPAPASPADDLFDPPHRSKLVAKIKHTDQFRWLDKAAVVTAEDAVVDGMSAAAANPWRLCTVQQVEEVKVLARMIPVWSSSIVYYVMLTQLGTYTVFQVMQSDRRVGRSGFEVPAGSMVVFNMVALTAWLPVYDRAVVPALRRVTGREEGISQLQRIGIGLALSVATMAVAVAVEQRRRGAGGGSSSSWAWMVPQQAMAGLSEAFAAIGLNELCYKESPESMRSVAGALSPLALAVASYASGAMVTAVERATGWLAQDIDKGRVDLFYLVVGAMSAANLAYFVVCALWYRSKNIADHGGVELLQTSSKHNADAPPAMAV >ORUFI12G22400.1 pep chromosome:OR_W1943:12:23378371:23380111:-1 gene:ORUFI12G22400 transcript:ORUFI12G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQPGEKKITGGEEVISSVRYRGWKSMPYVIGNETFEKLGTIGTTANLLVYLTTVYHLPSVRAATLLNFFSGTTNLAPLLGAFLSDTFLGRYTTIAAASLASCLGMLVLTITAAIPSLHPPPCTASSSSSSCHVGPTHRQLAALLASFAFLVVGAGGIRSCNLAFGADQFDPRTDSGRRGIASFFNWYYFTFTVAMMLSATLIIYLQSNVSWAIGLAVPAALMAISCALFFMGTRLYVRVRPEGSPFTSFARVIVAAVRKRRVPAPASADDLFDPPHQSKLVAKIAYTDQFRCLDKAAVVTPESRSSPWRLCTVQQVEEVKCLARIIPVWSAGIVYFIVVTQLGTFVVLQALQMDRRLTRWWAFEVPAGSMVVFNMMAMTVWIPVYDRVVVPALRRVTGKEGGISQLQRIGMGLVLSVATMVVAAAVEQRRRRLGAVGVKMSFLWLVPQQVAAGMSEAFAAIGQTELYYRQFPENMRSVAGALFFLAFALANYASGFMVAAVHRTTGWLAQDLNHARLDLFYLTVAAIAAANVCYFLLCARWYRFKNTTIADHVELPDYHHHQPGTANTIGSKV >ORUFI12G22410.1 pep chromosome:OR_W1943:12:23379759:23385449:1 gene:ORUFI12G22410 transcript:ORUFI12G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMVSTSMPRQEAREAAAMVRPRKVSERKAPRRGARLVVPEKKLRRVAARTLGRLAVVPMVPSFSNVSFPITYGIDFHPRYRTLLITSSPPPSTRSSLIHPSPQSTQSPHQPPPRHPRLLGAAAALSSSHHAAALSSSCDARLAPSSSRGARLSPPRHLPPATPDRHRRRRLLRIDSSGPSSPDLGDWGGYEAWRHHRYLDLPLCPLTQGNHGAGFAALAGAARFLSSERRTSAATDLAGAGCFLTEPSGKRRNRPPPSSSEAGHRCLQAEPTACAASSQIWSALPLLVEAQARAAAAVFSSRQLICQLGNNIELKRYSSEELHERSILCSYAHHFTRGNARGPG >ORUFI12G22420.1 pep chromosome:OR_W1943:12:23395854:23397698:1 gene:ORUFI12G22420 transcript:ORUFI12G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDDDKATPHHQDSATTISKSNKRERKKSKYLSPPYTNLGGIALVQKASDSPKPSPPAAAEDDEYKVLPKPLQENVSPQEVLLFVRRTGLDVFHRIRSMKAVHAFLSLYTSSLLVEDADYKSFIAHECSTENAFTNAAVETSDSFVNSRAALKPGKCALKRTRKQGQNEGGSSSTKTEKRGKISPAAALGCGVTITPAIPIRQARAEDIRSPTKPENGARGMAVGVQLEKIKPDFKSPTLASAKVAKEPGQEQDKANGGSVLKTPANACKNLSDQPAKQNDAGMLEARQLHTNIQADPGVQGIVVDVPVRCVPVEAVKSEANIPLHRDGQNAAVDVTDKSAPLPKSEDVSLSQPTDGNKEHASAEVRTVQESYASLEAMVPEMLMKAEVANGTNVAAASNSLKDEGQRADQPSLKKMVPGANVNHSSGEATNSAFPDIAYSTPKKKKKKIAEHFGNPAALLLDFAKGVVLPSKEELLSAFGKFGLVIESETDIVKDTHSARVVFGKSAEAEAAYNSAETLGMFGPPFATPRLHYLPPIKLSVPSPASKPPLTDIRKNLERMISSLAGHSSVKKATPSDGSKQMPENLLGEMQGLLAKVDKMLTGPSATASNPH >ORUFI12G22430.1 pep chromosome:OR_W1943:12:23399218:23403213:1 gene:ORUFI12G22430 transcript:ORUFI12G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVRVDLDKLPIKRLHAIDEAGNEHYPPDTSSEEQRLSAIRRIDFSWVIDKDAKKPKKDTAQQQQQQAWPWQGMMESLQQAQQELSVVIDLISTVEANDAVAVAGMLKPKSLPTETLVDTAVSAATKLQRVRHLSRYFKQSAKTMEQQFQKESRLQQNWKVKRQRFGGSGPGSEGFMFDLIDTSQLDTAAMPQLSSLPLIPIDQDSSGTLSVQVPQKSCRFLSLNFRGDSANGVENYGHKLKDGISSITSSETDNDDVNKSIKHAHSILRNIHKSIFEEQVFDMVIRETFVQSQGINVTGMREDFLQLAIGQECSLCLSLAHSGDGSDSEMVDHEDHANSEDASNLVLVTMNGKLDPLRKDVTGFPNPRSLEIYLLQLFHENILRKVREKSLNIGRYQSPAQVAGDDYGLLGHFCLTVAHRIFSNKVLVELESVVSRVPYLHLRSLPTWHSRTSSWSLCLKVPQPILAADRIAKPSDNHELKYKSRSQFNTKVIVKDSQISLMGEGSPSIAGSLTGKPSDGYLVNSYNCDLEDLPTMLLQQVASQVIHWLHEEALVLGMNVTRDFLCLYFDLEQGETLGLVANVDPDDTCGCISWYLTIDHPTEDGKMSADSQEFEKRRFLGYVSLEVLYSTLMDLINLCNAGAHH >ORUFI12G22440.1 pep chromosome:OR_W1943:12:23407106:23414732:1 gene:ORUFI12G22440 transcript:ORUFI12G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCSSTFLSTSSFICGVESHSYHSSLCDSPQPSHLLHLHLQLPPSNSIQIAIAQWWEIHLRGASMAEKEGNLDAVLKEAVDLENIPLEEVFENLRCSREGLTTQQAQQRLEIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTVKQGEIEAIVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIDVFERGITQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDGDGKMYRVSKGAPEQILHLAHNKPEIERRVHAVIDKFAERGLRSLAVAYQEVPEGTKESPGGPWHFVGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLAEIFTTGVVLGGYLAMMTVIFFWAAYKTDFFPRIFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYADWAFTSIKGIGWGWAGIVWLYNLIFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDAKMFSEKAGYNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIETIQQSYTV >ORUFI12G22450.1 pep chromosome:OR_W1943:12:23414899:23422101:1 gene:ORUFI12G22450 transcript:ORUFI12G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWPSLQLPAAAAAAAAARRATPFALCRSSSSSVSASASNQDLVACSWQKEDEAEAQSLVVVGGGAAGVYASIRAKSLAPHLNVLVFDKGRFLSKVKISGGGRCNVTNGHHLEPLGMARNYPRGHKELRGSFFKNHGPQDTMRWFSDHGVELKTEDDGRVFPVTDNSASIVDCLLNEARRLGVSLQAGKAVTGASVTENGKFVVKVEKRTVDFVDHVNAKYVLVATGSSQQGYSIAAQFGHSIIAPVPSLFTFKITDKRLADLSGVTFPIVKAKLKLDGIKRSAPELTQIGPMLVTHWGLSGPVVLRLSAWGARELHQYNYQAKLTVDFIPDIHIEDVKRILFLHKDHHASLDGDMHWASIPNNNLNTVALRLKQWMFEVVAKGQFKDEFVTAGGVPLSEISLSTMESKKQPNLFFAGEVLNVDGVTGGFNFQNAWTGGYIAGTSIGTLASSNMRQQQPYLQLDGS >ORUFI12G22450.2 pep chromosome:OR_W1943:12:23414899:23422101:1 gene:ORUFI12G22450 transcript:ORUFI12G22450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWPSLQLPAAAAAAAAARRATPFALCRSSSSSVSASASNQDLVACSWQKEDEAEAQSLVVVGGGAAGVYASIRAKSLAPHLNVLVFDKGRFLSKVKISGGGRCNVTNGHHLEPLGMARNYPRGHKELRGSFFKNHGPQDTMRWFSDHGVELKTEDDGRVFPVTDNSASIAGKAVTGASVTENGKFVVKVEKRTVDFVDHVNAKYVLVATGSSQQGYSIAAQFGHSIIAPVPSLFTFKITDKRLADLSGVTFPIVKAKLKLDGIKRSAPELTQIGPMLVTHWGLSGPVVLRLSAWGARELHQYNYQAKLTVDFIPDIHIEDVKRILFLHKDHHASLDGDMHWASIPNNNLNTVALRLKQWMFEVVAKGQFKDEFVTAGGVPLSEISLSTMESKKQPNLFFAGEVLNVDGVTGGFNFQNAWTGGYIAGTSIGTLASSNMRQQQPYLQLDGS >ORUFI12G22450.3 pep chromosome:OR_W1943:12:23414899:23422101:1 gene:ORUFI12G22450 transcript:ORUFI12G22450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWPSLQLPAAAAAAAAARRATPFALCRSSSSSVSASASNQDLVACSWQKEDEAEAQSLVVVGGGAAGVYASIRAKSLAPHLNVLVFDKGRFLSKVKISGGGRCNVTNGHHLEPLGMARNYPRGHKELRGSFFKNHGPQDTMRWFSDHGVELKTEDDGRVFPVTDNSASIVDCLLNEARRLGVSLQAGKAVTGASVTENGKFVVKVEKRTVDFVDHVNAKYVLVATGSSQQGYSIAAQFGHSIIAPVPSLFTFKITDKRLADLSGVTFPIVKAKLKLDGIKRSAPELTQIGPMLVTHWGLSGPVVLRLSAWGARELHQYNYQAKLTVDFIPDIHIEDVKRILFLHKDHHASLDGDMHWASIPNNNLNTVALRLKQWMFEVVAKGQFKDEFVTAGGVPLSEERYTFVPELATLWISKRTIDLSMTVVQVLNVDGVTGGFNFQNAWTGGYIAGTSIGTLASSNMRQQQPYLQLDGS >ORUFI12G22450.4 pep chromosome:OR_W1943:12:23414899:23422101:1 gene:ORUFI12G22450 transcript:ORUFI12G22450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWPSLQLPAAAAAAAAARRATPFALCRSSSSSVSASASNQDLVACSWQKEDEAEAQSLVVVGGGAAGVYASIRAKSLAPHLNVLVFDKGRFLSKVKISGGGRCNVTNGHHLEPLGMARNYPRGHKELRGSFFKNHGPQDTMRWFSDHGVELKAGKAVTGASVTENGKFVVKVEKRTVDFVDHVNAKYVLVATGSSQQGYSIAAQFGHSIIAPVPSLFTFKITDKRLADLSGVTFPIVKAKLKLDGIKRSAPELTQIGPMLVTHWGLSGPVVLRLSAWGARELHQYNYQAKLTVDFIPDIHIEDVKRILFLHKDHHASLDGDMHWASIPNNNLNTVALRLKQWMFEVVAKGQFKDEFVTAGGVPLSEERYTFVPELATLWISKRTIDLSMTVVQVLNVDGVTGGFNFQNAWTGGYIAGTSIGTLASSNMRQQQPYLQLDGS >ORUFI12G22460.1 pep chromosome:OR_W1943:12:23419504:23423787:-1 gene:ORUFI12G22460 transcript:ORUFI12G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWNLDEEEAEEGLPNAAAVQLGLPPCDCWVARLALEPRARRRRLERPKRPLRSFLRLRYPIRGLPFMLRAKQLGTLTQCARSFYVNGSRCGSTDGASCTCPEDETSAPKRQTANGIEQKFHSTRRPSVKAQTPSVQHVVGSIGRATGYPAPAVHIVPSNSSQETEPASGNTSNHPRTPQVLGNDYVQPSKQTARSISHSAIAGAGVYTELVNLKSTSNNGGTNQAPQMATSYSYQPLSDAQPSNIKSHNQHSLPEAKMPYNPSVQNNLGKGVSRSGYAKSNQSFSGPPAMVANSPSQIKNQRHPGQRHTNYHSNNFSTDARWDEVQTQNLSAPAMYTGSGHKSQALAGTIKSHGGGPQSNLRSLKSLRSVEQYYHTLQQMKWGPTTEHVLDNLHCKIDAFQANQVLKLLHDHTIALGFFQWLKRQPGFKHDGHSYTTMIGILGQARQFGTMRKLLDEMNSVHCKPTVVTYNRIIHAYGRANYLREAVKVFEEMQKAGYEPDRVTYCTLIDIHAKGGYLEVAMDLYTRMQEVGLSPDTFTYSAMVNCLGKGGHLAAAYKLFCEMVENGCTPNLVTYNIMIALQAKARNYENVVKLYKDMQVAGFRPDKITYSIVMEVLGHCGHLDEAEAVFIEMRHDWAPDEPVYGLLVDLWGKAGNVDKALGWYHAMLQDGLQPNVPTCNSLLSAFLKINRFQDAYSVLQNMLAQGLVPSLQTYTLLLSCCTEAQAQMGLCCQLMAITGHPAHMFLLYLPDAEPGGQNVRDHTRYFLDMMHSEDRESKRGLMDAVIDFLHKSGLKEEAGFIWEVAAQRNVYPDSVREKDPSYWLINLHLMSEGTAVTALSRTLAWFHRQILTMGKCPERIDIVTGWGRRSRVTGSSLVRQSVQKLLHLFEFPFVTTRGNTGCFVGCGEPLNRWLHNPYVERMHLL >ORUFI12G22470.1 pep chromosome:OR_W1943:12:23429611:23433583:1 gene:ORUFI12G22470 transcript:ORUFI12G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRWSALAASALIQCFAGSSYCFAVYSPALKASQSYDQSALDKVAFFKDVGANAGILSGLLAAWAPAGRRRPWLVLLAGAALCAVGYLPIWLAVTGVAPAPLPLLCLYMLLAAQAQTFLNTADVVTAVENFPDRRGTVIGIMKGFLGLSGAILVQVYRTIHIAPSTFILMLAILPTAITLLLMYFVDVHRSDHQWYNKKFMDAFSLIAITVAGYLMIIIICDQVLKIISSAVQTVCFVILLLLVLSPVAIAVKAQKTESMKQEEETRDQAERIGLLQEQISTNASSSSDERCQELSTGKENMNLVQAMCKLNFWLLFLAMSSGMGSGLATVNNISQIGGSLGYSTKETSTLVSLWSIWNFSGRFGAGYISDHFLRSRGVGRPFFIGVTLLVMSLGHAIIASGILASLYVGSVLVGLCYGCQWALMPSITSEIFGLNHFGTIFNVVAVASPVGSYILSVRVVGYIYDMESPPGARACSGNHCFALSFVIMACVCVVGSAVAFMLFVRTRRFYKRVVYARLQSFL >ORUFI12G22480.1 pep chromosome:OR_W1943:12:23434762:23436674:-1 gene:ORUFI12G22480 transcript:ORUFI12G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMMENLGSVSTLWSALVSVLLFWPVVNNHVPAGLRQWLSTMVDKLTSYLSPYLHVTISEYGHQRFRRSDFFLALRADLGKDARTVQITVDDHQEVTDSFRGATIWWYPSKKPPRTNVISFYPRDDDARFYRLVFHRRHRDLVLDAYLPHVLAEGRAVTIRNRQRRLFTNNAPGASTSYYSRKSVWSHVPFEHPATFDTLAMEPADKDAILDDLTAFRDSKDYYAKVGKAWKRGYLLHGPPGTGKSTMIAAMANFLDYDVYDLELTAVKTNTDLRKLYIETTGKSIIVIEDIDCSVDLTAKRSNDKKKKKSSDEDDDDKPKLPTEQEKDEASKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDVHIEMSYCRFEAFKVLAKNYLGVEQHEMFVEIRRLLEEIDMSPADVAENLMPKASKGKKRDPDACLAGLIEALNKAKVEAAEAAKAKEEEEAAAAKAKEEEEAAKAKAAKEKEKDDEAAGTKHEELFVLDDRRDHICQDRARSGSPSL >ORUFI12G22490.1 pep chromosome:OR_W1943:12:23441084:23446097:1 gene:ORUFI12G22490 transcript:ORUFI12G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRGLLLVFVVVCFGGGWLLPASRAMPLCTDSRPPVALNKTLAFCAYARGSSSCCDAAADATLQKQFDAFNVSDASCAALLKPILCAKCNPYSAELFNAGPNIRTIPFLCNSTSSSSAQSKDSTQDYCKLVWETCKDVTISNSPFQPPLQGGAPLPTSSSKLTDDWQSEADFCKSFGGAPNDQSVCFSGNSVSFNTTPPSPSPKGICLERIGNGSYLNMAPHPDGSNRIFLGSQPGKIWLATVPDQGSGGILQFDETSPFADLTDQVHFDSQFGLMGMAFHPNFATNGRFFASYNCDRTKSPSCSGRCSCNSDVGCDPSKVGTDNGAQPCQYQVVVSEYSAKGSSANISEVTSADPSEVTRIFTMGLPYTSQHGGQILFGPTDGYLYLMMGDGGGKGDPFNFSQNKKSLLGKIMRLDVDNPPRQSEIANQSLWGNYSIPKDNPYTDDSDLEPEVWALGLRNPWRCSFDSARPSYFYCADVGQDQYEEVDLISKGGNYGWRAYEGPLVFNPPSAPGGNTSLNSINAIPPIMGYSHSDVNKKIGSASIIGGNVYRGSTDPCLVGRYLYADLYASAMWTGTEAPESSGNYSSSLISFSCSKSSPIACDTAAGSPLPSLGYIYSFGEDNSKDTYVLSSKGVYRVVRPSLCGYTCPTEKPATNTGTTTPSSAASVTGKQMMGALLLSVLMFWVLVR >ORUFI12G22500.1 pep chromosome:OR_W1943:12:23447023:23452629:1 gene:ORUFI12G22500 transcript:ORUFI12G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTGTAAAAAVDRRWLLPLAIGSALSLLLLVLLTTFPLPFPFPSSAASRPPNPTLFVEHKLAPSPPSTASPPRFAYLISGSAGDAAALRRVLLALYHPRNLYILHLDAEAPDSDRANLAADLADHPVIAAAANVHVIQRANLVTYRGPTMVANTLHAAAAFLYTNQHPHLEWDWFINLSASDYPLLTQDDLIHVFSKLPRGLNFIDHTSNIGWKEYQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGHNRCPTAQICCFFSLTSLLITLGTVKTYS >ORUFI12G22500.2 pep chromosome:OR_W1943:12:23447023:23452629:1 gene:ORUFI12G22500 transcript:ORUFI12G22500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTGTAAAAAVDRRWLLPLAIGSALSLLLLVLLTTFPLPFPFPSSAASRPPNPTLFVEHKLAPSPPSTASPPRFAYLISGSAGDAAALRRVLLALYHPRNLYILHLDAEAPDSDRANLAADLADHPVIAAAANVHVIQRANLVTYRGPTMVANTLHAAAAFLYTNQHPHLEWDWFINLSASDYPLLTQDDLIHVFSKLPRGLNFIDHTSNIGWKEYQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGHNRCPTAQICCFFSLTSLLITLGTVKTYS >ORUFI12G22500.3 pep chromosome:OR_W1943:12:23447023:23452629:1 gene:ORUFI12G22500 transcript:ORUFI12G22500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTGTAAAAAVDRRWLLPLAIGSALSLLLLVLLTTFPLPFPFPSSAASRPPNPTLFVEHKLAPSPPSTASPPRFAYLISGSAGDAAALRRVLLALYHPRNLYILHLDAEAPDSDRANLAADLADHPVIAAAANVHVIQRANLVTYRGPTMVANTLHAAAAFLYTNQHPHLEWDWFINLSASDYPLLTQDDLIHVFSKLPRGLNFIDHTSNIGWKEYQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGHNRCPTAQICCFFSLTSLLITLGTVKTYS >ORUFI12G22500.4 pep chromosome:OR_W1943:12:23447023:23449427:1 gene:ORUFI12G22500 transcript:ORUFI12G22500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTGTAAAAAVDRRWLLPLAIGSALSLLLLVLLTTFPLPFPFPSSAASRPPNPTLFVEHKLAPSPPSTASPPRFAYLISGSAGDAAALRRVLLALYHPRNLYILHLDAEAPDSDRANLAADLADHPVIAAAANVHVIQRANLVTYRGPTMVANTLHAAAAFLYTNQHPHLEWDWFINLSASDYPLLTQDDLIHVFSKLPRGLNFIDHTSNIGWKEYQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGSAWMALSKPFVEYCIWGWDNLPRTVLMYYANFISSPEGYFHTVVCNAEEFKNTTVNHDLHYISWDNPPKQHPHYLTIEDLDRMVASDAPFARKFHADDPVLDKIDAEILLRGPDMLTLGGWCGGTRENGSDPCSVIGNTTHLQPGRGAVRLQRLMTSLLSEEKFHPRQCK >ORUFI12G22500.5 pep chromosome:OR_W1943:12:23447023:23449587:1 gene:ORUFI12G22500 transcript:ORUFI12G22500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTGTAAAAAVDRRWLLPLAIGSALSLLLLVLLTTFPLPFPFPSSAASRPPNPTLFVEHKLAPSPPSTASPPRFAYLISGSAGDAAALRRVLLALYHPRNLYILHLDAEAPDSDRANLAADLADHPVIAAAANVHVIQRANLVTYRGPTMVANTLHAAAAFLYTNQHPHLEWDWFINLSASDYPLLTQDDLIHVFSKLPRGLNFIDHTSNIGWKEYQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGSAWMALSKPFVEYCIWGWDNLPRTVLMYYANFISSPEGYFHTVVCNAEEFKNTTVNHDLHYISWDNPPKQHPHYLTIEDLDRMVASDAPFARKFHADDPVLDKIDAEILLRGPDMLTLGGWCGGTRENGSDPCSVIGNTTHLQPGRGAVRLQRLMTSLLSEEKFHPRQCK >ORUFI12G22510.1 pep chromosome:OR_W1943:12:23447077:23447863:-1 gene:ORUFI12G22510 transcript:ORUFI12G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRSQRRSTAAAAAVPVVGFISSHLMGLLLFSSLLFPTGLLLYCLVYSHFHHLISSTV >ORUFI12G22520.1 pep chromosome:OR_W1943:12:23452656:23452859:-1 gene:ORUFI12G22520 transcript:ORUFI12G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPTSTSTYSYQQQQQVARRKAKQRQEDQEFQARAACYQEEEEDDYYGGGRDKDGGRGGRHRGR >ORUFI12G22530.1 pep chromosome:OR_W1943:12:23453444:23462155:-1 gene:ORUFI12G22530 transcript:ORUFI12G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPYALRKQLEAADKCFADGNIKGGKMHGDMAAALFSSAPEAQCVQAAFKVHAAAAATKDKTKTDHYAVLGVKLSATGKPDAITTDAVRKQHKALCAMFATAKDTSAAVAAAYKLVDEALSALTDIKKSDVMAPPPPTL >ORUFI12G22540.1 pep chromosome:OR_W1943:12:23467902:23470013:-1 gene:ORUFI12G22540 transcript:ORUFI12G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWCMSMMHIVLLLLVVVLMLLNVSCEAARGMPAPQGATTMAKAMEAGGGGGLKDHKTFLPPVPGMGGGGVGGFAGMGGPLGGVIGGIGGVLGGSPAGLGGGLGGGSSGGLGGGAAHQLPHTPSLSRSESERRAEQSSIARIRVNSDRXAAAAAATKDKTKTDHYAVLGVKLSATGKPDATTTDEVRKQHKALCAMFATAKDTSAAVAAANKLVDEALSALTDIKKSDVMSPPPTSTSTYSYQQKQQVARRKAKPEAGGPRVPGASSMLSGGRGGRLLRRWPRQGRRTRRQAPWTLTLISTPIIN >ORUFI12G22550.1 pep chromosome:OR_W1943:12:23472703:23473002:-1 gene:ORUFI12G22550 transcript:ORUFI12G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVGDDGGGRSSWRLRCQLAMAAAAHMASGGGQLHAGEGRRHSGRLQMRGMALVAAATSAVYGGSGDAGGREGVGCEARMAMARWLGVRQQRLRWW >ORUFI12G22560.1 pep chromosome:OR_W1943:12:23476959:23478707:-1 gene:ORUFI12G22560 transcript:ORUFI12G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLDDVLSLCNNNTRMCNALVLSVVVVSFLQLLKHVLLTPSRLPLPPGPRNLPVVGSAHRLVNTLAHRVLRDLADVHGPLMHLRVGQVPVVVVTSKELARDILKTHDANFATRPKLVAGGIVAYDWTDILFSPSGDYWRKLRRLCIQEILSAKRILSFEHIREDEVRMLADEIRAVGPSVAVDLSARLHRITNTIVSRAAFGNKRSNAADFLVAIKQSVIMASGFYVPDLFPRFSVLLCWLTGMRRTLHGIRDTIDSILEEIISEKEEAKQQQDNNLVDVLLSLKDKGDFGFPITRDTIKAIVLDIFAGGSGTSANAMEWAMSELMMNPRVMNKVQAEIRDAFHGKQSIGEADLRARDLKYLKLVMKETLRLHPPAPLLVPRESIDACEINGYMIPAKARVIVNAWAISRDPRYWEDAEEFKPERFAEGGIDFYGSNYEYTPFGSGRRMCPGYNYGLASMELTLAQLLHSFDWSMPDGATEVDMTEAPGLGVRRKTPLLLCAAPYVASPIYA >ORUFI12G22570.1 pep chromosome:OR_W1943:12:23483393:23485861:1 gene:ORUFI12G22570 transcript:ORUFI12G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSMTSSILSANYNTILFEFGVILVTSKILYALLRKLYQPRIFSDLLLGIVLAQFRVLSLTNAINLVFGKIGGFVFAPYLFALGVEMDPSALLDAPTADAAVAYAGIFSTAVLVTLFHAPIMRPTSGIVHENSLRAFLALAAALANTASPVLTRLATDLKIAKTAVGRLAVGAGIASDMLTTMLIAIGSMIWRDTGTEGAASPLAQPALTAAVLAVVLMSGLVSRAMAEWVDGRNPEGRRMRGFDLSLVALVAATLCWFTSALRLDVNMAAFLVGLAFPSEGRVSRLLVSKINLVLSSFVLPLYVAHVCLSLRQTTDDIEAAGLRKDQGFRVYVMELPFPWWKVLFVTAMGTLGKLVGCAAAGLLRGLGWLEALALGLLLNVKGYFHVYCALAAFEAGIITDKSFMAIIFMVAVNVATTPMVGMAIASWARRSVQWRLMGLQHHDPATELRLVVGLQGPQDVATLAFLMEALRWGGGNGELAVYAVDMVQLTDQTAAAIVKGGGFDGVTVVDEEVSEMRKQIGEALDAYQGDDGAVRVRRVLALSSFTDMHSDICICAEEAMAALVLLPFHKRQRREDDGGAMDMEPPRPLGFRLVNQKVLQLAPCSVGILVDRHRHVDAAASQSQSQGVVVVFIGGADDREALTLASFMSKHASVRLTALRVVQNATAQARARARTSLFESSKSSRRAGASSSSSASSVLGQEEVQAQVDDKFFAEFYRKHVAGSKAIGYMEKHVGDGAELVAVLRALQAEYRLFVVGRGRDRRSVLTEGLEEWAECLELGPVGDILASSDFSSTASVLIVQQYDAKKHYKVIDEEFMPL >ORUFI12G22580.1 pep chromosome:OR_W1943:12:23486517:23493351:1 gene:ORUFI12G22580 transcript:ORUFI12G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGDEVLLLPEPRPRRGLASWALDLLERAAVRLGHDASKPLYWLSGNFAPVHHETPPAPALPVRGHLPECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMHIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGFYGLFMVQMQQLRKKLKVLDFTYGHGTANTALIYHHGKLMALSEADKPYVVKVLEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTDEMFAFGYSHEPPYCTYRVITKDGAMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILQRYEKDDTNIRWFELPNCFIFHNANAWEEGDEVILITCRLENPDLDKVNGYQSDNLENFGNELYEMRFNMKTGAASQKQLSVSAVDFPRINESCTGRKQRYVYCAILNSIAKVAGIIKFDLHAEPEISGKKQLEVGGNVRGIFDLGPGRFGSEAIFVPKEPGVSGEEDDGYLIFFVHDENTGKSEVNVIDAKTMSADPVAVVELPSRVPYGFHAFFINEEQLAKQSA >ORUFI12G22580.2 pep chromosome:OR_W1943:12:23486517:23493361:1 gene:ORUFI12G22580 transcript:ORUFI12G22580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGDEVLLLPEPRPRRGLASWALDLLERAAVRLGHDASKPLYWLSGNFAPVHHETPPAPALPVRGHLPECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMHIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGFYGLFMVQMQQLRKKLKVLDFTYGHGTANTALIYHHGKLMALSEADKPYVVKVLEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTDEMFAFGYSHEPPYCTYRVITKDGAMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILQRYEKDDTNIRWFELPNCFIFHNANAWEEGDEVILITCRLENPDLDKVNGYQSDNLENFGNELYEMRFNMKTGAASQKQLSVSAVDFPRINESCTGRKQRYVYCAILNSIAKVAGIIKFDLHAEPEISGKKQLEVGGNVRGIFDLGPGRFGSEAIFVPKEPGVSGEEDDGYLIFFVHDENTGKSEVNVIDAKTMSADPVAVVELPSRVPYGFHAFFINEEQLAKQSA >ORUFI12G22580.3 pep chromosome:OR_W1943:12:23486232:23493361:1 gene:ORUFI12G22580 transcript:ORUFI12G22580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGDEVLLLPEPRPRRGLASWALDLLERAAVRLGHDASKPLYWLSGNFAPVHHETPPAPALPVRGHLPECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMHIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGFYGLFMVQMQQLRKKLKVLDFTYGHGTANTALIYHHGKLMALSEADKPYVVKVLEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTDEMFAFGYSHEPPYCTYRVITKDGAMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILQRYEKDDTNIRWFELPNCFIFHNANAWEEGDEVILITCRLENPDLDKVNGYQSDNLENFGNELYEMRFNMKTGAASQKQLSVSAVDFPRINESCTGRKQRYVYCAILNSIAKVAGIIKFDLHAEPEISGKKQLEVGGNVRGIFDLGPGRFGSEAIFVPKEPGVSGEEDDGYLIFFVHDENTGKSEVNVIDAKTMSADPVAVVELPSRVPYGFHAFFINEEQLAKQSA >ORUFI12G22580.4 pep chromosome:OR_W1943:12:23486232:23493750:1 gene:ORUFI12G22580 transcript:ORUFI12G22580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGDEVLLLPEPRPRRGLASWALDLLERAAVRLGHDASKPLYWLSGNFAPVHHETPPAPALPVRGHLPECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMHIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGFYGLFMVQMQQLRKKLKVLDFTYGHGTANTALIYHHGKLMALSEADKPYVVKVLEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTDEMFAFGYSHEPPYCTYRVITKDGAMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILQRYEKDDTNIRWFELPNCFIFHNANAWEEGDEVILITCRLENPDLDKVNGYQSDNLENFGNELYEMRFNMKTGAASQKQLSVSAVDFPRINESCTGRKQRYVYCAILNSIAKVAGIIKFDLHAEPEISGKKQLEVGGNVRGIFDLGPGRFGSEAIFVPKEPGVSGEEDDGYLIFFVHDENTGKSEVNVIDAKTMSADPVAVVELPSRVPYGFHAFFINEN >ORUFI12G22590.1 pep chromosome:OR_W1943:12:23495739:23498444:1 gene:ORUFI12G22590 transcript:ORUFI12G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLVVAVAIAIVPWGGGAVAVAVAREVPVEYLYPPFNLTYFHFLDTNGVFLLSRNATFSAAVYNAGVDPSSDDNQSRFFFSVLHSASRTPVWTATAGTTILQSIVLSLTAQGLALSDPDPAADYAWSTPRLRAPVAALRLLDTGELALLDAANATLWSSFDRPTDTLLPAQPLLAGVLLTSPVSDQDLNPGAYRLMLTDTDALLQWATNNGSSFLTYWALSTDPNSVQDSNAAVHSMTANSSGLYLLAANGRDTVFRLRFPSPDANGDPCLLKLDSSGRLRALRLSTTSPRATLPTVWAAPTGGCDLPLPCRSLGLCTPGTNGSSCSCPDAFSTYSTGGCAPADGSALPLLADTCAPPPNFNYMTLGEGIGYFANKFASPDTSGEELPACRNLCSANCSCLGFFYRNSSKSCFLLNYRIGSLFRGDSDAAVGFIKTLPPASRRQGGGKGSSLSFITIVFGIALPTVAAVLIGFVVYVMWVKSRQASNKKKKKKKQGGSRSWFKLPMLSSQQASYASEEQQGEEDDGDGDGDEVLIPGLPARFTYAELEEATEGFKSQIGSGGFGCVYRGELTDPERSAVVAVKRMNNLGSQGRREFLTEMAVIGNAHHVNLVKLRGFCAEGARQLLVYEYMNRGSLDQCLFRAAAAPLEWPERMGVCVGAARGLAYLHAGCTRKILHCDVKPENILLDDRGGVKIADFGLAKLMSPEQSGLFTTMRGTRGYLAPEWLTNAPITDKADVYSFGMVLLEIVRGRKNCRSGKGSGGEASSDSDGYFPAMALELHEQGQYEAVVDQRLEGRADVAQVERVVRVALCCLHEDAALRPAMTTVSAMLDGSMEAGVPRTELLDYLRLYGRGLVDVRSGLHAAGKGGSDFTSGGAGSSSWSPTSCVSAQQLSGPR >ORUFI12G22600.1 pep chromosome:OR_W1943:12:23499912:23507717:-1 gene:ORUFI12G22600 transcript:ORUFI12G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPSPSPSSSCAKHHRSPDPADPAASPKRRRRHHRCRRDVDDDDESPLADADRPPAAEDVEEGEILDDAMDVDAVPDAAPQLSSGNHAHMNSDADATVLHASRLPTHSSSRDETKSNHTAHEPESGGDADDTKGDRQSQRVPKSPLLTREKERKHKDEHRKSYPKDSHSKEQSRRSPSRHHSSQDHARHHSRSRDTGAEANGSRASTREDSDRDSNGRNSKHGRHATRSRDNETERSSSYAVRDEAYDERERYKHERRHRSNPVDRDKVDLHELTHRDRERSSSRSRSDRRESAHHIRDESRESERRSSSSRHKDNERRDRSKDRYKESDKVDSGHERDKTRDDRDRGRHKDLESRKRRNGEAKDRDDRHKDSTRSKYSTSDSHKHRSRSRERGRDAERRGQRSEELKENTFREEDEEEYQEKIEQQLAMQEEEDPEKIKEEARRRKEAIMAKYRQQQLQKQQLESLPRSNDEEEVEMNRGDNADLKGDNDSRFVASEEAENKHDSSDAIVGETDFTVGKSPAHNDGAGTLGNQRTTGVSGLGEGTPKSERSADMFCDDIFGESPAGIRKLGKDDGLRIEKNALHDNWDDAEGYYTYRFGELLDGRYEITAAHGKGVFSTVVRAKDLKAGKDDPEEVAIKIIRNNETMYKAGKQEVSILEKLASADREDRRHCLFIALKHLKNCKVLHCDIKPDNMLVNEAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDMWSVGCCLYELYTGKVLFPGPSNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQDLNFHATEEDPVTKKAVTRMILNIKPKDIGSLISNFPGEDPKMLSNFKDLLEKIFVLDPEKRITISQALSHPFITGKNSARASAVKH >ORUFI12G22600.2 pep chromosome:OR_W1943:12:23499912:23507717:-1 gene:ORUFI12G22600 transcript:ORUFI12G22600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPSPSPSSSCAKHHRSPDPADPAASPKRRRRHHRCRRDVDDDDESPLADADRPPAAEDVEEGEILDDAMDVDAVPDAAPQLSSGNHAHMNSDADATVLHASRLPTHSSSRDETKSNHTAHEPESGGDADDTKGDRQSQRVPKSPLLTREKERKHKDEHRKSYPKDSHSKEQSRRSPSRHHSSQDHARHHSRSRDTGAEANGSRASTREDSDRDSNGRNSKHGRHATRSRDNETERSSSYAVRDEAYDERERYKHERRHRSNPVDRDKVDLHELTHRDRERSSSRSRSDRRESAHHIRDESRESERRSSSSRHKDNERRDRSKDRYKESDKVDSGHERDKTRDDRDRGRHKDLESRKRRNGEAKDRDDRHKDSTRSKYSTSDSHKHRSRSRERGRDAERRGQRSEELKENTFREEDEEEYQEKIEQQLAMQEEEDPEKIKEEARRRKEAIMAKYRQQQLQKQQLESLPRSNDEEEVEMNRGDNADLKGDNDSRFVASEEAENKHDSSDAIVGETDFTVGKSPAHNDGAGTLGNQRTTGVSGLGEGTPKSERSADMFCDDIFGESPAGIRKLGKDDGLRIEKNALHDNWDDAEGYYTYRFGELLDGRYEITAAHGKGVFSTVVRAKDLKAGKDDPEEVAIKIIRNNETMYKAGKQEVSILEKLASADREDRRHCVRFISSFMYRNHLCLVFESLNMNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNEAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDMWSVGCCLYELYTGKVLFPGPSNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQDLNFHATEEDPVTKKAVTRMILNIKPKDIGSLISNFPGEDPKMLSNFKDLLEKIFVLDPEKRITISQALSHPFITGKNSARASAVKH >ORUFI12G22610.1 pep chromosome:OR_W1943:12:23508213:23512920:1 gene:ORUFI12G22610 transcript:ORUFI12G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYGDGKGLKQQQRQKLKPALEVEDFINLLHGSDPVRVELTRLENELQYKEKELGEAQAEIKALRLSERAREKAVEDLTEELTKVDGKLKLTESLLESKNLEAKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARHEIAKLQDDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVSANRVAVVVANEWKDGNDKVMPVKQWLEERRILQGEMQQLRDKLAIAERAARSEAQLKDKFQLRLKVLEEGLRMSTTRTNVSAARRQSIGGADSLSKTNGFLSKRPSFQMRSSVSTTTTTLVNHAKGASKSFDGGCRSLDRYKGHVNGSGMNVSTDSSEDKESNNSDEKANEFTSVETEDTVSGLLYDTLQKEVIALRKACHEKDQSLKDKDDAVEMLAKKVDTLTKAMESEGKKRRMEVAAMEKEMAALRLEKEQDNKAKRFGSSSSQLPPGRTLPRSGSARNM >ORUFI12G22620.1 pep chromosome:OR_W1943:12:23513269:23514441:1 gene:ORUFI12G22620 transcript:ORUFI12G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDFDQEMATAATGSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFVGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQARTRPPTPFFPYFLLLFSTLILYTPLHADVDCQG >ORUFI12G22630.1 pep chromosome:OR_W1943:12:23514514:23529032:-1 gene:ORUFI12G22630 transcript:ORUFI12G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEAEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLEFGTKHGMGKLGAGIRANINPDLLLAVFLPALLFESSFSMEIHQIKKCMAQMVLLAGPGVLISTFFLGSALKLTFPYNWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGSIIKFLSEVSLGAVALGLAFGIASVLWLGFIFNDTIIEIALTLAVSYIAFFTAQDALEVSGVLTVMTLGMFYAAFAKTAFKGDSQQSLHHFWEMVAYIANTLIFILSGVVIADGVLENNVHFERHGASWGFLLLLYVFVQISRILVVVILYPLLRHFGYGLDLKEATILVWAGLRGAVALSLSLSVKRASDAVQTHLKPVDGTMFVFFTGGIVFLTLIFNGSTTQFLLHLLGMDRLAATKLRILNYTKYEMLNKALEAFGDLRDDEELGPPADWVTVKKYITCLNDLDDEPVHPHAVSDRNDRMHTMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVPTQELCDWKGLRSNVHFPNYYRFLQMSRLPRRLITYFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEVARIVIDESNAEGEEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQNLQKTGLLEEKEMAHLDDALQTDLKKFKRNPPLVKMPRVSDLLNTHPLVGALPAAMRDPLLSSTKETVKGHGTILYREGSRPTGIWLVSIGVVKWTSQRLSSRHSLDPILSHGSTLGLYEVLIGKPYICDMITDSVVHCFFIEAEKIEQLRQSDPSIEIFLWQESALVVARLLLPMMFEKMATHELRVLITERSTMNIYIKGEEIELEQNFIGILLEGFLKTKNQTLITPPGLLLPPNADLNLFGLESSAINRIDYCYTAPSYQVEARARILFVEIGRPEIEADLQRSASLISQTLELPRTQSKEHSGLLSWPESFRKSRGAQNGASLTEIRDHPASFSARALQLSMYGSMINDMKSGQGQGQRRQRHRHTKASSNKAHSSSYPRVPSRSSNTQRPLLSVQSEGANMTTARQAAAAGASLPPEPEEAGRRRRRQRKAIEEDEDNSSDESAGEEVIVRVDSPSMLTFRRKVRSREETKRRWNLLTAAGVGGVARAWTCGARGWLAVVRCERAMGMD >ORUFI12G22630.2 pep chromosome:OR_W1943:12:23514514:23529032:-1 gene:ORUFI12G22630 transcript:ORUFI12G22630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEAEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLEFGTKHGMGKLGAGIRANINPDLLLAVFLPALLFESSFSMEIHQIKKCMAQMVLLAGPGVLISTFFLGSALKLTFPYNWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGSIIKFLSEVSLGAVALGLAFGIASVLWLGFIFNDTIIEIALTLAVSYIAFFTAQDALEVSGVLTVMTLGMFYAAFAKTAFKGDSASWGFLLLLYVFVQISRILVVVILYPLLRHFGYGLDLKEATILVWAGLRGAVALSLSLSVKRASDAVQTHLKPVDGTMFVFFTGGIVFLTLIFNGSTTQFLLHLLGMDRLAATKLRILNYTKYEMLNKALEAFGDLRDDEELGPPADWVTVKKYITCLNDLDDEPVHPHAVSDRNDRMHTMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVPTQELCDWKGLRSNVHFPNYYRFLQMSRLPRRLITYFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEVARIVIDESNAEGEEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQNLQKTGLLEEKEMAHLDDALQTDLKKFKRNPPLVKMPRVSDLLNTHPLVGALPAAMRDPLLSSTKETVKGHGTILYREGSRPTGIWLVSIGVVKWTSQRLSSRHSLDPILSHGSTLGLYEVLIGKPYICDMITDSVVHCFFIEAEKIEQLRQSDPSIEIFLWQESALVVARLLLPMMFEKMATHELRVLITERSTMNIYIKGEEIELEQNFIGILLEGFLKTKNQTLITPPGLLLPPNADLNLFGLESSAINRIDYCYTAPSYQVEARARILFVEIGRPEIEADLQRSASLISQTLELPRTQSKEHSGLLSWPESFRKSRGAQNGASLTEIRDHPASFSARALQLSMYGSMINDMKSGQGQGQRRQRHRHTKASSNKAHSSSYPRVPSRSSNTQRPLLSVQSEGANMTTARQAAAAGASLPPEPEEAGRRRRRQRKAIEEDEDNSSDESAGEEVIVRVDSPSMLTFRRKVRSREETKRRWNLLTAAGVGGVARAWTCGARGWLAVVRCERAMGMD >ORUFI12G22630.3 pep chromosome:OR_W1943:12:23516719:23529032:-1 gene:ORUFI12G22630 transcript:ORUFI12G22630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEAEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLEFGTKHGMGKLGAGIRANINPDLLLAVFLPALLFESSFSMEIHQIKKCMAQMVLLAGPGVLISTFFLGSALKLTFPYNWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGSIIKFLSEVSLGAVALGLAFGIASVLWLGFIFNDTIIEIALTLAVSYIAFFTAQDALEVSGVLTVMTLGMFYAAFAKTAFKGDSQQSLHHFWEMVAYIANTLIFILSGVVIADGVLENNVHFERHGASWGFLLLLYVFVQISRILVVVILYPLLRHFGYGLDLKEATILVWAGLRGAVALSLSLSVKRASDAVQTHLKPVDGTMFVFFTGGIVFLTLIFNGSTTQFLLHLLGMDRLAATKLRILNYTKYEMLNKALEAFGDLRDDEELGPPADWVTVKKYITCLNDLDDEPVHPHAVSDRNDRMHTMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVPTQELCDWKGLRSNVHFPNYYRFLQMSRLPRRLITYFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEVARIVIDESNAEGEEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQNLQKTGLLEEKEMAHLDDALQTDLKKFKRNPPLVKMPRVSDLLNTHPLVGALPAAMRDPLLSSTKETVKGHGTILYREGSRPTGIWLVSIGVVKWTSQRLSSRHSLDPILSHGSTLGLYEVLIGKPYICDMITDSVVHCFFIEAEKIEQLRQSDPSIEIFLWQESALVVARLLLPMMFEKMATHELRVLITERSTMNIYIKGEEIELEQNFIGILLEGFLKTKNQTLITPPGLLLPPNADLNLFGLESSAINRIDYCYTAPSYQVEARARILFVEIGRPEIEADLQRSASLISQTLELPRTQSKEHSGLLSWPESFRKSRGAQNGASLTEIRDHPASFSARALQLSMYGSMINDMKSGQGQGQRRQRHRHTKASSNKAHSSSYPRVPSRSSNTQRPLLSVQSEGANMTTARQAAAAGASLPPEPEEAGRRRRRQRKAIEEDEDNSSDESAGEEVIVRVDSPSMLTFRQPSSAADR >ORUFI12G22630.4 pep chromosome:OR_W1943:12:23516719:23529032:-1 gene:ORUFI12G22630 transcript:ORUFI12G22630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEAEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLEFGTKHGMGKLGAGIRANINPDLLLAVFLPALLFESSFSMEIHQIKKCMAQMVLLAGPGVLISTFFLGSALKLTFPYNWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGSIIKFLSEVSLGAVALGLAFGIASVLWLGFIFNDTIIEIALTLAVSYIAFFTAQDALEVSGVLTVMTLGMFYAAFAKTAFKGDSQQSLHHFWEMVAYIANTLIFILSGVVIADGVLENNVHFERHGASWGFLLLLYVFVQISRILVVVILYPLLRHFGYGLDLKEATILVWAGLRGAVALSLSLSVKRASDAVQTHLKPVDGTMFVFFTGGIVFLTLIFNGSTTQFLLHLLGMDRLAATKLRILNYTKYEMLNKALEAFGDLRDDEELGPPADWVTVKKYITCLNDLDDEPVHPHAVSDRNDRMHTMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVPTQELCDWKGLRSNVHFPNYYRFLQMSRLPRRLITYFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEVARIVIDESNAEGEEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQNLQKTGLLEEKEMAHLDDALQVAGIFCLSFNYYYADFVVSVTNHNGNTNSSQFTWQTDLKKFKRNPPLVKMPRVSDLLNTHPLVGALPAAMRDPLLSSTKETVKGHGTILYREGSRPTGIWLVSIGVVKWTSQRLSSRHSLDPILSHGSTLGLYEVLIGKPYICDMITDSVVHCFFIEAEKIEQLRQSDPSIEIFLWQESALVVARLLLPMMFEKMATHELRVLITERSTMNIYIKGEEIELEQNFIGILLEGFLKTKNQTLITPPGLLLPPNADLNLFGLESSAINRIDYCYTAPSYQVEARARILFVEIGRPEIEADLQRSASLISQTLELPRTQSKEHSGLLSWPESFRKSRGAQNGASLTEIRDHPASFSARALQLSMYGSMINDMKSGQGQGQRRQRHRHTKASSNKAHSSSYPRVPSRSSNTQRPLLSVQSEGANMTTARQAAAAGASLPPEPEEAGRRRRRQRKAIEEDEDNSSDESAGEEVIVRVDSPSMLTFRQPSSAADR >ORUFI12G22640.1 pep chromosome:OR_W1943:12:23533651:23534658:1 gene:ORUFI12G22640 transcript:ORUFI12G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTTTTMGGLHRLISRSSCSRRTFAASTPPQRPRAIAVRVRSSSAGVTKSQRQRQVKLTYLEINSWVWEVGGARILVDPILAGNLDFGAPWLFDAAKKRLKNLGVQDVLQPPGVDLLLITQSLDDHCHARTLAQLAAAAPDLPVVTTPNARPVLAALPTPFRDVTYLEPGQSTNCNGGGVRILATPGPVLGPPWQRPENGYIMFLLQEEEEDAKGLVYYEPHCVYDRSFLEKEALRADVVITPVVKQLLPANFTLVAGQEDAVELASLLRARYVVPMSNGDVDAKGLLTAVVATEGTVEAFKDMLADTLPEAQLLHPTPGVPLHIHLPHLT >ORUFI12G22650.1 pep chromosome:OR_W1943:12:23534660:23539003:-1 gene:ORUFI12G22650 transcript:ORUFI12G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPSGGGGGAGPAAAAVRKVPLRKLLRAASVACGVQFGWALQLSLLTPYVQELGIPHAFASLVWLCGPLSGLLVQPLVGHLSDRIAPAASPLGRRRPFIAAGAASIAAAVLTVGFSADLGRIFGDSITPGSTRLGAIIVYLVGFWLLDVGNNATQGPCRAFLADLTENDPRRTRIANAYFSLFMALGNILGYATGAYSGWYKIFPFTVTPSCSISCANLKSAFLLDIIILVVTTCITVASVQEPQSFGSDEADHPSTEQEAFLWELFGSFRYFTLPVWMVLIVTALTWIGWFPFILFDTDWMGREIYRGSPDDPSITQSYHDGVRMGSFGLMLNSVLLGFTSIVLEKLCRKWGAGLVWGVSNILMALCFVAMLVITYVAKNMDYPPSGVPPTGIVIASLVVFTILGAPLAITYSIPYAMAASRVENLGLGQGLAMGILNLAIVIPQVIVSLGSGPWDQLFGGGNAPAFAVAAAASFIGGLVAILGLPRARIASRRRGVGSLEKILEIKWREGMHGLE >ORUFI12G22650.2 pep chromosome:OR_W1943:12:23535379:23539003:-1 gene:ORUFI12G22650 transcript:ORUFI12G22650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPSGGGGGAGPAAAAVRKVPLRKLLRAASVACGVQFGWALQLSLLTPYVQELGIPHAFASLVWLCGPLSGLLVQPLVGHLSDRIAPAASPLGRRRPFIAAGAASIAAAVLTVGFSADLGRIFGDSITPGSTRLGAIIVYLVGFWLLDVGNNATQGPCRAFLADLTENDPRRTRIANAYFSLFMALGNILGYATGAYSGWYKIFPFTVTPSCSISCANLKSAFLLDIIILVVTTCITVASVQEPQSFGSDEADHPSTEQEAFLWELFGSFRYFTLPVWMVLIVTALTWIGWFPFILFDTDWMGREIYRGSPDDPSITQSYHDGVRMGSFGLMLNSVLLGFTSIVLEKLCRKWGAGLVWGVSNILMALCFVAMLVITYVAKNMDYPPSGVPPTGIVIASLVVFTILGAPLAITYSIPYAMAASRVENLGLGQGLAMGILNLAIVIPQVIVSLGSGPWDQLFGGGNAPAFAVAAAASFIGGLVAILGLPRARIASRRRGHR >ORUFI12G22660.1 pep chromosome:OR_W1943:12:23539983:23550487:-1 gene:ORUFI12G22660 transcript:ORUFI12G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGKGGGGQGRIHRLEVENFKSYKGTQTIGPFFDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYALDDRDKEAKGRRASVRLVYHLPATGDELHFTRAITGAGGSEYRIDGRLVTWDDYNAKLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSDELRREYDELEDQKNRAEEKSALIYQEKRTIVMERKQKKAQKEEAENHLRLQQDLKLAKTEHLLWQLYTIEKDAEKIEAELEEDRRSLQQVLEENQSSDYELSAKKKEQSGFLKKMTLCEKSIAKKKLELDKKQPELLRLKEQISRLKSKIKSCNKEIDKKKDDSKKHLEEMKSLQSALVDVTRAIDELNEQGQNKSDKLQLADDQLQEYHRIKEDAGMSTAKLRDEKEVFDKELNAGVEAKKNLEENMQQLRSRENEILSQERELRAKLNKILHSIPKHEDELAHLREEHNKIAKERQTSGVKYQMLKQRLDEIDTKLRELKADKHESERDARFSETVRSLKRLFPGVHGRMTELCRPSQKKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPIIEKLRTLGGSAQLVFDKAVLYAVGNTLVCDELDEAKTLSWSGERYKVVTVDGILLTKSGTMTGGISGGMAARSNKWDDSIIESWKKKKNQYESEMSELGSPRELQRKELAVSEKITGLEKKLHYLNVEENNLREKLRRLESEKSNIEEEIDRLEPVKEELETRIGKKEREVRVLEKKINEIVDRIYKDFSKSVGVKNIREYEERQLKDAQALQERKLSLSNQMSKLKYQLEYEQKRDMQAPIIKLKETRESLEKELKSLQERESEARAEAEQISNQMEELKAEAEDWKSKSDECETGIDELKEKNGSVAAALAKLDRQVKSKEGKLVQLRSQEREIHEKCELEQLKLPTVNDPMDTGSSSQIPILDYSQLSENYLQDMRLSERDKLEAEFKKKIGDLVAQIEHTAPNLKALDQYETLQRKEKDVMEKFEAARKEELEIADKYNSVKQRRYELFMEAFDHISKGIDQIYKELTKSQTHLLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCQRVDEQDNGGCGFQSIVISLKDSFYDKAEALVGVYRDSERCCSRTLTFDLTKYREA >ORUFI12G22660.2 pep chromosome:OR_W1943:12:23539983:23550487:-1 gene:ORUFI12G22660 transcript:ORUFI12G22660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGKGGGGQGRIHRLEVENFKSYKGTQTIGPFFDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYALDDRDKEAKGRRASVRLVYHLPATGDELHFTRAITGAGGSEYRIDGRLVTWDDYNAKLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSDELRREYDELEDQKNRAEEKSALIYQEKRTIVMERKQKKAQKEEAENHLRLQQDLKLAKTEHLLWQLYTIEKDAEKIEAELEEDRRSLQQVLEENQSSDYELSAKKKEQSGFLKKMTLCEKSIAKKKLELDKKQPELLRLKEQISRLKSKIKSCNKEIDKKKDDSKKHLEEMKSLQSALVDVTRAIDELNEQGQNKSDKLQLADDQLQEYHRIKEDAGMSTAKLRDEKEVFDKELNAGVEAKKNLEENMQQLRSRENEILSQERELRAKLNKILHSIPKHEDELAHLREEHNKIAKERQTSGLRLDEIDTKLRELKADKHESERDARFSETVRSLKRLFPGVHGRMTELCRPSQKKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPIIEKLRTLGGSAQLVFDKAVLYAVGNTLVCDELDEAKTLSWSGERYKVVTVDGILLTKSGTMTGGISGGMAARSNKWDDSIIESWKKKKNQYESEMSELGSPRELQRKELAVSEKITGLEKKLHYLNVEENNLREKLRRLESEKSNIEEEIDRLEPVKEELETRIGKKEREVRVLEKKINEIVDRIYKDFSKSVGVKNIREYEERQLKDAQALQERKLSLSNQMSKLKYQLEYEQKRDMQAPIIKLKETRESLEKELKSLQERESEARAEAEQISNQMEELKAEAEDWKSKSDECETGIDELKEKNGSVAAALAKLDRQVKSKEGKLVQLRSQEREIHEKCELEQLKLPTVNDPMDTGSSSQIPILDYSQLSENYLQDMRLSERDKLEAEFKKKIGDLVAQIEHTAPNLKALDQYETLQRKEKDVMEKFEAARKEELEIADKYNSVKQRRYELFMEAFDHISKGIDQIYKELTKSQTHLLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCQRVDEQDNGGCGFQSIVISLKDSFYDKAEALVGVYRDSERCCSRTLTFDLTKYREA >ORUFI03G18720.1 pep chromosome:OR_W1943:3:13435863:13436862:-1 gene:ORUFI03G18720 transcript:ORUFI03G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLGAGCPPSPRLSSCSAPPPPASPSSPSASPRASTARSSTPTRSRRTTASRSSPTRSPTRSVPASRTTSSAASAPTPTSPRRTSAGPSRRGAPAAVARVHAAGRLPVVAGGSNIYVEALVAGGGGAFLAAYDCLFLWTDVAPDLLRWYTAARVDDMVRRGLVGEARAGFDAGADYTRGVRRAIGLPEMHGYLLAEREGGAGAEDDDDLLAGMLEAAVREIKDNTFRLTVSQVAKIRRLSALPGWDVRRVDATAVVARMAEGAPHGETWREVVWEPCEEMVSRFLETPAAAAAVVANGKVDVNVGDAAAGVPEAAAAAVVAAGVV >ORUFI05G24800.1 pep chromosome:OR_W1943:5:22957250:22958423:-1 gene:ORUFI05G24800 transcript:ORUFI05G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREHLLLDPAALAVSWADPAAVEIPPELLAALGEYLSARRSDGEAEADAEAEADDEFMMYEFKVRRCARARSHDWTACPYAHPGEAARRRDPRRVAYTGEPCPDFRRRPGAACPRGSTCPFAHGTFELWLHPSRYRTRPCRAGVACRRRVCFFAHTAGELRAGSKEDSPLSLSPKSTLASLWESPPVSPVEGRRWVDGIDECDADAEMEELMFAMRELGLRKVRPSASSVTPVLPPVTDEDGPDFGWVSELVM >ORUFI08G23130.1 pep chromosome:OR_W1943:8:23396323:23397229:-1 gene:ORUFI08G23130 transcript:ORUFI08G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRRRLLPILSLLLAVTFLAGVATAKKTGQLTVFWGRNKNEGTLRETCDTGLYNIVIISFYSVFGHGRYWGDLSGHDLRPIGADIKHCQSKHISVFLSIGGAGNDYSLPSSQSAADVADNIWNAHMDGRRPGVFRPFGNAALAVKLYAYNKLYRARTPVRLTATVRCMFPDMRMTKALDTKLFERIHVRFYDDAKCSYNHGGLAGVMAQWSKWTARYPNSEVYLGLAAVNLPGKNDNVFVKQLYYYLLPNVQKAKNYGGIMLWDRFFDKQTGYGKTVKYWA >ORUFI10G14460.1 pep chromosome:OR_W1943:10:16077539:16079871:-1 gene:ORUFI10G14460 transcript:ORUFI10G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLATLLGHLAAGRFGLVQALTGAATAAAAHRLLHLLLRTAPPPPLPDLVSLARWSRAHFRAPLPLRLHGLLLARLASKGLYPLLRSELHVLAAARLHSPASILRALPSPSASASASTPLIADMLVLALARASQPLRAYDAFLLAGESHPRHRPSTSSVNALLAGLVGAKRVDLAEKAFRSALRRRVSPDIYTFNTVISGLCRIGQLRKAGDVAKDIKAWGLAPSVATYNSLIDGYCKKGGAGNMYHVDMLLKEMVEAGISPTAVTFGVLINGYCKNSNTAAAVRVFEEMKQQGIAASVVTYNSLISGLCSEGKVEEGVKLMEEMEDLGLSPNEITFGCVLKGFCKKGMMADANDWIDGMTERNVEPDVVIYNILIDGYRRLGKMEDAMAVKEAMAKKGISPNVTTYNCLITGFSRSGDWRSASGLLDEMKEKGIEADVVTYNVLIGALCCKGEVRKAVKLLDEMSEVGLEPNHLTYNTIIQGFCDKGNIKSAYEIRTRMEKCRKRANVVTYNVFIKYFCQIGKMDEANDLLNEMLDKCLVPNGITYETIKEGMMEKGYTPDIRGCTVSQASENPASS